>DRNTG_33321.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23593925:23595405:-1 gene:DRNTG_33321 transcript:DRNTG_33321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGHAAPFRVFVGYDPREEVAFDVCKHSLLKHSSIPLTITPIKQSELRSGGLYWRDRGPTESTEFSFTRFLTPFLASYSGWALFIDCDFLYTSDIAPLLSLIDDRFAIMCVKHEYAPKEATKMDGAVQTVYPRKNWSSMVLYNCGHPKNRAALTPEVVSTQSGAYLHRFMWLDDDEIGEVPFVWNFLVGHNKVDPEDPETFPKVLHYTSGGPWFERYKDCEFADLWLKELEECKKKEKEKEKVKEGEKVVCA >DRNTG_03082.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:393021:395471:1 gene:DRNTG_03082 transcript:DRNTG_03082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLVLCNIIIAFILFISLKTTAAAGDSTIALGQAISGNQTLVSKGGHFELGFFHPGNSSNYYIGIWFKNIPTHDVVWVANRQNPISNPSISLLKLSNNGNLVLLNQSGSPVWSSNISTVSTSNSTFAELLDNGNLVIRNSSNPSTPIWQTFEHPSHAWMPGAPLGVNKQTGEFQTITSWKSSEDPSPGLFSLSLALDRSSQLVELYNSSLIYVSTGVWNGQFFSSLPDVFIEKFIYNFSFVENEEQKYAAFTLVDPSMITHTVMDPSGQVKQFSYLSDKKQWMLITSQPSALCDVYSACGAFGVCDRKSSPGRCSCFAGFEPVLKMDWDLGAWSSGCSRKTSFQCNDKSNKSTGDGFFEMKMVKMPSNPLKLTAVQSAEECEKACGRHCACTAYAFNGKCSIWNGHLENVEQLHDSDGGDDDDDDDDEFKAGSLFLRLATSDIPPSTNNVSPPILKSSNGHAATAVISSVVAAVLVISFAIVVGFLWFRRRRSASRMAKQVLGSLSSFTYSDLQRMTKNFSDILGKGGFGSVFKGALPDSTIIAVKKLEGSRQGEKQFRTEVSTLGSIHHVNLVRLRGFCCEANNRLLVYDYMPGGSLDSHLSRSTNVLGWKTRYQIILGVARGLDYLHEKCRECIIHCDIKPENILLDNELRPMVADFGMAKLIGHDFSRVLTTVRGTLGYMAPEWISGLPITVKVDVYSFGMMLFELISGERNNSPGDGRGYFPFRVASQLADGNVIDLLDKRLQGEVDEEELKRICGVACWCIQDSDADRPTMGQVVQILEGVLLVNMPPFPRFLQHLMDGHDSMYVNMSSI >DRNTG_34357.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3015438:3019498:1 gene:DRNTG_34357 transcript:DRNTG_34357.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDSYRFSISWSRILPKGSLIGGINQEGINYYNNLINELIKNGITPYVTLFHWDVPQALEDEYGGFLNKKIMFDFKNYCEICFKEFGDRVKHWITLNEPWSFSSMGYGLGRHAPGRCSQILGCSVGNSIIEPYIVTHNLLLAHGAAARLYKDKYQTTQGGQVGITLVCMWYHPYDQSHKHVEAATRALDFMLAWYLDPLLHGDYPFNMKAIVRDRLPTFSKEEADMIKGSYDFIGINYYTARYAREVPYSQAPPFLHINESYAEQLEAKDGVPIGESNGSWIYVYPRGLRDLLLYIKRRYENPAIYITENGISNVDKSGIPKEEALADEMRKNYLAVHLAEICDAIREGANVKGYFAWSLMDNYEWEKGYTERFGLNYVDYNSLERTPKNSAKWFSKFLQPKPQN >DRNTG_34357.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3015438:3019498:1 gene:DRNTG_34357 transcript:DRNTG_34357.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDSYRFSISWSRILPKGSLIGGINQEGINYYNNLINELIKNGITPYVTLFHWDVPQALEDEYGGFLNKKIMFDFKNYCEICFKEFGDRVKHWITLNEPWSFSSMGYGLGRHAPGRCSQILGCSVGNSIIEPYIVTHNLLLAHGAAARLYKDKYQTTQGGQVGITLVCMWYHPYDQSHKHVEAATRALDFMLAWYLDPLLHGDYPFNMKAIVRDRLPTFSKEEADMIKGSYDFIGINYYTARYAREVPYSQAPPFLHINESYAEQLGKFFQKLKEFYLANFF >DRNTG_24729.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28034092:28034307:1 gene:DRNTG_24729 transcript:DRNTG_24729.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCSNGKKLMAIRIVKHAMEIIHLLTDLNPTQVIVDVVINSETWENATRIGSAGVVRCQAVDISPFRRVN >DRNTG_18456.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19538659:19543223:1 gene:DRNTG_18456 transcript:DRNTG_18456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQENYTASRIVLAASGVDHDELVSIAEPLLSDLPKVARVMEPMSVYVGGEYRCQADSGKTHLAIAFEVPGGWLNEKDAMTLTVLQMLMGGGGSFSAGGPGKGMHSRLYRRVLNEFQQIESYSAFNSIYNYTGIFGIHATTGPDFVNKAVDLAARELVAVATPGQVEQVELDRAKASTKSAVLMNLESRMVASEDIGRQILTYGERKPIEHFLKMLDEITLKDIASLAES >DRNTG_18456.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19538659:19543223:1 gene:DRNTG_18456 transcript:DRNTG_18456.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMSVYVGGEYRCQADSGKTHLAIAFEVPGGWLNEKDAMTLTVLQMLMGGGGSFSAGGPGKGMHSRLYRRVLNEFQQIESYSAFNSIYNYTGIFGIHATTGPDFVNKAVDLAARELVAVATPGQVEQVELDRAKASTKSAVLMNLESRMVASEDIGRQILTYGERFLYPYKT >DRNTG_18456.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19538659:19543223:1 gene:DRNTG_18456 transcript:DRNTG_18456.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMSVYVGGEYRCQADSGKTHLAIAFEVPGGWLNEKDAMTLTVLQMLMGGGGSFSAGGPGKGMHSRLYRRVLNEFQQIESYSAFNSIYNYTGIFGIHATTGPDFVNKAVDLAARELVAVATPGQVEQVELDRAKASTKSAVLMNLESRMVASEDIGRQILTYGERFLYPYKT >DRNTG_18456.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19538659:19543223:1 gene:DRNTG_18456 transcript:DRNTG_18456.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMSVYVGGEYRCQADSGKTHLAIAFEVPGGWLNEKDAMTLTVLQMLMGGGGSFSAGGPGKGMHSRLYRRVLNEFQQIESYSAFNSIYNYTGIFGIHATTGPDFVNKAVDLAARELVAVATPGQVEQVELDRAKASTKSAVLMNLESRMVASEDIGRQILTYGERKPIEHFLKMLDEITLKDIASLAES >DRNTG_27339.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17081062:17082093:1 gene:DRNTG_27339 transcript:DRNTG_27339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLMIQLIEFLISDYLSIWTANEDFDWRLLEEWTRSVLQARNALVLLESRNGLYVLFIERVIREIGKQLGPLQQQGKLNLDILSNLHF >DRNTG_25022.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19571640:19574685:1 gene:DRNTG_25022 transcript:DRNTG_25022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVRSVSAILQWWGFNVTVIITNKWIFQKLDFTFPLTVSCIHFICSAIGAYIAIRLLRVKPLIEVDRRDHWRRIIPMSCVFCFNIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWGKNFDRRIWASLVPIVGGIVLTSLTELSFNIVGFCAALFGCLATSTKTILAESLLHGYKFDSINTVYYMTPPATLFMSILAIIVERNGVVNWFQTHESIPSSLIIILSSGILAFFLNFSIFYVIHSTTAVTFNVAGNLKVAVAILVSWLIFRNPISPMNAIGCSITLLGCTLYGLIKQSLSQQPGTPRTPRTPKILRSDILPLINDKQDKL >DRNTG_12881.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20685882:20686233:1 gene:DRNTG_12881 transcript:DRNTG_12881.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPELLACIDLCLFFQVNSIYVKNLPNNVTEDHLRRPFEHHGKINTVDLPTPKCEYGFVHFSERSAAKKGLRNSERHHLDGRVLECD >DRNTG_08907.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27478903:27481755:1 gene:DRNTG_08907 transcript:DRNTG_08907.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDSAEEGGGSRAGGLVLKALVLLGGALLLKKLRKSKTRWDHARVVAEALSGEKFSREQACRDPDNYFNLRMLNCPATELVDGSRILYFEQAFWRTPEKPFRQRFYMVKPCPKELRCDVELSSYAIRDVEEYKNFCDRSKDQRPQPEEVIEDIAEHLTTIHLSRCERGKRCLYEGSTPPGGFPNTWNGASYCTTELSIHKNGEVHTWDRGFDDEGNQVWGAKEGPYEFKPAQALGYSDMFSPLNFSPALQL >DRNTG_08907.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27478903:27481755:1 gene:DRNTG_08907 transcript:DRNTG_08907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDSAEEGGGSRAGGLVLKALVLLGGALLLKKLRKSKTRWDHARVVAEALSGEKFSREQACRDPDNYFNLRMLNCPATELVDGSRILYFEQAFWRTPEKPFRQRFYMVKPCPKELRCDVELSSYAIRDVEEYKNFCDRSKDQRPQPEEVIEDIAEHLTTIHLSRCERGKRCLYEGSTPPGGFPNTWNGASYCTTELSIHKNGEVHTWDRGFDDEGNQVWGAKEGPYEFKPAQALGYSDMFSPLNFSPALQL >DRNTG_08907.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27478903:27481755:1 gene:DRNTG_08907 transcript:DRNTG_08907.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDSAEEGGGSRAGGLVLKALVLLGGALLLKKLRKSKTRWDHARVVAEALSGEKFSREQACRDPDNYFNLRMLNCPATELVDGSRILYFEQAFWRTPEKPFRQRFYMVKPCPKELRCDVELSSYAIRDVEEYKNFCDRSKDQRPQPEEVIEDIAEHLTTIHLSRCERGKRCLYEGSTPPGGFPNTWNGASYCTTELSIHKNGEVHTWDRGFDDEGNQVWGAKEGPYEFKPAQALGYSDMFSPLNFSPALQL >DRNTG_24860.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20201184:20204238:1 gene:DRNTG_24860 transcript:DRNTG_24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVTSLASQRAVVIFSKSSCYMCYTVKRLFADLGVNVSVHELDQYPNGKEIERALINLLPKSSSVPAVFIGVRTSESNCVWVSLILSLTVSSSSTILVASHLPVPLLCPSSTSPPPLHWRRLLPGHLPLSWPRFCLLLHRSSSPTFSSTRGLVNHG >DRNTG_32741.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:2508633:2513601:-1 gene:DRNTG_32741 transcript:DRNTG_32741.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGWDEENKKIIMGEEECMPYLMDLGHGQFNNNFWFETPSLTPNSSQVRTSQEAQTRKKGKKRNASADSALTQTVGSKMDRLALAIEEHNPVTLTTKLSEASMKLTEVGYSTRDVAKVYSYYVDSETRTFKFFSALDILCQYMAEKLVGPPGQNY >DRNTG_08038.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30249131:30249572:1 gene:DRNTG_08038 transcript:DRNTG_08038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKELQQRVKAWESTIRKMHVPGGRRRGFIFSATSVAPADDAESAETSVDADGEVSRVERLLPDGDFYSGDWHGGMPHGMGKYLWTDGCMYEGEWRGGRTTGRGRF >DRNTG_04276.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9124763:9125765:-1 gene:DRNTG_04276 transcript:DRNTG_04276.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative uncharacterized protein [Source:Projected from Arabidopsis thaliana (AT1G67740) UniProtKB/TrEMBL;Acc:Q549N8] MAATIASMAMFNAKSLNITPPPSHTSKPSPILKPISLLSLQNLPKGLAIFKSPLTSTAVAGAIFSALSYTDTAFAAQQIADIAEGDNRGLALLIPLIPAILWVLYNILQPALNQLDRMNSEKGFIVGLGLGGGLAAAGFMAPGNASADELAAIADASSSSDSRGLLLLFVVVPAILWVLYNILQPALNQLDRMKSG >DRNTG_04276.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9124715:9125765:-1 gene:DRNTG_04276 transcript:DRNTG_04276.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative uncharacterized protein [Source:Projected from Arabidopsis thaliana (AT1G67740) UniProtKB/TrEMBL;Acc:Q549N8] MAATIASMAMFNAKSLNITPPPSHTSKPSPILKPISLLSLQNLPKGLAIFKSPLTSTAVAGAIFSALSYTDTAFAAQQIADIAEGDNRGLALLIPLIPAILWVLYNILQPALNQLDRMNSEKGFIVGLGLGGGLAAAGFMAPGNASADELAAIADASSSSDSRGLLLLFVVVPAILWVLYNILQPALNQLDRMKSG >DRNTG_04276.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9124610:9125765:-1 gene:DRNTG_04276 transcript:DRNTG_04276.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative uncharacterized protein [Source:Projected from Arabidopsis thaliana (AT1G67740) UniProtKB/TrEMBL;Acc:Q549N8] MAATIASMAMFNAKSLNITPPPSHTSKPSPILKPISLLSLQNLPKGLAIFKSPLTSTAVAGAIFSALSYTDTAFAAQQIADIAEGDNRGLALLIPLIPAILWVLYNILQPALNQLDRMNSEKGFIVGLGLGGGLAAAGFMAPGNASADELAAIADASSSSDSRGLLLLFVVVPAILWVLYNILQPALNQLDRMKSG >DRNTG_04276.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9124763:9125903:-1 gene:DRNTG_04276 transcript:DRNTG_04276.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative uncharacterized protein [Source:Projected from Arabidopsis thaliana (AT1G67740) UniProtKB/TrEMBL;Acc:Q549N8] MAATIASMAMFNAKSLNITPPPSHTSKPSPILKPISLLSLQNLPKGLAIFKSPLTSTAVAGAIFSALSYTDTAFAAQQIADIAEGDNRGLALLIPLIPAILWVLYNILQPALNQLDRMNSEKGFIVGLGLGGGLAAAGFMAPGNASADELAAIADASSSSDSRGLLLLFVVVPAILWVLYNILQPALNQLDRMKSG >DRNTG_04276.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9124715:9125903:-1 gene:DRNTG_04276 transcript:DRNTG_04276.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative uncharacterized protein [Source:Projected from Arabidopsis thaliana (AT1G67740) UniProtKB/TrEMBL;Acc:Q549N8] MAATIASMAMFNAKSLNITPPPSHTSKPSPILKPISLLSLQNLPKGLAIFKSPLTSTAVAGAIFSALSYTDTAFAAQQIADIAEGDNRGLALLIPLIPAILWVLYNILQPALNQLDRMNSEKGFIVGLGLGGGLAAAGFMAPGNASADELAAIADASSSSDSRGLLLLFVVVPAILWVLYNILQPALNQLDRMKSG >DRNTG_04276.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9124610:9125903:-1 gene:DRNTG_04276 transcript:DRNTG_04276.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative uncharacterized protein [Source:Projected from Arabidopsis thaliana (AT1G67740) UniProtKB/TrEMBL;Acc:Q549N8] MAATIASMAMFNAKSLNITPPPSHTSKPSPILKPISLLSLQNLPKGLAIFKSPLTSTAVAGAIFSALSYTDTAFAAQQIADIAEGDNRGLALLIPLIPAILWVLYNILQPALNQLDRMNSEKGFIVGLGLGGGLAAAGFMAPGNASADELAAIADASSSSDSRGLLLLFVVVPAILWVLYNILQPALNQLDRMKSG >DRNTG_04251.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000201.1:2802:12420:1 gene:DRNTG_04251 transcript:DRNTG_04251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKNLRNRDRIYHIGWERGCHYTSRLTLSTLSNLALSNARGVSLTHKYSAIVPVLKYFPSAYFHRARFPPKSVHYDPHWLLSFILGLTTLPARKFESVEATHRNHTTSLHNLENQVGQITKSLSERPHGSLPSNTETNPREHVRLKVGFRSLQVFLRHPVKTHGRVEFPHARGFVLRANPEKAQGRAAVGNFRTGRSHEGVGCPTWDRSCRRERSSR >DRNTG_19016.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22598615:22601690:1 gene:DRNTG_19016 transcript:DRNTG_19016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSVVSRSGREIIRGGIEINDQATVSDLQNAIHKRNKKYYPSRQRLTLPVQPGTQGRPVVLDPKKSLKDYFDGNTNNVTVMFKDLGPQVLYSTLFFWEYLGPLVIYPIFYYFPVYKYFGYEGERVIHPVQTYALYYWCFHYFKRIMETFFVHRFSHATSPLSNVFRNCAYYWTFGTYIAYYVNHPLYTPVSDLQMKIGFGFGIICQVSNFYCHLILRSLRSPSGNGGYQIPSGFLFNIVTCANYTTEIYQWVGFNIATQTVAGYVFLVAATFIMTNWAIAKHRRLKKLFDGKDGRPRYPRRWVILPPFF >DRNTG_16560.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8397900:8400854:1 gene:DRNTG_16560 transcript:DRNTG_16560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component [Source:Projected from Arabidopsis thaliana (AT3G56640) UniProtKB/TrEMBL;Acc:A0A178V8H8] MVYYLPLQSLIGRMNAKPKRRSISENGDGGPELGLATSIANGDDLGPVVRLAFEAGKHELILNQLRNIVKKKEAEIEELCKLHYEDFILAVDELRGILNDTYQLKSMLSGENSQLQEVASSLLMKVDEILEMYSVKKNVSEAIRTLNVCIQVLTLCLKCNQQIKDCRFHPALKMVDLIEKEYLQGVPLKALRKVIEKQIPEVRLHIEKKVCGEFNDWLVYIRDKARDIAQMAIRQAASARQRDEEMRDKQRDYEEQSNSGLNEFVYALDTEQMEDDSLVEFDLTPLYRAYHIHACLGKEDMFRKQYNKERLAQLNLDLQISQAQSFLESHQNFFAQIAGFFIVEDRVLRTVVGFLSESQLESMWDTALQNITSVLEDQFCRMDTASHLLLIKDFVTLIAMALRRYGYKVGPLLDVLDNSRDKYHELLLNDCRKQITEVLASDTFEQVVIRKENEYDANILSFRLQVSDVMPLFPYVAPFSSSVPEACRIVRSFIKDSVSYLKHGGQINFYDTVKKYVDKLLVDVLNEALLKAIQSGSSGASQVMQIAANTAALERAYEEPDNENEYIDEVLIYLNSLLSTAQQILSLDALYKIASGILDHISHSIVTAFLSENVKKFNLNAVVGIDNDLKMLESFADDQFQNSGLIDLKEGGSLRDYLVEARQLVNLLLSHQPDNFMNPEIREKHYGALDHKKVSIICEKYKDSPDTLFGSLSNKNAKQSARKKSMDMLKKRLKELN >DRNTG_16560.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8397370:8400854:1 gene:DRNTG_16560 transcript:DRNTG_16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component [Source:Projected from Arabidopsis thaliana (AT3G56640) UniProtKB/TrEMBL;Acc:A0A178V8H8] MNAKPKRRSISENGDGGPELGLATSIANGDDLGPVVRLAFEAGKHELILNQLRNIVKKKEAEIEELCKLHYEDFILAVDELRGILNDTYQLKSMLSGENSQLQEVASSLLMKVDEILEMYSVKKNVSEAIRTLNVCIQVLTLCLKCNQQIKDCRFHPALKMVDLIEKEYLQGVPLKALRKVIEKQIPEVRLHIEKKVCGEFNDWLVYIRDKARDIAQMAIRQAASARQRDEEMRDKQRDYEEQSNSGLNEFVYALDTEQMEDDSLVEFDLTPLYRAYHIHACLGKEDMFRKQYNKERLAQLNLDLQISQAQSFLESHQNFFAQIAGFFIVEDRVLRTVVGFLSESQLESMWDTALQNITSVLEDQFCRMDTASHLLLIKDFVTLIAMALRRYGYKVGPLLDVLDNSRDKYHELLLNDCRKQITEVLASDTFEQVVIRKENEYDANILSFRLQVSDVMPLFPYVAPFSSSVPEACRIVRSFIKDSVSYLKHGGQINFYDTVKKYVDKLLVDVLNEALLKAIQSGSSGASQVMQIAANTAALERAYEEPDNENEYIDEVLIYLNSLLSTAQQILSLDALYKIASGILDHISHSIVTAFLSENVKKFNLNAVVGIDNDLKMLESFADDQFQNSGLIDLKEGGSLRDYLVEARQLVNLLLSHQPDNFMNPEIREKHYGALDHKKVSIICEKYKDSPDTLFGSLSNKNAKQSARKKSMDMLKKRLKELN >DRNTG_08062.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18233925:18236516:1 gene:DRNTG_08062 transcript:DRNTG_08062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRECPIHKTRAPPPLLRRVPQFFSVQRGFELIINQKENKKGNMNQKKNKQGNIKRTLKVKAGSCYLPKPKNQRMNGDDAMFVCEEVMIAGVADGVGGWAREGIDPGEYARELMARAEEAVRRRVYEKEMKGSGVGGKQQQQQQQQLQLEPFDVLSEAYHGITVPGASTACIVALHDIPHQKLTAVNVGDSGFVVVRNGFTIFKSSIQQHRFNTTYSLGQNNQRQDDFKRAQSIEVAVEADDIVVLGTDGLFDNVFSQEIEDLIRNKINLITKPEKLATKIAKMAEEFSKRKLKVTPYSMAKSEAVKTYKGGKRDDITVVVLHIVASDK >DRNTG_08523.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28752695:28760789:-1 gene:DRNTG_08523 transcript:DRNTG_08523.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 90, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20300) UniProtKB/Swiss-Prot;Acc:Q6S5G3] MKNLVDWVSSQLMSKFLVSARSFNSYDEDLLCEEPNDQGARNTTNEISTTAPATNDTQLTESSESELVVPDISNAEALQIKLLRFVQRIGQSPNNLVVAQTFYRLHLANLIQSAECGVQRKVLTFDQVKAIAARQEESGQPDLLFSVKILVLGKTGVGKSATINSLFDETRSVTNAFRRGTDEIQEIVGTIKGIKFTVIDTPGLSPFNGNPRRNRKILMAVKKFIRKSPPDVVLYFERLNFITGGYGDYSLLKLITEVFGSSMWFNTILVMTHASSPPPEPDGYPMSYEGYVGRSKNIVQHFIHQAMSSEKLVNPVVYVENHQFCETNAKGEKILPNGLAWKSQLLLLCITSKILVDANSLLKFQDSFQLSQTGGALLSLPHFLSSFLQRHPLSNSNGIEDDDFTNFLDQEDEDDYDQLPPIRILTKAQFNKLSKAQKDAYLEELNYREDLYLRKQWKAMARQHKETMLRKKNNDTIQKNDHDDDNDASLVEAYEIEDATIPLSFDSDSPVYRYRFCVIGNEQWVIKPVCDSQGWDHDIGYDGVYWDGSIHIKSNFQATFAGQMNKEKDDFRINSECTAKFKHPNGATMLAGIDIQPFSKDLLCTIRGDLEFKNFCCNKTGGSFAVSSLGKTYFTRAKLEDSISIGRRLKVRMDAGCARGYGQMAYGGTLEATIRGKDYPVNDDKVTIATTLLSFDNETVIGGSVQSDFQIWRGTKHCLNASINSKGPMQISFKTSSSKHVQIALLALVTLFQGLFMRRLR >DRNTG_08523.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28753659:28760736:-1 gene:DRNTG_08523 transcript:DRNTG_08523.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 90, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20300) UniProtKB/Swiss-Prot;Acc:Q6S5G3] MKNLVDWVSSQLMSKFLVSARSFNSYDEDLLCEEPNDQGARNTTNEISTTAPATNDTQLTESSESELVVPDISNAEALQIKLLRFVQRIGQSPNNLVVAQTFYRLHLANLIQSAECGVQRKVLTFDQVKAIAARQEESGQPDLLFSVKILVLGKTGVGKSATINSLFDETRSVTNAFRRGTDEIQEIVGTIKGIKFTVIDTPGLSPFNGNPRRNRKILMAVKKFIRKSPPDVVLYFERLNFITGGYGDYSLLKLITEVFGSSMWFNTILVMTHASSPPPEPDGYPMSYEGYVGRSKNIVQHFIHQAMSSEKLVNPVVYVENHQFCETNAKGEKILPNGLAWKSQLLLLCITSKILVDANSLLKFQDSFQLSQTGGALLSLPHFLSSFLQRHPLSNSNGIEDDDFTNFLDQEDEDDYDQLPPIRILTKAQFNKLSKAQKDAYLEELNYREDLYLRKQWKAMARQHKETMLRKKNNDTIQKNDHDDDNDASLVEAYEIEDATIPLSFDSDSPVYRYRFCVIGNEQWVIKPVCDSQGWDHDIGYDGVYWDGSIHIKSNFQATFAGQMNKEKDDFRINSECTAKFKHPNGATMLAGIDIQPFSKDLLCTIRGDLEFKNFCCNKTGGSFAVSSLGKTYFTRAKLEDSISIGRRLKVRMDAGCARGYGQMAYGGTLEATIRGKDYPVNDDKVTIATTLLSFDNETVIGGSVQSDFQIWRGTKHCLNASINSKGPMQISFKTSSSKHVQIALLALVTLFQGLFMRRLR >DRNTG_08523.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28753777:28760736:-1 gene:DRNTG_08523 transcript:DRNTG_08523.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 90, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20300) UniProtKB/Swiss-Prot;Acc:Q6S5G3] MKNLVDWVSSQLMSKFLVSARSFNSYDEDLLCEEPNDQGARNTTNEISTTAPATNDTQLTESSESELVVPDISNAEALQIKLLRFVQRIGQSPNNLVVAQTFYRLHLANLIQSAECGVQRKVLTFDQVKAIAARQEESGQPDLLFSVKILVLGKTGVGKSATINSLFDETRSVTNAFRRGTDEIQEIVGTIKGIKFTVIDTPGLSPFNGNPRRNRKILMAVKKFIRKSPPDVVLYFERLNFITGGYGDYSLLKLITEVFGSSMWFNTILVMTHASSPPPEPDGYPMSYEGYVGRSKNIVQHFIHQAMSSEKLVNPVVYVENHQFCETNAKGEKILPNGLAWKSQLLLLCITSKILVDANSLLKFQDSFQLSQTGGALLSLPHFLSSFLQRHPLSNSNGIEDDDFTNFLDQEDEDDYDQLPPIRILTKAQFNKLSKAQKDAYLEELNYREDLYLRKQWKAMARQHKETMLRKKNNDTIQKNDHDDDNDASLVEAYEIEDATIPLSFDSDSPVYRYRFCVIGNEQWVIKPVCDSQGWDHDIGYDGVYWDGSIHIKSNFQATFAGQMNKEKDDFRINSECTAKFKHPNGATMLAGIDIQPFSKDLLCTIRGDLEFKNFCCNKTGGSFAVSSLGKTYFTRAKLEDSISIGRRLKVRMDAGCARGYGQMAYGGTLEATIRGKDYPVNDDKVTIATTLLSFDNETVIGGSVQSDFQIWRGTKHCLNASINSKGPMQISFKTSSSKHVQIALLALVTLFQGLFMRRLR >DRNTG_08523.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28753777:28760736:-1 gene:DRNTG_08523 transcript:DRNTG_08523.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 90, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20300) UniProtKB/Swiss-Prot;Acc:Q6S5G3] MKNLVDWVSSQLMSKFLVSARSFNSYDEDLLCEEPNDQGARNTTNEISTTAPATNDTQLTESSESELVVPDISNAEALQIKLLRFVQRIGQSPNNLVVAQTFYRLHLANLIQSAECGVQRKVLTFDQVKAIAARQEESGQPDLLFSVKILVLGKTGVGKSATINSLFDETRSVTNAFRRGTDEIQEIVGTIKGIKFTVIDTPGLSPFNGNPRRNRKILMAVKKFIRKSPPDVVLYFERLNFITGGYGDYSLLKLITEVFGSSMWFNTILVMTHASSPPPEPDGYPMSYEGYVGRSKNIVQHFIHQAMSSEKLVNPVVYVENHQFCETNAKGEKILPNGLAWKSQLLLLCITSKILVDANSLLKFQDSFQLSQTGGALLSLPHFLSSFLQRHPLSNSNGIEDDDFTNFLDQEDEDDYDQLPPIRILTKAQFNKLSKAQKDAYLEELNYREDLYLRKQWKAMARQHKETMLRKKNNDTIQKNDHDDDNDASLVEAYEIEDATIPLSFDSDSPVYRYRFCVIGNEQWVIKPVCDSQGWDHDIGYDGVYWDGSIHIKSNFQATFAGQMNKEKDDFRINSECTAKFKHPNGATMLAGIDIQPFSKDLLCTIRGDLEFKNFCCNKTGGSFAVSSLGKTYFTRAKLEDSISIGRRLKVRMDAGCARGYGQMAYGGTLEATIRGKDYPVNDDKVTIATTLLSFDNETVIGGSVQSDFQIWRGTKHCLNASINSKGPMQISFKTSSSKHVQIALLALVTLFQGLFMRRLR >DRNTG_08523.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28753777:28760736:-1 gene:DRNTG_08523 transcript:DRNTG_08523.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 90, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20300) UniProtKB/Swiss-Prot;Acc:Q6S5G3] MKNLVDWVSSQLMSKFLVSARSFNSYDEDLLCEEPNDQGARNTTNEISTTAPATNDTQLTESSESELVVPDISNAEALQIKLLRFVQRIGQSPNNLVVAQTFYRLHLANLIQSAECGVQRKVLTFDQVKAIAARQEESGQPDLLFSVKILVLGKTGVGKSATINSLFDETRSVTNAFRRGTDEIQEIVGTIKGIKFTVIDTPGLSPFNGNPRRNRKILMAVKKFIRKSPPDVVLYFERLNFITGGYGDYSLLKLITEVFGSSMWFNTILVMTHASSPPPEPDGYPMSYEGYVGRSKNIVQHFIHQAMSSEKLVNPVVYVENHQFCETNAKGEKILPNGLAWKSQLLLLCITSKILVDANSLLKFQDSFQLSQTGGALLSLPHFLSSFLQRHPLSNSNGIEDDDFTNFLDQEDEDDYDQLPPIRILTKAQFNKLSKAQKDAYLEELNYREDLYLRKQWKAMARQHKETMLRKKNNDTIQKNDHDDDNDASLVEAYEIEDATIPLSFDSDSPVYRYRFCVIGNEQWVIKPVCDSQGWDHDIGYDGVYWDGSIHIKSNFQATFAGQMNKEKDDFRINSECTAKFKHPNGATMLAGIDIQPFSKDLLCTIRGDLEFKNFCCNKTGGSFAVSSLGKTYFTRAKLEDSISIGRRLKVRMDAGCARGYGQMAYGGTLEATIRGKDYPVNDDKVTIATTLLSFDNETVIGGSVQSDFQIWRGTKHCLNASINSKGPMQISFKTSSSKHVQIALLALVTLFQGLFMRRLR >DRNTG_08523.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28752605:28760736:-1 gene:DRNTG_08523 transcript:DRNTG_08523.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 90, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20300) UniProtKB/Swiss-Prot;Acc:Q6S5G3] MKNLVDWVSSQLMSKFLVSARSFNSYDEDLLCEEPNDQGARNTTNEISTTAPATNDTQLTESSESELVVPDISNAEALQIKLLRFVQRIGQSPNNLVVAQTFYRLHLANLIQSAECGVQRKVLTFDQVKAIAARQEESGQPDLLFSVKILVLGKTGVGKSATINSLFDETRSVTNAFRRGTDEIQEIVGTIKGIKFTVIDTPGLSPFNGNPRRNRKILMAVKKFIRKSPPDVVLYFERLNFITGGYGDYSLLKLITEVFGSSMWFNTILVMTHASSPPPEPDGYPMSYEGYVGRSKNIVQHFIHQAMSSEKLVNPVVYVENHQFCETNAKGEKILPNGLAWKSQLLLLCITSKILVDANSLLKFQDSFQLSQTGGALLSLPHFLSSFLQRHPLSNSNGIEDDDFTNFLDQEDEDDYDQLPPIRILTKAQFNKLSKAQKDAYLEELNYREDLYLRKQWKAMARQHKETMLRKKNNDTIQKNDHDDDNDASLVEAYEIEDATIPLSFDSDSPVYRYRFCVIGNEQWVIKPVCDSQGWDHDIGYDGVYWDGSIHIKSNFQATFAGQMNKEKDDFRINSECTAKFKHPNGATMLAGIDIQPFSKDLLCTIRGDLEFKNFCCNKTGGSFAVSSLGKTYFTRAKLEDSISIGRRLKVRMDAGCARGYGQMAYGGTLEATIRGKDYPVNDDKVTIATTLLSFDNETVIGGSVQSDFQIWRGTKHCLNASINSKGPMQISFKTSSSKHVQIALLALVTLFQGLFMRRLR >DRNTG_08523.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28752860:28760736:-1 gene:DRNTG_08523 transcript:DRNTG_08523.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 90, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20300) UniProtKB/Swiss-Prot;Acc:Q6S5G3] MKNLVDWVSSQLMSKFLVSARSFNSYDEDLLCEEPNDQGARNTTNEISTTAPATNDTQLTESSESELVVPDISNAEALQIKLLRFVQRIGQSPNNLVVAQTFYRLHLANLIQSAECGVQRKVLTFDQVKAIAARQEESGQPDLLFSVKILVLGKTGVGKSATINSLFDETRSVTNAFRRGTDEIQEIVGTIKGIKFTVIDTPGLSPFNGNPRRNRKILMAVKKFIRKSPPDVVLYFERLNFITGGYGDYSLLKLITEVFGSSMWFNTILVMTHASSPPPEPDGYPMSYEGYVGRSKNIVQHFIHQAMSSEKLVNPVVYVENHQFCETNAKGEKILPNGLAWKSQLLLLCITSKILVDANSLLKFQDSFQLSQTGGALLSLPHFLSSFLQRHPLSNSNGIEDDDFTNFLDQEDEDDYDQLPPIRILTKAQFNKLSKAQKDAYLEELNYREDLYLRKQWKAMARQHKETMLRKKNNDTIQKNDHDDDNDASLVEAYEIEDATIPLSFDSDSPVYRYRFCVIGNEQWVIKPVCDSQGWDHDIGYDGVYWDGSIHIKSNFQATFAGQMNKEKDDFRINSECTAKFKHPNGATMLAGIDIQPFSKDLLCTIRGDLEFKNFCCNKTGGSFAVSSLGKTYFTRAKLEDSISIGRRLKVRMDAGCARGYGQMAYGGTLEATIRGKDYPVNDDKVTIATTLLSFDNETVIGGSVQSDFQIWRGTKHCLNASINSKGPMQISFKTSSSKHVQIALLALVTLFQGLFMRRLR >DRNTG_08523.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28752695:28760736:-1 gene:DRNTG_08523 transcript:DRNTG_08523.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 90, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20300) UniProtKB/Swiss-Prot;Acc:Q6S5G3] MKNLVDWVSSQLMSKFLVSARSFNSYDEDLLCEEPNDQGARNTTNEISTTAPATNDTQLTESSESELVVPDISNAEALQIKLLRFVQRIGQSPNNLVVAQTFYRLHLANLIQSAECGVQRKVLTFDQVKAIAARQEESGQPDLLFSVKILVLGKTGVGKSATINSLFDETRSVTNAFRRGTDEIQEIVGTIKGIKFTVIDTPGLSPFNGNPRRNRKILMAVKKFIRKSPPDVVLYFERLNFITGGYGDYSLLKLITEVFGSSMWFNTILVMTHASSPPPEPDGYPMSYEGYVGRSKNIVQHFIHQAMSSEKLVNPVVYVENHQFCETNAKGEKILPNGLAWKSQLLLLCITSKILVDANSLLKFQDSFQLSQTGGALLSLPHFLSSFLQRHPLSNSNGIEDDDFTNFLDQEDEDDYDQLPPIRILTKAQFNKLSKAQKDAYLEELNYREDLYLRKQWKAMARQHKETMLRKKNNDTIQKNDHDDDNDASLVEAYEIEDATIPLSFDSDSPVYRYRFCVIGNEQWVIKPVCDSQGWDHDIGYDGVYWDGSIHIKSNFQATFAGQMNKEKDDFRINSECTAKFKHPNGATMLAGIDIQPFSKDLLCTIRGDLEFKNFCCNKTGGSFAVSSLGKTYFTRAKLEDSISIGRRLKVRMDAGCARGYGQMAYGGTLEATIRGKDYPVNDDKVTIATTLLSFDNETVIGGSVQSDFQIWRGTKHCLNASINSKGPMQISFKTSSSKHVQIALLALVTLFQGLFMRRLR >DRNTG_08523.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28752860:28760789:-1 gene:DRNTG_08523 transcript:DRNTG_08523.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 90, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20300) UniProtKB/Swiss-Prot;Acc:Q6S5G3] MKNLVDWVSSQLMSKFLVSARSFNSYDEDLLCEEPNDQGARNTTNEISTTAPATNDTQLTESSESELVVPDISNAEALQIKLLRFVQRIGQSPNNLVVAQTFYRLHLANLIQSAECGVQRKVLTFDQVKAIAARQEESGQPDLLFSVKILVLGKTGVGKSATINSLFDETRSVTNAFRRGTDEIQEIVGTIKGIKFTVIDTPGLSPFNGNPRRNRKILMAVKKFIRKSPPDVVLYFERLNFITGGYGDYSLLKLITEVFGSSMWFNTILVMTHASSPPPEPDGYPMSYEGYVGRSKNIVQHFIHQAMSSEKLVNPVVYVENHQFCETNAKGEKILPNGLAWKSQLLLLCITSKILVDANSLLKFQDSFQLSQTGGALLSLPHFLSSFLQRHPLSNSNGIEDDDFTNFLDQEDEDDYDQLPPIRILTKAQFNKLSKAQKDAYLEELNYREDLYLRKQWKAMARQHKETMLRKKNNDTIQKNDHDDDNDASLVEAYEIEDATIPLSFDSDSPVYRYRFCVIGNEQWVIKPVCDSQGWDHDIGYDGVYWDGSIHIKSNFQATFAGQMNKEKDDFRINSECTAKFKHPNGATMLAGIDIQPFSKDLLCTIRGDLEFKNFCCNKTGGSFAVSSLGKTYFTRAKLEDSISIGRRLKVRMDAGCARGYGQMAYGGTLEATIRGKDYPVNDDKVTIATTLLSFDNETVIGGSVQSDFQIWRGTKHCLNASINSKGPMQISFKTSSSKHVQIALLALVTLFQGLFMRRLR >DRNTG_08523.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28752860:28760736:-1 gene:DRNTG_08523 transcript:DRNTG_08523.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 90, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20300) UniProtKB/Swiss-Prot;Acc:Q6S5G3] MKNLVDWVSSQLMSKFLVSARSFNSYDEDLLCEEPNDQGARNTTNEISTTAPATNDTQLTESSESELVVPDISNAEALQIKLLRFVQRIGQSPNNLVVAQTFYRLHLANLIQSAECGVQRKVLTFDQVKAIAARQEESGQPDLLFSVKILVLGKTGVGKSATINSLFDETRSVTNAFRRGTDEIQEIVGTIKGIKFTVIDTPGLSPFNGNPRRNRKILMAVKKFIRKSPPDVVLYFERLNFITGGYGDYSLLKLITEVFGSSMWFNTILVMTHASSPPPEPDGYPMSYEGYVGRSKNIVQHFIHQAMSSEKLVNPVVYVENHQFCETNAKGEKILPNGLAWKSQLLLLCITSKILVDANSLLKFQDSFQLSQTGGALLSLPHFLSSFLQRHPLSNSNGIEDDDFTNFLDQEDEDDYDQLPPIRILTKAQFNKLSKAQKDAYLEELNYREDLYLRKQWKAMARQHKETMLRKKNNDTIQKNDHDDDNDASLVEAYEIEDATIPLSFDSDSPVYRYRFCVIGNEQWVIKPVCDSQGWDHDIGYDGVYWDGSIHIKSNFQATFAGQMNKEKDDFRINSECTAKFKHPNGATMLAGIDIQPFSKDLLCTIRGDLEFKNFCCNKTGGSFAVSSLGKTYFTRAKLEDSISIGRRLKVRMDAGCARGYGQMAYGGTLEATIRGKDYPVNDDKVTIATTLLSFDNETVIGGSVQSDFQIWRGTKHCLNASINSKGPMQISFKTSSSKHVQIALLALVTLFQGLFMRRLR >DRNTG_08523.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28752860:28760736:-1 gene:DRNTG_08523 transcript:DRNTG_08523.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 90, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20300) UniProtKB/Swiss-Prot;Acc:Q6S5G3] MKNLVDWVSSQLMSKFLVSARSFNSYDEDLLCEEPNDQGARNTTNEISTTAPATNDTQLTESSESELVVPDISNAEALQIKLLRFVQRIGQSPNNLVVAQTFYRLHLANLIQSAECGVQRKVLTFDQVKAIAARQEESGQPDLLFSVKILVLGKTGVGKSATINSLFDETRSVTNAFRRGTDEIQEIVGTIKGIKFTVIDTPGLSPFNGNPRRNRKILMAVKKFIRKSPPDVVLYFERLNFITGGYGDYSLLKLITEVFGSSMWFNTILVMTHASSPPPEPDGYPMSYEGYVGRSKNIVQHFIHQAMSSEKLVNPVVYVENHQFCETNAKGEKILPNGLAWKSQLLLLCITSKILVDANSLLKFQDSFQLSQTGGALLSLPHFLSSFLQRHPLSNSNGIEDDDFTNFLDQEDEDDYDQLPPIRILTKAQFNKLSKAQKDAYLEELNYREDLYLRKQWKAMARQHKETMLRKKNNDTIQKNDHDDDNDASLVEAYEIEDATIPLSFDSDSPVYRYRFCVIGNEQWVIKPVCDSQGWDHDIGYDGVYWDGSIHIKSNFQATFAGQMNKEKDDFRINSECTAKFKHPNGATMLAGIDIQPFSKDLLCTIRGDLEFKNFCCNKTGGSFAVSSLGKTYFTRAKLEDSISIGRRLKVRMDAGCARGYGQMAYGGTLEATIRGKDYPVNDDKVTIATTLLSFDNETVIGGSVQSDFQIWRGTKHCLNASINSKGPMQISFKTSSSKHVQIALLALVTLFQGLFMRRLR >DRNTG_01716.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23606114:23607366:-1 gene:DRNTG_01716 transcript:DRNTG_01716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSKGRAIASSLGKRFANRIWASTSRDQAPLPSSFALASRRGAHVSSYEKNYDDQVHPVVVPDDVIGSRSDKYWGPHPKTGVFGPSEQQLAAGDSASSATTSSGASSVLNETHWFRPLEDVDKPPHN >DRNTG_25768.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21172153:21172450:-1 gene:DRNTG_25768 transcript:DRNTG_25768.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWWTHLWLNEGFATWVSYLAADAFFPEWNIWALFLEQTAGGLKLDSL >DRNTG_23465.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:24568418:24571899:1 gene:DRNTG_23465 transcript:DRNTG_23465.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHKEVDFGRMRNMMTSPFALLHISEVNQVVLKELSGKLTHYSFFGINFASYGRREHFCFQAEKFDEEANSKTLKQKTSCMLNELIFDHCHDCMTRGSSSSPLANPDLEIQRNF >DRNTG_18656.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16035121:16035971:1 gene:DRNTG_18656 transcript:DRNTG_18656.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGYTYILTHPGIPSIFYDHLFDWGLKEQITKLATIRIRNGITPRSSVRIITAENDLYLAAIDEKIIAKIGSRYDVGNLVPSNFQIATSGNDYCVWEKT >DRNTG_23698.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:634277:635544:-1 gene:DRNTG_23698 transcript:DRNTG_23698.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVISGDDAETIISLKQQLHTEFQMKDLGPLRYFLGLEIAYSRRGYLLSQQKYISDIFRRADITDIRTASTPIELHHRLSSSDGELLTDPTRYRALVGALVYLTITRPDIAYAVRVLSQFVSAPRSTHYAALLRVLRYLRGTLTRSLFFSATSSFELRAYCDADWAGDSTDRKSTTGFCIFLGDSLISWKSKKQTTVALSTAEAEYGAMSSTAKEIIWLRHILVDFGVSLSTPTPIYCDNQSAIKIAANPVFHERTKHLEVALHFVRHHYDAGTILLPYVASAYQLADLFTKAHTVSRFQFLIDKLSVYDPP >DRNTG_23698.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:634254:635525:-1 gene:DRNTG_23698 transcript:DRNTG_23698.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVISGDDAETIISLKQQLHTEFQMKDLGPLRYFLGLEIAYSRRGYLLSQQKYISDIFRRADITDIRTASTPIELHHRLSSSDGELLTDPTRYRALVGALVYLTITRPDIAYAVRVLSQFVSAPRSTHYAALLRVLRYLRGTLTRSLFFSATSSFELRAYCDADWAGDSTDRKSTTGFCIFLGDSLISWKSKKQTTVALSTAEAEYGAMSSTAKEIIWLRHILVDFGVSLSTPTPIYCDNQSAIKIAANPVFHERTKHLEVALHFVRHHYDAGTILLPYVASAYQLADLFTKAHTVSRFQFLIDKLSVYDPP >DRNTG_23698.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:634254:635544:-1 gene:DRNTG_23698 transcript:DRNTG_23698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISGDDAETIISLKQQLHTEFQMKDLGPLRYFLGLEIAYSRRGYLLSQQKYISDIFRRADITDIRTASTPIELHHRLSSSDGELLTDPTRYRALVGALVYLTITRPDIAYAVRVLSQFVSAPRSTHYAALLRVLRYLRGTLTRSLFFSATSSFELRAYCDADWAGDSTDRKSTTGFCIFLGDSLISWKSKKQTTVALSTAEAEYGAMSSTAKEIIWLRHILVDFGVSLSTPTPIYCDNQSAIKIAANPVFHERTKHLEVALHFVRHHYDAGTILLPYVASAYQLADLFTKAHTVSRFQFLIDKLSVYDPP >DRNTG_23698.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:634277:635525:-1 gene:DRNTG_23698 transcript:DRNTG_23698.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVISGDDAETIISLKQQLHTEFQMKDLGPLRYFLGLEIAYSRRGYLLSQQKYISDIFRRADITDIRTASTPIELHHRLSSSDGELLTDPTRYRALVGALVYLTITRPDIAYAVRVLSQFVSAPRSTHYAALLRVLRYLRGTLTRSLFFSATSSFELRAYCDADWAGDSTDRKSTTGFCIFLGDSLISWKSKKQTTVALSTAEAEYGAMSSTAKEIIWLRHILVDFGVSLSTPTPIYCDNQSAIKIAANPVFHERTKHLEVALHFVRHHYDAGTILLPYVASAYQLADLFTKAHTVSRFQFLIDKLSVYDPP >DRNTG_16727.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000845.1:8767:10040:1 gene:DRNTG_16727 transcript:DRNTG_16727.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTNLLVFKLAQLFGVAQDTSILRDLQQGNEFIDEVEDLVSVDGWRALGYHYSLSITNFSVWLGIYEEVFIDTEEYSQLLTDYPRALTPQRAYRASCGQCQLVNSHGDSTAVLSRQE >DRNTG_23548.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22405729:22406708:1 gene:DRNTG_23548 transcript:DRNTG_23548.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWHALTSGEPPGNINLVFFDIIAWLDERSCADTATEQSSETELKVKHDQQQESSVPQGSNRFLEN >DRNTG_23548.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22404969:22406708:1 gene:DRNTG_23548 transcript:DRNTG_23548.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFICHGYAMECSISMRGTGTRLAEAGYAVYGIDYEGHGKSSGLQGYIPSFDNLVNDCSNYFISICEKPENKKRRRFLLGESMGGAVLLLLHRKEPSFWDGAILVAPMCKLAEEIKPHPIVIKILKKLCNIIPTWKVTPTQDIIDIAFKSPEFRQEVRCNPYCYKGRPRLKTADELLTVSLDIEHNLHRVTLPFLVVHGGDDIVTDPSVSKLLYELAGSEDKTFKLYSGMWHALTSGEPPGNINLVFFDIIAWLDERSCADTATEQSSETELKVKHDQQQESSVPQGSNRFLEN >DRNTG_23548.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22404850:22406708:1 gene:DRNTG_23548 transcript:DRNTG_23548.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFICHGYAMECSISMRGTGTRLAEAGYAVYGIDYEGHGKSSGLQGYIPSFDNLVNDCSNYFISICEKPENKKRRRFLLGESMGGAVLLLLHRKEPSFWDGAILVAPMCKLAEEIKPHPIVIKILKKLCNIIPTWKVTPTQDIIDIAFKSPEFRQEVRCNPYCYKGRPRLKTADELLTVSLDIEHNLHRVTLPFLVVHGGDDIVTDPSVSKLLYELAGSEDKTFKLYSGMWHALTSGEPPGNINLVFFDIIAWLDERSCADTATEQSSETELKVKHDQQQESSVPQGSNRFLEN >DRNTG_23548.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22404679:22406708:1 gene:DRNTG_23548 transcript:DRNTG_23548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSSEIFIFEEEFIFNPRGVKLFTCRWLPKTKEPKALMFICHGYAMECSISMRGTGTRLAEAGYAVYGIDYEGHGKSSGLQGYIPSFDNLVNDCSNYFISICEKPENKKRRRFLLGESMGGAVLLLLHRKEPSFWDGAILVAPMCKLAEEIKPHPIVIKILKKLCNIIPTWKVTPTQDIIDIAFKSPEFRQEVRCNPYCYKGRPRLKTADELLTVSLDIEHNLHRVTLPFLVVHGGDDIVTDPSVSKLLYELAGSEDKTFKLYSGMWHALTSGEPPGNINLVFFDIIAWLDERSCADTATEQSSETELKVKHDQQQESSVPQGSNRFLEN >DRNTG_32780.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3135910:3137003:1 gene:DRNTG_32780 transcript:DRNTG_32780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSSFEFDRSYASFGSLNSIQFKVVGHHHSLSVTQFSILLGLYEEAFIDTEEYAQLPTDYPGTLTPRRAYRVLCGQGQYELGVSKATCLSRLAYRYLHAIMSRSVNGRGDSTSVLSRQELLYLYSMVQRVPIHLRHIMAEYIRHQEHYARLGAIFSGPYITRLVLGMGLLVSIRGAEKMRVFALVLPAPEIAEDEGDEARASQPAPEPQPAPMETEAPPVAEEPSPVRMFSPSRAYDRFERLESALGVIQTEVAEARAEIVEIRGSRSHSIHVVHGTLST >DRNTG_26142.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20020509:20026663:1 gene:DRNTG_26142 transcript:DRNTG_26142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIPWERLPLGYRFRPTDEELINHYLKRKINGSINSDSDVVIPEIDVCKCEPWDLPDRSLIRSDDAEWFFFSPKDRKYPSGNRANRATIAGYWKATGKDRIIRSRNRSLIGTKKTLVFYEGRAPKGVRTHWIMHEYRTTEPEFETGDQGGFVLYRLFDKSDERNSSPSEIEGSGQSALPASSSPGNTLNETEEFSTPPDQEIPSNLLDDQQHLAYRSGCSASLKQGESVCTSNAASKLVDHETNVAGESEDQVVENLLKYFNPDNEQPYLDGFTAIISPMQTCKDSPILSEMNQELCKELFPNDIAEQESNEFRGTILNGQEGQSSQRRGEADLERFHRQFLTPKDTLPEAPAFAAMPLPDVVENEDSFFNFYEMEQEYPSLAFLGVSGTADDHLEIENTMMVTTQQSQPGIPAEQSSNYDYLIDNKVGLGSIASTGIRINPRPPMPESSYSTAQQGTTSRRLRLETTLTRRNSGNQSTGMRINPRPTMPESLYSQQGSTSGRLRLQTTPTRSNPSVRPYQRGGSISSAGSSVSVTHANLKWLMFIVLLMSGFVFYWYLSSVFFVY >DRNTG_03897.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18935993:18939206:-1 gene:DRNTG_03897 transcript:DRNTG_03897.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNSELPLVLLVRPLFPAFENSLRQHFRFLQPWESPLPTHEFLSANASSIRALLCSGPAPVDDATLACLPGLQCVVATSAGVDHIDMDECRRRGIQVTNAGDVFSDDAADYAVGFLIDVLRRISASDRFVRSGLWPIRGDYPLGFKVTSCFFLSVHLLFFF >DRNTG_03897.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18935993:18939206:-1 gene:DRNTG_03897 transcript:DRNTG_03897.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNSELPLVLLVRPLFPAFENSLRQHFRFLQPWESPLPTHEFLSANASSIRALLCSGPAPVDDATLACLPGLQCVVATSAGVDHIDMDECRRRGIQVTNAGDVFSDDAADYAVGFLIDVLRRISASDRFVRSGLWPIRGDYPLGFKLGGKRVGIIGLGSIGSRVAKRLEAFGCTILYHSRTTRPSLAYKYFSNVCDLAAESDVLIVTCALTRETHHLINKDVLLALGKDGVVVNIGRGALIDEKELVKCLREGVIGGAGLDVFENEPNVPKELFAMDNVVLSHHRAIFTPESFSGLLQLVIDNLKAFFDGRPLLSPVSA >DRNTG_19776.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17629123:17631750:1 gene:DRNTG_19776 transcript:DRNTG_19776.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISMACSTLLAFILLVSIFHVSEAEFVDKTTILFSKNGSTSWKNNNDSIPSVEFIDGTTVRLILLYGPGGVPKVERILGHGCGFVCSPSWNGLCQFAVVLVESSDSELVNIRTPHQIIWSANRDNLVGENATLEFINGSLILKNSNGNGVWSSKTSSLNVVGMKVADYGPNLVLFNKQNKSVWETFNHPTDTLLSSQFLRKGQSLTSSPNFINSSTGLFSLSINHNLLTAYVHAEAPIPYLVLGDFSNQSTPEDSLSFVTLQNGHLVLGFKIWKEVYCVALDETKWLPASDFMRLDSDGSLRIYSWQWLQGWMVVYDFMESRDPCQLPLRCGNYGVCRKGQCSCPKSLDGGAYFSPYDDQFPQLGCAQVGIFTDNFKLVDFGSLSYFAYSDPESAVHGIQKLDDCKEACLRNSSCEAVFFLYSDSDVFNGLCYLPSIVLSIQAEPVEDPKGASFVVAPAPASDSSLAILSSRTSASYLKVRVALSGSPGPSSTAVPPSLPTLPSSARKSKKINVFVVFVAVAPAVSVFAVIFVVLLWRTRRRRRHNNDDEFIEQVPGMPVRFSYKELCIATAEFSQTIGRGGFGTVFRGVLNDGTLVAVKRLERDGQGMDEFLAEVKTIGSIHHINLVQLIGFCAEKSHRLLVYEFMTNGSLDTWIFGKRKQEIDWITKVKIITGIAKGLAYLHEECRQKIAHLDVKPHNVLLDDKFNAKVSDFGLAKVIDRDRSQITATVRGTLGYLAPEWQHSEHITVKADIYSFGIVLLEILCCRKNLDYGQNDSRIRLLSLLKRKADENQLISIVHWPKREFYCDEEEEEEEEEEEEALKLIRLGLWCLNDDYKKRPSMSTVVKFLEGAVELDDQMSFSLLQTMVPSILY >DRNTG_09666.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:20425:20688:-1 gene:DRNTG_09666 transcript:DRNTG_09666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLRKQIPHSLFTHTHNEPQRERERDRDRDRMRISEFIGSDP >DRNTG_29203.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3159130:3160816:-1 gene:DRNTG_29203 transcript:DRNTG_29203.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQEVQSELHTLEIKTTPSRFKRVCVFCGSSPGKKPSYQLAAIQLGHELVERSIDLVYGGGSIGLMGLVSQAVFHGGRHVIGVIPKTLMPREITGETVGEVRPVAGMHQRKAEMSRHADAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVDEGFIAPAARHIIVSAPTAHELLFKLEEYVPKHEEGAPKLSWEMEQLCQSPKSDISR >DRNTG_29203.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3159130:3161025:-1 gene:DRNTG_29203 transcript:DRNTG_29203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQEVQSELHTLEIKTTPSRFKRVCVFCGSSPGKKPSYQLAAIQLGHELVERSIDLVYGGGSIGLMGLVSQAVFHGGRHVIGVIPKTLMPREITGETVGEVRPVAGMHQRKAEMSRHADAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVDEGFIAPAARHIIVSAPTAHELLFKLEEYVPKHEEGAPKLSWEMEQLCQSPKSDISR >DRNTG_08269.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17555525:17556063:1 gene:DRNTG_08269 transcript:DRNTG_08269.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESCLDTWAFSPAFARENAALTRALQISLSDERPPELPPVIMVQPGPEAELGEKQNRGPDRFSPTGRVGKRKGRVARRASTTYITADPANFREMVQRMTGVQFGEPSVPVQMSGRARLNRGVEEEQQRQLWGLPSTLDTSSVHLVNRVEMVEPEGEVGPGFELEPVVSFPTLESGGFS >DRNTG_10140.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19179318:19181168:-1 gene:DRNTG_10140 transcript:DRNTG_10140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANNNTATKVRFVRCPKCLKLLAEFSHIPVYRCGSCGMVLKAKNISPLGQNQSQNQNLVEESIDNSVELNDSENRESLEIERIGVNGGIKGEELIEDPRVTIGSSEEDCNVGSGSFSSDNPSNGVPNKYRLMSKRTFRNGSKRDTGSISNLSISRMNNPSFDSEDFHSVQNLTEVNVDRQPSVKCQKIGTSTEFNSLEFDHVELMKKVDEIRDKVDILIDKAVNKERKWNDKSDRKSKSLQQVVQHVHCINCPPREIKHFCSHHRNACCHHSKEQNSRQHKTERKEEDDEHKKLNLKLKKPANSKVYCLPVEKGAPFVVCSECMNVLHLPPDFLVSKKRWHKLQCGACSKILVFTFRARAREGSSSAAEAQHPPTEASASNSNISYTEEHQEFFLDKSYSLETQQFLCMPRNSLEINIERRYSSLHQLMGYDSASELLRVRSYSSDD >DRNTG_10140.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19179318:19180992:-1 gene:DRNTG_10140 transcript:DRNTG_10140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANNNTATKVRFVRCPKCLKLLAEFSHIPVYRCGSCGMVLKAKNISPLGQNQSQNQNLVEESIDNSVELNDSENRESLEIERIGVNGGIKGEELIEDPRVTIGSSEEDCNVGSGSFSSDNPSNGVPNKYRLMSKRTFRNGSKRDTGSISNLSISRMNNPSFDSEDFHSVQNLTEVNVDRQPSVKCQKIGTSTEFNSLEFDHVELMKKVDEIRDKVDILIDKAVNKERKWNDKSDRKSKSLQQVVQHVHCINCPPREIKHFCSHHRNACCHHSKEQNSRQHKTERKEEDDEHKKLNLKLKKPANSKVYCLPVEKGAPFVVCSECMNVLHLPPDFLVSKKRWHKLQCGACSKILVFTFRARAREGSSSAAEAQHPPTEASASNSNISYTEEHQEFFLDKSYSLETQQFLCMPRNSLEINIERRYSSLHQLMGYDSASELLRVRSYSSDD >DRNTG_10140.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19179260:19181119:-1 gene:DRNTG_10140 transcript:DRNTG_10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNNTATKVRFVRCPKCLKLLAEFSHIPVYRCGSCGMVLKAKNISPLGQNQSQNQNLVEESIDNSVELNDSENRESLEIERIGVNGGIKGEELIEDPRVTIGSSEEDCNVGSGSFSSDNPSNGVPNKYRLMSKRTFRNGSKRDTGSISNLSISRMNNPSFDSEDFHSVQNLTEVNVDRQPSVKCQKIGTSTEFNSLEFDHVELMKKVDEIRDKVDILIDKAVNKERKWNDKSDRKSKSLQQVVQHVHCINCPPREIKHFCSHHRNACCHHSKEQNSRQHKTERKEEDDEHKKLNLKLKKPANSKVYCLPVEKGAPFVVCSECMNVLHLPPDFLVSKKRWHKLQCGACSKILVFTFRARAREGSSSAAEAQHPPTEASASNSNISYTEEHQEFFLDKSYSLETQQFLCMPRNSLEINIERRYSSLHQLMGYDSASELLRVRSYSSDD >DRNTG_10140.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19179400:19181119:-1 gene:DRNTG_10140 transcript:DRNTG_10140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANNNTATKVRFVRCPKCLKLLAEFSHIPVYRCGSCGMVLKAKNISPLGQNQSQNQNLVEESIDNSVELNDSENRESLEIERIGVNGGIKGEELIEDPRVTIGSSEEDCNVGSGSFSSDNPSNGVPNKYRLMSKRTFRNGSKRDTGSISNLSISRMNNPSFDSEDFHSVQNLTEVNVDRQPSVKCQKIGTSTEFNSLEFDHVELMKKVDEIRDKVDILIDKAVNKERKWNDKSDRKSKSLQQVVQHVHCINCPPREIKHFCSHHRNACCHHSKEQNSRQHKTERKEEDDEHKKLNLKLKKPANSKVYCLPVEKGAPFVVCSECMNVLHLPPDFLVSKKRWHKLQCGACSKILVFTFRARAREGSSSAAEAQHPPTEASASNSNISYTEEHQEFFLDKSYSLETQQFLCMPRNSLEINIERRYSSLHQLMGYDSASELLRVRSYSSDD >DRNTG_10140.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19179400:19180992:-1 gene:DRNTG_10140 transcript:DRNTG_10140.5 gene_biotype:protein_coding transcript_biotype:protein_coding MANNNTATKVRFVRCPKCLKLLAEFSHIPVYRCGSCGMVLKAKNISPLGQNQSQNQNLVEESIDNSVELNDSENRESLEIERIGVNGGIKGEELIEDPRVTIGSSEEDCNVGSGSFSSDNPSNGVPNKYRLMSKRTFRNGSKRDTGSISNLSISRMNNPSFDSEDFHSVQNLTEVNVDRQPSVKCQKIGTSTEFNSLEFDHVELMKKVDEIRDKVDILIDKAVNKERKWNDKSDRKSKSLQQVVQHVHCINCPPREIKHFCSHHRNACCHHSKEQNSRQHKTERKEEDDEHKKLNLKLKKPANSKVYCLPVEKGAPFVVCSECMNVLHLPPDFLVSKKRWHKLQCGACSKILVFTFRARAREGSSSAAEAQHPPTEASASNSNISYTEEHQEFFLDKSYSLETQQFLCMPRNSLEINIERRYSSLHQLMGYDSASELLRVRSYSSDD >DRNTG_35205.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7926040:7927432:1 gene:DRNTG_35205 transcript:DRNTG_35205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPCSVCGNYPSFSDGEKCEVCGYPRSGYRTTAENQQSVSRITNTSCVAQDNLNQRFMQLALEETQTKPTSVTTQSSTGSSSR >DRNTG_05220.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6620176:6623893:1 gene:DRNTG_05220 transcript:DRNTG_05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLEKALTKFIQSSGTRFQSVEAILRNHTALLHNLENQEMLCSDPMEGWLDQEVENEEILSLDQEDKVKPTPRVMKKMIQKLQRTRRRHKKRPNTSGDEQLPSKGACGTLRDFFLGEQRSHKGVWVPLRLEAIIVHSRAGYPYAHFGEEYERAPRRLPL >DRNTG_35037.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:13732814:13738367:1 gene:DRNTG_35037 transcript:DRNTG_35037.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSPSLSSSSSSEDSEISDSELDLYEQKYLHMLKTTNPRIKNPDGTLRCPFCAGKKKQGFIYKDLLQHATGIGASSARAPKLRATHLAFARFLQTELSDAAGPSAHLPPPKPPRRSDDDRFAWPWTGILVNVPINEESRMELERRLAGFSPVSMLPLRNVSDQENESSMGSVVVEFSKSWSGLKDAMAFENQMNASRFGKRDWEENGDRKEGFYGWIARGDDYESESRVGEYLRAHTELRTIPDVAKEESRNSGKLVAILSDEIEAKNENLRNLECKYNEIAMARQRVMEDKDKIHQAYNEEMRNMQRIARETARRIFEENVKLRLELDSKRKEVDLRCKQLDKFEAKSDGEKNILEDEKQKTAMENSSLELASMEQKKAEEDVMKLAEDHKKEKEAALAKILQLEKQIDQKQQLELEIEQLKGRLRVMKHLAEEEDLDLQERVDALNQKLEDEKECLENLNGALVSKERESNFELQEARKELITGLDDLLNGRSVIGIKRMGELDEKPFQVACKKRYSADDADTKAAELCSAWQEELKQPSWQPYKIVDVDGVKKEVINEDDEKLKNLWIELGDDVYNAVTTALMEINEYNPSGRYVVPELWSFKDGRKATMMEVIQYIFKQWKSNKRKRH >DRNTG_29111.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10404954:10409626:-1 gene:DRNTG_29111 transcript:DRNTG_29111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEGCLNSYVDRGSMESHRFFLARRTLLEMLFDRGYAVSVTQMAMTLSEFRETYGESPDLDRLRIPASLISKPSNKILVIFCGPEKVKLPFIRGIYNQLIQENLTRLILVLQSKATSKARDAIKEIFRFKVETFQITELLVNITKHVLKPKHDVLIEEEKQALLKKYNVEESQLPRMLLTDAIARYYGLEKGQVVKVTYDGELTGNHVSYRCVM >DRNTG_30492.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:660927:666159:1 gene:DRNTG_30492 transcript:DRNTG_30492.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRYPMQERGLRKQAWVNLVRESLLVMVIMEGFCFSISKIVFAWASLSRRRTLRFRHCLEKNSSSSIRHFISSQSKTRGAARCDGFQARCGVGGGEEVAKALKIIWDYIKQHNLKDPANEKTIARDEKLKKLFGGK >DRNTG_28334.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:116191:119829:1 gene:DRNTG_28334 transcript:DRNTG_28334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGGSEEEEAKMAGRLSSAASRIMGGGGVVGRSLPSAFRTRAGMGLPVGKHIVPDKPLPAHDELIWDNGTPYPEPCVDRLAPTIGKYEALAWLCGGLSFFASIGLLAVWNDKASKIPFAPKVYPYDDLRVELGGEP >DRNTG_30640.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1087007:1087936:-1 gene:DRNTG_30640 transcript:DRNTG_30640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEMSKKFNIPLEFNALSVYGPNVTRDMLDIRPDEALAVNFTLQLHHTPDESVDVNNPRDGLLRMVKGLSPKVTTLVEHESNSNTTPFLTRFMETLDYYSAMFESIDATLARDSKERISVEQHCLAKDIVNMIACEGKERVERYELLGKWRSRLTMAGFKPYPLSSYVNSVIRTLLACYSDKYTLVEKDGAMLLGWKNRSLISASAWH >DRNTG_26044.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9874400:9875965:1 gene:DRNTG_26044 transcript:DRNTG_26044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRYTLFLFFLCFLSTCNCLNKDPIPCNVSSSPSSSSCMAALYYVPDKPKTIKETAALFGVPASAAGGTDNGFLVTVTCSCLADHQEFIWHTNYKVQTGDTWNLLSEKFGMMVVEKQDKQLIASMDVMLDILCGCVTGFELVTYNIKPGDTLYTISLKFKVDMEEIRRFNNITHGSSLIFAGDTIFIPTSENNISRLIVHDEKEDEESYKKVEKRTSKLKKQVVLMISLAVGVIFVSISTIFWVYYEKRQRQEQKIYSKNSSLPHNFPSEDSRQSSLSSFPSSIRATVFPYSEVCKAKSNFNLSKKVGQGSYGSVYHGNLRGFDVAIKQMKNTKSKEFFAELNVLCKVHHSNLVELIGYSAGAESLFLVYEFAVNGTLSDHLHNPSEKGTF >DRNTG_04510.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19004578:19014712:-1 gene:DRNTG_04510 transcript:DRNTG_04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVIFARRSFKDSLKVLEADIQHANTLASDFPREYDGACLQMRMSYSPAAHFFLFLVQWTDCNLAGALGLLRILIYKVYIDGTTTMSTHERKASIREFYAVIFPSLMQLQKGITDNEDYKQKVVCMERYRRRDDDGRKHFSEIDVEREEECGICMEINSKIVLPNCNHAMCIKCYHEWNTRSQSCPFCRDSLKRVNSADLWVYTDSKDIVDTTTITRENVKRIFIYVDKLPLITPEDFIHAYDCHVK >DRNTG_05385.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13353400:13353931:-1 gene:DRNTG_05385 transcript:DRNTG_05385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMENAGRMTIPLTQGRPNAPPPMMMKLSLEVQALDLSKPQAKNTLQNDVFLSPLLPKCWLIEPVCLQTNIFFYS >DRNTG_34932.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:18400920:18413175:-1 gene:DRNTG_34932 transcript:DRNTG_34932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAWILGLQAWAEAEAEFDVADAPSLFEDNRESCPGSMSMSGGKGKVMLLPCGMKVGTAVTVVGTPRLAHQEYVARGGDRTVMVSQFAVELQGLRAVDGEEPPKILHFNPRLQGDWSWRPVIEHNTGYRMQWGKAMRCDGIASKDDDDTVDGFRKCERWVRSDYVEPEESKTSSWLKRFIGRAKRPEITWHFPFEEEKLFVITLQAGLEGFHIFVGGRHVSSFPYRTGFTLEDATGLVVKGDVDIHSTYATLLPRSHPSISPLQALQMTEEWKSLPLPESPINLFIGVLSSTNHFAERMAIRKTWMQSPEIKSGNVVARFFVALNPRKEVNIVLKKEADYFGDIVILHFMDHYELVVLKTIAICHYAIQNLTAAYIMKCDDDTFIRLDAVLNEIRGLALQRPLYMGNLNLFHRPLRSGKWAVSYEEWPEEIYPPYANGPGYIITADIAKFVASQYVNESLRLFKMEDVSMGMWVGQFNSTSTVHYYHNWKFVQFGCADNYFTAHYQSPKQMLCLWDKLGRHQAECCNF >DRNTG_34932.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:18402727:18413175:-1 gene:DRNTG_34932 transcript:DRNTG_34932.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAWILGLQAWAEAEAEFDVADAPSLFEDNRESCPGSMSMSGGKGKVMLLPCGMKVGTAVTVVGTPRLAHQEYVARGGDRTVMVSQFAVELQGLRAVDGEEPPKILHFNPRLQGDWSWRPVIEHNTGYRMQWGKAMRCDGIASKDDDDTVDGFRKCERWVRSDYVEPEESKTSSWLKRFIGRAKRPEITWHFPFEEEKLFVITLQAGLEGFHIFVGGRHVSSFPYRTGFTLEDATGLVVKGDVDIHSTYATLLPRSHPSISPLQALQMTEEWKSLPLPESPINLFIGVLSSTNHFAERMAIRKTWMQSPEIKSGNVVARFFVALNPRKEVNIVLKKEADYFGDIVILHFMDHYELVVLKTIAICHYAIQNLTAAYIMKCDDDTFIRLDAVLNEIRGLALQRPLYMGNLNLFHRPLRSGKWAVSYE >DRNTG_34430.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21224201:21226199:1 gene:DRNTG_34430 transcript:DRNTG_34430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKTWGITVLLLWLLTFASLVASIIIIATDNVDFGGGAKLHFKDIYAYRYILSVAVIGATYALIQILFASYYVQNGNHLVRDDQAVLLLHFADLVIALLLATGVGVGYGFTVEQKRFSGDGNNEIDKFLDKALISTSFILLATLCLGALILIKK >DRNTG_10579.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8645084:8652720:-1 gene:DRNTG_10579 transcript:DRNTG_10579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLHPNSINKQEPNKQTTTRITYL >DRNTG_28936.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22150390:22153365:1 gene:DRNTG_28936 transcript:DRNTG_28936.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQNGDNQEGVRVMGFEVPRSPDTSYCNPIPGNEDEARDPPIVPPHLQHPLLIFPANQDASNSLPLPNNVTLNHLYIENRERPSSVVALGITHRYRSKFVTVVLYKPIRRRGA >DRNTG_24803.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31905246:31908381:1 gene:DRNTG_24803 transcript:DRNTG_24803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICAASLNARCGAEQTQTVTRQSSTITIAPIQGKEKSPELDDGGTGFPPRDDDDGGGGGGGGGGPGWSGGFFFFGFLAFLGMLKDKESEGPYRDTSRR >DRNTG_23746.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21048979:21050587:1 gene:DRNTG_23746 transcript:DRNTG_23746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSCINLLTMIPNSELMKFQEAATNSLTSAHHLFNLISMQTTNSNNHELSLIAHTAISQFKSLVSMLDHTSSCSMSKFKRIRKGPLPNFQDVDIHQFMDSRIQILQRPLVVRNPVIQPFNVCLNNKQQLLNNYFYCYSSMSAQQSSGGESSFLSSKKRNGGLKCTVSAGGCHCSKRRQERIRRTIKVSAVGGKFADLPTDDFSWRKYGQKPIKGSPHPRSYYKCSSMRGCPARKHVERCSNDANMLNVTYEGDHNHPKLNADGPNIVVQQ >DRNTG_15856.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25223832:25229719:-1 gene:DRNTG_15856 transcript:DRNTG_15856.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQTMASDGGGGSGAQQSQIQNMVRQGSQQSQIQNLARQGSLYNLTLNEVQSHLGEPLSSMNLDELLKSVFPGEINPVLPMEIEGTGGNYASGSGLQSQGSITMSRALSKKTVDEVWKEIQDGRSKNNEEHGVAGQERQPTFGEITLEDFLEKAGIIAEGSTKKRNDPVGNVDPMSGVHDFTQGAHWLPQYHQMPAVPQPQTHQNLMGAYAMYADAQMNLASPTIGALSDSQTPGRKRGAQDELTDKIVERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENERLKKQKELNKLLSVPPPEPRYQLRRTSSASF >DRNTG_15856.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25223832:25229719:-1 gene:DRNTG_15856 transcript:DRNTG_15856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQTMASDGGGGSGAQQSQIQNMVRQGSQQSQIQNLARQGSLYNLTLNEVQSHLGEPLSSMNLDELLKSVFPGEINPVLPMEIEGTGGNYASGSGLQSQGSITMSRALSKKTVDEVWKEIQDGRSKNNEEHGVAGQERQPTFGEITLEDFLEKAGIIAEGSTKKRNDPVGNVDPMSGVHDFTQGAHWLPQYHQMPAVPQPQTHQNLMGAYAMYADAQMNLASPTIGALSDSQTPGRKRGAQDELTDKIVERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENERLKKQKELNKLLSVPPPEPRYQLRRTSSASF >DRNTG_24895.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:347950:352808:1 gene:DRNTG_24895 transcript:DRNTG_24895.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEGPHVEGVDGSKDDLLIEGVLPDSKHSGGPNIEGVEAQISLGDSGLLLEGVDVLDSNAKPNTAPPMGFEWHFLANIWVLDPIIAPKNANLGVGVGDSYASETEDRDDEFASDDSIYEFERSIKELLPGMKEGSSSPTNHAPKGVRKSDRPKKPSSRWTEEAGYVAEPPRSTKKKVTRDDASEAPIDLRVKMEDHIATIKRSLDFAGPRSVGEEATPMNEVDQASGEE >DRNTG_21986.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:825937:832326:-1 gene:DRNTG_21986 transcript:DRNTG_21986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCYSTNEEEQKKLENTLMNLHDEIEEKTEEEDKNRKGKVMIMRAKLKERYTKVKSEIIDLIDEIISQVKEKNNKEDDNNDDVNLQKINCILKIHCEIALKSLKKRLDNKRYVDIKDEYMYNKKNKYYMSWRIFNEVLRNKYNDEIKSSVDRVFELVLHVIGEREFGKERMMEVEKELGKYKEAMSKFVYFVETAIHGGEDIEEVKDKMMEICAEALDLLFEEIAEGGASDEFEVVDDELMVYKDVINEIYGRLGAKEDQEEVEILLDLFDSLEGIIKDSMESHIEAGVSQNRGTKANKGHRSNGQY >DRNTG_26656.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16557923:16563157:-1 gene:DRNTG_26656 transcript:DRNTG_26656.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLVLESLQSWANFPENTQGRGLTPVGDLVNHTRAWGCATAPVNWACECPRPWGISARVCGRLDIFLGCPGKPQGRASAPIMAPRTKKQADKRPRESSSEPEAMQFAIPEHQARYKRLSRLHFRQTQFLDMTILRDLQQGDEFADEVEDLVSDGGWRQ >DRNTG_12999.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13053367:13054176:-1 gene:DRNTG_12999 transcript:DRNTG_12999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSMTKTPSTSLSRPFFQPKWACGEATCPRGRILVLAKVPRERVVPARAVGQTTRGCGLAARPRGRTARHGSKATRLRGLPTRS >DRNTG_30132.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3196935:3199412:-1 gene:DRNTG_30132 transcript:DRNTG_30132.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfiredoxin [Source:Projected from Arabidopsis thaliana (AT1G31170) UniProtKB/TrEMBL;Acc:F4I7W2] MANFALLRLPLISSHGSSPLRIRASSNGVPFSKPKTGPSILEIPLEKIRRPLMRTRANDPEKVEQLMESIRLIGLQEPIDVLEVDGVYYGFSGCHRYEAHQRLGLPTIRCKIRRGTKETLRHHLR >DRNTG_23386.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1098286:1100515:1 gene:DRNTG_23386 transcript:DRNTG_23386.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSSGGGQSSLDYLFGGNEAPKRATENAPPPVSVPAPPSADNAKQIPAGIQGKTTNNYFRADGQNCGNFITERPSTKVHAAPGGGSSLDYLFGGAGKN >DRNTG_23386.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1098286:1100515:1 gene:DRNTG_23386 transcript:DRNTG_23386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSSGGGQSSLDYLFGGNEAPKRATENAPPPVSVPAPPSADNAKQIPAGIQGKTTNNYFRADGQNCGNFITERPSTKVHAAPGGGSSLDYLFGGAGKN >DRNTG_20665.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20287214:20289555:-1 gene:DRNTG_20665 transcript:DRNTG_20665.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein THYLAKOID ASSEMBLY 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G27750) UniProtKB/Swiss-Prot;Acc:Q9LVW6] MAAAAAVFSAQLVLRFPKRSFNASMPTLSPITCGPRDNRGPMIRGRTLSTEAILAIQALKRAGGDETKIDAIISGPVSRLIKSDLLAALAELQRQDRPRLALKLFLAARRELWYRPDPALYAQMVSALNRNQMSSEIDVLVSDLIEEQEGKDETFVHDIRGVARLVKSLLAAGKGEAVKEMYGLMKRRGCRPDEFLFRLLIRGLRRLGEIDAADEVEKDFKVWFDGGVGAESVPV >DRNTG_20665.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20288650:20289555:-1 gene:DRNTG_20665 transcript:DRNTG_20665.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein THYLAKOID ASSEMBLY 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G27750) UniProtKB/Swiss-Prot;Acc:Q9LVW6] MAAAAAVFSAQLVLRFPKRSFNASMPTLSPITCGPRDNRGPMIRGRTLSTEAILAIQALKRAGGDETKIDAIISGPVSRLIKSDLLAALAELQRQDRPRLALKLFLAARRELWYRPDPALYAQMVSALNRNQMSSEIDVLVSDLIEEQEGKDETFVHDIRGVARLVKSLLAAGKGEAVKEMYGLMKRRGCRPDEFLFRLLIRGLRRLGEIDAADEVEKDFKVWFDGGVGAESVPV >DRNTG_26400.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18128158:18129800:1 gene:DRNTG_26400 transcript:DRNTG_26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLSLRKISISIRMRRRRRRTTTIATDEEASNNNEEDSHASSTPVHELKTNLNPSYKPTWKCFSYEEINHATNGFNQENLVGRGGYAEVYKGVLDDDRNIAVKRLTRASTEEQKVKEFLKELGIVSHVQHPNVSALLGCCIEHELYLIFELSSNGSVSSHLHDKNLAPMAWKVRYKIAVGAARGLHYLHRGCQRKIIHRDIKASNILLTSNFEPQISDFGLARWLPAEQDHCYIAEPIEGTFGCLAPEYFTHGIIDAKTDVFAFGVFLLEIISGRKPVDGAQKSLLSWAKPYLNDGTVEMLVDLRFGDEYDIVELKRLAFAASLCIRTASTWRPSMNEVVELMEDGEIPPTCWTMTEEREEGEDFRGFDYLDEFDTPSSSSVTSS >DRNTG_29538.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001483.1:42086:43471:1 gene:DRNTG_29538 transcript:DRNTG_29538.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYISGAKSVPDEQVRIASTKMDGIGPKKAIQVRYRLGPLRHSTSGSAWSRSLRPPIVSDRLRPSSDCFFLYRFRYRVFPMPPSLPPTHARQRSYYIFSFCSVSVFFSDSEDEPADPNIIYEEPDDEASSSEKKMSPTRPDFNQLFGFLRRVRSGGKKEICCFLSVPSGYPPASTVCLPRKRCGRTRGQSKLGWGIEPPLYSKYAFLGALRSAAQMVSYEVSIGLILIVRLVSTFGSAKEIARMFP >DRNTG_33807.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2833168:2834789:1 gene:DRNTG_33807 transcript:DRNTG_33807.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLESFEPIHGVLLCEGEDIDPSHYETDVSGLSSNELEEIRCLHTSDTAIDKEKDSIELHLAKLCLERNIPYLGICRGSQVLNVACGGTLYQDVEKELSRNGKTDIVFHMDYENYDGHRHRVKVVEGTPLSSWFEESLEKEKMEIEVNSYHHQGVKRLAERFVPMAFASDGLIEGFYDPDAYNPDEGKFIMGLQFHPERMRKGDSDEFDYPGCPKAYQEFVKAVVAYQKKVNGCTKNTKNGLKMDKELEKRRKMIVRSFSMAKNMYACKHHDYDAAGVKEQELEVGAEFLESNTALSVQQEKRLKQMGATVRNASSYIERLKMNEERERVARSVIGKMSIEQLSELLSFYHLMGQICSEVLERKIVAD >DRNTG_33807.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2831765:2834789:1 gene:DRNTG_33807 transcript:DRNTG_33807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSELSMILPRVLIVSRRTVRKNKFVDFVGEYHLDLIVGYGAVPVIVPRVAGVHMLLESFEPIHGVLLCEGEDIDPSHYETDVSGLSSNELEEIRCLHTSDTAIDKEKDSIELHLAKLCLERNIPYLGICRGSQVLNVACGGTLYQDVEKELSRNGKTDIVFHMDYENYDGHRHRVKVVEGTPLSSWFEESLEKEKMEIEVNSYHHQGVKRLAERFVPMAFASDGLIEGFYDPDAYNPDEGKFIMGLQFHPERMRKGDSDEFDYPGCPKAYQEFVKAVVAYQKKVNGCTKNTKNGLKMDKELEKRRKMIVRSFSMAKNMYACKHHDYDAAGVKEQELEVGAEFLESNTALSVQQEKRLKQMGATVRNASSYIERLKMNEERERVARSVIGKMSIEQLSELLSFYHLMGQICSEVLERKIVAD >DRNTG_13223.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2745110:2750153:1 gene:DRNTG_13223 transcript:DRNTG_13223.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable uridine nucleosidase 2 [Source:Projected from Arabidopsis thaliana (AT1G05620) UniProtKB/Swiss-Prot;Acc:Q8LAC4] MGMVEKKKIIIDTDPGVDDAMAIFVALRSPEVEVIGLTTIFGNVYTTLATRNALHLLEFAERTDIPVAEGSHVTINKTTKLRIADFVHGADGLGNQNFPSPKGRQIDQSAPAFLVEQANLNPGKVTLVALGPLTNIALAIQLDPEFSKKIGQIVVLGGAFLVNGNVNPAAEANIFGDPEAADMVFTSGADVLAVGLNVTHQVLLTDADRDTLAQSGRKFGQYMSKILGFYYSYHHDSYGVQGVYLHDPTTLLAAVNPSLLTYTEGVVRVQTTGIMRGLTMFHNSNRRFEELTEWSNKPIVKVAVTVDAAAVVKLVMERLMNS >DRNTG_33976.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2277486:2278209:-1 gene:DRNTG_33976 transcript:DRNTG_33976.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSATLVLGGGLANLRVGKAEGRRRGGAAGAVAVAVAPARRRESFYEVLKVRETATAGEIKMAYRALAKRFHPDVAGEVADFAEINRAYATLSDPGERVKYDAEMRRFGDGDGRRISVGERMFGNFGGFLGCFCK >DRNTG_13124.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19251451:19258273:1 gene:DRNTG_13124 transcript:DRNTG_13124.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRATGTNSATSTTTLKRSSAKSQGTTNVQVFNKSDVVPVIVPRNSFRPEAAPDSRKEATGGRMPAYNGQSKPTDFRKLPNTNIREDPDRESVSTHSVSFCDKNIESNEVRGQDPISSANAASQPLGFERNMADLRFSVVPKTDGDIMMEPRPSYRHENFDIRVHKPRDTNSMEASKAGRTRSLVASWERRDQSPSHEGPTPSTSSDKLAPSNSISYTSRGRTLSSRKEMVSASDEDAIADLIEPHEQFLNAAQSRLTKLQVVHRLWQRNDIRGVINALENMSDHAVSADVLSVLMDKLDIINLDIFTFLIPILTSLMEGKVDRHVGISLEMLLKLVKIFGPVIHSTLSAGPMVGVDIQAEQRLEKCNLCFIELEKVKRRLPSLTRRGGSISKSAQELNLTLQEVI >DRNTG_13124.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19251451:19258273:1 gene:DRNTG_13124 transcript:DRNTG_13124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKRAYKLQEFVAHSSNVNCLKIGRRTSRVLVTGGEDHKVNLWAIGKPNAILSLSGHTSAIESVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCVSVDFHPFGEFFASGSLDTNLKIWDIRRKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNVVKLWDLTAGKLLHEFKCHEGQIQCIDFHPHEFLLATGSADKTVKFWDLETFELIGSAGPETSGVRSITFNPDGRTLLCGLHESLKVFSWEPVRCYDTVDVGWSRLSDLNIHEGKLLGCSYNQSCVGVWVVDISRVEPYAITTSMKSNGHSESKSTTGNSSLQTENSMKTSMGRLSISQNSEPVAKETKSTLSSGSVAGTPQRIGLSMASRATGTNSATSTTTLKRSSAKSQGTTNVQVFNKSDVVPVIVPRNSFRPEAAPDSRKEATGGRMPAYNGQSKPTDFRKLPNTNIREDPDRESVSTHSVSFCDKNIESNEVRGQDPISSANAASQPLGFERNMADLRFSVVPKTDGDIMMEPRPSYRHENFDIRVHKPRDTNSMEASKAGRTRSLVASWERRDQSPSHEGPTPSTSSDKLAPSNSISYTSRGRTLSSRKEMVSASDEDAIADLIEPHEQFLNAAQSRLTKLQVVHRLWQRNDIRGVINALENMSDHAVSADVLSVLMDKLDIINLDIFTFLIPILTSLMEGKVDRHVGISLEMLLKLVKIFGPVIHSTLSAGPMVGVDIQAEQRLEKCNLCFIELEKVKRRLPSLTRRGGSISKSAQELNLTLQEVI >DRNTG_28131.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19531313:19533086:1 gene:DRNTG_28131 transcript:DRNTG_28131.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I reaction center subunit psaK, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G30380) UniProtKB/Swiss-Prot;Acc:Q9SUI5] MASKLASPTAVMASIPQFNGLKAQAPSMVSLPSLQKRGNGGALGARCGFIGSPTNIIMITTTSLMLFAGRFGLAPSANRKATAGLKLEARDSGLQTGDPAGFTLADTLACGTVGHIMGVGTVLGLKSLGVL >DRNTG_31738.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21756133:21756692:-1 gene:DRNTG_31738 transcript:DRNTG_31738.2 gene_biotype:protein_coding transcript_biotype:protein_coding VEAIKKISDYVSQLRRVGKGHGVWHFDQMLLHDEEEEAVA >DRNTG_31738.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21756133:21758045:-1 gene:DRNTG_31738 transcript:DRNTG_31738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFCICKVWHATIMILKCKTFVESEFLGEQVEAIKKISDYVSQLRRVGKGHGVWHFDQMLLHDEEEEAVA >DRNTG_14381.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:444827:451517:1 gene:DRNTG_14381 transcript:DRNTG_14381.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRKSIAKQTGFALRLANHVGTAIAGGSNLAFSPLSLHVVLGLIAAGSNGPTRDQILSLVGSASSDELSSLASQIVSVVLSDASASGGPRVVFSNGVWVDGSVSLKPSFKQIVSGTYKAEAKAVDFQSKADQVAKEVNAWVENVTSGLIKELLPSGSVDHSTRLVFGNALYFKGLWDKKFDATETTDSEFHLLDGSSVQVPFMTSEDKQLLSAHDGFKVLGLPYKKGVDGRQFSMYLFLPDAQDGLWSLLEKLKDLGSLDRYLPARKVAVGEFKIPKFKVSFGFEASEVLKALGLELLFSENCD >DRNTG_14381.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:444827:451517:1 gene:DRNTG_14381 transcript:DRNTG_14381.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRKSIAKQTGFALRLANHVGTAIAGGSNLAFSPLSLHVVLGLIAAGSNGPTRDQILSLVGSASSDELSSLASQIVSVVLSDASASGGPRVVFSNGVWVDGSVSLKPSFKQIVSGTYKAEAKAVDFQSKADQVAKEVNAWVENVTSGLIKELLPSGSVDHSTRLVFGNALYFKGLWDKKFDATETTDSEFHLLDGSSVQVPFMTSEDKQLLSAHNGFKVLGLPYKKGVDGRQFSMYLFLPDAQDGLWSLLEKLKDLGSLDRYLPARKVAVGEFKIPKFKVSFGFEASEVLKALGLELLFSENCD >DRNTG_14381.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:444827:451517:1 gene:DRNTG_14381 transcript:DRNTG_14381.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRKSIAKQTGFALRLANHVGTAIAGGSNLAFSPLSLHVVLGLIAAGSNGPTRDQILSLVGSASSDELSSLASQIVSVVLSDASASGGPRVVFSNGVWVDGSVSLKPSFKQIVSGTYKAEAKAVDFQSKADQVAKEVNAWVENVTSGLIKELLPSGSVDHSTRLVFGNALYFKGLWDKKFDATETTDSEFHLLDGSSVQVPFMTSEDKQLLSAHNGFKVLGLPYKKGVDGRQFSMYLFLPDAQDGLWSLLEKLKDLGSLDRYLPARKVAVGEFKIPKFKVSFGFEASEVLKALGLELLFSENCD >DRNTG_14381.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:444827:447695:1 gene:DRNTG_14381 transcript:DRNTG_14381.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRKSIAKQTGFALRLANHVGTAIAGGSNLAFSPLSLHVVLGLIAAGSNGPTRDQILSLVGSASSDELSSLASQIVSVVLSDASASGGPRVVFSNGVWVDGSVSLKPSFKQIVSGTYKAEAKAVDFQSKADQVAKEVNAWVENVTSGLIKELLPSGSVDHSTRLVFGNALYFKGLWDKKFDATETTDSEFHLLDGSSVQVPFMTSEDKQLLSAHNGFKVLGLPYKKGVDGRQFSMYLFLPDAQDGLWSLLEKLKDLGSLDRYLPARKVAVGEFKIPKFKVSFGFEASEVLKALGLELLFSENCDLSEMVDSPTGRKLYVSSVFHKSFIEVNEEGTEAAAASAAVALRYLSLPLLDFVADHPFTFLIREDMTGVVIFVGHVINPQLV >DRNTG_09815.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26735102:26738642:1 gene:DRNTG_09815 transcript:DRNTG_09815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKWEEEMNRHQLLHRKLPKRIILVRHGESQGNLSMSAYTTTPDHRIPLTLLGLEQARAAGQQIKEAVSKGGQADDWKMYFYVSPYERTRSTLREIGRSFSKRRIIGVREECRVREQDFGNFQVEERMLVIKESRERFGRFFFRFPEGESAADVFDRVASFMESLWRDIDLKRLGQDDSCDINLVIVSHGLTIRVFLMKWFKWTVDQFERLNNPGNCEFRVMQLGPSGDYSLAIHHSTEELVQWGLSPEMIADQQWRATAQRGSWNEDCAGCLSGFFDDLQNSSSSDDIDECKC >DRNTG_12325.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4196465:4198086:-1 gene:DRNTG_12325 transcript:DRNTG_12325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVASSTPKKIPSKFGYTVQNGIGEVYNRKDNIYGRDVDLRAKAFIAFVAWIIWKSRCNLIFNNRPINFSSIVPNTWIVCYNRSTYTFREFPKTSHLNKSITIFTDASWCEESRSSSLGFIILSNMNHILIAGAKDAVTSSPIMAKFATINLALQFCISNGWLLSCLFCDCAGVAQLLKNFNVCTPWHIKDEYQILKRNLVFFPHLYIESIPKEENEIADALATFDRNSIQLFLFFQGLDRPQWLDDMCSLRHFTF >DRNTG_07155.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19982933:19985651:1 gene:DRNTG_07155 transcript:DRNTG_07155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSESKDLLTQFKVAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNEEEIPHGFIFATFMLSSMLGSSIASRLMARVTLKVESYMQIVFAISSGTLLLPIITNFFVEPSTEKGKSMSFGGCILLFGFCTFEACVGIFWPSIMKMRSQYIPEESRSTIMNFFRIPLNVFVCIVLYNVNAFPITIMFGMCSIFLFMAAILQRRLMMVSESYRSKSQDWALKEKDIETEPLNFNE >DRNTG_15273.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4498942:4502736:1 gene:DRNTG_15273 transcript:DRNTG_15273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQSSRSFVCLLAFLSLSCLNFWHLRAEASTKLYIVYLGEKQHEDPNAVAATHHDMLTTLLGSKEEALSSIRYNYKHGFSGFAAMLTESQANAIAELPDVLSIRPNRAYKVHTTRSWDYLGLHYNQSKGLLHDSKLGEDVIIGVVDTGIWPESLSFSDAGLGPIPTRWKGICQTGVGFSASNCSRKIIGARWYNNDLNSKDLQGEYLSARDSNGHGTHTASTAAGVLVKNVSVHGLAAGAARGGAPRARLAIYKACWGSNGSCSDAAVLKAIDDAIHDGVDVLSLSIGGNGYPEASLNAVAKGVSLVFAGGNDGPVTHTVENALPWVVTVAASTIDRSFPTRITLGNDQVLVGQGINYLTEQEIFVRLFDGQSCDVDSLNNTDVAGSIVICHPNDVTSWNPRSDLITSIENVITANGVGIIYAQYTNNLLFVVEVCNNAGVICAIVDFEVANQIIKYHDIIISTNSTSPMVKVSQTKDVVGPSVLSPKIASFSSRGPSVDFPELLKPDIAAPGVTILAAKGSAYGFDTGTSMACPHVSGIIALLKSLHPYWSPAAIKSALVTTASITNEHGWPIEAEGIPRKLADPFDFGGGNVNPNRAADPGLIFDIDPKDYLKYFNCSIGIQDACDSTKPLYYLNLPSISIPNLKTTVTISRTVTNVGSTDAAYEAVLESPVGVKMVVEPPVLIFNATHTVQTFKVEFTATVKLQGDYTFGSLTWYDKEDHRVRIPIATRIVIQDFFADAS >DRNTG_22933.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23416520:23419002:1 gene:DRNTG_22933 transcript:DRNTG_22933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGSLVVGASPYRYIRGFIVKSENLMGKAYACKDAHKDNPEELMTTVYAHKSSRKNSRRRMEIHTGSAFLVFLLDEKKWDKSPALKGLFQWRFESTFKGIRDRSRQAYLGDVRGSFLKASPVILLQHG >DRNTG_04568.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8070160:8078809:-1 gene:DRNTG_04568 transcript:DRNTG_04568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGRNHFFLMPRGLYQRQSRGRDGREQRPASAWPEDALRLERRGAEAVTRSARLQPNNEESQAIVVSSGGM >DRNTG_12787.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2194431:2200289:-1 gene:DRNTG_12787 transcript:DRNTG_12787.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKVWLLSLCVVFLWGGCLGRFVVEKNSLKVTSPDSLKDVYECAIGNFGVPQYGGTMVGIVTYPKANRKACKSFDEFDISFKTKPGGLPIFLLVDRGDCYFTTKAWNAQNAGAAAILVADDKLEPLITMDSPEEGGEDHVQNITIPSALISKAFGDKLKEALTNGEMVNVNLDWRESLPHPDERVEYEFWTNSNDECGPKCDAQIEFVRNFKGPAQILEQKGYTQFTPHYITWYCPESFVLSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQVCVFKVANESGKPWLWWDYVSDFSIRCPMKDNKYTKECAEEVIRSLGVDIRKVNDCVGDPDADKANPVLKAEQDAQIGKDSRGDVTILPTLVINNRQYRGKLDKAAVLKAICAGFKETTEPAVCLTDDIETNECLENNGGCWQDLAANVTACKDTFRGRVCECPVVRGVKFVGDGYNHCEASGQGRCEINNGGCWHDTQNGITHSACNAESDGCKCPAGFKGDGVKTCEDIDECKDKSACQCPECNCKNTWGSYDCSCSGDLLYMKEHDLCISKKSSTKYSWGFLWVIFLGLAIAGVGGYVVYKHRIRTYMDSEIRAIMAQYMPLDNQPETATHGPFANI >DRNTG_12787.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2194431:2200289:-1 gene:DRNTG_12787 transcript:DRNTG_12787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKVWLLSLCVVFLWGGCLGRFVVEKNSLKVTSPDSLKDVYECAIGNFGVPQYGGTMVGIVTYPKANRKACKSFDEFDISFKTKPGGLPIFLLVDRGDCYFTTKAWNAQNAGAAAILVADDKLEPLITMDSPEEGGEDHVQNITIPSALISKAFGDKLKEALTNGEMVNVNLDWRESLPHPDERVEYEFWTNSNDECGPKCDAQIEFVRNFKGPAQILEQKGYTQFTPHYITWYCPESFVLSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQVCVFKVANESGKPWLWWDYVSDFSIRCPMKDNKYTKECAEEVIRSLGVDIRKVNDCVGDPDADKANPVLKAEQDAQIGKDSRGDVTILPTLVINNRQYRGKLDKAAVLKAICAGFKETTEPAVCLTDDIETNECLENNGGCWQDLAANVTACKDTFRGRVCECPVVRGVKFVGDGYNHCEASGQGRCEINNGGCWHDTQNGITHSACNAESDGCKCPAGFKGDGVKTCEDIDECKDKSACQCPECNCKNTWGSYDCSCSGDLLYMKEHDLCISKKSSTKYSWGFLWVIFLGLAIAGVGGYVVYKHRIRTYMDSEIRAIMAQYMPLDNQPETATHGPFANI >DRNTG_34510.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002160.1:28859:29640:-1 gene:DRNTG_34510 transcript:DRNTG_34510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNHTAHNQSYKAHKNGIKKPRRHRHTSTKGMDPKFLRNQRYARKHNNKAGASGSEGEE >DRNTG_07872.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18930182:18932370:-1 gene:DRNTG_07872 transcript:DRNTG_07872.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQQEELTLEKLGSHVQPLEYTWDSFGESTISFPAKGLKIAWGDDQTYWKEVQIPKDDRKWLKYEDGMELLQVCLFEAKGILDLAKTQGLSPNKTYELYYVIKFKDEAFGWQDLPVSFKIAPPGGQNKKIKISDNFGAYEKNKWHKVFGCEFTVESNLHGKYKFGMYGIEALNWKGGIILHGVLIQSKD >DRNTG_02690.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19740293:19750266:1 gene:DRNTG_02690 transcript:DRNTG_02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSSGLSKTLERYQRCCYNPQDLNIGDHETQNWYQEVSKLKAKFDSLQRSQRHLLGEDLGPLNVKELQQLERQLESALAQARQRKTQIMLDQMEELRKKERHLGDINKQLKSKLEAENSAFRAIQGSWETEGVVPNHGFPVHHSQATAMETEPILQIGYHHYVPTEVNMARNANGENNNNNNNNNTNFMHGWVL >DRNTG_10889.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13390998:13397982:1 gene:DRNTG_10889 transcript:DRNTG_10889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSASHCSCFTLMPIDPNPPKHLDFRDLEECRAKEQEELKNPIVLAIGIFEEINSFVEEQQQTEDEVNEDEDEDEVENGDEDGDDGDDGGEYEDEKNYFHYYDDNEELLI >DRNTG_29788.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27419864:27424847:-1 gene:DRNTG_29788 transcript:DRNTG_29788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPPLPRPPGWRCSVRWWLARVGTSVLVWTVFMQLAPIAEVWRFQRSDVRWRSTSACNATNLSLLSDETPLRESPPALPPRRVYKSNGYLQVSCNGGLNQMRAAICDMVTISRYLNLTLLIPELDKTSFWADPSDFGDIFNVQHFIDSLRDEVKIIKSLPKKFSTKVQQGLFSMPPVSWSNEKYYLKQILPLIQKHKIIYFNKTDARLANNGLPIWLQKLRCRVNYQALRFTPKIEALGNKLVSILQKTGSFVVLHLRYEMDMLAFSGCTHGCSDIEAEELTRMRYAYPWWKEKEIVSEKKRLEGLCPLTPEETTLVLQALGFERNTLIYIASGEIYGGERRLAALRAAYPKLVRKEMLLSPDELRPFQNHSTQMAALDYLVSIASDVFIPSYDGNMAKVVEGHRRYTGFRKTIVLDRRELVELLDLYQTGELSWEQFSDAVQEVHKNQMGQPVRRKVIPDRLKEEDYFYANPQECLAPQRMPQLGEFEI >DRNTG_29788.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27419864:27423455:-1 gene:DRNTG_29788 transcript:DRNTG_29788.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTISRYLNLTLLIPELDKTSFWADPSDFGDIFNVQHFIDSLRDEVKIIKSLPKKFSTKVQQGLFSMPPVSWSNEKYYLKQILPLIQKHKIIYFNKTDARLANNGLPIWLQKLRCRVNYQALRFTPKIEALGNKLVSILQKTGSFVVLHLRYEMDMLAFSGCTHGCSDIEAEELTRMRYAYPWWKEKEIVSEKKRLEGLCPLTPEETTLVLQALGFERNTLIYIASGEIYGGERRLAALRAAYPKLVRKEMLLSPDELRPFQNHSTQMAALDYLVSIASDVFIPSYDGNMAKVVEGHRRYTGFRKTIVLDRRELVELLDLYQTGELSWEQFSDAVQEVHKNQMGQPVRRKVIPDRLKEEDYFYANPQECLAPQRMPQLGEFEI >DRNTG_29788.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27419864:27423455:-1 gene:DRNTG_29788 transcript:DRNTG_29788.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAICDMVTISRYLNLTLLIPELDKTSFWADPSDFGDIFNVQHFIDSLRDEVKIIKSLPKKFSTKVQQGLFSMPPVSWSNEKYYLKQILPLIQKHKIIYFNKTDARLANNGLPIWLQKLRCRVNYQALRFTPKIEALGNKLVSILQKTGSFVVLHLRYEMDMLAFSGCTHGCSDIEAEELTRMRYAYPWWKEKEIVSEKKRLEGLCPLTPEETTLVLQALGFERNTLIYIASGEIYGGERRLAALRAAYPKLVRKEMLLSPDELRPFQNHSTQMAALDYLVSIASDVFIPSYDGNMAKVVEGHRRYTGFRKTIVLDRRELVELLDLYQTGELSWEQFSDAVQEVHKNQMGQPVRRKVIPDRLKEEDYFYANPQECLAPQRMPQLGEFEI >DRNTG_34131.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21809464:21815968:1 gene:DRNTG_34131 transcript:DRNTG_34131.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRRRYWAMAVGSVILRLLFICFSEDLNLGFRPEVATPVTSLRRLAEGYWLKNSSMSPYSGSMYHGSPLLLPVLGPLTVNRVKGLPAHLFCSLIFVIVDFVTAMLIRATGQKLMSTYHRSLQLLNLSCVQETAGHTHVGDLASLIFLWNPFTIITCAGSSTSPIDNLMIVLSIYGACSGLSSLASFGWVVSTHLSLYPAILVIPVILLLGYGPDAPPSKLFFLKKSNESGGSCPKDKTCSEQKSKEESHIRNFCWRPVLFFILWSLIWSSYILFLSFISLKEFGGLSEMVKKTHGFILNVEDLSPNIGVFWYFFAEVFEFFRSFFLIVFHINILFMLVPLVIRLRHRPCFLAFVYIAIMSMLKSYPSVGDSALYLGLLGLFANELAEMRFSFFLLCGYVGISLLSPVMHNLWIWRGTGNANFYFATGIAYASLQTVLIVECVSTILKHDRMLRNKLTT >DRNTG_34131.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21809464:21814329:1 gene:DRNTG_34131 transcript:DRNTG_34131.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRATGQKLMSTYHRSLQLLNLSCVQETAGHTHVGDLASLIFLWNPFTIITCAGSSTSPIDNLMIVLSIYGACSGLSSLASFGWVVSTHLSLYPAILVIPVILLLGYGPDAPPSKLFFLKKSNESGGSCPKDKTCSEQKSKEESHIRNFCWRPVLFFILWSLIWSSYILFLSFISLKEFGGLSEMVKKTHGFILNVEDLSPNIGVFWYFFAEVFEFFRSFFLIVFHINILFMLVPLVIRLRHRPCFLAFVYIAIMSMLKSYPSVSVFLFFISSTWLSFFIEV >DRNTG_34131.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21813041:21815968:1 gene:DRNTG_34131 transcript:DRNTG_34131.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKTHGFILNVEDLSPNIGVFWYFFAEVFEFFRSFFLIVFHINILFMLVPLVIRLRHRPCFLAFVYIAIMSMLKSYPSVGDSALYLGLLGLFANELAEMRFSFFLLCGYVGISLLSPVMHNLWIWRGTGNANFYFATGIAYASLQTVLIVECVSTILKHDRMLRNKLTT >DRNTG_16915.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5007558:5011370:-1 gene:DRNTG_16915 transcript:DRNTG_16915.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVAIKRVEARGKESSRAFCRELMIASALCHPNVVPLIGFCVDPQGLFLVYKYVSGGSLDRHLHLNDSKGRYKKKKVKVLTWEVRYNVAIGVARAVEHLHYGTDKCVIHRDIKPSNILLSSNRTPKLCDFGLATWIHGPSLPFLCKSVKGTFGYLAPEYFQHGKLSDKTDIYALGVVLLELITGRKAIDQTRPQGDENLVLWAKPYLQQGAEAISKLVDPRLKPSSRRWNEMSRVLQTATACLSNDESARPSIDKVISMLQGDETCNDWSEFTKKSLLSGYGSQSHNSSEKFDMRSHVALAMLGVSDTEEDDLYGR >DRNTG_16915.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5007558:5011370:-1 gene:DRNTG_16915 transcript:DRNTG_16915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIMSSSTLEAHGGAGNLGTIQASPHGDEAECRRRMAWSLALLSSSATAVRRRWRGGVGEDERSKGGGCGSENNHSWLLADVRADSLNSSFRFSFGGQAEAAAVLLLVSPEGGGVEDEGTRVDSVERSISPVAGSLARFCFSEMRAATHDFSRGRELGRGALSRVYRGRIGMRAVAIKRVEARGKESSRAFCRELMIASALCHPNVVPLIGFCVDPQGLFLVYKYVSGGSLDRHLHLNDSKGRYKKKKVKVLTWEVRYNVAIGVARAVEHLHYGTDKCVIHRDIKPSNILLSSNRTPKLCDFGLATWIHGPSLPFLCKSVKGTFGYLAPEYFQHGKLSDKTDIYALGVVLLELITGRKAIDQTRPQGDENLVLWAKPYLQQGAEAISKLVDPRLKPSSRRWNEMSRVLQTATACLSNDESARPSIDKVISMLQGDETCNDWSEFTKKSLLSGYGSQSHNSSEKFDMRSHVALAMLGVSDTEEDDLYGR >DRNTG_16915.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5007558:5010044:-1 gene:DRNTG_16915 transcript:DRNTG_16915.3 gene_biotype:protein_coding transcript_biotype:protein_coding RYLAPEYFQHGKLSDKTDIYALGVVLLELITGRKAIDQTRPQGDENLVLWAKPYLQQGAEAISKLVDPRLKPSSRRWNEMSRVLQTATACLSNDESARPSIDKVISMLQGDETCNDWSEFTKKSLLSGYGSQSHNSSEKFDMRSHVALAMLGVSDTEEDDLYGR >DRNTG_25761.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21358410:21364056:-1 gene:DRNTG_25761 transcript:DRNTG_25761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMSNKLDCMGMCGEFSNMVLLSDMVSRERSRCIPSSYVWPKNERPNLLEMESSDVSIPLIDLHEMDDHQHSLVVKAIGEACKSDGFFMVKNHGIPETVLSEMLRISKEYFKLPECERMKAYSDDPKKNMRLSTSCNVKTEKVASWRDYLRLHCYPLEDFIHQWPSNPHSFQEIVGEYCKRVRELALKLLEAISESLGREKKYMEKALGKQGQHMAINYYPPCPQPDLTFGLPGHNDPNAITILLQDTIPGLQVLRNGKWLAVNPLPNTLIINIGNQIEVLSNGRYKSVLHRAIVNKDNERISVATFYCPSYDAVIEPARELVDEEHPRITKTFTYGEYYDQFWTLDLKSESCLDCFKV >DRNTG_20815.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22120830:22125404:-1 gene:DRNTG_20815 transcript:DRNTG_20815.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIYYGIIMQASACGLVHFLIRDLYIYICVTFYLMTTFYFIVIRVLVLILKTSTAENRSIVPPAENVAVRAQNMMGYVNVPASRYGPASSSSSSEIPSHRPADPEISHGFGLHTSSFGNSSRFPLNYAHVLPQHQPSFHRQHTVGDTNGRNDAQMDNRRVSYKRKSPAVSLISDQGSNNRYYCDGNTSLPISSDYSWPKNNSHSQYGPWGPIHRVPGHMGCNNVNAGEVFQRNVRSRYSHNSMLESNPAGGHLANSLPQHFLPVANVFGQPNYPPPPHRGILSSGRNSASANGFASSDGRLYASSASFRNATTPFPMHHASAQTVSAGHNVFGQNTTGYNAMPGYRPTGFAATLEDNMRSGADAPYRHSSSSTTSGHRNERDRRARSSIWRYNPYSCEESTDRLRQWQSESIVIDQPTFYEPRNVFDEHWDMRLDIDSMSYEELLALEDRIGNVSTGLSENTISSCLAETKYLSKQVTVGDDAEVKCAICLAEYEEGEHLGMLKCSHDFHFHCIKQWLVMKNVCPICKAPALADTCKEK >DRNTG_20815.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22120830:22125404:-1 gene:DRNTG_20815 transcript:DRNTG_20815.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQNWFYNQQMREMDHDLLWNHHASKRMWFENRSIVPPAENVAVRAQNMMGYVNVPASRYGPASSSSSSEIPSHRPADPEISHGFGLHTSSFGNSSRFPLNYAHVLPQHQPSFHRQHTVGDTNGRNDAQMDNRRVSYKRKSPAVSLISDQGSNNRYYCDGNTSLPISSDYSWPKNNSHSQYGPWGPIHRVPGHMGCNNVNAGEVFQRNVRSRYSHNSMLESNPAGGHLANSLPQHFLPVANVFGQPNYPPPPHRGILSSGRNSASANGFASSDGRLYASSASFRNATTPFPMHHASAQTVSAGHNVFGQNTTGYNAMPGYRPTGFAATLEDNMRSGADAPYRHSSSSTTSGHRNERDRRARSSIWRYNPYSCEESTDRLRQWQSESIVIDQPTFYEPRNVFDEHWDMRLDIDSMSYEELLALEDRIGNVSTGLSENTISSCLAETKYLSKQVTVGDDAEVKCAICLAEYEEGEHLGMLKCSHDFHFHCIKQWLVMKNVCPICKAPALADTCKEK >DRNTG_20815.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22120830:22129325:-1 gene:DRNTG_20815 transcript:DRNTG_20815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQNWFYNQQMREMDHDLLWNHHASKRMWFENRSIVPPAENVAVRAQNMMGYVNVPASRYGPASSSSSSEIPSHRPADPEISHGFGLHTSSFGNSSRFPLNYAHVLPQHQPSFHRQHTVGDTNGRNDAQMDNRRVSYKRKSPAVSLISDQGSNNRYYCDGNTSLPISSDYSWPKNNSHSQYGPWGPIHRVPGHMGCNNVNAGEVFQRNVRSRYSHNSMLESNPAGGHLANSLPQHFLPVANVFGQPNYPPPPHRGILSSGRNSASANGFASSDGRLYASSASFRNATTPFPMHHASAQTVSAGHNVFGQNTTGYNAMPGYRPTGFAATLEDNMRSGADAPYRHSSSSTTSGHRNERDRRARSSIWRYNPYSCEESTDRLRQWQSESIVIDQPTFYEPRNVFDEHWDMRLDIDSMSYEELLALEDRIGNVSTGLSENTISSCLAETKYLSKQVTVGDDAEVKCAICLAEYEEGEHLGMLKCSHDFHFHCIKQWLVMKNVCPICKAPALADTCKEK >DRNTG_01138.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5208627:5212731:1 gene:DRNTG_01138 transcript:DRNTG_01138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSVAARLAFFPPNPPSYELVKDVGTGLLTLSRFPHRENVEVMTIPTRRGTEIVAVYVRNPMASSTLLYSHGNAADLGQMYELFLELSIHLRVNLLGYDYSGYGRSSGKPSEQNTYADIEAAFKCLEENFGAKEEEIILYGQSVGSGPTLEMAARLPRLRAVVLHSPILSGLRVMYPVKRSYWFDIYKNIDKIPLVNCPVLVIHGTSDEVVDCSHGKQLWELCKEKYEPLWLKGGNHCDLELFPEYIRHLKKFISTVEKSPTERNSWRKSTDRFEPARRSTDCFEASRKSTDRREKPRYSTEKTKSNLDKLEKLKVSFERMEKSRRSVDCIDKSRKNTEQLERARKSVDRLDRIRAG >DRNTG_18670.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000968.1:29883:31275:1 gene:DRNTG_18670 transcript:DRNTG_18670.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFIDCNNEGVEFVHAEAKSISVGDVLAASSDVPDFIKSFFQLDGASNYDGHSFPLLAVQLTVLADGIFLAYSFNHAASDGTSFWHFMNTWAEISRTKTTVPSRPPVHDRYFMDGVKPPLKLPFSHESEFLDRHSPPPLREKMFHFSSEAIAKLKAKANQESGTNNISSYQSISALIWRCISRARGLPEDKLTTCRVSIQNRAKLQPSLSPNYFGNSINTLCMTATAGELLRNGIGWAAWRIHEAVVAHRDDAIRGTVRNWMEAPVFYKLSLVDESTVAISSSPRFDMYGCEFGWGKAVALRSGSANKVDGKVMHYPGWEGGGSVDLEVCLLPKFMTALESDPEFKEVVSPAVPLQVHLP >DRNTG_18670.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000968.1:29777:31435:1 gene:DRNTG_18670 transcript:DRNTG_18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFIDCNNEGVEFVHAEAKSISVGDVLAASSDVPDFIKSFFQLDGASNYDGHSFPLLAVQLTVLADGIFLAYSFNHAASDGTSFWHFMNTWAEISRTKTTVPSRPPVHDRYFMDGVKPPLKLPFSHESEFLDRHSPPPLREKMFHFSSEAIAKLKAKANQESGTNNISSYQSISALIWRCISRARGLPEDKLTTCRVSIQNRAKLQPSLSPNYFGNSINTLCMTATAGELLRNGIGWAAWRIHEAVVAHRDDAIRGTVRNWMEAPVFYKLSLVDESTVAISSSPRFDMYGCEFGWGKAVALRSGSANKVDGKVMHYPGWEGGGSVDLEVCLLPKFMTALESDPEFKEVVSPAVPLQVHLP >DRNTG_18670.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000968.1:29777:31308:1 gene:DRNTG_18670 transcript:DRNTG_18670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFIDCNNEGVEFVHAEAKSISVGDVLAASSDVPDFIKSFFQLDGASNYDGHSFPLLAVQLTVLADGIFLAYSFNHAASDGTSFWHFMNTWAEISRTKTTVPSRPPVHDRYFMDGVKPPLKLPFSHESEFLDRHSPPPLREKMFHFSSEAIAKLKAKANQESGTNNISSYQSISALIWRCISRARGLPEDKLTTCRVSIQNRAKLQPSLSPNYFGNSINTLCMTATAGELLRNGIGWAAWRIHEAVVAHRDDAIRGTVRNWMEAPVFYKLSLVDESTVAISSSPRFDMYGCEFGWGKAVALRSGSANKVDGKVMHYPGWEGGGSVDLEVCLLPKFMTALESDPEFKEVVSPAVPLQVHLP >DRNTG_18670.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000968.1:29883:31308:1 gene:DRNTG_18670 transcript:DRNTG_18670.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFIDCNNEGVEFVHAEAKSISVGDVLAASSDVPDFIKSFFQLDGASNYDGHSFPLLAVQLTVLADGIFLAYSFNHAASDGTSFWHFMNTWAEISRTKTTVPSRPPVHDRYFMDGVKPPLKLPFSHESEFLDRHSPPPLREKMFHFSSEAIAKLKAKANQESGTNNISSYQSISALIWRCISRARGLPEDKLTTCRVSIQNRAKLQPSLSPNYFGNSINTLCMTATAGELLRNGIGWAAWRIHEAVVAHRDDAIRGTVRNWMEAPVFYKLSLVDESTVAISSSPRFDMYGCEFGWGKAVALRSGSANKVDGKVMHYPGWEGGGSVDLEVCLLPKFMTALESDPEFKEVVSPAVPLQVHLP >DRNTG_18670.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000968.1:29883:31435:1 gene:DRNTG_18670 transcript:DRNTG_18670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFIDCNNEGVEFVHAEAKSISVGDVLAASSDVPDFIKSFFQLDGASNYDGHSFPLLAVQLTVLADGIFLAYSFNHAASDGTSFWHFMNTWAEISRTKTTVPSRPPVHDRYFMDGVKPPLKLPFSHESEFLDRHSPPPLREKMFHFSSEAIAKLKAKANQESGTNNISSYQSISALIWRCISRARGLPEDKLTTCRVSIQNRAKLQPSLSPNYFGNSINTLCMTATAGELLRNGIGWAAWRIHEAVVAHRDDAIRGTVRNWMEAPVFYKLSLVDESTVAISSSPRFDMYGCEFGWGKAVALRSGSANKVDGKVMHYPGWEGGGSVDLEVCLLPKFMTALESDPEFKEVVSPAVPLQVHLP >DRNTG_18670.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000968.1:29777:31275:1 gene:DRNTG_18670 transcript:DRNTG_18670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFIDCNNEGVEFVHAEAKSISVGDVLAASSDVPDFIKSFFQLDGASNYDGHSFPLLAVQLTVLADGIFLAYSFNHAASDGTSFWHFMNTWAEISRTKTTVPSRPPVHDRYFMDGVKPPLKLPFSHESEFLDRHSPPPLREKMFHFSSEAIAKLKAKANQESGTNNISSYQSISALIWRCISRARGLPEDKLTTCRVSIQNRAKLQPSLSPNYFGNSINTLCMTATAGELLRNGIGWAAWRIHEAVVAHRDDAIRGTVRNWMEAPVFYKLSLVDESTVAISSSPRFDMYGCEFGWGKAVALRSGSANKVDGKVMHYPGWEGGGSVDLEVCLLPKFMTALESDPEFKEVVSPAVPLQVHLP >DRNTG_10629.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:244557:245232:1 gene:DRNTG_10629 transcript:DRNTG_10629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTGEGIEIQIRGGQRGHRASLSLSLSPQLQFSSSSMATMP >DRNTG_16667.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000835.1:14785:15797:-1 gene:DRNTG_16667 transcript:DRNTG_16667.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRPTNKEVKKKVYICPEVSCIHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCSKKYAVQSDWKAHTKICGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARSIPSSSSTAASASATHTHTSTSAANACQQVGFGNQVEYFHEYSYENNKHLGKAAGSGHLSATALLQKAAEMGAGSGRTQESTLMAGHTSCSGLSVAGFDGSFEGVFGERRRH >DRNTG_16667.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000835.1:14785:15797:-1 gene:DRNTG_16667 transcript:DRNTG_16667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNMITTTTAASMEASGAASSTQVLKRKRNLPGNPDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRPTNKEVKKKVYICPEVSCIHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCSKKYAVQSDWKAHTKICGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARSIPSSSSTAASASATHTHTSTSAANACQQVGFGNQVEYFHEYSYENNKHLGKAAGSGHLSATALLQKAAEMGAGSGRTQESTLMAGHTSCSGLSVAGFDGSFEGVFGERRRH >DRNTG_16667.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000835.1:14785:15797:-1 gene:DRNTG_16667 transcript:DRNTG_16667.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNMITTTTAASMEASGAASSTQVLKRKRNLPGNPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRPTNKEVKKKVYICPEVSCIHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCSKKYAVQSDWKAHTKICGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARSIPSSSSTAASASATHTHTSTSAANACQQVGFGNQVEYFHEYSYENNKHLGKAAGSGHLSATALLQKAAEMGAGSGRTQESTLMAGHTSCSGLSVAGFDGSFEGVFGERRRH >DRNTG_16454.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000814.1:42616:46472:1 gene:DRNTG_16454 transcript:DRNTG_16454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTTHTPVYFIILTTCALAVCTRKGCVMTVVVTYHIPSIEHAVTLSFLEVWHGSATPGNGWKTVVSWSLLHSRTQQTHHGRYAPVVALAQWTVKLLSHTTGCCVPIVIIQRDIMPWLWVKSELLVVHGQRLRKKRIEKNLLYHQYRGSGIPSIKPAIGESLTRGLIDLEYTHTTSNFRAPYRPTGPPKTKSISKWAMMILRILPNFQYVATVMATVIVVLLFLQLACSHLHDFEVASSGPLYHSSTYITHCYSSSGAGVSSSFIDINSTSPIIYRA >DRNTG_28113.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22103734:22104334:1 gene:DRNTG_28113 transcript:DRNTG_28113.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEACKRSKQEEEEGMKVTTLHHPLVSSQGCLHG >DRNTG_01674.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23840671:23847084:-1 gene:DRNTG_01674 transcript:DRNTG_01674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRSLSRVRYLITSILSARGGGVASISNTSASEPASAPASNSNVHSKVMPGDGDYIMELEIGTPPVKIMASADTGSDLFHATMTFVKQALPYKNCTSQNTCQYEYEYGDNSATSGTLSKDTIFTFSSDSNKKLQGNSSSSSSSIPGIVFGCSYNSKGTFDANEDGLIGLGGGQNVTSTLNFGDNAVVNGPGVVTVPMVHGLYDTFYTLQFTSVDLGKENIPVPHGLSTQMIVDSGTTITFIPFDLLILVIDKLSKMVNLNQTTDPEGFLIRALLLTFLFVADDIVCLAMAETNGLDFSILVTHRLSTGGLADLRHPATGPPTVRWPTDWPPTIGHWWSDRRPVVHRWPAGPDRLLPPLVEVQVKGCLQSSELPKKGWYSSQACRLLSTLKE >DRNTG_05903.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18588355:18604778:1 gene:DRNTG_05903 transcript:DRNTG_05903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMIPKVDLLPGGSPIPVIGLGTASFPPPPPDTFRTVVLDAIALGYRHFDTASIYDTERPLGDAIAEALRRGLIQSRSELFITSKVWCTETYTDRVLPSLQKSLR >DRNTG_02974.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16164336:16164820:-1 gene:DRNTG_02974 transcript:DRNTG_02974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSFLRKKVGRGASVEVYLIPDEGILGRRVEDFPQDHKHDYRGDTARLEMVETVSREVEERPPFPPT >DRNTG_16914.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5001737:5006732:-1 gene:DRNTG_16914 transcript:DRNTG_16914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSPEVDILKMLSTMDAETLTLLGAACGVMLSLHFTVQLITQHLFYWKTPKEQMAIIIIVLMAPLYAVDSFVGLLDIQGSRILFTFLHCVKQCYEALVIAKFMELMYSYLNISLSKNIVPNEIRGREIHHSFPVSLFLPRRIRLEHHTLQLLKYWTWQFVVIRPVCSVLMITLKLLGIYPSWVSWTFTIILNISCSMALYALVLFYHVFAKELAPHKPLSKFLCVTGIVFFCFWQGVALDALAAAGVIHSHHFWLDVEHVQEAIKNMLVIIEMVGFSVIQQYAFHVSPYSGIGGSAQHDKKND >DRNTG_22176.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:905907:908667:1 gene:DRNTG_22176 transcript:DRNTG_22176.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 2B [Source:Projected from Arabidopsis thaliana (AT2G33385) UniProtKB/Swiss-Prot;Acc:F4IVU1] MPKEEELMACFNRASPALVEILSRLISVERPTDVDHCLYEFGSVKYHIQALALEPHNIYLSVSTPPLSPEFLLSNGLPNYILHDIKNMYSDVVELIIPPKEGFLLTMKVDVTKFPNNKDDQMKAITEISSIQAAILCLQLKDMLWNLGSQDKTNAICKPIKLVYHPKEPFFVVRMTEKITAIFPMRFKDNSDVVIATSFFQELMDVGYSSACAKVPRCTWSPIPPQELRGELFHHLTTNGGFVSFDIFPQHVKGAKVDKTMWILLNFYAYVKHYVKCTRAFIQRKMRQRLESMAEVLQKARIGGGEEDHKTLGIASRKGCSCVKKVFRLSKSQIFRKKIQTIRWRIKVKRLYRFRQRWFKILKFNSFRKYTKLD >DRNTG_22176.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:905907:908667:1 gene:DRNTG_22176 transcript:DRNTG_22176.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 2B [Source:Projected from Arabidopsis thaliana (AT2G33385) UniProtKB/Swiss-Prot;Acc:F4IVU1] MYSDVVELIIPPKEGFLLTMKVDVTKFPNNKDDQMKAITEISSIQAAILCLQLKDMLWNLGSQDKTNAICKPIKLVYHPKEPFFVVRMTEKITAIFPMRFKDNSDVVIATSFFQELMDVGYSSACAKVPRCTWSPIPPQELRGELFHHLTTNGGFVSFGIQSFYSVTNYLLLIKTLAENCIIFSNKMFRLSSN >DRNTG_27283.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22516012:22516357:1 gene:DRNTG_27283 transcript:DRNTG_27283.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSTLSPLINPSFHRRNPSRPILSSPPPPRSPARRFRCAAKPSGRSWDSNAEFFRTRRFEVRDDPGWGFWKRGRRRWWSDEAEFDDDVDDDDDDDDDEIDQPWERIWIFK >DRNTG_13431.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9620002:9621274:1 gene:DRNTG_13431 transcript:DRNTG_13431.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVPTIDLTNFPSDKPKLRQACENLGCFRVISHGIPSSLRADMKSTLRSLFDIPAHIKRLNSDIIPGSGYVAPSPANPLYEAFGLYDASSSLDILSFCSNLQASPFQQHTIATYSSKLHALVVNIANKIAKSIGVPHYSFEHWPCQFRLNKYFFTQETIGSSGVQIHTDSGFLTVLQEDDSVGGLEIMDQTGTFVPVHPVPDSFLINLGDIAKVWSNGRLQNLKHRVQCKEAVERISIALFLLGPKDAPVEPPSELVDSHHPRLYKSITVENYRQLRLSTGSRVGESLPHLLL >DRNTG_13431.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9619868:9621274:1 gene:DRNTG_13431 transcript:DRNTG_13431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVPTIDLTNFPSDKPKLRQACENLGCFRVISHGIPSSLRADMKSTLRSLFDIPAHIKRLNSDIIPGSGYVAPSPANPLYEAFGLYDASSSLDILSFCSNLQASPFQQHTIATYSSKLHALVVNIANKIAKSIGVPHYSFEHWPCQFRLNKYFFTQETIGSSGVQIHTDSGFLTVLQEDDSVGGLEIMDQTGTFVPVHPVPDSFLINLGDIAKVWSNGRLQNLKHRVQCKEAVERISIALFLLGPKDAPVEPPSELVDSHHPRLYKSITVENYRQLRLSTGSRVGESLPHLLL >DRNTG_13431.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9620002:9621393:1 gene:DRNTG_13431 transcript:DRNTG_13431.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVPTIDLTNFPSDKPKLRQACENLGCFRVISHGIPSSLRADMKSTLRSLFDIPAHIKRLNSDIIPGSGYVAPSPANPLYEAFGLYDASSSLDILSFCSNLQASPFQQHTIATYSSKLHALVVNIANKIAKSIGVPHYSFEHWPCQFRLNKYFFTQETIGSSGVQIHTDSGFLTVLQEDDSVGGLEIMDQTGTFVPVHPVPDSFLINLGDIAKVWSNGRLQNLKHRVQCKEAVERISIALFLLGPKDAPVEPPSELVDSHHPRLYKSITVENYRQLRLSTGSRVGESLPHLLL >DRNTG_13431.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9620002:9621184:1 gene:DRNTG_13431 transcript:DRNTG_13431.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVPTIDLTNFPSDKPKLRQACENLGCFRVISHGIPSSLRADMKSTLRSLFDIPAHIKRLNSDIIPGSGYVAPSPANPLYEAFGLYDASSSLDILSFCSNLQASPFQQHTIATYSSKLHALVVNIANKIAKSIGVPHYSFEHWPCQFRLNKYFFTQETIGSSGVQIHTDSGFLTVLQEDDSVGGLEIMDQTGTFVPVHPVPDSFLINLGDIAKVWSNGRLQNLKHRVQCKEAVERISIALFLLGPKDAPVEPPSELVDSHHPRLYKSITVENYRQLRLSTGSRVGESLPHLLL >DRNTG_13431.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9620002:9621393:1 gene:DRNTG_13431 transcript:DRNTG_13431.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVPTIDLTNFPSDKPKLRQACENLGCFRVISHGIPSSLRADMKSTLRSLFDIPAHIKRLNSDIIPGSGYVAPSPANPLYEAFGLYDASSSLDILSFCSNLQASPFQQHTIATYSSKLHALVVNIANKIAKSIGVPHYSFEHWPCQFRLNKYFFTQETIGSSGVQIHTDSGFLTVLQEDDSVGGLEIMDQTGTFVPVHPVPDSFLINLGDIAKVQSLFLFSCVVLVLTLCFVLIYVHGFDEGVEQWKTAELEA >DRNTG_26786.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17275107:17276128:1 gene:DRNTG_26786 transcript:DRNTG_26786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNPKRNKTTQSPKSFANLSSSSPDMFPEHHQPTPAQCRAVRDDLLALHGIPRDLAKYCNPDPSDEAPPEKTVLDGIVSTLLSQNTTDSNSRRAFLSFKIRIPCVLNAEPK >DRNTG_35257.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3386698:3394975:-1 gene:DRNTG_35257 transcript:DRNTG_35257.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor C subunit 3 [Source:Projected from Arabidopsis thaliana (AT5G27740) UniProtKB/Swiss-Prot;Acc:Q8VXX4] MLWVDKYRPRTLDKIIVHEEIAQNLKKLVSEQDCPHLLFYGPPGSGKKTLIMALLKQMFGPGAEKVKLENKPWKIDAGSRTIELELTTLSSTHHIELNPSDAGFQDRYIVQEIIKDMAKIRPLDSKGKKGFKVLLLNQVDKLSREAQHSLRRTMEKYSASCRLILCCNSSSRVTEAIRSRCLNVRVNAPTHEQIVKVLEFIAKKESLQLPPGFAARIASQSNRNLRRAILSFETCRVQQYPFTANQAISPLDWEQYVSEIASDIMKEQSPKRLFLVRGKLYELLVNCIPPEIILKKLLSELLKKLDFELKHEVCHWAAYYEHKMRMGQKAIFHIEAFVAKFMSIYKSFLIATFG >DRNTG_23258.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:291919:294822:-1 gene:DRNTG_23258 transcript:DRNTG_23258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVDSPKGYMIFRPEKAGVIDILSLLIRRKSLSSYKFVETTEGFNTAGLHDDPWVIALTLLIQKTLKAISVPMKWMGYYLEFFFNLLALNGGILGLLWHILTFSLVIPKRDSAEFRSFIGLIDGRVDLYKKSSEMNYFELMYPESSLADTNVLDLSMMASKIAYENPAYVEKTVTDQWKMHFVGFYNCWNKFLNDHTTQAFICCDKAEDASVIVLAFRGTEPFNAEDWSTDVDLSWLFTGKMGNIHLGFLKALGLQNELSFLLGFPKEYSNPADKPVAYYAVREVLRSLIKQHPNAKIIVTGHSLGGALAAIFPALLSYHDQSDILNAMYGVMTFGQPRVGDTLLATYMTTIVRLKFHRMVYRFDIVPRIPFDMPPVAMFTHFGTCIYYSDWYKGQVVIDSPNPNYFDPMYMIPMYFWAWVDLLRALIIGQTEGKDFREGYISILYRMVGLVIPGVASHSPRDYVNAGRLSKIANKIMV >DRNTG_10721.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21673490:21676676:1 gene:DRNTG_10721 transcript:DRNTG_10721.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPFTGRELASKEKNSTTQTTNSLVHVDLSKEHKQK >DRNTG_07673.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23317840:23318587:1 gene:DRNTG_07673 transcript:DRNTG_07673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSAMELNEAGVRFKKSKTTSLHDISFHNGVLSLPVIVVDDSTEFMFLNLMAFERLHTNAGNEVTAYVFFMDNIIDSAKDVSLLHSKGIIQNALGSDKAVAKLFNTLSKDVVLDPESSLDDVHRSVHNYCSKSWNMWRANLIHTYFRSPWAFLSLAAAIFLIILTVGQTFYSIYQYYKPNTGESSASSSSPPTLFAPPPALSPQ >DRNTG_16124.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1458634:1460323:1 gene:DRNTG_16124 transcript:DRNTG_16124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMPSECRNRSRQRKEDNCEDEEDDGMEASAMDLQVLSHQTLRCANET >DRNTG_32017.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23596333:23596832:-1 gene:DRNTG_32017 transcript:DRNTG_32017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSPVCRRVEMRAASVARSRDKATAGMGEMRSEKEEML >DRNTG_14968.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24087397:24089433:1 gene:DRNTG_14968 transcript:DRNTG_14968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTISQSTPKMIPQTPTSLQQWLQEHYHLYWFISITITLITTFSLFLFYKSSLLSKKKLKLPPCPLILPLVGNLHQLGSLPHRSLHALSQKHGPLMLLHLGKVPAIIISSAELAQEIMKTHDLIFSSRPFSSMANSLLYNSLDIALSPYGEYWRQVRRISVIHLLSLKRVQSFGSIREEEVYLMVDKIHASQGSLVNLSEILVAVTNAVVCRVALGRKYDRSNRFREMLIEFAILLGSFPLKDFIPWLGWVDRITGLDARVVNNSTEMDSFFEEVLEDHIHSKTSETSDLVDVLLSLDVPLSRDSTKAIILDCWN >DRNTG_22947.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5409805:5412996:-1 gene:DRNTG_22947 transcript:DRNTG_22947.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYTFACVLLCWVCWAFQMSFGQQMFFFVGRPHFALDQKYLLGQGFAGLYPRATLVFFQFVFAAITVVLVAGAVLGRMSFKAWMLFVPMWLTVSYTVGAFSVWSPKGFLFEAGVMDFAGGFVIHLSSGVAGFTAAYWVGPRESKDRERFPPNNILLMLAGAGLLWMGWTGFNGGAPYAANIDASLAILNTHICTATSLLVWLFLDVFFFEKPSVIGAVQGMITGLVCITPAAGLVQGWAAIIMGILSGSIPWYTMMVLHRKIKFLIYVDDTMAVFHTHAVAGSLGGILTGFFAEPRLNRLFFGDDPRYIGLAYALKDNRSMAGFRQIGIQLAGIAFIVILNVVVTSAICLIIRVIVPLRLTDEEMEIGDDAVHGEEAYALWEDGEKFEIAQRNKMFDVQDIESRSVQMTERF >DRNTG_14611.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15952992:15971281:1 gene:DRNTG_14611 transcript:DRNTG_14611.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTPVRNFHTGVDNFHTPVWILCFSSISAGCEQVIQNLKMIFNFLFFFFCPNVLKLPNFFHVVHGLIMSRRYFPCLFKFFFFSLASMSKISLIDAQCFFDGDIVTT >DRNTG_01266.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:9945008:9945807:1 gene:DRNTG_01266 transcript:DRNTG_01266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSTINATLKSLSHTLLACFTCRKLKQAICPPISSSETKMVRFSPANELRFFKSLLSSSILSSTTGSATKASSGEGDRGGDGVSSGGSEGERRLLRTGRSWF >DRNTG_12304.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29677676:29683029:-1 gene:DRNTG_12304 transcript:DRNTG_12304.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNHEANISIAAIATSSLLPLRNLIFIDNQDDMMLVNDHPWPEFCKTVKKISIYKSKKVKKMKPSSSSIPLPSALCDLEVDAEVLDLLDTASRQKKTTIVIKLYWSDEKEKLCDAVEELKLDSLVMGSQGLGQIRRILLGSITNYFAAQHYKVLTQRFKNYGLRCEDLYDPMYDLDIKETLARLPHAQGRAPFARGLRDEELRRQASCRQSGSGDLAASTQTKHDREVDGS >DRNTG_08922.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27384466:27387800:1 gene:DRNTG_08922 transcript:DRNTG_08922.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLPYLTALTTLISYGLLFLFGQIRDLFRKILDLLKFEKVQGKGYAPICLGLEDFYTRRLYLRIQDCFGRPIASAPDAWFDVVERYSNDNNKTLQRTSNTTKCLNLGSYNYLGFAAADEYCTPRVIESLKKYSPSTCSVRADGGTTKLHTELEELVARFVGKPAAILFGMGYATNSAIIPALISKGGLIISDSLNHNSIVNGSRGSGAVVRVFQHNTPSHLEEVLREQIAEGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIIAVCKKYKAYTYLDEAHSIGAIGKSGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIRHLKHTCPAHLYATSMSPPAVQQVISAIKVILGEDGSNRGAQKLAQIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLNQNVAVVTVAFPATPLLLARARICISASHTREDLIKGLEVISKVGDLVGIKYFPVEPEKVTAEEKLKKLE >DRNTG_08922.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27384466:27387800:1 gene:DRNTG_08922 transcript:DRNTG_08922.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLPYLTALTTLISYGLLFLFGQIRDLFRKILDLLKFEKVQGKGYAPICLGLEDFYTRRLYLRIQDCFGRPIASAPDAWFDVVERYSNDNNKTLQRTSNTTKCLNLGSYNYLGFAAADEYCTPRVIESLKKYSPSTCSVRADGGTTKLHTELEELVARFVGKPAAILFGMGYATNSAIIPALISKGGLIISDSLNHNSIVNGSRGSGAVVRVFQHNTPSHLEEVLREQIAEGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIIAVCKKYKAYTYLDEAHSIGAIGKSGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIRHLKHTCPAHLYATSMSPPAVQQVISAIKVILGEDGSNRGAQKLAQIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLNQNVAVVTVAFPATPLLLARARICISASHTREDLIKGLEVISKVGDLVGIKYFPVEPEKVTAEEKLKKLE >DRNTG_29529.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2114964:2116729:1 gene:DRNTG_29529 transcript:DRNTG_29529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRIFENRKVKTDTTVTVSKLNESLQLLEKKEKTLQKKMDTELVKAREFIRAKNKRAAMHCLKKKKLYEQQIELVGNYQLRIHDQLILIESASVTTQTVDALRNGAAKLKEVQKKSDINSVDKTLDEINEQTENLRLVQDALSAPIGSLADFDEDELEMELEELDALGLEDDKILSPVTTAPTAPVSSVPEKSQPIQPTPQKDSTEVDELTTLQAQMAL >DRNTG_12737.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25713430:25718448:1 gene:DRNTG_12737 transcript:DRNTG_12737.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLFSYCISNIVSQAPLARRLGGLYCLYCLHQTQPYKPSFKIYLSLDELRRLRKLVIDAKENGIKVVIALVKRMLVMNMFLFGSVDIAGGSVTQRVEEITKLQNKHIQIACEKLLSNTQIEDFLHMDLGAEMELKVLKKMSEEYENAKQLAIKEASQKVEVQDIKHIAENKKLVGNMVKEIVDEWDAQKEMFYKQTGISQRNEVALVDDFDELEHLLNE >DRNTG_12737.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25713430:25718448:1 gene:DRNTG_12737 transcript:DRNTG_12737.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDLAPFKLDIDELLDEFTEGNLTTLTDFKRLWAARKFSYIYEARPTTNSAFFMQSLFSYCISNIVSQAPLARRLGGLYCLYCLHQTQPYKPSFKIYLSLDELRRLRKLVIDAKENGIKVVIALVKRMLVMNMFLFGSVDIAGGSVTQRVEEITKLQNKHIQIAYYCLTLRLRTFCIWTSVQKWSLKYSKKCQKNMKMQSNWLSKRLVRRLRFRT >DRNTG_12737.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25713430:25718448:1 gene:DRNTG_12737 transcript:DRNTG_12737.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDLAPFKLDIDELLDEFTEGNLTTLTDFKRLWAARKFSYIYEARPTTNSAFFMQSLFSYCISNIVSQAPLARRLGGLYCLYCLHQTQPYKPSFKIYLSLDELRRLRKLVIDAKENGIKVVIALVKRMLVMNMFLFGSVDIAGGSVTQRVEEITKLQNKHIQIACEKYRI >DRNTG_12737.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25713430:25718448:1 gene:DRNTG_12737 transcript:DRNTG_12737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDLAPFKLDIDELLDEFTEGNLTTLTDFKRLWAARKFSYIYEARPTTNSAFFMQSLFSYCISNIVSQAPLARRLGGLYCLYCLHQTQPYKPSFKIYLSLDELRRLRKLVIDAKENGIKVVIALVKRMLVMNMFLFGSVDIAGGSVTQRVEEITKLQNKHIQIACEKLLSNTQIEDFLHMDLGAEMELKVLKKMSEEYENAKQLAIKEASQKVEVQDIKHIAENKKLVGNMVKEIVDEWDAQKEMFYKQTGISQRNEVALVDDFDELEHLLNE >DRNTG_12737.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25713430:25718448:1 gene:DRNTG_12737 transcript:DRNTG_12737.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLFSYCISNIVSQAPLARRLGGLYCLYCLHQTQPYKPSFKIYLSLDELRRLRKLVIDAKENGIKVVIALVKRMLVMNMFLFGSVDIAGGSVTQRVEEITKLQNKHIQIACEKYRI >DRNTG_35414.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002243.1:30212:56845:1 gene:DRNTG_35414 transcript:DRNTG_35414.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSKAVIVRKSVQPGKESWLLNQNHLSENKRLKTQAQDKFCSGSFHKQESSFMNWISTMTNGFSRSYQEKPLNQPLPIAHDTNKGSCTNIGFGSIFHSLHSPRLLIQDRAQKDLDSQRVADVLSEQDDREQASTGAGLVGSDGLDSNLQNAIGTSSKATNSVLKGIVCHEDVKLPTGALHSNENLKQATCVDEALPLNTMYISSGKSHEKAVGNIGNFSRYGKSFPSSSQKALATTLEGKAIGTIPSVLNGSSNLVSKKRGALLESLWISRLLPKVSVSIPEPANCSHGVELSNEKHTKITEKSCPSPFGQKSFACGTLKAQGHSDSDGSNGTNADGSSKSKLNCKIPSQKLIKSEPMASVFARRLDAIKHITPAKTMNDKTSMLGTCFFCGKVGHSLKECPQLTESELQDILRDLNSYDNTDGFLSICIRCFGFNHWAISCPFESSKIKNIHANNDRRIVLWHGVREQHQLFNDHAPSHKKSNSAREQTGLAVPLSLSPDEKGLPSDTIKENLRESTSKAYQSSSLNMNNVLKMDHSMAICNMFDANAGEEPSGTFQMIRQLQLSRTDVIRLMNSPVSNVGLEGFFLRLRVGKGDEDCGHSGYRVARICGARSKYCISVNIGHSQCSVDCRFISNHGFTEDELKSWWDGAVKGSSKVPTNEELNGKLQQRVKFGF >DRNTG_35414.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002243.1:30212:56845:1 gene:DRNTG_35414 transcript:DRNTG_35414.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSKAVIVRKSVQPGKESWLLNQNHLSENKRLKTQAQDKFCSGSFHKQESSFMNWISTMTNGFSRSYQEKPLNQPLPIAHDTNKGSCTNIGFGSIFHSLHSPRLLIQDRAQKDLDSQRVADVLSEQDDREQASTGAGLVGSDGLDSNLQNAIGTSSKATNSVLKGIVCHEDVKLPTGALHSNENLKQATCVDEALPLNTMYISSGKSHEKAVGNIGNFSRYGKSFPSSSQKALATTLEGKAIGTIPSVLNGSSNLVSKKRGALLESLWISRLLPKVSVSIPEPANCSHGVELSNEKHTKITEKSCPSPFGQKSFACGTLKAQGHSDSDGSNGTNADGSSKSKLNCKIPSQKLIKSEPMASVFARRLDAIKHITPAKTMNDKTSMLGTCFFCGKVGHSLKECPQLTESELQDILRDLNSYDNTDGFLSICIRCFGFNHWAISCPFESSKIKNIHANNDRRIVLWHGVREQHQLFNDHAPSHKKSNSAREQTGLAVPLSLSPDEKGLPSDTIKENLRESTSKAYQSSSLNMNNVLKMDHSMAICNMFDANAGEEPSGTFQMIRQLQLSRTDVIRLMNSPVSNVGLEGFFLRLRVGKGDEDCGHSGYRVARICGARSKYCISVNIGHSQCSVDCRFISNHGFTEDELKSWWDGAVKGSSKVPTNEELNGKLQQRVKFGF >DRNTG_35414.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002243.1:30212:56845:1 gene:DRNTG_35414 transcript:DRNTG_35414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSKAVIVRKSVQPGKESWLLNQNHLSENKRLKTQAQDKFCSGSFHKQESSFMNWISTMTNGFSRSYQEKPLNQPLPIAHDTNKGSCTNIGFGSIFHSLHSPRLLIQDRAQKDLDSQRVADVLSEQDDREQASTGAGLVGSDGLDSNLQNAIGTSSKATNSVLKGIVCHEDVKLPTGALHSNENLKQATCVDEALPLNTMYISSGKSHEKAVGNIGNFSRYGKSFPSSSQKALATTLEGKAIGTIPSVLNGSSNLVSKKRGALLESLWISRLLPKVSVSIPEPANCSHGVELSNEKHTKITEKSCPSPFGQKSFACGTLKAQGHSDSDGSNGTNADGSSKSKLNCKIPSQKLIKSEPMASVFARRLDAIKHITPAKTMNDKTSMLGTCFFCGKVGHSLKECPQLTESELQDILRDLNSYDNTDGFLSICIRCFGFNHWAISCPFESSKIKNIHANNDRRIVLWHGVREQHQLFNDHAPSHKKSNSAREQTGLAVPLSLSPDEKGLPSDTIKENLRESTSKAYQSSSLNMNNVLKMDHSMAICNMFDANAGEEPSGTFQMIRQLQLSRTDVIRLMNSPVSNVGLEGFFLRLRVGKGDEDCGHSGYRVARICGARSKYCISVNIGHSQCSVDCRFISNHGFTEDELKSWWDGAVKGSSKVPTNEELNGKLQQRVKFGF >DRNTG_21055.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001198.1:28307:33034:-1 gene:DRNTG_21055 transcript:DRNTG_21055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSNLCLLLFFLFSATFSLSFEPLNTEVEALMSIKSSLNDPHGVLNNWDGDSVDPCSWAMITCSSENLVISLGAPSQNLSGTLSFMIQNLTNLQQILLQNNNISGEIPLELGKLSKLQTLDLSNNGFSGIIPESLGHLTNLRYLRLNNNSFNGPFPVSLSKLQQLSFLDLSYNNISGPVPPLFSARTFNIVGNPLICGRSSETECWRTSGTSSVPSLPSFLESSHRRSETEKVCVSLGVSIGSTSLLLVFGFFLWWWRKKQKHHLILGITEREDDESVAVAAAPLGNLRLFGLRELQAATENFSAKNILGKGGFGDVYRGRLTDGTVVAVKRLRDAAIGSAGEAQFRTEVEMISLAVHRNLLRLIGFCATPSERILVYPFMPNGSIASRLRGKPALDWGRRKRIAIGAARGLVYLHEQCDPKIIHRDVKAANVLLDERCDAVVGDLGLAKLLDHADSHVTTAVRGTVGHIAPEYLATGQSSDKTDVFAFGILLLELLTGRRALDAAAAAKEKDKQRPKGGAMRDWVEKLQQERKLELVVDKDMKDKYDRIEAAEMVQVALLCTQSLPAHRPKMSEVLRMLEGDGFVDKWEATHRPPPPPRRASSFSSSGYHTDSNPFLILDGCTCTCDDSSSRDVDSHSSADGVEEMELSGPR >DRNTG_10819.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5128945:5140510:-1 gene:DRNTG_10819 transcript:DRNTG_10819.13 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVSGFEKYYQIARCFRDEDLRADRQPEFTQLDMELAFTPLEEMLRLNENLVRHIFQEIVGIHLPNPFPRLTYAESMLKYGSDRPDLRFDLELKDVSDIFSECSFKVFADALDIGGIIKALCVPTGAEKFSNTTLKKGDIYNEAIRAGAKGLPFLKVIENGELEGIPALVSSLQPSNREKLLRICSAKSNDIILFAVGQPMVVNKILDRLRAYVARALGLVNQSAHSILWITDFPMFEWNDSEQRLEALHHPFTAPHPEDMDDLPSARALAYDLVYNGTEIGGGSLRIHKREVQEKVLATVGVSPKQGFLESCIGFHSFLSIRNYGEI >DRNTG_10819.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5128945:5140510:-1 gene:DRNTG_10819 transcript:DRNTG_10819.12 gene_biotype:protein_coding transcript_biotype:protein_coding MCMTLLRSTPEGARDYLVPSRVQPGTFYALPQSPQLFKQMLMVSGFEKYYQIARCFRDEDLRADRQPEFTQLDMELAFTPLEEMLRLNENLIFQEIVGIHLPNPFPRLTYAESMLKYGSDRPDLRFDLELKDVSDIFSECSFKVFADALDIGGIIKALCVPTGAEKFSNTTLKKGDIYNEAIRAGAKGLPFLKVIENGELEGIPALVSSLQPSNREKLLRICSAKSNDIILFAVGQPMVVNKILDRLRAYVARALGLVNQSAHSILWITDFPMFEWNDSEQRLEALHHPFTAPHPEDMDDLPSARALAYDLVYNGTEIGGGSLRIHKREVQEKVLATVGVSPKQAEEKFGYLLESLDMGAPPHGGIAYGLDRLVMLLAGANSIRDVIAFPKTTTAQCALTKSPSAVDSQQLKELSFNNLDP >DRNTG_10819.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5128945:5140510:-1 gene:DRNTG_10819 transcript:DRNTG_10819.6 gene_biotype:protein_coding transcript_biotype:protein_coding MCMTLLRSTPEGARDYLVPSRVQPGTFYALPQSPQLFKQMLMVSGFEKYYQIARCFRDEDLRADRQPEFTQLDMELAFTPLEEMLRLNENLVRHIFQEIVGIHLPNPFPRLTYAESMLKYGSDRPDLRFDLELKDVSDIFSECSFKVFADALDIGGIIKALCVPTGAEKFSNTTLKKGDIYNEAIRAGAKGLPFLKVIENGELEGIPALVSSLQPSNREKLLRICSAKSNDIILFAVGQPMVVNKILDRLRAYVARALGLVNQSAHSILWITDFPMFEWNDSEQRLEALHHPFTAPHPEDMDDLPSARALAYDLVYNGTEIGGGSLRIHKREVQEKVLATVGVSPKQAEEKFGYLLESLDMGAPPHGGIAYGLDRLVMLLAGANSIRDVIAFPKTTTAQCALTKSPSAVDSQQLKELSFNNLDP >DRNTG_10819.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5128945:5140510:-1 gene:DRNTG_10819 transcript:DRNTG_10819.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVSGFEKYYQIARCFRDEDLRADRQPEFTQLDMELAFTPLEEMLRLNENLVRHIFQEIVGIHLPNPFPRLTYAESMLKYGSDRPDLRFDLELKDVSDIFSECSFKVFADALDIGGIIKALCVPTGAEKFSNTTLKKGDIYNEAIRAGAKGLPFLKVIENGELEGIPALVSSLQPSNREKLLRICSAKSNDIILFAVGQPMVVNKILDRLRAYVARALGLVNQSAHSILWITDFPMFEWNDSEQRLEALHHPFTAPHPEDMDDLPSARALAYDLVYNGTEIGGGSLRIHKREVQEKVLATVGVSPKQAEEKFGYLLESLDMGAPPHGGIAYGLDRLVMLLAGANSIRDVIAFPKTTTAQCALTKSPSAVDSQQLKELSFNNLDP >DRNTG_10819.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5128945:5140510:-1 gene:DRNTG_10819 transcript:DRNTG_10819.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVSGFEKYYQIARCFRDEDLRADRQPEFTQLDMELAFTPLEEMLRLNENLVRHIFQEIVGIHLPNPFPRLTYAESMLKYGSDRPDLRFDLELKDVSDIFSECSFKVFADALDIGGIIKALCVPTGAEKFSNTTLKKGDIYNEAIRAGAKGLPFLKVIENGELEGIPALVSSLQPSNREKLLRICSAKSNDIILFAVGQPMVVNKILDRLRAYVARALGLVNQSAHSILWITDFPMFEWNDSEQRLEALHHPFTAPHPEDMDDLPSARALAYDLVYNGTEIGGGSLRIHKREVQEKVLATVGVSPKQGFLESCIGFHSFLSIRNYGEI >DRNTG_10819.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5128945:5140510:-1 gene:DRNTG_10819 transcript:DRNTG_10819.14 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVSGFEKYYQIARCFRDEDLRADRQPEFTQLDMELAFTPLEEMLRLNENLVRHIFQEIVGIHLPNPFPRLTYAESMLKYGSDRPDLRFDLELKDVSDIFSECSFKVFADALDIGGIIKALCVPTGAEKFSNTTLKKGDIYNEAIRAGAKGLPFLKVIENGELEGIPALVSSLQPSNREKLLRICSAKSNDIILFAVGQPMVVNKILDRLRAYVARALGLVNQSAHSILWITDFPMFEWNDSEQRLEALHHPFTAPHPEDMDDLPSARALAYDLVYNGTEIGGGSLRIHKREVQEKVLATVGVSPKQAEEKFGYLLESLDMGAPPHGGIAYGLDRLVMLLAGANSIRDVIAFPKTTTAQCALTKSPSAVDSQQLKELSFNNLDP >DRNTG_10819.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5128945:5133062:-1 gene:DRNTG_10819 transcript:DRNTG_10819.17 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPSARALAYDLVYNGTEIGGGSLRIHKREVQEKVLATVGVSPKQAEEKFGYLLESLDMGAPPHGGIAYGLDRLVMLLAGANSIRDVIAFPKTTTAQCALTKSPSAVDSQQLKELSFNNLDP >DRNTG_10819.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5128945:5140510:-1 gene:DRNTG_10819 transcript:DRNTG_10819.11 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVSGFEKYYQIARCFRDEDLRADRQPEFTQLDMELAFTPLEEMLRLNENLVRHIFQEIVGIHLPNPFPRLTYAESMLKYGSDRPDLRFDLELKDVSDIFSECSFKVFADALDIGGIIKALCVPTGAEKFSNTTLKKGDIYNEAIRAGAKGLPFLKVIENGELEGIPALVSSLQPSNREKLLRICSAKSNDIILFAVGQPMVVNKILDRLRAYVARALGLVNQSAHSILWITDFPMFEWNDSEQRLEALHHPFTAPHPEDMDDLPSARALAYDLVYNGTEIGGGSLRIHKREVQEKVLATVGVSPKQVCCQAIIFLFLTFNWFKLDKVSKLPAEKAGFCIMDYFAV >DRNTG_10819.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5128909:5140510:-1 gene:DRNTG_10819 transcript:DRNTG_10819.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYGSDRPDLRFDLELKDVSDIFSECSFKVFADALDIGGIIKALCVPTGAEKFSNTTLKKGDIYNEAIRAGAKGLPFLKVIENGELEGIPALVSSLQPSNREKLLRICSAKSNDIILFAVGQPMVVNKILDRLRAYVARALGLVNQSAHSILWITDFPMFEWNDSEQRLEALHHPFTAPHPEDMDDLPSARALAYDLVYNGTEIGGGSLRIHKREVQEKVLATVGVSPKQAEEKFGYLLESLDMGAPPHGGIAYGLDRLVMLLAGANSIRDVIAFPKTTTAQCALTKSPSAVDSQQLKELSFNNLDP >DRNTG_10819.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5128909:5140510:-1 gene:DRNTG_10819 transcript:DRNTG_10819.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYGSDRPDLRFDLELKDVSDIFSECSFKVFADALDIGGIIKALCVPTGAEKFSNTTLKKGDIYNEAIRAGAKGLPFLKVIENGELEGIPALVSSLQPSNREKLLRICSAKSNDIILFAVGQPMVVNKILDRLRAYVARALGLVNQSAHSILWITDFPMFEWNDSEQRLEALHHPFTAPHPEDMDDLPSARALAYDLVYNGTEIGGGSLRIHKREVQEKVLATVGVSPKQAEEKFGYLLESLDMGAPPHGGIAYGLDRLVMLLAGANSIRDVIAFPKTTTAQCALTKSPSAVDSQQLKELSFNNLDP >DRNTG_10819.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5128945:5140510:-1 gene:DRNTG_10819 transcript:DRNTG_10819.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGDIEIAAEHVLVLNSVNRALPFPVTTADDVKDVITEEIRLRYRFLDLRRLQMQSNLRLRHKVVKLMRRYLEDVHDFVEIETPILSRSTPEGARDYLVPSRVQPGTFYALPQSPQLFKQMLMVSGFEKYYQIARCFRDEDLRADRQPEFTQLDMELAFTPLEEMLRLNENLVRHIFQEIVGIHLPNPFPRLTYAESMLKYGSDRPDLRFDLELKDVSDIFSECSFKVFADALDIGGIIKALCVPTGAEKFSNTTLKKGDIYNEAIRAGAKGLPFLKVIENGELEGIPALVSSLQPSNREKLLRICSAKSNDIILFAVGQPMVVNKILDRLRAYVARALGLVNQSAHSILWITDFPMFEWNDSEQRLEALHHPFTAPHPEDMDDLPSARALAYDLVYNGTEIGGGSLRIHKREVQEKVLATVGVSPKQAEEKFGYLLESLDMGAPPHGGIAYGLDRLVMLLAGANSIRDVIAFPKTTTAQCALTKSPSAVDSQQLKELSFNNLDP >DRNTG_10819.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5128945:5140510:-1 gene:DRNTG_10819 transcript:DRNTG_10819.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVSGFEKYYQIARCFRDEDLRADRQPEFTQLDMELAFTPLEEMLRLNENLVRHIFQEIVGIHLPNPFPRLTYAESMLKYGSDRPDLRFDLELKDVSDIFSECSFKVFADALDIGGIIKALCVPTGAEKFSNTTLKKGDIYNEAIRAGAKGLPFLKVIENGELEGIPALVSSLQPSNREKLLRICSAKSNDIILFAVGQPMVVNKILDRLRAYVARALGLVNQSAHSILWITDFPMFEWNDSEQRLEALHHPFTAPHPEDMDDLPSARALAYDLVYNGTEIGGGSLRIHKREVQEKVLATVGVSPKQAEEKFGYLLESLDMGAPPHGGIAYGLDRLVMLLAGANSIRDVIAFPKTTTAQCALTKSPSAVDSQQLKELSFNNLDP >DRNTG_10819.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5128909:5140510:-1 gene:DRNTG_10819 transcript:DRNTG_10819.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYGSDRPDLRFDLELKDVSDIFSECSFKVFADALDIGGIIKALCVPTGAEKFSNTTLKKGDIYNEAIRAGAKGLPFLKVIENGELEGIPALVSSLQPSNREKLLRICSAKSNDIILFAVGQPMVVNKILDRLRAYVARALGLVNQSAHSILWITDFPMFEWNDSEQRLEALHHPFTAPHPEDMDDLPSARALAYDLVYNGTEIGGGSLRIHKREVQEKVLATVGVSPKQAEEKFGYLLESLDMGAPPHGGIAYGLDRLVMLLAGANSIRDVIAFPKTTTAQCALTKSPSAVDSQQLKELSFNNLDP >DRNTG_10819.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5128945:5136046:-1 gene:DRNTG_10819 transcript:DRNTG_10819.16 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYGSDRPDLRFDLELKDVSDIFSECSFKVFADALDIGGIIKALCVPTGAEKFSNTTLKKGDIYNEAIRAGAKGLPFLKVIENGELEGIPALVSSLQPSNREKLLRICSAKSNDIILFAVGQPMVVNKILDRLRAYVARALGLVNQSAHSILWITDFPMFEWNDSEQRLEALHHPFTAPHPEDMDDLPSARALAYDLVYNGTEIGGGSLRIHKREVQEKVLATVGVSPKQVCCQAIIFLFLTFNWFKLDKVSKLPAEKAGFCIMDYFAV >DRNTG_10819.18.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5128945:5129342:-1 gene:DRNTG_10819 transcript:DRNTG_10819.18 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAGANSIRDVIAFPKTTTAQCALTKSPSAVDSQQLKELSFNNLDP >DRNTG_10819.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5128909:5140556:-1 gene:DRNTG_10819 transcript:DRNTG_10819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYGSDRPDLRFDLELKDVSDIFSECSFKVFADALDIGGIIKALCVPTGAEKFSNTTLKKGDIYNEAIRAGAKGLPFLKVIENGELEGIPALVSSLQPSNREKLLRICSAKSNDIILFAVGQPMVVNKILDRLRAYVARALGLVNQSAHSILWITDFPMFEWNDSEQRLEALHHPFTAPHPEDMDDLPSARALAYDLVYNGTEIGGGSLRIHKREVQEKVLATVGVSPKQGFLESCIGFHSFLSIRNYGEI >DRNTG_10819.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5128945:5140510:-1 gene:DRNTG_10819 transcript:DRNTG_10819.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVSGFEKYYQIARCFRDEDLRADRQPEFTQLDMELAFTPLEEMLRLNENLVRHIFQEIVGIHLPNPFPRLTYAESMLKYGSDRPDLRFDLELKDVSDIFSECSFKVFADALDIGGIIKALCVPTGAEKFSNTTLKKGDIYNEAIRAGAKGLPFLKVIENGELEGIPALVSSLQPSNREKLLRICSAKSNDIILFAVGQPMVVNKILDRLRAYVARALGLVNQSAHSILWITDFPMFEWNDSEQRLEALHHPFTAPHPEDMDDLPSARALAYDLVYNGTEIGGGSLRIHKREVQEKVLATVGVSPKQAEEKFGYLLESLDMGAPPHGGIAYGLDRLVMLLAGANSIRDVIAFPKTTTAQCALTKSPSAVDSQQLKELSFNNLDP >DRNTG_10819.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5128945:5140044:-1 gene:DRNTG_10819 transcript:DRNTG_10819.15 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGDIEIAAEHVLVLNSVNRALPFPVTTADDVKDVITEEIRLRYRFLDLRRLQMQSNLRLRHKVVKLMRRYLEDVHDFVEIETPILSRSTPEGARDYLVPSRVQPGTFYALPQSPQLFKQMLMVSGFEKYYQIARCFRDEDLRADRQPEFTQLDMELAFTPLEEMLRLNENLVRHIFQEIVGIHLPNPFPRLTYAESMLKYGSDRPDLRFDLELKDVSDIFSECSFKVFADALDIGGIIKALCVPTGAEKFSNTTLKKGDIYNEAIRAGAKGLPFLKVIENGELEGIPALVSSLQPSNREKLLRICSAKSNDIILFAVGQPMVVNKILDRLRAYVARALGLVNQSAHSILWITDFPMFEWNDSEQRLEALHHPFTAPHPEDMDDLPSARALAYDLVYNGTEIGGGSLRIHKREVQEKVLATVGVSPKQVCCQAIIFLFLTFNWFKLDKVSKLPAEKAGFCIMDYFAV >DRNTG_16104.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25419142:25420511:-1 gene:DRNTG_16104 transcript:DRNTG_16104.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSKWPFLPYKGKWQGSFSERQAMETLRNKVLEEQHNSDTKSVSMLVDCFRIYGSSPSFSGYSFMVQHLLHKNLHSHLPPILDHLEKSERIDVPEKFFVNLIQEYGKADMLQEAVNMFLRIPKFRCSPSALSLNSLLNILCRKNDGLVLIHDVLLKAPALNIRLEACSFRILIRALCRTGRVGFAIELFNIMQQLHEFMPDSGFYLLILRALCRYSTPDEVMKFLEDMWNAGVLPSTWEYNAVINLLVSKDRLND >DRNTG_08181.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000369.1:51907:54168:1 gene:DRNTG_08181 transcript:DRNTG_08181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRITHDVVGGHDALRFGLDGVKGDIVGVHPLQSLREKMKLDLEGKKRSILDLTYGSAFNLRNDFERQILSRFQKPPGVLPSSMLGYEALTGCLEDFGFEDYLNVPQDSETHRPAEMHHGMEVCHGLSKGPVCPSLN >DRNTG_23266.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18568117:18573342:1 gene:DRNTG_23266 transcript:DRNTG_23266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGNIPLELGNLTSLRVIDFAYNYLSGAIPYNLGNLKAMKFAHKIFYNTKVALAIYDKISFDFVDNMEILYMVSNKLLRGYMDNVNVYLKGRNIQYDKLLQLLISIDLSRNQLSRKIPEEVMNLSYLQNLNLSRNLLTGRIPDKIGTLLSPLYSHFWKTRSPNKITLFYWLAGEDKILTLTNLFKRRHFFFNSLTQSSLHNLSPRSGLPGYHPLIFNSYASGISSPERSYGISGLNEIPQSLSEASLRIKRSLNFLSARVSTHSSDQDPDVAQE >DRNTG_18983.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22725150:22726225:-1 gene:DRNTG_18983 transcript:DRNTG_18983.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPNAHLNYLGFVLNSQQLFAITTAFLVLPTVWLRDLSLLSYLSAGGVTASVIAVLCLLWVGTVDKVGFHPGGTPLDLANLPVALGLYGFCFSGHSVFPNIYSSMRTPSEFPSVMFYCFLICTIVYSGVAVLGYMMFGEFTKSQFTLNLPPEFVASKLAIGTTVVNPLSKYALTMTPVALSLEEILPSNHQSRPVVLVIRTLLVLSTLIVALKVPYF >DRNTG_18983.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22725150:22726501:-1 gene:DRNTG_18983 transcript:DRNTG_18983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLGFGSISFYTGILLKRCLDSSPELQTYPDIGQAAFGRTGRLCISVILYLELYACCVEYIILVGDSLSSMFPNAHLNYLGFVLNSQQLFAITTAFLVLPTVWLRDLSLLSYLSAGGVTASVIAVLCLLWVGTVDKVGFHPGGTPLDLANLPVALGLYGFCFSGHSVFPNIYSSMRTPSEFPSVMFYCFLICTIVYSGVAVLGYMMFGEFTKSQFTLNLPPEFVASKLAIGTTVVNPLSKYALTMTPVALSLEEILPSNHQSRPVVLVIRTLLVLSTLIVALKVPYF >DRNTG_06696.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3465048:3468587:-1 gene:DRNTG_06696 transcript:DRNTG_06696.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTPSTAIEVDMAGETSATTVRVTVVQASTVYYDTPATLDKAEGLIADAATCGAQLVVFPEAFVGGYPRFSNFGVTIANRSAKGKEDFQKYHASAIAVPGPEVDRLAAMAGKHKIFLVMGVIEKEAYTLYCTVLFFDPQGQYLGKHRKLMPTTMERIIWGFGDVSTIPVYETPLGKIGSLICWENRMPLLRTALYAKGVEIYCAPTADDSDVWQASMKHIAVEGGCFVLSANQFCRRKDYPPPPDYVFAGVDNEPLPESVACAGGSVIISPSGVVLAGPNFDGEALISAELDLGEIVRAKFGFDVVGHYSRPDVLSLIVRDHSLKPVFFTSAENKTDNAQKFP >DRNTG_06467.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:557114:562032:-1 gene:DRNTG_06467 transcript:DRNTG_06467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSATFPAFSPDGDLIAINGNLTDGPGLMLLKSDGSKGWTLLKDSMAFYTTWSPTEKGVIYTSLGPIFESVKATVQIARIKFSLDDLVDGRDEVPVEVKILTRGETGNNAFPSCSPDGKFLVFRSGRTGQKNLYIVDPVEGETGNGEGIRRLTDGDWVDTMPCWSPDGKLIAFSSNRHCPEDQAVFSVYLVGPDGKGLRRVHVAGEEGSDDVDRERINHVCFSGDSRWLLFTGNLGGVVAEPVSVPNQFQPYGDLYIVRVDGTGVKRLTCNCFENGTPAWYSGGGVLDIGSLSLVENEGVKLRGQFDEPLWLTCDL >DRNTG_06467.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:556984:559213:-1 gene:DRNTG_06467 transcript:DRNTG_06467.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSATFPAFSPDGDLIAINGNLTDGPGLMLLKSDGSKGWTLLKDSMAFYTTWSPTEKGVIYTSLGPIFESVKATVQIARIKFSLDDLVDGRDEVPVEVKILTRGETGNNAFPSCSPDGKFLVFRSGRTGQKNLYIVDPVEGETGNGEGIRRLTDGDWVDTMPCWSPDGKLIAFSSNRHCPEDQAVFSVYLVGPDGKGLRRVHVAGEEGSDDVDRERINHVCFSGDSRWLLFTGNLGGVVAEPVSVPNQFQPYGDLYIVRVDGTGVKRLTCNCFENGTPAWYSGGGVLDIGSLSLVENEGVKLRGQFDEPLWLTCDL >DRNTG_06467.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:557114:562032:-1 gene:DRNTG_06467 transcript:DRNTG_06467.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSATFPAFSPDGDLIAINGNLTDGPGLMLLKSDGSKGWTLLKDSMAFYTTWSPTEKGVIYTSLGPIFESVKATVQIARIKFSLDDLVDGRDEVPVEVKILTRGETGNNAFPSCSPDGKFLVFRSGRTGQKNLYIVDPVEGETGNGEGIRRLTDGDWVDTMPCWSPDGKLIAFSSNRHCPEDQAVFSVYLVGPDGKGLRRVHVAGEEGSDDVDRERINHVCFSGDSRWLLFTGNLGGVVAEPVSVPNQFQPYGDLYIVRVDGTGVKRLTCNCFENGTPAWYSGGGVLDIGSLSLVENEGVKLRGQFDEPLWLTCDL >DRNTG_06467.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:557114:559213:-1 gene:DRNTG_06467 transcript:DRNTG_06467.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSATFPAFSPDGDLIAINGNLTDGPGLMLLKSDGSKGWTLLKDSMAFYTTWSPTEKGVIYTSLGPIFESVKATVQIARIKFSLDDLVDGRDEVPVEVKILTRGETGNNAFPSCSPDGKFLVFRSGRTGQKNLYIVDPVEGETGNGEGIRRLTDGDWVDTMPCWSPDGKLIAFSSNRHCPEDQAVFSVYLVGPDGKGLRRVHVAGEEGSDDVDRERINHVCFSGDSRWLLFTGNLGGVVAEPVSVPNQFQPYGDLYIVRVDGTGVKRLTCNCFENGTPAWYSGGGVLDIGSLSLVENEGVKLRGQFDEPLWLTCDL >DRNTG_06467.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:557114:559170:-1 gene:DRNTG_06467 transcript:DRNTG_06467.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSATFPAFSPDGDLIAINGNLTDGPGLMLLKSDGSKGWTLLKDSMAFYTTWSPTEKGVIYTSLGPIFESVKATVQIARIKFSLDDLVDGRDEVPVEVKILTRGETGNNAFPSCSPDGKFLVFRSGRTGQKNLYIVDPVEGETGNGEGIRRLTDGDWVDTMPCWSPDGKLIAFSSNRHCPEDQAVFSVYLVGPDGKGLRRVHVAGEEGSDDVDRERINHVCFSGDSRWLLFTGNLGGVVAEPVSVPNQFQPYGDLYIVRVDGTGVKRLTCNCFENGTPAWYSGGGVLDIGSLSLVENEGVKLRGQFDEPLWLTCDL >DRNTG_06467.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:556984:559170:-1 gene:DRNTG_06467 transcript:DRNTG_06467.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSATFPAFSPDGDLIAINGNLTDGPGLMLLKSDGSKGWTLLKDSMAFYTTWSPTEKGVIYTSLGPIFESVKATVQIARIKFSLDDLVDGRDEVPVEVKILTRGETGNNAFPSCSPDGKFLVFRSGRTGQKNLYIVDPVEGETGNGEGIRRLTDGDWVDTMPCWSPDGKLIAFSSNRHCPEDQAVFSVYLVGPDGKGLRRVHVAGEEGSDDVDRERINHVCFSGDSRWLLFTGNLGGVVAEPVSVPNQFQPYGDLYIVRVDGTGVKRLTCNCFENGTPAWYSGGGVLDIGSLSLVENEGVKLRGQFDEPLWLTCDL >DRNTG_21194.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:2285886:2292875:1 gene:DRNTG_21194 transcript:DRNTG_21194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTMEVVVEAIRTLTVVLYLWAFFTSFLLLGEALQSKPSFGCVERSKYARICVEIDFAKPLKQDFWIGDAEYRDFAVVFYECLPVLCYNCGLVGHGSNACSRRNSGSLNSPSQSLCQDLGDRQRGRSGYAAYRDSFLESNYF >DRNTG_32974.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20997121:20998462:1 gene:DRNTG_32974 transcript:DRNTG_32974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKQELSTEKFTTMAEKTLVLKLLIDTRENRVLFAEAGKEVVDFLFSLLALPLGFIVKLLSKDQMVGSIGSIYSSLQNLDSTYIQPNQDKDILLGSQEQEQIEPQNNLLLSVPIPPKVNKYYGCNNRYHNSSCYQYVTKVCGIQCPSCGDRMGRVIQFVNPESGTASGNGGGEGYVKGVVTYTIMDDLSVTPMSTISCITLLSKFNVTNVDVLKEKNVSLGTQEALELLEASLGTKTVLTDVFLANEE >DRNTG_13376.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2365768:2366695:-1 gene:DRNTG_13376 transcript:DRNTG_13376.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLIDYNSLFPSFFLMFCLIYLVGYSIVFRNWNPKHRPDASSSFISLFHGTPAVLLAVFALLTQPSWSFASPNTGIDNLVLDFSIAYFTVDLLHYLILIPRDYLFIAHHLATLFVFITCRCLVLHGSFALLVLLVLAEITSPFQNIWTLSRLRQTESSHAAKLHQFLSLPFYTLYTIMRGFAGPLFFYKMSAYYLSGKADDVIPRWVSILWVIIIGSAIGVSILWISNHWAELRNECRYDAEKKQR >DRNTG_13376.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2365768:2367180:-1 gene:DRNTG_13376 transcript:DRNTG_13376.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLIDYNSLFPSFFLMFCLIYLVGYSIVFRNWNPKHRPDASSSFISLFHGTPAVLLAVFALLTQPSWSFASPNTGIDNLVLDFSIAYFTVDLLHYLILIPRDYLFIAHHLATLFVFITCRCLVLHGSFALLVLLVLAEITSPFQNIWTLSRLRQTESSHAAKLHQFLSLPFYTLYTIMRGFAGPLFFYKMSAYYLSGKADDVIPRWVSILWVIIIGSAIGVSILWISNHWAELRNECRYDAEKKQR >DRNTG_20121.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22580749:22584127:-1 gene:DRNTG_20121 transcript:DRNTG_20121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKMNGHPLLRGGRRSSGDIEYEHGLSSSQMKSLSAICEALIPSIAMDQDEPVHLMMNSNNGKDKKQLMSYKAMQDFYLASASHPPFPDEVAELMVKRGQREAITLVGFVLWLLSTRFGTLILCGSLSFSGGFPFMIKKFSDMALEKREAVLQGWSKTKFFTPLRLVFFMVLEDSENKSWNAIGYGIPSDTESSEAQQQEGRPLEKGIIETKDHNDSSLVEAFKRRGLNVTLDPKQNLYQIECDVVIVGSGCGGGVAAAVLASSGYKVVVIEKGNYFTAQDYTSLEAPSMNQLYESGGILATLNGSMLLLAGSTVGGGSVVNWSACIRTPHNVLNEWVEKHNLPMFRTSDYVSAMDIVSARLGVNDKCNEEGFQNTILRKGCQKLGLKAEHVARNSSENHFCGSCCYGCRTGDKRGTDTSWLVDAVNCGAVVLTGCMAERFIMKQNDQIGNKTKKKKCLGLIARAMAGGVTKKLQFQSKVSISACGSLLTPPLLISSGLKNPNIGKNLHLHPVIFAWGYFPESETEIKGKSFEGGIITSLHKVGDSECRALLETPAFGPGTLSTLVPWVTGHDMKQRMAKYSRTAHIFALVRDRGHGSVEGRGRINYELDEFDKGNLREGLKTALRILVAAGAVEVGTHRSDGQRIKCKGIKDEDLEEFLNDDAVVGGPKSNEELWTLYCSAHHLGSCRMGVNEEEGAVDENGESWEAQGLFVCDASVFPSAIGVNPMITIQSISYCLSKGIAKSLASVP >DRNTG_09439.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21217126:21219054:1 gene:DRNTG_09439 transcript:DRNTG_09439.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYNNVRLDGKPMRIEAVGMNILRPSAEMPINVGFVKPIGGRKSGHGRGGSGRWPRGGQGQRRARRQLGGHGGSTTLSVEALDADLDKYHLEEMETN >DRNTG_09439.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21215536:21219054:1 gene:DRNTG_09439 transcript:DRNTG_09439.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMPMSSSIGRGTMLYISNLDYDVSNEDIKQLFSEVGDIKRYSIHYDKSGRSKGTAEVVFTRREDALAVMQSYNNVRLDGKPMRIEAVGMNILRPSAEMPINVGFVKPIGGRKSGHGRGGSGRWPRGGQGQRRARRQLGGHGGSTTLSVEALDADLDKYHLEEMETN >DRNTG_09439.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21215536:21217052:1 gene:DRNTG_09439 transcript:DRNTG_09439.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAFDMSLDDLIKQSKKLASGGNSMGRARYSRSGPARWVSNRSGNRPAPYSMEKAPESKWQHDLYTMNSMPMSSSIGRGTMLYISNLDYDVSNEDIKQLFSEVGDIKRYSIHYDKSGRSK >DRNTG_21477.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2707141:2711156:-1 gene:DRNTG_21477 transcript:DRNTG_21477.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor C [Source:Projected from Arabidopsis thaliana (AT4G39920) UniProtKB/Swiss-Prot;Acc:Q9SMR2] MEGSNPNPVASDALEKKHALMLERLENLRQARKTGSGSEAAPSFESVASFLSRFSDAKRSIDAELFRLRALDPSAKPDVKPQLEALSVMIADLERLVAENSYFLPSYDVRSSLKSISDLKESLDGLSAKLVPRKKFSFKNKPLKKPQPVLSKEKVEFRVLDEEKSDLGGVRESPGFRSKKGNVLVKQFRVSEEGEGDFSLIDLDSCEVYLKGRFRALFVHRIKNCRIFVGPVLGSVLIEDVEGCLFMLASHQIRIHQAKMTDFYLRVRSRPIIEDCSVVRFAPYQLVYEGIEKELQESGLGEETENWSNVDDFRWLRAVQSPNWCVLPQEERIGVVEISDKREQCQDNYFVSS >DRNTG_21477.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2709828:2711156:-1 gene:DRNTG_21477 transcript:DRNTG_21477.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor C [Source:Projected from Arabidopsis thaliana (AT4G39920) UniProtKB/Swiss-Prot;Acc:Q9SMR2] MEGSNPNPVASDALEKKHALMLERLENLRQARKTGSGSEAAPSFESVASFLSRFSDAKRSIDAELFRLRALDPSAKPDVKPQLEALSVMIADLERLVAENSYFLPSYDVRSSLKSISDLKESLDGLSAKLVPRKKFSFKNKPLKKPQPVLSKEKVEFRVLDEEKSDLGGVRESPGFRSKKGNVLVKQFRVSEEGEGDFSLIDLDSCEVYLKGRFRALFVHRIKNCRIFVGPVLGSVLIEDVEGCLFMLASHQIRIHQAKMTDFYLRVRSRPIIEDCSVVRFAPYQLVYEGIEKELQESGLGEETENWSNVDDFRWLRAVQSPNWCVLPQEERIGVVEISDKREQCQDNYFVSS >DRNTG_24022.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8638193:8642623:-1 gene:DRNTG_24022 transcript:DRNTG_24022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGEIGIKDKDQGEKGTCFRFNIFLKSCEVPNGEDLTIDRGQKPNLLHLASLWDHIGFQSSVLTVTFIENFNIENVNILLLIQGDETKRFAKRWLEGFGLKAWEIRSPYFLKFVLQKIKHKLLNLGDLGTFDLGLSSISSSKLISQDSDEELDISIDNQTSPMMARDLPETSIIKMLMPYIVVLIDSNFENHSEICLMLKEFSQNIQNIQFKVVWLANSNAYSAELNKSKERQCDLILKRPLYGTRLRPLLSLFQDTTESQQLESRTLTEIQKDEDFDKSSLHFKRQEFHKSEPEKISLKNISKDINHLNGMGILLVEDSPVISRYEFLLLSKLGAKVEICKNGLEALDKVKSALLETTNSIDLSQAQKDLDHFPYDIILMDCEMPMMDGYHATRKIRIEEKQYGLHIPIIALSAHGTQDEEEKAILAGNGYLFRKATE >DRNTG_11900.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21474202:21480565:-1 gene:DRNTG_11900 transcript:DRNTG_11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator protein 2 [Source:Projected from Arabidopsis thaliana (AT1G49540) UniProtKB/TrEMBL;Acc:F4I1S8] MSILSASMDKKMMIWRPEKTTGIWINEVTVGELSHSALGFYGGHWALEGDSILAHGYGGSFHLWKNMGIEYENWEPQRVPSGHFAGVSDIAWSRSGEYLLSVSHDQTSRIFAPWRSKTYIGDKITWHEIARPQVHGHDINCVAIIKGKGNHRFVSGADEKVARVFEAPLSFLKTLNHAILEDTSNFGDINEHVQILGANMSALGLSQKPIYVNASNETSRVLHKDEEDSLETIPDAVPVVLTEPPVEEQLGWHTLWPESHKLYGHGNELFALCCDHKGKLLASSCKAQSASVAEIWLWEVGSWKAVGRLQSHSLTVTQLQFSCDDSFLLAVSRDRQLSVFSITKSGDIVNYQLVVKHEAHKRIIWACAWSPFGHEFATGSRDKTVKIWAIQDASFS >DRNTG_11900.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21474202:21479229:-1 gene:DRNTG_11900 transcript:DRNTG_11900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator protein 2 [Source:Projected from Arabidopsis thaliana (AT1G49540) UniProtKB/TrEMBL;Acc:F4I1S8] MSILSASMDKKMMIWRPEKTTGIWINEVTVGELSHSALGFYGGHWALEGDSILAHGYGGSFHLWKNMGIEYENWEPQRVPSGHFAGVSDIAWSRSGEYLLSVSHDQTSRIFAPWRSKTYIGDKITWHEIARPQVHGHDINCVAIIKGKGNHRFVSGADEKVARVFEAPLSFLKTLNHAILEDTSNFGDINEHVQILGANMSALGLSQKPIYVNASNETSRVLHKDEEDSLETIPDAVPVVLTEPPVEEQLGWHTLWPESHKLYGHGNELFALCCDHKGKLLASSCKAQSASVAEIWLWEVGSWKAVGRLQSHSLTVTQLQFSCDDSFLLAVSRDRQLSVFSITKSGDIVNYQLVVKHEAHKRIIWACAWSPFGHEFATGSRDKTVKIWAIQDASFS >DRNTG_24757.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2205841:2216613:-1 gene:DRNTG_24757 transcript:DRNTG_24757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLRDLSQPIDVALLDSTVAAFYGTGSKAERQAADQILRELQNNPDTWLQVVRILQNSQSLNTKFFALQVLESVIKYRWNALPVEQRDGIKNYISDVIVQLSSNEASFRSERLYVNKLNIILVQVLKHEWPARWQSFIPDLVSAAKSSETLCENCMAILKLLSEEVFDFSRGEMTQQKIKELKHSLNSEFQLIHELCLFVLSASQRAELIRATLATLHAFLSWIPLGYIFESPLLETLLNFFPVAAYRNLTLQCLTEVASLHFGDFYDQKYVRIYTIFMVQLQNILPSTTNIPDAYANGSSDEQAFIQNLALFFTSFFKYHIQILESTAESRAALLLGLEYLINISYVDDTEVFKVCLDYWNLLVLQLFEPHHNLDNPAAASGVVASITGLMDGLGPQLSQRRQLYSSPVSKLRLLMISRMAKPEEVIVVEDENGNIVRETMKDNDVLVQYKIMRETLIYLTHLDHEDTEQQMLKKLSKQLNGVDWSWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPKFLRAHWKFLKTVVNKLFEFMHENHPGVQDMACDTFLKIVQKCKRKFVLTQLGENEPFVSELLSSLPVTIADLEPHQIHSFYESVGHMIHAESDSMKRDEYLKRLMDLPNQKWAEIIGQAGRSVDVLKDQDIIRTILNILQTNTSVASSLGSYFFPQISSIFLDMLTVYRMYSELISSTIAEGGPFASRTSFVKLLRSVKRETLKLIETFVDKAEDQPHIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKRVMMDEVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFPALVLLSSQQLKLVMDSIIWAFRHTERNIAETGLNLLVEILKNFQVSEFCNQFYRTYFVTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLVDSKSLTEPLWDVASAPLQYPSNADFIRDYTIKLLGSSFPNMTPTEVTQFVVGLFLSKNNLPTFKDHIRDFLVQSKEFSAQDNKDLYAEEAAAQREKDRQRMLSVPGLIAPNELQDEMVDS >DRNTG_24757.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2205841:2209932:-1 gene:DRNTG_24757 transcript:DRNTG_24757.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIHAESDSMKRDEYLKRLMDLPNQKWAEIIGQAGRSVDVLKDQDIIRTILNILQTNTSVASSLGSYFFPQISSIFLDMLTVYRMYSELISSTIAEGGPFASRTSFVKLLRSVKRETLKLIETFVDKAEDQPHIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKRVMMDEVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFPALVLLSSQQLKLVMDSIIWAFRHTERNIAETGLNLLVEILKNFQVSEFCNQFYRTYFVTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLVDSKSLTEPLWDVASAPLQYPSNADFIRDYTIKLLGSSFPNMTPTEVTQFVVGLFLSKNNLPTFKDHIRDFLVQSKEFSAQDNKDLYAEEAAAQREKDRQRMLSVPGLIAPNELQDEMVDS >DRNTG_24757.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2210342:2216613:-1 gene:DRNTG_24757 transcript:DRNTG_24757.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLRDLSQPIDVALLDSTVAAFYGTGSKAERQAADQILRELQNNPDTWLQVVRILQNSQSLNTKFFALQVLESVIKYRWNALPVEQRDGIKNYISDVIVQLSSNEASFRSERLYVNKLNIILVQVLKHEWPARWQSFIPDLVSAAKSSETLCENCMAILKLLSEEVFDFSRGEMTQQKIKELKHSLNSEFQLIHELCLFVLSASQRAELIRATLATLHAFLSWIPLGYIFESPLLETLLNFFPVAAYRNLTLQCLTEVASLHFGDFYDQKYVRIYTIFMVQLQNILPSTTNIPDAYANGSSDEQAFIQNLALFFTSFFKYHIQILESTAESRAALLLGLEYLINISYVDDTEVFKVCLDYWNLLVLQLFEPHHNLDNPAAASGVVASITGLMDGLGPQLSQRRQLYSSPVSKLRLLMISRMAKPEEVIVVEDENGNIVRETMKDNDVLVQYKIMRETLIYLTHLDHEDTEQQMLKKLSKQLNGVDWSWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPKFLRAHWKFLKTVVNKLFEFMHENHPGVQDMACDTFLKIVQKCKRKFVLTQACPTFLLMTSLLLQFCITPYPMFHCYL >DRNTG_00191.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:127931:131265:-1 gene:DRNTG_00191 transcript:DRNTG_00191.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNRSSYMERTTNTIKPKHKESRKMARGCSLKK >DRNTG_00191.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:127931:131265:-1 gene:DRNTG_00191 transcript:DRNTG_00191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNRSSYMERTTNTIKPKHKESRKMARGCSLKK >DRNTG_08455.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21422868:21424400:1 gene:DRNTG_08455 transcript:DRNTG_08455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQTIIPVSQNSGDVPNPHYILMPAMAQGHMIPMIDMARLIASRGVHVTFVTTPLNAARNNTIINSMHGSGLPIHFLILPFPCIEAGLPEGCENLDMLPTGDLMKTFLDACRLLKNPLINHLKAQNHLSPQSLIISDASLPWTREVSRELSIPKLFFNGFGCFALLCCFKIHEHKIHQAITDENELFVIPGLPDRIELTRAQTPGFSIKEMEKYMVEMREAEIEADGVVVNSFDELESQYCEWYQKTTGKKVWMIGPLSLSNKKIGDVAARGNKAAIDEGLCMSWLDSMKPSSVLYVSFGSMAHTKLDQLVELGLSLEASQKPFIWVIRDGEKVADVEEWLSEGFEERTRSRGLIIRGWAPQVMILSHQAVGGFMTHCGWNSTLEGVTAGVPMITWPHFAEQFINEKLIVQVLKIGVSVGVKHPGRQWVEDKGDALVVEKEMIEKAVVRLMGGGTEAEEMRKRAKMLGEMAVRGMEEGGSSYQTLSNLINYFNGADHGQVEDKRHVQLA >DRNTG_35478.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3831378:3831823:1 gene:DRNTG_35478 transcript:DRNTG_35478.1 gene_biotype:protein_coding transcript_biotype:protein_coding LISTKRTSRRQQRNQRRSFLHKKEHHP >DRNTG_11991.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6621909:6623456:1 gene:DRNTG_11991 transcript:DRNTG_11991.5 gene_biotype:protein_coding transcript_biotype:protein_coding ERSRDVDSEKDPGEAFKVFDKDQNGLISADAENSYLKIERVED >DRNTG_11991.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6621909:6623456:1 gene:DRNTG_11991 transcript:DRNTG_11991.4 gene_biotype:protein_coding transcript_biotype:protein_coding KDVDSEKDPGEAFKVFDKDQNGLISADAENSYLKIERVED >DRNTG_11991.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6621909:6623456:1 gene:DRNTG_11991 transcript:DRNTG_11991.7 gene_biotype:protein_coding transcript_biotype:protein_coding ERSRDVDSEKDPGEAFKVFDKDQNGLISADAENSYLKIERVED >DRNTG_11991.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6621909:6623456:1 gene:DRNTG_11991 transcript:DRNTG_11991.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNFHITYKDVDSEKDPGEAFKVFDKDQNGLISADAENSYLKIERVED >DRNTG_11991.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6621909:6623456:1 gene:DRNTG_11991 transcript:DRNTG_11991.2 gene_biotype:protein_coding transcript_biotype:protein_coding SNFHITYKDVDSEKDPGEAFKVFDKDQNGLISADAENSYLKIERVED >DRNTG_11991.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6621909:6623456:1 gene:DRNTG_11991 transcript:DRNTG_11991.8 gene_biotype:protein_coding transcript_biotype:protein_coding ERSRDVDSEKDPGEAFKVFDKDQNGLISADAENSYLKIERVED >DRNTG_11991.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6621909:6623456:1 gene:DRNTG_11991 transcript:DRNTG_11991.3 gene_biotype:protein_coding transcript_biotype:protein_coding SNFHITYKDVDSEKDPGEAFKVFDKDQNGLISADAENSYLKIERVED >DRNTG_11991.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6621909:6623456:1 gene:DRNTG_11991 transcript:DRNTG_11991.6 gene_biotype:protein_coding transcript_biotype:protein_coding ILQSFPLHQLQHLVLEKEDVDSEKDPGEAFKVFDKDQNGLISADAENSYLKIERVED >DRNTG_13379.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3949560:3950058:-1 gene:DRNTG_13379 transcript:DRNTG_13379.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTNLHEHIVTSKQLPKKWLPLFKPCYNLINCIPYHFHLPLQHSPQPCNPHSWPSPKPLCIATTSQPQPPDPTTTTTTTTTTPL >DRNTG_14038.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27512922:27520531:1 gene:DRNTG_14038 transcript:DRNTG_14038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMISEISNNEEVKLDEEDSLKNCDGPGDQELEEAQKSEEKPGEPEEIPPVGPGGETLDQTPITVAVSDSALPPPPPPPPLPPPLPPSLPLPPPVADPGSAGGKTFYNEYALRVAYIMRNYIHMSHINAATATSNGAASGGDSPVEGCGAVMEVIRTTTGRWAVARFVVEHTHTLSPPVDPAGTLAGVIPVVGMEFESISMAKALYQTYSEKMGFKCKTGAGRRSRDNRTLVMQRFYCSKGSYPPSKRQQPDPEEVERKQRMMHMPYRKIAPKPLKEGDLVVIPDESPKEGTLVVEGNDCSAGEDVGLVEKEGEVEEKDSVKKPGEREGDHKKHKEGGKVPLVSNPGQSRLLRELGIRVSRYSHEERRDIISKYMKKRNGRQAVDRSIKIPSRQALAERRQRGIGGKFLSKEELETQPSNRLEETTEDEAEVSAEDIVKAGGVPIVGMVFDNEDKAYEYYVNYAGNVGFSVRKGWWDKSSKNITRSRVYVCSREGFRPKNVENEGKRSRPETRTGCPARMAIKITSSNKYRVTEFVPDHNHQLAAPLDIQLLRSQKLPVKAQPGCESSNLIPTGYKNYVRSNRMKEMRRGDAGAVLAYLQKMKGDNPSFYYAIQVDEDDQMTNVFWADAKSIMDYHYFGDVVCFDTSYKMNEYGRPFALFLGVNHHKQIIIFGAALLYDESVESFKWLFETFKMAMCGKNPKTILMEQCAAVMDAVTAVWPGTVQRLCLWHLYQNVIKLLNYTVQDSETFAHDFSQCLYDFEEEDEFVSAWNMMLDKYDLKGNEWLAKLYGEKEKWASVYGRGAFCGDMEYTLRGENLKDVLKEHLSLEKDLSSFFKEYEKLLDERRSAEIEADYHANQTTQRIPPLQLLWQTASAYTPAAFDMFRREFDLFMNCVVFIRVEVGTLSQYEVTVREKTKGHIVRFDSSNVSVTCSCNKFKYVGIQCCHVLKVLDLKNIKELPPQFILKRWRKDAKVENMRENHEFGLDGDLSSSVSQRYSSLCRILYKIAARASENSEAFALMVNHSDQVLEQVETILQTALVEKTLPPNNSKAQPQKVVGSANFSHDNNENQRISGRKKKEGVVRRRPHGVLEPSKRQKGQNGQSNELETSTIDVEQPVTLDSIPLQPRIPSNQFLTSSHFMQAPYVPGHHQFGLGTTQGFHAMTQFNQDTSAVALQQQPFHGGAHLNQTAVQPFPTPDMHSLQFVAGNPQLDHQTGDQGHCAVPVWDFL >DRNTG_14038.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27515888:27520531:1 gene:DRNTG_14038 transcript:DRNTG_14038.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDNEDKAYEYYVNYAGNVGFSVRKGWWDKSSKNITRSRVYVCSREGFRPKNVENEGKRSRPETRTGCPARMAIKITSSNKYRVTEFVPDHNHQLAAPLDIQLLRSQKLPVKAQPGCESSNLIPTGYKNYVRSNRMKEMRRGDAGAVLAYLQKMKGDNPSFYYAIQVDEDDQMTNVFWADAKSIMDYHYFGDVVCFDTSYKMNEYGRPFALFLGVNHHKQIIIFGAALLYDESVESFKWLFETFKMAMCGKNPKTILMEQCAAVMDAVTAVWPGTVQRLCLWHLYQNVIKLLNYTVQDSETFAHDFSQCLYDFEEEDEFVSAWNMMLDKYDLKGNEWLAKLYGEKEKWASVYGRGAFCGDMEYTLRGENLKDVLKEHLSLEKDLSSFFKEYEKLLDERRSAEIEADYHANQTTQRIPPLQLLWQTASAYTPAAFDMFRREFDLFMNCVVFIRVEVGTLSQYEVTVREKTKGHIVRFDSSNVSVTCSCNKFKYVGIQCCHVLKVLDLKNIKELPPQFILKRWRKDAKVENMRENHEFGLDGDLSSSVSQRYSSLCRILYKIAARASENSEAFALMVNHSDQVLEQVETILQTALVEKTLPPNNSKAQPQKVVGSANFSHDNNENQRISGRKKKEGVVRRRPHGVLEPSKRQKGQNGQSNELETSTIDVEQPVTLDSIPLQPRIPSNQFLTSSHFMQAPYVPGHHQFGLGTTQGFHAMTQFNQDTSAVALQQQPFHGGAHLNQTAVQPFPTPDMHSLQFVAGNPQLDHQTGDQGHCAVPVWDFL >DRNTG_02643.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16109849:16112549:1 gene:DRNTG_02643 transcript:DRNTG_02643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPCTRGRSWRRGENNLTWPLTWRSFLKLIASFDVEGANWDPDLSYNNLSGNIPSCLQNMGHDSDWQYDFMSMGWTSFAYEDMLDMHRGFLPNLDFTLMFELPTFKGIIDFANRKKVYDYKGNIMNHFFGLDLSSNQLVGVIPWEIGNIIKLHVLNLSNNLLVGSIPETLSRLREIESLDLSHNMLTGSIPTELKELHFLEVFSVAYNNFSGPTLGRVSQFSTFDESSYEGNPYLCGPPLVKNCFAITEILPSASPQQTEVGNEAAMEHIIFFTSFALAYIISFWGWMVLLYFNKHWQNSLFLTTDRYTEEAIDKVGKLMSRMKSCW >DRNTG_13748.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5583896:5589114:-1 gene:DRNTG_13748 transcript:DRNTG_13748.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbamoyl-phosphate synthase large chain, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G29900) UniProtKB/Swiss-Prot;Acc:Q42601] MNGTPTRAPFPPSMAALRSPPAIPRSLLPHTLKTLSYSFSFLRGRSLLSSAHYQFPCLHLRRGSNSPRCLAGDASPSDSEPIQWRLGGGKRTDIKKIMILGAGPIVIGQACEFDYSGTQACKALRDEGYEVVLVNSNPATIMTDPGLADRTYIGPMTPELIEPILDAERPDALLPTMGGQTALNLAVALAESGALSRLGIHLIGADLDAIRKAEDRELFKKAMLNIGLKTPPSGIGTTMDECFEIADKIGEFPLIIRPAFTLGGTGGGIAYNREEFEAICKSGLAASVTSQVLVEKSLLGWKEYELEVMRDLADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSVAIIREIGVECGGSNVQFAVNPLDGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYTLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSQPVLTTQMKSVGEAMALGRTFQESFQKAVRSLECGYAGWGCASVKELDWDWDQLKYSLRVPNPDRMHAVYAAMKKGMKVDEIHELSYIDKWFLSQLKELVDVEQFLLSRSLEQLTKDDFYEVKRRGFSDKQIAHATNTSEREVRSKRSSLGVVPAYKRVDTCAAEFEAHTPYMYSSYDFECESAPTQRPKVLILGGGPNRIGQGIEFDYCCCHASFALRQDGYETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVLNVIELERPDGIIVQFGGQTPLKLALPIQHYLEERKLVSATGGGVQIWGTSPDSIDAAEDRERFNAILNELKVEQPKGGIAKSESDALLIAAEIGYPVVVRPSYVLGGRAMEIVYTDDKLITYLENAVEVDPERPVLIDKYLSDAIEIDVDALADSNGNVVIGGIMEHIEQAGVHSGDSACSLPTKTVSARCLETIRTWTSKLAKRLSVCGLMNCQYAITASGDVYLLEANPRASRTVPFVSKAIGHPLAKYASLVMSGKSLHDIEFTAEVIPKHVSVKEAVLPFEKFQGCDVLLGPEMRSTGEVMGIDFDFSVAFAKAQIAAGQKLPHSGTVFISLNDLTKPHLASIARGFQELGFNIVATSGTARVLELEGIPVERVLKMHEGRPHAGDMLANGQIQVMVITSSGDALDSIDGRQLRRMALAYKIPIITTVAGALASVEAIKSLKHSSIKMLALQDFFSTTEEHHGLQAASSML >DRNTG_13748.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5583896:5589114:-1 gene:DRNTG_13748 transcript:DRNTG_13748.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbamoyl-phosphate synthase large chain, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G29900) UniProtKB/Swiss-Prot;Acc:Q42601] MNGTPTRAPFPPSMAALRSPPAIPRSLLPHTLKTLSYSFSFLRGRSLLSSAHYQFPCLHLRRGSNSPRCLAGDASPSDSEPIQWRLGGGKRTDIKKIMILGAGPIVIGQACEFDYSGTQACKALRDEGYEVVLVNSNPATIMTDPGLADRTYIGPMTPELIEPILDAERPDALLPTMGGQTALNLAVALAESGALSRLGIHLIGADLDAIRKAEDRELFKKAMLNIGLKTPPSGIGTTMDECFEIADKIGEFPLIIRPAFTLGGTGGGIAYNREEFEAICKSGLAASVTSQVLVEKSLLGWKEYELEVMRDLADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSVAIIREIGVECGGSNVQFAVNPLDGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYTLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSQPVLTTQMKSVGEAMALGRTFQESFQKAVRSLECGYAGWGCASVKELDWDWDQLKYSLRVPNPDRMHAVYAAMKKGMKVDEIHELSYIDKWFLSQLKELVDVEQFLLSRSLEQLTKDDFYEVKRRGFSDKQIAHATNTSEREVRSKRSSLGVVPAYKRVDTCAAEFEAHTPYMYSSYDFECESAPTQRPKVLILGGGPNRIGQGIEFDYCCCHASFALRQDGYETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVLNVIELERPDGIIVQFGGQTPLKLALPIQHYLEERKLVSATGGGVQIWGTSPDSIDAAEDRERFNAILNELKVEQPKGGIAKSESDALLIAAEIGYPVVVRPSYVLGGRAMEIVYTDDKLITYLENAVEVDPERPVLIDKYLSDAIEIDVDALADSNGNVVIGGIMEHIEQAGVHSGDSACSLPTKTVSARCLETIRTWTSKLAKRLSVCGLMNCQYAITASGDVYLLEANPRASRTVPFVSKAIGHPLAKYASLVMSGKSLHDIEFTAEVIPKHVSVKEAVLPFEKFQGCDVLLGPEMRSTGEVMGIDFDFSVAFAKAQIAAGQKLPHSGTVFISLNDLTKPHLASIARGFQELGFNIVATSGTARVLELEGIPVERVLKMHEGRPHAGDMLANGQIQVMVITSSGDALDSIDGRQLRRMALAYKIPIITTVAGALASVEAIKSLKHSSIKMLALQDFFSTTEEHHGLQAASSML >DRNTG_30101.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31157992:31162494:-1 gene:DRNTG_30101 transcript:DRNTG_30101.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATESSVMAVIRASRPSFRNPHDKLAFAIHATFMAAGHSLIATGRPAFSDQPPSDGPEVAMEGWNELEDSYGFVYSKSHQGKKMNLLVKCLAVDDALMVDAVDLGEPQKEPLHLQINLKDYVSEDSNGMSNYGEAYKNFKGLVKIINDGILAKAEPKAETSSSSGVRSGRSESVIPDVTSVGHGLDPSSDLYPSPGAGVLPFRGPNIGGDMLVGPNDPRWSGLGQVSPSGGGVLGLPPGARYDPIGPPDVPGFEPGRFVRAPPRSGRRPHPDLEHFGDTDYI >DRNTG_30101.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31157992:31162494:-1 gene:DRNTG_30101 transcript:DRNTG_30101.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESSVMAVIRASRPSFRNPHDKLAFAIHATFMAAGHSLIATGRPAFSDQPPSDGPEVAMEGWNELEDSYGFVYSKSHQGKKMNLLVKCLAVDDALMVDAVDLGEPQKEPLHLQINLKDYVSEDSNGMSNYGEAYKNFKGLVKIINDGILAKAEPKAETSSSSGVRSGRSESVIPDVTSVGHGLDPSSLTYPPVFLSSHSDLYPSPGAGVLPFRGPNIGGDMLVGPNDPRWSGLGQVSPSGGGVLGLPPGARYDPIGPPDVPGFEPGRFVRAPPRSGRRPHPDLEHFGDTDYI >DRNTG_04455.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:24002203:24006053:1 gene:DRNTG_04455 transcript:DRNTG_04455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSKLAYDLSKSNVDKTSSASTRMAGSRTINNCLQPPVETRSSISAANSSL >DRNTG_18910.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3516630:3517438:-1 gene:DRNTG_18910 transcript:DRNTG_18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVGSSSSPIIEITLLGWDSLSNVDTLGDALTATSSPVAGTTTLGRRPLDGSRGTVRFSSEDTDLLTNCTDSDIVPEYLAAALPALLPP >DRNTG_23420.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001264.1:97733:99779:-1 gene:DRNTG_23420 transcript:DRNTG_23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGLSGVGIEIGVGGGVSQRRRGDKKDLFHVIHKVPSSDSPYGRAKHLQLVEKDSDASIVWFWKAINAGDRVDSALKDMAVVMKQQDRAEEAIEAIKSFRHLCSKQAQESLDNLLIDLYKKCGNIEEQIELLKQKLRLIYMGEAFNGKTTKTARSHGKKFQVSIKQETSRILGNLGWAYMQQNNYNAAEIVYRKAQAIEPDANKACNLGLCLIKQGRYDDARYILDDVFNQSFLGNDDGRSAQRAQTLIQEIESRKLLSELNLNMNMNININEEFMNRLDLVMSEWAPFRSKRLPIFEEISSFRDQMAC >DRNTG_28636.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28313876:28317395:-1 gene:DRNTG_28636 transcript:DRNTG_28636.1 gene_biotype:protein_coding transcript_biotype:protein_coding LESHYFAIKNQNSKSKSNKIISPKNTNTSTKSKLNNNKGSQKMANVFHIRKIPSERNSHD >DRNTG_07236.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14742548:14746754:-1 gene:DRNTG_07236 transcript:DRNTG_07236.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRCVIGCLLLYDYDTVELANMNKLFFRPDQACLFFITCKIAEVSDSHIFAAMEVLIFKYLGISRTTAMHFVRSIF >DRNTG_07236.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14742548:14746754:-1 gene:DRNTG_07236 transcript:DRNTG_07236.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRCVIGCLLLYDYDTVELANMNKLFFRPDQACLFFITCKIAEVSDSHIFAAMEVLIFKYLGISRTTAMHFVRSIF >DRNTG_07236.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14742548:14747349:-1 gene:DRNTG_07236 transcript:DRNTG_07236.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCLNWYAAESISLACKFVYKNASPGSTLGDDYFFTHMPHVQKRLNQGTDY >DRNTG_07236.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14742548:14746754:-1 gene:DRNTG_07236 transcript:DRNTG_07236.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRCVIGCLLLYDYDTVELANMNKLFFRPDQACLFFITCKIAEVSDSHIFAAMEVLIFKYLGISRTTAMHFVRSIF >DRNTG_07236.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14742548:14743802:-1 gene:DRNTG_07236 transcript:DRNTG_07236.11 gene_biotype:protein_coding transcript_biotype:protein_coding LTRYAAESISLACKFVYKNASPGSTLGDDYFFTHMPHVQKRLNQGTDY >DRNTG_07236.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14742548:14746754:-1 gene:DRNTG_07236 transcript:DRNTG_07236.8 gene_biotype:protein_coding transcript_biotype:protein_coding MCLNWYAAESISLACKFVYKNASPGSTLGDDYFFTHMPHVQKRLNQGTDY >DRNTG_07236.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14742486:14747349:-1 gene:DRNTG_07236 transcript:DRNTG_07236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLNWYAAESISLACKFVYKNASPGSTLGDDYFFTHMPHVQKRLNQGTDY >DRNTG_07236.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14742548:14747349:-1 gene:DRNTG_07236 transcript:DRNTG_07236.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLNWYAAESISLACKFVYKNASPGSTLGDDYFFTHMPHVQKRLNQGTDY >DRNTG_20992.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001188.1:40300:42933:-1 gene:DRNTG_20992 transcript:DRNTG_20992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCGSVRISFSRRTPQKIRAIMLREFGDHPKVYIWDGEDPNPHMGHLAWADAFIITADSVSMLSEACSTGKPVYTVGAERCTMKFSSFQKTLQENGVVRPFTGMEDMSDSWSYPPLNDTAVVAARVREALAERGWTLR >DRNTG_20992.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001188.1:40300:42487:-1 gene:DRNTG_20992 transcript:DRNTG_20992.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATCGSVRISFSRRTPQKIRAIMLREFGDHPKVYIWDGEDPNPHMGHLAWADAFIITADSVSMLSEACSTGKPVYTVGAERCTMKFSSFQKTLQENGVVRPFTGMEDMSDSWSYPPLNDTAVVAARVREALAERGWTLR >DRNTG_32282.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001817.1:4781:6728:1 gene:DRNTG_32282 transcript:DRNTG_32282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWRVLYSSKGPFVRPRIHLTRSVLTKAVPLTFFQTEHDCLATIPV >DRNTG_18250.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4284138:4285661:-1 gene:DRNTG_18250 transcript:DRNTG_18250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVLSDGGVLRSGDGGLDQGGRDL >DRNTG_18250.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4284944:4285661:-1 gene:DRNTG_18250 transcript:DRNTG_18250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRFHSATYISGNTLNDDETISGNNN >DRNTG_18250.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4284138:4285661:-1 gene:DRNTG_18250 transcript:DRNTG_18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVLSDGGVLRSGDGGLDQGGRDL >DRNTG_18250.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4284138:4285661:-1 gene:DRNTG_18250 transcript:DRNTG_18250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVLSDGGVLRSGDGGLDQGGRDL >DRNTG_05575.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000256.1:22346:28180:-1 gene:DRNTG_05575 transcript:DRNTG_05575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKLKWQSWMIDHGHKHIVMCYYTMKLLNHSKIEYRNILRDQIQGRCSNARDVDRKFTETFHEWLGETESYTSIKNILLLDSEGNHVAMKQGTNMPKLKLKDMKMVLSETAANNGDECDVGVGVRKSNTCSSNPSVPVGSSRSAPIPDVAPHYLIPSS >DRNTG_02892.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:757047:760643:-1 gene:DRNTG_02892 transcript:DRNTG_02892.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:carotenoid cleavage dioxygenase 7 [Source:Projected from Arabidopsis thaliana (AT2G44990) TAIR;Acc:AT2G44990] MLVSLTARSFARYHQIMQSVSLPLSLAFPTLAPATKHLTAIAGSSRFHPSAAVSYGATSSSPFLRPEPSGESAEEAYWDYQFLFASQRSESPNPILLRIVEGSVPADLPRGIYYLIGPGLLSDDQGSSINPLDGHGYLRAFDFSGGDSVWYSAKYVETEAHREERDGETGRWRFTHRGTFSVLRKGKRVGNLKVMKNVANTCVVRWGGRLLCLWEGGDPYEIDPKTLRTIGTVDLIRDPDPKAGDHRSWPGEWRDFRDFGINVAAQLLKPILRGVFKMPPKRLLSHYKIDGERKRLIVLACSTEDMLLPRSNFTFYEFDGDFELKQRKEFIAEDHLLIHDWGFTDSYYVLMGNRIKLDMPGILLSLYIILLLGSLHFNSSSQTLRWSLFHVHYKGLL >DRNTG_02892.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:757047:760643:-1 gene:DRNTG_02892 transcript:DRNTG_02892.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carotenoid cleavage dioxygenase 7 [Source:Projected from Arabidopsis thaliana (AT2G44990) TAIR;Acc:AT2G44990] MLVSLTARSFARYHQIMQSVSLPLSLAFPTLAPATKHLTAIAGSSRFHPSAAVSYGATSSSPFLRPEPSGESAEEAYWDYQFLFASQRSESPNPILLRIVEGSVPADLPRGIYYLIGPGLLSDDQGSSINPLDGHGYLRAFDFSGGDSVWYSAKYVETEAHREERDGETGRWRFTHRGTFSVLRKGKRVGNLKVMKNVANTCVVRWGGRLLCLWEGGDPYEIDPKTLRTIGTVDLIRDPDPKAGDHRSWPGEWRDFRDFGINVAAQLLKPILRGVFKMPPKRLLSHYKIDGERKRLIVLACSTEDMLLPRSNFTFYEFDGDFELKQRKEFIAEDHLLIHDWGFTDSYYVLMGNRIKLDMPGSLVAASGMAPMVSALAPNTRHNSTPIYLLPRFSADQQRDWRVPIEAPGQLWSTHFSNSFEEQGSHGGLVVQLQAAVCSYQWINFPKMFGYDWRSSRLDPAYMNATQGKEAMLSHLVNVKIKLDVGGACKECSVDEPSKHWRQSADFPVINPTFAGKKNSYVYAATCSGSRQFLPHFPFDTVVKLNCQDGSVSSWWAGSRRFIGEPTFVPRGSTEEDDGYILVAEYEVSKQMCYLVILDAKRIGKADAVKAKLEVPSFLRFPLGFHGFWANT >DRNTG_02892.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:757047:760643:-1 gene:DRNTG_02892 transcript:DRNTG_02892.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:carotenoid cleavage dioxygenase 7 [Source:Projected from Arabidopsis thaliana (AT2G44990) TAIR;Acc:AT2G44990] MLVSLTARSFARYHQIMQSVSLPLSLAFPTLAPATKHLTAIAGSSRFHPSAAVSYGATSSSPFLRPEPSGESAEEAYWDYQFLFASQRSESPNPILLRIVEGSVPADLPRGIYYLIGPGLLSDDQGSSINPLDGHGYLRAFDFSGGDSVWYSAKYVETEAHREERDGETGRWRFTHRGTFSVLRKGKRVGNLKVMKNVANTCVVRWGGRLLCLWEGGDPYEIDPKTLRTIGTVDLIRDPDPKAGDHRSWPGEWRDFRDFGINVAAQLLKPILRGVFKMPPKRLLSHYKIDGERKRLIVLACSTEDMLLPRSNFTFYEFDGDFELKQRKEFIAEDHLLIHDWGFTDSYYVLMGNRIKLDMPGILLSLYIILLLGSLHFNSSSQTLRWSLFHVHYKGLL >DRNTG_33167.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:24028526:24030354:1 gene:DRNTG_33167 transcript:DRNTG_33167.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVALILILFLSFLFISCSSSTRSEYEIKLLYEGWLVEQHKNYNDIFEKDKRYEIFKDNLKYIDEHNSGNHTYQLGLNIFADLSIDEYRNTYLGFKPLSKMNMSYKVSNRYMLKEGEELMLPSSIDWREQGAVTNVKHQGQCNSCWAFSAVATIESLNKIVRGDLISLSEQELVDCYKKSCDADFPHKAYRFIIQNHGI >DRNTG_33167.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:24028526:24030354:1 gene:DRNTG_33167 transcript:DRNTG_33167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVALILILFLSFLFISCSSSTRSEYEIKLLYEGWLVEQHKNYNDIFEKDKRYEIFKDNLKYIDEHNSGNHTYQLGLNIFADLSIDEYRNTYLGFKPLSKMNMSYKVSNRYMLKEGEELMLPSSIDWREQGAVTNVKHQGQCNSCWAFSAVATIESLNKIVRGDLISLSEQELVDCYKKSCDADFPHKAYRFIIQNHGI >DRNTG_23561.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22463206:22468685:1 gene:DRNTG_23561 transcript:DRNTG_23561.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWIRAASSVARSALRRALAQTPHRRLLHSTPLRPKAAPVPRAVPLSRLTDSFLDGTSSVYLEELQRAWEADPSSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEKRVIPDDLDLGLHGFTEADLDREFFLGVWRMSGFLSENRPVQTLREILNRLEQAYCGNIGYEYMHIADREKCNWLRDKIETVKPTSYSQDRREVILDRLIWSTQFENFLATKWTAAKRFGLEGAETLIPGMKEMFDRVAMLGVESIVIGMSHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDGEVGLYTGTGDVKYHLGTSYDRPTRGGYRIHLSLVANPSHLEAVDPLVLGKTRAKQFYSNDTDRTKNLGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPMSGRSSQYCTDVAKALDAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDIICYRRFGHNEIDEPSFTQPKMYKVIRNHPRALDIYQEKLLESGQISKADIERINKKVDTILNEEFINSKDYVPRRRDWLAAYWAGFKSPEQISRVRNTGVNPEILKRVGQAITTLPENFKPHRAVKKIFEQRAQMIETGEGIDWAVGEALAFATLLVEGNHVRLSGQDVERGTFSHRHAVVHDQETGEIYCPLDHVVMNQNEELFTVSNSSLSEFGVLGFELGYSMENPNSLVIWEAQFGDFSNGAQVMFDQFLSSGESKWLRQTGLVLLLPHGYDGQGPEHSSARLERFLQMSDDNPYVIPEMDPTLRKQIQECNWQVVNVTTPANYFHLLRRQIHREFRKPLVVMAPKNLLRHKDCKSHLSEFDDVVGHPGFDKQGTRFKRLIKDQNDHSDMEEGINRLVLCSGKVYYELNEERKKVDRKDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYISPRLCTAMGALGRGTMEDIKYVGRGPSAATATGFYSVHVQEQTELVQKALQREPINNLL >DRNTG_02718.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19538375:19538687:1 gene:DRNTG_02718 transcript:DRNTG_02718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCSSWLTSSDFVSSPSIEEEEEVEVEEELINIIKFWFSRHYLFPNS >DRNTG_04609.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3987473:3987747:-1 gene:DRNTG_04609 transcript:DRNTG_04609.2 gene_biotype:protein_coding transcript_biotype:protein_coding SLYNNGGRYFWIHNTGPLGCLPYVLRQVPGYRKLDSVGCAVVFNELAKKFNRMLNETVAQLQKEFPVAAFTYVDIYSAKYLLISQATKFGK >DRNTG_04609.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3987166:3987747:-1 gene:DRNTG_04609 transcript:DRNTG_04609.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLYNNGGRYFWIHNTGPLGCLPYVLRQVPGYRKLDSVGCAVVFNELAKKFNRMLNETVAQLQKEFPVAAFTYVDIYSAKYLLISQATKFGFRKPLMACCGHGGGAYNYDSIARCGTSVSVHGNEVLIGKSCMDSSKRVSWDGAHYTEAANKWVFDQIVNGHFSYPSN >DRNTG_16851.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11068450:11075147:-1 gene:DRNTG_16851 transcript:DRNTG_16851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIKIVSLEETLESLTRDVSQMKNAIGVVQAW >DRNTG_28897.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:614880:617542:1 gene:DRNTG_28897 transcript:DRNTG_28897.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g13770, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G13770) UniProtKB/Swiss-Prot;Acc:Q9LIC3] MLARRCLSRHLHSSSNHIVSRQNNGHFAPDSNLSLLCLNGRLSDALLAMAFLGPEVCFHGYDSLITQCIGLGALREGQRVHAHIIKTLYHPNVYLETRLLIMYVKCGSLRDARMMLDGMPQRSIVSWTAMISGYSQSGLHSEGLDLFLQMLKTGMKPNEYTFATALTSCTGPFGLENGIQIHSLALKSNFDTHIFVGSSLLDMYAKSGKIHEARRIFDMLPERDVVSCTAIISGYAQLGLDDEALDLFRKLFNDGMECNYVTFASLLTALSGLAALNYGRQVHGLVVRREVPFYVVLQNSLIDMYSKCGNVAYSRRIFDSMPERTTISWNAMLVGYSKHGLGHEVVKLFKSMNVVKPDGVTYMAVLTGCSHGGLVDEGLDIFESMLDEKSVKPDIEHYGCVVDLLGRAGRIEKAFNFILDMPFEPTSAIWGSLLSACRLHANVHIGEFVFQKLLDLEPENAGSYVILSNLYANAGRWEDVLKVRQLMKEKTVTKEPGRSWIDLEKTVHTFHSGDRTHPQKEVINAKVMELFVKIKEAGYVPDLSCVLHDVDDEQKERILLGHSEKLAIAFGMMHTSYNGTIRIVKNLRICVDCHNFAKFVSKVCERELSLRDKNRFHLVVGGSCSCGDYW >DRNTG_08089.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10083141:10085197:-1 gene:DRNTG_08089 transcript:DRNTG_08089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKPQAQAVKTPPLRQRRKCKKWQVRSFQNSPTPLKNTQKQKRKMRAKGWEEKEALATSIGVSRNRKSLYGRKPPHKEPLNSVSTP >DRNTG_06411.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29709407:29713355:1 gene:DRNTG_06411 transcript:DRNTG_06411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGRSVTLTDLKGKGVSGESSVKVSQNFIKDMKEQMREEMREEMKKEMRKEMEEQLANYKSSVQQQFLFMMSQLQGLVPGMNINPVPAFNLNFGSPGDANSVPTQVIRAHNVSSASSHEPQG >DRNTG_06411.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29709407:29713355:1 gene:DRNTG_06411 transcript:DRNTG_06411.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGRSVTLTDLKGKGVSGESSVKVSQNFIKDMKEQMREEMREEMKKEMRKEMEEQLANYKSSVQQQFLFMMSQLQGLVPGMNINPVPAFNLNFGSPGDANSVPTQVIRAHNVSSASSHEPQG >DRNTG_06411.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29709407:29713355:1 gene:DRNTG_06411 transcript:DRNTG_06411.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGRSVTLTDLKGKGVSGESSVKVSQNFIKDMKEQMREEMREEMKKEMRKEMEEQLANYKSSVQQQFLFMMSQLQGLVPGMNINPVPAFNLNFGSPGDANSVPTQVIRAHNVSSASSHEPQG >DRNTG_19148.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6428474:6430479:-1 gene:DRNTG_19148 transcript:DRNTG_19148.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTVIFFPVFFVSSVLISFSCKFFIFKLISESMYD >DRNTG_19148.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6428474:6430479:-1 gene:DRNTG_19148 transcript:DRNTG_19148.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTVIFFPVFFVSSVLISFSCKFFIFKLISESMYD >DRNTG_08222.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14500484:14504851:-1 gene:DRNTG_08222 transcript:DRNTG_08222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPRSSVMDPCSEDLAAPDSWEMADLDESMTRLLLSTSKKTPIAAASSSSLGDFDDEASTIPVSSMVAADGGDRGVGVSEDAFSQVDQFLREALEKPRERLSILRMEQDIEKFIRDPNQQQLEFQGLPTSYLRLAAHRVAQHYYLQSVAIPDNSLPDGSGSRIVLRKTTECRFPPVRLADVPINLPQEDEHNVLKVAIKQRPQKRTQIIGSSSVNSSSNSQKSVEERKEEYNRARARIFNSSTCSVDIPPKVESELKGEPILPESLEDYSLVPSGSDDKSLAEGHEINRVRSFGDSSVSSNRANRNRSEKEPVVSRYKPGNRVAIFRDREVDRKDPDYDRSYDRYMQRFDPGFGFNSGGYAIQPLYSPAVNYNTEFPQLGSGHRPPVPIDHHPRAIPQHLRGPWSAASSAVGYGHPEGVMGPFGPNQVSAHAMPSIYMHSQYSVPRPGIPFVQSHEHLQPFSQNHQQQTEPSFGLARPR >DRNTG_08222.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14500484:14504851:-1 gene:DRNTG_08222 transcript:DRNTG_08222.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPRSSVMDPCSEDLAAPDSWEMADLDESMTRLLLSTSKKTPIAAASSSSLGDFDDEASTIPVSSMVAADGGDRGVGVSEDAFSQVDQFLREALEKPRERLSILRMEQDIEKFIRDPNQQQLEFQGLPTSYLRLAAHRVAQHYYLQSVAIPDNSLPDGSGSRIVLRKTTECRFPPVRLADVPINLPQEDEHNVLKVAIKQRPQKRTQIIGSSSVNSSSNSQKSVEERKEEYNRARARIFNSSTCSVDIPPKVESELKGEPILPESLEDYSLVPSGSDDKSLAEGHEINRVRSFGDSSVSSNRANRNRSEKEPVVSRYKPGNRVAIFRDREVDRKDPDYDRSYDRYMQRFDPGFGFNSGGYAIQPLYSPAVNYNTEFPQLGSGHRPPVPIDHHPRAIPQHLRGPWSAASSAVGYGHPEGVMGPFGPNQVSAHAMPSIYMHSQYSVPRPGIPFVQSHEHLQPFSQNHQQQTEPSFGLARPR >DRNTG_05559.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5084708:5087851:-1 gene:DRNTG_05559 transcript:DRNTG_05559.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:Projected from Arabidopsis thaliana (AT3G04970) UniProtKB/TrEMBL;Acc:A0A178VAS0] MEVQWVLVVHGVVTAMVVLSFLCGQWPIFRGTFIEKINYFITFGAYDYFLRFVAVICGSRARDAILTIEHYCCDRPNPILQMLYLAIIGITYFFVIKSSFRYFPGYYVSEFHKYASMLAVGVGVLLFLLTSFSDPGTVKADNVPQYLAAYPYDEVIYSEKECSTCKIPKPARSKHCGICGRCVARFDHHCGWMNNCIGEKNTRYFMAFLLWHFLLCLYGTIILGLIVVGQMKERRIVYILTVYYGVENSYSGLFPHVVQWLLGSHNTQVLLIVFLFLVTLLLAGFFAYHTHLCMTNTTTNETFKWQDYISWKKKINEAKASAAALKASLQSMNGEGKAIKHSKWRAFFRKSPLQYEEEVIKNNIYDQGIIKNLLEILFPLSERSSFHHQKYT >DRNTG_34919.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20744873:20748257:1 gene:DRNTG_34919 transcript:DRNTG_34919.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPKSVRKQAEVLRKAGNAAFKKERFGAAIDAYTEAIVLCPDVSVYWTNRALCRMKRNDWTGVEEDCTRALELDSSSVKAHYMLGRALLIKQDTVRGIKELEKALDLGRGADPSGYMIDEIWPVLADAKYKEWEELSDRRAWKLQCLKELCNEALIEHDFLETSRTLDESGNAAARDRLSEQLELLGRVFSKAAEADKLTEIPDYLCCKITLDIFRDPVITPSGVTYERAVILEHLRKVGNFDPLTREPLERHQLAPNLAIKQAVQSFLSEHGWAYKTN >DRNTG_05936.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5654055:5655032:-1 gene:DRNTG_05936 transcript:DRNTG_05936.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSDVVVMTYGFYRHRHPVKPKKSSKHRWNPVIPSSAPMASPTTSKTMFIITLE >DRNTG_08083.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27475548:27475808:1 gene:DRNTG_08083 transcript:DRNTG_08083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDPRVCVMGEDVGHYGGSYKVTKGLATKYGDMRVLDTPIAENSFTGMGIGAAMTGLRPVIEGMNMGFLLLAF >DRNTG_28120.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18785528:18786295:-1 gene:DRNTG_28120 transcript:DRNTG_28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIILFPNTSCSVPNWIVDYVDDLPCMGRYAWVQATHKWLMEDIPQAAARVQARCAGKKTNTGYIKGCSVALNIWFYELTGTGNKVRFCKTPKMLCYGKSSYRKQATIETSLSSLEGKEFPELVPANADEVIFVRGNHQVDVIAPEPLARRQDERPTSFVHAQRRSPTSSPMRAHIPR >DRNTG_28677.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20424814:20431280:1 gene:DRNTG_28677 transcript:DRNTG_28677.2 gene_biotype:protein_coding transcript_biotype:protein_coding HKFRYVHKKFSQQYKATIGADFVTKELQIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCILVYDVNVRKSFDTLDNWHEEFLNQASPTDPKTFPFILLGNKVDIDGGNSRVVSEKKAREWCASKGNIPYFETSAKEDYNVDAAFLCVAKLALANDHEQDIYFQNIPDPAPESDGGRGGCAC >DRNTG_28677.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20424814:20431280:1 gene:DRNTG_28677 transcript:DRNTG_28677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRRTLLKVIVLGDSGVGKTSLMNQYVHKKFSQQYKATIGADFVTKELQIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCILVYDVNVRKSFDTLDNWHEEFLNQASPTDPKTFPFILLGNKVDIDGGNSRVVSEKKAREWCASKGNIPYFETSAKEDYNVDAAFLCVAKLALANDHEQDIYFQNIPDPAPESDGGRGGCAC >DRNTG_26321.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21424043:21425410:-1 gene:DRNTG_26321 transcript:DRNTG_26321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKSPRWLGLLLSKKFFEVCDEHRDLRKSETNVYCIHCDQCLCSHCLAVPPPERHRHHKMIQIRRYIYQDVVQVQDLQKFVDCSKVQVVLLNSRKQSKPSKPSATAASCEICGRVISNPFRYCSI >DRNTG_17020.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10588353:10593370:-1 gene:DRNTG_17020 transcript:DRNTG_17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFDASFYPGSTSDDSYHEKTHFEPIYESFLCPLTKQIMHDPVTIESGRTFEREAIEKWFKECRDSGRKPMCPLTLRVLNNTDLNPSIAIRNTIEEWTKRNDAAWLDNCCRSLTPGSSENAILQGLDHIIGFCMKSRSNKEVVRNLELIPQIAEMLKNSNTKVRCKALETLRIVAEEDIENKEAMAAGDTIRTIVKFLSHDHSQEREEAVSLLCELSKSESLCEKIGGVNGAILILVGMSSSKSENIIAVEKAEHTLENLERCEKNVKQMAENGRLQPLLKLLLEGSSETRLSMAAFLGDIVLSNDVKVFVAQTAGSSLIDILRSGNMQARDAALKALNQMSSHDMSAKLLIEAGILPPLVKDLFTVGANHLPMKLKEVSATILANIVASGADFESISVGQNDQTMVSEDIVHNLLHLISNTGPAIECKLLQILAGLTNSSATVVSIVSAIKSSGATVSLIQFIEAPQREIRVASLKLLHNISPFMGQELAEALCATAGQLGSLIKVISENNGISEEQASAVGLLASLPERDSGLTRRLLDEGAFDVVISRVMRIRQGITRGNRFYTPFLEGLIGVLSRLTYVLQDEAEIMNIVREHKLAELFVDMLQMNGPDKVQISSALALENLSEQTKVLTRMPEVAEPGICCSIFPCLGKPPEVIGLCLVHHGFCSLKESFCLLDGKAVERLVACLEHSNEKVVEAALAALCTLLADGVDIEKGVAVLVEAEGIQPILDVLVENRTEVLRYRAVWAVERILRTEEIAYQVSADQRVGTALVEAFRHGDYRTKQTAERALKHIDRLPNFSGIFPKMG >DRNTG_02378.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7776044:7777930:1 gene:DRNTG_02378 transcript:DRNTG_02378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWISTLCSMGMAEMVCLICAKRMHVILVELVISSEWDRDWTGALKPCFSIVDQEAIFNSALRLVGSTMVMAIVGGHQIIISNCGDYRAVLSRDGVTIPLTVDQKPNRPDEKARIETTRGLVLSVFNPRMLGILNISRSIEPEIRVSNRTKGDEFLILASDGIWNNVSNDEACDDVKNCFTPRFSSLSSTGLCYRSC >DRNTG_25135.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3966376:3978999:-1 gene:DRNTG_25135 transcript:DRNTG_25135.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISMMMAYVFNAMTHIAIDIRLMAFKFFELIVISFPSSFLLYAEKVLDNYVDILKNNQIYLQDKSKLKSALAGLVRCLSLLVDREDILSNKSKILEHECLHSYEVELPKDHSGMPSVLKRIEDLVPLLVNSFHDSALSIQAMPVIDGQSFDCILCTLQNINLAVKVFVDEINRPHMSHEFSSTLPSNGTKLMPNTMLMYLKKLGDSFPIDKIYHSAEKEGERFFILNLGITEIFLHLITWIDDPNLLEKLLHFIESFLLKQGSRNLSATKTILEKQLVSIISLFPRIVAISSDWRLRLLEAFTTAFRNCKVESTHCLAYLAAIEEMLKDDCAFKPCDPDMLSYQIIWLQELPNLLLKLGDRHPPLSKVILKLLLQIGQCSPQNSPLASEYNRLQWRLKEFYGTQVVAGSVNYGPFIKLRTDCQELSICCLYYFSTLNSDLLQSITCCCLCNHLDHSVLLRIIEVLESAFRAAHLPISDYIGFLVTLIAR >DRNTG_25135.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3966376:3978999:-1 gene:DRNTG_25135 transcript:DRNTG_25135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSKPPSKKPNRGVDFKKIKRKIGKKLPPPKNQTSTEIKSKAIVLPEQSVASERSGMAVNKKGLTLRELLQQTSHHNAKIRKVALNGIRDLVLKHPSELKLQKLSIIEKLRERISDSDKLVRETLYNLLKTVIFPSLKEEFTGSMISMMMAYVFNAMTHIAIDIRLMAFKFFELIVISFPSSFLLYAEKVLDNYVDILKNNQIYLQDKSKLKSALAGLVRCLSLLVDREDILSNKSKILEHECLHSYEVELPKDHSGMPSVLKRIEDLVPLLVNSFHDSALSIQAMPVIDGQSFDCILCTLQNINLAVKVFVDEINRPHMSHEFSSTLPSNGTKLMPNTMLMYLKKLGDSFPIDKIYHSAEKEGERFFILNLGITEIFLHLITWIDDPNLLEKLLHFIESFLLKQGSRNLSATKTILEKQLVSIISLFPRIVAISSDWRLRLLEAFTTAFRNCKVESTHCLAYLAAIEEMLKDDCAFKPCDPDMLSYQIIWLQELPNLLLKLGDRHPPLSKVILKLLLQIGQCSPQNSPLASEYNRLQWRLKEFYGTQVVAGSVNYGPFIKLRTDCQELSICCLYYFSTLNSDLLQSITCCCLCNHLDHSVLLRIIEVLESAFRAAHLPISDYIGFLVTLIAR >DRNTG_17116.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000878.1:1:8204:1 gene:DRNTG_17116 transcript:DRNTG_17116.1 gene_biotype:protein_coding transcript_biotype:protein_coding DIPRWFGADKSSPNNLLPSEAWCQIRRTTPKTCQKPFKTLDDRFSKDGEKLERKGKEDPQNRAEL >DRNTG_09855.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000417.1:71841:72905:1 gene:DRNTG_09855 transcript:DRNTG_09855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKISDFGLAKLFDIDETQGNTSQIAGTYGYMPPEYVRQGVFSTKLDVYSYGVLVLEIVTGRKNSGFQASENAPDLLTYVWQHWTGGTPLDLKDQSLDGDDFRTEEMLRCVHIGLLCVHEDPTQRPSMTSIVLMLNSYSSSLPAPSPPTYYFPSYMMNRVQPMIDESSSQKSEKTGINSVNDASITEMDPR >DRNTG_12932.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1563937:1565428:-1 gene:DRNTG_12932 transcript:DRNTG_12932.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLCEILATAPISSIERALDDSGVRALSPDDVEAVLKLSYSHPDAAVTFFRWAGHLLPRPHQHSPYSWNLVVDLLGKSLHFEAMWDTVRSMHNHRLLSLATFASIFSSYAATDLPLDALAAFNAMPRYDLPHDPPALNSLLSALCRERLSTQARDFLSRLRSQIHPDADSYAILLEGCEYENNLSSAREVFGEMITALGWEPSNIPAYDSFLSNLIRAPGGPDEALSFLKILREKRCFPGIKFFRTALDEFFKQHDARGALSLWDTLIGRNGCLPDTPMFNSMISLQCYHNKMDIALQLLDEMVIFGAFPDSQTYNVLLQFLLKSRKLREASVIFNEMVKNECCPSTENCHCGIRIFLDNGDWEMGVKVWKCMVTNDLVPLEESANMLIAKLRDLDKLPEACKYAEDMIDKGIKLNSSTLSKLKSSLLKVKKGGVYDHLLRKWKAH >DRNTG_12932.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1563892:1565428:-1 gene:DRNTG_12932 transcript:DRNTG_12932.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLCEILATAPISSIERALDDSGVRALSPDDVEAVLKLSYSHPDAAVTFFRWAGHLLPRPHQHSPYSWNLVVDLLGKSLHFEAMWDTVRSMHNHRLLSLATFASIFSSYAATDLPLDALAAFNAMPRYDLPHDPPALNSLLSALCRERLSTQARDFLSRLRSQIHPDADSYAILLEGCEYENNLSSAREVFGEMITALGWEPSNIPAYDSFLSNLIRAPGGPDEALSFLKILREKRCFPGIKFFRTALDEFFKQHDARGALSLWDTLIGRNGCLPDTPMFNSMISLQCYHNKMDIALQLLDEMVIFGAFPDSQTYNVLLQFLLKSRKLREASVIFNEMVKNECCPSTENCHCGIRIFLDNGDWEMGVKVWKCMVTNDLVPLEESANMLIAKLRDLDKLPEACKYAEDMIDKGIKLNSSTLSKLKSSLLKVKKGGVYDHLLRKWKAH >DRNTG_12932.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1563643:1565428:-1 gene:DRNTG_12932 transcript:DRNTG_12932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLCEILATAPISSIERALDDSGVRALSPDDVEAVLKLSYSHPDAAVTFFRWAGHLLPRPHQHSPYSWNLVVDLLGKSLHFEAMWDTVRSMHNHRLLSLATFASIFSSYAATDLPLDALAAFNAMPRYDLPHDPPALNSLLSALCRERLSTQARDFLSRLRSQIHPDADSYAILLEGCEYENNLSSAREVFGEMITALGWEPSNIPAYDSFLSNLIRAPGGPDEALSFLKILREKRCFPGIKFFRTALDEFFKQHDARGALSLWDTLIGRNGCLPDTPMFNSMISLQCYHNKMDIALQLLDEMVIFGAFPDSQTYNVLLQFLLKSRKLREASVIFNEMVKNECCPSTENCHCGIRIFLDNGDWEMGVKVWKCMVTNDLVPLEESANMLIAKLRDLDKLPEACKYAEDMIDKGIKLNSSTLSKLKSSLLKVKKGGVYDHLLRKWKAH >DRNTG_12932.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1563839:1565428:-1 gene:DRNTG_12932 transcript:DRNTG_12932.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLCEILATAPISSIERALDDSGVRALSPDDVEAVLKLSYSHPDAAVTFFRWAGHLLPRPHQHSPYSWNLVVDLLGKSLHFEAMWDTVRSMHNHRLLSLATFASIFSSYAATDLPLDALAAFNAMPRYDLPHDPPALNSLLSALCRERLSTQARDFLSRLRSQIHPDADSYAILLEGCEYENNLSSAREVFGEMITALGWEPSNIPAYDSFLSNLIRAPGGPDEALSFLKILREKRCFPGIKFFRTALDEFFKQHDARGALSLWDTLIGRNGCLPDTPMFNSMISLQCYHNKMDIALQLLDEMVIFGAFPDSQTYNVLLQFLLKSRKLREASVIFNEMVKNECCPSTENCHCGIRIFLDNGDWEMGVKVWKCMVTNDLVPLEESANMLIAKLRDLDKLPEACKYAEDMIDKGIKLNSSTLSKLKSSLLKVKKGGVYDHLLRKWKAH >DRNTG_12932.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1563762:1565428:-1 gene:DRNTG_12932 transcript:DRNTG_12932.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLCEILATAPISSIERALDDSGVRALSPDDVEAVLKLSYSHPDAAVTFFRWAGHLLPRPHQHSPYSWNLVVDLLGKSLHFEAMWDTVRSMHNHRLLSLATFASIFSSYAATDLPLDALAAFNAMPRYDLPHDPPALNSLLSALCRERLSTQARDFLSRLRSQIHPDADSYAILLEGCEYENNLSSAREVFGEMITALGWEPSNIPAYDSFLSNLIRAPGGPDEALSFLKILREKRCFPGIKFFRTALDEFFKQHDARGALSLWDTLIGRNGCLPDTPMFNSMISLQCYHNKMDIALQLLDEMVIFGAFPDSQTYNVLLQFLLKSRKLREASVIFNEMVKNECCPSTENCHCGIRIFLDNGDWEMGVKVWKCMVTNDLVPLEESANMLIAKLRDLDKLPEACKYAEDMIDKGIKLNSSTLSKLKSSLLKVKKGGVYDHLLRKWKAH >DRNTG_04754.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15212424:15219959:-1 gene:DRNTG_04754 transcript:DRNTG_04754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLEKHLARFVQSANTRFESIEATLDNHTASLHNLENQVGQITKSLSERPHGSLPSNTETNPREHVKAIAFRSGHTTDEIVDEYIQEMFNPDLYEGLFDQEESNEEVMMLGSTGEETSTPGILKKVLRKMKRARRRHQKCPKTVGDVHEPRKVDEPL >DRNTG_20147.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15922403:15923195:-1 gene:DRNTG_20147 transcript:DRNTG_20147.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHDSDWQYDSVAASSSLNFDMYRGFLPDYNDHMIFELLKFKGIIDFANKREVYDYKGNIMNHFFGLDLSSNQLVGEIPLEIGNIIKLHVLNLSNNLLVGSIPETLSRLTEIESLDLSHNKSTKRYL >DRNTG_26661.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1005774:1009107:-1 gene:DRNTG_26661 transcript:DRNTG_26661.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFIGKYAEELIKTAKYIATPGKGILAADESTGTIGKRLASINVENIESNRQALRQLLFTTPEALTYLSGVILFEETLYQKTTDGKPFVDVLLENNVIPGIKVDKGVVELAGTNGETTTQGFDSLGSRCQQYYKAGARFAKWRAVLKIGVIEPSALAIEENARGLARYAMICQENGLVPIVEPEVLTDGNHDVKKCAAVTEVVLAAVYKALNDHHVLLEGTLLKPNMVTPGSDSPKVAAEVIAEYTVTALRRTVPPAVPGIVFLSGGQSEEEATKNLNAMNKLEVLKPWTLSFSFGRALQQSTIKKWCGKTENVPAAQQAFLDRCKANSAATLGKYIGGEGGDAAAFGELACQGIQVLVRKFR >DRNTG_30582.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3628705:3629813:1 gene:DRNTG_30582 transcript:DRNTG_30582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVLEVNIEKENLLEFGSYLHRTTPALSELQVAENVPSSTTETLRCLAAKVDIAKELVEKCNSGAKSVPASELKSIIEQIEGVVNSIGQDIGTIPSNIFQNHKYAGTAIQSLAKEMQNAQFDTDVSLKNNMEGEQDLYQVQTSKEEFKTTDNNIGDGEMPRLKDFLKGMYYHGKNIPPSKTLNQLAEQIEPLYEAFFCPLTKKVMDDPVTIETGVTYERKAIKKWFENLMNNSQDIVCPVTKQKLHSRVLSTNLALKTMITEWKERNEASRMRIAQTALSLASSASVILDAIRDLEDLCTKREHNKLKMHNFGVTKILAQFLEYDDRDVRCETSKALRCLAEDDRGK >DRNTG_26367.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:474179:478613:-1 gene:DRNTG_26367 transcript:DRNTG_26367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVLRSPSHIPSSSSSPLSCSVNDGGRGLLRRETVEEEEEEEKGERKGDEDQLSLLAFVVTVLRKSLAGCRTEGGEEDLRSMEIGWPTNVQHVAHVTFDRFHGFLGLPVEFEPEILRRAPSASTNVFGVSTASMQCSYDSRGNSVPTILLLMQRRLYEQGGLQAEGIFRINPGNSQEELVRDQLSNGIVPDNIDVHCLAGLIKAWFRELPTGVLDPLTPEQVMQCQSEDDCTQLVKLLPPSEAALLDWAVNLMADIVQEEERNKMNARNVAMVFAPNMTQMADPLNALMYAVQVMNFLKMLIHKALKERQEPFLEEASVPPPGPSDGDNHQSPQLPLDASNKEHAEQMFIPEKPFSRKTTPPLEESFIADDAVGAYPTSHENSVSQETDECSHEVPTCTDGAADEPTHAIVGPKMSRMNSRKKKGQSSYVNHKKGSKKGNGQSVRSAPAEKTRGISIVSRINSKVERAEAWR >DRNTG_09467.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21348521:21350344:-1 gene:DRNTG_09467 transcript:DRNTG_09467.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope pore protein 16-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28900) UniProtKB/Swiss-Prot;Acc:Q9ZV24] MPWGRVTGSMSYPKVDVVIDMGNPFLNRTVDGFLKIGAVGASKVAAEETYHCIKKGGLSKGKVEDALSKMCKEGFYSGTVAGVYVGMEHGMERIRGTRDWKNAMLGGAITGALISTASNNGRDKVVADAISGAAVATAAEFLNYLT >DRNTG_27599.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:2185472:2185928:-1 gene:DRNTG_27599 transcript:DRNTG_27599.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDKIFSHVIMGEDNHGRCRMYDPGISLKDLHGPHPSRHELMERCEHLESQKRLLESQNSLMENDITHLKNKVDILIQAAGHQSGLEGGVDAILSAISTKGLGTSHSQNNDVGFSNPNEDEMKII >DRNTG_28922.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22061422:22064276:-1 gene:DRNTG_28922 transcript:DRNTG_28922.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:electron transfer flavoprotein beta [Source:Projected from Arabidopsis thaliana (AT5G43430) TAIR;Acc:AT5G43430] MKIMVAVKRVIDYAVKIRVKSDKSGVEMSNVKMSMNPFCEIAVEEALRIREAGAASEVVAVSVGPAQCADTLRTALAMGADRAIHVDSGSLGLVLPLSVAKILKALAEIERPGLMILGKQAIDDDCNQTGQMVAGLLNWPQGTFASKVLLDKEKQVATVEREVDGGLETLSLDLPAVITTDLRLNQPRYATLPNIMKAKSKVIKKVTLEELNVEIKSDLEVVQVTEPPKRKAGVIISSVDELIDKLKNEARVL >DRNTG_23121.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:232170:233136:1 gene:DRNTG_23121 transcript:DRNTG_23121.2 gene_biotype:protein_coding transcript_biotype:protein_coding METERVSIRAVSGDEEGSKRVDKVEVTVGVQLKPDTIRYIEKKLHDKGIQRMERHPVDGLPLVHGPPKSGHGGKFTWEGPSPEVEAELDPVPPAINPKDPNYEEEEEEEGPDGLLIGQVEVAKAAEAREGISRIEIRLTPLQS >DRNTG_23121.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:232025:233136:1 gene:DRNTG_23121 transcript:DRNTG_23121.1 gene_biotype:protein_coding transcript_biotype:protein_coding METERVSIRAVSGDEEGSKRVDKVEVTVGVQLKPDTIRYIEKKLHDKGIQRMERHPVDGLPLVHGPPKSGHGGKFTWEGPSPEVEAELDPVPPAINPKDPNYEEEEEEEGPDGLLIGQVEVAKAAEAREGISRIEIRLTPLQS >DRNTG_27119.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1766028:1769765:-1 gene:DRNTG_27119 transcript:DRNTG_27119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICIVICALVLYTITRCVIKITRFIWVQSQLEFELVSGSKTRRLVTLPALLYSNGLDLTGVGGSECTICLSEFILDEAVRVLPGCNHGFHVNCIDRWLKFHPTCPNCRQRMPCSMVVISVNNESAAVDHQGETILFPDSICFLCPDSVLLSVVPLLPWQSLDNSPLHNAHILSKTKETTTTFIKLDGDTLNRACLKFQHALYGKLFGKSPPFVEVKTDLLAKWSSFGEISISDLPNGFLLIHCSSQKTMQSLLLVGPWSVNGIILQLSPWKPFFEPTFAKLSTTAIWVDEFTSALTRPKYARICVEVDLSKPLSQGFWIGDDHHRVFVVVLYERLPTFCYSCASRNNSSRPAWQTGTGSSLVSGVEDQHMDDSDPNPAVPPSENMDGKNPVTDYGPWLLVSRRRGGTRGRGGSACASLLTNGAAAEPSPENDATRGAAPRSLRGGRSFVPSGRQPTSQVNPTVEPAVSEVPTLHDQSVHRPPVTSPSLSAPINFSESQDEALLASSALPPSSINQITPLHLSP >DRNTG_05097.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1963217:1971235:1 gene:DRNTG_05097 transcript:DRNTG_05097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTLKLTEKAADDYRGFAGGDGGNNGGGGGGGGGTMNMLPYMLIVLARMLTDCVSMDSNCACKCGDCPGGNASFATMQKVSSENQPNLKQQMPNLPAAQFSYLQAQQSVSSTETQSIYEQVQSAKKQAQSVYLKAHSVYLQAQFAYCQAIQQLSPQQMPPPPLPPPPPPLMSMTPRMSGFSLTSSASKLAPPQQLLNFQPRLRKLPLSSQLPSPSPPMSVPIHISASNQDPCSLYIDQMIQQNWMKLALSSATMYRTSAMMHSMPNCKQMLNLQAKFAELQAQFMKLQLSWQLPPTPPPMSVPTQTSASNQVPYCSHPPQQQLQQNDSNLAESGGINRMTATLPSMSVPPQFSDLTSASNQAQEMLNLQAQFEELLAQFSKLQLSTQPTQTWVSNQVPHSLPLQEQLQQNGSGDDDDLQSTAGSG >DRNTG_17262.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5539934:5541308:-1 gene:DRNTG_17262 transcript:DRNTG_17262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIERVSEKSSSRASGSKRGTPNKRWKGEYDSFLIPLLVEQVKKGMKCDKSFKRAAFVFAAVAVNSRFNTDFSAENVENHYRTLKSRYVEIKKVRDLSGAGWDDATKTITLDPMVALTYIEAHPTAKAFINKPIEHYEALRIICGDDNATGAYATSLYADFGDKSEAEGNNMGNFNEGPVELPSDDDADVNSAPPIVGSPATSSAQRSQRSSRGSKNPSMMGDLIIVVGEMAAGIKNPTHWTEPLYAKVMEVDGFQKKELVQVFDYLQFRYGIMAMMKGKV >DRNTG_26409.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23860684:23862884:1 gene:DRNTG_26409 transcript:DRNTG_26409.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSTVNHIRKVFYLGYGPTYGRKGWIVSSTILLSTTSLTSVSCSRKLLLMFLMGLPDHVNAFVGGELLVGKERRRTSAAVPYLLTIDHHVTIWLQDQDVFARKVFSIVALPDSDGKKDAFIVDGQVPISLKFGVAIGAFAGCSR >DRNTG_35123.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002232.1:10995:12908:-1 gene:DRNTG_35123 transcript:DRNTG_35123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKIQQHITLMVMVMVIIFLPISNSISPSLSPIQSPSPSSPLDPKQLKALHFLGLSSHNPCSNPSSHHNATSCDSSHPFRHIISLTLSNCTSSSSFPSLSLSLRSFSSLSSLSFINCSIPSPRHLPSSLHSFTSNSSLRHLSSLLLSRLHNLTSLSILSVPITGSGLPLILSQMPHLVSLTISQSNLSGPLPSSLFSLPLTHLDLSSNNLNGTLPIFPPSSTHLQYLNLENNNFHGVIPYNSSFITHLQLFKISGNPNLCYNHSILSSKLSLGVAKCDQYGLPISPPPAADSPRKSNSDDSLDDEDDGSLKSTNGEHHGGGGPNKLVLGVAITLSFLVFLVIFLLCISKACGCR >DRNTG_09102.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10471462:10475964:-1 gene:DRNTG_09102 transcript:DRNTG_09102.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomevalonate kinase, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G31910) UniProtKB/Swiss-Prot;Acc:Q9C6T1] MTTSVVAALLHYLGIVGLPFVSKDGTAAGTTDSDLSLVHAIAQTAHCIAQGKIGSGFDVCAAVYGSQRYVRFSPSVLSSAQAVGGKQLPDVINDILKEKWDHEKLSFSLPPLMSLLLGEPGTGGSSTPSMVGSVKQWQKSDPQKSAETWTRLANANSMLELELNKLNKFALEQRDSYKHVISSCSGYSHEKWVEQATDQTQESIVKSLLGAREAFVEIRFQMQLMGKEAGVPIEPESQSRLLDATMSMKGVLLAGVPGAGGYDAVFAIILGESTGTVSDAWSSQGVLPLLVRENPDGVLLEDGDPRTREVSSSIASININ >DRNTG_09102.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10471462:10475964:-1 gene:DRNTG_09102 transcript:DRNTG_09102.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomevalonate kinase, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G31910) UniProtKB/Swiss-Prot;Acc:Q9C6T1] MTTSVVAALLHYLGIVGLPFVSKDGTAAGTTDSDLSLVHAIAQTAHCIAQGKIGSGFDVCAAVYGSQRYVRFSPSVLSSAQAVGGKQLPDVINDILKEKWDHEKLSFSLPPLMSLLLGEPGTGGSSTPSMVGSVKQWQKSDPQKSAETWTRLANANSMLELELNKLNKFALEQRDSYKHVISSCSGYSHEKWVEQATDQTQESIVKSLLGAREAFVEIRFQMQLMGKEAGVPIEPESQSRLLDATMSMKGVLLAGVPGAGGYDAVFAIILGESTGTVSDAWSSQGVLPLLVRENPDGVLLEDGDPRTREVSSSIASININ >DRNTG_04518.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19171660:19174652:1 gene:DRNTG_04518 transcript:DRNTG_04518.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STABILIZED1 [Source:Projected from Arabidopsis thaliana (AT4G03430) UniProtKB/Swiss-Prot;Acc:Q9ZT71] MDSRRKDRREARLKQEIEKYRASNPKITEQFADLKRKLVDLTPEQWDSIPEIGDYSLRNKKKRFESFVPVPDTLLEKARQEQEHVTALDPKSRAASGTETPWSQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGLTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLKSVTQTNPKHPPGWIAAARLEEVAGKIQAARQLIQKGCEECPKNEDVWLEACRLASPDEAKAVIARGVKAIPNSVKLWLQAAKLEHSEVNRSRVLRKGLEHIPDSVRLWKALVELANEEDARLLLQRAVECCPLHVELWLALARLETYEQAKKVLNKAREKLPKEPSIWITAAKLEEANGNTASVGKVIERGIRSLQREGVEIDRELWMKEAEAAERAGSIATCQAIIKNTIGIAVEEEDRKRTWVADAEECKKRGSIETARAIYAHALTVFLTKKSIWLKAAQLEKSHGTRESLDALLRKAVTYRPQAEVLWLMGAKEKWLAGDVPAARAILQEAYAAIPNSEEIWLAAFKLEFENHEPERARMLLAKARERGGTERVWMKSAIVERELGNTSEERRLLEEGLKLFPSFFKLWLMLGQMEDRIGHGEQAKEAYESGLSHCPNCTHLWLSLANLEERMNGLSKARAVLTMARKKNPQNPELWLAAVRAESRHGNKKEADTLMAKALQECPSSGILWAASIEMVPRPQRRQKSSDALKRSDHDPHVIAAVAKLFWHDRKVDKARNWFNRAVTLAPDIGDFWAWYYKFELQHGSEDHQKDVLKRCITAEPKHGEKWQAISKAVENSHLPVEAILKKAVVALGKEESSSIVDGVRA >DRNTG_04518.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19171235:19174855:1 gene:DRNTG_04518 transcript:DRNTG_04518.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STABILIZED1 [Source:Projected from Arabidopsis thaliana (AT4G03430) UniProtKB/Swiss-Prot;Acc:Q9ZT71] MVFLRNLDGRTLYLDLSFSASSPISFDSLSLAIETLTGVPSHLHRLFLSSRRLLPSSSFPLLLHPSTTLTLHLPFLAGTQPPLPLPALPATIFLNSKPPPNYVAGLGRGATGFTTRSDIGPARAAPDLPDRSAAAAAAPAAGRGRGKPPGADGDDEEDEADDKGYDENQKFDEFEGNDVGLFASAEYDEDDREADAVWESIDKRMDSRRKDRREARLKQEIEKYRASNPKITEQFADLKRKLVDLTPEQWDSIPEIGDYSLRNKKKRFESFVPVPDTLLEKARQEQEHVTALDPKSRAASGTETPWSQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGLTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLKSVTQTNPKHPPGWIAAARLEEVAGKIQAARQLIQKGCEECPKNEDVWLEACRLASPDEAKAVIARGVKAIPNSVKLWLQAAKLEHSEVNRSRVLRKGLEHIPDSVRLWKALVELANEEDARLLLQRAVECCPLHVELWLALARLETYEQAKKVLNKAREKLPKEPSIWITAAKLEEANGNTASVGKVIERGIRSLQREGVEIDRELWMKEAEAAERAGSIATCQAIIKNTIGIAVEEEDRKRTWVADAEECKKRGSIETARAIYAHALTVFLTKKSIWLKAAQLEKSHGTRESLDALLRKAVTYRPQAEVLWLMGAKEKWLAGDVPAARAILQEAYAAIPNSEEIWLAAFKLEFENHEPERARMLLAKARERGGTERVWMKSAIVERELGNTSEERRLLEEGLKLFPSFFKLWLMLGQMEDRIGHGEQAKEAYESGLSHCPNCTHLWLSLANLEERMNGLSKARAVLTMARKKNPQNPELWLAAVRAESRHGNKKEADTLMAKALQECPSSGILWAASIEMVPRPQRRQKSSDALKRSDHDPHVIAAVAKLFWHDRKVDKARNWFNRAVTLAPDIGDFWAWYYKFELQHGSEDHQKDVLKRCITAEPKHGEKWQAISKAVENSHLPVEAILKKAVVALGKEESSSIVDGVRA >DRNTG_04518.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19171235:19174652:1 gene:DRNTG_04518 transcript:DRNTG_04518.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STABILIZED1 [Source:Projected from Arabidopsis thaliana (AT4G03430) UniProtKB/Swiss-Prot;Acc:Q9ZT71] MVFLRNLDGRTLYLDLSFSASSPISFDSLSLAIETLTGVPSHLHRLFLSSRRLLPSSSFPLLLHPSTTLTLHLPFLAGTQPPLPLPALPATIFLNSKPPPNYVAGLGRGATGFTTRSDIGPARAAPDLPDRSAAAAAAPAAGRGRGKPPGADGDDEEDEADDKGYDENQKFDEFEGNDVGLFASAEYDEDDREADAVWESIDKRMDSRRKDRREARLKQEIEKYRASNPKITEQFADLKRKLVDLTPEQWDSIPEIGDYSLRNKKKRFESFVPVPDTLLEKARQEQEHVTALDPKSRAASGTETPWSQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGLTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLKSVTQTNPKHPPGWIAAARLEEVAGKIQAARQLIQKGCEECPKNEDVWLEACRLASPDEAKAVIARGVKAIPNSVKLWLQAAKLEHSEVNRSRVLRKGLEHIPDSVRLWKALVELANEEDARLLLQRAVECCPLHVELWLALARLETYEQAKKVLNKAREKLPKEPSIWITAAKLEEANGNTASVGKVIERGIRSLQREGVEIDRELWMKEAEAAERAGSIATCQAIIKNTIGIAVEEEDRKRTWVADAEECKKRGSIETARAIYAHALTVFLTKKSIWLKAAQLEKSHGTRESLDALLRKAVTYRPQAEVLWLMGAKEKWLAGDVPAARAILQEAYAAIPNSEEIWLAAFKLEFENHEPERARMLLAKARERGGTERVWMKSAIVERELGNTSEERRLLEEGLKLFPSFFKLWLMLGQMEDRIGHGEQAKEAYESGLSHCPNCTHLWLSLANLEERMNGLSKARAVLTMARKKNPQNPELWLAAVRAESRHGNKKEADTLMAKALQECPSSGILWAASIEMVPRPQRRQKSSDALKRSDHDPHVIAAVAKLFWHDRKVDKARNWFNRAVTLAPDIGDFWAWYYKFELQHGSEDHQKDVLKRCITAEPKHGEKWQAISKAVENSHLPVEAILKKAVVALGKEESSSIVDGVRA >DRNTG_04518.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19171660:19178135:1 gene:DRNTG_04518 transcript:DRNTG_04518.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STABILIZED1 [Source:Projected from Arabidopsis thaliana (AT4G03430) UniProtKB/Swiss-Prot;Acc:Q9ZT71] MDSRRKDRREARLKQEIEKYRASNPKITEQFADLKRKLVDLTPEQWDSIPEIGDYSLRNKKKRFESFVPVPDTLLEKARQEQEHVTALDPKSRAASGTETPWSQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGLTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLKSVTQTNPKHPPGWIAAARLEEVAGKIQAARQLIQKGCEECPKNEDVWLEACRLASPDEAKAVIARGVKAIPNSVKLWLQAAKLEHSEVNRSRVLRKGLEHIPDSVRLWKALVELANEEDARLLLQRAVECCPLHVELWLALARLETYEQAKKVLNKAREKLPKEPSIWITAAKLEEANGNTASVGKVIERGIRSLQREGVEIDRELWMKEAEAAERAGSIATCQAIIKNTIGIAVEEEDRKRTWVADAEECKKRGSIETARAIYAHALTVFLTKKSIWLKAAQLEKSHGTRESLDALLRKAVTYRPQAEVLWLMGAKEKWLAGDVPAARAILQEAYAAIPNSEEIWLAAFKLEFENHEPERARMLLAKARERGGTERVWMKSAIVERELGNTSEERRLLEEGLKLFPSFFKLWLMLGQMEDRIGHGEQAKEAYESGLSHCPNCTHLWLSLANLEERMNGLSKARAVLTMARKKNPQNPELWLAAVRAESRHGNKKEADTLMAKALQECPSSGILWAASIEMVPRPQRRQKSSDALKRSDHDPHVIAAVAKLFWHDRKVDKARNWFNRAVTLAPDIGDFWAWYYKFELQHGSEDHQKDVLKRCITAEPKHGEKWQAISKAVENSHLPVEAILKKAVVALGKEESSSIVDGVRA >DRNTG_04518.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19171660:19178135:1 gene:DRNTG_04518 transcript:DRNTG_04518.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STABILIZED1 [Source:Projected from Arabidopsis thaliana (AT4G03430) UniProtKB/Swiss-Prot;Acc:Q9ZT71] MDSRRKDRREARLKQEIEKYRASNPKITEQFADLKRKLVDLTPEQWDSIPEIGDYSLRNKKKRFESFVPVPDTLLEKARQEQEHVTALDPKSRAASGTETPWSQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGLTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLKSVTQTNPKHPPGWIAAARLEEVAGKIQAARQLIQKGCEECPKNEDVWLEACRLASPDEAKAVIARGVKAIPNSVKLWLQAAKLEHSEVNRSRVLRKGLEHIPDSVRLWKALVELANEEDARLLLQRAVECCPLHVELWLALARLETYEQAKKVLNKAREKLPKEPSIWITAAKLEEANGNTASVGKVIERGIRSLQREGVEIDRELWMKEAEAAERAGSIATCQAIIKNTIGIAVEEEDRKRTWVADAEECKKRGSIETARAIYAHALTVFLTKKSIWLKAAQLEKSHGTRESLDALLRKAVTYRPQAEVLWLMGAKEKWLAGDVPAARAILQEAYAAIPNSEEIWLAAFKLEFENHEPERARMLLAKARERGGTERVWMKSAIVERELGNTSEERRLLEEGLKLFPSFFKLWLMLGQMEDRIGHGEQAKEAYESGLSHCPNCTHLWLSLANLEERMNGLSKARAVLTMARKKNPQNPELWLAAVRAESRHGNKKEADTLMAKALQECPSSGILWAASIEMVPRPQRRQKSSDALKRSDHDPHVIAAVAKLFWHDRKVDKARNWFNRAVTLAPDIGDFWAWYYKFELQHGSEDHQKDVLKRCITAEPKHGEKWQAISKAVENSHLPVEAILKKAVVALGKEESSSIVDGVRA >DRNTG_04518.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19171660:19174855:1 gene:DRNTG_04518 transcript:DRNTG_04518.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STABILIZED1 [Source:Projected from Arabidopsis thaliana (AT4G03430) UniProtKB/Swiss-Prot;Acc:Q9ZT71] MDSRRKDRREARLKQEIEKYRASNPKITEQFADLKRKLVDLTPEQWDSIPEIGDYSLRNKKKRFESFVPVPDTLLEKARQEQEHVTALDPKSRAASGTETPWSQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGLTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLKSVTQTNPKHPPGWIAAARLEEVAGKIQAARQLIQKGCEECPKNEDVWLEACRLASPDEAKAVIARGVKAIPNSVKLWLQAAKLEHSEVNRSRVLRKGLEHIPDSVRLWKALVELANEEDARLLLQRAVECCPLHVELWLALARLETYEQAKKVLNKAREKLPKEPSIWITAAKLEEANGNTASVGKVIERGIRSLQREGVEIDRELWMKEAEAAERAGSIATCQAIIKNTIGIAVEEEDRKRTWVADAEECKKRGSIETARAIYAHALTVFLTKKSIWLKAAQLEKSHGTRESLDALLRKAVTYRPQAEVLWLMGAKEKWLAGDVPAARAILQEAYAAIPNSEEIWLAAFKLEFENHEPERARMLLAKARERGGTERVWMKSAIVERELGNTSEERRLLEEGLKLFPSFFKLWLMLGQMEDRIGHGEQAKEAYESGLSHCPNCTHLWLSLANLEERMNGLSKARAVLTMARKKNPQNPELWLAAVRAESRHGNKKEADTLMAKALQECPSSGILWAASIEMVPRPQRRQKSSDALKRSDHDPHVIAAVAKLFWHDRKVDKARNWFNRAVTLAPDIGDFWAWYYKFELQHGSEDHQKDVLKRCITAEPKHGEKWQAISKAVENSHLPVEAILKKAVVALGKEESSSIVDGVRA >DRNTG_32157.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001793.1:56038:61658:-1 gene:DRNTG_32157 transcript:DRNTG_32157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGGYQNHPLHGHRMRNRPFLPPLGDHVPLVEPSPPTVPAKAHHQGGHVPWWNRLLQSFTPKLFKENMRHALATAHGNRSCPWSKPLAHDQAPMFSITLADGWSNRPSCPCSLVTREHFPHVPRSCACVHVSWSHLHASLGHTCRPSLEEVLKSRKEKLVNNLNVCSY >DRNTG_18602.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22211255:22212045:-1 gene:DRNTG_18602 transcript:DRNTG_18602.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSEGQEEETRGLESNHRQRGLAEGPLIVHSPLTQLEVAEFSSPL >DRNTG_33511.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002012.1:51697:52202:1 gene:DRNTG_33511 transcript:DRNTG_33511.1 gene_biotype:protein_coding transcript_biotype:protein_coding SILHKKVALPINAILDNLEALSIIHLKIHSILNLGDRSTRNHLSCSININNKLKKEKEQEQEQRGCSCHG >DRNTG_01688.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23769008:23771290:-1 gene:DRNTG_01688 transcript:DRNTG_01688.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISYLLAFLYVSNYIHLAKSLNQPLPSLKHTTTINAAQKTSVSLHQSVTWKQNSSGLQTYIVLVHPPSENTPNGCEKWYKSFLPATNSKLIYSYTNVVSGFAAKLTHEDVEEMKTKPGFVHAYPDRLLALLTTHTPDFLGISSASSLWNGSNFGQSIIIGVLDTGVLPTHPSFNDQGMPPPPAKWKGVCQFKNCNNKLIGARNYVQGQSSKAPFDNVGHGTHTASTAGGMFVKNSDIIGQATGTAAGMAPYAHLAMYKVCTDIGCYDSDILAGMDAAVSDGVDILSLSLGGSSRPFYSDSIAIGAFGAVEKGVIVSCAAGNSGPSYGTLSNEAPWILTVGASTINRVLRTTVKLGNGQQFNGESAYQPQGFRSIPRPLVYPGSINSSAATCKIGSLKGINVRGKVVICDDGDVDRVLKGSVVKKAGGVAMILANQAVEGYTTLASVNVLPASHVSYEDGLEIKTYISSNPKPMASIFFQGTLLGVTPAPVTGYFTSRGPNQADPSILKPDIIGPGVNILAAWPFLVGAKSRFNMISGTSMATPHLTGIAALLKHSHPDWSPAAIKSAIMTSSEITDNDGNVILDHTLNTADFFTVGAGHVNPSKANYPGLVYDIKPISYVSYLCGLNYTDKQVSAITRRPIICSTIQSISGSELNYPSFMVFLTASNNYTTVVHRTVTNVGLPKSTYTLEITPPPIGVSMIVNPKSLKFTKAKQELQFSVTFSSTNNNTGGLSFFETLLTWVSSDKSITVRSPVMVGLS >DRNTG_07029.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3456727:3466599:-1 gene:DRNTG_07029 transcript:DRNTG_07029.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRQGLDIRYDLYLSFEESVHGGSREIDFTCFETCDGCGGSGAKSSSSIKLCSECGGKGGVMKTQKTPFGIVTQVSSCSNCGGDGKIITEHCRKCSGKGRIQAKRSIVVDVPAGANDGLTIRVQGEGGIDKIRGIVGDLYLSIHVKEKQGFRREGLNLYSDIGIDYAQAILGTTVKVETIEGYKDLQIPCGIQPGETLKMAKLGVPNINKPSVRGDHYFIVRVEIPKDISAEERLLVEKLASLRASFKDHRIHSKDDQKKQKGSKQISFQQKDSRPLWGALKKLFGGGQTRAGFASMSVAPLSPAFIPAAATTTHVGLHPAILISICGLLFTVLASSFIRRKIHRLPLQCHQVHPTQ >DRNTG_18292.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2643075:2643849:1 gene:DRNTG_18292 transcript:DRNTG_18292.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFNRFDFSFFFLSQDTLVYWSSSRCLPQRNGLAKRFLSLVPSHSFGKCMNNVGGLDMALTLYPECSNSDNYAPHWWDHLHCAMSHYKFVLAIENTMTESYVTEKLYYALDSGSVPIYFGAPNVWDFIPPNSIIDGSKFSSLEELASYVKQLANDPVAYAEYHAWRRCGVIGNYGKARAASLDTLPCRLCEFVSRKGGRSATTL >DRNTG_18292.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2641332:2643849:1 gene:DRNTG_18292 transcript:DRNTG_18292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSKPILSYAAPMMAFALTLLLLSHFLEFPSLSTPLPVSPTRTTTPNSPFSDLLSAFSLWDSHVGCPRFRNRLAAWSPNASALQTVDARECSGIGISHVSVLVKGWTWIPDNLDNLYSCRCGLTCLWTKSPVLADKPDALLFENVMPPSKRRNGEPLRVYMDLEASRKPSGFEDIFIGYHAKDDVQTTYAGSLFHNNRNYHISTQKRNDTLVYWSSSRCLPQRNGLAKRFLSLVPSHSFGKCMNNVGGLDMALTLYPECSNSDNYAPHWWDHLHCAMSHYKFVLAIENTMTESYVTEKLYYALDSGSVPIYFGAPNVWDFIPPNSIIDGSKFSSLEELASYVKQLANDPVAYAEYHAWRRCGVIGNYGKARAASLDTLPCRLCEFVSRKGGRSATTL >DRNTG_18292.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2641332:2643042:1 gene:DRNTG_18292 transcript:DRNTG_18292.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSKPILSYAAPMMAFALTLLLLSHFLEFPSLSTPLPVSPTRTTTPNSPFSDLLSAFSLWDSHVGCPRFRNRLAAWSPNASALQTVDARECSGIGISHVSVLVKGWTWIPDNLDNLYSCRCGLTCLWTKSPVLADKPDALLFENVMPPSKRRNGEPLRVYMDLEASRKPSGFEDIFIGYHAKDDVQTTYAGSLFHNNRNYHISTQKRNVSLSEFHYQIQRLWYLIILFRCDWDFLHVYHYYFNQGAVFVCYLIAYYVFSSFCVFYLGSNLHL >DRNTG_03530.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:215969:218341:1 gene:DRNTG_03530 transcript:DRNTG_03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLKLVLLWALMAEVATSATVDSFIFTNGFTGSKLVLDGLASITENGLLRLTNDTKQSTGHAIYPTSFNFLQPSTGTAVACDHYILGWSFKLNGLVPEALNISTLPSLPEHTAIKEKAKYPITIWLTAVGSVVLLTSVVVVVMFIIMRRRRIKFAELVEDWERQYGPHRFSYKTLFTATKGFKDKQLLGVGGFGKVYKGVLPKSNTEVAVKRISHESMQGMREFVAEIVTLGRLRHRNLVQLLGYSRRKGELLLVYNYMPNGSLGEFLHCNDKPALNWSQRLHIIKGVASGLQYLHEDWEQVVIHRDIKANNVLLDSELNGKLGDFGLARLYDHDMDPQTTQVAGTMGYIAPELARTGRPTTLTDVFAFGVFVSEVACGRRPIDFKLKGDNQIVLVDWVLENWKKGTVLDSIDQRLGDEYIVDEMKLVLELGLLCSHPLPALRPSMKQVVQCLNGDAQLPPLSSLAFSNFDLLALQQNEGFDKYVLSYPSSSIPTSESNNADSRSISIEEQSM >DRNTG_03978.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25530743:25533320:-1 gene:DRNTG_03978 transcript:DRNTG_03978.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPEPFELKYVALGNQDCWKKNYRGNYLKFYYAIKAAYPDIQLVSNCDGSAGKLDHPADLYDFHLYTSGNDMFTRAHQFDRTSRSSTGPKAFVSEYAATGSNVGKGNLFSALAQAGFLIGLEINSDVVEMASNAPLFVNGNDRRWSPDAIVFNSWQEYGTPTYWMQHFFKESSGAILHPTTLKTSSSNLIASAITWQSTEDTSSYLKIKIANFGGDNVNLKIAVSGLQNVVNSSRSTTTVLTSKNLMDENSFKEPNKVAPLQNMLQNAGTNMDVVIVPHSLTSFDLALSQTNYWSAI >DRNTG_03978.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25530743:25533273:-1 gene:DRNTG_03978 transcript:DRNTG_03978.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPEPFELKYVALGNQDCWKKNYRGNYLKFYYAIKAAYPDIQLVSNCDGSAGKLDHPADLYDFHLYTSGNDMFTRAHQFDRTSRSSTGPKAFVSEYAATGSNVGKGNLFSALAQAGFLIGLEINSDVVEMASNAPLFVNGNDRRWSPDAIVFNSWQEYGTPTYWMQHFFKESSGAILHPTTLKTSSSNLIASAITWQSTEDTSSYLKIKIANFGGDNVNLKIAVSGLQNVVNSSRSTTTVLTSKNLMDENSFKEPNKVAPLQNMLQNAGTNMDVVIVPHSLTSFDLALSQTNYWSAI >DRNTG_09928.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17733156:17733700:-1 gene:DRNTG_09928 transcript:DRNTG_09928.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVLEISPHSVLRIILSITCSEVIVLTGVLRLLLAHQPKMKGLGFPVEM >DRNTG_20422.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3170443:3180030:1 gene:DRNTG_20422 transcript:DRNTG_20422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGDYPFNMKAIVRDRLPTFSKEEADMIKGSYDFIGINYYTARYAREVPYSHDSPPFLNINESY >DRNTG_20422.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3170443:3171203:1 gene:DRNTG_20422 transcript:DRNTG_20422.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGDYPFNMKAIVRDRLPTFSKEEADMIKGSYDFIGINYYTARYAREVPYSHDSPPFLHINESYAEQL >DRNTG_27883.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001414.1:36853:38951:-1 gene:DRNTG_27883 transcript:DRNTG_27883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVVVGIGIGAAFVLVLFLISLWFTSKRSTNHHHLKTSTSIPNTCKEIPEVRIDPYPNPSSHPQSSPVPRPEPNPSSVSTERRALLNPSGEESPNSHQRFHISSGKGRSGDQQAIVVAPEVSHLGWGHWYTLRELEAATNMFSPENVIGEGGYGIVYHGVLQDNTHVAIKNLLNNRGQAEREFKVEVDAIGRVRHKNLVRLLGYCAEGAHRMLVYEYVDNGNMDQWLHGDVGPCSPLTWEIRMNIILGIAKGLMYLHEALEPKVVHRDIKSSNILLDKHWNAKVSDFGLAKLLGAESNYVTTRVMGTFGYVAPEYASSGMLNERSDVYSFGILIMEIISGRNPVDYSRPVGEVNLVEWLKSMVSLRNAEGVLDPRIQEKPSSRSLKRTLLVALKCVDPDSLKRPKMGHVVHMLEVDDFAFNRDDRRGVKDLGRPNSGDFSPKLEKKPLNEPDSGDFSTKTSKEAIE >DRNTG_27883.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001414.1:37560:38150:-1 gene:DRNTG_27883 transcript:DRNTG_27883.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYEYVDNGNMDQWLHGDVGPCSPLTWEIRMNIILGIAKGLMYLHEALEPKVVHRDIKSSNILLDKHWNAKVSDFGLAKLLGAESNYVTTRVMGTFGYVAPEYASSGMLNERSDVYSFGILIMEIISGRNPVDYSRPVGEV >DRNTG_28557.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001441.1:8141:12647:1 gene:DRNTG_28557 transcript:DRNTG_28557.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVRFTTLQNFHTGISCTFICLTLFECQETLWWYIYGVIYEEILLNIKLSKPSFLVYILQVCWKWKKTALVIRFPIALYHILSKI >DRNTG_28557.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001441.1:8141:12647:1 gene:DRNTG_28557 transcript:DRNTG_28557.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVRFTTLQNFHTGISCTFICLTLFECQETLWWYIYGVIYEEILLNIKLSKPSFLVYILQVCWKWKKTALVIRFPIALYHILSKI >DRNTG_28557.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001441.1:8141:12804:1 gene:DRNTG_28557 transcript:DRNTG_28557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVRFTTLQNFHTGISCTFICLTLFECQETLWWYIYGVIYEEILLNIKLSKPSFLVYILQVCWKWKKTALVIRFPIALYHILSKI >DRNTG_28557.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001441.1:8141:12647:1 gene:DRNTG_28557 transcript:DRNTG_28557.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVRFTTLQNFHTGISCTFICLTLFECQETLWWYIYGVIYEEILLNIKLSKPSFLVYILQVCWKWKKTALVIRFPIALYHILSKI >DRNTG_17638.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4205974:4206506:1 gene:DRNTG_17638 transcript:DRNTG_17638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALSSAPSLIQVHPQHKLEIDLELHYSFHRNAQSREQWHKEKEGREEESEKEEKEGERAEKKNVDKKNQKKKKKKKKKKGLRLLGDGESRVTSG >DRNTG_20883.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2401895:2402795:1 gene:DRNTG_20883 transcript:DRNTG_20883.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLHLSSISTVRPSAHLRSPPSISTSSSSLKLSSTFRPPPLKLALRRSRRSRRGGAVMADTAAASYANALSDVACRNNTLDTTMADVEKLGRIFSDPEVLSFFVNPIIANEEKEKVACEIADSSCLQRHTANFISILIDMNRIDIIQDIVREFENCYNRITGTEVAVVSSVVQLDSQHLAQIAQVVQKLTKAKNIRLKTVIDPSLVAGFTIRFGNSRSKLIDLSVKKHLDEIASQLDFSSISFA >DRNTG_20883.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2401895:2403152:1 gene:DRNTG_20883 transcript:DRNTG_20883.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLHLSSISTVRPSAHLRSPPSISTSSSSLKLSSTFRPPPLKLALRRSRRSRRGGAVMADTAAASYANALSDVACRNNTLDTTMADVEKLGRIFSDPEVLSFFVNPIIANEEKEKVACEIADSSCLQRHTANFISILIDMNRIDIIQDIVREFENCYNRITGTEVAVVSSVVQLDSQHLAQIAQVVQKLTKAKNIRLKTVIDPSLVAGFTIRFGNSRSKLIDLSVKKHLDEIASQLDFSSISFA >DRNTG_20883.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2401825:2403786:1 gene:DRNTG_20883 transcript:DRNTG_20883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLHLSSISTVRPSAHLRSPPSISTSSSSLKLSSTFRPPPLKLALRRSRRSRRGGAVMADTAAASYANALSDVACRNNTLDTTMADVEKLGRIFSDPEVLSFFVNPIIANEEKEKVACEIADSSCLQRHTANFISILIDMNRIDIIQDIVREFENCYNRITGTEVAVVSSVVQLDSQHLAQIAQVVQKLTKAKNIRLKTVIDPSLVAGFTIRFGNSRSKLIDLSVKKHLDEIASQLDFSSISFA >DRNTG_20883.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2401825:2403786:1 gene:DRNTG_20883 transcript:DRNTG_20883.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLHLSSISTVRPSAHLRSPPSISTSSSSLKLSSTFRPPPLKLALRRSRRSRRGGAVMADTAAASYANALSDVACRNNTLDTTMADVEKLGRIFSDPEVLSFFVNPIIANEEKEKVACEIADSSCLQRHTANFISILIDMNRIDIIQDIVREFENCYNRITGTEVAVVSSVVQLDSQHLAQIAQVVQKLTKAKNIRLKTVIDPSLVAGFTIRFGNSRSKLIDLSVKKHLDEIASQLDFSSISFA >DRNTG_20883.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2401895:2403786:1 gene:DRNTG_20883 transcript:DRNTG_20883.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLHLSSISTVRPSAHLRSPPSISTSSSSLKLSSTFRPPPLKLALRRSRRSRRGGAVMADTAAASYANALSDVACRNNTLDTTMADVEKLGRIFSDPEVLSFFVNPIIANEEKEKVACEIADSSCLQRHTANFISILIDMNRIDIIQDIVREFENCYNRITGTEVAVVSSVVQLDSQHLAQIAQVVQKLTKAKNIRLKTVIDPSLVAGFTIRFGNSRSKLIDLSVKKHLDEIASQLDFSSISFA >DRNTG_20883.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2401895:2403786:1 gene:DRNTG_20883 transcript:DRNTG_20883.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLHLSSISTVRPSAHLRSPPSISTSSSSLKLSSTFRPPPLKLALRRSRRSRRGGAVMADTAAASYANALSDVACRNNTLDTTMADVEKLGRIFSDPEVLSFFVNPIIANEEKEKVACEIADSSCLQRHTANFISILIDMNRIDIIQDIVREFENCYNRITGTEVAVVSSVVQLDSQHLAQIAQVVQKLTKAKNIRLKTVIDPSLVAGFTIRFGNSRSKLIDLSVKKHLDEIASQLDFSSISFA >DRNTG_20883.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2401825:2402795:1 gene:DRNTG_20883 transcript:DRNTG_20883.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLHLSSISTVRPSAHLRSPPSISTSSSSLKLSSTFRPPPLKLALRRSRRSRRGGAVMADTAAASYANALSDVACRNNTLDTTMADVEKLGRIFSDPEVLSFFVNPIIANEEKEKVACEIADSSCLQRHTANFISILIDMNRIDIIQDIVREFENCYNRITGTEVAVVSSVVQLDSQHLAQIAQVVQKLTKAKNIRLKTVIDPSLVAGFTIRFGNSRSKLIDLSVKKHLDEIASQLDFSSISFA >DRNTG_20883.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2401895:2403786:1 gene:DRNTG_20883 transcript:DRNTG_20883.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLHLSSISTVRPSAHLRSPPSISTSSSSLKLSSTFRPPPLKLALRRSRRSRRGGAVMADTAAASYANALSDVACRNNTLDTTMADVEKLGRIFSDPEVLSFFVNPIIANEEKEKVACEIADSSCLQRHTANFISILIDMNRIDIIQDIVREFENCYNRITGTEVAVVSSVVQLDSQHLAQIAQVVQKLTKAKNIRLKTVIDPSLVAGFTIRFGNSRSKLIDLSVKKHLDEIASQLDFSSISFA >DRNTG_12130.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4458281:4459754:1 gene:DRNTG_12130 transcript:DRNTG_12130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSNLQYVTSNTFLLSVYAKYLRASGQTFNCGDLLVTPSVLRELARKQVDYILGENPKMTSYMVGYSKNFPKHVHHRSSSIPSILVDTQPLSCDSGFNFYYASSENPNVLTGAVVGGPDDNDEYTDDRNNYAQSEPATYINAPLVGTLSYIAACFQ >DRNTG_12130.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4457454:4459754:1 gene:DRNTG_12130 transcript:DRNTG_12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNFPMAFTTTMLAWSVLEFGRRMQDELAQAREAVRWGTDYLLKSSVNLPHALYVQVGNPNVDHKCWTRAEDLREPRSVYKVTPVNPGTDVAAETAAALAAGSIVFRHIDKGYANKLRETAIKAFAFADKYRGKYSDSLSSVVCPFYCSYSGFEDELLWGAAWLYKATQNSSYLDFAKSLDVNNKDSDTFSWDDKIPGARVLLARDYLVEKHEVGAEYRKRAERYFCSVLPSSPSVSVKYTAGGLLYKMSGSNLQYVTSNTFLLSVYAKYLRASGQTFNCGDLLVTPSVLRELARKQVDYILGENPKMTSYMVGYSKNFPKHVHHRSSSIPSILVDTQPLSCDSGFNFYYASSENPNVLTGAVVGGPDDNDEYTDDRNNYAQSEPATYINAPLVGTLSYIAACFQ >DRNTG_03088.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:451417:453607:1 gene:DRNTG_03088 transcript:DRNTG_03088.1 gene_biotype:protein_coding transcript_biotype:protein_coding TVACSTTPLRCPPLNSTPDLDNNNAPMKPFFHSHGRHHPQKRDEI >DRNTG_29012.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22273838:22280211:1 gene:DRNTG_29012 transcript:DRNTG_29012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGLDRRLVFAFALATALLALPSDPPPPPPLSSPSSNASYPIGDSFAKISRVPMSKARVYADVNVLRPKEYWDYESLTLQWGEQDDYEVVRKVGRGKYSEVFEGVNVSNNERCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEFVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDELNAYLNKYRLELDPQLDALVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMAHPYFQQVRAAENSRMRTQ >DRNTG_27897.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10454498:10455516:1 gene:DRNTG_27897 transcript:DRNTG_27897.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILKSFIYLFNVIPKTLMVKEITGVIVGEVKVVANMHQKKVEMARNSDAFTTLPGGYGTFEELFEIGMLNVDGYYDSLLLFTNKGVQEGFIKPNARHIIFSVSNAKELIKKLEVVFTRF >DRNTG_08141.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5391118:5399287:-1 gene:DRNTG_08141 transcript:DRNTG_08141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAKAAENTILEHLQSHDEIPDSQKFAASSGFDHGVVENVIKSLCGSRTIEANEIKEERWALTDEGKSYVDSGSPEVQFFRALPSEGEILMKDLEKKLDPTVYKVGPSAAIVNKWVTMRKEKREVPGSTKPETIVYVSRKINGVEDKVKDLLQKIEAGEAVDEKDVDALKRRKLVILQTWRGYSIRKGPEYVLVREKTATDLTREHIQRGDWKQLKFKEYNLSAQVQPIQIGYVQPLLEVKEEIQNIFLQMGFEEMPTNNYVESSFWNFDALFQPQQHPARDSHDTFFLKVPSTTKQLPEDYLERVKQVHQSGGYGSKGYEYDWKREEAEKNLLRTHTTAVSTRMLYKLAQQKSFVPKRYFSIDRVFRNEAVDRTHLAEFHQIEGLICDRGLSLGDLLGVLEDFFSRLGMTKLRFKPAYNPYTEPSMEIFSYHDGLKKWVEVGNSGMFRPEMLLPMGLPEDVNVIAWGLSLERPTMILYGIDNIRDLFGHKVDFDVIKNNPLCRLGLQ >DRNTG_10810.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17645925:17646377:-1 gene:DRNTG_10810 transcript:DRNTG_10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKDQYRAEMMRQMEDMMMSFERRILQQSQFTTQDSQPVTDDHDVDL >DRNTG_00470.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30739611:30741706:-1 gene:DRNTG_00470 transcript:DRNTG_00470.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g18520, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18520) UniProtKB/Swiss-Prot;Acc:Q0WNP3] MLALNSLPLQPFSAKDTNRSCAFVKSRTKLAKIPPFPCNPPSLHIHRENLFCCRCAVRNLDGESSSGDSFSVQSLVNAETLSSVLQSCTNLKEVKRVHAVVVKLLDVPVIFIDNNLISSYFRFSQLRYAEKLFDRMPKRNVVSWTAVLSGYLNMGLDDEALWLFQEMIENSVQPNSSTFACLLKLCGNLLDLELGRQLHACVVKGNWSNLIVDSAIVYLYAQCGDLSCASRTFERIPVRDVVCWTTMIMAYAQQGRGNKAFSMFSQMKQHGFKPNEFTVCNVLKGCAEGKELRFGKQLHCAIIKGMCRQDVYVGSSLVSMYIRCGELFDARLVFDSMTTRNTITWTCMIAGYAQNGFAEEALSLFEVMRRRRVSANNLTIVSILSACGLSGSLYRGKEVHAQIIRNHSHRNVFIGSTLIWFYCKCGEYDYAARVLDAMPVRDVTSWTAIISGLAKLEHGSEALRFLHKMLHEGVEPNPFTYSSALKACAKIEDVKHGRWIHASVNKTRDLSNVFVGSSLIHMYMKCGSIKDAFKVFDTMPERNLVSWKTMVVGYAKNGQCPDALRLMYRMQAEGFHVDDYVRSTVLTACADAECDIQWSSASCSYSG >DRNTG_00470.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30739556:30741636:-1 gene:DRNTG_00470 transcript:DRNTG_00470.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g18520, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18520) UniProtKB/Swiss-Prot;Acc:Q0WNP3] MLALNSLPLQPFSAKDTNRSCAFVKSRTKLAKIPPFPCNPPSLHIHRENLFCCRCAVRNLDGESSSGDSFSVQSLVNAETLSSVLQSCTNLKEVKRVHAVVVKLLDVPVIFIDNNLISSYFRFSQLRYAEKLFDRMPKRNVVSWTAVLSGYLNMGLDDEALWLFQEMIENSVQPNSSTFACLLKLCGNLLDLELGRQLHACVVKGNWSNLIVDSAIVYLYAQCGDLSCASRTFERIPVRDVVCWTTMIMAYAQQGRGNKAFSMFSQMKQHGFKPNEFTVCNVLKGCAEGKELRFGKQLHCAIIKGMCRQDVYVGSSLVSMYIRCGELFDARLVFDSMTTRNTITWTCMIAGYAQNGFAEEALSLFEVMRRRRVSANNLTIVSILSACGLSGSLYRGKEVHAQIIRNHSHRNVFIGSTLIWFYCKCGEYDYAARVLDAMPVRDVTSWTAIISGLAKLEHGSEALRFLHKMLHEGVEPNPFTYSSALKACAKIEDVKHGRWIHASVNKTRDLSNVFVGSSLIHMYMKCGSIKDAFKVFDTMPERNLVSWKTMVVGYAKNGQCPDALRLMYRMQAEGFHVDDYVRSTVLTACADAECDIQWSSASCSYSG >DRNTG_00470.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30739611:30741636:-1 gene:DRNTG_00470 transcript:DRNTG_00470.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g18520, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18520) UniProtKB/Swiss-Prot;Acc:Q0WNP3] MLALNSLPLQPFSAKDTNRSCAFVKSRTKLAKIPPFPCNPPSLHIHRENLFCCRCAVRNLDGESSSGDSFSVQSLVNAETLSSVLQSCTNLKEVKRVHAVVVKLLDVPVIFIDNNLISSYFRFSQLRYAEKLFDRMPKRNVVSWTAVLSGYLNMGLDDEALWLFQEMIENSVQPNSSTFACLLKLCGNLLDLELGRQLHACVVKGNWSNLIVDSAIVYLYAQCGDLSCASRTFERIPVRDVVCWTTMIMAYAQQGRGNKAFSMFSQMKQHGFKPNEFTVCNVLKGCAEGKELRFGKQLHCAIIKGMCRQDVYVGSSLVSMYIRCGELFDARLVFDSMTTRNTITWTCMIAGYAQNGFAEEALSLFEVMRRRRVSANNLTIVSILSACGLSGSLYRGKEVHAQIIRNHSHRNVFIGSTLIWFYCKCGEYDYAARVLDAMPVRDVTSWTAIISGLAKLEHGSEALRFLHKMLHEGVEPNPFTYSSALKACAKIEDVKHGRWIHASVNKTRDLSNVFVGSSLIHMYMKCGSIKDAFKVFDTMPERNLVSWKTMVVGYAKNGQCPDALRLMYRMQAEGFHVDDYVRSTVLTACADAECDIQWSSASCSYSG >DRNTG_00470.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30739435:30741636:-1 gene:DRNTG_00470 transcript:DRNTG_00470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g18520, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18520) UniProtKB/Swiss-Prot;Acc:Q0WNP3] MLALNSLPLQPFSAKDTNRSCAFVKSRTKLAKIPPFPCNPPSLHIHRENLFCCRCAVRNLDGESSSGDSFSVQSLVNAETLSSVLQSCTNLKEVKRVHAVVVKLLDVPVIFIDNNLISSYFRFSQLRYAEKLFDRMPKRNVVSWTAVLSGYLNMGLDDEALWLFQEMIENSVQPNSSTFACLLKLCGNLLDLELGRQLHACVVKGNWSNLIVDSAIVYLYAQCGDLSCASRTFERIPVRDVVCWTTMIMAYAQQGRGNKAFSMFSQMKQHGFKPNEFTVCNVLKGCAEGKELRFGKQLHCAIIKGMCRQDVYVGSSLVSMYIRCGELFDARLVFDSMTTRNTITWTCMIAGYAQNGFAEEALSLFEVMRRRRVSANNLTIVSILSACGLSGSLYRGKEVHAQIIRNHSHRNVFIGSTLIWFYCKCGEYDYAARVLDAMPVRDVTSWTAIISGLAKLEHGSEALRFLHKMLHEGVEPNPFTYSSALKACAKIEDVKHGRWIHASVNKTRDLSNVFVGSSLIHMYMKCGSIKDAFKVFDTMPERNLVSWKTMVVGYAKNGQCPDALRLMYRMQAEGFHVDDYVRSTVLTACADAECDIQWSSASCSYSG >DRNTG_00470.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30739556:30741197:-1 gene:DRNTG_00470 transcript:DRNTG_00470.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g18520, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18520) UniProtKB/Swiss-Prot;Acc:Q0WNP3] MPKRNVVSWTAVLSGYLNMGLDDEALWLFQEMIENSVQPNSSTFACLLKLCGNLLDLELGRQLHACVVKGNWSNLIVDSAIVYLYAQCGDLSCASRTFERIPVRDVVCWTTMIMAYAQQGRGNKAFSMFSQMKQHGFKPNEFTVCNVLKGCAEGKELRFGKQLHCAIIKGMCRQDVYVGSSLVSMYIRCGELFDARLVFDSMTTRNTITWTCMIAGYAQNGFAEEALSLFEVMRRRRVSANNLTIVSILSACGLSGSLYRGKEVHAQIIRNHSHRNVFIGSTLIWFYCKCGEYDYAARVLDAMPVRDVTSWTAIISGLAKLEHGSEALRFLHKMLHEGVEPNPFTYSSALKACAKIEDVKHGRWIHASVNKTRDLSNVFVGSSLIHMYMKCGSIKDAFKVFDTMPERNLVSWKTMVVGYAKNGQCPDALRLMYRMQAEGFHVDDYVRSTVLTACADAECDIQWSSASCSYSG >DRNTG_00470.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30739646:30741197:-1 gene:DRNTG_00470 transcript:DRNTG_00470.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g18520, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18520) UniProtKB/Swiss-Prot;Acc:Q0WNP3] MPKRNVVSWTAVLSGYLNMGLDDEALWLFQEMIENSVQPNSSTFACLLKLCGNLLDLELGRQLHACVVKGNWSNLIVDSAIVYLYAQCGDLSCASRTFERIPVRDVVCWTTMIMAYAQQGRGNKAFSMFSQMKQHGFKPNEFTVCNVLKGCAEGKELRFGKQLHCAIIKGMCRQDVYVGSSLVSMYIRCGELFDARLVFDSMTTRNTITWTCMIAGYAQNGFAEEALSLFEVMRRRRVSANNLTIVSILSACGLSGSLYRGKEVHAQIIRNHSHRNVFIGSTLIWFYCKCGEYDYAARVLDAMPVRDVTSWTAIISGLAKLEHGSEALRFLHKMLHEGVEPNPFTYSSALKACAKIEDVKHGRWIHASVNKTRDLSNVFVGSSLIHMYMKCGSIKDAFKVFDTMPERNLVSWKTMVVGYAKNGQCPDALRLMYRMQAEGFHVDDYVRSTVLTACADAECDIQWSSASCSYSG >DRNTG_00470.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30739646:30741636:-1 gene:DRNTG_00470 transcript:DRNTG_00470.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g18520, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18520) UniProtKB/Swiss-Prot;Acc:Q0WNP3] MLALNSLPLQPFSAKDTNRSCAFVKSRTKLAKIPPFPCNPPSLHIHRENLFCCRCAVRNLDGESSSGDSFSVQSLVNAETLSSVLQSCTNLKEVKRVHAVVVKLLDVPVIFIDNNLISSYFRFSQLRYAEKLFDRMPKRNVVSWTAVLSGYLNMGLDDEALWLFQEMIENSVQPNSSTFACLLKLCGNLLDLELGRQLHACVVKGNWSNLIVDSAIVYLYAQCGDLSCASRTFERIPVRDVVCWTTMIMAYAQQGRGNKAFSMFSQMKQHGFKPNEFTVCNVLKGCAEGKELRFGKQLHCAIIKGMCRQDVYVGSSLVSMYIRCGELFDARLVFDSMTTRNTITWTCMIAGYAQNGFAEEALSLFEVMRRRRVSANNLTIVSILSACGLSGSLYRGKEVHAQIIRNHSHRNVFIGSTLIWFYCKCGEYDYAARVLDAMPVRDVTSWTAIISGLAKLEHGSEALRFLHKMLHEGVEPNPFTYSSALKACAKIEDVKHGRWIHASVNKTRDLSNVFVGSSLIHMYMKCGSIKDAFKVFDTMPERNLVSWKTMVVGYAKNGQCPDALRLMYRMQAEGFHVDDYVRSTVLTACADAECDIQWSSASCSYSG >DRNTG_00470.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30739556:30741706:-1 gene:DRNTG_00470 transcript:DRNTG_00470.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g18520, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18520) UniProtKB/Swiss-Prot;Acc:Q0WNP3] MLALNSLPLQPFSAKDTNRSCAFVKSRTKLAKIPPFPCNPPSLHIHRENLFCCRCAVRNLDGESSSGDSFSVQSLVNAETLSSVLQSCTNLKEVKRVHAVVVKLLDVPVIFIDNNLISSYFRFSQLRYAEKLFDRMPKRNVVSWTAVLSGYLNMGLDDEALWLFQEMIENSVQPNSSTFACLLKLCGNLLDLELGRQLHACVVKGNWSNLIVDSAIVYLYAQCGDLSCASRTFERIPVRDVVCWTTMIMAYAQQGRGNKAFSMFSQMKQHGFKPNEFTVCNVLKGCAEGKELRFGKQLHCAIIKGMCRQDVYVGSSLVSMYIRCGELFDARLVFDSMTTRNTITWTCMIAGYAQNGFAEEALSLFEVMRRRRVSANNLTIVSILSACGLSGSLYRGKEVHAQIIRNHSHRNVFIGSTLIWFYCKCGEYDYAARVLDAMPVRDVTSWTAIISGLAKLEHGSEALRFLHKMLHEGVEPNPFTYSSALKACAKIEDVKHGRWIHASVNKTRDLSNVFVGSSLIHMYMKCGSIKDAFKVFDTMPERNLVSWKTMVVGYAKNGQCPDALRLMYRMQAEGFHVDDYVRSTVLTACADAECDIQWSSASCSYSG >DRNTG_00470.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30739435:30741706:-1 gene:DRNTG_00470 transcript:DRNTG_00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g18520, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18520) UniProtKB/Swiss-Prot;Acc:Q0WNP3] MLALNSLPLQPFSAKDTNRSCAFVKSRTKLAKIPPFPCNPPSLHIHRENLFCCRCAVRNLDGESSSGDSFSVQSLVNAETLSSVLQSCTNLKEVKRVHAVVVKLLDVPVIFIDNNLISSYFRFSQLRYAEKLFDRMPKRNVVSWTAVLSGYLNMGLDDEALWLFQEMIENSVQPNSSTFACLLKLCGNLLDLELGRQLHACVVKGNWSNLIVDSAIVYLYAQCGDLSCASRTFERIPVRDVVCWTTMIMAYAQQGRGNKAFSMFSQMKQHGFKPNEFTVCNVLKGCAEGKELRFGKQLHCAIIKGMCRQDVYVGSSLVSMYIRCGELFDARLVFDSMTTRNTITWTCMIAGYAQNGFAEEALSLFEVMRRRRVSANNLTIVSILSACGLSGSLYRGKEVHAQIIRNHSHRNVFIGSTLIWFYCKCGEYDYAARVLDAMPVRDVTSWTAIISGLAKLEHGSEALRFLHKMLHEGVEPNPFTYSSALKACAKIEDVKHGRWIHASVNKTRDLSNVFVGSSLIHMYMKCGSIKDAFKVFDTMPERNLVSWKTMVVGYAKNGQCPDALRLMYRMQAEGFHVDDYVRSTVLTACADAECDIQWSSASCSYSG >DRNTG_00470.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30739646:30741706:-1 gene:DRNTG_00470 transcript:DRNTG_00470.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g18520, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18520) UniProtKB/Swiss-Prot;Acc:Q0WNP3] MLALNSLPLQPFSAKDTNRSCAFVKSRTKLAKIPPFPCNPPSLHIHRENLFCCRCAVRNLDGESSSGDSFSVQSLVNAETLSSVLQSCTNLKEVKRVHAVVVKLLDVPVIFIDNNLISSYFRFSQLRYAEKLFDRMPKRNVVSWTAVLSGYLNMGLDDEALWLFQEMIENSVQPNSSTFACLLKLCGNLLDLELGRQLHACVVKGNWSNLIVDSAIVYLYAQCGDLSCASRTFERIPVRDVVCWTTMIMAYAQQGRGNKAFSMFSQMKQHGFKPNEFTVCNVLKGCAEGKELRFGKQLHCAIIKGMCRQDVYVGSSLVSMYIRCGELFDARLVFDSMTTRNTITWTCMIAGYAQNGFAEEALSLFEVMRRRRVSANNLTIVSILSACGLSGSLYRGKEVHAQIIRNHSHRNVFIGSTLIWFYCKCGEYDYAARVLDAMPVRDVTSWTAIISGLAKLEHGSEALRFLHKMLHEGVEPNPFTYSSALKACAKIEDVKHGRWIHASVNKTRDLSNVFVGSSLIHMYMKCGSIKDAFKVFDTMPERNLVSWKTMVVGYAKNGQCPDALRLMYRMQAEGFHVDDYVRSTVLTACADAECDIQWSSASCSYSG >DRNTG_00470.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30739435:30741197:-1 gene:DRNTG_00470 transcript:DRNTG_00470.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g18520, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18520) UniProtKB/Swiss-Prot;Acc:Q0WNP3] MPKRNVVSWTAVLSGYLNMGLDDEALWLFQEMIENSVQPNSSTFACLLKLCGNLLDLELGRQLHACVVKGNWSNLIVDSAIVYLYAQCGDLSCASRTFERIPVRDVVCWTTMIMAYAQQGRGNKAFSMFSQMKQHGFKPNEFTVCNVLKGCAEGKELRFGKQLHCAIIKGMCRQDVYVGSSLVSMYIRCGELFDARLVFDSMTTRNTITWTCMIAGYAQNGFAEEALSLFEVMRRRRVSANNLTIVSILSACGLSGSLYRGKEVHAQIIRNHSHRNVFIGSTLIWFYCKCGEYDYAARVLDAMPVRDVTSWTAIISGLAKLEHGSEALRFLHKMLHEGVEPNPFTYSSALKACAKIEDVKHGRWIHASVNKTRDLSNVFVGSSLIHMYMKCGSIKDAFKVFDTMPERNLVSWKTMVVGYAKNGQCPDALRLMYRMQAEGFHVDDYVRSTVLTACADAECDIQWSSASCSYSG >DRNTG_08916.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27418964:27420416:-1 gene:DRNTG_08916 transcript:DRNTG_08916.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRGVKKEAGLSWIEIQGEVHSFSVGDKSHPDLRLINAMLEWLHIRIKKMGYVPESDVVLHDIVEEQKEQLLWVHSERLALALGLLNVPPRCPIRIIKNLRFCSDCHTAFKLISKVVQREIIARDVNRFHHFHRGVCTCGDYW >DRNTG_08916.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27414682:27420416:-1 gene:DRNTG_08916 transcript:DRNTG_08916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRGVKKEAGLSWIEIQGEVHSFSVGDKSHPDLRLINAMLEWLHIRIKKMGYVPESDVVLHDIVEEQKEQLLWVHSERLALALGLLNVPPRCPIRIIKNLRFCSDCHTAFKLISKVVQREIIARDVNRFHHFHRGVCTCGDYW >DRNTG_08197.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:49668:50712:1 gene:DRNTG_08197 transcript:DRNTG_08197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECDRSCRPHRPCGVRGCPYMGDVQVGVDNRACGDLGIDVTDNDIIRGSGRVRMGVGNDTGRDNYARIYENRVLDADVNVDMGVGNTAGCDSRMEVYRNTVTGGRRGTNVRVGAANDARRDNDMRVFCNNVEGAEDDVDIGVGNTAGRDNFMDVDNNDIRGARGGVRMGVGNQAGRDNKARVSGNIVRR >DRNTG_00587.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29922584:29927893:1 gene:DRNTG_00587 transcript:DRNTG_00587.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member 4 [Source:Projected from Arabidopsis thaliana (AT5G37310) UniProtKB/Swiss-Prot;Acc:Q9FHT4] MGVVSMVSAAVVSLLLWFAGVAADASDHRYKINDPVPLYANKVGPFHNPSETYRYFDLPFCSSEEVKDKTEALGEILNGDRLVDAPYKLYFRVEQNSKLACKKHLTKDEVAQFRSAVTKDYYFQMYYDDLPIWGFIGKVDKEGKTDPNDHKYYLYTHIHFDVYYNNDRVIEINVRTDNNAMVDLTEDKEIDVDFFYSVKWKETDTPFERRMDLYQQSSATAHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEESADDQEETGWKYIHGDVFRFPKHNSVFAACVGSGTQLFALATFIFILALVGVFYPYNRGALFTALVVVYALTSGIAGFTATTLYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYNATAALPFGTIVVIVLIWALVTSPLLVLGGIAGKNSKAEFQAPCRTTKYPREIPPLPWYRSTIPQMAMAGFLPFSAIYIELYYIFVSVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWSFLCGGSTGLFVYGYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLMLGMVGFRAALLFVRHIYRSIKCE >DRNTG_25780.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3673200:3685104:1 gene:DRNTG_25780 transcript:DRNTG_25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein [Source:Projected from Arabidopsis thaliana (AT4G32730) UniProtKB/TrEMBL;Acc:F4JV48] MAGDKGKSAKKGEASSSAAPPDGSGNELQRQRPLHGRTTGPTRRSTKGQWTAEEDAILCKAVQRFKGKNWKKIAECFADRTDVQCLHRWQKVLNPELVKGPWSKEEDEKIIEMVNKFGAKKWSTIAQALPGRIGKQCRERWHNHLNPAINRDAWTQEEEIALIRAHQIYGNKWAELTKFLPGRTDNAIKNHWNSSVKKKLDSYLASGLLAQFQGLPLVENLPICVSSAGINQQNSEDSVFKDGADIEESSDYTHGSVLVCSQSDCEVTNTALLCEGLRLGEDVNQRAMQDFQLSEYYASIEDFTCVIPETQSSQIIASNAIEQNSLHCIGQSKSNVNQVGPEGLHNGSLQETAQTSPDAARNLDCCAEQSVEHQGSQSTLLFDSADCSADRFLETEFQQDFTTGVPFTTSNQFLDCCTASANSQSVADCCEFGSILGSHPVTSSGMLGVSYCQSLMSVISPSYICPGDGNLAHRSDSFELREVSVSNADSGFISCSYDSFAYSTCSGLSPVGSSKSKVSVYMEQCPERRTPKSNNVLSVGSTLPTVMGNASLLNENANAITTEIPDSGALFYEPPRFPSIDLPFVSCDLISSGDLQQAYSPLGIRQLMMSSMNCSTPYNLWDSPSHDDSPDAVLKNAAKSFICTPSIMKKRQRELLSPLQEKRSDKKSGTDMNRTLFCASSSSRSDFSSTDVRHNENATCRIPVNQVEAGAGPSDNKTKSSETLTKVEENLDDGLKDTKDQIDSARSKISVRDTEVKMGQCENVIGSLAKADSDAKEKKPAGILVEHDVNDRQLLSADQGGNPTKAQMDVVDVSSKRQNSKIKESMENTRSNCDFLSNSSSVVLSSVGEHRQDGCPIAAKTIHSVPSSEPRGVLVEKAAPSIELDLDNLNIFVDTPAIKRSLESPSAWKSPWFMNPLLGGQRIDTDITFEDLSYFMSPGDRSYDAYGLMRQLSEHTAAVVAEAHEILASGKSKEDVSLCKLEEGNSSKENSQTKEMVSHTLKPPNIKPEGRVLDFSGCTTTPGRGESSKTNSAGTALSISSPSSYLLKRCR >DRNTG_17974.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12399575:12402527:-1 gene:DRNTG_17974 transcript:DRNTG_17974.2 gene_biotype:protein_coding transcript_biotype:protein_coding LWPPGVSFKSGLYWPPDEDRENRSSTPPLKAGLWGPPTTASGLQPHHHQPPIGVTLNSKKKED >DRNTG_17974.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12399575:12402819:-1 gene:DRNTG_17974 transcript:DRNTG_17974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHAHKQTYNLTQDENKKNNYRALRPAARLPMTETT >DRNTG_11631.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000513.1:60721:61266:-1 gene:DRNTG_11631 transcript:DRNTG_11631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSMYGNLIVLITITFVSHACHAYLGKEKVTKLHFFFHDIISGDHPTAVPVAYPKGTVIKPGSPGPFGAVYVVDDRLTEGPDLNSKVIGHAQGLYVFAGQKELVLVVAYDFGFTSGEFNGSSISLFSRNTVLESEREVAIVGGRGKFRMARGFAKLKKYFANVTSGYGVVEYHITVFHY >DRNTG_06669.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3113145:3116226:1 gene:DRNTG_06669 transcript:DRNTG_06669.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAELGRRSGGIQTSVSESNGFVSISLPLNSASSFGYIEHRVSRMDTLAGVAIKYGVEVSDIKRMNGLVSDLQMFAHKSLQIPLPGRHPPSPVLANGSASDGEQTPHQHPKDGLDSFQSIKLKPPPKRKVTAAMTNLQGYYNLTPSRKEPLAEGTEMAVYKTNRTLFLDDESLTKHSPASDPLLNHHRRTQSFANGFSPDNGNAVIIDLGENNESERANGDKFVRRRQKSDADPFSRVPESLLEDSGGGFSGRTGKGLALRPKAGSRTDNDVNRVTSNPSGDSSMANGFLFVRKSSSTSNLQESENGSSVWPPWNLKPEMISRPIFDGLPKPVNVRRNKAAVD >DRNTG_27627.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3537470:3548338:-1 gene:DRNTG_27627 transcript:DRNTG_27627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMRSEEMQLVQLIIPMESAHRTVSYLGDLGFLQFKDLNSDKSPFQRSFATQIKRCGEMARKLRFFREQMTKAGISPSAMPLPRTHVNFDDLEIKLGEIEAELVEVNTNNEKLQRTYNELLEYNLVLQKAGEFFYSAQSNATAQQREIEARQVGDGSLNSPLLMEQEMSTDPSKQVKLGFVSGLVQKEKSMAFERILFRATRGNVYLRQAEIDYPVTDPVSGEKVAKKVFVVFFSGEKAKMKILKICEAFGANRYPFTDDFGKQMQTIEEVAGRLKELKTTIDVGLFHRDKILKSISHQFEQWNNLVRREKSIYHTLNMLSLDVTKKCLVAEGWSPVFATGQIQEALKRAATDSNSQVGSIFQVLHTREHPPTFFRTNKFTTAFQEIVDAYGVAKYQEANPGVYTIVTFPFLFAVMFGDWGHGLCLLAATLLLIIREKKLSSQKLGDIMEMTFGGRYVIMMMSVFSIYTGLIYNEFFSVPFELFGSSAYACRDASCRDATTEGLVKIRRTYPFGVDPKWHGTRSELPFLNSLKMKMSILLGVTQMNLGIFLSYFNAKFFENNINIWYQFAPQLIFLNSLFGYLSLLIIVKWCTGSKADLYHVMIYMFLSPTDDLGENQLFPGQKTLQLVLLALALISVPWMLFPKPFLLKKQHQERHQGQAYAMLQSTDDDLLQVEQDHGSHEHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYEKVLLLAWGFNNIAILIVGIIVFVFATIGVLLVMETLSAFLHALRLHWVEFQSKFYEGDGYKFSPFSFTLLSQEED >DRNTG_13762.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1073228:1073782:1 gene:DRNTG_13762 transcript:DRNTG_13762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPQTLPSQFHNLINLEILHLKQLDTFDPEELEYHVNRERGFTVAQLRNMNELRGGLSILCMENIANKEEAMKAKLKKKLHIKKLRLCSIDMVDGCEHDAQEVLEGLEPHSNLEELEIEGYMGSITPRWLMNLQKLSRIYLNNCRK >DRNTG_21257.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:110079:112668:-1 gene:DRNTG_21257 transcript:DRNTG_21257.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAERCSSSAMISRRMLGTSQCRGSSKVGIQRKQRTRRRPSCLALSGIVTLRSMDHSRYLHL >DRNTG_21257.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:109779:112668:-1 gene:DRNTG_21257 transcript:DRNTG_21257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAERCSSSAMISRRMLGTSQCRGSSKVGIQRKQRTRRRPSCLALSGIVTLRSMDHSRYLHL >DRNTG_32062.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8502965:8510467:-1 gene:DRNTG_32062 transcript:DRNTG_32062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQHYFFRDNGPCFLYFAFAIYFYFYVSDECFEMMFRSTLHGGIWHQTKLSSSSSSLSSIVIIGDHPDIMDFKAHTSRIWGSSRNPLTAFSFLYSADLGERSLTPYKVPVEKSTNLTPHTKYGCIESREYIDRKHFSKRKGDTPLSHDSPSQPSLTLEF >DRNTG_31060.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30683992:30686853:1 gene:DRNTG_31060 transcript:DRNTG_31060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLTRYYSQQRRRIRKGSSGSHSAIPFLRKEIRQGNLQPLFGGSSFAASSNAAPNPLLLSFILNVPVADSTKDLQIESSDEGTVMDEGSVEKVVERYYKWALFNF >DRNTG_31060.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30683992:30686853:1 gene:DRNTG_31060 transcript:DRNTG_31060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVGMDLVGHITMQHRSVFKSQQRRRIRKGSSGSHSAIPFLRKEIRQGNLQPLFGGSSFAASSNAAPNPLLLSFILNVPVADSTKDLQIESSDEGTVMDEGSVEKVVESAEPSLSDKDQQERAFRSNFVQELVLSTIFEDIL >DRNTG_31060.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30683992:30686853:1 gene:DRNTG_31060 transcript:DRNTG_31060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVGMDLVGHITMQHRSVFKSQQRRRIRKGSSGSHSAIPFLRKEIRQGNLQPLFGGSSFAASSNAAPNPLLLSFILNVPVADSTKDLQIESSDEGTVMDEGSVEKVVESAEPSLSDKDQQERAFRSNFVQELVLSTIFEDIL >DRNTG_31060.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30683992:30686853:1 gene:DRNTG_31060 transcript:DRNTG_31060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADSWTRFSAKHQQSAVLQSRHDLYLGLDEIDGGGDDGAEFPCPFCSEDFDIVGLCCHIDEEHPVEANTGDCPLCMTRVGMDLVGHITMQHRSVFKSQQRRRIRKGSSGSHSAIPFLRKEIRQGNLQPLFGGSSFAASSNAAPNPLLLSFILNVPVADSTKDLQIESSDEGTVMDEGSVEKVVESAEPSLSDKDQQERAFRSNFVQELVLSTIFEDIL >DRNTG_02567.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19477220:19478283:-1 gene:DRNTG_02567 transcript:DRNTG_02567.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVHSNSKFDQKPALAETALLTILERFFGVVLNLPSINRRLSDVLEVLSSEQPKCPQLYIYSSADRVIPAKSVETFIERQRRAGHVVRACDFVSSPHVDHFRNHPVLYTTQLTTFLNDCVITCCKDSS >DRNTG_02567.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19477138:19478254:-1 gene:DRNTG_02567 transcript:DRNTG_02567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVHSNSKFDQKPALAETALLTILERFFGVVLNLPSINRRLSDVLEVLSSEQPKCPQLYIYSSADRVIPAKSVETFIERQRRAGHVVRACDFVSSPHVDHFRNHPVLYTTQLTTFLNDCVITCCKDSS >DRNTG_30240.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:193716:195503:-1 gene:DRNTG_30240 transcript:DRNTG_30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSNGEQRNGATSNRRFHATPADDDVADAARVLRYHPLRFIISFPESTHTA >DRNTG_07711.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23044139:23045437:1 gene:DRNTG_07711 transcript:DRNTG_07711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKRYMHLTEELLKENPNICAYMAPSLDARQDMVVVEVPKLGKEAATKAIKEWGQPKSRITHLVFCTTSGVDMPGADYQLTKLLGLRPSVKRLMMYQQGCFAGGTVLRLAKDLAENNRGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAAMIIGADPDTSIERPLFEMVSAAQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIEKSLAEAFEPLGISDWNSIFWIAHPGGPGLTVETVVLHSLPIH >DRNTG_12985.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24788444:24791215:-1 gene:DRNTG_12985 transcript:DRNTG_12985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASGSALTSKSFFSSQSLSGGGGRADHLLPAVLNAKVRPVARPISAVHAAEPAKNPVVVEKPAVPVKSGKWAVDSWKTKKALQLPEYPNKEELDSVLRTIESFPPIVFAGEARHLEERLAEAALGRAFLLQGGDCAESFKEFNANNIRDTFRILLQMGVVLMFGGQVPVIKVGRMAGQFAKPRSEGFEEKNGVKLPSYRGDNVNGDAFDEKSRIPDPQRMIRAYCQAAATLNLLRAFATGGYAAMQRVTQWNLDFTDHSEQGDRYKELAHRVDEALGFMAAAGLTVDHPIMTTTDFWTSHECLLLPYEQSLTREDSTTGLFYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMDPRELVKLIEILNPTNKPGRITIIARMGAENMRVKLPHLIRAVRGSGQIVTWVSDPMHGNTIKAPCGLKTRPFDSILAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLSSRYHTHCDPRLNASQSLELAFIIAERLRKRRIRSPRTDDLQSLPPLAF >DRNTG_30977.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18690959:18698446:1 gene:DRNTG_30977 transcript:DRNTG_30977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAWGVSPSVVTYNTLVDGYCKMGGAARMYKADMVLKEMVKAEVWMNVVTFNTLIDGYCKDGNCSAAMRIFQEMRKQKVLPNVISYNSLINGLCGNCKVEEALQLVKEMEIDGVVPNVITYNAIVNGFCKKGMLVEAKRIFGEMPERNLVRNEVTYNTLIDGFCRFGKMEDAMELKKLMVDDGICANISTYNCLILGFCRNKDMNGAKRILDEMGEKGVKADFLTYNVLINALCKDGEVMKAVKLLDEMIEIGVRPTHVTYNTLIDGFCQKDNLRAAFNMRARMEKERKQANVVTYNVLVKCLCRKGKLEEANSLLNEMLERGLVPNRITYNIIKEEMMERGYIPNIDGHLCDTASVT >DRNTG_30977.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18690959:18696155:1 gene:DRNTG_30977 transcript:DRNTG_30977.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAWGVSPSVVTYNTLVDGYCKMGGAARMYKADMVLKEMVKAEVWMNVVTFNTLIDGYCKDGNCSAAMRIFQEMRKQKVLPNVISYNSLINGLCGNCKVEEALQLVKEMEIDGVVPNVITYNAIVNGFCKKGMLVEAKRIFGEMPERNLVRNEVTYNTLIDGFCRFGKMEDAMELKKLMVDDGICANISTYNCLILGFCRNKDMNGAKRILDEMGEKGVKADFLTYNVLINALCKDGEVMKAVKLLDEMIEIGVRPTHVTYNTLIDGFCQKDNLRAAFNMRARMEKERKQANVVTYNVLVKCLCRKGKLEEANSLLNEMLERGLVPNRITYNIIKEEMMERGYIPNIDGHLCDTASVT >DRNTG_10606.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:47024:47675:-1 gene:DRNTG_10606 transcript:DRNTG_10606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESANINPIASDEGSKEDGPASDKGKEHRTVYEYSAKSSQDVYVRSPAELQHLQLPLEKSPVQSTTGTPDSTEAQDQEIEEGEGSAVISEERVGEDEN >DRNTG_16994.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000863.1:20028:26287:1 gene:DRNTG_16994 transcript:DRNTG_16994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINQQARLTKPDLFPVRTGAGSLNSTKLIYSSNRVGFCTTTFKRRCAGVKAIRSEIVVDEKQSPPSISQPGFKRSLNGNQRLGLWRKGRIRMPFVCIRARGNDLTGATSVKEIANGLEEPSIESDLEEREPSVATILANFTNDFDPYLATSTPLYQTATFKQLSATEFGSYDYTRSGNPTRDVLQSLIAKLEKADSAFCFTSGMAALDIVTDILKSGQEIVAGNNLYGGSDRLLSKVVPNKGIVVTRVKTSDLNAVASAIGPRTRLVWLESPTNPFLQIADIRKISEIAHSHGALVLVDNSILSPVLCQPLKLGADIVMTSATKFISGHSDVMAGILAVKEKSLAEQIAFLQNAEGSALAPFDCWLLLRGIRTMALRLEKQQASAQKIAEFLSSHPRVTRVNYPGLPSHPGHKLHFSQATGAGSILSFFTGSDALSKHIAEKTKYFSITVSFGGVNSLISLPYFMSHASIHPEKLEAQGLTKDLVRISVGIEDVDDLLAALDYSITNGPK >DRNTG_16994.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000863.1:20028:26287:1 gene:DRNTG_16994 transcript:DRNTG_16994.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINQQARLTKPDLFPVRTGAGSLNSTKLIYSSNRVGFCTTTFKRRCAGVKAIRSEIVVDEKQSPPSISQPGFKRSLNGNQRLGLWRKGRIRMPFVCIRARGNDLTGATSVKEIANGLEEPSIESDLEEREPSVATILANFTNDFDPYLATSTPLYQTATFKQLSATEFGSYDYTRSGNPTRDVLQSLIAKLEKADSAFCFTSGMAALDIVTDILKSGQEIVAGNNLYGGSDRLLSKVVPNKGIVVTSVSCPLFF >DRNTG_09788.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4295663:4296782:1 gene:DRNTG_09788 transcript:DRNTG_09788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDSLLRKWQKIGPDQLQVAEKFSKGIRKVYYGCGNDKFGGCGSILSLHENDSENLPRGNPSNRKGFKCIGGIMAEEAIALFQSFYEQGNPNGML >DRNTG_12401.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12374000:12376330:1 gene:DRNTG_12401 transcript:DRNTG_12401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQDERSVFTRRQGAMQFQHFRRTHEMNHMEFAKYLGIYDDDFFSMPLLNQPSIDYPLGMIANQYWNSLAPSDTKNMQMATCLGIKEAWYASPHFMEARPDMKSSQHLLFESYLTVSIRLTGKGMKTPWKTTSNYCSTWMNFEAGIDPGHTASMMPRIDSGMNTVAREEKYLFEGILPQ >DRNTG_12486.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3121843:3123454:1 gene:DRNTG_12486 transcript:DRNTG_12486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQCCENLPTLNPAAGASSVLEDFGGLRTYVTGDSESNRAVILASDIYEYGPKDIKAHILSPGISG >DRNTG_00107.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3106237:3110278:1 gene:DRNTG_00107 transcript:DRNTG_00107.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTTPSVFTPNKPLQSSSIHDTEDDDIIQVEHLCP >DRNTG_00107.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3105525:3110278:1 gene:DRNTG_00107 transcript:DRNTG_00107.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPAQGLKRPPLGQSRRQSLRPTLEHPRHQNQRLTLAHPSRILSRPKLG >DRNTG_00107.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3106370:3110278:1 gene:DRNTG_00107 transcript:DRNTG_00107.8 gene_biotype:protein_coding transcript_biotype:protein_coding HKRPFPHFIALLEIYEGRYIEGKRSCGVEDYEVISESPMLSTTPSVFTPNKPLQSSSIHDTEDDDIIQVEHLCP >DRNTG_00107.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3106370:3110278:1 gene:DRNTG_00107 transcript:DRNTG_00107.7 gene_biotype:protein_coding transcript_biotype:protein_coding HKRPFPHFIALLEIYEGRYIEGKRSCGVEDYEVISESPMLSTTPSVFTPNKPLQSSSIHDTEDDDIIQVEHLCP >DRNTG_00107.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3105525:3110278:1 gene:DRNTG_00107 transcript:DRNTG_00107.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPAQGLKRPPLGQSRRQSLRPTLEHPRHQNQRLTLAHPSRILSRPKLG >DRNTG_00107.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3106370:3110278:1 gene:DRNTG_00107 transcript:DRNTG_00107.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTTPSVFTPNKPLQSSSIHDTEDDDIIQVEHLCP >DRNTG_00107.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3105525:3110278:1 gene:DRNTG_00107 transcript:DRNTG_00107.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTTPSVFTPNKPLQSSSIHDTEDDDIIQVEHLCP >DRNTG_00107.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3106370:3110278:1 gene:DRNTG_00107 transcript:DRNTG_00107.5 gene_biotype:protein_coding transcript_biotype:protein_coding HKRPFPHFIALLEIYEGRYIEGKRSCGVEDYEVISESPMLSTTPSVFTPNKPLQSSSIHDTEDDDIIQVEHLCP >DRNTG_00107.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3106370:3110278:1 gene:DRNTG_00107 transcript:DRNTG_00107.6 gene_biotype:protein_coding transcript_biotype:protein_coding HKRPFPHFIALLEIYEGRYIEGKRSCGVEDYEVISESPMLSTTPSVFTPNKPLQSSSIHDTEDDDIIQVEHLCP >DRNTG_29518.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2209222:2210177:1 gene:DRNTG_29518 transcript:DRNTG_29518.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATSLDSKATLYWKQWSSEAHLQDLKELRKHRKLLENFFMSSSKMLSEEGEVHVTHRNDYPYRKWKLEKLAKKAGFVLVEMVEFMKEKYPGYHNKRGGSIKSNKKFPLKECFTFKFSLEKSLEEDDGLIMKMSSLLL >DRNTG_04986.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4178292:4179252:1 gene:DRNTG_04986 transcript:DRNTG_04986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNTCLVKCERENPQVGNESQVIAGIHRYKAPGKHPSQSDRSCTASKSLK >DRNTG_13815.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28395761:28399376:1 gene:DRNTG_13815 transcript:DRNTG_13815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIAPARLALGIPSSRRRSFSYHLLPEPLIRLTILKLDDTSFDVRIERSAAVWELKIAVEDVFDRPAEEGGVVISWPHVWGLFCLCYGDQKLIDDKAILRNFGIKDGDQLRFMRHHSGDINVVQNRPRRREKVPRQHFLLTVPEVEVENHKDEREENTSPGQYEDENSNIDIDEVNLIGRSEFRLADWIRRWLSCAGLQQNYRKTRSIHNTPFLNSDDDLED >DRNTG_07538.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14127718:14131506:1 gene:DRNTG_07538 transcript:DRNTG_07538.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRAINFSRNWSHHDLPRRAKRIPFTPRYIIPIAGMMVGNAMAGTGVTMKRLREELKTQDPRPK >DRNTG_00532.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30324613:30326433:1 gene:DRNTG_00532 transcript:DRNTG_00532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIDMLNSWPSFSTDPLEEELMQALEPFIKSASPSSPLDLSSSSSSTSSPSPLSSSYPLSSSSSSSSSSYPYQNPNFFTTFSTLSHPQSSHHEFITPLNPFQIQQIQAQIQYQQQQHQYQLNHGFNSMNFLRPRAQPMKHSSSPAIPAKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAAMAYDQAAFKLRGDFARLNFPHLRHSSATSPLPTSVNAKLQAICQSMAATTSSPPKPSSPPPPDNKSETSSEADADFCSSSSGSSPASEMQSLDFTEVPWDESESFHLRKYPSWEIDWDAILS >DRNTG_26359.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11676830:11677616:1 gene:DRNTG_26359 transcript:DRNTG_26359.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNNKERDGPAGHEQDLAGDGDEQEWPRLNFAIVLNLNKFGRRWR >DRNTG_26359.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11677201:11677616:1 gene:DRNTG_26359 transcript:DRNTG_26359.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNNKERDGPAGHEQDLAGDGDEQEWPRLNFAIVLNLNKFGRRWR >DRNTG_26359.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11677156:11677616:1 gene:DRNTG_26359 transcript:DRNTG_26359.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNNKERDGPAGHEQDLAGDGDEQEWPRLNFAIVLNLNKFGRRWR >DRNTG_26359.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11676830:11677671:1 gene:DRNTG_26359 transcript:DRNTG_26359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNNKERDGPAGHEQDLAGDGDEQEWPRLNFAIVLNLNKFGRRWR >DRNTG_26359.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11677156:11677671:1 gene:DRNTG_26359 transcript:DRNTG_26359.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNNKERDGPAGHEQDLAGDGDEQEWPRLNFAIVLNLNKFGRRWR >DRNTG_07282.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3662894:3675062:-1 gene:DRNTG_07282 transcript:DRNTG_07282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDDMHDANDLESIDDDFYSGDTGIGSDDGDGDYDFVDNESDASDDIISRQQLNYIVLGEADIRQRQEEDINRVSAVLSLPRVAACILLRHYNWSVSRVNDEWFADEENVRRVVGLLEKPIELPNARELTCGICFENYPRDRISSAACGHPFCHACWRGYIGTSISDGPGSLSLRCPDPSCNAAVGQDMVNFLATDDDKEKYSRYLLRSYIEDNRKTKWCPAPGCDYAVEFIMGSGSYDVCCNCTYGFCWNCTEEAHRPVDCSTVATWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGAWSDHGERTGGFYACNRYEAAKQEGAYDESERRREMAKNSLERYTHYYERWATNQSSRQKALADLHSMQSEKLEKLSDRQSQPESQLKFITEAWLQIVECRRVLKWTYAYGYYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELQVYLEAESPSKDFNDFRTKLAGLTSVTRNYFENLVRALETGLQDVEATDHQATCSRTSSSKTIIVGKGKGGKTKLAGSGSRSGGPSHSLDDTNLWSCDRCTYANVKSTNVCQMCQQRRS >DRNTG_15321.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4879520:4880486:1 gene:DRNTG_15321 transcript:DRNTG_15321.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g26782, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G26782) UniProtKB/Swiss-Prot;Acc:Q9LW32] MRLSCSKLTAIITPLFHPLLPAKSFFFFYSSSSVSRANSKTLLLAHFNRIVDKNSVSSWNSAIADLARAGDFKEALHAFSSMRRLYVKPDRSSFPCALKSSAALGSLCSGQQLHLQAFLLGLHPNLFVASALIDMYSKCHVVDDARKAFDDTPVKNVVVWTSMIVGYVWNEAAREALHTFKCFFAEEGGSEVDYVAAVSALSACARIAERKVTRGFHGALVKLGLEMETGVGNTLMDAYAKCGVLDVARKVFDEMSLRDNVSWNTVIAVYAQNGLSTEALELYTLMLRSGDARYNAVTLSAVLLGCAHAGALQMGKCIHNQ >DRNTG_15321.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4879520:4884032:1 gene:DRNTG_15321 transcript:DRNTG_15321.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g26782, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G26782) UniProtKB/Swiss-Prot;Acc:Q9LW32] MRLSCSKLTAIITPLFHPLLPAKSFFFFYSSSSVSRANSKTLLLAHFNRIVDKNSVSSWNSAIADLARAGDFKEALHAFSSMRRLYVKPDRSSFPCALKSSAALGSLCSGQQLHLQAFLLGLHPNLFVASALIDMYSKCHVVDDARKAFDDTPVKNVVVWTSMIVGYVWNEAAREALHTFKCFFAEEGGSEVDYVAAVSALSACARIAERKVTRGFHGALVKLGLEMETGVGNTLMDAYAKCGVLDVARKVFDEMSLRDNVSWNTVIAVYAQNGLSTEALELYTLMLRSGDARYNAVTLSAVLLGCAHAGALQMGKCIHNQAIRMCLEEDVYVGTSIVDMYCKCGRVEMARKAFNRMKDKNIKSWSAMVAGYGMHGRGEEALEVFDEMRKSGPKPNHITFVSVLAACSHAGLLGKGRHWFTAMQEEYGIKPEVEHYGCMVDLLARAGCIEEAYDLIKAMRVKPDFMVWGALLSACRVHKNVKLAEISAKKLFELDPKNCGYYVLLSNVYADAGMWRDVEKMRVLMKQRKMIKTPGYSSVELKGRIHVFLIGDRRHLKHEEIYDYLDKLTVRMQEAGYVPDTGSVLHDVDEEEKETALRVHSEKLAVAFAILSTAPGTTIQIIKNLRVCSDCHSAIKLIAKLVDRQIVVRDSHRFHHFRNGSCSCNDYW >DRNTG_15321.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4881333:4882682:1 gene:DRNTG_15321 transcript:DRNTG_15321.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g26782, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G26782) UniProtKB/Swiss-Prot;Acc:Q9LW32] MCLEEDVYVGTSIVDMYCKCGRVEMARKAFNRMKDKNIKSWSAMVAGYGMHGRGEEALEVFDEMRKSGPKPNHITFVSVLAACSHAGLLGKGRHWFTAMQEEYGIKPEVEHYGCMVDLLARAGCIEEAYDLIKAMRVKPDFMVWGALLSACRVHKNVKLAEISAKKLFELDPKNCGYYVLLSNVYADAGMWRDVEKMRVLMKQRKMIKTPGYSSVELKGRIHVFLIGDRRHLKHEEIYDYLDKLTVRMQEAGYVPDTGSVLHDVDEEEKETALRVHSEKLAVAFAILSTAPGTTIQIIKNLRVCSDCHSAIKLIAKLVDRQIVVRDSHRFHHFRNGSCSCNDYW >DRNTG_27327.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17141373:17141842:-1 gene:DRNTG_27327 transcript:DRNTG_27327.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGLLTARYQETRNYRTITRTPTRSPASSLLDESGLFLSYFFDDAPGSSPSPFIFLQSVKTKSFQNASCSLFPLFFLGFFSTVDTSSTASIPSSTCPELTNSPSSVTCPAT >DRNTG_31088.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15714780:15716710:-1 gene:DRNTG_31088 transcript:DRNTG_31088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPWTPRIFSQSSPPKLGSLLVKSCIVDRRREEWGGGLRGPRENCPSKSSPFALENHPPFISRQCSYCNVPATVPRVVEFHQTSRAPMRAHGAREAHSRMPAACTMIPLQRLSSYIDAVAVATVEKVVDSIVNKIIVMVEPMADIAASKADTIPQQQKALQHDDPKTAVDEGQGNAAEMTTREKINANKKLEEVRKVFILKKKKYVGQSRLNMYEQELIRIFLNCPMDNTVVWKNYSVSLTRSRLFDLLEEKEMVADDVMDVFVCIIQNSLMIVPYRYKKRASITRPLALFMSIQEDAQNTTMAMIGDVTRNLHDIEIVILPIIMNCHFHVVVLDNDKQEYRHYSSCQSKEYDKDALDMRNLFNLCIDMEFGESVTAKYPLVHDTETPRQKQISVDCAVYVMWFIEQLLAD >DRNTG_07116.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22218179:22224353:-1 gene:DRNTG_07116 transcript:DRNTG_07116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPPLLIMVALFAVAVPISYSIPFIVLHGIGDQCKNRGVKQFTELLSEWSGSPGYCIEVGDGTWDSWIMPLDEQTNIVCQKVKEMEELKEGYNIVGLSQGNLIGRGVIEFCDDAPPVRNFISLGGPHAGTASVPLCGSGFICILVDAIIKTEIYSDYMQDHLAPSGYLKIPTDMPDYLEKCRFLPRLNNERPGERNSTYKERFSSLQTLVLIMSEHDAVLIPKETSWFGYYPDGAFSPILPPQQTALYQEDWIGLKKLDDEGRVKFISIEGGHLGISKKDMKKYMVPYLVDDEPAGPPQSAANKGRASVKRRLFSFASSDVEDDDHFKPLLSSG >DRNTG_07519.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19211733:19212517:-1 gene:DRNTG_07519 transcript:DRNTG_07519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEILDEKDHERILGPHGKILEWVGNVKDATSHYFEEVHAFLYRLKAKLQRQRSSMSDKIITSFTEGKLPSKL >DRNTG_16792.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25386081:25386500:-1 gene:DRNTG_16792 transcript:DRNTG_16792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSMVLWRILYSSSKDSFVRTSSKPWPIPLETLAEVLSQIGEKMEKRIPKSGLKSVLNKAGIGRLHGFTTVHAAVLCLKYS >DRNTG_14201.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000660.1:92043:93705:1 gene:DRNTG_14201 transcript:DRNTG_14201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMAEALEASVVESVNAIYLLFSSYLVFLMQLGFAMLCAGSVRAKNAMNIMLTNVVDAVVGTVSYYLFGFAFAFGSGTNPFIGTSLFALKGIPNESYDYSYFLYEWAFAIAVAGITSGSIAERTQFGAYLVFSFLLTGFVYPVVAHWVWSPTGWLSPNYSGSSGLLFGAGAIDFAGSGVVHMVGGVAGLWGAIIEGPRVGRFDAFGKPVAMRGHNATLVVLGTFLLWFGWFGFNPGSFNKILVPYPDAPYQGNWTGVGRTAVTTALAGSTAGLVTLFGRRLLVGHWDALDVCNGLLGGFVAITSGCSVVEPWAALICGFVSAWVLIGLNALALKLRFDDPLEAAQLHGGCGAWGLLFTGLFAKEELVVQVYNSGEVGLRRPFGLLMGGGWGLLGAQVVELLAILGWVSITMALLFLVLSKLRLLRISVDEELAGLDVSRHGGYAYADDNHPRFYGEYLRIQDEARS >DRNTG_22182.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:936598:939806:-1 gene:DRNTG_22182 transcript:DRNTG_22182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNLNADQRNCRQRCREKSGQCSNELKLSDNVSRWHSLGVLQSKTCLTASLLHIPLNLRSSEFVFQKTTSVNNVSELIFEYFMAAGLRNEDLSSSGKNTHDSPATIVKAETLLNDNVNRFSRRSAYTKCLESPELTNGSMKKLEKVSALKSSVCGSDQSSELLHGSISKAYLRCVWKDGLPNFVFTMDDNWEEVFVANPYKIESITDEALEYAYLFHARKCRKKGTKISITDSCEFVAKMNVSSSLVLNSSSSKVTETKFVLFVASEDRPMELKISSSASIKGKTLSKKMSSIFKPEYSFNKRKPSFQYDELVKPPFLFELCNADGIDSKKYLEFNFPPNLELASVVVKDSQCNSTKNKPEIGGWGLKFLRKVKLDNSNTTRQNEKIVNVIVPDGFHGGPNTIIGGPSSLIQRWRSGGHCDCGGWDLGCALTVLNQVPSSAKSSPEEHSKEDSKPVYLFTKGGEKGEPILKMVNADEGLHCLYFDRTLSALQCFSVGVAIIHSQTQAFNQNFCR >DRNTG_06390.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21121164:21122204:1 gene:DRNTG_06390 transcript:DRNTG_06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNTNLKPIVSKTFCSSSDIMLMVRKRPQVINGGGFVVMNLSQKVVFSVDGCGIIGSKGELIVRDGDGSSTLFIHKKGGIVQALSFEKKWRGYSMGYEGTPNKPVFILREQPRSCIAVNSVIKVLIEPKEHNIKLGL >DRNTG_19777.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001057.1:17940:18926:-1 gene:DRNTG_19777 transcript:DRNTG_19777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCHPAQWQQSFSLTSVMQVLVRDDNKVAFEEPNPFAADGKELASIAYRYRRWKLDDDLHLVTHCEVHTVTEVRELKNNANKLAKWTGRAFLASADLMKIGYVSRVHPRDHYNHVILSMIGYKPWDFAAQINLNVANMWGIVKSIVDLGPPLEKSYEISLIPP >DRNTG_18532.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3326456:3328856:-1 gene:DRNTG_18532 transcript:DRNTG_18532.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFSFFSHEKVQRHLQLRIEAQGKYLQCVLEKAQETLGKQNLGPQSLEAAKAQISELVSRVSNESLGTAFPGFKDLPLETLQAQMAQLADFSMDSCLTSVEGSQKDQEVHNLDTGLRIHQARTPQCFGENIKLEQPNNAWDGNTTVHDIVPSTKLRDSSMTIFPVKMTATSPTISFKTKSEKEGNNSISNAWHKERGLDDLSHYEQPLRDRPVMQQEKQRQSDAYGLPCQTAQLDLNVGDDNLSLSDCKQFDLNDFSWS >DRNTG_18532.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3326456:3328856:-1 gene:DRNTG_18532 transcript:DRNTG_18532.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLIWLICFKGQKMYHPYPSKNSILPARAAFPPERHLLLQGGNVQGDSGLILSTDAKPRLKWTPELHERFIEAVNQLGGAEKATPKTVMKLMGIPGLTLYHLKSHLQKHRLSKNLHAQSCSGATKNVIGCTVATDKASEVTGSLMSKTNIPAQTNNSMQISEALQIQIEVQKRLHEQLEVQRHLQLRIEAQGKYLQCVLEKAQETLGKQNLGPQSLEAAKAQISELVSRVSNESLGTAFPGFKDLPLETLQAQMAQLADFSMDSCLTSVEGSQKDQEVHNLDTGLRIHQARTPQCFGENIKLEQPNNAWDGNTTVHDIVPSTKLRDSSMTIFPVKMTATSPTISFKTKSEKEGNNSISNAWHKERGLDDLSHYEQPLRDRPVMQQEKQRQSDAYGLPCQTAQLDLNVGDDNLSLSDCKQFDLNDFSWS >DRNTG_18532.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3326456:3328856:-1 gene:DRNTG_18532 transcript:DRNTG_18532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPYPSKNSILPARAAFPPERHLLLQGGNVQGDSGLILSTDAKPRLKWTPELHERFIEAVNQLGGAEKATPKTVMKLMGIPGLTLYHLKSHLQKHRLSKNLHAQSCSGATKNVIGCTVATDKASEVTGSLMSKTNIPAQTNNSMQISEALQIQIEVQKRLHEQLEVQRHLQLRIEAQGKYLQCVLEKAQETLGKQNLGPQSLEAAKAQISELVSRVSNESLGTAFPGFKDLPLETLQAQMAQLADFSMDSCLTSVEGSQKDQEVHNLDTGLRIHQARTPQCFGENIKLEQPNNAWDGNTTVHDIVPSTKLRDSSMTIFPVKMTATSPTISFKTKSEKEGNNSISNAWHKERGLDDLSHYEQPLRDRPVMQQEKQRQSDAYGLPCQTAQLDLNVGDDNLSLSDCKQFDLNDFSWS >DRNTG_33078.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001945.1:14067:14708:-1 gene:DRNTG_33078 transcript:DRNTG_33078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPQRRSGVRSYAAAAVTAERSMFTSPTTMSASAVTAQSSAMLKMLNSFTMSSELCDSPGQHPQLKKPSGNPDMWSLAANYLGLVLRPPTKLIEPVIELCNHGSCQRKILP >DRNTG_28841.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001449.1:35150:36589:-1 gene:DRNTG_28841 transcript:DRNTG_28841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEGVSYGFFGFTFDFIDSPGYDPREPSILIEGDPELECALRRKGKEPVQEPSNLVDLEVEESKNMVEQNKQQRTLSDYPRPSVLGTQLSIVRPLITTQNFELKPAFIHMLQ >DRNTG_00183.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:90097:91972:1 gene:DRNTG_00183 transcript:DRNTG_00183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSSRFLIGISERLNFSTSLQSQMPPQSFLKSPFDSAAYIELLKSFSSSFSLIHGKSIHAHLIKSQPKPCLFLQNNLLNMYCKCGDMVSARHLFDVMPKRDVVSWNSIVSGYFQIGLCYDSFDVFITMRHCDVVLDKFSYASALSVCARMREVNVGKMVHGLIVVCGLSKLAFLTNSLMDMYSKCGDLDRAWRVFDSSDELDDVSWNSLISAFVRIGLIEETQRFFARMHRAGVKMNSFALGSVLKACSSFGGSKELGKVIHGCVVRVGLDSDVFVGSAMLDLYAKKGLLDEAVKVFKLIPDPNVVVFNAMIAGFSRMDTEIDNMVTCEALHLFCEMLRRGMLPSKFTFSSILRACNLTESFELGKQIHGLIFKYHLQYEEFIGSALIDLYSKAHSIEDGFRCFHSSPKQDIVTWTSMISACVENEQFERALSLFNELLNDGRKPDQFTISSVMSACANLAVARSGEQIQSYATKSGFSAFTICANAQIFMYAGSGDIDAANQTFKELQTCDVVSWSAMISSHAKHGCATDALLLFQEMEHRKVVPNHITFLGVLTACSHGGLVDEGFR >DRNTG_06251.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8347343:8352233:-1 gene:DRNTG_06251 transcript:DRNTG_06251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASARTILLRRLSLKTSSISRVPFVHSFSTASGSGDGGGNDDAWGGAAGGASVHDWGEEVSSWSTGLTKDHFDGEAVGRQVSPSSPGQAVSSELAALDEEDEILRMVEEDNKEKKAFVDGWKDRMMETYKLLKQVREPGIRGEYLKDSEKAEMYRLHKEDPETYTVERLAKDYRIMRQRVHAILWLKEMEEEEEKKLGRPLDDSVEILLDNFPEFFNSHDREFHVASLPYKPDFKVMPEGWDGTTRDPDEVLYEISVKEDQMLYEEFVQRLNFNKKKVAGEVKCHKYSRRRPSEGWSFTVEKMGPRDKRGSGGGWKFVSMPDGSSRSLNEMEKMYVKRETPKRRRRILPPFK >DRNTG_19601.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001025.1:24626:26459:-1 gene:DRNTG_19601 transcript:DRNTG_19601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVEEALHMVGGAGDTSYASNSRLQEKAIRKTKDIVEKALKNINGEVVSKSLVVADLGCSSGPNTFLVISQIISAVMEAGYQKAKEIVFLLNDLPGNDFNTIFRSLSLYEKKVKEENGDQVLPYFVAGVPGSFYGRLFPRNSLHFAHSSYSLMWLSQELIIRMVELILTKGTYTSQEQVLQLYQGYI >DRNTG_09315.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:952109:975606:1 gene:DRNTG_09315 transcript:DRNTG_09315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHSFRSRPNYHTKTRPRKNQFKRSNTYNNKRRSSLRPRENQFQFLHSYCHCYFLIVLQPLLVPRNPFRTLWAEVV >DRNTG_09315.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:952109:952914:1 gene:DRNTG_09315 transcript:DRNTG_09315.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHSFRSRPNYHTKTRPRKNQFKRSNTYNNKRRSSLRPRENQFQFLHSYCHCYFLIVLQPLLVPRNPFRTLWAEVV >DRNTG_03079.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:360874:363273:1 gene:DRNTG_03079 transcript:DRNTG_03079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARNRACLSFPVLVFFVLIFVSNEANLSAATNSILTGQSFSGNQTIVSEDGTFELGFFTPGNSRKFYIGIWYKKLPKQTVIWVANREKFISNTTSSEFKLTQDRNLVLLNQSKTPIWSSNSSSPTSSNRTEAVLLNNGNLVLRDMSNTSVIFWQSFDHPTDTFVPESWIGMNKVTGEYQSLTSWKNSEDPAPGYFSDSIDSGGTSEFFLRWNQSEIYWRSGTWNGEYFTGAPVLPEMRRTTIINVLYIDNEQRRYATCTLKNNATITRQVLDVSGQIKQWVWLDKNQDWLLFWSEPDAQCDVYSLCGPFGICDSKSIPYCSCLQGFEPASMADWELNDYSSGCVRRSTLKCGKNSSDGFLTISNMKLPSNSHSLDAQSSDDCSSACLNDCSCTAYAYGNGCFIWKGELLNLQQLYGDGDSTTLYLRVSASDIQNTKGKSRALPIILSVVGAVILSCILVGLAWRVRRSRMIRKGKLVEGSLVAYSYAELQHITRNFSEKLGRGGFGSVFRGSVPGSSDVAVKRLEELRQGDKQFIAEVSTLGAIQHVNLIRLCGFCSEGSKRLLVYEYMPNGSLASHLFGGNHKIINWKTRYQIMLGIARGLYYLHEKCRECIIHCDIKPENILLDSELCPKVSDFGLAKLMGRDFSRVLTTLKGTIGYLAPEWIRGLPITTKADVYSFGMMALELISGRRNSEQFNDGNISFFPLFAATKVAEGDVLSLIDKNLEDNVETEELTRMCRLACWCIQDSETYRPSMGHIVQVLEGGLEVNIPPVPQSLLALMEDREQYWYEESSLSSTN >DRNTG_12028.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:818101:818733:-1 gene:DRNTG_12028 transcript:DRNTG_12028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLSFKRNFIPCKKSWKRFKGKLMRVKIGRVRRSMIDNSPKRNDFHACKLSFRPVYVDELFNQPEIMGVDECHVKEKVVEKSSVLNYQAETSSSSGFVVRDVNFNEVDLKAEMFIAKFKEEMRLQRQRSFGEYQEMLARGV >DRNTG_19890.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18146738:18148566:-1 gene:DRNTG_19890 transcript:DRNTG_19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANIIDKKQRRRTRRRRRTTRRGFPWEGFFLLIYGFDNGTSSVSTTRSTTAHLKM >DRNTG_08488.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6628037:6629570:-1 gene:DRNTG_08488 transcript:DRNTG_08488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDGFKHGTGCSKSSNSDGRDGCKGKHSRKIVTIPAGINFSL >DRNTG_22847.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2546711:2547064:-1 gene:DRNTG_22847 transcript:DRNTG_22847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNNTPSHPSTLISPSSSSTCSSLFPFFSPSLSLQLLLGFPFPMNLHSLEFGITVWDLNENARTDREKKRGR >DRNTG_24070.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26455291:26457499:-1 gene:DRNTG_24070 transcript:DRNTG_24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECIEETGQATRSLKVLCGKLLKRGCRFIDNRCKQTTNEANQEAKEIHNLNLKVSPLYQSC >DRNTG_24070.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26455291:26457499:-1 gene:DRNTG_24070 transcript:DRNTG_24070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISHVIPPLYTIKSLTMMSMIQHSEKPNLRDLQNINKKVNQANTMVRRLQIINFFKSISLLLIFFF >DRNTG_24070.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26455291:26457499:-1 gene:DRNTG_24070 transcript:DRNTG_24070.2 gene_biotype:protein_coding transcript_biotype:protein_coding ETLDRKGHCAPIAGSRHRGTGVGSGLLTRIHAHRAALRDATENLRRRSTQGQRPRAASRPREEGEKPIAAAGFRS >DRNTG_28770.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9232606:9233483:-1 gene:DRNTG_28770 transcript:DRNTG_28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMYSLLPLNCWNEEIENLRENRGKTRSTKLYIYGIPSSYRYRIPYFFQLMLAQRSLQLKLIKGLQTEF >DRNTG_34497.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1519616:1521477:-1 gene:DRNTG_34497 transcript:DRNTG_34497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYEYLPNRSLDTILFDSSNHEQLDWGRRYKIINGIARGLLYLHEDSQLKVIHRDIKVSNILLDEDMNPKIADFGLARLIGCDQTRETTKQVAGTFGYIAPEYAMRGQYSSKSDIFSFGVLVLEILTGKKNSNFLKTEEANNLLSYTWQRNNLRDTRPISGGSLVKK >DRNTG_00606.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22375053:22410968:1 gene:DRNTG_00606 transcript:DRNTG_00606.1 gene_biotype:protein_coding transcript_biotype:protein_coding RFQDTQNPLALQIQDTQNPLALQIHTFNILIHEELLFYPTHYSFLLFYPPPLTNSARLGKLNGGSPSLSEQGQVSSPHPDMSHM >DRNTG_00606.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22409511:22410968:1 gene:DRNTG_00606 transcript:DRNTG_00606.2 gene_biotype:protein_coding transcript_biotype:protein_coding RFQDTQNPLALQIQDTQNPLALQIHTFNILIHEELLFYPTHYSFLLFYPPPLTNSARLGKLNGGSPSLSEQGQVSSPHPDMSHM >DRNTG_18591.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14524645:14525858:-1 gene:DRNTG_18591 transcript:DRNTG_18591.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTHVYGFGTMVNSKALFDTTSSAAACTTNSVCGPSTSTPHMNTSLNDDKIVSLEEKLESLTGDVSQMKNAIGDISDLKNQFQIMMSFMMEKFGSNMPPSTTK >DRNTG_05249.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2445405:2448154:-1 gene:DRNTG_05249 transcript:DRNTG_05249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINAPEEVKKKKKKVNLISSDGEWFEVEVDLAKQSPIIAPAVQKHEGDDPPTISINVRSDILLKIIDYWETHAQEEPESVREKNELWDTEFVKMDKPVLYAVIEAAHYLEMTKLVDLTCQRVADMLKGKTVEEMREILGIESDLTKEEEDAIRQQNSWAFEDDIPGRGAKSLAILLHCNKTIQ >DRNTG_05249.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2447787:2448154:-1 gene:DRNTG_05249 transcript:DRNTG_05249.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASINAPEEVKKKKKKVNLISSDGEWFEVEVDLAKQSPIIAPAVQKHEGDDPPTISINVRSDILLKIIDYWETHAQEEPESVREKNELWDTEFVKMDKPVLYAVIE >DRNTG_34829.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16880665:16883870:1 gene:DRNTG_34829 transcript:DRNTG_34829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMQMAVVETFEFQAEINQLLSLIINTFYSNKEIFLRELIRIHRIYV >DRNTG_34829.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16880665:16881521:1 gene:DRNTG_34829 transcript:DRNTG_34829.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANMQMAVVETFEFQAEINQLLSLIINTFYSNKEIFLRELIRIHRIYV >DRNTG_30590.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18385891:18386154:-1 gene:DRNTG_30590 transcript:DRNTG_30590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFLRVTVNKSRALADVPKGHFVVYVGETEKRFVIPISYLKHPLFQKLLHRTEEEFGFGHGMVGIRIPSSEQAFKSLIHQLKGLY >DRNTG_14925.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27177966:27179112:1 gene:DRNTG_14925 transcript:DRNTG_14925.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFCHIFKRGKKRRNQFVQGDEVADISGIENTRIYTYNELSIATEDFSPRNKIGEGGFGSVYMVIISCHSKL >DRNTG_14925.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27177966:27179112:1 gene:DRNTG_14925 transcript:DRNTG_14925.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFCHIFKRGKKRRNQFVQGDEDISGIENTRIYTYNELSIATEDFSPRNKIGEGGFGSVYMVIISCHSKL >DRNTG_20593.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3188455:3195126:-1 gene:DRNTG_20593 transcript:DRNTG_20593.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTRWDDGGARDDDEENALRAPTVDSHEIELSFPDFTGGYSTSRDQFASDNRDMRSRDVGGDMYQSSIKIPPQKKRSYLNDLKCFGVDLSPDNIAVAIVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVVSGVSALPWLVKPLYGFISDSIPLFGYRRRSYLFLSGLLGALSWSLMATFVDSKYGAAFCILLGSLSVAFSDVVVDSMVVERARGESQSMSGSLQSLCWGSSAIGGIVSAYFSGSLVDAYGVRFVFGVTAFLPLMTSAVAVLVKEQAVASSKVYNPSISTPMLLESSKQHILQLWTAVKQREIFLPTLFIFLWQATPQSDSAMFYFLTNKLGFTPEFLGRVKLVTSVASLIGVAVYNTFLKKVPLRKIFLLTTITGSALGMTQVFLVTGLNRQFGISDEWFSIGDSLILTVLGQASFMPVLVLAARICPPGVEATLFATLMSISNGGGVTGGLLGAGLTQLLGVTKDTFNNLAPLLIICNLSSLLPLPLLGLLPEDIPDAKSTDIEDVKSS >DRNTG_20593.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3188455:3195126:-1 gene:DRNTG_20593 transcript:DRNTG_20593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTRWDDGGARDDDEENALRAPTVDSHEIELSFPDFTGGYSTSRDQFASDNRDMRSRDVGGDMYQSSIKIPPQKKRSYLNDLKCFGVDLSPDNIAVAIVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVVSGVSALPWLVKPLYGFISDSIPLFGYRRRSYLFLSGLLGALSWSLMATFVDSKYGAAFCILLGSLSVAFSDVVVDSMVVERARGESQSMSGSLQSLCWGSSAIGGIVSAYFSGSLVDAYGVRFVFGVTAFLPLMTSAVAVLVKEQAVASSKVYNPSISTPMLLESSKQHILQLWTAVKQREIFLPTLFIFLWQATPQSDSAMFYFLTNKLGFTPEFLGRVKLVTSVASLIGVAVYNTFLKKVPLRKIFLLTTITGSALGMTQVFLVTGLNRQFGISDEWFSIGDSLILTVLGQASFMPVLVLAARICPPGVEATLFATLMSISNGGGVTGGLLGAGLTQLLGVTKDTFNNLAPLLIICNLSSLLPLPLLGLLPEDIPDAKSTDIEDVKSS >DRNTG_09192.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7637865:7646974:1 gene:DRNTG_09192 transcript:DRNTG_09192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLKWVSVICVIFCWVLASESSAEDEFRRAFPIVVPDPGHTKLLIAREGLEAIQRIKTPIAAIAVIGPYRSGKSFLLNQLLSLSCDEGFGVGHMRDTKTKGIWVWGTPVELVIDGVKVSVLYLDTEGFESVGKSNVYDDRIFALATVLSSVLIYNLPETIREADISRLSFAVEIAEEFYGRLVCLSWILSI >DRNTG_09192.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7637865:7646746:1 gene:DRNTG_09192 transcript:DRNTG_09192.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLKWVSVICVIFCWVLASESSAEDEFRRAFPIVVPDPGHTKLLIAREGLEAIQRIKTPIAAIAVIGPYRSGKSFLLNQLLSLSCDEGFGVGHMRDTKTKGIWVWGTPVELVIDGVKVSVLYLDTEGFESVGKSNVYDDRIFALATVLSSVLIYNLPETIREADISRLSFAVEIAEEFYGRVKGQDVAFEPAKLLWLIQRDFLQGKSVQEMVDEALQQVPNHGGDKNIEQ >DRNTG_09192.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7637865:7646618:1 gene:DRNTG_09192 transcript:DRNTG_09192.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLKWVSVICVIFCWVLASESSAEDEFRRAFPIVVPDPGHTKLLIAREGLEAIQRIKTPIAAIAVIGPYRSGKSFLLNQLLSLSCDEGFGVGHMRDTKTKGIWVWGTPVELVIDGVKVSVLYLDTEGFESVGKSNVYDDRIFALATVLSSVLIYNLPETIREADISRLSFAVEIAEEFYGRVKVKYQTLFY >DRNTG_09192.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7637865:7646974:1 gene:DRNTG_09192 transcript:DRNTG_09192.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLKWVSVICVIFCWVLASESSAEDEFRRAFPIVVPDPGHTKLLIAREGLEAIQRIKTPIAAIAVIGPYRSGKSFLLNQLLSLSCDEGFGVGHMRDTKTKGIWVWGTPVELVIDGVKVSVLYLDTEGFESVGKSNVYDDRIFALATVLSSVLIYNLPETIREADISRLSFAVEIAEEFYGRVKVKYQTLFY >DRNTG_11231.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21942295:21946033:-1 gene:DRNTG_11231 transcript:DRNTG_11231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGRQRGGDVFGSTSNGSRGERRGGKSGCSGWIKDGEGVVVEPHRHAGVFNAKGEQYALCTKSLVAGELVYGENRVVVQNEDGTKVEYRLWDPFKSKLAAAILDDVYDIGIIPGDQVLYLGAGSGITVSHVSDIVGPMGMVYAVEFSDRKARDLINMARKRTNVIPIIEDARHPLKYQSLVGVVDVVISDISQPYQVKILAQNASYFLKEDGRFMISVNANRVDPTAPADVVFAQEIIKLYAEQFRPMDEATLEPFTRDHSCIFGGYRILNKQRRAN >DRNTG_11231.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21944774:21945857:-1 gene:DRNTG_11231 transcript:DRNTG_11231.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVYAVEFSDRKARDLINMARKRTNVIPIIEDARHPLKYQSLVGVVDVVISDISQPYQVFELHIILYCG >DRNTG_21814.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17565461:17565773:-1 gene:DRNTG_21814 transcript:DRNTG_21814.2 gene_biotype:protein_coding transcript_biotype:protein_coding SWFNFINEFVAGTKAHVLILPRDAFGNNISSRTDPPSKDYFTVSACYENGSVLHLQDVRYNGWNDLGYLAVGFTPTNAGKLLLFVYGGNQTLRGSPLPFVVKP >DRNTG_21814.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17565129:17565773:-1 gene:DRNTG_21814 transcript:DRNTG_21814.1 gene_biotype:protein_coding transcript_biotype:protein_coding SWFNFINEFVAGTKAHVLILPRDAFGNNISSRTDPPSKDYFTVSACYENGSVLHLQDVRYNGWNDLGYLAVGFTPTNAGKLLLFVYGGNQTLRGSPLPFVVKPGTFNITNSMGKWKYGTNSLQIFSKLEMFI >DRNTG_23743.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21020551:21026485:-1 gene:DRNTG_23743 transcript:DRNTG_23743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKNHSSPVLTDSVPVNKSRLGLSSLLLPCSPPASAYSSAMYLAIPRRKAIPGKLDDTHDNAWLDAMISSSPPPKKLNKDISSEIPQDEIDPAYCIWMLKFPSALTSFDQITSNAKGKQLALFLDYDGTLSPIVDNPELAFMSPVMRAAVRDVARYFPTAIISGRSRDKVYEFVGLTELFYAGSHGMDIMGPVRDSSFVNNHQNCIRSTDKQGKEVNLFQPASEFLPMIEEVFRSLVEITKGINGATVENNKFCVSVHYRLVDKKSWPVVARHVENILKNYPRLKLTNGRKVFEVRPVIDWNKGKAVEFLLESLALGNSDDVLPIYVGDDRTDEDAFKVLKESKGGIGILVSSKPKDTNALYSLRDPSEVMVFLKSLVKWRRN >DRNTG_21776.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2958203:2971764:1 gene:DRNTG_21776 transcript:DRNTG_21776.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEPMDDNREVSNAEKDKGTGQTIFNGNTTPSKGGLIIPKEEASPAEIAKAYMGSRPSKLPSLRYSSQSHVSREDATSPNGVPFAVKPPDLSVAPRSIVPFPRVPEQTENGYFTPRSLGRSAIYRMSRSPYFKVQTAANVKGGTPAGESSTSSQWITTTNLMRSGSKQALKRGSSVLDSDFGSFGPIRRIRQKSNLMSPLKDIRKSLPENLLPSAFTPLSKDAIPASVSSIQKHLRFNVPRHGSGHSVDVEHDDIRSSDDGVPPIPHQSGEMARKILQQLDKLVPSPKEKASELKATTRDESPSKLTLDMLHGRALRSIEHIGPANFVNTRSSASVDATNDSDPQRLPQKQEIQGNGPSKSVVSNGMTSGSDSKFCAVTPATDAKSDKRVPDVALMESSTIPLHKKPAFKISAPEDSLEMEEDNDDFAIAAAPGSAANGKDKQDLKSDRISETQTSEKLVASLPQSMHPTLTKISGEFDGKSTDRPAAFSFPLTSVSSTIVQPPTPTMPAPKPEISALTKEETLTPVFNSGSKDAPTAIFSLNTSDPSGFKSDRDHVSQSELSIRNTSPSTQAEGINLDRGDKNQKFSESFKSFGSSASSDLPTSSPASIFAFGASVPSLNNGSLNPNPPAMFGSGIPNSGGQSGLNLSSSNSAPSSSSNVSSFSSTAAVFSTGAAFKFGSGTSISSFSSASTTLEAVKTPVFKFGSGTTTSASSSISTLEASKTPTFNFTSTFQASAANTESSSSTGSNGSSTSLTSSPFSSANINSATLTTSSAFSSAGTLAASSLFTSTISGTAAQAGPSLFSNSGSSSSAPISSAVPSTTFGSHSPLSSSFPFSSTGNGIFGFGASAQSSDSSLTLSSTSSQNTSNFGAAASPIFGVQGTSSASGISNLSQSTGQLSSFSSTPSFGMTGSPSFGFGTSPFGAAASSAKPFSSSSGFTFSASAGSSSAGSSSSFATSAAGSVFSFNSAGAASTTASAQPSFGVSAVASFGSGFPQNDQMNVEDSMTDDAVQPTVSTVPTFGQPANSPTPPSFMFGSAAVPTGGQPVFQFGSQNSVPPGANSFQPSGTLEFTSGGSFSLGSGGDKSTRKIVRVRRNKPGAKK >DRNTG_21776.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2965674:2971764:1 gene:DRNTG_21776 transcript:DRNTG_21776.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAEFNYLTELLRTRTIESMRTEPMDDNREVSNAEKDKGTGQTIFNGNTTPSKGGLIIPKEEASPAEIAKAYMGSRPSKLPSLRYSSQSHVSREDATSPNGVPFAVKPPDLSVAPRSIVPFPRVPEQTENGYFTPRSLGRSAIYRMSRSPYFKVQTAANVKGGTPAGESSTSSQWITTTNLMRSGSKQALKRGSSVLDSDFGSFGPIRRIRQKSNLMSPLKDIRKSLPENLLPSAFTPLSKDAIPASVSSIQKHLRFNVPRHGSGHSVDVEHDDIRSSDDGVPPIPHQSGEMARKILQQLDKLVPSPKEKASELKATTRDESPSKLTLDMLHGRALRSIEHIGPANFVNTRSSASVDATNDSDPQRLPQKQEIQGNGPSKSVVSNGMTSGSDSKFCAVTPATDAKSDKRVPDVALMESSTIPLHKKPAFKISAPEDSLEMEEDNDDFAIAAAPGSAANGKDKQDLKSDRISETQTSEKLVASLPQSMHPTLTKISGEFDGKSTDRPAAFSFPLTSVSSTIVQPPTPTMPAPKPEISALTKEETLTPVFNSGSKDAPTAIFSLNTSDPSGFKSDRDHVSQSELSIRNTSPSTQAEGINLDRGDKNQKFSESFKSFGSSASSDLPTSSPASIFAFGASVPSLNNGSLNPNPPAMFGSGIPNSGGQSGLNLSSSNSAPSSSSNVSSFSSTAAVFSTGAAFKFGSGTSISSFSSASTTLEAVKTPVFKFGSGTTTSASSSISTLEASKTPTFNFTSTFQASAANTESSSSTGSNGSSTSLTSSPFSSANINSATLTTSSAFSSAGTLAASSLFTSTISGTAAQAGPSLFSNSGSSSSAPISSAVPSTTFGSHSPLSSSFPFSSTGNGIFGFGASAQSSDSSLTLSSTSSQNTSNFGAAASPIFGVQGTSSASGISNLSQSTGQLSSFSSTPSFGMTGSPSFGFGTSPFGAAASSAKPFSSSSGFTFSASAGSSSAGSSSSFATSAAGMFGLTSQSSSASLSTAFGSSSPSAGLTFGMSAPASGGLTSIFGSSTGSVFSFNSAGAASTTASAQPSFGVSAVASFGSGFPQNDQMNVEDSMTDDAVQPTVSTVPTFGQPANSPTPPSFMFGSAAVPTGGQPVFQFGSQNSVPPGANSFQPSGTLEFTSGGSFSLGSGGDKSTRKIVRVRRNKPGAKK >DRNTG_21776.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2958203:2971764:1 gene:DRNTG_21776 transcript:DRNTG_21776.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGAYESGGTGGKLRKRTLRRATAPTPYDRPPAAARGGLGGIKNGWLSRLVDPASRIITGGASKLFSSVIRKALPAPPALPAPPPPSDENLQLIDEDPQDVCLKSLSEVQEKGKGDGKELISNSESVGVSELEQLMKQKTFTRAEFNYLTELLRTRTIESMRTEPMDDNREVSNAEKDKGTGQTIFNGNTTPSKGGLIIPKEEASPAEIAKAYMGSRPSKLPSLRYSSQSHVSREDATSPNGVPFAVKPPDLSVAPRSIVPFPRVPEQTENGYFTPRSLGRSAIYRMSRSPYFKVQTAANVKGGTPAGESSTSSQWITTTNLMRSGSKQALKRGSSVLDSDFGSFGPIRRIRQKSNLMSPLKDIRKSLPENLLPSAFTPLSKDAIPASVSSIQKHLRFNVPRHGSGHSVDVEHDDIRSSDDGVPPIPHQSGEMARKILQQLDKLVPSPKEKASELKATTRDESPSKLTLDMLHGRALRSIEHIGPANFVNTRSSASVDATNDSDPQRLPQKQEIQGNGPSKSVVSNGMTSGSDSKFCAVTPATDAKSDKRVPDVALMESSTIPLHKKPAFKISAPEDSLEMEEDNDDFAIAAAPGSAANGKDKQDLKSDRISETQTSEKLVASLPQSMHPTLTKISGEFDGKSTDRPAAFSFPLTSVSSTIVQPPTPTMPAPKPEISALTKEETLTPVFNSGSKDAPTAIFSLNTSDPSGFKSDRDHVSQSELSIRNTSPSTQAEGINLDRGDKNQKFSESFKSFGSSASSDLPTSSPASIFAFGASVPSLNNGSLNPNPPAMFGSGIPNSGGQSGLNLSSSNSAPSSSSNVSSFSSTAAVFSTGAAFKFGSGTSISSFSSASTTLEAVKTPVFKFGSGTTTSASSSISTLEASKTPTFNFTSTFQASAANTESSSSTGSNGSSTSLTSSPFSSANINSATLTTSSAFSSAGTLAASSLFTSTISGTAAQAGPSLFSNSGSSSSAPISSAVPSTTFGSHSPLSSSFPFSSTGNGIFGFGASAQSSDSSLTLSSTSSQNTSNFGAAASPIFGVQGTSSASGISNLSQSTGQLSSFSSTPSFGMTGSPSFGFGTSPFGAAASSAKPFSSSSGFTFSASAGSSSAGSSSSFATSAAGSVFSFNSAGAASTTASAQPSFGVSAVASFGSGFPQNDQMNVEDSMTDDAVQPTVSTVPTFGQPANSPTPPSFMFGSAAVPTGGQPVFQFGSQNSVPPGANSFQPSGTLEFTSGGSFSLGSGGDKSTRKIVRVRRNKPGAKK >DRNTG_21776.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2958203:2964205:1 gene:DRNTG_21776 transcript:DRNTG_21776.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESGAYESGGTGGKLRKRTLRRATAPTPYDRPPAAARGGLGGIKNGWLSRLVDPASRIITGGASKLFSSVIRKALPAPPALPAPPPPSDENLQLIDEDPQDVCLKSLSEVQEKGKGDGKELISNSESVGVSELEQLMKQKTFTRQVFSCI >DRNTG_11487.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19455163:19467238:1 gene:DRNTG_11487 transcript:DRNTG_11487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSSMPESTWSKSHGHLILAALRAERELSPQLSHSTPSKSSAYKWPPISFS >DRNTG_30099.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31174328:31181601:1 gene:DRNTG_30099 transcript:DRNTG_30099.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIEERIKTKPLPPPPPMQVPADAKSNSEVPSKSRDGDSGVDAMKDVDNRNDDETTSEMKSAAELEKPEASSADKGSRHDRRGRERDRDLKREKERELERYERERERERARRERERDIKSREVERLYNERLKEWEGREREKERHRKYEKDREKEREWERRKLVMKQEDESDDEDIRRRRYRSSMYEEKRRRRKREREDDLADRLKEEEETAEAKRRAIEVQEKINSIELSAQVSIDVEKVATQDEEMVIDGMENVEEDSHQGDGIDKNGCNDEQVGSTTGSDTKQNCHVPTRKLGFGLVGSGKRAAMPSVFHEADDEDVEERKMRPLVPIDYSTDEIQAIQSATSAAQPNLVAAAEFAKRISSVNHKEEKLDVDKERNKRTSDRASQRERDRSDDGNSRLRDENKERIHKVHDRERDQEDKLKENRKLLDAKQLIDMIPKTKDELFAYQINWDVYDKHKLHERMRPWIAKKITEFLGEEEDTLVDYIVSNTKEHAQASKMLELLQSILDDEAEMFVLKMWRMLIFEIKKVETGLTGKPRG >DRNTG_30099.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31174328:31181601:1 gene:DRNTG_30099 transcript:DRNTG_30099.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIEERIKTKPLPPPPPMQVPADAKSNSEVPSKSRDGDSGVDAMKDVDNRNDDETTSEMKSAAELEKPEASSADKGSRHDRRGRERDRDLKREKERELERYERERERERARRERERDIKSREVERLYNERLKEWEGREREKERHRKYEKDREKEREWERRKLVMKQEDESDDEDIRRRRYRSSMYEEKRRRRKREREDDLADRLKEEEETAEAKRRAIEVQEKINSIELSAQVSIDVEKVATQDEEMVIDGMENVEEDSHQGDGIDKNGCNDEQVGSTTGSDTKQNCHVPTRKLGFGLVGSGKRAAMPSVFHEADDEDVEERKMRPLVPIDYSTDEIQAIQSATSAAQPNLVAAAEFAKRISSVNHKEEKLDVDKERNKRTSDRASQRERDRSDDGNSRLRDENKERIHKVHDRERDQEDKLKENRKLLDAKQLIDMIPKTKDELFAYQINWDVYDKHKLHERMRPWIAKKITEFLGEEEDTLVDYIVSNTKEHAQASKMLELLQSILDDEAEMFVLKMWRMLIFEIKKVETGLTGKPRG >DRNTG_30099.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31174328:31181601:1 gene:DRNTG_30099 transcript:DRNTG_30099.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIEERIKTKPLPPPPPMQVPADAKSNSEVPSKSRDGDSGVDAMKDVDNRNDDETTSEMKSAAELEKPEASSADKGSRHDRRGRERDRDLKREKERELERYERERERERARRERERDIKSREVERLYNERLKEWEGREREKERHRKYEKDREKEREWERRKLVMKQEDESDDEDIRRRRYRSSMYEEKRRRRKREREDDLADRLKEEEETAEAKRRAIEVQEKINSIELSAQVSIDVEKVATQDEEMVIDGMENVEEDSHQGDGIDKNGCNDEQVGSTTGSDTKQNCHVPTRKLGFGLVGSGKRAAMPSVFHEADDEDVEERKMRPLVPIDYSTDEIQAIQSATSAAQPNLVAAAEFAKRISSVNHKEEKLDVDKERNKRTSDRASQRERDRSDDGNSRLRDENKERIHKVHDRERDQEDKLKENRKLLDAKQLIDMIPKTKDELFAYQINWDVYDKHKLHERMRPWIAKKITEFLGEEEDTLVDYIVSNTKEHAQASKMLELLQSILDDEAEMFVLKMWRMLIFEIKKVETGLTGKPRG >DRNTG_30099.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31174328:31181601:1 gene:DRNTG_30099 transcript:DRNTG_30099.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIEERIKTKPLPPPPPMQVPADAKSNSEVPSKSRDGDSGVDAMKDVDNRNDDETTSEMKSAAELEKPEASSADKGSRHDRRGRERDRDLKREKERELERYERERERERARRERERDIKSREVERLYNERLKEWEGREREKERHRKYEKDREKEREWERRKLVMKQEDESDDEDIRRRRYRSSMYEEKRRRRKREREDDLADRLKEEEETAEAKRRAIEVQEKINSIELSAQVSIDVEKVATQDEEMVIDGMENVEEDSHQGDGIDKNGCNDEQVGSTTGSDTKQNCHVPTRKLGFGLVGSGKRAAMPSVFHEADDEDVEERKMRPLVPIDYSTDEIQAIQSATSAAQPNLVAAAEFAKRISSVNHKEEKLDVDKERNKRTSDRASQRERDRSDDGNSRLRDENKERIHKVHDRERDQEDKLKENRKLLDAKQLIDMIPKTKDELFAYQINWDVYDKHKLHERMRPWIAKKITEFLGEEEDTLVDYIVSNTKEHAQASKMLELLQSILDDEAEMFVLKMWRMLIFEIKKVETGLTGKPRG >DRNTG_30099.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31174328:31181601:1 gene:DRNTG_30099 transcript:DRNTG_30099.8 gene_biotype:protein_coding transcript_biotype:protein_coding MIEERIKTKPLPPPPPMQVPADAKSNSEVPSKSRDGDSGVDAMKDVDNRNDDETTSEMKSAAELEKPEASSADKGSRHDRRGRERDRDLKREKERELERYERERERERARRERERDIKSREVERLYNERLKEWEGREREKERHRKYEKDREKEREWERRKLVMKQEDESDDEDIRRRRYRSSMYEEKRRRRKREREDDLADRLKEEEETAEAKRRAIEVQEKINSIELSAQVSIDVEKVATQDEEMVIDGMENVEEDSHQGDGIDKNGCNDEQVGSTTGSDTKQNCHVPTRKLGFGLVGSGKRAAMPSVFHEADDEDVEERKMRPLVPIDYSTDEIQAIQSATSAAQPNLVAAAEFAKRISSVNHKEEKLDVDKERNKRTSDRASQRERDRSDDGNSRLRDENKERIHKVHDRERDQEDKLKENRKLLDAKQLIDMIPKTKDELFAYQINWDVYDKHKLHERMRPWIAKKITEFLGEEEDTLVDYIVSNTKEHAQASKMLELLQSILDDEAEMFVLKMWRMLIFEIKKVETGLTGKPRG >DRNTG_30099.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31174328:31181601:1 gene:DRNTG_30099 transcript:DRNTG_30099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEERIKTKPLPPPPPMQVPADAKSNSEVPSKSRDGDSGVDAMKDVDNRNDDETTSEMKSAAELEKPEASSADKGSRHDRRGRERDRDLKREKERELERYERERERERARRERERDIKSREVERLYNERLKEWEGREREKERHRKYEKDREKEREWERRKLVMKQEDESDDEDIRRRRYRSSMYEEKRRRRKREREDDLADRLKEEEETAEAKRRAIEVQEKINSIELSAQVSIDVEKVATQDEEMVIDGMENVEEDSHQGDGIDKNGCNDEQVGSTTGSDTKQNCHVPTRKLGFGLVGSGKRAAMPSVFHEADDEDVEERKMRPLVPIDYSTDEIQAIQSATSAAQPNLVAAAEFAKRISSVNHKEEKLDVDKERNKRTSDRASQRERDRSDDGNSRLRDENKERIHKVHDRERDQEDKLKENRKLLDAKQLIDMIPKTKDELFAYQINWDVYDKHKLHERMRPWIAKKITEFLGEEEDTLVDYIVSNTKEHAQASKMLELLQSILDDEAEMFVLKMWRMLIFEIKKVETGLTGKPRG >DRNTG_30099.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31174328:31181601:1 gene:DRNTG_30099 transcript:DRNTG_30099.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLPSPSSQPSDANTEKPEIQNPSINHPDSGLASENPKPIPNPLPSPVIPPPPAAPSFTPAFRPLGAPTGLPYPAFSNPGYPPPPMVQNPGIQPPGVAVSGAGAVPASVPPMRPGMYPAPPGQVPVVYGPGPNGYMAVPPPGPLAPGVMPPPGIPRYPGPYPLVRAGFPPRPGFISPLGRPPIPGIRGIPPIATPIVRPPITIVAPQEKPQTTVYVGKIAPTVDNDFLLSLLRLCGPVKSWKRAQDPTDGTPKGFGFCEFESAEGVLRGLRVLSKLSIDGQELVLNINQATREYLDRYIEKKTESEKLKGPELEIATEENKSAAAVENKEPQNPKPIEEDLDTSRDKETQESTQKFGIITDEDHDADREILEKVTSMIEERIKTKPLPPPPPMQVPADAKSNSEVPSKSRDGDSGVDAMKDVDNRNDDETTSEMKSAAELEKPEASSADKGSRHDRRGRERDRDLKREKERELERYERERERERARRERERDIKSREVERLYNERLKEWEGREREKERHRKYEKDREKEREWERRKLVMKQEDESDDEDIRRRRYRSSMYEEKRRRRKREREDDLADRLKEEEETAEAKRRAIEVQEKINSIELSAQVSIDVEKVATQDEEMVIDGMENVEEDSHQGDGIDKNGCNDEQVGSTTGSDTKQNCHVPTRKLGFGLVGSGKRAAMPSVFHEADDEDVEERKMRPLVPIDYSTDEIQAIQSATSAAQPNLVAAAEFAKRISSVNHKEEKLDVDKERNKRTSDRASQRERDRSDDGNSRLRDENKERIHKVHDRERDQEDKLKENRKLLDAKQLIDMIPKTKDELFAYQINWDVYDKHKLHERMRPWIAKKITEFLGEEEDTLVDYIVSNTKEHAQASKMLELLQSILDDEAEMFVLKMWRMLIFEIKKVETGLTGKPRG >DRNTG_30099.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31174328:31181601:1 gene:DRNTG_30099 transcript:DRNTG_30099.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLPSPSSQPSDANTEKPEIQNPSINHPDSGLASENPKPIPNPLPSPVIPPPPAAPSFTPAFRPLGAPTGLPYPAFSNPGYPPPPMVQNPGIQPPGVAVSGAGAVPASVPPMRPGMYPAPPGQVPVVYGPGPNGYMAVPPPGPLAPGVMPPPGIPRYPGPYPLVRAGFPPRPGFISPLGRPPIPGIRGIPPIATPIVRPPITIVAPQEKPQTTVYVGKIAPTVDNDFLLSLLRLCGPVKSWKRAQDPTDGTPKGFGFCEFESAEGVLRGLRVLSKLSIDGQELVLNINQATREYLDRYIEKKTESEKLKGPELEIATEENKSAAAVENKEPQNPKPIEEDLDTSRDKETQESTQKFGIITDEDHDADREILEKVTSMIEERIKTKPLPPPPPMQVPADAKSNSEVPSKSRDGDSGVDAMKDVDNRNDDETTSEMKSAAELEKPEASSADKGSRHDRRGRERDRDLKREKERELERYERERERERARRERERDIKSREVERLYNERLKEWEGREREKERHRKYEKDREKEREWERRKLVMKQEDESDDEDIRRRRYRSSMYEEKRRRRKREREDDLADRLKEEEETAEAKRRAIEVQEKINSIELSAQVSIDVEKVATQDEEMVIDGMENVEEDSHQGDGIDKNGCNDEQVGSTTGSDTKQNCHVPTRKLGFGLVGSGKRAAMPSVFHEADDEDVEERKMRPLVPIDYSTDEIQAIQSATSAAQPNLVAAAEFAKRISSVNHKEEKLDVDKERNKRTSDRASQRERDRSDDGNSRLRDENKERIHKVHDRERDQEDKLKENRKLLDAKQLIDMIPKTKDELFAYQINWDVYDKHKLHERMRPWIAKKITEFLGEEEDTLVDYIVSNTKEHAQASKMLELLQSILDDEAEMFVLKMWRMLIFEIKKVETGLTGKPRG >DRNTG_05214.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000234.1:21480:22535:1 gene:DRNTG_05214 transcript:DRNTG_05214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPGTASAIFGAVKAVGANVIMISQASSEHSVCFAVPEKEVGAVSEALQNRFHEALVAGRLSKVEVIPSCSILAAVGQKMASTPGVSATLFDAIAKANINVRAIAQGCSEYNITLVLKQEDCVRGLRAVHSRFYLSKTTLAMGIIGPGLIGATLLNQLKDQ >DRNTG_29604.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7207920:7214031:-1 gene:DRNTG_29604 transcript:DRNTG_29604.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRETEDCVGVQGECLPSGSISFGRFEAESLSWERRSSFSHNRYLEEVEKYSTPGSVTQKKAYFEAHFKKKGRLPYASPEGQNAFEYQTTEDGSEEHMYYMEDYLDHGDGEQQQFTWYDEKKSTLDEDRNDIREVNGRQILFSWFDEKSPVSDEHKCNAMESNGAQPQFDWYDETPVVSGEHEHNIVAHEQEEIISPEVQSEPAHSNEEVLDASIKLAGTNEKLKVQLADNSMPSNKDETHGVKEQETDKRVGTVDKLEKSETPESHILDKQSSSTQQETVKVLPKVKASAEQKPAKSKPRTSIPVPQNLRRFSAGKNYPSPDKAVAKTLVKVQRENTLRTRRDKESSLRVPTHRSSDPKNGKSSDFNNLSAKVHREKKSEISQIQKKVGVIPKPISGMCDVDVRRSANRPQISVISSKSDVRQSNVIFNFKSDERAEKRKEYYLKLEEKQQAKEAEMNEMQAKTQA >DRNTG_01163.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29043339:29045022:1 gene:DRNTG_01163 transcript:DRNTG_01163.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G46390) UniProtKB/Swiss-Prot;Acc:F4KHG6] GLIQSVFELHDGSGVVVTIGKYVTPSHRDINGNGIQPDFSRLPDLSKVRDYFSHCQV >DRNTG_01163.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29026513:29045022:1 gene:DRNTG_01163 transcript:DRNTG_01163.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G46390) UniProtKB/Swiss-Prot;Acc:F4KHG6] MLQGPKETFVTIEVKHGNCGPIQSIRVQRQLVARTPVFYRLEKGDDGSSLVGYIHIKEFNALARKDLLTALKRLQDSGASYYVLDLRDNLGGLVEAGIEVAKLFLDKGETVIYTAGRDPQVQKSIVAETAPLVTAPVVVLVNNRTASASEIVATALHDNCKAVLVGERTFGKGLIQSVFELHDGSGVVVTIGKYVTPSHRDINGNGIQPDFSRLPDLSKVRDYFSHCQV >DRNTG_01163.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29026513:29026883:1 gene:DRNTG_01163 transcript:DRNTG_01163.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G46390) UniProtKB/Swiss-Prot;Acc:F4KHG6] MSREASPPRHCRSSLVRPLCVSSCRRGLFERILAIPSTLRDMSGRQGFSGGASGVAHQRRPCGGGLGGRQ >DRNTG_33070.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:368334:371043:-1 gene:DRNTG_33070 transcript:DRNTG_33070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISVHIGQAGIQVGNACWELYCQEHGIQPDGHMPGDKTVGGGDDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGIYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAILLDNEAIYDICKRSLDIGRPTYTNLNRLISQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVADVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESAEGDEDGDDGEDY >DRNTG_27578.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6640872:6643730:-1 gene:DRNTG_27578 transcript:DRNTG_27578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPKAKEIVNTNPVVVFSKTYCPYCVRVKELLTKLGTTYKTVELDVEGDGSEIQAALAQWTGQRTVPNVFIGGNHIGGCDNVTEKHNGGKLVPLLTEAGALAATTA >DRNTG_22349.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29870160:29872192:1 gene:DRNTG_22349 transcript:DRNTG_22349.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYLQASHHYHTGGDLSEFMDHVVKQLSDTSPAAATLDSFTIEPLSPHVDHRPRKVLQRDNNIDVTTTTTTTTNNNNNNKRLDQNTEDKGLRMITLLLECAVAISVDNLTEANRMLLELTDMASPYSPSCAERVVAYFTKAMASRVMNSWLRTCCPIVPHKSILTAFQTFNTVSPYIKFAHFTANQAILEAFHQKDIVHIVDLDIMHGLQWPALFHILATRPEGPPLVRLTGFGSSMEALEETGRQLSSFAKRLGMSLEFHPVAKRSGDIDPWMVQARRGETMAVHWLQHSLYDATGPDLQTMRLIQLLSPRVITLVEQEMLSCGSFLDRFVGSLHYYSTLFDSLGASLACDDPGRQRVEHGLLGREINNVMAIGGPARSGEDKFVSWRMELAQRGFLPVPMSSNAMAQAQLILNMFPPSLGHMILQGDDGTLRLGWKETGLYTASAWTVPRLLLH >DRNTG_22349.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29870476:29872377:1 gene:DRNTG_22349 transcript:DRNTG_22349.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYLQASHHYHTGGDLSEFMDHVVKQLSDTSPAAATLDSFTIEPLSPHVDHRPRKVLQRDNNIDVTTTTTTTTNNNNNNKRLDQNTEDKGLRMITLLLECAVAISVDNLTEANRMLLELTDMASPYSPSCAERVVAYFTKAMASRVMNSWLRTCCPIVPHKSILTAFQTFNTVSPYIKFAHFTANQAILEAFHQKDIVHIVDLDIMHGLQWPALFHILATRPEGPPLVRLTGFGSSMEALEETGRQLSSFAKRLGMSLEFHPVAKRSGDIDPWMVQARRGETMAVHWLQHSLYDATGPDLQTMRLIQLLSPRVITLVEQEMLSCGSFLDRFVGSLHYYSTLFDSLGASLACDDPGRQRVEHGLLGREINNVMAIGGPARSGEDKFVSWRMELAQRGFLPVPMSSNAMAQAQLILNMFPPSLGHMILQGDDGTLRLGWKETGLYTASAWTVPRLLLH >DRNTG_22349.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29869488:29872377:1 gene:DRNTG_22349 transcript:DRNTG_22349.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYLQASHHYHTGGDLSEFMDHVVKQLSDTSPAAATLDSFTIEPLSPHVDHRPRKVLQRDNNIDVTTTTTTTTNNNNNNKRLDQNTEDKGLRMITLLLECAVAISVDNLTEANRMLLELTDMASPYSPSCAERVVAYFTKAMASRVMNSWLRTCCPIVPHKSILTAFQTFNTVSPYIKFAHFTANQAILEAFHQKDIVHIVDLDIMHGLQWPALFHILATRPEGPPLVRLTGFGSSMEALEETGRQLSSFAKRLGMSLEFHPVAKRSGDIDPWMVQARRGETMAVHWLQHSLYDATGPDLQTMRLIQLLSPRVITLVEQEMLSCGSFLDRFVGSLHYYSTLFDSLGASLACDDPGRQRVEHGLLGREINNVMAIGGPARSGEDKFVSWRMELAQRGFLPVPMSSNAMAQAQLILNMFPPSLGHMILQGDDGTLRLGWKETGLYTASAWTVPRLLLH >DRNTG_22349.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29870935:29872239:1 gene:DRNTG_22349 transcript:DRNTG_22349.14 gene_biotype:protein_coding transcript_biotype:protein_coding MLLELTDMASPYSPSCAERVVAYFTKAMASRVMNSWLRTCCPIVPHKSILTAFQTFNTVSPYIKFAHFTANQAILEAFHQKDIVHIVDLDIMHGLQWPALFHILATRPEGPPLVRLTGFGSSMEALEETGRQLSSFAKRLGMSLEFHPVAKRSGDIDPWMVQARRGETMAVHWLQHSLYDATGPDLQTMRLIQLLSPRVITLVEQEMLSCGSFLDRFVGSLHYYSTLFDSLGASLACDDPGRQRVEHGLLGREINNVMAIGGPARSGEDKFVSWRMELAQRGFLPVPMSSNAMAQAQLILNMFPPSLGHMILQGDDGTLRLGWKETGLYTASAWTVPRLLLH >DRNTG_22349.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29870476:29872192:1 gene:DRNTG_22349 transcript:DRNTG_22349.12 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYLQASHHYHTGGDLSEFMDHVVKQLSDTSPAAATLDSFTIEPLSPHVDHRPRKVLQRDNNIDVTTTTTTTTNNNNNNKRLDQNTEDKGLRMITLLLECAVAISVDNLTEANRMLLELTDMASPYSPSCAERVVAYFTKAMASRVMNSWLRTCCPIVPHKSILTAFQTFNTVSPYIKFAHFTANQAILEAFHQKDIVHIVDLDIMHGLQWPALFHILATRPEGPPLVRLTGFGSSMEALEETGRQLSSFAKRLGMSLEFHPVAKRSGDIDPWMVQARRGETMAVHWLQHSLYDATGPDLQTMRLIQLLSPRVITLVEQEMLSCGSFLDRFVGSLHYYSTLFDSLGASLACDDPGRQRVEHGLLGREINNVMAIGGPARSGEDKFVSWRMELAQRGFLPVPMSSNAMAQAQLILNMFPPSLGHMILQGDDGTLRLGWKETGLYTASAWTVPRLLLH >DRNTG_22349.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29869488:29872324:1 gene:DRNTG_22349 transcript:DRNTG_22349.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYLQASHHYHTGGDLSEFMDHVVKQLSDTSPAAATLDSFTIEPLSPHVDHRPRKVLQRDNNIDVTTTTTTTTNNNNNNKRLDQNTEDKGLRMITLLLECAVAISVDNLTEANRMLLELTDMASPYSPSCAERVVAYFTKAMASRVMNSWLRTCCPIVPHKSILTAFQTFNTVSPYIKFAHFTANQAILEAFHQKDIVHIVDLDIMHGLQWPALFHILATRPEGPPLVRLTGFGSSMEALEETGRQLSSFAKRLGMSLEFHPVAKRSGDIDPWMVQARRGETMAVHWLQHSLYDATGPDLQTMRLIQLLSPRVITLVEQEMLSCGSFLDRFVGSLHYYSTLFDSLGASLACDDPGRQRVEHGLLGREINNVMAIGGPARSGEDKFVSWRMELAQRGFLPVPMSSNAMAQAQLILNMFPPSLGHMILQGDDGTLRLGWKETGLYTASAWTVPRLLLH >DRNTG_22349.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29870935:29872192:1 gene:DRNTG_22349 transcript:DRNTG_22349.15 gene_biotype:protein_coding transcript_biotype:protein_coding MLLELTDMASPYSPSCAERVVAYFTKAMASRVMNSWLRTCCPIVPHKSILTAFQTFNTVSPYIKFAHFTANQAILEAFHQKDIVHIVDLDIMHGLQWPALFHILATRPEGPPLVRLTGFGSSMEALEETGRQLSSFAKRLGMSLEFHPVAKRSGDIDPWMVQARRGETMAVHWLQHSLYDATGPDLQTMRLIQLLSPRVITLVEQEMLSCGSFLDRFVGSLHYYSTLFDSLGASLACDDPGRQRVEHGLLGREINNVMAIGGPARSGEDKFVSWRMELAQRGFLPVPMSSNAMAQAQLILNMFPPSLGHMILQGDDGTLRLGWKETGLYTASAWTVPRLLLH >DRNTG_22349.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29869488:29872239:1 gene:DRNTG_22349 transcript:DRNTG_22349.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYLQASHHYHTGGDLSEFMDHVVKQLSDTSPAAATLDSFTIEPLSPHVDHRPRKVLQRDNNIDVTTTTTTTTNNNNNNKRLDQNTEDKGLRMITLLLECAVAISVDNLTEANRMLLELTDMASPYSPSCAERVVAYFTKAMASRVMNSWLRTCCPIVPHKSILTAFQTFNTVSPYIKFAHFTANQAILEAFHQKDIVHIVDLDIMHGLQWPALFHILATRPEGPPLVRLTGFGSSMEALEETGRQLSSFAKRLGMSLEFHPVAKRSGDIDPWMVQARRGETMAVHWLQHSLYDATGPDLQTMRLIQLLSPRVITLVEQEMLSCGSFLDRFVGSLHYYSTLFDSLGASLACDDPGRQRVEHGLLGREINNVMAIGGPARSGEDKFVSWRMELAQRGFLPVPMSSNAMAQAQLILNMFPPSLGHMILQGDDGTLRLGWKETGLYTASAWTVPRLLLH >DRNTG_22349.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29870476:29872324:1 gene:DRNTG_22349 transcript:DRNTG_22349.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYLQASHHYHTGGDLSEFMDHVVKQLSDTSPAAATLDSFTIEPLSPHVDHRPRKVLQRDNNIDVTTTTTTTTNNNNNNKRLDQNTEDKGLRMITLLLECAVAISVDNLTEANRMLLELTDMASPYSPSCAERVVAYFTKAMASRVMNSWLRTCCPIVPHKSILTAFQTFNTVSPYIKFAHFTANQAILEAFHQKDIVHIVDLDIMHGLQWPALFHILATRPEGPPLVRLTGFGSSMEALEETGRQLSSFAKRLGMSLEFHPVAKRSGDIDPWMVQARRGETMAVHWLQHSLYDATGPDLQTMRLIQLLSPRVITLVEQEMLSCGSFLDRFVGSLHYYSTLFDSLGASLACDDPGRQRVEHGLLGREINNVMAIGGPARSGEDKFVSWRMELAQRGFLPVPMSSNAMAQAQLILNMFPPSLGHMILQGDDGTLRLGWKETGLYTASAWTVPRLLLH >DRNTG_22349.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29869488:29872192:1 gene:DRNTG_22349 transcript:DRNTG_22349.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYLQASHHYHTGGDLSEFMDHVVKQLSDTSPAAATLDSFTIEPLSPHVDHRPRKVLQRDNNIDVTTTTTTTTNNNNNNKRLDQNTEDKGLRMITLLLECAVAISVDNLTEANRMLLELTDMASPYSPSCAERVVAYFTKAMASRVMNSWLRTCCPIVPHKSILTAFQTFNTVSPYIKFAHFTANQAILEAFHQKDIVHIVDLDIMHGLQWPALFHILATRPEGPPLVRLTGFGSSMEALEETGRQLSSFAKRLGMSLEFHPVAKRSGDIDPWMVQARRGETMAVHWLQHSLYDATGPDLQTMRLIQLLSPRVITLVEQEMLSCGSFLDRFVGSLHYYSTLFDSLGASLACDDPGRQRVEHGLLGREINNVMAIGGPARSGEDKFVSWRMELAQRGFLPVPMSSNAMAQAQLILNMFPPSLGHMILQGDDGTLRLGWKETGLYTASAWTVPRLLLH >DRNTG_22349.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29870935:29872324:1 gene:DRNTG_22349 transcript:DRNTG_22349.13 gene_biotype:protein_coding transcript_biotype:protein_coding MLLELTDMASPYSPSCAERVVAYFTKAMASRVMNSWLRTCCPIVPHKSILTAFQTFNTVSPYIKFAHFTANQAILEAFHQKDIVHIVDLDIMHGLQWPALFHILATRPEGPPLVRLTGFGSSMEALEETGRQLSSFAKRLGMSLEFHPVAKRSGDIDPWMVQARRGETMAVHWLQHSLYDATGPDLQTMRLIQLLSPRVITLVEQEMLSCGSFLDRFVGSLHYYSTLFDSLGASLACDDPGRQRVEHGLLGREINNVMAIGGPARSGEDKFVSWRMELAQRGFLPVPMSSNAMAQAQLILNMFPPSLGHMILQGDDGTLRLGWKETGLYTASAWTVPRLLLH >DRNTG_22349.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29870160:29872239:1 gene:DRNTG_22349 transcript:DRNTG_22349.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYLQASHHYHTGGDLSEFMDHVVKQLSDTSPAAATLDSFTIEPLSPHVDHRPRKVLQRDNNIDVTTTTTTTTNNNNNNKRLDQNTEDKGLRMITLLLECAVAISVDNLTEANRMLLELTDMASPYSPSCAERVVAYFTKAMASRVMNSWLRTCCPIVPHKSILTAFQTFNTVSPYIKFAHFTANQAILEAFHQKDIVHIVDLDIMHGLQWPALFHILATRPEGPPLVRLTGFGSSMEALEETGRQLSSFAKRLGMSLEFHPVAKRSGDIDPWMVQARRGETMAVHWLQHSLYDATGPDLQTMRLIQLLSPRVITLVEQEMLSCGSFLDRFVGSLHYYSTLFDSLGASLACDDPGRQRVEHGLLGREINNVMAIGGPARSGEDKFVSWRMELAQRGFLPVPMSSNAMAQAQLILNMFPPSLGHMILQGDDGTLRLGWKETGLYTASAWTVPRLLLH >DRNTG_22349.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29870476:29872239:1 gene:DRNTG_22349 transcript:DRNTG_22349.11 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYLQASHHYHTGGDLSEFMDHVVKQLSDTSPAAATLDSFTIEPLSPHVDHRPRKVLQRDNNIDVTTTTTTTTNNNNNNKRLDQNTEDKGLRMITLLLECAVAISVDNLTEANRMLLELTDMASPYSPSCAERVVAYFTKAMASRVMNSWLRTCCPIVPHKSILTAFQTFNTVSPYIKFAHFTANQAILEAFHQKDIVHIVDLDIMHGLQWPALFHILATRPEGPPLVRLTGFGSSMEALEETGRQLSSFAKRLGMSLEFHPVAKRSGDIDPWMVQARRGETMAVHWLQHSLYDATGPDLQTMRLIQLLSPRVITLVEQEMLSCGSFLDRFVGSLHYYSTLFDSLGASLACDDPGRQRVEHGLLGREINNVMAIGGPARSGEDKFVSWRMELAQRGFLPVPMSSNAMAQAQLILNMFPPSLGHMILQGDDGTLRLGWKETGLYTASAWTVPRLLLH >DRNTG_22349.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29870160:29872377:1 gene:DRNTG_22349 transcript:DRNTG_22349.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYLQASHHYHTGGDLSEFMDHVVKQLSDTSPAAATLDSFTIEPLSPHVDHRPRKVLQRDNNIDVTTTTTTTTNNNNNNKRLDQNTEDKGLRMITLLLECAVAISVDNLTEANRMLLELTDMASPYSPSCAERVVAYFTKAMASRVMNSWLRTCCPIVPHKSILTAFQTFNTVSPYIKFAHFTANQAILEAFHQKDIVHIVDLDIMHGLQWPALFHILATRPEGPPLVRLTGFGSSMEALEETGRQLSSFAKRLGMSLEFHPVAKRSGDIDPWMVQARRGETMAVHWLQHSLYDATGPDLQTMRLIQLLSPRVITLVEQEMLSCGSFLDRFVGSLHYYSTLFDSLGASLACDDPGRQRVEHGLLGREINNVMAIGGPARSGEDKFVSWRMELAQRGFLPVPMSSNAMAQAQLILNMFPPSLGHMILQGDDGTLRLGWKETGLYTASAWTVPRLLLH >DRNTG_22349.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29870160:29872324:1 gene:DRNTG_22349 transcript:DRNTG_22349.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYLQASHHYHTGGDLSEFMDHVVKQLSDTSPAAATLDSFTIEPLSPHVDHRPRKVLQRDNNIDVTTTTTTTTNNNNNNKRLDQNTEDKGLRMITLLLECAVAISVDNLTEANRMLLELTDMASPYSPSCAERVVAYFTKAMASRVMNSWLRTCCPIVPHKSILTAFQTFNTVSPYIKFAHFTANQAILEAFHQKDIVHIVDLDIMHGLQWPALFHILATRPEGPPLVRLTGFGSSMEALEETGRQLSSFAKRLGMSLEFHPVAKRSGDIDPWMVQARRGETMAVHWLQHSLYDATGPDLQTMRLIQLLSPRVITLVEQEMLSCGSFLDRFVGSLHYYSTLFDSLGASLACDDPGRQRVEHGLLGREINNVMAIGGPARSGEDKFVSWRMELAQRGFLPVPMSSNAMAQAQLILNMFPPSLGHMILQGDDGTLRLGWKETGLYTASAWTVPRLLLH >DRNTG_18949.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11724897:11727623:1 gene:DRNTG_18949 transcript:DRNTG_18949.1 gene_biotype:protein_coding transcript_biotype:protein_coding ADLDLDDDKSNTPPSTQPTPTHLQGFSPP >DRNTG_25148.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1880125:1881948:1 gene:DRNTG_25148 transcript:DRNTG_25148.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g05340 [Source:Projected from Arabidopsis thaliana (AT3G05340) UniProtKB/Swiss-Prot;Acc:Q9MA85] MKYGFLSFNFSKISEILSRCGREKHLLFGSSIHGYILKSISNGDHVVVWNSLISMYSKCGQLSNARKVFDEMPLRDTVTWNSMTSAFLAVNELEKGFWYFKALLGCGSCSLDHASMTTMLSVCSGPEFFCTGTMLHSLMVFHGFEQVVSVRNALITAYFNCEKQGSARKVFDEMFEKNVITWTAMVSGLARCAMFKESLVLFQEMRRSCLANSLTYSSSLFACSGMRAVREGQQIHGLVLKSGIVADLCVDSALMDMYSKCGFMDDAYRVFKACEEPDDVFLTVILVGFAQNGLEERAFELFVKIVGKGIKVDANMVSAVLGAFGAYAPFALGKQIHTLVVKKCLEFNVFVCNGLINMYSKCGDLRESLKVFELMTHRNLVTWNSLIAAFARHGHGVEALQLYESMKSEYIEPTDVTFLSLLHACSHVGAIEKGMEFINSMSMVYKIEPRMEHYACVVDMLGRAGLVHEAKRYIEKLSVEPNAVLWQALLGACSIHSNAEVGEYAADRLLLLEPDSAAAYVLLANIYSSRRQWKKRAETILKMKEMNVKKDVGVSWVEIEKNVHCFVVEDKVHHQAEYIYEVLNALAAVIRDQEHVPDKLIFNNLIV >DRNTG_05394.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000243.1:7639:7933:-1 gene:DRNTG_05394 transcript:DRNTG_05394.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLKSSSESMGQIVLFASGVTNFTFTVPMSSPHL >DRNTG_20906.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25260957:25263983:1 gene:DRNTG_20906 transcript:DRNTG_20906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMYAFISGFINELNHIKKQDKSGCGWGGLVCQHSEIRVLLQRLEALESFLCHAERRLTNAGGRDASMVQWLSDVHKTVYDADDIINECTMEVEKKKRPSSSSSSSSQHPRSQQQQLRSGNRSITKLLHRGDMAVRIKVINEKLRKALHDATTCHKLGMQQQCMDVAAKQHSGTWRSTFNHLEVVGQRMKKDTDEMVKLLCTPKQGSDQYQVFAITGIGGIGKTTLARRIFDEERVHAEFHVKVWLSVCGDVSDVQLLKEIINGAGGSFLGDGARSDMEKMLVAVIRGKRLFLVLDGLWTVDLWCNLLQDPLKSCGDGSRVLITTRVDQVARSVGAVHLHQVALLSKAESWVLVSKMLAFQEVEENHDSMEIAMKATAKCRGHPLALKLIGGVLGAHAPSDWGEVTESLDDFSVHSEELDFMWAYEALPCHLKPCFLFCALFPENRVITRRDLTQLWIAEGFIKQEENKTVEDLAEEYYSEMISRNLVQPEVEAYEEEVRCTMHQLVRELALQLAQADGFYANHTCSTASTIIRLHLSFGGKTEPPESTTLHDQLRTLAVFESPSMTSDLLNKFIDQIQLLRVLDLRQTEIDEVPDSISALKHLRYLNLSGTNVAKLPNSIKDLYNLRYLCLNSCKKLDSIPTGTTQLYNLRSLDVLHTKLAQLPSGIKNMKNLNSLLGFFVSDVSGSSSLDELKTLSELRELHIGNLNMAKTRGDEILLKKKFLQLLELSWNHEPKQMNINIIKEIQPSTCLQRLTITGFPDKEYPSWLSSPDFRNLAHLVLEHCRFCQQLPSSLGDLPALEYLRITSSKVVVTIKKDFFGKKCFPRLERLVMEKMSKWEKWEEAPDTSFPCLKSLHLKNCNMLISLPRFLQHVTSLTELVIQGADRLREVENFSSVLNLRIEDSTNIEKVSNFSSLKSLKIVKCPALEVVKKMPSLEHLHLVDLAMESLPVWFGRDLNFSLRSLYISANDELLSKLKMGGEESFKVEKIRRFSASTANKSYVISNN >DRNTG_14310.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17032731:17034100:1 gene:DRNTG_14310 transcript:DRNTG_14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGFDKESLSFLSKVISSSGLGEETHFPPSLYYLPPRTDHKNCIQEAHMLFFPILHDLFSKTRISPQDIDILILNCSGFCSTPSLSSIIVNHFAMRDDVKTFNLSGMGCSASVISIDIARTLLQLNHGSYAVIVSTEIISTGWYSGKDQRKLLLNCFFRSGSAAALLTNKKGEKNLKYKLLHLVRTQSSYDDNSYFSAMRKEDSEGITGFSIESNFLHAFKELLRSHVMVLGKIILPFRERVKYVIMVVVLQKSSKEHRSPVPEFRTAVKHFCLPASGIPVIKEMGKGLGLGEREVEAALMTFRRFRKPVIVVDVVSIGLFGRKTEN >DRNTG_24535.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001306.1:13055:18073:-1 gene:DRNTG_24535 transcript:DRNTG_24535.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASILSRARQPSLLRSLLPEILSRKCPMTPGALGFLIRCVGSQGLVDEAEFLFDHAEELSCVPNLYTYNCLIEVLAKVGRVRMVELRFREMKNPDKYSFTAMLRAYGNAGMLEDVWKVFKELHGRGWVDEHVFTIVVVAFCRWGKVDKVFELVEAMEGLGMRLNEKTFRVLVHGFAKQGSVEKAVEMFKKMKPAGFDGDLRLYCVLIEGFCVGKAVENGLALYREMKNSGISPDNRLMKMMISSLCGAGDMVNANSLLEEEGDKASLASLVSLYNAILDGMIRFGDVERAHLLLRSMMANQNSLVAQKDGDECESREFEGVVSESLFRIKKSVLPNDESFSIVICGLCEGDKLDKALVLFDDMISNARKGNLLMHNNLINKLCSVGRLEESYNMLNKMRELGFVPTEFTNNSIFYCLCKRGDSSTAIDLLKEMRCQGHVPWIKYCTLIVQQLCKQGKVDEACSFLEEMVQIGFLPDMIAYSAAIDGMCRIGEMDKALKVFRDVSSSCYLPDVVAHNIVINGFCKAGRLADAEAILNEMIDKGIVPSAVTYNLMIDGWCKAGRMDKALACLSKMNDESEPPSVVTYTSLIDGFCSVGRTEDALQLWNEMQEKGCLLNKIAYSALVYGLYKCGRADVALSYFHEMKERKFEPDASLCILMVNSLLLKGNLVSATEFLKQVRPMVESITTDSKDYRLMRKAVYELSTDVIISLDKKTPLIQSVSGIKEDNYK >DRNTG_24535.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001306.1:12553:18073:-1 gene:DRNTG_24535 transcript:DRNTG_24535.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILSRARQPSLLRSLLPEILSRKCPMTPGALGFLIRCVGSQGLVDEAEFLFDHAEELSCVPNLYTYNCLIEVLAKVGRVRMVELRFREMKNPDKYSFTAMLRAYGNAGMLEDVWKVFKELHGRGWVDEHVFTIVVVAFCRWGKVDKVFELVEAMEGLGMRLNEKTFRVLVHGFAKQGSVEKAVEMFKKMKPAGFDGDLRLYCVLIEGFCVGKAVENGLALYREMKNSGISPDNRLMKMMISSLCGAGDMVNANSLLEEEGDKASLASLVSLYNAILDGMIRFGDVERAHLLLRSMMANQNSLVAQKDGDECESREFEGVVSESLFRIKKSVLPNDESFSIVICGLCEGDKLDKALVLFDDMISNARKGNLLMHNNLINKLCSVGRLEESYNMLNKMRELGFVPTEFTNNSIFYCLCKRGDSSTAIDLLKEMRCQGHVPWIKYCTLIVQQLCKQGKVDEACSFLEEMVQIGFLPDMIAYSAAIDGMCRIGEMDKALKVFRDVSSSCYLPDVVAHNIVINGFCKAGRLADAEAILNEMIDKGIVPSAVTYNLMIDGWCKAGRMDKALACLSKMNDESEPPSVVTYTSLIDGFCSVGRTEDALQLWNEMQEKGCLLNKIAYSALVYGLYKCGRADVALSYFHEMKERKFEPDASLCILMVNSLLLKGNLVSATEFLKQVRPMVESITTDSKDYRLMRKAVYELSTDVIISLDKKTPLIQSVSGIKEDNYK >DRNTG_24535.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001306.1:12553:18073:-1 gene:DRNTG_24535 transcript:DRNTG_24535.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASILSRARQPSLLRSLLPEILSRKCPMTPGALGFLIRCVGSQGLVDEAEFLFDHAEELSCVPNLYTYNCLIEVLAKVGRVRMVELRFREMKNPDKYSFTAMLRAYGNAGMLEDVWKVFKELHGRGWVDEHVFTIVVVAFCRWGKVDKVFELVEAMEGLGMRLNEKTFRVLVHGFAKQGSVEKAVEMFKKMKPAGFDGDLRLYCVLIEGFCVGKAVENGLALYREMKNSGISPDNRLMKMMISSLCGAGDMVNANSLLEEEGDKASLASLVSLYNAILDGMIRFGDVERAHLLLRSMMANQNSLVAQKDGDECESREFEGVVSESLFRIKKSVLPNDESFSIVICGLCEGDKLDKALVLFDDMISNARKGNLLMHNNLINKLCSVGRLEESYNMLNKMRELGFVPTEFTNNSIFYCLCKRGDSSTAIDLLKEMRCQGHVPWIKYCTLIVQQLCKQGKVDEACSFLEEMVQIGFLPDMIAYSAAIDGMCRIGEMDKALKVFRDVSSSCYLPDVVAHNIVINGFCKAGRLADAEAILNEMIDKGIVPSAVTYNLMIDGWCKAGRMDKALACLSKMNDESEPPSVVTYTSLIDGFCSVGRTEDALQLWNEMQEKGCLLNKIAYSALVYGLYKCGRADVALSYFHEMKERKFEPDASLCILMVNSLLLKGNLVSATEFLKQVRPMVESITTDSKDYRLMRKAVYELSTDVIISLDKKTPLIQSVSGIKEDNYK >DRNTG_30374.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:96425:98689:1 gene:DRNTG_30374 transcript:DRNTG_30374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSKNTGHRRLLQSETSSGFPEWVRAGDRRLLQTATPKADAIVAQDGSGNFKTVMEAVEAAPTESEKRYVIYVKKGLYQENVEIKKKKWNLMMFGDGMGQTVISGNRNFVDGWTTFRTATFAVSGKGFIGRDITFENTAGAQKHQAVAFRSDSDLSVCYRCSMEGYQDTLYAHSLRQFYRECHVSGTVDFIFGNAAAVFQKCQIEARSGLPNQKNSVTAQGRKDPNQNTGFTLQFCNVSAGANLTIQTPTYLGRPWKQYSRTVIMQTYLGSIIRPEGWLPWQGDFALDTLYYAEYMNSGPGAGLANRVKWTGYHALSDPNQVVNFTVGQFIEGNMWLPSTGVTFTAGLME >DRNTG_24288.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21172257:21173741:1 gene:DRNTG_24288 transcript:DRNTG_24288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRRLDWKGRWLQSSVDHITAHLGVCLSSEPSSPARSLSKESNPNDRVEDLTSLVCFHFYLKVCVVT >DRNTG_12891.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000581.1:999:28223:-1 gene:DRNTG_12891 transcript:DRNTG_12891.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVLFSSSSFLSTLPCKPHHSIPLKKRPKRVSFVGCSSSSSEGSGESQNQSGGADDKPSFNPFGFVTDNPSSRSAIQLSESPAEAGNVGQMLYRIEDKGKDYGTYVKAGKFRWFVRETGTSNSRNGTIVFIHGAPTQSYSYRVVMSQMADAGYHCFAPDWIGFGFSDKPQPGYGFSYTEEEFHVEFDRLLSVLGVNSPFFLVTQGFLVGSYGLTWAVKNSDKVLKVVILNTPLSVSSQLPGLFQKLRIPLYGEFTCQNAVMAERFIEAGSPYVLKLDKADVYRLPYLESSGPGFAILEAARKANFKDILTRIETGFSSVSWEKPLLVAWGISDKYLPKAEAEEFQKRNPGVVKLNIIEGAGHMPQEDWPEKVVEALRVFL >DRNTG_18075.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:247122:247735:1 gene:DRNTG_18075 transcript:DRNTG_18075.7 gene_biotype:protein_coding transcript_biotype:protein_coding ACSRGTAVDFSGEPAAGKGWPASIAPAETRRSESPWRIRTGSLGTDEIDGYR >DRNTG_18075.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:243723:247520:1 gene:DRNTG_18075 transcript:DRNTG_18075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLCLNIMHVVFCEWFQPFDVLSGGVSALMAESMASLGAYVSSGFKRVAGVQLATNHLRAVLLADVVEAEARQYQL >DRNTG_18075.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:247122:247520:1 gene:DRNTG_18075 transcript:DRNTG_18075.9 gene_biotype:protein_coding transcript_biotype:protein_coding GRRITAVRTNRTERSPSSGPGRSSGALTGGDTEEWERRPR >DRNTG_18075.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:246951:247735:1 gene:DRNTG_18075 transcript:DRNTG_18075.4 gene_biotype:protein_coding transcript_biotype:protein_coding ACSRGTAVDFSGEPAAGKGWPASIAPAETRRSESPWRIRTGSLGTDEIDGYR >DRNTG_18075.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:246951:247678:1 gene:DRNTG_18075 transcript:DRNTG_18075.5 gene_biotype:protein_coding transcript_biotype:protein_coding ACSRGTAVDFSGEPAAGKGWPASIAPAETRRSESPWRIRTGSLGTDEIDGYR >DRNTG_18075.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:247122:247809:1 gene:DRNTG_18075 transcript:DRNTG_18075.6 gene_biotype:protein_coding transcript_biotype:protein_coding ACSRGTAVDFSGEPAAGKGWPASIAPAETRRSESPWRIRTGSLGTDEIDGYR >DRNTG_18075.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:247122:247678:1 gene:DRNTG_18075 transcript:DRNTG_18075.8 gene_biotype:protein_coding transcript_biotype:protein_coding ACSRGTAVDFSGEPAAGKGWPASIAPAETRRSESPWRIRTGSLGTDEIDGYR >DRNTG_18075.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:243723:247520:1 gene:DRNTG_18075 transcript:DRNTG_18075.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLCLNIMHVVFCEWFQPFDVLSGGVSALMAESMASLGAYVSSGFKRVAGVQLATNHLRAVLLADVVEAEARLLPPRELRLSVLSFFLCCLPDNMFLRLLNLEVKSLISIPDSLREDKVPGTSSDTTLDNFSLDTFNLLKAFFAGKEMMVNVKNDPTTAVRTNRTERSPSSGPGRSSGALTGGDTEEWERRPR >DRNTG_21951.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2065517:2066710:1 gene:DRNTG_21951 transcript:DRNTG_21951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEYGKTYLLRLINAAINNELFFGIAGHKLRVVGTDGGYLKPFDTDYVMITPGQTMDLLLFPNQPFNSSSKTQYYIATKPYASAFGVRYDTSSATAILQYKNFKPNKLSPSKSPSFPTLPDDNDTNSATDFTTKLKSLASQEHPAVVPKEIDERIIITVSMNLLPCNNTTPCLGPQSGRLAASLNNISFQEPGIDVLDAYYNQIPNVYGEEFPQEPPFYYNFTEDNLPRVLLLPKLATEVRVVEYNKSVEVVFQGTSLLASENHPLHLHGFRFYVVGRGFGNFDKDKDPQSYNLVDPPLENTVGVPKNGWAAVRFRASNPGVWFMHCHLDRHASWGMDTVFIVKNGEKPEEQMLPPPKNMPKC >DRNTG_11520.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3774121:3774939:-1 gene:DRNTG_11520 transcript:DRNTG_11520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHRYTIQGHWLQTSTATGRLSMEEPNLQCVEHVVFFRSYQSDEHEKIPPEINCDHINARDFFIPTQDNWLLLTADYSQIELRLMAHFSQDSSLIDVLSKPDGDVFIMIASRWTSKQECMVSSQERDQTKRLVYGILYGMGANTLAEQLECSSEEAAEKIKSFKVSFPGVSSWLQEVVASCRHKG >DRNTG_11520.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3771075:3774668:-1 gene:DRNTG_11520 transcript:DRNTG_11520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFSQDSSLIDVLSKPDGDVFIMIASRWTSKQECMVSSQERDQTKRLVYGILYGMGANTLAEQLECSSEEAAEKIKSFKVSFPGVSSWLQEVVASCRHKGYVETLKGRKRFLSKIKTGNNKERAKAQRQAVNSLCQ >DRNTG_11520.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3769642:3774668:-1 gene:DRNTG_11520 transcript:DRNTG_11520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFSQDSSLIDVLSKPDGDVFIMIASRWTSKQECMVSSQERDQTKRLVYGILYGMGANTLAEQLECSSEEAAEKIKSFKVSFPGVSSWLQEVVASCRHKGYVETLKGRKRFLSKIKTGNNKERAKAQRQAVNSLCQGSAADIIKIAMINIHSVISEGYEARNLINGASTNFPMLKGNCRIILQVHDELVLEVDPKFIKEAGNLLQMSME >DRNTG_11520.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3776460:3776663:-1 gene:DRNTG_11520 transcript:DRNTG_11520.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAAAAANRDSRWKNQMHKAAHNGCCRRVAQTRALDSVLWKLLASENLVEALTNIETPLV >DRNTG_11520.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3774121:3774668:-1 gene:DRNTG_11520 transcript:DRNTG_11520.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFSQDSSLIDVLSKPDGDVFIMIASRWTSKQECMVSSQERDQTKRLVYGILYGMGANTLAEQLECSSEEAAEKIKSFKVSFPGVSSWLQEVVASCRHKG >DRNTG_11520.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3769642:3776663:-1 gene:DRNTG_11520 transcript:DRNTG_11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAAAAANRDSRWKNQMHKAAHNGCCRRVAQTRALDSVLWKLLASENLVEALTNIETPLVKVLADMELWGIGIDMEACLHARHILVRKLKELEAEAYSLAGIRFSLSTAADIANVLYRHLKLPLPEGCNIGKQHPSTDKRSLDLLRNQHPIISVIKEHRTLAKLLNSTLGSICSRARLCMKSHRYTIQGHWLQTSTATGRLSMEEPNLQCVEHVVFFRSYQSDEHEKIPPEINCDHINARDFFIPTQDNWLLLTADYSQIELRLMAHFSQDSSLIDVLSKPDGDVFIMIASRWTSKQECMVSSQERDQTKRLVYGILYGMGANTLAEQLECSSEEAAEKIKSFKVSFPGVSSWLQEVVASCRHKGYVETLKGRKRFLSKIKTGNNKERAKAQRQAVNSLCQGSAADIIKIAMINIHSVISEGYEARNLINGASTNFPMLKGNCRIILQVHDELVLEVDPKFIKEAGNLLQMSME >DRNTG_33282.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23855859:23858261:-1 gene:DRNTG_33282 transcript:DRNTG_33282.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASTYLDFGEEKDLLHHHHHHHQQQQGQSPKEMKRTWSTNSSSSCDHGAPKCVCAPATHAGSFKCRLHRTSSNNNRSATSGASLPPPPAVSTTSTRTVEAV >DRNTG_33282.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23855722:23858261:-1 gene:DRNTG_33282 transcript:DRNTG_33282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTYLDFGEEKDLLHHHHHHHQQQQGQSPKEMKRTWSTNSSSSCDHGAPKCVCAPATHAGSFKCRLHRTSSNNNRSATSGASLPPPPAVSTTSTRTVEAV >DRNTG_33282.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23855859:23858261:-1 gene:DRNTG_33282 transcript:DRNTG_33282.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASTYLDFGEEKDLLHHHHHHHQQQQGQSPKEMKRTWSTNSSSSCDHGAPKCVCAPATHAGSFKCRLHRTSSNNNRSATSGASLPPPPAVSTTSTRTVEAV >DRNTG_33282.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23855859:23858261:-1 gene:DRNTG_33282 transcript:DRNTG_33282.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTYLDFGEEKDLLHHHHHHHQQQQGQSPKEMKRTWSTNSSSSCDHGAPKCVCAPATHAGSFKCRLHRTSSNNNRSATSGASLPPPPAVSTTSTRTVEAV >DRNTG_33282.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23855859:23858261:-1 gene:DRNTG_33282 transcript:DRNTG_33282.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASTYLDFGEEKDLLHHHHHHHQQQQGQSPKEMKRTWSTNSSSSCDHGAPKCVCAPATHAGSFKCRLHRTSSNNNRSATSGASLPPPPAVSTTSTRTVEAV >DRNTG_33282.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23855859:23858261:-1 gene:DRNTG_33282 transcript:DRNTG_33282.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASTYLDFGEEKDLLHHHHHHHQQQQGQSPKEMKRTWSTNSSSSCDHGAPKCVCAPATHAGSFKCRLHRTSSNNNRSATSGASLPPPPAVSTTSTRTVEAV >DRNTG_33282.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23855859:23858261:-1 gene:DRNTG_33282 transcript:DRNTG_33282.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTYLDFGEEKDLLHHHHHHHQQQQGQSPKEMKRTWSTNSSSSCDHGAPKCVCAPATHAGSFKCRLHRTSSNNNRSATSGASLPPPPAVSTTSTRTVEAV >DRNTG_25147.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1874787:1875947:-1 gene:DRNTG_25147 transcript:DRNTG_25147.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPLFFYRVNGIQVLMEHLVVEMGLLTQYDELPTGEDEDEDVAVRMAVEKIKRKAGELVDSMEGMEKEVDHCCEDLNRVALTLIQTVIKQV >DRNTG_25147.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1874787:1875904:-1 gene:DRNTG_25147 transcript:DRNTG_25147.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPLFFYRVNGIQVLMEHLVVEMGLLTQYDELPTGEDEDEDVAVRMAVEKIKRKAGELVDSMEGMEKEVDHCCEDLNRVALTLIQTVIKQV >DRNTG_09997.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21627569:21627766:-1 gene:DRNTG_09997 transcript:DRNTG_09997.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMQQPLGSPPTGSCRGYSEDQVLLKLPELQIHRLHW >DRNTG_07691.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23169288:23170982:-1 gene:DRNTG_07691 transcript:DRNTG_07691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHKPCIPYLAMILARILFAGTTLFTKTAVAGGMNPFVFVFYRQIFASLVLIPVIFFFERNKSLPPLPLFRIFIISLCGITLCSFLYTFSLRYTSATFAAASANAVPAITLLLALILRIEKLKKKHKFSSATKLLGTLLCLVGAFLFAIYKGPAVQFINHHEIQKTATSHAEKVISRADWVKGSLLMLLAHTCWSIWLILQGPLVRRYPAELRLTFLQCICSIIQTGLITVIFERKPSAWKLGWNIGLLASVYSGAATAVCSWLMTWCVDCKGAVFTAVFSPLTFLATVVYSIVFWNEILHWGSVGGAVMLVLGLYCVLWGKHKESSSSSPKQAQEMGDNMGH >DRNTG_31099.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21271809:21272150:1 gene:DRNTG_31099 transcript:DRNTG_31099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPSVSKSLLLLFNLIFFTFLSSTTATNCPIDALKLGVCVDLLQGLLSLRIPSKEGCCPLINGLADLDAAACLCTTIKVNLLNVINLTLPIDLSLLLNYCSGNAASGFKCS >DRNTG_34654.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002170.1:5217:6100:-1 gene:DRNTG_34654 transcript:DRNTG_34654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSKGKKPSRSLPSERSSPSASRTPSTPARDPKTEVAGDDEQLLPCILSCAAAKFPNLISDSAFCARVSETETCLSKGGNYARIWLSEATMVSSSLSPGSFVSVSLATSDKTSLEDAPLETLAEECARHFGVETGDKASDGTGN >DRNTG_17232.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2625590:2629337:1 gene:DRNTG_17232 transcript:DRNTG_17232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGGIGGGYGQSCGESSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGHEEDDEIECENMQWNASDMASDDTQSHKPQRSKSRTHKVSTHKSLSRSHSCDSQSKGSISSSSGTMKVDLSKLETNALWRYWHHFNLMGASPNPSKEQLIDVVQRHFMSQQLDEMQVIVGFVQATKRLKTVCN >DRNTG_16353.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7765255:7776369:-1 gene:DRNTG_16353 transcript:DRNTG_16353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLVDNNCLHPPTKTRSSTSSVNSFPRSKAFAHGREIEKQRIHTGMWKLSTPVWKFCTDAMSCPRAWSCPIQPYLKPIQSPILVFFFSIFSPTCERA >DRNTG_34822.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16786887:16788818:1 gene:DRNTG_34822 transcript:DRNTG_34822.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVGTKAILAKPIQLADQVAKWADEAHTFRQECLELKSKTERLAGLLRQAARAELYERPARRIMDDTEQALDKALSLVAKCRTHGLVKRVFTIIPTTAFKKTVVSLDNSIGDLSWLLRVSSSSSNDDGDDDDVHLGLPPIAQNEPILFFIWMEIAKLHTGNLDTRSDAAATLVSLARDNDRNGKLIIEEDGVGPLLRLLKEGRVEGQDNAAHALGLLGRDPESVEQMVIAGACSVFTKILKEGPMRVQARVAWAVAELAEHHPKCQDVFAQNNVVRLLVGHLAFETVQEHSKYLIPSKVTSIHSAVLASNSNQSNELVNVVSSVDASEQSQVKHPLGKNGKKNQMHAVIQSTIAARSGNNKTQSNGLIVRHQHQGSLSGANLKGREFEDPDTKAWMKAMAARALWHLAKCNAAICKNITESKALLCFAVLLEKGTEEVKCNSAMALMEISRMAEQDAELRRSAFKPTSTTARAVVDQLLRVVEKAEFDELLVPCIISLGCLSRTFRATETRIIGPLVKLLDEREEVVLKEAAIALTKFACTENYLHLDHSKAIIDAGGAKHIVQLVYFGDQTVQIPALILLCYIAHHVPESELLAQAEVLPVLEWASKQSFMVQDPIVDSLLPDAKARLELFQSRGGFRGYH >DRNTG_10385.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22965097:22967977:-1 gene:DRNTG_10385 transcript:DRNTG_10385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGICARRVVVDARQHMLGRLASILAKELLNGQRIVVVRCEEICMSGGLVRQKMKFLRFLRKRMNTKPSHGPIHYRSPAKILWRTIRGMIPHKTKRGAAALARLKAYEGVPPPYDKMKRMVIPDALKVLRLQPGHKYCLLGRLSKEVGWNHYDTIKELEEKRKQRAQVTYERRKQLAKLRLKAEKAAEEKLGAQLDILAPIKY >DRNTG_05863.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31465772:31468382:1 gene:DRNTG_05863 transcript:DRNTG_05863.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPETSREPCPDRILDDVGGAFGMGAVGGSAFHFIKGLYNSPNGVRLAGGVQAVRMNAPRVGGSFAVWGGLFSAFDCSMVYVRQKEDPWNSIIAGAATGGFLQMRQGLGPASRSALFGGVLLALIEGAGIMLNRVLSVPQNLPPMEDPASVGFPGAYVPQGMPSPPTVDEPSTASSSSWFGGFFGGGKKKDEVKDGSGSGKTEILESFDSPSAPIPNFEFK >DRNTG_21834.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6027809:6030960:-1 gene:DRNTG_21834 transcript:DRNTG_21834.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSQQSQIETLADDRQHLETYVHAQHDSWVSRSCILESQISQMKEEEAKRRLMESAWLDLAVGMKQREALCYKNLLELSETDLEDFRECVEVLSAHISELKEKLEGQKDKEVDGEEMKDGSKRNVESAQEGEKSKRTLKLEIKKLKQAHKILSSKKEAEITALLSEKDFVWNQLKKMESDYIAIVKTKKIEVDQANEAVQKLQTNIENLQMSNSEKDKAIARVETERSNLELDVRRCNEEVQQAKEKAEALQLEVNKLHAATKEKDKLINKLRKDVAKLEMNAKQCTIDKNRLSMDLESQRSSRNASVTPVGSHLRIPSGKRKWCSANSRACQMNRDTYDKSGPRTAVAPSRLRRCSKRVEIKNISKPESPALFSSNFKIPKLKVSAAAVVS >DRNTG_21834.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6027809:6030664:-1 gene:DRNTG_21834 transcript:DRNTG_21834.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEAKRRLMESAWLDLAVGMKQREALCYKNLLELSETDLEDFRECVEVLSAHISELKEKLEGQKDKEVDGEEMKDGSKRNVESAQEGEKSKRTLKLEIKKLKQAHKILSSKKEAEITALLSEKDFVWNQLKKMESDYIAIVKTKKIEVDQANEAVQKLQTNIENLQMSNSEKDKAIARVETERSNLELDVRRCNEEVQQAKEKAEALQLEVNKLHAATKEKDKLINKLRKDVAKLEMNAKQCTIDKNRLSMDLESQRSSRNASVTPVGSHLRIPSGKRKWCSANSRACQMNRDTYDKSGPRTAVAPSRLRRCSKRVEIKNISKPESPALFSSNFKIPKLKVSAAAVVS >DRNTG_21834.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6027647:6031044:-1 gene:DRNTG_21834 transcript:DRNTG_21834.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGSKRNVESAQEGEKSKRTLKLEIKKLKQAHKILSSKKEAEITALLSEKDFVWNQLKKMESDYIAIVKTKKIEVDQANEAVQKLQTNIENLQMSNSEKDKAIARVETERSNLELDVRRCNEEVQQAKEKAEALQLEVNKLHAATKEKDKLINKLRKDVAKLEMNAKQCTIDKNRLSMDLESQRSSRNASVTPVGSHLRIPSGKRKWCSANSRACQMNRDTYDKSGPRTAVAPSRLRRCSKRVEIKNISKPESPALFSSNFKIPKLKVSAAAVVS >DRNTG_21834.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6027809:6030210:-1 gene:DRNTG_21834 transcript:DRNTG_21834.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGSKRNVESAQEGEKSKRTLKLEIKKLKQAHKILSSKKEAEITALLSEKDFVWNQLKKMESDYIAIVKTKKIEVDQANEAVQKLQTNIENLQMSNSEKDKAIARVETERSNLELDVRRCNEEVQQAKEKAEALQLEVNKLHAATKEKDKLINKLRKDVAKLEMNAKQCTIDKNRLSMDLESQRSSRNASVTPVGSHLRIPSGKRKWCSANSRACQMNRDTYDKSGPRTAVAPSRLRRCSKRVEIKNISKPESPALFSSNFKIPKLKVSAAAVVS >DRNTG_21834.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6027809:6030960:-1 gene:DRNTG_21834 transcript:DRNTG_21834.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSQQSQIETLADDRQHLETYVHAQHDSWVSRSCILESQISQMKEEEAKRRLMESAWLDLAVGMKQREALCYKNLLELSETDLEDFRECVEVLSAHISELKQEKLEGQKDKEVDGEEMKDGSKRNVESAQEGEKSKRTLKLEIKKLKQAHKILSSKKEAEITALLSEKDFVWNQLKKMESDYIAIVKTKKIEVDQANEAVQKLQTNIENLQMSNSEKDKAIARVETERSNLELDVRRCNEEVQQAKEKAEALQLEVNKLHAATKEKDKLINKLRKDVAKLEMNAKQCTIDKNRLSMDLESQRSSRNASVTPVGSHLRIPSGKRKWCSANSRACQMNRDTYDKSGPRTAVAPSRLRRCSKRVEIKNISKPESPALFSSNFKIPKLKVSAAAVVS >DRNTG_21834.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6029044:6030210:-1 gene:DRNTG_21834 transcript:DRNTG_21834.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGSKRNVESAQEGEKSKRTLKLEIKKLKQAHKILSSKKEAEITALLSEKDFVWNQLKKMESDYIAIVKTKKIEVDQANEAVQKLQTNIENLQMSNSEKDKAIARVETERSNLELDVRRCNEEVQQAKEKAEALQLEVNKLHAATKEKDKLINKLRKDVAKLEMNAKQCTIDKNRLSMDLESQRSSRNASVTPVGSHLRIPSGKRKWCSANSRACQMNRDTYDKSGPRTAVAPSVSY >DRNTG_25874.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9056737:9059796:-1 gene:DRNTG_25874 transcript:DRNTG_25874.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSTECPRIIVNPQPSPIGIRRVQVPDEALASVTLDHSIIEDIDPQAWAVSVAPRRPALVPSDSNSSPELHIAERFRLAFLERDARLARKRAKSARQHKRRAWREWLMSSDDAKSV >DRNTG_00295.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2035306:2037150:-1 gene:DRNTG_00295 transcript:DRNTG_00295.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSYVYHHFQLNLPFSFAKEYLPKVNTKMTLWGPNGRSWTVAYLFYRRRAGIRSGWSRFSYDNNLETGDVCVFELIKPDEMRVRIFRVLDEITPLVRFNPRSP >DRNTG_00295.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2035306:2038419:-1 gene:DRNTG_00295 transcript:DRNTG_00295.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDKMSIVRGWEEFVDDHSIMIGDFMVFRYEDLNLYVQIFDQTACLKEEAFTAKPRNARTKRVSPKENLAEKGYRVRKTFVTDDSECSLPNILQKRGRMIKKLNIELSKTPHIMVDTNDSPPENAQVNPLEDVQVKVDYISSESLQDKVADVLSENAHVDYSSSDNLQDKVADIPSENAQVQVDSISSENLQDKIVDGPSKNVKVKVVRKRARKKKAQENDSSYNPNITSNTEKSDGEYKPPAKVPKKITGKKRKAKKQPENVRCTEPVAQHENAATGESYTAPLKSQRRPVTKQELTRVLEKAEAYQPLNPFTVVIMRDSYVYHHFQLNLPFSFAKEYLPKVNTKMTLWGPNGRSWTVAYLFYRRRAGIRSGWSRFSYDNNLETGDVCVFELIKPDEMRVRIFRVLDEITPLVRFNPRSP >DRNTG_00295.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2036935:2037150:-1 gene:DRNTG_00295 transcript:DRNTG_00295.6 gene_biotype:protein_coding transcript_biotype:protein_coding AATGESYTAPLKSQRRPVTKQELTRVLEKAEAYQPLNPFTVVIMRDSYVYHHFQLVMPH >DRNTG_00295.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2035306:2035829:-1 gene:DRNTG_00295 transcript:DRNTG_00295.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWGPNGRSWTVAYLFYRRRAGIRSGWSRFSYDNNLETGDVCVFELIKPDEMRVRIFRVLDEITPLVRFNPRSP >DRNTG_00295.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2035306:2037904:-1 gene:DRNTG_00295 transcript:DRNTG_00295.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKLNIELSKTPHIMVDTNDSPPENAQVNPLEDVQVKVDYISSESLQDKVADVLSENAHVDYSSSDNLQDKVADIPSENAQVQVDSISSENLQDKIVDGPSKNVKVKVVRKRARKKKAQENDSSYNPNITSNTEKSDGEYKPPAKVPKKITGKKRKAKKQPENVRCTEPVAQHENAATGESYTAPLKSQRRPVTKQELTRVLEKAEAYQPLNPFTVVIMRDSYVYHHFQLNLPFSFAKEYLPKVNTKMTLWGPNGRSWTVAYLFYRRRAGIRSGWSRFSYDNNLETGDVCVFELIKPDEMRVRIFRVLDEITPLVRFNPRSP >DRNTG_00295.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2035306:2039673:-1 gene:DRNTG_00295 transcript:DRNTG_00295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRIPCFFKVFLPNFSAKHLDIPPKFTQNIRGELPQQLQLIGPSSNKWNAELRMRGDKMSIVRGWEEFVDDHSIMIGDFMVFRYEDLNLYVQIFDQTACLKEEAFTAKPRNARTKRVSPKENLAEKGYRVRKTFVTDDSECSLPNILQKRGRMIKKLNIELSKTPHIMVDTNDSPPENAQVNPLEDVQVKVDYISSESLQDKVADVLSENAHVDYSSSDNLQDKVADIPSENAQVQVDSISSENLQDKIVDGPSKNVKVKVVRKRARKKKAQENDSSYNPNITSNTEKSDGEYKPPAKVPKKITGKKRKAKKQPENVRCTEPVAQHENAATGESYTAPLKSQRRPVTKQELTRVLEKAEAYQPLNPFTVVIMRDSYVYHHFQLNLPFSFAKEYLPKVNTKMTLWGPNGRSWTVAYLFYRRRAGIRSGWSRFSYDNNLETGDVCVFELIKPDEMRVRIFRVLDEITPLVRFNPRSP >DRNTG_23423.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21195566:21195799:-1 gene:DRNTG_23423 transcript:DRNTG_23423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMEAFVRKVPGSDAGLNPEIMIQRSK >DRNTG_34721.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11934639:11936185:1 gene:DRNTG_34721 transcript:DRNTG_34721.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVRRCHRKCPKAVGNVREPTMLDEPLLDAFKDIEWHPECTQWHAFAPISLSAVRFSRHGNPHGHGEFPQARVEFCSIVSRASLIVFSSTLADMAPPSKKNEVKHPKETLP >DRNTG_21084.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4278842:4280396:-1 gene:DRNTG_21084 transcript:DRNTG_21084.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFISFIVLLLVSTAPLQCNSSSFMYASCAPAKYDPNTPYQTNLRALLSSIISSSSQVSYNSFSTGTDASGPPGAAAYGLYQCRNDLSPADCATCIQSAVTQISLICIQTFAATLQLEGCFLRYSHEDFRGQLDTSFTYKKCSPSKSYEADFLQRRDDVIADLHEATGFRVSHAGTVQGYAQCLGDLSPGDCRQCVEQAVGQLKDACGSSVATDVFLAKCYARYWASDFYLRSLTDDSSDEAGRTVAIIVGVLAG >DRNTG_21084.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4278842:4280396:-1 gene:DRNTG_21084 transcript:DRNTG_21084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISFIVLLLVSTAPLQCNSSSFMYASCAPAKYDPNTPYQTNLRALLSSIISSSSQVSYNSFSTGTDASGPPGAAAYGLYQCRNDLSPADCATCIQSAVTQISLICIQTFAATLQLEGCFLRYSHEDFRGQLDTSFTYKKCSPSKSYEADFLQRRDDVIADLHEATGFRVSHAGTVQGYAQCLGDLSPGDCRQCVEQAVGQLKDACGSSVATDVFLAKCYARYWASDFYLRSLTDDSSDEAGRTVAIIVGVLAGVAVIVVFISFLKKAC >DRNTG_11077.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:7258374:7260238:-1 gene:DRNTG_11077 transcript:DRNTG_11077.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKGLDCKRLYHALCCGKAKDGTPLDAASCRGRFVRTRLIGIHGLEKTSLKGSQAPMSLSAHARPVRPRASRAASRAHGARETHSP >DRNTG_23430.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21233639:21234589:1 gene:DRNTG_23430 transcript:DRNTG_23430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTIRERHCTESRHHSAVKTQRKFEASNQSVCVCSSKRALIPHLDSLLTPHLLFICPKPATVMPKYFEAFSRLS >DRNTG_23430.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21232185:21234589:1 gene:DRNTG_23430 transcript:DRNTG_23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMEEHASTILTHHAFDLFQKEIMLSTQFAVFETSGDSYIIRHHLKSDGGHLVNWIPLNEEIHCSCKGFESSGIPCRHALRVLSLKNFFSVPEKYLLVRWCKESSLFPKSNGYKYRSQALCSLASIIVQEASITKDRFRLHTVAFGQASCLCKGHAGY >DRNTG_23364.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5882822:5885713:-1 gene:DRNTG_23364 transcript:DRNTG_23364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVTLSFMLFTLYIQLSSNTVLISSDTNYHGITCFDVEREALLRFKAGLLDHGNQLRLSSWNILEPNCCLWEGVECDNTTGHVIKLDLRNSHPFIIDGLGITHMRNNSAGLGGKINPSLLSLKYLVHLDLSGNNFNATPIPKFIGSFKELAYLNLSNAHFGGNIPPSLGNLTKLQVLDLSSDDSLLKMHDAKWLFQLHALEHLDMSNVIFEKDASDQWVHALNSLPSIAKITLENCNLNPLPPTLHHVNFTSLSLFDLYENFINSTIPSWLFKITSLQHLGLSWNSFHGHVPNSIGNMTSLRFLDLSENNDLHMSRDILLELKNLCKLQILNLGYMNIEHRFSELGVIFSGCMKDNLQELMLYGNTLTGHLPCWIGNLTSLIYLDLSKNSLYGPLPQSLWQLSALQQLSLGWNAFNGTVTETDLHDFTRLEILGISFNALVLNVSINWVPSFQLKELDMSGRPVGPAFPAWLLTQKTLVYLFMSQAGISDTLPNWFWDITSTISYLDLSDNGMKGKLPTSLEFGVVAYLDLSSNFFECPVPNSFLNVISLDLSNNSLAGTLPSKINETSPMLEAIDLSNNKINGTISSTWCELENLIKLILSDNSLSGIIPNCLENNSNMAILNFSINHLSGHVPASIFLPPALEVLSLSGNNLSGHIPIFTKKCQSLYYLDLGHNMLTGSIPVSLSESLINLQVLLLRSNNFAGNIPPQLSLLTFLHFLDLSDNHLSGVIPKSFGEFASMISNTKHELSMGGYYREVFVTTKGLTLEYEALDSLMSLIDLSENDLYGCIPLEIGNLNLLHGFNLSGNHLTGEITDKIGSMNQLESLDLSRNQLSGVIPTTLTKLSFLEVLNLSNNHLSGEIPTGGQFNTFVDLSIYINNDNLCGFPLSKKCHDNHVPKEQSLKDEIDSDDSDTIWFYFGIMSGFLVGSWTVWVIFLLKKSWRIAYFLFFDRILEQSMLLLL >DRNTG_22553.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001244.1:37781:38254:-1 gene:DRNTG_22553 transcript:DRNTG_22553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVNLSTVAWDHSEGRSSTWGRRTRTSVRSGPG >DRNTG_18816.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000974.1:54256:54972:-1 gene:DRNTG_18816 transcript:DRNTG_18816.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKLSHIIPSLLLLFFVISTNGWDQSESPPECPYPCLPPPTSVTNCPPPPPSPPTEPVVYPSPPPPTPPYLPSPAFPSPYLPFYPPPYVSFPAPPPPNPILPYFPLVLHNTAVILIIFFVFSFHKKAWSFACNGSCRVTSLLLTGTYCMYFLL >DRNTG_18816.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000974.1:54030:54972:-1 gene:DRNTG_18816 transcript:DRNTG_18816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKLSHIIPSLLLLFFVISTNGWDQSESPPECPYPCLPPPTSVTNCPPPPPSPPTEPVVYPSPPPPTPPYLPSPAFPSPYLPFYPPPYVSFPAPPPPNPILPYFPLVLHNTAVILIIFFVFSFHKKAWSFACNGSCRVTSLLLTGTYCMYFLL >DRNTG_13713.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5392317:5393709:1 gene:DRNTG_13713 transcript:DRNTG_13713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGGNSLPSSSDGKKRKVAYYYNKEIGDYYYGVNHLMKPHRITMAHNLLQNYSLLKKMQLIKPRKATFPQLCGFHSDDYIHFLRRITPETTDDYADLCTRFNLLEDCPVINHLYDFCLTYAGGSISAATGLNKHSCDIAINWSGGLHHAKCASASGFCYVNDIVLAILELLKHHQRVLYVDIDVHHGDGVEEAFYTTDRVMTLSFHQRDLFPGTGHVNDIGHKKGKYYAVNVPMERGITDDNYHTLFKPIVSKVMEVYQPEAVVLQCGADSLAGDKLGFFNLTIKGHGECVKFLRSFNVPLLLLGGGGYTLRNVPRCWCYETGVAVGVELDNKLPRNDYSEYFGPNYTLHDPPAKTFEDKNYPADLERVKARVLDNLSKLQHAPSVQFHERPPDADLEDTLNETC >DRNTG_06171.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25304189:25305094:-1 gene:DRNTG_06171 transcript:DRNTG_06171.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLFSYNADIAELNLPSTTAIIFPNGKDDLMKFDISICPDEGYYRGGSFLFTFQVSNSYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINIIIYGLNLLFTHPNDEDPLNQEAGHVLRDNPKLFAKNVEMAMAGRHVGGTLFSRCMK >DRNTG_00420.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21963992:21965539:-1 gene:DRNTG_00420 transcript:DRNTG_00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKCKSTEKRIAVVTGANKGIGLEIVRQLANNGIMVILTARDEKRGTEAVEKLINSGVSDVLFHQLDVSDSSSVVSLAHFIKTKFGKLDILINNAAIIGVTIHSDSYTAEEEEQEKSEEKEMIKLLKKISVSTETYQNAEECLNINYYGTKRMTEELIFLLRLSTQPKIVNVSSILGKLEHFSNNEKIVEKLSDTNGLTEEELDELLKSYLNDFKEGKLESNGWPISLSAYKVSKMFVNVYTRIIAKKFPTFYVNSVHPGFVRTDMSWGLGTLSSEEGAKGPVMLALLPEDGPSGCFFDGTKIIIYLGTLCTRQCSLSPDIG >DRNTG_19712.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13169528:13170590:1 gene:DRNTG_19712 transcript:DRNTG_19712.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYEVETGVWGSRRAASVPGGGCVVGACGAGSHVYVLASHAVEVSFWRWDAGKGRRGDWLRLEPPPITAHLRLGGAARFCCSALGQDKIVALVHVSSDASRRRGSTDGVVLVYDIVKGEWNRGPDLPVGFRRAALACVEC >DRNTG_19712.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13170013:13170590:1 gene:DRNTG_19712 transcript:DRNTG_19712.2 gene_biotype:protein_coding transcript_biotype:protein_coding HVYVLASHAVEVSFWRWDAGKGRRGDWLRLEPPPITAHLRLGGAARFCCSALGQDKIVALVHVSSDASRRRGSTDGVVLVYDIVKGEWNRGPDLPVGFRRAALACVEC >DRNTG_00808.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12040305:12045515:1 gene:DRNTG_00808 transcript:DRNTG_00808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSADVLRLVDWEAESYPVYEDFAALPFLVAFFPTVRFLLDKFVFEKAARRLVIGKGHEKLDLETKERRKKINKFKESAWKCVYFLSAEFLSLYVTYDEPWFKNTRYFWVGPGDQVWPDQKYKLKLKGVYMFAAGFYTYSIFALIFWETKRADWGVSMSHHVATAILIMLSYIFRFARVGSIVLALHDASDVFLEAGKMSKYTGCEPLAVVMFLLFVTSWVLFRLICFPFWVIRSTSYEVVLTLDKEKHKVEGPIYYYIFNSLLISLLVLHIYWWVLIFRMLVKQIQSRGHVGDDVRSDSEDEEEHED >DRNTG_17403.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7997189:7998186:-1 gene:DRNTG_17403 transcript:DRNTG_17403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKKQKRHRKAERFYSVRFGFCEPYKVLCDGTFVHHLLLHSLVPTDNALQHLLGARVLLFSSR >DRNTG_02192.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1346104:1348860:1 gene:DRNTG_02192 transcript:DRNTG_02192.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPTQPGYYYYSSGGCENGEKVAVRVIPTPPHSSIAFPPVTAPPPTSGGELPSFPSNGWVSSSPASSLQPELGPSPAPGDSGTGIPFINSNPAVPLPTGETDTATIRPLPITGSGDDASQAVVGVGRKLQQEQVLFKFVVELLLIMSFTFVWS >DRNTG_02192.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1343402:1348674:1 gene:DRNTG_02192 transcript:DRNTG_02192.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRVATSSSLGTSSDLVGKGIGAMNMDDLFRSVYGDAATEGKTVEEVWREISGGRSGDDGEMTLEDFLARAGAVSVEDVRVASDSVFGVDPVVGVENAVMGFGNEVEGGGRVEKGKKRALVDSMDRAAVQRQKRMIKNRESAARSRERKQAYTVELESLVSQLEEENASLLKEQEEQKRERLEQLKKTLIPVTEKKKPAYQHRRTGSAQW >DRNTG_02192.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1343402:1348674:1 gene:DRNTG_02192 transcript:DRNTG_02192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRVATSSSLGTSSDLVGKGIGAMNMDDLFRSVYGDAATEGKTVEEVWREISGGRSGDDGEMTLEDFLARAGAVSVEDVRVASDSVFGVDPVVGVENAVMGFGNEVEGGGRVEKGKKRALVDSMDRAAVQRQKRMIKNRESAARSRERKQAYTVELESLVSQLEEENASLLKEQEEQKRERLEQLKKTLIPVTEKKKPAYQHRRTGSAQW >DRNTG_09019.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31229605:31231773:-1 gene:DRNTG_09019 transcript:DRNTG_09019.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g41080 [Source:Projected from Arabidopsis thaliana (AT2G41080) UniProtKB/Swiss-Prot;Acc:Q8S9M4] MAQILSKRSRRRWDESVISRSRGSLKVKEDCLQLRRETLPGISLEPNLVSQFLQGCITNQSLKPAQQLHAAIITTGAASDRFTSNHLLNMYAKLRQLPTASALFKTMAHKNIMSSNILLGGFIQNEDLEAARQLFDEMPDRNVATWNAMVTGMAQYGFNTEGLEYFARMGRQGFRPDEFGLASALRSCAGLRDIASGQQVHACAVVCGYETDPCVGSSLAHMYMKCGRLEEGEGVFKAMPMLNAVSCNTIITGRAQNGDAEGAVDYFSCMRRAGLKPDQVTFVSVISSCSDLGILAQGQQVHAQAIRNGVDAAVPVRSSLISMYSKCGCLVDSARVFRESNDADRLDLVLCSAMVAAYGFHGRGQEAIELFERMVSDGIEPSEVTFLSLLYACCHSGLKDKGMEYLELMCNRYSMKPTLRHYTCVVDLLGRSGCLDKAEALIKSMPVSADAVIWKTLLSACKTHKKVEMAERVAEHVLRLDPQDSASYVLLSNIRATKEKWDDVSDVRRAMRERRVRKEPGISWVELQGGEVHQFATGGRSHPKQREINRFLDELISKIREFGYVPDRSMVYHDMEDEEKEFSLAQHSEKLAIAFALLIMPPETPIRVMKNLRVCDDCHVAIKFISRITEREIVVRDVSRFHHFRDGECSCGDYW >DRNTG_09019.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31229709:31231802:-1 gene:DRNTG_09019 transcript:DRNTG_09019.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g41080 [Source:Projected from Arabidopsis thaliana (AT2G41080) UniProtKB/Swiss-Prot;Acc:Q8S9M4] MAQILSKRSRRRWDESVISRSRGSLKVKEDCLQLRRETLPGISLEPNLVSQFLQGCITNQSLKPAQQLHAAIITTGAASDRFTSNHLLNMYAKLRQLPTASALFKTMAHKNIMSSNILLGGFIQNEDLEAARQLFDEMPDRNVATWNAMVTGMAQYGFNTEGLEYFARMGRQGFRPDEFGLASALRSCAGLRDIASGQQVHACAVVCGYETDPCVGSSLAHMYMKCGRLEEGEGVFKAMPMLNAVSCNTIITGRAQNGDAEGAVDYFSCMRRAGLKPDQVTFVSVISSCSDLGILAQGQQVHAQAIRNGVDAAVPVRSSLISMYSKCGCLVDSARVFRESNDADRLDLVLCSAMVAAYGFHGRGQEAIELFERMVSDGIEPSEVTFLSLLYACCHSGLKDKGMEYLELMCNRYSMKPTLRHYTCVVDLLGRSGCLDKAEALIKSMPVSADAVIWKTLLSACKTHKKVEMAERVAEHVLRLDPQDSASYVLLSNIRATKEKWDDVSDVRRAMRERRVRKEPGISWVELQGGEVHQFATGGRSHPKQREINRFLDELISKIREFGYVPDRSMVYHDMEDEEKEFSLAQHSEKLAIAFALLIMPPETPIRVMKNLRVCDDCHVAIKFISRITEREIVVRDVSRFHHFRDGECSCGDYW >DRNTG_09019.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31229709:31231773:-1 gene:DRNTG_09019 transcript:DRNTG_09019.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g41080 [Source:Projected from Arabidopsis thaliana (AT2G41080) UniProtKB/Swiss-Prot;Acc:Q8S9M4] MAQILSKRSRRRWDESVISRSRGSLKVKEDCLQLRRETLPGISLEPNLVSQFLQGCITNQSLKPAQQLHAAIITTGAASDRFTSNHLLNMYAKLRQLPTASALFKTMAHKNIMSSNILLGGFIQNEDLEAARQLFDEMPDRNVATWNAMVTGMAQYGFNTEGLEYFARMGRQGFRPDEFGLASALRSCAGLRDIASGQQVHACAVVCGYETDPCVGSSLAHMYMKCGRLEEGEGVFKAMPMLNAVSCNTIITGRAQNGDAEGAVDYFSCMRRAGLKPDQVTFVSVISSCSDLGILAQGQQVHAQAIRNGVDAAVPVRSSLISMYSKCGCLVDSARVFRESNDADRLDLVLCSAMVAAYGFHGRGQEAIELFERMVSDGIEPSEVTFLSLLYACCHSGLKDKGMEYLELMCNRYSMKPTLRHYTCVVDLLGRSGCLDKAEALIKSMPVSADAVIWKTLLSACKTHKKVEMAERVAEHVLRLDPQDSASYVLLSNIRATKEKWDDVSDVRRAMRERRVRKEPGISWVELQGGEVHQFATGGRSHPKQREINRFLDELISKIREFGYVPDRSMVYHDMEDEEKEFSLAQHSEKLAIAFALLIMPPETPIRVMKNLRVCDDCHVAIKFISRITEREIVVRDVSRFHHFRDGECSCGDYW >DRNTG_09019.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31229605:31231802:-1 gene:DRNTG_09019 transcript:DRNTG_09019.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g41080 [Source:Projected from Arabidopsis thaliana (AT2G41080) UniProtKB/Swiss-Prot;Acc:Q8S9M4] MAQILSKRSRRRWDESVISRSRGSLKVKEDCLQLRRETLPGISLEPNLVSQFLQGCITNQSLKPAQQLHAAIITTGAASDRFTSNHLLNMYAKLRQLPTASALFKTMAHKNIMSSNILLGGFIQNEDLEAARQLFDEMPDRNVATWNAMVTGMAQYGFNTEGLEYFARMGRQGFRPDEFGLASALRSCAGLRDIASGQQVHACAVVCGYETDPCVGSSLAHMYMKCGRLEEGEGVFKAMPMLNAVSCNTIITGRAQNGDAEGAVDYFSCMRRAGLKPDQVTFVSVISSCSDLGILAQGQQVHAQAIRNGVDAAVPVRSSLISMYSKCGCLVDSARVFRESNDADRLDLVLCSAMVAAYGFHGRGQEAIELFERMVSDGIEPSEVTFLSLLYACCHSGLKDKGMEYLELMCNRYSMKPTLRHYTCVVDLLGRSGCLDKAEALIKSMPVSADAVIWKTLLSACKTHKKVEMAERVAEHVLRLDPQDSASYVLLSNIRATKEKWDDVSDVRRAMRERRVRKEPGISWVELQGGEVHQFATGGRSHPKQREINRFLDELISKIREFGYVPDRSMVYHDMEDEEKEFSLAQHSEKLAIAFALLIMPPETPIRVMKNLRVCDDCHVAIKFISRITEREIVVRDVSRFHHFRDGECSCGDYW >DRNTG_29804.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23334254:23336728:1 gene:DRNTG_29804 transcript:DRNTG_29804.1 gene_biotype:protein_coding transcript_biotype:protein_coding APKTAHKRSMGACSPSPSPLSARSPKWQIRANPCSSEFPDCYRRGWRGSRLSDGCARVRPTTRIRVVDGGADLVAPPEITWQIAIGSLAGVMPFVVAAIEFSKRIVAQRKCGLCGGSGLVQRENYYVKCPGCGGFLPWQSWKRFFTG >DRNTG_06493.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1904770:1907872:1 gene:DRNTG_06493 transcript:DRNTG_06493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIVPLQSFVLGHGVHHQIKGQLSPSSSSSSSIRSSIVRTALVSSSSPAVVSSRATSMYSREHESPGHVGFSKCLDDPYDRTTNPNGVVQLGIAENLLAMDLVKEWISENVKASLIDSEVSRLVPYHPYDGILELKTALAAFMGQVMLESISLDPSQMIITAGATSAIETLSFCLADPGDAVLIPSPYYRGYDRNLKWRAGVETIPVPCRSIDNFRLSISSLELAYNQAKKQGVKVRAVFLLNPSNPIGNLIDRETMHELLDFVTEKNIHLVSDEVFAGSNHGTDEFVSIADVAHTEGFDKTRVHIIFGLSKVLSLPGFRIGVICSFNKNVLETGKKFARFFSVSVPTQRLLVSMLSDVNFVQKYIEIKKKRIRRMYELFVEGLGQFGINCFPSSAGYYCWVDMSNLMRSNSEKGELELWDKLLNISKINVTPGSECRCVDPGWFRICFTTITEDDIPIVMER >DRNTG_06493.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1906408:1907872:1 gene:DRNTG_06493 transcript:DRNTG_06493.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVMLESISLDPSQMIITAGATSAIETLSFCLADPGDAVLIPSPYYRGYDRNLKWRAGVETIPVPCRSIDNFRLSISSLELAYNQAKKQGVKVRAVFLLNPSNPIGNLIDRETMHELLDFVTEKNIHLVSDEVFAGSNHGTDEFVSIADVAHTEGFDKTRVHIIFGLSKVLSLPGFRIGVICSFNKNVLETGKKFARFFSVSVPTQRLLVSMLSDVNFVQKYIEIKKKRIRRMYELFVEGLGQFGINCFPSSAGYYCWVDMSNLMRSNSEKGELELWDKLLNISKINVTPGSECRCVDPGWFRICFTTITEDDIPIVMER >DRNTG_29205.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3172734:3172944:-1 gene:DRNTG_29205 transcript:DRNTG_29205.1 gene_biotype:protein_coding transcript_biotype:protein_coding QVALASGEGNFTEIVREAQKGIKLPNVLCVDAKGLALEEDHLHLTTHAQVHLGKMLAAAYLGHVKPHLER >DRNTG_05806.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19117302:19126735:1 gene:DRNTG_05806 transcript:DRNTG_05806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAWMMLTELKAARNSLTCIPDSIGVLSQLIRLDFHQNKISSVPSSIKGCLALTEFYMGANLLSSLPADLSALSRLGTLDLHSNQLKEYPVEACKLHLSVLDLSNNSLSGLPPEIGTMTTLRKLLLTGNPLRTLRSSLVSGPTPALLKYLRSRLASTEEEVSGSGTSPMKDEVITMATRLSLSSKELSLSGLGLTDVPPAVWDTGEVVKVDLSKNSIADLPNEFSQCSSLQVLILSGNKIKEWPGTVLSSLPNLSCLKLDSNPLSQIPSNGFEALRKLEILDLSGNPSSLPDPPLFSALPQLQELYLRRMQLQEVSPGLLSLHQLRILDLSQNCLTSLPKEVKHLTSLTELDLTDNNISALPSELGLLEPSLQALRLDGNPLRSIRRPILDRGTKAILNYLKDKIPEP >DRNTG_05806.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19123785:19126735:1 gene:DRNTG_05806 transcript:DRNTG_05806.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQEVSPGLLSLHQLRILDLSQNCLTSLPKEVKHLTSLTELDLTDNNISALPSELGLLEPSLQALRLDGNPLRSIRRPILDRGTKAILNYLKDKIPEP >DRNTG_05806.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19117302:19126735:1 gene:DRNTG_05806 transcript:DRNTG_05806.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAWMMLTELKAARNSLTCIPDSIGVLSQLIRLDFHQNKISSVPSSIKGCLALTEFYMGANLLSSLPADLSALSRLGTLDLHSNQLKEYPVEACKLHLSVLDLSNNSLSGLPPEIGTMTTLRKLLLTGNPLRTLRSSLVSGPTPALLKYLRSRLASTEEEVSGSGTSPMKDEVITMATRLSLSSKELSLSGLGLTDVPPAVWDTGEVVKVDLSKNSIADLPNEFSQCSSLQVLILSGNKIKEWPGTVLSSLPNLSCLKLDSNPLSQIPSNGFEALRKLEILDLSGNPSSLPDPPLFSALPQLQELYLRRMQLQEVSPGLLSLHQLRILDLSQNCLTSLPKEVKHLTSLTELDLTDNNISALPSELGLLEPSLQALRLDGNPLRSIRRPILDRGTKAILNYLKDKIPEP >DRNTG_18998.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22664480:22665068:-1 gene:DRNTG_18998 transcript:DRNTG_18998.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASDLDDMVSDGKLPVDPGLNQSNTPDLVASPPDDADLKKGGQQSDRSPTPVNQEPEKVGKRRRRLVKKSSIVISDSEEIPATLEQGEDQKTKKKKRRSSSENMSSKRERKRSKSESK >DRNTG_21300.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27403397:27406180:1 gene:DRNTG_21300 transcript:DRNTG_21300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENKVREHARKLLEKHIKKPFLNSRYAESAERMTVEELEKGKEWLNDTFHLIRCDDDCLPSIQWVLTLAKAAVMRYGVRGLVIDPYNELDHQRPTNQTETEYVSQMLTKIKRFAHHHSCHVWFVAHPKQLQHWGGGPPNMYDISGSAHFINKCDNGIVIHRNRDEKVGPIDRVQVHVRKVRNKVAGGIGDAFLSYDRVTGEFLDVKEE >DRNTG_21300.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27398737:27401133:1 gene:DRNTG_21300 transcript:DRNTG_21300.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGNNHSHLYLPPPSPYLFRPSSRPVSH >DRNTG_21300.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27398737:27406180:1 gene:DRNTG_21300 transcript:DRNTG_21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIPHRPLQTLLHSNLLMALSKNQLLFKCPAGTTIPTYTFRLLRRISFAPHPDQCLIKNPRNSSSKALFFAMKSSRVQSPGPLVPSRIVHVASVEEDTSFAKRVAMMKLKLEQAEIRCASFEPGQYSSMICPKCEGGTSKERSFSLFIREDWGLAMWSCFRAKCGWSGSIEASGKNKDNRAGPSQSSKVKEHRVITEADLLLEPLSNELVEYFSARKISAETLRRNAVMQRRAHGQVVIAFTYRRNGALVSCKYRDVNKNFWQERGTEKIFYGVDDVKRASDIIIVEGEIDKLSMEEAGYLNCVSVPDGAPSQVAKEIPNEGQDNKFRYLWNCKQYLDQASRIILATDGDRPGQALAEELARRLGKERCWRVQWPMKNANEVCKDANEVLMHLGPDALKEVIAKAELYPIRGLFTFSDFFNDIDTYYSGNLDHDHVVSTGWSGVDEFYKVIPGELTVVTGVPNSGKSEWIDALLCNINRNEGWKFVLCSMENKVREHARKLLEKHIKKPFLNSRYAESAERMTVEELEKGKEWLNDTFHLIRCDDDCLPSIQWVLTLAKAAVMRYGVRGLVIDPYNELDHQRPTNQTETEYVSQMLTKIKRFAHHHSCHVWFVAHPKQLQHWGGGPPNMYDISGSAHFINKCDNGIVIHRNRDEKVGPIDRVQVHVRKVRNKVAGGIGDAFLSYDRVTGEFLDVKEE >DRNTG_21300.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27398737:27401476:1 gene:DRNTG_21300 transcript:DRNTG_21300.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIPHRPLQTLLHSNLLMALSKNQLLFKCPAGTTIPTYTFRLLRRISFAPHPDQCLIKNPRNSSSKALFFAMKSSRVQSPGPLVPSRIVHVASVEEDTSFAKRVAMMKLKLEQAEIRCASFEPGQYSSMICPKCEGGTSKERSFSLFIREDWGLAMWSCFRAKCGWSGSIEASGKNKDNRAGPSQSSKVKEHRVITEADLLLEPLSNELVEYFSARKISAETLRRNAVMQRRAHGQVVIAFTYRRNGALVSCKYRDVNKNFWQERGTEKIFYGVDDVKRASDIIIVEGEIDKLSMEEAGYLNCVSVPDGAPSQVAKEIPNEGQVCPHYFLMIFSLAPLVTKFFLVLLVLMYLFILKFMPEINLHC >DRNTG_21300.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27398737:27400924:1 gene:DRNTG_21300 transcript:DRNTG_21300.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGNNHSHLYLPPPSPYLFRPSSRPVSH >DRNTG_21300.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27398737:27406180:1 gene:DRNTG_21300 transcript:DRNTG_21300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLKLQKRYPMKDSQDNKFRYLWNCKQYLDQASRIILATDGDRPGQALAEELARRLGKERCWRVQWPMKNANEVCKDANEVLMHLGPDALKEVIAKAELYPIRGLFTFSDFFNDIDTYYSGNLDHDHVVSTGWSGVDEFYKVIPGELTVVTGVPNSGKSEWIDALLCNINRNEGWKFVLCSMENKVREHARKLLEKHIKKPFLNSRYAESAERMTVEELEKGKEWLNDTFHLIRCDDDCLPSIQWVLTLAKAAVMRYGVRGLVIDPYNELDHQRPTNQTETEYVSQMLTKIKRFAHHHSCHVWFVAHPKQLQHWGGGPPNMYDISGSAHFINKCDNGIVIHRNRDEKVGPIDRVQVHVRKVRNKVAGGIGDAFLSYDRVTGEFLDVKEE >DRNTG_21300.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27401679:27406180:1 gene:DRNTG_21300 transcript:DRNTG_21300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKNANEVCKDANEVLMHLGPDALKEVIAKAELYPIRGLFTFSDFFNDIDTYYSGNLDHDHVVSTGWSGVDEFYKVIPGELTVVTGVPNSGKSEWIDALLCNINRNEGWKFVLCSMENKVREHARKLLEKHIKKPFLNSRYAESAERMTVEELEKGKEWLNDTFHLIRCDDDCLPSIQWVLTLAKAAVMRYGVRGLVIDPYNELDHQRPTNQTETEYVSQMLTKIKRFAHHHSCHVWFVAHPKQLQHWGGGPPNMYDISGSAHFINKCDNGIVIHRNRDEKVGPIDRVQVHVRKVRNKVAGGIGDAFLSYDRVTGEFLDVKEE >DRNTG_21300.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27404978:27406180:1 gene:DRNTG_21300 transcript:DRNTG_21300.8 gene_biotype:protein_coding transcript_biotype:protein_coding MYDISGSAHFINKCDNGIVIHRNRDEKVGPIDRVQVHVRKVRNKVAGGIGDAFLSYDRVTGEFLDVKEE >DRNTG_12221.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25101156:25104933:-1 gene:DRNTG_12221 transcript:DRNTG_12221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKSISNPPTSSFFPKCPQLSSAFTTKPSLRFPSLSNQRALLLRAAISDAPAPTTAVEAPFRHCFSKSSDGFLYCEGVRVEDAMELAQRSPFYLYSKDQISRNFEAYRQALEGLRSIIGYAVKANNNLKILEHLCGLGCGAVLVSGNELRLALKAGFDPERCIFNGNGKILEDLVLAAKEGVFINVDSEFDYENIVTAARVAGRKVKVLLRINPDVDPQVHPYVATGNKNSKFGIRNEKLQWFLDAIKSHPNELKLVGAHCHLGSTITKVDIFRDAAVLMVNYIDEIRSQGFELEYLNIGGGLGIDYHHTGAVLPAPMDLINTVRELVLSRNLNLIIEPGRSLIANTCCFVNRVTGVKTNGTKNFIVIDGSMAELIRPSLYGAYQHIELVSPPSQDAEVSTFDIVGPVCESADFLGKDRVLPTPEKGAGLVVHDAGAYCMSMASTYNLKMRPPEYWVDNGSVVKIRHGETFEDYLRFFDGL >DRNTG_13675.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7069129:7071885:1 gene:DRNTG_13675 transcript:DRNTG_13675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWSVVHDGDGFPRVILTDGGGSSAEVLLYGGQVVSWKNERREELLFTSSKTSVRPQKDVRGGIPIFFPQYGSFKSLDLSGFARNRLWALDSSPAPLP >DRNTG_10720.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3821138:3821953:1 gene:DRNTG_10720 transcript:DRNTG_10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEITSVTIGKLKPVANIHQRKAEMNLHFDAFIAMPGGYGTLEELFEVITWAQLAIRNKPIGLLNIDGYYNSLLSFIDQAVEEGFIKPCAHHIIVSTSNAKELIEELKVLFTIFFKNIY >DRNTG_32833.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8384950:8385347:1 gene:DRNTG_32833 transcript:DRNTG_32833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHEVCWKFSILGTVAEYCSTGMFSQHLASLGGKITRFG >DRNTG_24126.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:227246:230500:1 gene:DRNTG_24126 transcript:DRNTG_24126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLEKWHMGLKAKGEAKAEKSRGSMVSRPRMKLWLIRATTTVLLWTCVVQLTAIGEMWGPRVLKGWPSCFTHSGFPTGIEKSSSALSTIISRENIVLPPKRIYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKTSFWNDPSEFQDIFDVDHFITSLRDEVRILKELPLRLKKKVELGMLHSMPPVSWSDISYYSQQILPLIHKHKILHLNRTDTRLANNGLPTEIQKLRCRVNYSALRFTPQIEELGARVIKMLRQNGPFLVLHLRYEMDMLAFSGCTHGCTNEEVEDLTRMRYAYPWWKEKVINSDLKRKDGLCPLTPEETALVFRALDIDQNVQIYIAAGEIYGGRRRMAALSSSYPNIVRKETLLQASDLQFFQNHSSQMAALDYLVSLESDIFVPTYDGNMARVVEGHRRILGFKKTILLDRKLLVDLIDQYQAGSLNWDEFSASVKAAHANRMGMPSRRIVLPDRPKEEDYFYANPHECLTLTMPNDRQTV >DRNTG_24126.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:227246:230500:1 gene:DRNTG_24126 transcript:DRNTG_24126.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVCFPYLHIFSGIYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKTSFWNDPSEFQDIFDVDHFITSLRDEVRILKELPLRLKKKVELGMLHSMPPVSWSDISYYSQQILPLIHKHKILHLNRTDTRLANNGLPTEIQKLRCRVNYSALRFTPQIEELGARVIKMLRQNGPFLVLHLRYEMDMLAFSGCTHGCTNEEVEDLTRMRYAYPWWKEKVINSDLKRKDGLCPLTPEETALVFRALDIDQNVQIYIAAGEIYGGRRRMAALSSSYPNIVRKETLLQASDLQFFQNHSSQMAALDYLVSLESDIFVPTYDGNMARVVEGHRRILGFKKTILLDRKLLVDLIDQYQAGSLNWDEFSASVKAAHANRMGMPSRRIVLPDRPKEEDYFYANPHECLTLTMPNDRQTV >DRNTG_16375.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000810.1:113955:114874:1 gene:DRNTG_16375 transcript:DRNTG_16375.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLITLIAAAMPFFGDFVAICGAIGFTPLDFVFPSLAFLRSGRTLKNRKLRVAMQILNISISVWFSVVALLGCIGAIRFIILDIKTYKFFHDM >DRNTG_16375.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000810.1:109035:114874:1 gene:DRNTG_16375 transcript:DRNTG_16375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKEAWKHREGEEEEEEEEVELRATAPPLHGTATKEGAQGRGTWKHAAFHVATTIATPAAYAPLPSALASLGWPLGVFSLVSGTLATWYSSLLIASLWGWNGEKQTTYRHLANSLFGPGGYWSITIFQQIASVGNNIAIQIAAGSSLKAIYKHYYPNGSLTLQEFIIFFGAFELVLSQLPDIHSLRWVNAICTFSTIGFAGTTIGVTIYNGKRTDRKAMNHKLVGSSSSKIFKSFNALGTIAFSFGDAMLPEIQSTVKDPAKCNMYKGISAAYGIIVLSYWSLAFSGYWAFGSTVQPYILSSLTIPRWTIVMANIFAVIQISGCFQTIVRIF >DRNTG_06674.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3137560:3139250:-1 gene:DRNTG_06674 transcript:DRNTG_06674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCNQTSFFRFEAITEGFRWPLPEIQTVELLLAVVIFVTIHSLRQVKRQGLAVWPVLSMLPSLCLGLRQDIYEWVTRVLDSQNGTFFFRGPWFTSLNCLITADPRNLEHLLKTKFSSFPKGPYFQEIMHDLLGNGIFSADDEVWRQQRKAASLEFHSTSFRAMTAESLVELVHSRLLPILDSYNESSPIDLQDVLLRLTFDNVCMIAFGIDPGCLQPGLPEIPFARAFEYATEATILRFITPTSVWKAMRYT >DRNTG_09962.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:6580674:6581283:-1 gene:DRNTG_09962 transcript:DRNTG_09962.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYVTKEIFLKHQQFFPIKPMDYGKFLIISLGTGSSKKEERFSAQESSKWSLFGWLFNKGTSPLVNIFSQASADMVDIHASVLFQVLQSQKNYLRIQDDSLEGETSSMDNSTKENLENLIKISNELLKKPVSRVNLETGEFVAVGGEATNEEELTRFAKLLSDERQCRQGNLSAK >DRNTG_20371.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:178006:180609:-1 gene:DRNTG_20371 transcript:DRNTG_20371.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSNSSQKEKSILFRPAPHPSLNPPHLQPLHGVCPWRIPHRQDQAQRGKLDELSISPARTRSFWGSPVSTMMFGHSDAR >DRNTG_20371.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:178006:180609:-1 gene:DRNTG_20371 transcript:DRNTG_20371.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSNSSQKEKSILFRPAPHPSLNPPHLQPLHGVCPWRIPHRQDQAQRGKLDELSISPARTRSFWGSPVSTMMFGHSDAR >DRNTG_20371.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:178006:180609:-1 gene:DRNTG_20371 transcript:DRNTG_20371.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSNSSQKEKSILFRPAPHPSLNPPHLQPLHGVCPWRIPHRQDQAQRGKLDELSISPARTRSFWGSPVSTMMFGHSDAR >DRNTG_21875.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19179523:19181859:-1 gene:DRNTG_21875 transcript:DRNTG_21875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFQCSFDVIHLTQGVYPANATTSPDTRNQSVSSGQHHP >DRNTG_29609.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19862900:19869354:-1 gene:DRNTG_29609 transcript:DRNTG_29609.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIFYSFFSLFINIIILSSDFVEPRLTGIKYNKEINTSQEADLVVELPGQPEVNFRHYSGYVTVDENHGRALFYWFFEAIDHVDERPLLLWLNGGPGCSSIGYGEAEELGPFLIQKGAPELKFNKHSWNKEANLLFLEAPVGVGFSYTNTSSDLDQLGDHFTANDSYNFLVNWFKRFPQFKSHEFYIAGESYAGHYVPQLAEKIFDENKKTSNENCINFKGFMVGNALMDDETDSIGMVEYAWSHAIISDELYHAIMTNCHFSSQSDDNEVCGKASIDFVDTYGLIDIYSLYTPKCIKQGMTVSSRGSRKIAGITSKNFASQQTRSKSLASKGWNMNLKAGYDPCISDYTNVYFNRPDVQEALHANVTNLAYNWTHCSEVISTWNDHQPSLLPTIRKLINGGLRVWVYSGDTDGRVPVTSTRYTLNKLGLNKTQEWTPWYTSEQVGGWTVVYDGLTFVTIRGAGHAVPTFAPKQAQQLVKHFLINKQLPSKPLL >DRNTG_29609.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19851006:19869354:-1 gene:DRNTG_29609 transcript:DRNTG_29609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIFYSFFSLFINIIILSSDFVEPRLTGIKYNKEINTSQEADLVVELPGQPEVNFRHYSGYVTVDENHGRALFYWFFEAIDHVDERPLLLWLNGGPGCSSIGYGEAEELGPFLIQKGAPELKFNKHSWNKEANLLFLEAPVGVGFSYTNTSSDLDQLGDHFTANDSYNFLVNWFKRFPQFKSHEFYIAGESYAGHYLPQLAEKIFDENKKTSKENYINLKGFMVGNAWMDDETDTIGMVKYAWSHAIISDELYQTIMINCDFSNQNNSNDICDTANTDFFETYKLIDMYSLYTPKCVEQNTPVSSRGLRKIAGITSKFFPSQQKRSESLVLKAGYDPCISKYSDVYFNRPDVQEALHANVTKLPYEWSHCSGVIRAWNDYQISLLPTIRKLINGGLRVWVYSGNTDGRVPVTSTRYTLNKLGLNKTQEWTPWYTSEQVGGWTIVYDGLTFVTIRGAGHEVPTIYSKTSSTTSQTFLDEQTFAFKAIAVIPFNHKSTNNWRDMLEVQ >DRNTG_17148.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17442040:17444526:1 gene:DRNTG_17148 transcript:DRNTG_17148.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPPYPISVPNRIHVSKPLLLVLFILVPVCTIGTFYDVQKISYFLRPVWDTPPQPFTHLPHYYAANVSMDHLCKLHGWTVRSLPRQVFDAIIFSNELDILEIRFRELLPYVHKFVIVESNTTFTGIPKPLFFHENQERNPFDLERKQRVALNTLLRSSDIVSGDVVIMADSDEIPSPHTLQLFQWCDGVPPVMHLEFRHYMYSFEFPVDYSSWRATAHLFGQSTQYRHSRQSNIILSNAGWHCSFCFRKIDEFVFKMKAYSHADRVTRASFLDHARIQKIICEGGDLFDMLPEEYTFQELIKKMGSIPKSASAVHLPSYLIDNAEKFKFLLPGGCLRSD >DRNTG_24430.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8404845:8408943:-1 gene:DRNTG_24430 transcript:DRNTG_24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGKVKAAMGFQRSPATPKHESARLPSCSPASTKSSASGTPATTTKNSGAAAAFARSFGVYFPKSSAQVQPRPPDVGDLIRLIEDLQERESRLQTEILEYKLLKETASIVPFLESQISSKDQELELLKQSFERVDAENRELHAELEVLKPQIQAQNEGSKQKEKRIREMVVEIEELRRTISEQSIGDSRRFSGVTDECSSSQRFQGLIDASSRSNLVRSLRRSPKSSDIAPNPEGQKAEPVDPKGDEGNRCERDENPRPRVPRVPKPPPTPSFSSSSSSSSSDTASSSPTIPGLPPPPPPPPKSSFAGTPMTRAPPPPPPPPPTRGSKASAASVRRVPEVVEFYHSLMRRDSKRESGAGVTDAPAAANARNMIGEIENRSAHLLAIKTDVEMQGDFIRFLIKEVNNAAFSNIEDLVAFVKWLDDELSFLVDERAVLKHFDWPEQKADAMREAAFGYCDVKKLSSEASSFRDDPRQPCSSSLKKLQALLEKLEHGVDNLARMREGATKRYKGFRIPWEWMLETGIVSQIKLASVKLAMKYMKRVSSELEANGSGSPEEEDLMLQGVRFAFRVHQFAGGFDVETMRAFQELKDKARSFHHHHNKIYSRSTSC >DRNTG_03906.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14660707:14661153:1 gene:DRNTG_03906 transcript:DRNTG_03906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAMKKMLVECEVLAIEGESKFCATSLESMMEFSMMCMGTRDVQASSTIMNEQNSDAELKPSYSVALTGVCAIDEEKLVACHAQPYLYIVFNCQAMGKSKAYMVALEGNDGTKMEDITGSHFDTSKLNPKQLSFQMLELMPGSMAVC >DRNTG_27044.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2595674:2597163:1 gene:DRNTG_27044 transcript:DRNTG_27044.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRRQKPLMHGTDHPSRKHWRQPWHELERTAFSWSLGAHGAPLCCKGFPKLNSKIRRKKLNLPA >DRNTG_11518.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3740327:3744518:1 gene:DRNTG_11518 transcript:DRNTG_11518.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAEKPGRSFPAFPFDPYPIQSEFMEFLYESLEKGGVAMLESPTGTGKTLSIICSSLQWIVDRRSKPKLAGEKPVAADDAAEEEPDWMRDFVVESMEKREVGSRRRSGFGLKSSGDKMSLPGLRNAEKSKEEVGNDDGAGVDDDDAQFLLEDYESDEGSGGSKRKGRKCLDLSSSEEDEDGGLGEIEEEVSPKIYFTSRTHSQLSQFVKEFKRTSFASEINLVCLGSRKNLCINSEVSKLRTANRINERCLELQTNKNHSKMKVGSDGGRTRGRKASAGCPMLKKQSSRDLFRNEVREQGALDIEDLVKIGTKYGACPYYGTRDMVRAADLVVLPYQSLLLSSARESLGLNLKNSIIIVDEAHNLADSLTSMYNAKITLSQLEQVHLHLELYFDRFRNVLGPANWRYIQTLLALSRSFMKLLAGDQNDSERSMTINDFLFSLDIDNINLVKLHQYVKESNVAHKVSGYGSKLISSEGLPAHANSQNLDAEGSLISGFQALVDILVSLINNDSDGRIIVSKRKSSCLGQIEEGYIKFVMLSGEKIFHEIAVQAHAVVLTGGTLQPIEETRVRLFPDLSLDQVLFFSCNHIVPPENILPIVVSRGPSGMTFDFSYNSRGSARMIEELGRLLCNLVVVIPEGIVVFFSSFDYEGQVYDAWKTSGVLPRILKKKRIFREPRNNTEVEVILKEYQETITLSSSATSKENPGPQGALLLAVVGGKVSEGINFSDGMGRCIVMVGLPYPSPSDLELMERVKHIEGLGGSLSSKNTMPFPNRLHSSNSIKSGFEILRSCKQRGKEYYENLCMKAVNQSIG >DRNTG_11518.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3740327:3745550:1 gene:DRNTG_11518 transcript:DRNTG_11518.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAEKPGRSFPAFPFDPYPIQSEFMEFLYESLEKGGVAMLESPTGTGKTLSIICSSLQWIVDRRSKPKLAGEKPVAADDAAEEEPDWMRDFVVESMEKREVGSRRRSGFGLKSSGDKMSLPGLRNAEKSKEEVGNDDGAGVDDDDAQFLLEDYESDEGSGGSKRKGRKCLDLSSSEEDEDGGLGEIEEEVSPKIYFTSRTHSQLSQFVKEFKRTSFASEINLVCLGSRKNLCINSEVSKLRTANRINERCLELQTNKNHSKMKVGSDGGRTRGRKASAGCPMLKKQSSRDLFRNEVREQGALDIEDLVKIGTKYGACPYYGTRDMVRAADLVVLPYQSLLLSSARESLGLNLKNSIIIVDEAHNLADSLTSMYNAKITLSQLEQVHLHLELYFDRFRNVLGPANWRYIQTLLALSRSFMKLLAGDQNDSERSMTINDFLFSLDIDNINLVKLHQYVKESNVAHKVSGYGSKLISSEGLPAHANSQNLDAEGSLISGFQALVDILVSLINNDSDGRIIVSKRKSSCLGQIEEGYIKFVMLSGEKIFHEIAVQAHAVVLTGGTLQPIEETRVRLFPDLSLDQVLFFSCNHIVPPENILPIVVSRGPSGMTFDFSYNSRGSARMIEELGRLLCNLVVVIPEGIVVFFSSFDYEGQVYDAWKTSGVLPRILKKKRIFREPRNNTEVEVILKEYQETITLSSSATSKENPGPQGALLLAVVGGKVSEGINFSDGMGRCIVMVGLPYPSPSDLELMERVKHIEGLGGSLSSKNTMPFPNRLHSSNSIKSGFEILRSCKQRGKEYYENLCMKAVNQSIGRAIRHRNDYAAVLLVDSRYTFDSSTMSSSHPTNKLPGWIKQCLVSFTENYGEVHRLLHQFFKSHKQQGECKRDC >DRNTG_20765.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6313039:6313473:-1 gene:DRNTG_20765 transcript:DRNTG_20765.1 gene_biotype:protein_coding transcript_biotype:protein_coding WDENYSIPGAFIIKNNHTSQFFLKSVTINNFLNKGCIHFDCNSWVYPTDKYKYDRIFFTNDTYLPEKIPAPLKPYRDEELLHLRGDDVTRKFEEWDRIYNYAYYND >DRNTG_07927.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20310474:20312960:-1 gene:DRNTG_07927 transcript:DRNTG_07927.8 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNELNQKRVFKEYWDPQGRNKKSLISARDQRGEISKEGLFGLRAPSEGSERVELTAREHTVAGKRWAVINGASSEVDPEVAVRAGVETAEAFGEGEGGVTGGVGVCVGTGWRIELEQVDVVGGGGGCGDGDLKIITDKVVGDGNGDGGEGGAVEGGRGRVDVGADGGGETGGEGRVGAGFKVEGVGDGEVVRGGTNGLERGGGDEEGGKEGGGDGGEGADEVEDDGDGEVEGEGGEGRGGE >DRNTG_07927.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20310474:20313115:-1 gene:DRNTG_07927 transcript:DRNTG_07927.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNELNQKRVFKEYWDPQGRNKKSLISARDQRGEISKEGLFGLRAPSEGSERVELTAREHTVAGKRWAVINGASSEVDPEVAVRAGVETAEAFGEGEGGVTGGVGVCVGTGWRIELEQVDVVGGGGGCGDGDLKIITDKVVGDGNGDGGEGGAVEGGRGRVDVGADGGGETGGEGRVGAGFKVEGVGDGEVVRGGTNGLERGGGDEEGGKEGGGDGGEGADEVEDDGDGEVEGEGGEGRGGE >DRNTG_07927.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20310474:20313046:-1 gene:DRNTG_07927 transcript:DRNTG_07927.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNELNQKRVFKEYWDPQGRNKKSLISARDQRGEISKEGLFGLRAPSEGSERVELTAREHTVAGKRWAVINGASSEVDPEVAVRAGVETAEAFGEGEGGVTGGVGVCVGTGWRIELEQVDVVGGGGGCGDGDLKIITDKVVGDGNGDGGEGGAVEGGRGRVDVGADGGGETGGEGRVGAGFKVEGVGDGEVVRGGTNGLERGGGDEEGGKEGGGDGGEGADEVEDDGDGEVEGEGGEGRGGE >DRNTG_07927.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20310559:20313115:-1 gene:DRNTG_07927 transcript:DRNTG_07927.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNELNQKRVFKEYWDPQGRNKKSLISARDQRGEISKEGLFGLRAPSEGSERVELTAREHTVAGKRWAVINGASSEVDPEVAVRAGVETAEAFGEGEGGVTGGVGVCVGTGWRIELEQVDVVGGGGGCGDGDLKIITDKVVGDGNGDGGEGGAVEGGRGRVDVGADGGGETGGEGRVGAGFKVEGVGDGEVVRGGTNGLERGGGDEEGGKEGGGDGGEGADEVEDDGDGEVEGEGGEGRGGE >DRNTG_07927.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20310474:20313006:-1 gene:DRNTG_07927 transcript:DRNTG_07927.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNELNQKRVFKEYWDPQGRNKKSLISARDQRGEISKEGLFGLRAPSEGSERVELTAREHTVAGKRWAVINGASSEVDPEVAVRAGVETAEAFGEGEGGVTGGVGVCVGTGWRIELEQVDVVGGGGGCGDGDLKIITDKVVGDGNGDGGEGGAVEGGRGRVDVGADGGGETGGEGRVGAGFKVEGVGDGEVVRGGTNGLERGGGDEEGGKEGGGDGGEGADEVEDDGDGEVEGEGGEGRGGE >DRNTG_07927.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20310474:20313192:-1 gene:DRNTG_07927 transcript:DRNTG_07927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNELNQKRVFKEYWDPQGRNKKSLISARDQRGEISKEGLFGLRAPSEGSERVELTAREHTVAGKRWAVINGASSEVDPEVAVRAGVETAEAFGEGEGGVTGGVGVCVGTGWRIELEQVDVVGGGGGCGDGDLKIITDKVVGDGNGDGGEGGAVEGGRGRVDVGADGGGETGGEGRVGAGFKVEGVGDGEVVRGGTNGLERGGGDEEGGKEGGGDGGEGADEVEDDGDGEVEGEGGEGRGGE >DRNTG_07927.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20310559:20313192:-1 gene:DRNTG_07927 transcript:DRNTG_07927.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNELNQKRVFKEYWDPQGRNKKSLISARDQRGEISKEGLFGLRAPSEGSERVELTAREHTVAGKRWAVINGASSEVDPEVAVRAGVETAEAFGEGEGGVTGGVGVCVGTGWRIELEQVDVVGGGGGCGDGDLKIITDKVVGDGNGDGGEGGAVEGGRGRVDVGADGGGETGGEGRVGAGFKVEGVGDGEVVRGGTNGLERGGGDEEGGKEGGGDGGEGADEVEDDGDGEVEGEGGEGRGGE >DRNTG_07927.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20310559:20312960:-1 gene:DRNTG_07927 transcript:DRNTG_07927.10 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNELNQKRVFKEYWDPQGRNKKSLISARDQRGEISKEGLFGLRAPSEGSERVELTAREHTVAGKRWAVINGASSEVDPEVAVRAGVETAEAFGEGEGGVTGGVGVCVGTGWRIELEQVDVVGGGGGCGDGDLKIITDKVVGDGNGDGGEGGAVEGGRGRVDVGADGGGETGGEGRVGAGFKVEGVGDGEVVRGGTNGLERGGGDEEGGKEGGGDGGEGADEVEDDGDGEVEGEGGEGRGGE >DRNTG_07927.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20310559:20313006:-1 gene:DRNTG_07927 transcript:DRNTG_07927.9 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNELNQKRVFKEYWDPQGRNKKSLISARDQRGEISKEGLFGLRAPSEGSERVELTAREHTVAGKRWAVINGASSEVDPEVAVRAGVETAEAFGEGEGGVTGGVGVCVGTGWRIELEQVDVVGGGGGCGDGDLKIITDKVVGDGNGDGGEGGAVEGGRGRVDVGADGGGETGGEGRVGAGFKVEGVGDGEVVRGGTNGLERGGGDEEGGKEGGGDGGEGADEVEDDGDGEVEGEGGEGRGGE >DRNTG_07927.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20310559:20313046:-1 gene:DRNTG_07927 transcript:DRNTG_07927.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNELNQKRVFKEYWDPQGRNKKSLISARDQRGEISKEGLFGLRAPSEGSERVELTAREHTVAGKRWAVINGASSEVDPEVAVRAGVETAEAFGEGEGGVTGGVGVCVGTGWRIELEQVDVVGGGGGCGDGDLKIITDKVVGDGNGDGGEGGAVEGGRGRVDVGADGGGETGGEGRVGAGFKVEGVGDGEVVRGGTNGLERGGGDEEGGKEGGGDGGEGADEVEDDGDGEVEGEGGEGRGGE >DRNTG_28470.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:382013:386262:-1 gene:DRNTG_28470 transcript:DRNTG_28470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSHRENGRHKSVHTQWMMSQHQTKDQNNLKLMAIGAERDRAVQDRELAFCERKAAFAERDKAILDLHAAMAELNKAIMERDNAIAVLENARENGFYNNNRHGCPLDHGTMKHTHNHHHLPQALPLPPQSSAAPDDPARVMHVCDALPLPAASHSGTKVHLVKRMKKRTRVTSYFPQEDIKIT >DRNTG_28470.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:382013:386262:-1 gene:DRNTG_28470 transcript:DRNTG_28470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSHRENGRHKSVHTQWMMSQHQTKDQNNLKLMAIGAERDRAVQDRELAFCERKAAFAERDKAILDLHAAMAELNKAIMERDNAIAVLENARENGFYNNNRHGCPLDHGTMKHTHNHHHLPQALPLPPQSSAAPDDPARVMHVCDALPLPAASHSGTKVHLVKRMKKRTRVTSYFPQEDIKIT >DRNTG_28470.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:382013:386262:-1 gene:DRNTG_28470 transcript:DRNTG_28470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSHRENGRHKSVHTQWMMSQHQTKDQNNLKLMAIGAERDRAVQDRELAFCERKAAFAERDKAILDLHAAMAELNKAIMERDNAIAVLENARENGFYNNNRHGCPLDHGTMKHTHNHHHLPQALPLPPQSSAAPDDPARVMHVCDALPLPAASHSGTKVHLVKRMKKRTRVTSYFPQEDIKIT >DRNTG_28470.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:382013:386262:-1 gene:DRNTG_28470 transcript:DRNTG_28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSHRENGRHKSVHTQWMMSQHQTKDQNNLKLMAIGAERDRAVQDRELAFCERKAAFAERDKAILDLHAAMAELNKAIMERDNAIAVLENARENGFYNNNRHGCPLDHGTMKHTHNHHHLPQALPLPPQSSAAPDDPARVMHVCDALPLPAASHSGTKVHLVKRMKKRTRVTSYFPQEDIKIT >DRNTG_28470.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:382013:383304:-1 gene:DRNTG_28470 transcript:DRNTG_28470.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVGEQCMDSWFGDFLMIFLLLLTLQWMMSQHQTKDQNNLKLMAIGAERDRAVQDRELAFCERKAAFAERDKAILDLHAAMAELNKAIMERDNAIAVLENARENGFYNNNRHGCPLDHGTMKHTHNHHHLPQALPLPPQSSAAPDDPARVMHVCDALPLPAASHSGTKVHLVKRMKKRTRVTSYFPQEDIKIT >DRNTG_19741.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19055513:19059323:1 gene:DRNTG_19741 transcript:DRNTG_19741.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVVETYDEIVFSKPSDAFFVCVQNRPAAGLNLPPTVRTF >DRNTG_19741.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19055513:19058825:1 gene:DRNTG_19741 transcript:DRNTG_19741.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVVETYDEIVFSKPSDAFFVCVQNRPAAGLNLPPTVRTF >DRNTG_19741.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19055513:19058825:1 gene:DRNTG_19741 transcript:DRNTG_19741.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVVETYDEIVFSKPSDAFFVCVQNRPAAGLNLPPTGILSYNIYLPCFLSF >DRNTG_19741.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19055513:19058825:1 gene:DRNTG_19741 transcript:DRNTG_19741.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRGNGFAWWIGCFWRKGKMQNKRLKDVEISFPIVYGTISFWLGKKASERRQVRI >DRNTG_19741.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19056902:19059323:1 gene:DRNTG_19741 transcript:DRNTG_19741.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQNKRLKDVEISFPIVYGTISFWLGKKASERRQVRI >DRNTG_19741.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19055513:19058825:1 gene:DRNTG_19741 transcript:DRNTG_19741.3 gene_biotype:protein_coding transcript_biotype:protein_coding KSKREATSEDLSVIIKRFVFQLHPSFNNPTSAVESAPFEVSESGWGEFEIAISLFFHNDVCDKQLDLFFQAFRRFFCLRPKPSCCWFKPATHSTYLLKL >DRNTG_08238.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000373.1:60897:61231:1 gene:DRNTG_08238 transcript:DRNTG_08238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTETPPTACEPPPAMNNTEVMVRLDILQQLLELDTTLPFIMRPRTPQAPPASPSPDPLAPFDLALEAVPTLDDTDA >DRNTG_27462.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21801512:21805791:-1 gene:DRNTG_27462 transcript:DRNTG_27462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQAVSEAIALTEKKMDMSLDDIIKMSKKTTSKAKIPPRASNKSQGFLNGRGPQGNFNLHRFMDSRSSIRQGVLAKRRTHFQGNQFPITTNVANRAAAYSTSNWMVNWNKPSAAGTSFRRNDGEKSFAGKDKMLVPKQKPQTLDARFASIKEQRIRAMTHQQQMVRGSILQTVAAQRRRTHQQQHGRVTTQYGRASRPFGKFAR >DRNTG_14321.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14676270:14681955:1 gene:DRNTG_14321 transcript:DRNTG_14321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFLSAFPSQTPHCWKGSASKPYMELPPHTAPLGMWVGPAQACALKGYSSVDRSSGQIGSDITPFSKEPYVRLSRYTTDKVVRPSMKEIGQCGSQCTDFGGNLAKECPLSPLA >DRNTG_14060.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5008100:5008855:1 gene:DRNTG_14060 transcript:DRNTG_14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSCMGLVAVIAVSSSVALVAVQFHKRLVSDLMKKLHVELELGVGCRTLPPPPTRMMTTMMTVGKKKVRFAADVVEPSSNNEEYRRRRRRSPEMQCTTTN >DRNTG_34862.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21977592:21984416:1 gene:DRNTG_34862 transcript:DRNTG_34862.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANAGMVAGSHKRNELVLIRHEGDGGPKPLKNMNGQICQICGDTVGLTATGDVFVACNECAFPVCRPCYEYERKDGNQSCPQCKTRYKRHKGSPRVDGDDDEEDTDDLDNEFSHEGNGKAARKWQSQGLGEDVDLSSSSRHESHRIPRLTNGPQVSGEIPDASPDRQSIRSQSGPLGSGERRGHSLSYVDPSQPVPVRIVDPSKDLNTYGLGSVDWKERVEGWKLKQEKNMVQVTNKYTDGKGDAEGTGSNGEDLQMSDDARQPLNRTVPIPSNQLNLYRVVIILRLIILCFFFQYRVTHPVSDAYPLWLTSVICEIWFALSWLLDQFPKWYPINRETYLERLALRYDREGEPSQLAPIDIFVSTVDPLKEPPLITANTVLSILSVDYPVDKVSCYVSDDGSAMLTFEALCETSEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKMPEEGWTMQDGTPWPGNNPRDHPGMIQVFLGNSGGLDVDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKALREAMCFMMDPALGKKTCYVQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEADLEPNIVFKSCCGSRKRGKKGSKEYIDKKRAVKRTESTIPIFNMEDIEEGVEGYEDERSLLMSQRSLEKRFGQSPIFIASTFMEQGGIPPSTNPSSLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPPRPAFKGSAPINLSDRLNQVLRWALGSIEILLSRHCPIWYGYSGRLKLLERLAYINTIVYPLTSIPLVAYCVLPAICLLTGKFIIPEISNYAGIWFILLFASIFATGILELRWSGVGIDDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYVFKWTSLLIPPTTVLVINIVGIVAGVSYAINSGYQSWGPLFGKLFFAIWVIVHLYPFLKGLLGRQNRTPTIVIVWSLLIASIFSLLWVRIDPFTGPSQKAAAAGQCGINC >DRNTG_01607.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:10942127:10950239:-1 gene:DRNTG_01607 transcript:DRNTG_01607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNPSILIEGDPELERTLRRKGKEPSYCCL >DRNTG_25093.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:742841:749959:-1 gene:DRNTG_25093 transcript:DRNTG_25093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGNDELMKKIRELEAEHALLKQEMSRLMLGDNRRSDNQYLNILQSMGQSVHIFDLSGKIIYWNTAAEKLYGYSELEAIGKDAIELLVDARDFGIGSNIVYRSIKGESWMGKFPLKNKSGERFLAVAANSPFYDDHGIFIGIICVSSDLRCFREVHLPEKISCQQPLSASIAEKFSNLAAKMTERVLSRIKTATMENSLARRQSDSMTSEPIIPERNEEVEKKTLSLNLISLKAETWITKKGMSWPGRATEKDGGNAINRSVWPSIEDNDSYNLRNSSESNMKQSNSVNERSRNEITLDAQESSSSFNADSSNSINSSGSTSSNTMQKVEMEIDCLDYEIVWEDLSIGVQIGQGSYGTVYHALWYGSDVAVKVFPKCEYSDETILSFKKEISVMKRLRHPNILLFMGAVLRNTFQSSLNSFPDWKRRIHVALDVARGMNYLHKCNPPVIHRDLKSSNLLVDKNWTVKVGDFGLSRLKHETYLMTKSGKGTPQWMAPEVLRDEPSDEKSDVYSYGVILWELVTEKIPWDNLNSMQVIGAVGFMNQRLEIPKDLDPKWESIIKSCWHRDGEVWEVCGSDGVDGFGFGYDCGGCGREAFREGYFYWR >DRNTG_00225.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15529826:15531135:-1 gene:DRNTG_00225 transcript:DRNTG_00225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSSSEPSLWFPGKKIEGVAIKPMTVRAAEIAKSREELLGLLHDLPESEYELSLTDLVQKETQDDGATTPKDMIKTLIEEDGFGASLVKERKKRRSSASSRGSSSEGVLLNVYMPISLTRSLTTNSAQRSHISKAPSIDCSKRDRETPRLGCWSAIWVKGRGKRRTEGLELKASVKI >DRNTG_28405.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24647499:24649305:1 gene:DRNTG_28405 transcript:DRNTG_28405.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRLEQVNINKKHGVAKLVGTIISIGGATIITLYKGPPILHQQHYITLGAIFSSSQILNWTLGCVYILGNCIAWSAWMVLQVPLLKKYPARLSITTITCFFGVIQFLVIAAFAEPDINKWKVHSGGELFTILYAGLVASGISFSLQIWCIDRGGPLFVAVFQPVQTVAVAIMASLILGDQLYSGGIIGSVLIIIGLYSVLWGKSEEKKPGSHEGVKDITKQLLDEENNQHRGNADIPC >DRNTG_28405.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24647499:24649305:1 gene:DRNTG_28405 transcript:DRNTG_28405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGERGVYSEKVKLLVGVLTLQFCFAGFHIVSRAALNMGVSKIVFPVYRNIIALVLLAPFAYFLEKKDRPPLTFSLTVELFFFALVGITANQGFYLLGLYYLSPTYASAIQNSVPAITFAMAAALRLEQVNINKKHGVAKLVGTIISIGGATIITLYKGPPILHQQHYITLGAIFSSSQILNWTLGCVYILGNCIAWSAWMVLQVPLLKKYPARLSITTITCFFGVIQFLVIAAFAEPDINKWKVHSGGELFTILYAGLVASGISFSLQIWCIDRGGPLFVAVFQPVQTVAVAIMASLILGDQLYSGGIIGSVLIIIGLYSVLWGKSEEKKPGSHEGVKDITKQLLDEENNQHRGNADIPC >DRNTG_28405.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24647499:24649305:1 gene:DRNTG_28405 transcript:DRNTG_28405.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQVPLLKKYPARLSITTITCFFGVIQFLVIAAFAEPDINKWKVHSGGELFTILYAGLVASGISFSLQIWCIDRGGPLFVAVFQPVQTVAVAIMASLILGDQLYSGGIIGSVLIIIGLYSVLWGKSEEKKPGSHEGVKDITKQLLDEENNQHRGNADIPC >DRNTG_19365.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5786158:5787278:-1 gene:DRNTG_19365 transcript:DRNTG_19365.1 gene_biotype:protein_coding transcript_biotype:protein_coding TAVKQAKKRAKNPPAAAKDMRNEMITALRVAAKRNSVKTSNPVKAISTIVPTCHN >DRNTG_09216.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4548811:4549740:1 gene:DRNTG_09216 transcript:DRNTG_09216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKRITMVSKPLSPAPAQCPRMLRISCDDYEATDSSSDDDDDEPCRRVKRYVQEIRFETAKPAGKQQQQQLNNNNKKKKKKKKPTPSPALASDTTTTRFRGVRRRPWGKYAAEIRDPWRRVRVWLGTFNTAEEAAMVYDSAAIQLRGPDATTNFSHPPPPLPSPSPSPSPSPPTVNATSTSGGYESGDESHAVLSSPTSVLRPFSGDQGESEKKESGGNVTGAAVRIPEEELGEFMLPFEEVPLYSDFLGFGEAEPMMIFDDSAQIGFISAADDLMRDLGDSSLPTWQGDDFFKDIADLFPIEPLPAI >DRNTG_07554.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21693570:21696534:1 gene:DRNTG_07554 transcript:DRNTG_07554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATELAALKLVTNLNFGEEEMVKLAFIDDSGASSHLRISLAGEFSISSSFKQRDEWDTTRIALCRNVKDITSSTKVVVAVLVNVVCKHQFPANKDLQGHEFATCPKDPILHGHFGHNFTSRNVNDQVSQLQALQGQASTFHSKLTKRRGLRMKICPMGMSKYTARMVAKAQGDPIVEQEQPGPVKRSHRQETGSQSMFGEDGSGLIPHAVPKDYKEADP >DRNTG_18914.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1490500:1495178:-1 gene:DRNTG_18914 transcript:DRNTG_18914.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKESFAVVKRSDDPYSDFRRSMTEMIVEKELYEPPALEELLHCLLSLNSRHHHRTIISTFSEIWDALFPKPLRFTVFLIFAHIILLQKYYVLTIIIEEVGISAQRSLRAHLRIFLIDHDRRDAGYQYLQGQERL >DRNTG_18914.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1490500:1495178:-1 gene:DRNTG_18914 transcript:DRNTG_18914.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKESFAVVKRSDDPYSDFRRSMTEMIVEKELYEPPALEELLHCLLSLNSRHHHRTIISTFSEIWDALFPKPLRRGRHQRSKKSAGSFAHFPHRPRPQGCWISISSGPRKALIPSACMHQRSKKSQRRRFASVKVINEIIGLDKEWRQHQFELEILRKDFNRINKDVAHLKIVKEDATEMINSTNGNKKLTAEKEIEVQQAKVALDSKLEIIGNLVHDSVLVSSDEANNEIV >DRNTG_18914.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1490500:1495178:-1 gene:DRNTG_18914 transcript:DRNTG_18914.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRSKKSQRRRFASVKVINEIIGLDKEWRQHQFELEILRKDFNRINKDVAHLKIVKEDATEMINSTNGNKKLTAEKEIEVQQAKVALDSKLEIIGNLVHDSVLVSSDEANNEIV >DRNTG_18914.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1490500:1495178:-1 gene:DRNTG_18914 transcript:DRNTG_18914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKESFAVVKRSDDPYSDFRRSMTEMIVEKELYEPPALEELLHCLLSLNSRHHHRTIISTFSEIWDALFPKPLRFTVFLIFAHIILLQKYYVLTIIIEEVGISAQRSLRAHLRIFLIDHDRRDAGYQYLQGQERL >DRNTG_02733.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19767252:19773667:-1 gene:DRNTG_02733 transcript:DRNTG_02733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIEKIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGAGEGFEVTKFGHGRVALIGFPSVGKSTLLTLLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVSKSSDIVLMVLDASKSEGHRQILTRELEAVGLRLNKRPPQIYFKKKKTGGISFNSTCALTHVDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYMKCIYVYNKIDVIGIDDVDKLARQPNSVVISCNLKLNLDRLLAKMWEAMGLVRVYTKPQGQQPDFTDPVVLSTDRGGCSVEDFCNHIHRSLIKDVKYVLVWGTSARHYPQHCGLSHVLHDEDVVQIVKKKEKEDGGRGRFKSHSTAPARISDREKKAPLKT >DRNTG_17094.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17368119:17371223:-1 gene:DRNTG_17094 transcript:DRNTG_17094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISHIRKNGDAIPVAAGHDLRRQTVCVTGAGGFIGSWLVKLLLLKGYNVRGTVRNIEDPKNLHLKGLEGAEERLTLYKADVLDYESVCLAFDCCDGVFHVASPVTNDPEKVKDAVEGTMNAVSAAVKAGVGRFVFTSSIGAVHMNPNRSSDAVLDENCWSDLDYCKKTDV >DRNTG_08661.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16829897:16830498:-1 gene:DRNTG_08661 transcript:DRNTG_08661.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSAPRSIPVPLSSPFRDEPQAFSSEMPPSSQTPALYIPHNEIIVANIRSLFPSLSQHLILYS >DRNTG_34312.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002119.1:26107:28291:-1 gene:DRNTG_34312 transcript:DRNTG_34312.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFCSFRMKKDNNQCRKMSKEPPQELLIEILARLPTKSAIRFSSVSKLWLSIIKDSAFQDLHSKHSRQSLRTNSLILMRGKIGEKSKISLNLLSIQRPILPNAMSHFIADHEYYCVGTCNGLLCFAPDKSQEPLFLCNPVTGEHITLPRAHCSFRAQYRHYYCSFAFGFCPYTEKYKIIEILSPQLELLSSYGTMMVYTVGSGKAWRKIKGFQHSLHSDSVYIDGKLYWQINCKREDGETGIVCFDVTKETVTRMEYPWSRVYRRGFENFSETILELDGHLTAASCHPGANHITLWMLKDFDKQQWEKGYSFSLPVLQNLDGKINRLVSLCECDGVLLTWLLDGLAVYDSKRGTMKWYRGYAISLLR >DRNTG_34312.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002119.1:26073:28556:-1 gene:DRNTG_34312 transcript:DRNTG_34312.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTGEDSERMKKDNNQCRKMSKEPPQELLIEILARLPTKSAIRFSSVSKLWLSIIKDSAFQDLHSKHSRQSLRTNSLILMRGKIGEKSKISLNLLSIQRPILPNAMSHFIADHEYYCVGTCNGLLCFAPDKSQEPLFLCNPVTGEHITLPRAHCSFRAQYRHYYCSFAFGFCPYTEKYKIIEILSPQLELLSSYGTMMVYTVGSGKAWRKIKGFQHSLHSDSVYIDGKLYWQINCKREDGETGIVCFDVTKETVTRMEYPWSRVYRRGFENFSETILELDGHLTAASCHPGANHITLWMLKDFDKQQWEKGYSFSLPVLQNLDGKINRLVSLCECDGVLLTWLLDGLAVYDSKRGTMKWYRGYAISLLR >DRNTG_34312.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002119.1:25994:28556:-1 gene:DRNTG_34312 transcript:DRNTG_34312.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTGEDSESFRMKKDNNQCRKMSKEPPQELLIEILARLPTKSAIRFSSVSKLWLSIIKDSAFQDLHSKHSRQSLRTNSLILMRGKIGEKSKISLNLLSIQRPILPNAMSHFIADHEYYCVGTCNGLLCFAPDKSQEPLFLCNPVTGEHITLPRAHCSFRAQYRHYYCSFAFGFCPYTEKYKIIEILSPQLELLSSYGTMMVYTVGSGKAWRKIKGFQHSLHSDSVYIDGKLYWQINCKREDGETGIVCFDVTKETVTRMEYPWSRVYRRGFENFSETILELDGHLTAASCHPGANHITLWMLKDFDKQQWEKGYSFSLPVLQNLDGKINRLVSLCECDGVLLTWLLDGLAVYDSKRGTMKWYRGYAISLLR >DRNTG_34312.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002119.1:26073:28556:-1 gene:DRNTG_34312 transcript:DRNTG_34312.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTGEDSESFRMKKDNNQCRKMSKEPPQELLIEILARLPTKSAIRFSSVSKLWLSIIKDSAFQDLHSKHSRQSLRTNSLILMRGKIGEKSKISLNLLSIQRPILPNAMSHFIADHEYYCVGTCNGLLCFAPDKSQEPLFLCNPVTGEHITLPRAHCSFRAQYRHYYCSFAFGFCPYTEKYKIIEILSPQLELLSSYGTMMVYTVGSGKAWRKIKGFQHSLHSDSVYIDGKLYWQINCKREDGETGIVCFDVTKETVTRMEYPWSRVYRRGFENFSETILELDGHLTAASCHPGANHITLWMLKDFDKQQWEKGYSFSLPVLQNLDGKINRLVSLCECDGVLLTWLLDGLAVYDSKRGTMKWYRGYAISLLR >DRNTG_34312.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002119.1:25994:28291:-1 gene:DRNTG_34312 transcript:DRNTG_34312.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFCSFRMKKDNNQCRKMSKEPPQELLIEILARLPTKSAIRFSSVSKLWLSIIKDSAFQDLHSKHSRQSLRTNSLILMRGKIGEKSKISLNLLSIQRPILPNAMSHFIADHEYYCVGTCNGLLCFAPDKSQEPLFLCNPVTGEHITLPRAHCSFRAQYRHYYCSFAFGFCPYTEKYKIIEILSPQLELLSSYGTMMVYTVGSGKAWRKIKGFQHSLHSDSVYIDGKLYWQINCKREDGETGIVCFDVTKETVTRMEYPWSRVYRRGFENFSETILELDGHLTAASCHPGANHITLWMLKDFDKQQWEKGYSFSLPVLQNLDGKINRLVSLCECDGVLLTWLLDGLAVYDSKRGTMKWYRGYAISLLR >DRNTG_34312.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002119.1:26107:28556:-1 gene:DRNTG_34312 transcript:DRNTG_34312.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTGEDSESFRMKKDNNQCRKMSKEPPQELLIEILARLPTKSAIRFSSVSKLWLSIIKDSAFQDLHSKHSRQSLRTNSLILMRGKIGEKSKISLNLLSIQRPILPNAMSHFIADHEYYCVGTCNGLLCFAPDKSQEPLFLCNPVTGEHITLPRAHCSFRAQYRHYYCSFAFGFCPYTEKYKIIEILSPQLELLSSYGTMMVYTVGSGKAWRKIKGFQHSLHSDSVYIDGKLYWQINCKREDGETGIVCFDVTKETVTRMEYPWSRVYRRGFENFSETILELDGHLTAASCHPGANHITLWMLKDFDKQQWEKGYSFSLPVLQNLDGKINRLVSLCECDGVLLTWLLDGLAVYDSKRGTMKWYRGYAISLLR >DRNTG_34312.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002119.1:26073:27875:-1 gene:DRNTG_34312 transcript:DRNTG_34312.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFCSFRMKKDNNQCRKMSKEPPQELLIEILARLPTKSAIRFSSVSKLWLSIIKDSAFQDLHSKHSRQSLRTNSLILMRGKIGEKSKISLNLLSIQRPILPNAMSHFIADHEYYCVGTCNGLLCFAPDKSQEPLFLCNPVTGEHITLPRAHCSFRAQYRHYYCSFAFGFCPYTEKYKIIEILSPQLELLSSYGTMMVYTVGSGKAWRKIKGFQHSLHSDSVYIDGKLYWQINCKREDGETGIVCFDVTKETVTRMEYPWSRVYRRGFENFSETILELDGHLTAASCHPGANHITLWMLKDFDKQQWEKGYSFSLPVLQNLDGKINRLVSLCECDGVLLTWLLDGLAVYDSKRGTMKWYRGYAISLLR >DRNTG_34312.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002119.1:26073:28291:-1 gene:DRNTG_34312 transcript:DRNTG_34312.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFCSFRMKKDNNQCRKMSKEPPQELLIEILARLPTKSAIRFSSVSKLWLSIIKDSAFQDLHSKHSRQSLRTNSLILMRGKIGEKSKISLNLLSIQRPILPNAMSHFIADHEYYCVGTCNGLLCFAPDKSQEPLFLCNPVTGEHITLPRAHCSFRAQYRHYYCSFAFGFCPYTEKYKIIEILSPQLELLSSYGTMMVYTVGSGKAWRKIKGFQHSLHSDSVYIDGKLYWQINCKREDGETGIVCFDVTKETVTRMEYPWSRVYRRGFENFSETILELDGHLTAASCHPGANHITLWMLKDFDKQQWEKGYSFSLPVLQNLDGKINRLVSLCECDGVLLTWLLDGLAVYDSKRGTMKWYRGYAISLLR >DRNTG_34312.9.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002119.1:26107:27875:-1 gene:DRNTG_34312 transcript:DRNTG_34312.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFCSFRMKKDNNQCRKMSKEPPQELLIEILARLPTKSAIRFSSVSKLWLSIIKDSAFQDLHSKHSRQSLRTNSLILMRGKIGEKSKISLNLLSIQRPILPNAMSHFIADHEYYCVGTCNGLLCFAPDKSQEPLFLCNPVTGEHITLPRAHCSFRAQYRHYYCSFAFGFCPYTEKYKIIEILSPQLELLSSYGTMMVYTVGSGKAWRKIKGFQHSLHSDSVYIDGKLYWQINCKREDGETGIVCFDVTKETVTRMEYPWSRVYRRGFENFSETILELDGHLTAASCHPGANHITLWMLKDFDKQQWEKGYSFSLPVLQNLDGKINRLVSLCECDGVLLTWLLDGLAVYDSKRGTMKWYRGYAISLLR >DRNTG_20783.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5599819:5603049:-1 gene:DRNTG_20783 transcript:DRNTG_20783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIDSQVLDEHFTMVASLIRGDQHHSTTNQAPSSQEPMSQVINAANQGQSTRGFTAGNFSRLCAYQNRRLKSAGYVQICPGYVHIKAARYVLISPGSKCPGFVQIKISSYVQISPGYVQISLGYVHINVAGYVLISPVARLPLLLQHSMSSYYLCCCCHHAGSVL >DRNTG_30453.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:344840:345468:-1 gene:DRNTG_30453 transcript:DRNTG_30453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQNVFETLIGKQQQILLATQVVKMILKIDDVIAPSEY >DRNTG_30453.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:344840:345377:-1 gene:DRNTG_30453 transcript:DRNTG_30453.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQENNPYCGIDCNDVGTTDMQEQNVFETLIGKQQQILLATQVVKMILKIDDVIAPSEY >DRNTG_17600.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7562733:7564081:1 gene:DRNTG_17600 transcript:DRNTG_17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GREPTPKECFIRTHGRKDGTLEAGRATKIVEQFEKAIVDKCSQGVDEDSINQDELWDEIAIGSHNRVVAKGNIIRQMSSSNYK >DRNTG_14775.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30057633:30063072:1 gene:DRNTG_14775 transcript:DRNTG_14775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKKSKNIAADMLPKSFKGAKCKTSLKLAMSRIKLMRNKKEIQVKQMRRDLAQLLEAGQEQTARIRVEHVIREEKTLSAYELIDIYCELIVARLPIIESQKMCPIDLREAIAGVVFASPRCADIPELMDVRKQFLAKYGKEFITSALEVRPDCGVSRLLVEKLSARAPDGQTKVKVMTSIAEEHNIKWDPKPFEDQLQKPNEDLLAGPSTFASVNQMPVKSSNFSDPPSSMNEPSTRMSQNEIPSKPTGFNASSAPNINTPSTSVPSVSHSKPDNMDGRSARQEVNSANQMENGSLNRQSWNMEFKDATSAAQAAAESAERASMAARAAAELARRGSAPYDINDGLDRSEFAKAKDEYATKESVPMPYNDVKPSQGMHMRKESRKIDEVSQAGSRREAKETYDGYSGMPRSSGQSLYHSSEISGRNDHLEINQKVDEGHLLVFRVASQIQSLLCRTIRMIKTSTMTMQKRLEMKIIVNLLVQVHSVMTLDGTQTVR >DRNTG_22542.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3676219:3677961:-1 gene:DRNTG_22542 transcript:DRNTG_22542.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLRRLRAPPTLSDHADAEHHYSQILQRCAHTSNLLLGSSIHAHILKLPLLTSSLFLQNHLLNMYFKSSPSPSLPLQLFDEMPHRNIVSWSASIAGLVQLHYPDHALLLFAQMRRAGIIPNEFTLVSTLNASSLTDNAAQSYRIYAHVIKLGFQSNLFLLNAFLTALIRSGRFDEAVELFEKSNEKDVVSWNSIISGFLQVESSELWAFWCRMNQEEVIPDEFSFSSILAGLAKVSIFMAGIQVHGQLVKSGFGDDVCVGNALADMYLKNQALVDALKVFDEMPQRDVVSWTEMASGCLLSGQPSKALDLIRRMKSAGIMPNKFTLATMFNACANLSSLEEGKKGHGFRIKLGVNVDECVDNALVDMYAKCGSMDCAWRAFQLMRDRSVISWTTMIMGFALNGLACEALKAFDEMILERVRPNHITLTCVLYACCQGGYIDEGLKYFEAMECEHCIAPGEDHYVCIVDLLSRAGRIAEAEAFIRSAPFKPSVRVWQTLLGACRVYGDIDTGERAAKAALALDKNDPSTYLLLSNMFANSSNWDGVSRVRELMENWQVKKIPGWSWIEVAKDDNHRLKVI >DRNTG_15026.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23770453:23772131:-1 gene:DRNTG_15026 transcript:DRNTG_15026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEYSMRSAVCESKFRESSSSLQMAHEERNTELQLVRVSNDAHRLTEMIDSLFKSSKLDRRVKDVARELLRGAMDLQESLVMLGKLQDASKQLAKTTKKNVAAVDGEREARRSVDGSSSRDCIDELRRVIRDSFQKHNLLSRSPEDERALSSRSMRFNPETELRDEHCERSRDGFGSNKKVKAPNLIAKLMGLEEVPLDETMSSLKPLRTPTFDDHMPKARKEQVMERSPDLQKKTLQDIIEKMQFKGILKNGQAEDFRIEPLAPNSSPLERYRSGRLHYDDEHPAYSYHEAFEAT >DRNTG_00074.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21832612:21833551:-1 gene:DRNTG_00074 transcript:DRNTG_00074.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKNLDDFWAFYVSQHSKALTRRLHFMGTLSGLLCLLSSLLCRRWILLLPAPIIGYALAWYSHLFVEGNVPATFGHPLWSFLCDLKMFAFMLSGRMDKEIKRLGKRPLL >DRNTG_00074.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21829368:21833229:-1 gene:DRNTG_00074 transcript:DRNTG_00074.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPPPQYFGRQRSLHNILGGGNVADVILWRRRSVTISILLGTVAAWVVFQMSGYTFLSFVSNVLLLLLSILFVWAKAAGLLNRPPPPIPKLQLSEAVIKTAADFVYSHMNMVLSATYKIALGKDTNLFYRVAGCLWLISFVGGLTDFLTLGYTGLLAVLTIPVLYEKYESYVERYVNIACMELRHRYESYTKHLNKVKNWILEKKEKLG >DRNTG_00074.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21829368:21833229:-1 gene:DRNTG_00074 transcript:DRNTG_00074.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPPPQYFGRQRSLHNILGGGNVADVILWRRRSVTISILLGTVAAWVVFQMSGYTFLSFVSNVLLLLLSILFVWAKAAGLLNRPPPPIPKLQLSEAVIKTAADFVYSHMNMVLSATYKIALGKDTNLFYRVAGCLWLISFVGGLTDFLTLGYTGLLAVLTIPVLYEKYESYVERYVNIACMELRHRYESYTKHLNKVKNWILEKKEKLG >DRNTG_21201.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001208.1:88412:94270:-1 gene:DRNTG_21201 transcript:DRNTG_21201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAASCLASCCAACTCGLCTSVASGVSRRSARLAYCGLFALSLIVSWILREFAAPLLEKLPWINTSSETLPTEWYQTSAVLRVSLGNFLFFTIFALIMIGVKDQNDRRDSWHHGGWIVKLVVWALLIVLMFFLPNVVVTIYATLSKFGSGLFLLVQVMLLLDFTHTWNDAWVEKDEQKWYIALLAVSVICYIATYSFSGVLFMWFNPSGQDCGLNIFFIVMTMILALAFAIIALHPKVNGSLLPASVISVYCAYLCYSGLSSEPRDYACNGLHNHSKSVSIGTLVLGMLTTVLSVVYSAVRAGSSTTFLSPPSSPKTGSSKPLIESGDMESGKDDSKAADAQPVSYSYTFFHLIFALASMYSAMLLTGWTSSTSGSSELIDVGWTSVWVRVCTEWVTAALYVWTLVAPLVLPDREFS >DRNTG_07298.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3471002:3472043:-1 gene:DRNTG_07298 transcript:DRNTG_07298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSIQTFMQALLILMAGALLIVTAQNCGCASNLCCSQYGFCGTGEAYCGYKCQRGPCYTPTGNVGDIVTDSFFNGIAQCKQFYTRSAFLQAAAKYPYFGRSGTIDDKKREIAAYFAHVTQETGHMCLIEENNGASKDYCDRTKTQYPCNPNKKYYGRGPLQLTWNYNYGPAGGDIGFDGLNQPEKVANDVVVSFKSSLWYWMTNNAHRHMVVDQDFGATIRAINGKYECDGGNTAAVNSRVGYYKDYCNRLGVDPGNHLTC >DRNTG_15214.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23281587:23285107:-1 gene:DRNTG_15214 transcript:DRNTG_15214.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQPMLDVQATYVPSVIYRPILPSDLDVLEQIHAKLFPIRYEREFFLNVVNGHGIVSWAAVDIGLNSQSDELIGFVTTRVIAAHDSEIEDLLTSDASRKDATLVYILTLGVVERYRNHGIAGSLVREVTKYASSMTNCRAVYLHVIAYNQPAINFYQKMQFKLIRKLHKFYYIKGRHYDAYLFVYYVNGGRSPCSPLLQWYAHPFPYAETSCQRLRRTLRACLSFLLQSYGKKPKRRALGGQSVKKAAPFYSLRIRESLVRTTQYANACDKAAISK >DRNTG_15214.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23281587:23285107:-1 gene:DRNTG_15214 transcript:DRNTG_15214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQPMLDVQATYVPSVIYRPILPSDLDVLEQIHAKLFPIRYEREFFLNVVNGHGIVSWAAVDIGLNSQSDELIGFVTTRVIAAHDSEIEDLLTSDASRKDATLVYILTLGVVERYRNHGIAGSLVREVTKYASSMTNCRAVYLHVIAYNQPAINFYQKMQFKLIRKLHKFYYIKGRHYDAYLFVYYVNGGRSPCSPLDVLSTAATYIKGLLKLLATKLWKKAEKKSPRWSKCKESSTLLLTQNKRIIGSDNTICQCV >DRNTG_15214.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23281587:23285107:-1 gene:DRNTG_15214 transcript:DRNTG_15214.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTNCRAVYLHVIAYNQPAINFYQKMQFKLIRKLHKFYYIKGRHYDAYLFVYYVNGGRSPCSPL >DRNTG_05478.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23243531:23249270:1 gene:DRNTG_05478 transcript:DRNTG_05478.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLGVNAYRFSISWSRVLPRGRFGGINTSGIEFYNKLINELLIRGIQPFVTLSHYDVPQELEDQYGAWLNTEIQEDFGYFAEVCFETFGDRVKYWVTFNEPNEAAKFGYEWGVYPPALHSQPYVAAHNMIISHAVAFHIYRTKYQDKQRGLIGIVLAMVWYEPLKETAEDYSSAQSIIDFDIGWFLDPLIYGDYPNEMRKRLGPKLPKFSAKDKEKMQHGLDFIGINHYTSLYVRSCGISPCIAVEKDGIPIGKKTPMPYYYVVPEGMEKIVTYISKTYNNTPIFITENGLSQKSDDFSSMGELLHDTDRIEYLDSYLTFLTRAIRKGADVRGYFVWSLIDNFEWIHGYTIRFGLYHVDYKTKKRTPKLSAKWFKDLLSDPRLQEKMRNQKSFDFVFKNR >DRNTG_05478.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23243999:23249270:1 gene:DRNTG_05478 transcript:DRNTG_05478.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLGVNAYRFSISWSRVLPRGRFGGINTSGIEFYNKLINELLIRGIQPFVTLSHYDVPQELEDQYGAWLNTEIQEDFGYFAEVCFETFGDRVKYWVTFNEPNEAAKFGYEWGVYPPALHSQPYVAAHNMIISHAVAFHIYRTKYQDKQRGLIGIVLAMVWYEPLKETAEDYSSAQSIIDFDIGWFLDPLIYGDYPNEMRKRLGPKLPKFSAKDKEKMQHGLDFIGINHYTSLYVRSCGISPCIAVEKDGIPIGKKTPMPYYYVVPEGMEKIVTYISKTYNNTPIFITENGLSQKSDDFSSMGELLHDTDRIEYLDSYLTFLTRAIRKGADVRGYFVWSLIDNFEWIHGYTIRFGLYHVDYKTKKRTPKLSAKWFKDLLSDPRLQEKMRNQKSFDFVFKNR >DRNTG_05478.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23245480:23249270:1 gene:DRNTG_05478 transcript:DRNTG_05478.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIISHAVAFHIYRTKYQDKQRGLIGIVLAMVWYEPLKETAEDYSSAQSIIDFDIGWFLDPLIYGDYPNEMRKRLGPKLPKFSAKDKEKMQHGLDFIGINHYTSLYVRSCGISPCIAVEKDGIPIGKKTPMPYYYVVPEGMEKIVTYISKTYNNTPIFITENGLSQKSDDFSSMGELLHDTDRIEYLDSYLTFLTRAIRKGADVRGYFVWSLIDNFEWIHGYTIRFGLYHVDYKTKKRTPKLSAKWFKDLLSDPRLQEKMRNQKSFDFVFKNR >DRNTG_12285.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24729347:24731863:-1 gene:DRNTG_12285 transcript:DRNTG_12285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEKISKAEKKRRARERKRREAEECVLVCARCHSLRNYGQVKNQNVENLIPDFDFDRFITTRLMKPATVAPLVVVVVDCVDFDGSFPKRAVKSLFRALEGGKKNPRLSKLPKLVLVATKVDLLPSQISPARLDRWVRNRAKAAGAPKLNGVYLVSARKDLGVRNLLAFIKESAGPRGNVWVIGAQNAGKSTLINTFAKKEGMKITRLTEAAVPGTTLGILRIGGILPAKAKMYDTPGLLQPNLMTMRLNREEQKMVEIRKELKPRSYRMKAGQTVHVGGLMRLDVLETSVSTIYVTIWASPNISLHMGKTENADELQTNHVGIRLQPPIGQDRVPELGEWKPREIKVSGVSWDVNSIDIAASGLGWFSLGLKGEAIVMLWTFDGVEITEREALVLDRAQFLERPGFLLPKAISDAIGNQSRSKAEKKKRKEEMIDTLVETSI >DRNTG_28231.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11930458:11931291:1 gene:DRNTG_28231 transcript:DRNTG_28231.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDRSWMYSRLNDGFITPNYFNGVEDFISFAFSQHDFVRGNKIRCPCVGCQNNKWQISDNVRKHLFLKGFCYGYTTWISHGEQPTGESSHSRADNEPICQGQNENLYARMVMDVAMGSFDFDANQGKELRVECESPNPSASNFFSLLQDADEPLWSGCVNYTKLSAVSQLLNCKAEFNMSESCFNRLIKVVKSMLPADECLLEDFYKMKKRLTKLGLGYVQIHVC >DRNTG_28231.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11930655:11931291:1 gene:DRNTG_28231 transcript:DRNTG_28231.3 gene_biotype:protein_coding transcript_biotype:protein_coding FITPNYFNGVEDFISFAFSQHDFVRGNKIRCPCVGCQNNKWQISDNVRKHLFLKGFCYGYTTWISHGEQPTGESSHSRADNEPICQGQNENLYARMVMDVAMGSFDFDANQGKELRVECESPNPSASNFFSLLQDADEPLWSGCVNYTKLSAVSQLLNCKAEFNMSESCFNRLIKVVKSMLPADECLLEDFYKMKKRLTKLGLGYVQIHVC >DRNTG_28231.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11930458:11934458:1 gene:DRNTG_28231 transcript:DRNTG_28231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDRSWMYSRLNDGFITPNYFNGVEDFISFAFSQHDFVRGNKIRCPCVGCQNNKWQISDNVRKHLFLKGFCYGYTTWISHGEQPTGESSHSRADNEPICQGQNENLYARMVMDVAMGSFDFDANQGKELRVECESPNPSASNFFSLLQDADEPLWSGCVNYTKLSAVSQLLNCKAEFNMSESCFNRLIKVVKSMLPADECLLEDFYKMKKRLTKLGLGYVQIHVCPKNCILFYRETIELEICSICGHPRYKPSKSSGRRQKRIPFKILLYFPLVPRLQRLYMSAKIAEHMTWHAYNKSNDRVLRHPVDSEAWQHFNLTHESFAMEPQNVRLGLCADGFNPFGPASKPYSVWPVMLNVYNLPPWMCMKKPYIFLSMVIPGNPNQNIDVFFTAPNR >DRNTG_19395.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22165669:22167987:1 gene:DRNTG_19395 transcript:DRNTG_19395.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRSTKVRSRSFPPSPPFSPRMTTLPLFRSQLVSSSPAPSPSSSSGPSAAAPSAPRN >DRNTG_19395.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22165669:22167987:1 gene:DRNTG_19395 transcript:DRNTG_19395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITIRTIVNGLCYLATFVFGVNSVGLILYAIQLSFSSLTENLNSPKSAEDDRQVNNAMLSTENSVDNIESISEDVQGSSKDPTK >DRNTG_11928.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:966824:967401:1 gene:DRNTG_11928 transcript:DRNTG_11928.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVPWDVLFGKTHRGGVTVAGDAFHPMTPDLGQGGCTALEDAVVLARCLANSRGNVSSGMEMYVKERRWRVAGIITGAFLSGWVQQGGTGWRWWLVKLFRDHLFYRFVFPRIVNAVSYDCGVLPEKEKTL >DRNTG_22363.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4719364:4722561:1 gene:DRNTG_22363 transcript:DRNTG_22363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQKPAVYLELDPMRIAFDHQMTFGNFEGTPAIVLSTWNNLVDAAVVVVVATDSVALNVSQREASSPLPWEHLAGDTFCNLGSFFPPWKKARAWAWRKFHSLAIVESGPRNSNRGAMVMECEVGKLYARMRDTVSAATSSPLLIFPSASDDDSLCALKIITHVLSSNSIRYSVCPVSSFHQITGWKFLSPDQSA >DRNTG_25359.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24158191:24167081:-1 gene:DRNTG_25359 transcript:DRNTG_25359.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAVSFRPSFGRLSVNPSPREAPFALSFVKGVSGRSFCPSFALKARGNRSCFSRSFGRGTIRCSTSSTTETASVGKKKLMTRRDVRNIAIIAHVDHGKTTLVDAMLKQAKVFRDNQFVQERIMDSNDLERERGITILSKNTSIFYKGTKINIIDTPGHSDFGGEVERVLNMVEGVLLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPSARPDFVINSTFELFIELNATDEQCDFQAIYASGIKGKAGLSPDDLADDLGPLFEAILRCIPEPSINKDGPLQMLVTNIEYDEHKGRIAIGRLHAGELKKGMEVKVCTSDETCRLAKISELFVYENFSRVPAESVEAGDICAVCGVSDIMIGETIADRSSGTALPSIKVEEPTVRMSFTINTSPFVGREGKYVTSRNLRDRLYRELERNLAMKVEDGETADTFIVSGRGTLHITILIENMRREGYEFMVGPPKVISKRVDDKLLEPYEIATVEVPEEYMGPVVELLGKRRGQMFDMQGIGSEGTSLLKYKIPTRGLLGLRNSILTASRGTAVLNTVFDAYGPWAGDISTRDQGSLVAFENGTTTSYALFSAQERGQMFVGPGVEVYKGQIVGIHQRPGDLSLNVCKKKAATNIRSNKEQTVVLDTPLTLSLDDCIEYIEEDELVEITPSSIRLCKNPKVTKKK >DRNTG_25359.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24158354:24167081:-1 gene:DRNTG_25359 transcript:DRNTG_25359.5 gene_biotype:protein_coding transcript_biotype:protein_coding METAVSFRPSFGRLSVNPSPREAPFALSFVKGVSGRSFCPSFALKARGNRSCFSRSFGRGTIRCSTSSTTETASVGKKKLMTRRDVRNIAIIAHVDHGKTTLVDAMLKQAKVFRDNQFVQERIMDSNDLERERGITILSKNTSIFYKGTKINIIDTPGHSDFGGEVERVLNMVEGVLLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPSARPDFVINSTFELFIELNATDEQCDFQAIYASGIKGKAGLSPDDLADDLGPLFEAILRCIPEPSINKDGPLQMLVTNIEYDEHKGRIAIGRLHAGELKKGMEVKVCTSDETCRLAKISELFVYENFSRVPAESVEAGDICAVCGVSDIMIGETIADRSSGTALPSIKVEEPTVRMSFTINTSPFVGREGKYVTSRNLRDRLYRELERNLAMKVEDGETADTFIVSGRGTLHITILIENMRREGYEFMVGPPKVISKRVDDKLLEPYEIATVEVPEEYMGPVVELLGKRRGQMFDMQGIGSEGTSLLKYKIPTRGLLGLRNSILTASRGTAVLNTVFDAYGPWAGDISTRDQGSLVAFENGTTTSYALFSAQERGQMFVGPGVEVYKGQIVGIHQRPGDLSLNVCKKKAATNIRSNKEQTVVLDTPLTLSLDDCIEYIEEDELVEITPSSIRLCKNPKVTKKK >DRNTG_25359.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24158354:24167081:-1 gene:DRNTG_25359 transcript:DRNTG_25359.3 gene_biotype:protein_coding transcript_biotype:protein_coding METAVSFRPSFGRLSVNPSPREAPFALSFVKGVSGRSFCPSFALKARGNRSCFSRSFGRGTIRCSTSSTTETASVGKKKLMTRRDVRNIAIIAHVDHGKTTLVDAMLKQAKVFRDNQFVQERIMDSNDLERERGITILSKNTSIFYKGTKINIIDTPGHSDFGGEVERVLNMVEGVLLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPSARPDFVINSTFELFIELNATDEQCDFQAIYASGIKGKAGLSPDDLADDLGPLFEAILRCIPEPSINKDGPLQMLVTNIEYDEHKGRIAIGRLHAGELKKGMEVKVCTSDETCRLAKISELFVYENFSRVPAESVEAGDICAVCGVSDIMIGETIADRSSGTALPSIKVEEPTVRMSFTINTSPFVGREGKYVTSRNLRDRLYRELERNLAMKVEDGETADTFIVSGRGTLHITILIENMRREGYEFMVGPPKVISKRVDDKLLEPYEIATVEVPEEYMGPVVELLGKRRGQMFDMQGIGSEGTSLLKYKIPTRGLLGLRNSILTASRGTAVLNTVFDAYGPWAGDISTRDQGSLVAFENGTTTSYALFSAQERGQMFVGPGVEVYKGQIVGIHQRPGDLSLNVCKKKAATNIRSNKEQTVVLDTPLTLSLDDCIEYIEEDELVEITPSSIRLCKNPKVTKKK >DRNTG_25359.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24158354:24167081:-1 gene:DRNTG_25359 transcript:DRNTG_25359.4 gene_biotype:protein_coding transcript_biotype:protein_coding METAVSFRPSFGRLSVNPSPREAPFALSFVKGVSGRSFCPSFALKARGNRSCFSRSFGRGTIRCSTSSTTETASVGKKKLMTRRDVRNIAIIAHVDHGKTTLVDAMLKQAKVFRDNQFVQERIMDSNDLERERGITILSKNTSIFYKGTKINIIDTPGHSDFGGEVERVLNMVEGVLLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPSARPDFVINSTFELFIELNATDEQCDFQAIYASGIKGKAGLSPDDLADDLGPLFEAILRCIPEPSINKDGPLQMLVTNIEYDEHKGRIAIGRLHAGELKKGMEVKVCTSDETCRLAKISELFVYENFSRVPAESVEAGDICAVCGVSDIMIGETIADRSSGTALPSIKVEEPTVRMSFTINTSPFVGREGKYVTSRNLRDRLYRELERNLAMKVEDGETADTFIVSGRGTLHITILIENMRREGYEFMVGPPKVISKRVDDKLLEPYEIATVEVPEEYMGPVVELLGKRRGQMFDMQGIGSEGTSLLKYKIPTRGLLGLRNSILTASRGTAVLNTVFDAYGPWAGDISTRDQGSLVAFENGTTTSYALFSAQERGQMFVGPGVEVYKGQIVGIHQRPGDLSLNVCKKKAATNIRSNKEQTVVLDTPLTLSLDDCIEYIEEDELVEITPSSIRLCKNPKVTKKK >DRNTG_25359.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24158354:24167081:-1 gene:DRNTG_25359 transcript:DRNTG_25359.2 gene_biotype:protein_coding transcript_biotype:protein_coding METAVSFRPSFGRLSVNPSPREAPFALSFVKGVSGRSFCPSFALKARGNRSCFSRSFGRGTIRCSTSSTTETASVGKKKLMTRRDVRNIAIIAHVDHGKTTLVDAMLKQAKVFRDNQFVQERIMDSNDLERERGITILSKNTSIFYKGTKINIIDTPGHSDFGGEVERVLNMVEGVLLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPSARPDFVINSTFELFIELNATDEQCDFQAIYASGIKGKAGLSPDDLADDLGPLFEAILRCIPEPSINKDGPLQMLVTNIEYDEHKGRIAIGRLHAGELKKGMEVKVCTSDETCRLAKISELFVYENFSRVPAESVEAGDICAVCGVSDIMIGETIADRSSGTALPSIKVEEPTVRMSFTINTSPFVGREGKYVTSRNLRDRLYRELERNLAMKVEDGETADTFIVSGRGTLHITILIENMRREGYEFMVGPPKVISKRVDDKLLEPYEIATVEVPEEYMGPVVELLGKRRGQMFDMQGIGSEGTSLLKYKIPTRGLLGLRNSILTASRGTAVLNTVFDAYGPWAGDISTRDQGSLVAFENGTTTSYALFSAQERGQMFVGPGVEVYKGQIVGIHQRPGDLSLNVCKKKAATNIRSNKEQTVVLDTPLTLSLDDCIEYIEEDELVEITPSSIRLCKNPKVTKKK >DRNTG_01929.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32416414:32417241:1 gene:DRNTG_01929 transcript:DRNTG_01929.1 gene_biotype:protein_coding transcript_biotype:protein_coding PILSCPLSLCSEPRGSVHSLPLGFLQPLTELLPKRAIGDGFHRRERDRGEDENSSQLKRRNTNTTE >DRNTG_10762.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29620974:29622358:1 gene:DRNTG_10762 transcript:DRNTG_10762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSILPSSDTIEIREVWAENLEEEFSLIRSFIDEFPFVAMDTEFPGVVLRPVGAFPTTADYHYLTLKANVDILKLIQLGLTLSASDGSLPVSPSSGRLLVWQFNFREFDPEADIYAHDSIDLLTSSGIDFQKNFEKGIDSMRFAELLMSSGIVLNDSIHWVTFHSGYDFGYLLKLLTCRNLPETQAGFFELINIYFPRVYDIKHLMRFCNSLHGGLNKLAELLEVERVGICHQAGSDSLLTAWIFRKLNECFFNGSTERYAGVLYGLGVENGQATSH >DRNTG_12102.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4958555:4958881:-1 gene:DRNTG_12102 transcript:DRNTG_12102.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVKAERRPNYEVNKNYLRTTTVLRILAFISTLSATLVMVFNKQTVQVLGLEMSASFKSSPAFV >DRNTG_12102.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4957839:4958881:-1 gene:DRNTG_12102 transcript:DRNTG_12102.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVKAERRPNYEVNKNYLRTTTVLRILAFISTLSATLVMVFNKQTVQVLGLEMSASFKSSPAFVFFVIGNVIICVYSLVSLGFLSTLLNGYLLHLLDLVVMVLAISAVSSATAIGYLGKKGNVHTGWSQVCSMFGKFCKRVQISLACSFVAVIALLVICMLSSVHKTKQINTY >DRNTG_06819.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15536136:15537909:-1 gene:DRNTG_06819 transcript:DRNTG_06819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNQSSQPSNSNHLFDELRWVIQIRRHLEESTEDDHDIPVTIFSVPKALRVSKPEAFVPQLIALGPYHHWQPELYEMERYKLSAAKRAQKQLHGQKFQQVVEHFIKLEHITHAHYHRYLDFNGETLAWMMIVDTSFLLEFLQSYAPEEGKVLRRVPSRMSHLVDKAGRKSAHNMILRDIMMLENQVPLFLLRVCLEFQCSSLQEADDVLTLMLNGFMRALCPFKMMQNFLCIDVTRHAHLLELLYYILVPKPEEQNCEVIDIHEDQNDAGGSIDQTNNDDSSHIKQFFNASWTAASGLNGSVIQYIKQVLFSKPIKFLVKVPWKIITSLPVFSVLKKPVEYLFFSETSANSKTENSSSNQNISKQPLIEEIMIPSVRELVNAGISFSATKGDMTTIQFDLKTVTLYLPTVKLDNNTEVILRNLVAYESSLASGPLVFTRYTELMNGIIDTDEDVKLLRERGIILNKMKSDGEVAKLWNSMSRSVRLTRVPFLDKVIEDVNKYYSSRWRVKTTKFMKKYVFGSWQFLTFLAAILLLLLTSLQAFCSVYSCARWFNPAIIGQ >DRNTG_30032.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19257240:19260301:-1 gene:DRNTG_30032 transcript:DRNTG_30032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQYQLPEILRTPLQELCLNIKSLQLGAVATFLSKALQPPDALSVQNAIELLKTIGAFDEREELTPLGHHLCTLPLDPNIGKMLIMGSIFQCLDPALTIASALAHRDPFVLPINRKEEADAAKRSFAGDSCSDHIALLKAFEAWKDAKRSGRDRAFCWEKFLSPITLQMMDDMRNQFFDLLSDIGFVNKAKGIKSYNKYGHDLEMVCAILCAGLYPNVIQCKRRGKRTAFYSKDVGKVDIHPSSVNAGIHLFPLPYMVYSEKVKTTSIYIRDSTNISDYALLLFGGGLTPNKSTGSIEMLGGYLHFSASKSVLQLIQNLRAELDKLLQRKIEVPGLDIYAEGKGVVSAATELLHSQNVSAMKH >DRNTG_23665.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20509533:20511797:-1 gene:DRNTG_23665 transcript:DRNTG_23665.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPMTALTVIASLANDLISFIVFSALDLLDFLLCFVYKLVDYAMEAEWRPCYCSGSGKDMIMNSGKILISDNGGSKVLSLCSSKLELEDISDTLYSRPSLVSEISRSLKLERKHRQTTTTSSTTTTFTINSTIIEMLQCKIGGRQANPVPRWSDCHCKDCSSWCSPGGSPDTLFIRSLIPPEAEEDVLFIHGFISSSAFWTETVFPNFSEEAKSKYRMFAVDLLGCGRSPKPGDSLYTLREHVDMIERSVIIPFNLKSFHIVAHSLGSILALALAIKHPNSIKSLTLLAPPYFPVPEGEKGTQYVLRRVAPRRVWPLMAFGASLICWYEHLSRTICLLLCKHHQLLKFIFKLITFNRIRTFLMDGFFCHTHNASWHTMHNIICGSGGKLDDYLDIVRDELCCDVTVFHGGADELLPVECSLAVKAKIPRAQVNVVQDMDHITIVVGRQKAFARDLEEIWKNTKNCRQLNERNES >DRNTG_23665.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20509533:20510121:-1 gene:DRNTG_23665 transcript:DRNTG_23665.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFFCHTHNASWHTMHNIICGSGGKLDDYLDIVRDELCCDVTVFHGGADELLPVECSLAVKAKIPRAQVNVVQDMDHITIVVGRQKAFARDLEEIWKNTKNCRQLNERNES >DRNTG_24579.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7841372:7846983:1 gene:DRNTG_24579 transcript:DRNTG_24579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMVIVGAAMSMLEEMRDMVRLVYAKVLAAVLWSSLAIMLVRIVIDKFGIPAIRNSLYDASQIIDHVLLDIGCSFSPHSDFDDDDGNYGHENPSIVHDEAGLSIQQPHEEAKPFVDEMRHNVTTFDVLPSLHDNFNGIDLFVDLSDVADLRSQSHQPILITVMEEEEEEEEEEEEEE >DRNTG_03523.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000165.1:41385:43422:1 gene:DRNTG_03523 transcript:DRNTG_03523.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLEAKANFVSFQDARNDSSSSFANSLEALRMGEKIHDWYLVRREAIETLRLAQVIVKFQALVKVRLACQLIQKSAAKEVKKTKDHTLLEKSTAADSRIQMVSSKCSTEISISSMLDLHDRLEAEGGKIVLEIGLATKEKYAANNGDGEMFNYEKNLNAEANKSTQTNTEGDVLVI >DRNTG_12003.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7770482:7771000:-1 gene:DRNTG_12003 transcript:DRNTG_12003.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKPTKIKTSITHVPSSTPSNCKQYQKPQILESVKTKLVFN >DRNTG_00215.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15688788:15689318:-1 gene:DRNTG_00215 transcript:DRNTG_00215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNLGWFMDPLVFGDYPRSMRAYVGDRLPKFTKKQSEIVKGSFDFIGLNYYTSSYARNIPSSNIVNVGFETDSHVEVTAVRNGIPIGPQ >DRNTG_34871.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21942297:21944649:1 gene:DRNTG_34871 transcript:DRNTG_34871.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prolyl 4-hydroxylase 12 [Source:Projected from Arabidopsis thaliana (AT4G25600) UniProtKB/Swiss-Prot;Acc:Q8GXT7] MQILHYDVNNMDAIQYDNYNATFRPVYGGHRIATILIFLSTVTHGGETIFPMSVLKDTQIKDSRWSDCAANGYAVKPVKGDALLVFNLHPNATLDFSSFHGDCKVLEGEKWIAIKQIHVKTFVQNQPLIEFKDDCTDEDDSCSQWAAIGECQRNPVYMLGTPDYYGTCRKSCGAC >DRNTG_34871.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21944026:21944649:1 gene:DRNTG_34871 transcript:DRNTG_34871.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prolyl 4-hydroxylase 12 [Source:Projected from Arabidopsis thaliana (AT4G25600) UniProtKB/Swiss-Prot;Acc:Q8GXT7] MQILHYDVNNMDAIQYDNYNATFRPVYGGHRIATILIFLSTVTHGGETIFPMSVLKDTQIKDSRWSDCAANGYAVKPVKGDALLVFNLHPNATLDFSSFHGDCKVLEGEKWIAIKQIHVKTFVQNQPLIEFKDDCTDEDDSCSQWAAIGECQRNPVYMLGTPDYYGTCRKSCGAC >DRNTG_34871.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21942297:21944649:1 gene:DRNTG_34871 transcript:DRNTG_34871.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prolyl 4-hydroxylase 12 [Source:Projected from Arabidopsis thaliana (AT4G25600) UniProtKB/Swiss-Prot;Acc:Q8GXT7] MASLLSIPLLLLILANSQQICSTSRFVDLETKREKLDLVQEKSHIIKSTQFNPAKVTQLSWHPRLFLCEGFLSDKESDHLIALAQNKLQKASAINIGSGKNTHDLQNNTNSCVSLARDFDEIVSIIEKRIAAWTFLPKENGEDMQILHYDVNNMDAIQYDNYNATFRPVYGGHRIATILIFLSTVTHGGETIFPMSVLKDTQIKDSRWSDCAANGYAVKPVKGDALLVFNLHPNATLDFSSFHGDCKVLEGEKWIAIKQIHVKTFVQNQPLIEFKDDCTDEDDSCSQWAAIGECQRNPVYMLGTPDYYGTCRKSCGAC >DRNTG_16057.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25716484:25729080:1 gene:DRNTG_16057 transcript:DRNTG_16057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAMEAGSAISNRNRAIVSALCKRLSIDPKSISTDSIGGYDLMSLYSNILKLSASGVHLDNQTEIMKWVKFASDFPCEANAYRATLKQLNEDLNQRAVLLGDGLKPSEADIIVFSAVHSILTHLTNAEVQEFPNLMRWMDYIQNKVDFGGAFETVVVNKPAFELFFSKKLKADSELPSNKASRDLRNADKPEQNINANKGTAEKNVAANVKATETPKNSKSTEEKKKSSEKDSAEKDTEASISILNIQVGIIRKAWKHPSADSLLVEEIDLGEGNLRQVVSGLAKYCSPEQLTNRRVVLISNVKPGKLRDVMSAGLVLCASNQDHTVVEPIVPPEGAAIGERIIVAGHDGKPEDVLNPKKKQLEKITPLMFTDDKGVATYKGIPFMTSAGPCSSSIPNASIK >DRNTG_17353.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32178608:32178756:-1 gene:DRNTG_17353 transcript:DRNTG_17353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVHVTCAWFHPEVSFANFKMEPAIGILKIPLNSFAKV >DRNTG_24096.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4675685:4680057:-1 gene:DRNTG_24096 transcript:DRNTG_24096.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTELVSDFRREYATKLEQSQVRVRRLQKDLAIEEQHGQQLSEILKKILPDTKSSQSGKSRPRRKASIDRLKMSRRLTEEALNYFDECVSISTFDSSDFSSMEEPPPISTVSATPIDNGRCSSSENLSSSTPKFSNDHLDHHEESDDQTQCSLSFAESDCALSSICSGRKFSDPTLSNDNQVGQIDSDTPRSETYQFSFRHKQNETRRVHDIRHYLKNFEKDPRTEIARGTKTRLSYNADVYDLNTSAERLLSEKVIFRNRIDTGGLLICDIRIF >DRNTG_24096.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4675685:4680057:-1 gene:DRNTG_24096 transcript:DRNTG_24096.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTELVSDFRREYATKLEQSQVRVRRLQKDLAIEEQHGQQLSEILKKILPDTKSSQSGKSRPRRKASKPLCISLFLLKSHFLLN >DRNTG_24096.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4675685:4680057:-1 gene:DRNTG_24096 transcript:DRNTG_24096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAFRSKSKRSTSVAPPNHVSSLRNRPADDAEKKIPARRSRSVSAVSRYAGAAAEPAEVEFMNKRDNPLFSCSGSSPLSPSSESDVHCEVLEGGRSDFDSKRGRSVSRSSGFGKESSSNRSLSSVSTGGRRRSVSRGHYGNSERKEGRILKDGGVLDDTRNLHTWTSRHPPPDPWETSSSCGRARNWEDGISTSSHSETEDTLHRKGFLSDHSSKLVGDGGIYKTIRSEVRRAVYEVRDDLQNAIRQKNSAIINENVADCASEFVDHSMTELVSDFRREYATKLEQSQVRVRRLQKDLAIEEQHGQQLSEILKKILPDTKSSQSGKSRPRRKASIDRLKMSRRLTEEALNYFDECVSISTFDSSDFSSMEEPPPISTVSATPIDNGRCSSSENLSSSTPKFSNDHLDHHEESDDQTQCSLSFAESDCALSSICSGRKFSDPTLSNDNQVGQIDSDTPRSETYQFSFRHKQNETRRVHDIRHYLKNFEKDPRTEIARGTKTRLSYNADVYDLNTSAERLLSEKVIFRNRIDTGGLLICDIRIF >DRNTG_32938.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30962025:30966730:-1 gene:DRNTG_32938 transcript:DRNTG_32938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMYNGSDRLVTETYMMPGTGLNPQLASTPPLEDIDYMGNETAPEVLLDQGLYYPSAANYYGYYCTGFESPSELDDHHMFFGIDGQDLQSGLQTDGTPYVYYSPSYEYAQSPYNPYNPYIPGAVGYDSPFIGTQQYATGSLYQQPISSPAYFPVVVQSNSDIAPNSYSGHLTSSNGSTVMNRVDSATLKHTPRASSASADSNLQKFGSGYPTSLPFESSSHPPQSLSKLAEGLLSNKTHSKQSSSHGAITSGRNHQVPQAGSSGSIQATHRISNDRAPSVNDPFKGSLLSGNGIGSFGSNSHVWDAPDKFGPGIQFNSAITNGDRNTRMLYDQSRAPRTNRSKVQKPSMITVKAYRNKVGAGDAHGNIVIQTDKYNRDDFALDYSEAKFFVIKSYSEDDVHKSIKYNVWSSTPNGNKKLDSAYNEAQMISAGKPRHCPVFLFFSVNASGHFCGVAEMVGPVDFSKDMEFWQQDKWIGSFPIKWHIIKDVPNTSLRHIILENNENRPVTSSRDTQEVPYLPGLSMLHIFKNSSPGTSLLDDFMFYEERQKIMEEERSRLPRRGYSKPFALASSAQSYRPSDSAYLGGPALSQNQLNGPVNELLHLDEYQSHVAVENGTQNNAEQQNGIAHLPEKFGKLDLTAVQTSKEVAKQSGVFVKEKAVLNGSAKQLYEADGKEPIFLTKNSPITIKKSNELGGPKKAVSPECHPKVDKEDTSPELAHTNDVESVFQIGSITIYPEGGKTNSSLQGPRESYSEDVVTVGSMRIKVNKDGQSSLIEKS >DRNTG_30670.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3713150:3714119:-1 gene:DRNTG_30670 transcript:DRNTG_30670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPASVTSTPEVEPSFNTGKEDARDTFISIASNA >DRNTG_27094.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001381.1:22707:24000:-1 gene:DRNTG_27094 transcript:DRNTG_27094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEPIAQECTILDALMQVFDTRSKTFNLGDSHLQFRPEDVSMILGLKCNGIAIDFSRKKERCTLKEEFLMKGVDRTRECLLRTLMSMVGKKDSKKEESFVKLLLVYIMGSFLFPTTSSTSPAWLAYYVEDLSMLDQYAWAQATYKWIMDDLPNAAARVKDKCSGKQPCIGYLRGCTVALTIWFMK >DRNTG_29613.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19917799:19922974:1 gene:DRNTG_29613 transcript:DRNTG_29613.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem II stability/assembly factor, chloroplast (HCF136) [Source:Projected from Arabidopsis thaliana (AT5G23120) TAIR;Acc:AT5G23120] MASLQLADPNRILFHSSSIHPRNSSFKLPTALPLLPRASLDSSSPSSKTRRRFIADTAAIPLVLSFPSPSRSDEPSLSEWERVYLPIDPGVVLLDISFVPDDPNHGFLLGTRQTILETKDGGNTWSPRSIPSAEEEDFNYRFNSISFNGKEGWIVGKPAILLYTSNAGESWERIPLSAQLPGDMVYIKATGGKSAEMVTDQGAIYVTSNRGYNWKAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQPYWQPHNRAIARRIQNMGWRADGGLWLLVRGGGLFLSKGTGITEDFEEVPVQSRGFGILDVGYRSPDEAWAAGGSGILLRTMNGGKSWTRDKAADNIAANLYAVKFINDNKGFVLGNDGVLLRYVG >DRNTG_29613.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19919571:19922974:1 gene:DRNTG_29613 transcript:DRNTG_29613.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem II stability/assembly factor, chloroplast (HCF136) [Source:Projected from Arabidopsis thaliana (AT5G23120) TAIR;Acc:AT5G23120] MASLQLADPNRILFHSSSIHPRNSSFKLPTALPLLPRASLDSSSPSSKTRRRFIADTAAIPLVLSFPSPSRSDEPSLSEWERVYLPIDPGVVLLDISFVPDDPNHGFLLGTRQTILETKDGGNTWSPRSIPSAEEEDFNYRFNSISFNGKEGWIVGKPAILLYTSNAGESWERIPLSAQLPGDMVYIKATGGKSAEMVTDQGAIYVTSNRGYNWKAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQPYWQPHNRAIARRIQNMGWRADGGLWLLVRGGGLFLSKGTGITEDFEEVPVQSRGFGILDVGYRSPDEAWAAGGSGILLRTMNGGKSWTRDKAADNIAANLYAVKFINDNKGFVLGNDGVLLRYVG >DRNTG_24699.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19131230:19135021:1 gene:DRNTG_24699 transcript:DRNTG_24699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAVWAWRSHDEYELVLLLNRDEYHERPTEAVSWWGDGEKRILGGRDRVAGGTWLCCSKDGRLAFLTNFREPDVCPNLKSRGDLPVRFLESTKSPLEFAEEIAKEADQYNGFNLIIADLCLKVMAYVSNGPKGKPTIVQLVTPGLHVLSNANLDTPWCKAQRLVQNFEKLLMKQEGDVPLKEMAEKLMQDTTRVDQDQLPNTGCGLEKESLLSSIFIDIDNDQGRYGTRSTIALCVRENAVTLFERYLESGLWKEHTFQYQIESMCQKEG >DRNTG_24699.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19131181:19134836:1 gene:DRNTG_24699 transcript:DRNTG_24699.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVSNGPKGKPTIVQLVTPGLHVLSNANLDTPWCKAQRLVQNFEKLLMKQEGDVPLKEMAEKLMQDTTRVDQDQLPNTGCGLEKESLLSSIFIDIDNDQGRYGTRSTIALCVRENAVTLFERYLESGLWKEHTFQYQIESMCQKEG >DRNTG_24699.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19131230:19134836:1 gene:DRNTG_24699 transcript:DRNTG_24699.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAVWAWRSHDEYELVLLLNRDEYHERPTEAVSWWGDGEKRILGGRDRVAGGTWLCCSKDGRLAFLTNFREPDVCPNLKSRGDLPVRFLESTKSPLEFAEEIAKEADQYNGFNLIIADLCLKVMAYVSNGPKGKPTIVQLVTPGLHVLSNANLDTPWCKAQRLVQNFEKLLMKQEGDVPLKEMAEKLMQDTTRVDQDQLPNTGCGLEKESLLSSIFIDIDNDQGRYGTRSTIALCVRENAVTLFERYLESGLWKEHTFQYQIESMCQKEG >DRNTG_24699.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19131230:19135021:1 gene:DRNTG_24699 transcript:DRNTG_24699.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVSNGPKGKPTIVQLVTPGLHVLSNANLDTPWCKAQRLVQNFEKLLMKQEGDVPLKEMAEKLMQDTTRVDQDQLPNTGCGLEKESLLSSIFIDIDNDQGRYGTRSTIALCVRENAVTLFERYLESGLWKEHTFQYQIESMCQKEG >DRNTG_00256.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5128290:5137311:-1 gene:DRNTG_00256 transcript:DRNTG_00256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTVSRGRSPSTARPAAPVFAQYLRRIVKWQQMDIEYTFWQMLHLCTSPKVVYQHTKYHKQTKNQWARDDPAFVVILCLFLVVATSAYCAAYDGSFAHGFFTVISVVVFHFLLLGIILATCCWFLTNSYLREEVPNSHVVEQHVEWLYAFDVHCNSFFPAFILLYVFQYFLAPVLIAHGFIPLLLSNLLFMVAISYYHYLNFLGYDVLPFLDKTTFFLYPIGLAIILSPLMILSGFNPTRYVMNLYFS >DRNTG_23645.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001273.1:1765:3233:1 gene:DRNTG_23645 transcript:DRNTG_23645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDSIEYQNTSTTVVQVLDKMEKSRAKDGKISALRKGAWSEEEDSRLRRCMEKYGAIKWCDVPSKAGLTRCRKSCRLRWLNYLSPSIKRGRFEDDEEDLLIRLHKLLGNRWSLIAGRLPGRTANDIKNHWNTYLSKKIITTEKSWNPKTIAKGTTSVKPREKKGEFEIIKPQPRTIPMTWSWSKDQPEKGEFKIIKPQPWTIPVNWRWLKDQPVHRGHFQDKSGINIADPLRSSVNNNVTREESATIIPEKLDDVFLGIDDMTVGEMQNNFEVGNTGGNGDEQFFLQEDAGWAAFLLDLNL >DRNTG_23645.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001273.1:1765:3233:1 gene:DRNTG_23645 transcript:DRNTG_23645.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDSIEYQNTSTTVVQVLDKMEKSRAKDGKISALRKGAWSEEEDSRLRRCMEKYGAIKWCDVPSKAGVHILLFHYVSSFYLLHYLFENLYTCKLHVNNGFEGLTRCRKSCRLRWLNYLSPSIKRGRFEDDEEDLLIRLHKLLGNRQVFNLLLSSMANMHINLSLIIIFTT >DRNTG_23645.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001273.1:1765:3233:1 gene:DRNTG_23645 transcript:DRNTG_23645.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDSIEYQNTSTTVVQVLDKMEKSRAKDGKISALRKGAWSEEEDSRLRRCMEKYGAIKWCDVPSKAGLTRCRKSCRLRWLNYLSPSIKRGRFEDDEEDLLIRLHKLLGNRQVFNLLLSSMANMHINLSLIIIFTT >DRNTG_30279.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001552.1:11562:14292:1 gene:DRNTG_30279 transcript:DRNTG_30279.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDEKDANDIGTVKHDIFATLVKIAQADPKYADILLLENYAAFQNSLYDLANVVPTLAKFYHQASESYEQACTRHISMIIYVQFEKLFQFAQKIEDLMYTITPEE >DRNTG_23893.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2089814:2090813:-1 gene:DRNTG_23893 transcript:DRNTG_23893.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHLLLKHFPSIQLSKTTIKTTTSSFPTYSSSSSSSSSPPSSRQEAILQAKTSLSTTLHKPLNNPLPIPSKKLKKQRQPRYRVEIPILDNSPDSLLQLSADLLLDLPLTLKGTKPTILILWPSSKLADSANTAFNSSNHVLHSDFASLTSLALNTADIVVFSAPETSQLEELRRITSEVDPRPVVLFNPAWAFDDEKNFVGSLSNFIASFDVVYSFMGLEVRGILSKRKGVVFRCVKDGVVSGGSGVACHGGARKGRRIEGCFEV >DRNTG_34460.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18214678:18218535:-1 gene:DRNTG_34460 transcript:DRNTG_34460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGGAGSGGGEVEAGFAKLQGEDFEYYMQTYSIVLGRNSKKATVDVDLASLGGGMNISRNHARIFYDFVRRRFALEIVGKNGCLVEGVLHLPGTAPVKLDSQDLLQIGDKKFYFLLPTRSIFATTPIPRHPLPIISGQSRAGTMGFVVKKGRGRREYEEEEEEEDDEGDDEDDDDDGVGLVKRMKRPSQVDGFSGYGVQLDKKSDIRSRADRDSDNQQLLQLEEKDVVSSVATVLSDLCGPGEWMPMAKLHSELLEQYSNVWHHSRVRKYLTSEDWPPNEAEGKPWLGLLTLLRKYPEHFVINTRSKGRVTLEFVSLVSLLS >DRNTG_25798.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2769290:2773264:1 gene:DRNTG_25798 transcript:DRNTG_25798.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKCRLFAIVGMGGIGKTTLARQIYNDSKINDHFLLHSWIWVSKSSTSTTDLLKEIIRNVGDSYGEAMTIAELQKILSKVLHEKSLFLVLDDVWDADVWIELIKSLIQIATTKCRVLVTTRDRNTAMKMGAVHIHNVNKLSSDFGWELLCKKVSTNNDESDMQRMKDIGMQIIDKCDGLPVAIKAIAGVLITKGKNKREWENVLNSDAWAITGLPEELQGALYLSYEALPSALKQCFLYCYLKTHEFHREELIHEWIAEGFIKPSGNALMEDVAKDYYMELIRRSFLQPNSSYVDMSTCTMHDLLRRLAQALAGNESFCGDLQDAPSTNSVQKLRHLTVFNERESLSIQHLNHLRTLRLVIPTSLNTQVIGSLKHLRLLILRGAGIENIPDSIGDLVHLRLLDLMGTRICKLPDSLGNLVNLQFLLVAGCESLHILPTSITKLYNLRMLNLRNTPLNYVPKGICKLEHLNYLSGFIIGDNGIDEGEGCNLEELEALKNLNHLDIKNLEKARGKRASVLSNKPNLRELFLNCTPNISGHIQQQEMDNIMQVFDELRPPPDLEKLVIFNFFGGQYPKWMTSSSISATLPELTSLHLYDCANCPQLPPLGQLPQLNYLKIQGATAVVSIGPEFLGNEEPAACAFPKLENLALVDMPNWEEWSLISGEEDNKLETSKQLLFPHLIAISMQNCHKLKALPRGFNCIRHLYILSAHNLSRVSDLPTLRKLVVADCPILQCVEKLESLQSLKMTDRKNKSLPEWLISFLQQHIKPHENQFHLDLKCSAQALKGCLKGRPYWCFLQQVPRLEAYAENGSMYLKFTKEPFSYQTNVVEDIN >DRNTG_25798.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2769290:2775256:1 gene:DRNTG_25798 transcript:DRNTG_25798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKCRLFAIVGMGGIGKTTLARQIYNDSKINDHFLLHSWIWVSKSSTSTTDLLKEIIRNVGDSYGEAMTIAELQKILSKVLHEKSLFLVLDDVWDADVWIELIKSLIQIATTKCRVLVTTRDRNTAMKMGAVHIHNVNKLSSDFGWELLCKKVSTNNDESDMQRMKDIGMQIIDKCDGLPVAIKAIAGVLITKGKNKREWENVLNSDAWAITGLPEELQGALYLSYEALPSALKQCFLYCYLKTHEFHREELIHEWIAEGFIKPSGNALMEDVAKDYYMELIRRSFLQPNSSYVDMSTCTMHDLLRRLAQALAGNESFCGDLQDAPSTNSVQKLRHLTVFNERESLSIQHLNHLRTLRLVIPTSLNTQVIGSLKHLRLLILRGAGIENIPDSIGDLVHLRLLDLMGTRICKLPDSLGNLVNLQFLLVAGCESLHILPTSITKLYNLRMLNLRNTPLNYVPKGICKLEHLNYLSGFIIGDNGIDEGEGCNLEELEALKNLNHLDIKNLEKARGKRASVLSNKPNLRELFLNCTPNISGHIQQQEMDNIMQVFDELRPPPDLEKLVIFNFFGGQYPKWMTSSSISATLPELTSLHLYDCANCPQLPPLGQLPQLNYLKIQGATAVVSIGPEFLGNEEPAACAFPKLENLALVDMPNWEEWSLISGEEDNKLETSKQLLFPHLIAISMQNCHKLKALPRGFNCIRHLYILSAHNLSRVSDLPTLRKLVVADCPILQCVEKLESLQSLKMTDRKNKSLPEWLISFLQQHIKPHENQFHLDLKCSAQALKGCLKGRPYWCFLQQVPRLEAYAENGSMYLKFTKEPFSYQTNVVEDIN >DRNTG_05550.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23080422:23090094:1 gene:DRNTG_05550 transcript:DRNTG_05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHSKKHEVKHPRGTPPELVHMEFSNPEQQARFERLSALSFG >DRNTG_17922.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:200486:201238:1 gene:DRNTG_17922 transcript:DRNTG_17922.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRGGRVYRYPVGRTMPESPMTGGGMMYDMGGLPVRDGGISQSIPIGALASALANANPDMQRTMLGESLYPLVEQLERDHAAKVTGMLLEMDQTEVLHLLESPDALKAKVAEAMEVLRNVAQQQANTASDQLAGMSLNDGIVS >DRNTG_17922.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:194679:201238:1 gene:DRNTG_17922 transcript:DRNTG_17922.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVQVPQQQAAPVVSAGPAVSQFPTTSLYIGDLEANVTDAQLFDMFSQVGHVVSVRVCRDISTRRSLGYAYVNYGNPVDATRALEVLNFTPLNNKPIRIMFSNRDPSTRRSGAANIFIKNLDKAIDNKALYDTFSAFGNILSCKVATDSSGQSKGYGFVQFDQEEAAKNAIDRLDGMLMNDKKVYVGRFLRKQERENSVDKLKFNNVFVKNLSESTTEDDLQKLFGEYGPITSAVVMKEGDGKSKCFGFVNFVNSDDAARAVQELNGKKFEEKEWYVGKAQKKSERERELKSRFEQNIKEAVDKYQGLNLYLKNLDDSITDEKLRELFSEFGTITSCKVMRDPNGISRGSGFVAFSTAEEASRALSEMNGKMVGSKPLYVAHAQRKEDRKARLQAQFAQMRPVAIPSSVAPRMPIYPAGAPGIGQQLFYGQAPPALIPPQAGFGYQQQLIPGMRTGTAPMPNFYMPFVQQGQPGHRPGGRRAGGGPVQQTQQPVPLGQQQMFPRGGRVYRYPVGRTMPESPMTGGGMMYDMGGLPVRDGGISQSIPIGALASALANANPDMQRTMLGESLYPLVEQLERDHAAKVTGMLLEMDQTEVLHLLESPDALKAKVAEAMEVLRNVAQQQANTASDQLAGMSLNDGIVS >DRNTG_17922.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:194679:199663:1 gene:DRNTG_17922 transcript:DRNTG_17922.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVQVPQQQAAPVVSAGPAVSQFPTTSLYIGDLEANVTDAQLFDMFSQVGHVVSVRVCRDISTRRSLGYAYVNYGNPVDATRALEVLNFTPLNNKPIRIMFSNRDPSTRRSGAANIFIKNLDKAIDNKALYDTFSAFGNILSCKVATDSSGQSKGYGFVQFDQEEAAKNAIDRLDGMLMNDKKVYVGRFLRKQERENSVDKLKFNNVFVKNLSESTTEDDLQKLFGEYGPITSAVVMKEGDGKSKCFGFVNFVNSDDAARAVQELNGKKFEEKEWYVGKAQKKSERERELKSRFEQNIKEAVDKYQGLNLYLKNLDDSITDEKLRELFSEFGTITSCKVMRDPNGISRGSGFVAFSTAEEASRALSEMNGKMVGSKPLYVAHAQRKEDRKARLQAQFAQMRPVAIPSSVAPRMPIYPAGAPGIGQQLFYGQAPPALIPPQAGFGYQQQLIPGMRTGTAPMPNFYMPFVQQGQPGHRPGGRRAGGGPVQQTQQPVPLGQQQASEFRCCVSRLF >DRNTG_01195.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29499953:29502764:-1 gene:DRNTG_01195 transcript:DRNTG_01195.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQVGMGLSRALILIGAGFTGSLVFRNGREFFADIQEKSGDKSGDSDVIVAQMRRLAQEISVLASSHPVTILNGNSGQDGSIAALIVPAATVGAVGYGYMWWKGVSFSDMMYVTKCNMANAVSSMANHLEKVSAALAATKKHLTQRIQLLDDKLDEQKEMSTGIKHEVTYNFFSFCLWLLNLCSI >DRNTG_01195.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29498424:29499748:-1 gene:DRNTG_01195 transcript:DRNTG_01195.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIDLLEFFVLLSNQVSDACSKLETIDCELNTLQQLVRGLNGKVDAIEDKQEFASAGISYICNFISQRGAKLPDYLQDMPKPSGRRFYLNCVESGGLKGLQYAAESVVSRNLDGLKTDTTLQVVPIPQTIARV >DRNTG_01195.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29497518:29502764:-1 gene:DRNTG_01195 transcript:DRNTG_01195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQVGMGLSRALILIGAGFTGSLVFRNGREFFADIQEKSGDKSGDSDVIVAQMRRLAQEISVLASSHPVTILNGNSGQDGSIAALIVPAATVGAVGYGYMWWKGVSFSDMMYVTKCNMANAVSSMANHLEKVSAALAATKKHLTQRIQLLDDKLDEQKEMSTGIKHEVSDACSKLETIDCELNTLQQLVRGLNGKVDAIEDKQEFASAGISYICNFISQRGAKLPDYLQDMPKPSGRRFYLNCVESGGLKGLQYAAESVVSRNLDGLKTDTTLQVVPIPQTIARLPY >DRNTG_25421.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24627603:24628608:1 gene:DRNTG_25421 transcript:DRNTG_25421.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLT2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24460) UniProtKB/Swiss-Prot;Acc:A1L4X0] QHFSGCEGAPLLPLLFIATNMAFNIFLLNLVKMSSALVSSLVVTLAVPMSIFILSLPLPYIPQGASLNFWFVIGTGILVVGLMLYNLPKLENQFDKSD >DRNTG_25421.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24623639:24628555:1 gene:DRNTG_25421 transcript:DRNTG_25421.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLT2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24460) UniProtKB/Swiss-Prot;Acc:A1L4X0] MEVATATSLLHPLLLRTKPKLLRNASASLRSSTVRLSEGASRVCIGRRWTSSAPLRQRYLLSKTYAVRGESEVPDVVDGKILAGSMVTVALAVANRVLYKLALVPMKQYPFFLAQVTTFGYVAVYFTILYVRYRVGIVTMGMLGVPKSQFMAIGLLEALGVAAGMAAGAQLPGPAIPILSQTFLVWQLIFSVLILGRRYSYNQVLGCSLVIAGVVLAVASGSSEGQFLSQIGFLWPALMVASSAFQAGASILKEFAFIDGARRLQGKPLDIFIVNSFGSGFQALFVFLLLPFLSHLRGIPFPELPTYLKDGAGCFFNVGHSKTGCEGAPLLPLLFIATNMAFNIFLLNLVKMSSALVSSLVVTLAVPMSIFILSLPLPYIPQGASLNFWFVIGTGILVVGLMLYNLPKLENQFDKSD >DRNTG_25421.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24627603:24628555:1 gene:DRNTG_25421 transcript:DRNTG_25421.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLT2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24460) UniProtKB/Swiss-Prot;Acc:A1L4X0] QHFSGCEGAPLLPLLFIATNMAFNIFLLNLVKMSSALVSSLVVTLAVPMSIFILSLPLPYIPQGASLNFWFVIGTGILVVGLMLYNLPKLENQFDKSD >DRNTG_25421.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24623639:24628555:1 gene:DRNTG_25421 transcript:DRNTG_25421.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLT2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24460) UniProtKB/Swiss-Prot;Acc:A1L4X0] MEVATATSLLHPLLLRTKPKLLRNASASLRSSTVRLSEGASRVCIGRRWTSSAPLRQRYLLSKTYAVRGESEVPDVVDGKILAGSMVTVALAVANRVLYKLALVPMKQYPFFLAQVTTFGYVAVYFTILYVRYRVGIVTMGMLGVPKSQFMAIGLLEALGVAAGMAAGAQLPGPAIPILSQTFLVWQLIFSVLILGRRYSYNQVLGCSLVIAGVVLAVASGSSEGQFLSQIGFLWPALMVASSAFQAGASILKEFAFIDGARRLQGKPLDIFIVNSFGSGFQALFVFLLLPFLSHLRGIPFPELPTYLKDGAGCFFNVGHSKTGCEGAPLLPLLFIATNMAFNIFLLNLVKMSSALVSSLVVTLAVPMSIFILSLPLPYIPQGASLNFWFVIGTGILVVGLMLYNLPKLENQFDKSD >DRNTG_25421.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24627603:24628555:1 gene:DRNTG_25421 transcript:DRNTG_25421.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLT2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24460) UniProtKB/Swiss-Prot;Acc:A1L4X0] QHFSGCEGAPLLPLLFIATNMAFNIFLLNLVKMSSALVSSLVVTLAVPMSIFILSLPLPYIPQGASLNFWFVIGTGILVVGLMLYNLPKLENQFDKSD >DRNTG_25421.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24623639:24627481:1 gene:DRNTG_25421 transcript:DRNTG_25421.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLT2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24460) UniProtKB/Swiss-Prot;Acc:A1L4X0] MEVATATSLLHPLLLRTKPKLLRNASASLRSSTVRLSEGASRVCIGRRWTSSAPLRQRYLLSKTYAVRGESEVPDVVDGKILAGSMVTVALAVANRVLYKLALVPMKQYPFFLAQVTTFGYVAVYFTILYVRYRVGIVTMGMLGVPKSQFMAIGLLEALGVAAGMAAGAQLPGPAIPILSQTFLVWQLIFSVLILGRRYSYNQVLGCSLVIAGVVLAVASGSSEGQFLSQIGFLWPALMVASSAFQAGASILKEFAFIDGARRLQGKPLDIFIVNSFGSGFQALFVFLLLPFLSHLRGIPFPELPTYLKDGAGCFFNVGHSKTGKFANSL >DRNTG_13541.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21040739:21045259:-1 gene:DRNTG_13541 transcript:DRNTG_13541.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSERARRAQKEESIRRTVYVSDIDHHVTEEHLAELFCYCGKVCTGAKSEEQSLLASRKEFKVQNIVCTCDVQFLIILEGLACSHNHFCSYEPEIFPGLIYRMREPKTVLLIFFLGKVILTGSKLKADILRAFHQIYPVLEEFRKAPLPPSTQPYTS >DRNTG_13541.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21040739:21044324:-1 gene:DRNTG_13541 transcript:DRNTG_13541.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSERARRAQKEESIRRTVYVSDIDHHVTEEHLAELFCYCGKVCTGAKSEEQSLLASRKEFKVQNIVCTCDVQFLIILEGLACSHNHFCSYEPEIFPGLIYRMREPKTVLLIFFLGKVILTGSKLKADILRAFHQIYPVLEEFRKAPLPPSTQPYTS >DRNTG_13541.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21044910:21045259:-1 gene:DRNTG_13541 transcript:DRNTG_13541.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNNPLRTLIPSAAVVVALALSSQPSPPPQSLSLRSSRNPSPLMRKPAHSKTTSPLIHTASRHSDRYLSSK >DRNTG_13541.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21044120:21045259:-1 gene:DRNTG_13541 transcript:DRNTG_13541.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTESAIRSDDQLSGTGAGRDQEFQSHVKKLVDLLSKLNPSAKEFVPSSRAGVLATDWRQAGGHRLSADAPVFVSSAVGGVSR >DRNTG_13541.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21040739:21045259:-1 gene:DRNTG_13541 transcript:DRNTG_13541.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSERARRAQKEESIRRTVYVSDIDHHVTEEHLAELFCYCGKVCTGAKSEEQSLLASRKEFKVQNIVCTCDVQFLIILEGLACSHNHFCSYEPEIFPGLIYRMREPKTVLLIFFLGKVILTGSKLKADILRAFHQIYPVLEEFRKAPLPPSTQPYTS >DRNTG_13541.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21040739:21045259:-1 gene:DRNTG_13541 transcript:DRNTG_13541.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERARRAQKEESIRRTVYVSDIDHHVTEEHLAELFCYCGKVCTGAKSEEQSLLASRKEFKVQNIVCTCDVQFLIILEGLACSHNHFCSYEPEIFPGLIYRMREPKTVLLIFFLGKVILTGSKVII >DRNTG_17177.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10546166:10556435:1 gene:DRNTG_17177 transcript:DRNTG_17177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLLSACFFYRGAIIDELKGKNYESDEKPCNNPTPVRPYRRASQRQVHAPGRSREKLSLTLNALARACGKYHARVPDRHGAAAHPCGF >DRNTG_31080.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30772500:30773509:1 gene:DRNTG_31080 transcript:DRNTG_31080.4 gene_biotype:protein_coding transcript_biotype:protein_coding KKQKCQSRGRRSSRWKDTSSSSRLVTAAGTLLINSTRSSPCTDSAAFAPRFFSSNPRFFFFFSKKRKKNIFKMMK >DRNTG_31080.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30772500:30773509:1 gene:DRNTG_31080 transcript:DRNTG_31080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQRKEKLSLEGYLFFVETRNRRWYSVDQLNQVSTTPPSSSSLSSSSPFLFPTRSSPCTDSAAFAPRRRS >DRNTG_31080.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30772500:30773509:1 gene:DRNTG_31080 transcript:DRNTG_31080.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKQKCQSRGRRSSRWKDTSSSSRLVTAAGTLLINSTRSSPCTDSAAFAPRRRS >DRNTG_04108.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3552992:3553335:-1 gene:DRNTG_04108 transcript:DRNTG_04108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDLARRSLIQSGEVFADRPPPLSTSALNPNLHSINNTCHGHIWRLLRRNLVSKVIHPCKSTKS >DRNTG_27066.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2747502:2752624:1 gene:DRNTG_27066 transcript:DRNTG_27066.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPSAPALRRDPYEVLSVSRDSSDQEIKTAYRKLALKYHPDKNVSNPEASELFKEVAYSYSVLSDPEKRRQYDTAGFEALENDGMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTISASVLEEALNGTVTVRPLPLGTSVSGKVDKQCAHFFGVTINEQQAQAGIVVRAISSSHSKFKLLYFEQEATGGYGLALQVTLIADIIQRQKVFSVSLSPNRLEASTSMRWKCLPVLPFKTRY >DRNTG_27066.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2747502:2752624:1 gene:DRNTG_27066 transcript:DRNTG_27066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPSAPALRRDPYEVLSVSRDSSDQEIKTAYRKLALKYHPDKNVSNPEASELFKEVAYSYSVLSDPEKRRQYDTAGFEALENDGMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTISASVLEEALNGTVTVRPLPLGTSVSGKVDKQCAHFFGVTINEQQAQAGIVVRAISSSHSKFKLLYFEQEATGGYGLALQEDSEKTGKVTSAGMYFLHFQVYRMDSTVNALAMAKDPDAAFFKRLEGLQPCEVSELKPGTHIFAVYGDNFFKPAGYTIEAMCARPFEDITEKLKEIESQILKKRNDLRQFETEYRKALARFQEVTNRYTQEKQAVDDLLKHRDSIHSSFTTVRTITNSGGSTSGSSKAPDDEASIGSPREDKTPDGRDKSFKKKWFNLNLSKKA >DRNTG_34998.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24092521:24093762:1 gene:DRNTG_34998 transcript:DRNTG_34998.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLTKNLACEWAKDNIRTNCVAPWFIKTALTEQAIKDEALMVQIRERTPLQRVAEPEEVSSLIAFLCLPAASYITGQVIAVDGGLTINGFYNMLD >DRNTG_34998.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24092262:24093762:1 gene:DRNTG_34998 transcript:DRNTG_34998.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVNHRWSLKGMTALVTGGTKGIGKAVVEELAGFGAAVHTCSRNEEELKASLKLWVDKGFNVTGSICDVTSRAQREKLIADVFTIFKGKLNILVNNVGIGYPKATIDITEEDLSFTWSTNFESAFHLCQLSHPLLKTSGCASVVFVSSVSGVIGCPSGTPYAATKGAMNQLTKNLACEWAKDNIRTNCVAPWFIKTALTEQAIKDEALMVQIRERTPLQRVAEPEEVSSLIAFLCLPAASYITGQVIAVDGGLTINGFYNMLD >DRNTG_24709.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17564238:17568147:1 gene:DRNTG_24709 transcript:DRNTG_24709.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWIAVAYSAPVAAATAVFLIYPIGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYKFGQEGETYNIVAAHGYFGRLIFQ >DRNTG_16531.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12117836:12120782:-1 gene:DRNTG_16531 transcript:DRNTG_16531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVTMTMKGKRIGDGQWNWRHVGSTRGTGAYDEGGE >DRNTG_10403.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1669970:1672873:-1 gene:DRNTG_10403 transcript:DRNTG_10403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPFGLSNASSTFKSLMNSTFRQVLRKFVLIFFDDILVYSSDWESHLRHLHEVFTRLNDHHLFAKLSKCEFGCLTLGYLGHIISGDGVAVDPDKIQAIWDWPLPSSVKALRGFLGLCGYYRRFVSRYASLSAPLTELLRKDAFVWTSIATEAFRKLQQALMSTPVLQLPNFTKQFIVQTDASGDGIGAVLLQQGHPLAYFSKQLSPRQQAASTYAREMLAITEAIKKWRQYLLGRRFTVQTDHKSLRALLHQTIQTPEQQRWLYKLVGYDFDIEYKPGVLNGPADALSRINRISCHALFSESRPQPILWEAIRKAYNSDSGTLTLVSAVTKDPDLHPDFHLRDGILFFKGRVWVPSNSALQPLLVAEFHTTPTGGHAGVQRTLSRIAEVFFWPKLRQDVQRYVSTCAVCQATKPFNRAPQGLLQPLPIPGLIWHSISMDFITGLPPSQGKTTIMVVVDRLSKHAHFSTLGASFTAQQVAELMVKDVIKIHGVPAQIVSDRDPIFMSNFWRELFRLQGTMLAMSTAYHPQTDGQTEVLNRYLEDYLRCFAGDNPKQWSRFLPWAEWHYNTAWHSAIKMSPFEAVFGRSPPSLQDYLAGTSAVAAVDEWLTDRTVLLSTLKENLRRAQQRMRNQANVGRTDVQFKEGDWVLFEASALQAYIIGTQNLS >DRNTG_24270.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10833943:10839681:1 gene:DRNTG_24270 transcript:DRNTG_24270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 3-II [Source:Projected from Arabidopsis thaliana (AT2G01730) UniProtKB/Swiss-Prot;Acc:Q8GUU3] MYYKMLISWTSQKIKDTYATHNAFDFKHVRPFERSFINASGPCVLFATPGMISGGFSLEVFKQWAPAEINLVTLPGYCVAGTIGHKLMSGKPTRIDLDKDTHIDVRCQIHQLSFSPHTDAKGIMDLVGLLSPQHVILVHGEKPKMALLRGRIQTELGIQCYDPANNETVSIPTNRTVKIDATKAFIKSYSVTNFDVLSTRLVGFTDSNHADVEILPTLGEKKAAEGILLMDKTKRAKIVCENELLLNLGVEEHSLRLAYCCPVHMDCLEQTSYQSTSGSSIGFEKGNSSPAAATSPECQPERSTCILGKCSLLTTLLRRLESQIWCKNIEENPNYLKLKSIKIHSCSKNTCPYRTKDESGGRFTLYFCCSWSPVDQDLAWKLITIMKGTNTSLVQDTCTMEEEMPKN >DRNTG_24270.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10824767:10826818:1 gene:DRNTG_24270 transcript:DRNTG_24270.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 3-II [Source:Projected from Arabidopsis thaliana (AT2G01730) UniProtKB/Swiss-Prot;Acc:Q8GUU3] VTALDLKQTVNVDKDLQIRAYYAGHVLGAAMIYAKVGDSTMVYTGDYNMTP >DRNTG_24270.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10820341:10839681:1 gene:DRNTG_24270 transcript:DRNTG_24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 3-II [Source:Projected from Arabidopsis thaliana (AT2G01730) UniProtKB/Swiss-Prot;Acc:Q8GUU3] MNLKVPIYFSAGLTIQANMYYKMLISWTSQKIKDTYATHNAFDFKHVRPFERSFINASGPCVLFATPGMISGGFSLEVFKQWAPAEINLVTLPGYCVAGTIGHKLMSGKPTRIDLDKDTHIDVRCQIHQLSFSPHTDAKGIMDLVGLLSPQHVILVHGEKPKMALLRGRIQTELGIQCYDPANNETVSIPTNRTVKIDATKAFIKSYSVTNFDVLSTRLVGFTDSNHADVEILPTLGEKKAAEGILLMDKTKRAKIVCENELLLNLGVEEHSLRLAYCCPVHMDCLEQTSYQSTSGSSIGFEKGNSSPAAATSPECQPERSTCILGKCSLLTTLLRRLESQIWCKNIEENPNYLKLKSIKIHSCSKNTCPYRTKDESGGRFTLYFCCSWSPVDQDLAWKLITIMKGTNTSLVQDTCTMEEEMPKN >DRNTG_24270.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10837784:10839681:1 gene:DRNTG_24270 transcript:DRNTG_24270.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 3-II [Source:Projected from Arabidopsis thaliana (AT2G01730) UniProtKB/Swiss-Prot;Acc:Q8GUU3] MDLVGLLSPQHVILVHGEKPKMALLRGRIQTELGIQCYDPANNETVSIPTNRTVKIDATKAFIKSYSVTNFDVLSTRLVGFTDSNHADVEILPTLGEKKAAEGILLMDKTKRAKIVCENELLLNLGVEEHSLRLAYCCPVHMDCLEQTSYQSTSGSSIGFEKGNSSPAAATSPECQPERSTCILGKCSLLTTLLRRLESQIWCKNIEENPNYLKLKSIKIHSCSKNTCPYRTKDESGGRFTLYFCCSWSPVDQDLAWKLITIMKGTNTSLVQDTCTMEEEMPKN >DRNTG_24270.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10831699:10831818:1 gene:DRNTG_24270 transcript:DRNTG_24270.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 3-II [Source:Projected from Arabidopsis thaliana (AT2G01730) UniProtKB/Swiss-Prot;Acc:Q8GUU3] ELCLLLDEYWERMNLKVPIYFSAGM >DRNTG_08413.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4914182:4917075:1 gene:DRNTG_08413 transcript:DRNTG_08413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSRELYKKIARKVGEGSVPKEVMESVKKCLPNNKLIMGRAKRGIYAGRHIQFGNKVSEDGGNKSRRTWKPNVQEKRLFSYIHDRHIRVKVTTHALRCIDKAGGIDEYLLNTPYDKMDTEMGLFWKAKIEKMYDELGKMDVCFFSPEEEAKITKGFEELKLAKKEARREARRASAKQKQTERENAEAEQTSEAKQIEEGTADGNIEKPES >DRNTG_03361.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18038327:18041240:1 gene:DRNTG_03361 transcript:DRNTG_03361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDKNTVQIKTGVLKHECTRDHVNKHVNADWVTRNYLEQFRADPAWRIAGIIQAVKNNQEVDISRLKAYRAKNIALRKL >DRNTG_27845.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5915924:5921781:-1 gene:DRNTG_27845 transcript:DRNTG_27845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIILLYPGVIYGPGKLTAGNVLARIIVERFSGRLPGYMGSGNDIESFCHVEDVAKGHIAAMYKGRFGERYLLTGENVSFNQVIDRSAFITRTRKPWFHIPLWLIEIYGWISVFFAKVTGKLPLISYPTVRVLRHQWAYSCDKAKEELGYNPRSLNEGLAEVLSWLKSLGSIKY >DRNTG_27845.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5921456:5921781:-1 gene:DRNTG_27845 transcript:DRNTG_27845.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGESRPSRVLVTGASGYLGGHLCRALLDDGLQVRAFVRRTSDLASLPVPPPRLPFL >DRNTG_27845.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5915924:5920121:-1 gene:DRNTG_27845 transcript:DRNTG_27845.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPIILLYPGVIYGPGKLTAGNVLARIIVERFSGRLPGYMGSGNDIESFCHVEDVAKGHIAAMYKGRFGERYLLTGENVSFNQVIDRSAFITRTRKPWFHIPLWLIEIYGWISVFFAKVTGKLPLISYPTVRVLRHQWAYSCDKAKEELGYNPRSLNEGLAEVLSWLKSLGSIKY >DRNTG_27845.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5915924:5921781:-1 gene:DRNTG_27845 transcript:DRNTG_27845.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIILLYPGVIYGPGKLTAGNVLARIIVERFSGRLPGYMGSGNDIESFCHVEDVAKGHIAAMYKGRFGERYLLTGENVSFNQVIDRSAFITRTRKPWFHIPLWLIEIYGWISVFFAKVTGKLPLISYPTVRVLRHQWAYSCDKAKEELGYNPRSLNEGLAEVLSWLKSLGSIKY >DRNTG_23240.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21544973:21545296:1 gene:DRNTG_23240 transcript:DRNTG_23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTAGDAASVLVPLLSSPVLRLLFSPLEDHCHRLGGEFFVVFILDLGICSGVLVFWCSGDDAAATDDDDDDDDDDDDDDDDDFI >DRNTG_03490.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:791707:795251:-1 gene:DRNTG_03490 transcript:DRNTG_03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPVTPPSPSSLSSAPPPDSTNTMPPLSNSESLPPPLPSPALYSNGSSLPLANHSQPSPPTPVEQSPSFPPPQQSVPSPLSKSNSHTPASPSSLTPPTSPVSNGLLSPPPPPLPSPPPVVNNNSPSTPSNSYSFYEPSSLSPPPPSPSPPPLVAILSPPNVTPPPPPLPPSEFPTSPSSEYSPFLVPVQPGSPTIPVAPASSSTSNNSNPLTSTNSTQTMPSKPSPASISNGQQLNGIQSVNPPKESSSSSVRPTPTRVSSEAGLYVGTQVIIGLSVSALVIILLLVGVFVAIKKRKSRTHAISNQYRPPDGFYNIHGNKDQLVVCRDPYFIPPLTCPGAPGYLNEDYHGHAYVAALTNPAVSKTWFTFEEMLEITNSFSPDNLIGEGGFGCVYKGWLSDGRCVAVKQLKTGSGQGEREFRAEVEIISRVHHLHLVSLVGYCIAEYHRLLVYEYVPNNTLEHHLHGKGLPVVDWLKRVRIAIGSARGLAYLHEDYFGLARLSNDTASHVSTRVVGTFGYMAPEYALSGKLTDRSDVYSFGVVLLELITGRKPVDSSQPLGEESLVEWARPQLINALETGNCEQLVDPRLEGNFSKNEMFNMIEVAAACVRHSAPKRPRMAQVVRAMDNDGQMFDLTNGAKFGQSTVYNSEQYSVDIQQFRLMAFGSGKCSSQNGSSRDHENWSSDHSDDSRDSSKPLNLQNSA >DRNTG_10040.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1777082:1779848:-1 gene:DRNTG_10040 transcript:DRNTG_10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSLSRPTSSHFLSLPRPLIPRPAAINQRRLRAASNEDGNEAMDDDSIAGDLGFAALAALKSDPPLGSSDKGDSAQLRGSDVLRALQRATARREKKKKRRRFGRKEKSDGGEETSAIDGSGEVRPIKIRSDWESRIEELEIQVQRLLSLHH >DRNTG_10040.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1777000:1779642:-1 gene:DRNTG_10040 transcript:DRNTG_10040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDSIAGDLGFAALAALKSDPPLGSSDKGDSAQLRGSDVLRALQRATARREKKKKRRRFGRKEKSDGGEETSAIDGSGEVRPIKIRSDWESRIEELEIQVQRLLSLHH >DRNTG_25350.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24124394:24127365:1 gene:DRNTG_25350 transcript:DRNTG_25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSQQQHQWPQAWAAAAPTTTAAPSYHQPTSIEDIRTLWIGDLQYWVEDNYLYNCFAHSAEVLSVKIIRNKFTGQPEGYGFVEFASHAAAERILQTFNGTLMPGTDQKFRLNWASFGIGERRADAGPDHSIFVGDLAPDVTDYMLQELFRSQYPSVRGAKVVTDPSTGRSKGYGFVKFADEMERNRAMSEMNGVYCSTRPMRISAATPKKTIGIQPQYVAPTAVYPTVTYTTPQLPPVPPDTDVTNTTIFVGGLDPNITEEELKQIFAQFGELIYAKIPQAKGCGFVQFATRSSAEEAIQRLHGSMIGQQIVRLSWGRTPTSKQDPTGAWVQQADPNQWTSGYYGYGYDAYSYGVAQDPSVYAYGAYGGYGYPQQARITEFLLILVNF >DRNTG_12727.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000565.1:18210:19050:1 gene:DRNTG_12727 transcript:DRNTG_12727.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELITGKKPSEPEFGENRDIIHWVSSKIANKEGETEVLDKRLSWSPFKDEMIQVLRIALRCTCSSPTLRPTMNEVVQLLIEADPFKSDAITSPSSKFKSAKNPT >DRNTG_27916.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22933560:22937710:1 gene:DRNTG_27916 transcript:DRNTG_27916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRTISLHTIDPVDRPTLSQSLAPRPFPRSRSLSIEAPRPRASLPMHCTASSWSHTTPAYVTWILAYLGLLGDLPSIRLIFPRMRRNPVTQGATSPSTAVNISLPASMGGPWVFSDNYLPARLRRDFYILFYSLHVLLFGCSPPLIED >DRNTG_25893.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19786505:19788559:-1 gene:DRNTG_25893 transcript:DRNTG_25893.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDLHSWNRLLRSCAGHSSISLGKQVHCLLIKDGSISSLFHSNRILQSYARCTSNAALEDARQLFDEMPHRNCFSYNTLIDAYFKANDSKSSLQLFDAMIEKNEFSWNTMVTGLVKFGDIGNARKVFDDMPKRDVIACNSMIHGYVQCGQVQEAFKLCKKLDFDSPSPCNDGFVMATMLSACADWLLYRFGKQIHSRILVHGIEVDSVLGSSLVNMYGKCGDLDDAHRALESMKEPDEFSLSALITGYAGNGRLVDARMIFDCRTNPGVVLWNSMINGYVSNYQGEEALHLFIRMIRAGIRGDFSTLANVLSACVSTGMLNNGKQLHGFASKLGFLRDIVVASALLDVYSKFGCWENACMIFRDIKVHDTILLNSMINVYANCGRIEDARRVFEMIPNKSLISWNSMIVGYSQNGYAGEALELFCEMRRVDLRPDKVALASAVSAAASVCCLTLGEEIFALAMILGLVSDQIMSTSLVDLYCKCGRVSDGRRLFDSMIKFDEAPWNSMLMGYSMSGHGIEVLRLFEDMRNAGVLPNEVSFIAVLSGCCHCGILEEGLRWFQTMKEEYGIVPVAEHYSCMVDLLVRSGQLEEAVNFIEQMPFQFEGDASVWTLVLGGCKAQGNEFLACKVAKKLMELNPSQSGPYVQLSGVYASHGEWERSAVIRRMMQKKRIDKNPGYSWIDN >DRNTG_20366.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:275095:278855:1 gene:DRNTG_20366 transcript:DRNTG_20366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTWASLSCQLMSFSCLCRNLHHLNHLWHQRVLVLQNPVESEKVLLGTPLWTH >DRNTG_13313.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23015858:23017813:-1 gene:DRNTG_13313 transcript:DRNTG_13313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSPNLAVLKALDTARTQWYHITAIVIAGMGFFTDAYDLFCISTVSKLLGRLYYSVPGDSKLGKLPKDVNNAVVGVALVGTLMGQLVFGWLGDKLGRKKVYGITLILMVICALSSGLSFGTTPKAVMGTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGVGIIFAGFVSMILSGVFLHYYPAPKFSEDPWRSTQRQADFLWRIVLMLGAMPAIVTFYWRMKMPETGRYTAIIAGNAKQAALDMGKVMDVEIEAEQEKVAQFKTSNDYTLWSAEFFRRHGMHLIGTMTTWFLLDIAFYSQNLTQKDIFPAIHLTSAATEVNALQEVYETSRAMFIVALFGTFPGYWFTVVLIEKMGRHLIQLIGFFMMSVFMLIMGLKYDYLKKESPKLFAMLYGLTFFFANFGPNSTTFVLPAELFPTRVRSTCHALSAAAGKAGAIVGAFFVQSYTLNGTSKSIKGALIGLAFTNILGFFCSFLVTETKGRSLEEISGEDGRMEAHAKGGAGNESAVY >DRNTG_33621.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002029.1:32200:34088:-1 gene:DRNTG_33621 transcript:DRNTG_33621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSPFDCILFDLDDTLYSSNVGIAQVCKKNIEKFLAKKCGVSPERSASLRVELFQFYGSSLSGLIALGYDVHPDEYHSYVHWTLPYEWIKPDPQLNELLKSIQQPKIIFTNSDMKHAKRVLERLGIDEQCFNGIICFETLNPHLFQDNSSSSSSSELSPEVILKPSMASMEAAVRFTGGDPHRILFLDDSERNIAAGKALGLHTCLVGKGVKNKEADYLLENISNLRKVIPGIWGEEDEEEHEMVISNKLGSIRPIAQVGA >DRNTG_20427.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001116.1:42292:44248:-1 gene:DRNTG_20427 transcript:DRNTG_20427.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPTYSVLAHPQQPWGISGGVSLPSSSSRAPSS >DRNTG_00276.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1740040:1740582:1 gene:DRNTG_00276 transcript:DRNTG_00276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPSFTACLPLLLLLLAITGATAGSNEAEEWFFSHCPCSAKCGDLEVRFPLRLNTSPAICGLEGLVFSCSADQAHLTLRPSLSFKVTAIDYSYQLITIDTSGFWPPCPLPDLRSTNLSNEFFSVDGIGISLINCSKEFKFDPQIDSIARRGAIASMGVIDSCMLWAKWFPWTSCPPIAW >DRNTG_03824.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6442574:6446176:-1 gene:DRNTG_03824 transcript:DRNTG_03824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSSKQGQQDLEALRTTMHRKDMGNQTSTNNDPSLEVPPNLSIEPTVNETLELGSGGLSTADENVARQHRTRGPTFVRIAPEDANRNVL >DRNTG_00354.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18656107:18656622:-1 gene:DRNTG_00354 transcript:DRNTG_00354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCSLSWPSSQYSQTEFNYVRVVHINGLIEDFETPVTAGEITGKPPKYLLCSSAQLLILGSSPIRFEDRLEPGRLYFLLPHSVMQSNASPLDILSLVTRLTELARKHGPGVTKHGLTRLEPVKPDQMVKDDDGARRWRPELETIEEKSMRSSQSVQSISSVVNNSRYQVL >DRNTG_21423.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3442335:3444055:1 gene:DRNTG_21423 transcript:DRNTG_21423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGRRAWYWSFVSAAMVAVVAGDFAADRAECASNLVGLSTCLTYVQGTGSAPTPDCCSGLEQVVGKSPKCLCVLIKDRNEPGLGFKFNVTRAMSLPDFCHSSANISDCPRLLNLPRDSKDAQIFEQFGNSSSSHGKGNVQSIVQSNGGVKGYYLKEQGLLGVQMHPLLLLLILPLVLSGS >DRNTG_30011.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001537.1:21870:23349:-1 gene:DRNTG_30011 transcript:DRNTG_30011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWNRTPHSGYSSSVVSGLAQGSGEYFTRIGIGTPPSYSFMVVDTGSDLAWLQCLPCRRCYSQSAPIFDPRRSRTYASVPCGSALCRRLDASGCNLRRGACLYEVAYGDGSATMGDFSTETLTFRGGARLPRVALGCGHDNQGLFIAASGLLGLGRGPLSLPSQAASRLSRRFSYCLVDRTSPSSQPVTRASSLIFGPSAIPRSSSGIAYTPMVSNPSMDTFYYADLIGISVGGARVPGAELKLDQGTGRGGVIIDSGTSVTRLARAAYEAMRDAFKAGATELSPTAEGFSLFDTCYDLGDKSVVKVPTLVLHFAGGADVALPAENYLIPVDTKGTFCFPFAGTDGGVSIIGNIQQQGFRMVFDGETSRIGFVPGGC >DRNTG_33018.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4822384:4827400:-1 gene:DRNTG_33018 transcript:DRNTG_33018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLYETSYLAIEREDTLEKARVFTIKHLKAIIE >DRNTG_33018.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4826818:4827400:-1 gene:DRNTG_33018 transcript:DRNTG_33018.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLYETSYLAIEREDTLEKARVFTIKHLKAIIE >DRNTG_33018.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4822384:4823267:-1 gene:DRNTG_33018 transcript:DRNTG_33018.2 gene_biotype:protein_coding transcript_biotype:protein_coding NGSEDDRKSTTRWVFSLSSGTIAWCSKKQAITTLSSTEAEYISLTAASCEAVWLRCLLEDLNEKQSGSSIILCDSQSALSIAKIPILHGSTKHIDTRFHFIQELIKDETIDVKYCRTEK >DRNTG_25456.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1521257:1524185:-1 gene:DRNTG_25456 transcript:DRNTG_25456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIALVLNLAKKNSAVHAQPVHAHHLFSATLAVSAAAASAAASWPFASRSIFGNGGIPIAYCDAGAALSDSYIPNIPTEELLSSSVTYRPKEYPVELKSLTSAFGLKSLALTSVRSFLLFYLPLLEPHPPVEGDNDDLEDPPENKSVDLVTPFKKSVKQIARETTVVTVRRVLERLAVHYVSQRMAWKLLKDVPRSAKRKAARDISTPCFVFSVSRTTFRGHMLGVAASWIVQIGVDAYRCFIRKSEDDPLADKNEKIRLFGKKVYATTIKCTASLVFASIGAGICALIHPSTGQWVGCALGDFAGPVIAIICFEKLHLEI >DRNTG_35454.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3654213:3659648:-1 gene:DRNTG_35454 transcript:DRNTG_35454.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein [Source:Projected from Arabidopsis thaliana (AT4G27040) UniProtKB/TrEMBL;Acc:A0A178V291] MRRRPGIAGLQTAAATRDQYRLVGENVAKIRTDLMKEQLETFRTQLEEFARKHKNDIRKNPTFRSQFHEMCAKVGVDPLASNKGFWAELLGNWRLLL >DRNTG_20119.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22594666:22599180:1 gene:DRNTG_20119 transcript:DRNTG_20119.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQGGTGIPHLKWFGVEGEYNVMVIDFLGPSLEDLFNYCSRKLSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYMLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPPEFVSYFQYCRSLRFEDKPDYSYLKKLFRDLFIREGYQYDYIFDWTLLKYPHTRPGVRARPSGRANGAVGPSQERAEKNSVGQEIREKLSGAVEALGRRKVSGSAPHRDHSKHRGQEDGPMSSKDVHGSEKTRSTSRNGSLSKRVVMSSGRPSSTGEPSDQLFNRTSRLVSSSHSRPSNAQRTHHPGTELRSSSIPRNATSRVTRDDNPLRTFELLSLNADKRK >DRNTG_20119.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22594666:22599180:1 gene:DRNTG_20119 transcript:DRNTG_20119.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQGGTGIPHLKWFGVEGEYNVMVIDFLGPSLEDLFNYCSRKLSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYMLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPPEFVSYFQYCRSLRFEDKPDYSYLKKLFRDLFIREGYQYDYIFDWTLLKYPHTRPGVRARPSGRANGAVGPSQERAEKNSVGQEIREKLSGAVEALGRRKVSGSAPHRDHSKHRGQEDGPMSSKDVHGSEKTRSTSRNGSLSKRVVMSSGRPSSTGEPSDQLFNRTSRLVSSSHSRPSNAQRTHHPGTELRSSSIPRNATSRVTRDDNPLRTFELLSLNADKRK >DRNTG_20119.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22594666:22599180:1 gene:DRNTG_20119 transcript:DRNTG_20119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVIGGKFKLGRKIGSGSFGELYLGVNVQTGEEVAVKLESAKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDFLGPSLEDLFNYCSRKLSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYMLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPPEFVSYFQYCRSLRFEDKPDYSYLKKLFRDLFIREGYQYDYIFDWTLLKYPHTRPGVRARPSGRANGAVGPSQERAEKNSVGQEIREKLSGAVEALGRRKVSGSAPHRDHSKHRGQEDGPMSSKDVHGSEKTRSTSRNGSLSKRVVMSSGRPSSTGEPSDQLFNRTSRLVSSSHSRPSNAQRTHHPGTELRSSSIPRNATSRVTRDDNPLRTFELLSLNADKRK >DRNTG_08555.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17265123:17268391:-1 gene:DRNTG_08555 transcript:DRNTG_08555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDIFTAKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTDSGGTKDDLRLPTDENLLTQIRDGFGEGKDLVVTVMSAMGEEQICALKDIGPKN >DRNTG_14029.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27581579:27582715:1 gene:DRNTG_14029 transcript:DRNTG_14029.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPPSMAVEAHHLPLFPSQLIANRDLTANTVDNQTGFNPLYSTVIATTTAAAAAAADEYSLPRKRLRDLSFTPSLTGNDISFLFQQHQLELDWLIAKHVDEVVTELGERRRLFMKQMVAVVEEKVARWLKSKEEEMEKMKKLNMALEENVKSLCLENQIWRELAQSNEATANALRRNIKHLLVRGKMNEESNEVVEDAESCCEGESTRESMRGLQLCKSCHGNESSVLLLPCRHLCICVDCCLGVDVCPVCNCKRIGSINVNLS >DRNTG_04102.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3444642:3445447:1 gene:DRNTG_04102 transcript:DRNTG_04102.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLSDSSQNIGSRYSLSTERGNLPHNENDTKLLRKKPILILAAGTTHQNKRKRGEEEKTRSLPLLNSNEDL >DRNTG_19247.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:280194:280847:-1 gene:DRNTG_19247 transcript:DRNTG_19247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISFDHQLESKMGSMIRVYAMFFINFFSGLMKVSLAQLTAPSRMVDGKAIDQGIAYVLMLVALLVTYLVH >DRNTG_18363.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16474476:16479407:1 gene:DRNTG_18363 transcript:DRNTG_18363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGGGGGDRYRNPCLTMHQPWASLLVHGIKRIEGRSWPAPINGRIWIHAAAKVPEPDTIKVMEDFYREIYAVNGIIDIKFPDHYPVSRLLGCVEVVGCVKSEELVCWDEVPESVRFEGLTQFCWLCENPQKLLVPFEMRGYQGVYNLEKKIYDAAIRGLRPVKGPLPVKFPLPDISNPLSLKPGSLPSCKTGSKLSEPGHSCSVSVAIAGAKAAATQFSKKDQSQSNHEIRKHNDQKLDMNYPSGTRHILPQEMDTRMSSLTNDSVPAKNSLDQQAHIEVGGNSRSYTLEANSRSSSHPGAPSHKLFAAALKGLSSK >DRNTG_02300.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:776107:776511:-1 gene:DRNTG_02300 transcript:DRNTG_02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDGKILSYQPPLKVQQVLLDFPGYAISSSAFPVICHLDQETYLSAGQLYYLIPPETKPLAQTGHADDVLRIKLVLSKQELKELIKNGGIVSLDDMMSQLQRITKRRDGIEKERCMSWKPTLESIPEENHDFF >DRNTG_14808.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29822472:29826935:1 gene:DRNTG_14808 transcript:DRNTG_14808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAVSSTSILFFIFIFIFILSLSQVESQTPSDEKQTLLRIKSEWGNPGNLSSWSNSSSLHYCSWVGVQCNNGSSVINLTLSGLNIAGPIPDSVCDLKNLTVLDLNTNNIPGPFPTSLYNCANLQYLDLSQNLFVGVIPSSIWRLSSALTVLILSANNFSGDVPSIIAQLKALQVLRLDNNLFNGTFPSELGELQNLEQLWLAYNPFAPASIPEEFGNLTKLSFLFMANMSLVGEIPETLGKLGEIVQLDLSENSINGAIPHSIWMLKNIQYLYLYKNRLSGEIDPEIEALNLVEIDISINQLNGSIPEGFGQLKNLKKLLLYWNRFSGEIPRSIALLPNLYDVRLFNNSLTGTLPSELGKHSPLWNLEVDDNQLSGELPDGLCALGGFSSLVVFNNNFNGSLPTTLGECSTLNNLQIYNNHFTGLFPQGIWSAVNLTTLIMRGNGLSGELPSDLPWNITRLEIQDNRFSGKIPSSAGKLLVFRAGNNQLSGELPTDITGISNLQILSLSGNQITGVIPSGISTLTSLTDLDLSNNQLSGEIPASIAKLPVLTSLDLSMNQLSGEIPAVIGELKLNFLNLSSNRLSGQIPISMDNTANAHSFSANPDLCSYDPMFNIGSCGHGSDGSSRISTTGIVIVTVAGLALVILITFLFLMIRDKRRRKDGKDLAAWKVTSFQPLDFTETTILRGIKEENQIGCGGSGRVYRVAIGSCAREIVAVKSIWTGTKLDAKLEKEFQSEVKILGSIKHANIVKLLCCISSPESKLLVYEYMANMSLYCWLHGDHRRARVGSQPLDWPIRLRIAIGVAQGLCYMHNDCTPAIIHRDIKSSNILLDSEFKAKIADFGLAQMLVEAGQSNSVSEFAGSIGYMAPECAYSMKVNEKVDVYSFGVVLLELVTGREASDGGKDGSLVEWAWKYIQEGNKVVDAIDERIRDPAYLDEMAVVLRLGLICTGTLPSTRPSMKDVLEVLLRCNQMPAGFTDKSLRESDQAPLLQTKKVKGSRHIAFSSSSSSEDNEEDDSTLASNV >DRNTG_04691.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30458248:30458838:-1 gene:DRNTG_04691 transcript:DRNTG_04691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEGETDRQTETERDRKDRDKRYLTLFGSEVAKHNLALPNRRRSLHI >DRNTG_08100.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13696992:13704675:1 gene:DRNTG_08100 transcript:DRNTG_08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISYGRVLDPQEHPHGPVAPLSTRESHLSVSHCHMGIPHGRGSSQGPS >DRNTG_18003.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24215676:24216827:-1 gene:DRNTG_18003 transcript:DRNTG_18003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAILILSLCATLGILAPACKATEFVLYSNPATVLVPGQNFSYDMTPIGLPYGPADLVMRKDCNLVSHYKGEFAWASNTSGAADYCYLAVSNYGAAVIKGNYHYPVWTSPITSNISGDYVLILQWNGGLATYGPSIWSSTNKAEFGSIEIKNETKDYVVYSYSVLPIGPIATYKDFHLVLEDSCNLVLRRTDSGKVLWQTNKYSDSHDCFVTLDGNGELFVKHRRREILWRSNSRSTPGLYVFVLRYDARLVIYGSQIWTTKPFW >DRNTG_18003.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24215868:24216934:-1 gene:DRNTG_18003 transcript:DRNTG_18003.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAILILSLCATLGILAPACKATEFVLYSNPATVLVPGQNFSYDMTPIGLPYGPADLVMRKDCNLVSHYKGEFAWASNTSGAADYCYLAVSNYGAAVIKGNYHYPVWTSPITSNISGDYVLILQWNGGLATYGPSIWSSTNKAEFGSIEIKNETKDYVVYSYSVLPIGPIATYKDFHLVLEDSCNLVLRRTDSGKVLWQTNKYSDSHDCFVTLDGNGELFVKHRRREILWRSNSRSTPGLYVFVLRYDARLVIYGSQIWTTKPFW >DRNTG_18003.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24215868:24216827:-1 gene:DRNTG_18003 transcript:DRNTG_18003.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAILILSLCATLGILAPACKATEFVLYSNPATVLVPGQNFSYDMTPIGLPYGPADLVMRKDCNLVSHYKGEFAWASNTSGAADYCYLAVSNYGAAVIKGNYHYPVWTSPITSNISGDYVLILQWNGGLATYGPSIWSSTNKAEFGSIEIKNETKDYVVYSYSVLPIGPIATYKDFHLVLEDSCNLVLRRTDSGKVLWQTNKYSDSHDCFVTLDGNGELFVKHRRREILWRSNSRSTPGLYVFVLRYDARLVIYGSQIWTTKPFW >DRNTG_18003.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24215868:24216560:-1 gene:DRNTG_18003 transcript:DRNTG_18003.4 gene_biotype:protein_coding transcript_biotype:protein_coding GAADYCYLAVSNYGAAVIKGNYHYPVWTSPITSNISGDYVLILQWNGGLATYGPSIWSSTNKAEFGSIEIKNETKDYVVYSYSVLPIGPIATYKDFHLVLEDSCNLVLRRTDSGKVLWQTNKYSDSHDCFVTLDGNGELFVKHRRREILWRSNSRSTPGLYVFVLRYDARLVIYGSQIWTTKPFW >DRNTG_30921.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23291339:23299311:1 gene:DRNTG_30921 transcript:DRNTG_30921.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLASAISLPTSCLQIHRPMRLRTLRCSPVACLSSSQDPSPPRQNVSQPEASFLVPPAPHPNPALDHDLSGSSSPTLVMSPATESTVERVIFDFRFLALLAVGGSLAGSLLCFLNGCVYIVDAYKVYWTSCVKGIHTGQMVLRLVEAIDVYLAGTVMLIFGMGLYGLFISNSPEVPAHRDRALKGSSLFGMFALKV >DRNTG_07692.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23164827:23168922:-1 gene:DRNTG_07692 transcript:DRNTG_07692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWRISPLLVLALIAFLSLQIGASDPLFYESFDETFEGRWIVSDRSDYNGIWKHSKSEGHEDYGLLVSEKARKYAIVKELDEHINLKDGTIILQFETRHQNGLECGGAYLKYLRPQGAGWAPKEFSNESPYSIMFGPDKCGSTNKVHFILKHKNPKTGEFVEHHLKYPPSVPSDKLSHVYTAILKPDNELLILIDGEQKKKANFLSADDFEPALIPPKIIPDPDDKKPEDWDERAKIPDHDAVKPDDWDEDAPMEIEDEDAVKPEGWLDDEPEEIDDPDAAKPEDWDDEEDGEWEAPKIDNPKCDAAPGCGEWKRPTKRNPAYKGKWHAPMIDNPNYKGIWKPQQISNPDYFELDKPEFEPVAAIGIEIWTMQDGILFDNILVASDEKVAESYRDKTWKLKYNSEKEKQKAEDAAAGLGDGLSSFQKSVFGVLYKVADIPFLAAYKMKIMDAIEKGEKQPNLTIGVLVSIVIVFLTIIFKILFGGKKPVVPTNPVPESKTSEAAETDLPESSSAQEENEKEDAPVSRPRRSRRET >DRNTG_03080.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:374286:376673:1 gene:DRNTG_03080 transcript:DRNTG_03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFAGMGKLFLFLFFFFISSAHISQATDTMITISPGQGLSGNQTLVSKGGNFELGFFSPGNSHKYYIGIWYKKIPQKDVIWVGNRINPISNPSTSLLKLSNNGNLVLFNQSGSPVWSSNSTVSTSNSTFAELLDNGNLVIRNNSNFSTPIWQTFEHPSHTWMPGAPLGVNKLTGEFQALTSWKSSEDPSPGLFSLSLALNRSSQLVELYNSSLIYASTGVWNGQFFNSLEKVFMEKNIFNFSFVDNEEQKYATYIVDDPSMIIYILMDPSGQVKQFSWLSDKKEWLLNCNQPPALCDVYSACGAFGVCHQRTSLYCSCFVGYQPVSNKEWDLGAWSSGCSRKTSFQCNDKNNISTGDGFLEMSMVRLPSNPQNLAAAQSAEECEQACRKQCSCTAYAFDGQCSIWNGDLHNVKQLHDGLAGTLYLRLAASDIPSPFPTNSQAELEPEFIFTGVAGLIVLVSFLVFVGLIWVHRKRPSARIAMQLAEGSLIPFTYSDLQRMTKNFSDILGRGGFGSVFKGALPDSTTIAVKKLEGWRQGEKQFRTEVITLGSLQHVNLVRLRGFCCEANKRLLAYDYMAGGSLDTHLFRSSKVLDWETRFEIILGVARALAYLHENCRECIIHCDIKPENILLDNELKPKVADFGMAKLIGRDFSRVLTTTRGTLGYLAPEWLLGLPISSKVDVYSFGMMLFELISGGRNSNQSEINENIDYFPFRVACQLIEGDDNHIGDLLDKRLQGEANLVQVKRICTVACWCIQDWEVDRPSMGHVLRILEGAVDATTPPVPRLLLHLAES >DRNTG_13774.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13332771:13336589:-1 gene:DRNTG_13774 transcript:DRNTG_13774.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWIRWPHISFCGFPTSLFLACSPGFDHVSRTISVHMAQDDSTYRGRNGIGCFHVSP >DRNTG_13774.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13160650:13336589:-1 gene:DRNTG_13774 transcript:DRNTG_13774.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWIRWPHISFCGFPTSLFLACSPGFDHVSRTISVHMAQDDSTYRGRNGIGCFHVSP >DRNTG_10677.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13066114:13070567:1 gene:DRNTG_10677 transcript:DRNTG_10677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTNKQNFKEVVQSALRGEQLVKEGKRVRENIAKRRSMEMGQPSKKSRSESSSRVIFALGPTRPPPSQSACPQLGRCGFAPLSQGHPNQSKGPQPVTSTPTITKSGAASNTSQPGVSGLLGLLDDFLGTDSDCQSTCSNNCTSTTGPSRSSCLRRGQWTHSDAMLAKESIKRVIATFDDISLGAGLNLGIRERMTVTSKQNFKKMVQSALRAEQIVREGKRVRENIAKRRSMEMGQPSKKSRSEGSSTGTSTSGPTRPPLSQSGDQLRFSCSNSTPSLRDWTLEISMPTARMWWICTIISRPS >DRNTG_08091.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9999347:10002578:1 gene:DRNTG_08091 transcript:DRNTG_08091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRPTARRSFDFREDAPAEAEETARKVKIGLYFATWWALNVVFNIYNKKVLNAFPYPWLTSLLSVAAGSLIMFISWATRVVEPPQIDSDFLKALLPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGEMFPLPVYLSLIPIIGGCSLAAVTELNFNMIGFMGAMISNLAFVFRNIFSKKGMNGKSVGGMNYYACLSILSLLILTPFAIAVEGPQMWADGWQQALSNIGPQFICPSSEDLRGIW >DRNTG_00076.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21844390:21846370:1 gene:DRNTG_00076 transcript:DRNTG_00076.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTGLRALATAMVSFWICIREALFGLPARGRGLAKRGGGSRGVGRSAGSSDFRGMRCDEIHQRRPSGILAGGHAPRFGTLSLSESGPSLVLAYSCIRIKKTRI >DRNTG_13715.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5401031:5404265:-1 gene:DRNTG_13715 transcript:DRNTG_13715.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF778) [Source:Projected from Arabidopsis thaliana (AT2G26070) TAIR;Acc:AT2G26070] MPMKASYIMELKSTADIENASSNRRVRHDFWPLDAVDPKNARFPCCIVWTPLPVVSWLAPYIGHLGICREDGAVVDFAGSNIVSIDNFAYGKVARYLQLDREQCCFPLNIAKHTCEQAYQHVERGTATSWDNALQASMQQFQHKCYNLFTCNCHSFVANCMNRLCYDRSLGWNMINLTALVLWRGKWVDGMSVLRSFFPFTVVLCVGLLIAGWPFLIGLASFSFLLIGWYLFGTYCVKNLIQC >DRNTG_13715.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5401031:5404265:-1 gene:DRNTG_13715 transcript:DRNTG_13715.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF778) [Source:Projected from Arabidopsis thaliana (AT2G26070) TAIR;Acc:AT2G26070] MPMKASYIMELKSTADIENASSNRRVRHDFWPLDAVDPKNARFPCCIVWTPLPVVSWLAPYIGHLGICREDGAVVDFAGSNIVSIDNFAYGKVARYLQLDREQCCFPLNIAKHTCEQAYQHVERGTATSWDNALQASMQQFQHKCYNLFTCNCHSFVANCMNRLCYDRSLGWNMINLTALVLWRGKWVDGMSVLRSFFPFTVVLCVGLLIAGWPFLIGLASFSFLLIGWYLFGTYCVKNLIQC >DRNTG_00879.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21800182:21804862:-1 gene:DRNTG_00879 transcript:DRNTG_00879.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERIPQINLWSGSTKRWRSGPWIGITYSNIEEQPSFTGFRFGLVSNKDEVYHMYNTTGGKIVARTVLDRSGMVKLFAWVESAGMWNNFLYYPKSQCEEYSTCGPYSVCDINVFPICSCLHGFKRKSPQEWPLRDASSACERLTALDCKNRTDGFMTITIAALPETSNAILYSNISQDECRGRCLKNCSCTAYATANISGAGIGCVIWVTELIDMRLSSHATQDVFVRLAAVDLASIPSKYSKKRQAIAMVLITIFSVVALIISLICFYSWRKKRMMHRDFVAYHQLQKDIRGDDELELAQLQWSTLMVATDNFAETNILGQGGFGLVYKGKLAEGRDIAVKRLSGDSAQGIDEFKNEVTFISRLQHRNLVRLLGYCIQGDEKILVYEYMPNGSLDAFLFDKEKRVLLDWQTRYHIIVGIARGLLYLHQDSRLRIIHRDLKASNILLDNDMNPKISDFGLARNFGEHEMMTKTKKVVGTYGYMAPEYALDGVFSVKSDVFSFGVLILEIISGQRNRVFLSKPHLYLLGKAWSLWNDKKAFDLLDPLIGNSCSVPQVMRCVNIGLLCVQEKPEDRPIMSSVVIMLGNDDAPLLEPKAPGFKAIFSTKLDLASNQTELHTFNIVTLTEPQTGR >DRNTG_00879.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21800182:21801533:-1 gene:DRNTG_00879 transcript:DRNTG_00879.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSLDAFLFDKEKRVLLDWQTRYHIIVGIARGLLYLHQDSRLRIIHRDLKASNILLDNDMNPKISDFGLARNFGEHEMMTKTKKVVGTYGYMAPEYALDGVFSVKSDVFSFGVLILEIISGQRNRVFLSKPHLYLLGKAWSLWNDKKAFDLLDPLIGNSCSVPQVMRCVNIGLLCVQEKPEDRPIMSSVVIMLGNDDAPLLEPKAPGFKAIFSTKLDLASNQTELHTFNIVTLTEPQTGR >DRNTG_35170.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26108220:26109014:1 gene:DRNTG_35170 transcript:DRNTG_35170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPTAMEKPALKIKPLPSFRLRTSSLNTLRLRRIFDLFDGNGDGEITTAELCAALDRLGLGADPTEIGTLVKAHAPAGRTGLDFPAFESLHRALGDELLGVAEEGEKEAEAEEDMEEAFRVFDEDGDGFISARELQAVLGKLGFVEGRSLQTVHEMICSVDRNSDGMVDFGEFKSMMRGIAVAVPGA >DRNTG_35170.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26108220:26117382:1 gene:DRNTG_35170 transcript:DRNTG_35170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPTAMEKPALKIKPLPSFRLRTSSLNTLRLRRIFDLFDGNGDGEITTAELCAALDRLGLGADPTEIGTLVKAHAPAGRTGLDFPAFESLHRALGDELLGVAEEGEKEAEAEEDMEEAFRVFDEDGDGFISARELQAVLGKLGFVEGRSLQTVHEMICSVDRNSDGMVDFGEFKSMMRGIAVAVPGFEDLYWVFVRESTVRV >DRNTG_00504.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30521377:30523329:1 gene:DRNTG_00504 transcript:DRNTG_00504.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGFNSIHQKSTALSNQKLNMPPFLRGNIEAISDLEDDELYSSWLRSEEEAPVGDDQLGVDIMDTEQLVKHPIVDSERNAESVDKTPVPPHTIDVEIREVVSTDTSKSQEPSHNNGADHAWDDANCLVPAAAIPSTSELEAGNKDAPTGNTANLMKDVESTPAGSGPVLNRNEMVTSAPINVMNQLEDGSPAPGKMSISSKGKGSAPLYNLDSESSDSLPDIVDDDPDSD >DRNTG_00504.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30521377:30523652:1 gene:DRNTG_00504 transcript:DRNTG_00504.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLTQEVISNAFADLNDHPVCGLPSSNTNSAKVVSEVSGQSTSRKRKHGYGAPMEHPNGVDLEAEAASRKLPAPLAVKIAALRALEALLTVGGSLRSEFWRSDVDLLLITVTTNACDAGWASEGKLTLPTDQPASSRADFQLASLKALLASLLSSAHVRPPYLSQGLELFCRGKQETGTELAAFCTHALLALEVLIHPRALPLVDLPVAKISMNDGFNSIHQKSTALSNQKLNMPPFLRGNIEAISDLEDDELYSSWLRSEEEAPVGDDQLGVDIMDTEQLVKHPIVDSERNAESVDKTPVPPHTIDVEIREVVSTDTSKSQEPSHNNGADHAWDDANCLVPAAAIPSTSELEAGNKDAPTGNTANLMKDVESTPAGSGPVLNRNEMVTSAPINVMNQLEDGSPAPGKMSISSKGKGSAPLYNLDSESSDSLPDIVDDDPDSD >DRNTG_00504.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30521377:30523329:1 gene:DRNTG_00504 transcript:DRNTG_00504.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLTQEVISNAFADLNDHPVCGLPSSNTNSAKVVSEVSGQSTSRKRKHGYGAPMEHPNGVDLEAEAASRKLPAPLAVKIAALRALEALLTVGGSLRSEFWRSDVDLLLITVTTNACDAGWASEGKLTLPTDQPASSRADFQLASLKALLASLLSSAHVRPPYLSQGLELFCRGKQETGTELAAFCTHALLALEVLIHPRALPLVDLPVAKISMNDGFNSIHQKSTALSNQKLNMPPFLRGNIEAISDLEDDELYSSWLRSEEEAPVGDDQLGVDIMDTEQLVKHPIVDSERNAESVDKTPVPPHTIDVEIREVVSTDTSKSQEPSHNNGADHAWDDANCLVPAAAIPSTSELEAGNKDAPTGNTANLMKDVESTPAGSGPVLNRNEMVTSAPINVMNQLEDGSPAPGKMSISSKGKGSAPLYNLDSESSDSLPDIVDDDPDSD >DRNTG_00504.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30516572:30517636:1 gene:DRNTG_00504 transcript:DRNTG_00504.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLDGMNDVRLKPRLLRSLLRDRLPDEKQLSPGPSELATVLCDVKTYGLLSERAPGPAADPKLMESWKLAVDEWVDRLMSLISSKMPDKCWAGTCLLGVTCEECSSERFIGSYSVWFQQLLLNLQPLSSSQFVKVASCASLADLFTRFVSSHITVL >DRNTG_00504.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30516572:30523329:1 gene:DRNTG_00504 transcript:DRNTG_00504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLDGMNDVRLKPRLLRSLLRDRLPDEKQLSPGPSELATVLCDVKTYGLLSERAPGPAADPKLMESWKLAVDEWVDRLMSLISSKMPDKCWAGTCLLGVTCEECSSERFIGSYSVWFQQLLLNLQPLSSSQFVKVASCASLADLFTRLVAYSSVKKDATSLAGKLVQPVLQLLSEDDNASLEGALQLLNTLTSIFPSSIHRHYDNVESALVSIILSGRCNADVSMKVACCLALLPKVKGDEDTWSLMMRRILISINMLLNDAFQGLEEETKNIEIMRLLVPPGKDPPPPLGDQLLIEGIPERTTKRLHDILIPRISTLMYCCCKMLTNPYPIQVVIPIRALLALVGRVLLQDGSLHQKLLPFTTSLHQELLCSELSSLHSNSLDLLIAIIKGVRSQLLPHAANVVRLVTEYFRRAVLAPIRIKLYSIIQILLISMGVGMALYLTQEVISNAFADLNDHPVCGLPSSNTNSAKVVSEVSGQSTSRKRKHGYGAPMEHPNGVDLEAEAASRKLPAPLAVKIAALRALEALLTVGGSLRSEFWRSDVDLLLITVTTNACDAGWASEGKLTLPTDQPASSRADFQLASLKALLASLLSSAHVRPPYLSQGLELFCRGKQETGTELAAFCTHALLALEVLIHPRALPLVDLPVAKISMNDGFNSIHQKSTALSNQKLNMPPFLRGNIEAISDLEDDELYSSWLRSEEEAPVGDDQLGVDIMDTEQLVKHPIVDSERNAESVDKTPVPPHTIDVEIREVVSTDTSKSQEPSHNNGADHAWDDANCLVPAAAIPSTSELEAGNKDAPTGNTANLMKDVESTPAGSGPVLNRNEMVTSAPINVMNQLEDGSPAPGKMSISSKGKGSAPLYNLDSESSDSLPDIVDDDPDSD >DRNTG_22102.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:153260:157151:1 gene:DRNTG_22102 transcript:DRNTG_22102.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSTEMTIEFLRARLLSERSISRTARQRADQLAKRVMELEEQLRAVTIQRKKAEKAAVEVLAILESQGADGFSEVIDSSSEHADEDCDERKENGYAHNGKRDENSIVLKTKTSEEVDGLSGSEVEVSAASKGQSLSWKSHSNSPNSRQKLRLKQFKQRQRRPSLLSNRESLPKYQLGKSCRRIKPKEMGSPADNESGKSVLDAEEQSVSTLSSNHFDDQPDENGEASRDEIVQGPPPHDENNRTIDVVSVNDHDEDEEMKRVLQQQEQLIDQFQAEENAQREWEEKYNENKSSMLSVYEVKNQLTAVQTGSNQGQNTYLPDLNSDFQKAEKLSDRQFSSSGRPIAQIPNQTMPNDQKPQGIIPENNDSNHRVLAPRHSDGFGPNGLTANPPGRIFTDGGLGKPLKHHDMLVGRDGTNMQEFAFPTEVSFDAITGKQDQALYFDRSDSSSHSNQNLNPDTRRFFESTSSWSQSSDHSAAKLTPWGSSEIQRRLPQTALNNLGGVLNALQIAKTSLKQQLNASPSPSGGTLAIAAPTYSRVQAETSDIPNGATALFRLPTDSFPQDNIPRQKFYDSPLRLPSSYADIKHAPSANGYRSRSSSYIETEPRVSVGTQLYDPYLTSSTIYSNSSQYSLPFSDSSREGSPFQNGVSRPYLETRNQMSRRDPYVYHAQTARSDSKRL >DRNTG_22102.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:153260:157151:1 gene:DRNTG_22102 transcript:DRNTG_22102.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSTEMTIEFLRARLLSERSISRTARQRADQLAKRVMELEEQLRAVTIQRKKAEKAAVEVLAILESQGADGFSEVIDSSSEHADEDCDERKENGYAHNGKRDENSIVLKTKTSEEVDGLSGSEVEVSAASKGQSLSWKSHSNSPNSRQKLRLKQFKQRQRRPSLLSNRESLPKYQLGKSCRRIKPKEMGSPADNESGKSVLDAEEQSVSTLSSNHFDDQPDENGEASRDEIVQGPPPHDENNRTIDVVSVNDHDEDEEMKRVLQQQEQLIDQFQAEENAQREWEEKYNENKSSMLVCTLLIPSINHACNIIFPACLNYRVLMNLL >DRNTG_22102.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:153260:157151:1 gene:DRNTG_22102 transcript:DRNTG_22102.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSTEMTIEFLRARLLSERSISRTARQRADQLAKRVMELEEQLRAVTIQRKKAEKAAVEVLAILESQGADGFSEVIDSSSEHADEDCDERKENGYAHNGKRDENSIVLKTKTSEEVDGLSGSEVEVSAASKGQSLSWKSHSNSPNSRQKLRLKQFKQRQRRPSLLSNRESLPKYQLGKSCRRIKPKEMGSPADNESGKSVLDAEEQSVSTLSSNHFDDQPDENGEASRDEIVQGPPPHDENNRTIDVVSVNDHDEDEEMKRVLQQQEQLIDQFQAEENAQREWEEKYNENKSSMLSVYEVKNQLTAVQTGSNQGQNTYLPDLNSDFQKAEKLSDRQFSSSGRPIAQIPNQTMPNDQKPQGIIPENNDSNHRVLAPRHSDGFGPNGLTANPPGRIFTDGGLGKPLKHHDMLVGRDGTNMQEFAFPTEVSFDAITGKQDQALYFDRSDSSSHSNQNLNPDTRRFFESTSSWSQSSDHSAAKLTPWGSSEIQRRLPQTALNNLGGVLNALQIAKTSLKQQLNASPSPSGGTLAIAAPTYSRVQAETSDIPNGATALFRLPTDSFPQDNIPRQKFYDSPLRLPSSYADIKHAPSANGYRSRSSSYIETEPRVSVGTQLYDPYLTSSTIYSNSSQYSLPFSDSSREGSPFQNGVSRPYLETRNQMSRRDPYVYHAQTARSDSKRL >DRNTG_30664.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8688691:8690750:1 gene:DRNTG_30664 transcript:DRNTG_30664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLLLRSSSSSITAAALHGHRFLSPNPQIQVFTRHFLDLHKIGSKEAIEKEKARISDELSRGYFADISEIRKNGGKIAMANKTVIPSMAAVKFPDVEVSFSDGRSLRLPVSSEQAVTDASDVGVSLVCLSFRANSQAMAESWTAPFLDAFSTSGKVQVYEVSFIDSWLLSLAPVRKLFLKVMKKSNIPQRHIVYSFGDHYDLRKKLQILNLLTGYIFLLDQLGRVRWQGFGFATQDELSSLLTCTSFLLNEK >DRNTG_19557.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001015.1:4193:17018:-1 gene:DRNTG_19557 transcript:DRNTG_19557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADIAGAGYGGAPSPDSEGPDGVRMSWNTWPRSKVEASKCVVPIAASISPIRTHPDVLVLPYTPLRCKPPCSTALNPFCTVDFSNRIWTCPLCFSRNVFPPHYHAISESNVPGELYPQCTTVEYAPPPAPHSPPPPPPVFLFVLDTCIIEEELAYLKSAMRQALGLLPENALVGLVTFGTQAQLHELGFADLSKVYVFRGTKEISKEEILDQLGLSGRGFPKGAPAHANGLQQHPAGSVNRFLLPASDCEYQLNLLLDELQTDQWPVEVGNRARRCTGVALSVASGLLGACLPGTGARIIALVGGPCTEGPGMIVSKDLSEPVRSHKDLDKDAAPHFHKAIKFYENLAKQLVSQGHVLDVFASALDQVGVAEMKVAVERTGGLAVLAESFGHAVFKDSFKRIFEKGEHSLGLSFNGTLDINCSKDIKIQGIIGPCTSLEKKGALCADTVIGQGNTTSWKMCGLDSSTSLTVFFDISPSERSNQQGITNQQLYIQFMTSYQNAEGHMRLRVTTITRKWVDGSINKEELVEGFDQETAAVVLARFISLKMEMEEEFDATRWLDRSLIRLCSRFGDYRKDDPSTFTINPNFSIFPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNRESVTNSVVMIQPSLLSYSFNAPPSPALLDVASIASDRILLLDAYFSLVIFHGMTIAQWRNMGYQNQSEHQAFAQLLQAPQDDAQMIIKDRFPVPRLVICDQHGSQARFLLAKLNPSATYNSAHEVTPGSDIIFTDDVSLQVFCEHLQRLAVQS >DRNTG_02519.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8017114:8018730:-1 gene:DRNTG_02519 transcript:DRNTG_02519.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMDADVRGSYSEDLHHLSVNDLALIMLLDGCFIIHVLLKFNEDEEWEGISNNMVTLGKNNDNDDGQEEEEDIAAGHEEDMVVLDIMGEKQIDLRVVAVKNVWGNMLYDLVKVENQIPFTIIQTLFSKLKTPGDEEINLVEIARLLLRGIHPSPYQSFATDLPEHVHHLLHLFHSTFVPSDDCLKINSSNLQKQNIIDVEWIPSATELHLAGVKFVKKGSATNFLDISFTNGTIEIPQVMLHDDTNTLFRNLIAFEQCYYPQTKNYITAYVFFMDYMINAAKDVEFFELKEIFINWLGTPDHAATLINDLCHKIQDAGGSFLQGSIQCVKIYYESRWHKWRAKLMRDYFDNPWAIVSLVAALILLLLTVEQSIFAAYSYFHPPKN >DRNTG_02519.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7994579:7995669:-1 gene:DRNTG_02519 transcript:DRNTG_02519.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHKWHCMRRLPSRHTKSRCKATDLFGQCLEEMRKMDVDVRGSDSEDLHHLSVNDLALIMLMDGCFIIHVLLEFNKDKDWENIANMVSLRKNNDNIDDGQEEEEDIAAGHEEDMVVLDIMGEKLIDLRVVAVWNVWGKMLYDLVKVENQIPFTII >DRNTG_02519.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8017114:8018768:-1 gene:DRNTG_02519 transcript:DRNTG_02519.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMDADVRGSYSEDLHHLSVNDLALIMLLDGCFIIHVLLKFNEDEEWEGISNNMVTLGKNNDNDDGQEEEEDIAAGHEEDMVVLDIMGEKQIDLRVVAVKNVWGNMLYDLVKVENQIPFTIIQTLFSKLKTPGDEEINLVEIARLLLRGIHPSPYQSFATDLPEHVHHLLHLFHSTFVPSDDCLKINSSNLQKQNIIDVEWIPSATELHLAGVKFVKKGSATNFLDISFTNGTIEIPQVMLHDDTNTLFRNLIAFEQCYYPQTKNYITAYVFFMDYMINAAKDVEFFELKEIFINWLGTPDHAATLINDLCHKIQDAGGSFLQGSIQCVKIYYESRWHKWRAKLMRDYFDNPWAIVSLVAALILLLLTVEQSIFAAYSYFHPPKN >DRNTG_02519.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8017050:8018730:-1 gene:DRNTG_02519 transcript:DRNTG_02519.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMDADVRGSYSEDLHHLSVNDLALIMLLDGCFIIHVLLKFNEDEEWEGISNNMVTLGKNNDNDDGQEEEEDIAAGHEEDMVVLDIMGEKQIDLRVVAVKNVWGNMLYDLVKVENQIPFTIIQTLFSKLKTPGDEEINLVEIARLLLRGIHPSPYQSFATDLPEHVHHLLHLFHSTFVPSDDCLKINSSNLQKQNIIDVEWIPSATELHLAGVKFVKKGSATNFLDISFTNGTIEIPQVMLHDDTNTLFRNLIAFEQCYYPQTKNYITAYVFFMDYMINAAKDVEFFELKEIFINWLGTPDHAATLINDLCHKIQDAGGSFLQGSIQCVKIYYESRWHKWRAKLMRDYFDNPWAIVSLVAALILLLLTVEQSIFAAYSYFHPPKN >DRNTG_02519.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8017114:8018861:-1 gene:DRNTG_02519 transcript:DRNTG_02519.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMDADVRGSYSEDLHHLSVNDLALIMLLDGCFIIHVLLKFNEDEEWEGISNNMVTLGKNNDNDDGQEEEEDIAAGHEEDMVVLDIMGEKQIDLRVVAVKNVWGNMLYDLVKVENQIPFTIIQTLFSKLKTPGDEEINLVEIARLLLRGIHPSPYQSFATDLPEHVHHLLHLFHSTFVPSDDCLKINSSNLQKQNIIDVEWIPSATELHLAGVKFVKKGSATNFLDISFTNGTIEIPQVMLHDDTNTLFRNLIAFEQCYYPQTKNYITAYVFFMDYMINAAKDVEFFELKEIFINWLGTPDHAATLINDLCHKIQDAGGSFLQGSIQCVKIYYESRWHKWRAKLMRDYFDNPWAIVSLVAALILLLLTVEQSIFAAYSYFHPPKN >DRNTG_02519.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7994579:8018768:-1 gene:DRNTG_02519 transcript:DRNTG_02519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMDADVRGSYSEDLHHLSVNDLALIMLLDGCFIIHVLLKFNEDEEWEGISNNMVTLGKNNDNDDGQEEEEDIAAGHEEDMVVLDIMGEKQIDLRVVAVKNVWGNMLYDLVKVENQIPFTIIQTLFSKLKTPGDEEINLVEIARLLLRGIHPSPYQSFATDLPEHVHHLLHLFHSTFVPSDDCLKINSSNLQKQNIIDVEWIPSATELHLAGVKIVKKKGSATNFLDISFINGTIEIPQVKLHDNTNTLFRNLITFEQCYYPHTKNYTTPKKGF >DRNTG_02519.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8017050:8018861:-1 gene:DRNTG_02519 transcript:DRNTG_02519.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMDADVRGSYSEDLHHLSVNDLALIMLLDGCFIIHVLLKFNEDEEWEGISNNMVTLGKNNDNDDGQEEEEDIAAGHEEDMVVLDIMGEKQIDLRVVAVKNVWGNMLYDLVKVENQIPFTIIQTLFSKLKTPGDEEINLVEIARLLLRGIHPSPYQSFATDLPEHVHHLLHLFHSTFVPSDDCLKINSSNLQKQNIIDVEWIPSATELHLAGVKFVKKGSATNFLDISFTNGTIEIPQVMLHDDTNTLFRNLIAFEQCYYPQTKNYITAYVFFMDYMINAAKDVEFFELKEIFINWLGTPDHAATLINDLCHKIQDAGGSFLQGSIQCVKIYYESRWHKWRAKLMRDYFDNPWAIVSLVAALILLLLTVEQSIFAAYSYFHPPKN >DRNTG_34924.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002205.1:29555:31685:1 gene:DRNTG_34924 transcript:DRNTG_34924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAINGRAEFSYGRPKHLVIILDWTESPQRRASTPTWNPSQRIRMVSVPESSPLREHTKFAIPKHQARFERLRDIGSVRLDSWTRAYCEIYITLEVLSSFKFDRPYDRFDKDDAIQFRVLGSLLHEGDIVLDQTGVGKYEQGVSKATCLSRPAYLYLHAIMSRSVNDRGDSTDVLSLQELLYLYSIVQSTTINLGHIIVEYLRHQRQYVRLGVIFSGPYITRLVMGMGLLTVIRRTEKASIQVCLCIEILRLMGMVRKVRLGVYALITPTLERILERDVASSFVLKPWTPPAPMTSPSPDPPAPLDYLAAGAELTSDDTDT >DRNTG_06570.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:486081:488486:1 gene:DRNTG_06570 transcript:DRNTG_06570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRLDLDGKQIKAMTICMIGAGGFIGSHLCEKLMAETPHTVLAVDVYNDKIKHLLGPEGEQQSDHHWAGRIHFHRLNIKHDSRLEGLIKMSHLTINLAAICTPADYNTRPLETIYSNFIDAIHVKYCSENGKRLIHFSTCEVYGKTIGSFLPRDHPLRQEPDFYVLKEDASPCIFGPIEKQRWSYACAKQLIERLTLLCICFIIINHLSPSDIGSFAVFIS >DRNTG_06570.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:486081:487185:1 gene:DRNTG_06570 transcript:DRNTG_06570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRLDLDGKQIKAMTICMIGAGGFIGSHLCEKLMAETPHTVLAVDVYNDKIKHLLGPEGEQQSDHHWAGRIHFHRLNIKHDSRLEGLIKMSHLVLLLLLLLLQIHNHHLLHSLLHKERLIPSFLCWFCRRSTWRQSAPLQTTTPVLWRPSIAISLTPFMWSSIAPRMASVLSTSPLARCMARPSEASFLEITPLDR >DRNTG_06570.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:486081:488486:1 gene:DRNTG_06570 transcript:DRNTG_06570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRLDLDGKQIKAMTICMIGAGGFIGSHLCEKLMAETPHTVLAVDVYNDKIKHLLGPEGEQQSDHHWAGRIHFHRLNIKHDSRLEGLIKMSHLVLLLLLLLLQIHNHHLLHSLLHKERLIPSFLCWFCRRSTWRQSAPLQTTTPVLWRPSIAISLTPFMWSSIAPRMASVLSTSPLARCMARPSEASFLEITPLDRSQISMSLKKMPHLAFLVLLRNRDGPMRVQNS >DRNTG_06570.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:486081:488486:1 gene:DRNTG_06570 transcript:DRNTG_06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRLDLDGKQIKAMTICMIGAGGFIGSHLCEKLMAETPHTVLAVDVYNDKIKHLLGPEGEQQSDHHWAGRIHFHRLNIKHDSRLEGLIKMSHLTINLAAICTPADYNTRPLETIYSNFIDAIHVVKYCSENGKRLIHFSTCEVYGKTIGSFLPRDHPLRQEPDFYVLKEDASPCIFGPIEKQRWSYACAKQLIERLTLLCICFIIINHLSPSDIGSFAVFIS >DRNTG_26726.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1248371:1248628:1 gene:DRNTG_26726 transcript:DRNTG_26726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLRAASRSIQLSLRSSLALNESLASSPAPLPLLFARHFSGSRSRSGRSRDDFPPYQVRNP >DRNTG_01618.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000092.1:52423:52881:1 gene:DRNTG_01618 transcript:DRNTG_01618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISHPFLFSPRLLVAWSKGLVLLGLNPSPVARKKKKKEKYLWVMEDDCEVVMMGLLNALKVATKNGAMEFCVRSLDVGEAMKNSLRCRKVGEFISGALAGVMTKVVLALLETIKT >DRNTG_12093.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27259705:27266494:-1 gene:DRNTG_12093 transcript:DRNTG_12093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFLLYPRKEGWGSLWWEIGGVGMRRRGGGAREGAGEMQGWIKEREAERRNISRYKMGKGKLGLGKNSKRRVETAAEEGWGCTTISWVARVARTVETAAEEEIRDRDTFSLAPDNPPKEGESVGSEQPKAAMEEGGQGGARVMVANRRNRQSSPLDSLSSPKTEGSLAGSKRQRLPPTCGHCLRSTHRTSECRHLLMCKRCGRAGHMAFGCRVELPSPPRRRRARPLAKARCSDLGNRSVAPPVNSNRTAVDRLHPQKINISLSLTQTSEEILLEFLPGALNTPRVEAVYEFRGNSFLATLCSKEEAIKASKLAELSLPSRMGPCVVSISPWTVEVGSVGSASGRGQVLLIWNLPLHAWTWTVLVELLKLIGELVAIPQPSKPHKSFLSVLVRCHHRTSLPFEVSLSFGMRRFIVLITDNRLPFPNFRRDLEKSCYPSTVLECEREAEALGWPKFTHEISKEAKGKEVKDPQNAEIGKEQIERRWRPRTQVAETRPVSDAPGVQMSRDNCGGTLPASVPSLNMTVETRPVRASPGVSLLSPASLVGGNRESGDHRENGNDKELVRSIGPSPLDGELRYLEKTGGSEMLHGDACASSNKPLAVEQGLVKVALDPGDKDCILGTRPHDEVAQPVEKPIELDGPSPIIPGLGEALSVIGASRLNCVERKLGLTDTTARSVNDSGSNPLDQLEPWEGSDFEEMVRRLLLNGQADDPSQGTRRSERRKKSSPRWNEEAGFVSQPPCSVKKKGISTSPLEGTASNPLLISEWTDVQLLNYCNACGISFDTLHHQEKCFAHIRMLESTRSVTLGPPSKRFLVKDFLNLHHADICCLQESKLSSVDMTLWRSIGGSRLEHFAFLPALGSAGGIIVGWNNLLFEGQPEIFTISSMVEDRSFGMVFSRDKEGTVRYLLNWELAFPFGDFPREGSLITTFRFQNSTIKDGRHWKLSSNGMFSVKSFYQFLNDGGLRCRWTPSILKGGCLRKVNLFNWLDWDNKILTLQNLADRRSPNSKKAKLEEPSGKHRRSLDFLSSHDLEQGAQSDLVLSQSEG >DRNTG_25775.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21144364:21147294:1 gene:DRNTG_25775 transcript:DRNTG_25775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPTVAAPSTALGEDVTSTLMQACQILMTEFPWFVARIEVLEGRSQSTAPSLQRNEAPGTDEASEFDDDDIIGLAISRRPHSKKLAKKRRIIIPLSPPPVDDETIVTPSAADAIVYSAVNEIPDPVEPAAESAASKMDTIPEEQEQAKGVSPVDVVAVAMVQKIVDSIVNESIGTVEPTADSTASKPDNPITTRSM >DRNTG_08868.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27743539:27744925:-1 gene:DRNTG_08868 transcript:DRNTG_08868.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPLNLISPDLIISRAENNGDGGGAGPCLVFGDPQSQLAFNGYNYGSRKRNRDDLMAVPFHQFNDLPNNGMVSNTHVQNRLIESSGASTSGRPAQSLLAAHLYNQSLDVDALLRQHSERLFLAIEQSHKRQCRALLSVLEKQFEVRLQEKEAELEKVIQRNAELEENVRQLSVEKEMWQAAAKNSEFLVSGLKASLEHALLGKVPQMNQECRECESTYPAEDEESCCFQGEERDRRTAVGDGAEEVGESQEVQRWCYKACTVCKDDDVCVLLLPCKHLCLCKHCAAIVDACPVCSSPKNASLHVIIPMQ >DRNTG_08868.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27743539:27744820:-1 gene:DRNTG_08868 transcript:DRNTG_08868.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPLNLISPDLIISRAENNGDGGGAGPCLVFGDPQSQLAFNGYNYGSRKRNRDDLMAVPFHQFNDLPNNGMVSNTHVQNRLIESSGASTSGRPAQSLLAAHLYNQSLDVDALLRQHSERLFLAIEQSHKRQCRALLSVLEKQFEVRLQEKEAELEKVIQRNAELEENVRQLSVEKEMWQAAAKNSEFLVSGLKASLEHALLGKVPQMNQECRECESTYPAEDEESCCFQGEERDRRTAVGDGAEEVGESQEVQRWCYKACTVCKDDDVCVLLLPCKHLCLCKHCAAIVDACPVCSSPKNASLHVIIPMQ >DRNTG_08868.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27743240:27744820:-1 gene:DRNTG_08868 transcript:DRNTG_08868.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPLNLISPDLIISRAENNGDGGGAGPCLVFGDPQSQLAFNGYNYGSRKRNRDDLMAVPFHQFNDLPNNGMVSNTHVQNRLIESSGASTSGRPAQSLLAAHLYNQSLDVDALLRQHSERLFLAIEQSHKRQCRALLSVLEKQFEVRLQEKEAELEKVIQRNAELEENVRQLSVEKEMWQAAAKNSEFLVSGLKASLEHALLGKVPQMNQECRECESTYPAEDEESCCFQGEERDRRTAVGDGAEEVGESQEVQRWCYKACTVCKDDDVCVLLLPCKHLCLCKHCAAIVDACPVCSSPKNASLHVIIPMQ >DRNTG_08868.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27743240:27744925:-1 gene:DRNTG_08868 transcript:DRNTG_08868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPLNLISPDLIISRAENNGDGGGAGPCLVFGDPQSQLAFNGYNYGSRKRNRDDLMAVPFHQFNDLPNNGMVSNTHVQNRLIESSGASTSGRPAQSLLAAHLYNQSLDVDALLRQHSERLFLAIEQSHKRQCRALLSVLEKQFEVRLQEKEAELEKVIQRNAELEENVRQLSVEKEMWQAAAKNSEFLVSGLKASLEHALLGKVPQMNQECRECESTYPAEDEESCCFQGEERDRRTAVGDGAEEVGESQEVQRWCYKACTVCKDDDVCVLLLPCKHLCLCKHCAAIVDACPVCSSPKNASLHVIIPMQ >DRNTG_08868.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27743326:27744925:-1 gene:DRNTG_08868 transcript:DRNTG_08868.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPLNLISPDLIISRAENNGDGGGAGPCLVFGDPQSQLAFNGYNYGSRKRNRDDLMAVPFHQFNDLPNNGMVSNTHVQNRLIESSGASTSGRPAQSLLAAHLYNQSLDVDALLRQHSERLFLAIEQSHKRQCRALLSVLEKQFEVRLQEKEAELEKVIQRNAELEENVRQLSVEKEMWQAAAKNSEFLVSGLKASLEHALLGKVPQMNQECRECESTYPAEDEESCCFQGEERDRRTAVGDGAEEVGESQEVQRWCYKACTVCKDDDVCVLLLPCKHLCLCKHCAAIVDACPVCSSPKNASLHVIIPMQ >DRNTG_08868.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27743326:27744820:-1 gene:DRNTG_08868 transcript:DRNTG_08868.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPLNLISPDLIISRAENNGDGGGAGPCLVFGDPQSQLAFNGYNYGSRKRNRDDLMAVPFHQFNDLPNNGMVSNTHVQNRLIESSGASTSGRPAQSLLAAHLYNQSLDVDALLRQHSERLFLAIEQSHKRQCRALLSVLEKQFEVRLQEKEAELEKVIQRNAELEENVRQLSVEKEMWQAAAKNSEFLVSGLKASLEHALLGKVPQMNQECRECESTYPAEDEESCCFQGEERDRRTAVGDGAEEVGESQEVQRWCYKACTVCKDDDVCVLLLPCKHLCLCKHCAAIVDACPVCSSPKNASLHVIIPMQ >DRNTG_08868.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27743156:27744820:-1 gene:DRNTG_08868 transcript:DRNTG_08868.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPLNLISPDLIISRAENNGDGGGAGPCLVFGDPQSQLAFNGYNYGSRKRNRDDLMAVPFHQFNDLPNNGMVSNTHVQNRLIESSGASTSGRPAQSLLAAHLYNQSLDVDALLRQHSERLFLAIEQSHKRQCRALLSVLEKQFEVRLQEKEAELEKVIQRNAELEENVRQLSVEKEMWQAAAKNSEFLVSGLKASLEHALLGKVPQMNQECRECESTYPAEDEESCCFQGEERDRRTAVGDGAEEVGESQEVQRWCYKACTVCKDDDVCVLLLPCKHLCLCKHCAAIVDACPVCSSPKNASLHVIIPMQ >DRNTG_10917.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:13620:14675:-1 gene:DRNTG_10917 transcript:DRNTG_10917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTRRMKTLPPTTVNFSPNFERSDEGSNYLLRVNLPGFNRKDFSVQVDQTRKLTIKGRRQSEKNTYVLLDGIYNLPQDSDYKKIAGKFDDGCLVLTIPKMVKPMAPEEKKPNEINQEPLKPLPSSIDSKKIEQGEKQDALQVEKMKKIEGSKKVADEISPPKTSTPTSEKKLEEREKVAADQIPQPMTSPPTDHEKKIEEREKVAADQISQTKVSPSNEKKSDHIQDEGSKEKVTDDQDEKKIGLLCKRKFREEGWLDHGMLDSLIERINNNKKVILVAVVALSVGFYVSHKLR >DRNTG_10917.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:13620:14410:-1 gene:DRNTG_10917 transcript:DRNTG_10917.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPMAPEEKKPNEINQEPLKPLPSSIDSKKIEQGEKQDALQVEKMKKIEGSKKVADEISPPKTSTPTSEKKLEEREKVAADQIPQPMTSPPTDHEKKIEEREKVAADQISQTKVSPSNEKKSDHIQDEGSKEKVTDDQDEKKIGLLCKRKFREEGWLDHGMLDSLIERINNNKKVILVAVVALSVGFYVSHKLR >DRNTG_14831.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3730162:3732678:1 gene:DRNTG_14831 transcript:DRNTG_14831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGRYSDGRLIIDFIAESFGLAYLSAYLDSLGTNFSHGANFATAGSTIMQQSAPLNKGGYSPFSLDVQLLQFSHFKSRFQMISKKGKVFKSLMPKKDYFKRALYTIDIGQNDLTELFFSNQSADDYIPLTMKVFREVVKEVYKHGGRHFWIHNTGPLGCLAYALIRRPSSSPELDSVGCAVIFNKLAQKLNNMLNETVTQLRKNLPSATFIYVDVYSAKYKLFSNAEKHGFEIPLRTCCGYGGGDYNFDLNVMCGDKRIVKACSYPDKSIIWDGAHYTETANKWVFNEIATGKYSHPSLPLSQAC >DRNTG_14970.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24083400:24088532:1 gene:DRNTG_14970 transcript:DRNTG_14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLGKVPAIIISSAELAQEIMKTHDLIFSSRPFSSMANSLLYNSLDIALSPYGEYWRQVRRISVIHLLSLKRVQSFGSIREEEVYLMVDKIHASQGSLVNLSEILVAVTNAVVCRVALGRKYDRSNRFREMLIEFAILLGSFPLKDFIPWLGWVDRITGLDARVVNNSTEMDSFFEEVLEDHIHSKTSETSDLVDVLLSLDVPLSRDSTKAII >DRNTG_14970.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24087464:24088532:1 gene:DRNTG_14970 transcript:DRNTG_14970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTISQSTPKMIPQTPTSLQQWLQEHYHLYWFISITITLITTFSLFLFYKSSLLSKKKLKLPPCPLILPLVGNLHQLGSLPHRSLHALSQKHGPLMLLHLGKVPAIIISSAELAQEIMKTHDLIFSSRPFSSMANSLLYNSLDIALSPYGEYWRQVRRISVIHLLSLKRVQSFGSIREEEVYLMVDKIHASQGSLVNLSEILVAVTNAVVCRVALGRKYDRSNRFREMLIEFAILLGSFPLKDFIPWLGWVDRITGLDARVVNNSTEMDSFFEEVLEDHIHSKTSETSDLVDVLLSLDVPLSRDSTKAII >DRNTG_32786.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6998867:7000425:1 gene:DRNTG_32786 transcript:DRNTG_32786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASFVGIEIKVVVPYGKAKEAVEFYKEAFGAEEVKCKTHSSLDSENDLLDPILCAQLKIGSSTFLVCDQPDASSSAVKLAEMENGIFFRMETDDVVGALARAMKAGAKKVGEVIEEACVCTGVQAGTVIDPFGVAWTITSISGASSSNKCC >DRNTG_26875.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2150415:2152326:1 gene:DRNTG_26875 transcript:DRNTG_26875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLLFLSLLFHCSAATPTTARKLILVQQQPLVLQYHHGPLLTGNLTVRLLWYGSFSASHRAAISDFILSLSSSSSIPSPSVSSWWSTTGSYRGRPISLSLGPQLIDDRLSLGKSLSSSDLLTLASRTPHRSSITAILTSPDVTVEGFCSSRCATHSSAPTHPNRPRSVRFPYLWVGDSSNQCPGQCAWPFHQPIYGPQTPPLIPPTPMSASTA >DRNTG_26875.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2150415:2151514:1 gene:DRNTG_26875 transcript:DRNTG_26875.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLLFLSLLFHCSAATPTTARKLILVQQQPLVLQYHHGPLLTGNLTVRLLWYGSFSASHRAAISDFILSLSSSSSIPSPSVSSWWSTTGSYRGRPISLSLGPQLIDDRLSLGKSLSSSDLLTLASRTPHRSSITAILTSPDVTVEGFCSSRCATHSSAPTHPNRPRSVRFPYLWVGDSSNQCPGQCAWPFHQPIYGPQTPPLIPPTPMSASTA >DRNTG_14463.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000693.1:43597:44926:1 gene:DRNTG_14463 transcript:DRNTG_14463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHSGRKSYHLESVKATLAAALERATLEDNKSSTNFSIIEEASDPRCERVPVCGTLMKAWIREAIQADTVVEHCSSTVHSRPRKQEFREPTQAVLERFYSSYIARLLEEGYWESFRRHRSGEMYPGPDKGSLVTSRGLSTKPSTRPSRGFSMDSLLLHSISLIVLSSTES >DRNTG_30761.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28694833:28697267:1 gene:DRNTG_30761 transcript:DRNTG_30761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITLHATIGSRWSIIANQLPGRTDNDVKNYWNTKLSKKLVQRGIDPVTHKPISQLLQSIGGLPGASITTTTPTGARYNPSHEVKVSSLNRDLKNYFLSKPSSSSSELKPNWELPPLNYHHHHVSTIADSTLSFSVTETSSSSVTTTDLSWSDYLSKDVFMQNEKEEVQGTGSSRENQKSDMKEEEEMMISGETLGECSDASIGFIDALLDLDREMRMEFPEFLVDDSFSFM >DRNTG_30761.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28696509:28697267:1 gene:DRNTG_30761 transcript:DRNTG_30761.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEKEEVQGTGSSRENQKSDMKEEEEMMISGETLGECSDASIGFIDALLDLDREMRMEFPEFLVDDSFSFM >DRNTG_14382.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:454315:465673:1 gene:DRNTG_14382 transcript:DRNTG_14382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIANQTRFALRLANHVGAAAAFDGRNLVFSPLSLHVMLSLIVAGLKGPTCDQILPVLGSASHGELSSLASQIVSVVLSDASASGGPRIVFSNGVWVDRSVSLKPSFKQIASETYKAEVKSVDFQSNADQVAKEVNSWVKNLTSGLIKELLPSGSVDHNTRLIFGNALYFKGLWDKKFDATETTDSEFHLLDGSSVQVPFMTSEGKQLLSAHVGFKVLGLPYKEGVDGRQFSMYLFLPDAQDGLWSLLEKLKDLGSLDHYLPARKVAVGDFKIPRFKVSFGFEASKVLKALGLELLFSKNCDLSEMVDSPTGQNLYVPSVIHKSFIEVNEEGTEAAAATALMCGSSSPMYLRPLDFVADHPFLFLIREDMTGVVLFVGHVINPLLV >DRNTG_04713.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4012415:4013243:1 gene:DRNTG_04713 transcript:DRNTG_04713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKRSKLKALLCGSHNSSQVLTTACCPCLS >DRNTG_01227.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5017610:5018447:-1 gene:DRNTG_01227 transcript:DRNTG_01227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKMEMVVLLFLVMMIMKSHLVVSRVVKIDEMNANKEDVKVDQSVVGYPSGSNTDNHHSIPRDQFNNWPTTPGNEPAGGDGNGKN >DRNTG_23615.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001270.1:24981:26175:-1 gene:DRNTG_23615 transcript:DRNTG_23615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCKCRKATRLYCFVHKVPVCGECICFSEHQICVVKKYSDWVIDGEYDWPSTCSLCNVAFEDGNNAQTTRLGCLHLMHTECLVSHIRSFPPQTAPAGYVCPLCSSS >DRNTG_15332.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7866831:7868709:1 gene:DRNTG_15332 transcript:DRNTG_15332.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTSSPRRALDTTDMSPTSPPLPRSLSSLTRLTPPVPSIPVPLFFDQGRAPADGRGHGGRGNHRVYRIDPGSTSVRQAHPFQIKTGKWNLEKDLLFRDACKKIGI >DRNTG_15332.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7866831:7868709:1 gene:DRNTG_15332 transcript:DRNTG_15332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTSSPRRALDTTDMSPTSPPLPRSLSSLTRLTPPVPSIPVPLFFDQGRAPADGRGHGGRGNHRVYRIDPGSTSVRQAHPFQVLDSKP >DRNTG_15332.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7866831:7868709:1 gene:DRNTG_15332 transcript:DRNTG_15332.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESGEGSALQLINHDVLNEVSQIMMFVIEEFFKLPLDEKILFKQCPSEPDGYGQLFVISEEQKLEWLTFSSIIHGLFI >DRNTG_15332.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7866831:7868709:1 gene:DRNTG_15332 transcript:DRNTG_15332.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGEGSALQLINHDVLNEVSQIMMFVIEEFFKLPLDEKILFKQCPSEPDGYGQLFVISEEQKLEWLTFSSIIHGLFI >DRNTG_03048.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5585171:5587792:-1 gene:DRNTG_03048 transcript:DRNTG_03048.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTGEEPMAMSTSRPPLCFSKLHHLLQRSISISHILQIHAQFLTTSLFPLPLYSTRLLISLCSSTLLSPSQSFYADLIFAQIPRPNTSSWNTIIRLHTISSNPTHALLLFSQMRRNGVQTDTYTYPFVLKACGALLARGHGVAVHGETLKLGMDFALFVRNSLISFYCRFGDVALARCLFDGFCLKDLVSWNSMIAGYVGCGEIEEAQKLFDEMPVRDVFSWTVLIDGYGKKTGDVGCARKLFDEMPDRDLVCWNSMIDVYASVGRLGDARELFEVMPERNVVSWSILIDNYVKFGEPKEALGLFQRMLSEGTKLDKVAVVGVITACGQLGALDQGHWVHSFLKKNKIMCDVIVQTALLDMYMKCGSLELARRLFESMPERSVASWNVMIVGLGNNGYGTEAVGLFHLMEREAGLMDDLTLLGVLSACTHAGLVDEGVSIFERMMIDFRIAPKVEHYGCVVDLLGRAGRLREAADIIETMPMKPTPTLWGSLLAACRTHRYVQLAELSVKRLAELGADDAGVYVLMSNIYADEGMWDDVLRIRRLMSEKGMKKESGRSVVEVDGDIYEFVNGGSSHPCKAEIFAAIWSLCNHLGDRTV >DRNTG_03048.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5585111:5587750:-1 gene:DRNTG_03048 transcript:DRNTG_03048.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNGVQTDTYTYPFVLKACGALLARGHGVAVHGETLKLGMDFALFVRNSLISFYCRFGDVALARCLFDGFCLKDLVSWNSMIAGYVGCGEIEEAQKLFDEMPVRDVFSWTVLIDGYGKKTGDVGCARKLFDEMPDRDLVCWNSMIDVYASVGRLGDARELFEVMPERNVVSWSILIDNYVKFGEPKEALGLFQRMLSEGTKLDKVAVVGVITACGQLGALDQGHWVHSFLKKNKIMCDVIVQTALLDMYMKCGSLELARRLFESMPERSVASWNVMIVGLGNNGYGTEAVGLFHLMEREAGLMDDLTLLGVLSACTHAGLVDEGVSIFERMMIDFRIAPKVEHYGCVVDLLGRAGRLREAADIIETMPMKPTPTLWGSLLAACRTHRYVQLAELSVKRLAELGADDAGVYVLMSNIYADEGMWDDVLRIRRLMSEKGMKKESGRSVVEVDGDIYEFVNGGSSHPCKAEIFAAIWSLCNHLGDRTV >DRNTG_03048.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5585171:5587750:-1 gene:DRNTG_03048 transcript:DRNTG_03048.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNGVQTDTYTYPFVLKACGALLARGHGVAVHGETLKLGMDFALFVRNSLISFYCRFGDVALARCLFDGFCLKDLVSWNSMIAGYVGCGEIEEAQKLFDEMPVRDVFSWTVLIDGYGKKTGDVGCARKLFDEMPDRDLVCWNSMIDVYASVGRLGDARELFEVMPERNVVSWSILIDNYVKFGEPKEALGLFQRMLSEGTKLDKVAVVGVITACGQLGALDQGHWVHSFLKKNKIMCDVIVQTALLDMYMKCGSLELARRLFESMPERSVASWNVMIVGLGNNGYGTEAVGLFHLMEREAGLMDDLTLLGVLSACTHAGLVDEGVSIFERMMIDFRIAPKVEHYGCVVDLLGRAGRLREAADIIETMPMKPTPTLWGSLLAACRTHRYVQLAELSVKRLAELGADDAGVYVLMSNIYADEGMWDDVLRIRRLMSEKGMKKESGRSVVEVDGDIYEFVNGGSSHPCKAEIFAAIWSLCNHLGDRTV >DRNTG_03048.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5585171:5587750:-1 gene:DRNTG_03048 transcript:DRNTG_03048.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNGVQTDTYTYPFVLKACGALLARGHGVAVHGETLKLGMDFALFVRNSLISFYCRFGDVALARCLFDGFCLKDLVSWNSMIAGYVGCGEIEEAQKLFDEMPVRDVFSWTVLIDGYGKKTGDVGCARKLFDEMPDRDLVCWNSMIDVYASVGRLGDARELFEVMPERNVVSWSILIDNYVKFGEPKEALGLFQRMLSEGTKLDKVAVVGVITACGQLGALDQGHWVHSFLKKNKIMCDVIVQTALLDMYMKCGSLELARRLFESMPERSVASWNVMIVGLGNNGYGTEAVGLFHLMEREAGLMDDLTLLGVLSACTHAGLVDEGVSIFERMMIDFRIAPKVEHYGCVVDLLGRAGRLREAADIIETMPMKPTPTLWGSLLAACRTHRYVQLAELSVKRLAELGADDAGVYVLMSNIYADEGMWDDVLRIRRLMSEKGMKKESGRSVVEVDGDIYEFVNGGSSHPCKAEIFAAIWSLCNHLGDRTV >DRNTG_03048.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5585071:5587750:-1 gene:DRNTG_03048 transcript:DRNTG_03048.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNGVQTDTYTYPFVLKACGALLARGHGVAVHGETLKLGMDFALFVRNSLISFYCRFGDVALARCLFDGFCLKDLVSWNSMIAGYVGCGEIEEAQKLFDEMPVRDVFSWTVLIDGYGKKTGDVGCARKLFDEMPDRDLVCWNSMIDVYASVGRLGDARELFEVMPERNVVSWSILIDNYVKFGEPKEALGLFQRMLSEGTKLDKVAVVGVITACGQLGALDQGHWVHSFLKKNKIMCDVIVQTALLDMYMKCGSLELARRLFESMPERSVASWNVMIVGLGNNGYGTEAVGLFHLMEREAGLMDDLTLLGVLSACTHAGLVDEGVSIFERMMIDFRIAPKVEHYGCVVDLLGRAGRLREAADIIETMPMKPTPTLWGSLLAACRTHRYVQLAELSVKRLAELGADDAGVYVLMSNIYADEGMWDDVLRIRRLMSEKGMKKESGRSVVEVDGDIYEFVNGGSSHPCKAEIFAAIWSLCNHLGDRTV >DRNTG_03048.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5585111:5587792:-1 gene:DRNTG_03048 transcript:DRNTG_03048.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTGEEPMAMSTSRPPLCFSKLHHLLQRSISISHILQIHAQFLTTSLFPLPLYSTRLLISLCSSTLLSPSQSFYADLIFAQIPRPNTSSWNTIIRLHTISSNPTHALLLFSQMRRNGVQTDTYTYPFVLKACGALLARGHGVAVHGETLKLGMDFALFVRNSLISFYCRFGDVALARCLFDGFCLKDLVSWNSMIAGYVGCGEIEEAQKLFDEMPVRDVFSWTVLIDGYGKKTGDVGCARKLFDEMPDRDLVCWNSMIDVYASVGRLGDARELFEVMPERNVVSWSILIDNYVKFGEPKEALGLFQRMLSEGTKLDKVAVVGVITACGQLGALDQGHWVHSFLKKNKIMCDVIVQTALLDMYMKCGSLELARRLFESMPERSVASWNVMIVGLGNNGYGTEAVGLFHLMEREAGLMDDLTLLGVLSACTHAGLVDEGVSIFERMMIDFRIAPKVEHYGCVVDLLGRAGRLREAADIIETMPMKPTPTLWGSLLAACRTHRYVQLAELSVKRLAELGADDAGVYVLMSNIYADEGMWDDVLRIRRLMSEKGMKKESGRSVVEVDGDIYEFVNGGSSHPCKAEIFAAIWSLCNHLGDRTV >DRNTG_03048.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5585111:5587750:-1 gene:DRNTG_03048 transcript:DRNTG_03048.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNGVQTDTYTYPFVLKACGALLARGHGVAVHGETLKLGMDFALFVRNSLISFYCRFGDVALARCLFDGFCLKDLVSWNSMIAGYVGCGEIEEAQKLFDEMPVRDVFSWTVLIDGYGKKTGDVGCARKLFDEMPDRDLVCWNSMIDVYASVGRLGDARELFEVMPERNVVSWSILIDNYVKFGEPKEALGLFQRMLSEGTKLDKVAVVGVITACGQLGALDQGHWVHSFLKKNKIMCDVIVQTALLDMYMKCGSLELARRLFESMPERSVASWNVMIVGLGNNGYGTEAVGLFHLMEREAGLMDDLTLLGVLSACTHAGLVDEGVSIFERMMIDFRIAPKVEHYGCVVDLLGRAGRLREAADIIETMPMKPTPTLWGSLLAACRTHRYVQLAELSVKRLAELGADDAGVYVLMSNIYADEGMWDDVLRIRRLMSEKGMKKESGRSVVEVDGDIYEFVNGGSSHPCKAEIFAAIWSLCNHLGDRTV >DRNTG_24454.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001303.1:20294:21325:1 gene:DRNTG_24454 transcript:DRNTG_24454.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEESTVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEIITTVEIDDETYEEIVRTTRRTVPFSFCQRRWCHIGFSSIEDSLIVSVS >DRNTG_24454.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001303.1:20294:21862:1 gene:DRNTG_24454 transcript:DRNTG_24454.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEESTVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEIITTVEIDDETYEEIVRTTRRTVPFSFCQRRWCHIGFSSIEDSLIVSTSNLFQKVLNQMMLRNAGQSQHPYLFPHFGAYFEGAPPTVYKQNKNNVTMIFLENEVTILWLCNMTGNIWV >DRNTG_24454.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001303.1:20294:24804:1 gene:DRNTG_24454 transcript:DRNTG_24454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEESTVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEIITTVEIDDETYEEIVRL >DRNTG_33783.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:95488:97833:-1 gene:DRNTG_33783 transcript:DRNTG_33783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVYRSAARSLRSLLSARRSSLVFFEGQAVGAATATSFRRSISTVATFYKRADSKSIPMGWISGALAFPAAVYMLQDKEVNAAELERTFIAIKPDGVQRGLIAEIISRFERKGYKLVAIKLIVPSKDFAQKHYHDLKDRPFFNGLCDFLSSGPVLAMVWEGEGVIRYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGLETAKDEIALWFEPRELVSYTSNAEKWIYGVN >DRNTG_31872.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21116926:21118438:-1 gene:DRNTG_31872 transcript:DRNTG_31872.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFISNMLRRNVMIKTNLSFVICRTPDMSAMHHDTSSDLRVVGNKLKENLSISGTSLKARKLIIHICDIIAMRGARLSAAGIVGILKKQGRDTVTSSGSEKQRTVIALDGGLFEHYTKFSQCMESTLKELLGDEVSESVVVKLANDGSGIGAALLAAAHSQYTELEES >DRNTG_31872.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21116926:21119091:-1 gene:DRNTG_31872 transcript:DRNTG_31872.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMHHDTSSDLRVVGNKLKENLSISGTSLKARKLIIHICDIIAMRGARLSAAGIVGILKKQGRDTVTSSGSEKQRTVIALDGGLFEHYTKFSQCMESTLKELLGDEVSESVVVKLANDGSGIGAALLAAAHSQYTELEES >DRNTG_31892.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4545664:4548090:-1 gene:DRNTG_31892 transcript:DRNTG_31892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSSSLQFPSHFQIPGSQNPGKTLARVRREGASLISRTFLFRDSNRCGALRRRTEVLGSPVVAKSQVSEAAPSRISSGSDVVRDFYNGINRRDLESVELLIGEDCVYEDLVFSRPFVGRKAILEFFKKFTEGISEDLQFVIDDISNEDSSAVGVAWHLEWQGKLFPFSKGCSFYRLDVLDGRRQIVYGRDCVEPATKPGEMALVLIRGVTWLLQQFPQLADRL >DRNTG_22828.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14781987:14782486:-1 gene:DRNTG_22828 transcript:DRNTG_22828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTIEEASDPQCERVPVCVTPMKQAQNRRNREPTRRVEIIHDRVEIPHRHVYHPRPCSRPIPALFKADSALILAFFSPSFPQLARGLRLGFRGVFAKVLESFYGSDIVIPLGRRLVRELRSRRILYRTKESLDDE >DRNTG_24520.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5994702:5995124:1 gene:DRNTG_24520 transcript:DRNTG_24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPSAFSHTHLPRKKSVLIIKDPNMKSWEVTYIPPKGDNDRLSAGWHNFFHGNDLMLGDFCVFELVKPLQLHVHIFR >DRNTG_25171.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:14031695:14033230:1 gene:DRNTG_25171 transcript:DRNTG_25171.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPHALLLPFPAQGHVLPLMELAYLMASQGFIITFINTHFNHARLLSSTSTPSSINFISIPDGMEPEDDRNDIAKLCHALMTFMPLHLRQLINTMNIQESDKPPCFIADEGMAWALDVAKTTGLRSTVFCPASANTFTNMRSVPYLIEHGIINEQDGSAMKPGQVFLLSPGIPPMNVDNLSWNCFLDSESNKIIFQYVVNNNKALKHAEFVIFNSFYEAEKAVFDYLNSCKMLPIGPLISKHSSSVSLSRCFWAEDKTCKSWLDEQSDNSVIYVAFGSLAIIDEMQFQELALGLELTGRPFLWVIRPDITGKATTRLPEGFSDRIRGKVVEWSPQQEVLAHPALACFITHCGWNSIMEGMSNGMSFLCWPYFADQHLNQSYICDVWKTGLKMMPDENGLITKEEIRGKVEELVGDEGMKKRALALKEMAMKSVDKGGSSFENFITFIKVMKDDV >DRNTG_25171.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:14030338:14033230:1 gene:DRNTG_25171 transcript:DRNTG_25171.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPHALLLPFPAQGHVLPLMELAYLMASQGFIITFINTHFNHARLLSSTSTPSSINFISIPDGMEPEDDRNDIAKLCHALMTFMPLHLRQLINTMNIQESDKPPCFIADEGMAWALDVAKTTGLRSTVFCPASANTFTNMRSVPYLIEHGIINEQDGSAMKPGQVFLLSPGIPPMNVDNLSWNCFLDSESNKIIFQYVVNNNKALKHAEFVIFNSFYEAEKAVFDYLNSCKMLPIGPLISKHSSSVSLSRCFWAEDKTCKSWLDEQSDNSVIYVAFGSLAIIDEMQFQELALGLELTGRPFLWVIRPDITGKATTRLPEGFSDRIRGKVVEWSPQQEVLAHPALACFITHCGWNSIMEGMSNGMSFLCWPYFADQHLNQSYICDVWKTGLKMMPDENGLITKEEIRGKVEELVGDEGMKKRALALKEMAMKSVDKGGSSFENFITFIKVMKDDV >DRNTG_14805.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29850961:29857842:-1 gene:DRNTG_14805 transcript:DRNTG_14805.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNDCGGASFNVPRQYGVTKPLSTAGPTEADLQRNMELEKLLVDAGLYESKEEVVLREEVLGQLDQIVKSWVKQLTRQRGYTDQMVEDANAVIYTFGSYRLGVHGPGADIDTLCVGPSYVSREEDFFIILHKILEDMEEVSELQPVPDAHVPVMKFRFRGISIDLLYASISLLVVPEDLDISSGSVLYDVDEPTVRSLNGCRVADQILRLVPNVENFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCPIEEEDLGFPVWDPRRNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQNGNKICEEIELNKASWSALFESYFFFETYKNYLQIDIVATDADDLRIWKGWVESRLRQLTLKIERDTYGMLQCHPYPHDYVDASRQCSHCAFFMGLQRKPGERVQEGQQFDIRATVEEFRHSVNMYMFWRPGMEISVSHVRRKQIPSFVFPEGYKHSRPARLSQAGKVSAENGTLESEGGSSERRQCKRKNDADACDAESSRPEKRQSVSPNNSSTQAAVEESDEHPKQEQESSSQSISGNSGLERKQCNGGAASRSIDSAVDNRENTSCQKNGICLGTLSNDVMESEGQDCCCRIGEVTGIESQGSLLGNSCHHTIGPNLLENEKGSRSRLLQSGLEVLYLVLALYFRVEVCLYA >DRNTG_14805.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29850961:29857842:-1 gene:DRNTG_14805 transcript:DRNTG_14805.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNDCGGASFNVPRQYGVTKPLSTAGPTEADLQRNMELEKLLVDAGLYESKEEVVLREEVLGQLDQIVKSWVKQLTRQRGYTDQMVEDANAVIYTFGSYRLGVHGPGADIDTLCVGPSYVSREEDFFIILHKILEDMEEVSELQPVPDAHVPVMKFRFRGISIDLLYASISLLVVPEDLDISSGSVLYDVDEPTVRSLNGCRVADQILRLVPNVENFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCPIEEEDLGFPVWDPRRNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQNGNKICEEIELNKASWSALFESYFFFETYKNYLQIDIVATDADDLRIWKGWVESRLRQLTLKIERDTYGMLQCHPYPHDYVDASRQCSHCAFFMGLQRKPGERVQEGQQFDIRATVEEFRHSVNMYMFWRPGMEISVSHVRRKQIPSFVFPEGYKHSRPARLSQAGKVSAENGTLESEGGSSERRQCKRKNDADACDAESSRPEKRQSVSPNNSSTQAAVEESDEHPKQEQESSSQSISGNSGLERKQCNGGAASRSIDSAVDNRENTSCQKNGICLGTLSNDVMESEGQDCCCRIGEVTGIESQGSLLGNSCHHTIGPNLLENEKGSRSRLLQSGLEVLYLVLALYFRVEVCLYA >DRNTG_14805.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29850961:29857842:-1 gene:DRNTG_14805 transcript:DRNTG_14805.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNDCGGASFNVPRQYGVTKPLSTAGPTEADLQRNMELEKLLVDAGLYESKEEVVLREEVLGQLDQIVKSWVKQLTRQRGYTDQMVEDANAVIYTFGSYRLGVHGPGADIDTLCVGPSYVSREEDFFIILHKILEDMEEVSELQPVPDAHVPVMKFRFRGISIDLLYASISLLVVPEDLDISSGSVLYDVDEPTVRSLNGCRVADQILRLVPNVENFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCPIEEEDLGFPVWDPRRNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQNGNKICEEIELNKASWSALFESYFFFETYKNYLQIDIVATDADDLRIWKGWVESRLRQLTLKVNLFFWVISMQNILCNFRIIHLR >DRNTG_14805.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29850961:29857842:-1 gene:DRNTG_14805 transcript:DRNTG_14805.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNDCGGASFNVPRQYGVTKPLSTAGPTEADLQRNMELEKLLVDAGLYESKEEVVLREEVLGQLDQIVKSWVKQLTRQRGYTDQMVEDANAVIYTFGSYRLGVHGPGADIDTLCVGPSYVSREEDFFIILHKILEDMEEVSELQPVPDAHVPVMKFRFRGISIDLLYASISLLVVPEVCCD >DRNTG_14805.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29850961:29857842:-1 gene:DRNTG_14805 transcript:DRNTG_14805.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNDCGGASFNVPRQYGVTKPLSTAGPTEADLQRNMELEKLLVDAGLYESKEEVVLREEVLGQLDQIVKSWVKQLTRQRGYTDQMVEDANAVIYTFGSYRLGVHGPGADIDTLCVGPSYVSREEDFFIILHKILEDMEEVSELQPVPDAHVPVMKFRFRGISIDLLYASISLLVVPEDLDISSGSVLYDVDEPTVRSLNGCRVADQILRLVPNVENFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCPIEEEDLGFPVWDPRRNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQNGNKICEEIELNKASWSALFESYFFFETYKNYLQIDIVATDADDLRIWKGWVESRLRQLTLKIERDTYGMLQCHPYPHDYVDASRQCSHCAFFMGLQRKPGERVQEGQQFDIRATVEEFRHSVNMYMFWRPGMEISVSHVRRKQIPSFVFPEGYKHSRPARLSQAGKVSAENGTLESEGGSSERRQCKRKNDADACDAESSRPEKRQSVSPNNSSTQAAVEESDEHPKQEQESSSQSISGNSVL >DRNTG_14805.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29850961:29857842:-1 gene:DRNTG_14805 transcript:DRNTG_14805.13 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNDCGGASFNVPRQYGVTKPLSTAGPTEADLQRNMELEKLLVDAGLYESKEEVVLREEVLGQLDQIVKSWVKQLTRQRGYTDQMVEDANAVIYTFGSYRLGVHGPGADIDTLCVGPSYVSREEDFFIILHKILEDMEEVSELQPVPDAHVPVMKFRFRGISIDLLYASISLLVVPEDLDISSGSVLYDVDEPTVRSLNGCRVADQILRLVPNVENFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCPIEEEDLGFPVWDPRRNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQNGNKICEEIELNKASWSALFESYFFFETYKNYLQIDIVATDADDLRIWKGWVESRLRQLTLKIERDTYGMLQCHPYPHDYVDASRQCSHCAFFMGLQRKPGERVQEGQQFDIRATVEEFRHSVNMYMFWRPGMEISVSHVRRKQIPSFVFPEGYKHSRPARLSQAGKVSAENGTLESEGGSSERRQCKRKNDADACDAESSRPEKRQSVSPNNSSTQAAVEESDEHPKQEQESSSQSISGNSGGMHTIG >DRNTG_14805.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29850961:29857842:-1 gene:DRNTG_14805 transcript:DRNTG_14805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNDCGGASFNVPRQYGVTKPLSTAGPTEADLQRNMELEKLLVDAGLYESKEEVVLREEVLGQLDQIVKSWVKQLTRQRGYTDQMVEDANAVIYTFGSYRLGVHGPGADIDTLCVGPSYVSREEDFFIILHKILEDMEEVSELQPVPDAHVPVMKFRFRGISIDLLYASISLLVVPEDLDISSGSVLYDVDEPTVRSLNGCRVADQILRLVPNVENFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCPIEEEDLGFPVWDPRRNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQNGNKICEEIELNKASWSALFESYFFFETYKNYLQIDIVATDADDLRIWKGWVESRLRQLTLKIERDTYGMLQCHPYPHDYVDASRQCSHCAFFMGLQRKPGERVQEGQQFDIRATVEEFRHSVNMYMFWRPGMEISVSHVRRKQIPSFVFPEGYKHSRPARLSQAGKVSAENGTLESEGGSSERRQCKRKNDADACDAESSRPEKRQSVSPNNSSTQAAVEESDEHPKQEQESSSQSISGNSGLERKQCNGGAASRSIDSAVDNRENTSCQKNGICLGTLSNDVMESEGQDCCCRIGEVTGIESQGSLLGNSCHHTIGPNLLENEKGSRSRLLQSGLESKPDTVIVEAHGGVSSDPVQKPVIRLSLTSTA >DRNTG_14805.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29850961:29857842:-1 gene:DRNTG_14805 transcript:DRNTG_14805.14 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNDCGGASFNVPRQYGVTKPLSTAGPTEADLQRNMELEKLLVDAGLYESKEEVVLREEVLGQLDQIVKSWVKQLTRQRGYTDQMVEDANAVIYTFGSYRLGVHGPGADIDTLCVGPSYVSREEDFFIILHKILEDMEEVSELQPVPDAHVPVMKFRFRGISIDLLYASISLLVVPEDLDISSGSVLYDVDEPTVRSLNGCRVADQILRLVPNVENFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCPIEEEDLGFPVWDPRRNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQNGNKICEEIELNKASWSALFESYFFFETYKNYLQIDIVATDADDLRIWKGWVESRLRQLTLKVNLFFWVISMQNILCNFRIIHLR >DRNTG_14805.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29850961:29857842:-1 gene:DRNTG_14805 transcript:DRNTG_14805.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNDCGGASFNVPRQYGVTKPLSTAGPTEADLQRNMELEKLLVDAGLYESKEEVVLREEVLGQLDQIVKSWVKQLTRQRGYTDQMVEDANAVIYTFGSYRLGVHGPGADIDTLCVGPSYVSREEDFFIILHKILEDMEEVSELQPVPDAHVPVMKFRFRGISIDLLYASISLLVVPEDLDISSGSVLYDVDEPTVRSLNGCRVADQILRLVPNVENFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCPIEEEDLGFPVWDPRRNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQNGNKICEEIELNKASWSALFESYFFFETYKNYLQIDIVATDADDLRIWKGWVESRLRQLTLKIERDTYGMLQCHPYPHDYVDASRQCSHCAFFMGLQRKPGERVQEGQQFDIRATVEEFRHSVNMYMFWRPGMEISVSHVRRKQIPSFVFPEGYKHSRPARLSQAGKVSAENGTLESEGGSSERRQCKRKNDADACDAESSRPEKRQSVSPNNSSTQAAVEESDEHPKQEQESSSQSISGNSVL >DRNTG_14805.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29850961:29857842:-1 gene:DRNTG_14805 transcript:DRNTG_14805.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNDCGGASFNVPRQYGVTKPLSTAGPTEADLQRNMELEKLLVDAGLYESKEEVVLREEVLGQLDQIVKSWVKQLTRQRGYTDQMVEDANAVIYTFGSYRLGVHGPGADIDTLCVGPSYVSREEDFFIILHKILEDMEEVSELQPVPDAHVPVMKFRFRGISIDLLYASISLLVVPEDLDISSGSVLYDVDEPTVRSLNGCRVADQILRLVPNVENFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCPIEEEDLGFPVWDPRRNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQNGNKICEEIELNKASWSALFESYFFFETYKNYLQIDIVATDADDLRIWKGWVESRLRQLTLKVNLFFWVISMQNILCNFRIIHLR >DRNTG_14805.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29850961:29857842:-1 gene:DRNTG_14805 transcript:DRNTG_14805.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNDCGGASFNVPRQYGVTKPLSTAGPTEADLQRNMELEKLLVDAGLYESKEEVVLREEVLGQLDQIVKSWVKQLTRQRGYTDQMVEDANAVIYTFGSYRLGVHGPGADIDTLCVGPSYVSREEDFFIILHKILEDMEEVSELQPVPDAHVPVMKFRFRGISIDLLYASISLLVVPEDLDISSGSVLYDVDEPTVRSLNGCRVADQILRLVPNVENFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCPIEEEDLGFPVWDPRRNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQNGNKICEEIELNKASWSALFESYFFFETYKNYLQIDIVATDADDLRIWKGWVESRLRQLTLKIERDTYGMLQCHPYPHDYVDASRQCSHCAFFMGLQRKPGERVQEGQQFDIRATVEEFRHSVNMYMFWRPGMEISVSHVRRKQIPSFVFPEGYKHSRPARLSQAGKVSAENGTLESEGGSSERRQCKRKNDADACDAESSRPEKRQSVSPNNSSTQAAVEESDEHPKQEQESSSQSISGNSGLERKQCNGGAASRSIDSAVDNRENTSCQKNGICLGTLSNDVMESEGQDCCCRIGEVTGIESQGSLLGNSCHHTIGPNLLENEKGSRSRLLQSGLESKPDTVIVEAHGGVSSDPVQKPVIRHASIFFTELVRIHIYSGLSFFLAVRYILAG >DRNTG_14805.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29850961:29857842:-1 gene:DRNTG_14805 transcript:DRNTG_14805.12 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNDCGGASFNVPRQYGVTKPLSTAGPTEADLQRNMELEKLLVDAGLYESKEEVVLREEVLGQLDQIVKSWVKQLTRQRGYTDQMVEDANAVIYTFGSYRLGVHGPGADIDTLCVGPSYVSREEDFFIILHKILEDMEEVSELQPVPDAHVPVMKFRFRGISIDLLYASISLLVVPEDLDISSGSVLYDVDEPTVRSLNGCRVADQILRLVPNVENFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCPIEEEDLGFPVWDPRRNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQNGNKICEEIELNKASWSALFESYFFFETYKNYLQIDIVATDADDLRIWKGWVESRLRQLTLKVNLFFWVISMQNILCNFRIIHLR >DRNTG_14805.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29850961:29857842:-1 gene:DRNTG_14805 transcript:DRNTG_14805.11 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNDCGGASFNVPRQYGVTKPLSTAGPTEADLQRNMELEKLLVDAGLYESKEEVVLREEVLGQLDQIVKSWVKQLTRQRGYTDQMVEDANAVIYTFGSYRLGVHGPGADIDTLCVGPSYVSREEDFFIILHKILEDMEEVSELQPVPDAHVPVMKFRFRGISIDLLYASISLLVVPEVCCD >DRNTG_14805.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29850961:29857842:-1 gene:DRNTG_14805 transcript:DRNTG_14805.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNDCGGASFNVPRQYGVTKPLSTAGPTEADLQRNMELEKLLVDAGLYESKEEVVLREEVLGQLDQIVKSWVKQLTRQRGYTDQMVEDANAVIYTFGSYRLGVHGPGADIDTLCVGPSYVSREEDFFIILHKILEDMEEVSELQPVPDAHVPVMKFRFRGISIDLLYASISLLVVPEDLDISSGSVLYDVDEPTVRSLNGCRVADQILRLVPNVENFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCPIEEEDLGFPVWDPRRNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQNGNKICEEIELNKASWSALFESYFFFETYKNYLQIDIVATDADDLRIWKGWVESRLRQLTLKIERDTYGMLQCHPYPHDYVDASRQCSHCAFFMGLQRKPGERVQEGQQFDIRATVEEFRHSVNMYMFWRPGMEISVSHVRRKQIPSFVFPEGYKHSRPARLSQAGKVSAENGTLESEGGSSERRQCKRKNDADACDAESSRPEKRQSVSPNNSSTQAAVEESDEHPKQEQESSSQSISGNSVL >DRNTG_27505.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17080584:17081672:1 gene:DRNTG_27505 transcript:DRNTG_27505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRRHTNGINDVTHNETHQSDNNRLRVLISRV >DRNTG_26282.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22952544:22952999:-1 gene:DRNTG_26282 transcript:DRNTG_26282.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIGTQQKCKACEKTVYPMDQLMADGIAYHKSCFKCSHCKGTLKASVCFPFSDYFDCFSIS >DRNTG_26282.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22950531:22952035:-1 gene:DRNTG_26282 transcript:DRNTG_26282.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLYCKPHFEQLFKESGNFNKNFQSAVKSAEKLTPELTRSPSKAAGMFSGTQEKCATCGKTAYPLEKVTVEGQAYHKSCFKCSHGGCPISPSNYAALEGILYCKHHFAQLFKEKGSYNHLIKCASIKRTTPAAAAAAVPDA >DRNTG_26282.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22950531:22952999:-1 gene:DRNTG_26282 transcript:DRNTG_26282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIGTQQKCKACEKTVYPMDQLMADGIAYHKSCFKCSHCKGTLKLSNFSSMEGVLYCKPHFEQLFKESGNFNKNFQSAVKSAEKLTPELTRSPSKAAGMFSGTQEKCATCGKTAYPLEKVTVEGQAYHKSCFKCSHGGCPISPSNYAALEGILYCKHHFAQLFKEKGSYNHLIKCASIKRTTPAAAAAAVPDA >DRNTG_26282.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22950531:22950982:-1 gene:DRNTG_26282 transcript:DRNTG_26282.4 gene_biotype:protein_coding transcript_biotype:protein_coding NWQVTVEGQAYHKSCFKCSHGGCPISPSNYAALEGILYCKHHFAQLFKEKGSYNHLIKCASIKRTTPAAAAAAVPDA >DRNTG_29074.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1765468:1769964:1 gene:DRNTG_29074 transcript:DRNTG_29074.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKPSASAWRPPSPAGTRLSRLTVIIASFSPAVGSLFESFAELMGRRGGCSKGKGGSMHFYKKESQFYGGHGIVGAQVPLGCGIAFGQKYSKDGSVTFSMYGDGAANQGQLFEALNISALLDLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDALAVKQACKFAKEHALKNGPIILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERIRKLILSHDLATASELKDMEKEIRKEVDDAIAQAKEDPLPDPSELFSHVYVKGLGTEAFGPDRKELKAALP >DRNTG_13318.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23050430:23051225:-1 gene:DRNTG_13318 transcript:DRNTG_13318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTIQAANPNGKMGLTYSDLEADVSIAADDDDGDVDMGTAVALGFAQGKKNSTAVKFAVVAKRLGVDEAVGKRMAAMYASKELRFGVELKTKVGVSVGGKSTGRVPIRVECGAVSLKQAARGSATDSVLPHCHINLLRW >DRNTG_17823.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15828712:15830008:-1 gene:DRNTG_17823 transcript:DRNTG_17823.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKSDIRYKAGEVAGQAQVKKDEMVDKMSNKMESAKESCQEGKEQASGFIQQTGEQMKNMAQGAAEAVKNAVGMGDNNSGSNKPRI >DRNTG_25275.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31529248:31531281:1 gene:DRNTG_25275 transcript:DRNTG_25275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATSASFPPPPPYYRLYKDYIDDPKSAPEPPPPLQESFPLFGQHFTISRRS >DRNTG_31288.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1160374:1161360:1 gene:DRNTG_31288 transcript:DRNTG_31288.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLEAKTQNAASINEPSVNPAGGIRSKI >DRNTG_31288.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1160024:1161360:1 gene:DRNTG_31288 transcript:DRNTG_31288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLEAKTQNAASINEPSVNPAGGIRSKI >DRNTG_06450.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29940337:29944888:-1 gene:DRNTG_06450 transcript:DRNTG_06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G41460) UniProtKB/Swiss-Prot;Acc:P45951] MPLAAQATILFSAAVPCIKLAVRESVCVKLGGIGIHHSRFCSSSTTFSFQRGRKVRSSIDCSGQTEKIMEKEEAMENPVGIEFIRNDPSRLESMTVEELRKLTRRVGIPSKGRKKDLVSALMTYSTKKENDTDKTSIPVSESLSSAFKMSQPDISSAKRKAKASTTSEDDAENSVAVSEVPQQTKKRSTKLELAESTRVKGGAKSVVTKQESSIETKEVLDTKRSRVIKRASSKIQGNALVVDQVDPSVNSSEPWTVFAHKKPQKGWVAYNPKTMRPPPLSSDKKSMKVLSWNVNGLRALLKLESFSALQLAQREDFDVLCLQETKIQEKDVEEIRKSLFEGYDNSFWTCSVSKLGYSGTAIISRIKPISVKYGLGMPDHDSEGRLVTVEFDKYYLINGYVPNSGEGLRRLAYRVNEWDTTLSNYLKDLEKSKPVILTGDLNCAHEEIDIYNPAGNRRSAGFTDEERKSFGDNFLARGLVDTFRKQNPNVVGYTYWGYRHGGRKTNRGWRLDYFLVSESIADNVHDSFILPDIMGSDHCPIGLVLKL >DRNTG_06450.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29940337:29944888:-1 gene:DRNTG_06450 transcript:DRNTG_06450.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G41460) UniProtKB/Swiss-Prot;Acc:P45951] MSKKQKNWVRVCVLCFYFLVRVNANRLASHAILGFWIQAFLFSDSAVPCIKLAVRESVCVKLGGIGIHHSRFCSSSTTFSFQRGRKVRSSIDCSGQTEKIMEKEEAMENPVGIEFIRNDPSRLESMTVEELRKLTRRVGIPSKGRKKDLVSALMTYSTKKENDTDKTSIPVSESLSSAFKMSQPDISSAKRKAKASTTSEDDAENSVAVSEVPQQTKKRSTKLELAESTRVKGGAKSVVTKQESSIETKEVLDTKRSRVIKRASSKIQGNALVVDQVDPSVNSSEPWTVFAHKKPQKGWVAYNPKTMRPPPLSSDKKSMKVLSWNVNGLRALLKLESFSALQLAQREDFDVLCLQETKIQEKDVEEIRKSLFEGYDNSFWTCSVSKLGYSGTAIISRIKPISVKYGLGMPDHDSEGRLVTVEFDKYYLINGYVPNSGEGLRRLAYRVNEWDTTLSNYLKDLEKSKPVILTGDLNCAHEEIDIYNPAGNRRSAGFTDEERKSFGDNFLARGLVDTFRKQNPNVVGYTYWGYRHGGRKTNRGWRLDYFLVSESIADNVHDSFILPDIMGSDHCPIGLVLKL >DRNTG_06450.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29940337:29944888:-1 gene:DRNTG_06450 transcript:DRNTG_06450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G41460) UniProtKB/Swiss-Prot;Acc:P45951] MEKEEAMENPVGIEFIRNDPSRLESMTVEELRKLTRRVGIPSKGRKKDLVSALMTYSTKKENDTDKTSIPVSESLSSAFKMSQPDISSAKRKAKASTTSEDDAENSVAVSEVPQQTKKRSTKLELAESTRVKGGAKSVVTKQESSIETKEVLDTKRSRVIKRASSKIQGNALVVDQVDPSVNSSEPWTVFAHKKPQKGWVAYNPKTMRPPPLSSDKKSMKVLSWNVNGLRALLKLESFSALQLAQREDFDVLCLQETKIQEKDVEEIRKSLFEGYDNSFWTCSVSKLGYSGTAIISRIKPISVKYGLGMPDHDSEGRLVTVEFDKYYLINGYVPNSGEGLRRLAYRVNEWDTTLSNYLKDLEKSKPVILTGDLNCAHEEIDIYNPAGNRRSAGFTDEERKSFGDNFLARGLVDTFRKQNPNVVGYTYWGYRHGGRKTNRGWRLDYFLVSESIADNVHDSFILPDIMGSDHCPIGLVLKL >DRNTG_06450.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29940337:29944888:-1 gene:DRNTG_06450 transcript:DRNTG_06450.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G41460) UniProtKB/Swiss-Prot;Acc:P45951] MEKEEAMENPVGIEFIRNDPSRLESMTVEELRKLTRRVGIPSKGRKKDLVSALMTYSTKKENDTDKTSIPVSESLSSAFKMSQPDISSAKRKAKASTTSEDDAENSVAVSEVPQQTKKRSTKLELAESTRVKGGAKSVVTKQESSIETKEVLDTKRSRVIKRASSKIQGNALVVDQVDPSVNSSEPWTVFAHKKPQKGWVAYNPKTMRPPPLSSDKKSMKVLSWNVNGLRALLKLESFSALQLAQREDFDVLCLQETKIQEKDVEEIRKSLFEGYDNSFWTCSVSKLGYSGTAIISRIKPISVKYGLGMPDHDSEGRLVTVEFDKYYLINGYVPNSGEGLRRLAYRVNEWDTTLSNYLKDLEKSKPVILTGDLNCAHEEIDIYNPAVSS >DRNTG_19506.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2802054:2809949:1 gene:DRNTG_19506 transcript:DRNTG_19506.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRH1 [Source:Projected from Arabidopsis thaliana (AT4G23640) UniProtKB/TrEMBL;Acc:A0A384KFZ5] MDEERALPPRANVKYTQLFLLAYQSFGVVYGDLSTSPLYVYKSAFAGRLHNYKDEETIFGVLSLIFWTITLIPLLKYVLIVLSADDNGEGGTFALYSLLCRHAKFSLLPNQQAADEELSTYHRNGLTARNVVSSPLKRFLEKHKKMRTCLLLIVLFGACMVIGDGVLTPAISVLSSISGLQVRARNLNDGAVVIIACVVLVGLFALQHRGTQKVAFLFAPVVIIWLSCIGVLGLYNIIHWNPAVYQALSPVYIYKFFKRTGKDGWISLGGILLCITGTEAMFADLGHFTDASIRVAFVGFIYPCLVLQYMGQAAFISKNFSYLPISFYESIPNPVFWPLFVVATLAAIVASQAVISATFSIVKQCHALGCFPRVKIVHTSRWIYGQIYIPEINWILMVLCLAVTIGFRDTTLIGNAYGIACITVMFVTTWLMTLVIIFVWQKSVIYALLFLICFGSLEAFYLSSSVMKVAQGGWVPLVLSFVFMFVMYVWHYGTRRKYLFDLQNKVSMKWILTLGPSLGIVRVPGIGLIYSELVTGVPAIFSHFVTNLPAFHQVLVFVCVKSVPVPFVPPEERYLIGRIGPRTYRMYRCIVRYGYKDVPKDEDNFENQLVMSIAEFIQMEAEDSGSASYDSSLDGRMAVIRTSEASCSRLLMREANENSNDYSTTIRSSKSETLQSLQSLYEQESPGLTRRRQVRFELPQQVQCDTQVRDELLALIEAKNTGVAYVMGHSYIKARKTSSFLKKFVIDVAYSFLRKNCRGPAVALNIPHISLIEVGMIYYV >DRNTG_16371.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000810.1:30457:33544:-1 gene:DRNTG_16371 transcript:DRNTG_16371.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFSFQDEIMQLEDIYFPIFSPDPFAFIPIATETEIQSPASVLLSKKERADYIASCFVEYSRAKDEHVRRNLELKSNEWRNIHGRVIEYLRKIPQPNNPKMKMSVKGNCSRLFRHMMKERLRRENISQCYADLHSIILPRPKADKNSIVQSAAVYLKELLMIKEELHRQNKMLRKKIIDTGNILQSKGLDSRTELEMKDDNMSIEETKIEVQLMNPVSTMDSMIEALQCMKGMGVKAMSIHSKVFGDELATVMTINTKVEKSEVRRAVEGNPVEMERKLRLQLQSKGSVGPNNKATTTTPSPHTCTITLRAMKKVQSSNQNNY >DRNTG_19024.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22567063:22569400:1 gene:DRNTG_19024 transcript:DRNTG_19024.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVQYKGEEKQFAAEKISSKVLMKTKEIEEAYLGSTFKNTVVTVPAYFNDSQRQTTNDAGVISGLIVMQSLMNPFTAAAIAYGL >DRNTG_19024.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22566360:22569400:1 gene:DRNTG_19024 transcript:DRNTG_19024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVQYKGEEKQFAAEKISSKVLMKTKEIEEAYLGSTFKNTVVTVPAYFNDSQRQTTNDAGVISGLIVMQSLMNPFTAAAIAYGL >DRNTG_24523.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6013963:6017754:1 gene:DRNTG_24523 transcript:DRNTG_24523.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNMQRQVVYLKKCLFDQGYLDEQFHQLEELQDDVSPNFVEEVVTLFFRDSSRLIGIIEQALQKHPQDFHRLRTHVERLKGSSCSIGALKVKSECTLFGGYCDEGNMDRCLRSFQNVKREHAILRQKLEAYFQLLRQVGPSEKASGSGN >DRNTG_24523.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6013162:6017754:1 gene:DRNTG_24523 transcript:DRNTG_24523.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNMQRQVVYLKKCLFDQGYLDEQFHQLEELQDDVSPNFVEEVVTLFFRDSSRLIGIIEQALQKHPQDFHRLRTHVERLKGSSCSIGALKVKSECTLFGGYCDEGNMDRCLRSFQNVKREHAILRQKLEAYFQLLRQVGPSEKASGSGN >DRNTG_06138.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25527601:25531239:1 gene:DRNTG_06138 transcript:DRNTG_06138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDQEREKDFGVLLKQGAEARVYESTFVGRKAIVKERFSKKYRHPLLDSKLTLKRLNAEARCMTKARKLGVPTPALYAVDHLLHTLTFEYVQGSSVKEVLLDFGSHGVIEECLDDIAAQIGDAIAKLHDGGLVHGDLTTSNMIIQQGTNRLVLIDFGLSFTSTLPEDKAVDLYVLERALLSMHSSCGNVMERVLAAYRKSSKQWSSTLNKLAQVRQRGRKRTMVG >DRNTG_22108.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:178414:179026:-1 gene:DRNTG_22108 transcript:DRNTG_22108.1 gene_biotype:protein_coding transcript_biotype:protein_coding TRKVATTGKVKSTTRSGKQQKQGKNYKEEGPKGMGNPLGNLNGNNKTTLPGGGLAS >DRNTG_04746.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:31670:34153:1 gene:DRNTG_04746 transcript:DRNTG_04746.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRRRRKRGSGVRFQWRCGGVRRRKRRSRVGERGRRCGERGKDGVGVGVGWS >DRNTG_04746.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:31670:34153:1 gene:DRNTG_04746 transcript:DRNTG_04746.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRRRSTSEKKKSCWGTWEEMRRTREGRSGSGSGMELRKR >DRNTG_04746.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:31670:34153:1 gene:DRNTG_04746 transcript:DRNTG_04746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRRRSTSEKKKSCWGTWEEMRRTREGRSGSGSGMELRKR >DRNTG_04746.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:31670:34153:1 gene:DRNTG_04746 transcript:DRNTG_04746.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRRRRKRGSGVRFQWRCGGVRRRKRRSRVGERGRRCGERGKDGVGVGVGWS >DRNTG_34281.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28152895:28156281:1 gene:DRNTG_34281 transcript:DRNTG_34281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSALTFSSAYSKPSPSFSSSPLLTPPRTLRLRRRPTLVPPIAATAAVSPKIEEIGDKIAGLTLEEAKSLVDFLQDRLGVSAAAFAPAAVAAAPGAPAAEAPAAVEEKTEFDVVIEEVPSNARIATIKVIRTLTPSLALKDAKDLIEGLPKKFKEAVSKDEAEAAKKQLEEVGAKINIV >DRNTG_22148.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001231.1:23946:24230:-1 gene:DRNTG_22148 transcript:DRNTG_22148.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKSHVKRRKNTKSKVDSSLYLEV >DRNTG_22148.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001231.1:23946:24680:-1 gene:DRNTG_22148 transcript:DRNTG_22148.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKSHVKRRKNTKSKVDSSLYLEV >DRNTG_04037.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11356660:11359483:1 gene:DRNTG_04037 transcript:DRNTG_04037.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYADAELEARIAKNFNAQQSLQSVENKMGEYSKESVKLPPSIEALTQNGTRDEEKTNEIVVDAHIEVLEVEEANDVASIPSEQMVEVSPQWMPNTKRLASKRPRTSGPSSTPDEPVFKLSHHRERYDRLKTKLFGTLCYLDWAIVENLGIASQVLSTFEAQQDGDSVRNRKILTISFQAFGRKRSMHHLDFAKFLGIYDDEFINSMPGKRLKLDFPCGVGRSNYWATLAGDDQTRKASRMIDPAHRFIHALIARSIWGWTDSKGVVTQSDLYTMYGIFERRPTHVGHLVADAFLH >DRNTG_31955.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001766.1:26612:46769:1 gene:DRNTG_31955 transcript:DRNTG_31955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIACLTMSVIAAQNILFGPHLPCSPGLVEALSRSSVRKLRFPSVVKPYVTDIHAQRDRLSLNQLEEGQEGSSTRASCARQGSNLFLSASEEKKKRALFSFRCSSPS >DRNTG_04412.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000207.1:17207:18839:1 gene:DRNTG_04412 transcript:DRNTG_04412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPDTPAKNTTTTNNNTGAVNKLRKGLWSPEEDDKLVKYMLSNGQGCWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEELIIHLHSVLGNSKITGKD >DRNTG_12366.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7483281:7483840:-1 gene:DRNTG_12366 transcript:DRNTG_12366.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYELSESFHAMSLRHTCVLFILEHFEKLNKKPGPSPLTQRIIPEIRNFFSKALRSNPRIYRP >DRNTG_12366.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7483281:7483958:-1 gene:DRNTG_12366 transcript:DRNTG_12366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYELSESFHAMSLRHTCVLFILEHFEKLNKKPGPSPLTQRIIPEIRNFFSKALRSNPRIYRP >DRNTG_19460.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13329832:13333607:1 gene:DRNTG_19460 transcript:DRNTG_19460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEPKR1 [Source:Projected from Arabidopsis thaliana (AT1G12580) UniProtKB/TrEMBL;Acc:A0A178WE53] MAVARSNGIDLPCNCYKVPGLKDPILETPHISYLEDRYTLGQKLGSGQFGLIRACSDMLTGETLACKSIAKDCLLCPDDLRSVKLEIEVMARLSGHPNVVDLKAVYEDVRFVHLVMELCAGGELFHRLEKHGRFPEPEAAVLFQCLMEVVMYCHDKGVVHRDLKPENILLATKSLSSPIKLADFGLATYIKPGQSLHGTVGSPFYIAPEVLAGGYNQAADVWSAGVILYILLSGMPPFRGKTKSRIFDSVRSAELRFPSDPWDHVSESAKDLIAGMLCRDPSRRLSAKEVLGELELFV >DRNTG_19460.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13329832:13333607:1 gene:DRNTG_19460 transcript:DRNTG_19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEPKR1 [Source:Projected from Arabidopsis thaliana (AT1G12580) UniProtKB/TrEMBL;Acc:A0A178WE53] MAVARSNGIDLPCNCYKVPGLKDPILETPHISYLEDRYTLGQKLGSGQFGLIRACSDMLTGETLACKSIAKDCLLCPDDLRSVKLEIEVMARLSGHPNVVDLKAVYEDVRFVHLVMELCAGGELFHRLEKHGRFPEPEAAVLFQCLMEVVMYCHDKGVVHRDLKPENILLATKSLSSPIKLADFGLATYIKPGQSLHGTVGSPFYIAPEVLAGGYNQAADVWSAGVILYILLSGMPPFRGKTKSRIFDSVRSAELRFPSDPWDHVSESAKDLIAGMLCRDPSRRLSAKEVLDHPWVKGIAQQAQSPNSKSNEFGFGQKDLVGFTLSTSVICKTRDFSFGLDNPIPCENQQDQSSAFTCRSSFSSFLVETTPSTGTGFSFDCSCECSSPRFSTSVPFVSSFSFLSPSSSGEQQSESASQINMVAPNTTQIDSSCEKLFMPPDSSASSAHEIREIDNKRPEFRRGLGVSSRVIGLHSRRNHTIGLGELDQLDLMVSESVIRWASCTHLPSAASLWSSLVC >DRNTG_04388.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1196708:1200997:1 gene:DRNTG_04388 transcript:DRNTG_04388.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPTGDFPPAYSPPPSEVPFTPPEIEDEGVAAEEEAFGEHATEEEAPAEASPDLTSTLEEGPVKVKWPGWPGDNVFRLIIPVAKVGCIIGRKGELVKKICEETRASVRVLDGPVGISDRIVLVSGKEEPDLEVSPAMNAVLRVFNRIHDTVENAIDTSDETSTAISSIRLLVPSSQAFSLIGKQGSLIKSIQENSGASVRVLPRDVLPLYAAADEKVVDIHGEFLKVHTALEAVVGHLRKFLVDHGVLPLFEKNLKMQLSQDHPVDTWGDKTQSSGYSIQQTMMGDDYPAPMKRASLFIDHDSHLESQISRSSLSLYGPEPAVSGLRSPGLGRAPAAIISQMTKKMQIPLLYAEAIIGIEGKRISYIRRASGAELTIRESITSSDEITVEIKGTSQEVQAAELLIQEFISGYREPEPGRYLDAGPRTYAQMPSSGYYPSQPYGRYESSDVGGYHNYRF >DRNTG_04388.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1196708:1200997:1 gene:DRNTG_04388 transcript:DRNTG_04388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPTGDFPPAYSPPPSEVPFTPPEIEDEGVAAEEEAFGEHATEEEAPAEASPDLTSTLEEGPVKVKWPGWPGDNVFRLIIPVAKVGCIIGRKGELVKKICEETRASVRVLDGPVGISDRIVLVSGKEEPDLEVSPAMNAVLRVFNRIHDTVENAIDTSDETSTAISSIRLLVPSSQAFSLIGKQGSLIKSIQENSGASVRVLPRDVLPLYAAADEKVVDIHGEFLKVHTALEAVVGHLRKFLVDHGVLPLFEKNLKMQLSQDHPVDTWGDKTQSSGYSIQQTMMEPAVSGLRSPGLGRAPAAIISQMTKKMQIPLLYAEAIIGIEGKRISYIRRASGAELTIRESITSSDEITVEIKGTSQEVQAAELLIQEFISGYREPEPGRYLDAGPRTYAQMPSSGYYPSQPYGRYESSDVGGYHNYRF >DRNTG_13246.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13826238:13834280:-1 gene:DRNTG_13246 transcript:DRNTG_13246.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGGGGGGLDSGSEKRKARGRKGGSGMTADGEDTRWMCGSAGMAASLHRVNLHRVSSIVREIRDPCLHRSPAKGSKMLKPDKWQAIFGNDGRALCFRKALKLIVLGGVDPSIRAEVWEFLLGCYALSTTTEHRKQLRMARRERYEELIRQCQMMHSSIGTGSLAYAVGSKVMDMRTLSKDNDFKPATSDSGHASHSSTNKVDTYPCLNKDCEDASYGHRRAKSSDSAKLVGSKWSKDNAEYSSCFARHSSGGSYDYFDTNAGSQNHEPAYASENYIDFPPLPVTNLFQKSYTDGNDCEMYDARLSVAENFEDEHMHSFHINNNVDLIRDSNGSCTDLFQTSNSSSGIFMADVEKNSMGSKGMDCKSETLNKVRISDAPEAVPLSGMTSSRLNANEDRVSEWLWTLHRIVVDVVRTDSHLEFYGDSKNMARMSDILAVYAWVDPATGYCQGYS >DRNTG_13246.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13826238:13834280:-1 gene:DRNTG_13246 transcript:DRNTG_13246.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGGGGGGLDSGSEKRKARGRKGGSGMTADGEDTRWMCGSAGMAASLHRVNLHRVSSIVREIRDPCLHRSPAKGSKMLKPDKWQAIFGNDGRALCFRKALKLIVLGGVDPSIRAEVWEFLLGCYALSTTTEHRKQLRMARRERYEELIRQCQMMHSSIGTGSLAYAVGSKVMDMRTLSKDNDFKPATSDSGHASHSSTNKVDTYPCLNKDCEDASYGHRRAKSSDSAKLVGSKWSKDNAEYSSCFARHSSGGSYDYFDTNAGSQNHEPAYASENYIDFPPLPVTNLFQKSYTDGNDCEMYDARLSVAENFEDEHMHSFHINNNVDLIRDSNGSCTDLFQTSNSSSGIFMADVEKNSMGSKGMDCKSETLNKVRISDAPEAVPLSGMTSSRLNANEDRVSEWLWTLHRIVVDVVRTDSHLEFYGDSKNMARMSDILAVYAWVDPATGYCQGYS >DRNTG_13246.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13826238:13834280:-1 gene:DRNTG_13246 transcript:DRNTG_13246.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGGGGGGLDSGSEKRKARGRKGGSGMTADGEDTRWMCGSAGMAASLHRVNLHRVSSIVREIRDPCLHRSPAKGSKMLKPDKWQAIFGNDGRALCFRKALKLIVLGGVDPSIRAEVWEFLLGCYALSTTTEHRKQLRMARRERYEELIRQCQMMHSSIGTGSLAYAVGSKVMDMRTLSKDNDFKPATSDSGHASHSSTNKVDTYPCLNKDCEDASYGHRRAKSSDSAKLVGSKWSKDNAEYSSCFARHSSGGSYDYFDTNAGSQNHEPAYASENYIDFPPLPVTNLFQKSYTDGNDCEMYDARLSVAENFEDEHMHSFHINNNVDLIRDSNGSCTDLFQTSNSSSGIFMADVEKNSMGSKGMDCKSETLNKVRISDAPEAVPLSGMTSSRLNANEDRVSEWLWTLHRIVVDVVRTDSHLEFYGDSKNMARMSDILAVYAWVDPATGYCQGMSDLLSPFIVLYEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWKILEHTDTEMYEHLSLIGAESLHFAFRMLLVLFRRELTFNEALRMWEMMWAADFDEAVAQQLEGNCLEPLVLHLPEDLNTEMKAESTGNGKVNIKGTGSEDGDHDPCPSYSNGVKSVSSHPLCGLTRANFWARQDQLHISTIAAPTRNGDDDMPVFCVAAILIINRHKIMRQTHSIDDVIKADVQ >DRNTG_13246.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13826238:13834280:-1 gene:DRNTG_13246 transcript:DRNTG_13246.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLLSPFIVLYEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWKILEHTDTEMYEHLSLIGAESLHFAFRMLLVLFRRELTFNEALRMWEMMWAADFDEAVAQQLEGNCLEPLVLHLPEDLNTEMKAESTGNGKVNIKGTGSEDGDHDPCPSYSNGVKSVSSHPLCGLTRANFWARQDQLHISTIAAPTRNGDDDMPVFCVAAILIINRHKIMRQTHSIDDVIKADVQ >DRNTG_13246.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13826238:13834280:-1 gene:DRNTG_13246 transcript:DRNTG_13246.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGGGGGGLDSGSEKRKARGRKGGSGMTADGEDTRWMCGSAGMAASLHRVNLHRVSSIVREIRDPCLHRSPAKGSKMLKPDKWQAIFGNDGRALCFRKALKLIVLGGVDPSIRAEVWEFLLGCYALSTTTEHRKQLRMARRERYEELIRQCQMMHSSIGTGSLAYAVGSKVMDMRTLSKDNDFKPATSDSGHASHSSTNKVDTYPCLNKDCEDASYGHRRAKSSDSAKLVGSKWSKDNAEYSSCFARHSSGGSYDYFDTNAGSQNHEPAYASENYIDFPPLPVTNLFQKSYTDGNDCEMYDARLSVAENFEDEHMHSFHINNNVDLIRDSNGSCTDLFQTSNSSSGIFMADVEKNSMGSKGMDCKSETLNKVRISDAPEAVPLSGMTSSRLNANEDRVSEWLWTLHRIVVDVVRTDSHLEFYGDSKNMARMSDILAVYAWVDPATGYCQGMSDLLSPFIVLYEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWKILEHTDTEMYEHLSLIGAESLHFAFRMLLVLFRRELTFNEALRMWEMMWAADFDEAVAQQLEGNCLEPLVLHLPEDLNTEMKAESTGNGKVNIKGTGSEDGDHDPCPSYSNGVKSVSSHPLCGLTRANFWARQDQLHISTIAAPTRNGDDDMPVFCVAAILIINRHKIMRQTHSIDDVIKAGLFSVTPFLF >DRNTG_13246.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13826238:13834280:-1 gene:DRNTG_13246 transcript:DRNTG_13246.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLLSPFIVLYEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWKILEHTDTEMYEHLSLIGAESLHFAFRMLLVLFRRELTFNEALRMWEMMWAADFDEAVAQQLEGNCLEPLVLHLPEDLNTEMKAESTGNGKVNIKGTGSEDGDHDPCPSYSNGVKSVSSHPLCGLTRANFWARQDQLHISTIAAPTRNGDDDMPVFCVAAILIINRHKIMRQTHSIDDVIKAGLFSVTPFLF >DRNTG_13246.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13826238:13834280:-1 gene:DRNTG_13246 transcript:DRNTG_13246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGGGGGGLDSGSEKRKARGRKGGSGMTADGEDTRWMCGSAGMAASLHRVNLHRVSSIVREIRDPCLHRSPAKGSKMLKPDKWQAIFGNDGRALCFRKALKLIVLGGVDPSIRAEVWEFLLGCYALSTTTEHRKQLRMARRERYEELIRQCQMMHSSIGTGSLAYAVGSKVMDMRTLSKDNDFKPATSDSGHASHSSTNKVDTYPCLNKDCEDASYGHRRAKSSDSAKLVGSKWSKDNAEYSSCFARHSSGGSYDYFDTNAGSQNHEPAYASENYIDFPPLPVTNLFQKSYTDGNDCEMYDARLSVAENFEDEHMHSFHINNNVDLIRDSNGSCTDLFQTSNSSSGIFMADVEKNSMGSKGMDCKSETLNKVRISDAPEAVPLSGMTSSRLNANEDRVSEWLWTLHRIVVDVVRTDSHLEFYGDSKNMARMSDILAVYAWVDPATGYCQGMSDLLSPFIVLYEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWKILEHTDTEMYEHLSLIGAESLHFAFRMLLVLFRRELTFNEALRMWEMMWAADFDEAVAQQLEGNCLEPLVLHLPEDLNTEMKAESTGNGKVNIKGTGSEDGDHDPCPSYSNGVKSVSSHPLCGLTRANFWARQDQLHISTIAAPTRNGDDDMPVFCVAAILIINRHKIMRQTHSIDDVIKAGLFSVTPFLF >DRNTG_13246.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13826238:13834280:-1 gene:DRNTG_13246 transcript:DRNTG_13246.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGGGGGGLDSGSEKRKARGRKGGSGMTADGEDTRWMCGSAGMAASLHRVNLHRVSSIVREIRDPCLHRSPAKGSKMLKPDKWQAIFGNDGRALCFRKALKLIVLGGVDPSIRAEVWEFLLGCYALSTTTEHRKQLRMARRERYEELIRQCQMMHSSIGTGSLAYAVGSKVMDMRTLSKDNDFKPATSDSGHASHSSTNKVDTYPCLNKDCEDASYGHRRAKSSDSAKLVGSKWSKDNAEYSSCFARHSSGGSYDYFDTNAGSQNHEPAYASENYIDFPPLPVTNLFQKSYTDGNDCEMYDARLSVAENFEDEHMHSFHINNNVDLIRDSNGSCTDLFQTSNSSSGIFMADVEKNSMGSKGMDCKSETLNKVRISDAPEAVPLSGMTSSRLNANEDRVSEWLWTLHRIVVDVVRTDSHLEFYGDSKNMARMSDILAVYAWVDPATGYCQGMSDLLSPFIVLYEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWKILEHTDTEMYEHLSLIGAESLHFAFRMLLVLFRRELTFNEALRMWEMMWAADFDEAVAQQLEGNCLEPLVLHLPEDLNTEMKAESTGNGKVNIKGTGSEDGDHDPCPSYSNGVKSVSSHPLCGLTRANFWARQDQLHISTIAAPTRNGDDDMPVFCVAAILIINRHKIMRQTHSIDDVIKMFNDNRLKINVKRCVRMAIKLRKKYFCKLIRQTDLGFSEQ >DRNTG_13246.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13826238:13834280:-1 gene:DRNTG_13246 transcript:DRNTG_13246.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGGGGGGLDSGSEKRKARGRKGGSGMTADGEDTRWMCGSAGMAASLHRVNLHRVSSIVREIRDPCLHRSPAKGSKMLKPDKWQAIFGNDGRALCFRKALKLIVLGGVDPSIRAEVWEFLLGCYALSTTTEHRKQLRMARRERYEELIRQCQMMHSSIGTGSLAYAVGSKVMDMRTLSKDNDFKPATSDSGHASHSSTNKVDTYPCLNKDCEDASYGHRRAKSSDSAKLVGSKWSKDNAEYSSCFARHSSGGSYDYFDTNAGSQNHEPAYASENYIDFPPLPVTNLFQKSYTDGNDCEMYDARLSVAENFEDEHMHSFHINNNVDLIRDSNGSCTDLFQTSNSSSGIFMADVEKNSMGSKGMDCKSETLNKVRISDAPEAVPLSGMTSSRLNANEDRVSEWLWTLHRIVVDVVRTDSHLEFYGDSKNMARMSDILAVYAWVDPATGYCQGYS >DRNTG_02572.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19432832:19434283:1 gene:DRNTG_02572 transcript:DRNTG_02572.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ASPARTIC PROTEASE IN GUARD CELL 2 [Source:Projected from Arabidopsis thaliana (AT3G20015) UniProtKB/Swiss-Prot;Acc:Q9LHE3] MFIIPHPSPMQQKTLHLLLHVLILIILLLSFPFIYMQDMQDMQFHDVTLHQLTTPSPPSSSSPSPSPSPHSLPLIPRHHLHPTTTTNHSHSQYSLNSLLLRDQLRVSSLTHLLSPYSSYHLSDFSSSITSGLDLGSGEYFIRIGVGSPPRPQYLILDSGSDVLWLQCLPCSQCYSQSDPIYNPSFSSSFSSIPCSSSLCSLLTPNSGCTHSGHCLYQLSYSDGSFTKGTLAFETLTFGSTTITNVAIGCGHRNSGLFTGASGLLGLGSGPISFISQLAGQLGGAFSYCLLSRGADSSSTGSLIFGRSSAVPVGAVWVPLLRNPRFPSFYYIALAGLAVGGQPLPLTGDLFRLTEDGDNGVVIDTGTAVTRFPAPAYGVLRDAFVASTAGLPRAEGVSIFDTCYNLFGFESVRVPTVALLFAGGTTLTLPARNFLIPVDDSGRFCFAFAASSNGLSIIGNIQQEGIQISFDTSNGFVGFGPNTC >DRNTG_28976.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001462.1:127300:128465:1 gene:DRNTG_28976 transcript:DRNTG_28976.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L-fucosidase 1 [Source:Projected from Arabidopsis thaliana (AT2G28100) UniProtKB/Swiss-Prot;Acc:Q8GW72] MGQRVIEFHVDLLEDGEWNTIVNATTIGYKRLLQFPAVKAQFLRLLVDKSRADPLISYFGAYLDPYSIINETHGSSWQASFNDYQVISLKKYYSSVNSSIAVI >DRNTG_28976.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001462.1:124969:126564:1 gene:DRNTG_28976 transcript:DRNTG_28976.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L-fucosidase 1 [Source:Projected from Arabidopsis thaliana (AT2G28100) UniProtKB/Swiss-Prot;Acc:Q8GW72] MMDSVSGPSEYTNYSVKSSPWRGGRGDVVAELADATKEFGIELGIYLSPWDRHDSCYGDTVKYNEYYLAQMTELLTRYGEIKEVFLDGAKGKGEKDMDYLFNCWFRVIHQLQPGAVIFTDAGPDIRWIGDEDGFAGSTCWSLLNRSSVKIGGDNEP >DRNTG_28976.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001462.1:127300:128541:1 gene:DRNTG_28976 transcript:DRNTG_28976.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L-fucosidase 1 [Source:Projected from Arabidopsis thaliana (AT2G28100) UniProtKB/Swiss-Prot;Acc:Q8GW72] MGQRVIEFHVDLLEDGEWNTIVNATTIGYKRLLQFPAVKAQFLRLLVDKSRADPLISYFGAYLDPYSIINETHGSSWQASFNDYQVISLKKYYSSVNSSIAVI >DRNTG_28976.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001462.1:124969:128465:1 gene:DRNTG_28976 transcript:DRNTG_28976.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L-fucosidase 1 [Source:Projected from Arabidopsis thaliana (AT2G28100) UniProtKB/Swiss-Prot;Acc:Q8GW72] MMDSVSGPSEYTNYSVKSSPWRGGRGDVVAELADATKEFGIELGIYLSPWDRHDSCYGDTVKYNEYYLAQMTELLTRYGEIKEVFLDGAKGKGEKDMDYLFNCWFRVIHQLQPGAVIFTDAGPDIRWIGDEDGFAGSTCWSLLNRSSVKIGGDNEPYATEGDQFGQDWLPAECDVSIRDSWFWHKTEHPKSAISLLNIYYKSVGRNCLLILNVPPNSLGLFSSEDVQVLHEFAELRRTIFSQNLAQDAIVTASSTRGGEGNSQFAPSNVLKDGIYSYWAPEDYQADWIIFLDLGQPITFNVLQVQEPIQMGQRVIEFHVDLLEDGEWNTIVNATTIGYKRLLQFPAVKAQFLRLLVDKSRADPLISYFGAYLDPYSIINETHGSSWQASFNDYQVISLKKYYSSVNSSIAVI >DRNTG_28976.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001462.1:126235:128541:1 gene:DRNTG_28976 transcript:DRNTG_28976.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L-fucosidase 1 [Source:Projected from Arabidopsis thaliana (AT2G28100) UniProtKB/Swiss-Prot;Acc:Q8GW72] MDYLFNCWFRVIHQLQPGAVIFTDAGPDIRWIGDEDGFAGSTCWSLLNRSSVKIGGDNEPYATEGDQFGQDWLPAECDVSIRDSWFWHKTEHPKSAISLLNIYYKSVGRNCLLILNVPPNSLGLFSSEDVQVLHEFAELRRTIFSQNLAQDAIVTASSTRGGEGNSQFAPSNVLKDGIYSYWAPEDYQADWIIFLDLGQPITFNVLQVQEPIQMGQRVIEFHVDLLEDGEWNTIVNATTIGYKRLLQFPAVKAQFLRLLVDKSRADPLISYFGAYLDPYSIINETHGSSWQASFNDYQVISLKKYYSSVNSSIAVI >DRNTG_28976.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001462.1:124969:128541:1 gene:DRNTG_28976 transcript:DRNTG_28976.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L-fucosidase 1 [Source:Projected from Arabidopsis thaliana (AT2G28100) UniProtKB/Swiss-Prot;Acc:Q8GW72] MMDSVSGPSEYTNYSVKSSPWRGGRGDVVAELADATKEFGIELGIYLSPWDRHDSCYGDTVKYNEYYLAQMTELLTRYGEIKEVFLDGAKGKGEKDMDYLFNCWFRVIHQLQPGAVIFTDAGPDIRWIGDEDGFAGSTCWSLLNRSSVKIGGDNEPYATEGDQFGQDWLPAECDVSIRDSWFWHKTEHPKSAISLLNIYYKSVGRNCLLILNVPPNSLGLFSSEDVQVLHEFAELRRTIFSQNLAQDAIVTASSTRGGEGNSQFAPSNVLKDGIYSYWAPEDYQADWIIFLDLGQPITFNVLQVQEPIQMGQRVIEFHVDLLEDGEWNTIVNATTIGYKRLLQFPAVKAQFLRLLVDKSRADPLISYFGAYLDPYSIINETHGSSWQASFNDYQVISLKKYYSSVNSSIAVI >DRNTG_28976.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001462.1:125158:128541:1 gene:DRNTG_28976 transcript:DRNTG_28976.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L-fucosidase 1 [Source:Projected from Arabidopsis thaliana (AT2G28100) UniProtKB/Swiss-Prot;Acc:Q8GW72] MMDSVSGPSEYTNYSVKSSPWRGGRGDVVAELADATKEFGIELGIYLSPWDRHDSCYGDTVKYNEYYLAQMTELLTRYGEIKEVFLDGAKGKGEKDMDYLFNCWFRVIHQLQPGAVIFTDAGPDIRWIGDEDGFAGSTCWSLLNRSSVKIGGDNEPYATEGDQFGQDWLPAECDVSIRDSWFWHKTEHPKSAISLLNIYYKSVGRNCLLILNVPPNSLGLFSSEDVQVLHEFAELRRTIFSQNLAQDAIVTASSTRGGEGNSQFAPSNVLKDGIYSYWAPEDYQADWIIFLDLGQPITFNVLQVQEPIQMGQRVIEFHVDLLEDGEWNTIVNATTIGYKRLLQFPAVKAQFLRLLVDKSRADPLISYFGAYLDPYSIINETHGSSWQASFNDYQVISLKKYYSSVNSSIAVI >DRNTG_28976.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001462.1:125158:128465:1 gene:DRNTG_28976 transcript:DRNTG_28976.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L-fucosidase 1 [Source:Projected from Arabidopsis thaliana (AT2G28100) UniProtKB/Swiss-Prot;Acc:Q8GW72] MMDSVSGPSEYTNYSVKSSPWRGGRGDVVAELADATKEFGIELGIYLSPWDRHDSCYGDTVKYNEYYLAQMTELLTRYGEIKEVFLDGAKGKGEKDMDYLFNCWFRVIHQLQPGAVIFTDAGPDIRWIGDEDGFAGSTCWSLLNRSSVKIGGDNEPYATEGDQFGQDWLPAECDVSIRDSWFWHKTEHPKSAISLLNIYYKSVGRNCLLILNVPPNSLGLFSSEDVQVLHEFAELRRTIFSQNLAQDAIVTASSTRGGEGNSQFAPSNVLKDGIYSYWAPEDYQADWIIFLDLGQPITFNVLQVQEPIQMGQRVIEFHVDLLEDGEWNTIVNATTIGYKRLLQFPAVKAQFLRLLVDKSRADPLISYFGAYLDPYSIINETHGSSWQASFNDYQVISLKKYYSSVNSSIAVI >DRNTG_02832.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20109941:20111786:1 gene:DRNTG_02832 transcript:DRNTG_02832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALMEPNWDVDKLSYEIFSILESKFLFSPSPPTTPAKPPKAGRVRILSIDATGDAILAAACLSRLEASLRKQSGITSARISDFFDLAAGSGAGGFLAALLFTPRPGGAPPLSAEDALRLLSKTRGKISGRRGVLSGIFRRSVGGVFKRVFGDATIRDSVKPVLIPCYDLNTGAVLMFSRADATEAVGFDFRFRDVCAATCAGWGKRVAVKSVNGMTRIEAIGGAVAMVNPAAAAVTHVLNNGIEFPLANGVEDLLVISIGGVEVGNGVSGVVAPPSPGELVEIAGKGDADMIDQSLAMAFGHCRTSNYVRIQANNLLSPTRIQKSKGKGKTNETEILMKMAEDVLNQRNIESILFRGRKVSDQTNAEKLDFFSQELFKEHQRRMKNNNNNNNNNNNNTDPSSLVTRPSSATTSITSP >DRNTG_31829.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2190648:2191940:-1 gene:DRNTG_31829 transcript:DRNTG_31829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWVGWSASGGWAEKKPRRGPELGLGNFEIWWETRTMFSQ >DRNTG_31829.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2191071:2191940:-1 gene:DRNTG_31829 transcript:DRNTG_31829.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGKQQQCGNKNKIRSFTRDKKKKNQDSEKEIHTWTSKSLGILTK >DRNTG_29771.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1931536:1932784:1 gene:DRNTG_29771 transcript:DRNTG_29771.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKANLLNAFQVNNIVPSASTSYKLRDINTALMANLGLSTHIECVTVTDGASERTLLSKINICISADGLSFINCPFDIESTCNRELFFYPFNINQLNTDCDYNYVGSSGFIKMANEKHLAM >DRNTG_29771.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1931536:1932784:1 gene:DRNTG_29771 transcript:DRNTG_29771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKANLLNAFQVNNIVPSASTSYKLRDINTALMANLGLSTHIECVTVTDGASERTLLSKINICISADGLSFINCPFDIESTCNRELFFYPFNINQLNTDCDYNYVGSSGFIKMANEKHLAM >DRNTG_29771.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1931536:1932784:1 gene:DRNTG_29771 transcript:DRNTG_29771.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKANLLNAFQVNNIVPSASTSYKLRDINTALMANLGLSTHIECVTVTDGASERTLLSKINICISADGLSFINCPFDIESTCNRELFFYPFNINQLNTDCDYNYVGSSGFIKMANEKHLAM >DRNTG_26536.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:912911:921546:1 gene:DRNTG_26536 transcript:DRNTG_26536.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCSPPLISTRSPRGMLVPKRNPRFMASWMSPCLSRSRSLRIRGLSIGCVLDDAVREIVSSGDSFSENELFVSWFRQAWPYIRGHRGSIFVVVISGEIVDSPHLDSILQDISLLHGLGIKFILVPGTHVLIDKLLKERGSKAKYVGQYRITDSVALEAAMEAAGRSRVTIEAKLSPGPPILNLRRHGENSRWHELGVSVDSGNYLAAKRRGVVKGIDFGETGEVKKIDVSRIRDQLDKDCIVIVSNLGYSSTGEVLNCNTYEVATACALAVKADKLICIVDGQILDEQGRSIHFMTLEDADLLIRNRAKQSEIAANYVKAVCDDDANCLGHLDSNGASEPLWKGFSEKFYTAFQNGVGFDNGNGLWSSEQGFAVGGEERLSRLNGYLSELAAAAFVCRGGVQRVHLLDGSQHGALLLELFTRDGVGAMIARGVYEGTRTARVTDLPGIRQLIQPLEVSGTLVQRTDEELLQTLDSFIVVERDGSIIACAALFPYIKEKCGEVAAIAVSPECRGNGQGDKLLDYVEKKASSLGLEKLFLLTTRTADWFVRRGFKECSVEDIPKERRERINFSRGSKYYVKQLQRELGGINVNGSVRR >DRNTG_29256.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21005337:21008543:1 gene:DRNTG_29256 transcript:DRNTG_29256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAILQSLPAPHCRSLSTISSSPRAAFSPVSAALDVRSSRILPTFTGLRVSRRPPRFLAAAVSRRVGRRGSVVCEAQETAIEVPDVTNATWESLVLKSEGPVLVEFWAPWCGPCRMLIPTIGENCQKLTRVN >DRNTG_03704.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:12957932:12961156:1 gene:DRNTG_03704 transcript:DRNTG_03704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHSGRKSYNIESVKVTLAATLERETLDAAGEEDDDGGAGGVRGFMMKGKDASCSSSCCSRWRKHSHCVDPMLQESPRSMYALEVVWERPEEPLLCHLCPILSQVEAGIVTYSAPRIASSKPILTTSLMM >DRNTG_23927.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1125325:1129359:1 gene:DRNTG_23927 transcript:DRNTG_23927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAAIKRGVYFEITYADLILDVNRRQMLSEAKLLVDWTRGRNIIISSAAANANALRGPYDIINLSVVLLGFSMEKAKAAISKNCRSLLENALRKKHFYKEAIRIERIPDVQADTKSSWLGNWNDWDPISSGENDLPPLDDIAKFFSAASNVPKSSAIDFKSAVDDMQLRSCLSGVKLDTHLTGADSLNLSSEKPSLSSVADEFPCATDKNYQQPNIVQFPFVDNLSMTMGPLEHQCESEHVLAAKSDMETIMVDAEEPGMAVASRDDILATEGNPISPDTNLLHSLHFKNTKPSAPYTEVGESSNEPDVVLISAEKQNDAMELEDVVPYQSSDKISPNGVEECIIVPLENYSASEQVRKRVEEREQNNNTIDIVLQPGKLVTSAISSVGQVIPEDAFDRMEERSAIFSSDQVIPEDAFKKTEELSAISSVDQVMTEDASKKIEEMREEVVLAEKDALVMEVLATIEKQKQSLPAANFDDNQSRKFKSGGRQKEKMLHPVYPLPFKTMFKPLRSRKRVSQLRRTAKHT >DRNTG_23927.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1126114:1128596:1 gene:DRNTG_23927 transcript:DRNTG_23927.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAAIKRGVYFEITYADLILDVNRRQMLSEAKLLVDWTRGRNIIISSAAANANALRGPYDIINLSVVLLGFSMEKAKAAISKNCRSLLENALRKKHFYKEAIRIERIPDVQADTKSSWLGNWNDWDPISSGENDLPPLDDIAKFFSAASNVPKSSAIDFKSAVDDMQLRSCLSGVKLDTHLTGADSLNLSSEKPSLSSVADEFPCATDKNYQQPNIVQFPFVDNLSMTMGPLEHQCESEHVLAAKSDMETIMVDAEEPGMAVASRDDILATEGNPISPDTNLLHSLHFKNTKPSAPYTEVGESSNEPDVVLISAEKQNDAMELEDVVPYQSSDKISPNGVEECIIVPLENYSASEQVRKRVEEREQNNNTIDIVLQPGKLVTSAISSVGQVIPEDAFDRMEERSAIFSSDQVIPEDAFKKTEELSAISSVDQVMTEDASKKIEEMREEVVLAEKDALVMEVLATIEKQKQSLPAANFDDNQSRKFKSGRECDIIYPYI >DRNTG_31017.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30469877:30472011:1 gene:DRNTG_31017 transcript:DRNTG_31017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTASPPWMTSLSCSPSQPASTILQWLSFVFLSPCPQRMLFSIVDGLFLLVLFVFAIQKLIVRFRRRSGTLEEEEEEKKPLLIKAQQRQQQPILTTIRFKIGLVVVAILAFAYGVLLVVVFVRGSESGWFLIESLFHVLQFLSYLSSVAVVAHEKRFRAAVHPLTLRLYWVASFILVVLFSISSIFRLAGGNPIQPDDYISLGILPFSLVLLVLAVNGDSGVVVVVEVNVNSGRASEPDSLITGYTSASIISLATWSWMNPLLAKGYKSPLKIDDVPWLAAEHRAEKLYELFQSNWPRPASRENHPVRTALLRCFWPQLLFTAFLSILRLCVMYVGPTLIQKFVDFASGKRSSLLEGYYLCGILLVAKFFEVLCSHQYNFQCAKLGMMIRSTLITNLYRKGLRLSCSARQSHGVGMIVNYMAVDAQQLSDMVLQLHYIWLMPLQVGVAIALLYLYLGPSVTTALGAIAAIIIFVLLGTRRNNRFQFLLMKATNEMLNYMRVIKFQAWENHFNRRIKQFREGEFGWLSKFMYSISGNIIVLWSAPVIISSLVFATCVATGVRLNAGLVFTATSFFKILQEPMRNFPQALISASQAMVSLERLDSYMTSAELDDAAVEHVIGSDVAIEIQAGNFSWDDENPTPSLKNLNVEIKRGALAAVVGTVGSGKSSFLSCILGEMHKI >DRNTG_07075.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2096806:2097710:1 gene:DRNTG_07075 transcript:DRNTG_07075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLFHFMLISSISFFTKHKTSVVFFIYDHILTMLCFSCYIFNFLLISNRAIKKMSAITSWENTKKASIEAQLKKIEEDLEKKKAEYAEKMKNKVAMVHKAAEEKRAFTEAKRGEDILKAEEVAAKYRASGLSPIKLFGCFGA >DRNTG_06959.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4705506:4707210:-1 gene:DRNTG_06959 transcript:DRNTG_06959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMPCGLRPLLLPFLLRKEQTYPFPFFSITNPNLAPSHAAMTVLFLLWSREEEVWVSSSMKLISNLPHRTTTVLFLVRLREEEL >DRNTG_19934.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18971482:18972755:-1 gene:DRNTG_19934 transcript:DRNTG_19934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGGVARSRLAEERKAWRKDHPHTDWEGGSFPIVLTFSEDYPSRAPKCKFPKGFFHP >DRNTG_00553.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30169038:30171682:1 gene:DRNTG_00553 transcript:DRNTG_00553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEAAGEVKPHAVCIPYPAQGHINPMIKLAKVLHSNGFHITFVNSAIRTKVPYSRYMEDIVNGYLDKVIDWIPGMENMRLKDFPSFIRTTDSEDIMLAIAKHELERISMASAIILNTFDDFEHQVLQAMSSIILPSIYTIGPLTLLAARTAGSSLADIRLNLWKEDPGCLEWLDGKEPRSVVYVNFGSLTVMTNEQLIEFAWGLANSEHKFLWIIRPDLVRGDTAVVPQEFMMETQERGLLASWCSQEQVLKHPSIGGFLTHCGWNSILESVCGGVPVICWPFFAEQLTNCRYLCTDQWGMGMEIDNNVKREEVEKLIKELMNGEKGMEMRNRALEWKELAVRATEPGGSSSVNFDRLVTEVLHPNNYNN >DRNTG_25728.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16964712:16966622:-1 gene:DRNTG_25728 transcript:DRNTG_25728.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGPCKKLTEMSKSSWKNFTSSCHRAENSLNRAVADSLIGRYFKLDVRKSSFTTELRAGTATFLTMAYIISVNSSILSDSGGPCSFSDTNYQSCLSQTRSDLVVATIVPAIIGSLLMGTFANLPLALAPAMGTNAYFTYNMVGVHGSGPVPYGTALAVVMCEGCVFLALSVLGLRSKLARMIPRSIRLASAAGIGLFLAFVGLQPHQGLSLIGPSPSTLVTLTACSHSDPITGACLSGTMQSPTFWLGTAGFLITTFALTKNIKGSMIYGILFVTFISWFRNTSVTMFPDTPIGDSNYDYFKRVFDFHLIKNTAGMISFKHFNRSEVWVALSTLLYVDVLDASSIMYSMAEFGGFTDESGGFQGEYRAFMVDASTTIVSSSLGATTVTAFIESTAGMREGGRTGITAVVVALYFTAALFFTPVFRSVPPWAVGPSMVVVGMMMMKMIKDIEWGDAKEGVPAFLTMILMPLTYSISNGIIAGIGVYVVLRLWDYFESLVKWMRKMKKFMEDAHNQVSAASADTVPCSSVV >DRNTG_33429.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2902137:2904625:1 gene:DRNTG_33429 transcript:DRNTG_33429.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROP8 [Source:Projected from Arabidopsis thaliana (AT2G44690) UniProtKB/TrEMBL;Acc:A0A384LBU4] MSNCKFIKCVTVGDGAVGKTCLLISYTSNTFPNDYVPTVFDNFSANVVVNGQTVNMGLWDTAGQEDYNRLRPLSYRGADVFILAFSVISKPSFENVSKKWLPELRHYAPTVPIVLVGTKQDLREDKQFLMDHPGACTISAEQGEELKRQIGATAYIECSSKTQQNVKNVLDSAIKAVIQPPKQKKERRQNKICNFL >DRNTG_33429.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2902137:2902448:1 gene:DRNTG_33429 transcript:DRNTG_33429.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROP8 [Source:Projected from Arabidopsis thaliana (AT2G44690) UniProtKB/TrEMBL;Acc:A0A384LBU4] MSNCKFIKCVTVGDGAVGKTCLLISYTSNTFPN >DRNTG_15873.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:10259878:10263457:1 gene:DRNTG_15873 transcript:DRNTG_15873.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSPSPLKSMAKNKSKGAAEESLVPEATVEALHRTLDAVDDLQANLTEFLSIAEPDVLAELHPLQRARAFLLLAKSTSALLSVRLRCSGICPDDHPIKSEFERLSLFEDKLERFTDWDREPLRPSTTLNSQAATRFIEHSLPDLTQEQRKSMRDISRGQINRNRFDDRRTRKKRKHQTTENQSVRSAAQEFLEKAAQELFGSNDHGVKGPLRNDTSDEEDAQIGT >DRNTG_07721.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2390458:2405752:1 gene:DRNTG_07721 transcript:DRNTG_07721.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PA200 [Source:Projected from Arabidopsis thaliana (AT3G13330) UniProtKB/TrEMBL;Acc:A0A178VC52] MHLYNAWLPPSVAEETKREAESFTAAVRSVRCLWRPDDADSVYATLKWISVLELFIKAKSEVSLVDVKKLVEFGFELFYVSQDKLYAQVRWGSFLVKLLRKYGKKLALTVPWRPLYETLMCTHFKRSTGPEGWRLRQRHFETVTSLVRSCRKFFPAGSASEIWSEFRALMENPWHNSSFEGSGFIRLFLPMNSENHDYFTSDWIKECLGLWDLVPNCQFWDIQWAFVFARCIKNCKSIDWEPFLPILFSRYLNMFEVPVSNANGSYPFPLEVHRNTRFLFSSKMGSPAKAIAKSIVQLLKPGSLAQENFERLANLLEQYYHPSNGGRWSYSLERFLRHLVIAFQKRLQQEQVATDANRQPEFRLGKLERSSFVRTVLKLIDRGQYSKNDSLAETVAVATSMLAYVEPTLVLPFIASRFHLALETMTATHQLKSAVTSVAFAGRALLLASVSEPIQTDDLGATDISMDLLRISLSNALFGMDANDPPKTLATMQLISSIFSNLAKVGASEEGPAFLQSFSFSEWLDEFFCNLFSLLQHLEPSSVINEGIQTSVTSGTFLVEDSPYYFCMLEILLGKLSKPLLNQSLRKISKFISRSVLPGATAEVGLLCCACVCSNPEEAAAHLIKPILMSINSSLKGTPVTGFGGRGASEVSFSRKSTLSPALETSVEYHLKILAIAISYGGPALIQYKDELKEAIASAFQAPSWKVNGAGDHVLRSLLGSLVLYYPIDQYRPFTFQPGASVLEEWGCSKSKDNVKNEQANLLPKWHIPAPEELFFANELLDLHLVSALDDLLRICQNKIHTDTGDEKEHLKVTLLRIYSSLQGVMSCLPDMRPTCKNKGERDLDGSYFFIAGAVGSSVGSSELREKAAEDIHVACKYLLKERSDDSVLLMLIIRIMDALGNFGNLEYEEWSNHIQAWKLESAAIIEPPCNFIISSHAPGKRRPRWALIDKAYMHNLWRCSQQAYHKFRTDSSLSPSGHVIILMDDLLDLSLHNYETVRSLAGRSLSMMLKRWPSLTANCVLRFTGNLCDPKSPEHVILGSCAILASQNVLRHLTTDAASFSSFVTGLLASSHHESLKAQKAITELFVKYNIHFSGISRSFFKSLDGQTNGSGFSNLISQISSLSFDTNGLHWRYNLMANRVLLLLTLSSRTHSNLPSNVIEETAAHFLRNLKSQLPQSRMLAISALNTLLQGAPHKNKSLGLEKNLSADNLQVNSNSSLGGILSQIYKEEGFFSETLNSLSHVHIISDTDSTSKGNHGSSSFQSLADKAITFFYFDFSASWPRTPSWISLLGGDTFYSNFARIFKRLAQECGTPILQELQSTLAEFSCAKERSKQCVAAEVLAGILHSDINGLLEAWDSWIVLYFQKIIGAPSVESIPEWAACIRYAVTGKGKNGTGVPLLRQRILDCLEKPLSQTLTTNVVTKRYAFLSVVLIEISPQRMPTEELEFHYRLMDELLDNLSHPSAQVREVIGITLSVLCSNLRLSATFGQTHSINYGDSRMVESPQRDDWATVLTDRASELAMNIQSMESLADMADENGSINMEAQADVRRMETLFHFIISSLKSGRSSYLLDIIVGLLYPVISLQETSSKDLTTLAKAAFELLKWRILSRPLLEKAVSVILSSANDPNWHTRFASLTYLRTFMYRHTFTLSNSEKQQIWKNIENLLVDSQVEVREHAAGVLASLMKGGDEWLSSDFRVRAYAVAQSIQNKRRQRNRGSGQPVASVHGAVLALAASVLSVPYDMPSWLPEHVTLLARFINEPSPVKSTVTKAVAEFRRTHADTWNLQKESFTEEQLE >DRNTG_25184.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001326.1:29115:29817:-1 gene:DRNTG_25184 transcript:DRNTG_25184.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKFAKHSALPFTSSDSFSNSTFDLVHSDVWGPAPSSSLAGFSYYISFIDDFSRYTWLYLMRSRSEVYTIYSQFTQMVQTQFDKRIKFLVDKLSVYDPL >DRNTG_00597.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29833673:29835150:1 gene:DRNTG_00597 transcript:DRNTG_00597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYKPCKKACHSPGEVSGTAGRMHVGGWDCGGAKGCEGGALCRVGSAWREATEEVRGISLVFLRLLEMASEEFGFSQAGGLALSCRPCELERIISDL >DRNTG_21958.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2033446:2036422:-1 gene:DRNTG_21958 transcript:DRNTG_21958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWEGYASDELMGTFAPIVVYWLYAGMYQLLPPLDRFRLHTRKEEEQKNLVPLSSVVKGVLLQQLVQATVAQLLFLMTSKLCSTETQIQPSLPVQLMQFIVAMLVMDTWQYFVHRYMHQNKFLYRHVHSQHHKLVIPYAIGALYNHPLEGLLLDTFGGAISFLISGMTARTAVYFFCFAVVKTIDDHCGLCLPGNIFHIVFQNNTAYHDIHHQHQGTKFNYSQPFFSVWDRLLGTYMPYNLIDREEGGFEARPLKDKLI >DRNTG_07891.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11340445:11340830:1 gene:DRNTG_07891 transcript:DRNTG_07891.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRLLNQNYTTTHNGRYGNSQSPLSTLTTAVIKLWQHEFTS >DRNTG_12610.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20413236:20421230:-1 gene:DRNTG_12610 transcript:DRNTG_12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4G02570 protein [Source:Projected from Arabidopsis thaliana (AT4G02570) UniProtKB/TrEMBL;Acc:B9DGE3] MAMHERKTIDLEQGWEFMQKGITKLKNILEGLPESQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYINATVLPSLREKHDEFMLRELVKRWSNHKVMVRWLSRFFHYLDRYFIARRSLPALNEVGLTCFRNLVYQEINGKVRDAVISLIDQEREGEQIDRALLKNVLDIFVEIGLGSMDCYENDFEAAMLKDTAAYYSRKASNWILEDSCPDYMLKAEECLKREKDRVSHYLHSSSEQKLLEKVQHELLYVYASQLLEKEHSGCHALLRDDKVDDLSRMYRLFCRIPRGLDPVSLIFKQHVTAEGTALVKQAEDAASNKKAEKKDVVGLQEQVFVRKVIELHDKYLAYVNDCFQNHSLFHKALKEAFEVFCNKGVAGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQSSFEEYLNSNANANPGIDLTVTVLTTGFWPSYKTFDLNLPAEMVKCVEVFKEFYQTKTKHRKLTWIYSLGTCNINGKFEPKTMELIVTTYQASALLLFNASDRLSYSEIMAQLNLTDDDVVRLLHSLSCAKYKILNKEPNTKNISPTDYFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKDNPNTYRYLA >DRNTG_19201.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2228251:2231130:-1 gene:DRNTG_19201 transcript:DRNTG_19201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYSWLLIVLKLLPLIQPCFSSVSQYSVTQQQDVPAACNIHYPFGIPNVSTPEPMRGFEILCNSSNPSNPMMVQLDNNLVEVKSISSDGQIRVLLKTFASRCARGSLEESQDQGKEPIVNLENTPYTFSHTMNKLIVVGCDAFAIILAPDHSTVSTGCTSFCISEDSITDGVCAGVGCCQAKIPAGLKIIPLKFISIRNITGAAPKFKARPGVDPKYKNLTGDEDYGECSKVFVMDPDKFTFSRQNLSIQRQNMNEEGMEVVLDWSIGNKTCAQACFPGNSNCACVSKNSYCNNSVEGVGYRCYCSDGYRGNPYFKDGNGCKDIDECAEGQSDCESKCTNTEGGYECSCPFGTKGDGRRRPSGSGCKRLPPLDIVLGVGLASLITICIISFWSYWAFKKHKIRRGKQKYYLKNGGLLLQQHVSSQVAIVRIFKVKELELATDGFHESRILGHGGYGTVYKGILPNNQPVAIKRSKLVDESQIEPFINEVVILSQVNHRNVVKLLGCCLETQVPLLVYEFISNGTLFQHLHPVRTLATSPMNWEIRLRIAVETAAALAYLHGVASTPIIHRDVKSSNILVDENYTAKVADFGASRLVPHNRTHVTTVVQGTLGYLDPEYFHTGILTDKSDVYSFGVVLVELLTRENPVSFDRLKDDGNLIFHFVTLVEENRLIEGFDKETIEEAGIVQLLAVAQLAEKCLNVKGEQRPAMAEVAVELEALRRLANQHFVQKGKDIKTSPTTSLAFSDMSTQDSMKSMESHIPSESQSSVSHIYSSGI >DRNTG_11766.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:650576:654277:-1 gene:DRNTG_11766 transcript:DRNTG_11766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLGRLGLALWNCGVSTSPPAAAAASVSSSPAQDLDEDLPAPGLLLAQFGAGCFWGAELAFQRVSGVARTEVGYSQGHLHRPTYSDICTGSTLHAEVVRVHFDPQLCHYDRLLDVFWARHDPTTLNRQGNDVGTQYRSGIYFYNPEQEKMAKESLERHQKFLNRKIVTEILPAKKFYKAEEYHQQYLEKGGRFGLKQSAEKGCNDLIRCYG >DRNTG_11766.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:650619:654277:-1 gene:DRNTG_11766 transcript:DRNTG_11766.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLGRLGLALWNCGVSTSPPAAAAASVSSSPAQDLDEDLPAPGLLLAQFGAGCFWGAELAFQRVSGVARTEVGYSQGHLHRPTYSDICTGSTLHAEVVRVHFDPQLCHYDRLLDVFWARHDPTTLNRQGNDVGTQYRSGIYFYNPEQEKMAKESLERHQKFLNRKIVTEILPAKKFYKAEEYHQQYLEKGGRFGLKQSAEKGCNDLIRCYG >DRNTG_22969.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16356019:16358050:1 gene:DRNTG_22969 transcript:DRNTG_22969.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVGRRAERGGYGNERYEHLDFKRKVAQQYANLQDVQWKVIDGGFPVDDVENRIRELTLDFIAKCKAWKTLVNLWLYCRCHSFALVYLSRNYTSHLPF >DRNTG_07919.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6691174:6695990:1 gene:DRNTG_07919 transcript:DRNTG_07919.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRRHSVDIPLSKTLVALKRVRSLRDPGTNSMCKFTPCSEHISWETNSGIDQLLELSNPDSHGISMCQNDDLDVESEDNRSTSDSNCCSNSKRSCSTKFAATRAKLFRESYQNHTHNAFDSGAIPCAMNHLDEEVNSYNEPNFSNLLERAHDKVVSKKSACGRSKKSNFAPDVAMSRVGSPCMPMCEERANSLSRSTFGLDTEEVDIADSNNTGCGISYCWSRSMLKYSDQNLSPDVDDQERPLLSAGGGEITNREIVLCPESPRSLSQKYRPRSFKDIVGQTVISQSLLNAIFKGNVVPVYLFHGPRGAGKTSIARIFAAALNCLSLEEHRPCGFCQECLIFFTGRSRDVKELDAAKFNHKYRVKALLKSASRVPFSSRFKVFIVEDCQFLHAQTWTAIFNCLDELSQNVIFIMVTSDADKVPQNSISRCRRYHFSKLKESDIVFRLQKVCIEEGLDFDEDALDFIASKSNGSLRDAEMLLDQLGLLGKRITVSLAYELIGVVSDDELLTLLDVALSADTANTVKRARELMKSRVDPMQLISQLANLIMDILAGRRQLRCSEFCRTLVEQQTLTDVSMQPLKHALKILSETEKQLRTSKNQATWLTVALLQFSTEDSLYPRTNSLRDDGVLSTISPRESLKRCNACGYNKLSCLDKCHDKKDLETIWRKAIANCPSNDLRNFLLKHGNLSSIYIHEGVAIAEVEFLHPDYVSRAEKSWKLIGSSLQYVIDCNVQVRIQLAPGSARKNTKLKKPLFNILNCYGRRKEIPDSTTNDNETEASLRRDISADASSSHHCQQFLPFGHQLDTNSMNGSGCFHGKEAVTTRKMEENTQSCETMELGEEDAAHANVEESEIQPSCFSKLKFQRRFLSSEVAQTICLRIQPHDKLELSFRRDAIFDKDICTNMPYVFCSKSNTRIAYNGSDENGPSSDSRFNSNALCWRASKSPLQK >DRNTG_07919.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6690241:6696836:1 gene:DRNTG_07919 transcript:DRNTG_07919.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTILPLYPSLLLLLLLLLLLLPNHAKISSFFETLLCFLCLNVLKLGLGFGSSSKPTGTGIAVFSCDEVLVEIRASSKMAEGRRHSVDIPLSKTLVALKRVRSLRDPGTNSMCKFTPCSEHISWETNSGIDQLLELSNPDSHGISMCQNDDLDVESEDNRSTSDSNCCSNSKRSCSTKFAATRAKLFRESYQNHTHNAFDSGAIPCAMNHLDEEVNSYNEPNFSNLLERAHDKVVSKKSACGRSKKSNFAPDVAMSRVGSPCMPMCEERANSLSRSTFGLDTEEVDIADSNNTGCGISYCWSRSMLKYSDQNLSPDVDDQERPLLSAGGGEITNREIVLCPESPRSLSQKYRPRSFKDIVGQTVISQSLLNAIFKGNVVPVYLFHGPRGAGKTSIARIFAAALNCLSLEEHRPCGFCQECLIFFTGRSRDVKELDAAKFNHKYRVKALLKSASRVPFSSRFKVFIVEDCQFLHAQTWTAIFNCLDELSQNVIFIMVTSDADKVPQNSISRCRRYHFSKLKESDIVFRLQKVCIEEGLDFDEDALDFIASKSNGSLRDAEMLLDQLGLLGKRITVSLAYELIGVVSDDELLTLLDVALSADTANTVKRARELMKSRVDPMQLISQLANLIMDILAGRRQLRCSEFCRTLVEQQTLTDVSMQPLKHALKILSETEKQLRTSKNQATWLTVALLQFSTEDSLYPRTNSLRDDGVLSTISPRESLKRCNACGYNKLSCLDKCHDKKDLETIWRKAIANCPSNDLRNFLLKHGNLSSIYIHEGVAIAEVEFLHPDYVSRAEKSWKLIGSSLQYVIDCNVQVRIQLAPGSARKNTKLKKPLFNILNCYGRRKEIPDSTTNDNETEASLRRDISADASSSHHCQQFLPFGHQLDTNSMNGSGCFHGKEAVTTRKMEENTQSCETMELGEEDAAHANVEESEIQPSCFSKLKFQRRFLSSEVAQTICLRIQPHDKLELSFRRDAIFDKDICTNMPYVFCSKSNTRIAYNGSDENGPSSDSRFNSNALCWRASKSPLQKDSPQRRHQRGSHLVGWFLPCMAAEQKSQAEHHA >DRNTG_07919.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6690241:6695701:1 gene:DRNTG_07919 transcript:DRNTG_07919.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTILPLYPSLLLLLLLLLLLLPNHAKISSFFETLLCFLCLNVLKLGLGFGSSSKPTGTGIAVFSCDEVLVEIRASSKMAEGRRHSVDIPLSKTLVALKRVRSLRDPGTNSMCKFTPCSEHISWETNSGIDQLLELSNPDSHGISMCQNDDLDVESEDNRSTSDSNCCSNSKRSCSTKFAATRAKLFRESYQNHTHNAFDSGAIPCAMNHLDEEVNSYNEPNFSNLLERAHDKVVSKKSACGRSKKSNFAPDVAMSRVGSPCMPMCEERANSLSRSTFGLDTEEVDIADSNNTGCGISYCWSRSMLKYSDQNLSPDVDDQERPLLSAGGGEITNREIVLCPESPRSLSQKYRPRSFKDIVGQTVISQSLLNAIFKGNVVPVYLFHGPRGAGKTSIARIFAAALNCLSLEEHRPCGFCQECLIFFTGRSRDVKELDAAKFNHKYRVKALLKSASRVPFSSRFKVFIVEDCQFLHAQTWTAIFNCLDELSQNVIFIMVTSDADKVPQNSISRCRRYHFSKLKESDIVFRLQKVCIEEGLDFDEDALDFIASKSNGSLRDAEMLLDQLGLLGKRITVSLAYELIGVVSDDELLTLLDVALSADTANTVKRARELMKSRVDPMQLISQLANLIMDILAGRRQLRCSEFCRTLVEQQTLTDVSMQPLKHALKILSETEKQLRTSKNQATWLTVALLQFSTEDSLYPRTNSLRDDGVLSTISPRESLKRCNACGYNKLSCLDKCHDKKDLETIWRKAIANCPSNDLRNFLLKHGNLSSIYIHEGVAIAEVEFLHPDYVSRAEKSWKLIGSSLQYVIDCNVQVRIQLAPGSARKNTKLKKPLFNILNCYGRRKEIPDSTTNDNETEASLRRDISADASSSHHCQQFLPFGHQLDTNSMNGSGCFHGKEAVTTRKMEENTQSCETMELGEEDAAHANVEESEIQPSCFSKLKFQRRFLSSEVAQTICLRIQPHDKLELSFRRDAIFDKDICTNMPYVFCSKSNTRIAYNGSDENG >DRNTG_29446.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001479.1:7576:10016:-1 gene:DRNTG_29446 transcript:DRNTG_29446.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g33760 [Source:Projected from Arabidopsis thaliana (AT2G33760) UniProtKB/Swiss-Prot;Acc:P93011] MSRDYQLVPRVEHHVCMVDMFGRAGLLDEAMRFIRERIQVEPGAAVWTAMLGACKMHKDFDLGVEVAERLLAIEPENPSHYVLLSNIYALSGRMDRVEKVRNVMIRQRLQKQTGYSLIEIDNVPHVFRMGDTSHPQTMQIYNYLEELMHRIRNAGYMPETDSVLHELEEEEREYALRFHSEKLAIAFGLMNTGDDVVIRIVKNLRICGDCHLAIKFMSVIARREIIVRDKHRFHHFKDGECSCKDYCSNLALISRILFVFCSISLDGGNEDEDLIGNGHRAPFAACLCRGYRAGGRCSCAGAEHGERSGCGDPWDLGAFASFRGVFLCPLLRSLRSFSSLIDEFEASIEHRSD >DRNTG_01644.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:16855074:16858470:1 gene:DRNTG_01644 transcript:DRNTG_01644.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMCLVYCFQDYVYLISFSEENPLQVFIHDLLLHQQFRKHVVITDYVTETPAKLFASHCDPGDLSVILEVVSFHFLLSVCDERASLRFIQSLSWSTGFLETTELGVSATLALFGIPVMFTAPYILQAHLILLASKCIGLLMPNDDGKPDDATFESYMSAFELSVNLYGRYMSVLESTELPSRDEVATSSLKEPNFGSYIKLSTKDKINVQIQNLVDFCETHLSGFLSGNMDQFLNDSFSYISENQQIIDLSCREKGSLMLNCLVSNILSKQTAMNKMPRKDGRILQVECCLAAVLKLMSSSLLKILWFLKQNSCLGGKRTPTDNHCSEYKFVTSVIHSFGKYNAHRYVKNILFNECDALTERHKEIELLFEHLSSLALYSFTRRLTFLWNGCIFMMMTITNLFQFEKDKFVALSQLLGYTHENSKTQFSAPKSLQDKVLQSSSLHIASNLQTLRKLYLRNKLNSSPCGDECMDIGQSRKDGEQDEDADNTNVPGVGEHADEADVCNGRGFLDCLSGYQNGPSEWEGLVDFIECKPGKDYSNWLRSRKVFRKWQNGRIAVRRKWRRKVKRRRKIF >DRNTG_01644.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:16854387:16858470:1 gene:DRNTG_01644 transcript:DRNTG_01644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFIHDLLLHQQFRKHVVITDYVTETPAKLFASHCDPGDLSVILEVVSFHFLLSVCDERASLRFIQSLSWSTGFLETTELGVSATLALFGIPVMFTAPYILQAHLILLASKCIGLLMPNDDGKPDDATFESYMSAFELSVNLYGRYMSVLESTELPSRDEVATSSLKEPNFGSYIKLSTKDKINVQIQNLVDFCETHLSGFLSGNMDQFLNDSFSYISENQQIIDLSCREKGSLMLNCLVSNILSKQTAMNKMPRKDGRILQVECCLAAVLKLMSSSLLKILWFLKQNSCLGGKRTPTDNHCSEYKFVTSVIHSFGKYNAHRYVKNILFNECDALTERHKEIELLFEHLSSLALYSFTRRLTFLWNGCIFMMMTITNLFQFEKDKFVALSQLLGYTHENSKTQFSAPKSLQDKVLQSSSLHIASNLQTLRKLYLRNKLNSSPCGDECMDIGQSRKDGEQDEDADNTNVPGVGEHADEADVCNGRGFLDCLSGYQNGPSEWEGLVDFIECKPGKDYSNWLRSRKVFRKWQNGRIAVRRKWRRKVKRRRKIF >DRNTG_16772.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22191035:22201554:1 gene:DRNTG_16772 transcript:DRNTG_16772.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESVRGVNVPMYTTLRRTTVVFTMTMEYLLTRQKYSFPIFGSVALIVFGAFVAGARDLSFDAYGYAIVFVANITTAIYLATIARVGKSSGLNSFGLMWCNGLVCGPILLFWTFIRGDLQSTINFPYLYSPGFQIVMLSSCIMAFFLNYSIFLNTTLNSAVTQTMCGNLKDLFTVGLGWILFGGLPFDLLNVIGQVLGFLGSGLYAYCKLKGK >DRNTG_16772.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22191938:22201554:1 gene:DRNTG_16772 transcript:DRNTG_16772.6 gene_biotype:protein_coding transcript_biotype:protein_coding MESVRGVNVPMYTTLRRTTVVFTMTMEYLLTRQKYSFPIFGSVALIVFGAFVAGARDLSFDAYGYAIVFVANITTAIYLATIARVGKSSGLNSFGLMWCNGLVCGPILLFWTFIRGDLQSTINFPYLYSPGFQIVMLSSCIMAFFLNYSIFLNTTLNSAVTQTMCGNLKDLFTVGLGWILFGGLPFDLLNVIGQVLGFLGSGLYAYCKLKGK >DRNTG_16772.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22194647:22201554:1 gene:DRNTG_16772 transcript:DRNTG_16772.7 gene_biotype:protein_coding transcript_biotype:protein_coding MICSTALLYAMKRWKIISFTVGEPWASDSGSLLPFRTILQTLPLSLSYLLYMLASMESVRGVNVPMYTTLRRTTVVFTMTMEYLLTRQKYSFPIFGSVALIVFGAFVAGARDLSFDAYGYAIVFVANITTAIYLATIARVGKSSGLNSFGLMWCNGLVCGPILLFWTFIRGDLQSTINFPYLYSPGFQIVMLSSCIMAFFLNYSIFLNTTLNSAVTQTMCGNLKDLFTVGLGWILFGGLPFDLLNVIGQVLGFLGSGLYAYCKLKGK >DRNTG_16772.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22191035:22201554:1 gene:DRNTG_16772 transcript:DRNTG_16772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLAPASKGMLLPVSNSARGAADESLFKGSAMTKRGAYAALAYMSCAVLLVLFNKAALSLYSFPFANVITLFQMICSTALLYAMKRWKIISFTVGEPWASDSGSLLPFRTILQTLPLSLSYLLYMLASMESVRGVNVPMYTTLRRTTVVFTMTMEYLLTRQKYSFPIFGSVALIVFGAFVAGARDLSFDAYGYAIVFVANITTAIYLATIARVGKSSGLNSFGLMWCNGLVCGPILLFWTFIRGDLQSTINFPYLYSPGFQIVMLSSCIMAFFLNYSIFLNTTLNSAVTQTMCGNLKDLFTVGLGWILFGGLPFDLLNVIGQVLGFLGSGLYAYCKLKGK >DRNTG_19936.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18985472:18988666:-1 gene:DRNTG_19936 transcript:DRNTG_19936.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFFSPVLGVLCWVFLLGCANGIGANWGTQTSHPLPPSQVVQMLRDNGFQKVKLFDAEEATLNALKKTGIQVMVGIPNDMLFTLASNFKAAEKWVSKNVSGFVSDGVDIRYVAVGNEPFLQTYNGSFLQTTFPALQNIQAALIKAGLSKQVKVTVPLNADVYQSPSGKPSDGDFRDDIRQPMLSIVKFLSDNGAPFTVNIYPFISLYSDANFPVDYAFFDGSSSGVVDGNAAYGNMFDANHDTLIWALQKNGFGNVPVIVGEIGWPTDGDMNANLQYAQKFNQGFMNHISSGRGTPMRPGSIDAYLFSLIDEDEKSIQPGNFERHWGIFSYDGLPKYQLNLKTTNTGALVRVRNVKYLDKQWCVLKPSVSLNNPKVADSISYACGNADCTSLGYKTSCGDMDSRGNISYAFNSYYQKSDQEDVACGFDGLATITDMDPSSASCRFKIMIDVDSGTTSIRPLHQFREQSLGFLFVFFCIALLF >DRNTG_19936.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18985045:18988666:-1 gene:DRNTG_19936 transcript:DRNTG_19936.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFFSPVLGVLCWVFLLGCANGIGANWGTQTSHPLPPSQVVQMLRDNGFQKVKLFDAEEATLNALKKTGIQVMVGIPNDMLFTLASNFKAAEKWVSKNVSGFVSDGVDIRYVAVGNEPFLQTYNGSFLQTTFPALQNIQAALIKAGLSKQVKVTVPLNADVYQSPSGKPSDGDFRDDIRQPMLSIVKFLSDNGAPFTVNIYPFISLYSDANFPVDYAFFDGSSSGVVDGNAAYGNMFDANHDTLIWALQKNGFGNVPVIVGEIGWPTDGDMNANLQYAQKFNQGFMNHISSGRGTPMRPGSIDAYLFSLIDEDEKSIQPGNFERHWGIFSYDGLPKYQLNLKTTNTGALVRVRNVKYLDKQWCVLKPSVSLNNPKVADSISYACGNADCTSLGYKTSCGDMDSRGNISYAFNSYYQKSDQEDVACGFDGLATITDMDPSSASCRFKIMIDVDSGTTSIRPLHQFREQSLGFLFVFFCIALLF >DRNTG_19936.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18985045:18988946:-1 gene:DRNTG_19936 transcript:DRNTG_19936.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFFSPVLGVLCWVFLLGCANGIGANWGTQTSHPLPPSQVVQMLRDNGFQKVKLFDAEEATLNALKKTGIQVMVGIPNDMLFTLASNFKAAEKWVSKNVSGFVSDGVDIRYVAVGNEPFLQTYNGSFLQTTFPALQNIQAALIKAGLSKQVKVTVPLNADVYQSPSGKPSDGDFRDDIRQPMLSIVKFLSDNGAPFTVNIYPFISLYSDANFPVDYAFFDGSSSGVVDGNAAYGNMFDANHDTLIWALQKNGFGNVPVIVGEIGWPTDGDMNANLQYAQKFNQGFMNHISSGRGTPMRPGSIDAYLFSLIDEDEKSIQPGNFERHWGIFSYDGLPKYQLNLKTTNTGALVRVRNVKYLDKQWCVLKPSVSLNNPKVADSISYACGNADCTSLGYKTSCGDMDSRGNISYAFNSYYQKSDQEDVACGFDGLATITDMDPSSASCRFKIMIDVDSGTTSIRPLHQFREQSLGFLFVFFCIALLF >DRNTG_19936.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18985045:18988666:-1 gene:DRNTG_19936 transcript:DRNTG_19936.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIVKFLSDNGAPFTVNIYPFISLYSDANFPVDYAFFDGSSSGVVDGNAAYGNMFDANHDTLIWALQKNGFGNVPVIVGEIGWPTDGDMNANLQYAQKFNQGFMNHISSGRGTPMRPGSIDAYLFSLIDEDEKSIQPGNFERHWGIFSYDGLPKYQLNLKTTNTGALVRVRNVKYLDKQWCVLKPSVSLNNPKVADSISYACGNADCTSLGYKTSCGDMDSRGNISYAFNSYYQKSDQEDVACGFDGLATITDMDPSSASCRFKIMIDVDSGTTSIRPLHQFREQSLGFLFVFFCIALLF >DRNTG_19936.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18985045:18988946:-1 gene:DRNTG_19936 transcript:DRNTG_19936.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIVKFLSDNGAPFTVNIYPFISLYSDANFPVDYAFFDGSSSGVVDGNAAYGNMFDANHDTLIWALQKNGFGNVPVIVGEIGWPTDGDMNANLQYAQKFNQGFMNHISSGRGTPMRPGSIDAYLFSLIDEDEKSIQPGNFERHWGIFSYDGLPKYQLNLKTTNTGALVRVRNVKYLDKQWCVLKPSVSLNNPKVADSISYACGNADCTSLGYKTSCGDMDSRGNISYAFNSYYQKSDQEDVACGFDGLATITDMDPSSASCRFKIMIDVDSGTTSIRPLHQFREQSLGFLFVFFCIALLF >DRNTG_19936.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18985472:18988946:-1 gene:DRNTG_19936 transcript:DRNTG_19936.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFFSPVLGVLCWVFLLGCANGIGANWGTQTSHPLPPSQVVQMLRDNGFQKVKLFDAEEATLNALKKTGIQVMVGIPNDMLFTLASNFKAAEKWVSKNVSGFVSDGVDIRYVAVGNEPFLQTYNGSFLQTTFPALQNIQAALIKAGLSKQVKVTVPLNADVYQSPSGKPSDGDFRDDIRQPMLSIVKFLSDNGAPFTVNIYPFISLYSDANFPVDYAFFDGSSSGVVDGNAAYGNMFDANHDTLIWALQKNGFGNVPVIVGEIGWPTDGDMNANLQYAQKFNQGFMNHISSGRGTPMRPGSIDAYLFSLIDEDEKSIQPGNFERHWGIFSYDGLPKYQLNLKTTNTGALVRVRNVKYLDKQWCVLKPSVSLNNPKVADSISYACGNADCTSLGYKTSCGDMDSRGNISYAFNSYYQKSDQEDVACGFDGLATITDMDPSSASCRFKIMIDVDSGTTSIRPLHQFREQSLGFLFVFFCIALLF >DRNTG_19936.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18985472:18988666:-1 gene:DRNTG_19936 transcript:DRNTG_19936.11 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIVKFLSDNGAPFTVNIYPFISLYSDANFPVDYAFFDGSSSGVVDGNAAYGNMFDANHDTLIWALQKNGFGNVPVIVGEIGWPTDGDMNANLQYAQKFNQGFMNHISSGRGTPMRPGSIDAYLFSLIDEDEKSIQPGNFERHWGIFSYDGLPKYQLNLKTTNTGALVRVRNVKYLDKQWCVLKPSVSLNNPKVADSISYACGNADCTSLGYKTSCGDMDSRGNISYAFNSYYQKSDQEDVACGFDGLATITDMDPSSASCRFKIMIDVDSGTTSIRPLHQFREQSLGFLFVFFCIALLF >DRNTG_19936.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18985443:18988946:-1 gene:DRNTG_19936 transcript:DRNTG_19936.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFFSPVLGVLCWVFLLGCANGIGANWGTQTSHPLPPSQVVQMLRDNGFQKVKLFDAEEATLNALKKTGIQVMVGIPNDMLFTLASNFKAAEKWVSKNVSGFVSDGVDIRYVAVGNEPFLQTYNGSFLQTTFPALQNIQAALIKAGLSKQVKVTVPLNADVYQSPSGKPSDGDFRDDIRQPMLSIVKFLSDNGAPFTVNIYPFISLYSDANFPVDYAFFDGSSSGVVDGNAAYGNMFDANHDTLIWALQKNGFGNVPVIVGEIGWPTDGDMNANLQYAQKFNQGFMNHISSGRGTPMRPGSIDAYLFSLIDEDEKSIQPGNFERHWGIFSYDGLPKYQLNLKTTNTGALVRVRNVKYLDKQWCVLKPSVSLNNPKVADSISYACGNADCTSLGYKTSCGDMDSRGNISYAFNSYYQKSDQEDVACGFDGLATITDMDPSSASCRFKIMIDVDSGTTSIRPLHQFREQSLGFLFVFFCIALLF >DRNTG_19936.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18985443:18988666:-1 gene:DRNTG_19936 transcript:DRNTG_19936.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFFSPVLGVLCWVFLLGCANGIGANWGTQTSHPLPPSQVVQMLRDNGFQKVKLFDAEEATLNALKKTGIQVMVGIPNDMLFTLASNFKAAEKWVSKNVSGFVSDGVDIRYVAVGNEPFLQTYNGSFLQTTFPALQNIQAALIKAGLSKQVKVTVPLNADVYQSPSGKPSDGDFRDDIRQPMLSIVKFLSDNGAPFTVNIYPFISLYSDANFPVDYAFFDGSSSGVVDGNAAYGNMFDANHDTLIWALQKNGFGNVPVIVGEIGWPTDGDMNANLQYAQKFNQGFMNHISSGRGTPMRPGSIDAYLFSLIDEDEKSIQPGNFERHWGIFSYDGLPKYQLNLKTTNTGALVRVRNVKYLDKQWCVLKPSVSLNNPKVADSISYACGNADCTSLGYKTSCGDMDSRGNISYAFNSYYQKSDQEDVACGFDGLATITDMDPSSASCRFKIMIDVDSGTTSIRPLHQFREQSLGFLFVFFCIALLF >DRNTG_19936.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18985443:18988666:-1 gene:DRNTG_19936 transcript:DRNTG_19936.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIVKFLSDNGAPFTVNIYPFISLYSDANFPVDYAFFDGSSSGVVDGNAAYGNMFDANHDTLIWALQKNGFGNVPVIVGEIGWPTDGDMNANLQYAQKFNQGFMNHISSGRGTPMRPGSIDAYLFSLIDEDEKSIQPGNFERHWGIFSYDGLPKYQLNLKTTNTGALVRVRNVKYLDKQWCVLKPSVSLNNPKVADSISYACGNADCTSLGYKTSCGDMDSRGNISYAFNSYYQKSDQEDVACGFDGLATITDMDPSSASCRFKIMIDVDSGTTSIRPLHQFREQSLGFLFVFFCIALLF >DRNTG_19936.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18985443:18988946:-1 gene:DRNTG_19936 transcript:DRNTG_19936.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIVKFLSDNGAPFTVNIYPFISLYSDANFPVDYAFFDGSSSGVVDGNAAYGNMFDANHDTLIWALQKNGFGNVPVIVGEIGWPTDGDMNANLQYAQKFNQGFMNHISSGRGTPMRPGSIDAYLFSLIDEDEKSIQPGNFERHWGIFSYDGLPKYQLNLKTTNTGALVRVRNVKYLDKQWCVLKPSVSLNNPKVADSISYACGNADCTSLGYKTSCGDMDSRGNISYAFNSYYQKSDQEDVACGFDGLATITDMDPSSASCRFKIMIDVDSGTTSIRPLHQFREQSLGFLFVFFCIALLF >DRNTG_02137.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28857537:28862647:1 gene:DRNTG_02137 transcript:DRNTG_02137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLICNYKNITTNMNDLRRKCHALKYSVQKKKKQGYRFTIKIHMHNYICKTNQRFRQLNQKVAHT >DRNTG_02137.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28859954:28862647:1 gene:DRNTG_02137 transcript:DRNTG_02137.2 gene_biotype:protein_coding transcript_biotype:protein_coding YRNTNKIIAINIIQVWALAALQKYSTTLNRPKPGIQIEYKSHSQEAIARSKTSKFNYSNQTTTTEIRPRTTRQT >DRNTG_27654.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:13619434:13621245:1 gene:DRNTG_27654 transcript:DRNTG_27654.1 gene_biotype:protein_coding transcript_biotype:protein_coding QNARKTNTSEKPSRRSSMEPNENRENSTRESSRRLPA >DRNTG_27654.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:13620221:13621245:1 gene:DRNTG_27654 transcript:DRNTG_27654.2 gene_biotype:protein_coding transcript_biotype:protein_coding QNARKTNTSEKPSRRSSMEPNENRENSTRESSRRLPA >DRNTG_26167.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29239889:29243286:1 gene:DRNTG_26167 transcript:DRNTG_26167.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVERESSDRGEDEDEDEDEDNENGDDEDDDNMDDETEAVTDSEAMAGRGKSASLASMVISEPSELMQLDMSEEIRLGSPDECSDNLDSELQILGKHYDHQNQSGTYQAWQQLHEDLCNSLVQLSGTPQIEEIAQEDANYSETVVTILNHNSSRVTGYGVMGYLAHSKQSAFREWKCNSEHRYTCLSSVTGRSQSLLKYILFNVPKLHMRPGGSRLLKGTQQEELSANHVLAERRRREKLNERFIILRSLVPCVTKMDKASILGDTIEYVKQLRKRIQDLESRNKKMASDWRSKEHNVQVQANSSSSHSSVLPEKRKLRVMEVEAGNGKSARVVASTGTNLQVSFSGTDALLNFQCAYRDGLMFKIMQTLDDLQLEVTSVQSSVTDGVFAAEMRAKVRDREMNGKKASILEVKKAMHQIFNQY >DRNTG_26167.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29239889:29243286:1 gene:DRNTG_26167 transcript:DRNTG_26167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPSSSHDHHLLHSLQLSVQSLQWTYSLFWHLNPQQGILEWGDGYYNGTIKTRKTVQPMEVTTEDAALQRSRQLRELYDALSAGETNPPARRPCAALSPEDLTETEWFYLMCVSFSFPPGLGLPGKSFGSLRHVWLTRANETDSKTFSRAILAKSAGIQTVVCIPIGCGVVELGTTELVEEDLGLIQHVKKIFLDNPISNLEQQQQQPLFNFQDLTIVPPMSVERESSDRGEDEDEDEDEDNENGDDEDDDNMDDETEAVTDSEAMAGRGKSASLASMVISEPSELMQLDMSEEIRLGSPDECSDNLDSELQILGKHYDHQNQSGTYQAWQQLHEDLCNSLVQLSGTPQIEEIAQEDANYSETVVTILNHNSSRVTGYGVMGYLAHSKQSAFREWKCNSEHRYTCLSSVTGRSQSLLKYILFNVPKLHMRPGGSRLLKGTQQEELSANHVLAERRRREKLNERFIILRSLVPCVTKMDKASILGDTIEYVKQLRKRIQDLESRNKKMASDWRSKEHNVQVQANSSSSHSSVLPEKRKLRVMEVEAGNGKSARVVASTGTNLQVSFSGTDALLNFQCAYRDGLMFKIMQTLDDLQLEVTSVQSSVTDGVFAAEMRAKVRDREMNGKKASILEVKKAMHQIFNQY >DRNTG_26167.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29239889:29243286:1 gene:DRNTG_26167 transcript:DRNTG_26167.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVERESSDRGEDEDEDEDEDNENGDDEDDDNMDDETEAVTDSEAMAGRGKSASLASMVISEPSELMQLDMSEEIRLGSPDECSDNLDSELQILGKHYDHQNQSGTYQAWQQLHEDLCNSLVQLSGTPQIEEIAQEDANYSETVVTILNHNSSRVTGYGVMGYLAHSKQSAFREWKCNSEHRYTCLSSVTGRSQSLLKYILFNVPKLHMRPGGSRLLKGTQQEELSANHVLAERRRREKLNERFIILRSLVPCVTKMDKASILGDTIEYVKQLRKRIQDLESRNKKMASDWRSKEHNVQVQANSSSSHSSVLPEKRKLRVMEVEAGNGKSARVVASTGTNLQVSFSGTDALLNFQCAYRDGLMFKIMQTLDDLQLEVTSVQSSVTDGVFAAEMRAKVRDREMNGKKASILEVKKAMHQIFNQY >DRNTG_11583.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:12589205:12688807:-1 gene:DRNTG_11583 transcript:DRNTG_11583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGKGDALTRLPTRTLNPSVTPLDSHVDGLVESPLSSKGPFVRPRIHLARSVLTKALLLTFFETKCNVRATGLIRL >DRNTG_16926.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21997586:21998671:1 gene:DRNTG_16926 transcript:DRNTG_16926.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTSPDGPGATTRKGAFHVDRQNSEVSYYADDEDGSHKKYTRRGNSTNLLLIIRL >DRNTG_16926.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21997961:21999049:1 gene:DRNTG_16926 transcript:DRNTG_16926.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTSPDGPGATTRKGAFHVDRQNSEVSYYADDEDGSHKKYTRRGPFRHKLLRMLLPFLVKMHCQLYRLLHLHEKIVLLKLMV >DRNTG_16926.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21997586:21999049:1 gene:DRNTG_16926 transcript:DRNTG_16926.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTSPDGPGATTRKGAFHVDRQNSEVSYYADDEDGSHKKYTRRGPFRHKLLRMLLPFLVKMHCQLYRLLHLHEKIVLLKLMV >DRNTG_16926.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21997586:21999570:1 gene:DRNTG_16926 transcript:DRNTG_16926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTSPDGPGATTRKGAFHVDRQNSEVSYYADDEDGSHKKYTRRGPFRHKLLRMLLPFLVKMHCQLYRLLHLHEKIVLLKLMV >DRNTG_11924.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:993191:996355:1 gene:DRNTG_11924 transcript:DRNTG_11924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHDELIWQVIRHNHCSFMAKITTGNFCKNPYNATGICNRSSCPLANSRYATIRDHEGVFYLYMKTIERAHMPNKLWERVKLPRNYEKALETIDKHLEYWPKLLVHKIKQRLTKMTQYRIRMRKLELKVREKIMTVPRKVKKREARREEKAEKAAILDKSIEKELLERLKKGVYGDIYNYPVQAYTNVLEMEGLQPSAEEEKEDEEEPEIEYVEGYDELEEEEDMEDFGRFVEDDDYDMNEDDDDDDDEMDKSDQPVLKKMRQGNNDNDLAGKKSKKKGKVLIEVEHDDDMASRQKSLM >DRNTG_03839.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15759669:15777685:1 gene:DRNTG_03839 transcript:DRNTG_03839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTCGLISTSEFSGDDDVAEIPVFGEHHNATASYSMKTSLQYNVVAYSTFYRKYSVILEADRSQIPIVSLVDSTIPLGSYKRITYPIPANDPIQFVYLFRHSITKTVILERGRIVAMKETAGEERTHR >DRNTG_11116.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30545237:30546265:-1 gene:DRNTG_11116 transcript:DRNTG_11116.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPRCISDPTGDTLQKWIEEMAGYIKTVDKNHLVTVGLEGFYGPRNTPEKLSINPSKWYDSTGTDFIRNSKTPAIDFASVHIYADQWLIGAELEEKMKYINKWIISHIEDGNKELKKPVLLTEFGLSDKNKNFDHSHRDKLYKSIFDIMYKSARENGAGAGALIWQLMVQGMEKYTDDYVIVPGDRPFIDKLVKKQSCRLLALQDAKQMRTHKQNVDQICS >DRNTG_11116.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30545237:30547021:-1 gene:DRNTG_11116 transcript:DRNTG_11116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWRIEGFPFGFKAMLFSSNGVLYPTLGLVFCVTFIYMSSKENFFQIVVNSQMSSFVMRNGTQFMVDGKPFYVNGWNSYWLMDHAVEDSSRPRIKAMFQAGAKMGLTVCRAWAFNDGTHNALQVSLSHFDERVFKALDYVIMEAGRYKIKLLLSLVNNLDAYGGKPQYVRWAIKDGISFNSSEDSFFFDPVIRSYFKIYLKTVITRKNHLTGIEYRDDPTIFAWELMNEPRCISDPTGDTLQKWIEEMAGYIKTVDKNHLVTVGLEGFYGPRNTPEKLSINPSKWYDSTGTDFIRNSKTPAIDFASVHIYADQWLIGAELEEKMKYINKWIISHIEDGNKELKKPVLLTEFGLSDKNKNFDHSHRDKLYKSIFDIMYKSARENGAGAGALIWQLMVQGMEKYTDDYVIVPGDRPFIDKLVKKQSCRLLALQDAKQMRTHKQNVDQICS >DRNTG_00041.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21668306:21670087:1 gene:DRNTG_00041 transcript:DRNTG_00041.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCQKKSINPMTSLEGRWSLNGATALVTGGTKGIGHAIVEELAKFGAFVYTCSRNEAELAECLKQWEDKKFKVTGSVCDVSSRAEREKLMENVFTVFQGKLDILIHNVGTAVWKPTVECTAEDYSLMMTTNFESALHLSQLAHPMLKTSVSGSIVFISTIGTHLVYEGLAIYSASKGAMNQLTKHLACEWAKDNIRVNCVGPSAIKTPLTEKKLGLGNAFMMNETSRTPLGRLGEPQDVASVVAFLCLPAASYVTGQIINVDGGRTQTS >DRNTG_00041.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21667094:21670087:1 gene:DRNTG_00041 transcript:DRNTG_00041.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCQKKSINPMTSLEGRWSLNGATALVTGGTKGIGHAIVEELAKFGAFVYTCSRNEAELAECLKQWEDKKFKVTGSVCDVSSRAEREKLMENVFTVFQGKLDILIHNVGTAVWKPTVECTAEDYSLMMTTNFESALHLSQLAHPMLKTSVSGSIVFISTIGTHLVYEGLAIYSASKGAMNQLTKHLACEWAKDNIRVNCVGPSAIKTPLTEKKLGLGNAFMMNETSRTPLGRLGEPQDVASVVAFLCLPAASYVTGQIINVDGGRTQTS >DRNTG_00041.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21667094:21670087:1 gene:DRNTG_00041 transcript:DRNTG_00041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCQKKSINPMTSLEGRWSLNGATALVTGGTKGIGHAIVEELAKFGAFVYTCSRNEAELAECLKQWEDKKFKVTGSVCDVSSRAEREKLMENVFTVFQGKLDILIHNVGTAVWKPTVECTAEDYSLMMTTNFESALHLSQLAHPMLKTSVSGSIVFISTIGTHLVYEGLAIYSASKGAMNQLTKHLACEWAKDNIRVNCVGPSAIKTPLTEKKLGLGNAFMMNETSRTPLGRLGEPQDVASVVAFLCLPAASYVTGQIINVDGGRTQTS >DRNTG_11201.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2266389:2271933:1 gene:DRNTG_11201 transcript:DRNTG_11201.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHSEPTLISSSLDGMCKVWNIISGKMVHNHIFPVSITSIAMDPGEQTLLTGCEDGKIYMTAIIVGLEEDQTVIPGDESGICSKHKGAITALKFSLEGKLLFSASKDHLICIWDASNWQLIRSFNYGKGHITNMVIVPKSSLSMFGNKRNLPRLRISILDKAPQQNDAAEGTPTLLQVHCPQEDNLRFKSSPLLKKQILDLEQDRTPEAIQMKVETAIENRLWTIGMTKHVMSINRQLQLRLVDMMEQRLCLDAQKSRKKGRANIPDDRKDPSNP >DRNTG_11201.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2266389:2271933:1 gene:DRNTG_11201 transcript:DRNTG_11201.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSAWVVCSLSNAIQRKGRVEKDALMAERKEVLVVCGDNMSTGIRTWDVETGEELLRIPTCASPPHGLLCVRDTFLVASQLQTHRPYGGGAIFFWALNKPRAPHRSYPMEEIGPIVCSKDGIYLVGGALSGNTYIWEVTSGRLLKHWHAHHGSLICLAFSPDDSLLISGSEDGVICVWHMISLLDIADLPACGNLAPLHILSEHVSSITSLLPMSSHSEPTLISSSLDGMCKVWNIISGKMVHNHIFPVSITSIAMDPGEQTLLTGCEDGKIYMTAIIVGLEEDQTVIPGDESGICSKHKGAITALKFSLEGKLLFSASKDHLICIWDASNWQLIRSFNYGKGILQFFFVEKNIADKLAAVQQVT >DRNTG_11201.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2266389:2271933:1 gene:DRNTG_11201 transcript:DRNTG_11201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIGPIVCSKDGIYLVGGALSGNTYIWEVTSGRLLKHWHAHHGSLICLAFSPDDSLLISGSEDGVICVWHMISLLDIADLPACGNLAPLHILSEHVSSITSLLPMSSHSEPTLISSSLDGMCKVWNIISGKMVHNHIFPVSITSIAMDPGEQTLLTGCEDGKIYMTAIIVGLEEDQTVIPGDESGICSKHKGAITALKFSLEGKLLFSASKDHLICIWDASNWQLIRSFNYGKGHITNMVIVPKSSLSMFGNKRNLPRLRISILDKAPQQNDAAEGTPTLLQVHCPQEDNLRFKSSPLLKKQILDLEQDRTPEAIQMKVETAIENRLWTIGMTKHVMSINRQLQLRLVDMMEQRLCLDAQKSRKKGRANIPDDRKDPSNP >DRNTG_11201.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2266389:2271933:1 gene:DRNTG_11201 transcript:DRNTG_11201.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIGPIVCSKDGIYLVGGALSGNTYIWEVTSGRLLKHWHAHHGSLICLAFSPDDSLLISGSEDGVICVWHMISLLDIADLPACGNLAPLHILSEHVSSITSLLPMSSHSEPTLISSSLDGMCKVWNIISGKMVHNHIFPVSITSIAMDPGEQTLLTGCEDGKIYMTAIIVGLEEDQTVIPGDESGICSKHKGAITALKFSLEGKLLFSASKDHLICIWDASNWQLIRSFNYGKGHITNMVIVPKSSLSMFGNKRNLPRLRISILDKAPQQNDAAEGTPTLLQVHCPQEDNLRFKSSPLLKKQILDLEQDRTPEAIQMKVETAIENRLWTIGMTKHVMSINRQLQLRLVDMMEQRLCLDAQKSRKKGRANIPDDRKDPSNP >DRNTG_11201.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2266389:2271933:1 gene:DRNTG_11201 transcript:DRNTG_11201.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIGPIVCSKDGIYLVGGALSGNTYIWEVTSGRLLKHWHAHHGSLICLAFSPDDSLLISGSEDGVICVWHMISLLDIADLPACGNLAPLHILSEHVSSITSLLPMSSHSEPTLISSSLDGMCKVWNIISGKMVHNHIFPVSITSIAMDPGEQTLLTGCEDGKIYMTAIIVGLEEDQTVIPGDESGICSKHKGAITALKFSLEGKLLFSASKDHLICIWDASNWQLIRSFNYGKGILQFFFVEKNIADKLAAVQQVT >DRNTG_11201.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2269501:2271933:1 gene:DRNTG_11201 transcript:DRNTG_11201.6 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLDIADLPACGNLAPLHILSEHVSSITSLLPMSSHSEPTLISSSLDGMCKVWNIISGKMVHNHIFPVSITSIAMDPGEQTLLTGCEDGKIYMTAIIVGLEEDQTVIPGDESGICSKHKGAITALKFSLEGKLLFSASKDHLICIWDASNWQLIRSFNYGKGHITNMVIVPKSSLSMFGNKRNLPRLRISILDKAPQQNDAAEGTPTLLQVHCPQEDNLRFKSSPLLKKQILDLEQDRTPEAIQMKVETAIENRLWTIGMTKHVMSINRQLQLRLVDMMEQRLCLDAQKSRKKGRANIPDDRKDPSNP >DRNTG_15829.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25027098:25049743:1 gene:DRNTG_15829 transcript:DRNTG_15829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGWSSQGHERWMECKLHVSSHPPPSSLQSASQPGLDLHIPFPECQEQTQDP >DRNTG_28810.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28716365:28716751:1 gene:DRNTG_28810 transcript:DRNTG_28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECFVFLTRHSSIRRLVGYQQLEEDCSASSEVKVVVGKEKREFYVDPFVLEKDPFRVLMEMVRIDCDKERKDVIFVDVDVILFEHLLWLVYNDSSSSTSSASSSSSSSCSFFQLNMKEIIEFYAQDF >DRNTG_14467.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000693.1:64567:65247:-1 gene:DRNTG_14467 transcript:DRNTG_14467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIRTRDPPTCTSLKRQVLHNVNVCPFALPQRKYGIREYCRHSNARAVARFQPYLKPISAPILVFFSPSFPQLVRGLRLAFRGVLAEVLEKFYGSDLVIPLGRRLVGELRKRRILYRTKESLDDK >DRNTG_11778.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:591950:604478:-1 gene:DRNTG_11778 transcript:DRNTG_11778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSQDLSVYDFDEEEAAVEAASGKLMRRFVSKLPSKNDDAINKYAFLQAFTSGMNNEQKDVTDIMCMDLHDRDNAGTSFAIDTPERNPASTEEISGMDAIVASSSSHDNHNHACVDEYEQGSLLGADSLVVRDSLAEISAENKLLVERKAVDLTSDDEDESWTSSRSPSTPSSDLEENRGDLNCFASYSCCPTFEDMENVESAVTVFADYLIYENMSYGESQITFFSDCIKFECYDARASDEKVVLKWEITDVIHITCQWSRSVDAVLIKFQLGATVPDTEKMHAAPDVTELTISVVDSYWPDKEQKIMSLSASYQDVWNALPGDGLPWDDEAIGRNMCLSKDYFEEIAEPFEDVVYPKGDPDAVSISKRDIELLQPDTFINDTIIDFYIKYLKNNIEPTEKHRFHFFNSFFFRKLADLDKNPGCNSEGRAAFLRVRKWTRKVNIFEKDYIFIPVNFNLHWSLLVICHPGEVTNLKDVDTKESSKIPCILHMDSIKGSHSGLKNIIQSYLCEEWKEKHPESSEDDASKLSNLRFVSLELPQQENSFDCGLFLLHYVELFLKEAPVDFSPFKMVKKHSNFLNVDWFLSAEASLKRSFIRKLIYELLKESSHEETPGAYGSNHHPVETEDNGIDQEPAKFISEQCKSAHTGRVAAFSTECSDHAPELTVTLQKSECTISPIVENVNANVQSVSQVMGTDFSSPNSEDLATQICTTSCSMRDVEVHETSWNSLPERNEPVQEHNVASDQQVVENLGHSSPENDSYAPDSRTSTSSYKPESSVEDSQCINGSVADEGESNCEGSQEAEITGNDAQGHEDQQGIETETEIVVRSCDGSESDGSKGNKTDNTKEEDRNAENCPEVNTINNTESGGDCDGPPSHQDNLIVSSSCQYIEVPGDDSSQDEDGAAASKKRDESGTEADQQPNKRQKVIHPAGRRRTRSFTRDHEP >DRNTG_25010.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27069302:27076103:-1 gene:DRNTG_25010 transcript:DRNTG_25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDGGEGSRILVTTRNEKCSRVMGVQKPYFLSRLSEESSWNLFEQKAFSVGVPKPPKLLEIGQEIVKKCQGLPLAIEVMGCIMHCKSEESEWQAVLENIETWKLQNTQNEIIRELWLSYVDLPTHLKKCFAFCSIFPKDHNLEEEKLIQFWMAHGYIPSQKGNDVEVEGRELFTELIRRSLLQYQHTSHAETIGRVCKMHDLINDLAYFVMENECFPSLRSSAATEISLRPRHLQLDANENYNQGDCSIIRTVLYCGRDLSLLSKLKLVRVLDLSGTRINKFPAAIEHLHHLRYLDISGTLIRKLPESICMLVNLQTLKLYGCREFSELPNSITYMNSLRHLIFDQCPKLKVFPAGLSRLQNLKTLTRFTVGDDANNNIRQLKFLNLGGKVQLYNLQKVKNAGNAQEANLRHKENIDTLILNWGAWDVAVCDEYCSMEIAEEVLEALKPHANVKELEVFHYPGKQFPMWMQGRQQFQYLHRIELLECRECEQLPPLEILPCLEYLRLWKMDGIKHIVNNRGGNALQSFPALKKLILYDLKNLEGWCLEEGKEANLSLFPCLIHMVITGCPKLTTLPLKILPRLEDLSISHMDGIKHIASNRRGNALQSCPALKNLRLESMWNLEGLSVEEGREANQSLFPCLISMDIRRCPKLTTTMPPIPTLQKLYVRQSFCETQISLMPRKGRFFKHLKSLRRLTIHSCTEELFLLLENEEEARAVKSSLEYLAIENCNQLSLTLVLQNLTSLRELWVHLLENLVSWPDNLGCLKSLNKLTISSCNNLIGASSQGDHGPPFLKDLHVSGCAALRELPTCPKSLQSLSIDNCPLMESLWPEVGHLTSLSRLEVSLCPKLVSLSDEMQALTSLQYLSIAYCPALKSFPEGLQQLLPTLKSLTIQGCPKLGRLCKPGGAYYDLFSTILDKRIEEQPKQLDRLPRLHGGEDYDNHLSTISDEGIGAPPEQTVQVPHVIITGAKQALKCIATKCFLLLAGF >DRNTG_12404.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12369032:12370280:1 gene:DRNTG_12404 transcript:DRNTG_12404.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHSGRKSYHLESVKATLAAALERATLEDNKSSTNLSIIEEASDPQRERVPVCVTPMKVWIREAIQIGTAAEHCSKGFEGYWPGFWRGSTALKSSFLRKKVGRGASVEAYPILDEGILGRRVEDSPQDH >DRNTG_29722.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:534668:536582:1 gene:DRNTG_29722 transcript:DRNTG_29722.1 gene_biotype:protein_coding transcript_biotype:protein_coding SASRRRSPPGSRDLAGGGEPDEGLAGGDDGRFGGVASVQASHI >DRNTG_09398.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30735463:30737289:-1 gene:DRNTG_09398 transcript:DRNTG_09398.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQETEKAYQEIEMLKKNYDRQILLLNQFVVESRLPKEAMQPQLVEADVAKFDRGESPTDQRWREEFEPFCEGVDNEFSKGTEPYSWYSDYDRCNI >DRNTG_09398.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30735463:30748979:-1 gene:DRNTG_09398 transcript:DRNTG_09398.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSLIYWTQIKKIFREDVRTGVYVDYLTEEYVCSTKDVLHLLMKGLANRRTGATSINAESSRSHCVFTCVVESRLKSIADGLSSLRTSRINLVDLAGSERQKLTGAAGERLKEAGNINRSLSQLGNLINILAEVSQSGKQRHIPYRDSKLTFLLQESLGGNAKLAMICAISPSQSCKSETFSTLRFAQGAKAIQNQAVVNEITQDDVNVLREQIRQLKDELLRMKSNGTSAENNGSYSTGWNARRSLNLLRMSLNRSALPVVKDDSDEEMEINDEDVEKPCMETGALPTPDEGQPLGQLENLKEVSSCSKHHLVLGDAEPMQISGSDFVSDQACESMEVHAEKHDFVELEECKSIKTLDDDPAVGIDAEKTLVTPYCNLLSSGPLEEKTYEPPDCTVSGDHKDAMGTIASSQSASASSLSIVPYETSSVLQPPSLSISPRVESCSRKSLRTSLSTSASQKHILQNVNSDCEALNLSFAGSLNHKLVSDLIVPQTKNSISSTEHLEASLHRGLQIIDSHQHSSPLRRSSFRFSMAVTPIKTTSIGIQTSSPELEMAEDSASFICDFCKNKMPPLEDKDIIAGVDLQLVPIEGSNSSDKPKKQVPKAVKKVLAGAIRREMAIEDQCAKQATEIMQLNRLVQQYKHERECNAIIEQIREDKISRLEGLMDGIIPIEEFREEEVISLTNEHKLLKEKYDNHPEVLRVNIELKRVQKELDGYRNFFDMGERDVLMEEIQDLRTQLQYYIDSSSASNRKFSPLLHLMHSIEPISAPLNTISELPEENVNEKIEQERLLWLEAESKWISLSEELRIELETSRSLAEKRKLELDSEKKCAEELKEALQTAMQGHARILEQYAELQEKHIALLARHRKISDGIADVKRAAAKSRRQRC >DRNTG_09398.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30735463:30747701:-1 gene:DRNTG_09398 transcript:DRNTG_09398.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSLIYWTQIKKIFREDVRTGVYVDYLTEEYVCSTKDVLHLLMKGLANRRTGATSINAESSRSHCVFTCVVESRLKSIADGLSSLRTSRINLVDLAGSERQKLTGAAGERLKEAGNINRSLSQLGNLINILAEVSQSGKQRHIPYRDSKLTFLLQESLGGNAKLAMICAISPSQSCKSETFSTLRFAQGAKAIQNQAVVNEITQDDVNVLREQIRQLKDELLRMKSNGTSAENNGSYSTGWNARRSLNLLRMSLNRSALPVVKDDSDEEMEINDEDVEKPCMETGALPTPDEGQPLGQLENLKEVSSCSKHHLVLGDAEPMQISGSDFVSDQACESMEVHAEKHDFVELEECKSIKTLDDDPAVGIDAEKTLVTPYCNLLSSGPLEEKTYEPPDCTVSGDHKDAMGTIASSQSASASSLSIVPYETSSVLQPPSLSISPRVESCSRKSLRTSLSTSASQKHILQNVNSDCEALNLSFAGSLNHKLVSDLIVPQTKNSISSTEHLEASLHRGLQIIDSHQHSSPLRRSSFRFSMAVTPIKTTSIGIQTSSPELEMAEDSASFICDFCKNKMPPLEDKDIIAGVDLQLVPIEGSNSSDKPKKQVPKAVKKVLAGAIRREMAIEDQCAKQATEIMQLNRLVQQYKHERECNAIIEQIREDKISRLEGLMDGIIPIEEFREEEVISLTNEHKLLKEKYDNHPEVLRVNIELKRVQKELDGYRNFFDMGERDVLMEEIQDLRTQLQYYIDSSSASNRKFSPLLHLMHSIEPISAPLNTISELPEENVNEKIEQERLLWLEAESKWISLSEELRIELETSRSLAEKRKLELDSEKKCAEELKEALQTAMQGHARILEQYAELQEKHIALLARHRKISDGIADVKRAAAKSRRQRC >DRNTG_09398.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30735463:30748490:-1 gene:DRNTG_09398 transcript:DRNTG_09398.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSLIYWTQIKKIFREDVRTGVYVDYLTEEYVCSTKDVLHLLMKGLANRRTGATSINAESSRSHCVFTCVVESRLKSIADGLSSLRTSRINLVDLAGSERQKLTGAAGERLKEAGNINRSLSQLGNLINILAEVSQSGKQRHIPYRDSKLTFLLQESLGGNAKLAMICAISPSQSCKSETFSTLRFAQGAKAIQNQAVVNEITQDDVNVLREQIRQLKDELLRMKSNGTSAENNGSYSTGWNARRSLNLLRMSLNRSALPVVKDDSDEEMEINDEDVEKPCMETGALPTPDEGQPLGQLENLKEVSSCSKHHLVLGDAEPMQISGSDFVSDQACESMEVHAEKHDFVELEECKSIKTLDDDPAVGIDAEKTLVTPYCNLLSSGPLEEKTYEPPDCTVSGDHKDAMGTIASSQSASASSLSIVPYETSSVLQPPSLSISPRVESCSRKSLRTSLSTSASQKHILQNVNSDCEALNLSFAGSLNHKLVSDLIVPQTKNSISSTEHLEASLHRGLQIIDSHQHSSPLRRSSFRFSMAVTPIKTTSIGIQTSSPELEMAEDSASFICDFCKNKMPPLEDKDIIAGVDLQLVPIEGSNSSDKPKKQVPKAVKKVLAGAIRREMAIEDQCAKQATEIMQLNRLVQQYKHERECNAIIEQIREDKISRLEGLMDGIIPIEEFREEEVISLTNEHKLLKEKYDNHPEVLRVNIELKRVQKELDGYRNFFDMGERDVLMEEIQDLRTQLQYYIDSSSASNRKFSPLLHLMHSIEPISAPLNTISELPEENVNEKIEQERLLWLEAESKWISLSEELRIELETSRSLAEKRKLELDSEKKCAEELKEALQTAMQGHARILEQYAELQEKHIALLARHRKISDGIADVKRAAAKSRRQRC >DRNTG_09398.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30735463:30748260:-1 gene:DRNTG_09398 transcript:DRNTG_09398.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSLIYWTQIKKIFREDVRTGVYVDYLTEEYVCSTKDVLHLLMKGLANRRTGATSINAESSRSHCVFTCVVESRLKSIADGLSSLRTSRINLVDLAGSERQKLTGAAGERLKEAGNINRSLSQLGNLINILAEVSQSGKQRHIPYRDSKLTFLLQESLGGNAKLAMICAISPSQSCKSETFSTLRFAQGAKAIQNQAVVNEITQDDVNVLREQIRQLKDELLRMKSNGTSAENNGSYSTGWNARRSLNLLRMSLNRSALPVVKDDSDEEMEINDEDVEKPCMETGALPTPDEGQPLGQLENLKEVSSCSKHHLVLGDAEPMQISGSDFVSDQACESMEVHAEKHDFVELEECKSIKTLDDDPAVGIDAEKTLVTPYCNLLSSGPLEEKTYEPPDCTVSGDHKDAMGTIASSQSASASSLSIVPYETSSVLQPPSLSISPRVESCSRKSLRTSLSTSASQKHILQNVNSDCEALNLSFAGSLNHKLVSDLIVPQTKNSISSTEHLEASLHRGLQIIDSHQHSSPLRRSSFRFSMAVTPIKTTSIGIQTSSPELEMAEDSASFICDFCKNKMPPLEDKDIIAGVDLQLVPIEGSNSSDKPKKQVPKAVKKVLAGAIRREMAIEDQCAKQATEIMQLNRLVQQYKHERECNAIIEQIREDKISRLEGLMDGIIPIEEFREEEVISLTNEHKLLKEKYDNHPEVLRVNIELKRVQKELDGYRNFFDMGERDVLMEEIQDLRTQLQYYIDSSSASNRKFSPLLHLMHSIEPISAPLNTISELPEENVNEKIEQERLLWLEAESKWISLSEELRIELETSRSLAEKRKLELDSEKKCAEELKEALQTAMQGHARILEQYAELQEKHIALLARHRKISDGIADVKRAAAKSRRQRC >DRNTG_25866.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8972796:8973657:1 gene:DRNTG_25866 transcript:DRNTG_25866.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDAAEKAYEGAKETMNNAYMGAKDSMSKDSMSIASVKYKVGGVSRKVVDGLNNAAVTAGKAFEGAKESMSDSYQGAKDSIRMDSAGTVKDKAGEVAGKMQEEAKNAANTAGKAFEDAKVTVSNAYTGAKDSMTMANAKEGYETAKDKVTKSTNGDLGALRSANPEEL >DRNTG_25866.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8970742:8973657:1 gene:DRNTG_25866 transcript:DRNTG_25866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKEVSLIIMSSMLVLFVLLLLLGNVMASNDMDNAKDSLSMAKEPSGSWANWVQGKLSDELGLRRDNARMASDVMKEKAEGFAGMAKDKMTEAASDAAQKAGEMKDAAEKAYEGAKETMNNAYMGAKDSMSKDSMSIASVKYKVGGVSRKVVDGLNNAAVTAGKAFEGAKESMSDSYQGAKDSIRMDSAGTVKDKAGEVAGKMQEEAKNAANTAGKAFEDAKVTVSNAYTGAKDSMTMANAKEGYETAKDKVTKSTNGDLGALRSANPEEL >DRNTG_13140.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1681122:1682334:1 gene:DRNTG_13140 transcript:DRNTG_13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLESCYEIRKGRPQVWISACSFHKKQSTTRLFWLRGDQPLLESLDGDPGSQQHPPPALGSYQTKASSELQVPIISLQNCTHHTWDRRPCI >DRNTG_33695.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1249054:1253240:1 gene:DRNTG_33695 transcript:DRNTG_33695.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine-phosphatase IBR5 [Source:Projected from Arabidopsis thaliana (AT2G04550) UniProtKB/Swiss-Prot;Acc:Q84JU4] MRKRERENPCVVCGHYHKYEEGEVCGVCGHRMPASSEKLVQQSSAFPSEILKDFLYLGSYDNASRGELLKTQGISRILNTVPACQNLYKNSFTYYCLEDEKILQFDNATQFLEQCERDKARVLVHCMSGRSRSPAVVIAYLMKCKGWRFAQSHQWVKDRRPQVELSPAVHQQLLEYEQKIFGSNEPMPTQVSAMPDSSSGFVFGFPKVSDSNTLPIFNQLANTSIFEQTGPNIAPTSFVFGAGRPVDQPFPDNNAFGFIHPNTNEAPMDSS >DRNTG_30508.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:774033:776948:1 gene:DRNTG_30508 transcript:DRNTG_30508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEMEWFTWDLAGLYEKDGSPATIFTETSEFHPDSLPLLSGTSEREAHRYLQDLGKITAAMEAEKIAPHHKWYIWRRNLWAINIVLRSYLATLASSSVSPVFCREVPFFPSLGAEFTLLGQPDLFVGYLTLCAYWIHDVELLVFQGHPSSPERLPHLFLTRYTAKVWNIYQRIESMKTDSLMAGSLLPLTLPTATSSNSPQSPQTAFGTSEQVTDSVDEPDITSMLESLKL >DRNTG_31551.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20661955:20664831:-1 gene:DRNTG_31551 transcript:DRNTG_31551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVSSAGFPIVVHRRRGGGGGGCGAFGARAVSSESITTVKGGEEDKVKLGGSDVKVTRLGLGAWSWGDTSYWNDSGWDDRKLKAAKAAFNASIDGGITFFDTAEVYGSMFMGVVNSETLLGSFIKERQKVDSVEIAVATKFAALPWRFGPGSVISALENSLSRLGLSSVDLYQLHWPGIWGNEGYIDGLGDAVEKGLVKAVGVSNYNERRLRDAYDRLKKRGIPLASNQVNYSLIYRAPEENGVKAACDELGITLIAYSPIAQGILTGKYTPENPPTGPRGQIYTPEVLTKLQPLIARIKEIGEAYGKTNTQVVLNWLVCQDNVVPIPGAKNAEQAKEFVGALGWKLTDQEVEELRSLAAETKPVIGFPVEKL >DRNTG_28218.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11809235:11817186:-1 gene:DRNTG_28218 transcript:DRNTG_28218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSSLIVSPSFVDSSVPLDRHFTRCCKHLNKMDNWSLGDRARPIAAAFTTIIIIVTFLLEDMHRRTQHRWAEPSLFETLTRKKHMDRILRSGRDYCAHPRVKAFINKPIENYEGLRVVCDEVNATGSYAASVYSDFGERSENEEFNMDNIESVPVNVSDEEPDMNSTPAILNCPAMSSTIRSVRLARDENTRMVDQISVMNRMLAALQNSTHWYEILYARVMEVDGFNCKVLVEVFDYLQEWESIAHGFMARDVDLRKDWINSFLTSMEVVAVQSCVRFFISKIVSAWASLSRRKTSSSAIVRRRIPTQVFGISSACSLRLVIMAVNLVNGRCYRDTGEMQRLFDYCVNMDFGKSATLAYPLVHDTDTPRQKRGSVNCAVYVMWFIEQLLDGEKLRLRTSLTCA >DRNTG_06184.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25262278:25263958:1 gene:DRNTG_06184 transcript:DRNTG_06184.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 25 [Source:Projected from Arabidopsis thaliana (AT3G27650) UniProtKB/Swiss-Prot;Acc:Q8L8Q3] MASSSSSNSPCAACKFLRRKCIPGCIFAPYFPPEEPQKFANVHKIFGASNVTKLLNEVLPHQREDAVNSLAYEAEARMKDPVYGCVGAISVLQRQVQRLQKELDAANADLLRYACGEIPTAMPVAAIATGMTASRVNNNGGGNGGGALYYTSGPPLSYSPNLWSTTGNNNHNNNSGNTSAGDHMDHQRKGRETRM >DRNTG_06184.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25262278:25263902:1 gene:DRNTG_06184 transcript:DRNTG_06184.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 25 [Source:Projected from Arabidopsis thaliana (AT3G27650) UniProtKB/Swiss-Prot;Acc:Q8L8Q3] MASSSSSNSPCAACKFLRRKCIPGCIFAPYFPPEEPQKFANVHKIFGASNVTKLLNEVLPHQREDAVNSLAYEAEARMKDPVYGCVGAISVLQRQVQRLQKELDAANADLLRYACGEIPTAMPVAAIATGMTASRVNNNGGGNGGGALYYTSGPPLSYSPNLWSTTGNNNHNNNSGNTSAGDHMDHQRKGRETRM >DRNTG_06184.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25262278:25263902:1 gene:DRNTG_06184 transcript:DRNTG_06184.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 25 [Source:Projected from Arabidopsis thaliana (AT3G27650) UniProtKB/Swiss-Prot;Acc:Q8L8Q3] MASSSSSNSPCAACKFLRRKCIPGCIFAPYFPPEEPQKFANVHKIFGASNVTKLLNEVLPHQREDAVNSLAYEAEARMKDPVYGCVGAISVLQRQVQRLQKELDAANADLLRYACGEIPTAMPVAAIATGMTASRVNNNGGGNGGGALYYTSGPPLSYSPNLWSTTGNNNHNNNSGNTSAGDHMDHQRKGRETRM >DRNTG_24263.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18879729:18884657:1 gene:DRNTG_24263 transcript:DRNTG_24263.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGDDISMTEVEQPVTASSFKEIQKIPSAVRESQTLSPSKVRESRENPSAVRESRSVTPSPAREGLENPSVVTESQAVAPSPVRESQENPSAAPESQSANLSHVREIRENPLGLRESQSVTPSPVRETRESVTLSPVKETLESRSAVGGIRSGGGELSNASPSALNQIVIYDPDSANNRQGQNDNHTRAAPSFSTPLSAGELFGSFTVQCNNCFKWRLIPTKDKYEKIRENISQKPFVCEQAREWNRVLSCDDPTDISQDDTRLWAIDKPNIAQPPQGWERLIRLRGEGGTRFADVYYVTPSGKKLRSMVEVERYLTEHSIQGVHISQFSFQTPKPLRADYVRKRPRRTFGGLELSRPLEPAEVTPISWAPPLLVSQEEHTSSPARSSSATESM >DRNTG_24263.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18879729:18884657:1 gene:DRNTG_24263 transcript:DRNTG_24263.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGDDISMTEVEQPVTASSFKEIQKIPSAVRESQTLSPSKVRESRENPSAVRESRSVTPSPAREGLENPSVVTESQAVAPSPVRESQENPSAAPESQSANLSHVREIRENPLGLRESQSVTPSPVRETRESVTLSPVKETLESRSAVGGIRSGGGELSNASPSALNQIVIYDPDSANNRQGQNDNHTRAAPSFSTPLSAGELFGSFTVQCNNCFKWRLIPTKDKYEKIRENISQKPFVCEQAREWNRVLSCDDPTDISQDDTRLWAIDKPNIAQPPQGWERLIRLRGEGGTRFADVYYVTPSGKKLRSMVEVERYLTEHSIQGVHISQFSFQTPKPLRADYVRKRPRRTFGGLELSRPLEPAEVTPISWAPPLLVSQEEHTSSPARSSSATESM >DRNTG_24263.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18879729:18884657:1 gene:DRNTG_24263 transcript:DRNTG_24263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGDDISMTEVEQPVTASSFKEIQKIPSAVRESQTLSPSKVRESRENPSAVRESRSVTPSPAREGLENPSVVTESQAVAPSPVRESQENPSAAPESQSANLSHVREIRENPLGLRESQSVTPSPVRETRESVTLSPVKETLESRSAVGGIRSGGGELSNASPSALNQIVIYDPDSANNRQGQNDNHTRAAPSFSTPLSAGELFGSFTVQCNNCFKWRLIPTKDKYEKIRENISQKPFVCEQAREWNRVLSCDDPTDISQDDTRLWAIDKPNIAQPPQGWERLIRLRGEGGTRFADVYYVTPSGKKLRSMVEVERYLTEHSIQGVHISQFSFQTPKPLRADYVRKRPRRTFGGLELSRPLEPAEVTPISWAPPLLVSQEEHTSSPARSSSATESM >DRNTG_00877.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21827505:21832110:1 gene:DRNTG_00877 transcript:DRNTG_00877.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPIMHDIDRYELVRDIGSGNFGIARLMRDKQSGELVAVKYIERGEKIDEHVKREIINHRLLKHLNIIRFKEVVLTPTHLAIVMEYASGGELFKRICNAASVRMRPASFSNNLYQVLAIFIQCLSSRSKAGEYLAGWK >DRNTG_00877.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21827505:21832110:1 gene:DRNTG_00877 transcript:DRNTG_00877.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYYSNNFCLFTISHFDMCLEYQKIADVWPCGVTLYVMLVGAYPFEDPEDPKNWFKAIERILAVKYSIPDYVHISHGCRHLISRIFIANPAKRITMNEIRNHEWFLKNLPAELMDENMSSDQYEEPDQPMQSIDQIMQIIEEATPSSCYPYFRL >DRNTG_00877.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21827505:21832110:1 gene:DRNTG_00877 transcript:DRNTG_00877.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQCCFSEDEARFFFQQLISGVSYIHSMQVCHRDLKLENTLLDGSDAPRLKICDFGYSKSSVLDSQPNSTVGTPAYVAPEVLKKKPYDGKIADVWPCGVTLYVMLVGAYPFEDPEDPKNWFKAIERILAVKYSIPDYVHISHGCRHLISRIFIANPAKRITMNEIRNHEWFLKNLPAELMDENMSSDQYEEPDQPMQSIDQIMQIIEEATPSSCYPYFRL >DRNTG_00877.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21827505:21832110:1 gene:DRNTG_00877 transcript:DRNTG_00877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYSNNFCLFTISHFDMCLEYQKIADVWPCGVTLYVMLVGAYPFEDPEDPKNWFKAIERILAVKYSIPDYVHISHGCRHLISRIFIANPAKRITMNEIRNHEWFLKNLPAELMDENMSSDQYEEPDQPMQSIDQIMQIIEEATPSSCYPYFRL >DRNTG_00877.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21827505:21832110:1 gene:DRNTG_00877 transcript:DRNTG_00877.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPIMHDIDRYELVRDIGSGNFGIARLMRDKQSGELVAVKYIERGEKIDEHVKREIINHRLLKHLNIIRFKEVVLTPTHLAIVMEYASGGELFKRICNAASVRMRPASFSNNLYQVLAIFIQCLSSRSKAGEYLAGWK >DRNTG_00877.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21827505:21828654:1 gene:DRNTG_00877 transcript:DRNTG_00877.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPIMHDIDRYELVRDIGSGNFGIARLMRDKQSGELVAVKYIERGEKIDEHVKREIINHRLLKHLNIIRFKEVVLTPTHLAIVMEYASGGELFKRICNAASVRMR >DRNTG_20385.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19060114:19065126:1 gene:DRNTG_20385 transcript:DRNTG_20385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNAEGAQGEKRKGTANKRWTKEMDHVLIPLLADMARSGLKVDKFFKRQAFVEAANVVNSRFPVACMDADNVENHMRTLKQKYQDIKKLMNLSGVGWNDTEKKLVLEDETYRTYVEEYLNKPILFFDELRLVAGDDHATGDYARTIFDQFGGTPGEDESAPPPNTPLDSEPMNTKNQRHEALRSFTNKTTARVPRRIRTNGENGSGENIGEKIGVPPFPLNSFSAQNWLTEETEALNGEFLRQRSPVIFCERLTSYLKGKRQHEDQKMATILVNARCYLGLVIETIAELKKTNEQLYDENRQMFKIGQSMLSFRSEDIALILGLSCHGDIVSFKHERVQIEFEKNFLHKMYNCHRDAIKESLLRIVRSKHGDEETFVKILVVYFMMTILFPNTCLNAAPFVASVKKQRIGQTPCIFCYGDSNFIKSASIEPLLNSIKGKKFSELLQANDEENMLVGNIKLDQKQTPDRASELGYYTRQSRQQTRSPPAGKKPSVEPTKKQHEREHENAKRKRSESWSSHKDSVPPVKTTDISCPPSSGPSPSPGIRFKRVAWLRKSCPRQYSTKFTDNIEIPAEHDKDDSEDAHVPPVTTQEEKPEVASQVSASDRSVRSDEDLVPKASIDHIPSHTPSP >DRNTG_04581.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:22299932:22303253:1 gene:DRNTG_04581 transcript:DRNTG_04581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGDELADEIDEMLAVGSWRRLLTIREPAYRVLTLVVLASFEFYQMHGRINTMEAIQFWAFEHSFSMSVAKFSIRMGLYDIAYTGSYVDMGSISRECQRPPAYPGCATGMGLMDAIHGAERTVVPTPLAFETLRVMGLVRRCGPGAYIIATATPETARSGGGTVESSRQIPRPLVAPGPRAHDRIERLESDDLLTASRRSSEIEKSHGRVEFPHIRALFPQGRVEHPHGRGFERYWQGLGGGSTALTSHLIIKKVGKGASVGVIRRGVSYTGRGDRCDE >DRNTG_10037.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1787877:1789999:1 gene:DRNTG_10037 transcript:DRNTG_10037.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKQETVNGYQPHNPTKGHKRITSFFQNQDSILRVSASQLLMN >DRNTG_32186.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4763240:4763765:-1 gene:DRNTG_32186 transcript:DRNTG_32186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSRHPPVDDIAQDRASSTSSGYLH >DRNTG_32186.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4763440:4763765:-1 gene:DRNTG_32186 transcript:DRNTG_32186.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSRHPPVDDIAQDRASSTSSGCKRSSYLLIIAKPLHEIIFITLC >DRNTG_14559.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17625448:17627197:-1 gene:DRNTG_14559 transcript:DRNTG_14559.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCDYIKDYEFVAIFDADFQPNPDFLKQTIPHFKGNPEVGLVQARWSYVNKDENLLTRLQNINLCFHFEIEQQVNGIFLNFFSFNGTAGVWRIKALEDSGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCEVPESYEAYRKQQHRWHSGPMQLFRLCLPAIITSSKISIWKKANLVLLFFLLRKLILPFYSFTLFCVILPLTMFVPEAELPVWVICYIPVFMSFLNILPAPRSFPFIVPYLLFENTMSVTKFNAMVSGLFQLGSSYEWIVTKKAGRSLESNLLVMPEKNSKLVTNSTTPAKKSNKIYKKELALAVLLLTAAARSLLSAQGIHFYFLLFQGLSFLLVGLDLIGEQMS >DRNTG_14559.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17625448:17628178:-1 gene:DRNTG_14559 transcript:DRNTG_14559.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGWWCIQLLMAPRLSFHDWLGKEKCKGTAVANVGGAKSPEFCLMGKSRGRNARQFTWVLLLKAHRIISCITWMAAALWTLLGVIKRRLIFRQGVSSERDKPGKGRILFAFIRGFSMVSSAALVLEIVAYCNGWYFHIPHTADVQGWMHSVYLSWIVFRANYIAHAIQVLSNFCVFLFIVQSVDRMILCFGCFWIKFKKIKPRVEGSLFNTDDLEGFACEYPMVLNQIPMCNEKEVYEQSISAVCQIDWPKDRILIQVLDDSDDESTKLLIREEVSKWNQRGVNIIYRHRLIRIGYKAGNLKSAMSCDYIKDYEFVAIFDADFQPNPDFLKQTIPHFKGNPEVGLVQARWSYVNKDENLLTRLQNINLCFHFEIEQQVNGIFLNFFSFNGTAGVWRIKALEDSGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCEVPESYEAYRKQQHRWHSGPMQLFRLCLPAIITSSKISIWKKANLVLLFFLLRKLILPFYSFTLFCVILPLTMFVPEAELPVWVICYIPVFMSFLNILPAPRSFPFIVPYLLFENTMSVTKFNAMVSGLFQLGSSYEWIVTKKAGRSLESNLLVMPEKNSKLVTNSTTPAKKSNKIYKKELALAVLLLTAAARSLLSAQGIHFYFLLFQGLSFLLVGLDLIGEQMS >DRNTG_04835.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11882424:11888499:-1 gene:DRNTG_04835 transcript:DRNTG_04835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLRRYRLGVYVMITLAPEIAEGGGDVEEGSQPAQEPSLVN >DRNTG_16297.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000807.1:25955:30286:-1 gene:DRNTG_16297 transcript:DRNTG_16297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFCGALLAASFYGIKDLKSRMILLKFRGLCCLVEPHELPAWFKIPASRPMTLISDSDDDFVIPAESQSLVTSVNTRKANGFMTDVRKDPPCEIVVDDDVDEISNVLKKRFSSPETVCLALDACSVKVSKSLIDKILRRFNNNWISAFGFFKWVDNQPEFNNKQFPKSYDMMVDILGKCKKFDLMLQLVEEMNHIGGLVSLSTMAKVIRRFSGARRWHDAIKTFYDLELFGVKKDCSAFNVLLDSLCKERNVKCARDVFLLMRSHIPPNASSFNILLHGWCKARKLDEAKQVIKEMRDFGFTPCVISYTNLIEAYCIQKDFENANAILAEMRSQGCLPTVVTYTIIMHSLGKANKIQEALQVPVKMSRDGCTPDTSFYNSLIYILCKAGRLADANRLFEEMHRDGVPPNAITYSTLISAFCDYSQEEDAFKLITKMGESPCKPDVKTYHPLLKLCCKRKWTKLLMYLLVDMFEKDISLDPGTYTMLVQGLCQNGKLQQSCLFFEEMVLKGIAARPRTLNLLLEGLEKKGIYGAKEKIHQLMLQTRSRR >DRNTG_16297.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000807.1:25955:30286:-1 gene:DRNTG_16297 transcript:DRNTG_16297.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFCGALLAASFYGIKDLKSRMILLKFRGLCCLVEPHELPAWFKIPASRPMTLISDSDDDFVIPAESQSLVTSVNTRKANGFMTDVRKDPPCEIVVDDDVDEISNVLKKRFSSPETVCLALDACSVKVSKSLIDKILRRFNNNWISAFGFFKWVDNQPEFNNKQFPKSYDMMVDILGKCKKFDLMLQLVEEMNHIGGLVSLSTMAKVIRRFSGARRWHDAIKTFYDLELFGVKKDCSAFNVLLDSLCKERNVKCARDVFLLMRSHIPPNASSFNILLHGWCKARKLDEAKQVIKEMRDFGFTPCVISYTNLIEAYCIQKDFENANAILAEMRSQGCLPTVVTYTIIMHSLGKANKIQEALQVPVKMSRDGCTPDTSFYNSLIYILCKAGRLADANRLFEEMHRDGVPPNAITYSTLISAFCDYSQEEDAFKLITKMGESPCKPDVKTYHPLLKLCCKRKWTKLLMYLLVDMFEKDISLDPGTYTMLVQGLCQNGKLQQSCLFFEEMVLKGIAARPRTLNLLLEGLEKKGIYGAKEKIHQLMLQTRSRR >DRNTG_16297.9.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000807.1:27966:30286:-1 gene:DRNTG_16297 transcript:DRNTG_16297.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFCGALLAASFYGIKDLKSRMILLKFRGLCCLVEPHELPAWFKIPASRPMTLISDSDDDFVIPAESQSLVTSVNTRKANGFMTDVRKDPPCEIVVDDDVDEISNVLKKRFSSPETVCLALDACSVKVSKSLIDKILRRFNNNWISAFGFFKWVDNQPEFNNKQFPKSYDMMVDILGKCKKFDLMLQLVEEMNHIGGLVSLSTMAKVIRRFSGARRWHDAIKTFYDLELFGVKKDCSAFNVLLDSLCKERNVKCARDVFLLMRSHIPPNASSFNILLHGWCKARKLDEAKQVIKEMRDFGFTPCVISYTNLIEAYCIQKDFENANAILAEMRSQGCLPTVVTYTIIMHSLGKANKIQEALQVPVKMSRDGCTPDTSFYNSLIYILCKAGRLADANRLFEEMHRDGVPPNAITYSTLISAFCDYSQEEDAFKLITKMGESPCKPDVKTYHPLLKLCCKRKWTKLLMYLLVDMFEKDISLDPGTYTMLVQGLCQNGKLQQSCLFFEEMVLKGIAARPRTLNLLLEGLEKKGIYGAKEKIHQLMLQTRSRR >DRNTG_16297.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000807.1:25955:30026:-1 gene:DRNTG_16297 transcript:DRNTG_16297.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFCGALLAASFYGIKDLKSRMILLKFRGLCCLVEPHELPAWFKIPASRPMTLISDSDDDFVIPAESQSLVTSVNTRKANGFMTDVRKDPPCEIVVDDDVDEISNVLKKRFSSPETVCLALDACSVKVSKSLIDKILRRFNNNWISAFGFFKWVDNQPEFNNKQFPKSYDMMVDILGKCKKFDLMLQLVEEMNHIGGLVSLSTMAKVIRRFSGARRWHDAIKTFYDLELFGVKKDCSAFNVLLDSLCKERNVKCARDVFLLMRSHIPPNASSFNILLHGWCKARKLDEAKQVIKEMRDFGFTPCVISYTNLIEAYCIQKDFENANAILAEMRSQGCLPTVVTYTIIMHSLGKANKIQEALQVPVKMSRDGCTPDTSFYNSLIYILCKAGRLADANRLFEEMHRDGVPPNAITYSTLISAFCDYSQEEDAFKLITKMGESPCKPDVKTYHPLLKLCCKRKWTKLLMYLLVDMFEKDISLDPGTYTMLVQGLCQNGKLQQSCLFFEEMVLKGIAARPRTLNLLLEGLEKKGIYGAKEKIHQLMLQTRSRR >DRNTG_16297.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000807.1:25955:30026:-1 gene:DRNTG_16297 transcript:DRNTG_16297.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFCGALLAASFYGIKDLKSRMILLKFRGLCCLVEPHELPAWFKIPASRPMTLISDSDDDFVIPAESQSLVTSVNTRKANGFMTDVRKDPPCEIVVDDDVDEISNVLKKRFSSPETVCLALDACSVKVSKSLIDKILRRFNNNWISAFGFFKWVDNQPEFNNKQFPKSYDMMVDILGKCKKFDLMLQLVEEMNHIGGLVSLSTMAKVIRRFSGARRWHDAIKTFYDLELFGVKKDCSAFNVLLDSLCKERNVKCARDVFLLMRSHIPPNASSFNILLHGWCKARKLDEAKQVIKEMRDFGFTPCVISYTNLIEAYCIQKDFENANAILAEMRSQGCLPTVVTYTIIMHSLGKANKIQEALQVPVKMSRDGCTPDTSFYNSLIYILCKAGRLADANRLFEEMHRDGVPPNAITYSTLISAFCDYSQEEDAFKLITKMGESPCKPDVKTYHPLLKLCCKRKWTKLLMYLLVDMFEKDISLDPGTYTMLVQGLCQNGKLQQSCLFFEEMVLKGIAARPRTLNLLLEGLEKKGIYGAKEKIHQLMLQTRSRR >DRNTG_16297.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000807.1:25955:30286:-1 gene:DRNTG_16297 transcript:DRNTG_16297.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFCGALLAASFYGIKDLKSRMILLKFRGLCCLVEPHELPAWFKIPASRPMTLISDSDDDFVIPAESQSLVTSVNTRKANGFMTDVRKDPPCEIVVDDDVDEISNVLKKRFSSPETVCLALDACSVKVSKSLIDKILRRFNNNWISAFGFFKWVDNQPEFNNKQFPKSYDMMVDILGKCKKFDLMLQLVEEMNHIGGLVSLSTMAKVIRRFSGARRWHDAIKTFYDLELFGVKKDCSAFNVLLDSLCKERNVKCARDVFLLMRSHIPPNASSFNILLHGWCKARKLDEAKQVIKEMRDFGFTPCVISYTNLIEAYCIQKDFENANAILAEMRSQGCLPTVVTYTIIMHSLGKANKIQEALQVPVKMSRDGCTPDTSFYNSLIYILCKAGRLADANRLFEEMHRDGVPPNAITYSTLISAFCDYSQEEDAFKLITKMGESPCKPDVKTYHPLLKLCCKRKWTKLLMYLLVDMFEKDISLDPGTYTMLVQGLCQNGKLQQSCLFFEEMVLKGIAARPRTLNLLLEGLEKKGIYGAKEKIHQLMLQTRSRR >DRNTG_16297.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000807.1:27966:30384:-1 gene:DRNTG_16297 transcript:DRNTG_16297.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFCGALLAASFYGIKDLKSRMILLKFRGLCCLVEPHELPAWFKIPASRPMTLISDSDDDFVIPAESQSLVTSVNTRKANGFMTDVRKDPPCEIVVDDDVDEISNVLKKRFSSPETVCLALDACSVKVSKSLIDKILRRFNNNWISAFGFFKWVDNQPEFNNKQFPKSYDMMVDILGKCKKFDLMLQLVEEMNHIGGLVSLSTMAKVIRRFSGARRWHDAIKTFYDLELFGVKKDCSAFNVLLDSLCKERNVKCARDVFLLMRSHIPPNASSFNILLHGWCKARKLDEAKQVIKEMRDFGFTPCVISYTNLIEAYCIQKDFENANAILAEMRSQGCLPTVVTYTIIMHSLGKANKIQEALQVPVKMSRDGCTPDTSFYNSLIYILCKAGRLADANRLFEEMHRDGVPPNAITYSTLISAFCDYSQEEDAFKLITKMGESPCKPDVKTYHPLLKLCCKRKWTKLLMYLLVDMFEKDISLDPGTYTMLVQGLCQNGKLQQSCLFFEEMVLKGIAARPRTLNLLLEGLEKKGIYGAKEKIHQLMLQTRSRR >DRNTG_16297.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000807.1:25955:30286:-1 gene:DRNTG_16297 transcript:DRNTG_16297.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFCGALLAASFYGIKDLKSRMILLKFRGLCCLVEPHELPAWFKIPASRPMTLISDSDDDFVIPAESQSLVTSVNTRKANGFMTDVRKDPPCEIVVDDDVDEISNVLKKRFSSPETVCLALDACSVKVSKSLIDKILRRFNNNWISAFGFFKWVDNQPEFNNKQFPKSYDMMVDILGKCKKFDLMLQLVEEMNHIGGLVSLSTMAKVIRRFSGARRWHDAIKTFYDLELFGVKKDCSAFNVLLDSLCKERNVKCARDVFLLMRSHIPPNASSFNILLHGWCKARKLDEAKQVIKEMRDFGFTPCVISYTNLIEAYCIQKDFENANAILAEMRSQGCLPTVVTYTIIMHSLGKANKIQEALQVPVKMSRDGCTPDTSFYNSLIYILCKAGRLADANRLFEEMHRDGVPPNAITYSTLISAFCDYSQEEDAFKLITKMGESPCKPDVKTYHPLLKLCCKRKWTKLLMYLLVDMFEKDISLDPGTYTMLVQGLCQNGKLQQSCLFFEEMVLKGIAARPRTLNLLLEGLEKKGIYGAKEKIHQLMLQTRSRR >DRNTG_16297.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000807.1:25955:30026:-1 gene:DRNTG_16297 transcript:DRNTG_16297.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFCGALLAASFYGIKDLKSRMILLKFRGLCCLVEPHELPAWFKIPASRPMTLISDSDDDFVIPAESQSLVTSVNTRKANGFMTDVRKDPPCEIVVDDDVDEISNVLKKRFSSPETVCLALDACSVKVSKSLIDKILRRFNNNWISAFGFFKWVDNQPEFNNKQFPKSYDMMVDILGKCKKFDLMLQLVEEMNHIGGLVSLSTMAKVIRRFSGARRWHDAIKTFYDLELFGVKKDCSAFNVLLDSLCKERNVKCARDVFLLMRSHIPPNASSFNILLHGWCKARKLDEAKQVIKEMRDFGFTPCVISYTNLIEAYCIQKDFENANAILAEMRSQGCLPTVVTYTIIMHSLGKANKIQEALQVPVKMSRDGCTPDTSFYNSLIYILCKAGRLADANRLFEEMHRDGVPPNAITYSTLISAFCDYSQEEDAFKLITKMGESPCKPDVKTYHPLLKLCCKRKWTKLLMYLLVDMFEKDISLDPGTYTMLVQGLCQNGKLQQSCLFFEEMVLKGIAARPRTLNLLLEGLEKKGIYGAKEKIHQLMLQTRSRR >DRNTG_15693.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6509450:6511587:1 gene:DRNTG_15693 transcript:DRNTG_15693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLIWLMVMCCSCCSSLVEGLGVNWGTMATRKLPPHTIVKMLQDNGIKKVKLFDTDYNTMSALAGSGIEVMVAIPNDMLATMNDYDSAKLWVKKNVTRFNFEGGVNITYVAVGNEPFLKSYNGSFLKTTLPALKNIQSALSDAGYADTIKVTVPLNADVYESPANNPVPSAGRFREDIRNLMTQMVEFFHQTGAPFTVNIYPFLSLYGNPDFPVDFAFFDGASPPVIDGNIQYTNVFDANFDTLVSALNSIGYGDLPIIIGEVGWPTDGDINANTNLAQRFYNGLLKRLAANQGTPLRPNAYIETYLFGLIDEDAKSIAPGAFERHWGIFAFDGQPKYPLDLSGSPTLTAAKDVQYLPQQWCVYNPNTKADVGSKLIDNMNFACTYADCTVLGYGSSCNGLDEKGNASYAFNSYFQTQGQKDGSCDFQGLAMVTTQNNSQGKCNFLVQIVGFDYSSASSASLSLLEMSVLLYVSVMLLFF >DRNTG_28380.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1169702:1171376:-1 gene:DRNTG_28380 transcript:DRNTG_28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQEEKVQRYPGDHEDDAPDDEACKEMSQETPQG >DRNTG_14892.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:10742776:10744637:1 gene:DRNTG_14892 transcript:DRNTG_14892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVWIPLLADMEKSGLKVNKPFKCQAFIKAANVVNNRFPIACMDADNVENHMRTLKQKYQDIKKLMNLSGVGWNNSEKMLVLEDETYRTYVEGHPKAKEYFNNPYLYLRSYVWLLEMTMPPVIMHDQSMTNLVVHSLKMTMEVENWALQESVTSAQMNA >DRNTG_35470.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3771238:3777445:1 gene:DRNTG_35470 transcript:DRNTG_35470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLGGRMATRLIECEQQLVFDHAAQFFTVSDLKFQKLVERWLSQGLVREWKGLVGELEVGGHFKPIPSSSPRYIGVNGMKHLADSVLCQKTMVNIVRPCWISQLDPFNGRWHLSEKGKLHGQFDAIVIAHNGKCANRLLSSSGLPSLTRQMKRLELSAIWALLAAFKDPLPVSCNGTSSTFEGAFVKGIDSVSWMANNTSKLFPSHNGLSQCWTFFSTATYGKQNKVPQESIPAITAEKVKKDMLGGVETALGLTKGTLPLPFYTRVQLWGAALPTNTPATPFIFDPQGRGGICGDWLLGSSVESAALSGMGLANHIADYFQSEGAHSDEFAVGLQDAFQPVAGHDIGQFPGTNYGEQISKSPITVAV >DRNTG_35470.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3771238:3777445:1 gene:DRNTG_35470 transcript:DRNTG_35470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIVRPCWISQLDPFNGRWHLSEKGKLHGQFDAIVIAHNGKCANRLLSSSGLPSLTRQMKRLELSAIWALLAAFKDPLPVSCNGTSSTFEGAFVKGIDSVSWMANNTSKLFPSHNGLSQCWTFFSTATYGKQNKVPQESIPAITAEKVKKDMLGGVETALGLTKGTLPLPFYTRVQLWGAALPTNTPATPFIFDPQGRGGICGDWLLGSSVESAALSGMGLANHIADYFQSEGAHSDEFAVGLQDAFQPVAGHDIGQFPGTNYGEQISKSPITVAV >DRNTG_35470.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3772296:3777445:1 gene:DRNTG_35470 transcript:DRNTG_35470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLELSAIWALLAAFKDPLPVSCNGTSSTFEGAFVKGIDSVSWMANNTSKLFPSHNGLSQCWTFFSTATYGKQNKVPQESIPAITAEKVKKDMLGGVETALGLTKGTLPLPFYTRVQLWGAALPTNTPATPFIFDPQGRGGICGDWLLGSSVESAALSGMGLANHIADYFQSEGAHSDEFAVGLQDAFQPVAGHDIGQFPGTNYGEQISKSPITVAV >DRNTG_35470.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3771238:3777445:1 gene:DRNTG_35470 transcript:DRNTG_35470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLGGRMATRLIECEQQLVFDHAAQFFTVSDLKFQKLVERWLSQGLVREWKGLVGELEVGGHFKPIPSSSPRYIGVNGMKHLADSVLCQKTMVNIVRPCWISQLDPFNGRWHLSEKGKLHGQFDAIVIAHNGKCANRLLSSSGLPSLTRQMKRLELSAIWALLAAFKDPLPVSCNGTSSTFEGAFVKGIDSVSWMANNTSKLFPSHNGLSQCWTFFSTATYGKQNKVPQESIPAITAEKVKKDMLGGVETALGLTKGTLPLPFYTRVQLWGAALPTNTPATPFIFDPQGRGGICGDWLLGSSVESAALSGMGLANHIADYFQSEGAHSDEFAVGLQDAFQPVAGHDIGQFPGTNYGEQISKSPITVAV >DRNTG_35470.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3771238:3771637:1 gene:DRNTG_35470 transcript:DRNTG_35470.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTLALLSSALNFKPSNPFFSRNPCLPPSPIPLPFIFADVKPSKKQSMDGRTSAPRGKSSNTKKRPSYGTSRRSIIKKSFSQEQVVFTSPVRSDPSRRHNRRWNRRSCLCCHS >DRNTG_15807.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000786.1:64043:65064:-1 gene:DRNTG_15807 transcript:DRNTG_15807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEVQRGDELDRKIDMLMDVGSWKRLLSIREPVIRTLTLEVLPSFEFGQPYGRDLIQFRAFRLHFTMSVTEFTVCIGLYDETYIDTKEYSHSPTNYPALWPHNKLTKFCANRGILSRSVDDRGYHTKGQHGKVEALFAGPHITRLIRRMGLVDATRDADKTVIPSLLGSAKGGGDVAGDSAPTVTEASRCPSALSGAYDRIERLESTVNVLQSEIIEILASPPSPKITITTSTKHPL >DRNTG_30653.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2593058:2594462:-1 gene:DRNTG_30653 transcript:DRNTG_30653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVMNIKAAAMMEISRALGLISYLQAYLCPLIPAKKEMEQATNLLQEIMSSLLQSVTILNSIAAADQRIPYEDTATTVKRRRVHDSTRIVTTNPFCDGYQWKKYGEKDIKNSDFRRKYGLKDIKNSMFPRTYYKCTNEECKARKKVQQQDKNMPSNFMVIYDMQHICNNTVQETKKNLLSPSTSTINFGSILESSSFMMDNINQQEQTLSSISDQFQITNTVLYNDNISVAKAVQGAESTGDNMAGIFSPLSPLDCNEIQNALELFNLVDHEDGSFAEDEDDSLF >DRNTG_01532.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5026105:5028349:-1 gene:DRNTG_01532 transcript:DRNTG_01532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGARALLALATLAAGLLLVSGDPQASCSKSCVAMNCNSMGIRYGKFCGVGWTGCAGEKPCDELDSCCKIHDECVEKKGIMSVKCHEKFKNCIKRVKKSGKVGFSKDCPYEVAMPTMIQGMDMAILFSQFGGPNDEL >DRNTG_01532.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5026105:5028180:-1 gene:DRNTG_01532 transcript:DRNTG_01532.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCNSMGIRYGKFCGVGWTGCAGEKPCDELDSCCKIHDECVEKKGIMSVKCHEKFKNCIKRVKKSGKVGFSKDCPYEVAMPTMIQGMDMAILFSQFGGPNDEL >DRNTG_08726.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4981542:4984109:1 gene:DRNTG_08726 transcript:DRNTG_08726.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASISGFYCSSSSSSSPAAALKLTDEFFDSMEPQHQKVFLFAYEERL >DRNTG_08726.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4981542:4984109:1 gene:DRNTG_08726 transcript:DRNTG_08726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISGFYCSSSSSSSPAAALKLTDEFFDSMEPQHQKVFLFAYEERL >DRNTG_28991.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16757527:16758812:1 gene:DRNTG_28991 transcript:DRNTG_28991.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDTIFDKTARNLREINQEINTTPYLFASGGEPIGKVDVTELNTKSWAQAHRYVLLHHEAIEAFQLEYRNKLREQFQGRRSNAHEIDKKFTETFHEWLGEIICKQANVSEEVRFLAQGPNRIIKSYKGYIINGFRFHTKSRERFRRTQNSGCLVTSSTTRYATARDPNPSQGNVDYYGIINDIIELDYFGKCKVVLFRCDWADVNTSRGSRKDAYGFTMVNFNRLIHTGDHILDEPYVFSSQVKQVFYSEEPKEAGWSIVIRNQPREVFDMGDEFVENGSRTECFPSSDANILDANNDGQWLREDFTEDIYVS >DRNTG_28991.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16760755:16763782:1 gene:DRNTG_28991 transcript:DRNTG_28991.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLARCGQRVGLHYENWHKVPKILKEELLKFVELRFILEISREFVLKSLGKKWRDYKHDLKKRYFKREVGPQANKDNHPDGTIRWQWEELVDFWYSRKWEDAEKIGHACRMQQKYTHTSGSKSFASQKKEMELSRGEKISRFEFFKATHTKKDGSHVNVETENILEQANEMLGQYEGTNDDAHMVESEILTKVIGKERHGRVRGLGLGPTPKMYYGSSTSKVSTSASNKTGKSDDNFNQELVQRVQQLEQEREQERRDREQERAHNNALVTFLQN >DRNTG_28991.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16755910:16763782:1 gene:DRNTG_28991 transcript:DRNTG_28991.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPFTHAISELCNVLKILCGKVLNVDELDKLQLRAAICLCQLEKIFPPSFFTVMVHLVIHLPLEAKLGGPVHYRWMYPIERFLLKLKTYVRNKRYPEGSIAEGFLVEECVTFCSRYMVDVDTIFDKTARNLREINQEINTTPYLFASGGEPIGKVDVTELNTKSWAQAHRYVLLHHEAIEAFQLEYRNKLREQFQGRRSNAHEIDKKFTETFHEWLGEIICKQANVSEEVRFLAQGPNRIIKSYKGYIINGFRFHTKSRERFRRTQNSGCLVTSSTTRYATARDPNPSQGNVDYYGIINDIIELDYFGKCKVVLFRCDWADVNTSRGSRKDAYGFTMVNFNRLIHTGDHILDEPYVFSSQVKQVFYSEEPKEAGWSIVIRNQPREVFDMGDEFVENGSRTECFPSSDANILDANNDGQWLREDFTEDIYVS >DRNTG_28991.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16751772:16763782:1 gene:DRNTG_28991 transcript:DRNTG_28991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPNVQFLHHLMIQIPTKACASNEALNLVAVTSAGKRVRRGPTTLKELYSLPPNEKILVSSNELGQPIGPEGQLLAGFLGMLARCGQRVGLHYENWHKVPKILKEELLKFVELRFILEISREFVLKSLGKKWRDYKHDLKKRYFKREVGPQANKDNHPDGTIRWQWEELVDFWYSRKWEDAEKIGHACRMQQKYTHTSGSKSFASQKKEMELSRGEKISRFEFFKATHTKKDGSHVNVETENILEQANEMLGQYEGTNDDAHMVESEILTKVIGKERHGRVRGLGLGPTPKMYYGSSTSKVSTSASNKTGKSDDNFNQELVQRVQQLEQEREQERRDREQERAHNNALVTFLQN >DRNTG_06492.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000289.1:33293:37836:-1 gene:DRNTG_06492 transcript:DRNTG_06492.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNGALTFDVEYARWLEEHSRQIGDLRNAVNSHASDVDLRVTVDGVMAHYDEIFKLKGAAAKADVFYMLSGMWKTPAERCFMWLGGFRSSELLKLLVSQLEPLTEQQLVGICNLQQSSQQAEDALSQGMDALQQSLAETLASGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLQQMHRILTVRQSARALLAI >DRNTG_06492.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000289.1:33534:39418:-1 gene:DRNTG_06492 transcript:DRNTG_06492.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSPRTDTSTDVDTDEKNQGNEQGQHVAVGASDSSGRSKDKTDQKAYVQQLESSRLKLTQLEQELHRARQQGIFISNSGDQAHSMNGNGALTFDVEYARWLEEHSRQIGDLRNAVNSHASDVDLRVTVDGVMAHYDEIFKLKGAAAKADVFYMLSGMWKTPAERCFMWLGGFRSSELLKLLVSQLEPLTEQQLVGICNLQQSSQQAEDALSQGMDALQQSLAETLASGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQ >DRNTG_06492.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000289.1:33293:36480:-1 gene:DRNTG_06492 transcript:DRNTG_06492.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNGALTFDVEYARWLEEHSRQIGDLRNAVNSHASDVDLRVTVDGVMAHYDEIFKLKGAAAKADVFYMLSGMWKTPAERCFMWLGGFRSSELLKLLVSQLEPLTEQQLVGICNLQQSSQQAEDALSQGMDALQQSLAETLASGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLQQMHRILTVRQSARALLAI >DRNTG_06492.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000289.1:33293:39418:-1 gene:DRNTG_06492 transcript:DRNTG_06492.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSPRTDTSTDVDTDEKNQGNEQGQHVAVGASDSSGRSKDKTDQKAYVQQLESSRLKLTQLEQELHRARQQGIFISNSGDQAHSMNGNGALTFDVEYARWLEEHSRQIGDLRNAVNSHASDVDLRVTVDGVMAHYDEIFKLKGAAAKADVFYMLSGMWKTPAERCFMWLGGFRSSELLKLLVSQLEPLTEQQLVGICNLQQSSQQAEDALSQGMDALQQSLAETLASGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLQQMHRILTVRQSARALLAI >DRNTG_23398.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22291751:22293804:-1 gene:DRNTG_23398 transcript:DRNTG_23398.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTATSNPHYCYNIVLPKPLLFLVSVVDGLWLAITKALFQVGLVSSPTTLLAPPWDHAYVSDLTMHQPKNNLFTVLPVMKFSSLRKSSKEAGDCKEDEAVCGVCLSRLEEKHEVVGFRGVIDRVRAERYRARARARLKIRYSILGSSSIEYLFYSSSSTRLASTRAKYHNTRTRLARLLELLELELGSTVTEPSSSFHRIELE >DRNTG_15574.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:519735:522684:-1 gene:DRNTG_15574 transcript:DRNTG_15574.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAKQSLKDHEQSLMEAIARLGDVTDGESEGEHQFSQGQDMGDRGWRNPRQYPGNQHGANNYRANMVGGQGREGSEGDQMIDDDL >DRNTG_15574.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:519735:522684:-1 gene:DRNTG_15574 transcript:DRNTG_15574.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAKQSLKDHEQSLMEAIARLGDVTDGESEEGEHQFSQGQDMGDRGWRNPRQYPGNQHGANNYRANMVGGQGREGSEGDQMIDDDL >DRNTG_01925.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32383822:32387760:-1 gene:DRNTG_01925 transcript:DRNTG_01925.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactan beta-1,4-galactosyltransferase GALS1 [Source:Projected from Arabidopsis thaliana (AT2G33570) UniProtKB/Swiss-Prot;Acc:O22807] MRKDGGGGGGATAAAAAAAAAASGTSAMVLPCLDMKPFVATLVVITLVMAIWQLQPYESLLSTTRPSASCPTIPIPSTIANTSNTNLITPLPMQKISSSSLASKDNTTISTTKRSITPRQEPPQDPNKRVFRPYGSAAALFVQMGAYRGGPATFAIVGLASKPIHVFGKPWFKCEWVPNDPSRPNVRAKAYKMLPDWGYGRVYTVVVVNCTFPSNPNLDNSGGKLLLYAYYSPSPRRFEKLVALEEAPGSYDESRFRPPFQYDYLYCGSSLYGSLSSSRIREWMAYHAYFFGPRSHFVFHDAGGVGPEVRAVLEPWIRAGRATLQDIRAQADYDGYYYNQFLIVNDCLHRYRHAANWTFYFDVDEYIYIPGNKTLESVLHELSPFTQFTIEQNPMSSKLCVFDPRKKYSTEWGFEKLVFRNSITGIRRDRKYAIQAKNAYATGVHMSENVIGPTTHKTESMIRYYHYHDSINVLGEPCREFVPMPRKGNVTWFEKIPYVYDDNMKRMADTIKQFEEQTIRAFRV >DRNTG_01925.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32384321:32387841:-1 gene:DRNTG_01925 transcript:DRNTG_01925.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactan beta-1,4-galactosyltransferase GALS1 [Source:Projected from Arabidopsis thaliana (AT2G33570) UniProtKB/Swiss-Prot;Acc:O22807] MRKDGGGGGGATAAAAAAAAAASGTSAMVLPCLDMKPFVATLVVITLVMAIWQLQPYESLLSTTRPSASCPTIPIPSTIANTSNTNLITPLPMQKISSSSLASKDNTTISTTKRSITPRQEPPQDPNKRVFRPYGSAAALFVQMGAYRGGPATFAIVGLASKPIHVFGKPWFKCEWVPNDPSRPNVRAKAYKMLPDWGYGRVYTVVVVNCTFPSNPNLDNSGGKLLLYAYYSPSPRRFEKLVALEEAPGSYDESRFRPPFQYDYLYCGSSLYGSLSSSRIREWMAYHAYFFGPRSHFVFHDAGGVGPEVRAVLEPWIRAGRATLQDIRAQADYDGYYYNQFLIVNDCLHRYRHAANWTFYFDVDEYIYIPGNKTLESVLHELSPFTQFTIEQNPMSSKLCVFDPRKKYSTEWGFEKLVFRNSITGIRRDRKYAIQAKNAYATGVHMSENVIGPTTHKTESMIRYYHYHDSINVLGEPCREFVPMPRKGNVTWFEKIPYVYDDNMKRMADTIKQFEEQTIRAFRV >DRNTG_01925.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32384186:32387841:-1 gene:DRNTG_01925 transcript:DRNTG_01925.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactan beta-1,4-galactosyltransferase GALS1 [Source:Projected from Arabidopsis thaliana (AT2G33570) UniProtKB/Swiss-Prot;Acc:O22807] MRKDGGGGGGATAAAAAAAAAASGTSAMVLPCLDMKPFVATLVVITLVMAIWQLQPYESLLSTTRPSASCPTIPIPSTIANTSNTNLITPLPMQKISSSSLASKDNTTISTTKRSITPRQEPPQDPNKRVFRPYGSAAALFVQMGAYRGGPATFAIVGLASKPIHVFGKPWFKCEWVPNDPSRPNVRAKAYKMLPDWGYGRVYTVVVVNCTFPSNPNLDNSGGKLLLYAYYSPSPRRFEKLVALEEAPGSYDESRFRPPFQYDYLYCGSSLYGSLSSSRIREWMAYHAYFFGPRSHFVFHDAGGVGPEVRAVLEPWIRAGRATLQDIRAQADYDGYYYNQFLIVNDCLHRYRHAANWTFYFDVDEYIYIPGNKTLESVLHELSPFTQFTIEQNPMSSKLCVFDPRKKYSTEWGFEKLVFRNSITGIRRDRKYAIQAKNAYATGVHMSENVIGPTTHKTESMIRYYHYHDSINVLGEPCREFVPMPRKGNVTWFEKIPYVYDDNMKRMADTIKQFEEQTIRAFRV >DRNTG_01925.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32384321:32387977:-1 gene:DRNTG_01925 transcript:DRNTG_01925.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactan beta-1,4-galactosyltransferase GALS1 [Source:Projected from Arabidopsis thaliana (AT2G33570) UniProtKB/Swiss-Prot;Acc:O22807] MRKDGGGGGGATAAAAAAAAAASGTSAMVLPCLDMKPFVATLVVITLVMAIWQLQPYESLLSTTRPSASCPTIPIPSTIANTSNTNLITPLPMQKISSSSLASKDNTTISTTKRSITPRQEPPQDPNKRVFRPYGSAAALFVQMGAYRGGPATFAIVGLASKPIHVFGKPWFKCEWVPNDPSRPNVRAKAYKMLPDWGYGRVYTVVVVNCTFPSNPNLDNSGGKLLLYAYYSPSPRRFEKLVALEEAPGSYDESRFRPPFQYDYLYCGSSLYGSLSSSRIREWMAYHAYFFGPRSHFVFHDAGGVGPEVRAVLEPWIRAGRATLQDIRAQADYDGYYYNQFLIVNDCLHRYRHAANWTFYFDVDEYIYIPGNKTLESVLHELSPFTQFTIEQNPMSSKLCVFDPRKKYSTEWGFEKLVFRNSITGIRRDRKYAIQAKNAYATGVHMSENVIGPTTHKTESMIRYYHYHDSINVLGEPCREFVPMPRKGNVTWFEKIPYVYDDNMKRMADTIKQFEEQTIRAFRV >DRNTG_14312.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17093521:17094547:-1 gene:DRNTG_14312 transcript:DRNTG_14312.1 gene_biotype:protein_coding transcript_biotype:protein_coding QIAIGTDDVYRTAEAIKICGGKIIREPGPLPGINTKITACLDPDGWKTVFVDNIDFTTELE >DRNTG_11880.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15205258:15206457:1 gene:DRNTG_11880 transcript:DRNTG_11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQRPKSLSKRTKALQMKNKKSTMVARQIPNNLPLQPH >DRNTG_23528.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22282693:22282974:1 gene:DRNTG_23528 transcript:DRNTG_23528.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKATHKASPIGDKTIHRREKRRGLGSFSTPGSSRRSRLSCSALTALTIPPM >DRNTG_23528.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22283149:22291390:1 gene:DRNTG_23528 transcript:DRNTG_23528.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDGERTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIIDMAEKDDSEGRCPACRTPYDKERIVGMAATCERVVAEVNAEKRQKPQKSKPKTSAEARKHLSGVRVIQRNLVYIIGLPSNLSDENLLERKEYFGQYGKVLKVSIARPTSAAQQASNSNTFSVYITYAREDEAIRCIQAVHNFALEGKPLRACFGTTKYCHTWLRNMTCSNPDCLYLHDIGSQEDSFTKDEIISAYTRSRVPQIASSNLQRRSGSVLPPPADDFYIDGTVSSKHMVKGAPANSSSQVKVSLPGNISVAKPTVLPAAASWGTRVSNCRQPAASVACSQSPAKQKADINNAPALISSVVANTRQNSAWHDDVVSASKASEGRNGASSVCRSRPLEQLNPSIDGDSMKSLSDLSSEALDVNSVSVASAWDDDETTIYKAPEEKHMKLHVGRSGPLECLDSTVVRDYGQHGSDLSLEPVLDADYSAETTMNSSSDSLPPASKDVDRGVTAPRSRKFLHNASEGLIGQSSSSTSGSIAAVGGTANSGNGQDASLMLPSINIDNQSNRILHQNSVVAPLSVGIPVSTSLRLQQCQKELVVKNDSLTLLDDASLMVDVNSTRESVCSSPEYKQFAPCTIKQSEGVALSSDDQNHEYSDISSQSPWSYLHQTTCRSSINSWNNEPESLNPIGNGLTKSVPLESDGGFLEREKESILPNGHIHNGVIRSNHRMESDISSLKDKVNCLEISSSSNAIDETSNVDTGESSIISDILALDFDPWGDCSASDSLVSMLRETDKQEGSFKLSNSWKIQNNNESRFSFARHENQLSSSEHSFADHSQKLSSSSCYSYGESFQDGLLLNGLAAPTSFASSNSTISADKVAGGSRAKISAPPGFSVRSKAPPPGFSSHDRFDLVHDMQYSENYLNSISLSNQYQPHFIENTSDVEFYDPAILAVGKGRVPLGVNNSGVGLPLAYPVQTSTSETDPRLQLLLQQPITAQHDLRIPDHFSDRFFLSNDSYMPSRILAHNVSSVSPLAPMSFQQPRNPHVQNQWNGWTDAQAGNGVSIADILRNESFGLHNYFPGNDEHKFHVPSAADLYNRSFGM >DRNTG_23528.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22282693:22291390:1 gene:DRNTG_23528 transcript:DRNTG_23528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATHKASPIGDKTIHRREKRRGLGSFSTPGSSRRSRLSCSALTALTIPPMTTMSDDGERTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIIDMAEKDDSEGRCPACRTPYDKERIVGMAATCERVVAEVNAEKRQKPQKSKPKTSAEARKHLSGVRVIQRNLVYIIGLPSNLSDENLLERKEYFGQYGKVLKVSIARPTSAAQQASNSNTFSVYITYAREDEAIRCIQAVHNFALEGKPLRACFGTTKYCHTWLRNMTCSNPDCLYLHDIGSQEDSFTKDEIISAYTRSRVPQIASSNLQRRSGSVLPPPADDFYIDGTVSSKHMVKGAPANSSSQVKVSLPGNISVAKPTVLPAAASWGTRVSNCRQPAASVACSQSPAKQKADINNAPALISSVVANTRQNSAWHDDVVSASKASEGRNGASSVCRSRPLEQLNPSIDGDSMKSLSDLSSEALDVNSVSVASAWDDDETTIYKAPEEKHMKLHVGRSGPLECLDSTVVRDYGQHGSDLSLEPVLDADYSAETTMNSSSDSLPPASKDVDRGVTAPRSRKFLHNASEGLIGQSSSSTSGSIAAVGGTANSGNGQDASLMLPSINIDNQSNRILHQNSVVAPLSVGIPVSTSLRLQQCQKELVVKNDSLTLLDDASLMVDVNSTRESVCSSPEYKQFAPCTIKQSEGVALSSDDQNHEYSDISSQSPWSYLHQTTCRSSINSWNNEPESLNPIGNGLTKSVPLESDGGFLEREKESILPNGHIHNGVIRSNHRMESDISSLKDKVNCLEISSSSNAIDETSNVDTGESSIISDILALDFDPWGDCSASDSLVSMLRETDKQEGSFKLSNSWKIQNNNESRFSFARHENQLSSSEHSFADHSQKLSSSSCYSYGESFQDGLLLNGLAAPTSFASSNSTISADKVAGGSRAKISAPPGFSVRSKAPPPGFSSHDRFDLVHDMQYSENYLNSISLSNQYQPHFIENTSDVEFYDPAILAVGKGRVPLGVNNSGVGLPLAYPVQTSTSETDPRLQLLLQQPITAQHDLRIPDHFSDRFFLSNDSYMPSRILAHNVSSVSPLAPMSFQQPRNPHVQNQWNGWTDAQAGNGVSIADILRNESFGLHNYFPGNDEHKFHVPSAADLYNRSFGM >DRNTG_16673.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000836.1:4194:5793:1 gene:DRNTG_16673 transcript:DRNTG_16673.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDSEPPAVISDRRPPPSWPHEGSIDFQELKVKYRPNAPYVLKGITCRIMAGNKVGVVGRTGSGKTTLIGALFRLLEPAAGRILIDSLDICSIGLKDLRMKLSIIPQEPTLFKGTVRSNLDPLGLHSDQEIWEALEKCQLKTVISSDPSRLDSSVSDDGENWSAGQRQLFCLGRVLLRKNKIIVLDEATASIDSATDAVLQRIIRQEFSSCTVVTIAHRVPTVTDSDMVMLLSYGKLVEYDKPLELMERKDSYFSKLVAEYWSNCKGESR >DRNTG_16673.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000836.1:4194:4806:1 gene:DRNTG_16673 transcript:DRNTG_16673.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDSEPPAVISDRRPPPSWPHEGSIDFQELKVKYRPNAPYVLKGITCRIMAGNKVGVVGRTGSGKTTLIGALFRLLEPAAGRILIDSLDICSIGLKDLRMKLSIIPQEPTLFKGTVRSNLDPLGLHSDQEIWE >DRNTG_16673.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000836.1:1048:5793:1 gene:DRNTG_16673 transcript:DRNTG_16673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVGLYALLKTISVSSSPILLYAFVSYSNREEDDLSWGLSLVVLLIVAKVVESLSQSHWFFNSRRCGMRMRSALMAAIFQKQFKLSSLGRRNHSAGEIVNYISVNAYRLGEFPWWFHMAWSLPVQLFLSVIILLKAVGSGALPGLVPLVFCAAINVPFAKILQGYQSKFMVAQDERLRTTSAALNNMKIIKLQSWEENFRQVIESLRGIEFKWLSKTQNEKTYSTALYWMAPTVVSAVVFAGTTMMRSAPLNAVTIFTVLASLRVMSEPVRLLPEVLSTLIQVKVSLDRIEVFLQEDEVKEDVVKRNPLTNSELSVKIENGVFSWDPDSSIPTLKNVDVDFRRGNKISVCGAVGSGKSSLLCSILGEIPKLSGSVDVYGSVAYVAQGSWIQSGTIRDNVLFGKPMNKAKYEMAIRCCALDKDIENFDHGDMTEIGQRGLNMSGGQKQRVQLARAVYNDADIYLLDDPFSAVDAHTAAILFHDCVMSALQDKTVILVTHQVEFLAETDRILVMENGLVVQSGSYSEILKTGTAFEQLVHAHKSAMTAVHSVTKRAIDDQFASEVNPQVKKNSETEITAPSAIQLTEDEEKAIGNFGWKPYKDYLQVSKGYMHFIFAVLSQCAFILFQILSTYWLAVAVQLTQIGGGVVVGVYAAVSILSCCFVCVRSWIAAKLGLKASKEFFSAFMDSVFRAPMLFFDSTPVGRILTRASSDMSILDFDIPCCLSYVISGASEIVGIIVIMSTVTWQVLLVAIPVTILTLYVQRYYLASARELVRINGTTKAPVVNYVGETILGVVTIRAFAMMDRFIQANLRLIDTDATLFFHTIATMEWVLIRAEALQNLTILTSALFFILLPCGSIPPGFVGLALSYALTLSSAQVFTTRWYSNLENYIISVERIKQFMHLDSEPPAVISDRRPPPSWPHEGSIDFQELKVKYRPNAPYVLKGITCRIMAGNKVGVVGRTGSGKTTLIGALFRLLEPAAGRILIDSLDICSIGLKDLRMKLSIIPQEPTLFKGTVRSNLDPLGLHSDQEIWEALEKCQLKTVISSDPSRLDSSVSDDGENWSAGQRQLFCLGRVLLRKNKIIVLDEATASIDSATDAVLQRIIRQEFSSCTVVTIAHRVPTVTDSDMVMLLSYGKLVEYDKPLELMERKDSYFSKLVAEYWSNCKGESR >DRNTG_23227.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6726906:6731053:1 gene:DRNTG_23227 transcript:DRNTG_23227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEWLCTDNIDRRRGISVDEFIARFEEPNRPVLLEGCIDAWPAISKWAPDWLIRTCGDKRFAVGPVKMTMERYFRYAAAAREERPLYLFDPRFAEKAPELGSDYEVPVFFREDLFGVLGEQRPDYRWIIIGPAGSGSSFHVDPNSTSAWNAVIKGSKKWIMFPPEVVPPGVHPSPDGAEVACPVSIMEWFMNFYSVCRKWKKKPVECVCKAGEVVFVPNGWWHLVINLEDSIAITHNFVSRRNLLNVLDFLKKPNASELVSGTKDRTSLHDKFKNAIEAAYPGTIDELILKAKEKIAREKKPTFWESVADANVGGFKFSF >DRNTG_25530.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2955978:2957747:-1 gene:DRNTG_25530 transcript:DRNTG_25530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDEDVELPIFDLSVILEATDNFAPENKLGQGGFGPVYKGKLEDGLEIAVKRLSKDSLQGIQEFKNEVMLITKLQHRNLVRLLGCCLQVEDRMLIYEYMPNKSLDAFLFDKEKSASLDWQTRYQVILGVARGLLYLHQESRVTIIHRDLKAGNILLDETMNPKISDFGMARIFGGDDSATKTRKVVGTYGYMAPEYAMDGVFSVKSDVYSYGVLVLEIISGQKNRGVYLSNPDLCLLGKAWDLWNKGEILELVDSSITSDSYSVSQVMRCIQMGLLCVQENPKDRPTMSSVVFMLGTSNVVLAQPKQPGFLAKRGSYLSTSSSNQEPSHATDTLTILEGR >DRNTG_25530.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2955978:2959590:-1 gene:DRNTG_25530 transcript:DRNTG_25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSTIISITTFFLYYLFHPCFTTDTLSPTQPLSENQTLVSASGTFTLGFFTTTNISNNRYVGIWYHNLPIQTIVWVANRQHPISQSSTGVLTLTTNGTLIITSIQHNSTTIIWSSTPPTTTINLNNNPVAQLLDNGNFVVKNQLTNDVVAWQSFDYPTDTLLPGMKLGWDFTTGLNHNLTSWSSSGDPSPGDYSVAMDVRGDPQLVVSDRSVRKWRSGPWTGFSFDSIPEMAAYTRNGFNFDFVNNSTGIYYSYEVSNRSIITRLVVNHTGKTERFVWLGQSGWSVFWYTPRTQCSGLDSCGPNGVCGLFSSPMCRCLKGFKPRSPRDWDLLDGSDGCVRKEPLDCLNGTDGFLKMTAAQLPDTWSSFVDQSLGLDECKAKCLMNCSCTAYANADVRAGGSGCILWFGDFTGLGAFQSGQDLYVRLAASEFASSGKKKSVNGVVIVIVVVIAVLVLLTALACTAYCVCRKKKKRRGNGTGIDHHNHEDEMKDEDVELPIFDLSVILEATDNFAPENKLGQGGFGPVYKGKLEDGLEIAVKRLSKDSLQGIQEFKNEVMLITKLQHRNLVRLLGCCLQVEDRMLIYEYMPNKSLDAFLFDKEKSASLDWQTRYQVILGVARGLLYLHQESRVTIIHRDLKAGNILLDETMNPKISDFGMARIFGGDDSATKTRKVVGTYGYMAPEYAMDGVFSVKSDVYSYGVLVLEIISGQKNRGVYLSNPDLCLLGKAWDLWNKGEILELVDSSITSDSYSVSQVMRCIQMGLLCVQENPKDRPTMSSVVFMLGTSNVVLAQPKQPGFLAKRGSYLSTSSSNQEPSHATDTLTILEGR >DRNTG_25530.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2955978:2956879:-1 gene:DRNTG_25530 transcript:DRNTG_25530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSGYMAPEYAMDGVFSVKSDVYSYGVLVLEIISGQKNRGVYLSNPDLCLLGKAWDLWNKGEILELVDSSITSDSYSVSQVMRCIQMGLLCVQENPKDRPTMSSVVFMLGTSNVVLAQPKQPGFLAKRGSYLSTSSSNQEPSHATDTLTILEGR >DRNTG_21031.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:169663:171698:-1 gene:DRNTG_21031 transcript:DRNTG_21031.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RABA3 [Source:Projected from Arabidopsis thaliana (AT1G01200) UniProtKB/TrEMBL;Acc:A0A178W3F7] MMEYEGEVEKGEEGGVVEIDYVFKIVVIGDSAVGKTQLLGRFTRDEFILDSKSTIGVEFQTRTLTLNRKRIKAQIWDTAGQERYRAVTSAYYRGALGAMLVYDITKRQTFDHVARWLEELRAHADNSIVVMLIGNKADLVNQRAVSTEDAIEFAEEQGLFFSETSALSGDNVEHAFLRIMEEIHGVVSKKALESEEGKKKGELDILTLKGAKVSVLNDGSIMETSAMKKGYPCSCL >DRNTG_08334.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19866141:19869021:-1 gene:DRNTG_08334 transcript:DRNTG_08334.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQTSQSSQIIEVTVDMPAGVSSLGGRRICGGAACDFSDSKSTLKDSKERSASMRKLIIAVILCIIFMSVEVIGGIKANSLAILTDAAHLLSDVAAFAISLFSLWASGWEATPRQSYGFFRIEILGALVSIQLIWLLTGILVFEAISRMINPSGEVQGFLMFAVSTFGLVVNIIMAVLLGHDHGHGGHGHGHAGHSHGHGGHGHDKHSHGHEKSGDYHSHHHDDHDHDHVGEHGHSVSFSTHHHHSREDKKDVHEPLLKHSDSEKGSSDGKKANQRNINVHSAYLHVLGDCFQSIGVMIGGAIIWAYPKWTIIDLICTLVFSVIVLTTTIKMLRNILEVLMESTPREIDATRLENGLCEMDDVVAIHELHIWAITVGKVLLACHVTITPEADAEHVLDKVIGYIRREYNISHVTIQIERA >DRNTG_08334.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19866104:19869021:-1 gene:DRNTG_08334 transcript:DRNTG_08334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQTSQSSQIIEVTVDMPAGVSSLGGRRICGGAACDFSDSKSTLKDSKERSASMRKLIIAVILCIIFMSVEVIGGIKANSLAILTDAAHLLSDVAAFAISLFSLWASGWEATPRQSYGFFRIEILGALVSIQLIWLLTGILVFEAISRMINPSGEVQGFLMFAVSTFGLVVNIIMAVLLGHDHGHGGHGHGHAGHSHGHGGHGHDKHSHGHEKSGDYHSHHHDDHDHDHVGEHGHSVSFSTHHHHSREDKKDVHEPLLKHSDSEKGSSDGKKANQRNINVHSAYLHVLGDCFQSIGVMIGGAIIWAYPKWTIIDLICTLVFSVIVLTTTIKMLRNILEVLMESTPREIDATRLENGLCEMDDVVAIHELHIWAITVGKVLLACHVTITPEADAEHVLDKVIGYIRREYNISHVTIQIERA >DRNTG_13555.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6617606:6619544:1 gene:DRNTG_13555 transcript:DRNTG_13555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIQPLPRTHTNLPCISHSPLPRISSSLETNNPKQQASTSTPTPGKIKRLVLSPEGRTKLNRSPDRDFYSFPRFVTHVDDGFISTLTDVYRERLKPGWEILDLMSSWVSHLPKEVEYKRVVGHGMNAMELARNNRLEYFFVKDLNLEQRLPLEDSSFDAVLCTVSIQYLQCPEKVYAEVFRVLKPGGVFIISFSNRLFYEKAISAWRDGTSYSRVQLVIQYFQCVDGFTQPEVIKRLPANVDTSPLTWLLRLLGVSTSDPFYAVIAHKNFKPI >DRNTG_03642.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10769452:10771174:-1 gene:DRNTG_03642 transcript:DRNTG_03642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSDHAAKNASGCDASGATRSDLILDVTPHSSITPIHAGSTRSAPLPDVTPHSSTPSSSDDSNLNDEFATNNTSTRLDNLHPINEGSDLNSIDNQGQQRRRGQTTIKELWTLLPQERILVSFNQLGQPIGPEAHLLVVFLDEDMQMVESEILTQVIGKEHCGRVRGVRLGPTPKSYYGGLSNQKSTNSNTQSSELVERLHQMEEEMQKMKDERMQERAQVEQQTNQYNALLIFLQNQFPGVTIPGINNIGSSSQSQVL >DRNTG_29727.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:579000:582684:-1 gene:DRNTG_29727 transcript:DRNTG_29727.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 16 [Source:Projected from Arabidopsis thaliana (AT3G43860) UniProtKB/Swiss-Prot;Acc:Q8VYG3] MRSLSLKSSLAIFFAWLTFSNCFLEVVSKLNYKDALSKSILFLEAQRSGKLPRNKRIPWRGDSGLTDGKLSNVDLVGGYYDAGDNVKYGLPMAFTITTLAWAAIAYESEMKQMGELGNLHSAIKWGTDYFLKASHKKNRLWVQVGDPVKDHECWTRPENMRTPRTLYLIDEHVPGTEIAAETAAAMAASSIVFRKYDHLYSRRLLNKAKLVILPESPNRQVFFTPGFGKNSPKQAHHRGASVPVLSPQTEVNCGMSFNEWFNNDRPNPNELTGAIVGGPDRQDKFIDKRSQSSMLEPCTYINSLAVGVLAKLAAHSS >DRNTG_14165.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10047811:10051343:-1 gene:DRNTG_14165 transcript:DRNTG_14165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLEKALTKFIQSSDTRFQSVEAILHNHTALLHNLENQEGQIEILCSDPMEGWLDQEVENEEILSLDLEDKLKPTSGVMKKMIQKLKRTRRRHKKRPNTSGDEKVRSKGMAPRSKKTTTRHPREPSPKLMEFVILEYYVRFEHLWRLKFGQLRFHNLSALREIHLGDEMADKIVELIFVGRWSFDNIDAIQFRAFGQYHSMSVTQFLI >DRNTG_15325.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15551062:15554494:-1 gene:DRNTG_15325 transcript:DRNTG_15325.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNLGVANKLMYIYADHKDLHNASALFSRMDHRDNVSWSVMVGGLAKTGDYLRCLEIFREFVRSGACADNFTIPFVLRVCRDTESVRMGLEIHHLVYKFGLQSDVFVAAALVDMYVKCGFLEDARKVFDKMRTKDLVSWTVMIAGYAECGNPGEALVLFDRMQESGIVPDKVMMVTVAFACAKLGAMHKAKIVHEYIGRRNFSLNVILGTAMIDMYAKCGSVDAAREIFDRMNEKNVITWSSMMSAYGIHGHGRKAIELFPQMLESGIRPNRITFVSILYACSHAGLIDEGRRFFYSMWREYLVEPDVKHYTCMIDLLGRAGRLDEAIELIENTPVEKDEGFWGAFLGACRIHGNIQFAEKAAKALLELCPRNAGYYVLLSNIYAKFSRWEDVAKMRELMTSMRVKKTPGWSWIEINNEIHQFRVGDKNHPRSKEIYEMLKVLSEKLELAGYVPDTNFVLHDVDEELKAAYLYTHSEKLATAYGLLATPEGTTIRIIKNLRVCGDCHTFMKLISAITQREIVFRDANRFHHFKEGSCSCGDYW >DRNTG_15325.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15550527:15554494:-1 gene:DRNTG_15325 transcript:DRNTG_15325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNLGVANKLMYIYADHKDLHNASALFSRMDHRDNVSWSVMVGGLAKTGDYLRCLEIFREFVRSGACADNFTIPFVLRVCRDTESVRMGLEIHHLVYKFGLQSDVFVAAALVDMYVKCGFLEDARKVFDKMRTKDLVSWTVMIAGYAECGNPGEALVLFDRMQESGIVPDKVMMVTVAFACAKLGAMHKAKIVHEYIGRRNFSLNVILGTAMIDMYAKCGSVDAAREIFDRMNEKNVITWSSMMSAYGIHGHGRKAIELFPQMLESGIRPNRITFVSILYACSHAGLIDEGRRFFYSMWREYLVEPDVKHYTCMIDLLGRAGRLDEAIELIENTPVEKDEGFWGAFLGACRIHGNIQFAEKAAKALLELCPRNAGYYVLLSNIYAKFSRWEDVAKMRELMTSMRVKKTPGWSWIEINNEIHQFRVGDKNHPRSKEIYEMLKVLSEKLELAGYVPDTNFVLHDVDEELKAAYLYTHSEKLATAYGLLATPEGTTIRIIKNLRVCGDCHTFMKLISAITQREIVFRDANRFHHFKEGSCSCGDYW >DRNTG_15434.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14945198:14945891:1 gene:DRNTG_15434 transcript:DRNTG_15434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSATSEDGTNVDAVHGQAATEEHEESVSDSCLPHGDEQREEESIVPDIGNLEQIRALVAFLEAGLRFVKCITSTMATLVQLLASSSVTDVEYTILLLMRCKQFQFEGSEACLRKMLPLVFSLDKPIYEAVENAFITIDIRKDPEENAKNLLNLALDSSIGDLAALACLVGSLVSKGEISTSTVCTFISID >DRNTG_12959.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8086768:8087231:-1 gene:DRNTG_12959 transcript:DRNTG_12959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDDVMDAFVCIIQKSLSIVLYPYKKRASITRPLPLFMSKEDDSHETTMAMIGDAACNLHDIKIVILPIIMNGHFHVVVLDNNKQEYRHYSSCQSEMYDKYALEMWRLFDICIDMEFGESATAKY >DRNTG_35234.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18045283:18053432:1 gene:DRNTG_35234 transcript:DRNTG_35234.12 gene_biotype:protein_coding transcript_biotype:protein_coding MFKTRNLMQMKVMSGKKEDVDRIDGVKESFDSMHLKTRQLSIPRERVGEQMLETTLASEDASVEEEKRGNTNELSEDKVSFKSSYMSGSSFPPDDNGSEELCKAIVVEDVADDMKQKAIMDWRKYELVTTKLSLELAEQLRLVLEPTLASKLQGDYKTGKRINMKKVIPYIASHFRKDKIWLRRTRPNKRDYQIVVAVDDSRSMSESNCGDFAIESLVTVCRAMQQLEVGQLAVVSFGEKGNIKLLNDFERPFSRETGIQMISSLSFKQDNTIADEPVLDLLKYLNNMLDAAVAKARMPSGQNPLNQLILIIADGRFHEKESLKRHIRDLLSRRRMIAFILLDSPHESIMDLNEASFEGQTLSFKRYLDSFPFPYYIVLRNIEALPRTLADLLRQWFELMQSVSD >DRNTG_35234.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18045118:18053432:1 gene:DRNTG_35234 transcript:DRNTG_35234.11 gene_biotype:protein_coding transcript_biotype:protein_coding MFKTRNLMQMKVMSGKKEDVDRIDGVKESFDSMHLKTRQLSIPRERVGEQMLETTLASEDASVEEEKRGNTNELSEDKVSFKSSYMSGSSFPPDDNGSEELCKAIVVEDVADDMKQKAIMDWRKYELVTTKLSLELAEQLRLVLEPTLASKLQGDYKTGKRINMKKVIPYIASHFRKDKIWLRRTRPNKRDYQIVVAVDDSRSMSESNCGDFAIESLVTVCRAMQQLEVGQLAVVSFGEKGNIKLLNDFERPFSRETGIQMISSLSFKQDNTIADEPVLDLLKYLNNMLDAAVAKARMPSGQNPLNQLILIIADGRFHEKESLKRHIRDLLSRRRMIAFILLDSPHESIMDLNEASFEGQTLSFKRYLDSFPFPYYIVLRNIEALPRTLADLLRQWFELMQSVSD >DRNTG_35234.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18044633:18053432:1 gene:DRNTG_35234 transcript:DRNTG_35234.9 gene_biotype:protein_coding transcript_biotype:protein_coding MFKTRNLMQMKVMSGKKEDVDRIDGVKESFDSMHLKTRQLSIPRERVGEQMLETTLASEDASVEEEKRGNTNELSEDKVSFKSSYMSGSSFPPDDNGSEELCKAIVVEDVADDMKQKAIMDWRKYELVTTKLSLELAEQLRLVLEPTLASKLQGDYKTGKRINMKKVIPYIASHFRKDKIWLRRTRPNKRDYQIVVAVDDSRSMSESNCGDFAIESLVTVCRAMQQLEVGQLAVVSFGEKGNIKLLNDFERPFSRETGIQMISSLSFKQDNTIADEPVLDLLKYLNNMLDAAVAKARMPSGQNPLNQLILIIADGRFHEKESLKRHIRDLLSRRRMIAFILLDSPHESIMDLNEASFEGQTLSFKRYLDSFPFPYYIVLRNIEALPRTLADLLRQWFELMQSVSD >DRNTG_35234.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18043976:18053432:1 gene:DRNTG_35234 transcript:DRNTG_35234.8 gene_biotype:protein_coding transcript_biotype:protein_coding MFKTRNLMQMKVMSGKKEDVDRIDGVKESFDSMHLKTRQLSIPRERVGEQMLETTLASEDASVEEEKRGNTNELSEDKVSFKSSYMSGSSFPPDDNGSEELCKAIVVEDVADDMKQKAIMDWRKYELVTTKLSLELAEQLRLVLEPTLASKLQGDYKTGKRINMKKVIPYIASHFRKDKIWLRRTRPNKRDYQIVVAVDDSRSMSESNCGDFAIESLVTVCRAMQQLEVGQLAVVSFGEKGNIKLLNDFERPFSRETGIQMISSLSFKQDNTIADEPVLDLLKYLNNMLDAAVAKARMPSGQNPLNQLILIIADGRFHEKESLKRHIRDLLSRRRMIAFILLDSPHESIMDLNEASFEGQTLSFKRYLDSFPFPYYIVLRNIEALPRTLADLLRQWFELMQSVSD >DRNTG_35234.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18037846:18053432:1 gene:DRNTG_35234 transcript:DRNTG_35234.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFKTRNLMQMKVMSGKKEDVDRIDGVKESFDSMHLKTRQLSIPRERVGEQMLETTLASEDASVEEEKRGNTNELSEDKVSFKSSYMSGSSFPPDDNGSEELCKAIVVEDVADDMKQKAIMDWRKYELVTTKLSLELAEQLRLVLEPTLASKLQGDYKTGKRINMKKVIPYIASHFRKDKIWLRRTRPNKRDYQIVVAVDDSRSMSESNCGDFAIESLVTVCRAMQQLEVGQLAVVSFGEKGNIKLLNDFERPFSRETGIQMISSLSFKQDNTIADEPVLDLLKYLNNMLDAAVAKARMPSGQNPLNQLILIIADGRFHEKESLKRHIRDLLSRRRMIAFILLDSPHESIMDLNEASFEGQTLSFKRYLDSFPFPYYIVLRNIEALPRTLADLLRQWFELMQSVSD >DRNTG_35234.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18033574:18053432:1 gene:DRNTG_35234 transcript:DRNTG_35234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTATDRGEVIKLFEEVFGLKPSILDIPKIYINRKHLTVGSAHVHRAHYQPTKVLKSQLNLLPGIRHGLEAALHCVQKQWLCILVGPSSSGKTSLIRLLAQLTGNKLHELNLSPASDVSELLGCFEQYDYFRTFKTVLSQVERFVDEYFSLQLESNLNYLLNERKETFTKWFTFLASRNYSTSASTSQTAQFWTSVSSGTLDPLIEIIKQLKLDLEKYHLPVSWSSEDLDETLKTIIKLQGHKAMMPSTKFEWVAGDLIKAIESGEWVVLDNANLCNPSVLDRINSLVEPGRSIMVNECGIIDGKPVILHAHPEFRMFLTVDPKYGEVSRAMRNRGVEVFLLQPNLLFTEGAHDCMDIEKCDVNRFLILSGIPSNKLVAAMSDAHMHAKTIGQKLGIRITLLELSHWVQLFQQLLMTGNKLKWSLQLSWEHTYLSSLGQAEAKDAVMQAKISYLSDISLYKLDPAVGFSLSLPGGWPRPQTLRSFLLYSKEASVRQNCSYLESLGAQCASYELIINTDETSFQKKCLNVGPYVIPMHILHNVLFPNGLDNKKFRCNELPEFNLAQANQMLFIAASWTFEQATESDLDLYKLWFKWFASQLQPYCQFFKSFLIIVEQEVVHPIWIQIFDCRRVLHSYFKIDVEAKPIPLLSSQPMELVCLDLSVKQFQKCLRKAIDCVGLLRLSLKQWNDEKAFAYCDEKLEVLIVPVLESLRCLEMEVLKVIVSSDKLCVIYSKFIESHMLLWKYFTSSCFDAFFLTWNDLRKGALRLNAKFPEAVNTFLDASLQLDNLPSCTFHMEKPFLWIHGGHPILPYSRSIFDKLHQICAMCDSIWPRNKNFTQRFKDYSVVDAVLSSDAELRNLTMQGVCMASYIMREGSQDNDDVLCQLEDLHQRLLGRLKCERRSLEVAIGSVGNRTSLATSCCTYGREVLFSRSTFDSWKATLPLFDKKSFLFDLMLLQNLSKSTVIDDHEVYQVLTTISHLLSFAVNYSLNSSSRSPIDFTPHQTILWMLDSWNSVASGRARIASCVIEMWFNWHSSMWNYFPESFKPHLVESCHLLCTTRSVTLDKILEDSIPIKDYDLHCLNLRVAARSLWQDRPLQQDITCVLLSIADSLFKQIIFAHEKSFKKDVFQETKSLCSLPINSSVLESLVQCWMSLVLSSSHRGLTSLVDSIVEPLLDILYCKRISCDSVYNLGSAWAYLGALRFHLLLNPVGPDPVIKYTIRHAELLEKISLLELEIKVRQECVRMAGSSSSRDDELTRFSLLEKLEKDLKHLKAKIVYRPEPSKFMKLQSSCRDFLELVSSCMVLVKNLKSTTDLPNMIDVAHNWQVTSASFIARLSEEFPEYMDIIQPIQVAIHEMKFGLAMVTSDALEREYLTKVQEHNMGRISGALYSLMQFPRILTGGESHIELHDMVPKFVVCDVHAHDNSQMFDITLLKKLIHVSSGISSDKAVSHGQLQILMYHIVLVHAASFVCSSLLMDHTSFLLLKKMFDHFGSLWVDMKSHNKDKEENDAQYFKFRPRSVMLEDILRNDQCHIIELDSDGALARESDELWLEQEYSKLKQPAKEGNMEGGWENVPESILNSVVLIHNQLFGHWEPDGHTRKLVQCFVTDDQRLHSFMDSYMLGTMIIKDLQSLQSSAIDDNFLVEHLLYVCLKYERTLGFHSQPTRAYNIYKDSNAGAMFKIVKPLTLIQEQARSYLDDWPDHPGLLKILDVAEMLLEMPLNTPFSKVLLGLQVLVSRVQSLQESAARFSFHDQLQPAFALLLSWQKVELEAWPALLAEVELAA >DRNTG_35234.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18037385:18053432:1 gene:DRNTG_35234 transcript:DRNTG_35234.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFKTRNLMQMKVMSGKKEDVDRIDGVKESFDSMHLKTRQLSIPRERVGEQMLETTLASEDASVEEEKRGNTNELSEDKVSFKSSYMSGSSFPPDDNGSEELCKAIVVEDVADDMKQKAIMDWRKYELVTTKLSLELAEQLRLVLEPTLASKLQGDYKTGKRINMKKVIPYIASHFRKDKIWLRRTRPNKRDYQIVVAVDDSRSMSESNCGDFAIESLVTVCRAMQQLEVGQLAVVSFGEKGNIKLLNDFERPFSRETGIQMISSLSFKQDNTIADEPVLDLLKYLNNMLDAAVAKARMPSGQNPLNQLILIIADGRFHEKESLKRHIRDLLSRRRMIAFILLDSPHESIMDLNEASFEGQTLSFKRYLDSFPFPYYIVLRNIEALPRTLADLLRQWFELMQSVSD >DRNTG_35234.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18033574:18037220:1 gene:DRNTG_35234 transcript:DRNTG_35234.13 gene_biotype:protein_coding transcript_biotype:protein_coding MRTATDRGEVIKLFEEVFGLKPSILDIPKIYINRKHLTVGSAHVHRAHYQPTKVLKSQLNLLPGIRHGLEAALHCVQKQWLCILVGPSSSGKTSLIRLLAQLTGNKLHELNLSPASDVSELLGCFEQYDYFRTFKTVLSQVERFVDEYFSLQLESNLNYLLNERKETFTKWFTFLASRNYSTSASTSQTAQFWTSVSSGTLDPLIEIIKQLKLDLEKYHLPVSWSSEDLDETLKTIIKLQGHKAMMPSTKFEWVAGDLIKAIESGEWVVLDNANLCNPSVLDRINSLVEPGRSIMVNECGIIDGKPVILHAHPEFRMFLTVDPKYGEVSRAMRNRGVEVFLLQPNLLFTEGAHDCMDIEKCDVNRFLILSGIPSNKLVAAMSDAHMHAKTIGQKLGIRITLLELSHWVQLFQQLLMTGNKLKWSLQLSWEHTYLSSLGQAEAKDAVMQAKISYLSDISLYKLDPAVGFSLSLPGGWPRPQTLRSFLLYSKEASVRQNCSYLESLGAQCASYELIINTDETSFQKKCLNVGPYVIPMHILHNVLFPNGLDNKKFRCNELPEFNLAQANQMLFIAASWTFEQATESDLDLYKLWFKWFASQLQPYCQFFKSFLIIVEQEVVHPIWIQIFDCRRVLHSYFKIDVEAKPIPLLSSQPMELVCLDLSVKQFQKCLRKAIDCVGLLRLSLKQWNDEKAFAYCDEKLEVLIVPVLESLRCLEMEVLKVIVSSDKLCVIYSKFIESHMLLWKYFTSSCFDAFFLTWNDLRKGALRLNAKFPEAVNTFLDASLQLDNLPSCTFHMEKPFLWIHGGHPILPYSRSIFDKLHQICAMCDSIWPRNKNFTQRFKDYSVVDAVLSSDAELRNLTMQGVCMASYIMREGSQDNDDVLCQLEDLHQRLLGRLKCERRSLEVAIGSVGNRTSLATSCCTYGREVLFSRSTFDSWKATLPLFDKKSFLFDLMLLQNLSKSTVIDDHEVYQASFWMF >DRNTG_35234.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18039668:18053432:1 gene:DRNTG_35234 transcript:DRNTG_35234.7 gene_biotype:protein_coding transcript_biotype:protein_coding MFKTRNLMQMKVMSGKKEDVDRIDGVKESFDSMHLKTRQLSIPRERVGEQMLETTLASEDASVEEEKRGNTNELSEDKVSFKSSYMSGSSFPPDDNGSEELCKAIVVEDVADDMKQKAIMDWRKYELVTTKLSLELAEQLRLVLEPTLASKLQGDYKTGKRINMKKVIPYIASHFRKDKIWLRRTRPNKRDYQIVVAVDDSRSMSESNCGDFAIESLVTVCRAMQQLEVGQLAVVSFGEKGNIKLLNDFERPFSRETGIQMISSLSFKQDNTIADEPVLDLLKYLNNMLDAAVAKARMPSGQNPLNQLILIIADGRFHEKESLKRHIRDLLSRRRMIAFILLDSPHESIMDLNEASFEGQTLSFKRYLDSFPFPYYIVLRNIEALPRTLADLLRQWFELMQSVSD >DRNTG_35234.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18036151:18053432:1 gene:DRNTG_35234 transcript:DRNTG_35234.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKTRNLMQMKVMSGKKEDVDRIDGVKESFDSMHLKTRQLSIPRERVGEQMLETTLASEDASVEEEKRGNTNELSEDKVSFKSSYMSGSSFPPDDNGSEELCKAIVVEDVADDMKQKAIMDWRKYELVTTKLSLELAEQLRLVLEPTLASKLQGDYKTGKRINMKKVIPYIASHFRKDKIWLRRTRPNKRDYQIVVAVDDSRSMSESNCGDFAIESLVTVCRAMQQLEVGQLAVVSFGEKGNIKLLNDFERPFSRETGIQMISSLSFKQDNTIADEPVLDLLKYLNNMLDAAVAKARMPSGQNPLNQLILIIADGRFHEKESLKRHIRDLLSRRRMIAFILLDSPHESIMDLNEASFEGQTLSFKRYLDSFPFPYYIVLRNIEALPRTLADLLRQWFELMQSVSD >DRNTG_35234.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18037520:18053432:1 gene:DRNTG_35234 transcript:DRNTG_35234.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFKTRNLMQMKVMSGKKEDVDRIDGVKESFDSMHLKTRQLSIPRERVGEQMLETTLASEDASVEEEKRGNTNELSEDKVSFKSSYMSGSSFPPDDNGSEELCKAIVVEDVADDMKQKAIMDWRKYELVTTKLSLELAEQLRLVLEPTLASKLQGDYKTGKRINMKKVIPYIASHFRKDKIWLRRTRPNKRDYQIVVAVDDSRSMSESNCGDFAIESLVTVCRAMQQLEVGQLAVVSFGEKGNIKLLNDFERPFSRETGIQMISSLSFKQDNTIADEPVLDLLKYLNNMLDAAVAKARMPSGQNPLNQLILIIADGRFHEKESLKRHIRDLLSRRRMIAFILLDSPHESIMDLNEASFEGQTLSFKRYLDSFPFPYYIVLRNIEALPRTLADLLRQWFELMQSVSD >DRNTG_35234.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18038614:18053432:1 gene:DRNTG_35234 transcript:DRNTG_35234.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFKTRNLMQMKVMSGKKEDVDRIDGVKESFDSMHLKTRQLSIPRERVGEQMLETTLASEDASVEEEKRGNTNELSEDKVSFKSSYMSGSSFPPDDNGSEELCKAIVVEDVADDMKQKAIMDWRKYELVTTKLSLELAEQLRLVLEPTLASKLQGDYKTGKRINMKKVIPYIASHFRKDKIWLRRTRPNKRDYQIVVAVDDSRSMSESNCGDFAIESLVTVCRAMQQLEVGQLAVVSFGEKGNIKLLNDFERPFSRETGIQMISSLSFKQDNTIADEPVLDLLKYLNNMLDAAVAKARMPSGQNPLNQLILIIADGRFHEKESLKRHIRDLLSRRRMIAFILLDSPHESIMDLNEASFEGQTLSFKRYLDSFPFPYYIVLRNIEALPRTLADLLRQWFELMQSVSD >DRNTG_35234.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18044912:18053432:1 gene:DRNTG_35234 transcript:DRNTG_35234.10 gene_biotype:protein_coding transcript_biotype:protein_coding MFKTRNLMQMKVMSGKKEDVDRIDGVKESFDSMHLKTRQLSIPRERVGEQMLETTLASEDASVEEEKRGNTNELSEDKVSFKSSYMSGSSFPPDDNGSEELCKAIVVEDVADDMKQKAIMDWRKYELVTTKLSLELAEQLRLVLEPTLASKLQGDYKTGKRINMKKVIPYIASHFRKDKIWLRRTRPNKRDYQIVVAVDDSRSMSESNCGDFAIESLVTVCRAMQQLEVGQLAVVSFGEKGNIKLLNDFERPFSRETGIQMISSLSFKQDNTIADEPVLDLLKYLNNMLDAAVAKARMPSGQNPLNQLILIIADGRFHEKESLKRHIRDLLSRRRMIAFILLDSPHESIMDLNEASFEGQTLSFKRYLDSFPFPYYIVLRNIEALPRTLADLLRQWFELMQSVSD >DRNTG_17641.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4158857:4177498:-1 gene:DRNTG_17641 transcript:DRNTG_17641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWRVPYSPKGPFVRPRIHLTRSVPTKALPLTFFQTEARIGNPHAHVGARVDFSHGRVELTHARMDSLFSSFFGRLTATAAAGSDSGDDRLVVVMRQLLHRSIDSPHFVVIQSTPRSPRRKANDGNSKAAALSRGSSVTGVQVSTGSLGIRRRGEEDQVAVGVSPKARAPNTTLEEEKTLDDPPFPLVDCDALPYDHYEVVKARRKARSQGRSSALEAFLPKFKEVPTDQPIEVVWKTRFYLSISGDT >DRNTG_28765.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24223774:24228273:1 gene:DRNTG_28765 transcript:DRNTG_28765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPVDNSLLENPPVSEDGSEAAGISKKQAKKEAMKAEKLRKKQEAAAAVNASAQIESLELDPHAGDYGDIPAEELQSKAVSGREWTAIGSLNPELADRTVLLRGNAQTIRPVSKKMAFLVVRQSMSTVQCVLTVGKDYGSPQMVKFATSLSRESIVDIEGSVSIPKDPIKGATQQVEIQVRKLYCVNRAVSNLPINIEDAARSEREFEKAEATGEQLVRVGQDTRLNYRVLDLRTPANQATFRIQCYVEDIFRCFLRSENFVGIHTPKLVAGSSEGGAAVFKLDYKGQPACLAQSPQLYKQMAICGGFDRVFEVGSVFRAEDSYTHRHLCEFVGLDAEMEIKEHYEEVMDIVDRLFVAMFDYLNENCKKELEAIDRQYPFESLKYLRKTLRLTFKEGVEMLKAAGLEVDPLGDLNTEAERKLGRLVFDKYGTEFYILHRYPLAVRPFYTMPCPDDPAYSNSFDVFIRGEEIISGAQRVHLPELLTSRAEACGIDVKTISSYIDSFRYGAPPHGGFGVGLERVVMLFCALNNIRKTSLFPRDPQRLVP >DRNTG_14194.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20296811:20298084:-1 gene:DRNTG_14194 transcript:DRNTG_14194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGPHFNPSGKDHVAPEDEIRHPGDLGNVIAGDDARCFVVVLNVPLLLAVVVHADPDDLGNGGHELSKTTGNAGARVACGLFLAS >DRNTG_17644.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4139468:4145107:-1 gene:DRNTG_17644 transcript:DRNTG_17644.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTSEEESALKAGVVKHGAGKWRTILKDPEFSGILCLRSNVDLKDKWRNMSVVANGWGSREKARIAFKKSQHALKHDDNSMDHATGEEDFDDEVEAKPLPNSPERLQIRGSQKLSLKLESLIFEAITTLKEPTGSNKKAIAQYIEDQHYPPPPDLKRRLSTKLKALTACRKLIKVKRKYRIAPGPALFERRNSEGRVRGPVIAEKDDFKPLLKSQIDAELARMRTMTAEEAAAAAAQAVAEAEAAMAEAEEAAKEAEAAEADAQEAQAFAEAAMRTLKNRNASRLSLRV >DRNTG_17644.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4139468:4145107:-1 gene:DRNTG_17644 transcript:DRNTG_17644.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTSEEESALKAGVVKHGAGKWRTILKDPEFSGILCLRSNVDLKDKWRNMSVVANGWGSREKARIAFKKSQHALKHDDNSMDHATGEEDFDDEVEAKPLPNSPERLQIRGSQKLSLKLESLIFEAITTLKEPTGSNKKAIAQYIEDQHYPPPPDLKRRLSTKLKALTACRKLIKVKRKYRIAPGPALFERRNSEGRVRGPVIAEKDDFKPLLKSQIDAELARMRTMTAEEAAAAAAQAVAEAEAAMAEAEEAAKEAEAAEADAQEAQAFAEAAMRTLKNRNASRLQSLRV >DRNTG_17644.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4138894:4145107:-1 gene:DRNTG_17644 transcript:DRNTG_17644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTSEEESALKAGVVKHGAGKWRTILKDPEFSGILCLRSNVDLKDKWRNMSVVANGWGSREKARIAFKKSQHALKHDDNSMDHATGEEDFDDEVEAKPLPNSPERLQIRGSQKLSLKLESLIFEAITTLKEPTGSNKKAIAQYIEDQHYPPPPDLKRRLSTKLKALTACRKLIKVKRKYRIAPGPALFERRNSEGRVRGPVIAEKDDFKPLLKSQIDAELARMRTMTAEEAAAAAAQAVAEAEAAMAEAEEAAKEAEAAEADAQEAQAFAEAAMRTLKNRNASRLQSLRV >DRNTG_17644.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4139468:4145107:-1 gene:DRNTG_17644 transcript:DRNTG_17644.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTSEEESALKAGVVKHGAGKWRTILKDPEFSGILCLRSNVDLKDKWRNMSVVANGWGSREKARIAFKKSQHALKHDDNSMDHATGEEDFDDEVEAKPLPNSPERLQIRGSQKLSLKLESLIFEAITTLKEPTGSNKKAIAQYIEDQHYPPPPDLKRRLSTKLKALTACRKLIKVKRKYRIAPGPALFERRNSEGRVRGPVIAEKDDFKPLLKSQIDAELARMRTMTAEEAAAAAAQAVAEAEAAMAEAEEAAKEAEAAEADAQEAQAFAEAAMRTLKNRNASRLSLRV >DRNTG_17644.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4138894:4145107:-1 gene:DRNTG_17644 transcript:DRNTG_17644.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTSEEESALKAGVVKHGAGKWRTILKDPEFSGILCLRSNVDLKDKWRNMSVVANGWGSREKARIAFKKSQHALKHDDNSMDHATGEEDFDDEVEAKPLPNSPERLQIRGSQKLSLKLESLIFEAITTLKEPTGSNKKAIAQYIEDQHYPPPPDLKRRLSTKLKALTACRKLIKVKRKYRIAPGPALFERRNSEGRVRGPVIAEKDDFKPLLKSQIDAELARMRTMTAEEAAAAAAQAVAEAEAAMAEAEEAAKEAEAAEADAQEAQAFAEAAMRTLKNRNASRLSLRV >DRNTG_34277.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29500011:29501250:1 gene:DRNTG_34277 transcript:DRNTG_34277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVELVTDRQSKTPVKSEILHVMDKMKDMGVLVGKGGFYGNVFRITPPLCFTKQDSDFFVDVMDIAMSKL >DRNTG_17551.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29114357:29117486:-1 gene:DRNTG_17551 transcript:DRNTG_17551.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGTNFVNKSELMLRDPELSASPKRKRIISNKYNLRKSLAWDSEFFTSEGVLNQEELAIVNSTYAKAEAGKLPRILEDIRKSSESNTTLDSDSWELENLEVDLFGDLRASIQRPVSQCDRNSQLVPSIKSACPVNVDTSKPSLNSKAEISSRLRMKPPVAGKRDVTSKKPTIVSSKSALLPSRVVAAGSRDPKLKPPIIAPRTRLVPPVPNKKSPTSERIQIKSDSVESLSGTASNRQSPVALKKIYSNSCRASYKSMKLTNSPTASVSGNSIVSAVTPNNSFVGNSSKQLDKSPSKTPRRKVQTADKLSSSITKTPLRSSKTKIEVQSATKSQESVKSRLRFSSSASPHSSLDSLASDSSSSTSMVMNHGNSVVSPLIAGSVSPSFRVPFDINVLKSPKLRKSPGIQVSAGSSAGHSPPLNNVSSKSEPKSMKPSGLRLPSPKIGYFDAEKSLTNNISRNSLNGLRNNSARNTSGPSKRLSKPPSPRPVTESISSPQMVSSLTASPPLLQQSSRPYSAHRKSEQHDELCSKDDSQDVASSASMSAILNSDKPEISDLISRDIKSHNLLAVTRDVQKENKFPPEKDNGVQVEPLEKKISHLSLSSNLGPPCTSTESIS >DRNTG_17551.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29114357:29117248:-1 gene:DRNTG_17551 transcript:DRNTG_17551.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPVAGKRDVTSKKPTIVSSKSALLPSRVVAAGSRDPKLKPPIIAPRTRLVPPVPNKKSPTSERIQIKSDSVESLSGTASNRQSPVALKKIYSNSCRASYKSMKLTNSPTASVSGNSIVSAVTPNNSFVGNSSKQLDKSPSKTPRRKVQTADKLSSSITKTPLRSSKTKIEVQSATKSQESVKSRLRFSSSASPHSSLDSLASDSSSSTSMVMNHGNSVVSPLIAGSVSPSFRVPFDINVLKSPKLRKSPGIQVSAGSSAGHSPPLNNVSSKSEPKSMKPSGLRLPSPKIGYFDAEKSLTNNISRNSLNGLRNNSARNTSGPSKRLSKPPSPRPVTESISSPQMVSSLTASPPLLQQSSRPYSAHRKSEQHDELCSKDDSQDVASSASMSAILNSDKPEISDLISRDIKSHNLLAVTRDVQKENKFPPEKDNGVQVEPLEKKISHLSLSSNLGPPCTSTESIS >DRNTG_17551.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29114357:29118291:-1 gene:DRNTG_17551 transcript:DRNTG_17551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVSLIDVPSENDLLIVSPSSGSGAAENFKDHNLTITETDQMLQGTNFVNKSELMLRDPELSASPKRKRIISNKYNLRKSLAWDSEFFTSEGVLNQEELAIVNSTYAKAEAGKLPRILEDIRKSSESNTTLDSDSWELENLEVDLFGDLRASIQRPVSQCDRNSQLVPSIKSACPVNVDTSKPSLNSKAEISSRLRMKPPVAGKRDVTSKKPTIVSSKSALLPSRVVAAGSRDPKLKPPIIAPRTRLVPPVPNKKSPTSERIQIKSDSVESLSGTASNRQSPVALKKIYSNSCRASYKSMKLTNSPTASVSGNSIVSAVTPNNSFVGNSSKQLDKSPSKTPRRKVQTADKLSSSITKTPLRSSKTKIEVQSATKSQESVKSRLRFSSSASPHSSLDSLASDSSSSTSMVMNHGNSVVSPLIAGSVSPSFRVPFDINVLKSPKLRKSPGIQVSAGSSAGHSPPLNNVSSKSEPKSMKPSGLRLPSPKIGYFDAEKSLTNNISRNSLNGLRNNSARNTSGPSKRLSKPPSPRPVTESISSPQMVSSLTASPPLLQQSSRPYSAHRKSEQHDELCSKDDSQDVASSASMSAILNSDKPEISDLISRDIKSHNLLAVTRDVQKENKFPPEKDNGVQVEPLEKKISHLSLSSNLGPPCTSTESIS >DRNTG_32753.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001910.1:4541:4978:-1 gene:DRNTG_32753 transcript:DRNTG_32753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRARENSIEGMVLIHPGFWGSTVVGLESTDQKLRDLMKSFWSLVCPHSKGVDDPFLNPVADGAPSLSGLACKRVLVCVAEMDFLKERGRVYYEKLRENIDWNGEVELFETQGEEHVFHLLKPNCENAFTLMNRLVSFFNVCLN >DRNTG_15530.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8940972:8941626:-1 gene:DRNTG_15530 transcript:DRNTG_15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVLRSKPVSFWTNGTWPNKDNLVLEGLLSVIHARINGLKYTATSVWYQSRIDHATARTRCVSPYVKDG >DRNTG_12678.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:12773144:12773398:-1 gene:DRNTG_12678 transcript:DRNTG_12678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDAFAGKQLERCWKGSPMPLIEEKVIMVLGVKNDLQRLHRRMERMALVLKDAEKRRIKHVTVKGWVDELKDFTYDADDIIDL >DRNTG_14549.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:27:32011:33601:1 gene:DRNTG_14549 transcript:DRNTG_14549.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTTITMKEVKKDHILIFPFMAQGHTIPLLHLATFLSTHHHHLQITIITTSGNAPFLQQYLPSSINLSIFPFPSSPLLPTGVESTDHLPSMDLHPIFAATTTNLRPHFHNLLHSLHLSNSLPLCLISDFFLGWTLDVCRLFSVPRLVFHGMSTFSMFICKSLFVHQPYSSEDELFQIPGAPPSLLLSRHQLPDTILTSGDPNNPVTIFLSEIGATDINSWGVIVNSFSFIERGSTLNSLNHSTRTELVHGFLALSLFSLPKLRLKKTMTASNG >DRNTG_15198.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5871363:5873572:-1 gene:DRNTG_15198 transcript:DRNTG_15198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCEECCFGYKTRRENMTYPKEVKSLGGLRTIKVACGVWHTATIVEHYNCSHNIWP >DRNTG_14308.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17006631:17007343:-1 gene:DRNTG_14308 transcript:DRNTG_14308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLESFIAFGFLLPDQIQSWFGSGLSNSFSVLVLSTVFQSLFPRPILQLLLWLDPSCSWNSTKSPNPNPLRQQLTGQVPPQLGNLSSLSSLDLSFNSLFGALPDILKNLRSLYSLDLAGNSLSE >DRNTG_18947.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11778501:11780150:1 gene:DRNTG_18947 transcript:DRNTG_18947.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVKPSTPVPDKPLNYSKLTETHLPLLNTSFPIINIIYVYSSDIQIQCNSSTKKHPYFLMALTIRSTTIHHHHHPLPPNSTKSTLHLHSTTQTRPQHHRHSGISLNIPSIISNLLHIGTTHNSIPELLLTNSTNKQTPTSSPKENISSLQPELHGSTNWSPLLNPLHPWLRREIIKYGEFSQATYEAFDFNPFSEYCGSCLYNQSRLFEILGLTRHGYNVTEYIYAMSHVELPKWLERSLHADTWSKDSNWMGYVAVSDDTETRRIGCRDIVVAWRGTVSPSEWLEDLQGKLEPLGDHGETDAKVEHGFFSIYTSKSDATRYNKSSASEQVMEEIKRLVAYYRGNGEEVSLTVTGHSLGGALALLNAHEAARSIPGLPVSVISFGAPRVGNEAFGDEIRDLGVKVLRVVVKQDMVPKLPGIFLNERIEKLKAVTGELEWIYKHVGLELNLNIKASPYLKHGFDLAGFHNLETYLHLVDGYVSEQAGYRLNARRDAALVNKYSGMLRSELKIPVCWNQLANKGMVRNAYGRWVQLERTPEDIPSPHRDC >DRNTG_25816.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:998787:999427:1 gene:DRNTG_25816 transcript:DRNTG_25816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSLHSIPSAITLYIFILIASINADNLIEETCKQVPDLKDLCVQTLTSDPKSKTSNDAHGLTSVAIGLTAKEAAVAGDQITRLAEQVKAHEEELLQCLADCEEEYEDAVQQLEQCRVSMDEKEYHEVVMFVEAALNDVKNCEEACKNVEEKEKKELIEEKNNKVRDMCNFALALTIGLQQHKNHVTST >DRNTG_02575.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19421762:19424100:1 gene:DRNTG_02575 transcript:DRNTG_02575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEEVMKLGAYCAAVRLVEDAASEILLLWALNDPTARRHNFFVRHADVTLPIDSCGRHLSILQSPSSMSTPGVTGAVMWDSGVVLGKFLEHAVDSGKLSLKGKKVVELGSGCGLVGCIAALLGGDVILTDLPDRLKLLRRNVESNLGGGGVRGNADVKELTWGDELDSEFIDPLPDFVLGSDVIYSESAVMDLLSTITQLCGGNTTIFLAGELRNDVVLNYFLEAAMEEFTIGCVDQTQWHPDYRSHRVALFILVKKITKLAPQDLDNISDRKKSEEIN >DRNTG_18285.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1795403:1801096:1 gene:DRNTG_18285 transcript:DRNTG_18285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGQCSGAKEEHDPWAKLVPLIPGYQEVQISSEDPVVCSQTALSSAEKLAWCEINWDSDRGLATIRNTSLAAILVDGAILEVSEALDIESGIEIVSGPKLEGYLSYTFQVIFTEEPDSRNLKISLDVELAKCSICLNIWHDVVTVSPCFHNFCNGCFSEWLRRASCRPHIKPKDIACPQCRAVVHSVGRNHFLHNIEEAILQTFSSLKRPAEEVQLLDSHASIKSNLVLGSEKKTSRKRPLSLSDDDINGIDVPCPQCGTELAGFRCNRNTLHLQCHGCGGMMPSRPDIGMPQHCLGCDRAFCGAYWVAQGLDASEFGVICPHETFKPVVEHSILRIPDSAHNNNRYERDVTEKCIQHTGKTFQAVISEWIMKFVKKEIDRSRLQLNHAEMISERTHLCRGCYDKLVDYLLYWFRVSLPCHLLPPDALVREDCWYGSSCRTQHHSDEHARKRNHVCRPTRGSINP >DRNTG_09664.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:24911:26210:1 gene:DRNTG_09664 transcript:DRNTG_09664.3 gene_biotype:protein_coding transcript_biotype:protein_coding GSIVPMDKFEHSSYMPSQSLEGPPNVSLL >DRNTG_09664.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:23073:26210:1 gene:DRNTG_09664 transcript:DRNTG_09664.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSIVPMDKFEHSSYMPSQSLEGPPNVSLL >DRNTG_09664.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:24114:26210:1 gene:DRNTG_09664 transcript:DRNTG_09664.2 gene_biotype:protein_coding transcript_biotype:protein_coding GSIVPMDKFEHSSYMPSQSLEGPPNVSLL >DRNTG_26591.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20065968:20067833:-1 gene:DRNTG_26591 transcript:DRNTG_26591.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic cation/carnitine transporter 1 [Source:Projected from Arabidopsis thaliana (AT1G73220) UniProtKB/Swiss-Prot;Acc:Q9CAT6] MADDQEIEVKKNVEGIEEGFNETNTSTNLELTVNEIIEQHVGSFGLSQLLQVFLVSLAWVFDAHNTLVTVFTDSQPAWKCKVAASSSCSLSAGMCGLAPGTWDWVGGHKSSTIAEWGLICGHKFRAGVPASMYFIGSLFGSITQGQLADMYLGRKKTIVLSCLLTAITSFLTSFSPNVWVYAFLRFTNGFARSGIGICCLVLSTEAVGRKWRGQVGNYGFFFYALGFLSLPLIAYTSRTSWRNIYRIISLFPLIYSFFLTPFISESPRWLILKGRTDEAMQVLNKLAKFNGNKLPKNLTIINPSVTVNSTKTKKLWSVSWAAKRMVALMVAGFGVGFVYYGVQLNVENLNFNLYYTVAANALMEIPAVLIGSVLLGCMDRRVIFSSASILAGVSSILCILFAKKNKSKRGWGQLGLEAVGFMTASMAFDVLYIYCAELFPTNVRNFSVSMLRQTLMLGGAIAPVLVVVGRLSPAFSFLVFGVLAIFSGVVTVWLPETKHAPLYETFEQQEKEEKLGASSDGVEMVESTRS >DRNTG_24832.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31714587:31724059:1 gene:DRNTG_24832 transcript:DRNTG_24832.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDGECEENNRRPQRRHMLQSPRAGPVASPVLDLKQDSTGFFVKDGRKIRVGDSALFQSGNAPPFIGLIRWFNTDNKEYPKLGVNWLYRKTDIKLSKGVLLEAAPNEVFYSFHRDEIPAASLLHPCKVAFLQKGVELPAGISSFVCRRVYDVSNKRLWWLTDQDYINEHQEEVDQLLDKTQLEMHAAVQSGGRSPKPSNVSSPPQQLKSVSDGAQNSGTSFPQQGKSKKRERSETEPIRRERSLKVEDVDSASSKLDSMIKSEIAKITEKGGLMNIEAVEKLVHLMQVDRGEKKLDLGGRVLLADVISSTEKDDCLGRFVLLRGVSVFDEWLQEAHRGKAGDGSSPKESDKSSEELVLALLRALDKLPVNLNALQTCNIGKSVNQLRGHKNLEIQKKARSLVETWKKRVNAEMAKINDAKSASSCQSVSWPAKQGFTEVSHVGNKRPSSIELVTKDPTTQPSACKSLPSKPSHLDAITKSSPAISGSPKTSSQSPVPLSCSSKDTVTKTTGSIGMPDVPLTAVKEEKSSSSSQSQNNSQSCSGDHLKTASSSYREDTRNLPTGSVIPSKTSGSTSRHRRSTNGLIGTSTSGSQKEINVKSGSLNRTTMMDKAGLTCERQPDSTIADHGNSHRLIVRLPNPGRSPARSASGGSFEDLSTTGSRGSSPGIADRIDHIDRTMKVNGDTCQANISAYAHTDPWHSVDSKEGIAISDEGVKPHATYEDKPCKNIDENGKFANVSRTSSSLGNEKEFSPHKTKMRNSFSSMNALIESCENEANASLSAGDVIGMNLLASVAAGELPKSELVSPPESPEGSPTIKDNEAKPRLKSENLLVQRHGQTSEDAADDPEMHEKSAHSLLGTDEQQHTANSASGDDKSVITLTENKLADECNGVYTASGGNDVINSDGNHQHGEEAKTTGQVNDSLTDCKSKVRNPALDEKRSVDFVVAKIADDRVPDLACNTSCDGCDIQNAGDIQNAASVTKPEKMDVEDFTCACSSLDVPNQEPLRGGEVSALTEQQQPPLMPLHSAAIDTCGDASVSSGAENVQCLEKSGVFKAEKSNGSKGRNLFEPGDTETKERINLHSTTVAHPRSASTGKGHEDVSERKEVAEQSPSGSSKFEASSTTPALESEQSAKSTGSKVSGSDAARSEEQASTEASLALNAGLDCTSKVDFDLNEGFLGDDGQQSEPVAVAISVSSSAINLPGLPHFAESSMRSGSPAPITVAAPAKGPFVPPENLLKSKTEPGWKGSAATSAFRPAEPRKVLEMPLSTTDVPLSANIAGKQIRPALDIDLNVPDERVLEDVTSQSSAQTTGSESGGVSNRDVPMRMAGGLDLDLNKIDEGSDNGIFLANTSRRFEVPPMPVRPSQAGLANGNVSSFRDFDLNGPSTDEAGAEFGMHHQQAKNVSPIASLRMSKTDVNNTSSWFSPGNSYPAVAIPSFLHDRGEHPFPIVAAPGAQRISGSATSNTSYGTDIYRHPGLTSSPAMAFSHTSFSYAGFPYGSSYPVASNSFLGVPSTYGDSTAGAGPCFPTIPSQIMGPAASLSSHYNTRPPYVISLPESSTNSGAESSRKWSIPGLDLNAGPGSVDVDARGDRLSSAPRQLSIASSQAFVEEQSRIFPLAGGSLKRKEPEGGWDAERFAYKHPPWP >DRNTG_24832.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31714587:31724059:1 gene:DRNTG_24832 transcript:DRNTG_24832.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHDGECEENNRRPQRRHMLQSPRAGPVASPVLDLKQDSTGFFVKDGRKIRVGDSALFQSGNAPPFIGLIRWFNTDNKEYPKLGVNWLYRKTDIKLSKGVLLEAAPNEVFYSFHRDEIPAASLLHPCKVAFLQKGVELPAGISSFVCRRVYDVSNKRLWWLTDQDYINEHQEEVDQLLDKTQLEMHAAVQSGGRSPKPSNVSSPPQQLKSVSDGAQNSGTSFPQQGKSKKRERSETEPIRRERSLKVEDVDSASSKLDSMIKSEIAKITEKGGLMNIEAVEKLVHLMQVDRGEKKLDLGGRVLLADVISSTEKDDCLGRFVLLRGVSVFDEWLQEAHRGKAGDGSSPKESDKSSEELVLALLRALDKLPVNLNALQTCNIGKSVNQLRGHKNLEIQKKARSLVETWKKRVNAEMAKINDAKSASSCQSVSWPAKQGFTEVSHVGNKRPSSIELVTKDPTTQPSACKSLPSKPSHLDAITKSSPAISGSPKTSSQSPVPLSCSSKDTVTKTTGSIGMPDVPLTAVKEEKSSSSSQSQNNSQSCSGDHLKTASSSYREDTRNLPTGSVIPSKTSGSTSRHRRSTNGLIGTSTSGSQKEINVKSGSLNRTTMMDKAGLTCERQPDSTIADHGNSHRLIVRLPNPGRSPARSASGGSFEDLSTTGSRGSSPGIADRIDHIDRTMKVNGDTCQANISAYAHTDPWHSVDSKEGIAISDEGVKPHATYEDKPCKNIDENGKFANVSRTSSSLGNEKEFSPHKTKMRNSFSSMNALIESCENEANASLSAGDVIGMNLLASVAAGELPKSELVSPPESPEGSPTIKDNEAKPRLKSENLLVQRHGQTSEDAADDPEMHEKSAHSLLGTDEQQHTANSASGDDKSVITLTENKLADECNGVYTASGGNDVINSDGNHQHGEEAKTTGQVNDSLTDCKSKVRNPALDEKRSVDFVVAKIADDRVPDLACNTSCDGCDIQNAGDIQNAASVTKPEKMDVEDFTCACSSLDVPNQEPLRGGEVSALTEQQQPPLMPLHSAAIDTCGDASVSSGAENVQCLEKSGVFKAEKSNGSKGRNLFEPGDTETKERINLHSTTVAHPRSASTGKGHEDVSERKEVAEQSPSGSSKFEASSTTPALESEQSAKSTGSKVSGSDAARSEEQASTEASLALNAGLDCTSKVDFDLNEGFLGDDGQQSEPVAVAISVSSSAINLPGLPHFAESSMRSGSPAPITVAAPAKGPFVPPENLLKSKTEPGWKGSAATSAFRPAEPRKVLEMPLSTTDVPLSANIAGKQIRPALDIDLNVPDERVLEDVTSQSSAQTTGSESGGVSNRDVPMRMAGGLDLDLNKIDEGSDNGIFLANTSRRFEVPPMPVRPSQAGLANGNVSSFRDFDLNGPSTDEAGAEFGMHHQQAKNVSPIASLRMSKTDVNNTSSWFSPGNSYPAVAIPSFLHDRGEHPFPIVAAPGAQRISGSATSNTSYGTDIYRHPGLTSSPAMAFSHTSFSYAGFPYGSSYPVASNSFLGVPSTYGDSTAGAGPCFPTIPSQIMGPAASLSSHYNTRPPYVISLPESSTNSGAESSRKWSIPGLDLNAGPGSVDVDARGDRLSSAPRQLSIASSQAFVEEQSRIFPLAGGSLKRKEPEGGWDAERFAYKHPPWP >DRNTG_24832.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31714587:31724059:1 gene:DRNTG_24832 transcript:DRNTG_24832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDGECEENNRRPQRRHMLQSPRAGPVASPVLDLKQDSTGFFVKDGRKIRVGDSALFQSGNAPPFIGLIRWFNTDNKEYPKLGVNWLYRKTDIKLSKGVLLEAAPNEVFYSFHRDEIPAASLLHPCKVAFLQKGVELPAGISSFVCRRVYDVSNKRLWWLTDQDYINEHQEEVDQLLDKTQLEMHAAVQSGGRSPKPSNVSSPPQQLKSVSDGAQNSGTSFPQQGKSKKRERSETEPIRRERSLKVEDVDSASSKLDSMIKSEIAKITEKGGLMNIEAVEKLVHLMQVDRGEKKLDLGGRVLLADVISSTEKDDCLGRFVLLRGVSVFDEWLQEAHRGKAGDGSSPKESDKSSEELVLALLRALDKLPVNLNALQTCNIGKSVNQLRGHKNLEIQKKARSLVETWKKRVNAEMAKINDAKSASSCQSVSWPAKQGFTEVSHVGNKRPSSIELVTKDPTTQPSACKSLPSKPSHLDAITKSSPAISGSPKTSSQSPVPLSCSSKDTVTKTTGSIGMPDVPLTAVKEEKSSSSSQSQNNSQSCSGDHLKTASSSYREDTRNLPTGSVIPSKTSGSTSRHRRSTNGLIGTSTSGSQKEINVKSGSLNRTTMMDKAGLTCERQPDSTIADHGNSHRLIVRLPNPGRSPARSASGGSFEDLSTTGSRGSSPGIADRIDHIDRTMKVNGDTCQANISAYAHTDPWHSVDSKEGIAISDEGVKPHATYEDKPCKNIDENGKFANVSRTSSSLGNEKEFSPHKTKMRNSFSSMNALIESCENEANASLSAGDVIGMNLLASVAAGELPKSELVSPPESPEGSPTIKDNEAKPRLKSENLLVQRHGQTSEDAADDPEMHEKSAHSLLGTDEQQHTANSASGDDKSVITLTENKLADECNGVYTASAGGNDVINSDGNHQHGEEAKTTGQVNDSLTDCKSKVRNPALDEKRSVDFVVAKIADDRVPDLACNTSCDGCDIQNAGDIQNAASVTKPEKMDVEDFTCACSSLDVPNQEPLRGGEVSALTEQQQPPLMPLHSAAIDTCGDASVSSGAENVQCLEKSGVFKAEKSNGSKGRNLFEPGDTETKERINLHSTTVAHPRSASTGKGHEDVSERKEVAEQSPSGSSKFEASSTTPALESEQSAKSTGSKVSGSDAARSEEQASTEASLALNAGLDCTSKVDFDLNEGFLGDDGQQSEPVAVAISVSSSAINLPGLPHFAESSMRSGSPAPITVAAPAKGPFVPPENLLKSKTEPGWKGSAATSAFRPAEPRKVLEMPLSTTDVPLSANIAGKQIRPALDIDLNVPDERVLEDVTSQSSAQTTGSESGGVSNRDVPMRMAGGLDLDLNKIDEGSDNGIFLANTSRRFEVPPMPVRPSQAGLANGNVSSFRDFDLNGPSTDEAGAEFGMHHQQAKNVSPIASLRMSKTDVNNTSSWFSPGNSYPAVAIPSFLHDRGEHPFPIVAAPGAQRISGSATSNTSYGTDIYRHPGLTSSPAMAFSHTSFSYAGFPYGSSYPVASNSFLGVPSTYGDSTAGAGPCFPTIPSQIMGPAASLSSHYNTRPPYVISLPESSTNSGAESSRKWSIPGLDLNAGPGSVDVDARGDRLSSAPRQLSIASSQAFVEEQSRIFPLAGGSLKRKEPEGGWDAERFAYKHPPWP >DRNTG_03783.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1761713:1762010:1 gene:DRNTG_03783 transcript:DRNTG_03783.1 gene_biotype:protein_coding transcript_biotype:protein_coding EHLLGFRPGTNSISPIKQRQREHLLGFRAGKNSISPIKERERKREREREREDKWKGEEGESGTRQV >DRNTG_20672.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001137.1:7394:10406:-1 gene:DRNTG_20672 transcript:DRNTG_20672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGDRGGFGRGFGRGRGDRGRGDRRGGRRGGGRREEEEKWVPVTKLGRLVKEGKIKSLEQIYLHSLPVKEHQIIDALIGGPGSGLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDSNGHVGLGVKCAKEVATAIRGAIILAKLSVVPVRRGYWGNKIGQPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIDDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPDLWNETRFVKSPFQEFTDLLAKPTKTIIEEPERLDV >DRNTG_28981.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25294180:25301939:-1 gene:DRNTG_28981 transcript:DRNTG_28981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFHYLHLADVEVSAPPEPLKKKTSRTTKWWKKMTTKKKKSPISPSTPNIFNRSNPWTFVSEAFQGEHDQSMGVLGRSARLSRTVHYHVMPVDIICKKLTVTGPSKKSRVEAPTPGTDYALVFHTL >DRNTG_20821.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20781638:20789608:-1 gene:DRNTG_20821 transcript:DRNTG_20821.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPIGRRKRGRRAIARREEAEEIENALHASKFSADTSNVLGLSFVGIPFSLPTSSCTTMLSGSISLTTITTQPLTKRPISVLATGTTHTRSPSDVFLPVT >DRNTG_29585.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:10810745:10812980:-1 gene:DRNTG_29585 transcript:DRNTG_29585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSRTSTRLTSAMRTSAKEVRVWVKRRQ >DRNTG_29585.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:10810745:10811132:-1 gene:DRNTG_29585 transcript:DRNTG_29585.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSRTSTRLTSAMRTSAKEVRVWVKRRQ >DRNTG_05540.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16713490:16715083:-1 gene:DRNTG_05540 transcript:DRNTG_05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYAFHADWVSHPGFSELSGFGWDYERHIVSAPDDVWAPLLEKNRDARKWPSRPFLYFMSLREVYEGRYDEGKRSRGIEDYEDISELSMHTPSPSVFTPNDSRQPSPIHETEDDDITQVEPPCSQP >DRNTG_17144.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16909090:16910552:1 gene:DRNTG_17144 transcript:DRNTG_17144.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIRSLIFKILTAAAAATMVALLIFDLTAMISSSGCDFPPSGPNACPADLDAAFQELEKSGWIPAGGRAISVGPDPGGAAAKAAMERLGFSTVVVASATRCCGLPFDDASFDFAFSAALDQVRVPARVILEMERVIRPGRVGVVYKIRSSPVRPDGLMKAAAPVASLLRLSDVIGARFVNGSGLVVFKKRLAGLAITGADLSSGYCEEKMMKMPSLLADITKAMMASFVFETSG >DRNTG_17144.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16909090:16910510:1 gene:DRNTG_17144 transcript:DRNTG_17144.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIRSLIFKILTAAAAATMVALLIFDLTAMISSSGCDFPPSGPNACPADLDAAFQELEKSGWIPAGGRAISVGPDPGGAAAKAAMERLGFSTVVVASATRCCGLPFDDASFDFAFSAALDQVRVPARVILEMERVIRPGRVGVVYKIRSSPVRPDGLMKAAAPVASLLRLSDVIGARFVNGSGLVVFKKRLAGLAITGADLSSGYCEEKMMKMPSLLADITKAMMASFVFETSG >DRNTG_17144.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16909126:16910552:1 gene:DRNTG_17144 transcript:DRNTG_17144.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIRSLIFKILTAAAAATMVALLIFDLTAMISSSGCDFPPSGPNACPADLDAAFQELEKSGWIPAGGRAISVGPDPGGAAAKAAMERLGFSTVVVASATRCCGLPFDDASFDFAFSAALDQVRVPARVILEMERVIRPGRVGVVYKIRSSPVRPDGLMKAAAPVASLLRLSDVIGARFVNGSGLVVFKKRLAGLAITGADLSSGYCEEKMMKMPSLLADITKAMMASFVFETSG >DRNTG_17144.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16909090:16910809:1 gene:DRNTG_17144 transcript:DRNTG_17144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIRSLIFKILTAAAAATMVALLIFDLTAMISSSGCDFPPSGPNACPADLDAAFQELEKSGWIPAGGRAISVGPDPGGAAAKAAMERLGFSTVVVASATRCCGLPFDDASFDFAFSAALDQVRVPARVILEMERVIRPGRVGVVYKIRSSPVRPDGLMKAAAPVASLLRLSDVIGARFVNGSGLVVFKKRLAGLAITGADLSSGYCEEKMMKMPSLLADITKAMMASFVFETSG >DRNTG_17144.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16909126:16910510:1 gene:DRNTG_17144 transcript:DRNTG_17144.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIRSLIFKILTAAAAATMVALLIFDLTAMISSSGCDFPPSGPNACPADLDAAFQELEKSGWIPAGGRAISVGPDPGGAAAKAAMERLGFSTVVVASATRCCGLPFDDASFDFAFSAALDQVRVPARVILEMERVIRPGRVGVVYKIRSSPVRPDGLMKAAAPVASLLRLSDVIGARFVNGSGLVVFKKRLAGLAITGADLSSGYCEEKMMKMPSLLADITKAMMASFVFETSG >DRNTG_17144.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16909126:16910809:1 gene:DRNTG_17144 transcript:DRNTG_17144.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIRSLIFKILTAAAAATMVALLIFDLTAMISSSGCDFPPSGPNACPADLDAAFQELEKSGWIPAGGRAISVGPDPGGAAAKAAMERLGFSTVVVASATRCCGLPFDDASFDFAFSAALDQVRVPARVILEMERVIRPGRVGVVYKIRSSPVRPDGLMKAAAPVASLLRLSDVIGARFVNGSGLVVFKKRLAGLAITGADLSSGYCEEKMMKMPSLLADITKAMMASFVFETSG >DRNTG_17144.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16909027:16910510:1 gene:DRNTG_17144 transcript:DRNTG_17144.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIRSLIFKILTAAAAATMVALLIFDLTAMISSSGCDFPPSGPNACPADLDAAFQELEKSGWIPAGGRAISVGPDPGGAAAKAAMERLGFSTVVVASATRCCGLPFDDASFDFAFSAALDQVRVPARVILEMERVIRPGRVGVVYKIRSSPVRPDGLMKAAAPVASLLRLSDVIGARFVNGSGLVVFKKRLAGLAITGADLSSGYCEEKMMKMPSLLADITKAMMASFVFETSG >DRNTG_34491.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12818364:12819151:1 gene:DRNTG_34491 transcript:DRNTG_34491.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMARRRHRKRPKTAGDVHEPRKLDERLLDGPKPDMDVRGIRTETAELRALQSAQYTDLMARLEFLRDLLRPRSSVPPAPPSSTPAPEDPLYSSTSAATAAEPESDSDT >DRNTG_13316.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23045151:23046381:1 gene:DRNTG_13316 transcript:DRNTG_13316.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISRSNRSSEPSSGIIDTNGGRIAFV >DRNTG_21717.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:630611:630956:1 gene:DRNTG_21717 transcript:DRNTG_21717.1 gene_biotype:protein_coding transcript_biotype:protein_coding KMHKTDHQIQTNTETNQLRIRGHPHKLPQRKNSYPHATLRCLLGSRDHPTCQDPRLQELQASLDDTHGIQEPLLRVICKTINPKQKHAVNILDNTARRKNFLSLIFHGISF >DRNTG_15479.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16595012:16595611:1 gene:DRNTG_15479 transcript:DRNTG_15479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEFLIPISLIVVLLLLSIKLALFSSKRSVKLPSSPWKVPFIGNLHQLGLLPHQSLCKLSKKHGPLMLLQLGQVPTLVVSSSEMAKAILQTHDLIFASRPKVNAAHIMLYGNQNMAFSPNGEHWRQMRKIAVTNLLSMKRVQSLHGAMEEQVAHMLNKISDASSSISPINMSKILFSFTNGMLFRTILGRSFDEESEN >DRNTG_09819.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:12882834:12888344:1 gene:DRNTG_09819 transcript:DRNTG_09819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVPRLPCQEGDGEREQEHKGAELDGVGIPLLHGFGTSGVKKERCGLPAKDLKLLDPLFVYPSTILGHEKVIVVSLEKMTFLLNSKLWSMLWSSLLHHSILRAFAHVQEFINCRSDLLGQRLVLNNFTSADGQFLFIHTCFNQSNKVTGLELEIYPVLEELALSISTGNLEHVRMLKSDLLALTHRAQKVRDEIEQLMDGDRDMAEMNLIEKKVVCKATSKASKSPLKTSFVGPEKHPIKETAKLALETKKPEIVPNHRPKGTIKFDIKTVGVRRLTSGKIKDSEIYLFLSNLADKERVVDIREHGDVIGEKQTRRFLGCATGCSGEVGIGSCPKLGIEST >DRNTG_11839.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:187117:189250:-1 gene:DRNTG_11839 transcript:DRNTG_11839.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubredoxin family protein [Source:Projected from Arabidopsis thaliana (AT5G17170) UniProtKB/TrEMBL;Acc:Q9FFJ2] MAASASASASSISISNVPRRSNSLSSPTPHFLAQSQKTAFHGLSLISKSGSRNRALSGRRGFEINARTAGASRTIEVEVDKPLGLTLGQKSGGGVVITAVDSGGNAAKAGLKAGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVSRGAEVDVKRLTKRPAPPRFGRKLTEAQKARATHICLDCGFIYTLQKSFDEQPDSYVCPQCSAPKKRFVEYDVNTGKPVGGALPPIGVIVGLIIGIAGVGALLVYGLQ >DRNTG_04821.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2299051:2300951:-1 gene:DRNTG_04821 transcript:DRNTG_04821.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLCSILELIFALGGKQLQSNFTGLTCACHSSYMLVRFLFMLLDINLVTRLVFMNSLV >DRNTG_23995.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6033678:6034365:-1 gene:DRNTG_23995 transcript:DRNTG_23995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDSNGVLNRQELLYLCCMAQSTPLHMGTSYQSIYDIRGSMPGSATVTTLLGIETMRLMGMFRRCQSGVYALITLTPKIVGSRDNIVEIPQAASGP >DRNTG_13300.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2937624:2940394:1 gene:DRNTG_13300 transcript:DRNTG_13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKNSFGPLNMVKKNATAVALRYQPYDRKSGRPPKSHKTSFGKNIYKIYAESKKLQSDKLKPREFYQKKKQLVLKARKTVFDRIAFPNDQVSQLQASQGQASVSHSKFDRKKRSEDEYMSDGPVTRSRRWAPDSQSMVREQGSSHREAVFGLDQNNIIMKKFQEMENSEAEYKKMNENMMVLMDTVFQMQKQMAALMENGPEQLEDAFRVRFGGVSDKITIADLAATRQNKDEKVVDYIMRWRNLSIKCEQPLDQPQAVGLLLGNIDSWMTPFLSTSGITTFQELISQAKKLERTSPRVLSNFQTSRNDKPKKAEGVKYIATAFNVDKGKSTIESYKPEQAKPLSLGAPGTEPRPIPSLKDRMNKNGGIKWRNVEKPPEGLPVKQTKKKNKKKKQTHEHSKHKKSIIEQYIKSLEEYEQKEKVLITLKEYFHEEVKELLEELDEENDETIQVETCRAISGGEYPIYNQNNDEDSFSESEKLYDCHGRNYISKNQKKKMAFRERVKKANAKLSETHHSEKPKNPYYTPKTPKHKILRKVMLAKEIPYFIRRVTEESADRLMQLRIADNKCDESGIYPPESTSDNVDSKIMKPTFSIANAKDKSILSQYPNKLRRIVEEFGGKINWSKKSY >DRNTG_27461.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21808137:21808798:1 gene:DRNTG_27461 transcript:DRNTG_27461.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKREIQSNKENGLQLSDRNPGRSEEATPSIIKGFGNLGFRQ >DRNTG_28741.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31109932:31112154:1 gene:DRNTG_28741 transcript:DRNTG_28741.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRIVIGAILLAFMTSPTTARIPGVYTGGPWQNAHATFYGGSDASGTMGGACGYGNLYSQGYGVETAALSTALFNNGFSCGACFEIKCADDPEWCHGGSPSIHITATNFCPPNFALPSNNGGWCNPPRPHFDLAMPMFLKIAQYRAGIVPVSYRRVPCKKSGGIRFTINGFRYFNLVLITNVAGAGDVVRASVKGSRTGWMPMSRNWGQNWQSNAVLSGQSLSFRVTGSDHRTSTSWNIVPSNWQFGQTFSGKNFRV >DRNTG_04130.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30182130:30184356:1 gene:DRNTG_04130 transcript:DRNTG_04130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDANNEVETHHSHPMNAQEPSFLIPKCPDRIRRDEWSEDGVLSLLEVYESKWASRNRAKLKGSDWEDIASQVSVMCSGTKAFKTPSQCKNKIESMKKRYRAESALAQQPNCCSLWKFYPQMESLLKGVAKSPAQEIQTVKKVEADVDIEGQVQNCNQNDDHEDDDGSQTLPVNVNVSNGDGNGNEKKVSKGVESDVSAMMGRDYDVNGKRRKRRRSEVAKSIVLLAQSILEIEQARLEVYKDSERMRIEAEIKRGEMELKRTEIITKTQLQIARLFSKRLRRKNKKSFNSSSRTEFNDQLPEDRMDDQLLQADYVESQGL >DRNTG_04130.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30182130:30184356:1 gene:DRNTG_04130 transcript:DRNTG_04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANNEVETHHSHPMNAQEPSFLIPKCPDRIRRDEWSEDGVLSLLEVYESKWASRNRAKLKGSDWEDIASQVSVMCSGTKAFKTPSQCKNKIESMKKRYRAESALAQQPNCCSLWKFYPQMESLLKGVAKSPAQEIQTVKKVEADVDIEGQVQNCNQNDDHEDDDGSQTLPVNVNVSNGDGNGNEKKVSKGVESDVSAMMGRDYDVNGKRRKRRRSEVAKSIVLLAQSILEIEQARLEVYKDSERMRIEAEIKRGEMELKRTEIITKTQLQIARLFSKRLRRKNKKSFNSSSRTEFNDQLPEDRMDDQLLQADYVESQGL >DRNTG_13635.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7511491:7512534:1 gene:DRNTG_13635 transcript:DRNTG_13635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLKTLKHNKDHAKPIKIFEVGDVVIIDESNDVGAANNRRLAALYCNVYSGFEV >DRNTG_05710.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1796472:1800224:1 gene:DRNTG_05710 transcript:DRNTG_05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLYHKELAAAKRAASLAARLCQAVQKAILISDVQSKADKSPVTVADYGSQAVVSLVLKMELPSESFSLVAEEDSGDLRNDGGQDTLKRITKLVNDTLSMDGTYNITVSEEDVLAAIDSGKSEGGPSGQHWVLDPIDGTKGFLRGDQYAIALALLDEGKVVLGVLACPNLPLGSIGNPDKDTSQNQTGCLFSAKIGCGTDMQLLNGSTANRIHVTSIENPADASIFESYEAAHSQHDLSSSIAQKLGVRAPPVRIDSQAKYGALARGDGAIYLRFPRPGYREKIWDHAAGCIVVSEAGGVATDAAGNDLDFSKGRYLDLDTGIVVTNKKLMPSLLNAVQHALKEQIQNAALL >DRNTG_23826.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29510307:29511106:-1 gene:DRNTG_23826 transcript:DRNTG_23826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISNSKEEEDEGRELREKINELEDEVKEVKKRREKEALKYEHDLWLFACKEEEWKQDMAKMKMTLEVALEKWKKLYHEIKLELDHLILHTLLQGEKCSQGDSEYLIIEELQKELKAKEETVQALTSRLAAMENENIKKERDIDILRQSLKILSIGKNAEI >DRNTG_18273.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1865647:1868918:1 gene:DRNTG_18273 transcript:DRNTG_18273.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNATLVIPMFHLNSVWRDSSKFGDIFDEEYFIDTLKSHVRVVKELPEDILQRFDNNISNIINMRAKALSTKSYYLQKVLPKLLELGAVRIAPFSNRLAHSVPPSIQALRCLANYEALQFSEPIRGLAKDMVDRMLKKSSNTSGKYISVHLRFEEDMVAFSCCTYDGGKEEKREMDNARERSWRGKFRRPGRVINPEANRMDGKCPLTPLEVGMMLRGMGFGNNTPIYVASGKIYNAEKYMAPLRQMFPLLETKETLASADELAQFKGYSSRLAALDYTVCAHSEAFVTTQGGNFPHFLLGHRRYLYAGHSKTIQPDKRKLVLALDNPNIRWDRFKRSMQEMLRRSDLKGVGQRKSNASIYTFPMPDCMCQQQSET >DRNTG_18273.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1863325:1868918:1 gene:DRNTG_18273 transcript:DRNTG_18273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPQSPCSSPRAKLRKGGGAVPQSPRRLVVVVVSAALRRRGLLLLVPLMYLVAMVVFMGCWDLEFVPVRVGVAILRRSPPGAVYKSPQVFKKLWPFMQADVNHSNALATAWHSKASQRWKSCFSKKLHKKELPPSNGFLIIEANGGLNQQRLSICDAVAVAGMLNATLVIPMFHLNSVWRDSSKFGDIFDEEYFIDTLKSHVRVVKELPEDILQRFDNNISNIINMRAKALSTKSYYLQKVLPKLLELGAVRIAPFSNRLAHSVPPSIQALRCLANYEALQFSEPIRGLAKDMVDRMLKKSSNTSGKYISVHLRFEEDMVAFSCCTYDGGKEEKREMDNARERSWRGKFRRPGRVINPEANRMDGKCPLTPLEVGMMLRGMGFGNNTPIYVASGKIYNAEKYMAPLRQMFPLLETKETLASADELAQFKGYSSRLAALDYTVCAHSEAFVTTQGGNFPHFLLGHRRYLYAGHSKTIQPDKRKLVLALDNPNIRWDRFKRSMQEMLRRSDLKGVGQRKSNASIYTFPMPDCMCQQQSET >DRNTG_25618.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22023187:22031661:-1 gene:DRNTG_25618 transcript:DRNTG_25618.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYFKNPSATSQALDGEGWLNTGDIGWIAPKSFTGRNRECGGMLVLEGRAKDTIVLTTGENIEPSELEDAALRSNLIQQIVVIGQDQRRLGALIVPNKEELLAMAKEDSLSSDPSELNKDEIMNLLHHELSSWTSGCLYQIGPILIVDEPFTIENGLMTPTMKVRRDRVVAQYKDRIAKLYQ >DRNTG_25618.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22023187:22035961:-1 gene:DRNTG_25618 transcript:DRNTG_25618.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSWHAYERACEYFIFTYGIEQVYTNVKKLKEDLKRYQPQYLISVPLVYETLYSSIQKQISSSSAARRFIALMLINVSLLYMEAKRIYEGKFLLEKQTNHPYIFVFLDWLWARLVAATLCPLHNLALKLVYSKIHSAIGISKAGISGGGSLPNYVDKFFEAIGVRIQNGYGLTESSPVLAARRPACNVLGTVGHPLNHTEIKIIDAENGEVLPDGLKGIVKVRGPQVMKGYFKNPSATSQALDGEGWLNTGDIGWIAPKSFTGRNRECGGMLVLEGRAKDTIVLTTGENIEPSELEDAALRSNLIQQIVVIGQDQRRLGALIVPNKEELLAMAKEDSLSSDPSELNKDEIMNLLHHELSSWTSGCLYQIGPILIVDEPFTIENGLMTPTMKVRRDRVVAQYKDRIAKLYQ >DRNTG_25618.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22023187:22035961:-1 gene:DRNTG_25618 transcript:DRNTG_25618.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSGTSGTPKGVMLTHRNLLHQIRNLWEIVPAEPGDIFLSMLPSWHAYERACEYFIFTYGIEQVYTNVKKLKEDLKRYQPQYLISVPLVYETLYSSIQKQISSSSAARRFIALMLINVSLLYMEAKRIYEGKFLLEKQTNHPYIFVFLDWLWARLVAATLCPLHNLALKLVYSKIHSAIGISKAGISGGGSLPNYVDKFFEAIGVRIQNGYGLTESSPVLAARRPACNVLGTVGHPLNHTEIKIIDAENGEVLPDGLKGIVKVRGPQVMKGYFKNPSATSQALDGEGWLNTGDIGWIAPKSFTGRNRECGGMLVLEGRAKDTIVLTTGENIEPSELEDAALRSNLIQQIVVIGQDQRRLGALIVPNKEELLAMAKEDSLSSDPSELNKDEIMNLLHHELSSWTSGCLYQIGPILIVDEPFTIENGLMTPTMKVRRDRVVAQYKDRIAKLYQ >DRNTG_25618.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22023187:22050386:-1 gene:DRNTG_25618 transcript:DRNTG_25618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSGTSGTPKGVMLTHRNLLHQIRNLWEIVPAEPGDIFLSMLPSWHAYERACEYFIFTYGIEQVYTNVKKLKEDLKRYQPQYLISVPLVYETLYSSIQKQISSSSAARRFIALMLINVSLLYMEAKRIYEGKFLLEKQTNHPYIFVFLDWLWARLVAATLCPLHNLALKLVYSKIHSAIGISKAGISGGGSLPNYVDKFFEAIGVRIQNGYGLTESSPVLAARRPACNVLGTVGHPLNHTEIKIIDAENGEVLPDGLKGIVKVRGPQVMKGYFKNPSATSQALDGEGWLNTGDIGWIAPKSFTGRNRECGGMLVLEGRAKDTIVLTTGENIEPSELEDAALRSNLIQQIVVIGQDQRRLGALIVPNKEELLAMAKEDSLSSDPSELNKDEIMNLLHHELSSWTSGCLYQIGPILIVDEPFTIENGLMTPTMKVRRDRVVAQYKDRIAKLYQ >DRNTG_26349.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16970138:16971701:1 gene:DRNTG_26349 transcript:DRNTG_26349.6 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPPRKPPNPALPYREDCWSEGETSVLVDAWGDRYVELSRGNLRQKHWQEVADAVNSRPAGARRPPRTDVQCKNRIDTLKKKYKIEKARIVDSGGAITSQWQFFTRLDALIGSSISGSKKPSPPSPPPPLALPLPYHRKSSPLPLPAAAAVSSRPEKRHLPALPPVNDSFRRRFTTAAAAAAAAAAASVEVDTGSSSRSFGSPRSSRERPAKRWKKGREDETDGIRALARAITRFGEMYEKVEGAKQRQMMELERQRMEFAKNLEFQRMEIFVDSQIKLEKIKRSGRSDAVELGSLAALPFLFDSVSMNL >DRNTG_26349.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16970138:16971701:1 gene:DRNTG_26349 transcript:DRNTG_26349.7 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPPRKPPNPALPYREDCWSEGETSVLVDAWGDRYVELSRGNLRQKHWQEVADAVNSRPAGARRPPRTDVQCKNRIDTLKKKYKIEKARIVDSGGAITSQWQFFTRLDALIGSSISGSKKPSPPSPPPPLALPLPYHRKSSPLPLPAAAAVSSRPEKRHLPALPPVNDSFRRRFTTAAAAAAAAAAASVEVDTGSSSRSFGSPRSSRERPAKRWKKGREDETDGIRALARAITRFGEMYEKVEGAKQRQMMELERQRMEFAKNLEFQRMEIFVDSQIKLEKIKRSGRSDAVELGSLAALPFLFDSVSMNLIENYSTFAPNLKVLV >DRNTG_26349.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16970138:16971410:1 gene:DRNTG_26349 transcript:DRNTG_26349.10 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPPRKPPNPALPYREDCWSEGETSVLVDAWGDRYVELSRGNLRQKHWQEVADAVNSRPAGARRPPRTDVQCKNRIDTLKKKYKIEKARIVDSGGAITSQWQFFTRLDALIGSSISGSKKPSPPSPPPPLALPLPYHRKSSPLPLPAAAAVSSRPEKRHLPALPPVNDSFRRRFTTAAAAAAAAAAASVEVDTGSSSRSFGSPRSSRERPAKRWKKGREDETDGIRALARAITRFGEMYEKVEGAKQRQMMELERQRMEFAKNLEFQRMEIFVDSQIKLEKIKRSGRSDAVELGSLAALPFLFDSVSMNL >DRNTG_26349.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16970138:16972196:1 gene:DRNTG_26349 transcript:DRNTG_26349.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPPRKPPNPALPYREDCWSEGETSVLVDAWGDRYVELSRGNLRQKHWQEVADAVNSRPAGARRPPRTDVQCKNRIDTLKKKYKIEKARIVDSGGAITSQWQFFTRLDALIGSSISGSKKPSPPSPPPPLALPLPYHRKSSPLPLPAAAAVSSRPEKRHLPALPPVNDSFRRRFTTAAAAAAAAAAASVEVDTGSSSRSFGSPRSSRERPAKRWKKGREDETDGIRALARAITRFGEMYEKVEGAKQRQMMELERQRMEFAKNLEFQRMEIFVDSQIKLEKIKRSGRSDAVELGSLAALPFLFDSVSMNLIENYSTFAPNLKVLV >DRNTG_26349.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16969887:16971410:1 gene:DRNTG_26349 transcript:DRNTG_26349.8 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPPRKPPNPALPYREDCWSEGETSVLVDAWGDRYVELSRGNLRQKHWQEVADAVNSRPAGARRPPRTDVQCKNRIDTLKKKYKIEKARIVDSGGAITSQWQFFTRLDALIGSSISGSKKPSPPSPPPPLALPLPYHRKSSPLPLPAAAAVSSRPEKRHLPALPPVNDSFRRRFTTAAAAAAAAAAASVEVDTGSSSRSFGSPRSSRERPAKRWKKGREDETDGIRALARAITRFGEMYEKVEGAKQRQMMELERQRMEFAKNLEFQRMEIFVDSQIKLEKIKRSGRSDAVELGSLAALPFLFDSVSMNL >DRNTG_26349.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16970138:16972196:1 gene:DRNTG_26349 transcript:DRNTG_26349.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPPRKPPNPALPYREDCWSEGETSVLVDAWGDRYVELSRGNLRQKHWQEVADAVNSRPAGARRPPRTDVQCKNRIDTLKKKYKIEKARIVDSGGAITSQWQFFTRLDALIGSSISGSKKPSPPSPPPPLALPLPYHRKSSPLPLPAAAAVSSRPEKRHLPALPPVNDSFRRRFTTAAAAAAAAAAASVEVDTGSSSRSFGSPRSSRERPAKRWKKGREDETDGIRALARAITRFGEMYEKVEGAKQRQMMELERQRMEFAKNLEFQRMEIFVDSQIKLEKIKRSGRSDAVELGSLAALPFLFDSVSMNLIENYSTFAPNLKVLV >DRNTG_26349.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16969745:16972791:1 gene:DRNTG_26349 transcript:DRNTG_26349.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPPRKPPNPALPYREDCWSEGETSVLVDAWGDRYVELSRGNLRQKHWQEVADAVNSRPAGARRPPRTDVQCKNRIDTLKKKYKIEKARIVDSGGAITSQWQFFTRLDALIGSSISGSKKPSPPSPPPPLALPLPYHRKSSPLPLPAAAAVSSRPEKRHLPALPPVNDSFRRRFTTAAAAAAAAAAASVEVDTGSSSRSFGSPRSSRERPAKRWKKGREDETDGIRALARAITRFGEMYEKVEGAKQRQMMELERQRMEFAKNLEFQRMEIFVDSQIKLEKIKRSGRSDAVELGSLAALPFLFDSVSMNLEAII >DRNTG_26349.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16970062:16971410:1 gene:DRNTG_26349 transcript:DRNTG_26349.9 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPPRKPPNPALPYREDCWSEGETSVLVDAWGDRYVELSRGNLRQKHWQEVADAVNSRPAGARRPPRTDVQCKNRIDTLKKKYKIEKARIVDSGGAITSQWQFFTRLDALIGSSISGSKKPSPPSPPPPLALPLPYHRKSSPLPLPAAAAVSSRPEKRHLPALPPVNDSFRRRFTTAAAAAAAAAAASVEVDTGSSSRSFGSPRSSRERPAKRWKKGREDETDGIRALARAITRFGEMYEKVEGAKQRQMMELERQRMEFAKNLEFQRMEIFVDSQIKLEKIKRSGRSDAVELGSLAALPFLFDSVSMNL >DRNTG_26349.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16970062:16972196:1 gene:DRNTG_26349 transcript:DRNTG_26349.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPPRKPPNPALPYREDCWSEGETSVLVDAWGDRYVELSRGNLRQKHWQEVADAVNSRPAGARRPPRTDVQCKNRIDTLKKKYKIEKARIVDSGGAITSQWQFFTRLDALIGSSISGSKKPSPPSPPPPLALPLPYHRKSSPLPLPAAAAVSSRPEKRHLPALPPVNDSFRRRFTTAAAAAAAAAAASVEVDTGSSSRSFGSPRSSRERPAKRWKKGREDETDGIRALARAITRFGEMYEKVEGAKQRQMMELERQRMEFAKNLEFQRMEIFVDSQIKLEKIKRSGRSDAVELGSLAALPFLFDSVSMNL >DRNTG_26349.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16969887:16971701:1 gene:DRNTG_26349 transcript:DRNTG_26349.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPPRKPPNPALPYREDCWSEGETSVLVDAWGDRYVELSRGNLRQKHWQEVADAVNSRPAGARRPPRTDVQCKNRIDTLKKKYKIEKARIVDSGGAITSQWQFFTRLDALIGSSISGSKKPSPPSPPPPLALPLPYHRKSSPLPLPAAAAVSSRPEKRHLPALPPVNDSFRRRFTTAAAAAAAAAAASVEVDTGSSSRSFGSPRSSRERPAKRWKKGREDETDGIRALARAITRFGEMYEKVEGAKQRQMMELERQRMEFAKNLEFQRMEIFVDSQIKLEKIKRSGRSDAVELGSLAALPFLFDSVSMNLIENYSTFAPNLKVLV >DRNTG_34003.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20315474:20318414:-1 gene:DRNTG_34003 transcript:DRNTG_34003.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKLTKALLLVFLYLKFSLCNGVSINVCRETERKALLDFKEGLKDPGGRLSSWIGEDCCIWRGIQCDNQTGYVVQLDLGNKRPLHDMFQYGPRSEPLNGEINPALLGLKHLQYLDLSMNFFGGLAKLQHLGFSANEFRVDLGSQWVPPVGLNRLAMWNSKLGPGFPSWIQKLENLSVAAFSNAGISDTLPGWFWNFSKNLQLVDLSYNDIKGKLPASLEHLSDLLYVDLSGNSFE >DRNTG_34003.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20315474:20318414:-1 gene:DRNTG_34003 transcript:DRNTG_34003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKLTKALLLVFLYLKFSLCNGVSINVCRETERKALLDFKEGLKDPGGRLSSWIGEDCCIWRGIQCDNQTGYVVQLDLGNKRPLHDMFQYGPRSEPLNGEINPALLGLKHLQYLDLSMNFFGGIQIPAFIGSLAQLRYLDLSCAGFSGLVPHQLGNLSHLHHLDLSNPFYSSWNKLFIVGSYWLSNLSSLQYLNLNFVDLSKAPDWLESLNTFPLVSEIYLSNCNLEIPDSLAHVNFTKLRVIDLSSNNLHSVVPSWLFKLTSLESLDLSANAFKELIPSAIGNLTSLRVLNLANNRVLEGGVPLSLGNLCMLNSLDLSVNKYLHGDLNELGEVFSGCIKDSLEILNWAISELTGHFPDWLGNLKSLKMLNLYVNLFYGPFLQFRLHSLKKLDISRNTLNRSVPVNLGQLYPKLEFLDFAYNNLTGVLTEAHFAGLAKLQHLGFSANEFRVDLGSQWVPPVGLNRLAMWNSKLGPGFPSWIQKLENLSVAAFSNAGISDTLPGWFWNFSKNLQLVDLSYNDIKGKLPASLEHLSDLLYVDLSGNSFE >DRNTG_06978.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:42593:45637:1 gene:DRNTG_06978 transcript:DRNTG_06978.2 gene_biotype:protein_coding transcript_biotype:protein_coding HVFLNSGEGDANLLLETLFTYSALEKSSSPKASDSKDGLSGSDKEGGDSPLNFTSDDEEMEGHTEPVNVDLDDKRSYLLMMDFSEEEVAMAINNLGENALLTELVDFIVAAQTIDHSKEKALNGLIHIDDGKNKEATTETLFGTMDKTLCLLQMGFTEREISIAVDKLGIDVPIRRLAESIMSSRLPNTAVKEEYEVTDPVITHTHTSATFCVAEYW >DRNTG_06978.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:42336:45637:1 gene:DRNTG_06978 transcript:DRNTG_06978.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSPSLVDKVIKEHGEGDANLLLETLFTYSALEKSSSPKASDSKDGLSGSDKEGGDSPLNFTSDDEEMEGHTEPVNVDLDDKRSYLLMMDFSEEEVAMAINNLGENALLTELVDFIVAAQTIDHSKEKALNGLIHIDDGKNKEATTETLFGTMDKTLCLLQMGFTEREISIAVDKLGIDVPIRRLAESIMSSRLPNTAVKEEYEVTDPVITHTHTSATFCVAEYW >DRNTG_28958.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27505824:27512587:-1 gene:DRNTG_28958 transcript:DRNTG_28958.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQKESQSILSQIVTILKQPPPLTQGHFARFQLSTLFYIFYSMPKDEAQVYAAHELYSRGWLYHKEHQFWFMKVPNMEPLVKTQTYERGSYLCFDPNAWDTVRKDNFVLYYEAIEKKPTVPPVRTMH >DRNTG_28958.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27505824:27512587:-1 gene:DRNTG_28958 transcript:DRNTG_28958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQKESQSILSQIVTILKQPPPLTQGHFARFQLSTLFYIFYSMPKDEAQVYAAHELYSRGWLYHKEHQFWFMKVPNMEPLVKTQTYERGSYLCFDPNAWDTVRKDNFVLYYEAIEKKPTVPPVRTMH >DRNTG_09756.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10877341:10877835:-1 gene:DRNTG_09756 transcript:DRNTG_09756.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSIEFNKHCITAPTKSSQLTFTLNQTLYRQVSTRNQSNQYRSSDLQSHNKHSDKSRKKRV >DRNTG_08819.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28032327:28034498:1 gene:DRNTG_08819 transcript:DRNTG_08819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIETSDCKCNIKGAPLATSYDAQIRPLLDAVDRLRNLKVMQEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLIMRLKRDKSAINPQMQLEYKEKTIQITESGITAAISRATDEIAGSGKAISNAPLTLVVKKTDVPDLTMVDLPGITRVPVKGQPENIYEQISDIIKEYISPKESIILNVLSAAADFTICESIQMSRQVDRNGERTLAVVTKVDMAPVGLHEKVMADDVKIGLGYVCVRNRVGDETYDEARVEESKLFETHPLLSKIDKTIVGVPTLAQKLMRIQAASISKCMPDIVKKINEKLTFNVNELDKMPRNLSTVSDAMRAFMKVLISMKETLKKILILRDYDEYPDNLDMHGVARIVDMLTEYSKELPVNQVMISEGDFLMEEIIVLEEAKAIGLPNFLPESTFKTLLKRKIDGISHFPIEFVKKVWNYIEKVVIKVLLKHSDNYPQLQSSMTRAAENLIEKMTKLSCQSVQRMIDMERVGAYTSNPDYMATWCLLMGKQDHFMDLLDNCYKGSILDFDRFGKVNVVHLRQQQKEIAEKAFDLRMRMIAYWRSVVLRLVDGPALHILYSIQKLVEVEMDEEILNEIAGDSGKGLEKMLEESPSVARKRDRLRKSVELLEESKQVVATIIDRIALLDD >DRNTG_30330.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19897192:19903164:1 gene:DRNTG_30330 transcript:DRNTG_30330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLQLVLVALPLFLFCSDIFNLFAPPPPPPPPKPSSAPRPRSPLPNLHSNGLSHSIVTEAIPKQIGDLGYGVTVDLRFCASCSYRGTALTMKKMLETSFPGIEVVLENYPPPLPKRLLSKLVPVVQFGVIGSCAGRGPDFP >DRNTG_21307.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27423715:27427013:-1 gene:DRNTG_21307 transcript:DRNTG_21307.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:Projected from Arabidopsis thaliana (AT4G20890) UniProtKB/TrEMBL;Acc:A0A178UU99] MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYNGDSDLQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGITCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPKGIKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEYEEEEEEEEVQA >DRNTG_10426.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15992896:15993644:-1 gene:DRNTG_10426 transcript:DRNTG_10426.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPLWIGHMGMGNFHTPVWMYRNARTADGLVIMGIETLSWARPRCFGTCPCELYNPSEHYSQGLSSIKRDTRSQGSIVLIASSTLFFIDIHYE >DRNTG_29091.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20947805:20955269:-1 gene:DRNTG_29091 transcript:DRNTG_29091.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQYFSCTIPLVSGGSEIHLKACWSQKLSYKDGQFIITIPFNFPEYVTPIPKVFSKGEKIQLNVNSGTEKEVLCQNASHPLKEKARQVGKLSFLYEAEADSWSRKDFSFAFSVYSSDIFGGMLVQSPPMHDFDQREMFCLYLFPGSNQTRKVFRKEVIFLVDISGSMQGKPLENVKDAIILALSQLAPRDYFGIIAFNEDISLFSSTLELATEDKIKKANQWISKSFVAEGGASGSQQLIAQPLNKAISLLTKSPDSLPHIFFITDGSFEEERNICSIMKNHVANHQPMQPRISTFGIGSFCNHYFLQMLASIGRGQYGVAHDPDSIEAQMQRWFRRALSPIVANITVDVFDHFDALEIYPLPIPDLSAESPLIVYGRYQGKLPDSVKARGILADLNDIVIDLQVQITKDIPLERISAKQQIDLLTAQAWFSESRHLEGKVIELSLQRGIPSEYTYLVLRQNQPEIKETAKEKSKKTKLLNLEAAKEQYLVQGIRIGFGNIAATAENHPPGFLMPKQPENHFLVDKAVGCCNRMCNCCCCMCCIQTCSKLNDQFVIVMMQLCTALSCLACFECCAEVCCDGSG >DRNTG_29091.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20947805:20955269:-1 gene:DRNTG_29091 transcript:DRNTG_29091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDFSKAVEEGLRLSKRICTGKPSSRPSEQFMERSSTMAAGLLPTAPMAYAVISDPRIVDNPDIPSYQPHVHGSCDPPALIPLQMKEIALEIDCCLDAALVTVKGRWRVHCVMGSKSCNCRVVIPMGEQGSILGVELDVERKSYSTQVIQTEEDLNVEKLLNNEDGGFMNPQYFSCTIPLVSGGSEIHLKACWSQKLSYKDGQFIITIPFNFPEYVTPIPKVFSKGEKIQLNVNSGTEKEVLCQNASHPLKEKARQVGKLSFLYEAEADSWSRKDFSFAFSVYSSDIFGGMLVQSPPMHDFDQREMFCLYLFPGSNQTRKVFRKEVIFLVDISGSMQGKPLENVKDAIILALSQLAPRDYFGIIAFNEDISLFSSTLELATEDKIKKANQWISKSFVAEGGASGSQQLIAQPLNKAISLLTKSPDSLPHIFFITDGSFEEERNICSIMKNHVANHQPMQPRISTFGIGSFCNHYFLQMLASIGRGQYGVAHDPDSIEAQMQRWFRRALSPIVANITVDVFDHFDALEIYPLPIPDLSAESPLIVYGRYQGKLPDSVKARGILADLNDIVIDLQVQITKDIPLERISAKQQIDLLTAQAWFSESRHLEGKVIELSLQRGIPSEYTYLVLRQNQPEIKETAKEKSKKTKLLNLEAAKEQYLVQGIRIGFGNIAATAENHPPGFLMPKQPENHFLVDKAVGCCNRMCNCCCCMCCIQTCSKLNDQFVIVMMQLCTALSCLACFECCAEVCCDGSG >DRNTG_34618.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:50731:54903:1 gene:DRNTG_34618 transcript:DRNTG_34618.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPGLIPLFLPKPPSLLRSIPLSDPYLSSLSPHLSPSSISSALNLLPFPSAFRLFLWTSFHRHLRSFDSHNIIISTLVSSPDDSFPSAWQVLSDFHSSGNPVHPAAFTVLISAYSAAGLPEKAVETFSRMQDFGSRPNTFTYNTLLRVLIEKDVILLAMAVYNLMLKSDCRPNSASYGILIDGLCKAGKNEDALKLFDEMLQRCVPPNAVIYTVFLTSLCGAGKIEDAMRLLGTMKEKNCPPDDAVYNAMLSGFCKAGRIDEAFENLKAFQGDGFVLGLSGYSCLIDGLFRAERFEDACSYYKEMLTKSLVPDCVLYTIMIRGYLEAGRVDEAFSFLGEMTDKGVVPDTFCYNTLIKGLCDSGHLNRARSLRLEISKHNCFPDSATYTIMICGLCKEGMIHEAQEIFDEMGKHGCAPTVMTFNALINGLCKAGQLKKANYLFHKMEMGRNPSLFLRLSQGTNRVHDSHSLRKLVEDLCESGLVLKAYKLLRDIIDSGVVPDVVTYNILINGFCKEGDLNGALNLFKRLQLEGHMPDDVTYSTLIDGLLKVRRKEDSSLIYQHMLRNGYATSLSICATHMRTMCREKRVSQAVNFWLDHLLQDSALSDEKETIKLVQKQFEQEYLEEAIRTLIEMDQRRNAVNSFPYTIWLIGYCQAQAIDKALKIFSLLVEYKIDVTPPSCVLLINGLCRKGKLGSALNVMLYSLGKGYLFMQPVGNRLLKRLCIYNKKRAACELAKRMHLTGYDMDLYLRDTTKALLYN >DRNTG_34618.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:50731:53384:1 gene:DRNTG_34618 transcript:DRNTG_34618.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPGLIPLFLPKPPSLLRSIPLSDPYLSSLSPHLSPSSISSALNLLPFPSAFRLFLWTSFHRHLRSFDSHNIIISTLVSSPDDSFPSAWQVLSDFHSSGNPVHPAAFTVLISAYSAAGLPEKAVETFSRMQDFGSRPNTFTYNTLLRVLIEKDVILLAMAVYNLMLKSDCRPNSASYGILIDGLCKAGKNEDALKLFDEMLQRCVPPNAVIYTVFLTSLCGAGKIEDAMRLLGTMKEKNCPPDDAVYNAMLSGFCKAGRIDEAFENLKAFQGDGFVLGLSGYSCLIDGLFRAERFEDACSYYKEMLTKSLVPDCVLYTIMIRGYLEAGRVDEAFSFLGEMTDKGVVPDTFCYNTLIKGLCDSGHLNRARSLRLEISKHNCFPDSATYTIMICGLCKEGMIHEAQEIFDEMGKHGCAPTVMTFNALINGLCKAGQLKKANYLFHKMEMGRNPSLFLRLSQGTNRVHDSHSLRKLVEDLCESGLVLKAYKLLRDIIDSGVVPDVVTYNILINGFCKEGDLNGALNLFKRLQLEGHMPDDVTYSTLIDGLLKVRRKEDSSLIYQHMLRNGYATSLSICATHMRTMCREKRVSQAVNFWLDHLLQDSALSDEKETIKLVQKQFEQEYLEEAIRTLIEMDQRRNAVNSFPYTIWLIGYCQAQAIDKALKIFSLLVEYKIDVTPPSCVLLINGLCRKGKLGSALNVMLYSLGKGYLFMQPVGNRLLKRLCIYNKKRAACELAKRMHLTGYDMDLYLRDTTKALLYN >DRNTG_34618.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:50731:53319:1 gene:DRNTG_34618 transcript:DRNTG_34618.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPGLIPLFLPKPPSLLRSIPLSDPYLSSLSPHLSPSSISSALNLLPFPSAFRLFLWTSFHRHLRSFDSHNIIISTLVSSPDDSFPSAWQVLSDFHSSGNPVHPAAFTVLISAYSAAGLPEKAVETFSRMQDFGSRPNTFTYNTLLRVLIEKDVILLAMAVYNLMLKSDCRPNSASYGILIDGLCKAGKNEDALKLFDEMLQRCVPPNAVIYTVFLTSLCGAGKIEDAMRLLGTMKEKNCPPDDAVYNAMLSGFCKAGRIDEAFENLKAFQGDGFVLGLSGYSCLIDGLFRAERFEDACSYYKEMLTKSLVPDCVLYTIMIRGYLEAGRVDEAFSFLGEMTDKGVVPDTFCYNTLIKGLCDSGHLNRARSLRLEISKHNCFPDSATYTIMICGLCKEGMIHEAQEIFDEMGKHGCAPTVMTFNALINGLCKAGQLKKANYLFHKMEMGRNPSLFLRLSQGTNRVHDSHSLRKLVEDLCESGLVLKAYKLLRDIIDSGVVPDVVTYNILINGFCKEGDLNGALNLFKRLQLEGHMPDDVTYSTLIDGLLKVRRKEDSSLIYQHMLRNGYATSLSICATHMRTMCREKRVSQAVNFWLDHLLQDSALSDEKETIKLVQKQFEQEYLEEAIRTLIEMDQRRNAVNSFPYTIWLIGYCQAQAIDKALKIFSLLVEYKIDVTPPSCVLLINGLCRKGKLGSALNVMLYSLGKGYLFMQPVGNRLLKRLCIYNKKRAACELAKRMHLTGYDMDLYLRDTTKALLYN >DRNTG_34618.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:50662:53319:1 gene:DRNTG_34618 transcript:DRNTG_34618.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPGLIPLFLPKPPSLLRSIPLSDPYLSSLSPHLSPSSISSALNLLPFPSAFRLFLWTSFHRHLRSFDSHNIIISTLVSSPDDSFPSAWQVLSDFHSSGNPVHPAAFTVLISAYSAAGLPEKAVETFSRMQDFGSRPNTFTYNTLLRVLIEKDVILLAMAVYNLMLKSDCRPNSASYGILIDGLCKAGKNEDALKLFDEMLQRCVPPNAVIYTVFLTSLCGAGKIEDAMRLLGTMKEKNCPPDDAVYNAMLSGFCKAGRIDEAFENLKAFQGDGFVLGLSGYSCLIDGLFRAERFEDACSYYKEMLTKSLVPDCVLYTIMIRGYLEAGRVDEAFSFLGEMTDKGVVPDTFCYNTLIKGLCDSGHLNRARSLRLEISKHNCFPDSATYTIMICGLCKEGMIHEAQEIFDEMGKHGCAPTVMTFNALINGLCKAGQLKKANYLFHKMEMGRNPSLFLRLSQGTNRVHDSHSLRKLVEDLCESGLVLKAYKLLRDIIDSGVVPDVVTYNILINGFCKEGDLNGALNLFKRLQLEGHMPDDVTYSTLIDGLLKVRRKEDSSLIYQHMLRNGYATSLSICATHMRTMCREKRVSQAVNFWLDHLLQDSALSDEKETIKLVQKQFEQEYLEEAIRTLIEMDQRRNAVNSFPYTIWLIGYCQAQAIDKALKIFSLLVEYKIDVTPPSCVLLINGLCRKGKLGSALNVMLYSLGKGYLFMQPVGNRLLKRLCIYNKKRAACELAKRMHLTGYDMDLYLRDTTKALLYN >DRNTG_34618.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:50731:54903:1 gene:DRNTG_34618 transcript:DRNTG_34618.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPGLIPLFLPKPPSLLRSIPLSDPYLSSLSPHLSPSSISSALNLLPFPSAFRLFLWTSFHRHLRSFDSHNIIISTLVSSPDDSFPSAWQVLSDFHSSGNPVHPAAFTVLISAYSAAGLPEKAVETFSRMQDFGSRPNTFTYNTLLRVLIEKDVILLAMAVYNLMLKSDCRPNSASYGILIDGLCKAGKNEDALKLFDEMLQRCVPPNAVIYTVFLTSLCGAGKIEDAMRLLGTMKEKNCPPDDAVYNAMLSGFCKAGRIDEAFENLKAFQGDGFVLGLSGYSCLIDGLFRAERFEDACSYYKEMLTKSLVPDCVLYTIMIRGYLEAGRVDEAFSFLGEMTDKGVVPDTFCYNTLIKGLCDSGHLNRARSLRLEISKHNCFPDSATYTIMICGLCKEGMIHEAQEIFDEMGKHGCAPTVMTFNALINGLCKAGQLKKANYLFHKMEMGRNPSLFLRLSQGTNRVHDSHSLRKLVEDLCESGLVLKAYKLLRDIIDSGVVPDVVTYNILINGFCKEGDLNGALNLFKRLQLEGHMPDDVTYSTLIDGLLKVRRKEDSSLIYQHMLRNGYATSLSICATHMRTMCREKRVSQAVNFWLDHLLQDSALSDEKETIKLVQKQFEQEYLEEAIRTLIEMDQRRNAVNSFPYTIWLIGYCQAQAIDKALKIFSLLVEYKIDVTPPSCVLLINGLCRKGKLGSALNVMLYSLGKGYLFMQPVGNRLLKRLCIYNKKRAACELAKRMHLTGYDMDLYLRDTTKALLYN >DRNTG_34618.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:50731:54903:1 gene:DRNTG_34618 transcript:DRNTG_34618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPGLIPLFLPKPPSLLRSIPLSDPYLSSLSPHLSPSSISSALNLLPFPSAFRLFLWTSFHRHLRSFDSHNIIISTLVSSPDDSFPSAWQVLSDFHSSGNPVHPAAFTVLISAYSAAGLPEKAVETFSRMQDFGSRPNTFTYNTLLRVLIEKDVILLAMAVYNLMLKSDCRPNSASYGILIDGLCKAGKNEDALKLFDEMLQRCVPPNAVIYTVFLTSLCGAGKIEDAMRLLGTMKEKNCPPDDAVYNAMLSGFCKAGRIDEAFENLKAFQGDGFVLGLSGYSCLIDGLFRAERFEDACSYYKEMLTKSLVPDCVLYTIMIRGYLEAGRVDEAFSFLGEMTDKGVVPDTFCYNTLIKGLCDSGHLNRARSLRLEISKHNCFPDSATYTIMICGLCKEGMIHEAQEIFDEMGKHGCAPTVMTFNALINGLCKAGQLKKANYLFHKMEMGRNPSLFLRLSQGTNRVHDSHSLRKLVEDLCESGLVLKAYKLLRDIIDSGVVPDVVTYNILINGFCKEGDLNGALNLFKRLQLEGHMPDDVTYSTLIDGLLKVRRKEDSSLIYQHMLRNGYATSLSICATHMRTMCREKRVSQAVNFWLDHLLQDSALSDEKETIKLVQKQFEQEYLEEAIRTLIEMDQRRNAVNSFPYTIWLIGYCQAQAIDKALKIFSLLVEYKIDVTPPSCVLLINGLCRKGKLGSALNVMLYSLGKGYLFMQPVGNRLLKRLCIYNKKRAACELAKRMHLTGYDMDLYLRDTTKALLYN >DRNTG_22076.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20310333:20316130:-1 gene:DRNTG_22076 transcript:DRNTG_22076.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g14000 [Source:Projected from Arabidopsis thaliana (AT1G14000) UniProtKB/TrEMBL;Acc:Q9XI87] MVVCLLDKMVVISNQKAVPPPLPNKCDWEIDPSELDFSTSTVIGKGSFGEILIAYWRGTPVAVKRILPSLSDDRLVTQDFRHEVNLLVKLRHPNIVQFLGAVTERKPLMLVTEFLRGGDLHQYLKEKGALSPATAVNFALDIARGMAYLHNEPNVIIHRDLKPRNVLLVNSNADHLKVGDFGLSKLIRVQNSHDVYKMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILYEMLEGDPPMSNYEPYEAAKYVAEGHRPTFRAKGYLLELKELTVECWSADMAQRPSFIDILKKLEKIKEAVSPDHHWHIFPQ >DRNTG_28500.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:15207104:15209813:1 gene:DRNTG_28500 transcript:DRNTG_28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQGFTVDLTKPLVFQVGHLGEAYEEWVHQPIVSKDGPRFFASDFWESLTRTVWWAIPTIWLPVVCWFVFMSYRMGHSPSELALMVGGGVVLWTLIEYTLHRFLFHIKTKSYWANTFHYLIHGCHHKHPMDGLRLVFPPAATAILCIPFWNLVRLISTPSTTPAIFAGGLLGYVMYDCTHYYLHHGQPAKDPARGLKRYHLNHHFRIQNKGFGITSPLWDHIFGTLPPAKTNGKRS >DRNTG_31932.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2836791:2841136:-1 gene:DRNTG_31932 transcript:DRNTG_31932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRARDAGGGFSHGVVRFWKREVGDISPRAFARFAAASEDLVLRLGIHRKLDKHRGCVNTVSFNADGDIIVSGSDDRMIILWNWDAGLVKLSFHSGHTDNVFQAKFMPYTDDRTLVTCAADGEVRHVQILERGKVVTKMLAQHEGRVHKMAIEPGSPHIFYSCGEDGLVQHFDLRTQSATKLFVCKSFIDEDDDISIVQLNAIAIDPRNPNLFAVAGSDEYVQLYDIRKYKWGGSSDFGEPVDWFCPHHLIGDGQVGVTGLAFSEQSELLASYNDELIYLFTRDQGLKSNPVHKSLKSAKDMNNGEDALPSAANQNTNTPQAYEGHRNRETVKGVSFYGPSSEYVASGSDCGRLFIWRKKDGELLRVMEGDRYVVNCIEPHPYSPVIASSGIENDVKIWTPNATECAPPVNMEELKKRKRRSRFRAFSVPEDMIAYILALQRRRSVSSDNNSEDHSVDPASVNIMMNYTDGDVSLNDASESSENSGDCIVN >DRNTG_10048.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11906413:11906706:1 gene:DRNTG_10048 transcript:DRNTG_10048.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHCFPLIMMKDLVFPTIASGYILLISRLAFPAVRSRSNGGREIFKGGKLFRSYVVTDPKMLKTGFDLQTYNSNHLLQSLIDNGCFSRTPSPQTG >DRNTG_30277.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001551.1:10917:17226:-1 gene:DRNTG_30277 transcript:DRNTG_30277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQVGSISKLSGLASEVQKESSADPKGDSVAMKGCFALAFIKPSADSIPAPACRDERILGQFSFRTPSRLRLFNHMNFLRLRLKKIERM >DRNTG_25173.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21167064:21174917:1 gene:DRNTG_25173 transcript:DRNTG_25173.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAASITTKSGKALVSRQFVDMSRIRIEGLLAAFPKLIGTGKQHTYVEADNVRYVYQPIEALYLLLVTNKQSNILEDLDTLRLLSKLVPEYSPSLDEDCICKAAFELIFAFDEAISLGHKEGVTVAQVKQYCEMESHEERLHKLVMQSKINETKDVMKRKASEIDKSKIEKNRGEKGGFMSMSGSRRTESGGFGGMGSGMGISSSGSGFGSGSGFGLNTDADSFPTKSKGRPPAAANAPPKGLGMQLGKTQRTNQFLESLKAEGEVIIEDVKPSAVQSKTPLPPTDPITLTIEERLNVITKRDGGLSSFDVQGTLSLQILNQDDGFIRFQIESRDLPGLSFKTHPNINKELFNKQQIIGLKDPNRPFPTGQNDVGLMKWRIQGMDESSLPLTVNCWPSVSGNETFVNIEYEASDMFDIQNVVISIPLPALREPPSVRQIDGEWRYDSRNSILEWSILLIDNSNRSGSMEFVVPPADSSVFFPISIKFTAAETFSDVKVSSVMPLQGGGPPKYSQRVQLIADNYQVV >DRNTG_25173.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21166562:21174917:1 gene:DRNTG_25173 transcript:DRNTG_25173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAASITTKSGKALVSRQFVDMSRIRIEGLLAAFPKLIGTGKQHTYVEADNVRYVYQPIEALYLLLVTNKQSNILEDLDTLRLLSKLVPEYSPSLDEDCICKAAFELIFAFDEAISLGHKEGVTVAQVKQYCEMESHEERLHKLVMQSKINETKDVMKRKASEIDKSKIEKNRGEKGGFMSMSGSRRTESGGFGGMGSGMGISSSGSGFGSGSGFGLNTDADSFPTKSKGRPPAAANAPPKGLGMQLGKTQRTNQFLESLKAEGEVIIEDVKPSAVQSKTPLPPTDPITLTIEERLNVITKRDGGLSSFDVQGTLSLQILNQDDGFIRFQIESRDLPGLSFKTHPNINKELFNKQQIIGLKDPNRPFPTGQNDVGLMKWRIQGMDESSLPLTVNCWPSVSGNETFVNIEYEASDMFDIQNVVISIPLPALREPPSVRQIDGEWRYDSRNSILEWSILLIDNSNRSGSMEFVVPPADSSVFFPISIKFTAAETFSDVKVSSVMPLQGGGPPKYSQRVQLIADNYQVV >DRNTG_28601.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28555506:28557550:1 gene:DRNTG_28601 transcript:DRNTG_28601.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGLDLTQEKEELDRENLVLPGMQESLIASVAKAAKKPVILVLMCGGPVDVTFAKLDDKIGAILWIGYPGEAGGLAMAEILFGEHNPGNITSFV >DRNTG_28601.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28554275:28557550:1 gene:DRNTG_28601 transcript:DRNTG_28601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGLDLTQEKEELDRENLVLPGMQESLIASVAKAAKKPVILVLMCGGPVDVTFAKLDDKIGAILWIGYPGEAGGLAMAEILFGEHNPGNITSFV >DRNTG_13698.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5316326:5318639:1 gene:DRNTG_13698 transcript:DRNTG_13698.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVLLLLSKYRLRNVPVIEIGRPGIRNFITQSAVVQGLQQCKGRDWFDTISSRSLSDLGLPFMPCNEVITVKSNELILEAFKRMKDNRIGGLPVTKESSRNIVGNVSIRDIRHLLLKPELFSNFSQLTAMDFLKRTIDLARPMVTCKSDDRLGIVIDRLASASAHRVYVVDGDDSELVGVVTLRDVISCFVYEPPGHFDAYFVLAIKDMLSQ >DRNTG_13698.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5316326:5318718:1 gene:DRNTG_13698 transcript:DRNTG_13698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNRIGGLPVTKESSRNIVGNVSIRDIRHLLLKPELFSNFSQLTAMDFLKRTIDLARPMVTCKSDDRLGIVIDRLASASAHRVYVVDGDDSELVGVVTLRDVISCFVYEPPGHFDAYFVLAIKDMLSQ >DRNTG_13698.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5316326:5318639:1 gene:DRNTG_13698 transcript:DRNTG_13698.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVLLLLSKYRLRNVPVIEIGRPGIRNFITQSAVVQGLQQCKGRDWFDTISSRSLSDLGLPFMPCNEVITVKSNELILEAFKRMKDNRIGGLPVTKESSRNIVGNVSIRDIRHLLLKPELFSNFSQLTAMDFLKRTIDLARPMVTCKSDDRLGIVIDRLASASAHRVYVVDGDDSELVGVVTLRDVISCFVYEPPGHFDAYFVLAIKDMLSQ >DRNTG_24050.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26544100:26547459:1 gene:DRNTG_24050 transcript:DRNTG_24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEEAFDISVEEESAQNIATVQDAAELIDKLVEAK >DRNTG_33376.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20900319:20902399:-1 gene:DRNTG_33376 transcript:DRNTG_33376.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVSPIGRNCSQEERDDFEKYDKVHNVRQKMVSVLREKFAHLNLTFSIGGQISFEVFPQGWDKTYCLRYLNDFQEIHFFGDKTYKGGNDFEIYESERTVGHTVTSPKDTAEQCSSLFLKK >DRNTG_33376.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20900319:20902399:-1 gene:DRNTG_33376 transcript:DRNTG_33376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVSPIGRNCSQEERDDFEKYDKVHNVRQKMVSVLREKFAHLNLTFSIGGQISFEGIFLYYLVLWRKFEYLIS >DRNTG_21168.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2146701:2149086:-1 gene:DRNTG_21168 transcript:DRNTG_21168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHAVGAIKTLPFCVGRAERSQKMPVIGSAMGRKMLSDITNTARAVEVAGDGESDAVDNPALLKLLYEKSKIIEMSGMELLNLRTALQKAHQQNWQLAQANSRMLGELNQGKDRLKVMQHELSCALALVRAKTLEVEEQKKIAEIVCEMEQKKVAKHVPEKTITELKKEGDANVEVVAERNNPASSKNVCGTNRKRALRTKSLGSSNAAHEVTSVKEKDEKRRRSLRRKSQVCEPTEDLFEIEDAKFLICSSSEPLHETGSSPVEISVKEFENEQNDSPQNQNQNSRRSLSGRPVRKATEKVCSYKERPINVKMRRGE >DRNTG_20011.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001084.1:39334:41813:1 gene:DRNTG_20011 transcript:DRNTG_20011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMGVLRKLLDHLLDRSPLFWRIFYAHIVA >DRNTG_02134.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28828762:28833677:-1 gene:DRNTG_02134 transcript:DRNTG_02134.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIAKLLSERPRESLPSNTKANPCEHLKAITIQSGKEVGMGSDKVMEKEKEPEIEFVESPVWESVPTLSVEKRMTPPVKEFVLTHSLSDYGYSPPSSLPGFSGFGRHSEAKCLAICIPSQEMLHKEPIEELLDGPHIEDTTSFLTLAIIEESTRKKQ >DRNTG_10463.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5450256:5450825:-1 gene:DRNTG_10463 transcript:DRNTG_10463.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASRVLQFGVILGLGLTVVLVIGLQFGSRLFTKDASVLHLIHIGIPFVALTQPINALAFVFDGINFGASDYAYSAYSMASKNRSISNKHI >DRNTG_10463.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5450256:5450825:-1 gene:DRNTG_10463 transcript:DRNTG_10463.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFLQFGVILGLGLTVVLVIGLQFGSRLFTKDASVLHLIHIGIPFVALTQPINALAFVFDGINFGASDYAYSAYSMASKNRSISNKHI >DRNTG_33007.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26201668:26203026:-1 gene:DRNTG_33007 transcript:DRNTG_33007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYPWLAFGHINSFVQLARKLAAFPGIRITFLSSSGNVAHIAKLLSDSPTVSILSLKLPHVAGLPPGIESTSESTPAMAELLKRAVDLTKPDVTELLTHHRPNAIVYEFAHPWMPSIARPLGVKALFFSTFSSVSTAYLTVPSRRSCSGEMSKAPPGFPATSSMSTVANYQANDFAYIFKSFNGMPCVYDRVVSCMDSSDAIIMMCCMEMEGPYINYVKSEFNKPVLLAGPVVPEPAIGELESHWEGWLQKFEAGSVVYCALGTEAILSDDSIKELLLGLEMVGLPFIVMINLPKGDTEGNPEELLKKKVPEGLEERVKGRGIVHVGWVQQQQMLSHKSVGCFICHCGRNSLMEGMVSECGLVMLPQFGDQFLNSALLAGDLGVGVEVERDGENGMFTREGVRDAVTKVMEDDKVKERKRKWKEFLVDEKVQGMFMTRLVEELKEMVKPCVV >DRNTG_05727.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9137273:9137809:-1 gene:DRNTG_05727 transcript:DRNTG_05727.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVALILVFFLYAETISMITNDGRNIVGVLKGFDQATNIILDESNERVYSTKGTFRALSCVYDSSKRFLHAKPFRNHH >DRNTG_18129.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:505642:506435:1 gene:DRNTG_18129 transcript:DRNTG_18129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKPIISLQVANSDVMTKEMLHHKVSLNGYLATTLGKVWKRQIKTLKGVPS >DRNTG_16143.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15722539:15725398:-1 gene:DRNTG_16143 transcript:DRNTG_16143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSLDFDDALYFLDTTDEIVDEYMQEMFNLDLYEGLFDQEEDCEGVVMLGSTEEVPSTSRILKKVLRKMKRARRRHRKRSKAVGDIREPKKLDDPLLGKTHGRVVFSHARGFTLRAHPEKAQGCATVPVNDHENIARPWVISARVCDFLQTLADYPESPQGRELVLVGDLVNFARVWRISARPGVQLPLWVGRTGVGIFHTPVREYLESVDVFPESAQGHAPAPVRLFYGGARAWDFHEVFWPNLNSLISTRRLWPLGRRSKLISGRGDEITDEVEDLVLVGGWRQLSTTREPAIRELALEVYEWPGDSTDVLSRQELLYLHSMVQRVPIHQGHIITEYIRHQGHYARLVVIFLGPYITRLVLGMGLLDTVRGVEKMSIPAPLSLETMRLMGMIRRVRKGVYALVLPPPETAEDEGDDPEASQPALEDQPPPMETKAPPVAEDVPPYICFHHLEPMITLRGSRVLWG >DRNTG_17457.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7978910:7979271:1 gene:DRNTG_17457 transcript:DRNTG_17457.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein, Phased small RNA biogenesi [Source: Projected from Oryza sativa (Os10g0485600)] METPHFNTFVSCIRLHIPNTVTIELMGEKRTDKKSSHDSAALTMLQELQKQGICVLKEP >DRNTG_17457.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7975803:7979271:1 gene:DRNTG_17457 transcript:DRNTG_17457.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein, Phased small RNA biogenesi [Source: Projected from Oryza sativa (Os10g0485600)] MRSASVNNDNFAFAAVRNNLHVHLQHGSGLLSKQITEYVRSISEFVVDQQLISSSDSFKSPKALGDMMESIAAAVLIDSNLNLDKVWEVFKPLLSPLISPDKLVLPPYRELIELSSHIGCFLKINCANKGGNCAAEIALQLKDDMLVGHGCDSNRKGAKAQAAICLLKALKERGISHTEIVAKKGQQEFASGYRSCTSSLAHNDSFFEDGHIINLRSCKKEKSLDRSTISSLSMKPAFVEDESCILEHSRKLCNPKFNEPVVLQLKTEKGGPRTELFKLCQTLQWPLPRFLSTEEKSRTPICLDGMETPHFNTFVSCIRLHIPNTVTIELMGEKRTDKKSSHDSAALTMLQELQKQGICVLKEP >DRNTG_17457.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7971239:7979271:1 gene:DRNTG_17457 transcript:DRNTG_17457.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein, Phased small RNA biogenesi [Source: Projected from Oryza sativa (Os10g0485600)] MQTALCRDSLTDIFKFDWKDDLNAFYVKSTGARVTTDSSVSLIYKYCERLPKDKYFTPKPIFNSTFEGGRYQCSLTLPPNAAFQTIVGPPSINSKLAKQLVSLDACVELHKLGVLNDHLLPLAEEPREIDAAEAYNNYASGAGTSKRKELHGMTRIRALSGTWAHESVDVTLNAYKINFFCNQEGEKYCPFALLLNTILDDDVANAAMDLFLIPNKMVKVSINPYGQVHLSAEKLKKSKRFQEFFFNGIFGKLLVGSRSSAEPRAFLFKREKEIPWSSLNMYLLLPVESAASQEIASFHWKGIDACASVVEHLLDSYSLDGEYENSSLSSSNSYGTFGSEDIVHLANKSIHIQHLRDSVVFAIHTGRIYSVLDVINNVTPESSFDEIYDQKPSKSCSFVDYYLYKYNIRLKYPRQPLLLLKQSHNPHNLLLSKLKYEDGFSGQNTISEKEQVHSHIPPELLVHIDISTDILKSFYLLPSVMHRLESLMLAAQLREEIGYAPSHSWIPSSVILEAITTLRCCESFSLERLELLGDSVLKYALSCHLFLNYPKKHEGQLSDHRSWAVCNSTLHRLGISRYLQGYIRDTAFDPRRWVAPGQISLRNFPCECGFDSFEVPIETKFITEEISIVMGKACDKGHRWMCSKTIADCVEALIGAYYAGGGLAAALSAMKWLGINSEFQEKNVIEAKNIALCWSYLPKFNEIKSLESKLAYTFSVKGLLLEAITHPTQQELGIAYCYQRLEFLGDSVLDLLITWYLFCNHTDIEPGKLTDMRSASVNNDNFAFAAVRNNLHVHLQHGSGLLSKQITEYVRSISEFVVDQQLISSSDSFKSPKALGDMMESIAAAVLIDSNLNLDKVWEVFKPLLSPLISPDKLVLPPYRELIELSSHIGCFLKINCANKGGNCAAEIALQLKDDMLVGHGCDSNRKGAKAQAAICLLKALKERGISHTEIVAKKGQQEFASGYRSCTSSLAHNDSFFEDGHIINLRSCKKEKSLDRSTISSLSMKPAFVEDESCILEHSRKLCNPKFNEPVVLQLKTEKGGPRTELFKLCQTLQWPLPRFLSTEEKSRTPICLDGMETPHFNTFVSCIRLHIPNTVTIELMGEKRTDKKSSHDSAALTMLQELQKQGICVLKEP >DRNTG_17457.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7978604:7979271:1 gene:DRNTG_17457 transcript:DRNTG_17457.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein, Phased small RNA biogenesi [Source: Projected from Oryza sativa (Os10g0485600)] METPHFNTFVSCIRLHIPNTVTIELMGEKRTDKKSSHDSAALTMLQELQKQGICVLKEP >DRNTG_17457.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7973954:7979271:1 gene:DRNTG_17457 transcript:DRNTG_17457.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein, Phased small RNA biogenesi [Source: Projected from Oryza sativa (Os10g0485600)] MHRLESLMLAAQLREEIGYAPSHSWIPSSVILEAITTLRCCESFSLERLELLGDSVLKYALSCHLFLNYPKKHEGQLSDHRSWAVCNSTLHRLGISRYLQGYIRDTAFDPRRWVAPGQISLRNFPCECGFDSFEVPIETKFITEEISIVMGKACDKGHRWMCSKTIADCVEALIGAYYAGGGLAAALSAMKWLGINSEFQEKNVIEAKNIALCWSYLPKFNEIKSLESKLAYTFSVKGLLLEAITHPTQQELGIAYCYQRLEFLGDSVLDLLITWYLFCNHTDIEPGKLTDMRSASVNNDNFAFAAVRNNLHVHLQHGSGLLSKQITEYVRSISEFVVDQQLISSSDSFKSPKALGDMMESIAAAVLIDSNLNLDKVWEVFKPLLSPLISPDKLVLPPYRELIELSSHIGCFLKINCANKGGNCAAEIALQLKDDMLVGHGCDSNRKGAKAQAAICLLKALKERGISHTEIVAKKGQQEFASGYRSCTSSLAHNDSFFEDGHIINLRSCKKEKSLDRSTISSLSMKPAFVEDESCILEHSRKLCNPKFNEPVVLQLKTEKGGPRTELFKLCQTLQWPLPRFLSTEEKSRTPICLDGMETPHFNTFVSCIRLHIPNTVTIELMGEKRTDKKSSHDSAALTMLQELQKQGICVLKEP >DRNTG_17457.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7958475:7979271:1 gene:DRNTG_17457 transcript:DRNTG_17457.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein, Phased small RNA biogenesi [Source: Projected from Oryza sativa (Os10g0485600)] MYRSPNGCPCHSSKILEAITTLRCCESFSLERLELLGDSVLKYALSCHLFLNYPKKHEGQLSDHRSWAVCNSTLHRLGISRYLQGYIRDTAFDPRRWVAPGQISLRNFPCECGFDSFEVPIETKFITEEISIVMGKACDKGHRWMCSKTIADCVEALIGAYYAGGGLAAALSAMKWLGINSEFQEKNVIEAKNIALCWSYLPKFNEIKSLESKLAYTFSVKGLLLEAITHPTQQELGIAYCYQRLEFLGDSVLDLLITWYLFCNHTDIEPGKLTDMRSASVNNDNFAFAAVRNNLHVHLQHGSGLLSKQITEYVRSISEFVVDQQLISSSDSFKSPKALGDMMESIAAAVLIDSNLNLDKVWEVFKPLLSPLISPDKLVLPPYRELIELSSHIGCFLKINCANKGGNCAAEIALQLKDDMLVGHGCDSNRKGAKAQAAICLLKALKERGISHTEIVAKKGQQEFASGYRSCTSSLAHNDSFFEDGHIINLRSCKKEKSLDRSTISSLSMKPAFVEDESCILEHSRKLCNPKFNEPVVLQLKTEKGGPRTELFKLCQTLQWPLPRFLSTEEKSRTPICLDGMETPHFNTFVSCIRLHIPNTVTIELMGEKRTDKKSSHDSAALTMLQELQKQGICVLKEP >DRNTG_17457.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7958475:7979271:1 gene:DRNTG_17457 transcript:DRNTG_17457.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein, Phased small RNA biogenesi [Source: Projected from Oryza sativa (Os10g0485600)] MGLISPKLDKLVQIFQSFGLCEGLQCLIFVERIITARVIEVFMKKVKFLSHFSISYLTGGSSTIDSLTPMMQKTTLDLFRSRKVNLLFTTDVAEEGIHIPNCCCVIRFDLPKTVRSYVQSRGRARQSNSIYILMIERGNNKQEDQLFDIIKSEQSIMQTALCRDSLTDIFKFDWKDDLNAFYVKSTGARVTTDSSVSLIYKYCERLPKDKYFTPKPIFNSTFEGGRYQCSLTLPPNAAFQTIVGPPSINSKLAKQLVSLDACVELHKLGVLNDHLLPLAEEPREIDAAEAYNNYASGAGTSKRKELHGMTRIRALSGTWAHESVDVTLNAYKINFFCNQEGEKYCPFALLLNTILDDDVANAAMDLFLIPNKMVKVSINPYGQVHLSAEKLKKSKRFQEFFFNGIFGKLLVGSRSSAEPRAFLFKREKEIPWSSLNMYLLLPVESAASQEIASFHWKGIDACASVVEHLLDSYSLDGEYENSSLSSSNSYGTFGSEDIVHLANKSIHIQHLRDSVVFAIHTGRIYSVLDVINNVTPESSFDEIYDQKPSKSCSFVDYYLYKYNIRLKYPRQPLLLLKQSHNPHNLLLSKLKYEDGFSGQNTISEKEQVHSHIPPELLVHIDISTDILKSFYLLPSVMHRLESLMLAAQLREEIGYAPSHSWIPSSVILEAITTLRCCESFSLERLELLGDSVLKYALSCHLFLNYPKKHEGQLSDHRSWAVCNSTLHRLGISRYLQGYIRDTAFDPRRWVAPGQISLRNFPCECGFDSFEVPIETKFITEEISIVMGKACDKGHRWMCSKTIADCVEALIGAYYAGGGLAAALSAMKWLGINSEFQEKNVIEAKNIALCWSYLPKFNEIKSLESKLAYTFSVKGLLLEAITHPTQQELGIAYCYQRLEFLGDSVLDLLITWYLFCNHTDIEPGKLTDMRSASVNNDNFAFAAVRNNLHVHLQHGSGLLSKQITEYVRSISEFVVDQQLISSSDSFKSPKALGDMMESIAAAVLIDSNLNLDKVWEVFKPLLSPLISPDKLVLPPYRELIELSSHIGCFLKINCANKGGNCAAEIALQLKDDMLVGHGCDSNRKGAKAQAAICLLKALKERGISHTEIVAKKGQQEFASGYRSCTSSLAHNDSFFEDGHIINLRSCKKEKSLDRSTISSLSMKPAFVEDESCILEHSRKLCNPKFNEPVVLQLKTEKGGPRTELFKLCQTLQWPLPRFLSTEEKSRTPICLDGMETPHFNTFVSCIRLHIPNTVTIELMGEKRTDKKSSHDSAALTMLQELQKQGICVLKEP >DRNTG_17457.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7958475:7974551:1 gene:DRNTG_17457 transcript:DRNTG_17457.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein, Phased small RNA biogenesi [Source: Projected from Oryza sativa (Os10g0485600)] MGLISPKLDKLVQIFQSFGLCEGLQCLIFVERIITARVIEVFMKKVKFLSHFSISYLTGGSSTIDSLTPMMQKTTLDLFRSRKVNLLFTTDVAEEGIHIPNCCCVIRFDLPKTVRSYVQSRGRARQSNSIYILMIERDSLTDIFKFDWKDDLNAFYVKSTGARVTTDSSVSLIYKYCERLPKDKYFTPKPIFNSTFEGGRYQCSLTLPPNAAFQTIVGPPSINSKLAKQLVSLDACVELHKLGVLNDHLLPLAEEPREIDAAEAYNNYASGAGTSKRKELHGMTRIRALSGTWAHESVDVTLNAYKINFFCNQEGEKYCPFALLLNTILDDDVANAAMDLFLIPNKMVKVSINPYGQVHLSAEKLKKSKRFQEFFFNGIFGKLLVGSRSSAEPRAFLFKREKEIPWSSLNMYLLLPVESAASQEIASFHWKGIDACASVVEHLLDSYSLDGEYENSSLSSSNSYGTFGSEDIVHLANKSIHIQHLRDSVVFAIHTGRIYSVLDVINNVTPESSFDEIYDQKPSKSCSFVDYYLYKYNIRLKYPRQPLLLLKQSHNPHNLLLSKLKYEDGFSGQNTISEKEQVHSHIPPELLVHIDISTDILKSFYLLPSVMHRLESLMLAAQLREEIGYAPSHSWIPSSVILEAITTLRCCESFSLERLELLGDSVLKYALSCHLFLNYPKKHEGQLSDHRSWAVCNSTLHRLGISRYLQ >DRNTG_17457.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7975204:7976689:1 gene:DRNTG_17457 transcript:DRNTG_17457.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein, Phased small RNA biogenesi [Source: Projected from Oryza sativa (Os10g0485600)] MGKACDKGHRWMCSKTIADCVEALIGAYYAGGGLAAALSAMKWLGINSEFQEKNVIEAKNIALCWSYLPKFNEIKSLESKLAYTFSVKGLLLEAITHPTQQELGIAYCYQRLEFLGDSVLDLLITWYLFCNHTDIEPGKLTDMRSASVNNDNFAFAAVRNNLHVHLQHGSGLLSKQITEYVRSISEFVVDQQLISSSDSFKSPKALGDMMESIAAAVLIDSNLNLDKVWEVFKPLLSPLISPDKLVLPPYRELIELSSHIGCFLKINCANKGGNCAAEIALQLKDDMLVGHGCDSNRKGAKAQAAICLLKALK >DRNTG_17457.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7958475:7973211:1 gene:DRNTG_17457 transcript:DRNTG_17457.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein, Phased small RNA biogenesi [Source: Projected from Oryza sativa (Os10g0485600)] MKKVKFLSHFSISYLTGGSSTIDSLTPMMQKTTLDLFRSRKVNLLFTTDVAEEGIHIPNCCCVIRFDLPKTVRSYVQSRGRARQSNSIYILMIERDSLTDIFKFDWKDDLNAFYVKSTGARVTTDSSVSLIYKYCERLPKDKYFTPKPIFNSTFEGGRYQCSLTLPPNAAFQTIVGPPSINSKLAKQLVSLDACVELHKLGVLNDHLLPLAEEPREIDAAEAYNNYASGAGTSKRKELHGMTRIRALSGTWAHESVDVTLNAYKINFFCNQEGEKYCPFALLLNTILDDDVANAAMDLFLIPNKMVKVSINPYGQVHLSAEKLKKSKRFQEFFFNGIFGKLLVGSRSSAEPRAFLFKREKEIPWSSLNMYLLLPVESAASQEIASFHWKGIDACASVVEHLLDSYSLDGEYENSSLSSSNSYGTFGSEDIVHLANKSIHIQHLRDSVVFAIHTGRIYSVLDVINNVTPESSFDEIYDQKPSKSCSFVDYYLYK >DRNTG_17457.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7972696:7979271:1 gene:DRNTG_17457 transcript:DRNTG_17457.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein, Phased small RNA biogenesi [Source: Projected from Oryza sativa (Os10g0485600)] MYLLLPVESAASQEIASFHWKGIDACASVVEHLLDSYSLDGEYENSSLSSSNSYGTFGSEDIVHLANKSIHIQHLRDSVVFAIHTGRIYSVLDVINNVTPESSFDEIYDQKPSKSCSFVDYYLYKYNIRLKYPRQPLLLLKQSHNPHNLLLSKLKYEDGFSGQNTISEKEQVHSHIPPELLVHIDISTDILKSFYLLPSVMHRLESLMLAAQLREEIGYAPSHSWIPSSVILEAITTLRCCESFSLERLELLGDSVLKYALSCHLFLNYPKKHEGQLSDHRSWAVCNSTLHRLGISRYLQGYIRDTAFDPRRWVAPGQISLRNFPCECGFDSFEVPIETKFITEEISIVMGKACDKGHRWMCSKTIADCVEALIGAYYAGGGLAAALSAMKWLGINSEFQEKNVIEAKNIALCWSYLPKFNEIKSLESKLAYTFSVKGLLLEAITHPTQQELGIAYCYQRLEFLGDSVLDLLITWYLFCNHTDIEPGKLTDMRSASVNNDNFAFAAVRNNLHVHLQHGSGLLSKQITEYVRSISEFVVDQQLISSSDSFKSPKALGDMMESIAAAVLIDSNLNLDKVWEVFKPLLSPLISPDKLVLPPYRELIELSSHIGCFLKINCANKGGNCAAEIALQLKDDMLVGHGCDSNRKGAKAQAAICLLKALKERGISHTEIVAKKGQQEFASGYRSCTSSLAHNDSFFEDGHIINLRSCKKEKSLDRSTISSLSMKPAFVEDESCILEHSRKLCNPKFNEPVVLQLKTEKGGPRTELFKLCQTLQWPLPRFLSTEEKSRTPICLDGMETPHFNTFVSCIRLHIPNTVTIELMGEKRTDKKSSHDSAALTMLQELQKQGICVLKEP >DRNTG_17457.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7958475:7976088:1 gene:DRNTG_17457 transcript:DRNTG_17457.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein, Phased small RNA biogenesi [Source: Projected from Oryza sativa (Os10g0485600)] MRCLLLLLPYTNYHETLKCNVSNKHFSEDFLLGWDSLTDIFKFDWKDDLNAFYVKSTGARVTTDSSVSLIYKYCERLPKDKYFTPKPIFNSTFEGGRYQCSLTLPPNAAFQTIVGPPSINSKLAKQLVSLDACVELHKLGVLNDHLLPLAEEPREIDAAEAYNNYASGAGTSKRKELHGMTRIRALSGTWAHESVDVTLNAYKINFFCNQEGEKYCPFALLLNTILDDDVANAAMDLFLIPNKMVKVSINPYGQVHLSAEKLKKSKRFQEFFFNGIFGKLLVGSRSSAEPRAFLFKREKEIPWSSLNMYLLLPVESAASQEIASFHWKGIDACASVVEHLLDSYSLDGEYENSSLSSSNSYGTFGSEDIVHLANKSIHIQHLRDSVVFAIHTGRIYSVLDVINNVTPESSFDEIYDQKPSKSCSFVDYYLYKYNIRLKYPRQPLLLLKQSHNPHNLLLSKLKYEDGFSGQNTISEKEQVHSHIPPELLVHIDISTDILKSFYLLPSVMHRLESLMLAAQLREEIGYAPSHSWIPSSVILEAITTLRCCESFSLERLELLGDSVLKYALSCHLFLNYPKKHEGQLSDHRSWAVCNSTLHRLGISRYLQGYIRDTAFDPRRWVAPGQISLRNFPCECGFDSFEVPIETKFITEEISIVMGKACDKGHRWMCSKTIADCVEALIGAYYAGGGLAAALSAMKWLGINSEFQEKNVIEAKNIALCWSYLPKFNEIKSLESKLAYTFSVKGLLLEAITHPTQQELGIAYCYQRLEFLGDSVLDLLITWYLFCNHTDIEPGKLTDMRSASVNNDNFAFAAVRNNLHVHLQHGSGLLSKQITEYVRSISEFVVDQQLISSSDSFKSPK >DRNTG_17457.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7976360:7979271:1 gene:DRNTG_17457 transcript:DRNTG_17457.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein, Phased small RNA biogenesi [Source: Projected from Oryza sativa (Os10g0485600)] MMESIAAAVLIDSNLNLDKVWEVFKPLLSPLISPDKLVLPPYRELIELSSHIGCFLKINCANKGGNCAAEIALQLKDDMLVGHGCDSNRKGAKAQAAICLLKALKERGISHTEIVAKKGQQEFASGYRSCTSSLAHNDSFFEDGHIINLRSCKKEKSLDRSTISSLSMKPAFVEDESCILEHSRKLCNPKFNEPVVLQLKTEKGGPRTELFKLCQTLQWPLPRFLSTEEKSRTPICLDGMETPHFNTFVSCIRLHIPNTVTIELMGEKRTDKKSSHDSAALTMLQELQKQGICVLKEP >DRNTG_11206.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2316896:2326255:1 gene:DRNTG_11206 transcript:DRNTG_11206.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLVPERTSLVLSLGSVRWVSLLLAIGNLVVALLGIYQVVLLVPSCYGRMRFSIMVVAVFAGLRILSLVRAGQAQLATAETIIGNNVDNSVIPHSVIRQERRMRYRRWLWWARIGSVVTILQFVGVLYLMLVMAKSLSYYENTKDCFLGKAGKGGKHTLIVSYLILVWLLVIIQCFTGSDVLRWRSFYATHDTAWKAHYQEVFDYGIREALCCLGRMKYLSVLEEDEVCSVARLLGDLVAYRASGTGHLELLAGLALLQKHKNSPKSCSELIEAPEERIRDGAAYHQFAEAAYTGPLLDLGRNPVLFPCVWLHRQGVLTPWSWTRRPMLEGDNWWRGHAAAFLKYVRLSPNALRRGRVSQTKREAAYFIVVLHDQKVVVITVRGTETPEDLLTDGLCRECALSMADLDGLINSDHLHHSVRERVLSSFPHYGHSGIVESARELFMQIDGQPGDDDSVSTETTGFLSSLLGVGCECQGYQLRLVGHSLGGAVVTLLGIRLYRRYPNLHVYAYGTLPCVDFVVAEACSDFVTTIVYNDEFSARLSVNSILRLRSAAITALSDGSSADKAVIFKLARKFLANKYPENRESHNVAASSFPANSNNFDDNNNLYKRRPSLYTNNGGNQLNQDLFQGEEASFANDSAPDMITGIFNENKNAEILSCSSICGSGSFKQRGFESTGNLMSYGELASQIVDNPPSNEVSAGETPEMYLPGLIIHILPEPKNLFSLWRGWSIYNRDDQYNAYVADRESFKDIVVSPYLFLDHLPWRCHAALQRVLQNKKSQSRRNDDLFNEVVIV >DRNTG_11206.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2320272:2326255:1 gene:DRNTG_11206 transcript:DRNTG_11206.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQIDGQPGDDDSVSTETTGFLSSLLGVGCECQGYQLRLVGHSLGGAVVTLLGIRLYRRYPNLHVYAYGTLPCVDFVVAEACSDFVTTIVYNDEFSARLSVNSILRLRSAAITALSDGSSADKAVIFKLARKFLANKYPENRESHNVAASSFPANSNNFDDNNNLYKRRPSLYTNNGGNQLNQDLFQGEEASFANDSAPDMITGIFNENKNAEILSCSSICGSGSFKQRGFESTGNLMSYGELASQIVDNPPSNEVSAGETPEMYLPGLIIHILPEPKNLFSLWRGWSIYNRDDQYNAYVADRESFKDIVVSPYLFLDHLPWRCHAALQRVLQNKKSQSRRNDDLFNEVVIV >DRNTG_11206.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2316896:2326255:1 gene:DRNTG_11206 transcript:DRNTG_11206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIDGQPGDDDSVSTETTGFLSSLLGVGCECQGYQLRLVGHSLGGAVVTLLGIRLYRRYPNLHVYAYGTLPCVDFVVAEACSDFVTTIVYNDEFSARLSVNSILRLRSAAITALSDGSSADKAVIFKLARKFLANKYPENRESHNVAASSFPANSNNFDDNNNLYKRRPSLYTNNGGNQLNQDLFQGEEASFANDSAPDMITGIFNENKNAEILSCSSICGSGSFKQRGFESTGNLMSYGELASQIVDNPPSNEVSAGETPEMYLPGLIIHILPEPKNLFSLWRGWSIYNRDDQYNAYVADRESFKDIVVSPYLFLDHLPWRCHAALQRVLQNKKSQSRRNDDLFNEVVIV >DRNTG_31540.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001717.1:137150:138307:-1 gene:DRNTG_31540 transcript:DRNTG_31540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCISSTTSPMRRTLNTLSSTSSKLTTLHTSIVNRPPPMLVCRRAH >DRNTG_13981.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27853472:27854662:1 gene:DRNTG_13981 transcript:DRNTG_13981.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At1g30950 (Fragment) [Source:Projected from Arabidopsis thaliana (AT1G30950) UniProtKB/TrEMBL;Acc:C0SUY4] MAMDPRIWRNLPQNLLDRILAFLPPPSFFRARAVCKRLYSLIFSDTFLEMHLHLSPSLHWFLFFPSTNIPTPTTTTTTTTPTTLSTAFLLNTNDNTWHHLSFSSLIPPNFSPAASSGGLLCFISTTPGLKTLILCNPLSKLISQLPSSLRPRLFPSVGLTVGHSSFICVIAGDDLISPFAVKNLTAETFHADGLTGFYSPWTTASSLPRLCNLESGLMLFISGRFYCMNYSPFSVLAYDVASNDWFKIQAPMRRFLRCPSLVDLGGRVVLVAAVEKSKLNVPRSLRLWVLQPCGRAWAELDRMPAEVYRQFSEAEGGHGFECVGHGCLIVITIKDSNDILLFNSHRKVWWWAPPCPFLDVRQRRGLRGFAYEPRLATPSVGLLETPTSISFHAFSA >DRNTG_31676.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20207721:20211223:1 gene:DRNTG_31676 transcript:DRNTG_31676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDYNDMDMGYEDEPPEPEIEEGAEEDVENNNEDVPDTFVGAEGEEKEEQEPVQRPRKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRQRKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGD >DRNTG_23707.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:674522:676369:-1 gene:DRNTG_23707 transcript:DRNTG_23707.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:Projected from Arabidopsis thaliana (AT1G08770) UniProtKB/TrEMBL;Acc:A0A178W4Z3] MPSGYGTIPTSSSPGSSGSRAIDVFSRARDRGQALMSTRRPWRELFDPKAFARPYSYGEAMARVRRNLAYFRVNYALVVLLIVFVGLLWHPVSMIVFLAVFIAWFFLFFFRNEPVTVFGREVDDRIVLAVLSVVTIVALVLTDVGLNVLVSLVIAAVLIGLHAAFRMTEDLLLEDQDGAGAGLLSFVGSSAV >DRNTG_23707.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:674522:676241:-1 gene:DRNTG_23707 transcript:DRNTG_23707.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:Projected from Arabidopsis thaliana (AT1G08770) UniProtKB/TrEMBL;Acc:A0A178W4Z3] MPSGYGTIPTSSSPGSSGSRAIDVFSRARDRGQALMSTRRPWRELFDPKAFARPYSYGEAMARVRRNLAYFRVNYALVVLLIVFVGLLWHPVSMIVFLAVFIAWFFLFFFRNEPVTVFGREVDDRIVLAVLSVVTIVALVLTDVGLNVLVSLVIAAVLIGLHAAFRMTEDLLLEDQDGAGAGLLSFVGSSAV >DRNTG_23707.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:675096:676241:-1 gene:DRNTG_23707 transcript:DRNTG_23707.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:Projected from Arabidopsis thaliana (AT1G08770) UniProtKB/TrEMBL;Acc:A0A178W4Z3] MPSGYGTIPTSSSPGSSGSRAIDVFSRARDRGQALMSTRRPWRELFDPKAFARPYSYGEAMARVRRNLAYFRVNYALVVLLIVFVGLLWHPVSMIVFLAVFIAWFFLFFFRNEPVTVFGREVDDRIVLAVLSVVTIVALVLTDVGLNVLVSLVIAAVLIGLHAAFRMTEDLLLEDQDGAGAGLLSFVGSSAV >DRNTG_23707.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:675157:676369:-1 gene:DRNTG_23707 transcript:DRNTG_23707.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:Projected from Arabidopsis thaliana (AT1G08770) UniProtKB/TrEMBL;Acc:A0A178W4Z3] MPSGYGTIPTSSSPGSSGSRAIDVFSRARDRGQALMSTRRPWRELFDPKAFARPYSYGEAMARVRRNLAYFRVNYALVVLLIVFVGLLWHPVSMIVFLAVFIAWFFLFFFRNEPVTVFGREVDDRIVLAVLSVVTIVALVLTDVGLNVLVSLVIAAVLIGLHAAFRMTEDLLLEDQDGAGAGLLSFVGSSAV >DRNTG_23707.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:675157:676241:-1 gene:DRNTG_23707 transcript:DRNTG_23707.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:Projected from Arabidopsis thaliana (AT1G08770) UniProtKB/TrEMBL;Acc:A0A178W4Z3] MPSGYGTIPTSSSPGSSGSRAIDVFSRARDRGQALMSTRRPWRELFDPKAFARPYSYGEAMARVRRNLAYFRVNYALVVLLIVFVGLLWHPVSMIVFLAVFIAWFFLFFFRNEPVTVFGREVDDRIVLAVLSVVTIVALVLTDVGLNVLVSLVIAAVLIGLHAAFRMTEDLLLEDQDGAGAGLLSFVGSSAV >DRNTG_23707.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:675096:676369:-1 gene:DRNTG_23707 transcript:DRNTG_23707.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:Projected from Arabidopsis thaliana (AT1G08770) UniProtKB/TrEMBL;Acc:A0A178W4Z3] MPSGYGTIPTSSSPGSSGSRAIDVFSRARDRGQALMSTRRPWRELFDPKAFARPYSYGEAMARVRRNLAYFRVNYALVVLLIVFVGLLWHPVSMIVFLAVFIAWFFLFFFRNEPVTVFGREVDDRIVLAVLSVVTIVALVLTDVGLNVLVSLVIAAVLIGLHAAFRMTEDLLLEDQDGAGAGLLSFVGSSAV >DRNTG_34922.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002205.1:4086:4734:-1 gene:DRNTG_34922 transcript:DRNTG_34922.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFRTPVRMYRTPRGVIAPRSKKEVDKWPREQSPEPESISFSIPDHQARFELQTSLRFGQTRFPGVSTLREI >DRNTG_03630.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11748329:11750148:1 gene:DRNTG_03630 transcript:DRNTG_03630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transport protein 14 [Source:Projected from Arabidopsis thaliana (AT1G77210) UniProtKB/Swiss-Prot;Acc:Q8GW61] MAGGFSSSAVGKRAELYEGRITWYFIGACLIGSLGGSLFGYDLGVSGGVTAMDDFLKEFFPKVYRRKHEPLHETDYCKFDSQLLTLFTSSLYFAGLVSTFAASRVTTKYGRRMSILVGSISFFLGGAINAGAVNIAMLIIGRILLGFGIGFGNQAVPLYLSEIAPAKHRGKVNQLFQLTTCLGILVADLINYKTEEKHPWGWRLSLGLATLPAALMFLGGLFLPETPNSLVEQGKNGKSKRSANENSGDDKDRRRVPRFVGSKRSRQGSEASIQKPLQAKKPTTAHHWSTRHSSIPTTQWQQLYTLLCTSYLPNTRPWL >DRNTG_03630.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11748232:11750794:1 gene:DRNTG_03630 transcript:DRNTG_03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transport protein 14 [Source:Projected from Arabidopsis thaliana (AT1G77210) UniProtKB/Swiss-Prot;Acc:Q8GW61] MAGGFSSSAVGKRAELYEGRITWYFIGACLIGSLGGSLFGYDLGVSGGVTAMDDFLKEFFPKVYRRKHEPLHETDYCKFDSQLLTLFTSSLYFAGLVSTFAASRVTTKYGRRMSILVGSISFFLGGAINAGAVNIAMLIIGRILLGFGIGFGNQAVPLYLSEIAPAKHRGKVNQLFQLTTCLGILVADLINYKTEEKHPWGWRLSLGLATLPAALMFLGGLFLPETPNSLVEQGKNGKSKRSANENSGDDKDRRRVPRFVGSKRSRQGSEASIQKPLQAKKPTTAHHWSTRHSSIPTTQWQQLYTLLCTSYLPNTRPWL >DRNTG_30478.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21749936:21751045:-1 gene:DRNTG_30478 transcript:DRNTG_30478.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCIVEEFLVLENNDDHSEELQDNQSSGIVAGTAKLAVTLLIDGYLAEIAKDPNLPLQKFIELAEMISVASRPVHDGLYRAIDIYLKEHTELTKSEKKKICSLMDCKKLSADACMHAVQNDRLPLRVVVQVLFFEQMRASATGDLPTLGSLLPRKNAGSHKSSSKSASYTNTDDECDAVNSLQSMRLTTGGKCAGSERSSGGSSSDVHKIGVVDDKGNEKAKGVIMPKKILSKLLPGKSARRREQQQLRYIGEPSLGLDQSRDRKIDTFKECEAFSLIVN >DRNTG_30478.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21750717:21751045:-1 gene:DRNTG_30478 transcript:DRNTG_30478.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCIVEEFLVLENNDDHSEELQDNQSSGIVAGTAKLAVTLLIDGYLAEIAKDPNLPLQKFIELAEMISVASRPVHDGLYRAIDIYLKVHFSKLTKLRIL >DRNTG_33205.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:364948:370735:1 gene:DRNTG_33205 transcript:DRNTG_33205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQDPLSRETTCGSLLQQLQIIWDEVGESDDERDKMLLQLEQECLDVYRNKVDQASRSRAHLLQALADSKAEFARLLCSLGEQSVAGIPERSSGTIKEQLASIAPALEQLCKQKEQRIKEFADVQAQIQKISGEIAGNLKIGEHVETPAVDEDDLSLKKLDEFHSQLQELHKEKSERLHNVLDFVSVIHDLCAVLGMDFFSTITEVHPSLDDSIGVQSKSISDNTLSMLSRTILSLKEDEKSRLKKLQELASQLCDLWNLMDTPEEEQSLFSHITCNISASVDDVSTPGALALDLIEQAEIEVERLDQLKGSKMKEIALKKQAELEDIYARAHVEIDTMAARENIVSLIESGNFEPSELLNDLDNQISKAKEEALSRKEILEKVEKWMSACEEESWLEDYNRDENRYNSSRGAHLNLKRAEKARVLVNKIPALVDTLVAKTRTWEEDHGFAFTYDGVPLLAMLDEYTILRRDKEEEKRRMRDQKKFHEQLTTEQEAIFGSKPSPARSLGPKKAVGPRAGGGVPNGTPNRRLSLNTHNNSMNGGRSTARDGRRESARLAAPINYVAIAKEEAASYVSSNDPIPASP >DRNTG_06901.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:13968272:13969239:-1 gene:DRNTG_06901 transcript:DRNTG_06901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSEIGDCSELVVLALSNDNISDDIPVELGSLANLQTLDLGNNSLEGSVPEELSELSELVFLVLFGNQLSGPLSGNSARTLQS >DRNTG_14814.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29789704:29791015:1 gene:DRNTG_14814 transcript:DRNTG_14814.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNFKESDHERERERERERIKIPNFVV >DRNTG_20248.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20887207:20899900:-1 gene:DRNTG_20248 transcript:DRNTG_20248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRGWAPQLDILAHGSTAAFMSHCGWNSCMEGMSMGVAMLIGLCIPTNQ >DRNTG_22395.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16818429:16820384:1 gene:DRNTG_22395 transcript:DRNTG_22395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRNRISSSQELRFDGFGTGNGAPLMEDQTRREGHLDPRVPAGSGVGGAKYKSMSPAQLPISRSLRLTIPSGFSPSALLESPVLLTNMKAEPSPTTGTFFMPSIIRGPANSAMLSSPRDKSEGDGHFGSFEFRPNTRSNLSCLAPS >DRNTG_04307.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8024357:8025246:1 gene:DRNTG_04307 transcript:DRNTG_04307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFPLLWNRIISPSPPLRRGLSSWSMQALINYAFVHPYERTDQAMNDVDHSGGGMVSLSLGFQFSPGSTCLAAYHIKIWCDKEKRVVALPLSTTSHWSTMSSLRELLRKDHPFHMDSTVGLLP >DRNTG_01354.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:293621:300229:1 gene:DRNTG_01354 transcript:DRNTG_01354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLLGFLFAFVVSGPAISPAMARFVVEKNSLMVTSPSAMRGSHDSAIGNFGIPQYGGSMAGTVAYPKTNTNGCKEFSDSFKSKPGALPNFVLLDRGDCLFAKKVWNSQNAGAAAVLVVDNTDEPLITMDLPREDHEEAIYIQNITIPSALIDKKFGQELKEAVSRGEMVNVNLDWREAVPHPDDRVEYELWTNSNDECGPKCDALMDFVKDFKGAAQLLEQGGYSQFTPHYITWYCPRAFVLSKQCKSQCINHGRYCAPDPEQDFSTGYEGKDVVIENLRQLCVYKIANESKRPWVWWDYVTDFHIRCPMKEKKYNRDCALNVVTSLGIDGRKIEECMGDPTADSENPVLKKEQDAQVGKDSRGDVTILPTLIVNNRQYRGKLEKKAVLKAICSGFEETTEPAVCLSDDIQTNECLNDNGGCWHDKGSNISACKDTFRGRVCECPVFQGVQFKGDGYSKCEAIGPGKCRIKNGGCWQDTRNGMTFSACQETGDNSCQCPPGFQGDGIKSCGDIDECKEKKACQCPECTCKNTWGSYDCSCAGDLLYIKEHDTCISKAASEAKTTWAAAWVILIILAVASVGAYIVYKYRLRSYMDAEIRAIMAQYMPLDSQGEVPNHTHEEQENA >DRNTG_01354.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:293621:300229:1 gene:DRNTG_01354 transcript:DRNTG_01354.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLLGFLFAFVVSGPAISPAMARFVVEKNSLMVTSPSAMRGSHDSAIGNFGIPQYGGSMAGTVAYPKTNTNGCKEFSDSFKSKPGALPNFVLLDRGDCLFAKKVWNSQNAGAAAVLVVDNTDEPLITMDLPREDHEEAIYIQNITIPSALIDKKFGQELKEAVSRGEMVNVNLDWREAVPHPDDRVEYELWTNSNDECGPKCDALMDFVKDFKGAAQLLEQGGYSQFTPHYITWYCPRAFVLSKQCKSQCINHGRYCAPDPEQDFSTGYEGKDVVIENLRQLCVYKIANESKRPWVWWDYVTDFHIRCPMKEKKYNRDCALNVVTSLGIDGRKIEECMGDPTADSENPVLKKEQDAQVGKDSRGDVTILPTLIVNNRQYRGKLEKKAVLKAICSGFEETTEPAVCLSDDIQTNECLNDNGGCWHDKGSNISACKDTFRGRVCECPVFQGVQFKGDGYSKCEGDPL >DRNTG_31059.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30666678:30669767:-1 gene:DRNTG_31059 transcript:DRNTG_31059.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEKIDDIDQIRAMEQSLKETLDQIQLQKENLAKQQYISLESVSQNDLHLPFALCSMPQNLPIMWLHNNHGQQLMLPEVSNLLPQRDIGCSTDTSLQSYPSYFSSAEKQTDTNEPGQGDSTHGLSQNECLSLQLGAQYPYQGYNSNLLNEKNCKPAEISSREGFVEYQVNQFDSPGHGYNASIQNWASTSGSCGVLTFDDRSYNQQPNQTI >DRNTG_31059.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30666678:30671122:-1 gene:DRNTG_31059 transcript:DRNTG_31059.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLENSSGRQVTYSKRRAGILKKAKELSILCDIDIVLLMFSPTGKPTLCLGEQSNIEEVIAKFAQLTPQEREKRWLLVITYCRYGPSLKLRNIYSLNNCSS >DRNTG_31059.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30666678:30669123:-1 gene:DRNTG_31059 transcript:DRNTG_31059.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEKIDDIDQIRAMEQSLKETLDQIQLQKVCYH >DRNTG_31059.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30666678:30671122:-1 gene:DRNTG_31059 transcript:DRNTG_31059.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLENSSGRQVTYSKRRAGILKKAKELSILCDIDIVLLMFSPTGKPTLCLGEQSNIEEVIAKFAQLTPQEREKRKLESLEALKKTFKKLDHEVNIQDFLGSSPQTVEELASQLRLIQAQILEVQQRLSYWMEPEKIDDIDQIRAMEQSLKETLDQIQLQKENLAKQQYISLESVSQFQNDLHLPFALCSMPQNLPIMWLHNNHGQQLMLPEVSNLLPQRDIGCSTDTSLQSYPSYFSSAEKQTDTNEPGQGDSTHGLSQNECLSLQLGAQYPYQGYNSNLLNEKNCKPAEISSREGFVEYQVNQFDSPGHGYNASIQNWASTSGSCGVLTFDDRSYNQQPNQTI >DRNTG_31059.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30669835:30671122:-1 gene:DRNTG_31059 transcript:DRNTG_31059.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLENSSGRQVTYSKRRAGILKKAKELSILCDIDIVLLMFSPTGKPTLCLGEQSNIEEVIAKFAQLTPQEREKRWLLVITYCRYGPSLKLRNIYSLNNCSS >DRNTG_16613.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31337099:31338722:-1 gene:DRNTG_16613 transcript:DRNTG_16613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLKALLYIRHEIRNPLSGIHYFRKMIEGTDLSEEQKELLTSEGRCHQQVNRILDDLELENITDSCLGLEMVEFIPQDVLITAVSQVMIASNEKGVRIVNSLSDKFMTEGLYGDNLRLQQILADFLVVSVKYSPNGGLVEITSEINKDQLGKDLHLVHLELRITHMGSGVPEELLSQMFGNGSELSEEGISLLVCRKLLKLMNGDVRYLREAGKSAF >DRNTG_31778.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16968619:16976440:1 gene:DRNTG_31778 transcript:DRNTG_31778.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEGSDIISYLPYEIKELILERLPIKDVVRTSVLSSKWRYVWASMRKLVFDDKDFITDEDFDNMFAEFVDRFLLLHDGLIQKFHLMMEFELSETIDRWILVVSRKKVQNLKLVLSGPLDDMYKTHSSLFSCHELRHLCLENCSVILPRNFKGLGKLKTLKLHDIDISDDDLIYLVSSCTQLQQLELIWSENGNILDIEGKEGQKLTISEFSSISISANSNPIDEDMNLTIGSACVPTNLLASQFIVHMKVCDGVLIIPQNFQGLDKLETLDLNNVMLSPDDLENLILGCTQLNEFSASTVYGPDELKIHSNSLRCLKIENFKHIHLVAPLLHDASFNLLCFEDNCKDDTIYRKFIERNLELLEAMEDLYTILTPTSTFDHLVNLSLTVKFGDLLSEFALFCFVEKPKAIQSLKIKAISSREDLPNIWRFAILRDSEIIFDQLLMVRFDGFLGTANELSFLTYILAASPVLKKMTIVPVEGQLQKSTRTYRKLLKSKTLSSEAAITFV >DRNTG_31778.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16962361:16972477:1 gene:DRNTG_31778 transcript:DRNTG_31778.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLQVSVASEFHDRKRFDALGNADVLAAEWIVCNSWVSGKKLLISRSHSYGGFLDDKSLKRKVNIPFSFVSGRFRCSLIDHNRFEKFIVSGPGGVHGSKSVTELVEEPQLADHVNLLGPSLTLKNPILKSADIKTKATMNNGGKAQRRNIWHRIIGMKKAETKKLPSPVSLTNEQPSNIAEEDCKLDAVLSAIGPESTTNDCMRVLKLLEKRSDEMLINFIKWMRTNGKLKQNMPAYNFAIRVLARKEDWDTANMLIQEMVSDSDCQLNSQVFSPLIQICAKRGLVDWGAKWFHMMLEKEVRPNTATIGMLMGLYQRKGKLSEAEFTFSHMRACRIHCVTAYSSMITIYTRLGMYDKSEEIIHFMGEDEVVPDLENWLVHLNTYSQQGKLKEAESVLTLMQEAGYSPNIVAYNILITGYGKQADVKAAELVFQRLQRIGLEPDETTYRSMIEGFGRADYYKEAMQYYVELKRSGFRPNSSNFYTMVNMHARHGDDRDIVQTLKDMRAAGCQYSSMLSSLLQAYERVGRLDKVRQILKASFYDEILVDQTSTSILVMSYVQNSLLDDAFQTLQEKRWKDSNYEDSLYHLLICSCKEASRYEDAIRIYNKMPQSDVYPNLHITSSMIDVFSILNRFTEAEDLYLKLKASGVIFDVIAYSIVVRMYIKAESLKDACLVLDTLENQKDIVPDKFLFMDMLRLYQQCVGC >DRNTG_31778.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16970418:16976440:1 gene:DRNTG_31778 transcript:DRNTG_31778.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEGSDIISYLPYEIKELILERLPIKDVVRTSVLSSKWRYVWASMRKLVFDDKDFITDEDFDNMFAEFVDRFLLLHDGLIQKFHLMMEFELSETIDRWILVVSRKKVQNLKLVLSGPLDDMYKTHSSLFSCHELRHLCLENCSVILPRNFKGLGKLKTLKLHDIDISDDDLIYLVSSCTQLQQLELIWSENGNILDIEGKEGQKLTISEFSSISISANSNPIDEDMNLTIGSACVPTNLLASQFIVHMKVCDGVLIIPQNFQGLDKLETLDLNNVMLSPDDLENLILGCTQLNEFSASTVYGPDELKIHSNSLRCLKIENFKHIHLVAPLLHDASFNLLCFEDNCKDDTIYRKFIERNLELLEAMEDLYTILTPTSTFDHLVNLSLTVKFGDLLSEFALFCFVEKPKAIQSLKIKAISSREDLPNIWRFAILRDSEIIFDQLLMKMTIVPVEGQLQKSTRTYRKLLKSKTLSSEAAITFV >DRNTG_31778.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16962361:16976440:1 gene:DRNTG_31778 transcript:DRNTG_31778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLQVSVASEFHDRKRFDALGNADVLAAEWIVCNSWVSGKKLLISRSHSYGGFLDDKSLKRKVNIPFSFVSGRFRCSLIDHNRFEKFIVSGPGGVHGSKSVTELVEEPQLADHVNLLGPSLTLKNPILKSADIKTKATMNNGGKAQRRNIWHRIIGMKKAETKKLPSPVSLTNEQPSNIAEEDCKLDAVLSAIGPESTTNDCMRVLKLLEKRSDEMLINFIKWMRTNGKLKQNMPAYNFAIRVLARKEDWDTANMLIQEMVSDSDCQLNSQVFSPLIQICAKRGLVDWGAKWFHMMLEKEVRPNTATIGMLMGLYQRKGKLSEAEFTFSHMRACRIHCVTAYSSMITIYTRLGMYDKSEEIIHFMGEDEVVPDLENWLVHLNTYSQQGKLKEAESVLTLMQEAGYSPNIVAYNILITGYGKQADVKAAELVFQRLQRIGLEPDETTYRSMIEGFGRADYYKEAMQYYVELKRSGFRPNSSNFYTMVNMHARHGDDRDIVQTLKDMRAAGCQYSSMLSSLLQAYERVGRLDKVRQILKASFYDEILVDQTSTSILVMSYVQNSLLDDAFQTLQEKRWKDSNYEDSLYHLLICSCKEASRYEDAIRIYNKMPQSDVYPNLHITSSMIDVFSILNRFTEAEDLYLKLKASGVIFDVIAYSIVVRMYIKAESLKDACLVLDTLENQKDIVPDKFLFMDMLRLYQQCVGC >DRNTG_21024.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:135960:140969:1 gene:DRNTG_21024 transcript:DRNTG_21024.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ACCUMULATION AND REPLICATION OF CHLOROPLASTS 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42480) UniProtKB/Swiss-Prot;Acc:Q9FIG9] MEALKPVGIACLSSPCPLLPKPPSMAPFPVSRPSKLHKHPMPATRSTKWADRLLTDFQLLPSSPDPPSLLSVLSPPPLPSSADRSLPLPLHFYQILGAEAHFLADGVKRAFEARVSRPPQYGYSQEALLARRQILQAACETLMDPSSRAEYTRGLLEDPGSTLTTRVPWDKVPGALCVLQEAGQAEMVLQVGAGLLLERLPKQFKQDVVLAMALAYVDQSREAMTLSPPDFVACCEVLERALKLLQEEGASNLALDLQAQIDETLEEITPRCVLELLAMPLDEEYRIRREEGLLGVRNILWSVGGGGVAAIGGGFTREEFMNEAFLRMTASEQVELFATTPSNILAERSEVYGAALAYVAEAFVRKKPHLIKEADRLFLELQQTKESSVDSLSGFTSSTDDEINFALERALCSLLVGDLDGCHLWLGIDSENSPHKDPSILEFILENSDANNENDLLPGICKLLETWLTEVVFPRFRDTKGVQFRLGDYYDDPSVLRYLEGLEGGGASPLAAAAAIVKIGAVATNALGNVKSSAFQALQKVLPLGNMMARVDIGENNGWPNSSPEMQNDESIEGIDLDQSGAQAEVSREPSSEDHDGQDLTYTIKDTSIKIMCGGLVIGFLTLAGLKFIQGRNGPSITGKEIGSAMAVMDASSNDNLVEEIPKMDARLAENLVCKWQNVKSHALGPDHYLAELPEVLDGRMLKIWADRAAEISQRGWFWEYKLLGVTIDSVTVSVDGNRAMVEATIEEAARLTDPVKPEHNDSYNTTYTSRYEMSFSKSGWKITEGAVLKS >DRNTG_21024.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:135796:140924:1 gene:DRNTG_21024 transcript:DRNTG_21024.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ACCUMULATION AND REPLICATION OF CHLOROPLASTS 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42480) UniProtKB/Swiss-Prot;Acc:Q9FIG9] MEALKPVGIACLSSPCPLLPKPPSMAPFPVSRPSKLHKHPMPATRSTKWADRLLTDFQLLPSSPDPPSLLSVLSPPPLPSSADRSLPLPLHFYQILGAEAHFLADGVKRAFEARVSRPPQYGYSQEALLARRQILQAACETLMDPSSRAEYTRGLLEDPGSTLTTRVPWDKVPGALCVLQEAGQAEMVLQVGAGLLLERLPKQFKQDVVLAMALAYVDQSREAMTLSPPDFVACCEVLERALKLLQEEGASNLALDLQAQIDETLEEITPRCVLELLAMPLDEEYRIRREEGLLGVRNILWSVGGGGVAAIGGGFTREEFMNEAFLRMTASEQVELFATTPSNILAERSEVYGAALAYVAEAFVRKKPHLIKEADRLFLELQQTKESSVDSLSGFTSSTDDEINFALERALCSLLVGDLDGCHLWLGIDSENSPHKDPSILEFILENSDANNENDLLPGICKLLETWLTEVVFPRFRDTKGVQFRLGDYYDDPSVLRYLEGLEGGGASPLAAAAAIVKIGAVATNALGNVKSSAFQALQKVLPLGNMMARVDIGENNGWPNSSPEMQNDESIEGIDLDQSGAQAEVSREPSSEDHDGQDLTYTIKDTSIKIMCGGLVIGFLTLAGLKFIQGRNGPSITGKEIGSAMAVMDASSNDNLVEEIPKMDARLAENLVCKWQNVKSHALGPDHYLAELPEVLDGRMLKIWADRAAEISQRGWFWEYKLLGVTIDSVTVSVDGNRAMVEATIEEAARLTDPVKPEHNDSYNTTYTSRYEMSFSKSGWKITEGAVLKS >DRNTG_16570.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19478024:19480429:1 gene:DRNTG_16570 transcript:DRNTG_16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVDAAGEPIPTSSVLMAASKHIAVRCRSENMAFITCKKKDANPEKCLDKGRQVTACVVNLLKSLHQKCPKEMDAYASCMYYNTNEFDMCRKEQHEFEAACPVSE >DRNTG_03791.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22036784:22039610:1 gene:DRNTG_03791 transcript:DRNTG_03791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQLQRQPKKRYYTTQPSSHRKADGNGCSASGCCLQVLAWVQTWACPQAPNTRRSPAGGAPYSSFAGTSGSTAANRHEHVTYPSVQTTKASTQHH >DRNTG_08630.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20393984:20397924:-1 gene:DRNTG_08630 transcript:DRNTG_08630.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGWPPLAIARGAEQTKKRGKLTERRAHREEAHRELLKSDGVGVVGFQKRLINPLVAKIVWQCSCQSLRELFCESSRHQNLSERALPERKKPSIR >DRNTG_08630.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20393457:20397854:-1 gene:DRNTG_08630 transcript:DRNTG_08630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGWPPLAIARGAEQTKKRGKLTERRAHREEAHRELLKSDGVGVVGFQKRLINPLVAKIVWQCSCQSLRELFCESSRHQNLSERALPERKKPSIR >DRNTG_08630.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20397130:20397854:-1 gene:DRNTG_08630 transcript:DRNTG_08630.11 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGWPPLAIARGAEQTKKRGKLTERRAHREEAHRELLKSDGVGVVGFQKRLINPLVAKIVWQCSCQSLRELFCESSRHQNLSERALPERKKPSIR >DRNTG_08630.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20392403:20397854:-1 gene:DRNTG_08630 transcript:DRNTG_08630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGWPPLAIARGAEQTKKRGKLTERRAHREEAHRELLKSDGVGVVGFQKRLINPLVAKIVWQCSCQSLRELFCESSRHQNLSERALPERKKPSIR >DRNTG_08630.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20394167:20397854:-1 gene:DRNTG_08630 transcript:DRNTG_08630.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGWPPLAIARGAEQTKKRGKLTERRAHREEAHRELLKSDGVGVVGFQKRLINPLVAKIVWQCSCQSLRELFCESSRHQNLSERALPERKKPSIR >DRNTG_08630.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20393457:20397924:-1 gene:DRNTG_08630 transcript:DRNTG_08630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGWPPLAIARGAEQTKKRGKLTERRAHREEAHRELLKSDGVGVVGFQKRLINPLVAKIVWQCSCQSLRELFCESSRHQNLSERALPERKKPSIR >DRNTG_08630.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20394167:20398051:-1 gene:DRNTG_08630 transcript:DRNTG_08630.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGWPPLAIARGAEQTKKRGKLTERRAHREEAHRELLKSDGVGVVGFQKRLINPLVAKIVWQCSCQSLRELFCESSRHQNLSERALPERKKPSIR >DRNTG_08630.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20397130:20398051:-1 gene:DRNTG_08630 transcript:DRNTG_08630.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGWPPLAIARGAEQTKKRGKLTERRAHREEAHRELLKSDGVGVVGFQKRLINPLVAKIVWQCSCQSLRELFCESSRHQNLSERALPERKKPSIR >DRNTG_08630.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20392403:20398051:-1 gene:DRNTG_08630 transcript:DRNTG_08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGWPPLAIARGAEQTKKRGKLTERRAHREEAHRELLKSDGVGVVGFQKRLINPLVAKIVWQCSCQSLRELFCESSRHQNLSERALPERKKPSIR >DRNTG_08630.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20393984:20397854:-1 gene:DRNTG_08630 transcript:DRNTG_08630.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGWPPLAIARGAEQTKKRGKLTERRAHREEAHRELLKSDGVGVVGFQKRLINPLVAKIVWQCSCQSLRELFCESSRHQNLSERALPERKKPSIR >DRNTG_19514.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2878284:2885146:-1 gene:DRNTG_19514 transcript:DRNTG_19514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRVLNPNAEVLNKSAALHMTINAAKGLQDVLKTNLGPKGTIKMLVGGAGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDASGDGTTSTVLFIGELMKQSERYIDEGMHPRVLVDGFDIAKRAILEFIEKFKTHVTVGETPDAEILKMVARTTLRTKLYESLADQLTDIVVNAVLCVRKPDEPIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRVENCHILTCNVSLEYEKSEVNAGFFYSNAEQREKMVAAERRQVDDRVRKIIELKNKVCSGNDNNFVVINQKGIDPPSLDLLARAGIVALRRAKRRNMERLVLACGGEAVNSVDDLTPDCLGWAGLVYEHVLGEEKYTFVENVKNPHSCTILIKGPNDHTIAQIKDAVRDGLRSVKNTIEDEAVILGAGAFEVAASQHLVNNVKKTVQGRAQLGVQAFADALLVVPKTLAENSGLDTQDVIIALTGEHDKGFIVGLNQHTGDPIDPLMEGIFDNYSVKRQIINSGPVIASQLLLVDEVIRAGRNMRKPS >DRNTG_11469.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18563920:18564350:1 gene:DRNTG_11469 transcript:DRNTG_11469.1 gene_biotype:protein_coding transcript_biotype:protein_coding GPGTIAEALIRGLPIILNSFIPGQETGNVPYVVDNGAGVFSKEPR >DRNTG_14694.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5985817:5989494:1 gene:DRNTG_14694 transcript:DRNTG_14694.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKHKRFLTVAPFECAWREELRFREAGRGCIAFEAFAHNDVTLVFREQVGSQHYHYKMDNSPNYTVILGSHRNRRLKIEVDGKPVVDVEGVGLCCSSSFQSYWVSVYDGLISIGRGRYPFQNLVFQWLDSKPNCNVQYVGLSSWDKHVGYRNINVLPLTQHHHSLWNQIDFKEYQEENDSDEDRVDLADEFAEWGLANFLENWDFSDIYFVVDGNTKAIPAHKVILGASGDLLFSYTDENIIRLPSTTYPVLHAFLEYIYVGRTNIVESQLSPLRDLSLKFQVLSLTKKCDEIIGRFKTNKKLFDSGTKVEITCSGSQVQQHAILPHELPIDVPKLKQFFVTHQHSDLNIYIEGHGFIAKSHKIILSLWSVPFAKMLSNGMMESNSSDVSFSDVSAEAFLVMLQFMYSGELMVDLVEVSSLIIPLLLLADQFSIMPLQRECCRCILEYLTEVQTEGTVLALIGSIFLFILFLYNLYLSLFISNAMSIWQEVEEQKGKLLMHFS >DRNTG_14694.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5989548:5994281:1 gene:DRNTG_14694 transcript:DRNTG_14694.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFPVLWQLNESKLCSVFPIFDQLVMEAINFAAIGMTTPVNNQNVRFQHRRSSFKELQYICDGDNNGVIYFAGTSYGEHQWLNPVLSKKINVTASSPASRYTDSKALVSRTYQATLFAGPRIEDGRTCAWWMIDIGQDHQLMCNYYTLRQDGSNAYPRSWALQGSMDKENWTNLRVHENDQTICRRGQFASWPIQGPSSLLPFRFFRVFLMGPTTEGSTSWNLCMCFIELYGYFH >DRNTG_14694.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5985817:5989494:1 gene:DRNTG_14694 transcript:DRNTG_14694.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKHKRFLTVAPFECAWREELRFREAGRGCIAFEAFAHNDVTLVFREQVGSQHYHYKMDNSPNYTVILGSHRNRRLKIEVDGKPVVDVEGVGLCCSSSFQSYWVSVYDGLISIGRGRYPFQNLVFQWLDSKPNCNVQYVGLSSWDKHVGYRNINVLPLTQHHHSLWNQIDFKEYQEENDSDEDRVDLADEFAEWGLANFLENWDFSDIYFVVDGNTKAIPAHKVILGASGDLLFSYTDENIIRLPSTTYPVLHAFLEYIYVGRTNIVESQLSPLRDLSLKFQVLSLTKKCDEIIGRFKTNKKLFDSGTKVEITCSGSQVQQHAILPHELPIDVPKLKQFFVTHQHSDLNIYIEGHGFIAKSHKIILSLWSVPFAKMLSNGMMESNSSDVSFSDVSAEAFLVMLQFMYSGELMVDLVEVSSLIIPLLLLADQFSIMPLQRECCRCILEYLTEVQTEGTVLALIGSIFLFILFLYNLYLSLFISNAMSIWQEVEEQKGKLLMHFS >DRNTG_14694.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5984853:5994281:1 gene:DRNTG_14694 transcript:DRNTG_14694.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGAHHEPPCIRAFFSASGTPIQCSFRANEENSVKKSKRCQLIKRCERKISSRSNPCCAIRKMGGEKHKRFLTVAPFECAWREELRFREAGRGCIAFEAFAHNDVTLVFREQVGSQHYHYKMDNSPNYTVILGSHRNRRLKIEVDGKPVVDVEGVGLCCSSSFQSYWVSVYDGLISIGRGRYPFQNLVFQWLDSKPNCNVQYVGLSSWDKHVGYRNINVLPLTQHHHSLWNQIDFKEYQEENDSDEDRVDLADEFAEWGLANFLENWDFSDIYFVVDGNTKAIPAHKVILGASGDLLFSYTDENIIRLPSTTYPVLHAFLEYIYVGRTNIVESQLSPLRDLSLKFQVLSLTKKCDEIIGRFKTNKKLFDSGTKVEITCSGSQVQQHAILPHELPIDVPKLKQFFVTHQHSDLNIYIEGHGFIAKSHKIILSLWSVPFAKMLSNGMMESNSSDVSFSDVSAEAFLVMLQFMYSGELMVDLVEVSSLIIPLLLLADQFSIMPLQRECCRCILEYLTEVQTEGTVLALIGSIFLFILFLYNLYLSLFISNAMSIWQEVEEQKGKLLMHFS >DRNTG_14694.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5989548:5994281:1 gene:DRNTG_14694 transcript:DRNTG_14694.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPEICGWAAIDELLMSSTPEQVFKGRLPLIDIFLPYVRFPLMPLQLLQMLNESKLCSVFPIFDQLVMEAINFAAIGMTTPVNNQNVRFQHRRSSFKELQYICDGDNNGVIYFAGTSYGEHQWLNPVLSKKINVTASSPASRYTDSKALVSRTYQATLFAGPRIEDGRTCAWWMIDIGQDHQLMCNYYTLRQDGSNAYPRSWALQGSMDKENWTNLRVHENDQTICRRGQFASWPIQGPSSLLPFRFFRVFLMGPTTEGSTSWNLCMCFIELYGYFH >DRNTG_14694.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5984853:5989494:1 gene:DRNTG_14694 transcript:DRNTG_14694.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGAHHEPPCIRAFFSASGTPIQCSFRANEENSVKKSKRCQLIKRCERKISSRSNPCCAIRKMGGEKHKRFLTVAPFECAWREELRFREAGRGCIAFEAFAHNDVTLVFREQVGSQHYHYKMDNSPNYTVILGSHRNRRLKIEVDGKPVVDVEGVGLCCSSSFQSYWVSVYDGLISIGRGRYPFQNLVFQWLDSKPNCNVQYVGLSSWDKHVGYRNINVLPLTQHHHSLWNQIDFKEYQEENDSDEDRVDLADEFAEWGLANFLENWDFSDIYFVVDGNTKAIPAHKVILGASGDLLFSYTDENIIRLPSTTYPVLHAFLEYIYVGRTNIVESQLSPLRDLSLKFQVLSLTKKCDEIIGRFKTNKKLFDSGTKVEITCSGSQVQQHAILPHELPIDVPKLKQFFVTHQHSDLNIYIEGHGFIAKSHKIILSLWSVPFAKMLSNGMMESNSSDVSFSDVSAEAFLVMLQFMYSGELMVDLVEVSSLIIPLLLLADQFSIMPLQRECCRCILEYLTEDSVCPILQAVSSIASYKLLEETCKQKFSMHFDYCTTASTEFVLLCEETFKDILQVS >DRNTG_13457.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19320944:19322737:-1 gene:DRNTG_13457 transcript:DRNTG_13457.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWTKRLAQKCPLHDREPTPPLVVEFPDLVHQQRLERL >DRNTG_25819.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1017878:1026126:1 gene:DRNTG_25819 transcript:DRNTG_25819.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RSH1 [Source:Projected from Arabidopsis thaliana (AT4G02260) UniProtKB/TrEMBL;Acc:A0A178V5K1] MDLIAERGIAAHYSGKGNFSGVVGHGVHNGRNPKGKTVCLNNADIALRIGWLNAIREWQEEFVGNMSSREFVDTIMRDLLGSRVFVFTPKGEIKNLPKGATVIDYAYLIHTEIGNKMVAAKVNGNLVSPMHVLANAEVVEIITYNALSSKSAFQRHQQWLQHAKTRSARHKIMKFLREQAALSASEITADTVNDFVADLEDDGDYEEISTSPPQKSKSLWERILMNIEELSSAKKGQEDLVPVRNSIATPKVNGKHNKNIQNISLKVNGNPVVSDGIVEFIHANAPLYKEVVPSLESWKAGKVAMWHNVEGCSVQWLCILCIDRKGMMAEVTSALTAVGIMICSCVAEIDRRKGMGVLLFQVEGSDANLVDACASLDVIVGVLGWSMGCSWSSPLDDHSFLEC >DRNTG_25819.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1017878:1026126:1 gene:DRNTG_25819 transcript:DRNTG_25819.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RSH1 [Source:Projected from Arabidopsis thaliana (AT4G02260) UniProtKB/TrEMBL;Acc:A0A178V5K1] MYTNAQEFTDLKKRVEDLYKEHEKELMEAEKILRQKIMEDQFLDLVAVKTEVRSVCKELYSIYKAVLKSKGSIKEVNQIAQLRIIIKPKTCVGVGPLCSAQQICYHVLGLVHEIWTPIPRAVKDYIATPKPNGYQSLHTTVIPFLYESMFRLEVQVRTEEMDLIAERGIAAHYSGKGNFSGVVGHGVHNGRNPKGKTVCLNNADIALRIGWLNAIREWQEEFVGNMSSREFVDTIMRDLLGSRVFVFTPKGEIKNLPKGATVIDYAYLIHTEIGNKMVAAKVNGNLVSPMHVLANAEVVEIITYNALSSKSAFQRHQQWLQHAKTRSARHKIMKFLREQAALSASEITADTVNDFVADLEDDGDYEEISTSPPQKSKSLWERILMNIEELSSAKKGQEDLVPVRNSIATPKVNGKHNKNIQNISLKVNGNPVVSDGIVEFIHANAPLYKEVVPSLESWKAGKVAMWHNVEGCSVQWLCILCIDRKGMMAEVTSALTAVGIMICSCVAEIDRRKGMGVLLFQVEGSDANLVDACASLDVIVGVLGWSMGCSWSSPLDDHSFLEC >DRNTG_25819.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1017878:1026126:1 gene:DRNTG_25819 transcript:DRNTG_25819.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RSH1 [Source:Projected from Arabidopsis thaliana (AT4G02260) UniProtKB/TrEMBL;Acc:A0A178V5K1] MDLIAERGIAAHYSGKGNFSGVVGHGVHNGRNPKGKTVCLNNADIALRIGWLNAIREWQEEFVGNMSSREFVDTIMRDLLGSRVFVFTPKGEIKNLPKGATVIDYAYLIHTEIGNKMVAAKVNGNLVSPMHVLANAEVVEIITYNALSSKSAFQRHQQWLQHAKTRSARHKIMKFLREQAALSASEITADTVNDFVADLEDDGDYEEISTSPPQKSKSLWERILMNIEELSSAKKGQEDLVPVRNSIATPKVNGKHNKNIQNISLKVNGNPVVSDGIVEFIHANAPLYKEVVPSLESWKAGKVAMWHNVEGCSVQWLCILCIDRKGMMAEVTSALTAVGIMICSCVAEIDRRKGMGVLLFQVEGSDANLVDACASLDVIVGVLGWSMGCSWSSPLDDHSFLEC >DRNTG_26267.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7405237:7406304:-1 gene:DRNTG_26267 transcript:DRNTG_26267.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSSILSSLSRFHLRPKLTIVHHSGSQLFSVSSLSTHSSKAPSFSLFISSFSNHTPIHPKQPTFATTCAKSLPFLLLASLLFIAGFHTRPAAATGNSDVVEMYERILDRNPRDMDALKVVLYGKMKKGLPGVGEVVECVERLIQIEPEELEWRLLQALAYDSMGELEKSKLLFRNILDKSPFLVRALHVSCFYCFLCWLRFSCPSGVCVIVSYINLFIFGFWI >DRNTG_26267.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7403937:7406304:-1 gene:DRNTG_26267 transcript:DRNTG_26267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSSILSSLSRFHLRPKLTIVHHSGSQLFSVSSLSTHSSKAPSFSLFISSFSNHTPIHPKQPTFATTCAKSLPFLLLASLLFIAGFHTRPAAATGNSDVVEMYERILDRNPRDMDALKVVLYGKMKKGLPGVGEVVECVERLIQIEPEELEWRLLQALAYDSMGELEKSKLLFRNILDKSPFLVRALHGLALAMYQNGEAPAAFEMLSQALKIC >DRNTG_26267.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7404606:7406304:-1 gene:DRNTG_26267 transcript:DRNTG_26267.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSSILSSLSRFHLRPKLTIVHHSGSQLFSVSSLSTHSSKAPSFSLFISSFSNHTPIHPKQPTFATTCAKSLPFLLLASLLFIAGFHTRPAAATGNSDVVEMYERILDRNPRDMDALKVVLYGKMKKGLPGVGEVVECVERLIQIEPEELEWRLLQALAYDSMGELEKSKLLFRNILDKSPFLVRALHGLALAMYQNGEAPAAFEMLSQALKIC >DRNTG_15108.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1712283:1714550:1 gene:DRNTG_15108 transcript:DRNTG_15108.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMALEEGKKKISVGVVMERMKKLPVSLWKTLYQVGRDDPRRVIHALKVGTALTLVSLLYLLEPLFEGVGQNAMWAVMTVVVVLEFTPGATLCKGLNRGLGTMMAGSLAFLIDYVAEESGKVFRAIFIGVSVFLIGSAATYVRFIPHIKKNYDYGVLVFILTFNLITVSSFRVTDVLKIARERLYNIAIGCGICLCMTLLILPNWSGRDLRKSTVDKLEVLARSIQACVHDYFADNIDEMSSQDDIKKGYKTVLDSKATDESLALFASWEPRCSMNCYRYPWHRYVKLGTILRHFAYTAVSLHGCLESEIQTSLSIRSMFREPCINVASEATRILMHLANSIRNREQCCSDTLSDRLQEALHNLNCAIKSQPLIFISSGNHNSTSNLSGHLKNDHHSTTNFSGGCEERLSLNIKLLRGFEE >DRNTG_15108.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1704765:1707006:1 gene:DRNTG_15108 transcript:DRNTG_15108.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEEGKKKISMGVVMERMKKLPVSLWKTLYQVGRDDPRRVFHALKVGTALTLVSLLYLLEPLFEGVGQNAMWAVMTVVVVLEFTAGATLCKGLNRGLGTMMAGSLAFLIDYVAEESGKVCRAVFIGVSVFLIGSAATYVRFIPHIKKNYDYGVLVFILTFNLITVSSFRVTNVLKIARERLYNIAIGCGICLCMTLLILPNWSGRDLHKSTVDKLEVLARSIQACVHDYFEGNIDEMSSQDDIKKGYKTVLDSKATDESLALFASWEPRYSMNCYRYPWHRYVKLGTILRHFAYTAVSLHGCLESEIQTPLSIRSMFREPCINVASEATRILVHLANSIRNREQCCFDTLSDRLQEALHNLNCAIKSQPRLFISSGNHNSTSNFSGHLKNDHHSTSNFSGYLKNDHHSTTNFSGGLKNDKASSSFTSPSLRNDAFSSTLEYRSKRFIEQSKQPGDKRVLNTTLSKLAITSLEFSEALPFAAFASLLVEMVARLDLVIREVEELGRAAHFKKSSEMDEIKVDMKDGFKMSNMSLREMPSNVGLHGAE >DRNTG_15108.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1704765:1714550:1 gene:DRNTG_15108 transcript:DRNTG_15108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEEGKKKISMGVVMERMKKLPVSLWKTLYQVGRDDPRRVFHALKVGTALTLVSLLYLLEPLFEGVGQNAMWAVMTVVVVLEFTAGATLCKGLNRGLGTMMAGSLAFLIDYVAEESGKVCRAVFIGVSVFLIGSAATYVRFIPHIKKNYDYGVLVFILTFNLITVSSFRVTNVLKIARERLYNIAIGCGICLCMTLLILPNWSGRDLHKSTVDKLEVLARSIQACVHDYFEGNIDEMSSQDDIKKGYKTVLDSKATDESLALFASWEPRYSMNCYRYPWHRYVKLGTILRHFAYTAVSLHGCLESEIQTPLSIRSMFREPCINVASEATRILVHLANSIRNREQCCFDTLSDRLQEALHNLNCAIKSQPRLFISSGNHNSTSNFSGHLKNDHHSTSNFSGYLKNDHHSTTNFSGGLKNDKASSSFTSPSLRNDAFSSTLEYRSKRFIEQSKQPGDKRVLNTTLSKLAITSLEFSEALPFAAFASLLVEMVARLDLVIREVEELGRAAHFKKSSEMDEINIDMKDGFKMSNMSLREMPSNVGLHGAE >DRNTG_15108.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1706581:1714584:1 gene:DRNTG_15108 transcript:DRNTG_15108.2 gene_biotype:protein_coding transcript_biotype:protein_coding AFSSTLEYRSKRFIEQSKQPGDKRVLNTTLSKLAITSLEFSEALPFAAFASLLVEMVARLDLVIREVEELGRAAHFKKSSEMDEINIDMKDGFKMSNMSLREMPSNVGLHGAE >DRNTG_15108.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1712283:1714550:1 gene:DRNTG_15108 transcript:DRNTG_15108.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMALEEGKKKISVGVVMERMKKLPVSLWKTLYQVGRDDPRRVIHALKVGTALTLVSLLYLLEPLFEGVGQNAMWAVMTVVVVLEFTPGATLCKGLNRGLGTMMAGSLAFLIDYVAEESGKVFRAIFIGVSVFLIGSAATYVRFIPHIKKNYDYGVLVFILTFNLITVSSFRVTDVLKIARERLYNIAIGCGICLCMTLLILPNWSGRDLRKSTVDKLEVLARSIQACVHDYFADNIDEMSSQDDIKKGYKTVLDSKATDESLALFASWEPRCSMNCYRYPWHRYVKLGTILRHFAYTAVSLHGCLESEIQTSLSIRSMFREPCINVASEATRILMHLANSIRNREQCCSDTLSDRLQEALHNLNCAIKSQPLIFISSGNHNSTSNLSGHLKNDHHSTTNFSGGCEERLSLNIKLLRGFEE >DRNTG_15108.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1706581:1714550:1 gene:DRNTG_15108 transcript:DRNTG_15108.3 gene_biotype:protein_coding transcript_biotype:protein_coding AFSSTLEYRSKRFIEQSKQPGDKRVLNTTLSKLAITSLEFSEALPFAAFASLLVEMVARLDLVIREVEELGRAAHFKKSSEMDEINIDMKDGFKMSNMSLREMPSNVGLHGAE >DRNTG_15108.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1712283:1714550:1 gene:DRNTG_15108 transcript:DRNTG_15108.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMALEEGKKKISVGVVMERMKKLPVSLWKTLYQVGRDDPRRVIHALKVGTALTLVSLLYLLEPLFEGVGQNAMWAVMTVVVVLEFTPGATLCKGLNRGLGTMMAGSLAFLIDYVAEESGKVFRAIFIGVSVFLIGSAATYVRFIPHIKKNYDYGVLVFILTFNLITVSSFRVTDVLKIARERLYNIAIGCGICLCMTLLILPNWSGRDLRKSTVDKLEVLARSIQACVHDYFADNIDEMSSQDDIKKGYKTVLDSKATDESLALFASWEPRCSMNCYRYPWHRYVKLGTILRHFAYTAVSLHGCLESEIQTSLSIRSMFREPCINVASEATRILMHLANSIRNREQCCSDTLSDRLQEALHNLNCAIKSQPLIFISSGNHNSTSNLSGHLKNDHHSTTNFSGGCEERLSLNIKLLRGFEE >DRNTG_23647.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001274.1:4108:7689:1 gene:DRNTG_23647 transcript:DRNTG_23647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKMRPKNSPKSLPYQILSYTPKNTPRMDAQIYRNSIVYSRQRKQENRESTVAPDKIPLRISRKCTGLSKEGGGLGFGRYWLGLWRGSMALTLCALKKVSWKVFIGTDLARCILGLTKGPLRRVEDSPQDHRHD >DRNTG_35066.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19673639:19676306:1 gene:DRNTG_35066 transcript:DRNTG_35066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKWKKKRMRRLKRKRRKMRQRSK >DRNTG_29839.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23177288:23177632:-1 gene:DRNTG_29839 transcript:DRNTG_29839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQERLLQFKLHIIIAAISAVALFSLLRHGPSFSTVLSFFWPLLLSTGFFLAAVALLLRISPPPSDDAVPSPGEDLIDYVSSGLPEPHCSVEDELAGDEEEEEEQKGDHKKSQ >DRNTG_23993.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5974986:5979643:-1 gene:DRNTG_23993 transcript:DRNTG_23993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEMFNPDPYEGLFDQEEDYEEVMMLGSTEEVPSNPGILKKVLRKMKRARRHHRKHSKSVGYVRELKKLGESLLEHAGFSKASSEEAQACGISARPWICITSSSREGTGACGCPCERPCEYRTPVAPTSSFLRKKVGRGASVEAYPIPDEGILGQ >DRNTG_23238.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21596638:21597841:1 gene:DRNTG_23238 transcript:DRNTG_23238.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSSVVFVTLNCCHVPQYEDEEHDKVILASDDDLATAVDHAKLAGWMSLRLHLDYSGSGHRRRRGGSGSGTMDFVYGDAWAAAYSGMAAGAALVAGLGVIAYLKRSGL >DRNTG_23238.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21596638:21597841:1 gene:DRNTG_23238 transcript:DRNTG_23238.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLHLDYSGSGHRRRRGGSGSGTMDFVYGDAWAAAYSGMAAGAALVAGLGVIAYLKRSGL >DRNTG_23238.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21595358:21597841:1 gene:DRNTG_23238 transcript:DRNTG_23238.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPSLSTIIPVNTKIVTVEPSDSVLTATKKMLEVQMSSAIVTVGNKPRGILTSSDILMRVIAKQLPPSLTSVEKVMTPNPVCGTVDTPVLDALHTIHDGKFLHLPVVDRDGDIVSIVDVLQVTNAAVASVENSGGAGNETTISMMQKFWDSAMAIGPSDDDEDTRR >DRNTG_23238.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21596638:21597841:1 gene:DRNTG_23238 transcript:DRNTG_23238.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSSVVFVTLNCCHVPQYEDEEHDKVILASDDDLATAVDHAKLAGWMSLRLHLDYSGSGHRRRRGGSGSGTMDFVYGDAWAAAYSGMAAGAALVAGLGVIAYLKRSGL >DRNTG_23238.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21593622:21594686:1 gene:DRNTG_23238 transcript:DRNTG_23238.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQSLSRRSLSVSSSSGRTKKLLDNGVLEGPRRSSSSRSITVGGLSGERTVKRLRLSKALTLPENTTVHDACRRMAARRVDAVLLTDSNALLCGILTDK >DRNTG_23238.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21593622:21597841:1 gene:DRNTG_23238 transcript:DRNTG_23238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQSLSRRSLSVSSSSGRTKKLLDNGVLEGPRRSSSSRSITVGGLSGERTVKRLRLSKALTLPENTTVHDACRRMAARRVDAVLLTDSNALLCGILTDKDIATRVIAQELKLEETPVSKVMTRGPVFVLSDTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVERHWGTSTSGPNTFIEALQERMFRPSLSTIIPVNTKIVTVEPSDSVLTATKKMLEVQMSSAIVTVGNKPRGILTSSDILMRVIAKQLPPSLTSVEKVMTPNPVCGTVDTPVLDALHTIHDGKFLHLPVVDRDGDIVSIVDVLQVTNAAVASVENSGGAGNETTISMMQKFWDSAMAIGPSDDDEDTRSDGSMKLACESADTMRSPSDPSNPSSALVTTFGFKLEDKKGRMHRFNCDTQSLTYLITSILQRVGEDIDRNNLPQILYEDEEHDKVILASDDDLATAVDHAKLAGWMSLRLHLDYSGSGHRRRRGGSGSGTMDFVYGDAWAAAYSGMAAGAALVAGLGVIAYLKRSGL >DRNTG_23238.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21593622:21595267:1 gene:DRNTG_23238 transcript:DRNTG_23238.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQSLSRRSLSVSSSSGRTKKLLDNGVLEGPRRSSSSRSITVGGLSGERTVKRLRLSKALTLPENTTVHDACRRMAARRVDAVLLTDSNALLCGILTDKDIATRVIAQELKLEETPVSKVMTRGPVFVLSDTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVERHWGTSTSGELDSGQCTTAQRGYS >DRNTG_23238.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21593622:21593911:1 gene:DRNTG_23238 transcript:DRNTG_23238.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQSLSRRSLSVSSSSGRTKKLLDNGVLEGPRRSSSSRSM >DRNTG_23238.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21593622:21595438:1 gene:DRNTG_23238 transcript:DRNTG_23238.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQSLSRRSLSVSSSSGRTKKLLDNGVLEGPRRSSSSRSITVGGLSGERTVKRLRLSKALTLPENTTVHDACRRMAARRVDAVLLTDSNALLCGILTDKDIATRVIAQELKLEETPVSKVMTRGPVFVLSDTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVERHWGTSTSGPNTFIEALQERMFRPSLSTIIPVNTK >DRNTG_21742.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13582164:13582389:1 gene:DRNTG_21742 transcript:DRNTG_21742.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVSRLRSRSLSELTRQIAPPTKNGHAPPPIESRKSSQSVNPCYVWTW >DRNTG_21359.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19228344:19229732:1 gene:DRNTG_21359 transcript:DRNTG_21359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLSLRLGAAAVMVEDTEECSGDPPVQLDLLPREPVHRLQCSSSSLALCWHPDNVRNIEASTRGFDVNCMPSTETDNEAAPPPPSPNNSSGSPLPLDRAWSRASDEDENNLSRKKLRLSKDQSAFLEESFKEHNTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLHKELTELRALKATAAHPLLSHLPATTLSMCPSCERVSSSSSSAAAGKPGTTAAPFSSAFLSRPRFFPFAPHPSAAS >DRNTG_12601.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20343870:20346122:1 gene:DRNTG_12601 transcript:DRNTG_12601.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soluble gibberellin receptor, Gibberellin signalin [Source: Projected from Oryza sativa (Os05g0407500)] MALPLSTSSSHPSSSGFTSHLIPLHFLTLAILFSAILSRSGGNIAHHVALRAAATSNIILSGNILLNPMFGGQCRTPSELKLDGKFFVTLKDRDWYWKAFLPIEADRDHPACNPFGPNSVPISGLPFPKSLVIVAGLDLTHDWQLRYVHGLKEGGHDVKLVFRESATIGFYLLPNNDHCHVVMDEIRNFVSSNCH >DRNTG_05024.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5654872:5656306:1 gene:DRNTG_05024 transcript:DRNTG_05024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHILTSKLHKTFKEKHFSGEEEEIFEFLLDSRQGMMGLRIGNEGSRGGGKWKRKDMAPQARMNADKHLRYTPPEPIHMEFSNPEHQALFERLSVLGFGQIHFVDWQVLRDIQRGDELADEIDEILAVGSWRRLLTIRELVFRAVTLDVWPSFEFDHPHRRVDTMDAIQF >DRNTG_32281.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15457346:15458127:1 gene:DRNTG_32281 transcript:DRNTG_32281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLCLVRLLNIDGYYNSLLSFIDHAVEESFINPSAHHKIVSTANAKELFEKLEVKKTANQNKENKGSTPNHYRIHEVNSSENNAGQPLISSSPLKISSVATLIDLNLPVAMDDNVEHSTVSDAHP >DRNTG_13409.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5875566:5878532:-1 gene:DRNTG_13409 transcript:DRNTG_13409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKFKDAEKGNAVEKEAEDLENGNANADAAVPAPLYPKMAEDPRARWAFIRKVYTILASQFLFTSAVGAVGAFVHPIPKFFLSGGLTSIVVFVAILLSPFIAMFPMLIFRRKHPINLVLLAIFTACISCSVCILSSFFGVKAFLEAAILTCTVFIALTLFTFWAARGGNDFTFIFPFLFATIHVLLVYLIIQDCLHSLLLASYITVLRFHHLPH >DRNTG_18707.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14763914:14766519:-1 gene:DRNTG_18707 transcript:DRNTG_18707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHPGRKSYNLESVKATLAAAWERATLEEPHSLYMFSPSQANDHFERVKSTIGVLRTNITEVHVTQATQRVEVTTRLDILVQLLERNVASPFVHRPRTPQVSFAPHSPVPALVDPLVLVSTPTTAAVAEPTADDTDA >DRNTG_08917.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27404271:27410422:-1 gene:DRNTG_08917 transcript:DRNTG_08917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGARNLLRRHYDSYGSCFSDTTYKDFHQLKSSMITNLMAEEISRTGGGDEEDEEEEEEDEEEEEEEEEEEEEEEGTSSKDVDDHEPWLRLSIGGQSSSDIPARTQEEGNGSQGRGRLMELQLFSDRPTGGHLQSVSPTIRTGRTPAGMMIPFLPAGAVRPWGFFTSQSPVFASSGSTSTPPRFDYRPYIYPQWSLGSPSVIARSSMASRMRVVSPPRRRQAGLWFMLEPAQNQEREPFLPQIPKNYLRIRDERMTVRMVIRYLVNKLGLEDESEIEIKCRGQQLHPSLTLQYVRDHIWCSPEIAAEEFPATAADHVMTLQYSRPNQIIITMTTTTMTTTTTMTSLV >DRNTG_33414.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1595653:1597580:1 gene:DRNTG_33414 transcript:DRNTG_33414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDMASSGMFVPPQTLGTVLCCICGVPMEPNPANMCARCLRSRVDITEGLPRHAAIVHCPECSSWLQPPRSWLRAELESPALLSLCLRRIHKPLSRLVRLVHGEFVWTEPHSKRIHVKLRVQREALHGAILEQSHLVEFTVQDRLCESCSRAQASPDQWVAAVQLRQHVPHRRTFFFLEQLILRHGAAARAVRIKETSSGVDFFFGHRSHAMKFVEFVSGVVPVRRRSDKQLVSHDTKSNNYNYKYTFSVEICPHLP >DRNTG_33414.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1595653:1597470:1 gene:DRNTG_33414 transcript:DRNTG_33414.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDMASSGMFVPPQTLGTVLCCICGVPMEPNPANMCARCLRSRVDITEGLPRHAAIVHCPECSSWLQPPRSWLRAELESPALLSLCLRRIHKPLSRLVRLVHGEFVWTEPHSKRIHVKLRVQREALHGAILEQSHLVEFTVQDRLCESCSRAQASPDQWVAAVQLRQHVPHRRTFFFLEQLILRHGAAARAVRIKETSSGVDFFFGHRSHAMKFVEFVSGVVPVRRRSDKQLVSHDTKSNNYNYKYTFSVEICPHLP >DRNTG_33414.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1595653:1597375:1 gene:DRNTG_33414 transcript:DRNTG_33414.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDMASSGMFVPPQTLGTVLCCICGVPMEPNPANMCARCLRSRVDITEGLPRHAAIVHCPECSSWLQPPRSWLRAELESPALLSLCLRRIHKPLSRLVRLVHGEFVWTEPHSKRIHVKLRVQREALHGAILEQSHLVEFTVQDRLCESCSRAQASPDQWVAAVQLRQHVPHRRTFFFLEQLILRHGAAARAVRIKETSSGVDFFFGHRSHAMKFVEFVSGVVPVRRRSDKQLVSHDTKSNNYNYKYTFSVEICPHLP >DRNTG_33270.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23910364:23912817:1 gene:DRNTG_33270 transcript:DRNTG_33270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNPQLFPNGMPVPFLNEMFVLARDGVEFQIDKIPGAEGGQVKAKGIIYLSNIRMVFVASKPVGGFVAFDMPLLYVHHEKFNQPIFFCNNISGQVEPVVPDNQHGALYSTHSFKILFKEGGCGTFVPLFMNLISSVRQYNQQAVHPSATEARPRVDPLQAAQTPVDEMMRHAYVDPNDPTRIFLQQPTPESELRRRTYHAQPGDNAY >DRNTG_13307.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22953493:22956081:-1 gene:DRNTG_13307 transcript:DRNTG_13307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVTKKGRSRKPLKDISANDVNISAGNPSSTAPFPKGEKENREGLTLNSSPKKKTKKVASKPKASSPADEISLEDELREMEEKFEKLQIEKKKTEELLREREEMLKQKDEEIEKRGKEQEKLQKELKKLQKLKEFKPTVSLPVIKSLREKEEENKDKKKKKKKNKDLVEKKKPCAAYISWCRDQWNEVKKERPEADFKEVSNLLGARWKSLSAEEKKPYEEKYQKEKEEYLKIVGQEKRENEAMKLLEEEQVQKTAMELLEQYLQFKQDAEKEVKKSRKEKDPLKPKQPMSAFFLYSNDRRASLIEEKKNVLEIAKIAGEEWKNMTEDEKAPYEEKAKQQKEEYARQMELYKQRKLEETVCLEKEEEEQKKILKQEALQLLKKKEKTENIIKKTKETKQKQKKKREEKNTDPNKPKKPASSFLLFSKEARKSLLEEKPGISNSTLSAMISVKWKELSEADRKVWNEKAAEGMEAYKREMEEYNKSVANGNNVVPNS >DRNTG_13307.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22953493:22956081:-1 gene:DRNTG_13307 transcript:DRNTG_13307.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVTKKGRSRKPLKDISANDVNISAGNPSSTAPFPKGEKENREGLTLNSSPKKKTKKVASKPKASSPADEISLEDELREMEEKFEKLQIEKKKTEELLREREEMLKQKDEEIEKRGKEQEKLQKELKKLQKLKEFKPTVSLPVIKSLREKEEENKDKKKKKKKNKDLVEKKKPCAAYISWCRDQWNEVKKERPEADFKEVSNLLGARWKSLSAEEKKPYEEKYQKEKEEYLKIVGQEKRENEAMKLLEEEQVQKTAMELLEQYLQFKQV >DRNTG_33322.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23594332:23595366:-1 gene:DRNTG_33322 transcript:DRNTG_33322.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGHAAPFRVFVGYDPREEVAFDVCKHSLLKHSSIPLTITPIKQSELRSGGLYWRDRGPTESTEFSFTRFLTPFLASYSGWALFIDCDFLYTSDIAPLLSLIDDRFAIMCVKHEYAPKEATKMDGAVQTVYPRKNWSSMVLYNCGHPKNRAALTPEVVSTQSGAYLHRFMWLDDDEIGEVPFVWNFLVGHNKVDPEDPETFPKVLHYTSGGPWFERYKDCEFADLWLKELEECKKKEKEKEKVKEGEKVVCA >DRNTG_31731.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14240972:14242345:1 gene:DRNTG_31731 transcript:DRNTG_31731.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKILAEALSQVEEKMEKGILKSGLKSAFKGLEYGIHTPLTLSVEGQYEPGVSKAMCLSRPAYRYIHAILSRSVNGREPVCQSRSHLLRPIYHETSHRYGSSRRDLRGREGEYTCSPGRKDDEANGDGSQSPVKSLAPGPQPTPVETEVPLVVEEPSPVRMFSPSQAYDHFERLESVVGVLRTEITVVQAT >DRNTG_16761.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22089919:22090133:-1 gene:DRNTG_16761 transcript:DRNTG_16761.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPSHGPVFLESKPGNQYRSSYSDKPNIVTHELVSPRTDTERFAGQVHNRPPYGSNWSAAGSAEMMEARPSF >DRNTG_20027.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001086.1:24666:28394:1 gene:DRNTG_20027 transcript:DRNTG_20027.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLLVFIGVIGNIISVLMFASPIKTFWRIVKNKSTEDFEPTPYVVTLLGSCLWVYYGLTKPDGLLVATVNAVGIFLEAIYVLLFLFFSSSPSIRMKTIFLVIILDIFFGLVFFTTQFLMDGSLRLTIVWHHLHLSQYSYVRFSSDDHENSDKHKKRGIHAFLSIIFSLPQRRSLGSVCNTRS >DRNTG_20027.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001086.1:24666:26077:1 gene:DRNTG_20027 transcript:DRNTG_20027.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLLVFIGVIGNIISVLMFASPIKTFWRIVKNKSTEDFEPTPYVVTLLGSCLWVYYGLTKPDGLLVATVNAVGIFLEAIYVLLFLFFSSSPSIRVTH >DRNTG_20027.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001086.1:27728:28394:1 gene:DRNTG_20027 transcript:DRNTG_20027.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFLSFFLFLNGGVWALYAILDHDVYLTIPNGMGFILGTLQLMLYMIYMNPKAKVDKQSGEERWQQHQSLLIDPNESIERDQEQG >DRNTG_20027.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001086.1:24918:26077:1 gene:DRNTG_20027 transcript:DRNTG_20027.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFASPIKTFWRIVKNKSTEDFEPTPYVVTLLGSCLWVYYGLTKPDGLLVATVNAVGIFLEAIYVLLFLFFSSSPSIRVTH >DRNTG_06063.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4052374:4053783:-1 gene:DRNTG_06063 transcript:DRNTG_06063.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSDDLQDPLTEVPKTQNPHIRNETIEDAITQNAVTRKCSRGPNKVMPTPTNPNDRVLITTLHDEKFIEIEVVRTITKYIQLKFNDAWATWKKHLMATQLNRKPTYGEVFNRIHKLEKGRGDYVDNKSNP >DRNTG_05480.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23257610:23259433:-1 gene:DRNTG_05480 transcript:DRNTG_05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGKKFPSNVVVGRTRNEEEKEMKKKE >DRNTG_18347.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1553382:1557012:1 gene:DRNTG_18347 transcript:DRNTG_18347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQMGRSLGLMWSLLMVMMVINGGRDVEGYSEEDLVIKLPGQPHVSFKQYAGYVDVDEKAGRSLFYYFVEAQEKPHHKPLTLWLNGGPGCSSMGGGAFTELGPFFPRGDGRGLRINEESWNKVSNLLFVESPAGVGWSYSNTTSDYNRDDASTAHDMYIFLLKWFQKFPEYKTSALFLTGESYAGHYIPQLADVLLRHNKLSTSFKFNLKGVAIGNPLLNLDRDAGSVYEFFWSHGMISDELGLTINRECDFNDYSSVNMSKACNDASDEAYQVVGDYINNYDVILDVCYPSIVEQELRLKKLATKMSVGVDVCMSYERQYYFNMPEVQHALHANRTNLPYEWSMCSSFLNYNSKDTSINILPILKKIIQHKIPVWVYSGDQDSVVPFLGSRTLMRELAHELKFAITVPYGVWFYKGQVGGWVTEYGNILTFATVRGASHMVPYAQPGRALQLFASFVHGQRLPNTTTPSIYD >DRNTG_02119.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10875749:10876906:-1 gene:DRNTG_02119 transcript:DRNTG_02119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSRRLHWNSPINQGIQLELTFFTDDARPRKCHPSTGTNGGFRRLTVGEGEGEGVWWGSRQGWCGEGVGEEEEEEEEEEEEEGVEGFRRVFSA >DRNTG_01867.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21197779:21201140:-1 gene:DRNTG_01867 transcript:DRNTG_01867.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEVENGDLLDLNCQLSQTSINWKQELERHQSQVETLQERFMEVKTSIQCSENDSKEELALLWRRVNTTSTLLTYLKSKARIMAVPHLAHTSCGIKHQEGVGYVDRNGIPISDWSKDFDLSSFESLDDETLLRGDRKSQSFDSNDGSYIGEILTSARMVTDVMESLVKRAIMAETEAAFEKEKVNLGMEEIKKKTRQIESMSAKVEEMEKFALGTNGILNEMRQKVEDMVQETSRQRQRAAENEQELCRVKQDFEALRSYVSSLISVRETLISSEKQFQSLEKLFDKLITKTTHLENEKAQKEAEVQKLMEENVRLYALLDQKEAQLLAMNEQCKFMALSNHGI >DRNTG_21916.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1690185:1694200:1 gene:DRNTG_21916 transcript:DRNTG_21916.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVTTVSVGSIASCHALSGFGASSKPSAVNFNATKGFKGFSGLKAASSINIETEESFLGNKSTFALHESFSPKLEARQRTTNHLQPYASTFKVAVLGAAGGIGQPLALLIKMSPLVSALHLYDIANVKGVAADLSHCNTPSQVLDFTGPAELANCLKEVDVVVIPAGVPRKPGMTRDDLFNINANIVKTLIEAVADNCPDALIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVSTLDVVRANTFVAQKKGLKLIDVDVPVVGGHAGITILPLLSKTRPSTTFTDKEVEELTARIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALDGDADVYECSFIQSDLNELPFFASRVKLGKEGVEDVISADLQGLTEFEEKALEALKPELRASIEKGVAFVHKQPATSGSA >DRNTG_21916.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1690185:1694200:1 gene:DRNTG_21916 transcript:DRNTG_21916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVTTVSVGSIASCHALSGFGASSKPSAVNFNATKGFKGFSGLKAASSINIETEESFLGNKSTFALHESFSPKLEARQRTTNHLQPYASTFKVAVLGAAGGIGQPLALLIKMSPLVSALHLYDIANVKGVAADLSHCNTPSQVLDFTGPAELANCLKEVDVVVIPAGVPRKPGMTRDDLFNINANIVKTLIEAVADNCPDALIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVSTLDVVRANTFVAQKKGLKLIDVDVPVVGGHAGITILPLLSKTRPSTTFTDKEVEELTARIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALDGDADVYECSFIQSDLNELPFFASRVKLGKEGVEDVISADLQGLTEFEEKALEALKPELRASIEKGVAFVHKQPATSGSA >DRNTG_21916.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1690185:1694200:1 gene:DRNTG_21916 transcript:DRNTG_21916.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVTTVSVGSIASCHALSGFGASSKPSAVNFNATKGFKGFSGLKAASSINIETEESFLGNKSTFALHESFSPKLEARQRTTNHLQPYASTFKVAVLGAAGGIGQPLALLIKMSPLVSALHLYDIANVKGVAADLSHCNTPSQVLDFTGPAELANCLKEVDVVVIPAGVPRKPGMTRDDLFNINANIVKTLIEAVADNCPDALIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVSTLDVVRANTFVAQKKGLKLIDVDVPVVGGHAGITILPLLSKTRPSTTFTDKEVEELTARIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALDGDADVYECSFIQSDLNELPFFASRVKLGKEGVEDVISADLQGLTEFEEKALEALKPELRASIEKGVAFVHKQPATSGSA >DRNTG_21916.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1690185:1693483:1 gene:DRNTG_21916 transcript:DRNTG_21916.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVTTVSVGSIASCHALSGFGASSKPSAVNFNATKGFKGFSGLKAASSINIETEESFLGNKSTFALHESFSPKLEARQRTTNHLQPYASTFKVAVLGAAGGIGQPLALLIKMSPLVSALHLYDIANVKGVAADLSHCNTPSQVLDFTGPAELANCLKEVDVVVIPAGVPRKPGMTRDDLFNINANIVKTLIEAVADNCPDALIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVSTLDVVRANTFVAQKKGLKLIDVDVPVVGGHAGITILPLLSKTRPSTTFTDKEVEELTARIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALDGDADVYECSFIQSDLNELPFFASRVKLGKEGVEDVISADLQGLTEFEEKALEALKPELRASIEKGVAFVHKQPATSGSA >DRNTG_32722.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1499530:1502006:1 gene:DRNTG_32722 transcript:DRNTG_32722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVKHLSHPPLHPCQTPMRNLVATPIHEGMRTPMRDRAWAPISPQRDRKDGNPASSGNTPQDQPVTPAPVLPVLAGPAHETV >DRNTG_34716.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11979301:11985874:1 gene:DRNTG_34716 transcript:DRNTG_34716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVNGRGDSTCVLSRQELLYLYSMVQHVPIHFGHIMAEYIRHQGHYARLGAIFSGPYITRLVLGMGLLDSIRGAEKTGIPAPLSLEMMRLMAMIRGVWTGVFALVLQAPKIAEDEGDKAGASQPAPEPQPAPMETEAPPVAEEPPPMRMFSPSRAYDHFERLESALGVVRTEIAEARAEIAEIRATQATQYTEFIARFNILHQILE >DRNTG_16055.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25761739:25763119:-1 gene:DRNTG_16055 transcript:DRNTG_16055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAMEKPLIMRKDTLSCEKEKAIQHITRGYELTKQLQSMVLFCGEPCSELPAGVLFEEVLQALTTALTNLKFSTSDPKTIKETSSFCLSDDQGSETSNEINSGSRKRRKVIPWTKVTYAPHDDGYQWRKYGQKNIQKSKSSRSYYRCTYRDEGCRATKYVEEKDCNDPQLFSVTYFEKHTCRVSNDPIISPEIKVDQLLPKEPNLFSFESNDNMFSSLGASGTQQSINGQERVDLQNMHINDQTTIESVGKSTEDCLWAMLEPWEAMSLDYLVNSSFGSDDGFLFSSF >DRNTG_25103.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:829417:831677:1 gene:DRNTG_25103 transcript:DRNTG_25103.1 gene_biotype:protein_coding transcript_biotype:protein_coding CNPCAYICSAKQSCVISDDIKNQTVDFEKKRKEKKKEGSNGWMETQRRAH >DRNTG_13004.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26652895:26655648:-1 gene:DRNTG_13004 transcript:DRNTG_13004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFSAYSSGSSSASSQAISSFATKP >DRNTG_14363.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:318305:321993:-1 gene:DRNTG_14363 transcript:DRNTG_14363.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSWPGVSLPSDTASSKNSTSSSSSARYETSLVLKLVKKLGT >DRNTG_14363.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:314461:321993:-1 gene:DRNTG_14363 transcript:DRNTG_14363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSKKLHLLIAQREQKQENGGEENEEEIREEELHRITLPDFPGSAETFEVAAKFCYGVKVELNAWTVAPLRCAAEYLEMTEEMMEENLISRTERYLTQSVLRNIKESIRALKSCEDLMPLAEDLGIPQRCVDAIAARACSSDPTSLFGWPISNGSDPKDPETASVLWNGIDTGARRKISSRSAAAAAADSWFEDLTILSLPIYKRVIAAMKSRDPNPSAIESSLISYAKSSIPGLSRSNRKPSSAPLTSETEQRELLETVITNLPLDKGSGLVSGRVTPRFLFGLLRTVNILRASDASRSAIEMMIGSQLEKATLDDLLIPSYSYLVDTLYDVECVERIVRHFVERHVERSTVEDDRAGIESPAGNDTAVMTVGRLVDGYLAEIASDANLKVEKFCDLALVLP >DRNTG_14363.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:319119:319499:-1 gene:DRNTG_14363 transcript:DRNTG_14363.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCYKVDCKQCGKVTWGGCGKHVLSVYNGVEKGKHCMCRSWPGVSLPSDTASSKNSTSSSSSARYETSLVLKF >DRNTG_23997.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001285.1:14105:16190:-1 gene:DRNTG_23997 transcript:DRNTG_23997.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIGLLVVYTLFIYNSYAKWTSIWIAVAIFMAISMVKFLEKFVVFYQVRNSNGSMVNTKLVSDYMHYEHKLSNEDEIDPVLMKGYKYLVIGEKEEDVAVGPPDYLMKLNIEDAKVADKVVTLENIWSCRGPVLRDSEAAKDVCLSFTLFKLLRRRFTGYPWAEANSPKARKLIVQGLLGDHMRTFRVIETELAFLYDSFYTKYAVVSHMPVMLLSSVASLVGSFWVAVVLAHYKPPSYEEHLMRGIVDSLVTISFLSAIAFIELWQIITYVFSDWAKVLLLCNYVRKSSWQGMNLFQTALSFLCQQRVLKPWDDKLGQYSLLESYDYYPSCFKMVVMNVQRGQEASPFIQLPEEVKKAIVHALIHSRGKLRRIGEYSLTLCEVGYGGELSWACQLETYTQIILVWHVATSICLLKTGAPPHHASTEDQFNRKVADSLSKYCAYLVVFCREFLPDQDSVTEVIFARIVRETMRHLWEQRSMSRKLDRLMEVRDATETIVEKGGKLGRQLIELIGDESLRFRVLREIWVEITLCIAPSGKVTAHARHLAEGGEFITHVWALLCHLGTFQQPPAREIV >DRNTG_16583.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:121426:122531:-1 gene:DRNTG_16583 transcript:DRNTG_16583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIGSCWAFAALAVVEGAFKISTGRLISLSAQQLVNYDRMSHGCCGGSRVSAFKYIGVISEDNYLHIRTQGSSRAFAALAAVEGAFKISTC >DRNTG_21635.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:80283:80816:-1 gene:DRNTG_21635 transcript:DRNTG_21635.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKHLFLNESTNNAYSGGPTFPKDQPNKVGQNRACNKEEERCFERYPLYASLEN >DRNTG_26006.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23096556:23099475:1 gene:DRNTG_26006 transcript:DRNTG_26006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRHVDLRSDTVTKPTEAMRVAMANAEVDDDVLGADPTAYRLESEMAKLMGKEAALFVPSGTMANLISVMVHCDVRGSEVILGDNCHIHVYENGGISTIGGVHPRTVKNKTDGTMDIAEIEAAIRHPDGELYYPSTRLICLENTHANSGGRCISVEYTDKVGEIAKRHGLKLHIDGARIFNASIALGVPVHRLVQAADSVSVCLSKGLGAPVGSVIVGSKSFITKAKRLRKTLGGGMRQVGVLCAAALVALRENVKKLEDDHRKAKILADGLNQIKQLSVDMSSVETNMVYFNILDSSVISPEKICEVLEEHGVLAMPASAVSVRLVLHHQISESDINYALTCIKQAVDQITTGATTK >DRNTG_04239.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16014415:16023350:-1 gene:DRNTG_04239 transcript:DRNTG_04239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLMLNSTRSLLLRMWRNHYRTLKAYPAARPFINKPIDNYEALRGIFGDDYATGSCVTSMFFEFGDRFENEDNNLDNADTVPLEQPSDDEMQGAFRTPNC >DRNTG_22022.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2558113:2560548:-1 gene:DRNTG_22022 transcript:DRNTG_22022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDVLTLLESEREARRLR >DRNTG_34788.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15816347:15818718:1 gene:DRNTG_34788 transcript:DRNTG_34788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASTEMRKERVTQDDFGSHVKVLRKVSAGARSQSPACSPAGPSVRPLQSLRL >DRNTG_24928.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001317.1:25754:37341:1 gene:DRNTG_24928 transcript:DRNTG_24928.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALIRESFGLAENRESTRSPEAQFSLKDIKLGTHPKVTNICLVNINTQPEGQFNELSTILRDVQESFHRLERRVEELVEVMTKKQQIHEPEIQSPSATKNENEESKDVNEVEEIFGVLESLGFVLDGPPYGPPYWAVLDAIQNTREAFSGHTAPIRAGIRKPWRTRRYHLGEKRRKPEFHTTQYGGRMHCSSGMIRSVCPDFHTIQYTMPYEGRMNPDLLPIFSSKACLPLGEVLSSRSPAGRVGRRPKRPKRKLPRQKLPPLP >DRNTG_15868.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25409668:25409936:-1 gene:DRNTG_15868 transcript:DRNTG_15868.1 gene_biotype:protein_coding transcript_biotype:protein_coding EQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDPQFPATTPIVTPDSMHPNPWSWLSSSLSV >DRNTG_19145.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000984.1:71959:76516:-1 gene:DRNTG_19145 transcript:DRNTG_19145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKFTSRIEKLKDATKQLLHESKDITHQLKLIDTLRQLGVAYHFEGEIKDVIGTIYSSMGINIHILKDDLFATALLFRLLREYGFKVSQGVFDEFKDENGNFLLSIHSDIKGMLSLYEASHLVMDGEDALDKARIFSTNHLKTIFKEENIDPILKEHIVHALEMPMHWRMPRLHTHWFIGMYEKEDNMNPNLLEFAKLDFNMVQSIYQRELKQCSRWWATLNLLDGDLSFTRDRLLENYLLAMG >DRNTG_33759.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32427690:32433188:-1 gene:DRNTG_33759 transcript:DRNTG_33759.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein RCF3 [Source:Projected from Arabidopsis thaliana (AT5G53060) UniProtKB/Swiss-Prot;Acc:Q8W4B1] MRRDPDGRPPQFSPAQDALILIHERIVDTELEFGGGEDGDEFGGGWERSGRGRITTRLVVPRSHVGCLLGKGGKIIEQMRMETKTHIRILPRDQYTPQCVSPSEEVVQVVGEGICVKKAVEIISSRLLESLHRDRGPFRGRLHSPEHYFPHDDDFMNNAQSQSALEGFDVGSRSSTGANRARNKLHSSNSSRFAFDSDGNQAVDNSQSLSSDDIIFRILCPNEKVENVMGVSDGIIEMLKSDIGVDVKVCDPVPGSDERVIVITSEEGPDDDLFPAQEALLHIQTNIVDLGPDKDNIITTRLLVPASEIGCLEGRDGSLSDIQKLTSANVQILPKEDHPLCAMDADELVQCLQIVGEIRAARNALVQITARLRSHLFRDISIPKDLLAQSNSASNHASSVSAVEPSSPVKNSPLEGYQGSDPSASVRHNTHAQPTTWQSKETGGVASGPLEQDDSTINDEGRRSMPRRLSAPLVTKTTLEVIIPEHAVRSLTMRSGSKLAQISELSGATVSLLEDGSEQTDKVVKISGTPDQAERAQSLLQGFILSTQDDVPMS >DRNTG_33759.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32427690:32433188:-1 gene:DRNTG_33759 transcript:DRNTG_33759.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein RCF3 [Source:Projected from Arabidopsis thaliana (AT5G53060) UniProtKB/Swiss-Prot;Acc:Q8W4B1] MRRDPDGRPPQFSPAQDALILIHERIVDTELEFGGGEDGDEFGGGWERSGRGRITTRLVVPRSHVGCLLGKGGKIIEQMRMETKTHIRILPRDQYTPQCVSPSEEVVQVVGEGICVKKAVEIISSRLLESLHRDRGPFRGRLHSPEHYFPHDDDFMNNAQSQSALEGFDVGSRSSTGANRARNKLHSSNSSRFAFDSDGNQAVDNSQSLSSDDIIFRILCPNEKVENVMGVSDGIIEMLKSDIGVDVKVCDPVPGSDERVIVITSEEGPDDDLFPAQEALLHIQTNIVDLGPDKDNIITTRLLVPASEIGCLEGRDGSLSDIQKLTSANVQILPKEDHPLCAMDADELVQIVGEIRAARNALVQITARLRSHLFRDISIPKDLLAQSNSASNHASSVSAVEPSSPVKNSPLEGYQGSDPSASVRHNTHAQPTTWQSKETGGVASGPLEQDDSTINDEGRRSMPRRLSAPLVTKTTLEVIIPEHAVRSLTMRSGSKLAQISELSGATVSLLEDGSEQTDKVVKISGTPDQAERAQSLLQGFILSTQDDVPMS >DRNTG_05212.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000234.1:13012:14286:-1 gene:DRNTG_05212 transcript:DRNTG_05212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFNQKPNSNNANQHQLRRSTDGSPSLRRQQLPSSPLL >DRNTG_01779.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10784133:10785989:-1 gene:DRNTG_01779 transcript:DRNTG_01779.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDVYTGPNVLLLDRSVRPWLFIDSSTTDPQTSRKLWKFTSRTERCCSMLHSL >DRNTG_33709.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1161257:1168774:-1 gene:DRNTG_33709 transcript:DRNTG_33709.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 10 [Source:Projected from Arabidopsis thaliana (AT4G31720) UniProtKB/TrEMBL;Acc:A0A178V2H6] MNSSAAGGDGKHDDESALAEFLSSLMDYTPTIPDELVEHYLARSGFQCPDLRLTRLVAVASQKFITDVASDALQHCKARLSAPVKDKSKQPKEKRLILTMDDLSKALREHGVNVKHQEYFADNPSTGMDPSTREEN >DRNTG_33709.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1163028:1168774:-1 gene:DRNTG_33709 transcript:DRNTG_33709.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 10 [Source:Projected from Arabidopsis thaliana (AT4G31720) UniProtKB/TrEMBL;Acc:A0A178V2H6] MNSSAAGGDGKHDDESALAEFLSSLMDYTPTIPDELVEHYLARSGFQCPDLRLTRLVAVASQKFITDVASDALQHCKARLSAPVKDKSKQPKEKRLILTMDDLSKALREHGVNVKHQEYFADNPSTGMDPSTREEN >DRNTG_07970.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2233944:2234861:-1 gene:DRNTG_07970 transcript:DRNTG_07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRHENNLASSTPSYVSPSQRPDLCHSAYKQDGPLKLQGMAKD >DRNTG_26525.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3375560:3379457:-1 gene:DRNTG_26525 transcript:DRNTG_26525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKHELLLLLFVLSLCMHDATPDYHQALSKSILYFEAQRSGKLPTNQRVQWRGDSALNDGADAGVDLVGGYYDSGDNVKFGFPMAYTVTMLAWSAIEFGTQLHEKNELSNAQEAIKWGTDYLLKAHAASQVLYVQVGDGYSDHACWERPEDMNTPRNSYRIDNTKPGSDVAAESSAALAASSIVFQASDKNYANKLLTHAKQLFEFGHKHMGLYSDSIQQARGFYPSSGYDDELLWAASWLHRATGEQAYLDFLANSSTTGGKRTLFSWDDKFVGVQTLVSKLILEGKIKEEGIWGEYKKALDEFMCSMIQKGNGNNVKMSPGGMLWWQPWNNFQYTTSAMLILASHSNHLATSGATLHCPGATVSARQLISFIRSQVNYILGANPKKMSYMVGFSQVYPGQVHHRAASIVSIKKDRARVTCNGGFDSWFNRNAPNPNVIDGAVVGGPDAGDSYTDSRSNYQQAEPATVTTAPLVGVLALLA >DRNTG_21048.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18372337:18381127:-1 gene:DRNTG_21048 transcript:DRNTG_21048.1 gene_biotype:protein_coding transcript_biotype:protein_coding RASLIQALNDSATFEYISPNDHLKCSTRQDDHHIRRRGRGRGRGRGRGVVAPVEYVQRNGSSFP >DRNTG_14326.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17207826:17217477:1 gene:DRNTG_14326 transcript:DRNTG_14326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLELFWNAPAMSEMVIFSTRFSIFCVPKFPSALPNPHPFLNFCVIDLDFIAGTLELLSDCRCSAIQGMNTLSDHVKDVVVVVVDDVATAFCFCISLYFEVPSSTSNLDDTIIVPPSSTHPTSTFHSSHGAMGELLCDAFNIRHDVHGGIEHNIGNIVNDEDEGQDGARKEEPSMEASKFYKLLEDMNEKLYDGSKHSRALNEAVGVKSIFKAWESRLENTEFAFSKHKFFDFSGASKVNILLSSTCCLKLHFSISSFAFACRNRIVLISYAQFSQSNSAANSHILKELRALSSQLLRATDQCETAFLKSKHRKKSNSYHICSILGYLAIVFVLKNAAPSATLELLAFALAFRKCAIE >DRNTG_06353.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24323545:24327504:-1 gene:DRNTG_06353 transcript:DRNTG_06353.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAILSSTLSRIIKLSALHVLECQSPVWGGIDEELQKLRRALLGVHAIMGDAEERQLKDKAVQEWLNELKHVMYDAEDLLDEANSHVILIQLKSERQGHRMSKVRNFFSLDNPSFFLLKLGNKLKSITRRIDGIMEEMHKFNFKVDALPLAQRTRP >DRNTG_06353.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24323399:24327504:-1 gene:DRNTG_06353 transcript:DRNTG_06353.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAILSSTLSRIIKLSALHVLECQSPVWGGIDEELQKLRRALLGVHAIMGDAEERQLKDKAVQEWLNELKHVMYDAEDLLDEANSHVILIQLKSERQGHRMSKVRNFFSLDNPSFFLLKLGNKLKSITRRIDGIMEEMHKFNFKVDALPLAQRTRP >DRNTG_06353.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24323399:24327504:-1 gene:DRNTG_06353 transcript:DRNTG_06353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAILSSTLSRIIKLSALHVLECQSPVWGGIDEELQKLRRALLGVHAIMGDAEERQLKDKAVQEWLNELKHVMYDAEDLLDEANSHVILIQLKSERQGHRMSKVRNFFSLDNPSFFLLKLGNKLKSITRRIDGIMEEMHKFNFKR >DRNTG_06353.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24323545:24327504:-1 gene:DRNTG_06353 transcript:DRNTG_06353.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAILSSTLSRIIKLSALHVLECQSPVWGGIDEELQKLRRALLGVHAIMGDAEERQLKDKAVQEWLNELKHVMYDAEDLLDEANSHVILIQLKSERQGHRMSKVRNFFSLDNPSFFLLKLGNKLKSITRRIDGIMEEMHKFNFKVDALPLAQRTRP >DRNTG_34047.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23430494:23436478:1 gene:DRNTG_34047 transcript:DRNTG_34047.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQELAAIIVGVVVLLVLLFLYWNCMCQSEPTSTPRERQDKLNNAQAPNVPRNYPPPSANVVEKGSSSAGPDDSLPPARPGIAFTYEELKKATNSFSPNNFLGEGGFGPVHKGVVTIDKKGVLPFDEEGVLPIDIEIAVKQLKPGARQGQCEFEAEVNIISRVHHKHLVSLVGYCISEERRLLVYEFVPNNTLHFHLHGEGRPTMDWSTRLKIALGSAKGLAYLHEDCNPRIIHRDIKAANILLDSQFEVKVADFGLARFAYDYKTHISTNHLKGTFGYFAPEYASSGKLSDKSDVYASGVMLLELITGRLPVDKSPSNDSWVALFNWASPLLADALKGNYEPLVDPRLGKNYNPNEMARMVACAAACVRHSANHRPRMSLVVKVLEGDVSPENLNDGVPPMRTGRT >DRNTG_08619.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5623333:5626966:-1 gene:DRNTG_08619 transcript:DRNTG_08619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAEARLLDLVGAMEDLKAMRNRVLREIELQEEKGKQRTQKVQAWLDKVLDMDQCTNQLVIDFSRCFVTGCCSLNIYSRLNGSRKATKFKKEIDELMKEKNHLSVLAKRRPSNPVIDMPISTTNIGIMIGSNLRIVRDCLADKTFGIIGIYGMGGIGKTTLMKEIERSIDDWNMGFDYVIYVRASYEHHVEDLRDCIAEQLSLCEPSMETIFKFLKYKNFLLLLDDLWEELDITVLGIPDPRDNSVITTLYKHKVVFTTRSKQVCDCMRADKKIKLECLDKDEGWQLFKENLAMNLEDVPSIEEVAREVANLCGGLPLALIMVGRAMSNQTWLAKWNLMLDQLQGRHLSSLCESMLLYLRSSYDSLAHETLQQCFLCFCLWPKRKLILIEDLIKCWLGFGLIYYFDSISEAYMHGCYIIKVLEEASLVITHDNRTVVEVHEVIHDMAQWIASRAEGDHIAWFVKQNIIFEQLSSEEMETWIQLERVSIINCDMNSLPELQCQCPSLLSLSIQHNQRLENLPKIFLRQMPNLTYLNLSSTGIDELPTEITHLFNLEFLDISRTRIKSLPHELGNLKKLKCLLCGYLFLGKLQAGLLSNLCNLQVLDLYPYGCVEPKELEILRGFKSIGMRASSNEILQQLSDLPIVNINIQEIEGLQTLQFSSLTSEGHGWPKEFQIRSCTTIQNLVITHGTKISLKFLKLFDLPKLRSFVWRIEPKEVLPVLQLVQIEECHSLTSLHWVLHLPLLRALLLKNCDAMEELVNGEVGEIEEDDAITTFPKLKYLTILGLPKLVKISHCTLDFPHLSKVHLEGCPSLKRLPFKPGIVNNRGLFIKCEKIWWEKLEWDDATIPSQFCSNSS >DRNTG_06912.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1577309:1579644:-1 gene:DRNTG_06912 transcript:DRNTG_06912.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEMMITGSIKSEPFTSPDRRFQQYLSSGSIDLFGLYSPKNPTVTSPASLTPSSISFDDESEDHHDAAAITDTQLYLARLTLQYRQLADRYDLCLSHLQDAADELESLRQENAELRIAKNDLAKRLSEIRNGRAIVDEFRRLRLAEEGTSPTSVLGGSFSHAEKRVTLPKSISIRSSGFLKLNPTGRPSGPSSSARFSQHQHQHQHQHQHHHQQHQQHQQHQHQHQKVYLGTGDEEKKSDVEVEVYNQGMFKTELCNKWEELGECPYGEHCQFAHGISELRPVIRHPRYKTEVCRMVLSGDTCPYGHRCHFRHAISPSDRLN >DRNTG_06912.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1576985:1579644:-1 gene:DRNTG_06912 transcript:DRNTG_06912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEMMITGSIKSEPFTSPDRRFQQYLSSGSIDLFGLYSPKNPTVTSPASLTPSSISFDDESEDHHDAAAITDTQLYLARLTLQYRQLADRYDLCLSHLQDAADELESLRQENAELRIAKNDLAKRLSEIRNGRAIVDEFRRLRLAEEGTSPTSVLGGSFSHAEKRVTLPKSISIRSSGFLKLNPTGRPSGPSSSARFSHQHQHQHQHQHHHQQHQQHQQHQHQHQKVYLGTGDEEKKSDVEVEVYNQGMFKTELCNKWEELGECPYGEHCQFAHGISELRPVIRHPRYKTEVCRMVLSGDTCPYGHRCHFRHAISPSDRLN >DRNTG_06912.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1577309:1578965:-1 gene:DRNTG_06912 transcript:DRNTG_06912.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEMMITGSIKSEPFTSPDRRFQQYLSSGSIDLFGLYSPKNPTVTSPASLTPSSISFDDESEDHHDAAAITDTQLYLARLTLQYRQLADRYDLCLSHLQDAADELESLRQENAELRIAKNDLAKRLSEIRNGRAIVDEFRRLRLAEEGTSPTSVLGGSFSHAEKRVTLPKSISIRSSGFLKLNPTGRPSGPSSSARFSQHQHQHQHQHQHHHQQHQQHQQHQHQHQKVYLGTGDEEKKSDVEVEVYNQGMFKTELCNKWEELGECPYGEHCQFAHGISELRPVIRHPRYKTEVCRMVLSGDTCPYGHRCHFRHAISPSDRLN >DRNTG_06912.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1577309:1579644:-1 gene:DRNTG_06912 transcript:DRNTG_06912.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEMMITGSIKSEPFTSPDRRFQQYLSSGSIDLFGLYSPKNPTVTSPASLTPSSISFDDESEDHHDAAAITDTQLYLARLTLQYRQLADRYDLCLSHLQDAADELESLRQENAELRIAKNDLAKRLSEIRNGRAIVDEFRRLRLAEEGTSPTSVLGGSFSHAEKRVTLPKSISIRSSGFLKLNPTGRPSGPSSSARFSQQHQHQHQHQHQHHHQQHQQHQQHQHQHQKVYLGTGDEEKKSDVEVEVYNQGMFKTELCNKWEELGECPYGEHCQFAHGISELRPVIRHPRYKTEVCRMVLSGDTCPYGHRCHFRHAISPSDRLN >DRNTG_06912.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1577309:1578965:-1 gene:DRNTG_06912 transcript:DRNTG_06912.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEMMITGSIKSEPFTSPDRRFQQYLSSGSIDLFGLYSPKNPTVTSPASLTPSSISFDDESEDHHDAAAITDTQLYLARLTLQYRQLADRYDLCLSHLQDAADELESLRQENAELRIAKNDLAKRLSEIRNGRAIVDEFRRLRLAEEGTSPTSVLGGSFSHAEKRVTLPKSISIRSSGFLKLNPTGRPSGPSSSARFSQQHQHQHQHQHQHHHQQHQQHQQHQHQHQKVYLGTGDEEKKSDVEVEVYNQGMFKTELCNKWEELGECPYGEHCQFAHGISELRPVIRHPRYKTEVCRMVLSGDTCPYGHRCHFRHAISPSDRLN >DRNTG_03540.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:268014:270650:-1 gene:DRNTG_03540 transcript:DRNTG_03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSLNDNSNSNSNNNNNNNSNSNWLGMELPSETHHAPNDHHSSHHHHHQPPANFFLAPPLYYGVSDSSPLYSHLSFMPLKSDGSLCLMEALSRSSHPQGMLGITQEPKLEDFLGNNEREAMALSLDSIYMQPLQEEMCSEMYQPAALDFPTTLRNNWVSRHYTADVSPIGFGDLQPLSLSMSPGSQSSCVTAPQQLSSSTTTTTNAAIPTAPTASTDRKKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQVYLGGYDMEEKAARAYDLAALKYWGPSTHINFPLENYHDELEDMKNMSRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDITRYDVERIMASNTLLPGELARRNKAIESGNAEDRNNNNNNNAIDQKSSIAVEDYHGMFNIDNNNNNNNTTQLSNTSSLVSSLSSSREGSPDRNGLSVLFAKPLNSWIAGTQQQQQLRPSAAVATMAAQLPVFAAWNDV >DRNTG_33297.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23776800:23779997:1 gene:DRNTG_33297 transcript:DRNTG_33297.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAMASRTLISKLIIPGLAVPRSLSRAAIRPSGSPLLRLRRPLAAFRFPFTPGVADGLRCFSTRPTTSSLNDPQPNWTNRPPKETILLDGCDFEHWLVVMEPPDSSLTRDDIIDSFIKTLAQVVGSEEEARMKIYSVSTKHYFAFGALVSEELSYKIKTLPKVRWVLPDSYLDVKNKDYGGEPFIDGQAVPYDPKYHEEWVRNNARANERSRRNDRPRNFDRSRNFERRRENMQNFQNRDVPPSPNQNFQAGPGSMPQRDASPMANQQAPNTMPPPPPPRDVPATGMPGQGYQGQVPNPQGGYMPNRGDGNPPRAPGYQGTGGPGYQGGSPGYQGGGPGYQGGGYQGGGPGYQGGNPGYQGGGPGYQGGGGPGYQGGNPGYQGGSTGSQGSGPGYSGGAPGYQGGNTGYQGGSAGYQSSGTGYQGGGVNYQGGGSTYQGGSSGSQGGN >DRNTG_33297.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23776800:23779997:1 gene:DRNTG_33297 transcript:DRNTG_33297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAMASRTLISKLIIPGLAVPRSLSRAAIRPSGSPLLRLRRPLAAFRFPFTPGVADGLRCFSTRPTTSSLNDPQPNWTNRPPKETILLDGCDFEHWLVVMEPPDSSLTRDDIIDSFIKTLAQVVGSEEEARMKIYSVSTKHYFAFGALVSEELSYKIKTLPKVRWVLPDSYLDVKNKDYGGEPFIDGQAVPYDPKYHEEWVRNNARANERSRRNDRPRNFDRSRNFERRRENMQNFQNRDVPPSPNQNFQAGPGSMPQRDASPMANQQAPNTMPPPPPPRDVPATGMPGQGYQGQVPNPQGGYMPNRGDGNPPRAPGYQGTGGPGYQGGSPGYQGGGPGYQGGGYQGGGPGYQGGNPGYQGGGPGYQGGGGPGYQGAGYQGGNPGYQGGNPGYQGGSTGSQGSGPGYSGGAPGYQGGNTGYQGGSAGYQSSGTGYQGGGVNYQGGGSTYQGGSSGSQGGN >DRNTG_03739.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000175.1:15346:17000:-1 gene:DRNTG_03739 transcript:DRNTG_03739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFRVVRELLNEPGQRMPLRYLEKRRERLKLPIKVKTFISRYPSLFDLYPDLLKPKSQPVPFLRPSPRLLDFISHQSRIQAQHEPLIISKLCKLLMMSKDRVISADKLSHVKRDFGFPDDFLCNLVPKYPQYLRLVGSPGEGQSFLELVSWNEEFARSVIERKAEEESKLTGIRMRPNFSVKLPPGYYLRKEMREWVRDWLELPYISPYSDASPLSQASPEMEKRTVGLMHELLSLSVFRRIQVPIIGKFCEEFRLSNAFGNMFTRHSGIFYVSLKGGVKTAMLREAYDELGELVDRDPLSEIKERFVEMLDEGHRDYLEKIRMKREAMKRDLELLAKRNSEQPMQEEYEEEDDDDDDDEEEEEEKEKF >DRNTG_03739.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000175.1:15346:17000:-1 gene:DRNTG_03739 transcript:DRNTG_03739.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFRVVRELLNEPGQRMPLRYLEKRRERLKLPIKVKTFISRYPSLFDLYPDLLKPKSQPVPFLRPSPRLLDFISHQSRIQAQHEPLIISKLCKLLMMSKDRVISADKLSHVKRDFGFPDDFLCNLVPKYPQYLRLVGSPGEGQSFLELVSWNEEFARSVIERKAEEESKLTGIRMRPNFSVKLPPGYYLRKEMREWVRDWLELPYISPYSDASPLSQASPEMEKRTVGLMHELLSLSVFRRIQVPIIGKFCEEFRLSNAFGNMFTRHSGIFYVSLKGGVKTAMLREAYDELGELVDRDPLSEIKERFVEMLDEGHRDYLEKIRMKREAMKRDLELLAKRNSEQPMQEEYEEEDDDDDDDEEEEEEKEKF >DRNTG_04344.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31133634:31137227:1 gene:DRNTG_04344 transcript:DRNTG_04344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPELYMTARLNPLSLKYYGLCLQNGNYKVDLHFAEIMFTDNQTYFSLGRRLFDVSIQGKKVLRDFNIAKEANGTGRAIVKSYNANVTGNTLEIHFQWAGKGTNAIPHRSVYGPLISAIAVTPNFEPDTGERELSKAAILGIVVACCVVIALISIFIWCCWRRNNNRNSELRGLMLQTGYFTLRQIKTATKNFDAANKLGEGGFGPVYKGVLPDGTVVAVKQLSSKSRQGNREFVNEIGMISALQHPNLVKLVGCCIEGNQLLLIYEYMENNSLGNALFGHHGDRVKLDWQTRRKICLGIARGLAYLHEESRLKIVHRDIKASNVLLDKDLSAKISDFGLARLSGEDETHISTRIAGTVGYMAPEYAMRGYLTEKADVYSFGVVTLEIVSGMSNTNYRPNEDCVCLLDWAYVLQEQGDLLELVDKRLGPYYSEEEALQMLNLALTCTNPSSSLRPTMTTVVSILDGKNPVPSLLSMNSTASISNDSMSPEVSIERPWIDSSVSVENSQEENTIVSSRTKLIYDQSG >DRNTG_16054.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25787415:25788808:-1 gene:DRNTG_16054 transcript:DRNTG_16054.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGAMEKPLIMANNAFGETEMAIQEITRGYQLTKQIQSMVSKLGGEPAPLQLPGVLFDEVLQALTMALTNLKSTTSSSVCLISDDHRTNTSIEIKSGSRKRRKVIPWTKVTYAPHDDGYQWRKYGQKNIQKSKSSRSYYRCTYKDEGCRATKHVEEKDCNDPHLFSVTYYENHTCRANSDPIITTPEIELDQLLPKEPNLFSFESNDNMFSSLKVSGTQQSVNEQERSVDLQNIHNINDKASIESVANSEDCLGPIFEACSEGEGIPTSACLSPPWEVMSMDFLVQYSSFGYDDIFGFPCF >DRNTG_07484.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3978228:3979444:-1 gene:DRNTG_07484 transcript:DRNTG_07484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVPTILALDIGYSVGGPMCAITAHSRANIMGITINEYQAARARVHNQKASLDSICDVVCGNFLSMPFANASFDTAYSTNARF >DRNTG_33746.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32368311:32368820:1 gene:DRNTG_33746 transcript:DRNTG_33746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYRTTTTPTSETRRERKAKGRPRSQPNGLHSQSQHMPSSSVSSKPVKEPKTQKKKNTGFFLWLDFLMA >DRNTG_14629.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2366224:2372734:1 gene:DRNTG_14629 transcript:DRNTG_14629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPATASLVFGILCPPGQNDLKVKGLRFINPYAERSNTMGLPFNSHRRSSSLNLKGYHGFRAVLRAGNDNDVSFPSDGSSVGHSTNDELERLTTNASLQTESVVLGTIAADMAPASDGFFPDDDERDLDLPTAGFSSIQEAIEDIRQGKFVIVVDDEDRENEGDLIMAASLVTPDAMAFIVRYGTGIVCVSMKEEDLDRLQLPLMVTHKENEEKLRTAFTISVDAKEGTTTGVSAKDRAKTVLALASPDSKPEDFNRPGHIFPLKYRVGGVLKRAGHTEASVDLAILSGLPPVAILCEIVDDDDGSMARLPKLREFAKKENLKIVSIADLIRYRRKRDKLVERASVANLPLKFGDFQAYCYRSLLDGMEHIAMVKGDIADGQDILVRVHSECLTGDIFGSARCDCGNQLSLAMEQIVKAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDKGRDTVEANEELGLPVDSREYGIGAQILQDLGVRTMKLMTNNPAKYIGLKGYGLSVVGRVPLLTPITKENKRYLETKRLKMGHIYNSEFNGRLTSPAEKTSSDDPNQAS >DRNTG_03528.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000167.1:43867:44502:-1 gene:DRNTG_03528 transcript:DRNTG_03528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILFFFIIFLLNSSSSSNAAVQDFCVGDLTAPEGPAGYSCKKASVVTSNDFFYKDIFKSNLNFPTINLFLTYLFSQQFPGLNGLGMSLLSIQMGPGGIVPAHTHPRANEVIVCIEGTVYAGFISTDNKAYTKTIQAGDVFIFPQGLLHFQLNVGKGQAKVLAFFGGSSPGIQTLPFSLFGNDLPSDVVGNVTFLDNNVVKKLKARFGGTN >DRNTG_19818.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14678556:14679739:1 gene:DRNTG_19818 transcript:DRNTG_19818.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEELSCSMCSLAARLSMGLCARPLRTTNGFSVDLFSLKRRSYKLPSYAAHFFPANMQELVDKFTNFISAVNNGEKIKRPEKKKKKERDEVSVILPSQPLNLTWASQVSLMMRASAANSAFTMAT >DRNTG_03943.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000187.1:72558:78220:1 gene:DRNTG_03943 transcript:DRNTG_03943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPTRIRGEEESIKVGDEVPPKLQRPPLQTQQSHPSRAAQKMRKNRAKRLFIGLRPRLSRPPCTHVDFPRACVGYMNFHADVLAKFMLSTNDRFNSLTSSMDAQFGKVNAQLTQHAEQFSEIGSVLRNLQASEVLSINPLDEYLGELESKEQEEPHYHPQIRNLKQPKEKVTSTNAKEKEKKESFMKKVWREIHGRQKKEIKLHHPTPQVGKDNLFAINEPTFHQLTFEVLSTFEVVQDGTSMWDRKCITIRFQAFGKKRTMHHLDFARALREFSELS >DRNTG_28771.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9227392:9231534:-1 gene:DRNTG_28771 transcript:DRNTG_28771.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMESRAAERVIEACVRTESVRKCIFTSSLLACVWRRSSHHNHSLPTIVDENCWSDETLCRDRKLWLALGKTMAEKAAWRAARGRNLKLVTVCPALNTGPGFCRRNATTSIAYLKGSQELFTDGLLATVNVNRVAEAHVCVYEAMDSTACGRYICYDNVIRRAEDTIELERQLGITNRISGTTTTTLDGPNSFELCNSKLSRLISTSRWCH >DRNTG_28771.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9227392:9231534:-1 gene:DRNTG_28771 transcript:DRNTG_28771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVRSEESREAEIEEIRRIIEGERTGEEEWKGNNGGADELPGGADGRMVCVTSGVSFLGFALVDRLLSRGFTVRLALDSQEDLEKVRELEMFRETDRDSGGGGGVWAVIANVMDLDSLCCAFDGCAAVFHTSSFIDPSGVSGYSKQMAEMESRAAERVIEACVRTESVRKCIFTSSLLACVWRRSSHHNHSLPTIVDENCWSDETLCRDRKLWLALGKTMAEKAAWRAARGRNLKLVTVCPALNTGPGFCRRNATTSIAYLKGSQELFTDGLLATVNVNRVAEAHVCVYEAMDSTACGRYICYDNVIRRAEDTIELERQLGITNRISGTTTTTLDGPNSFELCNSKLSRLISTSRWCH >DRNTG_14561.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17637609:17643407:1 gene:DRNTG_14561 transcript:DRNTG_14561.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFCVEFIILEGDNLTRLFPGVSLDFAGMHVDSVHIFGLITALIVLPTVWLRDLRIISYLSAGGVIATLLVFLSVIFVGTTDGIGFHQTGELVKWHGLPLAIGVYGFCYSGHTVFPNIYQSMSDRSKFNKALIICFIICTSIYGCVAAFGYLMFGEGTLSQITLNFPKNSVAAKVGIWTTVINPFTKYALLLNPLARSLEELLPIAYANKIWCFILLRTVLVISTLCVAFLLPFFGLVMALIGSLLSILVAVIMPALCFLKIAKNQATPLQVTLSVSIAVVGIISAIVGNILIFITDHKQLLKHKLFGK >DRNTG_14561.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17637609:17640671:1 gene:DRNTG_14561 transcript:DRNTG_14561.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAERDEELFLEEDSEDDEHKRGNSEGVDDGDEDEDEDGGAVNEDRHGFTLPDRISGSFYSRQWPQSYRETVDSYTITASPGFGSLGRTPSIWYSSLDVSNQIEEDLGIQSPLLSGSVLAKQESDRNLIRSLVSAEDGVISSGLEFSGEGYTHHGCSFIQTVFNGVNVLAGVGVLSTPFTIKEAGWTSLALLLLFAVICCYTGILMKHCFESKEGIFSYPDIGEAAFGRYGRIFISVVLYTELYSFCVEFIILEGDNLTRLFPGVSLDFAGMHVDSVHIFGLITALIVLPTVWLRDLRIISYLSAGGVIATLLVFLSVIFVGTTDGIGFHQTGELVKWHGLPLAIGVYGFCYSGHTVFPNIYQSMSDRSKFNKALIICFIICTSIYGCVAAFGYLMFGEGTLSQITLNFPKNSVAAKVGIWTTVT >DRNTG_14561.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17637609:17639060:1 gene:DRNTG_14561 transcript:DRNTG_14561.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAERDEELFLEEDSEDDEHKRGNSEGVDDGDEDEDEDGGAVNEDRHGFTLPDRISGSFYSRQWPQSYRETVDSYTITASPGFGSLGRTPSIWYSSLDVSNQIEEDLGIQSPLLSGSVLAKQESDRNLIRSLVSAEDGVISSGLEFSGEGYTHHGCSFIQTVFNGVNVLAGVGVLSTPFTIKEAGWTSLALLLLFAVICCYTGILMKHCFESKEGIFSYPDIGEAAFGRYGRIFISVSF >DRNTG_14561.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17637609:17643407:1 gene:DRNTG_14561 transcript:DRNTG_14561.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAERDEELFLEEDSEDDEHKRGNSEGVDDGDEDEDEDGGAVNEDRHGFTLPDRISGSFYSRQWPQSYRETVDSYTITASPGFGSLGRTPSIWYSSLDVSNQIEEDLGIQSPLLSGSVLAKQESDRNLIRSLVSAEDGVISSGLEFSGEGYTHHGCSFIQTVFNGVNVLAGVGVLSTPFTIKEAGWTSLALLLLFAVICCYTGILMKHCFESKEGIFSYPDIGEAAFGRYGRIFISVVLYTELYSFCVEFIILEGDNLTRLFPGVSLDFAGMHVDSVHIFGLITALIVLPTVWLRDLRIISYLSAGGVIATLLVFLSVIFVGTTDGIGFHQTGELVKWHGLPLAIGVYGFCYSGHTVFPNIYQSMSDRSKFNKALIIWYGNLAFPFTFDHYPCI >DRNTG_14561.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17637609:17643407:1 gene:DRNTG_14561 transcript:DRNTG_14561.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERDEELFLEEDSEDDEHKRGNSEGVDDGDEDEDEDGGAVNEDRHGFTLPDRISGSFYSRQWPQSYRETVDSYTITASPGFGSLGRTPSIWYSSLDVSNQIEEDLGIQSPLLSGSVLAKQESDRNLIRSLVSAEDGVISSGLEFSGEGYTHHGCSFIQTVFNGVNVLAGVGVLSTPFTIKEAGWTSLALLLLFAVICCYTGILMKHCFESKEGIFSYPDIGEAAFGRYGRIFISVVLYTELYSFCVEFIILEGDNLTRLFPGVSLDFAGMHVDSVHIFGLITALIVLPTVWLRDLRIISYLSAGGVIATLLVFLSVIFVGTTDGIGFHQTGELVKWHGLPLAIGVYGFCYSGHTVFPNIYQSMSDRSKFNKALIICFIICTSIYGCVAAFGYLMFGEGTLSQITLNFPKNSVAAKVGIWTTVINPFTKYPFGSSR >DRNTG_19379.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22271925:22276133:-1 gene:DRNTG_19379 transcript:DRNTG_19379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKKKGFYNFYWLLQWFGVQGVKVMKVLVFNGNCWFS >DRNTG_12384.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6559657:6572134:-1 gene:DRNTG_12384 transcript:DRNTG_12384.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDTHVVDNLLGKLVSDKYFNGTSLHNNSIIKLTTSFRILAFISENSAVAASLYEEGAVTLVYVVLINCKSMLERLSSSYDHLVDEGAECNSTSDLLLERSHEQSIIDLLIPSLILLMNLLKKLKEAKEQHRNKKLLNALLQLHREVSPRLAASSADLSFPCSSSALGFSAVCHLLASTLACWPVFGWTPGLFHRLLESVQATSILALGPKDACSLLCLLGDLLPMEDIWQWRNEMPRLSVLRALSVGTILGPRAEQCVNWYLQPEHLAVLLVRLTSQLERIAELVLQFAFAALVVIQDMLRVFIVRVACQGNEYAITLLRPIMSWLDTHISQTSLSDTDMFKVHKLLNFIASLLEHPCAKTLLLEVGILQILEKTLKRCGNVSQLDGNVNQEGNFSLKSFSLLSWCQPAFKSLALIFSSGCFHDDVSIDTCSKICFHLLKLCEILPVGRELLACIITLEKLSSCHQGRSVITSVCFQSGSSTEGQEPNENHPNGNVSGLHDLTWSSPFLNCLKKLLQLLDSKDSTSMFDVETVYALAFCATSLLAEGSNSDGLPALKSLFGLPTDLDSEELPYAEKLNELHELIEKLEQRFSDDEYLSSSSGESTLHQVKESLKSILMLLESSANSSSVLEGITLGDGFNSPSDVAKSVTITSKLMQLVPLTSMYDAEALSFSHVWNADNDVSSGSFAEKFVWECPDSPPDRQITPVLPLKRKQSSTEGTGRRLRDNSGSEPVVSIIPSRGLTTPSVSSGPTRRDTFRQRKPNTSRPPSMHVDDYVARERNIDNASSATNAVSTSQRGSGRPPSIHVDEFMARQRERQNAMPVTIGDLSQVKDASHARISSLDNPDKLEKSRQLKTELDDDLQEIDIVFDEEPETDDRLPFLQPDDNLQPAHAVVGEGSPGSVVEESEGDTKQTVHLSHAGTPTSEREVPHSEMPLRRSASRTEILMTREPSPSQKKFGQSGMDRIVLQEQSDESKYASPIVGSKGFDMLSSANTFLPPHLSNVTSASSSQSFAPPNYYQRGSPQKGSNGLLGVGFQGYPEQKLPVNQPPLPPMPPPSRPTVPAQTSEAVQSHSSPYVHTVRDIQPPLPSGFPLQVVSISGINTAIGLHHTENAPSVGNNSVAALTASQPTMDSKYPWNMPSSGSKLQAENFSSTTSIRPMPPLPPLPPPFSSPMAQSSTANSSPQTSFFGQNVTGGSQPPSHSTALDSSLANFPPGGGVASFSLPQFSPSLMISRPSLSGAVFNSVTQQHAQNSSSLSQTTPNHQTNIQLLQPRPPPPPPPQLPRPPHPPQHSLPPIQVSQQQSEQAIPLQNTYQVQMQSMQIPQQLQIPQLQFYYQHQQQEPLIQTMQPLLEQTQPPVVQSDSGAQQQMDPGMTLQQYFSSPEAIQSLLSDRDKLCQLLEQHPKLMQMLQERLGQQ >DRNTG_12384.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6559657:6573116:-1 gene:DRNTG_12384 transcript:DRNTG_12384.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLERLSSSYDHLVDEGAECNSTSDLLLERSHEQSIIDLLIPSLILLMNLLKKLKEAKEQHRNKKLLNALLQLHREVSPRLAASSADLSFPCSSSALGFSAVCHLLASTLACWPVFGWTPGLFHRLLESVQATSILALGPKDACSLLCLLGDLLPMEDIWQWRNEMPRLSVLRALSVGTILGPRAEQCVNWYLQPEHLAVLLVRLTSQLERIAELVLQFAFAALVVIQDMLRVFIVRVACQGNEYAITLLRPIMSWLDTHISQTSLSDTDMFKVHKLLNFIASLLEHPCAKVCWSLFADIPNPTDI >DRNTG_12384.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6559657:6572313:-1 gene:DRNTG_12384 transcript:DRNTG_12384.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDTHVVDNLLGKLVSDKYFNGTSLHNNSIIKLTTSFRILAFISENSAVAASLYEEGAVTLVYVVLINCKSMLERLSSSYDHLVDEGAECNSTSDLLLERSHEQSIIDLLIPSLILLMNLLKKLKEAKEQHRNKKLLNALLQLHREVSPRLAASSADLSFPCSSSALGFSAVCHLLASTLACWPVFGWTPGLFHRLLESVQATSILALGPKDACSLLCLLGDLLPMEDIWQWRNEMPRLSVLRALSVGTILGPRAEQCVNWYLQPEHLAVLLVRLTSQLERIAELVLQFAFAALVVIQDMLRVFIVRVACQGNEYAITLLRPIMSWLDTHISQTSLSDTDMFKVHKLLNFIASLLEHPCAKTLLLEVGILQILEKTLKRCGNVSQLDGNVNQEGNFSLKSFSLLSWCQPAFKSLALIFSSGCFHDDVSIDTCSKICFHLLKLCEILPVGRELLACIITLEKLSSCHQGRSVITSVCFQSGSSTEGQEPNENHPNGNVSGLHDLTWSSPFLNCLKKLLQLLDSKDSTSMFDVETVYALAFCATSLLAEGSNSDGLPALKSLFGLPTDLDSEELPYAEKLNELHELIEKLEQRFSDDEYLSSSSGESTLHQVKESLKSILMLLESSANSSSVLEGITLGDGFNSPSDVAKSVTITSKLMQLVPLTSMYDAEALSFSHVWNADNDVSSGSFAEKFVWECPDSPPDRQITPVLPLKRKQSSTEGTGRRLRDNSGSEPVVSIIPSRGLTTPSVSSGPTRRDTFRQRKPNTSRPPSMHVDDYVARERNIDNASSATNAVSTSQRGSGRPPSIHVDEFMARQRERQNAMPVTIGDLSQVKDASHARISSLDNPDKLEKSRQLKTELDDDLQEIDIVFDEEPETDDRLPFLQPDDNLQPAHAVVGEGSPGSVVEESEGDTKQTVHLSHAGTPTSEREVPHSEMPLRRSASRTEILMTREPSPSQKKFGQSGMDRIVLQEQSDESKYASPIVGSKGFDMLSSANTFLPPHLSNVTSASSSQSFAPPNYYQRGSPQKGSNGLLGVGFQGYPEQKLPVNQPPLPPMPPPSRPTVPAQTSEAVQSHSSPYVHTVRDIQPPLPSGFPLQVVSISGINTAIGLHHTENAPSVGNNSVAALTASQPTMDSKYPWNMPSSGSKLQAENFSSTTSIRPMPPLPPLPPPFSSPMAQSSTANSSPQTSFFGQNVTGGSQPPSHSTALDSSLANFPPGGGVASFSLPQFSPSLMISRPSLSGAVFNSVTQQHAQNSSSLSQTTPNHQTNIQLLQPRPPPPPPPQLPRPPHPPQHSLPPIQVSQQQSEQAIPLQNTYQVQMQSMQIPQQLQIPQLQFYYQHQQQEPLIQTMQPLLEQTQPPVVQSDSGAQQQMDPGMTLQQYFSSPEAIQSLLSDRDKLCQLLEQHPKLMQMLQERLGQQ >DRNTG_12384.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6559657:6569293:-1 gene:DRNTG_12384 transcript:DRNTG_12384.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIWQWRNEMPRLSVLRALSVGTILGPRAEQCVNWYLQPEHLAVLLVRLTSQLERIAELVLQFAFAALVVIQDMLRVFIVRVACQGNEYAITLLRPIMSWLDTHISQTSLSDTDMFKVHKLLNFIASLLEHPCAKTLLLEVGILQILEKTLKRCGNVSQLDGNVNQEGNFSLKSFSLLSWCQPAFKSLALIFSSGCFHDDVSIDTCSKICFHLLKLCEILPVGRELLACIITLEKLSSCHQGRSVITSVCFQSGSSTEGQEPNENHPNGNVSGLHDLTWSSPFLNCLKKLLQLLDSKDSTSMFDVETVYALAFCATSLLAEGSNSDGLPALKSLFGLPTDLDSEELPYAEKLNELHELIEKLEQRFSDDEYLSSSSGESTLHQVKESLKSILMLLESSANSSSVLEGITLGDGFNSPSDVAKSVTITSKLMQLVPLTSMYDAEALSFSHVWNADNDVSSGSFAEKFVWECPDSPPDRQITPVLPLKRKQSSTEGTGRRLRDNSGSEPVVSIIPSRGLTTPSVSSGPTRRDTFRQRKPNTSRPPSMHVDDYVARERNIDNASSATNAVSTSQRGSGRPPSIHVDEFMARQRERQNAMPVTIGDLSQVKDASHARISSLDNPDKLEKSRQLKTELDDDLQEIDIVFDEEPETDDRLPFLQPDDNLQPAHAVVGEGSPGSVVEESEGDTKQTVHLSHAGTPTSEREVPHSEMPLRRSASRTEILMTREPSPSQKKFGQSGMDRIVLQEQSDESKYASPIVGSKGFDMLSSANTFLPPHLSNVTSASSSQSFAPPNYYQRGSPQKGSNGLLGVGFQGYPEQKLPVNQPPLPPMPPPSRPTVPAQTSEAVQSHSSPYVHTVRDIQPPLPSGFPLQVVSISGINTAIGLHHTENAPSVGNNSVAALTASQPTMDSKYPWNMPSSGSKLQAENFSSTTSIRPMPPLPPLPPPFSSPMAQSSTANSSPQTSFFGQNVTGGSQPPSHSTALDSSLANFPPGGGVASFSLPQFSPSLMISRPSLSGAVFNSVTQQHAQNSSSLSQTTPNHQTNIQLLQPRPPPPPPPQLPRPPHPPQHSLPPIQVSQQQSEQAIPLQNTYQVQMQSMQIPQQLQIPQLQFYYQHQQQEPLIQTMQPLLEQTQPPVVQSDSGAQQQMDPGMTLQQYFSSPEAIQSLLSDRDKLCQLLEQHPKLMQMLQERLGQQ >DRNTG_12384.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6559657:6573116:-1 gene:DRNTG_12384 transcript:DRNTG_12384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDTHVVDNLLGKLVSDKYFNGTSLHNNSIIKLTTSFRILAFISENSAVAASLYEEGAVTLVYVVLINCKSMLERLSSSYDHLVDEGAECNSTSDLLLERSHEQSIIDLLIPSLILLMNLLKKLKEAKEQHRNKKLLNALLQLHREVSPRLAASSADLSFPCSSSALGFSAVCHLLASTLACWPVFGWTPGLFHRLLESVQATSILALGPKDACSLLCLLGDLLPMEDIWQWRNEMPRLSVLRALSVGTILGPRAEQCVNWYLQPEHLAVLLVRLTSQLERIAELVLQFAFAALVVIQDMLRVFIVRVACQGNEYAITLLRPIMSWLDTHISQTSLSDTDMFKVHKLLNFIASLLEHPCAKTLLLEVGILQILEKTLKRCGNVSQLDGNVNQEGNFSLKSFSLLSWCQPAFKSLALIFSSGCFHDDVSIDTCSKICFHLLKLCEILPVGRELLACIITLEKLSSCHQGRSVITSVCFQSGSSTEGQEPNENHPNGNVSGLHDLTWSSPFLNCLKKLLQLLDSKDSTSMFDVETVYALAFCATSLLAEGSNSDGLPALKSLFGLPTDLDSEELPYAEKLNELHELIEKLEQRFSDDEYLSSSSGESTLHQVKESLKSILMLLESSANSSSVLEGITLGDGFNSPSDVAKSVTITSKLMQLVPLTSMYDAEALSFSHVWNADNDVSSGSFAEKFVWECPDSPPDRQITPVLPLKRKQSSTEGTGRRLRDNSGSEPVVSIIPSRGLTTPSVSSGPTRRDTFRQRKPNTSRPPSMHVDDYVARERNIDNASSATNAVSTSQRGSGRPPSIHVDEFMARQRERQNAMPVTIGDLSQVKDASHARISSLDNPDKLEKSRQLKTELDDDLQEIDIVFDEEPETDDRLPFLQPDDNLQPAHAVVGEGSPGSVVEESEGDTKQTVHLSHAGTPTSEREVPHSEMPLRRSASRTEILMTREPSPSQKKFGQSGMDRIVLQEQSDESKYASPIVGSKGFDMLSSANTFLPPHLSNVTSASSSQSFAPPNYYQRGSPQKGSNGLLGVGFQGYPEQKLPVNQPPLPPMPPPSRPTVPAQTSEAVQSHSSPYVHTVRDIQPPLPSGFPLQVVSISGINTAIGLHHTENAPSVGNNSVAALTASQPTMDSKYPWNMPSSGSKLQAENFSSTTSIRPMPPLPPLPPPFSSPMAQSSTANSSPQTSFFGQNVTGGSQPPSHSTALDSSLANFPPGGGVASFSLPQFSPSLMISRPSLSGAVFNSVTQQHAQNSSSLSQTTPNHQTNIQLLQPRPPPPPPPQLPRPPHPPQHSLPPIQVSQQQSEQAIPLQNTYQVQMQSMQIPQQLQIPQLQFYYQHQQQEPLIQTMQPLLEQTQPPVVQSDSGAQQQMDPGMTLQQYFSSPEAIQSLLSDRDKLCQLLEQHPKLMQMLQERLGQQ >DRNTG_12384.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6559657:6572441:-1 gene:DRNTG_12384 transcript:DRNTG_12384.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDTHVVDNLLGKLVSDKYFNGTSLHNNSIIKLTTSFRILAFISENSAVAASLYEEGAVTLVYVVLINCKSMLERLSSSYDHLVDEGAECNSTSDLLLERSHEQSIIDLLIPSLILLMNLLKKLKEAKEQHRNKKLLNALLQLHREVSPRLAASSADLSFPCSSSALGFSAVCHLLASTLACWPVFGWTPGLFHRLLESVQATSILALGPKDACSLLCLLGDLLPMEDIWQWRNEMPRLSVLRALSVGTILGPRAEQCVNWYLQPEHLAVLLVRLTSQLERIAELVLQFAFAALVVIQDMLRVFIVRVACQGNEYAITLLRPIMSWLDTHISQTSLSDTDMFKVHKLLNFIASLLEHPCAKTLLLEVGILQILEKTLKRCGNVSQLDGNVNQEGNFSLKSFSLLSWCQPAFKSLALIFSSGCFHDDVSIDTCSKICFHLLKLCEILPVGRELLACIITLEKLSSCHQGRSVITSVCFQSGSSTEGQEPNENHPNGNVSGLHDLTWSSPFLNCLKKLLQLLDSKDSTSMFDVETVYALAFCATSLLAEGSNSDGLPALKSLFGLPTDLDSEELPYAEKLNELHELIEKLEQRFSDDEYLSSSSGESTLHQVKESLKSILMLLESSANSSSVLEGITLGDGFNSPSDVAKSVTITSKLMQLVPLTSMYDAEALSFSHVWNADNDVSSGSFAEKFVWECPDSPPDRQITPVLPLKRKQSSTEGTGRRLRDNSGSEPVVSIIPSRGLTTPSVSSGPTRRDTFRQRKPNTSRPPSMHVDDYVARERNIDNASSATNAVSTSQRGSGRPPSIHVDEFMARQRERQNAMPVTIGDLSQVKDASHARISSLDNPDKLEKSRQLKTELDDDLQEIDIVFDEEPETDDRLPFLQPDDNLQPAHAVVGEGSPGSVVEESEGDTKQTVHLSHAGTPTSEREVPHSEMPLRRSASRTEILMTREPSPSQKKFGQSGMDRIVLQEQSDESKYASPIVGSKGFDMLSSANTFLPPHLSNVTSASSSQSFAPPNYYQRGSPQKGSNGLLGVGFQGYPEQKLPVNQPPLPPMPPPSRPTVPAQTSEAVQSHSSPYVHTVRDIQPPLPSGFPLQVVSISGINTAIGLHHTENAPSVGNNSVAALTASQPTMDSKYPWNMPSSGSKLQAENFSSTTSIRPMPPLPPLPPPFSSPMAQSSTANSSPQTSFFGQNVTGGSQPPSHSTALDSSLANFPPGGGVASFSLPQFSPSLMISRPSLSGAVFNSVTQQHAQNSSSLSQTTPNHQTNIQLLQPRPPPPPPPQLPRPPHPPQHSLPPIQVSQQQSEQAIPLQNTYQVQMQSMQIPQQLQIPQLQFYYQHQQQEPLIQTMQPLLEQTQPPVVQSDSGAQQQMDPGMTLQQYFSSPEAIQSLLSDRDKLCQLLEQHPKLMQMLQERLGQQ >DRNTG_12384.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6559657:6569440:-1 gene:DRNTG_12384 transcript:DRNTG_12384.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIWQWRNEMPRLSVLRALSVGTILGPRAEQCVNWYLQPEHLAVLLVRLTSQLERIAELVLQFAFAALVVIQDMLRVFIVRVACQGNEYAITLLRPIMSWLDTHISQTSLSDTDMFKVHKLLNFIASLLEHPCAKTLLLEVGILQILEKTLKRCGNVSQLDGNVNQEGNFSLKSFSLLSWCQPAFKSLALIFSSGCFHDDVSIDTCSKICFHLLKLCEILPVGRELLACIITLEKLSSCHQGRSVITSVCFQSGSSTEGQEPNENHPNGNVSGLHDLTWSSPFLNCLKKLLQLLDSKDSTSMFDVETVYALAFCATSLLAEGSNSDGLPALKSLFGLPTDLDSEELPYAEKLNELHELIEKLEQRFSDDEYLSSSSGESTLHQVKESLKSILMLLESSANSSSVLEGITLGDGFNSPSDVAKSVTITSKLMQLVPLTSMYDAEALSFSHVWNADNDVSSGSFAEKFVWECPDSPPDRQITPVLPLKRKQSSTEGTGRRLRDNSGSEPVVSIIPSRGLTTPSVSSGPTRRDTFRQRKPNTSRPPSMHVDDYVARERNIDNASSATNAVSTSQRGSGRPPSIHVDEFMARQRERQNAMPVTIGDLSQVKDASHARISSLDNPDKLEKSRQLKTELDDDLQEIDIVFDEEPETDDRLPFLQPDDNLQPAHAVVGEGSPGSVVEESEGDTKQTVHLSHAGTPTSEREVPHSEMPLRRSASRTEILMTREPSPSQKKFGQSGMDRIVLQEQSDESKYASPIVGSKGFDMLSSANTFLPPHLSNVTSASSSQSFAPPNYYQRGSPQKGSNGLLGVGFQGYPEQKLPVNQPPLPPMPPPSRPTVPAQTSEAVQSHSSPYVHTVRDIQPPLPSGFPLQVVSISGINTAIGLHHTENAPSVGNNSVAALTASQPTMDSKYPWNMPSSGSKLQAENFSSTTSIRPMPPLPPLPPPFSSPMAQSSTANSSPQTSFFGQNVTGGSQPPSHSTALDSSLANFPPGGGVASFSLPQFSPSLMISRPSLSGAVFNSVTQQHAQNSSSLSQTTPNHQTNIQLLQPRPPPPPPPQLPRPPHPPQHSLPPIQVSQQQSEQAIPLQNTYQVQMQSMQIPQQLQIPQLQFYYQHQQQEPLIQTMQPLLEQTQPPVVQSDSGAQQQMDPGMTLQQYFSSPEAIQSLLSDRDKLCQLLEQHPKLMQMLQERLGQQ >DRNTG_23231.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21743167:21744088:1 gene:DRNTG_23231 transcript:DRNTG_23231.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWNYQRQEIEHATDFKNHQLPLARIKKIMKADEDVRMISAEAPILFAKACELFILELTIRSWLHAEENKRRTLQKNDIAAAITRTDIFDFLVDIVPRDEIKEDGGGLGMGGAAVSGGPGGAAAAAGVPYYYPPPAGMMMGQPAMAGIDPGMFVQRPWQQMWQPGMTDEGPGFAGGPPPGLDGQGYGGGGGGGGGGAQ >DRNTG_23231.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21742834:21743832:1 gene:DRNTG_23231 transcript:DRNTG_23231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQHEQQQQQQQQQQQQTVQNQHQQHQVHPFPQTPFHHLLQQQHQQLQMFWNYQRQEIEHATDFKNHQLPLARIKKIMKADEDVRMISAEAPILFAKACELFILELTIRSWLHAEENKRRTLQKNDIAAAITRTDIFDFLVDIVPRDEIKEDGGGLGMGGAAVSGGPGGAAAAAGVPYYYPPPAGMMMGQPAMAGIDPGMFVQRPWQQMWQPGMTDEGPGF >DRNTG_18076.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:244070:245694:1 gene:DRNTG_18076 transcript:DRNTG_18076.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINMIGEKQPSPASITAKLDRTLHAIGFQYELVSPERIVGRLLVTETCCQPFDVLSGGVSALMAESMASLGAYVSSGFKRVAGVQLATNHLRAVLLADVVEAEARPIQAGKTIQVYIYIYTHIYTI >DRNTG_18076.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:244070:245694:1 gene:DRNTG_18076 transcript:DRNTG_18076.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINMIGEKQPSPASITAKLDRTLHAIGFQYELVSPERIVGRLLVTETCCQPFDVLSGGVSALMAESMASLGAYVSSGFKRVAGVQLATNHLRAVLLADVVEAEARPIQAGKTIQVWEVHIWKIEPTTAVKKVLVSTSRVTLLCNRQAPEDLKGYEQTVKSFAKL >DRNTG_22201.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1418798:1421727:1 gene:DRNTG_22201 transcript:DRNTG_22201.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGMMFETEESSKRRYMGTIVGISDYDPLRWPNSRWRNLQVEWDEHGYGERPNRVSLWEIETPESLFVVPATTPYLKRQCLPGFIVPGLQIGSLNSKPLLQVPENRNAQVKPLFYSNDPVQLLKVLQQTHTSNQNKHLVSEQTMYASIFQSIRGTADISKTVSWASQPISSISNEVPHGMIVTKSVIEKNEQNMLLENEKLLPSIVQQSDELSINKSSSEREFQLQSEDTDLENHNGGNSVQESLVTNMLVNKCFEMEGDSSHLLFQQLEPIVSHSSVMETSESLDKEGYSTSHYLNEENWFSNLSETTSSLSGDLCTIINPENLDSFDICLPAFMQEFFSPPDLIPLADDDLVVHRTETNSSTENYAQSENLENQNSPACTEKQGIGSCVSSSITGNCSGFNGSTLQCPPDSLIDNRNSNQDFQSQVKSLQDIPESSRGTSSASDSCVNRCTRKSISQQPLRTYTKVQKAGSVGRSIDITQFRNYQELKSAIACMFGLEGQFDDPNGSEWKLVYVDYENDILLVGDDPWEEFINCVRCIKILSLLEVQQMSKEGMNLINTSNVNQVNRNPYWEDGSDDLDFPSNMAS >DRNTG_22201.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1416881:1421727:1 gene:DRNTG_22201 transcript:DRNTG_22201.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRVHNVTLHACGDNDEVYAQITLQPVNSEMDMFSIPETGHTRSKQPSEFLCKNLTASDTSTHGGFSMPRRAAEKLFPPLDYSMQPPNQELIVRDIHDNLWTFRHIYRGQPKRHLLTTGWSSFVSAKHLKAGDAVLFIRDEKSQLLLGVRRASRQLTTMPSSVLSADSMHIGVLAAAAHAAASCSPFTVYYNPRACPSEFIVPLDKYNKLAYTPVSIGMRFGMMFETEESSKRRYMGTIVGISDYDPLRWPNSRWRNLQVEWDEHGYGERPNRVSLWEIETPESLFVVPATTPYLKRQCLPGFIVPGLQIGSLNSKPLLQVPENRNAQVKPLFYSNDPVQLLKVLQQTHTSNQNKHLVSEQTMYASIFQSIRGTADISKTVSWASQPISSISNEVPHGMIVTKSVIEKNEQNMLLENEKLLPSIVQQSDELSINKSSSEREFQLQSEDTDLENHNGGNSVQESLVTNMLVNKCFEMEGDSSHLLFQQLEPIVSHSSVMETSESLDKEGYSTSHYLNEENWFSNLSETTSSLSGDLCTIINPENLDSFDICLPAFMQEFFSPPDLIPLADDDLVVHRTETNSSTENYAQSENLENQNSPACTEKQGIGSCVSSSITGNCSGFNGSTLQCPPDSLIDNRNSNQDFQSQVKSLQDIPESSRGTSSASDSCVNRCTRKSISQQPLRTYTKVQKAGSVGRSIDITQFRNYQELKSAIACMFGLEGQFDDPNGSEWKLVYVDYENDILLVGDDPWEEFINCVRCIKILSLLEVQQMSKEGMNLINTSNVNQVNRNPYWEDGSDDLDFPSNMAS >DRNTG_22201.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1419337:1421727:1 gene:DRNTG_22201 transcript:DRNTG_22201.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYASIFQSIRGTADISKTVSWASQPISSISNEVPHGMIVTKSVIEKNEQNMLLENEKLLPSIVQQSDELSINKSSSEREFQLQSEDTDLENHNGGNSVQESLVTNMLVNKCFEMEGDSSHLLFQQLEPIVSHSSVMETSESLDKEGYSTSHYLNEENWFSNLSETTSSLSGDLCTIINPENLDSFDICLPAFMQEFFSPPDLIPLADDDLVVHRTETNSSTENYAQSENLENQNSPACTEKQGIGSCVSSSITGNCSGFNGSTLQCPPDSLIDNRNSNQDFQSQVKSLQDIPESSRGTSSASDSCVNRCTRKSISQQPLRTYTKVQKAGSVGRSIDITQFRNYQELKSAIACMFGLEGQFDDPNGSEWKLVYVDYENDILLVGDDPWEEFINCVRCIKILSLLEVQQMSKEGMNLINTSNVNQVNRNPYWEDGSDDLDFPSNMAS >DRNTG_22201.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1416881:1418730:1 gene:DRNTG_22201 transcript:DRNTG_22201.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCRVHNVTLHACGDNDEVYAQITLQPVNSEMDMFSIPETGHTRSKQPSEFLCKNLTASDTSTHGGFSMPRRAAEKLFPPLDYSMQPPNQELIVRDIHDNLWTFRHIYRGQPKRHLLTTGWSSFVSAKHLKAGDAVLFIRDEKSQLLLGVRRASRQLTTMPSSVLSADSMHIGVLAAAAHAAASCSPFTVYYNPR >DRNTG_22201.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1416196:1421727:1 gene:DRNTG_22201 transcript:DRNTG_22201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSAEIQNNAVVRKVINSELWHACAGPLVSLPQPGSLVYYFPQGHSEQVTVSTKRTLNSQIPNYPTLSSQLMCRVHNVTLHACGDNDEVYAQITLQPVNSEMDMFSIPETGHTRSKQPSEFLCKNLTASDTSTHGGFSMPRRAAEKLFPPLDYSMQPPNQELIVRDIHDNLWTFRHIYRGQPKRHLLTTGWSSFVSAKHLKAGDAVLFIRDEKSQLLLGVRRASRQLTTMPSSVLSADSMHIGVLAAAAHAAASCSPFTVYYNPRACPSEFIVPLDKYNKLAYTPVSIGMRFGMMFETEESSKRRYMGTIVGISDYDPLRWPNSRWRNLQVEWDEHGYGERPNRVSLWEIETPESLFVVPATTPYLKRQCLPGFIVPGLQIGSLNSKPLLQVPENRNAQVKPLFYSNDPVQLLKVLQQTHTSNQNKHLVSEQTMYASIFQSIRGTADISKTVSWASQPISSISNEVPHGMIVTKSVIEKNEQNMLLENEKLLPSIVQQSDELSINKSSSEREFQLQSEDTDLENHNGGNSVQESLVTNMLVNKCFEMEGDSSHLLFQQLEPIVSHSSVMETSESLDKEGYSTSHYLNEENWFSNLSETTSSLSGDLCTIINPENLDSFDICLPAFMQEFFSPPDLIPLADDDLVVHRTETNSSTENYAQSENLENQNSPACTEKQGIGSCVSSSITGNCSGFNGSTLQCPPDSLIDNRNSNQDFQSQVKSLQDIPESSRGTSSASDSCVNRCTRKSISQQPLRTYTKVQKAGSVGRSIDITQFRNYQELKSAIACMFGLEGQFDDPNGSEWKLVYVDYENDILLVGDDPWEEFINCVRCIKILSLLEVQQMSKEGMNLINTSNVNQVNRNPYWEDGSDDLDFPSNMAS >DRNTG_15253.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4332324:4336526:1 gene:DRNTG_15253 transcript:DRNTG_15253.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKGKESKKHQCAADDFTNLLLSIKGITASSTWSECLPLFEDSQQYKSLGEETVGKEVFEDHVMYLQEKANEKEQKRDDEKPKKEKEREDKESRKERKDKAREREREKGKSRSRRAEDNEKLDVVDSHTLKDKKKDKHRKHRKRHHADTDELSSEKDESKKSHKHGSDRKKFHKVV >DRNTG_15253.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4332324:4336526:1 gene:DRNTG_15253 transcript:DRNTG_15253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKGKESKKHQCAADDFTNLLLSIKGITASSTWSECLPLFEDSQQYKSLGEETVGKEVFEDHVMYLQEKANEKEQKRDDEKPKKEKEREDKESRKERKDKAREREREKGKSRSRRAEDNEKLDVVDSHTLKDKKKDKHRKHRKRHHADTDELSSEKDESKKSHKHGSDRKKFHKHEHYSSNSDTEIKYKKQKRERDGHRRNGALEELEDGELGEDGEIH >DRNTG_25063.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17215986:17216702:-1 gene:DRNTG_25063 transcript:DRNTG_25063.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGRLLDVVHQAETLGISGVRNPQVSVLWGAIKHIRQESKGISFLHRSGQSNVPLDVQQAISRSGMSKVSLYTPVGQKMMGDGGRHWAGSFSSEFPIQIEAPIKKTLRRLRDRGLISRRRPSLIHVTPLTNISDRDIVNRSAGIAISPMSYYRCRDNLCQVRTIVDHQIRWSAIFTTAHKHKSSVLNIIPKYPKHSNSNIVNQEGSKTLAEFPNNIEHGKLRPGQDPNKDKELNYI >DRNTG_28182.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20745859:20750611:1 gene:DRNTG_28182 transcript:DRNTG_28182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYCSTFAKPFQWNDNQSHENLGLEDYKRMVSGHEAVGKLSSLPRQFSPPVSDLTVLTREEDDEKPKSVVLNRKVKDVRKVGLEVTPQFVRNWVPRKEPLYKELYEASARKYDGKLSSLELEVKITESKLSGIRFSRPEKKPTKDLQEVFAPITDEEDSLVYDALHCGSGNEIIVTHEGANITITREILQCLSSGAWLNDEVINVYFELLKERERREPKKFLTCHFFNTFFYKKLISGRNGYDYKAVRRWTSQRKIGYSLVECDKIFVPIHKEVHWCLAVINVKDEKLQYLDSLGGMDINVLRVLAKYFMDEAKDKSDKQVDTTSWTQEMVESLPQQQNGSDCGMFMLKYADFYSRGLDLCFRQGHMDYFRKRTALEILRLKAN >DRNTG_34787.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15816340:15825816:-1 gene:DRNTG_34787 transcript:DRNTG_34787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWIRWPHISFCGFPTSLFLACSPGFDHVSRTISVHMAQDDSTYRGRNGIGCFHVSP >DRNTG_34787.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15822344:15825816:-1 gene:DRNTG_34787 transcript:DRNTG_34787.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWIRWPHISFCGFPTSLFLACSPGFDHVSRTISVHMAQDDSTYRGRNGIGCFHVSP >DRNTG_32344.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3376634:3377944:1 gene:DRNTG_32344 transcript:DRNTG_32344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPYIKLSLCLTPSAPWNTAPKHHLPSGSSSSL >DRNTG_32344.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3376634:3377312:1 gene:DRNTG_32344 transcript:DRNTG_32344.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPYIKLSLCLTPSAPWNTAPKHHLPSGSSSSL >DRNTG_02736.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19805399:19806892:1 gene:DRNTG_02736 transcript:DRNTG_02736.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGSRVASEFNHINKLDLVCRAHQLIQEGLKYMFQDKGLVTVWSAPNHCYRCGNVASILTFNENMEREVKFFTETQENNQMRGPRTGVP >DRNTG_07621.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000341.1:7897:9954:-1 gene:DRNTG_07621 transcript:DRNTG_07621.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDKEKGEEKKSSKFSRICVFCGSSSGKKVSYQDAAVELGKELVERGIDLVYGGGSIGLMGLVSQAVHHGGRHVLGVIPRSLMSREVTGETVGEVRAVSDMHERKAVMASQADAFIALPG >DRNTG_07621.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000341.1:7522:9954:-1 gene:DRNTG_07621 transcript:DRNTG_07621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDKEKGEEKKSSKFSRICVFCGSSSGKKVSYQDAAVELGKELVERGIDLVYGGGSIGLMGLVSQAVHHGGRHVLGVIPRSLMSREVTGETVGEVRAVSDMHERKAVMASQADAFIALPGGYGTLEELLEVITWAQLGIHNKP >DRNTG_22582.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17405211:17408297:1 gene:DRNTG_22582 transcript:DRNTG_22582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGMGTVVLLLLLFVYFLQVGGGLGLEQGHQTERISGSAGDVLEDDPVGRLKVFVYELPSKYNKKILQKDPRCLTHMFAAEIFMHRFLLSSPVRTLNPEEADWFYTPVYTTCDLTPNGLPLPFKSPRMMRSAIQLISSNWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGILHMLKRATLVQTFGQRNHVCLKEGSITIPPYAPPQKMQAHLIPPDTPRSIFVYFRGLFYDVGNDPEGGYYARGARASVWENFKDNPLFDISTEHPTTYYEDMQRAVFCLCPLGWAPWSPRLVEGVIFGCIPVIIADDIVLPFADAIPWEEIGVFVAEKDVPKLDTILTSIAPEVILRKQRLLANPSMKQAMLFPQPAQAGDAFHQILNGLARKLPHDKSIYLKPGQKVLNWTAGPVGDLKPW >DRNTG_12683.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13738010:13740249:-1 gene:DRNTG_12683 transcript:DRNTG_12683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLEKHLGRFVQSANTRFESVEATLRNHTASLHNLENQVGQIAKSFSERPHGSLPSNTETNPREHVKEITLRSGCAVEGRLPSEKG >DRNTG_11339.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23684805:23688690:1 gene:DRNTG_11339 transcript:DRNTG_11339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKDLKAESKEEESGGTVIRVMEKCEDILRVDVQDKKQKCGMVTDGIKVFENLNEKKLDGEKAKGIQVFDKYPSKLHEKLALLEGKVQKIASEIKRTKEMLDLNKQDESKLILSDIQTKITGLEKAVGQVMDGATQGEASSTKLNLGDVLQIKHVVNAAESKHSSKGLNHEQLEARFFPHHKLLRTRTSLSSAGEEDGGTNPISLNNSSSKTEGGSVSPIDENPIAIEFLASLTGDRQSDSSTVRELAMEKTDSSSVQQVSEKVISVYKNEDSSLMANEKLDEFDEQENKPVMILQEETEESSTNELFEIGCKTSTGGWFVSEGEAVLLAHDDGSCSYYDIAN >DRNTG_22228.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001233.1:35000:38100:-1 gene:DRNTG_22228 transcript:DRNTG_22228.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFPKVSWEDVGGQAEVKKQLIEAIQWPQICPDAFRRIGIRPPRGLLMIGPPGCSKTLMARAAASEAKLNFLAVKGPELFSKWVGESEKAVKSLFAKARANAPAIIFFDEIDGLAITRGQDGDGTSVADRVLSQLLVEMDGLDQRVAVTVIAATNRPDKIDHALLRPGRFDRLLDVQPPNEADRKDIFCIHMRSMPCSSDVCKEELARLTEGYTGADIKLICREAAIAALEESLEAVEVSTAHFKIGIGRVQPSNIQFYQELAAQFRRLVDSKANRDE >DRNTG_22228.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001233.1:34131:38100:-1 gene:DRNTG_22228 transcript:DRNTG_22228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFPKVSWEDVGGQAEVKKQLIEAIQWPQICPDAFRRIGIRPPRGLLMIGPPGCSKTLMARAAASEAKLNFLAVKGPELFSKWVGESEKAVKSLFAKARANAPAIIFFDEIDGLAITRGQDGDGTSVADRVLSQLLVEMDGLDQRVAVTVIAATNRPDKIDHALLRPGRFDRLLDVQPPNEADRKDIFCIHMRSMPCSSDVCKEELARLTEGYTGADIKLICREAAIAALEESLEAVEVSTAHFKIGIGRVQPSNIQFYQELAAQFRRLVDSKANRDE >DRNTG_22228.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001233.1:34352:38100:-1 gene:DRNTG_22228 transcript:DRNTG_22228.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFPKVSWEDVGGQAEVKKQLIEAIQWPQICPDAFRRIGIRPPRGLLMIGPPGCSKTLMARAAASEAKLNFLAVKGPELFSKWVGESEKAVKSLFAKARANAPAIIFFDEIDGLAITRGQDGDGTSVADRVLSQLLVEMDGLDQRVAVTVIAATNRPDKIDHALLRPGRFDRLLDVQPPNEADRKDIFCIHMRSMPCSSDVCKEELARLTEGYTGADIKLICREAAIAALEESLEAVEVSTAHFKIGIGRVQPSNIQFYQELAAQFRRLVDSKANRDE >DRNTG_22228.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001233.1:34580:38100:-1 gene:DRNTG_22228 transcript:DRNTG_22228.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFPKVSWEDVGGQAEVKKQLIEAIQWPQICPDAFRRIGIRPPRGLLMIGPPGCSKTLMARAAASEAKLNFLAVKGPELFSKWVGESEKAVKSLFAKARANAPAIIFFDEIDGLAITRGQDGDGTSVADRVLSQLLVEMDGLDQRVAVTVIAATNRPDKIDHALLRPGRFDRLLDVQPPNEADRKDIFCIHMRSMPCSSDVCKEELARLTEGYTGADIKLICREAAIAALEESLEAVEVSTAHFKIGIGRVQPSNIQFYQELAAQFRRLVDSKANRDE >DRNTG_11436.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1082808:1086455:-1 gene:DRNTG_11436 transcript:DRNTG_11436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRVWNALKKMKMKVKDMENFEKKKKKKKNENQGIELMNTKKLINRYQSRRERKIALQQDVDKLKRKLKHEENVHRALERAFTRPLGALPRLPPYLPSHTLELLAEVAVLEEEVVRLEEQVVNFRQGLYQEAIYISSSKKTMENASDFCVDHYPFQPNSCTAEHSSEPTISSASMRWSADMDLNTKRPVKKLSASPVSAEDRRGKENQSNSTNSTKNVKQSQVKNVSRIRTPVSMERCSKPQPECTGTNEEKLEDSGPNKLSEDILKCLMSIFSRMSSQMSVSMDIEMAPSISGSSDSSEETDFRDPYGICKEFGKRDIGPYKHLRSIEVNSIDWNLVKGSSFLTRRLKILLKRLASVDLAGLTHQQKLAFWINIYNSCMMNAFLEQGMPASPQMVVELMPKAMINVGGYLVSAMTIEHFILRLPYHSKYTNAKGLKTDEVTTRGIFGLDWPEPLVTFALSYGSLSSPAVRVYTASQVEKELEKAKRDYLQAAVGISMKFKFGIPKLLHWYLLDFAKDAEGLMDWICLQLPSELRNNAINCIGMRRSDPDAQPMQVLPYDFSFRYLLAS >DRNTG_17898.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17315980:17316376:1 gene:DRNTG_17898 transcript:DRNTG_17898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAITTASLINKERALGRYKKKVLSTLACSLKAPTSVLTIVLSACARTGSVTYAEQVYSLTHKLGLECSVFVASTLCSNMDPSQKVFDKVTLRKPGGTHAE >DRNTG_24738.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2289038:2292517:1 gene:DRNTG_24738 transcript:DRNTG_24738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGRFRLVNGQLEAKSFEIININRDKMKKIGYWTSEHGISGKLDHGTNLKGIIWPGDAITAPNGLDWQSNNKTLRIGVPVMKGFMEFVNREWNPLTNRNGSGFCIEVFDTIMASLPYKIPYEYIPFEDDKGKMNGTYNDLVYQVYLGNFDAVVGDVTITPNRSLYVDFSVAYTELGMAMVVPIKDDRGKSPWIFLKPLTTDLWLASGAFFVFTGFAIWVLEHRINEGFRGPALHQLGTIFYFSFSTLVFAHSFVLFLVFFLPLLISICVHSCVSIFE >DRNTG_06537.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29456183:29457382:-1 gene:DRNTG_06537 transcript:DRNTG_06537.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCNSKCSGQQGNKQLLKSKPDNTYL >DRNTG_06537.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29456965:29457382:-1 gene:DRNTG_06537 transcript:DRNTG_06537.2 gene_biotype:protein_coding transcript_biotype:protein_coding ACITHQPRPTPVHSHPFPEEQEGNNNNKFRD >DRNTG_06952.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:803502:803910:1 gene:DRNTG_06952 transcript:DRNTG_06952.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIGALRRASCQSATSRKQEAPLLLGLAFARHISTGFREERDTFGPILVPSDKLWGAQTQRSLQNFEIGGERERMPEQIIRAFGILKKCAAK >DRNTG_24309.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10907239:10912126:-1 gene:DRNTG_24309 transcript:DRNTG_24309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILLHGTLHATIFEATSLSDPGRSSGGHPRFLRQLVEGIEQTIGLGKGAGKVYATIDLEKARVGRTRLIGDEPVNPRWYESFHIYCAHSAASVIFTVKFDNAIGASLVGRAYLPVEEILDGEEVDKWLDICDKENNPVGEAKIHVKLQYFDVSKDRNWARGIRSPKFPGVPYTFFSQRQGCKVSFYQDAHVPDNFIPKIPLADGKYYEPHRCWEDIFDAITNAQHFIYITGWSVYTEITLIRDSKRQKPGGDVTLGELLKKKASEGVRVLMLVWDDRTSVGLLKKDGLMATHDEETAKFFEGTDVHCVLCPRNPDDGGSIVQDLEISTMFTHHQKIVVVDHEMPNKGSQQRRVVSFVGGIDLCDGRYDTQFHSLFRTLDTAHHDDFHQPNFAGSSIKKGGPREPWHDIHSCLEGPIAWDVLFNFEQRWRKQGGKDLLLELRDLSDIIIPPSPVMFPEDRETWNIQLFRSIDGGAAFGFPDTPEDAARAGLVSGKDNIIDRSIQDGYINAIRRAKNFIYIENQYFLGSSFGWKADDIKPEDIGALHLIPKELSLKIVSKIECGERFTVYIVVPMWPEGVPESGSVQAILDWQRRTLEMMYTDIIEALQAKGIKADPRDYLTFFCLGNREVKKSGEYTPEEQPEPDTDYSRAQEARRFMIYVHTKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPNHLSNREPAKGQIHGFRMALWYEHLGMLDDVFLQPESVECVHKVNKIADKYWDLYSSETLENDLPGHLLRYPIAVTSDGVVTELPGNECFPDTKARVLGTKTDYLPPILTT >DRNTG_28049.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3281557:3287219:-1 gene:DRNTG_28049 transcript:DRNTG_28049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVQMPQQQVAPVTAPAEAAVAASVVSNQPLATALYVGDLEMNVLEADLYDLFSQIGPVVSVHVCRDASTRMSLGYAFVNFSNPVDATRALEILNYTPINNKPIRIMYSNRDPSGRRSGAANIFIKNLDKSIDNKTLFDTFSVFGNILTCKVATDSSGQSKGYGFVQYEEEEAAQNAIERLNGMLLNDKKVYVGPFLRKQEREGVVDNAKFNNVFVKNLSEYTTEDDLKMIFGQYGEITSAVVMKEGMENPSAFGFVNF >DRNTG_18528.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3443033:3444537:-1 gene:DRNTG_18528 transcript:DRNTG_18528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGIKKGPWTPEEDIILVSYIQDHGPGNWRSVPINTGLMRCSKSCRLRWTNYLRPGIKRGNFTPHEEGMIIHLQSLLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLKKYHQTTENFGNCHDLMTTSNSSIFPTYSQTSSTYASSTENISRLLEGWMRTSSSSSSPKHIAAATMDQNNMINSGSYVPASHGVFESMLSFDNLSSIAWEKSSAESAIFNGDSEGKERVESHNNPPLSMLEKWLLDEATGQAEEDLMELSGGDQCSDSVIF >DRNTG_26021.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23188713:23189310:-1 gene:DRNTG_26021 transcript:DRNTG_26021.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIDHKMITVLALFLLSNAFVLMTQSQPLSITDENQQENTTGTIRTYIVHVEDPVGNKELLKDQDFESWHKSFLPNTTLDSGEPRLIHSYRYVMGGFAAKLTHEEVKAMESMEGFLYAWPEQQLAGATTRSPDFLGLSEWQGLWRTSSYGTGMIIGVVDTGITPNHPSFTDDGSLPAPPLKWRGFCTLQQCNNK >DRNTG_26021.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23186730:23189310:-1 gene:DRNTG_26021 transcript:DRNTG_26021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIDHKMITVLALFLLSNAFVLMTQSQPLSITDENQQENTTGTIRTYIVHVEDPVGNKELLKDQDFESWHKSFLPNTTLDSGEPRLIHSYRYVMGGFAAKLTHEEVKAMESMEGFLYAWPEQQLAGATTRSPDFLGLSEWQGLWRTSSYGTGMIIGVVDTGITPNHPSFTDDGSLPAPPLKWRGFCTLQQCNNKVIGAVAFQGRTNPSPEDDNGHGTHVSGTAAGNPVYNAGLLGQARGRAVGMAPKAHIATYKVLYGPGGLGDEGDILAGINQAIRDGVDVLQMSLGSRRPIPLDQSSISVGSFGAILNNIFPSACAMNEGPTPSVISNDAPWVLTVGASSMDRKIKVTVRLGNNMELDGESGYQPENYNSQEFPLIFPGSSGIQGAETCEAGSLDSLDVQGKIVICLAGGNSGNTDKGEVVKAANGEAIIIVNPVNYGFTTFSEPHTIPAAHVTNADGDKIGAYLRTWQNPVASLTFKGTQLGTSPAPTVAFFSGRGPSLNNGGVIKPDIIGPGVNILAAWHRQVVQDPTTNIAFNFDSGTSMATPHLSGIVADLRNNHPAWSPAAIKSAIMTTAYTQDANGNRILDDATGRPASFFVMGAGHVNPDRANDPGLVYDMQPIDYVPYLCNMYGSRTTSAFIRQRINCRYWKKETAEQLNYPSIAVSLQAGETKTIERTLTNVGGAETYAVSVNAPNGVNLVANSTSLVFASTGENQGLSLEFTNNGLVSPGEFWEGSLILNSATHTVWSPISVTFV >DRNTG_26345.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18296478:18323837:-1 gene:DRNTG_26345 transcript:DRNTG_26345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVQADSGQSEAGPSNSTWWPLELMENLQSLSLDSQQRAMNVREFGVNVEQTETSPQTASQVLWSTGILCGPIPNGFYSIIPDKKLKELFDTIPTPEDLCSLGMEGLRADIILVDADKDKKLTMVKQLSAALVKGATNRASLIKKIAGLVADFYKRPNPELSPAKAAVEEMAHLMENKGIQLLGQIRNGSCRPRAILFKVLADAVGLESKLMVGIPNDGAIEYTDSCKHMSVVVVLNSVELLVDLMRFPGQLIPFSAKAIFLSHIAAAGESDSAENDSCDSPLEPNSPLCGFSDRVDMESVSYSEPNIANAFWRRSRRKVVAEQERTASSSPEHPLSRARARSMLGGDRQSFREYADGVATSRSDGASTSDIRRIRRRSISITPEISDDIVRAVRMMNETLKQNRLLRDQGEDHLNSYSRMDINNVQGSPKNASSFHASSHQERPNEPSGGHDNSKKHTNSSQKAISLPSSPQEYRNQTPERSGTSDFLSEEDFISTWNKVLQSSSFLNKPLVPFAEWNIDFSELTVGTRVGIGFFGEVFRGLWNGTDVAIKVFLEQDLTTENMEDFCNEISILSHLRHPNVILFLGACMKPPHLSMVTEYMEMGSLYYLIHMSGQKKNLSWRKKLKFIRDICK >DRNTG_28377.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2321800:2325190:1 gene:DRNTG_28377 transcript:DRNTG_28377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTFPQSNLSEAASSALDLDRRVPATVITGFLGSGKTTLLNHILTSQHGKRIAVIENEFGEVDIDSSLVANHSSVPGEDIVMVNNGCLCCTVRGDLVKMLLKLVKTKRDKFDHIIIETTGLAKPSPVIETFCSDELVAENLKLDGVVTMVDCKHAMQHLNEVKPRWVVNEAVEQVAYADRIILNKVDLVTETELDALTKRIRLINGMAQIKQAKFGAVDMDFVLGVGGYDLDRIESAVQVDTDHNATNHCVNGHDHHHHHHHHHHHHHHTGHHHDHVHDSAVSSVSIVSEGTLDLDEVNDWLERLVDEKGEDLYRMKGVLSVNDSTGRFVFQGVHSMLDGCPGKPWEPDEKRISKLVFIGRDLDEAALRKAFNGCLV >DRNTG_09287.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22855696:22856946:1 gene:DRNTG_09287 transcript:DRNTG_09287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPISVIFPYLPIPAHRRRDRARARIAEIFSTIINSRKVSGKSEDDMLQCFIESKYKDGRPTTDGEITGLLIAALFAGQHTSSITSTWTGAYLLRFKQYLAAALDEQKKLMKKHGDKVNHDILAEMDVLYRCIKEALRLHPPLIMLLRQSHADFTVKTKEGTEYDIPKGHIVATSPAFANRLPYIYKDPDTYDPDRFAPGREEDKVAGAFSYISFGGGRHGCLGEPFAYLQIKAIWTHLLRNFEFELVSPFPEIDWNAMVVGVKGQVMVRYKRRKLSVDT >DRNTG_15909.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000789.1:3380:6358:-1 gene:DRNTG_15909 transcript:DRNTG_15909.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT4G14147) UniProtKB/TrEMBL;Acc:F4JUL8] MANSLRSYLACIRNTLDAAMCLQNFPCQEVERHNKPEVELKTSPELLLNPILICRNEAEKCLIETSINSVRVSIKVMMNHFTLQAPNLYFVMFL >DRNTG_29691.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3850280:3852194:-1 gene:DRNTG_29691 transcript:DRNTG_29691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSLQVDSFPVAHKNFSVNIKGNKTNIVICKYDDNFLVLVTQIGSMGTILHAKKEEGMSVEPNFNISVIFGKRDEPLLVACARQLIEHISNSGSAKPLVLSLGLKDHSPGTLKDIISVVTENFIY >DRNTG_27251.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:13219401:13220145:1 gene:DRNTG_27251 transcript:DRNTG_27251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIQCTCFVQAQTEEEVEMLALNFAIHSVVNDGLHVSHLFINNHESYRSVSLMHFTVCNRLNSWIPTINQLLILAGNPHIDIIPKNWVSLAFKLALHGVNLQSLALFYQGHDLPYWVMKCFKALALSFHCNLLLFSFFV >DRNTG_09326.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1031302:1032104:1 gene:DRNTG_09326 transcript:DRNTG_09326.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSCFASPLHHQKSKKATQQPDGATHSYSKVISQDKGLKTSTASVDSKAKIEFKNASSSSAELIT >DRNTG_09326.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1029986:1032104:1 gene:DRNTG_09326 transcript:DRNTG_09326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSCFASPLHHQKSKKATQQPDGATHSYSKVISQDKGLKTSTASVDSKAKIEFKNASSSSAELIT >DRNTG_09326.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1031302:1032104:1 gene:DRNTG_09326 transcript:DRNTG_09326.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSCFASPLHHQKSKKATQQPDGATHSYSKVISQDKGLKTSTASVDSKAKIEFKNASSSSAELIT >DRNTG_09326.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1029986:1032104:1 gene:DRNTG_09326 transcript:DRNTG_09326.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSCFASPLHHQKSKKATQQPDGATHSYSKVISQDKGLKTSTASVDSKAKIEFKNASSSSAELIT >DRNTG_19158.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6233631:6235441:1 gene:DRNTG_19158 transcript:DRNTG_19158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVVLRVTVLVVIFGNTVGEIQCESNDFMSVIASLSQCLNYLNGQSDTPTLPCCTQIAAVVKLNPECLCEVLQNGGGASAEINKNTTRALELPAVCNVTTAPASECNGGGPIGALDAIVIAFVVASMIITFLIICLSCGAT >DRNTG_19158.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6233631:6234037:1 gene:DRNTG_19158 transcript:DRNTG_19158.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVVLRVTVLVVIFGNTVGEIQCESNDFMSVIASLSQCLNYLNGQSDTPTLPCCTQIAAVVKLNPECLCEVLQNGGGASAEINKNTTRALELPAVCNVTTAPASECNGMFISFI >DRNTG_26574.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20205377:20206232:1 gene:DRNTG_26574 transcript:DRNTG_26574.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLMRDLQEAAGKNSSAATAMSPPEMVTVDSDVVVILAALLCALICVVGLALVARCAWIRRSSDPSAAATSASNKGLKKKTLRALPKLSFDPAAAAQAKLAECPICLAEFAEGEEIRILPQCGHGFHVRCVDKWLGSHSSCPSCRQVLVLSSVASPSRCQRCGACSDASAAASVECGAKAHQDTNANRFIP >DRNTG_26574.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20205377:20206198:1 gene:DRNTG_26574 transcript:DRNTG_26574.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLMRDLQEAAGKNSSAATAMSPPEMVTVDSDVVVILAALLCALICVVGLALVARCAWIRRSSDPSAAATSASNKGLKKKTLRALPKLSFDPAAAAQAKLAECPICLAEFAEGEEIRILPQCGHGFHVRCVDKWLGSHSSCPSCRQVLVLSSVASPSRCQRCGACSDASAAASVECGAKAHQDTNANRFIP >DRNTG_26574.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20205377:20206124:1 gene:DRNTG_26574 transcript:DRNTG_26574.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLMRDLQEAAGKNSSAATAMSPPEMVTVDSDVVVILAALLCALICVVGLALVARCAWIRRSSDPSAAATSASNKGLKKKTLRALPKLSFDPAAAAQAKLAECPICLAEFAEGEEIRILPQCGHGFHVRCVDKWLGSHSSCPSCRQVLVLSSVASPSRCQRCGACSDASAAASVECGAKAHQDTNANRFIP >DRNTG_26574.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20205108:20206198:1 gene:DRNTG_26574 transcript:DRNTG_26574.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLMRDLQEAAGKNSSAATAMSPPEMVTVDSDVVVILAALLCALICVVGLALVARCAWIRRSSDPSAAATSASNKGLKKKTLRALPKLSFDPAAAAQAKLAECPICLAEFAEGEEIRILPQCGHGFHVRCVDKWLGSHSSCPSCRQVLVLSSVASPSRCQRCGACSDASAAASVECGAKAHQDTNANRFIP >DRNTG_26574.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20205247:20206198:1 gene:DRNTG_26574 transcript:DRNTG_26574.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLMRDLQEAAGKNSSAATAMSPPEMVTVDSDVVVILAALLCALICVVGLALVARCAWIRRSSDPSAAATSASNKGLKKKTLRALPKLSFDPAAAAQAKLAECPICLAEFAEGEEIRILPQCGHGFHVRCVDKWLGSHSSCPSCRQVLVLSSVASPSRCQRCGACSDASAAASVECGAKAHQDTNANRFIP >DRNTG_26574.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20205247:20206124:1 gene:DRNTG_26574 transcript:DRNTG_26574.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLMRDLQEAAGKNSSAATAMSPPEMVTVDSDVVVILAALLCALICVVGLALVARCAWIRRSSDPSAAATSASNKGLKKKTLRALPKLSFDPAAAAQAKLAECPICLAEFAEGEEIRILPQCGHGFHVRCVDKWLGSHSSCPSCRQVLVLSSVASPSRCQRCGACSDASAAASVECGAKAHQDTNANRFIP >DRNTG_21180.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20618438:20619047:1 gene:DRNTG_21180 transcript:DRNTG_21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRVRNYEKLVQLYGQNRATGVQAETASEMRRRRRNKINSSENTIDEIDLLVSQNTVNLENLGENSNTVVQESEGEDEASPMATNQQSQTQAPSSSRHKKRKEMNNDDDNCDKVGTTIEKVADAILQSTNILVQASATNPTKDYNIWGMLKDLGISHPILRKAYRFLIKDSKLLDGLIRCPIEERKSLLLSWLDCGDDPQTI >DRNTG_34674.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28798355:28804143:1 gene:DRNTG_34674 transcript:DRNTG_34674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAGAMTSSLSPTSGSATVRVEKATSDLLIGPDWTLNMDICDSINSDHWQAKDVVKAVKKRLQHKNPKVQFLALTLLETMIKNCGDFVHLQVVERDILQEMIRIVKKKTDMEVRDKILVLLDSWQEAFGGPGGKYPQYYWAYAELKRSGVAFPPRSADSALIFTPPGGHPISIPGHPQANYGMPNNSSVRLDEAMASEMANLSLSDLDSIRSVMALLSEMLRAVDPNDRGAVKDEVITDLVSQCRSNQKKLMKLVNSTGDEELLGQGLTLNDSLQAVLAKHDAIASGSPLPSEASGHLSGPSTPAAPVPAPAPSPTNAVANQYEDEDEDDDEFAQLARRNSKFKAATSENEHSEAAEQQGSKNISEGSIITTMSATTEASSSVASNELALSLPDPPAPVRTTKEQDMIDLLSITLSTNPSPPHTPLTPPITSNQSGSPLSASPTGQSYPYNAQAFTGNLPYNSYAVPWAQSAPTYPQAQYSSSYPPPPWANSSPNPFTSTGYQVPTAPANTAATPYIMPRQDASSFGSRIGNAPATADKISHANANPRQAASSSSKPYVPSYRLFEDLIELRNADGSLKVSGASANMSSTTNQSMIGRRK >DRNTG_34729.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2236981:2241082:-1 gene:DRNTG_34729 transcript:DRNTG_34729.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAHGDMGYYYEKVVEEESGKSEKKKKSKKKNQKESGGLEDNGVTNKETVDMRESENGNGLDLDGSEVKSGENRKEKRKKLDPIANSDFGEKLDYEGRINENEKELDSQKLSTSSSSKVTMKEVKEKKKRKNKSSKLVSSALLDTAAKATDSLGSEECEDLSGNKSERKRRRDADTVEAEKHGNSKRKKVKQASRIVAPSVTEEDVGGTPSMANENGERSNDMKVKNSGTEHFDKSKSKKGAKNKAARKDSKSKKRGTDDLKTSASKQKKKVSFSGDVEVFPVNNATNCEEEDEENLIRGQRYTREEDELLKKAVLDYVEENGLGEDGVEKVMDCRKYPEVKNCWKIIRTALPRRPYCSVYMRAHVIFQRSERRSWLPEEVEYVKKFHEEHGPDWATLAKILGKHRFHVKNTWRRVKLSTAKK >DRNTG_34729.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2232529:2241082:-1 gene:DRNTG_34729 transcript:DRNTG_34729.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAHGDMGYYYEKVVEEESGKSEKKKKSKKKNQKESGGLEDNGVTNKETVDMRESENGNGLDLDGSEVKSGENRKEKRKKLDPIANSDFGEKLDYEGRINENEKELDSQKLSTSSSSKVTMKEVKEKKKRKNKSSKLVSSALLDTAAKATDSLGSEECEDLSGNKSERKRRRDADTVEAEKHGNSKRKKVKQASRIVAPSVTEEDVGGTPSMANENGERSNDMKVKNSGTEHFDKSKSKKGAKNKAARKDSKSKKRGTDDLKTSASKQKKKVSFSGDVEVFPVNNATNCEEEDEENLIRGQRYTREEDELLKKAVLDYVEENGLGEDGVEKVMDCRKYPEVKNCWKIIRTALPRRPYCSVYMRAHVIFQRSERRSWLPEEVEYVKKFHEEHGPDWATLAKILGKHRFHVKNTWRRVKLSTAKKGKWSQDEYQTLFDLVNMDLRMKAFTEQKPNHKIVVPSSDVSFT >DRNTG_34729.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2232529:2241082:-1 gene:DRNTG_34729 transcript:DRNTG_34729.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAHGDMGYYYEKVVEEESGKSEKKKKSKKKNQKESGGLEDNGVTNKETVDMRESENGNGLDLDGSEVKSGENRKEKRKKLDPIANSDFGEKLDYEGRINENEKELDSQKLSTSSSSKVTMKEVKEKKKRKNKSSKLVSSALLDTAAKATDSLGSEECEDLSGNKSERKRRRDADTVEAEKHGNSKRKKVKQASRIVAPSVTEEDVGGTPSMANENGERSNDMKVKNSGTEHFDKSKSKKGAKNKAARKDSKSKKRGTDDLKTSASKQKKKVSFSGDVEVFPVNNATNCEEEDEENLIRGQRYTREEDELLKKAVLDYVEENGLGEDGVEKVMDCRKYPEVKNCWKIIRTALPRRPYCSVYMRAHVIFQRSERRSWLPEEVEYVKKFHEEHGPDWATLAKILGKHRFHVKNTWRRVKLSTAKKGKWSQDEYQTLFDLVNMDLRMKAFTEQKPNHKILRDNISWDPISEKLKTRHDALCCMKWYNQLTSPLVNEGLWVDSDDYRLIYALQNEDAFCEEDVDWDNLLEHRPGDICRKRLKQMIRYIGGHQEKSFIEQVDVLSQRYCPEMLEYRE >DRNTG_25939.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001341.1:13108:15797:-1 gene:DRNTG_25939 transcript:DRNTG_25939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRRPRRLPHQSPLKNPTGPISCPHLSPSCSSLSFHVSIVIASRRLTSPTKVQAFHISSLHPQTIFPYPKQTSNPLQLAVTKFSLFKHLVINMCLHNVYEVMKGKCLKREDVKCWPRLWRKQSFIYLLHCRANLWISVDAMHCH >DRNTG_17486.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6642162:6643924:1 gene:DRNTG_17486 transcript:DRNTG_17486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWLKYLDLSKTCVTFLPEEIGMLHELQYLNLSFSSLISLPSALVDLNKLKYLYCGGAKELNDIPQDLIARLKNLYALDLYSTGIFFFQGAYLDDLLSLSNLKGVGFNIDGLSALEKLLYVPKQRVRLIDSDECLTSISISPSLLGSNSELHLQELSIFFITELKELVMTSEDKTSWCLSHLKSLYLIFLPNLRDVIWEDLEPSYFLPKLAYMEIFECGSLTSLCWVAQLPSLQILKIARCRELRSIIAGDRHTMIEEGTAFRSLKTLALDDLPNLESIYEEGILSFPSIEVITMFNCWNLRNLSLGLHSAKNLVYIRVLPPNLWDDMDWEFKHHFSSFVL >DRNTG_18864.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2418124:2422476:-1 gene:DRNTG_18864 transcript:DRNTG_18864.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGEDELAKWEKMAGVGDEERILVSVRVRPLNSIEISRNDPVDWECINNTSIIHRNGISDRTLPPSTYSFDRVFGQECTTRQVYEDAAKGVVLSVINGINSSIFAYGQTSSGKTYTMTGITEYSVSDIYDYIEKHEEREFVMKFSAIEIYNEAVRDLLSSDPSPLRLLDDPERGTIVDKLTEETLRDREHLKELLSVCEAQRQIGETSLNEMSSRSHQILRLTIESTARQFFGGENFSSLAASVNFVDLAGSERASQALSAGTRLKEGCHINRSLLTLGTVIRKLSKGRNGHIPYRDSKLTRILQSSLGGNGRTAIICTMSPARSHIEQSRNTLLFATCAKEVATSAQVNVVMSDKALVRHLQKELARLESELRSPNSAPKSSHHSEAIKERDARITKMEKEIKQLIEQRDLAQSRLDEMLLATRDWDQVSQHSTSNAQNTYDDVISISENSGMGHQSQDFSVISFESSFGSQNCKEIQLEQSKTQEEEEEVHSVSSKYNAELVHDDHQKTKKKSRDEYGDFDELCKEVQCIEMEDNKRINLLLTDETEFLVPLRSVDFTEIEQQQEVQVQVRDQGQYLIEKVNESSPFEELKNLKTNEEEVPPVKSTTMVLSRSMSCRAKLMNNSCSNSPTFIDKIEPSPYVKERRQSALEFNIELENFQEPKSKYNEIPKTVSEEDLTSISGFVDSLKAMAQIHCQEKERTISEDIGMKKTVRDVCLQAVISPCESPSRWPIEFEKKQQEIIELWHACHVSLIHRTYFFLLFKGDPADSIYLEVEQRRLSFLKNTINYNNNTSTDNKQQITLASSLKNIRREREMLSRQMQKRMTTMEREELYSKWGISLESKRRRLQLAKKLWSETNNIEHVKESAAIVAKLIGLLEPEHALKEMFGLSFAPQLTHRRSFSWKNGK >DRNTG_18864.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2418124:2422025:-1 gene:DRNTG_18864 transcript:DRNTG_18864.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGEDELAKWEKMAGVGDEERILVSVRVRPLNSIEISRNDPVDWECINNTSIIHRNGISDRTLPPSTYSFDRVFGQECTTRQVYEDAAKGVVLSVINGINSSIFAYGQTSSGKTYTMTGITEYSVSDIYDYIEKHEEREFVMKFSAIEIYNEAVRDLLSSDPSPLRLLDDPERGTIVDKLTEETLRDREHLKELLSVCEAQRQIGETSLNEMSSRSHQILRLTIESTARQFFGGENFSSLAASVNFVDLAGSERASQALSAGTRLKEGCHINRSLLTLGTVIRKLSKGRNGHIPYRDSKLTRILQSSLGGNGRTAIICTMSPARSHIEQSRNTLLFATCAKEVATSAQVNVVMSDKALVRHLQKELARLESELRSPNSAPKSSHHSEAIKERDARITKMEKEIKQLIEQRDLAQSRLDEMLLATRDWDQVSQHSTSNAQNTYDDVISISENSGMGHQSQDFSVISFESSFGSQNCKEIQLEQSKTQEEEEEVHSVSSKYNAELVHDDHQKTKKKSRDEYGDFDELCKEVQCIEMEDNKRINLLLTDETEFLVPLRSVDFTEIEQQQEVQVQVRDQGQYLIEKVNESSPFEELKNLKTNEEEVPPVKSTTMVLSRSMSCRAKLMNNSCSNSPTFIDKIEPSPYVKERRQSALEFNIELENFQEPKSKYNEIPKTVSEEDLTSISGFVDSLKAMAQIHCQEKERTISEDIGMKKTVRDVCLQAVISPCESPSRWPIEFEKKQQEIIELWHACHVSLIHRTYFFLLFKGDPADSIYLEVEQRRLSFLKNTINYNNNTSTDNKQQITLASSLKNIRREREMLSRQMQKRMTTMEREELYSKWGISLESKRRRLQLAKKLWSETNNIEHVKESAAIVAKLIGLLEPEHALKEMFGLSFAPQLTHRRSFSWKNGK >DRNTG_18864.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2418124:2422476:-1 gene:DRNTG_18864 transcript:DRNTG_18864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGEDELAKWEKMAGVGDEERILVSVRVRPLNSIEISRNDPVDWECINNTSIIHRNGISDRTLPPSTYSFDRVFGQECTTRQVYEDAAKGVVLSVINGINSSIFAYGQTSSGKTYTMTGITEYSVSDIYDYIEKHEEREFVMKFSAIEIYNEAVRDLLSSDPSPLRLLDDPERGTIVDKLTEETLRDREHLKELLSVCEAQRQIGETSLNEMSSRSHQILRLTIESTARQFFGGENFSSLAASVNFVDLAGSERASQALSAGTRLKEGCHINRSLLTLGTVIRKLSKGRNGHIPYRDSKLTRILQSSLGGNGRTAIICTMSPARSHIEQSRNTLLFATCAKEVATSAQVNVVMSDKALVRHLQKELARLESELRSPNSAPKSSHHSEAIKERDARITKMEKEIKQLIEQRDLAQSRLDEMLLATRDWDQVSQHSTSNAQNTYDDVISISENSGMGHQSQDFSVISFESSFGSQNCKEIQLEQSKTQEEEEEVHSVSSKYNAELVHDDHQKTKKKSRDEYGDFDELCKEVQCIEMEDNKRINLLLTDETEFLVPLRSVDFTEIEQQQEVQVQVRDQGQYLIEKVNESSPFEELKNLKTNEEEVPPVKSTTMVLSRSMSCRAKLMNNSCSNSPTFIDKIEPSPYVKERRQSALEFNIELENFQEPKSKYNEIPKTVSEEDLTSISGFVDSLKAMAQIHCQEKERTISEDIGMKKTVRDVCLQAVISPCESPSRWPIEFEKKQQEIIELWHACHVSLIHRTYFFLLFKGDPADSIYLEVEQRRLSFLKNTINYNNNTSTDNKQQITLASSLKNIRREREMLSRQMQKRMTTMEREELYSKWGISLESKRRRLQLAKKLWSETNNIEHVKESAAIVAKLIGLLEPEHALKEMFGLSFAPQLTHRRSFSWKNGK >DRNTG_18864.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2418124:2421165:-1 gene:DRNTG_18864 transcript:DRNTG_18864.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSHQILRLTIESTARQFFGGENFSSLAASVNFVDLAGSERASQALSAGTRLKEGCHINRSLLTLGTVIRKLSKGRNGHIPYRDSKLTRILQSSLGGNGRTAIICTMSPARSHIEQSRNTLLFATCAKEVATSAQVNVVMSDKALVRHLQKELARLESELRSPNSAPKSSHHSEAIKERDARITKMEKEIKQLIEQRDLAQSRLDEMLLATRDWDQVSQHSTSNAQNTYDDVISISENSGMGHQSQDFSVISFESSFGSQNCKEIQLEQSKTQEEEEEVHSVSSKYNAELVHDDHQKTKKKSRDEYGDFDELCKEVQCIEMEDNKRINLLLTDETEFLVPLRSVDFTEIEQQQEVQVQVRDQGQYLIEKVNESSPFEELKNLKTNEEEVPPVKSTTMVLSRSMSCRAKLMNNSCSNSPTFIDKIEPSPYVKERRQSALEFNIELENFQEPKSKYNEIPKTVSEEDLTSISGFVDSLKAMAQIHCQEKERTISEDIGMKKTVRDVCLQAVISPCESPSRWPIEFEKKQQEIIELWHACHVSLIHRTYFFLLFKGDPADSIYLEVEQRRLSFLKNTINYNNNTSTDNKQQITLASSLKNIRREREMLSRQMQKRMTTMEREELYSKWGISLESKRRRLQLAKKLWSETNNIEHVKESAAIVAKLIGLLEPEHALKEMFGLSFAPQLTHRRSFSWKNGK >DRNTG_08204.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22683:25904:1 gene:DRNTG_08204 transcript:DRNTG_08204.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVASPPVAGGSLHINRKRSGPQPPVWASLQEIERLRIDKDVRQKPRRMVPEIPAIRVAKRVVLVRHGQSTWNEEGRIQGSSDFAVLTPKGESQAETSRQMLLGDSFDVCFTSPLARSKRTAEIIWGSRMEEMIPEHDLREIDLYSFQGLLKHEGKAKFGDAYRQWQINAANFTIDGHYPVRELWDRAKSCWNKILAHEGNSVLVVAHNAVNQALVAAAIGLGTEYFRILLQSNCGVSVVDFNPQPRGNPHVSLNRLNQTPNPPIAAGGSGGRKTSKRIVLVCHGATQSNNEVTFPNMGYEPMNMLGVIQSQKTAELLLDMKIACILTSSQIASVDTAVAISEVQEAADCLGADCIPRYVEMKKLQDLEVEPTLQQPCTPDSWDSLNKATVTKLWNQSKKAWGALLEELCADSEPERNVVAVGNSTLHVALICHCLNLTTEWLGAFHLDSGSITVIDFPDGPARQAVIRCINYTAHLGRWSIPITRSMASDEEF >DRNTG_05699.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1738762:1742833:1 gene:DRNTG_05699 transcript:DRNTG_05699.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEILGSGEVVVAPPPPPPLLGFGVGAKRRSEGAGKKEKKVW >DRNTG_05699.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1738762:1742833:1 gene:DRNTG_05699 transcript:DRNTG_05699.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEILGSGEVVVAPPPPPPLLGFGVGAKRRSEGAGKKEKKVW >DRNTG_05699.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1738762:1742833:1 gene:DRNTG_05699 transcript:DRNTG_05699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEILGSGEVVVAPPPPPPLLGFGVGAKRRSEGAGKKEKKVW >DRNTG_05699.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1738762:1742833:1 gene:DRNTG_05699 transcript:DRNTG_05699.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEILGSGEVVVAPPPPPPLLGFGVGAKRRSEGAGKKEKKVW >DRNTG_12222.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25093034:25098603:-1 gene:DRNTG_12222 transcript:DRNTG_12222.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVKPVTSQNENVQHDLHRGMTVQNGNAQHDTRRTFQVIVAATRNLGIGKDGKLPWKLPSDLKFFKEVTMATSDPGKKNAVIMGRRTWESIPVQNRPLPGRLNVVLTRSGSFDLATAENVVTCGSMTSALDLLAASPYCLSIEKVFVIGGGQVLSEALNATGCEAIHFTDIETSIECNTFIPPINFSVFQPWYSSHPSVENDIRFSFVTYVRVRNNSDIERLEAVSIGEATDHHLQMDKFGVEKFSFLPKMIFEKHEEYMYLHLVEDIITNGARKNDRTGTGTLSKFGCQMRFNLRHSFPLLTTKRVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASREYLDSVGLAHREEGDLGPIYGFQWRHFGAEYTNMHADYTGEGFDQLMDVILKIKNNPDDRRIILSAWNPPDLKQMALPPCHMFAQFYVENGELSCQMYQRSADMGLGVPFNIASYSLLTCIIAQVCGLVPGEFIHVLGDAHVYMTHVRPLQEQLQKLPKPFPVLKINPLKKDIDSFVASDFELIGYDPHFKIEMKMAV >DRNTG_12222.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25093034:25098603:-1 gene:DRNTG_12222 transcript:DRNTG_12222.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVKPVTSQNENVQHDLHRGMTVQNGNAQHDTRRTFQVIVAATRNLGIGKDGKLPWKLPSDLKFFKEVTMATSDPGKKNAVIMGRRTWESIPVQNRPLPGRLNVVLTRSGSFDLATAENVVTCGSMTSALDLLAASPYCLSIEKVFVIGGGQVLSEALNATGCEAIHFTDIETSIECNTFIPPINFSVFQPWYSSHPSVENDIRFSFVTYVRVRNNSDIERLEAVSIGEATDHHLQMDKFGVEKFSFLPKMIFEKHEEYMYLHLVEDIITNGARKNDRTGTGTLSKFGCQMRFNLRHSFPLLTTKRVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASREYLDRHASAMLVQTSKQFMGSFFPNVMWDLLQCWLGT >DRNTG_12222.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25093034:25098603:-1 gene:DRNTG_12222 transcript:DRNTG_12222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVKPVTSQNENVQHDLHRGMTVQNGNAQHDTRRTFQVIVAATRNLGIGKDGKLPWKLPSDLKFFKEVTMATSDPGKKNAVIMGRRTWESIPVQNRPLPGRLNVVLTRSGSFDLATAENVVTCGSMTSALDLLAASPYCLSIEKVFVIGGGQVLSEALNATGCEAIHFTDIETSIECNTFIPPINFSVFQPWYSSHPSVENDIRFSFVTYVRVRNNSDIERLEAVSIGEATDHHLQMDKFGVEKFSFLPKMIFEKHEEYMYLHLVEDIITNGARKNDRTGTGTLSKFGCQMRFNLRHSFPLLTTKRVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASREYLDSVGLAHREEGDLGPIYGFQWRHFGAEYTNMHADYTGEGFDQLMDVILKIKNNPDDRRIILSAWNPPDLKQMALPPCHMFAQFYVENGELSCQMYQRSADMGLGVPFNIASYSLLTCIIAQVCGLVPGEFIHVLGDAHVYMTHVRPLQEQLQKLPKPFPVLKINPLKKDIDSFVASDFELIGYDPHFKIEMKMAV >DRNTG_06045.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32626682:32631534:-1 gene:DRNTG_06045 transcript:DRNTG_06045.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGQEPPAKEGQKNKKPTVRKRKEVFIYGNYRNYYGYRIDRNLSEDPRLAVLKSEWFEGKDCLDVGCNQGLVTIGVAKKFSCRSILGIDIDRGLIETAKWNLQNVARKEKISCGSSKVLDSGISDSGGCSSPGVFEASKDGTCKSVCGKSLLEESNPLARVSFECKNFVESLHGCYEKYDTILCLSVAKWIHLNWGDDGLITLFVKIWRFLRPGGILILEPQPWSSYKRNRLVSETAKVNFSKILFDPALFREILLDKVGFRSAEDVTDNLSGSISGFNRPITVFYK >DRNTG_06045.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32626682:32629390:-1 gene:DRNTG_06045 transcript:DRNTG_06045.4 gene_biotype:protein_coding transcript_biotype:protein_coding LSVAKWIHLNWGDDGLITLFVKIWRFLRPGGILILEPQPWSSYKRNRLVSETAKVNFSKILFDPALFREILLDKVGFRSAEDVTDNLSGSISGFNRPITVFYK >DRNTG_06045.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32628071:32631534:-1 gene:DRNTG_06045 transcript:DRNTG_06045.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGQEPPAKEGQKNKKPTVRKRKEVFIYGNYRNYYGYRIDRNLSEDPRLAVLKSEWFEGKDCLDVGCNQGLVTIGVAKKFSCRSILGIDIDRGLIETAKWNLQNVARKEKISCGSSKVLDSGISDSGGCSSPGVFEASKDGTCKSVCGKSLLEESNPLARVSFECKNFVESLHGCYEKYDTILCLSVAKWIHLNWGDDGLITLFVKIWRFLRPGGILILEPQPWSSYKRNRLVSETAKVNFSKILFDPALFREILLDKVGFRSAEDVTDNLSGSISGFNRPITVFYK >DRNTG_06045.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32629533:32631534:-1 gene:DRNTG_06045 transcript:DRNTG_06045.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGQEPPAKEGQKNKKPTVRKRKEVFIYGNYRNYYGYRIDRNLSEDPRLAVLKSEWFEGKDCLDVGCNQGLVTIGVAKKFSCRSILGIDIDRGLIETAKWNLQNVARKEKISCGSSKVLDSGISDSGGCSSPGVFEASKDGTCKSVCGKSLLEESNPLARVSFECKNFVESLHGCYEKYDTILWYVLPSV >DRNTG_06045.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32626682:32631534:-1 gene:DRNTG_06045 transcript:DRNTG_06045.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGQEPPAKEGQKNKKPTVRKRKEVFIYGNYRNYYGYRIDRNLSEDPRLAVLKSEWFEGKDCLDVGCNQGLVTIGVAKKFSCRSILGIDIDRGLIETAKWNLQNVARKEKISCGSSKVLDSGISDSGGCSSPGVFEASKDGTCKSVCGKSLLEESNPLARVSFECKNFVESLHGCYEKYDTILCLSVAKWIHLNWGDDGLITLFVKIWRFLRPGGILILEPQPWSSYKRNRLVSETAKVNFSKILFDPALFREILLDKVGFRSAEDVTDNLSGSISGFNRPITVFYK >DRNTG_06045.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32630826:32631534:-1 gene:DRNTG_06045 transcript:DRNTG_06045.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGQEPPAKEGQKNKKPTVRKRKEVFIYGNYRNYYGYRIDRNLSEDPRLAVLKSEWFEGKDCLDVGCNQGLVTIGVAKKFSCRSILGIDIDRG >DRNTG_01543.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:164934:167779:-1 gene:DRNTG_01543 transcript:DRNTG_01543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTNFSWLAKNESLAIYSNGETSKIASVMKKKKKLRALPPVCISEMNRLLVRNRAAYHAMRPRWSSPHDKKILAMKAEIENAPIVKNAGELYAPAFRNISMFKRSYELMEKTLKIYVYKEGQKPIFHQPLLKGLYASEGWFMKLMEGNKQFVDHVNTIAAKYPFWNRTGGGDHFIVACHDWAPYETRHAMARAIRALCVADLHFGFRLGKDVSLPETYVRSARNTLKDIGGKPANERTILAFYAGSMHGTLRPILLQHWENKDKDMKIFGPLPSSIKRNMNYRQFMKSTKYCICPRGYEVNSPRVIESIFYACVPVIISDNYVPPFFEVFNW >DRNTG_28940.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22166453:22168057:1 gene:DRNTG_28940 transcript:DRNTG_28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAVILARSALSSESFFSMKPSPLHAEQIPEPPQSSQTFKGSM >DRNTG_23488.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001265.1:67918:69599:-1 gene:DRNTG_23488 transcript:DRNTG_23488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDEVVGGLWAMLAQERRKSISLMFLRFIAVVLLAVAACYLFISNFNSHLPATEKNTSTIDKQELVNIWPLLKELGVKEQQDLKKEVCDLSVGKWIPKAEEPSYTDETCHYIASYTNCLKNGRPDRGFLHWKWQPSGCDLPAFDPLKFLNAMRDKSWAFIGDAIFHNHVYSLLCLTSSVTKAHEIYYDGYNTRTWYYPSYNLTIYSIWSPYLLASETENGPTHEIHLDILDSKWTEMYNKYDYMVISGGQWFYKRTIMYENNEVVGCHYCPDLELKKIDYEVAYRRALQLTFKFITTSEHKPFVVFRTWAPSHWEDGESPSERICNRTKPFIEGEINGSSSDLKMWRLETEEFEKAAAIGATNDVRMELLDVYQLSLLRPDGHPGPYGTYHPFDDDPSKKVENDCIHWCLPGPIDTWNELLMKVINRDVRDSVSAFL >DRNTG_34406.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25982739:25983906:1 gene:DRNTG_34406 transcript:DRNTG_34406.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIRAKRVTDPLNEKVRARIRGDDGHYDTSSSSGSDHEGTSFFSDLVNEFLESDDNAPPDDAGSAEDESPESQAAEVAEMVKELLRSSDRLRRRVISDVCDAAKVFDGMPAVLYRRAVMGRLRELGYNAGVCTARWESSGGLTAGSYEYVDVVVGEKVRYIVDLDFKAEFEIARATVEYEDVVRQLPKVMVAVPEELRRLVKMVAEAGRRSMKSKGLHVPPWRKGRYVVAKWLGPYRRTVNAGGFRYGAGEVKSRAVGFLAGDGDRVVKVVV >DRNTG_34406.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25982256:25983906:1 gene:DRNTG_34406 transcript:DRNTG_34406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIRAKRVTDPLNEKVRARIRGDDGHYDTSSSSGSDHEGTSFFSDLVNEFLESDDNAPPDDAGSAEDESPESQAAEVAEMVKELLRSSDRLRRRVISDVCDAAKVFDGMPAVLYRRAVMGRLRELGYNAGVCTARWESSGGLTAGSYEYVDVVVGEKVRYIVDLDFKAEFEIARATVEYEDVVRQLPKVMVAVPEELRRLVKMVAEAGRRSMKSKGLHVPPWRKGRYVVAKWLGPYRRTVNAGGFRYGAGEVKSRAVGFLAGDGDRVVKVVV >DRNTG_34406.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25982739:25983622:1 gene:DRNTG_34406 transcript:DRNTG_34406.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIRAKRVTDPLNEKVRARIRGDDGHYDTSSSSGSDHEGTSFFSDLVNEFLESDDNAPPDDAGSAEDESPESQAAEVAEMVKELLRSSDRLRRRVISDVCDAAKVFDGMPAVLYRRAVMGRLRELGYNAGVCTARWESSGGLTAGSYEYVDVVVGEKVRYIVDLDFKAEFEIARATVEYEDVVRQLPKVMVAVPEELRRLVKMVAEAGRRSMKSKGLHVPPWRKGRYVVAKWLGPYRRTVNAGGFRYGAGEVKSRAVGFLAGDGDRVVKVVV >DRNTG_34406.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25982256:25983622:1 gene:DRNTG_34406 transcript:DRNTG_34406.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIRAKRVTDPLNEKVRARIRGDDGHYDTSSSSGSDHEGTSFFSDLVNEFLESDDNAPPDDAGSAEDESPESQAAEVAEMVKELLRSSDRLRRRVISDVCDAAKVFDGMPAVLYRRAVMGRLRELGYNAGVCTARWESSGGLTAGSYEYVDVVVGEKVRYIVDLDFKAEFEIARATVEYEDVVRQLPKVMVAVPEELRRLVKMVAEAGRRSMKSKGLHVPPWRKGRYVVAKWLGPYRRTVNAGGFRYGAGEVKSRAVGFLAGDGDRVVKVVV >DRNTG_02876.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:8655010:8668496:1 gene:DRNTG_02876 transcript:DRNTG_02876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVLVQPKHLQSRNGELGNSFSKPMPELVEDPASDEDFGVEFEDEISKKYEPEGKEMHTRSQIFKYAYGKIEQEKALEQQNKNLTFSGIITMATQPDIKTRPVIEVAFKDLTLMLKGTKKQLLRSVTGKLKPGHVTAVMGPSGAGKTTFLNALAGKATGCDVTGLVLINGKAEPLRAYKRIIGFVPQDDIVHGNLTVEENLWFSANCRLAAGMSKADKVLVVERVIESLGLQAIRDSLVGTIEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSQLLLRALRHEALEGVTICLVVHQPSYSLFKMFDDLILLAKGGLIAYHGSVKMVEEYFGGLGIDVPERVNPPDYYIDILEGIVKPSTSTGVNYKQLPVRWMLHNGYKVPQDMQQHVAMLGIPAKGEEGSNSGVGSEEQSIAGEMWQNVKGAIGKRKDILHYNFSRTKNLSNRQTPGMLKQYKYYIGRLGKQRLREARSLGVDYLILCLAGACLGMLAKVNDEKFGVDSYIYTIIAVSLLCKISALRSFSLDKLHYWRERASGMSSLAYFLAKDTIDHFNTIIKPIVYLSMFYFFNNPRSSIADNYVILLALVYCVTGIGYTFAICFQPGSAQLWSALFPVVLTLVATKKNSPKLLANICYPKWALEAFVIVNAKRYNGVWLITRCGLLKTLTYNINHVGLCIGILMAYGAIFRCIAFICLLTFQKK >DRNTG_02876.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:8656425:8668496:1 gene:DRNTG_02876 transcript:DRNTG_02876.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVLVQPKHLQSRNGELGNSFSKPMPELVEDPASDEDFGVEFEDEISKKYEPEGKEMHTRSQIFKYAYGKIEQEKALEQQNKNLTFSGIITMATQPDIKTRPVIEVAFKDLTLMLKGTKKQLLRSVTGKLKPGHVTAVMGPSGAGKTTFLNALAGKATGCDVTGLVLINGKAEPLRAYKRIIGFVPQDDIVHGNLTVEENLWFSANCRLAAGMSKADKVLVVERVIESLGLQAIRDSLVGTIEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSQLLLRALRHEALEGVTICLVVHQPSYSLFKMFDDLILLAKGGLIAYHGSVKMVEEYFGGLGIDVPERVNPPDYYIDILEGIVKPSTSTGVNYKQLPVRWMLHNGYKVPQDMQQHVAMLGIPAKGEEGSNSGVGSEEQSIAGEMWQNVKGAIGKRKDILHYNFSRTKNLSNRQTPGMLKQYKYYIGRLGKQRLREARSLGVDYLILCLAGACLGMLAKVNDEKFGVDSYIYTIIAVSLLCKISALRSFSLDKLHYWRERASGMSSLAYFLAKDTIDHFNTIIKPIVYLSMFYFFNNPRSSIADNYVILLALVYCVTGIGYTFAICFQPGSAQLWSALFPVVLTLVATKKNSPKLLANICYPKWALEAFVIVNAKRYNGVWLITRCGLLKTLTYNINHVGLCIGILMAYGAIFRCIAFICLLTFQKK >DRNTG_14903.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20536332:20540518:1 gene:DRNTG_14903 transcript:DRNTG_14903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDWDFRSFFMELLRLFCVLVLCFALCVNGVDVNVTKRPRPKIVNIGAFFTFNSTIGRVASVAIHTALDDVNADPSVLKGSKLVVDTQDTQCNGFMGIVEAFQFMEADIVAVVGPQCSMIAHTISHIANELQVPLLSFGATDPALSTLQFPFFIRTTQSDLFQMQAVAEIVSYYQWKEVIAIFVDYEYGQNGVSALGDKLAERRCKISFKAALPPEPNRSDVTDLLIKVALMESRVLVLHANPTVSLIVFSVANYLQMMSNGYVWIATDSLTALLDSRAPLSAQIMESMQGVIALRQHTADSKKKSELVARWSGLVKNQTGDNFHINSYGFYAYDTVWILARALDAFFNDGGIVSFSNDSRLRDIQEGNLNLQAMSVFDGGKLLLDKIRQTNMSGVIGSIQFDSNGYLIHPAYDIINVVGTGFRTVGYWSNYSGLSIVPPETLYSKPPNRSSVNQQLHSVIWPGETITKPRGWVFPNNGKELRIGVPNRASYQEFVSEEPHTGTIKGYCIDVFVAAVNLLPYPVPHRFIPFGNGHQNPSYTELVNKIITNEFDGVVGDIAIVTNRTRIVDFTQPYIESGLVVVAPVKKQHSSPWAFLRPFSIEMWCVTGLFFLFVGVVVWILEHRNNDEFRGPPRKQLVTIFWFSFSTLFFAHRENTVSTLGRAVLIIWLFVVLIIQSSYTASLTSILTVQQLSSPIKGIDTLIASDEPIGFQVGSFAENYLTEELNIPRSRLKALGSPSEYAHALELGPSNGGVAAVVDERPYVEDFLSTQCGYTIVGSEFTKSGWGFAFPRDSPLAIDMSTAILALSENGDLQKIHDKWLTRGACSSTTDELDSNRLNLSSFWGLFLICGLACFIALLVYLVQMVRQFSRHSVGEEISSSSERGSTRSGRSLHRFLSFVDDKEEDVKNRSRTRQLQMSAGNGSELES >DRNTG_21155.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2282312:2285296:1 gene:DRNTG_21155 transcript:DRNTG_21155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKLVVSLLLFFFIIDVCCGVLQKQQEEEDKVVFCKEDERNALLDFKQGVDDPSGFLSSWVGQECCTWSGVKCGNHDLNGHVVRLDLVSRQLGGKISSSLLALKHLQYLDLSINNFQMSIPSFFASFDAIQYLNLSNAGFAGPVPHQLGNLTSLHYLDLSNNANSLYIVGSHWLSNLSSLRYLNFQAADLSKAPNLLKSLNELQWISELHLSYCKLHIPLSLPHVNFTSLHFIDLSGNVINSTVPLWLFELRNLEYLYLGGNSITNLIPSAISNLTNLKVLDLSNNGVLSSGIPTSLGNLCMLTLLDLSGNNFTDELHEFEGAFSGCVSKSLETLNWRSSNLVGQLPNWLGNLKSLKTLDFSENSLYGPIPQLQLPSLQELYLSDNAFNETIPPLGQLSTELVDVQLQGNKLMGVLTETHFANLTKIEYLDMTSNAFVLSFQSNWTPPLRLQEVRMSYCRSGPAFPNWLQKLTNLSSIEMSYAGISDFMPDWFWNFSQKLENVVLSHNDIKGKLPASLEHLNLRYIDLSHNHFVGPLPYFSSTFQELRLMNNSFSGFIPNDLMEAISSISYFSVSTNNLSGEIPQSVCKLKQLITLDLSKNQIMGSIPDCWDQSQDSQMQVMDGSYNWNQSQDLQLQVMDLSYNNLSGGIPTSICSLQFLQAIHLNNNNLSGELPSSLKNCTGMATLDLGYNKFNGNISNLISDRYWSLGIVRLRSNLFTGIIPPELGNIMSLRVIDLAHNEFSGVIPLSFGNLSAMMVSPAFYQQTYLGYVDNVEVDMKGQVRRYDSTASLLIAIDLSDNQLSGEIPEELTNLIGLQSLHLSKNHFTGKIPENISQLQWLESLDLSMNNLSGVIPQSMTLLTSLSDLNLSYNHLSGEIPSKGQFQTLLDSSIYYGNYRLCGFPLDVSCQNNNKTQTPTLQTEEEDGSEDNDITWFYLSMGLGFLSGIWCFCGVLIVKKNWCYSYFSFLDKLYDKMYVFLVLKLRKMKRSNHL >DRNTG_00255.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5122703:5127345:1 gene:DRNTG_00255 transcript:DRNTG_00255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATVGLLVAKALTTSAVPYYQGIPPGQSPVLALDVFLVQASANTILSHFLGLVFSLELLRSVTLPNLEAIPIPRAA >DRNTG_20994.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001188.1:49524:49878:1 gene:DRNTG_20994 transcript:DRNTG_20994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTCVLQVASLIGGDQHQSTTNQAPSSQEPMSQVINAANQGQSTRGFTAGSRLPRQKMHTRGGKPNTSHMTQTMDTTDATKKRKAWMP >DRNTG_20046.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9649383:9650760:1 gene:DRNTG_20046 transcript:DRNTG_20046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREATGGVRLPLWVRGTGVDIFRTPVREKSESNERFPERAQGSAHAPIVLLKSKKQADKRPRESSSEPEGMRFAIQDHQQGDEFADEIDDLISEGGWQSYSSFDSVNTIQFRVFGHHHSLSITQFLVLLGLYEEAFIDTEEYAQLLTDYHGILTPQRVYRVLCGQGATILVLDGTARTDSLRAHPGRVHQTSRTLC >DRNTG_16262.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4495699:4500922:-1 gene:DRNTG_16262 transcript:DRNTG_16262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTSEKQSKAERVRRASHAGSWYTDNSSKLEEELGTWLQASKLTKSPDVRGVIAPHAGYSYSGRCAAFAFGNIDPTKFSRVFLLGPSHHYYTPNCALTKATIYSTPLGDLPIDLEVVEELQATGKFEFMDLNKDEAEHSMEMHLPYLAKVFHGHPVKIVPILVGALSSESEAMYGRLLAKYVDDPKNFFSVSSDFCHWGYRFSYTYYDKKHGAIHKSIEALDRMGMDIIETGDADAFKKYLKEYDNTICGRHPISVFLHMLKNSAMKININFLQYEQSSQCRNMRDSSVSYASAAAKLADDEEMANCN >DRNTG_08797.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4324207:4324950:-1 gene:DRNTG_08797 transcript:DRNTG_08797.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKEIKETTKDCRCYSKIRVATVPESKTQQHYKGKTPSSLNNTMAN >DRNTG_14671.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5689734:5691766:-1 gene:DRNTG_14671 transcript:DRNTG_14671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIESAASGAALCLKALVESDNWRFASDEMVNDVCLKVACALEEKHTQTNAHMGLAMSLFKHNALIAEAYARSLVRSGLQILSVGVKESNSQRRLSAIQMINFLLKCVDSRSVASELSKVVVVLERCQNDRMPFVRGAAFEALQTAKTIIAQKGSRHEVSSSPIVDSNFRY >DRNTG_12477.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:22540808:22542167:1 gene:DRNTG_12477 transcript:DRNTG_12477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIHIEFCNYTRSETTAEHCRNTVAITVHSRPRNQRNRESTRPCGNSARARVSSTPVELPDSSPI >DRNTG_03557.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21626793:21627198:-1 gene:DRNTG_03557 transcript:DRNTG_03557.1 gene_biotype:protein_coding transcript_biotype:protein_coding FIKPEPAVLNRNRTGTEPWSGLARNNN >DRNTG_15358.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26739275:26739774:-1 gene:DRNTG_15358 transcript:DRNTG_15358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDTASKLHDVDIVILLIIMNGHFHVVVHDNNKQEYRHYFSCQSEEYDKDTLEMRTLFDTCIDMEFGETVTKKYPLVHDIETHDKK >DRNTG_06471.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16914502:16918260:-1 gene:DRNTG_06471 transcript:DRNTG_06471.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIACQSLRKLKLIKRQRIKMSIFLNLGVQTTPDFMRGEPQEHLLENYFHPDHMSSAEKMKLELQKVRDEFKMSESDCGSARVQVAQLTTKIKHLSGVLHKKDKHSRKGLLDMVQRRKKLLKYLRRTDWDSYCIVLSKLGLRDIPEYKIPDYKMQNKDSKVKSKKSGKLKSKKKKKAKSRLTIPA >DRNTG_21806.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17522023:17527613:-1 gene:DRNTG_21806 transcript:DRNTG_21806.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEVLEQLRGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQHAPPCLEEVKNKRFSRSFKHMIAMCLVKDPSKRPTAQKLLKQSFFKQARSSDYISRKILEGLPALGDRYEALRAKEEELLAQKKMPDEQKEELSQNEYKRGISSWNFDIEDLKAQASLISDSEDSLPGKYLDRSPSSMFELVSVQENMPDDNALFSRSGSISDDMESDVVMPNKLAAFPSPDQAGGYQRSVSDVFDNESKASSSGGDQYPTLNSLQTCSNHGNSGSPDANEKFFECAPTHACHERRTSSGSCSPGIPPLSKADSFKQQTQVQSICSGGGSLGVNDSPHEAIAKAVKPPGKVTSAEDLEDKSKVPVVQQKGRFKVTSESVDMDKALPPLSLPKSHSMQDNILGLMKQLCAGDSSANQSTEGSCLVSPGAGAVTDKLSLENEREKDLIQELTALQLRYICLQEEVQKLKPRNAQI >DRNTG_21806.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17523708:17529392:-1 gene:DRNTG_21806 transcript:DRNTG_21806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRKYPIRAEDYQLHEMVGKGASATVHRAVCIPLDEVVAIKILDFERNNSDLNTISREAKTMILIDHPNVLKAHCSFVHGHDLWVVMPYMAGGSCLHIMKSAFSSGFEEVIIASILREVLKGLEYLHQHGEIHRDIKAGNILVDSRGGIKLGDFGVSASLYDSGDRQRTRNTFVGTPCWMAPEVLEQLRGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQHAPPCLEEVKNKRFSRSFKHMIAMCLVKDPSKRPTAQKLLKQSFFKQARSSDYISRKILEGLPALGDRYEALRAKEEELLAQKKMPDEQKEELSQNEYKRGISSWNFDIEDLKAQASLISDSEDSLPGKYLDRSPSSMFELVSVQENMPDDNALFSRSGSISDDMESDVVMPNKLAAFPSPDQAGGYQRSVSDVFDNESKASSSGGDQYPTLNSLQTCSNHGNSGSPDANEKFFECAPTHACHERRTSSGSCSPGIPPLSKADSFKQQTQVQSICSGGGSLGVNDSPHEAIAKAVKPPGKVTSAEDLEDKSKVPVVQQKGRFKVTSESVDMDK >DRNTG_27524.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21101809:21102779:1 gene:DRNTG_27524 transcript:DRNTG_27524.1 gene_biotype:protein_coding transcript_biotype:protein_coding EAQRRRLHGRKLGGSEAQAHTRGVLHLLRHNGSPLPVRRPAQPDRFYAWIRSISHQEGYHGLSEATESNAAMAIDDRRQHDLTLRRRAHSR >DRNTG_10394.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23030520:23036802:1 gene:DRNTG_10394 transcript:DRNTG_10394.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDELWRELIQKSMEKSGDNGLKILLEFLVSESYEDGTIQCPCHDCHHANTVLRRDAFDHVVCDGLLRRLEGNVRDLWVQNQGLKKALIFVILLLQKQFPDENDEIFNNVARMANGKVFDPFSTREALEGLQIANDATTRSYGVLHRDIKVQWPAPQGS >DRNTG_10394.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23027200:23036802:1 gene:DRNTG_10394 transcript:DRNTG_10394.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELWRELIQKSMEKSGDNGLKILLEFLVSESYEDGTIQCPCHDCHHANTVLRRDAFDHVVCDGLLRRLEGNVRDLWVQNQGLKKALIFVILLLQKQFPDENDEIFNNVARMANGKVFDPFSTREALEGLQIANDATTRSYGVLHRDIKVQWPAPQGS >DRNTG_04535.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000219.1:46021:47269:1 gene:DRNTG_04535 transcript:DRNTG_04535.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEVGDALKKRLDELWMLVCPASAGVDDPMINPLAKTAPDLGRMPFLKIMVRVAEKDLLSVRAMAYYEKLKEKWVDGVELVMSHGMDHVFHLDEPGCDQPAVLTNKVMAFLSS >DRNTG_01525.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5210147:5217421:-1 gene:DRNTG_01525 transcript:DRNTG_01525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPYSAMFLATKLLFISSGVVILSLVISAERPTAISVGAAFPFNSTIGKVAKIAIQAGVDDVNIDPTVLRGSKLVLTMQDTECNCYTGMTEALKFMENNIVAMIGPQWSLIAQIISHIANNLQVPLLSYGATDPTLSCLQFPYLVRTTQSDTFQMQSIAEIIDYYQWRQVIAIYIDHEYGRNGISALGDKLAERRCKITFKAALPPEPDNKDIIDLLIKVGMQESRIIVLHANPAVGITIFSVAKSLGMMENGYVWIATDWLVALLDSTGALDQQTMDYMQGVLSLRMHTADSTRKATFASRWSELANKYSGSNIGLHSYGLYAYDSVWVLARALDAFFNEGGSILFSNASKLSLFNGGKLLLNKIKRIRMEGLTGLVQFDPDGNLIHPAYDIINVVGSGLKMIGYWSNYSGLSVISPEKLYNMPPNKSSVNQKLNNVIWPGDMVIKPRGWVFANNGKELKIGVINRPFFQEILSKDLETGTVKGYCIDVFTAAMNLLDYPVHYKFIPFGDGLNMPDYQEILYKVAAGEIDGVVGDYAIVTNRTLILDFTQPYIESGLVIVAPLKKLRSNAWAFSRPFTLKLWCATGVAIVLVGVVVWILERRVNEEFRHGGNPRKQIFTIFWFGFSTMFFCQQEEIRSTLGRIIMIVWLFVVLIIQSSYMASLTSILTVQQLSSSIRGIDTLIASGESIGFAAGSFAENYMVQELNIPRSRLKSLASPDEYIRNLELGPNNGGIAAFVDERPYVELFLSTQCQFTTVGSEFTKAGWGFAFPRDSLLAVDVSTAILKLSENGDLQKIRDKWLTRSACRSANNELDSDRLRLSSFWGLFLIIGLACFFAILIYLSLALYKYIQFAGKCSIREFLSFVWLTERGGEIQSKS >DRNTG_01525.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5210147:5213086:-1 gene:DRNTG_01525 transcript:DRNTG_01525.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNIVAMIGPQWSLIAQIISHIANNLQVPLLSYGATDPTLSCLQFPYLVRTTQSDTFQMQSIAEIIDYYQWRQVIAIYIDHEYGRNGISALGDKLAERRCKITFKAALPPEPDNKDIIDLLIKVGMQESRIIVLHANPAVGITIFSVAKSLGMMENGYVWIATDWLVALLDSTGALDQQTMDYMQGVLSLRMHTADSTRKATFASRWSELANKYSGSNIGLHSYGLYAYDSVWVLARALDAFFNEGGSILFSNASKLSLFNGGKLLLNKIKRIRMEGLTGLVQFDPDGNLIHPAYDIINVVGSGLKMIGYWSNYSGLSVISPEKLYNMPPNKSSVNQKLNNVIWPGDMVIKPRGWVFANNGKELKIGVINRPFFQEILSKDLETGTVKGYCIDVFTAAMNLLDYPVHYKFIPFGDGLNMPDYQEILYKVAAGEIDGVVGDYAIVTNRTLILDFTQPYIESGLVIVAPLKKLRSNAWAFSRPFTLKLWCATGVAIVLVGVVVWILERRVNEEFRHGGNPRKQIFTIFWFGFSTMFFCQQEEIRSTLGRIIMIVWLFVVLIIQSSYMASLTSILTVQQLSSSIRGIDTLIASGESIGFAAGSFAENYMVQELNIPRSRLKSLASPDEYIRNLELGPNNGGIAAFVDERPYVELFLSTQCQFTTVGSEFTKAGWGFAFPRDSLLAVDVSTAILKLSENGDLQKIRDKWLTRSACRSANNELDSDRLRLSSFWGLFLIIGLACFFAILIYLSLALYKYIQFAGKCSIREFLSFVWLTERGGEIQSKS >DRNTG_23955.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:14599247:14602252:1 gene:DRNTG_23955 transcript:DRNTG_23955.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLRSKNKPENSTKDQPLTPKNGKGREEKGLRGFWEKKGVEN >DRNTG_29952.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3012434:3013626:-1 gene:DRNTG_29952 transcript:DRNTG_29952.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable beta-D-xylosidase 6 [Source:Projected from Arabidopsis thaliana (AT5G10560) UniProtKB/Swiss-Prot;Acc:Q9LXA8] MHLIKAVAHASKNPLILLLMGGGPLDISFAKRDPKIASVLWIGYPGETGGQAVAEALFGDFNPGGRLPVTWYPESFTNVPMNDMNMRADPSRGYPGRTYRFYTGKVVYPFGYGLSYSKYSYKIKSAPRRISLLESTMRRMASEDGLDYVPIDKISSCETLKFVVKVSVVNNGPMDGDHSVILFSRSLANITGLPQKQLIGFERVRTIANGDAEIDIPVDPCKHLSMANEHGERVLPLGVHVLELEELEHVLFIEA >DRNTG_29952.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3012434:3014815:-1 gene:DRNTG_29952 transcript:DRNTG_29952.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable beta-D-xylosidase 6 [Source:Projected from Arabidopsis thaliana (AT5G10560) UniProtKB/Swiss-Prot;Acc:Q9LXA8] MEDTFQPPFRSCIKDGKASCLMCSYNQVNGVPACARGDLLEKARGEWGFKGYITSDCDAVAIIHEDQKYAPTAEDAVAEVLKAGMDINCGTYLLRHTKSAVKKGKLEKKYIDRALFNLFSVQLRLGLFDGVPKKQRFGRFGTDDVCTKEHRELALEAARQGIVLLKNDKNFLPLQKSKVKSLAIIGPAADDTDILGGDYTGFACNPKSLYKGLQAYVETTTFASGCLDVPCYSTTGFKEAVHVAQEADAVVVVAGLNLTEETEDHDRTSLLLPGKQMHLIKAVAHASKNPLILLLMGGGPLDISFAKRDPKIASVLWIGYPGETGGQAVAEALFGDFNPGGRLPVTWYPESFTNVPMNDMNMRADPSRGYPGRTYRFYTGKVVYPFGYGLSYSKYSYKIKSAPRRISLLESTMRRMASEDGLDYVPIDKISSCETLKFVVKVSVVNNGPMDGDHSVILFSRSLANITGLPQKQLIGFERVRTIANGDAEIDIPVDPCKHLSMANEHGERVLPLGVHVLELEELEHVLFIEA >DRNTG_29952.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3012434:3016806:-1 gene:DRNTG_29952 transcript:DRNTG_29952.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable beta-D-xylosidase 6 [Source:Projected from Arabidopsis thaliana (AT5G10560) UniProtKB/Swiss-Prot;Acc:Q9LXA8] MASNQALQWRHLSISILLLLHLLSLSFSSSSSQPYPCLPPHSSFPFCNTSLSISTRATSLISLLSLSEKIQQLSNTAAAVPRLGIPPYQWWSESLHGVAINGPGVLFNGSVRAATGFPQVLLSAAAFNRTLWRAIATAIAVEARAMYNLRQAGLTFWAPNINVFRDPRWGRGQETPGEDPFMVSTYAVDYVRGFQGDVDGGSMMLSACCKHYTAYDLDKWHKFARYTFNAKVTKQDMEDTFQPPFRSCIKDGKASCLMCSYNQVNGVPACARGDLLEKARGEWGFKGYITSDCDAVAIIHEDQKYAPTAEDAVAEVLKAGMDINCGTYLLRHTKSAVKKGKLEKKYIDRALFNLFSVQLRLGLFDGVPKKQRFGRFGTDDVCTKEHRELALEAARQGIVLLKNDKNFLPLQKSKVKSLAIIGPAADDTDILGGDYTGFACNPKSLYKGLQAYVETTTFASGCLDVPCYSTTGFKEAVHVAQEADAVVVVAGLNLTEETEDHDRTSLLLPGKQMHLIKAVAHASKNPLILLLMGGGPLDISFAKRDPKIASVLWIGYPGETGGQAVAEALFGDFNPGGRLPVTWYPESFTNVPMNDMNMRADPSRGYPGRTYRFYTGKVVYPFGYGLSYSKYSYKIKSAPRRISLLESTMRRMASEDGLDYVPIDKISSCETLKFVVKVSVVNNGPMDGDHSVILFSRSLANITGLPQKQLIGFERVRTIANGDAEIDIPVDPCKHLSMANEHGERVLPLGVHVLELEELEHVLFIEA >DRNTG_28489.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8468555:8473575:1 gene:DRNTG_28489 transcript:DRNTG_28489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFFIGLKATVLFIAFILIREFGWAFIHIPLLHASLVAYLVAIASLPSVNLPLLLGKGSDGSFPLWSLLIFSPFLVFVRMVVFVRRIRSREPLYSEVSEGIFVGGWPSSLNEMPPGDPAVIDCTCELPRRSISGSGYLCIATWDTRSPQASQIESAVRWACRKRSQKKPVYVHCAFGHGRSVCVMCAILVALGIAEDWKHAEKIIKEKRPFIRMNALHRKNLEEWSKHRLSSKRSGDLDVSSVIFSETNKEK >DRNTG_10020.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3024023:3024382:-1 gene:DRNTG_10020 transcript:DRNTG_10020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGGPGGPGGPGGPGGAPGPGAGPGPGFGWAPLPGGPSGPGPGPGPGWGPFWGGGFCDPFASWLAFCYSLLALLIFLL >DRNTG_10020.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3024023:3024382:-1 gene:DRNTG_10020 transcript:DRNTG_10020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGGPGGPGGPGGPGGAPGPGAGPGPGFGPGPGGPGFGPGPGPGPGWGPFWGGGFCDPFASWLAFCYSLLALLIFLL >DRNTG_10020.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3024023:3024382:-1 gene:DRNTG_10020 transcript:DRNTG_10020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGGPGGPGGPGGPGGAPGPGAGPGGPGFGPGPGPGFGWAPLPGGPSGPGPGPGPGWGPFWGGGFCDPFASWLAFCYSLLALLIFLL >DRNTG_10020.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3024023:3024382:-1 gene:DRNTG_10020 transcript:DRNTG_10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGGPGGPGGPGGPGGAPGPGAGPGPGFGPGPGGPGFGPGPGPGFGWAPLPGGPSGPGPGPGPGWGPFWGGGFCDPFASWLAFCYSLLALLIFLL >DRNTG_10020.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3024023:3024382:-1 gene:DRNTG_10020 transcript:DRNTG_10020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGGPGGPGGPGGPGGAPGPGAGPGPGFGPGPGPGFGWAPLPGGPSGPGPGPGPGWGPFWGGGFCDPFASWLAFCYSLLALLIFLL >DRNTG_22772.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4008260:4014098:-1 gene:DRNTG_22772 transcript:DRNTG_22772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEAIPVVDLRLLSQSELASLARSDPSAFDLRRCDDVVVPRIDRTVFNESAGSRKQTYSRLRLASRASADPSHTPQPPSIRDPDHDLILSSLRAFFAQEVSSQPLALATMPQPPPPQFLERDREVLNAKGVTVDLVALGEKVDPFGEEMRRRTEGLATVEGLLGFLTGLDGQWGSPRMKKKVVDAAGFGDHLPKGWRISIGIKKREVVPRLFCRRYISPCGKRFKSCKEVSSYILSLIGSQVASQPILQQTSESHFKHERLTHEHDPVGRAYQSDTTKEISAYPATADIFHGSTDHQKQIVLYTPSEQGNTKPNRILECDKCNLSFSGDEEYLQHLVTFHQRSAKRRKLIKTVGDGVIIKDGMFECQFCHKTFTERRRYNGHVGSHVRYQGVSSGAFPDDTITENIINPSSLTTVPCVWSEVDVSAIRIGNRSELESSAPKNVNEQHTTTSLNRELETRNQPNYCATQSAQEPCTSKSVVEHVYDHNEPQSKSNKTLDTCNGLANSTIVSPVPIDVNNVIENHSPKCNAEECMSGLIDVQIDGCDMITVRSEEVVMATVVKATEPSTCLNVVSPPTDVRDSPSEKISKMDNVLNYVPGFPSEMLVETTESVDIKSDDEAHDDNLPQSLTNMETSSGRSHNGKDLSSSDVISVIEKNGKDQKVDSEGTWIKLSSYRSVDDGGTYEDHGFTQRIGKPVSIDIDTSNFGSDFGNIHVLSDKGDDMDDTICDDLHVSGEDHGFTPIGKPVSMEMDTPNLGSDFGNIHVLADRGADMGDTIDENLHVSREQGINLNSSVPTPFCDVHDQGVGPGLGFSFNNDKRESVVKETDKDGPHLEICFQRNAEYGGDAVCDDADNDNLRIALQLNVPNMSSSWLSPSSELPILDLIPNQCEGSCAGEKHENILGFEGLSEGRIEPSDFVLLNGQAASPGSVMELDYISGLEDKRGPPIQLQWDEPVPSDVSNCKFTTVCVWCNREFNCEGDVAEMQSNTLGFMCPVCKEKLPG >DRNTG_22772.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4008260:4012131:-1 gene:DRNTG_22772 transcript:DRNTG_22772.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFECQFCHKTFTERRRYNGHVGSHVRYQGVSSGAFPDDTITENIINPSSLTTVPCVWSEVDVSAIRIGNRSELESSAPKNVNEQHTTTSLNRELETRNQPNYCATQSAQEPCTSKSVVEHVYDHNEPQSKSNKTLDTCNGLANSTIVSPVPIDVNNVIENHSPKCNAEECMSGLIDVQIDGCDMITVRSEEVVMATVVKATEPSTCLNVVSPPTDVRDSPSEKISKMDNVLNYVPGFPSEMLVETTESVDIKSDDEAHDDNLPQSLTNMETSSGRSHNGKDLSSSDVISVIEKNGKDQKVDSEGTWIKLSSYRSVDDGGTYEDHGFTQRIGKPVSIDIDTSNFGSDFGNIHVLSDKGDDMDDTICDDLHVSGEDHGFTPIGKPVSMEMDTPNLGSDFGNIHVLADRGADMGDTIDENLHVSREQGINLNSSVPTPFCDVHDQGVGPGLGFSFNNDKRESVVKETDKDGPHLEICFQRNAEYGGDAVCDDADNDNLRIALQLNVPNMSSSWLSPSSELPILDLIPNQCEGSCAGEKHENILGFEGLSEGRIEPSDFVLLNGQAASPGSVMELDYISGLEDKRGPPIQLQWDEPVPSDVSNCKFTTVCVWCNREFNCEGDVAEMQSNTLGFMCPVCKEKLPG >DRNTG_21480.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2746612:2756579:1 gene:DRNTG_21480 transcript:DRNTG_21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 64, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G09420) UniProtKB/Swiss-Prot;Acc:F4KCL7] MLKNGATCVGRTIMDELGFGVTGENLHYGTPINPELPSHIPGGSSSGSAVAVAAGLVDFALSSDTTGCVRIPASFCGIFGFRPSHGVVKTIGLLTNTQSLDTIGLLARDPFILHRVGTILLQATSGGPRRERRLIFVDDCFQFSKVPKQKTLHVVRKAAEKLSGYQVPQHMNIGQYIASNVPSLKGFNEPSVLKQGKSTFNALSKVMVLLQRYEFRMNHAGWFNSVKPRIGLDISTQVLGAINSSCEDIKSLYKVRTELRAALKSLTKDDGILVIPTTSDFPLKCHSRKKLLPEFEGRQSFLLSIAGMSGCCQVTIPLGKHDGYPISVSFVAAHGADRFLLDTVLDMYPGLQEEISIASNLPLAPDANGDLDASELLKEKGNAAFKLRHWNKAVNYYSEAIKLNDTNATYYSNRAAAYLELGCFQQAEADCNQAISLNKKNVKAYLRRGTAREVLGYYREAIQDFKHALVLEPQNKTATLGEKRVKKLMD >DRNTG_33942.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:914408:917536:-1 gene:DRNTG_33942 transcript:DRNTG_33942.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDVKKLTTLPEGNLEDVTRAESAPRRFIKKLVGLTKGDESVSQQLERHVQDVNVPVIGVYGMPAVGKTDIMRQLNNQLHATKPEHYIFCVDMPQDLELKDVHYCQAVQQAIGKQLAIIGEDQNATQDDLAGLITKQLNESRFVLILDGLHKPLNLIKDVGIPPLSRPTNNKIIVVGQSREICNQMDADEKVHVKCMECNVAWKLFESKVDKELLNSNRDIYNHARNLVTKCGGLPPVVSKLGQTMESKKTVGEWASAVTTMDTAPWELVGMEIFSRHLKVSYDKLANEQLRTCLLYCSLYPEGFSIYKEWIIDYCIGEGIIDGVTMEEIYNKGDVMLGKLKSAHLLETGEDENYVKMHPMIRGLALWIASDFGEKANKWLVRPQAGLAVIPLVERWRDVERISLMRNDISEISEIPECPNLKTLMLQRNQKLEKICDGFFSSMTQLRVVDLSHNLLKQLPAGIQMMEELRYLDLCGTNIKSLPRELKELKKLRFLLLSFMPYLQTIPDEVISSLVELQVLYIQVSYGKWRVGSIGRGVDFNELNALKQLTAFGITIQTVHALQSLVQSRRLATCTRYLHIKGCQGLPTINVPSSVLGSDMKKLETIRLSDSDELEEVVIGEGTNEKPVSSHLPNLETLVLYRLKKAKMVYNGGCVSHLRQLYIWYCHGMEHLVQHDEVRTDEEDDDAQGPVAVIDAFPNLKVIQLIGLSMLKTLSTGMTMLSFPSLETLTVSLCTNFNKLEMKAEKLKEIRGEKSWWDKLEWEDDSNMKSTFQPLFKKSN >DRNTG_28759.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24193604:24199466:-1 gene:DRNTG_28759 transcript:DRNTG_28759.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSGSSSQTPVPFFNGESYSLWSLKMETILVSKDLWGLVEKGYDEDETNQHRLNENIKRNAKALALIQQSLDEKILIRISQTRNAKKAWDILKKEYQGCAKSSEANLHAHQQEFETARMRTGETIHDYVSRILTVVFHIRALGEELSDQAVVRKLLRSLSPKFSHVVSSIIEAKDISKLTVEELSSSLKGHEGRLDLATETTETKAFHVRAESALPFNHQTPGRGRGRTGYRGRSRGRGRSRGQDARNSNEQASGFKINPETKPNKAHIQCYNCKKYGHYKSQCWYNKEKENAANVVESGESSHSPGQQSQLFMAAGEAVGREENVWLVDSGCSNHMTCDQSWFKDLDESVCQTVCLGDDRELNVHGVGTVVLHVSSGNVRTLRDVQFVPLLAHNLLSVAHLIRTGYDVNFSLDECTIRESNTKNLIARVKMTSNGLFPLTVNEVGEAQVVQNKGNVSMLWHRRYGHLNSQSLQQLSKQEYVLGLPSISHTDACEACAFGKQTRLPFSNKGARRALSPLQLIHADLCGPMQMLTPGGSCYFFLLTDDCTRFSWVYFLQSKGQALGQFKIFKQLVENQYNMKIKILRTDRGGEFLSKDFITYCEDNGIQRQLTTPNTPELNGVAERKNRTVVEMARCLLHQMNVPMFLWAEAVSTAVYLLNRAPTRALAEKTPYEALTGNKPLVSHLRIFGCVVFVLTNPQQRRKLDLKSEKLVFIGYSEESAGYRVYNPYTGKVSISRDVLFHEEKPWIWTDNSEKSSDSQERAVVELAPTQVSEAHVWESVEDEAGAIRQATKYKTLAELYNTCNFALTTADPYLYEDAARQSEWKLAMDQEMESIQKNGTWSLTQLPVGKHAVGLKWIYKSKYKPDGSLLKRKARLVAKGYLQKVGIDLEDVFSPVVRMETVRVLFAVAAQMEWPLLQLDVKSAFLNGELTEEVYVSQPEGYVITGKEEQVYKLHKALYGLRQAPRAWYSKIDQHFLALGFQRSTCEPTLYTKKTGANILMLCLYVDDILYTSSCPLMLSEFKEEMMSSFEMSDMGLLKYFLGLEVIQGHGSIHVCQQRYASELLKKFGMLHCKSLSTPINTAEKLQLEDGSGKADEKVFRQMVGGLLYLTHTRPDLAYSISLVSRYLHSPTKHHVGAVKRILHHVAGTIDYGLRYEHVKDFSLKGYVDSDWAGSLDDRKSTTGWVFSLGSGAVAWSSKKQEIIALSSTEAEYVAITSAACQGVWMRRLLNDLGFTQTLPTILHCDNKSSISIAKNPAQHGRTKHIEIRYHFIRNLISDNVIQLVHCSSEEQSADILTKGLSVGKHEFFRSKLGMERALNKGEMLAVVQKNEYTNQDLST >DRNTG_28759.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24193604:24199466:-1 gene:DRNTG_28759 transcript:DRNTG_28759.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPIQGKVNSNSNSKSNCKPCLLSLYMCFMKRRSMSCHAGGEKQPKDKQDQQNRQEQDGDKLSKIAKALSFKPKGSKEEKSPRVPLENHKSSEEKQKVLAQDVFSKEEKCSPKEQDLGTKKPATSDQEQQVPSPPKEQIRPPKEKTDQKTKIAVPKGNKEFDPSGDDS >DRNTG_28759.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24193508:24199466:-1 gene:DRNTG_28759 transcript:DRNTG_28759.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHELLCCFGQSEPEKRSSGRKEAESSPPKPSAAINGGVQAPSPPNPSAAINGGVLAAPSPSMPSATINGGVLAPSQQNMATINQDEIQAQDQIKNENNQKKPMSSPIQGGEKQPKDKQDQQNRQEQDGDKLSKIAKALSFKPKGSKEEKSPRVPLENHKSSEEKQKVLAQDVFSKEEKCSPKEQDLGTKKPATSDQEQQVPSPPKEQIRPPKEKTDQKTKIAVPKGNKEFDPSGDDS >DRNTG_18440.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14655305:14657831:-1 gene:DRNTG_18440 transcript:DRNTG_18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQEAPLGMTPIQFLRELEKRLRVKHRIHITACHLLSAIHSKFRDPGYSIPIKRADERG >DRNTG_19785.10.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001060.1:99529:100247:-1 gene:DRNTG_19785 transcript:DRNTG_19785.10 gene_biotype:protein_coding transcript_biotype:protein_coding PVIYPLQEPRRELERVVEDPTQNSETQWPPHRISEANVNKGNYFVTLKRNNFGDS >DRNTG_19785.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001060.1:99529:102737:-1 gene:DRNTG_19785 transcript:DRNTG_19785.4 gene_biotype:protein_coding transcript_biotype:protein_coding PVIYPLQEPRRELERVVEDPTQNSETQWPPHRISEANVNKGNYFVTLKRNNFGDS >DRNTG_19785.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001060.1:99529:102861:-1 gene:DRNTG_19785 transcript:DRNTG_19785.2 gene_biotype:protein_coding transcript_biotype:protein_coding PVIYPLQEPRRELERVVEDPTQNSETQWPPHRISEANVNKGNYFVTLKRNNFGDS >DRNTG_19785.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001060.1:99689:102861:-1 gene:DRNTG_19785 transcript:DRNTG_19785.5 gene_biotype:protein_coding transcript_biotype:protein_coding PVIYPLQEPRRELERVVEDPTQNSETQWPPHRISEANVNKGNYFVTLKRNNFGDS >DRNTG_19785.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001060.1:99689:102737:-1 gene:DRNTG_19785 transcript:DRNTG_19785.8 gene_biotype:protein_coding transcript_biotype:protein_coding PVIYPLQEPRRELERVVEDPTQNSETQWPPHRISEANVNKGNYFVTLKRNNFGDS >DRNTG_19785.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001060.1:99689:102737:-1 gene:DRNTG_19785 transcript:DRNTG_19785.7 gene_biotype:protein_coding transcript_biotype:protein_coding PVIYPLQEPRRELERVVEDPTQNSETQWPPHRISEANVNKGNYFVTLKRNNFGDS >DRNTG_19785.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001060.1:99529:102737:-1 gene:DRNTG_19785 transcript:DRNTG_19785.3 gene_biotype:protein_coding transcript_biotype:protein_coding PVIYPLQEPRRELERVVEDPTQNSETQWPPHRISEANVNKGNYFVTLKRNNFGDS >DRNTG_19785.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001060.1:99529:102861:-1 gene:DRNTG_19785 transcript:DRNTG_19785.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVIYPLQEPRRELERVVEDPTQNSETQWPPHRISEANVNKGNYFVTLKRNNFGDS >DRNTG_19785.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001060.1:99689:102861:-1 gene:DRNTG_19785 transcript:DRNTG_19785.6 gene_biotype:protein_coding transcript_biotype:protein_coding PVIYPLQEPRRELERVVEDPTQNSETQWPPHRISEANVNKGNYFVTLKRNNFGDS >DRNTG_19785.11.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001060.1:99689:100247:-1 gene:DRNTG_19785 transcript:DRNTG_19785.11 gene_biotype:protein_coding transcript_biotype:protein_coding PVIYPLQEPRRELERVVEDPTQNSETQWPPHRISEANVNKGNYFVTLKRNNFGDS >DRNTG_19785.9.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001060.1:99689:102595:-1 gene:DRNTG_19785 transcript:DRNTG_19785.9 gene_biotype:protein_coding transcript_biotype:protein_coding PVIYPLQEPRRELERVVEDPTQNSETQWPPHRISEANVNKGNYFVTLKRNNFGDS >DRNTG_00578.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29980820:29983322:-1 gene:DRNTG_00578 transcript:DRNTG_00578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLALNPSPCYCQFGDFASKSSLGLKSQISTTWSKGCGLVSVDQKLRRNPVLVNSRRSLRVRASSSSSPIAPLQLESPIGQFLSQILISHPHLLSAAVDQQLEQLRTAREAEKNRDESSSSGTDLVLYRRIAEVKAKERRRTLEEILYALVVQKFVEANVFLIPPLVQSSDSFGKVDDWPSEEKKFECLHSFEVLEMIKNYMTQILGPGWDDSNMIVAINKLQIGRVYAASIMFGYFLKRVDQRFQLEKSMKALPWGSDEESAFKQSMPSKSSPSAQSGIFHPELSSWSSTSFSPNSQSFGNKPSRLWTYVMTFDMETTRRYATIRSKETFNIIEKQTEALFGKSEILMTPPGSVNSSQDELVKMSFGGLKRLILEAITFGSFLWDVESYVDSRYHFVNK >DRNTG_14107.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20686981:20689840:1 gene:DRNTG_14107 transcript:DRNTG_14107.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2-like ethylene-responsive transcription factor AIL1 [Source:Projected from Arabidopsis thaliana (AT1G72570) UniProtKB/Swiss-Prot;Acc:Q1PFE1] MDMSSWLGFSLSPLRAASSKEHTSCYGEEEEAAAAHAHAHAAAQVPFGAMPLRSDGSVCLLEPPFRTPCHEWRYGATSSGNQVENKGPKLEDFLGHGYTENSNEIYYHNSNSYRINVNMPPSFSPAETEAREDTQTPYHVIHSNFHHRPNILLSNTNPNTHPNPLYNLGFDGGATSLSGFKCWLRQHHYTPEKTAGESPECNVQSLSLSVNHGALPGSNDQAIITSSSSSSSSLLVDGRKRSMAKSTSREPAARKSIETFGQRTSQYRGVTRHRWTGRYEAHLWDNSCRKEGQTRKGRQVYLGGYDKEEKAARAYDLAALKYWGPTTNINFPLSTYEKELEEMKHMTRQEFVANLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLSAVTNFDISKYDVKRICSSTHLIGSDLAKRSPKDAELQPTEPPSRASGITNSNTDINDFSDMQMIYHNKVEPQLSQLQPDITPSIVNTTKHESPRNSDYGDYSPGLYYGNGGMNWMVPVPASARPPPAGFPVVHQLPMFAPWTD >DRNTG_14107.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20686981:20689840:1 gene:DRNTG_14107 transcript:DRNTG_14107.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2-like ethylene-responsive transcription factor AIL1 [Source:Projected from Arabidopsis thaliana (AT1G72570) UniProtKB/Swiss-Prot;Acc:Q1PFE1] MKHMTRQEFVANLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLSAVTNFDISKYDVKRICSSTHLIGSDLAKRSPKDAELQPTEPPSRASGITNSNTDINDFSDMQMIYHNKVEPQLSQLQPDITPSIVNTTKHESPRNSDYGDYSPGLYYGNGGMNWMVPVPASARPPPAGFPVVHQLPMFAPWTD >DRNTG_14107.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20686981:20689840:1 gene:DRNTG_14107 transcript:DRNTG_14107.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2-like ethylene-responsive transcription factor AIL1 [Source:Projected from Arabidopsis thaliana (AT1G72570) UniProtKB/Swiss-Prot;Acc:Q1PFE1] MFLLIIGGYDKEEKAARAYDLAALKYWGPTTNINFPLSTYEKELEEMKHMTRQEFVANLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLSAVTNFDISKYDVKRICSSTHLIGSDLAKRSPKDAELQPTEPPSRASGITNSNTDINDFSDMQMIYHNKVEPQLSQLQPDITPSIVNTTKHESPRNSDYGDYSPGLYYGNGGMNWMVPVPASARPPPAGFPVVHQLPMFAPWTD >DRNTG_14107.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20686981:20689840:1 gene:DRNTG_14107 transcript:DRNTG_14107.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2-like ethylene-responsive transcription factor AIL1 [Source:Projected from Arabidopsis thaliana (AT1G72570) UniProtKB/Swiss-Prot;Acc:Q1PFE1] MDMSSWLGFSLSPLRAASSKEHTSCYGEEEEAAAAHAHAHAAAQVPFGAMPLRSDGSVCLLEPPFRTPCHEWRYGATSSGNQVENKGPKLEDFLGHGYTENSNEIYYHNSNSYRINVNMPPSFSPAETEAREDTQTPYHVIHSNFHHRPNILLSNTNPNTHPNPLYNLGFDGGATSLSGFKCWLRQHHYTPEKTAGESPECNVQSLSLSVNHGALPGSNDQAIITSSSSSSSSLLVDGRKRSMAKSTSREPAARKSIETFGQRTSQYRGVTRHRWTGRYEAHLWDNSCRKEGQTRKGRQGK >DRNTG_33531.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20658315:20661750:-1 gene:DRNTG_33531 transcript:DRNTG_33531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLIWEIVDWEEDEDILVDEFAEDDNFLDEENNFQLKDDFQNIDLISDQDITDQLDPLREEKAVEELREVEKSTEVAPSFPAPSVLHSTSIEEAGRWSDKTKKPSGHWNEEAGFIPYPLDQQRRKFLWIQEKGNLQWTGSFSKFFFFSFNFTSFFLINHKIDQAIIMVPESSMI >DRNTG_33933.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22038425:22038841:1 gene:DRNTG_33933 transcript:DRNTG_33933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVIIKLVVIANNLVSMYIVDRVRRRLFIVHGAVTLILSVAVAGVMDAELKDVCCERDVPARAEISRAECCRGRPETFGGGTDVYGNNMLNEDRSVSSFYRVGGGDDSVCVFVSATDQECAGRLDESGVGEVLVLEV >DRNTG_12180.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19060653:19063572:-1 gene:DRNTG_12180 transcript:DRNTG_12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQAYVDDHLMCDIDGQHLTSAAIFGHDGSLWSQSESFPQVKPEEILAIMNDFAEPGSLAPTGLFLGGTKYMVIQGEPGAVIRGKKGSGGVTVKKTNLALIIGVYDEPMAPGQCNMVVERLGDYLYDLGF >DRNTG_10207.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000431.1:49678:51556:-1 gene:DRNTG_10207 transcript:DRNTG_10207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYRNGLVIISRQEVCTVNSLSLSLSLSLSLSRLSSGTMAVEAILSTVLGLIIKLTAPSVFRYLGPIWGGVDGQLEKLRRYLLQIQRQLMEQAVRSWLMLLRDVAYDAEDILDQANTHVLLIQRKAEFYGSLKSKVRDFFSLHHNPLLFQLQLGDKLKSINKRIDDVVEEMHTFNFNVVDNKNNNSDRPWRNRPQTHSYVPESDVIGRDENKKEIVQMLIHDHFEEKVAVVSIVGMGGLGKTTLAQLIYGDENVKNHFQLRIWVCVFDDYDVPKLARNIMLASSEKSYDHTNMEVLQRDLRQLLGQKRYLLVLDDVWNENHMKWDALRQLLLDGAEGSRILVTTRNENYSRIMGARKPYPLQGFIRRKLLGFV >DRNTG_15435.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14946955:14949503:1 gene:DRNTG_15435 transcript:DRNTG_15435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKSTPGILGFHLQDIVDISFGRWAKEEPLLARTACVALDRLSDEDKQKLRCGGMKIFGVPQSLLIGSWLPENVWYAADKAIKSPLFNTSNT >DRNTG_10296.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000433.1:10879:14350:1 gene:DRNTG_10296 transcript:DRNTG_10296.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVNHLLLLLLFFVVTVVSQGHAPFSIVKEKVTHLHFFYQERLTGDNPTAVLAAKPKDTRVDPSNLLPFGAAYVLEAPLTEGQDPNSKVVGKAQGLGVSADQDTTIVVFMVDYGFTSGEFSGSSFSVMSRNPLLETDRELAIVGGRGKFRMARGVYALIVQEKHQPTVDRDRESKEAAIGFHAARGAGRDGKPRPDHCGKVGHTKARCYELIGYPDHWEPRRDGSSKKSANVGSSGRGKQQLKGSSSRGAVAHAEQGGVTDAAQINGASRQLTETEIQ >DRNTG_27901.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10414365:10420285:1 gene:DRNTG_27901 transcript:DRNTG_27901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNENGRNTSKPDRKESRFICDRQSQL >DRNTG_31728.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14253276:14256463:-1 gene:DRNTG_31728 transcript:DRNTG_31728.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARLCCLDEKCGIGSVLAERVEIPYARVEIPQSHLENPQGHVDARCQPYLSVDSARFWRILFILIYNISLSFGRLSARVLRGFWQVFGVVLWIRHRVLLGRRLLGKLLSSSIRRGNGLSIEVTLAPRAKLRLGVFVLDQRTSDNQFQSVEATLCNHTASLHNLENQGGQTAKTLSERSQGSLPSNTETNPREHVKAITLRSGREVEVRFPSEKTNVKVPEVMEVEERANKEKDVAPPPYKRGS >DRNTG_18052.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:45303:48860:-1 gene:DRNTG_18052 transcript:DRNTG_18052.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPRCQSDLSGRTLQAWISEMAAYAKSVDSSHMLDVGMEGFYGESTPERKQFNPGYEVGSDFISNHQVPDIDFATIHAYPEQW >DRNTG_18052.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:45303:46495:-1 gene:DRNTG_18052 transcript:DRNTG_18052.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPRCQSDLSGRTLQAWISEMAAYAKSVDSSHMLDVGMEGFYGESTPERKQFNPGYEVGSDFISNHQVPDIDFATIHAYPEQW >DRNTG_18052.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:45303:48860:-1 gene:DRNTG_18052 transcript:DRNTG_18052.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPRCQSDLSGRTLQAWISEMAAYAKSVDSSHMLDVGMEGFYGESTPERKQFNPGYEVGSDFISNHQVPDIDFATIHAYPEQW >DRNTG_18052.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:45303:48860:-1 gene:DRNTG_18052 transcript:DRNTG_18052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFSSTIGSTCWLSSSWRGKALDFVVSEASKYGLRLILCLVNNWSAYGGKYQYVQWARNAGQYVNSEDDFFSNDVVKGFYKNHIKKVLTRINTITGVAYKDDPTIFAWELMNEPRCQSDLSGRTLQAWISEMAAYAKSVDSSHMLDVGMEGFYGESTPERKQFNPGYEVGSDFISNHQVPDIDFATIHAYPEQW >DRNTG_23917.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3163306:3169322:1 gene:DRNTG_23917 transcript:DRNTG_23917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGALGFPSRLELQRKLWLLVFVLGLCFSGSASEVIFEERFEDGWQSRWVISDWKKSEGKAGTFKHTAGKWAADLDDKGIQTYPDARHFAISAKIPEFSNKNRTLVVQYSIKFEQDIECGGGYIKLMSGYVNQKKFGGDTPYSLMFGPDICGTQTKKLHAILSYQGQNYPIKKDLQCETDKLTHVYTFILRPDASFSLLVDNRERESGSMYTDWDILPPRKIKDVHAKKPKDWDEKEYIDDPNDVKPEGYDSIPSEIPDPKAKKPDTWDDDEDGIWRPPKVPNPAYKGPWKRKRIKNPNYKGKWKIPWIDNPEFEDDPDLYVLKPIKYVGIEVWQVKAGSVFDNILICDDPAYAKQVVEETWAKNREAEKEAFEEAEKIRRAKEEEDAQRAREEGERRRKERGNDRRHRDRERYKDRYRRNRDYLDDDYHDEL >DRNTG_10607.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:62804:64208:-1 gene:DRNTG_10607 transcript:DRNTG_10607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYIVRWVFTGVILWITCFLVIKKVFQDRSFEFCNRLVSTIHACLAVCLASLSVQDWACPVCPLASHSSPFQMKTLAVSVSYLIYDLVCSALDKKVNVDNSVHHIVCIIGLGAGLAYERCGSEMVAALWITEMSSPFLHAREMLKELGYRDTDLNLAVDILFAGIFTFARMFGGPYLMYVTCSADNPLIIKAMAVGLQLVSAFWFYKIVRMVNHKIGRRMMTPSKHS >DRNTG_10607.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:62804:64208:-1 gene:DRNTG_10607 transcript:DRNTG_10607.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYIVRWVFTGVILWITCFLVIKKVFQDRSFEFCNRLVSTIHACLAVCLASLSVQDWACPVCPLASHSSPFQMKTLAVSVSYLIYDLVCSALDKKVNVDNSVHHIVCIIGLGAGLAYERCGSEMVAALWITEMSSPFLHAREMLKELGYRDTDLNLAVDVSILSCQLFSIVLSVIKVTKRIN >DRNTG_05126.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30570344:30574284:1 gene:DRNTG_05126 transcript:DRNTG_05126.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 5 [Source:Projected from Arabidopsis thaliana (AT5G20590) UniProtKB/Swiss-Prot;Acc:F4K5K4] MMLVGDSMNRNQFESMLCVLREGLPDKTKMYETRGYKITKGRGYFIFRFVDYNCTVEFVRSHFLVKEGKRANAQGNSSPTLLIDRVDKSSGRWKKADILIFNTGHWWTHGKTAKGKNYYQEGDVVYPKFDAMQAFRIAMRTWGRWIDENMNPSKKLIFYRGYSTAHFRGGDWDSGGSCKGEKDPILKGPLIETYHPKMKIIEEVIQEMKFPVILLNVTKLTNFRKDGHPSVYGKMTQNQEPKVSSRKQDCSHWCLPGVPDAWNELIYASFVSNQAHFKQ >DRNTG_05126.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30570344:30574284:1 gene:DRNTG_05126 transcript:DRNTG_05126.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 5 [Source:Projected from Arabidopsis thaliana (AT5G20590) UniProtKB/Swiss-Prot;Acc:F4K5K4] MMLVGDSMNRNQFESMLCVLREGLPDKTKMYETRGYKITKGRGYFIFRFVVSILCILSYFSHQLNMWVIHIGCLSTEQDYNCTVEFVRSHFLVKEGKRANAQGNSSPTLLIDRVDKSSGRWKKADILIFNTGHWWTHGKTAKGKNYYQEGDVVYPKFDAMQAFRIAMRTWGRWIDENMNPSKKLIFYRGYSTAHFRGGDWDSGGSCKGEKDPILKGPLIETYHPKMKIIEEVIQEMKFPVILLNVTKLTNFRKDGHPSVYGKMTQNQEPKVSSRKQDCSHWCLPGVPDAWNELIYASFVSNQAHFKQ >DRNTG_14010.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27663547:27670018:-1 gene:DRNTG_14010 transcript:DRNTG_14010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRLLRSKAGLFSRHATASGNCQVCSPWCYTSFYQLSSSVSSLQNESREEKGYKGHGMLAPFTAGWQSTESHPLVIERSEGSYVYDVNGKKYLDALAGLWCTALGGNEPRLVEAATKQLNKLPFYHSFWNRTTKPSLDLAKEILSMFTARKMGKVFFTNGGSDANDSQVKLVWYYNNALGRPNKKKIIARTKS >DRNTG_14010.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27663547:27672107:-1 gene:DRNTG_14010 transcript:DRNTG_14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRLLRSKAGLFSRHATASGNCQVCSPWCYTSFYQLSSSVSSLQNESREEKGYKGHGMLAPFTAGWQSTESHPLVIERSEGSYVYDVNGKKYLDALAGLWCTALGGNEPRLVEAATKQLNKLPFYHSFWNRTTKPSLDLAKEILSMFTARKMGKVFFTNGGSDANDSQVKLVWYYNNALGRPNKKKIIARTKSYHGSTLIAASLSGLPALHQKFDLPAPFVLHTDCPHYWRFQLPGETEEEFLNQVS >DRNTG_14010.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27663547:27672107:-1 gene:DRNTG_14010 transcript:DRNTG_14010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRLLRSKAGLFSRHATASGNCQVCSPWCYTSFYQLSSSVSSLQNESREEKGYKGHGMLAPFTAGWQSTESHPLVIERSEGSYVYDVNGKKYLDALAGLWCTALGGNEPRLVEAATKQLNKLPFYHSFWNRTTKPSLDLAKEILSMFTARKMGKVFFTNGGSDANDSQVKLVWYYNNALGRPNKKKIIARTKS >DRNTG_14010.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27663547:27670018:-1 gene:DRNTG_14010 transcript:DRNTG_14010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRLLRSKAGLFSRHATASGNCQVCSPWCYTSFYQLSSSVSSLQNESREEKGYKGHGMLAPFTAGWQSTESHPLVIERSEGSYVYDVNGKKYLDALAGLWCTALGGNEPRLVEAATKQLNKLPFYHSFWNRTTKPSLDLAKEILSMFTARKMGKVFFTNGGSDANDSQVKLVWYYNNALGRPNKKKIIARTKSYHGSTLIAASLSGLPALHQKFDLPAPFVLHTDCPHYWRFQLPGETEEEFLNQVS >DRNTG_35183.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18721099:18721347:-1 gene:DRNTG_35183 transcript:DRNTG_35183.1 gene_biotype:protein_coding transcript_biotype:protein_coding AHTFVNFIRGHRKILFICLFIFLTSGLFNQANQNRHHLKRILKVSTEQLSKIKKTSTPNSQRHQTRSRGNTANYYSHNCQRQ >DRNTG_08704.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18055822:18057434:-1 gene:DRNTG_08704 transcript:DRNTG_08704.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSTRIWRTRRSGSCITGDNDTTTTSSASPLKVLNLVDT >DRNTG_08704.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18055898:18057501:-1 gene:DRNTG_08704 transcript:DRNTG_08704.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSTRIWRTRRSGSCITGDNDTTTTSSASPLKVLNLVDT >DRNTG_08704.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18055898:18057434:-1 gene:DRNTG_08704 transcript:DRNTG_08704.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSTRIWRTRRSGSCITGDNDTTTTSSASPLKVLNLVDT >DRNTG_08704.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18055898:18057625:-1 gene:DRNTG_08704 transcript:DRNTG_08704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSTRIWRTRRSGSCITGDNDTTTTSSASPLKVLNLVDT >DRNTG_08704.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18055924:18057625:-1 gene:DRNTG_08704 transcript:DRNTG_08704.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSTRIWRTRRSGSCITGDNDTTTTSSASPLKVLNLVDT >DRNTG_08704.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18055924:18057501:-1 gene:DRNTG_08704 transcript:DRNTG_08704.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSTRIWRTRRSGSCITGDNDTTTTSSASPLKVLNLVDT >DRNTG_08704.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18055924:18057434:-1 gene:DRNTG_08704 transcript:DRNTG_08704.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSTRIWRTRRSGSCITGDNDTTTTSSASPLKVLNLVDT >DRNTG_08704.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18055822:18057501:-1 gene:DRNTG_08704 transcript:DRNTG_08704.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSTRIWRTRRSGSCITGDNDTTTTSSASPLKVLNLVDT >DRNTG_19900.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18253614:18258288:1 gene:DRNTG_19900 transcript:DRNTG_19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDRNGPIDEQGEEDRREESKRDRHRKLKRKKDESSSDGGSSDSEEDRKLKKKKNKKKRRSRSSRRGRRDSSESEEWSSSYDSYTDSESEELDGGDELGSESEDELRRRKRKERRRREREHEKERKRRKEKKRKRDKEEKRRKKADKDKRKKNKEKSMGKTGAVTNSWGKYGVIKETDMWNKRPEFTAWLAEVKKVNLESLANWEEKQMFKEFMEDHNTATFPSKKYYDLDAYHKHKMEKEIKKGYKKESVAERTVFNDEEQRRQELLRAREKQKEEEIEVLKHNMQSGMAQAMKEQARLREEMVYQYRVGNLEAANAIQRRLDPDVAM >DRNTG_32958.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30859835:30862841:1 gene:DRNTG_32958 transcript:DRNTG_32958.16 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAKAAVNGVEYDVESDDDEPREIVNVKVDDGDEDEEEQDGSGDDEGEEEEDGSDDDEDEEDSDDDDDEEAASDEEDLGTEYLIQPVGRAEDEEDASDFEPNNESDVDEDIDDEEEEEEANDDDAGRVEASSKRRRPSTDGAVRNGEDDDDERPSKR >DRNTG_32958.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30859835:30862646:1 gene:DRNTG_32958 transcript:DRNTG_32958.18 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAKAAVNGVEYDVESDDDEPREIVNVKVDDGDEDEEEQDGSGDDEGEEEEDGSDDDEDEEDSDDDDDEEAASDEEDLGTEYLIQPVGRAEDEEDASDFEPNNESDVDEDIDDEEEEEEANDDDAGRVEASSKRRRPSTDGAVRNGEDDDDERPSKR >DRNTG_32958.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30858891:30862039:1 gene:DRNTG_32958 transcript:DRNTG_32958.13 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAKAAVNGVEYDVESDDDEPREIVNVKVDDGDEDEEEQDGSGDDEGEEEEDGSDDDEDEEDSDDDDDEEAASDELPQGSFCRVM >DRNTG_32958.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30855899:30862646:1 gene:DRNTG_32958 transcript:DRNTG_32958.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRGCTRNEVTYTVLIHGLCENGRVREASYLFSRMEDDGCFRDVHTYTVMIGSLCKESRMQHAEQMVNDMSEKGLVPNVATYNALIDGYCKAGKTDVALGVMELMEKNECKPNVRTYTELISGYCKERKVHKGMSLLSKMVEAGLAPNLVTYTTLIQGQCDEGHVDDASQLLDLMYGEGMVPNQWTCSILIEALCKVGRIEEACLFFDSLVQKGIGVNQVVYTALIDGLCKAGKIDIAHSLLDKMISQVCLPDSHTYSALIDGLCKDKKLQEATLLLNDMLEKGIICTVATYTILIDAMLKEGRLSQCKADIGLYDFFRVQT >DRNTG_32958.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30855899:30862646:1 gene:DRNTG_32958 transcript:DRNTG_32958.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRGCTRNEVTYTVLIHGLCENGRVREASYLFSRMEDDGCFRDVHTYTVMIGSLCKESRMQHAEQMVNDMSEKGLVPNVATYNALIDGYCKAGKTDVALGVMELMEKNECKPNVRTYTELISGYCKERKVHKGMSLLSKMVEAGLAPNLVTYTTLIQGQCDEGHVDDASQLLDLMYGEGMVPNQWTCSILIEALCKVGRIEEACLFFDSLVQKGIGVNQVVYTALIDGLCKAGKIDIAHSLLDKMISQVCLPDSHTYSALIDGLCKDKKLQEATLLLNDMLEKGIICTVATYTILIDAMLKEGRLSQCKADIGLYDFFRVQT >DRNTG_32958.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30855899:30862039:1 gene:DRNTG_32958 transcript:DRNTG_32958.10 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRGCTRNEVTYTVLIHGLCENGRVREASYLFSRMEDDGCFRDVHTYTVMIGSLCKESRMQHAEQMVNDMSEKGLVPNVATYNALIDGYCKAGKTDVALGVMELMEKNECKPNVRTYTELISGYCKERKVHKGMSLLSKMVEAGLAPNLVTYTTLIQGQCDEGHVDDASQLLDLMYGEGMVPNQWTCSILIEALCKVGRIEEACLFFDSLVQKGIGVNQVVYTALIDGLCKAGKIDIAHSLLDKMISQVCLPDSHTYSALIDGLCKDKKLQEATLLLNDMLEKGIICTVATYTILIDAMLKEGRLSQCKADIGLYDFFRVQT >DRNTG_32958.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30855899:30862039:1 gene:DRNTG_32958 transcript:DRNTG_32958.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLTARIKQRHQPPFPLLLPLALSTAPLAETITLNPQILDSNITSDLVSILSLPNWHRNPSLRRLSPSFTPSLFSDLLQSSNLHPFTALDFFDWLARRPGFRHSVQSHSALLSLLLRSKYQAPLERITTSMIKSCTSVRDLTSILDFFKARPEFSIRCFNLMLMALARFSMMKEMRSLYYEMRKGEILMNDHTYNTMINACCKVGDLDGAKAYLCDLLDGEWSPDTFTYTSFVMGYCRVGDLDGACRVFLLMPFRGCTRNEVTYTVLIHGLCENGRVREASYLFSRMEDDGCFRDVHTYTVMIGSLCKESRMQHAEQMVNDMSEKGLVPNVATYNALIDGYCKAGKTDVALGVMELMEKNECKPNVRTYTELISGYCKERKVHKGMSLLSKMVEAGLAPNLVTYTTLIQGQCDEGHVDDASQLLDLMYGEGMVPNQWTCSILIEALCKVGRIEEACLFFDSLVQKGIGVNQVVYTALIDGLCKAGKIDIAHSLLDKMISQVCLPDSHTYSALIDGLCKDKKLQEATLLLNDMLEKGIICTVATYTILIDAMLKEGRLSQCKADIGLYDFFRVQT >DRNTG_32958.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30855899:30862039:1 gene:DRNTG_32958 transcript:DRNTG_32958.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLTARIKQRHQPPFPLLLPLALSTAPLAETITLNPQILDSNITSDLVSILSLPNWHRNPSLRRLSPSFTPSLFSDLLQSSNLHPFTALDFFDWLARRPGFRHSVQSHSALLSLLLRSKYQAPLERITTSMIKSCTSVRDLTSILDFFKARPEFSIRCFNLMLMALARFSMMKEMRSLYYEMRKGEILMNDHTYNTMINACCKVGDLDGAKAYLCDLLDGEWSPDTFTYTSFVMGYCRVGDLDGACRVFLLMPFRGCTRNEVTYTVLIHGLCENGRVREASYLFSRMEDDGCFRDVHTYTVMIGSLCKESRMQHAEQMVNDMSEKGLVPNVATYNALIDGYCKAGKTDVALGVMELMEKNECKPNVRTYTELISGYCKERKVHKGMSLLSKMVEAGLAPNLVTYTTLIQGQCDEGHVDDASQLLDLMYGEGMVPNQWTCSILIEALCKVGRIEEACLFFDSLVQKGIGVNQVVYTALIDGLCKAGKIDIAHSLLDKMISQVCLPDSHTYSALIDGLCKDKKLQEATLLLNDMLEKGIICTVATYTILIDAMLKEGRLSQCKADIGLYDFFRVQT >DRNTG_32958.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30859835:30862646:1 gene:DRNTG_32958 transcript:DRNTG_32958.17 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAKAAVNGVEYDVESDDDEPREIVNVKVDDGDEDEEEQDGSGDDEGEEEEDGSDDDEDEEDSDDDDDEEAASDEEDLGTEYLIQPVGRAEDEEDASDFEPNNESDVDEDIDDEEEEEEANDDDAGRVEASSKRRRPSTDGAVRNGEDDDDERPSKR >DRNTG_32958.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30859504:30862646:1 gene:DRNTG_32958 transcript:DRNTG_32958.14 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAKAAVNGVEYDVESDDDEPREIVNVKVDDGDEDEEEQDGSGDDEGEEEEDGSDDDEDEEDSDDDDDEEAASDEEDLGTEYLIQPVGRAEDEEDASDFEPNNESDVDEDIDDEEEEEEANDDDAGRVEASSKRRRPSTDGAVRNGEDDDDERPSKR >DRNTG_32958.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30855899:30862039:1 gene:DRNTG_32958 transcript:DRNTG_32958.12 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRGCTRNEVTYTVLIHGLCENGRVREASYLFSRMEDDGCFRDVHTYTVMIGSLCKESRMQHAEQMVNDMSEKGLVPNVATYNALIDGYCKAGKTDVALGVMELMEKNECKPNVRTYTELISGYCKERKVHKGMSLLSKMVEAGLAPNLVTYTTLIQGQCDEGHVDDASQLLDLMYGEGMVPNQWTCSILIEALCKVGRIEEACLFFDSLVQKGIGVNQVVYTALIDGLCKAGKIDIAHSLLDKMISQVCLPDSHTYSALIDGLCKDKKLQEATLLLNDMLEKGIICTVATYTILIDAMLKEGRLSQCKADIGLYDFFRVQT >DRNTG_32958.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30855899:30862646:1 gene:DRNTG_32958 transcript:DRNTG_32958.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLTARIKQRHQPPFPLLLPLALSTAPLAETITLNPQILDSNITSDLVSILSLPNWHRNPSLRRLSPSFTPSLFSDLLQSSNLHPFTALDFFDWLARRPGFRHSVQSHSALLSLLLRSKYQAPLERITTSMIKSCTSVRDLTSILDFFKARPEFSIRCFNLMLMALARFSMMKEMRSLYYEMRKGEILMNDHTYNTMINACCKVGDLDGAKAYLCDLLDGEWSPDTFTYTSFVMGYCRVGDLDGACRVFLLMPFRGCTRNEVTYTVLIHGLCENGRVREASYLFSRMEDDGCFRDVHTYTVMIGSLCKESRMQHAEQMVNDMSEKGLVPNVATYNALIDGYCKAGKTDVALGVMELMEKNECKPNVRTYTELISGYCKERKVHKGMSLLSKMVEAGLAPNLVTYTTLIQGQCDEGHVDDASQLLDLMYGEGMVPNQWTCSILIEALCKVGRIEEACLFFDSLVQKGIGVNQVVYTALIDGLCKAGKIDIAHSLLDKMISQVCLPDSHTYSALIDGLCKDKKLQEATLLLNDMLEKGIICTVATYTILIDAMLKEGRLSQCKADIGLYDFFRVQT >DRNTG_32958.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30855899:30862646:1 gene:DRNTG_32958 transcript:DRNTG_32958.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRGCTRNEVTYTVLIHGLCENGRVREASYLFSRMEDDGCFRDVHTYTVMIGSLCKESRMQHAEQMVNDMSEKGLVPNVATYNALIDGYCKAGKTDVALGVMELMEKNECKPNVRTYTELISGYCKERKVHKGMSLLSKMVEAGLAPNLVTYTTLIQGQCDEGHVDDASQLLDLMYGEGMVPNQWTCSILIEALCKVGRIEEACLFFDSLVQKGIGVNQVVYTALIDGLCKAGKIDIAHSLLDKMISQVCLPDSHTYSALIDGLCKDKKLQEATLLLNDMLEKGIICTVATYTILIDAMLKEGRLSQCKADIGLYDFFRVQT >DRNTG_32958.21 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30859835:30862039:1 gene:DRNTG_32958 transcript:DRNTG_32958.21 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAKAAVNGVEYDVESDDDEPREIVNVKVDDGDEDEEEQDGSGDDEGEEEEDGSDDDEDEEDSDDDDDEEAASDELPQGSFCRVM >DRNTG_32958.23 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30859835:30862039:1 gene:DRNTG_32958 transcript:DRNTG_32958.23 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAKAAVNGVEYDVESDDDEPREIVNVKVDDGDEDEEEQDGSGDDEGEEEEDGSDDDEDEEDSDDDDDEEAASDELPQGSFCRVM >DRNTG_32958.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30855899:30862039:1 gene:DRNTG_32958 transcript:DRNTG_32958.11 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRGCTRNEVTYTVLIHGLCENGRVREASYLFSRMEDDGCFRDVHTYTVMIGSLCKESRMQHAEQMVNDMSEKGLVPNVATYNALIDGYCKAGKTDVALGVMELMEKNECKPNVRTYTELISGYCKERKVHKGMSLLSKMVEAGLAPNLVTYTTLIQGQCDEGHVDDASQLLDLMYGEGMVPNQWTCSILIEALCKVGRIEEACLFFDSLVQKGIGVNQVVYTALIDGLCKAGKIDIAHSLLDKMISQVCLPDSHTYSALIDGLCKDKKLQEATLLLNDMLEKGIICTVATYTILIDAMLKEGRLSQCKADIGLYDFFRVQT >DRNTG_32958.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30859835:30862841:1 gene:DRNTG_32958 transcript:DRNTG_32958.15 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAKAAVNGVEYDVESDDDEPREIVNVKVDDGDEDEEEQDGSGDDEGEEEEDGSDDDEDEEDSDDDDDEEAASDEEDLGTEYLIQPVGRAEDEEDASDFEPNNESDVDEDIDDEEEEEEANDDDAGRVEASSKRRRPSTDGAVRNGEDDDDERPSKR >DRNTG_32958.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30855899:30862646:1 gene:DRNTG_32958 transcript:DRNTG_32958.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRGCTRNEVTYTVLIHGLCENGRVREASYLFSRMEDDGCFRDVHTYTVMIGSLCKESRMQHAEQMVNDMSEKGLVPNVATYNALIDGYCKAGKTDVALGVMELMEKNECKPNVRTYTELISGYCKERKVHKGMSLLSKMVEAGLAPNLVTYTTLIQGQCDEGHVDDASQLLDLMYGEGMVPNQWTCSILIEALCKVGRIEEACLFFDSLVQKGIGVNQVVYTALIDGLCKAGKIDIAHSLLDKMISQVCLPDSHTYSALIDGLCKDKKLQEATLLLNDMLEKGIICTVATYTILIDAMLKEGRLSQCKADIGLYDFFRVQT >DRNTG_32958.20 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30859835:30862039:1 gene:DRNTG_32958 transcript:DRNTG_32958.20 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAKAAVNGVEYDVESDDDEPREIVNVKVDDGDEDEEEQDGSGDDEGEEEEDGSDDDEDEEDSDDDDDEEAASDELPQGSFCRVM >DRNTG_32958.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30859835:30862646:1 gene:DRNTG_32958 transcript:DRNTG_32958.19 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAKAAVNGVEYDVESDDDEPREIVNVKVDDGDEDEEEQDGSGDDEGEEEEDGSDDDEDEEDSDDDDDEEAASDEEDLGTEYLIQPVGRAEDEEDASDFEPNNESDVDEDIDDEEEEEEANDDDAGRVEASSKRRRPSTDGAVRNGEDDDDERPSKR >DRNTG_32958.22 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30859835:30862039:1 gene:DRNTG_32958 transcript:DRNTG_32958.22 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAKAAVNGVEYDVESDDDEPREIVNVKVDDGDEDEEEQDGSGDDEGEEEEDGSDDDEDEEDSDDDDDEEAASDELPQGSFCRVM >DRNTG_32958.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30855899:30862841:1 gene:DRNTG_32958 transcript:DRNTG_32958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRGCTRNEVTYTVLIHGLCENGRVREASYLFSRMEDDGCFRDVHTYTVMIGSLCKESRMQHAEQMVNDMSEKGLVPNVATYNALIDGYCKAGKTDVALGVMELMEKNECKPNVRTYTELISGYCKERKVHKGMSLLSKMVEAGLAPNLVTYTTLIQGQCDEGHVDDASQLLDLMYGEGMVPNQWTCSILIEALCKVGRIEEACLFFDSLVQKGIGVNQVVYTALIDGLCKAGKIDIAHSLLDKMISQVCLPDSHTYSALIDGLCKDKKLQEATLLLNDMLEKGIICTVATYTILIDAMLKEGRLSQCKADIGLYDFFRVQT >DRNTG_32958.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30855899:30862841:1 gene:DRNTG_32958 transcript:DRNTG_32958.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRGCTRNEVTYTVLIHGLCENGRVREASYLFSRMEDDGCFRDVHTYTVMIGSLCKESRMQHAEQMVNDMSEKGLVPNVATYNALIDGYCKAGKTDVALGVMELMEKNECKPNVRTYTELISGYCKERKVHKGMSLLSKMVEAGLAPNLVTYTTLIQGQCDEGHVDDASQLLDLMYGEGMVPNQWTCSILIEALCKVGRIEEACLFFDSLVQKGIGVNQVVYTALIDGLCKAGKIDIAHSLLDKMISQVCLPDSHTYSALIDGLCKDKKLQEATLLLNDMLEKGIICTVATYTILIDAMLKEGRLSQCKADIGLYDFFRVQT >DRNTG_32958.24 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30859835:30862039:1 gene:DRNTG_32958 transcript:DRNTG_32958.24 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAKAAVNGVEYDVESDDDEPREIVNVKVDDGDEDEEEQDGSGDDEGEEEEDGSDDDEDEEDSDDDDDEEAASDELPQGSFCRVM >DRNTG_30124.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31000679:31006833:1 gene:DRNTG_30124 transcript:DRNTG_30124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQTCLAFETTQWTACMTIHPRMNLKMIIIIDEMDPKIEMSRQSDNSNGRARMPRMMSASCRAKIQPLPSV >DRNTG_27111.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18903376:18909217:-1 gene:DRNTG_27111 transcript:DRNTG_27111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCATSSANKGEENTKRLRKPKPWKNTQPITRTELIRMRDEFWDTAPHYGGQKEIWDALRVAAESELSLAQTIIDSAGIIVTSADMTTCYDERGAKYELPKYVLSEPTNLIRDN >DRNTG_28506.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14836338:14841728:1 gene:DRNTG_28506 transcript:DRNTG_28506.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGLSGVCPSSGSSRRLSRGGPAGRDVT >DRNTG_28506.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14834645:14841728:1 gene:DRNTG_28506 transcript:DRNTG_28506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGLSGVCPSSGSSRRLSRGGPAGRDVT >DRNTG_28506.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14838642:14841728:1 gene:DRNTG_28506 transcript:DRNTG_28506.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGLSGVCPSSGSSRRLSRGGPAGRDVT >DRNTG_20946.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28102663:28103704:1 gene:DRNTG_20946 transcript:DRNTG_20946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTNRSSELKQPEFSQNATFNMAPSSQGHLETDLGLNLQLFSGERVQGRYPGYSSSVTAGLMTHTNMSSDLKQPEFLQNTMFNMAPSSQGHLDTDLGLNLQLFSGERVQGRHPGYASSVAADSMTQINRSSDLKLPEYSSSSIFSVAPSSREALLGGNAQLLYGKGKQVAYPSNDTTTNAGFMAQNDSSFDMTQLEYWLGNIFNRAQSSTGTLESIFGGNSQLLSGKGNQAVYRSNASSSTAHAMTQTISSSEPKEPAPQTAFNLPYLQAQLGQWNPPGQQPFSGFEGSRPSSPVGEFSGVASFDEHANPSYLPGPTKDA >DRNTG_10787.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3534260:3535819:-1 gene:DRNTG_10787 transcript:DRNTG_10787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTQASIGDLTFKGFGDLIKLLPTGTVFLFQFLNPLLTNTGHCHTFNKYLSGLLLFVCSFSCCFSSFTDSYTGSDGKVYYGIVTKKGLWSFSDPNARSIDLSKYKLRFGDFVHSSLALVVFAVIALLDNNTVSCFYPSLETEEKTLMTILPTIVGGLSSFVFMLFPNNRHGIGYPATQPAQD >DRNTG_14584.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000708.1:7924:8414:-1 gene:DRNTG_14584 transcript:DRNTG_14584.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMGMVCRVRTGVFALVLPAPEIAEDEGDDAGASQPAPEPQPASMETEAPPAAEEPPPVRVVRAEVAEIRATHTTQYIELMVRFDILQQILERDVASSFVLQPRTLQAPPVPPAPPSSTPARRTHYMVPLPHSASEPESDLTL >DRNTG_07270.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:11219668:11224289:-1 gene:DRNTG_07270 transcript:DRNTG_07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPGRMIRYTWIEILHKTIRAILQQPFRIDQCPVKPTNHSSASLGLVTPGVLMSCGPHIHGWLLYFSSISKERNDERICLLSLLERTSSTYPEKLSAHECGSDPSGDARSRFDIRFYPVSILFIIPDPEVTFSSPWAVPPNKIDLFGSWSMMAFFY >DRNTG_00047.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21689330:21691939:1 gene:DRNTG_00047 transcript:DRNTG_00047.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASTTLHFTLNTGAKIPSVGLGTWQSEPGVVGQAVIAAVKAGYRHIDCARAYANENEIGLALKKLFDDGVVKREELFVTSKLWSTDHDPEDVPAAMEATLKDLQLDYVDLYLIHWPFRLKKGSALSHENLIPTDIPGTWAAMEKLYESGKARGIGVSNFSTKKLEDLLATARISPAVNQVECHPVWQQTKLRKFCQSKGIHLTAFSPLGSPGTVFIKGDVLSNPTVKMVAQELGKTPAQVAVRWGIQMGHSVLPKSTHETRIKENFDVFSWSIPDHLFVKFFEIEQASSFSLHSICVSFL >DRNTG_00047.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21689330:21691861:1 gene:DRNTG_00047 transcript:DRNTG_00047.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASTTLHFTLNTGAKIPSVGLGTWQSEPGVVGQAVIAAVKAGYRHIDCARAYANENEIGLALKKLFDDGVVKREELFVTSKLWSTDHDPEDVPAAMEATLKDLQLDYVDLYLIHWPFRLKKGSALSHENLIPTDIPGTWAAMEKLYESGKARGIGVSNFSTKKLEDLLATARISPAVNQVECHPVWQQTKLRKFCQSKGIHLTAFSPLGSPGTVFIKGDVLSNPTVKMVAQELGKTPAQVAVRWGIQMGHSVLPKSTHETRIKENFDVFSWSIPDHLFVKFFEIEQARLNRGEFWVNLQGLYKSVEEIWDGEI >DRNTG_00047.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21689330:21691939:1 gene:DRNTG_00047 transcript:DRNTG_00047.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASTTLHFTLNTGAKIPSVGLGTWQSEPGVVGQAVIAAVKAGYRHIDCARAYANENEIGLALKKLFDDGVVKREELFVTSKLWSTDHDPEDVPAAMEATLKDLQLDYVDLYLIHWPFRLKKGSALSHENLIPTDIPGTWAAMEKLYESGKARGIGVSNFSTKKLEDLLATARISPAVNQVECHPVWQQTKLRKFCQSKGIHLTAFSPLGSPGTVFIKGDVLSNPTVKMVAQELGKTPAQVAVRWGIQMGHSVLPKSTHETRIKENFDVFSWSIPDHLFVKFFEIEQASSFSLHSICVSFL >DRNTG_24933.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001317.1:63079:64909:1 gene:DRNTG_24933 transcript:DRNTG_24933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGREPHGRSRGRMAPVRPTAHGKGSCKGHLVAAMMPARP >DRNTG_34456.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18281254:18285401:1 gene:DRNTG_34456 transcript:DRNTG_34456.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein-retaining receptor B [Source:Projected from Arabidopsis thaliana (AT3G25040) UniProtKB/Swiss-Prot;Acc:Q8VWI1] MNIFRLAGDMTHLLSVIVLLLKIHTIKSCAGISLKTQELFALVFASRYLDIVTDFISLYNTIMKLIFLGTSFSIVWYMRRHKIVRRSYDKEQDTFRHYFLILPCFVLALFINEKFTFKEVMWAFSLYLEAVAILPQLVLLQRTRNIDNLTGQYVLLLGAYRALYILNWIYRYITEPNYVHWITWISGTVQTLLYADFFYYYFNSWKNNVKLQLPA >DRNTG_05002.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4436711:4444176:-1 gene:DRNTG_05002 transcript:DRNTG_05002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGGRLVAGSRNRNEFVVINADEFGRPKPLQEVVLQNCQICDDVIELIFNETTNEKELFVACNECAFPVCRTCYEYERREGTQACPQCKTRYKRHKGSPRVEGDEDEDAADDLDKEFSFNNPNWHESSDAKITAHSGQGYGFFSMSGVATSSLWQPGSSINPDVPLLTYGEEVDGISPDHHALVVPAYVGFGGKSHSVSPQGSSFSLQPRAINPDKDIAVYGYGTVAWKDRIAEWKKKQLDDVQKAQLENGYGVGPSGDGPDDSDLPMTDESRQPLSRKLPISSNQINPYRIIIILRLVVLGFFFHYRILHPVPDAYGLWLTSVICEIWFAISWVLDQFPKWYPIERETYLDRLSLRYEKDGKPSELADIDIFVSTVDPMKEPPLITANTVLSILAVDYPVNKVSCYVSDDGAAMLTFEALSETSVFAKKWVPFCKKFNIEPRAPEWYFAQKIDYLKDKVHPDFVKERRAMKREYEQFKVRINALVAMAQKVPEEGWTMQDGAPWPGNNVRDHPGMIQVFLGHNDTLDEDGYRMPRLIYVSREKRPGFNHHKKAGAMNALVRVSAVISNAPYILNVDCDHYINNSKALREAMCFMMDPISGKKVCYVQFPQRFDGIDLHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGFDAPVKEKPPGKTCNCWPKWCCLCCGGKRKNRKGKLKQDKKKRKKSKQREASTQVHALERIDEGCETEESSFVPRQKLEKKFGQSPVFVASTLQENGGLGQGVSFASCLGEAMHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPRRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSKHCPIWYGYGGGLKWLERFSYINSVVYPWTSIPLIVYCTLPAICLLTGNFIIPEISNYASIVFMALFLSIAATGILEMQWGHVGIDDWWRNEQFWVIGGVSSHFFALLQGLLKVIAGVETNFTVTSKGGDDGDFSELYIFKWTSLLIPPITLLVLNIIGVIAGVSNAINNGYESWGPLFGKLFFAVWVILHLYPFLKGLMGKQDKVPTIIVVWSILLASIFSLLWVRVNPFIAKSDGPILEVCGLDCD >DRNTG_05224.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000236.1:47570:52178:1 gene:DRNTG_05224 transcript:DRNTG_05224.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIIEEASDSRRERVPVCVTTIKVWNWEVIQVEDCSRALQQHCSNYCSSTVHSRQRNQRNRESTRACQNYPRPCGNSLRAMYRSRRWSCPIRALFKRLIRPDFWKKVGRGASIEAYPILDEGIFGRRVEDFPQDH >DRNTG_31093.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21328169:21330752:1 gene:DRNTG_31093 transcript:DRNTG_31093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQPSSFSRPAGRGTPVTQGRVFALTREDAEASDDVVAGEDQV >DRNTG_31093.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21328169:21328564:1 gene:DRNTG_31093 transcript:DRNTG_31093.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQPSSFSRPAGRGTPVTQGRVFALTREDAEASDDVVAGIISVHSCYACALFDPGATHSFVSSSFARKNSLYVVDLLHDLCVATPVGGENR >DRNTG_07368.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000335.1:105075:107792:1 gene:DRNTG_07368 transcript:DRNTG_07368.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQTRKGMVLPFQPLSLVFNHVNYYVDMPSEMKNERIEESRLQLLHDVSGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGYIEGIINISGYRKKQETFARVCGYCEQNDIHSPHITVYESLIYSAWLRLAPEINKETRKMFVEEVMELVELNVLREALVGLPGVDGLSTEQRKRLTVAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGHHSANLIEYFEEIPSIKKITEGCNPATWMLEITSSSVEAQLSLDFAEVYGSSSLYQKNQLLIEELSDPAPGSKDLSFSTKYAQSFITQWLVCFWKQHWSYWRDPQYNAIRFFTTIVTGVLFGTIFWNKFHKLNTEQEVFNILGALYGSVFFLGATNCNTVQPVIAIERAVFYREKAAGMYSPLSYAFAQVS >DRNTG_07368.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000335.1:102923:108737:1 gene:DRNTG_07368 transcript:DRNTG_07368.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKCPDRKGIADFLQEVTSKKDQEQYWSFKKQPYRYITVSEFAQSFKLFYIGQELLEDARIPYDRSRAHPSMFAKKKYGISSWELLKACFSREWLLMKRNSFVYVFKTTQITIMSVIALTVFLRTKMPHETIADGGKFFGALFYSLVNVMFNGMAELAMTVDRLPVFYKQRDLLFYPPWAFGLSYWLLKIPLSLVESGIWVVLTYYPIGFAPAASRFFRQYLAFFFTHQMALSLFRFIAAAGRTMVNANTFGMFTLLIVYVLGGFLISKDDIQPWWIWGYWISPMMYGQNAVSINEFLDPRWNTPNNDSNIDAPTVGKAVLKSRGMFTDDRWYWISIGALMGFSFIFNVCFIFSLQYLNPLGNSQALILNEDVEDDSIKNLVSSPEKTSVMTESTKASMAAIFSDTIASSSVEGGNLKRIRTMNKQTRKGMVLPFQPLSLVFNHVNYYVDMPSEMKNERIEESRLQLLHDVSGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGYIEGIINISGYRKKQETFARVCGYCEQNDIHSPHITVYESLIYSAWLRLAPEINKETRKMFVEEVMELVELNVLREALVGLPGVDGLSTEQRKRLTVAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGHHSANLIEYFEEIPSIKKITEGCNPATWMLEITSSSVEAQLSLDFAEVYGSSSLYQKNQLLIEELSDPAPGSKDLSFSTKYAQSFITQWLVCFWKQHWSYWRDPQYNAIRFFTTIVTGVLFGTIFWNKFHKLNTEQEVFNILGALYGSVFFLGATNCNTVQPVIAIERAVFYREKAAGMYSPLSYAFAQVSIEIVYIALQSLLYTLIIYPMLGFSWQADKFLWFLYITFMGLAYFTLYGMMAVALTPGFKIAAIVSSFFFSLWNLFSGFLIFRPLIPIWWRWYYWATPLAWTIYGLAASQLGNLDNLIEIQGQSSKPLNKFLSDNLGYDHSFLGLCGTGSLGFCRSFLLCVCLFHQLSQFPEEVEEV >DRNTG_07368.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000335.1:103792:108737:1 gene:DRNTG_07368 transcript:DRNTG_07368.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLFRFIAAAGRTMVNANTFGMFTLLIVYVLGGFLISKDDIQPWWIWGYWISPMMYGQNAVSINEFLDPRWNTPNNDSNIDAPTVGKAVLKSRGMFTDDRWYWISIGALMGFSFIFNVCFIFSLQYLNPLGNSQALILNEDVEDDSIKNLVSSPEKTSVMTESTKASMAAIFSDTIASSSVEGGNLKRIRTMNKQTRKGMVLPFQPLSLVFNHVNYYVDMPSEMKNERIEESRLQLLHDVSGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGYIEGIINISGYRKKQETFARVCGYCEQNDIHSPHITVYESLIYSAWLRLAPEINKETRKMFVEEVMELVELNVLREALVGLPGVDGLSTEQRKRLTVAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGHHSANLIEYFEEIPSIKKITEGCNPATWMLEITSSSVEAQLSLDFAEVYGSSSLYQKNQLLIEELSDPAPGSKDLSFSTKYAQSFITQWLVCFWKQHWSYWRDPQYNAIRFFTTIVTGVLFGTIFWNKFHKLNTEQEVFNILGALYGSVFFLGATNCNTVQPVIAIERAVFYREKAAGMYSPLSYAFAQVSIEIVYIALQSLLYTLIIYPMLGFSWQADKFLWFLYITFMGLAYFTLYGMMAVALTPGFKIAAIVSSFFFSLWNLFSGFLIFRPLIPIWWRWYYWATPLAWTIYGLAASQLGNLDNLIEIQGQSSKPLNKFLSDNLGYDHSFLGLCGTGSLGFCRSFLLCVCLFHQLSQFPEEVEEV >DRNTG_24323.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:285923:288497:1 gene:DRNTG_24323 transcript:DRNTG_24323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLPSTHIIGIRADFQDYAEVCFKEFGDRVKHWITFNEPWSYSAGGYANGTEAPGRCSSYESEHCTAGDSGTEPYIVAHHQLLAHAAAVAMYRLNYQADQKGKIGITLNSNWFIPFSKSILDIAAAKRSLDFMYGWFMDPLVFGDYPFSMRAYVGNRLPKFTEEQSKLVQGSFDFIGLNYYTSNYAQNIPFSKTVNISYSTDIHAQQSGDRNGVLIGPKAASDWLYVYPPGIRNLLLYTKMKYKNPVIYITENGVDEYNNATLSLEEALKDDMRIDFYNKHLFFVNMAIKEGVDVRGYFAWSLLDNFEWTNGYTVRFGINYVDYKDGLKRYPKSSTKWFTKFLKN >DRNTG_00313.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2177027:2178260:-1 gene:DRNTG_00313 transcript:DRNTG_00313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVSIIPIIPQTLDMPTPFPHLLSPSPPSAVAPAAVVLSQDEQKRVAAYRAVDFVQSGMVLGLGTGSTAVHALDRIADLLRRGVLHDIVGIPTSKQTESIARALKIPLSDLDAHPVIDLSIDGADEVDPFLNLVKGRGGSLLREKMIEGATKKFIVIVDESKMVGRLGGSGLAVPVEIVPFGWSYTLSKLKALFELERGFNAKLRTRVEEDGKEVPFVTDNGNYIIDLYFENGIERDLGMLSDSLLRIVGVAEHGMFLGMATSVIVANKDGEITVINK >DRNTG_30572.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19233918:19234979:-1 gene:DRNTG_30572 transcript:DRNTG_30572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAKSIFLAFFAITSSLCLAFDPSPLQDFCVADFTSQVFVNGFVCKNPTTVTAEDFLLSGLNKPGNTTNPLGVNFTTASVFQLPGLNTLGLTLVRIDYAPGGVNPPHTHPRATEVIVVLEGTVYAGFVSSSPNDTLYSKVLSAGDVFVFPQGLTHFNMNYGHSHAVALVVFNSQNPGAIIDANNLFGATPPINDYLLAKSFQLSKETVDELQAKTWPNPAVRANNVLGVDIL >DRNTG_02877.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:8633094:8633991:1 gene:DRNTG_02877 transcript:DRNTG_02877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESNYQGRLLAIQMINFLLKRVDSKSVTLELSKVVVVLERCQNDRMPFVRRAAFEALQTAKKQSSGRKDPVMSSPDTQNVDSFPEYDTSVDSPLSIGQASCNFDCANSTNGVKRGTPPSPQQVRLVLSVDDVKIYSTPRKLV >DRNTG_22292.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21896203:21901961:-1 gene:DRNTG_22292 transcript:DRNTG_22292.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 27 [Source:Projected from Arabidopsis thaliana (AT5G39040) UniProtKB/Swiss-Prot;Acc:Q0WML0] MGKNLRIKSSPSSRTPLLNQQEESSNGPSDLEEGGAVQAANVGFCRVVRLAKPDAGKLVIATIALLIASTTSILIPKYGGKIIDIVSGEVNTPEEKAQALKYVNNTILEIVLIVLVGSVCAALRAWLFNSASERVVARLRKDLFSHLINQEIAFYDVTRTGELLSRLSEDTQIIKNAATTNLSEALRNLTTTLIGLGFMFTTSWKLTLLALVIVPAISVAVRKFGRFLRELSHKTQAAAAVAASIAEESFGAIRTVRSFAQESYEISRYSEKVDETLKLGLKQAKVVGLFSGGLNAASTLSVVIVVIYGANLTITGSMTTGDLTSFILYSLTVGSSVSALSGVYTTAMKAAGASRRVFQLLDRVSTMSKSGDKCPLGELDGDVELDDVWFAYPSRPSHMVLKGITLKLMPGSKVALVGPSGGGKTTIANLIERFYDPLKGKILINGIPLVEISHEHLHKKVSIVSQEPILFNCSVEENIAYGFDGKASSTEIENAAKMANAHEFVSKFPDQYKTHVGERGIRLSGGQKQRIAIARALLMNPRILLLDEATSALDAESEYLVQDAMDSLMKGRTVLVIAHRLSTVKTADTVAVISDGQIAESGTHDELLEKNGIYTALVKRQLQAPKVEI >DRNTG_10560.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000445.1:36591:38428:-1 gene:DRNTG_10560 transcript:DRNTG_10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRWLESGNSTGVHDTRARAEFPHARVDSLFL >DRNTG_04414.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000207.1:38136:40347:1 gene:DRNTG_04414 transcript:DRNTG_04414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGNPFPDSSMSSMSLPAPYIGMSFTPT >DRNTG_04414.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000207.1:38136:38499:1 gene:DRNTG_04414 transcript:DRNTG_04414.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGNPFPDSSMSSMSLPAPYIGMSFTPT >DRNTG_29739.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:658887:663617:1 gene:DRNTG_29739 transcript:DRNTG_29739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGAAVAVSPAPSPKSPPKYPDLCGRRRLQLEVQILNREIGFLEDELQALDGVQRVSRCCKEVNEFVGARPDPLLPLNKKRRRSCRLWRWIRKNLCCSFSWLCCCSSSCLPSCCSNSCLCPKNCTCCQCKYKCPSTCCSGASCCWLFSCCKPPCCDSSCCNKPQCPKDSCFNCQCPESSCCKPQCPNISCCKPRCPKCSCCEPCSCSISCCNCKPKCPKISCCNPCKSCGVSCCCKPQCPEGSCCCIPQCPEFPCCCGLSSCCKMFSSSCWPTCGSKGSCLPVSLLSSSEMQFMQCMQCRYVWVLEMVVLFLSEHFMP >DRNTG_00907.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21597928:21599699:1 gene:DRNTG_00907 transcript:DRNTG_00907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSLMESGFESNQFPTPTLSLLEI >DRNTG_02062.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000103.1:74787:78004:1 gene:DRNTG_02062 transcript:DRNTG_02062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAVDGEAKEIWKGGAGSVLSLASGSSIPVRYHSLFASHDDLLLLEVDEKLLPDILNHRTCIRGQPDEDAVLCTPTATYAMKFVGTSNTVLLIPPGDATSSNPEMQVEDTDKQDIPMPQCVASVIKVAPGNIELIQTAPRLEKLKTLLSQRPYRLEEDLDDGLQLKSGLYRWEDLVELIQASEEEIRVGLKSLSAVELDGFWRIVDQKSMDGILDMILNNSILHDWRLNALIEDEVLSIMESDGFPRRIVLHCLDTFGNALDGMEGCVWSLDEKKVCLRFAARVLGAEKMKLEVFMEKWTRNAPSGMQVNLQMLEGEILFEKLN >DRNTG_21286.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27332772:27334750:-1 gene:DRNTG_21286 transcript:DRNTG_21286.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSIQPVPSQDSWERTEHPDIHPWTVKKPPGRPRRKRTKKPDEPKNPYKVSRAGKSVVCGNCHQIGHNIRGCKASVTGESAWQKRVRIQKAKASGTYVPSENPPNKGGRPRKRKNTTEVCYSHVGLQANSTASTQDDVIHSQTTIEQASLARVCFTFI >DRNTG_26983.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001373.1:25446:26789:1 gene:DRNTG_26983 transcript:DRNTG_26983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKDRPHRATTTSGASELFICFTSRPSNTSNSAATTMRVPSAKSLMSPARTGAPSLSSSLSRRLRSSGSVKAGEQSPMFPAAVTAAAARKKGCSFEAAEPSSPKVTCIGQVRVKSKKKKNKCKAAQGAAMARSRSQRRSGGEASFRRAGVGVGEGERECRPWGFCEALRSFGSEINCFVPCRGGGRSSFCSSSKAGFEVEEEKRRKGSSCGGAVFGWMMAVQESEEGKGGEVVGLVVQENGRREVSLVVEKVEEEEKERVEMEVEVEVEESRGSVCVPPKNALLLMRCRSDPVRMAALATRFWGSPAAAKVGAEEEEEEEEEEEEEQQQQQKEEEEAVAVHVETIIEKEEEQEEQPLEQEKEEEEKVEVETAIVENKKNLEEKEEEEEEGQEKEEAK >DRNTG_04624.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8942697:8960349:1 gene:DRNTG_04624 transcript:DRNTG_04624.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIWADTPNHSTATLHTAPISLDEMVKEEVKNVPNDAFHAKGVPNDASSSRGSPSFKSCFLALLLLPKVAQNLPSGLPKIGQEKVL >DRNTG_28823.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4141594:4144017:1 gene:DRNTG_28823 transcript:DRNTG_28823.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEKRVLVTGANGFIGSWLVRALLAKGYSISASVYPGSSTAHLRALPGGADPKRLVFHEADLLDAAALAVAAEGCGAGVFHVASPCSLEDPKDPENELIRPAVEGTVNVLEAARKVGARRVVLMSSISAMIPNPGWAKAHPGRAFDEDSWTDLDYCKANKKWYAVSKTIAEKEAWKYAEKYGLDIVAINPSTCLGPLLQPGLNASSAVLQQLLQGSTNDQGDYWLGCVHVRDVADALIMLLEKPNASGRHLCTNGIYQFKDFAEIVTKVCPGYNVTRFVGETQPGLVSRKDAAKKLIDLGMAFTPVEDAIKESEESMRENGLLSKPSNNTN >DRNTG_20908.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25385709:25394984:1 gene:DRNTG_20908 transcript:DRNTG_20908.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTPRNELVRLGASTNGRTPTRPRPSITSIDHRSDVIHFLHQLPLTQDSNSTTAHSTCYTIGDDMDVVDEEVDVQHEDGIAAHAELTRDALSLATPIATAGLSTLSSPLAIFYIYDPIWPPYVLSPVSISNLSKCISYSQSHTHCPAYLIYIDTNCPASELLEQEDGEAILSGDFLSAKRKSCTFNFINGEGHLQR >DRNTG_15483.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20933899:20938003:1 gene:DRNTG_15483 transcript:DRNTG_15483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPARKAQRTGKYGAARRRLSLPDLKTPRPALAYPPLQPLAIARRSFDEWPKAGSDDVGEWHQPPTPGAKPAGSNKPGEGLKVDLPSIHTQCEKDQIAFFDKECSKVADHIYLGGDSVARNREILKENGITHVLNCVGFVCPEYFKSDLVYKTLWLQDSPSEDITSILYDVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWRKGQSFDDAFQFVKAARGIANPNVGFACQLLLCQKRVHAIPLSPNSVLRLYRMAPHSSYDALHLVPKMLNDPSPAALDSRGAFILHVLSSIYVWLGKDCEPVMEKDAKAAAFQVVRYEKVQGSIVTVLEGEEPAAFWEAFSSVPISSDNATNAKKEQIESSTKISVGKRRVESYDADFELFRKAITGGVVPPFPSSGPGQETRLPARESNWSILRRKVVCGAISRVFPDSSLVRDSDPRVSRVQRLSSELLTSPPYLSPSSLSSDSSSSSKCSSESPSISPSTSPSTSLTPSPASSSLPDTSLPATKLFRFSNTFGRSDPCLESGPSPSKGPAKSIAERRGSFSLLKLPTLTRKLANSSHPSVANPQEFSENSGFSYGVNYGDSSPHCGNDYLEPQDVGPLHDTQTISRNTVLNDTCPVSSNTSKFLVYRWPNIESITTFDKKDLDSKALFFFLTSDASRIGELGKMLYMWIGKSFKQADLRIQSNSGKDVDEANAIDWNQVGYDFLSLLGLPQDIPVRVVKEEETQDYPDFFSSA >DRNTG_17576.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3576561:3578262:1 gene:DRNTG_17576 transcript:DRNTG_17576.3 gene_biotype:protein_coding transcript_biotype:protein_coding STFICHQLINCLCNSRSELRLDPGSEPDAVYHAGQVVKCRVISSVPASRKINLSFFISPKRTSEDDVCKLGSLVSGVVERLTPGAVVVHVHSHLKGTIANEHLADHLGQATILKSLLRPGYEFDQLLVLGMYVLLIFTPLFFFR >DRNTG_17576.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3568038:3578262:1 gene:DRNTG_17576 transcript:DRNTG_17576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARREEKEEEEKKKLQKRKPIDVGKPQKSFKKSMKDYPARPAPAEPSASLVLQDDDPDFPRGGGRLLSKKEEAEARAEAEEEFDREQRLSKKGGGKGMGKKKGNKKLFGDDEDDLGFLFGEGVTGKLPKYVNRITLKNISPNMKLWGVITEVNSKDLVVSLPGGLRGFVRSEEVADIVLNNGSQDAGSNLPFRIFHVGQLVPGIVLEVDDDKRDGKGNKRILLSLRLSLLYKGLTLEAVQDGMVLTAQVKSIEDHGYILHFGVFSFSGFLPRSCLDGGKATVGQLIQGVVKSIDKARAVVHLDSDPDLVSKSVIKDLKGLSIDQLVPGMMVNVRVHSTLENGIMLSFLTYFTGTADVFHLQDYFPSSSWKDDYKHNKKVTARILFIDPTTRAVGLTLNSDLVLNKPPPCFVKTGDIYEQSRIVRVDKGIGLHLEIPSSPKPFPAYVHMMDFADEGVSKVGKTFKEGGHVRVRILGMRHLEGIAMGTLKASAFEGSVFTHSDVKPGMLVKAKVLAVENFGAIVQFSSGVKALCPLPHMSEFDIVKPDKKFKVGAELLFRVLGCKSKRITVTHKKSLVKSKLSVLSSYADATEGLIAHGWITKIEKHGCFVRFYNGVHGFAHRSELRLDPGSEPDAVYHAGQVVKCRVISSVPASRKINLSFFISPKRTSEDDVCKLGSLVSGVVERLTPGAVVVHVHSHLKGTIANEHLADHLGKPHFLIFTYV >DRNTG_17576.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3568038:3575942:1 gene:DRNTG_17576 transcript:DRNTG_17576.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARREEKEEEEKKKLQKRKPIDVGKPQKSFKKSMKDYPARPAPAEPSASLVLQDDDPDFPRGGGRLLSKKEEAEARAEAEEEFDREQRLSKKGGGKGMGKKKGNKKLFGDDEDDLGFLFGEGVTGKLPKYVNRITLKNISPNMKLWGVITEVNSKDLVVSLPGGLRGFVRSEEVADIVLNNGSQDAGSNLPFRIFHVGQLVPGIVLEVDDDKRDGKGNKRILLSLRLSLLYKGLTLEAVQDGMVLTAQVKSIEDHGYILHFGVFSFSGFLPRSCLDGGKATVGQLIQGVVKSIDKARAVVHLDSDPDLVSKSVIKDLKGLSIDQLVPGMMVNVRVHSTLENGIMLSFLTYFTGTADVFHLQDYFPSSSWKDDYKHNKKVTARILFIDPTTRAVGLTLNSDLVLNKPPPCFVKTGDIYEQSRIVRVDKGIGLHLEIPSSPKPFPAYVHMMDFADEGVSKVGKTFKEGGHVRVRILGMRHLEGIAMGTLKASAFEGSVFTHSDVKPGMLVKAKVLAVENFGAIVQFSSGVKALCPLPHMSEFDIVKPDKKFKVGAELLFRVLGCKSKRITVTHKKSLVKSKLSVLSSYADATEGLIAHGWITKIEKHGCFVRFYNGVHGFAHRPVSFDDFLCCLIFEHQ >DRNTG_20467.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001119.1:7444:8162:1 gene:DRNTG_20467 transcript:DRNTG_20467.1 gene_biotype:protein_coding transcript_biotype:protein_coding YKYNRQVFDQKARCWTEKYAGACKSKDGALGKTSIEVARAETEQINAVSESESRKKLRVIGQKLSLKPKPQISKNESDDKENAAPTRRLSLACSQIHPTDSPVEINQKQKPIHEDTEKTLKRKPESGGWKVPRLQRH >DRNTG_07284.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3656829:3658396:1 gene:DRNTG_07284 transcript:DRNTG_07284.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFSSSSTSSSLSPSNNDDSSIGLILDPSKIQLSSPLSKHSEDFSKSESIEDRFQESNEQS >DRNTG_07284.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3656829:3659239:1 gene:DRNTG_07284 transcript:DRNTG_07284.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFSSSSTSSSLSPSNNDDSSIGLILDPSKIQLSSPLSKHSEDFSKSESIEDRFQESNEQS >DRNTG_11215.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2366811:2367233:1 gene:DRNTG_11215 transcript:DRNTG_11215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLINNMHAILIACMLWKKYYCTELCQRSPNEINGRK >DRNTG_21363.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19261844:19264522:-1 gene:DRNTG_21363 transcript:DRNTG_21363.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATAAVHAQTFWCHECDMSVSLIPSSSPPRCPHCRGDFLESMEDLSLSLALPLPFSASNSDSDDLDLDFHFAVDQDPSPSPSPPRRVCGPSPAPRSAIDAIPTVEISESSLICAVCKDDLPLRSSARRLPCSHLYHSDCIVPWLSLHDSCPLCRSPLEPSAPRGLSSSSVRSDDNLINMLRSLNRRRRRRVVGVESSTRMARVEVGSTGMENSGETVSSRWLEDDGDIVISEIREGLLD >DRNTG_21363.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19260486:19264522:-1 gene:DRNTG_21363 transcript:DRNTG_21363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATAAVHAQTFWCHECDMSVSLIPSSSPPRCPHCRGDFLESMEDLSLSLALPLPFSASNSDSDDLDLDFHFAVDQDPSPSPSPPRRVCGPSPAPRSAIDAIPTVEISESSLICAVCKDDLPLRSSARRLPCSHLYHSDCIVPWLSLHDSCPLCRSPLEPSAPRGLSSSSVRSDDNLINMLRSLNRRRRRRVVGVESSTRMARVEVGSTGMENSGETVSSRWLEDDGDIVISEIREGLLD >DRNTG_21363.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19261844:19264589:-1 gene:DRNTG_21363 transcript:DRNTG_21363.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATAAVHAQTFWCHECDMSVSLIPSSSPPRCPHCRGDFLESMEDLSLSLALPLPFSASNSDSDDLDLDFHFAVDQDPSPSPSPPRRVCGPSPAPRSAIDAIPTVEISESSLICAVCKDDLPLRSSARRLPCSHLYHSDCIVPWLSLHDSCPLCRSPLEPSAPRGLSSSSVRSDDNLINMLRSLNRRRRRRVVGVESSTRMARVEVGSTGMENSGETVSSRWLEDDGDIVISEIREGLLD >DRNTG_21363.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19261844:19264589:-1 gene:DRNTG_21363 transcript:DRNTG_21363.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATAAVHAQTFWCHECDMSVSLIPSSSPPRCPHCRGDFLESMEDLSLSLALPLPFSASNSDSDDLDLDFHFAVDQDPSPSPSPPRRVCGPSPAPRSAIDAIPTVEISESSLICAVCKDDLPLRSSARRLPCSHLYHSDCIVPWLSLHDSCPLCRSPLEPSAPRGLSSSSVRSDDNLINMLRSLNRRRRRRVVGVESSTRMARVEVGSTGMENSGETVSSRWLEDDGDIVISEIREGLLD >DRNTG_21363.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19261844:19264627:-1 gene:DRNTG_21363 transcript:DRNTG_21363.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATAAVHAQTFWCHECDMSVSLIPSSSPPRCPHCRGDFLESMEDLSLSLALPLPFSASNSDSDDLDLDFHFAVDQDPSPSPSPPRRVCGPSPAPRSAIDAIPTVEISESSLICAVCKDDLPLRSSARRLPCSHLYHSDCIVPWLSLHDSCPLCRSPLEPSAPRGLSSSSVRSDDNLINMLRSLNRRRRRRVVGVESSTRMARVEVGSTGMENSGETVSSRWLEDDGDIVISEIREGLLD >DRNTG_02510.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7822939:7826632:1 gene:DRNTG_02510 transcript:DRNTG_02510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded DNA-binding protein, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G11060) UniProtKB/Swiss-Prot;Acc:Q84J78] MSFDGLDEKNEIEDDDFLDDKRELEPQSVDPKKGWGFRGVHRAIICGKIGQAPIQKILRNGKTVTIFTVGTGGMFDQRIVGAENLPRPAQWHRIAVHNEHLGAYAVQQLVKNSAVFVEGDIETRVYNDSITGQIKNVPEICVRRDGKIRLIKSGDTATNISLDELREGLF >DRNTG_02510.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7822939:7826632:1 gene:DRNTG_02510 transcript:DRNTG_02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded DNA-binding protein, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G11060) UniProtKB/Swiss-Prot;Acc:Q84J78] MSSLARIFPKCLRLYSREFSPSFALQKCSKSWHSTMSFDGLDEKNEIEDDDFLDDKRELEPQSVDPKKGWGFRGVHRAIICGKIGQAPIQKILRNGKTVTIFTVGTGGMFDQRIVGAENLPRPAQWHRIAVHNEHLGAYAVQQLVKNSAVFVEGDIETRVYNDSITGQIKNVPEICVRRDGKIRLIKSGDTATNISLDELREGLF >DRNTG_27382.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001395.1:13691:15407:1 gene:DRNTG_27382 transcript:DRNTG_27382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPIVKVVLGSIAFGIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVIFRVISPDEAYAAIDLPILGLLFGTMVVSIYLERADMFKYLGKLLCWKSQGGKDLLCRIVIVSAVSSALFTNDTCCVVLTEFILKIARQNNLPPQPFLLALASSANIGSSATPIGNPQNLVIAVQSKISFGKFLFGILPAMLAGIVVNCIILLCYFWKLLSVQKDVEVVVSASEVVAEDDVISHRFSPATMSHVGSPNSQQWTSAIDGVMPSFQSGRDAGQNESLRNRTFDNENNIQAVLNDAVDSAKISTSSREAAGSAGTSQRRDEPASTRLMRTFSSHTNGSRELTSLQSEDGKEGLIERWKILLWKTSVYLVTLGMLISLLMGLNMSWTAVTAALALIVLDFKDAGPSLEKVSYSLLIFFCGMFITVDGFNRTGIPSALWDFMEPHAQINSVGGTALLSLVIIVLSNIASNVPTGKL >DRNTG_32276.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001815.1:7537:9162:1 gene:DRNTG_32276 transcript:DRNTG_32276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLETLRSMGMLQRVYTARGSEYHVRRPKDTTATPAPPPPIAVASPPRPSFFRPYNTHGMKSVSKPTNEGNSSKCLMQAWEEHERAISPWFLLSGISLIVSKSTGEARGAFSL >DRNTG_22229.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1309405:1310501:1 gene:DRNTG_22229 transcript:DRNTG_22229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLQPCSSFSTKFGKAPSSRPPSSSSS >DRNTG_25840.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:624797:628725:1 gene:DRNTG_25840 transcript:DRNTG_25840.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNGEKMRMNEELCMKDPLKWKVAGEGLKGSHLDEVKKMVEDFRKPLVELQGASLKISQVAAVATAAVEAPVRVELAELARGRVKASSDWVMDSMNKGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGGGKESGNTLPSSATRAAMLVRINTLLQGYSGIRFEILEAITSLLNNNMTPCLPLRGTITASGDLVPLSYIAGVLTGRPNSKVTTPEGKIVNAEEAFKLAGINGGFFDLQPKEGLALVNGTAVGSGLASIVLFDANILAILAEVLSAVFCEVMQGKPEYTDHLTHKLKHHPGQIEAAAIMEHILDGSSYMKMAKKIHEQDPLQKPKQDRYALRTSPQWLGPQIEVIRMATKSIEREINSVNDNPLIDVARNKALHGGNFQGTPIGVSMDNARLAIAAIGKLMFAQISELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQYLANPVTNHVESAEQHNQDVNSLGLISARKTAEAVEILKLMTSTFLVALCQAIDLRHLEENLKQTVKNTVSQVAKRVLTMGANGELHPSRFCEKELIKVVDREYVFAYIDDPCSDIYPLMQKLRQVLVEHALKNGDKEKDVNSSIFQKIAVFEDELKAVLPKETEGARTAFENGNCAIDNRIKECRSYPLYRFVREELGTSLLTGEKVRSPGEEFDKVFTAISHGKVIDPLFECLKEWNGEPLPIC >DRNTG_25840.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:624755:628725:1 gene:DRNTG_25840 transcript:DRNTG_25840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNGEKMRMNEELCMKDPLKWKVAGEGLKGSHLDEVKKMVEDFRKPLVELQGASLKISQVAAVATAAVEAPVRVELAELARGRVKASSDWVMDSMNKGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGGGKESGNTLPSSATRAAMLVRINTLLQGYSGIRFEILEAITSLLNNNMTPCLPLRGTITASGDLVPLSYIAGVLTGRPNSKVTTPEGKIVNAEEAFKLAGINGGFFDLQPKEGLALVNGTAVGSGLASIVLFDANILAILAEVLSAVFCEVMQGKPEYTDHLTHKLKHHPGQIEAAAIMEHILDGSSYMKMAKKIHEQDPLQKPKQDRYALRTSPQWLGPQIEVIRMATKSIEREINSVNDNPLIDVARNKALHGGNFQGTPIGVSMDNARLAIAAIGKLMFAQISELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQYLANPVTNHVESAEQHNQDVNSLGLISARKTAEAVEILKLMTSTFLVALCQAIDLRHLEENLKQTVKNTVSQVAKRVLTMGANGELHPSRFCEKELIKVVDREYVFAYIDDPCSDIYPLMQKLRQVLVEHALKNGDKEKDVNSSIFQKIAVFEDELKAVLPKETEGARTAFENGNCAIDNRIKECRSYPLYRFVREELGTSLLTGEKVRSPGEEFDKVFTAISHGKVIDPLFECLKEWNGEPLPIC >DRNTG_25840.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:624797:628777:1 gene:DRNTG_25840 transcript:DRNTG_25840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNGEKMRMNEELCMKDPLKWKVAGEGLKGSHLDEVKKMVEDFRKPLVELQGASLKISQVAAVATAAVEAPVRVELAELARGRVKASSDWVMDSMNKGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGGGKESGNTLPSSATRAAMLVRINTLLQGYSGIRFEILEAITSLLNNNMTPCLPLRGTITASGDLVPLSYIAGVLTGRPNSKVTTPEGKIVNAEEAFKLAGINGGFFDLQPKEGLALVNGTAVGSGLASIVLFDANILAILAEVLSAVFCEVMQGKPEYTDHLTHKLKHHPGQIEAAAIMEHILDGSSYMKMAKKIHEQDPLQKPKQDRYALRTSPQWLGPQIEVIRMATKSIEREINSVNDNPLIDVARNKALHGGNFQGTPIGVSMDNARLAIAAIGKLMFAQISELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQYLANPVTNHVESAEQHNQDVNSLGLISARKTAEAVEILKLMTSTFLVALCQAIDLRHLEENLKQTVKNTVSQVAKRVLTMGANGELHPSRFCEKELIKVVDREYVFAYIDDPCSDIYPLMQKLRQVLVEHALKNGDKEKDVNSSIFQKIAVFEDELKAVLPKETEGARTAFENGNCAIDNRIKECRSYPLYRFVREELGTSLLTGEKVRSPGEEFDKVFTAISHGKVIDPLFECLKEWNGEPLPIC >DRNTG_25840.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:624755:628777:1 gene:DRNTG_25840 transcript:DRNTG_25840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNGEKMRMNEELCMKDPLKWKVAGEGLKGSHLDEVKKMVEDFRKPLVELQGASLKISQVAAVATAAVEAPVRVELAELARGRVKASSDWVMDSMNKGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGGGKESGNTLPSSATRAAMLVRINTLLQGYSGIRFEILEAITSLLNNNMTPCLPLRGTITASGDLVPLSYIAGVLTGRPNSKVTTPEGKIVNAEEAFKLAGINGGFFDLQPKEGLALVNGTAVGSGLASIVLFDANILAILAEVLSAVFCEVMQGKPEYTDHLTHKLKHHPGQIEAAAIMEHILDGSSYMKMAKKIHEQDPLQKPKQDRYALRTSPQWLGPQIEVIRMATKSIEREINSVNDNPLIDVARNKALHGGNFQGTPIGVSMDNARLAIAAIGKLMFAQISELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQYLANPVTNHVESAEQHNQDVNSLGLISARKTAEAVEILKLMTSTFLVALCQAIDLRHLEENLKQTVKNTVSQVAKRVLTMGANGELHPSRFCEKELIKVVDREYVFAYIDDPCSDIYPLMQKLRQVLVEHALKNGDKEKDVNSSIFQKIAVFEDELKAVLPKETEGARTAFENGNCAIDNRIKECRSYPLYRFVREELGTSLLTGEKVRSPGEEFDKVFTAISHGKVIDPLFECLKEWNGEPLPIC >DRNTG_25840.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:624755:628872:1 gene:DRNTG_25840 transcript:DRNTG_25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNGEKMRMNEELCMKDPLKWKVAGEGLKGSHLDEVKKMVEDFRKPLVELQGASLKISQVAAVATAAVEAPVRVELAELARGRVKASSDWVMDSMNKGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGGGKESGNTLPSSATRAAMLVRINTLLQGYSGIRFEILEAITSLLNNNMTPCLPLRGTITASGDLVPLSYIAGVLTGRPNSKVTTPEGKIVNAEEAFKLAGINGGFFDLQPKEGLALVNGTAVGSGLASIVLFDANILAILAEVLSAVFCEVMQGKPEYTDHLTHKLKHHPGQIEAAAIMEHILDGSSYMKMAKKIHEQDPLQKPKQDRYALRTSPQWLGPQIEVIRMATKSIEREINSVNDNPLIDVARNKALHGGNFQGTPIGVSMDNARLAIAAIGKLMFAQISELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQYLANPVTNHVESAEQHNQDVNSLGLISARKTAEAVEILKLMTSTFLVALCQAIDLRHLEENLKQTVKNTVSQVAKRVLTMGANGELHPSRFCEKELIKVVDREYVFAYIDDPCSDIYPLMQKLRQVLVEHALKNGDKEKDVNSSIFQKIAVFEDELKAVLPKETEGARTAFENGNCAIDNRIKECRSYPLYRFVREELGTSLLTGEKVRSPGEEFDKVFTAISHGKVIDPLFECLKEWNGEPLPIC >DRNTG_19928.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18934609:18941190:-1 gene:DRNTG_19928 transcript:DRNTG_19928.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAKVKLAWIEKAAPRNVTYKKRKNGLLKKVKDLSTLCDVKACGIIYPCGGTVPEVWSSTPNPMDVLVPFMQESELERRKKMVNQCLEGKDISVLDLETIFSLSWLVDNKLNFVKEKVVKKKAKMVANGE >DRNTG_10073.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26502127:26504593:1 gene:DRNTG_10073 transcript:DRNTG_10073.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPQGRMSHHFRELRVGDFLSVKGPKGRFKYQPGQVRAFGMLAGGSGITPMFQVARAILENPNDATKVHLIYANVTYDDILVKEELDGLATNYPNRFKVYYVLNQPPENWEGGVGFVSKEMIQAHCPAPASDIQILRCGPPPMNKAMGAHLDDLGYSKEMQFQF >DRNTG_10073.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26502127:26502761:1 gene:DRNTG_10073 transcript:DRNTG_10073.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYPQGRMSHHFRELRVGDFLSVKGPKARNSFSSAFKSMMHVMTLHIMMHGLYVNQTYLTLPFCV >DRNTG_10073.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26503183:26504593:1 gene:DRNTG_10073 transcript:DRNTG_10073.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGSGITPMFQVARAILENPNDATKVHLIYANVTYDDILVKEELDGLATNYPNRFKVYYVLNQPPENWEGGVGFVSKEMIQAHCPAPASDIQILRCGPPPMNKAMGAHLDDLGYSKEMQFQF >DRNTG_09920.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1622899:1624777:1 gene:DRNTG_09920 transcript:DRNTG_09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSIDRISDIPDPILQTIISLMPLKSAIRTSVLSRRWRSLWEYNLLSATTLDFAEDFSTNQSPKEFVLCLNRYLLQLQHTKNLEKFSVYFSPFDIFFPDIQRWISFATSKGVKELNLDLSQGFTDPRDGRFHDERPFFTLPDCLLDCKSLTHLSLSRCDLHSSCFSFTNWTQLQSLSIKHVSISKDNLENLLNNCKLLESLSLINAVDGAVFSLTLAPEQRLSKLTLVDDWDAFHLQIFAPNLQSFHYYGKHYLRSHRINVSSLSDAFICPLGCNQDDDQDYADQDYASLFPDLSHVKVLTVNTVILKDLMLTAELRQLVFPIEFHNLQELQLSCRFNDR >DRNTG_09920.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1622496:1624089:1 gene:DRNTG_09920 transcript:DRNTG_09920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSIDRISDIPDPILQTIISLMPLKSAIRTSVLSRRWRSLWEYNLLSATTLDFAEDFSTNQSPKEFVLCLNRYLLQLQHTKNLEKFSVYFSPFDIFFPDIQRWISFATSKGVKELNLDLSQGFTDPRDGRFHDERPFFTLPDCLLDCKSLTHLSLSRCDLHSSCFSFTNWTQLQSLSIKHVSISKDNLENLLNNCKLLESLSLINAVDGAVFSLTLAPEQRLSKLTLVDDWDAFHLQIFAPNLQSFHYYGKHYLRSHRINVSSLSDAFICPLGCNQDDDQDYADQDYASLFPDLSHVKVLTVNTVILKDLMLTAELRQLVFPIEFHNLQELQLSCRFNDR >DRNTG_09920.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1622899:1624777:1 gene:DRNTG_09920 transcript:DRNTG_09920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSIDRISDIPDPILQTIISLMPLKSAIRTSVLSRRWRSLWEYNLLSATTLDFAEDFSTNQSPKEFVLCLNRYLLQLQHTKNLEKFSVYFSPFDIFFPDIQRWISFATSKGVKELNLDLSQGFTDPRDGRFHDERPFFTLPDCLLDCKSLTHLSLSRCDLHSSCFSFTNWTQLQSLSIKHVSISKDNLENLLNNCKLLESLSLINAVDGAVFSLTLAPEQRLSKLTLVDDWDAFHLQIFAPNLQSFHYYGKHYLRSHRINVSSLSDAFICPLGCNQDDDQDYADQDYASLFPDLSHVKVLTVNTVILKDLMLTAELRQLVFPIEFHNLQELQLSCRFNDR >DRNTG_09920.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1624252:1624777:1 gene:DRNTG_09920 transcript:DRNTG_09920.5 gene_biotype:protein_coding transcript_biotype:protein_coding METPQDIDFSHLRVIKMTNFKGWRNEIRLLKFLLEKALCLESLIILVAPLVENGMDLVSQNKQLSESQRLAILSGQISMLPKASSQAQIILCDSSQDDKRIQPVHQGVNHEYNHSEDRYDLISSIRSGVDIQVLI >DRNTG_09920.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1622899:1624089:1 gene:DRNTG_09920 transcript:DRNTG_09920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSIDRISDIPDPILQTIISLMPLKSAIRTSVLSRRWRSLWEYNLLSATTLDFAEDFSTNQSPKEFVLCLNRYLLQLQHTKNLEKFSVYFSPFDIFFPDIQRWISFATSKGVKELNLDLSQGFTDPRDGRFHDERPFFTLPDCLLDCKSLTHLSLSRCDLHSSCFSFTNWTQLQSLSIKHVSISKDNLENLLNNCKLLESLSLINAVDGAVFSLTLAPEQRLSKLTLVDDWDAFHLQIFAPNLQSFHYYGKHYLRSHRINVSSLSDAFICPLGCNQDDDQDYADQDYASLFPDLSHVKVLTVNTVILKDLMLTAELRQLVFPIEFHNLQELQLSCRFNDR >DRNTG_15555.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18042257:18044472:1 gene:DRNTG_15555 transcript:DRNTG_15555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNSLARHLFNVKTKTMERSRCLRVAYYIAEALEYCIKEHALYYDLNPHKVLFDEEGNPCLSCFGLVKNLRDERCFHTNIAYTPPGCLY >DRNTG_28558.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001441.1:8375:12514:-1 gene:DRNTG_28558 transcript:DRNTG_28558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRVPVQHYNLRAANSFITGSLHDLNTVDGRPGEIDGISDVDRDAVTEDSLDDEDESNSVNCLGESYRNSIPLHSVGVDEGRSPLENSGRTSSPNYSILNIDDVLPIENARVRFLQIFVDHFIEQHVVEAPDSSAPDYGHGADKINKRKQGEVQYEGDPRFVLPLMYVANLYENLVSDVNLRLSSLDGIREKTIGVALEAAGGLYRKLAKKFPRKGPCSFKRRELATSLETRTRFPELVVQEEKRVRFVVVNGLAIVEKPSAITIEDMEWFKRLTGRHEVAISARDYKFYSPRHKYRRVTPQSASNIPGMAAFSRE >DRNTG_25754.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21482970:21483878:1 gene:DRNTG_25754 transcript:DRNTG_25754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIIEIMNVRRVKVLLTFLVILCLSAHPLEAQLSKGFHNDTCPQAESIVRKEVFKAFLNDSGLAAGLVRMHFHNAFVTGADGSVLIDSTSNNTAEKDAPTNNPSLRGFEVIDNVKVKLEASCKGKVSCADILAFAARDSILLSSGLFYEVPAGRRDGNVLLASEALANLPPPIANLDQLTRSFAMKT >DRNTG_00825.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000056.1:16349:20181:-1 gene:DRNTG_00825 transcript:DRNTG_00825.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQWFIENNLKLIDTDATMFFHTIASMEWALLRVEALQNLTIFTSAILFVLLPQGTITPGDQTFIWFNYKREFKFNNLPIILCFSCIMLSGLVGLALSYALTLTTSQVYLTRWYCNLDNHIISVERIIQYMHISSEPPAILESNRPPFSWPSKGRIDLQDLQIKYRLNAPLVLKGITCTFPAGHKIGVVGRTGSGKTTLISALFRLVDPAGGRILIDGLDICTIGLKDLRLKLSIIPQEPTLFKGSIRSNLDPLGQYDDREIWEAIRKCQLEPVIRSLPALLDSSVSDDGENWSVGQRQLFCLGRVLLRRNKILVLDEATASIDSATDNIIQQVINQEFSGCTVITIAHRVPTVIDSQMVMLLSYGEVVEYDKPSTLMQCDSSFSKLVAEYWFNHKRNVV >DRNTG_00825.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000056.1:16349:20181:-1 gene:DRNTG_00825 transcript:DRNTG_00825.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTKYQMTIKACALDKDIDSFEYGDLTEIGQRGLNLSGGQKQRIQLARAVYSDADIYLLDDPFSAVDSHTASYLFEKCINGALEKKTVILVTHQIDFLTETNKIMVMKDGKITQSGSYEELLKSGTVFEQLVNAHQSAMKELSTGNHVRKGKNQQTLSAHSNEKIHNVSNKALPKVQLTQEEEMETGNSRWKPYLDYVLIPKSSFLFAMVVFFQSLFIILQAISTFWLAFVTQLPKISDGMLIGIYSAFSILSAFAAFMRSLFGAHLALKASKAFFFGLLESIFKAPMQFFDSTPMGRILTRASSDMSVMDFQVPYSLVFTLVSAIEVIGTVTVMATVTWQVLIIAIPITFAAGQVQTYYLASARKLVRINGTTKAPLTNYAAETSNGVVTIKAFSMIQWFIENNLKLIDTDATMFFHTIASMEWALLRVEALQNLTIFTSAILFVLLPQGTITPGLVGLALSYALTLTTSQVYLTRWYCNLDNHIISVERIIQYMHISSEPPAILESNRPPFSWPSKGRIDLQDLQIKYRLNAPLVLKGITCTFPAGHKIGVVGRTGSGKTTLISALFRLVDPAGGRILIDGLDICTIGLKDLRLKLSIIPQEPTLFKGSIRSNLDPLGQYDDREIWEAIRKCQLEPVIRSLPALLDSSVSDDGENWSVGQRQLFCLGRVLLRRNKILVLDEATASIDSATDNIIQQVINQEFSGCTVITIAHRVPTVIDSQMVMLLSYGEVVEYDKPSTLMQCDSSFSKLVAEYWFNHKRNVV >DRNTG_22454.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17941681:17945334:-1 gene:DRNTG_22454 transcript:DRNTG_22454.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGSYGNAGGGADFHLPDEILSVIPTDPYEQLDLARKITSMAIASRVSRLESETGRLRQKVAEKDRSIAELQDRLSHLEHVFQDADARLQSVLEENIKLSKERDSLALTSKKLARDLAKLETFKRHLMQSLNEDNPSLAETIDIQTYDQSIPKDPWKDECSIGRASSDVVSGSTDMGSMNQDGSRLVTQKSSISPYITPRLTPSATPKITSSGASPRTYSTAGSPKMTSGVTSPTKPRYDGHTALTPWYPQSERSSAASSPPRGRSLPGRTPRIDGKEFFRQARSRLSYEQFGAFLANIKELNAHKQSREETLRKAEEIFGTDCKDLYVSFQGLLNRSLP >DRNTG_22454.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17941681:17945334:-1 gene:DRNTG_22454 transcript:DRNTG_22454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGSYGNAGGGADFHLPDEILSVIPTDPYEQLDLARKITSMAIASRVSRLESETGRLRQKVAEKDRSIAELQDRLSHLEHVFQDADARLQSVLEENIKLSKERDSLALTSKKLARDLAKLETFKRHLMQSLNEDNPSLAETIDIQTYDQSIPKDPWKDECSIGRASSDVVSGSTDMGSMNQDGSRLVTQKSSISPYITPRLTPSATPKITSSGASPRTYSTAGSPKMTSGVTSPTKPRYDGHTALTPWYPQSERSSAASSPPRGRSLPGRTPRIDGKEFFRQARSRLSYEQFGAFLANIKELNAHKQSREETLRKAEEIFGTDCKDLYVSFQGLLNRSLP >DRNTG_16324.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1574268:1575338:-1 gene:DRNTG_16324 transcript:DRNTG_16324.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYEYVPNRSLDTVLFDSVKSEQLDWVRRYKIISGIARGLLYLHEDSQLKIIHRDLKASNILLDEEMNPKISDFGLARLFGCDQTGGTTNRVVGTFGYMAPEYVMRGQYSTKSDIFSYGVLVLEILTGRKNSNFLESEQAEDLLSYTWEHWIRGSISEILDPSLG >DRNTG_16324.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1574268:1575490:-1 gene:DRNTG_16324 transcript:DRNTG_16324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEGTLPDGREIAVKRLSAGSGQGIEELKNELVLVAKLQHRNLVRLLGVCLEEQEKMLVYEYVPNRSLDTVLFDSVKSEQLDWVRRYKIISGIARGLLYLHEDSQLKIIHRDLKASNILLDEEMNPKISDFGLARLFGCDQTGGTTNRVVGTFGYMAPEYVMRGQYSTKSDIFSYGVLVLEILTGRKNSNFLESEQAEDLLSYTWEHWIRGSISEILDPSLG >DRNTG_23022.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3203707:3203947:1 gene:DRNTG_23022 transcript:DRNTG_23022.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITRSTPHCYESDQECNKGNSMLSTSLL >DRNTG_11957.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000523.1:17327:17645:-1 gene:DRNTG_11957 transcript:DRNTG_11957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSEPLDLSKTLANTSQNHSQNPLSSWGKDGEKNAEIWAKSALNKAGIGRPHGPVDFSHAHVEFPYGRV >DRNTG_18463.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4968154:4969564:-1 gene:DRNTG_18463 transcript:DRNTG_18463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIYLAWAQVTHKWLIEDIPQAAAVVQARCAGKKTNTGYIKGCTVALNIWFYELTGTGKKVRFCKIPRMLCYGENTYRKQATIETSLSSLEGKEFPELVPANADEDIFVRANRRFDAIAPELLAQRQDERFPRLVARVEALEGRSQSTAPSLRTNEAPGTDAASEFDDDENIGEAIRMRPTVVWKNDSVSLTRSRLFNLLERKEMVADDVMDAFVCIIQNSLTIVPYRYKKRTSITRPLALFMSM >DRNTG_10224.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:45514:47101:1 gene:DRNTG_10224 transcript:DRNTG_10224.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTHKQEEADSTLIDAPKYDIDASTSFFGPCTNAYVLAVQAQVNIAAGRFEDAVKLAQKAARLDPSSKDANAAAQKALAVANARSKGNDLFKASKFGEACIAYGEGLDHDAHNAILLCNRAACRSKLGQWEKAIEDCNAALNMRPSYTKARFEES >DRNTG_10224.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:44639:46772:1 gene:DRNTG_10224 transcript:DRNTG_10224.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPMPTSLLPTPSVAALVLTRSPCSATSSHPTCPTVPPKSALNKMLTPHATATCYQKPIVRKQPPSNELSVKTVPGPGPLKRLQSNGLTAELESMIHDHQRTKGSSTLVRASSGNVMLYGNLGNIRAPGAVTTNHHLSKAAAAAAETRENANNDNAVSNSSSSTAIEPVMSMCRALSRRLDPEELKEMGNDEYSKGRYPEAIALYDRAISIRPSGGLLSQ >DRNTG_10224.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:46559:47101:1 gene:DRNTG_10224 transcript:DRNTG_10224.4 gene_biotype:protein_coding transcript_biotype:protein_coding MINSGDSHLIRITAEDQFRHIIMSSGLSVTLFFSKQSEASNPSLPLMEQLCKQYPSINFLKV >DRNTG_10224.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:44639:47548:1 gene:DRNTG_10224 transcript:DRNTG_10224.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPNMTLMRLQAFSVLARMHMYSLYKHKSILRREGLRMQLNWLKRRRVLTLAARMQMQQPRRLLLWQMLDPKAMICLRPPSLEKHVSHMEKALTMMRTMQFCSATVLLADQNWDNGRRPLRTAMLLLTCGLLTPKLVLRRADCNSKLERWAASIEDYENLLDHVPGDEEISKALTEAQAKLKKQQEAALSGMINSGDSHLIRITAEDQFRHIIMSSGLSVTLFFSKQSEASNPSLPLMEQLCKQYPSINFLKVDVEENASLKKSESVTSVPSFNVYKKGSIIKGVSGSDHHQLESTVRSFTTN >DRNTG_05802.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19150300:19151349:1 gene:DRNTG_05802 transcript:DRNTG_05802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKASITSSNTISFPSSSVNRLDRMELRESFIHTTVLYIEQLRKILINSCSNLLKRDCPWYFFFFRIKSFRTSSYCWLARIIFLVVFSVAFPFPLLTAVLGSSCDNASCCCGIVFTFDADRLVAGSTVTMISLSTESTIFSTAATIIASTGDTPLVLVPVLH >DRNTG_16010.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17606930:17611663:1 gene:DRNTG_16010 transcript:DRNTG_16010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGKGNMERVRRGFRTVFFMLTMVASLLLLSAPVAVAVGDVSVSLALASKFACARCYGLREHLRRYGFRSSLMDIPVVSILRSLIITCIYFLSDEPGLSHGPYVGSTTLCSFSSIVILLVKACVFTPVLEEVDSDEALTSLLRARLNSMVSWGVPFLFLSSLVFALFHVVVAYRTSCKARRKLLFHCVDPESVLLCKNSFSGYPKVPRSPSCCNGKHSKSDSETKRKASFRDERDLPISFLADIDSLFIACQGITVHYKLCLPESPLPLSIPFSPFLELSPNSRPPSMSSGSFRLERPLPVTSKIHFHRTFSNQFQISSLYTPLLADSSAPSPFLADQVPALILDDEEGGGCLPGSMNLDMYLEDKDRFAVILIHGFGGGVFSWRHVMDVLARQIGCTVVAFDRPGWGLTSRPRRKDWDAKQLPNPYKLESQVDLLISFCLEMGFSSVVLVGHDDGGLLVLKAAEKIRSSMDIHVEVKGVVLISASLSREVIPAFARILLHTSLRKKHMVRPLLQTEITQVINRRAWYDATKLTAEVMNLYKASLLVEGWDEALHEIGRLSFSTVLSPENGAALLRSVEDLPVLIVAGAEDALVSLKSAQAMSSKLVNSVSLFLYVSVICSQNFRWFEHRESLYHPNKVAAYVSKVIFSSQNRIVLHNTNQPNTIADYIFFLHEHMLMLITDIDFVGKECLCLRYSICQIPLSSSLIFALLMCLAETCRNIRMRPSSARGVSQGTSCSSITLHNQSAIIF >DRNTG_16010.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17606930:17611663:1 gene:DRNTG_16010 transcript:DRNTG_16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGKGNMERVRRGFRTVFFMLTMVASLLLLSAPVAVAVGDVSVSLALASKFACARCYGLREHLRRYGFRSSLMDIPVVSILRSLIITCIYFLSDEPGLSHGPYVGSTTLCSFSSIVILLVKACVFTPVLEEVDSDEALTSLLRARLNSMVSWGVPFLFLSSLVFALFHVVVAYRTSCKARRKLLFHCVDPESVLLCKNSFSGYPKVPRSPSCCNGKHSKSDSETKRKASFRDERDLPISFLADIDSLFIACQGITVHYKLCLPESPLPLSIPFSPFLELSPNSRPPSMSSGSFRLERPLPVTSKIHFHRTFSNQFQISSLYTPLLADSSAPSPFLADQVPALILDDEEGGGCLPGSMNLDMYLEDKDRFAVILIHGFGGGVFSWRHVMDVLARQIGCTVVAFDRPGWGLTSRPRRKDWDAKQLPNPYKLESQVDLLISFCLEMGFSSVVLVGHDDGGLLVLKAAEKIRSSMDIHVEVKGVVLISASLSREVIPAFARILLHTSLRKKHMVRPLLQTEITQVINRRAWYDATKLTAEVMNLYKASLLVEGWDEALHEIGRLSFSTVLSPENGAALLRSVEDLPVLIVAGAEDALVSLKSAQAMSSKLVNSRLVAISGCGHLPHEECPKALLAALSPFITRVRSYSDCFQRL >DRNTG_12914.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16934227:16934826:1 gene:DRNTG_12914 transcript:DRNTG_12914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGEQQQRQRVKAAALSQPPKHAKAMNGGNGDERQQRHAWRHYLSHQACCSGVLGFALFQVASRYVLRLSEP >DRNTG_07991.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27410366:27418449:-1 gene:DRNTG_07991 transcript:DRNTG_07991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITAAQACFLCSLPKQSITRSSSPLNPSFPRFISNSPVFAAPSTVTPVVEMGHIERLTSGFEKFKSENYEKNPDLFGELAKGQSPKFMVFACADSRVCPSVVLNFQPGEAFTIRNIANMVPPYNKTKYAGVGAAIEYAVLHLKVENIVVMGHSRCGGIKGLMSMSDDGSTSTDFIEDWVKIGWPAREKVKSTSGDLPFDDQCTTCEKEAVNVSIENLKTYPFVKEGLEKGTLSLVPSHYCFVTGKLETW >DRNTG_07991.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27410366:27416721:-1 gene:DRNTG_07991 transcript:DRNTG_07991.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIERLTSGFEKFKSENYEKNPDLFGELAKGQSPKFMVFACADSRVCPSVVLNFQPGEAFTIRNIANMVPPYNKTKYAGVGAAIEYAVLHLKVENIVVMGHSRCGGIKGLMSMSDDGSTSTDFIEDWVKIGWPAREKVKSTSGDLPFDDQCTTCEKEAVNVSIENLKTYPFVKEGLEKGTLSLVPSHYCFVTGKLETW >DRNTG_33162.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:13994997:13996458:-1 gene:DRNTG_33162 transcript:DRNTG_33162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNPSILIEGDPELKRTLRRKGKEPVQEQSNPADLEAEESENMAEQNEQQRTLSDY >DRNTG_26702.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31292481:31293358:1 gene:DRNTG_26702 transcript:DRNTG_26702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLQAMMLQRRLRGYEPPSQHAAAVAPPPPIKCPRCDSTNTKFCYYNNYNLSQPRHFCKACRRYWTKGGVLRNVPVGGGSRKSSSKRSSSSSSKKPSAVADSDRRRPVVSRSNSDASSLSNPNPNPNRSPPRHPEPDLNPDAGGSLTGLIAAAEKQSTVGMGFGFSDPTAGITDLGPRMVGGEINGLDWPAPVFEQGEFWNQGQWSETDPNPDPSLFLP >DRNTG_19956.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:486682:491250:1 gene:DRNTG_19956 transcript:DRNTG_19956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFMLYLLTAGKKALAYGGVTEEVMSQFDKRKCGVLIGSAMGGMKVFNDAIEALRVSYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEADLMLCGGSDAAIIPIGLGGFVACRALTQRNNDPTKASRPWDVDRDGFVMGEGAGVLLLEELEHAKQRGANIYAEFLGGSFTCDAYHMTEPHPEGTGIALCIEKALSEAGVAREDVNYVNAHATSTPSGDLKEYQALIRCFSQNPELRVNSTKSMIGHLLGAAGAVEAVAAIKAIQTGWVHPNINLENPEECVDMNVLVGSKKERLNVKVALSNSFGFGGHNSSILFAPYK >DRNTG_06254.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8299590:8301923:1 gene:DRNTG_06254 transcript:DRNTG_06254.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRLQNELDYLQRDPPTFCNARPVGENLFRWQGTFMGPEDSPYAGGVFPVDIHFPPDYPFK >DRNTG_35097.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1462862:1463649:-1 gene:DRNTG_35097 transcript:DRNTG_35097.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLFSIPTLSPPSFHGLRPLKPTDSSHSLLLKLTSFSSSPKLHSKTTSISTIKPIIKSELNPSLVISLSTGLSLFLGRFVFFNFQRENVAKQGLPEQNGKTHFEAGDVRAQEFVGILQSNDPVGFNIVDVLAWGSIGHIVAYYILATTSNGYDPNFFG >DRNTG_35097.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1462244:1463649:-1 gene:DRNTG_35097 transcript:DRNTG_35097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLFSIPTLSPPSFHGLRPLKPTDSSHSLLLKLTSFSSSPKLHSKTTSISTIKPIIKSELNPSLVISLSTGLSLFLGRFVFFNFQRENVAKQGLPEQNGKTHFEAGDVRAQEFVGILQSNDPVGFNIVDVLAWGSIGHIVAYYILATTSNGSRWIPYGRSCTPPFRWIPYGRSCTPSTSRVKYHEQCCEPVGFQICACPYAASTFTTILSNCF >DRNTG_31553.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20611890:20616238:-1 gene:DRNTG_31553 transcript:DRNTG_31553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPTIDDPATRHSTLSDTSPMKEVVLARASTRNCRVVASRPNNPPINALGLGVCFMNTQMVEVLVDGGAGVGGRKCWWTATGNLWCWQRWQSMVAGRLAEDVSLFEAIRLQLCWCPIFQIDSVSDRGSWICNCALHMDSTLPSRPHDDVDMKPSFRKPSNEMATRKYRRHSPVDGSDSSSSGGQ >DRNTG_20903.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25195934:25200568:1 gene:DRNTG_20903 transcript:DRNTG_20903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQTVALLKKKTKVYVHGCPGCEQDRMKRDYKGLPYKAFFFVFVATLCSSLPVQSLFPFLYFMIRDLNVAKRVEDIGFYAGFVGSAFMVGRMLTAVFWGVVADRYGRKLVIMFSIASAIVFNTLFGLSATYWMAFVTRFLLGATNGLLAPLKAFATEVCREEHQNLALSLFTTTRGIALVIGPAIGGFLAQPADKFPTIFSKDSIWGRFPYFLPCLCISLISLVSFIICLWLPETLHKHGNNDEEDRTTETQCISQIDPEVKENGDNPEKTYLPESENLFKNWPLMSSIMAFCVFSLVEMAFAEIFTLFAVSDKKFGGLSMSSQDVGEVLSITGFSLMVYQLFVYPFITRLSSPLVSARVAAIITIPLVTTFPFMTYLSGFVLSFVVTCASVLRNVFSVTFITCLNILQNNAVAQHQRGTANGISVTAMSFFKAIAPAVGGIVFSWAQKRQHAAFLPGDHMVFLCNQCS >DRNTG_20903.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25195934:25200203:1 gene:DRNTG_20903 transcript:DRNTG_20903.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQTVALLKKKTKVYVHGCPGCEQDRMKRDYKGLPYKAFFFVFVATLCSSLPVQSLFPFLYFMIRDLNVAKRVEDIGFYAGFVGSAFMVGRMLTAVFWGVVADRYGRKLVIMFSIASAIVFNTLFGLSATYWMAFVTRFLLGATNGLLAPLKAFATEVCREEHQNLALSLFTTTRGIALVIGPAIGGFLAQPADKFPTIFSKDSIWGRFPYFLPCLCISLISLVSFIICLWLPETLHKHGNNDEEDRTTETQCISQIDPEVKENGDNPEKTYLPESENLFKNWPLMSSIMAFCVFSLVEMAFAEIFTLFAVSDKKFGGLSMSSQDVGEVLSITGFSLMVYQLFVYPFITRLSSPLVSARVAAIITIPLVTTFPFMTYLSGFVLSFVVTCASVLRNVFSVTFITCLNILQNNAVAQHQRGTANGISVTAMSFFKAIAPAVGGIVFSWAQKRQHAAFLPGLLHLTFQ >DRNTG_06394.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:20600630:20602284:1 gene:DRNTG_06394 transcript:DRNTG_06394.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSAFSIFATCLALGFLVTMPIGGESIGVCYGRLGNDLPQPSDVVALYKSKNIGAMRIYDPYDTALQALKGSNIELILDVPSNDDNNELQRLASDSSAANDWVKNNIVSYWPDVKFKYIAVGNEVIPEKENLMQYVQPAMQNIYNALSSYGLQDQIKVSTSVSFRVVDSTSPPSHGVIASAAQPSMVPIIQFLSKIGAPLLVNVYPYFSYTENPDKISIDFALFTSPNFVVNDGSLNYQNLFDAMVDSLYYALEKSGGSNVGIVISESGWPSAGGDAASIENAQTYNQNLIKHVGQGTPKRPGAIETYIFAMFNENNKGPEEREKHFGLFYPDQSPVYPINF >DRNTG_22273.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5182536:5184015:1 gene:DRNTG_22273 transcript:DRNTG_22273.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease AED3 [Source:Projected from Arabidopsis thaliana (AT1G09750) UniProtKB/Swiss-Prot;Acc:O04496] MAILLLPFIFLLSTSYATDISIYHNQKECQSSSCNPFEALLKLAGNDPARVTYLSSLVAKSSVPIASGQQQTQTANYILRAKLGTPGQVMLMALDTSSDIAWVPCSGCYGCPSTTLFSPSSSSTYATLSCSSPPCTFNQSYGTGSFSAIYSQDSLRLALDIIPNYTFGCVNVVTGAETLPKQGLLGLGRGPMSLLSQTGSMYQGVFSYCLPSFKSYYFSGSLKLGPVGQPRSIRTTPLLHNPHRPSLYYVNLTGVSVGKIPVVVPEGSLSFDPVTGAGTIVDSGTVITRFVKPVYEALRDEFRRQVGAENYSSLGAFDTCFNDAGGPYVPVVTLHFEGLNLALPAENTLIHSSAMPLACLAMAAAPDNINSVVNVIANLQQQNLRVLFDVAGSRVGFARELCN >DRNTG_22340.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:590621:591571:-1 gene:DRNTG_22340 transcript:DRNTG_22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSKLIIIIIIIIFLISSPPFAWLTQAYHFSEYIGVGYRNITFSNVSINPDVEFHFIVSFALDYTNISSMNVYQIPTNGKFKPYWNTNIITPSTVKSIKASHNNVKVALTLGSDTAKDNIAYFHPYSTDSWIENAVISLTKIINQYSFDSIDISYEHFKAGEETFAECIGRVVTLLKDNSVISFASIAPFDADIVQRYYQALWRKYGHVIDYVNFQFYAYNPGIVNVRQFMDYFEVQRNNYNGGKMLMGLSSDQGFNEGLIGDKRFMFDVCETLLIEEKVSGVFIWCAEASMVNGYLFEKQAQEMIANITNSHH >DRNTG_26487.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:636312:638288:1 gene:DRNTG_26487 transcript:DRNTG_26487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDRAEKAHGVLVAGEANNLRQASELMKSIISSSYSTKLFSHKWQLLRDKLQQLNSALETADKCGNSGENSVLVQLLQSLVSTATEIHELVDKCSNGLYSGGKLQMNRDLDVAASELELHANSLNEIYASGALKPAGALVVSRPGAGTRREDVKFYLEDLLTKLKVGDAEMKTQALASINEMLHEDEKHARILVMEIADSVDVLVRVLESKQVSIQEQVAEAIAVIAEQGSSYRGILLNAGILSPLIETADSASEAVKERVTRALKKMTEHADNCWSVSAHGGVTVMLKILAEVGASVQLISSACGVLRNLSKVHEIKRFMMEHGLVSLLINLLRSKEEGSQIEAIEFLHHLAVQDGAMKDNVIKGGVVESLLKILNPNSLQSSKAREVALRAIQGLCFSSVNSITGLIHCGFLDRVLFFLKDGEISVQESALKATFHLCGTSQVAVKKAMGDAGFMEELVKWLETKSPEAQEMAAEILCSLLSIQRNQRKFIREDHNIRKVIKLLYQVEEKPAVLKKHLLSILFLLSCSYNGQRKIISAGYVEHLEKLAENGVVDAKKILKKLSGNRFQSIFSGFWKYTSL >DRNTG_24828.29 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31760637:31763571:-1 gene:DRNTG_24828 transcript:DRNTG_24828.29 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRZ21 [Source:Projected from Arabidopsis thaliana (AT1G23860) UniProtKB/TrEMBL;Acc:A0A178WC08] MNSAFMVYLEGKNGLRVEMSHNSRADGRGRRSLSDDLNCYECGEPGHFARECRLRIGPGGFGSGRRRSPSPRYSRGLSPHYRRSPNPRYRRSPSPRYRRTPSPQYRRSPSYGHRSYSPERKPSRYHSYSPERGRSYSRSPSYHSYHMNGDKLYARSRS >DRNTG_24828.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31760670:31764263:-1 gene:DRNTG_24828 transcript:DRNTG_24828.17 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRZ21 [Source:Projected from Arabidopsis thaliana (AT1G23860) UniProtKB/TrEMBL;Acc:A0A178WC08] MNSAFMVYLEGKNGLRVEMSHNSRADGRGRRSLSDDLNCYECGEPGHFARECRLRIGPGGFGSGRRRSPSPRYSRGLSPHYRRSPNPRYRRSPSPRYRRTPSPQYRRSPSYGHRSYSPERKPSRYHSYSPERGRSYSRSPSYHSYHMNGLGTNSVLSSRLMKT >DRNTG_24828.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31760637:31764263:-1 gene:DRNTG_24828 transcript:DRNTG_24828.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRZ21 [Source:Projected from Arabidopsis thaliana (AT1G23860) UniProtKB/TrEMBL;Acc:A0A178WC08] MVMARVYVGNLDPHVTERELEDEFRVYGVLRSVWVARRPPGYAFIEFDDRRDALDAIDGLDGKNGLRVEMSHNSRADGRGRRSLSDDLNCYECGEPGHFARECRLRIGPGGFGSGRRRSPSPRYSRGLSPHYRRSPNPRYRRSPSPRYRRTPSPQYRRSPSYGHRSYSPERKPSRYHSYSPERGRSYSRSPSYHSYHMNGDKLYARSRS >DRNTG_24828.31 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31760637:31763571:-1 gene:DRNTG_24828 transcript:DRNTG_24828.31 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRZ21 [Source:Projected from Arabidopsis thaliana (AT1G23860) UniProtKB/TrEMBL;Acc:A0A178WC08] MVMARVYVGNLDPHVTERELEDEFRVYGVLRSVWVARRPPGYAFIEFDDRRDALDAIDGLDGKNGLRVEMSHNSRADGRGRRSLSDDLNCYECGEPGHFARECRLRIGPGGFGSGRRRSPSPRYSRGLSPHYRRSPNPRYRRSPSPRYRRTPSPQYRRSPSYGHRDKLYARSRS >DRNTG_24828.26 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31760670:31764129:-1 gene:DRNTG_24828 transcript:DRNTG_24828.26 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRZ21 [Source:Projected from Arabidopsis thaliana (AT1G23860) UniProtKB/TrEMBL;Acc:A0A178WC08] MVMARVYVGNLDPHVTERELEDEFRVYGVLRSVWVARRPPGYAFIEFDDRRDALDAIDGLDGKNGLRVEMSHNSRADGRGRRSLSDDLNCYECGEPGHFARECRLRIGPGGFGSGRRRSPSPRYSRGLSPHYRRSPNPRYRRSPSPRYRRTPSPQYRRSPSYGHRSYSPERKPSRYHSYSPERGRSYSRSPSYHSYHMNGDKLYARSRS >DRNTG_24828.23 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31760637:31764129:-1 gene:DRNTG_24828 transcript:DRNTG_24828.23 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRZ21 [Source:Projected from Arabidopsis thaliana (AT1G23860) UniProtKB/TrEMBL;Acc:A0A178WC08] MVMARVYVGNLDPHVTERELEDEFRVYGVLRSVWVARRPPGYAFIEFDDRRDALDAIDGLDGKNGLRVEMSHNSRADGRGRRSLSDDLNCYECGEPGHFARECRLRIGPGGFGSGRRRSPSPRYSRGLSPHYRRSPNPRYRRSPSPRYRRTPSPQYRRSPSYGHRSYSPERKPSRYHSYSPERGRSYSRSPSYHSYHMNGDKLYARSRS >DRNTG_24828.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31759567:31764129:-1 gene:DRNTG_24828 transcript:DRNTG_24828.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRZ21 [Source:Projected from Arabidopsis thaliana (AT1G23860) UniProtKB/TrEMBL;Acc:A0A178WC08] MNSAFMVYLEGKNGLRVEMSHNSRADGRGRRSLSDDLNCYECGEPGHFARECRLRIGPGGFGSGRRRSPSPRYSRGLSPHYRRSPNPRYRRSPSPRYRRTPSPQYRRSPSYGHR >DRNTG_24828.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31760637:31764263:-1 gene:DRNTG_24828 transcript:DRNTG_24828.14 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRZ21 [Source:Projected from Arabidopsis thaliana (AT1G23860) UniProtKB/TrEMBL;Acc:A0A178WC08] MNSAFMVYLEGKNGLRVEMSHNSRADGRGRRSLSDDLNCYECGEPGHFARECRLRIGPGGFGSGRRRSPSPRYSRGLSPHYRRSPNPRYRRSPSPRYRRTPSPQYRRSPSYGHR >DRNTG_24828.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31760637:31764263:-1 gene:DRNTG_24828 transcript:DRNTG_24828.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRZ21 [Source:Projected from Arabidopsis thaliana (AT1G23860) UniProtKB/TrEMBL;Acc:A0A178WC08] MNSAFMVYLEGKNGLRVEMSHNSRADGRGRRSLSDDLNCYECGEPGHFARECRLRIGPGGFGSGRRRSPSPRYSRGLSPHYRRSPNPRYRRSPSPRYRRTPSPQYRRSPSYGHRSYSPERKPSRYHSYSPERGRSYSRSPSYHSYHMNGLGTNSVLSSRLMKT >DRNTG_24828.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31760455:31764263:-1 gene:DRNTG_24828 transcript:DRNTG_24828.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRZ21 [Source:Projected from Arabidopsis thaliana (AT1G23860) UniProtKB/TrEMBL;Acc:A0A178WC08] MVMARVYVGNLDPHVTERELEDEFRVYGVLRSVWVARRPPGYAFIEFDDRRDALDAIDGLDGKNGLRVEMSHNSRADGRGRRSLSDDLNCYECGEPGHFARECRLRIGPGGFGSGRRRSPSPRYSRGLSPHYRRSPNPRYRRSPSPRYRRTPSPQYRRSPSYGHRDKLYARSRS >DRNTG_24828.32 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31760670:31763571:-1 gene:DRNTG_24828 transcript:DRNTG_24828.32 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRZ21 [Source:Projected from Arabidopsis thaliana (AT1G23860) UniProtKB/TrEMBL;Acc:A0A178WC08] MVMARVYVGNLDPHVTERELEDEFRVYGVLRSVWVARRPPGYAFIEFDDRRDALDAIDGLDGKNGLRVEMSHNSRADGRGRRSLSDDLNCYECGEPGHFARECRLRIGPGGFGSGRRRSPSPRYSRGLSPHYRRSPNPRYRRSPSPRYRRTPSPQYRRSPSYGHRDKLYARSRS >DRNTG_24828.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31760670:31764263:-1 gene:DRNTG_24828 transcript:DRNTG_24828.15 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRZ21 [Source:Projected from Arabidopsis thaliana (AT1G23860) UniProtKB/TrEMBL;Acc:A0A178WC08] MVMARVYVGNLDPHVTERELEDEFRVYGVLRSVWVARRPPGYAFIEFDDRRDALDAIDGLDGKNGLRVEMSHNSRADGRGRRSLSDDLNCYECGEPGHFARECRLRIGPGGFGSGRRRSPSPRYSRGLSPHYRRSPNPRYRRSPSPRYRRTPSPQYRRSPSYGHRDKLYARSRS >DRNTG_24828.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31760637:31764263:-1 gene:DRNTG_24828 transcript:DRNTG_24828.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRZ21 [Source:Projected from Arabidopsis thaliana (AT1G23860) UniProtKB/TrEMBL;Acc:A0A178WC08] MVMARVYVGNLDPHVTERELEDEFRVYGVLRSVWVARRPPGYAFIEFDDRRDALDAIDGLDGKNGLRVEMSHNSRADGRGRRSLSDDLNCYECGEPGHFARECRLRIGPGGFGSGRRRSPSPRYSRGLSPHYRRSPNPRYRRSPSPRYRRTPSPQYRRSPSYGHRSYSPERKPSRYHSYSPERGRSYSRSPSYHSYHMNGLGTNSVLSSRLMKT >DRNTG_24828.21 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31760637:31764178:-1 gene:DRNTG_24828 transcript:DRNTG_24828.21 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRZ21 [Source:Projected from Arabidopsis thaliana (AT1G23860) UniProtKB/TrEMBL;Acc:A0A178WC08] MVMARVYVGNLDPHVTERELEDEFRVYGVLRSVWVARRPPGYAFIEFDDRRDALDAIDGLDGKNGLRVEMSHNSRADGRGRRSLSDDLNCYECGEPGHFARECRLRIGPGGFGSGRRRSPSPRYSRGLSPHYRRSPNPRYRRSPSPRYRRTPSPQYRRSPSYGHR >DRNTG_24828.27 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31760670:31764129:-1 gene:DRNTG_24828 transcript:DRNTG_24828.27 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRZ21 [Source:Projected from Arabidopsis thaliana (AT1G23860) UniProtKB/TrEMBL;Acc:A0A178WC08] MNSAFMVYLEGKNGLRVEMSHNSRADGRGRRSLSDDLNCYECGEPGHFARECRLRIGPGGFGSGRRRSPSPRYSRGLSPHYRRSPNPRYRRSPSPRYRRTPSPQYRRSPSYGHRSYSPERKPSRYHSYSPERGRSYSRSPSYHSYHMNGDKLYARSRS >DRNTG_24828.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31760670:31764263:-1 gene:DRNTG_24828 transcript:DRNTG_24828.16 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRZ21 [Source:Projected from Arabidopsis thaliana (AT1G23860) UniProtKB/TrEMBL;Acc:A0A178WC08] MVMARVYVGNLDPHVTERELEDEFRVYGVLRSVWVARRPPGYAFIEFDDRRDALDAIDGLDGKNGLRVEMSHNSRADGRGRRSLSDDLNCYECGEPGHFARECRLRIGPGGFGSGRRRSPSPRYSRGLSPHYRRSPNPRYRRSPSPRYRRTPSPQYRRSPSYGHRSYSPERKPSRYHSYSPERGRSYSRSPSYHSYHMNGDKLYARSRS >DRNTG_24828.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31760455:31764129:-1 gene:DRNTG_24828 transcript:DRNTG_24828.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRZ21 [Source:Projected from Arabidopsis thaliana (AT1G23860) UniProtKB/TrEMBL;Acc:A0A178WC08] MVMARVYVGNLDPHVTERELEDEFRVYGVLRSVWVARRPPGYAFIEFDDRRDALDAIDGLDGKNGLRVEMSHNSRADGRGRRSLSDDLNCYECGEPGHFARECRLRIGPGGFGSGRRRSPSPRYSRGLSPHYRRSPNPRYRRSPSPRYRRTPSPQYRRSPSYGHRDKLYARSRS >DRNTG_24828.30 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31760637:31763571:-1 gene:DRNTG_24828 transcript:DRNTG_24828.30 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRZ21 [Source:Projected from Arabidopsis thaliana (AT1G23860) UniProtKB/TrEMBL;Acc:A0A178WC08] MNSAFMVYLEGKNGLRVEMSHNSRADGRGRRSLSDDLNCYECGEPGHFARECRLRIGPGGFGSGRRRSPSPRYSRGLSPHYRRSPNPRYRRSPSPRYRRTPSPQYRRSPSYGHRDKLYARSRS >DRNTG_24828.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31760637:31764263:-1 gene:DRNTG_24828 transcript:DRNTG_24828.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRZ21 [Source:Projected from Arabidopsis thaliana (AT1G23860) UniProtKB/TrEMBL;Acc:A0A178WC08] MNSAFMVYLEGKNGLRVEMSHNSRADGRGRRSLSDDLNCYECGEPGHFARECRLRIGPGGFGSGRRRSPSPRYSRGLSPHYRRSPNPRYRRSPSPRYRRTPSPQYRRSPSYGHRSYSPERKPSRYHSYSPERGRSYSRSPSYHSYHMNGDKLYARSRS >DRNTG_24828.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31760670:31764263:-1 gene:DRNTG_24828 transcript:DRNTG_24828.19 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRZ21 [Source:Projected from Arabidopsis thaliana (AT1G23860) UniProtKB/TrEMBL;Acc:A0A178WC08] MNSAFMVYLEGKNGLRVEMSHNSRADGRGRRSLSDDLNCYECGEPGHFARECRLRIGPGGFGSGRRRSPSPRYSRGLSPHYRRSPNPRYRRSPSPRYRRTPSPQYRRSPSYGHRSYSPERKPSRYHSYSPERGRSYSRSPSYHSYHMNGDKLYARSRS >DRNTG_24828.28 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31760637:31763571:-1 gene:DRNTG_24828 transcript:DRNTG_24828.28 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRZ21 [Source:Projected from Arabidopsis thaliana (AT1G23860) UniProtKB/TrEMBL;Acc:A0A178WC08] MVMARVYVGNLDPHVTERELEDEFRVYGVLRSVWVARRPPGYAFIEFDDRRDALDAIDGLDGKNGLRVEMSHNSRADGRGRRSLSDDLNCYECGEPGHFARECRLRIGPGGFGSGRRRSPSPRYSRGLSPHYRRSPNPRYRRSPSPRYRRTPSPQYRRSPSYGHRSYSPERKPSRYHSYSPERGRSYSRSPSYHSYHMNGDKLYARSRS >DRNTG_24828.24 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31760637:31764129:-1 gene:DRNTG_24828 transcript:DRNTG_24828.24 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRZ21 [Source:Projected from Arabidopsis thaliana (AT1G23860) UniProtKB/TrEMBL;Acc:A0A178WC08] MNSAFMVYLEGKNGLRVEMSHNSRADGRGRRSLSDDLNCYECGEPGHFARECRLRIGPGGFGSGRRRSPSPRYSRGLSPHYRRSPNPRYRRSPSPRYRRTPSPQYRRSPSYGHRDKLYARSRS >DRNTG_24828.25 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31760637:31764129:-1 gene:DRNTG_24828 transcript:DRNTG_24828.25 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRZ21 [Source:Projected from Arabidopsis thaliana (AT1G23860) UniProtKB/TrEMBL;Acc:A0A178WC08] MVMARVYVGNLDPHVTERELEDEFRVYGVLRSVWVARRPPGYAFIEFDDRRDALDAIDGLDGKNGLRVEMSHNSRADGRGRRSLSDDLNCYECGEPGHFARECRLRIGPGGFGSGRRRSPSPRYSRGLSPHYRRSPNPRYRRSPSPRYRRTPSPQYRRSPSYGHRDKLYARSRS >DRNTG_24828.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31759567:31764129:-1 gene:DRNTG_24828 transcript:DRNTG_24828.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRZ21 [Source:Projected from Arabidopsis thaliana (AT1G23860) UniProtKB/TrEMBL;Acc:A0A178WC08] MVMARVYVGNLDPHVTERELEDEFRVYGVLRSVWVARRPPGYAFIEFDDRRDALDAIDGLDGKNGLRVEMSHNSRADGRGRRSLSDDLNCYECGEPGHFARECRLRIGPGGFGSGRRRSPSPRYSRGLSPHYRRSPNPRYRRSPSPRYRRTPSPQYRRSPSYGHRDKLYARSRS >DRNTG_24828.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31760637:31764263:-1 gene:DRNTG_24828 transcript:DRNTG_24828.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRZ21 [Source:Projected from Arabidopsis thaliana (AT1G23860) UniProtKB/TrEMBL;Acc:A0A178WC08] MVMARVYVGNLDPHVTERELEDEFRVYGVLRSVWVARRPPGYAFIEFDDRRDALDAIDGLDGKNGLRVEMSHNSRADGRGRRSLSDDLNCYECGEPGHFARECRLRIGPGGFGSGRRRSPSPRYSRGLSPHYRRSPNPRYRRSPSPRYRRTPSPQYRRSPSYGHRDKLYARSRS >DRNTG_24828.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31760455:31764129:-1 gene:DRNTG_24828 transcript:DRNTG_24828.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRZ21 [Source:Projected from Arabidopsis thaliana (AT1G23860) UniProtKB/TrEMBL;Acc:A0A178WC08] MVMARVYVGNLDPHVTERELEDEFRVYGVLRSVWVARRPPGYAFIEFDDRRDALDAIDGLDGKNGLRVEMSHNSRADGRGRRSLSDDLNCYECGEPGHFARECRLRIGPGGFGSGRRRSPSPRYSRGLSPHYRRSPNPRYRRSPSPRYRRTPSPQYRRSPSYGHRSYSPERKPSRYHSYSPERGRSYSRSPSYHSYHMNGDKLYARSRS >DRNTG_24828.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31760637:31764263:-1 gene:DRNTG_24828 transcript:DRNTG_24828.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRZ21 [Source:Projected from Arabidopsis thaliana (AT1G23860) UniProtKB/TrEMBL;Acc:A0A178WC08] MNSAFMVYLEGKNGLRVEMSHNSRADGRGRRSLSDDLNCYECGEPGHFARECRLRIGPGGFGSGRRRSPSPRYSRGLSPHYRRSPNPRYRRSPSPRYRRTPSPQYRRSPSYGHRDKLYARSRS >DRNTG_24828.22 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31760670:31764178:-1 gene:DRNTG_24828 transcript:DRNTG_24828.22 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRZ21 [Source:Projected from Arabidopsis thaliana (AT1G23860) UniProtKB/TrEMBL;Acc:A0A178WC08] MVMARVYVGNLDPHVTERELEDEFRVYGVLRSVWVARRPPGYAFIEFDDRRDALDAIDGLDGKNGLRVEMSHNSRADGRGRRSLSDDLNCYECGEPGHFARECRLRIGPGGFGSGRRRSPSPRYSRGLSPHYRRSPNPRYRRSPSPRYRRTPSPQYRRSPSYGHRDKLYARSRS >DRNTG_24828.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31759567:31764129:-1 gene:DRNTG_24828 transcript:DRNTG_24828.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRZ21 [Source:Projected from Arabidopsis thaliana (AT1G23860) UniProtKB/TrEMBL;Acc:A0A178WC08] MNSAFMVYLEGKNGLRVEMSHNSRADGRGRRSLSDDLNCYECGEPGHFARECRLRIGPGGFGSGRRRSPSPRYSRGLSPHYRRSPNPRYRRSPSPRYRRTPSPQYRRSPSYGHRSYSPERKPSRYHSYSPERGRSYSRSPSYHSYHMNGLGTNSVLSSRLMKT >DRNTG_24828.20 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31760637:31764178:-1 gene:DRNTG_24828 transcript:DRNTG_24828.20 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRZ21 [Source:Projected from Arabidopsis thaliana (AT1G23860) UniProtKB/TrEMBL;Acc:A0A178WC08] MVMARVYVGNLDPHVTERELEDEFRVYGVLRSVWVARRPPGYAFIEFDDRRDALDAIDGLDGKNGLRVEMSHNSRADGRGRRSLSDDLNCYECGEPGHFARECRLRIGPGGFGSGRRRSPSPRYSRGLSPHYRRSPNPRYRRSPSPRYRRTPSPQYRRSPSYGHRSYSPERKPSRYHSYSPERGRSYSRSPSYHSYHMNGDKLYARSRS >DRNTG_24828.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31759567:31764129:-1 gene:DRNTG_24828 transcript:DRNTG_24828.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRZ21 [Source:Projected from Arabidopsis thaliana (AT1G23860) UniProtKB/TrEMBL;Acc:A0A178WC08] MVMARVYVGNLDPHVTERELEDEFRVYGVLRSVWVARRPPGYAFIEFDDRRDALDAIDGLDGKNGLRVEMSHNSRADGRGRRSLSDDLNCYECGEPGHFARECRLRIGPGGFGSGRRRSPSPRYSRGLSPHYRRSPNPRYRRSPSPRYRRTPSPQYRRSPSYGHRSYSPERKPSRYHSYSPERGRSYSRSPSYHSYHMNGDKLYARSRS >DRNTG_24828.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31760670:31764263:-1 gene:DRNTG_24828 transcript:DRNTG_24828.18 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRZ21 [Source:Projected from Arabidopsis thaliana (AT1G23860) UniProtKB/TrEMBL;Acc:A0A178WC08] MNSAFMVYLEGKNGLRVEMSHNSRADGRGRRSLSDDLNCYECGEPGHFARECRLRIGPGGFGSGRRRSPSPRYSRGLSPHYRRSPNPRYRRSPSPRYRRTPSPQYRRSPSYGHRDKLYARSRS >DRNTG_09253.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:453752:455086:1 gene:DRNTG_09253 transcript:DRNTG_09253.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPRPEFFKVLLRGSRLRIPPAFVGHVIDDNHGKAMIFSAGEFWHVKVQKNRKKAYSSQMVGKKLSKPMVSVKDAFFSSVMRVTWFLL >DRNTG_09253.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:453056:478399:1 gene:DRNTG_09253 transcript:DRNTG_09253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPRPEFFKVLLRGSRLRIPPAFVGHVIDDNHGKAMIFSAGEFWHVKVQKNRKKAYSSQMVGKKLSKPMVSVKDAFFSSVMRVTWFLL >DRNTG_24011.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16813212:16823301:1 gene:DRNTG_24011 transcript:DRNTG_24011.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQKPAVAPVFGVAGGYGSCKVEEAVSPDRFPAGLRVLVVDDDVTCLRILEQMLQKCLYRVTICCRAAKALSLLRERKGGFDVVISDVHMPDMDGFKLLEHVGLEMDLPVIMMSADGSTNAVMKGIKHGACDYLIKPVRMEELKNIWQHVLRKKWNENKEMENSSSLEDPEHKRRTTDEAEYASSVNDGTDGTWKPQKKKRDAKEEDDGELDSDDPAASKKPRVVWSVELHQQFVNAVNQLGIDKAVPKRILELMNVPGLTRENVASHLQKFRLYLKRLSGVTQPSSFCAPLESNAKVGPLGRLDLQALAATGQIPPQTLAALHAELMRRPSDSLVLPAMDHQVLLQASAKGPKCIPFDHDVAAGQSVLKCQSNTSKQFPQSSISFESMSSGLMGWSSSHPGMSGCANNIEGVNNIQNSNILAQMLQQQQQQQPFPVLSEPHHAINVQPSCLVFPSQSVNGFQTGNIPIPVNSSTNSVGGLSDTSTNFQVGRTSVCSESANLNSSNMVVGYNHPSFQSNSISLIARQVSDEELKGDGVLNSYSMAGSIPSVFQNPPVNFGPSTRMPGLAPNMCTPSYGTKTGTLPEHAVGRNLGFVGKGTCIPSRFAVDDIESSTNDVRQRSTCTFDDAEVVKQELNLDFLDDIKTGNPVLPHFPQSGFTGVLSNRDA >DRNTG_24011.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16813212:16823301:1 gene:DRNTG_24011 transcript:DRNTG_24011.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQKPAVAPVFGVAGGYGSCKVEEAVSPDRFPAGLRVLVVDDDVTCLRILEQMLQKCLYRVTICCRAAKALSLLRERKGGFDVVISDVHMPDMDGFKLLEHVGLEMDLPVIMMSADGSTNAVMKGIKHGACDYLIKPVRMEELKNIWQHVLRKKWNENKEMENSSSLEDPEHKRRTTDEAEYASSVNDGTDGTWKPQKKKRDAKEEDDGELDSDDPAASKKPRVVWSVELHQQFVNAVNQLGIDKAVPKRILELMNVPGLTRENVASHLQKFRLYLKRLSGVTQPSSFCAPLESNAKVGPLGRLDLQALAATGQIPPQTLAALHAELMRRPSDSLVLPAMDHQVLLQASAKGPKCIPFDHDVAAGQSVLKCQSNTSKQFPQSSISFESMSSGLMGWSSSHPGMSGCANNIEGVNNIQNSNILAQMLQQQQQQQPFPVLSEPHHAINVQPSCLVFPSQSVNGFQTGNIPIPVNSSTNSVGGLSDTSTNFQVGRTSVCSESANLNSSNMVVGYNHPSFQSNSISLIARQVSDEELKGDGVLNSYSMAGSIPSVFQNPPVNFGPSTRMPGLAPNMCTPSYGTKTGTLPEHAVGRNLGFVGKGTCIPSRFAVDDIESSTNDVRQRSTCTFDDAEVVKQELNLDFLDDIKTGNPVLPHFPQSGFTGVLSK >DRNTG_24011.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16813212:16823301:1 gene:DRNTG_24011 transcript:DRNTG_24011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQKPAVAPVFGVAGGYGSCKVEEAVSPDRFPAGLRVLVVDDDVTCLRILEQMLQKCLYRVTICCRAAKALSLLRERKGGFDVVISDVHMPDMDGFKLLEHVGLEMDLPVIMMSADGSTNAVMKGIKHGACDYLIKPVRMEELKNIWQHVLRKKWNENKEMENSSSLEDPEHKRRTTDEAEYASSVNDGTDGTWKPQKKKRDAKEEDDGELDSDDPAASKKPRVVWSVELHQQFVNAVNQLGIDKAVPKRILELMNVPGLTRENVASHLQKFRLYLKRLSGVTQPSSFCAPLESNAKVGPLGRLDLQALAATGQIPPQTLAALHAELMRRPSDSLVLPAMDHQVLLQASAKGPKCIPFDHDVAAGQSVLKCQSNTSKQFPQSSISFESMSSGLMGWSSSHPGMSGCANNIEGVNNIQNSNILAQMLQQQQQQQPFPVLSEPHHAINVQPSCLVFPSQSVNGFQTGNIPIPVNSSTNSVGGLSDTSTNFQVGRTSVCSESANLNSSNMVVGYNHPSFQSNSISLIARQVSDEELKGDGVLNSYSMAGSIPSVFQNPPVNFGPSTRMPGLAPNMCTPSYGTKTGTLPEHAVGRNLGFVGKGTCIPSRFAVDDIESSTNDVRQRSTCTFDDAEVVKQELNLDFLDDIKTGNPVLPHFPQSGFTGVLSK >DRNTG_24011.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16813212:16823301:1 gene:DRNTG_24011 transcript:DRNTG_24011.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQKPAVAPVFGVAGGYGSCKVEEAVSPDRFPAGLRVLVVDDDVTCLRILEQMLQKCLYRVTICCRAAKALSLLRERKGGFDVVISDVHMPDMDGFKLLEHVGLEMDLPVIMMSADGSTNAVMKGIKHGACDYLIKPVRMEELKNIWQHVLRKKWNENKEMENSSSLEDPEHKRRTTDEAEYASSVNDGTDGTWKPQKKKRDAKEEDDGELDSDDPAASKKPRVVWSVELHQQFVNAVNQLGIDKAVPKRILELMNVPGLTRENVASHLQKFRLYLKRLSGVTQPSSFCAPLESNAKVGPLGRLDLQALAATGQIPPQTLAALHAELMRRPSDSLVLPAMDHQVLLQASAKGPKCIPFDHDVAAGQSVLKCQSNTSKQFPQSSISFESMSSGLMGWSSSHPGMSGCANNIEGVNNIQNSNILAQMLQQQQQQQPFPVLSEPHHAINVQPSCLVFPSQSVNGFQTGNIPIPVNSSTNSVGGLSDTSTNFQVGRTSVCSESANLNSSNMVVGYNHPSFQSNSISLIARQVSDEELKGDGVLNSYSMAGSIPSVFQNPPVNFGPSTRMPGLAPNMCTPSYGTKTGTLPEHAVGRNLGFVGKGTCIPSRFAVDDIESSTNDVRQRSTCTFDDAEVVKQELNLDFLDDIKTGNPVLPHFPQSGFTGVLSKDA >DRNTG_02896.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:746183:746792:-1 gene:DRNTG_02896 transcript:DRNTG_02896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVMKKKKKKKKKKKKKKKLSVRVERRESRPGRRRRRRRRRR >DRNTG_19837.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001073.1:12086:12407:-1 gene:DRNTG_19837 transcript:DRNTG_19837.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGSSRKQIVRNSAVTKFLEGSSSSLGILNQVEESDDSDHGNGNSEDLRQPTHEYAQGTGYPALSASPLLSLSARRNQSCSKFFGNTGREESSHSYTPISTSSYNRYG >DRNTG_24511.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13617837:13626476:1 gene:DRNTG_24511 transcript:DRNTG_24511.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRFQQSNNTTASLKGRGGGSSSNKRRSGRKQKVSSRHDVSSRDAEIST >DRNTG_24511.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13617837:13626476:1 gene:DRNTG_24511 transcript:DRNTG_24511.2 gene_biotype:protein_coding transcript_biotype:protein_coding SAKFKGNQSNNTTASLKGRGGGSSSNKRRSGRKQKVSSRHDVSSRDAEIST >DRNTG_00730.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14303660:14308236:1 gene:DRNTG_00730 transcript:DRNTG_00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKNFAFNIKSYDFTADLRVVPVDGYDALLGLDWVEKMDVTCNFKSKTLLLDYKGVFCLDQRVRSILGNRVYHLESPELFATPGSVRHREYSFSLGHSVELVTIDFRFAH >DRNTG_06352.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24311213:24313740:1 gene:DRNTG_06352 transcript:DRNTG_06352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVLDLYHVLTAVVPLYVAMGLAYASVKWWQIFTPDQCSGINRFVALFAVPLLSFHFISTNDPYSMNFHFIAADTLQKLILLTVLSIWAKTSSKGSLEWTITLFSLSTLPNTLVMGIPLLKGMYGAFSGSLMVQIVVLQCIIWYTLLLFLFEYRGARLLISEQFPDNAASIVSFKVDSDIASLDGREPLQAEAEVGEDGKLHVIVRKSASSRSEIFSRKSLTPRASNLTNVEIYSLQSSRNPTPRESSFNHNDFFSLVSNDEESGGHGGTKLNGLLSPMVGKKKVNGSDGATKDLHMFVWSSSASPVSENTTAGVRDVCNEFSFRNQERDGGHGEKQGSDTMAEHNVKDGVKDVKAVAMPAASVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVSFRWGTEMPAIIARSISILSDAGLGMAMFSLGLFMALQPKMIACGNSVAAFSMAVRFIVGPVVMAVASYVVGLRGVLLHVAIVQAALPQGIVPFVFAKEYNVHPKILSTGVIFGMLIALPITLVYYILLGL >DRNTG_00279.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1826585:1828187:1 gene:DRNTG_00279 transcript:DRNTG_00279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLESGVMADYANLPRDILQEIIKFLSFSDYIRFGAVCSHWSKVANERPLLQKTTSLAWRMDRCDQLISKAVISANPSKSSDYIVMVIFLVGSNLAFWRPGDLRWTVLASKFLLEDAIW >DRNTG_26106.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20819551:20820910:-1 gene:DRNTG_26106 transcript:DRNTG_26106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAWIWQAHPIYQLLLLQNRDEFHDRPTKPLGWWSDGKTSILGGRDGIAGGTWMGCTRNGRVAFITNVLEPDFIPNSKSRGDLPVRFLESLKTPIEFAEELAKEEEEYNGYNLVLADVCSKIMVYVSNRPKSEPVCVQVVSPGLHVLTNARLDTPWHKAQLLGESLKEMLRSNGDKEIAAKEMVEKLMGNRVKAEKDRLPNTGCDSDWEHKLSSIFVETDTKLGVYGTRSTGVLAVKTDGEVCFYEKYLKEGVWKEHSVQYHLNV >DRNTG_00609.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22401845:22403511:1 gene:DRNTG_00609 transcript:DRNTG_00609.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATCQTGKHTGCNGSSSRSFSFQILESMAGKETSSPEIDLPRRQAPSSRPQASSCFSLADSLLEM >DRNTG_00609.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22401845:22404909:1 gene:DRNTG_00609 transcript:DRNTG_00609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCQTGKHTGCNGSSSRSFSFQILESMAGKETSSPEIDLPRRQAPSSRPQASSCFSLADSLLEM >DRNTG_08261.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17609668:17613529:1 gene:DRNTG_08261 transcript:DRNTG_08261.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWVSGRTPHLRLPRTKDVSVNGFVVKVKYCETCFLYRPPRASHCSICNNCVQKFDHHCPWVGQCIGLVSSSHISPGFGCGIFLKIDNLYSNKLQRNYRFFFLFISSSTFLCIYVFIISWLNIIGERKHYTSLWKSMTSEVLSLVLIIYTFVAVWFVGGLTVFHAYLISTNQVDFFYTKNSCACKCQYFNLILKVFNVDNR >DRNTG_16709.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000840.1:19694:25635:-1 gene:DRNTG_16709 transcript:DRNTG_16709.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLIALTNLNPNSPSTSFSSRLWRPAAQRIIRNQWSKLLFAKDRWLSAISEGRAHATFLVNAYLSRRQLS >DRNTG_33655.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2085837:2090170:-1 gene:DRNTG_33655 transcript:DRNTG_33655.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase A-1 [Source:Projected from Arabidopsis thaliana (AT3G48750) UniProtKB/Swiss-Prot;Acc:P24100] MEQYEKVEKIGEGTYGVVYKARDRSKNELIALKRIRLEQDDEGVPSTAIREISLLKEMQHKNIVRLLDVVHCEKHIYLVFEYMDLDLKKYINSCPDYVKDPRIIKKFLYQILDALSYCHSHRVLHRDLKPQNLLIDKRSKSVKLADFGLARGFGLPVRTFTHEVITLWYRAPEILLGSRHYSTPADVWSVGCIFAEMVNMRPLFPGDSEIDELFKIFSVLGTPDEESWPGVTSLPDFKAAFPKWSPKSLATVVPKLDAAGIDLLSKMLLISPIKRITAREALQHEYFKDIGELL >DRNTG_33655.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2085837:2086768:-1 gene:DRNTG_33655 transcript:DRNTG_33655.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase A-1 [Source:Projected from Arabidopsis thaliana (AT3G48750) UniProtKB/Swiss-Prot;Acc:P24100] MVNMRPLFPGDSEIDELFKIFSVLGTPDEESWPGVTSLPDFKAAFPKWSPKSLATVVPKLDAAGIDLLSKMLLISPIKRITAREALQHEYFKDIGELL >DRNTG_22910.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:24446490:24450329:1 gene:DRNTG_22910 transcript:DRNTG_22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASHSYRTSTYQYAHYFLGAKEETTSSESRVKSSRTLSVRVGGFCDSEISVSIGIKTDASIIKKAQKENHICRPHQHHPPNNSNIARHCPPLGITMLYRLDKLVSVVLPVMIAITRMAWRDAFVLSLMMNIKGIYEIDFTNIWLGSQTTSSPINRALSDTPTPPMSSTSKFLYLTHFACHSVVLLLNNKTPHVSLSSTFTAKSDDIFKPFIYLQQQFSCTVSILPYVGLLAERVVVFFLGEDNDRKALDYGVDDEVVEEFRRKGWVEYREEMVRDLAGKAKVIKEISGEFGLVVVGNFGGMHGGYFKENRRRDFSGYKCPRAKKVDLFLEEE >DRNTG_12899.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15460200:15471411:1 gene:DRNTG_12899 transcript:DRNTG_12899.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPTCATRVYTPPSSPNLGCDPNLPRPQTLHDSKNPSYRSPPSLPISLSLSLSLSRHCS >DRNTG_12899.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15460200:15471411:1 gene:DRNTG_12899 transcript:DRNTG_12899.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTCATRVYTPPSSPNLGCDPNLPRPQTLHDSKNPSYRSPPSLPISLSLSLSLSRHCS >DRNTG_12899.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15460200:15471411:1 gene:DRNTG_12899 transcript:DRNTG_12899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTCATRVYTPPSSPNLGCDPNLPRPQTLHDSKNPSYRSPPSLPISLSLSLSLSRHCS >DRNTG_17399.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000893.1:161355:162065:-1 gene:DRNTG_17399 transcript:DRNTG_17399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHKVGVEIGKIKERLNEIKVSREAYGIQNLSEDGDASNLISIIRRCHFLYQYSDDADVVGLFNDQKILLGQLMDQQQQRLCVISIVGTGGLGKTTL >DRNTG_17392.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000893.1:40705:42908:-1 gene:DRNTG_17392 transcript:DRNTG_17392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPSTLQKASLFSFPFARLLLPSLFFSSSFSTCKRRTSSPLKPPVPKKTPSTISIHGFSWDDPFHWMSKTSDPDLLRYLHQENSYAQTFMSDTQELQRTLFEEMKNRIPPKMSTPPKRSGKWLYYEHIPEGKEYPVFCRKLSCREGFFAAFLNFMRGPQEETLLDWNEIAEQYGMHPSFFSFFFFNCNFLLCCHGSFFDEFEVWKAFYIILMLLPCNSLMHLFWP >DRNTG_17392.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000893.1:42270:42908:-1 gene:DRNTG_17392 transcript:DRNTG_17392.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPSTLQKASLFSFPFARLLLPSLFFSSSFSTCKRRTSSPLKPPVPKKTPSTISIHGFSWDDPFHWMSKTSDPDLLRYLHQENSYAQTFMSDTQELQRTLFEEMKNRIPPKMSTPPKRSGKWFPLYAFSFIFFV >DRNTG_11061.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000483.1:39849:40396:-1 gene:DRNTG_11061 transcript:DRNTG_11061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKRRDNPFKRTSASWRSIHPHGRAEITHACTSPQESPTVADAHPCTISGKHSLTLNALVRATNSQGQVHAFVSSRDGESSSTKIRTGIRKLPMPMRYSHGHPQGRVHAPVCFRNNLPISAGIIHARAFGIMSTSARSSEL >DRNTG_27828.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20147683:20149078:-1 gene:DRNTG_27828 transcript:DRNTG_27828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGKTASEPPFLEHYKSIFKASSEINQLREKQQHQVVEECELPLIDLRGLRSGNKAERTTCIATIAKASSEWGFFQVLNHGVSLELLAEMRKEQKKLFEVPFEQKVSSKLLNDSYRWGTPSATSLDQFSWSEAFHVPLAMISKVDSCNREFEHLRYRNRNSLKLALI >DRNTG_08805.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28126737:28127603:1 gene:DRNTG_08805 transcript:DRNTG_08805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKDFLSFICCPFRMCLDITKCCCSICENTNKVCTKLSTCSCNLCTNTTICCSNVCEKTGKCSGKLCETTCSCCADCCTKLYSLCCSADVWMQIFSCCNCCCPNDTGDGDQSSGAMAVILATHFPPIGVFTKYKFGIEFWICLLLTTIGYLPGMFYAIYILS >DRNTG_33452.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3074925:3076108:-1 gene:DRNTG_33452 transcript:DRNTG_33452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHTLNNGTFSTDFHLDPDPSTANPRTPTLGKKIKPFSQKPKENYKPKSKTHPITRFLAEEKK >DRNTG_33452.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3074925:3075324:-1 gene:DRNTG_33452 transcript:DRNTG_33452.2 gene_biotype:protein_coding transcript_biotype:protein_coding NRKQESNLISILIPIHQQLIQELRPWGKKSNLSHKNQKKTINQSPKHTRSQDS >DRNTG_05489.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000245.1:7993:13721:1 gene:DRNTG_05489 transcript:DRNTG_05489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEMFNPDPYEGLFDQEESNEEVIMLGSTEEVTSTPGILMKVLRKMKRARRRHRKHSKTVGDIHEPRRVGQNFPRANRGVDSPLEDKGACVYPCDSGTRIMAPRSKKQADKRPRESSPEPEGMWFAIPEHQGDEFADQIKDLISEGGWWQLLMIREPAIREFALEVLSSFEFDRSYASFDSMDTIQFKVFGHHHSLSITQFLVLLGLYEDAFTDIEEYAQLPTDYPGTLTPQRAYRVYVVKVTCLSDTCMLL >DRNTG_11834.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:219245:220811:1 gene:DRNTG_11834 transcript:DRNTG_11834.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLSTHSHSHSHPHPHTRTLLSLSISSTHSPDLHRSSPHRRTAASMTAPPHSHIDDRLRRQSSSSGLLYLGGCLGSLF >DRNTG_09876.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:316704:322508:1 gene:DRNTG_09876 transcript:DRNTG_09876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGATCRVSHGRAKITHGRVWITRSLTGRVDAPACSRENLPNSVGIHTPVRKLCTGVLQSHGRPQGQPHAPVPSLDELTVHIHGRAEIPHARCTLGLRTTKKWFVQLPWLLYVSNSLGAFSVASSSPLYFLENLLQDPRDPSTSKSAGLCCSCTGSFPFLLSVRSSSGSPSINIDGFPRAPAEGHPLDHSLYYGRKELKERRAGSECVNMFRNFLQSLQFFLRNPVKMHGRVEFPHAHVFVLRAHPEKAQGRAAVPVNDHANIARSWVISARACNFLQSLSNYPESAQGRELAPVGHLVKPARVWVISTWPCKTLQRSSLHPEKPQGRVITSVSWACECL >DRNTG_00058.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21740932:21743056:-1 gene:DRNTG_00058 transcript:DRNTG_00058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDRKIGVALDFSKSSKTALAWAIDNLLDKGETLIVLHTITKHGKTDETKHALWAKSGSPLIPLSEFRQPEVMKQYDLEVDAEVLDLLDTASRQKEATIVTKLYWGDAREKLCDAVEELKLDSLVMGSRGLGQIQRILLGSVTNYVLSHAACPVVIIKDPDFKN >DRNTG_00884.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21786989:21788092:-1 gene:DRNTG_00884 transcript:DRNTG_00884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHKYHICFPLVYRLIELALVLPVATATVERCFSAMNVVKTDLSNRLADELLSDCLVCYIEKKIFISIDEETIMQRFQKLASRKNYLKPLKGSGA >DRNTG_26024.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23206485:23207979:-1 gene:DRNTG_26024 transcript:DRNTG_26024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSSTSSPSSPSHIPLPSSASSSSTPPHLGLSFSLLPLHILATRSRSLTPLSLARPSGSVGFSGFVFLKWSVRDALIQFLCICFFSEIADQAKLFKLFIKVKLMPFSHSGGGGLWDPELSGFLFAWMVLDTAASLLLSVHPWVMIMDHNERRRGRDLAREGFYLVSLMPVQAFCIKCLETVLCGSLGRWAAVMAGERSIAGLLHSMAEVYFMVVWLVYYFTVRCKDAELDGRRFGRGDLEDCLSGLR >DRNTG_04026.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17011760:17015603:-1 gene:DRNTG_04026 transcript:DRNTG_04026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSLGPGTTAGRWLGLVTAVWVQCISGNNYTFSNYSDAIKNLMGLSQLQLNNLSVAKDVGKAFGLLAGFASDRVPPSILLLIGSVMGIIGYGAQWLVVRETIAPPPYWQMCVYLCLGGNSSTWMNTAVLVTCIRNFRRNRGPVSGLLKGFVGLSTAIFTDLCSALFADDPAKFLLMLAIIPFAVCCTAMLFLRETPPSVSPAAKEDDETETKYFNIINALAVVVAVYLLSFDMTGEHGLVLSRAFSIVLIILLALPVVIPIHIWFKESRSKANRAPELDLEVPIEEPLLIAEEEREKEKEVTILESEEKRRPSIGEEHTIYEAMITVDFWILFLSFLCGVGTGLAVMNNMAQMGLAMGYADVSIFISLISIWGFFGRIASGSISEYFIKKSATPRALWNAASQILMAVGYIIMAVALPGSLYIGSIVVGICYGVRLSITVPMASELFGLKYYGLIYNIIILNLPLGSFLFSGLLAGYLYDAQATKSETGATTCIGPHCYGLVFVIMAIACAIGFTLDAILALRTRKLYLNIHSNNKLKRSLP >DRNTG_27072.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001379.1:14029:18930:1 gene:DRNTG_27072 transcript:DRNTG_27072.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPFEAAVEEQDSPPESPIPPDDEIAVPAEGEEDETDARSLPEAPSSAPPPATAGPGGGSRGKEEEEEEEEENMGVELGKLPSSGDPDKMAMMQSILAQFTEDQMSRYESFRRSGFQKSNMKRLLASIVGSQKISVPMTIVVSGIAKMFVGELIETARIVMTERGDMGPIRPCHIREAYRRLRLEGKIPKRSVPRLFR >DRNTG_27072.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001379.1:14029:19328:1 gene:DRNTG_27072 transcript:DRNTG_27072.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPFEAAVEEQDSPPESPIPPDDEIAVPAEGEEDETDARSLPEAPSSAPPPATAGPGGGSRGKEEEEEEEEENMGVELGKLPSSGDPDKMAMMQSILAQFTEDQMSRYESFRRSGFQKSNMKRLLASIVGSQKISVPMTIVVSGIAKMFVGELIETARIVMTERGDMGPIRPCHIREAYRRLRLEGKIPKRSVPRLFR >DRNTG_32736.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30874339:30875472:1 gene:DRNTG_32736 transcript:DRNTG_32736.1 gene_biotype:protein_coding transcript_biotype:protein_coding TVNLHFAEIIFSDNKGRRFFDVFIQGKSVLRDFNIAKEANGTGRAIIKTFSVIVDSNTLEIHLQWAGQGTTNNPPREVQGTLISAIAVTPNFNLDTKPKLSKGAILGIVAAGCAVTVLISVLVFFLLRKKDAENNELRGLELHTLIFTLKQIKDATRNFDPANKLGEGGFGPVYKGVLPDGSQIAVKQLSSKSSQGNREFVNEIGIISALQHPNLVKLYGCCIEGNQLLLIYEFM >DRNTG_16720.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3074027:3093278:-1 gene:DRNTG_16720 transcript:DRNTG_16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSKLSGMQKQVLGLYRDFLRAARKKPPEERNRIRSIISSEFHEKAKNVDRKNFLYIEYLMRRGKKQLEQLNNPETVSLSTFKFASSSAAINPSLDNQNSNISSSELHAAEPDKEPAAKATVQ >DRNTG_16720.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3074027:3076931:-1 gene:DRNTG_16720 transcript:DRNTG_16720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSKLSGMQKQVLGLYRDFLRAARKKPPEERNRIRSIISSEFHEKAKNVDRKNFLYIEYLMRRGKKQLEQLNNPETVSLSTFKFASSSAAINPSLDNQNSNISSSELHAAEPDKEPAAKATVQ >DRNTG_32908.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1157615:1160796:1 gene:DRNTG_32908 transcript:DRNTG_32908.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFADGRKTKCLDVLPEEAPNCSDLNTTAFIYRVVKAENPDLIVFTGDNIFGRDATDAAKSVDAAFAPAIALKVPWAAVLGNHDQENPTLNREGLMQHIVGMAYTLSSINPDGLEIDGFGNYNLEVAGVEGSGLANKSVLNLYFLDSGDYSTVSKIRGYGWIKVSQQMWFLNVSSHLQNLYMSKPEPQKEPAPGLVYFHIPLPEFSSLDTLKISGVKQEGISSASVNSGFFTTMLEAGDVKAVFTGHDHINDFCGELHRIHLCYAGGFGYHAYGKAGWPRRARVVSAYLEKTRSGSWGGVQSIKTWKRLDDKNLSTIDTEILGKEEMKLKGDGKQESRQTLRFWLKTISCNLIH >DRNTG_21278.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4776037:4780416:-1 gene:DRNTG_21278 transcript:DRNTG_21278.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMSFKRSDSIADSMPEALKQSRYQMKRCFASYVSKGMRLMKNKQLMDELEKSLDDKIEKERLMEGFLGYIICSTQEAAVIPPFLAFAVRPNPGIWEFVKVNSVDLDAEGITSTEYLKFKESIFDEKWAKDENALEIDFGAFDALTPHLTLPSSIGNGLQFISKFLSSKLHEDLENSNQLLDYLLALNYRGQKLLINDNVNTVNKLQMALLLAEVFVSELPKNTPFQKFEQRFEEWGLVKGWGDTAGRVKETLNCLSEVLQAPDPINMDNFFSKVPCIFNIVIFSPHGYFGQADVLGLPDTGGQVVYILDQVRAFEEELLLRIKQQGLSIKPQILVVTRLIPEAKGTKCNQELESVFNTKHSHILRVPFETEFGVLQQWVSRFDIYPYLEKYAQDAAAKIIDHMEGKPDLIIGNYSDGNLVASLVASKLGITLGTIAHALEKTKYEDSDVKWKELEPKYHFSCQFTADMIAMNTTDFIITSTYQEIAGSKDRPGQYESHYVFTLPGLCRFVSGINVFDPKFNIASPGADQSVYFPYTQKDKRLTKFHPAIEELLYSQTDNDEHIGFIQDRKKPIIFSMARLDTVKNITGLVEWYGKNKRLRDVVNLVVVAGFLDPAKSKDREEISEIKKMHSLIEKYQLRGQFRWIAAQNDRLRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIVVDGVSGFHINPLNGDESSNKIADFFEKCKKDSNYWNKISSAGLQRIYDCYTWKIYATKVLNMGSMYSFWRQLNKEQKQAKQRYLQLLYNLQFRNLAKTVPIAGAKPPQQPEPSQAVITRPPTRQVCPFFINLGKMIERLFIPRGN >DRNTG_21278.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4776037:4780416:-1 gene:DRNTG_21278 transcript:DRNTG_21278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMSFKRSDSIADSMPEALKQSRYQMKRCFASYVSKGMRLMKNKQLMDELEKSLDDKIEKERLMEGFLGYIICSTQEAAVIPPFLAFAVRPNPGIWEFVKVNSVDLDAEGITSTEYLKFKESIFDEKWAKDENALEIDFGAFDALTPHLTLPSSIGNGLQFISKFLSSKLHEDLENSNQLLDYLLALNYRGQKLLINDNVNTVNKLQMALLLAEVFVSELPKNTPFQKFEQRFEEWGLVKGWGDTAGRVKETLNCLSEVLQAPDPINMDNFFSKVPCIFNIVIFSPHGYFGQADVLGLPDTGGQVVYILDQVRAFEEELLLRIKQQGLSIKPQILVVTRLIPEAKGTKCNQELESVFNTKHSHILRVPFETEFGVLQQWVSRFDIYPYLEKYAQDAAAKIIDHMEGKPDLIIGNYSDGNLVASLVASKLGITLGTIAHALEKTKYEDSDVKWKELEPKYHFSCQFTADMIAMNTTDFIITSTYQEIAGSKDRPGQYESHYVFTLPGLCRFVSGINVFDPKFNIASPGADQSVYFPYTQKDKRLTKFHPAIEELLYSQTDNDEHIGFIQDRKKPIIFSMARLDTVKNITGLVEWYGKNKRLRDVVNLVVVAGFLDPAKSKDREEISEIKKMHSLIEKYQLRGQFRWIAAQNDRLRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIVVDGVSGFHINPLNGDESSNKIADFFEKCKKDSNYWNKISSAGLQRIYDCYTWKIYATKVLNMGSMYSFWRQLNKEQKQAKQRYLQLLYNLQFRNLAKTVPIAGAKPPQQPEPSQAVITRPPTRRSQSRIGRLFSSAK >DRNTG_00684.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30104564:30106083:1 gene:DRNTG_00684 transcript:DRNTG_00684.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAMVLVLVLVLVTAGGAWAAPEKQAKKKGGKGALDPASTHYVVLDPNEKTGQERFFCLARGKCRWDIIECPPECPQRKPKKARLNKGCFADCSSRCETTCKYRKPNCNGYGSVCYDPRFVGGDGVMFYFHGVKGADFALVSDERLQINAHLIGTRPEGRARDFTWVQALAIMFESHTLVIAAKRVAVWDDHVDALALRWDGREVLVPTDGDAEWRSVDEATAEDIISSNKEREVVVERTDDANAVRIMVSGLVEMDVKVTPIGDEENRSHGYRLPAGDAFAHLETQFRFTKLTEEVEGILGQTYRPDYVSPVKKGVAMPMMGGEDRFRLPSLLSTRCPACCFRYVGHRILDESATVDVAQY >DRNTG_14710.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20835669:20835761:-1 gene:DRNTG_14710 transcript:DRNTG_14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFYPSLPICAILNSNNFQTSLLHINNIF >DRNTG_23767.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9352285:9359942:1 gene:DRNTG_23767 transcript:DRNTG_23767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARSSQKIGLHYESWHKVPKTLKGELFNFIEESEQVGVSSRKQQKYTHTFGSKSFARKEKEMELRSGKKIGLFKFFKATHSKKDGSYLNKETEDIMEKANEKLAEYESIDGDEGMVETEILTQVIGKERHGRVRGLGLGPTPTTYYGHSGATIPPPTIVGSSSQSQNQCIGSQTPKANTAGSSVHVQAPYRRAYGYKPLTENLTGMLMLVTGSIKIHMGTYGPQPPIVDGGIDILPGPQPEHSVAYKLSNKRITWGKLGSMPARILEVSKVTAIVTLVEAYSQKIDNLSTRKPAAIMPYDTCKEGYTSTDCPIVGVAHRPTE >DRNTG_06656.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18836833:18840764:-1 gene:DRNTG_06656 transcript:DRNTG_06656.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKTDFCCFSGVEIYPGKGVGFVRAESQIYSNLSFQDNARATFPSPSLRNWRSHLKYDSRILCMALQQQTDRHKVAVKPLELEDAKEPPLNLYKPKEPYTATIVSVERIVGPKAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGAPHNVRLYSIASTRYGDSFDGKTGSLCVRRAVYYDPETGKEDPSKKGVCSNFLCDSKPGEKIQLTGPSGKVMLLPDDPKATHIMIATGTGVAPFRGYLRRMFMESVPTYQFRGLAWLFLGVANSDSLLYDDEFTTYLRDHPDNFRYDRALSREQKNKSGGKMYVQDKIEEYSDEIFKLLDGGAHIYFCGLKGMMPGIQDTLKRVAEQRGENWEEKLSQLRKNKQWHVEVY >DRNTG_28790.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21734399:21738746:1 gene:DRNTG_28790 transcript:DRNTG_28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNLLDLKSFPTERWRRNIKRLPLRPTTYSLISSVVIVLTLSTPFPSSLFSSSLAHRRRIPLNEELGGESWKGLEQGEEERGEAKEGRHREAEGKDNPGAINVLKAALGVTRMRAGCVAWHLAKI >DRNTG_09996.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21621963:21625979:1 gene:DRNTG_09996 transcript:DRNTG_09996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCPANNAPRISLMQRKETRPVSAAPGVKKGSTGMLLASNMVSDNWSDVSSLSSHASYPVKPAGSPSSVLSLRRHDNTRSHATHYRRVVRIVVYPVTGSYFTVATESGVMPCVQAVLGQPSDATISEVDTPAAVVASCGYMEVRQNLDASNVKLDTFPHVVQPRVQEKEIFTQVSLASGGPFVLEPNVKLTPLIPSIVWIGLDAVAEHTYDLLRRNKSREIQ >DRNTG_21571.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14584513:14591018:1 gene:DRNTG_21571 transcript:DRNTG_21571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSNEDFSVLVLASDLGVDARSLLSPSERVADEVWHDCPDEDFSDLDALQALRVEGSDKSGNRILRIVGKFFPAPVISGERLKRYVCFKLRTELAEGPFCVLYVHSTVQSEDNNPGISVLRWIYEDLPSDFKDRLQVVYFLHPGLRSWLLLATLGRLFLSGGLYWKIKYVSRLQYLWDDIKKGQVEIPELVQRHDDVLEHRPLTDYGIEPDTLHITEVPSVDYSFGRYEDKWAPRQYM >DRNTG_33049.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26969900:26970799:1 gene:DRNTG_33049 transcript:DRNTG_33049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCYLPFNRNNLDISFIVLRPVGVFTDELISTMKYFSLHAEDFGCLSSSLFKSIHGNLVVWYGAWIKRSTEDRKTLADNLVAALSQITHLAILLNHGFFEAYVGESKDGRPAAKFSTGDILFLVSMPSISNDTSDLSYACLALLRSHFSKEGSLSSTVCLQCKDQPMVATLQVWESLQACYSWLLSSDYRNTYMPYISPLTCDTQFDIFKVVYVSSDEVPHPDSFQHLQGGVCEQR >DRNTG_24175.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3417518:3417902:-1 gene:DRNTG_24175 transcript:DRNTG_24175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHMHSIFKCIVVDLVVETDDVASYPTENEFCSFVVDKETDDVASYPTGNNGFFHVTFDVVDNETDACDEKATWVP >DRNTG_15620.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20886637:20890320:-1 gene:DRNTG_15620 transcript:DRNTG_15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGLFQSTGWPSVVGVVGNWFGKRKRGLIMGIWNAHTSVGNIAGSLIASGFLKFGWGWSFAVPGMLMAFVGLTVFLFLPVNPQAMGIEKEDDLAKPSENNGISDPLLEGGINVKGKPVGFFEAWRIPGVAPFAFCLFFAKLVAYTFLYWLPFYISHTVIDGKHLSDSTSGTLSTLFDVGGVVGGILAGHISDKLNARALTAATFMYCAIPALYFYRIYGSVSLLWNIALMFITGMFVNGPYALITTAVSADLGTHSSLNGNARALATVTAIIDGTGSVGAAIGPLLTGYLSAKSWGAVFSMLMLAALVSGLLLTKLVVAEVTEKIEQARLQTNTSLSRPSMSEQEQV >DRNTG_34414.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002150.1:5074:5653:-1 gene:DRNTG_34414 transcript:DRNTG_34414.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFPLVFTVQRQKPVLVTPAKPTPHEFKYLSDIDDQECLRFQIPLIHFYKNEPCTSGVDPAKVISEALARALVFYHPFAGRLREESGRKLVVDCTGEGVLFIEADAYVRLQDFGDNLQPPFPCMEELLFDVEGSAGVLNCPLLLIQVHFVWIIYHFK >DRNTG_34414.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002150.1:4829:5653:-1 gene:DRNTG_34414 transcript:DRNTG_34414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFPLVFTVQRQKPVLVTPAKPTPHEFKYLSDIDDQECLRFQIPLIHFYKNEPCTSGVDPAKVISEALARALVFYHPFAGRLREESGRKLVVDCTGEGVLFIEADAYVRLQDFGDNLQPPFPCMEELLFDVEGSAGVLNCPLLLIQMTRLLCGGFIFALRHNHTMADG >DRNTG_04466.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000212.1:33084:34363:-1 gene:DRNTG_04466 transcript:DRNTG_04466.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRSDPVRMAALATRFWGSPAAAKVGAEEEEEEQQQQQKEEEEEEEAVAVHVETIIEKEEEEEEEEEEEPQEQEKEEEEEKVEVETAIVENKKNLEEKEEEEEEGQEKEEAKFEVLEENGEKEKMKDESFTESADLPPSKEEKRRRSKAKEREMRRSRSNKEKERKRTSFSNEKEARRHSFSTERDAKRPSFSSSTVDTERRHSWSFSKKKSKEEEKEENPNKAMDSEKVCSKVEAGETKRELPDCLLLMMYEPKLSMEVSKETWVCSTDFLQWRPKEVAPAAAGAAVEEQTQDPAPPLSEEVEKKLVGTAEPAQVAAAAAAQMAAYEPFVLTRCKSEPMRVIGSACAGCLLLKSRHQPIGAAGIGF >DRNTG_27979.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1178633:1185103:1 gene:DRNTG_27979 transcript:DRNTG_27979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRVFEKHFGVDDNVLSHEELVQVYGGLFSSFDHDGDGVVDLDEFKAEMKKMMLAVAEGLGFLPVQMVVEEGSLLKRAVEREIAMKIAA >DRNTG_28866.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22161190:22162687:1 gene:DRNTG_28866 transcript:DRNTG_28866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQASAGGCFDCNICLDFAVDPVVTLCGHLYCWPCIYKWLQVQAEEVEQQCPVCKATLSENTLVPLYGRGHYTTDGKQSNSQVPQRRPAVKYDNSDIQHLVHHHQHQRRQYLESHIDTYNGNLHSGSAENRVMSSRDVLGGIASAVVPWAFRGQAPGMYNFSMNHQTGNVEDLRLRQHEMESEKSLYQIWIFLSCCALLCLILF >DRNTG_13681.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22743232:22747446:-1 gene:DRNTG_13681 transcript:DRNTG_13681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANPENPHLRSPPSPAAAGNLGPRRLLDRSQFIRIILQALHSLGYSKAAAALESESGVALDSPEFTSLLFHSLSGHWIDCINSIDSIPDLTSESKSSAAFLVWKQHFMELLASEDGLVAAKDVLRSCISPLDVERGAVHRLSRVMISPEGVVLGEERVRGRIGMLLDLLRLMPDWVRMPSGRLEHLVETAVMKQLDSCAYHNTPEEVTLFEDHACSLDRIPSKCVQTLYAHKNEVWFVQFSNAGEYLASSSKDCTAIIWEVKEDDTLSLKHTLEGHLKPVSFLAWSPDDTMLLTCGNAEVLKLWDATTGICKLTMNNQVNRVISSCAWFPDSKKIVCGSYEPDNRVHTCDIEGNEIDVWEGERMPKVSDLAVTPDGNSLISICSNREIWIRDFRKGTEKVIPEEYSITSLSLSRDGKFMIVNLNSEEIHLWSVYGTSNTPNRYRGHKQGKYVIRSCFGGSDCLFLASGSEDSKIYIWQRHNEEPIQVLSGHLMTVNCVSWNSSKPHMLASASDDCTIRIWMASRNTSKVHA >DRNTG_13681.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22743232:22747446:-1 gene:DRNTG_13681 transcript:DRNTG_13681.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEANPENPHLRSPPSPAAAGNLGPRRLLDRSQFIRIILQALHSLGYSKAAAALESESGVALDSPEFTSLLFHSLSGHWIDCINSIDSIPDLTSESKSSAAFLVWKQHFMELLASEDGLVAAKDVLRSCISPLDVERGAVHRLSRVMISPEGVVLGEERVRGRIGMLLDLLRLMPDWVRMPSGRLEHLVETAVMKQLDSCAYHNTPEEVTLFEDHACSLDRIPSKCVQTLYAHKNEVWFVQFSNAGEYLASSSKDCTAIIWEILVA >DRNTG_15438.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1480049:1483212:-1 gene:DRNTG_15438 transcript:DRNTG_15438.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEALIQSSAVIAGVVDYRGRPITAGSRKGRWTSAFFIIGVEMAERFAYYGIGFNLITYLTGPLRQPTASAAVAVNMWSGMSMMLPLLGAFIADSYLGRYRTIIIASILYILGLGMLTFSSSLLPSILPQKCDTAMEPSSCPPSQLQVIIFFVSLYLVAFAQGGHKPCTQAFGADQFDQNDPLESKSRSSFFNWWYFGMNFGMLFTTVLNYVQDSVSWGFGFGIPCMAMVLALIVFLSGSRTYRYWILEVTSPFIRIGKACWQASTVKASQTEEEEEEAKEAKGVIRLFSIWTACLIYAIVFAQSMTFFTKQASTLDRRIGSSFVIPSASLQSIGTVSIVAFIPIYDHILVPAIQKLTGLQSGITMLQRIGIGMAISATEMVVAALVEMKRIKTAREYGLIDSPDIPIPMNLLWLMPQYVLYGLSDVFTMVGLQEFFYDQMPDSLRSLGLALYLSIFGVGSFLSGFIVSLIDKVSAAQGESWFPNNLNHAHLDYFFWLLAVLNALGLLIYIYFAQAYAYRKKGCCTML >DRNTG_15438.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1480049:1488305:-1 gene:DRNTG_15438 transcript:DRNTG_15438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEALLQSSAVIAGVVDYSGRPITAGSGKGWWTSAFFIIGVEMAERFAYYGIGFNLITYLTGPLRQPTGSAAAAVNMWAGMAAMLPLVGAFFADSYLGRYRTIIISSILYILGLGMLTFSSTLLPSIIPQKCDNAMDPSSCLPSQLQVITFFVSLYLVAFGQGGHKPCTQAFGADQFDQNDPLESKSRSSFFNWWYFGMNFGMLFTTVLNYVQDSVSWGFGFGIPCMAMVLALIVFLSGSRTYRYWILEVTSPFIRIGKACWQASTVKASQTEEEEEEAKEAKGVIRLFSIWTACLIYAIVFAQSMTFFTKQASTLDRRIGSSFVIPSASLQSIGTVSIVAFIPIYDHILVPAIQKLTGLQSGITMLQRIGIGMAISATEMVVAALVEMKRIKTAREYGLIDSPDIPIPMNLLWLMPQYVLYGLSDVFTMVGLQEFFYDQMPDSLRSLGLALYLSIFGVGSFLSGFIVSLIDKVSAAQGESWFPNNLNHAHLDYFFWLLAVLNALGLLIYIYFAQAYAYRKKGCCTML >DRNTG_34629.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:117057:122792:-1 gene:DRNTG_34629 transcript:DRNTG_34629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEGEMKAGKSIDDWLPITSSRKAKWWYSAFHNVTAIVGAGVLSLPYAMAELGWGPGVTVLILSWIITFYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLLVQVGTNIVYMVTGGKSLKKFHDVVCPSCKSIKLTYFIMIFGSVHFVLSQLPNFNSISGVSLAAAVMSLSYSTIAWGASVHKGRPETVDYSYKSSTKIGAFFSFLSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKKPMMKGVIVAYIVVAMCYFPASLISYWAFGNSVDDNILITLEKPRWLIAMANMMVTIHVIGGYQIFAMPVFDMIETVLVKKMNFKPSFPLRFISRSIYVALTMFVGISLPFFGSLMGFFGGFAFAPTTYFLPCIMWLVLYKPKMFGLSWTANWICIILGVLLMVLASIGALRQIILQAKSYTFYS >DRNTG_34629.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:117057:119772:-1 gene:DRNTG_34629 transcript:DRNTG_34629.7 gene_biotype:protein_coding transcript_biotype:protein_coding MITSLFFHHHINRGPGVTVLILSWIITFYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLLVQVGTNIVYMVTGGKSLKKFHDVVCPSCKSIKLTYFIMIFGSVHFVLSQLPNFNSISGVSLAAAVMSLSYSTIAWGASVHKGRPETVDYSYKSSTKIGAFFSFLSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKKPMMKGVIVAYIVVAMCYFPASLISYWAFGNSVDDNILITLEKPRWLIAMANMMVTIHVIGGYQIFAMPVFDMIETVLVKKMNFKPSFPLRFISRSIYVALTMFVGISLPFFGSLMGFFGGFAFAPTTYFLPCIMWLVLYKPKMFGLSWTANWICIILGVLLMVLASIGALRQIILQAKSYTFYS >DRNTG_34629.9 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:117057:118259:-1 gene:DRNTG_34629 transcript:DRNTG_34629.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFDMIETVLVKKMNFKPSFPLRFISRSIYVALTMFVGISLPFFGSLMGFFGGFAFAPTTYFLPCIMWLVLYKPKMFGLSWTANWICIILGVLLMVLASIGALRQIILQAKSYTFYS >DRNTG_34629.11.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:121962:122580:-1 gene:DRNTG_34629 transcript:DRNTG_34629.11 gene_biotype:protein_coding transcript_biotype:protein_coding TQPHIRGATSQKNPCAYWDPSPRVEGPKTGYNVEPHQ >DRNTG_34629.10 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:117057:118063:-1 gene:DRNTG_34629 transcript:DRNTG_34629.10 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGISLPFFGSLMGFFGGFAFAPTTYFLPCIMWLVLYKPKMFGLSWTANWICIILGVLLMVLASIGALRQIILQAKSYTFYS >DRNTG_34629.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:118425:122580:-1 gene:DRNTG_34629 transcript:DRNTG_34629.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGKSIDDWLPITSSRKAKWWYSAFHNVTAIVGAGVLSLPYAMAELGWGPGVTVLILSWIITFYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLLVQVGTNIVYMVTGGKSLKKFHDVVCPSCKSIKLTYFIMIFGSVHFVLSQLPNFNSISGVSLAAAVMSLSYSTIAWGASVHKGRPETVDYSYKSSTKIGAFFSFLSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKKPMMKGVIVAYIVVAMCYFPASLISYWAFGNSVDDNILITLEKPRWLIAMANMMVTIHVIGGYQVCMFLFIHLL >DRNTG_34629.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:117057:122580:-1 gene:DRNTG_34629 transcript:DRNTG_34629.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGKSIDDWLPITSSRKAKWWYSAFHNVTAIVGAGVLSLPYAMAELGWGPGVTVLILSWIITFYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLLVQVGTNIVYMVTGGKSLKKFHDVVCPSCKSIKLTYFIMIFGSVHFVLSQLPNFNSISGVSLAAAVMSLSYSTIAWGASVHKGRPETVDYSYKSSTKIGAFFSFLSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKKPMMKGVIVAYIVVAMCYFPASLISYWAFGNSVDDNILITLEKPRWLIAMANMMVTIHVIGGYQIFAMPVFDMIETVLVKKMNFKPSFPLRFISRSIYVALTMFVGISLPFFGSLMGFFGGFAFAPTTYFLPCIMWLVLYKPKMFGLSWTANWICIILGVLLMVLASIGALRQIILQAKSYTFYS >DRNTG_34629.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:117057:120860:-1 gene:DRNTG_34629 transcript:DRNTG_34629.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEGEMKAGKSIDDWLPITSSRKAKWWYSAFHNVTAIVGAGVLSLPYAMAELGWGPGVTVLILSWIITFYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLLVQVGTNIVYMVTGGKSLKKFHDVVCPSCKSIKLTYFIMIFGSVHFVLSQLPNFNSISGVSLAAAVMSLSYSTIAWGASVHKGRPETVDYSYKSSTKIGAFFSFLSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKKPMMKGVIVAYIVVAMCYFPASLISYWAFGNSVDDNILITLEKPRWLIAMANMMVTIHVIGGYQIFAMPVFDMIETVLVKKMNFKPSFPLRFISRSIYVALTMFVGISLPFFGSLMGFFGGFAFAPTTYFLPCIMWLVLYKPKMFGLSWTANWICIILGVLLMVLASIGALRQIILQAKSYTFYS >DRNTG_34629.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:117057:118921:-1 gene:DRNTG_34629 transcript:DRNTG_34629.8 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGVIVAYIVVAMCYFPASLISYWAFGNSVDDNILITLEKPRWLIAMANMMVTIHVIGGYQIFAMPVFDMIETVLVKKMNFKPSFPLRFISRSIYVALTMFVGISLPFFGSLMGFFGGFAFAPTTYFLPCIMWLVLYKPKMFGLSWTANWICIILGVLLMVLASIGALRQIILQAKSYTFYS >DRNTG_34629.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:117057:122792:-1 gene:DRNTG_34629 transcript:DRNTG_34629.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGKSIDDWLPITSSRKAKWWYSAFHNVTAIVGAGVLSLPYAMAELGWGPGVTVLILSWIITFYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLLVQVGTNIVYMVTGGKSLKKFHDVVCPSCKSIKLTYFIMIFGSVHFVLSQLPNFNSISGVSLAAAVMSLSYSTIAWGASVHKGRPETVDYSYKSSTKIGAFFSFLSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKKPMMKGVIVAYIVVAMCYFPASLISYWAFGNSVDDNILITLEKPRWLIAMANMMVTIHVIGGYQIFAMPVFDMIETVLVKKMNFKPSFPLRFISRSIYVALTMFVGISLPFFGSLMGFFGGFAFAPTTYFLPCIMWLVLYKPKMFGLSWTANWICIILGVLLMVLASIGALRQIILQAKSYTFYS >DRNTG_34629.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:117057:122580:-1 gene:DRNTG_34629 transcript:DRNTG_34629.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGKSIDDWLPITSSRKAKWWYSAFHNVTAIVGAGVLSLPYAMAELGWGPGVTVLILSWIITFYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLLVQVGTNIVYMVTGGKSLKKFHDVVCPSCKSIKLTYFIMIFGSVHFVLSQLPNFNSISGVSLAAAVMSLSYSTIAWGASVHKGRPETVDYSYKSSTKIGAFFSFLSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKKPMMKGVIVAYIVVAMCYFPASLISYWAFGNSVDDNILITLEKPRWLIAMANMMVTIHVIGGYQIFAMPVFDMIETVLVKKMNFKPSFPLRFISRSIYVALTMFVGISLPFFGSLMGFFGGFAFAPTTYFLPCIMWLVLYKPKMFGLSWTANWICIILGVLLMVLASIGALRQIILQAKSYTFYS >DRNTG_02639.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000121.1:53940:54179:-1 gene:DRNTG_02639 transcript:DRNTG_02639.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAPKVSPWVLLRMLSLTDISHHCFDPPRRHRWRCVLCVLPSSPPHLLRLVTPPLNLEHHLKPSQLPDQPFRHRPQP >DRNTG_00992.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6732671:6739483:-1 gene:DRNTG_00992 transcript:DRNTG_00992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSSLIWQDEEQSAGFFNGSPPCRVANPVVNDPRFRSRRGGCVPARISGGIMPAAVRIEGFSCLDSEDELLHDAKRIREELIINIFEDDDDAGDRDHTTQDLDTVIKSLQEEITPVPSPQSQRSDSTA >DRNTG_00992.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6732671:6733807:-1 gene:DRNTG_00992 transcript:DRNTG_00992.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESIANNKRSREVVDLPEDELLHDAKRIREELIINIFEDDDDAGDRDHTTQDLDTVIKSLQEEITPVPSPQSQRSDSTA >DRNTG_23443.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:828557:831297:-1 gene:DRNTG_23443 transcript:DRNTG_23443.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQPQTVYFSLVFLFCHLLLRRCDGQQAYLNNDQLDCNKNGSNTLGYQCNGAAKSCDSYLIFRSQPPYQSPVQIASLFSSNTSAISAINDIPENSSALDGSQLIVPIPCSCSSSYYQHNVSYTLKPQDLYFSVANNTYQGLSTCQALIAQNPSLPATSLNPGDIITVPLRCACPTRSQINQGVRFLLTYTPVFGEDVPTLASRFNVTADSIVNANTLPTGDTIFPYTSLLIPLPASPSKAQTITPPPPPATPPPPPPPPTPASGGGGDGSSDNKGLYIGVSIGAAAILILCGVVIWFVCRGRRRRSEPSSFQDSKEGSAGHGVLSTKSSTAPGIGGIGVSDEIRIAIESLTVYKFRELNEATGSFREEHKIKGSVYRGVINGDEAAIKQLKGDVSNEITILKQINHSNVIRLSGFCVHEGNTFLVYEFARNGSLADWLHHSNKDKDDNSKYPPSDPCSCLSWKQRVQIACGVADGLSYLHNYANPQYVHKDLRSSNILLDGEFTAKIANFGLARSVERREALQLTRHVTGTQGYMAPEYLEHGLISPKLDVFSFGVVLLEILSGKEAVSLREKEGGEEKEQVLLSARIGPMLSAENVQSELRDFIDPCLADEYPFDLAYAMAQLAMRCVARDPSSRPDMTEVLVTLSAIYHSTLDWDP >DRNTG_17618.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4476565:4486100:-1 gene:DRNTG_17618 transcript:DRNTG_17618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKDTVHKLEQESGFYFNMKHFEDQVLAGEWEEVEKYLCGFTKVEDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLDNFRQNEQLSKYGDTKSARNIMLMELKKLIEANPLFREKLTFPAFKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAPTNGTRPPPPTNAPLVGPIPKTAAFPPLGVHSPFQPVVSPSPSAIAGWMSGSNPSIPHAAVAQGPPGLVPPPNAAAFLKHPRTPTSAPGMDYQTADSEHLMKRMRTGQSDEVSFSGPSHPANIYSQDDLPRIVVRTLNQGSSVMSLDFHPTQQTILLVGTNVGDIGIWEVGSRERITHRTFKVWDITACSTTLQAVLVKDATISVNRCLWSPDGSILGVAFSKHIVQIYAFLSNGELRQQMEIDAHTGGVNDIAFSHPNKVLCIITCGDDKTIKVWDATTRQQLHIFEGHEAPVYSVCPHYKENIQFIFSTAIDGKIKAWLYDCMGSRVDYDAPGHWCTTMAYSADGSRLFSCGTSKDGESHLVEWNETEGSVKRTYSGFKRRSLGVVQFDTTRNRFLAAGDEFMIKFWDMDNNSILMTTDADGGLPASPRLRFNKEGSLLAVTANENGIKILANADGQHLIRMLETRPFDGSRGPSNIKPSVGNVINAVPGPQASNLELADRISPAVPMTSLVTIDNSRTVDVKPRISEDAEKMKSWKLADIVDSAHLKALRLPDTMTTSAKVVRLLYTNSGLAVLALTLNGIHKLWKWNRTERNPSAKSSASVVPQMWHPSNGIVMSNDTSDNNTAEESTGCIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMPPPPAATYLAFHPQDNNIIAIGMDDSTIQIYNVRIDEVKTKLKGHQKKITGLAFSQSMNMLVSSGADAQLCMWSIDGWEKRKSKFLQVPPGRGTPLVGETKVQFHNDQTHLLVSHESQLAIYDGKLECVRSWYPRETLAAPISSAIYSCDGQLAYVGFCDGAVGVFDVDNLRLRCRIAPSAYISPVASAANIYPWVIAAHPSEPNQIALGMTDGAVHVIEPSDMEPKWGVPPPPENGPLPTISSNNSLTAQASESQR >DRNTG_17618.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4476565:4486100:-1 gene:DRNTG_17618 transcript:DRNTG_17618.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKDTVHKLEQESGFYFNMKHFEDQVLAGEWEEVEKYLCGFTKVEDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLDNFRQNEQLSKYGDTKSARNIMLMELKKLIEANPLFREKLTFPAFKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAPTNGTRPPPPTNAPLVGPIPKTAAFPPLGVHSPFQPVVSPSPSAIAGWMSGSNPSIPHAAVAQGPPGLVPPPNAAAFLKHPRTPTSAPGMDYQTADSEHLMKRMRTGQSDEVLEYKRRLPESTVSFSGPSHPANIYSQDDLPRIVVRTLNQGSSVMSLDFHPTQQTILLVGTNVGDIGIWEVGSRERITHRTFKVWDITACSTTLQAVLVKDATISVNRCLWSPDGSILGVAFSKHIVQIYAFLSNGELRQQMEIDAHTGGVNDIAFSHPNKVLCIITCGDDKTIKVWDATTRQQLHIFEGHEAPVYSVCPHYKENIQFIFSTAIDGKIKAWLYDCMGSRVDYDAPGHWCTTMAYSADGSRLFSCGTSKDGESHLVEWNETEGSVKRTYSGFKRRSLGVVQFDTTRNRFLAAGDEFMIKFWDMDNNSILMTTDADGGLPASPRLRFNKEGSLLAVTANENGIKILANADGQHLIRMLETRPFDGSRGPSNIKPSVGNVINAVPGPQASNLELADRISPAVPMTSLVTIDNSRTVDVKPRISEDAEKMKSWKLADIVDSAHLKALRLPDTMTTSAKVVRLLYTNSGLAVLALTLNGIHKLWKWNRTERNPSAKSSASVVPQMWHPSNGIVMSNDTSDNNTAEESTGCIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMPPPPAATYLAFHPQDNNIIAIGMDDSTIQIYNVRIDEVKTKLKGHQKKITGLAFSQSMNMLVSSGADAQLCMWSIDGWEKRKSKFLQVPPGRGTPLVGETKVQFHNDQTHLLVSHESQLAIYDGKLECVRSWYPRETLAAPISSAIYSCDGQLAYVGFCDGAVGVFDVDNLRLRCRIAPSAYISPVASAANIYPWVIAAHPSEPNQIALGMTDGAVHVIEPSDMEPKWGVPPPPENGPLPTISSNNSLTAQASESQR >DRNTG_12979.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24852322:24853223:1 gene:DRNTG_12979 transcript:DRNTG_12979.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACILPCGALDVIRIVHLNGHVDEYSRQVWASEILDAHPNHVLSKPSSHGEHVVHRIMIVSPESELKRGHIYFLIPSSSVPEKKKLKKKNIKHKESPVTKVTDQKEFRQRKNKHSGGNGMWRPHLESIYEDL >DRNTG_12979.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24852230:24853223:1 gene:DRNTG_12979 transcript:DRNTG_12979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACILPCGALDVIRIVHLNGHVDEYSRQVWASEILDAHPNHVLSKPSSHGEHVVHRIMIVSPESELKRGHIYFLIPSSSVPEKKKLKKKNIKHKESPVTKVTDQKEFRQRKNKHSGGNGMWRPHLESIYEDL >DRNTG_12979.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24852322:24853142:1 gene:DRNTG_12979 transcript:DRNTG_12979.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACILPCGALDVIRIVHLNGHVDEYSRQVWASEILDAHPNHVLSKPSSHGEHVVHRIMIVSPESELKRGHIYFLIPSSSVPEKKKLKKKNIKHKESPVTKVTDQKEFRQRKNKHSGGNGMWRPHLESIYEDL >DRNTG_12979.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24852230:24853142:1 gene:DRNTG_12979 transcript:DRNTG_12979.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACILPCGALDVIRIVHLNGHVDEYSRQVWASEILDAHPNHVLSKPSSHGEHVVHRIMIVSPESELKRGHIYFLIPSSSVPEKKKLKKKNIKHKESPVTKVTDQKEFRQRKNKHSGGNGMWRPHLESIYEDL >DRNTG_05021.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5634087:5635780:1 gene:DRNTG_05021 transcript:DRNTG_05021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRFSHARGLWHPGQRSKLISARVRGSCCQLESQPSVSLHWRPCRHSSSTDAIRDSTASTSFSSEHLDITRV >DRNTG_05244.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12987555:13014147:1 gene:DRNTG_05244 transcript:DRNTG_05244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKKNAKSHHVQPFQWLSACFSVRYCLEVALHFLVLLLIWFVRFQFCRFPNTRQPACGLHTINDKEEPFPWTTTPRHPHPPPPHLLLVFKLMRMLCNRCEHSNVQILGESNRNLNRRTRVDSRVSELMRMLCNQREQANKWETYLCPDIHSKVEIIVEDSRNLRVGRCVDDHYEVIDQCSNSVDLAIRTCLCRRWQVYGISCKHPCAAIMQTDTNVHRFISGYFTVDNYKLAYKGSYIPHTRR >DRNTG_09807.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26794533:26798086:-1 gene:DRNTG_09807 transcript:DRNTG_09807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDQRRKPAAEIEFFTEYGEGSRYRIEEVVGKGSYGIVCSAYDTQTSEKVAIKRINDIFEHVSDATRILREIKLLRLLSHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANSDCKLKICDFGLARVAFDDTPTAIFWTDYVATRWYRAPELCGSFSSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLITDLLGTPSADAIARVRNEKARRYLSSMRKKKPIPLSQKFPNADPLALHLLERMLAFEPKDRPSAEEALAHPYFRGLAKVEREPSAQPVTKMEFEFERRRITQEEIRELIYREILEYHPKMLKEFLKGTESTGFMYPSAVDQFKKQFSCLEENYKNGTTVARPERQHASSLPRPCVVADPDNRTQVAAEISEDLSKCGIKETEKPQAYKNGAIPSTKTTQVYQNSHGIAARPGKVVGSVIQHNNAQAVALTDAGEVDDTRKNVKNTAGQLYYARSGYSYPRRNSSCKSERGNEGLEGPSIVHPKHYIARKVATAAAEGSVG >DRNTG_14055.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4974161:4983440:-1 gene:DRNTG_14055 transcript:DRNTG_14055.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRHSLRGRGGGRDKSIEASRMELGRGVESSKQYKSVQCFIRQ >DRNTG_14055.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4979970:4982039:-1 gene:DRNTG_14055 transcript:DRNTG_14055.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTTERSPRSDQGPDHSPLSDQPKEIQS >DRNTG_14055.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4974161:4974880:-1 gene:DRNTG_14055 transcript:DRNTG_14055.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKCSTLGLVCSAPASSLACFAPASCRSIPLNYIQAIRSMAIRSKFSQNIHSKIKTDNNSNNHNSRKQR >DRNTG_26240.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1853476:1855981:-1 gene:DRNTG_26240 transcript:DRNTG_26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRRTISIRRIRMVIPVAMLEQREYLVREKWIQIETAKIIRERLQWCYRIEGVNHHQKCRHLVKQYLDATRGVGWGKDSRPPELHGPKKEVE >DRNTG_21952.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2066348:2066638:-1 gene:DRNTG_21952 transcript:DRNTG_21952.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIQVTMHKPHSRITSSKPDSSPTILRNSNSILQWRINKVITLRILILIKVPKPS >DRNTG_00289.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2012315:2017863:-1 gene:DRNTG_00289 transcript:DRNTG_00289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGLVKKTNGYLGVTEPISWSGPSEVDVLKTQELEKFLSDAGLYESQEEAVSREEVLGRLDQIVKVWIKNVSRARGFSEQFVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPKHATREEDFFVELHNMLAEMPEVSELHPVPDAHVPVMGFKFNGVSIDLLYARLSLWVIPEDLDISVDSILQNADEQTVRSLNGCRVTDQILRLVPNIQSFRTTLRCMRLWAKRRGIYSNVAGFLGGINWALLVARICQLYPNALPSMLVSRFFRVFTQWRWPNPVMLCNIEEGSLGLPVWDPRRNPRDRHHQMPIITPAYPCMNSSYNVSSSTLRVMTEEFKRGHEVCEAMEVNKADWNTLCEPYPFFEAYKNYLEIDIAAENEDDLRKWKGWVESRLRTLTLKIERDTFGMLQCHPHPGDFCDKTKLFQCSYFMGLQRKQGVPSHEGEQFDIRATVEEFKLTVGMYTLWKTGMVIQVHHIKRRNVPLFVFPGGVRPSRPAKPAAADGQPPAKTKSQSLAQAGKVGVAALSNGDKTAVIMDESINRMALVTDPSGSVQVPEGSEKHIPPVPACGTFSDKEAEHLAMKPVDGLAESGTGLSEAAIESKKRKLEAVQSDCSPIDSKRLAVELKETEHMAVKSPEAVANASSKEDEALAIKKITNGSPTNPASLPEEIDELEDYNMQVPAQKFEAGLNSNSVELSAVKEIAIAGTIHNDDKSIIGIEELEPMELSAISSSAPASASNTQRKPLIRISLSSSVKATGKTA >DRNTG_18843.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2586985:2590613:-1 gene:DRNTG_18843 transcript:DRNTG_18843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSITLPQPLTIHYPKQTKKKTPIQVHAKKTITTHHPHKPQPSSLTTQPSPPSTTTTFMATTTLIINSKTTTITILCTSVTLLIFLCYKTITRWLRRRCLSLPPGPAGVPIFGNLLTLNPELHTHFATLAKTHGPIFTIKLGNRLAIVISGVDMAKEVLRLQDDNFANRIIPAAAKTMEYIKSNIVSSPNGPTLRLLRKICVREMLGKASLDRVYWLRQREMKSLLIHLEEMAGEAVDVGAQMFLMVMNVITSMLWGGVVEGEEKRKEVGKEFREVVGDITEMLGKPNLSDFFPRLERFDVQGIQRKMKEKLVRLDGIFERIIEERKKNGGDGCEDKDFLGFMLRMEKDGGGDDNSKSGATTPFTINHVKALLMDMVVGGTETTSNTVEWAMAELIQKPEMMKKAQQELEQVVGKDNMVEESHLPKLTYLGAVIKEVLRLHPALPLLVPHCPNSTCTINGYTIPQGSQVFVNVWAIHRDPAIWENPLEFKPERFLGIDGSKWDFTGNDFRYFPFGSGRRICAGIPMAERIVGYALASLLHSFDWNLPEGTKLDLSERFGIVLKKATPLVAVPTPKLSHEVLAS >DRNTG_07089.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2010440:2014306:1 gene:DRNTG_07089 transcript:DRNTG_07089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQLLIFTRGGLILWTCKELGNALKGSPIDALIRSCLLEERSADASFDYESYTLKWSFHNELGLVFVAVYQRVLRLLYVDELLLSVRREFSQIYDPKRTCYDDFDDSFRQLCREAEARAEEMKKSKQFVRNQPANSKKQVVAQGNGKQKGGSNRNGNSGKDDCDDDGDGDDELKKNRGLANAIANGHGNGIKDSTVPNIVVSKGKENGHPDSGAFNVTKLQKLRQKGGKKVENGAPGGKVSKKAESKKIVKKNRVWDDSPSESKLDFTDPGDERGDEVAQVAAVEQGQSMMDKEEVLSSESEEEDEEVEDVKTGPKKKGWFSSVFQSIAGNAVLQKSDLKPALKALKDKLMTKNVAEEIAEKLCESVAASLEGKKLGSFTRVSSTVQTAMEDALLRILTPRRSIDILRDVHAAKEQGKPYVVVFVGVNGVGKSTNLAKVAYWLLQHNVSVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAKEAIQEASRNNSDVVLVDTAGRMQDNEPLMRALSKLINLNNPDLVLFVGEALVGNDAVDQLSKFNQKLADLSTVSNARLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >DRNTG_07089.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2010440:2014306:1 gene:DRNTG_07089 transcript:DRNTG_07089.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDLMLEQLLIFTRGGLILWTCKELGNALKGSPIDALIRSCLLEERSADASFDYESYTLKWSFHNELGLVFVAVYQRVLRLLYVDELLLSVRREFSQIYDPKRTCYDDFDDSFRQLCREAEARAEEMKKSKQFVRNQPANSKKQVVAQGNGKQKGGSNRNGNSGKDDCDDDGDGDDELKKNRGLANAIANGHGNGIKDSTVPNIVVSKGKENGHPDSGAFNVTKLQKLRQKGGKKVENGAPGGKVSKKAESKKIVKKNRVWDDSPSESKLDFTDPGDERGDEVAQVAAVEQGQSMMDKEEVLSSESEEEDEEVEDVKTGPKKKGWFSSVFQSIAGNAVLQKSDLKPALKALKDKLMTKNVAEEIAEKLCESVAASLEGKKLGSFTRVSSTVQTAMEDALLRILTPRRSIDILRDVHAAKEQGKPYVVVFVGVNGVGKSTNLAKVAYWLLQHNVSVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAKEAIQEASRNNSDVVLVDTAGRMQDNEPLMRALSKLINLNNPDLVLFVGEALVGNDAVDQLSKFNQKLADLSTVSNARLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >DRNTG_08592.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9500883:9531656:-1 gene:DRNTG_08592 transcript:DRNTG_08592.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMVDKTQTLGLHILDLYRKGIIGPLTTATNDNTNESGGLPIQRRPDSLQPFPSERGKILSAVKLQESGVTFKKSLSNKITDISFDKDKGILSLPLFFIDVATWPTFLSLMIFEACRVGPHTRLQYQLQETIKELQRQFFEQDQRADQQVPDQQVQREIDELIEREILPKLFMGTPCEQVIPWQLPKEHLQLLLHKLQSQAHISQIRQLEGYGEHVTSYIFFMRELIESDSDVRLLKSKGIIFLDDENELAAVQLLKILTTVVHYPTANIKKLRREVNEYSQRKINRLVKTRVNRW >DRNTG_08592.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9531121:9534077:-1 gene:DRNTG_08592 transcript:DRNTG_08592.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTRDSHEINIEPEGLLLIIEVAKQELNFFEKQMMKWSIFQLTPWPVSIPIIPSARIGPFYQQNFLQVAFKKSGPVLRFLLLINKPLEYVMMEMREVVEELRAHYSFLEDVWRNDAKFVQLMVYDGCRILGLLRDHDERSLLYDRYYGTHKASHRPIPELQAIVLLDNQVPLLAVKMLLQVEARSRNKRPPIDKEINNLVFKFLGMDDMVDKTQTLGLHILDLYRKGIIGPLTTATNDNTNESGGLPIQRRPDSLQPFPSERGKILSAVKLQESGVTFKKSLSNKITDISFDKDKGILSLPLFFIDVATWPTFLSLMIFEACRVGPHMSRPSPTAGPMDRSATSIG >DRNTG_08592.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9527456:9531656:-1 gene:DRNTG_08592 transcript:DRNTG_08592.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMVDKTQTLGLHILDLYRKGIIGPLTTATNDNTNESGGLPIQRRPDSLQPFPSERGKILSAVKLQESGVTFKKSLSNKITDISFDKDKGILSLPLFFIDVATWPTFLSLMIFEACRVGPHTRLQYQLQETIKELQRQFFEQDQRADQQVPDQQVQREIDELIEREILPKLFMGTPCEQVIPWQLPKEHLQLLLHKLQSQAHISQIRQLEGYGEHVTSYIFFMRELIESDSDVRLLKSKGIIFLDDENELAAVQLLKILTTVVHYPTANIKKLRREVNEYSQRKMNRLVKTRVNRWLNILMNLYFDNPWSTIAVIGGVMILVLTCLQTFYSFLSYEYPKGG >DRNTG_08592.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9500883:9528528:-1 gene:DRNTG_08592 transcript:DRNTG_08592.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPCEQVIPWQLPKEHLQLLLHKLQSQAHISQIRQLEGYGEHVTSYIFFMRELIESDSDVRLLKSKGIIFLDDENELAAVQLLKILTTVVHYPTANIKKLRREVNEYSQRKINRLVKTRVNRW >DRNTG_08592.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9527456:9534077:-1 gene:DRNTG_08592 transcript:DRNTG_08592.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTRDSHEINIEPEGLLLIIEVAKQELNFFEKQMMKWSIFQLTPWPVSIPIIPSARIGPFYQQNFLQVAFKKSGPVLRFLLLINKPLEYVMMEMREVVEELRAHYSFLEDVWRNDAKFVQLMVYDGCRILGLLRDHDERSLLYDRYYGTHKASHRPIPELQAIVLLDNQVPLLAVKMLLQVEARSRNKRPPIDKEINNLVFKFLGMDDMVDKTQTLGLHILDLYRKGIIGPLTTATNDNTNESGGLPIQRRPDSLQPFPSERGKILSAVKLQESGVTFKKSLSNKITDISFDKDKGILSLPLFFIDVATWPTFLSLMIFEACRVGPHTRLQYQLQETIKELQRQFFEQDQRADQQVPDQQVQREIDELIEREILPKLFMGTPCEQVIPWQLPKEHLQLLLHKLQSQAHISQIRQLEGYGEHVTSYIFFMRELIESDSDVRLLKSKGIIFLDDENELAAVQLLKILTTVVHYPTANIKKLRREVNEYSQRKMNRLVKTRVNRWLNILMNLYFDNPWSTIAVIGGVMILVLTCLQTFYSFLSYEYPKGG >DRNTG_25468.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1578932:1580414:-1 gene:DRNTG_25468 transcript:DRNTG_25468.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G74900) TAIR;Acc:AT1G74900] MRPVSQNPHLVSPKLHLLHRAIHTPNPPSPPLAGLSSLATTISDLVLSHPSNDPQTLAKSLSSHLPSSSPSSIPDLVLKLLWNHAPRALLFFNALLLLSPHPPPSSTLTLATDLAARLHDHSTLHRLISLRPPSPRAFSCLFERYAAHGKPDRAIRLFLSMRHRHGCSQSLPAFNSLLDALCKSRRVAKASSLVKSLRSHFHPDVITYNILADGWCRMKNTSKALETLKEMIDSGIQPTINSYNIILKGFFRSGQIKHGWNFFIEMKRRRNCRPDVVSHTTVVHGLGIAGDIDKARKVFDEMLGEGCLPSVATYNALVQVICKKGKVEDALKVFDGMLAKGYVPNSITYNLVIRGLCHAGETARAMEFLNRVEEEDDRRTNVQSYNIVVRYLMEDGEMEKGLEVFERMKRKGRCLPNLDTYNVIISGMFKRKRPEDVVVAGKMVVEMVERGFLPRRFMFNKVLNGLLMTGKPEVC >DRNTG_25778.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001336.1:38502:39207:-1 gene:DRNTG_25778 transcript:DRNTG_25778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMVIEAIVNYHSHNVLPWQCASINMQEIPAPISHVWSLIRRFDHPQAYKCFIKECYMRAGNGTEVGSVREVLMKSELPAVNSVQRLDELDDEHYVMRFSSVGDVPPGVTRANTCTFIDGVIKSSLKALAERMAECL >DRNTG_30946.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2459499:2461758:1 gene:DRNTG_30946 transcript:DRNTG_30946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNSPQRSSGSSPETLGDVHCSAKKTNKKKRLRKRKRNKKKVTPELILASNYVYHWAFGCLADDPDKVADRVLFELHCHSSCSDGFLPPSAVVERAHGNGVKVLALTDHDTMAGVSEAMEAARKFNIKVIPGVEISTVYSAREETEIEEPVHILAYYGSCGPARYEELDRVLVAIREGRYLRAREMLIKLSELKMPLEWEHVVKIAGNGVAPGRLHVAQALVEAGYVENLKQAFSRYLYDGGPAYAKGNEPFAEVVVQLICRTGGVAALAHPWALKNPLTIIKSLKAAGLHAIEVYRSDGKLAGFSDLADTYGLVKLGGSDYHGRRGDDEPDLGCVDLPVFDVYDFLKLARSIWYDAMKGMLLNFAEKPVHVNIDELLRFGKLNDDTISECSPSLLDNEENAAAEFEAIRLKLSHIMLNISGLQMSVMSG >DRNTG_01130.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18745345:18746236:-1 gene:DRNTG_01130 transcript:DRNTG_01130.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGEIELEKYVIWKTLTKPPEDYPDAKNQPHVQVALRLKQNGYPGGSAGDTVPYVICCQQETNSGSSTGIAQRARHPDELKRDGSNWIIDINYYLSQQIHPVVSRLCASIQGTSPARLADCLGLDSSKYHYNASESAGEGPAALLSVLDDGER >DRNTG_01130.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18745345:18745593:-1 gene:DRNTG_01130 transcript:DRNTG_01130.6 gene_biotype:protein_coding transcript_biotype:protein_coding QIHPVVSRLCASIQGTSPARLADCLGLDSSKYHYNASESAGEGPAALLSVLDDGER >DRNTG_01130.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18744097:18746952:-1 gene:DRNTG_01130 transcript:DRNTG_01130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLKKKKYAAVKVQFKNGVPYEVIERKGVDMVRRDWSDLSRDMGNFCLNQILSERSCEDVVESIHSSLMKVQEQMRNGEIELEKYVIWKTLTKPPEDYPDAKNQPHVQVALRLKQNGYPGGSAGDTVPYVICCQQETNSGSSTGIAQRARHPDELKRDGSNWIIDINYYLSQQIHPVVSRLCASIQGTSPARLADCLGLDSSKYHYNASESAGEGPAALLSVLDDGERYSGCVPLRLSCPSCSSSFDCPPVSSLLSTSNNRNQSEAQSENEPLNFWRTMRCPRCAGDNCRLSPAMIANQVKRQADGFTSLYYKGLMICDDELCKYTTRSINLRVIGDAERGTVCPNYPRCNGHLIRQYTEADLYKQFSYFCYILDSKQFLEKLALKDRAPFERELAAIRPAVELASSVIHKIRDRCAYAWVSLGDLSVSV >DRNTG_01130.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18744097:18745250:-1 gene:DRNTG_01130 transcript:DRNTG_01130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRCPRCAGDNCRLSPAMIANQVKRQADGFTSLYYKGLMICDDELCKYTTRSINLRVIGDAERGTVCPNYPRCNGHLIRQYTEADLYKQFSYFCYILDSKQFLEKLALKDRAPFERELAAIRPAVELASSVIHKIRDRCAYAWVSLGDLSVSV >DRNTG_01130.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18744097:18747932:-1 gene:DRNTG_01130 transcript:DRNTG_01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTGLDDINKAKTIVTKVIQEVNKKYGCLEIDLDGVYKRMLLLKKKKYAAVKVQFKNGVPYEVIERKGVDMVRRDWSDLSRDMGNFCLNQILSERSCEDVVESIHSSLMKVQEQMRNGEIELEKYVIWKTLTKPPEDYPDAKNQPHVQVALRLKQNGYPGGSAGDTVPYVICCQQETNSGSSTGIAQRARHPDELKRDGSNWIIDINYYLSQQIHPVVSRLCASIQGTSPARLADCLGLDSSKYHYNASESAGEGPAALLSVLDDGERYSGCVPLRLSCPSCSSSFDCPPVSSLLSTSNNRNQSEAQSENEPLNFWRTMRCPRCAGDNCRLSPAMIANQVKRQADGFTSLYYKGLMICDDELCKYTTRSINLRVIGDAERGTVCPNYPRCNGHLIRQYTEADLYKQFSYFCYILDSKQFLEKLALKDRAPFERELAAIRPAVELASSVIHKIRDRCAYAWVSLGDLSVSV >DRNTG_01130.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18744097:18745781:-1 gene:DRNTG_01130 transcript:DRNTG_01130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRCPRCAGDNCRLSPAMIANQVKRQADGFTSLYYKGLMICDDELCKYTTRSINLRVIGDAERGTVCPNYPRCNGHLIRQYTEADLYKQFSYFCYILDSKQFLEKLALKDRAPFERELAAIRPAVELASSVIHKIRDRCAYAWVSLGDLSVSV >DRNTG_34362.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19395711:19396287:-1 gene:DRNTG_34362 transcript:DRNTG_34362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLSRNGSSPLGRESNINRGNGRESIRVLSV >DRNTG_15598.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000772.1:25638:28879:1 gene:DRNTG_15598 transcript:DRNTG_15598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSGLASYPIDTVCRRMMMPSGEAVKYKSSLDAFSQIVKNEGAKSLFKGAGANILRATVNAGVLVGYDMLLVFVFGNKYGSGGA >DRNTG_15598.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000772.1:26697:28879:1 gene:DRNTG_15598 transcript:DRNTG_15598.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSGLASYPIDTVCRRMMMPSGEAVKYKSSLDAFSQIVKNEGAKSLFKGAGANILRATVNAGVLVGYDMLLVFVFGNKYGSGGA >DRNTG_15598.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000772.1:26697:28879:1 gene:DRNTG_15598 transcript:DRNTG_15598.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSGLASYPIDTVCRRMMMPSGEAVKYKSSLDAFSQIVKNEGAKSLFKGAGANILRATVNAGVLVGYDMLLVFVFGNKYGSGGA >DRNTG_15598.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000772.1:26697:28879:1 gene:DRNTG_15598 transcript:DRNTG_15598.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYVFLSLFQDSFFASFALGWLIMNVSGLASYPIDTVCRRMMMPSGEAVKYKSSLDAFSQIVKNEGAKSLFKGAGANILRATVNAGVLVGYDMLLVFVFGNKYGSGGA >DRNTG_19626.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001033.1:22965:24321:-1 gene:DRNTG_19626 transcript:DRNTG_19626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGADCKCGSGCGGCKMYPGLAEEKMTTTQTMIVGVAHAKEQLEGFEMATGSENGGCKCGSNCTCDPCTCK >DRNTG_25227.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17241700:17242465:1 gene:DRNTG_25227 transcript:DRNTG_25227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQPTCGLHTINNKEEPFPLLLFLLVICFLCMIFAGVRRKFEDQLYLKGCLSKYSHNCLNAEDSPAVDDGQAIKRAFRLGFKLMRMLCNRREHSNVQTLVSFYEGRVVSVQGPRRRCKCCKCFILNETS >DRNTG_30564.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001586.1:694:3348:-1 gene:DRNTG_30564 transcript:DRNTG_30564.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLQVCAAAVRVLAKLWNKPLVGVNYCVAHIEMGRVVTGAEDPVVLYVSGGNTQVIAYSEGKYRIFGETIDIAVGNCLDRFARVLNLSNDPSPGYNIEQLAKKGEEFIDLPYVVKGMDVSFSGILSYIEAIAPVKLESKECTEADLCYSLQVQQFGIILMILYKC >DRNTG_30564.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001586.1:151:3348:-1 gene:DRNTG_30564 transcript:DRNTG_30564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLQVCAAAVRVLAKLWNKPLVGVNYCVAHIEMGRVVTGAEDPVVLYVSGGNTQVIAYSEGKYRIFGETIDIAVGNCLDRFARVLNLSNDPSPGYNIEQLAKKGEEFIDLPYVVKGMDVSFSGILSYIEAIAPVKLESKECTEADLCYSLQETLFAMLVEITERAMAHCDTKDVLIVGGV >DRNTG_09386.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15596925:15600082:-1 gene:DRNTG_09386 transcript:DRNTG_09386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHEEQSTDMQFRRSSSVSTRKCPTTFNKDQRSHNYTFCSQTDLQYPNCSCHVDDTNAVQIQNDAGIQAHEITRSQLKEKSLEQQQQQQQVGGNGGGLSHAYQPKVFADIIGHGMIIKALANAAQMKRISTMYLFYGPGGSGKTSTAKIFSMALNCNSTSYSKPCSVCDGCYRSLYTAELCSGKRNSGFEKIRTLLQSTSFTETISGYKVFVIDECHSLSEDSWVELQDIEEKGHGMMVFIMITEDASLVPRGISSRCQKFNFQKLTDSDISLKLAKIVAQEGIEIKNDALTLIARKANGNLREAENLLDQLALLGQRITASMVQQILGLAPHDKLTQLLEATLSGDIIEAMRRTGELIACGIEPRTVVSQLASLVTETLAGNSSSSMQDHSQIRNRQLKKLCNTLRTLVETEKQLFSNSHDHTTWVSAALLQIASEGRSNGIAPDTVLTEFTNPADTNSAPRSRFEPTSVSTTPYFNHVDGSTDPTSEKRSSHALDLHTKIKHINMEEVWHDILERIDNKDLGEFLHSQAKLISLTLSRVNAIVHLVIMKPVATDMSEELISNALKDALGCPTSVNITHEPSQLEFVARNSATNEGKQVLQSSHSLQNNASVLSISNTSRRSVDHKGLILKRSVSHNANPMPSGKFAPTRKQTNLQMFASDHYQRREIGHMIHRSRIQPFPDTSSSFMDDDNRNGRNQTRDNCPIGDAIENKHTFLSITSIPHADASIEPYSQDLLFEEAQIDRQQQGRNYFSNSRQNSNNKTYGGLYGSWSCTDIFCPNEKTGKKKPITKK >DRNTG_07801.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1257984:1264704:1 gene:DRNTG_07801 transcript:DRNTG_07801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLNLNEAVQICIFDLRRGQHEGQELDKILFFFPMEFPFSAQLSVIGLCEGIITFTRIFSPDAACEVIEAERHSYVFYEAEADIWMVLIMEKSKDNESDWRFDALRGILKEVHYLFMMFHGSLRALLEKQPSGELARSRLYPFVIDYLTDFYVGKKLQLPSFRDSLRERGTVQMLSVSREVAIEVQSLVTVLGSLCVGNTTCKSVILFHDLLVSTTLSPEDTTNIYTYAVLRLIPHALSSGTNTWSYLLKGTSATSAAAGFTATTGSVSDGSQQSSSDASSAGQDQRNSVPRPLQREKWFKGKDGFLTTDIWGAEVGSLVSVLPTVWLRETEENMNLCIYQHKNLTIVILIPACSLINEQGLSLAKQQLVENAYHKIINVEEKLSRGWGGENAYHVSGYRYLIVDGDRHISRASPPGKVTTLAKDSLLTLNRVREEIDFEKMRAQRTNPEHEKDFEVCIRAKNNAWVISKVTRGKELHMVLEKANETLLYATETVEKFSNRYCEGAFSSN >DRNTG_10471.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16742368:16744643:-1 gene:DRNTG_10471 transcript:DRNTG_10471.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPTITTTTILLLLLLLPFFIHATTTTTTTTTTTSLPKVIKAAFWPSWSFRTIPPSSINFSYFTHIFYAFIPLDPTTFQLSISPFHHLWLTNFTTSIHSQTHDPPLSALISIAYNRTVIANLVSNPTSRATFINSTISIALQYNLDGFDLDWEFPANPEQMDNLALLYKEWRAAITCLLPTKVLLLTSSVYFSPDFFLSIVPRSYPVAVMAETLDWINANFFDYHGWWNTLETGLHAALYDPEKNISTSFGLGAWLREGMPAKKVVLGMPLYGRTFELKESDEHGVGSPAVNVGPGKGGRGIMVYGAIVRFNEENNATVVHDEERVAVYSYAGQSWLGYDDEWSVRKKVEYGMKLGLGGYFFWALGFDYNWTLSQTAWSAWEY >DRNTG_33145.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19379876:19380437:1 gene:DRNTG_33145 transcript:DRNTG_33145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVKVMPDHKAQGIHSLGCENGASSYKRLEGKVAIVTGGAGGIGEAIVRLFARHGAKVVVADIDDTAGELLAGALFPSVTFMHCNVSIEPDVEQLIERTVSKFGRLDILCNNAGVLGGQSKRQKTILELNVDELDHVMQVNVRGAALGHETCK >DRNTG_03960.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1586629:1587982:-1 gene:DRNTG_03960 transcript:DRNTG_03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQENDETPLPTPMESNSSSSKTSTQRKRKGIPTESTMDQISVNFRSFVEMVGPEFKILADAATRNAESASRHADAAVRNTEIATIREEARLEIEGKKKLLSQVLFNIEGLNDDEALIMLQALAKDEDQLKVFWDLPNDKKLRFCRIYLAKMPYIPPVV >DRNTG_01675.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23839341:23840336:-1 gene:DRNTG_01675 transcript:DRNTG_01675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRSLTQARYLSSIFSGRSSASASASDHENYSNYMSTKPTSPPSSPQAQILLDSDEYLVELEIDTPPFKVKVVADTASHMTWIQCNPCLNCYNQTDPIFDSSKSSTYNLDISCQLAICYTVSDAYCFEEHTCEYAYIYGDYSDTQGFMATDIHFLF >DRNTG_22896.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1312559:1313776:1 gene:DRNTG_22896 transcript:DRNTG_22896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKEHTNKGAWTKEEDERLIAHIKVHGEGCWRSLPKAAGLQRCGKSCRLRWINYLRPDLKRGNFSDNEDELIIKLHSLLGNKWSMIAARLPGRTDNEIKNYWNTHIRRKLLSRGIDPLTHKPINNIDNVSNLTISFKNMQDKSIQEEISPIWNQQEELLRRQNHRCPDLNLDLCISPPSQDNKYLDNINVGKERTLMCFSCSSAGLQNREECQCYEPFLSISNNSVLVKYM >DRNTG_20055.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26243931:26244862:1 gene:DRNTG_20055 transcript:DRNTG_20055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQNLNKGTRPFMARFTEAIHYYSAMFDSLAATHNEDNESRSIVEHEILHREIRNLITGPVAGEVKFDDHWRVKLSESG >DRNTG_19636.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27862642:27864776:1 gene:DRNTG_19636 transcript:DRNTG_19636.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDGIRNIVGIIGNIITLGLFLSPVPTFLKIWKRKAVEDFSPIPYLATLLNCGLWVFYGMPFVHPNSILIVTINGVGVVLESLYIIMFFVYGTKKLRLKMVMILLAEIVFMVIVILVVMLSTPSYGIRTKIVGTLCIVFGTIMYGSPLSIMGLVIRTKSVEYMPFYLSLASCLNGIDWTIYGFIHFDIFVVLPNGLGALLGLAQLVLYACYYKSTPKKTGEPRGELELPTTAPSTR >DRNTG_10768.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:12450116:12451627:-1 gene:DRNTG_10768 transcript:DRNTG_10768.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMRGQVVLNIGAEKAWEIYRNNEIIGKINPSMLARAEYLQGDGSPGSLRLFTLGPAVRGYVKESIEEIEEVEWGKSVTYKVVAGELRDMYDPYRVTFTFIPMNGVENNQCIAEWKADFQTKSPAIPMPNKAREFALGFLKSFDKFQLCN >DRNTG_15481.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16599850:16601528:1 gene:DRNTG_15481 transcript:DRNTG_15481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRAILGRPFDEEGGNKMKFHEMIEETAVLLGGFNMENYFPSLGWVCSLFGLDKRAKRTSSKWGSVLDQMIEDHVNRNKNREEEDDDFVDILLSIQGDPNKEFSLAKDQMKALLMDMLFGRTETGYVALEWSPAELIKNPKVMKKLQDEVRGKALGKSMVKEKDLSNMNYLKAFLKEILRLHPPAPLLVPRESMESCRIQGYDIPKKTRVIINGWAISRDPNVWDSPEELWPERFEHNLIDFKGQNYEYIPFGAGRRICPAIQYGVTIAELALANLVYRFDWRLPDGLVSKDLDMTETHGLTVKMKSNLFLIAKAYF >DRNTG_11475.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15555556:15557675:1 gene:DRNTG_11475 transcript:DRNTG_11475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKKKDDTLEMSSTNYKTCLESSKSTEQLHNRVKELEEELARPRAEANNRLQAE >DRNTG_07570.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21900384:21904268:-1 gene:DRNTG_07570 transcript:DRNTG_07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIGNNNLNAKLVLLGDMGAGKSSLVLRFVKGQFLEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDISSTESFERAKKWVQELQKQGNPNMVTALAGNKADLEDKRKVSAEEAQTYAQENGLFFMETSAKTAKNVNEIFYEIARRLPRAQPAQNPAGIVLADRPAERAQTSACCS >DRNTG_07110.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22255268:22260458:-1 gene:DRNTG_07110 transcript:DRNTG_07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKLDNRGSVGSKRSRNNTSRSDGDWTCPQCGNVNFSYRTVCNRGQCGAARPSTSPTMRSTPSRPAFDHPPPFYFGGVGAPPPLPLGMSGGYGAPFSLSGMHYDYGPRGGAPGPYGLLTAYGPPGPMGGMGYGHIPGMERYGYGFQGSPLPIPGPWSGRELPDNNASRKRRGGPDGFQQGDWICPKCSNVNFSFRDTCNMKKCGTPRPLSASHSGSSGNKDNSGAPEGSWTCDKCGNINYPFRTVCNRKGCANEKPPTS >DRNTG_20717.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17909424:17912565:-1 gene:DRNTG_20717 transcript:DRNTG_20717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKTVLVADVPESPAVALPITRIQPKGMVEMGRGASGFVVVGHRGKGMNVLASSDRRLMAVKENSVRSFNAAARFPIDFIEFDVQVTKDDWAVIFHDNFILTEEDGNIDEKRVTDLTLEEFLSYGPQKEIGKMGKTLLRKAKDGRVLNWNVEDDDSLCTLQEAFQKVDSHLGFNIELKFDDDIIYKEEELTHALEVILQVVSHHANARPIIFSSFHPDAAQLMRKLQSAYPVFFLTDGGCEVYSDIRRNSLDEAIKLCLASGLQGIVSEARGIFRNPSAISRMKESNLHILTYGQLNNVPEAVYMQHLMGINGVIVDLIEEITGAVSEFTSPAIRVDENLSKSTVEEEQANKPNFSQLELAFLLRLIPQLVQH >DRNTG_19602.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001026.1:13759:18851:-1 gene:DRNTG_19602 transcript:DRNTG_19602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRIQSQFRELYGQCLCPGSSILSLYSLGAILRGELEEEKGRISTTLGKIWRGICPGIQGIGDRSHQAHLGGVHGVYLRVDNGETIKVYGQGGANPDGERPEPVFREKAKTSI >DRNTG_11198.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2186055:2187385:1 gene:DRNTG_11198 transcript:DRNTG_11198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINKYKGEEMSITLAGHSLGSSLATLLGYDLAELGLNRDGNNEIQITVYSFGGPRVGNFEFKKRCEELGVKVLRVVNVNDPVTKLPGVFLNENSRVLGGKFELPWSCSCYTHVGVELALDFFEMENPTCVHDLDAYIGLLKCPNMEQMSEEGETFNLFHKLMESFSFQEFVINHEWPWHQAATYVGSLVQSLTI >DRNTG_23236.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21614729:21618221:-1 gene:DRNTG_23236 transcript:DRNTG_23236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEAVAKQFVDFYYQTFDTNRPGLALLYQDSSMLTFEGSKIQGAAAISEKLTGLPFQQCRHSISTIDCQPSGPSGGIIVFVSGLLQLAGEQHALKFSQMFHLMPGPTGFYVLNDIFRLNYA >DRNTG_11417.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:895072:898767:-1 gene:DRNTG_11417 transcript:DRNTG_11417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGFVEGGIASIVAGCSTHPLDLIKVRMQLQGESLAPNPAAVHALRPAAVGFHTTVTMPHITAASTAPLPKPGPISVGVQILRSEGTAALFSGVSATVLRQMLYSTTRMGLYDMMKKSWSGDAGVLPLHRKIAAGLIAGAVGAAVGNPADVAMVRMQADGRLPAAQRRNYKSVVDAIGRMAREEGVTSLWRGSSLTVNRAMIVTASQLATYDQAKEAILRHRGAGADGLGTHVVASFAAGLVAAMASNPVDVVKTRVMNMRTAPGEAPPYAGALDCAVKTVRAEGVMALYKGFVPTVSRQGPFTVVLFVTLEQVKRLFKDV >DRNTG_11417.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:895072:896585:-1 gene:DRNTG_11417 transcript:DRNTG_11417.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGFVEGGIASIVAGCSTHPLDLIKVRMQLQGESLAPNPAAVHALRPAAVGFHTTVTMPHITAASTAPLPKPGPISVGVQILRSEGTAALFSGVSATVLRQMLYSTTRMGLYDMMKKSWSGDAGVLPLHRKIAAGLIAGAVGAAVGNPADVAMVRMQADGRLPAAQRRNYKSVVDAIGRMAREEGVTSLWRGSSLTVNRAMIVTASQLATYDQAKEAILRHRGAGADGLGTHVVASFAAGLVAAMASNPVDVVKTRVMNMRTAPGEAPPYAGALDCAVKTVRAEGVMALYKGFVPTVSRQGPFTVVLFVTLEQVKRLFKDV >DRNTG_21195.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001208.1:6054:9421:1 gene:DRNTG_21195 transcript:DRNTG_21195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRYNCSQAFFLESSMDSGKLPGRKNKVIFIMGATGTGKTKLAVDLALHFNGEIINSDKMQVYDGLDIITNKATLTERAGVPHHLLGGVPQTADFSAEDFRRDAILAVDSIISRGKLPIIAGGSNSFIQALVDGHDGLFRAKFELCFIWIDVELLVLFQFTGHRVDKMVELGLVEEARGVFNIKDDDYTRGVRRAIGVSEFDKYFREENRVTDDQKAGILAEAIEQVKVNACKLVTSQLMKIERLRVESGWDVKRLDATGVFLKRGSPEFEGAWMEMVVKPALDIVSRFLDGENAQGRAPLARRLRDEELRRKASCRQSGSGDLAASTRTKHDREVDGSCGHPQCNQNSVKSAFRAMLQFMLQQELQQLISACF >DRNTG_30417.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001573.1:126651:128422:1 gene:DRNTG_30417 transcript:DRNTG_30417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHRGNPFRMDISKPDNEMINGGKHGLISSMEENSEDTTPFSYGFGENRLVNDENFMKDDQKESKCSVLADEFDTEWNPFLVDMLNLDNETATTEGNIENGHHMVDGLEAICSIPASENVCCIAYQNNPFLMDILKLDNENVIEGEHTLPLPTEKKIFDGEEPCKDFEPAQSSLGHAKDDGFAKAPYSGVHHGNPFLDDVPELDDENVTGGHNGFALPMANDIFEEEMQLPAQCICDLGEESFKSNEINLKNNQTEDVHIEVPCSIASPEFDTDFDLDKLVSESEMDVCKTGSFSDEKVNSPEKIETEINGRTRSISSELNHSQEDNDNIVMEGVATGGIPSSPDIRESPVNGNSISVFDKDAEEKLCSGRSEAKEILDSTHQDKQGSSISILVFDVTESKPNHGLGGTTADNSVKSEATTFNFDSAGTNSSNTEENKEDKAHQSCKSDVLNRGIEDTATDGATASNQNSVLHPSRGESSFSGLSSLPGPIIASGRIPFSGSISYRSDSSTTSARSFAFPM >DRNTG_07808.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1302748:1306186:1 gene:DRNTG_07808 transcript:DRNTG_07808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSHASSPPPASSPTSPTPTPTPPLPAPTPPATPALSSSPTTSPVRAHRRSSGWSFISDDNSLLLQLKSAINPHSDMDFIYIGCLRGDEIPISDQDEVSQTLIENFKCVPVFLPSDLLSRFYLGFCKQHLWPLFHYMLPLSPELGGRFDRSLWQAYVSVNKLFADKILEVISPDDDFVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPVREELLRSLLNSDLIGFHTFDYARHFLSCCSRMLGLSYESRRGYIGLEYYGRTVSIKILPVGIHLGKLKAVLSLPETEKMVAELADKFKDRIMLLGVDDMDIFKGISLKLLAMEQLLLQHPEWRGKVVLVQIANPARGKGKDVKDVQAESFAMVKRINEAFGQPGYDPVVLIERQLQFYERIAHYVVAECCLVTAVRDGMNLIPYEYVVSRQGNERLDEVLGLSREAPKKSMLVVSEFIGCSPSLSGAIRVNPWNVDAVADAMALALELPESEKQLRHEKHYRYVSTHDVGYWANSFLQDLERTCKDHIRRRCWGIGFGLGFRVVALDPNFRKLSMEHIVSAYKRTTTRAILLDYDGTLMPQASIDKSPSAKTIETLNSLCRDKNNMVFIVSARSKKTLSEWFSPCGNLGIAAEHGYFLRLNGDAEWETCAPVADCTWKQIAEPVMKLYTETTDGSTIEDKETALAWCYEDADPDFGSCQAKEILDHLESVLANEPVTVKSGTNNVEVKPQGVSKGLVAQRLLSIMRQRGLVPDFVLCIGDDRSDEDMFEVITTAMAGFSCTPSAEVFACTVGRKPSKAKYYLDDTAEIVRLMQGLASVSEQMPSNLPGIIQGVILNA >DRNTG_15266.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4447857:4449827:1 gene:DRNTG_15266 transcript:DRNTG_15266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRCFAVLTKTFGPIMTLRLSQVTNIVVTSPEIAREVFQKNDLIFSSRSVPDAIRALSIHEKSLVRLPGNQRWRNLHKICTTGLFTSRSLNSTKSLRSQKVRELIAYVSECCASQTAVDVRYVSSTTILNLLSNTLFSADFATLSSESKLEFVILT >DRNTG_28703.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:413775:414932:-1 gene:DRNTG_28703 transcript:DRNTG_28703.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYINACKPKSLPECRWFGPDSSVEIISRKWKRNCGKWNTNKPFHENLETLLEIELPRKISVSVSKDDEQLDCGICYAHCLPVDDELGDYSGGKPDYTCENASCSKAFHVICLRDWLRSISTTRQSFDVLFGNCPYCSDPVAVKLKIGKRSSVIQQS >DRNTG_28703.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:415003:415600:-1 gene:DRNTG_28703 transcript:DRNTG_28703.6 gene_biotype:protein_coding transcript_biotype:protein_coding MCELQWSENSKLRDVVRQFTEHLQKLQEFWSTMDEIDKTLCVLHPKQPSLAMSHRQISI >DRNTG_28703.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:413775:416710:-1 gene:DRNTG_28703 transcript:DRNTG_28703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNPNPSFYRSIFSEVEEIGWQFLLRSSPDLSSLVFNLQDEKGRSHALEITIPRDYPLSSPSISSDVPYMCELQWSENSKLRDVVRQFTEHLQKLQEFWSTMDEIDKTLCVLHPKQPSLAMSHRQISIGNECSLLMYINACKPKSLPECRWFGPDSSVEIISRKWKRNCGKWNTNKPFHENLETLLEIELPRKISVSVSKDDEQLDCGICYAHCLPVDDELGDYSGGKPDYTCENASCSKAFHVICLRDWLRSISTTRQSFDVLFGNCPYCSDPVAVKLKIGKRSSVIQQS >DRNTG_28703.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:413775:414426:-1 gene:DRNTG_28703 transcript:DRNTG_28703.5 gene_biotype:protein_coding transcript_biotype:protein_coding DDELGDYSGGKPDYTCENASCSKAFHVICLRDWLRSISTTRQSFDVLFGNCPYCSDPVAVKLKIGKRSSVIQQS >DRNTG_28703.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:413775:415600:-1 gene:DRNTG_28703 transcript:DRNTG_28703.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCELQWSENSKLRDVVRQFTEHLQKLQEFWSTMDEIDKTLCVLHPKQPSLAMSHRQISIGNECSLLMYINACKPKSLPECRWFGPDSSVEIISRKWKRNCGKWNTNKPFHENLETLLEIELPRKISVSVSKDDEQLDCGICYAHCLPVDDELGDYSGGKPDYTCENASCSKAFHVICLRDWLRSISTTRQSFDVLFGNCPYCSDPVAVKLKIGKRSSVIQQS >DRNTG_28703.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:413775:414646:-1 gene:DRNTG_28703 transcript:DRNTG_28703.4 gene_biotype:protein_coding transcript_biotype:protein_coding NTNKPFHENLETLLEIELPRKISVSVSKDDEQLDCGICYAHCLPVDDELGDYSGGKPDYTCENASCSKAFHVICLRDWLRSISTTRQSFDVLFGNCPYCSDPVAVKLKIGKRSSVIQQS >DRNTG_08813.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28066358:28067630:-1 gene:DRNTG_08813 transcript:DRNTG_08813.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTSTITRSTIYPLLQYNSKPFLSSSLSPAKASLSLGRSSHITHARRGISSRTRRLEKGRKGAVVSPEEEQEEVVGDVGEGAAVEDPGAYVSADGVPMPELPGVETDFWEGPQWNAFGFFIQYLWAFGIIFGLIACGIAVATYNEGATDFKETSVYKESVQSQEFLEEQESSGSDVFEANPTEEAPNLE >DRNTG_26387.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18014072:18015979:1 gene:DRNTG_26387 transcript:DRNTG_26387.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g35030, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G35030) UniProtKB/Swiss-Prot;Acc:O64766] MLIASRCLRIRKPQVLSPPLSHPFSSSSFHPLIHTSTLRISTVQRDISADPKTAFTNQTITRLANNGRIPDARHLFDQMPHRDVITWTALISAYIRCGMLREARALFDRPDAQKNVVTWTALLSGYVRSKRILEAEDIFKRMPEKNVVSWNTMMSGYAENGLVDKACEVFDNMPERNVVSWNTIVTALCQCGRVEEAWAMFRRMVHRDVISWTAMVAGFAQNGRVDDAQKLFDEMPERNVVSWNAMISGYTQNSQLDKALELFDKMPERDIPSWNTMITGLIQNGELVKARRLFDRMNERNVITWTTLITGYEQDGQNETAVNVFLEMQRDCVRPNQGTFVSVLAAISNLAALAIGQQVHQIINKTSFQFSSFVESALISMYSKCGELFTARELFDLSSHKDLVSWNTMIAAYAHHGHGMDAVKLFDEMKMTSFKPDDVTYVALLSACSHSGLVDEGLRIFKSLVSDESINVREDHYACLVDLCSRAGRLEEATRFIKGLKNKQSSACVWGALLGGCNVHGNENIGELAAKKLLEIEPNNAGTYLLLSNIYASAGKWKEAANVRLRMKDRGLKKQPGCSWIEIGNRVHVFTVRDKSHNRSELIYSLVQDLHHKMSVSDDYALDFDDLVVVNHQVE >DRNTG_27515.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21037776:21040885:-1 gene:DRNTG_27515 transcript:DRNTG_27515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKNGSVALSLTDSSGCDEDTDIESEEPMEEPNEEGFDENEQNEEEFCQVFSEDDPIEEEVYEEEPIEEELYEEELIKEEVCEEEPIEEEISEVEPIEEEISEDESNEKESYEEDPNEEGNHKGEPAEEAIYEEEFIEEEICEEENEEDLSETSASQSLLEGDKDEDQHSNFMPVYLEEQISSADALKEHLPKSPVEENNSGDKRRSADALGDHSQKKQKMEINSALTDVEYESKATDEIAVKENENSGSSGKRRRSRWDAGPGADDEAGDVAKKKRKTRWENDAAVLKMLGPLKLPDFVKGFVADADSDPEIKKLNSELLEISMKLHARELIDDRPVAERSPSPPPIYNELGIQLNTRNARYRLKLIELKQKIISDLVKRYPTFKPPSDYRPPKLYKKLYIPVKEYPEYNFVGLIIGPRGNTQKRMEKKTGAKIFIRGKGSAKDGRLRKEGNPDPWGEYEDLHVCVEAETQDSLDAAVKMVENLLVPVEDEANEHKRSQLLELAKLRQKAVHAKSGQANSLCDICGDSHLTSACPLIASNETSKNCEQANSLAEIGDEGVSPFSSPSAPTPKMPWRVSTASVSLLEENGKNNKVIDQANIYVASLPHTVDDNRLIELFSSFGPIGTARVIKDKQTGLSKGYGFVKYIDIASASNAIAQMNGCKLEGKTLSVRVAGCPPPILTGSLHMVLSRGPRFNYLPTYPGPPAVVHLNPNQKLWPGPPGSVLPEAYPATVSLSHINPFGTQPPPSFGYTTKVLPTFFSSGIASPDELAQFPGYQKSFDF >DRNTG_27515.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21037679:21039765:-1 gene:DRNTG_27515 transcript:DRNTG_27515.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVYLEEQISSADALKEHLPKSPVEENNSGDKRRSADALGDHSQKKQKMEINSALTDVEYESKATDEIAVKENENSGSSGKRRRSRWDAGPGADDEAGDVAKKKRKTRWENDAAVLKMLGPLKLPDFVKGFVADADSDPEIKKLNSELLEISMKLHARELIDDRPVAERSPSPPPIYNELGIQLNTRNARYRLKLIELKQKIISDLVKRYPTFKPPSDYRPPKLYKKLYIPVKEYPEYNFVGLIIGPRGNTQKRMEKKTGAKIFIRGKGSAKDGRLRKEGNPDPWGEYEDLHVCVEAETQDSLDAAVKMVENLLVPVEDEANEHKRSQLLELAKLRQKAVHAKSGQANSLCDICGDSHLTSACPLIASNETSKNCEQANSLAEIGDEGVSPFSSPSAPTPKMPWRVSTASVSLLEENGKNNKVIDQANIYVASLPHTVDDNRLIELFSSFGPIGTARVIKDKQTGLSKGYGFVKYIDIASASNAIAQMNGCKLEGKTLSVRVAGCPPPILTGSLHMVLSRGPRFNYLPTYPGPPAVVHLNPNQKLWPGPPGSVLPEAYPATVSLSHINPFGTQPPPSFGYTTKVLPTFFSSGIASPDELAQFPGYQKSFDFPDPFFEPAPSSSLSSS >DRNTG_19764.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6357076:6360081:-1 gene:DRNTG_19764 transcript:DRNTG_19764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIMKGSPPLTSSPRKNSECMPSFKRTRVEEEFPSCNSNVLISKRRSLRVHCG >DRNTG_01360.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:348399:350332:-1 gene:DRNTG_01360 transcript:DRNTG_01360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGLKLVREMEEWFRDTGAEYAYMATDKDNEASVRLFTGRCGYSKFRTPSILVHPVFAHRSPAANLATMIHLSPTDAELLYRRRFSTVEFFPRDIDAVLHNPLSLGTFVAVPRETIWSGISSFLSDPPSSWAVLSVWNCKDVFRLEIRGAGRFRRGLAWASRVVDRAMPWLRIPSVPDLFHPFGVYFLYGVGGEGNEAAEMARALCGHAHNMARMAGGVGVVAAEVASCEPLREGIPHWRRLSCAEDLWCVKRLAEDYSDGAVGDWTKSPPGTTIFVDPREF >DRNTG_01360.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:348399:350332:-1 gene:DRNTG_01360 transcript:DRNTG_01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVTVLIREFELEKDREAADAIDRVCEVGPSGKMTLFTDLLGDPVSRVRHSPAFLMLVAETVGPATEIVGLIRGCVKTVTCGKKPTRSGATTAKHQNPPSPIYTKVGYILGLRVSPYHRRMGIGLKLVREMEEWFRDTGAEYAYMATDKDNEASVRLFTGRCGYSKFRTPSILVHPVFAHRSPAANLATMIHLSPTDAELLYRRRFSTVEFFPRDIDAVLHNPLSLGTFVAVPRETIWSGISSFLSDPPSSWAVLSVWNCKDVFRLEIRGAGRFRRGLAWASRVVDRAMPWLRIPSVPDLFHPFGVYFLYGVGGEGNEAAEMARALCGHAHNMARMAGGVGVVAAEVASCEPLREGIPHWRRLSCAEDLWCVKRLAEDYSDGAVGDWTKSPPGTTIFVDPREF >DRNTG_29403.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1915816:1918177:1 gene:DRNTG_29403 transcript:DRNTG_29403.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATLHHLVRRRLSTSAAAILNPSDPSAILTSYQKSRAALSLLRSSPALSSDHILSICRAAALSPTTHLDRLALSVAVSSLSKKPEFAPTLRSLIDGLPKSPRSLAHAIVLYGQGKLLDDALHVFTSIPSPTVRHLNSLLFACILAGNHPEAARIFRDFPVQYNITPNLETYNTIIKSFCESGYSRSVFSLLDEMLRKKIKPNLTTFTHWLSGLYKDQRFDDVPKVLQLMKKNDSHPGVLSIYNVRIHGLCKLGKAAEAKELFKQMIRNGMKPNWVTFGHMIYGFAKEGDLEESKKLYKEMISRGCTPDSSCYFTLMHYLCLGGDFDAALDVCKESMKRDWIPCFKMMKMLVDGLVSKSKVEEAREIIEKLKEKFSSKADMWKEVEEGLSQ >DRNTG_29403.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1915891:1918177:1 gene:DRNTG_29403 transcript:DRNTG_29403.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATLHHLVRRRLSTSAAAILNPSDPSAILTSYQKSRAALSLLRSSPALSSDHILSICRAAALSPTTHLDRLALSVAVSSLSKKPEFAPTLRSLIDGLPKSPRSLAHAIVLYGQGKLLDDALHVFTSIPSPTVRHLNSLLFACILAGNHPEAARIFRDFPVQYNITPNLETYNTIIKSFCESGYSRSVFSLLDEMLRKKIKPNLTTFTHWLSGLYKDQRFDDVPKVLQLMKKNDSHPGVLSIYNVRIHGLCKLGKAAEAKELFKQMIRNGMKPNWVTFGHMIYGFAKEGDLEESKKLYKEMISRGCTPDSSCYFTLMHYLCLGGDFDAALDVCKESMKRDWIPCFKMMKMLVDGLVSKSKVEEAREIIEKLKEKFSSKADMWKEVEEGLSQ >DRNTG_29403.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1915816:1918177:1 gene:DRNTG_29403 transcript:DRNTG_29403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLHHLVRRRLSTSAAAILNPSDPSAILTSYQKSRAALSLLRSSPALSSDHILSICRAAALSPTTHLDRLALSVAVSSLSKKPEFAPTLRSLIDGLPKSPRSLAHAIVLYGQGKLLDDALHVFTSIPSPTVRHLNSLLFACILAGNHPEAARIFRDFPVQYNITPNLETYNTIIKSFCESGYSRSVFSLLDEMLRKKIKPNLTTFTHWLSGLYKDQRFDDVPKVLQLMKKNDSHPGVLSIYNVRIHGLCKLGKAAEAKELFKQMIRNGMKPNWVTFGHMIYGFAKEGDLEESKKLYKEMISRGCTPDSSCYFTLMHYLCLGGDFDAALDVCKESMKRDWIPCFKMMKMLVDGLVSKSKVEEAREIIEKLKEKFSSKADMWKEVEEGLSQ >DRNTG_29403.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1915869:1918177:1 gene:DRNTG_29403 transcript:DRNTG_29403.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATLHHLVRRRLSTSAAAILNPSDPSAILTSYQKSRAALSLLRSSPALSSDHILSICRAAALSPTTHLDRLALSVAVSSLSKKPEFAPTLRSLIDGLPKSPRSLAHAIVLYGQGKLLDDALHVFTSIPSPTVRHLNSLLFACILAGNHPEAARIFRDFPVQYNITPNLETYNTIIKSFCESGYSRSVFSLLDEMLRKKIKPNLTTFTHWLSGLYKDQRFDDVPKVLQLMKKNDSHPGVLSIYNVRIHGLCKLGKAAEAKELFKQMIRNGMKPNWVTFGHMIYGFAKEGDLEESKKLYKEMISRGCTPDSSCYFTLMHYLCLGGDFDAALDVCKESMKRDWIPCFKMMKMLVDGLVSKSKVEEAREIIEKLKEKFSSKADMWKEVEEGLSQ >DRNTG_29403.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1915816:1918177:1 gene:DRNTG_29403 transcript:DRNTG_29403.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLHHLVRRRLSTSAAAILNPSDPSAILTSYQKSRAALSLLRSSPALSSDHILSICRAAALSPTTHLDRLALSVAVSSLSKKPEFAPTLRSLIDGLPKSPRSLAHAIVLYGQGKLLDDALHVFTSIPSPTVRHLNSLLFACILAGNHPEAARIFRDFPVQYNITPNLETYNTIIKSFCESGYSRSVFSLLDEMLRKKIKPNLTTFTHWLSGLYKDQRFDDVPKVLQLMKKNDSHPGVLSIYNVRIHGLCKLGKAAEAKELFKQMIRNGMKPNWVTFGHMIYGFAKEGDLEESKKLYKEMISRGCTPDSSCYFTLMHYLCLGGDFDAALDVCKESMKRDWIPCFKMMKMLVDGLVSKSKVEEAREIIEKLKEKFSSKADMWKEVEEGLSQ >DRNTG_29403.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1915891:1918239:1 gene:DRNTG_29403 transcript:DRNTG_29403.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATLHHLVRRRLSTSAAAILNPSDPSAILTSYQKSRAALSLLRSSPALSSDHILSICRAAALSPTTHLDRLALSVAVSSLSKKPEFAPTLRSLIDGLPKSPRSLAHAIVLYGQGKLLDDALHVFTSIPSPTVRHLNSLLFACILAGNHPEAARIFRDFPVQYNITPNLETYNTIIKSFCESGYSRSVFSLLDEMLRKKIKPNLTTFTHWLSGLYKDQRFDDVPKVLQLMKKNDSHPGVLSIYNVRIHGLCKLGKAAEAKELFKQMIRNGMKPNWVTFGHMIYGFAKEGDLEESKKLYKEMISRGCTPDSSCYFTLMHYLCLGGDFDAALDVCKESMKRDWIPCFKMMKMLVDGLVSKSKVEEAREIIEKLKEKFSSKADMWKEVEEGLSQ >DRNTG_29403.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1915891:1918177:1 gene:DRNTG_29403 transcript:DRNTG_29403.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATLHHLVRRRLSTSAAAILNPSDPSAILTSYQKSRAALSLLRSSPALSSDHILSICRAAALSPTTHLDRLALSVAVSSLSKKPEFAPTLRSLIDGLPKSPRSLAHAIVLYGQGKLLDDALHVFTSIPSPTVRHLNSLLFACILAGNHPEAARIFRDFPVQYNITPNLETYNTIIKSFCESGYSRSVFSLLDEMLRKKIKPNLTTFTHWLSGLYKDQRFDDVPKVLQLMKKNDSHPGVLSIYNVRIHGLCKLGKAAEAKELFKQMIRNGMKPNWVTFGHMIYGFAKEGDLEESKKLYKEMISRGCTPDSSCYFTLMHYLCLGGDFDAALDVCKESMKRDWIPCFKMMKMLVDGLVSKSKVEEAREIIEKLKEKFSSKADMWKEVEEGLSQ >DRNTG_29987.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7504783:7506059:1 gene:DRNTG_29987 transcript:DRNTG_29987.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYEQWKSILKIQKFRRMVSYAGFYCFVTLISYAYTSNTTRAGMSRADQYYAAYPAGIELLTDTAKLYKAALGNCFEQEEWGPIEFSIIAKHFERQGKSPYAYHAVS >DRNTG_06866.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17271366:17274491:1 gene:DRNTG_06866 transcript:DRNTG_06866.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDELFEQKNDVAQAVLEEVEEVMGAYGYNIKQILMVDIIPDPSVRRAMNEINAVEE >DRNTG_06866.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17271366:17276115:1 gene:DRNTG_06866 transcript:DRNTG_06866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDELFEQKNDVAQAVLEEVEEVMGAYGYNIKQILMVDIIPDPSVRRAMNEINADAFKLDTLLKLSDVKGTDGKTRLLHLVIQEII >DRNTG_06866.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17271366:17274109:1 gene:DRNTG_06866 transcript:DRNTG_06866.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDELFEQKNDVAQAVLEEVEEVMGAYGYNIKQILMVDIIPDPSVRRAMNEINAGA >DRNTG_31158.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13347974:13353624:1 gene:DRNTG_31158 transcript:DRNTG_31158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYVTLPNSAEANMLKSEDFLKKFNHALLEIHVEEGALVCQETGLRFPINKMIPNMLLNKDEGLERLWLGLSSGSTAFDTAFLQKIVIRGAFVGIDSARCALGLTRGPLENTRQAHKTIDTDYEGVLLMDSMLLHLISLLTVSCSMES >DRNTG_16625.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31432122:31439714:-1 gene:DRNTG_16625 transcript:DRNTG_16625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKQLVCALLWVLALIGSIIEHGEAASDFVKADGTSFAVNGESFYPNGFNAYWLMSKGSKPSEMDKVSRALCQASSYGMTVVRTWAFKDGGYRPLQSSPGVYNEDMFKGLDFVISEAKKYGLRLILSMVNNFKGKRQYVEWAKQRGENLTSEDDFYTNY >DRNTG_11376.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5930326:5933589:-1 gene:DRNTG_11376 transcript:DRNTG_11376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWRTGRKTSQKSYQIGSTPVCPTGELHRGRCTALLGKTLTDSERSHTGVQKIPTPVHPTHRGRRTPLWLLHRGSHTPQCLLRMGKTPLQRFTRACGNYPRPCVVHKVTHKGENTPLCALRIIRPTLQEFTPPSGNYPRVCDNHMVIHRGSRTPLCLLWMSSQCNSTGA >DRNTG_09101.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10505237:10505746:1 gene:DRNTG_09101 transcript:DRNTG_09101.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAMVRATMSKRFNIDPSIPDLKIFVKSIVDSSFESKQGEEEESKQKLKKKSPNKLKKKKRIPLIAVTGRSIMQIGISLSTDLQKSD >DRNTG_14144.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17855889:17861173:1 gene:DRNTG_14144 transcript:DRNTG_14144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQLGGESKVGGSFKRVFTSMSPPGNLVTNGSVDIHGHTADKSTTGGWKASPFIIVNEVAERMAFYAIAVNMVAYLVREMHQSLPDAATHVNDWVGAAFVLTILGAFLADAYLGRFLTIVIFTLIYSLGMIMLTLSAAISNLRPPPCTGKQCPQATTKQTTFLYISLALIALGTGGIKPCVSSFGADQFDENDEKEAQKKYSFFNWFFFAINMGVLMGITLMVYVEVKKGWVWGFGVPTASTVMSVVVLVAGAPVYRYQKATGSALSRFVQVVVVAWRNHWKGVGVVRVEELYEVKTRESVIVGARKLGHTSQYSFLDKAAVITDLHDPQNTSKWRLCTVTQVEELKSFIRVLPIWASTIALSISFAQLSTFLHHPVHHHGPKTWQSLPYPPGKCRCLRRPQRPSPRPSLRIHCSSHSPSHYRPSPWHHFTPTHRCRSLHLHLCHGFCCHHRKQKTT >DRNTG_23110.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9894993:9902264:-1 gene:DRNTG_23110 transcript:DRNTG_23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding CVKCDPEVNEEMEKSFTELLLEELKRREVEATNVNFFSKE >DRNTG_34605.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23236659:23239775:-1 gene:DRNTG_34605 transcript:DRNTG_34605.1 gene_biotype:protein_coding transcript_biotype:protein_coding DYTQGCSNHHRHRASPPQSSPPHPRTSAISPFSSAFHLPFSHDDDDNPLLRRRRGPQSQAVACRLWPSEAGEG >DRNTG_00993.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6737869:6739027:1 gene:DRNTG_00993 transcript:DRNTG_00993.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFSISRIKTREAFNSHGSRHDTTTNPRRNTSSSSRPKTRIINHRVRYSARRRPIEESCTLFFILPY >DRNTG_00993.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6737462:6739027:1 gene:DRNTG_00993 transcript:DRNTG_00993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFSISRIKTREAFNSHGSRHDTTTNPRRNTSSSSRPKTRIINHRVRYSARRRPIEESCTLFFILCEDNINKVSTRMASKGHWAVERFLLPLKYKLEA >DRNTG_00993.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6737869:6738909:1 gene:DRNTG_00993 transcript:DRNTG_00993.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFSISRIKTREAFNSHGSRHDTTTNPRRNTSSSSRPKTRIINHRVRYSARRRPIEESCTLFFILCEDNINKVSTRMASKGHWAVERFLLPLKYKLEA >DRNTG_00993.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6737869:6738909:1 gene:DRNTG_00993 transcript:DRNTG_00993.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFSISRIKTREAFNSHGSRHDTTTNPRRNTSSSSRPKTRIINHRVRYSARRRPIEESCTLFFILPY >DRNTG_00993.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6737462:6738909:1 gene:DRNTG_00993 transcript:DRNTG_00993.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFSISRIKTREAFNSHGSRHDTTTNPRRNTSSSSRPKTRIINHRVRYSARRRPIEESCTLFFILCEDNINKVSTRMASKGHWAVERFLLPLKYKLEA >DRNTG_00993.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6737869:6739027:1 gene:DRNTG_00993 transcript:DRNTG_00993.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFSISRIKTREAFNSHGSRHDTTTNPRRNTSSSSRPKTRIINHRVRYSARRRPIEESCTLFFILCEDNINKVSTRMASKGHWAVERFLLPLKYKLEA >DRNTG_19119.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29679122:29681352:1 gene:DRNTG_19119 transcript:DRNTG_19119.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKILNWVHNKLNGKQHKKTLNNPVNFSSSFHYDRKEEFSDWPQALLAIGTFGTNGFKENQERCSSSEGDSKEDDTLTIDSSKNSASSSLELLDFTVDDASNLQKELTKLYTLQPKSSKLDGSNLPLNRFLNCPLSLELDTVAYDHDHNHDHLSNINAEEESYLSPKSKIILSKARDILTDNRKGIKRRSIKFLLMKLFACQRGLPPIPNVRDTVPESKVEKILRMMLHKKTYPQSSTPTTSVKKYLNKKPSEKRCKPRDEHEEGEDEDQQQQQQGQEEEEEEEGSKWVKTDSEFIVLEI >DRNTG_19119.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29679122:29681352:1 gene:DRNTG_19119 transcript:DRNTG_19119.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKILNWVHNKLNGKQHKKTLNNPVNFSSSFHYDRKEEFSDWPQALLAIGTFGTNGFKENQERCSSSEGDSKEDDTLTIDSSKNSASSSLELLDFTVDDASNLQKELTKLYTLQPKSSKLDGSNLPLNRFLNCPLSLELDTVAYDHDHNHDHLSNINAEEESYLSPKSKIILSKARDILTDNRKGIKRRSIKFLLMKLFACQRGLPPIPNVRDTVPESKVEKILRMMLHKKTYPQSSTPTTSVKKYLNKKPSEKRCKPRDEHEEGEDEDQQQQQQGQEEEEEEEGSKWVKTDSEFIVLEI >DRNTG_19119.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29679122:29681352:1 gene:DRNTG_19119 transcript:DRNTG_19119.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIISILNWVHNKLNGKQHKKTLNNPVNFSSSFHYDRKEEFSDWPQALLAIGTFGTNGFKENQERCSSSEGDSKEDDTLTIDSSKNSASSSLELLDFTVDDASNLQKELTKLYTLQPKSSKLDGSNLPLNRFLNCPLSLELDTVAYDHDHNHDHLSNINAEEESYLSPKSKIILSKARDILTDNRKGIKRRSIKFLLMKLFACQRGLPPIPNVRDTVPESKVEKILRMMLHKKTYPQSSTPTTSVKKYLNKKPSEKRCKPRDEHEEGEDEDQQQQQQGQEEEEEEEGSKWVKTDSEFIVLEI >DRNTG_19119.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29679122:29681352:1 gene:DRNTG_19119 transcript:DRNTG_19119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRTTTIRQLDKEILNWVHNKLNGKQHKKTLNNPVNFSSSFHYDRKEEFSDWPQALLAIGTFGTNGFKENQERCSSSEGDSKEDDTLTIDSSKNSASSSLELLDFTVDDASNLQKELTKLYTLQPKSSKLDGSNLPLNRFLNCPLSLELDTVAYDHDHNHDHLSNINAEEESYLSPKSKIILSKARDILTDNRKGIKRRSIKFLLMKLFACQRGLPPIPNVRDTVPESKVEKILRMMLHKKTYPQSSTPTTSVKKYLNKKPSEKRCKPRDEHEEGEDEDQQQQQQGQEEEEEEEGSKWVKTDSEFIVLEI >DRNTG_19119.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29679868:29681352:1 gene:DRNTG_19119 transcript:DRNTG_19119.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFACQRGLPPIPNVRDTVPESKVEKILRMMLHKKTYPQSSTPTTSVKKYLNKKPSEKRCKPRDEHEEGEDEDQQQQQQGQEEEEEEEGSKWVKTDSECKFLLSHF >DRNTG_17544.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29073755:29076366:-1 gene:DRNTG_17544 transcript:DRNTG_17544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGSNSVIGAVNFVTFLISIPILGGGIWLSSRANSTECLQFLQWPLIVIGITIMVISLMGFAGACYGLTWLMWLYLFVMFFVVVALIGFIVFAYAVTDRGHGEVIMNRAFLEYQLSDYSGWLKERVSDPVYWSKISSCLRDARACRGMAKYGTDPATGMRVPESPDMFYSRQLSPIESGCCKPPTSCGYAYANETFWNPSNAMLLTDTDCVKWSNDQRTLCYQCDSCKAGVIASIRHSWRKVSVINIVVLIILVIVYVIGCAAFRNNQRMDNSEPYGESRMTKARPSRYQF >DRNTG_11592.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9685007:9686839:-1 gene:DRNTG_11592 transcript:DRNTG_11592.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHMILMKLKSHRWRNKPLQRIDLPGIKRVKSGSHLVKSKHHHQLQ >DRNTG_16746.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10968742:10969620:1 gene:DRNTG_16746 transcript:DRNTG_16746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLQNFLFFITSMVVPCGTAAPVLLKWFVSRDVPTGAPSSNGTIIPIPIPEFPFLVYLHSKKFIRSMDRAKSGVLVRASRPTLLLPHIIGRSSSARNAVFRFIPVLHFLLLESMGDLSYFEFFCGLLCLQFFRTLFSLPRDRSAKRERARKRKRQRLRPNGNEQRRNDKMRCPGHPHIERRVEGFGPVAFPVPPSSSGACLGGVPPEIGLEALALPTSRLLMAVGHDYYNKVKIHLPISHGGVCIFMLGVLLSCDPVAYVRPVAHASYLFRAGGVNSDSIRVFNPAAEMLS >DRNTG_30792.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10427136:10428923:1 gene:DRNTG_30792 transcript:DRNTG_30792.3 gene_biotype:protein_coding transcript_biotype:protein_coding QVLFIAGRRRSKTENPHGHVEIPHAREKNPQGRPHGCVDSRFQPYLRPISAPISAPILAFFSPFFPQLERGRWLEF >DRNTG_30792.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10424259:10428923:1 gene:DRNTG_30792 transcript:DRNTG_30792.2 gene_biotype:protein_coding transcript_biotype:protein_coding QVLFIAGRRRSKTENPHGHVEIPHAREKNPQGRPHGCVDSRFQPYLRPISAPISAPILAFFSPFFPQLERGRWLEF >DRNTG_30792.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10424259:10428923:1 gene:DRNTG_30792 transcript:DRNTG_30792.1 gene_biotype:protein_coding transcript_biotype:protein_coding QVLFIAGRRRSKTENPHGHVEIPHAREKNPQGRPHGCVDSRFQPYLRPISAPISAPILAFFSPFFPQLERGRWLEF >DRNTG_18264.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:9608456:9620389:1 gene:DRNTG_18264 transcript:DRNTG_18264.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLESKVIDAVKNLEAVASAVDKLDNLVTEQWIQIQQLEQALQMAKINVAKVHKKSASKFQIKGKNIKDKISEGIFYPFQEVVGLPDSFWLGNPVSSSLISQLLDLVRRIMSTTKNFHYELQYFVKHVMETNDFTVSFANREVIFFLASAIFILPLINAWVFFWSLLRQSW >DRNTG_18264.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:9608456:9609706:1 gene:DRNTG_18264 transcript:DRNTG_18264.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSPVQLLLLTLLPLQLLSLASRLLHKPLAQRFNAARSALLLVSIPILLSAIFAFPNAEPSKDVAFSSELEKLKLKIDHLESILQENARILNTKTHHLDEEKMLAEALELKINSLERSLDSLKVTFSFRG >DRNTG_18264.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:9615680:9615955:1 gene:DRNTG_18264 transcript:DRNTG_18264.8 gene_biotype:protein_coding transcript_biotype:protein_coding LVFYHQLDNLVTEQWIQIQQLEQALQMAKINVAKVHKKSASKFQIKGKNIKDKISE >DRNTG_18264.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:9608456:9620389:1 gene:DRNTG_18264 transcript:DRNTG_18264.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSPVQLLLLTLLPLQLLSLASRLLHKPLAQRFNAARSALLLVSIPILLSAIFAFPNAEPSKDVAFSSELEKLKLKIDHLGSSGSSYSEIRISSLEEEVRLLWAESRGNNFDIHMLESKVIDAVKNLEAVASAVDKLDNLVTEQWIQIQQLEQALQMAKINVAKVHKKSASKFQIKGKNIKDKISEGIFYPFQEVVGLPDSFWLGNPVSSSLISQLLDLVRRIMSTTKNFHYELQYFVKHVMETNDFTVSFANREVIFFLASAIFILPLINAWVFFWSLLRQSW >DRNTG_18264.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:9608456:9609007:1 gene:DRNTG_18264 transcript:DRNTG_18264.7 gene_biotype:protein_coding transcript_biotype:protein_coding GEAPFHHEQLAGTIAPPHSSPPATPLLSFSTPSQALSSAFQRCSISAAPCLNPHLTLSDLRLPEC >DRNTG_18264.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:9608435:9609285:1 gene:DRNTG_18264 transcript:DRNTG_18264.6 gene_biotype:protein_coding transcript_biotype:protein_coding VAGEAPFHHEQLAGTIAPPHSSPPATPLLSFSTPSQALSSAFQRCSISAAPCLNPHLTLSDLRLPEC >DRNTG_18264.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:9608456:9620389:1 gene:DRNTG_18264 transcript:DRNTG_18264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSPVQLLLLTLLPLQLLSLASRLLHKPLAQRFNAARSALLLVSIPILLSAIFAFPNAEPSKDVAFSSELEKLKLKIDHLESILQENARILNTKTHHLDEEKMLAEALELKINSLERSLDSLKRSGSSGSSYSEIRISSLEEEVRLLWAESRGNNFDIHMLESKVIDAVKNLEAVASAVDKLDNLVTEQWIQIQQLEQALQMAKINVAKVHKKSASKFQIKGKNIKDKISEGIFYPFQEVVGLPDSFWLGNPVSSSLISQLLDLVRRIMSTTKNFHYELQYFVKHVMETNDFTVSFANREVIFFLASAIFILPLINAWVFFWSLLRQSW >DRNTG_26354.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11903560:11908883:-1 gene:DRNTG_26354 transcript:DRNTG_26354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKAKFPAIFRNLTSLKALYLSYNFHSNLQIPTTLGNLCNLHSLSLSYLDLSSEPNMARIFSGCIKHSLVELYLSNTSIQGSLPDWSWTLTKLKVLDLSSNNINSTICPSWLYKVHGLENLNLGSNSLHGPIPANIDEIMGSLKILDISYNQGLSLPRSLGNLCNLQRLALSGLDLSQDTAKSQQIFSGCIIQSLKVLELAETKLQGDIPYWVNSLKNLQWLDLNDNQINSTLPPWLFNLTSISFLDLSYNDFHGSIPSIIENMYLLEEIYLDLNSHLEGPIPMTLW >DRNTG_32129.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001787.1:11933:16059:-1 gene:DRNTG_32129 transcript:DRNTG_32129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQVPCFMQFDCRCSVGVSAIPGGRVLAENWTSRNTSLRAIDERSTLSGLWQRSVSCSPRFRCSANSHNIGSYSNRDPFLYLHPEVSALRGEGNNTVIDPRKEALTGNVTENLRDSSLPNNYNEAKIKVIGVGGGGSNAVNRMIESSMKGVEFWIVNTDVQAMRMSPVYPENRLQIGQELTRGLGAGGNPDIGMNAANESKESIQEAVSGADMVFVTAGMGGGTGTGGAPIIAGIAKSMGILTVGIVTTPFFI >DRNTG_32129.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001787.1:12647:14159:-1 gene:DRNTG_32129 transcript:DRNTG_32129.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHLLSFQNDKLLTAVSPNTPVTEAFNLADDILEQGVRGISDIILVPGLVNVDFADVRAIMANAGSSLMGIGTATGKSRARDAALNAIQSPLLDIGIERATGIVWNITGGNDLTLHE >DRNTG_02387.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7962232:7963293:1 gene:DRNTG_02387 transcript:DRNTG_02387.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARELGRVWLHLGFLEDGSAPWWCDERRTVIIGAIRRTVTVAERLALLEACVELIKQMLVRFEETLGCFTDGNAEAPIRSVCTRLMDLDIHGFMMERSMQTLAAEVQALRAEIGTTPSSRSSRVQEEVTRPRKMVMRANIWGVRTQRWYRERARGMEEAQEDPPPLAVRFPSPGRRDDAGPSQAPLDSKGKMTIVLVHSDGHESEPSSEGSTVRLGLM >DRNTG_12714.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:12803498:12807963:1 gene:DRNTG_12714 transcript:DRNTG_12714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCGKVIWRRACPRKINLFNWLAWKNKILSLDNIVLRSCNKLPTAPCVLCHSDIETVDHLFP >DRNTG_22444.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23443762:23449544:1 gene:DRNTG_22444 transcript:DRNTG_22444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTFTGIFLVNYAVGSSAIVILFENLGLSVPNFQDTSMSMEQIYGNPGALLLFLVLFWLSCLITSLVQHSCWEVISLQLFTEEFPVPVHRDRTVKSFILIVILYHIHTLSFEGIYQVLLFCQVLLGILLPSSIIPLLRVSSSTSQMGAFKLSRSLGMLGLSLFVTMVIAQVVFLLELVCGHSSWVAELRGDTGSGVTLTYIILLVLTIMSLSFIVYMAFMPFWSSTNTAGTHAFDLDLQMIQVELPELEEAGSDSTHDPTVANLQVQPIEQAESNCDEAFPSTPSMRGKGVSTSDDVGEFAFTRAAKRQFAAILDEFWGMFFDLHGKLTINAQNLGLDILFGLSLEAPRTFPRTHANNGAQSRQSTSSVFASFSAQEGAPFWARNAESNDSWGMPSRQPDNSGADAHMEQDEGGPPAIFYVEDPSEAGLEFEDAPPAESSAPDSGAGGTSSGLNYPESRFAAPGQPFNPSSPWSLLPYEQHFRPLSMTDNRTDTGMDSEEEFYASAESEMFLLNSFRACIIKLLKVEGAIWLFRQKGGADEELINQTAEAERTKNREEDGVEDAGFGNYPPLPFCGDKCIWQFSLIISFGVWCVRRILELLLTENRPELWGKYTYVLNRLQGILAPGFTQVRIVLKPCHCVQLTEAIMPAFEEIQCGPDQHRTTAESILRLLNQVEAAICSRKGRAGTPAGDIAFPKGKQNLISVFRRYKRKLSGNG >DRNTG_22444.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23448064:23449544:1 gene:DRNTG_22444 transcript:DRNTG_22444.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFDLHGKLTINAQNLGLDILFGLSLEAPRTFPRTHANNGAQSRQSTSSVFASFSAQEGAPFWARNAESNDSWGMPSRQPDNSGADAHMEQDEGGPPAIFYVEDPSEAGLEFEDAPPAESSAPDSGAGGTSSGLNYPESRFAAPGQPFNPSSPWSLLPYEQHFRPLSMTDNRTDTGMDSEEEFYASAESEMFLLNSFRACIIKLLKVEGAIWLFRQKGGADEELINQTAEAERTKNREEDGVEDAGFGNYPPLPFCGDKCIWQFSLIISFGVWCVRRILELLLTENRPELWGKYTYVLNRLQGILAPGFTQVRIVLKPCHCVQLTEAIMPAFEEIQCGPDQHRTTAESILRLLNQVEAAICSRKGRAGTPAGDIAFPKGKQNLISVFRRYKRKLSGNG >DRNTG_23812.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29593864:29596040:1 gene:DRNTG_23812 transcript:DRNTG_23812.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRPL28 [Source:Projected from Arabidopsis thaliana (AT2G33450) UniProtKB/TrEMBL;Acc:A0A178VYG9] MAAVLAGPSALSFSSSSRVRVSSIGSVRKPGFRLGFSRSEFGGSRISISPAALVLPILAPVQPIVARRVCRFTGKKANRANKVSFSNHKTKKLQFVNLQYKRVWWEAGKRFLKLRLSTKALKTIEKNGLDAVAKKAGIDLRKE >DRNTG_00324.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2253400:2255884:1 gene:DRNTG_00324 transcript:DRNTG_00324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSVGGAGRRSAKKRKQVPGSAEESPGSMSGVAKENHPTNHEATVKSEEPVKSENEEGGQDASASNGNGALVRIDTDALDCPVCYEALLHPIYQCKNGHVVCSRCCVTTSRKCPVCSELIGIRCLALEKVIESVKMHCINAKYGCNETLAYSHREAHQKTCNYAACNCPDSSCSFWGSARSLSQHIRTHHKKSAIEFRYGCHFPIAVNRSETPFLVLIGSDDRLFLLLNKNDTTGGNALSMICICSSAEDHNFVYELSVGDDTMNLKLKSSAEVTNEWKGVHPAKVFLFVPKDFFAFSHKIIVNVSIQKCKLPSTSADGNHT >DRNTG_30192.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6517404:6519043:-1 gene:DRNTG_30192 transcript:DRNTG_30192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISSLFFSGLLILGSLHLSPSLFGLPLIHGEQLRITPWSQGSFFTPILCLLFCISHSSLLT >DRNTG_07647.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5444980:5450821:1 gene:DRNTG_07647 transcript:DRNTG_07647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGPAKPPLRPGAKPGVSWANVVSSSSKQEAFETPLHLQKHHFEKIKLLSSSSVTIDDDTWLSARDSMQTSIYAKFLGKSLPLEQAKLAMADSWRGLGAFTVADLPNGFYYIRCESQEMQCRLLWDDPWTVAVFCFRCGLVGHGEANCPTHSNRPQTEPHVPSGGSPELVREDIGMQVDDADDGLDGIAVDVPSFLPPEDDSKFGPWLKPSGRRSSNRGRATGRGGGRGGGSRASGNRNPNPNHTVGDDEQIVDKCPPSLHNDLHVASSSHAIASRGGHSVRGGLSRHFSKALVPSTRNPEGIGTIKPYYLANVSPPPERMPPILSSDPLDLDIQSDTAPSSSIPQNLGSLDKGPLIFPPPKLLISNSEPLLITSNQNLDPNNDPISSDRHLGIVGKVVEAIAHLENNEEEMEELSSDDSASPLEPDDDMTLLHYQKDIKLEAIARREPGISNTRSMDYIKDIMARLKPDFICLVETKSNSIRIQRFCARFSRHWVWAAVPSNGYSGGIIVLWKRFNGCHSSVVNAWNATPSTSPMHSFTHFISQTKLNLCRWKRSSICHIDEELKNIEQEINAIDQHHFSSDTWGSTWLRALRNRHAALLKQKTIYWGQRARSQWITKGDQNSSFFHSSVKIRRHRNRVRAIKDTSGESLTLYLKFLVSKLVHSLSFTLVSLSLLEDFCTLFAIPIYISSVMNLPNTILDSISKAAGISSGVMAAINAVSITSVGPSRLNLSPRGAWCFWHKPFHTF >DRNTG_10631.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:247661:255245:-1 gene:DRNTG_10631 transcript:DRNTG_10631.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHQFLKLHKKEVSQLSEYDPLDLFSGSVERINLAIRSLYATPQNNFRIFSNGFLIYGGLGGGMDSIECEAKKLQEMKKAAEDRTKVLIRRDFGIQLDNFLELVSEAIFKSGMLNQLLATQKLDAIDIEGAIHAYYNVIGQHCLVCESLDDASLLHRYSLLHSLSLEESLKIVREYLTATTAKDCSLMISFRPKEGGDLTSNCISLRSSNQHFEYKANYIDMDIKPLEKMVYHYKLDQKIVKFYVENRENGGSPCDFDGGAENIQI >DRNTG_10631.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:247661:255245:-1 gene:DRNTG_10631 transcript:DRNTG_10631.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMILGAEDANDWFYKGEGAANLVLGYCGSSPALLGKVLRVEKIQKGATRSAEECEVLTVHEKLVWAAIGELVESTSKKVIQQAFVTTVLNPLLGTEHMDAGMYVSVSSEFLKIIEKNVHNKRPAWRVDAAEIDLRCDSALLMSDHSIFPSGASKEGSCISVEIKPKCGFLPCSDFISEANAIKKSVTRFRMHQFLKLHKKEVSQLSEYDPLDLFSGSVERINLAIRSLYATPQNNFRIFSNGFLIYGGLGGGMDSIECEAKKLQEMKKAAEDRTKVLIRRDFGIQLDNFLELVSEAIFKSGMLNQLLATQKLDAIDIEGAIHAYYNVIGQHCLVCESLDDASLLHRYSLLHSLSLEESLKIVREYLTATTAKDCSLMISFRPKEGGDLTSNCISLRSSNQHFEYKANYIDMDIKPLEKMVYHYKLDQKIVKFYVENRENGGSPCDFDGGAENIQI >DRNTG_10631.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:247661:255245:-1 gene:DRNTG_10631 transcript:DRNTG_10631.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHQFLKLHKKEVSQLSEYDPLDLFSGSVERINLAIRSLYATPQNNFRIFSNGFLIYGGLGGGMDSIECEAKKLQEMKKAAEDRTKVLIRRDFGIQLDNFLELVSEAIFKSGMLNQLLATQKLDAIDIEGAIHAYYNVIGQHCLVCESLDDASLLHRYSLLHSLSLEESLKIVREYLTATTAKDCSLMISFRPKEGGDLTSNCISLRSSNQHFEYKANYIDMDIKPLEKMVYHYKLDQKIVKFYVENRENGGSPCDFDGGAENIQI >DRNTG_10631.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:247661:255245:-1 gene:DRNTG_10631 transcript:DRNTG_10631.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMILGAEDANDWFYKGEGAANLVLGYCGSSPALLGKVLRVEKIQKGATRSAEECEVLTVHEKLVWAAIGELVESTSKKVIQQAFVTTVLNPLLGTEHMDAGMYVSVSSEFLKIIEKNVHNKRPAWRVDAAEIDLRCDSALLMSDHSIFPSGASKEGSCISVEIKPKCGFLPCSDFISEANAIKKSVTRFRMHQFLKLHKKEVSQLSEYDPLDLFSGSVERINLAIRSLYATPQNNFRIFSNGFLIYGGLGGGMDSIECEAKKLQEMKKAAEDRTKVLIRRDFGIQLDNFLELVSEAIFKSGMLNQLLATQKLDAIDIEGAIHAYYNVIGQHCLVCESLDDASLLHRYSLLHSLSLEESLKIVREYLTATTAKDCSLMISFRPKEGGDLTSNCISLRSSNQHFEYKANYIDMDIKPLEKMVYHYKLDQKIVKFYVENRENGGSPCDFDGGAENIQI >DRNTG_10631.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:249508:255245:-1 gene:DRNTG_10631 transcript:DRNTG_10631.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAMILGAEDANDWFYKGEGAANLVLGYCGSSPALLGKVLRVEKIQKGATRSAEECEVLTVHEKLVWAAIGELVESTSKKVIQQAFVTTVLNPLLGTEHMDAGMYVSVSSEFLKIIEKNVHNKRPAWRVDAAEIDLRCDSALLMSDHSIFPSGASKEGSCISVEIKPKCGFLPCSDFISEANAIKKSVTRFRMHQFLKLHKKEVSQLSEYDPLDLFSGSVERINLAIRSLYATPQNNFRIFSNGFLIYGGLGGGMDSIECEAKKLQEMKKAAEDRTKVLIRRDFGIQLDNFLELVSEAIFKSGMLNQLLATQKLDAIDIEGAIHAYYNVIGQHCLVCESLDDASLLHRYSLLHSLSLEESLKIVREYLTATTAKDCSLMISFRPKEGGDLTSNCISLRSSNQHFEYKANYIDMDIKPLEKMVYHYKLDQKIVKFYVENRENGGSPCDFDGGAENIQI >DRNTG_10631.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:247661:255245:-1 gene:DRNTG_10631 transcript:DRNTG_10631.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMILGAEDANDWFYKGEGAANLVLGYCGSSPALLGKVLRVEKIQKGATRSAEECEVLTVHEKLVWAAIGELVESTSKKVIQQAFVTTVLNPLLGTEHMDAGMYVSVSSEFLKIIEKNVHNKRPAWRVDAAEIDLRCDSALLMSDHSIFPSGASKEGSCISVEIKPKCGFLPCSDFISEANAIKKSVTRFRMHQFLKLHKKEVSQLSEYDPLDLFSGSVERINLAIRSLYATPQNNFRIFSNGFLIYGGLGGGMDSIECEAKKLQEMKKAAEDRTKVLIRRDFGIQLDNFLELVSEAIFKSGMLNQLLATQKLDAIDIEGAIHAYYNVIGQHCLVCESLDDASLLHRYSLLHSLSLEESLKIVREYLTATTAKDCSLMISFRPKEGGDLTSNCISLRSSNQHFEYKANYIDMDIKPLEKMVYHYKLDQKIVKFYVENRENGGSPCDFDGGAENIQI >DRNTG_10631.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:247661:249090:-1 gene:DRNTG_10631 transcript:DRNTG_10631.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKTVVAVDMLCTKCKKKVLKLIAKIEGINSVTLDSSKNLVTVVGDADPVCIIRNIRKFRKSAVFVTIGPFKEEKKDEKKDIVDNIPRTCQKCDTWFIIREDDYYYNPCSIL >DRNTG_10631.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:247538:255245:-1 gene:DRNTG_10631 transcript:DRNTG_10631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQFLKLHKKEVSQLSEYDPLDLFSGSVERINLAIRSLYATPQNNFRIFSNGFLIYGGLGGGMDSIECEAKKLQEMKKAAEDRTKVLIRRDFGIQLDNFLELVSEAIFKSGMLNQLLATQKLDAIDIEGAIHAYYNVIGQHCLVCESLDDASLLHRYSLLHSLSLEESLKIVREYLTATTAKDCSLMISFRPKEGGDLTSNCISLRSSNQHFEYKANYIDMDIKPLEKMVYHYKLDQKIVKFYVENRENGGSPCDFDGGAENIQI >DRNTG_00933.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21268076:21269872:1 gene:DRNTG_00933 transcript:DRNTG_00933.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allene oxide synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42650) UniProtKB/Swiss-Prot;Acc:Q96242] MSLAVSSLSPHHPNRPIKSSISERSATSPTFSSPSHLPMRKIPGDYGLPFIGPISDRFAYFYTQGRDDFFRSRVNLYKSTVFRVNVPPGPFIASDPRVITLLDAASFPTLFDTSLVEKRDLFTGTFMPSTTLTGGHRILSYLDPSEPLHAPLKKLLFNLLSSRRHAVIPEFRRTFTSLFQTLETTLAADGKADFGDVNDNACFDFLGRALFDRDPKQTPLGSDGPKLIMKWVLVQLGPLLTLGLPSVLEDLLLHSFRLPAALVKKDYERLAAFFKESAGTVLDEAVEMGITRDEAVHNLLFAICFNAFGGMKILFPGLMKLLGRAGGKVHGKLAEEVRRVIGKGGGEVTMKGIEEMELVKSVVYETLRLDPPVPVQYGKAKRDMVVESHEAAYEVKAGEMLFGYQPFATKDPRVFERPEEFVAERFVGEKGEGLLKYVVWSNGPETETPTVGNKQCAGKDFVVLVARLFVVELFLRYDSFDIEVGTSPLGSSVKITSLKKASFLKRFLII >DRNTG_32701.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10879280:10879882:-1 gene:DRNTG_32701 transcript:DRNTG_32701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQINGCMRNTHAHVPDSQGQLHGPVACLDIQENLLSVPHTCAEIPHGRGHS >DRNTG_23609.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26572575:26573422:-1 gene:DRNTG_23609 transcript:DRNTG_23609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFSKLRTCCWGSYNNVTVLETPDVESEVKSEGDGLPVFQEYSFEQLRLATFGFAVENIVSEHREKAPNVVYKEKLDAQRRIAVKRFNHAAWP >DRNTG_18937.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12147700:12150304:-1 gene:DRNTG_18937 transcript:DRNTG_18937.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAGSLSPEREDQFDRLPDALLLVILNRIGEVKSLGRCCIVSRRFHALVPLVDSVVVRVDCVISDEPSPPPSTSDKPRGVLSHFARLVLSGLVKPWQALGHILGPPTSSPSSSPRRSSEPSSSSPEVSHHSPAEVLRNFKEIRRLRIELPAGELGVEDGVLLKWKAAFGSTLDTCVILGASSVSPTNPNPNSYPDSLCAGSSDDSGSIPESFYTNGSLKLRVVWTISSLIAASARHYLLQPIIADHETLETLDLTDADGQGTLTMDRAQLQELRVRPVSASGSSQRTLVPALNMRLWYAPHLDLPGGLVLKGATLVAIRPSGVEQQPRDIGGGSEGFSWVSGVFEEPYRSAARLLVKRRTYCLEMNSF >DRNTG_27709.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15508085:15509187:1 gene:DRNTG_27709 transcript:DRNTG_27709.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCTRFPQGSSLEYGWKASRRYGGGDPNGQEEVSLNGLQDTLIYIPTSLTNWPLHGWYTGLMRSSLRPFGARDHF >DRNTG_20142.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22624034:22634211:1 gene:DRNTG_20142 transcript:DRNTG_20142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFCRVASLCRRMTQFPVFWSISSGRLICLIPIKGKLWSPSHMLASHTTSDVLLCRMCNLIYFDCIWMHVQFLLEVCDFNFIIIKPFWLSNLHIWEYYQVGKE >DRNTG_10338.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:12779170:12780777:1 gene:DRNTG_10338 transcript:DRNTG_10338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIARPWVISARPCDFLQSLSNYPESAQGRELAPMGNLVKLAQAWVIPTRPCETLQRSSLHPEKPKGRVITPKRQGRAAAPVGRAHGRGYFPHARARAFRVSESFSRERPGACTCPCEALLWDIMAPRSKKQVDKRPRESSPESSPEPEGMSFMILEHHVRYERLPRLRFEQTRFLDKSILRDLQQGDEFADEVEDLVSVGGWRQLLMIREPAIRKFALEVLSSLEFDRSYASFDSLDTIQFGALVHHHSLSITQFSFLLCLYEEAFAYTEEYSELPTDYP >DRNTG_07696.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23142130:23143981:1 gene:DRNTG_07696 transcript:DRNTG_07696.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNTSDDESQSQSAHNLQPLIVASSAKKRRNLPGNPDPDAEVVALSPRTLLATNRYICEVCHKGFQRDQNLQLHRRGHNLPWKLKQRTNTEAKKKVYVCPEPSCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCSKKYAVQSDWKAHAKICGTKEYRCDCGTIFSRKDSFVTHRAFCDALAEENCRTNHGIASMVGSIQSQTQDMFSHSMAGLHSSSSSEQSTLSLNPLMSSGLLQLGGMNLGNSSAYMSATALLQKAAEMGARVSDDSISPILLRGFTGYNNIINNNNINSNSAYSELTQFVENHGSCMVGLQGQVKRNNGELTGETRDGRMTQDFLGIGTRTTAGSVSHGYVQDTAGLRYSDEQQQKQQQQQQHDEVEMYSYHHQMAQRTNTMEKPMWDF >DRNTG_04056.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5972831:5983543:-1 gene:DRNTG_04056 transcript:DRNTG_04056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRPSAVLAFLYIHTGVWKFPTPVRPTHRVDAHPCGLSLQPRKFSKTHARVKIPHGRGDSQAQLMGQTHGPVSSRDGEILCRDSHGRAKITHASV >DRNTG_09015.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31652606:31653071:1 gene:DRNTG_09015 transcript:DRNTG_09015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQDQPCMQEKATMCLSRSQTTSNIM >DRNTG_21308.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27436737:27437746:-1 gene:DRNTG_21308 transcript:DRNTG_21308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYVLLLSLLALAFSHSLASDPSPLQDFCVADLKSTVLVNGFVCKSPQVVSADDFTFHGLDMPGNTSNPQGSAVTPVFVQQLPGLNTLGVSLARLDFAPYGLIPPHYHPRGTEIMTVIEGTLHVGFVTSFPNFQLFTQVVKKGDVFVFPQGLIHFQFNYGDTPAVAISGLGSQDPGVVLIPNAVFGSNPPINDAILAKAFQLDVKIIDYLQSKF >DRNTG_20855.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15980869:15981515:1 gene:DRNTG_20855 transcript:DRNTG_20855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIKINKNESGSGSVHREVFCSKKSARKFSWMKLGWKRSEEDECVVCLEEFKRGDILVHLPCLHRFHWTCVVPWIEANSHCPCCRTSVSLASLESC >DRNTG_20855.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15980869:15981515:1 gene:DRNTG_20855 transcript:DRNTG_20855.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESIKINKNESGSGSVHREVFCSKKSARKFSWMKLGWKRSEEDECVVCLEEFKRGDILVHLPCLHRFHWTCVVPWIEANSHCPCCRTSVSLASLESC >DRNTG_14216.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15943837:15946296:-1 gene:DRNTG_14216 transcript:DRNTG_14216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNETDHNVFKEEIASLGILCIPTGVWKLPTPMRLTSRVPQGCTHVPVPSREDAPLTLTDLTRACRKYSRPCARPTGADARPCCFQGRPHAPVFSRDGGSNLQSFARACGNYPRPCVVHKVIHKGESTPLCILGKICPTLQEGTRPCGNYPRACASRMVVHKGSRTPLCPLCRLCRTSLTTASQSDRLHMLSQVGFRVAW >DRNTG_19411.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6145059:6151575:-1 gene:DRNTG_19411 transcript:DRNTG_19411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASFDVEKAPFDVGKVSGYIRDYIESSNPGCYSDTSEDFNADDAKRHKSNSLIYDPDIPLNDFCLDIRFKDLKSFKQELNEFSTRKRFEFKYIKNDSQRKKKKKKKKKKKKKKKKEKKELGGLREEGAWCLIDVGEEEDVYLYVWLINEEEEMYICVWFVDEEDDGLEEKLLERMKDGYWMILGELGSVEPSWFKRLCITIELGSVELRFGSGVVQAWEGVPGLARNPRETREMADILNIDFCPGYGLLVARCMAVEFPGSPTGEPMGQRQGCEFHDGSEPSRCHGESLESFLYHIMLDLKYKGGWNGDNGTFSPVYLVHLEKAKAEKIPNCQIKRTPYIQSRLKTLKSQYREISEMLGNAASGFGWDDVNKCVTCDIEVWNGWIKSQPGAAGLRNKPFPYLDQLGCLFGKDRAIRATAERPAEVIVNMQAEEATTAYAEFNGMDFGEDEYEFANNEFHLDHIQETMSATQNVATS >DRNTG_22039.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2418521:2422708:-1 gene:DRNTG_22039 transcript:DRNTG_22039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPCGLTLGSHLTVVGRPHEAHPENDPKITLIREGDQPVMVSQFMMELQGLKTVDGEDPPRILHFNPRLKGDWSGRPVIEQNTCYRMQWGSALRCDGWKSRADEETVDDLVKCEKWIRDDEDRSEESKTSWWLNRLIGRTKKVSIDWPYPFAEDKLFVLTLSAGLEGYHINVDGRHVTSFPYRTGFVLEDATGLSLNGDLDIQSIFAASLPTSHPSYAPQRHLEMATQWQAPPLPSGPVELFIGILSAGNHFSERMAVRKSWMASIRRSPNVVARFFVAMHGRKEVNLELKKESEFFGDIVIVPFLDSYDLVVLKTVAICEYGVREVSAKYIMKCDDDTFVRVDSVMKEVKKIPSGRSLYVGNMNYYHKPLRSGKWAVTYEEWPEEVYPPYANGPGYVVSSDIARFIVKEFEQHTLRLFKMEDVSMGMWVEQFNTSTPVEYLHNLKFCQFGCIEDYYTAHYQSPRQMMCMWEKLRMGRPQCCNMR >DRNTG_21113.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2630119:2630694:-1 gene:DRNTG_21113 transcript:DRNTG_21113.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITIWYDKQMLAFADVSPFFQRKRNETTLHVDIGAMATPLMTSVAKKIKNDRSSGEVELVVKLNARIRFKVGAIKSKHYEMAVDCSPVVVHFSPATPFDRVHCDVDV >DRNTG_16404.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4425140:4425720:1 gene:DRNTG_16404 transcript:DRNTG_16404.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMDIYLTNEIRRLSPYVDYMESGDSNPEVFSLNMAKFMNEFGILLCDMVDPRMTTARKSSSNEKIFQRNIAKKVKNLDAGHYISDPVVRYTDYPFLESNA >DRNTG_16538.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:24389950:24395587:-1 gene:DRNTG_16538 transcript:DRNTG_16538.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTSLMSALVQSMADEEPRNMPMYPLDAKSYHIMQEVGSGVSGVVYKAACIPMNSAVVAIKAIDLEKSRANLDDVRREAKVMALFSHPNILRAHCSFTVDSHLWVVMPFMAAGSLHSIISSSFPDGLPEPSIAVVLKEILSALSYLHDQGHIHRDIKAGNILVDSDGSVKLADFGVSASIYESYHWSCSSSSFCNDMAGTPYWMAPEVIHSHMGYGVKADIWSFGITALELAHGRPPLSHLPLSKSLMMRITNRFRIEDSHDKNDKEKKKKKFSKAFKEMVAACLSQDPSKRPPAGRLLRHPFFKNCKSPEYLVKNVLQVVPPKDVCTSPPNSTVVPKQLLIPNLISLLNSLDVQRVMVKNVLACCGGMAVDEEKFRDQREQQLLVVVQRLQQTVDELNIQLQQELRRNAYLEAALDSIRKKGSAEDGNKAEPNCS >DRNTG_16538.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:24389950:24395587:-1 gene:DRNTG_16538 transcript:DRNTG_16538.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTSLMSALVQSMADEEPRNMPMYPLDAKSYHIMQEVGSGVSGVVYKAACIPMNSAVVAIKAIDLEKSRANLDDVRREAKVMALFSHPNILRAHCSFTVDSHLWVVMPFMAAGSLHSIISSSFPDGLPEPSIAVVLKEILSALSYLHDQGHIHRDIKAGNILVDSDGSVKLADFGVSASIYESYHWSCSSSSFCNDMAGTPYWMAPEVIHSHMGYGVKADIWSFGITALELAHGRPPLSHLPLSKSLMMRITNRFRIEDSHDKNDKEKKKKKFSKAFKEMVAACLSQDPSKRPPAGRLLRHPFFKNCKSPEYLVKNVLQVVPPVEERFKDISINSNSASPIVKVRRVSGWNFNEDVLEMDPVFPTDNDDKCTITCVQHHGEQIEDKACCPDSIVMEVNKINAKEEQELPKDVCTSPPNSTVVPKQLLIPNLISLLNSLDVQRVMVKNVLACCGGMAVDEEKFRDQREQQLLVVVQRLQQTVDELNIQLQQELRRNAYLEAALDSIRKKGSAEDGNKAEPNCS >DRNTG_26036.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1649979:1651847:1 gene:DRNTG_26036 transcript:DRNTG_26036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISSSSSLKPILLSFPLLLTLLLLSQSSHHHHHHHHHLFLTSFLFLLLLLTLYFLSRPRPIYLLNYSCFKPTPTCRVPFSTYMEHARQMPFFDDRSVRFQTLILERSGLGEETCLPPPHHYIPPTPSLSSSLHETHTVLFSAIDHLLKLTSIHPHLIDILVINCSLFYPIPSLSSIIINHYNLRTDIRSFNLSGMGCSAGLISVDLARDVLQVHPNSLALVLSTEIITPNYYAGKERAMLLPNCLFRMGASAVLLSNRSCDASIAKYKLLHVVRTHKGSDDKAHSCVFQEQDSEGNLGINLSKDLMAIAGDTLKSNITSIGPLVLPASEQLLFLFTFIARKLINPKLKPYIPDFKQAFEHFCIHAGGRAVIDELQKNLQLSAEHVEASRMTLHRFGNTSSSSLWYELSYIESKGRMRRGDRVWMIGFGSGFKCNSAVWKCLQTVKTPVEGPWSECIHRYPVHIPEVVKLL >DRNTG_17762.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:734744:737805:1 gene:DRNTG_17762 transcript:DRNTG_17762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKAFYLCSVKIVNLSLPARTITFQRKRWVTRSKITI >DRNTG_11471.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6193509:6195506:1 gene:DRNTG_11471 transcript:DRNTG_11471.16 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKSVKSLALFLAKFQKSFWIKRGSYVVVDDSGREKALESGSKIACVVLQVLFHEQVRALEKSPQCIWRSSP >DRNTG_11471.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6192906:6196715:1 gene:DRNTG_11471 transcript:DRNTG_11471.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDAKSVKSLALFLAKFQKSFWIKRGSYVVVDDSGREKALESGSKIACVVLQVLFHEQVRALEKSPQCCDP >DRNTG_11471.18.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6192906:6193366:1 gene:DRNTG_11471 transcript:DRNTG_11471.18 gene_biotype:protein_coding transcript_biotype:protein_coding KNSQSLLPTKSLNFPTLVLVRAGVFFSAHPSPVDQPKSPFDEPSTSATRKEASAEANEPSTLVVLTRRPFGLPAGSKNKPKPPIIARSVAEVEISMAGAPISLRSNICFLDSYREVFLFLIRDKITEFHMLVLMWGIMNFSLIFAD >DRNTG_11471.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6192906:6196715:1 gene:DRNTG_11471 transcript:DRNTG_11471.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKSVKSLALFLAKFQKSFWIKRGSYVVVDDSGREKALESGSKIACVVLQVLFHEQVRALEKSPQCIWRSSP >DRNTG_11471.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6192906:6196715:1 gene:DRNTG_11471 transcript:DRNTG_11471.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDAKSVKSLALFLAKFQKSFWIKRGSYVVVDDSGREKALESGSKIACVVLQVLFHEQVRALEKSPQCIWRSSP >DRNTG_11471.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6192906:6193586:1 gene:DRNTG_11471 transcript:DRNTG_11471.17 gene_biotype:protein_coding transcript_biotype:protein_coding EQAEAPHHRTISSRGRDLYGGCSNLLEVMDAKSVKSLALFLAKFQKSFWIKR >DRNTG_11471.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6192906:6195506:1 gene:DRNTG_11471 transcript:DRNTG_11471.11 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDAKSVKSLALFLAKFQKSFWIKRGSYVVVDDSGREKALESGSKIACVVLQVLFHEQVRALEKSPQCIWRSSP >DRNTG_11471.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6192906:6196787:1 gene:DRNTG_11471 transcript:DRNTG_11471.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDAKSVKSLALFLAKFQKSFWIKRGSYVVVDDSGREKALESGSKIACVVLQVLFHEQVRALEKSPQCIWRSSP >DRNTG_11471.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6192906:6195506:1 gene:DRNTG_11471 transcript:DRNTG_11471.12 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKSVKSLALFLAKFQKSFWIKRGSYVVVDDSGREKALESGSKIACVVLQVLFHEQVRALEKSPQCIWRSSP >DRNTG_11471.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6192906:6195667:1 gene:DRNTG_11471 transcript:DRNTG_11471.10 gene_biotype:protein_coding transcript_biotype:protein_coding KNSQSLLPTKSLNFPTLVLVRAGVFFSAHPSPVDQPKSPFDEPSTSATRKEASAEANEPSTLVVLTRRPFGLPAGSKNKPKPPIIARSVAEVEISMAGAPISLRELCCGR >DRNTG_11471.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6192906:6196715:1 gene:DRNTG_11471 transcript:DRNTG_11471.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDAKSVKSLALFLAKFQKSFWIKRGSYVVVDDSGREKALESGSKIACVVLQVLFHEQVRALEKSPQW >DRNTG_11471.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6192906:6195403:1 gene:DRNTG_11471 transcript:DRNTG_11471.14 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKSVKSLALFLAKFQKSFWIKRGSYVVVDDSGREKALESGSKIACVVLQVLFHEQVRALEKSPQCIWRSSP >DRNTG_11471.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6192906:6196715:1 gene:DRNTG_11471 transcript:DRNTG_11471.6 gene_biotype:protein_coding transcript_biotype:protein_coding KNSQSLLPTKSLNFPTLVLVRAGVFFSAHPSPVDQPKSPFDEPSTSATRKEASAEANEPSTLVVLTRRPFGLPAGSKNKPKPPIIARSVAEVEISMAGAPISLRELCCGR >DRNTG_11471.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6192906:6196715:1 gene:DRNTG_11471 transcript:DRNTG_11471.9 gene_biotype:protein_coding transcript_biotype:protein_coding KNSQSLLPTKSLNFPTLVLVRAGVFFSAHPSPVDQPKSPFDEPSTSATRKEASAEANEPSTLVVLTRRPFGLPAGSKNKPKPPIIARSVAEVEISMAGAPISLRELCCGR >DRNTG_11471.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6192906:6195403:1 gene:DRNTG_11471 transcript:DRNTG_11471.15 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDAKSVKSLALFLAKFQKSFWIKRGSYVVVDDSGREKALESGSKIACVVLQVLFHEQVRALEKSPQCIWRSSP >DRNTG_11471.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6192906:6196715:1 gene:DRNTG_11471 transcript:DRNTG_11471.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKSVKSLALFLAKFQKSFWIKRGSYVVVDDSGREKALESGSKIACVVLQVLFHEQVRALEKSPQCCDP >DRNTG_11471.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6192906:6196715:1 gene:DRNTG_11471 transcript:DRNTG_11471.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDAKSVKSLALFLAKFQKSFWIKRGSYVVVDDSGREKALESGSKIACVVLQVLFHEQVRALEKSPQCIWRSSP >DRNTG_11471.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6192906:6195403:1 gene:DRNTG_11471 transcript:DRNTG_11471.13 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDAKSVKSLALFLAKFQKSFWIKRGSYVVVDDSGREKALESGSKIACVVLQVLFHEQVRALEKSPQCIWRSSP >DRNTG_20605.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2265344:2266066:1 gene:DRNTG_20605 transcript:DRNTG_20605.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTGRITKNAAAWRSSTSLEREQSSTAPCTPKSLGREQGSTTPSLPITLGQEPSSTTPSPKRGLGTTKSVFSPEAANMSRLISEGSSMSPNIVSKNADNSPSSSSSTSHGQGNSSSSSATTSTSCGRRIDTCTYTGRPSRSNVRRTSPLIVSKRKFSIDKRQNHENLLSPLTLDLVL >DRNTG_22950.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5365766:5377088:-1 gene:DRNTG_22950 transcript:DRNTG_22950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEGIGNSKDGFHSIQERFAGFHASQCGFCTPGMCMSLCSALVNADKTNRPEPPHGFSKITVAEAEKAIACNLCRCTGYRPIVDACKSFAADVDLEDLGLNSFWKKGDKHVNVNMLPFYDGTQNGLGTFPDFLKSEIESSIRSCSDPLSENGGNSSRAAADASNPIENVKLAQGRWYRPSSIDELRTIMFSERLNGMSNVKLVAGNTGSGYYKEEDIFDKYIDIRGIPELSVVKRNNRGIEIGAAATISWVIEVLREESEGLQLNERLMFNKIADHMNKVATQFIRNTASLGGNLIMAQRRQFPSDIATILLAAGSTVCIDVGSEKITLSLEEFLESSACDSSTLLLSVHIPFCTPFIHSLSGINGINDITNSKSTKECNLLFETYRASPRPLGNALAYLNSAFMAHISMDEVSGDHFVENVRLVFGAYGCEHAIRAKEVEKFLVGKTMTVSVLLEAIKLLKKTIVPKDDTPHSTYRSSLAVGFLFRFLQPIAQGLAGPLRNVPVVSCSTEEIVEDHNSIIRKSIGLNKEDCHQIYDDDDDDDSHLSSKQVLKFNADYCPVGEPIKKAGALVQASGEAVFVDDIPSPKGCLYGAFIYSTRPLAHVKGFEFKSTLASEKIISVVSSKDIPSGGKNTVVNPVLGVEPVLASNLTEYAGQPLDCRKAEVC >DRNTG_22950.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5365766:5367372:-1 gene:DRNTG_22950 transcript:DRNTG_22950.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEFITNSEGLVVTDGTWTYKIPTVDTIPKKFNVEFLESEYHEKRVLSSKASGEPPLLLAASVHCAAREAIKAAREEFNCTSASPDIFQMNVPATMPVIKELCGLDIVERYLENSLFAQN >DRNTG_22950.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5368754:5373465:-1 gene:DRNTG_22950 transcript:DRNTG_22950.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQAIVNYSTENLEPPILSIEDAVKKSSFFEIPPRFYPKQIGDFSKGMEEADHKILSAEVLLGSQYHFYMETQTALAIPDEENCMLVYSSTQIPEATQRTVAECLGIPHHNVRVITRRVGGGFGGKVSKSVHIAAACALAAYKLRCPIRMYLDRKTDMVMAAGRHPMKVNYSVGFKSSGKITALHVDVFINAGISEDISLLLPFSIMAGLKKYNWGSLSFDPMVCKTNISSKSAMRGPGETQGSFIAEVVIEHVASILSIHSDSIRKMNLHDFKSLTKFYEGSC >DRNTG_22950.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5365766:5371376:-1 gene:DRNTG_22950 transcript:DRNTG_22950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKASQSFMKDRAEMIQQFNSCNRWKKRGLSCVPIIYQVSVRPTPGKVGILNDGSIIVEVGGVEIGQGLWTKVKQTAAFALGQLWDDRIQDLLERVRVIQADTFSLIQGGYTAGSTTSESSCEAVRLACNILIDRLKFLKDSLQQKMGSISWDTLILQAKTQAVNLSASCYWVPDPSSNIYLNYGAAISEVEIDLLTGATTILQADLTYDCGKSLNPAIDLGQIEGSFVQGIGFFMSEEFITNSEGLVVTDGTWTYKIPTVDTIPKKFNVEFLESEYHEKRVLSSKASGEPPLLLAASVHCAAREAIKAAREEFNCTSASPDIFQMNVPATMPVIKELCGLDIVERYLENSLFAQN >DRNTG_22950.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5365766:5373908:-1 gene:DRNTG_22950 transcript:DRNTG_22950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKASQSFMKDRAEMIQQFNSCNRWKKRGLSCVPIIYQVSVRPTPGKVGILNDGSIIVEVGGVEIGQGLWTKVKQTAAFALGQLWDDRIQDLLERVRVIQADTFSLIQGGYTAGSTTSESSCEAVRLACNILIDRLKFLKDSLQQKMGSISWDTLILQAKTQAVNLSASCYWVPDPSSNIYLNYGAAISEVEIDLLTGATTILQADLTYDCGKSLNPAIDLGQIEGSFVQGIGFFMSEEFITNSEGLVVTDGTWTYKIPTVDTIPKKFNVEFLESEYHEKRVLSSKASGEPPLLLAASVHCAAREAIKAAREEFNCTSASPDIFQMNVPATMPVIKELCGLDIVERYLENSLFAQN >DRNTG_22950.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5365766:5377671:-1 gene:DRNTG_22950 transcript:DRNTG_22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEGIGNSKDGFHSIQERFAGFHASQCGFCTPGMCMSLCSALVNADKTNRPEPPHGFSKITVAEAEKAIACNLCRCTGYRPIVDACKSFAADVDLEDLGLNSFWKKGDKHVNVNMLPFYDGTQNGLGTFPDFLKSEIESSIRSCSDPLSENGGNSSRAAADASNPIENVKLAQGRWYRPSSIDELRTIMFSERLNGMSNVKLVAGNTGSGYYKEEDIFDKYIDIRGIPELSVVKRNNRGIEIGAAATISWVIEVLREESEGLQLNERLMFNKIADHMNKVATQFIRNTASLGGNLIMAQRRQFPSDIATILLAAGSTVCIDVGSEKITLSLEEFLESSACDSSTLLLSVHIPFCTPFIHSLSGINGINDITNSKSTKECNLLFETYRASPRPLGNALAYLNSAFMAHISMDEVSGDHFVENVRLVFGAYGCEHAIRAKEVEKFLVGKTMTVSVLLEAIKLLKKTIVPKDDTPHSTYRSSLAVGFLFRFLQPIAQGLAGPLRNVPVVSCSTEEIVEDHNSIIRKSIGLNKEDCHQIYDDDDDDDSHLSSKQVLKFNADYCPVGEPIKKAGALVQASGEAVFVDDIPSPKGCLYGAFIYSTRPLAHVKGFEFKSTLASEKIISVVSSKDIPSGGKNTVVNPVLGVEPVLASNLTEYAGQPLDCRKAEVC >DRNTG_27688.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21699787:21703465:-1 gene:DRNTG_27688 transcript:DRNTG_27688.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAQGAVTMSLMSLPSAGLRKPLVFNQMRASTQQKGVGSNRLVVRASASSIGKEQVVAGLTAAALAAALLVPEVAEAAQPGISPSLKNFLLSIAAGGVVLTAILGAVIGVANFDPAALDGAGDVLQELDNRASPQLAVLHQSIKLRRQHHLPRCGTIREDDPVPLLRALNRLHLHHLHHRPQLQIPRFHRRYRSRSQRRRHSTQIRKSKHRI >DRNTG_14289.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:781945:784465:-1 gene:DRNTG_14289 transcript:DRNTG_14289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMLNWLLISTTTLFIFIISTIFFFFFKSHNSGGLPPGPTAIPILGNLQWLWTSLRNIEPLLRDLHARLGPIVTLRIGSNCAIFISDRHLAHDALVTNGAVFADRPPALPALRVFNSNQHNISSASYGPLWRLLRRNLISEILHPSRVKLFSNGRQWVLNILISKIRASAEANNGIVLDFKENLQFSMFCLLVLMCFGEKLDEKAIRDIETAERNWLLYSSKLTVFAFFPRISKLIFRKRWNTAMDLLQKQKDIIIPLIRTREKHKEKQNIQGRGDDEKERFVYSYLDSLLDIKLPEEGNRKLTDDELVTICSEFLIAGTDTTATALEWIMANLVKHPEIQTKLFDEIQGVVGSEAEEVKEEELQRMPYLRAVILEGLRRHPPGHFVVPHSVKEDAMLNGYVIPKGASINFMVAEIGRDEKVWKNPMEFRPERFMEGGEGQRTDITGNKKIMMMPFGVGRRICPGLDLAMLHLGYFVANLFKEFKWKAADGEEIDVDQEKSEFTIVIKTAFRAKVIARRI >DRNTG_34419.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2650692:2651396:-1 gene:DRNTG_34419 transcript:DRNTG_34419.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDSYRFSISWSRILPNGSLKGGINQEGINYYNNLIDELIKNGITPYVTLFHWDVPQALEDAYGGFLNKKIMFDFKDYCEICFK >DRNTG_34419.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2650803:2653238:-1 gene:DRNTG_34419 transcript:DRNTG_34419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGEGQAFGTLSPNNTLEDVKLLKDMNVDSYRFSISWSRILPNGSLKGGINQEGINYYNNLIDELIKNGITPYVTLFHWDVPQALEDAYGGFLNKKIM >DRNTG_17323.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31960973:31963811:1 gene:DRNTG_17323 transcript:DRNTG_17323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHHHPSSSSSSPSKPLPTLDAGAALVLKSKGTWWHAGCHLTTAIVGPTILTLPYALRGLGWGLGLVLLSVMASVTFYAYLLMSRVLEHCERGGRRHIRFRELAADVLGSGWMLYFVVCIQGAINTGIGIGTILLAADCLQIMYSAASPNGPLKLYHFIIIVTVVLIIFSQLPSFHSLRHINLASLLMIIGYAVVVVAGCLNAGFSKNPPPRKYSLDSSKSNRSFNAFTSISILASIFGNGILPEIQATVAPPVAGKMLKALLLCYSVIFVTFYPVAISGYWVFGNEVNSNVLQSLMPDSGPSLAPTWLLGLAVVFILLQLVAIGLVYSQVAYEIMEKNSADVNQGTFSKRNLIPRIILRTLYMIFCGFVAAMLPFFGDVIGVVGAIGFIPLDFILPMLLYNMAIKPPTRSVMFMLNMFIMVVFTGVGIMGAFSSIRKLVLDANEFSLFSDNVVN >DRNTG_17323.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31961288:31963811:1 gene:DRNTG_17323 transcript:DRNTG_17323.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTFYAYLLMSRVLEHCERGGRRHIRFRELAADVLGSGWMLYFVVCIQGAINTGIGIGTILLAADCLQIMYSAASPNGPLKLYHFIIIVTVVLIIFSQLPSFHSLRHINLASLLMIIGYAVVVVAGCLNAGFSKNPPPRKYSLDSSKSNRSFNAFTSISILASIFGNGILPEIQATVAPPVAGKMLKALLLCYSVIFVTFYPVAISGYWVFGNEVNSNVLQSLMPDSGPSLAPTWLLGLAVVFILLQLVAIGLVYSQVAYEIMEKNSADVNQGTFSKRNLIPRIILRTLYMIFCGFVAAMLPFFGDVIGVVGAIGFIPLDFILPMLLYNMAIKPPTRSVMFMLNMFIMVVFTGVGIMGAFSSIRKLVLDANEFSLFSDNVVN >DRNTG_17323.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31961288:31962319:1 gene:DRNTG_17323 transcript:DRNTG_17323.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTFYAYLLMSRVLEHCERGGRRHIRFRELAADVLGSGWMLYFVVCIQGAINTGIGIGTILLAADCLQIMYSAASPNGPLKLYHFIIIVTVVLIIFSQLPSFHSLRHINLASLLMIIGYAVVVVAGCLNA >DRNTG_17323.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31962902:31963811:1 gene:DRNTG_17323 transcript:DRNTG_17323.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLKALLLCYSVIFVTFYPVAISGYWVFGNEVNSNVLQSLMPDSGPSLAPTWLLGLAVVFILLQLVAIGLVYSQVAYEIMEKNSADVNQGTFSKRNLIPRIILRTLYMIFCGFVAAMLPFFGDVIGVVGAIGFIPLDFILPMLLYNMAIKPPTRSVMFMLNMFIMVVFTGVGIMGAFSSIRKLVLDANEFSLFSDNVVN >DRNTG_29753.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10757358:10757656:1 gene:DRNTG_29753 transcript:DRNTG_29753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKELEHPIVLANGIFEEITSFVEERQEDEHEGDEDGDENDNEDWDEANNVDDGDDGDEFEDDEENDFHYHNDN >DRNTG_10860.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32124172:32126016:1 gene:DRNTG_10860 transcript:DRNTG_10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHPYTNISLRRERGEDDEEEEAHLNKHHPFSSAATLTYHFYTIPPPPSPPLREALPLLTLSPTRPSSRFQTTSLHPNINGQQDYYEEGEDDDDDDDEDDDQHQHRRRRRQQQQQQEDEDEEAVTVALHIGLPSLSRTASDLISRLNSSSSTTTTPTLSMTEDPKQGPPHPHPPPPPPPLIGGRLHKGQYWIPTPSQILIGPTQFSCPVCCKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCAPGCRNNIDHPRSKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCSCGSDFKHKRSLKDHIKAFGHGHGALGIDCFDEDDEPSSEIEQD >DRNTG_12976.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24869695:24878502:-1 gene:DRNTG_12976 transcript:DRNTG_12976.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIRYQIRNEYGLASSELYQAADRDDPEAILEGVAMAGLVGVLRQLGDLSEFAAEIFHDLHEEVMATAARGHGMMLRVQQLEAEFPSIEKALLSQTNQTGFAQNTGVDWHANLQCNQNLITGGDMPRFILDSYEECRGPPRLFTLDKFDIAGAGACLKRYSDPSFFKTNSVSSDKIEKEVQIEKKSRKIKKKGPRLRNGATLESLLSPRKNANSQLVNSAEVSETIPIRRVKLKHRNLNDPTSGSGKSYMENFIEVHLPEEKGFKNSKSHNHVMKKSFDSSESVPEENEVFIDNSVKSPSFKGKTPTQLPFEQKVIVVPANKLDNHDEDLFESLSEPVCEVQSEKKAFAEIENKLFRSANGSHPSELEKSLPPVQVLDQNQLSIDAEFGENGHPDGYMSDDVTSEMEKYMDALNTMESELETDSESKARRMAGQLNLEQQLVNCNEEVLELQCHSLGRDSTQNSTVSLADFVNRSAPSTSDLDTVDNMSDSQPPQDMVDSDMPTSSVVYPDEILEASAEAIYRNVEDVEHETSENIVSDEIYDAVGSNITNVRLETTEASASSCIITETISRNVEDMEQGTPENIVSDEVYDFLGSDMMNVRSEIAEASASSCVTDLTTTHQLAPLESTNEEAQSVILDQIKLPSGYAKDTFEVPEHLHDNLSSTTENIELPSQLTTEIGPPELPEKSEILLDAPLGENDITNSILEGTESPKIIDHTTSAKEQTSEVTAAIFDKSDHLSQTHGNVLDEDSLSWLGKPLSPSTFPTEEPEKIPEIDEADTQFDASTTVAAFNQDHSSTGVQPEYLLAGMESVGPVEDLACSLSILVPKDADDNAEGNSQPANVLPAELDSLSDPAEHQSSNIINSEVLKSLFPNEGTPQNFSSDCEKNNVSADSEDMKPLFGCDGLIVEADSDTHDPLTFSSEETDFRAESQEDYVAEEAVPNQSKVSINELSDPKDLTALDSETTRNVLPCFEVEDQQLPAPTISTSEFFVEDSFTAIGEPLDGVCHSLLSGDAQTDLNSFNDDEIHSPRNVDLSSMLGSDEFMKEDLSTVNFLSGPAISVEASKVEDASEANVMYSQEGGEHHQQCDTLLESGAVVEQSDGDPKQELDSSATVSRDNDCESALPISNIEDSTPVCSSEVPPTCLGFDASAAAPLFPHSIGLVPSETTPKFAGTYLGEPVSIFPSEGIANTPPLPPLPPLQWRMGKPQYFSKSDGKTEQTFMRQNPFSDLATEDKKHPNCSENANIEMFQLDNLFATFPPIGSDNIQHGSMASVGKGVHPLRLVDLPPIADDARHPESSYDVVGEGMPKPSESFSVLPAVEEEGLFQHLPSLQAERSQVSELSGRQPTLDDERSRLDQLLPRVDTDSGHQSTITNERNVIQSPDTFLEMPTIDDDVRHFSYGVYGSENMQLMQLSMPQQFGEPKLSQHDFLYLHGNSYVQYGVTFPAIEDEKPNGKLSSIRARPRDPLIEAVASHDKSTLRKVSEMVQPSTKPKEDDRNSLLDQIKNKSFNLKPTVVTKPSMKGPATNIKVAAILEKANAIRQAFVGSDDDDDDGDSWSDS >DRNTG_03823.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6423225:6427181:-1 gene:DRNTG_03823 transcript:DRNTG_03823.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCHQDESHTAELRWRYGVLDVFVTTFDDAHAVLNYAPGWPASSAEGVSDGLSSLEKMSDLICSTTSGHQKFVNGETRIQATGLRDERGPGYYSPPHHYGGPTREQAGEKSALRPNDSHRQAQPVDCNIAIMAKQGFIRDAGHRYLELAAAEDSN >DRNTG_28232.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20415055:20415823:-1 gene:DRNTG_28232 transcript:DRNTG_28232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMKNTTMVVIKNMAMVVMKNGGDAHNDCDVLRLERKHVVSNLESLEKEESLKPIIVLNTAETSDSTQKEDILHWKKRDFSLPFRLVYGLQEGS >DRNTG_00976.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20898303:20901271:1 gene:DRNTG_00976 transcript:DRNTG_00976.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFSWWANEKHRGTPVVVKMENPNWSMVEISSPDDADVDPFSGAGVSKGGKNKNAKQITWVLLLKAHRAAGCLTSLASAFFALAGAVRRRVAAGHTDASDTAPPSENPLIRTRFYTFIKAFLFLSILLLSVEIVAFFNGYHLADAADLRRLSFSSSAVVASFAHSLYSHWLRIRVDFLAPPLQFLANVCVVLFIIQSADRLILCLGCFWIKFKRIKPSPQLTNAGRDPEAGREVFPMVLVQIPMCNEREVYQQSIAAVCNLDWPKSNMLVQVLDDSDDPTTQSLIKDEVAKWHQNGARIVYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPTPDFLKRTVPHFEVLFGSCFECLF >DRNTG_00976.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20898303:20901271:1 gene:DRNTG_00976 transcript:DRNTG_00976.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFSWWANEKHRGTPVVVKMENPNWSMVEISSPDDADVDPFSGAGVSKGGKNKNAKQITWVLLLKAHRAAGCLTSLASAFFALAGAVRRRVAAGHTDASDTAPPSENPLIRTRFYTFIKAFLFLSILLLSVEIVAFFNGYHLADAADLRRLSFSSSAVVASFAHSLYSHWLRIRVDFLAPPLQFLANVCVVLFIIQSADRLILCLGCFWIKFKRIKPSPQLTNAGRDPEAGREVFPMVLVQIPMCNEREVYQQSIAAVCNLDWPKSNMLVQVLDDSDDPTTQSLIKDEVAKWHQNGARIVYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPTPDFLKRTVPHFEGNEDVGLVQARWSFVNKDENLLTRLQYINLCFHFEVEQQVNGLFLNFFGFNGTAGVWRIKALEDAGGWLERTTVEDMDIAVRAHLKGWKFVFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRAKIGFWKKFNLIFLFFLLRKLILPFYSFTLFCVILPMTMFVPEAELPAWVVCYIPATMSFLNILPVLRSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLLSLIEKEPKKERSGSAPNLEALVKQEPKPKKELKKKHNRIYRKELALAFLLLTASARSLLSAQGIHFYFLLFQGISFLLVGLDLIGEQIE >DRNTG_30361.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001566.1:49317:54192:1 gene:DRNTG_30361 transcript:DRNTG_30361.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C 57 [Source:Projected from Arabidopsis thaliana (AT4G27800) UniProtKB/Swiss-Prot;Acc:P49599] MALLSPGLQQWPPLAGGVRRRRRACCSAIAVDSPSPLAGVSRIRWGSSKLQGPRSEMEDDLVLRSDAPAGFSFAAVFDGHAGFSSVEFLRDELYKECVIALDGGLLLTGKNFDAIKNALQKAFDAVDSKLLTRLESLGKEDDSGATATIMFVRNDSLIICHIGDSCVVLSRNGKAEVLTSPHRPYGNNRVSLEEVKRIRAAGGWIVDGRICGDISVSRAFGDIRFKRKKNEMLGKGVELGKWSQKFASRIKFKGDLVTSSPDIYQVELGTDAEFILLASDGLWDYMKSSEAVSFVRNQLREHGDVQRATEALARAALDQQSQDNVSILIADLGKTDWLNLPTQGPNVFLEISQAFATVGIVSLVIWMSSFLLI >DRNTG_02946.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1343662:1359844:1 gene:DRNTG_02946 transcript:DRNTG_02946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFSSGTRLRDMIRAIRACKTAAEERAVVRKECAAIRAAISENDQDYRHRNLAKLMFIHMLGYPTHFGQMECLKLIASADFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLAPEVERLLQSRDPNIKKKAALCSIRIIRKVPDLAENFMGPAAGLLKEKHHGVLIAGVQLCTDLCKASTEALQYLRKNCTDGSIRILRDVSNSPYAPEYDISGITDPFLHIRVLKLMRMLGQGDADASEYMNDILAQVATKTESNKNAGNAILYECVETIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAFTVDPQAVQRHRSTILECVKDSDASIRKRALELVYLLVNETNVQPLTKELIDYLEVSEQEFKEDLTAKICSIIEKYSPDKLWYIDQMLKVLSEAGNYVKDDVWHALIVVVSNASDLQGYTVRSLYRALQSSPEQESLVRVAVWCIGEYGEMLVNNVGVLDGEEPITVTESDAVDVVEAALRHHSSDITTRAMSLISLLKLSSRFPPTTERIREIITHEKGSLLLELQQRSIEFHAIIQKHQNIKSSLLERMPVLDESTYVRKKVGSSDATFSADKTGQRVSAGTPVNIPNGVAKQQAAPLVDLLDLSSDDVPVASSSATDFLNDLLGVDLPSQSSSGVVSTGTTDLLMDLLSIGTSPVQNDLLAPDNHTSSVATSGKIPSVSSPPSVKGVALETKSPQAPGPSPVLDLLDGLSDMSFSDENPTFPSITAFQSNSLKITFTFTKQPGKPQVTQIKASFTNMSSNTYTDFLFQAAVPKFVQLHLDPASSNILSASGNGSITQTLSVTNTQHGQKALAMRIRIAYKVNNQDMLEQGQVNNFPQGL >DRNTG_19613.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001032.1:18253:19452:1 gene:DRNTG_19613 transcript:DRNTG_19613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEYFKQKYKNPPIYVQENGCGLGLEDTMNDTYRIDFLNGYIRSTLEAIRNGANVRGYFVWSFMDVFELMGGYQSRFGLYFVDFDDKERKRIPKLSSYWYSNFLKRKNIKELQEVQNVALDLK >DRNTG_10268.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20124861:20128906:1 gene:DRNTG_10268 transcript:DRNTG_10268.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFPILPLLLCLLAGGTAAETDLQILLNLKSSLKISTTTPGFESWSSDHSPCNFSGIVCDSTGSVTEINLNDSGVHGTIPFQSVCKLPSLSKLALGSNNLTGDVTADIRNCTKLQHLDLGFNMLTGTVPDLSPLTGLRVLDITMNRFSGQFPWESLGNLTDLVDLRLGDNGGLDPSPFPESVRNLTKLSSLYLSVLNLNGEIPSWIGDLTALVDLEFADNKISGVIPPEISKLRNLNQLEIYDNALTGEIPAGFGNLSNLAFFDASQNQLSGNLSEIRFLTKLVSLQLFMNGFSGEVPQELGEFRELVNLSLYSNQLSGELPEKLGSWAKFNFIDVSTNSFTGKIPPDMCRQGTMLKLLMLENKFSGEIPASYGNCTTLGRFRVSNNSLSGVIPTGIWSLPNVNIIDLTSNNFEGQIDSGIGKAGSLHQLFIANNQFTGKIPPEIAEATSLVSIDVSFNQFSGSIPDGIGELKQLTSIDLEDNSISGPIPDSLGGCTALSSVNLRKNSISGPIPASIGQLPNLNSLDLSDNKLSGQIPASLMSLKLSSLNFSNNQLEGEVPPAISIPVFNESFIGNPGLCGYDIDFLRRCSSEPDSSPARFHTLLVCLLVACAILLVSLTLITISRKRKSDGHDHPKLSWDVKSFRKLTVNENTIIDSIKPENLIGKGGSGNVYRAALGNGIIVAVKHIPLQPDPEERGTTAMLGEKRINGSREFDAEVTTLSAIRHVNVVKLYCSVTCEEASLLVYEYLPNGSLWDRLHTCEGEKLGLDWEARVEIALGAARGLEYLHHGCDRPILHRDVKSSNILLDEQFKPRIADFGLARILRSGGGGRDSFSSHVVAGTYGYIAPEYAYTWKVNEKSDVYSFGVVLMELVTGKRPIEPIYGEGKDIVNWVAEQLASEGSVVKLVDKKIPEWAKDEALKVLRIAVLCTMKLPAMRPSMRTVVHLLEEVAVGRVVIRLDFKNGSDNKAESRFSVEDKGDEFLSSM >DRNTG_10268.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20124701:20128863:1 gene:DRNTG_10268 transcript:DRNTG_10268.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFPILPLLLCLLAGGTAAETDLQILLNLKSSLKISTTTPGFESWSSDHSPCNFSGIVCDSTGSVTEINLNDSGVHGTIPFQSVCKLPSLSKLALGSNNLTGDVTADIRNCTKLQHLDLGFNMLTGTVPDLSPLTGLRVLDITMNRFSGQFPWESLGNLTDLVDLRLGDNGGLDPSPFPESVRNLTKLSSLYLSVLNLNGEIPSWIGDLTALVDLEFADNKISGVIPPEISKLRNLNQLEIYDNALTGEIPAGFGNLSNLAFFDASQNQLSGNLSEIRFLTKLVSLQLFMNGFSGEVPQELGEFRELVNLSLYSNQLSGELPEKLGSWAKFNFIDVSTNSFTGKIPPDMCRQGTMLKLLMLENKFSGEIPASYGNCTTLGRFRVSNNSLSGVIPTGIWSLPNVNIIDLTSNNFEGQIDSGIGKAGSLHQLFIANNQFTGKIPPEIAEATSLVSIDVSFNQFSGSIPDGIGELKQLTSIDLEDNSISGPIPDSLGGCTALSSVNLRKNSISGPIPASIGQLPNLNSLDLSDNKLSGQIPASLMSLKLSSLNFSNNQLEGEVPPAISIPVFNESFIGNPGLCGYDIDFLRRCSSEPDSSPARFHTLLVCLLVACAILLVSLTLITISRKRKSDGHDHPKLSWDVKSFRKLTVNENTIIDSIKPENLIGKGGSGNVYRAALGNGIIVAVKHIPLQPDPEERGTTAMLGEKRINGSREFDAEVTTLSAIRHVNVVKLYCSVTCEEASLLVYEYLPNGSLWDRLHTCEGEKLGLDWEARVEIALGAARGLEYLHHGCDRPILHRDVKSSNILLDEQFKPRIADFGLARILRSGGGGRDSFSSHVVAGTYGYIAPEYAYTWKVNEKSDVYSFGVVLMELVTGKRPIEPIYGEGKDIVNWVAEQLASEGSVVKLVDKKIPEWAKDEALKVLRIAVLCTMKLPAMRPSMRTVVHLLEEVAVGRVVIRLDFKNGSDNKAESRFSVEDKGDEFLSSM >DRNTG_10268.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20124861:20128682:1 gene:DRNTG_10268 transcript:DRNTG_10268.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFPILPLLLCLLAGGTAAETDLQILLNLKSSLKISTTTPGFESWSSDHSPCNFSGIVCDSTGSVTEINLNDSGVHGTIPFQSVCKLPSLSKLALGSNNLTGDVTADIRNCTKLQHLDLGFNMLTGTVPDLSPLTGLRVLDITMNRFSGQFPWESLGNLTDLVDLRLGDNGGLDPSPFPESVRNLTKLSSLYLSVLNLNGEIPSWIGDLTALVDLEFADNKISGVIPPEISKLRNLNQLEIYDNALTGEIPAGFGNLSNLAFFDASQNQLSGNLSEIRFLTKLVSLQLFMNGFSGEVPQELGEFRELVNLSLYSNQLSGELPEKLGSWAKFNFIDVSTNSFTGKIPPDMCRQGTMLKLLMLENKFSGEIPASYGNCTTLGRFRVSNNSLSGVIPTGIWSLPNVNIIDLTSNNFEGQIDSGIGKAGSLHQLFIANNQFTGKIPPEIAEATSLVSIDVSFNQFSGSIPDGIGELKQLTSIDLEDNSISGPIPDSLGGCTALSSVNLRKNSISGPIPASIGQLPNLNSLDLSDNKLSGQIPASLMSLKLSSLNFSNNQLEGEVPPAISIPVFNESFIGNPGLCGYDIDFLRRCSSEPDSSPARFHTLLVCLLVACAILLVSLTLITISRKRKSDGHDHPKLSWDVKSFRKLTVNENTIIDSIKPENLIGKGGSGNVYRAALGNGIIVAVKHIPLQPDPEERGTTAMLGEKRINGSREFDAEVTTLSAIRHVNVVKLYCSVTCEEASLLVYEYLPNGSLWDRLHTCEGEKLGLDWEARVEIALGAARGLEYLHHGCDRPILHRDVKSSNILLDEQFKPRIADFGLARILRSGGGGRDSFSSHVVAGTYGYIAPEYAYTWKVNEKSDVYSFGVVLMELVTGKRPIEPIYGEGKDIVNWVAEQLASEGSVVKLVDKKIPEWAKDEALKVLRIAVLCTMKLPAMRPSMRTVVHLLEEVAVGRVVIRLDFKNGSDNKAESRFSVEDKGDEFLSSM >DRNTG_10268.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20125075:20128906:1 gene:DRNTG_10268 transcript:DRNTG_10268.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFPILPLLLCLLAGGTAAETDLQILLNLKSSLKISTTTPGFESWSSDHSPCNFSGIVCDSTGSVTEINLNDSGVHGTIPFQSVCKLPSLSKLALGSNNLTGDVTADIRNCTKLQHLDLGFNMLTGTVPDLSPLTGLRVLDITMNRFSGQFPWESLGNLTDLVDLRLGDNGGLDPSPFPESVRNLTKLSSLYLSVLNLNGEIPSWIGDLTALVDLEFADNKISGVIPPEISKLRNLNQLEIYDNALTGEIPAGFGNLSNLAFFDASQNQLSGNLSEIRFLTKLVSLQLFMNGFSGEVPQELGEFRELVNLSLYSNQLSGELPEKLGSWAKFNFIDVSTNSFTGKIPPDMCRQGTMLKLLMLENKFSGEIPASYGNCTTLGRFRVSNNSLSGVIPTGIWSLPNVNIIDLTSNNFEGQIDSGIGKAGSLHQLFIANNQFTGKIPPEIAEATSLVSIDVSFNQFSGSIPDGIGELKQLTSIDLEDNSISGPIPDSLGGCTALSSVNLRKNSISGPIPASIGQLPNLNSLDLSDNKLSGQIPASLMSLKLSSLNFSNNQLEGEVPPAISIPVFNESFIGNPGLCGYDIDFLRRCSSEPDSSPARFHTLLVCLLVACAILLVSLTLITISRKRKSDGHDHPKLSWDVKSFRKLTVNENTIIDSIKPENLIGKGGSGNVYRAALGNGIIVAVKHIPLQPDPEERGTTAMLGEKRINGSREFDAEVTTLSAIRHVNVVKLYCSVTCEEASLLVYEYLPNGSLWDRLHTCEGEKLGLDWEARVEIALGAARGLEYLHHGCDRPILHRDVKSSNILLDEQFKPRIADFGLARILRSGGGGRDSFSSHVVAGTYGYIAPEYAYTWKVNEKSDVYSFGVVLMELVTGKRPIEPIYGEGKDIVNWVAEQLASEGSVVKLVDKKIPEWAKDEALKVLRIAVLCTMKLPAMRPSMRTVVHLLEEVAVGRVVIRLDFKNGSDNKAESRFSVEDKGDEFLSSM >DRNTG_10268.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20124701:20128682:1 gene:DRNTG_10268 transcript:DRNTG_10268.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFPILPLLLCLLAGGTAAETDLQILLNLKSSLKISTTTPGFESWSSDHSPCNFSGIVCDSTGSVTEINLNDSGVHGTIPFQSVCKLPSLSKLALGSNNLTGDVTADIRNCTKLQHLDLGFNMLTGTVPDLSPLTGLRVLDITMNRFSGQFPWESLGNLTDLVDLRLGDNGGLDPSPFPESVRNLTKLSSLYLSVLNLNGEIPSWIGDLTALVDLEFADNKISGVIPPEISKLRNLNQLEIYDNALTGEIPAGFGNLSNLAFFDASQNQLSGNLSEIRFLTKLVSLQLFMNGFSGEVPQELGEFRELVNLSLYSNQLSGELPEKLGSWAKFNFIDVSTNSFTGKIPPDMCRQGTMLKLLMLENKFSGEIPASYGNCTTLGRFRVSNNSLSGVIPTGIWSLPNVNIIDLTSNNFEGQIDSGIGKAGSLHQLFIANNQFTGKIPPEIAEATSLVSIDVSFNQFSGSIPDGIGELKQLTSIDLEDNSISGPIPDSLGGCTALSSVNLRKNSISGPIPASIGQLPNLNSLDLSDNKLSGQIPASLMSLKLSSLNFSNNQLEGEVPPAISIPVFNESFIGNPGLCGYDIDFLRRCSSEPDSSPARFHTLLVCLLVACAILLVSLTLITISRKRKSDGHDHPKLSWDVKSFRKLTVNENTIIDSIKPENLIGKGGSGNVYRAALGNGIIVAVKHIPLQPDPEERGTTAMLGEKRINGSREFDAEVTTLSAIRHVNVVKLYCSVTCEEASLLVYEYLPNGSLWDRLHTCEGEKLGLDWEARVEIALGAARGLEYLHHGCDRPILHRDVKSSNILLDEQFKPRIADFGLARILRSGGGGRDSFSSHVVAGTYGYIAPEYAYTWKVNEKSDVYSFGVVLMELVTGKRPIEPIYGEGKDIVNWVAEQLASEGSVVKLVDKKIPEWAKDEALKVLRIAVLCTMKLPAMRPSMRTVVHLLEEVAVGRVVIRLDFKNGSDNKAESRFSVEDKGDEFLSSM >DRNTG_10268.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20124701:20128784:1 gene:DRNTG_10268 transcript:DRNTG_10268.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFPILPLLLCLLAGGTAAETDLQILLNLKSSLKISTTTPGFESWSSDHSPCNFSGIVCDSTGSVTEINLNDSGVHGTIPFQSVCKLPSLSKLALGSNNLTGDVTADIRNCTKLQHLDLGFNMLTGTVPDLSPLTGLRVLDITMNRFSGQFPWESLGNLTDLVDLRLGDNGGLDPSPFPESVRNLTKLSSLYLSVLNLNGEIPSWIGDLTALVDLEFADNKISGVIPPEISKLRNLNQLEIYDNALTGEIPAGFGNLSNLAFFDASQNQLSGNLSEIRFLTKLVSLQLFMNGFSGEVPQELGEFRELVNLSLYSNQLSGELPEKLGSWAKFNFIDVSTNSFTGKIPPDMCRQGTMLKLLMLENKFSGEIPASYGNCTTLGRFRVSNNSLSGVIPTGIWSLPNVNIIDLTSNNFEGQIDSGIGKAGSLHQLFIANNQFTGKIPPEIAEATSLVSIDVSFNQFSGSIPDGIGELKQLTSIDLEDNSISGPIPDSLGGCTALSSVNLRKNSISGPIPASIGQLPNLNSLDLSDNKLSGQIPASLMSLKLSSLNFSNNQLEGEVPPAISIPVFNESFIGNPGLCGYDIDFLRRCSSEPDSSPARFHTLLVCLLVACAILLVSLTLITISRKRKSDGHDHPKLSWDVKSFRKLTVNENTIIDSIKPENLIGKGGSGNVYRAALGNGIIVAVKHIPLQPDPEERGTTAMLGEKRINGSREFDAEVTTLSAIRHVNVVKLYCSVTCEEASLLVYEYLPNGSLWDRLHTCEGEKLGLDWEARVEIALGAARGLEYLHHGCDRPILHRDVKSSNILLDEQFKPRIADFGLARILRSGGGGRDSFSSHVVAGTYGYIAPEYAYTWKVNEKSDVYSFGVVLMELVTGKRPIEPIYGEGKDIVNWVAEQLASEGSVVKLVDKKIPEWAKDEALKVLRIAVLCTMKLPAMRPSMRTVVHLLEEVAVGRVVIRLDFKNGSDNKAESRFSVEDKGDEFLSSM >DRNTG_10268.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20125075:20128682:1 gene:DRNTG_10268 transcript:DRNTG_10268.10 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFPILPLLLCLLAGGTAAETDLQILLNLKSSLKISTTTPGFESWSSDHSPCNFSGIVCDSTGSVTEINLNDSGVHGTIPFQSVCKLPSLSKLALGSNNLTGDVTADIRNCTKLQHLDLGFNMLTGTVPDLSPLTGLRVLDITMNRFSGQFPWESLGNLTDLVDLRLGDNGGLDPSPFPESVRNLTKLSSLYLSVLNLNGEIPSWIGDLTALVDLEFADNKISGVIPPEISKLRNLNQLEIYDNALTGEIPAGFGNLSNLAFFDASQNQLSGNLSEIRFLTKLVSLQLFMNGFSGEVPQELGEFRELVNLSLYSNQLSGELPEKLGSWAKFNFIDVSTNSFTGKIPPDMCRQGTMLKLLMLENKFSGEIPASYGNCTTLGRFRVSNNSLSGVIPTGIWSLPNVNIIDLTSNNFEGQIDSGIGKAGSLHQLFIANNQFTGKIPPEIAEATSLVSIDVSFNQFSGSIPDGIGELKQLTSIDLEDNSISGPIPDSLGGCTALSSVNLRKNSISGPIPASIGQLPNLNSLDLSDNKLSGQIPASLMSLKLSSLNFSNNQLEGEVPPAISIPVFNESFIGNPGLCGYDIDFLRRCSSEPDSSPARFHTLLVCLLVACAILLVSLTLITISRKRKSDGHDHPKLSWDVKSFRKLTVNENTIIDSIKPENLIGKGGSGNVYRAALGNGIIVAVKHIPLQPDPEERGTTAMLGEKRINGSREFDAEVTTLSAIRHVNVVKLYCSVTCEEASLLVYEYLPNGSLWDRLHTCEGEKLGLDWEARVEIALGAARGLEYLHHGCDRPILHRDVKSSNILLDEQFKPRIADFGLARILRSGGGGRDSFSSHVVAGTYGYIAPEYAYTWKVNEKSDVYSFGVVLMELVTGKRPIEPIYGEGKDIVNWVAEQLASEGSVVKLVDKKIPEWAKDEALKVLRIAVLCTMKLPAMRPSMRTVVHLLEEVAVGRVVIRLDFKNGSDNKAESRFSVEDKGDEFLSSM >DRNTG_10268.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20124861:20128863:1 gene:DRNTG_10268 transcript:DRNTG_10268.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFPILPLLLCLLAGGTAAETDLQILLNLKSSLKISTTTPGFESWSSDHSPCNFSGIVCDSTGSVTEINLNDSGVHGTIPFQSVCKLPSLSKLALGSNNLTGDVTADIRNCTKLQHLDLGFNMLTGTVPDLSPLTGLRVLDITMNRFSGQFPWESLGNLTDLVDLRLGDNGGLDPSPFPESVRNLTKLSSLYLSVLNLNGEIPSWIGDLTALVDLEFADNKISGVIPPEISKLRNLNQLEIYDNALTGEIPAGFGNLSNLAFFDASQNQLSGNLSEIRFLTKLVSLQLFMNGFSGEVPQELGEFRELVNLSLYSNQLSGELPEKLGSWAKFNFIDVSTNSFTGKIPPDMCRQGTMLKLLMLENKFSGEIPASYGNCTTLGRFRVSNNSLSGVIPTGIWSLPNVNIIDLTSNNFEGQIDSGIGKAGSLHQLFIANNQFTGKIPPEIAEATSLVSIDVSFNQFSGSIPDGIGELKQLTSIDLEDNSISGPIPDSLGGCTALSSVNLRKNSISGPIPASIGQLPNLNSLDLSDNKLSGQIPASLMSLKLSSLNFSNNQLEGEVPPAISIPVFNESFIGNPGLCGYDIDFLRRCSSEPDSSPARFHTLLVCLLVACAILLVSLTLITISRKRKSDGHDHPKLSWDVKSFRKLTVNENTIIDSIKPENLIGKGGSGNVYRAALGNGIIVAVKHIPLQPDPEERGTTAMLGEKRINGSREFDAEVTTLSAIRHVNVVKLYCSVTCEEASLLVYEYLPNGSLWDRLHTCEGEKLGLDWEARVEIALGAARGLEYLHHGCDRPILHRDVKSSNILLDEQFKPRIADFGLARILRSGGGGRDSFSSHVVAGTYGYIAPEYAYTWKVNEKSDVYSFGVVLMELVTGKRPIEPIYGEGKDIVNWVAEQLASEGSVVKLVDKKIPEWAKDEALKVLRIAVLCTMKLPAMRPSMRTVVHLLEEVAVGRVVIRLDFKNGSDNKAESRFSVEDKGDEFLSSM >DRNTG_10268.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20124701:20128906:1 gene:DRNTG_10268 transcript:DRNTG_10268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFPILPLLLCLLAGGTAAETDLQILLNLKSSLKISTTTPGFESWSSDHSPCNFSGIVCDSTGSVTEINLNDSGVHGTIPFQSVCKLPSLSKLALGSNNLTGDVTADIRNCTKLQHLDLGFNMLTGTVPDLSPLTGLRVLDITMNRFSGQFPWESLGNLTDLVDLRLGDNGGLDPSPFPESVRNLTKLSSLYLSVLNLNGEIPSWIGDLTALVDLEFADNKISGVIPPEISKLRNLNQLEIYDNALTGEIPAGFGNLSNLAFFDASQNQLSGNLSEIRFLTKLVSLQLFMNGFSGEVPQELGEFRELVNLSLYSNQLSGELPEKLGSWAKFNFIDVSTNSFTGKIPPDMCRQGTMLKLLMLENKFSGEIPASYGNCTTLGRFRVSNNSLSGVIPTGIWSLPNVNIIDLTSNNFEGQIDSGIGKAGSLHQLFIANNQFTGKIPPEIAEATSLVSIDVSFNQFSGSIPDGIGELKQLTSIDLEDNSISGPIPDSLGGCTALSSVNLRKNSISGPIPASIGQLPNLNSLDLSDNKLSGQIPASLMSLKLSSLNFSNNQLEGEVPPAISIPVFNESFIGNPGLCGYDIDFLRRCSSEPDSSPARFHTLLVCLLVACAILLVSLTLITISRKRKSDGHDHPKLSWDVKSFRKLTVNENTIIDSIKPENLIGKGGSGNVYRAALGNGIIVAVKHIPLQPDPEERGTTAMLGEKRINGSREFDAEVTTLSAIRHVNVVKLYCSVTCEEASLLVYEYLPNGSLWDRLHTCEGEKLGLDWEARVEIALGAARGLEYLHHGCDRPILHRDVKSSNILLDEQFKPRIADFGLARILRSGGGGRDSFSSHVVAGTYGYIAPEYAYTWKVNEKSDVYSFGVVLMELVTGKRPIEPIYGEGKDIVNWVAEQLASEGSVVKLVDKKIPEWAKDEALKVLRIAVLCTMKLPAMRPSMRTVVHLLEEVAVGRVVIRLDFKNGSDNKAESRFSVEDKGDEFLSSM >DRNTG_10268.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20124861:20128784:1 gene:DRNTG_10268 transcript:DRNTG_10268.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFPILPLLLCLLAGGTAAETDLQILLNLKSSLKISTTTPGFESWSSDHSPCNFSGIVCDSTGSVTEINLNDSGVHGTIPFQSVCKLPSLSKLALGSNNLTGDVTADIRNCTKLQHLDLGFNMLTGTVPDLSPLTGLRVLDITMNRFSGQFPWESLGNLTDLVDLRLGDNGGLDPSPFPESVRNLTKLSSLYLSVLNLNGEIPSWIGDLTALVDLEFADNKISGVIPPEISKLRNLNQLEIYDNALTGEIPAGFGNLSNLAFFDASQNQLSGNLSEIRFLTKLVSLQLFMNGFSGEVPQELGEFRELVNLSLYSNQLSGELPEKLGSWAKFNFIDVSTNSFTGKIPPDMCRQGTMLKLLMLENKFSGEIPASYGNCTTLGRFRVSNNSLSGVIPTGIWSLPNVNIIDLTSNNFEGQIDSGIGKAGSLHQLFIANNQFTGKIPPEIAEATSLVSIDVSFNQFSGSIPDGIGELKQLTSIDLEDNSISGPIPDSLGGCTALSSVNLRKNSISGPIPASIGQLPNLNSLDLSDNKLSGQIPASLMSLKLSSLNFSNNQLEGEVPPAISIPVFNESFIGNPGLCGYDIDFLRRCSSEPDSSPARFHTLLVCLLVACAILLVSLTLITISRKRKSDGHDHPKLSWDVKSFRKLTVNENTIIDSIKPENLIGKGGSGNVYRAALGNGIIVAVKHIPLQPDPEERGTTAMLGEKRINGSREFDAEVTTLSAIRHVNVVKLYCSVTCEEASLLVYEYLPNGSLWDRLHTCEGEKLGLDWEARVEIALGAARGLEYLHHGCDRPILHRDVKSSNILLDEQFKPRIADFGLARILRSGGGGRDSFSSHVVAGTYGYIAPEYAYTWKVNEKSDVYSFGVVLMELVTGKRPIEPIYGEGKDIVNWVAEQLASEGSVVKLVDKKIPEWAKDEALKVLRIAVLCTMKLPAMRPSMRTVVHLLEEVAVGRVVIRLDFKNGSDNKAESRFSVEDKGDEFLSSM >DRNTG_05894.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18738685:18741672:-1 gene:DRNTG_05894 transcript:DRNTG_05894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDVELGDHVEDNKHKNVVVGWRNIILAYKTLGVVFGGLATSPLYVFNALNFKAPTEDDFLGIFSIMFWTLTMIGFVKYVCIALNADDNGEGGTFALYSLLCKNANIGILKPKNTDSKTLPDNSRNIESKSWLQTFIEENLIFQRVLLFIAILGMCMLIGDGILTPAISVLSAVDGLRGPLPSVSKSAVEGLSAGILIALFMLQKYGTSKVSFLFSPIMAAWTLSTPMVGVYSFCRYNPTVFKAMSPHYIVDFFIRNGKTGWHLLGGTVLVITGAEAMFADLGHFNKRAIQIAFLCGVYPSLVLTYAGQTAYLMKHLNDYTDGFYKFIPTPVYWPMFIIATLTAIVASQSLISAAFSVIKQSVALDYFPRVKIVHTSKHNEGAVYSPETNYILMVLCVSVILGFGSGKEIGNAFGLVVIFVMFITTILLTLVMIIIWRTPIIFALLYFVSFSILEGVYISSVLIKFTEGGWLPFAISMVLAIIMFGWYYGRQRKLDYERTNKITVDHLSVLLSNSEVQRVPGMCFFYSNIEDGLTPLLGHYIKNGHSLHNVAIIATLKCLLIAHVDSSERFSVKKLGIPGVYGCIVKYGYADLLDMLEGDDFVTAVTKILKTCIEENTDQLPISSIEEEILQLEQAKSVGTVHVQGKAKFHVSEDNSWFDKLVLGLYEFLHKNCRSALPALWFPLNQRMEIGMLYKI >DRNTG_05894.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18738685:18741672:-1 gene:DRNTG_05894 transcript:DRNTG_05894.2 gene_biotype:protein_coding transcript_biotype:protein_coding LSAVDGLRGPLPSVSKSAVEGLSAGILIALFMLQKYGTSKVSFLFSPIMAAWTLSTPMVGVYSFCRYNPTVFKAMSPHYIVDFFIRNGKTGWHLLGGTVLVITGAEAMFADLGHFNKRAIQIAFLCGVYPSLVLTYAGQTAYLMKHLNDYTDGFYKFIPTPVYWPMFIIATLTAIVASQSLISAAFSVIKQSVALDYFPRVKIVHTSKHNEGAVYSPETNYILMVLCVSVILGFGSGKEIGNAFGLVVIFVMFITTILLTLVMIIIWRTPIIFALLYFVSFSILEGVYISSVLIKFTEGGWLPFAISMVLAIIMFGWYYGRQRKLDYERTNKITVDHLSVLLSNSEVQRVPGMCFFYSNIEDGLTPLLGHYIKNGHSLHNVAIIATLKCLLIAHVDSSERFSVKKLGIPGVYGCIVKYGYADLLDMLEGDDFVTAVTKILKTCIEENTDQLPISSIEEEILQLEQAKSVGTVHVQGKAKFHVSEDNSWFDKLVLGLYEFLHKNCRSALPALWFPLNQRMEIGMLYKI >DRNTG_16201.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30906703:30911855:1 gene:DRNTG_16201 transcript:DRNTG_16201.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLIAAMHKACIYTVPKHLRPTESPSKSNDYLKIIGYREEEGRIESSDSYLKRVESYMKLYAAIIQTQVPGIQNPHGLKEGWAWLSTFLNNLPANRTTAVALHAFLKMAGFALYKRYRSQFSKILNFISDNFLTVLKKRDNASKVYVEIEEYLQSKAYLTQPEGWSLQSGLLSRELV >DRNTG_16201.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30897854:30911855:1 gene:DRNTG_16201 transcript:DRNTG_16201.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGTSLISRMVFVQLELPCPKSCPVVAAADPQPQWTLGDLLSEIKTLELQLGNFSSTAIPVRLKEVMHMHPEFSHGHDVSVGEPFIMRISDEGVEDSDSDDGERSDKSTGKGTRFSCVDLDLSDLESSEDEGYLKITPHLVHKKGPEDSILLEYEREREIKVKEAVRCKLSSLEASLRFENDLSSALIRVEQGVWARKEADMRFDKQYKRKIAEMTDSHLSAIQRVHEQRCQIEERRIREDAATEEARRKEISLLEEKVQQEKAKAETEARLRAAKLAEEAQKAAREAAIKELKEAAEKEALRARAFVAEALDKHSTEKIQVSPIKVSNNIVSNVDKGIKVLAADNALQIEESRIKFYDEVAKEMMLSSNEEFDRCGRQISKLLRQINKTQQKVRAVSVALVGIIDGPQCPRPISCLLVAQKVVSLCENPNASFDSTAFAWGHVILRVTSQVPAVMDLLIAAMHKACIYTVPKHLRPTESPSKSNDYLKIIGYREEEGRIESSDSYLKRVESYMKLYAAIIQTQVPGIQNPHGLKEGWAWLSTFLNNLPANRTTAVALHAFLKMAGFALYKRYRSQFSKILNFISDNFLTVLKKRDNASKVYVEIEEYLQSKAYLTQPEGWSLQSGLLSRELV >DRNTG_16201.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30897854:30911855:1 gene:DRNTG_16201 transcript:DRNTG_16201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGTSLISRMVFVQLELPCPKSCPVVAAADPQPQWTLGDLLSEIKTLELQLGNFSSTAIPVRLKEVMHMHPEFSHGHDVSVGEPFIMRISDEGVEDSDSDDGERSDKSTGKGTRFSCVDLDLSDLESSEDEGYLKITPHLVHKKGPEDSILLEYEREREIKVKEAVRCKLSSLEASLRFENDLSSALIRVEQGVWARKEADMRFDKQYKRKIAEMTDSHLSAIQRVHEQRCQIEERRIREDAATEEARRKEISLLEEKVQQEKAKAETEARLRAAKLAEEAQKAAREAAIKELKEAAEKEALRARAFVAEALDKHSTEKIQVSPIKVSNNIVSNVDKGIKVLAADNALQIEESRIKFYDEVAKEMMLSSNEEFDRCGRQISKLLRQINKTQQKVRAVSVALVGIIDGPQCPRPISCLLVAQKVVSLCENPNASFDSTAFAWGHVILRVTSQVPAVMDLLIAAMHKACIYTVPKHLRPTESPSKSNDYLKIIGYREEEGRIESSDSYLKRVESYMKLYAAIIQTQVPGIQNPHGLKEGWAWLSTFLNNLPANRTTAVALHAFLKMAGFALYKRYRSQFSKILNFISDNFLTVLKKRDNASKVYVEIEEYLQSKAYLTQPEGWSLQSGLLSRELV >DRNTG_34493.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12830994:12833460:-1 gene:DRNTG_34493 transcript:DRNTG_34493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRIFLQSLQGRAAAPVDDDATGARPWVISAQACEFLQSWADFPENTQGRGLATVGNLVNYTRAWVISARPCDTLQGSHRGMRLPLWVWRTGEDIFRTPVGDHSKSIMAPRSKKQADKRPRESSSEPDGMRFEIPEHQGDEFTVEIEDLVSGRRLVALLTIREPDHPRVYIGGALLVRV >DRNTG_31428.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:660305:662388:-1 gene:DRNTG_31428 transcript:DRNTG_31428.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWCLASGGMPELRSFGEGRSGCWCGCEEQKVKVPSSHCDSRVRTVCADAMTLLKDHARALSPQEKGFSVILSDMCPVVSGITTKDAALSVELGRRALSLAVSKVSLSGSADDSDDREPDANEAGVLRRGGNLVIKLLESEDVQGIIFFTSFLLDYCIGTQLVIVLQDFQNFASLVSRRHRC >DRNTG_31428.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:660305:662361:-1 gene:DRNTG_31428 transcript:DRNTG_31428.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWCLASGGMPELRSFGEGRSGCWCGCEEQKVKVPSSHCDSRVRTVCADAMTLLKDHARALSPQEKGFSVILSDMCPVVSGITTKDAALSVELGRRALSLAVSKVSLSGSADDSDDREPDANEAGVLRRGGNLVIKLLESEDVQGFSKFCKSRFKKASMLRPKATRSCSREIYLICQGLLK >DRNTG_31428.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:660305:662361:-1 gene:DRNTG_31428 transcript:DRNTG_31428.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAGSPDFFCRQAQRLGYVARSAFKLLEMQKQYKLITPGASVLDLGCAPGAWLQVACQSLGPLEKGGVVVGVDVKKVKVPSSHCDSRVRTVCADAMTLLKDHARALSPQEKGFSVILSDMCPVVSGITTKDAALSVELGRRALSLAVSKVSLSGSADDSDDREPDANEAGVLRRGGNLVIKLLESEDVQGFSKFCKSRFKKASMLRPKATRSCSREIYLICQGLLK >DRNTG_31428.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:660305:660906:-1 gene:DRNTG_31428 transcript:DRNTG_31428.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCPVVSGITTKDAALSVELGRRALSLAVSKVSLSGSADDSDDREPDANEAGVLRRGGNLVIKLLESEDVQGFSKFCKSRFKKASMLRPKATRSCSREIYLICQGLLK >DRNTG_31428.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:660124:660906:-1 gene:DRNTG_31428 transcript:DRNTG_31428.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCPVVSGITTKDAALSVELGRRALSLAVSKVSLSGSADDSDDREPDANEAGVLRRGGNLVIKLLESEDVQGFSKFCKSRFKKASMLRPKATRSCSREIYLICQGLLK >DRNTG_32165.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30921744:30924470:-1 gene:DRNTG_32165 transcript:DRNTG_32165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGLSTISAPSFCTFNAQTGLGAKSKPSGLSFNAPNSFGAFSGLKAVSPVQIETDTSFLGKESNASLQASFGPKRGVMQRFTNQLQPRASSFKVAVLGAAGGIGQPLALLIKMSPLVSAVHLYDIANVKGVAADLSHCNTPSQVLDFTGPSELPNCLKGVDVVVIPAGVPRKPGMTRDDLFNINANIVKTLVEAVADNCPDAFIHIISNPVNSTVPIAAEVLKQKGVYDPKKLFGVTTLDVVRANTFVAHKKNLKLIDVDVPVIGGHAGITILPLLSKTRPSTAFTDEEVEELTVRIQNGGTEVVEAKAGAGSATLSMAYAAARFVESSLRALDGDGDVYECCFIQSELTELPFFASRIKLGKNGVEAVISADLQGLTQYEEKALEALKPELKASIEKGVAFAQKQPAAASA >DRNTG_33347.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21478292:21479509:-1 gene:DRNTG_33347 transcript:DRNTG_33347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGWEMGFASSAPSFNKSHSTSPAYLYDLPSSDTLRIDDLLDFTNNHEDFLLSSSATTDSHSLPPPDPSSSYPSDSSNIYSFPSSTTTTFPDDLYIPSEEAAELEWLSRFVDDSFADVPPSSIYTPISNQQHQPNQHQHHYQHQQQQQQQHLLIEPCSAPGRARSKRSKANSWSMASQSSSPSTSSSSSDLPSHAGKSCAAGGEASGGGGGGGVRRCTHCASEKTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTQHSNSHRKVLELRRQKELAAARSELLFHDYEVC >DRNTG_32178.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30853124:30854331:-1 gene:DRNTG_32178 transcript:DRNTG_32178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQLCCDKTVKKGPWTPEEDIILASYIQEHGPRNWKSVPANTGLLRCSKSCRLRWTNYLRPGIKRGNFTDQEEKIIIHLQALLGNRWAAIAAHLPQRTDNDIKNHWNTHLKKKLSNLHSYSDHQAIVAKGQWEKALQTDVHLAKRALSEALSLGLKPSSSAQCSCTKSPFSPSYSYAFSTENISRLLQGWMKNDSSKSVANNGDEKKPADSPPHDQLPLQSLETWLFDEIAGEVMHDDILGN >DRNTG_07699.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23128879:23131962:1 gene:DRNTG_07699 transcript:DRNTG_07699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCCVKDIHGAADNGAPYKSSHSAGNDGFYRASDPTPQGAQVVKVQPIDVPAVSVDELKEITDNFGNHALIGEGSYGRVYYGVLKNGRAAAIKKLDTSKQPDQELLAQVSMVSRLKHDNFVELLGYCVDGGLRVLAYEFATMGSLHDVLHGRKGVKGAEPGPVLPWAQQVKIAVGAAKAPDAAARLHSTRVLGTFGYHAL >DRNTG_24771.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001315.1:1925:6498:-1 gene:DRNTG_24771 transcript:DRNTG_24771.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIICLLLAWYLHFMKMAFSSFFSLHAVRCLFCFCANLDLDLDAVADILLWRDEKKTFFCVMVLFFLRYWFILSGRTLISSFAKLLLVVTAILFGHGHLPSSIFGHPLKKLPSSHFEVSEPVMTDLFLTVASAWNKGVCVLKLLAQGDDWNLLFKAVSCIYLLKLLLALTVSVLSAGGLICLFTLFILYEQFEPEVDQVITVSAVRGKKFAGKVVDKLPSSLVIYFHRS >DRNTG_24771.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001315.1:1925:6498:-1 gene:DRNTG_24771 transcript:DRNTG_24771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCTNTFDCSKAQKLIGYSPVVPLEDGVRATVEAFSQLAAKDPIYSTQRDFTRPSKSDTFLGNGRVADILLWRDEKKTFFCVMVLFFLRYWFILSGRTLISSFAKLLLVVTAILFGHGHLPSSIFGHPLKKLPSSHFEVSEPVMTDLFLTVASAWNKGVCVLKLLAQGDDWNLLFKAVSCIYLLKLLLALTVSVLSAGGLICLFTLFILYEQFEPEVDQVITVSAVRGKKFAGKVVDKLPSSLVIYFHRS >DRNTG_24771.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001315.1:1925:6498:-1 gene:DRNTG_24771 transcript:DRNTG_24771.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIYLLQCRFGHPLKKLPSSHFEVSEPVMTDLFLTVASAWNKGVCVLKLLAQGDDWNLLFKAVSCIYLLKLLLALTVSVLSAGGLICLFTLFILYEQFEPEVDQVITVSAVRGKKFAGKVVDKLPSSLVIYFHRS >DRNTG_05738.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7134047:7134727:1 gene:DRNTG_05738 transcript:DRNTG_05738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKLIVAFKLTTTIDFSSSSLLVLAMSQLKSRSISVSQRSLPIEPKKSSAQILRSSSSIPKNIRPRASSDIKY >DRNTG_32992.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19901662:19905391:-1 gene:DRNTG_32992 transcript:DRNTG_32992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGTRGPRFVKQFESAYSFLKLNSTEQLKCHVMPLRGTSAPLYQLRESFSIETGANGDFLSNAEEGQNLLGTLLLAQWEDRAWKGQLNYDVTNCETKVISGGKKFIAQLNEQWSSTSLALFENADNQLLGSSRMTNVKICRDNILLCITRGENASPELMHSSMLPQDGTLVFVNVNPIEYGHIYLVPYKFYNPSKILDKESLEYINEIAIELDDCFFRMFYDYAPLVNPSAVYFQANFFASVLPVELGELIPVYGDPLDGGIQICEVAGYPIKTLVFMSRDNPKLLARVMSEICSVLQDRDAVFSLLISDRARKFFLFPQVESSSATNHLSAWECGGYFIFNTVTKFDDVSEEDIFNRLASVSLDDQDFQTLKQQSCCIANKVFP >DRNTG_32208.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001802.1:10508:12602:-1 gene:DRNTG_32208 transcript:DRNTG_32208.2 gene_biotype:protein_coding transcript_biotype:protein_coding DLRATGHDNHRDNRRRDTSPLNPRVAARLTKYWKSTTSNNKYNIGKSKVSKGSINNMT >DRNTG_32208.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001802.1:8310:12602:-1 gene:DRNTG_32208 transcript:DRNTG_32208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVRDSKPKFIKRKKKTKGKGEGERDKKRGTEGGRERR >DRNTG_15322.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4887137:4887489:-1 gene:DRNTG_15322 transcript:DRNTG_15322.2 gene_biotype:protein_coding transcript_biotype:protein_coding HGEKERYQETNKRKEKDQQSINPLYLPPS >DRNTG_15322.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4887042:4887489:-1 gene:DRNTG_15322 transcript:DRNTG_15322.1 gene_biotype:protein_coding transcript_biotype:protein_coding HGEKERYQETNKRKEKDQQSINPLYLPPS >DRNTG_15322.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4887172:4887489:-1 gene:DRNTG_15322 transcript:DRNTG_15322.3 gene_biotype:protein_coding transcript_biotype:protein_coding HGEKERYQETNKRKEKDQQSINPLYLPPS >DRNTG_33820.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2933601:2941414:1 gene:DRNTG_33820 transcript:DRNTG_33820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein BRUTUS [Source:Projected from Arabidopsis thaliana (AT3G18290) UniProtKB/Swiss-Prot;Acc:Q8LPQ5] MATPGDGVLALIPREPLNSMEAASSSSSTPASCLDSPAEKSPILIFLLFHKAIRSELDRLHRAAVAFATDGRGELESLSARCRFLFAIYKHHCNAEDEVIFPALDIRVKNVARTYSLEHKGESDLFDQLFELLKSDMQTEDTYRRELASCTGAIQTSLGQHMSKEEEQVFPLLVAKFSFQEQADLVWRFLCSIPVNMMAEFLPWLSSCVSPDEQQDMIKCLHKIVPEEKLLQEVIFTWMQGKSSENQCEGQCKLKGSLVSGTGKLVDETDNQVCVCQNARIGKRKFTDSSCSSVALTEAHPIDEILHWHNAIKKELNDIAEEARKIQLSGFCSDLSAFNERLQFIADVCIFHSIAEDQVIFPAVDGEFSFVQEHAEEENQFNNFRCLIENIQNAGAKSTSAEFYSELCMHADQIMATIQRHFHSEEVEVLPLARIHFSIEKQRELLYKSICVMPLKLLERVLPWFVAMLCEEEARSFLQNMQMAASVSDTAFVTLLSGWACKGRFQDASRPGRFTCLSSRVADGCDLDADNDIEEQCPRAFCECACPLRSCESSVQCMENGKRPIKRGNFSTPCCNVDESGYSKTVDTKKLSCSNQPCCVPGLGVNDHNRGISSLGSKNSLRSLSFNTSAPSFDSSLFFWETDVMSSKTGSVRPIDNIFKFHKAIRKDLEYLDVESGKLIGCNEAFLRQFSGRFRLLWGLYKAHSTAEDEIVFPALESREALHNVSHSYTLDHMQEEKLFKGISEVLSDLSELHERSGITDNDADNATGNNCSSSAHDIDLIKKQNELATKLQSMCKSIRVTLDQHVFREELELWPLFDKYFSVEEQDKIVGRIIGSTGAEVLQSMLPWVTSALTLEEQNKMMDTWRQATKNTMFNEWLNEWWKEPPISSPQDETSEGSSSSVLSKGVEYSESIDQNDQMFKPGWKDIFRMNQSELESEIRKVSRDPTLDPRRKAYLIQNLMTSRWIAAQQKLTQATTDQCVDGEDVAGCSPSFRDSENQIFGCEHYKRNCKLVAACCNKLYTCRFCHDKVSDHSMDRKATNDMMCMQCLKIQPVGPSCKTPSCNGFPMAKYYCSICKFFDDERSVYHCPFCNLCRVGKGLGIDFFHCMKCNCCLGMKLLEHKCREKGLETNCPICCDFLFTSSAAVRALPCGHFMHSACFQAYTCSHYTCPICSKSLGDMAVYFGMLDALLAAEELPEEYKERCQDILCNDCDRKGQSRFHWLYHKCGFCGSYNTRVIKTDTSSCSPSNQ >DRNTG_31642.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:860190:863520:1 gene:DRNTG_31642 transcript:DRNTG_31642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKETVLDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAIEFLRDLPGDGSDACITDRRDR >DRNTG_28415.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24594475:24595078:-1 gene:DRNTG_28415 transcript:DRNTG_28415.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPPSSSVNHLKMNRDENDDDKKPIRRPLMFREETCIFFLFL >DRNTG_28415.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24593278:24595078:-1 gene:DRNTG_28415 transcript:DRNTG_28415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPPSSSVNHLKMNRDENDDDKKPIRRPLMFREETCIFFLFL >DRNTG_28415.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24593278:24595078:-1 gene:DRNTG_28415 transcript:DRNTG_28415.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPPSSSVNHLKMNRDENDDDKKPIRRPLMFREETCIFFLFL >DRNTG_09365.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15053801:15063133:-1 gene:DRNTG_09365 transcript:DRNTG_09365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLQEMKAQGIVEDRLELLKGMSGSFRPGMLTALMSVSGVGKTKLMDVLARRKTGGYIEGNITCHIMKGSVIVVPTPKEIPSRFRNLIEDGIGKMHNRENIISPLIWNNIPTSWIAYGNNICRTGEILPTLLEKRHDLLNAMGSMYADVLFIGVQNSSSVQAVVSIERTVFYRERAAGMYSALPYAFGQFGDIDDHKLSDTGEVVSEFLRSYFGFKHNFLGVVAVVVVAFAWLTWSIVPHRLNSEYGQWTPVRWGPTWNELLSEVPYAAAAIEAFRNYIT >DRNTG_13547.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:189495:193436:-1 gene:DRNTG_13547 transcript:DRNTG_13547.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,6-galactosyltransferase GALT31A [Source:Projected from Arabidopsis thaliana (AT1G32930) UniProtKB/Swiss-Prot;Acc:Q9MAP8] MGAVWAGRHQKVAGGVSARWVFFLCVSCFSLGVLVVNRVWSVPDLVKASEKADRYQRGNSEVHINCEKKEASFQNDDILDQVSQTHDVIMSLDRTISNLEMQLAAARASQANKSPMPTKTGGDMSNERPKVFFVMGIITAFSSRKRRDSIRETWMPRGEKLRKLEEDKGIVMRFVIGHSATAGGVLDRAIDAEEEQHQDFLRLDHVEGYHELSSKTQIYFSTAVANWDAEFYVKVDDDVHVNLGTIGSILSRYRSKPRVYIGCMKSGPVLSKQGVKYHEPEYWKFGEEGNKYFRHATGQLYAISKDLATFISVNRHILHKFANEDVSLGSWFIGLDVEHIDERSLCCGTPPDCEWKAQAGNPCAASFDWSCSGICKSVERMEEVHQRCGEGDGAVWHSSF >DRNTG_13547.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:189495:191905:-1 gene:DRNTG_13547 transcript:DRNTG_13547.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,6-galactosyltransferase GALT31A [Source:Projected from Arabidopsis thaliana (AT1G32930) UniProtKB/Swiss-Prot;Acc:Q9MAP8] MSLDRTISNLEMQLAAARASQANKSPMPTKTGGDMSNERPKVFFVMGIITAFSSRKRRDSIRETWMPRGEKLRKLEEDKGIVMRFVIGHSATAGGVLDRAIDAEEEQHQDFLRLDHVEGYHELSSKTQIYFSTAVANWDAEFYVKVDDDVHVNLGTIGSILSRYRSKPRVYIGCMKSGPVLSKQGVKYHEPEYWKFGEEGNKYFRHATGQLYAISKDLATFISVNRHILHKFANEDVSLGSWFIGLDVEHIDERSLCCGTPPDCEWKAQAGNPCAASFDWSCSGICKSVERMEEVHQRCGEGDGAVWHSSF >DRNTG_26029.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1742308:1746122:-1 gene:DRNTG_26029 transcript:DRNTG_26029.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor PERIANTHIA [Source:Projected from Arabidopsis thaliana (AT1G68640) UniProtKB/Swiss-Prot;Acc:Q9SX27] MEYARWLDEHQRQISELRSALNSRVGDEGLRLLVDAVMTHYDEVFKLKNIGTKCDVFHMLSGMWTTPAERCFMWLGGFRSSELLKILANHLEPLTEQQLMGICNLQQSSQQAEDALSQGMEALQQSLAETLASTSLTPSSSSDNVTNYMSQMAIAMGKLGTLENFLRQADLLRLQTLQQMHRILTTRQAARALLAINDYFSRLRALSSLWLARPRD >DRNTG_26029.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1742308:1742816:-1 gene:DRNTG_26029 transcript:DRNTG_26029.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor PERIANTHIA [Source:Projected from Arabidopsis thaliana (AT1G68640) UniProtKB/Swiss-Prot;Acc:Q9SX27] ADLLRLQTLQQMHRILTTRQAARALLAINDYFSRLRALSSLWLARPRD >DRNTG_26029.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1742907:1743158:-1 gene:DRNTG_26029 transcript:DRNTG_26029.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor PERIANTHIA [Source:Projected from Arabidopsis thaliana (AT1G68640) UniProtKB/Swiss-Prot;Acc:Q9SX27] MGICNLQQSSQQAEDALSQGMEALQQSLAETLASTSLTPSSSSDNVTNYMSQMAIAMGKLGTLENFLRQ >DRNTG_26029.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1742308:1746005:-1 gene:DRNTG_26029 transcript:DRNTG_26029.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor PERIANTHIA [Source:Projected from Arabidopsis thaliana (AT1G68640) UniProtKB/Swiss-Prot;Acc:Q9SX27] MYSSSRFLRGLDGSFGDAQLGDAAAVAAFHDLSRSPLLSPRASSNLSAIASPPLQFGGLAQANVVPLDMVASSAGQEVEAARFCFPRGGYDNWGDSGVLVASPRTDTSTDVETEEKNPLMFGSGRDGSGVQKERTGDQKNLRRLAQNREAARRSRMRKKAYVQQLESSRLKLMQLEQELQRARSQGVFVANGCSNDYGHPMGANGVLAFDMEYARWLDEHQRQISELRSALNSRVGDEGLRLLVDAVMTHYDEVFKLKNIGTKCDVFHMLSGMWTTPAERCFMWLGGFRSSELLKILANHLEPLTEQQLMGICNLQQSSQQAEDALSQGMEALQQSLAETLASTSLTPSSSSDNVTNYMSQMAIAMGKLGTLENFLRQADLLRLQTLQQMHRILTTRQAARALLAINDYFSRLRALSSLWLARPRD >DRNTG_26029.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1744526:1746005:-1 gene:DRNTG_26029 transcript:DRNTG_26029.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor PERIANTHIA [Source:Projected from Arabidopsis thaliana (AT1G68640) UniProtKB/Swiss-Prot;Acc:Q9SX27] MYSSSRFLRGLDGSFGDAQLGDAAAVAAFHDLSRSPLLSPRASSNLSAIASPPLQFGGLAQANVVPLDMVASSAGQEVEAARFCFPRGGYDNWGDSGVLVASPRTDTSTDVETEEKNPLMFGSGRDGSGVQKERTGDQKNLRRLAQNREAARRSRMRKKVGSNFNHCIFLNLI >DRNTG_26029.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1742308:1746005:-1 gene:DRNTG_26029 transcript:DRNTG_26029.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor PERIANTHIA [Source:Projected from Arabidopsis thaliana (AT1G68640) UniProtKB/Swiss-Prot;Acc:Q9SX27] MYSSSRFLRGLDGSFGDAQLGDAAAVAAFHDLSRSPLLSPRASSNLSAIASPPLQFGGLAQANVVPLDMVASSAGQEVEAARFCFPRGGYDNWGDSGVLVASPRTDTSTDVETEEKNPLMFGSGRDGSGVQKERTGDQKNLRRLAQNREAARRSRMRKKAYVQQLESSRLKLMQLEQELQRARSQGVFVANGCSNDYGHPMGANGLFLTFFFYSCKMSVFMYWGARFHM >DRNTG_26029.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1742308:1744023:-1 gene:DRNTG_26029 transcript:DRNTG_26029.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor PERIANTHIA [Source:Projected from Arabidopsis thaliana (AT1G68640) UniProtKB/Swiss-Prot;Acc:Q9SX27] MQLEQELQRARSQGVFVANGCSNDYGHPMGANGVLAFDMEYARWLDEHQRQISELRSALNSRVGDEGLRLLVDAVMTHYDEVFKLKNIGTKCDVFHMLSGMWTTPAERCFMWLGGFRSSELLKILANHLEPLTEQQLMGICNLQQSSQQAEDALSQGMEALQQSLAETLASTSLTPSSSSDNVTNYMSQMAIAMGKLGTLENFLRQADLLRLQTLQQMHRILTTRQAARALLAINDYFSRLRALSSLWLARPRD >DRNTG_26029.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1742308:1744023:-1 gene:DRNTG_26029 transcript:DRNTG_26029.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor PERIANTHIA [Source:Projected from Arabidopsis thaliana (AT1G68640) UniProtKB/Swiss-Prot;Acc:Q9SX27] MEYARWLDEHQRQISELRSALNSRVGDEGLRLLVDAVMTHYDEVFKLKNIGTKCDVFHMLSGMWTTPAERCFMWLGGFRSSELLKILANHLEPLTEQQLMGICNLQQSSQQAEDALSQGMEALQQSLAETLASTSLTPSSSSDNVTNYMSQMAIAMGKLGTLENFLRQADLLRLQTLQQMHRILTTRQAARALLAINDYFSRLRALSSLWLARPRD >DRNTG_21330.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9112532:9116685:-1 gene:DRNTG_21330 transcript:DRNTG_21330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAVYIASNKIPTHSGKPSLTKEIYGKHLTTSAGILPLSGVFRSVSTTASLDQKLHKGRRGFLKLLGNVGLGLPALVSSGNAYADEQGVSSSRMSYSRFLEYLDKDRVKKVDLFENGTIAIVEAVSPELGNRVQRVRVQLPGLSQELLQKLREKNIDFAAHNAQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRSSGGLGGPGGPGFPLAFGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLIGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVTVDVPDVRGRTEILKVHASNKKFDGDVSLDVIAMRTPGFSGADLANLLNEAAILAGRRGKTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDPVQKVTLIPRGQARGLTWFIPTDDPTLISKQQLFARIVGGLGGRAAEDVIFGEPEVTTGAAGDLQQITGLAKQMVTTFGMSEIGPWSLMDSSAQSADVIMRMMARNSMSEKLAEDIDAAVKRISDKAYEIALSHIRNNREAIDKIVEVLLEKETLSGDEFRAILSEFVEIPAENRVPPTAPAPVPV >DRNTG_21330.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9112532:9116685:-1 gene:DRNTG_21330 transcript:DRNTG_21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAVYIASNKIPTHSGKPSLTKEIYGKHLTTSAGILPLSGVFRSVSTTASLDQKLHKGRRGFLKLLGNVGLGLPALVSSGNAYADEQGVSSSRMSYSRFLEYLDKDRVKKVDLFENGTIAIVEAVSPELGNRVQRVRVQLPGLSQELLQKLREKNIDFAAHNAQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRSSGGLGGPGGPGFPLAFGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLIGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVTVDVPDVRGRTEILKVHASNKKFDGDVSLDVIAMRTPGFSGADLANLLNEAAILAGRRGKTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDPVQKVTLIPRGQARGLTWFIPTDDPTLISKQQLFARIVGGLGGRAAEDVIFGEPEVTTGAAGDLQQITGLAKQMVTTFGMSEIGPWSLMDSSAQSADVIMRMMARNSMSEKLAEDIDAAVKRISDKAYEIALSHIRNNREAIDKIVEVLLEKETLSGDEFRAILSEFVEIPAENRVPPTAPAPVPV >DRNTG_26579.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20169722:20173440:1 gene:DRNTG_26579 transcript:DRNTG_26579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDSKCNGFTGIVQTIQFMTTDAVAVIGPQSSDTAHVISHFSNEFHVPFLSFSATDPTLTSIQFPFLVRTTHSDLFQMIAIAQLIDYYQWRNIIVVYTDDDYGRNGIASLGDELEKIRCQISYKAVLSPGATQADISNMLVKIALMESRIIIVHANIDFGLTVFSVAHYLQMISNGYVWIATDWLSSFLDSSAPVEMEIMSTLQGALSLRQHSPKSVKKHNLVSKFPELRKRKSNEVFRINSYGLQAYDTVWLIARALDSFFRDNGTVSFSKDSTQQYFSLDAMTKFDDGQTLLNKIKTTEMDGVTGKIQFDSDGNLINPAYDILNVIGTGLRTVGFWSNASGLSTRPPEKIYTNMTSKSSENHKLHTVIFPGNHLRPLAVGFSLTMARN >DRNTG_26579.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20169819:20173440:1 gene:DRNTG_26579 transcript:DRNTG_26579.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKFVLLLLSLCFISSGFSTRPPVVKLGAVFSVNSTIGRVAKVAIDAAVDEINSNSTLLNGTKLLLQMHDSKCNGFTGIVQTIQFMTTDAVAVIGPQSSDTAHVISHFSNEFHVPFLSFSATDPTLTSIQFPFLVRTTHSDLFQMIAIAQLIDYYQWRNIIVVYTDDDYGRNGIASLGDELEKIRCQISYKAVLSPGATQADISNMLVKIALMESRIIIVHANIDFGLTVFSVAHYLQMISNGYVWIATDWLSSFLDSSAPVEMEIMSTLQGALSLRQHSPKSVKKHNLVSKFPELRKRKSNEVFRINSYGLQAYDTVWLIARALDSFFRDNGTVSFSKDSTQQYFSLDAMTKFDDGQTLLNKIKTTEMDGVTGKIQFDSDGNLINPAYDILNVIGTGLRTVGFWSNASGLSTRPPEKIYTNMTSKSSENHKLHTVIFPGNHLRPLAVGFSLTMARN >DRNTG_25974.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001342.1:40780:41766:-1 gene:DRNTG_25974 transcript:DRNTG_25974.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKAKTHRSQFSQMYIRNNSTQELKLLG >DRNTG_25974.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001342.1:40101:41647:-1 gene:DRNTG_25974 transcript:DRNTG_25974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKPLLSILYYSSLIQSAEKKKKTKNQKPKIFKQLHKQTDENNSESNERRPLQPRSP >DRNTG_34109.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002087.1:78454:81771:1 gene:DRNTG_34109 transcript:DRNTG_34109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILLSSTPTPHIVYSLIIEEKATGSLYTDWDLLPPKKIKDPEAKKPDDWDEKVYISDPEHKKPKGYDDIPKVIPDPNAKMPEDWDVEKRGEWRAPRIPNPEYKGPWKQKKIKNPNYKGKWRAPEIDNPEYKDDPDVYVYPNLRYVGIELWQVKSGTLFDNIIICDDPEYAKKLAEDTWGKNKDIERAEFEKYEKKKQEEETRVEEERNDDEDDDEDGDEDSDADQEATHDEL >DRNTG_29895.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4915356:4962220:-1 gene:DRNTG_29895 transcript:DRNTG_29895.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIPTRSSTEKSEALKPCSNARKNGGDRGNIGPERAFENPELWGLRRSARKSGVPDFFHDSMGGNAGCKLGSPRSFFGDSVATNSKSEVVDNGDRSVSELKSSRFCSVVGNEGPQISLHTDKALTRCCSLEDSTDGDPGKKNEKLIALSCNEEEFLIENREGPTSKKMKVSSRPVVNTVPFDAKYLRKVNGTTFFVGNPVLEEEARQRWPHHYMKGKKSKKGCNPANDCDEDEVILDVKCHYLQANVSGCLFDIGDCAYVKGEKRKPNFIGRICEFFETTKGEHYTTLQWFYKAEDTVMKEQAKFHDKMRLFYSDIRNDNLLDCIISKVRISPIIPRVDVKSRALPSCLYYYDMKYSVDYSTFSSLETSEKRDLCSCYGFENDSDVSLKGVRHCCKSNNVEATLLDLYSGCGGMSTGLCLGARLSGLNLVTKWAVDSDEAACESLKLNHPETQIRNESADDFLDLLREWKRLCKCYAVGIGNQRKPNLRSSHVKKSNPHARDDSSMDSEEFEVSRLVDICYGDPANVGKRGLKFKVRWKGFGPSEDTWEPIEGLSNCKDSIRDFVVEGVKAKILPLPGEVDVVCGGPPCQGISGYNRFRNFNAPLDDERNRQIAVFMDIVEFLKPKYVLMENVVDILKFAKATLARYSLSRLVHMNYQARFGMMAAGCYGLPQFRLRVFLWGCHPYEKLPQFPLPTHEVILRGGASAEFERNIVGYDEGQVRVLEKAVVLEDVLSDLPAVSNDENNEQIPYTKGPQTEFQRYIRMPKSEMIGFSACEVDDIQPLLFDHRPRKLFEDDYMRVCQIPRKKGANFRDLPGTIIDANNTVQLDPSKERILLPSGRPLVPDYALNFWEGKSVRPFGRLWWDETVPTVLTVPDAHHQIVFSLSERLQGFKVFLIIIGSLGL >DRNTG_29895.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4915356:4948520:-1 gene:DRNTG_29895 transcript:DRNTG_29895.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKTSTEDIEDDLPSSSQGEKRDLCSCYGFENDSDVSLKGVRHCCKSNNVEATLLDLYSGCGGMSTGLCLGARLSGLNLVTKWAVDSDEAACESLKLNHPETQIRNESADDFLDLLREWKRLCKCYAVGIGNQRKPNLRSSHVKKSNPHARDDSSMDSEEFEVSRLVDICYGDPANVGKRGLKFKVRWKGFGPSEDTWEPIEGLSNCKDSIRDFVVEGVKAKILPLPGEVDVVCGGPPCQGISGYNRFRNFNAPLDDERNRQIAVFMDIVEFLKPKYVLMENVVDILKFAKATLARYSLSRLVHMNYQARFGMMAAGCYGLPQFRLRVFLWGCHPYEKLPQFPLPTHEVILRGGASAEFERNIVGYDEGQVRVLEKAVVLEDVLSDLPAVSNDENNEQIPYTKGPQTEFQRYIRMPKSEMIGFSACEVDDIQPLLFDHRPRKLFEDDYMRVCQIPRKKGANFRDLPGTIIDANNTVQLDPSKERILLPSGRPLVPDYALNFWEGKSVRPFGRLWWDETVPTVLTVPDAHHQIVFSLSERLQGFKVFLIIIGSLGL >DRNTG_29895.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4915356:4943347:-1 gene:DRNTG_29895 transcript:DRNTG_29895.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGLCLGARLSGLNLVTKWAVDSDEAACESLKLNHPETQIRNESADDFLDLLREWKRLCKCYAVGIGNQRKPNLRSSHVKKSNPHARDDSSMDSEEFEVSRLVDICYGDPANVGKRGLKFKVRWKGFGPSEDTWEPIEGLSNCKDSIRDFVVEGVKAKILPLPGEVDVVCGGPPCQGISGYNRFRNFNAPLDDERNRQIAVFMDIVEFLKPKYVLMENVVDILKFAKATLARYSLSRLVHMNYQARFGMMAAGCYGLPQFRLRVFLWGCHPYEKLPQFPLPTHEVILRGGASAEFERNIVGYDEGQVRVLEKAVVLEDVLSDLPAVSNDENNEQIPYTKGPQTEFQRYIRMPKSEMIGFSACEVDDIQPLLFDHRPRKLFEDDYMRVCQIPRKKGANFRDLPGTIIDANNTVQLDPSKERILLPSGRPLVPDYALNFWEGKSVRPFGRLWWDETVPTVLTVPDAHHQIVFSLSERLQGFKVFLIIIGSLGL >DRNTG_29895.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4941811:4942003:-1 gene:DRNTG_29895 transcript:DRNTG_29895.5 gene_biotype:protein_coding transcript_biotype:protein_coding VRWKGFGPSEDTWEPIEGLSNCKDSIRDFVVEGVKAKILPLP >DRNTG_29895.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4919994:4941879:-1 gene:DRNTG_29895 transcript:DRNTG_29895.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVEFLKPKYVLMENVVDILKFAKATLARYSLSRLVHMNYQARFGMMAAGCYGLPQFRLRVFLWGCHPYEKLPQFPLPTHEVILRGGASAEFERNIVGYDEGQVRVLEKAVVLEDVLSDLPAVSNDENNEQIPYTKGPQTEFQRYIRMPKSGIFFFDMKESSVSCFFFFSSSKARISIF >DRNTG_25019.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19542328:19552740:1 gene:DRNTG_25019 transcript:DRNTG_25019.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSRELSSLHESSSLQNSANKKYITEASYIEFSGVKVVTPTGNALVDNLCLKVEQGSNLLITGPNGSGKSSLFRVLGGLWPLKSGHITKPGIGSNLNKEIFYVPQRPYTALGTLCDQLIYPLTADQEIEPLSHSGMVELLKNVDLEYLLERYPLEKEINWGDELSLGEQQRLGMARLFYHRPKFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDIVLSLDGEGGWTVHEKRDKLSGSTEARLNLLKTSETYRQSDALAVQKAFTSSRMKTASLKAKDHFYSTEVVSSSAMVLDDIAPLPVVPQLQKAQRALPLRIATMFKILVPSIFDKQGAQLLAVALLVVARTWISDRIANLNGTSVKHVLAQDKAAFIRLTCVSVLQSAASSIVAPSLRYLTAKLSLVWRIHLTQHLLKNYFRRNAYYKVFHFSGDNIDADQRITNDVEKLTTDLSGLVTGMVKPSVDILWFTWRMKSLTGKRGVLILYAYMLLGLGFLRSIAPEFGDLASREQHLEGTFRYMHSRLRTHAESVAFFGGGSREKSMVDVRFRELLSHSKNLLWKKLLFGVMDDFITKQLPHNVTWILSLLYSLEHKGDRALTSTQGELAHALRFLASVVSQSFLAFGDILELHKKYLELSGGINRIFELEELLDSAQSDILQVGASASSNVDDFPEKDVISFSKVSVISPGQKLLVKKLTCDVVSGRSLLVTGPNGSGKSSIFRVLRGLWPNVSGTLIKPCQDINEKVESECGVFYVPQRPYTCLGTLRDQIIYPLSREDAEIKMLSLAKIGVKSSGANLLDAHLRHILETVRLVYLLEREDQGWDASPNWEDILSLGEQQRLGMARLFFHHPKFGILDECTNATSVDVEEHLYQLATEMGITFITSSQRPALIPFHSMELRLIDGEGKWELCTINQ >DRNTG_25019.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19531231:19552740:1 gene:DRNTG_25019 transcript:DRNTG_25019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLLPLTEHGRSFFSSRRRTLVAVAGVLVAGGTAAYMQSRFQNWSTRLNSSIQNLPGNVEETLTRKGTNDNSNDNPRKRSLKKRGLKSIRVLLAILISKLGPMGTHNLLALVVTAVLRTALSNRLAKVQGFLFRAAFLGRLPVFVRLIVENLTLCFLQSTLFSTAKYLTGSLGLRFRKILTDLIHVEYFKDMVYYKISHVDDRISNPEQRITSDVPRFCSELSEIVQEDLIAVTDGLLYTWRLCSYASPKYVLWILAYVIGAGAAIRNFSPAFGRLMSKEQQLEGDYRQLHSRLRNHAESVAFYGGENREAFHIWQQFKALVMHMNLVHHDHWWFGMIQDFLLKYLGATVAVVLIIEPFFAGNLRPDSSTLGRAEMLSNLRYHTSVIISLFQSLATLSVNYRRLNRLSGYADRIYELMLVSRELSSLHESSSLQNSANKKYITEASYIEFSGVKVVTPTGNALVDNLCLKVEQGSNLLITGPNGSGKSSLFRVLGGLWPLKSGHITKPGIGSNLNKEIFYVPQRPYTALGTLCDQLIYPLTADQEIEPLSHSGMVELLKNVDLEYLLERYPLEKEINWGDELSLGEQQRLGMARLFYHRPKFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDIVLSLDGEGGWTVHEKRDKLSGSTEARLNLLKTSETYRQSDALAVQKAFTSSRMKTASLKAKDHFYSTEVVSSSAMVLDDIAPLPVVPQLQKAQRALPLRIATMFKILVPSIFDKQGAQLLAVALLVVARTWISDRIANLNGTSVKHVLAQDKAAFIRLTCVSVLQSAASSIVAPSLRYLTAKLSLVWRIHLTQHLLKNYFRRNAYYKVFHFSGDNIDADQRITNDVEKLTTDLSGLVTGMVKPSVDILWFTWRMKSLTGKRGVLILYAYMLLGLGFLRSIAPEFGDLASREQHLEGTFRYMHSRLRTHAESVAFFGGGSREKSMVDVRFRELLSHSKNLLWKKLLFGVMDDFITKQLPHNVTWILSLLYSLEHKGDRALTSTQGELAHALRFLASVVSQSFLAFGDILELHKKYLELSGGINRIFELEELLDSAQSDILQVGASASSNVDDFPEKDVISFSKVSVISPGQKLLVKKLTCDVVSGRSLLVTGPNGSGKSSIFRVLRGLWPNVSGTLIKPCQDINEKVESECGVFYVPQRPYTCLGTLRDQIIYPLSREDAEIKMLSLAKIGVKSSGANLLDAHLRHILETVRLVYLLEREDQGWDASPNWEDILSLGEQQRLGMARLFFHHPKFGILDECTNATSVDVEEHLYQLATEMGITFITSSQRPALIPFHSMELRLIDGEGKWELCTINQ >DRNTG_33874.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26251155:26262089:-1 gene:DRNTG_33874 transcript:DRNTG_33874.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVWKNEYGCINHAQVSDFLSGQRMVADDVVDVFCLMLNESLRKTPSVYKRQGIATRPQAFQLSKLKDDNKSLINLMNNSLSVYGQVEVVLIPIIADNHYHFLVLDKEKKEYLHFSSIAQKKYDDAARDMRMVFEKCLNIIVKNEEPKSCSLTYVHKCPQQVDGASCSLYLMRLMEQILNREELSLDVNDIPCLRYQYAA >DRNTG_33874.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26259933:26262089:-1 gene:DRNTG_33874 transcript:DRNTG_33874.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRGFVPTQFIYTFVVGACVKQGNLEEALSLKDELVASGRPLSLVLATSLMKGYCDQGDLDNALDLYTSVLKQGILPNVVTYSVLIDGCCRNGNVGKAFELYCQMKQTRVSPNVFKVNTIINGFLKKNKWKEALVVFDGAVSFGVVNVFTYNILMHWLFNADKVKEACCDLWDQMEERNR >DRNTG_05497.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30249559:30252770:1 gene:DRNTG_05497 transcript:DRNTG_05497.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGRRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGFVLMYFLRGRFVFQF >DRNTG_05497.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30248540:30252770:1 gene:DRNTG_05497 transcript:DRNTG_05497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADQMINRLEFVHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGFVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSVEALCRGYPSEFASYFHYCRSLRFDDKPDYAYLKRIFRDLFIREGRFCLPYSV >DRNTG_05497.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30249559:30252770:1 gene:DRNTG_05497 transcript:DRNTG_05497.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSVEALCRGYPSEFASYFHYCRSLRFDDKPDYAYLKRIFRDLFIREGRFCLPYSV >DRNTG_05497.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30248540:30249406:1 gene:DRNTG_05497 transcript:DRNTG_05497.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVANKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENLKTKHPQLLYESKLYRILQGGTGIPNIRWFWS >DRNTG_05497.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30249559:30252770:1 gene:DRNTG_05497 transcript:DRNTG_05497.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGRRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGFVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSVEALCRGYPSEFASYFHYCRSLRFDDKPDYAYLKRIFRDLFIREGRFCLPYSV >DRNTG_32540.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20140827:20145642:1 gene:DRNTG_32540 transcript:DRNTG_32540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGEVMRVVVTVLLFAVSLASVSYDHRAVIVNGQRRILISGSIHYPRSTPEMWPDLIQKAKDGGLDVIQTYVFWNGHEPSPGQYYFEDRYDLVRFVKLVKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNGPFKAAMQKFTVKIVSMMKAEGLFESQGGPIILSQIENEFGPMEYNGGAAAKSYANWAAKMAVGLETGVPWVMCKQQDAPDPVIDTCNGFYCDYFTPNKAYKPKMWTEAWTGWYTGFGGAVPHRPVEDLAFAVARFIQKGGAFINYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEYGLLRQPKWGHLRDLHKAIKMCEPALVATDPVVTSIGRAQQAYVYRSKSGCAAFLSNFDSGSYAKVTFNGLHYDLPPWSVSILPDCKTTVFNTAKVGAQTSQMKMSWVGKFSWQSYNEDTNSLDDSSFTKDGLYEQISMTWDKSDYLWYTTYVDLGKNEQFYPTLSVNSAGHALIVFVNGVLTGTVYGGLESPKLRYSGKVKMWAGSNKISLLSIAVGLPNVGNHFETWNTGVLGPVTLSGLNEGTRDLSRQKWTYQVGLKGEALGLHTVSGSSSVEWGSAYPKRPLTWFKTFFNAPAGNDPLALDMGSMGKGQIWINGRSIGRYWPSYKASGSCGSCDYRGTYNEKKCQSNCGESSQRWYHVPRSWLNPTGNLLVVLEEWGGDPSGIAMVKRTVGSVCADISEMQPSINNWHTKTYQIPKAHLLCPAGQKMSQIKFASFGTPQGVCGSYSEGACHAHKSYDAFEKNCIGQQYCAVAVAPQIFGGDPCPGTMKKLSVEAICD >DRNTG_32540.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20140827:20145642:1 gene:DRNTG_32540 transcript:DRNTG_32540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGEVMRVVVTVLLFAVSLASVSYDHRAVIVNGQRRILISGSIHYPRSTPEMWPDLIQKAKDGGLDVIQTYVFWNGHEPSPGQYYFEDRYDLVRFVKLVKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNGPFKAAMQKFTVKIVSMMKAEGLFESQGGPIILSQIENEFGPMEYNGGAAAKSYANWAAKMAVGLETGVPWVMCKQQDAPDPVIDTCNGFYCDYFTPNKAYKPKMWTEAWTGWYTGFGGAVPHRPVEDLAFAVARFIQKGGAFINYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEYGLLRQPKWGHLRDLHKAIKMCEPALVATDPVVTSIGRAQQAYVYRSKSGCAAFLSNFDSGSYAKVTFNGLHYDLPPWSVSILPDCKTTVFNTAKVGAQTSQMKMSWVGKFSWQSYNEDTNSLDDSSFTKDGLYEQISMTWDKSDYLWYTTYVDLGKNEQFYPTLSVNSAGHALIVFVNGVLTGTVYGGLESPKLRYSGKVKMWAGSNKISLLSIAVGLPNVGNHFETWNTGVLGPVTLSGLNEGTRDLSRQKWTYQVGLKGEALGLHTVSGSSSVEWGSAYPKRPLTWFKTFFNAPAGNDPLALDMGSMGKGQIWINGRSIGRYWPSYKASGSCGSCDYRGTYNEKKCQSNCGESSQRWYHVPRSWLNPTGNLLVVLEEWGGDPSGIAMVKRTVGSVCADISEMQPSINNWHTKTYQIPKAHLLCPAGQKMSQIKFASFGTPQGVCGSYSEGACHAHKSYDAFEKEGLLQNCIGQQYCAVAVAPQIFGGDPCPGTMKKLSVEAICD >DRNTG_29636.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3011146:3012255:-1 gene:DRNTG_29636 transcript:DRNTG_29636.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSIYGLWAVNSFPTLTYLLIPPLFLLNCISLFPKVSSPWFIPFVLVFITKHVYSLIESLYSGNTFKGWWNAQRMWVMKRITSFLYALIDNILKLFGASKLAFTITAKVSDED >DRNTG_29636.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3011146:3012548:-1 gene:DRNTG_29636 transcript:DRNTG_29636.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNNLQSIKDALCFFFDEEKGHETGFVQYPQNYDNITKNDLYDNSLKVINKAEHPGLDSWEGTLYIGTGCFHRREALQGRKYSKGYIEDWKRGVDRKSVDSASVLEEKVKHLASCTYEFNTLWGKEIGLRYGCAVEDILTGLAMHYNGWKSKFLDPERKAFLGVGPTMNKL >DRNTG_13952.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21326334:21329472:-1 gene:DRNTG_13952 transcript:DRNTG_13952.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMREIMNMPVLQNLMNNPDIVRNLIMNNPQMREIIDQNPDLAHVLNDPSTLRQTLEVARNPELMREVMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMAGDSASNLGSNSFGDLLEHQTAVQSNILSANPSPTNSDATIASSTPNTNPLPNPWARATQVPQTTRTLSTPNSNVRTSGLAGLTELGMPELERMVGGALDSSLISQLMQNPSMRQLMQNVLSDPQFMNQVLDSNPHIQNLLESNTQLRDLLLNPESRRRLASSLMLERLASLRPSLTALLGAQQSSQEQTQAGDDLGTPNISGLESLMNFLPRHSPGGLGATNNTDVPPEELFATQLSQLQEMGFGDTQENIRALTATSGNVHAAVERLLRNLGQ >DRNTG_13952.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21329853:21330482:-1 gene:DRNTG_13952 transcript:DRNTG_13952.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVAGEHGESSATGIGGGLAIVHVRSSNGSKISVETNLDVNVGDFKVVLAGKCDVLAEQQRLIYKGRILKDEQTLASYGKPFIPYCPNLHSVMFPMFGLPKFHVLYD >DRNTG_13952.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21326334:21330482:-1 gene:DRNTG_13952 transcript:DRNTG_13952.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVAGEHGESSATGIGGGLAIVHVRSSNGSKISVETNLDVNVGDFKVVLAGKCDVLAEQQRLIYKGRILKDEQTLASYGLESDHTIHLVRGFPAPSASTDRAASNLGLSRDPDRNSRRSGTLLAELFGNGGSSSFGSGFSGFDQVEQQLTENPNMMREIMNMPVLQNLMNNPDIVRNLIMNNPQMREIIDQNPDLAHVLNDPSTLRQTLEVARNPELMREVMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMAGDSASNLGSNSFGDLLEHQTAVQSNILSANPSPTNSDATIASSTPNTNPLPNPWARATQVPQTTRTLSTPNSNVRTSGLAGLTELGMPELERMVGGALDSSLISQLMQNPSMRQLMQNVLSDPQFMNQVLDSNPHIQNLLESNTQLRDLLLNPESRRRLASSLMLERLASLRPSLTALLGAQQSSQEQTQAGDDLGTPNISGLESLMNFLPRHSPGGLGATNNTDVPPEELFATQLSQLQEMGFGDTQENIRALTATSGNVHAAVERLLRNLGQ >DRNTG_05723.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:11827924:11830452:1 gene:DRNTG_05723 transcript:DRNTG_05723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPMSRAVKSVSTEPKMVQARAEAGEAPPTDHIVKESQHRLNAPQPLTVPFPVVHLSPGACFRDVLHEYTMNTKILVENVLKKIAQSLELNEDLFVSHLGDKFTIFARFNYYPCCSKPDLVFGLKPRTDCSLITVILPNKDVEGL >DRNTG_31418.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:621734:624062:1 gene:DRNTG_31418 transcript:DRNTG_31418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVGFTSSTGSFPTSHYILAWSFMLNGKAQAFDLSKLPSLPLVPSKSKPLLLFILLPVIGVSLVLVVMAFVLVMMVKKRKKKRYSELLEDWETEYGPQRFSYKDLFLATKGFEDKELLGAGGFGRVYKGVLPKTNIQVAVKKVSHESRQGMREFIAEIISIGKLSHRNLVRLLGYCRREGELLLVYEFMSNGSLDRYLFNEPKSLLDWEQRFRIIKGVASGLLYLHEEWEQVVIHRDVKASNILLDCDMNGRLGDFGLSRLYDHGSDPQTTHVVGTLGYLAPELPNTGKANTSTDVYAFGGFLLELACGRRPIDAKAMPEEMILVDYVYECWKKDQILDARDANLRENYDTEEMKLVLMLGLLCSHPNPNYRPAMRAVMQALDGSAPIPLLSKESWIADDSSFSFRDDDNNNNNTTRYHSLATNFSDV >DRNTG_02027.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13062101:13062651:1 gene:DRNTG_02027 transcript:DRNTG_02027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSTTCVFTFVQSKNFINNRVMIYFCFLSFKHISITTSTYQGRFLVARLRKIKGESPASREQFQGGFDSTFKGIDDRSCQAH >DRNTG_18891.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2192229:2193885:-1 gene:DRNTG_18891 transcript:DRNTG_18891.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR6 [Source:Projected from Arabidopsis thaliana (AT5G24330) UniProtKB/Swiss-Prot;Acc:Q9FNE9] MAPKNHLRRRTQAPSISGDEQARINAITCVRCGSGESPAELLLCDNCDHGFHLFCLSPILPRVPKSSWFCPSCSSSSSPSKTPKQFPLIQTKIVDFFKIQRSSDENLGCKKRKKKLGGLVMAKKKRRLLPFNPSEDQERRLEQMASLATALTATSSVFSDDLTYCPAMAPRSANQPSLEREGMQVMSKEDIEALNACKKMMERGECPPLLVIFDSREGFTVEADRFIKDLTIITEYVGDVDYLKNREHDDGDSMMTLLSARDSSKSLVICPDKRSNIARFINGINNHSLDGKKKQNVKCVRFDVDGECRVLLIASRDIRKGERLYYDYNGYEQEYPTHHFV >DRNTG_11677.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:290255:294223:1 gene:DRNTG_11677 transcript:DRNTG_11677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIKTQQISARPIEKVIVHPLVLLSIVDNYNRVALDTRKRVIGVLLGTSFKGTVDVTNSYAVPFEEDDKDPSIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLKENDLDVHALFHDYVPNPVLVIIDVQPKELGIPTKAYYAIEEVKENATQKSQRVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTSKLAALKGLDARLREIRGYLDLVVDGKLPLNHEILYHLQDVFNLLPNLNVNELIKAFSVKTNDMMLVIYLSSLIRSVIALHNLINNKVLNKEHEKAEDAKSAAVPATAGS >DRNTG_09986.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22037985:22038833:1 gene:DRNTG_09986 transcript:DRNTG_09986.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHYACMAAQQNSLEILEAIVRYGGDVNIPKIDGTTALHLAVCEGNLQVVKFLVDHGADIDKPDNHGWSPRELADQQSHEEIKALFKEKSQRSDKSTLMTNSVSPPWRFSPQPSVKILSQESQMFPLEKGTVGKVHQRRKASFHNSLFGIMSAVHAVDSHPHQQHHHNTPLRVTISCPER >DRNTG_09986.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22037850:22038833:1 gene:DRNTG_09986 transcript:DRNTG_09986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVLLLLDYGADPNCKDSDGCVPIWDAILSKHEEVVRILADNGADILSGNMGHYACMAAQQNSLEILEAIVRYGGDVNIPKIDGTTALHLAVCEGNLQVVKFLVDHGADIDKPDNHGWSPRELADQQSHEEIKALFKEKSQRSDKSTLMTNSVSPPWRFSPQPSVKILSQESQMFPLEKGTVGKVHQRRKASFHNSLFGIMSAVHAVDSHPHQQHHHNTPLRVTISCPER >DRNTG_00738.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000054.1:11953:16664:1 gene:DRNTG_00738 transcript:DRNTG_00738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIKFLLPLMLMVMVPVFINGGILMKGYPPDEDLVKKLPGQPDVSFKQYAGYIDVDLDAGRRQNCTSSPRGRQAQEEEHGWRSKALKELLWRDLGGAIDEPSKHPRGSSVERGFSASFYALHSLVVNALKSKKRKQR >DRNTG_29346.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1944308:1946121:1 gene:DRNTG_29346 transcript:DRNTG_29346.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g59720, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G59720) UniProtKB/Swiss-Prot;Acc:Q0WQW5] MLLLSLSPDNHTFPFVLKSCAFLSSSSFGSQLHSHIVKLGFSSDIYILNSLGHFYSSCGKLSLARQLFDGMPLRNRVSWNIMIDGCVMCGEYETALELFRDMQSQFSPDAYTMQSALCACAALGALSLGMWAHAFVLRKCDASVQNDVLINNSLIDLYSKCGDIVMARRVFDEMPQRDVTSWNALILGLTMHGQVEDSLLAFVQMISDVEGDKLRPNSITFIGVLSACNHGGLVTEGQKYFRSMVDEFGIKPQLEHYGCMVDILSRAGHIEEALELVKNMNCKPDVVIWRSILDMEKHS >DRNTG_09690.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000404.1:12237:13307:-1 gene:DRNTG_09690 transcript:DRNTG_09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQTYKTSGFGFVFPKGSPIVSDLSRAILNITEGDEMSDIERRWFGDQTNCPKLGSKLSSNSLDFTSFWGLFLITGTVSLLAFFLYWITFLYKNRHQLMSNMASQSSLRWRLQSIGQLFDQRDLSSHTFRNAEVKDGSKRSTEDQSPHSSPFNNNCPQSPISFSTSQTFEEGNVSTELATPNSETPLHVVDIAAATDH >DRNTG_31604.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:652099:654314:1 gene:DRNTG_31604 transcript:DRNTG_31604.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSQRAMDLYEKLEKVGEGTYGKVYKARERATGRIVALKKTRLPEDDEGVPPTTLREVSLLRMLSIDPHVVRLIDLKQGKNKEGKTILYLVFEYMDTDLKKYIRGFRQNQEFLPGKTIKILMYQLCKGVAFCHGHGVLHRDLKPHNLLMDRKTMMLKIADLGLSRAFTIPLKKYTHEILTLWYRAPEVLLGATHYSTPVDMWSVGCIFAELVTTQALFPGDSELQQLLHIFRLLGTPNEEVWPGVSKLPNWHEFPQWNPKSLSSAVPGLDANGLDLLSKMLYYQPSKRISAKKAMEHPYFDDVNKTHL >DRNTG_08308.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000376.1:1:10649:-1 gene:DRNTG_08308 transcript:DRNTG_08308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVNLLCSHGVKPILIFDGGLLSMKIDVETKHARQFSILFLGFVPKVRKENLERALEHEANGNSLSAYECFQKAIDISPSIAWELIQVLKKEKVDYLVAPNEADAQMSFMSINKLVDAIITEDSDLIPFGCDRFKMAAIERYENMESSDDEENVPRMVDESQDRDVHTQHSNTLIGTSNKASRTERQVVDVATGDQRINGAKEMGASD >DRNTG_12023.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:772433:774374:-1 gene:DRNTG_12023 transcript:DRNTG_12023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGVIDTGITPTHPSFQSFNEVSAPPVNWFDNCSFGQDVCNNKLIGAMAFQNGNNPSPLDDIGHGTHCASTAGGSPVYDAGILGQARGTAVRTAPRAHISAYKVLYGGQGWDYDFLVGIDQAVRDGVDVLSMSLSSGAKNFLDSGIAVSSFYAITKGIVSCACAMNEGPTTKDPIRWKTGQQAKVTVCCANLASSTDRRIKVTVELGNGMEIDGESSYQPDIHNATNLELVFPRATGRDSDLQCSSLNPIDVKGKIVLCMVGPISNMEKGELVKAAGGEVMIVMNNIKYGLTMFADPHVLPAAHISNFDARRLVSYVQTRNPTASIVFKDTQFGASPAPCIAFFSGRGPSQYNGGIIKPDIVAPGVNILAAWPVEVGPNPTGNTTSTLFFFEGKSS >DRNTG_09201.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4788619:4793682:1 gene:DRNTG_09201 transcript:DRNTG_09201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWDWDRWPLLQRRGNHMFVKLFVALLLVGFSFRFFFASDSFSSLPLSQPEEVEDVREVIADSDPLDNDFASNTSVPEVALQDGIEYRQKDKCDLFTGEWVSNPSGPAYTNESCSFIEPPQNCMKNGRPDTGYLYWRWKPYGCDVPLFDAEKFLNVMRNKSWALIGDSILRNHVQSLICLLVKVEVPVEVEHDKQYKSRRWHFALHNFTLSIIWSPFLAKAETFENEDGVSTAEIELHLDVLDKKWTSQYENFDYILISGGQWFLKAAIYWENKTIIGCHNCQRKNLTDIPPDYPFRKVLQSVYRFFTTSKHKPFILYRTWTPDHFENGEWFNGGTCNRTVPYKEGEFLGRDIDHLMLRIELEEFKNATRNGARLKLLDTYRLSLLRPDGHSGPYRTFHPFDKNSVRGPNDCLHWCLPGPIDSWNDLVMDLVLNGN >DRNTG_22692.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:13367151:13367653:-1 gene:DRNTG_22692 transcript:DRNTG_22692.1 gene_biotype:protein_coding transcript_biotype:protein_coding IHSTLLQKSTFSSTNHQHTHTHTSIQLNQNKII >DRNTG_02105.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10662247:10665131:1 gene:DRNTG_02105 transcript:DRNTG_02105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTILQQDKQHLQAVFQDPPRSTTLDYDGRKREC >DRNTG_23281.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1341230:1344601:1 gene:DRNTG_23281 transcript:DRNTG_23281.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUF3 [Source:Projected from Arabidopsis thaliana (AT3G33520) UniProtKB/TrEMBL;Acc:A0A178U6Y1] MSSVVVLDNGGGLCKAGFAGDRDPTAVVPNCLGRSGASKRWLVADQLLAPDSDVTSVSIRRPLDRGYLVNPDLQREVWDRILRSLLRADPAHSSLLLVEPLFNPPSVQRATDELIFEDFGFQSLCVADSPSLIHLYEASRRPCLSQYCCSLVVDCGFSFIHAAPVLHNFTLNYGVRRLDLGGKALTNYLKELVSYRSVNVMDETLIIDHAKEQLCFVSLDVARDLELARKSGEDNPFRCTYVLPDGVTHTKGYVKDMEEARRYLSLPSQSTINQSVANEELDCQENAKRPEARRQIDLTKNEFSLTNERFLVPEMIFHPSDLGMNQAGLAECIVRAVQSCHPQLHPQLFESIILTGGSTLFPQFRERLERELRPLVRDDYKVKIATQEDPILGVWRGGSLLASSPDFESMCITKLEYEEIGSARSRRRFFH >DRNTG_23281.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1341230:1344601:1 gene:DRNTG_23281 transcript:DRNTG_23281.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUF3 [Source:Projected from Arabidopsis thaliana (AT3G33520) UniProtKB/TrEMBL;Acc:A0A178U6Y1] MSSVVVLDNGGGLCKAGFAGDRDPTAVVPNCLGRSGASKRWLVADQLLAPDSDVTSVSIRRPLDRGYLVNPDLQREVWDRILRSLLRADPAHSSLLLVEPLFNPPSVQRATDELIFEDFGFQSLCVADSPSLIHLYEASRRPCLSQYCCSLVVDCGFSFIHAAPVLHNFTLNYGVRRLDLGGKALTNYLKELVSYRSVNVMDETLIIDHAKEQLCFVSLDVARDLELARKSGEDNPFRCTYVLPDGVTHTKGYVKDMEEARRYLSLPSQSTINQSVANEELDCQENAKRPEARRQIDLTKNEFSLTNERFLVPEMIFHPSDLGMNQAGLAECIVRAVQSCHPQLHPQLFESIILTGGSTLFPQFRERLERELRPLVRDDYKVKIATQEEYNNSSCLFSASCCKFKFLEHFIQLFYGAVPF >DRNTG_23281.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1341230:1344601:1 gene:DRNTG_23281 transcript:DRNTG_23281.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUF3 [Source:Projected from Arabidopsis thaliana (AT3G33520) UniProtKB/TrEMBL;Acc:A0A178U6Y1] MSSVVVLDNGGGLCKAGFAGDRDPTAVVPNCLGRSGASKRWLVADQLLAPDSDVTSVSIRRPLDRGYLVNPDLQREVWDRILRSLLRADPAHSSLLLVEPLFNPPSVQRATDELIFEDFGFQSLCVADSPSLIHLYEASRRPCLSQYCCSLVVDCGFSFIHAAPVLHNFTLNYGVRRLDLGGKALTNYLKELVSYRSVNVMDETLIIDHAKEQLCFVSLDVARDLELARKSGEDNPFRCTYVLPDGVTHTKGYVKDMEEARRYLSLPSQSTINQSVANEELDCQENAKRPEARRQIDLTKNEFSLTNERFLVPEMIFHPSDLGMNQAGLAECIVRAVQSCHPQLHPQLFESIILTGGSTLFPQFRERL >DRNTG_29654.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15623164:15628364:-1 gene:DRNTG_29654 transcript:DRNTG_29654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHPRLHWLFLPTLNTLIFRCRIQWCHSSYLLETYHACAILILAQMIIYKPNDLHWLSGMTSLHHLDLSGGNLSKVHGWLHDINSVLPSLRVLKLSNAELQGGGIYATTDLPHYLNFTSLRVLDLSHNYGLNITLPQWLFNLTSLVYLDLSSCALYGKLPVTVGNLSRLRVFSLSGNSFNGVIPESLGNLGILEKLDLSLNKFIGSIPESLSNLTSLVYFDLSNNKIGKLPESIGRLQKLVEFYLSNNQIQGLMPASIGDLRNLQYLDLYWNMISGPIPESFGNLVHLQDLDLPQNAISGKLPESFGNLSQLQHLNMQGNGITGGLPEYVRKLPSLLGLDLSNNNINGTLPKFMGTLCKLQVLDLTSNMISGGIDGLVDGLSKCRDGLSTLNLGNNMLNGTIPENIGRLSKLNQLNLSSNSLMGVLTESHFSNLINLRYLDLSYNSLQLNVSENWKPPFDCWLIRMCSVKVGPVFPTWIKTQTYLSHLCLSDAGISGKLPAWFGYLIPFYSYFLNLSNNNLEGRPPTSMKNYTISIIDLSSNRFEGPLPELDPVSLRVIYLNNNSFSGSIPSYFANATFIQIFSVSNNHINGSIPLFFCNLTTLELLDISNNDMYGGLPNCWNSTSALKIINLSDNNFIGKIPNGLVSLTNLRSLHLRNNDFSGDLPLSLKMAKKLVTLDISENKLSDSGPSTQQPISFAYSESLVTSMDLSNNKLSCELPEELTKLHGLHFLNLSGNHLIGKIPESIGDMKQIESLDLSTNNLSGTIPSSISTLNFLGHLNLSHNSLSGKIPSSTQLQSFDPSAYNWNHGLCGSPLQNCANGTHYSQVANEEEGNGDWTEMLWLYIGLAMGFIAGFWMIIDGCALSEAEVNFLKKELRRSIACNNIGYLPMIVPTYCLLNNAT >DRNTG_04751.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1435:4538:1 gene:DRNTG_04751 transcript:DRNTG_04751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDRKIGVALDFSESNKTALAWAINNLLDKGETLIIIHVKHDKLHDANKHNLWGKSISRKS >DRNTG_35336.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2742859:2746245:-1 gene:DRNTG_35336 transcript:DRNTG_35336.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKLFILALLVALLSNTSNAARRLLDTPAAPTTPTTPPTIPNMPTIPTIPTIPTIPTTQIPPLPSLPKPTIPGLVIPTMPAATLPPIPSIPLPTMPGLVIPTMPAATLPPIPSIPLPTMPTIPKIFPPLPSIPNVIPGIPTIPGFKMPPLPFFSPPPATTSP >DRNTG_35336.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2742859:2746245:-1 gene:DRNTG_35336 transcript:DRNTG_35336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKLFILALLVALLSNTSNAARRLLDTPAAPTTPTTPPTIPNMPTIPTIPTIPTIPTTQIPPLPSLPKPTIPGLVIPTMPAATLPPIPSIPLPTMPTIPKSIPPLPSFPNVIPNFPTIPGFKMPPLPFFSPPPATTSP >DRNTG_05827.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18950227:18959156:1 gene:DRNTG_05827 transcript:DRNTG_05827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGWTDQRQRTLINFLVYCPTGISFVKSVDASDILKDATNLCNLFMEIIEWVGPDNVVHLVTDNASNYVAAGRLIHEKYDHIYWSPCAVHCLNLILKDIGKRDHVAELVSRASKVTIFVYNHIYILSWLRKRSGWKEIVRPGVTRFATTFITLKSIYDHKHDLQALVTDKYYTSHKLSKSPVGKTVTSIILDGKFWEECLFMVKIAAPIIRLLRVVDADEKPSLGYVYEGMIRIRKAIMAIFRNKSTMYGPYIKIIDERWDKHLRRNLHAAAYFLNPAFLYDKEAFCETPEVMQGFLDLLEKRSICSDSEKAMREIRFYRDRLGSFSRESALSSANKIQPGCERNWSVFERIHTKKRNRLEHQRLSDLVFVNYNLRLKCRLQYKKEIMIRLIMIALTKRTFG >DRNTG_35088.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1422772:1423068:-1 gene:DRNTG_35088 transcript:DRNTG_35088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNGETTSQRSKDRSRKKSTFISLCVSKIFIQRSQDLLYTGRR >DRNTG_25552.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3069778:3071702:1 gene:DRNTG_25552 transcript:DRNTG_25552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSKIKSGHAGVMDINGRPVLQPGGNRVSVLAKKPVQKLIVNDNINNNNNNNANEVINAKVSPPVSPKPKPQLKRNNSVDVNGLKRFIGGIQVGFQFESCVTEMAPPGSIAAAQREQASLLQVQRKMKIAHYGRVSASKVAPFDSSHGVPQEEKRCSFITVNSDPVYVAYHDEEWGVPVHEDKMLFELLALAGAQVGSDWTTILKKRQSFREAFAGFDAEKVAMFTEKQILAISSDHRIDLAKVRGIIDNAKRILEVRKEFGSLDKYLWGFVNHKPIITNYKSCRKIPAKTSKSEAISKDLLRRGFRFVGPTIVHSFMQVAGLTNDHLVHCPRHHSLTINTP >DRNTG_21523.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1491973:1494523:-1 gene:DRNTG_21523 transcript:DRNTG_21523.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPIIHCSAGNISVLPRTTVVPWKEIQLSRCCLTGKHTRVASLSRRCLLPQSSLQLFRIYGKSYHLKQGSRNHIFAAVGTNVTVEDSNSSAPEEAEEVTETPAVSVGPNDPAQGSAVATSKVRDKRTRPARKSEMPPVKTEELVPGASFVGKVRSIQPFGAFIDFGAFTDGLVHVSRMSEGYVKDVGSIVSVGQEVKVRIVEVNMENGRIALTMRDGSDTNKSQQRKEASTSGSDDKPKPNKRNASRTDQRRADIQKTSKFVKGQVLDGTVKNQTRSGAFISLPESEEGFLPISEESEGFDSILGNTSLQVGQEVSVRVLRISRGKVTLTMKKEQDVELLNEQLNLGVEDVVATNPFQVAFRKNKEIAAFLDEREKTQKASEISKQIGGSIDEVKGKA >DRNTG_00862.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21971304:21972352:-1 gene:DRNTG_00862 transcript:DRNTG_00862.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSIMKSTSPDGPGATTRKGAFHVDRQNSEVSYYADDEDGSHKKYTRRGPFRHKLLRMLLPFWSNALPTLPVTAPTRKDSSTKADGVEGRPRHQKSSRMNPRKALLIMAIVACMTTLGILYFRLAQRDP >DRNTG_00862.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21971304:21971877:-1 gene:DRNTG_00862 transcript:DRNTG_00862.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPFWSNALPTLPVTAPTRKDSSTKADGVEGRPRHQKSSRMNPRKALLIMAIVACMTTLGILYFRLAQRDP >DRNTG_13152.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3570814:3575066:-1 gene:DRNTG_13152 transcript:DRNTG_13152.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAQDPFYIVKEEIQESIDKLQATFHQWGETPSNTGEWVHLSKELVTSCESIEWQVDELDKTVAVAARDPAWYGLDVQELDKRKRWTKTAHTQVDTIRKTLEAGREKSSVIGSSYNGGRRELMRLPNDSASQTGTSHPYHDNEEFITSESDRQMLLIKQQDEELDELSASVQRIGGVGLTIHEELIGQEKILDELSLEMETASNRLDFVQKRVAMVMKKAGAKGQFMMIAFLLVLFIILFVLVFFT >DRNTG_13152.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3570814:3575066:-1 gene:DRNTG_13152 transcript:DRNTG_13152.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAQDPFYIVKEEIQESIDKLQATFHQWGETPSNTGEWVHLSKELVTSCESIEWQVDELDKTVAVAARDPAWYGLDVQELDKRKRWTKTAHTQVDTIRKTLEAGREKSSVIGSSYNGGRRELMRLPNDSASQTGTSHPYHDNEEFITSESDRQMLLIKQQDEELDELSASVQRIGGVGLTIHEELIGQEKILDELSLEMETASNRLDFVQKRVAMVMKKAGAKGQFMMIAFLLVLFIILFVLVFFT >DRNTG_13152.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3570814:3575066:-1 gene:DRNTG_13152 transcript:DRNTG_13152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAQDPFYIVKEEIQESIDKLQATFHQWGETPSNTGEWVHLSKELVTSCESIEWQVDELDKTVAVAARDPAWYGLDVQELDKRKRWTKTAHTQVDTIRKTLEAGREKSSVIGSSYNGGRRELMRLPNDSASQTGTSHPYHDNEEFITSESDRQMLLIKQQDEELDELSASVQRIGGVGLTIHEELIGQEKILDELSLEMETASNRLDFVQKRVAMVMKKAGAKGQFMMIAFLLVLFIILFVLVFFT >DRNTG_22855.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4616163:4623607:1 gene:DRNTG_22855 transcript:DRNTG_22855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFFRASSRSPRSALQSDLAKAIRDSLLALDSKTASKALEEVEKNIATMRQVLSGDGDAEPNPEQVSKLALDICKEDVLSLLVQNLPTLGWKARKDLVHCWCILLGQTDGSTHCCVDYLEKHVELLDFLVICYNNKEIALNCGSMLRECIKYPTLAKYILESASFELFFKYVELPNFDIASDALCTFKELLTRHETAVSQFLSGHYEQFFELYEKLLTSSNYVTRRQSLKFLSEFLLEPPNSQIMKQYIREVRYLKIMMALLEDSSKNIQMSAFHMFKVFVANPNKPPEIIDILSKNHEALLRQLHNLPTSKGGEDEQFDEERDLIINEIMRVSQLLNAVS >DRNTG_20087.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11309943:11316590:-1 gene:DRNTG_20087 transcript:DRNTG_20087.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHVEQKVQTIAWHDMLCPSHNEQCTTQHPVEESVEEYIARIQGQNSKLDNVIKQFEESTSASMSDQLEESVERILAQFDSSYQDQRQELFSVGVAISKVELCRMGTLISVADCKEIADDQRSHRGVRLLLWVGCTGVGIFHTPVHERSGSKVRFPERGQGHVYAPMVLLNRQRMNSYHLFDCSSLFWDDFCFTYHTDFQSFQSFHNISSEAFRIGFKSNFESCCAPVRELQRPADPHGACIWPLA >DRNTG_33478.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17919796:17921890:-1 gene:DRNTG_33478 transcript:DRNTG_33478.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMQQLQPTVTDLTEILKNGEHIGYQDGSFVVDILKRIGFKDHQMMNYSTREEYKEALIKGSANGGVAAIFDEIPYLKLFFSKYCTNFTMVGKTYKTDGFGFVSSFPCSKEQTSCKLCDNVLQISCRFSLWAHLYHGTFPGRYSV >DRNTG_04657.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30544680:30548691:1 gene:DRNTG_04657 transcript:DRNTG_04657.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATCEPNRKSGDICGGSSGQGLSCRDSLVAQKCCNASNKEAGMCIATAEDLNVRFCNDEVAALIVQEIGNNFQGMLHICDSSQQLSGNDASLDDDKMDMSIKIRMLQEMNNSVSLDAKVERSLNKFPTFPCPKELQNCSAPMNCRKGLLSRLLDGPTSSKSENPAYARSLSLPPSSSLVSAMKGGREENGICLEMKLHVKWAPEVYDPPSTTMSHTVKSHQHRPKARRKDQQKHKHKGKSSRNKHTNKTNGGNKASTSYTRPRGDNEELLFDGCYSSEFTLPSQEAKCGSNFLGNSLTKVQLSTAEV >DRNTG_04657.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30544680:30548691:1 gene:DRNTG_04657 transcript:DRNTG_04657.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATCEPNRKSGDICGGSSGQGLSCRDSLVAQKCCNASNKEAGMCIATAEDLNVRFCNDEVAALIVQEIGNNFQGMLHICDSSQQLSGNDASLDDDKMDMSIKIRMLQEMNNSVSLDAKVERSLNKFPTFPCPKELQNCSAPMNCRKGLLSRLLDGPTSSKSENPAYARSLSLPPSSSLVSAMKGGREENGICLEMKLHVKWAPEVYDPPSTTMSHTVKSHQHRPKARRKDQQKHKHKGKSSRNKHTNKTNGGNKASTSYTRPRGDNEELLFDGCYSSEFTLPSQEAKCGSNFLGNSLTKVQLSTAEV >DRNTG_04657.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30544680:30548691:1 gene:DRNTG_04657 transcript:DRNTG_04657.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATCEPNRKSGDICGGSSGQGLSCRDSLVAQKCCNASNKEAGMCIATAEDLNVRFCNDEVAALIVQEIGNNFQGMLHICDSSQQLSGNDASLDDDKMDMSIKIRMLQEMNNSVSLDAKVERSLNKFPTFPCPKELQNCSAPMNCRKGLLSRLLDGPTSSKSENPAYARSLSLPPSSSLVSAMKGGREENGICLEMKLHVKWAPEVYDPPSTTMSHTVKSHQHRPKARRKDQQKHKHKGKSSRNKHTNKTNGGNKASTSYTRPRGDNEELLFDGCYSSEFTLPSQEAKCGSNFLGNSLTKVQLSTAEV >DRNTG_04657.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30544680:30548691:1 gene:DRNTG_04657 transcript:DRNTG_04657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATCEPNRKSGDICGGSSGQGLSCRDSLVAQKCCNASNKEAGMCIATAEDLNVRFCNDEVAALIVQEIGNNFQGMLHICDSSQQLSGNDASLDDDKMDMSIKIRMLQEMNNSVSLDAKVERSLNKFPTFPCPKELQNCSAPMNCRKGLLSRLLDGPTSSKSENPAYARSLSLPPSSSLVSAMKGGREENGICLEMKLHVKWAPEVYDPPSTTMSHTVKSHQHRPKARRKDQQKHKHKGKSSRNKHTNKTNGGNKASTSYTRPRGDNEELLFDGCYSSEFTLPSQEAKCGSNFLGNSLTKVQLSTAEV >DRNTG_04657.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30544680:30548691:1 gene:DRNTG_04657 transcript:DRNTG_04657.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATCEPNRKSGDICGGSSGQGLSCRDSLVAQKCCNASNKEAGMCIATAEDLNVRFCNDEVAALIVQEIGNNFQGMLHICDSSQQLSGNDASLDDDKMDMSIKIRMLQEMNNSVSLDAKVERSLNKFPTFPCPKELQNCSAPMNCRKGLLSRLLDGPTSSKSENPAYARSLSLPPSSSLVSAMKGGREENGICLEMKLHVKWAPEVYDPPSTTMSHTVKSHQHRPKARRKDQQKHKHKGKSSRNKHTNKTNGGNKASTSYTRPRGDNEELLFDGCYSSEFTLPSQEAKCGSNFLGNSLTKVQLSTAEV >DRNTG_32776.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3144681:3151467:1 gene:DRNTG_32776 transcript:DRNTG_32776.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHRALFGRRLVGELSPDTRFGRGEMFNPDPYEGLFDQEVENKEVMMLGSTEEVPSTPGILKKVLQKMKRARRHHQKHSKAVEDGCEPTKLDAPFLEPAKFYKYPEKTHARVEFPHLTQHFFVQSSSETAQWCGLASDLANYHEITQGRGLALWANL >DRNTG_24801.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31913281:31915748:1 gene:DRNTG_24801 transcript:DRNTG_24801.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP16-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G26555) UniProtKB/Swiss-Prot;Acc:Q944B0] MMEGACMVVNRAFLWSSPRETRLIEKLGVKDVWIKGLSYDSMSRVSRRRFISQFVGFGGAFLYVSPVLPAPMLEKESDVIRYRKLSNGVKIQDIVKGEGIEAHEGDLVEVNYVCRRSNGYFVHSTVDQFSGESRPVKLPLDDAEIIQGLKDVLVGMKVGGKRRALIPPEVGYVSENLKPIPDEFGPRRSLLSHAKEPLIFEVQLLKVL >DRNTG_24801.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31913281:31915748:1 gene:DRNTG_24801 transcript:DRNTG_24801.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP16-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G26555) UniProtKB/Swiss-Prot;Acc:Q944B0] MVYICVYFEVEAFRYRKLSNGVKIQDIVKGEGIEAHEGDLVEVNYVCRRSNGYFVHSTVDQFSGESRPVKLPLDDAEIIQGLKDVLVGMKVGGKRRALIPPEVGYVSENLKPIPDEFGPRRSLLSHAKEPLIFEVQLLKVL >DRNTG_24801.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31913281:31915748:1 gene:DRNTG_24801 transcript:DRNTG_24801.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP16-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G26555) UniProtKB/Swiss-Prot;Acc:Q944B0] MLVLFFLPQCWRRNLMLFAFRYRKLSNGVKIQDIVKGEGIEAHEGDLVEVNYVCRRSNGYFVHSTVDQFSGESRPVKLPLDDAEIIQGLKDVLVGMKVGGKRRALIPPEVGYVSENLKPIPDEFGPRRSLLSHAKEPLIFEVQLLKVL >DRNTG_31601.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:637243:641824:-1 gene:DRNTG_31601 transcript:DRNTG_31601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSETGLMASREPFTVAMQKSPVQSPPSMQNMRLVFTADGQAVYKPIGSSSPPYPGSGGGGGNAGDGVPSPAVAPPHGLHVNMGEPVKRKRGRPRKYGPDGTMALALTPASAPPATSAFSLVGAASAAPSDPSKKSRGRPPGSGKKQQMTALGSTGLGFTPHVINVKAGEDVSSKIMSFSQHGPRGICILSANGAISNVTLRQAATSGGTVTYEGRFEILSLSGSYLLSESGGQRSRTGGLSVSLAGPDGRVLGGGVAGLLTAASPVQVVVGSFIVDGRKEPKHRNYSDPASAHGKVTAGGMVAPGSPLSRGTQSESSGGPGSPLNQSTGACNNNNQLGLSAMPWK >DRNTG_04814.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2335385:2338307:-1 gene:DRNTG_04814 transcript:DRNTG_04814.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMDQDKTSSAAAAIPKRRQTLSAAMKRTSEWAYSQDAPNDITVLVGGTAFNLNKFLLVSKSGYMRKLISQANDSDIIKMSEIPGGIEAYEFAAKFCYGVNFEINPENVAMLRCVGEYLEMTEEYTDGNLVRRTEDYFEEVVLVNLSAAVTVLQKSESFLPISEKVKLVSRCISAVAYMACNDIQFSLSTDSHVSLSSSVTQSRAIMDWCGEELTILRIDTFQKLITEMKAMGFKPVALGPILMLYVQKSLRGLDLLGKERKKMEPKQEHEKRVVLETIVSLLPKEKNVLSVSFLSMLLRAAVFLETTVACRLDLEKRMGIQLGQAALDDLLIPSFSFAGDMLFDVDMIQRVVMNYLEHEADEGRIGFDIDDEFASSISGMDQVGRLIESYLAEIASDPNLPISKFISLAELIPEQARFTEDSMYRAIDIYLKVILMICFQYFYDLFGFLLAWCLSCRLIHH >DRNTG_03876.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3806663:3809994:-1 gene:DRNTG_03876 transcript:DRNTG_03876.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLP18.3 [Source:Projected from Arabidopsis thaliana (AT1G54780) UniProtKB/TrEMBL;Acc:A0A178WHT4] MDPILFSPSSSSPLLLPHHSLLSKPPPPPPRIPSLSNPIFASLSKHNHVLSSQKSWISHLHHGLAALALSMAVNFSPFSIVAPASASEFDVLYERPPSDSYLVDDANVLSRVTKSDLKQLLSDLESRKNLHINFITVRKLTSKADAFEYADQVLEKWYPTIEEGNNKGIVVLVTSQKEGAITGGPAFIQAVGETVLDNTVSENLPVLATEEKYNEAVYSTAKRLVAAIDGLPDPGGPKFNENKRESNFKTREETEEKRGQFSLVVGGLLVIAFVVPMAQYYAYVSKK >DRNTG_15877.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:10207794:10211949:1 gene:DRNTG_15877 transcript:DRNTG_15877.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKDGFVSETNATNIFLVKKGQVSTPHADYCLPGITRATVMDLVRKENIVLHERRISLSEFHAADEVWTTGTMGELTPVVMIDGRVIGTGEVGPITKQIQNAYKVLTTETGVPIPKHVEK >DRNTG_15877.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:10207794:10211949:1 gene:DRNTG_15877 transcript:DRNTG_15877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTQPFFYFRMFDSAKALAFNNVPDREEIKGAIFKTLMSNGMFDNAHIRLTLTRGKKVTSGMSPTFNLYGCTLIVLAEWKPPVYDNSSGIKLVTATTRRNSPNCIDSKIHHNNLINNILAKIEGNVANAGDAIMLDKDGFVSETNATNIFLVKKGQVSTPHADYCLPGITRATVMDLVRKENIVLHERRISLSEFHAADEVWTTGTMGELTPVVMIDGRVIGTGEVGPITKQIQNAYKVLTTETGVPIPKHVEK >DRNTG_30096.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31198944:31203302:-1 gene:DRNTG_30096 transcript:DRNTG_30096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMSCSFFSFSTLTIIINFILFISSSHATTNPTISISPGQPLKDGQTLISSDEVFELGFFSPGNSTNRYVGIWYFNFSTDTVLWVANRETPVTDRSGLLSISGDGNLVILAGENNTSTSTRTPLWSSNVSLSSNISTATLLGTGNFILNNSGSTVWQSFDHPTDTYLPGMKVGLNLRTNENQLFTSWKSENDPAPGNFSLGMDPTRSTQIFMWEGTKKRWRSGRWNGQVFIGIQNMVPTYIYGFKLSNFEQEQKMYFYYSQFNSSHRYVLTWEGIEKHLIWKNDTQLWEIFWAQPVTECEHYNRCGKFAQCTDDGIENSLPNCACLKGYEPVNEEEWNGGNWSSGCAKRTLFQCEMKNQTEADGFWKMEGVKLPDLSDWYQNVVSESSCQQACLQNCSCRGYAYVSGINCLIWGTDLLDIHMFSSGGEDFYLRLAASELEDNSSSKKLSTFVVVIIVLAAIFLVGCTFLLWRYRTKIKGFIKLKSDRTSPVDQSRNREAAANFAITTETGHKGNDGKYDELSMMSFDCIAASTSNFSYLNLLGEGGFGPVYKGTLPGGQEIAVKRLSRSSGQGLEEFKNEIILIAKLQHRNLVRLLGCCIEGEEKLLVYEYMPNRSLDAFIFDSAKKVLLDWKKRFEIIEGIARGLLYLHRDSRLRIIHRDLKASNILLDEEMKPKISDFGLARIFGNDDNETNTKRVAGTYGYMSPEYAMNGLFSVKSDVYSFGVLLLEIVSGKRNSKYYNSELSMNLLPYAWKLWNEDNVLEFVEPEIKMSCSRREVFRCVSVGLLCVQDRAHDRPTMSSVVLMLESGTSNHPLPKQPTFAVDTSETDSSSFDLRVNYGSGSSITMLTGR >DRNTG_30096.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31198944:31199896:-1 gene:DRNTG_30096 transcript:DRNTG_30096.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKISDFGLARIFGNDDNETNTKRVAGTYGYMSPEYAMNGLFSVKSDVYSFGVLLLEIVSGKRNSKYYNSELSMNLLPYAWKLWNEDNVLEFVEPEIKMSCSRREVFRCVSVGLLCVQDRAHDRPTMSSVVLMLESGTSNHPLPKQPTFAVDTSETDSSSFDLRVNYGSGSSITMLTGR >DRNTG_15927.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:900394:904205:-1 gene:DRNTG_15927 transcript:DRNTG_15927.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYSELEENDGGDWETSYACPFCEEDFDFLELCCHIVDEHPAEAKNGVLNSIIRTYLQLRRKLHKGSSGYHSMLSLLKEVGEGYLQDHFDGSSCTIAATTTSPDPLLSSFICTLPTADSSRDSQPDSLDEESLTNECSDEKVVERMFSAEPSLPDKDQEERAQRSEFVQGLLLSTIYEL >DRNTG_15927.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:900394:904205:-1 gene:DRNTG_15927 transcript:DRNTG_15927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSWRCCKSVSNCHNPAHQSLHDMYSELEENDGGDWETSYACPFCEEDFDFLELCCHIVDEHPAEAKNGVLNSIIRTYLQLRRKLHKGSSGYHSMLSLLKEVGEGYLQDHFDGSSCTIAATTTSPDPLLSSFICTLPTADSSRDSQPDSLDEESLTNECSDEKVVERMFSAEPSLPDKDQEERAQRSEFVQGLLLSTIYEL >DRNTG_15927.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:900394:904205:-1 gene:DRNTG_15927 transcript:DRNTG_15927.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRTDTQALITPYNSQVSLPHSSPFTSSAFFDMAITHDDLSLRRHKFSNINSKLAHSLVVFSVVCGLFSFILCLTAEAARSEVSWMIINRPSNEKSDMCIYSGSGKTALLCAVVALLLLAVAMFTEHANMLVVVTSTQQPAIVPWTSSQNPRVSHSSKAFTLQACILIITTWICFAIAEVLLLVGIVVESSHLRNWTQPRSSCPAVRPGMFAAAGVFGLVTIFLGVGLYLTALLAQKLDQQDENIDPSEYMPHQGYPHSIPAAPQLPFSAENQLHDKASTSAV >DRNTG_15927.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:900394:904205:-1 gene:DRNTG_15927 transcript:DRNTG_15927.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSWRCCKSVSNCHNPAHQSLHDMYSELEENDGGDWETSYACPFCEEDFDFLELCCHIVDEHPAEAKNGVLNSIIRTYLQLRRKLHKGSSGYHSMLSLLKEVGEGYLQDHFDGSSCTIAATTTSPDPLLSSFICTLPTADSSRDSQPDSLDEESLTNECSDEKVVERMFSAEPSLPDKDQEERAQRSEFVQGLLLSTIYEL >DRNTG_15927.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:901702:904205:-1 gene:DRNTG_15927 transcript:DRNTG_15927.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSWRCCKSVSNCHNPAHQSLHDMYSELEENDGGDWETSYACPFCEEDFDFLELCCHIVDEHPAEAKNGVLNSIIRTYLQLRRKLHKGSSGYHSMLSLLKEVGEGYLQDHFDGSSCTIAATTTSPDPLLSSFICTLPTADSSRDSQPDSLDEESLTNECSDEKVVERMFSAEPSLPDKDQEERAQRSEFVQGLLLSTIYEL >DRNTG_15927.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:900394:904205:-1 gene:DRNTG_15927 transcript:DRNTG_15927.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYSELEENDGGDWETSYACPFCEEDFDFLELCCHIVDEHPAEAKNGVLNSIIRTYLQLRRKLHKGSSGYHSMLSLLKEVGEGYLQDHFDGSSCTIAATTTSPDPLLSSFICTLPTADSSRDSQPDSLDEESLTNECSDEKVVERYTKIFSA >DRNTG_15927.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:901702:904205:-1 gene:DRNTG_15927 transcript:DRNTG_15927.8 gene_biotype:protein_coding transcript_biotype:protein_coding MYSELEENDGGDWETSYACPFCEEDFDFLELCCHIVDEHPAEAKNGVLNSIIRTYLQLRRKLHKGSSGYHSMLSLLKEVGEGYLQDHFDGSSCTIAATTTSPDPLLSSFICTLPTADSSRDSQPDSLDEESLTNECSDEKVVERMFSAEPSLPDKDQEERAQRSEFVQGLLLSTIYEL >DRNTG_15927.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:900394:904205:-1 gene:DRNTG_15927 transcript:DRNTG_15927.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVTLQVSWMIINRPSNEKSDMCIYSGSGKTALLCAVVALLLLAVAMFTEHANMLVVVTSTQQPAIVPWTSSQNPRVSHSSKAFTLQACILIITTWICFAIAEVLLLVGIVVESSHLRNWTQPRSSCPAVRPGMFAAAGVFGLVTIFLGVGLYLTALLAQKLDQQDENIDPSEYMPHQGYPHSIPAAPQLPFSAENQLHDKASTSAV >DRNTG_06445.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29925333:29929553:1 gene:DRNTG_06445 transcript:DRNTG_06445.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNVDGSHSAPLSNGSLHGSNGAEEKLDELRRLLGKSDGDLLKIVGVGAGAWGSVFAALLQDAYGHLREKVQVRIWRRPGRSVDKSTAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIDTPLCPMKVVTNLQEAVWDADIVVNGLPSTETREVFEEISRYWKERITYPIIISLAKGIEAALDPEPRIITPTQMINHATGVPMENILYLGGPNIASEIYNKEYANARICGADKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSPDMGDSIKGKGMIQGVSAVGAFYELLSQSSLSILHPDENKPVAPVELCPILKTLYKILIKRELATGAILQALRDETMNDPRERIEMAHSHAFYRPSLLGKP >DRNTG_06445.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29925333:29929553:1 gene:DRNTG_06445 transcript:DRNTG_06445.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNVDGSHSAPLSNGSLHGSNGAEEKLDELRRLLGKSDGDLLKIVGVGAGAWGSVFAALLQDAYGHLREKVQVRIWRRPGRSVDKSTAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIDTPLCPMKVVTNLQEAVWDADIVVNGLPSTETREVFEEISRYWKERITYPIIISLAKGIEAALDPEPRIITPTQMINHATGVPMENILYLGGPNIASEIYNKEYANARICGADKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSPDMGDSIKGKGMIQGVSAVGAFYELLSQSSLSILHPDENKPVAPVELCPILKTLYKILIKRELATGAILQALRDETMNDPRERIEMAHSHAFYRPSLLGKP >DRNTG_26191.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29080489:29082497:1 gene:DRNTG_26191 transcript:DRNTG_26191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWGPSTSNAGPKSKIDSSELSLDWRGRTGAHRTCRKNMI >DRNTG_14046.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4886492:4894785:1 gene:DRNTG_14046 transcript:DRNTG_14046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTSSRALSSLIISSKLHPIFKPSHFRPFSTTSTPYPLYYELVHHRPVRSPPPPRHHPHPSPSPEPDPDSPDSDAAPPLDRSQRKYYRKRMKRMYGGSDSEEDARRARDEELVELKPEVVDFPRLHAREQELYFYDAFAFPWEKDKHYRMVYQLEKKYFPEHSLDKAFVDPEAEPVRMNAEEKKGKKRSLKKKVGEEESDQRGLVFFDGDGQGEAASAAVSPDVVDKKVESFFKSLSKVPSASDRRRASTVEAEGEPYLVSRKTELPPRWDGPFGTVVLVDKPKGWTSFTVCGKLRRLVKIQKVGHAGTLDPMATGLLIVCVGKATKLVERYQGMVKGYSGIFRLGEATSTWDADSPVIQREPWEHIKDEYIRKTAASFLGEIWQVPPMFSAIKVGGEKMYDKARRGEMVELSPRRISIFDFAIERSLEDRQNLIFRVTCSKGTYIRSLCADLGKALGSCAHLTALRRDSIGEYLADDAWSFQELQEKITKGYL >DRNTG_01346.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:216356:217826:1 gene:DRNTG_01346 transcript:DRNTG_01346.8 gene_biotype:protein_coding transcript_biotype:protein_coding METEISGTYRYMGRSLPDAGGDSSGAFSDCNSDHSGEFSPSAGGGGGAALRLLVPFSAGDYSEDDLRLLIAELESPSATVEAQRGAAMEIRLLAKHSSENRLRIARAGAVRPLVALLSSSDPLLQEHGVTAILNLSLLEENKDIIAAAGAIKPLVRALRSASSAARENAACALLRLSYSDALKTAIARSGAIPPLVSMLESGGARAKKDAATALYALCSVKENKIRAVEAGIVRPLLEMMAETEGGMVDKAGCVLGRVATEEVGRAAAVEEGGIPVLVEVVEIGTQRQKEIAASTLLEICDGSINGAGAGAYRALVVREGAIPPLVALSQSGTTRAKQKAEKLIELLRQPRTAAGRVVDD >DRNTG_01346.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:214971:217730:1 gene:DRNTG_01346 transcript:DRNTG_01346.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEISGTYRYMGRSLPDAGGDSSGAFSDCNSDHSGEFSPSAGGGGGAALRLLVPFSAGDYSEDDLRLLIAELESPSATVEAQRGAAMEIRLLAKHSSENRLRIARAGAVRPLVALLSSSDPLLQEHGVTAILNLSLLEENKDIIAAAGAIKPLVRALRSASSAARENAACALLRLSYSDALKTAIARSGAIPPLVSMLESGGARAKKDAATALYALCSVKENKIRAVEAGIVRPLLEMMAETEGGMVDKAGCVLGRVATEEVGRAAAVEEGGIPVLVEVVEIGTQRQKEIAASTLLEICDGSINGAGAGAYRALVVREGAIPPLVALSQSGTTRAKQKAEKLIELLRQPRTAAGRVVDD >DRNTG_01346.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:214971:217826:1 gene:DRNTG_01346 transcript:DRNTG_01346.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEISGTYRYMGRSLPDAGGDSSGAFSDCNSDHSGEFSPSAGGGGGAALRLLVPFSAGDYSEDDLRLLIAELESPSATVEAQRGAAMEIRLLAKHSSENRLRIARAGAVRPLVALLSSSDPLLQEHGVTAILNLSLLEENKDIIAAAGAIKPLVRALRSASSAARENAACALLRLSYSDALKTAIARSGAIPPLVSMLESGGARAKKDAATALYALCSVKENKIRAVEAGIVRPLLEMMAETEGGMVDKAGCVLGRVATEEVGRAAAVEEGGIPVLVEVVEIGTQRQKEIAASTLLEICDGSINGAGAGAYRALVVREGAIPPLVALSQSGTTRAKQKAEKLIELLRQPRTAAGRVVDD >DRNTG_01346.9 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:216356:217730:1 gene:DRNTG_01346 transcript:DRNTG_01346.9 gene_biotype:protein_coding transcript_biotype:protein_coding METEISGTYRYMGRSLPDAGGDSSGAFSDCNSDHSGEFSPSAGGGGGAALRLLVPFSAGDYSEDDLRLLIAELESPSATVEAQRGAAMEIRLLAKHSSENRLRIARAGAVRPLVALLSSSDPLLQEHGVTAILNLSLLEENKDIIAAAGAIKPLVRALRSASSAARENAACALLRLSYSDALKTAIARSGAIPPLVSMLESGGARAKKDAATALYALCSVKENKIRAVEAGIVRPLLEMMAETEGGMVDKAGCVLGRVATEEVGRAAAVEEGGIPVLVEVVEIGTQRQKEIAASTLLEICDGSINGAGAGAYRALVVREGAIPPLVALSQSGTTRAKQKAEKLIELLRQPRTAAGRVVDD >DRNTG_01346.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:216356:217964:1 gene:DRNTG_01346 transcript:DRNTG_01346.3 gene_biotype:protein_coding transcript_biotype:protein_coding METEISGTYRYMGRSLPDAGGDSSGAFSDCNSDHSGEFSPSAGGGGGAALRLLVPFSAGDYSEDDLRLLIAELESPSATVEAQRGAAMEIRLLAKHSSENRLRIARAGAVRPLVALLSSSDPLLQEHGVTAILNLSLLEENKDIIAAAGAIKPLVRALRSASSAARENAACALLRLSYSDALKTAIARSGAIPPLVSMLESGGARAKKDAATALYALCSVKENKIRAVEAGIVRPLLEMMAETEGGMVDKAGCVLGRVATEEVGRAAAVEEGGIPVLVEVVEIGTQRQKEIAASTLLEICDGSINGAGAGAYRALVVREGAIPPLVALSQSGTTRAKQKAEKLIELLRQPRTAAGRVVDD >DRNTG_01346.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:216235:217730:1 gene:DRNTG_01346 transcript:DRNTG_01346.7 gene_biotype:protein_coding transcript_biotype:protein_coding METEISGTYRYMGRSLPDAGGDSSGAFSDCNSDHSGEFSPSAGGGGGAALRLLVPFSAGDYSEDDLRLLIAELESPSATVEAQRGAAMEIRLLAKHSSENRLRIARAGAVRPLVALLSSSDPLLQEHGVTAILNLSLLEENKDIIAAAGAIKPLVRALRSASSAARENAACALLRLSYSDALKTAIARSGAIPPLVSMLESGGARAKKDAATALYALCSVKENKIRAVEAGIVRPLLEMMAETEGGMVDKAGCVLGRVATEEVGRAAAVEEGGIPVLVEVVEIGTQRQKEIAASTLLEICDGSINGAGAGAYRALVVREGAIPPLVALSQSGTTRAKQKAEKLIELLRQPRTAAGRVVDD >DRNTG_01346.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:216235:217826:1 gene:DRNTG_01346 transcript:DRNTG_01346.4 gene_biotype:protein_coding transcript_biotype:protein_coding METEISGTYRYMGRSLPDAGGDSSGAFSDCNSDHSGEFSPSAGGGGGAALRLLVPFSAGDYSEDDLRLLIAELESPSATVEAQRGAAMEIRLLAKHSSENRLRIARAGAVRPLVALLSSSDPLLQEHGVTAILNLSLLEENKDIIAAAGAIKPLVRALRSASSAARENAACALLRLSYSDALKTAIARSGAIPPLVSMLESGGARAKKDAATALYALCSVKENKIRAVEAGIVRPLLEMMAETEGGMVDKAGCVLGRVATEEVGRAAAVEEGGIPVLVEVVEIGTQRQKEIAASTLLEICDGSINGAGAGAYRALVVREGAIPPLVALSQSGTTRAKQKAEKLIELLRQPRTAAGRVVDD >DRNTG_01346.10.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:216356:217730:1 gene:DRNTG_01346 transcript:DRNTG_01346.10 gene_biotype:protein_coding transcript_biotype:protein_coding METEISGTYRYMGRSLPDAGGDSSGAFSDCNSDHSGEFSPSAGGGGGAALRLLVPFSAGDYSEDDLRLLIAELESPSATVEAQRGAAMEIRLLAKHSSENRLRIARAGAVRPLVALLSSSDPLLQEHGVTAILNLSLLEENKDIIAAAGAIKPLVRALRSASSAARENAACALLRLSYSDALKTAIARSGAIPPLVSMLESGGARAKKDAATALYALCSVKENKIRAVEAGIVRPLLEMMAETEGGMVDKAGCVLGRVATEEVGRAAAVEEGGIPVLVEVVEIGTQRQKEIAASTLLEICDGSINGAGAGAYRALVVREGAIPPLVALSQSGTTRAKQKVGNTLLSFSLSLSLLIY >DRNTG_01346.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:216356:217893:1 gene:DRNTG_01346 transcript:DRNTG_01346.6 gene_biotype:protein_coding transcript_biotype:protein_coding METEISGTYRYMGRSLPDAGGDSSGAFSDCNSDHSGEFSPSAGGGGGAALRLLVPFSAGDYSEDDLRLLIAELESPSATVEAQRGAAMEIRLLAKHSSENRLRIARAGAVRPLVALLSSSDPLLQEHGVTAILNLSLLEENKDIIAAAGAIKPLVRALRSASSAARENAACALLRLSYSDALKTAIARSGAIPPLVSMLESGGARAKKDAATALYALCSVKENKIRAVEAGIVRPLLEMMAETEGGMVDKAGCVLGRVATEEVGRAAAVEEGGIPVLVEVVEIGTQRQKEIAASTLLEICDGSINGAGAGAYRALVVREGAIPPLVALSQSGTTRAKQKVGNTLLSFSLSLSLLIY >DRNTG_01346.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:216356:217893:1 gene:DRNTG_01346 transcript:DRNTG_01346.5 gene_biotype:protein_coding transcript_biotype:protein_coding METEISGTYRYMGRSLPDAGGDSSGAFSDCNSDHSGEFSPSAGGGGGAALRLLVPFSAGDYSEDDLRLLIAELESPSATVEAQRGAAMEIRLLAKHSSENRLRIARAGAVRPLVALLSSSDPLLQEHGVTAILNLSLLEENKDIIAAAGAIKPLVRALRSASSAARENAACALLRLSYSDALKTAIARSGAIPPLVSMLESGGARAKKDAATALYALCSVKENKIRAVEAGIVRPLLEMMAETEGGMVDKAGCVLGRVATEEVGRAAAVEEGGIPVLVEVVEIGTQRQKEIAASTLLEICDGSINGAGAGAYRALVVREGAIPPLVALSQSGTTRAKQKAEKLIELLRQPRTAAGRVVDD >DRNTG_19066.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000978.1:29291:32316:-1 gene:DRNTG_19066 transcript:DRNTG_19066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSLRWYTGSMRTSLSSSLTLFGARKLLKCTPNSIHTGSMSTSLSQYAFNQSTSFVLQ >DRNTG_23891.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2093975:2096694:1 gene:DRNTG_23891 transcript:DRNTG_23891.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELKKQSLRLIYLLISLSILCHSEARRSSRGSGSKKIKALTHLSSYRYSYNYYSPDSLPPYDEVMGPSSSPETNLPYCFNPPISPMPPPSTFATPPPPELIYGAPPGIVPNPPLYPPIPPITVPSPPEYVPNPPVIIPNPPEIIPNPPVIFPNPPGYVPNPPEIIPNPPGYVPIPPVTLPSPPEYIPTPPETVPSPPEYVPTPPETVPSPPEFTPTPPVTEPSPPEYIPPVTVPSPPEYIPTPPITTPSPPAYIPNPPVTVPSPPEYVPGPPFYLPPVVYPPPTVPPPPTRGTGPPLWCVARPTVPDPILLEAMNYACGSGADCDAIQPSGACFEPDTLISHASYAFNSFWQRTKGAGSSCDFGGTAMLITKNPSFDGCHFVLN >DRNTG_06225.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5457674:5469183:1 gene:DRNTG_06225 transcript:DRNTG_06225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTIPMAPTKKNTPGDKQGEGDLQGVQRRRGYFRGID >DRNTG_31807.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:607629:609720:-1 gene:DRNTG_31807 transcript:DRNTG_31807.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGGGPYGSEVTRGQDLSGRDFSGKTLIKQDFKTSILRQANFKGAKLLGASFFDADLTGADLSDADLRGADFSLANVTKANLTNANLEGAQTTGNTSFKGSIITGADFTDVPLRDDQREYLCKLADGVNSTTGNATRDTLFCN >DRNTG_20396.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19330104:19333939:-1 gene:DRNTG_20396 transcript:DRNTG_20396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFRRFFYKRPPDGLLEFMDRVYVFDSCFSTEVLLDGMYPIYLREIAIELHEEHADSSFLAFNFKEGDRRSQFAEVLRDYDVTVIDYPRQYEGCPLIPLALIQHFLRVTESWLSLGNQQNIVLLHCERGGWPLLAFLLASFLIYRKLQNGEQRTLEIVHREAPKGFLQLLSPLNPLPSQLRYLQYVARRNISPEWPPMERSLSLDCLILRAIPAFDSDIGCRPLVRVFGQNLHSMGGLSTEMLFSMSKKRKSLRHYRQEDCDVIKIDIQCVVQGDVVLECLHVDMDPDREVMMFRIMFNTAFIRSNILMLNCEDLDILWGSKDLYPKNFRAEVLFGELESISPPRAPTATLNGEVKGGLPIEAFSRVQELFSGVEWVESRDDAAFWFLKQISSNVLQNKFENLILNDVKELSRLQSKVSAQIPLISPVESDEEKEFSLNSDAVSSLDDEKAQPGDNPHSPDVQTNLQDGVDALSGSTQSTSLGVGTYMVAPPP >DRNTG_04314.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22081600:22082892:-1 gene:DRNTG_04314 transcript:DRNTG_04314.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAHATSSMRPSSLSSTEFGDKALVRIQITLMRRTATCGRSDHTDAENCYIYKDRRTTPDQQVVVDKEKIDLFNAPFFAPSLEEMKHAIETEGSFSIINIESFDVTSDETEDEDNATVISIIFFFLISFR >DRNTG_03248.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000148.1:33376:44923:-1 gene:DRNTG_03248 transcript:DRNTG_03248.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNWNLANGHAVHDIQHPCRADVAVRRELHTPASDRSCIHLEFEISGTGLVYETGDHVGVFSENCIETVEEAERLLGYSSDTYFSIHANEEDGSPRSGGSLAPPFPSPCTLRTALTRYADLLSSPKKAALLALAAHASEPSEAERLRFLASPAGKDEYSQWIVASQRSLLEVMAEFPSAKPPLGVFFAAIAPRLQPRYYSISSSPKMAPSRIHVTCALVYGPTPTGRIHKGVCSTWMKHATPLVEDQDCSWVPVFVRQSNFKLPADTSVPIIRIGPGTGLAPFRGFL >DRNTG_03248.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000148.1:33376:44923:-1 gene:DRNTG_03248 transcript:DRNTG_03248.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMINALRMTSMPLFRKELVWPELDQLLRDEEDVSGASTPYQAAITEYRIVFIDSADASFMEKNWNLANGHAVHDIQHPCRADVAVRRELHTPASDRSCIHLEFEISGTGLVYETGDHVGVFSENCIETVEEAERLLGYSSDTYFSIHANEEDGSPRSGGSLAPPFPSPCTLRTALTRYADLLSSPKKAALLALAAHASEPSEAERLRFLASPAGKDEYSQWIVASQRSLLEVMAEFPSAKPPLGVFFAAIAPRLQPRYYSISSSPKMAPSRIHVTCALVYGPTPTGRIHKGVCSTWMKHATPLVEDQDCSWVPVFVRQSNFKLPADTSVPIIRIGPGTGLAPFRGFL >DRNTG_03248.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000148.1:33376:44923:-1 gene:DRNTG_03248 transcript:DRNTG_03248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNWNLANGHAVHDIQHPCRADVAVRRELHTPASDRSCIHLEFEISGTGLVYETGDHVGVFSENCIETVEEAERLLGYSSDTYFSIHANEEDGSPRSGGSLAPPFPSPCTLRTALTRYADLLSSPKKAALLALAAHASEPSEAERLRFLASPAGKDEYSQWIVASQRSLLEVMAEFPSAKPPLGVFFAAIAPRLQPRYYSISSSPKMAPSRIHVTCALVYGPTPTGRIHKGVCSTWMKHATPLVEDQDCSWVPVFVRQSNFKLPADTSVPIIRIGPGTGLAPFRGFLNDWH >DRNTG_03248.9 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000148.1:36896:37301:-1 gene:DRNTG_03248 transcript:DRNTG_03248.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNWNLANGHAVHDIQHPCRADVAVRRELHTPASDRSCIHLEFEISGTGLVY >DRNTG_03248.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000148.1:33376:44923:-1 gene:DRNTG_03248 transcript:DRNTG_03248.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMINALRMTSMPLFRKELVWPELDQLLRDEEDVSGASTPYQAAITEYRIVFIDSADASFMEKNWNLANGHAVHDIQHPCRADVAVRRELHTPASDRSCIHLEFEISGTGLVYETGDHVGVFSENCIETVEEAERLLGYSSDTYFSIHANEEDGSPRSGGSLAPPFPSPCTLRTALTRYADLLSSPKKAALLALAAHASEPSEAERLRFLASPAGKDEYSQWIVASQRSLLEVMAEFPSAKPPLGVFFAAIAPRLQPRYYSISSSPKMAPSRIHVTCALVYGPTPTGRIHKGVCSTWMKHATPLVEDQDCSWVPVFVRQSNFKLPADTSVPIIRIGPGTGLAPFRGFLNDWH >DRNTG_03248.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000148.1:33376:38291:-1 gene:DRNTG_03248 transcript:DRNTG_03248.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAKVVDEILADQGGKRLVPVGLGDDDQCIEDDFNAWKELVWPELDQLLRDEEDVSGASTPYQAAITEYRIVFIDSADASFMEKNWNLANGHAVHDIQHPCRADVAVRRELHTPASDRSCIHLEFEISGTGLVYETGDHVGVFSENCIETVEEAERLLGYSSDTYFSIHANEEDGSPRSGGSLAPPFPSPCTLRTALTRYADLLSSPKKAALLALAAHASEPSEAERLRFLASPAGKDEYSQWIVASQRSLLEVMAEFPSAKPPLGVFFAAIAPRLQPRYYSISSSPKMAPSRIHVTCALVYGPTPTGRIHKGVCSTWMKHATPLVEDQDCSWVPVFVRQSNFKLPADTSVPIIRIGPGTGLAPFRGFL >DRNTG_03248.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000148.1:33376:44923:-1 gene:DRNTG_03248 transcript:DRNTG_03248.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNWNLANGHAVHDIQHPCRADVAVRRELHTPASDRSCIHLEFEISGTGLVYETGDHVGVFSENCIETVEEAERLLGYSSDTYFSIHANEEDGSPRSGGSLAPPFPSPCTLRTALTRYADLLSSPKKAALLALAAHASEPSEAERLRFLASPAGKDEYSQWIVASQRSLLEVMAEFPSAKPPLGVFFAAIAPRLQPRYYSISSSPKMAPSRIHVTCALVYGPTPTGRIHKGVCSTWMKHATPLVEDQDCSWVPVFVRQSNFKLPADTSVPIIRIGPGTGLAPFRGFLNDWH >DRNTG_03248.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000148.1:33376:44923:-1 gene:DRNTG_03248 transcript:DRNTG_03248.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNWNLANGHAVHDIQHPCRADVAVRRELHTPASDRSCIHLEFEISGTGLVYETGDHVGVFSENCIETVEEAERLLGYSSDTYFSIHANEEDGSPRSGGSLAPPFPSPCTLRTALTRYADLLSSPKKAALLALAAHASEPSEAERLRFLASPAGKDEYSQWIVASQRSLLEVMAEFPSAKPPLGVFFAAIAPRLQPRYYSISSSPKMAPSRIHVTCALVYGPTPTGRIHKGVCSTWMKHATPLVEDQDCSWVPVFVRQSNFKLPADTSVPIIRIGPGTGLAPFRGFL >DRNTG_03248.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000148.1:33376:44923:-1 gene:DRNTG_03248 transcript:DRNTG_03248.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNWNLANGHAVHDIQHPCRADVAVRRELHTPASDRSCIHLEFEISGTGLVYETGDHVGVFSENCIETVEEAERLLGYSSDTYFSIHANEEDGSPRSGGSLAPPFPSPCTLRTALTRYADLLSSPKKAALLALAAHASEPSEAERLRFLASPAGKDEYSQWIVASQRSLLEVMAEFPSAKPPLGVFFAAIAPRLQPRYYSISSSPKMAPSRIHVTCALVYGPTPTGRIHKGVCSTWMKHATPLVEDQDCSWVPVFVRQSNFKLPADTSVPIIRIGPGTGLAPFRGFL >DRNTG_04012.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29217759:29219143:1 gene:DRNTG_04012 transcript:DRNTG_04012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSRGHKKTKLHEKLQLLRSVTNSHALSSSSIIVDASKYIKDLKQKVKILNQEIACIRMVEESSLPVVNVKTLEKGFLINVYSEKSSQGLLVSVLETFEELGLNAIEARVSCTDTFHLEAVGENLVANLDAQVVKQAVLQAIKDCSERSEQD >DRNTG_05792.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1165983:1169496:-1 gene:DRNTG_05792 transcript:DRNTG_05792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLHSIPSLSPSFRGFNLNHPSMPCFSISPNPPRHPCFSCRPATIFPRAASSGSASLVSTSDSLTSSLGKVEVYDLNGNAVPLSDLWRDRKAVVAFARHFGCVLCRKRADLLASEKEAMDAAGVALVLIGPGSVDQARKFVEQTKFKGEVYADPKYSSYNALSFVSGVLSTFTPSAGLKIIQLYMEGYRQDWDLSFEKETRTKGGWLQGGILVAGPGINNISYLHKDKEAGDDPDIKDIMKACCL >DRNTG_21171.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001205.1:17572:19879:-1 gene:DRNTG_21171 transcript:DRNTG_21171.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STAY-GREEN LIKE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G44000) UniProtKB/Swiss-Prot;Acc:Q94AQ9] MEENISNILLLFSFLMTSLSARNAFITSFSMSRVVPSKPFVVCSCDRRDYSLNHGSLVLKAATLLSPPTRFEASKLKVVFMGEEMENQPFCSIPRAYTLTHCDFTANLTLAVSKDLNIYQLREFKTRLERDDVLAEWKKVDGEVSLYVHCYVSGANLLQELATEFRYYIFSKELPLVLKAVVHGDSVLFDEHPELMEAKVWVYFHSKSIKYNRVECWGALKDAMQRTLNSYTSSDIQHAVMERIRNSWNTRTIFHALVSFLL >DRNTG_24746.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2262483:2266648:1 gene:DRNTG_24746 transcript:DRNTG_24746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNPVISSKHKRVKKQDRSQRAGGRGAPPPIWAFPLSSSLESIARPHSSDFVRMVIVFMGGVKGETFCCCLAVHLESSFKRGRERCH >DRNTG_24746.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2262483:2266648:1 gene:DRNTG_24746 transcript:DRNTG_24746.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNPVISSKHKRVKKQDRSQRAGGRGAPPPIWAFPLSSSLESIARPHSSDFVRMVIVFMGGVKGETFCCCLAVHLESSFKRGRERCH >DRNTG_24746.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2262483:2266648:1 gene:DRNTG_24746 transcript:DRNTG_24746.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNPVISSKHKRVKKQDRSQRAGGRGAPPPIWAFPLSSSLESIARPHSSDFVRMVIVFMGGVKGETFCCCLAVHLESSFKRGRERCH >DRNTG_31660.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:933223:937445:1 gene:DRNTG_31660 transcript:DRNTG_31660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT2G04842) UniProtKB/Swiss-Prot;Acc:F4IFC5] MLQRIYGTAWETEEQLKAYIHFKEEAKRRDHRRLGQDLDLFSIQDDAGGGLVFWHPKGAMVRHLIEDSWKKVHLQHGYDLLYTPHVAKADLWKISGHLDFYKENMYDQMDVEDEFYQLRPMNCPYHILVYKRKLHSYRDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLENQIKDEIRGVLDLTEEILLQFGFRKYEVNLSTRPEKSVGSDDIWEKATAALRDALDDKGWDYQIDEGGGAFYGPKIDLKIEDALGRKWQCSTVQANYPKHCIS >DRNTG_31660.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:933223:937365:1 gene:DRNTG_31660 transcript:DRNTG_31660.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT2G04842) UniProtKB/Swiss-Prot;Acc:F4IFC5] MLQRIYGTAWETEEQLKAYIHFKEEAKRRDHRRLGQDLDLFSIQDDAGGGLVFWHPKGAMVRHLIEDSWKKVHLQHGYDLLYTPHVAKADLWKISGHLDFYKENMYDQMDVEDEFYQLRPMNCPYHILVYKRKLHSYRDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLENQIKDEIRGVLDLTEEILLQFGFRKYEVNLSTRPEKSVGSDDIWEKATAALRDALDDKGWDYQIDEGGGAFYGPKIDLKIEDALGRKWQCSTVQVDFNLPQRFDISYVDSNSEKKRPIMIHRAVLGSLERFFGVLIEHYAGDFPLWISPIQARVLPVTDTEVQYCSEVVSRLKSNGIRAELCYGERLPKLIRNAEKQKVPLMAVVGPKEVENKTVTLRSRFGGELGTMARRRVSFGKFNLPSRTELDCRHTVY >DRNTG_31660.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:933223:937365:1 gene:DRNTG_31660 transcript:DRNTG_31660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT2G04842) UniProtKB/Swiss-Prot;Acc:F4IFC5] MAMAVQKLFPDSKVTIGPWIDNGFYYDFDMEPLTDRDLKRIKKEMDRIINRNLPLIREEVARDEAQKRILALNEPYKLEILESIKEEPITIYHIGNEWWDLCAGPHVDSTGHINKKAVELESVAGAYWRGDENRPMLQRIYGTAWETEEQLKAYIHFKEEAKRRDHRRLGQDLDLFSIQDDAGGGLVFWHPKGAMVRHLIEDSWKKVHLQHGYDLLYTPHVAKADLWKISGHLDFYKENMYDQMDVEDEFYQLRPMNCPYHILVYKRKLHSYRDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLENQIKDEIRGVLDLTEEILLQFGFRKYEVNLSTRPEKSVGSDDIWEKATAALRDALDDKGWDYQIDEGGGAFYGPKIDLKIEDALGRKWQCSTVQVDFNLPQRFDISYVDSNSEKKRPIMIHRAVLGSLERFFGVLIEHYAGDFPLWISPIQARVLPVTDTEVQYCSEVVSRLKSNGIRAELCYGERLPKLIRNAEKQKVPLMAVVGPKEVENKTVTLRSRFGGELGTMARRRVSFGKFNLPSRTELDCRHTVY >DRNTG_08967.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000392.1:53089:54832:-1 gene:DRNTG_08967 transcript:DRNTG_08967.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKESVKKRLMSEEGMSYTEFTYQLLQGYDFLYLFKNMDVNVQIGGSDQWGNITAGTELIRKVLQVEGAYGLTFPLLLKSDGTKFGKSEGGAIWLSPAMLSPYKFYQYFFSVPDVDVVRFMKILTFLSMDEIKELEDEMKKPGYVPNLVQRRLAEEVTRFVHGDEGLVEALKATEALRPGAETKLDAATIEGIAEDVPSCSLACNQVLNSTLVDLSVSTGLLSSKSAARRLLKQGGLYLNNQRVDNEDKIIESDDVIDGKLLLLSAGKKNKMVVRIS >DRNTG_11514.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000507.1:139106:139886:-1 gene:DRNTG_11514 transcript:DRNTG_11514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSVNGRGDSTGVINKQELLYLYSMVWNEPVHLGHILAEYLKHQGQYPRLGVIFSGPYITRLILGMGLRDAISGAEKTIIPAPLGLETMRLMGLVRNIPYPGTGGPTMCVFTNSGSTR >DRNTG_07599.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15150514:15151488:-1 gene:DRNTG_07599 transcript:DRNTG_07599.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGYTQCKASDLGSSQKYHQQTRIKQKKNTKIQTNNNT >DRNTG_33139.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19065075:19074081:1 gene:DRNTG_33139 transcript:DRNTG_33139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLPRTFFQTERDVGAVEPPKPLANTSQNLNRSPISRFSTVAYYSTGRNTPKSMLSLRILRGVGMVLKEVLWLRHRISLGRRLGEQYPDTPSLSIALPSPYSYFLSCPQLKKQSDPRRERVPVYVTSMKSWNQEYFMLGVVVGFVDSQPKKQ >DRNTG_18521.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:13542098:13542922:-1 gene:DRNTG_18521 transcript:DRNTG_18521.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPTSTPFVVSESLFFGSEISLLARVGNASMLDIESESSSCDALSSLHHTKYTTNANHSEDELNKSLEVTVNSGGVVFYALFNNMSRDDLLQNEAVVVIKFSTS >DRNTG_27419.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28520060:28522954:1 gene:DRNTG_27419 transcript:DRNTG_27419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLLNKKSRSEGGEGDELGLSRRVWDESKKLWRIVGPAIFSRVVTYSMNVITQAFAGHLGDLELASISIANTVVVGFNFGLMLGMASALETLCGQAFGAKKYHMLGVYMQRSFIVLFLCAVALLPIYFFATPILELTGQPPELAAMAGKVTLWLIPLHFSFAFLFPIQRFLQCQLKNSINAYVSFLALIVHIFVSWLFVSKLKFGLIGTAATLNFSWWVAALCLYAYVTLGGCPLTWKGFSFEAFSGLLEFLRLSAASGVMLCLENWYYRILVLMAGKLKDATIAVDALSVCMGINGWHLMIPLAFFAGSGVRVANELGAGNGKGARFATIVAVMSSAVIGLVFFVVVMILHDKLAYIFTNSSQVIHAVDKLAALLAFSILLNSVQPVLSGVAVGSGWQAIVAYVNIGTYYLIGIPLGIFLGWYFKLGVMGIWSGMISGTAIQTVILTYLTIRCDWDKEALNASTRLEKFGNVK >DRNTG_14031.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27570014:27572337:1 gene:DRNTG_14031 transcript:DRNTG_14031.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 23 [Source:Projected from Arabidopsis thaliana (AT3G05320) UniProtKB/Swiss-Prot;Acc:Q9MA87] MRKDASSMSMDFPNCRQLTHCNMNSVVWKLVLLALILFALKTVILHPFPGFWWIQQKGIHWTAASTTPAFQSSVRKDKFLEVPQIAWGLNNQKIAFARACLTARLLNRTLLMPSLSASLFYKEVELLEAVAFDKIFQFERFNTMCNGFIRLGRYSDLLNQAKPFELQKGSGRKWTKEKDLHQLEQCKEDSVDKFELIQIVGKNPFLWHDHWPVTDYAKIFECMSFVEEIEEEAVKVISKIREVGAKARSESFAHDHTSGSLIQSVPYIAVHMRVEKDWMIHCKKLEQRLNINQICSNKTEITERVAKISVLQKPIVVYLAVADSLLEDNSILSGWHQGLLPFEKKKLGVWDIYKKYPYLVQSAIDYEVCLRADVFIGNSYSTFSSLIVLERTLKLIKIGVARSCGRDARLPSYAYNIVGKDGGPQSWMTDFSASNLQSISYGTNNVSCESKVLQSKWK >DRNTG_14031.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27569847:27572337:1 gene:DRNTG_14031 transcript:DRNTG_14031.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 23 [Source:Projected from Arabidopsis thaliana (AT3G05320) UniProtKB/Swiss-Prot;Acc:Q9MA87] MRKDASSMSMDFPNCRQLTHCNMNSVVWKLVLLALILFALKTVILHPFPGFWWIQQKGIHWTAASTTPAFQSSVRKDKFLEVPQIAWGLNNQKIAFARACLTARLLNRTLLMPSLSASLFYKEVELLEAVAFDKIFQFERFNTMCNGFIRLGRYSDLLNQAKPFELQKGSGRKWTKEKDLHQLEQCKEDSVDKFELIQIVGKNPFLWHDHWPVTDYAKIFECMSFVEEIEEEAVKVISKIREVGAKARSESFAHDHTSGSLIQSVPYIAVHMRVEKDWMIHCKKLEQRLNINQICSNKTEITERVAKISVLQKPIVVYLAVADSLLEDNSILSGWHQGLLPFEKKKLGVWDIYKKYPYLVQSAIDYEVCLRADVFIGNSYSTFSSLIVLERTLKLIKIGVARSCGRDARLPSYAYNIVGKDGGPQSWMTDFSASNLQSISYGTNNVSCESKVLQSKWK >DRNTG_32656.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21970580:21982023:1 gene:DRNTG_32656 transcript:DRNTG_32656.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERSDAADVDKLYEYGERLNEAQDKSQHVSDYEGIISAVNGDSVKAKQLAAQLIPRFFRHFPNLSTKATTALFDLVEEDELAIRIQAIRGLPLLCKDTPEYVSKIVDVLGQLLTSEETVERDAVHKALMTLLRQDAKASLTALFRHIEQGVENIREKVIGFIKDKVIPMKGELLKPQAEMERHVTDLVKKSLQDVTGDEFKLFMDFLNSFSIFRDNAPPERVQELIEIIEGQADLHAQFNVSDIDHINRLISCTFMAIPYFKRGASSSNFLNYFNKQIIPVFDKFPEDRKLDLLKTLAGSSAYASAQDSRQLLPAVVDLLKKYMPRRKTEETNFNYVECLLYAFHHLAYKTPNSTNSLCGYKIVTGQPSDRLGEDFSENYKDFMERLSSIEELVKVAMKKLNQGMAEQDKLMSAAKTEEAKAAVRKDKQKTTTSLRICNNILAMTQPLHSKSPSFIGDDKVNLSGTELPKRVQASAGGKRSATSANVATNTIASKKGRGAGGMQNQLVTRALEGLSRGGRNNGRGRGWGGRGRGRGYR >DRNTG_07791.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1215100:1219113:-1 gene:DRNTG_07791 transcript:DRNTG_07791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVGVEVGFRRLCSTSMGTSCETLLRELQCIWTEIGESDEDQDSMLLEIERECMNVYRRKVDEASNAKAQLHRTVAAKEAELATLMASLGDHTLYSQIEKKGSSLKEQLASVTPILEDMRVKKEERIKEFADIRAQIKKITAEITGCANQNNVSFIHTDDQDLSLRKLNEYQAQLRILQKDKSDRLQKVLEYINEVHSLCSVLGLDFAKTVGEVHPSLHETDSIQSTNISNNTLDGLSLIITKLKTEKKLRVQKLQGTVESLLELWKLMDSTEEERRRFEKVLCILRMKDEDITYSGLLSLDTIKQTEAEVERLTKLKASRMKELVFKRRSELEEICKRSHIEPDTSTAPEKTNAMIDSGLVDSSELLANIEAQIEKAKEECISRKEIMDRINKWLMACEEESWLEDYNQDQNRYSGGRGVHLNLKRAEKARVMIMKIPAMVDNLIGKTFAWEDERNMPFLYDGVRLVSILEEYKLTRQQKEEEKKRYRDQKKLQNLLLAEKEAMYGSKASPRRSNSFNRKPSYNGNGNGFMTPAPRRLSAGGATPELLTPRSYSGRQNAFSKEIRRFSTAPLNFVALPKEDNMSSFASVSGSEPESPFS >DRNTG_07791.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1215100:1219113:-1 gene:DRNTG_07791 transcript:DRNTG_07791.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVGVEVGFRRLCSTSMGTSCETLLRELQCIWTEIGESDEDQDSMLLEIERECMNVYRRKVDEASNAKAQLHRTVAAKEAELATLMASLGDHTLYSQIEKKGSSLKEQLASVTPILEDMRVKKEERIKEFADIRAQIKKITAEITGCANQNNVSFIHTDDQDLSLRKLNEYQAQLRILQKDKSDRLQKVLEYINEVHSLCSVLGLDFAKTVGEVHPSLHETDSIQSTNISNNTLDGLSLIITKLKTEKKLRVQKLQGTVESLLELWKLMDSTEEERRRFEKVLCILRMKDEDITYSGLLSLDTIKQTEAEVERLTKLKASRMKELVFKRRSELEEICKRSHIEPDTSTAPEKTNAMIDSGLVDSSELLANIEAQIEKAKEECISRKEIMDRINKWLMACEEESWLEDYNQDQNRYSGGRGVHLNLKRAEKARVMIMKIPAMVDNLIGKTFAWEDERNMPFLYDGVRLVSILEEYKLTRQQKEEEKKRYRDQKKLQNLLLAEKEAMYGSKASPRRSNSFNRKPSYNGNGNGFMTPAPRRLSAGGATPELLTPRSYSGRQNAFSKEIRRFSTAPLNFVALPKEDNMSSFASVSGSEPESPFS >DRNTG_31852.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001755.1:10153:11721:1 gene:DRNTG_31852 transcript:DRNTG_31852.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDKLHLLFFPLMSPGHFIPMVDMARLFSSFPNVHCSFITTPANPITISAVNVITIPFPDPSITGLAVGQENLSTVPTSGFTTFTTALFHFRDPITTLLHDLRPDALISDSLFPWTAAVARDLHIPRIIFHGAGAFPLYVSSKVLSQFPIQTPSFSIAGQPHEIHLHKDGLPELFSNFDMLRQLGEAEFTSYGVVINTFYEMEPSYVDYYKINTKAWCVGPLSEFGREGRVEEDHEVLSWLDNQPEGSVIYVCFGSLCHFTAAELREIAVGLEKSGERFVWVVRKEFEEDEVKEEWMVAGG >DRNTG_31852.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001755.1:10153:11721:1 gene:DRNTG_31852 transcript:DRNTG_31852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDKLHLLFFPLMSPGHFIPMVDMARLFSSFPNVHCSFITTPANPITISAVNVITIPFPDPSITGLAVGQENLSTVPTSGFTTFTTALFHFRDPITTLLHDLRPDALISDSLFPWTAAVARDLHIPRIIFHGAGAFPLYVSSKVLSQFPIQTPSFSIAGQPHEIHLHKDGLPELFSNFDMLRQLGEAEFTSYGVVINTFYEMEPSYVDYYKINTKAWCVGPLSEFGREGRVEEDHEVLSWLDNQPEGSVIYVCFGSLCHFTAAELREIAVGLEKSGERFVWVVRKEFEEDEVKEEWLPEGFEKRVEGRGMMIRGWVPQVKVLRRAAVGWFVTHCGWNSLQEGVVAGVGLVTWPLFHEQFVNQELAVEVMGVGVRMWDGFRRRRGEEVVVTAEEIAGVVKKVMGGGEEVEKVKRKAKEYGEKGRKAVEESGSTFEDVRRLVEDLEARRRERMVAGG >DRNTG_23947.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:16566319:16566787:-1 gene:DRNTG_23947 transcript:DRNTG_23947.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVRRTSVFDPFSLDLWDPFGSSFSSFFTNALPVPHSNFIRGGESGSGESTVLQISSEWSEQVEKHDKWHRVEKSSGKLLTRFMLPENAKVYQVNVAMENGVLTVTMPKEEVKKPENKSIDISS >DRNTG_30816.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19757917:19758995:-1 gene:DRNTG_30816 transcript:DRNTG_30816.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKRNRAKMGRATSMGEISPAVVAEQVLRDPQPPQPRNEDGGQLIQGAQRRTIAELPAEPISSFLKACQLCRRRLVAGRDIFMYRGDMAFCSTECRQLQMDMDEKLENQPST >DRNTG_30816.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19757917:19759037:-1 gene:DRNTG_30816 transcript:DRNTG_30816.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKRNRAKMGRATSMGEISPAVVAEQVLRDPQPPQPRNEDGGQLIQGAQRRTIAELPAEPISSFLKACQLCRRRLVAGRDIFMYRGDMAFCSTECRQLQMDMDEKLENQPST >DRNTG_30816.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19757816:19758995:-1 gene:DRNTG_30816 transcript:DRNTG_30816.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKRNRAKMGRATSMGEISPAVVAEQVLRDPQPPQPRNEDGGQLIQGAQRRTIAELPAEPISSFLKACQLCRRRLVAGRDIFMYRGDMAFCSTECRQLQMDMDEKLENQPST >DRNTG_30816.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19758679:19759037:-1 gene:DRNTG_30816 transcript:DRNTG_30816.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKRNRAKMGRATSMGEISPAVVAEQVLRDPQPPQPRNEDGGQLIQGAQRRTIAELPAEPISSFLKACQLCRRRLVAGRDIFMYR >DRNTG_30816.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19758679:19758995:-1 gene:DRNTG_30816 transcript:DRNTG_30816.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKRNRAKMGRATSMGEISPAVVAEQVLRDPQPPQPRNEDGGQLIQGAQRRTIAELPAEPISSFLKACQLCRRRLVAGRDIFMYR >DRNTG_30816.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19757713:19759037:-1 gene:DRNTG_30816 transcript:DRNTG_30816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKRNRAKMGRATSMGEISPAVVAEQVLRDPQPPQPRNEDGGQLIQGAQRRTIAELPAEPISSFLKACQLCRRRLVAGRDIFMYRGDMAFCSTECRQLQMDMDEKLENQPST >DRNTG_30816.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19757816:19759037:-1 gene:DRNTG_30816 transcript:DRNTG_30816.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKRNRAKMGRATSMGEISPAVVAEQVLRDPQPPQPRNEDGGQLIQGAQRRTIAELPAEPISSFLKACQLCRRRLVAGRDIFMYRGDMAFCSTECRQLQMDMDEKLENQPST >DRNTG_30816.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19757713:19758995:-1 gene:DRNTG_30816 transcript:DRNTG_30816.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKRNRAKMGRATSMGEISPAVVAEQVLRDPQPPQPRNEDGGQLIQGAQRRTIAELPAEPISSFLKACQLCRRRLVAGRDIFMYRGDMAFCSTECRQLQMDMDEKLENQPST >DRNTG_15304.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4709252:4710805:-1 gene:DRNTG_15304 transcript:DRNTG_15304.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDTKSFRITPISFLARTTIAIVLLSVGYTLGLFHTSSSKPPPSSSSSSSSSSSPPLSISHVPLQLQTSTNFNCTTLKPNKESLAAYKDLLQFKKQCTKPVPQRQIYETILNRIWDGVSPYTGFPSPATAEHLLPLSSKPRGWGSTTPVFQDLISTVRPKTIIELGTFLGASALHMAQITRNLSLPSTLILCIDDFRTWPGARRLLRSDLPVPYHGDALLLHQFMLGVKNANESHRVLPFPFSTSSALVAFCEWGIYGDLIEVDAGHDFHSAWSDINLAWAVLRPGGVLFGHDYFTKADNMGVRRAVTLFAKVNGLAVRPHGEHWVLSPKPQPSTL >DRNTG_15304.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4708857:4710632:-1 gene:DRNTG_15304 transcript:DRNTG_15304.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDTKSFRITPISFLARTTIAIVLLSVGYTLGLFHTSSSKPPPSSSSSSSSSSSPPLSISHVPLQLQTSTNFNCTTLKPNKESLAAYKDLLQFKKQCTKPVPQRQIYETILNRIWDGVSPYTGFPSPATAEHLLPLSSKPRGWGSTTPVFQDLISTVRPKTIIELGTFLGASALHMAQITRNLSLPSTLILCIDDFRTWPGARRLLRSDLPVPYHGDALLLHQFMLGVKNANESHRVLPFPFSTSSALVAFCEWGIYGDLIEVDAGHDFHSAWSDINLAWAVLRPGGVLFGHDYFTKADNMGVRRAVTLFAKVNGLAVRPHGEHWVLSPKPQPSTL >DRNTG_15304.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4709347:4710632:-1 gene:DRNTG_15304 transcript:DRNTG_15304.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDTKSFRITPISFLARTTIAIVLLSVGYTLGLFHTSSSKPPPSSSSSSSSSSSPPLSISHVPLQLQTSTNFNCTTLKPNKESLAAYKDLLQFKKQCTKPVPQRQIYETILNRIWDGVSPYTGFPSPATAEHLLPLSSKPRGWGSTTPVFQDLISTVRPKTIIELGTFLGASALHMAQITRNLSLPSTLILCIDDFRTWPGARRLLRSDLPVPYHGDALLLHQFMLGVKNANESHRVLPFPFSTSSALVAFCEWGIYGDLIEVDAGHDFHSAWSDINLAWAVLRPGGVLFGHDYFTKADNMGVRRAVTLFAKVNGLAVRPHGEHWVLSPKPQPSTL >DRNTG_15304.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4709252:4710632:-1 gene:DRNTG_15304 transcript:DRNTG_15304.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDTKSFRITPISFLARTTIAIVLLSVGYTLGLFHTSSSKPPPSSSSSSSSSSSPPLSISHVPLQLQTSTNFNCTTLKPNKESLAAYKDLLQFKKQCTKPVPQRQIYETILNRIWDGVSPYTGFPSPATAEHLLPLSSKPRGWGSTTPVFQDLISTVRPKTIIELGTFLGASALHMAQITRNLSLPSTLILCIDDFRTWPGARRLLRSDLPVPYHGDALLLHQFMLGVKNANESHRVLPFPFSTSSALVAFCEWGIYGDLIEVDAGHDFHSAWSDINLAWAVLRPGGVLFGHDYFTKADNMGVRRAVTLFAKVNGLAVRPHGEHWVLSPKPQPSTL >DRNTG_19168.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6129301:6133945:-1 gene:DRNTG_19168 transcript:DRNTG_19168.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ANTAGONIST OF LIKE HETEROCHROMATIN PROTEIN 1 [Source:Projected from Arabidopsis thaliana (AT3G63270) UniProtKB/Swiss-Prot;Acc:Q94K49] MAPPKKSKKTKRKLKDGSKSNPLSEPKPAESKASEVDWWYAFWRKNTDSGAAIPTDEDEAFKYFFRVSKKTFDYICSLVREDLVSRPPSGLINIEGRLLSVEKQVAIAMRRLASGESQVSVGAAFGVGQSTVSQVTWRFIESMEERARHHLNWPDSDRMEQIKTNLETTFRLPNCCGAIDATHIIMTLPAVESSDDWCDQESNYSMFLQVIVDHELRFLDIVTGWPGSMTISRLLKCSGFYKLCESGGRLNGSVKLSSEGKEIREFIVGDTAYPLFSWLMTPYEGKLLSPTMTSFNEKHKAVRLLAGRALAQLKGSWRILHKVMWRPDKHKLPSIILVCCLLHNIIIDNCDELRADVVLSDHHDAGYKEQSCQQMDSVGQTMRENLAVHLQSLEVHQ >DRNTG_10282.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20232089:20232958:-1 gene:DRNTG_10282 transcript:DRNTG_10282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCYGITTASEPKQRRPEENKQMSKPARKDQANVKRSNKRRNIDVIDGEIGPDDDDRKKTSKCRNWQERVK >DRNTG_03217.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:24707690:24710946:-1 gene:DRNTG_03217 transcript:DRNTG_03217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNWSTNTSQAEFTHNHATNRSTGSSPFQVVYWALPRGPLDLLPFPAKAKVHGKAAVSSRWVTFVVMVI >DRNTG_31825.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001751.1:20734:21894:-1 gene:DRNTG_31825 transcript:DRNTG_31825.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGARLRANPEPTKGVGRLRQQDGGKGSRQNGSVTSGKGLALRAG >DRNTG_31825.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001751.1:21125:21894:-1 gene:DRNTG_31825 transcript:DRNTG_31825.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGARLRANPEPTKGVGRLRQQDGGHGSRNPLRSV >DRNTG_13696.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5311755:5312457:1 gene:DRNTG_13696 transcript:DRNTG_13696.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGCQWRKYGQKTAKGNPCPRAYYRCSVAQNCPVKKQVQRCMEDMSILITTYEGNHNHPLPFSAATMASTTSAAASMLMSGSSDSQPQTSTTVSAGLHTTTSNTTLLSKENLITDSIAHAITTDPCFRSALATAIASYIGVHGAQSRWESSSAGHGRDQERHGAGSVISSGNNLENLTFSQSLMGFSGAKSASSSPVEDRGQYINGMK >DRNTG_07170.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31720536:31725121:-1 gene:DRNTG_07170 transcript:DRNTG_07170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDRPGAVYILSSDACGNRNKILSGSEFGTDTTLRLNSPGTATLFDSTLNGTKRKRDAINKVEGSAHHSLLFLGLGPSPSSSDNSKGSSLTVSSMSSAKEIDEGSSIDLDLNFDLHLDNENMAGITDFAAAPNGTGLQFDLQLSLLTGTTNSGMTSLSPISKQCQNILEASAEISPLPTAEEGSVSSAQLKFGNCLLQSSGTTWRTSMFAPDLNAPATVETVPAIPEENPVACASEIALRQQRNTNTKNCQFLGCGKGARGASGLCIAHGGGRRCQKIGCHKGAEGKTIYCKAHGGGRRCQFLGCTKSAEGRTDYCISHGGGRRCSHESCTKAARGKSGLCIRHGGGKRCQKENCTKSAEGYSGLCISHGGGRRCQFPECTKGAQGSTKFCKAHGGGKRCTFPGCDKGAEGSTQFCKGHGGGRRCSFEGGGVCPKSVHGGTQFCVAHGGGKRCTAPECTKSARGRTEFCVRHGGGKRCKSDGCGKSAQGSTDFCKAHGGGRRCSWGQAGSIFEIGGAPCERFARGKTGLCAAHSALVQDQCVHGGGSMEMAPPCAELLTTVKPEKPKDALLMNHADGFSGLNNSEEKMLVMPSPITLQTTMASLPEGRVHGGSMMAKLFGCATVQALWM >DRNTG_07170.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31720536:31725121:-1 gene:DRNTG_07170 transcript:DRNTG_07170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDRPGAVYILSSDACGNRNKILSGSEFGTDTTLRLNSPGTATLFDSTLNGTKRKRDAINKVEGSAHHSLLFLGLGPSPSSSDNSKGSSLTVSSMSSAKEIDEGSSIDLDLNFDLHLDNENMAGITDFAAAPNGTGLQFDLQLSLLTGTTNSGMTSLSPISKQCQNILEASAEISPLPTAEEGSVSSAQLKFGNCLLQSSGTTWRTSMFAPDLNAPATVETVPAIPEENPVACASEIALRQQRNTNTKNCQFLGCGKGARGASGLCIAHGGGRRCQKIGCHKGAEGKTIYCKAHGGGRRCQFLGCTKSAEGRTDYCISHGGGRRCSHESCTKAARGKSGLCIRHGGGKRCQKENCTKSAEGYSGLCISHGGGRRCQFPECTKGAQGSTKFCKAHGGGKRCTFPGCDKGAEGSTQFCKGHGGGRRCSFEGGGVCPKSVHGGTQFCVAHGGGKRCTAPECTKSARGRTEFCVRHGGGKRCKSDGCGKSAQGSTDFCKAHGGGRRCSWGQAGSIFEIGGAPCERFARGKTGLCAAHSALVQDQCVHGGGSMEMAPPCAELLTTVKPEKPKDALLMNHADGFSGLNNSEEKMLVMPSPITLQTTMASLPEGRVHGGSMMAKLFGCATVQALWM >DRNTG_07170.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31720536:31725121:-1 gene:DRNTG_07170 transcript:DRNTG_07170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDRPGAVYILSSDACGNRNKILSGSEFGTDTTLRLNSPGTATLFDSTLNGTKRKRDAINKVEGSAHHSLLFLGLGPSPSSSDNSKGSSLTVSSMSSAKEIDEGSSIDLDLNFDLHLDNENMAGITDFAAAPNGTGLQFDLQLSLLTGTTNSGMTSLSPISKQCQNILEASAEISPLPTAEEGSVSSAQLKFGNCLLQSSGTTWRTSMFAPDLNAPATVETVPAIPEENPVACASEIALRQQRNTNTKNCQFLGCGKGARGASGLCIAHGGGRRCQKIGCHKGAEGKTIYCKAHGGGRRCQFLGCTKSAEGRTDYCISHGGGRRCSHESCTKAARGKSGLCIRHGGGKRCQKENCTKSAEGYSGLCISHGGGRRCQFPECTKGAQGSTKFCKAHGGGKRCTFPGCDKGAEGSTQFCKGHGGGRRCSFEGGGVCPKSVHGGTQFCVAHGGGKRCTAPECTKSARGRTEFCVRHGGGKRCKSDGCGKSAQGSTDFCKAHGGGRRCSWGQAGSIFEIGGAPCERFARGKTGLCAAHSALVQDQCVHGGGSMEMAPPCAELLTTVKPEKPKDALLMNHADGFSGLNNSEEKMLVMPSPITLQTTMASLPEGRVHGGSMMAKLFGCATVQALWM >DRNTG_07170.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31720536:31725121:-1 gene:DRNTG_07170 transcript:DRNTG_07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDRPGAVYILSSDACGNRNKILSGSEFGTDTTLRLNSPGTATLFDSTLNGTKRKRDAINKVEGSAHHSLLFLGLGPSPSSSDNSKGSSLTVSSMSSAKEIDEGSSIDLDLNFDLHLDNENMAGITDFAAAPNGTGLQFDLQLSLLTGTTNSGMTSLSPISKQCQNILEASAEISPLPTAEEGSVSSAQLKFGNCLLQSSGTTWRTSMFAPDLNAPATVETVPAIPEENPVACASEIALRQQRNTNTKNCQFLGCGKGARGASGLCIAHGGGRRCQKIGCHKGAEGKTIYCKAHGGGRRCQFLGCTKSAEGRTDYCISHGGGRRCSHESCTKAARGKSGLCIRHGGGKRCQKENCTKSAEGYSGLCISHGGGRRCQFPECTKGAQGSTKFCKAHGGGKRCTFPGCDKGAEGSTQFCKGHGGGRRCSFEGGGVCPKSVHGGTQFCVAHGGGKRCTAPECTKSARGRTEFCVRHGGGKRCKSDGCGKSAQGSTDFCKAHGGGRRCSWGQAGSIFEIGGAPCERFARGKTGLCAAHSALVQDQCVHGGGSMEMAPPCAELLTTVKPEKPKDALLMNHADGFSGLNNSEEKMLVMPSPITLQTTMASLPEGRVHGGSMMAKLFGCATVQALWM >DRNTG_00630.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22567973:22568760:1 gene:DRNTG_00630 transcript:DRNTG_00630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRISSLPSNIIGIILMDLPLKDAVRSSILSSKWRYEWSTIPQLVFDRHSFPLPSRHALGEIVDQVLFLHSGPIEKFAFDGYTYDDSFTRVDRWLVYLSRNGLKELILNFCPTRLYQLPTTLFNCQALTFLELQDCEFILPCVSKGFINLATLVLKDITISDEYFESLISNCPLLRKLVFSDFYGCSHLKVNAPNLKELFVN >DRNTG_00630.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22565519:22568760:1 gene:DRNTG_00630 transcript:DRNTG_00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRISSLPSNIIGIILMDLPLKDAVRSSILSSKWRYEWSTIPQLVFDRHSFPLPSRHALGEIVDQVLFLHSGPIEKFAFDGYTYDDSFTRVDRWLVYLSRNGLKELILNFCPTRLYQLPTTLFNCQALTFLELQDCEFILPCVSKGFINLATLVLKDITISDEYFESLISNCPLLRKLVFSDFYGCSHLKVNAPNLKELFVN >DRNTG_22458.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7343407:7344904:1 gene:DRNTG_22458 transcript:DRNTG_22458.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSGRRRTTERRAGSHTGAWIERRDCGSGGESGQEDGFLKRSPPSGVLEGHISANSGERGDGCGKIETNGEMERSIMVVKGSKNEVVEARSKIGVTGSRAWVGAGRNF >DRNTG_22458.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7344482:7344904:1 gene:DRNTG_22458 transcript:DRNTG_22458.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNHYLVLGPSLGFLNTEKGVLKIEVWETADNGEESGEPHRSLDREERLW >DRNTG_28449.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3391925:3393088:1 gene:DRNTG_28449 transcript:DRNTG_28449.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDSLIDNGNNNHLPSIAKANYFPYGIDYGMPTGRFSNGLTVMDYAAKWLGFPFPPPYLSLQSKTMKILKGVNYASAAAGILDETGRHYGGRVAFNGQIKLFEKTVKLELPMLISDNEVLSKYLANSIFLINIGSNDYINNYLLPNMYTSSKIYSPKVFARLLINTF >DRNTG_04004.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25844233:25845492:1 gene:DRNTG_04004 transcript:DRNTG_04004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMANEQIGSPSLSIEDACGSGGSPSSGGSTMKKGPWTSAEDAILVDYVRRNGEGNWNSVQKHSGLARCGKSCRLRWANHLRPNLKKGPIS >DRNTG_07790.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1214246:1215409:1 gene:DRNTG_07790 transcript:DRNTG_07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKESLVRERDEAEDTEFAYEDVEEDNSAVVVEFNGEVKPIEHPLQPVDDDLPMTFPMTGCSPFYNGVSMGKKLELLMPNEENMVTKIPNVRASNRGRPTKIRYPSAPPSSRNAPVQLFSAISMF >DRNTG_28576.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22987199:22998934:-1 gene:DRNTG_28576 transcript:DRNTG_28576.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRNLNRAMSFGISDVALECVMSYIDDPKDRDALSLVCRRWYHIDAITRKHITIALCYSTTPDRLRRRFPHLESLKLKGKPRASMFDNLIPEDWGGHVGPWINEIADAFVCLKALHLRRMIVTDADIKVLVGARGRIMESLKLDKCSGFSTNGLLLVARSCKCLKTLFLEESLIVENDAKWLHELASNSTVLESLNFYLTELKIVPYQALELLAKNCQSLVSLKISDCDMSELIGFFQAARNLEDFAGGSFDEQPGEVNNRYTNVRFPPRLCRIGLTFMGTNEMPIIFPLAAALKKLDLQLTFLSTEDHCQLIQQCPNLEILEVRNVIGDRGLEVVAQTCKKLRRLRIERGEDEQGLEDEQGMVSQRGLSAVAEGCLELEYLAVYVFDISNAALECIGTFSKNLCDFRLVLLEREERITELPLDNGVRALLRGCTKLRRFALYLRPGGLSDVGLAYIGENSKNIRYMLLGFVGDSDAGLLRFSEGCPDLQKLELRGCCFSERALARAATQLVSLRYIWVQGYNASPNGSGLMVMARPHWNIEFIPPRQVNERPGELPPIDHPAQILAYYSLAGRRTDCPHTVIPLYPHA >DRNTG_28576.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22987199:22998934:-1 gene:DRNTG_28576 transcript:DRNTG_28576.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRNLNRAMSFGISDVALECVMSYIDDPKDRDALSLVCRRWYHIDAITRKHITIALCYSTTPDRLRRRFPHLESLKLKGKPRASMFDNLIPEDWGGHVGPWINEIADAFVCLKALHLRRMIVTDADIKVLVGARGRIMESLKLDKCSGFSTNGLLLVARSCKCLKTLFLEESLIVENDAKWLHELASNSTVLESLNFYLTELKIVPYQALELLAKNCQSLVSLKISDCDMSELIGFFQAARNLEDFAGGSFDEQPGEVNNRYTNVRFPPRLCRIGLTFMGTNEMPIIFPLAAALKKLDLQLTFLSTEDHCQLIQQCPNLEILEVRNVIGDRGLEVVAQTCKKLRRLRIERGEDEQGLEDEQGMVSQRGLSAVAEGCLELEYLAVYVFDISNAALECIGTFSKNLCDFRLVLLEREERITELPLDNGVRALLRGCTKLRRFALYLRPGGLSDVGLAYIGENSKNIRYMLLGFVGDSDAGLLRFSEGCPDLQKLELRGCCFSERALAQAATQLVSLRYIWVQGYNASPNGSGLMVMARPHWNIEFIPPRQVNERPGELPPIDHPAQILAYYSLAGRRTDCPHTVIPLYPHA >DRNTG_28576.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22994272:22998934:-1 gene:DRNTG_28576 transcript:DRNTG_28576.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRNLNRAMSFGISDVALECVMSYIDDPKDRDALSLVCRRWYHIDAITRKHITIALCYSTTPDRLRRRFPHLESLKLKGKPRASMFDNLIPEDWGGHVGPWINEIADAFVCLKALHLRRMIVTDADIKVLVGARGRIMESLKLDKCSGFSTNGLLLVARSCKCLKTLFLEESLIVENDAKWLHELASNSTVLESLNFYLTELKIVPYQALELLAKNCQSLVSLKISDCDMSELIGFFQAARNLEDFAGGSFDEQPGEVNNRYTNVRFPPRLCRIGLTFMGTNEMPIIFPLAAALKKLDLQLTFLSTEDHCQLIQQCPNLEILEVRNVIGDRGLEVVAQTCKKLRRLRIERGEDEQGLEDEQGMVSQRGLSAVAEGCLELEYLAVYVFDISNAALECIGTFSKNLCDFRLVLLEREERITELPLDNGVRALLRGCTKLRRFALYLRPGGLSDVGLAYIGENSKNIRYMLLGFVGDSDAGLLRFSEGCPDLQKLELRGCCFSERALAQAATQLVSLRYIWVQGYNASPNGSGLMVMARPHWNIEFIPPRQVNERPGELPPIDHPAQILAYYSLAGRRTDCPHTVIPLYPHA >DRNTG_28576.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22987199:22998934:-1 gene:DRNTG_28576 transcript:DRNTG_28576.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRNLNRAMSFGISDVALECVMSYIDDPKDRDALSLVCRRWYHIDAITRKHITIALCYSTTPDRLRRRFPHLESLKLKGKPRASMFDNLIPEDWGGHVGPWINEIADAFVCLKALHLRRMIVTDADIKVLVGARGRIMESLKLDKCSGFSTNGLLLVARSCKCLKTLFLEESLIVENDAKWLHELASNSTVLESLNFYLTELKIVPYQALELLAKNCQSLVSLKISDCDMSELIGFFQAARNLEDFAGGSFDEQPGEVNNRYTNVRFPPRLCRIGLTFMGTNEMPIIFPLAAALKKLDLQLTFLSTEDHCQLIQQCPNLEILEVRNVIGDRGLEVVAQTCKKLRRLRIERGEDEQGLEDEQGMVSQRGLSAVAEGCLELEYLAVYVFDISNAALECIGTFSKNLCDFRLVLLEREERITELPLDNGVRALLRGCTKLRRFALYLRPGGLSDVGLAYIGENSKNIRYMLLGFVGDSDAGLLRFSEGCPDLQKLELRGCCFSERALAQAATQLVSLRYIWVQGYNASPNGSGLMVMARPHWNIEFIPPRQVNERPGELPPIDHPAQILAYYSLAGRRTDCPHTVIPLYPHA >DRNTG_28576.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22987199:22998934:-1 gene:DRNTG_28576 transcript:DRNTG_28576.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRNLNRAMSFGISDVALECVMSYIDDPKDRDALSLVCRRWYHIDAITRKHITIALCYSTTPDRLRRRFPHLESLKLKGKPRASMFDNLIPEDWGGHVGPWINEIADAFVCLKALHLRRMIVTDADIKVLVGARGRIMESLKLDKCSGFSTNGLLLVARSCKCLKTLFLEESLIVENDAKWLHELASNSTVLESLNFYLTELKIVPYQALELLAKNCQSLVSLKISDCDMSELIGFFQAARNLEDFAGGSFDEQPGEVNNRYTNVRFPPRLCRIGLTFMGTNEMPIIFPLAAALKKLDLQLTFLSTEDHCQLIQQCPNLEILEVRNVIGDRGLEVVAQTCKKLRRLRIERGEDEQGLEDEQGMVSQRGLSAVAEGCLELEYLAVYVFDISNAALECIGTFSKNLCDFRLVLLEREERITELPLDNGVRALLRGCTKLRRFALYLRPGGLSDVGLAYIGENSKNIRYMLLGFVGDSDAGLLRFSEGCPDLQKLELRGCCFSERALARAATQLVSLRYIWVQGYNASPNGSGLMVMARPHWNIEFIPPRQVNERPGELPPIDHPAQILAYYSLAGRRTDCPHTVIPLYPHA >DRNTG_28576.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22987199:22998934:-1 gene:DRNTG_28576 transcript:DRNTG_28576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRNLNRAMSFGISDVALECVMSYIDDPKDRDALSLVCRRWYHIDAITRKHITIALCYSTTPDRLRRRFPHLESLKLKGKPRASMFDNLIPEDWGGHVGPWINEIADAFVCLKALHLRRMIVTDADIKVLVGARGRIMESLKLDKCSGFSTNGLLLVARSCKCLKTLFLEESLIVENDAKWLHELASNSTVLESLNFYLTELKIVPYQALELLAKNCQSLVSLKISDCDMSELIGFFQAARNLEDFAGGSFDEQPGEVNNRYTNVRFPPRLCRIGLTFMGTNEMPIIFPLAAALKKLDLQLTFLSTEDHCQLIQQCPNLEILEVRNVIGDRGLEVVAQTCKKLRRLRIERGEDEQGLEDEQGMVSQRGLSAVAEGCLELEYLAVYVFDISNAALECIGTFSKNLCDFRLVLLEREERITELPLDNGVRALLRGCTKLRRFALYLRPGGLSDVGLAYIGENSKNIRYMLLGFVGDSDAGLLRFSEGCPDLQKLELRGCCFSERALARAATQLVSLRYIWVQGYNASPNGSGLMVMARPHWNIEFIPPRQVNERPGELPPIDHPAQILAYYSLAGRRTDCPHTVIPLYPHA >DRNTG_28576.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22987199:22991435:-1 gene:DRNTG_28576 transcript:DRNTG_28576.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKLSRALSFGISDVALECVMNYIDDPKDRDSISLVCQRWCHIDAITRKHVTIALCYSTTPDRLRRRFPHLESLKLKGKPRASMFDNLIPEDWGGHAGPWINVIADAFLCLKALHLRRMIVTDADIMVLVGARGRILESLKLDKCSGFSTNGLLHVARSCKCLKTLFLEESLIVENDAKWLHELASNSTVLESLNFYLTELKIVPYQALELLAKNCQSLVSLKISDCDMSELIGFFQAARNLEDFAGGSFDEQPGEVNNRYTNVRFPPRLCRIGLTFMGTNEMPIIFPLAAALKKLDLQLTFLSTEDHCQLIQQCPNLEILEVRNVIGDRGLEVVAQTCKKLRRLRIERGEDEQGLEDEQGMVSQRGLSAVAEGCLELEYLAVYVFDISNAALECIGTFSKNLCDFRLVLLEREERITELPLDNGVRALLRGCTKLRRFALYLRPGGLSDVGLAYIGENSKNIRYMLLGFVGDSDAGLLRFSEGCPDLQKLELRGCCFSERALARAATQLVSLRYIWVQGYNASPNGSGLMVMARPHWNIEFIPPRQVNERPGELPPIDHPAQILAYYSLAGRRTDCPHTVIPLYPHA >DRNTG_28576.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22987199:22998934:-1 gene:DRNTG_28576 transcript:DRNTG_28576.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRNLNRAMSFGISDVALECVMSYIDDPKDRDALSLVCRRWYHIDAITRKHITIALCYSTTPDRLRRRFPHLESLKLKGKPRASMFDNLIPEDWGGHVGPWINEIADAFVCLKALHLRRMIVTDADIKVLVGARGRIMESLKLDKCSGFSTNGLLLVARSCKCLKTLFLEESLIVENDAKWLHELASNSTVLESLNFYLTELKIVPYQALELLAKNCQSLVSLKISDCDMSELIGFFQAARNLEDFAGGSFDEQPGEVNNRYTNVRFPPRLCRIGLTFMGTNEMPIIFPLAAALKKLDLQLTFLSTEDHCQLIQQCPNLEILEVRNVIGDRGLEVVAQTCKKLRRLRIERGEDEQGLEDEQGMVSQRGLSAVAEGCLELEYLAVYVFDISNAALECIGTFSKNLCDFRLVLLEREERITELPLDNGVRALLRGCTKLRRFALYLRPGGLSDVGLAYIGENSKNIRYMLLGFVGDSDAGLLRFSEGCPDLQKLELRGCCFSERALARAATQLVSLRYIWVQGYNASPNGSGLMVMARPHWNIEFIPPRQVNERPGELPPIDHPAQILAYYSLAGRRTDCPHTVIPLYPHA >DRNTG_06550.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22701728:22706111:-1 gene:DRNTG_06550 transcript:DRNTG_06550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLMYEDVCTGTTNHSEIVRVQYDLGQCAYEDLLEAFWARHDPTTLNRQGNDVGTQYRSGIYVYTPEQETATRESLENHQKLLNRKIVTEILPAKKFYKAKEYHQQYLEKGGRSSFKQSASKCCNDPIVVTVRLTHFNITINH >DRNTG_06550.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22701728:22706111:-1 gene:DRNTG_06550 transcript:DRNTG_06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLMYEDVCTGTTNHSEIVRVQYDLGQCAYEDLLEAFWARHDPTTLNRQGNDVGTQYRSGIYVYTPEQETATRESLENHQKLLNRKIVTEILPAKKFYKAKEYHQQYLEKGGRSSFKQSASKCCNDPIVVTVRLTHFNITINH >DRNTG_07438.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6901613:6922260:1 gene:DRNTG_07438 transcript:DRNTG_07438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTLHRYHVESTLHLTMVFFSPTLELLRHDNLHLGKLSVHIGHSIFLGHLGLLVDMARTEIGLFVKGCVAIIGVLWKPNFVVLVVLVLASQVVLSSVRQVLRPCVPTWSRPCRDEENRVHRKQKRGRKEKSNLKLAKSATWTHRPSQRRKRQTTPELDWAPDHRSGQRIWN >DRNTG_20705.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21145474:21146059:-1 gene:DRNTG_20705 transcript:DRNTG_20705.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSPSWASQEWRCTKTHRNTEKISNK >DRNTG_20705.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21145269:21146584:-1 gene:DRNTG_20705 transcript:DRNTG_20705.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVNRKSTFSQRVRECHAPASQSGPLTDRPHLTGLGPTGCKASYLTWSESTLTSRI >DRNTG_20705.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21145474:21146214:-1 gene:DRNTG_20705 transcript:DRNTG_20705.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSPSWASQEWRCTKTHRNTEKISNK >DRNTG_15387.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15153821:15155370:1 gene:DRNTG_15387 transcript:DRNTG_15387.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKVRGGKKIQQLMIGKRLRMRCLHTKLMMVVILVVTPEVPFVESRVPPAPVNDSGAQRTMNPEPTRTIPRAWRPDDELRPQSLPNLYKQQSFPANMERHFGAKGWSHPVILSAPSSCPICYEDLDPTDSSFLPCSCGFRLCLFCHKRILEADGRCPGCRKQYSPVEGEMLGMSGVKPLLPFQLSRSYSMGSRS >DRNTG_17728.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4074969:4098095:-1 gene:DRNTG_17728 transcript:DRNTG_17728.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTQSTIKSCEHSEKNTKTSASFGKTVVKYLQQKYNKA >DRNTG_17728.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4096020:4098095:-1 gene:DRNTG_17728 transcript:DRNTG_17728.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSILPLPSHTEMMYTWLAVAPSTTQRSQGDSYHHSPARGQSSNPQGKTKLFCPTLHSQIFLASTN >DRNTG_28299.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001432.1:32236:33193:1 gene:DRNTG_28299 transcript:DRNTG_28299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPLSAAITAELTKVEVEIEKVRKQKHEKKIKLAIKPWTAISQITFRSKASLVGLLKESDPEYAKIKERHYVYRQQKEPNPIEGTSDSIPSDRGAHEDETGEVSDLVQVQHKQPSICKDGFFMCVRYGSECAPSARLATVIGSLEQPPLRPLGSSSDDGASMIMKVV >DRNTG_04595.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5018067:5019810:1 gene:DRNTG_04595 transcript:DRNTG_04595.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLCLLLQSSILPSSEPKINSFPSIKQQHSKDAAGPRKTNCDSS >DRNTG_33082.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001947.1:15719:21183:1 gene:DRNTG_33082 transcript:DRNTG_33082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLFLLPCSFFLLFMLMILTGSSLGTSLLVIYILLVFLNRNGLQQRRNSWEDGVEGTTCPIPPGKNFTYILQVKDQIGSFFYFPSLNFHKAAGGFGGIRILSRPLIPVPFSDPSGDFTVLIGDWYKTNHTALKAKLDNGKKLPFPDGILINGHASNGASFTVDQGNTYRFRVSNVGLQNSLNIRFQGHKMKLVEVEGTHTLQNTYSSLDVHVGQSYSFLVTMDQAPVDYYIVVSTRFTSNVLTTTAVLHYSNSGTRVSGPLPGGPTVQIDWSLNQARSIRTNLTASGPRPNPQGSYHYGMINTSRTIRLANSASLIDGKQRYAVNSVSFIPADTPLKLADYYKISGVFRLGSISDNPTGQKEYLDTSVMPADYRTFIEIIFENKESIIQSWHLDGHSFWVVGMDGGQWSPDSRKEYNLRDAVSRCTTQVYPKSWTAIYIALDNVGMWNVRTEFWSRRYLGQQFYLRVYTPVNSIRDEYPIPKNALLCGRAAGRHTRPL >DRNTG_33082.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001947.1:15719:16298:1 gene:DRNTG_33082 transcript:DRNTG_33082.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWSYVPFLAPLFFLSLVHADDPYRFFTWNITFGDIYPLGVPQQGILINGQFPGPDIYCNTNDNLIINVHNSLTEPFLISWQVPYLATSSLLLFLYIYKP >DRNTG_33082.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001947.1:17132:21183:1 gene:DRNTG_33082 transcript:DRNTG_33082.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVEVEGTHTLQNTYSSLDVHVGQSYSFLVTMDQAPVDYYIVVSTRFTSNVLTTTAVLHYSNSGTRVSGPLPGGPTVQIDWSLNQARSIRTNLTASGPRPNPQGSYHYGMINTSRTIRLANSASLIDGKQRYAVNSVSFIPADTPLKLADYYKISGVFRLGSISDNPTGQKEYLDTSVMPADYRTFIEIIFENKESIIQSWHLDGHSFWVVGMDGGQWSPDSRKEYNLRDAVSRCTTQVYPKSWTAIYIALDNVGMWNVRTEFWSRRYLGQQFYLRVYTPVNSIRDEYPIPKNALLCGRAAGRHTRPL >DRNTG_27739.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4385931:4386306:1 gene:DRNTG_27739 transcript:DRNTG_27739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLGLQKLGKGDWHGIAHNFVVSRTPTQVASHAQKYFIQQTNVTRRKRRSSLFNMVPEMPMDELPVLEE >DRNTG_30149.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3310444:3311167:1 gene:DRNTG_30149 transcript:DRNTG_30149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSAACAEGSCTDGPVLSLLSKRLRNLRKKYNRIVQMEEAQAQGKPLNKEQEEVVRSKPAVAALIDEYERLRQPLSVALQEEISRFSTPPPPPPKDDDEDESAVEDLVKLLYFASLFDVKPQSEFAATMLTRTHERGCCLTYDYVTDDASTDLLGERDLDAISALGALLTSRPASSGVSHRDALRGCVRHARLWLQSSDEAIHPGSY >DRNTG_04168.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:486052:490421:1 gene:DRNTG_04168 transcript:DRNTG_04168.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 2 [Source:Projected from Arabidopsis thaliana (AT1G60790) UniProtKB/Swiss-Prot;Acc:Q8VYR3] MDFSKLFPLLKNFSTYSSCSHKGRVVSGFCLGVVVSILVLSLIASINTTTFSWTAITTTANSSSVLSRSYSPPGNSSRGNLYGRETGVKDLHAIESNVTALNSSRGNLYGRETVLKDLHAVGSNATALKSSRGNLYGRETGLKDLHAIESNVTALSSNSSDPGKAKDGNFTGIPEINGGFTADKEGIASWNGSATDGKPLELDAKNLTKNLTKDEASEVIFEKKDAFASGSGSGSGSVQVKGEASGKCDIFNGRWVRDEWKPYYPAGSCPHIDIDFNCHLNGRPDDDFLRWKWQPYGCDIPSLNATDFLERLRGKRLVFVGDSLNRNMWESLVCILRHSVNHKNKVYEASGKRQFKTRGYYSFRFEDHNCSVDFVRSTFLVKELFIKYANGSEDERLRLDLLDETTTAYKDAEVIVFNTGHWWTHEKTSRGMDYYQEGDYVYPRLKVMEAYKKALTTWGRWVDKNVNRNKTQVVFRGYSLTHFRGGQWNSGGQCHKETEPIFNGTYLTKYPGKMKVLEQVLNQMETPVIYLNVSRLTDYRKDGHPSIYRKEYKTPEELLAAETTQDCSHWCLPGIPDTWNELLYASLLSHGKGSWQR >DRNTG_22824.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14823703:14824469:-1 gene:DRNTG_22824 transcript:DRNTG_22824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGYPNAETVSPPWCQISSASNETQKTLKPQASIHGKLAH >DRNTG_14278.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:892205:895288:-1 gene:DRNTG_14278 transcript:DRNTG_14278.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:Projected from Arabidopsis thaliana (AT3G60730) UniProtKB/TrEMBL;Acc:A0A178V7L0] MRSVFLLFPLLLLIIPLMASKKGEEEKEAVSIALEALTKAKKSSIMGLGLKGMAWGDCMRLYEESEERLRRVVGTGREGGCESSEDILTWISGAMSGHGTCMEELKERNVSLGVLVDGEEDHVMVLLRRALAMQATSRAACSSIGSQQHQINVLNNQTVDETVKLEPWNPESEKASMVVAQDGSSDFKSISEAITAVCKWHDRGNTRAVIYIKSGVYSEYINIDHNMRNIMLVGDGIDKTVITGDHNVPGGYTTFRSATFSVSGDGFWARDITFENTAGPEKHQAVALMVASDKAVFHKCSFKGYQDTLYVHSQRQFFRDCDIYGTIDFIFGNAATIIQNCNIYVRKPMDHQTNMITAQGRDNQNQNTGISVHGSRVLPADDLKQVKAKFKSYLGRPWKEYSRTVFMKSDLDEIIDPEGWAPWDGEFGIKTLFYGEYMNSGDGGGTEKRVKWPGFHVLGSDEEAEPFTVRGFVDQGEGWISESGVPVWPGV >DRNTG_24995.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27178577:27180836:1 gene:DRNTG_24995 transcript:DRNTG_24995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMNGCLSILMHLVILVFLVFYLPISYLWRLVLFVFVKPFTMEDMKDKVVLITGASSGIGEQLAYQYAKRQASLVIVARRENALKEVAKNAREMGSPDVLVIAADVSDSCESKRVIDETISHFGKLNHLVANAGIWSCCLFEEITNISAFTQLMDVNFWGSVYPTYYAIPHLKNSNGNIIVTASIAGQVPTARMSFYNASKAALIRFYETIRSELGSKVRVTIVCPGYVASELTKGKVLKQGGEVGIDEQVRDVQVGPFPVGYADKCAEVIVDSACRGDEYVTWPSWYRPFNMIMCVAPEVINWFSQCFYGTTPGTDLNHTLSKRILESSGAKKFLYPSSIRSSNSKD >DRNTG_20430.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001116.1:84721:85101:1 gene:DRNTG_20430 transcript:DRNTG_20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding TAGILTRLPIGIWSFKAAEEDRSEGQIEGRKRGVCYEPFFVSLISYTCSFVDDELDSQGHRASGEPWDKLASLDA >DRNTG_09227.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:909962:910897:-1 gene:DRNTG_09227 transcript:DRNTG_09227.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSKWRSNGNQGDEESKGGRKEARRLKLGLIAKHGCDGTQK >DRNTG_33401.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1507970:1508708:-1 gene:DRNTG_33401 transcript:DRNTG_33401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLILAGNAIYLGHAITVTPLPLVCGPYSVPFLLNLPGAGDKVRGELYSVSPRALIRLDELEGTLRGHYERLPISIREEVQGREGEGEIVKAEAYYAHRSYAEQLWSRNGMKGLCCYSEKEVLDYVKRKDRPQDITFLDQIRIFIASSAN >DRNTG_06667.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3080249:3080619:1 gene:DRNTG_06667 transcript:DRNTG_06667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTGLGSGRRDRGAEIEAMAGERERV >DRNTG_06667.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3080249:3080564:1 gene:DRNTG_06667 transcript:DRNTG_06667.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTGLGSGRRDRGAEIEAMAGERER >DRNTG_25452.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1503925:1506201:-1 gene:DRNTG_25452 transcript:DRNTG_25452.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g16390, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G16390) UniProtKB/Swiss-Prot;Acc:Q8GWE0] MASHLIASQFLHLSTSLPPLKLHHAHPKPPKVYLQDSSSPPPKNSVWINPNSPRAARLRHNSSDSRYARLLDLSHSLNSSPDPNSIPDLLLSLPSSPSEQDAVVILNHMDNPATALPALRWFLHNVKINKESILYNVALKVLRKSRDWDKVVALWDEMLSNGVRPDNVTFSTIISCARLCDLPAKAVEWFEKMPEFGCSPDDVTYSAMIDAYGRSGNVEKALELYDNARMEKRRLDPVTFATVIRVYGFSGNFDGALNVFEEMRALGVKPNTVIFNTLLDAMGRAGRPWQVKTLCKEMIANGLLPNRATYAALLRAYSKARYAEDALSVYKEVKEKGLELNVILYNMLLSMCADLGYVDDAVEIFEEMKGMTEGCRPDSWSYSSLITVYSCSGKVDEVERVMNEMIGAGFQPNIFILTSIMQCYGKAGRTDDIVRTFDKVLELGITPDDRFCGCLLNVMTQIPAEEVGKVIACVEKANAQLGSLVKLLVDERSTNEVIKVEAEELFSKTSEEVKKAYCNCLIDLCVNLDLLERACILLDMALRLEIYTDIQSKSPCPMVFTCEEPFSWSWSNGVAYMDE >DRNTG_16678.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16406207:16408279:-1 gene:DRNTG_16678 transcript:DRNTG_16678.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g25970 [Source:Projected from Arabidopsis thaliana (AT3G25970) UniProtKB/Swiss-Prot;Acc:Q9LU94] MFIHTFMRTHCRLIKSGITTYTYTWNNILTAYSRRSGPLDAHKLFDEIPHRDSISWNSLIASYVSHGWHFSAWCLLKTMMRFGLLVDRYTLGSVLKSVANAVEVGFGRQLHALMMKTGLDQNVFSGSALLDVYAKCRVVEDAYLVFVFMPERNLVTWNSVISACVQVGQLEMALQLFDELEREELVPDEATFSSILTLVDKPDYYSLMLQLHTKIIKHCRVMDTAVYNATITAYSQCGSIVDAVQVFEGMGDVKDLVSWNSMMAAYAFHGIVVEAIKLFVRMQQLSIDQDMYTYTSVLSACFEHGKFWQGRALHGLVIKKGLDREVPVSNALIAMYIRYNDNCMIEDAVKCFNHMEVRDTISWNSILTGLSQNGLSEEALKFYCHMQSSHISIDHYAFSAVVRSCSDLAVFQLGQLVHGSILKSGFDLNEFVASSLIYMYSKCGFIESARRIFDYSNKESSVLWNSIIFGYAQHGQGQISVSLFSDMQKFEVKPDHITFVGVLSACSHIGLVEEGFAFLKSMESSYGIPLRMEHYACAVDLYGRAGRFNEAKELIESMPFEPDAMVWMTLLGACRVHGNIEMAIHVARHLLLLEPREHCTYVLLSNMYARFGMWDGRAMIQKAMRSRGVSKVPGWSWIEVNKKVHTFNAEDTFHPKALEIYDTLGILMDEMQMICHFPLTKAELFILDLD >DRNTG_12213.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10413884:10416981:1 gene:DRNTG_12213 transcript:DRNTG_12213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRYRRQADKHIRPRRANVLSLRPCREGQRLAGERHHRKHRPLRRSKTRQLNEVPTRVPPDSPLAPQWYRLEERRGEGKLRGEVMLAVWIGTQADEAFPEAWHTDATSVQGEGVYNIRSKVYVSPKLWYLRVNVIEAQDVQPNDRSRVPDVFVKAQVGNQVLKTRTCTARTLSPLWNEDLVFVAAEPFDEHLVLTIEDKVSATKDELLSRITLPLTIFEKRLDHRPVHSQWFNLEKFGFGALEGDRRKELKFSSRVHLRVCLEGAYHVMDESTMYLSDNRPTARQLWKAPIGVLELGILSAQGLQAMKTRDTRSTTDAYCVAKYGQKWVRTRTIIDSLSPKWNEQYTWEVFDACTVITLGVFDNCHLGAANGAAVRDARIGKVRIRLSTLETDRIYTHAYPLIVLQPSGVKKMGELHLAVRFTCLSFITMIHLYSRPLLPKMHYIHPFTVNQLDSLRFQAMTIVAARLGRAEPPLRRETVEYMLDVDSHLWSMRRSKANFFRIMGLLSGVIGVCRWFDDVCRWRNPVTTLLVHVLFLILVWYPELILPTVFLYMFVIGVWNYRRRPRHPPHMDIKLSWAEGVPPDELDEEFDTFPTTKGHDIVRLRYFIFQYPLRVVRSLLDYFLSLMLLYRYDRLRSVAGRIQTVVGDMATQGERIQGVLSWRDPRATSLFVVFCLVAAVVLYVTPFRVVAMVAGLFIMRHPRFRSKLPSVPSNFFKRLPSKIDSML >DRNTG_15424.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17853877:17854307:1 gene:DRNTG_15424 transcript:DRNTG_15424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTTIKASKEFNVGDDSGWQVLQKNNSNMYLKWADKNTFHVGDSLVFKYQNNSVVMVDKRGYYHCNHSSHDRVFEDEIDDQCQGF >DRNTG_26896.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:16887862:16888809:1 gene:DRNTG_26896 transcript:DRNTG_26896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRKSFECSPTPTRERTGVNRSMRNVHISSQGSF >DRNTG_05607.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20482900:20487208:-1 gene:DRNTG_05607 transcript:DRNTG_05607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAMSSSLISDSTLLMSLIIFSCHQLSEGIGRLQKLVEFHLSNNQIQGLMPASIGDLRNLQYLDLSQNMISGAIPESFGNLTVLQHFNGAENYVSGKLPETIGNLVHLQDLDLSQNAISGKLPESFGNLSQLQYLSMQGNGITGGLPEHVGKLSSLLELDLCNNNINRTLPKGMGNLCKLRTLDLTSNMISGGIDDLVDGLSICNKGLETLNLGNNKLNGTVPENIGKLFEMIKLNLSSNSLMGVLTESHFASLVSLQFLDLSYNSLQLNVSENWKPPFECLVIRMCSIKVGPVFPTWIKTQTGLSDLCLSDAGISGNIPAWFGYLSSSFGYVLNLSNNNMEGRLPTLKNYTFHRIDLSSNRFEGPLPELDPSFLLVIYLNNNSFSGSIPSYFANATYIQVFSVSVNHINGSIPSFFCNLTTLKLLDISNNDMSGGLPNCWNSASALEIINLSDNNFIGKIPNGLVSLTNLRSLHLRNNDFSGDLPLSLKMAKKLVTLDISKNKLSGSIPIWIGENLSSLIVLCLRSNLFHGIIPAQLAKLSSLQILDLAQNNLSGCIPHSFGDFKAMVVTKPHSMVVFVLYFECCRTFFPLFQ >DRNTG_05578.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000256.1:46401:46913:-1 gene:DRNTG_05578 transcript:DRNTG_05578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTYKPRLGSSESNEQLRNRVKELEEELARARAEADDRLHAANERIQAESTRRELFESSLLVALRGQGIDLSSMPIFARMPHAPRAPTGESQTHADEHSPMAKRVRISPSTDNSLEDMEDDV >DRNTG_21409.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:670402:673525:-1 gene:DRNTG_21409 transcript:DRNTG_21409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPSNNQHKKYKSNKNPSQSQKHQHQKNSREPRKRSESRDQEK >DRNTG_28476.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:416441:419729:-1 gene:DRNTG_28476 transcript:DRNTG_28476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDCLQLLHFHIGSQIPSTALLADGVGEAAQIYCELALLGASMRVIDVGGGLGIDYDGSHSSGSDMSVGYDLVEYASAIVRSIQFACDRKSVRHPIICSESGRALVSHHSVLIFEAISAASPDQASIEPNLAYLFDALSDESRADYRNLMAAAVRGEYQTCVMYAEQLKRRCVDEFKEGLLGLEHLVAVDGLCDLVSREVDSADPVRTYHVNLSIFTSMPDFWAIGQLFPILPIHRLNERPVVNGILSDLTCDSDGKLDKFIGGSSSLPLHELGSNPSEGYFLGMFLGGCLPGSAGRLAQSLRRAECGSCLTVRRSTLLCCDPRGTWTIVRGCSADDAALSGANV >DRNTG_34116.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32698116:32699090:-1 gene:DRNTG_34116 transcript:DRNTG_34116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNGFLGSQLSSFLSLQQLDVSFNNIGGEIPSALPPNTI >DRNTG_20526.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001125.1:73845:74305:-1 gene:DRNTG_20526 transcript:DRNTG_20526.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGRNLSMNLKMILKKKTEAGSIYSVCSFIINVPSLCFSCH >DRNTG_05046.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:194392:198726:1 gene:DRNTG_05046 transcript:DRNTG_05046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYDGNPKDEPGAIVCRVAQSFLRLGSYQIHASRGKEDLEIVRILADYTIRYHFPHLEKMEKSESLSFEVGQEGGSVVDLTSNKYAVEVAERTASLVAGWQGVGFTHGVLNTDNMSILGLTIDYGPFGFLDAFDPSYTPNTTDLPGRRYCFANQPDIGLWNIAQFTTALMAANLISKDEANYTMERYGNKFMDEYQSIMTRKLGLPKYNKQLISKLLNNMAVDKVDYTNFFRALSNIKADTTIPESELLVPLKSVLLDIGKERKEAWTSWVQTYIQELASSGVPDDERKAVMNSVNPKYILRNYLCQSAIDTAEQGDYAEVWRLLKVMQNPYEEQPGMEKYARLPPAWAYRPGVCMLSCSS >DRNTG_17068.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:82785:87391:-1 gene:DRNTG_17068 transcript:DRNTG_17068.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEELRRIMDLKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRQDEAERGITIKSTGISLYYEMTEESLKNYKGERNGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDENKMMERLWGENYFDPATKKWTTKSTGSSTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTMKADEKELIGKALMKRVMQTWLPASNALLEMMIFHLPSPSKAQRYRVENLYEGPLDDMYANAIRNCDPDGPLMLYVSKMIPASDKGRFFAFGRVFAGKVSTGLKVRIMGPNYIPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQYITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTMEESGEHIVAGAGELHLEICLKDLQEDFMGGAEIIVSDPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLEAGSQAGQLVAEIRKRKGLKEQMTPLSEFEDKL >DRNTG_17068.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:82753:87391:-1 gene:DRNTG_17068 transcript:DRNTG_17068.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEELRRIMDLKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRQDEAERGITIKSTGISLYYEMTEESLKNYKGERNGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDENKMMERLWGENYFDPATKKWTTKSTGSSTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTMKADEKELIGKALMKRVMQTWLPASNALLEMMIFHLPSPSKAQRYRVENLYEGPLDDMYANAIRNCDPDGPLMLYVSKMIPASDKGRFFAFGRVFAGKVSTGLKVRIMGPNYIPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQYITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTMEESGEHIVAGAGELHLEICLKDLQEDFMGGAEIIVSDPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLEAGSQAGQLVAEIRKRKGLKEQMTPLSEFEDKL >DRNTG_17068.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:82753:87391:-1 gene:DRNTG_17068 transcript:DRNTG_17068.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEELRRIMDLKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRQDEAERGITIKSTGISLYYEMTEESLKNYKGERNGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDENKMMERLWGENYFDPATKKWTTKSTGSSTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTMKADEKELIGKALMKRVMQTWLPASNALLEMMIFHLPSPSKAQRYRVENLYEGPLDDMYANAIRNCDPDGPLMLYVSKMIPASDKGRFFAFGRVFAGKVSTGLKVRIMGPNYIPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQYITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTMEESGEHIVAGAGELHLEICLKDLQEDFMGGAEIIVSDPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLEAGSQAGQLVAEIRKRKGLKEQMTPLSEFEDKL >DRNTG_17068.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:82785:87391:-1 gene:DRNTG_17068 transcript:DRNTG_17068.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEELRRIMDLKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRQDEAERGITIKSTGISLYYEMTEESLKNYKGERNGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDENKMMERLWGENYFDPATKKWTTKSTGSSTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTMKADEKELIGKALMKRVMQTWLPASNALLEMMIFHLPSPSKAQRYRVENLYEGPLDDMYANAIRNCDPDGPLMLYVSKMIPASDKGRFFAFGRVFAGKVSTGLKVRIMGPNYIPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQYITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTMEESGEHIVAGAGELHLEICLKDLQEDFMGGAEIIVSDPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLEAGSQAGQLVAEIRKRKGLKEQMTPLSEFEDKL >DRNTG_17068.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:82785:87430:-1 gene:DRNTG_17068 transcript:DRNTG_17068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEELRRIMDLKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRQDEAERGITIKSTGISLYYEMTEESLKNYKGERNGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDENKMMERLWGENYFDPATKKWTTKSTGSSTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTMKADEKELIGKALMKRVMQTWLPASNALLEMMIFHLPSPSKAQRYRVENLYEGPLDDMYANAIRNCDPDGPLMLYVSKMIPASDKGRFFAFGRVFAGKVSTGLKVRIMGPNYIPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQYITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTMEESGEHIVAGAGELHLEICLKDLQEDFMGGAEIIVSDPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLEAGSQAGQLVAEIRKRKGLKEQMTPLSEFEDKL >DRNTG_09887.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:179135:179986:1 gene:DRNTG_09887 transcript:DRNTG_09887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLIDNNCLQPPTEMRSSTSSVDSFPCCKSLNILVSKNRVCPNQSLNKYSKQG >DRNTG_07642.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000344.1:142390:143967:1 gene:DRNTG_07642 transcript:DRNTG_07642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKYQGDKQREDGMRTFIFQRRKVRLLSSKKEGIIQGFLLLQENTKGNEERRKSTCDNTALWTCCVASRVGGGFLAQNFTPNTVANYSLMPFLMTLCGHTINVCGRTTTFMAPNKGCVASYASVRMIIPPVIMHSIYDQFGDTTLEDGNVPPANERMGYEAFEENIQRHKLQRSSTSKNAANTSRIIRTTSENVTMENVGDKLGGLVTSIDPERKKTWKEKLSIALWSMEGYNDTDMEIFFHKL >DRNTG_15012.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23855617:23860623:-1 gene:DRNTG_15012 transcript:DRNTG_15012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMINNVVVVLSISVIMCMNTMRLSYGAITRRSMEEIWRINKQGPFLGIVVPNAFEMNPLLQSPSFVQDSQLPYFDFGGRRFRFGKIGSEKVIITMTGLGMLNAGITTQLLINLFKIKGVIHYGVAGNANPNLQIGDVTIPNYWAHTGLWNWQRYGDGENDELALEANGDYTRKIGYLKFSDYYNLSKNGENLDNYLNRVWYQPEEVFPVDGVPEQRQHALWVPVDSHYYNLSNKLEDLELENCVNATTCLPRRAKVVKVERGCSANTFVDNAAYREFLRSKFNVSPVDMETAAVALVCLQQTSTPFIAFRALSDLAGGGSALSNEASLFASLASQNAVTVALQFIHLISSSKLDFGSFYVQ >DRNTG_05181.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22527862:22531356:-1 gene:DRNTG_05181 transcript:DRNTG_05181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECFKLFIIPVTMLLLSLVSLPHMGTASNIESQGRALLQWKATLQKQQPFITWTSKTSPCNWIGITCRAILSRRNHHHVMATITRIQLGEMGLEGKLETLNFSALPSLRVLNLNNNALQGSIPATISALTKLIYLDLSSNNITGIIPPELGHLTKLKILWLYNNQISGSIPHEIGYLVNLHEFDISTNQITGFIPLSLLNLTNLSVLSLYQNQISGYIPHEIGQLVSLKEFYLFLNNISGPITPNLGNLTKLEHLFLYNNKLTGSIPAELASLVNLRMLDISKNKITGSIPTWVGNLTNLEFFSINTNNMDGFIPHEIGNLGNLTTFDISVNQIIGSIPHSIGNFSKLEYLFLFANQLSGSIPPSLGNMKDLLHLRLFDNQYLSGSLPPQIANFTKLYQLDLFNNSFSGILPPDLFKRLTLLQYVVLGYNNFQGPIPIGLKNSTNLIRVRLERNNFTGDISESFGVHPYLDYVDMSFNRLYGTLSPSWGQCLNLTSFRISGNKITGSIPSEIGQLPKLQLFDISSNDMVGEIPREYNMYIFHLNMSNNHFSGTIPPELGHLSSLQILDLSSNNLSGRIPVELEKCLDLSSLKLSSNNLSGNIPFQLGNLNLHEVLDLSYNLFTGEIPSQLGKLMELQVLNLSHNELAGQIPSSFQYMRGLDITRFIL >DRNTG_19045.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22479444:22480527:1 gene:DRNTG_19045 transcript:DRNTG_19045.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHEERLVLELHSRWGNRWSRIARKLPGRTDNEIKNYWRTHMRKKAQERRRNGIMLSPTSSKTSSTSSSSSSSSSSSTTSSCCSSCLTTPPLKSTQASGGEEMMKKECSLDEFWKEIASTSEAVNGLSFEDCKDEVYGNICCYPPIPSPVCEYYSLWKIDEEELRMFPPMGGPPSHLTNMN >DRNTG_19045.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22479444:22480527:1 gene:DRNTG_19045 transcript:DRNTG_19045.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVMKEETRKGPWTEQEDMQLVCYVSLFGERRWDFLAKVSGLNRTGKSCRLRWVNYLHPGLKRGRMTPHEERLVLELHSRWGNRWSRIARKLPGRTDNEIKNYWRTHMRKKAQERRRNGIMLSPTSSKTSSTSSSSSSSSSSSTTSSCCSSCLTTPPLKSTQASGGEEMMKKECSLDEFWKEIASTSEAVNGLSFEDCKDEVYGNICCYPPIPSPVCEYYSLWKIDEEELRMFPPMGGPPSHLTNMN >DRNTG_28441.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20630457:20639388:-1 gene:DRNTG_28441 transcript:DRNTG_28441.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVIADIEDDTVAICGVVNLREDNKDDNLAELPSPVEDLDSVINFGVESPIEDLETVINFAVGSSSKDLESGGNVAVESPIEILETVINFAVESPSKDFESGGNVAVESPRKDLESGGNVAVEFPSKDLESGGNVAVESPVKDLECGGNVAVESSIKDVESGGNVSVEAVMQELAETELKTEEMKENSSLKNDVEIKEDDSGAFGNSKDQIISIDDDDESVPDACQNDEASTEETCEVWHYIDPSGEEQGPFSLASLRYWKEEGFFDDDFKVWRSSEPKSSAILLTEALLPTSSS >DRNTG_28441.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20630457:20639388:-1 gene:DRNTG_28441 transcript:DRNTG_28441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVIADIEDDTVAICGVVNLREDNKDDNLAELPSPVEDLDSVINFGVESPIEDLETVINFAVGSSSKDLESGGNVAVESPIEILETVINFAVESPSKDFESGGNVAVESPRKDLESGGNVAVEFPSKDLESGGNVAVESPVKDLECGGNVAVESSIKDVESGGNVSVEAVMQELAETELKTEEMKENSSLKNDVEIKEDDSGAFGNSKDQIISIDDDDESVPDACQNDEASTEETCEVWHYIDPSGEEQGPFSLASLRYWKEEGFFDDDFKVWRSSEPKSSAILLTEALLPTSSS >DRNTG_02910.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23614760:23615652:-1 gene:DRNTG_02910 transcript:DRNTG_02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFSSPGATTPSSHLSQTLSPLSHTYTAAIAVSVLLVLSALLLVSYLCYRSASRQPIPNPNPNSNSNSNPSNGVTLPRIIFVTEDDDGDGNGGQHSPVPGLDPAAINSYPKFPFSAEKGGDVVCSICLCEYRDGEMLRMMPDCRHYFHLMCIDAWLRLNASCPVCRTSPMPTPLSTPLSELVPLSQFPAGRRRS >DRNTG_16295.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5578622:5578939:1 gene:DRNTG_16295 transcript:DRNTG_16295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDISYVKLQRSSTTTWRYYMTSSHLENDDDDDDDDDDDDDDDDDDDGIF >DRNTG_30844.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:623828:624368:1 gene:DRNTG_30844 transcript:DRNTG_30844.13 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLHLQLLCQLLLLLSPLFISASVVTHLPGFDGPLPFHMETGYVGVDEVQFFYHFIESEGNPAENPLILWLTGGPGCSSFSGLVFEVGPLRFRRVKYDGSLPTLVYHPFAWTK >DRNTG_30844.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:625434:626749:1 gene:DRNTG_30844 transcript:DRNTG_30844.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHREFIMPPMPPFKCRSYTGYLSYIWANNDGVRDALHIQKGTVPEWIRCNDNLQYVKDIPSSVKYQHKLTSQGYRALVYSGDHDLVIPHIGTQTWVRSLNYSIVDDWRSWFSSGQVAGYTRTYTHNLTFATIKGAGHTAPEYKPRETLDMIKRWLSYQPL >DRNTG_30844.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:623828:626749:1 gene:DRNTG_30844 transcript:DRNTG_30844.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLHLQLLCQLLLLLSPLFISASVVTHLPGFDGPLPFHMETGYVGVDEVQFFYHFIESEGNPAENPLILWLTGGPGCSSFSGLVFEVGPLRFRRVKYDGSLPTLVYHPFAWTKVSNMIFLDSPFGYGFSFSNNPETYVDGDVTSSLRVYKFLRKWLIDHPQFLSNPLYIAGDSYGGKVTPVITELISQGIESGAQPILNLKGYLIGNPVTGEAIDKNAQVPYAHNMGIISDEIYKSTTISCEGEDYENPTNALCAKKLQVVKKYFDEISNPHILEPKCPQALPKPQNLSEKSRFLMDDHREFIMPPMPPFKCRSYTGYLSYIWANNDGVRDALHIQKYVKDIPSSVKYQHKLTSQGYRALVYSGDHDLVIPHIGTQTWVRSLNYSIVDDWRSWFSSGQVAGYTRTYTHNLTFATIKGAGHTAPEYKPRETLDMIKRWLSYQPL >DRNTG_30844.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:626016:626749:1 gene:DRNTG_30844 transcript:DRNTG_30844.12 gene_biotype:protein_coding transcript_biotype:protein_coding GTVPEWIRCNDNLQYVKDIPSSVKYQHKLTSQGYRALVYSGDHDLVIPHIGTQTWVRSLNYSIVDDWRSWFSSGQVAGYTRTYTHNLTFATIKGAGHTAPEYKPRETLDMIKRWLSYQPL >DRNTG_30844.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:623828:626749:1 gene:DRNTG_30844 transcript:DRNTG_30844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLHLQLLCQLLLLLSPLFISASVVTHLPGFDGPLPFHMETGYVGVDEVQFFYHFIESEGNPAENPLILWLTGGPGCSSFSGLVFEVGPLRFRRVKYDGSLPTLVYHPFAWTKVSNMIFLDSPFGYGFSFSNNPETYVDGDVTSSLRVYKFLRKWLIDHPQFLSNPLYIAGDSYGGKVTPVITELISQGIESGAQPILNLKGYLIGNPVTGEAIDKNAQVPYAHNMGIISDEIYKSTTISCEGEDYENPTNALCAKKLQVVKKYFDEISNPHILEPKCPQALPKPQNLSEKSRFLMDDHREFIMPPMPPFKCRVISFILLADLYALKEQLNYMRT >DRNTG_30844.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:625434:626749:1 gene:DRNTG_30844 transcript:DRNTG_30844.8 gene_biotype:protein_coding transcript_biotype:protein_coding LSSQSYTGYLSYIWANNDGVRDALHIQKGTVPEWIRCNDNLQYVKDIPSSVKYQHKLTSQGYRALVYSGDHDLVIPHIGTQTWVRSLNYSIVDDWRSWFSSGQVAGYTRTYTHNLTFATIKGAGHTAPEYKPRETLDMIKRWLSYQPL >DRNTG_30844.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:624289:626749:1 gene:DRNTG_30844 transcript:DRNTG_30844.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLDSPFGYGFSFSNNPETYVDGDVTSSLRVYKFLRKWLIDHPQFLSNPLYIAGDSYGGKVTPVITELISQGIESGAQPILNLKGYLIGNPVTGEAIDKNAQVPYAHNMGIISDEIYKSTTISCEGEDYENPTNALCAKKLQVVKKYFDEISNPHILEPKCPQALPKPQNLSEKSRFLMDDHREFIMPPMPPFKCRSYTGYLSYIWANNDGVRDALHIQKGTVPEWIRCNDNLQYVKDIPSSVKYQHKLTSQGYRALVYSGDHDLVIPHIGTQTWVRSLNYSIVDDWRSWFSSGQVAGYTRTYTHNLTFATIKGAGHTAPEYKPRETLDMIKRWLSYQPL >DRNTG_30844.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:623828:624189:1 gene:DRNTG_30844 transcript:DRNTG_30844.14 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLHLQLLCQLLLLLSPLFISASVVTHLPGFDGPLPFHMETGYVGVDEVQFFYHFIESEGNPAENPLILWLTGGPGCSSFSGLVFEV >DRNTG_30844.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:623828:626749:1 gene:DRNTG_30844 transcript:DRNTG_30844.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLDSPFGYGFSFSNNPETYVDGDVTSSLRVYKFLRKWLIDHPQFLSNPLYIAGDSYGGKVTPVITELISQGIESGAQPILNLKGYLIGNPVTGEAIDKNAQVPYAHNMGIISDEIYKSTTISCEGEDYENPTNALCAKKLQVVKKVTSSNSVAYIGYSCM >DRNTG_30844.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:623828:626749:1 gene:DRNTG_30844 transcript:DRNTG_30844.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLHLQLLCQLLLLLSPLFISASVVTHLPGFDGPLPFHMETGYVGVDEVQFFYHFIESEGNPAENPLILWLTGGPGCSSFSGLVFEVGPLRFRRVKYDGSLPTLVYHPFAWTKVSNMIFLDSPFGYGFSFSNNPETYVDGDVTSSLRVYKFLRKWLIDHPQFLSNPLYIAGDSYGGKVTPVITELISQGIESGAQPILNLKGYLIGNPVTGEAIDKNAQVPYAHNMGIISDEIYKSTTISCEGEDYENPTNALCAKKLQVVKKYFDEISNPHILEPKCPQALPKPQNLSEKSRFLMDDHREFIMPPMPPFKCRSYTGYLSYIWANNDGVRDALHIQKGTVPEWIRCNDNLQYVKDIPSSVKYQHKLTSQGYRALVYSGDHDLVIPHIGTQTWVRSLNYSIVDDWRSWFSSGQVAGYTRTYTHNLTFATIKGAGHTAPEYKPRETLDMIKRWLSYQPL >DRNTG_30844.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:623828:626749:1 gene:DRNTG_30844 transcript:DRNTG_30844.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLHLQLLCQLLLLLSPLFISASVVTHLPGFDGPLPFHMETGYVGVDEVQFFYHFIESEGNPAENPLILWLTGGPGCSSFSGLVFEVGPLRFRRVKYDGSLPTLVYHPFAWTKVSNMIFLDSPFGYGFSFSNNPETYVDGDVTSSLRVYKFLRKWLIDHPQFLSNPLYIAGDSYGGKVTPVITELISQGIESGAQPILNLKGYLIGNPVTGEAIDKNAQVPYAHNMGIISDEIYKSTTISCEGEDYENPTNALCAKKLQVVKKYFDEISNPHILEPKCPQALPKPQNLSEKSRFLMDDHREFIMPPMPPFKCRVISFILLADLYALKEQLNYMRT >DRNTG_30844.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:623828:624786:1 gene:DRNTG_30844 transcript:DRNTG_30844.11 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLHLQLLCQLLLLLSPLFISASVVTHLPGFDGPLPFHMETGYVGVDEVQFFYHFIESEGNPAENPLILWLTGGPGCSSFSGLVFEVGPLRFRRVKYDGSLPTLVYHPFAWTKVSNMIFLDSPFGYGFSFSNNPETYVDGDVTSSLRVYKFLRKWLIDHPQFLSNPLYIAGDSYGGKVTPVITELISQG >DRNTG_30844.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:624456:626749:1 gene:DRNTG_30844 transcript:DRNTG_30844.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLDSPFGYGFSFSNNPETYVDGDVTSSLRVYKFLRKWLIDHPQFLSNPLYIAGDSYGGKVTPVITELISQGIESGAQPILNLKGYLIGNPVTGEAIDKNAQVPYAHNMGIISDEIYKSTTISCEGEDYENPTNALCAKKLQVVKKYFDEISNPHILEPKCPQALPKPQNLSEKSRFLMDDHREFIMPPMPPFKCRSYTGYLSYIWANNDGVRDALHIQKGTVPEWIRCNDNLQYVKDIPSSVKYQHKLTSQGYRALVYSGDHDLVIPHIGTQTWVRSLNYSIVDDWRSWFSSGQVAGYTRTYTHNLTFATIKGAGHTAPEYKPRETLDMIKRWLSYQPL >DRNTG_30844.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:623828:625071:1 gene:DRNTG_30844 transcript:DRNTG_30844.10 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLHLQLLCQLLLLLSPLFISASVVTHLPGFDGPLPFHMETGYVGVDEVQFFYHFIESEGNPAENPLILWLTGGPGCSSFSGLVFEVGPLRFRRVKYDGSLPTLVYHPFAWTKVSNMIFLDSPFGYGFSFSNNPETYVDGDVTSSLRVYKFLRKWLIDHPQFLSNPLYIAGDSYGGKVTPVITELISQGIESGAQPILNLK >DRNTG_13759.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1018091:1021885:-1 gene:DRNTG_13759 transcript:DRNTG_13759.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGFISLMLTVFQGLISNICVPPRAVSIMLPCKIEKGFDTEQETHHGEHFSLGNTLNKRRLLSEGSGSSLCLSKGKVPLLSLESLHHLHIFIFVLAVVHVVFCATTMVLGGAKIRRWKHWEEAIKKENSKQEDVTTNKNLNAPAENEFVKERAMGFWRKSVVISGMMSFFKQFYASVTKSDYKALRSGFIMKHCSSNPNFDFHRYMMRALEDDFQDCCRYKLVLVAFRRPVSIAQCEWMAHIFLVILLAFDSATRCRCKIRVHHYKIGSRSS >DRNTG_19790.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15812329:15814280:-1 gene:DRNTG_19790 transcript:DRNTG_19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRQRGSASPMSSIQRVDRYLLEEQRREKEEEARATAAVVAVIATDKQSLAQEESQGTLSLALMDQPNLELVGQERAQTHVLVPQTHLVTGSGWENLEFNFRWTHRNLAIVGMFGIAIPILVYKGIVREFHMQDKDAERPYRKFL >DRNTG_06023.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000275.1:31626:33188:-1 gene:DRNTG_06023 transcript:DRNTG_06023.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASCALVSSVFLGKIPRRVSSSSLPRNSWGSRVSMNVSTGIPEPLTADTIFKDHKPTCGFLFPGQGAQSVGMGAASQNVPAAAELYSKANEILG >DRNTG_06023.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000275.1:31214:33188:-1 gene:DRNTG_06023 transcript:DRNTG_06023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASCALVSSVFLGKIPRRVSSSSLPRNSWGSRVSMNVSTGIPEPLTADTIFKDHKPTCGFLFPGQGAQSVGMGAASQNVPAAAELYSKANEILGFDLLDACINGPKEKLDSTVISQPAIYVTSLAAVEVLRAREGGQD >DRNTG_09536.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000401.1:15736:17153:-1 gene:DRNTG_09536 transcript:DRNTG_09536.1 gene_biotype:protein_coding transcript_biotype:protein_coding QQGGGNDLRDVIANSQENVRDVHHGNVNEKDGNENSLEEDSEKDDDDNENEE >DRNTG_09536.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000401.1:16213:17153:-1 gene:DRNTG_09536 transcript:DRNTG_09536.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKEWEDRFKQMESTIDKLQQQVPVMIQAVLQSLGLSN >DRNTG_04472.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31668707:31669869:1 gene:DRNTG_04472 transcript:DRNTG_04472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCLKDILNLLKPLETDRAQRLNVIDELSSILRSQISLKDTLVRPFGSFVSNLYSKWGDLDISVDYPNSVTRQRQQDFLWAIMRALRRAGFAHNCNVIENARVPVLKFQSNYGISRVTFQLIIISAKSS >DRNTG_11639.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:51259:53207:1 gene:DRNTG_11639 transcript:DRNTG_11639.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSKFKTSLKSLEADIRRANTLASGYPREEDGAYIQMRLSYNLVAGLFPSFVQKTDTQLTGALGLIRVLIYKVFVDGKTTVYVHERKASIKQFYGIIFPSLMQLQGGLTTSEQRKQREMYLKKYRKVDETEENKLSEVDMKREKECGICMEPKAKIALPTCMHTMCMKCYQDWQSRSRSCPFCRIDLQIVRPSDLWIYIDKHDAVDMAMITKQNTNRLFVFTDKLPLIVPDPILLSHNSHAR >DRNTG_11639.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:52194:53207:1 gene:DRNTG_11639 transcript:DRNTG_11639.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGIIFPSLMQLQGGLTTSEQRKQREMYLKKYRKVDETEENKLSEVDMKREKECGICMEPKAKIALPTCMHTMCMKCYQDWQSRSRSCPFCRIDLQIVRPSDLWIYIDKHDAVDMAMITKQNTNRLFVFTDKLPLIVPDPILLSHNSHAR >DRNTG_26558.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22891464:22895833:1 gene:DRNTG_26558 transcript:DRNTG_26558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGKRVKSASSMSFLWMIFKQADGLDKCLMIIGFIGASVNGLTPPFMVLIASKIMNSLAGASSSTPLFIHDVNKNAVYMSCMACVSFVSCFLEGYCWTRTGERQVARLRAQYLKAVLRQDVEFFDMKVESSTEVVTSVSSDSIVIQDFLSEKMPNFIMNCSTFVGGYLVGFFIMWRLALVVFPTVVLLMIPGIIYGRILISISRKIREEYNKAGAIAGQAISSIRTVYSFVSEQRTIKEFGVALEGSFKLGVRQGLIKGIATGSNCITIAIWALTIWYGSKEVMFHGAKGGTIYAVGVSIITGGLAFGSAVSNIRYFSDAMSAGERIMEVMKRVPKIDSESQEGEILESFVGELEFKDVHFAYPSRPKSLVLNDFNLKIPAGRIVALVGSSGSGKSTVIGLLERFYDPVFGSIQVDGVDIKKLKLKWLRSQMGLVSQEPALFATSVKENILFGKEDASMEEVVAAAKIANAHEFISNLSNGYDTQVGERGVQISGGQKQRIAIARAVIKSPKILMLDEATSALDTESEKIVQEALDTASVGRTSIVIAHRLSTVRNADMIAVVQDGHVAETGIHEELMRDEPCLYSSLVRLQQGKGISDECSSMDSLTAVILSEDQSPSQKIKEDMPNSNLKSEEKPKRAFPAPSFQRLLLLNAPEWKLGTLGCFVAMVFGAIQPLYAFAMGSMISVFFLKNHD >DRNTG_32693.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001892.1:7366:8498:1 gene:DRNTG_32693 transcript:DRNTG_32693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAILNESDLFLSNEFVEIIVDKTFTQADLKGDGKIDPDEWEEFAKKNPSLLKNMTLPYLKDITMAFPSFVVNSEVDDSDLTF >DRNTG_16341.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23236318:23237235:1 gene:DRNTG_16341 transcript:DRNTG_16341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTIPEHQARFECLSKLKFGQTWFLDLSALREVQLDNNKMEKAKELLSMGGWRRLSSIRDPTIRMLTLEYHSMSVTQFSVRMSLYDKAFTDTEEYGQLPINYPGSLTQQHTYRALCGQGQYEPGVLKATCLSRLSYRYIHAVLSRSVNGHSDSTSELSQQELLYLYSMVQSPYITRLLSGMGLLDAIRGAEKIIVYAPFGIETIRLMGMVRRYRDGVYVMNMPPPAPEIAEGGDDAAEESQLAQEPQPE >DRNTG_20457.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4609047:4610714:1 gene:DRNTG_20457 transcript:DRNTG_20457.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIAEMPTVHSLAILASKITVFVYNHKWVLNWLRTQPKWTEIIRPGATRFATTFIALKSLHDHKDYLQSLVVSSDFKKFLNVPKGREVKQIVLDENFWRNCLIMVKIMGPLIRLLRICDSDEKPAMGYVYDGIYRARKGIKELFKGKKHLYKPYTSIIKERWHRTLRTGIHVLAYWLNPAFQFHEHNLCQKLEVQRGILDVIEQQTLFKASDLMEEMKIFRERQKTFARPLALSTSKTTTPDEWWKFFGCDVPNLQKLAIRILSQTASSSGCERNWSVFERIHTKKRNRLEHQRLNDLVYVHYNLRLQHRLASKKSLYDPIDYECIDKTEFWVVEEEVEGELAFDELESMLEEQPRISEESTNFSKDDMVTVEEDDNAMIDVGRMTSNDLDEEDWLTSPPKN >DRNTG_11888.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15074274:15082539:-1 gene:DRNTG_11888 transcript:DRNTG_11888.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCSYYFFQIINGCIPKHSINFLSSKSDNATPESQFAASPGLSQFSINLDDDSGGNSSQRLVSVKKAKLKKKNEADLISTMGKFASFLKNTNFFCKFSPPTPRDCFLRENSSAKSSHQSISFIKIQSWRHHAIRRGGFLPVCAGRLRRSSRRRNSLREKLISSGQAQVRELSEIPILDSNSHSSDHTIVGTNSMGLSSDFDDTSERVVESIGISSKNVEFSGSDSALWDRLENWVELYKKDSEFWGIGSGSIFTVYQDYEGNVLRVSINEDEISRRDQVQAWSFEEKEGVKKFSDLKSKIAHAKFIAKEIESGAYRIPKNSSIAKFIVAGKQTSSIDGIRSVPLQVKPLLKLFPRVGLTVLCCSSVLWVVTTFFVKKIENVELSSKEIEMLRRKKKARMEEEVKKSNVEVLQDVQKLPVGPFDKRPQLDRGELRQNIMQAKKLRENVTLSASSSDFGVLDPEFDRKVREIQEMAKQAREVERQEHAQFDEKGDGDGISVIPGVSKGLNGSRIASAEIKSRDEAHKGPPTSTCSLIDGAKNKSMPAPLDRKEVLVEHNLRSIENAPATSGIAKSSKEITDNINAEKTALGVNKTLSEEVFRNKEIEQNKMESHILSDRATNSVPTDAFSGVLGRNKPKIISSVMEARKYLAKKRTSTVNNMQADQVFQVKRVPGVTDVENGAHANTPNEEKIQNQSFSAKVADFSHMNKPPDNSCANAVVEMRHIDNVAKSKLNEGSIGFTKLPDSIDGFRMTQEKYLNNHDEIQDDKSGEILSFNGSLRKDNAENTNPLANSRDLGFEKLNNEHKVTNKIATVCSNCTSDGTHTSESHKISLGESCLNVGFPSAESYNNDGQSPSQEFNNAKDNNDSMAGSNFEKHKHDIYASDIRTDDTVSTDGSSVTDAQKVSMKNKTTELYDGQIVPDVKLDDPSVGNPFSGNGSFDYSNVAHDLGDLSRSKTSFIQDSVRVNCDTEAKPAIDEKTWLEKNFHEFDPIVKKIGVGFRENYMMAKEKTQDMPCLSAEISELGLTEGDEELEWMNDEKLRDIVFRVRENELSGHDPFHLMDANDKQAFFEGLERKAEKVNKKLVGLHEWIHSRVENLDYGADGISLDDPPEKIIPRWKGPSFDKTPEVLNNSALKRSTFLAGKVGASEALEDTSASSLQQQKTLNSSNSPLSPGNGGNYKARTLIESSDGSSRIGRKEGKEHWEHTKKWSQDFLDVYNSQTDPEIKSIMRDMGKDLDRWLTEKDRQDVADLVRRLSNRKRKFIEKKIDKLKREMQMFGPQAVVSKYREYSDEKEEDNLWWLDLRSVLCIELYTVEDGGNPRVGFYSLEMAADLELDPKQYHVIAFEDPGDAKNFCYIIQAHMDMLGSGKAFVIARPPKDAFRDAKADGFNVTVIRKGEIKLNVDQTLEEVEEEITEIGSKFYHDKIMRERGVDIRSLLKGVINAEKSSKR >DRNTG_21910.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1721703:1725139:1 gene:DRNTG_21910 transcript:DRNTG_21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHLVVHVDRLITPQRIEPEQVAEGLGSSGKVIQNVGSSALASSQVGSSSHKGTEEKIVIDDGDEKEPLIQTVECRICQEEDDIKNLETPCACSGSLKYAHRVCVQRWCTEKGDITCEICHESYKPGYTAPPRPQPDETTIDINGGWTITGAPLDLHDPRILAMAAAQRHFLEAEYDEYAATNASGAAFCRSAVLILMALLLLRHALSITNGDEDDDASTYFSLFLLRAAGFLLPCYIMAWAISILQQRRQRQEAAALAAREVAYILQSGQGRGLQFAIAPESPATPQQEPNQQ >DRNTG_04747.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:33780:34151:1 gene:DRNTG_04747 transcript:DRNTG_04747.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRRRRKRGSGVRFQWRCGGVRRRKRRSRVGERGRRCGERGKDGVGVGVGWS >DRNTG_02344.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1474435:1479334:-1 gene:DRNTG_02344 transcript:DRNTG_02344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSASHVHSLGSPSAFTSSPRIASSRSLFFGCRSRFVARQARPLRLRATRGPVRVAAEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGQRTTPSVVAYTKTGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDEESKQVSYRVVKDENGNVKLECPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRVVDWLAANFKRDEGIDLLKDKQALQRLTETAEKAKMELSSLTQTNISLPFITATADGPKHIETTITRAKFEELCSDLLDRLKTPVENALRDAKLSFTDLDEVILVGGSTRIPAVQELVKKMTGKDPNVTVNPDEVVALGAAVQAGVLSGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAVDKGTGKKQDITITGASTLPNDEVDRMVKEAERFAKEDKEKRDAIDTKNQADSVVYQTEKQLKELGDKVPAAVKEKVEAKLSELKDAISGGSTQGIKDAMAALNQEVMQIGQSLYNQPGGSPGAGPAPGADAGPAGSSDKGTDGGDVIDADFTDSK >DRNTG_13175.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25331349:25336534:-1 gene:DRNTG_13175 transcript:DRNTG_13175.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTPEQSSTPPLPRAFLDFLEENGIDPSIYVKGDTIPRYIRLKPGCENLVPGIEAELACELERVDWLPGFYAVPPQIKIASSEAYKSGKIYGMDAASGAAVLALDVSEGDHVLDLCAAPGAKLCMLSDLLGNSGSLTGVDVSRDRLAACRTMLLKYTLGDRCRLFIADGTSFSLLPLRPSMNVKSCVINGDGVASTFGEWTSKRSYKDRKNASKLKNSIGSQLALATSEPELFFYGKNSGVVGLQRTELFSVADNAEASNLGYDKVLVDAECTHDGSIKHIQKFEHWGWKTLDHRVLDAKRTNSLMHLQLQLLTNGFKLLKAGGTLVYSTCSLTFAQNEDVVERFLIKNHSAELQGVDAAKNWPCRSGRIPHTLRFDPNTSQTSGLFVAKFIKNYSKNAKEMNQLEKFTRIA >DRNTG_13175.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25332635:25336534:-1 gene:DRNTG_13175 transcript:DRNTG_13175.11 gene_biotype:protein_coding transcript_biotype:protein_coding MELGRLLSYLSSLMALYFFSSALTSGVINGDGVASTFGEWTSKRSYKDRKNASKLKNSIGSQLALATSEPELFFYGKNSGVVGLQRTELFSVADNAEASNLGYDKVLVDAECTHDGSIKHIQKFEHWGWKTLDHRVLDAKRTNSLMHLQLQLLTNGFKLLKAGGTLVYSTCSLTFAQNEDVVERFLIKNHSAGILIDVSVSNIKIL >DRNTG_13175.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25332635:25336534:-1 gene:DRNTG_13175 transcript:DRNTG_13175.15 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTPEQSSTPPLPRAFLDFLEENGIDPSIYVKGDTIPRYIRLKPGCENLVPGIEAELACELERVDWLPGFYAVPPQIKIASSEAYKSGKIYGMDAASGAAVLALDVSEGDHVLDLCAAPGAKLCMLSDLLGNSGSLTGVDVSRDRLAACRTMLLKYTLGDRCRLFIADGTSFSLLPLRPSMNVKSCTWNLEVFFPDKVKSIWS >DRNTG_13175.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25332635:25336534:-1 gene:DRNTG_13175 transcript:DRNTG_13175.13 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTPEQSSTPPLPRAFLDFLEENGIDPSIYVKGDTIPRYIRLKPGCENLVPGIEAELACELERVDWLPGFYAVPPQIKIASSEAYKSGKIYGMDAASGAAVLALDVSEGDHVLDLCAAPGAKLCMLSDLLGNSGSLTGVDVSRDRLAACRTMLLKYTLGDRCRLFIADGTSFSLLPLRPSMNVKSCVINGDGVASTFGEWTSKRSYKDRKNASKLKNSIGSQLALATSEPELFFYGKNSGVVGLQRTELFSVADNAEASNLGYDKVLVDAECTHDGSIKHIQKFEHWGWKTLDHRVLDAKRTNSLMHLQLQLLTNGFKLLKAGGTLVYSTCSLTFAQNEDVVERFLIKNHSAGILIDVSVSNIKIL >DRNTG_13175.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25332635:25336534:-1 gene:DRNTG_13175 transcript:DRNTG_13175.12 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTPEQSSTPPLPRAFLDFLEENGIDPSIYVKGDTIPRYIRLKPGCENLVPGIEAELACELERVDWLPGFYAVPPQIKIASSEAYKSGKIYGMDAASGAAVLALDVSEGDHVLDLCAAPGAKLCMLSDLLGNSGSLTGVDVSRDRLAACRTMLLKYTLGDRCRLFIADGTSFSLLPLRPSMNVKSCVINGDGVASTFGEWTSKRSYKDRKNASKLKNSIGSQLALATSEPELFFYGKNSGVVGLQRTELFSVADNAEASNLGYDKVLHEICVKRIAPPLAFACCNFEINITWKMFWSLYICRKLMLCKFLNLFAADISRMYLWILILNSLFIKFF >DRNTG_13175.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25332635:25336534:-1 gene:DRNTG_13175 transcript:DRNTG_13175.14 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTPEQSSTPPLPRAFLDFLEENGIDPSIYVKGDTIPRYIRLKPGCENLVPGIEAELACELERVDWLPGFYAVPPQIKIASSEAYKSGKIYGMDAASGAAVLALDVSEGDHVLDLCAAPGAKLCMLSDLLGNSGSLTGVDVSRDRLAACRTMLLKYTLGDRCRLFIADGTSFSLLPLRPSMNVKSCTWNLEVFFPDKVKSIWS >DRNTG_13175.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25332635:25336534:-1 gene:DRNTG_13175 transcript:DRNTG_13175.10 gene_biotype:protein_coding transcript_biotype:protein_coding MELGRLLSYLSSLMALYFFSSALTSGVINGDGVASTFGEWTSKRSYKDRKNASKLKNSIGSQLALATSEPELFFYGKNSGVVGLQRTELFSVADNAEASNLGYDKVLVDAECTHDGSIKHIQKFEHWGWKTLDHRVLDAKRTNSLMHLQLQLLTNGFKLLKAGGTLVYSTCSLTFAQNEDVVERFLIKNHSAELQGVDAAKNWPCRSGRIPHTLRFDPNTSQTSGLFVAKFIKVAT >DRNTG_13175.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25331349:25336534:-1 gene:DRNTG_13175 transcript:DRNTG_13175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGRLLSYLSSLMALYFFSSALTSGVINGDGVASTFGEWTSKRSYKDRKNASKLKNSIGSQLALATSEPELFFYGKNSGVVGLQRTELFSVADNAEASNLGYDKVLVDAECTHDGSIKHIQKFEHWGWKTLDHRVLDAKRTNSLMHLQLQLLTNGFKLLKAGGTLVYSTCSLTFAQNEDVVERFLIKNHSAELQGVDAAKNWPCRSGRIPHTLRFDPNTSQTSGLFVAKFIKNYSKNAKEMNQLEKFTRIA >DRNTG_13175.20.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25335600:25336184:-1 gene:DRNTG_13175 transcript:DRNTG_13175.20 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASGAAVLALDVSEGDHVLDLCAAPGNLVSFTLVIVIALSCVCCILVGEASACFCYFFCDI >DRNTG_13175.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25335600:25336534:-1 gene:DRNTG_13175 transcript:DRNTG_13175.19 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTPEQSSTPPLPRAFLDFLEENGIDPSIYVKGDTIPRYIRLKPGCENLVPGIEAELACELERVDWLPGFYAVPPQIKIASSEAYKSGKIYGMDAASGAAVLALDVSEGDHVLDLCAAPGNLVSFTLVIVIALSCVCCILVGEASACFCYFFCDI >DRNTG_13175.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25331349:25336534:-1 gene:DRNTG_13175 transcript:DRNTG_13175.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTPEQSSTPPLPRAFLDFLEENGIDPSIYVKGDTIPRYIRLKPGCENLVPGIEAELACELERVDWLPGFYAVPPQIKIASSEAYKSGKIYGMDAASGAAVLALDVSEGDHVLDLCAAPGAKLCMLSDLLGNSGSLTGVDVSRDRLAACRTMLLKYTLGDRCRLFIADGTSFSLLPLRPSMNVKSCVINGDGVASTFGEWTSKRSYKDRKNASKLKNSIGSQLALATSEPELFFYGKNSGVVGLQRTELFSVADNAEASNLGYDKVLVDAECTHDGSIKHIQKFEHWGWKTLDHRVLDAKRTNSLMHLQLQLLTNGFKLLKAGGTLVYSTCSLTFAQNEDVVERFLIKNHSAGILIDVSVSNIKIL >DRNTG_13175.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25332635:25336534:-1 gene:DRNTG_13175 transcript:DRNTG_13175.17 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTPEQSSTPPLPRAFLDFLEENGIDPSIYVKGDTIPRYIRLKPGCENLVPGIEAELACELERVDWLPGFYAVPPQIKIASSEAYKSGKIYGMDAASGAAVLALDVSEGDHVLDLCAAPGAKLCMLSDLLGNSGSLTGVDVSRDRLAACRTMLLKYTLGDRCRLFIADGTSFSLLPLRPSMNVKSCVINGDGVASTFGEWTSKRSYKDRKNASKLKNSIGSQLALATSEPELFFYGKNSGVVGLQRTELFSVADNAEASNLGYDKSVLMMDQSSTFRSLSIGDGKLLTIEF >DRNTG_13175.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25332635:25336534:-1 gene:DRNTG_13175 transcript:DRNTG_13175.9 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTPEQSSTPPLPRAFLDFLEENGIDPSIYVKGDTIPRYIRLKPGCENLVPGIEAELACELERVDWLPGFYAVPPQIKIASSEAYKSGKIYGMDAASGAAVLALDVSEGDHVLDLCAAPGAKLCMLSDLLGNSGSLTGVDVSRDRLAACRTMLLKYTLGDRCRLFIADGTSFSLLPLRPSMNVKSCVINGDGVASTFGEWTSKRSYKDRKNASKLKNSIGSQLALATSEPELFFYGKNSGVVGLQRTELFSVADNAEASNLGYDKVLVDAECTHDGSIKHIQKFEHWGWKTLDHRVLDAKRTNSLMHLQLQLLTNGFKLLKAGGTLVYSTCSLTFAQNEDVVERFLIKNHSAELQGVDAAKNWPCRSGRIPHTLRFDPNTSQTSGLFVAKFIKVAT >DRNTG_13175.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25332583:25336534:-1 gene:DRNTG_13175 transcript:DRNTG_13175.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTPEQSSTPPLPRAFLDFLEENGIDPSIYVKGDTIPRYIRLKPGCENLVPGIEAELACELERVDWLPGFYAVPPQIKIASSEAYKSGKIYGMDAASGAAVLALDVSEGDHVLDLCAAPGAKLCMLSDLLGNSGSLTGVDVSRDRLAACRTMLLKYTLGDRCRLFIADGTSFSLLPLRPSMNVKSCTWNLEVFFPDKVKSIWS >DRNTG_13175.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25332635:25336534:-1 gene:DRNTG_13175 transcript:DRNTG_13175.16 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTPEQSSTPPLPRAFLDFLEENGIDPSIYVKGDTIPRYIRLKPGCENLVPGIEAELACELERVDWLPGFYAVPPQIKIASSEAYKSGKIYGMDAASGAAVLALDVSEGDHVLDLCAAPGAKLCMLSDLLGNSGSLTGVDVSRDRLAACRTMLLKYTLGDRCRLFIADGTSFSLLPLRPSMNVKSCVINGDGVASTFGEWTSKRSYKDRKNASKLKNSIGSQLALATSEPELFFYGKNSGVVGLQRTELFSVADNAEASNLGYDKVLVDAECTHDGSIKHIQKFEHWGWKTLDHRVLDAKRTNSLMHLQLQLLTNGFKLLKAGGTLVYSTCR >DRNTG_13175.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25331349:25336534:-1 gene:DRNTG_13175 transcript:DRNTG_13175.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTPEQSSTPPLPRAFLDFLEENGIDPSIYVKGDTIPRYIRLKPGCENLVPGIEAELACELERVDWLPGFYAVPPQIKIASSEAYKSGKIYGMDAASGAAVLALDVSEGDHVLDLCAAPGAKLCMLSDLLGNSGSLTGVDVSRDRLAACRTMLLKYTLGDRCRLFIADGTSFSLLPLRPSMNVKSCTWNLEVSLMGMVLQVHLVNGRLRDHTKIGRTHLN >DRNTG_13175.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25332583:25336534:-1 gene:DRNTG_13175 transcript:DRNTG_13175.6 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTPEQSSTPPLPRAFLDFLEENGIDPSIYVKGDTIPRYIRLKPGCENLVPGIEAELACELERVDWLPGFYAVPPQIKIASSEAYKSGKIYGMDAASGAAVLALDVSEGDHVLDLCAAPGAKLCMLSDLLGNSGSLTGVDVSRDRLAACRTMLLKYTLGDRCRLFIADGTSFSLLPLRPSMNVKSCTWNLEVFFPDKVKSIWS >DRNTG_13175.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25332583:25336534:-1 gene:DRNTG_13175 transcript:DRNTG_13175.7 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTPEQSSTPPLPRAFLDFLEENGIDPSIYVKGDTIPRYIRLKPGCENLVPGIEAELACELERVDWLPGFYAVPPQIKIASSEAYKSGKIYGMDAASGAAVLALDVSEGDHVLDLCAAPGAKLCMLSDLLGNSGSLTGVDVSRDRLAACRTMLLKYTLGDRCRLFIADGTSFSLLPLRPSMNVKSCVINGDGVASTFGEWTSKRSYKDRKNASKLKNSIGSQLALATSEPELFFYGKNSGVVGLQRTELFSVADNAEASNLGYDKSVLMMDQSSTFRSLSIGDGKLLTIEF >DRNTG_13175.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25332583:25336534:-1 gene:DRNTG_13175 transcript:DRNTG_13175.8 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTPEQSSTPPLPRAFLDFLEENGIDPSIYVKGDTIPRYIRLKPGCENLVPGIEAELACELERVDWLPGFYAVPPQIKIASSEAYKSGKIYGMDAASGAAVLALDVSEGDHVLDLCAAPGAKLCMLSDLLGNSGSLTGVDVSRDRLAACRTMLLKYTLGDRCRLFIADGTSFSLLPLRPSMNVKSCVINGDGVASTFGEWTSKRSYKDRKNASKLKNSIGSQLALATSEPELFFYGKNSGVVGLQRTELFSVADNAEASNLGYDKVLVDAECTHDGSIKHIQKFEHWGWKTLDHRVLDAKRTNSLMHLQLQLLTNGFKLLKAGGTLVYSTCRITRG >DRNTG_13175.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25332635:25336534:-1 gene:DRNTG_13175 transcript:DRNTG_13175.18 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTPEQSSTPPLPRAFLDFLEENGIDPSIYVKGDTIPRYIRLKPGCENLVPGIEAELACELERVDWLPGFYAVPPQIKIASSEAYKSGKIYGMDAASGAAVLALDVSEGDHVLDLCAAPGAKLCMLSDLLGNSGSLTGVDVSRDRLAACRTMLLKYTLGDRCRLFIADGTSFSLLPLRPSMNVKSCTWNLEVSLMGMVLQVHLVNGRLRDHTKIGRTHLN >DRNTG_16802.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1824992:1829016:1 gene:DRNTG_16802 transcript:DRNTG_16802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLCNRREQANKWETYLCPDIHSKEAIFPIPDDDKPSDGNRELRLRPPVTRRQPGRPRRKRIELQEFDVRELHCSRWHESGHNHRSCNETVA >DRNTG_04898.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11197068:11197559:1 gene:DRNTG_04898 transcript:DRNTG_04898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSMVLWRVLYSSSKDSFVRRSPLSSWGKDGEKNTKIRAESALNRAGIGKLHGRGRYMRPCGISTRAWIISTRPCGFSVSLISRPAANSAATVIATVLL >DRNTG_17661.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16797324:16801412:1 gene:DRNTG_17661 transcript:DRNTG_17661.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVVLRASAAISQPLPLCPNESSHQSEHLARMLRANLHLNSLLTSYLKANQPLRALNLYAHARRTAAFVDNFALPSVLKACAQLSAVKQGMEVHGFAFKSGFYKDIFVYNALMQMYSECGSLGCAEMVFDEMPERDVVSWSTMIRSYARGRCFGEAVDLVEEMLWLGVKPSEIAMINIINLFADVRDVRAGRAMHACLLKNAFCELPGINASTALIDMYGKCGCVKVARKVFDQMSERSTASWSAMIAGYIRCEKLGDAMQLFRQMLDEKFYPNEITMLSLVLEYGLRGVLKMGKWLHCYVLRNGFKISNSLGTALVDMYGKCGDTRSARVLFDQLNSRDTMSWIAIISGYTQAGLLDKSFDIFHQMKEARAELNEVILVNLVSLCAENGALDRGKLVHAYIEKLGIGSGVVLVTALVDMYAKCGEIDEAYTLFSDTTKRDVCMWNAMLSGLAMHGHGNEVIELFSQMQEEGVKPNDVTLIALLHACSHSGLVEKGKEFFDRMEREFRLVPKVEHYGCMVDLLGRAGQLNEAYEMVLKMPVAPNIVVWGALLAACKIHKNPKLVDEVSREVRKLDPSSSGYNVLLSNIYAIQNRWNDVAEVRKAMRDTRVKKTPGLSSVELNGLVHEFVMGDDSHPQSKDIKVMLAEMQKMLKQAGHRVDTSVVLLNIDEEEKETSLAYHSEKLALAFSLINTAPGTPIRIVKNLRVCDDCHAATKILSKIYDRVIIMRDRNRFHRFSEGACSCQDYWWSVECATGKKGGRKFSPGLTKSFRILSL >DRNTG_17661.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16797324:16800285:1 gene:DRNTG_17661 transcript:DRNTG_17661.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYSECGSLGCAEMVFDEMPERDVVSWSTMIRSYARGRCFGEAVDLVEEMLWLGVKPSEIAMINIINLFADVRDVRAGRAMHACLLKNAFCELPGINASTALIDMYGKCGCVKVARKVFDQMSERSTASWSAMIAGYIRCEKLGDAMQLFRQMLDEKFYPNEITMLSLVLEYGLRGVLKMGKWLHCYVLRNGFKISNSLGTALVDMYGKCGDTRSARVLFDQLNSRDTMSWIAIISGYTQAGLLDKSFDIFHQMKEARAELNEVILVNLVSLCAENGALDRGKLVHAYIEKLGIGSGVVLVTALVDMYAKCGEIDEAYTLFSDTTKRDVCMWNAMLSGLAMHGHGNEVIELFSQMQEEGVKPNDVTLIALLHACSHSGLVEKGKEFFDRMEREFRLVPKVEHYGCMVDLLGRAGQLNEAYEMVLKMPVAPNIVVWGALLAACKIHKNPKLVDEVSREVRKLDPSSSGYNVLLSNIYAIQNRWNDVAEVRKAMRDTRVKKTPGLSSVELNGLVHEFVMGDDSHPQSKDIKVMLAEMQKMLKQAGHRVDTSVVLLNIDEEEKETSLAYHSEKLALAFSLINTAPGTPIRIVKNLRVCDDCHAATKILSKIYDRVIIMRDRNRFHRFSEGACSCQDYW >DRNTG_17661.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16803136:16806219:1 gene:DRNTG_17661 transcript:DRNTG_17661.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESLMHRMRRRSSSSPALAAAEKKNLTVGILSFEVASAMSRAVLLYRSLSDAEISRLRSDTLASHAIRSLVSSDEHYLLSLSLAEKLDSLNRIAAVAARLGRRCSHPSLSGFEHVYSDLLCARLDPARLGFLTKDIDGAVRKMERLVASTTALYAELEALNDLEQSAKKLALPTDESRRALDQKIQWQRHDVRHLRDSSLWNQTFDKVVLLLARSVCTIHAKLRVVFGLDSIEFSESRQLCHRPGVSGPIRSENRDFQSENLRLHCGTSPRRLLLECLSLSSSAPLKDEFTEEHFESESYYFTGSLVPYSGVPFSGEQKCGKNGKSWFGPKSSLTMLAPGSSVGGSALGLHYANVIIIIEKLLRYPHLVGEEARDDLYQMLPSSLRMALKKSLKSYVKNLAIYDAHMAHDWKEAMEKILSWLAPMAHNMIRWQTERNFEQQQIVLRSNVLLIETLYFADRMKMEAAICELLIGLNYICRYEQQQNALLDCTSSLDFDDCMDWKMQILNLPSIVLI >DRNTG_17661.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16802362:16807241:1 gene:DRNTG_17661 transcript:DRNTG_17661.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSSSSPALAAAEKKNLTVGILSFEVASAMSRAVLLYRSLSDAEISRLRSDTLASHAIRSLVSSDEHYLLSLSLAEKLDSLNRIAAVAARLGRRCSHPSLSGFEHVYSDLLCARLDPARLGFLTKDIDGAVRKMERLVASTTALYAELEALNDLEQSAKKLALPTDESRRALDQKIQWQRHDVRHLRDSSLWNQTFDKVVLLLARSVCTIHAKLRVVFGLDSIEFSESRQLCHRPGVSGPIRSENRDFQSENLRLHCGTSPRRLLLECLSLSSSAPLKDEFTEEHFESESYYFTGSLVPYSGVPFSGEQKCGKNGKSWFGPKSSLTMLAPGSSVGGSALGLHYANVIIIIEKLLRYPHLVGEEARDDLYQMLPSSLRMALKKSLKSYVKNLAIYDAHMAHDWKEAMEKILSWLAPMAHNMIRWQTERNFEQQQIVLRSNVLLIETLYFADRMKMEAAICELLIGLNYICRYEQQQNALLDCTSSLDFDDCMDWKMQILNLPSIVLI >DRNTG_17661.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16797324:16806219:1 gene:DRNTG_17661 transcript:DRNTG_17661.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESLMHRMRRRSSSSPALAAAEKKNLTVGILSFEVASAMSRAVLLYRSLSDAEISRLRSDTLASHAIRSLVSSDEHYLLSLSLAEKLDSLNRIAAVAARLGRRCSHPSLSGFEHVYSDLLCARLDPARLGFLTKDIDGAVRKMERLVASTTALYAELEALNDLEQSAKKLALPTDESRRALDQKIQWQRHDVRHLRDSSLWNQTFDKVVLLLARSVCTIHAKLRVVFGLDSIEFSESRQLCHRPGVSGPIRSENRDFQSENLRLHCGTSPRRLLLECLSLSSSAPLKDEFTEEHFESESYYFTGSLVPYSGVPFSGEQKCGKNGKSWFGPKSSLTMLAPGSSVGGSALGLHYANVIIIIEKLLRYPHLVGEEARDDLYQMLPSSLRMALKKSLKSYVKNLAIYDAHMAHDWKEAMEKILSWLAPMAHNMIRWQTERNFEQQQIVLRSNVLLIETLYFADRMKMEAAICELLIGLNYICRYEQQQNALLDCTSSLDFDDCMDWKMQILNLPSIVLI >DRNTG_17661.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16797324:16799641:1 gene:DRNTG_17661 transcript:DRNTG_17661.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVVLRASAAISQPLPLCPNESSHQSEHLARMLRANLHLNSLLTSYLKANQPLRALNLYAHARRTAAFVDNFALPSVLKACAQLSAVKQGMEVHGFAFKSGFYKDIFVYNALMQMYSECGSLGCAEMVFDEMPERDVVSWSTMIRSYARGRCFGEAVDLVEEMLWLGVKPSEIAMINIINLFADVRDVRAGRAMHACLLKNAFCELPGINASTALIDMYGKCGCVKVARKVFDQMSERSTASWSAMIAGYIRCEKLGDAMQLFRQMLDEKFYPNEITMLSLVLEYGLRGVLKMGKWLHCYVLRNGFKISNSLGTALVDMYGKCGDTRSARVLFDQLNSRDTMSWIAIISGYTQAGLLDKSFDIFHQMKEARAELNEVILVNLVSLCAENGALDRGKLVHAYIEKLGIGSGVVLVTALVDMYAKCGEIDEAYTLFSDTTKRDVCMWNAMLSGLAMHGHGNEVIELFSQMQEEGVKPNDVTLIALLHACSHSGLVEKGKEFFDRMEREFRLVPKVEHYGCMVDLLGRAGQLNEAYEMVLKMPVAPNIVVWGALLAACKIHKNPKLVDEVSREVRKLDPSSSGYNVLLSNIYAIQNRWNDVAEVRKAMRDTRVKKTPGLSSVELNGLVHEFVMGDDSHPQSKDIKVMLAEMQKMLKQAGHRVDTSVVLLNIDEEEKETSLAYHSEKLALAFSLINTAPGTPIRIVKNLRVCDDCHAATKILSKIYDRVIIMRDRNRFHRFSEGACSCQDYW >DRNTG_05627.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6539723:6540439:-1 gene:DRNTG_05627 transcript:DRNTG_05627.1 gene_biotype:protein_coding transcript_biotype:protein_coding NYSKSLANTPLNPSHKPLKSWRKDGKKDPLIGLNCGFK >DRNTG_17088.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17329236:17334320:1 gene:DRNTG_17088 transcript:DRNTG_17088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFKSSHIRLIETFLKSGTILAGYSSESQVLRLKMKGFTEGTEPTVCIRVILEQRAQNRPGAGIPEIYAASLKLQSELPLFRRMIWNWKRTLFVWISTCLFIFQLLIALVCCRPLIVPRMRLTDGPPRRR >DRNTG_17088.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17332584:17334320:1 gene:DRNTG_17088 transcript:DRNTG_17088.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFKSSHIRLIETFLKSGTILAGYSSESQVLRLKMKGFTEGTEPTVCIRVILEQRAQNRPGAGIPEIYAASLKLQSELPLFRRMIWNWKRTLFVWISTCLFIFQLLIALVCCRPLIVPRMRLTDGPPRRR >DRNTG_17088.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17328606:17333100:1 gene:DRNTG_17088 transcript:DRNTG_17088.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLSFLFVTDPFGTLILAKDSVKDRFLRIFKFLMEKLSPVVVELIGGRLGVGKFVMRLACGFFWVTYVCLVLSGILVTAFLIGMVVMGRVVAEPVSIVEELSFDYTKASPDAFVPLVSCGGGHCGFECPENVGVGRRLVPPNHRLQLTISLTLPESDYNRNLGVFQIRTEFLSGNGGVISSSSQPCMLQFKSSHIRLIETFLKSGTILAGYSSESQVLRLKMKGFTEGTEPTVCIRVILEQRAQNRPGAGIPEIYAASLKLQSELPLFRRMIWNWKRTLFVWISTCLFIFQLLIALVCCRPLIVPRMRLT >DRNTG_24392.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2697019:2697851:1 gene:DRNTG_24392 transcript:DRNTG_24392.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTLVLLFCTLVCTATARKLASHNAAFKEQKTYFHHGGGLGVGGGGGGGLGGGGGLGGGAGLGGGAGLGGGAGLGGGGGGGFGGGGGGGLGGGVGGGAGGGFGGGVGAGGGAGGGGGFGGGGGFGGGAGGGFGAGAGVGIGGGFP >DRNTG_24392.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2697019:2697851:1 gene:DRNTG_24392 transcript:DRNTG_24392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTLVLLFCTLVCTATARKLASHNAAFKEQKTYFHHGGGLGVGGGGGGGLGGGGGLGGGAGLGGGAGLGGGAGLGGGGGGGFGGGGGGGLGGGVGGGAGGGFGGGVGAGGGAGGGGGFGGGGGFGGGGGLGGGGGAGGGFGAGAGVGIGGGFP >DRNTG_24870.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20116086:20118762:1 gene:DRNTG_24870 transcript:DRNTG_24870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGIDKEHHLLHKLTASVTNQSSPMDILKQLESMPRDTFSWKIQLLKSATRYANSHLHAVKAEVLILASCQDSILPSRDEAERLFEILLNCKVHYLHDSGHSLLLDPSVNLLTIIKGTGFYRHSREHNDVTDFMPPTMMELKALDNFNRFVSEITSPAMFSTLEDGKIVRGLSGIPNDGPVLLVGNHMLLGVESIPLVAQFLHEKRVVLRGIAHPVLFPKRTESSSQGPCSFTLAKVFGGVPVSFSNFYHLLSVKAFVLLYPGGAREALRRKGEEYKLIWPPQPEFVRVAARFGATIVPFGVVGEDDMGEVVLDYDDLMKMPVIKDVIKGFNQTIRKQDVFIPCILPKIPGRYYFLFGKPITTKGKDILNNRNEANALYLHVKSVAENCISYLIEKREEDIYRSICQRTLHQVLKGSHQIIPSFEP >DRNTG_24870.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20114975:20118762:1 gene:DRNTG_24870 transcript:DRNTG_24870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIPLPTFPFLSQSHLQCQQTPVLKNPWSIGSHRARVRVRVGDGGFKGLEVLYEDGHGTVGISEFIDAMKDFEYLGEDEGGPLRWFCPANPGLPPIKDAPVLLFLPGVDGNGMGLLLHHKALGRVFEVRCLHVPIRNRTPFEELVKFVEAAVIVEHLIDGNKPIYLLGHSFGGCLALSVAARNPDANLVLILSNPATSFDRSNLQPFLPILSSSHHLSIIIPCLLSFNVVNLVKMALDGIDKEHHLLHKLTASVTNQSSPMDILKQLESMPRDTFSWKIQLLKSATRYANSHLHAVKAEVLILASCQDSILPSRDEAERLFEILLNCKVHYLHDSGHSLLLDPSVNLLTIIKGTGFYRHSREHNDVTDFMPPTMMELKALDNFNRFVSEITSPAMFSTLEDGKIVRGLSGIPNDGPVLLVGNHMLLGVESIPLVAQFLHEKRVVLRGIAHPVLFPKRTESSSQGPCSFTLAKVFGGVPVSFSNFYHLLSVKAFVLLYPGGAREALRRKGEEYKLIWPPQPEFVRVAARFGATIVPFGVVGEDDMGEVVLDYDDLMKMPVIKDVIKGFNQTIRKQDVFIPCILPKIPGRYYFLFGKPITTKGKDILNNRNEANALYLHVKSVAENCISYLIEKREEDIYRSICQRTLHQVLKGSHQIIPSFEP >DRNTG_24870.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20114975:20118762:1 gene:DRNTG_24870 transcript:DRNTG_24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIPLPTFPFLSQSHLQCQQTPVLKNPWSIGSHRARVRVRVGDGGFKGLEVLYEDGHGTVGISEFIDAMKDFEYLGEDEGGPLRWFCPANPGLPPIKDAPVLLFLPGVDGNGMGLLLHHKALGRVFEVRCLHVPIRNRTPFEELVKFVEAAVIVEHLIDGNKPIYLLGHSFGGCLALSVAARNPDANLVLILSNPATSFDRSNLQPFLPILSSSHHLSIIIPCLLSFNVVNLVKMALDGIDKEHHLLHKLTASVTNQSSPMDILKQLESMPRDTFSWKIQLLKSATRYANSHLHAVKAEVLILASCQDSILPSRDEAERLFEILLNCKVHYLHDSGHSLLLDPSVNLLTIIKGTGFYRHSREHNDVTDFMPPTMMELKALDNFNRFVSEITSPAMFSTLEDGKIVRGLSGIPNDGPVLLVGNHMLLGVESIPLVAQFLHEKRVVLRGIAHPVLFPKRTESSSQGPCSFTLAKVFGGVPVSFSNFYHLLSVKAFVLLYPGGAREALRRKGEEYKLIWPPQPEFVRVAARFGATIVPFGVVGEDDMGEVVLDYDDLMKMPVIKDVIKGFNQTISVKITGESRKQDVFIPCILPKIPGRYYFLFGKPITTKGKDILNNRNEANALYLHVKSVAENCISYLIEKREEDIYRSICQRTLHQVLKGSHQIIPSFEP >DRNTG_24870.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20114975:20118762:1 gene:DRNTG_24870 transcript:DRNTG_24870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLHHKALGRVFEVRCLHVPIRNRTPFEELVKFVEAAVIVEHLIDGNKPIYLLGHSFGGCLALSVAARNPDANLVLILSNPATSFDRSNLQPFLPILSSSHHLSIIIPCLLSFNVVNLVKMALDGIDKEHHLLHKLTASVTNQSSPMDILKQLESMPRDTFSWKIQLLKSATRYANSHLHAVKAEVLILASCQDSILPSRDEAERLFEILLNCKVHYLHDSGHSLLLDPSVNLLTIIKGTGFYRHSREHNDVTDFMPPTMMELKALDNFNRFVSEITSPAMFSTLEDGKIVRGLSGIPNDGPVLLVGNHMLLGVESIPLVAQFLHEKRVVLRGIAHPVLFPKRTESSSQGPCSFTLAKVFGGVPVSFSNFYHLLSVKAFVLLYPGGAREALRRKGEEYKLIWPPQPEFVRVAARFGATIVPFGVVGEDDMGEVVLDYDDLMKMPVIKDVIKGFNQTIRKQDVFIPCILPKIPGRYYFLFGKPITTKGKDILNNRNEANALYLHVKSVAENCISYLIEKREEDIYRSICQRTLHQVLKGSHQIIPSFEP >DRNTG_22886.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001253.1:37400:39246:1 gene:DRNTG_22886 transcript:DRNTG_22886.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVGFLIQKLGDLLVQEAINLHGVRDEVEWLERELRRMQCFLKDADAKKSQGDDERVKNWVSDMRDMAFEVEDIVDTFMYCKLRRQQRQSGCIGFIKRFVFIIDELVSRHKVHVDVEGIKTKLQELSRSREVYGIETIGTTSQSKSQGMIPILPQLSDDIDMVGFDDEKKKIVQELVDINNTNRSVISIVGMGGLGKTTLAKSIYNDFEVKRSFDIFAWVIISQQYTIHEVLKRISSKILATPSADTIQDLSVAIFEKLKKGKYLIVLDDVWKEDVWNELLKVFPNVNKGSRVIITTRITNVAKIANPTTKSHELRCLDEKESG >DRNTG_09250.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000397.1:117272:119455:1 gene:DRNTG_09250 transcript:DRNTG_09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVMCTNAKEKEKKDSMLKKIWREVRGKKKKAHRGREKIPETFHYSSRAL >DRNTG_25316.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20346005:20346325:1 gene:DRNTG_25316 transcript:DRNTG_25316.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLWGNWRNNFQRPLSFFWDLLARAITWNIWIERNARIFSSSCASFASLIVKIIHMFLIWLNAAPDFKRAKLEEPIKKIKRSLEFISAREVEHHVPPEDSSYPGDV >DRNTG_20393.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19298212:19298489:-1 gene:DRNTG_20393 transcript:DRNTG_20393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISRLPKPATSPYDFGEKNPEVRPLHPVFFPSTRLGFASPSLHLPSSAATRPLSRRLLRPFISSG >DRNTG_07836.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:100306:100748:-1 gene:DRNTG_07836 transcript:DRNTG_07836.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLPLLLVFICQKMRMELENLTQQILPTA >DRNTG_11394.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14145932:14150257:1 gene:DRNTG_11394 transcript:DRNTG_11394.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLKEKKEMGRWVTFSESLILVDDSINAITGEVPILSEFVGDIKGNMSSSTPNFSSTPFQPGTNSSTSRVVLEGDFSMSKRFDVDDDGNANKSTNPN >DRNTG_01659.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23940615:23942483:-1 gene:DRNTG_01659 transcript:DRNTG_01659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAMAMSEDGRVGVIWDILSRAPSADVDSALSRCGIIPTTDLVDSILALSYSSPAGAIKFFRWSGLSLNHTPCSWNLMVDILGRNCLFEPMWDAIRSMKHHSALSLSTFVSAFSAYCSASRFKEAVMSFDVMDRYGVPQDSLAVNSLISALFQNPDPLSSQTAADFFDRIKSRVPPDPDTFDILLQGWERTSNVSRAKNTFGEMVIRVGWEAATMSSFEAFLMTLIRGEQPDEAIKFLQVMKNNNRLPGINFFASALNVFIDHNDSVHALALWEIMVFQGGLVPNRVMCNTIISQLCKSDMIQDAYNVLDGMTLNGVFPDSLPYNTIFKCLIQNKRVHDAGSFFNEMRKNEQLPSPSNCASAIRMFFSEYDPIMAMEVWDYMLKEHVSPTDDAANELLIGLKDLGRLSEDC >DRNTG_17427.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6039898:6041308:-1 gene:DRNTG_17427 transcript:DRNTG_17427.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFNIACLLIIFSLTIHQTSSLSSEYYSKTCPKAEEAVTEAVKAATANDPTVPAALLRMHFHDCFIRGCDGSVLLKSNGNNKAEKDGPPNISLHAFYVIDNAKKSLEYMCPGVVSCADILALAARDAVVLSGGPTWEVPKGRKDGRISKASETTQLPAPTFNLSQLQQSFSQRGLSVEDLVALSGGHTLGFSHCSSFQNRIHNFDSINDVDPTLNPSFASSLRSVCPMHNKVKNAGSTMDSTSTTFDNIYYKLLLQGKSLFSSDQSLLSHPKTKALVSLFASSHDAFSQAFVKSMIKMSSLNGGQEVRLDCKVVN >DRNTG_06829.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:8382034:8386453:1 gene:DRNTG_06829 transcript:DRNTG_06829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKASPIALFQRNSMPKTNDASSSPRDAECFSSFDDEGSPLSSSS >DRNTG_22702.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1393925:1397735:-1 gene:DRNTG_22702 transcript:DRNTG_22702.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKDPTGHLSSWVGEDCCSWRGLTCDETTHHITHLDLQNPDPLNDDDDFPYNQGSLLGKVSPSLQKLKHLKYLDLSGNYFGNSSIPVFIGYFKHLRYLNLSATGFIGNIPPELGNLSHLHYLDLYSPTYALYLDDAQWLSGLSSLLYLDMSCVDQSGLSDWFPILNMLPVIRQIHLYDCMLEIIPKSLSHINFTSLSVLDLSNNYFNTTIPEFLYDIISLEHLDLRWSAFVGPVSAAIGNMTLLKYLGMSGMKIEGSLPRDMSSLCNLQTLHLTHSLEGHNLAELEEMFNGCIKDSLEELHVGDNAFAVPFPDWISDLKHLKVLDLSSNRLYGPIPASIGKLSDLQILQLPLNEFNESISESLGQLQELIDLNLGINNFNTILTEEHFANLTKLKKLNLQESSLQLRFDAEWIPPFQLEVIQMRSCQLGPKFPPWLRTQEKATFLDMSYAGIVDSIPDWFWNVTSHVGTLYLNNNEIRGKLPRSPKFQLNAEVLIDMSSNHFEGELPYFPSNVVELYLWNNSFTGPIPPSINEKMPKLAYLSFAQNNFSGHLPESLCNLTELVVLDLSENHLIGEILDCWERAQGIKFLNLAGSKLFGGFPRSIGSLKSLQLLDLSNNYLSGELPEISMNLTELHTLDLSRNRFTGRIPIWLGESLPEMKILNLRLNQFVGTIPSQMANFTNLHVLDLSNNHLSGTIPRSFGNLEGMKKTASERGGGGEFEDSTSKGLVMTMWLVTKGRYYAYDKLLSLLTFMDLSMNNLSGRIPHKLMDLSGMNTLNLSGNHLTGEITERIGLLQLLETLDLSRNKLSGPIPLNLSSLSFLHHLNLSNNNLSGRIPTGGQLNTLLDPSIYEGNPNLCGVPLLRSCPGDEPSQQNKSRTADGQDGHQDDILDEILFYVFVILGFLTGFWAVSSILILNRTWRITFFRFTDTVYDKIYVITLVNINKIKRILWHKGLIKN >DRNTG_22702.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1393925:1398994:-1 gene:DRNTG_22702 transcript:DRNTG_22702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKDPTGHLSSWVGEDCCSWRGLTCDETTHHITHLDLQNPDPLNDDDDFPYNQGSLLGKVSPSLQKLKHLKYLDLSGNYFGNSSIPVFIGYFKHLRYLNLSATGFIGNIPPELGNLSHLHYLDLYSPTYALYLDDAQWLSGLSSLLYLDMSCVDQSGLSDWFPILNMLPVIRQIHLYDCMLEIIPKSLSHINFTSLSVLDLSNNYFNTTIPEFLYDIISLEHLDLRWSAFVGPVSAAIGNMTLLKYLGMSGMKIEGSLPRDMSSLCNLQTLHLTHSLEGHNLAELEEMFNGCIKDSLEELHVGDNAFAVPFPDWISDLKHLKVLDLSSNRLYGPIPASIGKLSDLQILQLPLNEFNESISESLGQLQELIDLNLGINNFNTILTEEHFANLTKLKKLNLQESSLQLRFDAEWIPPFQLEVIQMRSCQLGPKFPPWLRTQEKATFLDMSYAGIVDSIPDWFWNVTSHVGTLYLNNNEIRGKLPRSPKFQLNAEVLIDMSSNHFEGELPYFPSNVVELYLWNNSFTGPIPPSINEKMPKLAYLSFAQNNFSGHLPESLCNLTELVVLDLSENHLIGEILDCWERAQGIKFLNLAGSKLFGGFPRSIGSLKSLQLLDLSNNYLSGELPEISMNLTELHTLDLSRNRFTGRIPIWLGESLPEMKILNLRLNQFVGTIPSQMANFTNLHVLDLSNNHLSGTIPRSFGNLEGMKKTASERGGGGEFEDSTSKGLVMTMWLVTKGRYYAYDKLLSLLTFMDLSMNNLSGRIPHKLMDLSGMNTLNLSGNHLTGEITERIGLLQLLETLDLSRNKLSGPIPLNLSSLSFLHHLNLSNNNLSGRIPTGGQLNTLLDPSIYEGNPNLCGVPLLRSCPGDEPSQQNKSRTADGQDGHQDDILDEILFYVFVILGFLTGFWAVSSILILNRTWRITFFRFTDTVYDKIYVITLVNINKIKRILWHKGLIKN >DRNTG_29259.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20998555:20999223:1 gene:DRNTG_29259 transcript:DRNTG_29259.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLFPFCFCSCISDSEERTPLHWAVDRGHFDVVEILIHRSADVNAEDHEGQTPLHYAVLCEREAIAKLLVEHNANLNLKDKDGNTPGDLLGSSWVFMSSPN >DRNTG_29259.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20998924:20999223:1 gene:DRNTG_29259 transcript:DRNTG_29259.2 gene_biotype:protein_coding transcript_biotype:protein_coding LDVLLVVQDHEGQTPLHYAVLCEREAIAKLLVEHNANLNLKDKDGNTPGDLLGSSWVFMSSPN >DRNTG_13193.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2451193:2451641:1 gene:DRNTG_13193 transcript:DRNTG_13193.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQARHLGYHIQERKNTRMLWPLTETWMSWSPPSLNNDGYGGSTGAEAILNKLLHRQKMAVAPLPLLRSSSTSTNYPDAISATAASSLPPSPPPASPRDRTHTSR >DRNTG_13193.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2448559:2451641:1 gene:DRNTG_13193 transcript:DRNTG_13193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWPLTETWMSWSPPSLNNDGYGGSTGAEAILNKLLHRQKMAVAPLPLLRSSSTSTNYPDAISATAASSLPPSPPPASPRDRTHTSR >DRNTG_16834.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18077747:18078907:-1 gene:DRNTG_16834 transcript:DRNTG_16834.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAQRPQFFKVLQQGCKLSIPLAFVKHISDDNHGKATIFSLGKFWHVKIQADGEELFFGDGWDELVSALELNEGFSLVFRYEGNMVFTIKVFDKSGCRQFNTLKKRKLISPADDTSTEINAMKNDVRSINIEKSKLPGNIQRMELK >DRNTG_11738.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000518.1:14633:15053:-1 gene:DRNTG_11738 transcript:DRNTG_11738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNVPTHLGCIVADVLRYQCLYYCFSYPKEPWEQRGYNGGFQTAELCAIQSAQCTDLMAHFDFLQDLLRSKPSASPAHPSPITAPVDPPYVSPPPVVAEELTQRDTDI >DRNTG_12585.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15612123:15613102:1 gene:DRNTG_12585 transcript:DRNTG_12585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETVDSDLALKIYIKARATPKVVATFVEWREFDKILIYSKQTWTFEGHIETQNLGKKDWCEAATKTSNIYWMGCTSR >DRNTG_28097.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1558689:1560196:1 gene:DRNTG_28097 transcript:DRNTG_28097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPKLEHTVHLRLLNLEYTNICKLPDSLGNLINLQFLLLNGCKSLHILPKSVTKLNNLRWLQISNTPLNYVPKGIGKLKHLHHVKGLIIRNSGDDGEEGCNLEELQMLEKLSYLFMFIRKAHSLSRVSHLPALKELVVADCPMMECVEKLESLQSLMVIDHQVDNTSFLQWLISYLEEPIDNQENNTSFPQWLISFLQQREEKPHEDDLFKLYLECSAQSLKGCLKGRPHWSFIQQVPRFIGYAEHRCMYMKYTKEPYYYETNIDHWYANY >DRNTG_01159.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23487803:23512598:-1 gene:DRNTG_01159 transcript:DRNTG_01159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKLSRGNPNIIGSSLPITDTSPLRGPPTTRSRRRNLSISRLTPNIVA >DRNTG_25976.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001342.1:46863:54098:1 gene:DRNTG_25976 transcript:DRNTG_25976.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMNAAAKTKTSEAMDLDASCSICLELVQDRGERSIAKLQCGHEFHLDCIGSAFNVKGAMQCPNCRKVEKGRWLYATGNRSSAEFDFEGLTVGEILGLYSSELPFGIQWCPFRGFPQLASLFEEGELQPSSYHELMGNIGFGDHSSASSSTQVCPYLAMHGFPHSMHTAPSTADVVPDNGRFHRLATSLGGQSSAEIMNSHSYSAIEAQNHSWQQQPSLTFSISANVDQPPSQLGLRLPRNDPGGQQGVGSFIHPRPFLHGSAARNASNMGTQLGPPVMGDARAHTRAHGGHIYQQSVPSSAVRGSPFPPVRRTRPRGLALTVAPPSSAEPSAGFYGFSVSGSVSRSVQDGENIGRHFDRTFGWGRDSFPPLPWIPLETESQWWGPFNPPNPNAQPGATDSVTRNFFPQRPTSERATQSRQDNGYQRIPPPAMPPFM >DRNTG_11466.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18547081:18551227:1 gene:DRNTG_11466 transcript:DRNTG_11466.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-III [Source:Projected from Arabidopsis thaliana (AT3G23710) UniProtKB/TrEMBL;Acc:A0A178V7Q4] MDNPFSSLKAHLQSTLHDLRSRAQHAFHSSIARFTPHSTLNNLPLARISFAVPRKDSFIEERLAGVPVYALSNSAGEFVLMSSAGSGKSLGLFCFREEDAAALLDQMRSMNSDMKQGSKVVAVALNKVVQLKVDGVAFRFIPDSSQVANAIKEKGKIGESVDSFPGVPVFQSRSLVLKSQNKRYRPVFFRMEDLDDSLYRASHEQSRLNPALRRGDLQVAVLEDIVGELKGNSASEWDDVVFVPPGLKLSSDLTQETPTATK >DRNTG_34096.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23002652:23007513:-1 gene:DRNTG_34096 transcript:DRNTG_34096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRRTLLRFHQTLSLIPHRSPNSLPRSSLAGLASRLYSDHASIDVDLSTEEAQRRLRNRLLYRSRQRGFLELDLVLGNWVEENIRSMDELRIRALMDVLDLENPDLWKWLTVQEQPPEAVSNNIVFTAIQSKVLRNLDKHSSPETRANPGQPWVRGWDDKRGLDGPKYGNQ >DRNTG_32043.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14581259:14582861:1 gene:DRNTG_32043 transcript:DRNTG_32043.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKETEQKDKIMPIAKGEEISKRGPSRHQLVARA >DRNTG_07102.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22316922:22323396:1 gene:DRNTG_07102 transcript:DRNTG_07102.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine carboxyl methyltransferase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G02100) UniProtKB/Swiss-Prot;Acc:Q8VY08] MAGAPSDFHSSTAAVQATNDDAAASKLSCVSKGYMKDEYVHLFVRRPVRRSPIINRGYYARWAALHKLLVQFLKPEGHKDDNDCVKKQILSLGAGFDTTYFQLMDKGIAPHLYVELDFKEVTSKKAALIEHCSQLREKVGLEAPISRERGEVISDHYKLLPVDLRDISKLDAIISLAKLDPSLPTFIIAECVLIYLDPDSTKAIVGWASKTFSTAVFFLYEQIHPNDAFGEQMIRNLESRGCPLLGIYATPTLRDKENLFLEQGWQVCL >DRNTG_07102.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22316922:22323396:1 gene:DRNTG_07102 transcript:DRNTG_07102.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine carboxyl methyltransferase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G02100) UniProtKB/Swiss-Prot;Acc:Q8VY08] MAGAPSDFHSSTAAVQATNDDAAASKLSCVSKGYMKDEYVHLFVRRPVRRSPIINRGYYARWAALHKLLVQFLKPEGHKDDNDCVKKQILSLGAGFDTTYFQLMDKGIAPHLYVELDFKEVTSKKAALIEHCSQLREKVGLEAPISRERGEVISDHYKLLPVDLRDISKLDAIISLAKLDPSLPTFIIAECVLIYLDPDSTKAIVGWASKTFSTAVFFLYEQIHPNDAFGEQMIRNLESRGCPLLGIYATPTLRDKENLFLEQGWQRAVAWDMLKVYNEFIATQERRRIERLELFDEFEEWHMMQEHYCVAYAINDDKDLFKDFGFSNNQHIHNTSSEIHPHREEDH >DRNTG_09550.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22700706:22708401:1 gene:DRNTG_09550 transcript:DRNTG_09550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVINKERKGDYLGKTVQVVPHITDAIQEWIERVAMVPVDGKEGPADVCVIELGGTIGDIESMPFIEALGRFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRTLGLTPNVLACRSARPLEDNVKEKLSQFCHVPAANIITLHDVSNIWHIPLLLRDQKAHEAILKDLNLLSVTGEPKLEKWMARAKLCDTLCDPVRIAMVGKYTGLSDSYLSVLKALLHASLRCRKKLVVEWVASTDLEDETAKEAPDSYEAAWKLLKGAHGILVPGGFGDRGVQGKILAAKYARENKVPFLGICLGMQIAVIEFARSILKLKDANSTEFDPDTLNPCVIFMPEGSKTHMGGTMRLGSRRTYFKVIDCKSAKLYGNVSYVDERHRHRYEVNPEMIMELERAGLKFFGRDETGRRMEILELPDHPYFVGAQFHPEFKSRPEKPSALFLGLIAASCGQLDFFLKNSNWNLTSSVAINGFSTPKPCQNGISKKPSKISMNGTFYSNGNSNGNRRTCLRNLSALVADLFRSSFHFCNFVSPLYANVQFVS >DRNTG_09550.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22697670:22708401:1 gene:DRNTG_09550 transcript:DRNTG_09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVILKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVINKERKGDYLGKTVQVVPHITDAIQEWIERVAMVPVDGKEGPADVCVIELGGTIGDIESMPFIEALGRFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRTLGLTPNVLACRSARPLEDNVKEKLSQFCHVPAANIITLHDVSNIWHIPLLLRDQKAHEAILKDLNLLSVTGEPKLEKWMARAKLCDTLCDPVRIAMVGKYTGLSDSYLSVLKALLHASLRCRKKLVVEWVASTDLEDETAKEAPDSYEAAWKLLKGAHGILVPGGFGDRGVQGKILAAKYARENKVPFLGICLGMQIAVIEFARSILKLKDANSTEFDPDTLNPCVIFMPEGSKTHMGGTMRLGSRRTYFKVIDCKSAKLYGNVSYVDERHRHRYEVNPEMIMELERAGLKFFGRDETGRRMEILELPDHPYFVGAQFHPEFKSRPEKPSALFLGLIAASCGQLDFFLKNSNWNLTSSVAINGFSTPKPCQNGISKKPSKISMNGTFYSNGNSNGNRRTCLRNLSALVADLFRSSFHFCNFVSPLYANVQFVS >DRNTG_12729.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000565.1:21162:21776:-1 gene:DRNTG_12729 transcript:DRNTG_12729.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLVYKNASLTREDVRNRCAKGSWDVFNTYLESTPHLNGLFSFTLLDIILGWALGFLVVCQ >DRNTG_02088.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10397487:10399313:1 gene:DRNTG_02088 transcript:DRNTG_02088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKILRSKARLPLPSPLSCARSLSFSHSCPSSHLLSHSCPRLSEIQGQMPGQRSPLLSCVVALGFSVTRILAPDRCCSILSLVPLLPTAAPLSSALVRESRLALKSELLKAGATIILHNAKIDMFKGSMRLAIGKCDRVEVTDPAEFMVKENNNLSLVEYELVNVVED >DRNTG_31250.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3026428:3033384:-1 gene:DRNTG_31250 transcript:DRNTG_31250.4 gene_biotype:protein_coding transcript_biotype:protein_coding METEEGLRAAEAAAEEVRSEKASQGAVGAPVVSPSPVVVPEKKKKRGRPRKYGPDGSLLLPLNPTPISTSAPAGGDFGKRGRGRPLDYAKKSQHGFELEPLGEMVTCSAGANFTPHVISVAAGEDVNMKIISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFELLSLSGSFMPTDDGVTRSRHGGISVSLASPDGRVVGGSLAGLLVAAGPVQVVVGSFIPGYQMEQKSKKPRHEPASVTPLTTARPISIMETEEAHGAKQEEQRSSVTAKPTVGASSSFRAENWTPSLNSMPDNRNSSTDINISLPSS >DRNTG_31250.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3026428:3033701:-1 gene:DRNTG_31250 transcript:DRNTG_31250.3 gene_biotype:protein_coding transcript_biotype:protein_coding METEEGLRAAEAAAEEVRSEKASQGAVGAPVVSPSPVVVPEKKKKRGRPRKYGPDGSLLLPLNPTPISTSAPAGGDFGKRGRGRPLDYAKKSQHGFELEPLGEMVTCSAGANFTPHVISVAAGEDVNMKIISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFELLSLSGSFMPTDDGVTRSRHGGISVSLASPDGRVVGGSLAGLLVAAGPVQVVVGSFIPGYQMEQKSKKPRHEPASVTPLTTARPISIMETEEAHGAKQEEQRSSVTAKPTVGASSSFRAENWTPSLNSMPDNRNSSTDINISLPSS >DRNTG_31250.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3026071:3033384:-1 gene:DRNTG_31250 transcript:DRNTG_31250.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEEGLRAAEAAAEEVRSEKASQGAVGAPVVSPSPVVVPEKKKKRGRPRKYGPDGSLLLPLNPTPISTSAPAGGDFGKRGRGRPLDYAKKSQHGFELEPLGEMVTCSAGANFTPHVISVAAGEDVNMKIISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFELLSLSGSFMPTDDGVTRSRHGGISVSLASPDGRVVGGSLAGLLVAAGPVQVVVGSFIPGYQMEQKSKKPRHEPASVTPLTTARPISIMETEEAHGAKQEEQRSSVTAKPTVGASSSFRAENWTPSLNSMPDNRNSSTDINISLPSS >DRNTG_31250.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3026071:3033701:-1 gene:DRNTG_31250 transcript:DRNTG_31250.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEEGLRAAEAAAEEVRSEKASQGAVGAPVVSPSPVVVPEKKKKRGRPRKYGPDGSLLLPLNPTPISTSAPAGGDFGKRGRGRPLDYAKKSQHGFELEPLGEMVTCSAGANFTPHVISVAAGEDVNMKIISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFELLSLSGSFMPTDDGVTRSRHGGISVSLASPDGRVVGGSLAGLLVAAGPVQVVVGSFIPGYQMEQKSKKPRHEPASVTPLTTARPISIMETEEAHGAKQEEQRSSVTAKPTVGASSSFRAENWTPSLNSMPDNRNSSTDINISLPSS >DRNTG_15144.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18774066:18779726:-1 gene:DRNTG_15144 transcript:DRNTG_15144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESGVPLCSTCGEPVGFSSNGEVFVACHECNYPLCKPCFEDELKEGRGSCLRCGASYLVHDTTEDADHQELRKRVTMASHLQDSKDNGVHARNESSLSVAESEANSESGNPIWKNRVESWKEKKNKKSAAKKAKKEEQIPVEQQMEGKESLDDGQPLSRIVPLSPNKLTPYRAVIIMRLIILGLFFHYRITNPVDSAYALWLTSVICEIWFAVSWVLDQFPKWSPIMRETFIDRLSARYEKGEFCGLAPVDFFVSTVDPLKEPPLITANTVLSILSADYPVEKISCYVSDDGSAMLTFETLAETAEFARKWVPFCKKYAIEPRAPEFYFSQKIDYLKDKIQPSFVKERRAMKRDYEEYKVRINALVAKAQKTPDEGWTMQDGTSWPGNNPRDHPGMIQVFLGNSGAHDIEGNELPRLVYVSREKRPGYQHHKKAGAMNALVRVSAVLTNAPYILNLDCDHYVNNSKAVREAMCFMMDPQVGREVCYIQFPQRFDGIDRSDRYANRNTVFFDVNMKGLDGIQGPVYVGTGCVFNRQALYGYGPPSLPALPKASFCSWCCSCCCCCRSKKAPKDEKEVYRDSKRDDLNAAIFNLREIDNYDEYERSMLISQLSFEKTFGLSSVFIESTLMEYGGVAESANPSTLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWRSIYCMPLRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGGRLKWLQRLSYINTIVYPFTSLPLIAYCSLPAICLLTGKFIIPTLSNIASVWFLGLFISIILTSVLELRWSGVGIEEWWRNEQFWVIGGVSAHLFAVFQGFLKMLAGIDTNFTVTSKAADDGEFADLYIVKWTTLLIPPTTLIVVNLVGVVAGFSDALNSGYEAWGPLFGKVFFALWVILHLYPFLKGLMGRQNRTPTIVVLWSVLLASVFSLLWVKIDPFLSKTDSAVAQNCNSIDC >DRNTG_34340.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9468620:9492423:-1 gene:DRNTG_34340 transcript:DRNTG_34340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLHLDILDSSWTRFYNLYDYVVISGSRWFDKPSIIYEKNKAIGCHYCHDLKLKEYGAAKAYAKALQLSLNFIATSEHKPFVIVRTWSPMHYEDGELPNERVCNRTWPFREGEISGAPADLKMREVEVEEYEKAAPIGARNGVRIELLDTYHLFLLRPDGHPGPYGTYYPFDGGKQQNDKNDCIHWCLPGPIDTLNDMLMKMVMNGNAHDSASAML >DRNTG_34340.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9491481:9492423:-1 gene:DRNTG_34340 transcript:DRNTG_34340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLHLDILDSSWTRFYNLYDYVVISGSRWFDKPSIIYEKNKAIGCHYCHDLKLKEYGAAKAYAKALQLSLNFIATSEHKPFVIVRTWSPMHYEDGELPNERVCNRTWPFREGEISGAPADLKKREVEVEEYEKAAPIGARNGVRIELFDFYHLFLLRPDGHPGPYGTYHPFDGDNKKNVENDCNHWCLPGPIDTVNDMLMKMVMNGDAHDPDSAIL >DRNTG_35484.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23492229:23492501:-1 gene:DRNTG_35484 transcript:DRNTG_35484.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATWRSSNNVTLVVLLFAFCVGISITICSAASRGLFNLDGGVYGTGNEGIGRVVVGVSEGAGYGSGSGSGEGYGGGGGLSGG >DRNTG_35484.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23491746:23492501:-1 gene:DRNTG_35484 transcript:DRNTG_35484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWRSSNNVTLVVLLFAFCVGISITICSAASRGLFNLDGGVYGTGNEGIGRVVVGVSEGAGYGSGSGSGSGFGEGGGQGGGSGGGGGGGGGGGSGGGSGYGEGEGHGGGSGAGGGHGGGGGGGSGGGGGGGYAGYRP >DRNTG_35484.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23491746:23492501:-1 gene:DRNTG_35484 transcript:DRNTG_35484.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATWRSSNNVTLVVLLFAFCVGISITICSAASRGLFNLDGGVYGTGNEGIGRVVVGVSEGAGYGSGSGSGSGSGFGEGGGQGGGSGGGGGGGGGGGSGGGSGYGEGEGHGGGSGAGGGHGGGGGGGSGGGGGGGYAGYRP >DRNTG_10830.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5025112:5025833:-1 gene:DRNTG_10830 transcript:DRNTG_10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISHLQKTTPAIGDCQTKDTPRTTEEKPSLFGSYNL >DRNTG_21520.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1501735:1506191:1 gene:DRNTG_21520 transcript:DRNTG_21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASQPQFRYTQMPSKVLHLRNLPWECTEEELVELCKPFGKVVNTKCNVGANHNQAFVEFGDLNQAISMVSYYASSSEPAQVRGKTVYIQYSNRQEIVNNKSPGDITGNVLLVTIEGVEPSDVSIDVIYLVFSAFGIVHKIATFEKAAGFQALIQYSSAEYASNARIALDGRSIPRYLLPAHVSSCILRISFSAHTDLNIKFQSYRSRDYTNPYLPVNPSAIEGTLQHTVGSDGKKKELESNVLLASIENMQYAVTIEVLHTVFSAFGSVQKIAIFEKNGGTQALIQYPDATTAAAAKESLEGHCIYDGGFCKLHLTYSRHTDLNVKAYSDRSRDYTIPDTSLLVGPQPPGVPSSAPGWQGAPHQCNNA >DRNTG_21520.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1501735:1506191:1 gene:DRNTG_21520 transcript:DRNTG_21520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASQPQFRYTQMPSKVLHLRNLPWECTEEELVELCKPFGKVVNTKCNVGANHNQAFVEFGDLNQAISMVSYYASSSEPAQVRGKTVYIQYSNRQEIVNNKSPGDITGNVLLVTIEGVEPSDVSIDVIYLVSLIFISPFYWLHKLSKLLVNFCFTCFNVFINWSAISMGPWDEEKLYDIALSQVHLMLLVYFGYRQIVHD >DRNTG_08368.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4235857:4237519:1 gene:DRNTG_08368 transcript:DRNTG_08368.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQLGQIPAIIASSPEVASEIMKTHDLEFCSRPTSAVLMKFSYNGLDISFSKEVFRKRFSEDGQCNRSKLHDLVMETVELMGGFSIGDFFPSLGWLSVIIGFKGKLDRNFKRMDEFFEREIEEHCLSLMTDQGHDDQEDDFLDVLLKSQNDSTNLGFSLTRDHIKAILMDIFLAGTDTSAATLEWAMTELMRYPLIMKKVQDEVRGVIRNKGKVEECDLQHLRYLKLVINETLRLHCIVPLLLPRESTKECNVLGYDISKNTRVIVNAWAIARDPKFWENPEVFMPERFEGSAINYKGQHFEFIPFGAGRRICPGMQLGVIVVEIALANILYHFNWELPFEMCYKEIDMAETFGLVLHKNLSLLLQARPTNILV >DRNTG_20784.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001158.1:16325:16872:-1 gene:DRNTG_20784 transcript:DRNTG_20784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLEKAFTRFVQSSTTRFESVEATLRNHISSLHNLENQVGQIVKSLSERPHGSLPSTTEINPREHVKAITLRSGGEVVNALKSKKEAKIGYKDTMLGVLVQFKDQNIRAVDKRGDVCQLPRRFKSIHHLEGHKGSHIFIFLLF >DRNTG_10999.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18833638:18836332:-1 gene:DRNTG_10999 transcript:DRNTG_10999.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLGACPEYGCLVYEYMANGSLEDRLFRRGNTPPIPWQDRFRIACEIGAALLFVSKISDVGLARLVPPSVANNVTQYLMTSAAGTFCYIDPEYQQTGMLGVKSDIYSLGIILLQLLTARPPMGLTHHVERAIEKGTFEEMLDQVVPDWPVEEAKTLANIAIKCAELRRKDRPDLSTVVLPELERLRDFADECLPLSCAFRGSSSQSTPIHSSSVQQ >DRNTG_10999.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18832178:18834907:-1 gene:DRNTG_10999 transcript:DRNTG_10999.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLGACPEYGCLVYEYMANGSLEDRLFRRGNTPPIPWQDRFRIACEIGAALLFVSKISDVGLARLVPPSVANNVTQYLMTSAAGTFCYIDPEYQQTGMLGVKSDIYSLGIILLQLLTARPPMGLTHHVERAIEKGTFEEMLDQVVPDWPVEEAKTLANIAIKCAELRRKDRPDLSTVVLPELERLRDFADECLPLSCAFRGSSSQSTPIHSSLVIGFRW >DRNTG_10999.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18832178:18836332:-1 gene:DRNTG_10999 transcript:DRNTG_10999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLGACPEYGCLVYEYMANGSLEDRLFRRGNTPPIPWQDRFRIACEIGAALLFVSKISDVGLARLVPPSVANNVTQYLMTSAAGTFCYIDPEYQQTGMLGVKSDIYSLGIILLQLLTARPPMGLTHHVERAIEKGTFEEMLDQVVPDWPVEEAKTLANIAIKCAELRRKDRPDLSTVVLPELERLRDFADECLPLSCAFRGSSSQSTPIHSSLVIGFRW >DRNTG_10999.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18835110:18836332:-1 gene:DRNTG_10999 transcript:DRNTG_10999.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSFTSESDISFVSSGRPSVDRGFTPRMSVGSDRSSIDIMRTPHRWMDSYSSGSEFSSITQDTTGTSWSSHAVVSLFVYISA >DRNTG_10999.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18832484:18834907:-1 gene:DRNTG_10999 transcript:DRNTG_10999.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLGACPEYGCLVYEYMANGSLEDRLFRRGNTPPIPWQDRFRIACEIGAALLFVSKISDVGLARLVPPSVANNVTQYLMTSAAGTFCYIDPEYQQTGMLGVKSDIYSLGIILLQLLTARPPMGLTHHVERAIEKGTFEEMLDQVVPDWPVEEAKTLANIAIKCAELRRKDRPDLSTVVLPELERLRDFADECLPLSCAFRGSSSQSTPIHSSLVIGFRW >DRNTG_10910.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17578873:17580130:-1 gene:DRNTG_10910 transcript:DRNTG_10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQQQEKEKEKDSLLSLFDTLWFYHPILFHNPPPPPPPPAPAPEPIPIKVVQRIRSHRRSLSDEQLLHIPSSSTATNSHSKLQTILSGKESTVLQENTSIEIKKTGNNNNNKHSKRRLYRRRGSSSTKSLSDLEFEELKGFMDLGFTFSDAETDPRLMSIVPGLRRRVACDDEKFESERHGCDDDDEEGGGGVVRPYLSEAWEVEEERRLRNWKIPAPVEGVDMKDCLRLWAQAVASTVR >DRNTG_09160.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7074125:7075835:-1 gene:DRNTG_09160 transcript:DRNTG_09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTVIPRVELGTQGLQVSKLGFGCMGLTGGYNSPLPEEEGIAIIKHAFNQGITFFDTSDLYGPNTNEILIGKALKELPREEIQLATKFGVVSGGPGLAMQVNGKPEYVRACCEASLKRLQVDYIDLYYQHRIDQTVPIEETIGELKRLVEEGKVKYIGLSEASPDTIRRAHAVHPISAVQMEWSLWTRDLEQEIIPLCRELGIGIVPYSPLGRGFFGGKGVTECLSESTALVQHPRFTGENLEKNKALYVRVENLAKKHQCSPAQLALAWVLHQGDDVVPIPGTTKIKNLDGNIGALQVKLTDDAMKETSDLVSEEVVAGGRSFFGTDEKFDWKHANTPLPRSA >DRNTG_00359.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18627173:18628471:1 gene:DRNTG_00359 transcript:DRNTG_00359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHADGSVMQTFEYLKQNSLEGLKTIWPTPSLIAWKNHCAFWCI >DRNTG_20532.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17770704:17774340:-1 gene:DRNTG_20532 transcript:DRNTG_20532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVRKNSTDLESIGKSQTGLELVQWISNTTFDGVGGKFQLIDGQLETNNFEIVNVVGNGRHRIGFWTPANGFSMELNAKKDVKAEVINWPGTNSAHVPPRGWEWPTNGRNLSVGIPVKSGFCEFVNVTVNDSSIRPTGYSIEIFDKVMAALPYKVNDTYEYFVNEKGEMSGSYDDLIYQVYLKRYDAVVGDITVIANRSQYVDFTVPYTESGVSMVVPVMDRRRKNAWTFAEPLSTSLWIASGVFFIFTGIVVWILEHRVNLEFRGPPSNQIGTIFYFTFSTLVFAHRGSMMNNISRIVLIIWLFVVLILQQSYTASLSSILTVEQRQPTLTDFSELIRSKSKVGYMVGSFVLRLLKGSNFDESRLIPYKTSDEYEEGLSSGTVAAIIDEIPYLKAFLHNHCGKYTMVGPIYKTNGFGFAFPIGSPMVVDISRAILNITEKKEKMEDLDQHYLYDDVEACSVEEDGSSSSMITFKSFWGLFLITGVISMLAFLIHISMFFYQNWSIVRDADPELSFGQRLLLLMRHHDRPDLQCADAFKEKKEEEMVALEMQSSVSASYNGHDDHVVGNVDDDDDDDDDDDDDDDDDDDGDAGTQGREIGGPFPDPSSFADMLCHSRGHDST >DRNTG_05305.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2709833:2712691:1 gene:DRNTG_05305 transcript:DRNTG_05305.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFAAKLRHFHISWHFLDLLHSHSIPIPLSAFSSLIRRYIRASLPDAAISAFLRIPSYNLQPTPSTFSLLISFLSKGRFASHAQSLFDSLKHKFPPDVVLYSNLVHAWCRAGRIDEAERVFREMKDNGINPNVYTFTSIIDAMCRAGQIPRAQELLCQMIDDGCSPNTATFNSFMRAHVKAGRTEQVLQVHNQMKQLGCEPDVITYNFLIETHCRKRQRNLDAAIKVLNQMIAKGCAPDAHTFNHVFKCVLELGDVNAAHKLYTKMREVKCEPNTVTYNLLMGLFSKAKSMDMVLRMKKEMEEEGVEANVNTYGVLISAFCERGHWKRAYGLMKEMVEQKCLKPSGPTREMVFGLLRNAGQLTKHEELVEKMVERGFISRYL >DRNTG_05305.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2709484:2712615:1 gene:DRNTG_05305 transcript:DRNTG_05305.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTTKSTKLLLSKPLSPSDTQLLSHLHSLIITYHQSNPPSSSSLPPSPSFLSPSLSSSFSSLLPSPPSPSLVHSLIHLLSLPNHSPPFLPTLSFFNWYLSIHPPSSHPSPLPFLPMLDFAAKLRHFHISWHFLDLLHSHSIPIPLSAFSSLIRRYIRASLPDAAISAFLRIPSYNLQPTPSTFSLLISFLSKGRFASHAQSLFDSLKHKFPPDVVLYSNLVHAWCRAGRIDEAERVFREMKDNGINPNVYTFTSIIDAMCRAGQIPRAQELLCQMIDDGCSPNTATFNSFMRAHVKAGRTEQVLQVHNQMKQLGCEPDVITYNFLIETHCRKRQRNLDAAIKVLNQMIAKGCAPDAHTFNHVFKCVLELGDVNAAHKLYTKMREVKCEPNTVTYNLLMGLFSKAKSMDMVLRMKKEMEEEGVEANVNTYGVLISAFCERGHWKRAYGLMKEMVEQKCLKPSGPTREMVFGLLRNAGQLTKHEELVEKMVERGFISRYL >DRNTG_05305.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2709484:2709739:1 gene:DRNTG_05305 transcript:DRNTG_05305.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTTKSTKLLLSKPLSPSDTQLLSHLHSLIITYHQSNPPSSSSLPPSPSFLSPSLSSSFSSLLPSPPSPSL >DRNTG_00783.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:10487835:10488180:1 gene:DRNTG_00783 transcript:DRNTG_00783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHMEFAKYLDIYDDHFINSIPCHYLKLDFPSDTDSTGVVTQLDLYILFGIIEQHPANLGHLVADAFLHQG >DRNTG_14989.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23992656:23996603:-1 gene:DRNTG_14989 transcript:DRNTG_14989.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKIDVSKMLLPTSVSSSLTFGSQVPNKTAGLTQDPSKLQRGIPMVGSTAQPQVARFSQDGWANTFQSSTRHIPSYMDEFKFGFPVNGLSTVTVKWWGSGNKESSEKSSKGVPMGENVEKQEACDSSNETSSLAKIDGKEDNDVECAEIKTEPKPSTLLCSTRKNAVEYGHKTLKLGISKGYETYKLTKKQKSVLLQVFKTSLPDQWKESFS >DRNTG_14989.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23992656:23996603:-1 gene:DRNTG_14989 transcript:DRNTG_14989.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKIDVSKMLLPTSVSSSLTFGSQVPNKTAGLTQDPSKLQRGIPMVGSTAQPQVARFSQDGWANTFQSSTRHIPSYMDEFKFGFPVNGLSTVTVKWWGSGNKESSEKSSKGVPMGENVEKQEACDSSNETSSLAKIDGKEDNDVECAEIKTEPKPSTLLCSTRKNAVEYGHKTLKLGISKGYETYKLTKKQKSVLLQVFKTSLPDQWKESFS >DRNTG_14989.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23992656:23996603:-1 gene:DRNTG_14989 transcript:DRNTG_14989.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSAPEGPLHQQQQQQQQLVGSVAAPPRASNSRIPLDEISKLYHLPIAEAASILGVCTSVLKRICREHGVVRWPYRKFIAGKTADDIKKDSGGEGANEFVDPSNMAKQKIDVSKMLLPTSVSSSLTFGSQVPNKTAGLTQDPSKLQRGIPMVGSTAQPQVARFSQDGWANTFQSSTRHIPSYMDEFKFGFPVNGLSTVTVKWWGSGNKESSEKSSKGVPMGENVEKQEACDSSNETSSLAKIDGKEDNDVECAEIKTEPKPSTLLCSTRKNAVEYGHKTLKLGISKGYETYKLTKKQKSVLLQVFKTSLPDQWKESFS >DRNTG_14989.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23992629:23996603:-1 gene:DRNTG_14989 transcript:DRNTG_14989.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSAPEGPLHQQQQQQQQLVGSVAAPPRASNSRIPLDEISKLYHLPIAEAASILGVCTSVLKRICREHGVVRWPYRKFIAGKTADDIKKDSGGEGANEFVDPSNMAKQKIDVSKMLLPTSVSSSLTFGSQVPNKTAGLTQDPSKLQRGIPMVGSTAQPQVARFSQDGWANTFQSSTRHIPSYMDEFKFGFPVNGLSTVTVKWWGSGNKESSEKSSKGVPMGENVEKQEACDSSNETSSLAKIDGKEDNDVECAEIKTEPKPSTLLCSTRKNAVEYGHKTLKLGISKGYETYKLTKKQKSVLLQVFKTSLPDQWKESFS >DRNTG_14989.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23992656:23996603:-1 gene:DRNTG_14989 transcript:DRNTG_14989.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSAPEGPLHQQQQQQQQLVGSVAAPPRASNSRIPLDEISKLYHLPIAEAASILGVCTSVLKRICREHGVVRWPYRKFIAGKTADDIKKDSGGEGANEFVDPSNMAKQKIDVSKMLLPTSVSSSLTFGSQVPNKTAGLTQDPSKLQRGIPMVGSTAQPQVARFSQDGWANTFQSSTRHIPSYMDEFKFGFPVNGLSTVTVKWWGSGNKESSEKSSKGVPMGENVEKQEACDSSNETSSLAKIDGKEDNDVECAEIKTEPKPSTLLCSTRKNAVEYGHKTLKLGISKGYETYKLTKKQKSVLLQVFKTSLPDQWKESFS >DRNTG_14323.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17048946:17049619:1 gene:DRNTG_14323 transcript:DRNTG_14323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDKSWMSLSTRSCIEYYNGVENFLEYAFHHVKDEDMKINCPCVDCCNRYRLTKEEVHIHLLYRGIMRSYTFWYLHGEEDSGDEDSDRVPCDEELREMEMEKVDDMIDMIHDVYPHVADGIEQSSNEPQEPNEDAQKFFKLLDDAKQPLFPGCDKYSILSFVVKLMHIKCMNSWSNNSFDMLLTLFKDAFPMCKTMRNSNYEAKKMVSDLGLHYEKIDAC >DRNTG_13101.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9995792:9998883:1 gene:DRNTG_13101 transcript:DRNTG_13101.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNVFKFYTALRGLGSVMILLVLALVGVSYYSVVIADYGPILFNTAFSSSSLIVLALTILILFH >DRNTG_28671.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:8598773:8603358:-1 gene:DRNTG_28671 transcript:DRNTG_28671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKISLEACYEVECMATALLEGASPLALERKRCNLSIPSLDDEEHAVFPEVVDEEDESDELDDSEDDEDEDEDCKIEPSSALLPPSIPVTDLGTMDPNPRMIPNPNPIAIHVVVSAVENGFVQV >DRNTG_06392.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:20592446:20593229:1 gene:DRNTG_06392 transcript:DRNTG_06392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAVVSTNPTPEGKLTIDEYHQLYAVKHLRNKPLHMFEGVQWLIIQKAEEEKLLQVTVKLMEDVQKKLLSDVLEYYLSECVSRSAQLWNEQRSLIVHDALLNFILPSMEKEARSLLTARAKNWLLLEYGKQLWNKVSVAPFHRKESEGDSEDDFESRVMSCCWGPGKPATTMVMLDTSGEMVDVLYAGSISIRSQGVAEQQRKKNDQQRVLKFMTDHQPHAVSIGAANLSCRTLRDDIYEV >DRNTG_09400.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000399.1:32153:34585:-1 gene:DRNTG_09400 transcript:DRNTG_09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSNNLGAFSVASSSPLHFPEHLLQDPRGRCYFFSRTKHHYFFIALLLVEQSFIRIRVEHF >DRNTG_13631.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6326369:6328976:1 gene:DRNTG_13631 transcript:DRNTG_13631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTYRWFVAAFFTMFVGLSMAEICSSFPTSGGLYYWSARLSGNKWSPFASWMTGRKQWRKLPYFKICRYCFSWRILLVHAISNSLPISWLSFFEQLAAAWNLLGVYVLMFLIPTVATKKASAEFVFTHFNTDNDAGIHGKLLGITFAVTNIPDLLNVDNDAGGYAIARIFYQFFKSRYGSGVGGMICLGIVAVAIFFCGMSFVTSNSRMAYAFSRDGAMPLSAQWHKGLFNLGRYGILVGWIAVLWVATITVMFSLPVDYPITKDTLNKLYTSCCGRKLELAEKPGDWEETLTYLSCCEEVHVVHKVTGAQLLSFGYKKDHKGALWKKGRLGEKGWRLEREKGRSRRHERVEEGRRDGNLRSNLETS >DRNTG_16030.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:393656:394088:-1 gene:DRNTG_16030 transcript:DRNTG_16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRLHQRAYIWPKPDKQTTSPTISKLSKKLKKTKPKLKQHKLNFELVWVHMQIMTQAMVGYGVQVPMPQFVVSSEPSSPSMELLSSEGSD >DRNTG_19209.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:8316391:8317525:1 gene:DRNTG_19209 transcript:DRNTG_19209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESEPIHLGHILAEYLRYQGQYARVGMIFSDPYINRLIIGMGLLDATRGAEKIIVPSPLGLETMRLMGMINRYREGVYVMSIPPPEAVEAEKDAAEGSQPQEKPMETEAPSTAHEPSPVHVLSPSRGHDRFERLESVVGVLQTDLAEVRAIQAVNHTEVIIRLDILQQLLERDVTLPFVMRPRAPLTPPASQITSPAPPSPLLASVDPAAAVELIEHNTAA >DRNTG_21158.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2261449:2266904:-1 gene:DRNTG_21158 transcript:DRNTG_21158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLLSLLLILFLLFINKLDVCTGDLQQEGQKQDQVVEVCKEDERKALLDFKQGLHDPYGFLSSWTGNNCCTSWKGVQCSTRTGHVVQLDLHSQVPLYDYNDNPIRSQRLGGEIRPSLLGLRHLRYLDLSMNYFVGIHIPAFIGSFRSLQYLDLSYSGFSGAIPHQLGNLTNLSYLDLSDEIFGFGWRLHIVGSHWLSNLVSIRYLYLNGVDLSKAPNIIHSLNMLQWISEIRLSDCKLNLPLSLPRVNFTSLYLLDLAGNNVSVELSEFGETFSGCINMSLETLILSNANLFGHLPEWLGNLKSVKSIDLSFNSLYGPIPDYQLVPSLQELDLSYNTLNGTLPENLGQLFPKLILLSVRNNKLVGVVTETHFVDLTNIEYLDVSSNAFIFNISSNWVPPSSLEEILTGSCQMGPSFPTWVQKLENLFTISMFEAGISDVIPDWFWNFSLKLQIVDLSHNDIKGRLPHSLEHLNLSHVILSHNHFEGPIPLFPSTIEILKLENNSFSGIILACWNQSLQTKLTIVDLSYNSLSGDFPASICGGYSLDVLHLNNNNFSGELPLQLRNCQSLGILDLGYNKFNGSISTLLWDGLLHLEALRLRSNLLIGNIPPQLGNLNFLRVIDLAHNHFSGEIPITFGNLKAMKGFLDTSHDIDHFNTFEVEMKGRELWYGVEESSLPMAIDLSDNDLSGEIPKELTNLIGLRSLHLSKNHLTGKIPESISQLRWLESLDLSMNNLSGMIPESMALLTSLSDLNLSFNKFSGKIPSGGQFQTFINSSIYSNNSNLCGFPLDVKCHENKPSQSPTLQIGEEDVTEASCGQLYGRKWIPENLAGILITDHPQEFITTAYAPPLWPHPYAQVKFEKKGDKSPVLKGYSKGDLDPYSRGLEIVAINLILAACLEAFLGLLRGNSVGKPTGIIEVSKVTALGAQERPTDTSNKYISLAVPSDSKEAPTVAPVTQDGRINLISLGEIKGSSRSSHQDFLNHRIIRNPYWRKYLLGLYRARILSFSSPKPNFSA >DRNTG_13063.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:916093:919236:1 gene:DRNTG_13063 transcript:DRNTG_13063.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIAPIVIVLSLLLSLRCTIGLRFVIDREECLSHSVPYEGDTVHVSFVVIKADSPWHFGDEGVDLVVKGPSGEQIHDSRDKSSEKFEFVVHKSGVHKFCFTNKSPYHETIDFDVHIGHFTYFDQHAKDEHFAPLLEQIARLDDALYNIQFEQHWLEAQTDRQAIINEQMSRRTIHKAMFESAALIGVSILQVVLLRRLFERKLGTSRV >DRNTG_31253.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3012748:3018300:-1 gene:DRNTG_31253 transcript:DRNTG_31253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIKCVKARQIFDSRGNPTVEADVYLSDGSKARAAVPSGASTGVYEALELRDGGSDYLGKGVLKAVENVNTIIGPALIGKDPTQQTEIDTFMVQQLDGTSNEWGWCKQKLGANAILAVSLALCKAGANVKKIPLYQHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHHLKAVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYSDKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVADYPIVSIEDPFDQDDWTHYAKLTEEIGQEVQIVGDDLLVTNPTRVAKAIKEKTCNALLLKVNQIGSVTESIEAVKMSKRAGWGVMTSHRSGETEDTFIADLAVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGAAAIYAGAKFRSPVEPY >DRNTG_17124.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12059459:12060142:1 gene:DRNTG_17124 transcript:DRNTG_17124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKANKKLDETARKVFVPKKKKCVGQSRLNKYEHELIRIFLNCPMDSTVVWKNDAVSTTRDKLYTLLEGKEMVTDDVMDAFDDAHETTMAMIGDAVRNLHEVQIVILPIIMNGHFHVVVLHNDKQEYMHYSSCAGYDKDALDM >DRNTG_20764.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001151.1:645:3535:-1 gene:DRNTG_20764 transcript:DRNTG_20764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSYFIATLVYEWCHLWEYSSSSKSLFLALNKLPAIEEIGLSRCQFESIPLSIPHLNFSSLSVLDLSNNYIDFSVSLWVFDIKSLEHLDLSNNHIRSSNSSATGIAKSISSLCNLKRLDLSDWHMSMRLAELIFTGCLKDSLTHLHLSDANLDGDIPDWMGDIKNLKVLDLSQNSLSGSVPSSLASLSFMEELHLSSNKLNGTLSVEIGKLAELEVLDLDENQLRGIVTEAHFSNLKKLEKLYMSFNSFVFNVSSNWIPPFLLQELRIRSCSVGPEFPTWLRTQHKLNVLDISNTGISSTIPDWFWNLISVNLAELYMSENQIEGMLPKFSTSMQLNTIDLSSNRFHGPLPGFLGSSISSINLSNNSFSVTQSIFQCLPLNEQIKWHRSQLIVSNQGTSKSWYLG >DRNTG_23943.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12667731:12670062:1 gene:DRNTG_23943 transcript:DRNTG_23943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCCISIVFILILVQPNGILLAKGEQSKPSTKPLQTYIVHVEQPAKLADSSSSCDDREMWYKSFLPPRLLLGSGEPQWVYAYHHVTSGFAVQLTEDELSAMKKKEGFLLAYPDRLIPLQTTYTPSFLGLEDHGNHHLWDVSNYGTGVIIGVLDTGILPNHTSFNDEGMPPPPVKWKGRCEFPAPGCNRKLIGARNFISGFNAMHGLKPPNVESQPPFDSEGHGTHTASTAAGKFVHGAGVYGNARGVAVGMAPRAHLAIYKVCAEDGCPTSDILAGLDTAVGDGVDVLSLSLGGASLPFYEDGIAIGAFGATQKGVFVSCAAGNSGPYDSTLSNEAPWIMTVGASTTNRLIRTTVVLGDGQKFNGESLNQAIPFPTSSPIPLVYPGEKSSDAAICKSLQGVDVRGKAVVCDMGGDIGCVDKGSVVVAAGGVAVILANREAMGYSTLAEPHVIPASHVSYDAGEKIKAYINSTSHPASNHLSERHIIPKSATGSHGVLLSHHEGQAKHLLTS >DRNTG_21897.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19623828:19626618:1 gene:DRNTG_21897 transcript:DRNTG_21897.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVFGLSLALLLLFFSCSPLAFASPKKPVSVARREDIPFIKCQVCEKIAREIHNLVQKKEALVSPKKVSEFQIIEIAENVCNLKKEEADWILRIDVVEKGDKLELVDQGTEGQCNSECKTIERACQEVMGYSDTDVAEYLYKSKPSVDALIDFLCNDLSKACSVKPPPVPKDRVPGEPFVAKSSKEAEMEKILKSMEGMPGAPNMKMYSREDLMNMNNFGGEDDDEDDEDDEDEDDKFPANLGKILKEKDNHKKDFKQTILQGISETKTMIKSHINKVSGKVKNWLWSKKVAQKTSKAGKAEL >DRNTG_31480.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1977593:1979511:1 gene:DRNTG_31480 transcript:DRNTG_31480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICAVYTATNPIFQTTKPNFGFHQKHEVIFYTRKERSRRWEKRKLSCSAEAKTVVIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDTTTVICLDDYHSLDRTGRKEKGVTALDPRANNFDLMYEQVKAIKDGIAVDKPIYNHVTGLLDPPELIQPPKILVIEGLHPMYDSRVRDLLDFSIYLDISNEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAYIDPQKQYADAVIEVLPTELIPDDNEGKVLRVKLVMKEGVKYFSPVYLFDEGSTISWIPCGRKLTCSYPGIKFAYGPDSYYSHEVSVLEMDGQFDRLDELIYVESHLSNISTKFYGEVTQQMLKHADFPGSNNGTGLFQTIIGLKIRDLYEQLVAEKAAAPVEAAKV >DRNTG_06672.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3132414:3136362:1 gene:DRNTG_06672 transcript:DRNTG_06672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGRRRMKAEELVETTMAGRDASHDAAHAFRVRDLALSLAFQEGLHDPHSLEVVELAALLHDVGDYKYTKNLTEDTKIVEKFLEEEGLEESKKEKVLGIIKGMGFKNEVSQISCVDTSLEFGVVQDADRLDAIGAIGIARCFVYGGSKNHTLHDPQVLPRQDLSKAKYMSKDEKQTSINHFYEKLFKLKDLMKTKAGKERAEKRHKFMEGFLEEFLEEWSGRA >DRNTG_07979.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2284691:2289454:1 gene:DRNTG_07979 transcript:DRNTG_07979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGAKDDKKLADTSASENFPSSTQETEGNAGLEVLNEMETLTVHKDNENLQLEEEGKEKKLVDALSSENSPRSIHDPEVKNGETPPSDMDSLNVKDDNTKLHNEQGEGLDSQVLSEVRALGSEKVRDKEQILDEDLPEEKEADPVFDGTEEVSEMDGRQRSSNEPYGYETEVQAFAWPEKAVALKNFVKEKSTVTTVAVSTFLRRLSGRRDEDGHSIPYEDGKNDDSAVSTEEADSATESKFKEALLKAGELASWNPLNYIKIGRDTEVQNKPGQLEGVTTENKVEEQHMNGRIIIYTRLGCPDCKEVRLFFQQRRLRYVEINLDIYPSRKLELEKNTGSSAVPRVYFNDFLVGGLSELKALEDSGRFDEKINYVISDDPSPEAPSPPLPGEDDMSSSGKIDELVAIVRKMKESIVLKDRFYKMRRFSNCFLGSEAVDFLSEDQYLEREEAVEFGKKLVSEHFFHHVMDENIFEDGNHVYRFLENDPVVSSQCCNIPRGLSDVKPKPIVEIASRLRFLSYAIFEAYVSEDGKHIDYNSIYGSEEFRRYKRIIEELHRVDLDNVSREEKLAFFINLHNMMAIDVVLTWGYPVRALDRRRFLGDFKYVVGGCAYSLSAIQNGILRGNQRPPYNLTKPFGPKDRRSEVALPYTEPLVHFALVCGSRSGPALRCYSPGNIDKELMEAARDFLRTGGLIIDKEAKVASVSKILRWYSVDFGKNEMEMLKHAANYLEPSKTEELLELLVSNQLKVTYQPYDWGLNC >DRNTG_07979.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2284691:2289018:1 gene:DRNTG_07979 transcript:DRNTG_07979.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGAKDDKKLADTSASENFPSSTQETEGNAGLEVLNEMETLTVHKDNENLQLEEEGKEKKLVDALSSENSPRSIHDPEVKNGETPPSDMDSLNVKDDNTKLHNEQGEGLDSQVLSEVRALGSEKVRDKEQILDEDLPEEKEADPVFDGTEEVSEMDGRQRSSNEPYGYETEVQAFAWPEKAVALKNFVKEKSTVTTVAVSTFLRRLSGRRDEDGHSIPYEDGKNDDSAVSTEEADSATESKFKEALLKAGELASWNPLNYIKIGRDTEVQNKPGQLEGVTTENKVEEQHMNGRIIIYTRLGCPDCKEVRLFFQQRRLRYVEINLDIYPSRKLELEKNTGSSAVPRVYFNDFLVGGLSELKALEDSGRFDEKINYVISDDPSPEAPSPPLPGEDDMSSSGKIDELVAIVRKMKESIVLKDRFYKMRRFSNCFLGSEAVDFLSEDQYLEREEAVEFGKKLVSEHFFHHVMDENIFEDGNHVYRFLENDPVVSSQCCNIPRGLSDVKPKPIVEIASRLRFLSYAIFEAYVSEDGKHIDYNSIYGSEEFRRYKRIIEELHRVDLDNVSREEKLAFFINLHNMMAIDVVLTWGYPVRALDRRRFLGDFKYVVGGCAYSLSAIQNGILRGNQRPPYNLTKPFGPKDRRSEVALPYTEPLVHFALVCGSRSGPALRCYSPGNIDKELMEAARDFLRTGGLIIDKEAKVASVSKILRW >DRNTG_09391.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000398.1:7983:10217:-1 gene:DRNTG_09391 transcript:DRNTG_09391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKATNSPLLKEHKDNSATSIFNNLLIISSKNEHEIRSYYHRHLDIKGSTLAWVMAIDASFLLEFLRNYCDVEGKAFRRVPSMSHMVDSKRIKFAYNSIIKDVVMLENQIPLFLLRRILCFQSLSEEEADAELSKMLVGFVTEVSPFKLLEGSKCIDVKKHAHLLGLLYCMTVPRNEEDQEIDNEIDHIGSIDGLDIDENPKEDFGDDSCIKNLLTETWSSITQGEGMVVEFVKKVLVGKPMQFLSKLPLGSVIKGSLLSLLHFRFGDKNDDKDSSNSINKPPLVEEIMVPSVTELVGAGVKFLATTQDLTTIKFDVKTATFYLPEITLDCNTEVVLRNLVAYESSVEAGPMVFTRYTELINGIIDTEDDVKLLRKCKVIKNRMKSDKEVADLWNEMMKSVRLTKVPFIDKALEDVNKYYNGRMSVKLGKFMKKYVLGSWQILTLLAAVLLLMLTCVQAFCTVYNCRLGWL >DRNTG_27936.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14052387:14054561:1 gene:DRNTG_27936 transcript:DRNTG_27936.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRPS20 [Source:Projected from Arabidopsis thaliana (AT3G15190) UniProtKB/TrEMBL;Acc:A0A178VMN1] MGERGRNPVRRLVVCEVAPKKKADSAMKRARQAEKRRIYNKAKKSEAKTRMRKVFEELDALRKKSDAQSEEILPIEKLIAEAYSAIDKAVKGRRFAQEHWSSSQVPTCKKQEGCLDTPWLVYPCGDGLISSINEMMVFFTSNFHVKGTYY >DRNTG_31747.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21660983:21661880:1 gene:DRNTG_31747 transcript:DRNTG_31747.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKKMNGGWGDELELNLCLGSPEPSPKSNSGGGRNSQEQLRQMTIFYAGHVCVSEVTSIQAKAIICMAKGLPLRGEREEGEEEVHQKEKEKEKEKEIIISSPSQSSLLSPQQQQQQQQQQLQQNNINNNINQYHALPQQVQSMKRSLQRFLQKRKTRLDSSSPYCFLRHSLPTVRSL >DRNTG_18219.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000940.1:712:2656:-1 gene:DRNTG_18219 transcript:DRNTG_18219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPILCSSLSECEAKLGKSLFIVGAIGGNDYHIAMLKGSSDYEIKTLLVPVMIQAISSAIKDLIKYGARTLVVPGNYPVGCLSASLTCFPREGDYDQKTGCLNWLNELTELYNQRLHIELQDIQHQHPHVTIFFVDYYGIIMEMLQSPKKYGFKDKPLVACCGGGGPYNYNAYVLCGEGARACDDPSSLVFWDGVHLTEAANKIIATDLLQVLHVSPSINQQFPHIVKIYDQAQHVSMTV >DRNTG_34208.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10438034:10441073:-1 gene:DRNTG_34208 transcript:DRNTG_34208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLDPYGGLLDQEESNEEVMMLGSTGEETSTLGILKKAMSVNMFRLVLQSLQIFLSYPEKTHGHVEFPQARGCILRAHLEKAQGRAATPVEDHATGARPWLISARACEFLQCSTDFPENTQRCGLAPVGDLVNHTRAWVISARPCETLQGRADDLILFRMSKEATEPCVCPCRSGTRAWIFSGRLLLSESIAPVWWDFSEVFRPALHFLTPPRRMKLEALLSCIFGLLMRGRAIMAPRSKKQADKRPRESFPVPECMRFVIPKYQGDEFVDEIEDLVTEGGWRQLLTIREPAIRKFALEVLSSFEFDRAYASFDNLGTIQFRVFGRHYSLSITQFSVLHGLYEEAFTDTEEYAQLPTDYLGTFTPQRANRVLCGQVNGRGDSTGVLSRQELLYLYSMVERVPINLGHILADYIRHQGHYTRLGAIFSGPYITRLVLGMGLLDSIRGAEKTSVPTPLGLETMRLMGMVRRVRTGVFALVLPAPEIAEDEGDEAGASQPAPEPQLASMETKAPPAGEKPSPVHMFSPSRANDCFERLGNAIGVARAEVAEIQSRTHQAPSIPPAPPSSTPAPVDPPCASTSAAATQQLESDYDT >DRNTG_29678.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3977390:3982591:-1 gene:DRNTG_29678 transcript:DRNTG_29678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMESLIGLVNRIQRACTMLGDYGGADGALPTLWEALPSVAVVGGQSSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHKTEAGQQEYAEFLHLPRRKFTDFALVRKEIQDDTDRLTGKTKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVQDIENMVRSYVEKPNSIILAISPANQDIATSDAIKLAREVDPTGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQHPWVGIVNRSQADINKNVDMIVARKKEREYFATSPDYSHLASKMGSEYLAKLLSKHLEAVIRARIPSITSLINKSIDELESEMDHLGRPIAVDAGAQLYTILELCRAFERIFREHLDGGRPGGDRIYGVFDNQLPTALKKLPFDRYLSLQNVRKVISESDGYQPHLIAPEQGYRRLIEGALSYFRGPAEASVDAVHFVLKELVRKSIGETQELKRFPTFQTELAAASYEALERFREDGRKTTTRLVDMESAYLTVDFFRKLPQEVEKSPNPATPNTDRYGETHFRRIGSNVSSYIVMVSDTLRNTIPKAVVHCQVREANRSLLNYFYSQVGRKESKQLAQLLDEDPALMERRQQCAKRLELYKSARDEIDAVSWAR >DRNTG_17348.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32150245:32158141:-1 gene:DRNTG_17348 transcript:DRNTG_17348.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beclin-1-like protein [Source:Projected from Arabidopsis thaliana (AT3G61710) UniProtKB/Swiss-Prot;Acc:Q9M367] MKDELIGGDKGRALPVDTTVPRWLCQNCRNSLCIVGAEYYSDKFFSDPSRSGFQASTVQGSVMGSSRMDHSYVVLAKQRTPGHGLPPRPRSGATNSEGRIDDSYVVVSPAGASMFDNEHLTEGGGSHSPALPGSSPSSPLQANNSGFHSTITVLKRAFDIATTQTQVEQPLCLECMRILSDRLDKEVEDVNRDIKAYEACLQRSDLETYNVLSEADFQREKMKVEEEERKLQAAIEEAERQCVEVDAEMKELESKSNHFKELEERYWHEFNNFQFQLTLHQEERDSILAKIEVSQAHLELLKRTNVLNDAFLIWHDGEFGTINNFRLGRVPKTQVEWDEINAAWGQACLLLHTMAQYFRPKFQYRIKILPMGSYPRIMDSSNNTYELFGPVNLFWSTRYDKAMTLYLTCLKEFAEFAYLKDQENNIPPEKCFKLPYKIENDKVEGFTITQSFNKQENWTKALKFTMCNLKWVLYWFVGNTSFQPLSTMAFSHAEARTTGTAGAKQSSESRS >DRNTG_19261.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:364148:368288:1 gene:DRNTG_19261 transcript:DRNTG_19261.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSCLPTCLGFDTKKVSFDQIPVVSDQDEEPRIPKEARKVLHRLAAEWADVEDCRALEVMQLKGAMTNEVYQIRWPSSVGGNVRKVLVRVYGEGVEVFFNRDDEIQTFECMSKHGQGPRLLGRFPNGRVEEFIHARTLSAADLRDPEISALVASKLREFHDLNMPGIKTVVLWDRLRNWLKAARSMCSSEEVKEFCLDTMEEEITSLESHLSGEDPIIGFCHNDLQYGNIMMDEDTRSVTIIDYEYASFNPVAYDLANHFCEMAADYHTETPHILDFNKYPDFEERKKFVVNYLSSSGEKLDNVKVEKLVESIEKYALASHLVWGLWGIISDHVNEIDFDYMEYARQRFQQYWFMKETAFPQTE >DRNTG_30709.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1741822:1745454:1 gene:DRNTG_30709 transcript:DRNTG_30709.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLRTVNPSQLIAERGSHLVVINPGSANIRVGFAHHQVPLSFPHCIARHVKGLDKDSRLALRDQLLNGQVTPAQQLEREKAYDNIASLLKIPFLDEETATNSFPRKMGSMDGYNPYNSRSDTTFAWTNVMEKKTNTVLATDESVVKETEDGSLKKSVAIDGGEPCPEEYEFKEFICGEEALKISSAESYCLHRPIRRGHFNVSQNYSSQQVIEDLYTIWNWILTEKLRIPPADRNLYHVVLVVPETFDNREIKEMLSIVLRDLRFSAAVVHQEGLAAAFGNGLSTACVVNIGAQVTSVICIEARNTFRSGELLESIRF >DRNTG_29555.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:124244:124639:1 gene:DRNTG_29555 transcript:DRNTG_29555.1 gene_biotype:protein_coding transcript_biotype:protein_coding RASIQTTRHNENQRRNEPPTPSLSLSLSLSQLCLEMITEECSTFHELHQANLDATILLLQDRWVESPPKPRDLPPFFPSASHSN >DRNTG_32249.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3388768:3392692:1 gene:DRNTG_32249 transcript:DRNTG_32249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNREFHKNEGDEVLQMGNRSNAHKYKKTNRKRNEKRLGGRGLSLEAFANAKSGPSGYNPSIIKKQREFYRNAKFVSKYKKLVQDQSQSGNHLSLAPKLEDDDEGENEMDLSNRRNNKKNKKKNNLQSVREEFEKKQAEKEKARMEKEALMQAKKEERARAEAKRKTLRENMFKRTRSGQPVMKYRVSHLLEGITENSLN >DRNTG_32249.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3388768:3392692:1 gene:DRNTG_32249 transcript:DRNTG_32249.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNREFHKNEGDEVLQMGNRSNAHKYKKTNRKRNEKRLGGRGLSLEAFANAKSGPSGYNPSIIKKQREFYRNAKFVSKYKKLVQDQSQSGNHLSLAPKLEDDDEGENEMDLSNRRNNKKNKKKNNLQSVREEFEKKQAEKEKARMEKEALMQAKKEERARAEAKRKTLRENMFKRTRSGQPVMKYRVSHLLEGITENSLN >DRNTG_21142.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2379709:2381596:1 gene:DRNTG_21142 transcript:DRNTG_21142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWTTFLFLALTLLFYTQSSLGMITCEDLDQNSCAFAVSSSGNRCVLEMKLRRSGYLELFCRTSDIETEKLKDYIEINECIKACGLDRNTVGISSDSLLDSHFTQKLCSSKCYNSCPNIVDLYFNLAAGEDQETSVRRGISEILSSGIEAAGPVSGRSIAWAPSALIEKGLASEPAESPC >DRNTG_25152.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5996784:6000483:-1 gene:DRNTG_25152 transcript:DRNTG_25152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVAILSGPGIGHLFPCSELARRLVRHHNLSVSLITQSLGPPSDTELSLISSIREEINVVSIPPPPPHSIPQNKNLIFLLLFLSAHNGPHLHTILNSLTANTSTPPLKALLVDMFCDEATLDITQELGVRHYMFFTSGCILLSFALHLTKLDETYDGEYTDILEPIRLPGYSQSFYGKDFPQPVVERKNEVYTSYLSLSKLYYKTRGILVNSTEELEPELINFMKEDERIPPVYPIGPLIRSCSRDRGSDATDDQCLRWLEEQPNRTVLYVSFGSGGTLTREQMKELAWGLELSKQRFLWVVKRPNDEADASYFGGEINVSSFNFLPNGFLDRTKGLGLVVFAWAPQLQMLGHAAIGGFLTHCGWNSILESISNGVPMIAWPLFAEQKMNAVMLEEDVKVAVRAKVDDTGLVRKEEIVRLIKCLMEDEQGRKMRDRVSLIGSRQHLYIRENQKKHSRLKTKKLELSRHYLNKDKVNYIAYELLTDWIMVVSTYRKPCEYACTHKEEFRAKSENLKDRSYARKDARKDHPEEPTIRAYACKDSRKGQHSEPCDPALTAIS >DRNTG_15986.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5160734:5163979:-1 gene:DRNTG_15986 transcript:DRNTG_15986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGKDPVRGSMRRVIYYNARTNKWHRAPDMLRRRHLFGSCVLNNCLYVAGGETQGAEQALKSAEVYDPIKNRWFLISDMSTSMIPFISVVYKGRWFIKGLGPHRQVLSEVYYPEANAWLPVFDGMVSGWRNPCTCLNGMLYALECRDGCKLRVYDGASDSWIKCMDSKLHLGSSRALEAAALFPINGKLCIIRNNMSITLVDVAGAPKKSSNWETLAGYGPIKTFVTNLWSSLAGRRRLQSHIVHCQVLKV >DRNTG_03622.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11578588:11582563:-1 gene:DRNTG_03622 transcript:DRNTG_03622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETTLSARRGALSSEDDDGRDDSKTKKPSRLTMDILAHLRRFSISAPCLAVALPLLLVFIIAVAVLSRSRPHAEILVTPLDSLPLVPLLPGNGLEGLAPNFGSLGVPLYQNMEKWWSGLPRTFSKVLKNLCQSMRQDLSKTIYMEWALITALGFGSWHAG >DRNTG_33660.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2118853:2120120:-1 gene:DRNTG_33660 transcript:DRNTG_33660.3 gene_biotype:protein_coding transcript_biotype:protein_coding CVCVLLESLPLETLQLQSLRFPWWSTPDLASFLAGGEFLMTWPPELHVSKIKIFF >DRNTG_33660.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2118853:2120120:-1 gene:DRNTG_33660 transcript:DRNTG_33660.1 gene_biotype:protein_coding transcript_biotype:protein_coding CVCVLLESLPLETLQLQSLRFPWWSTPDLASFLAGVPPTGHSIVSGEFLMTWPPELHVSKIKIFF >DRNTG_25419.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24617481:24620031:-1 gene:DRNTG_25419 transcript:DRNTG_25419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEKKPLVSNGGAWAMNVVSSVGIIMVNKQLMSSSGYAFTFATTLTGFHFMVTALVGYISNAAGYSASKKVPIWELFWFSIVANMSITGMNLSLMLNSVGFYQISKLSMIPVVCVMEWVLHNKHYSTRVIMSVVVVAFGVGVCTVTDVEINGKGFICACVAVFCTSLQQITIGSFQKKYNIGSFELLSKTAPIQAASLLIFGPFVDYYLNKSSLLNYHFTGGASLFILLSCSLAVFCNLSQYLCIGRFSATSFQVLGHMKTVCVLILGWILFDSALTGKNILGMLLAVVGMIIYSWAVESEKQTKMSSHVSGESLAQEEDVKLLKERVNRITESDLELGQAKS >DRNTG_25419.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24617481:24619948:-1 gene:DRNTG_25419 transcript:DRNTG_25419.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESEKKPLVSNGGAWAMNVVSSVGIIMVNKQLMSSSGYAFTFATTLTGFHFMVTALVGYISNAAGYSASKKVPIWELFWFSIVANMSITGMNLSLMLNSVGFYQISKLSMIPVVCVMEWVLHNKHYSTRVIMSVVVVAFGVGVCTVTDVEINGKGFICACVAVFCTSLQQITIGSFQKKYNIGSFELLSKTAPIQAASLLIFGPFVDYYLNKSSLLNYHFTGGASLFILLSCSLAVFCNLSQYLCIGRFSATSFQVLGHMKTVCVLILGWILFDSALTGKNILGMLLAVVGMIIYSWAVESEKQTKMSSHVSGESLAQEEDVKLLKERVNRITESDLELGQAKS >DRNTG_25419.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24617467:24619948:-1 gene:DRNTG_25419 transcript:DRNTG_25419.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEKKPLVSNGGAWAMNVVSSVGIIMVNKQLMSSSGYAFTFATTLTGFHFMVTALVGYISNAAGYSASKKVPIWELFWFSIVANMSITGMNLSLMLNSVGFYQISKLSMIPVVCVMEWVLHNKHYSTRVIMSVVVVAFGVGVCTVTDVEINGKGFICACVAVFCTSLQQITIGSFQKKYNIGSFELLSKTAPIQAASLLIFGPFVDYYLNKSSLLNYHFTGGASLFILLSCSLAVFCNLSQYLCIGRFSATSFQVLGHMKTVCVLILGWILFDSALTGKNILGMLLAVVGMIIYSWAVESEKQTKMSSHVSGESLAQEEDVKLLKERVNRITESDLELGQAKS >DRNTG_25419.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24617481:24619948:-1 gene:DRNTG_25419 transcript:DRNTG_25419.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTALVGYISNAAGYSASKKVPIWELFWFSIVANMSITGMNLSLMLNSVGFYQISKLSMIPVVCVMEWVLHNKHYSTRVIMSVVVVAFGVGVCTVTDVEINGKGFICACVAVFCTSLQQITIGSFQKKYNIGSFELLSKTAPIQAASLLIFGPFVDYYLNKSSLLNYHFTGGASLFILLSCSLAVFCNLSQYLCIGRFSATSFQVLGHMKTVCVLILGWILFDSALTGKNILGMLLAVVGMIIYSWAVESEKQTKMSSHVSGESLAQEEDVKLLKERVNRITESDLELGQAKS >DRNTG_25419.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24617481:24619948:-1 gene:DRNTG_25419 transcript:DRNTG_25419.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVTALVGYISNAAGYSASKKVPIWELFWFSIVANMSITGMNLSLMLNSVGFYQISKLSMIPVVCVMEWVLHNKHYSTRVIMSVVVVAFGVGVCTVTDVEINGKGFICACVAVFCTSLQQITIGSFQKKYNIGSFELLSKTAPIQAASLLIFGPFVDYYLNKSSLLNYHFTGGASVSS >DRNTG_26839.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1913330:1916608:-1 gene:DRNTG_26839 transcript:DRNTG_26839.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMTKMSHEEFVAYVRRQSSCFSRGASMYRGVTRRRKDGKWQARIGRVGESRDAKDIYLGTFGKHYSPSFGLTLFDVIA >DRNTG_26839.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1913330:1916608:-1 gene:DRNTG_26839 transcript:DRNTG_26839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTENFPDPMEVPNPLGNLNPKPVLPSLNDDDGSEATVAAEKDAGDFVLETPSEKLTQEPSEEASKSHEAEAWDAVLVDDIAGENKSNALEEAPEKNSGECRPGLIVPEKKEERKVGTLVAAAMKKYAAPRSSNYHGVTKLKWSGKFEAHLWDNTSHVEGRKRKGKHVYLGSYESEEQAARSHDLAALKYFGPGPNGKLNFPISEYEKEMEIMTKMSHEEFVAYVRRQSSCFSRGASMYRGVTRRRKDGKWQARIGRVGESRDAKDIYLGTFDTEEEAAEAYDIAAIELRGAHAVTNFDISNYYEGGTLKRLDDTCKQET >DRNTG_26839.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1913330:1916608:-1 gene:DRNTG_26839 transcript:DRNTG_26839.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMTKMSHEEFVAYVRRQSSCFSRGASMYRGVTRRRKDGKWQARIGRVGESRDAKDIYLGTFDTEEEAAEAYDIAAIELRGAHAVTNFDISNYYEGGTLKRLDDTCKQET >DRNTG_26839.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1913330:1916608:-1 gene:DRNTG_26839 transcript:DRNTG_26839.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGSYESEEQAARSHDLAALKYFGPGPNGKLNFPISEYEKEMEIMTKMSHEEFVAYVRRQSSCFSRGASMYRGVTRRRKDGKWQARIGRVGESRDAKDIYLGTFDTEEEAAEAYDIAAIELRGAHAVTNFDISNYYEGGTLKRLDDTCKQET >DRNTG_26839.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1913330:1916608:-1 gene:DRNTG_26839 transcript:DRNTG_26839.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMTKMSHEEFVAYVRRQSSCFSRGASMYRGVTRRRKDGKWQARIGRVGESRDAKDIYLGTFDTEEEAAEAYDIAAIELRGAHAVTNFDISNYYEGGTLKRLDDTCKQET >DRNTG_26839.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1913330:1916608:-1 gene:DRNTG_26839 transcript:DRNTG_26839.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGSYESEEQAARSHDLAALKYFGPGPNGKLNFPISEYEKEMEIMTKMSHEEFVAYVRRQSSCFSRGASMYRGVTRRRKDGKWQARIGRVGESRDAKDIYLGTFDTEEEAAEAYDIAAIELRGAHAVTNFDISNYYEGGTLKRLDDTCKQET >DRNTG_27187.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:595863:600675:1 gene:DRNTG_27187 transcript:DRNTG_27187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSDDEGEDVFFDASDYIRNSIDSVSSGDNFAESRELEFKRFQNELWSTELRSIEERRKRFLQGMGFDNEFMFSQTDNGEETEQRVHDKLMDHVEIERIAESSSVVPDTSDTLLVDDDREHMNCYLCIRDLDTGKKFIVHDIGPDGVLRVLKEVGSDRTMTLQEFEAFLGLSSPVQQFMRREPTVSSKKQNEGAEVKRKKSRSWWGGLKWNRHLVGMCKHDDSVQNVQQPKTSRIKVQQQKKRCMEFTALYLGQEIKGHNGLIRTMKFSVSGRHLASGGEDCVVRIWHVREVETSSKCFASNGSSKFINKIKDGRVMFGRKSPSSAPAVIPKELFKIEETPLHEFHGHTSGILDLSWSDSDFLLTSSKDKTVRLWKVGCDLCLKVFQHNDYVTCIQFNPVDERYFISGCIDGKVRVWGVSENRVVDWADTRDIVTAICYRPDGKGFVVGSIAGGCLFYNYSGSNMKLDTRLCVEGRRKSTGKRITCLQFSPSDSEKVMVSSADAKIRIFDGVEVIHKFKGLRKSKSQSSASFTSDGRYFVSVDEESRVYIWNYNEPNITSLNGAKTIRSFEFFSSEGVSVALPWSGINRRVGLDFNNILASSTPRKFLEPLTWLRNPDCFSLGVWFFADSVSRGSATWPEEKLFTPPEPSTPTDNHQHDHIQQSDLQNRLRYLATLTLSATWNLVIVTASHDGSIRSFHNFGLPVRL >DRNTG_21595.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001223.1:6934:8314:1 gene:DRNTG_21595 transcript:DRNTG_21595.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQMDHLILISVKYPLLSLITLLIFMASSKALVSTVLLATILLFSLEVNASRELNEQTHNKVSKGPETEEKMSAEIGFSIGDTNGGNTIGGFIPSNRYPGTGYYPGTGFYGGFPGRQFFGGYPGYFGGYPGGYGGYIGNP >DRNTG_15739.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20366987:20380144:1 gene:DRNTG_15739 transcript:DRNTG_15739.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFSFPFQVFPKGFIEDQNHEALEVDFANEFLGG >DRNTG_10355.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4900893:4901430:-1 gene:DRNTG_10355 transcript:DRNTG_10355.12 gene_biotype:protein_coding transcript_biotype:protein_coding HNFDNNHANNNTYIHKTQKKISAKQGFFKGLAHAQPTQGSPLKTNISKHKGQGFS >DRNTG_10355.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4896705:4901359:-1 gene:DRNTG_10355 transcript:DRNTG_10355.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGSSSEFKEHCLEGCGASTVFCNLQLLTINECRKLSSLSWVMHLPSLTELSVQVCEGIKELFTEEDGEIQ >DRNTG_10355.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4896705:4900775:-1 gene:DRNTG_10355 transcript:DRNTG_10355.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIWGMGGVGKTTLLRKIYNSLLDDENTGFDYVILVVATKDVQLEKLREEIATKLQLISSSSKEGISNFLKTKNFVLLLDDIWVVVDLVELGIPHPHSNDNSTKQYKRKVIFTTRSEELCTKMRADEKIKVECLEPQEAWDLFKENVNLDVIESDVRMKEIARQVMNECRGLPLTLILIGKAMSNKKNFEEWDYVLRSMRKSKTSIIQDVEKSLYPTLEISYDNLPNKLCKDCFLYISLWPRGVGISNEDIIDFWIRLGLIHEFDNLREAYGHGQYILRLLEAACLLEPYEKAYMDFKKDLRLHDVIRDMALWIVAKSEARNIWIANANMGLNRISNVAAKKWRFAVGVSLMNNNIETLPKLTQQCSHLLSLMMQHNLNLKNIPDGFFLRMSNLRYLNLSETKLRRLPRDVKCLVNLQYLNISKTEISVLPSEMINLQNLQFLICQHLWWDHITPDGLVSKLLNLQVLDIYPNAEIKLKELNTLKENIKALGLCVTSLNVLQQLSELPTCTYV >DRNTG_10355.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4900893:4901511:-1 gene:DRNTG_10355 transcript:DRNTG_10355.11 gene_biotype:protein_coding transcript_biotype:protein_coding HNFDNNHANNNTYIHKTQKKISAKQGFFKGLAHAQPTQGSPLKTNISKHKGQGFS >DRNTG_10355.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4900893:4901359:-1 gene:DRNTG_10355 transcript:DRNTG_10355.13 gene_biotype:protein_coding transcript_biotype:protein_coding HNFDNNHANNNTYIHKTQKKISAKQGFFKGLAHAQPTQGSPLKTNISKHKGQGFS >DRNTG_10355.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4900471:4901430:-1 gene:DRNTG_10355 transcript:DRNTG_10355.9 gene_biotype:protein_coding transcript_biotype:protein_coding HNFDNNHANNNTYIHKTQKKISAKQGFFKGLAHAQPTQGSPLKTNISKHKGQGFS >DRNTG_10355.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4896705:4900775:-1 gene:DRNTG_10355 transcript:DRNTG_10355.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIWGMGGVGKTTLLRKIYNSLLDDENTGFDYVILVVATKDVQLEKLREEIATKLQLISSSSKEGISNFLKTKNFVLLLDDIWVVVDLVELGIPHPHSNDNSTKQYKRKVIFTTRSEELCTKMRADEKIKVECLEPQEAWDLFKENVNLDVIESDVRMKEIARQVMNECRGLPLTLILIGKAMSNKKNFEEWDYVLRSMRKSKTSIIQDVEKSLYPTLEISYDNLPNKLCKDCFLYISLWPRGVGISNEDIIDFWIRLGLIHEFDNLREAYGHGQYILRLLEAACLLEPYEKAYMDFKKDLRLHDVIRDMALWIVAKSEARNIWIANANMGLNRISNVAAKKWRFAVGVSLMNNNIETLPKLTQQCSHLLSLMMQHNLNLKNIPDGFFLRMSNLRYLNLSETKLRRLPRDVKCLVNLQYLNISKTEISVLPSEMINLQNLQFLICQHLWWDHITPDGLVSKLLNLQVLDIYPNAEIKLKELNTLKENIKALGLCVTSLNVLQQLSELPTCTYV >DRNTG_10355.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4900893:4901671:-1 gene:DRNTG_10355 transcript:DRNTG_10355.10 gene_biotype:protein_coding transcript_biotype:protein_coding HNFDNNHANNNTYIHKTQKKISAKQGFFKGLAHAQPTQGSPLKTNISKHKGQGFS >DRNTG_10355.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4897220:4900775:-1 gene:DRNTG_10355 transcript:DRNTG_10355.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIWGMGGVGKTTLLRKIYNSLLDDENTGFDYVILVVATKDVQLEKLREEIATKLQLISSSSKEGISNFLKTKNFVLLLDDIWVVVDLVELGIPHPHSNDNSTKQYKRKVIFTTRSEELCTKMRADEKIKVECLEPQEAWDLFKENVNLDVIESDVRMKEIARQVMNECRGLPLTLILIGKAMSNKKNFEEWDYVLRSMRKSKTSIIQDVEKSLYPTLEISYDNLPNKLCKDCFLYISLWPRGVGISNEDIIDFWIRLGLIHEFDNLREAYGHGQYILRLLEAACLLEPYEKAYMDFKKDLRLHDVIRDMALWIVAKSEARNIWIANANMGLNRISNVAAKKWRFAVGVSLMNNNIETLPKLTQQCSHLLSLMMQHNLNLKNIPDGFFLRMSNLRYLNLSETKLRRLPRDVKCLVNLQYLNISKTEISVLPSEMINLQNLQFLICQHLWWDHITPDGLVSKLLNLQVLDIYPNAEIKLKELNTLKENIKALGLCVTSLNVLQQLSELPTCTYV >DRNTG_10355.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4896588:4900775:-1 gene:DRNTG_10355 transcript:DRNTG_10355.2 gene_biotype:protein_coding transcript_biotype:protein_coding LGSSSEFKEHCLEGCGASTVFCNLQLLTINECRKLSSLSWVMHLPSLTELSVQVCEGIKELFTEEDGEIQ >DRNTG_10355.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4900893:4902109:-1 gene:DRNTG_10355 transcript:DRNTG_10355.8 gene_biotype:protein_coding transcript_biotype:protein_coding HNFDNNHANNNTYIHKTQKKISAKQGFFKGLAHAQPTQGSPLKTNISKHKGQGFS >DRNTG_10355.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4896638:4900775:-1 gene:DRNTG_10355 transcript:DRNTG_10355.3 gene_biotype:protein_coding transcript_biotype:protein_coding LGSSSEFKEHCLEGCGASTVFCNLQLLTINECRKLSSLSWVMHLPSLTELSVQVCEGIKELFTEEDGEIQ >DRNTG_10355.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4896705:4900775:-1 gene:DRNTG_10355 transcript:DRNTG_10355.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIWGMGGVGKTTLLRKIYNSLLDDENTGFDYVILVVATKDVQLEKLREEIATKLQLISSSSKEGISNFLKTKNFVLLLDDIWVVVDLVELGIPHPHSNDNSTKQYKRKVIFTTRSEELCTKMRADEKIKVECLEPQEAWDLFKENVNLDVIESDVRMKEIARQVMNECRGLPLTLILIGKAMSNKKNFEEWDYVLRSMRKSKTSIIQDVEKSLYPTLEISYDNLPNKLCKDCFLYISLWPRGVGISNEDIIDFWIRLGLIHEFDNLREAYGHGQYILRLLEAACLLEPYEKAYMDFKKDLRLHDVIRDMALWIVAKSEARNIWIANANMGLNRISNVAAKKWRFAVGVSLMNNNIETLPKLTQQCSHLLSLMMQHNLNLKNIPDGFFLRMSNLRYLNLSETKLRRLPRDVKCLVNLQYLNISKTEISVLPSEMINLQNLQFLICQHLWWDHITPDGLVSKLLNLQVLDIYPNAEIKLKELNTLKENIKALGLCVTSLNVLQQLSELPTCTYV >DRNTG_06178.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25289057:25290533:1 gene:DRNTG_06178 transcript:DRNTG_06178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTLSLLLAFLTFQIWIEPVTSDVPVSLCRSFCGNLTIDYPFALRPGCGHPGFRDLLFCINGMLMLHIASGSYRVLDIDYAYKGLILHDPGMSDCYSLIRTASGSGNGFIVEPWRAPYLEPAPDNVFMLLGCRAESPLFQGFPGRHLPCRNVSGMGCDEYYACPAWDFKPRGRESVYGLESPPPCCSVPFGVVRAINLSHLGCEGYSSAYSLAPLRPEGPGVWSYGIRVSYSVPVDHSDFCRACQATGGLCGFDAATQGNMCLCEGWNSTSNCDSGKSLAMSMEQALSPVILFGGLLISIIMQIFSPV >DRNTG_29972.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7731452:7736334:1 gene:DRNTG_29972 transcript:DRNTG_29972.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDENQLPEVTIDSNDVVALPYSSGTTGLPKGVMLTHKGLITSVAQQVDGDNPNLYFHSEDVILCVLPLFHIYSLNSVLLCGLRAGAAILIMKKFDIIKLMELVQNYKVTIAPFVPPIVVEIAKSPVVDDYDLSSIRTVMSGAAPMGKELQDTLRAKIPNAKLGQGYGMTEAGPVLSMCLAFAKEPFEIKSGSCGTVVRNAEMKIVDPETGLSLPRNQPGEICIRGDQIMKGYLNDPKATDNTIDKEGWLHTGDIGYVDDDDEIFIVDRLKELIKYKGFQVAPAELEAMLITYPEIADAAVVPMKDELAGEVPVAFVVRSEGSEITEDQIKQYISKQVVFYKRIHKVFFSEAIPKAPSGKILRKDLRAKLAAGIPNGK >DRNTG_35431.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1755739:1757926:-1 gene:DRNTG_35431 transcript:DRNTG_35431.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH9 [Source:Projected from Arabidopsis thaliana (AT1G59760) UniProtKB/Swiss-Prot;Acc:Q9XIF2] MASLKRKIPESPSSDLSRATKSQKEESVLADEPVACLHEVSYPEGYVASCSAAPRPVGGEEKKPAKEFPFELDPFQAEAIKCLDNGESVMVSAHTSAGKTVVASYAIAMSLRDNQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIEPNASCLVMTTEIWRSMQYKGSEILREVAWIIFDEVHYMRDRERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHQQPCHIVYTDYRPTPLQHHIFPAGGEGIYLVVDEKGKFREDSFQKALNALVPAEGDKKRRNGKWQKNLLAGKPSEESDIFKMVKMIIRRQYDPVILFSFSKKECELLALQMAKLDLNEDAEKENIETIYWSAMDILSDDDKKLPQ >DRNTG_35431.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1749653:1757926:-1 gene:DRNTG_35431 transcript:DRNTG_35431.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH9 [Source:Projected from Arabidopsis thaliana (AT1G59760) UniProtKB/Swiss-Prot;Acc:Q9XIF2] MASLKRKIPESPSSDLSRATKSQKEESVLADEPVACLHEVSYPEGYVASCSAAPRPVGGEEKKPAKEFPFELDPFQAEAIKCLDNGESVMVSAHTSAGKTVVASYAIAMSLRDNQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIEPNASCLVMTTEIWRSMQYKGSEILREVAWIIFDEVHYMRDRERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHQQPCHIVYTDYRPTPLQHHIFPAGGEGIYLVVDEKGKFREDSFQKALNALVPAEGDKKRRNGKWQKNLLAGKPSEESDIFKMVKMIIRRQYDPVILFSFSKKECELLALQMAKLDLNEDAEKENIETIYWSAMDILSDDDKKLPQVVNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLLKCLFATETFSIGLNMPAKTVVFTNVRKFDGDKFRWLTSGEYIQMSGRAGRRGIDERGICILMVDEKMEPSTVKMMVKGSADCLNSAFHLSYNMLLNQIRCEDGDPEQLLRYSFYQFQADQALPDLEKQAKELEAERDSIVIEEEETVKDYYDLLQQYRSLKDDVRDIIFSPKYALPFLQPGRLVRLRCAQDDKMPSFSSKDDITWGVIIDFERVKGIAGDKRPEDAEYNVNILTKCVLDKSVGAKKSAKCVPITEPGEAVVISLPLDLIDGLSSVCLYIPKDLLSMEARENTIKKLLVVLAKFEKDGVPLLDPEEDMKVQLNSYRKLVRRIEALESIFDKYDEIRNSPLIQQKLKALHTKKELTDKIKSIKKQMRSSTALAFKDELKARKRVLRRLGYITSEDVVEVKGKVACEISSADELTLTELMFSGVFKDLKAEEMVALLSCFVWQEKLQDAPKPREGLEMLFSQLQETARRVANVQLDSKVQIDVESFVNSFRADIMEAVYAWTKGSKFYDIMEMTPSVFEGSLIRAIRRIEEVLQQLILAAKSIGEVQLEAKLEEAVTKIKRDIVFAASLYV >DRNTG_35431.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1749653:1754831:-1 gene:DRNTG_35431 transcript:DRNTG_35431.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH9 [Source:Projected from Arabidopsis thaliana (AT1G59760) UniProtKB/Swiss-Prot;Acc:Q9XIF2] MLPLLKRGIGVHHSGLLPILKEVIEILFQEGLLKCLFATETFSIGLNMPAKTVVFTNVRKFDGDKFRWLTSGEYIQMSGRAGRRGIDERGICILMVDEKMEPSTVKMMVKGSADCLNSAFHLSYNMLLNQIRCEDGDPEQLLRYSFYQFQADQALPDLEKQAKELEAERDSIVIEEEETVKDYYDLLQQYRSLKDDVRDIIFSPKYALPFLQPGRLVRLRCAQDDKMPSFSSKDDITWGVIIDFERVKGIAGDKRPEDAEYNVNILTKCVLDKSVGAKKSAKCVPITEPGEAVVISLPLDLIDGLSSVCLYIPKDLLSMEARENTIKKLLVVLAKFEKDGVPLLDPEEDMKVQLNSYRKLVRRIEALESIFDKYDEIRNSPLIQQKLKALHTKKELTDKIKSIKKQMRSSTALAFKDELKARKRVLRRLGYITSEDVVEVKGKVACEISSADELTLTELMFSGVFKDLKAEEMVALLSCFVWQEKLQDAPKPREGLEMLFSQLQETARRVANVQLDSKVQIDVESFVNSFRADIMEAVYAWTKGSKFYDIMEMTPSVFEGSLIRAIRRIEEVLQQLILAAKSIGEVQLEAKLEEAVTKIKRDIVFAASLYV >DRNTG_10395.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23039435:23043019:1 gene:DRNTG_10395 transcript:DRNTG_10395.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGKGRGDMRIFDTYDELATDLAEYVAQLSESSVRERGYFTIALSGGSLIGLLGKLCEAPYNKTVDWTKWYVLWAEERAVSKNHSYSNYKLAKDSFLSKVSILNNHVCSINDSKTVEDVAMQYEFTIRQLVKARVLGVSGVNDCPKFDLILLCMGSDGHIALLYPHHPALKLKEDWITHITDSSEPPPERITFTLPVINSASNVVILATGEDKARAVHLAIDNVGQDFDASSVPARLVEPVDGKLVWFMDMAAASQVIANE >DRNTG_10395.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23038375:23043019:1 gene:DRNTG_10395 transcript:DRNTG_10395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGKGRGDMRIFDTYDELATDLAEYVAQLSESSVRERGYFTIALSGGSLIGLLGKLCEAPYNKTVDWTKWYVLWAEERAVSKNHSYSNYKLAKDSFLSKVSILNNHVCSINDSKTVEDVAMQYEFTIRQLVKARVLGVSGVNDCPKFDLILLCMGSDGHIALLYPHHPALKLKEDWITHITDSSEPPPERITFTLPVINSASNVVILATGEDKARAVHLAIDNVGQDFDASSVPARLVEPVDGKLVWFMDMAAASQVIANE >DRNTG_03326.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15269303:15272792:1 gene:DRNTG_03326 transcript:DRNTG_03326.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTSALIIWKGLMCVTGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRAGEIVVFNVDGREIPIVHRVIKAS >DRNTG_03326.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15269303:15275245:1 gene:DRNTG_03326 transcript:DRNTG_03326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTSALIIWKGLMCVTGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRAGEIVVFNVDGREIPIVHRVIKVHERQETGEVDVLTKGDNNFGDDRLLYARGQLWLQQHHIMGRAVGFLPYVGWVTIIMTEKPIIKYLLIGALGLLVITSKE >DRNTG_33612.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002027.1:7032:9872:-1 gene:DRNTG_33612 transcript:DRNTG_33612.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESCKPFSQSFTNSTHMIEQRNSASNLMNHSGKSSSPQSINKQSETNVETPEGVIGYLDVFIHQAHNIHNICIYHKQDVYAKLSLTGNPEIAIESKVIRSAGGNPVFNEKLQLSVQNIESSLRCEIWMRSAVPSHLEDQLLGFVLIPLSNVIVAKGKLEKEFALSANDLFHSAAGFVQLSISYVGSYPDVMAVAPPPVAVFADTALPDFRE >DRNTG_33612.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002027.1:7032:9872:-1 gene:DRNTG_33612 transcript:DRNTG_33612.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESCKPFSQSFTNSTHMIEQRNSASNLMNHSGKSSSPQSINKQSETNVETPEGVIGYLDVFIHQAHNIHNICIYHKQDVYAKLSLTGNPEIAIESKVIRSAGGNPVFNEKLQLSVQNIESSLRCEIWMRSAVPSHLEDQLLGFVLIPLSNVIVAKGKLEKEFALSANDLFHSAAGFVQLSISYVGSYPDVMAVAPPPVAVFADTALPDFRE >DRNTG_33612.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002027.1:6949:9832:-1 gene:DRNTG_33612 transcript:DRNTG_33612.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESCKPFSQSFTNSTHMIEQRNSASNLMNHSGKSSSPQSINKQSETNVETPEGVIGYLDVFIHQAHNIHNICIYHKQDVYAKLSLTGNPEIAIESKVIRSAGGNPVFNEKLQLSVQNIESSLRCEIWMRSAVPSHLEDQLLGFVLIPLSNVIVAKGKLEKEFALSANDLFHSAAGFVQLSISYVGSYPDVMAVAPPPVAVFADTALPDFRE >DRNTG_33612.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002027.1:6949:9832:-1 gene:DRNTG_33612 transcript:DRNTG_33612.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESCKPFSQSFTNSTHMIEQRNSASNLMNHSGKSSSPQSINKQSETNVETPEGVIGYLDVFIHQAHNIHNICIYHKQDVYAKLSLTGNPEIAIESKVIRSAGGNPVFNEKLQLSVQNIESSLRCEIWMRSAVPSHLEDQLLGFVLIPLSNVIVAKGKLEKEFALSANDLFHSAAGFVQLSISYVGSYPDVMAVAPPPVAVFADTALPDFRE >DRNTG_33612.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002027.1:7032:9832:-1 gene:DRNTG_33612 transcript:DRNTG_33612.8 gene_biotype:protein_coding transcript_biotype:protein_coding MESCKPFSQSFTNSTHMIEQRNSASNLMNHSGKSSSPQSINKQSETNVETPEGVIGYLDVFIHQAHNIHNICIYHKQDVYAKLSLTGNPEIAIESKVIRSAGGNPVFNEKLQLSVQNIESSLRCEIWMRSAVPSHLEDQLLGFVLIPLSNVIVAKGKLEKEFALSANDLFHSAAGFVQLSISYVGSYPDVMAVAPPPVAVFADTALPDFRE >DRNTG_33612.9.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002027.1:6949:9492:-1 gene:DRNTG_33612 transcript:DRNTG_33612.9 gene_biotype:protein_coding transcript_biotype:protein_coding MESCKPFSQSFTNSTHMIEQRNSASNLMNHSGKSSSPQSINKQSETNVETPEGVIGYLDVFIHQAHNIHNICIYHKQDVYAKLSLTGNPEIAIESKVIRSAGGNPVFNEKLQLSVQNIESSLRCEIWMRSAVPSHLEDQLLGFVLIPLSNVIVAKGKLEKEFALSANDLFHSAAGFVQLSISYVGSYPDVMAVAPPPVAVFADTALPDFRE >DRNTG_33612.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002027.1:7032:9832:-1 gene:DRNTG_33612 transcript:DRNTG_33612.6 gene_biotype:protein_coding transcript_biotype:protein_coding MESCKPFSQSFTNSTHMIEQRNSASNLMNHSGKSSSPQSINKQSETNVETPEGVIGYLDVFIHQAHNIHNICIYHKQDVYAKLSLTGNPEIAIESKVIRSAGGNPVFNEKLQLSVQNIESSLRCEIWMRSAVPSHLEDQLLGFVLIPLSNVIVAKGKLEKEFALSANDLFHSAAGFVQLSISYVGSYPDVMAVAPPPVAVFADTALPDFRE >DRNTG_33612.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002027.1:7032:9832:-1 gene:DRNTG_33612 transcript:DRNTG_33612.7 gene_biotype:protein_coding transcript_biotype:protein_coding MESCKPFSQSFTNSTHMIEQRNSASNLMNHSGKSSSPQSINKQSETNVETPEGVIGYLDVFIHQAHNIHNICIYHKQDVYAKLSLTGNPEIAIESKVIRSAGGNPVFNEKLQLSVQNIESSLRCEIWMRSAVPSHLEDQLLGFVLIPLSNVIVAKGKLEKEFALSANDLFHSAAGFVQLSISYVGSYPDVMAVAPPPVAVFADTALPDFRE >DRNTG_33612.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002027.1:6949:9872:-1 gene:DRNTG_33612 transcript:DRNTG_33612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCGSYQCCSSSAVYTVFHLLGSSSFPFLLFLQRNSASNLMNHSGKSSSPQSINKQSETNVETPEGVIGYLDVFIHQAHNIHNICIYHKQDVYAKLSLTGNPEIAIESKVIRSAGGNPVFNEKLQLSVQNIESSLRCEIWMRSAVPSHLEDQLLGFVLIPLSNVIVAKGKLEKEFALSANDLFHSAAGFVQLSISYVGSYPDVMAVAPPPVAVFADTALPDFRE >DRNTG_33612.10.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002027.1:7032:9492:-1 gene:DRNTG_33612 transcript:DRNTG_33612.10 gene_biotype:protein_coding transcript_biotype:protein_coding MESCKPFSQSFTNSTHMIEQRNSASNLMNHSGKSSSPQSINKQSETNVETPEGVIGYLDVFIHQAHNIHNICIYHKQDVYAKLSLTGNPEIAIESKVIRSAGGNPVFNEKLQLSVQNIESSLRCEIWMRSAVPSHLEDQLLGFVLIPLSNVIVAKGKLEKEFALSANDLFHSAAGFVQLSISYVGSYPDVMAVAPPPVAVFADTALPDFRE >DRNTG_32202.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001797.1:19653:27012:-1 gene:DRNTG_32202 transcript:DRNTG_32202.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLDAFGGKLVEKLTNVIEEKAIMVLGVKDELQRLRRRMERIARVLKDAERRRIRDETVKLWVDELKDLMYDAEDIIDLCMIQGTGLLQDDHHSQLPESSTTASTRVRCYNFPLFSCVCSVPFRYEIADKIKSLNDRLTEISEDKIKFKFMTSSKSNDANAMNEASYRQSSSLLEPDVVGWDIKDATKRLVELLVSPHEQKCCLFAIVGMGGIGKTTLAQQIYNNPKIKDAFVLCSWICVSKSFTSRTDLLKEIIRKTGGGYGESTTIDELQNILCDVLHGKSLFLVLDDIWDDNIWNDLIKNLIGGTTTKCRVLVTTRDRNTSVKMGAIHIHNVNKLPLDSGWELLCKIVFTNNDKRDMQRMKDIGMKIVEKCDGLPIAIKAIASVLVTKGQNRREWENVLNSDAWTITGLPEELQGALYLSYEALPLALKHCFLYCALYPRGRELKLEDLVCQWIAEDYIEAKGNASMEDVAKSYYMELICRSFLQPDPVYVDMSICTIHDLLRALAEFFVGGESFSGDPQEAQITKSKKLRHLTVTGNRESVSISHFDCLRSLRLWTPPSLNTQVIGNFKHLRLLLLNGDKIENIPDSIGDLVHLRLLDLERTCILNLPDSLGNLINLQFLLLNDCKSLHILPNSITNLHNLRWLRVSEAPLKYVPKGIGKLGHLHHVEGLIISDSIGDDGEEGCNLEELQMLEKLNYLSIRNLEKSSSKSASVLSNKTHLRELQLCCSANYTDGDIQQQETDKIVQVFDNLCPPPGLEDLLIQDFFGGQYPKWMSSSTSINTVLRELIYLQLINCSNCPHLPQLGQLPQLKYLKIVGATAVVSIGPEFLGNYNGEPTEIAFPKLESLIFMNMSNWEEWSLISGEEEDNEPASRKPLMFFPRLKSISIIECRKLKALPSGLNRVNIAQMFIRKAHSLSRVSHLPFLKELIVADCPMMECVEKLESLQSLMVVDHRVDNTIFPQCFLLCLIFYLERNNISVPQSLISYLEEINTSLLQWLISFLQQREENPHDDLFQLKLKCSAQALKGCLKGRPHWTLIQQVPRFIGFAGHERMYMKYTREPYYYETNITDED >DRNTG_32202.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001797.1:19653:20650:-1 gene:DRNTG_32202 transcript:DRNTG_32202.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSHGSKTLSSGWGKKKKKKNTNSQVVVVSYRCNSCFVMFAIYPVNDDNVCLYLSLNSSDELLSCYYEF >DRNTG_32202.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001797.1:19653:27012:-1 gene:DRNTG_32202 transcript:DRNTG_32202.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLDAFGGKLVEKLTNVIEEKAIMVLGVKDELQRLRRRMERIARVLKDAERRRIRDETVKLWVDELKDLMYDAEDIIDLCMIQGTGLLQDDHHSQLPESSTTASTRVRCYNFPLFSCVCSVPFRYEIADKIKSLNDRLTEISEDKIKFKFMTSSKSNDANAMNEASYRQSSSLLEPDVVGWDIKDATKRLVELLVSPHEQKCCLFAIVGMGGIGKTTLAQQIYNNPKIKDAFVLCSWICVSKSFTSRTDLLKEIIRKTGGGYGESTTIDELQNILCDVLHGKSLFLVLDDIWDDNIWNDLIKNLIGGTTTKCRVLVTTRDRNTSVKMGAIHIHNVNKLPLDSGWELLCKIVFTNNDKRDMQRMKDIGMKIVEKCDGLPIAIKAIASVLVTKGQNRREWENVLNSDAWTITGLPEELQGALYLSYEALPLALKHCFLYCALYPRGRELKLEDLVCQWIAEDYIEAKGNASMEDVAKSYYMELICRSFLQPDPVYVDMSICTIHDLLRALAEFFVGGESFSGDPQEAQITKSKKLRHLTVTGNRESVSISHFDCLRSLRLWTPPSLNTQVIGNFKHLRLLLLNGDKIENIPDSIGDLVHLRLLDLERTCILNLPDSLGNLINLQFLLLNDCKSLHILPNSITNLHNLRWLRVSEAPLKYVPKGIGKLGHLHHVEGLIISDSIGDDGEEGCNLEELQMLEKLNYLSIRNLEKSSSKSASVLSNKTHLRELQLCCSANYTDGDIQQQETDKIVQVFDNLCPPPGLEDLLIQDFFGGQYPKWMSSSTSINTVLRELIYLQLINCSNCPHLPQLGQLPQLKYLKIVGATAVVSIGPEFLGNYNGEPTEIAFPKLESLIFMNMSNWEEWSLISGEEEDNEPASRKPLMFFPRLKSISIIECRKLKALPSGLNRVNIAQMFIRKAHSLSRVSHLPFLKELIVADCPMMECVEKLESLQSLMVVDHRVDNTIFPQCFLLCLIFYLERNNISVPQSLISYLEEINTSLLQWLISFLQQREENPHDDLFQLKLKCSAQALKGCLKGRPHWTLIQQVPRFIGFAGHERMYMKYTREPYYYETNITDED >DRNTG_32202.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001797.1:19653:27012:-1 gene:DRNTG_32202 transcript:DRNTG_32202.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLDAFGGKLVEKLTNVIEEKAIMVLGVKDELQRLRRRMERIARVLKDAERRRIRDETVKLWVDELKDLMYDAEDIIDLCMIQGTGLLQDDHHSQLPESSTTASTRVRCYNFPLFSCVCSVPFRYEIADKIKSLNDRLTEISEDKIKFKFMTSSKSNDANAMNEASYRQSSSLLEPDVVGWDIKDATKRLVELLVSPHEQKCCLFAIVGMGGIGKTTLAQQIYNNPKIKDAFVLCSWICVSKSFTSRTDLLKEIIRKTGGGYGESTTIDELQNILCDVLHGKSLFLVLDDIWDDNIWNDLIKNLIGGTTTKCRVLVTTRDRNTSVKMGAIHIHNVNKLPLDSGWELLCKIVFTNNDKRDMQRMKDIGMKIVEKCDGLPIAIKAIASVLVTKGQNRREWENVLNSDAWTITGLPEELQGALYLSYEALPLALKHCFLYCALYPRGRELKLEDLVCQWIAEDYIEAKGNASMEDVAKSYYMELICRSFLQPDPVYVDMSICTIHDLLRALAEFFVGGESFSGDPQEAQITKSKKLRHLTVTGNRESVSISHFDCLRSLRLWTPPSLNTQVIGNFKHLRLLLLNGDKIENIPDSIGDLVHLRLLDLERTCILNLPDSLGNLINLQFLLLNDCKSLHILPNSITNLHNLRWLRVSEAPLKYVPKGIGKLGHLHHVEGLIISDSIGDDGEEGCNLEELQMLEKLNYLSIRNLEKSSSKSASVLSNKTHLRELQLCCSANYTDGDIQQQETDKIVQVFDNLCPPPGLEDLLIQDFFGGQYPKWMSSSTSINTVLRELIYLQLINCSNCPHLPQLGQLPQLKYLKIVGATAVVSIGPEFLGNYNGEPTEIAFPKLESLIFMNMSNWEEWSLISGEEEDNEPASRKPLMFFPRLKSISIIECRKLKALPSGLNRVNIAQMFIRKAHSLSRVSHLPFLKELIVADCPMMECVEKLESLQSLMVVDHRVDNTIFPQCFLLCLIFYLERNNISVPQSLISYLEEINTSLLQWLISFLQQREENPHDDLFQLKLKCSAQALKGCLKGRPHWTLIQQVPRFIGFAGHERMYMKYTREPYYYETNITDED >DRNTG_16005.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5273279:5276765:-1 gene:DRNTG_16005 transcript:DRNTG_16005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRFSSSIFLLLLAIVNVGFAAKIKDGLLPNGNFELGPKKSELKGTEVIGRHAIPEWEITGFVEYIESGHKQGDMLLVVPEGYYAIRLGNEASIKQRLNVTKGMYYSITFSAARTCAQEEQLNVSVTPDFGVLPMQTMYSSDGWDIYAWAFQAEFELVDLVIHNTGQEEDPACGPLIDSVAIRILYPPKMTSQNLMKNGDFEEGPYFLPNTSSGVLIPPNIEDDHSPLPGWMVESLKAVKYIDSDHFFVPQGKRAIEIVAGKESALAQTVRTIPGKTYTLTFSVGDAGNACAGSMIVEAFASRSTVKIPYESKGTGGYKRAVLKFTAEAARTRIVFFSTFYHTKTDGSLCGPVIDDVKLLSVRNPLRL >DRNTG_34125.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15051939:15064035:-1 gene:DRNTG_34125 transcript:DRNTG_34125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLDRIVESIAKQIPVSIELADNIAASKNDYVSTTQANQYTMLDGKDMVTDDVMDAFKDTCDTTLTMIRDVTHNLHDVDIVILPIIMNDHFQVLVLNNNKQEYRHYSSSQSEEYDRDAKDMSLFDYCVNMEFGETVTISYPLIHDASDACDSILFHLGCPGCLLMTSEHKCSSRLSSAGLSWSYMGKITFLIRQFVIVDGEVAANKSMTIGVCLYYCSASGDVACSSFMESSNSLAEDAHAIRKYMDQHSSFNAFPSLTLAFIKLTSKCQSSVGPSSKSDVKGAFKEVKVDFEDVEDDVQEDIEEDVEENEEAEADLESILNGNQSEKKIDDELYDSDYTCDSDARG >DRNTG_31195.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26934973:26942182:1 gene:DRNTG_31195 transcript:DRNTG_31195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGFDINRLRQEALIRWLKPAEVFFILKNHESFQLTHEPPKRPPSGSLFLFNRRVLRFFRKDGYVWRKKRDGRTISEAHERLKVENVNVLNCYYAHGEPPNLYLQRRSYWMLDPAYEHIVLVHYREVTEGIFASRNIATPSINQELLAFNHVEDVQLLNSHGQPLPAYRDHNKVGDVQEQVGYSGSQMLDFAGGGEKHQMQSGSSSSAIVSNKNEPSPKDMMNLSSDSAQTDLYVTDILTPESVPEALNFSTYALETVLPHEKDTFFHPSQQETMFGPLNEQLETQFWDQLDVGVNGHQISHSESGSHLSAARRFLFESDSLIESPTSHLPEFEKVQNYTSEAWNLDQQSRLVPGEGNDTDLLGPVTSGIENNHYSSDYSGMWGDASQFEIPLTTDSSVTVSQKQLFSIREISPEWAFTSGNTKVIIAGAFLCNALDFSWSVMFDDIEVRAEIVQEGILRCRTPQHGTGKVTLCVTSSNRESCSELREFEFCADPTNSNSAVTSLQPDARKNDEELRLLMSFTKMLLCRPDSAANHVESFGKVGLTDNMSEQLTVTLPDTVDWILQELLKDKLQQWLLSRRQMDGTKCSLSKQEQGIIHVISGLGYEWALSLILEAGVGINFRDAKGWTALHWAARFGREKMVAVLIAAGASAGAVTDPTSHNPLGKTAASVASAHGHHGLAGYLSEVALTSHLSSLTLEESEIYKGSAEVEAERKMESISQRSNELHVGGTEDELSLKDSLAAARNATQAAAQIQSAFRAYSFRKKQLKTSKSCDPHDFGLTQEELNALSSASKFRWSFNVPRDHKYGTAALAIQKKFRSWHERKAYVNKRNHVMKIQAHVRGYQTRKKYKELLWAVNVLEKAVLRWLRKGDGLRGIQLEHITDDDEDEDEDIVRLLRKQKINPALDQAVARVLTLVESPEARKQYCRVLERYRQAMEHRTEGTAPSDSCM >DRNTG_05103.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2054008:2056205:1 gene:DRNTG_05103 transcript:DRNTG_05103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIEARKVNESLYWIELMSSKYEIAPRPEHYACLVDTLSRAGQLEKAYEYIKEMPFKAECSVWGALLGACLMHSNVKLEELAAEKLVQLDPQNSGAYVMLSNIYAAGGMWVDVTKIRGVMKEDEFKLKRNETRRVTEYLD >DRNTG_02120.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28634475:28635353:1 gene:DRNTG_02120 transcript:DRNTG_02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAASKRSETGRCKRSLDTLLAPKTL >DRNTG_33093.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:9800087:9806536:-1 gene:DRNTG_33093 transcript:DRNTG_33093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVTSPKLLKNSLLAISLTPKIAVAAGVHQLCRYSSEAVVEKEYEEYRKSLYGEITHRAVLVDAVGTLLVPSQPMAQIYRTIGKKYGVMYSEDEILDRYRWAYEQPWGRSRLRYVDDGRPFWQFIVSSSTGCSDSEYFEEVYHYYTTNKAWHLVDPDAEEVFKALKKAGVKSAVVSNFDTRLRPLLQALRCDHWFDAIAVSAEVEAEKPNPTIFIKACELLGVNPEDTVHVGDDRRNDIWGARDAGCDAWLWGSDVHSFKEVAQRIGVNV >DRNTG_06438.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29895432:29896957:-1 gene:DRNTG_06438 transcript:DRNTG_06438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFFKRLVSISDHLHYPNLSFFYYSSATPSSPPEPLLALLKSHNFSDSHISILLSKRPSLLRFNVPLVLAPKLRFLLSDAAAVPPDFLPNLIISNPSILTRSLDNHLKPIFHLIRSFIDSADDLLLILRRNWFLSCSLNRSVAPNIASLLSIGVPRDRIAKLITTSNRSLMLNPDVFRANIAAVRRAGIEPENPMFVYALRALNGMKKSTWDSRLKLFKEMGWSEELVYAAFRRAPLCVLVSDEKIRKSTEFFMKEVGFGPEELSVKPKLLMHAFEKRVLPRFKVFQVLEEKGLFRKVGKEKGKKVVANFFTCSEKVFLARYVSKYCKRAPELKDVGLRMVGSDGQIAGNC >DRNTG_14543.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3950330:3963672:-1 gene:DRNTG_14543 transcript:DRNTG_14543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVMTALWFVASCEDKRIVFHGAKDLLLLLLELLSSQHPVALGSSVSSSHKQAKYETKA >DRNTG_05345.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18454492:18462076:-1 gene:DRNTG_05345 transcript:DRNTG_05345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVGGMRLLGITTFRAMHMIERRNTPHVCTTGWFVDLTRSLPMMCGNLSLHYGSYDHLTLMRVRRSSLNGSLDLPLAQHKNTTKHSLQMEVAGILESSVARDKDQYDFSRVWRISQEGPSFLNPTIIDNRDIPAKLRVLEFEPPTSSSPIECRHLQLSQAAMALL >DRNTG_31039.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30550075:30551513:-1 gene:DRNTG_31039 transcript:DRNTG_31039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEISHRTVEVNGISMHIAEKGMGGQVVLMLHGFPELWYSWRHQIIGLADKGYHAVAPDIRGFGDTDAPSAISSYSICHLVGDIVALINALGQEQVFVVGHDWGAQVAWYLCLFRPDKVKALVNLSVAYMPRNPAAKPVDYFRALYGDDYYVCRFQEPGVIESIFGSVSAEQVLKKFYYTLRDPSGIFIPKEGWISPNDEIPLPNWLSEEDFNYFGNKFEKSGWSGGVNYYRCLNSNWELTAPWTGAQIKVPTKFIVGDLDLTYHYPGIQDFIHNGGFKQAVPLLEEVVVMKGVAHFIQQEKAHEITQHILDFIKKF >DRNTG_18980.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22743387:22746818:1 gene:DRNTG_18980 transcript:DRNTG_18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGVKWDGLLKWSLAHSDGTNPPRNLSEEDRKWFMEAMQAQSVDVVKRMKEITLVMKTPEDVLEAQGVTPADIQDMLDELQEHVESIDMANDLHSIGGLVPLLGYLKNSNAGIRAKAAEVVTTIVQNNPKSQQLVMEANGLEPLLSNFTSDPDETVRTKSLGAISSLIRHNKPGIAAFRLANGYGALRDALGSANARSQRKALNLIQYLLQENNSDCNIVKELGFPRIMMHLASSEDSDVREAALGGLLEIARDRTSESNAVLAEEDKLKQVLQDRIEGISSMTPEDLGAAREERQLVDSLWNACYNEPSSLRDKGLVVLPGEDTSQPPPDVAGKFFEPPLRAWTAKPPSEERSDSDVDKKKTSSAAVRCRPFRRELKSFQPK >DRNTG_17047.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22215789:22231426:-1 gene:DRNTG_17047 transcript:DRNTG_17047.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKYASHHQEKLRNLILVNPPMTDMHAKLPSTLSIFSNFLLGEIFSQDPLRASDKTLTSSGPYMMKEEDAMVYRRPYLTSGSSGFALNAISRAMKKDLRNYVEEMKKVLISETWTIPTTVCWGMRDRWLSYDGVADFCKISNSKLVELQMAGHHVQEDYGEELANIISLTVSKGK >DRNTG_17047.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22215789:22231426:-1 gene:DRNTG_17047 transcript:DRNTG_17047.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQSNIFSTWSLPLPLPAVSIIPSKALHVRCGSSNDGQEEYLIDAPVSAGDGFSFTGGKYSDGPSRSDEWFAQGKFIKAHPVRGSRGNAKDPIFGLKMGAESQSSDDVFRWFCVEAGNSSNPRIILIHGFPSQAYSFRKVLPVLSEDYHAVAFDWLGFGFSDKPQPKYGFDYTLDEYVSALESLVEKLAVDKFSLVVQGYFAPIVMKYASHHQEKLRNLILVNPPMTDMHAKLPSTLSIFSNFLLGEIFSQDPLRASDKTLTSSGPYMMKEEDAMVYRRPYLTSGSSGFALNAISRAMKKDLRNYVEEMKKVLISETWTIPTTVCWGMRDRWLSYDGVADFCKISNSKLVELQMAGHHVQEDYGEELANIISLTVSKGK >DRNTG_17047.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22215789:22231426:-1 gene:DRNTG_17047 transcript:DRNTG_17047.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYASHHQEKLRNLILVNPPMTDMHAKLPSTLSIFSNFLLGEIFSQDPLRASDKTLTSSGPYMMKEEDAMVYRRPYLTSGSSGFALNAISRAMKKDLRNYVEEMKKVLISETWTIPTTVCWGMRDRWLSYDGVADFCKISNSKLVELQMAGHHVQEDYGEELANIISLTVSKGK >DRNTG_30920.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23285436:23288878:-1 gene:DRNTG_30920 transcript:DRNTG_30920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDWTAKPRESGTKPSLPPKVASSRFLLISMNAAEVLFSIHLLSCETSSSRSPTTFIPGFHLLTNFFGKDLRRVCVKDWQVTSTEKGNTKAPLGDTPQYVICPFYGHRSRVTRERGSRCGLSCHGDAFSFKHVRVQSDFEKNFLHKMHNRHRYAIKDSLLRIVRSKHGDEETFVKLLVVYFMTTILFTNTCLNAPPFVVRYADDLGSLGQYAWAHTTHKWMMEDIPATAARVKLHCKGNCPSAGYLRGYSIALIIWFYEVTGSVKKQRIGKTPRIISYGDSSFIKSASIEPLLNSIKGRKFSELLPTNDEENMLVATPEPIPPTTPQEIGRRRSRARKA >DRNTG_09425.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21153331:21158044:-1 gene:DRNTG_09425 transcript:DRNTG_09425.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar fusion protein MON1 homolog [Source:Projected from Arabidopsis thaliana (AT2G28390) UniProtKB/Swiss-Prot;Acc:Q9SKN1] MASDPNSPDVSEPIPNPSPKEEERLASISLEEPEGNHVAVSRLLSNGSSLPADFHAEDEIEVVEEELLGEGSSSHGGGGWREISEQDGEAVPCSPSSSGYAGERGSSGPSSGIEEVDDGVTLQDDWVPRKRHDDEDDASVSWRKRKKHFFILSHSGKPIYSRYGDEHKLAGFSATLQAIISFVENGGDRVKFVRAGKHQVVFLVKGPIYLVCISCTEEPYEALKGQLELIYGQMLLILTKSVNRCFEKNPKFDMSPLLGGTDAVFSSLIHAFSWNPATFLHAYTCLPLAYSTRQAAGAILQDVADSGVLFAILMCKHKVVSLVGAQKASLHPDDMLLLANFILSSESFRTSESFSPICLPRYNPMAFLYAYVHYLDEDTYLTLLTTSSDAFYHLKECRLRIQGVLVKSNVLVEVQRSMLDGGLHVEDLPVDSSRRSRSVSPYSSQENNISGSLRPHMGLGGPAGLWHFIYRSIYLDQYVSSEFSSPINNSVQQKRLYRAYQKLFASMHDKGTGPHKTQYRRDEDYVLLCWITQDFELYAAFDPLADKVSAIKTCNRVCQWVRDLENEIFLLGASPFSW >DRNTG_08663.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000390.1:80321:81678:-1 gene:DRNTG_08663 transcript:DRNTG_08663.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKDWSLKNEYAFIKILHDKVKNNKLQTSTFKKPVWEEINRELYEATREHYGVGKLKGKFNRLRKKHREFSTLLAHTSVSWDTVSNTVHAPDEVWQEFFMRNRSYKQFRKRGCDYYEILGEIFSSNTSSGKSHHTSTQDPLTSEDQEIEEEFLNTNQHVETWKENNDETNDAEVRIGFVRPFIFDSSIDRPCKSNKIAHNDKLDTSLDLLSSSVSARTEAPKHRIEKQNVQCCEDLYSIDACMDVLDSMEAVPNDLYIKVLKKFTDPDWRRMFIKMPHFRRKYWVENLD >DRNTG_08663.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000390.1:80321:81678:-1 gene:DRNTG_08663 transcript:DRNTG_08663.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKDWSLKNEYAFIKILHDKVKNNKLQTSTFKKPVWEEINRELYEATREHYGVGKLKGKFNRLRKKHREFSTLLAHTSVSWDTVSNTVHAPDEVWQEFFMVSF >DRNTG_08663.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000390.1:80243:81557:-1 gene:DRNTG_08663 transcript:DRNTG_08663.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKDWSLKNEYAFIKILHDKVKNNKLQTSTFKKPVWEEINRELYEATREHYGVGKLKGKFNRLRKKHREFSTLLAHTSVSWDTVSNTVHAPDEVWQEFFMRNRSYKQFRKRGCDYYEILGEIFSSNTSSGKSHHTSTQDPLTSEDQEIEEEFLNTNQHVETWKENNDETNDAEVRIGFVRPFIFDSSIDRPCKSNKIAHNDKLDTSLDLLSSSVSARTEAPKHRIEKQNVQCCEDLYSIDACMDVLDSMEAVPNDLYIKVLKKFTDPDWRRMFIKMPHFRRKYWVENLD >DRNTG_08663.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000390.1:80321:81557:-1 gene:DRNTG_08663 transcript:DRNTG_08663.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKDWSLKNEYAFIKILHDKVKNNKLQTSTFKKPVWEEINRELYEATREHYGVGKLKGKFNRLRKKHREFSTLLAHTSVSWDTVSNTVHAPDEVWQEFFMRNRSYKQFRKRGCDYYEILGEIFSSNTSSGKSHHTSTQDPLTSEDQEIEEEFLNTNQHVETWKENNDETNDAEVRIGFVRPFIFDSSIDRPCKSNKIAHNDKLDTSLDLLSSSVSARTEAPKHRIEKQNVQCCEDLYSIDACMDVLDSMEAVPNDLYIKVLKKFTDPDWRRMFIKMPHFRRKYWVENLD >DRNTG_08663.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000390.1:80321:81838:-1 gene:DRNTG_08663 transcript:DRNTG_08663.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKDWSLKNEYAFIKILHDKVKNNKLQTSTFKKPVWEEINRELYEATREHYGVGKLKGKFNRLRKKHREFSTLLAHTSVSWDTVSNTVHAPDEVWQEFFMRNRSYKQFRKRGCDYYEILGEIFSSNTSSGKSHHTSTQDPLTSEDQEIEEEFLNTNQHVETWKENNDETNDAEVRIGFVRPFIFDSSIDRPCKSNKIAHNDKLDTSLDLLSSSVSARTEAPKHRIEKQNVQCCEDLYSIDACMDVLDSMEAVPNDLYIKVLKKFTDPDWRRMFIKMPHFRRKYWVENLD >DRNTG_33336.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3458276:3459664:1 gene:DRNTG_33336 transcript:DRNTG_33336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAAALMLPPSKPEQFSPACRSFRRRISSKNRSMVPVARLFGPAIFEASKLKVVFLGVDGEKHPGSLPRAYTLTHSDFTSIITLAISNTINCAQFEGWYNRLQRDEVVAEWRKVKGKMSLHVHCHISGGHFLLNLVARLRYYIFSKELPVVLKAFAHGDGNLFENYPELEKAMVWVYFHSNMAEFNKVECWGELRDAAMVGKDHSLVTEEELRTPKSCEVDCECCFPPYSLIPWSHESEKFEQVHGSSEQQLQ >DRNTG_33336.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3458276:3459626:1 gene:DRNTG_33336 transcript:DRNTG_33336.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHVHCHISGGHFLLNLVARLRYYIFSKELPVVLKAFAHGDGNLFENYPELEKAMVWVYFHSNMAEFNKVECWGELRDAAMVGKDHSLVTEEELRTPKSCEVDCECCFPPYSLIPWSHESEKFEQVHGSSEQQLQ >DRNTG_33336.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3458243:3459626:1 gene:DRNTG_33336 transcript:DRNTG_33336.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAAALMLPPSKPEQFSPACRSFRRRISSKNRSMVPVARLFGPAIFEASKLKVVFLGVDGEKHPGSLPRAYTLTHSDFTSIITLAISNTINCAQFEGWYNRLQRDEVVAEWRKVKGKMSLHVHCHISGGHFLLNLVARLRYYIFSKELPVVLKAFAHGDGNLFENYPELEKAMVWVYFHSNMAEFNKVECWGELRDAAMVGKDHSLVTEEELRTPKSCEVDCECCFPPYSLIPWSHESEKFEQVHGSSEQQLQ >DRNTG_33336.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3458276:3459626:1 gene:DRNTG_33336 transcript:DRNTG_33336.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAAALMLPPSKPEQFSPACRSFRRRISSKNRSMVPVARLFGPAIFEASKLKVVFLGVDGEKHPGSLPRAYTLTHSDFTSIITLAISNTINCAQFEGWYNRLQRDEVVAEWRKVKGKMSLHVHCHISGGHFLLNLVARLRYYIFSKELPVVLKAFAHGDGNLFENYPELEKAMVWVYFHSNMAEFNKVECWGELRDAAMVGKDHSLVTEEELRTPKSCEVDCECCFPPYSLIPWSHESEKFEQVHGSSEQQLQ >DRNTG_33336.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3458243:3459626:1 gene:DRNTG_33336 transcript:DRNTG_33336.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHVHCHISGGHFLLNLVARLRYYIFSKELPVVLKAFAHGDGNLFENYPELEKAMVWVYFHSNMAEFNKVECWGELRDAAMVGKDHSLVTEEELRTPKSCEVDCECCFPPYSLIPWSHESEKFEQVHGSSEQQLQ >DRNTG_27470.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26412700:26415562:1 gene:DRNTG_27470 transcript:DRNTG_27470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVSEDVDPVASKRPRKSRSRVWNDFKKVYVGNKVKAAICNHCKSQLTAGSNGGTSHLARHLRKCPRRILLNEKPPSLPCARPAAGKASSLKDWTFDQEISRSKLARMVVLHEYPFSIVEHEGLNDFLSSLNPMFKMITPSVVKEDCLAMFEKEKKSMREKFISSCQRVALTSNMWSSKQGLEYLSLTAHFIDDEWKLQKRVLMFSMVESPRNAMAVANVILKGVREWNLDGRISNITLDDGPMNDALVTDLKENLLLRNSLLLNGKLFHVRCAAHVLNFIVQDGLKEIHAVIYNVRESIKYLKSCPSRKQKFQELVREVGISYARGLCLDVASRWNSTYYMLERAVQFKKAFTSLALIDSGYKFAPSIDDWRRAEIVCKLLRTFYNAIKVVTGFHSPTSNLSFHEMWKVRTILHQEVSNEDHFIGTMARDMQKRFDKYWKVSYLHLAIPVILDPQFKMKYVEYRFTQAFGNEAHKYVGEVYNAMLALFGEYHMRSNSQLITREMHTSIAREAVGTSRDIDSLLDWDQHISQQNSIPRDVVGTSRDDIDALSDWDQHVSQQTNYQIVTELDRYLEDGIFPRKNDFDILNWWMVSETKYPTLARIARDVLATPAATVASELVFSSGGRFLNDFRSSLSCETAEALICTQDWLRAGKTTGMGTINDLEDDLSNLEL >DRNTG_27470.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26412700:26415562:1 gene:DRNTG_27470 transcript:DRNTG_27470.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLALNEVVEQLMEEGVSEDVDPVASKRPRKSRSRVWNDFKKVYVGNKVKAAICNHCKSQLTAGSNGGTSHLARHLRKCPRRILLNEKPPSLPCARPAAGKASSLKDWTFDQEISRSKLARMVVLHEYPFSIVEHEGLNDFLSSLNPMFKMITPSVVKEDCLAMFEKEKKSMREKFISSCQRVALTSNMWSSKQGLEYLSLTAHFIDDEWKLQKRVLMFSMVESPRNAMAVANVILKGVREWNLDGRISNITLDDGPMNDALVTDLKENLLLRNSLLLNGKLFHVRCAAHVLNFIVQDGLKEIHAVIYNVRESIKYLKSCPSRKQKFQELVREVGISYARGLCLDVASRWNSTYYMLERAVQFKKAFTSLALIDSGYKFAPSIDDWRRAEIVCKLLRTFYNAIKVVTGFHSPTSNLSFHEMWKVRTILHQEVSNEDHFIGTMARDMQKRFDKYWKVSYLHLAIPVILDPQFKMKYVEYRFTQAFGNEAHKYVGEVYNAMLALFGEYHMRSNSQLITREMHTSIAREAVGTSRDIDSLLDWDQHISQQNSIPRDVVGTSRDDIDALSDWDQHVSQQTNYQIVTELDRYLEDGIFPRKNDFDILNWWMVSETKYPTLARIARDVLATPAATVASELVFSSGGRFLNDFRSSLSCETAEALICTQDWLRAGKTTGMGTINDLEDDLSNLEL >DRNTG_27470.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26412700:26415562:1 gene:DRNTG_27470 transcript:DRNTG_27470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLALNEVVEQLMEEGVSEDVDPVASKRPRKSRSRVWNDFKKVYVGNKVKAAICNHCKSQLTAGSNGGTSHLARHLRKCPRRILLNEKPPSLPCARPAAGKASSLKDWTFDQEISRSKLARMVVLHEYPFSIVEHEGLNDFLSSLNPMFKMITPSVVKEDCLAMFEKEKKSMREKFISSCQRVALTSNMWSSKQGLEYLSLTAHFIDDEWKLQKRVLMFSMVESPRNAMAVANVILKGVREWNLDGRISNITLDDGPMNDALVTDLKENLLLRNSLLLNGKLFHVRCAAHVLNFIVQDGLKEIHAVIYNVRESIKYLKSCPSRKQKFQELVREVGISYARGLCLDVASRWNSTYYMLERAVQFKKAFTSLALIDSGYKFAPSIDDWRRAEIVCKLLRTFYNAIKVVTGFHSPTSNLSFHEMWKVRTILHQEVSNEDHFIGTMARDMQKRFDKYWKVSYLHLAIPVILDPQFKMKYVEYRFTQAFGNEAHKYVGEVYNAMLALFGEYHMRSNSQLITREMHTSIAREAVGTSRDIDSLLDWDQHISQQNSIPRDVVGTSRDDIDALSDWDQHVSQQTNYQIVTELDRYLEDGIFPRKNDFDILNWWMVSETKYPTLARIARDVLATPAATVASELVFSSGGRFLNDFRSSLSCETAEALICTQDWLRAGKTTGMGTINDLEDDLSNLEL >DRNTG_27470.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26412700:26415562:1 gene:DRNTG_27470 transcript:DRNTG_27470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLALNEVVEQLMEEGVSEDVDPVASKRPRKSRSRVWNDFKKVYVGNKVKAAICNHCKSQLTAGSNGGTSHLARHLRKCPRRILLNEKPPSLPCARPAAGKASSLKDWTFDQEISRSKLARMVVLHEYPFSIVEHEGLNDFLSSLNPMFKMITPSVVKEDCLAMFEKEKKSMREKFISSCQRVALTSNMWSSKQGLEYLSLTAHFIDDEWKLQKRVLMFSMVESPRNAMAVANVILKGVREWNLDGRISNITLDDGPMNDALVTDLKENLLLRNSLLLNGKLFHVRCAAHVLNFIVQDGLKEIHAVIYNVRESIKYLKSCPSRKQKFQELVREVGISYARGLCLDVASRWNSTYYMLERAVQFKKAFTSLALIDSGYKFAPSIDDWRRAEIVCKLLRTFYNAIKVVTGFHSPTSNLSFHEMWKVRTILHQEVSNEDHFIGTMARDMQKRFDKYWKVSYLHLAIPVILDPQFKMKYVEYRFTQAFGNEAHKYVGEVYNAMLALFGEYHMRSNSQLITREMHTSIAREAVGTSRDIDSLLDWDQHISQQNSIPRDVVGTSRDDIDALSDWDQHVSQQTNYQIVTELDRYLEDGIFPRKNDFDILNWWMVSETKYPTLARIARDVLATPAATVASELVFSSGGRFLNDFRSSLSCETAEALICTQDWLRAGKTTGMGTINDLEDDLSNLEL >DRNTG_27470.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26412700:26415562:1 gene:DRNTG_27470 transcript:DRNTG_27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALNEVVEQLMEEGVSEDVDPVASKRPRKSRSRVWNDFKKVYVGNKVKAAICNHCKSQLTAGSNGGTSHLARHLRKCPRRILLNEKPPSLPCARPAAGKASSLKDWTFDQEISRSKLARMVVLHEYPFSIVEHEGLNDFLSSLNPMFKMITPSVVKEDCLAMFEKEKKSMREKFISSCQRVALTSNMWSSKQGLEYLSLTAHFIDDEWKLQKRVLMFSMVESPRNAMAVANVILKGVREWNLDGRISNITLDDGPMNDALVTDLKENLLLRNSLLLNGKLFHVRCAAHVLNFIVQDGLKEIHAVIYNVRESIKYLKSCPSRKQKFQELVREVGISYARGLCLDVASRWNSTYYMLERAVQFKKAFTSLALIDSGYKFAPSIDDWRRAEIVCKLLRTFYNAIKVVTGFHSPTSNLSFHEMWKVRTILHQEVSNEDHFIGTMARDMQKRFDKYWKVSYLHLAIPVILDPQFKMKYVEYRFTQAFGNEAHKYVGEVYNAMLALFGEYHMRSNSQLITREMHTSIAREAVGTSRDIDSLLDWDQHISQQNSIPRDVVGTSRDDIDALSDWDQHVSQQTNYQIVTELDRYLEDGIFPRKNDFDILNWWMVSETKYPTLARIARDVLATPAATVASELVFSSGGRFLNDFRSSLSCETAEALICTQDWLRAGKTTGMGTINDLEDDLSNLEL >DRNTG_07778.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1088259:1091505:-1 gene:DRNTG_07778 transcript:DRNTG_07778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFGGFLEKKPYVPPSWASHLTLIPSHTCSIGRFPTPIHKWELPNLPQGTEVWIKREDLTGILLSGNKARKLEFLMADAVAQGADCVITMGGLQSNHCRTTAAAARYLKLDCYLICFVEYPNKDPGLVGNLLIDRLVGAHIKLVPGQAYFDIGPEALFEMFRRKLVAEGKKPYIIPIGASNTLGTWGYIDGIREIEQQIQEENQKIQFDDIVVACGSGGTVAGLAIGSKLSGLNIKIKAFSVTTSRESFVDNIQSKIDELQAGFDLNELVTIQDVQGLGYGFSTTEELKFVKEIATSTGVLLDSVYCGKAAFGMMNDMKANPKKWEGRKVLFIHTGGIYELYNKSDQMLPFAGDLSKLEIDESVSPTA >DRNTG_07778.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1088259:1091505:-1 gene:DRNTG_07778 transcript:DRNTG_07778.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFGGFLEKKPYVPPSWASHLTLIPSHTCSIGRFPTPIHKWELPNLPQGTEVWIKREDLTGILLSGNKARKLEFLMADAVAQGADCVITMGGLQSNHCRTTAAAARYLKLDCYLICFVEYPNKDPGLVGNLLIDRLVGAHIKLVPGQAYFDIGPEALFEMFRRKLVAEGKKPYIIPIGASNTLGTWGYIDGIREIEQQIQEENQKIQFDDIVVACGSGGTVAGLAIGSKLSGLNIKIKAFSVTTSRESFVDNIQSKIDELQAGFDLNELVTIQDVQGLGYGFSTTEELKFVKEIATSTGVLLDSVYCGKAAFGMMNDMKANPKKWEGRKVLFIHTGGIYELYNKSDQMLPFAGDLSKLEIDESVSPTA >DRNTG_23909.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1998625:2004865:1 gene:DRNTG_23909 transcript:DRNTG_23909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTFDSPEEAALAYDHAARALRGTKAKTNFPHPRSPSLSLDLNLPGHHLFPIPPPPPPPPPPPPTSLLLGGFLHYDPPGSSTIFSPPMEQVVPRKALPFDLNEPPPLH >DRNTG_16090.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25528044:25528534:1 gene:DRNTG_16090 transcript:DRNTG_16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCWPVMFNITLEAKNEDRRTITSPNTASGGIAGQDIEEMVFRTLMAEEQAELKARQGTSVLSLQGVDVKDTKTMSSVRSAICGFNFKDVWFLTSDVSVIHPDHGYLEIKDI >DRNTG_30185.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5534589:5541679:1 gene:DRNTG_30185 transcript:DRNTG_30185.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNYSFPSHGEEWVMVPLGKTSTAMKSTHMISIDCEMVLCEDGTEAVVKVCVVDQNLEVKLDKLVKPNKAIVDYRQEITGISAEDLEGNTCSLADIQKSLKTLLKHGTILIGHSLYNDLRVLKIDHPRVIDTAYIFKFMNLPIGFSPSLNNLCKSVLGFEVRKDGEPHNCLHDAQAAMKLVLAKLEHGFDDPITIAAKTMPERDLAKLLLHRIPIDVPYQELLNLFPGEHNIDIQTNLRIKGQSYSTYAIFKDLEMANMAFEKIQGQELKDNIGRRQKLTILKTSKGRTITVCICKMIDDCAQMDCDLLKKRPAQDDVNEPSRQKLCLQQCDHVKEIERLKRELREREDEIFRLQKTLVALTEEQGI >DRNTG_30185.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5534589:5541679:1 gene:DRNTG_30185 transcript:DRNTG_30185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLIADANKQVLVQVVRMVQKQGLRGSKGGWKEFLNSHDKQFGAGLSDPAKRSLEILVAFLQTFTQEEDLKFLGKMIRRHKEHIAMSQYLKYNPDGESPQQRLVRLTIEHPQFMQNYSFPSHGEEWVMVPLGKTSTAMKSTHMISIDCEMVLCEDGTEAVVKVCVVDQNLEVKLDKLVKPNKAIVDYRQEITGISAEDLEGNTCSLADIQKSLKTLLKHGTILIGHSLYNDLRVLKIDHPRVIDTAYIFKFMNLPIGFSPSLNNLCKSVLGFEVRKDGEPHNCLHDAQAAMKLVLAKLEHGFDDPITIAAKTMPERDLAKLLLHRIPIDVPYQELLNLFPGEHNIDIQTNLRIKGQSYSTYAIFKDLEMANMAFEKIQGQELKDNIGRRQKLTILKTSKGRTITVCICKMIDDCAQMDCDLLKKRPAQDDVNEPSRQKLCLQQCDHVKEIERLKRELREREDEIFRLQKTLVALTEEQGI >DRNTG_18170.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29169887:29171792:1 gene:DRNTG_18170 transcript:DRNTG_18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIKKLERYSAPILVLLAFLLFTWAYLKAGGFGPMLSQPSQLTPSQFWYLFFPSLTANVGSWAAVALTIPDFTRYAKSQRDQVLGQFIIPIVMGCFSFIGLAVTSSTAVIFGYPISNPITLLSKISNSFTVILFIPAITLVIVTTNIPANIVAPANFLVSLNPRFFTFQKAAFLTSLFSLVFQPWKIFSNADSFVYTWLISYAAIMGPICSIILTDYYIIRRTILNVDGLYSLNHTSEYYYCKGWNLVAVSSLVIAVAPIVPGFVHKLGILKTLPEALIFIYNVGWFFGFFMAAFLYGILSLRLGPKFRVGVPSSSSSLHDPLF >DRNTG_18170.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29169950:29171643:1 gene:DRNTG_18170 transcript:DRNTG_18170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIKKLERYSAPILVLLAFLLFTWAYLKAGGFGPMLSQPSQLTPSQFWYLFFPSLTANVGSWAAVALTIPDFTRYAKSQRDQVLGQFIIPIVMGCFSFIGLAVTSSTAVIFGYPISNPITLLSKISNSFTVILFIPAITLVIVTTNIPANIVAPANFLVSLNPRFFTFQKAAFLTSLFSLVFQPWKIFSNADSFVYTWLISYAAIMGPICSIILTDYYIIRRTILNVDGLYSLNHTSEYYYCKGWNLVAVSSLVIAVAPIVPGFVHKLGILKTLPEALIFIYNVGWFFGFFMAAFLYGILSLRLGPKFRVGVPSSSSSLHDPLF >DRNTG_18170.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29169950:29171792:1 gene:DRNTG_18170 transcript:DRNTG_18170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIKKLERYSAPILVLLAFLLFTWAYLKAGGFGPMLSQPSQLTPSQFWYLFFPSLTANVGSWAAVALTIPDFTRYAKSQRDQVLGQFIIPIVMGCFSFIGLAVTSSTAVIFGYPISNPITLLSKISNSFTVILFIPAITLVIVTTNIPANIVAPANFLVSLNPRFFTFQKAAFLTSLFSLVFQPWKIFSNADSFVYTWLISYAAIMGPICSIILTDYYIIRRTILNVDGLYSLNHTSEYYYCKGWNLVAVSSLVIAVAPIVPGFVHKLGILKTLPEALIFIYNVGWFFGFFMAAFLYGILSLRLGPKFRVGVPSSSSSLHDPLF >DRNTG_04960.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3947163:3947558:1 gene:DRNTG_04960 transcript:DRNTG_04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMARKISSPRADDHADFSACSTSSIAEKGHFNVYTLEGKRFMIPLAYLNNVVFKELLKISEEEFGLPGDGPITLPCDAIAMEYVLSMLSRGVSQEVEKALLSSIFITCPSTCSTFSVQNTQQHPTICSF >DRNTG_08991.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28979106:28982500:1 gene:DRNTG_08991 transcript:DRNTG_08991.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EMBRYO DEFECTIVE 514 [Source:Projected from Arabidopsis thaliana (AT5G62440) UniProtKB/Swiss-Prot;Acc:Q8L557] MAEEATEIPQPIEESNLSQEASAQDMELEPVSGSAEAEGGVEQQEENGATKRARDDVEEEEENDGNSKKQKVEKSLEEERLEKLKEKGGESDSKEGEKGSEGPKSLGPKNFGSSVEMFDYFMKLLHSWSTNLDVNKYEHLVLLDLIKKGHPEPDKKIGVGIQAFQVRVHPAWKSRCFFLVRSDGTSDDFSFRKCVDHILPLPDNMKVPSASNGSKHKGGGHVGKSGGGGRRGHGHGKRGGK >DRNTG_05252.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000237.1:26598:28666:1 gene:DRNTG_05252 transcript:DRNTG_05252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPESGCWPHYLVSPFHKLWLTMVSIYTKMKKLANDDPRRLLHSLKVGIALTLVSTLYYVTPIFKGFGSSTIWAVLTVVLVMEFTVGGTLTKGLNRAMATLLAVASGFGAHHVAILTGGKGEIILLGIFIFLIAVVATFLRFIPVIKARYDYGVLIFMLTFSLVAVSSFRNHETFMLAYKRLVTIAIGVAVALLVSVLVFPIWAGEDLHLLTATNLERLAGFLEGFGAEYFGEKVKHDKIKSKALLQTYKSVLNTKATEDSLANLGRWEPRHGRFQFRHPWKQYQKIGSLCRRCAYLVEALRSYIIRSESQAASELEKNTRIRDACMEISKESRRTMKVLASSVKTMTKFSVSKKHMTAAAEAVKSLKMSLSEEGLLLREALHIATIVSLLLEIVSCAQDIEVAIEELAKLAGFEISSDQGNDGLKEKEKSVSDHIELEGISIVVLE >DRNTG_31900.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11299786:11305093:-1 gene:DRNTG_31900 transcript:DRNTG_31900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNTMGKRKRIDYSVSNQEQESSKQKRKIAVYGGLQIQYQGYGKFLMTSPLFIIQIRMCII >DRNTG_31900.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11297592:11301408:-1 gene:DRNTG_31900 transcript:DRNTG_31900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKIWTFEQDESLHDLMHNESVNIVRWSPTGPGTNNPNKPYCYLVSGSKDRTVRVWDCFYGQLLYCFNGHRASIVELAFHPDGEYLASASVDKCLLIWNIRDGKIMKSHNSCDTSIYNLSWNKEGKLITAGNDGGTLCVVDVSF >DRNTG_31900.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11297592:11298488:-1 gene:DRNTG_31900 transcript:DRNTG_31900.5 gene_biotype:protein_coding transcript_biotype:protein_coding SVNIVRWSPTGPGTNNPNKPYCYLVSGSKDRTVRVWDCFYGQLLYCFNGHRASIVELAFHPDGEYLASASVDKCLLIWNIRDGKIMKSHNSCDTSIYNLSWNKEGKLITAGNDGGTLCVVDVSF >DRNTG_31900.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11297592:11299461:-1 gene:DRNTG_31900 transcript:DRNTG_31900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKIWTFEQDESLHDLMHNESVNIVRWSPTGPGTNNPNKPYCYLVSGSKDRTVRVWDCFYGQLLYCFNGHRASIVELAFHPDGEYLASASVDKCLLIWNIRDGKIMKSHNSCDTSIYNLSWNKEGKLITAGNDGGTLCVVDVSF >DRNTG_31900.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11297592:11298278:-1 gene:DRNTG_31900 transcript:DRNTG_31900.6 gene_biotype:protein_coding transcript_biotype:protein_coding MILSNCSGSKDRTVRVWDCFYGQLLYCFNGHRASIVELAFHPDGEYLASASVDKCLLIWNIRDGKIMKSHNSCDTSIYNLSWNKEGKLITAGNDGGTLCVVDVSF >DRNTG_31900.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11297592:11306631:-1 gene:DRNTG_31900 transcript:DRNTG_31900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKIWTFEQDESLHDLMHNESVNIVRWSPTGPGTNNPNKPYCYLVSGSKDRTVRVWDCFYGQLLYCFNGHRASIVELAFHPDGEYLASASVDKCLLIWNIRDGKIMKSHNSCDTSIYNLSWNKEGKLITAGNDGGTLCVVDVSF >DRNTG_22499.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001243.1:3101:3418:1 gene:DRNTG_22499 transcript:DRNTG_22499.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIEKLAAENAVVIFSMSSCCMCHAVKRLFAGMGVSPAIHELDEDPRGKDMELALTSLLGPSTASPVPTVFIGGKLIGSMDTVMALHINGSLVPLLMEAGALWL >DRNTG_30288.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16925848:16931186:-1 gene:DRNTG_30288 transcript:DRNTG_30288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRHGGVGSSRVPTNLKGSSSSVECLGREMLGMRLRDKKLDLDEETDTEPDTIDGSGAEAGHVIATTIGGRNGQPKQAVSYIAEHVVGTGSFGVVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVALKHCFFSTTNREGIYLNLVLEFVPETVNRIIRQFNKMNQHIPLIFVKLYVYQICRSLAYIHNCVGICHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDLWSTGCVMAELLLGKPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFLKRLPPEAMDLVSRFLQYSPNLRCTALEACIHPFFDELRDPNTRLPNGRPLPPLFNFKPQELLGIPRDILERLIPEHARKQSLFMALHV >DRNTG_19927.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5714937:5718514:1 gene:DRNTG_19927 transcript:DRNTG_19927.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVFSQIEPFVYEWTAAHTGSISAEHGIGLMKADKIHYSKSTETVRLMASIKKLVDPNGIMNPYKVLPQSILHDI >DRNTG_19927.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5714320:5718514:1 gene:DRNTG_19927 transcript:DRNTG_19927.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGLISDGVIAQDINQASSFWRIREGIPEALMKAGAVYKYDLSLPVEKLYDIVVQMQLRLGSSAKVCGYGHLGDGNLHLNISTPNYDDNVDPSCCIFCRSYGKIDVL >DRNTG_19927.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5714603:5718514:1 gene:DRNTG_19927 transcript:DRNTG_19927.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGLISDGVIAQDINQASSFWRIREGIPEALMKAGAVYKYDLSLPVEKLYDIVVQMQLRLGSSAKVCGYGHLGDGNLHLNISTPNYDDNVDPSCCIFCRSYGKIDVL >DRNTG_19927.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5714320:5718514:1 gene:DRNTG_19927 transcript:DRNTG_19927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGLISDGVIAQDINQASSFWRIREGIPEALMKAGAVYKYDLSLPVEKLYDIVVQMQLRLGSSAKVCGYGHLGDGNLHLNISTPNYDDNVFSQIEPFVYEWTAAHTGSISAEHGIGLMKADKIHYSKSTETVRLMASIKKLVDPNGIMNPYKVLPQSILHDI >DRNTG_19927.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5714603:5718514:1 gene:DRNTG_19927 transcript:DRNTG_19927.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGLISDGVIAQDINQASSFWRIREGIPEALMKAGAVYKYDLSLPVEKLYDIVVQMQLRLGSSAKVCGYGHLGDGNLHLNISTPNYDDNVFSQIEPFVYEWTAAHTGSISAEHGIGLMKADKIHYSKSTETVRLMASIKKLVDPNGIMNPYKVLPQSILHDI >DRNTG_19927.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5714603:5718514:1 gene:DRNTG_19927 transcript:DRNTG_19927.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGLISDGVIAQDINQASSFWRIREGIPEALMKAGAVYKYDLSLPVEKLYDIVVQMQLRLGC >DRNTG_19927.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5714320:5718514:1 gene:DRNTG_19927 transcript:DRNTG_19927.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVFSQIEPFVYEWTAAHTGSISAEHGIGLMKADKIHYSKSTETVRLMASIKKLVDPNGIMNPYKVLPQSILHDI >DRNTG_12398.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12384147:12384959:-1 gene:DRNTG_12398 transcript:DRNTG_12398.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNFLQRLQNFLRHPEKTHGSVVFPHARGFALQAHPEKAQGRAAAPVNDHVTVTRPWVISARACEFLQSCTVYPESTQGRGLAPVCYLVNHTRAWVIFARSCESLQGISPSREDTGVCVCPSPASSSPAAKPDVLAIDTDT >DRNTG_09912.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1677631:1678957:1 gene:DRNTG_09912 transcript:DRNTG_09912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCMAKDGNTSALRRGAWSEEEDSRLRRCMEKYGAIKWCDVPSKAGLNRCRKSCRLRWLNYLSPSIKRGRFEDDEEDLIIRLHKLLGNRWSLIAGRLPGRTANDIKNHWNTHLSKKSTAKEKLWNPKIIAKGTAGIKPREKKGEFKVIKPQPWTIPVNWSWLKDQPGHQGHLQDKSGINVDPLPPSANNINVAKSAAVIPEKLDDVFLDIDGMTVGEMQTSFEVGNIGGNGDGEFFLQEDAGWASFLLDMDF >DRNTG_34997.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24079684:24084364:1 gene:DRNTG_34997 transcript:DRNTG_34997.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGMTTRSRAMEENITLINERHDSLLSKMEDICSSVQQHAELFDSVQKSLATQQTVMADMMLKLSKLERGVSPPLLPSPPPTPSSGVLRRSSRKGTAGKRFEDFVMG >DRNTG_34735.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002186.1:4105:16322:-1 gene:DRNTG_34735 transcript:DRNTG_34735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLFNSDLLWYGILGVQDTIMVASNGKEKEYSQRLLPYVKIMDLSNNVLTGGIPQELASLYGLQSLHLAGNQLEGEIPDKLGRLQQLESLDLSRNKLLGSIPSTFSNLTSLSDFNVSYNKLTGRIPSGNQFNTLTDPSIYIGNHLCGFPLTDNCTKGGGPNQEKPSDHGNEEDDGNQMVWMYIGSLSGFAVGFWTIWGVLIFKKKWRYAYFRHTDTTCDNIHVWVVVNFVRMKSKIM >DRNTG_34735.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002186.1:15525:16322:-1 gene:DRNTG_34735 transcript:DRNTG_34735.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLFNSDLLWYGILGVQDTIMVASNGKEKEYSQRLLPYVKIMDLSNNVLTGGIPQELASLYGLQSLHLAGNQLEGEIPDKLGQLQQLESLDLSRNKLSGSIPSTFSNLTSLSDFNVSYNDLSGKIPSGNQFNTFTDPSIYTGNHLCGFPLTDNCTKGGGPIPTEPSDHGNEEDDDKEMVWMYIGSLSGFAVGFWTIWGVLIFKKKWRYAYFRYTDTSCDNIHVWVVVNFARMKSKIMSKSNH >DRNTG_34735.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002186.1:4105:4722:-1 gene:DRNTG_34735 transcript:DRNTG_34735.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSNNALTGDIPEELASLYGLQSLHLASNHLEGEIPDKLGRLQQLESLDLSRNKLLGSIPSTFSNLTSLSDFNVSYNKLTGRIPSGNQFNTLTDPSIYIGNHLCGFPLTDNCTKGGGPNQEKPSDHGNEEDDGNQMVWMYIGSLSGFAVGFWTIWGVLIFKKKWRYAYFRHTDTTCDNIHVWVVVNFVRMKSKIM >DRNTG_33833.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19700756:19709412:-1 gene:DRNTG_33833 transcript:DRNTG_33833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTRHMRWKSTSFSTGQTVLCPSVVINFTLTREILRPHRSHISANTDSQKVSVVNISTRLSPLYLAIAQKLSITALHFIKLKSNKPNEVKKKKKKKKKKKKKKKKKKIKMVIPRDNFIGVVYLFYLNSCLQLFPFRSCLYRHILCNFNFSLSTIVSKEKSRANIRHFISLQSKILKSAGGFLGWGFVSLLVIMAVNLVNERCYLTSVVETLAELKVHMTPRHWEINRRTPFTAFTELEAVFQERALLDSLLQRYDDRTNKFRIEESLLSFRP >DRNTG_29193.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3112393:3114514:-1 gene:DRNTG_29193 transcript:DRNTG_29193.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKASRSSQPKSPGKVHSVRITLSSRDVKNLEKVCADLVNGSQNKKLKVNGDEVTPVKFVPVSTEDSPSTGGFHIKEGRPSKKGCTNFRWSPAMSHFLLPFLVQQVRLGRKVNKSFKRHALLAAAKAVSDKFNLICTDSNVENHLRTIKTRYLQIKKLRSLGNSTWVEDEKKIVMDAISYNQHIAAHPKDEPFINKTIEMYDEMAIICGDEPVAPRIVVGADPVFSGDDVNVIMDENIETEEEAEETHSRSQQISTSSDSTGRTRQCDQSNNHIAEKIDLLAFQIGRLADAIRTSQRGIASELFQEVMKSDGYNEASLGKAFDYLNEHEHLARGFLAKNYHLRQAWLAEYFSA >DRNTG_29193.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3111893:3116583:-1 gene:DRNTG_29193 transcript:DRNTG_29193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASRSSQPKSPGKVHSVRITLSSRDVKNLEKVCADLVNGSQNKKLKVNGDEVTPVKFVPVSTEDSPSTGGFHIKEGRPSKKGCTNFRWSPAMSHFLLPFLVQQVRLGRKVNKSFKRHALLAAAKAVSDKFNLICTDSNVENHLRTIKTRYLQIKKLRSLGNSTWVEDEKKIVMDAISYNQHIAAHPKDEPFINKTIEMYDEMAIICGDEPVAPRIVVGADPVFSGDDVNVIMDENIETEEEAEETHSRSQQISTSSDSTGRTRQCDQSNNHIAEKIDLLAFQIGRLADAIRTSQRGIASELFQEVMKSDGYNEASLGKAFDYLNEHEHLARGFLAKNYHLRQAWLAEYFSAGYLT >DRNTG_29193.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3112972:3113314:-1 gene:DRNTG_29193 transcript:DRNTG_29193.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFLLPFLVQQVRLGRKVNKSFKRHALLAAAKAVSDKFNLICTDSNVENHLRTIKTRYLQIKKLRSLGNSTWVEDEKKIVMDAISYNQHIA >DRNTG_29193.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3111893:3114279:-1 gene:DRNTG_29193 transcript:DRNTG_29193.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFLLPFLVQQVRLGRKVNKSFKRHALLAAAKAVSDKFNLICTDSNVENHLRTIKTRYLQIKKLRSLGNSTWVEDEKKIVMDAISYNQHIAAHPKDEPFINKTIEMYDEMAIICGDEPVAPRIVVGADPVFSGDDVNVIMDENIETEEEAEETHSRSQQISTSSDSTGRTRQCDQSNNHIAEKIDLLAFQIGRLADAIRTSQRGIASELFQEVMKSDGYNEASLGKAFDYLNEHEHLARGFLAKNYHLRQAWLAEYFSAGYLT >DRNTG_19211.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:8331619:8332674:-1 gene:DRNTG_19211 transcript:DRNTG_19211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPRSSPLMASAPPSSFPSMTSTIASGASMTRGGAAGRVGLAERGTGSVSSGQTRKNVGAKGGLKPLLAPLVLSLGP >DRNTG_11700.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14173138:14272969:-1 gene:DRNTG_11700 transcript:DRNTG_11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVQSISEEDEQCSRKKNTDFVHVLLIKNKTFVTVTDARGNKKTGASAGCLEERKGRSRLSRYAAEATAEHVGRSARKMGLKSVVMKVKGSTYFRKKNKVILSWREGFRGEGVGDQSQIMGYA >DRNTG_22146.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12540691:12548853:-1 gene:DRNTG_22146 transcript:DRNTG_22146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVMWNSLVLSKGPLVKPRAQLARSVPMNVPPITIFQEKRVRGVETDRFLYRDLVQRVTAPGYDLRTTDEIIDEYVKEMFNPNSYKGLLDKEVDNEEVMNLCLKKGVPSTTEIMKKVLRKMKRASRRRKKHPKAVGDVENTRACGISTLPWISTGRGLAPVHDLVINARVWNFRGTEKVSILAPLAIEMLRLMGMICRVRSGVYALVTPTPEIVEGKGDDGEASQPTRESQPILMKTGAPLVVKELSLVCLFSPSRAYNRFEGLKSMVRVLRIKIAETAVEVTIHSRPKMRFPKNSHGRVKITQARVEIPQAYWETSTDACGCPILSLFKTQLSPILGVFFTSSLPLFSIVWEAVG >DRNTG_09556.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22652039:22654349:1 gene:DRNTG_09556 transcript:DRNTG_09556.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBL31 [Source:Projected from Arabidopsis thaliana (AT1G73140) UniProtKB/TrEMBL;Acc:A0A178WIY7] MTTSPASGHHRFQTLVPLALAAILLLGASRLKIESSCSKTSCKHVLQRVFSFTAMHKTKIRNDDGGDDEHIYHDALRNGSCNVFEGAWVLDESNPRPLYTEESCPYLTTQVTCLSNGRPDSLYQKWRWKPHACQLPRFDALKLLESLRNKRLMFIGDSLQRTQWESMVCLVESAIPDSKKSMTKIPPRRIFMAEEYNASIEFYWAPFLVESNADHATKHHVQKRQVKLDSISKHSKNWEGVDVLVFESYVWWMNKPLINATIGSLEMKEYNVTTAYRLALQTLGKWLDSTMNPLTQKAFFMSLSPTHIWSWEWNSGSNGNCFNEATPIQAPYWGIGSSHQIMDAVRDTLQDLKVNVTLLNITQLSEYRKDGHTSVYTERQGKLLTFEQRSQPEIYADCVHWCLPGVPDTWNEILYMYLLYGPSRH >DRNTG_02403.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000113.1:3745:18369:1 gene:DRNTG_02403 transcript:DRNTG_02403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKARGGKGNKVKGSGDKKKKEEKIIPNVIDVIVVTPYETKITLKGISTDKILDVRRLLASNVQTCHLTNYSLSHVARGVKLKNGVEIVSLKPCVLSIQPEEYTTEEHVLSHVRRLLDIVACTTCFAKSKDGGKSRKPPNSPANGKPSSAATSSPAMAGAGKDEKVQHEGDPVPAISEKFDMAAIYPPPKLGDFYEFFNFSHLQSPIQYLRRREGESAGEKKEGDFFELEVKVCNGKLLNVIASVKGFYTTGKHCIQSHSLVDLLQQLSSAFAKAYDLLMKAFVEHNKFGNLPYGLRANTWIISPIFTESPWANPKLPIEDENWGGNGGGLGRDGKYNHRQWSTEFSVLARYPCKTEDERLIRDRKGFLLHSLFTETSIFKAISAIHHVIESQRSFNAAEDVVGGYIVHEEHVGDLNVTVRKDFTDASVKPEEKIDGSQLLQMNAKEIARRNLLKGLTADESAVINDTATLGAVIVKHCGYTAIAKASGHVKSGDITRDIDVDDQPDGGSNALNINSLRVLLPTSCNTEPSIGGQLSPLNSDDIGARCLAQRVLNDSLSKLEKMPATAERSIRWELGSCWVQHLQKQENLPVKEAKRSAEDKPQPIVKGLGKQFEPLKKIKKKADASGIRSDIRQDDSFEVGSDNLNQSKLDAETELRNLLPEEAFLRLKDSGTGLHLKSLDELTHMAHKFYDGIALPKLVADFASLELSPVDGRTLTDFMHTRGLKMCSLGRVVDLAEKLPHIQSLCIHEMVTRSFKYILRAVIAAVNSLSDLSSAIAATLNILLGSTETQKYELDHINDHSLKMKWLEKFLLKRFSWRLKNEFQHLRKFAILRGLCQKVGLELAPRDYDLESPSPFKKSDIISIVPVCKHVACSSADGRNLLESAKVALDKGKLEDAVTYGTKALSKMIAVCGPYHRMTANAYSLLAVVLYHTGDFDQATIYQQKALDINERELGLDHPETMKSYGDLSVFYYRLQHIELALKYVNRALLLLHFSCGLSHPNSAATYINVAMMEEGMGNVHVALRYLHEALKCNRRLLGADHIQTAASYHAIAIALSMMEAYTLSVQHEQTTLQILQSKLGADDLRTQDAAAWLEYFESKALEQQEAARRGIPKPDASIASKGHLSVSDLLDYINPEQELKERDSQKRLQRMKSINRPSQMKSNILVDDYQDDSKYSSTLNSIEGAKEEEKLVEHQPLKFEDSDDTMQGQQPQVYECSPEASSDEGWQEANFKGRSGNVRRIAGPKRPTLAKLELKFSESSASIKRRTMSPTLKGNSSITRTPSTDVSSVKNLRNAASVHGGDDSNNARQSTPDLEARLDQNPKASVISRVITAGTNLLSYKEVALSPPGTILKPTLKLPEVKQPDETVRGTEPEECNNVKEASKVEVDMTTKGALQEVSSSDSEKEIQTSAVKISVNVSERETSDSDDVQISSSSKEAAATRSKLSASAPPFNPGSLLSVPNAYNSVAVVGLYDMRAAHATVPPKPVELLPPHSVDARVPRGPRSTMYFKNSLSSQTKHGYRNSQTGNEADRGAISPSTMNPNAAEFVPGKASQQLNQSDGVSEVQRPGDDSSSQVESSSAKEDCNTVLPGEKAELDRNAAEVKIKDSKRSCGMESSSKAELARQILFNFIVKSYQDNLGSDEAETKPKSTKRESSATRSVSSNVTKSVSVDQFCDHQWPKPNQDREGFTVVSKRRKNKHQLSNAVSGLYAQQSICTLAS >DRNTG_13216.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2670784:2673954:-1 gene:DRNTG_13216 transcript:DRNTG_13216.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDNPEVVEREVKEKEHKEEEKDEEKGGFIEKVKDFIHDIGEKIEGAIGFGKPTADVSGVHIPHISLEKVDIVVDVLISNPNPLPIPLCDINYLIESDGRKLVSGMIPDAGTIHAHGSETVKIPVTLIFDDIKKTYHDIKPGSIIPYKIKVDLLVDVPIFGRLTLPLEKTGEIPIPYKPDVDLEKIHFDKFSFEETVATLHLKLENKNDFDLGLNVLEYEIWLSDVSVGSGEMNKSTKIEKQGITKMEIPITFRPKDFGSALWDMIRGRGTGYTIKGHIDADTPFGSMKLPISKEGGTTRLKKNRDDDDDDDDEE >DRNTG_13216.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2670784:2673954:-1 gene:DRNTG_13216 transcript:DRNTG_13216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDNPEVVEREVKEKEHKEEEKDEEKGGFIEKVKDFIHDIGEKIEGAIGFGKPTADVSGVHIPHISLEKVDIVVDVLISNPNPLPIPLCDINYLIESDGRKLVSGMIPDAGTIHAHGSETVKIPVTLIFDDIKKTYHDIKPGSIIPYKIKVDLLVDVPIFGRLTLPLEKTGEIPIPYKPDVDLEKIHFDKFSFEETVATLHLKLENKNDFDLGLNVLEYEIWLSDVSVGSGEMNKSTKIEKQGITKMEIPITFRPKDFGSALWDMIRGRGTGYTIKGHIDADTPFGSMKLPISKEGGTTRLKKNRDDDDDDDDEE >DRNTG_01962.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8005950:8007052:-1 gene:DRNTG_01962 transcript:DRNTG_01962.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEVEGGAMSLEAIGALGGSGRRSMVGMEMREAFAVFDADRDGRISAEELKAVLEEWCSLEDCRRIIGEVDADGDGLVGFEDFVRMMRMDEGL >DRNTG_01962.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8005950:8009269:-1 gene:DRNTG_01962 transcript:DRNTG_01962.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTFGSLFGSSTKPVKKAKRARTREISRSETSSSSAESSTRSEVISRRELEAVLRRLGPEPPSEEEVAAMMAEVEGGAMSLEAIGALGGSGRRSMVGMEMREAFAVFDADRDGRISAEELKAVLEEWCSLEDCRRIIGEVDADGDGLVGFEDFVRMMRMDEGL >DRNTG_31436.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:708441:709261:1 gene:DRNTG_31436 transcript:DRNTG_31436.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQLIFRDFKTSNILLDEHFNAKLSDFGLARHGPAEGVGHISTSVVGTVGYAAPEYVQTGKLTAKSDVWSFGVVLYELITGRRSLDRNLPRSEQKLLDWVKPYATDPKKLHLVIDPRLEGQYCPKSAQKLVALANKCLMKQPKSRPRMSEVVVMLEHIIEMPNVKTIPKALNASSYAIEDDNDKTVNVKERSSFRHRVFELREIIRLRKLDWPVMRLGR >DRNTG_31436.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:706588:709261:1 gene:DRNTG_31436 transcript:DRNTG_31436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFYFPGPERDEGGSIVARIPWVRSLSMASGGVESRRSDSEPAMDWRREDGLRAFGFAELKSATRGFSRSFIIGEGGFGCVYRGVVCVDDEKTMDVAVKQLNRNGLQGYKEWITEVNFLGIVKHPNLVNLVGYCAEDDERGIQRLLVYELMPNRSLEDHLLGRISSKLSWLLRLKIALDAAHGLAFLHEEMDFQLIFRDFKTSNILLDEHFNAKLSDFGLARHGPAEGVGHISTSVVGTVGYAAPEYVQTGKLTAKSDVWSFGVVLYELITGRRSLDRNLPRSEQKLLDWVKPYATDPKKLHLVIDPRLEGQYCPKSAQKLVALANKCLMKQPKSRPRMSEVVVMLEHIIEMPNVKTIPKALNASSYAIEDDNDKTVNVKERSSFRHRVFELREIIRLRKLDWPVMRLGR >DRNTG_31436.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:706588:707107:1 gene:DRNTG_31436 transcript:DRNTG_31436.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFYFPGPERDEGGSIVARIPWVRSLSMASGGVESRRSDSEPAMDWRREDGLRAFGFAELKSATRGFSRSFIIGEGGFGCVYRGVVCVDDEKTMDVAVKQLNRNGLQARSTSFSSISWGFLAFFELDFMKLFF >DRNTG_06232.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5355185:5357200:-1 gene:DRNTG_06232 transcript:DRNTG_06232.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPVALALACPSPPPVLPHLPPGSTIALVPCAIGGTAIREWERGKKLYNEMVRRSKEAAGDGEIKAVLWYQGESDTSSEHAALSYKLNLERFIGDVRDDLQLHSLPFIQVALASGDKQHIEQVRKAQLGIDMPNVVCVDAKGLPLKEDHLHLCTEAQVKLGNMLAEAYINHFLSLHA >DRNTG_06232.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5355185:5357255:-1 gene:DRNTG_06232 transcript:DRNTG_06232.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPVALALACPSPPPVLPHLPPGSTIALVPCAIGGTAIREWERGKKLYNEMVRRSKEAAGDGEIKAVLWYQGESDTSSEHAALSYKLNLERFIGDVRDDLQLHSLPFIQVALASGDKQHIEQVRKAQLGIDMPNVVCVDAKGLPLKEDHLHLCTEAQVKLGNMLAEAYINHFLSLHA >DRNTG_06232.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5355185:5357412:-1 gene:DRNTG_06232 transcript:DRNTG_06232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPVALALACPSPPPVLPHLPPGSTIALVPCAIGGTAIREWERGKKLYNEMVRRSKEAAGDGEIKAVLWYQGESDTSSEHAALSYKLNLERFIGDVRDDLQLHSLPFIQVALASGDKQHIEQVRKAQLGIDMPNVVCVDAKGLPLKEDHLHLCTEAQVKLGNMLAEAYINHFLSLHA >DRNTG_06232.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5355226:5357255:-1 gene:DRNTG_06232 transcript:DRNTG_06232.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPVALALACPSPPPVLPHLPPGSTIALVPCAIGGTAIREWERGKKLYNEMVRRSKEAAGDGEIKAVLWYQGESDTSSEHAALSYKLNLERFIGDVRDDLQLHSLPFIQVALASGDKQHIEQVRKAQLGIDMPNVVCVDAKGLPLKEDHLHLCTEAQVKLGNMLAEAYINHFLSLHA >DRNTG_06232.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5356502:5357412:-1 gene:DRNTG_06232 transcript:DRNTG_06232.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPVALALACPSPPPVLPHLPPGSTIALVPCAIGGTAIREWERGKKLYNEMVRRSKEAAGDGEIKAVLWYQGESDTSSEHAALSYKLNLERFIGDVRDDLQLHSLPFIQVFSASFFLIPALNLIWFDFLLLMLFNSMIFSDLYAKM >DRNTG_06232.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5355185:5356173:-1 gene:DRNTG_06232 transcript:DRNTG_06232.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVVCVDAKGLPLKEDHLHLCTEAQVKLGNMLAEAYINHFLSLHA >DRNTG_06232.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5355226:5357412:-1 gene:DRNTG_06232 transcript:DRNTG_06232.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPVALALACPSPPPVLPHLPPGSTIALVPCAIGGTAIREWERGKKLYNEMVRRSKEAAGDGEIKAVLWYQGESDTSSEHAALSYKLNLERFIGDVRDDLQLHSLPFIQVALASGDKQHIEQVRKAQLGIDMPNVVCVDAKGLPLKEDHLHLCTEAQVKLGNMLAEAYINHFLSLHA >DRNTG_06232.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5355226:5356173:-1 gene:DRNTG_06232 transcript:DRNTG_06232.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVVCVDAKGLPLKEDHLHLCTEAQVKLGNMLAEAYINHFLSLHA >DRNTG_06232.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5356502:5357200:-1 gene:DRNTG_06232 transcript:DRNTG_06232.10 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPVALALACPSPPPVLPHLPPGSTIALVPCAIGGTAIREWERGKKLYNEMVRRSKEAAGDGEIKAVLWYQGESDTSSEHAALSYKLNLERFIGDVRDDLQLHSLPFIQVFSASFFLIPALNLIWFDFLLLMLFNSMIFSDLYAKM >DRNTG_06232.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5355226:5357200:-1 gene:DRNTG_06232 transcript:DRNTG_06232.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPVALALACPSPPPVLPHLPPGSTIALVPCAIGGTAIREWERGKKLYNEMVRRSKEAAGDGEIKAVLWYQGESDTSSEHAALSYKLNLERFIGDVRDDLQLHSLPFIQVALASGDKQHIEQVRKAQLGIDMPNVVCVDAKGLPLKEDHLHLCTEAQVKLGNMLAEAYINHFLSLHA >DRNTG_05419.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5198081:5201267:-1 gene:DRNTG_05419 transcript:DRNTG_05419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERELMEAKVRLEKKNNEEREERTRSHAKRRVHTASMSSSLRPYALV >DRNTG_23617.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21706384:21707329:1 gene:DRNTG_23617 transcript:DRNTG_23617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKRKNKKEEREREREREKSLRERTLCGGVLGRAMK >DRNTG_30986.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18788173:18789473:1 gene:DRNTG_30986 transcript:DRNTG_30986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQLLRRNLCTSTTPIPRTPKPISNLISRIRTSDPHLIPDLISEASPQIPRLHQHRPLFHLAVSKLARAHRPDLIDRLLSSPPTPTSDGFLARIISLYSLARMPSRASEAFNSSAISPGPKSISALLSAFIDNRFQLDHLQENFNQLTKRLAFTPGIPLYNLLLKGLCQNGDLSAARKVLDEMPQRGLTPNVISYNALLHGFLDKGDEAGFQEVLREISNNKLELNVFTYNCRIKNWCQKGESFKGEELLDVMVSKGLKPDRMSFHSIINGYCEEGDVSSARKVFGKMRVMKRKGECDVTPAPEIYNVLVKGLVEKGEFEMAFKVCKESVGKKFALPFEVVKGLIDGLVKQGKGYEAGVVGKKMKMVVKGKEALDAWNEVEKGLALQ >DRNTG_31021.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30480875:30481937:1 gene:DRNTG_31021 transcript:DRNTG_31021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKINMNTTMNSAKHAEQVKSTSRFTN >DRNTG_07230.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000327.1:33965:35443:-1 gene:DRNTG_07230 transcript:DRNTG_07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFESVPSGDAILMKWILHDWSDEHGLKILKNCWKALPANGKMILVEYMLPMAPESTHASQTVLQLDMIMLANNPGGKERSAQEFESMAKQAGFSAMKPHFSFVGVWLIELYK >DRNTG_05202.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22679084:22684545:-1 gene:DRNTG_05202 transcript:DRNTG_05202.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic L-amino acid decarboxylase (AADC), Serotonin biosynthesi [Source: Projected from Oryza sativa (Os07g0437500)] MENSTLKPMDSEQLREYGHQMVDFIADYYKTIESFPVRSQVKPGYLRELLPDSAPKHPESLQDVLNDVRQKIIPGVTHWQSPDYFAYYPSNSSTAGFLGEMLSAGFNIVGFSWVTSPAATELEVIVLDWLAKMLKLPNEFLSSGQGGGVIQGTASEAILVVLLAARDKVLRKVGRNSLEKLVVYASDQTHAALQKACQIAGIYPENFRVLKADSNTNYALIPDKLQEAIMNDFSSGLIPFFLCATVGTTSSAAVDPLSELGKIAKANDMWFHVDAAYAGSACICPEYRHHIDGIEEATSFGMNAHKWFLTNFDCSVLWVKDQNALIQSLSTNPEYLKNKASQENNVVDFKDWQIPLGRRFRSLKLWMVLRLYGLENLQSYIRNHIKLAEQFKELVCSDHRFEVVAPRTFSLVCFRLIPREGDQDNGYNLNYNLLDAVNSSGKIFISHTVLSGKFVLRFAVGAPLTEESHVKAAWKVLQDQATILLTDQ >DRNTG_05202.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22679084:22684545:-1 gene:DRNTG_05202 transcript:DRNTG_05202.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic L-amino acid decarboxylase (AADC), Serotonin biosynthesi [Source: Projected from Oryza sativa (Os07g0437500)] MFLVQRENSTLKPMDSEQLREYGHQMVDFIADYYKTIESFPVRSQVKPGYLRELLPDSAPKHPESLQDVLNDVRQKIIPGVTHWQSPDYFAYYPSNSSTAGFLGEMLSAGFNIVGFSWVTSPAATELEVIVLDWLAKMLKLPNEFLSSGQGGGVIQGTASEAILVVLLAARDKVLRKVGRNSLEKLVVYASDQTHAALQKACQIAGIYPENFRVLKADSNTNYALIPDKLQEAIMNDFSSGLIPFFLCATVGTTSSAAVDPLSELGKIAKANDMWFHVDAAYAGSACICPEYRHHIDGIEEATSFGMNAHKWFLTNFDCSVLWVKVCHLY >DRNTG_21819.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13606990:13608458:1 gene:DRNTG_21819 transcript:DRNTG_21819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLYLEILLRFKREDIGQVWDARVCANLLAFESKTVAEHCSNNVVITVAALFTAGREIRETENPHGCVEIIHARVEIPHGRVYRPRPWSCPIPALFKADSAPILVFFSPSFPQLVRALRLGFRGVLAKVLERFYGSDIVIPLGRRLVGEIRSRRILYRMKESLDDE >DRNTG_03621.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11571626:11573039:-1 gene:DRNTG_03621 transcript:DRNTG_03621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSQAQPKMKPPPRPLFTCGLFRHCTDSVLSPTAATSPPPSSAVPNPSPPPPTRPPPTTTTTAPHPAPDLAPSSSSSSSSSASHSFTQWRFPLSHPHPPPDPPAPILDAFHAAELHFASGARLPALRLLERAISPDPLTPGGDRACPATVMAGVVESLRDPETARAAAKVLLALVLGEGNRRLAVELGAVGAAVDAVGAGGATAERALAALELMCGTSEGAEVVRGHAMAGVALAKAAEGMGERGRECAIGVMAAAWGGAAAGEAPVEVGRAVVVALQKECGGRGRRKGTQLLKALKESGRLDLPGEF >DRNTG_03621.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11571729:11572855:-1 gene:DRNTG_03621 transcript:DRNTG_03621.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSQAQPKMKPPPRPLFTCGLFRHCTDSVLSPTAATSPPPSSAVPNPSPPPPTRPPPTTTTTAPHPAPDLAPSSSSSSSSSASHSFTQWRFPLSHPHPPPDPPAPILDAFHAAELHFASGARLPALRLLERAISPDPLTPGGDRACPATVMAGVVESLRDPETARAAAKVLLALVLGEGNRRLAVELGAVGAAVDAVGAGGATAERALAALELMCGTSEGAEVVRGHAMAGVALAKAAEGMGERGRECAIGVMAAAWGGAAAGEAPVEVGRAVVVALQKECGGRGRRKGTQLLKALKESGRLDLPGEF >DRNTG_03621.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11571729:11573039:-1 gene:DRNTG_03621 transcript:DRNTG_03621.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSQAQPKMKPPPRPLFTCGLFRHCTDSVLSPTAATSPPPSSAVPNPSPPPPTRPPPTTTTTAPHPAPDLAPSSSSSSSSSASHSFTQWRFPLSHPHPPPDPPAPILDAFHAAELHFASGARLPALRLLERAISPDPLTPGGDRACPATVMAGVVESLRDPETARAAAKVLLALVLGEGNRRLAVELGAVGAAVDAVGAGGATAERALAALELMCGTSEGAEVVRGHAMAGVALAKAAEGMGERGRECAIGVMAAAWGGAAAGEAPVEVGRAVVVALQKECGGRGRRKGTQLLKALKESGRLDLPGEF >DRNTG_03621.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11571729:11572816:-1 gene:DRNTG_03621 transcript:DRNTG_03621.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSQAQPKMKPPPRPLFTCGLFRHCTDSVLSPTAATSPPPSSAVPNPSPPPPTRPPPTTTTTAPHPAPDLAPSSSSSSSSSASHSFTQWRFPLSHPHPPPDPPAPILDAFHAAELHFASGARLPALRLLERAISPDPLTPGGDRACPATVMAGVVESLRDPETARAAAKVLLALVLGEGNRRLAVELGAVGAAVDAVGAGGATAERALAALELMCGTSEGAEVVRGHAMAGVALAKAAEGMGERGRECAIGVMAAAWGGAAAGEAPVEVGRAVVVALQKECGGRGRRKGTQLLKALKESGRLDLPGEF >DRNTG_03621.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11571626:11572855:-1 gene:DRNTG_03621 transcript:DRNTG_03621.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSQAQPKMKPPPRPLFTCGLFRHCTDSVLSPTAATSPPPSSAVPNPSPPPPTRPPPTTTTTAPHPAPDLAPSSSSSSSSSASHSFTQWRFPLSHPHPPPDPPAPILDAFHAAELHFASGARLPALRLLERAISPDPLTPGGDRACPATVMAGVVESLRDPETARAAAKVLLALVLGEGNRRLAVELGAVGAAVDAVGAGGATAERALAALELMCGTSEGAEVVRGHAMAGVALAKAAEGMGERGRECAIGVMAAAWGGAAAGEAPVEVGRAVVVALQKECGGRGRRKGTQLLKALKESGRLDLPGEF >DRNTG_27687.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21697875:21698666:1 gene:DRNTG_27687 transcript:DRNTG_27687.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGNVNGNGNGNGTKVDNQILQTYHKSFVQVQSILDQNRLLINEINQNQDSRIPDNLSRNVELIKELNNNVWRVFDLYADISSSFINSMDASPEGSNGKATQKRIRPH >DRNTG_27687.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21697643:21698622:1 gene:DRNTG_27687 transcript:DRNTG_27687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGNVNGNGNGNGTKVDNQILQTYHKSFVQVQSILDQNRLLINEINQNQDSRIPDNLSRNVELIKELNNNVWRVFDLYADISSSFINSMDASPEGSNGKATQKRIRPH >DRNTG_34279.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28103849:28110390:1 gene:DRNTG_34279 transcript:DRNTG_34279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRAAAKLMLFLFLSQLIWGFFASLHCHAQRLVQSEVNALRKIGVRLGKQWNFTVEPCSGTFGWVEPSSDDYIAVNVTCGECNATPCHITSIILKSQNLTGSLPDVFSDLTYLQVIDLSRNYLSGTIPTAWASLPLTTLSLLGNRISGSIPEKLSITLQQLVLEDNQMHGPLPQSLGNLINLEKLHLSGNNFSGELPKSLGDLVNLTDFKIDGNPISGKIPAFIGNWIKVSKLKMFGTSLEGPFPYNFSALAALTELMVSPLKGGDGKFPPLENMRNMERLILRNISLSGELPENIKNMKALKTLSRYGSPVGETIVSPFRVEGQGNLFRRFLSHRN >DRNTG_06228.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5435535:5437350:-1 gene:DRNTG_06228 transcript:DRNTG_06228.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESLFYVQACVPDLLEVPLTCLDNVTNTNGTLRSLKSKVPDASSAQQTRLGNNHSSKSSYQENPNASSCSF >DRNTG_10270.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20130795:20134773:1 gene:DRNTG_10270 transcript:DRNTG_10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGRMPSDKTVGGGDDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIDRPTYTNLNRLISQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQAPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYQKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGEGDDGDDDDIEYLG >DRNTG_24006.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001285.1:54088:54756:1 gene:DRNTG_24006 transcript:DRNTG_24006.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NTF2-like [Source:Projected from Arabidopsis thaliana (AT1G11570) TAIR;Acc:AT1G11570] MERQNEEQLEMVAKAFVEHYYNLFDTARLALPSLYNPTSILSFEGQTVHGAEEIGRKLMQLPFDHCRHYVSTIDCQPSPVAGAILVFVSGNLQLPCEEHQLKFSQMFQLVPTQQGSFFVQNDIFRLNYG >DRNTG_27502.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5189325:5217574:1 gene:DRNTG_27502 transcript:DRNTG_27502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRGYYQIMPNQQFWARNLALCSHQIIAQNSGLIYPTSISPDLPSPMLLSRAPLRSYAMIRFLTRKLPMWLQYCDLVFLLFFARIISSCLPWRSRAPIFSSKACLPLGDVLRSRSPAGRVVRRPKRPKRKLHTKSSPKTSPSAVCTITPAWSRPIRP >DRNTG_04427.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1374649:1377590:1 gene:DRNTG_04427 transcript:DRNTG_04427.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFFDATTDITGDEQAGMHAMQLVTSYVVPMTLKAAIELNLFNIISTSPTPLSATEITSLLPSSTPSTPLMLDRILRLLSSHSILTCSLSTDDPISGAITRRYSPSPVVKFLVQNKDGVSLSPFGLLLDKVLIEPSYYLKDAVLNGGDPFNMAHGMTSFEYHAIDPRCNKLFNEAMKSHSVIVMNGILEKYRGFDDVKVLVDVGGGVGATLAQVVAKHKHIKGINFDLPHVISEALTIPGVEHVGGDMFESVPSGDAILMKWILHDWSDEHGLKILKKCWKALPANGKMILVEYMLPMAPESTHASQTVLQLDMIMLANNPGGKERSAQEFESMAKQAGFSAMKPHFSFVGVWLIELYK >DRNTG_29545.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11377814:11379732:-1 gene:DRNTG_29545 transcript:DRNTG_29545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNWERRHEGEEAPEYWATKEVNVYIKYARSDCAQHETIHHDEGEDGEGDNNQVGVDQGYKDVEAEEEEEDADVGQDGDLQGILLGKKIKEKAVDEENEFYDSDYIFNGETDGEDGDEESTRIRTEIEIDGEAVRVESDGDVESEYARSDELQSCSSTNEESMVPNRPRYAEFNEEGDMKNP >DRNTG_16849.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000853.1:40152:41155:-1 gene:DRNTG_16849 transcript:DRNTG_16849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMWMRSETARSYPESIKGCVFAPVSPPVESHGRGALRKIHLGDEMADEVEDLLSMGIWRRLLLIQEPAIHMLTLEVLGLYDEAFTDTDEYYRLSTNYPSSLNNQRAYRTLYDQGEYEAGVSKAMCLSGLSYRYIHAVMSLSVNGGSDAKEY >DRNTG_18293.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2637764:2640904:1 gene:DRNTG_18293 transcript:DRNTG_18293.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAHSPPIAGGLLLLLVLLGAAIHIPRCLLAAHPHFEGLDDASDAVIDDDHFDYEPSIPSPVISVSYHEPPSDPSPPPPPPSPIAESELWDEDEFEGIPILNFISYPPDGDRVQAPAPSPSDAPERSPWFTYSIEFTFTFFLISFVINYFDGKRKNELIVVAWASKFATRDSIFSKNFSFIGAGDGNNAPYLLKERPDVFKIWASGRNYCKGFVATMKLKKRHDLISRIWDMVRLKRDTITFEVMMKENAMDHVVLAVARSKASKAMLKEEKDLQRFANVLAWTPAGRKWVSEELAIVAESKEVAGDLITEAVLDQVLGEEAFKKFGKEFISLHFSDQYPGSHSKMLIFKFTLPDAKNMADMTMLASLVPYYVDLIGRYNLSSQARSRTDAARMKAAQDASKELQNARREALQKQKEEKRKLMDEADAKLTADAINRRLEKERSRQKKSKPPKKML >DRNTG_04495.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000216.1:24861:26096:1 gene:DRNTG_04495 transcript:DRNTG_04495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHLSSDALAKYSPSGRNSNSITAAL >DRNTG_04495.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000216.1:24861:25275:1 gene:DRNTG_04495 transcript:DRNTG_04495.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHLSSDALAKYSPSGRNSNSITAAL >DRNTG_27487.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26523343:26525801:-1 gene:DRNTG_27487 transcript:DRNTG_27487.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRASINRPPTPDADEEPERESALVEVINVKMVESGEKEKLKELLRERLIECGWRDEMKALCRAYARKKGRNNVTIDELVQHQYLIL >DRNTG_27487.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26523343:26525801:-1 gene:DRNTG_27487 transcript:DRNTG_27487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASINRPPTPDADEEPERESALVEVINVKMVESGEKEKLKELLRERLIECGWRDEMKALCRAYARKKGRNNVTIDELVQVITPKGRASIPDSVKTELLQRIQSFLTSIVL >DRNTG_05048.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:236148:237922:-1 gene:DRNTG_05048 transcript:DRNTG_05048.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKGKKLTVVAGHDDPGSGGEEPLPPYKRRGRPQKPLKDGIDEDDTEKIEEEEEEGDDTKPTISSKQVKGAVVENGRKRKRQQLKENSDSALDENGAGTKSSIEDPAKPNGFRQNGNRRKSKPRRAAEAGVECK >DRNTG_02728.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19716922:19719388:1 gene:DRNTG_02728 transcript:DRNTG_02728.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor A-2 [Source:Projected from Arabidopsis thaliana (AT2G26150) UniProtKB/Swiss-Prot;Acc:O80982] MENVPVMVKDEDEEEEEEEEEVVVVGVPRPMYGLHDASPPPFLTKTFEMVEDPETDAVVSWSRARNSFIVWDSHMFATTLLPRYFKHNNFSSFIRQLNTYGFRKVDPDRWEFANEEFLGGQKHLLKNIKRRRNASQNSQQQQGSGPCVELGQFGLETEVDRLRRDRNVLMLEVLKLRQQQNNSRTQLMAMEERLQGTERKQQQTMTFLARSLNNPRFIQQLVIRSEQSRQLASPGKKRRLPAGEMVAETETEIETLLSTMDHHRVSSSSK >DRNTG_02728.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19716922:19719388:1 gene:DRNTG_02728 transcript:DRNTG_02728.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor A-2 [Source:Projected from Arabidopsis thaliana (AT2G26150) UniProtKB/Swiss-Prot;Acc:O80982] MENVPVMVKDEDEEEEEEEEEVVVVGVPRPMYGLHDASPPPFLTKTFEMVEDPETDAVVSWSRARNSFIVWDSHMFATTLLPRYFKHNNFSSFIRQLNTYGFRKVDPDRWEFANEEFLGGQKHLLKNIKRRRNASQNSQQQQGSGPCVELGQFGLETEVDRLRRDRNVLMLEVLKLRQQQNNSRTQLMAMEERLQGTERKQQQTMTFLARSLNNPRFIQQLVIRSEQSRQLASPGKKRRLPAGEMVAETETEIETLLSTMDHHRVSSSSK >DRNTG_26800.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:2457128:2457974:-1 gene:DRNTG_26800 transcript:DRNTG_26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSVCPDFHTTQYTGPYGGHMHPVWNANLGFLSAIRPPYDPCDSGRHKEAMETKKISFGRKEKKTGISYYPHTTQYGGRKKRRLLAGPFLGRLWESTLEFLGDLGEEKKEKEVRRSSKPKVQDSQGKKATSFKGRSTTI >DRNTG_26873.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2137861:2139059:-1 gene:DRNTG_26873 transcript:DRNTG_26873.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPMTAPVDDQTFTAMRYHKGALLTGSISVNFIWYGKFTASQRAILSDLITSLYSPGESTAGPSVATWWKTVGEYYKQSNSQLPKLTLGDQILDEECSLGKSLTDDDIEKLAAKGSPNNAVNVLLTSADVSVSGFCMSRCGTHAASNKTKSGRFTYIWVGDSATQCPGQCAWPFHQPIYGPQTPPLVAPNGDVGLDGMVINVAKLLAGTVTNPFGNGFFQGPKEAPLEAASACPGVYGSGAYPGYAGALLVDPVSGASYNANGAHGRKFLLPALMNPATSQCSTLV >DRNTG_26873.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2135616:2139059:-1 gene:DRNTG_26873 transcript:DRNTG_26873.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPMTAPVDDQTFTAMRYHKGALLTGSISVNFIWYGKFTASQRAILSDLITSLYSPGESTAGPSVATWWKTVGEYYKQSNSQLPKLTLGDQILDEECSLGKSLTDDDIEKLAAKGSPNNAVNVLLTSADVSVSGFCMSRCGTHAASNKTKSGRFTYIWVGDSATQCPGQCAWPFHQPIYGPQTPPLVAPNGDVGLDGMVINVAKLLAGTVTNPFGNGFFQGPKEAPLEAASACPGVYGSGAWQGSSELATSTPSRGPHARCNSRPLTGSSSKAPA >DRNTG_19448.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000998.1:193684:198255:1 gene:DRNTG_19448 transcript:DRNTG_19448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMMMMMMMMKRREFGNLEWLLYGMIILVLFSLGGVHQCNGGSLMGNEVVVMVDGKVAVAKTDNEFICATVDWWPQEKCDYGTCSWGSASLLNLNLSSKILANAVKEFSPLKLRLGGTLQDKVIYSVGNTREPCTSFVKNSSEMFGFTQGCLPQSRWDELNDFFRKTGAVVVFGLNALNGRVPMQDGSVGGPWNSTNAVALIRYTVNKGYKIHGWELGNELSGNGIGTRISANQYAADVITLKSIINEIYKNSTVKKPLVIAPGGFFDAAWLGKLINQTKANSLDVISHHIYNLGPGVDEHLIDKILDPKYLDGEESVFRNLQRILRSSGSSAKAWVGEAGGAYNSGRNLVTNSFVFSFWYLDQLGMASKYDTKTYCRQSLIGGNYGLLNTTTFKPNPDYYSALLWYRLMGRNVLETSFNSTNKIRAYAHCARKSRGITVLLVNLEGNRTSQVYINSRVFHSKKVTKLGRRRSSFHHHKISRARRHVRYEYHVTAKDANLRSQTMLLNNNTLEVDSNGNIPKLKPIKVKSHKPIFIKPFSIVFVHIPYFHALACK >DRNTG_26620.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:338289:340978:1 gene:DRNTG_26620 transcript:DRNTG_26620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMWRRKELALTDEIAPISFSYYELYDATEGFKEEVGKGAFGTVFRGTLQSTGKVVAVKRLEKVMEEGEKEFQTEMKSIGRTQYRNLVRLLGFCNEGSNRLLVYEFMSNGSLADLIFKPDHQNRPPWNDRSRIALDVARGLHYLHEDCETHIIHCDIKPQNILMDENRSAKISDFGLAKLLMPTQTRIFTGVRGTRGYLAPEWQQNTPITVKTDVYSFGIVVLEILCCRKNMELEAEVDEIILSKWVYSCYLAGELEKLVLDEEVDMVEFERVVKVALWCIQTDPIQRPSMKNVIIMLEGCVEISSPPQP >DRNTG_26620.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:369486:372249:1 gene:DRNTG_26620 transcript:DRNTG_26620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFMFFLILQTLDSESAAAPKANCQINLDSTLFTNSSWLSPSGLFAFGFYPEGSDGFKVGIQFIESPSNTTVIWTSNRDGSPVSRNATLKFGQGGLRLFRATSQGEDQNIVNLDQTSSAHCASMLDSGNFIIYDSNSSVLWQTFDTPTDTLMVGQVLSINTELISSVSEMNHSSGRFRLLMQSDSNAVMYPVGTDDNAVNAYWSSETYLQGQYSNLNLSQDGILFITNYNQSQRKNLTQGDQSYNPNTLHLARLESNGMLYVYAYDLVKNTSAVLDKKPDDQCEVKGICGLNSYCTLSGGNHVCLCLPYFDKINDEDTQAGCQRNFIFSTCLGVGDKETYYNTMDYLENVQLLTEPLSPTETSTSKEDCRQSCLDDCNCDVAIYNEDYVSCSKQSLPLKYGSKSTDTKNIVFIKRTARKNTTAGGLDAVPSTRIKKELSGGPLIVFITVVSGLIIFILVLFFIVFKCQAGRYRMIWRSKELALTDEIAPRSFSYYELYEATEGYKEEVGKGAFGTVFRGTLPSTGMLVAVKRLEKVVE >DRNTG_26620.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:338289:372249:1 gene:DRNTG_26620 transcript:DRNTG_26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIGRTHHRNLVRLLGFCNEGSNRLLVYEFMSNGSLADLIFKPDHPNTPSWKERLRIALDVARGIHYLHEDCESHIIHCDIKPQNILMDENWTAKISDFGLAKLLMPTQTRTFTGIRGTRGYLAPEWHKNTPITVKTDVYSFGIVLLEILCCRKNMELEAEVDQIILSEWVCSCYLAGELEKLMLDEEVDMVEFARAVKVALWCIQTDPPQRPSMKNVIIMLEGCAEISSPPHP >DRNTG_30269.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5122717:5126355:-1 gene:DRNTG_30269 transcript:DRNTG_30269.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive purple acid phosphatase 28 [Source:Projected from Arabidopsis thaliana (AT5G57140) UniProtKB/Swiss-Prot;Acc:Q9LU72] MMTAHPWRPYVLLVLAISIPLLLFESLFSHLIFVNRARPRIKRFAELPLRFRYDGTFKILQVADMHFGNGKATRCKDVLDSEFEWCSDLNTTRFLRRMIEAERPDLIAFTGTAFLIGMLLGSDWIRGQYIWAKCN >DRNTG_30269.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5122717:5126355:-1 gene:DRNTG_30269 transcript:DRNTG_30269.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive purple acid phosphatase 28 [Source:Projected from Arabidopsis thaliana (AT5G57140) UniProtKB/Swiss-Prot;Acc:Q9LU72] MESMIPWAAILGNHDQESSMNREELMSLVSLMDYSVSQVNPPSAGGTMRIEGFGNYHIKVHGAPGSGLDNTSILNLYFLDSGDRVVVSGRKTYGWIRESQLNWVHSISQQSQLQVESQSLSPALAFFHIPIPEVRDLWFKKIKGTFQEYVACSFVNSGVLNTLVSMRDVKAVFFGHDHLNDFCGELNHISVCYGGGFGYHAYGRAGWPRRARVIKAELRKGSKTWMGIQNILTWKRIDDERLTKIDDQVLWSHADDEEEDSSHSQKDV >DRNTG_30269.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5122717:5126355:-1 gene:DRNTG_30269 transcript:DRNTG_30269.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive purple acid phosphatase 28 [Source:Projected from Arabidopsis thaliana (AT5G57140) UniProtKB/Swiss-Prot;Acc:Q9LU72] MMTAHPWRPYVLLVLAISIPLLLFESLFSHLIFVNRARPRIKRFAELPLRFRYDGTFKILQVADMHFGNGKATRCKDVLDSEFEWCSDLNTTRFLRRMIEAERPDLIAFTGDNIFGPSATDAAESLFRAFAPAMESMIPWAAILGNHDQESSMNREELMSLVSLMDYSVSQVNPPSAGGTMRIEGFGNYHIKVHGAPGSGLDNTSILNLYFLDSGDRVVVSGRKTYGWIRESQLNWVHSISQQSQLQVESQSLSPALAFFHIPIPEVRDLWFKKIKGTFQEYVACSFVNSGVLNTLVSMRDVKAVFFGHDHLNDFCGELNHISVCYGGGFGYHAYGRAGWPRRARVIKAELRKGSKTWMGIQNILTWKRIDDERLTKIDDQVLWSHADDEEEDSSHSQKDV >DRNTG_30269.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5122717:5126355:-1 gene:DRNTG_30269 transcript:DRNTG_30269.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive purple acid phosphatase 28 [Source:Projected from Arabidopsis thaliana (AT5G57140) UniProtKB/Swiss-Prot;Acc:Q9LU72] MESMIPWAAILGNHDQESSMNREELMSLVSLMDYSVSQVNPPSAGGTMRIEGFGNYHIKVHGAPGSGLDNTSILNLYFLDSGDRVVVSGRKTYGWIRESQLNWVHSISQQSQVESQSLSPALAFFHIPIPEVRDLWFKKIKGTFQEYVACSFVNSGVLNTLVSMRDVKAVFFGHDHLNDFCGELNHISVCYGGGFGYHAYGRAGWPRRARVIKAELRKGSKTWMGIQNILTWKRIDDERLTKIDDQVLWSHADDEEEDSSHSQKDV >DRNTG_30269.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5122717:5126355:-1 gene:DRNTG_30269 transcript:DRNTG_30269.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive purple acid phosphatase 28 [Source:Projected from Arabidopsis thaliana (AT5G57140) UniProtKB/Swiss-Prot;Acc:Q9LU72] MMTAHPWRPYVLLVLAISIPLLLFESLFSHLIFVNRARPRIKRFAELPLRFRYDGTFKILQVADMHFGNGKATRCKDVLDSEFEWCSDLNTTRFLRRMIEAERPDLIAFTGDNIFGPSATDAAESLFRAFAPAMESMIPWAAILGNHDQESSMNREELMSLVSLMDYSVSQVNPPSAGGTMRIEGFGNYHIKVHGAPGSGLDNTSILNLYFLDSGDRVVVSGRKTYGWIRESQLNWVHSISQQSQVFLDPVEKCLST >DRNTG_30269.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5122717:5126416:-1 gene:DRNTG_30269 transcript:DRNTG_30269.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive purple acid phosphatase 28 [Source:Projected from Arabidopsis thaliana (AT5G57140) UniProtKB/Swiss-Prot;Acc:Q9LU72] MMTAHPWRPYVLLVLAISIPLLLFESLFSHLIFVNRARPRIKRFAELPLRFRYDGTFKILQVADMHFGNGKATRCKDVLDSEFEWCSDLNTTRFLRRMIEAERPDLIAFTGDNIFGPSATDAAESLFRAFAPAMESMIPWAAILGNHDQESSMNREELMSLVSLMDYSVSQVNPPSAGGTMRIEGFGNYHIKVHGAPGSGLDNTSILNLYFLDSGDRVVVSGRKTYGWIRESQLNWVHSISQQSQLQVESQSLSPALAFFHIPIPEVRDLWFKKIKGTFQEYVACSFVNSGVLNTLVSMRDVKAVFFGHDHLNDFCGELNHISVCYGGGFGYHAYGRAGWPRRARVIKAELRKGSKTWMGIQNILTWKRIDDERLTKIDDQVLWSHADDEEEDSSHSQKDV >DRNTG_30269.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5122717:5126355:-1 gene:DRNTG_30269 transcript:DRNTG_30269.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive purple acid phosphatase 28 [Source:Projected from Arabidopsis thaliana (AT5G57140) UniProtKB/Swiss-Prot;Acc:Q9LU72] MMTAHPWRPYVLLVLAISIPLLLFESLFSHLIFVNRARPRIKRFAELPLRFRYDGTFKILQVADMHFGNGKATRCKDVLDSEFEWCSDLNTTRFLRRMIEAERPDLIAFTGDNIFGPSATDAAESLFRAFAPAMESMIPWAAILGNHDQESSMNREELMSLVSLMDYSVSQVNPPSAGGTMRIEGFGNYHIKVHGAPGSGLDNTSILNLYFLDSGDRVVVSGRKTYGWIRESQLNWVHSISQQSQRSYWRKISQESG >DRNTG_30269.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5122717:5126355:-1 gene:DRNTG_30269 transcript:DRNTG_30269.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive purple acid phosphatase 28 [Source:Projected from Arabidopsis thaliana (AT5G57140) UniProtKB/Swiss-Prot;Acc:Q9LU72] MMTAHPWRPYVLLVLAISIPLLLFESLFSHLIFVNRARPRIKRFAELPLRFRYDGTFKILQVADMHFGNGKATRCKDVLDSEFEWCSDLNTTRFLRRMIEAERPDLIAFTGTAFLIGMLLGSDWIRGQYIWAKCN >DRNTG_30269.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5122684:5126355:-1 gene:DRNTG_30269 transcript:DRNTG_30269.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive purple acid phosphatase 28 [Source:Projected from Arabidopsis thaliana (AT5G57140) UniProtKB/Swiss-Prot;Acc:Q9LU72] MMTAHPWRPYVLLVLAISIPLLLFESLFSHLIFVNRARPRIKRFAELPLRFRYDGTFKILQVADMHFGNGKATRCKDVLDSEFEWCSDLNTTRFLRRMIEAERPDLIAFTGDNIFGPSATDAAESLFRAFAPAMESMIPWAAILGNHDQESSMNREELMSLVSLMDYSVSQVNPPSAGGTMRIEGFGNYHIKVHGAPGSGLDNTSILNLYFLDSGDRVVVSGRKTYGWIRESQLNWVHSISQQSQLQVESQSLSPALAFFHIPIPEVRDLWFKKIKGTFQEYVACSFVNSGVLNTLVSMRDVKAVFFGHDHLNDFCGELNHISVCYGGGFGYHAYGRAGWPRRARVIKAELRKGSKTWMGIQNILTWKRIDDERLTKIDDQVLWSHADDEEEDSSHSQKDV >DRNTG_30269.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5122717:5126355:-1 gene:DRNTG_30269 transcript:DRNTG_30269.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive purple acid phosphatase 28 [Source:Projected from Arabidopsis thaliana (AT5G57140) UniProtKB/Swiss-Prot;Acc:Q9LU72] MESMIPWAAILGNHDQESSMNREELMSLVSLMDYSVSQVNPPSAGGTMRIEGFGNYHIKVHGAPGSGLDNTSILNLYFLDSGDRVVVSGRKTYGWIRESQLNWVHSISQQSQLQVESQSLSPALAFFHIPIPEVRDLWFKKIKGTFQEYVACSFVNSGVLNTLVSMRDVKAVFFGHDHLNDFCGELNHISVCYGGGFGYHAYGRAGWPRRARVIKAELRKGSKTWMGIQNILTWKRIDDERLTKIDDQVLWSHADDEEEDSSHSQKDV >DRNTG_30269.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5122717:5126355:-1 gene:DRNTG_30269 transcript:DRNTG_30269.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive purple acid phosphatase 28 [Source:Projected from Arabidopsis thaliana (AT5G57140) UniProtKB/Swiss-Prot;Acc:Q9LU72] MESMIPWAAILGNHDQESSMNREELMSLVSLMDYSVSQVNPPSAGGTMRIEGFGNYHIKVHGAPGSGLDNTSILNLYFLDSGDRVVVSGRKTYGWIRESQLNWVHSISQQSQVFLDPVEKCLST >DRNTG_05671.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:77495:78839:1 gene:DRNTG_05671 transcript:DRNTG_05671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYRAELKKLAEKIMEVMDENLGLEKGYIKKAFSGGVDDDGVELHRPFFGTKVSHYPPCPNPDMVNGLRAHTDAGGVILLFQDDKVGGLQVLKDGRWIDVQPLTNAIVINTGDQIEVLSNGRYKSAWHRVLARPDGNRRSIASFYNPSFNATIAPAPKLITREMKGEEEAARLAYPKFLFRDYMTVYTQQKFLAKEPRFQAVGAR >DRNTG_05671.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:77915:78839:1 gene:DRNTG_05671 transcript:DRNTG_05671.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYRAELKKLAEKIMEVMDENLGLEKGYIKKAFSGGVDDDGVELHRPFFGTKVSHYPPCPNPDMVNGLRAHTDAGGVILLFQDDKVGGLQVLKDGRWIDVQPLTNAIVINTGDQIEVLSNGRYKSAWHRVLARPDGNRRSIASFYNPSFNATIAPAPKLITREMKGEEEAARLAYPKFLFRDYMTVYTQQKFLAKEPRFQAVGAR >DRNTG_06977.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:46262:47040:1 gene:DRNTG_06977 transcript:DRNTG_06977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEDALPQTKKWWPSWDTRKQISCINFDSTGITRLCERLGKMMMDSQGVLSKEQQIDTLHQCKTSNLLWVGPHRLSPIEAEQVEQILGYPVRHTEIWGMEPSDRLKVLKYSFQTDTLGYHLSVLKAMYPGGL >DRNTG_34792.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15840030:15840980:-1 gene:DRNTG_34792 transcript:DRNTG_34792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSSFLRKKVGRGASVEAYLIPDEGILGRRVEDFPQDHQHDHLGGEASSEYPIFIDCLTSSLLLLSCLLLLIVEN >DRNTG_14356.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21695961:21700160:1 gene:DRNTG_14356 transcript:DRNTG_14356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSHRLFPRARYSIWIDSKSQFRRDPLGVLEALLWRTNSILAISEHGARSSIYDEGKAVVKKNKATPEEVEIQLNQYRLDGMPDQKRFKGKKALAEASIIVREHTPSTNLLMCLWFNEVVRFTSRDQLSFPYVLRRLDVPGINMFPVCARKDLVNSMGHKRKVKPLVRSTI >DRNTG_14356.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21699454:21700160:1 gene:DRNTG_14356 transcript:DRNTG_14356.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHRLFPRARYSIWIDSKSQFRRDPLGVLEALLWRTNSILAISEHGARSSIYDEGKAVVKKNKATPEEVEIQLNQYRLDGMPDQKRFKGKKALAEASIIVREHTPSTNLLMCLWFNEVVRFTSRDQLSFPYVLRRLDVPGINMFPVCARKDLVNSMGHKRKVKPLVRSTI >DRNTG_05769.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3595283:3595649:1 gene:DRNTG_05769 transcript:DRNTG_05769.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEGNDWTKVEAIAVCHLDTSKWNPKHLAFQVLMVKPGSVPACHFMPEDNIMWIVRK >DRNTG_30241.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:196071:206020:-1 gene:DRNTG_30241 transcript:DRNTG_30241.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELASAVSGLIRAPDPGLLWSKCRIPLLDSRSNRVSVGVGRSVKEMRDARRIASFVVNASSGGAEMETLVVKKTGLRDFKVLAGRPLPFGATACEDGVNFAVYSGSAEAATLCLFTLSDLKENKVSEQISLDPVVNRTGNVWHVFLQGDLKNLLYGYKIDGKFQPEEGHYYDYSRVILDPYAKAVTSREEYGVLGLDGGNWPQMAGMIPVSDVEFDWGGDLPLRLPQKDLVIYEMHVRGFTKHDSSKVDFPGTYIGATEKLDYLKELGINCVELMPCQEFNEMEYFGHSTAMKGKKVNFWGYSTINYFSPMIRYSSAGMSNCGHDAINEFKTFVREAHKRGIEVLLDVVFNHTAEGNENGPILSFRGADNSTYYMLAPKVLAFISIAWFSHCILKE >DRNTG_30241.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:196071:206020:-1 gene:DRNTG_30241 transcript:DRNTG_30241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELASAVSGLIRAPDPGLLWSKCRIPLLDSRSNRVSVGVGRSVKEMRDARRIASFVVNASSGGAEMETLVVKKTGLRDFKVLAGRPLPFGATACEDGVNFAVYSGSAEAATLCLFTLSDLKENKVSEQISLDPVVNRTGNVWHVFLQGDLKNLLYGYKIDGKFQPEEGHYYDYSRVILDPYAKAVTSREEYGVLGLDGGNWPQMAGMIPVSDVEFDWGGDLPLRLPQKDLVIYEMHVRGFTKHDSSKVDFPGTYIGATEKLDYLKELGINCVELMPCQEFNEMEYFGHSTAMKGKKVNFWGYSTINYFSPMIRYSSAGMSNCGHDAINEFKTFVREAHKRGIEVLLDVVFNHTAEGNENGPILSFRGADNSTYYMLAPKGEFYNYSGCGNTFNCNHPVVRQFIVDCLRYWVVEMHVDGFRFDLASILTRSSRLWDSINVYGNPKDGDMLTTGSPLGTPPLIDMISNDPILRGVKLIAEAWDSGGLYQVGQFPHWTVWSEWNGQYRDIVRQFIKGTNGFSGAFAQCLCGSPNLYQAGGRKPWNSINFVCAHDGFTLADLVTYNNKCNLANGEDNRDGENHNLSWNCGEEGECASITVRRLRKRQMRNFFLCLMVSQGVPMIYMGDEYGHSKGGNNNTYCHDNHLNYFRWDRKEDSSSDFYRFCHLMTKFRSECESLGLDDFPTGDRLQWHGHRHCAPDWSKTSRFVAFSMTDKVMQEIYIAFNTSHLPVTVSLPERPGYIWEPLVDTSKPSPYDFLSDDLPDKPTAIKQYAGFLHSNLYPMLSYSSIILILRPDPESLL >DRNTG_30241.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:196071:206020:-1 gene:DRNTG_30241 transcript:DRNTG_30241.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELASAVSGLIRAPDPGLLWSKCRIPLLDSRSNRVSVGVGRSVKEMRDARRIASFVVNASSGGAEMETLVVKKTGLRDFKVLAGRPLPFGATACEDGVNFAVYSGSAEAATLCLFTLSDLKENKVSEQISLDPVVNRTGNVWHVFLQGDLKNLLYGYKIDGKFQPEEGHYYDYSRVILDPYAKAVTSREEYGVLGLDGGNWPQMAGMIPVSDVEFDWGGDLPLRLPQKDLVIYEMHVRGFTKHDSSKVDFPGTYIGATEKLDYLKELGINCVELMPCQEFNEMEYFGHSTAMKGKKVNFWGYSTINYFSPMIRYSSAGMSNCGHDAINEFKTFVREAHKRGIEVLLDVVFNHTAEGNENGPILSFRGADNSTYYMLAPKVLAFISIAWFSHCILKE >DRNTG_31761.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10510363:10513025:-1 gene:DRNTG_31761 transcript:DRNTG_31761.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFALMEIYPSGYLERINFFHGFRELILLFDCARGLQFLHTYREGCIVHRDIKPTNILLGPDFEAKLSDFGLSKVIDHGLSHVSSEVRGTFGYVDPEYRQNRHVNAAGDVYSFGIVLLQILSGMRVLNLNVMKPMPLEKTAKHIVEGGNIAEFADPKLYGEYSKDAFEMVLNLALSCTSYKQQRPSMEQVMKKLEKALELSLRESAMHRISISSDRPLVGKTPYSDGFGFLQK >DRNTG_31761.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10510363:10511343:-1 gene:DRNTG_31761 transcript:DRNTG_31761.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLNLNVMKPMPLEKTAKHIVEGGNIAEFADPKLYGEYSKDAFEMVLNLALSCTSYKQQRPSMEQVMKKLEKALELSLRESAMHRISISSDRPLVGKTPYSDGFGFLQK >DRNTG_31761.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10510363:10513659:-1 gene:DRNTG_31761 transcript:DRNTG_31761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFALMEIYPSGYLERINFFHGFRELILLFDCARGLQFLHTYREGCIVHRDIKPTNILLGPDFEAKLSDFGLSKVIDHGLSHVSSEVRGTFGYVDPEYRQNRHVNAAGDVYSFGIVLLQILSGMRVLNLNVMKPMPLEKTAKHIVEGGNIAEFADPKLYGEYSKDAFEMVLNLALSCTSYKQQRPSMEQVMKKLEKALELSLRESAMHRISISSDRPLVGKTPYSDGFGFLQK >DRNTG_14118.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17908511:17911642:1 gene:DRNTG_14118 transcript:DRNTG_14118.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVFSSQRQPHLPITLHPSDDQTLP >DRNTG_14118.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17908511:17911642:1 gene:DRNTG_14118 transcript:DRNTG_14118.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVFSSQRQPHLPITLHPSDDQTLP >DRNTG_14118.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17908511:17911642:1 gene:DRNTG_14118 transcript:DRNTG_14118.5 gene_biotype:protein_coding transcript_biotype:protein_coding PSHDRALPSTPTSSGDPRRSGGESNPREPKAEPPNPCFPAAMQTLGFNWGWVTRSVLGFRERE >DRNTG_14118.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17908511:17911642:1 gene:DRNTG_14118 transcript:DRNTG_14118.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVFSSQRQPHLPITLHPSDDQTLP >DRNTG_14118.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17908511:17911642:1 gene:DRNTG_14118 transcript:DRNTG_14118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVFSSQRQPHLPITLHPSDDQTLP >DRNTG_14834.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4246378:4248000:-1 gene:DRNTG_14834 transcript:DRNTG_14834.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLMTCLTLFLSLQASPCTPCKFPAIINFGDSNSDTGGLSATFNLVPSPYGETFFDMPAGRYSDGRLIIDFIAKSFDLPYLSAYLDSLGTNFRHGANFATAASTIMQQTVPLNKGGYSPFSLQVQLAQFSQFKSRSHMISKKGKIFKSLMPKKEYFKKALYTIDIGQNDLTELFFSNQSADDYIRMTMKVFSDVVKKVYKHGGRHFWIHNTGPLGCLTYVLLRRPSSSPELDSVGCAVIFNKLAQKFNGMLNKTVTQFRKDLPSATFIYVDVYTAKYNLFSKAEKYGFEKPLRTCCGYGGGDYNFGFNVRCGDKGIVEGEEVLLGKSCSHPEKSIIWDGVHYTEAANKWVFNEIATGKYSHPSLPLCKACHRIIPPPVLE >DRNTG_04180.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:554710:562010:1 gene:DRNTG_04180 transcript:DRNTG_04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRSSHCRWIRKVLALLLLSFCTASLVLMQANYSHITILSSLVSHEAVDQKPKIAFLFIARNRLPLDLVWDAFFKGEKEGRFSIYVHSRPGFLFNKDTTRSAYFYGRQVNNSIQVDWGESSMIEAERILLRNALKDPFNERFAFVSDSCIPLYNFSYIYDYIMSTSTSYLDSFADTKEGRYNPKMHPIIPVHNWRKGSQWAVLVRKHAKTMVNDDIVFPEFQKHCRRRALPEFWRDQPIPANGWKEHNCIPDEHYVQTLLAQRGLEEEITRRPLTHTSWDLSSSRDKERRGWHPVTYKLSDATPTLMETIKGIDNIYFETEYRREWCTKREQPAPCFLFARKFTRAAALRLLNLSMLALN >DRNTG_04180.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:554710:562010:1 gene:DRNTG_04180 transcript:DRNTG_04180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWYAGSSFADTKEGRYNPKMHPIIPVHNWRKGSQWAVLVRKHAKTMVNDDIVFPEFQKHCRRRALPEFWRDQPIPANGWKEHNCIPDEHYVQTLLAQRGLEEEITRRPLTHTSWDLSSSRDKERRGWHPVTYKLSDATPTLMETIKGIDNIYFETEYRREWCTKREQPAPCFLFARKFTRAAALRLLNLSMLALN >DRNTG_31600.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:634786:636809:-1 gene:DRNTG_31600 transcript:DRNTG_31600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLIGVFRPPAGGGRLQIPIHLPTRTGRVSRVTMRDRAKNRKPPQRGRYLSVEAIQAVQALKRGERMGGRESVEMAMQARVRRLVKRDMMAVLGELQSQGEALLAQQVFEEVRKEHWYKPQLSVYVNMITVLASNGLYKELDQICSYLKKEQWEADTEGFNSLLKTLFEFGFTQTAMDCFRLMKLWESEPDALTYRILIHGLELKGEADLSVPIREEAKEHFGGNLDFLDEKEETAPSYICRSW >DRNTG_11590.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:12939701:12943784:-1 gene:DRNTG_11590 transcript:DRNTG_11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVIGISTGKRLLSTSFCPSDLGDKFLHIQEHGLGQFISYSSTKCVIVAKKSSQFGPNLPANRHLQAIQAIRDHVDSSALSTMETCLQNSGVVESGNFDLDSSLEAFILLQKSMLEKQWELSFNHMKTVVVPDKSCEKNEVVRSGVSARERRMSSRGNILNRNSQTVPLSKRKQVGTRISPEFTERRVNGYVRGTISENLLTHAEVVNLSKKIKVGLSLEEHKRKLAEKLGLEPSIKQLASSLKMQRAELQTKLIECSLAQEKLAMSNVRLVISIAQKYDHLGAEMADLVQGGLIGLLRGIEKFDSSKGFRISTYVYWWIRQGVSRALVENSRTLRLPTHLHERLSSIRNAKIRLKGKGITPSVDNIAESLNMSKKKVKNATEAVSKILSLDRDAFPSLNGLPGETLHSYIADNKLENNPWHGFEEWSIKDEVNKLLHSVLGERERDIIRLYYGLSTECHTWEDISRKFGLSRERVRQVGLVALEKLKLAARKRQLDAMLIKQ >DRNTG_11590.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:12939701:12943784:-1 gene:DRNTG_11590 transcript:DRNTG_11590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVIGISTGKRLLSTSFCPSDLGDKFLHIQEHGLGQFISYSSTKCVIVAKKSSQFGPNLPANRHLQAIQAIRDHVDSSALSTMETCLQNSGVVESGNFDLDSSLEAFILLQKSMLEKQWELSFNHMKTVVVPDKSCEKNEVVRSGVSARERRMSSRGNILNRNSQTVPLSKRKQVGTRISPEFTERRVNGYVRGTISENLLTHAEVVNLSKKIKVGLSLEEHKRKLAEKLGLEPSIKQLASSLKMQRAELQTKLIECSLAQEKLAMSNVRLVISIAQKYDHLGAEMADLVQGGLIGLLRGIEKFDSSKGFRISTYVYWWIRQGVSRALVENSRTLRLPTHLHERLSSIRNAKIRLKGKGITPSVDNIAESLNMSKKKVKNATEAVSKILSLDRDAFPSLNGLPGETLHSYIADNKLENNPWHGFEEWSIKDEVNKLLHSVLGERERDIIRLYYGLSTECHTWEDISRKFGLSRERVRQVGLVALEKLKLAARKRQLDAMLIKQ >DRNTG_25609.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:154864:159429:1 gene:DRNTG_25609 transcript:DRNTG_25609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAARLDLDGKPIKAMTICMIGAGGFIGSHLCEKLMAETPHTVLAVDVYNDKIKHLLGPDDGQESNQHAWAGRIHFHRLNIKHDSRLEGLIKMSDLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENGKRLIHFSTCEIYGKTIGSFLPKEHPLRQDPEFYVLKEDASPCIFGPIEKQRWSYACAKQLIERLIYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRGEPLKLVDGGQSQRTFVYIKDAIEAVLLMIENPARANGQIFNVGNPNNEVTVRQLAEVMINVYSKVSGEPGLDAPMVDVSSKEFYGEGYDDSDKRIPDMTIINRQLGWNPKTSLSDLLEATLTYQHKTYAEAVKRATAKSVASS >DRNTG_16012.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17593637:17595138:-1 gene:DRNTG_16012 transcript:DRNTG_16012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDRAEPTSNTAVGLCLLPSELIHEILLRLSIPDLLLLRAVSRTLSSLLASSDFRRLYSPASSGPCLFVLTKRFPRDALLRVFHPASSRWLRLPSALLPSSTDPYLLAASGDHILFASNSRRELLALNLSSRSISTLPHPPLGPRRTSSWRRSGLKLISDGSHFRFLFAEIVGDRPFLYEYGSVTGTWRSSEAVTNLQPVRGGVWLNLVQFGNESVILRAGPSDEDYPIVLRPRLSAALIPRQVEDPLRVYGGDGKMVVVRSTAVGVGMRVVTAVEVWAVTAAAGGGVAWEMVTAAPEEVVEKIRRPFGVMMGCMEEENESMGMIMRLRIVLVSNLEGSWAITWLGRDAAGCWVWVPVPAPESGSTGFFNMAGIVLSSCFSGLSLLF >DRNTG_23391.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001263.1:37516:38040:-1 gene:DRNTG_23391 transcript:DRNTG_23391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSTSLGLETMRLMGMVCRVRTGVFALVLPAPEIVEVEGDEAGASQPTPEPQPASMETEAPPAAEEPPLVRMFSPSRANDRFERLENAIGVVRAEILERDVASSFVLQPRTLQAPSVPPPPPSSTRAPEDPLYASTSAAASEPESDSDT >DRNTG_23756.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21148008:21149039:1 gene:DRNTG_23756 transcript:DRNTG_23756.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSSATICDLFLIFNLLFFTFGTSSLTSIPVLHPPTPAATPPRSVQPGSGGHAPAPTPASPAPGNGGHGVPIPNPITPPASPAPGNGGHGVPTPQPITPPASPPSGNGHGGPSPPASPPSGNGGQCPVKLASLKVCAGVLNGLVSVNGPCCPLINGLASLDAEVCLCAAIKANVLGYIQIDATVAVKLIVGSCGKPTSPGFKCPN >DRNTG_18731.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1148746:1153311:-1 gene:DRNTG_18731 transcript:DRNTG_18731.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G55400) UniProtKB/Swiss-Prot;Acc:Q9M2T9] MGSAYTTIAADSIARFQRLLGKRVIFVTGTDEHGEKIAAAAATCGHSPNEHCNTISHSYQLLWKDLEISYDKFIRTTDPSHEAVVKEFYSKVLNNGDIYRADYEGLYCVNCEEYKDEKELLENNCCPMHLKPCIKRKEDNFFFALSKYQKSLEDMLACNPDFVKPSYRLNEVQGWIKNGLRDFSISRSSVEWGIPVPNDTKQTIYVWFDALLGYISALLEEGEQLTLEKAIHSGWPASLHLIGKDILRFHAVYWPAMLMSAGLTLPKMVFGHGFLTKHLFFP >DRNTG_18731.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1148746:1153311:-1 gene:DRNTG_18731 transcript:DRNTG_18731.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G55400) UniProtKB/Swiss-Prot;Acc:Q9M2T9] MGSAYTTIAADSIARFQRLLGKRVIFVTGTDEHGEKIAAAAATCGHSPNEHCNTISHSYQLLWKDLEISYDKFIRTTDPSHEAVVKEFYSKVLNNGDIYRADYEGLYCVNCEEYKDEKELLENNCCPMHLKPCIKRKEDNFFFALSKYQKSLEDMLACNPDFVKPSYRLNEVQGWIKNGLRDFSISRSSVEWGIPVPNDTKQTIYVWFDALLGYISALLEEGEQLTLEKAIHSGWPASLHLIGKDILRFHAVYWPAMLMSAGLTLPKMVFGHGFLTKDGMKMGKSLGNTIEPNDLVNRFGPDAVRYFFLREVEFGNDGDYSEDRFISIVNAHLANTIGNLLNRTLGLLKKNCQSTLAVDSTTAAEGNPLKDTIEKLVEKARIHYESLLISSACESVLEIGNAGNLYIDERAPWSLFKQGGISSEMAAKDLVVILEAMRIIAIALSPVAPSLCLRIYKQLGFSEDEFKAVTWNDTKWGGLRAGQIMAEPKPVFAKIENRTEDKDEVTPKVVKNKKKSPQNQGLVEA >DRNTG_18731.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1148746:1153311:-1 gene:DRNTG_18731 transcript:DRNTG_18731.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G55400) UniProtKB/Swiss-Prot;Acc:Q9M2T9] MLMSAGLTLPKMVFGHGFLTKDGMKMGKSLGNTIEPNDLVNRFGPDAVRYFFLREVEFGNDGDYSEDRFISIVNAHLANTIGNLLNRTLGLLKKNCQSTLAVDSTTAAEGNPLKDTIEKLVEKARIHYESLLISSACESVLEIGNAGNLYIDERAPWSLFKQGGISSEMAAKDLVVILEAMRIIAIALSPVAPSLCLRIYKQLGFSEDEFKAVTWNDTKWGGLRAGQIMAEPKPVFAKIENRTEDKDEVTPKVVKNKKKSPQNQGLVEA >DRNTG_18731.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1148746:1153311:-1 gene:DRNTG_18731 transcript:DRNTG_18731.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G55400) UniProtKB/Swiss-Prot;Acc:Q9M2T9] MHLKPCIKRKEDNFFFALSKYQKSLEDMLACNPDFVKPSYRLNEVQGWIKNGLRDFSISRSSVEWGIPVPNDTKQTIYVWFDALLGYISALLEEGEQLTLEKAIHSGWPASLHLIGKDILRFHAVYWPAMLMSAGLTLPKMVFGHGFLTKDGMKMGKSLGNTIEPNDLVNRFGPDAVRYFFLREVEFGNDGDYSEDRFISIVNAHLANTIGNLLNRTLGLLKKNCQSTLAVDSTTAAEGNPLKDTIEKLVEKARIHYESLLISSACESVLEIGNAGNLYIDERAPWSLFKQGGISSEMAAKDLVVILEAMRIIAIALSPVAPSLCLRIYKQLGFSEDEFKAVTWNDTKWGGLRAGQIMAEPKPVFAKIENRTEDKDEVTPKVVKNKKKSPQNQGLVEA >DRNTG_00675.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15962852:15966947:-1 gene:DRNTG_00675 transcript:DRNTG_00675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSETGMISSREPFNVGLQKSPVQSPPSMQNMRLAFTADGTAIYKPINSSPPYQGVTAGGGGGGGGGGGGGGGGGGAAGGEGVPPAPVASTHGLNISMGEPMKRKRGRPRKYGPDGTMALSLTPVSPGAGSGGFSVSSIRSGSPGDSLKKSRGRPPGSGKKQQMAALGSAGIGFTPHIITVKAGEDVSSKIMSFSQHGPRAVCILSANGAISNVTLRQAATSGGTVTYEGRFEILSLSGSYLLSESGGQRSRTGGLSVSLAGPDGRVLGGGVAGLLTAASPVQVVVGSFLADRRKEPKSTNFSDPASAPGKIAPIGMAGPSSPLSRGTQSESSGGPGSPLNQSTGTCNNNNQQGLPSMPWK >DRNTG_17137.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000881.1:30228:31150:-1 gene:DRNTG_17137 transcript:DRNTG_17137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVDIYMMLRVVLSIVLWVVLVVLSVVISIFGFVAMMLLVMFWVGFMMLRVGVMILWLVLMIDNDEYRKLQEKIEYGLSVGDIIITFHVIMPRVVLMILVIMLLPLWNQMTKKMSRVRDDLTKLQERLEMAYRKLEYADEETMIVPYGTTNTLFHNLRGVSNDLQDISDELSKLEDKLETMDYKEHWYSLFIHVYQHLIYFPRQIQRQNKTLKTIGSKLEEILKQELNDGLTLFKLNTTVEYDTNLVGGIEQDTEKLVEKL >DRNTG_19747.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19342746:19343191:1 gene:DRNTG_19747 transcript:DRNTG_19747.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDIAHNLHDVDIVILLIIMNGHFHLFVFYNDKQEYMHYTSVESEEYERDAGEMKRGSVDCAVYVMELSSSYSTVKSYLYRKRTSLTST >DRNTG_19082.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:36492:36876:-1 gene:DRNTG_19082 transcript:DRNTG_19082.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAPAQNLDQFELTWLSPTPLRSSAIKDSTPSSSITKITVSA >DRNTG_29742.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:14953025:14956147:-1 gene:DRNTG_29742 transcript:DRNTG_29742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLFLLLLFALFLPLSISGPIAIEFLYPNFTGSYFNYIDNSGGIFLTSPHNTFQAAICNPDNQQTNFYLCVIHALSGTIIWSANRNFPIPNSGVVKLTSSGLTVSLPDGTIVWSTPSFSSPVSSLHILDSGNLLLLNPKNTSLWQSFEQPTDTLVPGQSLLAGSALVSSISTSNLSAGDFRLLLTSDDAVLQWIDSQQYWSLSTDQRANINANGQVSSMSINSSGLYLFSTRNDFEAVMQLSFSGSSDFLIARISSDGRFHVSTYSSATKGSVDLFVAPINGCDLPLSCKSLGICSGGNSVSTLTCTCPNLLSKTSGSDDCLPVDGRVLASPSVCSNSSMLSTMSYMSLGRGTDYFNSKFTNPTRTGVNIASCESLCNVNCSCLGFIYKNSTQDCFILEHKLGSLTSSSTDDAIGYIKIFGSSSSAPQANKDSSNLIAILLPTIAAGLLIVVLLVVVFQWWRRRRTSGIRRKWKTKSMAMKEIHKPWPVEVQDSDDDEFPSDEEIPIPGLPTKFSYNQLQTATANFQTKIGSGGFGEVYKGVLEDKTLVAVKRVRAIGRKKEFFTEIAVIGSVHHINLVRLKGFCAQGSRRMLVYEYMNRGSLEKSLFRPGGPVLEWQERVDIAIGAARGLAYLHSGCEHKIIHCDVKPENILLHDRGQVKISDFGLAKMMTPEQSGLFTTMRGTRGYLAPEWLTNSAISDRTDVYSYGMVLLEIVRGRQNRLVLRSEESGGSTSSGSSSGVGESEYFPLVALDMHEQRRYAELADPRLERRVTGEEVERIVKIALCCLHEDPGFRPSMTAVVGMLEGTMPVPWPRVDLLNFLRFYGRGGNMVGHSGFGNVGVWPRRISNTTNTTSTSESPTYSFLSSQQISGPR >DRNTG_06564.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22648175:22649272:1 gene:DRNTG_06564 transcript:DRNTG_06564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSEQCTELPAGFEIGYGIANALMSGPVSASYAVDREPHPSPGRSTDRSPKAPWVDRRIVVGDDDKWTKFPGSSGPGFDLAHGISAVSFRPGQGVNHGVLRNPRGQLSSQYAGGILSGPLQAMASPGGVPQNGIDADRWHRAPSAQRGLVPSPQTPLQVMHKATKKYEIGKVSDVEVAKQRQLKSILNKLTPQNFEKLFEQVKTVNIDNPVTLTGVISQIFDKALTEPTFCEMYADF >DRNTG_15691.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000784.1:13183:16177:1 gene:DRNTG_15691 transcript:DRNTG_15691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTEFAMDQAKTRESIFQATKTSQLHPVQ >DRNTG_08910.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27452790:27456138:1 gene:DRNTG_08910 transcript:DRNTG_08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRINGGAAWSEDAGGAGDQDEEAATWTRTINPEAKDGMAQLASFKSMLDDDWYPQSFEALQTHSEIKQMSFPTDPASTDAMLFNPAMDSSSSCSPSSLFNLDSSHPFFSSKTMISSFLGAASSMPFDTGADLGCDASGFLAEPQVSNSPVLMSREGGGGGVLGFSGLGANVQMNCSTVGSGIQFHSNSLMLGQKSCSGSGSGSGAGFEPMGFESFENSPFLNRTKVLRPLEIFPPVGAQPTLFQKRAATIRQNSGLGGEKGGSFGGIIGLEGGKQGSNEESEKRKRRWSEEDDFEEGSFDGSGLNYDSEENGKGEESGKNGAGGGGNASNANSTVTGGGTDPKGKKKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKVEVRVREGRAVNIHMFCARRPGLLLSTMRALDGLGLDIQQAVISCFNGFALDVFRAEQCKDGPGVLPEEIKAVLLHSAGFQSTM >DRNTG_07810.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1313658:1317669:-1 gene:DRNTG_07810 transcript:DRNTG_07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPGMGSGGDQFPAGLRVLVVDDDVTCLRILEQMLHKCSYRVTICTRASKALSLLRERKDGFDGFDVVISDVYMPDMDGFKLLELVGLEMDLPVIMMSADGSTNAVMKGIKHGACDYLIKPVRMEELKNIWQHVVRKKWNENKELENLCSSEDPEHQRRVIDEAEYTSSVNDGTDSTLKSQKKKRDARDDDDGELDSEDPSSSKKPRVVWSVELHQQFVNAVNQLGIDKAVPKRILELMNVPGLTRENVASHLQKFRLYLKRLSGVTHPSSFCSTLEPNSNVGSLGRLEFPVLTAAGQIPPQTLAAFRAELMGRPSESLVMPPIDQSVFLQSSEGPKYIPFEHKVPVGQPIFKCQSNSSNQHPHPSISFEDTSPSFPAWPINQSDISGCASNLEAMSSVRNGNIMLQIPQQLPLPVQSKPQHAINVQPSCLVVPSQPMNNFQVGSIPIPVNSNSAGSQSGTLANYHAGKSSVRVSEAYNANISSMAVGYSHPLSQSNNAAVGMPQISDEDIKGAGMSNAYLMSRSISSSVSSASGHADSNDHWQVQNTLVNVGLSYKTPGFVPSLHKEVFETKIGTLPEQGVGRNHCFAGKGTCIPSRFVVDDIESSTNDMRHGGACTFDDAEIVKQEISLDFLDDFTSGNSVLSHFPQSGFAGVSSK >DRNTG_07810.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1313658:1317669:-1 gene:DRNTG_07810 transcript:DRNTG_07810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPGMGSGGDQFPAGLRVLVVDDDVTCLRILEQMLHKCSYRVTICTRASKALSLLRERKDGFDGFDVVISDVYMPDMDGFKLLELVGLEMDLPVIMMSADGSTNAVMKGIKHGACDYLIKPVRMEELKNIWQHVVRKKWNENKELENLCSSEDPEHQRRVIDEAEYTSSVNDGTDSTLKSQKKKRDARDDDDGELDSEDPSSSKKPRVVWSVELHQQFVNAVNQLGIDKAVPKRILELMNVPGLTRENVASHLQKFRLYLKRLSGVTHPSSFCSTLEPNSNVGSLGRLEFPVLTAAGQIPPQTLAAFRAELMGRPSESLVMPPIDQSVFLQSSEGPKYIPFEHKVPVGQPIFKCQSNSSNQHPHPSISFEDTSPSFPAWPINQSDISGCASNLEAMSSVRNGNIMLQIPQQLPLPVQSKPQHAINVQPSCLVVPSQPMNNFQVGSIPIPVNSNSAGSQSGTLANYHAGKSSVRVSEAYNANISSMAVGYSHPLSQSNNAAVGMPQISDEDIKGAGMSNAYLMSRSISSSVSSASGHADSNDHWQVQNTLVNVGLSYKTPGFVPSLHKEVFETKIGTLPEQGVGRNHCFAGKGTCIPSRFVVDDIESSTNDMRHGGACTFDDAEIVKQEISLDFLDDFTSGNSVLSHFPQSGFAGVSSKNG >DRNTG_00021.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21583145:21584571:-1 gene:DRNTG_00021 transcript:DRNTG_00021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSQEPKRVITPVVIVFLIMIYFYYATIFETIDKWLGLDSIVGFFNAVLFSLLTAMTMLTYIMGIMSDPGTVPLRYKDLENPDISLHEGKKNGESRFCMKCSRYRPPRAHHCSICNKCILRMDHHCIWLSNCVGHRNYKIFILVVMYAVATCCHATILLICAARDVFKTQQQTGEAYKISHITCGALLFPLTLVLTMLFLWHIYLALHNKTTIEHAQGVRERSLLDGVADAHRHPYDLGAYENFSLLFGRNAACWICPTKVDNGSGLHFRTTLDGTNSASSSSSM >DRNTG_08653.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2050360:2051486:1 gene:DRNTG_08653 transcript:DRNTG_08653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALEKKEVIVVEKEKQEEVITAIYKLNLHCQECARSIEKHVTRISGVQKVDTDVESGKVNVKGIIDVKKIHELIEKKSKRKVEIISPKPKEKDDKVSAEKIVEKKEEVVRTIVMKVHMHCEKCEHDLKWRLLKLKGVHSVKMNREAGTCTVTGTVEEKKLIEYIRRKTKKHVEVVPQKQKEEEKKKTEEKVSKEKETEAKGGAKEEVKKVEIVEKKEEVKITEIVVPYFIHCTHAPQWFSDEDPNSCSVM >DRNTG_22869.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4298122:4300714:1 gene:DRNTG_22869 transcript:DRNTG_22869.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLLLLFLLPFSSAGATTVSTTIHAACRATRFPSICEKTLSESSSLPQNPSPTDLILAAINASSSGTTTALSLSHSILSSSSDPNHTTAARNCIDLLTLASHRLSSISSPSSSAPLSSARAWTSAVLIYHYSCWSALKYVNTTSQISSAMAFLTDLQSLTSNALSMLFSLHRFGPDLYLWSPPQTERDGYWDSSSTGAVAGADLGSGSTPLSRSKPDVTVCKGGSCRFDSVQAAVDSAPVNRTERFVIYIREGVYNETVRVPFEKPNLAFVGDGMGKTVLTGSLNADMVGVSTYNTATVGVQGDGFMAYNLTISNTAGPDAHQAVAYRSDSDLSILDSVELSGHQDTLYAASLRQYFKSCTISGTVDFIFGNSASFFDNCLILILPRQLNPERGETNTVTAHGRTDPAQTTGFVFHRSTINASADYLRFFNTNPKIHRTYLGRPWKEFSRTVFLECYLEQVVRPEGWSLWDGDFALSTLFYGEYASVGPGANVSARVPWSSQVPPEHVDVYSVSNFIQGDQWIHSLVLNP >DRNTG_22869.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4298122:4300878:1 gene:DRNTG_22869 transcript:DRNTG_22869.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLLLLFLLPFSSAGATTVSTTIHAACRATRFPSICEKTLSESSSLPQNPSPTDLILAAINASSSGTTTALSLSHSILSSSSDPNHTTAARNCIDLLTLASHRLSSISSPSSSAPLSSARAWTSAVLIYHYSCWSALKYVNTTSQISSAMAFLTDLQSLTSNALSMLFSLHRFGPDLYLWSPPQTERDGYWDSSSTGAVAGADLGSGSTPLSRSKPDVTVCKGGSCRFDSVQAAVDSAPVNRTERFVIYIREGVYNETVRVPFEKPNLAFVGDGMGKTVLTGSLNADMVGVSTYNTATVGVQGDGFMAYNLTISNTAGPDAHQAVAYRSDSDLSILDSVELSGHQDTLYAASLRQYFKSCTISGTVDFIFGNSASFFDNCLILILPRQLNPERGETNTVTAHGRTDPAQTTGFVFHRSTINASADYLRFFNTNPKIHRTYLGRPWKEFSRTVFLECYLEQVVRPEGWSLWDGDFALSTLFYGEYASVGPGANVSARVPWSSQVPPEHVDVYSVSNFIQGDQWIHSLVLNPI >DRNTG_22869.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4297881:4300761:1 gene:DRNTG_22869 transcript:DRNTG_22869.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNPPSSMASLLLLLLFLLPFSSAGATTVSTTIHAACRATRFPSICEKTLSESSSLPQNPSPTDLILAAINASSSGTTTALSLSHSILSSSSDPNHTTAARNCIDLLTLASHRLSSISSPSSSAPLSSARAWTSAVLIYHYSCWSALKYVNTTSQISSAMAFLTDLQSLTSNALSMLFSLHRFGPDLYLWSPPQTERDGYWDSSSTGAVAGADLGSGSTPLSRSKPDVTVCKGGSCRFDSVQAAVDSAPVNRTERFVIYIREGVYNETVRVPFEKPNLAFVGDGMGKTVLTGSLNADMVGVSTYNTATVGVQGDGFMAYNLTISNTAGPDAHQAVAYRSDSDLSILDSVELSGHQDTLYAASLRQYFKSCTISGTVDFIFGNSASFFDNCLILILPRQLNPERGETNTVTAHGRTDPAQTTGFVFHRSTINASADYLRFFNTNPKIHRTYLGRPWKEFSRTVFLECYLEQVVRPEGWSLWDGDFALSTLFYGEYASVGPGANVSARVPWSSQVPPEHVDVYSVSNFIQGDQWIHSLVLNPI >DRNTG_22869.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4297881:4300878:1 gene:DRNTG_22869 transcript:DRNTG_22869.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNPPSSMASLLLLLLFLLPFSSAGATTVSTTIHAACRATRFPSICEKTLSESSSLPQNPSPTDLILAAINASSSGTTTALSLSHSILSSSSDPNHTTAARNCIDLLTLASHRLSSISSPSSSAPLSSARAWTSAVLIYHYSCWSALKYVNTTSQISSAMAFLTDLQSLTSNALSMLFSLHRFGPDLYLWSPPQTERDGYWDSSSTGAVAGADLGSGSTPLSRSKPDVTVCKGGSCRFDSVQAAVDSAPVNRTERFVIYIREGVYNETVRVPFEKPNLAFVGDGMGKTVLTGSLNADMVGVSTYNTATVGVQGDGFMAYNLTISNTAGPDAHQAVAYRSDSDLSILDSVELSGHQDTLYAASLRQYFKSCTISGTVDFIFGNSASFFDNCLILILPRQLNPERGETNTVTAHGRTDPAQTTGFVFHRSTINASADYLRFFNTNPKIHRTYLGRPWKEFSRTVFLECYLEQVVRPEGWSLWDGDFALSTLFYGEYASVGPGANVSARVPWSSQVPPEHVDVYSVSNFIQGDQWIHSLVLNPI >DRNTG_22869.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4297881:4300714:1 gene:DRNTG_22869 transcript:DRNTG_22869.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNPPSSMASLLLLLLFLLPFSSAGATTVSTTIHAACRATRFPSICEKTLSESSSLPQNPSPTDLILAAINASSSGTTTALSLSHSILSSSSDPNHTTAARNCIDLLTLASHRLSSISSPSSSAPLSSARAWTSAVLIYHYSCWSALKYVNTTSQISSAMAFLTDLQSLTSNALSMLFSLHRFGPDLYLWSPPQTERDGYWDSSSTGAVAGADLGSGSTPLSRSKPDVTVCKGGSCRFDSVQAAVDSAPVNRTERFVIYIREGVYNETVRVPFEKPNLAFVGDGMGKTVLTGSLNADMVGVSTYNTATVGVQGDGFMAYNLTISNTAGPDAHQAVAYRSDSDLSILDSVELSGHQDTLYAASLRQYFKSCTISGTVDFIFGNSASFFDNCLILILPRQLNPERGETNTVTAHGRTDPAQTTGFVFHRSTINASADYLRFFNTNPKIHRTYLGRPWKEFSRTVFLECYLEQVVRPEGWSLWDGDFALSTLFYGEYASVGPGANVSARVPWSSQVPPEHVDVYSVSNFIQGDQWIHSLVLNP >DRNTG_00513.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30481727:30485595:-1 gene:DRNTG_00513 transcript:DRNTG_00513.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPKKRNFKIEAFKHRVEIDPKYAEKTWRILEHAIHEIYNHNASGLSFEELYRNAYNMVLHKYGEKLYSGLVATMTSHLKEISRSIEAAQGGLFLEELNRKWEEHNKALQMIRDILMYMDRTFVPINHKTPVHELGLNLWRDNIIYSSKIQMRLLDTLLELIQRERTGEVINRGLMRNITKMLMDLGSSVYQENFEKPFLDVSASFYSLESQEFIECCDCGEYLKKAERRLNEEIERVSHYLDKKSESKITLVVETEMIANHMQRLVHMENSGLVNMLVDDKYEDLSRMYNLFRRVPEGLSMIREVMTTHLRETGRQLVTDPDRLKDPVDFVQHLLDAKDKYDKIISTAFNNDKAFQNGLNSSFEYFINLNNRSPEFISLYVDDKLRKGLKGFSEEDVETVLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTISDDAERSMIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFYASQSFDTGDSPTLAVQVLTTGSWPTQPSPPCNLPAEILGVCERFRAFYLGTHTGRRLTWQTNMGTADLKATFGKGQKHELNVSTYQMCILMLFNSSDHLSYKEIIQATNIPAADLKRCLQSLACVKGKNVLRKEPMSKDIAEDDAFYFNDKFTSKFFKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNNIVAEVTKQLQARFLPNPVVIKKRIESLIEREFLERDKVDRKLYRYLA >DRNTG_10786.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:16314041:16316260:-1 gene:DRNTG_10786 transcript:DRNTG_10786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRCQVGQPNCSQDRSSKRCHFPDHSHVSSMVKQIH >DRNTG_34736.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002186.1:5268:5780:-1 gene:DRNTG_34736 transcript:DRNTG_34736.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNSFVFNVSSNWIPPFLLQVLRIYCCSVGPEFPTWLKTQHKLNVLDISNTGISSSVPDWFWNLISVNLTSLSMSENQIEGMLPKFSTSIQWKTIDLSSNRFYGPLPGFLGSSISSINLSNNSFSGSISHMIAENMLALSRNPFSSVYLSMNKLNGTVPSSLC >DRNTG_34736.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002186.1:5268:17830:-1 gene:DRNTG_34736 transcript:DRNTG_34736.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRLAELNFTGCIKDSLTHLHLSDANLDGDIPDWMGDIKDLKVLDLSENSLSGSLTSSIVRLSLLETLNLFSNKLNGTLPVEIGKLTELESLDLGENQMRGAITEAHFSNLQKLNVLRMQSNSFVFNVSSNWIPPFLLQELRIRSCSVGSEFPTWLQTQHKLNVLDISNTGISSTVPDWFWNLVSVNLAEMYMSENQIEGMLPKFSTSMQLNTIDLSSNRFYGPLPGFLGSSISSINLSNNSFSGSISHMIAENMLALSRNPFSSVYLSMNKLNGTVPSSLC >DRNTG_34736.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002186.1:16141:17830:-1 gene:DRNTG_34736 transcript:DRNTG_34736.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRLAELNFTGCIKDSLTHLHLSDANLDGDIPDWMGDIKDLKVLDLSENSLSGSLTSSIVRLSLLETLNLFSNKLNGTLPVEIGKLTELESLDLGENQMRGAITEAHFSNLQKLNVLRMQSNSFVFNVSSNWIPPFLLQELRIRSCSVGSEFPTWLQTQHKLNVLDISNTGISSTVPDWFWNLVSVNLAEMYMSENQIEGMLPKFSTSMQLNTIDLSSNRFYGPLPGFLGSSISYINFSNNSFSGSITQMITENFPAMSHNAYLKLLLLSMNKLNGTLPLSLCQIQGLQVLDISKNNLSGELPDCLWNSSALWI >DRNTG_16921.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22019501:22023964:1 gene:DRNTG_16921 transcript:DRNTG_16921.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLPMPMVALTNPVAQLLDDGNFVIREANSSEFASQSFDYLTDTLLSGMKLGWDLRTGLNRNLTSWRSKDDPSPGSYVISMDLEGIPQVNLWSVSTKKWRSRPWIDVKFGSTEDMPQPYGLRFGFVNNKDEVYYMYNSTGTKFVGRVLLDHSGMTNHFVWIESTGMWNFFMNYPKNECQEYSRCGPYGMCAIDVWPICRCLQGFKPKSPQEWPIMDASFGCERLTALDCKNRSDGFMTVTLAELPETSNAIVYANISQDECRGRCLKKCSCTAYATANISCAGLGCVIWVTELIDLRMSSHPTQDIFVRLAAADLASISNKSSKKSQSKSVVWIIVFSMVALIIPLIYFCSRGKKKMIHKGVRGNGEFELAQLQWSTLMEATHNFAKTNILGKGGFGLVYKGKLAEGREIAVKRLSRNSTQGIDEFENEVAFIAKLQHCNLVRLLGYCIKGDEKILVYEYMPNRSLDAFLFDKEKGDHLDWKTRFHIIEGIARGLLYLHQDSRLRIIHRDLKASNILLDIEMNPKISDFGLARNFGDRETMIKTRKVVGTYGYMAPEYALDGVFSMKSDVFSFGVLILEIISGQRNRVFLSNPHLYLLGRAWRLWNDGKVLDLLDPLICNSFSVTQVMRCINIGLLCVQEKPEDRPIMSSVVIMIGNDDAPLLEPKEPGFNAIFSTKHDAALNQNELHTFNDITLTEQTGR >DRNTG_14714.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20809996:20813927:-1 gene:DRNTG_14714 transcript:DRNTG_14714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEYRIVSVLVMLLKLMKIMEQEYRTVSVLVMPLKLMKIMAQEYRTVSVLVMLLKLLKINYGTRVQNCVCSITSNVDNDSEEKVCVICLDEIEEGETVRSLPNCKHMFHQKCIDPWFIKMSSFCPVCRTRVIKREVEPQSFHWININV >DRNTG_21833.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6025350:6026278:1 gene:DRNTG_21833 transcript:DRNTG_21833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNINLVYGGSNIRLMALISQTVFDGGRHVLQNHSQSSNG >DRNTG_23722.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:787852:794816:1 gene:DRNTG_23722 transcript:DRNTG_23722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTFSAVVAPPPSPSAPRRHPQILKQPRSHPHLHCVPLSASPGTSRIRRIVGVLEKDAPEVETAAAVDADGGESEIGINGFAVETVEVARDSPRKVLGRSKKMAQEEDDSSDRYKLRNGREIFEEKAYLVGVDCRGKEDALFSTEDSLKELAQLADTAGLLVVGSTSQKLAAPNPRTYIGSGKVAEIKTAIHALDVETVIFDDELSAGQLRNLEKAFGGDVRVCDRTALILDIFNQRAATHEASLQVALAQMEYQLPRLTKMWTHLERQAGGKVKGMGEKQIEVDKRILRTQISALRKELESVRQHRKQYRNRRLSVPVPVVSLVGYTNAGKSTLLNQLTGADVLAEDQLFATLDPTTRRVQINNGMEFLLTDTVGFIQKLPTMLVAAFRATLEEISESSLLVHVVDISHPLASQQIDAVNKVLGDLDVESIPKLMVWNKVDKADDPETVKIEAEEKGAICLSAIYGDGLEQFCFAVQAKLKDAMVPVEACIPYDKGELLNTIHQVGMVEATEYTENGTVIRGHVPLPLARLLTPMRQRVTGTH >DRNTG_23722.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:787852:794816:1 gene:DRNTG_23722 transcript:DRNTG_23722.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQLPRLTKMWTHLERQAGGKVKGMGEKQIEVDKRILRTQISALRKELESVRQHRKQYRNRRLSVPVPVVSLVGYTNAGKSTLLNQLTGADVLAEDQLFATLDPTTRRVQINNGMEFLLTDTVGFIQKLPTMLVAAFRATLEEISESSLLVHVVDISHPLASQQIDAVNKVLGDLDVESIPKLMVWNKVDKADDPETVKIEAEEKGAICLSAIYGDGLEQFCFAVQAKLKDAMVPVEACIPYDKGELLNTIHQVGMVEATEYTENGTVIRGHVPLPLARLLTPMRQRVTGTH >DRNTG_23722.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:787852:794816:1 gene:DRNTG_23722 transcript:DRNTG_23722.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQLPRLTKMWTHLERQAGGKVKGMGEKQIEVDKRILRTQISALRKELESVRQHRKQYRNRRLSVPVPVVSLVGYTNAGKSTLLNQLTGADVLAEDQLFATLDPTTRRVQINNGMEFLLTDTVGFIQKLPTMLVAAFRATLEEISESSLLVHVVDISHPLASQQIDAVNKVLGDLDVESIPKLMVWNKVDKADDPETVKIEAEEKGAICLSAIYGDGLEQFCFAVQAKLKDAMVPVEACIPYDKGELLNTIHQVGMVEATEYTENGTVIRGHVPLPLARLLTPMRQRVTGTH >DRNTG_13088.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2511113:2513472:1 gene:DRNTG_13088 transcript:DRNTG_13088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSGARKKKKVKKHKAPDLVDTSGGNLHSELMLEEAAQFDINEPTMEEKLASLDLANSDMVKSISEDISLMTKLPSADSVHVLLKQALNADDHVLLLDCLYTRDKKVIAKSISLLNPADVLKLLNSLTSMVQSRGAVLVCALPWLSSLISQHASSISSQGSSLQMLNTLYQLIQSRISTFGSALQLSSSIDYLFAEIIEEDEDEESVPPPIIYEDKDTDEEESEDAMETDEDDEDLGAVIDSHDSDGALAMSD >DRNTG_25895.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19788992:19792991:1 gene:DRNTG_25895 transcript:DRNTG_25895.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHRRTTSPSCVVFAYDATREHTKHEFQLTINNARMRGDIISAGDTLLVLGVLHTINNPMGYQTKACTDSFAGTNARALEEEALKKLDLYESMLLPIAETIKKERVGLKVKITAGTPTKRVIVQEALSHKPSWIILERNLRNNLKFYVNHIPCKVAAIQDTQAVDVVKHFYTNPSSDSDFKSVYSIERTIYSTSNEQYLFDNEQSFVSGGGYFASISSLDISDIHKSSDSTSFSSKTFDHNSISKKTGNYSKHRKSHSASHFLSDKPNSILQYDSLEMPILCAACGLRSSLYVKESMRFQFSEIQKATEDFSKENLLGEGGFGHVYKGQLKDGQLIAAKMRKEASTQGYSEFFSEVHVLSFARHRNIVMLLGYCCKENHNILVYEYICNRSLDWHLFDESANFLEWHTRHSLAMGIAKGLRFLHEECRGGPIIHRDLRPSNILITHDFVPMLADFGLAKWKSGGESFQTRILGTSGYLAPEYAEYGIVSVRTDVYAYGIVLFQLISGRRVLDDKKGQSQHILQWAEPLVESLALHDLVDPHLGDSFDTHELYLLARVAFLCVRRKPEMRPSMGEVVRLLEAKNNNNANELVQQFIPHYVK >DRNTG_06655.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18844019:18845335:1 gene:DRNTG_06655 transcript:DRNTG_06655.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSLSPTRAQSLSSTSPALVTSARSASSPPLQRSSPPRVEPHKAPPSNPRSCRLCHHAQHPFSLHHSTSHHLNFILLQAHAGENLYPNLCNLRLDEASPPKI >DRNTG_20187.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12882401:12889083:1 gene:DRNTG_20187 transcript:DRNTG_20187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPMKLENHASSAAAFVEGGVQDACDDSCSICLEAFCDSDPSTVTTCKHEFHLQCILEWCQRSSQCPMCWQPISLKDPSSQELLEAVESERNIRSNQTRTATIFHHPAFGEFDLQHLPVGANDSELEERIIQHLAAAAAMGRAHHIGRRVVQRGRSGAQGHPQFLLFSTNPSAPSSGSVSASSSQRGEDEPTPAIFFASPSAHTSDEEPPLRATNVTPAQSDQVNILPSGTTVGSFTGSGLSSNMNSPGQSSPVNHDRAGPSEVQSVLESLKSRLNTVSMRYKESVTKSTRGWKERLFSRNSSVADLGSEVRREVNAGIATVSRLIERLDTRDGRRATAVPASPDDPGRLSSSEPSIERVAENETNTIATGSNSSTSCATSSGSN >DRNTG_08384.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4515018:4516612:1 gene:DRNTG_08384 transcript:DRNTG_08384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEFPDDFRCPISLEVMSDPVILTSGHTFDRSSIQRWLDSGNRTCPVTKLPLPPHPRLIPNHALRNLISNFAPDPPSKLPSCHSAADPQLLSFPSDAATLSAAFRRAKLDAAFRRYLADSGAASSVLLRHAASPDPPDLQELSLRVLLLLSLDGDHARVGLAADGAVDLLLPALRCGGSVAALAATTLTSLAVVDVNKCSIGAHPSAIPSLVAVLRDGKGRERREAATALFVLCSLPENRKRAAISGAVPALASLASLGSDRAVEVLGMLVKCSEGREAMKRIKGFVEFIIGIIKGGSLRATEHALVILNFLCCDDKNIILEVRKQGLVEICINLMNEETGKIRENAVEFVRTMEM >DRNTG_29416.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1849199:1852340:1 gene:DRNTG_29416 transcript:DRNTG_29416.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 1 [Source:Projected from Arabidopsis thaliana (AT1G63270) UniProtKB/Swiss-Prot;Acc:Q9C8T1] MPIKPPHPRILLNNVSCMRNAQAVLRNINVSVHDGSALVLTGANGSGKTTFLRMLAGFSRPSAGEILWNGHDITSAGVFQQYRLQLNWLSLKDAIKDKLTVLDNVQFFEVLEGKSGKAKGALELMGLGRLVNEKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDDEGVQLLEYIIAEHRKQGGIVFVATHLPIHIEDAMSLRLPQRFPRRKTMVDLLD >DRNTG_29416.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1849199:1850626:1 gene:DRNTG_29416 transcript:DRNTG_29416.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 1 [Source:Projected from Arabidopsis thaliana (AT1G63270) UniProtKB/Swiss-Prot;Acc:Q9C8T1] MPIKPPHPRILLNNVSCMRNAQAVLRNINVSVHDGSALVLTGANGSGKTTFLRMLAGFSRPSAGEILWNGHDITSAGVFQQYRLQLNWLSLKDAIKDKLTVLDNVQFFEVLEGKSGKAKGALELMGLGRLVNEKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDDEGVQLLEYIIAEHRKQGGIVFVATHLPIHIEDAMSLRLPQRFPRRKTMVDLLD >DRNTG_03984.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25596621:25599327:1 gene:DRNTG_03984 transcript:DRNTG_03984.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTYNNNTHTDHHLTCSSSSSSSLVDEPKESPIEQVRHTVPTTDDPMMPVLTFRTWVLGISASLVINLTITFFGFRQNPMVMSTTLTQILMLPIGRIMASTLPARQVKIPWVGFSFSLNPGPFNIKEHVLADTLSVGGGYPFSLHIVTLKKVFFEQNINIIPSFMMIISTQLLGYGIAGLFNKLLVQSPYMWWPTTLSTVSMFRALHETEEVKLKGKSSKFQLLIMAGISSFAYYIIPNFFFPSLTALSMVCWIWKRSITAQQIGSGLHGLGLGSIAFDWNAISGFLGSPMSLPLFTIMNTMAGFVLMLYIIVPISYWSNLFESKRFPIFSSDVFDYDGQNYNVSRVLNPITNQLDVEAYNNYSKLYQSITYVLKSGFGFAAAVATLTHVALFYGRSLWQQFIHAYKNEEGDVHNHLMRKYKAIPMWWYCVMVAISIGLGFAICERLWQ >DRNTG_31759.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10496149:10496864:-1 gene:DRNTG_31759 transcript:DRNTG_31759.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKSVRIGHHNTRRAPRRRRPGRWREGDSKGRKLEA >DRNTG_27661.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8511645:8514962:-1 gene:DRNTG_27661 transcript:DRNTG_27661.10 gene_biotype:protein_coding transcript_biotype:protein_coding MHEPTFSSLNFQLLLHHHHLPMAFPLLLLLFLFSFLSQTTVSLPTSTFNDEVLTLILLKTSLQDPLSSLSSWNDDDQLPCTWLHVQCDPSTSKVISLSLDSLSLSGHLPHGLQSLQSLHSLSLSHNNFSGDLPSHLSLLPSLTSLNLSHNRFSGRIPDTFNNIFRLRSLDLSSNSISGPIPESLFSGGLCSSLRYLSLSDNLLEGSLPITLPQCDFLLHLNVSGNHLSGSPDGVWKLTRLRVLDISDNSFSGAVPEIISNVHNLKTLRLRKNGFSGEIPQSIGMCQHLSMLDLADNSFSGQIPNSLGFLSSLSYFSLMNNKIADSFPYWIGNLSLLKHLDLSNNEFTGSIPESLGSLKSLNYLSFSENMLTGFIPASLGGCSELVELRLRANKLNGSIPQGIFNLGLQLLDLSSNRLTGLIPPTSTKFSESLQLLDLSDNELFGSIPEDMALYFNLRYLNLSSNALRTRLPPELGSFRNLSVLDLRNSGIYGNVPGDLCDSSSLSVLQLDGNFLVGSIPAEIGKCSSLYLLSLSHNGFTGSIPVEISKLMKLKILNLEFNNLTGEIPQQLGTLENLLAVNISHNRLVGRLPAGGVFQSLDKSAMDGNLGICSPLLSEPCKMNVPKPLVLNPNAYPQGNGGSGDVSEPAPIPKSKHRQFLSISAIIAILAALFIVLGVLVVTLLNISARRRIGLLENALESICSSSTKSSGPAVGKTVIFTPRTNRKTEDMVVGAETLLAKATEISRGVFGTMYKAPIGEGRMVAIKKLITSNIIQFNDDFDREVRILAKANHLNVLPLKGYYWTPQLQLLIFDYIQNGSLHTWLHERSETMPQLSWPNRFKITIGTAKGLAYLHQSFRPPIIHYNIKSSNILLEEDFNPKIADFGLARLLPKFDKHVISSRFQSGMGYMAPELACQSLRINEKCDVYGFGVLILELVTGRKAVEYGEDDVVILIDQVRSLLEQGNALDCVDQSMGEFPEEEVLPVMKLGLVCTSQIPSSRPSMAEVVQILQVIKTPVLD >DRNTG_27661.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8511561:8514962:-1 gene:DRNTG_27661 transcript:DRNTG_27661.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHEPTFSSLNFQLLLHHHHLPMAFPLLLLLFLFSFLSQTTVSLPTSTFNDEVLTLILLKTSLQDPLSSLSSWNDDDQLPCTWLHVQCDPSTSKVISLSLDSLSLSGHLPHGLQSLQSLHSLSLSHNNFSGDLPSHLSLLPSLTSLNLSHNRFSGRIPDTFNNIFRLRSLDLSSNSISGPIPESLFSGGLCSSLRYLSLSDNLLEGSLPITLPQCDFLLHLNVSGNHLSGSPDGVWKLTRLRVLDISDNSFSGAVPEIISNVHNLKTLRLRKNGFSGEIPQSIGMCQHLSMLDLADNSFSGQIPNSLGFLSSLSYFSLMNNKIADSFPYWIGNLSLLKHLDLSNNEFTGSIPESLGSLKSLNYLSFSENMLTGFIPASLGGCSELVELRLRANKLNGSIPQGIFNLGLQLLDLSSNRLTGLIPPTSTKFSESLQLLDLSDNELFGSIPEDMALYFNLRYLNLSSNALRTRLPPELGSFRNLSVLDLRNSGIYGNVPGDLCDSSSLSVLQLDGNFLVGSIPAEIGKCSSLYLLSLSHNGFTGSIPVEISKLMKLKILNLEFNNLTGEIPQQLGTLENLLAVNISHNRLVGRLPAGGVFQSLDKSAMDGNLGICSPLLSEPCKMNVPKPLVLNPNAYPQGNGGSGDVSEPAPIPKSKHRQFLSISAIIAILAALFIVLGVLVVTLLNISARRRIGLLENALESICSSSTKSSGPAVGKTVIFTPRTNRKTEDMVVGAETLLAKATEISRGVFGTMYKAPIGEGRMVAIKKLITSNIIQFNDDFDREVRILAKANHLNVLPLKGYYWTPQLQLLIFDYIQNGSLHTWLHERSETMPQLSWPNRFKITIGTAKGLAYLHQSFRPPIIHYNIKSSNILLEEDFNPKIADFGLARLLPKFDKHVISSRFQSGMGYMAPELACQSLRINEKCDVYGFGVLILELVTGRKAVEYGEDDVVILIDQVRSLLEQGNALDCVDQSMGEFPEEEVLPVMKLGLVCTSQIPSSRPSMAEVVQILQVIKTPVLD >DRNTG_27661.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8511386:8514895:-1 gene:DRNTG_27661 transcript:DRNTG_27661.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHEPTFSSLNFQLLLHHHHLPMAFPLLLLLFLFSFLSQTTVSLPTSTFNDEVLTLILLKTSLQDPLSSLSSWNDDDQLPCTWLHVQCDPSTSKVISLSLDSLSLSGHLPHGLQSLQSLHSLSLSHNNFSGDLPSHLSLLPSLTSLNLSHNRFSGRIPDTFNNIFRLRSLDLSSNSISGPIPESLFSGGLCSSLRYLSLSDNLLEGSLPITLPQCDFLLHLNVSGNHLSGSPDGVWKLTRLRVLDISDNSFSGAVPEIISNVHNLKTLRLRKNGFSGEIPQSIGMCQHLSMLDLADNSFSGQIPNSLGFLSSLSYFSLMNNKIADSFPYWIGNLSLLKHLDLSNNEFTGSIPESLGSLKSLNYLSFSENMLTGFIPASLGGCSELVELRLRANKLNGSIPQGIFNLGLQLLDLSSNRLTGLIPPTSTKFSESLQLLDLSDNELFGSIPEDMALYFNLRYLNLSSNALRTRLPPELGSFRNLSVLDLRNSGIYGNVPGDLCDSSSLSVLQLDGNFLVGSIPAEIGKCSSLYLLSLSHNGFTGSIPVEISKLMKLKILNLEFNNLTGEIPQQLGTLENLLAVNISHNRLVGRLPAGGVFQSLDKSAMDGNLGICSPLLSEPCKMNVPKPLVLNPNAYPQGNGGSGDVSEPAPIPKSKHRQFLSISAIIAILAALFIVLGVLVVTLLNISARRRIGLLENALESICSSSTKSSGPAVGKTVIFTPRTNRKTEDMVVGAETLLAKATEISRGVFGTMYKAPIGEGRMVAIKKLITSNIIQFNDDFDREVRILAKANHLNVLPLKGYYWTPQLQLLIFDYIQNGSLHTWLHERSETMPQLSWPNRFKITIGTAKGLAYLHQSFRPPIIHYNIKSSNILLEEDFNPKIADFGLARLLPKFDKHVISSRFQSGMGYMAPELACQSLRINEKCDVYGFGVLILELVTGRKAVEYGEDDVVILIDQVRSLLEQGNALDCVDQSMGEFPEEEVLPVMKLGLVCTSQIPSSRPSMAEVVQILQVIKTPVLD >DRNTG_27661.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8511675:8514895:-1 gene:DRNTG_27661 transcript:DRNTG_27661.13 gene_biotype:protein_coding transcript_biotype:protein_coding MHEPTFSSLNFQLLLHHHHLPMAFPLLLLLFLFSFLSQTTVSLPTSTFNDEVLTLILLKTSLQDPLSSLSSWNDDDQLPCTWLHVQCDPSTSKVISLSLDSLSLSGHLPHGLQSLQSLHSLSLSHNNFSGDLPSHLSLLPSLTSLNLSHNRFSGRIPDTFNNIFRLRSLDLSSNSISGPIPESLFSGGLCSSLRYLSLSDNLLEGSLPITLPQCDFLLHLNVSGNHLSGSPDGVWKLTRLRVLDISDNSFSGAVPEIISNVHNLKTLRLRKNGFSGEIPQSIGMCQHLSMLDLADNSFSGQIPNSLGFLSSLSYFSLMNNKIADSFPYWIGNLSLLKHLDLSNNEFTGSIPESLGSLKSLNYLSFSENMLTGFIPASLGGCSELVELRLRANKLNGSIPQGIFNLGLQLLDLSSNRLTGLIPPTSTKFSESLQLLDLSDNELFGSIPEDMALYFNLRYLNLSSNALRTRLPPELGSFRNLSVLDLRNSGIYGNVPGDLCDSSSLSVLQLDGNFLVGSIPAEIGKCSSLYLLSLSHNGFTGSIPVEISKLMKLKILNLEFNNLTGEIPQQLGTLENLLAVNISHNRLVGRLPAGGVFQSLDKSAMDGNLGICSPLLSEPCKMNVPKPLVLNPNAYPQGNGGSGDVSEPAPIPKSKHRQFLSISAIIAILAALFIVLGVLVVTLLNISARRRIGLLENALESICSSSTKSSGPAVGKTVIFTPRTNRKTEDMVVGAETLLAKATEISRGVFGTMYKAPIGEGRMVAIKKLITSNIIQFNDDFDREVRILAKANHLNVLPLKGYYWTPQLQLLIFDYIQNGSLHTWLHERSETMPQLSWPNRFKITIGTAKGLAYLHQSFRPPIIHYNIKSSNILLEEDFNPKIADFGLARLLPKFDKHVISSRFQSGMGYMAPELACQSLRINEKCDVYGFGVLILELVTGRKAVEYGEDDVVILIDQVRSLLEQGNALDCVDQSMGEFPEEEVLPVMKLGLVCTSQIPSSRPSMAEVVQILQVIKTPVLD >DRNTG_27661.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8511675:8514962:-1 gene:DRNTG_27661 transcript:DRNTG_27661.11 gene_biotype:protein_coding transcript_biotype:protein_coding MHEPTFSSLNFQLLLHHHHLPMAFPLLLLLFLFSFLSQTTVSLPTSTFNDEVLTLILLKTSLQDPLSSLSSWNDDDQLPCTWLHVQCDPSTSKVISLSLDSLSLSGHLPHGLQSLQSLHSLSLSHNNFSGDLPSHLSLLPSLTSLNLSHNRFSGRIPDTFNNIFRLRSLDLSSNSISGPIPESLFSGGLCSSLRYLSLSDNLLEGSLPITLPQCDFLLHLNVSGNHLSGSPDGVWKLTRLRVLDISDNSFSGAVPEIISNVHNLKTLRLRKNGFSGEIPQSIGMCQHLSMLDLADNSFSGQIPNSLGFLSSLSYFSLMNNKIADSFPYWIGNLSLLKHLDLSNNEFTGSIPESLGSLKSLNYLSFSENMLTGFIPASLGGCSELVELRLRANKLNGSIPQGIFNLGLQLLDLSSNRLTGLIPPTSTKFSESLQLLDLSDNELFGSIPEDMALYFNLRYLNLSSNALRTRLPPELGSFRNLSVLDLRNSGIYGNVPGDLCDSSSLSVLQLDGNFLVGSIPAEIGKCSSLYLLSLSHNGFTGSIPVEISKLMKLKILNLEFNNLTGEIPQQLGTLENLLAVNISHNRLVGRLPAGGVFQSLDKSAMDGNLGICSPLLSEPCKMNVPKPLVLNPNAYPQGNGGSGDVSEPAPIPKSKHRQFLSISAIIAILAALFIVLGVLVVTLLNISARRRIGLLENALESICSSSTKSSGPAVGKTVIFTPRTNRKTEDMVVGAETLLAKATEISRGVFGTMYKAPIGEGRMVAIKKLITSNIIQFNDDFDREVRILAKANHLNVLPLKGYYWTPQLQLLIFDYIQNGSLHTWLHERSETMPQLSWPNRFKITIGTAKGLAYLHQSFRPPIIHYNIKSSNILLEEDFNPKIADFGLARLLPKFDKHVISSRFQSGMGYMAPELACQSLRINEKCDVYGFGVLILELVTGRKAVEYGEDDVVILIDQVRSLLEQGNALDCVDQSMGEFPEEEVLPVMKLGLVCTSQIPSSRPSMAEVVQILQVIKTPVLD >DRNTG_27661.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8511561:8514895:-1 gene:DRNTG_27661 transcript:DRNTG_27661.8 gene_biotype:protein_coding transcript_biotype:protein_coding MHEPTFSSLNFQLLLHHHHLPMAFPLLLLLFLFSFLSQTTVSLPTSTFNDEVLTLILLKTSLQDPLSSLSSWNDDDQLPCTWLHVQCDPSTSKVISLSLDSLSLSGHLPHGLQSLQSLHSLSLSHNNFSGDLPSHLSLLPSLTSLNLSHNRFSGRIPDTFNNIFRLRSLDLSSNSISGPIPESLFSGGLCSSLRYLSLSDNLLEGSLPITLPQCDFLLHLNVSGNHLSGSPDGVWKLTRLRVLDISDNSFSGAVPEIISNVHNLKTLRLRKNGFSGEIPQSIGMCQHLSMLDLADNSFSGQIPNSLGFLSSLSYFSLMNNKIADSFPYWIGNLSLLKHLDLSNNEFTGSIPESLGSLKSLNYLSFSENMLTGFIPASLGGCSELVELRLRANKLNGSIPQGIFNLGLQLLDLSSNRLTGLIPPTSTKFSESLQLLDLSDNELFGSIPEDMALYFNLRYLNLSSNALRTRLPPELGSFRNLSVLDLRNSGIYGNVPGDLCDSSSLSVLQLDGNFLVGSIPAEIGKCSSLYLLSLSHNGFTGSIPVEISKLMKLKILNLEFNNLTGEIPQQLGTLENLLAVNISHNRLVGRLPAGGVFQSLDKSAMDGNLGICSPLLSEPCKMNVPKPLVLNPNAYPQGNGGSGDVSEPAPIPKSKHRQFLSISAIIAILAALFIVLGVLVVTLLNISARRRIGLLENALESICSSSTKSSGPAVGKTVIFTPRTNRKTEDMVVGAETLLAKATEISRGVFGTMYKAPIGEGRMVAIKKLITSNIIQFNDDFDREVRILAKANHLNVLPLKGYYWTPQLQLLIFDYIQNGSLHTWLHERSETMPQLSWPNRFKITIGTAKGLAYLHQSFRPPIIHYNIKSSNILLEEDFNPKIADFGLARLLPKFDKHVISSRFQSGMGYMAPELACQSLRINEKCDVYGFGVLILELVTGRKAVEYGEDDVVILIDQVRSLLEQGNALDCVDQSMGEFPEEEVLPVMKLGLVCTSQIPSSRPSMAEVVQILQVIKTPVLD >DRNTG_27661.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8511561:8515182:-1 gene:DRNTG_27661 transcript:DRNTG_27661.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHEPTFSSLNFQLLLHHHHLPMAFPLLLLLFLFSFLSQTTVSLPTSTFNDEVLTLILLKTSLQDPLSSLSSWNDDDQLPCTWLHVQCDPSTSKVISLSLDSLSLSGHLPHGLQSLQSLHSLSLSHNNFSGDLPSHLSLLPSLTSLNLSHNRFSGRIPDTFNNIFRLRSLDLSSNSISGPIPESLFSGGLCSSLRYLSLSDNLLEGSLPITLPQCDFLLHLNVSGNHLSGSPDGVWKLTRLRVLDISDNSFSGAVPEIISNVHNLKTLRLRKNGFSGEIPQSIGMCQHLSMLDLADNSFSGQIPNSLGFLSSLSYFSLMNNKIADSFPYWIGNLSLLKHLDLSNNEFTGSIPESLGSLKSLNYLSFSENMLTGFIPASLGGCSELVELRLRANKLNGSIPQGIFNLGLQLLDLSSNRLTGLIPPTSTKFSESLQLLDLSDNELFGSIPEDMALYFNLRYLNLSSNALRTRLPPELGSFRNLSVLDLRNSGIYGNVPGDLCDSSSLSVLQLDGNFLVGSIPAEIGKCSSLYLLSLSHNGFTGSIPVEISKLMKLKILNLEFNNLTGEIPQQLGTLENLLAVNISHNRLVGRLPAGGVFQSLDKSAMDGNLGICSPLLSEPCKMNVPKPLVLNPNAYPQGNGGSGDVSEPAPIPKSKHRQFLSISAIIAILAALFIVLGVLVVTLLNISARRRIGLLENALESICSSSTKSSGPAVGKTVIFTPRTNRKTEDMVVGAETLLAKATEISRGVFGTMYKAPIGEGRMVAIKKLITSNIIQFNDDFDREVRILAKANHLNVLPLKGYYWTPQLQLLIFDYIQNGSLHTWLHERSETMPQLSWPNRFKITIGTAKGLAYLHQSFRPPIIHYNIKSSNILLEEDFNPKIADFGLARLLPKFDKHVISSRFQSGMGYMAPELACQSLRINEKCDVYGFGVLILELVTGRKAVEYGEDDVVILIDQVRSLLEQGNALDCVDQSMGEFPEEEVLPVMKLGLVCTSQIPSSRPSMAEVVQILQVIKTPVLD >DRNTG_27661.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8511486:8514962:-1 gene:DRNTG_27661 transcript:DRNTG_27661.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHEPTFSSLNFQLLLHHHHLPMAFPLLLLLFLFSFLSQTTVSLPTSTFNDEVLTLILLKTSLQDPLSSLSSWNDDDQLPCTWLHVQCDPSTSKVISLSLDSLSLSGHLPHGLQSLQSLHSLSLSHNNFSGDLPSHLSLLPSLTSLNLSHNRFSGRIPDTFNNIFRLRSLDLSSNSISGPIPESLFSGGLCSSLRYLSLSDNLLEGSLPITLPQCDFLLHLNVSGNHLSGSPDGVWKLTRLRVLDISDNSFSGAVPEIISNVHNLKTLRLRKNGFSGEIPQSIGMCQHLSMLDLADNSFSGQIPNSLGFLSSLSYFSLMNNKIADSFPYWIGNLSLLKHLDLSNNEFTGSIPESLGSLKSLNYLSFSENMLTGFIPASLGGCSELVELRLRANKLNGSIPQGIFNLGLQLLDLSSNRLTGLIPPTSTKFSESLQLLDLSDNELFGSIPEDMALYFNLRYLNLSSNALRTRLPPELGSFRNLSVLDLRNSGIYGNVPGDLCDSSSLSVLQLDGNFLVGSIPAEIGKCSSLYLLSLSHNGFTGSIPVEISKLMKLKILNLEFNNLTGEIPQQLGTLENLLAVNISHNRLVGRLPAGGVFQSLDKSAMDGNLGICSPLLSEPCKMNVPKPLVLNPNAYPQGNGGSGDVSEPAPIPKSKHRQFLSISAIIAILAALFIVLGVLVVTLLNISARRRIGLLENALESICSSSTKSSGPAVGKTVIFTPRTNRKTEDMVVGAETLLAKATEISRGVFGTMYKAPIGEGRMVAIKKLITSNIIQFNDDFDREVRILAKANHLNVLPLKGYYWTPQLQLLIFDYIQNGSLHTWLHERSETMPQLSWPNRFKITIGTAKGLAYLHQSFRPPIIHYNIKSSNILLEEDFNPKIADFGLARLLPKFDKHVISSRFQSGMGYMAPELACQSLRINEKCDVYGFGVLILELVTGRKAVEYGEDDVVILIDQVRSLLEQGNALDCVDQSMGEFPEEEVLPVMKLGLVCTSQIPSSRPSMAEVVQILQVIKTPVLD >DRNTG_27661.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8511645:8514895:-1 gene:DRNTG_27661 transcript:DRNTG_27661.12 gene_biotype:protein_coding transcript_biotype:protein_coding MHEPTFSSLNFQLLLHHHHLPMAFPLLLLLFLFSFLSQTTVSLPTSTFNDEVLTLILLKTSLQDPLSSLSSWNDDDQLPCTWLHVQCDPSTSKVISLSLDSLSLSGHLPHGLQSLQSLHSLSLSHNNFSGDLPSHLSLLPSLTSLNLSHNRFSGRIPDTFNNIFRLRSLDLSSNSISGPIPESLFSGGLCSSLRYLSLSDNLLEGSLPITLPQCDFLLHLNVSGNHLSGSPDGVWKLTRLRVLDISDNSFSGAVPEIISNVHNLKTLRLRKNGFSGEIPQSIGMCQHLSMLDLADNSFSGQIPNSLGFLSSLSYFSLMNNKIADSFPYWIGNLSLLKHLDLSNNEFTGSIPESLGSLKSLNYLSFSENMLTGFIPASLGGCSELVELRLRANKLNGSIPQGIFNLGLQLLDLSSNRLTGLIPPTSTKFSESLQLLDLSDNELFGSIPEDMALYFNLRYLNLSSNALRTRLPPELGSFRNLSVLDLRNSGIYGNVPGDLCDSSSLSVLQLDGNFLVGSIPAEIGKCSSLYLLSLSHNGFTGSIPVEISKLMKLKILNLEFNNLTGEIPQQLGTLENLLAVNISHNRLVGRLPAGGVFQSLDKSAMDGNLGICSPLLSEPCKMNVPKPLVLNPNAYPQGNGGSGDVSEPAPIPKSKHRQFLSISAIIAILAALFIVLGVLVVTLLNISARRRIGLLENALESICSSSTKSSGPAVGKTVIFTPRTNRKTEDMVVGAETLLAKATEISRGVFGTMYKAPIGEGRMVAIKKLITSNIIQFNDDFDREVRILAKANHLNVLPLKGYYWTPQLQLLIFDYIQNGSLHTWLHERSETMPQLSWPNRFKITIGTAKGLAYLHQSFRPPIIHYNIKSSNILLEEDFNPKIADFGLARLLPKFDKHVISSRFQSGMGYMAPELACQSLRINEKCDVYGFGVLILELVTGRKAVEYGEDDVVILIDQVRSLLEQGNALDCVDQSMGEFPEEEVLPVMKLGLVCTSQIPSSRPSMAEVVQILQVIKTPVLD >DRNTG_27661.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8511675:8515182:-1 gene:DRNTG_27661 transcript:DRNTG_27661.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHEPTFSSLNFQLLLHHHHLPMAFPLLLLLFLFSFLSQTTVSLPTSTFNDEVLTLILLKTSLQDPLSSLSSWNDDDQLPCTWLHVQCDPSTSKVISLSLDSLSLSGHLPHGLQSLQSLHSLSLSHNNFSGDLPSHLSLLPSLTSLNLSHNRFSGRIPDTFNNIFRLRSLDLSSNSISGPIPESLFSGGLCSSLRYLSLSDNLLEGSLPITLPQCDFLLHLNVSGNHLSGSPDGVWKLTRLRVLDISDNSFSGAVPEIISNVHNLKTLRLRKNGFSGEIPQSIGMCQHLSMLDLADNSFSGQIPNSLGFLSSLSYFSLMNNKIADSFPYWIGNLSLLKHLDLSNNEFTGSIPESLGSLKSLNYLSFSENMLTGFIPASLGGCSELVELRLRANKLNGSIPQGIFNLGLQLLDLSSNRLTGLIPPTSTKFSESLQLLDLSDNELFGSIPEDMALYFNLRYLNLSSNALRTRLPPELGSFRNLSVLDLRNSGIYGNVPGDLCDSSSLSVLQLDGNFLVGSIPAEIGKCSSLYLLSLSHNGFTGSIPVEISKLMKLKILNLEFNNLTGEIPQQLGTLENLLAVNISHNRLVGRLPAGGVFQSLDKSAMDGNLGICSPLLSEPCKMNVPKPLVLNPNAYPQGNGGSGDVSEPAPIPKSKHRQFLSISAIIAILAALFIVLGVLVVTLLNISARRRIGLLENALESICSSSTKSSGPAVGKTVIFTPRTNRKTEDMVVGAETLLAKATEISRGVFGTMYKAPIGEGRMVAIKKLITSNIIQFNDDFDREVRILAKANHLNVLPLKGYYWTPQLQLLIFDYIQNGSLHTWLHERSETMPQLSWPNRFKITIGTAKGLAYLHQSFRPPIIHYNIKSSNILLEEDFNPKIADFGLARLLPKFDKHVISSRFQSGMGYMAPELACQSLRINEKCDVYGFGVLILELVTGRKAVEYGEDDVVILIDQVRSLLEQGNALDCVDQSMGEFPEEEVLPVMKLGLVCTSQIPSSRPSMAEVVQILQVIKTPVLD >DRNTG_27661.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8511561:8514895:-1 gene:DRNTG_27661 transcript:DRNTG_27661.9 gene_biotype:protein_coding transcript_biotype:protein_coding MHEPTFSSLNFQLLLHHHHLPMAFPLLLLLFLFSFLSQTTVSLPTSTFNDEVLTLILLKTSLQDPLSSLSSWNDDDQLPCTWLHVQCDPSTSKVISLSLDSLSLSGHLPHGLQSLQSLHSLSLSHNNFSGDLPSHLSLLPSLTSLNLSHNRFSGRIPDTFNNIFRLRSLDLSSNSISGPIPESLFSGGLCSSLRYLSLSDNLLEGSLPITLPQCDFLLHLNVSGNHLSGSPDGVWKLTRLRVLDISDNSFSGAVPEIISNVHNLKTLRLRKNGFSGEIPQSIGMCQHLSMLDLADNSFSGQIPNSLGFLSSLSYFSLMNNKIADSFPYWIGNLSLLKHLDLSNNEFTGSIPESLGSLKSLNYLSFSENMLTGFIPASLGGCSELVELRLRANKLNGSIPQGIFNLGLQLLDLSSNRLTGLIPPTSTKFSESLQLLDLSDNELFGSIPEDMALYFNLRYLNLSSNALRTRLPPELGSFRNLSVLDLRNSGIYGNVPGDLCDSSSLSVLQLDGNFLVGSIPAEIGKCSSLYLL >DRNTG_27661.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8511486:8514895:-1 gene:DRNTG_27661 transcript:DRNTG_27661.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHEPTFSSLNFQLLLHHHHLPMAFPLLLLLFLFSFLSQTTVSLPTSTFNDEVLTLILLKTSLQDPLSSLSSWNDDDQLPCTWLHVQCDPSTSKVISLSLDSLSLSGHLPHGLQSLQSLHSLSLSHNNFSGDLPSHLSLLPSLTSLNLSHNRFSGRIPDTFNNIFRLRSLDLSSNSISGPIPESLFSGGLCSSLRYLSLSDNLLEGSLPITLPQCDFLLHLNVSGNHLSGSPDGVWKLTRLRVLDISDNSFSGAVPEIISNVHNLKTLRLRKNGFSGEIPQSIGMCQHLSMLDLADNSFSGQIPNSLGFLSSLSYFSLMNNKIADSFPYWIGNLSLLKHLDLSNNEFTGSIPESLGSLKSLNYLSFSENMLTGFIPASLGGCSELVELRLRANKLNGSIPQGIFNLGLQLLDLSSNRLTGLIPPTSTKFSESLQLLDLSDNELFGSIPEDMALYFNLRYLNLSSNALRTRLPPELGSFRNLSVLDLRNSGIYGNVPGDLCDSSSLSVLQLDGNFLVGSIPAEIGKCSSLYLLSLSHNGFTGSIPVEISKLMKLKILNLEFNNLTGEIPQQLGTLENLLAVNISHNRLVGRLPAGGVFQSLDKSAMDGNLGICSPLLSEPCKMNVPKPLVLNPNAYPQGNGGSGDVSEPAPIPKSKHRQFLSISAIIAILAALFIVLGVLVVTLLNISARRRIGLLENALESICSSSTKSSGPAVGKTVIFTPRTNRKTEDMVVGAETLLAKATEISRGVFGTMYKAPIGEGRMVAIKKLITSNIIQFNDDFDREVRILAKANHLNVLPLKGYYWTPQLQLLIFDYIQNGSLHTWLHERSETMPQLSWPNRFKITIGTAKGLAYLHQSFRPPIIHYNIKSSNILLEEDFNPKIADFGLARLLPKFDKHVISSRFQSGMGYMAPELACQSLRINEKCDVYGFGVLILELVTGRKAVEYGEDDVVILIDQVRSLLEQGNALDCVDQSMGEFPEEEVLPVMKLGLVCTSQIPSSRPSMAEVVQILQVIKTPVLD >DRNTG_27661.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8511486:8515182:-1 gene:DRNTG_27661 transcript:DRNTG_27661.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEPTFSSLNFQLLLHHHHLPMAFPLLLLLFLFSFLSQTTVSLPTSTFNDEVLTLILLKTSLQDPLSSLSSWNDDDQLPCTWLHVQCDPSTSKVISLSLDSLSLSGHLPHGLQSLQSLHSLSLSHNNFSGDLPSHLSLLPSLTSLNLSHNRFSGRIPDTFNNIFRLRSLDLSSNSISGPIPESLFSGGLCSSLRYLSLSDNLLEGSLPITLPQCDFLLHLNVSGNHLSGSPDGVWKLTRLRVLDISDNSFSGAVPEIISNVHNLKTLRLRKNGFSGEIPQSIGMCQHLSMLDLADNSFSGQIPNSLGFLSSLSYFSLMNNKIADSFPYWIGNLSLLKHLDLSNNEFTGSIPESLGSLKSLNYLSFSENMLTGFIPASLGGCSELVELRLRANKLNGSIPQGIFNLGLQLLDLSSNRLTGLIPPTSTKFSESLQLLDLSDNELFGSIPEDMALYFNLRYLNLSSNALRTRLPPELGSFRNLSVLDLRNSGIYGNVPGDLCDSSSLSVLQLDGNFLVGSIPAEIGKCSSLYLLSLSHNGFTGSIPVEISKLMKLKILNLEFNNLTGEIPQQLGTLENLLAVNISHNRLVGRLPAGGVFQSLDKSAMDGNLGICSPLLSEPCKMNVPKPLVLNPNAYPQGNGGSGDVSEPAPIPKSKHRQFLSISAIIAILAALFIVLGVLVVTLLNISARRRIGLLENALESICSSSTKSSGPAVGKTVIFTPRTNRKTEDMVVGAETLLAKATEISRGVFGTMYKAPIGEGRMVAIKKLITSNIIQFNDDFDREVRILAKANHLNVLPLKGYYWTPQLQLLIFDYIQNGSLHTWLHERSETMPQLSWPNRFKITIGTAKGLAYLHQSFRPPIIHYNIKSSNILLEEDFNPKIADFGLARLLPKFDKHVISSRFQSGMGYMAPELACQSLRINEKCDVYGFGVLILELVTGRKAVEYGEDDVVILIDQVRSLLEQGNALDCVDQSMGEFPEEEVLPVMKLGLVCTSQIPSSRPSMAEVVQILQVIKTPVLD >DRNTG_19971.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:779654:780571:-1 gene:DRNTG_19971 transcript:DRNTG_19971.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of telomere elongation helicase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79950) UniProtKB/Swiss-Prot;Acc:F4HQE2] MSRTLNWWCFNPGLAMEEFVRMGVRSIILTSGTLSPLDTFALELNLEFPVRLENPHVISPSQIWVGVVPSGPSSYTFNSSYRNRDSLDYKQELGNAIVNFARIVPDGLLVFFPSYYLMDQCLDCWKNMNHASSTDFKTIWERICKNKKPVIEPKQSALFQHAIEVLTVNRFKSLS >DRNTG_19971.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:772848:779242:-1 gene:DRNTG_19971 transcript:DRNTG_19971.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of telomere elongation helicase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79950) UniProtKB/Swiss-Prot;Acc:F4HQE2] MPFSMKTDPKIRLKRDYLDQHALSQKGHSKVLTGEEWYVQQATRAVNQAVGRVIRHRHDYGAIIFCDERFTRQSHQCQMSYWLRPYVQCYNKFGDVAFGLTKFFRDKVSSSLVKPKTTDRISALEITESSDDDLICVSDQPKLQTEVQDKVTLPLDKLLLPKLPHSLTSTVDHSSRKPLSSVLALSRGSTHCQTDKFVPANRSHLSCKKDLMSGQTKEQAFNENRPEVGHVKYLCKQDSEMVALSNDLPCQLRSGDAAPVSFKKAKLSETGVDATRYEDDASLKSLYKTNLSSLPQNSCVGSASIHSKPILKLPSCRPAEVGTYDKERKTLHAQAGSSGISNVEIVGKHSSDKSNKESCTSAPCGREDARGAAFLVQVQQKLSVEEYTEFVDLMKALKSKTMKVMPVLESIARLFSAPGRFFLLERFKDFVPPKYRPLYEQHIRSNCAADAK >DRNTG_19971.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:777051:779242:-1 gene:DRNTG_19971 transcript:DRNTG_19971.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of telomere elongation helicase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79950) UniProtKB/Swiss-Prot;Acc:F4HQE2] MPFSMKTDPKIRLKRDYLDQHALSQKGHSKVLTGEEWYVQQATRAVNQAVGRVIRHRHDYGAIIFCDERFVFSILLKILSMVNLRKSHDFVLLTSDAFFFYNDSKIDSGKI >DRNTG_19971.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:772848:774089:-1 gene:DRNTG_19971 transcript:DRNTG_19971.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of telomere elongation helicase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79950) UniProtKB/Swiss-Prot;Acc:F4HQE2] MYLWSLTSLQVQQKLSVEEYTEFVDLMKALKSKTMKVMPVLESIARLFSAPGRFFLLERFKDFVPPKYRPLYEQHIRSNCAADAK >DRNTG_19971.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:782773:783380:-1 gene:DRNTG_19971 transcript:DRNTG_19971.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of telomere elongation helicase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79950) UniProtKB/Swiss-Prot;Acc:F4HQE2] MPIYKIRGIDVEFPFEAYDCQIVYMEKVIQSLQENCNALLESPTGTGKTLCLLCASLAWRRSLGEFSTTVSEEKGCSSESQRSGSQSMERPRSHLPVIVYTSRTHSQLKQVIRELKASNYR >DRNTG_19971.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:772423:780571:-1 gene:DRNTG_19971 transcript:DRNTG_19971.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of telomere elongation helicase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79950) UniProtKB/Swiss-Prot;Acc:F4HQE2] MSRTLNWWCFNPGLAMEEFVRMGVRSIILTSGTLSPLDTFALELNLEFPVRLENPHVISPSQIWVGVVPSGPSSYTFNSSYRNRDSLDYKQELGNAIVNFARIVPDGLLVFFPSYYLMDQCLDCWKNMNHASSTDFKTIWERICKNKKPVIEPKQSALFQHAIEDFEAKLRDRSNSGAIFFAVCRGKVSEGLDFADHAGRAVVITGMPFSMKTDPKIRLKRDYLDQHALSQKGHSKVLTGEEWYVQQATRAVNQAVGRVIRHRHDYGAIIFCDERFTRQSHQCQMSYWLRPYVQCYNKFGDVAFGLTKFFRDKVSSSLVKPKTTDRISALEITESSDDDLICVSDQPKLQTEVQDKVTLPLDKLLLPKLPHSLTSTVDHSSRKPLSSVLALSRGSTHCQTDKFVPANRSHLSCKKDLMSGQTKEQAFNENRPEVGHVKYLCKQDSEMVALSNDLPCQLRSGDAAPVSFKKAKLSETGVDATRYEDDASLKSLYKTNLSSLPQNSCVGSASIHSKPILKLPSCRPAEVGTYDKERKTLHAQAGSSGISNVEIVGKHSSDKSNKESCTSAPCGREDARGAAFLVQVQQKLSVEEYTEFVDLMKALKSKTMKVMPVLESIARLFSAPGRFFLLERFKDFVPPKYRPLYEQHIRSNCAADAK >DRNTG_19971.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:772423:781001:-1 gene:DRNTG_19971 transcript:DRNTG_19971.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of telomere elongation helicase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79950) UniProtKB/Swiss-Prot;Acc:F4HQE2] MSRTLNWWCFNPGLAMEEFVRMGVRSIILTSGTLSPLDTFALELNLEFPVRLENPHVISPSQIWVGVVPSGPSSYTFNSSYRNRDSLDYKQELGNAIVNFARIVPDGLLVFFPSYYLMDQCLDCWKNMNHASSTDFKTIWERICKNKKPVIEPKQSALFQHAIEDFEAKLRDRSNSGAIFFAVCRGKVSEGLDFADHAGRAVVITGMPFSMKTDPKIRLKRDYLDQHALSQKGHSKVLTGEEWYVQQATRAVNQAVGRVIRHRHDYGAIIFCDERFTRQSHQCQMSYWLRPYVQCYNKFGDVAFGLTKFFRDKVSSSLVKPKTTDRISALEITESSDDDLICVSDQPKLQTEVQDKVTLPLDKLLLPKLPHSLTSTVDHSSRKPLSSVLALSRGSTHCQTDKFVPANRSHLSCKKDLMSGQTKEQAFNENRPEVGHVKYLCKQDSEMVALSNDLPCQLRSGDAAPVSFKKAKLSETGVDATRYEDDASLKSLYKTNLSSLPQNSCVGSASIHSKPILKLPSCRPAEVGTYDKERKTLHAQAGSSGISNVEIVGKHSSDKSNKESCTSAPCGREDARGAAFLVQVQQKLSVEEYTEFVDLMKALKSKTMKVMPVLESIARLFSAPGRFFLLERFKDFVPPKYRPLYEQHIRSNCAADAK >DRNTG_19971.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:772423:783380:-1 gene:DRNTG_19971 transcript:DRNTG_19971.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of telomere elongation helicase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79950) UniProtKB/Swiss-Prot;Acc:F4HQE2] MPIYKIRGIDVEFPFEAYDCQIVYMEKVIQSLQENCNALLESPTGTGKTLCLLCASLAWRRSLGEFSTTVSEEKGCSSESQRSGSQSMERPRSHLPVIVYTSRTHSQLKQVIRELKASNYRPKMAVLGSREQMCIHDEVHLLRGRAQNNACHYLCKKRRCQHHNRVSDYMKNHPELGNEPFDIEDLVNIGRTKRVCPYYVSRDLHKMVDILFAPYNYLIDPGNRRSLTGIDWRNTVLIFDEAHNLESICADAASFELPTGYLTACISEAKQCVDLCIRKREIYQSADKESDPDNYAILKALLLKLEKRIYEVPITSKELGFTRPGHYIYEFLEELNITYETASMLILTIDDATTLLEEGNTGENVTAKETKGTVCRLESIRDILNIIFRDGGKSHAKYYRFHVQESLPSISDSSKGKMSRTLNWWCFNPGLAMEEFVRMGVRSIILTSGTLSPLDTFALELNLEFPVRLENPHVISPSQIWVGVVPSGPSSYTFNSSYRNRDSLDYKQELGNAIVNFARIVPDGLLVFFPSYYLMDQCLDCWKNMNHASSTDFKTIWERICKNKKPVIEPKQSALFQHAIEDFEAKLRDRSNSGAIFFAVCRGKVSEGLDFADHAGRAVVITGMPFSMKTDPKIRLKRDYLDQHALSQKGHSKVLTGEEWYVQQATRAVNQAVGRVIRHRHDYGAIIFCDERFTRQSHQCQMSYWLRPYVQCYNKFGDVAFGLTKFFRDKVSSSLVKPKTTDRISALEITESSDDDLICVSDQPKLQTEVQDKVTLPLDKLLLPKLPHSLTSTVDHSSRKPLSSVLALSRGSTHCQTDKFVPANRSHLSCKKDLMSGQTKEQAFNENRPEVGHVKYLCKQDSEMVALSNDLPCQLRSGDAAPVSFKKAKLSETGVDATRYEDDASLKSLYKTNLSSLPQNSCVGSASIHSKPILKLPSCRPAEVGTYDKERKTLHAQAGSSGISNVEIVGKHSSDKSNKESCTSAPCGREDARGAAFLVQVQQKLSVEEYTEFVDLMKALKSKTMKVMPVLESIARLFSAPGRFFLLERFKDFVPPKYRPLYEQHIRSNCAADAK >DRNTG_33851.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2038859:2040259:1 gene:DRNTG_33851 transcript:DRNTG_33851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKGGLSSAPPRPPPGPPPPVPVVAVTECCMCGDYGLPSELFHCKVCLSRYQHKYCSDLYLKSEAYHTCNWCLREASSSSKNNTTSSSHPCSSNNNNNNNNNGGNIIKINRTSFSSNLHKPVKKQRFPQLLKARVRRVANGGPQRTWRR >DRNTG_07820.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:9848948:9850092:-1 gene:DRNTG_07820 transcript:DRNTG_07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLTLPNIIFTIILFCSFCFPPLVSSDNCHSAEKKALLKLKAGFGNPEILNWTSATSCCSWPGVTCLSGRVLSLGLANLSGSISPAIAGLSFLTRLNIEESPNLTGPIPNSITKLPLTYLAIKSTSLSGPIPSFLGDLNKLSLLDLSNNHLTGPIPDSITSLPDLSTLVLSNNKLTGTIPPSLFHGLTSRTSLDLSDNLLTGNVPLSIGDANFEYIYLSGNRFSGDISFLFGDQSKHLTEIDLSRNMFEMNMSSLTFPSPLALMDLSQNIIYGSIPTSITGLEQLTLFNVSYNRLCGRIPTGGRMNLFDASCYVHNKCLCGTPLPSCHA >DRNTG_22163.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3796160:3797377:-1 gene:DRNTG_22163 transcript:DRNTG_22163.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSFLTPSSFCSIQCWGKSKRETITRTRSSNYSMRAQQQDQIQDAQQQEQIQEPQQKEQDSGDTPTKKKAKGVQQQPRQPDAKSKNMTVEYGGQWLSSVTRHVRIYAAYIDPITHAFDQTQMDKLTLLLDPSDEFTWTPEACQKVYDYFVELVDHYEGAPLTEYTLRLIGSDIEHYICKLLYDGDIKYNMNARVLNFSMGKPRIKFNSSEIQNLK >DRNTG_22163.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3796160:3797420:-1 gene:DRNTG_22163 transcript:DRNTG_22163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSFLTPSSFCSIQCWGKSKRETITRTRSSNYSMRAQQQDQIQDAQQQEQIQEPQQKEQDSGDTPTKKKAKGVQQQPRQPDAKSKNMTVEYGGQWLSSVTRHVRIYAAYIDPITHAFDQTQMDKLTLLLDPSDEFTWTPEACQKVYDYFVELVDHYEGAPLTEYTLRLIGSDIEHYICKLLYDGDIKYNMNARVLNFSMGKPRIKFNSSEIQNLK >DRNTG_22163.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3796160:3797377:-1 gene:DRNTG_22163 transcript:DRNTG_22163.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSFLTPSSFCSIQCWGKSKRETITRTRSSNYSMRAQQQDQIQDAQQQEQIQEPQQKEQDSGDTPTKKKAKGVQQQPRQPDAKSKNMTVEYGGQWLSSVTRHVRIYAAYIDPITHAFDQTQMDKLTLLLDPSDEFTWTPEACQKVYDYFVELVDHYEGAPLTEYTLRLIGSDIEHYICKLLYDGDIKYNMNARVLNFSMGKPRIKFNSSEIQNLK >DRNTG_31261.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4383654:4384588:-1 gene:DRNTG_31261 transcript:DRNTG_31261.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNNTQNNHHLRRIKSKTNQQQKYVYIPIKE >DRNTG_31261.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4384021:4385653:-1 gene:DRNTG_31261 transcript:DRNTG_31261.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNNTQNNHHLRRIKSKTNQQQKYVYIPIKE >DRNTG_02193.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1339422:1340743:-1 gene:DRNTG_02193 transcript:DRNTG_02193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALTKKGQLQCLSNPLTLQHSHSHHHHTPSPSNPSLS >DRNTG_12320.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29552746:29555494:1 gene:DRNTG_12320 transcript:DRNTG_12320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINEGENGALVEEWVELANGCVCCTVKHNLVQALEQLVQKKQRHDDILLETTGLADPATLVSILWLDDQLESSVKLDSIIIVVDAKNPSLMSIGIPLLFLKHFFKLHSQYLSFTFLFSV >DRNTG_12320.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29552746:29555494:1 gene:DRNTG_12320 transcript:DRNTG_12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINEGENGALVEEWVELANGCVCCTVKHNLVQALEQLVQKKQRHDDILLETTGLADPATLVSILWLDDQLESSVKLDSIIIVVDAKNPSLMSIGIPLLFLKHFFKLHSQMLLF >DRNTG_12320.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29552746:29554016:1 gene:DRNTG_12320 transcript:DRNTG_12320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MINEGENGALVEEWVELANGCVCCTVKHNLVQALEQLVQKKQRHDDILLETTGLADPATLVSILWLDDQLESSVKLDSIII >DRNTG_34167.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17633092:17641315:-1 gene:DRNTG_34167 transcript:DRNTG_34167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEVVDQRRLTSFSLSSPQSSFFSEEVRFPAQRRIGFWKTDSSPDHHDGIPLMSESQAVSSSPMERLTPVGENTKESLEPPQALVFKEQKSKLNLEHHIVGAERASSLSVTSWRAPDRNFHFQSNRPVEPEPLYMEGNKVDMNRVHHEHVLFSSSLPAMFNKKLKLTSDDAPFGQSNASVHSIYEEDEPFESLEELEAQTIGNLLPNDDDDLLSGVIDDLNFLPRSANGDEVEDDIFCSVGGLELEVDDKNGCYRSSEIVGGENVNDQDGGLSSRLAGEHPNGEHPSRTLFVRNINSNVEDSELRALFEQYGDISTLYTTCKHRGFVMISYYDIRAARNAMVALQNKPLGHRKLDIHFSIPKDNPSGRDLDQGTVVVFNLDSSVSSDDLQQIFAVYGEIKAIRATPDKQHKIIEFYDVRAAEAALCALNMSNTRGESIKLEVTKPGGARWSLMQQFPSDGKQKESSGCRMGSPSNSSPSVSNGLFPREGAMQSLHSAIRGPFSPFMETDLKGISSGMHHIVSSPVRIASVGNHSNQSGPAEVTHSMGQQNLCSPSFHPNSLPEFHNGHAGSLPYINSRPGDGIENIHFMRVDSSSVNSHGFEHNEAFGAPANGSCPLHGHQFVWNNANAYHSHRSGPMMWPNSAPFMSSISAHPPTQMHGLPRAPSPMMNSVLPLHHHHHVGSAPAVNPSLWERRHTYVGDSIEPSAFHPGSLGSMGFSGGSPLHPMELAHNIFQHAGGNCMDHPMPLAHIGIPSPQQRCHMFHGRSPMVPMPAPYDAPSDRVRTRRTETSASQADMKKQYELDIDRVFRGDDSRTTLMIKNIPNKYTSKMLLAAIDENHRGTYDFIYLPIDFKNKCNVGYAFINLTDPQHIIPFSKTFNGKKWEKFNSEKVASLAYARIQGKSALIAHFQNSSLMNEDKRCRPILFHSDGPNAGDQEPFPMGVNIRSRPSRSRTPIHENHEESPSHSPQGEESSDRIDSSSGPSKDT >DRNTG_15951.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000791.1:46168:48625:-1 gene:DRNTG_15951 transcript:DRNTG_15951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSILMELSALSLSLSSSLHSNMMLEVALHHLLEGRQVVVENEGGTYANDPEIQNKFLNQLRALLKSMLAASISGSPSKPGNIAQTVVSSRTGDNQKLREMYRMALKSTDLSQLPSMHKLWLS >DRNTG_29589.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1225964:1226909:1 gene:DRNTG_29589 transcript:DRNTG_29589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAFQLAVFALIATSSILLISVPVVFASSDGWSSKKMLYFSGTSLWIGLVFLVAILNSLIS >DRNTG_23147.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14672020:14695171:-1 gene:DRNTG_23147 transcript:DRNTG_23147.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAHNLNDSNSISATNVHAHVDFIGEKRTQGNPCNTTYDPHWKNHPYSSLYQGQQQPQVKRFTTEDVLAKFMINTEQRFRDIDTKFDALTTELHALDTKCNARFEAIDATLLLVQASLHKLENRVEQLFQERAIELPITSNNEIEEKYDESEVDKNFGVLEVKKVEEVAQISLELALIMEVTQITKHKRELFGKIEDMGRRLKPSNDPPMLMLDMSHPKLFPWRPKRFLWVINGRMTIVDEGNVGRRFTLPKDPPMPSLKNFQPYLLSWRPKRILRKLQGNSAGNRMGAGRMLKPSKDPPKFKLNNSRTKLFPWRPKSTMFLVSTSSSSRKCAYGLNKDLIEAVCPVQNVLTIAHMASMSISIEHV >DRNTG_34558.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1404959:1407813:1 gene:DRNTG_34558 transcript:DRNTG_34558.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSYLLLVLLLLSVCSSAVSSPLSITTTTTPTAYEVLESYGLPIGLLPRGALGYELDRSTGAFTAYLNGSCAFSIRGSYELRYQSTISGRISRGRLRALKGVSVKFLLFWIGIIEVDRRGDQLQFSVGITSADFGVDNFDECPQCGCGMDCDRLNGLPDGDRMSLRRLSSSI >DRNTG_34558.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1404900:1407813:1 gene:DRNTG_34558 transcript:DRNTG_34558.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSYLLLVLLLLSVCSSAVSSPLSITTTTTPTAYEVLESYGLPIGLLPRGALGYELDRSTGAFTAYLNGSCAFSIRGSYELRYQSTISGRISRGRLRALKGVSVKFLLFWIGIIEVDRRGDQLQFSVGITSADFGVDNFDECPQCGCGMDCDRLNGLPDGDRMSLRRLSSSI >DRNTG_34558.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1405068:1409146:1 gene:DRNTG_34558 transcript:DRNTG_34558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSYLLLVLLLLSVCSSAVSSPLSITTTTTPTAYEVLESYGLPIGLLPRGALGYELDRSTGAFTAYLNGSCAFSIRGSYELRYQSTISGRISRGRLRALKGVSVKFLLFWIGIIEVDRRGDQLQFSVGITSADFGVDNFDECPQCGCGMDCDRLNGLPDGDRMSLRRLSSSI >DRNTG_34558.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1405068:1407813:1 gene:DRNTG_34558 transcript:DRNTG_34558.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSYLLLVLLLLSVCSSAVSSPLSITTTTTPTAYEVLESYGLPIGLLPRGALGYELDRSTGAFTAYLNGSCAFSIRGSYELRYQSTISGRISRGRLRALKGVSVKFLLFWIGIIEVDRRGDQLQFSVGITSADFGVDNFDECPQCGCGMDCDRLNGLPDGDRMSLRRLSSSI >DRNTG_29192.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3110091:3111663:-1 gene:DRNTG_29192 transcript:DRNTG_29192.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAAPPTSTPPASITSTSIPTTPTRPGCVSTMPTSPTPPLSAAGSIPSFLTRSTISPLNPMSLSPSRSLITPPTSSPPALSASSMPSARRPPLSPAASATIRPDRLRCSAPHLLPQSETSPFHPRSPYAAAKCAAHWYTVNYREAYGLFACNGILFNHESPRRGENFVTRKITRAVGRIRVGLQTRLFLGNLSAARDWGFAGDYVEAMWMILQQDKPSDYVVATDESHTVEEFLEEAFGCVGLHWKDHVEVDPRYFRPAEVDSLRGDSTRARTVLGWKPKVGFKELVKMMVDNDVDLAKKEKVLVDAGYRDAQQQP >DRNTG_29192.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3109893:3111518:-1 gene:DRNTG_29192 transcript:DRNTG_29192.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAAPPTSTPPASITSTSIPTTPTRPGCVSTMPTSPTPPLSAAGSIPSFLTRSTISPLNPMSLSPSRSLITPPTSSPPALSASSMPSARRPPLSPAASATIRPDRLRCSAPHLLPQSETSPFHPRSPYAAAKCAAHWYTVNYREAYGLFACNGILFNHESPRRGENFVTRKITRAVGRIRVGLQTRLFLGNLSAARDWGFAGDYVEAMWMILQQDKPSDYVVATDESHTVEEFLEEAFGCVGLHWKDHVEVDPRYFRPAEVDSLRGDSTRARTVLGWKPKVGFKELVKMMVDNDVDLAKKEKVLVDAGYRDAQQQP >DRNTG_29192.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3110017:3111518:-1 gene:DRNTG_29192 transcript:DRNTG_29192.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAAPPTSTPPASITSTSIPTTPTRPGCVSTMPTSPTPPLSAAGSIPSFLTRSTISPLNPMSLSPSRSLITPPTSSPPALSASSMPSARRPPLSPAASATIRPDRLRCSAPHLLPQSETSPFHPRSPYAAAKCAAHWYTVNYREAYGLFACNGILFNHESPRRGENFVTRKITRAVGRIRVGLQTRLFLGNLSAARDWGFAGDYVEAMWMILQQDKPSDYVVATDESHTVEEFLEEAFGCVGLHWKDHVEVDPRYFRPAEVDSLRGDSTRARTVLGWKPKVGFKELVKMMVDNDVDLAKKEKVLVDAGYRDAQQQP >DRNTG_29192.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3110091:3111518:-1 gene:DRNTG_29192 transcript:DRNTG_29192.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAAPPTSTPPASITSTSIPTTPTRPGCVSTMPTSPTPPLSAAGSIPSFLTRSTISPLNPMSLSPSRSLITPPTSSPPALSASSMPSARRPPLSPAASATIRPDRLRCSAPHLLPQSETSPFHPRSPYAAAKCAAHWYTVNYREAYGLFACNGILFNHESPRRGENFVTRKITRAVGRIRVGLQTRLFLGNLSAARDWGFAGDYVEAMWMILQQDKPSDYVVATDESHTVEEFLEEAFGCVGLHWKDHVEVDPRYFRPAEVDSLRGDSTRARTVLGWKPKVGFKELVKMMVDNDVDLAKKEKVLVDAGYRDAQQQP >DRNTG_29192.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3109724:3111518:-1 gene:DRNTG_29192 transcript:DRNTG_29192.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAAPPTSTPPASITSTSIPTTPTRPGCVSTMPTSPTPPLSAAGSIPSFLTRSTISPLNPMSLSPSRSLITPPTSSPPALSASSMPSARRPPLSPAASATIRPDRLRCSAPHLLPQSETSPFHPRSPYAAAKCAAHWYTVNYREAYGLFACNGILFNHESPRRGENFVTRKITRAVGRIRVGLQTRLFLGNLSAARDWGFAGDYVEAMWMILQQDKPSDYVVATDESHTVEEFLEEAFGCVGLHWKDHVEVDPRYFRPAEVDSLRGDSTRARTVLGWKPKVGFKELVKMMVDNDVDLAKKEKVLVDAGYRDAQQQP >DRNTG_29192.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3109724:3111663:-1 gene:DRNTG_29192 transcript:DRNTG_29192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAAPPTSTPPASITSTSIPTTPTRPGCVSTMPTSPTPPLSAAGSIPSFLTRSTISPLNPMSLSPSRSLITPPTSSPPALSASSMPSARRPPLSPAASATIRPDRLRCSAPHLLPQSETSPFHPRSPYAAAKCAAHWYTVNYREAYGLFACNGILFNHESPRRGENFVTRKITRAVGRIRVGLQTRLFLGNLSAARDWGFAGDYVEAMWMILQQDKPSDYVVATDESHTVEEFLEEAFGCVGLHWKDHVEVDPRYFRPAEVDSLRGDSTRARTVLGWKPKVGFKELVKMMVDNDVDLAKKEKVLVDAGYRDAQQQP >DRNTG_29192.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3109893:3111663:-1 gene:DRNTG_29192 transcript:DRNTG_29192.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAAPPTSTPPASITSTSIPTTPTRPGCVSTMPTSPTPPLSAAGSIPSFLTRSTISPLNPMSLSPSRSLITPPTSSPPALSASSMPSARRPPLSPAASATIRPDRLRCSAPHLLPQSETSPFHPRSPYAAAKCAAHWYTVNYREAYGLFACNGILFNHESPRRGENFVTRKITRAVGRIRVGLQTRLFLGNLSAARDWGFAGDYVEAMWMILQQDKPSDYVVATDESHTVEEFLEEAFGCVGLHWKDHVEVDPRYFRPAEVDSLRGDSTRARTVLGWKPKVGFKELVKMMVDNDVDLAKKEKVLVDAGYRDAQQQP >DRNTG_29192.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3110017:3111663:-1 gene:DRNTG_29192 transcript:DRNTG_29192.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAAPPTSTPPASITSTSIPTTPTRPGCVSTMPTSPTPPLSAAGSIPSFLTRSTISPLNPMSLSPSRSLITPPTSSPPALSASSMPSARRPPLSPAASATIRPDRLRCSAPHLLPQSETSPFHPRSPYAAAKCAAHWYTVNYREAYGLFACNGILFNHESPRRGENFVTRKITRAVGRIRVGLQTRLFLGNLSAARDWGFAGDYVEAMWMILQQDKPSDYVVATDESHTVEEFLEEAFGCVGLHWKDHVEVDPRYFRPAEVDSLRGDSTRARTVLGWKPKVGFKELVKMMVDNDVDLAKKEKVLVDAGYRDAQQQP >DRNTG_33427.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001996.1:71888:74590:-1 gene:DRNTG_33427 transcript:DRNTG_33427.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVLNTVKAQRQCHMKTSTEQQWDETARKVFVPKKKKWVGQLRLNKYEQELMMIFLNCRMDSTVVWKNDAVSTTRDKLYTLLEGKEMVTDDVMDAFVCIIQKSLSKVPCPYKKRASIRRPMALFMAKQDDAHETTMAMIGDAVRNLHEVQIFILPIIMNDHFHVVVLDNDKQEYIHYSSCLGYDKDVLDTRNLFDNCVDMELASRRPQSTHSFTTWKPYAKNKEASIAPSMSCCLSSNYFSMRSYGYRR >DRNTG_31619.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:749574:754909:-1 gene:DRNTG_31619 transcript:DRNTG_31619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREVGAQVAPPMFIHQPLAGRFHEPPVPKKRDLPWQNPSYLHNQLPPSALNPNSNWNPRMWDWDSVSFSAKPSETLSLGTANPPAKVAPEPEKKKKGDDGSKGVNEVGENLTLKLGGGSYAVEAAPVVRPSKRVRSGSPGSGGNYPMCQVDDCKADLSGAKDYHRRHKVCEPHSKTSKALVAKQMQRFCQQCSRFHPLSEFDEGKRSCRRRLAGHNRRRRKTQPEDASSRLLLPGSQENGTKPGVDLVNLLSILTRLQGTNAAKLANVSPLPDKNQLVQIISKLNALPHPNSAAKLPVPERFDLNVAQASPQVPSEPPPRIEGNPSASSTMDLLAVLSAALAGSAPHALASLSQGSNDNKAKIRCADSSSDVVSQNNLLQMLPPAGQGMSSSAAKSTLEVCKPPAQEARSNLTFQLFNSVEDDSPPKFASSRKYLSSESSNPMEERSPSSSPPVAQKLFPLHPAAEGMKNKRMVGSRDDSMALEASTSYGCTAPLELFKDPERRVENGAVHSHLYHPSCTSSSGSDHSPSSSNSDAQDRTDRIIFKLFDKDPGALPGTLRGEILNWLSSSPSEMESYIRPGCVVLSVYVSMPSVAWDELEDDLHHRVNSLIQCSGNEFWRKGRFLVRTSRQLVSHKDGKIHLSKSWKAWSAPELISVSPVAIVGGQEASLVLRGRNLTVPGTKIHCTYMGGYTSKEVLGSAYPGTIYDDSSSESFSFPGGSPNTFGRCFIEVENGFKGNSFPIIIADATICQELRGLESEIEDQIGMTDLILEDPLQDHGRPRSRDDVLHFLNELGWLFQRTNRLSISPFENFSSTRFKFLLTFSVDRDLCALMRKILDILAERSLKSQSLVQESLNMLSEVQLLSRAVKRKCWRMINLLLHYSVTGGIDASKLYPFLPNMSGPGGLTPLHLAACTQDSDEIVDALTNDPQQIGLNCWNSIQDDNGQTPFSYASMRSYHSYNTLVTRKLEDWKNGQVSITVQTEDTTGETKQCNARPLYARSCAQCASIDVGRIRPTVRSRGLLQRPYVHSMLAIAAVCVCVCLFFRGSPDLGCVAPFKWENLDYGPR >DRNTG_01210.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:413054:413656:-1 gene:DRNTG_01210 transcript:DRNTG_01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVVTSWWDLVSWVTDTVLQPCGPVIPLLFSRESPPTVVGTILCPWGSVEDNRLRLCLQKPLSPDPPLLLIDLPSCDLEGVCRITFECSAEHSRGGSLLDEPSWMVRCNGSKTGYGRRRAATKADMWALEAVRPVSTGAGLLLPPKLDSATAGPTACAFMYMRGSFERVIGSPDSESYHLVDPTGCLGPEISFFFLRG >DRNTG_01981.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13252678:13254303:-1 gene:DRNTG_01981 transcript:DRNTG_01981.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLRLPRSTLCSYPQADLAEATPEKALSPTHHRHSAASTTSISHLEIMMGKLLLEEISPVVMVLSTQLAEQYCTKRRLNFVEMLSPFSVFSKIDESQKSSGAVTNSGGKATYQKNYGKGEARKE >DRNTG_01981.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13252678:13253658:-1 gene:DRNTG_01981 transcript:DRNTG_01981.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSSSSNGMLLESQKSSGAVTNSGGKATYQKNYGKGEARKE >DRNTG_01981.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13252678:13254303:-1 gene:DRNTG_01981 transcript:DRNTG_01981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPDAGLQSYPRRCRTLALPIHRHPQERAAGARGDLSSHRCLGRQLPRPLPQ >DRNTG_01981.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13252678:13253658:-1 gene:DRNTG_01981 transcript:DRNTG_01981.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKLLLEEISPVVMVLSTQLAEQYCTKRRLNFVEMLSPFSVFSKIDESQKSSGAVTNSGGKATYQKNYGKGEARKE >DRNTG_02536.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8250084:8250947:-1 gene:DRNTG_02536 transcript:DRNTG_02536.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQMREEMRKDMRKEMEEQMADYKLSLQSSVQQQFVSMMSQLQGLVPGMNINQVPSFNLNFGSPRDANSAPTQGIRARNISSASSHEPQ >DRNTG_11249.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21835002:21837080:-1 gene:DRNTG_11249 transcript:DRNTG_11249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLVCLLPLFLIPAVNALPLLVDFILTKVYGLFGWEYRKPERVPPACPYRPASNKNDKSVEETPQPQPQVDGVAPEGDKQE >DRNTG_30959.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10900331:10901274:1 gene:DRNTG_30959 transcript:DRNTG_30959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKWSVITHRASRILYDQILRGSVQSAWYLSSGCHHDSCSPG >DRNTG_19304.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:618708:621413:-1 gene:DRNTG_19304 transcript:DRNTG_19304.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA cleavage factor Im 25 kDa subunit 1 [Source:Projected from Arabidopsis thaliana (AT4G29820) UniProtKB/Swiss-Prot;Acc:Q94AF0] MEDDNGGVGHGDVVDVYPLNRYYFGSKEAISKKDDDDLVDRIHRLKANYEVNGMRVCVEGVLLVELLGRPHVLLLQVKNSFFRLPGGRLRPGESVVEGLKRKLSNKLSPIESTTSDNWQVGECIGMWWKSSFDTLPYPYLPRNGCRPKECIKLFLVRLPISRKFFVPKHMKLLAVPLFQIHDNCQTYGPVISGIPQMISKFSLNIIQG >DRNTG_19304.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:618708:621413:-1 gene:DRNTG_19304 transcript:DRNTG_19304.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA cleavage factor Im 25 kDa subunit 1 [Source:Projected from Arabidopsis thaliana (AT4G29820) UniProtKB/Swiss-Prot;Acc:Q94AF0] MEDDNGGVGHGDVVDVYPLNRYYFGSKEAISKKDDDDLVDRIHRLKANYEVNGMRVCVEGVLLVELLGRPHVLLLQVKNSFFRLPGGRLRPGESVEGLKRKLSNKLSPIESTTSDNWQVGECIGMWWKSSFDTLPYPYLPRNGCRPKECIKLFLVRLPISRKFFVPKHMKLLAVPLFQIHDNCQTYGPVISGIPQMISKFSLNIIQG >DRNTG_33208.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:388151:392894:-1 gene:DRNTG_33208 transcript:DRNTG_33208.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRCALLGGNLAQIAQNMGWAGILVNGCIRDVDEINGCDVGVRALASHPQKSYKKRVGEKHVPVNIGGIIIHDGEWLYADSDGILISKTELSI >DRNTG_33208.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:388108:392894:-1 gene:DRNTG_33208 transcript:DRNTG_33208.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCALLGGNLAQIAQNMGWAGILVNGCIRDVDEINGCDVGVRALASHPQKSYKKRVGEKHVPVNIGGIIIHDGEWLYADSDGILISKTELSI >DRNTG_33208.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:388151:391822:-1 gene:DRNTG_33208 transcript:DRNTG_33208.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRCALLGGNLAQIAQNMGWAGILVNGCIRDVDEINGCDVGVRALASHPQKSYKKRVGEKHVPVNIGGIIIHDGEWLYADSDGILISKTELSI >DRNTG_33208.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:388151:392894:-1 gene:DRNTG_33208 transcript:DRNTG_33208.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRCALLGGNLAQIAQNMGWAGILVNGCIRDVDEINGCDVGVRALASHPQKSYKKRVGEKHVPVNIGGIIIHDGEWLYADSDGILISKTELSI >DRNTG_33208.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:388151:392894:-1 gene:DRNTG_33208 transcript:DRNTG_33208.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKALVSWPTFSSHPRRKEPQISLRHIASLATTELCDSNALLLTKGDVRVLPPIFNIYGHRRAFSGPIVTVKVFEDNVLVRELLESPGDGRVLIIDGGGSMRCALLGGNLAQIAQNMGWAGILVNGCIRDVDEINGCDVGVRALASHPQKSYKKRVGEKHVPVNIGGIIIHDGEWLYADSDGILISKTELSI >DRNTG_33208.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:388048:392894:-1 gene:DRNTG_33208 transcript:DRNTG_33208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCALLGGNLAQIAQNMGWAGILVNGCIRDVDEINGCDVGVRALASHPQKSYKKRVGEKHVPVNIGGIIIHDGEWLYADSDGILISKTELSI >DRNTG_19481.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7585393:7600371:-1 gene:DRNTG_19481 transcript:DRNTG_19481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIGSSVVVRSRRRKSRGGSWNSIGW >DRNTG_19481.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7599466:7600371:-1 gene:DRNTG_19481 transcript:DRNTG_19481.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWIGSSVVVRSRRRKSRGGSWNSIGW >DRNTG_15623.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20913199:20914459:1 gene:DRNTG_15623 transcript:DRNTG_15623.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal protein, Response to salt and low nitrogen stresse [Source: Projected from Oryza sativa (Os04g0534600)] MTTDTVDKLVIFLAKRDGIDKLVKTFQYISKLIHFHTQSSHPSLANRFKQWELSSGLSRKAFRTGRFLTGFNTLRRSPTVHMHPFGILSILSNAGEMVYFFFDHFLWLARVGVLDASLARRMSFISAFGESFG >DRNTG_35171.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26109193:26109862:-1 gene:DRNTG_35171 transcript:DRNTG_35171.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNTMKINVNKNYLPTFNNQKQGEEK >DRNTG_35171.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26109193:26116885:-1 gene:DRNTG_35171 transcript:DRNTG_35171.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNTMKINVNKNYLPTFNNQKQGEEK >DRNTG_29444.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001479.1:87:1583:-1 gene:DRNTG_29444 transcript:DRNTG_29444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAKSSSSIITQSSPLKPQKPTPTVLAYSTCSSFIIPTIDLSDPISSPSSIVKACENLGFFKLTNHGIPPSLMSTLESEALNFFSLPQSHKDINCPPSPFGYGNKKIGSNGDVGWLEYLLFNISSSSSFPSSSLRYALNEYTKAVRKVVKEVLEMMADGLRIKPRDAFSKLVMNEESDTMFRLNHYPPCPPLLQGLNSSLTGFGEHTDPQVLSVLRSNNTCGFQIALRDGSWVSVPPDQDSLFINVGDSLQVLTNGRFKSVKHRVMANGLRSRVSMIYFGGLCPRKSWHHCLNS >DRNTG_29444.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001479.1:87:1038:-1 gene:DRNTG_29444 transcript:DRNTG_29444.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMADGLRIKPRDAFSKLVMNEESDTMFRLNHYPPCPPLLQGLNSSLTGFGEHTDPQVLSVLRSNNTCGFQIALRDGSWVSVPPDQDSLFINVGDSLQVLTNGRFKSVKHRVMANGLRSRVSMIYFGGLCPRKSWHHCLNS >DRNTG_10947.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:202243:206565:-1 gene:DRNTG_10947 transcript:DRNTG_10947.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSILNASITTFVYPSLSHVKLRHFTNPRVLPPFTLHNYRICGSSSGNHGSMMDVFRILGPLDCLFPSSDRNPYVTLLRRCIKTRNFVLGGFVHSQLIKLGLENELMVSNVLLDLYAKLGLLDCGLKLFDEMLDRDLISWCTLISGFVAHGFELEAYGLFRRMLKNGVRPNHFVISSVLKGCAISGVLRIGVLVHGLVIKGGLGFDRFVEIALVGVYAKCGSLDDALKVFYEIPVKSPVAWNAMISGYVCNGFLVEAAEMCQEMCRIGFVMDLVTLRVIAGVCSSLEMPDFWYHFYSHWAEAIELAKKFLMLGLDTDQGAIVSIINICQSKEEMVPVHAQIIKAGYLAYLSTGNALISSYAKFGVMVDAFAIFYEMPVRDVVSWTAIMTGLVKNARFREALECFRGCWESGTDLDQYSIITTINACTGLRAMENGRQIHALALKLGVEFSSFMVASLLHLYAKCGHMDTASKLFNFTSYRQDLIQTNIMLAGYYWNSQPRTSLDLFSLQYRSGLVPDNFSFSTTLNACAASKSLEMGEQLHCCIMKSGYEFSDIIIGNAIIHLYVKSGRIDSACKFFYGMKKWDVNMYAMLMLGYIQQRGSDEDVQMFRQIQLSQWHGGKLAFARILRGSSEFTTLSRGKQALASDIKMGFISDVDASVSFHDKIGQIDKAGEILDEMPIRDDTCDPLISSFALGGDGEGTFRGDDLYRLQEENQEYHTYINVLNLNPCVVSNVGQHGLWRVVSFDNSTSRKYVECP >DRNTG_30735.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25960608:25963195:-1 gene:DRNTG_30735 transcript:DRNTG_30735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHKGLTALDILLDSPRKHGDLTIGEVIHTAGVKIARKMDPQQISLPTNTSRNESSSTNAPSRSWVRSLLGGRHRTRLQRRRTKKQAEVEDNYTPGTLMVVATLITTITFQSGLNPHGGFIEDNTKPLFVVQFYWSLCFI >DRNTG_17743.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4188425:4189410:1 gene:DRNTG_17743 transcript:DRNTG_17743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNMYPSSTLLGEKGDNVTGLPIDELIETADGFAGVFPEHKYEIVNRLQSKKHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLIALIWRFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLSEIFATGIVLGTYQAVMTVVFFWVAIDTNFFPRHFGVRTINDNVDELAAALYLQVSIISQALIFVTRSRSWSFIERPGLLLVGAFL >DRNTG_31122.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:102545:104798:-1 gene:DRNTG_31122 transcript:DRNTG_31122.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLQHMFGHINSLKTVPYSSENPLSFSSSI >DRNTG_31122.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:102545:104798:-1 gene:DRNTG_31122 transcript:DRNTG_31122.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLQHMFGHINSLKTVPYSSENPLSFSSSI >DRNTG_31122.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:102545:104798:-1 gene:DRNTG_31122 transcript:DRNTG_31122.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSLRSEDFGSQDRGHQTTPPPQGQWN >DRNTG_31122.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:102545:104798:-1 gene:DRNTG_31122 transcript:DRNTG_31122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSLRSEDFGSQDRGHQTTPPPQGQWN >DRNTG_31122.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:102545:104798:-1 gene:DRNTG_31122 transcript:DRNTG_31122.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSLRSEDFGSQDRGHQTTPPPQGQWN >DRNTG_34879.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21910061:21914451:1 gene:DRNTG_34879 transcript:DRNTG_34879.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGSSSIAPGAKTNSGSFEFGRTHVVRPKGRHQATIVWLHGLGDNGASWSQLLETLPLPNIKWICPTAPTRPVAIFGGFPCSAWFDVGDLSEDGPDDVAGMDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSASCCAVGKYKNGSAYPVNIGAVIGLSGWLPCSRTLKNRVGESQDVVARASSLPILLCHGKGDDVVLYKHGERSAEVLKSTGFQNVTFKNYSGLGHYTIPEEMDDVCKWITATFGLDG >DRNTG_34879.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21910061:21914451:1 gene:DRNTG_34879 transcript:DRNTG_34879.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGSSSIAPGAKTNSGSFEFGRTHVVRPKGRHQATIVWLHGLGDNGASWSQLLETLPLPNIKWICPTAPTRPVAIFGGFPCSAWFDVGDLSEDGPDDVAGMDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSASCCAVGKYKNGSAYPVNIGAVIGLSGWLPCSRTLKNRVGESQDVVARASSLPILLCHGKGDDVVLYKHGERSAEVLKSTGFQNVTFKNYSGLGHYTIPEEMDDVCKWITATFGLDG >DRNTG_34879.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21910061:21914451:1 gene:DRNTG_34879 transcript:DRNTG_34879.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGSSSIAPGAKTNSGSFEFGRTHVVRPKGRHQATIVWLHGLGDNGASWSQLLETLPLPNIKWICPTAPTRPVAIFGGFPCSAWFDVGDLSEDGPDDVAGMDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSASCCAVGKYKNGSAYPVNIGAVIGLSGWLPCSRTLKNRVGESQDVVARASSLPILLCHGKGDDVVLYKHGERSAEVLKSTGFQNVTFKNYSGLGHYTIPEEMDDVCKWITATFGLDG >DRNTG_08728.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4989157:4991852:1 gene:DRNTG_08728 transcript:DRNTG_08728.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLEYVIMIACAFVEYTIELAIFPALKNQWLSKAGLVMVLVGEAIRKISILTAGRAFTHTIRTHHEDHHKLITHGIYKFMRHPGYCGYFITAAGTQVLLCNPICIVPIVVGTWQFFSSRIQYPLSVILR >DRNTG_08728.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4989157:4991852:1 gene:DRNTG_08728 transcript:DRNTG_08728.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMELARAALERTEVWQFGVVILFFHVSEYALAVAFHGLSNVTRSTLMMDLEYVIMIACAFVEYTIELAIFPALKNQWLSKAGLVMVLVGEAIRKISILTAGRAFTHTIRTHHEDHHKLITHGIYKFMRHPGYCGYFITAAGTQVLLCNPICIVPIVVGTWQFFSSRIQYEELFLRQFFGPRYEEYCNRVPSGLPFVK >DRNTG_08728.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4989157:4991852:1 gene:DRNTG_08728 transcript:DRNTG_08728.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMELARAALERTEVWQFGVVILFFHVSEYALAVAFHGLSNVTRSTLMMDLEYVIMIACAFVEYTIELAIFPALKNQWLSKAGLVMVLVGEAIRKISILTAGRAFTHTIRTHHEDHHKLITHGIYKFMRHPGYCGYFITAAGTQVLLCNPICIVPIVVGTWQFFSSRIQYPLSVILR >DRNTG_11193.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2127399:2132121:1 gene:DRNTG_11193 transcript:DRNTG_11193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLRSKTRKGTSVQVDYIIQLQQIKPWPPSNSLRSLRSVVLQWENGDKSSGFTNPVAPSLGEGKIEFNETFKLQVSFLKESSTKGNDSFQKNVLELNLYEPRRDKSMKGQHIGGAAVDLAEHGILKETVSVSVPVSCKRSFRNTAQPILYLKIQPFEKDSLSSSSRDSLSKELSLDKDGRESVSALMNEEYAEEAEIASFTDDDISSHSSLNISSSTFEANADMPIQNRLGEQQLHENGLKAVEKSPPNDIATVSSSESEPLPKDSKPLAADSAHSSHSVDQNGRLLESSLENLSNEPVILENCNSSSSNTQSISIGSVNPGPSISLNCSSIEEADGANHIEEVPEKEVVSKSEDNVAASTINKEISSSEVINSVESSEIEIQVEKQALVPIAEPVNIDMNQDGANEASSDHTANDISVLDSKLVESAPEDITEEHFPDVPSDNLSIASPENVEHTPDSQHQDKQDNGIDKMSESETASSFPRIVGERDYSTLRSSNRLKTMKFSVRSPPDTQRNTISANNDQYVEHVKEIDVQENVQRDSLNFVIGDGKGDKKIANGNSDKDDCNGNGAADDKVRELEHKVEMLERELREAAAIEISVYSVVAEHGGSPHKVHTPARRLSRLYIHASRKWSRERRANAAKCAVSGLVLAAKSCGNDVPRLTFWLSNSVMLRAIIVQEFRNEDLLNSANVRHEVHKNKSLLQWESTSWKNEKFSAAKEVDEWEVPSTFMSALERIEAWIFSRIIESIWWQTLTPHMQLQTECGKQKTGSNLKNYRKQSSLGDQQQANISIEIWKKAFEDACERLCPVRAAGHECGCLPKLAKLVMEQCVARLDVAMFNAILRESEDEIPTDPVSDPISDSKVLPVPPGKLSFGAGAQLKNAIGNWSRWLTDLFDMDMDDSPDNENEQDDDRLDVAASLKSFHLLNALSDLLMLPKDMLLESSIRKEVCPTFSASMIKRILDNFLPDEFCPDPIPDMVFESLDSEDPLETSDEGINSFPCDASPVTYSPPSVTLVQSIIGDPRLASLSRSGSSVVRKCHTSDDELEELESPLTSIIIENSSNTITKSKEYRGPSAARYQLLHEVWRDGEY >DRNTG_11193.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2127399:2132121:1 gene:DRNTG_11193 transcript:DRNTG_11193.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLRSKTRKGTSVQVDYIIQLQQIKPWPPSNSLRSLRSVVLQWENGDKSSGFTNPVAPSLGEGKIEFNETFKLQVSFLKESSTKGNDSFQKNVLELNLYEPRRDKSMKGQHIGGAAVDLAEHGILKETVSVSVPVSCKRSFRNTAQPILYLKIQPFEKDSLSSSSRDSLSKELSLDKDGRESVSALMNEEYAEEAEIASFTDDDISSHSSLNISSSTFEANADMPIQNRLGEQQLHENGLKAVEKSPPNDIATVSSSESEPLPKDSKPLAADSAHSSHSVDQNGRLLESSLENLSNEPVILENCNSSSSNTQSISIGSVNPGPSISLNCSSIEEADGANHIEEVPEKEVVSKSEDNVAASTINKEISSSEVINSVESSEIEIQVEKQALVPIAEPVNIDMNQDGANEASSDHTANDISVLDSKLVESAPEDITEEHFPDVPSDNLSIASPENVEHTPDSQHQDKQDNGIDKMSESETASSFPRIVGERDYSTLRSSNRLKTMKFSVRSPPDTQRNTISANNDQYVEHVKEIDVQENVQRDSLNFVIGDGKGDKKIANGNSDKDDCNGNGAADDKVRELEHKVEMLERELREAAAIEISVYSVVAEHGGSPHKVHTPARRLSRLYIHASRKWSRERRANAAKCAVSGLVLAAKSCGNDVPRLTFWLSNSVMLRAIIVQEFRNEDLLNSANVRHEVHKNKSLLQWESTSWKNEKFSAAKEVDEWEVPSTFMSALERIEAWIFSRIIESIWWQTLTPHMQLQTECGKQKTGSNLKNYRKQSSLGDQQQANISIEIWKKAFEDACERLCPVRAAGHECGCLPKLAKLASETIWFLFIGYMFCFHRPGVHEIPFSFCRRPGHGTVCGEA >DRNTG_24447.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9624104:9624415:-1 gene:DRNTG_24447 transcript:DRNTG_24447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHAELLTSVRNDINEHKASSGVQLVPSLLRECAAIHGSITQIEKVDNQADAVKEEYWRLKDISSVTFFVYFAAILKASDFSELDRVEWQFTTTATILDNGT >DRNTG_31022.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30482885:30485384:1 gene:DRNTG_31022 transcript:DRNTG_31022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQGSDTPSADEATAGTTCSICLEVVDVATRTRSMAKLRCGHEFHLDCICSAFDFSGKRQCPNCADIEEGYWNFTNRRGMRQNSHTSQPANRMAEARRRGNRIPWCSSCLFHHNGPCSQAVLPIMMSPVYANEVHISWQEPAPHSITILLMNGADQCLQSIYDSRTNGQFVSPNLQYPSNYQFQTNGQQHHLNHISGGLHGHVSSALQGMPVCQRWRWFP >DRNTG_34713.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:12001115:12006791:1 gene:DRNTG_34713 transcript:DRNTG_34713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLFPSLFAQMISSCSPWLPYALLYCDRAPPRCPPHHHYWTHQHLLIQHQQQRSQSVLPTLDLFLFYFKVN >DRNTG_12498.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2465498:2466885:1 gene:DRNTG_12498 transcript:DRNTG_12498.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGYINKVVGTNLGSDISSYDLLLWYINKVVGTNLGSIAIVERDGERFKRVFFSFRACIMGFKRACRPLLFVDGTHILGKYQGILLGAIGKDGNNGFFHVAFSIVDNETDANWTWFISKLGDALYEEGDYHKIITFMSDRSKGLVNAIARVFPSSPHAYCLRHLEANFTKANVRLGKALREECWSIYFHIEWASTAKEFDDTVNELGERWGEMYSNVTESFNAWIKEARHLPVMKMVDSIRFKLMRMLCNRREQANKWETYLCLDIHSKVDLLVEDSLNLRVGHCIDDRYELIDQCSNSIDLAIRTCSCRRWQVYGILCKHACAAIMQTDTNVHRFISGYFTVNNYKLAYKEAIFPIPDDDKPTDGNPELRL >DRNTG_23961.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30802713:30806362:1 gene:DRNTG_23961 transcript:DRNTG_23961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAARRLRELQAQPGNKTCVDCSQKNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQLKKMEAGGNDRLNAFLSGYGIAKETDIVTKYNTNAAGVYRDRIQALAEGRAWRDPPVVKETVKSGGKPPLSSSGAAKNGGWDSWDNDDFRSSDMRRNQSVGDFRAGTGGGGSGGQPSRSRSTEDIYTRAQLEASAANKESFFSRKLAENNSRPEGVPPSQGGKYVGFGSTPPPSAQGSSSQGDMFSVVSQGFGRLSLVAASAAQSAANVVQAGTKEITSKVKEGGYDHKVNETVNVVTTKTTEIGQKTWGIMKGVMAMASQKVEEFTKEGSDWKGNDWQRNETQRNGHYQEFGQNSKGWNPSEEDTIRPYNSVSSWDDWGEKGKEEPTKKSSQGVDSWAGWDDAKEEGNDYYTHSSSNNKGVTHNGKTGSLWTEGGFL >DRNTG_07150.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20950823:20955096:1 gene:DRNTG_07150 transcript:DRNTG_07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLVSRRRGISEKGTAGANEKVENGNMPTHINAFQLIGMSSFLDLSGFFEKEDVSERKIRFTSNFSPKDLYEKIEDIVSTMGFQVQKGHGKLKVMQQCKASTTLSPRSQGSLSVCAEVFELSPSLYVVEIRKSYGDASRASPKFPHGRG >DRNTG_12716.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000563.1:4095:5968:-1 gene:DRNTG_12716 transcript:DRNTG_12716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSLSPGSFVSVSLATSDKTSLEDAPLETLAEECARHFGVETGDKASDGTGNFFAIATVFPSRKVLKNGAKLSWGLSCTMGFPSVGRAIFVFPVSGFSTSHNQNMNNSISQFSICKCNDLYLNLVLPKSVVINCGYDKSPLGTSTDKLTSPKTPASRPKLSSPGATPVQSKKSSDYVSNIDVSTCQDISGIRLALADEKVNELLQIYAGRWLHGRSLLNGNLVTVPICGHLCLFLVEGVSGSRTDCSNPDHMSERKCNLPPVEIDNGNCINYADTIFLVDAKTKVHLL >DRNTG_28964.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:7223158:7227021:1 gene:DRNTG_28964 transcript:DRNTG_28964.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMGMIHRYRDRVYVLNMPPPEPFEAEGVTTEGSQPISEPQPEQMETEGPPPAQEPPPVRMFSPSRAYDHFEMLKSAVGVLQTEIAMVRVIQATHHTEVMACLNILQQIIDKCLNGTETPSKYCSWSAAVVGTETPWRTRRYDLGEKEKKTRSWYCIVFTVAKNLITAYSLNAACVNEELYEDLMEPIYHLNEVLIERSMPPGIEFIESDQELDLKGKASSSKEKEYSAFLGTPHAFPAPPSPVQVPVDPPISSSPLAAAEATEPDTDT >DRNTG_08504.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000381.1:39817:40519:1 gene:DRNTG_08504 transcript:DRNTG_08504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSWCSADIDTGLDKKLIITTCQLESCTAENNNSSKRLAQVEELQALSKYAPNVIWGGAFNWDEDKDKAFPLNDGLMDAWETLKPAENGGNYDTETNSMRKNQNHLQKRFDRFIYRLEDFSCKSVELIGTEAINGVLPSDHYGLILIISFE >DRNTG_28544.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:20641921:20643517:1 gene:DRNTG_28544 transcript:DRNTG_28544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARTGQQIGLHYESWHKVPKTLKDELFKFIEDSERLGVASRKQRKYTHTSGSKSFARKEKEMEVNSGRKVGRLEFFKATHTKKDGSHMNVETEQIMEKANEKLAECETVDEDMQMVETEILTELIGKERCGRVRGVGLCPTPKSYYGGTSSRNYTSSRTQSSDFAERFHQMEQQMQQMKEERDQERAQHEQEHDQERAQREQEREQEREQERARYNALLGFLQNRFPGVTIPGVDIASSTSQSQNQPFGISSGFDHAVEVFYLSLFSSCTMVLFGVRQESQTYNYLLMLVTFYLLLYYGGISK >DRNTG_17376.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6718351:6722368:1 gene:DRNTG_17376 transcript:DRNTG_17376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISANSPWRGCATEFSQPVTEEEEQEAQTLVETIFYINCRIATPPLQDIIYRSSEGDSDIIHPTFYWDMTPYREAFRSGFQVIHQENTPNKKFYSLNQIQDQFRNLDSRIPAQHVFIRATINCSWSPKLEPNTRIRVYRYEIYAPGGIWIAASLGERQRIHAQDEVLFVAGIAPQYIRCAQRFKFISDERYTRCIRADNKIRVNGRFNPKSHPLALLNIQRPIFDCIDTGNNRRLPLSTSIHPATASVLGTMKWYSMDVANVQSYIDAAFRLAYKNEVYLFMKNESVLIDYAPGSKNDRILNGPIQICDGYPSLKGTAFADHGIDCAFGSYEWNEAFIFTGNLCAKVNYAPHTTNDIIIRGPLSIAAMFPFFKGTVFEDGIDAAFESSRRYEAYLFKERHYALINYGYDFKIVAIRRIIDGFFSFRNTIFESGIDAAFASHRKNEAYIFKGKFYALFNFAPGTTKDYIIGGIKEILPNWPCLHGILPRKNRGLDVSSSYFNFKSNHL >DRNTG_13155.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000597.1:15563:17737:1 gene:DRNTG_13155 transcript:DRNTG_13155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARYRPSALMVEMGNNTFTPLMKWSAQNMCFRIAHSISSLEIYEKIMPKLNDIYKMVNEMSSMRVHGMQTEQNEESEEIIGSSSMSPFVGEDDVCGSHIHDPNPVKSKGRPKVNTRIKYGIDLQLLAKRKRTCSRCGKKCHYMTTCSLSQS >DRNTG_00482.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30674011:30679754:1 gene:DRNTG_00482 transcript:DRNTG_00482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMEREGMMAWFLWLILVFQPLARVLANMEGDALHQLRTNLNDPNSVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGSLVPQLGQLKNLQYLELYSNNISGTIPSELGNLTNLVSLDLYLNNFTGVIPDTLGNLSKLRFLRLNNNSLTGQIPTSLTNINALQVLDLSNNNLSGEVPSSGSFSLFTPISFGNNPFLCGPGTTKPCPGAPPFSPPPPFLAPGPPSSQGSSPSSTGAIAGGVAAGAALLFAAPAIGFAWWRRRKPQELFFDVPAEEDPEVHLGQLKRFSLRELQVATDTFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPSEPPLDWSTRRRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKRLEMLVDPDLQDNYVEAEVESLIQVALLCTQGSPMERPKMSEVVRMLEGDGLAERWEEWQKVEVVRHEVEMAPHRSSEWILDSTDNLHAVELSGPR >DRNTG_15711.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4384327:4386761:1 gene:DRNTG_15711 transcript:DRNTG_15711.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLMLIFILLHLLLSPAIAIHDDVPMSKETKLLFLNKLQWNLNHASFPHPPQRSRTVEGTTVLEMKQTKPREADDVLKFLVSDDARVSSLQFRIRNGSNESAQEGSSMEVQIPLSSGVTLQTLNYVVAIELGGRKMTVIVDTGSDLTWVQCKPCTSCYNQQDPVFDPSASSSYRNIPCNSPTCDSLSLATGNSGVCGTDEQSCSYSLSYGDGSYSHGVLSSESINLGGTLVKNFIFGCGESNQGLFGGTSGLMGLGRTQLSLVSQTAFQFGGVFSYCLPASEFDSSGSLVLGSNSSVYRNSTPIVYTRLISDPLQGPFYYLNLTSISVGGMTLQASGFSNGRILIDSGTVITRLVPSIYRALRDEFVKQFSGFSPAPAFSILDTCFNLTGYTEVSVPTLRLQFEGEVELDVDATGVLYFVQKDASQVCLALASLLYEDETTIFGNYQQKNLRIVYDTAGNRLGFAEEACGYN >DRNTG_15711.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4384141:4386761:1 gene:DRNTG_15711 transcript:DRNTG_15711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLMLIFILLHLLLSPAIAIHDDVPMSKETKLLFLNKLQWNLNHASFPHPPQRSRTVEGTTVLEMKQTKPREADDVLKFLVSDDARVSSLQFRIRNGSNESAQEGSSMEVQIPLSSGVTLQTLNYVVAIELGGRKMTVIVDTGSDLTWVQCKPCTSCYNQQDPVFDPSASSSYRNIPCNSPTCDSLSLATGNSGVCGTDEQSCSYSLSYGDGSYSHGVLSSESINLGGTLVKNFIFGCGESNQGLFGGTSGLMGLGRTQLSLVSQTAFQFGGVFSYCLPASEFDSSGSLVLGSNSSVYRNSTPIVYTRLISDPLQGPFYYLNLTSISVGGMTLQASGFSNGRILIDSGTVITRLVPSIYRALRDEFVKQFSGFSPAPAFSILDTCFNLTGYTEVSVPTLRLQFEGEVELDVDATGVLYFVQKDASQVCLALASLLYEDETTIFGNYQQKNLRIVYDTAGNRLGFAEEACGYN >DRNTG_15711.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4384141:4386600:1 gene:DRNTG_15711 transcript:DRNTG_15711.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGTVEGTTVLEMKQTKPREADDVLKFLVSDDARVSSLQFRIRNGSNESAQEGSSMEVQIPLSSGVTLQTLNYVVAIELGGRKMTVIVDTGSDLTWVQCKPCTSCYNQQDPVFDPSASSSYRNIPCNSPTCDSLSLATGNSGVCGTDEQSCSYSLSYGDGSYSHGVLSSESINLGGTLVKNFIFGCGESNQGLFGGTSGLMGLGRTQLSLVSQTAFQFGGVFSYCLPASEFDSSGSLVLGSNSSVYRNSTPIVYTRLISDPLQGPFYYLNLTSISVGGMTLQASGFSNGRILIDSGTVITRLVPSIYRALRDEFVKQFSGFSPAPAFSILDTCFNLTGYTEVSVPTLRLQFEGEVELDVDATGVLYFVQKDASQVCLALASLLYEDETTIFGNYQQKNLRIVYDTAGNRLGFAEEACGYN >DRNTG_15711.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4384141:4386600:1 gene:DRNTG_15711 transcript:DRNTG_15711.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLMLIFILLHLLLSPAIAIHDDVPMSKETKLLFLNKLQWNLNHASFPHPPQRSRTVEGTTVLEMKQTKPREADDVLKFLVSDDARVSSLQFRIRNGSNESAQEGSSMEVQIPLSSGVTLQTLNYVVAIELGGRKMTVIVDTGSDLTWVQCKPCTSCYNQQDPVFDPSASSSYRNIPCNSPTCDSLSLATGNSGVCGTDEQSCSYSLSYGDGSYSHGVLSSESINLGGTLVKNFIFGCGESNQGLFGGTSGLMGLGRTQLSLVSQTAFQFGGVFSYCLPASEFDSSGSLVLGSNSSVYRNSTPIVYTRLISDPLQGPFYYLNLTSISVGGMTLQASGFSNGRILIDSGTVITRLVPSIYRALRDEFVKQFSGFSPAPAFSILDTCFNLTGYTEVSVPTLRLQFEGEVELDVDATGVLYFVQKDASQVCLALASLLYEDETTIFGNYQQKNLRIVYDTAGNRLGFAEEACGYN >DRNTG_15711.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4384327:4386600:1 gene:DRNTG_15711 transcript:DRNTG_15711.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLMLIFILLHLLLSPAIAIHDDVPMSKETKLLFLNKLQWNLNHASFPHPPQRSRTVEGTTVLEMKQTKPREADDVLKFLVSDDARVSSLQFRIRNGSNESAQEGSSMEVQIPLSSGVTLQTLNYVVAIELGGRKMTVIVDTGSDLTWVQCKPCTSCYNQQDPVFDPSASSSYRNIPCNSPTCDSLSLATGNSGVCGTDEQSCSYSLSYGDGSYSHGVLSSESINLGGTLVKNFIFGCGESNQGLFGGTSGLMGLGRTQLSLVSQTAFQFGGVFSYCLPASEFDSSGSLVLGSNSSVYRNSTPIVYTRLISDPLQGPFYYLNLTSISVGGMTLQASGFSNGRILIDSGTVITRLVPSIYRALRDEFVKQFSGFSPAPAFSILDTCFNLTGYTEVSVPTLRLQFEGEVELDVDATGVLYFVQKDASQVCLALASLLYEDETTIFGNYQQKNLRIVYDTAGNRLGFAEEACGYN >DRNTG_15711.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4384021:4386600:1 gene:DRNTG_15711 transcript:DRNTG_15711.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLMLIFILLHLLLSPAIAIHDDVPMSKETKLLFLNKLQWNLNHASFPHPPQRSRTVEGTTVLEMKQTKPREADDVLKFLVSDDARVSSLQFRIRNGSNESAQEGSSMEVQIPLSSGVTLQTLNYVVAIELGGRKMTVIVDTGSDLTWVQCKPCTSCYNQQDPVFDPSASSSYRNIPCNSPTCDSLSLATGNSGVCGTDEQSCSYSLSYGDGSYSHGVLSSESINLGGTLVKNFIFGCGESNQGLFGGTSGLMGLGRTQLSLVSQTAFQFGGVFSYCLPASEFDSSGSLVLGSNSSVYRNSTPIVYTRLISDPLQGPFYYLNLTSISVGGMTLQASGFSNGRILIDSGTVITRLVPSIYRALRDEFVKQFSGFSPAPAFSILDTCFNLTGYTEVSVPTLRLQFEGEVELDVDATGVLYFVQKDASQVCLALASLLYEDETTIFGNYQQKNLRIVYDTAGNRLGFAEEACGYN >DRNTG_16484.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28484349:28487370:-1 gene:DRNTG_16484 transcript:DRNTG_16484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPKKPDADAGAGEHSSEYAPYPKLDAKDLEPPPPPATSMPPPDSNPYVSSAPVPASKNAVDSVKDVLGRWGKKVGDATKKAEGFAGDVWQHLKTGPSVADAAMGRITQGTKVIAEGGYEKIFRQAFETLPEEQLKKAYACYLSTSAGPVMGTLYLSTAKLAFCSDSPLSYKVGDQTEWSYYKVVVPLQQLRGATPTTSKVNPAEKYIQIVSIDNHEFWFMGFVNYESAVKNLQEALGASSS >DRNTG_32798.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12296719:12297356:-1 gene:DRNTG_32798 transcript:DRNTG_32798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAEARGYWGLGGRACDTCHGSPALLYCRADSAFLCGACDSRVHAANRLASRHERVWVCEVCEQAPAVVTCKADAAALCAPAGTLFKPSDDDDENDAAVDEAEAASWILPNPNPKSTDLFFADVDPYLDLEYTDSVVPVHTKPIPPPIICADHGCIELDFTRSKASFSTFS >DRNTG_24884.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:295648:299887:1 gene:DRNTG_24884 transcript:DRNTG_24884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGRGILRRLVVVLLAISAAIGGYAAEEDRGKKRHAYAAMMYMGTPRDYEFYVATRVMMRSLSKLGVDADLVVIASVDVPLRWVRTMKEEDGIKVVTVENLKNPYEKQGNFNTRFKLTLNKLYAWSLVAYDRVVMLDSDNLFLQKTDELFQCGQFCAVFINPCIFHTGLFVLQPSLDVFKNMLHELEIGRENPDGADQGFLASYFPDLLDRPMYHPPVNGSKLNGLYRLPLGYQMDASYYYLKLRWSIPCGPNSVITFPSAPWLKPWYWWSWPVLPLGLSWHEQRRSNLGYSSELPVMLIQAVMYLGIIAITRLARPSLTKLCYNRRAEKSISILHLMLKGLALWSIIAAYTVPFFLIPRTVHPVLGWSLYLLGSGALSSVVINAFVLPPLPVLTPWLGIFGSLAVMACPWYSDGVVRALSVFAYAFCCAPFVWVALMKVMSSLQNLLEREAFFPRLGETTELPSKLY >DRNTG_26881.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001370.1:21225:29191:1 gene:DRNTG_26881 transcript:DRNTG_26881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASTVWRAYRVLCGQGQYEPGVSKAKCLSRPAYRYLHAIMSRSVNGCGDSTGVLSRPELLYLYLGHILADYIRHQGQYARLGAIFSGPYITRLGSDKGFALVLPAPEIAKDEGDEAGASQPIPEPQPASMETEAPPAAEEPPPVRMFSPSRANDRFERLENAIGVVRAEVAEIRATQAT >DRNTG_32860.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32162902:32168044:-1 gene:DRNTG_32860 transcript:DRNTG_32860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPARSSGGGSGNSGTYTPEIAETLRFEEEIQSLMRDGSPPATGSSFTALLGLPASQAVELLHQPGEIYPRASTGFPPGFSPTFPSNAALVERAARFSVFAAAGESPQASNSGGQAAWPKSEPIDSDSGELPRQPAKRRNTDQSKAKASGKKSRSGETKKGKPGETGEKLPYVHVRARRGQATDSHSIAERARREKINARMKLLQELVPGCSKISGTALVLDEIINHVQSLQRQVEFLSMRLAAVNPRIDFTGLDNFLSPECGLGVAGNGTPLWMQGMGAGDGQQDIWQADLMHQQQHGFISNSGTTSLFPCDPTDSVSLHSNQLKAEL >DRNTG_05973.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12155291:12157406:1 gene:DRNTG_05973 transcript:DRNTG_05973.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSLGNGFLMDLGEKVEPLPQEFDPKEISCGITSEVNGAVGENGKEREIVLGRNVHTMCLTIDEPEADDEVTGEKEAYMAGVLARYRKSLIERTKHHLGYPYNLDFDYGALGQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWELEKDEYWGYITNCGTEGNLHGILVGREVFPDGILYASKESHYSIFKAARMYRMECVKVNTLISGEIDCADFAAKLILNKDKPAIINVNIGTTVKGAVDDLDLVIKTLEETGFTDRFYIHCDGALFGLMMPFVKRAPKVTFKKPIGSVSVSGHKFVGCPMPCGVQLTRLKHINALSRNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYRGFQKEVQKCLRNAHYLRDHLRAAGISAMLNELSSTVVFERPKDEEFIRRWQLACEGRIAHVVVMPNVNIDKLDNFLNELVQKNVQAGTKMRRSNHLV >DRNTG_29481.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2656266:2659791:-1 gene:DRNTG_29481 transcript:DRNTG_29481.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMVRWVAAMGFWVQGFRCFPWLGVSYYLKDGLRVAPSSLQLLQSSANAPMVAKPLYGLLSDSLYIRGEHRLPYVAIGALLQAISWLAIAFLPESSTSIAILSFFLLIGNLGASIAEVANDAIVAEAGKQSQYPSGSGQLQSFVWMAAASAGVLGNLLGGIAIDHISPRTMFAFFGLLLVLQFFITGSIPERSLNLPKKKGQSSNSSDLRKQSSEILFALRKPEIFYSILWFFASFAIVPVLAGTMFFYQTEHLHLDSSVIGFSKVFGQAAVLIWSVAYDKKFKKTSVRKLLSVLQASIALIMISDVLFIKGIYRSIGVPDSLYVVIFSGLLEALFQFKILPFSVLLASLCPAGCEGSIMAFLMSAIALAIIVSGYLGVALAAFIGVSEKDFSGLIPGILIQTACTVLPLYWSSWIPVSDKLEKKE >DRNTG_11649.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:114695:118903:-1 gene:DRNTG_11649 transcript:DRNTG_11649.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin-31 [Source:Projected from Arabidopsis thaliana (AT5G05760) UniProtKB/Swiss-Prot;Acc:Q9FFK1] MDDSLTNVEGARSALLKHLTQISSNRWLLIKIFAILILFLLVFVFFVL >DRNTG_11649.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:114695:118903:-1 gene:DRNTG_11649 transcript:DRNTG_11649.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin-31 [Source:Projected from Arabidopsis thaliana (AT5G05760) UniProtKB/Swiss-Prot;Acc:Q9FFK1] MASSLSSYRDRTTEFRSFSETLKKAHGLAADPLPVKDATLSLETDPIASRSEFNRKASRIGLGIHETSQKIAKLSKFAKRSSMFDDPTVEIQELSAIIKNDITALNISISDLQVLQSTELADMNSSKDRVVHATTICDDLKSRLMGATKQFKDVLTARTENLKAHENRKQIFSTNASRENPLMHQPKTVSEPPPWSNAATPLPSSVAPVNGLQQGNQLRRRLAAESTSLHHPEMSMMQQVVPRQENYTQSRAVALQNVESTISELGGIFTQLATMVAQQGELAIRIDDNMDDSLTNVEGARSALLKHLTQISSNRWLLIKIFAILILFLLVFVFFVL >DRNTG_26236.18.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:460543:463896:1 gene:DRNTG_26236 transcript:DRNTG_26236.18 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQSLAPVQFYQPLLAIHGVPRPFFFFFFSTSPVTTKLHLISPPPLLTPLRFSLSDSQDQVEQQRQEEEESISSCEDDGAGFQIEIEKPGKKNRRRIKACVRVNADLETLWSVLTDYEGLADFISSLAVSQLVDKRDKFARLYQVAFMPSTSR >DRNTG_26236.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:460433:463865:1 gene:DRNTG_26236 transcript:DRNTG_26236.16 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQSLAPVQFYQPLLAIHGVPRPFFFFFFSTSPVTTKLHLISPPPLLTPLRFSLSDSQDQVEQQRQEEEESISSCEDDGAGFQIEIEKPGKKNRRRIKACVRVNADLETLWSVLTDYEGLADFISSLAVSQLVDKRDKFARLYQVGQQDLPFGLKFNAKGVLDCYEGDLETSSGGRRRDIQFNMVEGDFQTFQGSWSIEQMSNDMDEDETSLAQREYKTTLSYIVELEPKLWLPVRLIEGMLSTEIKVNLLCIREEAERVQRTEKRTS >DRNTG_26236.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:459695:463896:1 gene:DRNTG_26236 transcript:DRNTG_26236.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQSLAPVQFYQPLLAIHGVPRPFFFFFFSTSPVTTKLHLISPPPLLTPLRFSLSDSQDQVEQQRQEEEESISSCEDDGAGFQIEIEKPGKKNRRRIKACVRVNADLETLWSVLTDYEGLADFISSLAVSQLVDKRDKFARLYQVAFMPSTSR >DRNTG_26236.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:459695:463896:1 gene:DRNTG_26236 transcript:DRNTG_26236.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQSLAPVQFYQPLLAIHGVPRPFFFFFFSTSPVTTKLHLISPPPLLTPLRFSLSDSQDQVEQQRQEEEESISSCEDDGAGFQIEIEKPGKKNRRRIKACVRVNADLETLWSVLTDYEGLADFISSLAVSQLVDKRDKFARLYQVGQQDLPFGLKFNAKGVLDCYEGDLETSSGGRRRDIQFNMVEGDFQTFQGSWSIEQMSNDMDEDETSLAQREYKTTLSYIVELEPKLWLPVRLIEGMLSTEIKVNLLCIREEAERVQRTEKRTS >DRNTG_26236.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:460313:463865:1 gene:DRNTG_26236 transcript:DRNTG_26236.12 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQSLAPVQFYQPLLAIHGVPRPFFFFFFSTSPVTTKLHLISPPPLLTPLRFSLSDSQDQVEQQRQEEEESISSCEDDGAGFQIEIEKPGKKNRRRIKACVRVNADLETLWSVLTDYEGLADFISSLAVSQLVDKRDKFARLYQVGQQDLPFGLKFNAKGVLDCYEGDLETSSGGRRRDIQFNMVEGDFQTFQGSWSIEQMSNDMDEDETSLAQREYKTTLSYIVELEPKLWLPVRLIEGMLSTEIKVNLLCIREEAERVQRTEKRTS >DRNTG_26236.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:459695:464097:1 gene:DRNTG_26236 transcript:DRNTG_26236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQSLAPVQFYQPLLAIHGVPRPFFFFFFSTSPVTTKLHLISPPPLLTPLRFSLSDSQDQVEQQRQEEEESISSCEDDGAGFQIEIEKPGKKNRRRIKACVRVNADLETLWSVLTDYEGLADFISSLAVSQLVDKRDKFARLYQVGQQDLPFGLKFNAKGVLDCYEGDLETSSGGRRRDIQFNMVEGDFQTFQGSWSIEQMSNDMDEDETSLAQREYKTTLSYIVELEPKLWLPVRLIEGMLSTEIKVNLLCIREEAERVQRTEKRTS >DRNTG_26236.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:459695:463865:1 gene:DRNTG_26236 transcript:DRNTG_26236.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQSLAPVQFYQPLLAIHGVPRPFFFFFFSTSPVTTKLHLISPPPLLTPLRFSLSDSQDQVEQQRQEEEESISSCEDDGAGFQIEIEKPGKKNRRRIKACVRVNADLETLWSVLTDYEGLADFISSLAVSQLVDKRDKFARLYQVGQQDLPFGLKFNAKGVLDCYEGDLETSSGGRRRDIQFNMVEGDFQTFQGSWSIEQMSNDMDEDETSLAQREYKTTLSYIVELEPKLWLPVRLIEGMLSTEIKVNLLCIREEAERVQRTEKRTS >DRNTG_26236.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:460433:463896:1 gene:DRNTG_26236 transcript:DRNTG_26236.15 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQSLAPVQFYQPLLAIHGVPRPFFFFFFSTSPVTTKLHLISPPPLLTPLRFSLSDSQDQVEQQRQEEEESISSCEDDGAGFQIEIEKPGKKNRRRIKACVRVNADLETLWSVLTDYEGLADFISSLAVSQLVDKRDKFARLYQVAFMPSTSR >DRNTG_26236.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:460543:463896:1 gene:DRNTG_26236 transcript:DRNTG_26236.17 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQSLAPVQFYQPLLAIHGVPRPFFFFFFSTSPVTTKLHLISPPPLLTPLRFSLSDSQDQVEQQRQEEEESISSCEDDGAGFQIEIEKPGKKNRRRIKACVRVNADLETLWSVLTDYEGLADFISSLAVSQLVDKRDKFARLYQVGQQDLPFGLKFNAKGVLDCYEGDLETSSGGRRRDIQFNMVEGDFQTFQGSWSIEQMSNDMDEDETSLAQREYKTTLSYIVELEPKLWLPVRLIEGMLSTEIKVNLLCIREEAERVQRTEKRTS >DRNTG_26236.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:460433:463896:1 gene:DRNTG_26236 transcript:DRNTG_26236.14 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQSLAPVQFYQPLLAIHGVPRPFFFFFFSTSPVTTKLHLISPPPLLTPLRFSLSDSQDQVEQQRQEEEESISSCEDDGAGFQIEIEKPGKKNRRRIKACVRVNADLETLWSVLTDYEGLADFISSLAVSQLVDKRDKFARLYQVGQQDLPFGLKFNAKGVLDCYEGDLETSSGGRRRDIQFNMVEGDFQTFQGSWSIEQMSNDMDEDETSLAQREYKTTLSYIVELEPKLWLPVRLIEGMLSTEIKVNLLCIREEAERVQRTEKRTS >DRNTG_26236.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:460543:463865:1 gene:DRNTG_26236 transcript:DRNTG_26236.19 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQSLAPVQFYQPLLAIHGVPRPFFFFFFSTSPVTTKLHLISPPPLLTPLRFSLSDSQDQVEQQRQEEEESISSCEDDGAGFQIEIEKPGKKNRRRIKACVRVNADLETLWSVLTDYEGLADFISSLAVSQLVDKRDKFARLYQVGQQDLPFGLKFNAKGVLDCYEGDLETSSGGRRRDIQFNMVEGDFQTFQGSWSIEQMSNDMDEDETSLAQREYKTTLSYIVELEPKLWLPVRLIEGMLSTEIKVNLLCIREEAERVQRTEKRTS >DRNTG_26236.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:460313:463896:1 gene:DRNTG_26236 transcript:DRNTG_26236.10 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQSLAPVQFYQPLLAIHGVPRPFFFFFFSTSPVTTKLHLISPPPLLTPLRFSLSDSQDQVEQQRQEEEESISSCEDDGAGFQIEIEKPGKKNRRRIKACVRVNADLETLWSVLTDYEGLADFISSLAVSQLVDKRDKFARLYQVAFMPSTSR >DRNTG_26236.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:460313:463896:1 gene:DRNTG_26236 transcript:DRNTG_26236.9 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQSLAPVQFYQPLLAIHGVPRPFFFFFFSTSPVTTKLHLISPPPLLTPLRFSLSDSQDQVEQQRQEEEESISSCEDDGAGFQIEIEKPGKKNRRRIKACVRVNADLETLWSVLTDYEGLADFISSLAVSQLVDKRDKFARLYQVGQQDLPFGLKFNAKGVLDCYEGDLETSSGGRRRDIQFNMVEGDFQTFQGSWSIEQMSNDMDEDETSLAQREYKTTLSYIVELEPKLWLPVRLIEGMLSTEIKVNLLCIREEAERVQRTEKRTS >DRNTG_26236.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:460543:464097:1 gene:DRNTG_26236 transcript:DRNTG_26236.11 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQSLAPVQFYQPLLAIHGVPRPFFFFFFSTSPVTTKLHLISPPPLLTPLRFSLSDSQDQVEQQRQEEEESISSCEDDGAGFQIEIEKPGKKNRRRIKACVRVNADLETLWSVLTDYEGLADFISSLAVSQLVDKRDKFARLYQVGQQDLPFGLKFNAKGVLDCYEGDLETSSGGRRRDIQFNMVEGDFQTFQGSWSIEQMSNDMDEDETSLAQREYKTTLSYIVELEPKLWLPVRLIEGMLSTEIKVNLLCIREEAERVQRTEKRTS >DRNTG_26236.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:460313:463865:1 gene:DRNTG_26236 transcript:DRNTG_26236.13 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQSLAPVQFYQPLLAIHGVPRPFFFFFFSTSPVTTKLHLISPPPLLTPLRFSLSDSQDQVEQQRQEEEESISSCEDDGAGFQIEIEKPGKKNRRRIKACVRVNADLETLWSVLTDYEGLADFISSLAVSQLVDKRDKFARLYQVAFMPSTSR >DRNTG_26236.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:459695:463865:1 gene:DRNTG_26236 transcript:DRNTG_26236.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQSLAPVQFYQPLLAIHGVPRPFFFFFFSTSPVTTKLHLISPPPLLTPLRFSLSDSQDQVEQQRQEEEESISSCEDDGAGFQIEIEKPGKKNRRRIKACVRVNADLETLWSVLTDYEGLADFISSLAVSQLVDKRDKFARLYQVAFMPSTSR >DRNTG_26236.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:460433:464097:1 gene:DRNTG_26236 transcript:DRNTG_26236.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQSLAPVQFYQPLLAIHGVPRPFFFFFFSTSPVTTKLHLISPPPLLTPLRFSLSDSQDQVEQQRQEEEESISSCEDDGAGFQIEIEKPGKKNRRRIKACVRVNADLETLWSVLTDYEGLADFISSLAVSQLVDKRDKFARLYQVAFMPSTSR >DRNTG_26236.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:460313:464097:1 gene:DRNTG_26236 transcript:DRNTG_26236.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQSLAPVQFYQPLLAIHGVPRPFFFFFFSTSPVTTKLHLISPPPLLTPLRFSLSDSQDQVEQQRQEEEESISSCEDDGAGFQIEIEKPGKKNRRRIKACVRVNADLETLWSVLTDYEGLADFISSLAVSQLVDKRDKFARLYQVGQQDLPFGLKFNAKGVLDCYEGDLETSSGGRRRDIQFNMVEGDFQTFQGSWSIEQMSNDMDEDETSLAQREYKTTLSYIVELEPKLWLPVRLIEGMLSTEIKVNLLCIREEAERVQRTEKRTS >DRNTG_26236.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:460433:464097:1 gene:DRNTG_26236 transcript:DRNTG_26236.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQSLAPVQFYQPLLAIHGVPRPFFFFFFSTSPVTTKLHLISPPPLLTPLRFSLSDSQDQVEQQRQEEEESISSCEDDGAGFQIEIEKPGKKNRRRIKACVRVNADLETLWSVLTDYEGLADFISSLAVSQLVDKRDKFARLYQVGQQDLPFGLKFNAKGVLDCYEGDLETSSGGRRRDIQFNMVEGDFQTFQGSWSIEQMSNDMDEDETSLAQREYKTTLSYIVELEPKLWLPVRLIEGMLSTEIKVNLLCIREEAERVQRTEKRTS >DRNTG_32570.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10891115:10891810:-1 gene:DRNTG_32570 transcript:DRNTG_32570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSRPVNPHGLVDFPHDRIAPRSKNTTDVSSLRKVQLAHDMADEVEELLSVCSWRKLFIIRDAAIGLLTLEVLASFEFDRSYAHFGSVDAIQFKAFGQHHSMCITQFSIRLGLYDEGFIEMEEYEDLPIDISGGLTPQEAYKILCGKGRYEPGVSKASCLSRPSYRYLPV >DRNTG_02389.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:6839462:6843061:-1 gene:DRNTG_02389 transcript:DRNTG_02389.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSDDPVCNFFRKDLTNKRIRKRKLDDDDDDYHGGEDQQQPQSMEKSKKALRDNKLYFSSSTAVGASDKDSSLFRFESSNEIQVNHDSRATATLETETDFSRDARAIRERVLKQADEALKGKSKGKGDEKVYKGINGYTDYKAGFRREQTVAGEKAGGAHGPLRASAHIRSSARFDYQPDICKDYKETGYCGFGDSCKFMHDRGDYKSGWQLEKEWEESEKARKKGLAMGGGDGGGVDADVDDDDDDEDELPFACYICRQPFQDPVVTKCKHYFCEHCALKHHSRNKKCFVCNKPTLGIFNTAHEIKKKIAEQK >DRNTG_02389.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:6839405:6843061:-1 gene:DRNTG_02389 transcript:DRNTG_02389.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSDDPVCNFFRKDLTNKRIRKRKLDDDDDDYHGGEDQQQPQSMEKSKKALRDNKLYFSSSTAVGASDKDSSLFRFESSNEIQVNHDSRATATLETETDFSRDARAIRERVLKQADEALKGKSKGKGDEKVYKGINGYTDYKAGFRREQTVAGEKAGGAHGPLRASAHIRSSARFDYQPDICKDYKETGYCGFGDSCKFMHDRGDYKSGWQLEKEWEESEKARKKGLAMGGGDGGGVDADVDDDDDDEDELPFACYICRQPFQDPVVTKCKHYFCEHCALKHHSRNKKCFVCNKPTLGIFNTAHEIKKKIAEQK >DRNTG_10009.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3144922:3148066:1 gene:DRNTG_10009 transcript:DRNTG_10009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPCSNWLPDDIAIAIAVRLEVWDVCSLASCSRFWRALCASDCLWFALCRKRWPALASDEASSSAFQGWRSFYINNHIKMANRASSVIKFVEECSQNESLEVGYYLRAIRELCSMEAGFKDVQLFLFTRQSSALLNLIGLHYSILSLGIPPNEVIEALQSHHIAERQICVNWFKLGRWFYGFRLPDESRSRKITLVELATSKEEPTLAMLDRGAIHEVLRVQITQLT >DRNTG_27755.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24457293:24458159:-1 gene:DRNTG_27755 transcript:DRNTG_27755.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSNVADFIRKEVNLPPVLIGHSFGGLIVQSYLSRMENEREDLLPLLAGAVLVCSVPPSGNSGLVWRYLFTKPIAAVKVSAHVNQCHTFMFCL >DRNTG_27755.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24455329:24458613:-1 gene:DRNTG_27755 transcript:DRNTG_27755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLLAVPFPIQSHLPLLSSKRTRMGLAVTAAYELKEGQSRIFHRLPSGLRMEAIVQSPLPGSGVSGSTLPLVFVHGSFHAAWCWAEHWLPFFSSSGHHCFALSLLAQGESDVPEGSSSAGTLQMHVSNVADFIRKEVNLPPVLIGHSFGGLIVQSYLSRMENEREDLLPLLAGAVLVCSVPPSGNSGLVWRYLFTKPIAAVKVTLSLAAKAFMNSLPLCKETFFSSTVDDHLVLRYQTLMRESSKLPLFDLRKLNASLPVPSIPKNSVKLLIIGASDDFIVDLEGLQETAKFYDVQPVCLEGVAHDVMLDLNWQKGAEIIKSWLKNLL >DRNTG_33278.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23877585:23881121:1 gene:DRNTG_33278 transcript:DRNTG_33278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGKHGVMVVTPLQHPQNPIEHLQARFKELENGFKAWVSRQPIPIEAAVVGGTAAIQGAAIGGLMGTLTSDISSTFPSPSLDAPGLNPQAMASLKQAQAFSGGPLIQARNFAVMTGVNAGISCVLKRIRGVEDLQSSVVAAFGSGATFSLVSGMGGPNQAGNAIVSGLFFALVQGGIFKFSQKFSQPPADDTFYTRTRSMLSSLGLQKYEKNFKKGLLTDSTLPLLTDSALRDVKIPPGPRLLILDHIQRDDEFSKVKRRM >DRNTG_14251.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1021325:1029853:1 gene:DRNTG_14251 transcript:DRNTG_14251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQDLALLCYLVVLEKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSTIREEQISRFTSDSKERFRGNAGVVVTTYNMVAFGGKRSEDSEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQALYVMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTAYAMKLRKPMIYGATSHPERTKILEAFKHSPDVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGRHQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPDSGPDLSYHSLDEQLDLLNKLLNAGDDAVGLEQLEEDADDIALQKARRTSGSMSAFSGAGGHIYMEYNTGKGKQGALKSKPKDPSKRHALFKRRFN >DRNTG_22361.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001239.1:7232:9992:1 gene:DRNTG_22361 transcript:DRNTG_22361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFSSSSSSSSSYSSSPSSFSLVSRLAEVDLVSRQDGQRETL >DRNTG_22361.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001239.1:7232:9746:1 gene:DRNTG_22361 transcript:DRNTG_22361.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFSSSSSSSSSYSSSPSSFSLVSRLAEVDLVSRQDGQRETL >DRNTG_01681.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23794301:23800679:-1 gene:DRNTG_01681 transcript:DRNTG_01681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLMRCQRLLLLSALLIYAAMQEISAITSDGEALLSFKTSIVGSDGIFQQWRQEDSDPCIWSGVTCDNHTRRVIRLNLSYHKLIGSISPDIGKLSNLKLLALHGNSLYGNIPPEIGNCTELQHVYLQGNYLSESIPPEFGNLWELETLDLSSNTLSGSIPVSLGRLTKLTEFNVSTNFLTGLIPTDGTLSKFTENSFIGNRGLCGKQIHVDCKDEFGSAPGGSGAPSSDNPGNKKPTRNSTRLVISAVATVCALLLVALMCFWGCFLYKKFGKNEIGGLAMDVSGGASIVMFHGDLPYTTKDILKKLETLNDENIIGSGGFGTVYKLAMDDGNVFALKRIIKTNEGLDRFFDRELEILGSIKHRYLVNLRGYCNSPSSKLLLYDFLAGGSLDEALHERSEQLDWDARLNIIMGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNLDARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVISGKRPTDSSFIEKGLNIVGWLNFLVVENRQREIIDPQCEGVQLESLDALLSVAIQCVSSNPEDRPTMHRVVQILESEVMTPCPSDFYDSNSE >DRNTG_04723.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8271398:8275706:1 gene:DRNTG_04723 transcript:DRNTG_04723.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNPCTVMDILFDVQDDEVLYIPGVMTPTEVLSAYKSGARIVKVYPVSILGGHKYISALKKPFPHIPMVASQGIAMDSIGRYIQEGASAVVLSDAIFEKEAMRQRNFDEIQRLARLASFQGLGAGKHQGQDLKLKKEFEHSSWRELLQS >DRNTG_04723.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8269943:8275706:1 gene:DRNTG_04723 transcript:DRNTG_04723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIPNKNHALCLSISFSPASPMAATMLSLSSPLPPSSHPPCSSPPPPPPRALLEIQRSRIIACLRTSEGQLALEASRAALRGGVSVVEIVMSTPGVLEVIKELVDEHPSSVIGVGTVLDAADARKAINVGAKFLMNPCTVMDILFDVQDDEVLYIPGVMTPTEVLSAYKSGARIVKVYPVSILGGHKYISALKKPFPHIPMVASQGIAMDSIGRYIQEGASAVVLSDAIFEKEAMRQRNFDEIQRLARLASFQGLGAGKHQGQGILLPFPHQITYNVFTTT >DRNTG_04723.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8274755:8275706:1 gene:DRNTG_04723 transcript:DRNTG_04723.8 gene_biotype:protein_coding transcript_biotype:protein_coding LSTDSIGRYIQEGASAVVLSDAIFEKEAMRQRNFDEIQRLARLASFQGLGAGKHQGQGILLPFPHQITYNVFTTT >DRNTG_04723.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8269943:8273049:1 gene:DRNTG_04723 transcript:DRNTG_04723.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNPCTVMDILFDVQDDEVLYIPGVMTPTEVLSAYKSGARIVK >DRNTG_04723.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8269943:8275706:1 gene:DRNTG_04723 transcript:DRNTG_04723.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPCTVMDILFDVQDDEVLYIPGVMTPTEVLSAYKSGARIVKVYPVSILGGHKYISALKKPFPHIPMVASQGIAMDSIGRYIQEGASAVVLSDAIFEKEAMRQRNFDEIQRLARLASFQGLGAGKHQGQAASWSRFEVKKGI >DRNTG_04723.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8269943:8271315:1 gene:DRNTG_04723 transcript:DRNTG_04723.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIPNKNHALCLSISFSPASPMAATMLSLSSPLPPSSHPPCSSPPPPPPRALLEIQRSRIIACLRTSEGQLALEASRAALRGGVSVVEIVMSTPGVLEVV >DRNTG_04723.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8273636:8275706:1 gene:DRNTG_04723 transcript:DRNTG_04723.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVASQGIAMDSIGRYIQEGASAVVLSDAIFEKEAMRQRNFDEIQRLARLASFQGLGAGKHQGQGILLPFPHQITYNVFTTT >DRNTG_04723.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8269943:8275706:1 gene:DRNTG_04723 transcript:DRNTG_04723.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIPNKNHALCLSISFSPASPMAATMLSLSSPLPPSSHPPCSSPPPPPPRALLEIQRSRIIACLRTSEGQLALEASRAALRGGVSVVEIVMSTPGVLEVIKELVDEHPSSVIGVGTVLDAADARKAINVGAKFLMNPCTVMDILFDVQDDEVLYIPGVMTPTEVLSAYKSGARIVKVYPVSILGGHKYISALKKPFPHIPMVASQGIAMDSIGRYIQEGASAVVLSDAIFEKEAMRQRNFDEIQRLARLASFQGLGAGKHQGQDIFIYDELLVMLMKTNSSCILE >DRNTG_15378.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26935078:26938702:1 gene:DRNTG_15378 transcript:DRNTG_15378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFGRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSSNIANKG >DRNTG_17433.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6166148:6170476:-1 gene:DRNTG_17433 transcript:DRNTG_17433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEGSAAATEAAAEAEDEYRVWKKNTPFLYDLVISHALEWPSLTVQWLPAPSSSSSSQAPIHGLLLGTHTSDDAPNFLMLSSVRFPLRSAPATADSAPIPSIEIYQSVRHDGEVNRARFMPQKPDIVATKTCGSDVHVFDCGRRRLGSPSDGEDCAPDVLLRGHSTEGYGISWNPMKEGRLLSGSYDSKICLWDVGEISRVKSLDAYQVFEAHSAAVEDVAWHSRNENLFGSVGDDHMLMIWDLRSSSPEKPQHSVTAHQDEVNSLSFSPFNEWILATASADSNINLFDLRKLTTGLHTFSSHTAAAFQVEWSPNHETILASCAEDKRLMVWDLSRIGDEQTEEDAEDGPPELLFAHGGHTAKISEFSWHPSEPWVIASVAEDNILQVWKMAESIYRDDYYNIHNEEDDVHAVAL >DRNTG_33523.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20571593:20577790:-1 gene:DRNTG_33523 transcript:DRNTG_33523.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIETAGGADLGSRELDGSMEPAMKNGVADQGSGEGFKRDMRDLEELLSKLNPMAEEFVPPSLANNQQVGGGRPYSTGFGSPDGVGNGIANGGRRKKNGYGQGKRRMNNRTSMAQKEEVIRRTVYVSEIDHQVTEEQLAALFINCGNVVDCRICGDPNSVLRFAFIEFTDEEGARVALSLQGTMLGYYPVRVLPSKTAIAPVNPTFLPKSEDEREMCTRTIYCTNIDKKVSQTHLRNFFETLCGEVYRLRLLGDYHHSTRIAFVEFAMAESAIAALNCSGVVLGSLPIRVSPSKTPVRPRSPRPPVH >DRNTG_29232.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3310752:3312762:-1 gene:DRNTG_29232 transcript:DRNTG_29232.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDFLQIASSMIGGLFTILFLCKLSLLISNKISNKKKSHLQEPPQPSGALPIIGHLHLLKRRRSLVLYLADLADELGPIFTLRVGSRRIVILSNSETAKDSFTTCDKALSNRPISTIGDLMGYNRSMILFAPYGPYWRAVRRIATTELLSNSHLNALSRVVIKEVYICIKGLFSQYTSNNMQPIKVEMMSWLGDINYNVVLDMIVSKLYFRSGNGGVVSEDFFKFRKALRDFVRLVGAFIPGDMFPFLKWFDFGGYKKVMRSAFKDIDSVLSALLEDHKQRRLFRKESDDLDFMDVVLTAMDDPEFSEYDQDTVTKATCLSMIMGGTHTTTLYLIWTLALLLNNRPVMDKVRSEIDEKVGKERLVEQSDIKNLVYWSAVVKEAFRLCPAGELMVSREASEDCQISGYHIPKGYYVAVNVWKVQRDPVVWPDPLEFKPERFLTTHKGIDVRGQHYELLPLRAGRRACPGGSFSIQVMDLVMTRLIQAFDLETPGKVPVEINQSLGLLNVKSMEVMLTPRLSPTLYVL >DRNTG_29232.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3310828:3312798:-1 gene:DRNTG_29232 transcript:DRNTG_29232.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDFLQIASSMIGGLFTILFLCKLSLLISNKISNKKKSHLQEPPQPSGALPIIGHLHLLKRRRSLVLYLADLADELGPIFTLRVGSRRIVILSNSETAKDSFTTCDKALSNRPISTIGDLMGYNRSMILFAPYGPYWRAVRRIATTELLSNSHLNALSRVVIKEVYICIKGLFSQYTSNNMQPIKVEMMSWLGDINYNVVLDMIVSKLYFRSGNGGVVSEDFFKFRKALRDFVRLVGAFIPGDMFPFLKWFDFGGYKKVMRSAFKDIDSVLSALLEDHKQRRLFRKESDDLDFMDVVLTAMDDPEFSEYDQDTVTKATCLSMIMGGTHTTTLYLIWTLALLLNNRPVMDKVRSEIDEKVGKERLVEQSDIKNLVYWSAVVKEAFRLCPAGELMVSREASEDCQISGYHIPKGYYVAVNVWKVQRDPVVWPDPLEFKPERFLTTHKGIDVRGQHYELLPLRAGRRACPGGSFSIQVMDLVMTRLIQAFDLETPGKVPVEINQSLGLLNVKSMEVMLTPRLSPTLYVL >DRNTG_29232.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3310631:3312762:-1 gene:DRNTG_29232 transcript:DRNTG_29232.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDFLQIASSMIGGLFTILFLCKLSLLISNKISNKKKSHLQEPPQPSGALPIIGHLHLLKRRRSLVLYLADLADELGPIFTLRVGSRRIVILSNSETAKDSFTTCDKALSNRPISTIGDLMGYNRSMILFAPYGPYWRAVRRIATTELLSNSHLNALSRVVIKEVYICIKGLFSQYTSNNMQPIKVEMMSWLGDINYNVVLDMIVSKLYFRSGNGGVVSEDFFKFRKALRDFVRLVGAFIPGDMFPFLKWFDFGGYKKVMRSAFKDIDSVLSALLEDHKQRRLFRKESDDLDFMDVVLTAMDDPEFSEYDQDTVTKATCLSMIMGGTHTTTLYLIWTLALLLNNRPVMDKVRSEIDEKVGKERLVEQSDIKNLVYWSAVVKEAFRLCPAGELMVSREASEDCQISGYHIPKGYYVAVNVWKVQRDPVVWPDPLEFKPERFLTTHKGIDVRGQHYELLPLRAGRRACPGGSFSIQVMDLVMTRLIQAFDLETPGKVPVEINQSLGLLNVKSMEVMLTPRLSPTLYVL >DRNTG_29232.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3310752:3312798:-1 gene:DRNTG_29232 transcript:DRNTG_29232.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDFLQIASSMIGGLFTILFLCKLSLLISNKISNKKKSHLQEPPQPSGALPIIGHLHLLKRRRSLVLYLADLADELGPIFTLRVGSRRIVILSNSETAKDSFTTCDKALSNRPISTIGDLMGYNRSMILFAPYGPYWRAVRRIATTELLSNSHLNALSRVVIKEVYICIKGLFSQYTSNNMQPIKVEMMSWLGDINYNVVLDMIVSKLYFRSGNGGVVSEDFFKFRKALRDFVRLVGAFIPGDMFPFLKWFDFGGYKKVMRSAFKDIDSVLSALLEDHKQRRLFRKESDDLDFMDVVLTAMDDPEFSEYDQDTVTKATCLSMIMGGTHTTTLYLIWTLALLLNNRPVMDKVRSEIDEKVGKERLVEQSDIKNLVYWSAVVKEAFRLCPAGELMVSREASEDCQISGYHIPKGYYVAVNVWKVQRDPVVWPDPLEFKPERFLTTHKGIDVRGQHYELLPLRAGRRACPGGSFSIQVMDLVMTRLIQAFDLETPGKVPVEINQSLGLLNVKSMEVMLTPRLSPTLYVL >DRNTG_29232.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3310631:3312798:-1 gene:DRNTG_29232 transcript:DRNTG_29232.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDFLQIASSMIGGLFTILFLCKLSLLISNKISNKKKSHLQEPPQPSGALPIIGHLHLLKRRRSLVLYLADLADELGPIFTLRVGSRRIVILSNSETAKDSFTTCDKALSNRPISTIGDLMGYNRSMILFAPYGPYWRAVRRIATTELLSNSHLNALSRVVIKEVYICIKGLFSQYTSNNMQPIKVEMMSWLGDINYNVVLDMIVSKLYFRSGNGGVVSEDFFKFRKALRDFVRLVGAFIPGDMFPFLKWFDFGGYKKVMRSAFKDIDSVLSALLEDHKQRRLFRKESDDLDFMDVVLTAMDDPEFSEYDQDTVTKATCLSMIMGGTHTTTLYLIWTLALLLNNRPVMDKVRSEIDEKVGKERLVEQSDIKNLVYWSAVVKEAFRLCPAGELMVSREASEDCQISGYHIPKGYYVAVNVWKVQRDPVVWPDPLEFKPERFLTTHKGIDVRGQHYELLPLRAGRRACPGGSFSIQVMDLVMTRLIQAFDLETPGKVPVEINQSLGLLNVKSMEVMLTPRLSPTLYVL >DRNTG_29232.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3310828:3312849:-1 gene:DRNTG_29232 transcript:DRNTG_29232.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDFLQIASSMIGGLFTILFLCKLSLLISNKISNKKKSHLQEPPQPSGALPIIGHLHLLKRRRSLVLYLADLADELGPIFTLRVGSRRIVILSNSETAKDSFTTCDKALSNRPISTIGDLMGYNRSMILFAPYGPYWRAVRRIATTELLSNSHLNALSRVVIKEVYICIKGLFSQYTSNNMQPIKVEMMSWLGDINYNVVLDMIVSKLYFRSGNGGVVSEDFFKFRKALRDFVRLVGAFIPGDMFPFLKWFDFGGYKKVMRSAFKDIDSVLSALLEDHKQRRLFRKESDDLDFMDVVLTAMDDPEFSEYDQDTVTKATCLSMIMGGTHTTTLYLIWTLALLLNNRPVMDKVRSEIDEKVGKERLVEQSDIKNLVYWSAVVKEAFRLCPAGELMVSREASEDCQISGYHIPKGYYVAVNVWKVQRDPVVWPDPLEFKPERFLTTHKGIDVRGQHYELLPLRAGRRACPGGSFSIQVMDLVMTRLIQAFDLETPGKVPVEINQSLGLLNVKSMEVMLTPRLSPTLYVL >DRNTG_29232.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3310631:3312849:-1 gene:DRNTG_29232 transcript:DRNTG_29232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDFLQIASSMIGGLFTILFLCKLSLLISNKISNKKKSHLQEPPQPSGALPIIGHLHLLKRRRSLVLYLADLADELGPIFTLRVGSRRIVILSNSETAKDSFTTCDKALSNRPISTIGDLMGYNRSMILFAPYGPYWRAVRRIATTELLSNSHLNALSRVVIKEVYICIKGLFSQYTSNNMQPIKVEMMSWLGDINYNVVLDMIVSKLYFRSGNGGVVSEDFFKFRKALRDFVRLVGAFIPGDMFPFLKWFDFGGYKKVMRSAFKDIDSVLSALLEDHKQRRLFRKESDDLDFMDVVLTAMDDPEFSEYDQDTVTKATCLSMIMGGTHTTTLYLIWTLALLLNNRPVMDKVRSEIDEKVGKERLVEQSDIKNLVYWSAVVKEAFRLCPAGELMVSREASEDCQISGYHIPKGYYVAVNVWKVQRDPVVWPDPLEFKPERFLTTHKGIDVRGQHYELLPLRAGRRACPGGSFSIQVMDLVMTRLIQAFDLETPGKVPVEINQSLGLLNVKSMEVMLTPRLSPTLYVL >DRNTG_29232.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3310752:3312849:-1 gene:DRNTG_29232 transcript:DRNTG_29232.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDFLQIASSMIGGLFTILFLCKLSLLISNKISNKKKSHLQEPPQPSGALPIIGHLHLLKRRRSLVLYLADLADELGPIFTLRVGSRRIVILSNSETAKDSFTTCDKALSNRPISTIGDLMGYNRSMILFAPYGPYWRAVRRIATTELLSNSHLNALSRVVIKEVYICIKGLFSQYTSNNMQPIKVEMMSWLGDINYNVVLDMIVSKLYFRSGNGGVVSEDFFKFRKALRDFVRLVGAFIPGDMFPFLKWFDFGGYKKVMRSAFKDIDSVLSALLEDHKQRRLFRKESDDLDFMDVVLTAMDDPEFSEYDQDTVTKATCLSMIMGGTHTTTLYLIWTLALLLNNRPVMDKVRSEIDEKVGKERLVEQSDIKNLVYWSAVVKEAFRLCPAGELMVSREASEDCQISGYHIPKGYYVAVNVWKVQRDPVVWPDPLEFKPERFLTTHKGIDVRGQHYELLPLRAGRRACPGGSFSIQVMDLVMTRLIQAFDLETPGKVPVEINQSLGLLNVKSMEVMLTPRLSPTLYVL >DRNTG_29232.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3310828:3312762:-1 gene:DRNTG_29232 transcript:DRNTG_29232.9 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDFLQIASSMIGGLFTILFLCKLSLLISNKISNKKKSHLQEPPQPSGALPIIGHLHLLKRRRSLVLYLADLADELGPIFTLRVGSRRIVILSNSETAKDSFTTCDKALSNRPISTIGDLMGYNRSMILFAPYGPYWRAVRRIATTELLSNSHLNALSRVVIKEVYICIKGLFSQYTSNNMQPIKVEMMSWLGDINYNVVLDMIVSKLYFRSGNGGVVSEDFFKFRKALRDFVRLVGAFIPGDMFPFLKWFDFGGYKKVMRSAFKDIDSVLSALLEDHKQRRLFRKESDDLDFMDVVLTAMDDPEFSEYDQDTVTKATCLSMIMGGTHTTTLYLIWTLALLLNNRPVMDKVRSEIDEKVGKERLVEQSDIKNLVYWSAVVKEAFRLCPAGELMVSREASEDCQISGYHIPKGYYVAVNVWKVQRDPVVWPDPLEFKPERFLTTHKGIDVRGQHYELLPLRAGRRACPGGSFSIQVMDLVMTRLIQAFDLETPGKVPVEINQSLGLLNVKSMEVMLTPRLSPTLYVL >DRNTG_02817.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000129.1:26081:32759:1 gene:DRNTG_02817 transcript:DRNTG_02817.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSIGLLLPSWWEIEVTVSAALFVIAVYSLFEKFYSCGCAEDGRKKGAEEAALASEPLLRDSDEKEKMSHVKGDSQGTSSYLIKLELLAAKNLIGANLNGTSDPYAIITCGEQKKFSSMVPGSRNPMW >DRNTG_15729.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4265613:4268197:-1 gene:DRNTG_15729 transcript:DRNTG_15729.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEITESCLVVPNEETPKHRLWLSNLDVFAPRDHAPFFFLYKPNGDPNFFSVDTLKMALSKVLVTFYPLAGRLVLDGDGRPEVDCNAEGVLFSVARAACTVDGFGDFRPTPVLRQLLIPSVTGPERSSILMLFQLTYFECGGVCLGCATHHSVTDGVSALHFINAWSEIARCGSAITSVPPFLDRTVLRARSPPTVMFDHIEYTCDQLYCKYVPLDEMGQACQTAILTISKDQLNTLKHGLNGDRNLSTFKAVGVHLWRTACKARELANEQDTRVYLTADARPRLKPVLPMAYLGNAILRT >DRNTG_15729.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4265613:4268197:-1 gene:DRNTG_15729 transcript:DRNTG_15729.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEITESCLVVPNEETPKHRLWLSNLDVFAPRDHAPFFFLYKPNGDPNFFSVDTLKMALSKVLVTFYPLAGRLVLDGDGRPEVDCNAEGVLFSVARAACTVDGFGDFRPTPVLRQLLIPSVTGPERSSILMLFQLTYFECGGVCLGCATHHSVTDGVSALHFINAWSEIARCGSAITSVPPFLDRTVLRARSPPTVMFDHIEYTCDQLYCKYVPLDEMGQACQTAILTISKDQLNTLKHGLNGDRNLSTFKAVGVHLWRTACKARELANEQDTRVYLTADARPRLKPVLPMAYLGNAILRT >DRNTG_15729.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4246114:4268197:-1 gene:DRNTG_15729 transcript:DRNTG_15729.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEITESCLVVPNEETPKHRLWLSNLDVFAPRDHAPFFFLYKPNGDPNFFSVDTLKMALSKVLVTFYPLAGRLVLDGDGRPEVDCNAEGVFFSVARAACTVEGFGDFRPSPVLRQLLIPSVTGPERSSILTLFQLTYFECGGVCLGCAMHHSVTDGVSALHFINAWSEIARCGSAITSVPPFLDRTVLRARSPPTVMFDHIEYTCDQLYCKYVPLDEMGQACQTAILTISKDQLNKLKHGRNLSTFKAVGVHLWRTACKARELTDEQDTRVYLTADARARLKPVLPMAYLGNAILRTSAHLRVGDLVSKPFESGVAKIVEAVNSLDDEHIRSLVDLLEIHKSNKEKVLGSRALKMVDFHVNSWLSLPIYEADFGWGKPWFMGRASMRYVGQAYMMRGGAENSGGVSAVVAFESKNMVRFKEIFYKDLDSYVSEVQGSV >DRNTG_15729.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4246114:4248369:-1 gene:DRNTG_15729 transcript:DRNTG_15729.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLEITESCIVVPNEETPKHRLWLSNLDVFAPRDHAPTFYLYKPNGDPNFFSVDTLKKALSKVLVTFYPLAGRLVLDGDGRPEVDCNAEGVFFSVARAACTVEGFGDFRPSPVLRQLLIPSVTGPERSSILTLFQLTYFECGGVCLGCAMHHSVTDGVSALHFINAWSEIARCGSAITSVPPFLDRTVLRARSPPTVMFDHIEYTCDQLYCKYVPLDEMGQACQTAILTISKDQLNKLKHGRNLSTFKAVGVHLWRTACKARELTDEQDTRVYLTADARARLKPVLPMAYLGNAILRTSAHLRVGDLVSKPFESGVAKIVEAVNSLDDEHIRSLVDLLEIHKSNKEKVLGSRALKMVDFHVNSWLSLPIYEADFGWGKPWFMGRASMRYVGQAYMMRGGAENSGGVSAVVAFESKNMVRFKEIFYKDLDSYVSEVQGSV >DRNTG_15729.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4246114:4248369:-1 gene:DRNTG_15729 transcript:DRNTG_15729.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLEITESCIVVPNEETPKHRLWLSNLDVFAPRDHAPTFYLYKPNGDPNFFSVDTLKKALSKVLVTFYPLAGRLVLDGDGRPEVDCNAEGVFFSVARAACTVEGFGDFRPSPVLRQLLIPSVTGPERSSILTLFQLTYFECGGVCLGCAMHHSVTDGVSALHFINAWSEIARCGSAITSVPPFLDRTVLRARSPPTVMFDHIEYTCDQLYCKYVPLDEMGQACQTAILTISKDQLNKLKHGRNLSTFKAVGVHLWRTACKARELTDEQDTRVYLTADARARLKPVLPMAYLGNAILRTSAHLRVGDLVSKPFESGVAKIVEAVNSLDDEHIRSLVDLLEIHKSNKEKVLGSRALKMVDFHVNSWLSLPIYEADFGWGKPWFMGRASMRYVGQAYMMRGGAENSGGVSAVVAFESKNMVRFKEIFYKDLDSYVSEVQGSV >DRNTG_15729.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4246114:4248369:-1 gene:DRNTG_15729 transcript:DRNTG_15729.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLEITESCIVVPNEETPKHRLWLSNLDVFAPRDHAPTFYLYKPNGDPNFFSVDTLKKALSKVLVTFYPLAGRLVLDGDGRPEVDCNAEGVFFSVARAACTVEGFGDFRPSPVLRQLLIPSVTGPERSSILTLFQLTYFECGGVCLGCAMHHSVTDGVSALHFINAWSEIARCGSAITSVPPFLDRTVLRARSPPTVMFDHIEYTCDQLYCKYVPLDEMGQACQTAILTISKDQLNKLKHGRNLSTFKAVGVHLWRTACKARELTDEQDTRVYLTADARARLKPVLPMAYLGNAILRTSAHLRVGDLVSKPFESGVAKIVEAVNSLDDEHIRSLVDLLEIHKSNKEKVLGSRALKMVDFHVNSWLSLPIYEADFGWGKPWFMGRASMRYVGQAYMMRGGAENSGGVSAVVAFESKNMVRFKEIFYKDLDSYVSEVQGSV >DRNTG_34640.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:201977:203474:-1 gene:DRNTG_34640 transcript:DRNTG_34640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQVEIKSKETIKPSSPTPPNHPPIQLSSLDHTSPHVYAHILLFYSTTINPNHLKTSLSTTLLNFSPLAGRIHRSNSDDTLYVHCTDDGAVFIEAEAHQAFNLQSFLTASSSSIDDFRKLLPIKKEHFNLLLEDPLLAVQLTVFDSGGHVLGLSMSHLIADGASMAIFLKHWSSISRSDATNIINGSLPNFHSASLLFSPSEPWQGWRPTRVEAVNGLFWRCLRRANVSMADGHVVSLVVNLRKRMGSKLSINESFGNLWVGVNVVSSECERLEEALREAVTSVDEEYVQKLIEGKMKKRSACWILNSWCRMGFYESSDFGSGEAIWVACGSREVKNVCLLIDAKDGVGVEVWVWLDVDEMERLERDTELLDFVSFVGR >DRNTG_23727.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:818513:822156:1 gene:DRNTG_23727 transcript:DRNTG_23727.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAPSISASDGVSPSAPDPRNATTNKFFVWREFVWGAIAGAFGEGMMHPIDTLKTRIQSQAVISGSQSEKSILQMLRTVSATDGLRGFYRGVTPGLTGSLATGATYFGVIESTKKWMEEKNPNLGGHWSHFIAGAVGDTLGSIVYVPCEVVKQRMQVQGTKQSWSSSILQKNVSQKTGPQMYCYYTGMFQAGHSIWKQHGVKGLYAGYWSTLARDVPFAGLMVTFYEALKDLADFGKRRLLPRSDLQVTNSFEGLILGGIAGGLSAYLTTPLDVIKTRLQVQGSISRYNGWSDALRTIWRTEGLKGMFKGSIPRVIWYIPASALTFMAVEFLRDHFNEKMDDNVHEVTGLSLDTKSKIQEPV >DRNTG_23727.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:818513:822156:1 gene:DRNTG_23727 transcript:DRNTG_23727.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAPSISASDGVSPSAPDPRNATTNKFFVWREFVWGAIAGAFGEGMMHPIDTLKTRIQSQAVISGSQSEKSILQMLRTVSATDGLRGFYRGVTPGLTGSLATGATYFGVIESTKKWMEEKNPNLGGHWSHFIAGAVGDTLGSIVYVPCEVVKQRMQVQGTKQSWSSSILQKNVSQKTGPQMYCYYTGMFQAGHSIWKQHGVKGLYAGYWSTLARDVPFAGLMVCLLQY >DRNTG_08798.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4347351:4349246:1 gene:DRNTG_08798 transcript:DRNTG_08798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPIEREAVLANIALQKTVDYPIIIEIACINSPVDLLVVKQAYQALYKQSLEEM >DRNTG_08256.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17666335:17671362:-1 gene:DRNTG_08256 transcript:DRNTG_08256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLKNPKKLKRKNKSSKKGESSSVPSAPAKVWRPGVDQLEEGEELQCDPSAYNYLHAFSIGWPCLSFDVVHDSLGLIRTEFPHTLYGVAGTQAEQASWNYIALFKLSNVSGKKRELMPVAGDGDEEMDSDSSSDEDEEDGDGGDKQPVLQLHKVAHQGCVNRIRSMAQKPHICATWADTGHVQVWDFTSALNSLAESEADVRTGDNSIHLGSTLMKFGGHKNEGYAIDWSPIVPGRLVSGDCNSCIHLWEPSLETWKVNTTPFVGHDASVEDLQWSPTEADVFASCSVDGKIAIWDSRLGNKPALSIKAHNTDVNVISWNRLASCMIASGSDDGTFSIRDLRLIKGESLVAHFEYHKLPITSIEWSPHEASTIAVSSADNQLTIWDLSLERDEEEEAEFKAKMKEQVNAPEDLPPQLLFVHQGQKDLKELHWHKQIPGMLISTAADGFNVLMPSNVETTLPSTTS >DRNTG_32544.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20096312:20105602:1 gene:DRNTG_32544 transcript:DRNTG_32544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDEEDDDDEDEVIEEDDEESEDEDEEVEEQPKANNASELDIACNLSLATFLVNYYTAHSSSNEF >DRNTG_32544.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20096312:20099668:1 gene:DRNTG_32544 transcript:DRNTG_32544.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDEEDDDDEDEVIEEDDEESEDEDEEVEEQPKANNASELDIACNLSLATFLVNSNKSAFLIMLSN >DRNTG_12528.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18747703:18750721:1 gene:DRNTG_12528 transcript:DRNTG_12528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFPAQHAQDRRLRRIMKSPDPLEEQRLKELKQGELGPTGLFG >DRNTG_12528.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18747703:18748394:1 gene:DRNTG_12528 transcript:DRNTG_12528.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFPAQHAQDRRLRRIMKSPDPLEEQRLKELKQGELGPTGLFG >DRNTG_12528.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18747703:18750721:1 gene:DRNTG_12528 transcript:DRNTG_12528.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFPAQHAQDRRLRRIMKSPDPLEEQRLKELKQGELGPTGLFG >DRNTG_24265.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27457248:27465182:1 gene:DRNTG_24265 transcript:DRNTG_24265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLATTYAAVNTLVTLGSERAFSSIDRGKMYEFLLRMKDASGAFRMHDGGEIDVRACYTAVSVASMLNILDSVLIKDV >DRNTG_27663.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8492147:8495588:1 gene:DRNTG_27663 transcript:DRNTG_27663.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEMAETGGYGDQIWGFDGLFDVRMKERVGTGDDGLFDYG >DRNTG_19966.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:397893:399691:1 gene:DRNTG_19966 transcript:DRNTG_19966.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFEKNEEPSLKNQPHESSTSFTRCCCFFILILLSLKALVVIILIILVFVIRPKQPQFHLHSISFQSFNLDVNSSQQLNASSSLAYVLLVTENPNKLGIRYNPSELAILYDHEAFGVIQVPVFYQPLRSRNVTVQVQVSFQPWEVSKLINGIALSSNGTINGEIHIFGIIQAQPHALNFPLLTIKVYLDCRVCIEYDGGVWPCIQ >DRNTG_04036.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11352349:11354349:1 gene:DRNTG_04036 transcript:DRNTG_04036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWRRSNTLAGYTRLSWHCYHAVNQWVNIKGMSTLTALNLAAAAVKETLLLACSMQLLNPWCISCVLLLLLLPCAFPYGALRAEWRSVFPRDRHGGYHVPWPMGRGVTRKVIPIVDEDVVTKDATMKEDDGMKTDGAMEDINAVPVTISPK >DRNTG_04910.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:10815009:10834050:1 gene:DRNTG_04910 transcript:DRNTG_04910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERPSRSPRSFQIGGHLNYRIVNQRGLLLPRPVMNNQDYKVVGHQEGCQRHENQQERRRPPNALPPDHLALIHQVLHHYKLFPRSRLQQPWRRCARENSTLHSGDAMTKECKRGFFWES >DRNTG_04910.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:10815009:10834266:1 gene:DRNTG_04910 transcript:DRNTG_04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPSRSPRSFQIGGHLNYRIVNQRGLLLPRPVMNNQDYKVVGHQEGCQRHENQQERRRPPNALPPDHLALIHQVLHHYKLFPRSRLQQPWRRCARENSTLHSGDAMTKECKRGFFWES >DRNTG_00776.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8884156:8979636:1 gene:DRNTG_00776 transcript:DRNTG_00776.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEAPSAAKDAPPVRMFSPSRAHDRFERLESAILERDVASSFVLRPRTPQPPSASPAPPSPIPAPVDPPYVSPPPAVAEESTWRRVVKPIMNERSQCRSQCTDFGGNLAKVQTRRYKSGARC >DRNTG_04186.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14612405:14612884:1 gene:DRNTG_04186 transcript:DRNTG_04186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHLSSPMQTSSSPSFPFRFPPSISFLLPKLSYPSPNLISIFRYPHIISCFHILLHATFPTEKQFSNTEEEDKEKEKQEEDDATAAEDYDEVLSVGVSDEEEERDEEVVQSFLDAFSRFEDHKWQRVQRLLFAVREFGEDIIDFAELDSIYDFSIDKL >DRNTG_12464.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20920043:20923965:1 gene:DRNTG_12464 transcript:DRNTG_12464.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIMGRLVSTFFDSVIDKRGSFFKFVVLSVLLLLHGITVNGGDDGNCTYSLSFSQRPHSVSLLEFGAVGDGVTMNTVAFQNAVFYLRSFADKGGAQLYIPKGKWLTGSFNLTSHLTLFLDRGAIILGSQDISQWPLVDPLPSYGRGREHLGGRYRSLINGNNLTDVVITGENGTIDGQGHIWWESYLSHSLNYSRPHILEIVSSTDILISNLTLLNPPAFSIHPVYSSNVQIQNIVIHSSPDSPFTSGIVPDSCWDTCIEGGSISVGHDGIALKSGWDQYGISFGKPSSAIHITQVSIQASDGSALAFGSEMSGGISDIHVDNLHIHNSLTAIKFKTTEGRGGFMENIVISDTVMENVNTAIHFTGHCGDHPDDKYDPTALPKISRITLKNIVGHNVSVAGVLTGISGDPFTDICLSNIALAITADPSRSWTCSNVSGFSESVVPQPCPDLIQITYANISYQCFSLVVGHQFGGSALPE >DRNTG_12464.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20920043:20923965:1 gene:DRNTG_12464 transcript:DRNTG_12464.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVAFQNAVFYLRSFADKGGAQLYIPKGKWLTGSFNLTSHLTLFLDRGAIILGSQDISQWPLVDPLPSYGRGREHLGGRYRSLINGNNLTDVVITGENGTIDGQGHIWWESYLSHSLNYSRPHILEIVSSTDILISNLTLLNPPAFSIHPVYSSNVQIQNIVIHSSPDSPFTSGIVPDSCWDTCIEGGSISVGHDGIALKSGWDQYGISFGKPSSAIHITQVSIQASDGSALAFGSEMSGGISDIHVDNLHIHNSLTAIKFKTTEGRGGFMENIVISDTVMENVNTAIHFTGHCGDHPDDKYDPTALPKISRITLKNIVGHNVSVAGVLTGISGDPFTDICLSNIALAITADPSRSWTCSNVSGFSESVVPQPCPDLIQITYANISYQCFSLVVGHQFGGSALPE >DRNTG_12464.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20920043:20923965:1 gene:DRNTG_12464 transcript:DRNTG_12464.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVAFQNAVFYLRSFADKGGAQLYIPKGKWLTGSFNLTSHLTLFLDRGAIILGSQDISQWPLVDPLPSYGRGREHLGGRYRSLINGNNLTDVVITGENGTIDGQGHIWWESYLSHSLNYSRPHILEIVSSTDILISNLTLLNPPAFSIHPVYSSNVQIQNIVIHSSPDSPFTSGIVPDSCWDTCIEGGSISVGHDGIALKSGWDQYGISFGKPSSAIHITQVSIQASDGSALAFGSEMSGGISDIHVDNLHIHNSLTAIKFKTTEGRGGFMENIVISDTVMENVNTAIHFTGHCGDHPDDKYDPTALPKISRITLKNIVGHNVSVAGVLTGISGDPFTDICLSNIALAITADPSRSWTCSNVSGFSESVVPQPCPDLIQITYANISYQCFSLVVGHQFGGSALPE >DRNTG_12464.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20920043:20923965:1 gene:DRNTG_12464 transcript:DRNTG_12464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIMGRLFVVLSVLLLLHGITVNGGDDGNCTYSLSFSQRPHSVSLLEFGAVGDGVTMNTVAFQNAVFYLRSFADKGGAQLYIPKGKWLTGSFNLTSHLTLFLDRGAIILGSQDISQWPLVDPLPSYGRGREHLGGRYRSLINGNNLTDVVITGENGTIDGQGHIWWESYLSHSLNYSRPHILEIVSSTDILISNLTLLNPPAFSIHPVYSSNVQIQNIVIHSSPDSPFTSGIVPDSCWDTCIEGGSISVGHDGIALKSGWDQYGISFGKPSSAIHITQVSIQASDGSALAFGSEMSGGISDIHVDNLHIHNSLTAIKFKTTEGRGGFMENIVISDTVMENVNTAIHFTGHCGDHPDDKYDPTALPKISRITLKNIVGHNVSVAGVLTGISGDPFTDICLSNIALAITADPSRSWTCSNVSGFSESVVPQPCPDLIQITYANISYQCFSLVVGHQFGGSALPE >DRNTG_24794.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31930668:31934279:1 gene:DRNTG_24794 transcript:DRNTG_24794.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIWLEEREEEEEKWLKYYSSMHQILLVGEGDFSFSLSLAIAFGSGVNIVATSLDSEDHVVAMYAKGGSNLKTLKMMGATLLHGIDATKMGFHTDLKMRRFDRIVYNFPHAGFKGKEDDPRLI >DRNTG_00717.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18390373:18391709:1 gene:DRNTG_00717 transcript:DRNTG_00717.1 gene_biotype:protein_coding transcript_biotype:protein_coding HAKTKQESEHNIDIKCIHHALGTYHSV >DRNTG_17816.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15672239:15678650:1 gene:DRNTG_17816 transcript:DRNTG_17816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHGCKKLVFSSSATVYGWPKEVPCTEEFPLCAVNPYGRTKLFIEEICRDIQRSDTDWNIILLRYFNPVGAHPSGYIGEDPHGIPNNLMPFVQQVAVGRRPALTVYGSDYSTKDGTGVRDYIHVVDLADGHLAALKKLFEDPKIGCEVYNLGTGKGTSVLEMVAAFEKASGKKIPLIMSGRRPGDAEIVYASTSKAEKELNWKAKYGIDEMCRDQWNWASKNPRGYGSPDDAN >DRNTG_22045.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28370620:28371773:1 gene:DRNTG_22045 transcript:DRNTG_22045.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSFDILFPSSSSLESSSSLESSGCTWIISHRRTY >DRNTG_09122.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:103304:106729:-1 gene:DRNTG_09122 transcript:DRNTG_09122.3 gene_biotype:protein_coding transcript_biotype:protein_coding WTYIIQKNFDIHINIIFLQSTVKIQDFQDAQPDAIAHSAANIISRLKAGGEKITEAFKAICWTHKGIKVEEISLSGVDSLGFDLRVCAGTQVQTLRFSFSAQATSEYSAERQIHDLLFPRLQNKKQMQQQAQQKEL >DRNTG_09122.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:103304:108177:-1 gene:DRNTG_09122 transcript:DRNTG_09122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEMEAYGPSDTDLVIEEITSDDDDDDDDNDDDDDDDDDDDDDDDDDNDDDDEEDFVSILEDEDGMIPSEALGDWANLGTMRASHPMHFANKIAEVVSGVQLDWMDQPSASIVMRGLLRPAFVEEQKFFRKHPPGSAINGSEKHQSRTKLEKDQGLQSGTTFYKLEMVNIQLVSAYGNQSTVKIQDFQDAQPDAIAHSAANIISRLKAGGEKITEAFKAICWTHKGIKVEEISLSGVDSLGFDLRVCAGTQVQTLRFSFSAQATSEYSAERQIHDLLFPRLQNKKQMQQQAQQKEL >DRNTG_07999.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30013535:30014014:1 gene:DRNTG_07999 transcript:DRNTG_07999.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQGPKNMTFLIGSSKPHPFLRSSSPQF >DRNTG_24360.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:455732:468579:-1 gene:DRNTG_24360 transcript:DRNTG_24360.5 gene_biotype:protein_coding transcript_biotype:protein_coding MECCFGFQTTVWMWMIPRKKKQPSAHDVFVGSGKQQPSN >DRNTG_24360.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:455642:468579:-1 gene:DRNTG_24360 transcript:DRNTG_24360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MECCFGFQTTVWMWMIPRKKKQPSAHDVFVGSGKQQPSN >DRNTG_24360.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:455642:468579:-1 gene:DRNTG_24360 transcript:DRNTG_24360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MECCFGFQTTVWMWMIPRKKKQPSAHDVFVGSGKQQPSN >DRNTG_24360.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:455642:468579:-1 gene:DRNTG_24360 transcript:DRNTG_24360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGLFYLLSFRDYNYGITADGVKVDLLNHPEYLQWNAALAFKLLSGCG >DRNTG_24360.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:455732:468579:-1 gene:DRNTG_24360 transcript:DRNTG_24360.7 gene_biotype:protein_coding transcript_biotype:protein_coding MECCFGFQTTVWMWMIPRKKKQPSAHDVFVGSGKQQPSN >DRNTG_24360.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:455732:468579:-1 gene:DRNTG_24360 transcript:DRNTG_24360.6 gene_biotype:protein_coding transcript_biotype:protein_coding MECCFGFQTTVWMWMIPRKKKQPSAHDVFVGSGKQQPSN >DRNTG_24360.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:455642:468579:-1 gene:DRNTG_24360 transcript:DRNTG_24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFFPPPNRDYNYGITADGVKVDLLNHPEYLQWNAALAFKLLSGCG >DRNTG_28322.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:49360:49818:-1 gene:DRNTG_28322 transcript:DRNTG_28322.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRMMVPLLGGMMTIYIRTLTGKSIQLQVENSDTILNIKHKIKEKQDIAIEEQRLVFSGKQLEDDWTLADYQIQSGSTIYLVLRLRGGGGGAAAAATALVLYI >DRNTG_28322.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:49360:49961:-1 gene:DRNTG_28322 transcript:DRNTG_28322.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRMMVPLLGGMMTIYIRTLTGKSIQLQVENSDTILNIKHKIKEKQDIAIEEQRLVFSGKQLEDDWTLADYQIQSGSTIYLVLRLRGGGGGAAAAATALVLYI >DRNTG_33171.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23442556:23445864:-1 gene:DRNTG_33171 transcript:DRNTG_33171.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMMMMSKSSMWRNAMFLVGFLCLLSLSHAELPPNYSFMKPATEAPPVSYYDYIIIGGGTAGCPLAATLSQTSRVLLLERGPAPYGNKNISNLGNFADTLSDTSPTSPSQRFISEDGVISARARVLGGGSCLNAGFYTRASPDYVRQVGWDGRLVNESYQWVEKVVAFEPPMLQWQSAVKDGLLEVGVNPYNGFTFDHMYGTKIGGTIFDKDGFRHTAADLLQYANPPMITVLLHATVSKILFRQKGRPRLMAHGVAFWDGAGVMHRAYLNKGSKNEIIVSAGALGSPQLLMLSGIGPKEHLQSFGINVLLDQPMVGQGMSDNPMNAIYIPSPLPVEISLIQVVGITEFDSYIEAASGSNFAGHSDQPSHFTPNLGMFSPQIGQLSKVPPGKRTPEAIAKAVEQMKSLEVSAFRGGFILEKIMGPVSTGHLELKNLNPYDNPLVTFNYFKEPEDLRRCVQGMEIIEKVIESKAFSKFRYPYISVEALINITVHFPVNLRPRHDNDSKSLEQFCKDTVMTIWHYHGGCHVGRVVDHDYKVLGVDALRIIDGSTLNYSPGTNPQATVMMLGRYMGMKIMSERLGKKE >DRNTG_24586.28 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28922:30128:1 gene:DRNTG_24586 transcript:DRNTG_24586.28 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPSPPHVYYYSSPPPPHHY >DRNTG_24586.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28922:30540:1 gene:DRNTG_24586 transcript:DRNTG_24586.8 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSHSPPHHPYHYKSPPPPSPMPHPPYHYKSPPPPSPSPPHVYYYSSPPPPHHY >DRNTG_24586.40 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28922:30128:1 gene:DRNTG_24586 transcript:DRNTG_24586.40 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPSPPHVYYYSSPPPPHHY >DRNTG_24586.23 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28922:30128:1 gene:DRNTG_24586 transcript:DRNTG_24586.23 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPMPHPPYHYKSPPPPSPSPPHVYYYSSPPPPHHY >DRNTG_24586.29 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28922:30128:1 gene:DRNTG_24586 transcript:DRNTG_24586.29 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPSPPHVYYYSSPPPPHHY >DRNTG_24586.15 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28845:30128:1 gene:DRNTG_24586 transcript:DRNTG_24586.15 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTNSLELKSSLFGFRVWTLQGPSLGVFPANMARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPMPHPTLPLQITTTTISLTTSSSLSLQVTPTSISNASSSLPLQISTSTITLTTTRLLLLLSTSSPSLLEVHVTSPRLLLLAPCY >DRNTG_24586.14 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28845:30128:1 gene:DRNTG_24586 transcript:DRNTG_24586.14 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTNSLELKSSLFGFRVWTLQGPSLGVFPANMARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPSPPHVYYYSSPPPPHHY >DRNTG_24586.32 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28922:30128:1 gene:DRNTG_24586 transcript:DRNTG_24586.32 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPSPPHVYYYSSPPPPHHY >DRNTG_24586.30 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28922:30128:1 gene:DRNTG_24586 transcript:DRNTG_24586.30 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPMPHPTLPLQITTTTISLTTSSSLSLQVTPTSISNASSSLPLQISTSTITLTTTRLLLLLSTSSPSLLEVHVTSPRLLLLAPCY >DRNTG_24586.26 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28922:30128:1 gene:DRNTG_24586 transcript:DRNTG_24586.26 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPSPPHVYYYSSPPPPHHY >DRNTG_24586.21 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28922:30128:1 gene:DRNTG_24586 transcript:DRNTG_24586.21 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPMPHPTLPLQITTTTISLTTSSSLSLQVTPTSISNASSSLPLQISTSTITLTTTRLLLLLSTSSPSLLEVHVTSPRLLLLAPCY >DRNTG_24586.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28845:30540:1 gene:DRNTG_24586 transcript:DRNTG_24586.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTNSLELKSSLFGFRVWTLQGPSLGVFPANMARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSHSPPHHPYHYKSPPPPSPMPHPPYHYKSPPPPSPSPPHVYYYSSPPPPHHY >DRNTG_24586.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28922:30540:1 gene:DRNTG_24586 transcript:DRNTG_24586.7 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSHSPPHHPYHYKSPPPPSPMPHPPYHYKSPPPPSPSPPHVYYYSSPPPPHHY >DRNTG_24586.22 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28922:30128:1 gene:DRNTG_24586 transcript:DRNTG_24586.22 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPMPHPTLPLQITTTTISLTTSSSLSLQVTPTSISNASSSLPLQISTSTITLTTTRLLLLLSTSSPSLLEVHVTSPRLLLLAPCY >DRNTG_24586.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28845:30540:1 gene:DRNTG_24586 transcript:DRNTG_24586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTNSLELKSSLFGFRVWTLQGPSLGVFPANMARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPSPPHVYYYSSPPPPHHY >DRNTG_24586.9 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28922:30540:1 gene:DRNTG_24586 transcript:DRNTG_24586.9 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPSPPHVYYYSSPPPPHHY >DRNTG_24586.27 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28922:30128:1 gene:DRNTG_24586 transcript:DRNTG_24586.27 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPMPHPTLPLQITTTTISLTTSSSLSLQVTPTSISNASSSLPLQISTSTITLTTTRLLLLLSTSSPSLLEVHVTSPRLLLLAPCY >DRNTG_24586.31 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28922:30128:1 gene:DRNTG_24586 transcript:DRNTG_24586.31 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPMPHPTLPLQITTTTISLTTSSSLSLQVTPTSISNASSSLPLQISTSTITLTTTRLLLLLSTSSPSLLEVHVTSPRLLLLAPCY >DRNTG_24586.11 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28845:30128:1 gene:DRNTG_24586 transcript:DRNTG_24586.11 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTNSLELKSSLFGFRVWTLQGPSLGVFPANMARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPMPHPPYHYKSPPPPSPSPPHVYYYSSPPPPHHY >DRNTG_24586.41 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28922:30128:1 gene:DRNTG_24586 transcript:DRNTG_24586.41 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPMPHPPYHYKSPPPPSPSPPHVYYYSSPPPPHHY >DRNTG_24586.12 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28845:30128:1 gene:DRNTG_24586 transcript:DRNTG_24586.12 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTNSLELKSSLFGFRVWTLQGPSLGVFPANMARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPMPHPPYHYKSPPPPSPSPPHVYYYSSPPPPHHY >DRNTG_24586.36 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28922:30128:1 gene:DRNTG_24586 transcript:DRNTG_24586.36 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPMPHPTLPLQITTTTISLTTSSSLSLQVTPTSISNASSSLPLQISTSTITLTTTRLLLLLSTSSPSLLEVHVTSPRLLLLAPCY >DRNTG_24586.10 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28845:30128:1 gene:DRNTG_24586 transcript:DRNTG_24586.10 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTNSLELKSSLFGFRVWTLQGPSLGVFPANMARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPSPPHVYYYSSPPPPHHY >DRNTG_24586.16 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28845:30128:1 gene:DRNTG_24586 transcript:DRNTG_24586.16 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTNSLELKSSLFGFRVWTLQGPSLGVFPANMARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPMPHPPYHYKSPPPPSPSPPHVYYYSSPPPPHHY >DRNTG_24586.18 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28845:30128:1 gene:DRNTG_24586 transcript:DRNTG_24586.18 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTNSLELKSSLFGFRVWTLQGPSLGVFPANMARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPMPHPTLPLQITTTTISLTTSSSLSLQVTPTSISNASSSLPLQISTSTITLTTTRLLLLLSTSSPSLLEVHVTSPRLLLLAPCY >DRNTG_24586.25 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28922:30128:1 gene:DRNTG_24586 transcript:DRNTG_24586.25 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSHSPPHHPYHYKSPPPPSPMPHPPYHYKSPPPPSPSPPHVYYYSSPPPPHHY >DRNTG_24586.34 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28922:30128:1 gene:DRNTG_24586 transcript:DRNTG_24586.34 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPSPPHVYYYSSPPPPHHY >DRNTG_24586.37 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28922:30128:1 gene:DRNTG_24586 transcript:DRNTG_24586.37 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPSPPHVYYYSSPPPPHHY >DRNTG_24586.24 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28922:30128:1 gene:DRNTG_24586 transcript:DRNTG_24586.24 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPMPHPTLPLQITTTTISLTTSSSLSLQVTPTSISNASSSLPLQISTSTITLTTTRLLLLLSTSSPSLLEVHVTSPRLLLLAPCY >DRNTG_24586.38 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28922:30128:1 gene:DRNTG_24586 transcript:DRNTG_24586.38 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPSPPHVYYYSSPPPPHHY >DRNTG_24586.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28845:30540:1 gene:DRNTG_24586 transcript:DRNTG_24586.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTNSLELKSSLFGFRVWTLQGPSLGVFPANMARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPSPPHVYYYSSPPPPHHY >DRNTG_24586.17 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28845:30128:1 gene:DRNTG_24586 transcript:DRNTG_24586.17 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTNSLELKSSLFGFRVWTLQGPSLGVFPANMARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPMPHPPYHYKSPPPPSPSPPHVYYYSSPPPPHHY >DRNTG_24586.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28845:30540:1 gene:DRNTG_24586 transcript:DRNTG_24586.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTNSLELKSSLFGFRVWTLQGPSLGVFPANMARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSHSPPHHPYHYKSPPPPSPMPHPPYHYKSPPPPSPSPPHVYYYSSPPPPHHY >DRNTG_24586.39 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28922:30128:1 gene:DRNTG_24586 transcript:DRNTG_24586.39 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPMPHPPYHYKSPPPPSPSPPHVYYYSSPPPPHHY >DRNTG_24586.33 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28922:30128:1 gene:DRNTG_24586 transcript:DRNTG_24586.33 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPMPHPTLPLQITTTTISLTTSSSLSLQVTPTSISNASSSLPLQISTSTITLTTTRLLLLLSTSSPSLLEVHVTSPRLLLLAPCY >DRNTG_24586.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28845:30540:1 gene:DRNTG_24586 transcript:DRNTG_24586.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTNSLELKSSLFGFRVWTLQGPSLGVFPANMARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPMPHPPYHYKSPPPPSPSPPHVYYYSSPPPPHHY >DRNTG_24586.19 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28922:30128:1 gene:DRNTG_24586 transcript:DRNTG_24586.19 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPMPHPTLPLQITTTTISLTTSSSLSLQVTPTSISNASSSLPLQISTSTITLTTTRLLLLLSTSSPSLLEVHVTSPRLLLLAPCY >DRNTG_24586.13 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28845:30128:1 gene:DRNTG_24586 transcript:DRNTG_24586.13 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTNSLELKSSLFGFRVWTLQGPSLGVFPANMARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPMPHPTLPLQITTTTISLTTSSSLSLQVTPTSISNASSSLPLQISTSTITLTTTRLLLLLSTSSPSLLEVHVTSPRLLLLAPCY >DRNTG_24586.42 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28922:30128:1 gene:DRNTG_24586 transcript:DRNTG_24586.42 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPMPHPTLPLQITTTTISLTTSSSLSLQVTPTSISNASSSLPLQISTSTITLTTTRLLLLLSTSSPSLLEVHVTSPRLLLLAPCY >DRNTG_24586.35 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28922:30128:1 gene:DRNTG_24586 transcript:DRNTG_24586.35 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPMPHPPYHYKSPPPPSPSPPHVYYYSSPPPPHHY >DRNTG_24586.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28845:30540:1 gene:DRNTG_24586 transcript:DRNTG_24586.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTNSLELKSSLFGFRVWTLQGPSLGVFPANMARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPMPHPPYHYKSPPPPSPSPPHVYYYSSPPPPHHY >DRNTG_24586.20 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28922:30128:1 gene:DRNTG_24586 transcript:DRNTG_24586.20 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPMPHPPYHYKSPPPPSPSPPHVYYYSSPPPPHHY >DRNTG_09132.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26821970:26824674:-1 gene:DRNTG_09132 transcript:DRNTG_09132.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSELAGGAVAMEMEVRGPEADLPRPKFPALKPHEMSDGKVQFRKVPVPPHRFSPLKRCWMEIYTPIYEQMKIDIRMNLKARRVELKTRSDTPDVSNLQKCADFVHAFMLGFDVADALALLRLDDLYVDSFEIKDVKALRGEHLSRAIGRLSGKGGKTKFAIENSTRTRIVIADTKINILGSFINIKVARDSLCSLILGSPAGKVYSKLRAVSARLAERF >DRNTG_13911.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19731915:19735481:-1 gene:DRNTG_13911 transcript:DRNTG_13911.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKERPLSSTIADSVILYTSIRCELIKSAHRNWSAAMIKSVIMMTADTLDGAGLPIAENSSNGYSKANFFTMLLVMPS >DRNTG_13911.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19731915:19735481:-1 gene:DRNTG_13911 transcript:DRNTG_13911.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKERPLSSTIADSVILYTSIRCELIKSAHRNWSAAMIKSVIMMTADTLDGAGLPIAENSSNGYSKANFFTMLLVMPS >DRNTG_13911.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19729238:19735481:-1 gene:DRNTG_13911 transcript:DRNTG_13911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERPLSSTIADSVILYTSIRCELIKSAHRNWSAAMIKSVIMMTADTLDGAGLPIAENSSNGYSKANFFTMLLVMPS >DRNTG_30737.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18476848:18477675:1 gene:DRNTG_30737 transcript:DRNTG_30737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRPRTRSGVVAPIAKPTIAPPGGRRRRVPSPPSSPSPPRQATSSRHSPVVPASVHASSAPEIVPQAPAPELAPS >DRNTG_20817.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22134577:22137564:1 gene:DRNTG_20817 transcript:DRNTG_20817.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGGALRNIIRPLSALRLRPLPLPSPHGIPSPMTIGPSSTMLVNCFGSTIRKSIMSSFFSTYSPASSFSDLMDTRYLKRRPGFKPRRKRASLRPPGPYAWVQYVPGEPIPRSRPNEGSDKARGRKRLKRIKQRKAFILSEKKKRKAQYAEFRKKRDAERIERKMAAVAREKAWAERLIELQQQEAAKKAAMA >DRNTG_20079.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:7324762:7325671:-1 gene:DRNTG_20079 transcript:DRNTG_20079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLGFSGSGLPITDTSPLRGPSGEIAQSQHLTPHMTAKHSRDSKKSHSEARFTGGFLDAMTEEESIKVGDEAPPKPQRPPLQTLAVSPLKSRTKDEKE >DRNTG_08801.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28142616:28144402:-1 gene:DRNTG_08801 transcript:DRNTG_08801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKFITLVTLISVFSSSTGAYHAAAGGGGDIQQCLQKLMPCEPYLKSTSPPPATCCVPLKDMVAHQPACLCTLYNDDALLKSLNVTKQDTLNMVGNCGAKADTSLCNNGSTPVPPVSPSNPTPSNPAGPSGSGASLGKSLEAVIIMIFSGFVYFVLA >DRNTG_20275.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001111.1:11959:12473:-1 gene:DRNTG_20275 transcript:DRNTG_20275.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDGDDFDCPVCLSQPEKVVITHCAHIFCEACILKVLKRTNPPCPICRRQLSRSDLFLVPPTKTSNDNEDDLQKMVNFDRPLSSKVKTLLKLLITSKEANPSEKSVVFSQFRKMLILLEEPLKAAGFRVLRLDGSMSMKKRTEVIQEFGKNGSHSS >DRNTG_20275.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001111.1:11959:14649:-1 gene:DRNTG_20275 transcript:DRNTG_20275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLQSLIGTITLRRTKDTCCDGQRLVALPPKIVETCFVELSAEERECYDEMESQAQSIIRRYIDAGTVLHHYSTVLYCILRLRQICNDVALCPSDIASLLPTSALEDVSNNPELRNRYLSMIEDGDDFDCPVCLSQPEKVVITHCAHIFCEACILKVLKRTNPPCPICRRQLSRSDLFLVPPTKTSNDNEDDLQKMVNFDRPLSSKVKTLLKLLITSKEANPSEKSVVFSQFRKMLILLEEPLKAAGFRVLRLDGSMSMKKRTEVIQEFGKNGSHSS >DRNTG_20275.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001111.1:11959:13370:-1 gene:DRNTG_20275 transcript:DRNTG_20275.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQAQSIIRRYIDAGTVLHHYSTVLYCILRLRQICNDVALCPSDIASLLPTSALEDVSNNPELRNRYLSMIEDGDDFDCPVCLSQPEKVVITHCAHIFCEACILKVLKRTNPPCPICRRQLSRSDLFLVPPTKTSNDNEDDLQKMVNFDRPLSSKVKTLLKLLITSKEANPSEKSVVFSQFRKMLILLEEPLKAAGFRVLRLDGSMSMKKRTEVIQEFGKNGSHSS >DRNTG_33610.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002027.1:1773:2321:-1 gene:DRNTG_33610 transcript:DRNTG_33610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFILLLLLAGISPSLAQSCLSDTFSGNQIYTSCSTLPYLNATIHWSYHPDNATIDIAYRAPIPSNGWVAWALNPTGQGMIGAQSLIAFSGSDGALLVYSTAISSYTPDIKDGNLSFTVYSKSGELFNETMTIFATLELPGNSTTVNQVWQAGPLSNGVPAQHATTGDNIKSAGSIDFLS >DRNTG_22217.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13604415:13605409:1 gene:DRNTG_22217 transcript:DRNTG_22217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVPGVTTRVSQTPRDAYLGGSKSSHGHVVAYSTMGRGLTKGVNEREGKATSMAVWRQYKNVGGTWWI >DRNTG_15312.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4827257:4836386:-1 gene:DRNTG_15312 transcript:DRNTG_15312.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDQRGYAWAMAAGFNAAMAAISAKLLSPLFLKYGLVVLFNATMWGCYVNSLKALTSLQATVTNFATNFLSSGLAGFILFEEPLPSKWFAGAICIIIGVFVLSKSSIERKSSSD >DRNTG_15312.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4828806:4836422:-1 gene:DRNTG_15312 transcript:DRNTG_15312.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDQRGYAWAMAAGFNAAMAAISAKLLSPLFLKYGLVVLFNATMWGCYVNSLKALTSLQATVTNFATNFLSSGLAGFILFEEPLPSKWFAGAICIIIGVFVLSKSSIERKSSSD >DRNTG_14735.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:125392:127143:1 gene:DRNTG_14735 transcript:DRNTG_14735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDRHSVPPPSPAQPKSWAQVASSLRQPSDNSPLHNPHILSKLKENTSAFIKLDGDSLNRACLKFQHALYGKLFGKSPPFAEVKADLLAKWSSFGEISISDLPNGFLLIRCSSQKAMQSLLLDGPWSVNGIILQLSPWKPFFEPTFAKLSSAAIWIQLHNLPVEFWEGETLEAIASQFRTLLKVDEFTAALTRSKFARICVDVDLSKPLSRGFWIGDDHHRVFVIVLYERLPTFCYSCGLIGHGTNSCNSSATPGATRPNPSRPDEDFSDEDDDDEMSEEEILPDSPDDDMTLAQYQTEARRDSLVRKGSNIPSSSPKKGRVEPGEIEPCS >DRNTG_34939.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17019815:17020439:1 gene:DRNTG_34939 transcript:DRNTG_34939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGRMRSHSDEDHNSTRLNDATVCTGAKSADQNFNSNTVAVTSNGDPAQAVSLPTHTSFTNLSQVDVDLALAGILREQEGSEYEEEYEEDEFVEDGHLIDPAELDNDEAYVKAL >DRNTG_12454.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20959360:20959684:1 gene:DRNTG_12454 transcript:DRNTG_12454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQNQQEKAQRSEFVRELVLSTIFEDIMSASL >DRNTG_03526.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000167.1:30159:34708:-1 gene:DRNTG_03526 transcript:DRNTG_03526.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSSNASAVQDFCVADLALPESPAGYSCKSVSKVTVNDFIKSQFLTTNTAIPSFNLSISLASATEFPAVNGLHISALYGELGAGGLVPAHIHPGGNEFSVVTQGTIFTVSSRDDVMFGTGFYVTDFNSHFVCAGCCINHADSVLEAEAVTFVTALGSLFASDIQIKSIFIASSNLYNIILDRQSNQVWWLNPLVNNISDYFLELGQPTIHLIPDSWLAAAASLALLGVKYHDLTLFHHGKELPYWIMKQFTRSGIIL >DRNTG_09510.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21703542:21705986:1 gene:DRNTG_09510 transcript:DRNTG_09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVAPFFISKRFHVWVSRKFHFCSIPCAAHVQAPSETDRVRSNSGALESVTGGLKKNLYPERLVHVLDSMPDFDLSINVFKWASKQRNFQHTTETFVHMILKLGMAGRHQEMDVFLKEMVKLESPHKEEGFDCLINSFCTSGGLREALLVFENACLANYRVSISTFNVLLKNLVSNKGDLQLVLFVYKEMVKSGTLPNIETLNCLIKALLESEWIDLALEQFHRMNKKRCEPNTQTFEIVIGGLCSSGRVDEAIMFLNQMLEVGCCPNYEFYEIIIPFFCKADKIKEANKLFKTMKAEGYLPGVHLYGVIIYFLSGKLELDEAMGLFEEMADVGVPPVTSIYVDIVSGYCKVGKLNEAMDFLIDNSVSEVEPYNTLLKGCCDLGRFPEAIDFLESMAGLCDNLSWSILIRGLCEKGKLGVAFEILGRMIVSSYMPDQVTLSGIIIGCCSKHAYQSALDNFRLVRANNMSMDLESCSQLIEGLCHVNKIQEAVEVFTYITGKDGILSTNSLNVLIEGICLAGKVDEAIRVRSLACCTGVYSVPATYSIITRKLLELKKANNVQAFLSQILVEGCNVDVTLYCLLIRGLCAESSAREAALLFNLMVRDGFTPDSGTLQTLISYLVTISHLHMVLHCLDKVFNEEELLTPAICNMVIRGLLKEGYKNEASKYLDMMLEKGWVPDADTHGLLVGNLHLDKSGVILEAYEHDDEDKVSNILAEGLEN >DRNTG_13566.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29912718:29913816:1 gene:DRNTG_13566 transcript:DRNTG_13566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLLLIILPTLIFIIALKLITTISKPNKNTATTTTNLPPGPTFISIIGNLLLLRKNLLHIKPMLHSLRAKYSPIFSFPLTSHPSILTSDRTLIHEALIQKGVVFADRPPGLMTNNQLRVLSGATFAATSSLRSSILVASSFSPQLAAAASTSSSMISNLSPLAPAQAPSLL >DRNTG_11224.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15144353:15145333:-1 gene:DRNTG_11224 transcript:DRNTG_11224.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maternal effect embryo arrest 9 [Source:Projected from Arabidopsis thaliana (AT1G60870) UniProtKB/TrEMBL;Acc:Q9C529] MLQTQCKIRTKPDSKRGPAGLNPLRPTPNMPTKQS >DRNTG_11224.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15144421:15145114:-1 gene:DRNTG_11224 transcript:DRNTG_11224.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maternal effect embryo arrest 9 [Source:Projected from Arabidopsis thaliana (AT1G60870) UniProtKB/TrEMBL;Acc:Q9C529] LLNPSCSDLACSHKDLQGHKPNQITANSTSIRPSIHGNTLL >DRNTG_11224.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15144198:15145079:-1 gene:DRNTG_11224 transcript:DRNTG_11224.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maternal effect embryo arrest 9 [Source:Projected from Arabidopsis thaliana (AT1G60870) UniProtKB/TrEMBL;Acc:Q9C529] LIKTYKATNQTRSQQTPQASVHQSMETLFYELSIFANQALDDQHFDPSKIEDLMALFEQEAYISSSSSELHHETTMTKVENQLNALMDEAMEEYYKCCDEAERCCQEEMSALLDAAERAKKLGQSLSCAASILSKKYIDAARSSAVATMKSAFSSVSKVHPNNY >DRNTG_11224.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15144421:15145333:-1 gene:DRNTG_11224 transcript:DRNTG_11224.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maternal effect embryo arrest 9 [Source:Projected from Arabidopsis thaliana (AT1G60870) UniProtKB/TrEMBL;Acc:Q9C529] MLQTQCKIRTKPDSKRGPAGLNPLRPTPNMPTKQS >DRNTG_11224.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15144198:15145114:-1 gene:DRNTG_11224 transcript:DRNTG_11224.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maternal effect embryo arrest 9 [Source:Projected from Arabidopsis thaliana (AT1G60870) UniProtKB/TrEMBL;Acc:Q9C529] LLNPSCSDLACSHKDLQGHKPNQITANSTSIRPSIHGNTLL >DRNTG_11224.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15144421:15145079:-1 gene:DRNTG_11224 transcript:DRNTG_11224.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maternal effect embryo arrest 9 [Source:Projected from Arabidopsis thaliana (AT1G60870) UniProtKB/TrEMBL;Acc:Q9C529] LIKTYKATNQTRSQQTPQASVHQSMETLFYELSIFANQALDDQHFDPSKIEDLMALFEQEAYISSSSSELHHETTMTKVENQLNALMDEAMEEYYKCCDEAERCCQEEMSALLDAAERAKKLGQSLSCAASILSKKYIDAARSSAVATMKSAFSSVSKVHPNNY >DRNTG_11224.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15142136:15145079:-1 gene:DRNTG_11224 transcript:DRNTG_11224.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maternal effect embryo arrest 9 [Source:Projected from Arabidopsis thaliana (AT1G60870) UniProtKB/TrEMBL;Acc:Q9C529] LIKTYKATNQTRSQQTPQASVHQSMETLFYELSIFANQALDDQHFDPSKIEDLMALFEQEAYISSSSSELHHETTMTKVENQLNALMDEAMEEYYKCCDEAERCCQEEMSALLDAAERAKKLGQSLSCAASILSKKYIDAARSSAVATMKSAFSSVSKVHPNNY >DRNTG_11224.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15144353:15145114:-1 gene:DRNTG_11224 transcript:DRNTG_11224.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maternal effect embryo arrest 9 [Source:Projected from Arabidopsis thaliana (AT1G60870) UniProtKB/TrEMBL;Acc:Q9C529] LLNPSCSDLACSHKDLQGHKPNQITANSTSIRPSIHGNTLL >DRNTG_11224.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15144198:15145333:-1 gene:DRNTG_11224 transcript:DRNTG_11224.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maternal effect embryo arrest 9 [Source:Projected from Arabidopsis thaliana (AT1G60870) UniProtKB/TrEMBL;Acc:Q9C529] MLQTQCKIRTKPDSKRGPAGLNPLRPTPNMPTKQS >DRNTG_11224.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15144353:15145079:-1 gene:DRNTG_11224 transcript:DRNTG_11224.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maternal effect embryo arrest 9 [Source:Projected from Arabidopsis thaliana (AT1G60870) UniProtKB/TrEMBL;Acc:Q9C529] LIKTYKATNQTRSQQTPQASVHQSMETLFYELSIFANQALDDQHFDPSKIEDLMALFEQEAYISSSSSELHHETTMTKVENQLNALMDEAMEEYYKCCDEAERCCQEEMSALLDAAERAKKLGQSLSCAASILSKKYIDAARSSAVATMKSAFSSVSKVHPNNY >DRNTG_13534.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000617.1:21656:23014:-1 gene:DRNTG_13534 transcript:DRNTG_13534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELPSKFPDIFANAPLRLRSNLLLYGPPGCGKTHIVGAAAAACSLRFISIKGPELLNKYIGASEKAVRDLFAKAAAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQLLTELDGVEALNGVFVFAATSRPDLLDAALLRPGRLDRLLYCDFPTWQERLDIL >DRNTG_33052.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26957041:26957696:1 gene:DRNTG_33052 transcript:DRNTG_33052.2 gene_biotype:protein_coding transcript_biotype:protein_coding SYQGLAYQLIDDVLDFTGTSSSLGKGSLSDIRHVMCSDFALFFVYILIL >DRNTG_33052.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26956850:26957696:1 gene:DRNTG_33052 transcript:DRNTG_33052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYLQKTYYKTASLISNSCKAVALLAGQTAEVSMLAYNYGRNLGLAYQLIDDVLDFTGTSSSLGKGSLSDIRHVMCSDFALFFVYILIL >DRNTG_04887.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11649952:11654854:1 gene:DRNTG_04887 transcript:DRNTG_04887.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium-chelatase subunit ChlH, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13630) UniProtKB/Swiss-Prot;Acc:Q9FNB0] MASLTSIPFTLPSSSSSPKHLFLHSFLPRTHTHSPKRSFKIRCAAIGNGLFTQTSPESRRILPEFNPSLPRVKIVYVVLEAQYQSSLSAAVRSLNADSRYASFEVVGYLVEELRDADTYSLFCQDIKDANIFIGSLIFVEELALKVKEAVEKERDRMDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKRRKQSAGFAESMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPENLQNFVKMIAGSYVPELKGKDIKYEDPVLFLDSGIWHPLAPCMYDDVKEYLNWYGTRKDVNEKLKSNNAPIIGLILQRSHIVTGDDSHYVAVIMELEARGAKVIPIFAGGLDFSGPVDRYLVDSVTGKPFVHAAVSLTGFALVGGPARQDHPRAIEALRKLDVPYIVALPLVFQTTEEWLLSSLGLHPVQVALQVALPELDGGMEPIVFSGRDARTGKSHALHKRVEQLCTRAIRWAELKRKSKEEKKVAITVFSFPPDKGNVGTAAYLNVFDSIFSVLQDLKKDGYNVEGLPDTAEALIEDVIHDKEAKFSSPNLNVAYKMSVREYRSLTPYASALEESWGKPPGNLNSDGESLLVYGKQYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYSFVEKIFQADAVLHFGTHGSLEFMPGKQVGMSDACYPDSLIGNIPNIYYYAANNPSEATIAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDTGRGPQIVSSIISTAKQCNLDKDVSLPEDGEELTPTERDTVVGKVYSKIMEIESRLLPCGLHVIGEPPSAMEAVATLVNIAALDRPEDGIYSLPGILAETVGRNIEDVYRGNDKGVLADVQLLREITEASRGAITAFVDKTTNKKGQVVDVAEKLSSILGFGLMEPWAQYLSKTKFIRADREKLRTLFEYLGECLKLVVADNELGSLKQALEGSYVVPGPGGDPIRNPKVLPTGKNIHALDPQAIPTEAAMQSAKVVVERLLERQKVDNGGNYPETVALVLWGTDNIKTYGESLAQVLWMIGVRPVADTFGRVNRVEPVSLEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPEDQNYVKKHAMQQAAELNVPLREAATRVFSNASGSYSSNVNLAVENSSWNDEKQLQDMYLSRKSFAFDSDAPGAGMLEKRKVFEMALSTAEATFQNLDSSEISLTDVSHYFDSDPTNLVQALRKDGRKPAAYIADTTTANAQVRTLAETVRLDARTKLLNPKWYEGMMKSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANTTFIKDEEMLKRLMEVNPNSFRKLVQTFLEANGRGYWETSEENLERLKELYSEVEDKIEGIDR >DRNTG_05256.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000237.1:48020:50875:1 gene:DRNTG_05256 transcript:DRNTG_05256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNRIGRESLKAGDHIYSWRTAYVYAHHGIYVGDNKVIHFTRGRGQEVGTGTVLDLILISSAPTQRSEPCPNCTSQSDESSGVVCSCLDCFLAGGVLYRFEYGVSPALFLAKARGGTCTLAVTDPNEIVVHRATHLLTNGFRCYNVFKSNCEDFAIYCKTGLLVVEQGIIGQSGQTISIVGGPLAAVLSTPFRLLTTNVYGIAVTAVGVYCASRYVADIGNRRDVIKLTVEDLTAGLAAGRVQLVEQNSNHQLVPAMRP >DRNTG_13717.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5407103:5407621:-1 gene:DRNTG_13717 transcript:DRNTG_13717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKLSSNSLFKPNLYQACKMSINLLLACGCILDVFSDCRFSLVP >DRNTG_03535.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:237457:246168:1 gene:DRNTG_03535 transcript:DRNTG_03535.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRLRATPLVARSPEVVSGGDEAIWKRLREAGFDEESVRRRDKAALIGYIRKLETEVYDYQYQMGILILQTKELTTKYENAKASADSAEILYKRDQAAHLSALAEAKKREESLNNALSIEKECVANIEKALHDMRAESAEKKVACESKLGEARTLMEHAQKKFDEAENKILEAESMHAEAARSLNTATRSLQDVEAREDDLRRRLMSFKSEYVAKEKEINLQRQSLFDGQKVLHEEQEKLRERQSLLNQRERYIDEKTKALKNFEKELEENKANIEEEHRALQVEKTNLDLDKAALSSREEAVVQRESLLDKREREVLILQEKVANKEYDDIQRLADENQTTLERKRFEFEAELEKRRISFEDEMEIKRSSFKLRECELNQRENMIQERENSLEHSLKEFSEKQEDLIKKLKFFEEKEQVLQSAQKAKEVEILKMQEERVEIQKMREDLEKMKVALEDKKEEISLAQKQLELTESERSELAVLETKLKEEIDSLRAQKMELADEAEKLRAEKEKFEIEWELVDEKREELRKEMERIAEERKAVSSYLKNEHESIKLEKENLQIQLKVEVEALSHERQEFISKMEREHSEWFSRIQQERDDFVKDINLQRKELENCIDKRREEIERYLKEKEEAFEQEKARELQHINSQKEMIGKELEHVAMELKRLENERVEISSDREQREREWSEIKKSIEELNSQREKLQKQRELLHADRNEINQQIQQLKRLEELNIESENRALSEIKSVDVNSSGLKALRKCSSANTLAKKLDLNETMPNPEASCRHMQQPEKTSGSGSPPVSSPLSWLRKCTDVIFKRSPEKVVPDDKHNVESRFSATFGEVSIGKEIKSLNSNFLDKNSRGNGKHAKDADNANGAKPWLLGRKRPSNSLSHEHADMLEQPTSKLRKKMRQTETDDIEETTNNGIPSAQVPSTTEDGNPGSQVQNSDYTEAPNGCVNDKNELPKVSNPQPHLNGDFSSGSHPNGVMKDKPETEPIVYDVDESEEQGGGSDEDSDDEGDEGDEGEEGKSIQSKLWKFLTT >DRNTG_10913.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17550437:17551001:1 gene:DRNTG_10913 transcript:DRNTG_10913.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGYGMHGCGKEAIAIFNEMKQEGIPPDEVSFIAILYACSHSGLTDEGLRFFNIMRHEYKIEPTLEHYACIVDLFSRAGQLTKAYKFIESMPITPDSTVWGALLCGCRIHRDVKLAERVAEHVFDLEPEHTGYYILLANIYAEAEKWESVKKLRKRIAGRRLKKNPGCSWIEIKSRVQIFVSGDKSH >DRNTG_24908.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:476170:476830:-1 gene:DRNTG_24908 transcript:DRNTG_24908.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGNNGKASERLMGSLPVDSVQALAASLTTSNHIPTRYIRPEAESEPVIISCAAEDEIPVIDFYKLLDHDLSDAESSKLHLACQNWGFFQLINHGVPKEVIQKMMLVIEEFFKLPLDEKMLFKQPPGQLDGYGQLFVMSEEQKLDWADILYFYTSPLHLRKIGLWPTKTIYFQ >DRNTG_06527.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29557718:29560525:1 gene:DRNTG_06527 transcript:DRNTG_06527.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNGIFHPGRAFSDKRWLLPFLASLLVSLSLCLATIFGLFSPSYYGDSLSFDFISFSSLEESTGYFEETESNKSLELPLDSEPEAPRIAYLITGTKGDSLRMRRTLQAIYHPRNQYILHLDLEAPPRERIDLSMYVKDDPMFSEVENVRVIAKANLVTYKGPTMIACTLHAIAILLKESAKWDWFINLSASDYPLMTQDDLLHVFSALPRDLNFIEHTQSTGWKVNQRARPIIIDPGLYLSKKFDIVVTNERRELPAAFKLFTGSAWVMLARPFLEYCIWGWDNLPRIILMYYVNFVSSPEGYFHTVVCNSNEFQNTTISHDLHYIAWGNPPKQHPLSLTIKDFSQMVKSGAPFARKFAKNDPVLDKIDRELLGRSEGRFTPGAWCIGELDGGADPCSFRGNDTVFRPGPGAERLKELMQKLLSPEVRNTSCTDHSFDKTRML >DRNTG_06527.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29557718:29560525:1 gene:DRNTG_06527 transcript:DRNTG_06527.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNGIFHPGRAFSDKRWLLPFLASLLVSLSLCLATIFGLFSPSYYGDSLSFDFISFSSLEESTGYFEETESNKSLELPLDSEPEAPRIAYLITGTKGDSLRMRRTLQAIYHPRNQYILHLDLEAPPRERIDLSMYVKDDPMFSEVENVRVIAKANLVTYKGPTMIACTLHAIAILLKESAKWDWFINLSASDYPLMTQDDLLHVFSALPRDLNFIEHTQSTGWKVNQRARPIIIDPGLYLSKKFDIVVTNERRELPAAFKLFTGSAWVMLARPFLEYCIWGWDNLPRIILMYYVNFVSSPEGYFHTVVCNSNEFQNTTISHDLHYIAWGNPPKQHPLSLTIKDFSQMVKSGAPFARKFAKNDPVLDKIDRELLGRSEGRFTPGAWCIGELDGGADPCSFRGNDTVFRPGPGAERLKELMQKLLSPEVRNTSCTDHSFDKTRML >DRNTG_06527.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29557718:29560525:1 gene:DRNTG_06527 transcript:DRNTG_06527.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIALNSYCIFIHLLTKKKNSILCCKYAADLLHVFSALPRDLNFIEHTQSTGWKVNQRARPIIIDPGLYLSKKFDIVVTNERRELPAAFKLFTGSAWVMLARPFLEYCIWGWDNLPRIILMYYVNFVSSPEGYFHTVVCNSNEFQNTTISHDLHYIAWGNPPKQHPLSLTIKDFSQMVKSGAPFARKFAKNDPVLDKIDRELLGRSEGRFTPGAWCIGELDGGADPCSFRGNDTVFRPGPGAERLKELMQKLLSPEVRNTSCTDHSFDKTRML >DRNTG_06527.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29557718:29560525:1 gene:DRNTG_06527 transcript:DRNTG_06527.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIALNSYCIFIHLLTKKKNSILCCKYAADLLHVFSALPRDLNFIEHTQSTGWKVNQRARPIIIDPGLYLSKKFDIVVTNERRELPAAFKLFTGSAWVMLARPFLEYCIWGWDNLPRIILMYYVNFVSSPEGYFHTVVCNSNEFQNTTISHDLHYIAWGNPPKQHPLSLTIKDFSQMVKSGAPFARKFAKNDPVLDKIDRELLGRSEGRFTPGAWCIGELDGGADPCSFRGNDTVFRPGPGAERLKELMQKLLSPEVRNTSCTDHSFDKTRML >DRNTG_07530.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14327444:14334033:1 gene:DRNTG_07530 transcript:DRNTG_07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLLNKLKRSRHRHKKHSNTSRDENIQAKSDTPSFGRTHGLVEITHAHVNFSRGEVMIHGFRGACECPYGDPQAWDLSTRPCGCSEFKKPGAS >DRNTG_28478.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:430637:434856:-1 gene:DRNTG_28478 transcript:DRNTG_28478.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQLKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >DRNTG_28478.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:430698:434856:-1 gene:DRNTG_28478 transcript:DRNTG_28478.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQLKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >DRNTG_28478.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:430518:434856:-1 gene:DRNTG_28478 transcript:DRNTG_28478.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQLKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >DRNTG_28478.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:430138:434856:-1 gene:DRNTG_28478 transcript:DRNTG_28478.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQLKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >DRNTG_28478.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:430518:434856:-1 gene:DRNTG_28478 transcript:DRNTG_28478.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQLKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >DRNTG_28478.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:430698:434856:-1 gene:DRNTG_28478 transcript:DRNTG_28478.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQLKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >DRNTG_13038.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000587.1:1273:4383:-1 gene:DRNTG_13038 transcript:DRNTG_13038.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVARFDFSWLNADYHQETLDNLRTASENVKKLCAVMLDTMGPELQVFNENGNPIELIVDANVVITPDLSKVPSAEVLPVNYSGLAKAVKKGDTIFIGQYLYTGSETTSVWLEVVETNGEDIICLVKNSATLAGFIFTMHVSQVHIDLPTLSSSDKQAISTWGLHNEVDIISLSYTRHVEDVRR >DRNTG_13038.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000587.1:177:4383:-1 gene:DRNTG_13038 transcript:DRNTG_13038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVARFDFSWLNADYHQETLDNLRTASENVKKLCAVMLDTMGPELQVFNENGNPIELIVDANVVITPDLSKVPSAEVLPVNYSGLAKAVKKGDTIFIGQYLYTGSETTSVWLEVVETNGEDIICLVKNSATLAGFIFTMHVSQVHIDLPTLSSSDKQAISTWGLHNEVDIISLSYTRHVEDVRSFRAFLEAHNLHKTLIFAKVENAE >DRNTG_13038.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000587.1:2196:3860:-1 gene:DRNTG_13038 transcript:DRNTG_13038.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTMGPELQVFNENGNPIELIVDANVVITPDLSKVPSAEVLPVNYSGLAKAVKKGDTIFIGQYLYTGSETTSVWLEVVETNGEDIICLVKNSATLAGFIFTMHVSQVHIDLPTLSSSDKQ >DRNTG_32525.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1356389:1357474:-1 gene:DRNTG_32525 transcript:DRNTG_32525.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPC4 [Source:Projected from Arabidopsis thaliana (AT1G68750) UniProtKB/TrEMBL;Acc:A0A178W7Q9] MVIDDLVREITSLWETDEVRRHRPTPVDEARA >DRNTG_32525.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1351187:1354164:-1 gene:DRNTG_32525 transcript:DRNTG_32525.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPC4 [Source:Projected from Arabidopsis thaliana (AT1G68750) UniProtKB/TrEMBL;Acc:A0A178W7Q9] MILMKLDLRQEASRHSEALDAVTVYLDMGVYSEWDEDKKLEFLTRELKGKRPLIPPSIEVVPDVKEVLDTFRVAAELGTDSLGAYVISMASNASDVLAVELLQKDARLSVSGELGKPCPGGTLRVVPLFETAKDLRAAGSVIRKLLSIDWYREHIIKNHNGIQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACKEFGIRVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVIGTLRSTEQGEMVQAKFGLPQIAVRQLEIYTTAVLLATMRPPKPPRETKWRTVMEDISENSCHFYRSVVYDNPDFLPYFHEATPQAELGFLNIGSRPARRKTSTAIAHLRAIPWVFAWTQTRFVLPSWLGVGAGLKHACTEGYMHDLQAMYKEWPFFQSTIDLIEMVLAKADIPIAKHYDEVLVSESRRGLGVELRNELMMTEEYVLVVSGHEKLSENNKSLKRLIESRLPFLNSINMLQVEILKRLRQDDDNKKLRDVLLVTINGIAAGMRNTG >DRNTG_32525.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1351187:1353646:-1 gene:DRNTG_32525 transcript:DRNTG_32525.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPC4 [Source:Projected from Arabidopsis thaliana (AT1G68750) UniProtKB/TrEMBL;Acc:A0A178W7Q9] MGVYSEWDEDKKLEFLTRELKGKRPLIPPSIEVVPDVKEVLDTFRVAAELGTDSLGAYVISMASNASDVLAVELLQKDARLSVSGELGKPCPGGTLRVVPLFETAKDLRAAGSVIRKLLSIDWYREHIIKNHNGIQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACKEFGIRVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVIGTLRSTEQGEMVQAKFGLPQIAVRQLEIYTTAVLLATMRPPKPPRETKWRTVMEDISENSCHFYRSVVYDNPDFLPYFHEATPQAELGFLNIGSRPARRKTSTAIAHLRAIPWVFAWTQTRFVLPSWLGVGAGLKHACTEGYMHDLQAMYKEWPFFQSTIDLIEMVLAKADIPIAKHYDEVLVSESRRGLGVELRNELMMTEEYVLVVSGHEKLSENNKSLKRLIESRLPFLNSINMLQVEILKRLRQDDDNKKLRDVLLVTINGIAAGMRNTG >DRNTG_32525.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1351187:1358523:-1 gene:DRNTG_32525 transcript:DRNTG_32525.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPC4 [Source:Projected from Arabidopsis thaliana (AT1G68750) UniProtKB/TrEMBL;Acc:A0A178W7Q9] MTDTTDDIAEEISFQSFEDECHLLRSLLNDVLHRELGPQFMDTVERKRILAQSAVNMRIAGMEDTAELLEQQLAKEISKIGLEEALSLARAFSHYLNLMGIAETHHRVRKTRNTAHLSKSCDDIFDKLIQSGVPPQQLYDTICQQEVGIVLTAHPTQINRRTLQYKHKRIAHLLEYNEQPDLSHEDREMVIDDLVREITSLWETDEVRRHRPTPVDEARAGLHIVEQSLWKAVPHYLRRVSTSLKKHTGKPLPLTCAPIYFGSWMGGDRDGNPNVTAKVTRDVAFLSQWMATDLYTREVDSLRFELSMGRCSDKLAILADEILLQEASYENQKNENWVEPVNRKNGYYRQSLALPTQLPADADFPSCTESQYSTVELPGNLSRQNRTSSAPTSLNSIKTSSSEISSGTISGEGRSSFRKLLEPSLNQRPGIAPYRVVLGNVKDKLIKTRRRLELLLDDLPCEYDPTEYYETAEQLLEPLLLCHESLQSCGSEILADGRLADLIRRVATFGMILMKLDLRQEASRHSEALDAVTVYLDMGVYSEWDEDKKLEFLTRELKGKRPLIPPSIEVVPDVKEVLDTFRVAAELGTDSLGAYVISMASNASDVLAVELLQKDARLSVSGELGKPCPGGTLRVVPLFETAKDLRAAGSVIRKLLSIDWYREHIIKNHNGIQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACKEFGIRVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVIGTLRSTEQGEMVQAKFGLPQIAVRQLEIYTTAVLLATMRPPKPPRETKWRTVMEDISENSCHFYRSVVYDNPDFLPYFHEATPQAELGFLNIGSRPARRKTSTAIAHLRAIPWVFAWTQTRFVLPSWLGVGAGLKHACTEGYMHDLQAMYKEWPFFQSTIDLIEMVLAKADIPIAKHYDEVLVSESRRGLGVELRNELMMTEEYVLVVSGHEKLSENNKSLKRLIESRLPFLNSINMLQVEILKRLRQDDDNKKLRDVLLVTINGIAAGMRNTG >DRNTG_32525.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1351187:1356617:-1 gene:DRNTG_32525 transcript:DRNTG_32525.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPC4 [Source:Projected from Arabidopsis thaliana (AT1G68750) UniProtKB/TrEMBL;Acc:A0A178W7Q9] MVIDDLVREITSLWETDEVRRHRPTPVDEARAGLHIVEQSLWKAVPHYLRRVSTSLKKHTGKPLPLTCAPIYFGSWMGGDRDGNPNVTAKVTRDVAFLSQWMATDLYTREVDSLRFELSMGRCSDKLAILADEILLQEASYENQKNENWVEPVNRKNGYYRQSLALPTQLPADADFPSCTESQYSTVELPGNLSRQNRTSSAPTSLNSIKTSSSEISSGTISGEGRSSFRKLLEPSLNQRPGIAPYRVVLGNVKDKLIKTRRRLELLLDDLPCEYDPTEYYETAEQLLEPLLLCHESLQSCGSEILADGRLADLIRRVATFGMILMKLDLRQEASRHSEALDAVTVYLDMGVYSEWDEDKKLEFLTRELKGKRPLIPPSIEVVPDVKEVLDTFRVAAELGTDSLGAYVISMASNASDVLAVELLQKDARLSVSGELGKPCPGGTLRVVPLFETAKDLRAAGSVIRKLLSIDWYREHIIKNHNGIQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACKEFGIRVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVIGTLRSTEQGEMVQAKFGLPQIAVRQLEIYTTAVLLATMRPPKPPRETKWRTVMEDISENSCHFYRSVVYDNPDFLPYFHEATPQAELGFLNIGSRPARRKTSTAIAHLRAIPWVFAWTQTRFVLPSWLGVGAGLKHACTEGYMHDLQAMYKEWPFFQSTIDLIEMVLAKADIPIAKHYDEVLVSESRRGLGVELRNELMMTEEYVLVVSGHEKLSENNKSLKRLIESRLPFLNSINMLQVEILKRLRQDDDNKKLRDVLLVTINGIAAGMRNTG >DRNTG_32525.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1351187:1355267:-1 gene:DRNTG_32525 transcript:DRNTG_32525.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPC4 [Source:Projected from Arabidopsis thaliana (AT1G68750) UniProtKB/TrEMBL;Acc:A0A178W7Q9] MILMKLDLRQEASRHSEALDAVTVYLDMGVYSEWDEDKKLEFLTRELKGKRPLIPPSIEVVPDVKEVLDTFRVAAELGTDSLGAYVISMASNASDVLAVELLQKDARLSVSGELGKPCPGGTLRVVPLFETAKDLRAAGSVIRKLLSIDWYREHIIKNHNGIQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACKEFGIRVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVIGTLRSTEQGEMVQAKFGLPQIAVRQLEIYTTAVLLATMRPPKPPRETKWRTVMEDISENSCHFYRSVVYDNPDFLPYFHEATPQAELGFLNIGSRPARRKTSTAIAHLRAIPWVFAWTQTRFVLPSWLGVGAGLKHACTEGYMHDLQAMYKEWPFFQSTIDLIEMVLAKADIPIAKHYDEVLVSESRRGLGVELRNELMMTEEYVLVVSGHEKLSENNKSLKRLIESRLPFLNSINMLQVEILKRLRQDDDNKKLRDVLLVTINGIAAGMRNTG >DRNTG_32525.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1353495:1356140:-1 gene:DRNTG_32525 transcript:DRNTG_32525.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPC4 [Source:Projected from Arabidopsis thaliana (AT1G68750) UniProtKB/TrEMBL;Acc:A0A178W7Q9] MGGDRDGNPNVTAKVTRDVAFLSQWMATDLYTREVDSLRFELSMGRCSDKLAILADEILLQEASYENQKNENWVEPVNRKNGYYRQSLALPTQLPADADFPSCTESQYSTVELPGNLSRQNRTSSAPTSLNSIKTSSSEISSGTISGEGRSSFRKLLEPSLNQRPGIAPYRVVLGNVKDKLIKTRRRLELLLDDLPCEYDPTEYYETAEQLLEPLLLCHESLQSCGSEILADGRLADLIRRVATFGMILMKLDLRQEASRHSEALDAVTVYLDMGVYSEWDEDKKLEFLTRELKGKRPLIPPSIEV >DRNTG_20421.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3161246:3173291:1 gene:DRNTG_20421 transcript:DRNTG_20421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYEWEKGYTERFGLNYVDYNTLERTPKDSAKWFSKFLQPKPQN >DRNTG_20421.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3172993:3173291:1 gene:DRNTG_20421 transcript:DRNTG_20421.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYEWEKGYTERFGLNYVDYNTLERTPKDSAKWFSKFLQPKPQN >DRNTG_28187.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20780049:20782334:1 gene:DRNTG_28187 transcript:DRNTG_28187.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNWSRPNMKPSVKIIHARLHAQALTLAALAGAAVVEYYDHKSGSGSGTGSKVDKVHKSIPDSFTEGLKIDSSRGSHLFM >DRNTG_28187.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20779849:20782334:1 gene:DRNTG_28187 transcript:DRNTG_28187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGLSNMESIRKWVVDHKLRTVGCLWISGIAGSMAYNWSRPNMKPSVKIIHARLHAQALTLAALAGAAVVEYYDHKSGSGSGTGSKVDKVHKSIPDSFTEGLKIDSSRGSHLFM >DRNTG_00840.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11716323:11721773:1 gene:DRNTG_00840 transcript:DRNTG_00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVRGRVTYQGFGLLLLLSFENRVLRPCTPTGSRPCRCVLVCRCTKFAKLGLGRDNKLKKSGFLVGAQVSTGVLVIRRQGEEEQQAADASTKARTTLSNNLGFLLDLRDHQVSSEVLQAYEVYAMCVCRRLSMHWVQRAGYEV >DRNTG_30794.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10342547:10343073:1 gene:DRNTG_30794 transcript:DRNTG_30794.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFLKFQLWCCSMRIQSLVASLRSRIYLRPTSAASRSSLPRYRRRVTCPTMSTHQNPSLESALVTPSSLRRSMRRLVRCHWSPPPDAAVLEVARAECEDNSEGEDDKQIST >DRNTG_34698.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22770657:22771070:1 gene:DRNTG_34698 transcript:DRNTG_34698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRENIAYGKESATPEEIRAAVELANAANFIDKMPNGLDTMVGEHGTQLSGGQKQRIAIA >DRNTG_22627.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001246.1:11482:19356:-1 gene:DRNTG_22627 transcript:DRNTG_22627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVFLVTWFSVASPMSLSTLTQKYVILRSLPIADPSSFPAITADSRPLWQSTLVVIVLPGNHECEMSVAAAGGLVINNLDNDLRHNSCGNGNNDTKERQQQHQASSNGKQAMMVATTAGNNSGNMTATTTTFSLLFFDCLVGRATLIVDNRGDDYHDHGDSYLDRKCDYYERLFYNLRANYERLFYKPLDPYISLWEYSSFIITRVMNEWLEHNFQINNAAQPDENIIIHREDCIRPISLIVEGPSRIGKTAWARSLGKHNYICGHLDFNPVTYQQDVLNNVIDDVSSSYLCLKHWKELIGCQRDWQINCKYGKPIRIKVGIPSIILCNPGNDSSYREYLDKNENTGLRDWTLKNAKFEFLYNPLFFSGQAQDNNTSHPSGCSV >DRNTG_31351.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2954135:2957840:-1 gene:DRNTG_31351 transcript:DRNTG_31351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAWGLGLMLSLAFLGPAWAEEVGLCPSVSVVDSILGRWDFCAVSESPLTGGGFVGVVEGDEVALQMALNLVHKSRKGHVALLFHASWCTFSKLLRPSFDDMSSMFPAIHHFAFDESVIRPSVLSKYGVHGFPTLFLLNSSIWVRYHGPRTMKSLATFYTDVTGIKAAPLQISIGKVDDSANLNQLKAEADQENCPFSWARSPEKLLQQDTYLALAISFVLLRTLYFLLPKLHSCVLHARRRQIRLVSLMNTWEYFHACLSQAKQSLNRLNPCKRSDFHEGAMHAKIWASKSLASVSSIGESSTGRSQCACERR >DRNTG_28835.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28245680:28246111:1 gene:DRNTG_28835 transcript:DRNTG_28835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVERGSFMEKINEKIHEYKESSSSDSEGEPPSFRKKRLFGRKEPVHAVLGG >DRNTG_29990.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6481426:6483945:-1 gene:DRNTG_29990 transcript:DRNTG_29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVCTGEAKHGDWHFIKQPVICLFFHCIILNDLVHSGGVHGGHYYAFIHLTLSDQWCTLVPTASGTNLMMSDRVTKEDMKRALEEQYGGEEELPPANPGFNNAPFKFTKYSNAYMLVYILESDKEKIIYAVEYSFLSFTLNFG >DRNTG_24898.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:362405:363770:1 gene:DRNTG_24898 transcript:DRNTG_24898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEHNRHQRLRSWPELWFADAPLKPPLKHVVLDMHLPEKQQTLTLGPDLTAPLSDELLLRLLSALPDSALPAASLVCKRWLRLLDRLRRRLILLDWSSLPRIPGRFPDLSDLDLLPASFFPSSPSPLILTRDSVSIPLDPYLDPPIGDSPFISSAALDSGLATIAQGCPNLRRLSLVAPPASEAGLMSLAERCTTLQELELHRCTDVALRPISAFTNLQILKLVGSIEGLYRGPGVTDVGLTILAHGCKRLVKLELARCEGSYDGISAVGRCCFMLEELTLTDHRMDAGWLAGLSFCGNLKTLRLQGCRSIDADPGPSEHLGTCPTIERLQLQRCQLRDKRSLSALYMVCEAVREIMFQNCWGLDNDMFGIASICR >DRNTG_29279.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28645126:28646063:1 gene:DRNTG_29279 transcript:DRNTG_29279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPTLPISTTQSTAGQSSPPISTPAFRLFISRLSDSFRRSLANRRPWYELLDRSALSRPESLSDAFSRIRKNLSYFRVNYTAIIAVVLALSLLSHPFTLLLLLALLAAWTLLYLFRPSDQPLVILGRTFSDRETLGGLVLLTIFIVFLTSVGSLLISALLVGAGIVCAHGAFRMPEDLFLDDQEPGGAASGLLSFLGGAASSAAAAAGPSVAARV >DRNTG_18878.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2299350:2303303:1 gene:DRNTG_18878 transcript:DRNTG_18878.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASAPIARLTVPTSFGIVSSSSDLNFVHLSSPVISRLRSSRSASLRIRSAATKPAKSPAEEDWKIKREVLLEKRVRSVEVKEALRLQKENNFVILDVRPEAEYKESHPPGAINVQVYRLIKEWTAWDIARRAAFAFFGIFSGTEENPEFLQSVESKLDKDAKIIVACTSGGTMRPSQNLPEGQQSRSLIAAYLLVLNGYKNVFHLDGGLYTWFKEGLPTVSEDE >DRNTG_09500.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21658103:21658703:1 gene:DRNTG_09500 transcript:DRNTG_09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCADVMCRNGSLIWPAVRAARTADATVIVVGLDETIEREGLDRENMELPGYQLQFITQVAHVASGPVVVVILSAGPVNILSLQQNPDIDSILWAGYPGQEGGQAIADVIFGQYNPAGRLPVTWYPPEYVWQLPMTSMQFRPIQSLGYPGRTYKFY >DRNTG_19394.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22170225:22171405:1 gene:DRNTG_19394 transcript:DRNTG_19394.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMESIELLSHQLPPGFRFHPTDEELINAYLKKKITSSMTQFTSIIADINIYKYNPWDLPGKAFFGDEEWFFFSPRDRKYPNGIRPNRSAASGYWKATGTDKPIIASSGSHCVGVKKALVFYKGRPPKGIKTEWVMHEYRLIDPTLNQLKNKDSMRLDDWVLCRIRQKSYSGSVNDEQGNQKMEMQEGSDYELFACYDNNHNSNRITEQEVGNNLVGETQPFQEVIGTIKRKVSVGAVDEILMLPQSSNKRVNYGGGQIEEFSPFGFDFVDQEFLSFLM >DRNTG_03281.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8752629:8755328:1 gene:DRNTG_03281 transcript:DRNTG_03281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVSIRTFDLALSSTFQFEVKTGWASSTAGNPYADNDDNPYEVLGVSPIVGSDIMKTTYQRNRKKAKRKGDEATTTIVEKANDHIMMSQLSNWKKGVTFGSFQVSKDIMYADKQPIVLWGPRSSKSSLRD >DRNTG_28062.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23114283:23114907:-1 gene:DRNTG_28062 transcript:DRNTG_28062.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWPQNPPTFTDALDQYTEEIQRVANIMFESIGKSLKLDKLSDNFKDFQQSIRINYYPPCPHASNVVRLAPHTDSVGLTVLLQVNEVDGLQFKKNGVW >DRNTG_28062.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23114987:23115416:-1 gene:DRNTG_28062 transcript:DRNTG_28062.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAGNGNWNLKNTAPSLPAPSVQSIADDSKNCSEILEKYVIPEINDSLVLNGAAAGPGDLPIIDFSKLCKQSEEEIAKFQ >DRNTG_28062.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23114283:23115416:-1 gene:DRNTG_28062 transcript:DRNTG_28062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWPQNPPTFTDALDQYTEEIQRVANIMFESIGKSLKLDKLSDNFKDFQQSIRINYYPPCPHASNVVRLAPHTDSVGLTVLLQVNEVDGLQFKKNGVW >DRNTG_07096.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1967458:1968322:-1 gene:DRNTG_07096 transcript:DRNTG_07096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIQYSEKYFDDTYEYRHVVLPPEVAKMLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQENPTATAAAAAHQAIAK >DRNTG_25748.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21585067:21586163:1 gene:DRNTG_25748 transcript:DRNTG_25748.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLNSEGLMIPKSLLLLLIIIDLIYFSITILLFYLGLYPSYDRPQPPSWEDIQLVFDITPPKSNQASPPFMIKSQLPVLNFATIKQRRWSSMEDGDHDDKEKTMCIVCLGSLEGEDEVRELGNCIHVFHKECLDKWVDIGHHTCPMCRSLLLPSKSSKGDRWRFFSTMVKLW >DRNTG_25748.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21585300:21586163:1 gene:DRNTG_25748 transcript:DRNTG_25748.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLNSEGLMIPKSLLLLLIIIDLIYFSITILLFYLGLYPSYDRPQPPSWEDIQLVFDITPPKSNQASPPFMIKSQLPVLNFATIKQRRWSSMEDGDHDDKEKTMCIVCLGSLEGEDEVRELGNCIHVFHKECLDKWVDIGHHTCPMCRSLLLPSKSSKGDRWRFFSTMVKLW >DRNTG_25748.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21585300:21585989:1 gene:DRNTG_25748 transcript:DRNTG_25748.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLNSEGLMIPKSLLLLLIIIDLIYFSITILLFYLGLYPSYDRPQPPSWEDIQLVFDITPPKSNQASPPFMIKSQLPVLNFATIKQRRWSSMEDGDHDDKEKTMCIVCLGSLEGEDEVRELGNCIHVFHKECLDKWVDIGHHTCPMCRSLLLPSKSSKGDRWRFFSTMVKLW >DRNTG_25748.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21585067:21585989:1 gene:DRNTG_25748 transcript:DRNTG_25748.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLNSEGLMIPKSLLLLLIIIDLIYFSITILLFYLGLYPSYDRPQPPSWEDIQLVFDITPPKSNQASPPFMIKSQLPVLNFATIKQRRWSSMEDGDHDDKEKTMCIVCLGSLEGEDEVRELGNCIHVFHKECLDKWVDIGHHTCPMCRSLLLPSKSSKGDRWRFFSTMVKLW >DRNTG_24039.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26621221:26624386:-1 gene:DRNTG_24039 transcript:DRNTG_24039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLLTDSFELSRGQTSRDGDIELGTQVVTNTGEIGLDDFFKKVQGIEKQIQNLSMLLKKLQDANEESKGVTKAAAMKSIKQRMEKDIDEVGKVARIVKVKLEELDKDNLLNREKPGCEKGSGVDRSRISTTIALKKKLKERMSDFQTLRETIHQEYREVVERRVFTVTGNHADEQTIDRLIETGDSEQIFQKAIQEQGRGQIVETLAEIQERHDAVREIEKKLTDLQQIFLDMAVLIEAQGDMLDNIEAQVTNADDHIQSGIGALQKARRLQKNTRKCTCIAIIILIIIIAVVLLVVLKPWSKN >DRNTG_33664.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2139162:2141631:1 gene:DRNTG_33664 transcript:DRNTG_33664.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPTKRFRLSAKNFYLTYPECSLSKDDALTQLLAIPLPTNKKFICVTRELHEDGSPHIHVLLQLEGKAQITNQRIFDLRHLHSCRCFHPYIQSAKSCSNIKAYVEKDGDYTDWGEFQIDGKSSRGGTHDLATRYANASNATSVQELLQIIREKDPRSFVLQYHKIIASAERIFASPVAMFRSNWAYSSFHVTQGIQQWLVSNFDEKSAARPFENNIFILKENLDRPISLILEGPSRTGKTEWARSLGPHNYICGYMDFNTHTFRNDVMYNVIDNIAPRYLKLKYWKKLIGAERDWQANCKYGKPVQIIGGIPAIVLCNPGTDSSYSEFLDKRQNISLRQWTCQNARFEFITSPLYTLQRDDIDTTMTT >DRNTG_33664.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2154445:2160910:1 gene:DRNTG_33664 transcript:DRNTG_33664.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLVVGFAFIYMEDERDAEDAIRGLDRFEFGRQGRRLRVEWTKQERDGRRSGGGNPRRSSANMRPTKTLFVINFDHITTRTRDLERHFEPYGKILNIRIRRNFAFIQFDSQEDATKALDATNMSKLMDRVISVEYALRDDDEKRNGYSPDRRGRDRSPVRGRDHRRSVSPYGRGAERGSPDYGRGPSSPYRSKPDARSSPDYGRAESPVYERYRSRSPMRQERP >DRNTG_33664.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2139162:2160910:1 gene:DRNTG_33664 transcript:DRNTG_33664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIFCGNFEYDARQSELERLFSKYGKVDRVDMKTGFAFIYMEDERDAEDAIRGLDRFEFGRQGRRLRVEWTKQERDGRRSGGGNPRRSSANMRPTKTLFVINFDHITTRTRDLERHFEPYGKILNIRIRRNFAFIQFDSQEDATKALDATNMSKLMDRVISVEYALRDDDEKRNGYSPDRRGRDRSPVRGRDHRRSVSPYGRGAERGSPDYGRGPSSPYRSKPDARSSPDYGRAESPVYERYRSRSPMRQERP >DRNTG_33664.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2154445:2160910:1 gene:DRNTG_33664 transcript:DRNTG_33664.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIFCGNFEYDARQSELERLFSKYGKVDRVDMKTGFAFIYMEDERDAEDAIRGLDRFEFGRQGRRLRVEWTKQERDGRRSGGGNPRRSSANMRPTKTLFVINFDHITTRTRDLERHFEPYGKILNIRIRRNFAFIQFDSQEDATKALDATNMSKLMDRVISVEYALRDDDEKRNGYSPDRRGRDRSPVRGRDHRRSVSPYGRGAERGSPDYGRGPSSPYRSKPDARSSPDYGRAESPVYERYRSRSPMRQERP >DRNTG_33664.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2154445:2160910:1 gene:DRNTG_33664 transcript:DRNTG_33664.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIFCGNFEYDARQSELERLFSKYGKVDRVDMKTGFAFIYMEDERDAEDAIRGLDRFEFGRQGRRLRVEWTKQERDGRRSGGGNPRRSSANMRPTKTLFVINFDHITTRTRDLERHFEPYGKILNIRIRRNFAFIQFDSQEDATKALDATNMSKLMDRVISVEYALRDDDEKRNGYSPDRRGRDRSPVRGRDHRRSVSPYGRGAERGSPDYGRGPSSPYRSKPDARSSPDYGRAESPVYERYRSRSPMRQERP >DRNTG_11377.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5938405:5939193:-1 gene:DRNTG_11377 transcript:DRNTG_11377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRSVNGRVDSTTVLSRQELLYLYSMVQRVPIHLGHIMAEYIRHQGYYARLGVIFSGPYITRLVLGMGLLDSIRGAEKTSPEIAEDEGDHAEASQPAPEPHPAPMETEASPAEEDAPPVRMFSPSRAHDRFERLKNAVGVIQAEMVEARAEIAEIRVTQVTHYTEFMAPSTPPATPSPVLAPQDSPCASPPPTAAEEPTESDTNI >DRNTG_29848.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23138403:23140762:-1 gene:DRNTG_29848 transcript:DRNTG_29848.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFLLVLLIFGFIISHVVSIRSLNRDVIEMKEEHEKWMVKHGRVYKDEDEKEHRMEIFKNNLKLIGLFNAGDHKFKLGVNQFADLTNEEFKTIYNGFKPSLKKIKEVAMESSSFRYENVSATPVSLDWRMKGAVTAVKDQRQCGCCWAFSAVAAMEGITKLSTGKLISLSEQELVDCDTSGEDQGCNGGFMDNAFEFIIKNKGLTTELNYPYKGTDGNCDTKKSAIHAASITGFEDVPSNNEAALLKAVTNQPVSVAIDSSGYAFQFYSDGVFTGYCGTDLDHGVTAVGYGMTSDGTKYWLVKNSWGSSWGEKGYIRMERDVDDEHGLCGIAMQASYPIA >DRNTG_31739.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21743637:21748943:1 gene:DRNTG_31739 transcript:DRNTG_31739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPAPPSMPSTQGAQYAQQFLTTALSQRGPSALPYAEDAKWLIRQHLVSLVDAFPSLHPKVTHFVHNDGRTALLLQAEGTIPIAYQGAVYNLPASIWLLETYPRSPPAVFLTPTQSMLVKPHHPLVDRSGSVHSPYLLSWLFPSSNLVDLVRSLSHAFSLDPPLYSRQTPSANPSPSPSSNPNPNPNPIPIPIPSSHSSPAPARVFSSSSSPYARPAASVEDPAEVFRRNAISKLVDTIHGDLAALRKSRELEVEGLFTTQAGLKQREEELRKGLREMLDEKEALEQQLQMVLMNTDVLEGWVRENEGRGRRIGDVDVDDAFEPSDPLSKQMLQCTAADLAVEDVIYSLDKAAQEGSISFEMYLKNVRALSREQFFHRATGAKVRAAQLQSQVTSMAARVPPQYAS >DRNTG_34921.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002205.1:2946:3725:1 gene:DRNTG_34921 transcript:DRNTG_34921.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGGGSSAARGASAGAREQASPSTSVSPCPREHV >DRNTG_15533.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2990913:2991501:1 gene:DRNTG_15533 transcript:DRNTG_15533.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKAKEELSDVEEKNMLDYLYTSQYHMSGILAISLGGVEELNVDNITHVVYMRFQRKEDLAKFYVNDSYLGILKEHVKPHCYVCPGM >DRNTG_15533.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2990967:2991501:1 gene:DRNTG_15533 transcript:DRNTG_15533.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKAKEELSDVEEKNMLDYLYTSQYHMSGILAISLGGVEELNVDNITHVVYMRFQRKEDLAKFYVNDSYLGILKEHVKPHCYVCPGM >DRNTG_18672.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000968.1:84269:90955:1 gene:DRNTG_18672 transcript:DRNTG_18672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVKGTNFASGGSGILDSTGSTNSTISMTKQIQYFSTVRSQLTQRLAIEQIDELFSKSLFIISSGGNDIFAYFSQNRFPNVTERQNFISSLITKYGDHLKELYALGGRKFGIVDVPPLGCCPYPRSLNPTGGCLEMLNELAQEFNGALKVLMNDVSSTLAGMKYSIGSSNAVVMNIVNNPGALGFKETKTACCGFGKFNGESGCTPNATVCSDRRLYLFWDLLHPTHATAKIFGLAVYSGPEQFASPINFKKLVEDYN >DRNTG_18676.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2085228:2091140:1 gene:DRNTG_18676 transcript:DRNTG_18676.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFQPISMSPTTLSLTSSGTSLLAAGKPAWFSFSFNKHSSLPSSKNKYSRRTSGRGGNVVCLRGGNISVQTSAPQTTTDAAVAFDRQSFPPGFTFGAATAAYQIEGAWNEGGRGPSIWDTFTQQHPVRPPSLHARLPKPSPPLGDTLNGPRQPSQNPHPLRRRGSCSRPLKPALATTSISQSKTLPESLTVDEKACTLEDHLTFDPDGIKALRSLLELKVKTNLLPDAITIIERLIALEPDGIFF >DRNTG_18676.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2071565:2097902:1 gene:DRNTG_18676 transcript:DRNTG_18676.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRKSTLLRMELAMLTSLTFRRRALADEMRKNYLAVHLAEICDAIRKGANVKGYFAWSLMDNYEWEKGYTERFGLNYVDYNTLERTPKDSAKWFSKFLQPKPQN >DRNTG_18676.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2085228:2182891:1 gene:DRNTG_18676 transcript:DRNTG_18676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFQPISMSPTTLSLTSSGTSLLAAGKPAWFSFSFNKHSSLPSSKNKYSRRTSGRGGNVVCLRGGNISVQTSAPQTTTDAAVAFDRQSFPPGFTFGAATAAYQIEGAWNEGGRGPSIWDTFTQQHPVRPPSLHARLPKPSPPLGDTLNGPRQPSQNPHPLRRRGSCSRPLKPALATTSISQSKTLPESLTVDEKACTLEDHLTFDPDGIKALRSLLELKVKTNLLPDAITIIERLIALEPDGIFF >DRNTG_18676.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2085228:2089328:1 gene:DRNTG_18676 transcript:DRNTG_18676.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFQPISMSPTTLSLTSSGTSLLAAGKPAWFSFSFNKHSSLPSSKNKYSRRTSGRGGNVVCLRGGNISVQTSAPQTTTDAAVAFDRQSFPPGFTFGAATAAYQIEGAWNEGGRGPSIWDTFTQQHPGIYIYFLQ >DRNTG_18676.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2085228:2097824:1 gene:DRNTG_18676 transcript:DRNTG_18676.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFQPISMSPTTLSLTSSGTSLLAAGKPAWFSFSFNKHSSLPSSKNKYSRRTSGRGGNVVCLRGGNISVQTSAPQTTTDAAVAFDRQSFPPGFTFGAATAAYQIEGAWNEGGRGPSIWDTFTQQHPVRPPSLHARLPKPSPPLGDTLNGPRQPSQNPHPLRRRGSCSRPLKPALATTSISQSKTLPESLTVDEKACTLEDHLTFDPDGIKALRSLLELKVKTNLLPDAITIIERLIALEPDGIFF >DRNTG_18676.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2071565:2097824:1 gene:DRNTG_18676 transcript:DRNTG_18676.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRKSTLLRMELAMLTSLTFRRRALADEMRKNYLAVHLAEICDAIRKGANVKGYFAWSLMDNYEWEKGYTERFGLNYVDYNTLERTPKDSAKWFSKFLQPKPQN >DRNTG_12382.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000545.1:1971:4121:1 gene:DRNTG_12382 transcript:DRNTG_12382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLMSSSRKMTMKSSSSFVPTAVLLFGMLFLTSPLSVLADFDFYYFVLMWPGTYCEQSKCCHPTTGNRVQDFLIRSLQPTNISYETDTKCRSESLYTNKLDNLMDYLNTYWSNIKCPSNNGMSAWKSTWKTYGTCTGLDEYNYFYTALVLRHHIFDLLSILKNNGITPDISQEYSLTSIKEAIAKGIGAKPQIKCNSKNQLYEVYICLDKAALTTIECPEDLGFTCATDIYFPPVNQTKLNHTMPNFDKDFMARKIIMPISLE >DRNTG_16439.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7696871:7704708:1 gene:DRNTG_16439 transcript:DRNTG_16439.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLEGFKHLFAVLLKCCDIDFKQPKGLEDPELLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTSKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDEVIESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >DRNTG_16439.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7696098:7704708:1 gene:DRNTG_16439 transcript:DRNTG_16439.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLEGFKHLFAVLLKCCDIDFKQPKGLEDPELLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTSKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDEVIESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >DRNTG_06499.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21828038:21829550:-1 gene:DRNTG_06499 transcript:DRNTG_06499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRPQGCVVAAAHEAKKKSLKNSSKIPLYHSTIPLKLASIPGGIPGSMRTSLGWYTGSMMTSLTVFGVGKLHKCSQNSIHTGSMSTSLRQYAFKQASSFIATVIIQGSIPGSIEAICHDSIPDMKTLQTSPEPLVAQPRQRPVLCPYGDGATEVLGIHDKLRHPNRPRDLNLVPTRPDQFDQRNHTNPSLELGG >DRNTG_23410.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001264.1:36748:51537:-1 gene:DRNTG_23410 transcript:DRNTG_23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTRKIKTARASKENGFESVPSESGTTGIAEAFVLLHMSSISFQTSSFGAFLSARVMTEGFFLRSSITFCQYRPFIKSPIRL >DRNTG_22639.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20746371:20752229:1 gene:DRNTG_22639 transcript:DRNTG_22639.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRRLNSHEFHLADLASAALDRRDIHRCDTPLHLVDRLNLPVVISFLAVSGANPFLQNATGWTPLQEAICLHRRPLALILFRHHRLFVLSKLWFQLPALHRLPDFYLKSPFALTPLSFHSSLATLFPSGNAPVIYGSTLPSLPLPPPYACAATLDFISFLTPQKLRRLREAQIQDILSDRSKVKKLVQLFEPLVRALDGIVDQWSLDILEGSTQSGVWFPQEMELNKASGFVRFGRVVRPGMKERSQCGSQCTDFGGNLAKNKSSTNLPIIEEASDPRRERVPFCVTLMKVWIREAIQAGYCSRAMQQHGSKYCSSTVHSRPRKQEFKESTRACGNYPSPCGNSARAREASTPI >DRNTG_19862.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20050675:20058718:-1 gene:DRNTG_19862 transcript:DRNTG_19862.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESTLDMQRTEKQVFDVGLFVEDLALDEDARSDYESLEGLQEELEECKTDDEVSDILAKVSKLWEHTKGVENNVRQVELDSMQAAESKLTKFVEDIIVTPGMVDIIVDGEVNEECLKTLESLRKKLKFAEVVKTSKAPKDVQLELERLRQKAVSKVFEFIVQKIHALRNLKTSVQILQHSILLKYKCLIIFLKEHGREIYNEVKATYIDTMSKILSAHFHAYIQAMGKLQLDIATASDLIGVEARSTGLSLSREPLKNGSAVFALGDRINILKEIDQPALIPHTAEVNSQRYPYE >DRNTG_19862.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20054009:20058718:-1 gene:DRNTG_19862 transcript:DRNTG_19862.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDESTLDMQRTEKQVFDVGLFVEDLALDEDARSDYESLEGLQEELEECKTDDEVSDILAKVSKLWEHTKGVENNVRQVELDSMQAAESKLTKFVEDIIVTPGMVDIIVDGE >DRNTG_19862.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20051197:20058718:-1 gene:DRNTG_19862 transcript:DRNTG_19862.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESTLDMQRTEKQVFDVGLFVEDLALDEDARSDYESLEGLQEELEECKTDDEVSDILAKVSKLWEHTKGVENNVRQVELDSMQAAESKLTKFVEDIIVTPGMVDIIVDGEVNEECLKTLESLRKKLKFAEVVKTSKAPKDVQLELERLRQKAVSKVFEFIVQKIHALRNLKTSVQILQHSILLKYKCLIIFLKEHGREIYNEVKATYIDTMSKILSAHFHAYIQAMGKLQLDIATASDLIGVEARSTGLSLSREPLKNGSAVFALGDRINILKVFFYVDSLIKIFFFHLLVHFNILIQSYEYA >DRNTG_19862.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20050675:20052785:-1 gene:DRNTG_19862 transcript:DRNTG_19862.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSKILSAHFHAYIQAMGKLQLDIATASDLIGVEARSTGLSLSREPLKNGSAVFALGDRINILKEIDQPALIPHTAEVNSQRYPYE >DRNTG_19862.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20053240:20058718:-1 gene:DRNTG_19862 transcript:DRNTG_19862.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDESTLDMQRTEKQVFDVGLFVEDLALDEDARSDYESLEGLQEELEECKTDDEVSDILAKVSKLWEHTKGVENNVRQVELDSMQAAESKLTKFVEDIIVTPGMVDIIVDGEVNEECLKTLESLRKKLKFAEVVKTSKAPKDVQLELERLRQKAVSKVVN >DRNTG_10666.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6086295:6087457:-1 gene:DRNTG_10666 transcript:DRNTG_10666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQRLKINQRKFSEIKKKNVNSYPKSD >DRNTG_17301.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31830005:31832074:-1 gene:DRNTG_17301 transcript:DRNTG_17301.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome B5-like protein [Source:Projected from Arabidopsis thaliana (AT1G60660) UniProtKB/Swiss-Prot;Acc:O22704] MELIIIISVILLLVVSLLLIPRSSSKGLGKGPQPYIVRKTLKIFTKEEVSLHNTRNDCWIIVKDKVYDVTPYVEEHPGGDAILKHAGGDSTEGFYGPQHASRVFDMVDEFYIGDLKS >DRNTG_25990.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1019331:1020853:-1 gene:DRNTG_25990 transcript:DRNTG_25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPLELFQPLDPDVFSKESHAVVDFIADYYREIEQYPVRSQAEPGYLSKLLPDTPPIFSEPIDTILEDVKKDIIPGLTHWQSPNFYAYFQANASTAGFLGEMLCSGFNVVGFSWISSPAATELESIVMDWMAKMMKLPSMFLFSGAQGGGGVLHGSTCEAVVCTLAAARDKALSKIGHDSITKLVVYASDQTHFTVHKSSKIIGIPPCNFRVIPTTASSGYGLTADAVRSVMKEDISQGFTPLYLCATVGTTAIGAVDPLLELGQVAKEFGAWFHVDAAYAGSSAVCPEFRRYFDGVDPTELVNSLSTNPEILRNNASDAREVVDYKDWQIAPEPEIQSHEIMAGYPKIWNGWPDGAHKE >DRNTG_18397.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3882297:3883907:-1 gene:DRNTG_18397 transcript:DRNTG_18397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKPDVPKSSMGISSQVFSELMQLQDRGKWDEAVELVVNAGDLRARSVPYNNWYRLRRSLEIIRASGSPPSAFAVPYDEFQGGDVAKNLDYDFICLFLSGPRVDLYRAIDLRCEEMLMETEGLLSEASWLLDIGLHPSTNSATRAIGYRQAMEYLLSCREAKSCSAEGFIDFLSEFQKASRNFAKRQMTWFRNEKIYHWLDASRPMREVIDFVCSVYQEAGTGKVIVPQKLKMKKDVNNQRETYELKSYRPRNKLFIEDEDCHRVLDWVRRTQCQTVEVSRNPAL >DRNTG_32093.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22307362:22310523:1 gene:DRNTG_32093 transcript:DRNTG_32093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHSNNSPLCRSSVPMEASLLWRATPTAAHLQTFMKTPDAAYSQHYNKSTTIKSTSSTPSVLNITCIQNHHRESPRNHQTRPLHKEKQMNSNSTTVVPIQK >DRNTG_17161.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21787707:21789902:-1 gene:DRNTG_17161 transcript:DRNTG_17161.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNFPSFDLSSSSLPQGKEDLKQFVGARGSIQTKSMDTRSPSGRRRGLRIYNVSEGWRFLGWILVNREFDS >DRNTG_11188.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2076424:2080140:-1 gene:DRNTG_11188 transcript:DRNTG_11188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLVHGDYPFIMKALVRERLPCFTEEESEMIKGSYDFIGINYYTARYAYSLPLSSNDRPISYNADSYVDLRGITCFPYHFFILIYLFIVLHLT >DRNTG_28627.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28386198:28392180:1 gene:DRNTG_28627 transcript:DRNTG_28627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHRALSGGSQALRSRFSSISWKPIGKPQQTLASEIVLAGVALHSGETVTAKLLPEAAGQGRYFVVGGVGGQVTTIAAAIGNAEDSPLCTTLSRAGARVRTVEHLLSALEACGVDNCRIEISGGNEVPVLDGSAKEWVEAIQQVGLCVAEASAGNYIDKLAPFLNEPIYVSRNDSFIFGFPSPEILITYGIDFSKVPSIGCQWFSSLINASVYLKEIAPARTFCVYEEVEKMRSAGLIQGGSAENAIVCSTTRGWLNPPLRYHDEPCRHKVLDLVGDFSLLAHNGNQGLLNAHIIAYKAGHSLHSEFIRCISKILCSVEK >DRNTG_10899.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000476.1:69895:73232:1 gene:DRNTG_10899 transcript:DRNTG_10899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSSLSYDRSNSNDDNTSSVSSRMAGSLVVNN >DRNTG_28979.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18490253:18491453:-1 gene:DRNTG_28979 transcript:DRNTG_28979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTTRRGYVRFRSKLISLPEVLNEGRQTTKEGQCEVAGSSASDRARRGSIGDGNVTDTDTIPHLANEGRTAARGDSETQEEFVYEEMCANFHSFASQVINGRAQRQPCLHIPPLCKDFKTFQDALMKEKPYSLPYDRVPDRVALRGECLSSRLWKSPYCSKFTVAVM >DRNTG_03168.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19571564:19572059:-1 gene:DRNTG_03168 transcript:DRNTG_03168.1 gene_biotype:protein_coding transcript_biotype:protein_coding STTSIHSSRGSQKSWFASIAKIKSNETSSRPLLRSSRPRSKARTSPSTSFETASFIPISGGFLYSTSSSLDRSSDESTVNSDADNQEVALVKRQLKV >DRNTG_23484.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001265.1:534:6742:-1 gene:DRNTG_23484 transcript:DRNTG_23484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYLDKLDSKWTEKYDKYDYMLMSGSHWFYKRTIIYDNNKVIGCHYCPDLGLKDIDSDVVYRKALELTFKFITTSEHKPFVILRTWSPSHYENGEWPNERICNRSKPFTKGQISGDLTDLKMRDIELEEFKKAAATGNKKWGENEAL >DRNTG_20497.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001123.1:591:3285:-1 gene:DRNTG_20497 transcript:DRNTG_20497.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGQDETLRSLSLDYLNLLINGEAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCGPEPPPGLPFLDRGAHRSPSTSPRGRTSPGAVIPVNSVSYEVFLLLLQFLYSGQVSVVPQKHEARPNCPDRGCWHTHCTAAVDLALDTLSAARSFGVEQLALLTQKQLASMVEKASIEDVMKVLMASRKQDMHQLWTTCSHLVAKSGLPPEVLAKHLPIDVVAKIEELRLKSSLARRTSTFMPHPHHHHHPPSTSSALAQLSLRTTRRSAACAALSTPRTSSSLSLWSWAKGSTSTMR >DRNTG_20497.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001123.1:193:3001:-1 gene:DRNTG_20497 transcript:DRNTG_20497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGQDETLRSLSLDYLNLLINGEAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCGPEPPPGLPFLDRGAHRSPSTSPRGRTSPGAVIPVNSVSYEVFLLLLQFLYSGQVSVVPQKHEARPNCPDRGCWHTHCTAAVDLALDTLSAARSFGVEQLALLTQKQLASMVEKASIEDVMKVLMASRKQDMHQLWTTCSHLVAKSGLPPEVLAKHLPIDVVAKIEELRLKSSLARRTSTFMPHPHHHHHPPSTSSALAQLSLRTTRRSAACAALSTPRTSSSLSLWSWAKGSTSTMR >DRNTG_34864.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21965804:21967703:1 gene:DRNTG_34864 transcript:DRNTG_34864.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGTMRSNLDPLEEYNDEQIWEVLDKCQLGDEIQRKEKKLDSPVKENGENWSVGQRQLVCLGRVLLRKNKILALDEATASVDTATDVLIQRTLRMHFCEATVITIAHRIASVLDSDNVLLLDNGHVAEYGSPSTLLQDESSDFAKLVKAYTSRH >DRNTG_34864.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21962358:21965053:1 gene:DRNTG_34864 transcript:DRNTG_34864.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCDLGMRMNEAESHLLEPLVNGHDDGKSLEKNHDSLYSSANFFSMLSFSWVGPLLSLGKRKRLDLDDIPKLASHDSACHVYSVFSNKLQLDCRIGSFKLVKALCLSVWREVLLSILFALCFTCASFVGPYLLDAFVRYLEARQEATVKGQVLVFVFLFAKIIECLSQRHWFFQTQKFGMKSQAALVAMIYKKSLTISTQSKQSGDAEVVNLMSVDAERIGDFSWYMIDLSLIPFQVGLSLVILYKSLGLASLVTFAATVLVMVLNLPFSKSVQAFEDKIMECRDKRIKATSEILRNMRILKFYGWEMRFLSKILELRKNEMIWLKKYLYTEVIATFVYWGAPIFVSVATFSACYLMGIPLQSGKVLSALATFNMLKDPICNLPDIISLLAQTLVSVDRISTFLSLEEWQPDIVEKFPRGSSDVAVEILDGNFSWEPSSSNCTLKDLNLQVSHGMKVAVCGTVGSGKSSLLCCMLGEILKKSGTIKLCGNVAYVAQSPWIQSGTVESNIIFGMEMSREKYEQILEACSLKKDLEILPQGDQTIIGERGINLSGGQKQRLQIARALYHDADIYLFDDPFSAVDAHTGNHIFKVMKEGRIDQAGRYSDIANVEAGLMELGVAYQWLIVQMVFSLILTQPMI >DRNTG_34864.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21962358:21965371:1 gene:DRNTG_34864 transcript:DRNTG_34864.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCDLGMRMNEAESHLLEPLVNGHDDGKSLEKNHDSLYSSANFFSMLSFSWVGPLLSLGKRKRLDLDDIPKLASHDSACHVYSVFSNKLQLDCRIGSFKLVKALCLSVWREVLLSILFALCFTCASFVGPYLLDAFVRYLEARQEATVKGQVLVFVFLFAKIIECLSQRHWFFQTQKFGMKSQAALVAMIYKKSLTISTQSKQSGDAEVVNLMSVDAERIGDFSWYMIDLSLIPFQVGLSLVILYKSLGLASLVTFAATVLVMVLNLPFSKSVQAFEDKIMECRDKRIKATSEILRNMRILKFYGWEMRFLSKILELRKNEMIWLKKYLYTEVIATFVYWGAPIFVSVATFSACYLMGIPLQSGKVLSALATFNMLKDPICNLPDIISLLAQTLVSVDRISTFLSLEEWQPDIVEKFPRGSSDVAVEILDGNFSWEPSSSNCTLKDLNLQVSHGMKVAVCGTVGSGKSSLLCCMLGEILKKSGTIKLCGNVAYVAQSPWIQSGTVESNIIFGMEMSREKYEQILEACSLKKDLEILPQGDQTIIGERGINLSGGQKQRLQIARALYHDADIYLFDDPFSAVDAHTGNHIFKVMKEGRIDQAGRYSDIANVEAGLMELGVAYQWLIVQMVFSLILTQPMI >DRNTG_34864.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21962358:21964355:1 gene:DRNTG_34864 transcript:DRNTG_34864.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCDLGMRMNEAESHLLEPLVNGHDDGKSLEKNHDSLYSSANFFSMLSFSWVGPLLSLGKRKRLDLDDIPKLASHDSACHVYSVFSNKLQLDCRIGSFKLVKALCLSVWREVLLSILFALCFTCASFVGPYLLDAFVRYLEARQEATVKGQVLVFVFLFAKIIECLSQRHWFFQTQKFGMKSQAALVAMIYKKSLTISTQSKQSGDAEVVNLMSVDAERIGDFSWYMIDLSLIPFQVGLSLVILYKSLGLASLVTFAATVLVMVLNLPFSKSVQAFEDKIMECRDKRIKATSEILRNMRILKFYGWEMRFLSKILELRKNEMIWLKKYLYTEVIATFVYWGAPIFVSVATFSACYLMGIPLQSGKVLSALATFNMLKDPICNLPDIISLLAQTLVSVDRISTFLSLEEWQPDIVEKFPRGSSDVAVEILDGNFSWEPSSSNCTLKDLNLQVSHGMKVAVCGTVGSGKSSLLCCMLGEILKKSGTIKLCGNVAYVAQSPWIQSGTVESNIIFGMEMSREKYEQILEACSLKKDLEILPQGDQTIIGERGINLSGGQKQRLQIARALYHDADIYLFDDPFSAVDAHTGNHIFKECILEYLGSKTVIYVTHQVEFLSNADLILV >DRNTG_34864.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21962358:21966432:1 gene:DRNTG_34864 transcript:DRNTG_34864.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCDLGMRMNEAESHLLEPLVNGHDDGKSLEKNHDSLYSSANFFSMLSFSWVGPLLSLGKRKRLDLDDIPKLASHDSACHVYSVFSNKLQLDCRIGSFKLVKALCLSVWREVLLSILFALCFTCASFVGPYLLDAFVRYLEARQEATVKGQVLVFVFLFAKIIECLSQRHWFFQTQKFGMKSQAALVAMIYKKSLTISTQSKQSGDAEVVNLMSVDAERIGDFSWYMIDLSLIPFQVGLSLVILYKSLGLASLVTFAATVLVMVLNLPFSKSVQAFEDKIMECRDKRIKATSEILRNMRILKFYGWEMRFLSKILELRKNEMIWLKKYLYTEVIATFVYWGAPIFVSVATFSACYLMGIPLQSGKVLSALATFNMLKDPICNLPDIISLLAQTLVSVDRISTFLSLEEWQPDIVEKFPRGSSDVAVEILDGNFSWEPSSSNCTLKDLNLQVSHGMKVAVCGTVGSGKSSLLCCMLGEILKKSGTIKLCGNVAYVAQSPWIQSGTVESNIIFGMEMSREKYEQILEACSLKKDLEILPQGDQTIIGERGINLSGGQKQRLQIARALYHDADIYLFDDPFSAVDAHTGNHIFKVMKEGRIDQAGRYSDIANVEAGLMELGVAYQWLIVQMVFSLILTQPMI >DRNTG_34864.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21962358:21967703:1 gene:DRNTG_34864 transcript:DRNTG_34864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCDLGMRMNEAESHLLEPLVNGHDDGKSLEKNHDSLYSSANFFSMLSFSWVGPLLSLGKRKRLDLDDIPKLASHDSACHVYSVFSNKLQLDCRIGSFKLVKALCLSVWREVLLSILFALCFTCASFVGPYLLDAFVRYLEARQEATVKGQVLVFVFLFAKIIECLSQRHWFFQTQKFGMKSQAALVAMIYKKSLTISTQSKQSGDAEVVNLMSVDAERIGDFSWYMIDLSLIPFQVGLSLVILYKSLGLASLVTFAATVLVMVLNLPFSKSVQAFEDKIMECRDKRIKATSEILRNMRILKFYGWEMRFLSKILELRKNEMIWLKKYLYTEVIATFVYWGAPIFVSVATFSACYLMGIPLQSGKVLSALATFNMLKDPICNLPDIISLLAQTLVSVDRISTFLSLEEWQPDIVEKFPRGSSDVAVEILDGNFSWEPSSSNCTLKDLNLQVSHGMKVAVCGTVGSGKSSLLCCMLGEILKKSGTIKLCGNVAYVAQSPWIQSGTVESNIIFGMEMSREKYEQILEACSLKKDLEILPQGDQTIIGERGINLSGGQKQRLQIARALYHDADIYLFDDPFSAVDAHTGNHIFKVMKEGRIDQAGRYSDIANVEAGLMELGVAYQWLIVQMVFSLILTQPMI >DRNTG_19128.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29620493:29623010:1 gene:DRNTG_19128 transcript:DRNTG_19128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEEGPEPNLAPHHHLLDWLEDSASFIPSIPSFFDEPYTEIDLNPYEWWNHDHDYENQQQSIQTPASSTAITTPSITTTTIIATTNQTTVPKSEYTTKKRKQQEEQSIRSQSQQSKRRNNTDNEEGGAENEEDGGSGGGEVQRKPGRKVQTKGSGSGSGNNGAKDVRWAEQLLNPCAAAIHAMNLSRVQHLLYVLNELASPTGDANHRLAAHGLRALKNHLSSIGLAAAIPCGNLDTGGCGSPPKCFATTEPRLFRSALIKFHEVSPWFAVPNSLANASILQNITQENRPKSLHIVDIGVSHGIQWPTLLEALTRRPGGAPPLIHLTVAGSAAPARPFSTSPPAYDFPSHLLRYAKSLDLNLHITQTETLSSITRSPGEILVVSSQFRASNTMLLRSIRDLDPDLVVLTELEDGVGGGGIGGGFAEEFGRRTGVLWRFLESTSAAFKGREFAERTVVEGEAARMLDGGVGEGREEWEGRMKALGFTELAFGEEAMDAGRALLRKYDGNWEMRMATGVAGTGAGAGAGVLLSWKGQSVSLCSLWRVAGTTERR >DRNTG_22003.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9014309:9016373:1 gene:DRNTG_22003 transcript:DRNTG_22003.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIHTLSTLILLSIVAKHQPLSSFSLEEMAGDQLQVLNALDVAKTQLYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYFDPTSADPGTLPPNVAAAVNGVAFCGTLSGQLFFGWLGDKMGRKKVYGMTLMLMVICSLASGLSFGHTAKGTIATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVSLIVSAAFKNAYKAPAYQDDRAGSTVSQADFVWRIILMVGAAPALLTYYWRMKMPETARYTALVAKNAKQAATDMSKVLNVDIEAEQEKVEQIASNQKNSFGLFSREFLKRHGLHLLGTTTTWFFLDIAFYSQNLFQKDIFSAINWIPKAKTMNAIQEVYKISRAQTLIALCGTVPGYWFTVFLIDKIGRFKIQLMGFAMMTVFMLALAIPYHHWTTPGNHFGFVAMYALTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSKDPTKRDKGYPAGIGIRNSLFLLAGCNLFGLLFTFLVPESKGKSLEEMSQENEEGDEDVGVGTSNNRTTPM >DRNTG_22003.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9014367:9016293:1 gene:DRNTG_22003 transcript:DRNTG_22003.14 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQLQVLNALDVAKTQLYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYFDPTSADPGTLPPNVAAAVNGVAFCGTLSGQLFFGWLGDKMGRKKVYGMTLMLMVICSLASGLSFGHTAKGTIATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVSLIVSAAFKNAYKAPAYQDDRAGSTVSQADFVWRIILMVGAAPALLTYYWRMKMPETARYTALVAKNAKQAATDMSKVLNVDIEAEQEKVEQIASNQKNSFGLFSREFLKRHGLHLLGTTTTWFFLDIAFYSQNLFQKDIFSAINWIPKAKTMNAIQEVYKISRAQTLIALCGTVPGYWFTVFLIDKIGRFKIQLMGFAMMTVFMLALAIPYHHWTTPGNHFGFVAMYALTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSKDPTKRDKGYPAGIGIRNSLFLLAGCNLFGLLFTFLVPESKGKSLEEMSQENEEGDEDVGVGTSNNRTTPM >DRNTG_22003.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9014082:9016398:1 gene:DRNTG_22003 transcript:DRNTG_22003.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQLQVLNALDVAKTQLYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYFDPTSADPGTLPPNVAAAVNGVAFCGTLSGQLFFGWLGDKMGRKKVYGMTLMLMVICSLASGLSFGHTAKGTIATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVSLIVSAAFKNAYKAPAYQDDRAGSTVSQADFVWRIILMVGAAPALLTYYWRMKMPETARYTALVAKNAKQAATDMSKVLNVDIEAEQEKVEQIASNQKNSFGLFSREFLKRHGLHLLGTTTTWFFLDIAFYSQNLFQKDIFSAINWIPKAKTMNAIQEVYKISRAQTLIALCGTVPGYWFTVFLIDKIGRFKIQLMGFAMMTVFMLALAIPYHHWTTPGNHFGFVAMYALTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSKDPTKRDKGYPAGIGIRNSLFLLAGCNLFGLLFTFLVPESKGKSLEEMSQENEEGDEDVGVGTSNNRTTPM >DRNTG_22003.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9014367:9018620:1 gene:DRNTG_22003 transcript:DRNTG_22003.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQLQVLNALDVAKTQLYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYFDPTSADPGTLPPNVAAAVNGVAFCGTLSGQLFFGWLGDKMGRKKVYGMTLMLMVICSLASGLSFGHTAKGTIATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVSLIVSAAFKNAYKAPAYQDDRAGSTVSQADFVWRIILMVGAAPALLTYYWRMKMPETARYTALVAKNAKQAATDMSKVLNVDIEAEQEKVEQIASNQKNSFGLFSREFLKRHGLHLLGTTTTWFFLDIAFYSQNLFQKDIFSAINWIPKAKTMNAIQEVYKISRAQTLIALCGTVPGYWFTVFLIDKIGRFKIQLMGFAMMTVFMLALAIPYHHWTTPGNHFGFVAMYALTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSKDPTKRDKGYPAGIGIRNSLFLLAGCNLFGLLFTFLVPESKGKSLEEMSQENEEGDEDVGVGTSNNRTTPM >DRNTG_22003.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9014367:9016398:1 gene:DRNTG_22003 transcript:DRNTG_22003.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQLQVLNALDVAKTQLYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYFDPTSADPGTLPPNVAAAVNGVAFCGTLSGQLFFGWLGDKMGRKKVYGMTLMLMVICSLASGLSFGHTAKGTIATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVSLIVSAAFKNAYKAPAYQDDRAGSTVSQADFVWRIILMVGAAPALLTYYWRMKMPETARYTALVAKNAKQAATDMSKVLNVDIEAEQEKVEQIASNQKNSFGLFSREFLKRHGLHLLGTTTTWFFLDIAFYSQNLFQKDIFSAINWIPKAKTMNAIQEVYKISRAQTLIALCGTVPGYWFTVFLIDKIGRFKIQLMGFAMMTVFMLALAIPYHHWTTPGNHFGFVAMYALTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSKDPTKRDKGYPAGIGIRNSLFLLAGCNLFGLLFTFLVPESKGKSLEEMSQENEEGDEDVGVGTSNNRTTPM >DRNTG_22003.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9014367:9016293:1 gene:DRNTG_22003 transcript:DRNTG_22003.13 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQLQVLNALDVAKTQLYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYFDPTSADPGTLPPNVAAAVNGVAFCGTLSGQLFFGWLGDKMGRKKVYGMTLMLMVICSLASGLSFGHTAKGTIATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVSLIVSAAFKNAYKAPAYQDDRAGSTVSQADFVWRIILMVGAAPALLTYYWRMKMPETARYTALVAKNAKQAATDMSKVLNVDIEAEQEKVEQIASNQKNSFGLFSREFLKRHGLHLLGTTTTWFFLDIAFYSQNLFQKDIFSAINWIPKAKTMNAIQEVYKISRAQTLIALCGTVPGYWFTVFLIDKIGRFKIQLMGFAMMTVFMLALAIPYHHWTTPGNHFGFVAMYALTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSKDPTKRDKGYPAGIGIRNSLFLLAGCNLFGLLFTFLVPESKGKSLEEMSQENEEGDEDVGVGTSNNRTTPM >DRNTG_22003.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9014082:9016373:1 gene:DRNTG_22003 transcript:DRNTG_22003.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIHTLSTLILLSIVAKHQPLSSFSLEEMAGDQLQVLNALDVAKTQLYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYFDPTSADPGTLPPNVAAAVNGVAFCGTLSGQLFFGWLGDKMGRKKVYGMTLMLMVICSLASGLSFGHTAKGTIATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVSLIVSAAFKNAYKAPAYQDDRAGSTVSQADFVWRIILMVGAAPALLTYYWRMKMPETARYTALVAKNAKQAATDMSKVLNVDIEAEQEKVEQIASNQKNSFGLFSREFLKRHGLHLLGTTTTWFFLDIAFYSQNLFQKDIFSAINWIPKAKTMNAIQEVYKISRAQTLIALCGTVPGYWFTVFLIDKIGRFKIQLMGFAMMTVFMLALAIPYHHWTTPGNHFGFVAMYALTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSKDPTKRDKGYPAGIGIRNSLFLLAGCNLFGLLFTFLVPESKGKSLEEMSQENEEGDEDVGVGTSNNRTTPM >DRNTG_22003.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9014082:9016398:1 gene:DRNTG_22003 transcript:DRNTG_22003.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIHTLSTLILLSIVAKHQPLSSFSLEEMAGDQLQVLNALDVAKTQLYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYFDPTSADPGTLPPNVAAAVNGVAFCGTLSGQLFFGWLGDKMGRKKVYGMTLMLMVICSLASGLSFGHTAKGTIATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVSLIVSAAFKNAYKAPAYQDDRAGSTVSQADFVWRIILMVGAAPALLTYYWRMKMPETARYTALVAKNAKQAATDMSKVLNVDIEAEQEKVEQIASNQKNSFGLFSREFLKRHGLHLLGTTTTWFFLDIAFYSQNLFQKDIFSAINWIPKAKTMNAIQEVYKISRAQTLIALCGTVPGYWFTVFLIDKIGRFKIQLMGFAMMTVFMLALAIPYHHWTTPGNHFGFVAMYALTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSKDPTKRDKGYPAGIGIRNSLFLLAGCNLFGLLFTFLVPESKGKSLEEMSQENEEGDEDVGVGTSNNRTTPM >DRNTG_22003.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9014367:9016373:1 gene:DRNTG_22003 transcript:DRNTG_22003.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQLQVLNALDVAKTQLYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYFDPTSADPGTLPPNVAAAVNGVAFCGTLSGQLFFGWLGDKMGRKKVYGMTLMLMVICSLASGLSFGHTAKGTIATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVSLIVSAAFKNAYKAPAYQDDRAGSTVSQADFVWRIILMVGAAPALLTYYWRMKMPETARYTALVAKNAKQAATDMSKVLNVDIEAEQEKVEQIASNQKNSFGLFSREFLKRHGLHLLGTTTTWFFLDIAFYSQNLFQKDIFSAINWIPKAKTMNAIQEVYKISRAQTLIALCGTVPGYWFTVFLIDKIGRFKIQLMGFAMMTVFMLALAIPYHHWTTPGNHFGFVAMYALTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSKDPTKRDKGYPAGIGIRNSLFLLAGCNLFGLLFTFLVPESKGKSLEEMSQENEEGDEDVGVGTSNNRTTPM >DRNTG_22003.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9014367:9016373:1 gene:DRNTG_22003 transcript:DRNTG_22003.12 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQLQVLNALDVAKTQLYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYFDPTSADPGTLPPNVAAAVNGVAFCGTLSGQLFFGWLGDKMGRKKVYGMTLMLMVICSLASGLSFGHTAKGTIATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVSLIVSAAFKNAYKAPAYQDDRAGSTVSQADFVWRIILMVGAAPALLTYYWRMKMPETARYTALVAKNAKQAATDMSKVLNVDIEAEQEKVEQIASNQKNSFGLFSREFLKRHGLHLLGTTTTWFFLDIAFYSQNLFQKDIFSAINWIPKAKTMNAIQEVYKISRAQTLIALCGTVPGYWFTVFLIDKIGRFKIQLMGFAMMTVFMLALAIPYHHWTTPGNHFGFVAMYALTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSKDPTKRDKGYPAGIGIRNSLFLLAGCNLFGLLFTFLVPESKGKSLEEMSQENEEGDEDVGVGTSNNRTTPM >DRNTG_22003.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9014309:9018620:1 gene:DRNTG_22003 transcript:DRNTG_22003.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQLQVLNALDVAKTQLYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYFDPTSADPGTLPPNVAAAVNGVAFCGTLSGQLFFGWLGDKMGRKKVYGMTLMLMVICSLASGLSFGHTAKGTIATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVSLIVSAAFKNAYKAPAYQDDRAGSTVSQADFVWRIILMVGAAPALLTYYWRMKMPETARYTALVAKNAKQAATDMSKVLNVDIEAEQEKVEQIASNQKNSFGLFSREFLKRHGLHLLGTTTTWFFLDIAFYSQNLFQKDIFSAINWIPKAKTMNAIQEVYKISRAQTLIALCGTVPGYWFTVFLIDKIGRFKIQLMGFAMMTVFMLALAIPYHHWTTPGNHFGFVAMYALTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSKDPTKRDKGYPAGIGIRNSLFLLAGCNLFGLLFTFLVPESKGKSLEEMSQENEEGDEDVGVGTSNNRTTPM >DRNTG_22003.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9014082:9016293:1 gene:DRNTG_22003 transcript:DRNTG_22003.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIHTLSTLILLSIVAKHQPLSSFSLEEMAGDQLQVLNALDVAKTQLYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYFDPTSADPGTLPPNVAAAVNGVAFCGTLSGQLFFGWLGDKMGRKKVYGMTLMLMVICSLASGLSFGHTAKGTIATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVSLIVSAAFKNAYKAPAYQDDRAGSTVSQADFVWRIILMVGAAPALLTYYWRMKMPETARYTALVAKNAKQAATDMSKVLNVDIEAEQEKVEQIASNQKNSFGLFSREFLKRHGLHLLGTTTTWFFLDIAFYSQNLFQKDIFSAINWIPKAKTMNAIQEVYKISRAQTLIALCGTVPGYWFTVFLIDKIGRFKIQLMGFAMMTVFMLALAIPYHHWTTPGNHFGFVAMYALTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSKDPTKRDKGYPAGIGIRNSLFLLAGCNLFGLLFTFLVPESKGKSLEEMSQENEEGDEDVGVGTSNNRTTPM >DRNTG_22003.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9014082:9018620:1 gene:DRNTG_22003 transcript:DRNTG_22003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIHTLSTLILLSIVAKHQPLSSFSLEEMAGDQLQVLNALDVAKTQLYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYFDPTSADPGTLPPNVAAAVNGVAFCGTLSGQLFFGWLGDKMGRKKVYGMTLMLMVICSLASGLSFGHTAKGTIATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVSLIVSAAFKNAYKAPAYQDDRAGSTVSQADFVWRIILMVGAAPALLTYYWRMKMPETARYTALVAKNAKQAATDMSKVLNVDIEAEQEKVEQIASNQKNSFGLFSREFLKRHGLHLLGTTTTWFFLDIAFYSQNLFQKDIFSAINWIPKAKTMNAIQEVYKISRAQTLIALCGTVPGYWFTVFLIDKIGRFKIQLMGFAMMTVFMLALAIPYHHWTTPGNHFGFVAMYALTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSKDPTKRDKGYPAGIGIRNSLFLLAGCNLFGLLFTFLVPESKGKSLEEMSQENEEGDEDVGVGTSNNRTTPM >DRNTG_22003.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9014309:9018620:1 gene:DRNTG_22003 transcript:DRNTG_22003.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIHTLSTLILLSIVAKHQPLSSFSLEEMAGDQLQVLNALDVAKTQLYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYFDPTSADPGTLPPNVAAAVNGVAFCGTLSGQLFFGWLGDKMGRKKVYGMTLMLMVICSLASGLSFGHTAKGTIATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVSLIVSAAFKNAYKAPAYQDDRAGSTVSQADFVWRIILMVGAAPALLTYYWRMKMPETARYTALVAKNAKQAATDMSKVLNVDIEAEQEKVEQIASNQKNSFGLFSREFLKRHGLHLLGTTTTWFFLDIAFYSQNLFQKDIFSAINWIPKAKTMNAIQEVYKISRAQTLIALCGTVPGYWFTVFLIDKIGRFKIQLMGFAMMTVFMLALAIPYHHWTTPGNHFGFVAMYALTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSKDPTKRDKGYPAGIGIRNSLFLLAGCNLFGLLFTFLVPESKGKSLEEMSQENEEGDEDVGVGTSNNRTTPM >DRNTG_09496.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21608140:21616316:-1 gene:DRNTG_09496 transcript:DRNTG_09496.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLIPEISSLLNDSLSSEKALVSAATDGLDRLSREPQFPLSLLTIIRVGESQGLKLAAATCLKNFTRQYMEAKPSSSELHREFRNQLAQTVLQAERAILKVLAEAFRMIIIVDFVKENIWPELIPELRTVFESSNLIIQNAHSQWDAINVLTILHTIIRPFQYFQNPKDPREPVPAQLESIAEILLVPLVVTLHHNVEKVVCCSLSFSDLQTNYACFCSVVTGFVIPIQNTI >DRNTG_09496.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21608140:21616316:-1 gene:DRNTG_09496 transcript:DRNTG_09496.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLIPEISSLLNDSLSSEKALVSAATDGLDRLSREPQFPLSLLTIIRVGESQGLKLAAATCLKNFTRQYMEAKPSSSELHREFRNQLAQTVLQAERAILKVLAEAFRMIIIVDFVKENIWPELIPELRTVFESSNLIIQNAHSQWDAINVLTILHTIIRPFQYFQNPKDPREPVPAQLESIAEILLVPLVVTLHHNVEKALSFQYKIQFDLEQVLLMICKCVYFSVKSYMPAALSAILPSFCQDLFQILNSLNLECASPDDGYLLRLKTAKRSLYIFRALVTRHQKHVNKLLPNIFNCAFKLSKQSANIDKLDFPSERIVSLAFDVISHILETGPGWRLVSPHFSSILDSAIFPALIMNSKDVSEWEEDAEEYMRKNLPSELEEISGWAEDLFTARKSAINLLGVIAMSKGPPVVSVSSKRKKGIKANGKERRSSVGELLVIPFLSKFPLPIDGPQALPKTFHDYYGVLMAYGGLHDFLIERNPEYTSTLLRNRVLPLYSLSLCSPYLVATANWILEELASCLPEEMNTDIYSSLTKTLSMPNLEDISCYPVRASAVGAIAALLENDYVPSDWQSLLQVLVERVATTDENESSLIFLLLGNVVDAGKDNIAIHIPFVVSRIADTISKQLPPAPDPWPQVVERGFSALAAMVQTWDESSDEFKEHENSRWRSGCATIAKTFSSLLQQAWIMPIQQKETATTSASPSPSCVDDASALLGFVMRLIVTIDEAAELKIPELLAVWSALIAEWHAWEEMEDLSIFNCIQEAIDLHRRYDSANFFMRKLPSQGPSHNSTHSIIEGIAAFVHDAITAYPSATQRACSCVHLLLYTPRFSLETEDVKHSMAASFAEAAFSRFREICNKPCGLSKPLLLVISSCYISYPNIVEQILEKHEDKGFMIWAHALANVSSSSSEHGLSYESEIKLAVLSLAKVVERLLEMSPDGANATLHECFRSLMEACIHLKEVQDEASDGVENAEEEEEEASDEDTDDEEDDDIDDEDSEDDEREETEEEFLSRYAEVAKGLDGEIVAEGDAEEDEVQELELGVLDEVNVQELVVSLIKKHRYSLAQTQIFPPDLVQRILEVFPDYVSLFHA >DRNTG_29267.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28577133:28582035:-1 gene:DRNTG_29267 transcript:DRNTG_29267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGPSNQALTGTRFSELKPALSQPVLDALSTGGFHFCTPVQAATIPLLCSHKDVAVDAATGSGKTLAFVVPLVEIIRACPAPKPHQVMGMIISPTRELSLQIYNVARPFIMTMPKVKTMLLVGGVDVRADMKRIEEEGVNVLIGTPGRLHDIMERADILDFRSLEVLILDEADRLLDMGFQKQVTSVISRLPKLRRTGLFSATQTEAVEELSRAGLRNPVRVEVRAEAKPVGMAASLETTSAKTPSGLQIEYLICEADKKSSQLVHFLLKNKSEKIIVYFMTCASVDYWGVVLPQITALKDCSIKSLHGRMKQSVREKALAAFTELSSGVLLCTDVAARGLDIPGVDWILQYDPPQDPNVFIHRVGRTARIGRQGRAIVFLLPKEDAYVEFLRLRRVPLQERVYTDDAMDVIPLVRAAGKEDRDVMEKGLKAFVSYFRAYKEHHCSYIFRWKELEIGKLAMGYGLLQIPSIPEVKHHSLSVEGFLPVNNVCVTEIKYKDRAREKQRQKNLLRKKENESLKHKSERVKQVLDSADTMARKKTGRQRRATQTKEDNDELEKEYRLLKKLKRGAIDESEYEKLMGLGNFSEENNLSEDEGDIPSVKNTMGHKKTLKFKRGKGRKHEGKQSKIGFKKRNVRARK >DRNTG_34889.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21857695:21859572:1 gene:DRNTG_34889 transcript:DRNTG_34889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLKLLIPYIGKTVLKMLEDKMKVAKASSSLSSEEEEIEEIEIDVSEWFHTVTEDTITRTAFGQSYDDGRAVCHLQAQQMTFAAEAFRKVLIPGYRFLPTKRNASSWRLDKEIKKNLVGLIKKRKESSLEEEEMRRRRRKKDDDDDDDDDDGQHEYCKDLLGQMIKASTPANEIVEECKTFFFAGKQTTSNLLTWTTVLLAMHP >DRNTG_34889.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21858061:21859572:1 gene:DRNTG_34889 transcript:DRNTG_34889.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDKMKVAKASSSLSSEEEEIEEIEIDVSEWFHTVTEDTITRTAFGQSYDDGRAVCHLQAQQMTFAAEAFRKVLIPGYRFLPTKRNASSWRLDKEIKKNLVGLIKKRKESSLEEEEMRRRRRKKDDDDDDDDDDGQHEYCKDLLGQMIKASTPANEIVEECKTFFFAGKQTTSNLLTWTTVLLAMHP >DRNTG_20973.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001183.1:36219:37858:1 gene:DRNTG_20973 transcript:DRNTG_20973.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAPVRNIHTGVDNFHTPVWILCFSGFSAGCEQCCYSTYYNVAMMLYYSIYLNSFL >DRNTG_00603.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22367629:22368333:1 gene:DRNTG_00603 transcript:DRNTG_00603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERYQVVVREPAGVAVTVNPQAFTIQPNSSMILKIMLEGREIANDYTFGELVFNGDKNHVVKVPLAIFVSSTL >DRNTG_29628.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5965161:5966720:-1 gene:DRNTG_29628 transcript:DRNTG_29628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRTSLLLLKKCKCMHDLKKAHARIITEGLGHDYYALSRIIALCADPNNGSLTYAQTIFYQTENPTLCIRNTMLKALLLKRDHFELMELYRRMLDDGVHPDHYTFPYVLKACSAMQDCRAGSEVHVHVLKLGFGFDALVGSTVILMYASCGEIGIARKVFDEMPHRVAACWTVMISGYSKIGKIEEARALFDGAPVKDRGVWGAMISGYVQSNGFKEALLMFRQMQVGGFEPDEGVLVSVLCACAHVGGLELGTWIHNYVHWIGLPVSVRLGTALVDMYVKCGNLVMAKKVFDRISNKDTVCWNVMIFGLAIHGDGDGALALFVNMEKEGCRPDDATFIAILSACGHSGLVKEGLEMFDIMRTLYKIEPRAEHYGCVVDFLSRAGQFEQAMELIARMPGLASPSEKAIAWRALLSACWSHGKIELAEIAAEHLMQLEVHSGVYVLLSNVYEECGRHCDARRIRKSMKHRGIPKTPGCSSIEIGGRVHEFVAGEQMHLQIEEIFEVVDNLNDQLVSAG >DRNTG_04390.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1186884:1187899:1 gene:DRNTG_04390 transcript:DRNTG_04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASVLTIQSEEGALLSSSHHSPNQSKLG >DRNTG_00371.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18551818:18558702:-1 gene:DRNTG_00371 transcript:DRNTG_00371.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase SRS2-like protein At4g25120 [Source:Projected from Arabidopsis thaliana (AT4G25120) UniProtKB/Swiss-Prot;Acc:D1KF50] MLTRLVQSEKSISVVISSTANLLPQKYLLEQRAVVDAEGGKLLNEDNDLRSVLQFLLDDVSNFLTAHFTDVDGESKIEEKGCLNILKAFIDYISEREAENFRSRRQENENSVTLTTIHQSKGLEWDIVFIVKANDSEIPLLHEFNGMVKKSGSNLEEERRLFYVAMTRARKKLYISYIIMGAYCQLLQPSRFLKEIPQHLLEIQAEATVKEIGKSPDLANFGSPQREPPIEVDHERTLNVRQVKSIPSVLDEPTEICRGNNFLQRFKLEERTIVSHLFHQWAKKQAFQHPKRLLDKVCFVIDERLTSKMNKHKDTLRSLKSCLNDDEAFQYAQYVLRWEKIPIDQRTHLMREKQVKH >DRNTG_00371.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18562204:18562872:-1 gene:DRNTG_00371 transcript:DRNTG_00371.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase SRS2-like protein At4g25120 [Source:Projected from Arabidopsis thaliana (AT4G25120) UniProtKB/Swiss-Prot;Acc:D1KF50] MDKENLVAGDPRITSSFPAVKTHVPARKRSLESLSPIPFLRCSNAIRVPLSEIQTSDEPIKDQPLPPSALDEELDDSFLQEIDAICEQKSAAKEQKPEKRWGFVVDKYHEYLQKLNDEQREAACADISVPLMIIAGPGSGKTSTMVGRVLTLLQE >DRNTG_00371.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18555403:18562872:-1 gene:DRNTG_00371 transcript:DRNTG_00371.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase SRS2-like protein At4g25120 [Source:Projected from Arabidopsis thaliana (AT4G25120) UniProtKB/Swiss-Prot;Acc:D1KF50] MDKENLVAGDPRITSSFPAVKTHVPARKRSLESLSPIPFLRCSNAIRVPLSEIQTSDEPIKDQPLPPSALDEELDDSFLQEIDAICEQKSAAKEQKPEKRWGFVVDKYHEYLQKLNDEQREAACADISVPLMIIAGPGSGKTSTMVGRVLTLLQEGIAPANILAMTFTTAAASEMRDRIGLVVGKEVAKELSISTFHSFCLQLCRSHAGKLGRTSEFLIYGHAQQRRAVIEALRLAESEYQDGQDKIHKFEKTNGDCNAQAFKEKSKKWQKFVTQAKASGRIPEDSEKLGDKIGAAILRNYSHILASCNALDYHDFISSSVKLLSDFPEVYQECLGTWKAILVDEFQDTSSMQYCLLRILSSHGHVTIVGDEDQSIYSFNGADICGFNSFREDFPTHKEIRLTKNYRSTGCIVAAASSLIYNNAKRCKLKQVETDNSIGSKIIVKECHSEDSECAYIVDKILETTSQNLTDKCSFGKIAILYRRQVSGKAFQMSFRNRKIPFNVHGVAFYRKKVIRAIMSILRTTLPGCDDNSFQRAFKALLPIDKEEKKKIIEYVEKVSTVRNCSFISAAGEIFDAKISGTFKRTQLTHGRKVLSTLSMLTRLVQSEKSISVVISSTANLLPQKYLLEQRAVVDAEGGKLLNEDNDLRSVLQFLLDDVSNFLTAHFTDVDGESKIEEKGCLNILKAFIDYISEREAENFRSRRQENENSVTLTTIHQSKGLEWDIVFIVKVCPD >DRNTG_00371.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18551818:18562248:-1 gene:DRNTG_00371 transcript:DRNTG_00371.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase SRS2-like protein At4g25120 [Source:Projected from Arabidopsis thaliana (AT4G25120) UniProtKB/Swiss-Prot;Acc:D1KF50] MVGRVLTLLQEGIAPANILAMTFTTAAASEMRDRIGLVVGKEVAKELSISTFHSFCLQLCRSHAGKLGRTSEFLIYGHAQQRRAVIEALRLAESEYQDGQDKIHKFEKTNGDCNAQAFKEKSKKWQKFVTQAKASGRIPEDSEKLGDKIGAAILRNYSHILASCNALDYHDFISSSVKLLSDFPEVYQECLGTWKAILVDEFQDTSSMQYCLLRILSSHGHVTIVGDEDQSIYSFNGADICGFNSFREDFPTHKEIRLTKNYRSTGCIVAAASSLIYNNAKRCKLKQVETDNSIGSKIIVKECHSEDSECAYIVDKILETTSQNLTDKCSFGKIAILYRRQVSGKAFQMSFRNRKIPFNVHGVAFYRKKVIRAIMSILRTTLPGCDDNSFQRAFKALLPIDKEEKKKIIEYVEKVSTVRNCSFISAAGEIFDAKISGTFKRTQLTHGRKVLSTLSMLTRLVQSEKSISVVISSTANLLPQKYLLEQRAVVDAEGGKLLNEDNDLRSVLQFLLDDVSNFLTAHFTDVDGESKIEEKGCLNILKAFIDYISEREAENFRSRRQENENSVTLTTIHQSKGLEWDIVFIVKANDSEIPLLHEFNGMVKKSGSNLEEERRLFYVAMTRARKKLYISYIIMGAYCQLLQPSRFLKEIPQHLLEIQAEATVKEIGKSPDLANFGSPQREPPIEVDHERTLNVRQVKSIPSVLDEPTEICRGNNFLQRFKLEERTIVSHLFHQWAKKQAFQHPKRLLDKVCFVIDERLTSKMNKHKDTLRSLKSCLNDDEAFQYAQYVLRWEKIPIDQRTHLMREKQEHFQKQRIETSMGSLEATPKQIAYLHKLGCTIAPSSRLHASRLIEQYKSL >DRNTG_00371.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18553177:18554165:-1 gene:DRNTG_00371 transcript:DRNTG_00371.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase SRS2-like protein At4g25120 [Source:Projected from Arabidopsis thaliana (AT4G25120) UniProtKB/Swiss-Prot;Acc:D1KF50] AEATVKEIGKSPDLANFGSPQREPPIEVDHERTLNVRQVKSIPSVLDEPTEICRGNNFLQRFKLEERTIVSHLFHQWAKKQAFQHPKRLLDKVCFVIDERLTSKMNKHKDTLRSLKSCLNDDEAFQYAQY >DRNTG_06668.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3090589:3091289:-1 gene:DRNTG_06668 transcript:DRNTG_06668.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIDLVYGGGNIGLIGLISQTVLDGGRHVLGVIPKALMDKEIIGVIIGEIKPVENMHKRKAEMSLHTDAFIAMPRGYGTLEEFFEVLSWAQLWIHGKPVF >DRNTG_29783.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27463445:27465045:-1 gene:DRNTG_29783 transcript:DRNTG_29783.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQTRFSSSAAEIHGGGPDLADKIKPFRRILFIIVFIVLLMPLVQSSLVFGGGGGKSPVFFGGRKMLLPGGSVIGQGSNSIYVVVFDAGSTGSRVHVFHFDEEFNLLKIGDDVEVFERVKPGLSYYANDPQEAANSLISLLEKAESVIPVELERSTPVTVGATAGLRNLGTETADQILQAVRDLLQKRSSFMFKPEWVAILDGYQEGSYMWVMSIMSTFYFVYLLSRTNPVEDVNRLVAYI >DRNTG_29783.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27451987:27465045:-1 gene:DRNTG_29783 transcript:DRNTG_29783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQTRFSSSAAEIHGGGPDLADKIKPFRRILFIIVFIVLLMPLVQSSLVFGGGGGKSPVFFGGRKMLLPGGSVIGQGSNSIYVVVFDAGSTGSRVHVFHFDEEFNLLKIGDDVEVFERVKPGLSYYANDPQEAANSLISLLEKAESVIPVELERSTPVTVGATAGLRNLGTETADQILQAVRDLLQKRSSFMFKPEWVAILDGYQEGSYMWVAINFLLKNLGKEYSGTVGVVDLGGGSVQMAYAISESEAKNAPNVPGEDPYVKQLILKGNTYHVYAHSYLNYGLLAAQAQILSAGGDSYNYCILGGYEGSYTYNGKTYPSSASPSGPSYDKCRSNVITVLKLDKQCVYKNCSFNGAWNGGGGDGQKNLYVASSFYDIAVEAGFVDSKQHIAKVSPLDYKTAAMQACNLSVDEAKTKYPDLKEAYIPYICMNLVYEFTLLVDGFGLEASQEITLVKQLNYGDSLVKAAWPLGAAIELIASTSKVSYTEDSKQLL >DRNTG_04135.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30233874:30238192:1 gene:DRNTG_04135 transcript:DRNTG_04135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLEECSLVTKILVGVSLEEKKTSIDLLSWAVTVAAHPNDTIVALHVLVGKDEKRIDKIKLRQAKASVISMLANFADVCQDKQVKLEAKIKIASSIAKGLVDESWLINANFLLIGTSRNSSLRSSFEITRYCFKNAPESCLVIAIGTQGRSKNYTEDKENHQQQKLFSSKLVRENSSSTISSISKRESIGEKSSPRGVLDCAEAILEECSSPSSNENTSNSRTNIWRRLSTVKLFLPFFRVDDNGKETDYEYSSNKAQLRPSWRCFNFDEISQATNDFHADNIVGRGGYAEVYKGTLSNGQSVAVKRLAKCNEDDHKVKEFLTELGIIGHVFHPNTAYLLGCCIENGLHLIFDFYPNGTLASALHGRNLKVLEWSVRYRITIGIARGLNYLHRCCKRRIIHRDIKASNVLLGADFEPQISDFGLAKWLPRQWTHHSVTPIEGTFGYLAPEYFMHGIVDEKTDVYAFGVLLLEIVTGRRPVDSSRQSLILWAKPLIEVGDVTQLVDPKLGDQYDKHQVERLILIASYCIRQSSVWRPTMNEVLELLMDEIDESGVAESWRIEEECPNELDECSMDDYFSN >DRNTG_04135.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30235684:30236831:1 gene:DRNTG_04135 transcript:DRNTG_04135.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGIVDEKTDVYAFGVLLLEIVTGRRPVDSSRQSLILWAKPLIEVGDVTQLVDPKLGDQYDKHQVERLILIASYCIRQSSVWRPTMNEV >DRNTG_12318.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29567881:29568635:-1 gene:DRNTG_12318 transcript:DRNTG_12318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIDIIDPVKAEKETAIKRYRRIRTIRRLFRCLEACAAILIISWSSARLPAAARLSGDLLRSAAAILLSPRFVFLLGNAIVLVLFAKSGNLSTSPTSTASTPLAGDLYDDFLETRGSYPISPPGEADVVVYEDKAVCVETKIEYRRTISEKMEKKQSPVELRRSETDLGRKPTEIGKNAPAPAAVVVEDDDEFRKTIEAFIERQLKFHREESMTIVSAMPLNSDLIKYE >DRNTG_30044.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001539.1:55571:56794:1 gene:DRNTG_30044 transcript:DRNTG_30044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLILLDMALSFLWVWAGALSKLLIYDYLGVHHYPDADVLKIVVGVTYMFFFAWLTDHTGGASYNPLTVFSSAFSSGVKGFFFVVFVRIPSQVLGSIIGVMLIKRVCPKVGHGPRLNVGMHHGALTEGLLTFFIVLVSLGLKKKDPKSFFMKTWISSVCKGALHILGSDLTG >DRNTG_05890.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4198445:4200047:-1 gene:DRNTG_05890 transcript:DRNTG_05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSGSGVPPGFRFHPTDEELLLYYLKKKIAYEKFDLEVIRDVDLNKMEPWDLQERCRIGSTPQNEWYFFSHKDRKYPTGSRTNRATTAGFWKATGRDKCIRTTYKKIGMRKTLVFYRGRAPHGQKTDWIMHEYRLEDSDDPQNIISSEDGWVVCRVFKKKCFFKIGSQGIESHTQESHMNTKGSHELSRGLTLGEAEYQNLHPNHYPTNLYKSETALHYWQMPTNTYSFHGQAQDLLQNHRPPSGAYDFSGLPNVDACDGIQQVEGERDQTANEWAVLDGVDGRFGSSSGTAVQQMDLWGYGK >DRNTG_08280.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16259634:16260633:-1 gene:DRNTG_08280 transcript:DRNTG_08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGSWRRLLSIREQVIRTLTLEVLASFEFGQPYGRDVIQLRVFGHHFTISFTEFSVCMGLSVQSHMFILAELQGKHGKVGVLFGVPYITRLIRRMGLMDAIRDTDETVIPSPLGLDTLKIMGLVRRHGPGIYILATSTTKSTKGGGYAARDSTPTVIKTSGCLISIVYQDGSPFRCVTSVTDWQTSNPSSITITTSTAPFDLAPASTVEQRPINIDT >DRNTG_19156.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6258113:6262103:-1 gene:DRNTG_19156 transcript:DRNTG_19156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDENPKKSKLSWSKTLVKKWFNIKSKGQDFHADDVLGRENGEWSTSFSEREASTVKKSRTDRCSKRHSDRVRRGMFDFDTTQATDVQDYRIFVATWNVGGKSPPNYLKLQDWLHTSPPADIYVLGFQEIVPLNAGNVLGTEDNGPAKKWLALIRKTLNNLPGVSGSGSYHTPSPVPDPVVELDADFEGSSTRQKNTSFFARRSFQSLSRSLRIDGDIVAAQPRLDRRFSVCDRVMYAGRPSDFDPNYRWGGSSDDENIGGESPNTVCYSPMSYGHGGHSSSMDERDRSSGNSRYCLVASKQMVGIFLTVWVRSEVRDDVKNMKVSCVGRGLMGYLGNKGSISISMSLHQTTFCFICSHLTSGEKEGDELRRNSDVMEILRKTRFPQVHVRGDEKSPETILDHDRIIWLGDLNYRIALSYRSAKALVEMRNWRALLEKDQLRIEQRCGRVFAGWHEGRIYFPPTYKYSNNSDRYAGDDLHPKEKRRTPAWCDRILWYGRGLNQLSYVRGESRFSDHRPVCGIFMAEVESINRSRIKKGVGCSSRVEVEELLPYSYSNNYTDLHFY >DRNTG_19156.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6259948:6261384:-1 gene:DRNTG_19156 transcript:DRNTG_19156.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFDFDTTQATDVQDYRIFVATWNVGGKSPPNYLKLQDWLHTSPPADIYVLGFQEIVPLNAGNVLGTEDNGPAKKWLALIRKTLNNLPGVSGSGSYHTPSPVPDPVVELDADFEGSSTRQKNTSFFARRSFQSLSRSLRIDGDIVAAQPRLDRRFSVCDRVMYAGRPSDFDPNYRWGGSSDDENIGGESPNTVCYSPMSYGHGGHSSSMDERDRSSGNSRY >DRNTG_19156.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6258113:6260849:-1 gene:DRNTG_19156 transcript:DRNTG_19156.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDFDTTQATDVQDYRIFVATWNVGGKSPPNYLKLQDWLHTSPPADIYVLGFQEIVPLNAGNVLGTEDNGPAKKWLALIRKTLNNLPGVSGSGSYHTPSPVPDPVVELDADFEGSSTRQKNTSFFARRSFQSLSRSLRIDGDIVAAQPRLDRRFSVCDRVMYAGRPSDFDPNYRWGGSSDDENIGGESPNTVCYSPMSYGHGGHSSSMDERDRSSGNSRYCLVASKQMVGIFLTVWVRSEVRDDVKNMKVSCVGRGLMGYLGNKGSISISMSLHQTTFCFICSHLTSGEKEGDELRRNSDVMEILRKTRFPQVHVRGDEKSPETILDHDRIIWLGDLNYRIALSYRSAKALVEMRNWRALLEKDQLRIEQRCGRVFAGWHEGRIYFPPTYKYSNNSDRYAGDDLHPKEKRRTPAWCDRILWYGRGLNQLSYVRGESRFSDHRPVCGIFMAEVESINRSRIKKGVGCSSRVEVEELLPYSYSNNYTDLHFY >DRNTG_19156.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6258113:6260458:-1 gene:DRNTG_19156 transcript:DRNTG_19156.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYAGRPSDFDPNYRWGGSSDDENIGGESPNTVCYSPMSYGHGGHSSSMDERDRSSGNSRYCLVASKQMVGIFLTVWVRSEVRDDVKNMKVSCVGRGLMGYLGNKGSISISMSLHQTTFCFICSHLTSGEKEGDELRRNSDVMEILRKTRFPQVHVRGDEKSPETILDHDRIIWLGDLNYRIALSYRSAKALVEMRNWRALLEKDQLRIEQRCGRVFAGWHEGRIYFPPTYKYSNNSDRYAGDDLHPKEKRRTPAWCDRILWYGRGLNQLSYVRGESRFSDHRPVCGIFMAEVESINRSRIKKGVGCSSRVEVEELLPYSYSNNYTDLHFY >DRNTG_19156.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6259746:6259884:-1 gene:DRNTG_19156 transcript:DRNTG_19156.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFLTVWVRSEVRDDVKNMKVSCVGRGLMGYLGNK >DRNTG_27676.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001403.1:71022:73029:-1 gene:DRNTG_27676 transcript:DRNTG_27676.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP10 [Source:Projected from Arabidopsis thaliana (AT2G31070) UniProtKB/Swiss-Prot;Acc:O82277] MAQGEHQLLLPQRPQPRPRPRSIGGEIVQVQGGHIVRSTGRKDRHSKVCTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKHAKTAIDELAELPPWHPSTTSSSSTAPPQEPLPPSGNSGKKPLDPDNNAFTFDSGSTSSFLPPSLDSDAIADTIKSFFPMAAAAAGASNESSSSYQNYAPPPAQDLRLSLQSFQDQHHHHHHVHSAPMPQSIFSFDPGSSPWWNMPAESSSGGAYGFRWCSTASDVSAPVGARTKPAYHTEGTPSVQ >DRNTG_27676.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001403.1:71022:73575:-1 gene:DRNTG_27676 transcript:DRNTG_27676.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP10 [Source:Projected from Arabidopsis thaliana (AT2G31070) UniProtKB/Swiss-Prot;Acc:O82277] MAQGEHQLLLPQRPQPRPRPRSIGGEIVQVQGGHIVRSTGRKDRHSKVCTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKHAKTAIDELAELPPWHPSTTSSSSTAPPQEPLPPSGNSGKKPLDPDNNAFTFDSGSTSSFLPPSLDSDAIADTIKSFFPMAAAAAGASNESSSSYQNYAPPPAQDLRLSLQSFQDQHHHHHHVHSAPMPQSIFSFDPGSSPWWNMPAESSSGGAYGFRWCSTASDVSAPVGARTKPAYHTEGTPSVQ >DRNTG_16001.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5250611:5255601:1 gene:DRNTG_16001 transcript:DRNTG_16001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (cytosine(38)-C(5))-methyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT5G25480) UniProtKB/Swiss-Prot;Acc:F4JWT7] MDEPRRILEFFSGIGGMRYSLVKSGIRAEIVEAFDINNTANDVYQHNFGHRPFQGNIQSLTASELDKYRAHAWLLSPPCQPYTRQGLQKHSADPRAFSFVKIIELIPGMFRPPMMIFVENVVGFETSDTHEKMAKNFSEIGFVTQEFILSPLQFGVPYSRPRYFCLAKRKPFSFPNPSFNGQLLWTPIPLLTSGSMELMDGRHTYYDVKLEDYCEPISSFLETKTSYDHRPVYPTVNACHECNNLIGGYKQLNSDSSINICTYEDDNHKNVEDFQGAHDIENSLDMYTVPPSLIERWGSAMDIVYPNSKRCCCFTKSYYRYVKGTGSLLATVEVMDSKSSNPGVSSLKELSLRYFTPREVANMHSFPREFHFPPHVSLRQQYALLGNSLSVAVVAPLLQYLFTEPS >DRNTG_16001.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5250611:5255601:1 gene:DRNTG_16001 transcript:DRNTG_16001.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (cytosine(38)-C(5))-methyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT5G25480) UniProtKB/Swiss-Prot;Acc:F4JWT7] MDEPRRILEFFSGIGGMRYSLVKSGIRAEIVEAFDINNTANDVYQHNFGHRPFQGNIQSLTASELDKYRAHAWLLSPPCQPYTRQGLQKHSADPRAFSFVKIIELIPGMFRPPMMIFVENVVGFETSDTHEKMAKNFSEIGFVTQEFILSPLQFGVPYSRPRYFCLAKRKPFSFPNPSFNGQLLWTPIPLLTSGSMELMDGRHTYYDVKLEDYCEPISSFLETKTSYDHRPVYPTVNACHECNNLIGGYKQLNSDSSINICTYEDDNHKNVEDFQGAHDIENSLDMYTVPPSLIERWGSAMGILYFIF >DRNTG_20792.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28351864:28352861:-1 gene:DRNTG_20792 transcript:DRNTG_20792.1 gene_biotype:protein_coding transcript_biotype:protein_coding NVDGVNYIHVKVAGLLFVATTRVNVSPSLVIELLQRIARVIKDYLGVLNEDSLRKNFVLVYELLDEAIDFGYPQTTSTEVLKSYVFNEPIVIDAARLPPLGPAAMFMQGSKRMPGTAVTKSVVANEPGGRKREEIFVDIIEK >DRNTG_32107.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18932499:18933612:-1 gene:DRNTG_32107 transcript:DRNTG_32107.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSIRFKLMRMLCNRCEQANKWETNLYPDIRLKVEILVEDSRNLCVGRYVDDRYQVIDQCSNSIDLANRTCSCHRWQDYGNSCKHACATIMQTDTNIHRFISGYFTIDNYKLAYKEAIFPIPDNNKPTDGNCELRLR >DRNTG_11824.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:275735:276385:-1 gene:DRNTG_11824 transcript:DRNTG_11824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRYNFNPFDEENVNPFAAAVSNGSSKGLGFGDQHDATVDIPLDSTNDAKKKEKELVSWEADLKR >DRNTG_07035.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3505475:3509926:-1 gene:DRNTG_07035 transcript:DRNTG_07035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEGKVGDGAERDRGDEVGKKLIKDKEATPSMASLEAFHGLLLHIHHLRSVAVTIGMTDLRNHLVQTTSCMFRALTQIYSLNNTQRGSFLGPGNITLSCLQERYYLKTPCTCLEKTSPSFPKLGRQKMLSKLSSESFMPSYLQASEQGLKELDMMGHVPYGTPYDHKPCP >DRNTG_19294.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:573391:573852:1 gene:DRNTG_19294 transcript:DRNTG_19294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSEHMLIAGSDVHLLSLPIARKNKNIAPQSFPDHHNKVEDAHGPTKWMSSKMRFMKKMMGSDQISTGKTRSSMQEVQ >DRNTG_27473.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26429823:26432472:1 gene:DRNTG_27473 transcript:DRNTG_27473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLAPEKRHSFVHNGQKVFEWDQTLDEVNLYIDLPPDVPSKLFYGKIQAQHLEVGIKGNPPYLNHDLAAPVKTDSSFWTLEDGVMHVTLHKRDKGNTWSSPIKGQGVLDSYTADLEQKRLMLQRFQEENPGFDFSQAQFNGTCPDPRTFMGGIRSD >DRNTG_17408.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14764751:14766230:1 gene:DRNTG_17408 transcript:DRNTG_17408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMVEDIAKRWRELSGEDNWKGLLDPLDIDLRRNIINYGEMAQATYDAFNSEEVSPYAGSCRYSRRDLFKKVNLSYNNHHTNYEITKFIYATSSIDMPAAFILKSLSREAWSKESNWIGFVAVATEEGKVALGRRDVLVAWRGTVQALEWINDLDFSMVPGEKVAGDGGGKGRPMVHRGWLSMYTSDDPKSPYNKTCARDQVLSEIRRLMKMYKDEEDMSITITGHSLGASLATLNAIDIVFNGLNVKKKKSRVLVTGIIFASPRVGDSNFERVFGEMESLRLLKIRNALDLVPNYPLIGYGNVGVELGIDTTKSEYLKSPGNLTTWHNLEVYMHGVAGVQGSKGGFKLEVQRDIALVNKGVDVLKEKYSVPVSWWVVKNKGMVQGPDGHWKLVDHENDGDGDGSGGGGGMEMDDEVVDQTGRCSCC >DRNTG_14014.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27653938:27656187:1 gene:DRNTG_14014 transcript:DRNTG_14014.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMATASVLLRCSRFLRACSAAKPFPNAFVISSASLRARRGICRISASLDEEASAKAAAATVDAGGLTIFDKIISKEIPSSIVYEDEKVLAFRDINPQAPVHVLVIPKLRDGLTQLGKAIPGHAEILGHLLYVARLVAEKEGILDGFRVVINNGPGACQSVYHLHLHVLGGRQMKWPPG >DRNTG_20618.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20612968:20615900:-1 gene:DRNTG_20618 transcript:DRNTG_20618.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHILSKEQLMELMLSRRDPRTSMASGYKASPPPFTFHSTQQQVLFILLLLLVLLMPFITGTTTPTSAGNITRGCIETERDALLAFKAELRYSKVHPISSWGDQTDDCCQWAGVRCDNISGHVVHLNLQRRRPRQYYDYYLLNPKCDEWDLSGTISQSLIGLQHLTYLDLSLNCFTGVVPHELGNLTKLRYLDLAINDRLKVDDAEWLSGLSALRYLYMDHTNFSGVNNVMQSLNKLRHLKNASLFACSMNSIPESLPHLNFTSLTFMEIGWNMFHNMSIPEWLFRMPKLHDLYMEDNGLTGTIPCSIRNATSLQLLDLSWNRGMSGDMPREFGDLCNLQSIHLDGTFMGKRLEDFRDAFSGCIRRNLNVLSFEISSLQGPLPDWLGEFRNLNYLSLSFNFLHGSIPESLGRLSRLQSLYLGDNALNGSIPESLGRLSGLQYLDLSINDLNGPIPESLWQLSNLVDLALSANNFNYYSVITEAHLANLTKLKYLSLSELIFNISIDWIPGFQAEQISLSSCRVGPKFPVWLANQVNLGILGMSYTGIKDSVPDWFWNITNTLTFLDLSNNEINGRLPQRLKFQVGQYPLQLFFGSNHLEGSIPYFPPNVYWLDLSNNSFSGMIPSDFGNFGGIRPQLTFLSLSSNNLTGTIPNSLCNLADLVLLELSDNHLEGVIPNCWNNLTGLQYLILANNSLEGEIPNTLINSSQSLQVLHLSNNDLHGEFPSFLKKCTSITTLTLDHNNLSGEIPSWVGETMASLMIMTLKKNNFSGNLPLLPNLTSLHFLDLSHNSFVGGIPQSYGNLKGMINISIHGGASFYTNASQMVVIKVSVSTKGLELDFGVTLSSLKSIDLSANNLSGQIPKEIIILAGLQNFDLSCNNLSGEIPSNIGLMQSLESLDLSRNELIGSIPTSLSTLHFLGSLNLSHNNLSGKIPYTSQLTTLNDPSIYAGNLNLC >DRNTG_20618.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20588262:20615900:-1 gene:DRNTG_20618 transcript:DRNTG_20618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHILSKEQLMELMLSRRDPRTSMASGYKASPPPFTFHSTQQQVLFILLLLLVLLMPFITGTTTPTSAGNITRGCIETERDALLAFKAELRYSKVHPISSWGDQTDDCCQWAGVRCDNISGHVVHLNLQRRRPRQYYDYYLLNPKCDEWDLSGTISQSLIGLQHLTYLDLSLNCFTGVVPHELGNLTKLRYLDLAINDRLKVDDAEWLSGLSALRYLYMDHTNFSGVNNVMQSLNKLRHLKNASLFACSMNSIPESLPHLNFTSLTFMEIGWNMFHNMSIPEWLFRMPKLHDLYMEDNGLTGTIPCSIRNATSLQLLDLSWNRGMSGDMPREFGDLCNLQSIHLDGTFMGKRLEDFRDAFSGCIRRNLNVLSFEISSLQGPLPDWLGEFRNLNYLSLSFNFLHGSIPESLGRLSRLQSLYLGDNALNGSIPESLGRLSGLQYLDLSINDLNGPIPESLWQLSNLVDLALSANNFNYYSVITEAHLANLTKLKYLSLSELIFNISIDWIPGFQAEQISLSSCRVGPKFPVWLANQVNLGILGMSYTGIKDSVPDWFWNITNTLTFLDLSNNEINGRLPQRLKFQVGQYPLQLFFGSNHLEGSIPYFPPNVYWLDLSNNSFSGMIPSDFGNFGGIRPQLTFLSLSSNNLTGTIPNSLCNLADLVLLELSDNHLEGVIPNCWNNLTGLQYLILANNSLEGEIPNTLINSSQSLQVLHLSNNDLHGEFPSFLKKCTSITTLTLDHNNLSGEIPSWVGETMASLMIMTLKKNNFSGNLPLLSNLTSLHFLDLSHNSFVGNIPQSYGNLTGMINISMNGGAMFYTNASEVLVITMIVSTKGEDLQYGVILSSFKFIDLSANKLSGQIPEEIVNLVALQNLDLSCNKLSGEIPSNIGLMQSLESLDLSRNMLIGSIPPSLSTIDFLGSLNLSHNNLSGKIPYTRHLTSFNNPSILCW >DRNTG_20689.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001140.1:22106:43629:1 gene:DRNTG_20689 transcript:DRNTG_20689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRIMKSGKLVELLFYMHKSECSSVIALVPLQMDVPTRIRGASRTVLIEVSRLDQFRADEIQGEARSVCSLQGRAQVEHRQRNLQRNNDQPPPLELRRLTIVRDVGGVELLIIFSNLNEYWR >DRNTG_29512.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2222742:2223529:-1 gene:DRNTG_29512 transcript:DRNTG_29512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIKVQMNCEKCKIKAMKIVAATEGVESVSVEGKDNDQLVVIGDRVDSTTLTSKLRKKVGHAELVSVNEVKKPAEKPVEKAKPEKVEVVPEKKPKVDNSEKFYTGCPPAQVFVYERVHHCECESNADPCSIL >DRNTG_29512.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2222742:2223345:-1 gene:DRNTG_29512 transcript:DRNTG_29512.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCEKCKIKAMKIVAATEGVESVSVEGKDNDQLVVIGDRVDSTTLTSKLRKKVGHAELVSVNEVKKPAEKPVEKAKPEKVEVVPEKKPKVDNSEKFYTGCPPAQVFVYERVHHCECESNADPCSIL >DRNTG_00701.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29991409:29998909:1 gene:DRNTG_00701 transcript:DRNTG_00701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALKECPNIGEDVVACSRRRWQPFRLSRSERRSQGHSMDWTAFSSVHTDPMTSDVIAPSPWTIFGCKNGLRLFKEAKDGDYHGKRWDDHPAIMAVGVVDGSSEAIFRTVMSLGPSRSEWDFCFYQGSVVEHLDGHTDIIHKKLNGDWLPWGMKRRDLLLRRYWRREDDGTYVILYHSVFHQKCRPERGYIRACLKSGGYVISPVNQGKQSVVKHMLAIDWKFWKSYLFPSSAKHITIKMLGRVAALRELFRAKHGNHAGSDFSSGELIREVRLVQSRENAKAELQSEGENFKCKNPAEEPQRSPAKFLNAGGSFLQLNDAADEFFDVLDESEYEQSETIWPSNSGMQSQDQRHTKMSSAAGLVKRLHDLAVQKRGYIDLQEASIGDALPCCYGATLINDPDCTLPCSWSTADPSTFLIRSETYLQDHHKIKANGTLMQMVAADWLKSDKREDDLGGRPGGIVQKYAAQGGNEFFFIVNIQVPGTTTYSLALYYMMDTPVEKVPLLQSFINGDDAYRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEINYFQGRNYLELGVDIGSSTVARGVVSLVLGYLTNLVIEMAFLIQGNTHDELPEFLLGTCRLNHLDATKAIPINTW >DRNTG_00701.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29993144:29998909:1 gene:DRNTG_00701 transcript:DRNTG_00701.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIDWKFWKSYLFPSSAKHITIKMLGRVAALRELFRAKHGNHAGSDFSSGELIREVRLVQSRENAKAELQSEGENFKCKNPAEEPQRSPAKFLNAGGSFLQLNDAADEFFDVLDESEYEQSETIWPSNSGMQSQDQRHTKMSSAAGLVKRLHDLAVQKRGYIDLQEASIGDALPCCYGATLINDPDCTLPCSWSTADPSTFLIRSETYLQDHHKIKANGTLMQMVAADWLKSDKREDDLGGRPGGIVQKYAAQGGNEFFFIVNIQVPGTTTYSLALYYMMDTPVEKVPLLQSFINGDDAYRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEINYFQGRNYLELGVDIGSSTVARGVVSLVLGYLTNLVIEMAFLIQGNTHDELPEFLLGTCRLNHLDATKAIPINTW >DRNTG_21230.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:195922:200572:1 gene:DRNTG_21230 transcript:DRNTG_21230.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 8 [Source:Projected from Arabidopsis thaliana (AT1G29200) UniProtKB/Swiss-Prot;Acc:F4HZX7] MGGTSQGKHLGGNLVLTKLTHYIKAVNHTGFILVSANGGLNQQRVAVCNAVAVAVLLNATLVLPKFLYSSVWKDSSQFGDIYQEDYFINLLKDDVRIVKELPSHLNSLDIEAIGSQFTDMDIMKESKPIDFVKIALPVLRRNGVVHFLGFGNRLAFDPLPYKLQRLRCKCNFHALKFVPQIQEVGSLLVQRIRRHNSMVSKLDAQLLGDHMPKAPTTNLDTSSQGSFKYLALHMRFETDMVAYSQCDFGGGEKEWNELQAYREIHFPTLVMRMKNESISPSELRMLGRCPLTPEEAALMLSALGFKSRTYIYLAGSHIYGGQSRMLPFTKLYPNLVTKEDLLTPSELAPFRNFSSRLAALDFIACATSDVFRHD >DRNTG_21230.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:197411:200572:1 gene:DRNTG_21230 transcript:DRNTG_21230.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 8 [Source:Projected from Arabidopsis thaliana (AT1G29200) UniProtKB/Swiss-Prot;Acc:F4HZX7] MDIMKESKPIDFVKIALPVLRRNGVVHFLGFGNRLAFDPLPYKLQRLRCKCNFHALKFVPQIQEVGSLLVQRIRRHNSMVSKLDAQLLGDHMPKAPTTNLDTSSQGSFKYLALHMRFETDMVAYSQCDFGGGEKEWNELQAYREIHFPTLVMRMKNESISPSELRMLGRCPLTPEEAALMLSALGFKSRTYIYLAGSHIYGGQSRMLPFTKLYPNLVTKEDLLTPSELAPFRNFSSRLAALDFIACATSDVFRHD >DRNTG_21230.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:195922:200572:1 gene:DRNTG_21230 transcript:DRNTG_21230.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 8 [Source:Projected from Arabidopsis thaliana (AT1G29200) UniProtKB/Swiss-Prot;Acc:F4HZX7] MKYRMMRICYGRNQPGQASRWKPCANKTNSLYQGQAVNHTGFILVSANGGLNQQRVAVCNAVAVAVLLNATLVLPKFLYSSVWKDSSQFGDIYQEDYFINLLKDDVRIVKELPSHLNSLDIEAIGSQFTDMDIMKESKPIDFVKIALPVLRRNGVVHFLGFGNRLAFDPLPYKLQRLRCKCNFHALKFVPQIQEVGSLLVQRIRRHNSMVSKLDAQLLGDHMPKAPTTNLDTSSQGSFKYLALHMRFETDMVAYSQCDFGGGEKEWNELQAYREIHFPTLVMRMKNESISPSELRMLGRCPLTPEEAALMLSALGFKSRTYIYLAGSHIYGGQSRMLPFTKLYPNLVTKEDLLTPSELAPFRNFSSRLAALDFIACATSDVFRHD >DRNTG_21230.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:195922:200572:1 gene:DRNTG_21230 transcript:DRNTG_21230.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 8 [Source:Projected from Arabidopsis thaliana (AT1G29200) UniProtKB/Swiss-Prot;Acc:F4HZX7] MDIMKESKPIDFVKIALPVLRRNGVVHFLGFGNRLAFDPLPYKLQRLRCKCNFHALKFVPQIQEVGSLLVQRIRRHNSMVSKLDAQLLGDHMPKAPTTNLDTSSQGSFKYLALHMRFETDMVAYSQCDFGGGEKEWNELQAYREIHFPTLVMRMKNESISPSELRMLGRCPLTPEEAALMLSALGFKSRTYIYLAGSHIYGGQSRMLPFTKLYPNLVTKEDLLTPSELAPFRNFSSRLAALDFIACATSDVFRHD >DRNTG_21230.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:195922:200572:1 gene:DRNTG_21230 transcript:DRNTG_21230.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 8 [Source:Projected from Arabidopsis thaliana (AT1G29200) UniProtKB/Swiss-Prot;Acc:F4HZX7] MDIMKESKPIDFVKIALPVLRRNGVVHFLGFGNRLAFDPLPYKLQRLRCKCNFHALKFVPQIQEVGSLLVQRIRRHNSMVSKLDAQLLGDHMPKAPTTNLDTSSQGSFKYLALHMRFETDMVAYSQCDFGGGEKEWNELQAYREIHFPTLVMRMKNESISPSELRMLGRCPLTPEEAALMLSALGFKSRTYIYLAGSHIYGGQSRMLPFTKLYPNLVTKEDLLTPSELAPFRNFSSRLAALDFIACATSDVFRHD >DRNTG_21230.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:195922:200814:1 gene:DRNTG_21230 transcript:DRNTG_21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 8 [Source:Projected from Arabidopsis thaliana (AT1G29200) UniProtKB/Swiss-Prot;Acc:F4HZX7] MDIMKESKPIDFVKIALPVLRRNGVVHFLGFGNRLAFDPLPYKLQRLRCKCNFHALKFVPQIQEVGSLLVQRIRRHNSMVSKLDAQLLGDHMPKAPTTNLDTSSQGSFKYLALHMRFETDMVAYSQCDFGGGEKEWNELQAYREIHFPTLVMRMKNESISPSELRMLGRCPLTPEEAALMLSALGFKSRTYIYLAGSHIYGGQSRMLPFTKLYPNLVTKEDLLTPSELAPFRNFSSRLAALDFIACATSDVFRHD >DRNTG_21230.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:195922:200572:1 gene:DRNTG_21230 transcript:DRNTG_21230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 8 [Source:Projected from Arabidopsis thaliana (AT1G29200) UniProtKB/Swiss-Prot;Acc:F4HZX7] MKYRMMRICYGRNQPGQASRWKPCANKTNSLYQGQAVNHTGFILVSANGGLNQQRVAVCNAVAVAVLLNATLVLPKFLYSSVWKDSSQFGDIYQEDYFINLLKDDVRIVKELPSHLNSLDIEAIGSQFTDMDIMKESKPIDFVKIALPVLRRNGVVHFLGFGNRLAFDPLPYKLQRLRCKCNFHALKFVPQIQEVGSLLVQRIRRHNSMVSKLDAQLLGDHMPKAPTTNLDTSSQGSFKYLALHMRFETDMVAYSQCDFGGGEKEWNELQAYREIHFPTLVMRMKNESISPSELRMLGRCPLTPEEAALMLSALGFKSRTYIYLAGSHIYGGQSRMLPFTKLYPNLVTKEDLLTPSELAPFRNFSSRLAALDFIACATSDVFRHD >DRNTG_21230.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:195922:200572:1 gene:DRNTG_21230 transcript:DRNTG_21230.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 8 [Source:Projected from Arabidopsis thaliana (AT1G29200) UniProtKB/Swiss-Prot;Acc:F4HZX7] MEGYQFGDIYQEDYFINLLKDDVRIVKELPSHLNSLDIEAIGSQFTDMDIMKESKPIDFVKIALPVLRRNGVVHFLGFGNRLAFDPLPYKLQRLRCKCNFHALKFVPQIQEVGSLLVQRIRRHNSMVSKLDAQLLGDHMPKAPTTNLDTSSQGSFKYLALHMRFETDMVAYSQCDFGGGEKEWNELQAYREIHFPTLVMRMKNESISPSELRMLGRCPLTPEEAALMLSALGFKSRTYIYLAGSHIYGGQSRMLPFTKLYPNLVTKEDLLTPSELAPFRNFSSRLAALDFIACATSDVFRHD >DRNTG_21230.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:195922:200572:1 gene:DRNTG_21230 transcript:DRNTG_21230.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 8 [Source:Projected from Arabidopsis thaliana (AT1G29200) UniProtKB/Swiss-Prot;Acc:F4HZX7] MPLLLLFFSMQPLFSLNFFTAVYGRICSQFGDIYQEDYFINLLKDDVRIVKELPSHLNSLDIEAIGSQFTDMDIMKESKPIDFVKIALPVLRRNGVVHFLGFGNRLAFDPLPYKLQRLRCKCNFHALKFVPQIQEVGSLLVQRIRRHNSMVSKLDAQLLGDHMPKAPTTNLDTSSQGSFKYLALHMRFETDMVAYSQCDFGGGEKEWNELQAYREIHFPTLVMRMKNESISPSELRMLGRCPLTPEEAALMLSALGFKSRTYIYLAGSHIYGGQSRMLPFTKLYPNLVTKEDLLTPSELAPFRNFSSRLAALDFIACATSDVFRHD >DRNTG_20777.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21899688:21900810:-1 gene:DRNTG_20777 transcript:DRNTG_20777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFWTFLTYLNTISGPTITLLYPLYASVQALESPSKLDDEQWLAYWILYSFLTLLEMIADPILKWIPIWYQMKVILVAWLVLPQFRGAAFLYEKFVREKLRQNNGIDKVNGDHVSPSPSSSSPKKDKKRFLAFVTSKKSGQVD >DRNTG_27797.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3193046:3200464:1 gene:DRNTG_27797 transcript:DRNTG_27797.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSQTGLDYDKMSYGCKHYRRLCMIRAPCCNEIFHCRHCHNESTSDGHELRRQDVQRVICLMCDTEQPVAQVCTNCEVYMGEYFCGICKFYDDDVEKRKQYHCDDCGICRLGGRENFFHCKKCDACYSVELRDKHLCVENSLRHHCPICYEYLFDSLREITILKCGHNMHLECFLEMKYKKYNMCPTCKSVIDMLKM >DRNTG_10693.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13796422:13797307:-1 gene:DRNTG_10693 transcript:DRNTG_10693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCGLLNFKQVFCTKSKPNCNSCPMRGECKHFASAFASARLALPAGEEKSLVSS >DRNTG_32842.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001922.1:59562:73639:-1 gene:DRNTG_32842 transcript:DRNTG_32842.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDAFEELKKLAEKANLVERSTAPKRPTQVPDAVKHRGFVAYERQGISYRDPNARINDWEEVAEESKPGPLLKTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECSIIDKAFEEGWMVPRPPLQRTGKRVSIVGSGPAGLAAADQLNKMGHHVTVFERADRIGGLMMYGVPNMKTDKVDIVERRVKLMEKEGVNFVVNANVGTDPNYSLERLRAESDAIILACGATKPRDLPVPGRDLSGVHFAMEFLHANTKSLLDSNLQDGKYISAKGKKVVVIGGGDTGTDCIGTSIRHGCTSLVNLELLPEPPQTRAPGNPWPQWPRIFRVDYGHQEAITKFGKDPRSYEVLTKRFLGDENGVKGLELVRVRWAKDSSGKFQFEEIKGSEEIIEADLVLLAMGFLGPEPTIAERLGLERDNRSNFKAEYGRFSTNVEGVFAAGDCRRGQSLVVWAINEGRQAASQVDKYLMKEEVDINTENGSCQGDVVKSFDSKQSVAA >DRNTG_32842.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001922.1:59562:73639:-1 gene:DRNTG_32842 transcript:DRNTG_32842.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDAFEELKKLAEKANLVERSTAPKRPTQVPDAVKHRGFVAYERQGISYRDPNARINDWEEVAEESKPGPLLKTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECSIIDKAFEEGWMVPRPPLQRTGKRVSIVGSGPAGLAAADQLNKMGHHVTVFERADRIGGLMMYGVPNMKTDKVDIVERRVKLMEKEGVNFVVNANVGTDPNYSLERLRAESDAIILACGATKPRDLPVPGRDLSGVHFAMEFLHANTKSLLDSNLQDGKYISAKGKKVVVIGGGDTGTDCIGTSIRHGCTSLVNLELLPEPPQTRAPGNPWPQWPRIFRVDYGHQEAITKFGKDPRSYEVLTKRFLGDENGVKGLELVRVRWAKDSSGKFQFEEIKGSEEIIEADLVLLAMGFLGPEPTIAERLGLERDNRSNFKAEYGRFSTNVEGVFAAGDCRRGQSLVVWAINEGRQAASQVDKYLMKEEVDINTENGSCQGDVVKSFDSKQSVAA >DRNTG_32842.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001922.1:59562:73639:-1 gene:DRNTG_32842 transcript:DRNTG_32842.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLARDALRLHELAFPSRALPAGSAEAIALPNPGDYHWRKGGEVHLNDPLAIAKLQEAARGNSVAAYKEYSRRIQELNKMCNLRGMLKFKDVAEKIPLDEVEPASEIVKRFCTGAMSYGSISLEAHTTLAIAMNKIGGKSNTGEGGEQPSRMEPLPDGKMNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPGARISVKLVSEAGVGVIASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANDLRGRTILQTDGQLKTGRDVAIAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEELREIMAQLGIRTVNEMVGRSDMLEVDEEVIKSNEKLENIDLTLLLRPAATIRPDAAQHCIQKQDHGLDMALDQMLISASSAALERALPVYIEMPIRNVNRAVGTMLSHEVTKLYQMKGLPTDTIHIKLNGSAGQSLGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPRESQFDPKENIVIGNVALYGATGGEAYFNGMAAERFCVRNSGAKAVVEGVGDHGCEYMTGGTVVVLGKTGRNFAAGMSGGIAYVLDVDEKFHTRCNTELVDLDKVEEAEDIAMLKMMIQQHQRHTSSILAKRSACKF >DRNTG_32842.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001922.1:59562:73639:-1 gene:DRNTG_32842 transcript:DRNTG_32842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARDALRLHELAFPSRALPAGSAEAIALPNPGDYHWRKGGEVHLNDPLAIAKLQEAARGNSVAAYKEYSRRIQELNKMCNLRGMLKFKDVAEKIPLDEVEPASEIVKRFCTGAMSYGSISLEAHTTLAIAMNKIGGKSNTGEGGEQPSRMEPLPDGKMNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPGARISVKLVSEAGVGVIASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANDLRGRTILQTDGQLKTGRDVAIAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEELREIMAQLGIRTVNEMVGRSDMLEVDEEVIKSNEKLENIDLTLLLRPAATIRPDAAQHCIQKQDHGLDMALDQMLISASSAALERALPVYIEMPIRNVNRAVGTMLSHEVTKLYQMKGLPTDTIHIKLNGSAGQSLGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPRESQFDPKENIVIGNVALYGATGGEAYFNGMAAERFCVRNSGAKAVVEGVGDHGCEYMTGGTVVVLGKTGRNFAAGMSGGIAYVLDVDEKFHTRCNTELVDLDKVEEAEDIAMLKMMIQQHQRHTSSILAKRSACKF >DRNTG_32842.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001922.1:59562:73639:-1 gene:DRNTG_32842 transcript:DRNTG_32842.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPGSSLQLRNEPVALSSSLNRQKVFSVKSRAVRCLASRRWVPSPVSLENRFFGARLRPSEKIQLWWSDGPSRPSKLRVLRPSMALSKVPEKPLGLYDPSFDKDSCGVGFIAELSGDPSRNTVADALEMLVRMTHRGACGCEANTGDGAGILVALPHEFYKEVANDAGFELPPPGQYAVGMFFLPTDGNRREQSKVVFTKVAESLGHVVLGWRHVPTDNTGLGKSALETEPVIEQVFLTISLRSNADFEQQMYILRRVSMVAIRAALNLQHGGMRDFYICSLSSRTVVYKGQLKPVQLKDYYYADLGDERFTSYMALVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNVNWMKARQGLLKCKELRLSQNEMKKLLPIVDASSSDSGAFDGALELLVRAGRSLPEAIMMMIPEAWQNDKNMDPDRMALYEYFSALMEPWDGPALISFTDGHYLGATLDRNGLRPGRFYITHSGRVIMASEVGVVDIPPEDVSRKGRLNPGMMLLVDFENHCVVDDDALKKQYSLARPYGEWLKRQKISLEDIVKSVPESSRPAPGIFGTLPVHTRDDDMENMGIHGLLGPLKAFGYTVEALEMLLLPMAKDATEALGSMGNDAPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPRRGPD >DRNTG_32842.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001922.1:59562:72226:-1 gene:DRNTG_32842 transcript:DRNTG_32842.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLARDALRLHELAFPSRALPAGSAEAIALPNPGDYHWRKGGEVHLNDPLAIAKLQEAARGNSVAAYKEYSRRIQELNKMCNLRGMLKFKDVAEKIPLDEVEPASEIVKRFCTGAMSYGSISLEAHTTLAIAMNKIGGKSNTGEGGEQPSRMEPLPDGKMNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPGARISVKLVSEAGVGVIASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANDLRGRTILQTDGQLKTGRDVAIAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEELREIMAQLGIRTVNEMVGRSDMLEVDEEVIKSNEKLENIDLTLLLRPAATIRPDAAQHCIQKQDHGLDMALDQMLISASSAALERALPVYIEMPIRNVNRAVGTMLSHEVTKLYQMKGLPTDTIHIKLNGSAGQSLGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPRESQFDPKENIVIGNVALYGATGGEAYFNGMAAERFCVRNSGAKAVVEGVGDHGCEYMTGGTVVVLGKTGRNFAAGMSGGIAYVLDVDEKFHTRCNTELVDLDKVEEAEDIAMLKMMIQQHQRHTSSILAKRSACKF >DRNTG_17134.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000881.1:25410:26403:1 gene:DRNTG_17134 transcript:DRNTG_17134.2 gene_biotype:protein_coding transcript_biotype:protein_coding EELFSPFTSLYPLVVACCKNGFSTLDSVYVQLENLSNADPPYKSVAALNCVILGCANIWDLDRAYETFEAIGGKLGMAPDIHSYNALICAFG >DRNTG_17134.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000881.1:25277:26403:1 gene:DRNTG_17134 transcript:DRNTG_17134.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGAVFPVHLFIPTGCCLLQKWLLNIGLEQVYVQLENLSNADPPYKSVAALNCVILGCANIWDLDRAYETFEAIGGKLGMAPDIHSYNALICAFG >DRNTG_17134.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000881.1:26106:26403:1 gene:DRNTG_17134 transcript:DRNTG_17134.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFFLTNLSIYKEQVYVQLENLSNADPPYKSVAALNCVILGCANIWDLDRAYETFEAIGGKLGMAPDIHSYNALICAFG >DRNTG_17134.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000881.1:25410:26403:1 gene:DRNTG_17134 transcript:DRNTG_17134.3 gene_biotype:protein_coding transcript_biotype:protein_coding RGAVFPVHLFIPTGCCLLQKWLLNIGLENLSNADPPYKSVAALNCVILGCANIWDLDRAYETFEAIGGKLGMAPDIHSYNALICAFG >DRNTG_32430.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10937308:10937792:1 gene:DRNTG_32430 transcript:DRNTG_32430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEPGDLGSSDPYQKMRKQEIQINNVNVHCKPSIPSTNGGDETSPDPRHLVIDIPLDPLSFPLDSSLNRPFPMVLCTST >DRNTG_32430.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10937384:10937792:1 gene:DRNTG_32430 transcript:DRNTG_32430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEPGDLGSSDPYQKMRKQEIQINNVNVHCKPSIPSTNGGDETSPDPRHLVIDIPLDPLSFPLDSSLNRPFPMVLCTST >DRNTG_32430.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10937308:10937687:1 gene:DRNTG_32430 transcript:DRNTG_32430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEPGDLGSSDPYQKMRKQEIQINNVNVHCKPSIPSTNGGDETSPDPRHLVIDIPLDPLSFPLDSSLNRPFPMVLCTST >DRNTG_32430.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10937384:10937687:1 gene:DRNTG_32430 transcript:DRNTG_32430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEPGDLGSSDPYQKMRKQEIQINNVNVHCKPSIPSTNGGDETSPDPRHLVIDIPLDPLSFPLDSSLNRPFPMVLCTST >DRNTG_26946.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30133761:30136408:-1 gene:DRNTG_26946 transcript:DRNTG_26946.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLETSRFYARVLDRLQSPEVQSGISHALSSASEFPMVIYGIGNIASSEISRIQLAFALLLRDKLPITSMEIFDPVLSGSECAVLKSLGLSVSGREREGSAGGVGTDVVLLAALRCRSV >DRNTG_26946.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30133761:30136363:-1 gene:DRNTG_26946 transcript:DRNTG_26946.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLETSRFYARVLDRLQSPEVQSGISHALSSASEFPMVIYGIGNIASSEISRIQLAFALLLRDKLPITSMEIFDPVLSGSECAVLKSLGLSVSGREREGSAGGVGTDVVLLAALRCRSV >DRNTG_26946.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30135289:30136611:-1 gene:DRNTG_26946 transcript:DRNTG_26946.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLETSRFYARVLDRLQSPEVQSGISHALSSASEFPMVIYGIGNIASSEISRIQLAFALLLRDKLPITSMEIFDPVLSGSECAVLKSLGLSVSGREREGSAGGVGTDVVLLAALRCRSV >DRNTG_26946.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30135289:30136363:-1 gene:DRNTG_26946 transcript:DRNTG_26946.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLETSRFYARVLDRLQSPEVQSGISHALSSASEFPMVIYGIGNIASSEISRIQLAFALLLRDKLPITSMEIFDPVLSGSECAVLKSLGLSVSGREREGSAGGVGTDVVLLAALRCRSV >DRNTG_26946.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30133647:30136611:-1 gene:DRNTG_26946 transcript:DRNTG_26946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLETSRFYARVLDRLQSPEVQSGISHALSSASEFPMVIYGIGNIASSEISRIQLAFALLLRDKLPITSMEIFDPVLSGSECAVLKSLGLSVSGREREGSAGGVGTDVVLLAALRCRSV >DRNTG_26946.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30133761:30136611:-1 gene:DRNTG_26946 transcript:DRNTG_26946.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLETSRFYARVLDRLQSPEVQSGISHALSSASEFPMVIYGIGNIASSEISRIQLAFALLLRDKLPITSMEIFDPVLSGSECAVLKSLGLSVSGREREGSAGGVGTDVVLLAALRCRSV >DRNTG_26946.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30133647:30136408:-1 gene:DRNTG_26946 transcript:DRNTG_26946.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLETSRFYARVLDRLQSPEVQSGISHALSSASEFPMVIYGIGNIASSEISRIQLAFALLLRDKLPITSMEIFDPVLSGSECAVLKSLGLSVSGREREGSAGGVGTDVVLLAALRCRSV >DRNTG_23800.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29665505:29670516:-1 gene:DRNTG_23800 transcript:DRNTG_23800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWDEVKKKLMGALNAIQYNVVAKGLNDQAISYKTDRKNHPLSLHAIAEGPRLRLFLSTVLHLQKELNDISGIHEAGIQEAWLETASELIKESVQPVFIKWLKDEFTLENEEPDVNLLKRVSLKLKEGDRVLLGVLRCGKADLYADLLFFLKFGSCRAGCCTDKKFLDQFAVDILEDLVIMLADVITNIYLELISVDSSMSSEMNGFGSNLCSLSTRALQRLRNEVTLSQWIQQNFESVVSMYEDRFDLFVFSKNIIADPVVSQDEKHFWWKKLSFKKSTTASQLHHVYMSSFSLPVKRTKELRALVGWRYYFSLILEFSDITMPFVRAVFVKISDAVSFFLVCLIGRSLGLIYTGIRQALGLR >DRNTG_23800.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29665505:29670160:-1 gene:DRNTG_23800 transcript:DRNTG_23800.12 gene_biotype:protein_coding transcript_biotype:protein_coding MLADVITNIYLELISVDSSMSSEMNGFGSNLCSLSTRALQRLRNEVTLSQWIQQNFESVVSMYEDRFDLFVFSKNIIADPVVSQDEKHFWWKKLSFKKSTTASQLHHVYMSSFSLPVKRTKELRALVGWRYYFSLILEFSDITMPFVRAVFVKISDAVSFFLVCLIGRSLGLIYTGIRQALGLR >DRNTG_23800.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29664504:29666267:-1 gene:DRNTG_23800 transcript:DRNTG_23800.15 gene_biotype:protein_coding transcript_biotype:protein_coding MYEDRFDLFVFSKNIIADPVVSQDEKHFWWKKLSFKKSTTASQLHHVYMSSFSLPVKRTKELRALVGWRYYFSLILEFSDITMPFVRAVFVKISDAVSFFLVCLIGRSLGLIYTGIRQALGLR >DRNTG_23800.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29665505:29670516:-1 gene:DRNTG_23800 transcript:DRNTG_23800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLADVITNIYLELISVDSSMSSEMNGFGSNLCSLSTRALQRLRNEVTLSQWIQQNFESVVSMYEDRFDLFVFSKNIIADPVVSQDEKHFWWKKLSFKKSTTASQLHHVYMSSFSLPVKRTKELRALVGWRYYFSLILEFSDITMPFVRAVFVKISDAVSFFLVCLIGRSLGLIYTGIRQALGLR >DRNTG_23800.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29665505:29670160:-1 gene:DRNTG_23800 transcript:DRNTG_23800.13 gene_biotype:protein_coding transcript_biotype:protein_coding MLADVITNIYLELISVDSSMSSEMNGFGSNLCSLSTRALQRLRNEVTLSQWIQQNFESVVSMYEDRFDLFVFSKNIIADPVVSQDEKHFWWKKLSFKKSTTASQLHHVYMSSFSLPVKRTKELRALVGWRYYFSLILEFSDITMPFVRAVFVKISDAVSFFLVCLIGRSLGLIYTGIRQALGLR >DRNTG_23800.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29665505:29670160:-1 gene:DRNTG_23800 transcript:DRNTG_23800.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWDEVKKKLMGALNAIQYNVVAKGLNDQAISYKTDRKNHPLSLHAIAEGPRLRLFLSTVLHLQKELNDISGIHEAGIQEAWLETASELIKESVQPVFIKWLKDEFTLENEEPDVNLLKRVSLKLKEGDRVLLGVLRCGKADLYADLLFFLKFGSCRAGCCTDKKFLDQFAVDILEDLVIMLADVITNIYLELISVDSSMSSEMNGFGSNLCSLSTRALQRLRNEVTLSQWIQQNFESVVSMYEDRFDLFVFSKNIIADPVVSQDEKHFWWKKLSFKKSTTASQLHHVYMSSFSLPVKRTKELRALVGWRYYFSLILEFSDITMPFVRAVFVKISDAVSFFLVCLIGRSLGLIYTGIRQALGLR >DRNTG_23800.19.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29665505:29665853:-1 gene:DRNTG_23800 transcript:DRNTG_23800.19 gene_biotype:protein_coding transcript_biotype:protein_coding RYYFSLILEFSDITMPFVRAVFVKISDAVSFFLVCLIGRSLGLIYTGIRQALGLR >DRNTG_23800.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29665505:29670160:-1 gene:DRNTG_23800 transcript:DRNTG_23800.11 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRDLGCDCFYLLCSIFRKRQLNDISGIHEAGIQEAWLETASELIKESVQPVFIKWLKDEFTLENEEPDVNLLKRVSLKLKEGDRVLLGVLRCGKADLYADLLFFLKFGSCRAGCCTDKKFLDQFAVDILEDLVIMLADVITNIYLELISVDSSMSSEMNGFGSNLCSLSTRALQRLRNEVTLSQWIQQNFESVVSMYEDRFDLFVFSKNIIADPVVSQDEKHFWWKKLSFKKSTTASQLHHVYMSSFSLPVKRTKELRALVGWRYYFSLILEFSDITMPFVRAVFVKISDAVSFFLVCLIGRSLGLIYTGIRQALGLR >DRNTG_23800.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29669312:29670563:-1 gene:DRNTG_23800 transcript:DRNTG_23800.16 gene_biotype:protein_coding transcript_biotype:protein_coding NNDLAKLVQSSKQSLKASSPLNMRREKQVNLTGMH >DRNTG_23800.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29665505:29666267:-1 gene:DRNTG_23800 transcript:DRNTG_23800.18 gene_biotype:protein_coding transcript_biotype:protein_coding MYEDRFDLFVFSKNIIADPVVSQDEKHFWWKKLSFKKSTTASQLHHVYMSSFSLPVKRTKELRALVGWRYYFSLILEFSDITMPFVRAVFVKISDAVSFFLVCLIGRSLGLIYTGIRQALGLR >DRNTG_23800.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29665505:29670160:-1 gene:DRNTG_23800 transcript:DRNTG_23800.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWDEVKKKLMGALNAIQYNVVAKGLNDQAISYKTDRKNHPLSLHAIAEGPRLRLFLSTVLHLQKELNDISGIHEAGIQEAWLETASELIKESVQPVFIKWLKDEFTLENEEPDVNLLKRVSLKLKEGDRVLLGVLRCGKADLYADLLFFLKFGSCRAGCCTDKKFLDQFAVDILEDLVIMLADVITNIYLELISVDSSMSSEMNGFGSNLCSLSTRALQRLRNEVTLSQWIQQNFESVVSMYEDRFDLFVFSKNIIADPVVSQDEKHFWWKKLSFKKSTTASQLHHVYMSSFSLPVKRTKELRALVGWRYYFSLILEFSDITMPFVRAVFVKISDAVSFFLVCLIGRSLGLIYTGIRQALGLR >DRNTG_23800.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29665505:29668227:-1 gene:DRNTG_23800 transcript:DRNTG_23800.14 gene_biotype:protein_coding transcript_biotype:protein_coding MLADVITNIYLELISVDSSMSSEMNGFGSNLCSLSTRALQRLRNEVTLSQWIQQNFESVVSMYEDRFDLFVFSKNIIADPVVSQDEKHFWWKKLSFKKSTTASQLHHVYMSSFSLPVKRTKELRALVGWRYYFSLILEFSDITMPFVRAVFVKISDAVSFFLVCLIGRSLGLIYTGIRQALGLR >DRNTG_23800.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29665505:29670160:-1 gene:DRNTG_23800 transcript:DRNTG_23800.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLADVITNIYLELISVDSSMSSEMNGFGSNLCSLSTRALQRLRNEVTLSQWIQQNFESVVSMYEDRFDLFVFSKNIIADPVVSQDEKHFWWKKLSFKKSTTASQLHHVYMSSFSLPVKRTKELRALVGWRYYFSLILEFSDITMPFVRAVFVKISDAVSFFLVCLIGRSLGLIYTGIRQALGLR >DRNTG_23800.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29665505:29670516:-1 gene:DRNTG_23800 transcript:DRNTG_23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADVITNIYLELISVDSSMSSEMNGFGSNLCSLSTRALQRLRNEVTLSQWIQQNFESVVSMYEDRFDLFVFSKNIIADPVVSQDEKHFWWKKLSFKKSTTASQLHHVYMSSFSLPVKRTKELRALVGWRYYFSLILEFSDITMPFVRAVFVKISDAVSFFLVCLIGRSLGLIYTGIRQALGLR >DRNTG_23800.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29665505:29670516:-1 gene:DRNTG_23800 transcript:DRNTG_23800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLADVITNIYLELISVDSSMSSEMNGFGSNLCSLSTRALQRLRNEVTLSQWIQQNFESVVSMYEDRFDLFVFSKNIIADPVVSQDEKHFWWKKLSFKKSTTASQLHHVYMSSFSLPVKRTKELRALVGWRYYFSLILEFSDITMPFVRAVFVKISDAVSFFLVCLIGRSLGLIYTGIRQALGLR >DRNTG_23800.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29665505:29670160:-1 gene:DRNTG_23800 transcript:DRNTG_23800.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLADVITNIYLELISVDSSMSSEMNGFGSNLCSLSTRALQRLRNEVTLSQWIQQNFESVVSMYEDRFDLFVFSKNIIADPVVSQDEKHFWWKKLSFKKSTTASQLHHVYMSSFSLPVKRTKELRALVGWRYYFSLILEFSDITMPFVRAVFVKISDAVSFFLVCLIGRSLGLIYTGIRQALGLR >DRNTG_23800.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29665505:29670516:-1 gene:DRNTG_23800 transcript:DRNTG_23800.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLADVITNIYLELISVDSSMSSEMNGFGSNLCSLSTRALQRLRNEVTLSQWIQQNFESVVSMYEDRFDLFVFSKNIIADPVVSQDEKHFWWKKLSFKKSTTASQLHHVYMSSFSLPVKRTKELRALVGWRYYFSLILEFSDITMPFVRAVFVKISDAVSFFLVCLIGRSLGLIYTGIRQALGLR >DRNTG_23800.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29665505:29670160:-1 gene:DRNTG_23800 transcript:DRNTG_23800.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWDEVKKKLMGALNAIQYNVVAKGLNDQAISYKTDRKNHPLSLHAIAEGPRLRLFLSTVLHLQKELNDISGIHEAGIQEAWLETASELIKESVQPVFIKWLKDEFTLENEEPDNLLKRVSLKLKEGDRVLLGVLRCGKADLYADLLFFLKFGSCRAGCCTDKKFLDQFAVDILEDLVIMLADVITNIYLELISVDSSMSSEMNGFGSNLCSLSTRALQRLRNEVTLSQWIQQNFESVVSMYEDRFDLFVFSKNIIADPVVSQDEKHFWWKKLSFKKSTTASQLHHVYMSSFSLPVKRTKELRALVGWRYYFSLILEFSDITMPFVRAVFVKISDAVSFFLVCLIGRSLGLIYTGIRQALGLR >DRNTG_05008.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9499025:9532907:1 gene:DRNTG_05008 transcript:DRNTG_05008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQDEGLKPDLITYINLACCYGKAGMIEGLKRIYGLLKYGEIEPNESLFRALINAYNDTGKHDLAEIVEQEMRISPHDENSSGCETEDEV >DRNTG_05008.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9499025:9499448:1 gene:DRNTG_05008 transcript:DRNTG_05008.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQDEGLKPDLITYINLACCYGKAGMIEGLKRIYGLLKYGEIEPNESLFRALINAYNDTGKHDLAEIVEQEMRISPHDENSSGCETEDEV >DRNTG_05008.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9525646:9527006:1 gene:DRNTG_05008 transcript:DRNTG_05008.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALSAIAGALLSPLRDISLEKLISYLWDYLSSSPSPSSPDEEETQQQLMDSLEALEDVKLNVKVMQSRIMRLFEKHKQNERVVGLHNKLKDVSYDIQDLESEMKYMELERKVQEINKADQETFTASQSSRGLKRLFKFSPQSSEKKRRLLTSSQSLGLSTDDELVRKITSIIKQINSIESKLKDEIKLEEWFDQITLNGVYDPREQLHFTQNKRVTTSSTFDREIYGRDDEIQRLIGFLKEPNVNDNISIVPIIGMGGMGKTTLAQVVFNIREVENYFDKKAWICVSHHFDRFRITKEIVDIISPIVQCGNTTNLDLLERELERHLTGKKFLLVLDDVWSDEWQHLLIPLQSAQPQAVKIIVTCRDPTVLKSIDKGNQIILEGLCD >DRNTG_05008.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9525646:9532907:1 gene:DRNTG_05008 transcript:DRNTG_05008.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALSAIAGALLSPLRDISLEKLISYLWDYLSSSPSPSSPDEEETQQQLMDSLEALEDVKLNVKVMQSRIMRLFEKHKQNERVVGLHNKLKDVSYDIQDLESEMKYMELERKVQEINKADQETFTASQSSRGLKRLFKFSPQSSEKKRRLLTSSQSLGLSTGKQSSLSIFGQYFHAGLSEIDAS >DRNTG_28731.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2349568:2354370:-1 gene:DRNTG_28731 transcript:DRNTG_28731.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQYPILDNRPINQWKVVELKDELRRRKITIKGVKEDLVKRLAEAIRRERELEKEHEAYAGAEPESNPANKHDEEIAEPLTEDNIKVIADENMKPASDCTPVNKKDVDLDVNQDYGDKSVDSTVGTEMEGTPDGTAANALTIEHSATETHNLITQMDSSGNDLGYNEREKDLKLSAEDSLKCDKLDENLKPPGEDATQFNEMDEDSKPLAEETLKYAEQDEDSKPHSHDVKLEPQEQNNKVSEINQDLDCPAKSEFGSNDSVSINIKDELKDSLNADNASLEPEAKSETLPSPSINDHSVGSGSCMVDDVQELNDKPVSFVDVDDVKTVTDADLSKKNDIVDGESPEKLNLDRSSGDDSMDEDVLETKQIESNDNSDKLGGKIEDIKAQVGKAENLVDVAMLPAEDTIADDNGEPAASTEKRKVEENQGSAATNPPKRQRRWNSESIKVADLQPSNISPITTPKDVFQPSTKRLFNRSDSSVSREALKERIVPPSQNTATNSLRIDRFLRPFTLTAVKELLGKTGTICNFWMDQIKTHCYVTYSSVEEAIATRNAVYNLQWPPNGGRLLVADFVDPQEVKVCVEGPQVLPSPVAPSPSTPTAPPVQTQPAFLSQPRQDVSKLHLPSPLPAKTDTRPEPPVIPKKPEPEPEPPLLTLDDLFRKTKATPRIYYLPLSEEEVAAKITAEKQRRRS >DRNTG_22481.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2638982:2641315:-1 gene:DRNTG_22481 transcript:DRNTG_22481.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty-acid-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT3G63170) UniProtKB/Swiss-Prot;Acc:Q9M1X2] MVLRAFSLKTRGLNFDLERKPKFRSFLAMVSLRFPFSFSGSPNPLPNPNRSPRSSRRFSPAIAFAAAAAFGVGMSFAVGRKLSTNQTDGSAEKPSRSEAPVWASLSLTGAPAETAVEPRTGVAFPAVLDGSRRLLGVGLRRKNILGLKNIDVYAFGVYAEESDVQKLCEKYGSLSTSELKENQEFFADVLDQDLRMTVRLQIVYGRLSIRSVRSAFEESVGSRLQKFSGSKNEELLKRFTSLFKDEQKLPPGSTIDLSREEGYILRTKIDGKEMGSIQSKLLCRSVLDLYIGDDPFDKLAKENVDRGLVSLLQN >DRNTG_12755.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25875725:25876578:-1 gene:DRNTG_12755 transcript:DRNTG_12755.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHHLLQRTQSKALEAHHKNSNNQTLEHA >DRNTG_12755.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25875725:25876578:-1 gene:DRNTG_12755 transcript:DRNTG_12755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHHLLQRTQSKALEAHHKNSNNQTLEHA >DRNTG_09382.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15488180:15499018:-1 gene:DRNTG_09382 transcript:DRNTG_09382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSPVHFIRVNTRLESTVSPLLAPSCRRSMVLITCNKHVVLSPVHFIGFVLKITSQHLEPSIVIGKAMSTVVLASRKGYLCPKVFTLRSGRELTSILTQVYEKASPEPEVVIMKDTSIGGNGEQNHFPASGAQHDHQQGHEHGRACIEKGNPIRYNLLFVRPMHLRLLKGHITTTQMKAIGRVADDIDSARYTLGLAEESFEEDWLLETIITE >DRNTG_12307.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29644380:29646600:1 gene:DRNTG_12307 transcript:DRNTG_12307.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVKHLLKLPGADERLRLYKADLSDEGSFDEAINGCVGVFHVATPMDLQVKDHENELIKPTIEGVVNLLKSCLKAKTVKKVVYTSTAGTVNMQPIRKSVYDETSWTDTEFCKTVKMTAWTYFYAKTIAEKTAFEFAENNGLDLISVIPTLVNGPFLMSTMPPSMLTALALITRNVPFYYILNPIQFVHLDDLCRAHVFLFEHPEAKGRYICSSHDVTITELADLLRKKYPEYEIPIEFEGIDKVNDVIKFSSKKLMDLGFEFKYSLEDMYDGVFQSCKEKGLLPPLPKKI >DRNTG_12307.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29644380:29646600:1 gene:DRNTG_12307 transcript:DRNTG_12307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKGCVCVTGASGFIGSWLTMQLLQQGYSVNATVRDPKNMTKVKHLLKLPGADERLRLYKADLSDEGSFDEAINGCVGVFHVATPMDLQVKDHENELIKPTIEGVVNLLKSCLKAKTVKKVVYTSTAGTVNMQPIRKSVYDETSWTDTEFCKTVKMTAWTYFYAKTIAEKTAFEFAENNGLDLISVIPTLVNGPFLMSTMPPSMLTALALITRNVPFYYILNPIQFVHLDDLCRAHVFLFEHPEAKGRYICSSHDVTITELADLLRKKYPEYEIPIEFEGIDKVNDVIKFSSKKLMDLGFEFKYSLEDMYDGVFQSCKEKGLLPPLPKKI >DRNTG_03552.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21593660:21594148:1 gene:DRNTG_03552 transcript:DRNTG_03552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILKFAFILASIMAIAMINKTIAQNSQADYTDGHNAARKAVGVGPVTWDDEVAAYAQDYAN >DRNTG_01653.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12657334:12657693:-1 gene:DRNTG_01653 transcript:DRNTG_01653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKAYGCKDTCKGHPEVLTTIVYSCKGNHKKHDNTVCGPALIAIRWTTTQIEDLTDRAYDCKSSRKTCDNLLQLLSGIALMPISSQVEEEKS >DRNTG_10710.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000452.1:11221:19975:-1 gene:DRNTG_10710 transcript:DRNTG_10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVMPRHTGWHQKSNIIVYVKKFACLDLNEIKKKIQKLHTRRR >DRNTG_00315.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2197182:2197784:-1 gene:DRNTG_00315 transcript:DRNTG_00315.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNNNNNNNNNNKKKKKKKRGFGVVLRRCELKLKNV >DRNTG_00315.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2197182:2198326:-1 gene:DRNTG_00315 transcript:DRNTG_00315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNNNNNNNNNNKKKKKKKRGFGVVLRRCELKLKNV >DRNTG_00315.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2197182:2198326:-1 gene:DRNTG_00315 transcript:DRNTG_00315.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNNNNNNNNNNKKKKKKKRGFGVVLRRCELKLKNV >DRNTG_16900.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6422616:6423909:-1 gene:DRNTG_16900 transcript:DRNTG_16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVANPEFTLVKRSAEPLTGVLLSGVPSEEPSPVQPPSPPRQEVNSGHEPAILASVHAPGNLEPAPNIGTPGITPLLRLSKALRNMGMPRVISRHTRNTVTSWVIGVCLGGSPILSQYLCHHHHSEFLQFNKH >DRNTG_17709.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4007202:4008445:1 gene:DRNTG_17709 transcript:DRNTG_17709.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRDQLGQGVRSVKHQSPSNTQKLHALVLSISSTPLMCVSVFSSMHVTVTEG >DRNTG_07176.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17269472:17273904:-1 gene:DRNTG_07176 transcript:DRNTG_07176.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLISLTRDYIGRMLQDISGMKVLVLDSHTVPDASSAQQTPRGNNHSHESSHQVNPNASSKLLLSPNEKKA >DRNTG_07176.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17269472:17273904:-1 gene:DRNTG_07176 transcript:DRNTG_07176.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLISLTRDYIGRMLQDISGMKVLVLDSHTVPDASSAQQTPRGNNHSHESSHQVNPNASSDAV >DRNTG_07176.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17269472:17273904:-1 gene:DRNTG_07176 transcript:DRNTG_07176.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLISLTRDYIGRMLQDISGMKVLVLDSHTVPDASSAQQTPRGNNHSHESSHQVMPFRR >DRNTG_17225.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2693151:2693623:1 gene:DRNTG_17225 transcript:DRNTG_17225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLPCTIIIFQVGIQECITTRWPLYAYLFGVMFCLLTSSACHLLSCHSEHCAYTMLRLDYTGIS >DRNTG_04452.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23988924:23994469:-1 gene:DRNTG_04452 transcript:DRNTG_04452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSKSESVMSCSTCGGGHENLQASVQSLEHQVRQLAKANSERPSGSLPSNTKENPRKHLKAIALRSEKQVETRVGVDPSVKETRVAEAQFVHLGAIFMGPYITRSIRGMNLFDHTRGITMVGEVAPLIAPVLRAIGLLQKKGGTCKLASHLTIGTSSLQDHHESESESDDAPTQDPPGTSFPSDFDSRFKGMEDDIQVIRHEQREMRGQIYQVLENQHQFTKHFQQFVISSRGSSSQATTASCSISPLPAAPLYDIFHF >DRNTG_23154.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1889385:1891291:-1 gene:DRNTG_23154 transcript:DRNTG_23154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCSRGDVRNGGVALREEDGDDGDFLEDEGSGSVRLGGSCKFVSMYSQQGWKGVNQDAMTIWKDFGGSKDDVFCGVFDGHGPLGHKVAHHVRDVLPSKISPGLRSSQFLEDCDVDKWFSASKDIFVKAFEELDEELAHSSSIDCICSGTTAVSIVKQKDRLIIANLGDSRAVLGTRDDKNQLIPVQLTVDLKPNLPSEEERIRSCRGRVFALEEEPDVHRLWMPDEDCPGLAMARAFGDFCLKNFGLISTPQVTHRELSDKDEFVVLATDGVWDVLSNKEVVKIVSSTSKRSDVARRLVERAVRAWRSKHPTSKVDDCAVICLFLRRVSSLNVVDDNSSLSTFESFKTARSEPSDSEEMMTVEVKEEWSALEGLSRANSLLKLPRFGRVFSWKKKSTSLDEDHKE >DRNTG_23154.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1889924:1890436:-1 gene:DRNTG_23154 transcript:DRNTG_23154.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDEDCPGLAMARAFGDFCLKNFGLISTPQVTHRELSDKDEFVVLATDG >DRNTG_14217.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15937055:15937759:-1 gene:DRNTG_14217 transcript:DRNTG_14217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEMDHNRGNTPLTLIDLTRACGKYPRPCARLTGADTRPCGFPGHPRKISSRPHARAEIPHGCGHSQASTHRGARTPLCFLEMKGATCRVSHGHAEITHARAWFTRSSTGASPGPCVFSGKSAQLCRKAHAMWKLPTGVRQSHGSPQGQPHAPVPSLEEFTVHTHGRVEFPHARVFSLDDLEKPTGSAELA >DRNTG_28918.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22027230:22032974:-1 gene:DRNTG_28918 transcript:DRNTG_28918.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 9 [Source:Projected from Arabidopsis thaliana (AT2G31260) UniProtKB/Swiss-Prot;Acc:Q8RUS5] MMSGIRRDGTAPSRFRWQWPSGSSLNTHLLKDVPPEIELSDYQRLPQSGNESPSGLLNGDGLEVESIADLDLFFERLYSYHREKGLRCIIIKWIFEILTVIFVTCFIGFCFLVINWNYLWNAKCGIDAFESGNRTCDLAKEAFNQHPLAPFTITKGIIIGSMALLTFHGVLNFLQFIVQFQYMVKIRKFYYNSLKVTDLEIQTTSWQEILDKVVRLQESQKICVVKDLSAHDVMMRIMRKENYLIGMLNKGVLAFSIPWWVPGAGPTVNSRSNGRQNRLILTKAFEWVLNWCIFQSMFDSKFCIRRDYLANPSLLRKRLMVIGVVIFILSPCLVIFMLVYLFLRHAEQFYHHPSTASSRRWSNLAKWIFREFNEVDHLFKHRMNNSVVHASNYLKQFPSPLVTIIAKFISFVSGGFAAILIIIAILDESLLEGHIYGRNLFWYAAVFGTVTAISRALVSDELQVLDQEGAMSLVVQHTHYMPKRWRGKANSDLVRKEFENLFQYTGMLLLEEMASIFVTPYLLIFVLPKHVDDILRFISDFTVDIEGVGHVCSLSVFDFECHGNRKYGSPCDAPREKRSSQGKMEKSFLSFHSAYPSWEPNSQGQQFLSTLRSFREKQMHRMTYQDYSVNQANQFTPVLGGHGELAYRPVQDGVHQTGYNLGTLWMINVDQRTHPYLLDWYYVSPPSETVQNLNDIPLSSGIGLRQHDDPWQPDNHLQIDPEDNWGLLFSDRMQSHSGASTSSPFLTNSILHHQNPSQSHLEASMPSPFLRDSILNHQNPRQHDFQRPWWARTGPDEPHSLGPPGSFLEPAPFIGHHNFSHHSDDLSNKSVDESQHDGSGDGGSADPKSLSKTYYMEDSDKTEGFHRPYVDSYGPPLKTLPVRILPRSIDPV >DRNTG_28918.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22027230:22034478:-1 gene:DRNTG_28918 transcript:DRNTG_28918.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 9 [Source:Projected from Arabidopsis thaliana (AT2G31260) UniProtKB/Swiss-Prot;Acc:Q8RUS5] MMSGIRRDGTAPSRFRWQWPSGSSLNTHLLKDVPPEIELSDYQRLPQSGNESPSGLLNGDGLEVESIADLDLFFERLYSYHREKGLRCIIIKWIFEILTVIFVTCFIGFCFLVINWNYLWNAKCGIDAFESGNRTCDLAKEAFNQHPLAPFTITKGIIIGSMALLTFHGVLNFLQFIVQFQYMVKIRKFYYNSLKVTDLEIQTTSWQEILDKVVRLQESQKICVVKDLSAHDVMMRIMRKENYLIGMLNKGVLAFSIPWWVPGAGPTVNSRSNGRQNRLILTKAFEWVLNWCIFQSMFDSKFCIRRDYLANPSLLRKRLMVIGVVIFILSPCLVIFMLVYLFLRHAEQFYHHPSTASSRRWSNLAKWIFREFNEVDHLFKHRMNNSVVHASNYLKQFPSPLVTIIAKFISFVSGGFAAILIIIAILDESLLEGHIYGRNLFWYAAVFGTVTAISRALVSDELQVLDQEGAMSLVVQHTHYMPKRWRGKANSDLVRKEFENLFQYTGMLLLEEMASIFVTPYLLIFVLPKHVDDILRFISDFTVDIEGVGHVCSLSVFDFECHGNRKYGSPCDAPREKRSSQGKMEKSFLSFHSAYPSWEPNSQGQQFLSTLRSFREKQMHRMTYQDYSVNQANQFTPVLGGHGELAYRPVQDGVHQTGYNLGTLWMINVDQRTHPYLLDWYYVSPPSETVQNLNDIPLSSGIGLRQHDDPWQPDNHLQIDPEDNWGLLFSDRMQSHSGASTSSPFLTNSILHHQNPSQSHLEASMPSPFLRDSILNHQNPRQHDFQRPWWARTGPDEPHSLGPPGSFLEPAPFIGHHNFSHHSDDLSNKSVDESQHDGSGDGGSADPKSLSKTYYMEDSDKTEGFHRPYVDSYGPPLKTLPVRILPRSIDPV >DRNTG_28918.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22027230:22034478:-1 gene:DRNTG_28918 transcript:DRNTG_28918.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 9 [Source:Projected from Arabidopsis thaliana (AT2G31260) UniProtKB/Swiss-Prot;Acc:Q8RUS5] MMSGIRRDGTAPSRFRWQWPSGSSLNTHLLKDVPPEIELSDYQRLPQSGNESPSGLLNGDGLEVESIADLDLFFERLYSYHREKGLRCIIIKWIFEILTVIFVTCFIGFCFLVINWNYLWNAKCGIDAFESGNRTCDLAKEAFNQHPLAPFTITKGIIIGSMALLTFHGVLNFLQFIVQFQYMVKIRKFYYNSLKVTDLEIQTTSWQEILDKVVRLQESQKICVVKDLSAHDVMMRIMRKENYLIGMLNKGVLAFSIPWWVPGAGPTVNSRSNGRQNRLILTKAFEWVLNWCIFQSMFDSKFCIRRDYLANPSLLRKRLMVIGVVIFILSPCLVIFMLVYLFLRHAEQFYHHPSTASSRRWSNLAKWIFREFNEVDHLFKHRMNNSVVHASNYLKQFPSPLVTIIAKFISFVSGGFAAILIIIAILDESLLEGHIYGRNLFWYAAVFGTVTAISRALVSDELQVLDQEGAMSLVVQHTHYMPKRWRGKANSDLVRKEFENLFQYTGMLLLEEMASIFVTPYLLIFVLPKHVDDILRFISDFTVDIEGVGHVCSLSVFDFECHGNRKYGSPCDAPREKRSSQGKMEKSFLSFHSAYPSWEPNSQGQQFLSTLRSFREKQMHRMTYQDYSVNQANQFTPVLGGHGELAYRPVQDGVHQTGYNLGTLWMINVDQRTHPYLLDWYYVSPPSETVQNLNDIPLSSGIGLRQHDDPWQPDNHLQIDPEDNWGLLFSDRMQSHSGASTSSPFLTNSILHHQNPSQSHLEASMPSPFLRDSILNHQNPRQHDFQRPWWARTGPDEPHSLGPPGSFLEPAPFIGHHNFSHHSDDLSNKSVDESQHDGSGDGGSADPKSLSKTYYMEDSDKTEGFHRPYVDSYGPPLKTLPVRILPRSIDPV >DRNTG_23540.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22350527:22355425:1 gene:DRNTG_23540 transcript:DRNTG_23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDATGTPRIPSPMAPQDPLVLEEDNLGKKQIRVSNDEFMDEKENVSAGNALVVPRETPIMPMSKRKAKGFNLRKSLAWNKAFFTEEGVLDPMEMSTLTGSVTKASGSFQPGAKGVISPLTRFNVSSERSRPVLQDLGEKICDAWSAKHSVKDVKADKVSSMHREEPEIDAVSVKKSPQKGLLRTVLQSPFLSSQKRVANTSRTNPASKIPKVIHTKTSLPLAFKSSTMGTKGSKCSQSIVVVSTVQSTSLIRGISPDSDKDVGSSSTSDKDSDGFKMSMVEKASDTSKINQDPFFQRKMHEPITSKGFRKPAPSVQNNDHRICRGLPAGPAVAHVKPSGLRLPMPTMGFFSQGKVSPKYNSQPQKAAQPFISKIPPPRKFTNEKPLGESRPTTTQKLKTPTVTTKSTSAHVASSRSQAISAQNCSKPSTAALGRQPDMKLRVRMLSPHDVDREGAFSSGSSNCATNQVSVQMEVADGRIANPSLETSFSGNVRDANQLIENYGEHEILCSNFSTQVHNSSKLQESDLNQQQACDSVELKLRMLPPHDVDRECAFSSGSSNCPTNQEPEQMEVADSRIANPSLEASFSGNARDANQLIEIENYGEHEILCSNFSTQVHNLSKLQESDLNQQQQAFDSVNILAKNPEVQNSKDGSFSVTVEDKHTVGTVGNPTCELECGFTTNRDDHGASMVVESSLRLNVESMAENNDISSNLNLDLSSSQGTGIFVKSLVRETSHQTGVAAHRDDAVIPHLQEKHSAGATSDILSLKKPINAVPFSDEWLAAVESYGEGILEQKTGPVQNSPPEKVLSEPGPWSPVKRKAQDIGPFDCTKYSKDLSASETN >DRNTG_23540.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22350527:22352503:1 gene:DRNTG_23540 transcript:DRNTG_23540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDATGTPRIPSPMAPQDPLVLEEDNLGKKQIRVSNDEFMDEKENVSAGNALVVPRETPIMPMSKRKAKGFNLRKSLAWNKAFFTEEGVLDPMEMSTLTGSVTKASGSFQPGAKGVISPLTRFNVSSERSRPVLQDLGEKICDAWSAKHSVKDVKADKVSSMHREEPEIDAVSVKKSPQKGLLRTVLQSPFLSSQKRVANTSRTNPASKIPKVIHTKTSLPLAFKSSTMGTKGSKCSQSIVVVSTVQSTSLIRGISPDSDKDVGSSSTSDKDSDGFKMSMVEKASDTSKINQDPFFQRKMHEPITSKGFRKPAPSVQNNDHRICRGLPAGPAVAHVKPSGLRLPMPTMGFFSQ >DRNTG_23540.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22351987:22352503:1 gene:DRNTG_23540 transcript:DRNTG_23540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVEKASDTSKINQDPFFQRKMHEPITSKGFRKPAPSVQNNDHRICRGLPAGPAVAHVKPSGLRLPMPTMGFFSQ >DRNTG_15318.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4867970:4869090:1 gene:DRNTG_15318 transcript:DRNTG_15318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVSGAKGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGSSIKVIGNTGSNSTREAIHASEQGFAVGMHAALHINPYYGKTSMPGMLSHFETVLSMGPTIIYNVPSRTGQDIPPAVIHAVSQNPNMAGVKECAGNDRIKGYADEGIVTWSGNDDECHDARWMYGATGVISVASNLVPSLMHSLMFDSENSSLNSNIMPLIKWLFHEPNPIALNTALAQLGVVRPVFRLPYVPLPLAKRMEFVRIVQDIGRENFIGEKDVQVLEDDDFVLVDRY >DRNTG_33330.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3419091:3421399:-1 gene:DRNTG_33330 transcript:DRNTG_33330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKNDEDSATPCNEGSRGNEGYGEACTLDGSIDRLGRPARRDKTGRWPSGLVLLVTQGLLSIAFVGVEVNLVLFSARVLKQSNAEAANNFSRWMGTTNLFSLFGAFLSDAYLGRYLTCIIFQVFSIIGLVMLSLTTTFMLLKPHGCGKIGFSCDPHSALEMAMFYISIYMVALGYGASEPSLATFGSNQFDEEDPEEKTAKNSFYSYFYVATNIGSLFAETVLAYIQNLGQWTSAFMVATSCSVVAYVMLLSGSVRYRHFKTGGNPLARFCQVLVAAWKKSKVEIPLEDDKLYEVNKRNGSGQGGRRILHTKGFRFFDHAAIITNKDQIMGSNRNNEHNPWNLCTVTQVEEVKCIMRLLPIWLCTIFFSVIIVQMASLFVEQGAAMKTTINNFHIPPASMTVFDIVSVSFFIIFFNKLIAPVYRKITKMTPEGPSEITKMGIGLVIAFIGMITAGLVEQKRLKHAQKGGPELSSLSIFWQVPQYVLIGVSEAFVYVGQLDFFGSQTPDGMKSIGIGLCMFSNFLGSYLCSFIVSIVMEVTTKGGRAGWIPANLNDGHLDRFFFLMAGMTIFEFIGFIWCARHFKFISLESKSVAGDQDSVDIT >DRNTG_10144.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19206980:19210147:-1 gene:DRNTG_10144 transcript:DRNTG_10144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLTLSFLILLPLLFLNPNISSSADQSSEQNNNIILLSCGLPTPNRSDGTRTWTSDNGTIYSPSLNSDGTFNANSQQSGVPQVPYLTARIFTSNFTYRFPLKPGRIFLRLYFYPSDYSHFSASNALFSVTAGTTTLLNNFSVSQTADALTYSYLILEFSLNITSSPLNLTFSPSPSSNRSYYALINGIEIVSSDGLFTFNSSGIVNGASPLLVSGGSVMPYFFDPSWALQTVYRLNVGGNDISPEQDSGTLYRSWKKDDMYIEGAGYGVTFTADYNVSINYSKSLPEYIAPKTVYSDARSMGPNPAINLQSNLSWILPVDNGFYYLVRFHFCEIQNEFTVTNQRSFYIYINNQTAQAETDVIAMSGGLGNPIYQDFVTVMPGKGRSNLWIELHPDTTTKPEYYDAILNGLEVFKLEDGNDNLAGPNPDPASKSDGDGSRVFPAKLSSHNDSHKVPVIAGGVVGGAAVIVSILLFIFRRRLKKKTVAGAGHDKEPPSWSPLAVFDTSHSKTSGETATTGSTPKSFPSNLCRHFTFTEILVATDGFSEDLLIGVGGFGKVFKGELPGVGGRTTMVAIKRGNPMAEQGVHEFQTEIEMLSKLRHRHLVSLIGYCDDNNEMILVYDFMSHGTLREHLYKSSRPPLPWKVRLEICIGAARGLHYLHTGAKNTIIHRDVKTTNILLDDKWIAKVSDFGLSKADLSLDNTHVSTVVKGTMGYLDPEYYRRQQLTEKSDVYSFGVVLLEVLCARPPIMTSLPREQVSLAEWVMQCKEKGMLESIIDPYLDGRIARQCLKTYAETAGKCLSDKGSERPSMGDVLWNLEFALQLQESAEDSSGLIGKGSSKGNGGDGGSGGGGLDSRSGEEMSITTSSSNVISGTTSSSEQSFGSQGSSGLTPTGVFSMLANPKGR >DRNTG_22153.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3850101:3854426:1 gene:DRNTG_22153 transcript:DRNTG_22153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALRRSQTSSSSSSLPSSSSSSSSSLSSSSSPHSSSSSSPSWVPLRSLLIVVSSSPAPDRGSIRSPWSRRKRKHALSRQQWNSFFNPDGTLRDRGVKMFKKIRSGGVDPSIRAEVWPFLLGVYDLNSSESERSDVRIKNRKKYEKLRRLCCKLVERCVKQLKEMGEGGNVECGSGLDGSESSSSENFISARPSLFTERDGMVDSAADLPQNVETLESYSCHIEEDEGKSGVTHLDTSPKEIEPSDTDSSVDDEIETIQITSLARANEENGQEATGPDSDDTDTRKPKKTAEDFETWQRIIRLDAIRANDEWIKYSKTQATVSEDAAQQAAIAVGLKDYEHLEPCRIYHAARLVAVLEAYAIYDPEIGYCQGMSDLLTPIVTVMDKDDEAFWCFVGFMKKARHNFRLDEVGIRRQLSIVSKIIKCKDTHLFRHLEKLQAEDCFFVYRMVVVMFRRELTFEQTVCLWEVMWADQAAIRAGIGKSAWGRIRSQAPPTDDLLLYAIAASVLQRRKLIIEKYSSMDEIIRECNSMAGHLDVWKLLDDAHDLVVTLHDKIG >DRNTG_22153.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3850101:3854426:1 gene:DRNTG_22153 transcript:DRNTG_22153.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGWVQRVASSLGGGVGGGGVGGTESRWDVSVAVGVTAMAGVALAAAIIITSRRGSIRSPWSRRKRKHALSRQQWNSFFNPDGTLRDRGVKMFKKIRSGGVDPSIRAEVWPFLLGVYDLNSSESERSDVRIKNRKKYEKLRRLCCKLVERCVKQLKEMGEGGNVECGSGLDGSESSSSENFISARPSLFTERDGMVDSAADLPQNVETLESYSCHIEEDEGKSGVTHLDTSPKEIEPSDTDSSVDDEIETIQITSLARANEENGQEATGPDSDDTDTRKPKKTAEDFETWQRIIRLDAIRANDEWIKYSKTQATVSEDAAQQAAIAVGLKDYEHLEPCRIYHAARLVAVLEAYAIYDPEIGYCQGMSDLLTPIVTVMDKDDEAFWCFVGFMKKARHNFRLDEVGIRRQLSIVSKIIKCKDTHLFRHLEKLQAEDCFFVYRMVVVMFRRELTFEQTVCLWEVMWADQAAIRAGIGKSAWGRIRSQAPPTDDLLLYAIAASVLQRRKLIIEKYSSMDEIIRECNSMAGHLDVWKLLDDAHDLVVTLHDKIG >DRNTG_00802.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2392755:2397001:1 gene:DRNTG_00802 transcript:DRNTG_00802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSPPILPWISVVLMLLFIAGECFYLPGVAPADFRKKDPLIVKVNKLTSTKTQLPYSYYSLPYCKPDTIVDSAENLGEVLRGDRIENSPYVFEMREPQMCNIVCKIKLNDKEVKEFVEKIDDDYRVNMILDNLPLVVPAQRVNQDSTIIYQLGFFVGLKLSFSGSKDYKYFINNHLSFTVKYHKDEEMDLARIVGFEVKPFSVKHVFEGQWSGNTTRLTTCDPHAKQTVVNSNNPQEIEADKDIIFTYDVEFKESDVKWASRWDTYLLMADDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETQEEAQEETGWKLVHADVFRPPTNSDLLCVYVGTGVQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLLWVFMGILAGYSSARLYKMFKGTEWKKVTLKTAFMFPGIVFGVFFILNALIWGEKSSGAVPFTTMSALVLLWFGISVPLVFVGSYVGFKKPVIEDPVRTNKIPRQIPEQAWYMNPIFSMLIGGILPFGAVFIELFFILTSIWLNQFYYIFLDSSSLCF >DRNTG_15354.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26683808:26685422:-1 gene:DRNTG_15354 transcript:DRNTG_15354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECGNTVRHLSVMMANDISSFGSIRVSGMLRSLLLAARYPINLNVMTEMFLRLRVTYLRVLDLSSTGIDELPDSIGGLKHLRYLSLTATSIRRLPPSLCSLYNLQTLEMRECHFLEEIPQDIVNLSNLLHLDIFKSAFANISRMYFSSQIDETPTLPASIGRLTSLQTLPQFMVTEDSGCARLAELKDLNQLDGLLCIVNLQKLVHSKHEAKEANLRSKKNITDLVLHWRRSDDTMFFAEGGDRTIDEDDIVVLENLQPHTNIRGLKIVGYSATIFPNWVGNLTFSCLEEVELSHCNSCQYLPHLSLLPSLKRLQVSYMKLFKQWGREPNDAREGTYFPCLQELSIDNCYSLPSISLHGLTALEKLHINNCKEFKAIGGLNSHLTSVKTLTFKYCSELQFVEEQELPVKLKEMRAIGCPLLQEWCQRHRGEFPNVSLSFKAESFMGGRQSPYASPGLNVALYLSHISQ >DRNTG_19696.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001041.1:5031:6589:-1 gene:DRNTG_19696 transcript:DRNTG_19696.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQHSRMLRGVGVPSEEPLPVQPLSPPRQEVSSGYEPAVTELVHAPGVPDLVPNTATPGHIFYNSLMKNTTLGFIMTRNDKITGNQSFKEVVQLALRAEKLVIKGQVGSHKGTTSVSSSFVGKSTRNAPRCPNCNRFHLGRCREPRRC >DRNTG_19005.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22631939:22633741:-1 gene:DRNTG_19005 transcript:DRNTG_19005.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKERQRWRTEEDNLLRAYVKQYGPREWHLVSQRMNVPLDRDAKSCLERWKNYLKPGIKKGSLSEEEQRLVIRLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQRELKDSNKPIVIEQGKYDSILENFAEKLVKDRRITPLLMATPLIPPWLSNSNTSPAVRQPSSPSVTLTLSPAAIPSAPPPSWLQAERGAENGLGLSNVPNNMIPSVPTGNGQMVSELVECCRELEQGHRAWVSHRKETAWRLKRVELQLESEKQCKRREKFEEFEAKMRALREEQQLVLERIEAEYREQILGLRREAEVKEQKLAEQWAAKHMQLSKFLEQMGCRQWPGTEMNGR >DRNTG_19005.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22631939:22634006:-1 gene:DRNTG_19005 transcript:DRNTG_19005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERQRWRTEEDNLLRAYVKQYGPREWHLVSQRMNVPLDRDAKSCLERWKNYLKPGIKKGSLSEEEQRLVIRLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQRELKDSNKPIVIEQGKYDSILENFAEKLVKDRRITPLLMATPLIPPWLSNSNTSPAVRQPSSPSVTLTLSPAAIPSAPPPSWLQAERGAENGLGLSNVPNNMIPSVPTGNGQMVSELVECCRELEQGHRAWVSHRKETAWRLKRVELQLESEKQCKRREKFEEFEAKMRALREEQQLVLERIEAEYREQILGLRREAEVKEQKLAEQWAAKHMQLSKFLEQMGCRQWPGTEMNGR >DRNTG_19005.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22631939:22633646:-1 gene:DRNTG_19005 transcript:DRNTG_19005.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKERQRWRTEEDNLLRAYVKQYGPREWHLVSQRMNVPLDRDAKSCLERWKNYLKPGIKKGSLSEEEQRLVIRLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQRELKDSNKPIVIEQGKYDSILENFAEKLVKDRRITPLLMATPLIPPWLSNSNTSPAVRQPSSPSVTLTLSPAAIPSAPPPSWLQAERGAENGLGLSNVPNNMIPSVPTGNGQMVSELVECCRELEQGHRAWVSHRKETAWRLKRVELQLESEKQCKRREKFEEFEAKMRALREEQQLVLERIEAEYREQILGLRREAEVKEQKLAEQWAAKHMQLSKFLEQMGCRQWPGTEMNGR >DRNTG_13972.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21546546:21549719:-1 gene:DRNTG_13972 transcript:DRNTG_13972.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAMRHCNSVPYLVICLGCLCFGELCMSCTESERNSLLMFKTGLQDPLQLLSSWTGEDCCAWRGVECSNTSMHVVKLDLRYHHLFHGLTNGGRLGGEINPSLLGLQHLNYLDLSFNNFRGTEIPSFIGSLSGLTYLNLSNAGFNGGIPPQLGNLSSLSYLDLNSFYSMYALYSGSLQWLSSLSSLQYLDMSGVNLAIVSSDDLFHAFNMLPVLSVLILPNCQLHLLSPSSHSFLNLSRSSLTSIDLSNNQINSTFPFWLTNCSRLVHLDLWLNHFHGVIPEAIGNMKSLEVIQLGLNDFVGPLPTSIRDLCNLHTLDISFNNLGEETSTLSRIFSGCAGNTIETLNLRNSNLRGELSGWLGKLKGITILDLGNNSLYGPIPASIGNLSNLRILYLTYNGLNGTLPESIGQLSELKVLEITCNSLTGVISEAHFANLSSLESVSMSSNSLVVNISRDWLPPFRLIAISFGSVLSGAKISRMAQNTERFLHAKFAKYWNYRNLA >DRNTG_13769.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5469510:5472606:-1 gene:DRNTG_13769 transcript:DRNTG_13769.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGIFGRIMKRHMYNPSCVKLLSKHMYPEEVFNDVNPDRAFTLWRFRNMYVEGNDVQKFQYSKVENYKNSTAKEQPKQIISSQGSEMIADPLDCIFGDLESGKEISHSNNVSKRSRRRLRGHKRAHRHYHHHHREANQM >DRNTG_13769.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5469510:5472606:-1 gene:DRNTG_13769 transcript:DRNTG_13769.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHMYNPSCVKLLSKHMYPEEVFNDVNPDRAFTLWRFRNMYVEGNDVQKFQYSKVENYKNSTAKEQPKQIISSQGSEMIADPLDCIFGDLESGKEISHSNNVSKRSRRRLRGHKRAHRHYHHHHREANQM >DRNTG_13769.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5469510:5472606:-1 gene:DRNTG_13769 transcript:DRNTG_13769.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHMYNPSCVKLLSKHMYPEEVFNDVNPDRAFTLWRFRNMYVEGNDVQKFQYSKVENYKNSTAKEQPKQIISSQGSEMIADPLDCIFGDLESGKEISHSNNVSKRSRRRLRGHKRAHRHYHHHHREANQM >DRNTG_19050.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000976.1:16438:17136:-1 gene:DRNTG_19050 transcript:DRNTG_19050.3 gene_biotype:protein_coding transcript_biotype:protein_coding QAKNRIRPSTNEKIIQTDSTRRQQHNINRIIENNKRKIRTKLQIETNATLHLLITDTFSLPRVHLDDKIELFTKAVAEQLIPRSAPTSSKSLRAASISASTSASSPILPNPIDKASA >DRNTG_19050.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000976.1:11276:17699:-1 gene:DRNTG_19050 transcript:DRNTG_19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding THIILITDTFSLPRVHLDDKIELFTKAVAEQLIPRSAPTSSKSLRAASISASTSASSPILPNPIDKASA >DRNTG_19050.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000976.1:11276:17136:-1 gene:DRNTG_19050 transcript:DRNTG_19050.2 gene_biotype:protein_coding transcript_biotype:protein_coding QAKNRIRPSTNEKIIQTDSTRRQQHNINRIIENNKRKIRTKLQIETNATLHLLITDTFSLPRVHLDDKIELFTKAVAEQLIPRSAPTSSKSLRAASISASTSASSPILPNPIDKASA >DRNTG_24217.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22629244:22633140:-1 gene:DRNTG_24217 transcript:DRNTG_24217.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDSGSAPRPPPTLTLPPRANLESLFRGGGGGVGLSPGPMTLVSSFFAEDPGSDCRSFSELLAGAIASPQAAQFRRPAMVAGEEKPVEEKRGEDGSGISQQQQPSPSQAPLLVVPSPAFFMVPPGLSPSGLLDSPAFFSSARFGMSHQEALAQVTAQAVQFQSQVQPHEYLPSLSTTTTASQTQLPAQEVLPVTSDSENTAFESAEVSHSEHKSQSTTLADKPTDDGYNWRKYGQKQVKGSEFPRSYYKCTHPNCPVKKKVERSLEGQVTEIIYKGQHNHQRPPPNKRAKEGSALPPGSNAINGNTDYHANSERPSKSHLNRSNDAHVSASKRGRESNYGTPEHVSGSSDGEELVEAEVRTDEADANESDMKRRNVEPKVSETASSQKTMTESRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPNPRSYYKCTYSGCNVRKHVERASTDPKAVITTYEGKHNHDVPAARSSSHNTANAAAAAAAAAAAANVIQPKAQNQAYLNRRDYRKNNHHQAMKEEEEIT >DRNTG_24217.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22629042:22633118:-1 gene:DRNTG_24217 transcript:DRNTG_24217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDSGSAPRPPPTLTLPPRANLESLFRGGGGGVGLSPGPMTLVSSFFAEDPGSDCRSFSELLAGAIASPQAAQFRRPAMVAGEEKPVEEKRGEDGSGISQQQQPSPSQAPLLVVPSPAFFMVPPGLSPSGLLDSPAFFSSARFGMSHQEALAQVTAQAVQFQSQVQPHEYLPSLSTTTTASQTQLPAQEVLPVTSDSENTAFESAEVSHSEHKSQSTTLADKPTDDGYNWRKYGQKQVKGSEFPRSYYKCTHPNCPVKKKVERSLEGQVTEIIYKGQHNHQRPPPNKRAKEGSALPPGSNAINGNTDYHANSERPSKSHLNRSNDAHVSASKRGRESNYGTPEHVSGSSDGEELVEAEVRTDEADANESDMKRRNVEPKVSETASSQKTMTESRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPNPRSYYKCTYSGCNVRKHVERASTDPKAVITTYEGKHNHDVPAARSSSHNTANAAAAAAAAAAAANVIQPKAQNQAYLNRRDYRKNNHHQAMKEEEEIT >DRNTG_24217.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22629210:22633140:-1 gene:DRNTG_24217 transcript:DRNTG_24217.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDSGSAPRPPPTLTLPPRANLESLFRGGGGGVGLSPGPMTLVSSFFAEDPGSDCRSFSELLAGAIASPQAAQFRRPAMVAGEEKPVEEKRGEDGSGISQQQQPSPSQAPLLVVPSPAFFMVPPGLSPSGLLDSPAFFSSARFGMSHQEALAQVTAQAVQFQSQVQPHEYLPSLSTTTTASQTQLPAQEVLPVTSDSENTAFESAEVSHSEHKSQSTTLADKPTDDGYNWRKYGQKQVKGSEFPRSYYKCTHPNCPVKKKVERSLEGQVTEIIYKGQHNHQRPPPNKRAKEGSALPPGSNAINGNTDYHANSERPSKSHLNRSNDAHVSASKRGRESNYGTPEHVSGSSDGEELVEAEVRTDEADANESDMKRRNVEPKVSETASSQKTMTESRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPNPRSYYKCTYSGCNVRKHVERASTDPKAVITTYEGKHNHDVPAARSSSHNTANAAAAAAAAAAAANVIQPKAQNQAYLNRRDYRKNNHHQAMKEEEEIT >DRNTG_24217.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22629210:22633118:-1 gene:DRNTG_24217 transcript:DRNTG_24217.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDSGSAPRPPPTLTLPPRANLESLFRGGGGGVGLSPGPMTLVSSFFAEDPGSDCRSFSELLAGAIASPQAAQFRRPAMVAGEEKPVEEKRGEDGSGISQQQQPSPSQAPLLVVPSPAFFMVPPGLSPSGLLDSPAFFSSARFGMSHQEALAQVTAQAVQFQSQVQPHEYLPSLSTTTTASQTQLPAQEVLPVTSDSENTAFESAEVSHSEHKSQSTTLADKPTDDGYNWRKYGQKQVKGSEFPRSYYKCTHPNCPVKKKVERSLEGQVTEIIYKGQHNHQRPPPNKRAKEGSALPPGSNAINGNTDYHANSERPSKSHLNRSNDAHVSASKRGRESNYGTPEHVSGSSDGEELVEAEVRTDEADANESDMKRRNVEPKVSETASSQKTMTESRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPNPRSYYKCTYSGCNVRKHVERASTDPKAVITTYEGKHNHDVPAARSSSHNTANAAAAAAAAAAAANVIQPKAQNQAYLNRRDYRKNNHHQAMKEEEEIT >DRNTG_24217.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22629140:22633140:-1 gene:DRNTG_24217 transcript:DRNTG_24217.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDSGSAPRPPPTLTLPPRANLESLFRGGGGGVGLSPGPMTLVSSFFAEDPGSDCRSFSELLAGAIASPQAAQFRRPAMVAGEEKPVEEKRGEDGSGISQQQQPSPSQAPLLVVPSPAFFMVPPGLSPSGLLDSPAFFSSARFGMSHQEALAQVTAQAVQFQSQVQPHEYLPSLSTTTTASQTQLPAQEVLPVTSDSENTAFESAEVSHSEHKSQSTTLADKPTDDGYNWRKYGQKQVKGSEFPRSYYKCTHPNCPVKKKVERSLEGQVTEIIYKGQHNHQRPPPNKRAKEGSALPPGSNAINGNTDYHANSERPSKSHLNRSNDAHVSASKRGRESNYGTPEHVSGSSDGEELVEAEVRTDEADANESDMKRRNVEPKVSETASSQKTMTESRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPNPRSYYKCTYSGCNVRKHVERASTDPKAVITTYEGKHNHDVPAARSSSHNTANAAAAAAAAAAAANVIQPKAQNQAYLNRRDYRKNNHHQAMKEEEEIT >DRNTG_24217.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22629140:22633118:-1 gene:DRNTG_24217 transcript:DRNTG_24217.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDSGSAPRPPPTLTLPPRANLESLFRGGGGGVGLSPGPMTLVSSFFAEDPGSDCRSFSELLAGAIASPQAAQFRRPAMVAGEEKPVEEKRGEDGSGISQQQQPSPSQAPLLVVPSPAFFMVPPGLSPSGLLDSPAFFSSARFGMSHQEALAQVTAQAVQFQSQVQPHEYLPSLSTTTTASQTQLPAQEVLPVTSDSENTAFESAEVSHSEHKSQSTTLADKPTDDGYNWRKYGQKQVKGSEFPRSYYKCTHPNCPVKKKVERSLEGQVTEIIYKGQHNHQRPPPNKRAKEGSALPPGSNAINGNTDYHANSERPSKSHLNRSNDAHVSASKRGRESNYGTPEHVSGSSDGEELVEAEVRTDEADANESDMKRRNVEPKVSETASSQKTMTESRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPNPRSYYKCTYSGCNVRKHVERASTDPKAVITTYEGKHNHDVPAARSSSHNTANAAAAAAAAAAAANVIQPKAQNQAYLNRRDYRKNNHHQAMKEEEEIT >DRNTG_24217.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22629244:22633118:-1 gene:DRNTG_24217 transcript:DRNTG_24217.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDSGSAPRPPPTLTLPPRANLESLFRGGGGGVGLSPGPMTLVSSFFAEDPGSDCRSFSELLAGAIASPQAAQFRRPAMVAGEEKPVEEKRGEDGSGISQQQQPSPSQAPLLVVPSPAFFMVPPGLSPSGLLDSPAFFSSARFGMSHQEALAQVTAQAVQFQSQVQPHEYLPSLSTTTTASQTQLPAQEVLPVTSDSENTAFESAEVSHSEHKSQSTTLADKPTDDGYNWRKYGQKQVKGSEFPRSYYKCTHPNCPVKKKVERSLEGQVTEIIYKGQHNHQRPPPNKRAKEGSALPPGSNAINGNTDYHANSERPSKSHLNRSNDAHVSASKRGRESNYGTPEHVSGSSDGEELVEAEVRTDEADANESDMKRRNVEPKVSETASSQKTMTESRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPNPRSYYKCTYSGCNVRKHVERASTDPKAVITTYEGKHNHDVPAARSSSHNTANAAAAAAAAAAAANVIQPKAQNQAYLNRRDYRKNNHHQAMKEEEEIT >DRNTG_11447.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19965116:19966564:1 gene:DRNTG_11447 transcript:DRNTG_11447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKYPVLDNRPIDQWKVTELKEELRKRGYPLRGLKEELVRRLDEALRKEADESKMEEEQPAQEEQAEESSGSDPLGNCDKEEVQKPPTGDDARVAVEKNLKTDDGVTTIDVCNSDKDVNQDVQTQDKDEIPTASSTGTVEEMSVNNMPIETSSLAVSESVVTQEGSSAQVTSDNKIMDSEPPLVDVKLSASKQINQVSEVSNLEFQVKCESISTDYVSINEKNEQKDNFNANNFPLELEVVKSEMVQPSSGNCPPIGDYMHPKDGDKEPGESHASLEDRDIKCDTEVVVLDTRNDSMDEGAPEKLNLDRSPNVNSMEEDVLENKHVDSQSIGMEEKSELNKVNDKGDQSAIDVVEVSCTLDKKIIAADEDMQPAPVAGKRQSEGNLFSLLAVFIQFLVNVLFGMSYSVICLLSFNV >DRNTG_15028.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23768365:23768999:1 gene:DRNTG_15028 transcript:DRNTG_15028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKALIQDTDMPVKMQLQAMSSASQALDLYDVSDCRSIATHIKKEFDMVYGPGWQCVVGSNFGCFFTHCKGTFIYFCLETLNFLIFKGAASV >DRNTG_04145.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:9274828:9285772:1 gene:DRNTG_04145 transcript:DRNTG_04145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRKQKSDERGPDSSGGVVLHQKLCLSVDMERRMIFGHTEIQVIPSEAGHIGLHADNMTISRVLVDGELAEFEFVPHFQPLEDEKRWCSVSCVNSAADAASSTYMSSLDDEIVPNLLIAWSKATNSINEQESQHDQSNVGNILPNSLGENGHDGDHFDKNVKLIRIDYSVDKPETGIHFGDNILYSVNQLRRADCWFPCMESSMQRCSFDLEFTVDSNFVAISNGNLLYQVLSRDVPPRVTYVYKLSVPICAKWISLAVAPFEILPDSHNSVITHMCFSQNLSKLQNTIGFFHNAFSHYEDYLSMSFPFGSYKQIFIPPELLTSSVNLGASMCMFSSEILFDEKVIDQTIDTRIKLAYALARQWFGVYIAADEPNDEWLVDGLAGFITDSFVKCFMGNNEARYRRYKANCAVCKADASGATALSSSAASTDLHGTQSIGFYGKIRSWKAIAVLQMLEKQMG >DRNTG_04145.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:9283065:9285772:1 gene:DRNTG_04145 transcript:DRNTG_04145.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNEARYRRYKANCAVCKADASGATALSSSAASTDLHGTQSIGFYGKIRSWKAIAVLQMLEKQMG >DRNTG_01467.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13300316:13302634:1 gene:DRNTG_01467 transcript:DRNTG_01467.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G03690) UniProtKB/TrEMBL;Acc:Q9SS69] MGTKELMFSFMFTSLLLSLLLVPSLLTRPFSKLSPSNSIPSHYHPGNYPVTFAYLISASKDDVNRLKRTLTALYHPANHYLLHLDLEASPEEHTKLAHFVSKHAFFKHFSNVHIIKKSNLVTYRGPTMLATTLHAMSILLKSCHWDWFINLSASDYPLITQDGKI >DRNTG_01467.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13300316:13302634:1 gene:DRNTG_01467 transcript:DRNTG_01467.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G03690) UniProtKB/TrEMBL;Acc:Q9SS69] MGTKELMFSFMFTSLLLSLLLVPSLLTRPFSKLSPSNSIPSHYHPGNYPVTFAYLISASKDDVNRLKRTLTALYHPANHYLLHLDLEASPEEHTKLAHFVSKHAFFKHFSNVHIIKKSNLVTYRGPTMLATTLHAMSILLKSCHWDWFINLSASDYPLITQDDLIHAFSNLPKNLNFIEHTSRLGWKINKRAKPVVIDPGLYSINKSELLWVSKQRSLPTAFKLYTGSAWTVLSRPFAEYCILGWDNLPRTLLLYYTNFISSPEGYFQTVVCNSDEYKNVTVNHDLHYIAWDTPPKQHPLSLGMRDYRKLTLSNAPFARKFKKNDPVLDKIDRELLRRRKGQFSYGGWCSNGKNASCSGSKVSENLGIVRSGPGSRRLKALTTKLLSPRNFYRRQCR >DRNTG_33350.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20675811:20676068:1 gene:DRNTG_33350 transcript:DRNTG_33350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQGSSPPSLALGLHSVKRCSAKT >DRNTG_33350.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20675481:20676068:1 gene:DRNTG_33350 transcript:DRNTG_33350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQGSSPPSLALGLHSVKRCSAKT >DRNTG_10122.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30481604:30482283:-1 gene:DRNTG_10122 transcript:DRNTG_10122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSSRPASGSLPLPPSVRPVSPSPPPPLLNRSRMTSILPPLPSAMTPSLPLPAKSSSALSLVTSLSTAS >DRNTG_32440.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9079169:9081620:1 gene:DRNTG_32440 transcript:DRNTG_32440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPESVKNAAMKCVGVTEMELGRSRQGHSRDDIPMTDQTYDNSCEDDSNMYRVQRTLRKWVTRDEDHLKSEINGKSCNQMEEDTMLLSLLMILKSAALLECRRNAEGKIMRKSRSHVSLKIVEQEKVETIFKQMLAGIMSREKNYRLAIPKQIKEIVSEKGKVLVKPTKVFVTLRKASKGNWLDLHLKKYKLKLNDDADATDGDDATNDDLEDNIDEDRAWDYNMYRSV >DRNTG_09733.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1663537:1664916:-1 gene:DRNTG_09733 transcript:DRNTG_09733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKIFGNHLIQDKQKFLKASENSQKSMVSSNGVLNQGSHEAEAETKSPLIRDNMHAKRRKIGENKVWEIESEEEEHSGGHDTNFLNVESPRQPKTDQFLRVIPPMKCDAQFIGSDQCPVARPVFPSLIGAEVHGVVDGASDSGYLMTANVNGQIFQGVLFAPVTGFAVPRPPMYSQSSPLGSPTLVPKLVRLQLMMFLFM >DRNTG_11145.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30353081:30356507:-1 gene:DRNTG_11145 transcript:DRNTG_11145.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxylate/succinic semialdehyde reductase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17650) UniProtKB/Swiss-Prot;Acc:F4I907] MAMACISTFSSSPSFAFLRSRHRPSSFLNPIAHFSISSQLSDATTEGGSFSGRVGFLGLGIMGTPMALNLIKAGCDVTVWNRTKSKCDHLINQGAKYQASPADVASSCDVTFAMLADPQSAVDVACGVNGAVKGMGSGKGYVDVSTVDGATSKLISENIKETGASFLEAPVSGSKKPAEDGQLIFLTAGDASLFETVAPLLDIMGKSKFYLGDVGNGAAMKLVVNMIMGSMMASFSEGLLLGEKVGLDPKVLVEVVSQGAISAPMFSMKGPSMVQAKYPTAFPLKHQQKDLRLALGLAESVSQPIPIAAAANELYKAAKSHGLSDQDFSAVIEALKINL >DRNTG_03368.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2266000:2267559:-1 gene:DRNTG_03368 transcript:DRNTG_03368.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSGTMFSYSQSQVPIFEGENYGYWADQMRFFFISRDLWEIIEEGFEESTAASTSSESSSQRQSQDKENKKKNALALSYLHQGVSKSIYPRLFGISSAKTAWERLQKEFQGNNKVVSIKLQSLWRDFENIHMKETESVRDFTSKVAEIVNQIRAYGDTLEEKKIVQKMLRSLPSKFDHAAAAIEESKDLSTLTMFDLCGSLESHEARIKKSSSQSLEHAFQSKVNVSRGENQLKRNNHGQDRGQTSQGRGRGRGRSRGRDFQSQRQHNSGTYCIICKKTNHASADCYHKCKQCKIPNHSQRDCWHQKGERQEANFTKEHEKEQVFLTCLNASTKEDKVWFVDSGCSNHMTGNKEIFVELDESINNQVILGDGKAEKIQGKGVVAINTKTGQQRYIHDVLYVPNLAHNLLSVGQLIQKGYQVNFEGKECRIFDTKSNFLMAKVEMTTNKVFPLSLSYSKDMALQAEEDESNLWHLRYGHLNQKGLHL >DRNTG_32398.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30242272:30243341:1 gene:DRNTG_32398 transcript:DRNTG_32398.2 gene_biotype:protein_coding transcript_biotype:protein_coding VAIRWSWKGQFPDKKSPSFPCYCWCHFFTLVPVQKCQLALKEVLPNCITQLPPINLTYIVLLPTEGEGVLELVGGVAKPRRERNHGESSKPLETLAPQS >DRNTG_32398.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30240527:30243341:1 gene:DRNTG_32398 transcript:DRNTG_32398.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFQHDHISTQNLRWSWKGQFPDKKSPSFPCYCWCHFFTLVPVQKCQLALKEVLPNCITQLPPINLTYIVLLPTEGEGVLELVGGVAKPRRERNHGESSKPLETLAPQS >DRNTG_17018.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10509595:10509946:-1 gene:DRNTG_17018 transcript:DRNTG_17018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSEALVLDFWVSPFAMRVKIALEEKGVEYESRHEDDLLGNKSELLLKSNPIHNKVPVLLHGGKPVCESLVILSYIDDAWPQPPFLPSSPYDRSVARFWADYV >DRNTG_22684.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:13786477:13787110:-1 gene:DRNTG_22684 transcript:DRNTG_22684.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLLDLRPQLSENKVGRPPKSYRNSFGQNLYKTYTASRKLQSVKIRPKEFYQKKKEMKDEEMSDVNMKIHTCWMVTEARGDPAPKQEQPGPVTQIP >DRNTG_15939.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000790.1:13351:13603:1 gene:DRNTG_15939 transcript:DRNTG_15939.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKGDQNLEDNEALALGRPKRRRKPVDRYRTEDFREKKKKKLLHIPE >DRNTG_15939.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000790.1:13351:15201:1 gene:DRNTG_15939 transcript:DRNTG_15939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKGDQNLEDNEALALGRPKRRRKPVDRYRTEDFREKKKKKLLHIPEGKGIKLRGIKKGQRSKAKYIEFLWVFVESSRDGL >DRNTG_15939.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000790.1:13351:14587:1 gene:DRNTG_15939 transcript:DRNTG_15939.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKGDQNLEDNEALALGRPKRRRKPVDRYRTEDFREKKKKKLLHIPEGKGIKLRGIKKGQRSKAKYIEFLWVFVESSRDGNFPSYL >DRNTG_15939.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000790.1:13351:13871:1 gene:DRNTG_15939 transcript:DRNTG_15939.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKGDQNLEDNEALALGRPKRRRKPVDRYRTEDFREKKKKKLLHIPEGKGIKLRGIKKGTLIFVD >DRNTG_28265.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2816675:2819851:-1 gene:DRNTG_28265 transcript:DRNTG_28265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIDVDAGDGDHFISLVEAAEAEALSKRPKIQQSLEGSYTAALRGSRSSLWQKQEELKHLHKKPNNPPSGFSVPTSGSCFKCGMTGHWARDCGSAAGGAGGGGDLMRGSVDTGRSSAEVADKACPCGSGNCLVLVSNTAKNPGRKFYRCPVREENGGCNFFEWCDNPSPAIRTTKNVSSYPSNSSASKFPCPCGAGPCRVLVTETGKNVGRQYYCCPKTDGTSSCGFFKWCDDQTSATSQRTFSTPLDNTSSQFSGGRTNSSCFKCGQEGHWSRDCPKQSSDSYSNGRPSHVDSSSSGTCFKCGKTGHWARDCSIKEDNTGKANKRTSNAFQSSYRPKYK >DRNTG_23134.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16059577:16064538:1 gene:DRNTG_23134 transcript:DRNTG_23134.11 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGVQSYERVSDTVPHSVDGVSVSDTGTTPDETGVYKNLLQEIAQRVGAPLPSYTTYRSGLGHLPFNMQICI >DRNTG_23134.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16061554:16064538:1 gene:DRNTG_23134 transcript:DRNTG_23134.13 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCQQDETGVYKNLLQEIAQRVGAPLPSYTTYRSGLGHLPFNMQICI >DRNTG_23134.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16061554:16064538:1 gene:DRNTG_23134 transcript:DRNTG_23134.16 gene_biotype:protein_coding transcript_biotype:protein_coding MELGASVELATAAVPHLFLPLACAANMAKAYARGENIGDVTAKGESVANIADLLGTGLSIFISKRNSSIVASFILLSFGYIFSSYGEVCHLLLLIFVSCHF >DRNTG_23134.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16059577:16064538:1 gene:DRNTG_23134 transcript:DRNTG_23134.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVLRVCASQDETGVYKNLLQEIAQRVGAPLPSYTTYRSASLCW >DRNTG_23134.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16059577:16064538:1 gene:DRNTG_23134 transcript:DRNTG_23134.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGVQSYERVSDTVPHSVDGVSVSDTGTTPVCRRFCVSVLPRMKQVFTRTFYRKLHRGLEHRYLHTQPTDLLRFAGDLLMELGASVELATAAVPHLFLPLACAANMAKAYARGENIGDVTAKGESVANIADLLGTGLSIFISKRNSSIVASFILLSFGYIFSSYGEGVFPH >DRNTG_23134.17.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16061554:16064538:1 gene:DRNTG_23134 transcript:DRNTG_23134.17 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCQQDETGVYKNLLQEIAQRVGAPLPSYTTYRSGLGHLPFNMQICI >DRNTG_23134.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16061554:16064538:1 gene:DRNTG_23134 transcript:DRNTG_23134.15 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCQQDETGVYKNLLQEIAQRVGAPLPSYTTYRSASLCW >DRNTG_23134.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16059577:16064538:1 gene:DRNTG_23134 transcript:DRNTG_23134.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVLRVCASQDETGVYKNLLQEIAQRVGAPLPSYTTYRSASLCW >DRNTG_23134.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16061517:16064538:1 gene:DRNTG_23134 transcript:DRNTG_23134.12 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCQQDETGVYKNLLQEIAQRVGAPLPSYTTYRSGLGHLPFNMQSFALLVIF >DRNTG_23134.22.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16059500:16060600:1 gene:DRNTG_23134 transcript:DRNTG_23134.22 gene_biotype:protein_coding transcript_biotype:protein_coding ILKGLEPCQPPRLSFPTLVTYPCAKPILHFSSPRHLRAQRLYSTSPTLVTSARSASSPPLQRSSPPCVEPHKAPPSNPRSCRLCHHS >DRNTG_23134.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16059577:16064538:1 gene:DRNTG_23134 transcript:DRNTG_23134.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVLRVCASQDETGVYKNLLQEIAQRVGAPLPSYTTYRSGLGHLPFNMQICI >DRNTG_23134.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16059577:16064538:1 gene:DRNTG_23134 transcript:DRNTG_23134.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVLRVCASQDETGVYKNLLQEIAQRVGAPLPSYTTYRSGLGHLPFNMQICI >DRNTG_23134.18.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16061554:16064538:1 gene:DRNTG_23134 transcript:DRNTG_23134.18 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCQQDETGVYKNLLQEIAQRVGAPLPSYTTYRSGLGHLPFNMQICI >DRNTG_23134.21.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16059577:16060815:1 gene:DRNTG_23134 transcript:DRNTG_23134.21 gene_biotype:protein_coding transcript_biotype:protein_coding TLVTYPCAKPILHFSSPRHLRAQRLYSTSPTLVTSARSASSPPLQRSSPPCVEPHKAPPSNPRSCRLCHHS >DRNTG_23134.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16059577:16064538:1 gene:DRNTG_23134 transcript:DRNTG_23134.6 gene_biotype:protein_coding transcript_biotype:protein_coding MELGASVELATAAVPHLFLPLACAANMAKAYARGENIGDVTAKGESVANIADLLGTGLSIFISKRNSSIVASFILLSFGYIFSSYGEGVFPH >DRNTG_23134.20.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16059500:16060815:1 gene:DRNTG_23134 transcript:DRNTG_23134.20 gene_biotype:protein_coding transcript_biotype:protein_coding ILKGLEPCQPPRLSFPTLVTYPCAKPILHFSSPRHLRAQRLYSTSPTLVTSARSASSPPLQRSSPPCVEPHKAPPSNPRSCRLCHHS >DRNTG_23134.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16061554:16064538:1 gene:DRNTG_23134 transcript:DRNTG_23134.14 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCQQDETGVYKNLLQEIAQRVGAPLPSYTTYRSGLGHLPFNMQICI >DRNTG_23134.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16059577:16064538:1 gene:DRNTG_23134 transcript:DRNTG_23134.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVLRVCASQDETGVYKNLLQEIAQRVGAPLPSYTTYRSASLCW >DRNTG_23134.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16061554:16064538:1 gene:DRNTG_23134 transcript:DRNTG_23134.19 gene_biotype:protein_coding transcript_biotype:protein_coding MELGASVELATAAVPHLFLPLACAANMAKAYARGENIGDVTAKGESVANIADLLGTGLSIFISKRNSSIVASFILLSFGYIFSSYGEGVFPH >DRNTG_23134.23.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16059577:16060600:1 gene:DRNTG_23134 transcript:DRNTG_23134.23 gene_biotype:protein_coding transcript_biotype:protein_coding TLVTYPCAKPILHFSSPRHLRAQRLYSTSPTLVTSARSASSPPLQRSSPPCVEPHKAPPSNPRSCRLCHHS >DRNTG_23134.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16059577:16064538:1 gene:DRNTG_23134 transcript:DRNTG_23134.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGASVELATAAVPHLFLPLACAANMAKAYARGENIGDVTAKGESVANIADLLGTGLSIFISKRNSSIVASFILLSFGYIFSSYGEGVFPH >DRNTG_23134.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16059577:16064538:1 gene:DRNTG_23134 transcript:DRNTG_23134.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCQQDETGVYKNLLQEIAQRVGAPLPSYTTYRSGLGHLPFNMQICI >DRNTG_23134.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16059577:16064538:1 gene:DRNTG_23134 transcript:DRNTG_23134.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVLRVCASQDETGVYKNLLQEIAQRVGAPLPSYTTYRSASLCW >DRNTG_33980.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1088280:1088965:1 gene:DRNTG_33980 transcript:DRNTG_33980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKCKCLCDSLQFFNEMPEKNWVTWSAAIAGCVQNDEHVEGLRMFVSMQREGPAVSQSAYASVLRSCAALVSAKVGRQIHGHALKNCFGSDIVVGTAILDMYAKSDSLDDALRVFQTLPTRTLQAWNAIIVGCVRNNQGLKALELFRVMNRFGIGVDEISLSGIFSACAEAREYLQGSQAHCLAIKTCLSSDICVSNAISDMYGKCGALTEARIVFEEMNRR >DRNTG_00154.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26171682:26172606:1 gene:DRNTG_00154 transcript:DRNTG_00154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIGNNHLNAKLVLLGDMGTGKSSLVLRFVKGQFLEFQESTIGAAFFSQTLAVSDATVKLEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITSTESFERAKKWVLELQKQGNPNMVTALAGNKVDLQDKKKRSQLRQVCKNQSSCQINVSLSSYAHAFKLSSLQ >DRNTG_07750.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2731364:2733304:-1 gene:DRNTG_07750 transcript:DRNTG_07750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQPNSLWRGCAANFQQPVNNTDEHAAQFLVETVYYFNCHVQDPPLSDIIYRSSDEEHDILHHVFRWDLTPYQEVFMNGFQARRQENTTDNVYYNLDHYVHHGGRPLDSRRPATHTFVSTTRNSGWHPSVENGAEREVFRYEIYAPGGIDVAETLGDRYGYPSQDEVCFVAGISRQYIRSAQRFRLVGDGRYTRRERVDNRIILNRNFNPQSSPSRLLEIQRPVFDYRDEERRSRPLTIVIFPREFSQQVEQLVSNATDTFDWYSKDVSGVQSYIDAAFRSVDSDEAFLFMKDEYVRLDYAPGTTDDKILNGPLLISDGFQSLQGTSFADYGIDCAFAHGNEAFIFSGNLCAKMHFEPGTTNDMITKGPMTITKMFPFFKGTVFAEGVDAAFESSKENEAYIFKGSQYSRINFATFRQIDSHSISGGFHPLKGTIFESGIDAAFASHYDTNEAYLFKGNKYALLHFSPGSNTDYLIGGVKEIAPNWPSLAPILPRANRGLDIHDQNEAKTNRDHDEL >DRNTG_07750.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2731364:2734487:-1 gene:DRNTG_07750 transcript:DRNTG_07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQPNSLWRGCAANFQQPVNNTDEHAAQFLVETVYYFNCHVQDPPLSDIIYRSSDEEHDILHHVFRWDLTPYQEVFMNGFQARRQENTTDNVYYNLDHYVHHGGRPLDSRRPATHTFVSTTRNSGWHPSVENGAEREVFRYEIYAPGGIDVAETLGDRYGYPSQDEVCFVAGISRQYIRSAQRFRLVGDGRYTRRERVDNRIILNRNFNPQSSPSRLLEIQRPVFDYRDEERRSRPLTIVIFPREFSQQVEQLVSNATDTFDWYSKDVSGVQSYIDAAFRSVDSDEAFLFMKDEYVRLDYAPGTTDDKILNGPLLISDGFQSLQGTSFADYGIDCAFAHGNEAFIFSGNLCAKMHFEPGTTNDMITKGPMTITKMFPFFKGTVFAEGVDAAFESSKENEAYIFKGSQYSRINFATFRQIDSHSISGGFHPLKGTIFESGIDAAFASHYDTNEAYLFKGNKYALLHFSPGSNTDYLIGGVKEIAPNWPSLAPILPRANRGLDIHDQNEAKTNRDHDEL >DRNTG_30022.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19350138:19351018:-1 gene:DRNTG_30022 transcript:DRNTG_30022.1 gene_biotype:protein_coding transcript_biotype:protein_coding AEGEEIDDDDDGVVATGEMTVMMVLLLVTLAIIGVGSECRWMSAGKSNLQSPKFWLDLGLKYGVLILVKS >DRNTG_20007.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3167806:3172226:1 gene:DRNTG_20007 transcript:DRNTG_20007.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENQDPSPPLHLLVIPFPAQGHLLPLLHLLHHLSNLLPSLSFTIVSTPSNLHLLQPFLSSTPSATPLLLPLSLPPSFHHVRTLPSPSDVSILIHALSLLSDDLLLWSRSHRPTAIISDFFLPWTHHLATDIAVPNLVFYSTGTLLISILHRLWIDLPLPSHSPISFPSIPGSPSFPFSHLPSLYRRYLAGSGSPEWQFVKDEMIAGDSAFAAIINTFDAIDGVYLSHLKNEMRFSRVFAVGPIHPGFNRADPMPEELSTWLDDSPARSVVYVCFGSQFTPGIEQGLALANALERSGTRFVWCVGNDWVAGDRKLVPEGFEERVKGRGMVVRGWAPQVEILRHGAVASFLTHCGWNSVLEGIVAGVMLMAWPMEADQFLNAKVLVEELGVAVMACGGGPEMVPDVEELGKMMAESVEKKEGRWMEIRKRAWEMGRMAEEAVGESGSSFRDVAELVVQLKELALRRTL >DRNTG_20007.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3167806:3174426:1 gene:DRNTG_20007 transcript:DRNTG_20007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENQDPSPPLHLLVIPFPAQGHLLPLLHLLHHLSNLLPSLSFTIVSTPSNLHLLQPFLSSTPSATPLLLPLSLPPSFHHVRTLPSPSDVSILIHALSLLSDDLLLWSRSHRPTAIISDFFLPWTHHLATDIAVPNLVFYSTGTLLISILHRLWIDLPLPSHSPISFPSIPGSPSFPFSHLPSLYRRYLAGSGSPEWQFVKDEMIAGDSAFAAIINTFDAIDGVYLSHLKNEMRFSRVFAVGPIHPGFNRADPMPEELSTWLDDSPARSVVYVCFGSQFTPGIEQGLALANALERSGTRFVWCVGNDWVAGDRKLVPEGFEERVKGRGMVVRGWAPQVEILRHGAVASFLTHCGWNSVLEGIVAGVMLMAWPMEADQFLNAKVLVEELGVAVMACGGGPEMVPDVEELGKMMAESVEKKEGRWMEIRKRAWEMGRMAEEAVGESGSSFRDVAELVVQLKELALRRTL >DRNTG_20007.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3167806:3169459:1 gene:DRNTG_20007 transcript:DRNTG_20007.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAENQDPSPPLHLLVIPFPAQGHLLPLLHLLHHLSNLLPSLSFTIVSTPSNLHLLQPFLSSTPSATPLLLPLSLPPSFHHVRTLPSPSDVSILIHALSLLSDDLLLWSRSHRPTAIISDFFLPWTHHLATDIAVPNLVFYSTGTLLISILHRLWIDLPLPSHSPISFPSIPGSPSFPFSHLPSLYRRYLAGSGSPEWQFVKDEMIAGDSAFAAIINTFDAIDGVYLSHLKNEMRFSRVFAVGPIHPGFNRADPMPEELSTWLDDSPARSVVYVCFGSQFTPGIEQGLALANALERSGTRFVWCVGNDWVAGDRKLVPEGFEERVKGRGMVVRGWAPQVEILRHGAVASFLTHCGWNSVLEGIVAGVMLMAWPMEADQFLNAKVLVEELGVAVMACGGGPEMVPDVEELGKMMAESVEKKEGRWMEIRKRAWEMGRMAEEAVGESGSSFRDVAELVVQLKELALRRTL >DRNTG_30898.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001640.1:34383:37662:-1 gene:DRNTG_30898 transcript:DRNTG_30898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTRFCHSHILLDGKQTLYKACSYVIKSAQSGQIICGKPVLRAAVPSLCLNHFQKAQKHVSQALKKAGLNVSSSSRPPPKFHIIMAEYVRQIQAKRREALNTTIETVRDEDEKIC >DRNTG_30352.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27236200:27242684:-1 gene:DRNTG_30352 transcript:DRNTG_30352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLGGGRDSRGGALGSHGGGHSGSRDFHGGRLGRVREHIGGGHFGSHGGHSSSHGGGHGGNRSSYHVNRGDRKTGSQGVVRRFVPISRPSRQPSRNTQPVEEGSSKPKNSPVRDGVSFAEVTRSAPPETSLPTFQQKESPISNPSLKCRRSPDSSEACKRCLRSGHSADECRHLLTCRRCRGAGHFAARCPQRPLSKSFKHTSSQPFKPSSSRAANPKPTRPLLHIPSFSSITSSNSLRVSLPISEAIIKSKEDLRKMVIIRVISGNASVQSLHAALPLQLPSNHCECITPFANDFILSMDSSRAATSVVKKNQITLKTNHGPCTVSFSHWTPEFSSHSIAAGNYNWVRLSNLPLHCWNWDSIVEVLRPLGELIYVQKEEEITLEHLRALVRLKSSTAFPIEMIVDVGVRSFKVKLEDDGVPVLRSKIIQGPVPVAPPPKKSSDSLSLVSSKPLKPQNLHQPAPPSRSTHQIPREDKVDTSSGETPAVVNLLTDNRRGIAVPQIPQISRERHEEGDRLFTNRGNVIAGCTTAVHLLRDSFSSDNSGSMQTSVLPRDQATKDSLNNSQPASSR >DRNTG_32565.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19005560:19005881:1 gene:DRNTG_32565 transcript:DRNTG_32565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMNKKSAQEREVVTVIVDKKRLPSSSNATSRGT >DRNTG_33505.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002012.1:21451:26085:-1 gene:DRNTG_33505 transcript:DRNTG_33505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSPPENDGDTGRNFLDEASRLVDLARELQESATSHISRTWNEEQALRQRALSLDSDLRKLQSAFDSASRNRALDPKLAEKIDEELYRARCVVSDGDVASLLPSKVHGRFLKMFLGPVNVRATRKEVQLKVKEEYNNYRDRTAFLFLLFPSILLLLRSWIWDGCFPALPVQLYQAWLLFLYTCLALRENILRVNGSDIRPWWIYHHYCAMLMALVSLSWEIKGQPDCTQKQRGVQLFLAWAMMQGVAMLLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVEGQLWLLYPILFILQGFEAFVGMLLLRIALVGVVSEWQVIFCGILLILMAVGNFVNTVQTLMAKSRVKARMKKTRSKLDLDQCSSVTSPRS >DRNTG_22269.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2427844:2430417:-1 gene:DRNTG_22269 transcript:DRNTG_22269.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCFLDELQGGVMGWSRWLVIVCVLIAFFGTALGSQSATNRVLVEKQNGSESESLLFIRTERIDPLDGFKKYKGGFNITNKHYWSSAIFTGKYGYIVAAVWLVFGIVYAIILLIKSICFTNKQRNWIRGPPHSNGNSFWPVLSVLVFTILAIVASGVVLGGSLKFHSRAQTVKNIIVRTAQEASNTIHNVTGAVQAMQEDMELYGDLHGSTNLNATTKKLNDEADNIQRKAIKNMRLVNKGLKILKAVTIATVTLNLAVILALLLFLIARTWPTMLRRAFYLLIVVCWLLAFLFWLYFGLYYFLNKFAGDTCIALNEYQLDPQNSTLGTILPCRPSAKSVLRDAGKGIHDIIDQVNANISSLQSLSLPGLQYVCNPFSGPPDYTYQPENCSSNTIQIGDIPQVLKRYTCSNNGSNDCVGEFISTTDYNRAVVYTNSLQNILNSYPSVERLVDCQLVKDAFSEILVNHCKPLKKDVHLTWGALAALSTVMVILILAWICEACYGKRGSKYSYDGSVEPHSTSNETSEADTSELASRDIETKLVP >DRNTG_22269.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2427844:2430772:-1 gene:DRNTG_22269 transcript:DRNTG_22269.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSRWLVIVCVLIAFFGTALGSQSATNRVLVEKQNGSESESLLFIRTERIDPLDGFKKYKGGFNITNKHYWSSAIFTGKYGYIVAAVWLVFGIVYAIILLIKSICFTNKQRNWIRGPPHSNGNSFWPVLSVLVFTILAIVASGVVLGGSLKFHSRAQTVKNIIVRTAQEASNTIHNVTGAVQAMQEDMELYGDLHGSTNLNATTKKLNDEADNIQRKAIKNMRLVNKGLKILKAVTIATVTLNLAVILALLLFLIARTWPTMLRRAFYLLIVVCWLLAFLFWLYFGLYYFLNKFAGDTCIALNEYQLDPQNSTLGTILPCRPSAKSVLRDAGKGIHDIIDQVNANISSLQSLSLPGLQYVCNPFSGPPDYTYQPENCSSNTIQIGDIPQVLKRYTCSNNGSNDCVGEFISTTDYNRAVVYTNSLQNILNSYPSVERLVDCQLVKDAFSEILVNHCKPLKKDVHLTWGALAALSTVMVILILAWICEACYGKRGSKYSYDGSVEPHSTSNETSEADTSELASRDIETKLVP >DRNTG_22269.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2428936:2430417:-1 gene:DRNTG_22269 transcript:DRNTG_22269.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFCFLDELQGGVMGWSRWLVIVCVLIAFFGTALGSQSATNRVLVEKQNGSESESLLFIRTERIDPLDGFKKYKGGFNITNKHYWSSAIFTGKYGYIVAAVWLVFGIVYAIILLIKSICFTNKQRNWIRGPPHSNGNSFWPVLSVLVFTILAIVASGVVLGGSLKFHSRAQTVKNIIVRTAQEASNTIHNVTGAVQAMQEDMELYGDLHGSTNLNATTKKLNDEADNIQRKAIKNMRLVNKGLKILKAVTIATVTLNLAVILALLLFLIARTWPTMLRRAFYL >DRNTG_22269.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2427844:2428722:-1 gene:DRNTG_22269 transcript:DRNTG_22269.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVILILAWICEACYGKRGSKYSYDGSVEPHSTSNETSEADTSELASRDIETKLVP >DRNTG_22615.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17817010:17821302:1 gene:DRNTG_22615 transcript:DRNTG_22615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNTLNSQLAATEQLSNCLSEQMAMLNINKQSVRHPTVVKELFESIGISQEASIFHSPEPIKGTLVSASITKGNSKINMSSTSQVLESGTARRRYSLDKSLSKFEPQKTTVKRMLKESAVSVIADKHVGRSREAFHSQTASFVIDPQKINENHASSLSQSSSLKWHSPAYPTGKGIQEKPPKVASEPQSVSPFKWTLELSGSSQNLKSNSHPGQPLSSSSSTVVYNNPHGMPDGKFQLPDSSNNIVTHTVSQSGSSMVSKTAPSSKRMSNVPFNTSPQTSVAFPSSCTTNFKTTLPSEIMHEKTSGQLNQQAGKDAPTKLSVRSLDNFGVSEKGHSASPEASSTTPFNFLASASTPVFSIKQSSTPLMSSHSSASISPIFPSLSINSSALYFCLAIYPLQPWLPLENHHLTQNLSMTITKQFQYHLQF >DRNTG_22615.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17819472:17821302:1 gene:DRNTG_22615 transcript:DRNTG_22615.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPCCQVNFLQSLSKFEPQKTTVKRMLKESAVSVIADKHVGRSREAFHSQTASFVIDPQKINENHASSLSQSSSLKWHSPAYPTGKGIQEKPPKVASEPQSVSPFKWTLELSGSSQNLKSNSHPGQPLSSSSSTVVYNNPHGMPDGKFQLPDSSNNIVTHTVSQSGSSMVSKTAPSSKRMSNVPFNTSPQTSVAFPSSCTTNFKTTLPSEIMHEKTSGQLNQQAGKDAPTKLSVRSLDNFGVSEKGHSASPEASSTTPFNFLASASTPVFSIKQSSTPLMSSHSSASISPIFPSLSINSSALYFCLAIYPLQPWLPLENHHLTQNLSMTITKQFQYHLQF >DRNTG_03325.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15277061:15280020:-1 gene:DRNTG_03325 transcript:DRNTG_03325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECKSSFMDMKRRRVHRYIVFKIDEDSRAVMVDKVGGPGEGYDDLAASLPVDDCRYAVFDFDFVTVDNCQKSKIFFIAWSPSASRIRSKMLYATSKQGLRRVLDGVHYEMQATDPTEMGFDLILDRAK >DRNTG_26695.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001362.1:90908:94801:-1 gene:DRNTG_26695 transcript:DRNTG_26695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCAAPVDPERKARKGKKPNPFSVEYNKEPAPKLTVLKDPTGQDIEARYQLGLELGRGEFGITYLCTDRSSGESLACKSISKKKLRTAVDIEDVRREVDIMRHLPAHPNIVRLKDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAIVTKTIVEVVQNCHKHGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFKPGERFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPKVSDNAKDLVKRMLDPDPKRRLTAQEVLDHPWLQNAKKAPNVSLGETVKARLQQFSVMNKFKKRALRVVAEHLSAEEAAGIKEMFQSMDINNTGRLTLEELKYGLHKLGHQIADADAKILMDAADVDGNGTLDYGEFVAVSIHLRKIGNDEHLHKAFSYFDRDKSGYIEIEELRECLADDLEANHEEVINAIIRDVDTDKDGKISYDEFAAMMKAGTDWRKASRQYSRERFNNLSLKLMKDGSLQLQNEGR >DRNTG_09460.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21306239:21308757:1 gene:DRNTG_09460 transcript:DRNTG_09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLKGSSKAVALSVAERCKNILASNWQAHLNTIKADSKGSKEDIYTSKVHYMLRRGRPYIWIPEGELHNTNAIIDERASLAISCNVPGPLMSLLRSIRKFSARVALTGDLIALKEEKVHRVAESLRESILMEYNLANQASYTVSALLSSAGASCGSRCENFLEILGESDKYTVYKFDINSCTYIDGSGCAHDLDNEEITAPKADEISLFTEKLIDGINQSQARRRALMLFCLEYHNASARDALMLSIDRKGFDILAKVPEVDSNQNQQYNWKEFRFTFKEEASDIESFCRLLVELEEEALESVKSYSGLG >DRNTG_27590.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:843651:849481:1 gene:DRNTG_27590 transcript:DRNTG_27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMAQHCYQIKHLGHQLVDQDSSSTQSTVQSHREVSAARKDGLQQSVSSDSGNDNTYKQVEGSVSGLSLGNSGVLFSSPKLDYSQSIACLPYPYADPYYGHVLASYGSHAIIHPQVAGMTSRVPLPLEPAADEPIYVNAKQYHGILRRRQLRAKLEAQNKLVKARKPYLHESRHLHALKRARGTGGRFLNTKKSEQTSQPNNAADRQNISSSVVQPAGRNTLESEILLSDMNSMNTASSDITTISNGGTLPHQSHLAFSSDFMQGAAGGMIHNGTRNRVPVMR >DRNTG_27590.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:843651:849481:1 gene:DRNTG_27590 transcript:DRNTG_27590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPKGNDNTYKQVEGSVSGLSLGNSGVLFSSPKLDYSQSIACLPYPYADPYYGHVLASYGSHAIIHPQVAGMTSRVPLPLEPAADEPIYVNAKQYHGILRRRQLRAKLEAQNKLVKARKPYLHESRHLHALKRARGTGGRFLNTKKSEQTSQPNNAADRQNISSSVVQPAGRNTLESEILLSDMNSMNTASSDITTISNGGTLPHQSHLAFSSDFMQGAAGGMIHNGTRNRVPVMR >DRNTG_27590.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:844300:849481:1 gene:DRNTG_27590 transcript:DRNTG_27590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMAQHCYQIKHLGHQLVDQDSSSTQSTVQSHREVSAARKDGLQQSVSSDSGNDNTYKQVEGSVSGLSLGNSGVLFSSPKLDYSQSIACLPYPYADPYYGHVLASYGSHAIIHPQVAGMTSRVPLPLEPAADEPIYVNAKQYHGILRRRQLRAKLEAQNKLVKARKPYLHESRHLHALKRARGTGGRFLNTKKSEQTSQPNNAADRQNISSSVVQPAGRNTLESEILLSDMNSMNTASSDITTISNGGTLPHQSHLAFSSDFMQGAAGGMIHNGTRNRVPVMR >DRNTG_08808.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28112737:28114702:-1 gene:DRNTG_08808 transcript:DRNTG_08808.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAQPSLPEFSSSVKLKYVKLGYQYLVNNFITFLLIPVIATIILQLLKTGPEDLHALWKMTLQLELLHVISTLFLAIIACTIYFMSRPYPVYLIDYSCYKPPKTCRVPFATFMEHTHHISSFDEKSVQFQMRILERSGLGEETCLPPANHYIPPRATMEASRAEARLVMFSAIDDLLKKTGLKPKDIDILVVNCSLFSPTPSLSAMIINKYKLRSNIRSFNLSGMGCSAGLISVDLARDLLQAHPNSNALVISTEIITPNFYTGNQRSMLLPNCLFRMGAAALLLSNRSRDARRAKYRLLHTVRTHRGADDKAYHCVYEEEDKDGLSGISLSKDLMAIAGEALKSNITTMGPLVLPASEQLLFLFTLIGRKLINPKWKPYIPDFKQAFDHFCIHAGGRAVIDELQKNLHLSAEHVEASRMALHRFGNTSSSSLWYELSYIEAKGRMRRGDRVWQIGFGSGFKCNSAVWKCMRTVRTPTEGPWDDCIHRYPVHIPDVVKL >DRNTG_08808.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28112737:28114966:-1 gene:DRNTG_08808 transcript:DRNTG_08808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAQPSLPEFSSSVKLKYVKLGYQYLVNNFITFLLIPVIATIILQLLKTGPEDLHALWKMTLQLELLHVISTLFLAIIACTIYFMSRPYPVYLIDYSCYKPPKTCRVPFATFMEHTHHISSFDEKSVQFQMRILERSGLGEETCLPPANHYIPPRATMEASRAEARLVMFSAIDDLLKKTGLKPKDIDILVVNCSLFSPTPSLSAMIINKYKLRSNIRSFNLSGMGCSAGLISVDLARDLLQAHPNSNALVISTEIITPNFYTGNQRSMLLPNCLFRMGAAALLLSNRSRDARRAKYRLLHTVRTHRGADDKAYHCVYEEEDKDGLSGISLSKDLMAIAGEALKSNITTMGPLVLPASEQLLFLFTLIGRKLINPKWKPYIPDFKQAFDHFCIHAGGRAVIDELQKNLHLSAEHVEASRMALHRFGNTSSSSLWYELSYIEAKGRMRRGDRVWQIGFGSGFKCNSAVWKCMRTVRTPTEGPWDDCIHRYPVHIPDVVKL >DRNTG_08808.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28112869:28114751:-1 gene:DRNTG_08808 transcript:DRNTG_08808.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAQPSLPEFSSSVKLKYVKLGYQYLVNNFITFLLIPVIATIILQLLKTGPEDLHALWKMTLQLELLHVISTLFLAIIACTIYFMSRPYPVYLIDYSCYKPPKTCRVPFATFMEHTHHISSFDEKSVQFQMRILERSGLGEETCLPPANHYIPPRATMEASRAEARLVMFSAIDDLLKKTGLKPKDIDILVVNCSLFSPTPSLSAMIINKYKLRSNIRSFNLSGMGCSAGLISVDLARDLLQAHPNSNALVISTEIITPNFYTGNQRSMLLPNCLFRMGAAALLLSNRSRDARRAKYRLLHTVRTHRGADDKAYHCVYEEEDKDGLSGISLSKDLMAIAGEALKSNITTMGPLVLPASEQLLFLFTLIGRKLINPKWKPYIPDFKQAFDHFCIHAGGRAVIDELQKNLHLSAEHVEASRMALHRFGNTSSSSLWYELSYIEAKGRMRRGDRVWQIGFGSGFKCNSAVWKCMRTVRTPTEGPWDDCIHRYPVHIPDVVKL >DRNTG_08808.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28112869:28114702:-1 gene:DRNTG_08808 transcript:DRNTG_08808.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAQPSLPEFSSSVKLKYVKLGYQYLVNNFITFLLIPVIATIILQLLKTGPEDLHALWKMTLQLELLHVISTLFLAIIACTIYFMSRPYPVYLIDYSCYKPPKTCRVPFATFMEHTHHISSFDEKSVQFQMRILERSGLGEETCLPPANHYIPPRATMEASRAEARLVMFSAIDDLLKKTGLKPKDIDILVVNCSLFSPTPSLSAMIINKYKLRSNIRSFNLSGMGCSAGLISVDLARDLLQAHPNSNALVISTEIITPNFYTGNQRSMLLPNCLFRMGAAALLLSNRSRDARRAKYRLLHTVRTHRGADDKAYHCVYEEEDKDGLSGISLSKDLMAIAGEALKSNITTMGPLVLPASEQLLFLFTLIGRKLINPKWKPYIPDFKQAFDHFCIHAGGRAVIDELQKNLHLSAEHVEASRMALHRFGNTSSSSLWYELSYIEAKGRMRRGDRVWQIGFGSGFKCNSAVWKCMRTVRTPTEGPWDDCIHRYPVHIPDVVKL >DRNTG_08808.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28112869:28114966:-1 gene:DRNTG_08808 transcript:DRNTG_08808.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAQPSLPEFSSSVKLKYVKLGYQYLVNNFITFLLIPVIATIILQLLKTGPEDLHALWKMTLQLELLHVISTLFLAIIACTIYFMSRPYPVYLIDYSCYKPPKTCRVPFATFMEHTHHISSFDEKSVQFQMRILERSGLGEETCLPPANHYIPPRATMEASRAEARLVMFSAIDDLLKKTGLKPKDIDILVVNCSLFSPTPSLSAMIINKYKLRSNIRSFNLSGMGCSAGLISVDLARDLLQAHPNSNALVISTEIITPNFYTGNQRSMLLPNCLFRMGAAALLLSNRSRDARRAKYRLLHTVRTHRGADDKAYHCVYEEEDKDGLSGISLSKDLMAIAGEALKSNITTMGPLVLPASEQLLFLFTLIGRKLINPKWKPYIPDFKQAFDHFCIHAGGRAVIDELQKNLHLSAEHVEASRMALHRFGNTSSSSLWYELSYIEAKGRMRRGDRVWQIGFGSGFKCNSAVWKCMRTVRTPTEGPWDDCIHRYPVHIPDVVKL >DRNTG_08808.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28112737:28114751:-1 gene:DRNTG_08808 transcript:DRNTG_08808.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAQPSLPEFSSSVKLKYVKLGYQYLVNNFITFLLIPVIATIILQLLKTGPEDLHALWKMTLQLELLHVISTLFLAIIACTIYFMSRPYPVYLIDYSCYKPPKTCRVPFATFMEHTHHISSFDEKSVQFQMRILERSGLGEETCLPPANHYIPPRATMEASRAEARLVMFSAIDDLLKKTGLKPKDIDILVVNCSLFSPTPSLSAMIINKYKLRSNIRSFNLSGMGCSAGLISVDLARDLLQAHPNSNALVISTEIITPNFYTGNQRSMLLPNCLFRMGAAALLLSNRSRDARRAKYRLLHTVRTHRGADDKAYHCVYEEEDKDGLSGISLSKDLMAIAGEALKSNITTMGPLVLPASEQLLFLFTLIGRKLINPKWKPYIPDFKQAFDHFCIHAGGRAVIDELQKNLHLSAEHVEASRMALHRFGNTSSSSLWYELSYIEAKGRMRRGDRVWQIGFGSGFKCNSAVWKCMRTVRTPTEGPWDDCIHRYPVHIPDVVKL >DRNTG_32278.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001815.1:64057:85681:-1 gene:DRNTG_32278 transcript:DRNTG_32278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMGMVRRVQSGVYALITPAPEVAEGEDDLAEGSQPTPEPQPTGMETEAPPTAKEPPPIRMFSPSRVYDHFKRLESAVGVLRTEITDVSAT >DRNTG_02605.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21305762:21309331:1 gene:DRNTG_02605 transcript:DRNTG_02605.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intermediate cleaving peptidase 55, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G09300) UniProtKB/Swiss-Prot;Acc:F4HZG9] MDIGCEFHGYLSDLTRTWPPCGSFSSAQEILYDLILETNKECVKLCKPGMSIQQIHNYSVQMLQRGLRKMGILKDSSISSYHKLNPTSIGHYLGMDVHDSSMVKNERLLQPGVVSNQVSYLNQFLLRTELLLGMR >DRNTG_02605.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21308243:21309331:1 gene:DRNTG_02605 transcript:DRNTG_02605.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intermediate cleaving peptidase 55, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G09300) UniProtKB/Swiss-Prot;Acc:F4HZG9] MDVHDSSMVKNERLLQPGVVITIEPGVYIPSSCDVPERYRGIGIRIEDEVLITETGHEVLTGSMPKEIADIAGLLNFDRSRGTEVSCSPAQQQVEFH >DRNTG_02605.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21293462:21309331:1 gene:DRNTG_02605 transcript:DRNTG_02605.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intermediate cleaving peptidase 55, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G09300) UniProtKB/Swiss-Prot;Acc:F4HZG9] MAMQSLRRGAMRSIVRGMVKPQVLYPLYKSIQCSSYATQRIVDIGQPTSVSHPHLLAEGEITPGITSDEYISRRKRLLELLPEKSLAIIASAPVKMMTDVVPYPFRQDADYLYITGCSQPGGVAVLSDEIGLCMFMPDPDPQDVLWQGSIAGMDAALDYFKADKAFSMSKMREVLPGMISHATKVYHNVMTALPSYMAFEAFRELDHNNKVKDISYLTHELRWVKSSSEVNLMRQSASIACQDIS >DRNTG_02605.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21293462:21309331:1 gene:DRNTG_02605 transcript:DRNTG_02605.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intermediate cleaving peptidase 55, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G09300) UniProtKB/Swiss-Prot;Acc:F4HZG9] MAMQSLRRGAMRSIVRGMVKPQVLYPLYKSIQCSSYATQRIVDIGQPTSVSHPHLLAEGEITPGITSDEYISRRKRLLELLPEKSLAIIASAPVKMMTDVVPYPFRQDADYLYITGCSQPGGVAVLSDEIGLCMFMPDPDPQDVLWQGSIAGMDAALDYFKADKAFSMSKMREVLPGMISHATKVYHNVMTALPSYMAFEAFRELDHNNKVKDISYLTHELRWVKSSSEVNLMRQSASIACQSLLQTMLLSRTSPEESKLAAKVEYECKMKGAQRMAFHPVVGGGANASVIHYSRNDQKIRAGELVLMDIGCEFHGYLSDLTRTWPPCGSFSSAQEILYDLILETNKECVKLCKPGMSIQQIHNYSVQMLQRGLRKMGILKDSSISSYHKLNPTSIGHYLGMDVHDSSMVKNERLLQPGVVITIEPGVYIPSSCDVPERYRGIGIRIEDEVLITETGHEVLTGSMPKEIADIAGLLNFDRSRGTEVSCSPAQQQVEFH >DRNTG_15661.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19907939:19912822:1 gene:DRNTG_15661 transcript:DRNTG_15661.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-binding protein MSI1 [Source:Projected from Arabidopsis thaliana (AT5G58230) UniProtKB/Swiss-Prot;Acc:O22467] MAKDEEEFRGEIEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVQWLPDREEPPGRDHSIQKMILGTHTSDNEPNYLMLAQVQLPLEDAEYDARQYDDERGEIGGFGSASGKVQIVQQINHEGEVNRARYMPQNPFIIATKTVSAEVYVFDYSKHPSKPPLDGACNPDLRLKGHNTEGYGLSWSLFKEGHLLSGSDDAQICLWDIKASPKNKSLDALQIFKVHDGVVEDVAWHLRHEYLFGSVGDDHHLLIWDLRTPAANKPAQSVVAHQG >DRNTG_34544.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1668743:1670847:-1 gene:DRNTG_34544 transcript:DRNTG_34544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGRQENGKYYVTFFEGQHNHQVVTPRSRHKLPSQRKISIAHAAEAEIAMNSGIRQKLIFDFMSERVGGRENLGFTLKDISNHLQSKRMREMREGEAYTLIHYLEKKKSDNSSFFYLLQYDAEGQIANIFWADPKMVIDYALFGDVVCFDTTYRINNVNRPCAPIIGVNHHKETVVFGVALLYDETTASFEWLFTTFLEVMKGKKPITIFTDQDAAMAKAIAKVFPETYHRICSWHLFQNALKHLGHTFKGSNKFGAEFKSCMHDFEYEEEFIHSWNSLLEKHKLQDNKWCLDTFQERRKWAMVYGRHTFSAGIRSTQLCESFNSRMKCYVKPRFNVLEFFTRFEKLLDDVRYQELESNYEMSQLKPALK >DRNTG_33772.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:31836:34559:1 gene:DRNTG_33772 transcript:DRNTG_33772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEMASVAGFWTDDDRAMAVAVLGPQAFDYLTASHVPPDGLFTAVGSDADLQTKLVDLVEGSAGWTSAIFWQISRPRSGGDLVLGWGDGYCREADDDAAGANDDGLQRMRKRVLQRLHAAFGGGATDDENYALRLDRVTDAEMFFLASMYFSFPRGDGAPGRAFLSGKHVWILDPSDYCVRASLARSAGFRTIVLVPFETGVLELGSVKVIRESLEGLQAIRSVFTPVMNERKDESTVLSPHIGLARIFGKDLNIGRPPAAAPAAAKVDERPSWEISQASNGPMLNGGRKGLQQAMNWNHPQRFSNGVVVMGNEMDSSNRAAGVLGHHHSNGASQFPAQKQQTPQQLPRQIDFSGGASSRMGFSIARMGAMESENSDGKALCKEERPVVIEEQRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAISYITELQKKVKEMESERVHFSDPSMLEHRRLVQCPDIDVEALQDEVVVRVSCPLNSHPISKVIQAFNESQINVTDSKILVGNDSVLHTFAVKSPGSEQLTREKLIAAISHEMNS >DRNTG_05821.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19001624:19002394:1 gene:DRNTG_05821 transcript:DRNTG_05821.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATAEEELNKLKACAMVSPKMICKSLSSLGVFYDCIEGLLHLPGNQQVLSHSQEKKWVEEELDASLRLVELCDIIRDTLTVTKEHAQELEIVLRRKRNMNTGNKPQLVHIQSAKKTDKSIKSCLKALKKIDGNDSDRSTVCKMLNEAREVTIYLLQSVASSLSPSSAQKTSKWSVVSKALHKKKVTWIDADGIYFSFNSVYECVSCKDIDGLRVVKAQDELAAMLSSLEGLEMELESLCRRLIQNRVSLLNLLGQ >DRNTG_05675.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:94313:95903:1 gene:DRNTG_05675 transcript:DRNTG_05675.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28605) UniProtKB/Swiss-Prot;Acc:Q8VY52] MTTHLLLRRCCAPPAIAGVRRPDRRRSLNPPIIPNIWRRRTLILLSLSPIPTLIPVAAQPSPEDLKPYADRAEGFELLVPEAWTKVEKAGATALFEEKGKGSNNIGVVVNPVRLSSLRDFGSPEFVAEKLIQAERKKESTRAAEIVKVGERSNEDGIPVYEFEYVLDSTRGGIKRIFSAAFVASKKLYLLNISHSDPPESPLDDHTRFLLESVLRSFDTAH >DRNTG_04528.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4923685:4925983:-1 gene:DRNTG_04528 transcript:DRNTG_04528.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEADSPIHDFSKARTQVWKHMLVFMDSMCLRCAIELGVPDAIHNHGGPMTLSELVQALPMATTRAPFLRRIMRVLVNSGFFSIKGNESDGSNEEEVYYDLTATSKLLVTAGSTNSLAPLVLFVTGSDVGMAGLAMSTWIQASDDDDKNETPFHVAHDGNGLFEFASERPEFNALLNEGMACDNRVLIGEMVKNWGDALFGGLRSLVDVGGGTGLAAAVIVGAYPEMKCSVLELDHVVDVQPENELVEFVRGNMFVQIPQADAFLLKWVLHDWNDKDCVKILRNCKNSFSCEGNKRK >DRNTG_04528.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4923685:5037834:-1 gene:DRNTG_04528 transcript:DRNTG_04528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQIPQADAFLLEWVLHDWNDKDCVKILRNCKNSFSCEGNKRK >DRNTG_20899.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25156260:25157521:-1 gene:DRNTG_20899 transcript:DRNTG_20899.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLAKAGEMPSLVPPDDESIKTLYVGGLDARISEQDLRDQFYAYGEIESIRMVLQRACAFVAYTAREGAEKAAEELSNKLVIKGLRLKLMWGRPQTLKPEGEGGEDEAARQGLVAHGGMLPRALVSQQQSGQQPLPFGIEDQQQQQAMPYFNIPVPLPSQRTSYPSMDPQRMGAVVPSGEASDSKTGLEKPGQPRGLDGSGHSSYPLAPPPPQPHGQYPNFYPPYRYPTPLPPMHYQHQYPPYQLMPGPPPQPPSAGRP >DRNTG_20899.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25156315:25160361:-1 gene:DRNTG_20899 transcript:DRNTG_20899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDAQADGWERSDFPIICESCLGDNPYLRMTKADYDKECKICTRPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVCLLDLEYGLPVQVRDTALSINSNDSIPKSDVNREYFAEEHDRRARAGIDYESSFGKARPNDTILKLQRTVPYYQRNRAHVCSFYARGECARGSECPYRHEMPQTGELSQQNIKDRYYGINDPVAMKLLAKAGEMPSLVPPDDESIKTLYVGGLDARISEQDLRDQFYAYGEIESIRMVLQRACAFVAYTAREGAEKAAEELSNKLVIKGLRLKLMWGRPQTLKPEGEGGEDEAARQGLVAHGGMLPRALVSQQQSGQQPLPFGIEDQQQQQAMPYFNIPVPLPSQRTSYPSMDPQRMGAVVPSGEASDSKTGLEKPGQPRGLDGSGHSSYPLAPPPPQPHGQYPNFYPPYRYPTPLPPMHYQHQYPPYQLMPGPPPQPPSAGRP >DRNTG_20899.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25156315:25159530:-1 gene:DRNTG_20899 transcript:DRNTG_20899.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTLMLPDLFSLYLSIDVVPFLASLCYLLQTKADYDKECKICTRPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVCLLDLEYGLPVQVRDTALSINSNDSIPKSDVNREYFAEEHDRRARAGIDYESSFGKARPNDTILKLQRTVPYYQRNRAHVCSFYARGECARGSECPYRHEMPQTGELSQQNIKDRYYGINDPVAMKLLAKAGEMPSLVPPDDESIKTLYVGGLDARISEQDLRDQFYAYGEIESIRMVLQRACAFVAYTAREGAEKAAEELSNKLVIKGLRLKLMWGRPQTLKPEGEGGEDEAARQGLVAHGGMLPRALVSQQQSGQQPLPFGIEDQQQQQAMPYFNIPVPLPSQRTSYPSMDPQRMGAVVPSGEASDSKTGLEKPGQPRGLDGSGHSSYPLAPPPPQPHGQYPNFYPPYRYPTPLPPMHYQHQYPPYQLMPGPPPQPPSAGRP >DRNTG_06904.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:14086064:14086841:1 gene:DRNTG_06904 transcript:DRNTG_06904.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKEWEDHFKQMESIIDKLQQQVLVVVQTVLQSLGLSNIQLATQGGDNDLRDVIANSQENIRNVPHGNDNEKDGNESNLEEDSEKDDENNENEDSCEDDDDNDDD >DRNTG_23684.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10221555:10224526:1 gene:DRNTG_23684 transcript:DRNTG_23684.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFDEIPTVSKCAARMGQLFSSSMQSFNVPSQDVQSIQDIEVVTDGVKYCFSDGIGKISLAFARQVARKCGMSDTPSAFQIRYGGYKGVVTVDRTSFRKLSLRQSMKKFDSSNTMLNITNCSDYLPCYLNREIICLLSTLGIEDEIFELMQQAEIRLLDQMLVESEAALTVLDRLNGFETKTTKTMLMHGYAPNSEPYISMMLRACRDYQLSDIRSRCRIFVPKGRLLIGCLDETATLNYGEVYLRITMKGNEKQQATGHTFFDKADQTTAVLIGKVVVTKNPCLHPGDVRVLQAIYEPGLDEMGLVDCLVFPQKGPRPHPNECSGGDLDGDLYFVCWDENLIPQKTDEPMDYTGRKPRFLDHAVTEEEIQNFFVDYMVNDTLGTISTTHLVYADKEPRKARSPKCLQLANLHSMAVDYAKTGAPAEMPRILKPKEFPDFMDRWDRPMYMSSGILGKLYRATLRKTDVERSEDTCFGVPVQSMYDSDLEVDGFEAFIEVAEEFRDLFSEKLSSLMTYYGAEYEDEILTGYLRNRSAYLQRDKRRYGEMRDRILLNVRNLQTEVKGWFDSSGSGSGSDTTKMASACYHVTYHPNYYSASNFLSFPWIFSDVLLSVKSSKKLCR >DRNTG_13963.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000651.1:132077:133562:1 gene:DRNTG_13963 transcript:DRNTG_13963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSANGLNESHSPEGVKSDQDAATLYPHIAGP >DRNTG_15243.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23436339:23436837:1 gene:DRNTG_15243 transcript:DRNTG_15243.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARYEDELARKRMQACHESQRARNQELVKMQEESAIRQEQTRRATEEQIQAQRRQTEREKAEIERETIRVKALAEAEGRAHEAKLAEDVNRRMLIERANAEREKWISAINTTFEHVG >DRNTG_15243.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23436225:23436837:1 gene:DRNTG_15243 transcript:DRNTG_15243.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARYEDELARKRMQACHESQRARNQELVKMQEESAIRQEQTRRATEEQIQAQRRQTEREKAEIERETIRVKALAEAEGRAHEAKLAEDVNRRMLIERANAEREKWISAINTTFEHVG >DRNTG_15243.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23435749:23436837:1 gene:DRNTG_15243 transcript:DRNTG_15243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYEDELARKRMQACHESQRARNQELVKMQEESAIRQEQTRRATEEQIQAQRRQTEREKAEIERETIRVKALAEAEGRAHEAKLAEDVNRRMLIERANAEREKWISAINTTFEHVG >DRNTG_15243.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23436339:23436931:1 gene:DRNTG_15243 transcript:DRNTG_15243.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARYEDELARKRMQACHESQRARNQELVKMQEESAIRQEQTRRATEEQIQAQRRQTEREKAEIERETIRVKALAEAEGRAHEAKLAEDVNRRMLIERANAEREKWISAINTTFEHVGGRLCHTFVLVNFCN >DRNTG_05884.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000271.1:45618:47663:1 gene:DRNTG_05884 transcript:DRNTG_05884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWKVLYLSSTDSLVWYRIRLDGSSPTVIATVNFYNTLPKILPNPLFIEVAPPNHEDKLRLSVSLLRDLHSLNAIVGI >DRNTG_06902.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:13969702:13982359:-1 gene:DRNTG_06902 transcript:DRNTG_06902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVESDKKLFTRLRLWEFPDRYVFENADGVADSYLSISRADGSMELIGDLPQCATGQSPKVRTVYGLIGVQRILVGSYLLVIAQRECVGSYLGHAIYKVSRLEILPCNLALKNTDAAKKKMETEFSMLLNAAEGTSGLYFSYDVNLTLCAQRLHDLGDESKKLPLWRQADPRFLWNNYMLEPLIDNNLDPYLLPVIQGSFQNFQAAVRRDIINVTLIARRCTRRTGTRMWRRGADSDGYVANFVETEQIVQWNGFSASFVQVRGSIPLLWEQIVDLTYKPSFELVKAEEAPRVAERHFLDLSRKYGSVLAVDLVNKHGSEGRLGEKFANAMQNIANDNIRYVQFDFHRICGHIRFERLSLLYEQMEEYLKNHGFFLLNEKGEKVKEQTGVVRTNCIDCLDRTNVTQSMIGRKMLESQLHQIGIFGADETIGSHPDFDASFKILWANHGDEISIQYSGTPALKGDFVRYGKRTVQGIFNDGWNALARYYYNNFADGTKQDAMDLLQGHYIVSVNRDMAFPAQPKGLEAFASFRLAMVLVLAGLLFAFMSLRQARLDFRHLFFPFFWASLSLGLAAFVRANGRIFTNRPRLFRSRHWH >DRNTG_01631.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1057078:1062401:1 gene:DRNTG_01631 transcript:DRNTG_01631.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein ClpB3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G15450) UniProtKB/Swiss-Prot;Acc:Q9LF37] MATTVTAHHLGILPSRSNSANGGRFLPLPSLALHSKRMRSGQSLKALTWSGSFGRVDAVLSKRPGRFGSRSRSSLIRCLASSDGRITQQEFTEMAWQAIVASPEVAKESKHQIVETEHLMKALLEQKNGLARRIFSKAGVDNTRLLDATDKYIQRQPKVLGESAGSMLGRDLEALIQRGRDYKKEYGDSYVSVEHLVLGFVEDRRFGKQLFKDFRITLEALKSAIQAIRGRQNVIDQDPEGKYEALEKYGKDLTAMAREGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAICEGLAQRIMEGDVPQALMNRRLVSLDMGALIAGAKYRGEFEDRLKAVLREVTESDGQIILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPSVEDTISILRGLRERYELHHGVRISDGALVGAALLSDRYISGRFLPDKAIDLIDEAAAKLKMEITSKPTALDEINRTVLKLEMERLSLTNDTDKSSKDRLARLEAELALLKEKQAQLTEQWENEKSVMTKIQSIKEEIDRVNLEIQQAEREYDLNRAAELKYGSLNTLQRQLQEAEKELNEYQNSGKSMLREEVTADDIAEIVSKWTGIPVSKLKQSDREKLLHLEEELHKRVVGQEPAVRAVAEAIQRSRAGLSDPHRPIASFMFMGPTGVGKTELAKALASYLFNTEEALVRIDMSEYMEKHAVSRLIGAPPGYVGYEEGGQLTEIVRRRPYAVILFDEIEKAHADVFNVFLQILDDGRVTDSQGRTVSFTNSVIIMTSNVGSHYILNLDDDSSDSSYETIKQRVLEAARSVFRPEFMNRVDEYIVFRPLDREQINTIVRLQLERVQARLADRKIKVKVTIPAVELLGNLGYDPNYGARPVKRVIQQNVETEIAKGILRGDFKDEDVILVDTEVTGFSNGQLPQEKLIFKKLSSGSSDTSSAPEQSAVLPSI >DRNTG_33919.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14132827:14135033:-1 gene:DRNTG_33919 transcript:DRNTG_33919.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVSKRHFVELLIDKKRNRVVFAESDKDFVDVLFSFLTLPLGTVVHLLGNRSLLGAIDELYSSAESLDPIYLNSIPCKSMILRPRSAAWVHCKRLGINLDDSDPEVFYRCRTWSEFSARTCCISSYPNCLCSCGQVMDTIITTVLPVVGEEGGDGVFVKGVTRFMIGDDLGLQPVSMAESLVLFKNLGIRDGNDLEKTTVLVGIDEILKLLERSLVSKTPLTDVFLHNADNLESQHCMIQAREKHQMTSTESKRINLKLFLSNESSKVVYAEAKEDFVNLLFSFLTFPLGLIVKLLNKRSCMGSIDNLYDSVEALCSVSSDYMKSEECMNMLLSPKLPPYFGCSSQLLKIDEMLPQKHMIRFNGVKLDKVEMNPRCQNSGSENGGFVKSLVTFMVTDEMLITPFSPIAGVHMINKMIIPINCLEEVAVSIGEVEALNLLKACLNSRKVLSDFISPNLLALLEEKWSGQLPSHSIVS >DRNTG_01694.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23742486:23743433:-1 gene:DRNTG_01694 transcript:DRNTG_01694.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAM68, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19100) UniProtKB/Swiss-Prot;Acc:O49668] MESLFLRPAPFSSLQTPHRSKPSTSYQILFPSRVLSLPSALKSPKGFGPPSQTKKPKQKRTKPDEYEDDDEGYEDGAKEDDTIPEVVTNRMMRRMGLSIGIPLGVGLLFFPFFYYLKVVAKIDVPTWIPFIVSFFFFGSALLGVSYGIVSASWDPLREGSLLGWNEARRNWPVFWESLWGRGKK >DRNTG_01694.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23742549:23745423:-1 gene:DRNTG_01694 transcript:DRNTG_01694.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAM68, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19100) UniProtKB/Swiss-Prot;Acc:O49668] MESLFLRPAPFSSLQTPHRSKPSTSYQILFPSRVLSLPSALKSPKGFGPPSQTKKPKQKRTKPDEYEDDDEGYEDGAKEDDTIPEVVTNRMMRRMGLSIGIPLGVGLLFFPFFYYLKVVAKIDVPTWIPFIVSFFFFGSALLGVSYGIVSASWDPLREGSLLGWNEARRNWPVFWESLWGRGKK >DRNTG_01694.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23742549:23743433:-1 gene:DRNTG_01694 transcript:DRNTG_01694.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAM68, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19100) UniProtKB/Swiss-Prot;Acc:O49668] MESLFLRPAPFSSLQTPHRSKPSTSYQILFPSRVLSLPSALKSPKGFGPPSQTKKPKQKRTKPDEYEDDDEGYEDGAKEDDTIPEVVTNRMMRRMGLSIGIPLGVGLLFFPFFYYLKVVAKIDVPTWIPFIVSFFFFGSALLGVSYGIVSASWDPLREGSLLGWNEARRNWPVFWESLWGRGKK >DRNTG_01694.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23742486:23743398:-1 gene:DRNTG_01694 transcript:DRNTG_01694.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAM68, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19100) UniProtKB/Swiss-Prot;Acc:O49668] MESLFLRPAPFSSLQTPHRSKPSTSYQILFPSRVLSLPSALKSPKGFGPPSQTKKPKQKRTKPDEYEDDDEGYEDGAKEDDTIPEVVTNRMMRRMGLSIGIPLGVGLLFFPFFYYLKVVAKIDVPTWIPFIVSFFFFGSALLGVSYGIVSASWDPLREGSLLGWNEARRNWPVFWESLWGRGKK >DRNTG_01694.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23742298:23743398:-1 gene:DRNTG_01694 transcript:DRNTG_01694.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAM68, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19100) UniProtKB/Swiss-Prot;Acc:O49668] MESLFLRPAPFSSLQTPHRSKPSTSYQILFPSRVLSLPSALKSPKGFGPPSQTKKPKQKRTKPDEYEDDDEGYEDGAKEDDTIPEVVTNRMMRRMGLSIGIPLGVGLLFFPFFYYLKVVAKIDVPTWIPFIVSFFFFGSALLGVSYGIVSASWDPLREGSLLGWNEARRNWPVFWESLWGRGKK >DRNTG_04659.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30551543:30552787:1 gene:DRNTG_04659 transcript:DRNTG_04659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVNDDCKLKFLELKAKRTYRFIVFKIDEKLKQVIVDKLGEPTLGYEDFTASLPENECRYAIYDFDFVTAENCQKSKIFFIAWSPDVARVRSKMLYASSKDRFKRELDGIQVELQATDPTEMGIDVIRGRAN >DRNTG_34517.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5047294:5049187:1 gene:DRNTG_34517 transcript:DRNTG_34517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYTINNEIEFKACNPELKHPSSLYRWSCGVASSSPRVPSSSLGTPCRIDADESSPNNSLPNECDVEGHRTTSKTALLSLSK >DRNTG_29755.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15931925:15942517:1 gene:DRNTG_29755 transcript:DRNTG_29755.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFAKLDDSPMFRKQIQSFEDSAELLRERCLKFYKGCRKYTEQLGEGYDGDIAFASSLETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHILNDRLLQFVDIDLQDVKEARKRFDKACLQYDQAREKYLSLKKNAKADVATVLEDELHVARSSFEQARFSLISAISNIEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYIHQVLTYAQQSRERSNCEQIALTERMQEFKRQIDRESRLSSYGTISSPNGDGIQAIGRSSHKMIEAVMQSAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQFSRPSASHNARGHGSSEHGSGLLSRWFSSHYHGGVHDEKSVARHTVNLLTSTIKVDAEQSDLRFCFRIISPSKNYTLQAESAADQMDWVEKITGVIASLLSSQSPEQRLLASPSSSGHHRAASESSSFGSSSDLDHLVEEPSVERNFAAGQSDRSVRSSQQHRFHSKHDKPIDVLRKVSGNDICADCGASEPDWASLNLGVLVCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVISLFESLGNAFANSIWEELLHMKSNGNSGETPSFCKFESRQQQMFVNKPKHSDPISIKEKFIQAKYAEKAFTLKPKPDQDHLFVARQTWQSVHANDKKAVYHHIVSSNANVNAVYGHASACPSALTLAKALLLQEHSGSLLDQSSSCTVSESLHKSSPTSPPHSVKATEDRIESGEIIDGFSLLHLACHTADVAMVELLLQYGAIVNAPDLQGRTPLHHCIIKGKLAFAKVLLSRGADPHDCDMYGKTPLQYAVEAGTINDEEILVLLEDTHR >DRNTG_29755.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15931925:15942517:1 gene:DRNTG_29755 transcript:DRNTG_29755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFAKLDDSPMFRKQIQSFEDSAELLRERCLKFYKGCRKYTEQLGEGYDGDIAFASSLETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHILNDRLLQFVDIDLQDVKEARKRFDKACLQYDQAREKYLSLKKNAKADVATVLEDELHVARSSFEQARFSLISAISNIEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYIHQVLTYAQQSRERSNCEQIALTERMQEFKRQIDRESRLSSYGTISSPNGDGIQAIGRSSHKMIEAVMQSAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQFSRPSASHNARGHGSSEHGSGLLSRWFSSHYHGGVHDEKSVARHTVNLLTSTIKVDAEQSDLRFCFRIISPSKNYTLQAESAADQMDWVEKITGVIASLLSSQSPEQRLLASPSSSGHHRAASESSSFGSSSDLDHLVEEPSVERNFAAGQSDRSVRSSQQHRFHSKHDKPIDVLRKVSGNDICADCGASEPDWASLNLGVLVCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVISLFESLGNAFANSIWEELLHMKSNGNSGETPSFCKFESRQQQMFVNKPKHSDPISIKEKFIQAKYAEKAFTLKPKPDQDHLFVARQTWQSVHANDKKAVYHHIVSSNANVNAVYGHASACPSALTLAKALLLQEHSGSLLDQSSSCTVSESLHKSSPTSPPHSVKATEDRIESGEIIDGFSLLHLACHTADVAMVELLLQYGAIVNAPDLQGRTPLHHCIIKGKLAFAKVLLSRGADPHDCDMYGKTPLQYAVEAGTINDEEILVLLEDTHR >DRNTG_33778.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:67922:71620:1 gene:DRNTG_33778 transcript:DRNTG_33778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGIALSRFQDDLGFSAFQSDGSRSPHCSSESILIYLAVGGSSVMPMRVLESDSIACVKLRIQSCRGFVVKKQKLVFDGRELARNDCLVRDYGVADGNVLHLVIRLSDLRVITVKTTCGKKFEFHVQRDRNVGYVKRQVVKRGRGSVDLDDQELVYDGEKLDDRSLISDICKNNDGVIHLLARKASKFRTRPFEKEFELSIVAPEVEDRKTLPELQIISRKPPDRDVWIEPVVVNSKVEVPPVLADLVQATLSGLEKGNGPVMSSEGSGGAYFMQDVSGHKFVAVFKPIDEEPLAQNNPRGLPLSSDGEGMKRGTRVGEGAFREVAAYILDHPLSGRRSFSCADIGFAGVRPTVLVQCLHAGFYHPEGFDVKNYKVGSLQMFVKNHGSCEDMGPRAFPVEEVHKISVLDIRLANADRHAGNILVCKEGDEGRIVLVPIDHGYCLPENFEDCTFEWLYWPQTRQPFNSETIEYIKSLDADQDIALLKFYGWDLPLDCSRTLQISTMLLKKGVERGLTPYDIGSIMCRETLKKESKIEEIIREAKDAVLPGTSETTFLETVSIIMDRRLDELTA >DRNTG_09804.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26811961:26814902:1 gene:DRNTG_09804 transcript:DRNTG_09804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILSMLKLCNSAQVLSQIHTRFIIHGVDQNPSLSSLLIDRYSHFSRLDLSLKLFQSLSTPHSLAYHAILRNLCINGEFHRVLVVYRQMLAQSLLPDEYVSVLVVRACVDVSLCFSVCLQVHCHLLKFGLDCFASVGNALVAMYGNVCEIGDARRMFEVMPSRNLPSWNAIILAVGESGDFMESFRLFKRMRFEGFEPDFVSIAGVLRLCVDLNSLETGRLVHLLVILSNLSGDLSVNTALLMMYCKLGDLKMARRLFDWMEEKDCAVWNILMSGYSKNGYPGLALELLVDMGKSGVRMDLFTAIASIAAVTELKSLRYAKEIHGHVIRNGSDYQVSVHNSLIEMYCKCWSPEIASRIFDSLGNRSAVSWSSMIKGYINNGYSSKALLLFNEMKKNGVRPDAITLINVLPAFVNSAAFEQVKNIHGCSMKQGLNTSVSFMTALLVSYAKCGCIEMAQKIFDEEEIDRRDVVLWNSMIGAYSKHGCWDQCFKLYCQMRNLALKPDLVTFLGLLTACVNSGQVKEGKECFSDMVEIYGYQPDQEHYASMVDLLGRSGSLDEAKKLIEKMPMKPDVRVWGPLLSACKLHSETELAEFAAEKIISMEPHNAGNYVLLSNIYAAAGKWDDVARMRRFLRGGGLKKTPGISWLDINGQVHEFRVFDHSHPEAEDIYTMLRILGQEIKPENNQPY >DRNTG_30222.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:120503:123138:-1 gene:DRNTG_30222 transcript:DRNTG_30222.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSVDLLQHIGFPNHTMNLKDNKRNEDDEEQEEEQSDLELSEGNDESNSPTVPLLLNPAYTRTKSLVYDELHNLRISLKWCGLDHSSNTSKAISFTVFIFLTFLIPVVTSLSIHSSSSSSSSLNKLVQLPESILTIISFVTLSSFFRRYGLRQLLFLDELYHDSHYVRHGYNRELDRSFKYLAYIFLPSFSVELAHKIVFFSTVTVPWIPFINNSAVFIVTLASWVYRTGVFLLVCVLFRLTCELQILRFQGLYKMFEGHGEDPGDIFKEHLRIKKQLLVTSHRYRIFIIACLVTITISQLGGLLLVLASKFHINFTNSGDLVVISLTYQFHAFTISTYTYHS >DRNTG_30222.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:120271:123138:-1 gene:DRNTG_30222 transcript:DRNTG_30222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSVDLLQHIGFPNHTMNLKDNKRNEDDEEQEEEQSDLELSEGNDESNSPTVPLLLNPAYTRTKSLVYDELHNLRISLKWCGLDHSSNTSKAISFTVFIFLTFLIPVVTSLSIHSSSSSSSSLNKLVQLPESILTIISFVTLSSFFRRYGLRQLLFLDELYHDSHYVRHGYNRELDRSFKYLAYIFLPSFSVELAHKIVFFSTVTVPWIPFINNSAVFIVTLASWVYRTGVFLLVCVLFRLTCELQILRFQGLYKMFEGHGEDPGDIFKEHLRIKKQLLVTSHRYRIFIIACLVTITISQLGGLLLVLASKFHINFTNSGDLVVCSAVQLSGFCMCLLGAARITHRAQRTVSIAGRWHMLMACNSDNKHCTSEQLIFHDEHGDEEPRLISEPASMEQSHPCASFWQCQALVTYLQHNGGGITLFGFMLDRGLLHTLFVFETTLVLWILSKVVVLS >DRNTG_30222.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:120503:123138:-1 gene:DRNTG_30222 transcript:DRNTG_30222.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSVDLLQHIGFPNHTMNLKDNKRNEDDEEQEEEQSDLELSEGNDESNSPTVPLLLNPAYTRTKSLVYDELHNLRISLKWCGLDHSSNTSKAISFTVFIFLTFLIPVVTSLSIHSSSSSSSSLNKLVQLPESILTIISFVTLSSFFRRYGLRQLLFLDELYHDSHYVRHGYNRELDRSFKYLAYIFLPSFSVELAHKIVFFSTVTVPWIPFINNSAVFIVTLASWVYRTGVFLLVCVLFRLTCELQILRFQGLYKMFEGHGEDPGDIFKEHLRIKKQLLVTSHRYRIFIIACLVTITISQLGGLLLVLASKFHINFTNSGDLVVISLTYQFHAFTISTYTYHS >DRNTG_18665.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15875269:15882107:1 gene:DRNTG_18665 transcript:DRNTG_18665.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPLRKALFHPTEPNPEDHPRNSLPSHPPASFAAHIDGYTDADIDTLLH >DRNTG_09563.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22602746:22604813:-1 gene:DRNTG_09563 transcript:DRNTG_09563.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLDGIVAFEGKSSMVLQERVVSKGKNKPKRPPQRGLGVAQLEKLRLQEQQKNLSLTSSAVLDPNPMFRSSVNQNQPNSWERSSLSVSSHKILQQQQHDLSNALLVSKSLTPSSSSGVHLQMEPPSNQSYCSNYSTVISSWPEEDTNLVEMVGMKRSCPFQLENLHSSYPCKVPSFLTTLRREGSSISICKKENSVSDGGFLSLGPSLAPSVPKSKQFMAFSTTKHSQTSDLNFPLYHKNAAVAAADDDNDTFKLSFGNSGQAPPYYAFFPVRTNTQEAMLNEQRGEVFDGIDLNLKL >DRNTG_09563.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22602746:22604813:-1 gene:DRNTG_09563 transcript:DRNTG_09563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLDGIVAFEGKSSMVLQERVVSKGKNKPKRPPQRGLGVAQLEKLRLQEQQKNLSLTSSAVLDPNPMFRSSVNQNQPNSWERSSLSVSSHKILQQQQHDLSNALLVSKSLTPSSSSGVHLQMEPPSNQSYCSNYSTVISSWPEEDTNLVEMVGMKRSCPFQLENLHSSYPCKVPSFLTTLREGSSISICKKENSVSDGGFLSLGPSLAPSVPKSKQFMAFSTTKHSQTSDLNFPLYHKNAAVAAADDDNDTFKLSFGNSGQAPPYYAFFPVRTNTQEAMLNEQRGEVFDGIDLNLKL >DRNTG_22783.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3958103:3958836:-1 gene:DRNTG_22783 transcript:DRNTG_22783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLCRQEFPWKRRRLAVDFGNRKIITRSEKGMRLLRLQTHRRPPVRLRPLPSTSNPTSSSSSPSSSSLPPALAAATSKKTSEPQSDEQRIDDLVLPRLRFLKQPITLFGEDDDARLDRLKLTLKLGVFDADSDMTEGQTNDFLGDVYELRKRQKAGSLLHDRAKDKRDDGDGIEGDGGDDDGDKDVSDDGGSSGMDADKDIKRMKANFEELCDEDKILVFFKRLLNEWNQELDEMQ >DRNTG_24667.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6909461:6909852:1 gene:DRNTG_24667 transcript:DRNTG_24667.2 gene_biotype:protein_coding transcript_biotype:protein_coding QRTAKSTSMGSNDGNSGSLIDFNVDSGTPAAAVSAQEIVSQQTNSPC >DRNTG_24667.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6907579:6909852:1 gene:DRNTG_24667 transcript:DRNTG_24667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRMKDDDKNEKIIRGLLKLPANRRCINCNNLGPQYVCTNFWTFICTNCSGMHREFTHRVKSISMAKFTSQEVISLQACGNSRAKDIYFKEWDPQWHSYPDSSDIDSLREFIRHVYVDRKYTGEKGTNRSSRMKGHSQDFSENKMSKLCRSSSRSPPYVVKHRDGYKLRCNSDKRSPGYFEIVDERHRDRRNGNVNQKSKLDRQEISDVVSKGRWKVTGQTKG >DRNTG_03994.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25728234:25731642:1 gene:DRNTG_03994 transcript:DRNTG_03994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSSILQITSRLVTAFRGSPSSSSSSSSSDNQKVIEDELKGLEMILWNIDSVLEDAGRREIRDASVRLWLKELKGIAYDGEDVLDEYEYELLRCQVESRNAAAAAAAAAARISRKRKHVDDEEEEEQVYFSLPSIIPRGPSFPNHMLDRIRKIRERFSDIEKDRNALSLRELGPRRYNSDDDDDSIKPPPPSSSVDESRVFGRDSDKEKLVELLFSDMNCKFSVISIVGIGGLGKTTLAQLIYKDQRVRGYFDLKGWVYVSLHFDVLRLTKLIIETLSGQQSCAFIELDKLQSVLSESVEGKKVLLVLDDIWNEEQSPWQLLQAPFTNADIVRIIVTTRNSSVARVMQTGTSPYKLGLLSEEQSWLLFKLYASADKEPLLQFVDIGKQIVKKCNGLPLAVKALGGILRYEIEESSWWDVLQSDLWELDEAQAEILPALKLSYSRMPSYLKPCFLFCSMYPKAHLFSKGKLIRIWMAQGYIRVKENKIIEDVGENYFNELQQRSYFQLYQNPHMQLSTGNEHEWYVMHDMIQDLAHFISENECLSINISEGQAVRHEISDKVRHLNVMHLSTMDLELAELVSLKEPNYLRTFDCLDIRYIDRSESLLVKFGRLRALDLEFARPQELLSSIGSFKHLRYLFVKNKMRWEALPERVCQLYNLQTLDLKNCMLHEVPSEIGNLINLRCLALSSFSVVQLPESIGNLHNLHTLDLQSCYRLQKLPQGISNLVKLRHLFFPSDAKLPQGIGKLTNLETLEYFRAGKGDSIEKHCGIEELKNLVNIKGKLCISELGKLVSVGSVIAGNLKTKSKLKGLQLNWGYLQHPYKDNLCSEELNFSVLERLEPHHDLLSLKIEGYKGLDYPAWLGDPSFTRLTSIDFDFCKQIQDFPWLTARLPSLTKLYFNEIKIMKSVAHEGQEVSFPSLEVLSFSHMREWDNWSSVMDKDFPKLKRLTIQACPKICELPSFQSLVNLTLAYCEKLRSVTVHEDATCRSRLSTLHVYDCAQLTSLVGLKCLNSLSELVIETCSELRFQPDDCLPVLPKYVKICDRNGPKHWCDEHGFHYKQFCSFDLHPKDEADEA >DRNTG_25815.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:996209:996917:-1 gene:DRNTG_25815 transcript:DRNTG_25815.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSSGGGDGGGGHGGCGAGASGEVVQRGGGERGSGDAALEGACENDSDAREESL >DRNTG_25815.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:996209:997623:-1 gene:DRNTG_25815 transcript:DRNTG_25815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTISTLRSSLRAANSHRTCLQPLARALSTTPSGTDDLTKKTQTEAEKKPKGNNTSTPPNLKTSELPPPLDPSLQQRRRCHVSKEALDGVSCVGFDGGIITGGDWKEDFKEYYEDHKPSPLAEIEIVDTRKPITKAIDERWEEGVVGAAMVEEDTVDAALERAERLFREAAERGDPETPHSKALARMIAMRERNHSDAFCRLI >DRNTG_29389.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:499746:504544:1 gene:DRNTG_29389 transcript:DRNTG_29389.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NEDD1 [Source:Projected from Arabidopsis thaliana (AT5G05970) UniProtKB/Swiss-Prot;Acc:B3H5K9] MSFVNPSGALLAASGGDTVKLFDVTVDLGDPCVLSYSPSPGSHVNSIKWNHTNLVVASAGEDKKISLWHKNGQSLGTIPMPGNDASDDIQESILSVSFSNKGSRYICSGGTSHMIRIWDLQRKRCIKWLTGHSDTITGVMYNCKDEHLASISMKGDLIIHNLASGGRAAEFKDPNGQVLRVLDYSRLSRHILVTAGDDGSIHLWETTGRSPKVSWLKQHSAPTTGVCFSPSSDKIIASAGLDKKLYIFDSGTRRPTFFAPYETPFSSLAYSDDGNILAAGTNSGNVVFYDVRGKPQPFTLLRAYNNSEAVTSLSWQRSKPVVVNEKNLTFEGALLGGISEDSVLMPDPLPSVAASNVPLETALHSSYSLPTTFSGSSLETPLRNRLFPGGSLSKLQAPRTNYSIKDDMDVFSPLADVQPITPSIGSQFDDHDEARKDSATVNKRSALYAASVRRYVEGNMDPHPISDWTSNLTSRQEDSSNAPSMSATPTASLKSDSSLSLTPPEAWGGNALVDKLAHRRQLAPAVSRILPSLSLAPSGSIYSGIQESSSFSSHSLKNPSSNPSTSSMTLPSIATLKNEALSESSSVYNPSSASTSFAAKTIVSSANIELPGLVPPTHQRRYSTYAERMAVTSSLADVSSSTISSPKSKKTGDETREDLLGSRFARQDLPSMTVMGILPVTNGVSSQPHPGQVDQQQGTSSFSLQLVQRTLEETLGSVQKSIHEDVRNLHIELLRQFHMQEMEMHNLLAPVLEKLEDLSKEVQLLRRENQQLRQLL >DRNTG_03004.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19041949:19042483:1 gene:DRNTG_03004 transcript:DRNTG_03004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYQGQNGRVGVLFVGPYITSLILGMGLGDALRDTDQTVIPSPLGLDTVKMMGVLLRDRPQAPPASPSPPPPAPFDLVLAATEDPERDIDT >DRNTG_29466.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:817905:818349:-1 gene:DRNTG_29466 transcript:DRNTG_29466.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALASRATVGLALRSAALVKALSTSTATPSHTVIDSENTTKLRRKKKKNLFEVAQFLPNWGIGYKLAKSHWRDVSYQLTKINLYKDGRHGKAWGIRYKAGISVQIF >DRNTG_29466.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:817044:818349:-1 gene:DRNTG_29466 transcript:DRNTG_29466.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALASRATVGLALRSAALVKALSTSTATPSHTVIDSENTTKLRRKKKKNLFEVAQFLPNWGIGYKLAKSHWRDVSYQLTKINLYKDGRHGKAWGIRYKAGLQVTNDPVKLSGVNKHGWRYIPESNEKNTTVRKVSEQSLT >DRNTG_31499.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18978238:18979679:1 gene:DRNTG_31499 transcript:DRNTG_31499.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRDWRTDHQHVGGDVVEAGAGGGEANGKGKVIDYISRIEIGRNNRAEAKGLGVEVTGEAAVAELASGVGFAKEVTLVGHEVALKEGGDSVVVAGVDGGVAEYDDYLDDGEGEERQR >DRNTG_31499.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18977476:18979679:1 gene:DRNTG_31499 transcript:DRNTG_31499.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRDWRTDHQHVGGDVVEAGAGGGEANGKGKVIDYISRIEIGRNNRAEAKGLGVEVTGEAAVAELASGVGFAKEVTLVGHEVALKEGGDSVVVAGVDGGVAEYDDYLDDGEGEERQR >DRNTG_07072.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2104409:2110153:-1 gene:DRNTG_07072 transcript:DRNTG_07072.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRGRFPPGMGNGRGANQGQNPNFYGRIAPQQHLQHQQQYVQKNPAQNQQYQSLQQLQQQQQQQWLRRNQMGEDSGRNEPAKSVQSDAIDASSQDWKAQLKIPPRDTRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNVIQVVILVPTRELALQTSQVCKELGKHLQVQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCVLKDCSMLIMDEADKLLSPEFQPSIEQLIRFLPASRQVLMFSATFPVTVKEFKDKYLPKPYVINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNAETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPQIDQAIYCR >DRNTG_00733.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21398866:21400293:-1 gene:DRNTG_00733 transcript:DRNTG_00733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSRSSRSPTSSSTVTILSKSTVYPDRRSNMADLKLSVSDLPMLSCHYIQKGLIFPQPPFPFLSLLTQSLSRALSIFPALAGRLTTRPDGRIFISCNDAGADLIHAIAPSFTTSGVLPACSDTTPVFKEFFALDGCLSYEGHIQPLAAFQITELGDGAVFIGCTVNHALVDGTSFWNFFNAWAELCRTGGPKVSLQPDFRRNYFGDSKAVLDFPDGAVPKPTFPVDDPIRERIFHFTREAILQLKDRANLSPSKQQAVSGSMDEIYGKQTHDRKADEISSFQSLCAQLWISVTRARVKHLSSEATTTFRMAVNCRHRLVPPVDTYYFGNAIQSIPTTASVGQVAAKDLRWVAGLLHRNVLAYNSEQVRKAVAEWEVAPRCFPLGNPDGAGITMGSSPRFPMYDNDFGWGRPLAARSGRANKFDGKISAFPGRDRDGSVDLEVCLAPETMTALLDDHHFMQYVSQHAILPVHSSK >DRNTG_04806.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2367871:2371607:1 gene:DRNTG_04806 transcript:DRNTG_04806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEEAHNVRVVGSGERTIVLAHGFGTDQSVWKHLVPHLVNEYRVVLFDNMGSGPTNPDYFDFERYASLQGYVLDLFAIFEELAITSPVIYVGHSVSGAIGLIASIHRPELFSKIVLISTSPRFINDVDYYGGFDKEDLDQVFDAMNKNYKSWASGWAPLCVGGDMESVAVQEFSRTLFNIRPDIALSVAQTVLHSDLRGILGLVSVPCHILQSTKDVSVPVVVSKYLHKHLGAESIVEIMPSEGHLPQLSSPDIVISVILRHIRFDILKPETMKPSA >DRNTG_02436.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000115.1:110240:115383:1 gene:DRNTG_02436 transcript:DRNTG_02436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMASQWITTPLFLFLFLLFPTLLLLLFTSSVHRPVTLTSSSNHLDGKFRLNGLVPGTAGDAEASRALTVSSPPAPLIKRKTRSFVERDLARARTAIQRAVSVSQKGNVSQMGNSSVLSSPATGDDLLRVYHNPAAFFRSYKEMKKRFRVYVYQEGDAPLVHDGPCKNIYTTEGRFIQELEMMTGISKSSLRTNDPNRAHAFFLPFSVAMMVKYLYIPNSQDQGPIRRFVSDYVGVVGSAHPFWNRTAGADHFMLSCHDWGPYVSDANKDLYTNSIRALCNANTSEGFNPTKDVSIPEVHLLTGDIPVQLRSPPPPHTPRPFLAFFAGGLHGPIRPPLLHQWQNRDPSIQVYEYLPKNSTNDYFSFMLKSKFCLCPSGWEVASPRVVEAIYTECIPVIISEGYVLPFSDVLKWEEFSLTVRVEELPELKELLGRKTEEEMDRLRRGLRAVRKHFVFNQPAKSFDVFHMILHS >DRNTG_04212.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20863390:20864990:1 gene:DRNTG_04212 transcript:DRNTG_04212.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMGEGRHTDPTVHAPPWNLFDDPTESVAFQLPVGNDPYLLGDATMAALQRFLPSNEDGDELDAPVDAYSCDEFRMYEFKVRRCARGRSHDWTECPYAHPGEKARRRDPRKYHYSGTACPDFRKGSCKRGDACEFAHGVFECWLHPARYRTQPCKDGTACRRRVCFFAHTPEQLRVLPQTQSPKAPVESYDGSPLRHHALDAYFTKNMFSSSPTSTLISPPVSPPSDSPPMSPVVRRAPVGASVNEVLASLRQLQLSKAKSAPSSWGLQMGCGLGSPRSPAVRAGGGFSSLPATPTRSSVGGSGWFDRWDGGHVDEPPMERVESGRALRAKMFERLSKESGMERPETGSPAPAMGTPDVGWVSELVM >DRNTG_04212.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20858852:20864990:1 gene:DRNTG_04212 transcript:DRNTG_04212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSDPTVHAPPWNLFDDPTESVAFQLPVGNDPYLLGDATMAALQRFLPSNEDGDELDAPVDAYSCDEFRMYEFKVRRCARGRSHDWTECPYAHPGEKARRRDPRKYHYSGTACPDFRKGSCKRGDACEFAHGVFECWLHPARYRTQPCKDGTACRRRVCFFAHTPEQLRVLPQTQSPKAPVESYDGSPLRHHALDAYFTKNMFSSSPTSTLISPPVSPPSDSPPMSPVVRRAPVGASVNEVLASLRQLQLSKAKSAPSSWGLQMGCGLGSPRSPAVRAGGGFSSLPATPTRSSVGGSGWFDRWDGGHVDEPPMERVESGRALRAKMFERLSKESGMERPETGSPAPAMGTPDVGWVSELVM >DRNTG_10581.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8667462:8673706:1 gene:DRNTG_10581 transcript:DRNTG_10581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDQRKKNTPEMDFFTEYGDANRYKIQEVIGKGSYGVVCSAIDTHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFSDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNEKARRYLSSMRKKQPISFSQKFPNADPLGLKLLERLLAFDPKDRPTAEEALADPYFKGLAKVMREPSCQPISKMEFEFERRRVTKEDVRELIFREILEYHPQLLKDYINGTERTGFLYPSAVDQFRKQFAHLEENGGRSGPVIPLDRKHVSLPRSTVVHSMTIPLKEQPNLASCRERQASDEASKNPRDIEKITGNPARSSPVPAYQRIPVAKPGKIVGPVMPYEHSNIKDAYDARRLIRDAVLPQSGIPPAFCYQRNISGKSDSTKQGQQTIHQCVPAKTTSEIALDMRAPPFYLPGVSKPDTMETNLLQAKSSFNGPAAAAAVHRKVGTVQYGISRMY >DRNTG_10581.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8667462:8673706:1 gene:DRNTG_10581 transcript:DRNTG_10581.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFTEYGDANRYKIQEVIGKGSYGVVCSAIDTHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFSDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNEKARRYLSSMRKKQPISFSQKFPNADPLGLKLLERLLAFDPKDRPTAEEALADPYFKGLAKVMREPSCQPISKMEFEFERRRVTKEDVRELIFREILEYHPQLLKDYINGTERTGFLYPSAVDQFRKQFAHLEENGGRSGPVIPLDRKHVSLPRSTVVHSMTIPLKEQPNLASCRERQASDEASKNPRDIEKITGNPARSSPVPAYQRIPVAKPGKIVGPVMPYEHSNIKDAYDARRLIRDAVLPQSGIPPAFCYQRNISGKSDSTKQGQQTIHQCVPAKTTSEIALDMRAPPFYLPGVSKPDTMETNLLQAKSSFNGPAAAAAVHRKVGTVQYGISRMY >DRNTG_25027.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19599206:19603617:-1 gene:DRNTG_25027 transcript:DRNTG_25027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVALNSIEAPCAASQPLAVPYSKESRFWGNRIPASLRGAPGSKDSRNLHLCLGSKSRNHREIKAVAAPDPIVELPLTAENVESVLDEVRPYLIADGGNVALHEIDGNVVRLKLQGACGSCPSSVMTMKLGIERRLMEKIPEIVAVEPIADEETGLELSEENIEKVLDEIRPYLVGTGGGVLEFVSIDEPIVKVRLTGPAAGVMTVRVALTQKLREKIPAIAAVQILS >DRNTG_25027.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19599409:19603617:-1 gene:DRNTG_25027 transcript:DRNTG_25027.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVALNSIEAPCAASQPLAVPYSKESRFWGNRIPASLRGAPGSKDSRNLHLCLGSKSRNHREIKAVAAPDPIVELPLTAENVESVLDEVRPYLIADGGNVALHEIDGNVVRLKLQGACGSCPSSVMTMKLGIERRLMEKIPEIVAVEPIADEETGLELSEENIEKVLDEIRPYLVGTGGGVLEFVSIDEPIVKVRLTGPAAGVMTVRVALTQKLREKIPAIAAVQILS >DRNTG_25027.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19599206:19603361:-1 gene:DRNTG_25027 transcript:DRNTG_25027.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKLGIERRLMEKIPEIVAVEPIADEETGLELSEENIEKVLDEIRPYLVGTGGGVLEFVSIDEPIVKVRLTGPAAGVMTVRVALTQKLREKIPAIAAVQILS >DRNTG_09149.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6971277:6973314:-1 gene:DRNTG_09149 transcript:DRNTG_09149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQGNVHVARVKLGSQGLEVSRLGYGCLGLTGLYNPPVPEEHGISIITQAFLKGITFFDTADVYGSHANEILIGKALKQLPREKIQLASKFGIENFDGSSVMINGRPEYVRACCEASLKRLDVAYIDLYYQHRVDKSVPIEDTVGEMKKLVEEGEVKYIGLSEASPISSGGLMQCIPSLLCK >DRNTG_09149.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6971277:6972856:-1 gene:DRNTG_09149 transcript:DRNTG_09149.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINGRPEYVRACCEASLKRLDVAYIDLYYQHRVDKSVPIEDTVGEMKKLVEEGEVKYIGLSEASPISSGGLMQCIPSLLCK >DRNTG_21997.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5517706:5518942:-1 gene:DRNTG_21997 transcript:DRNTG_21997.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMGSENGSDGAEEGGERGMPARSASMALVLHEAREPCIGMEFESAEDAWGFYNEYACRVGFGTRISVYQRSRKDGSITARQFVCSKEGFRTPRRNRDGREGEVRPRRSRAVTRVGCKAMIRVKKQENGRWFVSKLEVAHNHDLVSPNLAHCHRSRRLLSESGRSPVFGFRGGRSLAPANGEVNQGLLTYHEVSEQSSGGGIGNGIVDCLKRMQVEESGFFLAMQEDDGIGDELKGNVFWADERCRMAYKWFGDVVIFDMSFKKNQYRVPFALFLRFQSSPAACVVWLCTSC >DRNTG_21997.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5517706:5518675:-1 gene:DRNTG_21997 transcript:DRNTG_21997.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESMGSENGSDGAEEGGERGMPARSASMALVLHEAREPCIGMEFESAEDAWGFYNEYACRVGFGTRISVYQRSRKDGSITARQFVCSKEGFRTPRRNRDGREGEVRPRRSRAVTRVGCKAMIRVKKQENGRWFVSKLEVAHNHDLVSPNLAHCHRSRRLLSESGRSPVFGFRGGRSLAPANGEVNQGLLTYHEVSEQSSGGGIGNGIVDCLKRMQVEESGFFLAMQEDDGIGDELKGNVFWADERCRMAYKWFGDVVIFDMSFKKNQYRVPFALFLRFQSSPAACVVWLCTSC >DRNTG_18429.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7376246:7379168:1 gene:DRNTG_18429 transcript:DRNTG_18429.1 gene_biotype:protein_coding transcript_biotype:protein_coding FDRIFGDEFILDILGSLEYYRDIPPVQNHLSFLKEHVIYKEAITIKYPLVLSEIHQTYRIVYIKDVILPGVLDEVTIASPSAIIPANNATVVLLLKDDTSFIQELFARMKSPSISDESKRNLDKWAKNLYLASMCYGRTVP >DRNTG_18429.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7376890:7379168:1 gene:DRNTG_18429 transcript:DRNTG_18429.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMCRFKVDLNFTLPGFCGADCVVSFLYSFSVSC >DRNTG_18848.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2558021:2564778:1 gene:DRNTG_18848 transcript:DRNTG_18848.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAEAVPEMKKEVPEQEEIVYKTKVVEFCGRSTPIVLQNDNGPCPLLAICNVLLLRNNLSLSLDASEVSLQKLLSLVAERLIDSNSNVQDKDEEYVNNQQQNISDAIDLLPRLATGIDVNVHFKKINDFEFTPECAIFDLLDIGLYHGWIVDPQDADTAEAIGSKSYNTIVAELVAFETEKPEGERSQNKQDEDFVDFAAATTATLGVPSPSISRARSFDDTLVPAPSDGRERKGDLEEAEELMRVLNLSRTELSGNTDLHRPPDTALNFFSTNYAGNQHTKCSEYEIENVLLMAQDGDGPKDSLLPEVILTQECTASIDCKSNSLSPNIISTDSDAISSMVSVQSSRCALISEGFGDNSDPNNLSGNVRTDISIHKEAPPADECFANKDQTPVKSRCTSQESTEDISIPQTHSNTVDNLIDSGMTVPSIASAPNTDSCVSIGREESVDASECVSSLEDSEPIYEGEECILDSQHSTFEDREPVYEGEIILAEQSGKIDVTSSQHSLGEGVGKQWQLIKTFLENSASQLTIYGLFCLQEGLKERELCVFFRNNHFNTMFKFNGELYILATDQGYLNQPDLVWEKLNEVNGDTVFVTGSFKKFNAENQARDPWNEQNARSSTADYIASLEASDPAGSTLNSDLQLAIALQQQEFEQQPPRQQQQQQQQQNQQQSVSSRPRLVTGPQVPRSSNSSQRSESKPKDKCILM >DRNTG_27791.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3250031:3258156:-1 gene:DRNTG_27791 transcript:DRNTG_27791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCWPCFGSDKNKGEEKKPGGDFRKEGSTAPSVTRVASDKSKSRDGSESKKEASAPKDGNPGHIAAQTFTFRELAAATKNFRQECLLGEGGFGRVYKGRLESTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVSLIGYCADGDQRLLVYEFMPLGSLEDHLHDVPSHKEPLDWNTRMKIAAGAARGLEYLHDKANPPVIYRDFKSSNILLDEGFFPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLELITGRKAIDSARPTGEQNLVAWARPLFKDRRKFPKMADPLLQGHYPMRGLYQALAVAAMCLQEQAATRPLIGDVVTALSYLASQTYDPNATASQRVGPSTPRSREDRRNLGSVSDSQFAHSPHRNSPDFRQRDLTRGSSRGDSASGSGRKGILDEFDRQDSQKDSPIHGTKARDSPKNLNRDLNRELAIAEAKVWGENWRERKRTNTPGSFDGTNE >DRNTG_26129.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28419712:28422746:-1 gene:DRNTG_26129 transcript:DRNTG_26129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLVEKALLALFAAVILAIVVSKLRGKRFKLPPGPLPVPIFGNWLQVGDDLNHRNLSALARRFGDILLLRMGQRNLVVVSSPDHAREVLHTQGVEFGSRTRNVVFDIFTGEGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVQQYRSGWEFEAQAVVDDVKANPKAATDGIILRRRLQLMMYNNMYRIMFDRRFDSEDDPLFQRLKALNGERSRLAQSFEYNYGDFIPILRPFLRGYLKICKEVKQRRLQLFKDYFLDERKKLASTKPADNHGLKCAIDHILDAEKKGEINEDNVLYIVENINVAAIETTLWSIEWGIAELVNHPEIQHKLRNELDAVLGPNPITESDTQKLPYLQAVIKETLRFRMAIPLLVPHMNLHDAKLGGFDIPAESKILVNAWWLANNPAHWKKPEEFRPERFLEEDANVEANGNDFRYLPFGVGRRSCPGIILALPILSITIGRLVQNFELLPPPGEKKIDTSEKGGQFSLHILKHSTIVCKPRAF >DRNTG_33060.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001944.1:38126:38530:1 gene:DRNTG_33060 transcript:DRNTG_33060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASVAVSKTAATPVERVKLLSQNQDEMIKAGCLSEPYKKISDCFPCMIKDETLKSDGIAGLYHGFNISCVGIIVYRGLYFGMYDSLKPVLLTGSLQNSFFASFAFGWLVTNKAGLASYPIDMVLQKNDDNLW >DRNTG_26785.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3449877:3450786:-1 gene:DRNTG_26785 transcript:DRNTG_26785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSFLRLPSPTHLSRMFRQLEQDVETVINVLQPGPLGIVEHKYSATEVQAAKDTVHRAVETWRKNAALERSLQVHK >DRNTG_34639.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:192705:196049:-1 gene:DRNTG_34639 transcript:DRNTG_34639.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl serine alpha-galactosyltransferase [Source:Projected from Arabidopsis thaliana (AT3G01720) UniProtKB/Swiss-Prot;Acc:Q8VYF9] MIYPGYIPRPGVEPILFHYGLPFKVGNWSFSKLEHHEDGIVYECNRLFPPPPFPREVQMMEADPNVRQSLFLSIECINTLNEGLLFHHASMGCPKPQWSRYLSFLKSQKFSELTKPKYWNHPELGSQISKETQRSDEPVEPNPKIHTLFSTECSPYFDWQTVGLMHSFYLSGQPGNITRLLSCTEKDLEQYKGRDLAPTHYVPSMSRHPLTGDWYPAINKPAAVVHWLNHVETDAEFIVILDADMILRGPITPWEYGAKLGHPVSTPYDYLIGCDNELAKLHTRNPTACDKVGGVIIMHVEDLRKFAILWLLKTEEVRADKAHYATKFTGDIYESGWISEMYGYSFGAAELNLRHIIRKDILIYPGYVPEPGINYRVFHYGLKFSVGNWSFDKADWRNTDVVNTCWAKFPEPPNASSLSSEDEDILKRDKLSIECAETLNKALVLHYKRRNCPTSNVIGNQKPAIVSNEISLKTDGVLPNIRTPAHGDTSNSSSQPESNNGNTRIRIWMVGLWAVSVIGFLAVMSMILSNRKGDGSRVKANNRYKKAYVGPSDNATSCQLHNKHLLEAEMASEA >DRNTG_34639.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:192705:196396:-1 gene:DRNTG_34639 transcript:DRNTG_34639.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl serine alpha-galactosyltransferase [Source:Projected from Arabidopsis thaliana (AT3G01720) UniProtKB/Swiss-Prot;Acc:Q8VYF9] MHIDDLKALAPMWLSKTEEVREDRAHWGTNITGDIYGQGWISEMYGYSFGAAEVGLHHKINDDLMIYPGYIPRPGVEPILFHYGLPFKVGNWSFSKLEHHEDGIVYECNRLFPPPPFPREVQMMEADPNVRQSLFLSIECINTLNEGLLFHHASMGCPKPQWSRYLSFLKSQKFSELTKPKYWNHPELGSQISKETQRSDEPVEPNPKIHTLFSTECSPYFDWQTVGLMHSFYLSGQPGNITRLLSCTEKDLEQYKGRDLAPTHYVPSMSRHPLTGDWYPAINKPAAVVHWLNHVETDAEFIVILDADMILRGPITPWEYGAKLGHPVSTPYDYLIGCDNELAKLHTRNPTACDKVGGVIIMHVEDLRKFAILWLLKTEEVRADKAHYATKFTGDIYESGWISEMYGYSFGAAELNLRHIIRKDILIYPGYVPEPGINYRVFHYGLKFSVGNWSFDKADWRNTDVVNTCWAKFPEPPNASSLSSEDEDILKRDKLSIECAETLNKALVLHYKRRNCPTSNVIGNQKPAIVSNEISLKTDGVLPNIRTPAHGDTSNSSSQPESNNGNTRIRIWMVGLWAVSVIGFLAVMSMILSNRKGDGSRVKANNRYKKAYVGPSDNATSCQLHNKHLLEAEMASEA >DRNTG_34639.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:192705:197761:-1 gene:DRNTG_34639 transcript:DRNTG_34639.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl serine alpha-galactosyltransferase [Source:Projected from Arabidopsis thaliana (AT3G01720) UniProtKB/Swiss-Prot;Acc:Q8VYF9] MHSFRKARQPGPITRLLSCTPEERARYRGMGLAPTLEVPSMSRHPQTGDWYPAINKPAGVVHWLKNSKEAENVDWVVILDADMIIRGPIIPWELGVEKGKPVAAYYGYLVGCDNILAQLHTKHPELCDKVGGLLAMHIDDLKALAPMWLSKTEEVREDRAHWGTNITGDIYGQGWISEMYGYSFGAAEVGLHHKINDDLMIYPGYIPRPGVEPILFHYGLPFKVGNWSFSKLEHHEDGIVYECNRLFPPPPFPREVQMMEADPNVRQSLFLSIECINTLNEGLLFHHASMGCPKPQWSRYLSFLKSQKFSELTKPKYWNHPELGSQISKETQRSDEPVEPNPKIHTLFSTECSPYFDWQTVGLMHSFYLSGQPGNITRLLSCTEKDLEQYKGRDLAPTHYVPSMSRHPLTGDWYPAINKPAAVVHWLNHVETDAEFIVILDADMILRGPITPWEYGAKLGHPVSTPYDYLIGCDNELAKLHTRNPTACDKVGGVIIMHVEDLRKFAILWLLKTEEVRADKAHYATKFTGDIYESGWISEMYGYSFGAAELNLRHIIRKDILIYPGYVPEPGINYRVFHYGLKFSVGNWSFDKADWRNTDVVNTCWAKFPEPPNASSLSSEDEDILKRDKLSIECAETLNKALVLHYKRRNCPTSNVIGNQKPAIVSNEISLKTDGVLPNIRTPAHGDTSNSSSQPESNNGNTRIRIWMVGLWAVSVIGFLAVMSMILSNRKGDGSRVKANNRYKKAYVGPSDNATSCQLHNKHLLEAEMASEA >DRNTG_34639.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:192705:194764:-1 gene:DRNTG_34639 transcript:DRNTG_34639.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl serine alpha-galactosyltransferase [Source:Projected from Arabidopsis thaliana (AT3G01720) UniProtKB/Swiss-Prot;Acc:Q8VYF9] MILRGPITPWEYGAKLGHPVSTPYDYLIGCDNELAKLHTRNPTACDKVGGVIIMHVEDLRKFAILWLLKTEEVRADKAHYATKFTGDIYESGWISEMYGYSFGAAELNLRHIIRKDILIYPGYVPEPGINYRVFHYGLKFSVGNWSFDKADWRNTDVVNTCWAKFPEPPNASSLSSEDEDILKRDKLSIECAETLNKALVLHYKRRNCPTSNVIGNQKPAIVSNEISLKTDGVLPNIRTPAHGDTSNSSSQPESNNGNTRIRIWMVGLWAVSVIGFLAVMSMILSNRKGDGSRVKANNRYKKAYVGPSDNATSCQLHNKHLLEAEMASEA >DRNTG_17321.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31951327:31954611:1 gene:DRNTG_17321 transcript:DRNTG_17321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFSLESVIEAFEASTKDAAQVQRETLRWILEENSEAEYLQSLGLAGRTDPESFKACVPLVTHKDLEPYVQRIADGDFSPVLTGSPITSLSLSSGTTQGRPKLVPFNEELVKSTMQIYRTSYAFRNKEFPTMNGKALQFIYGSKQFMTKGGLTATTATTNVYRSEQFKHTMKDIQSQCCSPDEVIFGSDFHQSLYCHLLCGLIFSEEVQLISSTFAHSLVHAFRTFEQVWEELCDDIREGVLSDRITMPAMRDAVSKILKPNPELADTIRDKCEGLSNWYGVIPVLWPNAKYVYGIMTGSMEPYLKKLRHYTGSLPLMSADYGSSEGWVGANINPSLAPESATFAVLPDIAYFEFIPLSENSEDQELEQSSSTSHNTELEPVGLTEVEVGKEYEILFTNVAGLYRYRLGDVVKVAGFHNSTPELRFVRRRSLLLTINIDKNTEKDLQLAVEEATKLLAAEKLEVVDFSSHVDVSTDPGHYVIFWELSGNAREDVLSNCCDCLDRAFIDAGYVSSRKVRSIGPLELRIVKRGTFQKILDHFLSLGAAVSQFKTPRCVGQSNSKVLQILDDNVMDNYFSSAYD >DRNTG_15777.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17285296:17287171:1 gene:DRNTG_15777 transcript:DRNTG_15777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIMMVGDGIDQTIVTGSKNVQDGSTTFQSATFAVTGSGFIAKGMTFENTAGPQKHQAVALRSGADLSVFYQCSFKGYQDTLYVYSQRQFYRNCDIYGTVDFIFGDAAAVFQNCNMYVRKPMSQQQNTVTAQGRTDPNENTGIIVHNSVVSATSELQSVQGSIKTYLGRPWQKYSRTVFMKTSLGSLIDPAGWLAWDGDFALSTLYYGEYMNTGSGASTSGRVKWAGYHVITSASEAGKFTVGNFLAGNSWIPATGVPFTSGL >DRNTG_03073.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12182883:12183204:1 gene:DRNTG_03073 transcript:DRNTG_03073.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFVKFEDADNSSGNESNADGNAAVPELPQLHEDVEASMFFC >DRNTG_03073.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12177943:12183204:1 gene:DRNTG_03073 transcript:DRNTG_03073.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCIPRNGRWNFNNKRLYDPVRIGRWAIVNFSARCDLSYISRELINCGRIKGIHIDQPLSLLEEDRRWVRAGPVVRVEKMLASLEARIKEKPQLILCVLPERKNCDIYGPWKKKNLHDFGVITQCIAPGRINDQYLTNVLLKINAKLGGINSLLAVEHTPCIPLINNIPTIILGMDVSHGSPGRSDVPSIAAVVSSRNWPFISRYRASVSTQAPKVEMIDCLYKSLPDGKDGGLMRKLFLDFYRTSNYQKPQQIIIFRDGVSESQFDQVLNFELNQIIKAYVGLGGSELPKVTYIVAQKTHHTKLFQDGRHENVPAGTVVDTKVVHPRHYDFYMTSHAGVIGTSRPTHYHVLLDEIGFAVDDLQKLVHSLSYVYQRSTSAVSLVAPIYYAHLAAYQMSQFVKFEDADNSSGNESNADGNAAVPELPQLHEDVEASMFFC >DRNTG_03073.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12178081:12183204:1 gene:DRNTG_03073 transcript:DRNTG_03073.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASLEARIKEKPQLILCVLPERKNCDIYGPWKKKNLHDFGVITQCIAPGRINDQYLTNVLLKINAKLGGINSLLAVEHTPCIPLINNIPTIILGMDVSHGSPGRSDVPSIAAVVSSRNWPFISRYRASVSTQAPKVEMIDCLYKSLPDGKDGGLMRKLFLDFYRTSNYQKPQQIIIFRDGVSESQFDQVLNFELNQIIKAYVGLGGSELPKVTYIVAQKTHHTKLFQDGRHENVPAGTVVDTKVVHPRHYDFYMTSHAGVIGTSRPTHYHVLLDEIGFAVDDLQKLVHSLSYVYQRSTSAVSLVAPIYYAHLAAYQMSQFVKFEDADNSSGNESNADGNAAVPELPQLHEDVEASMFFC >DRNTG_00401.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2858711:2859552:-1 gene:DRNTG_00401 transcript:DRNTG_00401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITMDSEEHHRLSEKEAATTTTSPSHEFSFTISLHPSPNSNPTSIKYSKNNPTFAIDLAPADDIFFHGHLLPLHLLSSTSISPRPSDFSIENLNLPLDSTDGNQSQKYETYNNNNNMLINEANETKEKFKPKNLSSSLFGLGKWLLKAGGGGEKHESGKTKKKKVLDLSRVFKRYVSAIELLFTFRSDKEKQRLPPRPYSFSGNISVKDKEVWRKRRGELSAPASMRTSPTNSGLLVATSSVFSSSDESTMEELQNAIQAAISHCKNSIAVSEE >DRNTG_00105.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3117094:3121839:-1 gene:DRNTG_00105 transcript:DRNTG_00105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRRKKLVQEAKVIQLSDLENPINESMLQRNRQTAPPSARIRGWISQLDHRNRRDSKP >DRNTG_00105.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3117094:3121839:-1 gene:DRNTG_00105 transcript:DRNTG_00105.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRRKKLVQEAKVIQLSDLENPINESMLQRNRQTAPPSARIRGWISQLDHRNRRDSKP >DRNTG_00105.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3117094:3121839:-1 gene:DRNTG_00105 transcript:DRNTG_00105.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRRKKLVQEAKVIQLSDLENPINESMLQRNRQTAPPSARIRGWISQLDHRNRRDSKP >DRNTG_28459.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001438.1:6071:6578:-1 gene:DRNTG_28459 transcript:DRNTG_28459.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLILPLRMPMSTSTTLAISTSTSTTTSMSSTFTSTSTSTSSMSIPRPTPTTMSSTLSTTTT >DRNTG_29714.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001501.1:35276:38917:1 gene:DRNTG_29714 transcript:DRNTG_29714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWRVLYSSKGPFVRPRIHLTGSMPMKALPLSFFKTERDGHVGGDLAIHAQAGMLECDCPCTPPNRCANLSTRRSLECPGELNNTKEKENDTENGILELRVLQQHYRNYCSMKELGNGKVSGFVNWFIMVVKMPVKQLKNA >DRNTG_30616.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7121312:7122955:1 gene:DRNTG_30616 transcript:DRNTG_30616.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMSKLIEERLAGSLTSNIEDKFMKDEINELLVTPLEDEAPEEMMEEFHYLHLAAVEVSAPPEPLKKKTSCTTKWWKKMTTKKKKSPISPPTPNISNRSNPWTFVFEAFQDKHALSIGVLGRSVRLSRTVHDHVMPLDD >DRNTG_21515.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1528972:1530323:1 gene:DRNTG_21515 transcript:DRNTG_21515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCYSSSSLSNGVFAEMCSLSINLESSTTELVVKDGNKNINHGEEYCRDGDTTTTTNEGSQVSDCGQSKLCVRGHWRPAEDSKLRELVSLYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRKAFSEEEEEKLMAAHRLYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSTAYRRRKLNRTLMEEATTPPSHFTHPFSFPPPPPIDASPCNFSSDQAQAPFDFLSVSSGSNENNNKNKSTQEKPSFYKDEPLIGFSPHSPFMLAMQQSSYPHYYSDSIAAAAAAQGSSTSADHSSSCEGNMSPHFIDFLGVGAT >DRNTG_04993.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4267289:4269532:-1 gene:DRNTG_04993 transcript:DRNTG_04993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAILLHSLLHILMLLQLASIVSSSTHESTTYIIHMDKSAMPKPFSNHQSWYQSTIATTTSSSSNLIYVYDHALHGFTARLTPSQLKQLKKSHGIVAVYSDMVVNKDTTHTTDFLGLDTSAGLWPASNYGEDMIIGVVDTGVWPESRSFHDDGLPVVPPRWKGECEEPSMCNKKIIGARSFYKGLLANNPNISGMRSSRDTNGHGTHTASTACGNFVPNTSFFGYAPGTARGMAPHAKLAVYKVLWEEGSYGSDIIAGIDKAISDGVDVLSISLGVNNVPLYKDPVAIAGFAAIEKGILVVTSTGNDGPFLGTLHNGAPWLITVGASTVDRKFAGIIELGNGVIVTGQSLYLGSEALPSKLPLVDMGGCENQTLLDKVGYKIVVCQTLTLEDVISSVALAKVAAGLFVSNDPLAEFSIEVPQPGAILSPEDGNEILDYINKSPDPRASLAFKQTILGTRPAPQVTTYSSRGPSMSCRTVLKPDIVAPGALVLAAWSENSSVGVVGSDWIYNFYNIISGSSMSCPHVSGLGALLKSVHPDWTPAAIRSAIMTSASLIDNSGSPITDLSNGGQSASPFAMGSGHIVPNKALDPGLVYDIEVDGYINFLCTMNFTHEQIKTITRTATNCSDANPDLNYPSFITFFEVDETSSNKTIVREFERTVTNVGDTVMTYIAKVMPLEGFRARVEPDKLIFNEEYKKQRFKLILEGQMENKENEVVYGSLTWIDTMGKYSVRSPIVATTFNELDN >DRNTG_09652.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16695268:16709829:-1 gene:DRNTG_09652 transcript:DRNTG_09652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWACECPRLCGISSRACITLGIFLRCPEKPQGRASSPVGGMHGRGYFPHARARAVRVEEVFSQERTGACMRPCGSFTMAPRSKKQADKRPRESSSEPEGMRFVIPGHQGDELADEVEDLVSVGGWRQLLTIRDLAIREFALEEAFTDTEECARFTERLSRNLDPGELTGVMWSRVG >DRNTG_16184.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12160119:12161347:-1 gene:DRNTG_16184 transcript:DRNTG_16184.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEALLFIWLSATTLILVNGDVGTAASYDPPYLPTKCPGYSRDELPEGGLFVAASSGLWDNGAACGRRYRLRCLSGLKRPCKESSIVVEVVDLCRTNPCPATLLLSNNAFNFVSKFPRTKINIEYAQI >DRNTG_01417.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6548296:6562561:1 gene:DRNTG_01417 transcript:DRNTG_01417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTPLNLHYDMQVRSLTLDVKVWEPTILDLFRALGNTYCNSVWEELLLLQDESMDDLNIVMVPIKKPSPKDPLSEKERYIQSKYVEKHLIIKETVQPDLPMHTVRIWEAVKNNDVQTAYRLLVALDANPNTLYDEVHNDDHHTVDEQQSNSGFPDRKQFDPANCEKILGSGEPGDCLQGCSLLHLACHMGDPVMLELLLQFGADINLQDFHGRTPLHHCVLKRNDALAKYLIRRGAHTSISDCGGLTALERAMELGAITDEELFILLSS >DRNTG_22331.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:555372:559532:1 gene:DRNTG_22331 transcript:DRNTG_22331.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-carotene isomerase D27, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03055) UniProtKB/Swiss-Prot;Acc:Q7XA78] MYLNSMERSHVLQHGMVMAVPVPVPVPGRRKAVCHGSNFGKCKVMKNKKRVVVSALMTQNQNKISASVTGVSETPICAANMVEYEDNWFNRIAIQHLSQSVQDTTGMRSEKEGYEGLIEASSMVAKNFGSKGQQDLVIQALHKAFPSLLLNMIRGILPPSRFSREYYARFTTVFFSWLIGHCQVKESEFEGRKEHNVVHITKCRFLEGTKCVGMCTNLCKIPSQKFIYESLGMPVNMVPNFEDMSCEMIFGQHPPVDDPALKQICYKGICKAKQMHGVNCSS >DRNTG_12025.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:798954:800487:-1 gene:DRNTG_12025 transcript:DRNTG_12025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVSGPKHFLDSGIAVSSFYAITQGIVPCAAAGNSGPTASVISNDAPWILTVGASNTDRRIKVTVMLGNGMEIDGESAYQPDTHNATDLELVLPGSDPDCLSVDAADVKGKIVLCEVSPFSLLIGRIVKDAEGEGMIIMNDVKHGFTTSSDPHVLPAAHVSHVDAQKLKAYVQTTRNPTASIVFKGTQFGASPTPSVAYFSGRGPSQYNGGIIKPDIIAPGVNILAAWPVEVGPNPTGNTTSTFNFMSGTSMATPHVSGIVADLKKNHPDWSPAMIKSAIMTTALTEDLDGNPIADDAFSHQPASYFAMGAGHVNPERANDPGLVYDTQPLDYIPYLCGMYSTPTVKSIVRQQGIDCSIIQSITAAELNYPSIGLRMPIAKGSAIVITRTVTNVGPAELYDLQIKKMPDGVDIRSDKKNLSFSALNETQSFRLQFTSNGTAQSGQVSEGYLILNSTTHVVRSPISITYY >DRNTG_25089.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:727382:728082:-1 gene:DRNTG_25089 transcript:DRNTG_25089.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding protein CP1 [Source:Projected from Arabidopsis thaliana (AT5G49480) UniProtKB/Swiss-Prot;Acc:Q9FDX6] MCPSGRAPGPPASELRRAFDIIDADHDGLISHDDLKAFYSSSSFPPSATTDDEIRSMIYAADANRDGFVEFHEFERVLTVAASSSAVPMPSGVASMFENAFKVMDRDGDGQVGFGDLKACLGMIGVDVGDEDVRLMMKMGGGNESDGVDLDALLKILAVDF >DRNTG_01541.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000086.1:11913:14202:-1 gene:DRNTG_01541 transcript:DRNTG_01541.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRNSAGCSLHPKKTQGRAAAPGSHRGVRLAMWVWHTGVDIFRTPVGDRSKSRASHPRVYTGGALLVRV >DRNTG_32937.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30972276:30973593:1 gene:DRNTG_32937 transcript:DRNTG_32937.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDSNSMLRLEGKVAIITGAASGIGEATARLFAAHGATVVIADVQDELGEAVAASIGLHKSSYKHCDVTDEKQVEEIVDYTVGKYGRLDIMHGNAGILGPIEGVMDMDLTQLDKTLAVHVRGTAAAIKHAARAMRASGTRGSIICTASVAARQGNLGPVAYTAAKHAVIGLMRSAVSDLGAHGIRINCISPYGVATPLACSFSGKAPEKVEDDFYKMAVLKGVVLKGGHVAQAALFLASDASEYISGHDLVIDGGVTVVMRE >DRNTG_18425.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4218106:4218336:-1 gene:DRNTG_18425 transcript:DRNTG_18425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEARAAAREQDRTAATDAAMEELQECIELFKAKCDKAEELIDAAKTQFDLEHMAEIGSAGLDGAIAEMKRDAVDP >DRNTG_31112.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:20449:21805:-1 gene:DRNTG_31112 transcript:DRNTG_31112.8 gene_biotype:protein_coding transcript_biotype:protein_coding TSVKGFHLDRRSRRHNLSTATIPPVKNDLASDHNHSSPPAPWLLDLIAYQGRCHKERSGFEGPWTANPLIFDNSYFTELLSGEKEGLLQLPSDKALLTDPVFRPLVDKYAVDEDAFFTDYAEAHLKLSELG >DRNTG_31112.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:22199:25934:-1 gene:DRNTG_31112 transcript:DRNTG_31112.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLWRSCTGPGPVLSTAGWLETKASSALSRSFMCASTLRLSSFPGSGQSRRTPLIPCRCGIDVGRAFSRAWSPLLREVQVPTLAWLHASPGRGFRAVEGLRSREKGGVLDGFDEEKRKEEESGSEEEEEGGIVDADQSSRSPRQRTSPVRRQRGGSNGPLGRNLELLTIPGVGPRNLRKLVDKGFEGVAQLKQLYKDKFVGKSSEKMVEYLQSSVGIIHKNHAESITSFIKDSVDEELKQEDTGSDLRSGLKKRLTFCVEGNISVGKTTFLQRIANETLELRDLVEIVPEPIAKWQDVGPDHFNILDAFYAEPERYAYTFQNYVFVTRVMQERESAGSIKPLRLMERSVFSDRMVFVRAVHEAKWMNEMEISIYDSWFDPVVSVLPGLIPDGFIYLRASPDTCHKRMLLRKRTEEGGVSLDYLRGLHEKHESWLFPSTTGNHGVLSFSKLPLHVDSSLHPDISDRVFYLEGDHLHSSIQKVPALVLDCEPNIDFSKDIEAKREYARQVAEFFEFVKRKKEVPSLEANNNANKNLGQQIVLPHEGGLWTPDSSCFPESTVKSLDFRRAISFLSG >DRNTG_31112.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:20449:25934:-1 gene:DRNTG_31112 transcript:DRNTG_31112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLWRSCTGPGPVLSTAGWLETKASSALSRSFMCASTLRLSSFPGSGQSRRTPLIPCRCGIDVGRAFSRAWSPLLREVQVPTLAWLHASPGRGFRAVEGLRSREKGGVLDGFDEEKRKEEESGSEEEEEGGIVDADQSSRSPRQRTSPVRRQRGGSNGPLGRNLELLTIPGVGPRNLRKLVDKGFEGVAQLKQLYKDKFVGKSSEKMVEYLQSSVGIIHKNHAESITSFIKDSVDEELKQEDTGSDLRSGLKKRLTFCVEGNISVGKTTFLQRIANETLELRDLVEIVPEPIAKWQDVGPDHFNILDAFYAEPERYAYTFQNYVFVTRVMQERESAGSIKPLRLMERSVFSDRMVFVRAVHEAKWMNEMEISIYDSWFDPVVSVLPGLIPDGFIYLRASPDTCHKRMLLRKRTEEGGVSLDYLRGLHEKHESWLFPSTTGNHGVLSFSKLPLHVDSSLHPDISDRVFYLEGDHLHSSIQKVPALVLDCEPNIDFSKDIEAKREYARQVAEFFEFVKRKKEVPSLEANNNANKNLGQQIVLPHEGGLWTPDSSCFPESTVKSLDFRRAISFLSG >DRNTG_31112.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:20226:21805:-1 gene:DRNTG_31112 transcript:DRNTG_31112.6 gene_biotype:protein_coding transcript_biotype:protein_coding TSVKGFHLDRRSRRHNLSTATIPPVKNDLASDHNHSSPPAPWLLDLIAYQGRCHKERSGFEGPWTANPLIFDNSYFTELLSGEKEGLLQLPSDKALLTDPVFRPLVDKYAVDEDAFFTDYAEAHLKLSELGFAKA >DRNTG_31112.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:20449:21805:-1 gene:DRNTG_31112 transcript:DRNTG_31112.7 gene_biotype:protein_coding transcript_biotype:protein_coding TSVKGFHLDRRSRRHNLSTATIPPVKNDLASDHNHSSPPAPWLLDLIAYQGRCHKERSGFEGPWTANPLIFDNSYFTELLSGEKEGLLQLPSDKALLTDPVFRPLVDKYAVDEDAFFTDYAEAHLKLSELGFAKA >DRNTG_31112.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:20449:25934:-1 gene:DRNTG_31112 transcript:DRNTG_31112.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLWRSCTGPGPVLSTAGWLETKASSALSRSFMCASTLRLSSFPGSGQSRRTPLIPCRCGIDVGRAFSRAWSPLLREVQVPTLAWLHASPGRGFRAVEGLRSREKGGVLDGFDEEKRKEEESGSEEEEEGGIVDADQSSRSPRQRTSPVRRQRGGSNGPLGRNLELLTIPGVGPRNLRKLVDKGFEGVAQLKQLYKDKFVGKSSEKMVEYLQSSVGIIHKNHAESITSFIKDSVDEELKQEDTGSDLRSGLKKRLTFCVEGNISVGKTTFLQRIANETLELRDLVEIVPEPIAKWQDVGPDHFNILDAFYAEPERYAYTFQNYVFVTRVMQERESAGSIKPLRLMERSVFSDRMVFVRAVHEAKWMNEMEISIYDSWFDPVVSVLPGLIPDGFIYLRASPDTCHKRMLLRKRTEEGGVSLDYLRGLHEKHESWLFPSTTGNHGVLSFSKLPLHVDSSLHPDISDRVFYLEGDHLHSSIQKVPALVLDCEPNIDFSKDIEAKREYARQVAEFFEFVKRKKEVPSLEANNNANKNLGQQIVLPHEGGLWTPDSSCFPESTVKSLDFRRAISFLSG >DRNTG_31112.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:22299:25934:-1 gene:DRNTG_31112 transcript:DRNTG_31112.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLWRSCTGPGPVLSTAGWLETKASSALSRSFMCASTLRLSSFPGSGQSRRTPLIPCRCGIDVGRAFSRAWSPLLREVQVPTLAWLHASPGRGFRAVEGLRSREKGGVLDGFDEEKRKEEESGSEEEEEGGIVDADQSSRSPRQRTSPVRRQRGGSNGPLGRNLELLTIPGVGPRNLRKLVDKGFEGVAQLKQLYKDKFVGKSSEKMVEYLQSSVGIIHKNHAESITSFIKDSVDEELKQEDTGSDLRSGLKKRLTFCVEGNISVGKTTFLQRIANETLELRDLVEIVPEPIAKWQDVGPDHFNILDAFYAEPERYAYTFQNYVFVTRVMQERESAGSIKPLRLMERSVFSDRMVFVRAVHEAKWMNEMEISIYDSWFDPVVSVLPGLIPDGFIYLRASPDTCHKRMLLRKRTEEGGVSLDYLRGLHEKHESWLFPSTTGNHGVLSFSKLPLHVDSSLHPDISDRVFYLEGDHLHSSIQKVPALVLDCEPNIDFSKDIEAKREYARQVAEFFEFVKRKKEVPSLEANNNANKNLGQQIVLPHEGGLWTPDSSCFPESTVKSLDFRRAISFLSG >DRNTG_31112.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:22373:25934:-1 gene:DRNTG_31112 transcript:DRNTG_31112.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLWRSCTGPGPVLSTAGWLETKASSALSRSFMCASTLRLSSFPGSGQSRRTPLIPCRCGIDVGRAFSRAWSPLLREVQVPTLAWLHASPGRGFRAVEGLRSREKGGVLDGFDEEKRKEEESGSEEEEEGGIVDADQSSRSPRQRTSPVRRQRGGSNGPLGRNLELLTIPGVGPRNLRKLVDKGFEGVAQLKQLYKDKFVGKSSEKMVEYLQSSVGIIHKNHAESITSFIKDSVDEELKQEDTGSDLRSGLKKRLTFCVEGNISVGKTTFLQRIANETLELRDLVEIVPEPIAKWQDVGPDHFNILDAFYAEPERYAYTFQNYVFVTRVMQERESAGSIKPLRLMERSVFSDRMVFVRAVHEAKWMNEMEISIYDSWFDPVVSVLPGLIPDGFIYLRASPDTCHKRMLLRKRTEEGGVSLDYLRGLHEKHESWLFPSTTGNHGVLSFSKLPLHVDSSLHPDISDRVFYLEGDHLHSSIQKVPALVLDCEPNIDFSKDIEAKREYARQVAEFFEFVKRKKEVPSLEANNNANKNLGQQIVLPHEGGLWTPDSSCFPESTVKSLDFRRAISFLSG >DRNTG_34498.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1516878:1517155:-1 gene:DRNTG_34498 transcript:DRNTG_34498.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNNNSRNQCAERKLSNGSLSAKPKW >DRNTG_24082.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26387245:26388881:1 gene:DRNTG_24082 transcript:DRNTG_24082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVTRISKCSSCSRSGYMDMPPGFRFHPTDEEIVNYLKEKVLNPNFKSIAIGEVDLNKCEPWDLPSNAKTGQKEWYLFCQKDRKYPTGIRTNRATEAGFWKATGKDREIYTDRGGLLVGMKKTLVFYKGRAPKGMKTNWVMHEFRLVAQPSLTKSIKDAWVVCRVFQKKESMKTKNSMIDLVDDEHLDQNESLTLNNNNHLINPSTKTVSTQITDTAMVNPHDHYYLNDQEQGKLIDEPTTMKMLCKLEQISTCQSMITASHDAGVVLSPETNTEISSMNLENNFISNWFFNYPYYQDLDNFFNY >DRNTG_20151.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1588054:1594973:1 gene:DRNTG_20151 transcript:DRNTG_20151.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor-like protein DPB [Source:Projected from Arabidopsis thaliana (AT5G03415) UniProtKB/Swiss-Prot;Acc:Q9FNY2] MVTSTTHQRAAAGEKSSDTTSKGAGTRPSWATASALSGGHSASTSGSAGSPSSRSEPAALASAAATTPVSENTFVRLNHLDIHGDDAGSSQGAASAKKKKRGARAVGADKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFADPNSNMGSPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSLNDIEELKAERIGLKNRIDKKTAYLQELEDQYIGLQNLIQRNEQLYGSGNAPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDSYVLKAMRFCEREPNDGAREPSSNGGECSSTVGMHQNQIPQTTRSNLTGKLPASPPIPGILKGRVKHEH >DRNTG_16315.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:9451090:9451551:-1 gene:DRNTG_16315 transcript:DRNTG_16315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKATLNIGFGRGICREAEVLELASEHGIVLREGNGYWINGKFFKDDEEAHQHLAEDKCA >DRNTG_09209.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4628173:4632184:-1 gene:DRNTG_09209 transcript:DRNTG_09209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWYFVAALLTLLTSSQGILTTLSQTNGRYRYDYATIPFLAEIFKLLVSSFLLWQECKGSSPPRMTTEWKTVRLFPIPSIIYLVHNNVQFATLTYVDPSTYQIMGNLKIVTTGILFRLFLKRKLSNLQWMAIVLLAVGTTTSQVKGCGEATCDSIFSSPIQGYILGLVSACLSALAGVYTEYLMKKNNDSLYWQNVQLYTFGAIFNMLRLLWDDFRIGFDKGPWWQRLFNGYTLTTWLVVLNLGSSGLLVSWLMKYADNIIKVYATSMAMLLTMIISIYLFSFQPTIQLFLGITICMMSVHMYFAPPQMLIDMPTAPKTDHDTLKDVVVD >DRNTG_05938.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5671618:5672438:1 gene:DRNTG_05938 transcript:DRNTG_05938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTADLGSILQCGVPRYGLMGGGVVNPEFTLIKRVNLSLTVTRRWDVVRSTPCCRGNCHVPARVRA >DRNTG_12378.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1836118:1838049:1 gene:DRNTG_12378 transcript:DRNTG_12378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASLVDNNCLQPPTKTRSSTSFTILSPDDVKWEKLESARNNRALFTSSPTWASPRPCVLLG >DRNTG_03690.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21218701:21223010:1 gene:DRNTG_03690 transcript:DRNTG_03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDE277 [Source:Projected from Arabidopsis thaliana (AT2G26930) UniProtKB/TrEMBL;Acc:A0A178VZZ2] MATSHLPCPCHHPGGHGFLKPGRHRSSSLAAKSPSCGFRVLASGSPEKRQLEIVYDPDGRINRLADEVDKNTGLSRLTLFSPCKINVFLRITSKRGDGYHDLASLFHVISLGDTIKFSLSPLQTKDRLSTNAPGVPLDEKNLIIKALNLYRKKTGSDNFFWIHLDKKVPTGAGLGGGSGNAATALWAANQFNGCLVSEKELQEWSSEIGSDIPFFFSRGAAYCTGRGEVVQDIQHLSFDVPMVLIKPQEACSTAEVYKRLHLDRTSSVDPLALLENLTLNGITQDALHK >DRNTG_03690.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21218701:21223010:1 gene:DRNTG_03690 transcript:DRNTG_03690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDE277 [Source:Projected from Arabidopsis thaliana (AT2G26930) UniProtKB/TrEMBL;Acc:A0A178VZZ2] MATSHLPCPCHHPGGHGFLKPGRHRSSSLAAKSPSCGFRVLASGSPEKRQLEIVYDPDGRINRLADEVDKNTGLSRLTLFSPCKINVFLRITSKRGDGYHDLASLFHVISLGDTIKFSLSPLQTKDRLSTNAPGVPLDEKNLIIKALNLYRKKTGSDNFFWIHLDKKVPTGAGLGGGSGNAATALWAANQFNGCLVSEKELQEWSSEIGSDIPFFFSRGAAYCTGRGEVVQDIQHLSFDVPMVLIKPQEACSTAEVYKRLHLDRTSSVDPLALLENLTLNGITQDALHK >DRNTG_11881.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15204580:15204966:-1 gene:DRNTG_11881 transcript:DRNTG_11881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYYTAARQNIWKYLANSFIWLLMICLCLLMHHGFLTPLSEVQDFYSLTLNSQCFLAAGYCRMEANSILEAEIEGMVTGNRWAWGMKLSIGNAFIDRGYVLQVLNQSNGEVDLTLSQRIMNLKQARQ >DRNTG_29721.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:12056040:12067247:-1 gene:DRNTG_29721 transcript:DRNTG_29721.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYEKLLHSFCKKAFEDYKRTTFLEADLQCSKAIQNIENKLRAACHVSDATLGNIIQVLDNLLTEYKSSSHGPGKWRKLAAFLQQCLKGPILDLVNKQLDQIISERSALELKCRAFEDKLGLLQKQLEANERHRAEYLARYEKALSDKQNISAEYSQTIMNLNSKCNTLEERCLSLSKALEHVKRESSDWKTKYDNSCLELQAVEEKFTAQIAALESRRSIAEGRLAAACEQAKSAVEEASEWKRKYDVATGELKTVLEKSTFAQKRSNKELQTREDALRAEFSNFLARKEEEIKNLIVKFDNAENQSINLASQLKAAESKLKNHELEALSLRDEINELNEKLDFAKTTALSHEREARILEQEKNHLQEKFILECKKFDEADERCRAAEREAKRAIELADAARAEMVTAEKEKNEVQQLAAERLALIERAERHVQSLEGEKSKLMEEIEKLRQSETDVVSKAVLLESRVEEREREIEEMLSQNNAQRSNTVQVLESLLATERKARAEANSRAEALSLQLQATQGKLDALHQELTAVRLNENALDGKLRTVPHGKRLRTGDYLGTDSVQDMDVDFEVKGRKRAKTSTNHLKFTHTDDGASAFHGDDRNQSQQTEETETEDYAKFTVLKLKQELTKHGFGAQLLQLKNPTKKDILALYVKLVLKKQLYS >DRNTG_02303.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:761316:763893:1 gene:DRNTG_02303 transcript:DRNTG_02303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVAAGSGRGLWEGFYRLVMRRNSVYVTFVVAGAFLGERAVDYGVHKIWEYNNVGKRYEDIPVLGQRPAE >DRNTG_10893.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13455810:13457351:1 gene:DRNTG_10893 transcript:DRNTG_10893.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSMGNLGNQTSTNNDPLLEVPSNLSIEPIVNETFELGSGGLSIAGENVGRQRQTRGPSFGRITAEDANKNDKYQSILSEKYGDNTSDHPEFDQEVWMSSIEGKIATYTCVFGFGTMVNSKAVFDAASSAVTCTTKSVCGPSTTTTHLDVSLNDDRIVRAEA >DRNTG_22689.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:13827089:13840349:-1 gene:DRNTG_22689 transcript:DRNTG_22689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCCPIANASRANIDAKALLLALQIKLNNHSNLQNIFITSQELNDVLISGDSSPFWRLDPWFMHINDTLHLLHNPEIHVITHDWNATAHKRGSYVVASPLEKEESTKWDYRNYQNIANSCYLQRISEQEKPIHEYA >DRNTG_13248.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13791256:13793059:1 gene:DRNTG_13248 transcript:DRNTG_13248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKSILGFHRDFDGFSMRKMRRRGRCLYILDDGVDGGLEGWRGMWGGGGGGEGGKDRDGGRDGEEGSEGG >DRNTG_13248.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13792694:13793059:1 gene:DRNTG_13248 transcript:DRNTG_13248.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKSILGFHRDFDGFSMRKMRRRGRCLYILDDGVDGGLEGWRGMWGGGGGGEGGKDRDGGRDGEEGSEGG >DRNTG_13248.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13792380:13793059:1 gene:DRNTG_13248 transcript:DRNTG_13248.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKSILGFHRDFDGFSMRKMRRRGRCLYILDDGVDGGLEGWRGMWGGGGGGEGGKDRDGGRDGEEGSEGG >DRNTG_19285.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:537993:540150:1 gene:DRNTG_19285 transcript:DRNTG_19285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSFSVQTSSPPTTEEASNPSMALLSSSDQSPSLPRRSSPFLFSTLLITTCISTAAAMAFAFLLFSSPTSISNPSKLQVRPLTKLSHPVVLLISLDGFRYGYQFKAQTLNIHRLISNGTEAEPGLIPVFPSLTFPNHYSIVTGLYPAYHGIINNHFTDPTSGEVFSMSSHEPTWWLGEPLWETVVNHGLNAATFFWPGSEVKKGSWFCPSEFCHHYNGSVPFEQRVDTILNYFDLPNNQIPSLVTLYFEDPDHQGHQFGPDDPAITEAILHVDAMIGRLIAGLEKRGVFEDVTLILLGDHGMVSNCDKKAIFLDDLAPWIDVHSDWIQSLSPLLAIAPPSEFSAAQVVKKINEALSSGRVNNGEHMKMYLKEDLPERLHYSESYRIPAIIGIVDEGYKVEMNRKQSGKDCGGAHGYDNAYFSMRTIFVGHGPRFAKGRKVPAFENVEIYNLITSILNLEGAPNNGSLTFPDSVLLPCSA >DRNTG_30910.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7147982:7153890:-1 gene:DRNTG_30910 transcript:DRNTG_30910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSEEKVTAKPGTMCYMSGSIQMENIYVPENEGGLWQWIFGKSLTSIVLFNSGPDDGFVGIAAPSPGRILPIDLAKFGGEIICQPDAFLCSVNDVTVTSISEQRPRNVVFGTEILLKQKLSGQGLAFLVAGGSVVQKILDVDEVLVVDAACIVAMTTTISFQLKHSNPTRRIIFGGESQNQLTAVLTGPGIVFVQSLPFPRLSQRIARSVTSPNLRENPKFFAQIVTFLFLAYVIIVASLVLTDV >DRNTG_30910.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7147982:7153760:-1 gene:DRNTG_30910 transcript:DRNTG_30910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSEEKVTAKPGTMCYMSGSIQMENIYVPENEGGLWQWIFGKSLTSIVLFNSGPDDGFVGIAAPSPGRILPIDLAKFGGEIICQPDAFLCSVNDVTVTSISEQRPRNVVFGTEILLKQKLSGQGLAFLVAGGSVVQKILDVDEVLVVDAACIVAMTTTISFQLKHSNPTRRIIFGGESQNQLTAVLTGPGIVFVQSLPFPRLSQRIARSVTSPNLRENPKFFAQIVTFLFLAYVIIVASLVLTDV >DRNTG_14774.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30067892:30069171:-1 gene:DRNTG_14774 transcript:DRNTG_14774.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMGEARENNDYEEELLDYEEEDEKAPNSSAAKAGGETAKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEGKLLDFFL >DRNTG_14774.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30064200:30069171:-1 gene:DRNTG_14774 transcript:DRNTG_14774.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGEARENNDYEEELLDYEEEDEKAPNSSAAKAGGETAKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIEPIQGQVAALVLCHTRELAYQICHEFERFSTYLPDIKVVVFYGGVHIAKHKDILKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLGKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLTESEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLVECNFPSICIHSGMSQEERLTRYKGFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSEILNKVQERFEVDIKELPEQIDTSTYMPA >DRNTG_14774.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30064200:30067755:-1 gene:DRNTG_14774 transcript:DRNTG_14774.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYVDDEAKLTLHGLVQHYIKLTESEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLVECNFPSICIHSGMSQEERLTRYKGFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSEILNKVQERFEVDIKELPEQIDTSTYMPA >DRNTG_14774.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30064200:30067755:-1 gene:DRNTG_14774 transcript:DRNTG_14774.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVICQAKSGMGKTAVFVLSTLQQIEPIQGQVAALVLCHTRELAYQICHEFERFSTYLPDIKVVVFYGGVHIAKHKDILKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLGKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLTESEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLVECNFPSICIHSGMSQEERLTRYKGFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSEILNKVQERFEVDIKELPEQIDTSTYMPA >DRNTG_24364.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2917253:2919080:1 gene:DRNTG_24364 transcript:DRNTG_24364.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAKVMSSKGYKVQPLSWGPRHDTVQAVQLGSRERLIAFCEAVQRSSPIGSFVKPTAGFTPGYASEVLHLSIQLN >DRNTG_24364.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2914781:2919080:1 gene:DRNTG_24364 transcript:DRNTG_24364.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGADLIAGSLIKNPGGTIAPCGGYVAGKKRWVEAAAARLSAPGLGVDFGSTPGHVMRTLFQGLFLAPHMVGEAIKGGMLIAKVMSSKGYKVQPLSWGPRHDTVQAVQLGSRERLIAFCEAVQRSSPIGSFVKPTAGFTPGYASEVIFADGTFIDGSTSELSCDGPLREPFSVFCQGGTHWTQWALVLGEVLKVI >DRNTG_24364.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2916170:2917342:1 gene:DRNTG_24364 transcript:DRNTG_24364.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLFQGLFLAPHMVGEAIKGGMLIAKVMSSKGYKVQPLSWGPRHDTVQ >DRNTG_24364.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2914781:2919080:1 gene:DRNTG_24364 transcript:DRNTG_24364.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMALSCFMVTLPACPRMHSHLCSQRSALVLRPSSCASATIANSPHPFAPEVIEAVDSLYSEFRVVDNLTAHNIQRVTKAFQNARVGSHHFGGSTGYGHDDGGGRETLDNIFAEIVGAESAIIRSQFFSGTHAIACALFAFLRPGDEVNPLCLLLYTIGSAVFIFSLLF >DRNTG_24364.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2914781:2919080:1 gene:DRNTG_24364 transcript:DRNTG_24364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMALSCFMVTLPACPRMHSHLCSQRSALVLRPSSCASATIANSPHPFAPEVIEAVDSLYSEFRVVDNLTAHNIQRVTKAFQNARVGSHHFGGSTGYGHDDGGGRETLDNIFAEIVGAESAIIRSQFFSGTHAIACALFAFLRPGDELLAVAGTPYDTLHEVIGIRECSSTGSLNDFGVVYREIPLAEDGGLDWDALAIAITPKTKCALIQRSCGYSWRRSLSIFEIQRAIEAIKSQKSDCLVLVDNCYGEFAETSEPTMVGADLIAGSLIKNPGGTIAPCGGYVAGKKRWVEAAAARLSAPGLGVDFGSTPGHVMRTLFQGLFLAPHMVGEAIKGGMLIAKVMSSKGYKVQPLSWGPRHDTVQAVQLGSRERLIAFCEAVQRSSPIGSFVKPTAGFTPGYASEVIFADGTFIDGSTSELSCDGPLREPFSVFCQGGTHWTQWALVLGEVLKVI >DRNTG_24364.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2915221:2919080:1 gene:DRNTG_24364 transcript:DRNTG_24364.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMALSCFMVTLPACPRMHSHLCSQRSALVLRPSSCASATIANSPHPFAPEVIEAVDSLYSEFRVVDNLTAHNIQRVTKAFQNARVGSHHFGGSTGYGHDDGGGRETLDNIFAEIVGAESAIIRSQFFSGTHAIACALFAFLRPGDEVNPLCLLLYTIGSAVFIFSLLF >DRNTG_24364.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2916529:2919080:1 gene:DRNTG_24364 transcript:DRNTG_24364.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLFQGLFLAPHMVGEAIKGGMLIAKVMSSKGYKVQPLSWGPRHDTVQAVQLGSRERLIAFCEAVQRSSPIGSFVKPTAGFTPGYASEVIFADGTFIDGSTSELSCDGPLREPFSVFCQGGTHWTQWALVLGEVLKVI >DRNTG_02492.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000117.1:110548:111192:1 gene:DRNTG_02492 transcript:DRNTG_02492.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFHGRHDRGGFPCRLQAPKEEIDEDLAKPSFSL >DRNTG_20542.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001128.1:49081:49842:1 gene:DRNTG_20542 transcript:DRNTG_20542.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKNEERKRLELFMEMMDMQMKEMKSMSKSIRELEMRQRMADMKINR >DRNTG_20542.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001128.1:49204:49842:1 gene:DRNTG_20542 transcript:DRNTG_20542.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMDMQMKEMKSMSKSIRELEMRQRMADMKINR >DRNTG_23690.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:21837200:21842571:-1 gene:DRNTG_23690 transcript:DRNTG_23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPDPYEGLFDQEESNEEVLMLGSTGEETSTPGILKKVLQKMKRARRRHRKHPKTVGDSL >DRNTG_29603.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7206665:7207376:-1 gene:DRNTG_29603 transcript:DRNTG_29603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTAMRTVSSSPWRSRGHLDSVISGYDRIEKTYVRRRKMAENQPGSGVAMASSPPSEELKTCADCRTSMTPLWRKGPYGPKSLCNACGIRYRRRRPMANEGGGSKAKAMKSGKSGGEEEEKKERMVLLLMQHRSKNATPEEIVGSGSGYGRQEAEAALLLMALSSGLVIPS >DRNTG_29603.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7206665:7207376:-1 gene:DRNTG_29603 transcript:DRNTG_29603.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTAMRTVSSSPWRSRGHLDSVISGYDRIEKTYVRRRKMAENQPGSGVAMASSPPSEELKTCADCRTSMTPLWRKGPYGPKVLLHLAPPPSSLGF >DRNTG_07861.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000349.1:40808:41414:1 gene:DRNTG_07861 transcript:DRNTG_07861.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGEGPQESSAVGVVAKIEGQWRKAKEHAETYPYVWGSYVLVYGGIGVYLTYRWRKLRQTEDRVRVLQNRLRQLVDEEAAATRPAGSDAATPLSSSQPSSPRPHEESGPSVN >DRNTG_07861.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000349.1:40808:42838:1 gene:DRNTG_07861 transcript:DRNTG_07861.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGEGPQESSAVGVVAKIEGQWRKAKEHAETYPYVWGSYVLVYGGIGVYLTYRWRKLRQTEDRVRVLQNRLRQLVDEEAAATRPAGSDAATPLSSSQPSSPRPHEESGPSVN >DRNTG_27466.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26385525:26389801:-1 gene:DRNTG_27466 transcript:DRNTG_27466.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVVSTIPLMVVEGNHEIEEQAEHKTFLSYSSRFAFPSVESGSFSTFYYSFNAGGIHFIMLGAYISYYKQGEQYKWLERDLASVDRTVTPWLIATWHPPWYSTYKAHYREAECMRVEMEELLYLYGVDVVFNGHVHAYERSNRVYNFSLDSCAPLHIMIGDGGNREKMAIKHADDPGECPDPLTTPDEYMGGFCAFNFTTGPAAGKFCWDRQPDFSAYRESSFGHGILEVKNETHALWTWHRNQDTYNSAGDQIYIVRQPDKCPVRTNPALKSKSPRHLLRDI >DRNTG_00369.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18578012:18580266:-1 gene:DRNTG_00369 transcript:DRNTG_00369.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPCLTGGGRTLGFELDLVKASSPLSRSLQSSSPSSTISESSSSQLTISNKKPRTPRKRPNQSYNEAAALLSFTYPSIFSSSSLRHHPAFPHESPPLLPPFPNPSDSAFLLHEPSPEQPSFRIQSSSSPTSFCVASTAPQPIQVDAIEDDFDAESLLDEEPSGEGIDSIFGDNPSSLSASSCVNPCIASLMGFGLRFNVNLRRALKKVDDGDWWRSPRVAVNDILPKFKALSASPPLEKSKKKKKVEKEETKPPLDCNGGKEKATTLARLNLKLDYSEVMKCWADKDSPFGDSGLPESSADDLLMDLFLETENGVGGGREACVQRYKEKRRTRLFSKKIRYQVRKVNADRRPRMKGRFVKSPALLHETMEVESL >DRNTG_00369.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18577937:18580266:-1 gene:DRNTG_00369 transcript:DRNTG_00369.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPCLTGGGRTLGFELDLVKASSPLSRSLQSSSPSSTISESSSSQLTISNKKPRTPRKRPNQSYNEAAALLSFTYPSIFSSSSLRHHPAFPHESPPLLPPFPNPSDSAFLLHEPSPEQPSFRIQSSSSPTSFCVASTAPQPIQVDAIEDDFDAESLLDEEPSGEGIDSIFGDNPSSLSASSCVNPCIASLMGFGLRFNVNLRRALKKVDDGDWWRSPRVAVNDILPKFKALSASPPLEKSKKKKKVEKEETKPPLDCNGGKEKATTLARLNLKLDYSEVMKCWADKDSPFGDSGLPESSADDLLMDLFLETENGVGGGREACVQRYKEKRRTRLFSKKIRYQVRKVNADRRPRMKGRFVKSPALLHETMEVESL >DRNTG_00369.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18578012:18580355:-1 gene:DRNTG_00369 transcript:DRNTG_00369.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPCLTGGGRTLGFELDLVKASSPLSRSLQSSSPSSTISESSSSQLTISNKKPRTPRKRPNQSYNEAAALLSFTYPSIFSSSSLRHHPAFPHESPPLLPPFPNPSDSAFLLHEPSPEQPSFRIQSSSSPTSFCVASTAPQPIQVDAIEDDFDAESLLDEEPSGEGIDSIFGDNPSSLSASSCVNPCIASLMGFGLRFNVNLRRALKKVDDGDWWRSPRVAVNDILPKFKALSASPPLEKSKKKKKVEKEETKPPLDCNGGKEKATTLARLNLKLDYSEVMKCWADKDSPFGDSGLPESSADDLLMDLFLETENGVGGGREACVQRYKEKRRTRLFSKKIRYQVRKVNADRRPRMKGRFVKSPALLHETMEVESL >DRNTG_00369.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18578012:18580266:-1 gene:DRNTG_00369 transcript:DRNTG_00369.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPCLTGGGRTLGFELDLVKASSPLSRSLQSSSPSSTISESSSSQLTISNKKPRTPRKRPNQSYNEAAALLSFTYPSIFSSSSLRHHPAFPHESPPLLPPFPNPSDSAFLLHEPSPEQPSFRIQSSSSPTSFCVASTAPQPIQVDAIEDDFDAESLLDEEPSGEGIDSIFGDNPSSLSASSCVNPCIASLMGFGLRFNVNLRRALKKVDDGDWWRSPRVAVNDILPKFKALSASPPLEKSKKKKKVEKEETKPPLDCNGGKEKATTLARLNLKLDYSEVMKCWADKDSPFGDSGLPESSADDLLMDLFLETENGVGGGREACVQRYKEKRRTRLFSKKIRYQVRKVNADRRPRMKASVRFIIYLIPLTFMHSLLNF >DRNTG_00369.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18578012:18580266:-1 gene:DRNTG_00369 transcript:DRNTG_00369.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPCLTGGGRTLGFELDLVKASSPLSRSLQSSSPSSTISESSSSQLTISNKKPRTPRKRPNQSYNEAAALLSFTYPSIFSSSSLRHHPAFPHESPPLLPPFPNPSDSAFLLHEPSPEQPSFRIQSSSSPTSFCVASTAPQPIQVDAIEDDFDAESLLDEEPSGEGIDSIFGDNPSSLSASSCVNPCIASLMGFGLRFNVNLRRALKKVDDGDWWRSPRVAVNDILPKFKALSASPPLEKSKKKKKVEKEETKPPLDCNGGKEKATTLARLNLKLDYSEVMKCWADKDSPFGDSGLPESSADDLLMDLFLETENGVGGGREACVQRYKEKRRTRLFSKKIRYQVRKVNADRRPRMKASGRFVKSPALLHETMEVESL >DRNTG_02725.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19493189:19498182:1 gene:DRNTG_02725 transcript:DRNTG_02725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCCFGALTARKDNVSQPRQDICGVLSLKNIKFFSYNELRSATDGFHPRHKLGRGGFGTVYKGTLRNGTVIAAKVLSAESKQGLKEFLTEIDIITRVKHPNLVELLGYCVQENDQILVYEYVENNSLDYALLGRRSKTADLNWGVRSAICLGAARGLMFLHEELDPPIVHRDIKASNILLDRNFMPKIGDFGLAKLFPDNVTHISTRVAGTTGYLAPEYAIHGQLTKKADIYSFGVLIIEIVSGRSISKVHWSEMEKFLLEQTWQLFEEGRLKELVDPNLKEFPEDEVLRYIKVALFCIQAAANRRPSMLQVVEMLSKPILLNEEALAPPGFIKDSVYSGKGSKAMLSSNFHIKRISSVNNSVPFESAPVTCSELLPR >DRNTG_09511.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21706864:21707232:1 gene:DRNTG_09511 transcript:DRNTG_09511.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFKRPHVQDEWEANSSGKVNVEAMNESENTVLTGKGNHVKGKELLVSSWKGENIKEGQKSKKGEARVKRKARACSSFSGRDLRSSSLATDSGGK >DRNTG_05649.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17999536:18004017:-1 gene:DRNTG_05649 transcript:DRNTG_05649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGENGGNPSVCIKFECGELEAKHRARVKDRWRINTGVLWLAKLAMKTDLRQEAGGGVASLGKSGL >DRNTG_04544.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22482302:22486640:-1 gene:DRNTG_04544 transcript:DRNTG_04544.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENGSEAKNTSKGGFKSMPFILATELCDRIATSGLNANLISYLTKEMHMPLVIATNTVTITKGTSSFTPIIGGLISDSFAGCFWTITVGSIFYLLGLAILTISAILPVLHPPSCSGDEKCKQASTWQLILLYFSLFLTTIGSGGIRPCVAAFGADQFNHAGANMEAKKRTFFNLYFFCMRLATGIALIVVVYVQDNVGWGWGLNIPTITMFISIVIFVFGYSLYIKSEPKGSPLTRLAQVVVAAIKKMKVVGPIDAKLLYEDKELDASISTTGLLCHTDKLRCLDKAAIVMEGDKTDTGKPNPWRLSTVHRVEELKSLIHIIPIWAVGIFFIATASNIGTFAILQARTMDRKLGHLKIPPATMSIFLDISTILTLAFYDRIFVPVARRITGRPSGITSLQRMIIGVVISMLCYVVAALAEIKRKSVAKEYGLLDKPKAVIPVSVFWVAPQYIVYGMAEAFLSVGQMEFLYDQAPESMRSIAVALYWLAIAIGDYVSSGIVALVNKCSKNHGDWLQDNINRGKLDYYWWLMTGLEFLNLIYLIICVKFYTYKRLEMSVPEISSNAVTDERDDGYTELRDGNERRSQEGK >DRNTG_04544.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22482302:22486640:-1 gene:DRNTG_04544 transcript:DRNTG_04544.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENGSEAKNTSKGGFKSMPFILATELCDRIATSGLNANLISYLTKEMHMPLVIATNTVTITKGTSSFTPIIGGLISDSFAGCFWTITVGSIFYLLGLAILTISAILPVLHPPSCSGDEKCKQASTWQLILLYFSLFLTTIGSGGIRPCVAAFGADQFNHAGANMEAKKRTFFNLYFFCMRLATGIALIVVVYVQDNVGWGWGLNIPTITMFISIVIFVFGYSLYIKSEPKGSPLTRLAQVVVAAIKKMKVVGPIDAKLLYEDKELDASISTTGLLCHTDKLRCLDKAAIVMEGDKTDTGKPNPWRLSTVHRVEELKSLIHIIPIWAVGIFFIATASNIGTFAILQARTMDRKLGHLKIPPATMSIFLDISTILTLAFYDRIFVPVARRITGRPSGITSLQRMIIGVVISMLCYVVAALAEIKRKSVAKEYGLLDKPKAVIPVSVFWVAPQYIVYGMAEAFLSVGQMEFLYDQAPESMRSIAVALYWLAIAIGDYVSSGIVALVNKCSKNHGDWLQDNINRGKLDYYWWLMTGLEFLNLIYLIICVKFYTYKRLEMSVPEISSNAVTDERDDGYTELRDGNERRSQEGK >DRNTG_04544.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22482302:22486640:-1 gene:DRNTG_04544 transcript:DRNTG_04544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENGSEAKNTSKGGFKSMPFILATELCDRIATSGLNANLISYLTKEMHMPLVIATNTVTITKGTSSFTPIIGGLISDSFAGCFWTITVGSIFYLLGLAILTISAILPVLHPPSCSGDEKCKQASTWQLILLYFSLFLTTIGSGGIRPCVAAFGADQFNHAGANMEAKKRTFFNLYFFCMRLATGIALIVVVYVQDNVGWGWGLNIPTITMFISIVIFVFGYSLYIKSEPKGSPLTRLAQVVVAAIKKMKVVGPIDAKLLYEDKELDASISTTGLLCHTDKLRCLDKAAIVMEGDKTDTGKPNPWRLSTVHRVEELKSLIHIIPIWAVGIFFIATASNIGTFAILQARTMDRKLGHLKIPPATMSIFLDISTILTLAFYDRIFVPVARRITGRPSGITSLQRMIIGVVISMLCYVVAALAEIKRKSVAKEYGLLDKPKAVIPVSVFWVAPQYIVYGMAEAFLSVGQMEFLYDQAPESMRSIAVALYWLAIAIGDYVSSGIVALVNKCSKNHGDWLQDNINRGKLDYYWWLMTGLEFLNLIYLIICVKFYTYKRLEMSVPEISSNAVTDERDDGYTELRDGNERRSQEEVDRLNKLLGEKKLEAEEIPLLGS >DRNTG_14471.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000693.1:84366:86138:1 gene:DRNTG_14471 transcript:DRNTG_14471.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCSSTVHSRPRNQRNRESTRACGNYPRPCGNSARAHVPSTPVGSPDSSPI >DRNTG_14621.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15645109:15646016:-1 gene:DRNTG_14621 transcript:DRNTG_14621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEKSCEKHDRLPVEGFLRSVNLRRVNRSLRNPRKGLPSDGYTKVTKLL >DRNTG_29553.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11320229:11352315:-1 gene:DRNTG_29553 transcript:DRNTG_29553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQDPTVIHMATSPTFVPFPRISEVFWHPNRDNLQSLRYAVRDNIT >DRNTG_24810.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31854715:31859676:-1 gene:DRNTG_24810 transcript:DRNTG_24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVSALDMPDVPKGKLPEHLEVQRTRVVCNADAPIHTEPFHYSGAFALMGVDNSVTLESFCKNLKIEVIELTEDTMEFDMIGIDASLANAFRRILIAEVPTMAIENVLMVNNTSVIPDEVLAHRLGLIPLNADPKLFEYLSEHDTPNERNTIVFKLAVSCKKGSPRLTVKSDQLKWLPGGSELEMESPTPSAKPRTYTSFSRSQDSMPEFANKPLGVKHQDIIIDKLGPGQAIELEAHAVKGIGKVHAKWSPVATAWYRMLPEVILLKEIKGVDAEKLVKKCPVNVFDIEDVGKGEKKAVVANPRACTLCRECIRGVNEPQVELRRAKDHFIFKIESAGAIPPEVLFTDAVKILEEKCDRVICELS >DRNTG_14155.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17981228:17981347:1 gene:DRNTG_14155 transcript:DRNTG_14155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRTLIIFFLIAPSQTESGHFFLQLFDPIFLPQSIPSL >DRNTG_26625.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:372566:373026:1 gene:DRNTG_26625 transcript:DRNTG_26625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRTAAMDSSSLCNSLAGTTGIQEMYL >DRNTG_01576.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5430991:5444582:1 gene:DRNTG_01576 transcript:DRNTG_01576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVINLLEIQRSLFAYWLVRKVRWSLHTARLKLAKKQQYCQFFTRFGKCNKNGGKCPYIHDPTKIAICTKFLRGLCSNTNCKLTHKVQPERMPDCSYFLRGLCTNVNCPYRHVNVNPKAVVCEGFLKGYCADGDECCKKHSYVCPVFEATGKCPQGSKCKLHHPKSINKTKKRKQSTIQISSNKRRYFGSSITEAVKPLNVSYDKNDLEGGDLFCCDGRFTDFISLDANSDEDGTEVTVSMDSHTMHCDSELSDQRPRRCRGSHHAS >DRNTG_33699.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1219693:1220267:-1 gene:DRNTG_33699 transcript:DRNTG_33699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRELIAISPGLLEEEKVNTFNMPLYAASQEEVQQVIQSEGSFHIEQMQILESNWDPFDDSDDDQVFHNVKSRHNVAKCMRAVYEPLLVGYFGQQAIDDQVFTRIGHNVAMHPLKEKTKNIVFSLALKAKDLN >DRNTG_30125.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30997674:30998546:-1 gene:DRNTG_30125 transcript:DRNTG_30125.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHHCSDHYLYAEKMSSWGFPFMEKSSCSSDKVNQDGETTNDCGQSKLCARGHWRPAEDSKLRELVAQYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRKAFSEEEEEKLMAAHRLYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSSAYRRRKLSQEVGRRMMVSVSQNLITTSADDTEQTPFDFLSGNQVSFFFFFLMSFDVLFFHLKHWLVGMYL >DRNTG_30125.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30996956:30998546:-1 gene:DRNTG_30125 transcript:DRNTG_30125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHHCSDHYLYAEKMSSWGFPFMEKSSCSSDKVNQDGETTNDCGQSKLCARGHWRPAEDSKLRELVAQYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRKAFSEEEEEKLMAAHRLYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSSAYRRRKLSQEVGRRMMVSVSQNLITTSADDTEQTPFDFLSVGGGKVGGGGGGGGQEKKSFMSLCPHSPFMFDQYFHSESSSIEQGEAARDEGNNREGTNHFESSISPPFIDFLGVGAT >DRNTG_26026.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23231114:23240505:-1 gene:DRNTG_26026 transcript:DRNTG_26026.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAHLDAKSFIIYTTCILNLEKHLVSKLSSYQGDSSTYVPFEILRLFISCRRTLKCLLMASVDDSEVRQSLFLSVLFSNSFSMPWFVKSVYQVVGLPYGFFGQKRANQVNELIFSLMDHTSYVFFAVSSGQTTAVVPFLLDDKEFETESMMNHISGILSEQIEEILFILKSDKLALRKEAGISVLNWNAISSVIACSQGFLWGFTSAIDNMYKDCSSENLQPSRSIRLLVSKLISLMGVFEDFINLCLNILLTDRGQENKISYNKCDFSDLVCEDGPSNFNVLNMDISTGGIVTEKRGGKDDNITEQASENDCTKIARAKKQKSSSSRTNYAGTVLQGFQKFDLSKTQHPKEALLQSLLRVENPQIAFVLKQIFVSCAAILQLKCLPLFHRATEFQISCSSSELSSSYILLSTSRVVLQEMAEMSERPEPYTFFWVSGILNYLEVLGSYFNITNPDLSKNLYAELIDIHLKAIGKCISLQGKAATLSSHETNSNTKMLKIQERPNKLEMLVLDHHEYSINAFKTRLRKSFQVLIKRPLRLHLLTVVKALERALVGVHKSSNMIYEISVGSLGGGMVSSYVAAGVDCLDLVLEIVPGTKQVIRRNISSLVSALFNIVLHLQSSSIFYLEKRPLNEIELNPHPGMVILMCVGVLTKVVGGRSYKMSPYHVSQCLHLPTALFQKFHRLNASNVECHNWTFSTNQDARQLKVKQCHSIDNQFSVDLYAACCRLLSTTLRHHKCEAGRCVALVEDSVSILLSCLEMVDLNFTWGLQEAVKCASFFRRIYEEIRHQKDIFASHAANFLSSYITTYSGNGPLNLGIKKEVDEALRPGVYALIDICTPTDLQRLHTVLGEGPCRTTLANLQHDYRLNFQYEGKI >DRNTG_26026.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23231114:23240505:-1 gene:DRNTG_26026 transcript:DRNTG_26026.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDSSQKASAAMGSLFIISCGVDWKEMPDCMDEGYFSWIVKSPISLVDVINSLSEHFLSSSPGRYAPLVYVFHMMALQRLVDLNRLIKSYEFLHERDARLVQMESDIHSQKMCKKWKRLLKVSRKEAAELTKFMIGYMPPLVSGGWLGNTDGTGEVNDMFLVYEDEWDLGACSLSEKSLPVAIWFLLCQNVDVWCTHATKKALKQFCSRLLHYSLPCRSSSTTRFSDKLAKYQLLQPRKVTVHLISLEFLSSTVSYEQKVLLKLFPARFCFVLKNTISPLLSTDLASNADLSSAAEWSEVINVLDKKPVVFLDNGDALHDPSSPPPSDTVSLKICGSKRKRQSVSSLDLALEACESLLKLLGRMLRAHLDAKSFIIYTTCILNLEKHLVSKLSSYQGDSSTYVPFEILRLFISCRRTLKCLLMASVDDSEVRQSLFLSVLFSNSFSMPWFVKSVYQVVGLPYGFFGQKRANQVNELIFSLMDHTSYVFFAVSSGQTTAVVPFLLDDKEFETESMMNHISGILSEQIEEILFILKSDKLALRKEAGISVLNWNAISSVIACSQGFLWGFTSAIDNMYKDCSSENLQPSRSIRLLVSKLISLMGVFEDFINLCLNILLTDRGQENKISYNKCDFSDLVCEDGPSNFNVLNMDISTGGIVTEKRGGKDDNITEQASENDCTKIARAKKQKSSSSRTNYAGTVLQGFQKFDLSKTQHPKEALLQSLLRVENPQIAFVLKQIFVSCAAILQLKCLPLFHRATEFQISCSSSELSSSYILLSTSRVVLQEMAEMSERPEPYTFFWVSGILNYLEVLGSYFNITNPDLSKNLYAELIDIHLKAIGKCISLQGKAATLSSHETNSNTKMLKIQERPNKLEMLVLDHHEYSINAFKTRLRKSFQVLIKRPLRLHLLTVVKALERALVGVHKSSNMIYEISVGSLGGGMVSSYVAAGVDCLDLVLEIVPGTKQVIRRNISSLVSALFNIVLHLQSSSIFYLEKRPLNEIELNPHPGMVILMCVGVLTKVVGGRSYKMSPYHVSQCLHLPTALFQKFHRLNASNVECHNWTFSTNQDARQLKVKQCHSIDNQFSVDLYAACCRLLSTTLRHHKCEAGRCVALVEDSVSILLSCLEMVDLNFTWGLQEAVKCASFFRRIYEEIRHQKDIFASHAANFLSSYITTYSGNGPLNLGIKKEVDEALRPGVYALIDICTPTDLQRLHTVLGEGPCRTTLANLQHDYRLNFQYEGKI >DRNTG_26026.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23231114:23240505:-1 gene:DRNTG_26026 transcript:DRNTG_26026.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAHLDAKSFIIYTTCILNLEKHLVSKLSSYQGDSSTYVPFEILRLFISCRRTLKCLLMASVDDSEVRQSLFLSVLFSNSFSMPWFVKSVYQVVGLPYGFFGQKRANQVNELIFSLMDHTSYVFFAVSSGQTTAVVPFLLDDKEFETESMMNHISGILSEQIEEILFILKSDKLALRKEAGISVLNWNAISSVIACSQGFLWGFTSAIDNMYKDCSSENLQPSRSIRLLVSKLISLMGVFEDFINLCLNILLTDRGQENKISYNKCDFSDLVCEDGPSNFNVLNMDISTGGIVTEKRGGKDDNITEQASENDCTKIARAKKQKSSSSRTNYAGTVLQGFQKFDLSKTQHPKEALLQSLLRVENPQIAFVLKQIFVSCAAILQLKCLPLFHRATEFQISCSSSELSSSYILLSTSRVVLQEMAEMSERPEPYTFFWVSGILNYLEVLGSYFNITNPDLSKNLYAELIDIHLKAIGKCISLQGKAATLSSHETNSNTKMLKIQERPNKLEMLVLDHHEYSINAFKTRLRKSFQVLIKRPLRLHLLTVVKALERALVGVHKSSNMIYEISVGSLGGGMVSSYVAAGVDCLDLVLEIVPGTKQVIRRNISSLVSALFNIVLHLQSSSIFYLEKRPLNEIELNPHPGMVILMCVGVLTKVVGGRSYKMSPYHVSQCLHLPTALFQKFHRLNASNVECHNWTFSTNQDARQLKVKQCHSIDNQFSVDLYAACCRLLSTTLRHHKCEAGRCVALVEDSVSILLSCLEMVDLNFTWGLQEAVKCASFFRRIYEEIRHQKDIFASHAANFLSSYITTYSGNGPLNLGIKKEVDEALRPGVYALIDICTPTDLQRLHTVLGEGPCRTTLANLQHDYRLNFQYEGKI >DRNTG_26026.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23231114:23240505:-1 gene:DRNTG_26026 transcript:DRNTG_26026.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDSSQKASAAMGSLFIISCGVDWKEMPDCMDEGYFSWIVKSPISLVDVINSLSEHFLSSSPGRYAPLVYVFHMMALQRLVDLNRLIKSYEFLHERDARLVQMESDIHSQKMCKKWKRLLKVSRKEAAELTKFMIGYMPPLVSGGWLGNTDGTGEVNDMFLVYEDEWDLGACSLSEKSLPVAIWFLLCQNVDVWCTHATKKALKQFCSRLLHYSLPCRSSSTTRFSDKLAKYQLLQPRKVTVHLISLEFLSSTVSYEQKVLLKLFPARFCFVLKNTISPLLSTDLASNADLSSAAEWSEVINVLDKKPVVFLDNGDALHDPSSPPPSDTVSLKICGSKRKRQSVSSLDLALEACESLLKLLGRMLRAHLDAKSFIIYTTCILNLEKHLVSKLSSYQGDSSTYVPFEILRLFISCRRTLKCLLMASVDDSEVRQSLFLSVLFSNSFSMPWFVKSVYQVVGLPYGFFGQKRANQVNELIFSLMDHTSYVFFAVSSGQTTAVVPFLLDDKEFETESMMNHISGILSEQIEEILFILKSDKLALRKEAGISVLNWNAISSVIACSQGFLWGFTSAIDNMYKDCSSENLQPSRSIRLLVSKLISLMGVFEDFINLCLNILLTDRGQENKISYNKCDFSDLVCEDGPSNFNVLNMDISTGGIVTEKRGGKDDNITEQASENDCTKIARAKKQKSSSSRTNYAGTVLQGFQKFDLSKTQHPKEALLQSLLRVENPQIAFVLKQIFVSCAAILQLKCLPLFHRATEFQISCSSSELSSSYILLSTSRVVLQEMAEMSERPEPYTFFWVSGILNYLEVLGSYFNITNPDLSKNLYAELIDIHLKAIGKCISLQGKAATLSSHETNSNTKMLKIQERPNKLEMLVLDHHEYSINAFKTRLRKSFQVLIKRPLRLHLLTVVKALERALVGVHKSSNMIYEISVGSLGGGMVSSYVAAGVDCLDLVLEIVPGTKQVIRRNISSLVSALFNIVLHLQSSSIFYLEKRPLNEIELNPHPGMVILMCVGVLTKVVGGRSYKMSPYHVSQCLHLPTALFQKFHRLNASNVECHNWTFSTNQDARQLKVKQCHSIDNQFSVDLYAACCRLLSTTLRHHKCEAGRCVALVEDSVSILLSCLEMVDLNFTWGLQEAVKCASFFRRIYEEIRHQKDIFASHAANFLSSYITTYSGNGPLNLGIKKEVDEALRPGVYALIDICTPTDLQRLHTVLGEGPCRTTLANLQHDYRLNFQYEGKI >DRNTG_26026.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23231114:23240505:-1 gene:DRNTG_26026 transcript:DRNTG_26026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDSSQKASAAMGSLFIISCGVDWKEMPDCMDEGYFSWIVKSPISLVDVINSLSEHFLSSSPGRYAPLVYVFHMMALQRLVDLNRLIKSYEFLHERDARLVQMESDIHSQKMCKKWKRLLKVSRKEAAELTKFMIGYMPPLVSGGWLGNTDGTGEVNDMFLVYEDEWDLGACSLSEKSLPVAIWFLLCQNVDVWCTHATKKALKQFCSRLLHYSLPCRSSSTTRFSDKLAKYQLLQPRKVTVHLISLEFLSSTVSYEQKVLLKLFPARFCFVLKNTISPLLSTDLASNADLSSAAEWSEVINVLDKKPVVFLDNGDALHDPSSPPPSDTVSLKICGSKRKRQSVSSLDLALEACESLLKLLGRMLRAHLDAKSFIIYTTCILNLEKHLVSKLSSYQGDSSTYVPFEILRLFISCRRTLKCLLMASVDDSEVRQSLFLSVLFSNSFSMPWFVKSVYQVVGLPYGFFGQKRANQVNELIFSLMDHTSYVFFAVSSGQTTAVVPFLLDDKEFETESMMNHISGILSEQIEEILFILKSDKLALRKEAGISVLNWNAISSVIACSQGFLWGFTSAIDNMYKDCSSENLQPSRSIRLLVSKLISLMGVFEDFINLCLNILLTDRGQENKISYNKCDFSDLVCEDGPSNFNVLNMDISTGGIVTEKRGGKDDNITEQASENDCTKIARAKKQKSSSSRTNYAGTVLQGFQKFDLSKTQHPKEALLQSLLRVENPQIAFVLKQIFVSCAAILQLKCLPLFHRATEFQISCSSSELSSSYILLSTSRVVLQEMAEMSERPEPYTFFWVSGILNYLEVLGSYFNITNPDLSKNLYAELIDIHLKAIGKCISLQGKAATLSSHETNSNTKMLKIQERPNKLEMLVLDHHEYSINAFKTRLRKSFQVLIKRPLRLHLLTVVKALERALVGVHKSSNMIYEISVGSLGGGMVSSYVAAGVDCLDLVLEIVPGTKQVIRRNISSLVSALFNIVLHLQSSSIFYLEKRPLNEIELNPHPGMVILMCVGVLTKVVGGRSYKMSPYHVSQCLHLPTALFQKFHRLNASNVECHNWTFSTNQDARQLKVKQCHSIDNQFSVDLYAACCRLLSTTLRHHKCEAGRCVALVEDSVSILLSCLEMVDLNFTWGLQEAVKCASFFRRIYEEIRHQKDIFASHAANFLSSYITTYSGNGPLNLGIKKEVDEALRPGVYALIDICTPTDLQRLHTVLGEGPCRTTLANLQHDYRLNFQYEGKI >DRNTG_06577.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:517507:522924:-1 gene:DRNTG_06577 transcript:DRNTG_06577.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLPSTTLLPDAFQGTRDDITEQMGFVWQQIKAPVIIPLLRLAVVLCLIMSLMLFVEKVYMAFVIILVKLFGKKPEQRYKWEPIKDDLELGNSVYPMVLVQIPMYNEKEVYQLSIGAACGLSWPSDRIIIQVLDDSTDPAIKDLVEMECGRWASKGINIRYEIRDNRNGYKAGALKEGMKHSYVKQCDYVVIFDADFQPEPDFLWRTIPFLAQNPDLALVQARWKFVNSDECLMTRMQEMSLDYHFTVEQEVGSYKYAFFGFNGTAGVWRIAALNEAGGWKDRTTVEDMDLAVRASLKGWKFLFLGEIKVKNELPSTLKAYRYQQHRWSCGPANLFRKMALEIARNKKVSLLKKVHVIYNFFLVRKIVAHIVTFVFYCLVIPATVLVPEVQIPKWGSVYIPSIITLLNAVGTPRSLHLMVFWILFENVMSLHRTKATFIGLLEAGRVNEWVVTEKLGDALKTKLGAKAPRKLRFRIGDRLHVLELGTGAYLFFCGCYDIAFGSNHYFIFLFLQAFAFFIVGFGYVGIFVPNA >DRNTG_06577.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:517507:523680:-1 gene:DRNTG_06577 transcript:DRNTG_06577.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLPSTTLLPDAFQGTRDDITEQMGFVWQQIKAPVIIPLLRLAVVLCLIMSLMLFVEKVYMAFVIILVKLFGKKPEQRYKWEPIKDDLELGNSVYPMVLVQIPMYNEKEVYQLSIGAACGLSWPSDRIIIQVLDDSTDPAIKDLVEMECGRWASKGINIRYEIRDNRNGYKAGALKEGMKHSYVKQCDYVVIFDADFQPEPDFLWRTIPFLAQNPDLALVQARWKFVNSDECLMTRMQEMSLDYHFTVEQEVGSYKYAFFGFNGTAGVWRIAALNEAGGWKDRTTVEDMDLAVRASLKGWKFLFLGEIKVKNELPSTLKAYRYQQHRWSCGPANLFRKMALEIARNKKVSLLKKVHVIYNFFLVRKIVAHIVTFVFYCLVIPATVLVPEVQIPKWGSVYIPSIITLLNAVGTPRSLHLMVFWILFENVMSLHRTKATFIGLLEAGRVNEWVVTEKLGDALKTKLGAKAPRKLRFRIGDRLHVLELGTGAYLFFCGCYDIAFGSNHYFIFLFLQAFAFFIVGFGYVGIFVPNA >DRNTG_06577.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:517459:523680:-1 gene:DRNTG_06577 transcript:DRNTG_06577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLPSTTLLPDAFQGTRDDITEQMGFVWQQIKAPVIIPLLRLAVVLCLIMSLMLFVEKVYMAFVIILVKLFGKKPEQRYKWEPIKDDLELGNSVYPMVLVQIPMYNEKEVYQLSIGAACGLSWPSDRIIIQVLDDSTDPAIKDLVEMECGRWASKGINIRYEIRDNRNGYKAGALKEGMKHSYVKQCDYVVIFDADFQPEPDFLWRTIPFLAQNPDLALVQARWKFVNSDECLMTRMQEMSLDYHFTVEQEVGSYKYAFFGFNGTAGVWRIAALNEAGGWKDRTTVEDMDLAVRASLKGWKFLFLGEIKVKNELPSTLKAYRYQQHRWSCGPANLFRKMALEIARNKKVSLLKKVHVIYNFFLVRKIVAHIVTFVFYCLVIPATVLVPEVQIPKWGSVYIPSIITLLNAVGTPRSLHLMVFWILFENVMSLHRTKATFIGLLEAGRVNEWVVTEKLGDALKTKLGAKAPRKLRFRIGDR >DRNTG_05290.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16752880:16758647:-1 gene:DRNTG_05290 transcript:DRNTG_05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSFLRKKVGRGASVEAVTAKGVLQQLSRGRSYELGLTKASFLTCPAHRHKEAMENKKISFGQKEKKTRNFILPSMGADAPVWIVNLGFFWVLYDPYTTPYDPLFRDLFLADFGETLERFFGRPWGGEEGQ >DRNTG_12362.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22264545:22267231:-1 gene:DRNTG_12362 transcript:DRNTG_12362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYGDVFDVEDDVFARLISRLNPPRVVIDNESCDNATVIKVDCVKKHGVLLEVIQVLIDLHLIITKAYISSDGSWFMDVFNVTDGDGNKVWDKEILNCIQGRLETDACCLDSSVRSSVGVTPSEKYTSIELIGSDRPGLLSEVCAGLTNLKCNVVKAKVWTHNARIAAVLHVTDESKRRPIEDTDRLSTIKQFLCNVLKGDSEVKTPNMSVSGVVTHTERRLHQMMFDDRDYERVRMSDGEETLKRPNVVVMDCVERDYTVVILKCKDRPKLLFDTVCTLTDMNYVVFHGTVNTGNEEAYQEYYIRHVDGSPIQSDSGRKRIIQCLQAAIERRASEGLELELRAEDKVGLLSNITRIFRENGLCIKRAEISTENGEAINTFYVSEISRNNAEAETIESIKKQIGKASLTVKNLISPAKSQERQVRAFFCLQISSRDAPFRNFG >DRNTG_16469.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2571796:2574383:1 gene:DRNTG_16469 transcript:DRNTG_16469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRKPNASTAGLLAWPEKAPEEVAMEAEAVVVGRPRLQPPDKVGAVLFGGQVSEEEAESLMKRKPCSGPKLKEMTGSGIFSTESQDNAAEYGSPGSRPCQQAAGVISQISFSADENVSPKKPTTLTEMAKQRELSGNLADDSDSKMKKLLSDAKCKELIGHDIFGPPPEITPKSLNKHASVNISTSAGFTGDGTLTEEEVIKTSKKIYNQKFQDLFGNNIFKEEDAPSSALTDKTLSPAKLKEMSGSDIFADGKAATRDYLGGVRKPPGGGSSLTLL >DRNTG_09799.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26829297:26831095:1 gene:DRNTG_09799 transcript:DRNTG_09799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQSSSSAAIACELEGVLLISKNSFPYFILTAFEAGSPLRALILFMFFPVLWLLDFFGFQELSLRLMIFVSTAGVKVAVLKAVAKATLSRFFLEDINGSCFKILSGYKGKKYVVTCFPKFMVEAFLREFLDVDYVIGTEVISFKEWCLGFVASPGMIINGHHEKLKFLQQAIGDGVQIDLGLVHVLSDHPLILLCKELHIMQPDEKAKPLPRRDYPKPLIFHDGRFSARPTPLNFLSILLWLPLGMILAVTRILVGVLLPFKLGLMGSAATGLRIRAQFSEAQRACGTLYVCSHRTLLDPVIISSVLQRKITAVTYSLSSFSELISPIPTVRLTRDRVKDGATMGALLNSGDLVVCPEGTTCREPYLLRFSPLFAEISDEVVPVAISSEGTMFYGTTVRGHKWLDSLFFLMNPSPCYQLMFLDKVSCQGRSSVDVANQVQRLIGLALGFECTNLTRRDKYRILAGNDGVDNRVSSN >DRNTG_00727.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:4381152:4386194:1 gene:DRNTG_00727 transcript:DRNTG_00727.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLEKALTRFVQLSNIRFQSVEATLCNHTASLRNLKNQAGQIAKSLSERPHGNLPSNTETNHREHVKACEWEAK >DRNTG_27778.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24340744:24342934:1 gene:DRNTG_27778 transcript:DRNTG_27778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDDDFKLLKIQTIILKVNIHCDGCKHKVKKLLQRIEGVYTVNLDAENQKVTVSGNVDSATLIKKLAKSGKHAELWSQKSTAQSHKNQPPHSNGKNNNNNNNNKEQQSKQNFMQGLKALKNQHNKLAVFSSDEDDCEDDEDEDDDDDFRLLEGKINAINILKQGNNGPLNNGKKNGNNAAGGNAKKVGSGGNPNHQNLVGKSTHPSMPANMNNHNKMGNLGGMNTGMNAHHPHQGDGKRVVNDINSLMGLGLHGLGGQGNGLGMHHQHAQQQQQQQQPGNTNFPGSMGFHHLSNNAGAGGAGLHHPQQQQQQQQQQLQSMMNLQGYQNHPSLMNLRGLNGNNMMMQQLQQQQQQQQPQPQMVYHRASQMPPYTGYYYPHYYPSPYLNNNNHYSDNGGDYGTHLFSDENTNGCVVM >DRNTG_04085.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5485783:5488507:1 gene:DRNTG_04085 transcript:DRNTG_04085.3 gene_biotype:protein_coding transcript_biotype:protein_coding MITFDLPDQQTREKILAQYAKHLARSDLAKIALATENMSGRDIRDVCQQAERHWAAKLIRSQVPKDTEGTSLPPTEEYIRCADQRQKALSDILQKNKAPRSNWRPAALA >DRNTG_04085.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5484805:5488507:1 gene:DRNTG_04085 transcript:DRNTG_04085.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYYGESERLLGTVFSLANEMATGAIVFLDEVDSFAVARDDEMHEATRRLLSVILRQIDGFEQDKNVVVIAATNRKNDLDPALISRFDSMITFDLPDQQTREKILAQYAKHLARSDLAKIALATENMSGRDIRDVCQQAERHWAAKLIRSQVPKDTEGTSLPPTEEYIRCADQRQKALSDILQKNKAPRSNWRPAALA >DRNTG_04085.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5478543:5488507:1 gene:DRNTG_04085 transcript:DRNTG_04085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRMLNLIAKRGSALKITTRIPSSTFTFLVSPSYAAASRSGHLFDQRPWRSEPIGSNSLFPAVLVAGALGIGSVGISLADAGEEDRQASAASEDVVLRERQRLEEFLRSKGMQPGSYPPFVVAVKGQKVTVKFKVPPTCEVSLLIANLVSRLGLKVEGVGGGSEMLMRAWNSAASWQLTLRPPVEKNDVQGTVSEAMEKQDNFFIFIFESLVGPEYCEIEFIKDGSFNFEELDAFSNALKLAGASEGTEKSPRKNQRRSSSRRGTSFDASQRSALEKSVSALEDMGVRVYGYDEPHEYPKNGVISWDNIAGYDQQKQEIEDTILLALQCPEVYDNIAQGTRSKFESNRPRAVLFEGPPGTGKTSSARVISKEAGVPLLYVPLEVIMSKYYGESERLLGTVFSLANEMATGAIVFLDEVDSFAVARDDEMHEATRRLLSVILRQIDGFEQDKNVVVIAATNRKNDLDPALISRFDSMITFDLPDQQTREKILAQYAKHLARSDLAKIALATENMSGRDIRDVCQQAERHWAAKLIRSQVPKDTEGTSLPPTEEYIRCADQRQKALSDILQKNKAPRSNWRPAALA >DRNTG_30817.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19753598:19756664:-1 gene:DRNTG_30817 transcript:DRNTG_30817.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSVAWGSVMTLFIWGLVVVWAECGDGSVIFRFAEVTKDAGLQLARGEDGKNCDFEEDLEGRSQVVDELELRNSADGYGCWNSEGNAEILDFEGEKLEIEQSSREATDLSGEIQLRGTLDTDDVQSLLGNAIGVLQSLEEGNVVLKSSESVQEVEFSIGDKESMRMNLESNLEPKDGASVEDDKELNKEQEDVPSGVPDAHQGGISSKLDHAEVEIQDSSGCDTKGDSTQEEESFDVVAEPGQSTGGVSLPLVMDGPVQASYSETIEESFDDRLVSEERSLEDSERPKVSSEAESASGVDSESNNFPVKISKPSFLLSSGAALLPHPAKALTGGEDGYFLACKNWFGVADGVGQWSLEGINAGLYAKELMENCDRHVSESLNGSGTKPDQIINRSAVDAISSGSSTVLVAYFDGQVLHVANIGDSGFIIIRNGKVFNRSSPMVYGFNFPLQIQRGDDPSKLIQDYNIALDEGDVIVTATDGLFDNIYEGRNCSHCFQITASQSQTFRNC >DRNTG_30817.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19753598:19756664:-1 gene:DRNTG_30817 transcript:DRNTG_30817.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILFAGVPELRLFSSLVRRSVGRTPSPLRWQVRRTPPRGFSRLPAALEVYSIIECGDGSVIFRFAEVTKDAGLQLARGEDGKNCDFEEDLEGRSQVVDELELRNSADGYGCWNSEGNAEILDFEGEKLEIEQSSREATDLSGEIQLRGTLDTDDVQSLLGNAIGVLQSLEEGNVVLKSSESVQEVEFSIGDKESMRMNLESNLEPKDGASVEDDKELNKEQEDVPSGVPDAHQGGISSKLDHAEVEIQDSSGCDTKGDSTQEEESFDVVAEPGQSTGGVSLPLVMDGPVQASYSETIEESFDDRLVSEERSLEDSERPKVSSEAESASGVDSESNNFPVKISKPSFLLSSGAALLPHPAKALTGGEDGYFLACKNWFGVADGVGQWSLEGINAGLYAKELMENCDRHVSESLNGSGTKPDQIINRSAVDAISSGSSTVLVAYFDGQVLHVANIGDSGFIIIRNGKVFNRSSPMVYGFNFPLQIQRGDDPSKLIQDYNIALDEGDVIVTATDGLFDNIYEGRNCSHCFQITASQSQTFRNC >DRNTG_06173.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25296442:25298058:-1 gene:DRNTG_06173 transcript:DRNTG_06173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVANLQLDGNADAVEFCPHPPFHHVLAAATYTLKEGDDQPSRSGSISLFDTNNGLDLLHRVETPGVFDIKWNSYGSNTQPFLAQVDATGSLSLYQLLDNNPGTVLRQVCAQEISPWMCLCVDWHPLRELISVGLSDGSVAIVQARESSLQNLQSWAGHEYEVWTTSFDIHRHQLLYTGSDDCSFCCWDVRGSTSSNLVFKNAKSHTMGVCCIALNPANSNMLITGSYDEFLRVWDMRSTSKPVNQRSLSLGGGVWRIKFHPFGENLVLAACMHNGFAVVKIEDEDVIVVETYDKHESLAYGADWCKGDNCCEDNNGAKKDMVVATCSFYDRLLRLWKPVSTMTLREESKE >DRNTG_06173.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25296442:25297365:-1 gene:DRNTG_06173 transcript:DRNTG_06173.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCVDWHPLRELISVGLSDGSVAIVQARESSLQNLQSWAGHEYEVWTTSFDIHRHQLLYTGSDDCSFCCWDVRGSTSSNLVFKNAKSHTMGVCCIALNPANSNMLITGSYDEFLRVWDMRSTSKPVNQRSLSLGGGVWRIKFHPFGENLVLAACMHNGFAVVKIEDEDVIVVETYDKHESLAYGADWCKGDNCCEDNNGAKKDMVVATCSFYDRLLRLWKPVSTMTLREESKE >DRNTG_05640.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22275421:22281941:1 gene:DRNTG_05640 transcript:DRNTG_05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQKHIVMLPYMAQGHLIPIFHLAKLIEQRYPDYTITIVNTPLNIQKLQSSLPPNSNIHLIDLPFSSSNHGLPPNSENTDSLKAHQIPLLLLTTESLEPHFNLLISNMIKDGHRPVCIIADIFYDWTINTAKTQNIFHATYMPSGAFGTATFMSIWQHLPHTKTDAMEFNIPGFPSSFRLHRSQLSINMRAADGSDALSIFLQRNFQSLKTSDAILCNTVKDVELFGLKLLQCFSGGVPVYPIGPLLPLDGKMSAFRPTEKALAVEFEACVQWLDGHSPCSVIFIGFGSENNISASQMMALAEGLESSGRPFIWMIRPPFGFAMKGEFREEWLPKGFGERMRVSGKGLLLKSWAPQLEILAHKSTGVFISHCGWNSLLESLSWGVPIIGWPLAYDHFCLSKMMVEELGVCVELARGVEDEVESVEVERVIELVLDGEKGKKMKKKAFKCMEMMREAMKDDGDVKGSSLIALDEFINRASVPCYFTR >DRNTG_05640.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22275421:22277282:1 gene:DRNTG_05640 transcript:DRNTG_05640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQKHIVMLPYMAQGHLIPIFHLAKLIEQRYPDYTITIVNTPLNIQKLQSSLPPNSNIHLIDLPFSSSNHGLPPNSENTDSLKAHQIPLLLLTTESLEPHFNLLISNMIKDGHRPVCIIADIFYDWTINTAKTQNIFHATYMPSGAFGTATFMSIWQHLPHTKTDAMEFNIPGFPSSFRLHRSQLSINMRAADGSDALSIFLQRNFQSLKTSDAILCNTVKDVELFGLKLLQCFSGGVPVYPIGPLLPLDGKMSAFRPTEKALAVEFEACVQWLDGHSPCSVIFIGFGSENNISASQMMALAEGLESSGRPFIWMIRPPFGFAMKGEFREEWLPKGFGERMRVSGKGLLLKSWAPQLEILAHKSTGVFISHCGWNSLLESLSWGVPIIGWPLAYDHFCLSKMMVEELGVCVELARGVEDEVESVEVERVIELVLDGEKGKKMKKKAFKCMEMMREAMKDDGDVKGSSLIALDEFINRASVPCYFTR >DRNTG_27748.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:27:71863:75315:-1 gene:DRNTG_27748 transcript:DRNTG_27748.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMGKPRYHQLILFLFLLCSRLNIGQNKAYAQGTTSNNSRNTTSSFDVGVILDTKTWVGNISWRCMAMAMEDFYNSHSNFTKKLSLHLRDANKDDRVASASAAIDLLKNVQVQAIIGPQTSRQAKFVIELGNSAQVPIISFTAKSPSLSTKQSPYFIRTGMNDASQAKVLASLVQNFGWRQVVLIYADTEFGNGIIPHVIDALIEIDARVTYRSPIPISANDEAISKELEKLKDMQTRVFIVHMPYSLGFKVFSNANKTGMMNKGYVWITTYGLTDIVDLYGSSATSVMQGVLGIKPYISEDNPRLQDFKARFVKKFKLENPSAQISEPLTVFGLWAYDTVWSLAMAAESLSSANYTFSMNNVRKNSTDLESIGKSQTGLEIVQWISNSTFDRISGKFQLIDRQREVDKFEIVNVVENGRKRIGFWTPVYGFSKELNSKNVSIEVAKWPGDSDHVPPRGWEWPTNGRNLSVGIPVKPGFPEFVNVTTNDSSIRPTGYCIEIFDKVMAALPYKVNYTYELFANEKGEMNGSYDDLVYQVYVK >DRNTG_14713.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20815298:20818518:1 gene:DRNTG_14713 transcript:DRNTG_14713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMPLFAKPSSTLRCVPVLTVGFRPPPMSSVCFKKLEFCRNGDSTASSDELKGLNGTNDGLPTAPNNQSSTSPPDLRFDRLQVADDQVECQYRRIFGNFFAREVLFDEEYWVVAMLRAECQWEEDRPDVGNVPYLKTQFAQKVFKAIKMQCTSKLGEKRTCVVAVRRNQSNDKQTVLKSIVGTLDISMRRLFSGETFPGEIVKPPVFGSRAPGPGYGYIANLYVPKSARRRGVASNMLLLALEIARSNGANEVFVHVNKYNVVARQLYEKIGFQIVEAAAHCLLVQHNYLMHLKI >DRNTG_14713.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20815298:20816017:1 gene:DRNTG_14713 transcript:DRNTG_14713.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMPLFAKPSSTLRCVPVLTVGFRPPPMSSVCFKKLEFCRNGDSTASSDELKGLNGTNDGLPTAPNNQSSTSPPDLRFDRLQVADDQVECQYRRIFGNFFAREVLFDEEYW >DRNTG_14713.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20815298:20818518:1 gene:DRNTG_14713 transcript:DRNTG_14713.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTSKLGEKRTCVVAVRRNQSNDKQTVLKSIVGTLDISMRRLFSGETFPGEIVKPPVFGSRAPGPGYGYIANLYVPKSARRRGVASNMLLLALEIARSNGANEVFVHVNKYNVVARQLYEKIGFQIVEAAAHCLLVQHNYLMHLKI >DRNTG_14713.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20815298:20818518:1 gene:DRNTG_14713 transcript:DRNTG_14713.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYQVRRNQSNDKQTVLKSIVGTLDISMRRLFSGETFPGEIVKPPVFGSRAPGPGYGYIANLYVPKSARRRGVASNMLLLALEIARSNGANEVFVHVNKYNVVARQLYEKIGFQIVEAAAHCLLVQHNYLMHLKI >DRNTG_14713.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20815298:20818518:1 gene:DRNTG_14713 transcript:DRNTG_14713.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQVRRNQSNDKQTVLKSIVGTLDISMRRLFSGETFPGEIVKPPVFGSRAPGPGYGYIANLYVPKSARRRGVASNMLLLALEIARSNGANEVFVHVNKYNVVARQLYEKIGFQIVEAAAHCLLVQHNYLMHLKI >DRNTG_14713.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20815298:20818518:1 gene:DRNTG_14713 transcript:DRNTG_14713.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYQVRRNQSNDKQTVLKSIVGTLDISMRRLFSGETFPGEIVKPPVFGSRAPGPGYGYIANLYVPKSARRRGVASNMLLLALEIARSNGANEVFVHVNKYNVVARQLYEKIGFQIVEAAAHCLLVQHNYLMHLKI >DRNTG_14713.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20815298:20818518:1 gene:DRNTG_14713 transcript:DRNTG_14713.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYQVRRNQSNDKQTVLKSIVGTLDISMRRLFSGETFPGEIVKPPVFGSRAPGPGYGYIANLYVPKSARRRGVASNMLLLALEIARSNGANEVFVHVNKYNVVARQLYEKIGFQIVEAAAHCLLVQHNYLMHLKI >DRNTG_16425.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7525927:7527619:1 gene:DRNTG_16425 transcript:DRNTG_16425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEPHFVIIPLLAQGHIIPACDMARLLATNGAIVTVVITPANLTRLHSFSTLISTSNLPIRLASLPFPSTAASIPDSCQNVDLVHSPDLFSNFFLAMSLLQTPLLEYLHGQHPPPTCIISDHFQPWGMNIARELSIPNLIFHGFSSFTLTAMLTIKNHKIYQSLTDVHKPFIIPGLPQPIETTKAKAPPFFYEEAPGFEKLAQEAIMAEDTCEGIVLNTCSEMESYFTQQLEELTGKKVYAIGPLPLANKDAASKAARGNKPSIDESQCLQWLDSMPESSVIYVSFGSITHTVAAQLIEIGAGLEASGLRFIWVIKESELMAAPEVGKWLTEEEGFEKRVEGRGMVIKGWAPQAAILGHSAVGGFVTHCGWNSVMESVSAGLPMLTWPHFADQFLNEKVVVEVLKVGVPVGIGKPIMYVFGEEMVVKGVKCLMECGGEGEERRKRVRELGEKVRKAVDKGGSSFENLIKLIEVGSKKLMKST >DRNTG_09552.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22668887:22673085:-1 gene:DRNTG_09552 transcript:DRNTG_09552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSPKVFRSHPLPSRLRRFFSSFFGFYATFLLFGVAGFLVGSLSVSRPLIFSRECSDFKPTSVSVAWDRGDGAGGFGGGSLERHKVLGFVGIQTGFRSTGRRRSLRKTWFPSDRQGLIRLEEETGLAFRFVIGKTNDERKMTALQKEVQEYDDFMLLDIEEQYSNLPYKTLAFFKAAYALFDADFYVKADDDIYLRPDRLSLLLAKERSHPQTYLGCLKKGPVFTDPKLKWYEPLSYLLGKEYFLHAYGPLYALSTDVVASLVALRNSSFRMFSNEDVTIGAWMLAMNVNHEHNHALCEPDCTPSSIAVWDIPQCSGLCNPEAKLLLLHQKRNLFEKSNNSFWFLIDRIESRLIRKIQQAL >DRNTG_31154.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001668.1:89642:100905:1 gene:DRNTG_31154 transcript:DRNTG_31154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPSCSPRSIQIGGHLNYRIVNHRGLLLPRPVLNNQDHKVVGHQEGCQRHENQQERRRPPNALPPDHLALIHQVLYHCKLFP >DRNTG_31154.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001668.1:89642:100744:1 gene:DRNTG_31154 transcript:DRNTG_31154.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERPSCSPRSIQIGGHLNYRIVNHRGLLLPRPVLNNQDHKVVGHQEGCQRHENQQERRRPPNALPPDHLALIHQVLYHCKLFP >DRNTG_31154.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001668.1:89642:100744:1 gene:DRNTG_31154 transcript:DRNTG_31154.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERPSCSPRSIQIGGHLNYRIVNHRGLLLPRPVLNNQDHKVVGHQEGCQRHENQQERRRPPNALPPDHLALIHQVLYHCKLFP >DRNTG_02479.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2193892:2194425:-1 gene:DRNTG_02479 transcript:DRNTG_02479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTLEVTLISAHDLKNVNRFSKMEIYAQTWLSSDPCHPNRTFTDRDGGRNPTWNRSFRFSVPSGPEPGRAALHVTLRAHRAASFDRIAGEVRIPLRDLFIGVDDGPGPVNVASFQVRRPGFVKPKGVLLLSYRLGAVIAAATPAPAPASSESLCDPGSWPPAFRKIRRPVAAAAA >DRNTG_19495.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20858228:20860027:-1 gene:DRNTG_19495 transcript:DRNTG_19495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKGKKEEEKPKVEEEEKKKDEGEAKPVAAVAAEEVAPPPPPPPPPEEIVMRVFMHCEGCARKVRRSLKGFDGVEEVKTDCRSWKVVVKGKKAAEDPLKVVERVQKKSGRKVELLSPMPPPKVEKKEEEKKVEEEKPKIEEKKEEPVVISVVLKVHMHCEACAQEIKKRILKMKGVQAAEADLKSSQVTVKGVFTAPELVEYVHKRTGKHAAVINQEPAEKKPEPAPETEPKTDSKADTTPAVDSKDKPEATAGDAAAAAEKKDEASNDAGAAKDKDAGGEVAATAAEVAEEAKVVEMKKYEYLYYQRYHPMEYAYPPQIFSDENPNACVVM >DRNTG_21159.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2238295:2241421:-1 gene:DRNTG_21159 transcript:DRNTG_21159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLLSLLLILFLLFINRLDVDAGVLQQQQQQQQQQQQQDQVVVCKEDERKALIDFKQGLHDPYGLLSSWTGNNCCTNWKGVQCSNQSGQVVLLNLNYQKLEGEIRPSLLDLRHLRYLGLSGIYFRGVGIPAFIGLIQSLQYLDLSYAGFSGIVPYQLGNLTNLAYLDLSGNHYFWQRLHVVESYWLSNLSSLQYLIFDDVDLSEAPDMLHSLNTLQRLYELRLFYCNLNLPLSLPQVNFTSLQIFDLSGNNLSSTVPLWLFELSNLQYLNLGLNQFSNLIPPVISNLTNLKILDLRYNYHVGVRLPITLGDLCMLQKLGLSGNKFNIELSEFGGIFSRCINKSLETLRLSDAGLVGHFPGWLENLMSLKILEISQNSLYGPIPELQLPSLQELYLSYNKLNETLPENLGQLFPKLALLSLANNKLVGVLTETHFANLANIEYLEISSNEFTLNISSNWVPPPRLEKIYMDGCHLGPGFPTWVQKLENLYIISMSNVGISDVMPNWFWNFSLKLQGVDLSHNDIKGRLPHSLEQLNLDVVDLSNNHFEGSIPLFPPTIRLLSLSNNSFSGMIPDSWNHSIQSSLLEMDLSHNNLSGDFPASICANSSLEVLRLNNNNLSGELPLQLKNCQSLGILDLGYNKFNGSISTSIFDGSLYLIALRLRYNLFSGKIPPQLGGFNFLRVIDLAHNQFSGEIPVTLSKLKDMKGFSNTTYLTIDYDEFDVEMKGGERSYGVIGYVLPVAIDFSNNDLSGEIPKELMSLTGLQSLHLSNNHLTGKIPEGISELRRLESLDLSMNNLSGVIPESMTLLTSLDDLNLSFNELSGKIPSGGQLQAFSASVYSNNYNLCGLPLDVKCNVDKQSQSPIFQNDEDFFEDDERRWFYTSMGLGFAFGLLAFCGALIIKRRWCFTYFQLLDNLFDWIYVTMVVNFNKMKKLIFGEK >DRNTG_07190.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000323.1:94971:103484:-1 gene:DRNTG_07190 transcript:DRNTG_07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIPDEMLGPVPTSGSNGVSVFADVVQAPEDPILGVTVAFNKDPCPMKVNLGVGAYRTEEGKPLVLNVVRRAEQMLVNDSSRVKEYLPITGLADFNKLSAKLIFGDDSTAIQEKRVTTVQCLSGTGSLRVGSEFLARHYQQRTIYIPQPTWGNHPKVFALSGLFVKTYRYYDPATRGLNFQGLVEDLSSAPSGAVVLLHACAHNPTGVDPTVEQWEQIRQLMRSKALLPFFDSAYQGFASGNLDADAQPIRLFAADGGELLAAQSYAKNMGLYGERVGALSIVCKTADVAAKVESQLKLVIRPMYSSPPIHGASIVATILKDSEMYNEWTIELKAMADRIISMRHQLFEALRERGTPRDWTHIVKQIGMFTFTGLNTEQVAFMTKEYHIYMTSDGRISMAGLSSKTVPLLADAIHAAVTHVN >DRNTG_26908.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3023214:3035091:-1 gene:DRNTG_26908 transcript:DRNTG_26908.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase associated protein phosphatase [Source:Projected from Arabidopsis thaliana (AT5G19280) UniProtKB/TrEMBL;Acc:F4K124] MNERERSPMVAEMHQRPIQSSSLLAPPPPPPPPLHQPSMAIQMAPALLASLLVMLLLLLLLFLLACRPWRFIRLARLRRLLFSPTIPSSALKSDDLERPLFRENEDYESGQNYDLSRSITDVSAIRIDERSNAPSTHVLGTKKRVQSADFHINEASTLSLDVISDIPEALQVGQTLKRPIVSNWPVKDAKHFKDASHDLEFSAKDVGSLRSCLTLEVIAGPARGSCCSRQSKDTDMLPLTLGRVSPCDLLLKDSEVSGKHALIDWNMNSSKWELVDMGSLNGTLLNSQAVHHPQSESRHWSNPVELANGDIVTLGTSSRLHVQVTQHIDKQIPFGIGMASDPMAMRRGGKMLPMEDMCYCQWPLPGVDQYGLFCIFDGHGGAGAAKAASKLLPKNVANILSDPDARGKVFSQCDASGVLRDAFSLTEAALDHQYEGCTATVLLVWLDHNEEIFAQCANVGDSACIMSINEKLINMTEDHRLSSLTEHSRMAKMGMPLKDGETRLCGINLARMLGDKFLKEQDVRFSSEPYVSPVVHISKACTAFAVIASDGLWDVISNKKAVQLVLQMKARENAHDQDSAGRIANFVLNEARALRTKDNTSVILLDFDSMRIDSCITNS >DRNTG_07805.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1287481:1291081:1 gene:DRNTG_07805 transcript:DRNTG_07805.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar iron transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G01770) UniProtKB/Swiss-Prot;Acc:Q9ZUA5] MASDSTRLLLGHKEKHFTAGDVVRDVIMGVSDGLTVPFALAAGLSGAKVPSSLILVAGLAEVAAGSISMGLGGYLAAKSEAEHYQRELKREQDEIINVPDTEAAEIGEILSKYGLEPHEYAPVVSALRKNPQAWLDFMMRFELGLEKPEPRRAIESALTIAIAYVVGGLVPLLPYVFIPRAQNAMFTSIGVTLAALLFFGYIKGQFTGHRPVLSAVQTAFIGALASAAAFAMAKAVQAI >DRNTG_14408.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:17426161:17429201:-1 gene:DRNTG_14408 transcript:DRNTG_14408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWRVLYSLQGPLCLALDTPRRIGADESSPTNLLPNGAPCRSHRTSPKP >DRNTG_04422.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22134615:22138258:1 gene:DRNTG_04422 transcript:DRNTG_04422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKLNFFFFFFIIFIVSPLTIMNSCMAGKIAIYWGQNGNEGTLASTCATGNYAYVNLAFLCSFGNFQKPQLNLAGHCDPFSDGCTNLTQEITSCQASGVKILISIGGGATAYTLVSVADARYLADYIWNNFLGGHSSARPLGDAVLDGVDFDIEGGSPDYYGDLARFLKDYQNNNEIEGKRRQVYLSAAPQCPYPDQWIGKGLETGLFDYVWVQFYNNPPCQYQSGDVTNLDDAWKQWTVGITAKNVFLGLPAAPEAAGSGFIPVEDLSSKVLPMLKGSEKYGGVMLWSKYYDDQTGYSSVIKNYV >DRNTG_10659.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18068969:18073049:1 gene:DRNTG_10659 transcript:DRNTG_10659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLEKHLARFVQSANTRFESVEATLRNHTASLHNLENQVGQIAKSLSERPHGSLPSNTETNPREHVKVIALRSGREVEGRLPSEMPKEHAPEVIEVEKGANKEKEVAPPPFKPRIPYPSRLKNDQGDE >DRNTG_09681.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1367585:1370027:1 gene:DRNTG_09681 transcript:DRNTG_09681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEASSEAPKSPERFAVGVRNFSQFEYIFGMVECTRDLSSGDCSRCLAEVVSLLPINGFGKIGARVFRINCVARFEIYAFFPFSLLPSPPPGNGTGSNGSGTTTMTDGKSKNGTLVLVIAVVLPLVVVGILLVAAICLYSLWRKRRRAQRIGETISGECLLFDLGTLKAATNNFSDDNKLGEGGFGSVYKGVLSDGHEIAVKRFSWNSEHGVEELRNEVDLLVKLQHRNLVRLLGCCLEEEEKLLVYEYLPNTSLNKYLFDLVRREKLNWGCRYKIIEGIGRGVLYLHEDSRLRIIHRDLKASNILLDFDMNPKISDFGIAKLFHLDETQGNTSLIAGTYGYMAPEYAMNGHFSTKSDVYSYGVLVLEIVTGEKASGFQGHGIASDLLTFLWQHWKEGKALEMKDRSLEGDNSVLDEQVLRCIQIGLLCVQEDPNERPSMASVVLMLNSTYIPLPSPSAPASFIKGSMTDETNLRERDMAPDLQENLQSNGHTALSRITSINDVSISILEPR >DRNTG_14426.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000680.1:32983:33953:1 gene:DRNTG_14426 transcript:DRNTG_14426.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWCFDDPYLILLTATSVFIIAFITAPPVDIDGIREPLSGSLLYGNNIISGAIIPTSAAIGPEWELSFRLGMRPWIAVAYLAPVAAATALFLIYPIGQGSFL >DRNTG_03670.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13683524:13685607:-1 gene:DRNTG_03670 transcript:DRNTG_03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGMVTRARAVDEQLSSLADQLARHDSVFAKIDSLCSTVQLHAESFDLLRKSHSESFDFLRNSLAAQQAVMTDMMVKLQHLDKVASPSTAQFSSPQPPLLPLPSPNSHTSIHHSSVLSPPSLHSNSSNSPPRPPKIEVPLFAGEPDVLGWLFQMNHYFLFNQIPADQRLAIAAFYMIGPARQWFQWLHYTDQFTNWDEFVRKLELRFGPSSFVNHEASLFKLKQSTTVTAFLQDFECLSTRVTGLSQQSLLNCFLSGLKEEIQRELYILKPDNLHDAVGMAKLVEDKILAERSTFTRSPFPRSIAPIAAPTNPRPVSLPIKRLTPTEMAARREKGLCFNCDSKFTPGHKCKPALFLCLMVDQDEVIPPEEEPPPVTFPLLEEASSTTTPSLDDPCISFHALMGRMVPSTLKLTGTINGQEVIVLVDGGSTNNFIQSRLAAHLNLTVQPSTHMRVTVGNGDALSCGGECSAVKLKLGDVIF >DRNTG_03670.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13683524:13685212:-1 gene:DRNTG_03670 transcript:DRNTG_03670.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGMVTRARAVDEQLSSLADQLARHDSVFAKIDSLCSTVQLHAESFDLLRKSHSESFDFLRNSLAAQQAVMTDMMVKLQHLDKVASPSTAQFSSPQPPLLPLPSPNSHTSIHHSSVLSPPSLHSNSSNSPPRPPKIEVPLFAGEPDVLGWLFQMNHYFLFNQIPADQRLAIAAFYMIGPARQWFQWLHYTDQFTNWDEFVRKLELRFGPSSFVNHEASLFKLKQSTTVTAFLQDFECLSTRVTGLSQQSLLNCFLSGLKEEIQRELYILKPDNLHDAVGMAKLVEDKILAERSTFTRSPFPRSIAPIAAPTNPRPVSLPIKRLTPTEMAARREKGLCFNCDSKFTPGHKCKPALFLCLMVDQDEVIPPEEEPPPVTFPLLEEASSTTTPSLDDPCISFHALMGRMVPSTLKLTGTINGQEVIVLVDGGSTNNFIQSRLAAHLNLTVQPSTHMRVTVGNGDALSCGGECSAVKLKLGDVIF >DRNTG_03670.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13683441:13685443:-1 gene:DRNTG_03670 transcript:DRNTG_03670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGMVTRARAVDEQLSSLADQLARHDSVFAKIDSLCSTVQLHAESFDLLRKSHSESFDFLRNSLAAQQAVMTDMMVKLQHLDKVASPSTAQFSSPQPPLLPLPSPNSHTSIHHSSVLSPPSLHSNSSNSPPRPPKIEVPLFAGEPDVLGWLFQMNHYFLFNQIPADQRLAIAAFYMIGPARQWFQWLHYTDQFTNWDEFVRKLELRFGPSSFVNHEASLFKLKQSTTVTAFLQDFECLSTRVTGLSQQSLLNCFLSGLKEEIQRELYILKPDNLHDAVGMAKLVEDKILAERSTFTRSPFPRSIAPIAAPTNPRPVSLPIKRLTPTEMAARREKGLCFNCDSKFTPGHKCKPALFLCLMVDQDEVIPPEEEPPPVTFPLLEEASSTTTPSLDDPCISFHALMGRMVPSTLKLTGTINGQEVIVLVDGGSTNNFIQSRLAAHLNLTVQPSTHMRVTVGNGDALSCGGECSAVKLKLGDVIFPSFHFAF >DRNTG_03670.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13683524:13685443:-1 gene:DRNTG_03670 transcript:DRNTG_03670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGMVTRARAVDEQLSSLADQLARHDSVFAKIDSLCSTVQLHAESFDLLRKSHSESFDFLRNSLAAQQAVMTDMMVKLQHLDKVASPSTAQFSSPQPPLLPLPSPNSHTSIHHSSVLSPPSLHSNSSNSPPRPPKIEVPLFAGEPDVLGWLFQMNHYFLFNQIPADQRLAIAAFYMIGPARQWFQWLHYTDQFTNWDEFVRKLELRFGPSSFVNHEASLFKLKQSTTVTAFLQDFECLSTRVTGLSQQSLLNCFLSGLKEEIQRELYILKPDNLHDAVGMAKLVEDKILAERSTFTRSPFPRSIAPIAAPTNPRPVSLPIKRLTPTEMAARREKGLCFNCDSKFTPGHKCKPALFLCLMVDQDEVIPPEEEPPPVTFPLLEEASSTTTPSLDDPCISFHALMGRMVPSTLKLTGTINGQEVIVLVDGGSTNNFIQSRLAAHLNLTVQPSTHMRVTVGNGDALSCGGECSAVKLKLGDVIF >DRNTG_03670.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13683524:13685282:-1 gene:DRNTG_03670 transcript:DRNTG_03670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGMVTRARAVDEQLSSLADQLARHDSVFAKIDSLCSTVQLHAESFDLLRKSHSESFDFLRNSLAAQQAVMTDMMVKLQHLDKVASPSTAQFSSPQPPLLPLPSPNSHTSIHHSSVLSPPSLHSNSSNSPPRPPKIEVPLFAGEPDVLGWLFQMNHYFLFNQIPADQRLAIAAFYMIGPARQWFQWLHYTDQFTNWDEFVRKLELRFGPSSFVNHEASLFKLKQSTTVTAFLQDFECLSTRVTGLSQQSLLNCFLSGLKEEIQRELYILKPDNLHDAVGMAKLVEDKILAERSTFTRSPFPRSIAPIAAPTNPRPVSLPIKRLTPTEMAARREKGLCFNCDSKFTPGHKCKPALFLCLMVDQDEVIPPEEEPPPVTFPLLEEASSTTTPSLDDPCISFHALMGRMVPSTLKLTGTINGQEVIVLVDGGSTNNFIQSRLAAHLNLTVQPSTHMRVTVGNGDALSCGGECSAVKLKLGDVIF >DRNTG_27508.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17050626:17051030:-1 gene:DRNTG_27508 transcript:DRNTG_27508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPQPWFPAAAVPLPVPTGVAPQQPLFPIQSVRTPLTPGLPSVPPAVPVSQPLFPITSATGTPSQSSPFVAASLPPTVSSAAPGEFKGVDANSMPNAAVATSTQ >DRNTG_29306.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29613979:29616365:-1 gene:DRNTG_29306 transcript:DRNTG_29306.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIWATITEALHRIHNVHQDLNYACPTAKTGLFGGAAFLALDTALFWLVCQMLTQNVRTDYFEEDDPKGEYGQVLATDYVPTATGHTA >DRNTG_29306.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29613979:29616365:-1 gene:DRNTG_29306 transcript:DRNTG_29306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKASHLSLAVALFGCLSFIFGVIAENKKPPFGTPVPGKDVVICKFPTDPTVALGIVSIAALFISTLLGWLSVFFPYGGKSVPKDIMFRNTTLLVFFTVAVGVFVLGEGMMIWATITEALHRIHNVHQDLNYACPTAKTGLFGGAAFLALDTALFWLVCQMLTQNVRTDYFEEDDPKGEYGQVLATDYVPTATGHTA >DRNTG_13238.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2896206:2900762:1 gene:DRNTG_13238 transcript:DRNTG_13238.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMREYRAQLDAERAKKLSHGRNHSSIKLNHKKERKDKDLKKRSSRKRKHKRRSSESSSSSSSSECSSSDDDEERDSRRSRSRSRSRSKSKKSKKERKHKSRVKHSSNSDGEEREGPLPLSRFFGNSKS >DRNTG_13238.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2896206:2900762:1 gene:DRNTG_13238 transcript:DRNTG_13238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQAYKAMQRARVGSTSGGPEEIEDGMTDGSFHSPEWHAARLASLKTSHTITWEEYKKKQKEDELKKGELEADKDRMMREYRAQLDAERAKKLSHGRNHSSIKLNHKKERKDKDLKKRSSRKRKHKRRSSESSSSSSSSECSSSDDDEERDSRRSRSRSRSRSKSKKSKKERKHKSRVKHSSNSDGEEREGPLPLSRFFGNSKS >DRNTG_10638.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:277542:279759:-1 gene:DRNTG_10638 transcript:DRNTG_10638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKTKVLVIGATGRLGRHLVTASLAAGHPTFALVREHAFSDPDKSLLLRSFSDAGVTLIKGSLNDLQSLHEAIKLVDVVICSIPGIQCLNQQLLIQAIKEAGCIKRFIPSEFGCDVDKSQILGMDHGFYEKKAEVRRLIEKEGIPHTYICCNLFMGYLLPSLVQPGLIKPPRDTMKIFGDGNVKAVFVKELDVATFTINTIDDPKTLNKVLYLRPPGNVVSFNELAEIWEAKIQKKLEKIYIQEEQLLKLIQETPFTGKRELIFIYSAFIKGDHTYFCIEESSGLEGTQLYPDVKYTTVTEFLDSRLSEF >DRNTG_05822.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18999013:18999849:1 gene:DRNTG_05822 transcript:DRNTG_05822.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGFHARSNSLPTRSHPVTATAEEELNKLKAFVMVSPKMIGKSLSCLGAFYDFIEELLQMPSTQQALSHSQEKIWVEEELEASLRLVELCGIIRDTLVVIKEHAQELEMVLRRKRSMTNESKQQFHIQSDKKTRKSIKNCVKALKQMDGKDSDRSSTVSKMFTEAREVTISLLQSVASSLYPSSAQKTSKWSIVSKALHKKKVSCEEFEDSICSYFSFNSIYESLSCKDVDRLRAMKAQDRLAEMMSSLEGLEIELESLYRRLIQNRVSLLNLLSQ >DRNTG_21166.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2168249:2171309:1 gene:DRNTG_21166 transcript:DRNTG_21166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRKICGVPERVQLHVAMLALQFGYAGFHVVSRAALNMGISKLVFPVYRNIIAFLLLLPFAFFLEKKDRPPMTLSFILHFFVLALIGMTANQGFYLLGLDNTSPTFASAIQNSVPALTFLMAAALRIEKVKLNRRDGISKVAGTLACVFGASVITLYKGPVIFSPSKTLNHVNNVDPSPVLWLEDPKGKSWTLGCVYLVGHCLSWSGWLVLQAPVLKKYPARLSVTAYTCFFGVLQFLVIALVVERDASAWIFNSGGEVFTIFYAGFVASGIAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIVASIALGEEFYLGGILGAVFIVIGLYLVLYGKSQERAFAAKEAAINTASNAEHESIRSTNPTSYKGASLTQPLLPSSSENV >DRNTG_24645.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8026041:8027130:-1 gene:DRNTG_24645 transcript:DRNTG_24645.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSIYNSSKTENLQFQADSFQRQGRQLRRTMWLQDVLFKLIIARILIFLILIWWLMT >DRNTG_24645.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8026615:8027130:-1 gene:DRNTG_24645 transcript:DRNTG_24645.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPEEISKMSKLKAQVTEVKGIMMDNIEKVHILTTPCDKSRFDCLIMLKTYNFS >DRNTG_24645.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8026041:8027436:-1 gene:DRNTG_24645 transcript:DRNTG_24645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPEEISKMSKLKAQVTEVKGIMMDNIEKADSFQRQGRQLRRTMWLQDVLFKLIIARILIFLILIWWLMT >DRNTG_07141.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9316330:9318059:1 gene:DRNTG_07141 transcript:DRNTG_07141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNIEVVASDPLLLQERRKSISLMFLRFIGVVLLAVAACYLFISNFNSQSPETEKNSLTLDKQELVNIRPFLKELEVQEEQYFKKEVCDLSIGKWIPKAEGPPYTYKTCHHVASYTNCLKNGRPDTGFLHWKWQPSGCDLPPFDPLKFLNSMRNKSWAFIGDAIFSNHVDSLLCLISPVATPDEIYYDGDKTRTWYYPEYNLTIYEIWSPFLLASRTEHDLPYQIHLDMLDGKWTEMYNKYDYIVFSGSQWLYKRIIMYENNEVVGCHFCPDLDFNKIDDYVAYRRALQLTFKFITTSEHKPFVIFRTWAPNHWEDGGSPSERICNRTKPFKEGEFNGKSSDLRMRRVEVEEFAKAASIGARNEVRIKLLDIYHLSLLRPDGHPGPYGGHQSVENDCIHWCLPGPIDTWNELLMKVINGDAHEFVPDFL >DRNTG_34566.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1446454:1447474:-1 gene:DRNTG_34566 transcript:DRNTG_34566.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSVACGVECVVCLGCSRWAWKRLTYIGSYDSESWPLGEADDFEPVPRACRAILATYEDDLSQPRFPPAGGYRMDPSAVLKRATYSDTQGQCPPYLIYTDVEHKEIILAIRGLNLSKESDYKVLLHNRLGMQMFDGGFVHCGLLKAATWLLNQEADALRRLWLDRGPEYRLVIAGHSLGSGIAALMSIIVVNHRDRLGGIPRSHVRCYAIAPARCTSLNLAVKYADVIHSVVLQASFLLFFSLIFES >DRNTG_34566.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1444227:1447354:-1 gene:DRNTG_34566 transcript:DRNTG_34566.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVACGVECVVCLGCSRWAWKRLTYIGSYDSESWPLGEADDFEPVPRACRAILATYEDDLSQPRFPPAGGYRMDPSAVLKRATYSDTQGQCPPYLIYTDVEHKEIILAIRGLNLSKESDYKVLLHNRLGMQMFDGGFVHCGLLKAATWLLNQEADALRRLWLDRGPEYRLVIAGHSLGSGIAALMSIIVVNHRDRLGGIPRSHVRCYAIAPARCTSLNLAVKYADVIHSVVLQMCQQKLCLLLKQ >DRNTG_34566.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1444227:1447354:-1 gene:DRNTG_34566 transcript:DRNTG_34566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVACGVECVVCLGCSRWAWKRLTYIGSYDSESWPLGEADDFEPVPRACRAILATYEDDLSQPRFPPAGGYRMDPSAVLKRATYSDTQGQCPPYLIYTDVEHKEIILAIRGLNLSKESDYKVLLHNRLGMQMFDGGFVHCGLLKAATWLLNQEADALRRLWLDRGPEYRLVIAGHSLGSGIAALMSIIVVNHRDRLGGIPRSHVRCYAIAPARCTSLNLAVKYADVIHSVVLQASFLLFFSLIFES >DRNTG_34566.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1444227:1447354:-1 gene:DRNTG_34566 transcript:DRNTG_34566.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVACGVECVVCLGCSRWAWKRLTYIGSYDSESWPLGEADDFEPVPRACRAILATYEDDLSQPRFPPAGGYRMDPSAVLKRATYSDTQGQCPPYLIYTDVEHKEIILAIRGLNLSKESDYKVLLHNRLGMQMFDGGFVHCGLLKAATWLLNQEADALRRLWLDRGPEYRLVIAGHSLGSGIAALMSIIVVNHRDRLGGIPRSHVRCYAIAPARCTSLNLAVKYADVIHSVVLQASFLLFFSLIFES >DRNTG_34566.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1446324:1447474:-1 gene:DRNTG_34566 transcript:DRNTG_34566.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSVACGVECVVCLGCSRWAWKRLTYIGSYDSESWPLGEADDFEPVPRACRAILATYEDDLSQPRFPPAGGYRMDPSAVLKRATYSDTQGQCPPYLIYTDVEHKEIILAIRGLNLSKESDYKVLLHNRLGMQMFDGGFVHCGLLKAATWLLNQEADALRRLWLDRGPEYRLVIAGHSLGSGIAALMSIIVVNHRDRLGGIPRSHVRCYAIAPARCTSLNLAVKYADVIHSVVLQASFLLFFSLIFES >DRNTG_34566.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1446324:1447354:-1 gene:DRNTG_34566 transcript:DRNTG_34566.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSVACGVECVVCLGCSRWAWKRLTYIGSYDSESWPLGEADDFEPVPRACRAILATYEDDLSQPRFPPAGGYRMDPSAVLKRATYSDTQGQCPPYLIYTDVEHKEIILAIRGLNLSKESDYKVLLHNRLGMQMFDGGFVHCGLLKAATWLLNQEADALRRLWLDRGPEYRLVIAGHSLGSGIAALMSIIVVNHRDRLGGIPRSHVRCYAIAPARCTSLNLAVKYADVIHSVVLQASFLLFFSLIFES >DRNTG_34566.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1444227:1447354:-1 gene:DRNTG_34566 transcript:DRNTG_34566.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSVACGVECVVCLGCSRWAWKRLTYIGSYDSESWPLGEADDFEPVPRACRAILATYEDDLSQPRFPPAGGYRMDPSAVLKRATYSDTQGQCPPYLIYTDVEHKEIILAIRGLNLSKESDYKVLLHNRLGMQMFDGGFVHCGLLKAATWLLNQEADALRRLWLDRGPEYRLVIAGHSLGSGIAALMSIIVVNHRDRLGGIPRSHVRCYAIAPARCTSLNLAVKYADVIHSVVLQDDFLPRTATPLEYIFGSIFCLPCFLFLVCLRDTFIPERRKLNDPRRLYAPGRMYHIVERKFCRCGRFPPEVRTAIPVEGRFEHIVLSCNTTSDHGIIWIEREAQKALDRIRENTKATTPPPLQKMERKQTFEKEHKDALERAVTLNVPHAVPPTEASTEEDTSEGAFAESQKEETSSSNLKSSGKPNWNELVEKLFDRSESGNLVLKEDANVAVH >DRNTG_34566.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1446454:1447354:-1 gene:DRNTG_34566 transcript:DRNTG_34566.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSVACGVECVVCLGCSRWAWKRLTYIGSYDSESWPLGEADDFEPVPRACRAILATYEDDLSQPRFPPAGGYRMDPSAVLKRATYSDTQGQCPPYLIYTDVEHKEIILAIRGLNLSKESDYKVLLHNRLGMQMFDGGFVHCGLLKAATWLLNQEADALRRLWLDRGPEYRLVIAGHSLGSGIAALMSIIVVNHRDRLGGIPRSHVRCYAIAPARCTSLNLAVKYADVIHSVVLQASFLLFFSLIFES >DRNTG_10796.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000467.1:1585:2573:-1 gene:DRNTG_10796 transcript:DRNTG_10796.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLSPLTLTLTHTHTHTRTHAHSSLSLSRSPALTRPIFAASPHSRIDDRSSPIFSRL >DRNTG_18340.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1636739:1638883:1 gene:DRNTG_18340 transcript:DRNTG_18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGFVSKQWFSVTTPLSPSSSPAPPQTLAFSFPSLQNHTVRLSKARFISSPIHRRCRIASPKVARTINGEDENQVEENKNKAGNDVGPKDLPPMKALVQAYKKAILDGDEKSAYEVEAAICIMENEKNDLSQKLLEMLAEITTGKDKLLRLKADFENFRKRSENDRLTFTSDFQGDVIENLLPIVDSFEKARQQIKPETEKEKMIDTSYQGIYKQFVEVMRYLGVAVVATVGKPFDPSIHEAVGREESQEIRAGIVMQELRRGFVLGGKLLRPATVKVSTGPGPVKAPPNTEKSTEQSTETVQPADDPASTINTS >DRNTG_07430.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7082474:7083874:1 gene:DRNTG_07430 transcript:DRNTG_07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB80 [Source:Projected from Arabidopsis thaliana (AT5G56110) UniProtKB/TrEMBL;Acc:A0A178UM75] MGRIPCCEKDNVKRGQWTPEEDNKLSSYIAQHGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGEFTDAEEQTIVKLHSVVGNRWSLIAAQLPGRTDNDVKNHWNTKLKKKLSGMGIDPVTHKPFSHLMAEIATTLAPPQVAHLAEAALGCFKDEMLHLLTKKRVDPFSGMPQVTGNNGVGYASVSGNDKEETIEKIKLGLSRAIMQEGNGDHHVVNNGKSWALVGSHGEASVMHDVYDPMLSGDHGFRYDAPAYGNDVGEASAWSQSLCTGSTCTGGVGEQQAGVIVEKEVEVEMEEEDGEEAEGRKINGRKGDEGGMFASDCVLWDLPDDLMSPMV >DRNTG_21258.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:117060:119717:1 gene:DRNTG_21258 transcript:DRNTG_21258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEGLSTDLPAKHNKMHISCDCRDYPENGAHGLCSQKVDQFNDRNGSHFGENGGSTNDIYDCSPIKVIEHCGIVVDEQAKELLICSVNSDPAIASSASFRDFHDSFDTSPTGNSVESLDNMVDDVHRKEMPAYCNTRYFFSHAGGHLENGTYDLSSQQLNVCSSNGSISQITGDRLHETELISAISENALNYYGSKIDCDSDEFARVIDTKVVRAAVDDHSPRCPASCLGSFCLLRLTDDSTSEAEENILPSTFHSETDAAAERPACPPEILPKDDCPKTEFNLDQSIGGLEHTPEKLLSNRKTISPTSQEKLRQAVNGVDLYDALQSSKTRKRLWYENSAGNEIPSSLSGSNESKPLSFSDRMTKKPKGRNDDLPIAVKGILKSPDASNRSPCSCMESSLAKKQTQKAIEFSQQQMHDIEGVAMKLLKGLKSMKSIVQRNMLSEDSLPMTSNLATDEIRAALDNASTLEGYTKRWLTIMARDCNRFCKIMKGDKKEASVNGIRKEGKKISFADEAGGLLCHVKVFE >DRNTG_21258.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:118176:119717:1 gene:DRNTG_21258 transcript:DRNTG_21258.2 gene_biotype:protein_coding transcript_biotype:protein_coding TISPTSQEKLRQAVNGVDLYDALQSSKTRKRLWYENSAGNEIPSSLSGSNESKPLSFSDRMTKKPKGRNDDLPIAVKGILKSPDASNRSPCSCMESSLAKKQTQKAIEFSQQQMHDIEGVAMKLLKGLKSMKSIVQRNMLSEDSLPMTSNLATDEIRAALDNASTLEGYTKRWLTIMARDCNRFCKIMKGDKKEASVNGIRKEGKKISFADEAGGLLCHVKVFE >DRNTG_09175.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7357819:7358273:-1 gene:DRNTG_09175 transcript:DRNTG_09175.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSLWSRDIEDEIVPLCRELGIGIVTY >DRNTG_09175.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7320564:7358273:-1 gene:DRNTG_09175 transcript:DRNTG_09175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSLWSRDIEDEIVPLCRELGIGIVAYSPLGRGFFGGKGVSESVHEHSLPHPRYSSENLRR >DRNTG_30287.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13660672:13665216:-1 gene:DRNTG_30287 transcript:DRNTG_30287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLHNAPHSMGTTIIGVTYDGGVVLGADSRTSTGMYVANRASDKITQLTDNVYVCRSGSAADSQIVSDYVRYFLEQHTIQLGEPATVKVAANLVRLLSYQNKNMLQTGLIVGGWDKYEGGQIYSVPLGGTILKEPFTIGGSGSTYLYGFFDQAWKEGMSKEEAEKLVVKAVSLAIARDGASGGVVRTVIINADGVTRNFYPGDTLPLWHEELEAHNSLLDILSSDSPVPMST >DRNTG_08153.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16099646:16100049:1 gene:DRNTG_08153 transcript:DRNTG_08153.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKITTLSRLSSAHTLSSTSHTYNLRASGQPQDPSTSRHS >DRNTG_20486.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21747274:21749020:-1 gene:DRNTG_20486 transcript:DRNTG_20486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQAVILSHKSVGGFVSHCGWNSTLEAASNGVPMITWPQFGDQFLNERLVVEFLRIGIAIGVKKPVFNYGEDEIPVRRDDVERAVRSLMNDGEVAEERRIRAREIEKAIKAMEGGGSSYENITKLVEYIKHY >DRNTG_16314.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000809.1:72170:72480:1 gene:DRNTG_16314 transcript:DRNTG_16314.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVNGFIKKVPLNVFSAIRTTGIIAIQLVPGDELRWVRRCADDDLVAIASQNGMVIVNSCNM >DRNTG_25387.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24369704:24384579:-1 gene:DRNTG_25387 transcript:DRNTG_25387.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLAPLSGCARPATTILSRISTAIFFSPSGRTSSSSSAVLHRLPFSTSPSPQSLSPRTRGLRLPGPPGSDDELDSSDSNASPKKSRNEKKREARRAVRWGMELSAFPVPQIKRVLKVASLEREVFEALMLVKRLGPDVREGRRRQFNYIDFCGMCSLN >DRNTG_25387.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24369704:24384579:-1 gene:DRNTG_25387 transcript:DRNTG_25387.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLAPLSGCARPATTILSRISTAIFFSPSGRTSSSSSAVLHRLPFSTSPSPQSLSPRTRGLRLPGPPGSDDELDSSDSNASPKKSRNEKKREARRAVRWGMELSAFPVPQIKRVLKVASLEREVFEALMLVKRLGPDVREGRRRQFNYIGRLLRDVQPELMDALIQASKDGDNSKLSTLSGLKTDDDEEEKEEEEEEEEGKEEDTICEVQKDEGRANYIELSTRWFDGLIYKDPLITNEVFSVHNVEFDRQELRKLVRKIQSIQEEPLVDETVSGKDALLTSAKRPLVRFLRSLAKKSLAE >DRNTG_25387.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24369704:24384579:-1 gene:DRNTG_25387 transcript:DRNTG_25387.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLAPLSGCARPATTILSRISTAIFFSPSGRTSSSSSAVLHRLPFSTSPSPQSLSPRTRGLRLPGPPGSDDELDSSDSNASPKKSRNEKKREARRAVRWGMELSAFPVPQIKRVLKVASLEREVFEALMLVKRLGPDVREGRRRQFNYIDFCGMCSLN >DRNTG_04502.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000218.1:27686:44481:1 gene:DRNTG_04502 transcript:DRNTG_04502.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAK10 homologue [Source:Projected from Arabidopsis thaliana (AT2G11000) UniProtKB/TrEMBL;Acc:F4IRD3] MADERDDARETRASLPLSIPSGDQTVWADASPLIAAACNDLQDGELVHGENFSLFAAMSALEIMDPKMDAGIESNGYRSVDEAIENGTAPLPLSSDSTVDVQCVIDIMDHLLACEATWHKGHSLAQTVFSCIYLLKIERTSAHVLLHSYCRIIRATCNAVISTVSDARTHEEEDLFTMAYGLPLKGEGDDKCLSILNSVEETISRQLRACKALNYKKKVLEDIDPLQANPDLEDGYCRALLCRIRFRKHFYHVLMCMRKSQGRGLELARKHIALCLSELSSIAKSQEFLRSNVCVSHQDDVEEGTTASGCQPVGFDASLNSRYSAPTPPRAIQILSWKRAIEYFEKLLHDLDIICSFSLEPMLEDVLHFVVQFQESQPDLVARAHLQLLLVQDGKLYGRDFFYDVISKAIALPSFARDPEFQKNEFVVQLIQLVVNLLKILCTNAAWQRRKLGKILQDWSLFSIQLELALKREFGDMLKALVDENVCLKVSKHLLTWAEEQTYWIAARFLMLGFKLELYSPNEYCMVYWYLYIILMRLLDKMQVRLSNRGDSRKKGKKKRDVSKDLTRDMIVSSPSCLLLKCYLSLSEGLAMMLAALHNESKVFEMPNVFNNEQERFIQHFELLQKAHVPDQISYFLFKESTKHALIPIIIKHNYFREAQRVSTSLKGSFSSDPQRMQELRQIEQVAEHNKIALNVINKVGTRDPSLIVSFEFTHHPVFAVAVVKRS >DRNTG_04502.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000218.1:27686:44481:1 gene:DRNTG_04502 transcript:DRNTG_04502.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAK10 homologue [Source:Projected from Arabidopsis thaliana (AT2G11000) UniProtKB/TrEMBL;Acc:F4IRD3] MADERDDARETRASLPLSIPSGDQTVWADASPLIAAACNDLQDGELVHGENFSLFAAMSALEIMDPKMDAGIESNGYRSVDEAIENGTAPLPLSSDSTVDVQCVIDIMDHLLACEATWHKGHSLAQTVFSCIYLLKIERTSAHVLLHSYCRIIRATCNAVISTVSDARTHEEEDLFTMAYGLPLKGEGDDKCLSILNSVEETISRQLRACKALNYKKKVLEDIDPLQANPDLEDGYCRALLCRIRFPLLSCSHVHEEISRKGP >DRNTG_04502.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000218.1:27686:44481:1 gene:DRNTG_04502 transcript:DRNTG_04502.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAK10 homologue [Source:Projected from Arabidopsis thaliana (AT2G11000) UniProtKB/TrEMBL;Acc:F4IRD3] MLERPELLCLYPYPLVIRLCGPMLLLLSPLRATDGELVHGENFSLFAAMSALEIMDPKMDAGIESNGYRSVDEAIENGTAPLPLSSDSTVDVQCVIDIMDHLLACEATWHKGHSLAQTVFSCIYLLKIERTSAHVLLHSYCRIIRATCNAVISTVSDARTHEEEDLFTMAYGLPLKGEGDDKCLSILNSVEETISRQLRACKALNYKKKVLEDIDPLQANPDLEDGYCRALLCRIRFPLLSCSHVHEEISRKGP >DRNTG_04502.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000218.1:27686:44481:1 gene:DRNTG_04502 transcript:DRNTG_04502.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAK10 homologue [Source:Projected from Arabidopsis thaliana (AT2G11000) UniProtKB/TrEMBL;Acc:F4IRD3] MADERDDARETRASLPLSIPSGDQTVWADASPLIAAACNDLQDGELVHGENFSLFAAMSALEIMDPKMDAGIESNGYRSVDEAIENGTAPLPLSSDSTVDVQCVIDIMDHLLACNMAQGSFSGTDCLFLHLSSEDRKDICTCIVTFLLQDHPCYLQCCHFHSL >DRNTG_25149.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1882064:1884009:-1 gene:DRNTG_25149 transcript:DRNTG_25149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSLDNALPASLERPRKAPKISPAKPPLYPSINNENSSLTPPPPKPADQSIEYISSENLAALSDPQNKIKSVLEELESKDWIRVCEALNDVRRLALHHQSLLHPILENVMLVIVKSMKSPRSALCKTSIMASTDIFQSFGYLLPSISEDACAFDHLLLQLLMKASQDKKFVCEEAEKALEAMASFISSLPLIKKLQPCVNHANLRVRAKAAVSISKCVSKMDIETMKEFGLVVLLQIAAELLNDKLPEAREAARSIINSVHNGFSKNNELKVDDESSATTESWQDFCSSNLPPISAQSVAKIVLQ >DRNTG_06926.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16857852:16858537:1 gene:DRNTG_06926 transcript:DRNTG_06926.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGRKIPPQPTRFQRSIREIQKLQRSPERK >DRNTG_06926.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16857852:16859549:1 gene:DRNTG_06926 transcript:DRNTG_06926.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSFQDEKGTTTSIASSSQDRNLPFGHEISTKHHSSTNSPAVST >DRNTG_26748.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7940500:7946883:-1 gene:DRNTG_26748 transcript:DRNTG_26748.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 19 [Source:Projected from Arabidopsis thaliana (AT4G38130) UniProtKB/Swiss-Prot;Acc:O22446] MMDGSGNSLATAACSDGTKRRVCYFYDSEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQNLQVYKPNPARERDLCRFHADDYVSFLRTVTPETQQDQIRSLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGHDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKKHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDVGYGKGKYYSLNVPLDDGIDDESYQSLFKPLIGKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVRYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGVELDDKMPQHEYFEYFGPDYTLHVAPSNMENKNSRQLLDDIRSKLLDNLSKLRHAPSVQFQERPPETEFPEADEDQEDPDERHDPDSDMDVDDPKLPDEPSRKTPSTSIQNIRIKKEVGENELKEQDAQKGTSEHIKNGEVMTEEIPSAKASEAGPMSIDESNNVKMEEDNPKQTTRCTCFHISKILMMQESNLTLFILSTSTEN >DRNTG_02586.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2575269:2575569:1 gene:DRNTG_02586 transcript:DRNTG_02586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWSQPLQKPSHRRGISATKGSSFHIPLNSS >DRNTG_02092.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10461385:10464590:-1 gene:DRNTG_02092 transcript:DRNTG_02092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLTSTTMIPEAFQGTRDDIAQQMGMVWEQVKAPLVIPLLKVAVVLSLIMSLMLFIERLYMAIIILFVKLLGKTPQKRFKWLPMQDDVELGSSAYPTVLIQIPMFNEKEVYKLSIGAACGLSWPSDRLVIQVLDDSTDPVVKEMVERECERWASKGVNIRYTIRDNRKGYKAGALREGLKHSYVKQCDYVAIFDADFQPEPDFLRRSIPFLIHNPELALVQARWIFVNSAECLLTRMQEMSLDYHFTVEQEAGSSAFSFFGFNGTAGVWRISAINEAGGWKDRTTVEDMDLAVRASLRGWKFLYLGDLQVRSELPSTLKAFRFQQHRWSCGPANLFRKMVMEIARNKKVSIRKRFYVIYNFFLVRKIVGHVVTFVLYCVVMPATVLIPEVEIPKWGSVYIPSLVTLLNAVGTPRSFHLLMYWVLFENVMSLHRTKASFIGLLEAGRVNEWVVTEKLGDSLKTTTAAKTVRKPRIRFGDRLHLTELCIGAYLFMCGCFDFAYGKNRYYIYLFLQSIAFSIVGFGYVGTFVPNS >DRNTG_13691.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22229370:22229907:1 gene:DRNTG_13691 transcript:DRNTG_13691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVRRVRTGVYTLVLPAPEIAEDEGDHAEASQPALEPQPAPMETEAPSVVEDAPPVRMFSPSRAHDRFERLESAVGVIWTEVAPASPSPILAPQDPPCASPPAAAAEEPTERNTD >DRNTG_19138.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000982.1:15619:18925:-1 gene:DRNTG_19138 transcript:DRNTG_19138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVNAHKSGSKEQNSEAYSPALTVAS >DRNTG_33978.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2267616:2276761:1 gene:DRNTG_33978 transcript:DRNTG_33978.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGNPNNPAPFDVNKLFKPPTNPSPNPTAASSPFAPPPMSYNSPATVYPPPPHGAFSYPPPTPPFHNHPFLHYAQDPLPRPNLPFAPPANPNTNPNQNSGARIMALLVSSPPLESAVSMPLAPPSEPPILHAIPSAPPAVTAVAQPPPARKPSSKMPRGRHLGGRDRAVYDVDSRLPGESQPPQLEVTPITKYASDPGLVLGRQIAVNRTYICYGLKLGAIRVLNINTALRSLLRGHTQRVTDMAFFAEDVHFLASASVDGRVFVWKINEGPDDEDKPLITGKIIIALQIVGDEESYHPRICWHSHKQEILIIGIGNSVLKIDTTKVGRGKEFSAEEPLRCPMDKLIDGVQLIGKHDGEVTDLSISQWMMTRLVSASKDGTVKIWDDRRMMPLVTLRPHDGQPVNCVAFLTASHRPDHIVLITAGPLNREVKIWASTGEEGWLLSSDSESWHCIQTLELRSSSEPRLEEAFFNQVVVLPHSNLLLLANAKKNAIYAVHIDYGPCPAATRMDYIADFTVAMPILSLTGTRDFFSDVEQMVQVYCVQTQAIQQYALDLSQCLPPTIDNMGLGKESSLPNVSDTSISEGFVVVEPTRGLTVSDLPVGGGLSGLPLSVSNSEAALHPVTSDASESSNAHDSTFSNVECKPSAPPLPSCDAEALHAVSSPIALHVGNAGRQVNFMSPPKGLERTPSAGDRNVDNSILPVERKADTASSNVTDVSCVDDNLGKNDVKGSTGDVSVVANSLSTSKINEGTHLVTPSEILSGATSLAENTLCDPRSES >DRNTG_08292.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23820594:23820747:1 gene:DRNTG_08292 transcript:DRNTG_08292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQERGGGPGGADPDAREKWRTWPERDGGPGGVLNLNFVSILFFGCVFAASK >DRNTG_00063.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21763966:21767203:-1 gene:DRNTG_00063 transcript:DRNTG_00063.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMGQASCEDIERGPHRRSFNGDDSDGSHCSSDAEEQSWHSPYNANCAASPYAVFRASCASSDHETDVASEPCRNSCFSESSLEIDLESGVTEVKVNLTKVVEKDCRICHLGLQSAACESGVAIELGCSCKGDLASAHKQCAEKWFKIKGNKTCEICGSSAQNVAGVADIQTEHTEEWNETNNNSNNTGTGAAAASENRRFWQGHRFVNFLLACMVFAFVISWLFHFNVPG >DRNTG_00063.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21763860:21767203:-1 gene:DRNTG_00063 transcript:DRNTG_00063.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMGQASCEDIERGPHRRSFNGDDSDGSHCSSDAEEQSWHSPYNANCAASPYAVFRASCASSDHETDVASEPCRNSCFSESSLEIDLESGVTEVKVNLTKVVEKDCRICHLGLQSAACESGVAIELGCSCKGDLASAHKQCAEKWFKIKGNKTCEICGSSAQNVAGVADIQTEHTEEWNETNNNSNNTGTGAAAASENRRFWQGHRFVNFLLACMVFAFVISWLFHFNVPG >DRNTG_00063.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21763860:21767694:-1 gene:DRNTG_00063 transcript:DRNTG_00063.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMGQASCEDIERGPHRRSFNGDDSDGSHCSSDAEEQSWHSPYNANCAASPYAVFRASCASSDHETDVASEPCRNSCFSESSLEIDLESGVTEVKVNLTKVVEKDCRICHLGLQSAACESGVAIELGCSCKGDLASAHKQCAEKWFKIKGNKTCEICGSSAQNVAGVADIQTEHTEEWNETNNNSNNTGTGAAAASENRRFWQGHRFVNFLLACMVFAFVISWLFHFNVPG >DRNTG_00063.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21763820:21767851:-1 gene:DRNTG_00063 transcript:DRNTG_00063.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMGQASCEDIERGPHRRSFNGDDSDGSHCSSDAEEQSWHSPYNANCAASPYAVFRASCASSDHETDVASEPCRNSCFSESSLEIDLESGVTEVKVNLTKVVEKDCRICHLGLQSAACESGVAIELGCSCKGDLASAHKQCAEKWFKIKGNKTCEICGSSAQNVAGVADIQTEHTEEWNETNNNSNNTGTGAAAASENRRFWQGHRFVNFLLACMVFAFVISWLFHFNVPG >DRNTG_00063.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21763966:21767851:-1 gene:DRNTG_00063 transcript:DRNTG_00063.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMGQASCEDIERGPHRRSFNGDDSDGSHCSSDAEEQSWHSPYNANCAASPYAVFRASCASSDHETDVASEPCRNSCFSESSLEIDLESGVTEVKVNLTKVVEKDCRICHLGLQSAACESGVAIELGCSCKGDLASAHKQCAEKWFKIKGNKTCEICGSSAQNVAGVADIQTEHTEEWNETNNNSNNTGTGAAAASENRRFWQGHRFVNFLLACMVFAFVISWLFHFNVPG >DRNTG_00063.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21763820:21767203:-1 gene:DRNTG_00063 transcript:DRNTG_00063.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMGQASCEDIERGPHRRSFNGDDSDGSHCSSDAEEQSWHSPYNANCAASPYAVFRASCASSDHETDVASEPCRNSCFSESSLEIDLESGVTEVKVNLTKVVEKDCRICHLGLQSAACESGVAIELGCSCKGDLASAHKQCAEKWFKIKGNKTCEICGSSAQNVAGVADIQTEHTEEWNETNNNSNNTGTGAAAASENRRFWQGHRFVNFLLACMVFAFVISWLFHFNVPG >DRNTG_00063.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21763860:21767851:-1 gene:DRNTG_00063 transcript:DRNTG_00063.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMGQASCEDIERGPHRRSFNGDDSDGSHCSSDAEEQSWHSPYNANCAASPYAVFRASCASSDHETDVASEPCRNSCFSESSLEIDLESGVTEVKVNLTKVVEKDCRICHLGLQSAACESGVAIELGCSCKGDLASAHKQCAEKWFKIKGNKTCEICGSSAQNVAGVADIQTEHTEEWNETNNNSNNTGTGAAAASENRRFWQGHRFVNFLLACMVFAFVISWLFHFNVPG >DRNTG_00063.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21763966:21767694:-1 gene:DRNTG_00063 transcript:DRNTG_00063.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMGQASCEDIERGPHRRSFNGDDSDGSHCSSDAEEQSWHSPYNANCAASPYAVFRASCASSDHETDVASEPCRNSCFSESSLEIDLESGVTEVKVNLTKVVEKDCRICHLGLQSAACESGVAIELGCSCKGDLASAHKQCAEKWFKIKGNKTCEICGSSAQNVAGVADIQTEHTEEWNETNNNSNNTGTGAAAASENRRFWQGHRFVNFLLACMVFAFVISWLFHFNVPG >DRNTG_00063.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21763820:21767694:-1 gene:DRNTG_00063 transcript:DRNTG_00063.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMGQASCEDIERGPHRRSFNGDDSDGSHCSSDAEEQSWHSPYNANCAASPYAVFRASCASSDHETDVASEPCRNSCFSESSLEIDLESGVTEVKVNLTKVVEKDCRICHLGLQSAACESGVAIELGCSCKGDLASAHKQCAEKWFKIKGNKTCEICGSSAQNVAGVADIQTEHTEEWNETNNNSNNTGTGAAAASENRRFWQGHRFVNFLLACMVFAFVISWLFHFNVPG >DRNTG_00063.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21763966:21767203:-1 gene:DRNTG_00063 transcript:DRNTG_00063.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMGQASCEDIERGPHRRSFNGDDSDGSHCSSDAEEQSWHSPYNANCAASPYAVFRASCASSDHETDVASEPCRNSCFSESSLEIDLESGVTEVKVNLTKVVEKDCRICHLGLQSAACESGVAIELGCSCKGDLASAHKQCAEKWFKIKGNKTCEICGSSAQNVAGVADIQTEHTEEWNETNNNSNNTGTGAAAASENRRFWQGHRFVNFLLACMVFAFVISWLFHFNVPG >DRNTG_00063.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21763798:21767203:-1 gene:DRNTG_00063 transcript:DRNTG_00063.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMGQASCEDIERGPHRRSFNGDDSDGSHCSSDAEEQSWHSPYNANCAASPYAVFRASCASSDHETDVASEPCRNSCFSESSLEIDLESGVTEVKVNLTKVVEKDCRICHLGLQSAACESGVAIELGCSCKGDLASAHKQCAEKWFKIKGNKTCEICGSSAQNVAGVADIQTEHTEEWNETNNNSNNTGTGAAAASENRRFWQGHRFVNFLLACMVFAFVISWLFHFNVPG >DRNTG_07376.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20610532:20614529:1 gene:DRNTG_07376 transcript:DRNTG_07376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYRCASMLKKCSEFQGSFRSLLCSQRTHLVYGNQRSDSACKLFSTQAASSASTPQPPPPPPPPEKTHFGGLKDEDRIFTNVYGLHDPFLKGAMKRGDWYRTKDLVLKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRATAAYIYIRGEYVNERLNLERARKEAYQAGLLGKNACGSGYDFDVHIHYGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCISGHVNKPCTVEEEMSIPLKELLERHCGGVRGGWDNLLAVIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRARAEKELLEAAAA >DRNTG_07376.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20610478:20614321:1 gene:DRNTG_07376 transcript:DRNTG_07376.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYRCASMLKKCSEFQGSFRSLLCSQRTHLVYGNQRSDSACKLFSTQAASSASTPQPPPPPPPPEKTHFGGLKDEDRIFTNVYGLHDPFLKGAMKRGDWYRTKDLVLKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRATAAYIYIRGEYVNERLNLERARKEAYQAGLLGKNACGSGYDFDVHIHYGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCISGHVNKPCTVEEEMSIPLKELLERHCGGVRGGWDNLLAVIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRARAEKELLEAAAA >DRNTG_07376.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20610532:20614321:1 gene:DRNTG_07376 transcript:DRNTG_07376.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYRCASMLKKCSEFQGSFRSLLCSQRTHLVYGNQRSDSACKLFSTQAASSASTPQPPPPPPPPEKTHFGGLKDEDRIFTNVYGLHDPFLKGAMKRGDWYRTKDLVLKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRATAAYIYIRGEYVNERLNLERARKEAYQAGLLGKNACGSGYDFDVHIHYGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCISGHVNKPCTVEEEMSIPLKELLERHCGGVRGGWDNLLAVIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRARAEKELLEAAAA >DRNTG_07376.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20610578:20614298:1 gene:DRNTG_07376 transcript:DRNTG_07376.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYRCASMLKKCSEFQGSFRSLLCSQRTHLVYGNQRSDSACKLFSTQAASSASTPQPPPPPPPPEKTHFGGLKDEDRIFTNVYGLHDPFLKGAMKRGDWYRTKDLVLKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRATAAYIYIRGEYVNERLNLERARKEAYQAGLLGKNACGSGYDFDVHIHYGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCISGHVNKPCTVEEEMSIPLKELLERHCGGVRGGWDNLLAVIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRARAEKELLEAAAA >DRNTG_07376.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20610578:20614250:1 gene:DRNTG_07376 transcript:DRNTG_07376.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYRCASMLKKCSEFQGSFRSLLCSQRTHLVYGNQRSDSACKLFSTQAASSASTPQPPPPPPPPEKTHFGGLKDEDRIFTNVYGLHDPFLKGAMKRGDWYRTKDLVLKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRATAAYIYIRGEYVNERLNLERARKEAYQAGLLGKNACGSGYDFDVHIHYGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCISGHVNKPCTVEEEMSIPLKELLERHCGGVRGGWDNLLAVIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRARAEKELLEAAAA >DRNTG_07376.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20610478:20614250:1 gene:DRNTG_07376 transcript:DRNTG_07376.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYRCASMLKKCSEFQGSFRSLLCSQRTHLVYGNQRSDSACKLFSTQAASSASTPQPPPPPPPPEKTHFGGLKDEDRIFTNVYGLHDPFLKGAMKRGDWYRTKDLVLKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRATAAYIYIRGEYVNERLNLERARKEAYQAGLLGKNACGSGYDFDVHIHYGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCISGHVNKPCTVEEEMSIPLKELLERHCGGVRGGWDNLLAVIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRARAEKELLEAAAA >DRNTG_07376.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20610578:20614529:1 gene:DRNTG_07376 transcript:DRNTG_07376.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYRCASMLKKCSEFQGSFRSLLCSQRTHLVYGNQRSDSACKLFSTQAASSASTPQPPPPPPPPEKTHFGGLKDEDRIFTNVYGLHDPFLKGAMKRGDWYRTKDLVLKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRATAAYIYIRGEYVNERLNLERARKEAYQAGLLGKNACGSGYDFDVHIHYGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCISGHVNKPCTVEEEMSIPLKELLERHCGGVRGGWDNLLAVIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRARAEKELLEAAAA >DRNTG_07376.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20610532:20614250:1 gene:DRNTG_07376 transcript:DRNTG_07376.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYRCASMLKKCSEFQGSFRSLLCSQRTHLVYGNQRSDSACKLFSTQAASSASTPQPPPPPPPPEKTHFGGLKDEDRIFTNVYGLHDPFLKGAMKRGDWYRTKDLVLKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRATAAYIYIRGEYVNERLNLERARKEAYQAGLLGKNACGSGYDFDVHIHYGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCISGHVNKPCTVEEEMSIPLKELLERHCGGVRGGWDNLLAVIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRARAEKELLEAAAA >DRNTG_07376.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20610578:20614321:1 gene:DRNTG_07376 transcript:DRNTG_07376.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYRCASMLKKCSEFQGSFRSLLCSQRTHLVYGNQRSDSACKLFSTQAASSASTPQPPPPPPPPEKTHFGGLKDEDRIFTNVYGLHDPFLKGAMKRGDWYRTKDLVLKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRATAAYIYIRGEYVNERLNLERARKEAYQAGLLGKNACGSGYDFDVHIHYGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCISGHVNKPCTVEEEMSIPLKELLERHCGGVRGGWDNLLAVIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRARAEKELLEAAAA >DRNTG_07376.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20610532:20614298:1 gene:DRNTG_07376 transcript:DRNTG_07376.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYRCASMLKKCSEFQGSFRSLLCSQRTHLVYGNQRSDSACKLFSTQAASSASTPQPPPPPPPPEKTHFGGLKDEDRIFTNVYGLHDPFLKGAMKRGDWYRTKDLVLKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRATAAYIYIRGEYVNERLNLERARKEAYQAGLLGKNACGSGYDFDVHIHYGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCISGHVNKPCTVEEEMSIPLKELLERHCGGVRGGWDNLLAVIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRARAEKELLEAAAA >DRNTG_17000.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20007581:20014783:1 gene:DRNTG_17000 transcript:DRNTG_17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDLSDNELQGNIPKSIFELSNLKYLSLASNNFKGTLELDLIGRMRSLSYLDLSSNKLSILNGSGNSSLLFPSITTLKLVSCNFDHHPSFLGT >DRNTG_17000.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20007581:20007916:1 gene:DRNTG_17000 transcript:DRNTG_17000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSYLDLSSNKLSISNGSGNSSLLFPSITTLKLVSCNLTIIPPFLEHKLDMTFLDLSNNQIGGAIP >DRNTG_27496.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17180220:17185937:-1 gene:DRNTG_27496 transcript:DRNTG_27496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDRHSVPPSSPAQPNSWAQIASSLRQSMDISPLHNPQILNKLKESTSTFIRLDKDGINRACMRFQHSLYGKLFGKPPPFTQVKDDLLAKWSSFGEVLISDLPNGFILIRCSSQQILQHLLLDGPWSVNGITLQLTPWKPFSEPTFAKLSTAAIWVQFHNLPIEFWDGETLDFIASQLGILLKIDDLTTSLARSKFARVCIDIDLSRPLSRGFWIGDDEHRVFVVVMYERLPTFCYSCGLISHGTNSYPRSSTHGTRGTHPPLRPSGKTVVSTPLVPSVEDQCMEDSALPHDRPSSEVSDFGNSSLSETDFGPWLLVSRRRGNSRGRGGGTRATLATPSATADPPSIPLSQSFLLPSVTHTQSDHISLPNTPQGSGSSPHPSAPSTIPSPHQTVPPTLISSCLPTTPPNQITPNMPPSLHTRINQILPPIFPSHPNSDALSSFPIQHTSIKTLVSSVRDSTSYDSLALSSRSKSPPPILRSSLSSPSQPSPTSDPCSHSLVVSRVSEALDGGDTDKDSSVEEDLSDDDNDDEIANSNRVDHFCKKISNSWDWAAILADGFSSGILILWNKSIGKVTPIAVSRRALHLIISPNSTDSFIVSVIYNSSQTHNQCFLWHELSKITSLCLPWLVLGDFNSVLSRNEHHGDHSLIFLSSSHFPPHKNNIFRFEKFWLDYLGCHDVVRNAWELIPHGNPLQAFAHLLSRTHFNLSHWRRLGVNRIKSSLLDTKANMCHLEASDLSLSSQSLLSTQYAKLAALQRQCGSKWAECAHLTLVKDGDMNTHFFHSINRIRSLVNSISQVVDDSGMVHSDHSGIEMAFIEYYKKLWTSPSGCLVDLIDAVPCDLPHISDTEAFYLIREVTKDEVFSTIHDLPPGKSLGPDGFNVEFFHNFLPHSCNRWGNYFLSKAVKTILINSSLLSIPTYTLSIYSIPDSVISEITKVVRNFFLSRSGNGKGIHNVGWSIINDGCRSQHTDDIVANN >DRNTG_34355.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10126826:10128049:-1 gene:DRNTG_34355 transcript:DRNTG_34355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGAPNHQYTRLRGKGASFSLRPPSHCLSRPKRAKDQLLKDNNNGEENRFYLFPLGQGADRQSPYSELKNVLLGPFSCL >DRNTG_29897.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001514.1:3326:9532:-1 gene:DRNTG_29897 transcript:DRNTG_29897.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGVQSNAAAAAAAPEFPPKKLVRQLDFTANFGTLPAAAAQSQAHATAAMQSQVATSSRTSISMPLKIESPRSRSRPVYDVKDGTPKKQKQCNCKHSRCLKLYCECFASGVHCDGCNCVNCCNNVENEAVRQEAVGLTLERNPNAFRPKIGNSPHSIRDIREDSAEFALVGKHNKGCHCKKSNCLKKYCECFQANILCSENCKCIDCKNFDGSEERRALFHGDHPNNLSYMQQAANAALNGAIGSSGYVSSPSLKKKLKGLPFGTSAKDQAIHRVAQLNQVNPLKTSGTAPPGNPVARAVNSASSGSSKLVYRPLLADIVQPEDVKELCKLLVVVSAEAAKTFSDRKVHEEKHKQKGDQTESSHALSNQDGDHNKKALPDDRSSGTTSDKMSTEEFTSDSTEMQKGSRPMSPGTLALMCDEQDTMLMTSQNSGAPPRFLYDQSVTEVYAEQERCVLTEFRDCLQKLITRAKVKEKYASMAMKSEASSSQQGPVINGNARVPMPSPAELLRSSNTFPISFHNFLPPKLGRSTTENGNIDSKTENLDL >DRNTG_29897.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001514.1:3326:7100:-1 gene:DRNTG_29897 transcript:DRNTG_29897.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYCECFASGVHCDGCNCVNCCNNVENEAVRQEAVGLTLERNPNAFRPKIGNSPHSIRDIREDSAEFALVGKHNKGCHCKKSNCLKKYCECFQANILCSENCKCIDCKNFDGSEERRALFHGDHPNNLSYMQQAANAALNGAIGSSGYVSSPSLKKKLKGLPFGTSAKDQAIHRVAQLNQVNPLKTSGTAPPGNPVARAVNSASSGSSKLVYRPLLADIVQPEDVKELCKLLVVVSAEAAKTFSDRKVHEEKHKQKGDQTESSHALSNQDGDHNKKALPDDRSSGTTSDKMSTEEFTSDSTEMQKGSRPMSPGTLALMCDEQDTMLMTSQNSGAPPRFLYDQSVTEVYAEQERCVLTEFRDCLQKLITRAKVKEKYASMAMKSEASSSQQGPVINGNARVPMPSPAELLRSSNTFPISFHNFLPPKLGRSTTENGNIDSKTENLDL >DRNTG_15086.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4080010:4081748:-1 gene:DRNTG_15086 transcript:DRNTG_15086.1 gene_biotype:protein_coding transcript_biotype:protein_coding STTIHTNRVYTETHTQREREREREKQTRPFSIENGFFSLLLHSHHHPHHHHHPCSPSMSSQIRLYRRSTSQRH >DRNTG_25356.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24151534:24151883:1 gene:DRNTG_25356 transcript:DRNTG_25356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKSKIESMNLYIKYTAKRGDDARESKPRSRVDARRAIGRLPGKALHGDEEEIQTPHRRHVQRQRRRRERHREEQVQHLSKLSLSLSLSLALFTDFSISIF >DRNTG_24048.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26558906:26561792:1 gene:DRNTG_24048 transcript:DRNTG_24048.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] 1 [Source:Projected from Arabidopsis thaliana (AT1G08830) UniProtKB/Swiss-Prot;Acc:P24704] MVKAVAVLNGSEGVKGTVFFTQEGDGSTTVTGSVSGLKPGLHGFHVHALGDTTNGCMSTGPHFNPAGKEHGAPEDEIRHAGDLGNVTAGEDGNVCFTIVDCQIPLTGPNSVIGRAVVVHADPDDLGKGGHELSKTTGNAGGRLACGVIGLQA >DRNTG_09506.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21688095:21690634:1 gene:DRNTG_09506 transcript:DRNTG_09506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGVMPMAKCLLVTFLIYLPILCSSETLVGFSYDARKVRDIDAAVYSFIERNNIPPSQFRIFASNHGALLDSLSNTDISVDLLLSHSQAKTLPKSRSSSHLPLVKITSIVLAGFSKTDLFSLLPTLNTFQSTVKNSDVKVSAMFSLPCLESLNKSEKEEMRRIMWFIQEVQSFIVVETQVDGELSMGDSFVDHVIQRAVTACKTLHHPAIPLVLNVKSSVVPSGVEISEFSKRVMDAIEKHSEIKKRISAIFVEILPITEDLQKRLRWEKEMIFPSSHRQLFNYEIHDTVTPVTNPATTSPITVPSTNPSPGVITVPATNPDTVLPTNPTTPITVPSTNPDATPITAPGMNPLPTPITNTPLAPITNPTNPVTSPTTVPVTTPVTNPVTTYPPAGGVPSTTPVTSPGTDPVSPAVSGQTWCVAKSGTLDSALQTALDFACGIGGADCSVIQVSGSCYNPNSLQAHASYAFNSYYQKNPVPTSCDFGGTATLVNINPSTGTCVYPSSSLTSGVNPASTFGGATGTGTGTGTGTGTGAGAGTATGIGTGAGVGFIPGAGIGAVPGPGSVLNTNNSAGSSTVFGSDTPTGSNGHSIHHPVCWTLFLSVVATACITGNIW >DRNTG_26484.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:613561:618308:1 gene:DRNTG_26484 transcript:DRNTG_26484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSTSRATTTTTTTTTITMDVSVNSSDTQTSYEEEVNSNQTSKTTTSQRSNWFLGRAASHRPNWAQEWSRAYLLACAAGLIIDPLFFYTLSISNSLMCLFIDGWFAITLTILRCMVDAMHVWNMWLQLKLAYKARRPHEDQEEEGNNKFHSNSSFHGKRLGYLRNMKCFFLDFIVILPVMQIVLWVAIPALIRKGSTTTVMTVFLIMFLVHYLPKIYHSVCFLRRMQNFSGYIFGTIWWGIALNLIAYFVASHAVGACWYLLGIQRAAKCLKEQCMENKACGRTALSCVEPIYYGTKTIIEDRERLAWASNTHARATCLDSSDQFSYGAYKWTVPLVTNTSRLEKILLPIFWGLMTLSTFGNLESTTEWVEIVFNIIIITSGLLLVTMLIGNIKVFLHATTSKKQAMHLRMRSVEWWMRKKHLPQGFRQRVRQYERQRWAAMRGVDECEMIRNLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLIFPKGETITREGDPVQRMLFVVRGHLESSQVLRDGVKSRCMLGPGNFSGDELLSWCLRRPFIERLPLSSSTLVTLETTEAFGLDASDVKYVTQHFRYTFVNEKVRRSARYYSPGWRTWAAVAIQLAWRRYRHRKTLTSLSFIRPRRPLSRCNSLGEEKLRLYTALLTSPKPDQDDFLL >DRNTG_20926.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9497763:9499901:-1 gene:DRNTG_20926 transcript:DRNTG_20926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRCLGEVVPFNFSTPKSWRKVNEELVQDRMYVISKMRTLTKKALQHTDTRIGLVNEVLAAMDTVKCYAWEESFQSKVQSIRNDEFSWLCRAQLLGTGKSDLKVPSDIFLG >DRNTG_12056.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000529.1:15471:18065:-1 gene:DRNTG_12056 transcript:DRNTG_12056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEEVMMIGSTEEVPSTPGILNKVLWKMKRVRRRHRRCPKAVGDMRELNKLDELLLGVTKPDNSPSIFFSKFVQKWPLVLFECLCKMKFGQTRFADSSAVSEIQKADEMADEIEEMLATEIEAALVAEDPSLVRMFSPSRAYDHFERLESAVGMIRMKIVETCAEIAEIDLVFRRFPYYPDIRGRMEAVCTPKLFAGRLLGDHLGRFWATLGRRRRARKLEDHSSPRSKALKARRQHHSKGRSITT >DRNTG_17051.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22251587:22256314:1 gene:DRNTG_17051 transcript:DRNTG_17051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGREEDKEARMDGGRESWSRTREKHYERNTQKNEN >DRNTG_28221.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11854031:11854963:1 gene:DRNTG_28221 transcript:DRNTG_28221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARVGLEVGERCRARTEEGLAKTDFLSRFDQLLKLDVQRDRAILNIPYVGLLPPSSSLHSSGYLWNVLHKPLVRRLHPYVSPNSEPRMRFSINTSLRKLSMFSSSETRLRLHRNANQEREPYLQA >DRNTG_04952.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3927055:3931308:1 gene:DRNTG_04952 transcript:DRNTG_04952.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKNQDASEIRHRHFRHINYKSLESLAHKRIVYGLPELKHGSQCEDCAVCKQARSSFPSGKS >DRNTG_26974.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11251202:11254406:-1 gene:DRNTG_26974 transcript:DRNTG_26974.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFLSEEEFRLCSRDPSLVAERADAFIIELRRQLDTVRAEADAASIAAEQTCALLEQKYAALSSDLCRLQAENAQLAASAEGHLSELAEARAEKHQLHLKAIGKDGEIERMNVEVSELHKSKRQLLELVAQKDVAISERNATIQSYLEKIVDLTESTTSKAAIIHENEAELSRCRATCERLSQEKELIEKHNAWLNEELNTKVNSLIELRKKHMEVEVDMSAKIADLEKQLDDSSNLYKRSKDRVHELEGKLTSLEEDLHLSKDAAANNEERLAVELSTVTRLVELHKASSEEWSKKAGELEGVIKALETHLNQVENDFKEKLEKEVSIRKDCGKGLHYY >DRNTG_26974.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11239569:11254406:-1 gene:DRNTG_26974 transcript:DRNTG_26974.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFLSEEEFRLCSRDPSLVAERADAFIIELRRQLDTVRAEADAASIAAEQTCALLEQKYAALSSDLCRLQAENAQLAASAEGHLSELAEARAEKHQLHLKAIGKDGEIERMNVEVSELHKSKRQLLELVAQKDVAISERNATIQSYLEKIVDLTESTTSKAAIIHENEAELSRCRATCERLSQEKELIEKHNAWLNEELNTKVNSLIELRKKHMEVEVDMSAKIADLEKQLDDSSNLYKRSKDRVHELEGKLTSLEEDLHLSKDAAANNEERLAVELSTVTRLVELHKASSEEWSKKAGELEGVIKALETHLNQVENDFKEKLEKEVSIRKDCGKEIASLKDKLEKCEVEIENARKGSELSLLPISSYQVDSNVEKLVNDKDEVDDDNQRMIVPRIPTGISGTALAASLLRDGWSLAKMYEKYQETADALRHEKWGRKHSEAILERVLHEIEEKAELILEERAEHERMLEAYSLMDQRLQQALLEKDNFENTIRKLKADLKRCDRDYTIAEKDISDLQKQVTILLKECQDVQLRGATTQSRANNSISTISLDIDAGLDVERVISEHLLTFKDINELVEQNVKLRSAVRSLSSQVENKDSELKEGLQMELHKVIDDASAKVEAVLRRSEEQGRMIESLHSSVAMYKRLYEEERKMRVSGHPSLVSVPDGKKDLVQLLEGSQEVSKKAHEELADRARVFEEELTKMRNDVTSLRLERDRMSLEANFRKRTTR >DRNTG_26974.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11239569:11254406:-1 gene:DRNTG_26974 transcript:DRNTG_26974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFLSEEEFRLCSRDPSLVAERADAFIIELRRQLDTVRAEADAASIAAEQTCALLEQKYAALSSDLCRLQAENAQLAASAEGHLSELAEARAEKHQLHLKAIGKDGEIERMNVEVSELHKSKRQLLELVAQKDVAISERNATIQSYLEKIVDLTESTTSKAAIIHENEAELSRCRATCERLSQEKELIEKHNAWLNEELNTKVNSLIELRKKHMEVEVDMSAKIADLEKQLDDSSNLYKRSKDRVHELEGKLTSLEEDLHLSKDAAANNEERLAVELSTVTRLVELHKASSEEWSKKAGELEGVIKALETHLNQVENDFKEKLEKEVSIRKDCGKEIASLKDKLEKCEVEIENARKGSELSLLPISSYQVDSNVEKLVNDKDEVDDDNQRMIVPRIPTGISGTALAASLLRDGWSLAKMYEKYQETADALRHEKWGRKHSEAILERVLHEIEEKAELILEERAEHERMLEAYSLMDQRLQQALLEKDNFENTIRKLKADLKRCDRDYTIAEKDISDLQKQVTILLKECQDVQLRGATTQSRANNSISTISLDIDAGLDVERVISEHLLTFKDINELVEQNVKLRSAVRSLSSQVENKDSELKEGLQMELHKVIDDASAKVEAVLRRSEEQGRMIESLHSSVAMYKRLYEEERKMRVSGHPSLVSVPEDGKKDLVQLLEGSQEVSKKAHEELADRARVFEEELTKMRNDVTSLRLERDRMSLEANFRKRTTR >DRNTG_25363.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24182048:24185630:-1 gene:DRNTG_25363 transcript:DRNTG_25363.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAPEYLLDNLDEFSLLKGNQTSNIVGKKIYVVGGCEDGEDNSFSIKIFDRSTSEWLIPTVLGVKPTPCKLHSAVPVNEDRILVLKSNTATDDCLWFLEVDTRFVKEQKKILGTEVVAWSKGVQGTGPKPVVISGPSGVGKGTLISKLMKEFPSTFGFSVSHTTRAPREKEVHGVHYYFTERSKMENDIREGKFLESASVHGNLYGTSIEAVEAVTDSGKRCILDIDVQGARSVRASSLEAIFIFICPPSFEELEKRLRARGTETEEQVQKRLRNARVELDQGKSAGLFDHVLVNDDLERCCEELKVLIFH >DRNTG_25363.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24182048:24185630:-1 gene:DRNTG_25363 transcript:DRNTG_25363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAPEYLLDNLDEFSLLKGNQTSNIVGKKIYVVGGCEDGEDNSFSIKIFDRSTSEWLIPTVLGVKPTPCKLHSAVPVNEDRILVLKSNTATDDCLWFLEVDTRFVKEQKKILGTEVVAWSKGVQGTGPKPVVISGPSGVGKGTLISKLMKEFPSTFGFSVSHTTRAPREKEVHGVHYYFTERSKMENDIREGKFLESASVHGNLYGTSIEAVEAVTDSGKRCILDIDVQGARSVRASSLEAIFIFICPPSFEELEKRLRARGTETEEQVQKRLRNARVELDQGKSAGLFDHVLVNDDLERCCEELKKILSLDSDADPVAYPTTEDTRITDFHAVSARDQMILIHCGSEQGMPPTIYELDVSSLKGGAPGRTRGLNMHPINLPTTDVLSGIDMD >DRNTG_14253.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1016089:1016665:-1 gene:DRNTG_14253 transcript:DRNTG_14253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSNTHTEEEEEEEEEEAAYYQLPKLIFYLTIFMSSLHHFLFKAFHLFGLSDLLLLDDLSSSSTTTTTTTTTTSSCKVMSNYSIDEVLPVVKYEQVMAQGTSITDGGCIVCLHEFHGDDEVRKLTNCRHVFHRCCLDGWLDLGRMTCPLCRSPLLSVAVAVDEPSFSFVSETNHLFH >DRNTG_29315.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29664538:29665429:1 gene:DRNTG_29315 transcript:DRNTG_29315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYEYYYKAKGQSAKRDVVAMFSPKWTSSTENLFIWVGGWRPSSAVHILYSKSGIQFELKLNEVISGMETRDLAGLSPEQLKMVDELQRRVIKKEREITEEEAKVQEKVANRKMVEAVDVMTEMVEREVEMVEKMEEEMKRRRGDMERVLEKADGLRMETMKEIVEMLKPMQAVHFLIAAVELLLRVHEFGVAKDAAAAAAAGVRR >DRNTG_34943.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2285300:2293927:-1 gene:DRNTG_34943 transcript:DRNTG_34943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKIGVLFLFILAVSYFDVDARGLLASDITEYNIHGSENKLSEAVGNHYQLCTLCEEFTAEATIFLAENKTQTEIIAYFQKACSRLPSLEQQCIILVDFYGSLFFHEISIIRPAVFCQKVDLCEKQSVVLSKSNNTCNLCRQVVDEVLSRLKDPDTQFEIIHFLLKECNKVENHVQECKRIVLQYGPLILVNGEKFLETNDICSTIHACEPSKVEAVGSTQGAETLLADA >DRNTG_19190.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21416988:21423057:-1 gene:DRNTG_19190 transcript:DRNTG_19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAAMADLQHHHPLHQIAQSPTHKLLLKQWIKEEDLILRRVALKESRIDSIRREIAALYCSFFALHSTLILILLSSAGPSSCRRSWIPLLCSLLCSIAIAWAIRYKTDAERHAERLLERDREDGMLLARCVAELKKKGVGFDLMKEVDALRRAKSLRVEREATVVRRFSARDCASLFLFAASCAVLGLTREEEKPAPKGYVPVIVGAGEKKEKFMVHVELFKHPTMVVLLENAAEEFGYDHQRGVLRVPCNVQHFTQMLHLISSSSS >DRNTG_10577.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1582003:1585624:1 gene:DRNTG_10577 transcript:DRNTG_10577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIISFSQQGPRAICILTATGVISNVTLRQPDSSGGTLTYEGRFELLSLSGSFMPNENGGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQVVVGSFLPSYQMEQKTKRPKYDSLSVSVSTPAAGIPVSSIETEEGYCKDETYLNSTTPKSNIVVTSFKDWASSAHSAPDTKNSSTDINISLPGG >DRNTG_29092.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20958633:20961616:-1 gene:DRNTG_29092 transcript:DRNTG_29092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLVFTITTSVLTLFLAFIFHAILYSPISPQPLLIPSSQPPSSNNILQKVEKLGEGELLGPEDVAIGKDGDSLFLYTVTRDGWLKRMHSNGTWESWKMIGGDGLLGITVSMDGGFLVCDAHKGLLKVAEGGVVVLASQVDDDGSQIRFADAVIEAKDGSIYFSDASTKFGFHEWYLDALEARPHGRLLKFNPHTNTTSILVSQLYFANGVALSKDQDFLLVCETFRFRCMRYWLKGELEGKLDVFIDNLPGAPDNINLAPDGSFWIALLQTERIRLDSQMELG >DRNTG_11043.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10556976:10560882:1 gene:DRNTG_11043 transcript:DRNTG_11043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPYHEFTAALLLILMACCWFACATDGFTGSYVRKAKKPKDMPLDADVFMLPPGYNAPNQVHITQGNIDGSAMIISWITNDEPGTNTVLFGTSNYNLSFQAEGRVNQYKFFNYTSGFIHHCTLKNLKFDTKYYYEVGIGHTRRKFWFNTPPKVGPDVPYTFGLIGDLGQSNDSNITLAHYESNPAKGQAVLFVGDLSYADNYNHNNIRWDTWGRFVERSAAYQPWIWTAGNHEIDFAPELGEVEPFKPYRNRYHVPYKASNSTAPFWYSIKRASAYIIVLASYSAYGMYTPQYQWLEAEFKSGKIDRSETPWLIVLMHSPWYNSYNYHYKEGESMRVMYEPWFVKYKVDVVFAGHVHAYERSHRISNIAYNIVNGNCRPVADLSAPVYITIGDGGNLEGLATNMTEPQPKYTAFREASFGHAIFSIKNRTHAYFEWHRNDDGVAVIADSMWFYNRVWNGIHDSV >DRNTG_11043.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10556976:10560882:1 gene:DRNTG_11043 transcript:DRNTG_11043.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPYHEFTAALLLILMACCWFACATDGFTGSYVRKAKKPKDMPLDADVFMLPPGYNAPNQVHITQGNIDGSAMIISWITNDEPGTNTVLFGTSNYNLSFQAEGRVNQYKFFNYTSGFIHHCTLKNLKFDTKYYYEVGIGHTRRKFWFNTPPKVGPDVPYTFGLIGDLGQSNDSNITLAHYESNPAKGQAVLFVGDLSYADNYNHNNIRWDTWGRFVERSAAYQPWIWTAGNHEIDFAPELVCHRCIVLNFQYFELLHDIFSHIFCIVF >DRNTG_06881.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14219868:14245478:-1 gene:DRNTG_06881 transcript:DRNTG_06881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCDDEKVAPVSSSDVFHDQAYILLYERLWSCKVFVEDEDDDGGGGGGYGYGYGGIESGTLPPLNVKCDNDVIISGIFDWQPRNRRSNLSEFSHPICSHNMGIISDELYESTIISCEGEDYESPINILCAEKLRIVNKFINEIHGRIFWSQNFHEHLGTQGTWPEKGDFSRMTMKSLSPHHLLPLLNADLYAYYLSYIWANTDALRDALHIGKTWIRSLNYSIINDWRSWFSCGQIARYKRTYAHNLTFASIKVDINNPNFGTLVCQPLIPPKNNIKTGLLLIEVFHILTLGIFGNSYIVVDNQEKGTIAKAGMDVMTPRGLKKPGKLSLVNSTLQALLSCTPFVQVVCELDKCHIKKDEHPTLHAFSSLIAKFDIPFYRSNGRQEPISAAIIFKGIIRKYVADLPQRPSDGRKNIDAQEFLAFMINEMHEELLKLRGSSSVQDNWETIQRNNKSPAIWKKKSVPSELSDIFWGLRRTIVEPNDPFLEIVQPFLVLHLNISPSSVHTIEDSLRLHFGTKNNEGYRSSEDYADKNSAGKSTKIEKLPRILILHLMRFSFENSGSGFVKFDKPLKFSPDLEIEK >DRNTG_28460.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001438.1:23615:26799:1 gene:DRNTG_28460 transcript:DRNTG_28460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCAGVTKDVMKDLPPKKELILRDELSSKQKEYYKAILTHNYQVLARRGGAQISLINVVMELHKLCCHSYMLEGVEPEVEPAYANEGLRLLAEKERKGFEE >DRNTG_28460.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001438.1:22096:26799:1 gene:DRNTG_28460 transcript:DRNTG_28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLPPKKELILRDELSSKQKEYYKAILTHNYQVLARRGGAQISLINVVMELHKLCCHSYMLEGVEPEVEPAYANEGLRLLAEKERKGFEE >DRNTG_28252.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2722432:2727222:1 gene:DRNTG_28252 transcript:DRNTG_28252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHYRPPGKKKEGNAARYITRTKAVHYLQTSLSSFRKLCILKGIFPREPKKKVEGNHKTYYHMKDIAFLAHDPLIEKFRDIRAHKRKIKKALAKKNRDQANRLLSQQPKYKLDRLILERYPTFVDALRDLDDCLTMVHLFAALPAVEGERIQVERIHSCRRLSHQWQAFISRTHKLRKTFISVKGIYYQAEVEGQKITWLTPHALQQVLTDDVDFNVMLTFLEFYEALLGFVNFKLYHSINLNYPPILDPRLEALAAELYALSRYVATSYGAQPKNVQAIDSSQSDQTDAGKNETRPDESELRLAQLQHQLPANEPGALMQMVEDATSKEDDDDDETKECKYLFKDMKFFLSREVPRESLLFVIPAFGGVVSWAW >DRNTG_30201.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001546.1:7661:8534:1 gene:DRNTG_30201 transcript:DRNTG_30201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAFNAFKACVPIQWSPRLYITLVRGLPGTRKLHRGTLEAMRLRRCHRTVVHRTTPSLIGMLNQVKRLVAVETEAMYKARKQNEDEHRALRPAIVVSHAPSPAPVQ >DRNTG_14386.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:487511:493984:1 gene:DRNTG_14386 transcript:DRNTG_14386.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDADLVGRLREFLRTSDLSTTTNAIVRRRLEEDFGIDLSSKKAFIREQVDLFLQSELNPDAKNEAEEPVKGEDEGDADGEVDEEEEEEEEEEEEEESSHGRSGRKRKSNKLGKETKKRGGGFTKVCRLSPQLEMFIGVSELARTEVVKRLWAYIRENNLQDPSNRRRILCDEKLQNLFNVNAIDMFQMNKALAKHIWPLDSEDGPANSTPKEKQQKKQKDEGPAESSQKEKHHKKQKEEDSDESPPKAKGRKGGGFLAPLQLSDALIKFIGTGESALSRSDVVKRVWNYIKENKLQDPADRRNIISDEKLKELFNVDSFHGFTVSKLLTAHFVKAED >DRNTG_14386.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:487511:493984:1 gene:DRNTG_14386 transcript:DRNTG_14386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDADLVGRLREFLRTSDLSTTTNAIVRRRLEEDFGIDLSSKKAFIREQVDLFLQSELNPDAKNEAEEPVKGEDEGDADGEVDEEEEEEEEEEEEEESSHGRSGRKRKSNKLGKETKKRGGGFTKVCRLSPQLEMFIGVSELARTEVVKRLWAYIRENNLQDPSNRRRILCDEKLQNLFNVNAIDMFQMNKALAKHIWPLDSEDGPANSTPKEKQQKKQKDEAGPAESSQKEKHHKKQKEEDSDESPPKAKGRKGGGFLAPLQLSDALIKFIGTGESALSRSDVVKRVWNYIKENKLQDPADRRNIISDEKLKELFNVDSFHGFTVSKLLTAHFVKAED >DRNTG_01361.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:366684:368639:1 gene:DRNTG_01361 transcript:DRNTG_01361.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRELLERKFGKQVLSVEIDLWLWAMGVQNPSLKHHRTLSIYY >DRNTG_01361.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:366932:368639:1 gene:DRNTG_01361 transcript:DRNTG_01361.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRELLERKFGKQVLSVEIDLWLWAMGVQNPSLKHHRTLSIYY >DRNTG_01361.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:363732:368639:1 gene:DRNTG_01361 transcript:DRNTG_01361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRISSAWVASHSNHVKVDSSELERVVDNIQGSIPKVEWNFEGIHYFDNGPLTVQYLFVLDALNFCFWPDKDLDYNHLASELKAALLNDKSALDADRLQSYTGPQLRQLLKWPRVLPLEEERVRLLHEVGQELERSFGGEAANLVKACGKSAVSLVTLVARHFPGFRDHSLYKGRQVFLYKRAQIFAADLWGAFKGQGYGYFYDISSVTIFADYIVPAVLRQLGVLRYSLELSSSIDAKDEISPGSEQEVEIRACSIVAVEKMRELLERKFGKQVLSVEIDLWLWAMGVQNPSLKHHRTLSIYY >DRNTG_01313.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1803639:1806986:-1 gene:DRNTG_01313 transcript:DRNTG_01313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTADAVELLRQRIICKERLESNANAQLSWHFEDRRYVEELEKELRNCSQEIGYLQDQLNLRNVEANYMAENVHSLELKLAAMGKLNEKINLLSEELVRSESQRLILMQELKHREEALQDSVFQIEQLETSVSSISLDSECEVESIKLSVTALEQRCIEAERISQDAVAENDELNRQLKEFELRLQEAEEEISHLEQEKTVLQENLRMSKRITGESFCKVGELLDKWLKQNSSLFTDEYDHCLSHESRNEFLLANETCACGEVLGPFLSKLAVVTAQDDKLKIEIEKMSSQINESELLVKQLKEELRVEKLKAKEEAEDLTQEMAELRYQITSMLEEECKRRACIEQASLLRIQELESLLRKEREKSSSALRRLHEAHDLLEIRSMEIRQLKEELEGYHLGTKLEIASADSKSSTSNNICGENESHWPLVPWHA >DRNTG_01313.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1803639:1806986:-1 gene:DRNTG_01313 transcript:DRNTG_01313.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTADAVELLRQRIICKERLESNANAQLSWHFEDRRYVEELEKELRNCSQEIGYLQDQLNLRNVEANYMAENVHSLELKLAAMGKLNEKINLLSEELVRSESQRLILMQELKHREEALQDSVFQIEQLETSVSSISLDSECEVESIKLSVTALEQRCIEAERISQDAVAENDELNRQLKEFELRLQEAEEEISHLEQEKTVLQENLRMSKRITGESFCKVGELLDKWLKQNSSLFTDEYDHCLSHESRNEFLLANETW >DRNTG_05112.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2139479:2140840:-1 gene:DRNTG_05112 transcript:DRNTG_05112.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGPSSERHLTAAAAPRKSTPGQPWSHAETLALVAAYEDKWNALRRGQLKAQQWEEVAAAVAAACGGGAGESLSKSGTQCRHKIEKLRKRYRAERQRPIPSLWPYFASMDRLERGPLPLSSRPPILARPSLPFSSPDDDSSDPSDGESDRGGGSNTRSISGIMRGFSPRVPRNPNLGKRMDFDDEEEEDDDSDDGEDSGREGKIGAMTGLAAVVKRFAEGFERLEKRRMEMMREVERDRMEMEARREEMVLESQRCLVESIAAAFAAAAPPAKKGKKSED >DRNTG_05112.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2139642:2140777:-1 gene:DRNTG_05112 transcript:DRNTG_05112.11 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGPSSERHLTAAAAPRKSTPGQPWSHAETLALVAAYEDKWNALRRGQLKAQQWEEVAAAVAAACGGGAGESLSKSGTQCRHKIEKLRKRYRAERQRPIPSLWPYFASMDRLERGPLPLSSRPPILARPSLPFSSPDDDSSDPSDGESDRGGGSNTRSISGIMRGFSPRVPRNPNLGKRMDFDDEEEEDDDSDDGEDSGREGKIGAMTGLAAVVKRFAEGFERLEKRRMEMMREVERDRMEMEARREEMVLESQRCLVESIAAAFAAAAPPAKKGKKSED >DRNTG_05112.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2139642:2141326:-1 gene:DRNTG_05112 transcript:DRNTG_05112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGPSSERHLTAAAAPRKSTPGQPWSHAETLALVAAYEDKWNALRRGQLKAQQWEEVAAAVAAACGGGAGESLSKSGTQCRHKIEKLRKRYRAERQRPIPSLWPYFASMDRLERGPLPLSSRPPILARPSLPFSSPDDDSSDPSDGESDRGGGSNTRSISGIMRGFSPRVPRNPNLGKRMDFDDEEEEDDDSDDGEDSGREGKIGAMTGLAAVVKRFAEGFERLEKRRMEMMREVERDRMEMEARREEMVLESQRCLVESIAAAFAAAAPPAKKGKKSED >DRNTG_05112.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2139479:2140908:-1 gene:DRNTG_05112 transcript:DRNTG_05112.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGPSSERHLTAAAAPRKSTPGQPWSHAETLALVAAYEDKWNALRRGQLKAQQWEEVAAAVAAACGGGAGESLSKSGTQCRHKIEKLRKRYRAERQRPIPSLWPYFASMDRLERGPLPLSSRPPILARPSLPFSSPDDDSSDPSDGESDRGGGSNTRSISGIMRGFSPRVPRNPNLGKRMDFDDEEEEDDDSDDGEDSGREGKIGAMTGLAAVVKRFAEGFERLEKRRMEMMREVERDRMEMEARREEMVLESQRCLVESIAAAFAAAAPPAKKGKKSED >DRNTG_05112.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2139642:2140908:-1 gene:DRNTG_05112 transcript:DRNTG_05112.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGPSSERHLTAAAAPRKSTPGQPWSHAETLALVAAYEDKWNALRRGQLKAQQWEEVAAAVAAACGGGAGESLSKSGTQCRHKIEKLRKRYRAERQRPIPSLWPYFASMDRLERGPLPLSSRPPILARPSLPFSSPDDDSSDPSDGESDRGGGSNTRSISGIMRGFSPRVPRNPNLGKRMDFDDEEEEDDDSDDGEDSGREGKIGAMTGLAAVVKRFAEGFERLEKRRMEMMREVERDRMEMEARREEMVLESQRCLVESIAAAFAAAAPPAKKGKKSED >DRNTG_05112.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2139642:2141068:-1 gene:DRNTG_05112 transcript:DRNTG_05112.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGPSSERHLTAAAAPRKSTPGQPWSHAETLALVAAYEDKWNALRRGQLKAQQWEEVAAAVAAACGGGAGESLSKSGTQCRHKIEKLRKRYRAERQRPIPSLWPYFASMDRLERGPLPLSSRPPILARPSLPFSSPDDDSSDPSDGESDRGGGSNTRSISGIMRGFSPRVPRNPNLGKRMDFDDEEEEDDDSDDGEDSGREGKIGAMTGLAAVVKRFAEGFERLEKRRMEMMREVERDRMEMEARREEMVLESQRCLVESIAAAFAAAAPPAKKGKKSED >DRNTG_05112.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2139479:2140777:-1 gene:DRNTG_05112 transcript:DRNTG_05112.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGPSSERHLTAAAAPRKSTPGQPWSHAETLALVAAYEDKWNALRRGQLKAQQWEEVAAAVAAACGGGAGESLSKSGTQCRHKIEKLRKRYRAERQRPIPSLWPYFASMDRLERGPLPLSSRPPILARPSLPFSSPDDDSSDPSDGESDRGGGSNTRSISGIMRGFSPRVPRNPNLGKRMDFDDEEEEDDDSDDGEDSGREGKIGAMTGLAAVVKRFAEGFERLEKRRMEMMREVERDRMEMEARREEMVLESQRCLVESIAAAFAAAAPPAKKGKKSED >DRNTG_05112.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2139589:2140840:-1 gene:DRNTG_05112 transcript:DRNTG_05112.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGPSSERHLTAAAAPRKSTPGQPWSHAETLALVAAYEDKWNALRRGQLKAQQWEEVAAAVAAACGGGAGESLSKSGTQCRHKIEKLRKRYRAERQRPIPSLWPYFASMDRLERGPLPLSSRPPILARPSLPFSSPDDDSSDPSDGESDRGGGSNTRSISGIMRGFSPRVPRNPNLGKRMDFDDEEEEDDDSDDGEDSGREGKIGAMTGLAAVVKRFAEGFERLEKRRMEMMREVERDRMEMEARREEMVLESQRCLVESIAAAFAAAAPPAKKGKKSED >DRNTG_05112.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2139589:2140777:-1 gene:DRNTG_05112 transcript:DRNTG_05112.10 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGPSSERHLTAAAAPRKSTPGQPWSHAETLALVAAYEDKWNALRRGQLKAQQWEEVAAAVAAACGGGAGESLSKSGTQCRHKIEKLRKRYRAERQRPIPSLWPYFASMDRLERGPLPLSSRPPILARPSLPFSSPDDDSSDPSDGESDRGGGSNTRSISGIMRGFSPRVPRNPNLGKRMDFDDEEEEDDDSDDGEDSGREGKIGAMTGLAAVVKRFAEGFERLEKRRMEMMREVERDRMEMEARREEMVLESQRCLVESIAAAFAAAAPPAKKGKKSED >DRNTG_05112.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2139589:2140908:-1 gene:DRNTG_05112 transcript:DRNTG_05112.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGPSSERHLTAAAAPRKSTPGQPWSHAETLALVAAYEDKWNALRRGQLKAQQWEEVAAAVAAACGGGAGESLSKSGTQCRHKIEKLRKRYRAERQRPIPSLWPYFASMDRLERGPLPLSSRPPILARPSLPFSSPDDDSSDPSDGESDRGGGSNTRSISGIMRGFSPRVPRNPNLGKRMDFDDEEEEDDDSDDGEDSGREGKIGAMTGLAAVVKRFAEGFERLEKRRMEMMREVERDRMEMEARREEMVLESQRCLVESIAAAFAAAAPPAKKGKKSED >DRNTG_05112.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2139642:2140840:-1 gene:DRNTG_05112 transcript:DRNTG_05112.9 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGPSSERHLTAAAAPRKSTPGQPWSHAETLALVAAYEDKWNALRRGQLKAQQWEEVAAAVAAACGGGAGESLSKSGTQCRHKIEKLRKRYRAERQRPIPSLWPYFASMDRLERGPLPLSSRPPILARPSLPFSSPDDDSSDPSDGESDRGGGSNTRSISGIMRGFSPRVPRNPNLGKRMDFDDEEEEDDDSDDGEDSGREGKIGAMTGLAAVVKRFAEGFERLEKRRMEMMREVERDRMEMEARREEMVLESQRCLVESIAAAFAAAAPPAKKGKKSED >DRNTG_14314.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14621363:14628748:-1 gene:DRNTG_14314 transcript:DRNTG_14314.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWKIARLQQLGAFPVASPSPLHLSEHLLQDPRGRWYFFCRTKHYYFFIIFLLVEQTFV >DRNTG_13974.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27897536:27909904:1 gene:DRNTG_13974 transcript:DRNTG_13974.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate-semialdehyde dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G79440) UniProtKB/Swiss-Prot;Acc:Q9SAK4] MSSLEPKAALARLRESKLLKTQGIIGGKWIDAYDGKTIQVQNPATGDVIASVPCMGERETSDAISSAYEAFNSWSKLTAGERSKCLRKWYDLIISYKEDLALLITLEQGKPLKEALGEVNYGANFIEFFAEEAKRVYGDIIPTTLADRRLFVLKQPVRVVGAITPWNFPLAMITRKVGPALACGCTVVVKPSELTPLTALAAAELSLQAGIPPGVINVIMGNASDIGQTLLDSTKVRKITFTGSTAVGKKLMAGSASTVKKVSLELGGNAPCIVFDDADLDVAVKGSLAAKFRNSGQTCVCANRILVQEGIYEKFASAFMKAVQNLQVGNGLVEGTAQGPLINEAAVEKVERLIRDATVKGANVILGGKRHSLGMTFYEPTVVSNVNNEMLISREEVFGPVAPLLPFKSEEEAIRIANDTNAGLAAYIFTKSIPRSWRVSEALEYGLVGVNEGIISTEVAPFGGVKQSGLGREGSKYGMDEYLELKYVCLGNMKEA >DRNTG_13974.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27897536:27909904:1 gene:DRNTG_13974 transcript:DRNTG_13974.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate-semialdehyde dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G79440) UniProtKB/Swiss-Prot;Acc:Q9SAK4] MSSLEPKAALARLRESKLLKTQGIIGGKWIDAYDGKTIQVQNPATGDVIASVPCMGERETSDAISSAYEAFNSWSKLTAGERSKCLRKWYDLIISYKEDLALLITLEQGKPLKEALGEVNYGANFIEFFAEEAKRVYGDIIPTTLADRRLFVLKQPVRVVGAITPWNFPLAMITRKVGPALACGCTVVVKPSELTPLTALAAAELSLQAGIPPGVINVIMGNASDIGQTLLDSTKVRKITFTGSTAVGKKLMAGSASTVKKVSLELGGNAPCIVFDDADLDVAVKGSLAAKFRNSGQTCVCANRILVQEGIYEKFASAFMKAVQNLQVGNGLVEGTAQGPLINEAAVEKVERLIRDATVKGANVILGGKRHSLGMTFYEPTVVSNVNNEMLISREEVFGPVAPLLPFKSEEEAIRIANDTNAGLAAYIFTKSIPRSWRVSEALEYGLVGVNEGIISTEVAPFGGVKQSGLGREGSKYGMDEYLELKYVCLGNMKEA >DRNTG_01586.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000090.1:8314:9189:-1 gene:DRNTG_01586 transcript:DRNTG_01586.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GXM3 [Source:Projected from Arabidopsis thaliana (AT1G09610) UniProtKB/TrEMBL;Acc:A0A178W7U8] MRSKVQANLNLKHILIGLSLIFLLLLILRPNLSSSSSPSPQNLSPSIKPSSTSYEKQQEIKSPSSCTKIPASLADTIIHYTTQNITPQQTFKEVSVTARVLQKKSPCNFLVFGLGHDSLMWSALNHAGRTVFLEEDQNWIESITSKFPSLEAYHATYNTKVSQAEELMQLGKQEECTVVGDLRYSKCALALKELPSLFYEVEWDLIMVDAPTGYIPEAPGRMGAIYSAGMVARGRKEGETDVFVHDVDRIVEDKFSSEFLCESYLKEQEGRLRHFTIPSHRSELGMPFCPN >DRNTG_34820.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16711886:16731299:1 gene:DRNTG_34820 transcript:DRNTG_34820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOPM interactor 7 [Source:Projected from Arabidopsis thaliana (AT3G43300) TAIR;Acc:AT3G43300] MAGAAGGFVTRSFESMLKECSGKKYGTLQKAIQTYLDSAKEIRQESNLSNANQAVTEDKSTERREAEKEKNDASDEQSISATGEIETLTETQEIREPITTALASAGHTLEASQAELVLQPLRLAFESKNIKLVEPALDCLHKLIAYDHLEGDPGLEGGKNSPLFTDILSMVCGSVDNLSSDSTILQVLKVLLTAVASMKFRVHGEPLLGVIRICYNIALNSKSPINQATSKAMLTQMISIVFRRMESDQVPILSSSGPGHSEPASASSIQSNNGEISVDEQEEKNITLGDALSMNREKGTPASVEELQNLAGGADIKGLEAVLDKAVHLENGVKIARGIDLESMNIGHRDALLLFRTLCKMGMKEESDEVTTKTRLLSLELLQGLLEGVSQSFTKNFHFIDSVKAYLSYALLRASVSSSPVVFQHATGIFTVLLLRFRESLKGEIGIFFPIIILRSLDGSDSSLSQRTSVLRMLEKVCKDPQMLADIFVNYDCDIDAPNLFERMVNGLSRIAQGTLSADPNSVTASQTASIKGSSLQCLVNVLKSLVDWEKLRGESKKHGNITKSPAEKAFAQESDELKNREDGPNQFQKAKAHKSTMEAAMSEFNRKPVKGIECLLSNKLVENSASSVAQFLKNTPSLDKAMIGEYLGQHEEFPLAVMHAYVDSMKFTGLKFDTAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADIAYVLAYAVIMLNTDAHNPMVWPKMTKADFVRMNSVSDAEECAPKELLEEIYDSIVLEEIKMKNDISGLGNSKQRPETEEGGRLVSILNLALPKRKSATDTKTESEQIVKQTQALFKNQGTKRGIFHTAQQVELVRPMLEAVGWPLLAAFSVTMEEGDNKARVVLCMEGFKAGIHITRVLGMDTMRYAFLTSLVRFTFLHAPKDMRSKNVEALRTLLALCDMEIDCLQDTWNAILECVSRLEYITSSPTIAATVMQGSNQISRDSVLQSLRELAGKPAEQVFVNSVKLPSDSVVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRLVWARIWSVLAQHFIAAGSHHEEKIAMYAIDSLRQLGMKYLERAELTNFTFQNDILKPFVILMRNSRSETIRGLIVDCIVQMIKSKVGSIKSGWRSVFMIFTAAADDELESIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIGFANNKSSPRISLKAIALLRICEDRLAEGLIPGGALRPVDVSLEASMDVTEHYWFPMLAGLSDLTLDPRSEVRNCALEVLFDLLNERGHKFSSAFWESIFHRVLFPIFDHVRHAGRDGFTSAGDEWLRETSIHSLQLLCNLFNTFYTEVCFMLPPLLGLLLDCAKKTDQNVVSISLGALVHLIEVGGHQFSDNDWDTLLRSIRDAAYTTQPLELLNSLGFENSKHQNLVKMGSDTIRADTSSFQVDHYDSNGGQRLSNEQTFDSGTSGKDPSTVNSVDNHKDIKLQNNLEEAEGGVETPAANAAYQQSQSFGQRFMGNMMDNLLLRSFTSRSKSRGEETLIPSSPMKISDSVEPVPNDDENSPSLETVRGKCITQLLLLGALDSIQTRYWSRLKTPQKIAIMDILLSLLEFAASYNSSSNLRARMHHIPTDRPPLNLLRQEIKGASIYLDILHKSTTNIEEPVVSNDQQMEAVENNSTYGHSANDDEQLKSLAEQKLVSFCSEILKEASDLQPSTGDAASADVHRVLDLRAPVIIKVLKGMSIMNSQIFRKHMREFYPLITKLVCCDQMDVRGALGDLFSTQLTPLLP >DRNTG_34820.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16711886:16731299:1 gene:DRNTG_34820 transcript:DRNTG_34820.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOPM interactor 7 [Source:Projected from Arabidopsis thaliana (AT3G43300) TAIR;Acc:AT3G43300] MAGAAGGFVTRSFESMLKECSGKKYGTLQKAIQTYLDSAKEIRQESNLSNANQAVTEDKSTERREAEKEKNDASDEQSISATGEIETLTETQEIREPITTALASAGHTLEASQAELVLQPLRLAFESKNIKLVEPALDCLHKLIAYDHLEGDPGLEGGKNSPLFTDILSMVCGSVDNLSSDSTILQVLKVLLTAVASMKFRVHGEPLLGVIRICYNIALNSKSPINQATSKAMLTQMISIVFRRMESDQVPILSSSGPGHSEPASASSIQSNNGEISVDEQEEKNITLGDALSMNREKGTPASVEELQNLAGGADIKGLEAVLDKAVHLENGVKIARGIDLESMNIGHRDALLLFRTLCKMGMKEESDEVTTKTRLLSLELLQGLLEGVSQSFTKNFHFIDSVKAYLSYALLRASVSSSPVVFQHATGIFTVLLLRFRESLKGEIGIFFPIIILRSLDGSDSSLSQRTSVLRMLEKVCKDPQMLADIFVNYDCDIDAPNLFERMVNGLSRIAQGTLSADPNSVTASQTASIKGSSLQCLVNVLKSLVDWEKLRGESKKHGNITKSPAEKAFAQESDELKNREDGPNQFQKAKAHKSTMEAAMSEFNRKPVKGIECLLSNKLVENSASSVAQFLKNTPSLDKAMIGEYLGQHEEFPLAVMHAYVDSMKFTGLKFDTAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADIAYVLAYAVIMLNTDAHNPMVWPKMTKADFVRMNSVSDAEECAPKELLEEIYDSIVLEEIKMKNDISGLGNSKQRPETEEGGRLVSILNLALPKRKSATDTKTESEQIVKQTQALFKNQGTKRGIFHTAQQVELVRPMLEAVGWPLLAAFSVTMEEGDNKARVVLCMEGFKAGIHITRVLGMDTMRYAFLTSLVRFTFLHAPKDMRSKNVEALRTLLALCDMEIDCLQDTWNAILECVSRLEYITSSPTIAATVMQGSNQISRDSVLQSLRELAGKPAEQVFVNSVKLPSDSVVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRLVWARIWSVLAQHFIAAGSHHEEKIAMYAIDSLRQLGMKYLERAELTNFTFQNDILKPFVILMRNSRSETIRGLIVDCIVQMIKSKVGSIKSGWRSVFMIFTAAADDELESIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIGFANNKSSPRISLKAIALLRICEDRLAEGLIPGGALRPVDVSLEASMDVTEHYWFPMLAGLSDLTLDPRSEVRNCALEVLFDLLNERGHKFSSAFWESIFHRVLFPIFDHVRHAGRDGFTSAGDEWLRETSIHSLQLLCNLFNTFYTEVCFMLPPLLGLLLDCAKKTDQNVVSISLGALVHLIEVGGHQFSDNDWDTLLRSIRDAAYTTQPLELLNSLGFENSKHQNLVKMGSDTIRADTSSFQVDHYDSNGGQRLSNEQTFDSGTSGKDPSTVNSVDNHKDIKLQNNLEEAEGGVETPAANAAYQQSQSFGQRFMGNMMDNLLLRSFTSRSKSRGEETLIPSSPMKISDSVEPVPNDDENSPSLETVRGKCITQLLLLGALDSIQTRYWSRLKTPQKIAIMDILLSLLEFAASYNSSSNLRARMHHIPTDRPPLNLLRQEIKGASIYLDILHKSTTNIEEPVVSNDQQMEAVENNSTYGHSANDDEQLKSLAEQKLVSFCSEILKEASDLQPSTGDAASADVHRVLDLRAPVIIKVLKGMSIMNSQIFRKHMREFYPLITKLVCCDQMDVRGALGDLFSTQLTPLLP >DRNTG_09368.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15078838:15079378:-1 gene:DRNTG_09368 transcript:DRNTG_09368.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIVIYLVGAAAILSEKGELRVSADMDITYLSPAKIDDEVEVDARVLGHKGEGVGSACGASEEEK >DRNTG_09368.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15068914:15079378:-1 gene:DRNTG_09368 transcript:DRNTG_09368.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIVIYLVGAAAILSEKGELRVSADMDITYLSPAKIDDEVEVDARVLGHKGEGVGSACGASEEEK >DRNTG_05522.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2439739:2448519:1 gene:DRNTG_05522 transcript:DRNTG_05522.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMEYCVTGGTGLIGSYLVKALLDKGHKVRATVRDPADESKVSFLFTFHGSQERLKLFKADLMVEGSFDEAIDGVDGVFHAASPVLVPYDERIQETLVDPTVKGTINVLRSCAKAKSVKRVVLTSSCSSIRHFEDATRASPLNESHWSDLEHCIRNNLWYAYAKTTAEKEAWRLAEELGFDLVAVNPAFVVGPVISPEPTSTLLLVLALLRGERDDYPNKTVGFVHIEDVVRSHLLAMQDSRVTGRLVCSSNVAHWSEILEMLKTKYPSYANAIPTKCSVVQGDNKPHSLDSSKLINFGVPALKTIPEMFHDCIKSFKDKGFLTH >DRNTG_05522.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2445989:2448519:1 gene:DRNTG_05522 transcript:DRNTG_05522.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATMEYCVTGGTGLIGSYLVKALLDKGHKVRATVRDPADETKVSFFFSFHGAQERLKLFKADLMVEGSFDEAIDGVDGVFHVASPVLVPYDERIQETLIDPTVKGTINVLRSCAKARSVKRVVLTSSCSSIRYFEDATRASPLNESHWSDLEHCIRNNVR >DRNTG_05522.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2445989:2448519:1 gene:DRNTG_05522 transcript:DRNTG_05522.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATMEYCVTGGTGLIGSYLVKALLDKGHKVRATVRDPADETKVSFFFSFHGAQERLKLFKADLMVEGSFDEAIDGVDGVFHVASPVLVPYDERIQETLIDPTVKGTINVLRSCAKARSVKRVVLTSSCSSIRYFEDATRASPLNESHWSDLEHCIRNNLWYAYAKTTAEKEAWRLAEELGFDLVVVNPSFVIGPVISPEPTSTLRLVLALLRGERDEYPNKTRGFVHIEDVVRSHLLAMQDSRVTGRLVCSSNVAHWSEILEMLKTKYPSYANAIPTKCSVVQGDDKPHSMDSSKLINFGVPALKTIPEMFHDCIKSFQDKGFLTH >DRNTG_05522.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2439739:2448519:1 gene:DRNTG_05522 transcript:DRNTG_05522.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMEYCVTGGTGLIGSYLVKALLDKGHKVRATVRDPADESKVSFLFTFHGSQERLKLFKADLMVEGSFDEAIDGVDGVFHAASPVLVPYDERIQETLVDPTVKGTINVLRSCAKAKSVKRVVLTSSCSSIRHFEDATRASPLNESHWSDLEHCIRNNLWYAYAKTTAEKEAWRLAEELGFDLVVVNPSFVIGPVISPEPTSTLRLVLALLRGERDEYPNKTRGFVHIEDVVRSHLLAMQDSRVTGRLVCSSNVAHWSEILEMLKTKYPSYANAIPTKCSVVQGDDKPHSMDSSKLINFGVPALKTIPEMFHDCIKSFQDKGFLTH >DRNTG_00898.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21677021:21679217:1 gene:DRNTG_00898 transcript:DRNTG_00898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKHLAADEIYRKALQLSLNFIATAEHKAFLILRTWSPMHYEDGELPNEKVCNITKPFREGEISGAFSDHNKMREVEVEECEKATTIGAKNGVRIELLDTYHLSLLRPDGHPGPYGIYNPFDSDKKKEVHNDCVHWCLPGPIDTWSELLVLMLISGVAGDSVSV >DRNTG_01689.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23767176:23768549:-1 gene:DRNTG_01689 transcript:DRNTG_01689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEILIIPFPHPGHIFPATELANHLAGRNLRITLLLPSTSSSVSLHPLIRIHEFSVPSLIRFRPGLLEEIIIPLLTKLSSSSRPLCAIVDEMMGWIFDTCQELKIPTVSFFTSSACSAALEHATSRFPKDEVNPSRLLTIPGLPDDMVLTASDMTHLGPPPRFQRNTGPGGPDGPSRNHGPRKRGLVASSSTVAFLMNTCDELERVFLDYLAAEAGKPVWCVGPLLPVRFWETTGSGLVRDGEVRAKLESSVSEKDVIEWLDGKPRGSVIYVSFGSLVAPTEEELIELAAGLEDSNQPFIWAVQAGTEIVKNGFFSRDEFAHRTKGKGLVINGWAPQLLILSHVATGGYVCHCGWNSTLEALGCGVPVLTWPVRGDQILNAKLMTNRLKVGLPIKAEADAVVTRADVANGIKKLMADDEVKKRTASIRSIFSQGFPKSSSASLDVFVNFLTTSTKY >DRNTG_03776.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18180839:18188354:1 gene:DRNTG_03776 transcript:DRNTG_03776.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICYFDVREVSIRVRTSRLKRVERVSHEIVECPLSLPIECILSPFLRLYATIFGVRHEIERFLHRDLVGGLALVLLGKLLCGLSDRDFAIFPLDSQDCEMLNPDPYEGLLDQKMENEELMMLGQEEKVPSTPGIIKKMLRKIKRARRCHKKFPMAVGDARECSKGDEPSSEDTGVWTCPRGCGNSSRAWEILKEFSRLDRGATRAWRCPCGSGTWAWEIFARPYDMAPDRRSMIGKHHESHRFEVEHGFL >DRNTG_04635.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8373029:8373633:1 gene:DRNTG_04635 transcript:DRNTG_04635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNPLKKNTPKQHSFTSAMPNLKK >DRNTG_25690.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30307427:30308690:1 gene:DRNTG_25690 transcript:DRNTG_25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAASVGKYHLGRTIGEGNFAKVKLGFNMDTNDRVAIKIIDKKMVLDNKLMDQVKREISTMKLLHHPNIVKIHEVIATKSKIYLVMEYASGGQLSDKMLYLKRLEEKEARKYFQQLIDAVDYCHSKGVYHRDLKPENLLLDQEGNIKVSDFGLSVLKKVS >DRNTG_23313.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1131388:1133570:1 gene:DRNTG_23313 transcript:DRNTG_23313.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSDGSKNSDVKGTALELAQESSALSHLHHKNNYYNLNNNPTHPPPPPPTPPVTMDPDHTSGIAAPILRPDHPPRRRCFPSFTSSFAIKTSIWAELGGAVGDLGTYIPIVLAVSLVNGLDLSTTLIFTALYNAATGFLFAVPMPVQPMKSIAAVAISESTHLTIPQIMAAGLCTAGVLFILGATGLMSLAYRLIPLPVVRGVQLSQGLAFAFTAIKYIRYNQNFSSSKTSSSDTRPWLGLDGLILAISALLFIILVTGSGDDEDTIQEQQQDEARCDNTQRRRCIRGSFFRKIPSALLVFLLGLALCFARNPSIIHNIKFGPSKITVVKISWQDWKTGFIRAAIPQIPLSVLNSVIAVCKLSADLFPDRRVTARSVSVSVGLMNMVGCWFGAMPVCHGAGGLAGQYRFGGRSGAAVLFLAIGKMVLGLVFGNSFVRILAEFPIGLLGVLLLFAGIELAMASRDMGSKEDSFVMLMCAAVSLTGSSAALGFGCGIVLYLLLRLRDLDCCSSALLLTGKRQQEANNNDDHIV >DRNTG_23313.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1131518:1133888:1 gene:DRNTG_23313 transcript:DRNTG_23313.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSDGSKNSDVKGTALELAQESSALSHLHHKNNYYNLNNNPTHPPPPPPTPPVTMDPDHTSGIAAPILRPDHPPRRRCFPSFTSSFAIKTSIWAELGGAVGDLGTYIPIVLAVSLVNGLDLSTTLIFTALYNAATGFLFAVPMPVQPMKSIAAVAISESTHLTIPQIMAAGLCTAGVLFILGATGLMSLAYRLIPLPVVRGVQLSQGLAFAFTAIKYIRYNQNFSSSKTSSSDTRPWLGLDGLILAISALLFIILVTGSGDDEDTIQEQQQDEARCDNTQRRRCIRGSFFRKIPSALLVFLLGLALCFARNPSIIHNIKFGPSKITVVKISWQDWKTGFIRAAIPQIPLSVLNSVIAVCKLSADLFPDRRVTARSVSVSVGLMNMVGCWFGAMPVCHGAGGLAGQYRFGGRSGAAVLFLAIGKMVLGLVFGNSFVRILAEFPIGLLGVLLLFAGIELAMASRDMGSKEDSFVMLMCAAVSLTGSSAALGFGCGIVLYLLLRLRDLDCCSSALLLTGKRQQEANNNDDHIV >DRNTG_23313.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1131518:1133570:1 gene:DRNTG_23313 transcript:DRNTG_23313.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSDGSKNSDVKGTALELAQESSALSHLHHKNNYYNLNNNPTHPPPPPPTPPVTMDPDHTSGIAAPILRPDHPPRRRCFPSFTSSFAIKTSIWAELGGAVGDLGTYIPIVLAVSLVNGLDLSTTLIFTALYNAATGFLFAVPMPVQPMKSIAAVAISESTHLTIPQIMAAGLCTAGVLFILGATGLMSLAYRLIPLPVVRGVQLSQGLAFAFTAIKYIRYNQNFSSSKTSSSDTRPWLGLDGLILAISALLFIILVTGSGDDEDTIQEQQQDEARCDNTQRRRCIRGSFFRKIPSALLVFLLGLALCFARNPSIIHNIKFGPSKITVVKISWQDWKTGFIRAAIPQIPLSVLNSVIAVCKLSADLFPDRRVTARSVSVSVGLMNMVGCWFGAMPVCHGAGGLAGQYRFGGRSGAAVLFLAIGKMVLGLVFGNSFVRILAEFPIGLLGVLLLFAGIELAMASRDMGSKEDSFVMLMCAAVSLTGSSAALGFGCGIVLYLLLRLRDLDCCSSALLLTGKRQQEANNNDDHIV >DRNTG_23313.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1131388:1133888:1 gene:DRNTG_23313 transcript:DRNTG_23313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSDGSKNSDVKGTALELAQESSALSHLHHKNNYYNLNNNPTHPPPPPPTPPVTMDPDHTSGIAAPILRPDHPPRRRCFPSFTSSFAIKTSIWAELGGAVGDLGTYIPIVLAVSLVNGLDLSTTLIFTALYNAATGFLFAVPMPVQPMKSIAAVAISESTHLTIPQIMAAGLCTAGVLFILGATGLMSLAYRLIPLPVVRGVQLSQGLAFAFTAIKYIRYNQNFSSSKTSSSDTRPWLGLDGLILAISALLFIILVTGSGDDEDTIQEQQQDEARCDNTQRRRCIRGSFFRKIPSALLVFLLGLALCFARNPSIIHNIKFGPSKITVVKISWQDWKTGFIRAAIPQIPLSVLNSVIAVCKLSADLFPDRRVTARSVSVSVGLMNMVGCWFGAMPVCHGAGGLAGQYRFGGRSGAAVLFLAIGKMVLGLVFGNSFVRILAEFPIGLLGVLLLFAGIELAMASRDMGSKEDSFVMLMCAAVSLTGSSAALGFGCGIVLYLLLRLRDLDCCSSALLLTGKRQQEANNNDDHIV >DRNTG_01963.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8005947:8006953:-1 gene:DRNTG_01963 transcript:DRNTG_01963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTFGSLFGSSTKPVKKAKRARTREISRSETSSSSAESSTRSEVISRRELEAVLRRLGPEPPSEEEVAAMMAEVEGGAMSLEAIGALGGSGRRSMVGMEMREAFAVFDADRDGRISAEELKAVLEEWCSLEDCRRIIGEVDADGDGLVGFEDFVRMMRMDEGL >DRNTG_20464.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001118.1:205257:205982:-1 gene:DRNTG_20464 transcript:DRNTG_20464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEMKLEIIQDLVKFSKSKEYYTKIRKPWKRGYLLVGPPGTGKSTMIVAMANLLDYDVYDLELTVVKDNSALRKLLLNTTSKSIIEKKKAAMGAPPPGKEESKVTRLGLLNCIDGLWSACGAEKLIVFTTNHIEKLDPALIRRGRMDKHIELGFCGYEGFKVLAKNYLGVEYHPLFDSIHDLLKMKKMSPADVAENLMPKNESKDQADLCL >DRNTG_29745.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3879239:3883158:1 gene:DRNTG_29745 transcript:DRNTG_29745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMVETKILTELIGKERCGRVRGVGLGPTPKSYYGGMSSRNYTSSRTQSTDFAERFHQMEQQMQQMEEERYQERAQREQERDQERAQLEQDHEQEHARYNALLGFLQNHFPGVTIPGVDIASSTFQSQVFPLAALVAAFSECAER >DRNTG_17883.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000922.1:3853:4684:-1 gene:DRNTG_17883 transcript:DRNTG_17883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIRSRTQDKPTIQAKPNTKKRQQDLQKPHLSS >DRNTG_05273.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19664053:19665706:1 gene:DRNTG_05273 transcript:DRNTG_05273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQPSLSFIHVTKIPKAPLISSFYVHNLHTKQQRWLQCKPIKITSPLKSKLPSRLARIGASANSSELSAEPPKSLLYAKIYGFFDLINQKEITEEELDKLISVNCIFDDLAFQNPFKGNKEIFKYLKDLKKAMGKQIVFKIDQVYEGRDEAVGGVLWHLEWNDLVIPFTKGCSLFHGTKHDETEDILIDQVRIFEESPLKPGKVAVELLKLVSSYFDKYPESTKRFLNKFDEITKWIVKVCKNVMDWIIVPFLKYQTNLWGCAFKILQLLGNLLLKLLNVMGLI >DRNTG_32545.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20101448:20105253:-1 gene:DRNTG_32545 transcript:DRNTG_32545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRGDAMKMKKNGRKKGEKESSPPNGEANNGIIGRTSGRERRMNTRLQGFVTR >DRNTG_32545.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20101448:20102313:-1 gene:DRNTG_32545 transcript:DRNTG_32545.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKKNGRKKGEKESSPPNGEANNGIIGRTSGRERRMNTRLQGFVTR >DRNTG_15658.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:134076:135154:-1 gene:DRNTG_15658 transcript:DRNTG_15658.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRSLPKPSAQPPPSSSDEESDQEAAPSPMEDEAVEEQQQGGGSSSGDEEGEGSGSSSGDEEEEASGSKPKLLDSSIKPNNSKNSPSFSPNAEAKASARKTSNGRGTKRKLFEKPPPPPSSPNDSKDEEDDDDDFVYLREAINRSDNKRIKAYVEKGLPLVDRSEAKKLDREFRQLWVKEKYYDIVWYRMVKEALKLYLEANGKSG >DRNTG_15658.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:134220:135069:-1 gene:DRNTG_15658 transcript:DRNTG_15658.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRSLPKPSAQPPPSSSDEESDQEAAPSPMEDEAVEEQQQGGGSSSGDEEGEGSGSSSGDEEEEASGSKPKLLDSSIKPNNSKNSPSFSPNAEAKASARKTSNGRGTKRKLFEKPPPPPSSPNDSKDEEDDDDDFVYLREAINRSDNKRIKAYVEKGLPLVDRSEAKKLDREFRQLWVKEKYYDIVWYRMVKEALKLYLEANGKSG >DRNTG_15658.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:134122:135069:-1 gene:DRNTG_15658 transcript:DRNTG_15658.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRSLPKPSAQPPPSSSDEESDQEAAPSPMEDEAVEEQQQGGGSSSGDEEGEGSGSSSGDEEEEASGSKPKLLDSSIKPNNSKNSPSFSPNAEAKASARKTSNGRGTKRKLFEKPPPPPSSPNDSKDEEDDDDDFVYLREAINRSDNKRIKAYVEKGLPLVDRSEAKKLDREFRQLWVKEKYYDIVWYRMVKEALKLYLEANGKSG >DRNTG_15658.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:133936:135154:-1 gene:DRNTG_15658 transcript:DRNTG_15658.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRSLPKPSAQPPPSSSDEESDQEAAPSPMEDEAVEEQQQGGGSSSGDEEGEGSGSSSGDEEEEASGSKPKLLDSSIKPNNSKNSPSFSPNAEAKASARKTSNGRGTKRKLFEKPPPPPSSPNDSKDEEDDDDDFVYLREAINRSDNKRIKAYVEKGLPLVDRSEAKKLDREFRQLWVKEKYYDIVWYRMVKEALKLYLEANGKSG >DRNTG_15658.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:133936:135069:-1 gene:DRNTG_15658 transcript:DRNTG_15658.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRSLPKPSAQPPPSSSDEESDQEAAPSPMEDEAVEEQQQGGGSSSGDEEGEGSGSSSGDEEEEASGSKPKLLDSSIKPNNSKNSPSFSPNAEAKASARKTSNGRGTKRKLFEKPPPPPSSPNDSKDEEDDDDDFVYLREAINRSDNKRIKAYVEKGLPLVDRSEAKKLDREFRQLWVKEKYYDIVWYRMVKEALKLYLEANGKSG >DRNTG_15658.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:134076:135069:-1 gene:DRNTG_15658 transcript:DRNTG_15658.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRSLPKPSAQPPPSSSDEESDQEAAPSPMEDEAVEEQQQGGGSSSGDEEGEGSGSSSGDEEEEASGSKPKLLDSSIKPNNSKNSPSFSPNAEAKASARKTSNGRGTKRKLFEKPPPPPSSPNDSKDEEDDDDDFVYLREAINRSDNKRIKAYVEKGLPLVDRSEAKKLDREFRQLWVKEKYYDIVWYRMVKEALKLYLEANGKSG >DRNTG_15658.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:133743:135069:-1 gene:DRNTG_15658 transcript:DRNTG_15658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRSLPKPSAQPPPSSSDEESDQEAAPSPMEDEAVEEQQQGGGSSSGDEEGEGSGSSSGDEEEEASGSKPKLLDSSIKPNNSKNSPSFSPNAEAKASARKTSNGRGTKRKLFEKPPPPPSSPNDSKDEEDDDDDFVYLREAINRSDNKRIKAYVEKGLPLVDRSEAKKLDREFRQLWVKEKYYDIVWYRMVKEALKLYLEANGKSG >DRNTG_12771.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000571.1:1832:9857:-1 gene:DRNTG_12771 transcript:DRNTG_12771.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGALDLTTNLGGKIKKQEVQSAVQQYEKYHVCFGGDEETRKANYADMVNKYYDLATSFYEYGWGESFHFAHRWKGESLRESIKRHEHYLALQLGLKEGMKVLDVGCGIGGPLREIARFSSTSITGLNNNEYQISRGTVACYKEIYRVLKPGQCFAAYEWCMTDNFDPNNQIHQRIKAEIELGNGLPDIRSTTQCLEALKLAGFEVLSEKDLAADSPVTWYLPLDTSQFSLTSFRLTSLGSFITRTMVKALEFVHLAPAGSQRVSSILEKAAEGLVEGGRMEIFTPMYFFLARKPVSNY >DRNTG_12771.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000571.1:1832:9857:-1 gene:DRNTG_12771 transcript:DRNTG_12771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGALDLTTNLGGKIKKQEVQSAVQQYEKYHVCFGGDEETRKANYADMVNKYYDLATSFYEYGWGESFHFAHRWKGESLRESIKRHEHYLALQLGLKEGMKVLDVGCGIGGPLREIARFSSTSITGLNNNEYQISRGTELNRLLGLSDSCNFVKVACYKEIYRVLKPGQCFAAYEWCMTDNFDPNNQIHQRIKAEIELGNGLPDIRSTTQCLEALKLAGFEVLSEKDLAADSPVTWYLPLDTSQFSLTSFRLTSLGSFITRTMVKALEFVHLAPAGSQRVSSILEKAAEGLVEGGRMEIFTPMYFFLARKPVSNY >DRNTG_16180.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000798.1:33440:34068:-1 gene:DRNTG_16180 transcript:DRNTG_16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVFLILIIVTVCVTIVGTYFLLNAENYHWQWTSFFAAASTAAYVFLYSIYYYHIKTKMSGFFQTSFYFGYTLMFCFGLGILCGAVGYLGSALFVRRIYRNIKCD >DRNTG_14922.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27194286:27196545:1 gene:DRNTG_14922 transcript:DRNTG_14922.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNSNQQVLTQNFQEPFARKRDHPLFEGIRRSVSMVATQENASISSLTHSLSSIWEFESKISTSDTVSIAGSLISEIDDGNINQNIKAMDPPGTVSPHCAASFYSSSNPSTKMCSSVAMLNEYLRARKDDITAGVPGMLLFAVIGQEDADIGSVVSTILYAYFLNEARESRYDCFVPVINMERDDLRIHPELNWLLHSCQIEVSSLVFIDEIDLTYFDVYGSLNLVLVNGHKLPRKQEGLKEALVETINSEDSSICTFVAEQFAETSPEILAGIGICRLLLSGILLDTSNLMGAKCTDKDKYMTTLLIKGAGQLGINGLYQTLKHKKLDMSELEVRDILRKTRVAGKPNSIGSRLSVYRIGMCSDWNFSRRSSRSQ >DRNTG_04612.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3058395:3061581:-1 gene:DRNTG_04612 transcript:DRNTG_04612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLNKWISSLVPPNIKGIPTVARITLDCLITPIFLGEVKDNSRNLHPGVKVTSMLHSIARATKPQRSSSLNPSLYCDRKELLERGGKPPRYSCRWFCGVASSSPKVPLSSLGHTSPDRCR >DRNTG_17334.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32022645:32025112:-1 gene:DRNTG_17334 transcript:DRNTG_17334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPTTAAGGWWSEEMSGAGLRGMEADYVRRFHKHEPRENQCSSALVKHIKAPIHLVWSLVRRFDQPQKYKPFVSRCVVQGDLEIGSVREVNVKSGLPATTSTERLELLDDDEHILSIKIVGGDHRLKNYSSIITLHPEIIDGRPGTLVIESFVVDVPDGNTNDETCYFVEALIKCNLKSLADISERLAVQDHIEPIDLH >DRNTG_22549.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3766952:3772658:1 gene:DRNTG_22549 transcript:DRNTG_22549.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G23140) UniProtKB/Swiss-Prot;Acc:Q9FN42] MWRCSRLAGAFRPSVSARSYGLIPMVIEHTSRGERAYDIFSRLLKERIICINGPIADDTAAVVVAQLLFLESENPSKPIHLYINSPGGVVTAGLAIYDTMQYIRSPVSTLCVGQAASMGSLLLAAGAAGERRALPNARVMTHQPSGGFSGTAADIAIHAREILKMRERLNGIYAKHCRQPIENVEKNMDRDTFMSPEEAKEFGLIDEVIEHRPISLVSDAVNGGGNDESKAKGEGDSK >DRNTG_01402.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4544275:4547100:-1 gene:DRNTG_01402 transcript:DRNTG_01402.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTTKQRTPFKSLMSNVPWKEKAKETSKNNSILMPESTPVAAARAEKVKRKPFRSLFQKDGQDENGEEKGGSGKTGKKKHWGLDGLRKWRRGNDEDEEMTDCLETGERSDVAPSISMHCALTAAPAGEVPDTKKIKNKLHSDGNNSDFFIDKV >DRNTG_01402.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4544275:4547100:-1 gene:DRNTG_01402 transcript:DRNTG_01402.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTTKQRTPFKSLMSNVPWKEKAKETSKNNSILMPESTPVAAARAEKVKRKPFRSLFQKDGQDENGEEKGGSGKTGKKKHWGLDGLRKWRRGNDEDEEMTDCLETGERSDVAPSISMHCALTAAPAGEVPDTKKIKNKLHSDGNNSDFFIDKVLGQNIKKELSRIQTELCTTNPNLNFSDDQMEAISTKLPVDKADLNHFFPKSWCERYGDIVLDVVKKEFKDHVGEMENKKTTAGEKYGGGDTEKWVAFPENDNNENLHPNLFASQDHQPQSIKPWGGTTNNSFYDVQNPFWTSKGH >DRNTG_01402.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4544275:4547100:-1 gene:DRNTG_01402 transcript:DRNTG_01402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTYTPAYYSSFQDTITSLCKSILPFSMKNRRLPADHKLAKRHAESLKWQQESFHRILQLIGLHKEGMVSETEVSAFRVHLLDTLIASPAEQEPPNVIRDKLLFLKELLYAKCISDDEYHSSKRPLLQRLAVQGADVDCRDIVVGAPVSAPEEEWSVINLKDDEESPMVKTTKQRTPFKSLMSNVPWKEKAKETSKNNSILMPESTPVAAARAEKVKRKPFRSLFQKDGQDENGEEKGGSGKTGKKKHWGLDGLRKWRRGNDEDEEMTDCLETGERSDVAPSISMHCALTAAPAGEVPDTKKIKNKLHSDGNNSDFFIDKVLGQNIKKELSRIQTELCTTNPNLNFSDDQMEAISTKLPVDKADLNHFFPKSWCERYGDIVLDVVKKEFKDHVGEMENKKTTAGEKYGGGDTEKWVAFPENDNNENLHPNLFASQDHQPQSIKPWGGTTNNSFYDVQNPFWTSKGH >DRNTG_34435.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21250101:21251499:-1 gene:DRNTG_34435 transcript:DRNTG_34435.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein COX11, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G02410) UniProtKB/Swiss-Prot;Acc:Q8GWR0] MSTYNVTPMKVFFYIDPEFETDPKMDGINNFILSYTFFKVKED >DRNTG_34435.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21250101:21252083:-1 gene:DRNTG_34435 transcript:DRNTG_34435.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein COX11, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G02410) UniProtKB/Swiss-Prot;Acc:Q8GWR0] MSTYNVTPMKVFFYIDPEFETDPKMDGINNFILSYTFFKVKED >DRNTG_34435.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21250101:21252892:-1 gene:DRNTG_34435 transcript:DRNTG_34435.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein COX11, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G02410) UniProtKB/Swiss-Prot;Acc:Q8GWR0] MPPFPKCPSAAVLRRVVSSATASSSSRFQIPLHRLHPELPAFPTSGSRRFYISSTAARERSSRRTLLYLVGLAGAMVGASYAAVPLYRRFCQATGYGGTVQRRESVEEKISRHDQDGTTTSRELVVQFNADVADGVPWKFIPAQREVRVKPGESALAFYTAENRSSTPITGMSTYNVTPMKVFFYIDPEFETDPKMDGINNFILSYTFFKVKED >DRNTG_34589.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26619982:26620488:-1 gene:DRNTG_34589 transcript:DRNTG_34589.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSYYEIGLPFIQKAGVEDKIKFIESEAMPVLDKMLQEVNDDDLYDFAFVDADKNNYAEYHERLIKLVKVGGIIAYDNTLWFGSVAEVDPSFPKEALEMREFLLKLNKFLAFDPRIEISQISIGDGLTICRR >DRNTG_34589.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26619982:26622178:-1 gene:DRNTG_34589 transcript:DRNTG_34589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGGLEAFDKNLLKSDALHQYILETSVYPREHEQLKALREISEKHIMGIMSLPPEEGQLLSMLIKVLNAKKTLEIGVFTGYSLLATALALPKDGKITAIDMDKSYYEIGLPFIQKAGVEDKIKFIESEAMPVLDKMLQEVNDDDLYDFAFVDADKNNYAEYHERLIKLVKVGGIIAYDNTLWFGSVAEVDPSFPKEALEMREFLLKLNKFLAFDPRIEISQISIGDGLTICRR >DRNTG_34589.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26619982:26621830:-1 gene:DRNTG_34589 transcript:DRNTG_34589.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMSLPPEEGQLLSMLIKVLNAKKTLEIGVFTGYSLLATALALPKDGKITAIDMDKSYYEIGLPFIQKAGVEDKIKFIESEAMPVLDKMLQEVNDDDLYDFAFVDADKNNYAEYHERLIKLVKVGGIIAYDNTLWFGSVAEVDPSFPKEALEMREFLLKLNKFLAFDPRIEISQISIGDGLTICRR >DRNTG_08904.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27500575:27503610:-1 gene:DRNTG_08904 transcript:DRNTG_08904.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trihelix transcription factor ASR3 [Source:Projected from Arabidopsis thaliana (AT2G33550) UniProtKB/Swiss-Prot;Acc:Q8VZ20] MRNDARRERRLPGFFDREVFEILEGTAVAVPAVVGEEEAVFDSGRTAGEDGLFSDCEEEEEEEGEEEEEERAAPSTPVVALPISEKKYEPSHPEASEPGMAEDQPQAVENPEKGSSSREGQKRRRVSPEESGGGSGDTNLQHQLIEILERNSRMLTAQLEAQNMNYQLDRDQRKDQADSLLGVLGKLADAIGRIADKL >DRNTG_08904.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27501787:27503841:-1 gene:DRNTG_08904 transcript:DRNTG_08904.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trihelix transcription factor ASR3 [Source:Projected from Arabidopsis thaliana (AT2G33550) UniProtKB/Swiss-Prot;Acc:Q8VZ20] METAAAVAAAAAGNGVRAGRLPRWTRQEILVLIEGKRVVEGRGRCRGPGRAGGPAGEPKWAAVSAYCKRHGVDRGPVQCRKRWSNLAGDHKKIKEWERAGKESFWAMRNDARRERRLPGFFDREVFEILEGTAVAVPAVVGEEEAVFDSGRTAGEDGLFSDCEEEEEEEGEEEEEERAAPSTPVVALPISGEWFFLIDELFLFFKKKKLAFSFGNQ >DRNTG_08904.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27501787:27503841:-1 gene:DRNTG_08904 transcript:DRNTG_08904.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trihelix transcription factor ASR3 [Source:Projected from Arabidopsis thaliana (AT2G33550) UniProtKB/Swiss-Prot;Acc:Q8VZ20] METAAAVAAAAAGNGVRAGRLPRWTRQEILVLIEGKRVVEGRGRCRGPGRAGGPAGEPKWAAVSAYCKRHGVDRGPVQCRKRWSNLAGDHKKIKEWERAGKESFWAMRNDARRERRLPGFFDREVFEILEGTAVAVPAVVGEEEAVFDSGRTAGEDGLFSDCEEEEEEEGEEEEEERAAPSTPVVALPISEKKYEPSHPEASEPGMAEDQPQAVENPEKGSSSREGQKRRRVSPEESGGGSGDTNLQHQLIEILERNSRMLTAQLEAQNMNYQLDRDQRKDQADSLLGVLGKLADAIGRIADKL >DRNTG_08904.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27501787:27503841:-1 gene:DRNTG_08904 transcript:DRNTG_08904.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trihelix transcription factor ASR3 [Source:Projected from Arabidopsis thaliana (AT2G33550) UniProtKB/Swiss-Prot;Acc:Q8VZ20] METAAAVAAAAAGNGVRAGRLPRWTRQEILVLIEGKRVVEGRGRCRGPGRAGGPAGEPKWAAVSAYCKRHGVDRGPVQCRKRWSNLAGDHKKIKEWERAGKESFWAMRNDARRERRLPGFFDREVFEILEGTAVAVPAVVGEEEAVFDSGRTAGEDGLFSDCEEEEEEEGEEEEEERAAPSTPVVALPISEKKYEPSHPEASEPGINLLQLVKNKNKIFFF >DRNTG_08904.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27500575:27503841:-1 gene:DRNTG_08904 transcript:DRNTG_08904.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trihelix transcription factor ASR3 [Source:Projected from Arabidopsis thaliana (AT2G33550) UniProtKB/Swiss-Prot;Acc:Q8VZ20] METAAAVAAAAAGNGVRAGRLPRWTRQEILVLIEGKRVVEGRGRCRGPGRAGGPAGEPKWAAVSAYCKRHGVDRGPVQCRKRWSNLAGDHKKIKEWERAGKESFWAMRNDARRERRLPGFFDREVFEILEGTAVAVPAVVGEEEAVFDSGRTAGEDGLFSDCEEEEEEEGEEEEEERAAPSTPVVALPISEKKYEPSHPEASEPGMAEDQPQAVENPEKGSSSREGQKRRRVSPEESGGGSGDTNLQHQLIEILERNSRMLTAQLEAQNMNYQLDRDQRKDQADSLLGVLGKLADAIGRIADKL >DRNTG_08904.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27501787:27503610:-1 gene:DRNTG_08904 transcript:DRNTG_08904.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trihelix transcription factor ASR3 [Source:Projected from Arabidopsis thaliana (AT2G33550) UniProtKB/Swiss-Prot;Acc:Q8VZ20] MRNDARRERRLPGFFDREVFEILEGTAVAVPAVVGEEEAVFDSGRTAGEDGLFSDCEEEEEEEGEEEEEERAAPSTPVVALPISEKKYEPSHPEASEPGMAEDQPQAVENPEKGSSSREGQKRRRVSPEESGGGSGDTNLQHQLIEILERNSRMLTAQLEAQNMNYQLDRDQRKDQADSLLGVLGKLADAIGRIADKL >DRNTG_31030.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30502882:30504647:-1 gene:DRNTG_31030 transcript:DRNTG_31030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 55 [Source:Projected from Arabidopsis thaliana (AT5G49130) UniProtKB/Swiss-Prot;Acc:Q9FH21] MLGGDPIQKYLTMPEVVEELKRMKDIGLPITAINLINYLKGMISVIYIGRLGRLELAGGALAVGFTNITGYSVLSGLATGMEPVCSQAFGSRNLPCVISALRRTILLLLLASLPISLLWINLETLMLHLQQDKEISKVAGKYCLFSLPDLIANSLLHPIRIYFRSKGQPQPLMWSSALSLLLHLPLTTLLSSTLHLGIQGVAIATFTTNFTTLLILLVYTLYTKPPPDHFYSPLPPSSPKLVVEWSSLLRLAIPSCLAVCLEWWWYELMTLLSGYLHHPHVTLATAAIVIQTTSLMYTLPTTLSSSVSARVGNELGAGRPTHARVAAKVAMILSFMVSCISLAWTTVGREAWGRVFTGDEEVLQLTKTILPVIGLCELANCPQTTGCGVLRGSARPGIGAAINLYSFYLVGAPVAVLLAFGLDWGFVGLCLGLLAAQVVCAVSIVVVTLRTDWEREACKAMDLVGPSVVIEESPIKPTKAQDDVALLLDISD >DRNTG_00340.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18777682:18781481:-1 gene:DRNTG_00340 transcript:DRNTG_00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTGPSALSEVPRASEEDSGFRKPQRIIDREDEYRRKRLQQMISPDRNDPFASGEATPDPSVRTYADVMREQALKRQKDEILREIAKKKEEEKNKVAAEVAAAPQKRRNRWDQSQEPEPEAKKPKSSSDWDAPDSTPGIGRWDATPTPGRVAADATPSVARRNRWDETPTPGRLADADATPAGGVTPGATPAGMAWDATPKLGAIATPTPKRQRSRWDETPAAVGAATPLPGAATPAAAFTPGVTPIGGVDLATPTPSAIKFSGAITPEQYNLLRWERDIEERNRPLTDEELDAMFPQEGYKVLDPPASYVPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKEAPGGLPFMKPEDYQYFGALLNEEEEEQLNPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDECIVTCGTC >DRNTG_33308.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23717891:23720538:1 gene:DRNTG_33308 transcript:DRNTG_33308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGDMRVLAILLIIASFVGISAKELEQGQKTERIAGSAGDVLEDDPVGRLKVYVYELPSKYNKKILQKDSRCLTHMFAAEIFMHRFLLSSPVRTLNPNEADWFYTPVYATCELTPNGLPLPFKSPRMMRSAIQLISSNWPYWNRTEGADHFFVVPHDFGACFHYQEEKAVKRGILPLLKRATLVQTFGQRNHVCLKEGSITIPPYAPPQKMQAHLIPPDTPRSIFVYFRGLFYDVGNDPEGGYYARGARASVWENFKNNPLFDISTDHPTTYYEDMQRAVFCLCPLGWAPWSPRLVEGVIFGCIPVIIADDIVLPFADAIPWEEIGVFVAEKDVFKLDTILTSISPEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLARKLPHDESIYLKPDEKILNWTAGPASDRKPW >DRNTG_16362.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7705315:7705847:1 gene:DRNTG_16362 transcript:DRNTG_16362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNIHKSTSQGQTHGPMPSRDGESSSAEIHTGVWKLSTPVRSSQGHPQRRVHAPMGSHTPLRLLWMSS >DRNTG_18116.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:458008:459026:-1 gene:DRNTG_18116 transcript:DRNTG_18116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQMKDRNRRPGSAPEEESQSQSSRRRWRTETEQKIYSSKLLEALRRVRSTESPAPTRSRALRTAADRALAVAARGRTRWSRAILFKAQAQTRRSRNLGRFKRTGPVGSVQRVKNPVHQKVRVLSRLVPGCRKLSFSKLLEEASDYIAALEMQIKAMTAMTEMLIGGTAPAPASAQRLS >DRNTG_15828.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25046385:25051146:1 gene:DRNTG_15828 transcript:DRNTG_15828.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGANTGYILDRSLDFDQVDRERNWDDQILFNGLFFYVAHMLITDATYLPIWRMDGWIIIMLLHAGPVEFLYYWFHRALHHHFLYSLYHSHHHASIVTEPISSVIHPFAELIVCYFLFSIPLQISIFTKTNSILALFFYVTYIDFMNNMGHCNFELVPNWFFNVFPPLKYLMYTPSYHSLHHTQFRTNYCLFMPFYDYIYNTMDKSSDCLYETSRKGKEEKCDVVHLTHPTTLQSIYHLRFGFPSLSSKPYDSKWYMLLLWPLSLISMAFTWIYGSCFTVERNKLKKLIMQTWAIPRYSFQYELSWEKNAINDLIEKAVLEADCRGIRVLSLGMLNKVG >DRNTG_15828.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25026912:25029702:1 gene:DRNTG_15828 transcript:DRNTG_15828.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPGYLTQWPWQSLGNFKYLLLAPWVLDGAHKAMRDGWNVNFTYLAILPLLLSRVLHNLVWISISRFQNARSKHRILDRSLDLDQVDRERNWDDQILFSGFIAYSISMCFSTDATNLPIWRMDGWVIIMLLHAGPVEFLYYWFHRALHHHFLYSRYHSHHHASIVTEPITSVIHPFAEHIVYHFLFFIPLLTSIFTKTSSILAIFFYMTFVDFMNNMGHCNFELVPNWLFKVFPPLKYLMYTPSYHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDCLYETSLKGKEEKCDVVHLTHPTTLQSIYHLRFSFPSLASKPYDSKWYMMLVCPLSLISMAFTWIYGSCFTVERNKLKKLIMQTWAIPRYSFQYELSWEKDAINDLIEKAVLEADCRGVRVLSLGLLNQGREINGNGELYLRKHPNLNLMIVDGSSLAAAVVLRSIPSGINQVLISGTLSKIGCAIATELCKKGTQVMMTCKTDFDYLMLRMLDSTSRHLTFTRNYTTQVWLIGEGMDHAEQMMAPKGAIFIPFSQFPLKKARKDCTYYSTPSLRMPDALENVHSCENWLPRRVMSAWRVAGIIHALEGWNEHECGDTMLDIEKVLSAAILHGFFPLTQASYEKQ >DRNTG_15828.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25027068:25028623:1 gene:DRNTG_15828 transcript:DRNTG_15828.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDDQILFSGFIAYSISMCFSTDATNLPIWRMDGWVIIMLLHAGPVEFLYYWFHRALHHHFLYSRYHSHHHASIVTEPITSVIHPFAEHIVYHFLFFIPLLTSIFTKTSSILAIFFYMTFVDFMNNMGHCNFELVPNWLFKVFPPLKYLMYTPSYHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDCLYETSLKGKEEKCDVVHLTHPTTLQSIYHLRFSFPSLASKPYDSKWYMMLVCPLSLISMAFTWIYGSCFTVERNKLKKLIMQTWAIPRYSFQYELSWEKDAINDLIEKAVLEADCRGVRVLSLGLLNQ >DRNTG_15828.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25046385:25057847:1 gene:DRNTG_15828 transcript:DRNTG_15828.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGANTGYILDRSLDFDQVDRERNWDDQILFNGLFFYVAHMLITDATYLPIWRMDGWIIIMLLHAGPVEFLYYWFHRALHHHFLYSLYHSHHHASIVTEPISSVIHPFAELIVCYFLFSIPLQISIFTKTNSILALFFYVTYIDFMNNMGHCNFELVPNWFFNVFPPLKYLMYTPSYHSLHHTQFRTNYCLFMPFYDYIYNTMDKSSDCLYETSRKGKEEKCDVVHLTHPTTLQSIYHLRFGFPSLSSKPYDSKWYMLLLWPLSLISMAFTWIYGSCFTVERNKLKKLIMQTWAIPRYSFQYELSWEKNAINDLIEKAVLEADCRGIRVLSLGMLNKGRKINGYGELYPGTEPRGG >DRNTG_15828.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25026912:25057847:1 gene:DRNTG_15828 transcript:DRNTG_15828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPGYLTQWPWQSLGNFKYLLLAPWVLDGAHKAMRDGWNVNFTYLAILPLLLSRVLHNLVWISISRFQNARSKHRILDRSLDLDQVDRERNWDDQILFNGLFFYVAHMLITDATYLPIWRMDGWIIIMLLHAGPVEFLYYWFHRALHHHFLYSLYHSHHHASIVTEPISSVIHPFAELIVCYFLFSIPLQISIFTKTNSILALFFYVTYIDFMNNMGHCNFELVPNWFFNVFPPLKYLMYTPSYHSLHHTQFRTNYCLFMPFYDYIYNTMDKSSDCLYETSRKGKEEKCDVVHLTHPTTLQSIYHLRFGFPSLSSKPYDSKWYMLLLWPLSLISMAFTWIYGSCFTVERNKLKKLIMQTWAIPRYSFQYELSWEKNAINDLIEKAVLEADCRGIRVLSLGMLNKGRKINGYGELYPGTEPRGG >DRNTG_15828.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25027068:25029702:1 gene:DRNTG_15828 transcript:DRNTG_15828.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDDQILFSGFIAYSISMCFSTDATNLPIWRMDGWVIIMLLHAGPVEFLYYWFHRALHHHFLYSRYHSHHHASIVTEPITSVIHPFAEHIVYHFLFFIPLLTSIFTKTSSILAIFFYMTFVDFMNNMGHCNFELVPNWLFKVFPPLKYLMYTPSYHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDCLYETSLKGKEEKCDVVHLTHPTTLQSIYHLRFSFPSLASKPYDSKWYMMLVCPLSLISMAFTWIYGSCFTVERNKLKKLIMQTWAIPRYSFQYELSWEKDAINDLIEKAVLEADCRGVRVLSLGLLNQGREINGNGELYLRKHPNLNLMIVDGSSLAAAVVLRSIPSGINQVLISGTLSKIGCAIATELCKKGTQVMMTCKTDFDYLMLRMLDSTSRHLTFTRNYTTQVIKFEIILSSIKLAGPLAVL >DRNTG_20239.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001105.1:301061:304306:1 gene:DRNTG_20239 transcript:DRNTG_20239.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGQNKHYWTLEEDKALIKALIELSKDAMWRSENGFRHGYLFQLEKMIKEKFPRTTLKAMPNIESRVKLFRSKTAAIADILRASGFAWNQESSTIECEKSAYDEYVKDHKEAVGLYGKSFPFFNDLAQVFKKDKAHDNAKDDIGDDAVQNENISFKDRALAMARGDIGDDTAQYLHENYSLDGDRSFSQIPSDDFVMPTQEPIDSPSPMASNNSVSKTFGRRKRKVRARDSTMEAISENFRHFIEIVGSGFKMMAETAARNAETAARNAETAARNAEIVARDAEVAARREAARKEIEKKKKLLNQVIFKIDGLSDDEAMVILQVLGKDEDQLKIFFDLPDDKKLCFCRVFLARMSHCPPGM >DRNTG_20239.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001105.1:301061:305786:1 gene:DRNTG_20239 transcript:DRNTG_20239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGQNKHYWTLEEDKALIKALIELSKDAMWRSENGFRHGYLFQLEKMIKEKFPRTTLKAMPNIESRVKLFRSKTAAIADILRASGFAWNQESSTIECEKSAYDEYVKDHKEAVGLYGKSFPFFNDLAQVFKKDKAHDNAKDDIGDDAVQNENISFKDRALAMARGDIGDDTAQYLHENYSLDGDRSFSQIPSDDFVMPTQEPIDSPSPMASNNSVSKTFGRRKRKVRARDSTMEAISENFRHFIEIVGSGFKMMAETAARNAETAARNAETAARNAEIVARDAEVAARREAARKEIEKKKKLLNQVIFKIDGLSDDEAMVILQVLGKDEDQLKIFFDLPDDKKLCFCRVFLARMSHCPPGVKLISQ >DRNTG_20239.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001105.1:301007:304306:1 gene:DRNTG_20239 transcript:DRNTG_20239.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGQNKHYWTLEEDKALIKALIELSKDAMWRSENGFRHGYLFQLEKMIKEKFPRTTLKAMPNIESRVKLFRSKTAAIADILRASGFAWNQESSTIECEKSAYDEYVKDHKEAVGLYGKSFPFFNDLAQVFKKDKAHDNAKDDIGDDAVQNENISFKDRALAMARGDIGDDTAQYLHENYSLDGDRSFSQIPSDDFVMPTQEPIDSPSPMASNNSVSKTFGRRKRKVRARDSTMEAISENFRHFIEIVGSGFKMMAETAARNAETAARNAETAARNAEIVARDAEVAARREAARKEIEKKKKLLNQVIFKIDGLSDDEAMVILQVLGKDEDQLKIFFDLPDDKKLCFCRVFLARMSHCPPGM >DRNTG_13251.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13774325:13776678:1 gene:DRNTG_13251 transcript:DRNTG_13251.8 gene_biotype:protein_coding transcript_biotype:protein_coding MYVCIIDQKVVMQEPRIMTGKEVTQQKPRKRKTLSLVASASQMKEISKPSHKTRKGSKTNLKNAVTPPFQHSDRSTPDSFPDSSGLSDEYRALRRKYLLLEEESFSVGKELGGVEAEVKTLEDEKSALLDQLVVLEGLIDPSELQAKGGL >DRNTG_13251.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13774278:13776678:1 gene:DRNTG_13251 transcript:DRNTG_13251.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPRIMTGKEVTQQKPRKRKTLSLVASASQMKEISKPSHKTRKGSKTNLKNAVTPPFQHSDRSTPDSFPDSSGLSDEYRALRRKYLLLEEESFSVGKELGGVEAEVKTLEDEKSALLDQLVVLEGLIDPSELQAKGGL >DRNTG_13251.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13774190:13776805:1 gene:DRNTG_13251 transcript:DRNTG_13251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPRIMTGKEVTQQKPRKRKTLSLVASASQMKEISKPSHKTRKGSKTNLKNAVTPPFQHSDRSTPDSFPDSSGLSDEYRALRRKYLLLEEESFSVGKELGGVEAEVKTLEDEKSALLDQLVVLEGLIDPSELQAKGGL >DRNTG_13251.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13774190:13776678:1 gene:DRNTG_13251 transcript:DRNTG_13251.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYVCIIDQKVVMQEPRIMTGKEVTQQKPRKRKTLSLVASASQMKEISKPSHKTRKGSKTNLKNAVTPPFQHSDRSTPDSFPDSSGLSDEYRALRRKYLLLEEESFSVGKELGGVEAEVKTLEDEKSALLDQLVVLEGLIDPSELQAKGGL >DRNTG_13251.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13774278:13776805:1 gene:DRNTG_13251 transcript:DRNTG_13251.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPRIMTGKEVTQQKPRKRKTLSLVASASQMKEISKPSHKTRKGSKTNLKNAVTPPFQHSDRSTPDSFPDSSGLSDEYRALRRKYLLLEEESFSVGKELGGVEAEVKTLEDEKSALLDQLVVLEGLIDPSELQAKGGL >DRNTG_13251.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13774634:13776678:1 gene:DRNTG_13251 transcript:DRNTG_13251.9 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPRIMTGKEVTQQKPRKRKTLSLVASASQMKEISKPSHKTRKGSKTNLKNAVTPPFQHSDRSTPDSFPDSSGLSDEYRALRRKYLLLEEESFSVGKELGGVEAEVKTLEDEKSALLDQLVVLEGLIDPSELQAKGGL >DRNTG_13251.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13774278:13776678:1 gene:DRNTG_13251 transcript:DRNTG_13251.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYVCIIDQKVVMQEPRIMTGKEVTQQKPRKRKTLSLVASASQMKEISKPSHKTRKGSKTNLKNAVTPPFQHSDRSTPDSFPDSSGLSDEYRALRRKYLLLEEESFSVGKELGGVEAEVKTLEDEKSALLDQLVVLEGLIDPSELQAKGGL >DRNTG_13251.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13774325:13776678:1 gene:DRNTG_13251 transcript:DRNTG_13251.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPRIMTGKEVTQQKPRKRKTLSLVASASQMKEISKPSHKTRKGSKTNLKNAVTPPFQHSDRSTPDSFPDSSGLSDEYRALRRKYLLLEEESFSVGKELGGVEAEVKTLEDEKSALLDQLVVLEGLIDPSELQAKGGL >DRNTG_13251.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13774190:13776678:1 gene:DRNTG_13251 transcript:DRNTG_13251.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPRIMTGKEVTQQKPRKRKTLSLVASASQMKEISKPSHKTRKGSKTNLKNAVTPPFQHSDRSTPDSFPDSSGLSDEYRALRRKYLLLEEESFSVGKELGGVEAEVKTLEDEKSALLDQLVVLEGLIDPSELQAKGGL >DRNTG_31352.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2961338:2963605:1 gene:DRNTG_31352 transcript:DRNTG_31352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLLEERSIYLSLESRRILTVQKLLMMSRHRRIPLAKLHHCRAIFGLPDDFRDRVRHYPNLFRIHINPIDDLHELELVDWDPSLAVSALERQFIEDEERIRKLFKFPIPRRRYLDLDPDDAKQMDFVTTFPLVSPYSDGWKHDLWTVEAEKYRVGIVHEFLSLTLEKRAMIHHVVEFKEEFSLTKHTYQMLVKQPRAFYLAGTEMNWAAFLKDEYREDGTLIEKDAQVMFEEKLRRYAGMTQKDFSGNVGDDPRVEA >DRNTG_01651.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2905193:2910699:1 gene:DRNTG_01651 transcript:DRNTG_01651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGEVELTIQAEGKGTILCYRSVMSTSGGIWLGDNPLHFSLPLLLFQITIIFLTTRLTHAILRGLGLPLVISQGGIILGPYVIGRNKSFARVMFSPKGREQLNTISFISFMIFLFLVGIKTDASIIKKSGKKAIGVALIGIILPVILAMLVTLSFNFTDKLLFYFYIASRASISSYTVVSCVLQELNLLSSNLGRLALSASLMNEFFNYFSTAVLICVSVANQTQSPLLGIKSLAALIILLLFITYIVRPGIIWMIRRTPEGRMLDEWTFLSIMFVAFACGLLTEVIGHRATMGPFYLGLILPGGPPLGITMVERLERLVCGVFLPVMMAIAGLRMDLGKLVEVGEWGMLEMIVLICIAGKFIGVVSPCLYCRMPWRDAFFLSLMMNSKGIYEIDIANVWLDSQILNKRQHSMILFNVLVFGGTTAPLLKFLYRPEDRFIANKRRTLQHSNPSDELRVLACVHSQDHVNPIVSLLDLSTPSSDHPLCLYILHLTPLAGRSAALLLPYNKRPTSSLSSIFTTESDHIFKAFLYLQQQFSGTVSVLPYVGISPHATMHDYVCTLALDKKATLIILPFHRRITIDGTVEAVASTVQAVNINVLRYAPCSVGMLVNQGESKGLLAEKVVVFFLGGADDREALAYGVRMGMNPRVEVVVVRIRLGPDKNRDNKTMTNTSTFSLSRFLARPSLHRN >DRNTG_15083.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4048480:4049785:-1 gene:DRNTG_15083 transcript:DRNTG_15083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIRCLSHWIETLYAKVMEVKGSSDQTLEEVFDHLQERENDARRFMVKRIDMRQDFVIMAMMTAMSICCTEARVPLLAMIVVVYLPLEPCYRAPTTISVSVSHFSGSTMFKHLVRQLDFAASIGRSSLFAGDAAEYMQAMGSSASCY >DRNTG_24421.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8286186:8286933:-1 gene:DRNTG_24421 transcript:DRNTG_24421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAVQSRDKASITSPKRELFKESNNSFR >DRNTG_15747.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20411034:20412105:-1 gene:DRNTG_15747 transcript:DRNTG_15747.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSPLHAMQAYMHTLQLCKEDDNSSVVLEPKSMEFISALAAGNQAQLMADISSVPISPSTLALAVAAKQTGGLLLCIRPSLQTLQQTKTHLQNLHLSHVVDLKLGNPCEVLKQYHNIDFVVINNDHHHNQGCALSLLLLGLELSMNPNGPSVVVVMNNGGRRSPSSSSSSSSSSSCGHEVVRGLRGRGVHVESVILPIGDHGMEVIKINGKGNKHHHHQEVGRRSKRVFRVFDD >DRNTG_35467.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3755605:3757158:1 gene:DRNTG_35467 transcript:DRNTG_35467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHVLILPFPVQGHINPLLQFAKRLSFKGPKTTLAITTFIINSINIQTDQVSCAPFSDGYDDTGLNGARDNIEAYFESLRTIGSKTFEELIVKQMSSSCPFTCMVYDTFVPWAVEITEKYGLPCVAFSTQSCAVSSIYYHFNHGLLDLPDSGATVSSHGLVPLERFDFPSFTFRDGSYPALAGLSLNQFNAPQKSDWVLFNSFDELENEVIKCLKEHWNARTIGPTVPSKFMDKRIKGDENYTMNLLKPERDLCINWLNKKPANSTVYVSFGSFANLQEEQTQELALGLKQSNKYFLWVVRASEQDKLPRREEFIEGEDKGLIVAWSPQLEILNHVAIGCFLTHCGWNSTLEGLSLGVPMVAVPQWTDQPTNAKYVEDVWGVGVKVKVDEKKVVRRDEISRCIKEVMDAGEE >DRNTG_26183.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29131074:29132302:1 gene:DRNTG_26183 transcript:DRNTG_26183.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAGRDWLQIYSIYGTDEWQTVGFLAVNAAAFAAAAILLLLYFSPFLALLHSLLPAAPLPALRYAAGFSGSVVAFTSAGLLYAAANVLYSSIPLRWEMAQRMVAAVPDWSAVRTALDVGCGRGILLNSVAMQLKKGGSSGRVVGLDRRRETAVAALRRAGAEGVQEYVTCREGDARGLPFADGYFDVVVSAVHLSGLGGGGAAAEAAAERGKGLGEVVRVLKAGGVGVVWDLVCVPEYAQRLREMRMEEIRVSERVTAYMVSSYIVSFRKPLAPPLDHYQPSDWRTTIIC >DRNTG_26183.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29131074:29131719:1 gene:DRNTG_26183 transcript:DRNTG_26183.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAGRDWLQIYSIYGTDEWQTVGFLAVNAAAFAAAAILLLLYFSPFLALLHSLLPAAPLPALRYAAGFSGSVVAFTSAGLLYAAANVLYSSIPLRWEMAQRMVAAVPDWSAVRTALDVGCGRGILLNSVAMQLKKGGSSGRVVGLDRRRETAVAALR >DRNTG_26183.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29130614:29131719:1 gene:DRNTG_26183 transcript:DRNTG_26183.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAGRDWLQIYSIYGTDEWQTVGFLAVNAAAFAAAAILLLLYFSPFLALLHSLLPAAPLPALRYAAGFSGSVVAFTSAGLLYAAANVLYSSIPLRWEMAQRMVAAVPDWSAVRTALDVGCGRGILLNSVAMQLKKGGSSGRVVGLDRRRETAVAALR >DRNTG_26183.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29130101:29131719:1 gene:DRNTG_26183 transcript:DRNTG_26183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAGRDWLQIYSIYGTDEWQTVGFLAVNAAAFAAAAILLLLYFSPFLALLHSLLPAAPLPALRYAAGFSGSVVAFTSAGLLYAAANVLYSSIPLRWEMAQRMVAAVPDWSAVRTALDVGCGRGILLNSVAMQLKKGGSSGRVVGLDRRRETAVAALR >DRNTG_16730.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000845.1:20737:21459:1 gene:DRNTG_16730 transcript:DRNTG_16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVLWKVLYSPSKDSLVRYRIRFDRSSPTNLFQRNDDVGTVDPIQNPSQYVSKP >DRNTG_16035.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:294146:295597:-1 gene:DRNTG_16035 transcript:DRNTG_16035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSIFSGMVGMKVTIGEENAAEIMTTRVSGARSLATMAPLRTLKRVGSKPIGRDPPLVAPHPRSNAPVKGEHLEKHPINSWTGK >DRNTG_02611.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21328809:21331870:1 gene:DRNTG_02611 transcript:DRNTG_02611.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYHEAKHAFPHHGVQFSDLKIDLSAMMAQKDKAVSGLTRGIEGLFKKNKVTYVKGYGKFISPSEVSVDTPDGGSAVVKGKHIIIATGSDVKSLPGVTIDEKKIVSSTGALALTEIPKKLVVIGAGYIGLEMGSVWGRLGSEVTVVEFAPDIVPTMDGEVRKQFQRMLEKQKMKFMLKTKVVGVDTSGEGVKLTIEPAAGGEQSTLEADVVLVSAGRVPFTDGLGLEKLGVETDKIGRITVDKKFMTNVSGVYAIGDVIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDLVPGVVYTHPEVASVGKTEEQVKATGVAYRVGKFPFMANSRAKAIDDADGIVKILAEKETDKVLGVHIMSPNAGELIHEAVLALQYGASSEDIARTCHAHPTMSEAVKEAAMATYDKPIHI >DRNTG_02611.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21328809:21331870:1 gene:DRNTG_02611 transcript:DRNTG_02611.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHEAKHAFPHHGVQFSDLKIDLSAMMAQKDKAVSGLTRGIEGLFKKNKVTYVKGYGKFISPSEVSVDTPDGGSAVVKGKHIIIATGSDVKSLPGVTIDEKKIVSSTGALALTEIPKKLVVIGAGYIGLEMGSVWGRLGSEVTVVEFAPDIVPTMDGEVRKQFQRMLEKQKMKFMLKTKVVGVDTSGEGVKLTIEPAAGGEQSTLEADVVLVSAGRVPFTDGLGLEKLGVETDKIGRITVDKKFMTNVSGVYAIGDVIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDLVPGVVYTHPEVASVGKTEEQVKATGVAYRVGKFPFMANSRAKAIDDADGIVKILAEKETDKVLGVHIMSPNAGELIHEAVLALQYGASSEDIARTCHAHPTMSEAVKEAAMATYDKPIHI >DRNTG_02611.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21328809:21331870:1 gene:DRNTG_02611 transcript:DRNTG_02611.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYHEAKHAFPHHGVQFSDLKIDLSAMMAQKDKAVSGLTRGIEGLFKKNKVTYVKGYGKFISPSEVSVDTPDGGSAVVKGKHIIIATGSDVKSLPGVTIDEKKIVSSTGALALTEIPKKLVVIGAGYIGLEMGSVWGRLGSEVTVVEFAPDIVPTMDGEVRKQFQRMLEKQKMKFMLKTKVVGVDTSGEGVKLTIEPAAGGEQSTLEADVVLVSAGRVPFTDGLGLEKLGVETDKIGRITVDKKFMTNVSGVYAIGDVIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDLVPGVVYTHPEVASVGKTEEQVKATGVAYRVGKFPFMANSRAKAIDDADGIVKILAEKETDKVLGVHIMSPNAGELIHEAVLALQYGASSEDIARTCHAHPTMSEAVKEAAMATYDKPIHI >DRNTG_02611.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21328809:21331870:1 gene:DRNTG_02611 transcript:DRNTG_02611.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRSRRRSWGSQTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEAKHAFPHHGVQFSDLKIDLSAMMAQKDKAVSGLTRGIEGLFKKNKVTYVKGYGKFISPSEVSVDTPDGGSAVVKGKHIIIATGSDVKSLPGVTIDEKKIVSSTGALALTEIPKKLVVIGAGYIGLEMGSVWGRLGSEVTVVEFAPDIVPTMDGEVRKQFQRMLEKQKMKFMLKTKVVGVDTSGEGVKLTIEPAAGGEQSTLEADVVLVSAGRVPFTDGLGLEKLGVETDKIGRITVDKKFMTNVSGVYAIGDVIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDLVPGVVYTHPEVASVGKTEEQVKATGVAYRVGKFPFMANSRAKAIDDADGIVKILAEKETDKVLGVHIMSPNAGELIHEAVLALQYGASSEDIARTCHAHPTMSEAVKEAAMATYDKPIHI >DRNTG_02611.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21328809:21331870:1 gene:DRNTG_02611 transcript:DRNTG_02611.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYHEAKHAFPHHGVQFSDLKIDLSAMMAQKDKAVSGLTRGIEGLFKKNKVTYVKGYGKFISPSEVSVDTPDGGSAVVKGKHIIIATGSDVKSLPGVTIDEKKIVSSTGALALTEIPKKLVVIGAGYIGLEMGSVWGRLGSEVTVVEFAPDIVPTMDGEVRKQFQRMLEKQKMKFMLKTKVVGVDTSGEGVKLTIEPAAGGEQSTLEADVVLVSAGRVPFTDGLGLEKLGVETDKIGRITVDKKFMTNVSGVYAIGDVIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDLVPGVVYTHPEVASVGKTEEQVKATGVAYRVGKFPFMANSRAKAIDDADGIVKILAEKETDKVLGVHIMSPNAGELIHEAVLALQYGASSEDIARTCHAHPTMSEAVKEAAMATYDKPIHI >DRNTG_15197.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5877220:5878055:1 gene:DRNTG_15197 transcript:DRNTG_15197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSAGHQRASHGGRGRQGSEAAKGRLGFPSVGRSL >DRNTG_07973.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2253646:2261762:1 gene:DRNTG_07973 transcript:DRNTG_07973.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELNVKEHMSHQEVSEMHQKRLARIYDKVLVVEDLKTARKVVNMLTTKYRELVYACDTEVSAIDVKEETPVDHGEIICFSIYSGSQVDFGDGKSCIWVDVLDGGKGLLMEFAPFFEDPSIKKVWHNYSFDSHVIENYGIKISGFHADTMHLARLWDSSRRIDGGYSLEALTSDPKVISKETSSDSSQNKKRDLSLDDDLLVGKISMKSIFGKKKVKKDGSEGKLITIAPVETLQRDSRIPWICYSALDSISTLKLFDSLKVKLEQMEWFIDGIKRGNMYDFYEEYWQPFGNLLVKMESEGMLVDRTYLSEIEKIAIAEQQVAADRFRKWASKYCLDAKYMNVGSDAQLRQLFFGGILNSKDGNASLPASKTFKVPNTETIIEDGKKTPSKYRTITLHNLLEDPLKMQPEIYTASGWPSVSGDALKGFAGKIPMEEVFLMDEESGYAADNSNAIITEHAEVADKKKKISHKLNKKEASTYGKAYAAFGEGREGKDACHAIAALCEVCSIDSLISNFIFPLQGSHISGKNGRVHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFIAKPGNSLIVADYGQLELRILAHLANCESMLQAFEAGGDFHSRTAMNMYEHIREAVDNKKVLLEWHPQPGQEKPPVPLLKDAFAAERRKAKMLNFSIAYGKTPVGLARDWKVSVTDARKTVNLWYNDRKEVLKWQEERKAQAAREQLVYTLLGRARRFPPISKATNAQKGHIERAAINTPVQGSAADVAMCAMLEIDRNPRLKELGWKLLLQVHDEVILEGPTESAELAKEIVVECMSKPFYGTNFLKVDLAVDAKCAQNWYAAK >DRNTG_35429.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1769455:1770105:-1 gene:DRNTG_35429 transcript:DRNTG_35429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKKKVLESPSFDRFGATKLQQEESAVVDELVTCLHNFSYPKGYICSILLGCAMTRRHEENKKPEKKFPFELDPF >DRNTG_07388.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:22425565:22425962:-1 gene:DRNTG_07388 transcript:DRNTG_07388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLGVEAFINAEGAHRGIHTPLCALGMATWSSTRPAKGAATHPCGFSVLQKKFLSVPQTPVEISHECVDSQGSTQGQPHAPVSSRDENYLLCRDPHALVEISHGHVDS >DRNTG_19659.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2937842:2942122:-1 gene:DRNTG_19659 transcript:DRNTG_19659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTRDESAVAAAHAQVQQLHMFQLPSKSTQSDKKHNRSFSDLSEPSTPRVFEDSRNISIYTNVIAFTLFELETITKSFRSDYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGLQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKTAAPLSWATRMMIALGAAKGLAFLHNAERPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPQGDESHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSVDKTRPSKEQSLVDWARPKLNDKRKMLQIIDPRLEDQYSVRAAQKACSLAYYCLSQNPKARPLMSDVVETLEPLQGSNASEGSARVPMLEGLPDYRLHRRFPANNASCRSSPSPKCTPSPITACRVR >DRNTG_17028.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10732586:10733168:-1 gene:DRNTG_17028 transcript:DRNTG_17028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDMILANQQYEEVRKDFIPKKKKYVGQLRLNKFEQELIRIFLNCCMDKTVVWMNDTLSTTRSRLFTLLERKEICSNDVMDVFVCIIQKLLSRVPYSYKKHASITRPLALFISKKDHTTDTTFTINGDAARKLMMLTLSSSR >DRNTG_11109.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30592033:30592728:-1 gene:DRNTG_11109 transcript:DRNTG_11109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSAIHVYNLMRDHPDPSCHPTTQTYNLLFTALLGRDNRNSYINHVYMDTLRALFRQMVNSGVAPDVFSLNSMIKGYALSLHLNDALRVFHQMKPVYSCDPDANSYNYLVHGLCAQGRTENARELFDEMRSRGFVPSAKTFNSLASALAMCGELEAAVEIVGEMGRLVDLVTCRTVIGEMCGRGRVREGLRLLREWKERAILNERAYEELLYGIEDIHGDDELVNRGRAL >DRNTG_01073.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8090446:8090706:-1 gene:DRNTG_01073 transcript:DRNTG_01073.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNVKPLNSKNTLKLERQNEKQQEFDIPLH >DRNTG_06296.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20795580:20796730:-1 gene:DRNTG_06296 transcript:DRNTG_06296.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLRIRDIKACSTTMKKKNGDAKMKKTHSIAWGSVRVLVREKLVLCAEAMKKTLVKCESLAIDEESKLYTMSLESMLELCMMSLGMRNMMVCSTTVKEKNGDDEV >DRNTG_02763.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11706800:11716458:1 gene:DRNTG_02763 transcript:DRNTG_02763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPTKLDHHQRRRRRRRRRTTTTHTTNDDHNNSTSGSDRDSISSLAGRDAGPDPQSLASFASPRSSDDGEALDPRNLRERKRRPVSLDLNPHQGAGDVSVLSPRFLVGVAGGMKDVSAASSRSRSGTFPSPGTPNYRHGGGVGVCQKGWSSERVPLPTNSCRRYGGSAALLPFGNGRGLPSKWEDAEKWILSPVSGDGGFRSSLPPSHLRRPKSKSGPLGAPMGTRFSSAAASPLVPCFDSGRVNFAASSPFLAGVLMPDRGCCVNGNIGRVVAGGGGGGGDGGGRRSYSGNGEPYIVRSASVHGWSDMLIESPGSLQSSQEEVLDSTKEIATAIPPVVLKKDMATQMSPEGSTQSSPKERLSLSPSPQSVHPTTEFESHFSKLEIRDVQVDDRVTVTRWSKKQIARSSDRRSTNIIQWKKRSVEGRSCAWEVAETAKCMSKSKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKILNKLRSAQRKAQEMRNAVNASQANQVARTARRISYFRRSGQIGSLSGCFTCHAF >DRNTG_02763.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11706800:11716458:1 gene:DRNTG_02763 transcript:DRNTG_02763.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPTKLDHHQRRRRRRRRRTTTTHTTNDDHNNSTSGSDRDSISSLAGRDAGPDPQSLASFASPRSSERKRRPVSLDLNPHQGAGDVSVLSPRFLVGVAGGMKDVSAASSRSRSGTFPSPGTPNYRHGGGVGVCQKGWSSERVPLPTNSCRRYGGSAALLPFGNGRGLPSKWEDAEKWILSPVSGDGGFRSSLPPSHLRRPKSKSGPLGAPMGTRFSSAAASPLVPCFDSGRVNFAASSPFLAGVLMPDRGCCVNGNIGRVVAGGGGGGGDGGGRRSYSGNGEPYIVRSASVHGWSDMLIESPGSLQSSQEEVLDSTKEIATAIPPVVLKKDMATQMSPEGSTQSSPKERLSLSPSPQSVHPTTEFESHFSKLEIRDVQVDDRVTVTRWSKKQIARSSDRRSTNIIQWKKRSVEGRSCAWEVAETAKCMSKSKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKILNKLRSAQRKAQEMRNAVNASQANQVARTARRISYFRRSGQIGSLSGCFTCHAF >DRNTG_22766.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4052253:4052760:1 gene:DRNTG_22766 transcript:DRNTG_22766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLLSDDDYPQIRAGYTKKQLWVTAYNMSEKWAAGLYADQSRGDDTINIWSQRNRVIKNKDIVVWYTLGFHHTPYQEDYPLMPSLSGGFELRPSNFFETNPLIKTMPFNNTHW >DRNTG_08131.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1428707:1430443:-1 gene:DRNTG_08131 transcript:DRNTG_08131.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVKIYNAEKYMAPLRQLFPLLETKETIASADELAPFKGHSSRLAALDYTVCLHSEVFVTTQGGNFPHFLTGHRRYLNGGHSKTIKPDKRSLVLSFDNPKIRWNKFKQNMLEMLHQSDSTGIVLRKQNSSVYTFPIPDCMCQQEAET >DRNTG_12054.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15285743:15288907:-1 gene:DRNTG_12054 transcript:DRNTG_12054.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKRFASKWARMEPTPPVQEPRFSKEEHKTRYALLSRKVFGTVRKIDWEALSTLGLDGKVLELISHDGWDKLFSIEEVSFKELSLEVLSSLEMVKEYPFPHHSNHITFRAFGKKHRVSEDDIGVHMGLYTEEYLRSSAFKQSVDEIPQLKANASYWRSISASSRTRKASRLTNPALRYIHALLTWSIGGRTDSTKVVTRHDLIMLYSILEHHLIHMGHLVAETLSHQGKFVCLGAIFTGPYITRLIHRMGLIERTKGMSVVGSIVLLGIPTLVSIGIVEKRGSSYRLAQQQGSCSLEPNTSHDAETDSESDQDDRESSPSLADRFELFRTEVQQRIQSLESGQREIIPGNGAKNLIDTKCVHP >DRNTG_12054.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15287657:15288907:-1 gene:DRNTG_12054 transcript:DRNTG_12054.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISIELRFVQNEKKLDELVTILRSVQTSIQALENQVRQLVRANFEPSSISPLSNIKNSPSETLKAVNFSGEKQVEMVAKESLSVMEDGVKKDSVDSIMDLETCEEVEEAQSTIIEEATCLNIDLSINLPSLVKCKNKIPGIVFEDVGRKLRSSLNPPMPGLDNSQPKIFPWWPKQMLWALDVHHTMVEKQIVDRILKPPIDPPIQSLTSSQQNLFPWRPKQHLWVVQGILKWVEEGNACRRLKPSKDPPMPSLNNSRPKLFPWRPKGDSCLTSKFASSRRVSFIFLGSSYATSSRDEHTIFKPP >DRNTG_01589.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9630171:9631861:-1 gene:DRNTG_01589 transcript:DRNTG_01589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRYTLGGSSCAGGGASVSTGSSWSSGAG >DRNTG_34946.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2154248:2155009:1 gene:DRNTG_34946 transcript:DRNTG_34946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKNKELLSITNSKLEIPLHKAALFGKKHVFWELVYHGRQFVNARREDGSNVLHCAIMGNNAELALEIAQQFSDLIFERNFRAVTPLHLIVTIPQAFRSATQLGFVESLIYAC >DRNTG_15324.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15543201:15546433:1 gene:DRNTG_15324 transcript:DRNTG_15324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >DRNTG_34000.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5255570:5259530:-1 gene:DRNTG_34000 transcript:DRNTG_34000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAASLLLRRAPLLRFRPASALPLRCLAAGFSTADSSPSQGASTFSSNKEAFRPKFGTTPPATTQPPSEQCVDHPEYRKWKDREVEILEDIEPIVFFVKEILHSNRYRDGECLTDEDEKEVTEKLLAYHPHSEDKIGCGLDSIMVDRHPQFRNSRCLFVVRTDGGWIDFSYQKCLRAYIREKYPTYAERFIREHFKRS >DRNTG_08362.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4158544:4160650:1 gene:DRNTG_08362 transcript:DRNTG_08362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMLDYQSIFILLLFSIITLLLVMVFNSGLRSRRRLPPGPWRIPVIGNLHHLGELPHRSLHCLAEKHGPLMHLQLGQIPTIVVSSPEVASEIMKTHDLEFCNRPSTAVFKKFSYNGSDISLSKYGEHWRQMRRLGTMEFFSTKRVQSFRNVREDEVHVLIQSMRRSCTQSLVNLSEMFLCMTNNITCREVFGKRFSDDGECNRSKHHDLVMEVIELMGGSSIGNFFPSLGWLSVITGFQAKLERNFKKMDEFFEREIEEHCLSLMNDQGHGDQQKEDLLDDIFLGGTDTSAAILEWTMTELMKFPSTMKKAQDEVRGIIGNKGKVEENDLQQLQYLKLVICETLRLHCIVPFLLPRENSKDCKVFGYDISKNTRVLVNAWAISRDPKLWENPEVFMPERFEGSTINYKGQHFEFIPFGAGRRMCPGMQLGIVIVEIALANILYHFNWELPFGMCYEDIDTTEIFGVVLHKKSPVCLKAKPVSFLV >DRNTG_21576.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19223580:19227361:1 gene:DRNTG_21576 transcript:DRNTG_21576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPLEALIEEKEDAHQDEGLGNPKERWVAEIPSAPPLPESVNSETIDKLFLKELEVNENLTAEMPLVKDARSSSSLLSRRKKSVGLLCIPNGRNEDVMKEKHCHVLSADVDGNDVNDCIISSRGISEGQTAEEKFDYGMDDVALEVSYDQMFQESLVLRSSSNWSGYLNVVDDKYCLGGEVVDIVKQEQCILDDCKNAAEEEEEEEAFVSDKENMTPVVSNGQRSLKTRFGVQRSPFKAIAALNLEDEFLSDGELKPVLDQPILKNWENPNGEEVEEEEEVAFVSDKENVTPIVSTGQRKTRFGLQRSPTEPIAALNLEDEFLSDGELKPVLEQPILKNWENPNGEEVEEGEEVAFVLDKENMTPIVSTGQKSQTQFGVQSSPTKAIAALKLEDEFLSYGKLKPKQSVLQECMKVLEEKQETIVLDKENMTPVETHERRSEKSCMKIQKSSSNAIATLNVVVDSPYPDRENWTPEITKDLKLKNYTSENILSRNSETAFSDKENWTPKVSRGQKSKRRAEKFTMADNEEPASGSNKENLTPDSSRALKSRKSLSRSHTKIEEQIMKKRLERIPFQSLLENSPMKTSSSVSNNQRDANKTVNPPLSSKQSYGTSGDDDQSIRTLNQSVDQMHNVGHEKKKWNIVADASCFLTEESRRSLQLLEGLKGTHLIIPMMVIRELDCMKQHERWYQKTPKASSALQWIKDCMVRTSWWIHVQNSSETLPVPPTPPASPRLQFTENSMAFSVFGGLTEILSPTAEDHILDCALLFKRIKTDGHLVLLSNNTTLKIKAMAEGLLCETPVEFRESLVNPFSKRFLWVDSSPRGSTWSLSEEMSFTQNYYDQLPNTRKVNMAAENAKGLKLILLHNSHYRQANSVK >DRNTG_21576.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19223907:19227361:1 gene:DRNTG_21576 transcript:DRNTG_21576.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPLEALIEEKEDAHQDEGLGNPKERWVAEIPSAPPLPESVNSETIDKLFLKELEVNENLTAEMPLVKDARSSSSLLSRRKKSVGLLCIPNGRNEDVMKEKHCHVLSADVDGNDVNDCIISSRGISEGQTAEEKFDYGMDDVALEVSYDQMFQESLVLRSSSNWSGYLNVVDDKYCLGGEVVDIVKQEQCILDDCKNAAEEEEEEEAFVSDKENMTPVVSNGQRSLKTRFGVQRSPFKAIAALNLEDEFLSDGELKPVLDQPILKNWENPNGEEVEEEEEVAFVSDKENVTPIVSTGQRKTRFGLQRSPTEPIAALNLEDEFLSDGELKPVLEQPILKNWENPNGEEVEEGEEVAFVLDKENMTPIVSTGQKSQTQFGVQSSPTKAIAALKLEDEFLSYGKLKPKQSVLQECMKVLEEKQETIVLDKENMTPVETHERRSEKSCMKIQKSSSNAIATLNVVVDSPYPDRENWTPEITKDLKLKNYTSENILSRNSETAFSDKENWTPKVSRGQKSKRRAEKFTMADNEEPASGSNKENLTPDSSRALKSRKSLSRSHTKIEEQIMKKRLERIPFQSLLENSPMKTSSSVSNNQRDANKTVNPPLSSKQSYGTSGDDDQSIRTLNQSVDQMHNVGHEKKKWNIVADASCFLTEESRRSLQLLEGLKGTHLIIPMMVIRELDCMKQHERWYQKTPKASSALQWIKDCMVRTSWWIHVQNSSETLPVPPTPPASPRLQFTENSMAFSVFGGLTEILSPTAEDHILDCALLFKRIKTDGHLVLLSNNTTLKIKAMAEGLLCETPVEFRESLVNPFSKRFLWVDSSPRGSTWSLSEEMSFTQNYYDQLPNTRKVNMAAENAKGLKLILLHNSHYRQANSVK >DRNTG_09903.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18376174:18376835:-1 gene:DRNTG_09903 transcript:DRNTG_09903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISQTSPKDVKKGKTKITVDALLPHEILAVIFKYKDEDGDDGDDDGVIELQWARMVQDVLKLGGRAMGGVIADERSTMVDIKDLSFTFPGIDDHSPLASSQLIDGFSLTLGGRNHCLLVGSNGAWKMMILKTLGGNHRVGLEMVRVLGGSAFHDTALTSSGDLSYLGEKWRRDVDFASFEVSI >DRNTG_12011.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7909799:7910726:1 gene:DRNTG_12011 transcript:DRNTG_12011.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILHLMRFSYGSNGMTKLHKPVRFPLELVLGRELLVHPLSEGRRYELVATITHHGREPSRGHYTADTKYSDGQWLRYDDASITAISTSKVLHDEAYVLFYRQI >DRNTG_12011.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7909405:7910726:1 gene:DRNTG_12011 transcript:DRNTG_12011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILHLMRFSYGSNGMTKLHKPVRFPLELVLGRELLVHPLSEGRRYELVATITHHGREPSRGHYTADTKYSDGQWLRYDDASITAISTSKVLHDEAYVLFYRQI >DRNTG_12011.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7910072:7910726:1 gene:DRNTG_12011 transcript:DRNTG_12011.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILHLMRFSYGSNGMTKLHKPVRFPLELVLGRELLVHPLSEGRRYELVATITHHGREPSRGHYTADTKYSDGQWLRYDDASITAISTSKVLHDEAYVLFYRQI >DRNTG_24072.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26443225:26446751:-1 gene:DRNTG_24072 transcript:DRNTG_24072.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHYQNKKSLNPSGAAFFPEKHLFLRRQKAQSPSGLVLSTKPRLKWTPELHERFIEAVTRLGGADKATPKTVMTLMGIPGLTLYHLKSHLQKYRLGKNLQAQPETGTDKNGTACSTVEAEKTLEMTRSLMSNTNDLAQANNTLQISEALQMQIEVQKQLQEQLEVNFSL >DRNTG_24072.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26443413:26446751:-1 gene:DRNTG_24072 transcript:DRNTG_24072.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHYQNKKSLNPSGAAFFPEKHLFLRRQKAQSPSGLVLSTKPRLKWTPELHERFIEAVTRLGGADKATPKTVMTLMGIPGLTLYHLKSHLQKYRLGKNLQAQPETGTDKNGTACSTVEAEKTLEMTRSLMSNTNDLAQANNTLQISEALQMQIEVQKQLQEQLEVQRHLQLQIEAQGKYLQSVLEKAQETLGKQNLGSNGLEVAMAELSESVSKVSSEYLNITFPSTKEFSSLQAPQAQTVKIADCALESCLTMVEGSEQDQEIKKLSTGLTIYSTSLHSKHTTALTLAQPQSAWGRNANSCIIDPSPRGGNSNKTIFPVKMNTNDLSIRLKDNGDEDSSHIIPGQQQKEIGTEDHYDDQHNCNTHGGQLDKKRKSNEYGMSWLESQLDLNVQDDNDAPLVCKQIDLNGCF >DRNTG_24072.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26443413:26446751:-1 gene:DRNTG_24072 transcript:DRNTG_24072.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHYQNKKSLNPSGAAFFPEKHLFLRRQKAQSPSGLVLSTKPRLKWTPELHERFIEAVTRLGGADKATPKTVMTLMGIPGLTLYHLKSHLQKYRLGKNLQAQPETGTDKNGNNFQKVD >DRNTG_24072.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26443413:26446751:-1 gene:DRNTG_24072 transcript:DRNTG_24072.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHYQNKKSLNPSGAAFFPEKHLFLRRQKAQSPSGLVLSTKPRLKWTPELHERFIEAVTRLGGADTEATPKTVMTLMGIPGLTLYHLKSHLQKYRLGKNLQAQPETGTDKNGTACSTVEAEKTLEMTRSLMSNTNDLAQANNTLQISEALQMQIEVQKQLQEQLEVQRHLQLQIEAQGKYLQSVLEKAQETLGKQNLGSNGLEVAMAELSESVSKVSSEYLNITFPSTKEFSSLQAPQAQTVKIADCALESCLTMVEGSEQDQEIKKLSTGLTIYSTSLHSKHTTALTLAQPQSAWGRNANSCIIDPSPRGGNSNKTIFPVKMNTNDLSIRLKDNGDEDSSHIIPGQQQKEIGTEDHYDDQHNCNTHGGQLDKKRKSNEYGMSWLESQLDLNVQDDNDAPLVCKQIDLNGCF >DRNTG_05382.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13384094:13397545:-1 gene:DRNTG_05382 transcript:DRNTG_05382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKFSNFSEGIRGNAKFGSFILFNFGKAGMSGILARRDVASSIVFIGAGGFDRNLAAKQYFVWIVNVQRKETMRKIFLFDMASDDDDDDDDDEKEILRKIFDVLGLACLWDFCPVSMRPFVRRWAGFGSLKQWLKQQHIMMTRYCKSATHKVESTAHDVEIATRNVKISARDIEITVRNHVAYAQIEGKKRFLVSNLLFPLAHTSSYGVWQGWEPRRKELQGFEFSEKLSMQFSIKDGDHSLPLMVLRPCMQAYGVHALWVCGSLSLRRVLLAGDIILRYLTCVSVPTAVEDLAPAVDILFCSDFTVDDPASVDSDQVKSEALHPVGPNSIGCG >DRNTG_03344.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26215021:26220671:1 gene:DRNTG_03344 transcript:DRNTG_03344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVRSIPLLRASEPSPTPTPTLTPMPTPTSPGPFPLPSSLDADLVEIHTSGFLWNNEHSTIECEKNAYDEYVKNHKEAAGLYGKSFPLFNDLALVFTKDRAQGNARDEHVEPPSPITSDNGASSSNAQKKKKRAKESNIASISENFHHFVDLVGPGFKAMAECAIQNADASALREATHKEVQEKKKLLNQVIFNIDELSEDEALVVMLSLRKD >DRNTG_03234.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16220648:16232359:1 gene:DRNTG_03234 transcript:DRNTG_03234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHYYPHCPEPDRTFGLVDHIDPGILTILVQDNIGGLQMKSMRDECWVDVKPIPGALVVNVGDLLQEPDSGLVTPSFCASQRASRTLALRAANMAPQTKRIAHKCPRYDRQPTPPPEVKFFDPVLQQRFECLQQLKIGQSRFIDWDALEDIGLAHFPLTVTPGQVFPDLCGGRKYVLGQLKASLLTRPVNRYIHTILSRSVIGRGDGIGSLRRTNLLYLHFIAHGVLLHLGYVIADYLHYQSEYLQLGALFAGPYITHLMFKMGLIYLVRDEERIRSPAPLGLVTLRLMDIVGCTCSGGYALVESSSEDEEEHAEATEAPLAAEPMVTEAALARILEQLDHIRQTFDEEVSSATTAVRTPASAPAPDTDA >DRNTG_33382.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20927798:20931535:-1 gene:DRNTG_33382 transcript:DRNTG_33382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQSRCSQISRSRLSIISLPPHFRITQSPRKGRTSFIPIALKPTFLNMDIDLEQFNFSDLTSGDHWDSQGLQLLFGNHIDCTDKNFSSIDHVSNNHWVWWPNASTSKISSAVYHFLNKQHSFADHWEGWKIVWLIPVAPKIKHFIWLCLRGRLSTYAFLHSIHIGPDSPV >DRNTG_02576.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19408072:19409204:1 gene:DRNTG_02576 transcript:DRNTG_02576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKCDKKSKGDTVDPLDFVLRAKKIIELKRSSFGVYLSGALLEMVRKLTGPEVASRCMLKTLTNSSIAISNMIGPMEQVEIIGHPVSGFHFMMVRAPQSLTMTIVSYMQKVRVAVGAEKGFIDSPLLVSCMKKSFESIYEASVVDKENQFYSQEHEKEI >DRNTG_21461.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26776643:26781493:-1 gene:DRNTG_21461 transcript:DRNTG_21461.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCDNLSWNLDAINLLFGFNWVSPITSHGKITIDGDNNWSWFPATKGNKISPTIYKFLNSSMSVDVEWKGWANIWKLHVAPKAKTFIWMLNHGKVKTYEFLYHMNLGPPDPCVLCGLTLESSYHLFYQCHISARIWRLVEDIANIKINLLDLLAGGQWLDFYINGNSVYVASLIAATLWFIWKGRCNCVFRQANIDIVKCANLAVAHVKDFSIDAINLKMQGYFMQNRPKLGHLGIFSAVAWNEATGMAGFGFMMINTNGKVCCAGLGPCSYLGKVENGLNALCLALRHCFEASERCSNIYFSSEEIWKTIMHYEEATCWHNMEYLETLWKLLGFLNHPKIELIPSHWNRITEGACWSWSSVDAAILISHGHGETEMAYEDD >DRNTG_21461.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26776643:26781493:-1 gene:DRNTG_21461 transcript:DRNTG_21461.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDMNSAFFHRSVRIRRHHNSISFLYDYNGRCFNDSYQIDQVLVDHFSNVWKNPNPKPLEWFLDALPPDLPTLSINQQISLSRPVTEDEIYLTLLSLSEGKSPGPDGMNVEFFKFFWTDIEKHLVPAIIYFFQNAVMPRAWGRTYITLIPKKDHPKYASDFCPISLCNVAYKIITKILANRIKDVIVCLVGKEQCGFVPGRSPIDNTIAVQEMIHSINQEKTIPPRMLIKVDIEKAYDTLDWNTILATLSIMNFPGIWVAYVRACLEASSFSIIVNGKPSSWFQSSRGVRQGNSLSPYLFILVAQNLTTILNKARLLGLIPSFSNNLKHNFNHLMYADDLILVIAASRKSARNICFCLKLYAHLSGQYPNQNKSEIYFPNWFNSRVSTRISSSLNFKPGKIPFTYLGVLISPKRLAISHFDSMINRMNTAVTDWGKTKLSKAGKSVIINSILMAIPTYYLSVYPIPDSVLSKLSKIARKFLWANNDQVSSMPLIKLGHCHFL >DRNTG_19118.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29685050:29687880:1 gene:DRNTG_19118 transcript:DRNTG_19118.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGLEDVFLSTSLASYLDKKLLVLLRDGRKLLGILRSFDQFANAVLEGACERVIVGDLYCDILLGLYVIRGENVVLIGELDLEKEELPARMISVSAAEIKRAQKAERDATDLKGSMRKRMEFLDLD >DRNTG_19118.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29685050:29687880:1 gene:DRNTG_19118 transcript:DRNTG_19118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGLEDVFLSTSLASYLDKKLLVLLRDGRKLLGILRSFDQFANAVLEGACERVIVGDLYCDILLGLYVIRGENVVLIGELDLEKEELPARMISVSAAEIKRAQKAERDATDLKGSMRKRMEFLDLD >DRNTG_28300.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001432.1:36043:36433:1 gene:DRNTG_28300 transcript:DRNTG_28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPAELQIHSRHSEQIKHTDPPRTHLGRVLTASGIVCQDRDRLLRSLHHHAHHRDGSTGRYQRDREDGLTCIPRLRLYVSDEDDHEILRWGVCDEHVPAKASRG >DRNTG_20289.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5243210:5248402:-1 gene:DRNTG_20289 transcript:DRNTG_20289.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRSSWRVSGALMTKSVFRVEHPPSCFPHRLKSITSSSDDPKDGNATGMPEFKKWPNGGGLFHSTACVDPSAFIEAGAIIHENSVLAMDVHIGSGAVVGPSVSVGQSTKIGYDFHSLLQ >DRNTG_20289.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5243210:5248402:-1 gene:DRNTG_20289 transcript:DRNTG_20289.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRSSWRVSGALMTKSVFRVEHPPSCFPHRLKSITSSSDDPKDGNATGMPEFKKWPNGGGLFHSTACVDPSAFIEAGAIIHENSVLAMDVHIGSGAVVGPSVSVGQSTKIGYDFHSLLQ >DRNTG_20289.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5243210:5248402:-1 gene:DRNTG_20289 transcript:DRNTG_20289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRSSWRVSGALMTKSVFRVEHPPSCFPHRLKSITSSSDDPKDGNATGMPEFKKWPNGGGLFHSTACVDPSAFIEAGAIIHENSVLAMDVHIGSGAVVGPSVSVGQSTKIGYNVVLSNCSIGASCIIHNGVCIGQDGFGFFIDGEGCVQKKPQLLHVRIGGYVEIGANSCIDRGSWRDTVVGDHTKIDNLVQIGHNVVVGKCCMLCGQVGIAGSATIGDYVTLGGRVAVRDHVSIASKVQLAANSSVTKDIKESGDYGGFPAVPIHEWRRQAVKMRTLLKDGVSKG >DRNTG_21817.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17586231:17590171:1 gene:DRNTG_21817 transcript:DRNTG_21817.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLSSWPWENFGVYKYVLYGPFIGQIMYSNAWQSMNQQDSWCLHLLILFALRALVHQLWSSFGNLLFLTRRRRIIKAGVDFSQIDKEWDWDNFLILQAFMGAMAYYLLQGIREFPKFNLLGCFIALLLHVMVTEPLFYFFHRLFHKGSLFTNYHSLHHSTLVPQAFTAGFGTPLEYIVQGMVMGVPLLGACMMGYGSLGLVYGYVLLFDFLRCMGHCNVEVFSHGMFETLPFLRYLIYTPTYHSLHHTEKDTNFCLFMPLFDLLGGTLNKNSWDLHKKIRNGKHDQAPDFVFLAHVVDVISCLHVLFVFRSFGSIPYKFKFFLLPLWPFAFLVMLAMWAWSKTFIISFYNVRGRLHQIWAVPRYGFQYFLPFAKNGINSLIEQAILRADKMGVKVISLAALNKNEALNGGGTLFVNKHPNLKVRVVHGNTLTAAVILHEIPKDVKQVFLTGATSKLGRAIALYLCTKNIRVLMLTPSTERFQKIQKEAPVEYRHNLVHVTKYQAAQDCKTWIVGKWLSLREQRWAPPGTHFHQFVVPPIIGFRSDCTYGKLAAMRLPKDVEGLGMCEYTMERGVVHACHAGGVVHFLEGWQHHEVGAIDVERIDVVWEAAMKHGLSPV >DRNTG_23432.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21247430:21251757:1 gene:DRNTG_23432 transcript:DRNTG_23432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASIAASAFFPAASSSSASSAKPSKTVGGAPESLDVRGIVAKPGSLSSGGLQVKANAQVAPKINGTKISLKTDTQKGEDEASYSAPRTFYNQLPDWSMLLAAITTIFLAAEKQWTLLDWKPKRPDMLTDAFSLGRMVNDGLVFKQNFSIRSYEVGADRTASIETLMNHLQETALNHVKISGLMGDGFGATQEMSRRNLIWVVTKMQVLVERYPTWGDVVEVDTWVAASGKNGMRRDWHVRDCQTGQTIMRASSVWVMMNKNTRRLSKMPEEVRAEIEPYFLERSAIIDEDSRKLPKLDDDTADYISKGLTPRWGDLDINQHVNNVKYIGWILESAPISILENHELASMTLEYRRECGRDSVLQSLTAVSDTGDTLMDSGIECKHLLRLECGAEIVRGRTEWRPKPVAEHVEAGFTPAESA >DRNTG_19180.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4586:4859:-1 gene:DRNTG_19180 transcript:DRNTG_19180.3 gene_biotype:protein_coding transcript_biotype:protein_coding HFSSLLSSPYQKFTSQRSSQSFKGLPSPYLERTGSH >DRNTG_19180.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:9307:12276:-1 gene:DRNTG_19180 transcript:DRNTG_19180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFAGKLVERLANIIEEKAIMVLGVKDELQRLRRRMERIARVLKDAEKRSIQDETVKGWVDELKDLMYDADDIIDLCMIQGTGLLQDVDHPSQMAESSATASTRVCCHFPLLSCVRSIPSRYEIADKIKSLNDKLEEISEDKNKFNFITSSNSSDAYAMNEASYRQSSSLPEPDIVGWDIKDATNSLVELLVSQPEQKCRLFAIVGMGGIGKTTLAQQIYNDPKINDAFVVGIGKTRLAQQIYNDPKINDAFVLRSWIWVSKSFTSRIDFLKEIIRNIGGKYGECTTISELQNILCDFLREKNLFLVLDDVWDENIWVDLIKNAIERTTKKCRVLVTTRDRNTAVKMGATHIHHVNKLPSDVGWELLCKKVFTNNSTQDMQRLKDIGMKIVEKCDGLPVAIKAIAGVLVTKDQNKREWENVLNSDAWTITGLPEELQGALYLSYEALPSALKHCFLYCALYPRGHEFYLEDLVCHWIAEGYIEAKGNSSMEDVAKSYYMELICRSFLQPDPVYVDMSSCTIHDLLRALAEFFAGDESFSGDPLEVQSTDSMKKLRRLTITSNRESVSIPHLDCLRSLVMDSSKFEHTSDWQLKAFAFITSQWRQD >DRNTG_19180.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4586:12276:-1 gene:DRNTG_19180 transcript:DRNTG_19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFAGKLVERLANIIEEKAIMVLGVKDELQRLRRRMERIARVLKDAEKRSIQDETVKGWVDELKDLMYDADDIIDLCMIQGTGLLQDVDHPSQMAESSATASTRRSSQSFKGLPSPYLERTGSH >DRNTG_33417.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1604082:1613842:-1 gene:DRNTG_33417 transcript:DRNTG_33417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLRMFSVVQGLSHLRSQVLPMETSKIQGSAKQHQQTNERENSVSDIEDVKVCDICGDAGREECLAICGRCSDGAEHIYCMRIMMVKVPESEWLCEECKLKEDAENKKVDKTEELPAVSKFQSMKDRENSERTSHLKLLPKLEMKAADQEVKGVLKGIHSPKFSSKNHDDNLEVFSMNNKRLSESNDGTIGTASPRKRIALSRESSFKNLDAEKANPAKTGSSSVNQTVNSPKALARAQTSGSNPSKYQTLLQTSRGSLSRSFSFNNSGTKGKVKQFIEKIPANLKNPKEISPIDVRKDRVVRTMGKSASFRSVGSGRPGNEKAIKIQPFNSPRAEDSRASKQLKERNSLEKKSSFKLDRTFASPASILNTGVTSKSDLKPSPYHGKSK >DRNTG_33417.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1604082:1611451:-1 gene:DRNTG_33417 transcript:DRNTG_33417.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLRMFSVVQGLSHLRSQVLPMETSKIQGSAKQHQQTNERENSVSDIEDVKVCDICGDAGREECLAICGRCSDGAEHIYCMRIMMVKVPESEWLCEECKLKEDAENKKVDKTEELPAVSKFQSMKDRENSERTSHLKLLPKLEMKAADQEVKGVLKGIHSPKFSSKNHDDNLEVFSMNNKRLSESNDGTIGTASPRKRIALSRESSFKNLDAEKANPAKTGSSSVNQTVNSPKALARAQTSGSNPSKYQTLLQTSRGSLSRSFSFNNSGTKGKVKQFIEKIPANLKNPKEISPIDVRKDRVVRTMGKSASFRSVGSGRPGNEKAIKIQPFNSPRAEDSRASKQLKERNSLEKKSSFKLDRTFASPASILNTGVTSKSDLKPSPYHGKSK >DRNTG_33417.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1604082:1610352:-1 gene:DRNTG_33417 transcript:DRNTG_33417.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLRMFSVVQGLSHLRSQVLPMETSKIQGSAKQHQQTNERENSVSDIEDVKVCDICGDAGREECLAICGRCSDGAEHIYCMRIMMVKVPESEWLCEECKLKEDAENKKVDKTEELPAVSKFQSMKDRENSERTSHLKLLPKLEMKAADQEVKGVLKGIHSPKFSSKNHDDNLEVFSMNNKRLSESNDGTIGTASPRKRIALSRESSFKNLDAEKANPAKTGSSSVNQTVNSPKALARAQTSGSNPSKYQTLLQTSRGSLSRSFSFNNSGTKGKVKQFIEKIPANLKNPKEISPIDVRKDRVVRTMGKSASFRSVGSGRPGNEKAIKIQPFNSPRAEDSRASKQLKERNSLEKKSSFKLDRTFASPASILNTGVTSKSDLKPSPYHGKSK >DRNTG_33417.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1604082:1611451:-1 gene:DRNTG_33417 transcript:DRNTG_33417.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLRMFSVVQGLSHLRSQVLPMETSKIQGSAKQHQQTNERENSVSDIEDVKVCDICGDAGREECLAICGRCSDGAEHIYCMRIMMVKVPESEWLCEECKLKEDAENKKVDKTEELPAVSKFQSMKDRENSERTSHLKLLPKLEMKAADQEVKGVLKGIHSPKFSSKNHDDNLEVFSMNNKRLSESNDGTIGTASPRKRIALSRESSFKNLDAEKANPAKTGSSSVNQTVNSPKALARAQTSGSNPSKYQTLLQTSRGSLSRSFSFNNSGTKGKVKQFIEKIPANLKNPKEISPIDVRKDRVVRTMGKSASFRSVGSGRPGNEKAIKIQPFNSPRAEDSRASKQLKERNSLEKKSSFKLDRTFASPASILNTGVTSKSDLKPSPYHGKSK >DRNTG_33417.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1604082:1613089:-1 gene:DRNTG_33417 transcript:DRNTG_33417.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLRMFSVVQGLSHLRSQVLPMETSKIQGSAKQHQQTNERENSVSDIEDVKVCDICGDAGREECLAICGRCSDGAEHIYCMRIMMVKVPESEWLCEECKLKEDAENKKVDKTEELPAVSKFQSMKDRENSERTSHLKLLPKLEMKAADQEVKGVLKGIHSPKFSSKNHDDNLEVFSMNNKRLSESNDGTIGTASPRKRIALSRESSFKNLDAEKANPAKTGSSSVNQTVNSPKALARAQTSGSNPSKYQTLLQTSRGSLSRSFSFNNSGTKGKVKQFIEKIPANLKNPKEISPIDVRKDRVVRTMGKSASFRSVGSGRPGNEKAIKIQPFNSPRAEDSRASKQLKERNSLEKKSSFKLDRTFASPASILNTGVTSKSDLKPSPYHGKSK >DRNTG_33417.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1604082:1613089:-1 gene:DRNTG_33417 transcript:DRNTG_33417.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLRMFSVVQGLSHLRSQVLPMETSKIQGSAKQHQQTNERENSVSDIEDVKVCDICGDAGREECLAICGRCSDGAEHIYCMRIMMVKVPESEWLCEECKLKEDAENKKVDKTEELPAVSKFQSMKDRENSERTSHLKLLPKLEMKAADQEVKGVLKGIHSPKFSSKNHDDNLEVFSMNNKRLSESNDGTIGTASPRKRIALSRESSFKNLDAEKANPAKTGSSSVNQTVNSPKALARAQTSGSNPSKYQTLLQTSRGSLSRSFSFNNSGTKGKVKQFIEKIPANLKNPKEISPIDVRKDRVVRTMGKSASFRSVGSGRPGNEKAIKIQPFNSPRAEDSRASKQLKERNSLEKKSSFKLDRTFASPASILNTGVTSKSDLKPSPYHGKSK >DRNTG_22461.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7382576:7395606:-1 gene:DRNTG_22461 transcript:DRNTG_22461.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRKEANVDHNAPILGEILLKFKREDIGDDIMKETKLSGERQNLYLEYMAGGIVARRRRMDESEIKAYTHCMVPGLHYLHTMAEVAHGNVKGENMLVGTVP >DRNTG_21662.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:11167992:11169996:1 gene:DRNTG_21662 transcript:DRNTG_21662.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPDVVLRKRGWKTMLLRREPDEETSGFTDGRVTKKGVSMKCNVCGAKRHNKRHHKGGNVNDGHTGEHEHIMNQLK >DRNTG_20631.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20541952:20545458:-1 gene:DRNTG_20631 transcript:DRNTG_20631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANYKASPPFFFLSTQQQVAMLLLSLCLALMLPFITGTNHTTRGCIEAERDALLSFKAEITYHKVDDPISSWGNQTDDCCQWAGVRCDNDSGHVLSLNLQRMPPPEYDDDFIHFCSERCGFEWGLSGNISESLIGLRHLKYFDLSFNCFQSISIPKLLGSLENLVHLDLSYACFTGVIPDELGNLTKLRNLNLSSDEGLKVNGAEWLSGLSSLRYLSIDGANFSGVNNVMQSLNKLHYLKLVSLFDCRMNRIPESFPNLNFSYLTFMDIGWNNFHNTCIPEWLFKIPNLRHLSMTDSGLIGNIPSSIGNATSLQFLDLSSNEGISGDMPRGFGDLCNLQSLHLDGTFMGKRLEDFRDAFSGCIRQSLNVLSFQFSSLKGPLPDWLGELRNLTFLDISSNPFNSSIPASIGKLSQLQKLYLYSSALNGFIPESLGRLSSLQHLDLHDNTLNGSIPQSLGRLSSLQYLDLSDNNFNYSVITEAHLANLTSLGYLSLNHFVLNISTNWIPVFQAYEIHLSYCHIGPKFPVWLANQVNLAVLDISNTRIKDLMPDWFWNITETMAILDVSNNEIKGRLPQRLKSQDEGYDLSILLGSNSFEGSVPYFSPDVYALDLSNNQISGNIPSDLGIFDGRTPQLNYLFLSSNNLSGIIPNSICDLVGLVLLELSNNHLEGAIPNCWNNLTSLRYLILANNSLAGEVPNSLISSSQSLEVLHLSNNQLRGKFPSFLKKCTFMTTLALDHNSLSGEIPSWVGKTMTSLMILTLKENNFSGNLPLLSNLTSLHFLDISHNSFVGFIPKSYGSLMGMINVSMNGGASFPSNTQEGLIIKILVYIKGIELQFGVTLSSLKFLDLSANNLLGQIPKEIVNLVGLQNLDLSCNNLSGEIPIDIGRMQSLESLDLSRNELIGAIPPSLSTLHFLGSLNLSYNNLSGKIPYASQLTTFNDPSIYAGNLNLCGAPLSKNCTSEESPSNSHVDDQEDDDDNDNPTIWFGIGLMSGFVVGFLIVLITLLFKNEWRYAYFRFMDHMYDMMYVKIVITINKIKRALAVMS >DRNTG_20363.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2698585:2701244:-1 gene:DRNTG_20363 transcript:DRNTG_20363.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQISDELWKVIRSNYGMKNDIRETVESVYNRLINPKKKEEQSSPPRQKPSKRKKEKNHSSPSQPLTGGKEDNSPSTAVSTHKTNISDGSEPTEPPGFVPSNQHVNGNKEEQKDRPHCVPEMLEPSIDELPPGFAPQHVETKPVIGVGEEDPDVPPGFG >DRNTG_20363.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2698585:2701244:-1 gene:DRNTG_20363 transcript:DRNTG_20363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGRRPTAAEVIGKLKDDGDFDTLRLKIIRKVKENEELRNNIIAEVKQSVVLNEDGAENLKPRQLSDGIYQEIGNKIMGQISDELWKVIRSNYGMKNDIRETVESVYNRLINPKKKEEQSSPPRQKPSKRKKEKNHSSPSQPLTGGKEDNSPSTAVSTHKTNISDGSEPTEPPGFVPSNQHVNGNKEEQKDRPHCVPEMLEPSIDELPPGFAPQHVETKPVIGVGEEDPDVPPGFG >DRNTG_12691.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8763176:8789661:1 gene:DRNTG_12691 transcript:DRNTG_12691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMWREIHGRKKKGMPNTKRLASKRPRTTEPSSTPDEPVFKLSNHQERYDRLKTKPFGTLCYLDWELVDNLGFASQVWDWLSHDCWDKLFAINEPTFRQLTLEVLSTFEAQQDGESVWNKKIVTIRFQAFGRKRTMHHLDFAKYLGIYDDDFIKSMPGKRLKLDFPSEVDRSNYWATLAGEDTTRKASRMIDPAHRFIHALIARSLWGRTDSKGVVTQSDIFTMYGIFERRPTHLGYLVADAFLHQGSYTRLEAIFIGPYVTRLIRGMGLFEQTRGMTIVGGTTPLGKAHIRAIGLVVAERMRGRPPQHQATGESSQRPTEHTESEPEGAPVPTPGTTSLPDFDMRLRGIEGEIQGVRQEQREIRDQLHQVLEGQRRLEADFHRFITSYYGSASHTPSATMPPPPAPDCDE >DRNTG_26547.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5626814:5627789:1 gene:DRNTG_26547 transcript:DRNTG_26547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIYLAWGDTHGHRRRTSGLWRTFHKQPLECKLDARERRPTQGISRVALSRLTSCFTS >DRNTG_00593.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29857434:29861545:-1 gene:DRNTG_00593 transcript:DRNTG_00593.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANDIEMVRRVHRSSSVSIPMNALYQEENALVSHTGPLLRVTSRITPSGDTSCIEQENGMIHQITMDDNGNRRNEHLLRSGPLGLCNDPNCVVCPAVYKTKRATNCQVDNKNNNVNGETEQWAWKSFSFLCPYLPIMNPHTGVVQKWNRCFVIFCLLAVFVDPLFFFLFRTQQDNMCIVLNWSFAIVIAIVRSVTDFVYLLHMLLQFRLAYVNPESRVVGAGDFVAEPKKIALHYLRGYFLLDLYNVFPLPQVIILFVIPRYLGSSAANYAKNLIRAVVLLQYIPRIYRFFPLLAGESTSGFIFESSWVNFIINLLLFVLAGHVVGSCWYLFGLQRVNQCLHEACSGSNVKYCNMFIDCGRGNNINLFNSKGESWQDWRNNGNASACFTTTGSFQYGVYQQAVLLTAERSIIRRYLYSLFWGFLQISTMAGNLAPSYFEGEVLFVMAIVGLGLLLFALLIGNMQNFLQGLERRKLEMQLRRRDVEQWMRHRRLPEELRRQVRQAERFSWAATRGVDERELMENLPDDLQREIRRHFFKFLKNVRIFSQMDEPLLDAIYEKLIPKIFIGGSHIVYKGGPLEKMLFIVRGKLESIGEDGIPAPLSEGDVCGEELLSWCLEHFSISKHGGEGKIKLPITQLLSSRTVRCLTNVEAFALRAADLEDVTALFARVLRNPKVKGEMRSKSPYWRTIAAIRIQVAWRYRQKQRQLKRASSSGSGHGSVHPSL >DRNTG_00593.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29857434:29861545:-1 gene:DRNTG_00593 transcript:DRNTG_00593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDIEMVRRVHRSSSVSIPMNALYQEENALVSHTGPLLRVTSRITPSGDTSCIEQENGMIHQITMDDNGNRRNEHLLRSGPLGLCNDPNCVVCPAVYKTKRATNCQVDNKKNNNVNGETEQWAWKSFSFLCPYLPIMNPHTGVVQKWNRCFVIFCLLAVFVDPLFFFLFRTQQDNMCIVLNWSFAIVIAIVRSVTDFVYLLHMLLQFRLAYVNPESRVVGAGDFVAEPKKIALHYLRGYFLLDLYNVFPLPQVIILFVIPRYLGSSAANYAKNLIRAVVLLQYIPRIYRFFPLLAGESTSGFIFESSWVNFIINLLLFVLAGHVVGSCWYLFGLQRVNQCLHEACSGSNVKYCNMFIDCGRGNNINLFNSKGESWQDWRNNGNASACFTTTGSFQYGVYQQAVLLTAERSIIRRYLYSLFWGFLQISTMAGNLAPSYFEGEVLFVMAIVGLGLLLFALLIGNMQNFLQGLERRKLEMQLRRRDVEQWMRHRRLPEELRRQVRQAERFSWAATRGVDERELMENLPDDLQREIRRHFFKFLKNVRIFSQMDEPLLDAIYEKLIPKIFIGGSHIVYKGGPLEKMLFIVRGKLESIGEDGIPAPLSEGDVCGEELLSWCLEHFSISKHGGEGKIKLPITQLLSSRTVRCLTNVEAFALRAADLEDVTALFARVLRNPKVKGEMRSKSPYWRTIAAIRIQVAWRYRQKQRQLKRASSSGSGHGSVHPSL >DRNTG_21939.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2154572:2157693:-1 gene:DRNTG_21939 transcript:DRNTG_21939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLDAFFNKGAFKGAKCKTLLKLTIPRIKLLRNRREIQLKQMRRDIAKLLENGQEATARIRVEHIIREENMMAAHEIIELFCELIVVRLPIIETQRECPLDLKEAISSVCFAAPRCADLPELQQVQMLFAAKYGKEFVQAASELMPDCGVNRQVIELLSVRAPSVEAKLKLLKEIAEEHELDWDPADSESEFLKPHEDLLNGPSNFVSGSTLPLPSEKHNESLSSTTTAEEQELDDDTLDLPEVPKISVQTIPDTTSAPEYVPPPASSLTHDLSHEFTKRSTSNEDLLHMAEQPTAPIYNPSDSVPSKENRQFIPFVTSPVLSTGSFSEKASVPPSLSSKSVPTNQDQQRPSFSRTQTEINTDLNDVLAAAQTAAETAERAAAAARAAASLAQVRITELATRRNTRISESIENRNEEIGSDQQETLQRSDLHRQKSSGHINHAISDGLEWRSDSREQSPELHASHQYQRSGSLEDDPYFSYPNLFSSSQDHTLKSNTQQSPDNSRSTL >DRNTG_29547.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11372243:11373751:-1 gene:DRNTG_29547 transcript:DRNTG_29547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWWQCWCADMGSLHFFPEINVELHPSATIFPSITSQHVPPTLWVLPIIGNLLQLTSLPHHHALSQTYDPLMLLRIGPSSPDTALEVLKTHDVAFANSPFYKPFFKLTYGGHNISFSPYDDYWHQTKKLAVVHLFNHKCIHSFHTLWQHQASLMI >DRNTG_23244.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21481739:21482981:-1 gene:DRNTG_23244 transcript:DRNTG_23244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLLECVEKIFPDFDAQNVVLNDELLKYKNKEGSFGRPAAKMGCTKTIDTFDPVGWWGTYGNGVPNLQRMARRILSLTSSPSGCERNWSTFEGIQTKKRNRLDATRLNNLVYVQFNSKIKEKREKVMKMGRDALLANDASKAQGWIVEGGDEDVEEEGMVGEMDSSRGSNMNFQVRELHEEDFVSDEEEDEEEVEFEPDMEGVLEGFQEEIDA >DRNTG_22188.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1519131:1520062:1 gene:DRNTG_22188 transcript:DRNTG_22188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRPRGRPAGSKNKPKPPIIITRDSPNALRSHVMEIAGGCDIGESVANFARRRQRGVCILSGSGTVTNVTLRQPASPGAVVTLHGRFEILSLSGSFLPPPAPPAATGLTIYLAGGQGQVVGGSVVGALIASGPVVIMAASFGNAAYERLPLDEEEALPTSGPLGSPGMVGQSPPSQSQQQQLLPDQSAAAPLFGLPPNLLNNVQLPTDAYGWATGAGKSSTLL >DRNTG_04292.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30049392:30051503:1 gene:DRNTG_04292 transcript:DRNTG_04292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSDHRGGSSSSSSSSSSFVFSIPSCFFFFIILVLLSLSSSSSSANALLMTSHSQQCLDLLNLKKGFIKLNESDNNYYYHATTYLPHWLPSTDCCGWEGVSCDEASGLVVSLDLSERYMGGNIMPSLFNLTSLQTLNLSSNWFNQLSDVLLSDLEKLANLTHLNLSNSGLLVGQIPIGISRLTKLISLDLSSDYIYSLKLEKPDLGTLIRHLSNLKELYLDGVSISSSKTEWSQAISHSVPGLEALSLIGCSLSGPIDSSFSKLRNLSILHLDSNNLLSQVPKFFANFSSLSVLSLSNCGLEGLIPRSLFELLNLKILDLSHNLELTEVSFKSLLNSLRISKSLTSLNFAYCNLAGPMPLSIWNLSELIVLDLSNNYLSGDLPPMPASSKISILHLSYNNFNGSIPSTLSSARHLVSLDLAGNSLTGSIPMSLFTLPILEELILDINKFSGQLQEFTNASPTLQHVNLWHNNLNGKLPKSLLDLSALRFLELGSNNFDGSMVGLELFGYLHNLTDLDLSGVNLSISDLSLDSSLLFPSLIQLELGSCNLTAIPSFLKRKKNMLVLDLSNNKINGTIPNWIWSIGSGFIDSMDLSFNLFTDIEKPFLKHSNYLRHLDLSSNRISGTIPSWIWSSSLNYLNLSCNLFTTVEGSFSNSSTNSVFIDLHSNFLQGPIPLPPPNSFLVDY >DRNTG_19101.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:402733:405431:1 gene:DRNTG_19101 transcript:DRNTG_19101.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL16 [Source:Projected from Arabidopsis thaliana (AT5G56460) UniProtKB/Swiss-Prot;Acc:Q9FM85] MGNCAFRGSPSIYKVFSNAKSESPKEQSPSVKEEKQDQKLPSNPEEVEDLRRDSAANPLVAFTFNELKTITSNFRQDYVLGGGGFGSVYKGFITEDLREGIQPLQVAVKVHDGDNSYQGHREWLAEVIFLGQLSHPNLVKLVGYCCEDEHRVLIYEYMPRGSVESNLFSRVLLPLPWSIRMKIALGAAKGLAFLHDATKPVIYRDFKTSNILLDEEYNAKLSDFGLAKDGPVGDESHVSTRIMGTYGYAAPEYIMTGHLTARSDVYSFGVVLLELLTGRKSLDKSRPVREQTLTDWALPMLTQKKKLHGIVDPRLNGDYPIKGVHKAAMLAYHCLNRNPKARPLMRDIVDSLEPLQLSLETLEVDQNQQL >DRNTG_19101.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:402860:405492:1 gene:DRNTG_19101 transcript:DRNTG_19101.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL16 [Source:Projected from Arabidopsis thaliana (AT5G56460) UniProtKB/Swiss-Prot;Acc:Q9FM85] MGNCAFRGSPSIYKVFSNAKSESPKEQSPSVKEEKQDQKLPSNPEEVEDLRRDSAANPLVAFTFNELKTITSNFRQDYVLGGGGFGSVYKGFITEDLREGIQPLQVAVKVHDGDNSYQGHREWLAEVIFLGQLSHPNLVKLVGYCCEDEHRVLIYEYMPRGSVESNLFSRVLLPLPWSIRMKIALGAAKGLAFLHDATKPVIYRDFKTSNILLDEEYNAKLSDFGLAKDGPVGDESHVSTRIMGTYGYAAPEYIMTGHLTARSDVYSFGVVLLELLTGRKSLDKSRPVREQTLTDWALPMLTQKKKLHGIVDPRLNGDYPIKGVHKAAMLAYHCLNRNPKARPLMRDIVDSLEPLQLSLETLEVDQNQQL >DRNTG_19101.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:402860:405431:1 gene:DRNTG_19101 transcript:DRNTG_19101.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL16 [Source:Projected from Arabidopsis thaliana (AT5G56460) UniProtKB/Swiss-Prot;Acc:Q9FM85] MGNCAFRGSPSIYKVFSNAKSESPKEQSPSVKEEKQDQKLPSNPEEVEDLRRDSAANPLVAFTFNELKTITSNFRQDYVLGGGGFGSVYKGFITEDLREGIQPLQVAVKVHDGDNSYQGHREWLAEVIFLGQLSHPNLVKLVGYCCEDEHRVLIYEYMPRGSVESNLFSRVLLPLPWSIRMKIALGAAKGLAFLHDATKPVIYRDFKTSNILLDEEYNAKLSDFGLAKDGPVGDESHVSTRIMGTYGYAAPEYIMTGEFLYR >DRNTG_19101.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:402860:405431:1 gene:DRNTG_19101 transcript:DRNTG_19101.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL16 [Source:Projected from Arabidopsis thaliana (AT5G56460) UniProtKB/Swiss-Prot;Acc:Q9FM85] MPRGSVESNLFSRVLLPLPWSIRMKIALGAAKGLAFLHDATKPVIYRDFKTSNILLDEEYNAKLSDFGLAKDGPVGDESHVSTRIMGTYGYAAPEYIMTGHLTARSDVYSFGVVLLELLTGRKSLDKSRPVREQTLTDWALPMLTQKKKLHGIVDPRLNGDYPIKGVHKAAMLAYHCLNRNPKARPLMRDIVDSLEPLQLSLETLEVDQNQQL >DRNTG_19101.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:402860:405431:1 gene:DRNTG_19101 transcript:DRNTG_19101.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL16 [Source:Projected from Arabidopsis thaliana (AT5G56460) UniProtKB/Swiss-Prot;Acc:Q9FM85] MPRGSVESNLFSRVLLPLPWSIRMKIALGAAKGLAFLHDATKPVIYRDFKTSNILLDEEYNAKLSDFGLAKDGPVGDESHVSTRIMGTYGYAAPEYIMTGEFLYR >DRNTG_19101.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:402860:405431:1 gene:DRNTG_19101 transcript:DRNTG_19101.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL16 [Source:Projected from Arabidopsis thaliana (AT5G56460) UniProtKB/Swiss-Prot;Acc:Q9FM85] MGHLTARSDVYSFGVVLLELLTGRKSLDKSRPVREQTLTDWALPMLTQKKKLHGIVDPRLNGDYPIKGVHKAAMLAYHCLNRNPKARPLMRDIVDSLEPLQLSLETLEVDQNQQL >DRNTG_19101.9 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:402860:405431:1 gene:DRNTG_19101 transcript:DRNTG_19101.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL16 [Source:Projected from Arabidopsis thaliana (AT5G56460) UniProtKB/Swiss-Prot;Acc:Q9FM85] MGNCAFRGSPSIYKVFSNAKSESPKEQSPSVKEEKQDQKLPSNPEEVEDLRRDSAANPLVAFTFNELKTITSNFRQDYVLGGGGFGSVYKGFITEDLREGIQPLQVAVKVHDGDNSYQGHREWLVATF >DRNTG_19101.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:402860:405431:1 gene:DRNTG_19101 transcript:DRNTG_19101.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL16 [Source:Projected from Arabidopsis thaliana (AT5G56460) UniProtKB/Swiss-Prot;Acc:Q9FM85] MPRGSVESNLFSRVLLPLPWSIRMKIALGAAKGLAFLHDATKPVIYRDFKTSNILLDEEYNAKLSDFGLAKDGPVGDESHVSTRIMGTYGYAAPEYIMTGEFLYR >DRNTG_19101.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:402860:405431:1 gene:DRNTG_19101 transcript:DRNTG_19101.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL16 [Source:Projected from Arabidopsis thaliana (AT5G56460) UniProtKB/Swiss-Prot;Acc:Q9FM85] MGNCAFRGSPSIYKVFSNAKSESPKEQSPSVKEEKQDQKLPSNPEEVEDLRRDSAANPLVAFTFNELKTITSNFRQDYVLGGGGFGSVYKGFITEDLREGIQPLQVAVKVHDGDNSYQGHREWLAEVIFLGQLSHPNLVKLVGYCCEDEHRVLIYEYMPRGSVESNLFSRVLLPLPWSIRMKIALGAAKGLAFLHDATKPVIYRDFKTSNILLDEEYNAKLSDFGLAKDGPVGDESHVSTRIMGTYGYAAPEYIMTGEFLYR >DRNTG_05772.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000268.1:4918:5902:1 gene:DRNTG_05772 transcript:DRNTG_05772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKTLATVLSQVWEKMENRMLKSGLKSAFKRLESRFHMPVGYTVLLLRLLLHYPTTVPARNTPEFMLSSRSVSGRGDSTRVLSRQELLYLHSMVRSEPLHLGQILAEYLCHYGQYARVGVLFLGPC >DRNTG_20761.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001149.1:20951:21620:-1 gene:DRNTG_20761 transcript:DRNTG_20761.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKRRTARSLVDRLQSVGHEEVLPEIRLMSKHDAEMRPLLADAGVTPHLVHLLSSTVSVSISPDSIENATATLLNLSISCPEALMS >DRNTG_20761.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001149.1:20951:21552:-1 gene:DRNTG_20761 transcript:DRNTG_20761.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKRRTARSLVDRLQSVGHEEVLPEIRLMSKHDAEMRPLLADAGVTPHLVHLLSSTVSVSISPDSIENATATLLNLSISCPEALMS >DRNTG_20761.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001149.1:20951:21489:-1 gene:DRNTG_20761 transcript:DRNTG_20761.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKRRTARSLVDRLQSVGHEEVLPEIRLMSKHDAEMRPLLADAGVTPHLVHLLSSTVSVSISPDSIENATATLLNLSISCPEALMS >DRNTG_20761.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001149.1:20001:20887:-1 gene:DRNTG_20761 transcript:DRNTG_20761.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAMMVEIGVVAVLFSLVVKDGRTGIVEDATAVIAQVAGCVESLEAFRKVAGVRVLVDLVDLGTGASERSRENAASALLNLVMSGGESAVGDIAEVEIAEEVVRELAEKGSLRAKSKAGPLLKAMNSGRRDQWSSCPRMFEDLDSPAGAGDLKQPPSSAVSF >DRNTG_20761.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001149.1:19901:20887:-1 gene:DRNTG_20761 transcript:DRNTG_20761.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAMMVEIGVVAVLFSLVVKDGRTGIVEDATAVIAQVAGCVESLEAFRKVAGVRVLVDLVDLGTGASERSRENAASALLNLVMSGGESAVGDIAEVEIAEEVVRELAEKGSLRAKSKAGPLLKAMNSGRRDQWSSCPRMFEDLDSPAGAGDLKQPPSSAVSF >DRNTG_20761.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001149.1:19901:21552:-1 gene:DRNTG_20761 transcript:DRNTG_20761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKRRTARSLVDRLQSVGHEEVLPEIRLMSKHDAEMRPLLADAGVTPHLVHLLSSTVSVSISPDSIENATATLLNLSISCPEALMSTPWSPRRSLNRPSPPTPPTASPARRCHLIQPPLR >DRNTG_20761.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001149.1:20951:21399:-1 gene:DRNTG_20761 transcript:DRNTG_20761.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKRRTARSLVDRLQSVGHEEVLPEIRLMSKHDAEMRPLLADAGVTPHLVHLLSSTVSVSISPDSIENATATLLNLSISCPEALMS >DRNTG_08721.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4965799:4967682:1 gene:DRNTG_08721 transcript:DRNTG_08721.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g44230 [Source:Projected from Arabidopsis thaliana (AT5G44230) UniProtKB/Swiss-Prot;Acc:Q9FFG8] MSAAFRHCRHRELEALLFSAISSASSISQLNQAHTLLYRLGLHQSSYLVAKLLRRLVDLRSLSNSYALAVFSQVPAPNSFLWTALIRTSAAAAAFGPPCPHPLVLYSLMRRALPPPPPLSFTFSALLKFCSTSRSIAMGSQLHAQTIFIGGFNEDLFVQNTLIDLYVQCGQLDLARKVFDEMLVRDIISWTSLIVAYTRHGDMGSAEELFGESPVKDMVAWTAMVTGYSQNARPSEALAAFEQMRMAGVAIDEVSLVGAISACAQLGVVKRAEGVRQIVQDYGFEKNLVVGSALVDMYAKCGLIDEARRIFNAMDNRNVYTYSTMIAGLASHGKALDAIKVFDEMTMRTEVKPNRVTLIGVLTACSHAGMVEAGRRYFTSISEVYGITPDADHYACMVDLLGRAGNAEEALELARTMPVEPNGGVWGALLGACRIHGKPHIAKIAAEKLFELEPDAIGNYVLLSNVYASAGMWNEVSNVRKLMRGRGLRKNPASSWMEARDGVVHEFFAGDGSHPMSREIKAALEELINRLKCRGYTPILSSIVYDVSESEKRRLLNGHSEKLALAFGLLTVDTGGTIRIVKNIRICEDCHLVMCLASSSENREIVVRDNMRFHHFKDGVCSCGGFW >DRNTG_29662.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001493.1:2032:4188:-1 gene:DRNTG_29662 transcript:DRNTG_29662.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRVGSTFSSKLSIINCSFSSSWSSNPSYGPDLTFSAHVASTPLSCPILAIVSRLEEGYCESFHRHRSGRGVSSADKAIPCDE >DRNTG_30655.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001612.1:40428:40784:-1 gene:DRNTG_30655 transcript:DRNTG_30655.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRWINGQSPEVGRTTGGRSSEVSRPAGPPVASLWRSAGPPVDSRCVTGDQLDRGPVTGDWPDHLWPIAGGRPDRRPVTGGWPDRR >DRNTG_13115.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17359275:17361269:-1 gene:DRNTG_13115 transcript:DRNTG_13115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNTIRRALGAVKDQTSISLAKVGNSASLSDLDVAIVKATRHDDYPAEERYIREILSLTSYSRAFISSCVSTLSRRLSKTRSWTVALKTLILIHRVLVEGDPAYEQEIFFSTRRGTRMFNLSDFRDQSRADGWDFSTFVRAYARYLDERLEYRMHGRRQQRRSRAASLSEDEDAVAVMTTVATRATPVREMKTDRIFVKMQHLLQLLERFLACRPTGAARNNRIVAMALQPLIRESHQTYYEIHEIMNIFIDRFMEMEIADCVCVHAIFTRLSKQLQDLSSFYNLVQILIGFTYL >DRNTG_12005.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7789070:7789990:-1 gene:DRNTG_12005 transcript:DRNTG_12005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLMDTILFPNTSCSIPNWIVDYVDDLPRMGRYAWVQATHKWLMEDIPQAATRVQARCTGKKTNTGYIKGYSVALNIWFYGLTKSGKKVHFGKTPRMLCYGENTYWKQATIETILSSLKGKVFPELVPANADEDIYVRANRRMDTISPEPLALRQDERPTSSVCARRHYPTSIPTRARIPRHRRSPPLPGPIATPPDHDNDSPPDRHIPPTVAALPTTLGDDVTVTLL >DRNTG_31193.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26943650:26949440:1 gene:DRNTG_31193 transcript:DRNTG_31193.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSNTELHEQQHLTTFLFFFTIIIIPHCSHAQPHPPINTIVVLVLENRSFDHMLGWMKESLNPSIDGLTGAESNPRSTKDPNSPVIFVSDDARYVDPDPGHSFQAVQQQMFGDGGGDTIPTMLGFVEQALSMSSDMSEAVMKGFRPSNVPVYATLVKEFAVFDKWHSSLPGPTQPNRLFVYSGTSHGATNHDILKILRGYPQKTIFDSLHEDGFDFAIYFQSFPSTLLYKNLRRLKYIVSKFHLFESFKDHARKGKLRNLNVIEPRYFDVLGAPANDDHPSHDVAEGQRLVKEVYEALRSSPHWNQSLLIITYDEHGGFYDHVPPPMTGVPNPDGIIGAEPFFFNFDRLGVRVPTIMVSPLMLMHFAVMTRPTGPYESSEFEHSSIPATIKKMFNLTSDFLSHRDAWAGTFERVVQGLSSPRTDCPGIIIITN >DRNTG_31193.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26943650:26949440:1 gene:DRNTG_31193 transcript:DRNTG_31193.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSNTELHEQQHLTTFLFFFTIIIIPHCSHAQPHPPINTIVVLVLENRSFDHMLGWMKESLNPSIDGLTGAESNPRSTKDPNSPVIFVSDDARYVDPDPGHSFQAVQQQMFGDGGGDTIPTMLGFVEQALSMSSDMSEAVMKGFRPSNVPVYATLVKEFAVFDKWHSSLPGPTQPNRLFVYSGTSHGATNHDILKILRGYPQKTIFDSLHEDGFDFAIYFQSFPSTLLYKNLRRLKYIVSKFHLFESFKDHARKGKLRNLNVIEPRYFDVLGAPANDDHPSHDVAEGQRLVKEVYEALRSSPHWNQSLLIITYDEHGGFYDHVPPPMTGVPNPDGIIGAEPFFFNFDRLGVRVPTIMVSPWIKRGTGK >DRNTG_31193.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26943650:26949440:1 gene:DRNTG_31193 transcript:DRNTG_31193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSNTELHEQQHLTTFLFFFTIIIIPHCSHAQPHPPINTIVVLVLENRSFDHMLGWMKESLNPSIDGLTGAESNPRSTKDPNSPVIFVSDDARYVDPDPGHSFQAVQQQMFGDGGGDTIPTMLGFVEQALSMSSDMSEAVMKGFRPSNVPVYATLVKEFAVFDKWHSSLPGPTQPNRLFVYSGTSHGATNHDILKILRGYPQKTIFDSLHEDGFDFAIYFQSFPSTLLYKNLRRLKYIVSKFHLFESFKDHARKGKLRNLNVIEPRYFDVLGAPANDDHPSHDVAEGQRLVKEVYEALRSSPHWNQSLLIITYDEHGGFYDHVPPPMTGVPNPDGIIGAEPFFFNFDRLGVRVPTIMVSPWIKRGTGK >DRNTG_17646.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4125976:4127258:1 gene:DRNTG_17646 transcript:DRNTG_17646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPRNPHLGINKLGKNIRKSPLHQPNSLSRPPHPHPHLHAPPPPQQQPQPQPQVYNISKNDFRSIVQQLTGTPSRDPLPQPPPRPPPPKPPSQRLQRIRPPPLTPIARPLPPPPPPPNPAFTRPVGVPWHVGPPAESPVSAYMRYLEQSMLHSDGAHHTPLVPPHHPPFPSPRSNIPPLLPSPGSQLPLPSPSAFLNLLSPRSPYPLLSPGFQYPPPLTPNFSFSPFSQSGILGPGPGPQPPLSPGLLFPPSPSGFLPLNSPRWRDM >DRNTG_24207.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22527813:22528584:-1 gene:DRNTG_24207 transcript:DRNTG_24207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGHGLQMASKGIAFGMASPSPSSKSTRSSPILSHSKH >DRNTG_04884.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11078957:11083649:-1 gene:DRNTG_04884 transcript:DRNTG_04884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEDKLKLKGNKVVAKYKVELKDMVNFVLEIAGGEEALCSKFEAGLNLSILEKMAVTWNQTFKEVVQLALRAEKLVNEVRVRTLLQGSQDLTQSNHHQGMLPAHLLVVVLEARQLEMFPGAGTETDFILVNALSHADITSVDRQATTSEHIQS >DRNTG_28722.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8245741:8247015:-1 gene:DRNTG_28722 transcript:DRNTG_28722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGERDPLVLGRVIGDVIDPFTRSVSLQVKFGSKDVTNGREFKPSQVVNQPRVEIGGTDLTTFYTLVMVDPDAPSPSDPNLREYLHWLVADIPGTTGTLFGQEIVCYESPRPSLGIHRYAFILFQQLGRQTVYAPGWRQNFYTRDFAELYNLGAPVAAVYFNCQRESGSGGRRMYN >DRNTG_06991.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1286117:1288633:1 gene:DRNTG_06991 transcript:DRNTG_06991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMLEQVKGGRKPRVLCLHGFRTSGEIMEKQLLGKWPPEVTSRFDLCFPDGPWPATGKSEVEALFPPPYYEWYQYNKDFTVYNRLDECLVYIEDLLIKHGPFDGILGFSQGAILAAAVTAMQIKGTSSKKFQKVKFVVMMSGARFTVPAVAERIYPDKITCPSVHFLGDIDFLKGEGELLAQTFVDPVIMHHSKGHTIPRLDEENVKTMLTFIDRVEKLCASSEVEIEEKLELQ >DRNTG_06991.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1286117:1286428:1 gene:DRNTG_06991 transcript:DRNTG_06991.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMLEQVKGGRKPRVLCLHGFRTSGEIMEKQLLGKWPPEVTSRFDLCFPDGPWPATGKSEVEALFPPPYYEWYQYNK >DRNTG_15189.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5938747:5941136:1 gene:DRNTG_15189 transcript:DRNTG_15189.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGYVSRASKDKPRRKREARKRSSEGMEGGRLGFP >DRNTG_15189.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5938747:5941136:1 gene:DRNTG_15189 transcript:DRNTG_15189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAIAYCWIQNQCLGRCKKSSFIGSQKLDKQLFIISNFKFVLFLKKQRSSFKQAVRARQQRLFKTIQLRKAY >DRNTG_30915.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16793588:16799943:-1 gene:DRNTG_30915 transcript:DRNTG_30915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLILQVNKMRRRLFMSRVCDLVNTVVAVEEVVDADITEGEEEG >DRNTG_33811.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2865276:2870187:1 gene:DRNTG_33811 transcript:DRNTG_33811.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide adenine dinucleotide transporter 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G25380) UniProtKB/Swiss-Prot;Acc:Q8RWA5] MIYDYHKGLTLSQIYAGAVDERNQLSLGANVIAASCAGAATATATNPLWVVKTRLQTQGMRPDVVPYTSTYSALGRILREEGIRGLYSGLLPSLAGVCHVAIQFPAYERIKSYLAKRENTTVDKLSLKNLAIASASSKVLASTMTYPHEVVRSRLQEQGHARNSANHYTGVADCIKKVFRKEGFPGFYRGCATNLLRTTPAAVITFTSYEMIQRFLRQVLPPEQNHS >DRNTG_33811.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2865276:2870187:1 gene:DRNTG_33811 transcript:DRNTG_33811.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide adenine dinucleotide transporter 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G25380) UniProtKB/Swiss-Prot;Acc:Q8RWA5] MSQDPHVPTPRDLLCNAIAGASAGAIAATFVCPLDVIKTRFQVHGLQRTHLSESHGSIIISSLERIVKAEGFRGLYRGLSPTILALLPTWAVYFTVYEKLKGLLHSHGAVDERNQLSLGANVIAASCAGAATATATNPLWVVKTRLQTQGMRPDVVPYTSTYSALGRILREEGIRGLYSGLLPSLAGVCHVAIQFPAYERIKSYLAKRENTTVDKLSLKNLAIASASSKVLASTMTYPHEVVRSRLQEQGHARNSANHYTGVADCIKKVFRKEGFPGFYRGCATNLLRTTPAAVITFTSYEMIQRFLRQVLPPEQNHS >DRNTG_14727.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:72793:77486:-1 gene:DRNTG_14727 transcript:DRNTG_14727.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEVQKRLREQLEVQRQLQLRIEAQSRYLQKIIEEQQKLGGTLMACDSSAANEKRKVSEPPSKLQDSLSELTSGKKQRLGDQSKDTEQVLLPLTEEPESDDFVGQWNRDLNGTSTLNFRLDTEMDSSKGCHNSDRQEHGFAENESQNISLSSSSR >DRNTG_14727.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:72859:77486:-1 gene:DRNTG_14727 transcript:DRNTG_14727.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYHAKKFSSLPMVHHKVPSSEELANVGSCGSPAKNPSASVGGKQRLRWTSDLHDRFVDAITQLGGPDRATPKGVLRVMGVPGITIYHVKSHLQKYRLAKYLPESPADGSKEDKKDSGDALCNMDSAPGVEIDEALKMQMEVQKRLREQLEVQRQLQLRIEAQSRYLQKIIEEQQKLGGTLMACDSSAANEKRKVSEPPSKLQDSLSELTSGKKQRLGDQSKDTEQVLLPLTEEPESDDFVGQWNRDLNGTSTLNFRLDTEMDSSKGCHNSDRQEHGFAENESQNISLSSSSR >DRNTG_14727.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:72793:77486:-1 gene:DRNTG_14727 transcript:DRNTG_14727.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNVPRSHMELFPLNFMQLFFRGVEIDEALKMQMEVQKRLREQLEVQRQLQLRIEAQSRYLQKIIEEQQKLGGTLMACDSSAANEKRKVSEPPSKLQDSLSELTSGKKQRLGDQSKDTEQVLLPLTEEPESDDFVGQWNRDLNGTSTLNFRLDTEMDSSKGCHNSDRQEHGFAENESQNISLSSSSR >DRNTG_14727.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:72859:77486:-1 gene:DRNTG_14727 transcript:DRNTG_14727.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEVQKRLREQLEVQRQLQLRIEAQSRYLQKIIEEQQKLGGTLMACDSSAANEKRKVSEPPSKLQDSLSELTSGKKQRLGDQSKDTEQVLLPLTEEPESDDFVGQWNRDLNGTSTLNFRLDTEMDSSKGCHNSDRQEHGFAENESQNISLSSSSR >DRNTG_31592.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18568476:18577248:-1 gene:DRNTG_31592 transcript:DRNTG_31592.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVKLAKTPVPSLLSSSSQLSYLSYNMARFCHQQHHLAEPDLVDRRPGDDARAQPGGGDGHGNEPNNDQEICDEEKNERKRKCEGEEEGGGDEG >DRNTG_31592.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18568476:18577248:-1 gene:DRNTG_31592 transcript:DRNTG_31592.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVKLAKTPVPSLLSSSSQLSYLSYNMARFCHQQHHLAEPDLVDRRPGDDARAQPGGGDGHGNEPNNDQEICDEEKNERKRKCEGEEEGGGDEG >DRNTG_31592.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18568476:18577248:-1 gene:DRNTG_31592 transcript:DRNTG_31592.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIVKLAKTPVPSLLSSSSQLSYLSYNMARFCHQQHHLAEPDLVDRRPGDDARAQPGGGDGHGNEPNNDQEICDEEKNERKRKCEGEEEGGGDEG >DRNTG_16383.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15878728:15879608:-1 gene:DRNTG_16383 transcript:DRNTG_16383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPIKLHILLLCLLIFTILSMHGTQAGHSLEPTPAPTAQPVSHHMYGVTQGSLQPEECSGRCTERCSATQYKKPCMFFCQKCCAKCLCVPPGTYGNKQSCPCYNNWKTKRGGPKCP >DRNTG_10602.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7476:11221:-1 gene:DRNTG_10602 transcript:DRNTG_10602.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSANINPIASDEDSKEDGPASDKGKEHGTVSEVPPKSSQDMDVEEDEGEENEEEIIEKKTSEPEISEKQTSGIEVKLMEDSQTEHEDVSPGFTATMKDTGEEPPTKEEETIDNVDLVPIEESVTTLRNTEIISDNQRATSLDDTETTENDIRALGENQNLKQDVNTAAEEEPKTHELTPASIEERVGGEDEQKASFNAALKEPGVEIEDANEEEEETTSVQRSATELQNIQLPIEESPMPSTTETPDSTEAQDRETEEGEASGQRTTSELPQLQSTIEKSPVHISTPISEHVTHSDTSKSVAVISEERVGEDEKLKESSNTEKEGPGAETQDATEEQHKTTEEGDASAQLPMSELHHLPEKSQVPSKSEDQLDIDAARASAPDYTEEKLEEFPNVESAQPCTQTQDSTEEQVETEEGDVSSHMSTNENLSIENQPAGISTSTAEAPLQEDEVKELAPALLEDRIGDDEKPIETSNVAPEIPAAETEDSVEEQEKAIEEGDASQQKSTTEELHSSLQIDKPPVPSTTEDQLKTDDARELAPGFIKENVKEEENLKESNNSETEEPGTEAQDAAEEQDKTTEKGDASTQLSASELHHLATEKSPLPSKTEDQLDIDGARELTPDYSEDQMGEEKLEESPNVESAEPGAKTQDSTEEQEETDEGDVLAHMSTDENLSIENHPAEISTSTAEAPPQEDEAKELAPALTEEDETLIETTNVSQEIPATETEDSVSEQEKATEEGDASFQKSASEEIHLPLQIEKSQGPSTSEDQLETGDARELAPGFAKAHVKEQETLKESTNEETGEPGTETQDVTEEQNKTTEEGDASAQLPMSELHHLPERSPLPSKTEDQDIVGARESAPDYTQDQVIEEEKLEESPNVESAQPCTQTQDSTEEQEETEEGDVSSHMSTGENLSIENQPAGISTSTAEAPLQEDEVKELAPALLEDTIGGDEKPIETSNVAPEKPATETEDSVEEQEKAIEEGDALQKSASEEVHSPLRMDKPPVPSTTEDQLKTSDARELAPGLIKENVKEEENLKESNNSETGEPGTEAQEAAEEQDKTTEKGDASIQLSASELHHLPTEKSPLPSKTEDQLDIDGARELAPDDSEDQMIEEEKLEESSNVE >DRNTG_10602.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7476:12199:-1 gene:DRNTG_10602 transcript:DRNTG_10602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGMLEPISEKIIHGEIENITFDHIPKIKEAEGSPEQVCDDTLIKTEVSEPLSLQNLEKLRDGQNQPSPAATHDNGIIIEAKDENLHQVTTETVDTAGGQIFNVAPSGVQISLDDNCKDIDAGFQADSDKEEKEMPKSANINPIASDEDSKEDGPASDKGKEHGTVSEVPPKSSQDMDVEEDEGEENEEEIIEKKTSEPEISEKQTSGIEVKLMEDSQTEHEDVSPGFTATMKDTGEEPPTKEEETIDNVDLVPIEESVTTLRNTEIISDNQRATSLDDTETTENDIRALGENQNLKQDVNTAAEEEPKTHELTPASIEERVGGEDEQKASFNAALKEPGVEIEDANEEEEETTSVQRSATELQNIQLPIEESPMPSTTETPDSTEAQDRETEEGEASGQRTTSELPQLQSTIEKSPVHISTPISEHVTHSDTSKSVAVISEERVGEDEKLKESSNTEKEGPGAETQDATEEQHKTTEEGDASAQLPMSELHHLPEKSQVPSKSEDQLDIDAARASAPDYTEEKLEEFPNVESAQPCTQTQDSTEEQVETEEGDVSSHMSTNENLSIENQPAGISTSTAEAPLQEDEVKELAPALLEDRIGDDEKPIETSNVAPEIPAAETEDSVEEQEKAIEEGDASQQKSTTEELHSSLQIDKPPVPSTTEDQLKTDDARELAPGFIKENVKEEENLKESNNSETEEPGTEAQDAAEEQDKTTEKGDASTQLSASELHHLATEKSPLPSKTEDQLDIDGARELTPDYSEDQMGEEKLEESPNVESAEPGAKTQDSTEEQEETDEGDVLAHMSTDENLSIENHPAEISTSTAEAPPQEDEAKELAPALTEEDETLIETTNVSQEIPATETEDSVSEQEKATEEGDASFQKSASEEIHLPLQIEKSQGPSTSEDQLETGDARELAPGFAKAHVKEQETLKESTNEETGEPGTETQDVTEEQNKTTEEGDASAQLPMSELHHLPERSPLPSKTEDQDIVGARESAPDYTQDQVIEEEKLEESPNVESAQPCTQTQDSTEEQEETEEGDVSSHMSTGENLSIENQPAGISTSTAEAPLQEDEVKELAPALLEDTIGGDEKPIETSNVAPEKPATETEDSVEEQEKAIEEGDALQKSASEEVHSPLRMDKPPVPSTTEDQLKTSDARELAPGLIKENVKEEENLKESNNSETGEPGTEAQEAAEEQDKTTEKGDASIQLSASELHHLPTEKSPLPSKTEDQLDIDGARELAPDDSEDQMIEEEKLEESSNVE >DRNTG_04377.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26991664:26994035:-1 gene:DRNTG_04377 transcript:DRNTG_04377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEVNSHSMQRNRSLGSQLELDQEFERDFRLQYQRKAKLKESSQASNMEDNNMALNHCQQASKRNVTPKPSGRHEVETLNLIAAKVDALTQKFDKLNANAPSTANVTCEIYGSTGHLATNCQLIAPSSSEPSMEQMNYLNNFSQRPVNDPFSNTYNLG >DRNTG_01132.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18714973:18716543:-1 gene:DRNTG_01132 transcript:DRNTG_01132.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYSASIPLNSDADPEDPERSSSNSSARKACFAVLQSWISRKFMTGCVVLFPVAITFFITWSFIQFVDGFFSPLYANLG >DRNTG_29668.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001494.1:16819:17226:-1 gene:DRNTG_29668 transcript:DRNTG_29668.1 gene_biotype:protein_coding transcript_biotype:protein_coding TARKNAQGSISKEKARMANRHVNSTTKDDQSMSRLEYGS >DRNTG_03780.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1746681:1746989:1 gene:DRNTG_03780 transcript:DRNTG_03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKPHKLQTTHSSHNTIKPKNPHTKSTINNINTQKKNSEKHKNPQIFETTSETNTDHAKIKRRESTTETIETLTTYLREDSENQRTNLHCRALSLSLSLSVSS >DRNTG_16179.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000798.1:30517:31944:-1 gene:DRNTG_16179 transcript:DRNTG_16179.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEIKVAVEVVEASDLLAKDGQGSSNPYVEVELARQRQRTATVFNSLNPSWHQTLVFSILDPSSLSDLFLDLSVFHDPHPSSTSTRRHHCLGHLRLSAASFSPSPSSAPLLRFPLDKRSFFSSVRGDLSLRLYFLPTATATATATANGNGHAVPEKKEKGMKKEKKEKETARVFHSIPTESVDQAKNAPAPAPVKMTFNAVQSAPPPPPTVILPMPVRPPQSEYALIPTTPPIAGRLGYRVAKDKISTTYDLVVQMQFLYVNVVKARALPNMDITGSLDPYVEVKLGNYKGVTKHFEKNQNPIFRQIFAFSRDRLQADRVEITVKDKDTIKDDLVGKIHFDLTDVPVRVPPDSPLAPQWYRLEDKKGEKL >DRNTG_16179.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000798.1:28406:31792:-1 gene:DRNTG_16179 transcript:DRNTG_16179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEIKVAVEVVEASDLLAKDGQGSSNPYVEVELARQRQRTATVFNSLNPSWHQTLVFSILDPSSLSDLFLDLSVFHDPHPSSTSTRRHHCLGHLRLSAASFSPSPSSAPLLRFPLDKRSFFSSVRGDLSLRLYFLPTATATATATANGNGHAVPEKKEKGMKKEKKEKETARVFHSIPTESVDQAKNAPAPAPVKMTFNAVQSAPPPPPTVILPMPVRPPQSEYALIPTTPPIAGRLGYRVAKDKISTTYDLVVQMQFLYVNVVKARALPNMDITGSLDPYVEVKLGNYKGVTKHFEKNQNPIFRQIFAFSRDRLQADRVEITVKDKDTIKDDLVGKIHFDLTDVPVRVPPDSPLAPQWYRLEDKKGEKLNHGELMLAVWIGTQADEAFPESWHSDAHSISHDGLANTRSKVYFSPKLSYLRVHVIEAQDLIPSDKSRTPEPFVKLQLGTQLRRTRPAPIRSANPTWNEELMFVAADPFDEPLILSVEDRLGPNKDETLGRLAISILGLPQRLDSSRPIPAKWYNLLDPFTDLDEDKKKSRKFSSKIHLRVFLDSAYHVLDESTHYSSDLRPTSKLLRKPSIGLLELGILDGRNLTATNAYCVAKYGPKWVRTRTILNSQSPLWHEQYTWEVFDPCTVITIAVFHNSHVSNAKSDAKR >DRNTG_16179.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000798.1:30517:31792:-1 gene:DRNTG_16179 transcript:DRNTG_16179.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEIKVAVEVVEASDLLAKDGQGSSNPYVEVELARQRQRTATVFNSLNPSWHQTLVFSILDPSSLSDLFLDLSVFHDPHPSSTSTRRHHCLGHLRLSAASFSPSPSSAPLLRFPLDKRSFFSSVRGDLSLRLYFLPTATATATATANGNGHAVPEKKEKGMKKEKKEKETARVFHSIPTESVDQAKNAPAPAPVKMTFNAVQSAPPPPPTVILPMPVRPPQSEYALIPTTPPIAGRLGYRVAKDKISTTYDLVVQMQFLYVNVVKARALPNMDITGSLDPYVEVKLGNYKGVTKHFEKNQNPIFRQIFAFSRDRLQADRVEITVKDKDTIKDDLVGKIHFDLTDVPVRVPPDSPLAPQWYRLEDKKGEKL >DRNTG_16179.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000798.1:28406:31711:-1 gene:DRNTG_16179 transcript:DRNTG_16179.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEIKVAVEVVEASDLLAKDGQGSSNPYVEVELARQRQRTATVFNSLNPSWHQTLVFSILDPSSLSDLFLDLSVFHDPHPSSTSTRRHHCLGHLRLSAASFSPSPSSAPLLRFPLDKRSFFSSVRGDLSLRLYFLPTATATATATANGNGHAVPEKKEKGMKKEKKEKETARVFHSIPTESVDQAKNAPAPAPVKMTFNAVQSAPPPPPTVILPMPVRPPQSEYALIPTTPPIAGRLGYRVAKDKISTTYDLVVQMQFLYVNVVKARALPNMDITGSLDPYVEVKLGNYKGVTKHFEKNQNPIFRQIFAFSRDRLQADRVEITVKDKDTIKDDLVGKIHFDLTDVPVRVPPDSPLAPQWYRLEDKKGEKLNHGELMLAVWIGTQADEAFPESWHSDAHSISHDGLANTRSKVYFSPKLSYLRVHVIEAQDLIPSDKSRTPEPFVKLQLGTQLRRTRPAPIRSANPTWNEELMFVAADPFDEPLILSVEDRLGPNKDETLGRLAISILGLPQRLDSSRPIPAKWYNLLDPFTDLDEDKKKSRKFSSKIHLRVFLDSAYHVLDESTHYSSDLRPTSKLLRKPSIGLLELGILDGRNLTATNAYCVAKYGPKWVRTRTILNSQSPLWHEQYTWEVFDPCTVITIAVFHNSHVSNAKSDAKR >DRNTG_16179.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000798.1:28406:30444:-1 gene:DRNTG_16179 transcript:DRNTG_16179.4 gene_biotype:protein_coding transcript_biotype:protein_coding MITLYGKPLLPKMHYTMPISVLHLDYLRHQAMINVALRLGRAEPPLRREVVEYMLDVDSHMFSLRRSKANFSRITSVLSSFGAVVRWFDAVCKWKNPVTTILVHVLFFILVCFPELILPTVFLYLSMIGLWNYRFRPRHPPHMDTKLSYAEFTNSDELDEEFDTFPSTKSSEIVRYRYDRLRSVGGRVQTVIGDLATQGERAHAILSWRDPRGTSIFIMLSLIVAVFLYVTPFQVVMVIVGLYLLRHPKFRSKMPSVPFNFYRRLPAKSDTLL >DRNTG_16179.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000798.1:28298:30444:-1 gene:DRNTG_16179 transcript:DRNTG_16179.3 gene_biotype:protein_coding transcript_biotype:protein_coding MITLYGKPLLPKMHYTMPISVLHLDYLRHQAMINVALRLGRAEPPLRREVVEYMLDVDSHMFSLRRSKANFSRITSVLSSFGAVVRWFDAVCKWKNPVTTILVHVLFFILVCFPELILPTVFLYLSMIGLWNYRFRPRHPPHMDTKLSYAEFTNSDELDEEFDTFPSTKSSEIVRYRYDRLRSVGGRVQTVIGDLATQGERAHAILSWRDPRGTSIFIMLSLIVAVFLYVTPFQVVMVIVGLYLLRHPKFRSKMPSVPFNFYRRLPAKSDTLL >DRNTG_16179.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000798.1:30517:31711:-1 gene:DRNTG_16179 transcript:DRNTG_16179.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEIKVAVEVVEASDLLAKDGQGSSNPYVEVELARQRQRTATVFNSLNPSWHQTLVFSILDPSSLSDLFLDLSVFHDPHPSSTSTRRHHCLGHLRLSAASFSPSPSSAPLLRFPLDKRSFFSSVRGDLSLRLYFLPTATATATATANGNGHAVPEKKEKGMKKEKKEKETARVFHSIPTESVDQAKNAPAPAPVKMTFNAVQSAPPPPPTVILPMPVRPPQSEYALIPTTPPIAGRLGYRVAKDKISTTYDLVVQMQFLYVNVVKARALPNMDITGSLDPYVEVKLGNYKGVTKHFEKNQNPIFRQIFAFSRDRLQADRVEITVKDKDTIKDDLVGKIHFDLTDVPVRVPPDSPLAPQWYRLEDKKGEKL >DRNTG_00302.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2073710:2074876:-1 gene:DRNTG_00302 transcript:DRNTG_00302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKQICDFSNRKTDVCEINNGDVRIHANSSTVQFITTFPSETNHSWKIKPHPRKGDKIGMSKITEFTLKSSASFDQALNSCTLIQSIPSIIFSIGGYMGNVFHDFSDILIPLFLTSHHYHGQVQFVITDMKHWWVSKYRNVLNQLTKFPIIDMDKEEGVLCYQNLTIGLRFHLELSIDPLKSPYSFSMQDFASLIRNSYSLKREKAISLNENTRKKPRLMIIARRQSRSFTNIVEIVRMAEDVGFEAVVAECDAKSNLTEFAKKVNSFDVMMGVHGAGLTNFMFLPSNAIVIQVVPLGGLGDVSWMDYGKPSVNMKLRYLQYDISENESTLIEEYPKDHIVIKDPSLIRKQGWLSLREIYLIKQNVKLDVQRFRPVLLKAIELLHY >DRNTG_26502.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:712070:714358:-1 gene:DRNTG_26502 transcript:DRNTG_26502.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAFLRQAEEQVPDDLRCRRSDGRSWRCPRRAVSGVSFCEHHYGQAQRHAAQRAAPAEPKEKRGVSALVAVDHPPEKRRKRGGAMDELVRVAVRRQVKRSEERRKRGKTSKEAKGKEVVINLPNGVMAISPTPLKGLGNADPPLDQKLGLGFNGADFSSQRRFRSKNLEPVPIQSISRFPSIKGSGKRLKRVCHRCRESKVGRLVMCSSCKKESFCTGCIKEWYSGLSEIEVKVTCPVCRGECSCEACSPCGTKEIVVKETENGKGKDSEIQYAQYLISQLLPVLKRVSQEKKIELGIEASRQ >DRNTG_26502.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:710328:714358:-1 gene:DRNTG_26502 transcript:DRNTG_26502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAFLRQAEEQVPDDLRCRRSDGRSWRCPRRAVSGVSFCEHHYGQAQRHAAQRAAPAEPKEKRGVSALVAVDHPPEKRRKRGGAMDELVRVAVRRQVKRSEERRKRGKTSKEAKGKEVVINLPNGVMAISPTPLKGLGNADPPLDQKLGLGFNGADFSSQRRFRSKNLEPVPIQSISRFPSIKGSGKRLKRVCHRCRESKVGRLVMCSSCKKESFCTGCIKEWYSGLSEIEVKVTCPVCRGECSCEACSPCGTKEIVVKETENGKGKDSEIQYAQYLISQLLPVLKRVSQEKKIELGIEASRQGRKIFSVQLQMTDSSRNELINCYFCKRGIADIHRCCSSCSYILCLSCCQEIPKGSLSGVVPVSTCSAQPGRGKTSAAAGRKVANGVKQRLPSGLNHGGSFQSASPVSNEWQAKNSDDSIPCPPKKLGGCNNGSLILRYVSVGPTTSN >DRNTG_26502.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:710887:714358:-1 gene:DRNTG_26502 transcript:DRNTG_26502.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAFLRQAEEQVPDDLRCRRSDGRSWRCPRRAVSGVSFCEHHYGQAQRHAAQRAAPAEPKEKRGVSALVAVDHPPEKRRKRGGAMDELVRVAVRRQVKRSEERRKRGKTSKEAKGKEVVINLPNGVMAISPTPLKGLGNADPPLDQKLGLGFNGADFSSQRRFRSKNLEPVPIQSISRFPSIKGSGKRLKRVCHRCRESKVGRLVMCSSCKKESFCTGCIKEWYSGLSEIEVKVTCPVCRGECSCEACSPCGTKEIVVKETENGKGKDSEIQYAQYLISQLLPVLKRVSQEKKIELGIEASRQGRKIFSVQLQMTDSSRNELINCYFCKRGIADIHRCCSSCSYILCLSCCQEIPKGSLSGVVPVSTCSAQPGRGKTSAAAGRKVANGVKQRLPSGLNHGGSFQSASPVSNEWQAKNSDDSIPCPPKKLGGCNNGSLILRYVSVGPTTSN >DRNTG_17897.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17316451:17317210:1 gene:DRNTG_17897 transcript:DRNTG_17897.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPVFSVPTPVQGCVLDEECMGIWFRMRLVHSDRMEEACKYFWAMRKDGIVSDEASLSTVLHTCANMAAWCHGAAENEHYACMVDILGQAGRLDKAKQFIDTMSVKPDVSVWGALLGACRNRGDCQKISQTGGFLC >DRNTG_05359.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8628723:8631599:-1 gene:DRNTG_05359 transcript:DRNTG_05359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNSTHDGQECLKILALSYCDLPHHYLKSCFLYLAAFPEDSEILTSKLIKLWAVEGFIPERERQTVEEIGWGYLDELVQRCMVQVVKVRDYDKSVKKIRIHDVLHEFCIAEAREVGLINASSTYSAMTVAQVTSRRLALQNYRSSDWCFTGLKLRTLLLFNSSVPITNPLVLHGLKLLRVIDLEGAGSVVNLPKEIERMIHLRYMGLRNCTSLLRLPPTVGNLCSLRVLDVRNTGIVKLPEEVCNIQTLRIINMEWFCLLPSNICNLNYLQILKHACAAGGWVERCSREMINLQVLGISALASSQLPTLCHLLGNLTHLISLKVQRTSLLSSSIITTLSSNRQFRKLELHGMLHKLPDHHLFPQNLSKLTLRASMFEDDPMPVLEKLRNLIVLRLMKGTYAGKEMVCSAGGFPRLRVLEISCLEYLECWRIEDCSMANLFYLSISVCKKLSMLPEGLGRASSLQELKLSRMPQDFNARAREGGEDWHKISHLPSITIY >DRNTG_05359.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8629808:8631599:-1 gene:DRNTG_05359 transcript:DRNTG_05359.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNSTHDGQECLKILALSYCDLPHHYLKSCFLYLAAFPEDSEILTSKLIKLWAVEGFIPERERQTVEEIGWGYLDELVQRCMVQVVKVRDYDKSVKKIRIHDVLHEFCIAEAREVGLINASSTYSAMTVAQVTSRRLALQNYRSSDWCFTGLKLRTLLLFNSSVPITNPLVLHGLKLLRVIDLEGAGSVVNLPKEIERMIHLRYMGLRNCTSLLRLPPTVGNLCSLRVLDVRNTGIVKLPEEVCNIQTLRIINMEWFCLLPSNICNLNYLQILKHACAAGGWVERCSREMINLQVLGISALASSQLPTLCHLLGNLTHLISLKVQRTSLLSSSIITTLSSNRQFRKLELHGMLHKLPDHHLFPQNLSKLTLRASMFEDDPMPVLEKLRNLIVLRLMKGTYAGKEMVCSAGGFPRLRVLEISCLEYLECWRIEDCSMANLFYLSISVCKKLSMLPEGLGRASSLQELKLSRMPQDFNARAREGGEDWHKISHLPSITIY >DRNTG_06762.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21580551:21586017:-1 gene:DRNTG_06762 transcript:DRNTG_06762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASMEGDDRDDEVDSLMARGTKKGKDLIVSSTPPAGDSPDGGTDSPSLPRSRLSTRNASSKYDFVKVKVWLGDNADHYYVLSRFLLSRMLTVTKIPNHVAVKIALELKKLLVDNSLLDVSQSDLEANLFKLMDRRGFGEEYINRYKMMTRFHHQRVPLVILVCGTACAGKSTIATLLAQRLNLPNVLQTDMVYELLRTSTDAPLASTPVWAREFNSSEELITEFCRECRIVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDDGNRESGDLT >DRNTG_02373.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15071866:15072087:1 gene:DRNTG_02373 transcript:DRNTG_02373.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLYPYYVLTCTHGVVPLDNELFHPLPPSCEKVYPKTLLHYMNVFYSMLDTAYFSMINLNFTNILVPITETC >DRNTG_01881.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21095820:21101242:1 gene:DRNTG_01881 transcript:DRNTG_01881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGERGTERARKVWGATAAVEIGSRVFPLRGPLGPMPSVGTRRSTRVFVPKAVAKPGGSGRNLRSGKRVALSEHLGKKSDRDADEWFGVFGGNGGEAGLRWWRSDEEAEDLSEADSISIEQVADQSSESACLEALDNMPDRKLFGIVFSRKRKRSRLEKDSSDRKYGIVFTRKPRKKRLKWASLVEEILETREARISEHYDVLSGIATTDWGLRIGDSARDFAEKVGIIEGDVVFRSCHPLMLTVLIDSGRSSSSHFAAFFSLVLRWLGRAEQRFQEFVSFLFSGSIANVFSRHGIHFLPLEHLKFEVFPRGSGSPCGLCLIYGSSDFVPLVSLDFSALPTYFKSLHLKIAFSSLYVPSCLRIFLRALNGKVRNVGGFEGNDSQVPLESSCSGNELGASALPIVKRDMASGTFKPMPMVGRNALIVHGLRMMKHQRKRSSLRHSRIWNPSPLELRGGALSSDQNGSRMKPHQANGNVLSCREGIDVSSPHGSNKRLSKSVKRSTADRIKEIRMRAALANVKDNIDSERCNVNILVTTADRCWRVVGAEVMLELSSTNTWCLAVKSQGFVQYMHKPQELRPPVVNRFTHDYMWSGEEGWKLEFIDRWDWLVFKELHVECQQRNVGDVPSKVIPVPVAQEVLSYEDDTSALFSRPDAYIMMMADEVERAMTSEVSCYDMDSGDEKWLEQLNARSLSADLDLPSDDNFEKIIFALEKEAYSHPDDNFDLDKALHICQGLGNRDLVAAVYDYWLEKRKQKGAALVKVFQGQPVRRPRLLQQHFLRKKRSFKRQRSQDGRGRIDYFFEDGAKQEAHRRVQDAEAAAKRAVDAAIRLRSRAQLYMANAELAAYKSAMALKLAEAISVSASPDLTSSILE >DRNTG_32228.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1443933:1453777:1 gene:DRNTG_32228 transcript:DRNTG_32228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSKFDLPSDSPDGSTYMNGPRSSHGAASLERVGSFREGSENRVSSSLPSMSRSGSTISQGDTNNLVQSLLSDLKPVVLEPRLPRAGELKRGISSILGVSPEDSVHASVNTKPLPSSSVEELKRVKGNLNESFFKARERVKAFSDAVCKIDNYQHTQSKKRSRAAISSNDRSNALFSSASMVKVAPQSHMSPNIGSQKIEEKVKPTVPNRRARTSMVEGRMDVRANAISRPSGLPDTGREMFKFVNSGPATSEEKGRALTSEADESEKPRLKKKRSVIKSDGSGSAVMARALDSDRETKRVMQPKVGTDVRPRLNNTHGFRSGPASGSIRIGKPDLTSQQNNLGMRPSPRSDQDNSSLPNDRRDRLIGLDKEGATLKVGNKQNSSEENTTGSLLTRLNTSVRAPRSNSGSLSRASPNPHRVLANPDDWEQAQFTSKVNGFGGVVNRKSSSSQSAASPTLPSFGQRPQKIARVARRSNLPLVLNHDELAISDTLENSSIKEDGLGVARHLSSNTSQVKLKIDHIQQATLLENEEAGVTESKSKDKVKKCNEIEEKTTPSLQKVASLILPTRKNKVPVEDDIGDGNRRPGRVGRGCAPASSALPAAFEKLDNNSTLKQTRSARISSEKIERLGRPPFKKSSERKCHTRLKHSVNNACLDAVGESDDDHEELLAAANAALNAKRACPNSFWKQCEPIFGLFSVEDITYLAQQIQPVDDEISASRSSMYVENSGQSLKDDCGHISLPSKSALGCLDDPVSMSNGSILTSGESNIKINSEIKRDEPLLEQLVSGKGIQSGISICQALLSAIIEDEDVENFYCDTSTSEEYSYSDAYGYRSEVRNWMFHEEPPQDKLESSNGLQDTGPRSRLGNSLDDFFPCGTVSPDIACTEFQYNRMSINDRILLELSEIGLHPEPVPDLAQSEEEDIGDDITKLEEAS >DRNTG_32228.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1444302:1453777:1 gene:DRNTG_32228 transcript:DRNTG_32228.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSKFDLPSDSPDGSTYMNGPRSSHGAASLERVGSFREGSENRVSSSLPSMSRSGSTISQGDTNNLVQSLLSDLKPVVLEPRLPRAGELKRGISSILGVSPEDSVHASVNTKPLPSSSVEELKRVKGNLNESFFKARERVKAFSDAVCKIDNYQHTQSKKRSRAAISSNDRSNALFSSASMVKVAPQSHMSPNIGSQKIEEKVKPTVPNRRARTSMVEGRMDVRANAISRPSGLPDTGREMFKFVNSGPATSEEKGRALTSEADESEKPRLKKKRSVIKSDGSGSAVMARALDSDRETKRVMQPKVGTDVRPRLNNTHGFRSGPASGSIRIGKPDLTSQQNNLGMRPSPRSDQDNSSLPNDRRDRLIGLDKEGATLKVGNKQNSSEENTTGSLLTRLNTSVRAPRSNSGSLSRASPNPHRVLANPDDWEQAQFTSKVNGFGGVVNRKSSSSQSAASPTLPSFGQRPQKIARVARRSNLPLVLNHDELAISDTLENSSIKEDGLGVARHLSSNTSQVKLKIDHIQQATLLENEEAGVTESKSKDKVKKCNEIEEKTTPSLQKVASLILPTRKNKVPVEDDIGDGNRRPGRVGRGCAPASSALPAAFEKLDNNSTLKQTRSARISSEKIERLGRPPFKKSSERKCHTRLKHSVNNACLDAVGESDDDHEELLAAANAALNAKRACPNSFWKQCEPIFGLFSVEDITYLAQQIQPVDDEISASRSSMYVENSGQSLKVYASKLCVCVCVWQLLPKILCVAESSKY >DRNTG_32228.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1451066:1453815:1 gene:DRNTG_32228 transcript:DRNTG_32228.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGDQERKLERLALDKLVGLVYDKYMACFGPHASGGKHVNKNTKLATMALVKRTLARCQKFEKTGISCFDKPAFKDMFLSISSHNADMESMDIAMDGEAAKSFASAQHSQRTTDIGTCLASQPVQGMNMLDKSCNTLQPINQSSEQEYGKDEPWLSRVKRREVLLEDVVGGSSSNTIRPPTGLESSLARGTKGKRSGRDRGGKGSNKDPASRTGTLKARPSLSNVKGERKTKAKPKQRTTQLSASVNGLLSRNTEQQPDTLLSSSGHKSQGKLDESTSLSDSVGIQKTSDDVEAIELGHLQIPELDVDDFTGQGQDLSSWLNITDDDAMQDFDCMGLEIPMDDLSDVKLMI >DRNTG_32228.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1451066:1453777:1 gene:DRNTG_32228 transcript:DRNTG_32228.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGDQERKLERLALDKLVGLVYDKYMACFGPHASGGKHVNKNTKLATMALVKRTLARCQKFEKTGISCFDKPAFKDMFLSISSHNADMESMDIAMDGEAAKSFASAQHSQRTTDIGTCLASQPVQGMNMLDKSCNTLQPINQSSEQEYGKDEPWLSRVKRREVLLEDVVGGSSSNTIRPPTGLESSLARGTKGKRSGRDRGGKGSNKDPASRTGTLKARPSLSNVKGERKTKAKPKQRTTQLSASVNGLLSRNTEQQPDTLLSSSGHKSQGKLDESTSLSDSVGIQKTSDDVEAIELGHLQIPELDVDDFTGQGQDLSSWLNITDDDAMQDFDCMGLEIPMDDLSDVKLMI >DRNTG_26446.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:389566:391280:-1 gene:DRNTG_26446 transcript:DRNTG_26446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLVLSLFHLYIISTTGAEGMKSGAKWGRKHQTENYTGKVHCHVSFSASAR >DRNTG_08588.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9569859:9572319:1 gene:DRNTG_08588 transcript:DRNTG_08588.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRGRGFGEAFWEKLFRDFLEPISTSSEDARSCVLEKGNQRRKLGLARSSSISSLGARRRRGKPP >DRNTG_08588.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9571441:9572319:1 gene:DRNTG_08588 transcript:DRNTG_08588.2 gene_biotype:protein_coding transcript_biotype:protein_coding GRGRGFGEAFWEKLFRDFLEPISTSSEDARSCVLEKGNQRRKLGLARSSSISSLGARRRRGKPP >DRNTG_23599.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:613473:614780:1 gene:DRNTG_23599 transcript:DRNTG_23599.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVRLPDDGQTLQVGNDDKYQYEGSGGGTLHLRLAASELPSDHKNGNVAGLVAGVVAASLYSDLKLVTKNFSVKLGSGSFGSVFKGVLPDSAAIAVKRLEGLRQGEKQFRAEVSTLGTIQHVNLVSLRGFCVEGTKRLLVSEYIPNGSLDSHIFGSNAEVLDWSTSYRIALGIPRGLAYLHEKCRECIIHCDIKPKNILLDAEFNPKVANFGLAKLLDREFSNVLTSTRGTIGYLAPEWISGLAITPKADVFSFGMMLLEIISGKRNSSKQYESGDNYFPLKAAIQVREGNVHCLLDSRLQGNANMDEVNRACRVACWSIQGIECERPTMGMVVQMLEGLLKVNMPPISSFFKGLIGDFYSESLLSSGILR >DRNTG_14785.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29996238:29996901:1 gene:DRNTG_14785 transcript:DRNTG_14785.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHFLKNMLIQIYHQKTKRKDPIQESKKLLTLSRRKEEADQFEHKKRTKIKSTSLQKWRIPENLRHPKRKESNATAPKGLQDILGQKRIINPAILILVQRRNSMVTYISHPQNHRDQSNKRKTEIRSRPKEGKRMDQKKRNGSQGGMEKRKRSIIGKQRISKCERV >DRNTG_14785.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29990364:29996011:1 gene:DRNTG_14785 transcript:DRNTG_14785.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPKAIDSNAHARASQPWSKSSPSGLDEFVRLACLPSTASKV >DRNTG_14785.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29990364:29997156:1 gene:DRNTG_14785 transcript:DRNTG_14785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTYISHPQNHRDQSNKRKTEIRSRPKEGKRMDQKKRNGSQGGMEKRKRSIIGKQRISKCERVEGGREGDASNPTLSLEAFSFAFERLMRKRLLRRLALRRWHHRNYHWIPGLNYYYE >DRNTG_14785.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29996238:29997156:1 gene:DRNTG_14785 transcript:DRNTG_14785.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHFLKNMLIQIYHQKTKRKDPIQESKKLLTLSRRKEEADQFEHKKRTKIKSTSLQKWRIPENLRHPKRKESNATAPKGLQDILGQKRIINPAILILVQRRNSMVTYISHPQNHRDQSNKRKTEIRSRPKEGKRMDQKKRNGSQGGMEKRKRSIIGKQRISKCERVEGGREGDASNPTLSLEAFSFAFERLMRKRLLRRLALRRWHHRNYHWIPGLNYYYE >DRNTG_33433.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2926274:2927609:-1 gene:DRNTG_33433 transcript:DRNTG_33433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFYIIPPMEQTSRKTENRKEKTQLV >DRNTG_27014.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26916826:26918194:1 gene:DRNTG_27014 transcript:DRNTG_27014.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPILLLSLNFISVLGVLNPVDFLALQAIRKSLYDLPGSRFFAAWDFTADPCAFPGVTCHNGRVSMLALGDSGAGPSRLAGHLDSTALSLLTALSELSLVPGRVSGPIPATLPHSLRFLALPRNLFSGSIPSSLSSLPFLQTLDLSSNLLSGPIPQTLPQIRTLSYLSLRHNRLTGPIPSFPSGSSLLRLDLKRNSLSGPIPPLPSSLRYLSLSNNLLSGRIDKVLPRLTRLNYLDLSSNRLAGPIPGRIFSFPISSLQLQRNFFSGPVRMAAGFAGTPVVDLSHNHLTGRIPAALAGAEVLYLNDNKLTGVLPAEFLKAMIEGRIRVLYLQRNYLTGMQGMGPINGVPDNTTLCLKYNCMLPPAGAKCPNRGGAMKTRPKDQCARLRE >DRNTG_27014.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26916826:26918242:1 gene:DRNTG_27014 transcript:DRNTG_27014.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPILLLSLNFISVLGVLNPVDFLALQAIRKSLYDLPGSRFFAAWDFTADPCAFPGVTCHNGRVSMLALGDSGAGPSRLAGHLDSTALSLLTALSELSLVPGRVSGPIPATLPHSLRFLALPRNLFSGSIPSSLSSLPFLQTLDLSSNLLSGPIPQTLPQIRTLSYLSLRHNRLTGPIPSFPSGSSLLRLDLKRNSLSGPIPPLPSSLRYLSLSNNLLSGRIDKVLPRLTRLNYLDLSSNRLAGPIPGRIFSFPISSLQLQRNFFSGPVRMAAGFAGTPVVDLSHNHLTGRIPAALAGAEVLYLNDNKLTGVLPAEFLKAMIEGRIRVLYLQRNYLTGMQGMGPINGVPDNTTLCLKYNCMLPPAGAKCPNRGGAMKTRPKDQCARLRE >DRNTG_27014.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26916785:26918194:1 gene:DRNTG_27014 transcript:DRNTG_27014.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPILLLSLNFISVLGVLNPVDFLALQAIRKSLYDLPGSRFFAAWDFTADPCAFPGVTCHNGRVSMLALGDSGAGPSRLAGHLDSTALSLLTALSELSLVPGRVSGPIPATLPHSLRFLALPRNLFSGSIPSSLSSLPFLQTLDLSSNLLSGPIPQTLPQIRTLSYLSLRHNRLTGPIPSFPSGSSLLRLDLKRNSLSGPIPPLPSSLRYLSLSNNLLSGRIDKVLPRLTRLNYLDLSSNRLAGPIPGRIFSFPISSLQLQRNFFSGPVRMAAGFAGTPVVDLSHNHLTGRIPAALAGAEVLYLNDNKLTGVLPAEFLKAMIEGRIRVLYLQRNYLTGMQGMGPINGVPDNTTLCLKYNCMLPPAGAKCPNRGGAMKTRPKDQCARLRE >DRNTG_09403.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5753081:5755333:1 gene:DRNTG_09403 transcript:DRNTG_09403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACGHDAHIAMLLGAAKILQEHRDELQGTVVLIFQPAEEGSGGAKKMIEHGALENVEAIFGFHVRSAKALGTVTSRPGPLLAANGFFEAVISGKGGHAAVPQLAIDPILAASNVIVSLQNLVSRETNPLESQVVTVGKFQGGSAFNIIPESVSIAGTFRSFSKESFFQLKQRIEEVIIAQAAVQMCNATVDFLTEKQPFYPVTVNSEQLHRHFLNVAGEMLGFDNIKDELPTMGAEDFSFYSEVVPVAYFYFLGMQNESHPPLKIGHSPLFQVNEEVLPYGAALHAALAFEYLSDAQIPSMEKERVHDEL >DRNTG_13142.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:6111433:6113763:1 gene:DRNTG_13142 transcript:DRNTG_13142.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-D1-1 [Source:Projected from Arabidopsis thaliana (AT1G70210) UniProtKB/Swiss-Prot;Acc:P42751] MRMKVSYSINNDSSSSCCDLLCNEEAETLSDQEIIMPEYTHQVECYFPEDSNESIAEFIEGEASYSPANDYLERFRSSSLDSLARQNSVSWILKVQAYYHFQPLTAYLAVNYMDRFLSSHTLPQVNGWPLQLLAVSCLSLAAKMEETHVPSLVDLQVEDAKFVFESRVIRRMELLVLTALKWRLRSVTPFTFIDFFAYKVDPSGLYTRYLVSHATQIILSTIIDVDFLNQCPSSMAAAAIICVASESQHLNFINPSMAVKWCIGLTREGINKCYRLMQEVGTDNTLRKTLKIVPYLRVTIPATSISSMSSSSSSSLSLPNKRRRLNNNNLDEDESKESSEK >DRNTG_25543.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3024627:3026936:1 gene:DRNTG_25543 transcript:DRNTG_25543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPLLESHGRRKEEEERWWRRCLDLEEAKNQFYFAIPMILTNMSYYGITLVSVMFAGHLGQLELAGSTLGNSWSMVTGIALMTGLSGALETLCGQGFGAKMYKMLGIYLQTSIITSTFFCILVSITWCFSETILLWLHQDPHVSKMAAVYLKYFIPGIFAYGYLQCALRFLQTQSVVIPLVICSAVPLALHFGITYLSVYVLGFGFKAASLSASLSLWISFFMLACYIKFSDKFRHTWGGFSLESLHHVLPYMKLALPSALMVCLEYWAFEFLVLLAGLLPDSENSTSLLAMCVNTEALAFMVTYGFAAVASTHVSNELGAGNIEKAKNAVSVALKLAVVLALTTVLVLLFGHDLWASFFSDSPEIIHKFAYMTPLLAFSMLFDTAQGVLSGVARGCGWQHLAAWTNLVTFYGIGAPFSSSIWI >DRNTG_28712.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18525074:18528274:1 gene:DRNTG_28712 transcript:DRNTG_28712.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRAGREEVLDSIYIIVAQVLILVACVELCDAASVVDVYRLIQYDLSGAPLGSRLAGLNHHAGAAPFASGADLSRTAVIMPIRELNLTFLRDYITNRRPLGGLLLLLPQKSNEKIVGEIKKLGINNKEAVMRNILVELEQLLIHANIPYPVYFAFEDDKINAALADVRKSDMATQPATATTGGYKLVLSSPEPKKLVSPTLTNIQVVQQIFRFSSMVKDGC >DRNTG_21522.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1489395:1494419:-1 gene:DRNTG_21522 transcript:DRNTG_21522.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Ts, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G29060) UniProtKB/TrEMBL;Acc:Q9SZD6] MKSREKPDKVEVENSVKKSDIDEPNVPTGSHIGLEADETISKELPGEASVVDSVSTVQDEEPESIAHEEASVVDSVSTVQDEEPESISHEEDSVVDSVPTVLDEEPEGISPESATAVLEALPETESSGTSIEPSGGPLLDEISIPENEVKIELEPAITSEATVTDGANNITAGETIKAHTVSSTDTISRESESSANIVQGDKIPKSAEEILTSESSGVDEVLKDDGAAEVDNQAVATSQDETGSSADTTASEVPLVGDAIPEEVNGSTVNEGVDTEAPPLVENEITSSDSTDEKAESAKASNDQSGTAAQGSMRNATVSPALVKQLREETGAGMMDCKKALAESGGDIIKAQELLRMKGLASADKKASRATAEGRIGSYIHDNRIGILIEVNCETDFVSRGEIFRQLVDDLAMQVAACPQVQYLVTEDVPEEIVNKEREIEMQKEDLSTKPEQIRSKIVDGRIRKRLEELALLEQPYIKDDKVVVKDWVKQTIATIGENIKVRRFVRYNLGEGLEKKSQDFAAEVAAQTAARSSAPAVPKDQPVENQETAAKPPTVAVSAALVKQLREETGAGMMDCKKALTETGGDLEKAQEYLRKKGLSSADKKSSRLAAEGRIASYIHDSRIGTLIEVNCETDFVGRNEKFKELVEDLAMQVVACPQVNFVSIEEIPDSIVNKEKEIEMQREDLQSKPEHIREKIVEGRISKRLGELALLEQPFIKDDSVLVKDLVKQTVAALGENIKVRRFVRFTLGENKD >DRNTG_21522.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1489395:1495941:-1 gene:DRNTG_21522 transcript:DRNTG_21522.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Ts, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G29060) UniProtKB/TrEMBL;Acc:Q9SZD6] MKSREKPDKVEVENSVKKSDIDEPNVPTGSHIGLEADETISKELPGEASVVDSVSTVQDEEPESIAHEEASVVDSVSTVQDEEPESISHEEDSVVDSVPTVLDEEPEGISPESATAVLEALPETESSGTSIEPSGGPLLDEISIPENEVKIELEPAITSEATVTDGANNITAGETIKAHTVSSTDTISRESESSANIVQGDKIPKSAEEILTSESSGVDEVLKDDGAAEVDNQAVATSQDETGSSADTTASEVPLVGDAIPEEVNGSTVNEGVDTEAPPLVENEITSSDSTDEKAESAKASNDQSGTAAQGSMRNATVSPALVKQLREETGAGMMDCKKALAESGGDIIKAQELLRMKGLASADKKASRATAEGRIGSYIHDNRIGILIEVNCETDFVSRGEIFRQLVDDLAMQVAACPQVQYLVTEDVPEEIVNKEREIEMQKEDLSTKPEQIRSKIVDGRIRKRLEELALLEQPYIKDDKVVVKDWVKQTIATIGENIKVRRFVRYNLGEGLEKKSQDFAAEVAAQTAARSSAPAVPKDQPVENQETAAKPPTVAVSAALVKQLREETGAGMMDCKKALTETGGDLEKAQEYLRKKGLSSADKKSSRLAAEGRIASYIHDSRIGTLIEVNCETDFVGRNEKFKELVEDLAMQVVACPQVNFVSIEEIPDSIVNKEKEIEMQREDLQSKPEHIREKIVEGRISKRLGELALLEQPFIKDDSVLVKDLVKQTVAALGENIKVRRFVRFTLGENKD >DRNTG_30875.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2268327:2269107:-1 gene:DRNTG_30875 transcript:DRNTG_30875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHETHVKQTLIYPAFTEEYHHHQHHHQHHHQRHHQHHQVLQRHHQHHQVLRHLQVLRHHLNRQHLQRHQHHQDHQRHQTPESQQHQLHHQHRHLHLQKQSSSYLRLQRRRPPDSWCSSPEHVQELVLPMHDHELVQLLAQCKQAHKS >DRNTG_17119.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23324695:23325580:1 gene:DRNTG_17119 transcript:DRNTG_17119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNESHWASKGTPQKRAGIYEVSSNDALAAKVDLLSYNLDMLMGSNSKSKSVMSCSTCGGRHELPNVLFLAPPLHQFRMLTILEDKAHKGIHTAQRIIQGGNTAQTSHGINCNNKSCIFSSEIGSILRNLQASVQSLEHQMGQLAKENSKKPSGSLPSNTKENPREHLKAIALRNGRQVEIMVELEPSVMETGVAEVEDPNSVENVIEKASKEKTRKFNQRDHQGHLSTNLQSPIRPD >DRNTG_17484.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6669369:6673638:1 gene:DRNTG_17484 transcript:DRNTG_17484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMTPLKPNDMSGGDRGLPDKHPIDIDRDCDVAWIKEFRSSVNKQRGRRPGKKPCTIFKIQDSICLRNEDAYRPLLISIGPYYHKRLPTSNNYVITLENQKWLCLRHLLFRNRIRGNATELLEKCLIAMKKLGDEIRSCYSHELDNLTDDHSLAQVMLLDGCFILQLILLFGEKTPANKKDDKEDEVGEAREMLSPLHTEEEEFQLPPLGMLWTWNILRFDLLKLENQIPFFVLTTLFDLFIAPSDERPNLVDLVFKLFSDIHPSDPKSQDSLELLEATKVHHLLHLFHSTLVPSKINSPLSIKRAIKAPKWIPNATELRQAGVKFVKKENARNFLDISYNNGTMEIPELSLHDHTDTLFRNMIAFEQCYPGVENQVSLYAIFMDCIIDTPNDAHLLHLKGILSNRLSTDEAAAELFNKLSYQIYYVLQGEYLHEFCDAVNSFHKKKRNRWRAKLMRDYFSNPWAIISLITAVVFLLLTLEQSSFNVLSYFHQT >DRNTG_17718.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4027447:4028004:-1 gene:DRNTG_17718 transcript:DRNTG_17718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLPSPTEMMYTWLAPTPSTTQRSQGHSYHHSPAQGQSSNPHGKTKLFSPTLHSQIFLASTN >DRNTG_06287.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22734218:22739501:1 gene:DRNTG_06287 transcript:DRNTG_06287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLEGDTCIITPRNRVFGSPPCRFKPKRSRFTPKVFKVLCMACSNPSTLITPYSEIERSLHQRLREVGEGSGTLNIEIEDRESSIMAEYPDEAEQLLESMASNESHWASRGSTQKTGRLYEVSSNDALAAKEVLSINPLDEYLGELENEEQGEPHQHPQIHNLKQQKERVSCTNAKEKEKKESFVKKMWREIHGRKKKVL >DRNTG_02962.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22564590:22569325:-1 gene:DRNTG_02962 transcript:DRNTG_02962.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase delta small subunit [Source:Projected from Arabidopsis thaliana (AT2G42120) UniProtKB/TrEMBL;Acc:F4IM00] MRNLLRPLVPDWKPHLPVQTVLGLEEGKECIVIGTIYKHMKLKPSILDEYSKERSAVPLVKPHNFMHPDDHLILEDESGRVKLAGNCLVPSTFVTGVVLAVHGKETTDGDFLVLDVLEAGLPPQKAISVGLREDKYVVFISGLSVGSSLFNPLQFQLFVDHITGHLGDENEQNIASQIVHLVIAGNSVEISQGLLGGQALTSKDQSLLSEPIKELDVLLTQLAAALPLDIMPGSNDPANFSLPQQPLNRCLFPGASVYNTFMSCTNPHQFELDDIQFIGTSGQNIHDLDKYSEATEKVEFVERTLRWRHLAPTAPNTLGCYPFTDKEPFLLESCPHVYFTGNQDRFETRVIEGPQKQFTRLICIPRFCETGTAVMLNLKNFECHALSFSTDL >DRNTG_02962.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22564590:22565360:-1 gene:DRNTG_02962 transcript:DRNTG_02962.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase delta small subunit [Source:Projected from Arabidopsis thaliana (AT2G42120) UniProtKB/TrEMBL;Acc:F4IM00] GCYPFTDKEPFLLESCPHVYFTGNQDRFETRVIEGPQKQFTRLICIPRFCETGTAVMLNLKNFECHALSFSTDL >DRNTG_02962.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22564590:22569502:-1 gene:DRNTG_02962 transcript:DRNTG_02962.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase delta small subunit [Source:Projected from Arabidopsis thaliana (AT2G42120) UniProtKB/TrEMBL;Acc:F4IM00] MEDSEMLDGEPKLIERKQALYRCLDERFVIRGERYKGQQYSQIYFTRLHHMRNLLRPLVPDWKPHLPVQTVLGLEEGKECIVIGTIYKHMKLKPSILDEYSKERSAVPLVKPHNFMHPDDHLILEDESGRVKLAGNCLVPSTFVTGVVLAVHGKETTDGDFLVLDVLEAGLPPQKAISVGLREDKYVVFISGLSVGSSLFNPLQFQLFVDHITGHLGDENEQNIASQIVHLVIAGNSVEISQGLLGGQALTSKDQSLLSEPIKELDVLLTQLAAALPLDIMPGSNDPANFSLPQQPLNRCLFPGASVYNTFMSCTNPHQFELDDIQFIGTSGQNIHDLDKYSEATEKVEFVERTLRWRHLAPTAPNTLGCYPFTDKEPFLLESCPHVYFTGNQDRFETRVIEGPQKQFTRLICIPRFCETGTAVMLNLKNFECHALSFSTDL >DRNTG_12479.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:22551621:22551905:-1 gene:DRNTG_12479 transcript:DRNTG_12479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIRGMELFGELERYIVVGSISLLGVDTLRSIVPGEDSRDILDDETGSDSSLSSTIGSVRAPVHPPQCHFHI >DRNTG_14743.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:156238:159769:1 gene:DRNTG_14743 transcript:DRNTG_14743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSPSSAPPSPPKSAKTPTFLTPRSLTCCCLKAWRNWATLSNMQSSDTTSLANMLLGVKGSCRM >DRNTG_10662.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000448.1:21025:21764:1 gene:DRNTG_10662 transcript:DRNTG_10662.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSEENLSPDLTNNTINTIEIRNKNFDRYIEIEEKKEGWRSHRRSLNGGCCTNPGTRRRRVRL >DRNTG_09395.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30638402:30638811:1 gene:DRNTG_09395 transcript:DRNTG_09395.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGASCRLGDHRNIPMLITPSSPTAQLKRRETSKDSAMEIRRE >DRNTG_09395.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30638185:30638811:1 gene:DRNTG_09395 transcript:DRNTG_09395.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGASCRLGDHRNIPMLITPSSPTAQLKRRETSKDSAMEIRRE >DRNTG_09395.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30633316:30638811:1 gene:DRNTG_09395 transcript:DRNTG_09395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGASCRLGDHRNIPMLITPSSPTAQLKRRETSKDSAMEIRRE >DRNTG_04253.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000202.1:133685:138018:-1 gene:DRNTG_04253 transcript:DRNTG_04253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPTSGLSIGVTRVLTPITGLQGIPYKEQGEESIPLPRPSHPFRSPKLAITRSPSSWYHSHSFLSLRGGASDETTCGLCIMEKLAHLLSVVIILFDFGTSSIHQSFGSAPASYMQSN >DRNTG_23492.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:810136:812648:1 gene:DRNTG_23492 transcript:DRNTG_23492.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAPSMPEVISLMRSLLRTAAKFPDYNIREYTRRRVIDAFRENRAITDASSIAVAFAEGKSQLEVAKRQAVVYSLYAPKIKSVMEIESL >DRNTG_15402.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4780579:4783603:1 gene:DRNTG_15402 transcript:DRNTG_15402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEVLSLFISKKTEKENLEMLAPHLGLRPGFKKVITHHPGIFYVSNKLRTHTVVLREAYRRDLLVEKHPLMGFRYQYIHLMRKGKEGGDASKDGKGKEIDPQSIDDGENLVDEIKGEDEEEDWEDDSQGEEEEGFVGSAVIDTTDEEK >DRNTG_15402.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4780579:4783390:1 gene:DRNTG_15402 transcript:DRNTG_15402.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEVLSLFISKKTEKENLEMLAPHLGLRPGFKKVITHHPGIFYVSNKLRTHTVVLREAYRRDLLVEKHPLMGFRYQYIHLMRKGKEGGDASKDGKGKEIDPQSIDDGENLVDEIKGEDEEEDWEDDSQGEEEEGFVGSAVIDTTDEEK >DRNTG_15402.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4780381:4783390:1 gene:DRNTG_15402 transcript:DRNTG_15402.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFSCRTGGYRKGTPLSFPLNFPSGFELEKKVRKWLDEWQKLPFISPYEDASHLDPKSDLFDKWTVGMVHEVLSLFISKKTEKENLEMLAPHLGLRPGFKKVITHHPGIFYVSNKLRTHTVVLREAYRRDLLVEKHPLMGFRYQYIHLMRKGKEGGDASKDGKGKEIDPQSIDDGENLVDEIKGEDEEEDWEDDSQGEEEEGFVGSAVIDTTDEEK >DRNTG_15402.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4780416:4783390:1 gene:DRNTG_15402 transcript:DRNTG_15402.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFSCRTGGYRKGTPLSFPLNFPSGFELEKKVRKWLDEWQKLPFISPYEDASHLDPKSDLFDKWTVGMVHEVLSLFISKKTEKENLEMLAPHLGLRPGFKKVITHHPGIFYVSNKLRTHTVVLREAYRRDLLVEKHPLMGFRYQYIHLMRKGKEGGDASKDGKGKEIDPQSIDDGENLVDEIKGEDEEEDWEDDSQGEEEEGFVGSAVIDTTDEEK >DRNTG_15402.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4780416:4781827:1 gene:DRNTG_15402 transcript:DRNTG_15402.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFSCRTGGYRKGTPLSFPLNFPSGFELEKKVRKWLDEWQKLPFISPYEDASHLDPKSDLFDKWTVGMVHEVLSLFISKKTEKENLEMLAPHLGLRPGFKKVITHHPGIFYVSNKLRTHTVVLREAYRRDLLVEKHPLMGFRYQYIHLMRKGKEGGDASKDGKGKEIDPQSIDDGENLVDEIKGEDEEEDWEDDSQGEEEEGFVGSAVIDTTDEEK >DRNTG_24725.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7769527:7770845:1 gene:DRNTG_24725 transcript:DRNTG_24725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFKPPKHVTVVNGVIVKFYGRKCPCTGSCGCSHFGGLRGSGGFFLGVIPRDEFTGAVYLFFLNSCPQFFPFRSCLYLHILCNFSFSLSTGVSNEKSGASIRYFISLQSKISKSAVGISTGVLLV >DRNTG_08103.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13657914:13663079:-1 gene:DRNTG_08103 transcript:DRNTG_08103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSLDFDDTLYFLDATDELIDEYLQEMMNPNTYEGLLDQGVENEKVLMLGLENKMQPTPGIMKKMLWKMKRARRCHKKRPKANGNEQERNEIEEMQWVVGDGYCPHGSWRSHHSMSVTQFSIRLGHYDEAFTDTEEYDHLPTEYHEGANSLGAYLGGVFEASGAVCESGMIFSGPYITRLIIGMGLLEIIRGAEKMIVPSLLGLETIRLMGMIHRYRDRVYVLNIPSPDLVDVEGSQPAQEPQ >DRNTG_32244.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9985976:9987928:-1 gene:DRNTG_32244 transcript:DRNTG_32244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAPHFAAGPLSLSPGLLSLPLTFNHKRWRSFLGALISKAMASMARTFVPKMWWPVKRLPISSNHRLVRLASTRTNMPVGLQCSLMKAINGLISLSVMHPYSFNYCISEAIPLEYNLVALNAISFDKGCYVGQELVARTHHRGVIRKRLVPLKFVDRHCQDHPGLPGNGPSEVGRGFQAFTCFKYQR >DRNTG_21904.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1743234:1746271:-1 gene:DRNTG_21904 transcript:DRNTG_21904.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRRVSMYNSHLYLVMKESLDVFLYRVMTSLWFHFTASIIFLFGFIAKHLFRINRENVSQVSEIKESKEEKEEEEKEEEQQVSDFCFKFEYQVSEDEKENKSSSVITEINKYQFLSENDFSGFFEEPEVKTIFHVQESSAVDDDLQQQTVEAVLEELKLNFNSKELLEDALCFKKPKKCNSETSLCSDGTKFISEEFSGFDSDSESSTSDGYSVKNLVVDSDSDGFLSERDFGGDSDVEKEEFKEYDDSALNKAMSLQFSHSFDSEIFRIGIDNGIGGRSSCSSSKTPPSDHSVHSEELWSDDESCDHFIELKQLKGLKFIASPDDELFSLKNEENSMKDDAPRETEQDKSNDNEEKKAEEINPKNLDDEEFDELESLWEHQDLIEQLRMELRKARATGLPTILEESESPKTIEVPKPLKIDGKFLREDPIDELHKFYKSYRERMRKFDILNYQKMYAIGFLQLKDPLQSLASQAKTSIVFQNLWPFRHRKCGDDLSKKFIKDLQSDLETVYVGQTCLSWEFLRWEYEKARELPESDPHWSHQYNQVAGEFQQFQVLIHRFLENESFQGPRLSNYVKNRCILRNLLQVPVIREDCKNDKIEEQKKGSYAITSELLEEIMEESIRVLWEFIKADKDETPVILKSLIGSQVELQDPSDYETLVTAQKILQKKEKKLKDILRTGNCLVKKFKKAREDRSNQELFFSQIDMKLVARVLKMSRLTSDQLVWCHKKLSKITFSERTIHREPAFLLFPC >DRNTG_21904.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1743234:1746271:-1 gene:DRNTG_21904 transcript:DRNTG_21904.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRRVSMYNSHLYLVMKESLDVFLYRVMTSLWFHFTASIIFLFGFIAKHLFRINRENVSQVSEIKESKEEKEEEEKEEEQQVSDFCFKFEYQVSEDEKENKSSSVITEINKYQFLSENDFSGFFEEPEVKTIFHVQESSAVDDDLQQQTVEAVLEELKLNFNSKELLEDALCFKKPKKCNSETSLCSDGTKFISEEFSGFDSDSESSTSDGYSVKNLVVDSDSDGFLSERDFGGDSDVEKEEFKEYDDSALNKAMSLQFSHSFDSEIFRIGIDNGIGGRSSCSSSKTPPSDHSVHSEELWSDDESCDHFIELKQLKGLKFIASPDDELFSLKNEENSMKDDAPRETEQDKSNDNEEKKAEEINPKNLDDEEFDELESLWEHQDLIEQLRMELRKARATGLPTILEESESPKTIEVPKPLKIDGKFLREDPIDELHKFYKSYRERMRKFDILNYQKMYAIGFLQLKDPLQSLASQAKTSIVFQNLWPFRHRKCGDDLSKKFIKDLQSDLETVYVGQTCLSWEFLRWEYEKARELPESDPHWSHQYNQVAGEFQQFQVLIHRFLENESFQGPRLSNYVKNRCILRNLLQVPVIREDCKNDKIEEQKKGSYAITSELLEEIMEESIRVLWEFIKADKDETPVILKSLIGSQVELQDPSDYETLVTAQKILQKKEKKLKDILRTGNCLVKKFKKAREDRSNQELFFSQIDMKLVARVLKMSRLTSDQLVWCHKKLSKITFSERTIHREPAFLLFPC >DRNTG_14847.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23012111:23012603:-1 gene:DRNTG_14847 transcript:DRNTG_14847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNSQEAQAQGEYTKDGSMDLKGKPILRSKSGGWTACSFIIVFEVFERMAYFGIGSSLVIYLTTKLHQSTVASANNVTNWIGTLFMTPILGAYVADTHLSRYWTFIISSAIYLS >DRNTG_15004.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23914529:23915089:1 gene:DRNTG_15004 transcript:DRNTG_15004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPQRSQLLRTLLLLLLLTITPSIPDSFRPSYLYFLFNIFVVALGIEAGLLSAISGPLHDQQKPSNEVSLINISTKNQVVQQEVIKQVEKPAVLTAEKVLTVARIHRVKKSTSTPSLFFIGGGDVEAHQFVDYYEETEEEDEADHDSAQELFAKAEMFIGNFYKQLKMQREESWKKIHGLYHKPF >DRNTG_04228.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:781570:786982:1 gene:DRNTG_04228 transcript:DRNTG_04228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRISGDTGHYRAGPRGSGRAWGWRTVQGLHYSKGGRDMDEHCLGYCSTESELISCPWCGIPVPFPAMASEQYPLLWLLLISIIISIISLPCASLDSISANQTLYGEQLLSSSGGNFVLGFLPIGTNSSQRFYIGIWYNKVSERTPVWLANRAKPVSNPSTSVLQISSQDGNLVLLDQSKSIVWSTNITTTSSTSNSTVAVLLDTGNLVLRDGTNNSSKILWQSFDNPTHTWLPGGKLAFNKVTGKSQQLTSWKNSEDPTPGLFSLEIDPDGTSQYIIQWNNSRSYWSSGLWNGQIFSLVPEMTSNYIYNFSYVNNSQENYFIYYVKDENIISRFIMDVSGQIKMLTWVPASGSWILFWSQPRQQCEVFAICGPFGSCNENALPYCNCVKGFRQKFPEDWALSDQSGGCERNTPLQCNTGNNSSANVDQDKFFEMDNVRLPDNPQALQVGSLDECGLACLNNCSCSAYSYESGCSLWFGDLLNLQEQYGSGTGGGTLYLRLAASELPTQKSQKNMVVGIVTGVVAACLLCGAIVWVLVWRRRKTALLNASKTMENGLMAFRYSDLQRVTKNFTQKLGEGGFGSVFKGVLPDSTAIAVKKLEGLRQGEKQFRTEDDETLRANNGSSCSDF >DRNTG_04793.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2429651:2432758:-1 gene:DRNTG_04793 transcript:DRNTG_04793.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELNENRTLLLAGSSSDSDSERAFEEEEKVIISITSDSDADADSPPPFSWSKLWRFTGPGFLMCIAFLDPGNLEGDLQAGAIAGYSLLWLLLWATVMGLLIQLLSARLGVATGRHLAELCRDEYPKWASVTLWLMAELALIGADIQEVIGSAIAIKILSGGAVPLWAGVLITAFDCFIFLFLENYGVRKLEALFGILVGTMAVSFAIIFGETKPSGKELLVGVLVPKLSSRTIRQAVGVVGCVIMPHNVFLHSALVQSRKIDNTKKNRVQEAMRYYSIESTIALVISFVINVCVTTVFANGFYGTPEANNIGLENAGQYLKDKFGGRLFPVLYIWGIGLLASGQSSTITGTYAGQFIMGGFLNLRLKKWVRAMITRSFAIVPTIIVALCFDTSDDALDQFTETLNILQSVQIPFALIPLLILVSKEQVMGVFKISNAMKILTWAIAAFLIIINGYLLLDFSTTQVHGLALISILSVVLVVYVAFVIYLIVQGTGLWSRLNSAVCKGSSN >DRNTG_06728.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16410584:16411465:1 gene:DRNTG_06728 transcript:DRNTG_06728.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQNRLPTRRWSGVPTSQSRPAAASVSSSSSAVQSDPAPTSTPAPISIPSSIAPVTVSVPPTSTTPALVAVTAVGPLPSISASTEGGDVYASHRVRIYVQSGRKNIIGPQKKRKLLKQFGKKSLDVNIAATYVGGTSIMKRLVRSLFGLRMKFGINGLNTGIKMNSSISLGKPLTIGAARQEVKGTE >DRNTG_26340.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1337670:1339702:-1 gene:DRNTG_26340 transcript:DRNTG_26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKFYHSDAKNKFVRQKNYKNSRKNLGDE >DRNTG_05154.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21055284:21056492:1 gene:DRNTG_05154 transcript:DRNTG_05154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSEQEPRDFMTVDSFSQLPFIRPSPSGLHKPPPSSSSSSSSAIRLFGIEFPHNSTDEQSQQQQQQQQQQQQQQQDQQQDQEVVHLIDQTNSTTTSTPNGDINTTRKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLHSAMASLHNHHSNNAHVYGVYNYHRLISSMPSATRFGLGHVFEPPSSSSWSPAGVQFYGGLGSVSQPINGSPLPGLWRVSGATPESKIFSGDGDHAFSTTNFNAFSSSSSPSSPSSSMVRSKENLSLDLHL >DRNTG_05154.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21055327:21056271:1 gene:DRNTG_05154 transcript:DRNTG_05154.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSEQEPRDFMTVDSFSQLPFIRPSPSGLHKPPPSSSSSSSSAIRLFGIEFPHNSTDEQSQQQQQQQQQQQQQQQDQQQDQEVVHLIDQTNSTTTSTPNGDINTTRKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLHSAMASLHNHHSNNAHVYGVYNYHRLISSMPSATRFGLGHVFEPPSSSSWSPAGVQFYGGLGSVSQPINGSPLPGLWRVSGATPESKIFSGDGDHAFSTTNFNAFSSSSSPSSPSSSMVRSKENLSLDLHL >DRNTG_05154.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21055327:21056492:1 gene:DRNTG_05154 transcript:DRNTG_05154.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSEQEPRDFMTVDSFSQLPFIRPSPSGLHKPPPSSSSSSSSAIRLFGIEFPHNSTDEQSQQQQQQQQQQQQQQQDQQQDQEVVHLIDQTNSTTTSTPNGDINTTRKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLHSAMASLHNHHSNNAHVYGVYNYHRLISSMPSATRFGLGHVFEPPSSSSWSPAGVQFYGGLGSVSQPINGSPLPGLWRVSGATPESKIFSGDGDHAFSTTNFNAFSSSSSPSSPSSSMVRSKENLSLDLHL >DRNTG_05154.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21055284:21056271:1 gene:DRNTG_05154 transcript:DRNTG_05154.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSEQEPRDFMTVDSFSQLPFIRPSPSGLHKPPPSSSSSSSSAIRLFGIEFPHNSTDEQSQQQQQQQQQQQQQQQDQQQDQEVVHLIDQTNSTTTSTPNGDINTTRKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLHSAMASLHNHHSNNAHVYGVYNYHRLISSMPSATRFGLGHVFEPPSSSSWSPAGVQFYGGLGSVSQPINGSPLPGLWRVSGATPESKIFSGDGDHAFSTTNFNAFSSSSSPSSPSSSMVRSKENLSLDLHL >DRNTG_08737.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5062249:5070892:1 gene:DRNTG_08737 transcript:DRNTG_08737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSIDLEKTLKDYLDKSTEPEYLDLFIVDKPTNKQLANNLSYLNHQAKLLSRVSLKYFFQLSEEIRRVEAENRKASRVVNLAKRSWRARLWQGKES >DRNTG_11177.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4270721:4273642:-1 gene:DRNTG_11177 transcript:DRNTG_11177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSAADVAVFLLSAIILLLLFFFFSTSTLVSAAGHYCAPSSCGNLTNIRYPFRLKDDPPNCGDPNYELTCDHLNNTVLSLLSNSYYVTTITYYTDNYFYDDVNFDIQVKDVRMVKHNKGSCSHLPLLSSPLTVSKLSSNSYYMVYTWVSLVNCSKEVKNKFLMSFGFNREYYSYWPASCLSNNNSFIYLISDDGYGDYGPYAVRHLMPSCRFLAMFPDNINNPWYPSDQEPADIFNFLAQGFILSRRLLIPKTIRYCLRTSLRDTIQYITNHRNPINQRIQSVLYGIETHFLRCIKIDDHSRKHYNLVISTIYIILILSQIVKALTVLAVLGRCIFAPLTMFAFLSYKLYQMATSVDFVEKFLRNQQTLIPTRYSYTDIIAMTNNFKEKLGQGGFGYVFQRQTSLGPACCY >DRNTG_11177.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4272842:4273642:-1 gene:DRNTG_11177 transcript:DRNTG_11177.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSAADVAVFLLSAIILLLLFFFFSTSTLVSAAGHYCAPSSCGNLTNIRYPFRLKDDPPNCGDPNYELTCDHLNNTVLSLLSNSYYVTTITYYTDNYFYDDVNFDIQVKDVRMVKHNKGSCSHLPLLSSPLTVSKLSSNSYYMVYTWVSLVNCSKEVKNKFLMSFGFNREYYSYWPASCLSNNNSFIYLISDDGYGDYGPYAVRHLMPSCRFLAMFPDNINNPWYPSDQEPADIFNFLAQGFILSRRLLIPKTIRYCLRTSLR >DRNTG_24758.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2199174:2202291:1 gene:DRNTG_24758 transcript:DRNTG_24758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLWHLGDEFRGQSKASEDHQWSVITSKLAELTRTKGERMNNLDISKSPQDVKPWEKYALEDTKFDSLNLNLMNLDFKINEVAVKSPFRNGALNMNTMYQKSNMSNINSINGFKLNSVTNKYAQSNSSKDVNNNINHNNNAGNKNVDNSNNNNNNNNLVVDKRFKTLPSAEMLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAASFGGTNIDPTAWEDKKCKGESRFPAQVRIRVRKICKALEEDSFRPVLFHYDGPKFRLELSIKETLDLLDLCEKAGM >DRNTG_00055.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21736304:21739872:-1 gene:DRNTG_00055 transcript:DRNTG_00055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHSALPYSWRLFILVGIVMTAASAYYAGASEMDRKVYIVYMGDRPTGGLSAVKSTHMKMLEQVVGSSRGASELLVWSYHRSFNGFVARLTDQESKTMAGMSTVVSVFHSQHRQLHTTRSWSFMGFPVNVTRNKYESNVIIGMLDTGIWPESQSFNDEGFSPPPSKWKGTCQSSSNFTCNNKLIGARYYNLERSMVEDGGDSPRDLEGHGSHTSSTAAGSLVTGVSLYGLGEGTARGAVPSARIAAYKICLPDFGCSDANILAAFDDAIADGVDIISISVGSDYPFDYFDDSIAIGAFHAMKNGILTSNSAGNSGPGLYSVANYSPWSLTVAASTIDRRFLAEIKLGNGNSYQGTAVSTFDLKDTMFPLLYGGDAPNTSAGYDGSTSRYCYDGTLDEDLVKGKVVLCDELTDATGPLNAGAVGTVMLNAGHDDYAFSFPLPATVLTSLDLRNVSAYINTTSNPTAIILKSKGVYDPHAPSVVSFSSRGPNPITHDILKPDLTAPGVDILAAWSPVASMSVDPTDKRSVPYNIISGTSMSCPHASGAAAYVKSFHPTWSPAAIKSALMTTTYPMNVSLSKDAELGYGAGHLNPIAAVDPGLIYDAYEADYVKMLCGQGYSNKNLSLVTGDNTTCSSTNNGTVWDLNYPSFALSAKLGKNFSQSFNRMVTNVGFANSTYKATVSTPSELNVSVEPDIISFKSQGEKQSFVVTISGQTSKSLLSASLVWDDGVHHVKSPIVVLLVRNT >DRNTG_07299.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3386957:3471548:1 gene:DRNTG_07299 transcript:DRNTG_07299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSIQTFMQALLILMAGALVTVTAQNCGCASNECCSQYGYCGTGEAYCGYKCQRGPCYTPTGNIGDIVTDSFFNGIAQCKQFYTRSAFLQAAAKYPYFGRSGTIDDKKREIAAYFAHVTQETGHMCLIEESNGASKDYCNRTETQYPCNPNKKYYGRGPLQLTWNYNYGPAGGDIGFDGLNQPETVANDVVVSFKSSLWYWMTNNAHRHMVVDQDFGATIRAINGKYECDGGNTAAVNSRVGYYKDYCNRLATLNHI >DRNTG_07299.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3386957:3387915:1 gene:DRNTG_07299 transcript:DRNTG_07299.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSIQTFMQALLILMAGALVTVTAQNCGCASNECCSQYGYCGTGEAYCGYKCQRGPCYTPTGNIGDIVTDSFFNGIAQCKQFYTRSAFLQAAAKYPYFGRSGTIDDKKREIAAYFAHVTQETGHMCLIEESNGASKDYCNRTETQYPCNPNKKYYGRGPLQLTWNYNYGPAGGDIGFDGLNQPETVANDVVVSFKSSLWYWMTNNAHRHMVVDQDFGATIRAINGKYECDGGNTAAVNSRVGYYKDYCNRLGVDPGNRLTC >DRNTG_18857.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2467077:2470235:1 gene:DRNTG_18857 transcript:DRNTG_18857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVAGIVCRRSTAAAIAHHRHFPFYDLACRSMSQLVKANGKRAFLVDTLALVRRLEGEGVPSKHAEVITSAITEVLNDSLENVSQSFVSKSDMQRSELIQEANLSKFKSEVQSSQEHHFSLLQRETEKLRGDIDKMRSELRYEIDKVTAGQRLDLNLERGRIRDELAKQNSETTDLTTKLDREIHALRAQLEAAKYDVIKYCIGTLVSISAAGLAVIRILL >DRNTG_03418.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3286399:3288820:1 gene:DRNTG_03418 transcript:DRNTG_03418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTSMTNSCENTPVADEQAPENPKVNEEAKPNEKDEVEKVECKSTSSDSDWESDKDVKEGDEKKKTTEVVNKDQPSKTQGDDGNKENDDGEKLECKSTSSKSNSDSKSDDDSKNSDDSKSDDDSKSSDDSKSDDDSKSGDDSKSDSNSVSGDDSKSEDESKSLKKTEKKKKKVSKKKMKKVGCPSTSSDSTSKADGEEKKEQASKATNKDQTSKIEGDNIDKEEGDEEKLECKSNSSKSNSDSKSDGDSDSGDDSKSESEAKSLKKASEDNEGNEKKKKKALKKKLKKEANKKSEEKENEPDDQSVKQEGGEPSDTKEEKSILAKIVGKLPSYN >DRNTG_14999.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23940970:23943684:-1 gene:DRNTG_14999 transcript:DRNTG_14999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFTVLAAEVALVLVLLFKTPLRKLAIMALDRLKRGRGPVMVKTVAGTVVIVFASSVYSMAKIQNRSTEIGSLTPTDQVLMSRHLLEASLMGYSLFLVLIIDRLHHYIRELRTSRKTMEAAMKQNRALEEAKNGSSEDIKAKEKEVSDLTAKIKQLEMDSEERLKEAKAAEANAFALKRQSESFLLEYDRMLEENQNLRNQLQSIDLMLSHSDNKKNS >DRNTG_27355.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6681896:6689033:-1 gene:DRNTG_27355 transcript:DRNTG_27355.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRSSDDDEDFGKQDGDASHKVGLTGKMDRRSTDPNTPRSKHSATEQRRRSKINDRFQKLRELIPCSDQKRDKASFLFEVIEYIRFLQDKVQKYEASCPEWNQENAWEKVYFRSIWKNAQQNNSQGAVDDITDPAQVLKNGSAASPGFVLSGRFDDSNIPVVPAMVSNTHTHNPSDSDNIAADVSFKQMENPHVAGLAVPLQPNMYAAVGNETLVSQTQQRLIPDGDDFASQSHSQLDCAVSSDLLNEQEELTIDEGTIAVSGVYSRGVLSSLTQSLQSSGVDVTQTSISVHINLGKRLLTMRPSMAPTVISTKDHDDPSTDHRAIGHSMAGFSGEESVRMNKKPRLDNR >DRNTG_27355.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6681896:6689033:-1 gene:DRNTG_27355 transcript:DRNTG_27355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRSSDDDEDFGKQDGDASHKVGLTGKMDRRSTDPNTPRSKHSATEQRRRSKINDRFQKLRELIPCSDQKRDKASFLFEVIEYIRFLQDKVQKYEASCPEWNQENAWEKVYFRSIWKNAQQNNSQGAVDDITDPAQVLKNGSAASPGFVLSGRFDDSNIPVVPAMVSNTHTHNPSDSDNIAADVSFKQMENPHVAGLAVPLQPNMYAAVGNETLVSQTQQRLIPDGDDFASQSHSQLDCAVSSDLLNEQEELTIDEGTIAVSGVYSRGVLSSLTQSLQSSGVDVTQTSISVHINLGKRLLTMRPSMAPTVISTKDHDDPSTDHRAIGHSMAGFSGEESVRMNKKPRLDNR >DRNTG_25738.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18408286:18409255:-1 gene:DRNTG_25738 transcript:DRNTG_25738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGVPLALALSQGQPAHQSGKANHCSSEENKLQQLYSP >DRNTG_01703.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23703272:23703491:-1 gene:DRNTG_01703 transcript:DRNTG_01703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQFARLSLACPWIRQQFERLTYSGISGSMLIFNSP >DRNTG_33805.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2803641:2805090:1 gene:DRNTG_33805 transcript:DRNTG_33805.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKETPPRTTSPGRRRSGRHSLRRSWCGWCS >DRNTG_33805.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2804707:2805090:1 gene:DRNTG_33805 transcript:DRNTG_33805.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKETPPRTTSPGRRRSGRHSLRRSWCGWCS >DRNTG_33805.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2802887:2805090:1 gene:DRNTG_33805 transcript:DRNTG_33805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETPPRTTSPGRRRSGRHSLRRSWCGWCS >DRNTG_10103.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20776949:20786819:-1 gene:DRNTG_10103 transcript:DRNTG_10103.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVILRTSSLFLALRCSPPPSLRSSPFSLNPNPLFHRLSSSFRCHRAMSGQRTVADVLMGAARAAAKKNTKKPSTATTTTSSAPVPSDPQPEDAAPPPKKPKTLDGTAHSDPEPKNSILELKKKGHDFNPKAAASWKDGEPVPFLFLARALDLISNESGRIAITDIICNVFRTVIATTPGDLLPTVYLSANKIAPPHEGIELGIGDASIVRALAEAYGRKEEHVKKQLKELGDLGLVAKASRSSQMTMRKPQPLTIARVFDTFRAIAKESGKDSQDKKRTHIKGLLVAATDCEPQYIIRLLQSKMRIGLAEKTVLVALGQAVVYAEKPKVASEQVQSSLEEAGKIIKQVYSVLPVYDKIVPSLLHVGVGKLQEVCDFSLGVPVGPMLAKPTKAVSEILDKFQGMEFTCEYKYDGERAQIHYMEDGSVEIYSRNAERNTGKYPDVVNYVSRFKKPSVKSFVLDCEIVAYDREKMKILPFQVLSTRARKGVILSDIKVAVCTYAFDILYIDGQPLLKEQLKVRREHLYRSFEEVPGEFKFATTITSNDLEEIQKFLETAVNSSIGDSLDLVPIAAFHGRGKRTGVYGSFLLACYDEQNEEYQSICSIGTGFSESQLEECSKSLRNKVIPKPKPYYRYADTMNPDVWFEPAEVWEVKAADLSISPVHRAASGIVDPNKGISLRFPRLQRVRDDKTPDQATTSEQVAEMYRAQKINHTNNQDDDEDD >DRNTG_10103.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20776949:20786819:-1 gene:DRNTG_10103 transcript:DRNTG_10103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILRTSSLFLALRCSPPPSLRSSPFSLNPNPLFHRLSSSFRCHRAMSGQRTVADVLMGAARAAAKKNTKKPSTATTTTSSAPVPSDPQPEDAAPPPKKPKTLDGTAHSDPEPKNSILELKKKGHDFNPKAAASWKDGEPVPFLFLARALDLISNESGRIAITDIICNVFRTVIATTPGDLLPTVYLSANKIAPPHEGIELGIGDASIVRALAEAYGRKEEHVKKQLKELGDLGLVAKASRSSQMTMRKPQPLTIARVFDTFRAIAKESGKDSQDKKRTHIKGLLVAATDCEPQYIIRLLQSKMRIGLAEKTVLVALGQAVVYAEKPKVASEQVQSSLEEAGKIIKQVYSVLPVYDKIVPSLLHVGVGKLQEVCDFSLGVPVGPMLAKPTKAVSEILDKFQGMEFTCEYKYDGERAQIHYMEDGSVEIYSRNAERNTGKYPDVVNYVSRFKKPSVKSFVLDCEIVAYDREKMKILPFQVLSTRARKGVILSDIKVAVCTYAFDILYIDGQPLLKEQLKVRREHLYRSFEEVPGEFKFATTITSNDLEEIQKFLETAVNSSCEGLIIKTLDKDATYEPSKRSNNWLKLKKDYMDCIGDSLDLVPIAAFHGRGKRTGVYGSFLLACYDEQNEEYQSICSIGTGFSESQLEECSKSLRNKVIPKPKPYYRYADTMNPDVWFEPAEVWEVKAADLSISPVHRAASGIVDPNKGISLRFPRLQRVRDDKTPDQATTSEQVAEMYRAQKINHTNNQDDDEDD >DRNTG_18746.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1248036:1253694:1 gene:DRNTG_18746 transcript:DRNTG_18746.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARALHRAFSAVVALLLLASGVPNAKPVPDLAARTLPGGSAVLPLELFHGNSTRRPISAVRRHLQGHPTANAHMRLYDDLLTNGYYTTKLWIGTPPQKFALIVDSGSTVTYVPCSTCERCGHHQDPRFQPDESSTYEPVKCNTACTCDKDKQQCTYERQYAEMSSSSGVLGKDVMSFGDESALRPQRAVFGCENVETGDLFSQHADGIMGLGRGPLSIMDQLVEKGVISDSFSLCYGGMDIGGGAMVLGGISPLPDMVFSRSDPVRRYGRSSLLGTTRLKNFFTNTFDTSPTFTLVSGWKQSILQH >DRNTG_18746.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1248036:1253694:1 gene:DRNTG_18746 transcript:DRNTG_18746.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGNGQKLQLSPENYLFRHSKVHGAYCLGIFQNGKDPTTLLGGIIVRNTLVTYDRQNQKIGFWKTNCSQLWEGLQSHGAPLPAPLPAPSVSDNHTSNGGLSPGPSPSGLQYYTLPGQFQVGRITFDMSLNVSYLDLVPHIKQLTELIGHELEVDVHQVHLVNFTSKGNTTWLKWGIFPEGSADIFSNTTALGIISRLEGHFRLPVNYGTYQLGDWKVEPPLRRTWLQQHLWAVILGISAVVLLGFATLLVWYFWRHKTGAMTPYRPLGAPVPEQELQPL >DRNTG_18746.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1248036:1253694:1 gene:DRNTG_18746 transcript:DRNTG_18746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALHRAFSAVVALLLLASGVPNAKPVPDLAARTLPGGSAVLPLELFHGNSTRRPISAVRRHLQGHPTANAHMRLYDDLLTNGYYTTKLWIGTPPQKFALIVDSGSTVTYVPCSTCERCGHHQDPRFQPDESSTYEPVKCNTACTCDKDKQQCTYERQYAEMSSSSGVLGKDVMSFGDESALRPQRAVFGCENVETGDLFSQHADGIMGLGRGPLSIMDQLVEKGVISDSFSLCYGGMDIGGGAMVLGGISPLPDMVFSRSDPVRSPYYNIELKELRVSGKSLGLNPKVFDSKHGTVLDSGTTYAYLPEEAFVALRDAITSKLHTLKQIRGPDPNYHDICFSGAGNDVSQLSKTFPEVEMVFGNGQKLQLSPENYLFRHSKVHGAYCLGIFQNGKDPTTLLGGIIVRNTLVTYDRQNQKIGFWKTNCSQLWEGLQSHGAPLPAPLPAPSVSDNHTSNGGLSPGPSPSGLQYYTLPGQFQVGRITFDMSLNVSYLDLVPHIKQLTELIGHELEVDVHQVHLVNFTSKGNTTWLKWGIFPEGSADIFSNTTALGIISRLEGHFRLPVNYGTYQLGDWKVEPPLRRTWLQQHLWAVILGISAVVLLGFATLLVWYFWRHKTGAMTPYRPLGAPVPEQELQPL >DRNTG_18053.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:56397:57696:-1 gene:DRNTG_18053 transcript:DRNTG_18053.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIIFSFLAFVLTLSPVFADPDLLQDLCVADLNSTVKLNGFACKAAAAVNETDFFFAGLANPGSTNNTMGSLVTGANVEKIPGLNTLGVSMSRIDYAPGGLNPPHTHPRATEMVFVLDGSLDVGFITTTNKLISQTISKGDIFVFPRGLVHFQKNNGKVPAAVIAAFNSQLPGTQSIGATLFASTPSVPDAVLTKAFQVGTKEIEKIKSRLTPKKVI >DRNTG_18053.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:56397:57392:-1 gene:DRNTG_18053 transcript:DRNTG_18053.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIIFSFLAFVLTLSPVFADPDLLQDLCVADLNSTVKLNGFACKAAAAVNETDFFFAGLANPGSTNNTMGSLVTGANVEKIPGLNTLGVSMSRIDYAPGGLNPPHTHPRATEMVFVLDGSLDVGFITTTNKLISQTISKGDIFVFPRGLVHFQKNNGKVPAAVIAAFNSQLPGTQSIGATLFASTPSVPDAVLTKAFQVGTKEIEKIKSRLTPKKVI >DRNTG_18053.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:56254:57392:-1 gene:DRNTG_18053 transcript:DRNTG_18053.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIIFSFLAFVLTLSPVFADPDLLQDLCVADLNSTVKLNGFACKAAAAVNETDFFFAGLANPGSTNNTMGSLVTGANVEKIPGLNTLGVSMSRIDYAPGGLNPPHTHPRATEMVFVLDGSLDVGFITTTNKLISQTISKGDIFVFPRGLVHFQKNNGKVPAAVIAAFNSQLPGTQSIGATLFASTPSVPDAVLTKAFQVGTKEIEKIKSRLTPKKVI >DRNTG_18053.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:56369:57392:-1 gene:DRNTG_18053 transcript:DRNTG_18053.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIIFSFLAFVLTLSPVFADPDLLQDLCVADLNSTVKLNGFACKAAAAVNETDFFFAGLANPGSTNNTMGSLVTGANVEKIPGLNTLGVSMSRIDYAPGGLNPPHTHPRATEMVFVLDGSLDVGFITTTNKLISQTISKGDIFVFPRGLVHFQKNNGKVPAAVIAAFNSQLPGTQSIGATLFASTPSVPDAVLTKAFQVGTKEIEKIKSRLTPKKVI >DRNTG_18053.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:56369:57696:-1 gene:DRNTG_18053 transcript:DRNTG_18053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIIFSFLAFVLTLSPVFADPDLLQDLCVADLNSTVKLNGFACKAAAAVNETDFFFAGLANPGSTNNTMGSLVTGANVEKIPGLNTLGVSMSRIDYAPGGLNPPHTHPRATEMVFVLDGSLDVGFITTTNKLISQTISKGDIFVFPRGLVHFQKNNGKVPAAVIAAFNSQLPGTQSIGATLFASTPSVPDAVLTKAFQVGTKEIEKIKSRLTPKKVI >DRNTG_18053.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:56397:57426:-1 gene:DRNTG_18053 transcript:DRNTG_18053.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIIFSFLAFVLTLSPVFADPDLLQDLCVADLNSTVKLNGFACKAAAAVNETDFFFAGLANPGSTNNTMGSLVTGANVEKIPGLNTLGVSMSRIDYAPGGLNPPHTHPRATEMVFVLDGSLDVGFITTTNKLISQTISKGDIFVFPRGLVHFQKNNGKVPAAVIAAFNSQLPGTQSIGATLFASTPSVPDAVLTKAFQVGTKEIEKIKSRLTPKKVI >DRNTG_26344.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16975166:16980026:-1 gene:DRNTG_26344 transcript:DRNTG_26344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIQRLYEACKVSFSPSGPVSAEALENVCAMLDKIRPSDVGLEHEAQLARGWKGSINGANGKKGRNGSSQYLPPIKYLHLHECESFSIGIFCMPPSSVIPLHNHPGMTVLSKLLYGSLHVKSYDWIDIDRPFDPSEARPAKLVRDGDMSAPSGSTVLYPTSGGNIHCFKAITPCALFDILSPPYSSEDGRHCSYFRKISKKDPPADIVEAVLSNGIKESELAWLEEYQPPDSFVIRRGLYKGRAIII >DRNTG_28437.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20616471:20620565:-1 gene:DRNTG_28437 transcript:DRNTG_28437.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTMIISLLTSCCSYGIPWLARCTPCPPGLEDRCPTAGRSGNFKNFQCPPGHYNDLASLFLNTNEDAIRNLFTGNTGNEFDISTLVLFFIAVYCLGLVTYGIAVPSGLFIPVILAGASFGRLLGTILSPICSLDPALFALFGAASLLGGTMRMTVSVCIILLELTNDLLMLPLVMLVLLISKSVADNINIGVYDLIIQMKGLPFLVAHAEPYMRHLVAGDVVSGPLITFTGVEKVGNIMQILKTTRHHGFPVINELPFCEAPELCGLVLRSHLIVLLKGKRFTKEKVLTGVGEVFKKFGSFDFAKAGPGKGMKLEELDISVDEMEMYVDLHPITNKSPYTVVETMSLAKAALLFRELGLRHLCVVPKTPGVRILRLSYHLFVYLILLIC >DRNTG_28437.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20616471:20620565:-1 gene:DRNTG_28437 transcript:DRNTG_28437.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHTMIISLLTSCCSYGIPWLARCTPCPPGLEDRCPTAGRSGNFKNFQCPPGHYNDLASLFLNTNEDAIRNLFTGNTGNEFDISTLVLFFIAVYCLGLVTYGIAVPSGLFIPVILAGASFGRLLGTILSPICSLDPALFALFGAASLLGGTMRMTVSVCIILLELTNDLLMLPLVMLVLLISKSVADNINIGVYDLIIQMKGLPFLVAHAEPYMRHLVAGDVVSGPLITFTGVEKVGNIMQILKTTRHHGFPVINELPFCEAPELCGLVLRSHLIVLLKGKRFTKEKVLTGVGEVFKKFGSFDFAKAGPGKGMKLEELDISVDEMEMYVDLHPITNKSPYTVVETMSLAKAALLFRELGLRHLCVVPKTPGRPPVIGVLTRHDFMREHILRLFPHFNRQKKRNEEQT >DRNTG_28437.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20616471:20621123:-1 gene:DRNTG_28437 transcript:DRNTG_28437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTMIISLLTSCCSYGIPWLARCTPCPPGLEDRCPTAGRSGNFKNFQCPPGHYNDLASLFLNTNEDAIRNLFTGNTGNEFDISTLVLFFIAVYCLGLVTYGIAVPSGLFIPVILAGASFGRLLGTILSPICSLDPALFALFGAASLLGGTMRMTVSVCIILLELTNDLLMLPLVMLVLLISKSVADNINIGVYDLIIQMKGLPFLVAHAEPYMRHLVAGDVVSGPLITFTGVEKVGNIMQILKTTRHHGFPVINELPFCEAPELCGLVLRSHLIVLLKGKRFTKEKVLTGVGEVFKKFGSFDFAKAGPGKGMKLEELDISVDEMEMYVDLHPITNKSPYTVVETMSLAKAALLFRELGLRHLCVVPKTPGRPPVIGVLTRHDFMREHILRLFPHFNRQKKRNEEQT >DRNTG_08672.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4772439:4776678:-1 gene:DRNTG_08672 transcript:DRNTG_08672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAPTKPAISGHSQLLPLRTPSISLVFSPVRSRLRSITVSARLAEISPVEKRSGLPDLEITSKKAKPTVLVSEKLGEGGLELLRQFSNVDCAYNLSPEELCAKISLCDALIVRSGTKVTREVFEAAKGRLKVVGRAGVGIDNVDLQAATEHGCLVVNAPTANTIAAAEHGIALLTAMARNVAQADASMKSGKWQRNKYVGVSLVGKTLAVMGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARAIGVELVSFEEAISTSDFISLHMPLTPTTSKIFDDETFGKMKKGVRIVNVARGGVIDEDALVRALDVGAVAQAALDVFTVEPPPSDSRLVQHENVIVTPHLGASTIEAQEGVAIEIAEAVVGALKGELAATAVNAPMVPAEVLFELSPYVVLAEKLGRLAVQLVAGVSGIKGIKIVYASARDPDDLDTRILRAMIVKGIVQPISSLFVNIVNADYTAKQRGLRISEERVFHDGSPEVPIDSIQVHLTNVESKFASAISDSGDIRVEGKVKDGVPHLTLVGGFSVNVSLEGNLILCRQVDQPGMIGRVGNILAEQNVNINFMSVCRTVVRQQAIMAIGVDEEPEKETLKKIGEVPAIDEFVFLTL >DRNTG_05505.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30190492:30192697:1 gene:DRNTG_05505 transcript:DRNTG_05505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANGEAPARGSAAAAASLRRRRASSGTGAGGANTMLQFYTDDSAGLRISPNTVLIMSVGFIAVVAVLHVVGKVWRN >DRNTG_16726.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000845.1:6257:8430:-1 gene:DRNTG_16726 transcript:DRNTG_16726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDTIRGIEKTSTPTPLSLEIIRLMGMVHRVPTGVYALVLSALEIAKDEGDDAEASQPALEHQPTPIETEAPLVAEDPPQVGMFSPSQANDHFERLESAVGMIRAEVKERTPQAPPASPSPPPLAPFDLALTAVEELERGTNT >DRNTG_13550.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:222671:223950:-1 gene:DRNTG_13550 transcript:DRNTG_13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHGGNVHGPDRRQCEPHCPARRREERSPLLPWPISTRLSIQHVIRSAVTAKTRPLPVNPRGGLYLLLTSAEVAVEDFCGQVCGFHYFTFPSIVGYTLPYAWVGNAERQCPGVCSWPFAVPEGYGGPRAGAPPNGEVGVDAMVSVIAHEVAELASNPLVNAWYAGADPCFPTEIADLCEGIYGTGGGGSYTGQLTVDERDGAAYNLNGVGGRRFLVQWVWHPELNYCYGPNALD >DRNTG_03700.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8173565:8175923:-1 gene:DRNTG_03700 transcript:DRNTG_03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGWLPACRVGHSEITTQTTYSPHYLRLSDPSTGIWHTESNMGEGIIIGVIDSGVPLNHPSFSDDQMPAKPANWNGSCNFNNFTCNMKIIGGGTYGNGSNGNRPPLDTCGHGTHVASIATGNFVKDAMVVGNFKYTASGMAPRAHLAIYKTFYKRADNLKSFDRAIADGVDIINFSIGGNETANFYNDEAAFSGYKATKKNISVSVSAGNNDGEPKSLSHTAPWLTVVGASWLDRRLAAAVKLGNGEEFIGETGFYQPTHFNSSIFLPIIYLGANNKTQALDCLSGSLNGINVTNKIVLCQAGNKNVDKGRVVLSGGGAGMILLGLIMNTQNDKHVLPVSHVNSDDAEKILEYYNSPRESPPNATFVFKGQVSGRRPAPAIGSFSSRGPSIWNGGILKPDVIAPGHVILGASIHKGGPFNNYFQFKSGTSMASPHVAGVMALLKKKYPSWSPAAIQSAIITTADDVDLAGNPFIHMKTWKASNIFDRGAGHINPIKAMDPGLIYDRDFDDYIGYMCFLNYNPTYMQRFNSRKVDCAKEKKIKPSQLNYPSIMVTLSSNSPNETIMRTVTNVGNANSDYTPIIFHPANASLILSINRLHFSAQNQQLSFNVTITIVQPTPVKGMISEGKLEWVSTSGGHVVRSPIAVIFG >DRNTG_11870.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15368881:15374262:-1 gene:DRNTG_11870 transcript:DRNTG_11870.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGTSKAATKRGTPNKHWKPDFDNVLIPVLVEQVRQGLKCDKSFKRAAFGHAASAVNARFNTNFTPENVENHYRTLKVRYMEIKKARDLSGAGWDDENKVIILNPLVAFKYTEAHPGAKPFINKPIENYEGLRIIFGEDSATGSYAASLFSDFADKSENEGTDNDNGEPDPIDIASDEEGNNGNSTPVGSNPAPSSRVRSQRNSKGPKSPSMMGDVLKVVDKMANAIQNPTHWTEILYERVMGVEGSPSMSLLRYLIIFSLGKLNLRASW >DRNTG_11870.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15368881:15374262:-1 gene:DRNTG_11870 transcript:DRNTG_11870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGTSKAATKRGTPNKHWKPDFDNVLIPVLVEQVRQGLKCDKSFKRAAFGHAASAVNARFNTNFTPENVENHYRTLKVRYMEIKKARDLSGAGWDDENKVIILNPLVAFKYTEAHPGAKPFINKPIENYEGLRIIFGEDSATGSYAASLFSDFADKSENEGTDNDNGEPDPIDIASDEEGNNGNSTPVGSNPAPSSRVRSQRNSKGPKSPSMMGDVLKVVDKMANAIQNPTHWTEILYERVMGVEGSPSMSLLRYLIIFSLGKLNLRASW >DRNTG_11870.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15368881:15372842:-1 gene:DRNTG_11870 transcript:DRNTG_11870.11 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWALGERARPLAAAFTTIVVIIAYIIEEMHTSRSPRCVEPSIFRDLTRKKHMNRILRSGRDYCVSYLRMDVGPFMHLSQIMRDRCLLVDTRHVSIEEQLVIFLHIVGHNTKNRTARLEFLRSGETISRYFNNVIRAICAIHDDFVLPPNSNCHSDIENNPNWYLFFKDCIGLLNGTHIEASVPPHEIPQFRGRKGITQNVLAVVNADLKFTYVLAGWKGSANDFAVLRDAISRPQPQGLKIIEGKYYLVDAGYTTMNGFIAPYRGVRYHLKEHNGRPPTNPKELFNLRHSMLRSWVERAFGILKNRFKILT >DRNTG_11870.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15368881:15374262:-1 gene:DRNTG_11870 transcript:DRNTG_11870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGTSKAATKRGTPNKHWKPDFDNVLIPVLVEQVRQGLKCDKSFKRAAFGHAASAVNARFNTNFTPENVENHYRTLKVRYMEIKKARDLSGAGWDDENKVIILNPLVAFKYTEAHPGAKPFINKPIENYEGLRIIFGEDSATGSYAASLFSDFADKSENEGTDNDNGEPDPIDIASDEEGNNGNSTPVGSNPAPSSRVRSQRNSKGPKSPSMMGDVLKVVDKMANAIQNPTHWTEILYERVMGVEGSPSMSLLRYLIIFSLGKLNLRASW >DRNTG_11870.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15368881:15374262:-1 gene:DRNTG_11870 transcript:DRNTG_11870.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGTSKAATKRGTPNKHWKPDFDNVLIPVLVEQVRQGLKCDKSFKRAAFGHAASAVNARFNTNFTPENVENHYRTLKVRYMEIKKARDLSGAGWDDENKVIILNPLVAFKYTEAHPGAKPFINKPIENYEGLRIIFGEDSATGSYAASLFSDFADKSENEGTDNDNGEPDPIDIASDEEGNNGNSTPVGSNPAPSSRVRSQRNSKGPKSPSMMGDVLKVVDKMANAIQNPTHWTEILYERVMGVEGSPSMSLLRYLIIFSLGKLNLRASW >DRNTG_11870.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15368881:15372842:-1 gene:DRNTG_11870 transcript:DRNTG_11870.10 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWALGERARPLAAAFTTIVVIIAYIIEEMHTSRSPRCVEPSIFRDLTRKKHMNRILRSGRDYCVSYLRMDVGPFMHLSQIMRDRCLLVDTRHVSIEEQLVIFLHIVGHNTKNRTARLEFLRSGETISRYFNNVIRAICAIHDDFVLPPNSNCHSDIENNPNWYLFFKDCIGLLNGTHIEASVPPHEIPQFRGRKGITQNVLAVVNADLKFTYVLAGWKGSANDFAVLRDAISRPQPQGLKIIEGKYYLVDAGYTTMNGFIAPYRGVRYHLKEHNGRPPTNPKELFNLRHSMLRSWVERAFGILKNRFKILT >DRNTG_11870.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15368881:15374262:-1 gene:DRNTG_11870 transcript:DRNTG_11870.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGTSKAATKRGTPNKHWKPDFDNVLIPVLVEQVRQGLKCDKSFKRAAFGHAASAVNARFNTNFTPENVENHYRTLKVRYMEIKKARDLSGAGWDDENKVIILNPLVAFKYTEAHPGAKPFINKPIENYEGLRIIFGEDSATGSYAASLFSDFADKSENEGTDNDNGEPDPIDIASDEEGNNGNSTPVGSNPAPSSRVRSQRNSKGPKSPSMMGDVLKVVDKMANAIQNPTHWTEILYERVMGVEGSPSMSLLRYLIIFSLGKLNLRASW >DRNTG_11870.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15368858:15374262:-1 gene:DRNTG_11870 transcript:DRNTG_11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGTSKAATKRGTPNKHWKPDFDNVLIPVLVEQVRQGLKCDKSFKRAAFGHAASAVNARFNTNFTPENVENHYRTLKVRYMEIKKARDLSGAGWDDENKVIILNPLVAFKYTEAHPGAKPFINKPIENYEGLRIIFGEDSATGSYAASLFSDFADKSENEGTDNDNGEPDPIDIASDEEGVFYMAMMTT >DRNTG_11870.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15368881:15374262:-1 gene:DRNTG_11870 transcript:DRNTG_11870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGTSKAATKRGTPNKHWKPDFDNVLIPVLVEQVRQGLKCDKSFKRAAFGHAASAVNARFNTNFTPENVENHYRTLKVRYMEIKKARDLSGAGWDDENKVIILNPLVAFKYTEAHPGAKPFINKPIENYEGLRIIFGEDSATGSYAASLFSDFADKSENEGTDNDNGEPDPIDIASDEEGNNGNSTPVGSNPAPSSRVRSQRNSKGPKSPSMMGDVLKVVDKMANAIQNPTHWTEILYERVMGVEGSPSMSLLRYLIIFSLGKLNLRASW >DRNTG_11870.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15368881:15374262:-1 gene:DRNTG_11870 transcript:DRNTG_11870.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGTSKAATKRGTPNKHWKPDFDNVLIPVLVEQVRQGLKCDKSFKRAAFGHAASAVNARFNTNFTPENVENHYRTLKVRYMEIKKARDLSGAGWDDENKVIILNPLVAFKYTEAHPGAKPFINKPIENYEGLRIIFGEDSATGSYAASLFSDFADKSENEGTDNDNGEPDPIDIASDEEGNNGNSTPVGSNPAPSSRVRSQRNSKGPKSPSMMGDVLKVVDKMANAIQNPTHWTEILYERVMGVEGSPSMSLLRYLIIFSLGKLNLRASW >DRNTG_11870.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15368881:15374262:-1 gene:DRNTG_11870 transcript:DRNTG_11870.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGTSKAATKRGTPNKHWKPDFDNVLIPVLVEQVRQGLKCDKSFKRAAFGHAASAVNARFNTNFTPENVENHYRTLKVRYMEIKKARDLSGAGWDDENKVIILNPLVAFKYTEAHPGAKPFINKPIENYEGLRIIFGEDSATGSYAASLFSDFADKSENEGTDNDNGEPDPIDIASDEEGNNGNSTPVGSNPAPSSRVRSQRNSKGPKSPSMMGDVLKVVDKMANAIQNPTHWTEILYERVMGVEGSPSMSLLRYLIIFSLGKLNLRASW >DRNTG_20365.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:202731:255560:-1 gene:DRNTG_20365 transcript:DRNTG_20365.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGIISDEIYKSTTLVCEGEDYANPTKARCAKKLQVVEKYFDEINEFHILEPKCPRASPKPKNLSRERRFLKDEHKKFIVTPDVPALECGSYAYYLTGIWANHDVVREALHIQKGTVPEWIRCNQNLQYAYDLPSNVKYQHKLTSQGYRALVYRLS >DRNTG_20365.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:223017:282486:-1 gene:DRNTG_20365 transcript:DRNTG_20365.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLDSPVGTGCSFSNTPETYIDGDVTSSLRVHKFIRKWLIDHPKFLSNPLYVGGDSYAGKVVPFITHLISQEIESGAQPLLNLKGYLIGNPVTGEVIDKNTRVPYAHNMGIISDELYKSTTQSCEGEDYENPTKAQCAKKLQVVEKYFDEIDIYHILEPKCPETLPKPKNLSGERRFLKDGHKKLIMPPDVPPLYCRSYGYYLSGIWANDDVVRDALHIQKGTVPEWIRCNDNLQYTKDLPSNVKYQHKLTSRGYRALVYSGDHDLVLPHIGTQTWIRSLNYSIIDDWRSWFSAGQVAGYTRTYANNLTFATIKGAGHTAPEYKPRESLAMIKRWLSNQPL >DRNTG_20365.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:202731:205759:-1 gene:DRNTG_20365 transcript:DRNTG_20365.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLLQLLLILWPLFISASIVTHLPGFHGPLPFHLETGYVDVDEVQFFYYFIESEGNPAQDPLLLWLTGGPGCSAFSALIIQNGPLKFKIVKYYNGSLPTLLYHPFSWIKVSNMIFLDSPVGTGFSFSNISETYVDGDVTSSLRVHKFIRNWLIDHPKFLSNPLYVGGDSYAGKVVPFITHLISQGIESGPPPLLNLKGYLIGNPFTGEVIDVNARVPYAHHMGIISDDIYKSTTLVCEGEDYANPTKARCAKKLQVVEKYFDEINEFHILEPKCPRASPKPKNLSRERRFLKDEHKKFIVTPDVPALECGSYAYYLTGIWANHDVVREALHIQKGTVPEWIRCNQNLQYAYDLPSNVKYQHKLTSQGYRALVYSGDHDLAVPHIGTQTWIRSLNYSITDDWRSWFFGGQVAGYTRAYANNLTFATIKGAGHTGPEYKPRESLAMVKRWLSNQPL >DRNTG_20365.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:205385:205759:-1 gene:DRNTG_20365 transcript:DRNTG_20365.14 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLLQLLLILWPLFISASIVTHLPGFHGPLPFHLETGYVDVDEVQFFYYFIESEGNPAQDPLLLWLTGGPGCSAFSALIIQNG >DRNTG_20365.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:280246:282268:-1 gene:DRNTG_20365 transcript:DRNTG_20365.13 gene_biotype:protein_coding transcript_biotype:protein_coding MGIISDELYKSTTQSCEGEDYENPTKAQCAKKLQVVEKYFDEIDIYHILEPKCPETLPKPKNLSGERRFLKDGHKKLIMPPDVPPLYCRSYGYYLSGIWANDDVVRDALHIQKGTVPEWILCNQNLQYTKDLPSNVKYQHKLTSQGYRALVYSGDHDLAVPHIGTQTWIRSLNYSITDDWRSWFFGGQVAGYTRTYANNLTFATIKGAGHTGPEYKPRESLAMVKRWLSNQPL >DRNTG_20365.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:202731:205759:-1 gene:DRNTG_20365 transcript:DRNTG_20365.9 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLLQLLLILWPLFISASIVTHLPGFHGPLPFHLETGYVDVDEVQFFYYFIESEGNPAQDPLLLWLTGGPGCSAFSALIIQNGPLKFKIVKYYNGSLPTLLYHPFSWIKVSNMIFLDSPVGTGFSFSNISETYVDGDVTSSLRVHKFIRNWLIDHPKFLSNPLYVGGDSYAGKVVPFITHLISQGIESGPPPLLNLKGYLIGNPFTGEVIDVNARVPYAHHMGIISDDIYKSTTLVCEGEDYANPTKARCAKKLQVVEKYFDEINEFHILEPKCPRASPKPKNLSRERRFLKDEHKKFIVTPDVPALECGSYAYYLTGIWANHDVVREALHIQKGTVPEWIRCNQNLQYAYDLPSNVKYQHKLTSQGYRALVYRLS >DRNTG_20365.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:202731:225835:-1 gene:DRNTG_20365 transcript:DRNTG_20365.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGIISDEIYKSTTLVCEGEDYANPTKARCAKKLQVVEKYFDEINEFHILEPKCPRASPKPKNLSRERRFLKDEHKKFIVTPDVPALECGSYAYYLTGIWANHDVVREALHIQKGTVPEWIRCNQNLQYAYDLPSNVKYQHKLTSQGYRALVYRLS >DRNTG_20365.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:202731:264796:-1 gene:DRNTG_20365 transcript:DRNTG_20365.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFTVPRDVPPLKCRSYAYYLSGIWANDDVVRDALHIQKGTVPEWIRCNQNLQYAYDLPSNVKYQHKLTSQGYRALVYSGDHDLAVPHIGTQTWIRSLNYSITDDWRSWFFGGQVAGYTRAYANNLTFATIKGAGHTGPEYKPRESLAMVKRWLSNQPL >DRNTG_20365.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:202731:282486:-1 gene:DRNTG_20365 transcript:DRNTG_20365.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLDSPVGTGCSFSNTPETYIDGDVTSSLRVHKFIRKWLIDHPKFLSNPLYVGGDSYAGKVVPFITHLISQEIESGAQPLLNLKGYLIGNPVTGEVIDKNTRVPYAHNMGIISDELYKSTTQSCEGEDYENPTKAQCAKKLQVVEKYFEEINKPHILEPKCP >DRNTG_20365.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:202731:283201:-1 gene:DRNTG_20365 transcript:DRNTG_20365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCPLRLQRLCQLLLLLWPLFISASIVTHLPGFHGPLSFHLDTGYVGVDEVQLFYYFIESEGNPAQDPLLLWLTGGPGCSGFSALVFENGPLKFRTVEYSNGSLPTLIYHPFSWTKVSNMIFLDSPVGTGCSFSNTPETYIDGDVTSSLRVHKFIRKWLIDHPKFLSNPLYVGGDSYAGKVVPFITHLISQEIESGAQPLLNLKGYLIGNPVTGEVIDKNTRVPYAHNMGIISDELYKSTTQSCEGEDYENPTKAQCAKKLQVVEKYFDEIDIYHILEPKCPETLPKPKNLSGERRFLKDGHKKLIMPPDVPPLYCRSYGYYLSGIWANDDVVRDALHIQKGTVPEWILCNQNLQYTKDLPSNVKYQHKLTSQGYRALVYSGDHDLAVPHIGTQTWIRSLNYSITDDWRSWFFGGQVAGYTRAYANNLTFATIKGAGHTGPEYKPRESLAMVKRWLSNQPL >DRNTG_20365.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:223017:265270:-1 gene:DRNTG_20365 transcript:DRNTG_20365.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASYPLRPQRLCQLLLLLLWPLFISASIVTHLPGFHGPLPFHLETGYVGVDEVQFFYYFIESEGNPAEDPLLLWLTGGPGCSSFCALAFQNGPLKFRSVKYNGSLPTLVYHPFSWTKVSNVIFLDSPVGTGFSFSNTPEGYVDGDITSSSRVYEFLRKWFIDHPQFLSNPLYVAGDSYAGKVVPFISHLISQGIESGAQPLLSLQGYLIGNPATGEVIDENARVPYAHNMGIISDEIYKSTTLFCEGEDYENPTKVQCAMKLQVVEKYFEEINKPHILEPKCP >DRNTG_20365.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:262282:265270:-1 gene:DRNTG_20365 transcript:DRNTG_20365.10 gene_biotype:protein_coding transcript_biotype:protein_coding MASYPLRPQRLCQLLLLLLWPLFISASIVTHLPGFHGPLPFHLETGYVGVDEVQFFYYFIESEGNPAEDPLLLWLTGGPGCSSFCALAFQNGPLKFRSVKYNGSLPTLVYHPFSWTKASNMIFLDSPVGTGFSFSNTPETYVDGDVTSSLRVHKFIRKWLIDHPKFLSNPLYVAGDSYAAKVVPFITHLISQGIESGPQPLLNLKGYMIGNPITGEVIDTNARVPYAHNMGIISDELYKSTTILCKGENHRKPTKAQCAKKLQVVNKYFDEINESHILEPKCPETSPKPKNLSGERRFLKDKYKMFNVPPIVPPPKCRSYTYYLSYIWANEDVVRDALHIQKGTVTEWIRCSFTLQYALDPSSSVKYQHKLTSGGYRALIYSGDHDLVVPHIGTQTWIRSLNYSIIDDWRSWFSAGQVAGYTRTYANNLTFATLKGAGHTGPEYKPRESLAMVKRWLSNQPL >DRNTG_20365.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:223017:225835:-1 gene:DRNTG_20365 transcript:DRNTG_20365.11 gene_biotype:protein_coding transcript_biotype:protein_coding MFTVPRDVPPLKCRSYAYYLSGIWANDDVVRDALHIQKGTVPEWIRCNDNLQYTKDLPSNVKYQHKLTSRGYRALVYSGDHDLVLPHIGTQTWIRSLNYSIIDDWRSWFSAGQVAGYTRTYANNLTFATIKGAGHTAPEYKPRESLAMIKRWLSNQPL >DRNTG_20365.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:202731:205276:-1 gene:DRNTG_20365 transcript:DRNTG_20365.12 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYQHFCIILFLGLRWIMFITYFFRFLFNPTALIVYLFFLDYFFSQVSNMIFLDSPVGTGFSFSNISETYVDGDVTSSLRVHKFIRNWLIDHPKFLSNPLYVGGDSYAGKVVPFITHLISQGIESGPPPLLNLKGYLIGNPFTGEVIDVNARVPYAHHMGIISDDIYKSTTLVCEGEDYANPTKARCAKKLQVVEKYFDEINEFHILEPKCPRASPKPKNLSRERRFLKDEHKKFIVTPDVPALECGSYAYYLTGIWANHDVVREALHIQKGTVPEWIRCNQNLQYAYDLPSNVKYQHKLTSQGYRALVYRLS >DRNTG_16998.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000863.1:73778:73992:-1 gene:DRNTG_16998 transcript:DRNTG_16998.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNQIHKASFWVFTIVLLSSFRWCNFHLSVNQLITWWPTLW >DRNTG_05131.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30587348:30588026:-1 gene:DRNTG_05131 transcript:DRNTG_05131.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVVVLDLHSNQLQALPNSIGCLSKLKILNVSGNLLKSFPKTIEDCR >DRNTG_13795.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000641.1:55046:61259:-1 gene:DRNTG_13795 transcript:DRNTG_13795.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHSSSAACLSSLGDHRSYSNHSASATLFLNFNRRSFPLPALKLRMSSAPARSSQIRALTISSERKDDLPMHVVTGTKVGVLGGGQLGKMLCQAASCMSIKVLTLDPLENCPASGISYCHVVGDFNDGEAVRDFAKRCDVVTVEIEHVDAVMLEKLEQQGIDCQPKASTIRIIQDKYLQKVHFSRHGIPLPDFFEIDNIESAEKAGSLYGYPLMIKCKRLAYDGRGNAVAHSKDELSSALTALGGFGRGLYVERWTPFVKELSVIVARGRDGCISCYPVVETIHKNNICHIVEAPADVSQKIKELSLDVAQKAVGSLEGAGVFAVELFLTGDGQVLLNEVAPRPHNSGHHTIESCYTSQYEQHLRAVLGLPLGDSSMKTPAAIMYNILGEDEGEPGLKLAHDLIGRALKVPGASIHWYDKSDMRKLRKMGHITITGSSMNIVKSRLNSMLNNDQSQTAVIPQVAIIMGSDSDLPIMKDAAVVLKNFDVPFEVTIVSAHRTPDRMYSFASAAKDRGIQVIIAGAGGAAHLPGMVASLTSLPVIGIPIRTASLDGVDSLLSIVQMPKGIPVATVAIGNAANAALLAVRILANGDAKLWDRVVKYQEDMKETVLTKAEKIEHEGWEEYLKS >DRNTG_18199.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000938.1:15453:18621:-1 gene:DRNTG_18199 transcript:DRNTG_18199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETQVKPAEEVVVAAEVNKEVEEPVKVTEVESALGKEKEEEAPKTVADEEVAGDAVAAAIEQSESFKEESNIVADLQDPEKKALDELKQLVQAALAANEFVPPPPPPPPPAAAPAEEPAKTEEPEKLEEAVKTEEPEKPEEAVKTEDQSPVEPLKTEEPAAPVAEEKVIVVEEEKTVEAIVETIVTPSAQTDEVAPVSDPVPEAAASAAAEDTPAPPPEDVFIWGVPLLGDEKSDTILLKFLRARDFKTKDALTMIKNTVIWRKTSEIEALLEEDLGFPEMEKVVFMHGQDKEGHPVCYNVYGEFQEKDLYSKAFGDEEKRRKFLRWRIQFLEKGIRQHLDFSPGGVCTMVQVTDLKNSPGPGKRELRQATNQALALLQDNYPEFVAKQVFINVPWWYLAFNRMISPFLTQRTKSKFVFAGPSKSSETLFKYVAPEQVPVQYGGLSKENDPDFTTADAVTEVIIKPSTKHPIEIPVTESCNLVWELRVLGWDVTYGAEFVPGAEDGYTVIVQKARKLIATDEPVVKNSFKTGDTGKIVLTIDNTTSKKKKLLYRYKIKTSTESI >DRNTG_02147.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28971897:28975639:1 gene:DRNTG_02147 transcript:DRNTG_02147.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIACFPCSSSFLLLLPLLFPTNFLNISCSFKTSNHISYIVLQTYERSCIQKWLDAGHKTCPKTQQNLSHMALTPNFVLRSLIAQWCETNGIELPKKQGRCRDKKSGSSSDCDRAGVYLLLQKLENGSRDEQRAAAGELRLLAKRNSDNRVCIAEAGAIPLLVKFLSSPDPRTQEHAVTALLNLSINESNKGSIVGTGAIPLMVEVLKNGSMEARENAAATLFSLSVIPDNKVTIGKAGAIPPLIDLLCQGSPRGKKDAATALFNLAIYQGNKVKAVKAGIVTHLMKLLVDHSAGMLDEALAILAVLSSHSEGRTAIAETSPIPILVEVVRAGSPRNKENAAAILWSLCTTDVQQLKILKELSAEETLKDLSENGTDRAKRKAGSLLQLIQHATEA >DRNTG_02147.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28971897:28975639:1 gene:DRNTG_02147 transcript:DRNTG_02147.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAAGEETEEGSQTAAAVELLSRTVMEISGLPEFRGPMRSSCYDLSRRVRLLSPLFDELRDAVRDGSISGLDVSSLGSLVDALVSAKELLRSVCEGSKLYQVLQYEEFASKFQEVAEHIEKALSDIAYDRIAMSEEVKEQVELVHSQFQRAKGRINSPDTQLLADLSLACNVKFAEPAVLKRLSEKLQLRTINDLTKESAALNDMVIVSDGNPDEHLEEMSFVLKKLKDCVVTENPISDVPENKPSLGKLKFPVIPDDFRCPISLELMRDPVIVSTGQTYERSCIQKWLDAGHKTCPKTQQNLSHMALTPNFVLRSLIAQWCETNGIELPKKQGRCRDKKSGSSSDCDRAGVYLLLQKLENGSRDEQRAAAGELRLLAKRNSDNRVCIAEAGAIPLLVKFLSSPDPRTQEHAVTALLNLSINESNKGSIVGTGAIPLMVEVLKNGSMEARENAAATLFSLSVIPDNKVTIGKAGAIPPLIDLLCQGSPRGKKDAATALFNLAIYQGNKVKAVKAGIVTHLMKLLVDHSAGMLDEALAILAVLSSHSEGRTAIAETSPIPILVEVVRAGSPRNKENAAAILWSLCTTDVQQLKILKELSAEETLKDLSENGTDRAKRKAGSLLQLIQHATEA >DRNTG_06557.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22660020:22662106:1 gene:DRNTG_06557 transcript:DRNTG_06557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHAKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >DRNTG_05601.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1130331:1131492:1 gene:DRNTG_05601 transcript:DRNTG_05601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRAQLSLISITNPHLTMKKLKTGSTDYILFSGNYVTGSGNGDVVIAQNGKVSTVITKKCALAVKDDSANKTIWHSWPTSDEPTECFLELKSNGQLLLQRYNESGVVTQWKGGYAAKDNLYVCLLRYYGGITIYGLKTWLYHDGSSSSSAAAAAAAVVAEKIKMVTA >DRNTG_06025.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000275.1:42323:44110:-1 gene:DRNTG_06025 transcript:DRNTG_06025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGSSSPSSSKPPSPGSWAQIASNAARTSEASPLHNHHLLNKLKSSTTQFVHLDEDSLARARMRFQHSLYGKFFGKPPAFDQVKMSLMAKWQEFGEVVISDLPNGFLLIRCSSHETMQGILMGGPWSINGIILQLFPWKPFFEPAFAKLNSAAIWVQLHNLHVDFSEAETLESITAHMGNLLKVDDLTSSLTRSRFARVCLEIDLSKPLSRGFWLGDDTQRIFVVVLYEKLPTFCYTCGLVGHGTNSCSRSSVPGLDRTPPPFRVQRGPAAESIQDVGVIGPKDTPMTSDPGSMPDNSLLNPSEALTDREFGPWLLVSHQRGRACGRDGGTRAAHVPGRGGHASVRAPRAEGSGADAIPLRDFSSSDMPGIGNQNFGSIITVAPREDAPSNGSLSLMVDDSPSNGSHWLETDGSYPNGSLLNRPSRPSEKTPNSNRSKARDSPLQRSSSPPPVLRLAQGVETTSLPVDTSNSHSMVVDKVSAALEPSHSDEDASGSSGNEDDAMTDDDVAGMSAEAEEADDLMTLDQFQVEQRRGALVRKCLQVPSDSHKKGRMDAGGSSPA >DRNTG_09284.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6763876:6766509:1 gene:DRNTG_09284 transcript:DRNTG_09284.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAVRVVRFGSVVPISGSDFVREVSQAPADVWVVVFLYKDGIQECAILLRCLEELARRYPGTKFVKIISTDCIPNYPDRNLPTVLVYNNSAVKATYVGLHQFGLRKCTPEAVALALCQSDPVLNDGQSGGDASRQSVIDGVRKKFIEKVVNDRENNDDDDTSD >DRNTG_00277.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1770930:1772835:1 gene:DRNTG_00277 transcript:DRNTG_00277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEMFLASYKTTKPARYTYAGIKKITKRFKYKLGEGGFGSVYKGGLPNGIPVAVKMLEGSKSEGDDFINEVGTIGMIPHINIVRLLGFCSEGTRRALVYEFMPNESLEKYIFSRDANGNRLFGMDKLLGIAIGIARGVEYLHQGCDQRILHFDIKPHNILLDYDFNPKISDFGLAKLCSRDQSIVTMTAIRGTMGYIAPDIYSRNFGTVSYKSDVYSFGMLLLEMVGGRKNIDPLVDNQSAIYLPEWVYEQLIGGHSFQVAIEMMNNEEEIVRKLVIVALWCIQWSPNDRPTMTRVVQMLIGSLENLEIPPRPFVSSSDQDEDDTSFKGL >DRNTG_21529.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1454362:1456400:-1 gene:DRNTG_21529 transcript:DRNTG_21529.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGLFDLENNFAFYGAYHSNPTNVLIHMLFVWPIFYTSLILLQFTPLLLHVPFGFGGGVAWLPLNFAFVFALIYALFYVFMDKKAGSLAALLCMLCWLGSDANASRLGFSLAWKVVLAAQLFCWTGQFIGHGVFEKRAPALLDNLSQAFLMAPFFVLLEALQHFGYEPYSGFHASVRAKIEAARKEWEAKKGKKTM >DRNTG_21529.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1452548:1456458:-1 gene:DRNTG_21529 transcript:DRNTG_21529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGLFDLENNFAFYGAYHSNPTNVLIHMLFVWPIFYTSLILLQFTPLLLHVPFGFGGGVAWLPLNFAFVFALIYALFYVFMDKKAGSLAALLCMLCWLGSDANASRLGFSLAWKVVLAAQLFCWTGQFIGHGVFEKRAPALLDNLSQAFLMAPFFVLLEALQHFGYEPYSGFHASVRAKIEAARKEWEAKKGKKTM >DRNTG_21529.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1454310:1456458:-1 gene:DRNTG_21529 transcript:DRNTG_21529.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGLFDLENNFAFYGAYHSNPTNVLIHMLFVWPIFYTSLILLQFTPLLLHVPFGFGGGVAWLPLNFAFVFALIYALFYVFMDKKAGSLAALLCMLCWLGSDANASRLGFSLAWKVVLAAQLFCWTGQFIGHGVFEKRAPALLDNLSQAFLMAPFFVLLEALQHFGYEPYSGFHASVRAKIEAARKEWEAKKGKKTM >DRNTG_21529.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1454362:1456458:-1 gene:DRNTG_21529 transcript:DRNTG_21529.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGLFDLENNFAFYGAYHSNPTNVLIHMLFVWPIFYTSLILLQFTPLLLHVPFGFGGGVAWLPLNFAFVFALIYALFYVFMDKKAGSLAALLCMLCWLGSDANASRLGFSLAWKVVLAAQLFCWTGQFIGHGVFEKRAPALLDNLSQAFLMAPFFVLLEALQHFGYEPYSGFHASVRAKIEAARKEWEAKKGKKTM >DRNTG_21529.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1454362:1456556:-1 gene:DRNTG_21529 transcript:DRNTG_21529.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGLFDLENNFAFYGAYHSNPTNVLIHMLFVWPIFYTSLILLQFTPLLLHVPFGFGGGVAWLPLNFAFVFALIYALFYVFMDKKAGSLAALLCMLCWLGSDANASRLGFSLAWKVVLAAQLFCWTGQFIGHGVFEKRAPALLDNLSQAFLMAPFFVLLEALQHFGYEPYSGFHASVRAKIEAARKEWEAKKGKKTM >DRNTG_21529.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1452548:1456400:-1 gene:DRNTG_21529 transcript:DRNTG_21529.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGLFDLENNFAFYGAYHSNPTNVLIHMLFVWPIFYTSLILLQFTPLLLHVPFGFGGGVAWLPLNFAFVFALIYALFYVFMDKKAGSLAALLCMLCWLGSDANASRLGFSLAWKVVLAAQLFCWTGQFIGHGVFEKRAPALLDNLSQAFLMAPFFVLLEALQHFGYEPYSGFHASVRAKIEAARKEWEAKKGKKTM >DRNTG_21529.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1454310:1456400:-1 gene:DRNTG_21529 transcript:DRNTG_21529.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGLFDLENNFAFYGAYHSNPTNVLIHMLFVWPIFYTSLILLQFTPLLLHVPFGFGGGVAWLPLNFAFVFALIYALFYVFMDKKAGSLAALLCMLCWLGSDANASRLGFSLAWKVVLAAQLFCWTGQFIGHGVFEKRAPALLDNLSQAFLMAPFFVLLEALQHFGYEPYSGFHASVRAKIEAARKEWEAKKGKKTM >DRNTG_20096.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:349747:354124:-1 gene:DRNTG_20096 transcript:DRNTG_20096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEQSKEELVYQQVNYGNVEGIKDLRRKGAGLEYVDNEGKTPLILACLRADLLHVAETLIQLGANVNAYRPGSHAGTPLHHAAKRGLDQTVALLLSHGANPLVMNDDCETALDLARAKGHVHVVRMIENYICLFSGWLRELYGPSFLEAFAPQWVSRKIWAVVLPCDSRNLMNPSKFDLAIYPDLQAAQPRTRVALWKAQIEEPKFNQADPALLIVDKATKSRFKFLAALEGDKQQLQRFYDACKGIRSHIGNPSTPAGLPVTNQLQSNPQASTAPAAAGAPIPGEDVELAMAINASIQSAIAEGIPPLTDVQPNTNSTNTNGWGNPSSNASYNGWGMPDATPSSKNAQTQAVETPASSSYNGWAAAPQSQMNNPPNTQSMTEAPPVPSATPSATPSAPPVPDDEFYNGPIQYPSIDTTPVNLTVPPLDIQSGKQGAKDSSSGNDDSSGSCVICLDAPVEGACIPCGHMAGCMSCLKEIKAKKWGCPVCRAKIDQVIRLYAV >DRNTG_18368.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000946.1:5775:9381:-1 gene:DRNTG_18368 transcript:DRNTG_18368.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEIGLLPKLRRFDISSNNMEGKIPREFGKLSSIFYLNMSNNHLTGTIPPEFGDLSSLEALDLSSNNLRGEIPIWLENCIKLISLKLGSNELNGAIPFQLGSLNFMEVLDLSDNLFTGEIPQQLSNLIVLQELNLSHNQLVGCIPSSFQSMAGLTSLDLSYNSLEGPIPENHFFQIAPIEWFTDNKGLCGHVHGLPPCNKSLSFNDHVKKHDKFILLTVLICSMLLLLFMIVGIFTLLSYIRKRSTTNDIVEEFGGHFSSIWRVNHGKEAYKEIIQATNNFDERYQIGVGASSVVYKAVLLSGDILAIKKIHEGHMDEQFQNEIQMLTKIRHRNIVGFYGFCSTNEFSFLAYEFMERGCLGASLRSERESMELDWIKRVNIVRDIAQALSYLHHDCTPPIIHRDITSNNILLDEEYKACVSDFGISRLLKPNSSHWSLLAGTYGYMAPEHAYVMRLTEKSDVYSFGIVALEVIHGTHPGDLLSNLSLSMLVKDMLDPRIPLRLADQVTTNQVLLMILIAMQCINIDPQTRPTMQQVSQRLSFSKSLAASDINSFQALTLDHLINIVQTHVDDQEHE >DRNTG_18368.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000946.1:3554:9381:-1 gene:DRNTG_18368 transcript:DRNTG_18368.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEIGLLPKLRRFDISSNNMEGKIPREFGKLSSIFYLNMSNNHLTGTIPPEFGDLSSLEALDLSSNNLRGEIPIWLENCIKLISLKLGSNELNGAIPFQLGSLNFMEVLDLSDNLFTGEIPQQLSNLIVLQELNLSHNQLVGCIPSSFQSMAGLTSLDLSYNSLEGPIPENHFFQIAPIEWFTDNKGLCGHVHGLPPCNKSLSFNDHVKKHDKFILLTVLICSMLLLLFMIVGIFTLLSYIRKRSTTNDIVEEFGGHFSSIWRVNHGKEAYKEIIQATNNFDERYQIGVGASSVVYKAVLLSGDILAIKKIHEGHMDEQFQNEIQMLTKIRHRNIVGFYGFCSTNEFSFLAYEFMERGCLGASLRSERESMELDWIKRVNIVRDIAQALSYLHHDCTPPIIHRDITSNNILLDEEYKACVSDFGISRLLKPNSSHWSLLAGTYGYMAPEHAYVMRLTEKSDVYSFGIVALEVIHGTHPGDLLSNLSLSMLVKDMLDPRIPLRLADQVTTNQVLLMILIAMQCINIDPQTRPTMQQVSQRLSFSKSLAASDINSFQALTLDHLINIVQTHVDDQEHE >DRNTG_18368.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000946.1:1:9381:-1 gene:DRNTG_18368 transcript:DRNTG_18368.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEIGLLPKLRRFDISSNNMEGKIPREFGKLSSIFYLNMSNNHLTGTIPPEFGDLSSLEALDLSSNNLRGEIPIWLENCIKLISLKLGSNELNGAIPFQLGSLNFMEVLDLSDNLFTGEIPQQLSNLIVLQELNLSHNQLVGCIPSSFQSMAGLTSLDLSYNSLEGPIPENHFFQIAPIEWFTDNKGLCGHVHGLPPCNKSLSFNDHVKKHDKFILLTVLICSMLLLLFMIVGIFTLLSYIRKRSTTNDIVEEFGGHFSSIWRVNHGKEAYKEIIQATNNFDERYQIGVGASSVVYKAVLLSGDILAIKKIHEGHMDEQFQNEIQMLTKIRHRNIVGFYGFCSTNEFSFLAYEFMERGCLGASLRSERESMELDWIKRVNIVRDIAQALSYLHHDCTPPIIHRDITSNNILLDEEYKACVSDFGISRLLKPNSSHWSLLAGTYGYMAPEHAYVMRLTEKSDVYSFGIVALEVIHGTHPGDLLSNLSLSMLVKDMLDPRIPLRLADQVTTNQVLLMILIAMQCINIDPQTRPTMQQVSQRLSFSKSLAASDINSFQALTLDHLINIVQTHVDDQEHE >DRNTG_05961.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20263344:20264809:1 gene:DRNTG_05961 transcript:DRNTG_05961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSIKMTLTVRQEVSYYISYEGKWAFSSTGYFMGGQLYIANNVSPIAGPPNANPELYTTASLSPLSLTYYGLCHRNGNYTVKLHFAEIIFTDDRGRRFFDVFIQGERVLRDFNIAKEANGIGRPIIKSFNTIVNSNTLEIHLQWAGKGTRNIPYTGVYGPLISAISVTPNFKVDTSENHELPKGTILGIVAVGCVVIILVSEFFFFILKRKDARNNGQLVLCM >DRNTG_21701.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:930265:935186:1 gene:DRNTG_21701 transcript:DRNTG_21701.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-oxoprolinase [Source:Projected from Arabidopsis thaliana (AT5G37830) UniProtKB/Swiss-Prot;Acc:Q9FIZ7] MRGLSLFLIRKLSHLLWWKGYLGSLSGMARPLDKEALKPLLKGLLDKGISCLAVVLMHSYTYPHHEILVEELALGMGFRHVSLSSALTPMVRAVPRGLTASVDAYLTPVIKEYLSGFMSKFEGGSGKVNVLFMQSDGGLAPEQRFSGHKAVLSGPAGGVVGYSQTLFGLETTKPLIGFDMGGTSTDVSRYDGSYEQVLETQIAGAIIQAPQLDINTVAAGGGSRLKFQFGSFRVGPESVGAHPGPVCYRKGGELAVTDANLILGTVIPDYFPSIFGPHEDQPLDLEATRKAFEKLSGEINSYRKNQDPSVKDMSVEEIALGFVNVANETMCRPIRQLTEMKGHETRNHALACFGGAGPQHACSIARSLGMSEVLIHRYCGILSAYGMGLADVVEEAQEPYYSVYDLDSVLEASRRETALSLQVKEKLREQGFRDESILTESYLNLRYEGTDTAIMVKRQKEGPENDYAAEFLKLFQQEYGFKLQNRKILICDVRVRGIGVTNILKPKELQPASGNPTPEGLYKIYFQNGWQETALFKLENLGYGHTLQGPAIIMNGNSTVIIEPDSKSSITKYGNIRIEIGAAQSTVKIAERVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFGPDGGLVANAPHVPVHLGAMSSTVCWQLNYWGDNLSEGDVLVTNHPCSGGSHLPDITVITPVFDNGQVVFFVASRGHHAEIGGITPGSMPPFSKSIWEEGAAIKAFKLVEKGVFQEEGIINLLLSPRSDDYSCPKIPGTRRLQDNLSDLHAQVAANQRGIILIKELIEQYGLETVQSYMTYVQTNAEEAVREMLKAVSSNLVKEKNSTVIEEEDYMDDGSRIHLKLTIDGEKGEANFDFEGTSSEVYGNWNAPKAVTAAAVIYCLRCLVNVDIPLNQGCLAPVQIHIPEGSFLSPSDKAAVVGGNVLTSQRVTDVVLTAFQACACSQGCMNNLTFGDDTFGYYETIGGGSGAGPCWDGTSGVQCHMTNTRMTDPEIFEQRYPVILHRFGLRENSGGVGLHRGGDGLVREIEFRRPVVVSILSERRVHAPRGLNGGNNGARGANYLIRKDKRQVYLGGKNTILVNAGEILQILTPGGGGYGSAC >DRNTG_06581.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:549010:551077:1 gene:DRNTG_06581 transcript:DRNTG_06581.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNVYVQFREEEHAANALRNLQGRYYAGHPIIVDFSPVTDFREATCRQYEENSCSRGGYCNFMHLKMISRSFIKIL >DRNTG_06581.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:549010:551077:1 gene:DRNTG_06581 transcript:DRNTG_06581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNVYVQFREEEHAANALRNLQGRYYAGHPIIVDFSPVTDFREATCRQYEENSCSRGGYCNFMHLKMISSALRRHLFRRYRRKCSWSRSPYRHGSHEDRPHSGCDHGSHSADRNRYHAEQVRRHRSRSPGHR >DRNTG_06581.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:549010:551077:1 gene:DRNTG_06581 transcript:DRNTG_06581.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNVYVQFREEEHAANALRNLQGRYYAGHPIIVDFSPVTDFREATCRQYEENSCSRGGYCNFMHLKMISSALRRHLFRRYRRKCSWSRSPYRHGSHEDRPHSGCDHGSHSADRNRYHAEQVRRHRSRSPGHR >DRNTG_11064.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000483.1:49081:50361:1 gene:DRNTG_11064 transcript:DRNTG_11064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTIPKHQVHFEHLSRLRFGQTQFLDTSILRDLHQGDEFADEVEDLVSVGGWRQLLLIRGPAIRELTLEVLSSFEFDRSYGRFDDLDVVQFRALGHHYSLTLTPQRAYRALCCQGQYEPGVSMTTCLSRPMYRYLHTIMSRSLNSRGDSTGVVSRQELLYLYSMMQRTPIHLGHIIAEYIRHQSQYARLGAIFSGPYIKGLALGLGLLGMICEAEKTSIPVPLSLEMMRLMGMVRRVQTVVYALVLPTPEIAEEEGDDTEASQPPPEPQSAPMETEAPPVTEDPSPMHMFSPSRAQDRFQKLERAVGMIRTERILERDVGSSFILRPRTPLAHSALPASPLPPPPAPFDVAQVEAEDPERDIDT >DRNTG_33388.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18843348:18843601:-1 gene:DRNTG_33388 transcript:DRNTG_33388.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLPLLRFPKPINPSLSLVLQQCLSIQTRCTPRRSPISTRSRTSCTPPPSSPPAPRAL >DRNTG_08029.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30193616:30196318:-1 gene:DRNTG_08029 transcript:DRNTG_08029.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEPTKDAPEAPTVTKPPPPPFNLFKLDLFLRLALFATTLVSVILMVISKQTKYFSTPLTSFVVVLDAKFVYSPALIYFVAAISTACLYSIITGAVSAYGVFRPSPANKFLFHVATFDGLMLGVVASATGAVAAVAYIGLKGNKHVGWTKVCNIYTKFCSFVASSVAISLASTIILLLLLTISTYSLHRRTMHN >DRNTG_08029.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30193529:30196318:-1 gene:DRNTG_08029 transcript:DRNTG_08029.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEPTKDAPEAPTVTKPPPPPFNLFKLDLFLRLALFATTLVSVILMVISKQTKYFSTPLTSFVVVLDAKFVYSPALIYFVAAISTACLYSIITGAVSAYGVFRPSPANKFLFHVATFDGLMLGVVASATGAVAAVAYIGLKGNKHVGWTKVCNIYTKFCSFVASSVAISLASTIILLLLLTISTYSLHRRTMHN >DRNTG_25175.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:16062760:16064075:-1 gene:DRNTG_25175 transcript:DRNTG_25175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFSSPFASGDTTNILPCTFFDLIFMHFHPVQRVFFYDFPHSSHHFISSEFPSLKHSLSLTLSRFYPLAGCLLMQAGGKPELVFSAGDSVTVTIAVSSDDFHELSSDLAREMSRFHVLLPKLIEKEVLAIQVTTFPNSGISIGTTMHHGVGDGATYTHFMKTWSAVHRFGELAAFSMVLPPFLDRSKVRDDRGLERLFMEELEEFNGGDGLDKWDLHGCNDVVLATFVFGRERLEKMKKKTLSNCSVSALACGYMWSCLVKARKDTSKMMVHFGFVTGCRARIEPALPTNYFGNCLGICCVEAERSQVVDEERLVAAEAIWEVIKGLEKGVMEGADKWVSNVYKYASERAMTVAGSPKLGVYEVEFGWGKPKKVDIVSIERTGAVSLTESRDNEGGIEAGLALPRHEMEEFVSCFLNGFMEEI >DRNTG_16092.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25506666:25510412:-1 gene:DRNTG_16092 transcript:DRNTG_16092.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucan phosphatase LSF2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G10940) UniProtKB/Swiss-Prot;Acc:Q9SRK5] MGSVAKNGWISPAFPALFGDEGSFKEKHLRSLVMASPRNPLKHGILCKISGSGTMGENTHGKVQVSVSNGRKIEDYNTAMKRMMRNPYEYHHDLGMNYTIISDNLIVGSQPQKPEDVEYLKEEEKVAYILCLQQDKDIEYWGIDIQAIVGKCKELGILHMRRPARDFDPDSLRSQLPKAVSLLEWAMSEGKRRVYVHCSAGLGRAPAVAIAYMFWFCDMDLNAAYNALTSKRPCGPNKRAIQGATYDLAKNDPWKEPFENLPEHAFQGVADWERKLIQERVRGLRGI >DRNTG_19604.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001026.1:24657:28766:1 gene:DRNTG_19604 transcript:DRNTG_19604.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQTTTDFFISGKTIFLFSFIPCIDILCIEITDKKKNDMIQPQTLLNVADNSGARKLMCIRIIGTGNPRYAHIGDVIVAVIKEAVPNMPLERSEVIRAVIVRTCKELKRNNGIIIRYDDNAAVVIDQEGNPKGTRVFGAIPRELRQFNFTKIVSLAPEVL >DRNTG_27425.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28592269:28596022:1 gene:DRNTG_27425 transcript:DRNTG_27425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKQTLRTFKEKKETSGLKASNQKALPAKLLS >DRNTG_27425.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28592351:28596022:1 gene:DRNTG_27425 transcript:DRNTG_27425.2 gene_biotype:protein_coding transcript_biotype:protein_coding GYQSPEFLIADRACNPHPSLPCHQSKSQNPQKRGGRGGEEEPQQGQ >DRNTG_12204.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10553481:10554513:1 gene:DRNTG_12204 transcript:DRNTG_12204.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIERKKERLRHRQRRGENGMGEEDVNN >DRNTG_17895.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17343271:17345343:-1 gene:DRNTG_17895 transcript:DRNTG_17895.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGSSTPHHHHHHQHHLAFIPWPGRGHINPMLNLARSLASKAENIIITFIVTEEWLNILHSSTSFPPNLLLVSIPNILASEHTRGADMMSFIHTVFTLMGGPIEKILLKLVNDSVDVIIADALLPWMPGISVKLGVPLVSVFTMSVSLFLGAHLHGKETNEFQEYVPNKPSNSPLEIIRQKFRESMSRSEKSKSIIFTSVYELENEAIDTLKSKLQIPIYCLGSAIPSILNDNTSIYHKWLDSKPCNSVLYVSLGSFMPISRAQLDEMVHGLNASGVFFLLVVRGEEFQRVAELCKNTGFVLQWCDQFSVLCHDSVGGFLTHCGWNSTLEAIYAGVPMLTFPLVWDQLPNSKLIVDKWKVGLRLKVEGKEEEIVGREEIARIACQLMDSDSENGKELRKKAGELAEICRKAFGDGASCSSGFDAFIRDVLMKPSISEQKL >DRNTG_12885.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20725730:20727630:1 gene:DRNTG_12885 transcript:DRNTG_12885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYDYTITVFFSEGRLFLVLEAVLKGNTSVSVYGTDTIILGVEMKSTTKLQDSRMVKNIVNQDNHIALACTGLKADVHVNKARIECQSHRLTVEDPGTIEYITQYIAGIQQKYIETDSVRLFGLSKLIIGFDPYTDPDGNQPGDLYVTIKVREDLVFQREKSDIHVDAVLNASHSVMGGTIHILTLTGDVVLKVRPGIQPEANPNVEEYYICFTCVDGELYPIPHGSSSPTSLLKDATEVIKEMIHTA >DRNTG_19039.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22499020:22503841:-1 gene:DRNTG_19039 transcript:DRNTG_19039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLQLHARVLTDPLPPSILDPNLAAVKLISACSALAKPQHATLVFSTLPNPNLFACNALLQALALHRLFSHVRHYFILLLRSQTLIPDEFTFTSVIKACAGLAVFSDGEQCHGLVTKRGFDSNLFVRNSLVDMYFKFGLPNVARLLFDEMTVRDIVSWNTLVAGYCSCGDVRAARGVFDQMEEKNFVSWSTMIAGYARSGDLVVARELFDGMPERNVVCWNAMIAGYSQNEKFSEAIELFRQMLRFGGVAPNDVSLVSVLSACAHLGALDLGRWIDGFIKRRAMELSLFVGNALSDMYAKCGCIADARQVFDRMRERDVISWSILISGLAMHGHADGAISAFNEMLEHEVKPNDITFMGVLSACTHAGLVDKGLEFFHLMKDKFAIVPKVEHYGCVVDLLSRAGRLDEAENLISSMEVTPNVIVWGALLGGCRIYKDIARGERVVSHILELDPDHSGSYVYLANVYASLGRLDDAASCRLKMRDNQVVKTPGCSWIEVNNRVYEFFMGDRYHPESDRIYAFIRELGMKMKLAGYVPDTSLVSQNIDEEEKENVLSLHSEKLAVAFGIISTEEGAMIRVVKNLRVCNDCHVAMKFISKIVSREIVLRDRSRFHHFKEGKCSCKDYW >DRNTG_20750.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001145.1:25344:25866:1 gene:DRNTG_20750 transcript:DRNTG_20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCKGQRMLDAERVCRLMKSHGFVLDRTLCTAMIHGYLREGSINLALDLFKELKERIGCQPDVYLYNTMINGLLKLNFC >DRNTG_33092.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:9871508:9875400:1 gene:DRNTG_33092 transcript:DRNTG_33092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQETQERLNERDLDLVGEGSTPVYPQLMRLDLPPVDLKLDGPATYLSWSRRIEAALVGKRLDGYLTGVKAEPTMDGIRKVEDIWVKLKRTYAGVENHMRVFQIQRDIEAVVQGDRSIQEYSMELEQLWQDLDHFSPISSCSDPGCKSKEFNAQMRTIQFLAHLNPAFDQRRSVPLAQTKIPSLDEAVFAIIQEESHMRLHSESSGLPGVRSALATLSSCITGAQGEIRKCYNCGEVGHLSKACPKPPKERKTSGRGQSGGRGRGCGGHRGGRDGGYQAHLMIDPQLEMGSGLVLREESTEDELEEEAEIEVAREERREKQAHGKLRVYQRRRKVNVPMPTVPLVPSSLSRPSPTLETPTSSTTNLGYNSFQADTAMEAKAKAMRLTVQYIQQNNLTVRHVFIANEELVMAIKNGFHHQVWRVNPLIAIIDNLMRIMGDVPLHYIPRSWAKTEIGLEIHGASLHELTL >DRNTG_30537.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21342178:21343713:1 gene:DRNTG_30537 transcript:DRNTG_30537.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRVSPRWPVLPYYRCCRSSHRLHLHSAILSRGSHAARSSVGGGGGGGGGKSEENFLLVPGATIATILMLGALHARRLYEDKKVEELKEKGIEVEFSPDI >DRNTG_33500.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002011.1:5811:6222:1 gene:DRNTG_33500 transcript:DRNTG_33500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRSEYKTPVYEIFLETNKSKRRSRCMNSSKNPKSRKTKAIRESKKILLLTPKGWCWMNCCSSSPSTVHKSASLRGARLKMRRWW >DRNTG_30585.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3654247:3655605:1 gene:DRNTG_30585 transcript:DRNTG_30585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLDDEEHVVFPEVDDEEDERDEVDDREDDEDEDEDGEIEPSSAPLPPSVPVTDLGTMDPNLGMIPNPNSIAIHVAVSAVENGSVQVQPVLSDVATEDLTTPTSEERHQPDRQRRQRFLL >DRNTG_01143.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7914545:7942822:1 gene:DRNTG_01143 transcript:DRNTG_01143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSESFAGVGSTIASLMFLWAVCKQYFPNQLENYFSKYYTKFITLIYPYIEISFPEYSGQRLKLSEAYTAIESYLSNTTSERAKRLKAEMGHDSDKLILSMAENEEITDEFRGVKLWWYSNKISSNRPTISFYPTDDDKRSYRLTFHRRHRALVNDSYLPLVIQQGKAIAVQKRKRKLYTNSSNFDYSEFRKLVWSHVAFEHPATFETLAMEPEMKLEIIQDLVKFSKSKEYYAKIGKPWKRGYLLFGPPGTGKSTMIAAMANLLDYDVYDLELTAVKDNSALRRLLLNTTSKSIIVVEDIDCSLDLSGKRKTGGDQQEGNKEEEEKKKAMGGPPGKEESKVTLSGLLNCIDGLWSACGGEKLIVFTTNHIEKLDPALIRRGRMDKHIELGFCGYEGFKVLAKNYLGVESHPLFDSIHELLKEKKMSPADVAENLMPKNESEDQADLCLQSLVKALKECKSENEKDEEEEGEQEKKKVKTDEEKSVNDVKVGDKETEENKED >DRNTG_01143.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7914545:7942822:1 gene:DRNTG_01143 transcript:DRNTG_01143.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINSESFAGVGSTIASLMFLWAVCKQYFPNQLENYFSKYYTKFITLIYPYIEISFPEYSGQRLKLSEAYTAIESYLSNTTSERAKRLKAEMGHDSDKLILSMAENEEITDEFRGVKLWWYSNKISSNRPTISFYPTDDDKRSYRLTFHRRHRALVNDSYLPLVIQQGKAIAVQKRKRKLYTNSSNFDYSEFRKLVWSHVAFEHPATFETLAMEPEMKLEIIQDLVKFSKSKEYYAKIGKPWKRGYLLFGPPGTGKSTMIAAMANVLDYDVYDLEITAVKDNSALRKLLLNTTSKSIIVIEDIDCSLDLSGKRKTGGDQQEGNKEEEEKKKAMGGPPGKEESKVTLSGLLNCIDGLWSACGGEKLIVFTTNHIEKLDPALIRKGRMDKHIELGFCGYEGFKVLAKNYLGVESHPLFDSIHELLKEKKMSPADVAENLMPKNESEDQADLCLQSLVKALKECKSENEIDEEEEGEQEKKEVKTDAEKSVNDVKVGDKETEENKED >DRNTG_20841.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5338866:5341572:1 gene:DRNTG_20841 transcript:DRNTG_20841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGAGELQCVGRLEVAKPKPAVGFLCGTLPVLTDAPAFHSALLVPSPLTIGAPRYQMLPAETDLNTLPLLSGIPEKVIPASTGASEGLQWESNPVNQNLSTKCEALAVSGLTEYGDELDVVAPADILKQIFKMPYSKAQLSIAVQRIGDTLILNTGPDVQEGDKVFRKQTSQSKGCDPSIFLNFAMHSVRAEACDCPPTHTSPAEKQSTSTILPGRVTPACSHVGEAQFFDGNNNGNQNQGNCDKFFWGGKQSKHKSRRHNPIRKASQAGEKSRCPIQESDKFRRVGNNGFLRVLFWKFHNFRMLLGSDLLLLSNEKYVAVSLHLWDVSRQVTPLTWLEAWLDNVMASVPELAICYHQNGVVQGYELIKTDDIFLLKGISEDGTPAFHPQVVQQNGLSVLRFLQ >DRNTG_14151.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17931971:17932485:1 gene:DRNTG_14151 transcript:DRNTG_14151.2 gene_biotype:protein_coding transcript_biotype:protein_coding VTKRVDTPTENWKGWNWRSEGDLLLNGAYFIPSGAGASASYSRASSLGAKSSSMVGSITSDSGVLFLPSRKAVLVQLNRVKTRRRTPIKSSKI >DRNTG_14151.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17931971:17932609:1 gene:DRNTG_14151 transcript:DRNTG_14151.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTKRVDTPTENWKGWNWRSEGDLLLNGAYFIPSGAGASASYSRASSLGAKSSSMVGSITSDSGVLFLPSRKAVLVQLNRVKTRRRTPIKSSKI >DRNTG_30534.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21351517:21356947:1 gene:DRNTG_30534 transcript:DRNTG_30534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHSKRPWILSSLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKEHRCLFTLLGHLDYIRTVQFHDEYPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLSQMNADLFGGVDAVVKYVLEGHDRGANWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTSIQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDSVYYVKDRFLRYYEFSSQKEVQVLPIRRPGSVSLNQGPRTLSYSPTENAVLICFDVDGGSYELYIVPKDTSGRSDFVQDAKKGSGGSAVFVARNRFAVLDKGSNQALVKNLKNEIVKKSPLPIATDAIFYAGTGNLLCRAEDKVVIFDLQQRIILGELQTPSVKYIVWSSDMENVALLSKHAIVIATKKLVHKCTLHETIRVKSGAWDENGVFIYSTLTHIKYCLPNGDNGIIKTLDVPIYITKVSGSSIYCLDREGKSQLVIIDATEYIFKLSLLRKRYDHVMSMIRNSQLCGQAVIAYLQQKGFPEVALHFVKDERTRFNLAIESGNIQIAVASAKEIDEKDHWYRLGIEALRQGNTSIVEYAYQRTKNFERLSFLYLITGNTEKLSKMLKIAEIKNDVMGQFHNAMYLGDIQERVKILEKSGHLPLAYVTAATHGLTEVADRLAAELGDNIPSIPEEKARSLMLPPPPLMCGGDWPLLRVMRGIFEGGLDNVGRGGLEDEEDEEANAADWGDEVLDIVDVEGVIQNGNIGDVEDGEADEENDEEGGWDLEDLELPPDVETPKATAHARSNLFVTPTAGMPVSQIWTQKSSLAGDHVAAGNFDTAMRLLSRQLGIKNFAPLKPMFMDLFMGSHTYLQALASAPVLSTALEKGWTESSTPNVRSPPALVFKLSQLDEKLKAAYKSTTEGKFPDALRQFLNILHTIPLIVVDSRREVDEVKELIEITKEYVLGLKMEVKRKETKDNLVRQQELAAYFTNCKLQKIHARLVLTSAMTICFKAGNYATASNFARMLLENSPTEPQAKKARQVLQACGDKKDTQQLNYDFRNPFVVCGATLVPIYRGQKDMSCPYCGARFVPAIEGRLCAVCELAVVGSDASGLLCCPAQIR >DRNTG_10663.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000448.1:21095:21804:1 gene:DRNTG_10663 transcript:DRNTG_10663.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSEENLSPDLTNNTINTIEIRNKNFDRYIEIEEKKEGWRSHRRSLNGGCCTNPGTRRRRVRL >DRNTG_29135.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1332776:1335923:-1 gene:DRNTG_29135 transcript:DRNTG_29135.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator ARR1 [Source:Projected from Arabidopsis thaliana (AT3G16857) UniProtKB/Swiss-Prot;Acc:Q940D0] MSADDSKDVVMKGITHGAVDYIIKPLKMEVGQNLWQHVARKNMCNDAGLKQTVSVEENDRQKKVSDDGDNALPLSGNVKRRKDEKEGENEQREDSSSSVKKPRVVWSVELHRQFIDAVKQLTTERAVPKKILEIMKVPGLTRENVASHLQVVILWFL >DRNTG_29135.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1332776:1335923:-1 gene:DRNTG_29135 transcript:DRNTG_29135.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator ARR1 [Source:Projected from Arabidopsis thaliana (AT3G16857) UniProtKB/Swiss-Prot;Acc:Q940D0] MNAVLLLGMDSIGQSATNSPTPLSNYDAGSTSSSSAFRKFPAGLRVLVVDDDPLCLKIVEKILRNCQYDVTTCSRAAVALSLLRERRGSFDLVLSDVYMPDMDGFKLLELIGLEMDLPVIMMSADDSKDVVMKGITHGAVDYIIKPLKMEVGQNLWQHVARKNMCNDAGLKQTVSVEENDRQKKVSDDGDNALPLSGNVKRRKDEKEGENEQREDSSSSVKKPRVVWSVELHRQFIDAVKQLTTERAVPKKILEIMKVPGLTRENVASHLQKYRLYLKKTNPEALAQGVSDVTYGPIDAVNGFSHPHENHMNMNIGTRRGTGSGIGMPVVDRLNYISSAPQISNSTRMISGQHWSSPGPMNLNTGASFNMESRQPQPPVLQAWGSLSHQIGNNSTNLLNPQASFCANTSFSAGSLSGQTRNSMIMQMPQQGQHLPISRQQSSLNIDAPRQAQLSSQLLNGITGEHDCFNQQVLFNDICLYGEAALTGTMLPGSYGLAPQTLYSDLQNNYSNASVGNSYPLGMGTTNLNSTRMFQECVTSSGLLDWIKPGLKGVKDANHIEDWKFQSTYSSSELPQHPNINFGSSISASTDLMASVKDGNTCAVSKGAYKIRSQLGKVESVAQRNNTLLFDNAGLPSDDISDLSCQDDLFGNIHIENEEFLDMISQQELAGHLESDLDISNYALGGNLQ >DRNTG_33342.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21512155:21513168:1 gene:DRNTG_33342 transcript:DRNTG_33342.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metalloendoproteinase 4-MMP [Source:Projected from Arabidopsis thaliana (AT2G45040) UniProtKB/Swiss-Prot;Acc:Q8GWW6] MSSIIILLSLLFSFTSSRPLPPSLPQFTWQAFANLTGAKQGDELSGIADLKHYLNRFGYLPGIAANFTDTFDNSLATAISLYQSKLNLPISGHLDEPTLTNLMSPRCGVSDTTTTRRKTFQYFPGEPRWSRSHPITLSYSLSPHNTINYISKADIIASVSRAFDRWSRVIPITFIEENNYEEADVKLGFYRGDHGDGEPFDGVLGVLAHAFSPENGRLHLDAAERWSVNFADEKSEVAVDLESVVTHEIGHVLGLAHSSESDAVMFPSLSPRMMKRELRVDDVEGVQALYGSNPNFKMSSILESDQDTSSSASSSSSLVRVRVWGFGVVFLLMVFVL >DRNTG_22308.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001238.1:24191:24800:1 gene:DRNTG_22308 transcript:DRNTG_22308.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTMMASFVGGVTAVKRPWNNHRRSLTVPKAQIQDSTKKTTNDNGDDNNINDHNNNGRRAVMFAAAAAAICAAGISSRPPWLMNPSRGLRTQRRPMLPFVSPCQLLKFATNERPCISVFLNDYILSYIIVICNSYVSFFVCA >DRNTG_22308.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001238.1:24191:25015:1 gene:DRNTG_22308 transcript:DRNTG_22308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTMMASFVGGVTAVKRPWNNHRRSLTVPKAQIQDSTKKTTNDNGDDNNINDHNNNGRRAVMFAAAAAAICAAGISSRPPWLMNPSRGLRTQRRPMLPFVSPCQQAANRSPPKQLAHVLIRDPIT >DRNTG_25209.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20145919:20147905:-1 gene:DRNTG_25209 transcript:DRNTG_25209.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHSTAFPGKSKATANKEKKDGDRNTKITNSRPKPAFGVPRSTNIPARKSLLSKRQPTATSRPSKPVESLTKLGLQKNFKAEATVDDGKNASKNRFQKLQIEITNQEFERPGTEVTMKNGEQDMTQTPVSLVKSVAPETPYQSAKNCTKCRLNRLESSSYWLAQIKLAESVGKHFISAMFFRLALDCHAEPFSSLHSEFKQYIQRHGAAS >DRNTG_25209.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20145321:20147905:-1 gene:DRNTG_25209 transcript:DRNTG_25209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHSTAFPGKSKATANKEKKDGDRNTKITNSRPKPAFGVPRSTNIPARKSLLSKRQPTATSRPSKPVESLTKLGLQKNFKAEATVDDGKNASKNRFQKLQIEITNQEFERPGTEVTMKNGEQDMTQTPVSLVKSVAPETPYQSAKNCTKCRLNRLESSSYWLAQIKLAESVGKHFISAMFFRLALDCHAEPFSSLHSEFKQYIQRHGAAS >DRNTG_25209.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20146533:20147905:-1 gene:DRNTG_25209 transcript:DRNTG_25209.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHSTAFPGKSKATANKEKKDGDRNTKITNSRPKPAFGVPRSTNIPARKSLLSKRQPTATSRPSKPVESLTKLGLQKNFKAEATVDDGKNASKNRFQKLQIEITNQEFERPGTEVTMKNGEQDMTQTPVSLVKSVAPETPYQSAKNCTKCRLNRLESSSYWLAQIKLAESVGKHFISAMFFRLALDCHAEVLQPLLINPCILILFH >DRNTG_30906.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10103203:10107351:1 gene:DRNTG_30906 transcript:DRNTG_30906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNSRNGAIALSQVGEKMEKRMLKSGLKSAINMAGIGIPHATVDIPHGLVELMHCPATVMGQNTPKSMLSLRESSSLVGELSGERWSAITTRAKEAKGELETLEK >DRNTG_24282.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29572814:29573744:-1 gene:DRNTG_24282 transcript:DRNTG_24282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGQQSLVTWATPRLSEDKVKQCVDPKLNNEYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKALQPLLNSKAAPDQ >DRNTG_11550.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15895500:15896405:-1 gene:DRNTG_11550 transcript:DRNTG_11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRWSVIRQNRHRLGAIDGTCSLLMRPVLVCAPQKERMSLGASENDSSRMKGNSHWAYPSQPGLYWDSRRVAPYDVHDQSDPDVPVGTRGDRYDRYCIHIEEMRQSARIIVQCPNQMRSGMIKADDRKL >DRNTG_20959.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1237438:1251846:-1 gene:DRNTG_20959 transcript:DRNTG_20959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSQTCTLLLTGRPQKRADLVVFSFKTKLTASSPSLKKASQTKSRGVDSAL >DRNTG_22157.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3830033:3832427:1 gene:DRNTG_22157 transcript:DRNTG_22157.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESGEDQFSALDEGDPFGFELGVKEGNERKRRFSEEQIKSLEMMFETQTKLEPRKKLQMARELGLQPRQVAIWFQNKRARWKSKQLEREYSSMRLEYDKLLSNFENLKKEKQVLVKQVLDRYMLELRVFTFSEVKLFIVVTSR >DRNTG_22157.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3830033:3832427:1 gene:DRNTG_22157 transcript:DRNTG_22157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGEDQFSALDEGDPFGFELGVKEGNERKRRFSEEQIKSLEMMFETQTKLEPRKKLQMARELGLQPRQVAIWFQNKRARWKSKQLF >DRNTG_22157.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3830033:3832427:1 gene:DRNTG_22157 transcript:DRNTG_22157.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGEDQFSALDEGDPFGFELGVKEGNERKRRFSEEQIKSLEMMFETQTKLEPRKKLQMARELGLQPRQVAIWFQNKRARWKSKQLF >DRNTG_22157.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3830033:3832427:1 gene:DRNTG_22157 transcript:DRNTG_22157.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESGEDQFSALDEGDPFGFELGVKEGNERKRRFSEEQIKSLEMMFETQTKLEPRKKLQMARELGLQPRQVAIWFQNKRARWKSKQLEREYSSMRLEYDKLLSNFENLKKEKQVLVKQVLDRYMLELRVFTFSEVKLFIVVTSR >DRNTG_22157.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3830033:3832427:1 gene:DRNTG_22157 transcript:DRNTG_22157.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESGEDQFSALDEGDPFGFELGVKEGNERKRRFSEEQIKSLEMMFETQTKLEPRKKLQMARELGLQPRQVAIWFQNKRARWKSKQLEREYSSMRLEYDKLLSNFENLKKEKQVLVKQVLDRYMLELRVFTFSEVKLFIVVTSR >DRNTG_03762.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16292170:16292537:1 gene:DRNTG_03762 transcript:DRNTG_03762.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSISCHWRNFHGRSNWAGLLDPFLPLSQHPALADAKVQEVCRLMDKYAAEELSITVTRQNLGAALTLLVADELSSAVRVLLRRASGWEQGVR >DRNTG_28457.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11122056:11129000:-1 gene:DRNTG_28457 transcript:DRNTG_28457.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSVPRMEHPQTGQETHSTSYARSPGFSESAAAEQASNDALFLPLLESEATDSRRSHRLGGRVLLRFPISLIRLCRPESMDTMPY >DRNTG_28457.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11122056:11129070:-1 gene:DRNTG_28457 transcript:DRNTG_28457.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSVPRMEHPQTGQETHSTSYARSPGFSESAAAEQASNDALFLPLLESEATDSRRSHRLGGRVLLRFPISLIRLCRPESMDTMPY >DRNTG_14631.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2356148:2359065:1 gene:DRNTG_14631 transcript:DRNTG_14631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVNGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSPDLSVLNLIIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKSGKKCSKAPKIQRLVTPLTLQRKRARIAEKKKRIAKAKSEAAEYQKLLASRLKEQRERRSESLAKRRSKLSAASKPSVAA >DRNTG_31274.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19832411:19833790:-1 gene:DRNTG_31274 transcript:DRNTG_31274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLPYDYIVVGGGTAGCPLAATLSKIFRVLVLERGGSPYGNANISRLENFHISLAHSTPTSPVQRFLSTDGVFNHRARVLGGNTCINAGFYSRAQPSFVRNAGWDEVLVNESYKWVEDKIVFLKPAAPWQSSVKDGLLEVGVTPFNGYTYQHINGTKFGG >DRNTG_34878.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21915570:21923010:-1 gene:DRNTG_34878 transcript:DRNTG_34878.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSSKPGPASPPAQESPFSSFVSSLSPINAICVRNALHTDLGVPSPQPVFTSPHINFPRKRDLSKRFQKQAFSGAEKFPLFALNDTVFPENTDISGSSCLQSMTQLIPCSQKESNKDGPSQDPFSSPSTCVDEFLADPIEACDDPVQVPRIKLTDYNETQKDCKKENLRQIQPPGKLMAVSDGSQCVILGKSAILEEDTTDFRPLNTIEGLKMDVSENLTSLDHAEGDILKERSSGNINHTEIKSGFKQTKEILNLRKRSHEKQLEKVVTANDDQIDPDLATDPASSPCHGLDFVGPSCADRLSLMPDDKDWSRVDSGKQDHAPSSVCSEAVGDVNLRHVQNGQWPDCGFTPQVLAESIPDNQEHDQQDDSGVRPDTYTDDKVTYDQEESAQHQRAMRKRLQFEGFDNHIGWKESYPSFTSAICDSESPGTSIDLDNACADSAPLPSKEQLTQLVDCSGNALCKLSLSACRRSKVDIGAQDQSFRSEGSSSLIAPTPSGIGLHLNSIGGSAPKSFNINMQCRENDLEVSIDKSVSGVHKIGLQQSNYTCSSRTISPYPSIKPISRFNNSLSYSSVENSRVDLQENQEIEPSALDVKPHDAKIGPSEDACSSKELTQTSPKKKRKKSSDNDGSKRCHCKRSKCLKLYCDCFAAGTLCSEDCACQGCFNKPEHQEKVQETRQQIETRNPLAFAPKVVLRVTEPQKDSGESKHITPTSARHKRGCNCKKSQCLKKYCECYQVGVGCSLGCRCEGCKNTFGRKDGSCEVTEMEYKFLQREMKNKKLTEADLESDSSCEKLGVKIRKGLSAAEGCVSRLSPLTPLFQTSDENDVPKAQPPVSYYPSPESGSSALLSYNESPSSPKGDNSLVKSREDTLSLAPCDQGLNGGSAAKVDPFSPRWDRFSDICDVSPMSNPSPSVTGSAAITSVRERRGLQTKLFHGSCHLSAGLRWRSSPVTPLPRFGDGKLIIEPDSGSGLHCNVDDDTPDVLKDTSSPAKAVTASSPNKKRVSPPAKCLHETGSTTSPAVKSGRKFILKSVPSFPPLTPYSNKR >DRNTG_34878.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21915570:21923010:-1 gene:DRNTG_34878 transcript:DRNTG_34878.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLIPCSQKESNKDGPSQDPFSSPSTCVDEFLADPIEACDDPVQVPRIKLTDYNETQKDCKKENLRQIQPPGKLMAVSDGSQCVILGKSAILEEDTTDFRPLNTIEGLKMDVSENLTSLDHAEGDILKERSSGNINHTEIKSGFKQTKEILNLRKRSHEKQLEKVVTANDDQIDPDLATDPASSPCHGLDFVGPSCADRLSLMPDDKDWSRVDSGKQDHAPSSVCSEAVGDVNLRHVQNGQWPDCGFTPQVLAESIPDNQEHDQQDDSGVRPDTYTDDKVTYDQEESAQHQRAMRKRLQFEGFDNHIGWKESYPSFTSAICDSESPGTSIDLDNACADSAPLPSKEQLTQLVDCSGNALCKLSLSACRRSKVDIGAQDQSFRSEGSSSLIAPTPSGIGLHLNSIGGSAPKSFNINMQCRENDLEVSIDKSVSGVHKIGLQQSNYTCSSRTISPYPSIKPISRFNNSLSYSSVENSRVDLQENQEIEPSALDVKPHDAKIGPSEDACSSKELTQTSPKKKRKKSSDNDGSKRCHCKRSKCLKLYCDCFAAGTLCSEDCACQGCFNKPEHQEKVQETRQQIETRNPLAFAPKVVLRVTEPQKDSGESKHITPTSARHKRGCNCKKSQCLKKYCECYQVGVGCSLGCRCEGCKNTFGRKDGSCEVTEMEYKFLQREMKNKKLTEADLESDSSCEKLGVKIRKGLSAAEGCVSRLSPLTPLFQTSDENDVPKAQPPVSYYPSPESGSSALLSYNESPSSPKGDNSLVKSREDTLSLAPCDQGLNGGSAAKVDPFSPRWDRFSDICDVSPMSNPSPSVTGSAAITSVRERRGLQTKLFHGSCHLSAGLRWRSSPVTPLPRFGDGKLIIEPDSGSGLHCNVDDDTPDVLKDTSSPAKAVTASSPNKKRVSPPAKCLHETGSTTSPAVKSGRKFILKSVPSFPPLTPYSNKR >DRNTG_34878.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21915570:21921809:-1 gene:DRNTG_34878 transcript:DRNTG_34878.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLIPCSQKESNKDGPSQDPFSSPSTCVDEFLADPIEACDDPVQVPRIKLTDYNETQKDCKKENLRQIQPPGKLMAVSDGSQCVILGKSAILEEDTTDFRPLNTIEGLKMDVSENLTSLDHAEGDILKERSSGNINHTEIKSGFKQTKEILNLRKRSHEKQLEKVVTANDDQIDPDLATDPASSPCHGLDFVGPSCADRLSLMPDDKDWSRVDSGKQDHAPSSVCSEAVGDVNLRHVQNGQWPDCGFTPQVLAESIPDNQEHDQQDDSGVRPDTYTDDKVTYDQEESAQHQRAMRKRLQFEGFDNHIGWKESYPSFTSAICDSESPGTSIDLDNACADSAPLPSKEQLTQLVDCSGNALCKLSLSACRRSKVDIGAQDQSFRSEGSSSLIAPTPSGIGLHLNSIGGSAPKSFNINMQCRENDLEVSIDKSVSGVHKIGLQQSNYTCSSRTISPYPSIKPISRFNNSLSYSSVENSRVDLQENQEIEPSALDVKPHDAKIGPSEDACSSKELTQTSPKKKRKKSSDNDGSKRCHCKRSKCLKLYCDCFAAGTLCSEDCACQGCFNKPEHQEKVQETRQQIETRNPLAFAPKVVLRVTEPQKDSGESKHITPTSARHKRGCNCKKSQCLKKYCECYQVGVGCSLGCRCEGCKNTFGRKDGSCEVTEMEYKFLQREMKNKKLTEADLESDSSCEKLGVKIRKGLSAAEGCVSRLSPLTPLFQTSDENDVPKAQPPVSYYPSPESGSSALLSYNESPSSPKGDNSLVKSREDTLSLAPCDQGLNGGSAAKVDPFSPRWDRFSDICDVSPMSNPSPSVTGSAAITSVRERRGLQTKLFHGSCHLSAGLRWRSSPVTPLPRFGDGKLIIEPDSGSGLHCNVDDDTPDVLKDTSSPAKAVTASSPNKKRVSPPAKCLHETGSTTSPAVKSGRKFILKSVPSFPPLTPYSNKR >DRNTG_26937.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2369280:2377941:-1 gene:DRNTG_26937 transcript:DRNTG_26937.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCISHDDEDLRKAFIGPMPRSWTGCMAFPLNGTPYPVTLPFDTESDLPLVQCKPCKQCYLELNPTFNSTNS >DRNTG_03601.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:231920:236407:1 gene:DRNTG_03601 transcript:DRNTG_03601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVIGGKFKLGRKIGSGSFGELYLGVNIQTGEEVAVKLESVKAKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKLSLKTVLMLADQLINRVEYMHSKGFLHRDIKPDNFLMGLGRRANQVYIIDYGLGKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKANTKKQKYDKISEKKMLTPVEVLCKSYPSEFISYFHYCRSLRFDDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVSKFPQPGANPRIRPGGRTSGTTGLPIERSERTSVVQEIRDKFSGAVEAFARRNTSGSTHHADHSRHRALENSPLPAKDTVHESEKPQSASRNGNSSKRAVIATSRPSSSGEPSGEHQHGRSSRIISSGGSSRLSNVQRSHQTGSESRSSSISKNISARNSRENPLLRSFELLSVGNEKRK >DRNTG_25962.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2039047:2041538:-1 gene:DRNTG_25962 transcript:DRNTG_25962.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCLGAGYVGGPTMAVIAFKCPNVEVVVVDISVARIAAWNSDQLPIYEPGLDDVVKQCRGKTLFFSTDIEKHVSEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVAKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINFQILSNPEFLAEGTAIQDLFNPDRVLIGGRETPEGQKAVQALKDVYATWVPEDRIITTNLWSAELSKLAANAFLAQRISSMNAMSALCEATGANVSEVAYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVAHYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETAAIDVCKGLLGDKAKISIYDPQVTEDQIQRDLSMNKFDWDHPIHLQPMSPSAVKQVTVTWDAYEAAKGAHGVCILTEWDEFKQLDYKKIYDNMQKPAFLFDGRNVVDPVKLREIGFIVYSIGKPLDAWLKDMPAMI >DRNTG_31026.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30495091:30497022:1 gene:DRNTG_31026 transcript:DRNTG_31026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLLGRAGKLDAAMELVNSMPFEANAAVWGALLGASRIHGNIELGRRAAEMLFALEPEKSGTHVLLANMYASAGMMKGVAEARRLMKDSKVKKEPAMSWVELKDKVHTFIVGDRSHERTEEIYAKLKELGDLMGKAGYVPMVEIDLHDVERSEKEVLLSQHSEKLAVAFGLISTPPGAPIRVKKNLRICKDCHVAFKYISRITSREIIIRDINRFHRFTDGSCSCGDYW >DRNTG_04951.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3904193:3920242:-1 gene:DRNTG_04951 transcript:DRNTG_04951.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of X-ray repair cross complementing 2 (XRCC2) [Source:Projected from Arabidopsis thaliana (AT5G64520) TAIR;Acc:AT5G64520] MTSDPRAWISGDESAAAMLSRLQASRPALLVPPLHRVPLRTGNVVEIAGPSHSGKSQVLLEAVMHCILPKEWKGIHFGGLEKIVVYFDLDCRFDVLRLSNALRIRIMDSYGLAWNALLEFKEGSHKDDSKDADICPFDDELFLACMRRFLYIRCYSTLEFLAALKTMHHQMLKEYEALGVKSHILIIDSIGAHHWIDRSCQPSTLGDNRRKGLSLQCLTEAVVHEIRNLLRTHPMLVLATKATIFGVGTSSNDRQRTVGKHLLQDTIGSMTSTKETQQHQYLICYTQDMFTSFR >DRNTG_19617.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001032.1:125614:131953:1 gene:DRNTG_19617 transcript:DRNTG_19617.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGSYDNGVFPPQRCTYPFGITNCTAGNSSTEPYIATHNALLAHASIFHLYKTKYQAFQHGWIGLNIYTFWYSPFSNSKADVQATKRARDFLLGWILDPLVLGDYPKIMKKIVGSRLPTFTKSQSEYLKGSFDFIGLNHYTSLFVADNSAEALAMPIRDYSSDILATLTVSRNETPSGQFIPTTTPNDPDGLRKMLEYFKQKYKNPPIYVQENGYGLGVKHTMKDTDRINYLNGYIGSTLEAIRNGANVKGYFVWSFMDVFEFLAGYQSRFGLYFVDFDDKERKRIPKLSAHWYSNFLKGKNIKEMQGDRIVVLDFDSK >DRNTG_19617.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001032.1:125614:131953:1 gene:DRNTG_19617 transcript:DRNTG_19617.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEATRPLIASVLLSLFLISLHLLLCSAQSSLPNFTRNDFPSDFVFGAGTSAYQVEGAAAEDGRSPSIWDTHTHAGKMPDKSTGDIASDQYHKYKEDVKLMSDAGLEAYRFSISWSRLIPNGRGEVNPKGLNYYNNLINKLIEKGIQPHVTLYHLDLPQVLEDEYNGWLSPKIVDDFTAYADVCFREFGDRVSHWTTIVEVNVMSMGSYDNGVFPPQRCTYPFGITNCTAGNSSTEPYIATHNALLAHASIFHLYKTKYQAFQHGWIGLNIYTFWYSPFSNSKADVQATKRARDFLLGWILDPLVLGDYPKIMKKIVGSRLPTFTKSQSEYLKGSFDFIGLNHYTSLFVADNSAEALAMPIRDYSSDILATLTVSRNETPSGQFIPTTTPNDPDGLRKMLEYFKQKYKNPPIYVQENGYGLGVKHTMKDTDRINYLNGYIGSTLEAIRNGANVKGYFVWSFMDVFEFLAGYQSRFGLYFVDFDDKERKRIPKLSAHWYSNFLKGKNIKEMQGDRIVVLDFDSK >DRNTG_19617.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001032.1:98702:131953:1 gene:DRNTG_19617 transcript:DRNTG_19617.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFTAYADVCFREFGDRVSHWTTMAEVNIMSLGSYDNGDFPPSRCSYPFGVTNCTAGNSSTEPYIATHNALLTHASIFHLYKTKYQAFQHGWIGLNIYTFWYSPFSNSKADVQATKRARDFLLGWILDPLVLGDYPKIMKKIVGSRLPTFTKSQSEYLKGSFDFIGLNHYTSLFVADNSAEALAMPIRDYSSDILATLTVSRNETPSGQFIPTTTPNDPDGLRKMLEYFKQKYKNPPIYVQENGYGLGVKHTMKDTDRINYLNGYIGSTLEAIRNGANVKGYFVWSFMDVFEFLAGYQSRFGLYFVDFDDKERKRIPKLSAHWYSNFLKGKNIKEMQGDRIVVLDFDSK >DRNTG_19617.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001032.1:97415:131953:1 gene:DRNTG_19617 transcript:DRNTG_19617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRDASVGERESEMQGKGPLMASILLSLFLISLHLWLCSAQCSPPNYTRNDFPSDFAFGAGTSAYQVEGAAAEDGRSPSIWDTHTHAGKMADKSTGDIASDQYHKYKEDVKLMSDLGLEVYKFSISWSRLIPNGRGEINPKGLEYYNNLINELLGKGIQPHVTLYHLDLPQALEDEYNGWLSPRIMDDFTAYADVCFREFGDRVSHWTTMAEVNIMSLGSYDNGDFPPSRCSYPFGVTNCTAGNSSTEPYIATHNALLTHASIFHLYKTKYQAFQHGWIGLNIYTFWYSPFSNSKADVQATKRARDFLLGWILDPLVLGDYPKIMKKIVGSRLPTFTKSQSEYLKGSFDFIGLNHYTSLFVADNSAEALAMPIRDYSSDILATLTVSRNETPSGQFIPTTTPNDPDGLRKMLEYFKQKYKNPPIYVQENGYGLGVKHTMKDTDRINYLNGYIGSTLEAIRNGANVKGYFVWSFMDVFEFLAGYQSRFGLYFVDFDDKERKRIPKLSAHWYSNFLKGKNIKEMQGDRIVVLDFDSK >DRNTG_19004.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22635146:22638923:1 gene:DRNTG_19004 transcript:DRNTG_19004.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triosephosphate isomerase, cytosolic [Source:Projected from Arabidopsis thaliana (AT3G55440) UniProtKB/Swiss-Prot;Acc:P48491] MARKFFVGGNWKCNGTTEEVKKIVGTLNEAEVPPQDVVEVVVSPPFVFLPLVKSLLRPDFHVAAQNCWVKKGGAFTGEVSAEMLVDFGISWVILGHSERRLLLGESNEFVGDKVAYALAKNLKVIACVGETLEQRESGSTLDIVAAQIKAISERISNWTNVVVAYEPVWAIGTGKVASPAQAQEVHSVLREWFHTNVSPEVAASIRIIYGGSVSGANCKELATQPDVDGFLVGGASLKPEFVDIIKSATLKNSTSKFLTDVCHGLL >DRNTG_34124.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15090376:15104629:1 gene:DRNTG_34124 transcript:DRNTG_34124.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:H3K27 methyltransferase, Polycomb repressive complex2 (PRC2) key subunit, Enhancer of zeste [E(z)] genes, Long day repression of flowerin [Source: Projected from Oryza sativa (Os06g0275500)] MVLLLGGDQSLTKVKVRQMQDLYLRAVNLKYVLGVMGYPPISSSPLKVKLNGKCGIRKRNNKRAAERVLICIRKRQKQMLPSGSAPVANGSIWLHDMKLRSNTRKGNKDIKFSSHNRKLSSSIVRKCRKKVSPSLDNVDTSFVFPSQCAAEMVKESTATAGDENSRKDDLVDEKHEFIGVLSWKAIEKGLFAKGLEIFGRNSCLISRNLLNGMRTCTEVFQYMSFIENKGTFARGNGTNSLVEGHGKGNELRTRSRFVRRRGRVRRLKYTWKSAGYHSIRKRITEREDQPCRQYNPCGCQSACGKQCPCILSGTCCEKYCGCPKMCKNHFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVGCGDGSLGGPTQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNDQFVLDAYRKGDKLKFANHSPDPNCYAKVIMVGGDHRVGIFAKERISAGEELFYDYRYEPDRAPAWARKPESSSGKKDESFPSSGRAKKLA >DRNTG_34124.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15102836:15104629:1 gene:DRNTG_34124 transcript:DRNTG_34124.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:H3K27 methyltransferase, Polycomb repressive complex2 (PRC2) key subunit, Enhancer of zeste [E(z)] genes, Long day repression of flowerin [Source: Projected from Oryza sativa (Os06g0275500)] MCKNHFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVGCGDGSLGGPTQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNDQFVLDAYRKGDKLKFANHSPDPNCYAKVIMVGGDHRVGIFAKERISAGEELFYDYRYEPDRAPAWARKPESSSGKKDESFPSSGRAKKLA >DRNTG_31777.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16976780:16981779:1 gene:DRNTG_31777 transcript:DRNTG_31777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAQPLIFAILVLISVWRARARFFSEVQEEDRVVDLPGEPNVNFAHYSGYVTVNKESGRSLFFWFFEAVDKPEEKPLLLWLNGGPGCSSIGYGLAEEIGPFHVNADGQSLYLNPYSWNQVANILFLDSPAGVGFSYTNNSQDILNNGDERTANDSLVFLTKWFKRYPQYKGRDFYISGESYAGHYVPQLAQVIVRYNNATGDKSINLKGYMVGNALTDDYHDRVGLFQFMWSVGLISDQTYKNLTVLCDFQSFIHPSSECEKILQVAYGEFGNIDPYSIFTPPCTANAAFSKNKLLKRLRLLGRLNENYDPCALEHSTVYFNLPHVQKAIHVNPAFAPSKWEPCSATINENWKDSPSSMLPIYHELINQGLRIWIFSGNTDAVLPVTGTRYNVEALKLPTVIPWYAWYDDGQVGGWTQVYEGLTFVIVRGAGHEVPLHRPKQGLLLFKSFLAGSPMPTVKQINNP >DRNTG_31777.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16976780:16981779:1 gene:DRNTG_31777 transcript:DRNTG_31777.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAQPLIFAILVLISVWRARARFFSEVQEEDRVVDLPGEPNVNFAHYSGYVTVNKESGRSLFFWFFEAVDKPEEKPLLLWLNGGPGCSSIGYGLAEEIGPFHVNADGQSLYLNPYSWNQVANILFLDSPAGVGFSYTNNSQDILNNGDERTANDSLVFLTKWFKRYPQYKGRDFYISGESYAGHYVPQLAQVIVRYNNATGDKSINLKGYMVGNALTDDYHDRVGLFQFMWSVGLISDQTYKNLTVLCDFQSFIHPSSECEKILQVAYGEFGNIDPYSIFTPPCTANAAFSKNKLLKRLRIERKL >DRNTG_25434.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24707144:24714016:1 gene:DRNTG_25434 transcript:DRNTG_25434.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-like protein [Source:Projected from Arabidopsis thaliana (AT2G14680) UniProtKB/TrEMBL;Acc:F4IGF6] MGLDIERHLKIKVQSLERAQIALDDMIQNGLSSLRDFQKQQRMEITKILEGETLQLKTILLDIQNKFSQIHMERELKLEALQSEKVSEDTECRDVHITNDLDSGVLAEKNDPPVASSTNKIPDASDALSQALQEKVAALLLLSQQEERHLFEGDVNKALLKKVEELQRNLSQVTNEKVNALMELAQLKREYQLLQENSIISEKGTVAHEQGTLKNLLKRTYLKHWVGKGSSEHENEFHQGTTESSSVNRKISMDLARLKIENAALQESLANMERLTSSIRKLHVSLLKAKDDVKSGSPVENIIKTLESVLVEANNMKTAIGSSLPVSWSADVDASIIYANLYEPTGSPETPKNNKADPVSVAGFEMVELLILAVLLQKETLMGISENPHI >DRNTG_25434.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24705346:24714016:1 gene:DRNTG_25434 transcript:DRNTG_25434.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-like protein [Source:Projected from Arabidopsis thaliana (AT2G14680) UniProtKB/TrEMBL;Acc:F4IGF6] MGLDIERHLKIKVQSLERAQIALDDMIQNGLSSLRDFQKQQRMEITKILEGETLQLKTILLDIQNKFSQIHMERELKLEALQSEKVSEDTECRDVHITNDLDSGVLAEKNDPPVASSTNKIPDASDALSQALQEKVAALLLLSQQEERHLFEGDVNKALLKKVEELQRNLSQVTNEKVNALMELAQLKREYQLLQENSIISEKGTVAHEQGTLKNLLKRTYLKHWVGKGSSEHENEFHQGTTESSSVNRKISMDLARLKIENAALQESLANMERLTSSIRKLHVSLLKAKDDVKSGSPVENIIKTLESVLVEANNMKTAIGSSLPVSWSADVDASIIYANLYEPTGSPETPKNNKADPVSVAGFEMVELLILAVLLQKETLMGISENPHI >DRNTG_25434.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24707144:24714016:1 gene:DRNTG_25434 transcript:DRNTG_25434.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-like protein [Source:Projected from Arabidopsis thaliana (AT2G14680) UniProtKB/TrEMBL;Acc:F4IGF6] MGLDIERHLKIKVQSLERAQIALDDMIQNGLSSLRDFQKQQRMEITKILEGETLQLKTILLDIQNKFSQIHMERELKLEALQSEKVSEDTECRDVHITNDLDSGVLAEKNDPPVASSTNKIPDASDALSQALQEKVAALLLLSQQEERHLFEGDVNKALLKKVEELQRNLSQVTNEKVNALMELAQLKREYQLLQENSIISEKGTVAHEQGTLKNLLKRTYLKHWVGKGSSEHENEFHQGTTESSSVNRKISMDLARLKIENAALQESLANMERLTSSIRKLHVSLLKAKDDVKSGSPVENIIKTLESVLVEANNMKTAIGSSLPVSWSADVDASIIYANLYEPTGSPETPKNNKADPVSVAGFEMVELLILAVLLQKETLMGISENPHI >DRNTG_25434.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24705346:24714016:1 gene:DRNTG_25434 transcript:DRNTG_25434.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-like protein [Source:Projected from Arabidopsis thaliana (AT2G14680) UniProtKB/TrEMBL;Acc:F4IGF6] MGLDIERHLKIKVQSLERAQIALDDMIQNGLSSLRDFQKQQRMEITKILEGETLQLKTILLDIQNKFSQIHMERELKLEALQSEKVSEDTECRDVHITNDLDSGVLAEKNDPPVASSTNKIPDASDALSQALQEKVAALLLLSQQEERHLFEGDVNKALLKKVEELQRNLSQVTNEKVNALMELAQLKREYQLLQENSIISEKGTVAHEQGTLKNLLKRTYLKHWVGKGSSEHENEFHQGTTESSSVNRKISMDLARLKIENAALQESLANMERLTSSIRKLHVSLLKAKDDVKSGSPVENIIKTLESVLVEANNMKTAIGSSLPVSWSADVDASIIYANLYEPTGSPETPKNNKADPVSVAGFEMVELLILAVLLQKETLMGISENPHI >DRNTG_25434.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24705346:24714016:1 gene:DRNTG_25434 transcript:DRNTG_25434.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-like protein [Source:Projected from Arabidopsis thaliana (AT2G14680) UniProtKB/TrEMBL;Acc:F4IGF6] MDEGNNDIVSLTRQIEQLQRERDELRKDIEQLCMQQAGPGYLAVATRMHFQRTAGLEQEIESLQKKLAAGTRDKQNLQEELSEAYRIKSQLADLHGAEVIKNKEAEKQLKFFQSSVAAAFAERDQALMESEKAKEHEEAMLQKLTHLENRVEELHSACLDEQTLNSTLQLELMQIKEHNEYFEKVVDKFFEIHEKNAGFSADNTWKEKCLCLLNDSSDKWTFNDDSESFSLKYIASLEEELGKLRNSVDKLQSNIRMGLDIERHLKIKVQSLERAQIALDDMIQNGLSSLRDFQKQQRMEITKILEGETLQLKTILLDIQNKFSQIHMERELKLEALQSEKVSEDTECRDVHITNDLDSGVLAEKNDPPVASSTNKIPDASDALSQALQEKVAALLLLSQQEERHLFEGDVNKALLKKVEELQRNLSQVTNEKVNALMELAQLKREYQLLQENSIISEKGTVAHEQGTLKNLLKRTYLKHWVGKGSSEHENEFHQGTTESSSVNRKISMDLARLKIENAALQESLANMERLTSSIRKLHVSLLKAKDDVKSGSPVENIIKTLESVLVEANNMKTAIGSSLPVSWSADVDASIIYANLYEPTGSPETPKNNKADPVSVAGFEMVELLILAVLLQKETLMGISENPHI >DRNTG_25434.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24709952:24714016:1 gene:DRNTG_25434 transcript:DRNTG_25434.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-like protein [Source:Projected from Arabidopsis thaliana (AT2G14680) UniProtKB/TrEMBL;Acc:F4IGF6] MELAQLKREYQLLQENSIISEKGTVAHEQGTLKNLLKRTYLKHWVGKGSSEHENEFHQGTTESSSVNRKISMDLARLKIENAALQESLANMERLTSSIRKLHVSLLKAKDDVKSGSPVENIIKTLESVLVEANNMKTAIGSSLPVSWSADVDASIIYANLYEPTGSPETPKNNKADPVSVAGFEMVELLILAVLLQKETLMGISENPHI >DRNTG_25434.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24705346:24714016:1 gene:DRNTG_25434 transcript:DRNTG_25434.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-like protein [Source:Projected from Arabidopsis thaliana (AT2G14680) UniProtKB/TrEMBL;Acc:F4IGF6] MGLDIERHLKIKVQSLERAQIALDDMIQNGLSSLRDFQKQQRMEITKILEGETLQLKTILLDIQNKFSQIHMERELKLEALQSEKVSEDTECRDVHITNDLDSGVLAEKNDPPVASSTNKIPDASDALSQALQEKVAALLLLSQQEERHLFEGDVNKALLKKVEELQRNLSQVTNEKVNALMELAQLKREYQLLQENSIISEKGTVAHEQGTLKNLLKRTYLKHWVGKGSSEHENEFHQGTTESSSVNRKISMDLARLKIENAALQESLANMERLTSSIRKLHVSLLKAKDDVKSGSPVENIIKTLESVLVEANNMKTAIGSSLPVSWSADVDASIIYANLYEPTGSPETPKNNKADPVSVAGFEMVELLILAVLLQKETLMGISENPHI >DRNTG_25434.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24705346:24714016:1 gene:DRNTG_25434 transcript:DRNTG_25434.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-like protein [Source:Projected from Arabidopsis thaliana (AT2G14680) UniProtKB/TrEMBL;Acc:F4IGF6] MGLDIERHLKIKVQSLERAQIALDDMIQNGLSSLRDFQKQQRMEITKILEGETLQLKTILLDIQNKFSQIHMERELKLEALQSEKVSEDTECRDVHITNDLDSGVLAEKNDPPVASSTNKIPDASDALSQALQEKVAALLLLSQQEERHLFEGDVNKALLKKVEELQRNLSQVTNEKVNALMELAQLKREYQLLQENSIISEKGTVAHEQGTLKNLLKRTYLKHWVGKGSSEHENEFHQGTTESSSVNRKISMDLARLKIENAALQESLANMERLTSSIRKLHVSLLKAKDDVKSGSPVENIIKTLESVLVEANNMKTAIGSSLPVSWSADVDASIIYANLYEPTGSPETPKNNKADPVSVAGFEMVELLILAVLLQKETLMGISENPHI >DRNTG_21462.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26748520:26749908:-1 gene:DRNTG_21462 transcript:DRNTG_21462.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFYAYSVGIVNDVLKRFLLKYNKQWNTKVAHNLVGKTSREAATIFLQDYGLPLSVKELMDMITRLFSDQ >DRNTG_21462.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26746994:26751929:-1 gene:DRNTG_21462 transcript:DRNTG_21462.1 gene_biotype:protein_coding transcript_biotype:protein_coding FIGFLCLVVGWKESFLAIVGGDDVINGKQSPDIFPKAAKRINTEPSNYLVIEDSL >DRNTG_21462.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26748828:26751929:-1 gene:DRNTG_21462 transcript:DRNTG_21462.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIATVFRSFHVGIVNDVLKRFLLKYNKQWNTKVAHNLVGKTSREAATIFLQDYGLPLSVKELMDMITRLFSDQ >DRNTG_21462.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26748828:26751929:-1 gene:DRNTG_21462 transcript:DRNTG_21462.2 gene_biotype:protein_coding transcript_biotype:protein_coding TFPSFPSFPSLFDPSSNGDCYCFSIFSWWYNIKAFPCANRLIKHLSSNGVPMALASNPPKLNMEGKISDHHGICTLKTRRIFLILYVNPVT >DRNTG_21462.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26748828:26749908:-1 gene:DRNTG_21462 transcript:DRNTG_21462.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFYAYSVGIVNDVLKRFLLKYNKQWNTKVAHNLVGKTSREAATIFLQDYGLPLSVKELMDMITRLFSDQ >DRNTG_22595.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17550724:17551824:1 gene:DRNTG_22595 transcript:DRNTG_22595.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQQQQVHQQHVDQLVYHQLLKTSTFSSPESGLSYNASAPRKRSRPITPISPSLPELPTTSTSDQDLFSHLYQHTLDVDTLIHFQNERLRLYVEELQRRHYRAVLIAVEQEVTRRLKEKETELEDVKNKNLDLEQKLRQLAGENQVWFNVAKNNEAVVSGLRLSLEQALLRNAGAGAGGPAVEGFGEGGGVGNDDDDDDDDDAQSCCGGGGGAAAGGRWRGGCKACGKREACFVVLPCRHLCLCDSCESKVDTCPVCMSKLSSCLHVFLS >DRNTG_22595.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17550665:17551758:1 gene:DRNTG_22595 transcript:DRNTG_22595.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQQQQVHQQHVDQLVYHQLLKTSTFSSPESGLSYNASAPRKRSRPITPISPSLPELPTTSTSDQDLFSHLYQHTLDVDTLIHFQNERLRLYVEELQRRHYRAVLIAVEQEVTRRLKEKETELEDVKNKNLDLEQKLRQLAGENQVWFNVAKNNEAVVSGLRLSLEQALLRNAGAGAGGPAVEGFGEGGGVGNDDDDDDDDDAQSCCGGGGGAAAGGRWRGGCKACGKREACFVVLPCRHLCLCDSCESKVDTCPVCMSKLSSC >DRNTG_22595.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17550724:17551874:1 gene:DRNTG_22595 transcript:DRNTG_22595.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQQQQVHQQHVDQLVYHQLLKTSTFSSPESGLSYNASAPRKRSRPITPISPSLPELPTTSTSDQDLFSHLYQHTLDVDTLIHFQNERLRLYVEELQRRHYRAVLIAVEQEVTRRLKEKETELEDVKNKNLDLEQKLRQLAGENQVWFNVAKNNEAVVSGLRLSLEQALLRNAGAGAGGPAVEGFGEGGGVGNDDDDDDDDDAQSCCGGGGGAAAGGRWRGGCKACGKREACFVVLPCRHLCLCDSCESKVDTCPVCMSKLSSCLHVFLS >DRNTG_22595.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17550545:17551874:1 gene:DRNTG_22595 transcript:DRNTG_22595.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQQQQVHQQHVDQLVYHQLLKTSTFSSPESGLSYNASAPRKRSRPITPISPSLPELPTTSTSDQDLFSHLYQHTLDVDTLIHFQNERLRLYVEELQRRHYRAVLIAVEQEVTRRLKEKETELEDVKNKNLDLEQKLRQLAGENQVWFNVAKNNEAVVSGLRLSLEQALLRNAGAGAGGPAVEGFGEGGGVGNDDDDDDDDDAQSCCGGGGGAAAGGRWRGGCKACGKREACFVVLPCRHLCLCDSCESKVDTCPVCMSKLSSCLHVFLS >DRNTG_22595.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17550545:17551758:1 gene:DRNTG_22595 transcript:DRNTG_22595.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQQQQVHQQHVDQLVYHQLLKTSTFSSPESGLSYNASAPRKRSRPITPISPSLPELPTTSTSDQDLFSHLYQHTLDVDTLIHFQNERLRLYVEELQRRHYRAVLIAVEQEVTRRLKEKETELEDVKNKNLDLEQKLRQLAGENQVWFNVAKNNEAVVSGLRLSLEQALLRNAGAGAGGPAVEGFGEGGGVGNDDDDDDDDDAQSCCGGGGGAAAGGRWRGGCKACGKREACFVVLPCRHLCLCDSCESKVDTCPVCMSKLSSC >DRNTG_22595.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17550545:17551824:1 gene:DRNTG_22595 transcript:DRNTG_22595.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQQQQVHQQHVDQLVYHQLLKTSTFSSPESGLSYNASAPRKRSRPITPISPSLPELPTTSTSDQDLFSHLYQHTLDVDTLIHFQNERLRLYVEELQRRHYRAVLIAVEQEVTRRLKEKETELEDVKNKNLDLEQKLRQLAGENQVWFNVAKNNEAVVSGLRLSLEQALLRNAGAGAGGPAVEGFGEGGGVGNDDDDDDDDDAQSCCGGGGGAAAGGRWRGGCKACGKREACFVVLPCRHLCLCDSCESKVDTCPVCMSKLSSCLHVFLS >DRNTG_22595.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17550665:17551824:1 gene:DRNTG_22595 transcript:DRNTG_22595.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQQQQVHQQHVDQLVYHQLLKTSTFSSPESGLSYNASAPRKRSRPITPISPSLPELPTTSTSDQDLFSHLYQHTLDVDTLIHFQNERLRLYVEELQRRHYRAVLIAVEQEVTRRLKEKETELEDVKNKNLDLEQKLRQLAGENQVWFNVAKNNEAVVSGLRLSLEQALLRNAGAGAGGPAVEGFGEGGGVGNDDDDDDDDDAQSCCGGGGGAAAGGRWRGGCKACGKREACFVVLPCRHLCLCDSCESKVDTCPVCMSKLSSCLHVFLS >DRNTG_22595.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17550665:17551874:1 gene:DRNTG_22595 transcript:DRNTG_22595.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQQQQVHQQHVDQLVYHQLLKTSTFSSPESGLSYNASAPRKRSRPITPISPSLPELPTTSTSDQDLFSHLYQHTLDVDTLIHFQNERLRLYVEELQRRHYRAVLIAVEQEVTRRLKEKETELEDVKNKNLDLEQKLRQLAGENQVWFNVAKNNEAVVSGLRLSLEQALLRNAGAGAGGPAVEGFGEGGGVGNDDDDDDDDDAQSCCGGGGGAAAGGRWRGGCKACGKREACFVVLPCRHLCLCDSCESKVDTCPVCMSKLSSCLHVFLS >DRNTG_22595.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17550724:17551758:1 gene:DRNTG_22595 transcript:DRNTG_22595.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQQQQVHQQHVDQLVYHQLLKTSTFSSPESGLSYNASAPRKRSRPITPISPSLPELPTTSTSDQDLFSHLYQHTLDVDTLIHFQNERLRLYVEELQRRHYRAVLIAVEQEVTRRLKEKETELEDVKNKNLDLEQKLRQLAGENQVWFNVAKNNEAVVSGLRLSLEQALLRNAGAGAGGPAVEGFGEGGGVGNDDDDDDDDDAQSCCGGGGGAAAGGRWRGGCKACGKREACFVVLPCRHLCLCDSCESKVDTCPVCMSKLSSC >DRNTG_33814.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2883688:2886499:-1 gene:DRNTG_33814 transcript:DRNTG_33814.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDEYLQRFVAETDWYNSIVLGNLLPDSVWKPLPRPLQIWLRDYIGGTALYFISGFLWCFYIYYLKRNVYLPKDAIPSNRAMLLQIIVAMKAMPWYCALPTLSEYMVEKGWTRCFSSISEVGWPAYIAYTAVYLVFCEFGIYWAHRELHDIKPLYKHLHATHHIYNKQNTLSPFAGLAFHPLDGILQAVSHVIALFIIPTQFTTHIALLFLEAVWTANIHDCIHAKLWPVMGAGYHTIHHTTYRHNYGHYTIWMDWMFGTLRDPEEDLKKDE >DRNTG_26241.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1849285:1852982:-1 gene:DRNTG_26241 transcript:DRNTG_26241.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMAANELNAHAFLQSDPKSICQNIQTLLGGFETKTGEQWLAFRNDGSYSAADYAKVSSEVISKDLANGAQKLWNPFELELKIKRKRLFVLKLFNGAINGLAYMHDHDRLHQSLGPASVVLNTIVESDAAYLVPRLRDLAFSVDIGLSSLGTGPGLLSEGLWHRASAAGASAPLEKRAYGIADDIYEAGLLFAYLAFIPFCEAGVTDGLSLQRLFESTFQLDLVAAREYCLADDRLTEAVKFLDLGEGAGWELLQAMLSPDYRQRPIANAVLKHRFMTGSIF >DRNTG_26241.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1849285:1852982:-1 gene:DRNTG_26241 transcript:DRNTG_26241.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAANELNAHAFLQSDPKSICQNIQTLLGGFETKTGEQWLAFRNDGSYSAADYAKVSSEVISKDLANGAQKLWNPFELELKIKRKRLFVLKLFNGAINGLAYMHDHDRLHQSLGPASVVLNTIVESDAAYLVPRLRDLAFSVDIGLSSLGTGPGLLSEGLWHRASAAGASAPLEKRAYGIADDIYEAGLLFAYLAFIPFCEAGVTDGLSLQRLFESTFQLDLVAAREYCLADDRLTEAVKFLDLGEGAGWELLQAMLSPDYRQRPIANAVLKHRFMTGSIF >DRNTG_26241.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1849285:1852982:-1 gene:DRNTG_26241 transcript:DRNTG_26241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVIGASLDRCFLAGAPAKPLRDRLLFRFSKKLPMSQSATTNRGVSSIRAALITNPDDFQVGRFVGSYGFMNITSYSSFQSGGFQIISSAGFASDEMERLRIQDVGEGQVKIRLYEGRVIQGPLKGTRVIFKVYPGQRSGGIEADMMAANELNAHAFLQSDPKSICQNIQTLLGGFETKTGEQWLAFRNDGSYSAADYAKVSSEVISKDLANGAQKLWNPFELELKIKRKRLFVLKLFNGAINGLAYMHDHDRLHQSLGPASVVLNTIVESDAAYLVPRLRDLAFSVDIGLSSLGTGPGLLSEGLWHRASAAGASAPLEKRAYGIADDIYEAGLLFAYLAFIPFCEAGVTDGLSLQRLFESTFQLDLVAAREYCLADDRLTEAVKFLDLGEGAGWELLQAMLSPDYRQRPIANAVLKHRFMTGSIF >DRNTG_26241.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1849285:1851046:-1 gene:DRNTG_26241 transcript:DRNTG_26241.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQWLAFRNDGSYSAADYAKVSSEVISKDLANGAQKLWNPFELELKIKRKRLFVLKLFNGAINGLAYMHDHDRLHQSLGPASVVLNTIVESDAAYLVPRLRDLAFSVDIGLSSLGTGPGLLSEGLWHRASAAGASAPLEKRAYGIADDIYEAGLLFAYLAFIPFCEAGVTDGLSLQRLFESTFQLDLVAAREYCLADDRLTEAVKFLDLGEGAGWELLQAMLSPDYRQRPIANAVLKHRFMTGSIF >DRNTG_29777.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001508.1:979:2252:1 gene:DRNTG_29777 transcript:DRNTG_29777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKRGRPRKYVPGMASNYAAAAAMDAVPMPRRGSGRSSGSGSRQRLSSPGNSVTGPVGMGLMNSYMIIIDVGENIAEKIISFAEEGPKHLCILSVNGSVSSATLLRPSTAGGVVTYEGTSLDILSLSGSLTPDEDGESVTGVDGLNITLSSSDGRVIGGRVGDVLIAATRVQVVVGVFNALLKKKTESDTDTDLEIEPEIEPETSNMPARQKQASVSRRG >DRNTG_02376.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7803823:7805105:-1 gene:DRNTG_02376 transcript:DRNTG_02376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSGRVLLKLLRKNLRVFGRWEGYKCNGSNEEMKPCFRIKKRFLKGEESYCEVWHDNGHII >DRNTG_29384.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:478624:481729:1 gene:DRNTG_29384 transcript:DRNTG_29384.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:BSK6 [Source:Projected from Arabidopsis thaliana (AT3G54030) UniProtKB/TrEMBL;Acc:A0A178VAE8] MGARCTKLSVCWWPVHLKPSSNYSEFSEDEGKGGIPVFREFGLDELRAATDGFSADRIVSEHGEKAPNVVYRGVLFDSDRVVAIKRFNKFAWPDPRQFLEEAKAVGQLRKERLANLIGCCCEGDERLLISEFMPHETLAKHLFHWDSQPMKWAMRIRVALYLAQALEYCSSKGRALYHDLNAYRVLFDQDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTAESVVYSFGTLLLDLLTGKHIPPSHALDLIRGKNFLMLMDSCLEGHFSNDDGTELVRLASRCLQYEPRERPNIKSLVSALTSLQKEAEVNFLSPFHQFLTLYQSYW >DRNTG_29384.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:478624:481729:1 gene:DRNTG_29384 transcript:DRNTG_29384.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BSK6 [Source:Projected from Arabidopsis thaliana (AT3G54030) UniProtKB/TrEMBL;Acc:A0A178VAE8] MGARCTKLSVCWWPVHLKPSSNYSEFSEDEGKGGIPVFREFGLDELRAATDGFSADRIVSEHGEKAPNVVYRGVLFDSDRVVAIKRFNKFAWPDPRQFLEEAKAVGQLRKERLANLIGCCCEGDERLLISEFMPHETLAKHLFHWDSQPMKWAMRIRVALYLAQALEYCSSKGRALYHDLNAYRVLFDQDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTAESVVYSFGTLLLDLLTGKHIPPSHALDLIRGKNFLMLMDSCLEGHFSNDDGTELVRLASRCLQYEPRERPNIKSLVSALTSLQKEAEVPSYTLMGISRGPATSERVLSLTPLGEACTRLDLTAIHEILEKLGYKDDEGIANELSFQMWTNQMQETLNSKKHGDRAFRAKDFGTAIDYYTQFIDGGTMISPTVFARRCLSYLMNDMPQEALGDAMQAQAIFPQWPTAFYLQAAALFSLGMDTDAQESIKDGLALEARKNSMN >DRNTG_29384.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:478624:481729:1 gene:DRNTG_29384 transcript:DRNTG_29384.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:BSK6 [Source:Projected from Arabidopsis thaliana (AT3G54030) UniProtKB/TrEMBL;Acc:A0A178VAE8] MPHETLAKHLFHWDSQPMKWAMRIRVALYLAQALEYCSSKGRALYHDLNAYRVLFDQDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTAESVVYSFGTLLLDLLTGKHIPPSHALDLIRGKNFLMLMDSCLEGHFSNDDGTELVRLASRCLQYEPRERPNIKSLVSALTSLQKEAEVPSYTLMGISRGPATSERVLSLTPLGEACTRLDLTAIHEILEKLGYKDDEGIANELSFQMWTNQMQETLNSKKHGDRAFRAKDFGTAIDYYTQFIDGGTMISPTVFARRCLSYLMNDMPQEALGDAMQAQAIFPQWPTAFYLQAAALFSLGMDTDAQESIKDGLALEARKNSMN >DRNTG_00072.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21820455:21820943:-1 gene:DRNTG_00072 transcript:DRNTG_00072.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCWLSNLSFKLCYAALEGILYCKHHYSQLFKEKGCYNHLIKLYSIFFLRKKSGVNPPETPGACISFGRTSGDGAARTWALEPLSVHSSRLAVAPDA >DRNTG_00072.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21820455:21821335:-1 gene:DRNTG_00072 transcript:DRNTG_00072.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCWLSNLSFKLCYAALEGILYCKHHYSQLFKEKGCYNHLIKLYSIFFLRKKSGVNPPETPGACISFGRTSGDGAARTWALEPLSVHSSRLAVAPDA >DRNTG_01144.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7914545:7941483:1 gene:DRNTG_01144 transcript:DRNTG_01144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSESFAGVGSTIASLMFLWAVCKQYFPNQLENYFSKYYTKFITLIYPYIEISFPEYSGQRLKLSEAYTAIESYLSNTTSERAKRLKAEMGHDSDKLILSMAENE >DRNTG_01144.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7914545:7916389:1 gene:DRNTG_01144 transcript:DRNTG_01144.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINSESFAGVGSTIASLMFLWAVCKQYFPNQLENYFSKYYTKFITLIYPYIEISFPEYSGQRLKLSEAYTAIESYLSNTTSERAKRLKAEMGHDSDKLILSMAENEEITDEFRGVKLWWYSNKISSNRPTISFYPTDDDKRSYRLTFHRRHRALVNDSYLPLVIQQGKAIAVQKRKRKLYTNSSNFDYSEFRKLVWSHVAFEHPATFETLAMEPEMKLEIIQDLVKFSKSKEYYAKIGKPWKRGYLLFGPPGTGKSTMIAAMANLLDYDVYDLELTAVKDNSALRRLLLNTTSKSIIVVEDIDCSLDLSGKRKTGGDQQEGNKEEEEKKKAMGGPPGKEESKVTLSGLLNCIDGLWSACGGEKLIVFTTNHIEKLDPALIRRGRMDKHIELGFCGYEGFKVLAKNYLGVESHPLFDSIHELLKEKKMSPADVAENLMPKNESEDQADLCLQSLVKALKECKSENEKDEEEEGEQEKKKVKTDEEKSVNDVKVGDKETEENKED >DRNTG_01144.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7941130:7941483:1 gene:DRNTG_01144 transcript:DRNTG_01144.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLWAVCKQYFPNQLENYFSKCYTKFITLIYPYIEISFPEYVGQRLKRSEAYTAIESYLSNTTSERAKRLKAEMGHDSDKLILSMAENE >DRNTG_11186.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2074053:2076163:-1 gene:DRNTG_11186 transcript:DRNTG_11186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSCSTYLDPKQNLKNEKLGQSSSTNPGKKTLLGFPCHPK >DRNTG_33697.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1236941:1240305:-1 gene:DRNTG_33697 transcript:DRNTG_33697.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXT-like protein [Source:Projected from Arabidopsis thaliana (AT4G26750) UniProtKB/TrEMBL;Acc:A0A178UUB7] MASEPEPAKLLLPYLQRADELQKHEPLVAYYCRLYAMEKGLKIPQKERTKTTNALLISLMSQLEKDKKSLTLGPDDNLYVEGFAANVFAKADKQDRAGRADLNTAKTFYAASIFYEILSQFGELQPDIEQKQKYAIWKAADIRKALKEGRKPEPGPPGGDKDLSVDPSSPSNTYNVNQSESFSAGQPATQASSHYDMGRSESFQPSFSGADTSSQHSHDLGRTKSFQDGYPGADISSQHSHDLGRTKSFQDGYPGADISSQPSENINPYAPPMTPSPHSSYPSHDYPPTEYNQFPPDNRHEISGYPQNYHHQQSYSNEQSQMPQNYHAHDNTGQSYSYPNFQSYPGFNETSFPTVPTHQPYYQSPDPASSQQSSPAAPSYPSTVQYDSGSGSKKHAGTGSSGPPASSYTYDSNYHPPIEKVSEAHKAARFAVGALAFDDVSVAVDYLRRSLELLTNPSAETHQ >DRNTG_26153.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29340785:29345603:1 gene:DRNTG_26153 transcript:DRNTG_26153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRANESKHHKKKKKRKRRDEAEQEKPKETPPTVSIAVSGSIIDNAQSLDLATLLAGQIARAVTIFRIDEVVVFDSKATSSDVSVETRMNDDEENERGAPFLVRILRYLETPQYLRKHLFPIHKNLKCVGLLPPLDAPHHLRKHEWSSFREGVTLERSPSNTTGTLVDVGLSKSVAVEQELEPGIRVTVAMGTNRSLGTDCMREVVSSMSPREEGLYWGYKVRYASNISSVFKNCPFKGGYDHIIGTSEHGLKIKSSELIIPAYRHLLIAFGGLGGLEESIEEDGEMKGKHVNDVFSSYLNTCPLQGSRTIRTEEAVFISLQYFQEAINKAGEKI >DRNTG_15284.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4583582:4584108:-1 gene:DRNTG_15284 transcript:DRNTG_15284.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNPSNLGANFPLSAPFLGDPDPSPTSFRIVPSSDGMAEPEVTAAEAAAATASTLAFFIARAEEIDLSIVNDVA >DRNTG_28532.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6363768:6368126:1 gene:DRNTG_28532 transcript:DRNTG_28532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVETIPGNVLNDPAQQPTKPRILLAASGSVAAIKFESLCRSFLEWAEVRAVATKSSLHFVDKASLPKDVVLYTDDEEWSSWKKIGDGVLHIELRKWADIMVIAPLSANTLAKIAGGLCDNLLTCIVRAWDYSKPLFVAPAMNTFMYNNPFTKRHLEVISELGISLIPPITKRLACGDYGNGAMAEPTVIYTTVRLSFEPRSTNGGS >DRNTG_28532.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6363768:6368126:1 gene:DRNTG_28532 transcript:DRNTG_28532.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANVETIPGNVLNDPAQQPTKPRILLAASGSVAAIKFESLCRSFLEWAEVRAVATKSSLHFVDKASLPKDVVLYTDDEEWSSWKKIGDGVLHIELRKWADIMVIAPLSANTLAKVDCATTC >DRNTG_11166.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000493.1:17619:20776:1 gene:DRNTG_11166 transcript:DRNTG_11166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSRGSPSSCSNSSSSNSPLIPLDGVVKPDLRSH >DRNTG_23261.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:263607:264663:-1 gene:DRNTG_23261 transcript:DRNTG_23261.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQYQSLLYKAGLFIISLMSRWLLGPMSSLWDAYNHDDDGDDEARRHQHRVSAQAVRKMLQVATFRDIVSAGATVEGPTCAVCLNQVRNRDRVWELRNCSHIFHMRCLDRWLDHDDQLTCPLCRAPLLASRGAATSLLSPSEASWAVEKLLYLFGDDLLFPHLSSPSLDIPSSLTS >DRNTG_23261.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:263734:264663:-1 gene:DRNTG_23261 transcript:DRNTG_23261.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQYQSLLYKAGLFIISLMSRWLLGPMSSLWDAYNHDDDGDDEARRHQHRVSAQAVRKMLQVATFRDIVSAGATVEGPTCAVCLNQVRNRDRVWELRNCSHIFHMRCLDRWLDHDDQLTCPLCRAPLLASRGAATSLLSPSEASWAVEKLLYLFGDDLLFPHLSSPSLDIPSSLTS >DRNTG_18448.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11247958:11267250:-1 gene:DRNTG_18448 transcript:DRNTG_18448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKLVELLFYVHKLECSSVTALVPFQMDVPTRIRGENPYGHVDIIHSRVEIPQGHVDAHFKPYLRPISVPISGFFYPSFPHLERGLQLGFEKYWQWIWRGSTALTSCSIWKNVSGRAFAGIDLVRCILGQTKGPLEGSRLLHKTIVMTIEGVFYGLLAFTLDFIVDCN >DRNTG_21149.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2325508:2326485:1 gene:DRNTG_21149 transcript:DRNTG_21149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNNNNNNTTILVIPFPAQGHLNQLLHLSLRLSTSGHFSSVHFAGSSIHNRQIISRFQGWPSSSLSTLRMHDFPLPPFSTPPPNPSSIFPDHLLPLLHSLSHLQPFLSSLLHDLSSSSKRLILIHDPLMSFAAKQALSLKTSTHIQVFKYICTPCFYQLSFLPNQTSSDLVLKQFPGCFNDTFLEFRNRGHYNDKDAVEDGFIINTCEAIEGEIIEDFRRAKAGKIVFTVGHVHPLVVGGGAWRSEGLEWLDKQVDKSVLYVAFGTTSMMSDEQ >DRNTG_27668.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001403.1:15529:20196:-1 gene:DRNTG_27668 transcript:DRNTG_27668.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGSSSRAGSGGRTFDFGSDDVLCSYDDFGPQDPPNGRRSDPSAKDLHESRMGRSSVNFHGQENLAKDDVIFAVEKCMKKYADNLLRFLEGISGRLSQLEVYCYKLERSIGEFRADLTRDQSESDHKLNALEKHLQEVHRSVQILRDKQELAETQKELAKLQLVRKESSSPSFSKRNEEGALSSASETKQHDDTDNIPNQQLALALPHQVAPTSLPTRTSEQSPPYKEPALQPALQQPATTPLNMQQDQYTMNQPNTYYPPQAQPEYQYLQPRPQMQDPSGQVPQQQSQVINQPQPNQLPPQFQPQWTPQPPQHVPQQMVQQQPIASQAQIRPPTPPSYPPYLPNQPAVPMLQTFPRGSGSSVSQPPQQQVPHIQRQPQPETNQSSFQQTLNKAGFMGAAPPYAPHNLQNPSYNSMFGIDGSRGSHSQIFPAGNYPSANMSALHSQQLPPSGSNFHHPGAQMIRGHPFGEMIEKAINMGYSRDHVMSVIRRMGETGQQIDFNALLDGLNTHAAGSSSRAWSG >DRNTG_20104.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:305341:306597:1 gene:DRNTG_20104 transcript:DRNTG_20104.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGYPTDVKHVAHIGWDGPSVSSNPSWVMSYVSLH >DRNTG_20104.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:305341:306597:1 gene:DRNTG_20104 transcript:DRNTG_20104.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKMKGLLKGLRYISQIFESEDEEPEMQIGYPTDVKHVAHIGWDGPSVSSNPSWMNEFRSASAPLNPGNASAASPKYDTNHSSGMGGSLRGTSDQPNPKPSRRGQTSGPDSPKRNSRRNHSADSITREGSGGSRNRRNKGGSSTAAANGGNDLPGQDLPAIPKQARRKKTKGGSGGSTRSSRSKATASEEMGGRQITTPAAPLTGKERL >DRNTG_20104.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:305341:306932:1 gene:DRNTG_20104 transcript:DRNTG_20104.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKMKGLLKGLRYISQIFESEDEEPEMQIGYPTDVKHVAHIGWDGPSVSSNPSWMNEFRSASAPLNPGNASAASPKYDTNHSSGMGGSLRGTSDQPNPKPSRRGQTSGPDSPKRNSRRNHSADSITREGSGGSRNRRNKGGSSTAAANGGNDLPGQDLPAIPKQARRKKTKGGSGGSTRSSRSKATASEEMGGRQITTPAAPLTGKERL >DRNTG_20104.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:305206:306715:1 gene:DRNTG_20104 transcript:DRNTG_20104.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKMKGLLKGLRYISQIFESEDEEPEMQIGYPTDVKHVAHIGWDGPSVSSNPSWMNEFRSASAPLNPGNASAASPKYDTNHSSGMGGSLRGTSDQPNPKPSRRGQTSGPDSPKRNSRRNHSADSITREGSGGSRNRRNKGGSSTAAANGGNDLPGQDLPAIPKQARRKKTKGGSGGSTRSSRSKATASEEMGGRQITTPAAPLTGKERL >DRNTG_20104.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:305341:306715:1 gene:DRNTG_20104 transcript:DRNTG_20104.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGYPTDVKHVAHIGWDGPSVSSNPSWMNEFRSASAPLNPGNASAASPKYGLSLSLSLKLIYTIDRWILI >DRNTG_20104.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:305341:306932:1 gene:DRNTG_20104 transcript:DRNTG_20104.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKMKGLLKGLRYISQIFESEDEEPEMQIGYPTDVKHVAHIGWDGPSVSSNPSWMNEFRSASAPLNPGNASAASPKYGLSLSLSLKLIYTIDRWILI >DRNTG_19097.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:325231:327611:1 gene:DRNTG_19097 transcript:DRNTG_19097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVKISRSNVFKNPSEEASHTSITQRKPQDHTLASRTPPLTSCALTPSNSSSLAIIFLSASSSVVGKATTGTDDDISALGFSLIRASYH >DRNTG_21338.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17099941:17103122:1 gene:DRNTG_21338 transcript:DRNTG_21338.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRAKPIRRYQRLRHLVIFAAAVLLAVHAISVVLRHANALGRRCFPELTDRNLSPRLRIAMVSFSSEDKASLRSFKEVEEVVAGNKRAYAARMGYDLINADDLVDHSRPPAWSKIPALRSRLSSYDWIFWNDADTVVTNPAISLVRRGFQGCFV >DRNTG_21338.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17099941:17103122:1 gene:DRNTG_21338 transcript:DRNTG_21338.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRAKPIRRYQRLRHLVIFAAAVLLAVHAISVVLRHANALGRRCFPELTDRNLSPRLRIAMVSFSSEDKASLRSFKEVEEVVAGNKRAYAARMGYDLINADDLVDHSRPPAWSKIPALRSRLSSYDWIFWNDADTVVTNPAISLVRRGFQGCFV >DRNTG_21338.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17099941:17103122:1 gene:DRNTG_21338 transcript:DRNTG_21338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRAKPIRRYQRLRHLVIFAAAVLLAVHAISVVLRHANALGRRCFPELTDRNLSPRLRIAMVSFSSEDKASLRSFKEVEEVVAGNKRAYAARMGYDLINADDLVDHSRPPAWSKIPALRSRLSSYDWIFWNDADTVVTNPAISLESVIWSVIGHADFDESPDFILTEDINGVNLGVFFIRRSKWSETFLDIWWNQTTFISFGSTKSGDNAAFKHLMDNLPPDELRQHIRISPMQCLFNSYPWFPSWKSALRLFTSPSTTWKGAYSHGDFMVHFAGIDGKQEWAEKILREMESN >DRNTG_18523.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:6566589:6567983:-1 gene:DRNTG_18523 transcript:DRNTG_18523.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPISQDRGTPHCSVGHKSEVGNFLPLGGSFAT >DRNTG_07697.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23138564:23141043:-1 gene:DRNTG_07697 transcript:DRNTG_07697.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKREPQKTPISGLFTRRQHSKGEDNFKPIYKTQKAHRTNFSGISTQIVKKVTF >DRNTG_07697.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23138564:23141043:-1 gene:DRNTG_07697 transcript:DRNTG_07697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKREPQKTPISGLFTRRQHSKGEDNFKPIYKTQKAHRTNFSGISTQIVKKVTF >DRNTG_15796.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21582987:21586898:1 gene:DRNTG_15796 transcript:DRNTG_15796.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRIILLDLSKILFYGSIQLQVFDMSFNQIEGVVSEAHFSNLTNLKQLSISSKSLTFNMSSEWIPPFKLEVFSFKSCMLGPKFPAWLRTQRNYSLMGLSSTGISDTMPDWFWTLTGDTVILDLSDNMITGMIPSSLKFITIYLASLTVINLGKNNLSGEIPDKLDSLRSLQALHLGNNSISGRIPTSLRACKVLLILDLGGHIPEELSYLTSLQILDLGDNNLSGAIPASLSALTYLSHLNLLCNNLSGRIPSEEGSTRCGDCEEDYEYEMLWWYIGIVFAYIVGFWAVYGTLLYNDYWRDAYFHFLEKLGAAIAMKCRVKNDDSIE >DRNTG_00189.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:122804:124013:1 gene:DRNTG_00189 transcript:DRNTG_00189.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQSSNSGVHLSSIGSVPQNSHPQRLQSDRALHSDNENMIDTDQFEYYLEHLWENITEEKRSSFACLDSLWFYMYKRAVTKEKVLKWIKKKKIFKRKYVFIPIVCWGHWSLLILCNFGENRQPDTEKPCMLLLDSLHKADPKRLEPDIRRHALVFCLSFA >DRNTG_00189.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:117981:123500:1 gene:DRNTG_00189 transcript:DRNTG_00189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQSSNSGVHLSSIGSVPQNSHPQRLQSDRALHSDNENMIDTDQFEYYLEHLWENITEEKRSSFACLDSLWFYMYKRAVTKEKVLKWIKKKKIFKRKYVFIPIVCW >DRNTG_09347.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:618758:622564:1 gene:DRNTG_09347 transcript:DRNTG_09347.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-phosphate pyrophosphokinase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G10700) UniProtKB/Swiss-Prot;Acc:Q93Z66] MEDEGDVPTAPILARFFSNIPLSRGGPTSLVIFDIHALQERFYFGDNVLPCFESGIPLLKNRLQELPDSDNISIAFPDEGSWKRFHQQLQHFPVIICTKVREGNQRFVRLKEGNPNGRHVVIVDDLVQSGSTLIECQKVLAARGAAKISAYVTHGIFPNGTWERFQYDNGVGPEKGLSYFWITDSCPITAAQVNDRPPFEILSLARPIADAVQI >DRNTG_19008.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22626540:22627225:1 gene:DRNTG_19008 transcript:DRNTG_19008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSSCKCGSGCGGCQMYPGLAEERSTTSVTMIVGVAEKNGKNEEFEMVAGAENGGCKCGSNCTCDPCNCK >DRNTG_34072.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002082.1:90502:98019:-1 gene:DRNTG_34072 transcript:DRNTG_34072.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNMQLWETSGHAANYKENMFVFEIEKQEFGLKPMNCPGHCLMFEHRVRSYRELPLRLADFGVLHRNELSGALTGLTRVRRFQQDDAHIFCRESQVKEEVKNVLEFIKHTYDIFGFTFELELSTRPEKYLGEIETWDKAEASLKEALEEFGRPWLINEGDGAFYGPKIDIGVFDALKRKFQCATLQLDFQLPIRFKLSYSAEDEAKSERPVMIHRAILGSVERMLAILLEHYKGKWPFWLSPRQAIVCPVSEKSQSYALQVHEQIHKAGYFVDTDMTDRKIQKKVREAQLAQYNFILVVGEEEANTGQVCVRVRDKSDLTKMSMEELLSHFKAEVAAYH >DRNTG_34072.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002082.1:91909:98019:-1 gene:DRNTG_34072 transcript:DRNTG_34072.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYNMQLWETSGHAANYKENMFVFEIEKQEFGLKPMNCPGHCLMFEHRVRSYRELPLRLADFGVLHRNELSGALTGLTRVRRFQQDDAHIFCRESQVKEEVKNVLEFIKHTYDIFGFTFELELSTRPEKYLGEIETWDKAEASLKEALEEFGRPWLINEGDGAFYGPKIDIGVFDALKRKFQCATLQLDFQLPIRFKLSYSAEDEAKSERPVMIHRAILGSVERMLAILLEHYKGKWPFWLSPRQAIVCPVSEKSQSYALQVHEQIHKAGYFVDTDMTDRKIQKKACFSFFSQSGLLFLHFRPLT >DRNTG_34072.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002082.1:90403:91633:-1 gene:DRNTG_34072 transcript:DRNTG_34072.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYAIQFSSCGLFLFYYSQVREAQLAQYNFILVVGEEEANTGQVCVRVRDKSDLTKMSMEELLSHFKAEVAAYH >DRNTG_34072.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002082.1:90502:91633:-1 gene:DRNTG_34072 transcript:DRNTG_34072.6 gene_biotype:protein_coding transcript_biotype:protein_coding MYAIQFSSCGLFLFYYSQVREAQLAQYNFILVVGEEEANTGQVCVRVRDKSDLTKMSMEELLSHFKAEVAAYH >DRNTG_34072.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002082.1:90290:91633:-1 gene:DRNTG_34072 transcript:DRNTG_34072.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYAIQFSSCGLFLFYYSQVREAQLAQYNFILVVGEEEANTGQVCVRVRDKSDLTKMSMEELLSHFKAEVAAYH >DRNTG_34072.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002082.1:90403:98019:-1 gene:DRNTG_34072 transcript:DRNTG_34072.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNMQLWETSGHAANYKENMFVFEIEKQEFGLKPMNCPGHCLMFEHRVRSYRELPLRLADFGVLHRNELSGALTGLTRVRRFQQDDAHIFCRESQVKEEVKNVLEFIKHTYDIFGFTFELELSTRPEKYLGEIETWDKAEASLKEALEEFGRPWLINEGDGAFYGPKIDIGVFDALKRKFQCATLQLDFQLPIRFKLSYSAEDEAKSERPVMIHRAILGSVERMLAILLEHYKGKWPFWLSPRQAIVCPVSEKSQSYALQVHEQIHKAGYFVDTDMTDRKIQKKACFSFFSQSGERSSASSIQFHIGCWGRGSKHWTGVCACERQVRSYKDEHGGTSFTFQG >DRNTG_12042.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1832422:1833251:1 gene:DRNTG_12042 transcript:DRNTG_12042.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSATTTTNITISEQADEEACLHAMQLVSSSILPMTFKAAIELNLFNIISAASPNSLSAIEITSLLPSSTPSTPIMLDRILRLLSSYSILTCSLSTDPISGAVTHRYAAAPVVKYLAQNEDGFTLSALGLMNQDKVLMESWYYLKDTVLNGGIPFNMAHGMTSFEYHGTDPRFNKVFNEGMKNHSAIIMKRILEKYRGFDDVKVLVDVGGGVGGTLAQVVAKHKHIKGINFDLPHVISEAAPIPGIFLLTLFMFLHQSNISLY >DRNTG_03692.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21265385:21267808:1 gene:DRNTG_03692 transcript:DRNTG_03692.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFSNALEMIKELSMPHAVKYLEPIWSGVDEQLQKLQESLLLIQPLVEDAEARQLTDKAVRCWLVWLKDAVYDAEDILDEAKTHELVIQRKAQLSGRPRISKVREFFSRDHNPFLFKLQLGRKLRNINERINDLIEKMDKFKLRVVENNSKPLGNRPQTYSYVHESRVILDRDEDKEKLVQMLISDYFGEKVTVVSIVGMGGLGKTTLAQLVYADERVKNHFEQCMWVCVSDDFDVPKLAGKIIHTASGKICDHTNMEVLQKDLRDVLGQKKNLLVLDDVWNEDFQKWDDLRNMLLDGGEGSRILVTTRNEKCSRVMGAQKPYILSGLSEKSSWDLFQQKAFVDDAEKAPGLVEIGKKIVMKCQGLPLAIQVMGSIMRCKSDESEWQAVLENETWKSQHTENKIMPELWLSYVDLSSHLKRCFAFCAIFPKGFLFLEQDLIQFWMAHGLIPSGKGTDMEVEGRETYTELIKRSLLTNDYLVPEWEYERVCKMHDLIHDVAHYVMENECFTSLKSCAAPKIPIKPRHWNLHTDENCEIGDCSTIHTLLYYSYYCKRDLSVLSKLKLVRVLDLSFTSTKELPASIEHLHHLRYLDLSYTHIRKLPESICMLVNLQTLKLYRCHKLSELLPKSITYMNSLRHLLFEGNRRPELEALNACLSQLQNLKTLPRYTVEDDAENNIGQIKSLNPFGEFDLYNLQKVKNADDARKANLGNKQLIHTLKLSWGKFGWGDDDECFLMENAEEVLEALKPPSGLKELTVSYYPGKQFPMWMGEKQQFQYL >DRNTG_03692.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21268090:21268919:1 gene:DRNTG_03692 transcript:DRNTG_03692.12 gene_biotype:protein_coding transcript_biotype:protein_coding MYEMDGIKHIVNNRRDDAQQSFPQLKKLSLKSMRNLEGWCVEEDREANPSLFPCLLSMKIIECPKLTTTMPPIPTLQELNMKNSFCETQISLKHLESLGRLTISSCTKELVLLLEDEEETRAMKSSLEYLSIGNCSQLSLTLVLQNLTSLRDLHVNSIENLVSWPDEMQGWKSLNYLTISSCKNLTGASSQGVCGPPLLELLHVSDCDALRKLPMCPKSLQTLSINNCPVMESLWPEMGHLTSLSTLEVGNCPKLVSLSHGM >DRNTG_03692.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21265385:21272510:1 gene:DRNTG_03692 transcript:DRNTG_03692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFSNALEMIKELSMPHAVKYLEPIWSGVDEQLQKLQESLLLIQPLVEDAEARQLTDKAVRCWLVWLKDAVYDAEDILDEAKTHELVIQRKAQLSGRPRISKVREFFSRDHNPFLFKLQLGRKLRNINERINDLIEKMDKFKLRVVENNSKPLGNRPQTYSYVHESRVILDRDEDKEKLVQMLISDYFGEKVTVVSIVGMGGLGKTTLAQLVYADERVKNHFEQCMWVCVSDDFDVPKLAGKIIHTASGKICDHTNMEVLQKDLRDVLGQKKNLLVLDDVWNEDFQKWDDLRNMLLDGGEGSRILVTTRNEKCSRVMGAQKPYILSGLSEKSSWDLFQQKAFVDDAEKAPGLVEIGKKIVMKCQGLPLAIQVMGSIMRCKSDESEWQAVLENETWKSQHTENKIMPELWLSYVDLSSHLKRCFAFCAIFPKGFLFLEQDLIQFWMAHGLIPSGKGTDMEVEGRETYTELIKRSLLTNDYLVPEWEYERVCKMHDLIHDVAHYVMENECFTSLKSCAAPKIPIKPRHWNLHTDENCEIGDCSTIHTLLYYSYYCKRDLSVLSKLKLVRVLDLSFTSTKELPASIEHLHHLRYLDLSYTHIRKLPESICMLVNLQTLKLYRCHKLSELLPKSITYMNSLRHLLFEGNRRPELEALNACLSQLQNLKTLPRYTVEDDAENNIGQIKSLNPFGEFDLYNLQKVKNADDARKANLGNKQLIHTLKLSWGKFGWGDDDECFLMENAEEVLEALKPPSGLKELTVSYYPGKQFPMWMGEKQQFQYLHRIELFKCKACEQLPSLEILPCLKFLKISRMHGIKHIISNRGSAQHSFPALKFLELKYMENLEAWWCVEEDREANLSLFPCLTSMDIERCPKLTTLPLGILPCLENLKMYEMDGIKHIVNNRRDDAQQSFPQLKKLSLKSMRNLEGWCVEEDREANPSLFPCLLSMKIIECPKLTTTMPPIPTLQELNMKNSFCETQISLKHLESLGRLTISSCTKELVLLLEDEEETRAMKSSLEYLSIGNCSQLSLTLVLQNLTSLRDLHVNSIENLVSWPDEMQGWKSLNYLTISSCKNLTGASSQGVCGPPLLELLHVSDCDALRKLPMCPKSLQTLSINNCPVMESLWPEMGHLTSLSTLEVGNCPKLVSLSHGMQALSSLQDLSITSCPALKSFPRGLRQLLPTLEELTIKECPELERLCKPGGDYYNLLSTISKKQIGEESGVESIQVRHEISTSAKQALKCITTNRFLLSAILICAIAACFINFLFNQLDSQVPISPLFYFFIFNSRFFGFVENIFYPNSLNMNLGQ >DRNTG_03692.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21265385:21272510:1 gene:DRNTG_03692 transcript:DRNTG_03692.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFSNALEMIKELSMPHAVKYLEPIWSGVDEQLQKLQESLLLIQPLVEDAEARQLTDKAVRCWLVWLKDAVYDAEDILDEAKTHELVIQRKAQLSGRPRISKVREFFSRDHNPFLFKLQLGRKLRNINERINDLIEKMDKFKLRVVENNSKPLGNRPQTYSYVHESRVILDRDEDKEKLVQMLISDYFGEKVTVVSIVGMGGLGKTTLAQLVYADERVKNHFEQCMWVCVSDDFDVPKLAGKIIHTASGKICDHTNMEVLQKDLRDVLGQKKNLLVLDDVWNEDFQKWDDLRNMLLDGGEGSRILVTTRNEKCSRVMGAQKPYILSGLSEKSSWDLFQQKAFVDDAEKAPGLVEIGKKIVMKCQGLPLAIQVMGSIMRCKSDESEWQAVLENETWKSQHTENKIMPELWLSYVDLSSHLKRCFAFCAIFPKGFLFLEQDLIQFWMAHGLIPSGKGTDMEVEGRETYTELIKRSLLTNDYLVPEWEYERVCKMHDLIHDVAHYVMENECFTSLKSCAAPKIPIKPRHWNLHTDENCEIGDCSTIHTLLYYSYYCKRDLSVLSKLKLVRVLDLSFTSTKELPASIEHLHHLRYLDLSYTHIRKLPESICMLVNLQTLKLYRCHKLSELLPKSITYMNSLRHLLFEGNRRPELEALNACLSQLQNLKTLPRYTVEDDAENNIGQIKSLNPFGEFDLYNLQKVKNADDARKANLGNKQLIHTLKLSWGKFGWGDDDECFLMENAEEVLEALKPPSGLKELTVSYYPGKQFPMWMGEKQQFQYLHRIELFKCKACEQLPSLEILPCLKFLKISRMHGIKHIISNRGSAQHSFPALKFLELKYMENLEAWWCVEEDREANLSLFPCLTSMDIERCPKLTTLPLGILPCLENLKMYEMDGIKHIVNNRRDDAQQSFPQLKKLSLKSMRNLEGWCVEEDREANPSLFPCLLSMKIIECPKLTTTMPPIPTLQELNMKNSFCETQISLKHLESLGRLTISSCTKELVLLLEDEEETRAMKSSLEYLSIGNCSQLSLTLVLQNLTSLRDLHVNSIENLVSWPDEMQGWKSLNYLTISSCKNLTGASSQGVCGPPLLELLHVSDCDALRKLPMCPKSLQTLSINNCPVMESLWPEMGHLTSLSTLEVGNCPKLVSLSHGMQALSSLQDLSITSCPALKSFPRGLRQLLPTLEELTIKECPELERLCKPGGDYYNLLSTISKKQIGEESGVESIQVRHEISTSAKQALKCITTNRFLLSAILICAIAACFINFLFNQLDSQVPISPLFYFFIFNSRFFGFVENIFYPNSLNMNLGQ >DRNTG_03692.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21265316:21267808:1 gene:DRNTG_03692 transcript:DRNTG_03692.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFSNALEMIKELSMPHAVKYLEPIWSGVDEQLQKLQESLLLIQPLVEDAEARQLTDKAVRCWLVWLKDAVYDAEDILDEAKTHELVIQRKAQLSGRPRISKVREFFSRDHNPFLFKLQLGRKLRNINERINDLIEKMDKFKLRVVENNSKPLGNRPQTYSYVHESRVILDRDEDKEKLVQMLISDYFGEKVTVVSIVGMGGLGKTTLAQLVYADERVKNHFEQCMWVCVSDDFDVPKLAGKIIHTASGKICDHTNMEVLQKDLRDVLGQKKNLLVLDDVWNEDFQKWDDLRNMLLDGGEGSRILVTTRNEKCSRVMGAQKPYILSGLSEKSSWDLFQQKAFVDDAEKAPGLVEIGKKIVMKCQGLPLAIQVMGSIMRCKSDESEWQAVLENETWKSQHTENKIMPELWLSYVDLSSHLKRCFAFCAIFPKGFLFLEQDLIQFWMAHGLIPSGKGTDMEVEGRETYTELIKRSLLTNDYLVPEWEYERVCKMHDLIHDVAHYVMENECFTSLKSCAAPKIPIKPRHWNLHTDENCEIGDCSTIHTLLYYSYYCKRDLSVLSKLKLVRVLDLSFTSTKELPASIEHLHHLRYLDLSYTHIRKLPESICMLVNLQTLKLYRCHKLSELLPKSITYMNSLRHLLFEGNRRPELEALNACLSQLQNLKTLPRYTVEDDAENNIGQIKSLNPFGEFDLYNLQKVKNADDARKANLGNKQLIHTLKLSWGKFGWGDDDECFLMENAEEVLEALKPPSGLKELTVSYYPGKQFPMWMGEKQQFQYL >DRNTG_03692.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21265385:21272510:1 gene:DRNTG_03692 transcript:DRNTG_03692.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFSNALEMIKELSMPHAVKYLEPIWSGVDEQLQKLQESLLLIQPLVEDAEARQLTDKAVRCWLVWLKDAVYDAEDILDEAKTHELVIQRKAQLSGRPRISKVREFFSRDHNPFLFKLQLGRKLRNINERINDLIEKMDKFKLRVVENNSKPLGNRPQTYSYVHESRVILDRDEDKEKLVQMLISDYFGEKVTVVSIVGMGGLGKTTLAQLVYADERVKNHFEQCMWVCVSDDFDVPKLAGKIIHTASGKICDHTNMEVLQKDLRDVLGQKKNLLVLDDVWNEDFQKWDDLRNMLLDGGEGSRILVTTRNEKCSRVMGAQKPYILSGLSEKSSWDLFQQKAFVDDAEKAPGLVEIGKKIVMKCQGLPLAIQVMGSIMRCKSDESEWQAVLENETWKSQHTENKIMPELWLSYVDLSSHLKRCFAFCAIFPKGFLFLEQDLIQFWMAHGLIPSGKGTDMEVEGRETYTELIKRSLLTNDYLVPEWEYERVCKMHDLIHDVAHYVMENECFTSLKSCAAPKIPIKPRHWNLHTDENCEIGDCSTIHTLLYYSYYCKRDLSVLSKLKLVRVLDLSFTSTKELPASIEHLHHLRYLDLSYTHIRKLPESICMLVNLQTLKLYRCHKLSELLPKSITYMNSLRHLLFEGNRRPELEALNACLSQLQNLKTLPRYTVEDDAENNIGQIKSLNPFGEFDLYNLQKVKNADDARKANLGNKQLIHTLKLSWGKFGWGDDDECFLMENAEEVLEALKPPSGLKELTVSYYPGKQFPMWMGEKQQFQYLHRIELFKCKACEQLPSLEILPCLKFLKISRMHGIKHIISNRGSAQHSFPALKFLELKYMENLEAWWCVEEDREANLSLFPCLTSMDIERCPKLTTLPLGILPCLENLKMYEMDGIKHIVNNRRDDAQQSFPQLKKLSLKSMRNLEGWCVEEDREANPSLFPCLLSMKIIECPKLTTTMPPIPTLQELNMKNSFCETQISLKHLESLGRLTISSCTKELVLLLEDEEETRAMKSSLEYLSIGNCSQLSLTLVLQNLTSLRDLHVNSIENLVSWPDEMQGWKSLNYLTISSCKNLTGASSQGVCGPPLLELLHVSDCDALRKLPMCPKSLQTLSINNCPVMESLWPEMGHLTSLSTLEVGNCPKLVSLSHGMQALSSLQDLSITSCPALKSFPRGLRQLLPTLEELTIKECPELERLCKPGGDYYNLLSTISKKQIGEESGVESIQVRHEISTSAKQALKCITTNRFLLSAILICAIAACFINFLFNQLDSQNEKEFWYIPPT >DRNTG_03692.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21265385:21272510:1 gene:DRNTG_03692 transcript:DRNTG_03692.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFSNALEMIKELSMPHAVKYLEPIWSGVDEQLQKLQESLLLIQPLVEDAEARQLTDKAVRCWLVWLKDAVYDAEDILDEAKTHELVIQRKAQLSGRPRISKVREFFSRDHNPFLFKLQLGRKLRNINERINDLIEKMDKFKLRVVENNSKPLGNRPQTYSYVHESRVILDRDEDKEKLVQMLISDYFGEKVTVVSIVGMGGLGKTTLAQLVYADERVKNHFEQCMWVCVSDDFDVPKLAGKIIHTASGKICDHTNMEVLQKDLRDVLGQKKNLLVLDDVWNEDFQKWDDLRNMLLDGGEGSRILVTTRNEKCSRVMGAQKPYILSGLSEKSSWDLFQQKAFVDDAEKAPGLVEIGKKIVMKCQGLPLAIQVMGSIMRCKSDESEWQAVLENETWKSQHTENKIMPELWLSYVDLSSHLKRCFAFCAIFPKGFLFLEQDLIQFWMAHGLIPSGKGTDMEVEGRETYTELIKRSLLTNDYLVPEWEYERVCKMHDLIHDVAHYVMENECFTSLKSCAAPKIPIKPRHWNLHTDENCEIGDCSTIHTLLYYSYYCKRDLSVLSKLKLVRVLDLSFTSTKELPASIEHLHHLRYLDLSYTHIRKLPESICMLVNLQTLKLYRCHKLSELLPKSITYMNSLRHLLFEGNRRPELEALNACLSQLQNLKTLPRYTVEDDAENNIGQIKSLNPFGEFDLYNLQKVKNADDARKANLGNKQLIHTLKLSWGKFGWGDDDECFLMENAEEVLEALKPPSGLKELTVSYYPGKQFPMWMGEKQQFQYLHRIELFKCKACEQLPSLEILPCLKFLKISRMHGIKHIISNRGSAQHSFPALKFLELKYMENLEAWWCVEEDREANLSLFPCLTSMDIERCPKLTTLPLGILPCLENLKMYEMDGIKHIVNNRRDDAQQSFPQLKKLSLKSMRNLEGWCVEEDREANPSLFPCLLSMKIIECPKLTTTMPPIPTLQELNMKNSFCETQISLKHLESLGRLTISSCTKELVLLLEDEEETRAMKSSLEYLSIGNCSQLSLTLVLQNLTSLRDLHVNSIENLVSWPDEMQGWKSLNYLTISSCKNLTGASSQGVCGPPLLELLHVSDCDALRKLPMCPKSLQTLSINNCPVMESLWPEMGHLTSLSTLEVGNCPKLVSLSHGMQALSSLQDLSITSCPALKSFPRGLRQLLPTLEELTIKECPELERLCKPGGDYYNLLSTISKKQIGEESGVESIQVRHEISTSAKQALKCITTNRFLLSAILICAIAACFINFLFNQLDSQDRFTFAINVYIKYCGVHLMWFPFV >DRNTG_03692.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21265385:21267695:1 gene:DRNTG_03692 transcript:DRNTG_03692.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFSNALEMIKELSMPHAVKYLEPIWSGVDEQLQKLQESLLLIQPLVEDAEARQLTDKAVRCWLVWLKDAVYDAEDILDEAKTHELVIQRKAQLSGRPRISKVREFFSRDHNPFLFKLQLGRKLRNINERINDLIEKMDKFKLRVVENNSKPLGNRPQTYSYVHESRVILDRDEDKEKLVQMLISDYFGEKVTVVSIVGMGGLGKTTLAQLVYADERVKNHFEQCMWVCVSDDFDVPKLAGKIIHTASGKICDHTNMEVLQKDLRDVLGQKKNLLVLDDVWNEDFQKWDDLRNMLLDGGEGSRILVTTRNEKCSRVMGAQKPYILSGLSEKSSWDLFQQKAFVDDAEKAPGLVEIGKKIVMKCQGLPLAIQVMGSIMRCKSDESEWQAVLENETWKSQHTENKIMPELWLSYVDLSSHLKRCFAFCAIFPKGFLFLEQDLIQFWMAHGLIPSGKGTDMEVEGRETYTELIKRSLLTNDYLVPEWEYERVCKMHDLIHDVAHYVMENECFTSLKSCAAPKIPIKPRHWNLHTDENCEIGDCSTIHTLLYYSYYCKRDLSVLSKLKLVRVLDLSFTSTKELPASIEHLHHLRYLDLSYTHIRKLPESICMLVNLQTLKLYRCHKLSELLPKSITYMNSLRHLLFEGNRRPELEALNACLSQLQNLKTLPRYTVEDDAENNIGQIKSLNPFGEFDLYNLQKVKNADDARKANLGNKQLIHTLKLSWGKFGWGDDDECFLMENAE >DRNTG_03692.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21265316:21267695:1 gene:DRNTG_03692 transcript:DRNTG_03692.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFSNALEMIKELSMPHAVKYLEPIWSGVDEQLQKLQESLLLIQPLVEDAEARQLTDKAVRCWLVWLKDAVYDAEDILDEAKTHELVIQRKAQLSGRPRISKVREFFSRDHNPFLFKLQLGRKLRNINERINDLIEKMDKFKLRVVENNSKPLGNRPQTYSYVHESRVILDRDEDKEKLVQMLISDYFGEKVTVVSIVGMGGLGKTTLAQLVYADERVKNHFEQCMWVCVSDDFDVPKLAGKIIHTASGKICDHTNMEVLQKDLRDVLGQKKNLLVLDDVWNEDFQKWDDLRNMLLDGGEGSRILVTTRNEKCSRVMGAQKPYILSGLSEKSSWDLFQQKAFVDDAEKAPGLVEIGKKIVMKCQGLPLAIQVMGSIMRCKSDESEWQAVLENETWKSQHTENKIMPELWLSYVDLSSHLKRCFAFCAIFPKGFLFLEQDLIQFWMAHGLIPSGKGTDMEVEGRETYTELIKRSLLTNDYLVPEWEYERVCKMHDLIHDVAHYVMENECFTSLKSCAAPKIPIKPRHWNLHTDENCEIGDCSTIHTLLYYSYYCKRDLSVLSKLKLVRVLDLSFTSTKELPASIEHLHHLRYLDLSYTHIRKLPESICMLVNLQTLKLYRCHKLSELLPKSITYMNSLRHLLFEGNRRPELEALNACLSQLQNLKTLPRYTVEDDAENNIGQIKSLNPFGEFDLYNLQKVKNADDARKANLGNKQLIHTLKLSWGKFGWGDDDECFLMENAE >DRNTG_03692.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21265385:21272510:1 gene:DRNTG_03692 transcript:DRNTG_03692.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFSNALEMIKELSMPHAVKYLEPIWSGVDEQLQKLQESLLLIQPLVEDAEARQLTDKAVRCWLVWLKDAVYDAEDILDEAKTHELVIQRKAQLSGRPRISKVREFFSRDHNPFLFKLQLGRKLRNINERINDLIEKMDKFKLRVVENNSKPLGNRPQTYSYVHESRVILDRDEDKEKLVQMLISDYFGEKVTVVSIVGMGGLGKTTLAQLVYADERVKNHFEQCMWVCVSDDFDVPKLAGKIIHTASGKICDHTNMEVLQKDLRDVLGQKKNLLVLDDVWNEDFQKWDDLRNMLLDGGEGSRILVTTRNEKCSRVMGAQKPYILSGLSEKSSWDLFQQKAFVDDAEKAPGLVEIGKKIVMKCQGLPLAIQVMGSIMRCKSDESEWQAVLENETWKSQHTENKIMPELWLSYVDLSSHLKRCFAFCAIFPKGFLFLEQDLIQFWMAHGLIPSGKGTDMEVEGRETYTELIKRSLLTNDYLVPEWEYERVCKMHDLIHDVAHYVMENECFTSLKSCAAPKIPIKPRHWNLHTDENCEIGDCSTIHTLLYYSYYCKRDLSVLSKLKLVRVLDLSFTSTKELPASIEHLHHLRYLDLSYTHIRKLPESICMLVNLQTLKLYRCHKLSELLPKSITYMNSLRHLLFEGNRRPELEALNACLSQLQNLKTLPRYTVEDDAENNIGQIKSLNPFGEFDLYNLQKVKNADDARKANLGNKQLIHTLKLSWGKFGWGDDDECFLMENAEEVLEALKPPSGLKELTVSYYPGKQFPMWMGEKQQFQYLHRIELFKCKACEQLPSLEILPCLKFLKISRMHGIKHIISNRGSAQHSFPALKFLELKYMENLEAWWCVEEDREANLSLFPCLTSMDIERCPKLTTLPLGILPCLENLKMYEMDGIKHIVNNRRDDAQQSFPQLKKLSLKSMRNLEGWCVEEDREANPSLFPCLLSMKIIECPKLTTTMPPIPTLQELNMKNSFCETQISLKHLESLGRLTISSCTKELVLLLEDEEETRAMKSSLEYLSIGNCSQLSLTLVLQNLTSLRDLHVNSIENLVSWPDEMQGWKSLNYLTISSCKNLTGASSQGVCGPPLLELLHVSDCDALRKLPMCPKSLQTLSINNCPVMESLWPEMGHLTSLSTLEVGNCPKLVSLSHGMQALSSLQDLSITSCPALKSFPRGLRQLLPTLEELTIKECPELERLCKPGGDYYNLLSTISKKQIGEESGVESIQVRHEISTSAKQALKCITTNRFLLSAILICAIAACFINFLFNQLDSQVPISPLFYFFIFNSRFFGFVENIFYPNSLNMNLGQ >DRNTG_03692.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21265316:21268919:1 gene:DRNTG_03692 transcript:DRNTG_03692.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFSNALEMIKELSMPHAVKYLEPIWSGVDEQLQKLQESLLLIQPLVEDAEARQLTDKAVRCWLVWLKDAVYDAEDILDEAKTHELVIQRKAQLSGRPRISKVREFFSRDHNPFLFKLQLGRKLRNINERINDLIEKMDKFKLRVVENNSKPLGNRPQTYSYVHESRVILDRDEDKEKLVQMLISDYFGEKVTVVSIVGMGGLGKTTLAQLVYADERVKNHFEQCMWVCVSDDFDVPKLAGKIIHTASGKICDHTNMEVLQKDLRDVLGQKKNLLVLDDVWNEDFQKWDDLRNMLLDGGEGSRILVTTRNEKCSRVMGAQKPYILSGLSEKSSWDLFQQKAFVDDAEKAPGLVEIGKKIVMKCQGLPLAIQVMGSIMRCKSDESEWQAVLENETWKSQHTENKIMPELWLSYVDLSSHLKRCFAFCAIFPKGFLFLEQDLIQFWMAHGLIPSGKGTDMEVEGRETYTELIKRSLLTNDYLVPEWEYERVCKMHDLIHDVAHYVMENECFTSLKSCAAPKIPIKPRHWNLHTDENCEIGDCSTIHTLLYYSYYCKRDLSVLSKLKLVRVLDLSFTSTKELPASIEHLHHLRYLDLSYTHIRKLPESICMLVNLQTLKLYRCHKLSELLPKSITYMNSLRHLLFEGNRRPELEALNACLSQLQNLKTLPRYTVEDDAENNIGQIKSLNPFGEFDLYNLQKVKNADDARKANLGNKQLIHTLKLSWGKFGWGDDDECFLMENAEEVLEALKPPSGLKELTVSYYPGKQFPMWMGEKQQFQYLHRIELFKCKACEQLPSLEILPCLKFLKISRMHGIKHIISNRGSAQHSFPALKFLELKYMENLEAWWCVEEDREANLSLFPCLTSMDIERCPKLTTLPLGILPCLENLKMYEMDGIKHIVNNRRDDAQQSFPQLKKLSLKSMRNLEGWCVEEDREANPSLFPCLLSMKIIECPKLTTTMPPIPTLQELNMKNSFCETQISLKHLESLGRLTISSCTKELVLLLEDEEETRAMKSSLEYLSIGNCSQLSLTLVLQNLTSLRDLHVNSIENLVSWPDEMQGWKSLNYLTISSCKNLTGASSQGVCGPPLLELLHVSDCDALRKLPMCPKSLQTLSINNCPVMESLWPEMGHLTSLSTLEVGNCPKLVSLSHGM >DRNTG_03692.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21265385:21268919:1 gene:DRNTG_03692 transcript:DRNTG_03692.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFSNALEMIKELSMPHAVKYLEPIWSGVDEQLQKLQESLLLIQPLVEDAEARQLTDKAVRCWLVWLKDAVYDAEDILDEAKTHELVIQRKAQLSGRPRISKVREFFSRDHNPFLFKLQLGRKLRNINERINDLIEKMDKFKLRVVENNSKPLGNRPQTYSYVHESRVILDRDEDKEKLVQMLISDYFGEKVTVVSIVGMGGLGKTTLAQLVYADERVKNHFEQCMWVCVSDDFDVPKLAGKIIHTASGKICDHTNMEVLQKDLRDVLGQKKNLLVLDDVWNEDFQKWDDLRNMLLDGGEGSRILVTTRNEKCSRVMGAQKPYILSGLSEKSSWDLFQQKAFVDDAEKAPGLVEIGKKIVMKCQGLPLAIQVMGSIMRCKSDESEWQAVLENETWKSQHTENKIMPELWLSYVDLSSHLKRCFAFCAIFPKGFLFLEQDLIQFWMAHGLIPSGKGTDMEVEGRETYTELIKRSLLTNDYLVPEWEYERVCKMHDLIHDVAHYVMENECFTSLKSCAAPKIPIKPRHWNLHTDENCEIGDCSTIHTLLYYSYYCKRDLSVLSKLKLVRVLDLSFTSTKELPASIEHLHHLRYLDLSYTHIRKLPESICMLVNLQTLKLYRCHKLSELLPKSITYMNSLRHLLFEGNRRPELEALNACLSQLQNLKTLPRYTVEDDAENNIGQIKSLNPFGEFDLYNLQKVKNADDARKANLGNKQLIHTLKLSWGKFGWGDDDECFLMENAEEVLEALKPPSGLKELTVSYYPGKQFPMWMGEKQQFQYLHRIELFKCKACEQLPSLEILPCLKFLKISRMHGIKHIISNRGSAQHSFPALKFLELKYMENLEAWWCVEEDREANLSLFPCLTSMDIERCPKLTTLPLGILPCLENLKMYEMDGIKHIVNNRRDDAQQSFPQLKKLSLKSMRNLEGWCVEEDREANPSLFPCLLSMKIIECPKLTTTMPPIPTLQELNMKNSFCETQISLKHLESLGRLTISSCTKELVLLLEDEEETRAMKSSLEYLSIGNCSQLSLTLVLQNLTSLRDLHVNSIENLVSWPDEMQGWKSLNYLTISSCKNLTGASSQGVCGPPLLELLHVSDCDALRKLPMCPKSLQTLSINNCPVMESLWPEMGHLTSLSTLEVGNCPKLVSLSHGM >DRNTG_23705.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:658376:659032:1 gene:DRNTG_23705 transcript:DRNTG_23705.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREPKTVLLIFVSGKVILTGSKSKADILRAFQQIYPVLSEFRKVPLPPSTQ >DRNTG_23705.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:656317:659032:1 gene:DRNTG_23705 transcript:DRNTG_23705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPRLEAEQSVDLQTMHPPGVTVTLQNIVSTSNLCCKLDLKVIAQQARNAEYNPKRFAAVIMRIKEPRTTALIFASGKTVCTGAKSQEQSLLASRKYARIIQKLGFDVSFKEFKVQNIVGTCDVQFPIRLEGLACSHNHFCSYEPEIFPGLIYRMREPKTVLLIFVSGKVILTGSKSKADILRAFQQIYPVLSEFRKVPLPPSTQ >DRNTG_21781.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2932387:2936956:1 gene:DRNTG_21781 transcript:DRNTG_21781.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHMAGNPNVISIIGAYEDAVAVHLVMELCAGGELFDRIIQRGHYSEKAAAGLARVIVGVVEACHSLGVMHRDLKPENFLFVNQQEESPLKTIDFGLSVFFKPGDMFADVVGSPYYVAPEVLKKYYGPEADVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGDLDFSSDPWPSISDSAKDLVRKMLVRDPRKRLSAHQVLCHPWVQVDGVAPDKPLDSAVLTRLKQFSAMNKLKKLALRVIAESLSEEEIAGLKELFKMIDTDNSGQITYEELKAGLERVGAKLKDSEILALMEAADIDNSGTIDYGEFIAATMHLNKIEREDNLFAAFSYFDKDGSGYITQDELQQACEDFGLGEVRLEEIIREIDQDNDGRIDYNEFVAMMHKGNAGIGRKGMQSNFSFGLREALKIG >DRNTG_35157.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1289332:1290710:1 gene:DRNTG_35157 transcript:DRNTG_35157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVMRSRDSRAEKESLEQQVKLLNATPSFVPHPPVIPTPYVAQGQASGQKLMMPVIGYPGFPMMAIHATRRRRYLTGC >DRNTG_01858.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21245755:21246868:1 gene:DRNTG_01858 transcript:DRNTG_01858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSKWPLAFTPEPDLQNIQPLVDDMFKKGPPNQDEKSRFFSGTKTKRNWKKSVNTFVGVEPGADHPESGTLLHDQDRHSKRSPSPNQITVGKSSIDSHGLQRGSESSGKSFEQKRRPNFRGIQHLLDQAGNQMKRSPRTSSQVWTEEENNSLYGSARSHVGRVRMEQKNNVTSHSRHGEDGPATVMAEASEPFHPSGLRIEALDNGRRKVRVSLSDEGNRAATHAAEDHS >DRNTG_25166.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:9929465:9930958:1 gene:DRNTG_25166 transcript:DRNTG_25166.1 gene_biotype:protein_coding transcript_biotype:protein_coding GATSCNSSEFESSYLSGKPSLLSKAHPLFFEVT >DRNTG_30431.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4499964:4506592:-1 gene:DRNTG_30431 transcript:DRNTG_30431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSEIVKYLEAKSILITGSTGFLAKLFVEKVLRVQPNVKKLFLLVRAGDAISARQRVENEIASMEVFKVLRKEYGESFSSYFWSKVYPLAGDVSLEHLGIKDDDLRETLWEEVDVIINSAATTNFNQRYDVALSINTTGVKNVLAFARRCERLKMLLHVSTAYVAKEKRGIILEKSIKLDDTDVETETKLIEKSMKELKENKASNQIINSFMRELGMKRANKFGWPNVYSFTKAMGEVILGRMKDDVPLVILRPTIIISTHKEPFPGWIEGVRTMDKLFVSFGNGKLQFFPASRNAILDLIPADMVVNGMLATMVSHSHQNGMFIYHVGSSNRNTVKLRFVSELFYKYFLMNPCTNKDGKAIKLPKISLFPFMGIFYTYMAIRHKLPLQILWLLAKLFCSRNMKAKYETLNAKCNYAMLLAKAYQPYTSMHGR >DRNTG_32394.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30252038:30252506:1 gene:DRNTG_32394 transcript:DRNTG_32394.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIVISLKDSFYDKAEALVGVYRDSERSCSRTLTFDLTKYRES >DRNTG_10388.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22977727:22985841:-1 gene:DRNTG_10388 transcript:DRNTG_10388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKNADSGVHPSEENQKHDKKRNRSSPSESDVGKKGEIMIGTSLKATNAENREHAMSSMHDSDPIREDEFATSSGTTGVVVPERTNKVCATLDGNLSVKGEIISKMGNNNREKGFMKKYSKRKGNNSCEQPPSKSLSALRNLEIDSKSDSLNETHNSSKLMISVKQEDFIPNAADDNPEEMAGKIDSSLLENKSSLSVKTKYYRRKHKAKAISESHSSDSCPSLADSMVLDTSGNNNSDASVSDSMKSPGEMAGGQSNNLQSCSKKSCMVSDINSTSYEAKNFKPWPRKKIIWREKTYSNSGSCREIPSGPSDRALQCSAANRMSASKVADPDLENQSNNEASARRGQIILFEEEHISKSAGFGIAEKVEKPPEVDMKPNDAKKTKSRRRNRHRGRGKGKVSNLNAVVSPALSSNDVISAGNSTLLGTTDLDSSGGNNITESTMDNLVSIVEECRNTIREGGLVVSDSSEVLPKLSMQINAADLSLETKPKKEANDHDSSEIDLSCHPGISSSRLETLEMDEMLERDEVDSSSGNSLKSHVLSEGSCLIGGPNDTSQVRSSIHLKEDSSSCLDALNSKEAKKRENGKCSDCTIEQIPGDKPGQQLDCVGFSQADGSIMATTSKVRNRKKEMKHAVEDSGELTGACLEKGVEFFHVINNSPVIDAGSVKQSLEVIPADCKDKASLPPSPIRGVIDDFTSSDLTNKQNGSLDLLSGEEGSFSFQIVSNAIKEKSHERNLEESFESTNKIACQNAKPSTHENSPSISPSLCDSGVIVCLEEKVMSLPRDHFVEDVPSDVIENQDKNLEEKIFSPQRAVISSNRKKLLVLDLNGLLADITMDTYRMHRAHRRVGGKSLFKRPYCDDFLKFCFEKFNIGVWSSRKMYNVDIVVECLMRNTKDKLLFCWDQSKCTTTGLGTIGNVHKPLVLKELRKLWDKEEPELPWELGEYTPSNTLLIDDSPYKALLNPPYTGIFPPPYNSRDVKDNSLGPGGDLRLYLEGLAVIDDVQQYVCKHPFGQAAITDKHPDWSFYMQIIEKRPEPSGLGCDDQ >DRNTG_10388.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22980834:22985841:-1 gene:DRNTG_10388 transcript:DRNTG_10388.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKNADSGVHPSEENQKHDKKRNRSSPSESDVGKKGEIMIGTSLKATNAENREHAMSSMHDSDPIREDEFATSSGTTGVVVPERTNKVCATLDGNLSVKGEIISKMGNNNREKGFMKKYSKRKGNNSCEQPPSKSLSALRNLEIDSKSDSLNETHNSSKLMISVKQEDFIPNAADDNPEEMAGKIDSSLLENKSSLSVKTKYYRRKHKAKAISESHSSDSCPSLADSMVLDTSGNNNSDASVSDSMKSPGEMAGGQSNNLQSCSKKSCMVSDINSTSYEAKNFKPWPRKKIIWREKTYSNSGSCREIPSGPSDRALQCSAANRMSASKVADPDLENQSNNEASARRGQIILFEEEHISKSAGFGIAEKVEKPPEVDMKPNDAKKTKSRRRNRHRGRGKGKVSNLNAVVSPALSSNDVISAGNSTLLGTTDLDSSGGNNITESTMDNLVSIVEECRNTIREGGLVVSDSSEVLPKLSMQINAADLSLETKPKKEANDHDSSEIDLSCHPGISSSRLETLEMDEMLERDEVDSSSGNSLKSHVLSEGSCLIGGPNDTSQVRSSIHLKEDSSSCLDALNSKEAKKRENGKCSDCTIEQIPGDKPGQQLDCVGFSQADGSIMATTSKVRNRKKEMKHAVEDSGELTGACLEKGVEFFHVINNSPVIDAGSVKQSLEVIPADCKDKASLPPSPIRGVIDDFTSSDLTNKQNGSLDLLSGEEGSFSFQIVSNAIKEKSHERNLEESFESTNKIACQNAKPSTHENSPSISPSLCDSGVIVCLEEKVMSLPRDHFVEDVPSDVIENQDKNLEEKIFSPQRAVISSNRKKLLVLDLNGLLADITMDTYRMHRAHRRVGGKSLFKRPYCDDFLKFCFEKFNIGVWSSRKM >DRNTG_07729.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2523566:2528260:1 gene:DRNTG_07729 transcript:DRNTG_07729.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLCDFCGEQRSMVYCRSDAACLCLSCDRNVHSANALSRRHWRTLVCDRCSAQPALVRCIEESVSLCQNCDWTGHGGSASASGHKRQTINCYSGCPSASELSRIWSFVLEIPPIAESSCEQGMGMMSINENSVSDNWRPHAENSSGADIPCDSMANNVENDDNNFGAWLASTSTSDVHPMSCSGDQPACSVDSTAPKLCFSDTKDLGISKNESFYDDFSVDDVDLTFENYEELFGVSHNPTEQLFEDAGIESFFETKETSAANSNCQVNPAAEARTIQGTCSNNPLSVDSAMSNPGTKADSNLCFPAKEGRPALSLSFSGLTGESSAGDYQDCGMSPALLMGEPPWYPPGLESSSFSPASRDSAVLRYKEKKKTRKFEKKIRYESRKARADIRKRVKGRFVKAGDAYDYDPLCQTRSC >DRNTG_07729.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2523566:2528260:1 gene:DRNTG_07729 transcript:DRNTG_07729.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLCDFCGEQRSMVYCRSDAACLCLSCDRNVHSANALSRRHWRTLVCDRCSAQPALVRCIEESVSLCQNCDWTGHGGSASASGHKRQTINCYSGCPSASELSRIWSFVLEIPPIAESSCEQGMGMMSINENSVSDNWRPHAENSSGADIPCDSMANNVENDDNNFGAWLASTSTSDVHPMSCSGDQPACSVDSTAPKLCFSDTKDLGISKNESFYDDFSVDDVDLTFENYEELFGVSHNPTEQLFEDAGIESFFETKETSAANSNCQVNPAAEARTIQGTCSNNPLSVDSAMSNPGTKADSNLCFPAKEGRPALSLSFSGLTGESSAGDYQDCGMSPALLMGEPPWYPPGLESSSFSPASRDSAVLRYKEKKKTRKFEKKIRYESRKARADIRKRVKGRFVKAGDAYDYDPLCQTRSC >DRNTG_17864.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2970095:2977875:1 gene:DRNTG_17864 transcript:DRNTG_17864.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FREE1 [Source:Projected from Arabidopsis thaliana (AT1G20110) UniProtKB/Swiss-Prot;Acc:Q9ASS2] MQPSGDFTSYYPYPYPPPPPPPPAAAAAPISNPNPAHSPNPSPNPISNPSEFQPSNPPVHSTFASAPPYSHYPAADYPSSAAPNLPPSAPSYSQPQSQSQFSTYQSYNPSSLIPDQSLTYPHLQPPAYYQQDSPSLAGSYAPPPNPNPSANPVPNTNQNPFFPSPYPSFENPYESSTRYDPGMTDFYEKKPENGFPNNWGGDDSFLNEGAYRYDGGRTEPYGARGTGGSARSSSVAFDDYGRSLSFGSSSRDGGSGAKIVRAVPKADAQQDVKSGVQKFRVKLLAEGAGSNIDVLCQIGLDGIRMLDPGNYRTLRIYPLETVTRWEVLDSSIFAFWAKSSVDIEPRRIRLKSNSYTTSTILDTVTAASVQLKEMGGRLVPSRSGGATDAGKQSDQQTEKKKGLMDWMNMIKPPNEEKDHWVPDEAVTKCASCGADFNAFVRKHHCRNCGDIFCDKCTHGRIALTADETAQPVRVCDRCMAEVTQRLNNAKEAASRPGLQSHEDLAKKLQEEMERNRKSSAGSKSDGGSTKRMREVACPICTVHLQVQVPATGSETIECGVCQHPFLVSSH >DRNTG_14761.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7087639:7095104:1 gene:DRNTG_14761 transcript:DRNTG_14761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKRFLSSLHSQNDNEKMKRENDKKGLLVSSSEFHLNSMKKKKQQKLKGKSQTKFEEFLKIEKATDVFSADEDAKTLRRLTKRLKVKNGALDGDDDGFNSLLEGLPSGLDMFFDESAIDHTEEDADQESLKEETVPSNEMSKKRKRRKKMSLKEDNTTESDKGVSEKHNELPEEEPCVRLLPLDATVKYVAPHMRASQGGESEELSQVRRRIRGLLNRLSESNVESITGEVAAIFRTIGRSVACRIIGDEVLASCSRGPRGNEQYAAVFASFIGGMACLVGTDFSARFLASLAKVFEEEYLKDDNLSLRNITLLLSYLCIFGICASDLVYDFLLVLSKRLTELDVSTILTLLQCCGMKLRGDDPTAMKDFVLDIQNRVNELKNPDLTQDAKSKINSKRMQFMLETICDIKNNKKRPREDSAHHTRIKKWLQKLRVEDILLRGLKWGKLLDPEKKGQWWLSGEIESPADNVEDVAATINKEILETQKLVQLAAAQRMNTDMRRAIFCIIMSGEDYLDAFEKILRLDLSGKQDREIMRVLVDCCLQEKVFNKYYTVLASKLCSHDKNHKFSLQYCLWDHFKELESMELHRLVNLARFVAEMVSSFTLSLSLLKTVDLTDLKRLTPKRIMHFRILFETILENTDALVWNIFTRVAAVPELEILKDGIVYFIKQYLFAANSGKSFAQKYKIAKKALANEAGVLM >DRNTG_05499.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30229104:30231817:-1 gene:DRNTG_05499 transcript:DRNTG_05499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWRSRAYGDDRMQIEVYRGGGGGRGVGIAPYPPSGMHDLRSYSASYAYSQQASQPPREIKLKKGKSVSASSSSSSAPKSSWSFKDPELQRKKRVAGYKIYAMEGRMKGSFRKSFRWLKDRYTQVVNGWW >DRNTG_20143.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15869205:15872407:-1 gene:DRNTG_20143 transcript:DRNTG_20143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFLSLVILQQHLECYGCLHEERIALLDIKSSFSGDQESIYPYSIFMSWNNSSDCCSWHRVRCSSTTKHVTSLDMYDAHQPWNSNYTVNISLFLPFKEMRALILSENNINGCIPITDCFGSLAGLKKLEYLDLSANYFNGKDLSSLGALDSLKGLSLGDINMGSELFINDHLKLCQN >DRNTG_00257.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5152132:5156294:-1 gene:DRNTG_00257 transcript:DRNTG_00257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSRESGAGGEGSVGDLMLVAAGASSQKAGDKAGTLVEYGKGGGGGVLMREDEEELEVKLRRIIDNVPVRVSNTSGSSAGSGSGDFHQYRQMRRKEQDRLARMDSDYQRRKQLAEFNLRREERLKAAEERTSKKRLKRQKKKQKRKEKKSKTDTGSEEQHNDKNNSEDDENDDDDDDELKQ >DRNTG_09976.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000420.1:18833:19829:-1 gene:DRNTG_09976 transcript:DRNTG_09976.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSTLSSPPSPWASSSRTIAWRSPPPFPVNGPPSTASGSTPRRPFSKSPMIPS >DRNTG_34203.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:932887:934650:-1 gene:DRNTG_34203 transcript:DRNTG_34203.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GA 2-oxidase2, GA metabolis [Source: Projected from Oryza sativa (Os01g0332200)] MVVVSTTPVQSKRYIGTEIPVIDLSCRREIAQEEIVNACEEYGFFKVINHAIPNDVVAKMEAVGLEFFAKPVTEKQQAGPANPLGYGCKSIGPNGDTGEVEYLLLHANQQLPAKIICEENQIEFSCVVMEYVGSVKELACEILELLAQGLGLEDTETFSRLIRDIESDSLLRLNHYPSYNCNKEDNNKVGRVGFGEHSDPQIITLLRSNGVAGLQILSPSTDAGSWVPVPPDPAAFFVNVGDALQAMTNGRLVSVRHRAMVTSYATRMSTVFFGGPPLHAWISPIPEMITTQRPRRYRSFTWSEFKKMMYSLRLGHNRLELFRFKAEEEA >DRNTG_20933.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7542638:7543485:-1 gene:DRNTG_20933 transcript:DRNTG_20933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLANKGNLEGIIDLLYTGVNVNFLDIDGRTALHVAACQGLANVELLLKSGSKVDLEEC >DRNTG_01654.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12651024:12653165:1 gene:DRNTG_01654 transcript:DRNTG_01654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPDPYEGLLDQEVENEEVIMLSLEEEVPSTVGIVKKVLQKMKRVRRCHKKRPKRLSIFPEKTQGRGLASVDDPVINTWAWERASAPVNRPCEVPRPCGNSALLWETLREFSRLDRGATGAWRCPCGSGARTWGISARPCGCVKRQRVFSRERRGACICPYGSLLWSRTGVDIDTLKEIQMAGDMVEEIEDMLVVCSWGSLLSIREPAIRTFTLEFSIWMGLYDAVYTETEEYE >DRNTG_16011.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17593760:17596117:-1 gene:DRNTG_16011 transcript:DRNTG_16011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDRAEPTSNTAVGLCLLPSELIHEILLRLSIPDLLLLRAVSRTLSSLLASSDFRRLYSPASSGPCLFVLTKRFPRDALLRVFHPASSRWLRLPSALLPSSTDPYLLAASGDHILFASNSRRELLALNLSSRSISTLPHPPLGPRRTSSWRRSGLKLISDGSHFRFLFAEIVGDRPFLYEYGSVTGTWRSSEAVTNLQPVRGGVWLNLVQFGNESVILRAGPSDEDYPIVLRPRLSAALIPRQVEDPLRVYGGDGKMVVVRSTAVGVGMRVVTAVEVWAVTAAAGGGVAWEMVTAAPEEVVEKIRRPFGVMMGCMEEENESMGMIMRLRIVLVSNLEGSWAITWLGRDAAGCWVWVPVPAPESGSTGFFNMAGIVLSSCFSGLSLLF >DRNTG_12122.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4516699:4522684:-1 gene:DRNTG_12122 transcript:DRNTG_12122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTVTRSCGGARASVLYAGNWPSPLETPALLLLTRKGLPTFISRDLLASLPLPDSLLLHSSPLHFYDCPPPLSILNVGGLHQMLGLHDHVFVASPRDCVECLPESDSANKLGASFETPTGRRHISPAKYMELISSQKPNLWASLADEVPAWVPEKRNKTSVDRTLRWLDDCLALDPASGSSMLGSIVGGTSKQERERCATEVSKRNVAGFWIGGFGLGENMEERPSLLNAVIDSLPEQKLRMISGFGLPGIYIVQNTRQNIIKKGVMLIRYHNLRIVYYTCKAMFNCY >DRNTG_12122.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4516867:4522684:-1 gene:DRNTG_12122 transcript:DRNTG_12122.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTVTRSCGGARASVLYAGNWPSPLETPALLLLTRKGLPTFISRDLLASLPLPDSLLLHSSPLHFYDCPPPLSILNVGGLHQMLGLHDHVFVASPRDCVECLPESDSANKLGASFETPTGRRHISPAKYMELISSQKPNLWASLADEVPAWVPEKRNKTSVDRTLRWLDDCLALDPASGSSMLGSIVGGTSKQERERCATEVSKRNVAGFWIGGFGLGENMEERPSLLNAVIDSLPEQKLRMISGFGLPEEVLQGVAAGIDLFDSTYVYHLTAGGFALVFPLDNMEKGAFDSQLSDIGSDGTKINLRATIYRKDTSAIVSNCQCFTCQNHTRAYINHLLNVHEMLAQILLEIHNTHHYLEFFRSIREAIKCNSFDVFHRRFIKGRRAHLTSAIAASEHEVVPA >DRNTG_00308.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2138977:2140762:-1 gene:DRNTG_00308 transcript:DRNTG_00308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISSGITTLLHLLICWLLVFKSGLGHKGAALAMSISYWLNVILLALYIKFSPACKKTWTGFSLEALHDILNFIKLAIPSACMVCLELWSFEMIVLLSGLLPNPTLETSVLSISLNTASLVFMIPFGLGTAVSICVSNELGAGRPNAARLAACVVVVLAVTEGLIVGAAMTLARSLWGHAYSDEEEVVKYVAIMMPILAISNFMDGIQCVLSGTARGCGWQKIGAFVNLGAYYIAGIPSAILLAFVFKIGGKGLWIGILCGLFVQVVLLLTITLCTDWEKEANKARERVHSSTVPLNHVS >DRNTG_23624.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30197325:30197974:1 gene:DRNTG_23624 transcript:DRNTG_23624.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDWLPGKAPSSLPHQRRQCKNTAGEGTALEHHRYNYTNVCHHYFRHKNLY >DRNTG_16621.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31412699:31413560:-1 gene:DRNTG_16621 transcript:DRNTG_16621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHFTRAAGQEIGTGTILDRIIFSCSPSNVGASCEICGDQSMKQGVISSCVDCFLAGGDLYIFHYSVSPIFFIAKARGGTCTLAASDPTSDALHRAKYLLNNGFGMYSLFKNNCEFHVGMWFRVCYLLCVFQVQLK >DRNTG_19666.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:20246837:20255234:1 gene:DRNTG_19666 transcript:DRNTG_19666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMENPQGCVDARFQPYLMLISSPISAFFFPSFPKLKRGRRLGFGEVLARDWERFYGSDIALRLEEGTFRLDQRVRSTSRKRIYHLESLELIAILYECKLQVMTRSNPSILIEGDPKLECTLRRRGKEPMRESSNQAEIEVEGSDNTTDEIVDEYMQEMFNPDLYKALFDQEIDNEEVMILGSTEEVPSTSGIMKKMLQKMNRARRRHRKYPKAVGDVRELNKLDEPLLGEPAEFSKASRENKRAWVFSTRPWVYTASSSREGTEAWTCPVNDLRRHKGVRLPLWVGRMGVGIFHTPMRLRSERLSAFSKAHRGVRFSLYCIALEEARGFGLSWFMDLNVLSEVQEGDQLSREIDALMAIGSWRRLLSIRETVFRAFRRHFAMSVTEFSVRMGLSVDGRGDSTSVLSQQGLLYLYSMVRSMPIHLGLILGMGLGDAIRDAKKTVIPSSLGLDTLRIMGVVRKYSPGAYILVTYSTESTEGERDTVEDSTPRVTETSRCTITLSRAYDRMERLESTVSVLWPPAPPASPSPPAPAPVDLAPALAAEPERDTDT >DRNTG_28417.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001436.1:1219:1727:-1 gene:DRNTG_28417 transcript:DRNTG_28417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLVYRCGPGAYIIAVSTQENTGRERDAAEGPQQIPRPQFV >DRNTG_10149.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:687162:689887:-1 gene:DRNTG_10149 transcript:DRNTG_10149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKKLCCWNCRGLSSRDTCARIFRLIHQDKLSILCLVETRANSDRISKFCSKLSKSWDWAAILADGFSGGILVCWKKGIGQVTPIATSRRVLHILISSSYFKNTIISVVYNSCRFQSQCSVWYELSKISSLHLPWLIIGDFNSILSPSDHKGGRFYYYSRKALFFRDFIDSNNLFNLNSSGPPFTWCNNQRGLARRWARLDRCLVNLEWSSLFKNCTLKHLSRSCSDHSPLLLSIFANDSRSRNLFRFENFWFDYTDCHSVVFEALRSPVHDSPLHAFSHLLSRTRFKLSNWKSSGLNSVEIALAQTETEINSLEQLDSSTDIQDRLSVLYSKLAAIQRQLSIKWAQRARLLWVSDGDKNTSFFHNSARIRDHHNYISQISDSFGNIYSNHSSIEQAFMTFYTNLWSCPASTDFINILEALPNDLPSITADVGHHLIRMVTKDEIFQALLDLPTGKSPGPDGFNVEFYRFYWEDLGDSLVSAIHYFFDNSHLLASWGRTFVTLVPKVANPSLVSDFRPISLCNVCYNIISKILTNRLKPVLPTLVGREQAGFLSDRGAFDNILAVQEVAHSLEFDRLGPPRMLIKLDIEKAYDSLSWSAILATLTKMNFPDKWVSWISACLRSSSFSILINGVPSPWFSSSRGLRQGDPISSYLFLF >DRNTG_18304.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2574847:2577966:-1 gene:DRNTG_18304 transcript:DRNTG_18304.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKSSEKSPGETESSGSVSSLSERFSDDHEASRASPNTASPNFGRSPEVSSRTDNVEVNETVKILTEKLSAALSNVSAKEELVKQHAKVTEEAVSGWEKAENEVVLLKQQLEAAFQKNSALEDRIGHLDGALKECVRELRQSREEQEEKIRDAIINNSCDWESQKLELESGMSELRTQLEAAKAEALLSVDHDFRSKLEQFEKENSVLKLELVAQAKDLQMLILERDLSIQAAETASKQHLESIKKINKIESECRRLQSMGRRMSAVNDQKVPSSVCLESLTDSQSDCGERLHAVDQEASRSDSWANALIVELDQFKNEKSSTRDLANSIDIELMDDFLEMERLVGLPETENGSSTGPSKAQTDALHRQLTELEAKIEMLESENTELEVALADTRKQLELSTHQLTLAEIKLTELQRQLDFADKSKQLAMIEVVDAEERRKIVESQLELAQSEVKKFSEKIYLLELNSEKEKAMYVAVAAKLEAAETTINDLESQLQSAVQEARKLHDKVGILEREVEEKTILSSELEVVKKELELELETSNVKAKAMSANFTGKVETLEIARNAIESQLELSQLEVRELQDKVRVLQREIEEERASVVVFASKCRDLEDELSKRKQEIELHQTAFSNGDLKMKQDKERVIAAGKLAECQKTINSLNRHLQLLATLDDFMIENEMPELGCDSAASAEGSLVLNGKNGGSSPSLIPSSSSSFYEIARHLSRNRINGQIEN >DRNTG_18304.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2574847:2578289:-1 gene:DRNTG_18304 transcript:DRNTG_18304.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKSSEKSPGETESSGSVSSLSERFSDDHEASRASPNTASPNFGRSPEVSSRTDNVEVNETVKILTEKLSAALSNVSAKEELVKQHAKVTEEAVSGWEKAENEVVLLKQQLEAAFQKNSALEDRIGHLDGALKECVRELRQSREEQEEKIRDAIINNSCDWESQKLELESGMSELRTQLEAAKAEALLSVDHDFRSKLEQFEKENSVLKLELVAQAKDLQMLILERDLSIQAAETASKQHLESIKKINKIESECRRLQSMGRRMSAVNDQKVPSSVCLESLTDSQSDCGERLHAVDQEASRSDSWANALIVELDQFKNEKSSTRDLANSIDIELMDDFLEMERLVGLPETENGSSTGPSKAQTDALHRQLTELEAKIEMLESENTELEVALADTRKQLELSTHQLTLAEIKLTELQRQLDFADKSKQLAMIEVVDAEERRKIVESQLELAQSEVKKFSEKIYLLELNSEKEKAMYVAVAAKLEAAETTINDLESQLQSAVQEARKLHDKVGILEREVEEKTILSSELEVVKKELELELETSNVKAKAMSANFTGKVETLEIARNAIESQLELSQLEVRELQDKVRVLQREIEEERASVVVFASKCRDLEDELSKRKQEIELHQTAFSNGDLKMKQDKERVIAAGKLAECQKTINSLNRHLQLLATLDDFMIENEMPELGCDSAASAEGSLVLNGKNGGSSPSLIPSSSSSFYEIARHLSRNRINGQIEN >DRNTG_18304.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2574847:2577966:-1 gene:DRNTG_18304 transcript:DRNTG_18304.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKSSEKSPGETESSGSVSSLSERFSDDHEASRASPNTASPNFGRSPEVSSRTDNVEVNETVKILTEKLSAALSNVSAKEELVKQHAKVTEEAVSGWEKAENEVVLLKQQLEAAFQKNSALEDRIGHLDGALKECVRELRQSREEQEEKIRDAIINNSCDWESQKLELESGMSELRTQLEAAKAEALLSVDHDFRSKLEQFEKENSVLKLELVAQAKDLQMLILERDLSIQAAETASKQHLESIKKINKIESECRRLQSMGRRMSAVNDQKVPSSVCLESLTDSQSDCGERLHAVDQEASRSDSWANALIVELDQFKNEKSSTRDLANSIDIELMDDFLEMERLVGLPETENGSSTGPSKAQTDALHRQLTELEAKIEMLESENTELEVALADTRKQLELSTHQLTLAEIKLTELQRQLDFADKSKQLAMIEVVDAEERRKIVESQLELAQSEVKKFSEKIYLLELNSEKEKAMYVAVAAKLEAAETTINDLESQLQSAVQEARKLHDKVGILEREVEEKTILSSELEVVKKELELELETSNVKAKAMSANFTGKVETLEIARNAIESQLELSQLEVRELQDKVRVLQREIEEERASVVVFASKCRDLEDELSKRKQEIELHQTAFSNGDLKMKQDKERVIAAGKLAECQKTINSLNRHLQLLATLDDFMIENEMPELGCDSAASAEGSLVLNGKNGGSSPSLIPSSSSSFYEIARHLSRNRINGQIEN >DRNTG_33403.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1514818:1530477:1 gene:DRNTG_33403 transcript:DRNTG_33403.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGEKQRGAASDAYTELTQRRHYIDQNLSMETGIRAAEEDKYIFTSSLLSLLAEYNIQPHELDPSTIVNSVKNLYRDMHWKLRSAEDLNMNDFHRQNHTSGEMRLESSGYQRAVHDPDLSIVKEVKFPPSPDSEFEFSNFKRDVGGATTPGYVDGNVGEAQTRKSAMDAQFQNPAMHERQTSSLSEGEISLPGIEGFQIFGEAKLGSTLKACGYPINGTSLCVFQWFRHLHNGTRQSIDGATVPDYVVTADDVGTLLSVDCIPMDESGRQGDLVSLFANNQLEITCDPDMQVEIDALVSTGRATFSVQLLKDSSEDWEPTMLILKRSSYQIMFKNAEAVIAEEKYSTDQHIKVPLGLSTQFVLIRSDGTSLPFTTNGTQPNSLDNVRLRDMIVLTMRTFQSKALDSKRKSKA >DRNTG_33403.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1514818:1530477:1 gene:DRNTG_33403 transcript:DRNTG_33403.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGEKQRGAASDAYTELTQRRHYIDQNLSMETGIRAAEEDKYIFTSSLLSLLAEYNIQPHELDPSTIVNSVKNLYRDMHWKLRSAEASFASRNNLIGRQAGEIASNINQIPKFSNSQLSHEHMDLNMNDFHRQNHTSGEMRLESSGYQRAVHDPDLSIVKEVKFPPSPDSEFEFSNFKRDVGGATTPGYVDGNVGEAQTRKSAMDAQFQNPAMHERQTSSLSEGEISLPGIEGFQIFGEAKLGSTLKACGYPINGTSLCVFQWFRHLHNGTRQSIDGATVPDYVVTADDVGTLLSVDCIPMDESGRQGDLVSLFANNQLEITCDPDMQVEIDALVSTGRATFSVQLLKDSSEDWEPTMLILKRSSYQIMFKNAEAVIAEEKYSTDQHIKVPLGLSTQFVLIRSDGTSLPFTTNGTQPNSLDNVRLRDMIVLTMRTFQSKALDSKRKSKA >DRNTG_33403.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1514818:1530477:1 gene:DRNTG_33403 transcript:DRNTG_33403.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTVDAQSHATHSGGQSSSHHVQYGTDHLTGISVAKMWNPASVSLVRHQLQEVPPKNDRGDEFRKQFMDEEITELYSRSLSQEEEIHLLRRRIADARANELQLLQEKHILERKLSDLRMVRGEKQRGAASDAYTELTQRRHYIDQNLSMETGIRAAEEDKYIFTSSLLSLLAEYNIQPHELDPSTIVNSVKNLYRDMHWKLRSAEDLNMNDFHRQNHTSGEMRLESSGYQRAVHDPDLSIVKEVKFPPSPDSEFEFSNFKRDVGGATTPGYVDGNVGEAQTRKSAMDAQFQNPAMHERQTSSLSEGEISLPGIEGFQIFGEAKLGSTLKACGYPINGTSLCVFQWFRHLHNGTRQSIDGATVPDYVVTADDVGTLLSVDCIPMDESGRQGDLVSLFANNQLEITCDPDMQVEIDALVSTGRATFSVQLLKDSSEDWEPTMLILKRSSYQIMFKNAEAVIAEEKYSTDQHIKVPLGLSTQFVLIRSDGTSLPFTTNGTQPNSLDNVR >DRNTG_33403.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1514818:1530477:1 gene:DRNTG_33403 transcript:DRNTG_33403.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTVDAQSHATHSGGQSSSHHVQYGTDHLTGISVAKMWNPASVSLVRHQLQEVPPKNDRGDEFRKQFMDEEITELYSRSLSQEEEIHLLRRRIADARANELQLLQEKHILERKLSDLRMVRGEKQRGAASDAYTELTQRRHYIDQNLSMETGIRAAEEDKYIFTSSLLSLLAEYNIQPHELDPSTIVNSVKNLYRDMHWKLRSAEASFASRNNLIGRQAGEIASNINQIPKFSNSQLSHEHMDLNMNDFHRQNHTSGEMRLESSGYQRAVHDPDLSIVKEVKFPPSPDSEFEFSNFKRDVGGATTPGYVDGNVGEAQTRKSAMDAQFQNPAMHERQTSSLSEGEISLPGIEGFQIFGEAKLGSTLKACGYPINGTSLCVFQWFRHLHNGTRQSIDGATVPDYVVTADDVGTLLSVDCIPMDESGRQGDLVSLFANNQLEITCDPDMQVEIDALVSTGRATFSVQLLKDSSEDWEPTMLILKRSSYQIMFKNAEAVIAEEKYSTDQHIKVPLGLSTQFVLIRSDGTSLPFTTNGTQPNSLDNVR >DRNTG_33403.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1514818:1515995:1 gene:DRNTG_33403 transcript:DRNTG_33403.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTVDAQSHATHSGGQSSSHHVQYGTDHLTGISVAKMWNPASVSLVR >DRNTG_33403.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1514818:1530477:1 gene:DRNTG_33403 transcript:DRNTG_33403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTVDAQSHATHSGGQSSSHHVQYGTDHLTGISVAKMWNPASVSLVRHQLQEVPPKNDRGDEFRKQFMDEEITELYSRSLSQEEEIHLLRRRIADARANELQLLQEKHILERKLSDLRMVRGEKQRGAASDAYTELTQRRHYIDQNLSMETGIRAAEEDKYIFTSSLLSLLAEYNIQPHELDPSTIVNSVKNLYRDMHWKLRSAEASFASRNNLIGRQAGEIASNINQIPKFSNSQLSHEHMDLNMNDFHRQNHTSGEMRLESSGYQRAVHDPDLSIVKEVKFPPSPDSEFEFSNFKRDVGGATTPGYVDGNVGEAQTRKSAMDAQFQNPAMHERQTSSLSEGEISLPGIEGFQIFGEAKLGSTLKACGYPINGTSLCVFQWFRHLHNGTRQSIDGATVPDYVVTADDVGTLLSVDCIPMDESGRQGDLVSLFANNQLEITCDPDMQVEIDALVSTGRATFSVQLLKDSSEDWEPTMLILKRSSYQIMFKNAEAVIAEEKYSTDQHIKVPLGLSTQFVLIRSDGTSLPFTTNGTQPNSLDNVRLRDMIVLTMRTFQSKALDIKGKGKG >DRNTG_33403.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1514818:1530477:1 gene:DRNTG_33403 transcript:DRNTG_33403.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTVDAQSHATHSGGQSSSHHVQYGTDHLTGISVAKMWNPASVSLVRHQLQEVPPKNDRGDEFRKQFMDEEITELYSRSLSQEEEIHLLRRRIADARANELQLLQEKHILERKLSDLRMVRGEKQRGAASDAYTELTQRRHYIDQNLSMETGIRAAEEDKYIFTSSLLSLLAEYNIQPHELDPSTIVNSVKNLYRDMHWKLRSAEDLNMNDFHRQNHTSGEMRLESSGYQRAVHDPDLSIVKEVKFPPSPDSEFEFSNFKRDVGGATTPGYVDGNVGEAQTRKSAMDAQFQNPAMHERQTSSLSEGEISLPGIEGFQIFGEAKLGSTLKACGYPINGTSLCVFQWFRHLHNGTRQSIDGATVPDYVVTADDVGTLLSVDCIPMDESGRQGDLVSLFANNQLEITCDPDMQVEIDALVSTGRATFSVQLLKDSSEDWEPTMLILKRSSYQIMFKNAEAVIAEEKYSTDQHIKVPLGLSTQFVLIRSDGTSLPFTTNGTQPNSLDNVRLRDMIVLTMRTFQSKALDSKRKSKA >DRNTG_33403.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1514818:1530477:1 gene:DRNTG_33403 transcript:DRNTG_33403.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGEKQRGAASDAYTELTQRRHYIDQNLSMETGIRAAEEDKYIFTSSLLSLLAEYNIQPHELDPSTIVNSVKNLYRDMHWKLRSAEASFASRNNLIGRQAGEIASNINQIPKFSNSQLSHEHMDLNMNDFHRQNHTSGEMRLESSGYQRAVHDPDLSIVKEVKFPPSPDSEFEFSNFKRDVGGATTPGYVDGNVGEAQTRKSAMDAQFQNPAMHERQTSSLSEGEISLPGIEGFQIFGEAKLGSTLKACGYPINGTSLCVFQWFRHLHNGTRQSIDGATVPDYVVTADDVGTLLSVDCIPMDESGRQGDLVSLFANNQLEITCDPDMQVEIDALVSTGRATFSVQLLKDSSEDWEPTMLILKRSSYQIMFKNAEAVIAEEKYSTDQHIKVPLGLSTQFVLIRSDGTSLPFTTNGTQPNSLDNVRLRDMIVLTMRTFQSKALDIKGKGKG >DRNTG_28337.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:143177:144753:1 gene:DRNTG_28337 transcript:DRNTG_28337.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKREENLSQWHLSSVGCIVYTEEIAAAAAAAHGGRSLRDGSESRGKKRGYLRHEKPRTMGWDAM >DRNTG_08890.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27584379:27589868:-1 gene:DRNTG_08890 transcript:DRNTG_08890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMWKMDISILRNWGLILFLTQSGISGGAVAGIAVAGIVVVLALVVYLYFGIYKKGKARKASLLPSAYGDNFVGHDLGGSSITDKVSVPSTTGSAVTGFAVEKSEFPYEELATATNNFSIANKIGEGGYGAVYYAEVRGEKAAIKKMDMKATKAFLAEIKVLTHVHHLNLVRLIGYSMDDSLFLIYEFIDNGNLSQHLRGSGRAPLSWSARVQIALDSARGLEYIHEHTVPVYVHRDIKSANILIDKNFRAKVADFGLAKLTEVGNSSTQTGVAGTFGYMPPEYAQYGEVSPKVDVFAFGVVLYELISAKEAIVKKEAESRGLVALFEGVFNQPDPKEELQKLIDPNLNEYPMDAVLKMAHLAKACTQENPQLRPSMRSIVVALMTLSSATEDWDLGTLYENQSFVSLMSGR >DRNTG_08890.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27584362:27589868:-1 gene:DRNTG_08890 transcript:DRNTG_08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEYAQYGEVSPKVDVFAFGVVLYELISAKEAIVKKEAESRGLVALFEGVFNQPDPKEELQKLIDPNLNEYPMDAVLKMAHLAKACTQENPQLRPSMRSIVVALMTLSSATEDWDLGTLYENQSFVSLMSGR >DRNTG_08890.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27584379:27589868:-1 gene:DRNTG_08890 transcript:DRNTG_08890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKATKAFLAEIKVLTHVHHLNLVRLIGYSMDDSLFLIYEFIDNGNLSQHLRGSGRAPLSWSARVQIALDSARGLEYIHEHTVPVYVHRDIKSANILIDKNFRAKVADFGLAKLTEVGNSSTQTGVAGTFGYMPPEYAQYGEVSPKVDVFAFGVVLYELISAKEAIVKKEAESRGLVALFEGVFNQPDPKEELQKLIDPNLNEYPMDAVLKMAHLAKACTQENPQLRPSMRSIVVALMTLSSATEDWDLGTLYENQSFVSLMSGR >DRNTG_08890.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27584379:27589868:-1 gene:DRNTG_08890 transcript:DRNTG_08890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMWKMDISILRNWGLILFLTQSGISGGAVAGIAVAGIVVVLALVVYLYFGIYKKGKARKASLLPSAYGDNFVGHDLGGSSITDKVSVPSTTGSAVTGFAVEKSEFPYEELATATNNFSIANKIGEGGYGAVYYAEVRGEKAAIKKMDMKATKAFLAEIKVLTHVHHLNLVRLIGYSMDDSLFLIYEFIDNGNLSQHLRGSGRAPLSWSARVQIALDSARGLEYIHEHTVPVYVHRDIKSANILIDKNFRAKVADFGLAKLTEVGNSSTQTGVAGTFGYMPPEYAQYGEVSPKVDVFAFGVVLYELISAKEAIVKKEAESRGLVALFEGVFNQPDPKEELQKLIDPNLNEYPMDAVLKMAHLAKACTQENPQLRPSMRSIVVALMTLSSATEDWDLGTLYENQSFVSLMSGR >DRNTG_08890.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27584379:27589868:-1 gene:DRNTG_08890 transcript:DRNTG_08890.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKATKAFLAEIKVLTHVHHLNLVRLIGYSMDDSLFLIYEFIDNGNLSQHLRGSGRAPLSWSARVQIALDSARGLEYIHEHTVPVYVHRDIKSANILIDKNFRAKVADFGLAKLTEVGNSSTQTGVAGTFGYMPPEYAQYGEVSPKVDVFAFGVVLYELISAKEAIVKKEAESRGLVALFEGVFNQPDPKEELQKLIDPNLNEYPMDAVLKMAHLAKACTQENPQLRPSMRSIVVALMTLSSATEDWDLGTLYENQSFVSLMSGR >DRNTG_08890.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27584379:27589868:-1 gene:DRNTG_08890 transcript:DRNTG_08890.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGLGLGFLVLLVALAFAPLPSESSCQLDCDALASYIISNDTGNLTYVVKLFEVTEAAILSVNGNLNKDILLPGNRISVPIQCKCLAGGAYSADPIPYTVARRETYSIIAQDRFNNLTTASWLAATNPSYPATNIPENSLINVTVNCSCGDASISLDYGYFETYPLEDGENLSSVAAAFNFSSSVDLQLLRDYNPGVNFTAGDIVFVPKKDSSGSYHPLSTSSSGSGISGGAVAGIAVAGIVVVLALVVYLYFGIYKKGKARKASLLPSAYGDNFVGHDLGGSSITDKVSVPSTTGSAVTGFAVEKSEFPYEELATATNNFSIANKIGEGGYGAVYYAEVRGEKAAIKKMDMKATKAFLAEIKVLTHVHHLNLVRLIGYSMDDSLFLIYEFIDNGNLSQHLRGSGRAPLSWSARVQIALDSARGLEYIHEHTVPVYVHRDIKSANILIDKNFRAKVADFGLAKLTEVGNSSTQTGVAGTFGYMPPEYAQYGEVSPKVDVFAFGVVLYELISAKEAIVKKEAESRGLVALFEGVFNQPDPKEELQKLIDPNLNEYPMDAVLKMAHLAKACTQENPQLRPSMRSIVVALMTLSSATEDWDLGTLYENQSFVSLMSGR >DRNTG_08890.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27584379:27589868:-1 gene:DRNTG_08890 transcript:DRNTG_08890.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKATKAFLAEIKVLTHVHHLNLVRLIGYSMDDSLFLIYEFIDNGNLSQHLRGSDLLGRAPLSWSARVQIALDSARGLEYIHEHTVPVYVHRDIKSANILIDKNFRAKVADFGLAKLTEVGNSSTQTGVAGTFGYMPPEYAQYGEVSPKVDVFAFGVVLYELISAKEAIVKKEAESRGLVALFEGVFNQPDPKEELQKLIDPNLNEYPMDAVLKMAHLAKACTQENPQLRPSMRSIVVALMTLSSATEDWDLGTLYENQSFVSLMSGR >DRNTG_01890.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21044374:21049592:1 gene:DRNTG_01890 transcript:DRNTG_01890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKLFFFFIAVFVFAIVGVHAEFGFDVEDKEADGVVDDVESPFKLEIERLKPRIQALESSITDKAREVKSKNEIIEKLEKTVEEKSASIASLQTEIELLQKKGVVDAEELVGKAHARAREFEKQAEKLKTEIEALSRIRNALEDQLSEAERKFQELSLKLENQHKLNDELKHKLQKTERALKVAEEELMRVQLEATSKSKELTEIHGAWFPHWLAIRIGHCQHLVVVHWNEHGKPAMNHLLHKASEKSAQAHKWAEPHLEVAKTKWIPAAKEQWVTFTTHVEPYVQKASTKTIEIYEVSKSTVSPHIAKAQELADPYVKKAREVSRPYIEQVAIITKPHVEKLHVVMKPYTTRAVYAYGEFLKTATKYHDQVQASVQEKLKNHDLTKHLATKEFVWFVASALLALPVLFLLKLLSIIFCKKTIKSTANGHRSHTNRRPKRRHAEN >DRNTG_01890.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21044374:21049592:1 gene:DRNTG_01890 transcript:DRNTG_01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKLFFFFIAVFVFAIVGVHAEFGFDVEDKEADGVVDDVESPFKLEIERLKPRIQALESSITDKAREVKSKNEIIEKLEKTVEEKSASIASLQTEIELLQKKGVVDAEELVGKAHARAREFEKQAEKLKTEIEALSRIRNALEDQLSEAERKFQELSLKLENQHKLNDELKHKLQKTERALKVAEEELMRVQLEATSKSKELTEIHGAWFPHWLAIRIGHCQHLVVVHWNEHGKPAMNHLLHKASEKSAQAHKWAEPHLEVAKTKWIPAAKEQWVTFTTHVEPYVQKASTKTIEIYEVSKSTVSPHIAKAQELADPYVKKAREVSRPYIEQVAIITKPHVEKLHVVMKPYTTRAVYAYGEFLKTATKYHDQVQASVQEKLKNHDLTKHLATKEFVWFVASALLALPVLFLLKLLSIIFCKKTIKSTANGHRSHTNRRPKRRHAEN >DRNTG_13086.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13250219:13252775:-1 gene:DRNTG_13086 transcript:DRNTG_13086.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKRSRAVSSSSSSSSKQSLQSPTGKYNRMASSSLFPSPRLFVGFSSKGFTDMEPAAMSPTSILETKPFSSIGNPFFSDRNPRKPVNETNVPLESNKHHHPWDNGDSKAIGLGIIDALTNEKANDKSSKQDSRMVLFGSQLKIQVPSINSGPISPTCSVESPNSPIEFGIKTKDSQLALYSPPPATPRIFSGCFSPRDMELSEDYTCIISHGPNPKTTHIFDNCIVESCGDGFLQSMADRTVYPSDDFFSFCYACKKNLGHGKDIFMYGGEKAFCSRECRYQEMLFDEEVTDDKSLAEQMRPL >DRNTG_27659.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8540190:8546503:1 gene:DRNTG_27659 transcript:DRNTG_27659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSTPSTSPAADHRHILQPPVSTAADRHRISICLHRTFHQPNLVHIHLITDGIIFAKSSLSFPPLPVGVDCNLSLEHSPTAIITFSTSSPAPPTHASSKMETFSTVVRYIGEGLVLQFTILSSWESVMGTFQLKTIQLTHICDGGIATPVHSKAKKKRVSERVMQKLKERPLYRAVDIPYVSSSFGIVPAEDRKYMAYRTSMYVPRSCKQTQTSIFTYTINSQLNGIVGRMAIQYSRFSHSNKLFDDKQEL >DRNTG_10601.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7476:13152:-1 gene:DRNTG_10601 transcript:DRNTG_10601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSANINPIASDEDSKEDGPASDKGKEHGTVSEVPPKSSQDMDVEEDEGEENEEEIIEKKTSEPEISEKQTSGIEVKLMEDSQTEHEDVSPGFTATMKDTGEEPPTKEEETIDNVDLVPIEESVTTLRNTEIISDNQRATSLDDTETTENDIRALGENQNLKQDVNTAAEEEPKTHELTPASIEERVGGEDEQKASFNAALKEPGVEIEDANEEEEETTSVQRSATELQNIQLPIEESPMPSTTETPDSTEAQDRETEEGEASGQRTTSELPQLQSTIEKSPVHISTPISEHVTHSDTSKSVAVISEERVGEDEKLKESSNTEKEGPGAETQDATEEQHKTTEEGDASAQLPMSELHHLPEKSQVPSKSEDQLDIDAARASAPDYTEEKLEEFPNVESAQPCTQTQDSTEEQVETEEGDVSSHMSTNENLSIENQPAGISTSTAEAPLQEDEVKELAPALLEDRIGDDEKPIETSNVAPEIPAAETEDSVEEQEKAIEEGDASQQKSTTEELHSSLQIDKPPVPSTTEDQLKTDDARELAPGFIKENVKEEENLKESNNSETEEPGTEAQDAAEEQDKTTEKGDASTQLSASELHHLATEKSPLPSKTEDQLDIDGARELTPDYSEDQMGEEKLEESPNVESAEPGAKTQDSTEEQEETDEGDVLAHMSTDENLSIENHPAEISTSTAEAPPQEDEAKELAPALTEEDETLIETTNVSQEIPATETEDSVSEQEKATEEGDASFQKSASEEIHLPLQIEKSQGPSTSEDQLETGDARELAPGFAKAHVKEQETLKESTNEETGEPGTETQDVTEEQNKTTEEGDASAQLPMSELHHLPERSPLPSKTEDQDIVGARESAPDYTQDQVIEEEKLEESPNVESAQPCTQTQDSTEEQEETEEGDVSSHMSTGENLSIENQPAGISTSTAEAPLQEDEVKELAPALLEDTIGGDEKPIETSNVAPEKPATETEDSVEEQEKAIEEGDALQKSASEEVHSPLRMDKPPVPSTTEDQLKTSDARELAPGLIKENVKEEENLKESNNSETGEPGTEAQEAAEEQDKTTEKGDASIQLSASELHHLPTEKSPLPSKTEDQLDIDGARELAPDDSEDQMIEEEKLEESSNVE >DRNTG_10601.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7476:11221:-1 gene:DRNTG_10601 transcript:DRNTG_10601.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSANINPIASDEDSKEDGPASDKGKEHGTVSEVPPKSSQDMDVEEDEGEENEEEIIEKKTSEPEISEKQTSGIEVKLMEDSQTEHEDVSPGFTATMKDTGEEPPTKEEETIDNVDLVPIEESVTTLRNTEIISDNQRATSLDDTETTENDIRALGENQNLKQDVNTAAEEEPKTHELTPASIEERVGGEDEQKASFNAALKEPGVEIEDANEEEEETTSVQRSATELQNIQLPIEESPMPSTTETPDSTEAQDRETEEGEASGQRTTSELPQLQSTIEKSPVHISTPISEHVTHSDTSKSVAVISEERVGEDEKLKESSNTEKEGPGAETQDATEEQHKTTEEGDASAQLPMSELHHLPEKSQVPSKSEDQLDIDAARASAPDYTEEKLEEFPNVESAQPCTQTQDSTEEQVETEEGDVSSHMSTNENLSIENQPAGISTSTAEAPLQEDEVKELAPALLEDRIGDDEKPIETSNVAPEIPAAETEDSVEEQEKAIEEGDASQQKSTTEELHSSLQIDKPPVPSTTEDQLKTDDARELAPGFIKENVKEEENLKESNNSETEEPGTEAQDAAEEQDKTTEKGDASTQLSASELHHLATEKSPLPSKTEDQLDIDGARELTPDYSEDQMGEEKLEESPNVESAEPGAKTQDSTEEQEETDEGDVLAHMSTDENLSIENHPAEISTSTAEAPPQEDEAKELAPALTEEDETLIETTNVSQEIPATETEDSVSEQEKATEEGDASFQKSASEEIHLPLQIEKSQGPSTSEDQLETGDARELAPGFAKAHVKEQETLKESTNEETGEPGTETQDVTEEQNKTTEEGDASAQLPMSELHHLPERSPLPSKTEDQDIVGARESAPDYTQDQVIEEEKLEESPNVESAQPCTQTQDSTEEQEETEEGDVSSHMSTGENLSIENQPAGISTSTAEAPLQEDEVKELAPALLEDTIGGDEKPIETSNVAPEKPATETEDSVEEQEKAIEEGDALQKSASEEVHSPLRMDKPPVPSTTEDQLKTSDARELAPGLIKENVKEEENLKESNNSETGEPGTEAQEAAEEQDKTTEKGDASIQLSASELHHLPTEKSPLPSKTEDQLDIDGARELAPDDSEDQMIEEEKLEESSNVE >DRNTG_07090.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1994510:1999749:-1 gene:DRNTG_07090 transcript:DRNTG_07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILASVTFLLILSSFCCFIIIPSLCSESLNTRCIESEKNSLLDFKSSLKDPHNLLSSWEGSDCCNWKGVTCNNETNHVVSLNLGYWHLFNGLSTAWRIGGEISPSLIGLNDLNHLDLSFNDFGGIAVPEFIGSMKKLSYLSLSNAGFSGRIPYQLGNLSSLRYLDLNSFYYFHDLYVDSLDWLSRLSSLQYLDMNSVNLENVGDWFVSINLIPSLSVLKLPHCKLKEFPLSFSFRNFTSLTYLDLSNNEFYSPLPNWLFNLSSLQYLNLQFNQFQGSMPDEFARMTSLEVIQLGKNELVGSIPRTISLLCKLRTLDLSSNNITGDTASLAEISVGCAGNSLEVLNLRNNNLTGNLSDWLVNFKRLDTLDLGHNSLNGQIPVSIGKLSALKYLMLTANGFNGTLPESIGQLSELILLDLSFNSLNGVVSEFHFANLSKLEQLSLASTSLAFRMNSSWIPPFQLKLIGLRLCKLGPKFPSWLWTQKDYGVLDLSNTEIEDVAPNWIWNLSEKILMLDLSHNLISGNLPATLGFASISILDLSNNKFEGTLPTLSSSMEYLDLSNNMFTGNILRFVSYRLPILSHLFLSNNHLSGPIPSSICQDVELYAMDLSNNQLSGELPTCLSDMLTLTALNLANNNLSGEIPSTLGSIKGLRTLHLGGNHFKGELPTALQNCTILVTLDLGENEISGSIPAWIGELLPFLRILRLRSNFFNGTIPSQLSRLSSLQILDLANNSLSGTIPPSFSNITAIAQTHKPNERMLEDMQGAVQSSVDNYGPTGYIESVLVVMKGAEREYSQNLQYVASIDLSNNQLTGQFPKELGDLIGLQNLNLSSNQLTGKIPDEIGKLKLLESLDLSMNGFTSSIPTSISVLTLLSHLNLSYNNLSGRIPSGYQLQTLDYNSYLGNPGLCGPPLNKCGSNKTVFSIILACTDGDDECESEKLGLYLGIVLGFVAGFWVIWGVLLFTENLSEAYFEFIDELLDKLPFARKMDRYDEDDEEDETNSLMHHAASVPHLSTCIPAWSSKLYICINESKLRCKESERVAILEFKDAVRDPHNLLASWEGNLFYGLTTVWRIGGEINPSFAGIMMRWEGRILSGYQLNILDYTSYLGNPGLCGAPLNEYGSNKTIFSIISACAGGVNLKSLGCTSALPLGLSLDFG >DRNTG_14687.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000740.1:5994:8670:1 gene:DRNTG_14687 transcript:DRNTG_14687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYASARDTNPLEGNVDYYGVLNYIIELDYFNKFKVVLFHCDWADVNNSRGGQER >DRNTG_34370.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16314484:16317437:1 gene:DRNTG_34370 transcript:DRNTG_34370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFRPQDAALVLGLRCDGDAVVFKKNKIRSVFEGRKKKNFCQTPDGVPHGTILPLSPPPADDETIAKPSAADVVTESVAVDDMAMTVEDIVDDVAIAAVEKIINSLINKIPNPVEPAVEIAASKTDTIPEEQEQAKDLSPNDAVVVTTIEKIVDSVTPDTIPQQEQPRKDVSSVDVVAVVLASKEDAAGAEHLQGSMRVLHEDPDRSTREMIKANQQWDKTARQVFVQEEKMGWSISLLTSMGEELMRIFLNCRMDRSVRWKNDVVNTTRANLYTLLEGKEMITDDVMDGFVCIIQKSLSKVPYPYKKRASIIRRLALFMSKQDDPHETTMAMIGDAVRSLHEVQIVIRPIIMNDHFHVVVIDNDKQEYRHYSSCAGYEKDASGHCGIYSTRLSICSSASRRPQYPLAST >DRNTG_29061.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4476052:4478991:1 gene:DRNTG_29061 transcript:DRNTG_29061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSHFQLTSLGFTRTALSSLPRFSPSHERCNKNNINVQKCSQMISNIKATHLDYSSSSSSSSVTQRRSANFQPTIWTNDYLQSLKDDDFMEEKFTSRIEKLKDATKHLLHENKETIHQLKLIDTLQQLGVAYHFEQEIKDAIGSIYSLMNFLMVY >DRNTG_15210.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000755.1:38258:42359:1 gene:DRNTG_15210 transcript:DRNTG_15210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLVASLSSMVNSKYKQHSERTFIHEHTQGFHPPHEAAISVALQSPLIPHKCKQFLASCHHCHVLTHGPHDATTAATTQGEIPHHSTLESPQG >DRNTG_15210.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000755.1:38258:42359:1 gene:DRNTG_15210 transcript:DRNTG_15210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLVASLSSMVNSKYKQHSERTFIHEHTQGFHPPHEAAISVALQSPLIPHKCKQFLASCHHCHVLTHGPHDATTAATTQGEIPHHSTLESPQG >DRNTG_15210.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000755.1:38258:42359:1 gene:DRNTG_15210 transcript:DRNTG_15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLVASLSSMVNSKYKQHSERTFIHEHTQGFHPPHEAAISVALQSPLIPHKCKQFLASCHHCHVLTHGPHDATTAATTQGEIPHHSTLESPQG >DRNTG_15210.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000755.1:38258:41208:1 gene:DRNTG_15210 transcript:DRNTG_15210.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLVASLSSMVNSKYKQHSERTFIHEHTQGFHPPHEAAISVALQSPLIPHKCKQFLASCHHCHVLTHGPHDATTAATTQGEIPHHSTLESPQG >DRNTG_05920.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5173297:5174826:1 gene:DRNTG_05920 transcript:DRNTG_05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVGVVEATCEAIFGLVMSMDGTRFEWDCSFQYGSLVEEVDGHTAILYHRLQFDWFPMFVWPRDLCYMRYWRRNDDGSYVVLFRSREHENCGPQPGFVRAHIES >DRNTG_00025.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21598429:21600609:1 gene:DRNTG_00025 transcript:DRNTG_00025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEADPLDCDLLMDGCEDGDGRRVLEWEIGLPSADDLTPLSQTLITPELASAFSITPEPCRTILDVIRASQNTVSNLKRNSASSSSSTSALKSFPPFPSPASDDPMVLARDDDPVNPKVDDGESEPSRKARRQDSAVMEDGDSSALRQENSTDDHSARTLKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSNEGPSSSDHLFASTPVPQSLREQQPMPVPMPYTMPSMFPMPVYGMSHHQGHSPVAMVPVNNHHGAGAGAGAGAGATMDLRLSIIIPTELLSLRGPGIAPEVTS >DRNTG_00724.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18358920:18360161:1 gene:DRNTG_00724 transcript:DRNTG_00724.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKEMKQNGFLSSSHGGIPMPKQRGRHHRKKKNEELKKRNEIAKKEHANRLTKIAAPSGLLSGLNPGIINHVRNSKQVHSIIEAIVRSEKLEGPCQNKFPNQSGTENKENSERRKEQNYTHNAAASQLNQSLSSSDYSMPSFSWHTSELNDDTLTLKLSSTATMKSEDGSSAYVNDHSTNQDAICSLSLKAANVASRWLDLLQQDIKGRLAALRRSKKRVRNVIQIELPYVLSTEFSSNQENRPCFEQSSEAGDSKTAVHNMHVKRWKSLFGQMDKALTEEGKYL >DRNTG_00724.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18358920:18360946:1 gene:DRNTG_00724 transcript:DRNTG_00724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKEMKQNGFLSSSHGGIPMPKQRGRHHRKKKNEELKKRNEIAKKEHANRLTKIAAPSGLLSGLNPGIINHVRNSKQVHSIIEAIVRSEKLEGPCQNKFPNQSGTENKENSERRKEQNYTHNAAASQLNQSLSSSDYSMPSFSWHTSELNDDTLTLKLSSTATMKSEDGSSAYVNDHSTNQDAICSLSLKAANVASRWLDLLQQDIKGRLAALRRSKKRVRNVIQIELPYVLSTEFSSNQENRPCFEQSSEAGDSKTAVHNMHVKRWKSLFGQMDKALTEEGKYLENWLRQVQEMQSHCDKGLKYASPDGIRPFDPLIDSRMKKPDALERECAVRAAAASIYSTSNLTMTKENVQCF >DRNTG_34440.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21261512:21262319:-1 gene:DRNTG_34440 transcript:DRNTG_34440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSLPVPAHVRRHLVEFLVESSSLQLLASPIVKYTALSFFADRFLPSLPCFLQGNTNPNWLLNPLRESNLQLFALISIWISSKIHDTRPLSVTSLKSLGDNLIVDQHFTTRDFAIA >DRNTG_22800.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3835077:3836178:1 gene:DRNTG_22800 transcript:DRNTG_22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSMEVDLDDWEFLPDEHHKGVIFSSNEFNCFSKGLVDVNYFIIRPSLPSLSTDECKHIQAQRQEHQQEEEQQQQQQVIEFKDIEVVSQDVVSQVFFKKWKEDEFVDKKLDLELDLDLDSPKSITRGSISALGFDEEEKSEEEEEEEELDEKIIEKKPCFNGFGITVWRWSLCTIGVAAATVCMLFLGAKPRPKQLHQSQRLQFQMYSDEQRIKQVVQQASRLNQPLSTMRGGASSPLIARSAHISFGGYYDAL >DRNTG_22404.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22474768:22478845:1 gene:DRNTG_22404 transcript:DRNTG_22404.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILAITIWAFRTAQQPYPSLAFPGVEIQDASGLLSSDAMTIEQQAFRIGIKERENKLRGASEGRPRCRWLGSEPEAVNPREENITSTGVRAPSLRLSERKTENQ >DRNTG_22404.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22473913:22478845:1 gene:DRNTG_22404 transcript:DRNTG_22404.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILAITIWAFRTAQQPYPSLAFPGVEIQDASGLLSSDAMTIEQQAFRIGIKERENKLRGASEGRPRCRWLGSEPEAVNPREENITSTGVRAPSLRLSERKTENQ >DRNTG_22404.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22473913:22478845:1 gene:DRNTG_22404 transcript:DRNTG_22404.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAITIWAFRTAQQPYPSLAFPGVEIQDASGLLSSDAMTIEQQAFRIGIKERENKLRGASEGRPRCRWLGSEPEAVNPREENITSTGVRAPSLRLSERKTENQ >DRNTG_22404.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22474768:22478845:1 gene:DRNTG_22404 transcript:DRNTG_22404.5 gene_biotype:protein_coding transcript_biotype:protein_coding MILAITIWAFRTAQQPYPSLAFPGVEIQDASGLLSSDAMTIEQQAFRIGIKERENKLRGASEGRPRCRWLGSEPEAVNPREENITSTGVRAPSLRLSERKTENQ >DRNTG_22404.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22475632:22478845:1 gene:DRNTG_22404 transcript:DRNTG_22404.6 gene_biotype:protein_coding transcript_biotype:protein_coding MILAITIWAFRTAQQPYPSLAFPGVEIQDASGLLSSDAMTIEQQAFRIGIKERENKLRGASEGRPRCRWLGSEPEAVNPREENITSTGVRAPSLRLSERKTENQ >DRNTG_22404.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22474768:22478845:1 gene:DRNTG_22404 transcript:DRNTG_22404.4 gene_biotype:protein_coding transcript_biotype:protein_coding MILAITIWAFRTAQQPYPSLAFPGVEIQDASGLLSSDAMTIEQQAFRIGIKERENKLRGASEGRPRCRWLGSEPEAVNPREENITSTGVRAPSLRLSERKTENQ >DRNTG_04030.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17096634:17099227:-1 gene:DRNTG_04030 transcript:DRNTG_04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKADLCSVMHAEMIPAGKGLGFNYSGSPMFSNLNYRNDARTSMPCLSLRNQKQYPKYNTSVQQVAERSAVLVKPLKVEDGPLLVKDRFIKVEEAKEPTLTVPRQGQPCTTRVVSVDTLVGPRGAVGEICHIVLDHGGNFHFVEGQYLGVILPPNDGDGSGLTRSRVKFDDFSIASCRDGDAFDGKTLSLCVRRAELSPDGVSNFLCDRQEGDEVDIIGPFGYEMIWPNNLEAKHIMVATSTGIAPFRSNLKQMFINPNSRVTFNGLAWLIARADNYNSLLHNGEFTQILMTHPIHFRYQKAMADHNTSVADVIYQNGDQIFSLLNRGAYIYFAGLQTMMPEILKTFERIAQERGEHWTDMLAELVKNDQWRVEVY >DRNTG_28764.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24220236:24223125:-1 gene:DRNTG_28764 transcript:DRNTG_28764.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSCQSCYLWVLCMLSSIHFSGVCGLNEEGHALLSFKASITKDPDGFLANWNSSNNDPCSWNGITCKDSTVVSISIPKKRLLGSLSPDLGSLASIRHINLRNNKLLGSLPAKLFRAQGLQSLVLYGNSLSGSLPTEICDPSNLQILDLSWNLFSNSVPPSLVQCKMLKSLDLSHNNFTNSLPVGFGNSLSALERLDLSYNMLNGSIPSDIGNMTKLAGTVDFSHNLFSGLIPPSIGDLPEMVYIDLAYNNLSGPIPRNGTMVNRGPNAFAGNPLLCGPPLKKSCSEGVPVNAGDNRSGKRVSMRKCVIVVIVVSDILGIALIALVFFYCYRKMISPKTKLTNENKGKAFREKECLRFTNDESKNPSENMAQSDLVPLDPNVKFNLDELLKASAIVLGKNEIGIVYKVTLASGLILAVRRLGEGGARRFKEYKQDVEAIGKVRHPNIVTLRAYYWSIEEKLLIYDYIPNGNLSTAIHGRAGANSFSPLPWAFRLKVMKGIARGLCFLHEFSPKKYVHGDLKLSNVLLGPNMEPYISDFGLAHLANIVGEPPMPHSDKMAAENPQSQHTAVSPDTRIELCYQAPEALKTQKPSQKWDVYSYGVILLELLSGRSPSVLLETSDIGLVQWIQVCIEEKKALTDVLDPALTHEPEREDEIVAVLKIALSCVQYIPETRPSMRHVMDSLDRVFTGR >DRNTG_28764.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24219653:24223125:-1 gene:DRNTG_28764 transcript:DRNTG_28764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSCQSCYLWVLCMLSSIHFSGVCGLNEEGHALLSFKASITKDPDGFLANWNSSNNDPCSWNGITCKDSTVVSISIPKKRLLGSLSPDLGSLASIRHINLRNNKLLGSLPAKLFRAQGLQSLVLYGNSLSGSLPTEICDPSNLQILDLSWNLFSNSVPPSLVQCKMLKSLDLSHNNFTNSLPVGFGNSLSALERLDLSYNMLNGSIPSDIGNMTKLAGTVDFSHNLFSGLIPPSIGDLPEMVYIDLAYNNLSGPIPRNGTMVNRGPNAFAGNPLLCGPPLKKSCSEGVPVNAGDNRSGKRVSMRKCVIVVIVVSDILGIALIALVFFYCYRKMISPKTKLTNENKGKAFREKECLRFTNDESKNPSENMAQSDLVPLDPNVKFNLDELLKASAIVLGKNEIGIVYKVTLASGLILAVRRLGEGGARRFKEYKQDVEAIGKVRHPNIVTLRAYYWSIEEKLLIYDYIPNGNLSTAIHGRAGANSFSPLPWAFRLKVMKGIARGLCFLHEFSPKKYVHGDLKLSNVLLGPNMEPYISDFGLAHLANIVGEPPMPHSDKMAAENPQSQHTAVSPDTRIELCYQAPEALKTQKPSQKWDVYSYGVILLELLSGRSPSVLLETSDIGLVQWIQVCIEEKKALTDVLDPALTHEPEREDEIVAVLKIALSCVQYIPETRPSMRHVMDSLDRVFTGR >DRNTG_06161.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25354598:25358290:1 gene:DRNTG_06161 transcript:DRNTG_06161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTQRSPRKDFFSAVKASDRVVCHFYRDNWPCKVMDKHLSILAKQHFETRFLKIHAEKSPFLTEKLRVVVLPTLALVKHAKVEDYVVGFDELRGTDEFSTEELEERLSKSEVIFFEGEGSANQSKPATAKRSVRQSESSNLSDSD >DRNTG_14033.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27542847:27545060:-1 gene:DRNTG_14033 transcript:DRNTG_14033.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MRFRPNLVISGAKSYAEDNWASVQIGEAHFVSLGGCNRCQMINLHTKNGVIQKSKEPLATLASYRRTQGKILFGILLRYENGNVENGKGDYEYEAQENRWLQVGQEVIARPLEAKHDS >DRNTG_14033.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27542919:27556647:-1 gene:DRNTG_14033 transcript:DRNTG_14033.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MIDLRHENGRSVCRLYGRDLTKVSLHDVGPTITFNLKRADGSWFGYREVEKIASLSGIQLRTGCFCNPGACAKYLGLSHSDLLSNIEAGHVCWDDYDILNGKPTGAVRISFGYMSTFEDAEKFLRFIVSYFVMKPKFLEDRYLLKTKEFPFVEIGGRYLQTNVHLKSITIYPIKSCAGFSMSCWPLSSTGLLYDREWLLKGSNGEILTQKKVPEMCCIETFVDLGQRKLHVESPRCNEKLQISLNENAEYGLNEEMDVYGQRYKVQNYDGKVNTWFTKAISRPCTLVRCSSSNYRHCLRKGGREDLCRDLQCQLNFVNEAQLLLISEDSVSDLNDRLKRTDSRGVTTEFYEPAPIDTMRFRPNLVISGAKSYAEDNWASVQIGEAHFVSLGGCNRCQMINLHTKNGVIQKSKEPLATLASYRRTQGKILFGILLRYENGNVENGKGDYEYEAQENRWLQVGQEVIARPLEAKHDS >DRNTG_14033.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27542919:27556647:-1 gene:DRNTG_14033 transcript:DRNTG_14033.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MCCIETFVDLGQRKLHVESPRCNEKLQISLNENAEYGLNEEMDVYGQRYKVQNYDGKVNTWFTKAISRPCTLVRCSSSNYRHCLRKGGREDLCRDLQCQLNFVNEAQLLLISEDSVSDLNDRLKRNSRGVTTEFYEPAPIDTMRFRPNLVISGAKSYAEDNWASVQIGEAHFVSLGGCNRCQMINLHTKNGVIQKSKEPLATLASYRRTQGKILFGILLRYENGNVENGKGDYEYEAQENRWLQVGQEVIARPLEAKHDS >DRNTG_14033.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27542919:27556647:-1 gene:DRNTG_14033 transcript:DRNTG_14033.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MEKEEFMAQFGEGYGYPNAPKNIDEIRATEFKRLQGLVYLDHAGATLYSESQIEAVGKDLTSNVFGNPHSLSDCSITTSDFITAARQQVLDYFNASPKDYTCIFTSGATAALKLVGEGFPWSRESCYMYTMENHNSALGIREYALEKGATALAIDIEEVEYPSIQSKTHEPRFQFFKHSLQRRAEAKSSSSDLNGRTYNLFAFPSECNFSGRRFHLDLVNIIKDDPVKSLGGLLHGRGSWMVLIDAAKGCATEPLDLARYPADFVVFSFYKIFGYPTGLGALIVRNEAAKFLRKTYFSGGTVSASIADIDYIKRRDSIEQLLEDGTVSFLSIASIRHGFKIINTLTPYAIDRHTRSLATYVRNAMIDLRHENGRSVCRLYGRDLTKVSLHDVGPTITFNLKRADGSWFGYREVEKIASLSGIQLRTGCFCNPGACAKYLGLSHSDLLSNIEAGHVCWDDYDILNGKPTGAVRISFGYMSTFEDAEKFLRFIVSYFVMKPKFLEDRYLLKTKEFPFVEIGGRYLQTNVHLKSITIYPIKSCAGFSMSCWPLSSTGLLYDREWLLKGSNGEILTQKKVSMVIGNAFKKFRKCVALRHLLI >DRNTG_14033.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27542847:27556647:-1 gene:DRNTG_14033 transcript:DRNTG_14033.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MLLSFQKNALMGAVVVELFVCHKEGLTFLCGMLKLFSYIVLCFAGRTYNLFAFPSECNFSGRRFHLDLVNIIKDDPVKSLGGLLHGRGSWMVLIDAAKGCATEPLDLARYPADFVVFSFYKIFGYPTGLGALIVRNEAAKFLRKTYFSGGTVSASIADIDYIKRRDSIEQLLEDGTVSFLSIASIRHGFKIINTLTPYAIDRHTRSLATYVRNAMIDLRHENGRSVCRLYGRDLTKVSLHDVGPTITFNLKRADGSWFGYREVEKIASLSGIQLRTGCFCNPGACAKYLGLSHSDLLSNIEAGHVCWDDYDILNGKPTGAVRISFGYMSTFEDAEKFLRFIVSYFVMKPKFLEDRYLLKTKEFPFVEIGGRYLQTNVHLKSITIYPIKSCAGFSMSCWPLSSTGLLYDREWLLKGSNGEILTQKKVPEMCCIETFVDLGQRKLHVESPRCNEKLQISLNENAEYGLNEEMDVYGQRCSSSNYRHCLRKGGREDLCRDLQCQLNFVNEAQLLLISEDSVSDLNDRLKRNSRGVTTEFYEPAPIDTMRFRPNLVISGAKSYAEDNWASVQIGEAHFVSLGGCNRCQMINLHTKNGVIQKSKEPLATLASYRRTQGKILFGILLRYENGNVENGKGDYEYEAQENRWLQVGQEVIARPLEAKHDS >DRNTG_14033.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27542919:27556647:-1 gene:DRNTG_14033 transcript:DRNTG_14033.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MIDLRHENGRSVCRLYGRDLTKVSLHDVGPTITFNLKRADGSWFGYREVEKIASLSGIQLRTGCFCNPGACAKYLGLSHSDLLSNIEAGHVCWDDYDILNGKPTGAVRISFGYMSTFEDAEKFLRFIVSYFVMKPKFLEDRYLLKTKEFPFVEIGGRYLQTNVHLKSITIYPIKSCAGFSMSCWPLSSTGLLYDREWLLKGSNGEILTQKKVPEMCCIETFVDLGQRKLHVESPRCNEKLQISLNENAEYGLNEEMDVYGQRYKVQNYDGKVNTWFTKAISRPCTLVRCSSSNYRHCLRKGGREDLCRDLQCQLNFVNEAQLLLISEDSVSDLNDRLKRNSRGVTTEFYEPAPIDTMRFRPNLVISGAKSYAEDNWASVQIGEAHFVSLGGCNRCQMINLHTKNGVIQKSKEPLATLASYRRTQGKILFGILLRYENGNVENGKGDYEYEAQENRWLQVGQEVIARPLEAKHDS >DRNTG_14033.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27542919:27556647:-1 gene:DRNTG_14033 transcript:DRNTG_14033.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MEKEEFMAQFGEGYGYPNAPKNIDEIRATEFKRLQGLVYLDHAGATLYSESQIEAVGKDLTSNVFGNPHSLSDCSITTSDFITAARQQVLDYFNASPKDYTCIFTSGATAALKLVGEGFPWSRESCYMYTMENHNSALGIREYALEKGATALAIDIEEVEYPSIQSKTHEPRFQFFKHSLQRRAEAKSSSSDLNGRTYNLFAFPSECNFSGRRFHLDLVNIIKDDPVKSLGGLLHGRGSWMVLIDAAKGCATEPLDLARYPADFVVFSFYKIFGYPTGLGALIVRNEAAKFLRKTYFSGGTVSASIADIDYIKRRDSIEQLLEDGTVSFLSIASIRHGFKIINTLTPYAIDRHTRSLATYVRNAMIDLRHENGRSVCRLYGRDLTKVSLHDVGPTITFNLKRADGSWFGYREVEKIASLSGIQLRTGCFCNPGACAKYLGLSHSDLLSNIEAGHVCWDDYDILNGKPTGAVRISFGYMSTFEDAEKFLRFIVSYFVMKPKFLEDRYLLKTKEFPFVEIGGRYLQTNVHLKSITIYPIKSCAGFSMSCWPLSSTGLLYDREWLLKGSNGEILTQKKVPEMCCIETFVDLGQRKLHVESPRCNEKLQISLNENAEYGLNEEMDVYGQRYKVQNYDGKVNTWFTKAISRPCTLVRCSSSNYRHCLRKGGREDLCRDLQCQLNFVNEAQLLLISEDSVSDLNDRLKRNSRGVTTEFYEPAPIDTMRFRPNLVISGAKSYAEDNWASVQIGEAHFVSLGGCNRCQMINLHTKNGVIQKSKEPLATLASYRRTQGKILFGILLRYENGNVENGKGDYEYEAQENRWLQVGQEVIARPLEAKHDS >DRNTG_14033.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27555968:27556647:-1 gene:DRNTG_14033 transcript:DRNTG_14033.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MEKEEFMAQFGEGYGYPNAPKNIDEIRATEFKRLQGLVYLDHAGATLYSESQIEAVGKDLTSNVFGNPHSLSDCSITTSDFITAARQQVCVSLLSKS >DRNTG_14033.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27542919:27548618:-1 gene:DRNTG_14033 transcript:DRNTG_14033.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MSCWPLSSTGLLYDREWLLKGSNGEILTQKKVPEMCCIETFVDLGQRKLHVESPRCNEKLQISLNENAEYGLNEEMDVYGQRYKVQNYDGKVNTWFTKAISRPCTLVRCSSSNYRHCLRKGGREDLCRDLQCQLNFVNEAQLLLISEDSVSDLNDRLKRNSRGVTTEFYEPAPIDTMRFRPNLVISGAKSYAEDNWASVQIGEAHFVSLGGCNRCQMINLHTKNGVIQKSKEPLATLASYRRTQGKILFGILLRYENGNVENGKGDYEYEAQENRWLQVGQEVIARPLEAKHDS >DRNTG_14033.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27542919:27553288:-1 gene:DRNTG_14033 transcript:DRNTG_14033.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MIDLRHENGRSVCRLYGRDLTKVSLHDVGPTITFNLKRADGSWFGYREVEKIASLSGIQLRTGCFCNPGACAKYLGLSHSDLLSNIEAGHVCWDDYDILNGKPTGAVRISFGYMSTFEDAEKFLRFIVSYFVMKPKFLEDRYLLKTKEFPFVEIGGRYLQTNVHLKSITIYPIKSCAGFSMSCWPLSSTGLLYDREWLLKGSNGEILTQKKVPEMCCIETFVDLGQRKLHVESPRCNEKLQISLNENAEYGLNEEMDVYGQRYKVQNYDGKVNTWFTKAISRPCTLVRCSSSNYRHCLRKGGREDLCRDLQCQLNFVNEAQLLLISEDSVSDLNDRLKRTDSRGVTTEFYEPAPIDTMRFRPNLVISGAKSYAEDNWASVQIGEAHFVSLGGCNRCQMINLHTKNGVIQKSKEPLATLASYRRTQGKILFGILLRYENGNVENGKGDYEYEAQENRWLQVGQEVIARPLEAKHDS >DRNTG_14033.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27554760:27556647:-1 gene:DRNTG_14033 transcript:DRNTG_14033.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MEKEEFMAQFGEGYGYPNAPKNIDEIRATEFKRLQGLVYLDHAGATLYSESQIEAVGKDLTSNVFGNPHSLSDCSITTSDFITAARQQVLDYFNASPKDYTCIFTSGATAALKLVGEGFPWSRESCYMYTMENHNSALGIREYALEKGATALAIDIEEVEYPSIQSKTHEPRFQFFKHSLQRRAEAKSSSSDLNGRTYNLFAFPSECNFSGRRFHLDLVNIIKDDPVKSLGGLLHGR >DRNTG_14033.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27542799:27545939:-1 gene:DRNTG_14033 transcript:DRNTG_14033.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MRFRPNLVISGAKSYAEDNWASVQIGEAHFVSLGGCNRCQMINLHTKNGVIQKSKEPLATLASYRRTQGKILFGILLRYENGNVENGKGDYEYEAQENRWLQVGQEVIARPLEAKHDS >DRNTG_06692.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3376813:3378193:-1 gene:DRNTG_06692 transcript:DRNTG_06692.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFPYKVMIEITKIRRVNPCESTSNPNRKYIQIVTEDEYEFWFMGFICYQKIFKYLEKAIAEA >DRNTG_06692.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3376813:3378193:-1 gene:DRNTG_06692 transcript:DRNTG_06692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIGIPVNSVTSSAVEFQQSKSNGNVNKIMNWMRKFSRKAENYMQGIIEHVNLGAKFSETVKGKLSLGAKVIQAGGVKRVFRQVFHVQKDEKLLKASQCYLSTTAGPIAGLLFISTQKIAFLSDRPLTFSSSGGMVRFPYKVMIEITKIRRVNPCESTSNPNRKYIQIVTEDEYEFWFMGFICYQKIFKYLEKAIAEA >DRNTG_18546.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4483830:4484817:-1 gene:DRNTG_18546 transcript:DRNTG_18546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHLALLCITFLFIFPFSSSSSIPIRSEYEVRLLFEGWLVTLNKSYKDSSEKQKRYEIFKDNLRYIDERNAGNHTFTLGLNVFADITVEEYRATYLGTLPPSPSLHMVWDETIETDESDDHYFNVTNTPDSIDWRDLGAVTPVQNQGKCFSCWAFTVLATVEAINQIKTGNLISLSKQQLVDCHKKSCQAHQLHLTYEYIIKNGGVDTDKDYPYEAKLKKCDTTKESNKVVSIDAYKMVPQSNEFALMQAVARQPIAVAVEGYGKDFQLYTK >DRNTG_02381.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7716440:7719861:-1 gene:DRNTG_02381 transcript:DRNTG_02381.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g49170, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G49170) UniProtKB/Swiss-Prot;Acc:Q5G1T1] MGANRNLVSWTTMISSAARSNMERTAIGMFCEMLELGFIPNEYTFCSVIQACSSSEFVWIGLVVLGFGIKTGFWQEEVSVGSALIDMFAKNGDLGSARKVFDGMLVRNLVVWTLMITRYAQFGCGEKAIELFVDMCLDGHEPDPFSMSSVLAACAEVGSLHVGKQLHSLAIRIGLALDVCVGCSLVSMYAKCVFGLMDDSRRVFEGMPAHNVMSWTALIAGYVQSGHDEEALELFGDMIREGEIQPNHFTYSSILKACANLSEANIGEQVYGHVVKLGLTIVNFIGNSLVTMYAKSGRMDEARKAFEMLYEKNLVSYNAIVDGYVKNSNSEQAFELIHQIQGSDFGASAFTFASLLSAAASIGIMGKGQQLHAQLLKSGYEYDTCISNALVSMYSKCGNIEDAVQAFQEMEDRNTISWTSMITGLAKHGHADKSS >DRNTG_06784.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19856905:19857141:1 gene:DRNTG_06784 transcript:DRNTG_06784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIYAHWVLNVSQVKVKFVAPPDLYKMLYPNEYDADFQRMCHIYHTFNKFVMDITTKEVKALSGGNSVTFAFCILMQ >DRNTG_20592.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3205692:3207376:1 gene:DRNTG_20592 transcript:DRNTG_20592.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKRSRAKQGGSSLFCPVNFKDSGAAMSPTSILETKHFSRPVGLGIVDALTDDEGHGNPLNQDSRMVLFGSKLKIQVPSSINGPFGSPPSSPIEFGIKTKTSQLALFSPARRSPTPEILMRSLSSKEIELSEDYTCVISHGPNPRTTHIFDDCIIETCGDGFIASRKENALTVDAAAAAAAAAATTASSTCDDFLSFCYACNKALGQGKDTFMYRGEKAFCSHECRNQEMLFEEELEKFSRNSSC >DRNTG_08491.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6384993:6415414:1 gene:DRNTG_08491 transcript:DRNTG_08491.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAAVLREWFQRVDSNGTGNITAPQLKSALAVGNLDFSISIVQQMIRMYDFDRNGTMNFEGSKCLCFTGQGLWISLN >DRNTG_19344.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5235064:5237255:-1 gene:DRNTG_19344 transcript:DRNTG_19344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLEGTKSCHISIFLCSALLLLGVLGPIPAHGRLQIKQKPNPDNAVATARWLVSQNLWGVLSTISSELGGVPFGNVVSFSDGLPGEGHGIPYFYLTALDPTARDALKNMSSSLTLSEVPLGSCGKIDPENPTCAKLTLNGKLKLVDVKTPEGKFALSALFAKHAEMKNWPKEHNFQIFKLDIEHIFLIDWFGGPKPLTPYQYFNPGK >DRNTG_20722.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17872483:17875586:1 gene:DRNTG_20722 transcript:DRNTG_20722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTSSIPGRYKNGRRRKKKGSRIAEVAVFVPCLRVPVAVDLQQGLRGIVGREVVERIMQRRSRIVLLAQENVLEALEGILEVQRALEDYLPVLIGLIEKERRLEALVEFKWRSLGDNGQEICLASAWYELLSVIHMMAILSLLEANQLLIPKDCVDARERKVSEDAKKVAVDLLLKASGFLEYCIHHILVHLPIHIRRNLPKDLQEGVLEAVSIQALGQGVEMQLGLAMECDKATLPVKRRLACEEVIYFAQAHYCLSGCENSDANGKKLLLFLKYKYLEAKSAAYYYHGLVLGKGSEPNDQVSSVWCHFAANDLLIESKRACLSFCLAKPLTRVPAAWGVMKHLQKKIPETASKKSQMYGDLIEQDKAYQTLPDLPEFTLSLKPDDYELPEIAEFWVGDKSQPQIQMLKEHLKDDDDDDTFEPIEDLNL >DRNTG_00037.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21644334:21645294:-1 gene:DRNTG_00037 transcript:DRNTG_00037.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANRWWGGSGGMGGVDPVVSSSLQPPSLDLLNQQQLQHLTHLGPLRRDQDPNQTTTQSSGSHNNNNNPNDEDDSGGNGNVDDQNTAGGSASLDLTETGGSNPNSAGRRPRGRPAGSKNKPKPPIIITRDSPNALRSHVLEISSGTDIMDAMLTFARRRQRGVCILSGSGVVTNVSLRQPGAPGAVVTLQGRFEILSLAGAFLPAPSPPGATGLTVYLAGGQGQVVGGSVMGELVASGPVLVIVATFSNATYERLPLEDDAPGGEQQVSADGIALEQGLGGSGDG >DRNTG_00037.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21643668:21645294:-1 gene:DRNTG_00037 transcript:DRNTG_00037.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRWWGGSGGMGGVDPVVSSSLQPPSLDLLNQQQLQHLTHLGPLRRDQDPNQTTTQSSGSHNNNNNPNDEDDSGGNGNVDDQNTAGGSASLDLTETGGSNPNSAGRRPRGRPAGSKNKPKPPIIITRDSPNALRSHVLEISSGTDIMDAMLTFARRRQRGVCILSGSGVVTNVSLRQPGAPGAVVTLQGRFEILSLAGAFLPAPSPPGATGLTVYLAGGQGQVVGGSVMGELVASGPVLVIVATFSNATYERLPLEDDAPGGEQQVSADGIALEQGLGGSGDGGGGGGGGGGDLGTDPSSLPMYNLLPNLMPNGQLPPEMFAAWPPRPQSYQ >DRNTG_00037.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21644334:21645351:-1 gene:DRNTG_00037 transcript:DRNTG_00037.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRWWGGSGGMGGVDPVVSSSLQPPSLDLLNQQQLQHLTHLGPLRRDQDPNQTTTQSSGSHNNNNNPNDEDDSGGNGNVDDQNTAGGSASLDLTETGGSNPNSAGRRPRGRPAGSKNKPKPPIIITRDSPNALRSHVLEISSGTDIMDAMLTFARRRQRGVCILSGSGVVTNVSLRQPGAPGAVVTLQGRFEILSLAGAFLPAPSPPGATGLTVYLAGGQGQVVGGSVMGELVASGPVLVIVATFSNATYERLPLEDDAPGGEQQVSADGIALEQGLGGSGDG >DRNTG_12377.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1835783:1838061:-1 gene:DRNTG_12377 transcript:DRNTG_12377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKGSRLKHYNAVGDVREPNKLDEPLLGVPKPDNPPSTFKRLCSSCFQAMETHGRVEFPCAHGVVL >DRNTG_27031.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2546260:2546589:-1 gene:DRNTG_27031 transcript:DRNTG_27031.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEREWYFFSMRDRKYPTGLRTNRATNAGYWKTTGKDKEIFHCGAMVGMKKTLVFYKGRAPKGEKTNWVMHEYRHQTKFPYRPTKVIFIHAHDKCISIYIYIYVP >DRNTG_27031.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2546260:2547106:-1 gene:DRNTG_27031 transcript:DRNTG_27031.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATLPPGFRFHPTDEELITYYLTHKVSDFGFIARAIAEVDLNKCEPWDLPEKANMGEREWYFFSMRDRKYPTGLRTNRATNAGYWKTTGKDKEIFHCGAMVGMKKTLVFYKGRAPKGEKTNWVMHEYRHQTKFPYRPTKVIFIHAHDKCISIYIYIYVP >DRNTG_27031.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2545476:2547106:-1 gene:DRNTG_27031 transcript:DRNTG_27031.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATLPPGFRFHPTDEELITYYLTHKVSDFGFIARAIAEVDLNKCEPWDLPEKANMGEREWYFFSMRDRKYPTGLRTNRATNAGYWKTTGKDKEIFHCGAMVGMKKTLVFYKGRAPKGEKTNWVMHEYRHQTKFPYRPTKEEWVVCRVFKKNSNGKRPTSLTESPTNTNSLSDVGELDVSIFNNLVNNSSSFEAVQTNENNKHKYGNKEMDLSMCMNWFGRDALSSSPFPWSSNLLGSNFSSSPTVMKPWPSIATLSSFMAQDHATSSKASDCAAQQAFDHQDSIWRGGY >DRNTG_02267.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:957288:960020:1 gene:DRNTG_02267 transcript:DRNTG_02267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFHGAPEMQSESLQTLYLMNPGYVGYADTAAPANMVLLNPSLNSINPMSNQPHQHLVGIPLQHNTTTTTPPPAAIPSPDSNPRLHYNLWTPAPTNNSIDISFGARRNSTMPLAQQGLSLSLSPQQTVYRYVPEPDVPSPLPEITEEVRVSGGGTAATTSASVTSNCMPSLQNVLMGSKFLKVAQQLLDEVVSVGKGFVKNDIGMVKAGGSKNSMKAHRESEDNCEGEASMKRGCDLSTAERQELQMKKAKLVNMLDEVEQRYRQYHHQVQVVVSSFEAVAGPGSARTYTALALQTISKQFRCLRDAISGQIKATSKNLGEDECLVGSKSEGGSRLRFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEETKEQEHNNGEDQKTSKSEGNENSATNQESNINSPTRTDQTESIKSNGPSISASVANQAVYNDSEAMMHQPKLKKARINHELAISGDHNQNGYSLIAAAAGTVNHISGFDAYQVGDYTPRFSGNGVALTLGLPHCDSISLSGGGAQQAYHLSTESINPSSEFCSLNNNNSNQTVVHASNAYENMNMQNRKRFAAQLLPDFVA >DRNTG_19572.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2012184:2016215:-1 gene:DRNTG_19572 transcript:DRNTG_19572.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHSDHCFSFFASFLRRGLPSVALSVRYASSSSVGLPMDPARVLRTLLSSRQFTKASQVFLSFTSPSISDPSLLDSMLLCYCKLRDLPRAQSLFNSIIQLGTLPSLASYGTLLRLLCVKEQSSHALSLFFRMAKAGVLPPASSYHVLITRLCSEGYLNEACFLFDVMLGDGIRPSLPLLKFLTYGFCKWHRMLEAERVFRLMKSHGFVLDRKLCTAMIHGYFREGRISLALDLFKELKERIDCEPDVCLYTTMIHGFLKFNFVDEGWELFHEMVGCGLQPNVVTFNTMISWYCKNSDVDSALALLDTMKSYGLTPNLHCYTAVITALCRAKRLVEVEKWFEKMLDCGLIPDDRMFQLLIKNLPFDHMSWTMGKVLDHLSRNGCNISVSRFVRLCTSDSDEELQREVRLLFDEMAGNNIISLKVVLHILLGSVCSLGKFNIAHLLMENMVDHGSAPSISHYNFLMTCLCKEDRIDDAYSLLCLMRSRGVLPDLATHSIVINFHCKRGDIDLALIAFDEMIQQGFRLPVDVYNSIIRSLCKAGRMMEAQLTFDRMLQAGIMPDKGIYTALINGYSKMGKIVDARYLFDEMVCRDIRLSSHAYNALINGLVKTNMFRMAGKYLHMMLENGFVPDTVLYNMLINQFFKKGDVRFGLDLFALMVRNQVEPDIITFGAVINGICRNVSRHEKMKLSLAVKLEEARCLLFKLLSRNTIVPGKLTQNVRCGTTKEKIELAIEYILHLPDVGLVPDLYIYTGMINGFCRANMRNNVNALIDSMDKAGVVINHVACTILIGAHINSGEIDCATELFNQMNRNGCMADNVTLDTLIKGYSIAERGMEALSLFYMMRKRGFFPSKSSCHRLLDCLCLSHASDLAFRLFEEMVLLGYMEEESLQAAHKTFDVMLKRGKTPDNEAKKQLLNVCYKHGEYDLAFVIDKNIPVYEVLPEG >DRNTG_19572.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2012184:2059699:-1 gene:DRNTG_19572 transcript:DRNTG_19572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSDHCFSFFASLLRRGLPSVALSVRYASSSSVGLPMDPARVLRTLLSSRQFTKASQVFLSFTSPSISDTSLLDSMLLCYCKLRDLPGAQSHFNSIIQLGTLPSLASYGTLLRLLCVKEQVSHALSLFFRMAKAGVLPPASSYHVLITWLCSEGYLNEACFLFDVMLGDGIRPSHPLLKSLAYGFCKRQRMLDAERVCRLMKLHGFVLDCKLCTAMIHGYVREGKINLALDLFKELKERIGCELDVYLYNTIINGLLKFNFVDEGWELFHEMVGCGLKPNVVTFNTMISWYCKNSDVDSALALLDTMKSYGLTPNLHCYTAVITTLCRAKRLVEVEKWFEKMLDCGLIPDDSMFQLLIKNLPFDHMSWMMGKVLDHLSRNGCNISVSRFVRLCTSDSDEELQRGVRLLFDEMAGNNIISLKVVLHILLGSVCSLGKFNIAHLLLENMVDHGSAPSISHYNFLMTCLCKEDRIDDAYSLLCLMRSRGVLPDLATHSIVINFHCKRGDIDLALIAFDEMIQQGFRLPVDVYNSIIRSLCKAGRMMEAELTFDRMLQAGIMPDKGIYTALINSYSKMGKIVDARYLFDEMVCRDIRPSSHAYNALINGLVKTNMFRMAGKYLHMMLEDGFVPNTVLYTMLINQFLKKGDVRFGLDLFALMVRNQVEPNIITFGAVINGICRNVSRHEKMKLSLAVKLEEARCLLFKLLSRNTIVPGKLTQNVRCGTTKEKIELAIEYILHLPDVGLVPDLYIYTGMINGFCRANMRNNVNALIDSMDKAGVVINHVACTILIGAHINSGEIDCATELFNQMNRNGCMADNVTLDTLIKGYSIAERGMEALSLFYMMRKRGFFPSKSSCHRLLDCLCLSHASDLAFRLFEEMVLLGYMEEESLQAAHKTFDVMLKRGKTPDNEAKKQLLNVCYKHGEYDLAFVIDKNIPVYEVLPEG >DRNTG_19572.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2012184:2016215:-1 gene:DRNTG_19572 transcript:DRNTG_19572.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHSDHCFSFFASFLRRGLPSVALSVRYASSSSVGLPMDPARVLRTLLSSRQFTKASQVFLSFTSPSISDPSLLDSMLLCYCKLRDLPRAQSLFNSIIQLGTLPSLASYGTLLRLLCVKEQSSHALSLFFRMAKAGVLPPASSYHVLITRLCSEGYLNEACFLFDVMLGDGIRPSLPLLKFLTYGFCKWHRMLEAERVFRLMKSHGFVLDRKLCTAMIHGYFREGRISLALDLFKELKERIDCEPDVCLYTTMIHGFLKFNFVDEGWELFHEMVGCGLQPNVVTFNTMISWYCKNSDVDSALALLDTMKSYGLTPNLHCYTAVITALCRAKRLVEVEKWFEKMLDCGLIPDDRMFQLLIKNLPFDHMSWTMGKVLDHLSRNGCNISVSRFVRLCTSDSDEELQREVRLLFDEMAGNNIISLKVVLHILLGSVCSLGKFNIAHLLMENMVDHGSAPSISHYNFLMTCLCKEDRIDDAYSLLCLMRSRGVLPDLATHSIVINFHCKRGDIDLALIAFDEMIQQGFRLPVDVYNSIIRSLCKAGRMMEAQLTFDRMLQAGIMPDKGIYTALINGYSKMGKIVDARYLFDEMVCRDIRLSSHAYNALINGLVKTNMFRMAGKYLHMMLENGFVPDTVLYNMLINQFFKKGDVRFGLDLFALMVRNQVEPDIITFGAVINGICRNVSRHEKMKLSLAVKLEEARCLLFKLLSRNTIVPGKLTQNVRCGTTKEKIELAIEYILHLPDVGLVPDLYIYTGMINGFCRANMRNNVNALIDSMDKAGVVINHVACTILIGAHINSGEIDCATELFNQMNRNGCMADNVTLDTLIKGYSIAERGMEALSLFYMMRKRGFFPSKSSCHRLLDCLCLSHASDLAFRLFEEMVLLGYMEEESLQAAHKTFDVMLKRGKTPDNEAKKQLLNVCYKHGEYDLAFVIDKNIPVYEVLPEG >DRNTG_19572.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2055645:2059699:-1 gene:DRNTG_19572 transcript:DRNTG_19572.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSDHCFSFFASLLRRGLPSVALSVRYASSSSVGLPMDPARVLRTLLSSRQFTKASQVFLSFTSPSISDTSLLDSMLLCYCKLRDLPGAQSHFNSIIQLGTLPSLASYGTLLRLLCVKEQVSHALSLFFRMAKAGVLPPASSYHVLITWLCSEGYLNEACFLFDVMLGDGIRPSHPLLKSLAYGFCKRQRMLDAERVCRLMKLHGFVLDCKLCTAMIHGYVREGKINLALDLFKELKERIGCELDVYLYNTIINGLLKFNFVDEGWELFHEMVGCGLKPNVVTFNTMISWYCKNSDVDSALALLDTMKSYGLTPNLHCYTAVITTLCRAKRLVEVEKWFEKMLDCGLIPDDSMFQLLIKNLPFDHMSWMMGKVLDHLSRNGCNISVSRFVRLCTSDSDEELQRGVRLLFDEMAGNNIISLKVVLHILLGSVCSLGKFNIAHLLLENMVDHGSAPSISHYNFLMTCLCKEDRIDDAYSLLCLMRSRGVLPDLATHSIVINFHCKRGDIDLALIAFDEMIQQGFRLPVDVYNSIIRSLCKAGRMMEAELTFDRMLQAGIMPDKGIYTALINSYSKMGKIVDARYLFDEMVCRDIRPSSHAYNALINGLVKTNMFRMAGKYLHMMLEDGFVPNTVLYTMLINQFLKKGDVRFGLDLFALMVRNQVEPNIITFGAVINGICRNVSRHEKMKLSLAVKLEEARCLLFKLLSRNTFVPGKLTQNVRCGTTKEKIELAMEYILHLPDVGLVPDLHIYNGMINGFCRANMRNNVNALIDSMDKAGVVINQVACTILIGAHINSGEIDCATELFNQMNRNGCMADNVTLDTLIKGYSIAERGMEALSLFYMMRKRGFFPSKSSCHRLLDCLCLSHASDLAFRLFEEMVLLGYTPQHDKYNKLLFMLLEEESFQAAHKTFDMMLKRGKTPDNEAKKQLLNVCYKHGEYDLAFVIDKNIPVYEVLPEG >DRNTG_19572.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2055645:2059699:-1 gene:DRNTG_19572 transcript:DRNTG_19572.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSDHCFSFFASLLRRGLPSVALSVRYASSSSVGLPMDPARVLRTLLSSRQFTKASQVFLSFTSPSISDTSLLDSMLLCYCKLRDLPGAQSHFNSIIQLGTLPSLASYGTLLRLLCVKEQVSHALSLFFRMAKAGVLPPASSYHVLITWLCSEGYLNEACFLFDVMLGDGIRPSHPLLKSLAYGFCKRQRMLDAERVCRLMKLHGFVLDCKLCTAMIHGYVREGKINLALDLFKELKERIGCELDVYLYNTIINGLLKFNFVDEGWELFHEMVGCGLKPNVVTFNTMISWYCKNSDVDSALALLDTMKSYGLTPNLHCYTAVITTLCRAKRLVEVEKWFEKMLDCGLIPDDSMFQLLIKNLPFDHMSWMMGKVLDHLSRNGCNISVSRFVRLCTSDSDEELQRGVRLLFDEMAGNNIISLKVVLHILLGSVCSLGKFNIAHLLLENMVDHGSAPSISHYNFLMTCLCKEDRIDDAYSLLCLMRSRGVLPDLATHSIVINFHCKRGDIDLALIAFDEMIQQGFRLPVDVYNSIIRSLCKAGRMMEAELTFDRMLQAGIMPDKGIYTALINSYSKMGKIVDARYLFDEMVCRDIRPSSHAYNALINGLVKTNMFRMAGKYLHMMLEDGFVPNTVLYTMLINQFLKKGDVRFGLDLFALMVRNQVEPNIITFGAVINGICRNVSRHEKMKLSLAVKLEEARCLLFKLLSRNTFVPGKLTQNVRCGTTKEKIELAMEYILHLPDVGLVPDLHIYNGMINGFCRANMRNNVNALIDSMDKAGVVINQVACTILIGAHINSGEIDCATELFNQMNRNGCMADNVTLDTLIKGYSIAERGMEALSLFYMMRKRGFFPSKSSCHRLLDCLCLSHASDLAFRLFEEMVLLGYTPQHDKYNKLLFMLLEEESFQAAHKTFDMMLKRGKTPDNEAKKQLLNVCYKHGEYDLAFVIDKNIPVYEVLPEG >DRNTG_19572.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2012184:2016215:-1 gene:DRNTG_19572 transcript:DRNTG_19572.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHSDHCFSFFASFLRRGLPSVALSVRYASSSSVGLPMDPARVLRTLLSSRQFTKASQVFLSFTSPSISDPSLLDSMLLCYCKLRDLPRAQSLFNSIIQLGTLPSLASYGTLLRLLCVKEQSSHALSLFFRMAKAGVLPPASSYHVLITRLCSEGYLNEACFLFDVMLGDGIRPSLPLLKFLTYGFCKWHRMLEAERVFRLMKSHGFVLDRKLCTAMIHGYFREGRISLALDLFKELKERIDCEPDVCLYTTMIHGFLKFNFVDEGWELFHEMVGCGLQPNVVTFNTMISWYCKNSDVDSALALLDTMKSYGLTPNLHCYTAVITALCRAKRLVEVEKWFEKMLDCGLIPDDRMFQLLIKNLPFDHMSWTMGKVLDHLSRNGCNISVSRFVRLCTSDSDEELQREVRLLFDEMAGNNIISLKVVLHILLGSVCSLGKFNIAHLLMENMVDHGSAPSISHYNFLMTCLCKEDRIDDAYSLLCLMRSRGVLPDLATHSIVINFHCKRGDIDLALIAFDEMIQQGFRLPVDVYNSIIRSLCKAGRMMEAQLTFDRMLQAGIMPDKGIYTALINGYSKMGKIVDARYLFDEMVCRDIRLSSHAYNALINGLVKTNMFRMAGKYLHMMLENGFVPDTVLYNMLINQFFKKGDVRFGLDLFALMVRNQVEPDIITFGAVINGICRNVSRHEKMKLSLAVKLEEARCLLFKLLSRNTIVPGKLTQNVRCGTTKEKIELAIEYILHLPDVGLVPDLYIYTGMINGFCRANMRNNVNALIDSMDKAGVVINHVACTILIGAHINSGEIDCATELFNQMNRNGCMADNVTLDTLIKGYSIAERGMEALSLFYMMRKRGFFPSKSSCHRLLDCLCLSHASDLAFRLFEEMVLLGYMEEESLQAAHKTFDVMLKRGKTPDNEAKKQLLNVCYKHGEYDLAFVIDKNIPVYEVLPEG >DRNTG_19572.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2055645:2059699:-1 gene:DRNTG_19572 transcript:DRNTG_19572.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSDHCFSFFASLLRRGLPSVALSVRYASSSSVGLPMDPARVLRTLLSSRQFTKASQVFLSFTSPSISDTSLLDSMLLCYCKLRDLPGAQSHFNSIIQLGTLPSLASYGTLLRLLCVKEQVSHALSLFFRMAKAGVLPPASSYHVLITWLCSEGYLNEACFLFDVMLGDGIRPSHPLLKSLAYGFCKRQRMLDAERVCRLMKLHGFVLDCKLCTAMIHGYVREGKINLALDLFKELKERIGCELDVYLYNTIINGLLKFNFVDEGWELFHEMVGCGLKPNVVTFNTMISWYCKNSDVDSALALLDTMKSYGLTPNLHCYTAVITTLCRAKRLVEVEKWFEKMLDCGLIPDDSMFQLLIKNLPFDHMSWMMGKVLDHLSRNGCNISVSRFVRLCTSDSDEELQRGVRLLFDEMAGNNIISLKVVLHILLGSVCSLGKFNIAHLLLENMVDHGSAPSISHYNFLMTCLCKEDRIDDAYSLLCLMRSRGVLPDLATHSIVINFHCKRGDIDLALIAFDEMIQQGFRLPVDVYNSIIRSLCKAGRMMEAELTFDRMLQAGIMPDKGIYTALINSYSKMGKIVDARYLFDEMVCRDIRPSSHAYNALINGLVKTNMFRMAGKYLHMMLEDGFVPNTVLYTMLINQFLKKGDVRFGLDLFALMVRNQVEPNIITFGAVINGICRNVSRHEKMKLSLAVKLEEARCLLFKLLSRNTFVPGKLTQNVRCGTTKEKIELAMEYILHLPDVGLVPDLHIYNGMINGFCRANMRNNVNALIDSMDKAGVVINQVACTILIGAHINSGEIDCATELFNQMNRNGCMADNVTLDTLIKGYSIAERGMEALSLFYMMRKRGFFPSKSSCHRLLDCLCLSHASDLAFRLFEEMVLLGYTPQHDKYNKLLFMLLEEESFQAAHKTFDMMLKRGKTPDNEAKKQLLNVCYKHGEYDLAFVIDKNIPVYEVLPEG >DRNTG_02762.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11696610:11698894:-1 gene:DRNTG_02762 transcript:DRNTG_02762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDFGSRQSISHPIGNSTQSNEIESPNPKGSFPNEHAIEEGAGDHIESTIQSGRLKSVVWSHFKKTRVDEEDKAQCNYC >DRNTG_15023.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23790375:23791111:1 gene:DRNTG_15023 transcript:DRNTG_15023.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain amino acid aminotransferase like protein [Source:Projected from Arabidopsis thaliana (AT5G57850) UniProtKB/TrEMBL;Acc:Q0WW83] MAKIGLPFDRSTIRNILINTVSASKCTQGSLRYWLSVGPGDFQLSSSGCPKPALYAIVIEGRSLPDQKGVRVITSSIPMKSPEFAIMKNVNYLPNALSKVEAEENGAFVGIWLDNEGYVAEGPNMNVAFVTADKELMMPHFDKILSGCTAKRVLVLAEKLIGEGMIDGIRLGNVSVEQGKMADEMMLIGSGIIVKPVLQWDKHIIGDGMIF >DRNTG_11693.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:369531:370351:1 gene:DRNTG_11693 transcript:DRNTG_11693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPPPPSDLVDISLGRRSEPSISPSIVSVIRREEQKGK >DRNTG_11693.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:369531:370287:1 gene:DRNTG_11693 transcript:DRNTG_11693.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPPPPSDLVDISLGRRSEPSISPSIVSVIRREEQKGK >DRNTG_12154.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12010008:12032300:1 gene:DRNTG_12154 transcript:DRNTG_12154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSERSTSRATAQEDEDEDEEEEEEEEEEAPSHLPLAPSSESLDLSTTIDPSYIISLIRQLLPCNVKGETNDAKDCEEPKINDAVVDGQHGTPEIVDPWEDCGCILWDLAVNKSHAEFMVNNFLLDVLLGTLNISKSPRVTEICLGIIGNLACHDALIDAIVSTNGLVETVVNQLLLDDSLCLSETFRLLTVGLQGRRSASWSEALKDEQILLHILWIVGNTLNSTLLEKSIEFLLAIIDNQEVANILLQPLTKSGLPTSLVDLLSCEIGKLRSRNKLERPTALELILCAIEGLSAAHNSSESVSSNEQLFHLVCDVVKLSDKFEIASSCVSAVIIIANMLTDSENLASGISRDFTFLHGLLDILPFVTDDLQARNALWSILARLLVQVVENDLSPSTLCHFASVFSQKSSLIEEDLAGHSMQNFEEIDSTNMSKTSDATVDAVKKIVQILEKLMENSQVCDGVASRGDDGSSFRRLLKFCRKYTI >DRNTG_05945.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19776006:19777103:-1 gene:DRNTG_05945 transcript:DRNTG_05945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETTAFGRRTRNRRPKTNSRAEALERLKAIRKRRSDPSGAAPSYQIKMEAPIFDTVPEEEYAALMVRHREETRDFIVDDDGLGYADEDLEEEWSRPPDSFSSDEGYPPSNDGLKPRNKWNTKKEPIPKKPQPSSLSAALVGKESLPSMFTSSVFKKYKRTKGMGLPSDNIVDEVLAEFAPDETDREESRRRHMIYGGRCSFTPAQNSSELPLAAADQKKDDQTELVKEEEVLGPKLDSESSGRVAEMKDVNEEIKAEAAGSEIVGLGALLDSDGSLPFYVIDASEEPFGANAGTLYLFGK >DRNTG_09560.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22624155:22625994:-1 gene:DRNTG_09560 transcript:DRNTG_09560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHSKNPIKLTPFLLPSMRGWFLGVWLRVLGVELMCSMPCRCTARANFWHRGDPFSPVIRRFSELGWIRLITSKHHLALLLLLDSQVFESRRGFKAMRKQMVSPGSCRLLPLLLIIDGE >DRNTG_09560.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22624022:22625994:-1 gene:DRNTG_09560 transcript:DRNTG_09560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHSKNPIKLTPFLLPSMRGWFLGVWLRVLGVELMCSMPCRCTARANFWHRGDPFSPVIRRFSELGWIRLITSKHHLALLLLLDSQVFESRRGFKAMRKQMVSPGSCRLLPLLLIIDGE >DRNTG_09560.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22624155:22626154:-1 gene:DRNTG_09560 transcript:DRNTG_09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHSKNPIKLTPFLLPSMRGWFLGVWLRVLGVELMCSMPCRCTARANFWHRGDPFSPVIRRFSELGWIRLITSKHHLALLLLLDSQVFESRRGFKAMRKQMVSPGSCRLLPLLLIIDGE >DRNTG_09560.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22624297:22625994:-1 gene:DRNTG_09560 transcript:DRNTG_09560.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHSKNPIKLTPFLLPSMRGWFLGVWLRVLGVELMCSMPCRCTARANFWHRGDPFSPVIRRFSELGWIRLITSKHHLALLLLLDSQVFESRRGFKAMRKQMVSPGSCRLLPLLLIIDGE >DRNTG_09560.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22624022:22625935:-1 gene:DRNTG_09560 transcript:DRNTG_09560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHSKNPIKLTPFLLPSMRGWFLGVWLRVLGVELMCSMPCRCTARANFWHRGDPFSPVIRRFSELGWIRLITSKHHLALLLLLDSQVFESRRGFKAMRKQMVSPGSCRLLPLLLIIDGE >DRNTG_09560.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22624297:22625935:-1 gene:DRNTG_09560 transcript:DRNTG_09560.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHSKNPIKLTPFLLPSMRGWFLGVWLRVLGVELMCSMPCRCTARANFWHRGDPFSPVIRRFSELGWIRLITSKHHLALLLLLDSQVFESRRGFKAMRKQMVSPGSCRLLPLLLIIDGE >DRNTG_09560.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22624155:22625935:-1 gene:DRNTG_09560 transcript:DRNTG_09560.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHSKNPIKLTPFLLPSMRGWFLGVWLRVLGVELMCSMPCRCTARANFWHRGDPFSPVIRRFSELGWIRLITSKHHLALLLLLDSQVFESRRGFKAMRKQMVSPGSCRLLPLLLIIDGE >DRNTG_04862.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:6768879:6770216:-1 gene:DRNTG_04862 transcript:DRNTG_04862.1 gene_biotype:protein_coding transcript_biotype:protein_coding GCDLFVAAEEHGQQGKKKKNKKNKYRGVRQRPWGKWAAEIRDPSQAVRKWLGTFNTEEEAARAYDSAAIRVSGTPGRSSTFLFLIKKLPIQTKSVRLRKGCRVRRGRRKRGIGMGCRICLLWIVELGSC >DRNTG_15192.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5932047:5932531:1 gene:DRNTG_15192 transcript:DRNTG_15192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRRAQQQTVHSNKFIPLLLCTAMIHGYFSRASEGKQRRKRETRKRSCEGMKA >DRNTG_24798.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31923731:31925083:-1 gene:DRNTG_24798 transcript:DRNTG_24798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIAVSRSFLISLNSQMLVSCWAKNRHVSSGPVRYVPGSHGQEKEGPRPSQLDASAMKDPILMRTRQWKEEKNDLYDSELQQNPFDAECWNVKQSNMCELSVEESCVLNDSTDANLDFMSTDTDESEPILEEEQNAVGNLIIKCSEVDYKKTRQDVENLAIELLCRKVW >DRNTG_22494.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2581730:2582586:1 gene:DRNTG_22494 transcript:DRNTG_22494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGTFETAEEAAKAYDEAAFLLRGSNTRTNFITHSCSNSLLASRIHNLLHLKKLNNIDTNSSNNTPPSQTTTTTTITTTTTTTTSSSSENASPLEEVMGKEESVMMNGNEDVYRPSFENIGEEFYVEVGSSSCSVFDHDHEFELDIKSGDALCELSEFDRMKVERQISASLYAMNGVHEYLEAVTTDHSSSYDQMWDLPPLCHLFFRSS >DRNTG_27638.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18693526:18697278:1 gene:DRNTG_27638 transcript:DRNTG_27638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEETQKVEASAPPATEVAKDVAEEKAVIPPPSQEKPEQPDDSKALAVVEKVADPPAEKSSGGSADRDAVLARVETEKRMSLIKAWEDSEKTKAENKAIKKMSAVTSWENSKKAALEAELKMIEEKLEKKKAEYAEKMKNRVAMIHKAAEEKRAMTEAKRGEEILKAEELAAKYRATGLAPTKIFGCFGP >DRNTG_13056.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:869369:873089:-1 gene:DRNTG_13056 transcript:DRNTG_13056.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLIADEVNDSPIEQVRLTVPITDDPTIPCLTFRTWALGLSSCVLLSFVNQFFVYRTNPIGISSVCAQIVTLPLGKLMAATLPKKVFHVPMTNWSFSLNPGPFTLKEHVLITIFANAGAAGPYAVNIITIVKAFYGRGINAMAAMLLAQTTQVSSFFVSASNAGHQWQCAYKFDRKIFSCSVMAGLVCSGSTLLILLTCGGREFLFKCHSSGKQHQHLSSIDIRNKAQLAKNLVSLMKLRCRALHEDEKRPKGGLSRMQFFLIVFISSFAYYIIPNYFFPSLSALSFVCWIWKDSVTAQQIGSGLKGLGIGSFGLDWATVAAFLGSPLATPAFSIFNTLVGFIITVYVLLPITYWTNAYNAKRFPLITADVFDSDGKHYNISRILDPKTFSINYDEYDNYSKINLSVFFTYTYGLSFATLMASLTHAFLFYGKYAKLLILSYLSLFLDKKKVLA >DRNTG_13056.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:869369:873089:-1 gene:DRNTG_13056 transcript:DRNTG_13056.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIADEVNDSPIEQVRLTVPITDDPTIPCLTFRTWALGLSSCVLLSFVNQFFVYRTNPIGISSVCAQIVTLPLGKLMAATLPKKVFHVPMTNWSFSLNPGPFTLKEHVLITIFANAGAAGPYAVNIITIVKAFYGRGINAMAAMLLAQTTQVSSFFVSASNAGHQWQCAYKFDRKIFSCSVMAGLVCSGSTLLILLTCGGREFLFKCHSSGKQHQHLSSIDIRNKAQLAKNLVSLMKLRCRALHEDEKRPKGGLSRMQFFLIVFISSFAYYIIPNYFFPSLSALSFVCWIWKDSVTAQQIGSGLKGLGIGSFGLDWATVAAFLGSPLATPAFSIFNTLVGFIITVYVLLPITYWTNAYNAKRFPLITADVFDSDGKHYNISRILDPKTFSINYDEYDNYSKINLSVFFTYTYGLSFATLMASLTHAFLFWEMWRKAEKSTSNKFADVHTRIMKKNYRPVPQWWFGILLLIVLGLSMFTCEGFHKQLQLPFWGILLACAMAMIFMLPVGVHISQTLRRRESFEKSLLRRR >DRNTG_13056.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:869844:873089:-1 gene:DRNTG_13056 transcript:DRNTG_13056.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLIADEVNDSPIEQVRLTVPITDDPTIPCLTFRTWALGLSSCVLLSFVNQFFVYRTNPIGISSVCAQIVTLPLGKLMAATLPKKVFHVPMTNWSFSLNPGPFTLKEHVLITIFANAGAAGPYAVNIITIVKAFYGRGINAMAAMLLAQTTQVSSFFVSASNAGHQWQCAYKFDRKIFSCSVMAGLVCSGSTLLILLTCGGREFLFKCHSSGKQHQHLSSIDIRNKAQLAKNLVSLMKLRCRALHEDEKRPKGGLSRMQFFLIVFISSFAYYIIPNYFFPSLSALSFVCWIWKDSVTAQQIGSGLKGLGIGSFGLDWATVAAFLGSPLATPAFSIFNTLVGFIITVYVLLPITYWTNAYNAKRFPLITADVFDSDGKHYNISRILDPKTFSINYDEYDNYSKINLSVFFTYTYGLSFATLMASLTHAFLFYGKYAKLLILSYLSLFLDKKKVLA >DRNTG_13056.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:869369:873089:-1 gene:DRNTG_13056 transcript:DRNTG_13056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIADEVNDSPIEQVRLTVPITDDPTIPCLTFRTWALGLSSCVLLSFVNQFFVYRTNPIGISSVCAQIVTLPLGKLMAATLPKKVFHVPMTNWSFSLNPGPFTLKEHVLITIFANAGAAGPYAVNIITIVKAFYGRGINAMAAMLLAQTTQVSSFFVSASNAGHQWQCAYKFDRKIFSCSVMAGLVCSGSTLLILLTCGGREFLFKCHSSGKQHQHLSSIDIRNKAQLAKNLVSLMKLRCRALHEDEKRPKGGLSRMQFFLIVFISSFAYYIIPNYFFPSLSALSFVCWIWKDSVTAQQIGSGLKGLGIGSFGLDWATVAAFLGSPLATPAFSIFNTLVGFIITVYVLLPITYWTNAYNAKRFPLITADVFDSDGKHYNISRILDPKTFSINYDEYDNYSKINLSVFFTYTYGLSFATLMASLTHAFLFYGKYAKLLILSYLSLFLDKKKVLA >DRNTG_27392.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001396.1:12923:21121:-1 gene:DRNTG_27392 transcript:DRNTG_27392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKHYWTTQEDKVLIETLVELSTNTMWPGENGFKNGYLYQLEKMMKEKFPQTTLKAIPNIESHVKLFRSKTTEIADILAISSFTWDNNNNTIECEKSAYDEYVKTHKEATGLYGKSFPFFNDLTPVFAKDKAQGNARGDLGDDAEQYMHDSFAHMDYYENFAPLSSDNSFMSMDDHIYSSLPNLYDNCASSSIGRNRKNCVKEQNIALGKKLMNERKLLNDVIFNIHGLTEDEALMVMELELKKLYDTVTSIHDEMSSIFVKSLHLHRIKAPSSPPSSLPSSSQILLQSPPFPSISLPFNIVAHLRFLAPRSPNHSKGGFLVAYLLSTEVSPSGLILFFMASFQISVLLLEGSGDLSHSLTLSLSRSCQQFFHGERVPSSTSNLDDTIIVPPSPIRPTSTFHSSHGAMGELLCDAFNSRHDVHGGIEFNIGNVVNDVGEGQDIWGR >DRNTG_05737.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000266.1:27234:31502:-1 gene:DRNTG_05737 transcript:DRNTG_05737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLQRSFNLTLTFGVYLASLSSCLGQVFSRSKSHPMYMLWCFIFLIVGSLAHLPESS >DRNTG_09838.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13586103:13591665:1 gene:DRNTG_09838 transcript:DRNTG_09838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKVVCLRGASSYITSLLVTLLDHGYTVKATIRDLVDRVAHLAELVKLIHELYPTLQLPHNGGVMSMAARSGRWWLVAYRGDADG >DRNTG_26261.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21786361:21787836:-1 gene:DRNTG_26261 transcript:DRNTG_26261.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAGHETTGSVLTWTLYLLSKDPSALSRAQEEVGSVLQGRLPRYDDVKELKYLTRCIYESMRLYPHPPVLIRRAQTADVLPGNYKVNAGQDIMISVYNIHHSPQ >DRNTG_26261.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21788401:21789344:-1 gene:DRNTG_26261 transcript:DRNTG_26261.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVNMEEKFSQLTLDVIGLSLFNFNFDSLSSDSPVIDAVYTALKETEARSTDILPYWKIGFLCKIVPRQIKAERAVTIIRKTVEELIAKCKEMVEAEGERVDREDYVNE >DRNTG_26261.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21783708:21787836:-1 gene:DRNTG_26261 transcript:DRNTG_26261.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAGHETTGSVLTWTLYLLSKDPSALSRAQEEVGSVLQGRLPRYDDVKELKYLTRCIYESMRLYPHPPVLIRRAQTADVLPGNYKVNAGQDIMISVYNIHHSPQVWDRAEEFVPERFDLEGPIPNETNTDFRFIPFSGGPRKCIGDQFAMLEAIIALAIFLQHMNFDLVADQKIGMTTGATIHTTNGLYMTLSKKVAAEYASL >DRNTG_26261.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21783708:21785869:-1 gene:DRNTG_26261 transcript:DRNTG_26261.3 gene_biotype:protein_coding transcript_biotype:protein_coding VWDRAEEFVPERFDLEGPIPNETNTDFRFIPFSGGPRKCIGDQFAMLEAIIALAIFLQHMNFDLVADQKIGMTTGATIHTTNGLYMTLSKKVAAEYASL >DRNTG_26261.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21783708:21785502:-1 gene:DRNTG_26261 transcript:DRNTG_26261.4 gene_biotype:protein_coding transcript_biotype:protein_coding RFIPFSGGPRKCIGDQFAMLEAIIALAIFLQHMNFDLVADQKIGMTTGATIHTTNGLYMTLSKKVAAEYASL >DRNTG_26261.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21783708:21789252:-1 gene:DRNTG_26261 transcript:DRNTG_26261.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAGHETTGSVLTWTLYLLSKDPSALSRAQEEVGSVLQGRLPRYDDVKELKYLTRCIYESMRLYPHPPVLIRRAQTADVLPGNYKVNAGQDIMISVYNIHHSPQVWDRAEEFVPERFDLEGPIPNETNTDFRFIPFSGGPRKCIGDQFAMLEAIIALAIFLQHMNFDLVADQKIGMTTGATIHTTNGLYMTLSKKVAAEYASL >DRNTG_26261.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21788401:21789252:-1 gene:DRNTG_26261 transcript:DRNTG_26261.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKFSQLTLDVIGLSLFNFNFDSLSSDSPVIDAVYTALKETEARSTDILPYWKIGFLCKIVPRQIKAERAVTIIRKTVEELIAKCKEMVEAEGERVDREDYVNE >DRNTG_01557.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:8847783:8849743:-1 gene:DRNTG_01557 transcript:DRNTG_01557.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVPREGENSLAISGPRPGGEWATVPHSLQAPEPGGKQRTSSLESPIMLLTGHQSAIYTMKFNPAGTVIASGSHDKDIFLWYVHGDCKNFMVLRGHKNAALDLQWTNDGTQIISASPDKTLRAWDVETGKQIKKMAEHSSFVNSCCPSRRGPPLVVSGSDDGTAKLWDLRQRGAIQTFPDKYQITAVSFSDASDKIFTGGLDNDVKVWDLRRNEVTMTLQGHADMITGMQLSPDGSYLLTNGMDCSLRIWDMRPYAPQNRCVKIFTGHQHNFEKNLLKCNWSPDGSKVTAGSSDRMVYIWDTTSRRILYKLPGHNGSVNETVFHPTEPIIGSCSSDKQIYLGEL >DRNTG_01557.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:8847783:8850061:-1 gene:DRNTG_01557 transcript:DRNTG_01557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVPREGENSLAISGPRPGGEWATVPHSLQAPEPGGKQRTSSLESPIMLLTGHQSAIYTMKFNPAGTVIASGSHDKDIFLWYVHGDCKNFMVLRGHKNAALDLQWTNDGTQIISASPDKTLRAWDVETGKQIKKMAEHSSFVNSCCPSRRGPPLVVSGSDDGTAKLWDLRQRGAIQTFPDKYQITAVSFSDASDKIFTGGLDNDVKVWDLRRNEVTMTLQGHADMITGMQLSPDGSYLLTNGMDCSLRIWDMRPYAPQNRCVKIFTGHQHNFEKNLLKCNWSPDGSKVTAGSSDRMVYIWDTTSRRILYKLPGHNGSVNETVFHPTEPIIGSCSSDKQIYLGEL >DRNTG_01557.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:8847783:8849743:-1 gene:DRNTG_01557 transcript:DRNTG_01557.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVPREGENSLAISGPRPGGEWATVPHSLQAPEPGGKQRTSSLESPIMLLTGHQSAIYTMKFNPAGTVIASGSHDKDIFLWYVHGDCKNFMVLRGHKNAALDLQWTNDGTQIISASPDKTLRAWDVETGKQIKKMAEHSSFVNSCCPSRRGPPLVVSGSDDGTAKLWDLRQRGAIQTFPDKYQITAVSFSDASDKIFTGGLDNDVKVWDLRRNEVTMTLQGHADMITGMQLSPDGSYLLTNGMDCSLRIWDMRPYAPQNRCVKIFTGHQHNFEKNLLKCNWSPDGSKVTAGSSDRMVYIWDTTSRRILYKLPGHNGSVNETVFHPTEPIIGSCSSDKQIYLGEL >DRNTG_01557.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:8847783:8849743:-1 gene:DRNTG_01557 transcript:DRNTG_01557.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVPREGENSLAISGPRPGGEWATVPHSLQAPEPGGKQRTSSLESPIMLLTGHQSAIYTMKFNPAGTVIASGSHDKDIFLWYVHGDCKNFMVLRGHKNAALDLQWTNDGTQIISASPDKTLRAWDVETGKQIKKMAEHSSFVNSCCPSRRGPPLVVSGSDDGTAKLWDLRQRGAIQTFPDKYQITAVSFSDASDKIFTGGLDNDVKVWDLRRNEVTMTLQGHADMITGMQLSPDGSYLLTNGMDCSLRIWDMRPYAPQNRCVKIFTGHQHNFEKNLLKCNWSPDGSKVTAGSSDRMVYIWDTTSRRILYKLPGHNGSVNETVFHPTEPIIGSCSSDKQIYLGEL >DRNTG_12867.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18358822:18361685:-1 gene:DRNTG_12867 transcript:DRNTG_12867.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of G-protein signaling 1 [Source:Projected from Arabidopsis thaliana (AT3G26090) UniProtKB/Swiss-Prot;Acc:Q8H1F2] MVLLFFSISVSQPLLSQISLRRRDLEFPTMGQALGIPGSGSINQKATISTDLSQPLEKLLADKRFLLSFMAFADSCLAGESIHFYDEVSELSNIPVTDPVTRVYMARHIIEKYIDSGAEMEVNISHKTRQEILNTLDIAHTDLFNHATNEIIHLMKTVKYYLLSFPLNVFFVFFHHLLIHRTW >DRNTG_12867.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18358822:18365566:-1 gene:DRNTG_12867 transcript:DRNTG_12867.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of G-protein signaling 1 [Source:Projected from Arabidopsis thaliana (AT3G26090) UniProtKB/Swiss-Prot;Acc:Q8H1F2] MASCAIRGGCPSDYLAVAFSVLSITLLFARASFPFLVHRDANAKSSGFWLVIIQIIGSFNLLLSLVMSVNYFTWNKQRMWQSCYIWAVWVEGPFGFGLLLSCRIVQAFKLYHVFVKRRFLPVRSRVLLPLIILPWIGGAAFIHISKPLNHHCHMSSQWVVPIVCIHGFYIAVLIGVTMAVRHIEFKFHEFKDLLRGIIVSSIAVGLWIAAYILNDVHEDILWVQVASRFFLLVTASIMVLLFFSISVSQPLLSQISLRRRDLEFPTMGQALGIPGSGSINQKATISTDLSQPLEKLLADKRFLLSFMAFADSCLAGESIHFYDEVSELSNIPVTDPVTRVYMARHIIEKYIDSGAEMEVNISHKTRQEILNTLDIAHTDLFNHATNEIIHLMKTNLVNDYWSSVYSVRFKEETLRQHDSYKLEQMVWDFSPRLSSVQCSDDPFQQDYINRCSSARKSNVLDMDSR >DRNTG_12867.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18358822:18365566:-1 gene:DRNTG_12867 transcript:DRNTG_12867.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of G-protein signaling 1 [Source:Projected from Arabidopsis thaliana (AT3G26090) UniProtKB/Swiss-Prot;Acc:Q8H1F2] MASCAIRGGCPSDYLAVAFSVLSITLLFARASFPFLVHRDANAKSSGFWLVIIQIIGSFNLLLSLVMSVNYFTWNKQRMWQSCYIWAVWVEGPFGFGLLLSCRIVQAFKLYHVFVKRRFLPVRSRVLLPLIILPWIGGAAFIHISKPLNHHCHMSSQWVVPIVCIHGFYIAVLIGVTMAVRHIEFKFHEFKDLLRGIIVSSIAVGLWIAAYILNDVHEDILWVQVASRFFLLVTASIMVLLFFSISVSQPLLSQISLRRRDLEFPTMGQALGIPGSGSINQKATISTDLSQPLEKLLADKRFLLSFMAFADSCLAGESIHFYDEVSELSNIPVTDPVTRVYMARHIIEKYIDSGAEMEVNISHKTRQEILNTLDIAHTDLFNHATNEIIHLMKTVKYYLLSFPLNVFFVFFHHLLIHRTW >DRNTG_12867.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18358822:18359628:-1 gene:DRNTG_12867 transcript:DRNTG_12867.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of G-protein signaling 1 [Source:Projected from Arabidopsis thaliana (AT3G26090) UniProtKB/Swiss-Prot;Acc:Q8H1F2] MARHIIEKYIDSGAEMEVNISHKTRQEILNTLDIAHTDLFNHATNEIIHLMKTVKYYLLSFPLNVFFVFFHHLLIHRTW >DRNTG_11195.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2148923:2151360:1 gene:DRNTG_11195 transcript:DRNTG_11195.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVLELEGTVLTLDESEKQVGDEIVTLLQKERRFSSDSNDHNELEVFHRAALRLGINSSRAALTERRALKKLIEKSRAEEDKRKESIVEYLLYLMRKYTKLFRSEVADDTDSQGSGPCSPTVQGTLEGVSSHACKGGQIFERQLSRLGSFNFKPIGLKSGNAPIPPEELRCPISLQLMYDPVIISSGQTYERACIEKWFDDGHSTCPKTQQQLPHLSITPNYCVKGLIASWCEQNGVPTPDGPPESLDLNYWRLALSDRDPTDSRSTVSTDSCNLKSVKVVPSEDSGVMDEFKADEMSTIEDSSAQDSQVDEFEKYENLLAVLYNDKCRAKQCEVVEQLRFLLKDDEEARIYMGANGFVEALVQFLTSSINEGDTKAQAIGAMALFNLAVNNNRNKESMLSSGIIPLLEEMIRSTGTYEPATALYLNLSCLDKAKPIISSSPATPFLVQLLQSDSSKSSNICKHDALYTLYNLSTHPANIPSLLNSNIINGLHSLLTETSSPCSWAEKSLAVLINLTSNTSAKKHIITTPGLIGGIAAVLDTGEPTEQEQAVSCLLNLCEDDDKCCHMVLQEGVIPSLVSMSANGSTRGKEKAQNLLKLFREQRQRESSPVRCQELTVETHGTYDDNVGVTNDLKPLPKSRSKRLGRALTSMWKTKNPQSS >DRNTG_11195.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2150497:2151360:1 gene:DRNTG_11195 transcript:DRNTG_11195.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGIIPLLEEMIRSTGTYEPATALYLNLSCLDKAKPIISSSPATPFLVQLLQSDSSKSSNICKHDALYTLYNLSTHPANIPSLLNSNIINGLHSLLTETSSPCSWAEKSLAVLINLTSNTSAKKHIITTPGLIGGIAAVLDTGEPTEQEQAVSCLLNLCEDDDKCCHMVLQEGVIPSLVSMSANGSTRGKEKAQNLLKLFREQRQRESSPVRCQELTVETHGTYDDNVGVTNDLKPLPKSRSKRLGRALTSMWKTKNPQSS >DRNTG_11195.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2147493:2151360:1 gene:DRNTG_11195 transcript:DRNTG_11195.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGKLSEFVSRVLEIFPVLEAARPRSKSGIQALCSLHVALDKSKNLLRHCSDCSKLYLAITGDSILLKFEKARLALVESLKRVEDIVPQAIGCQIMNIVLELEGTVLTLDESEKQVGDEIVTLLQKERRFSSDSNDHNELEVFHRAALRLGINSSRAALTERRALKKLIEKSRAEEDKRKESIVEYLLYLMRKYTKLFRSEVADDTDSQGSGPCSPTVQGTLEGVSSHACKGGQIFERQLSRLGSFNFKPIGLKSGNAPIPPEELRCPISLQLMYDPVIISSGQTYERACIEKWFDDGHSTCPKTQQQLPHLSITPNYCVKGLIASWCEQNGVPTPDGPPESLDLNYWRLALSDRDPTDSRSTVSTDSCNLKSVKVVPSEDSGVMDEFKADEMSTIEDSSAQDSQVDEFEKYENLLAVLYNDKCRAKQCEVVEQLRFLLKDDEEARIYMGANGFVEALVQFLTSSINEGDTKAQAIGAMALFNLAVNNNRNKESMLSSGIIPLLEEMIRSTGTYEPATALYLNLSCLDKAKPIISSSPATPFLVQLLQSDSSKSSNICKHDALYTLYNLSTHPANIPSLLNSNIINGLHSLLTETSSPCSWAEKSLAVLINLTSNTSAKKHIITTPGLIGGIAAVLDTGEPTEQEQAVSCLLNLCEDDDKCCHMVLQEGVIPSLVSMSANGSTRGKEKAQNLLKLFREQRQRESSPVRCQELTVETHGTYDDNVGVTNDLKPLPKSRSKRLGRALTSMWKTKNPQSS >DRNTG_11195.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2146948:2151360:1 gene:DRNTG_11195 transcript:DRNTG_11195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAEVEENLFAAGDAKLHAEMCGKLSEFVSRVLEIFPVLEAARPRSKSGIQALCSLHVALDKSKNLLRHCSDCSKLYLAITGDSILLKFEKARLALVESLKRVEDIVPQAIGCQIMNIVLELEGTVLTLDESEKQVGDEIVTLLQKERRFSSDSNDHNELEVFHRAALRLGINSSRAALTERRALKKLIEKSRAEEDKRKESIVEYLLYLMRKYTKLFRSEVADDTDSQGSGPCSPTVQGTLEGVSSHACKGGQIFERQLSRLGSFNFKPIGLKSGNAPIPPEELRCPISLQLMYDPVIISSGQTYERACIEKWFDDGHSTCPKTQQQLPHLSITPNYCVKGLIASWCEQNGVPTPDGPPESLDLNYWRLALSDRDPTDSRSTVSTDSCNLKSVKVVPSEDSGVMDEFKADEMSTIEDSSAQDSQVDEFEKYENLLAVLYNDKCRAKQCEVVEQLRFLLKDDEEARIYMGANGFVEALVQFLTSSINEGDTKAQAIGAMALFNLAVNNNRNKESMLSSGIIPLLEEMIRSTGTYEPATALYLNLSCLDKAKPIISSSPATPFLVQLLQSDSSKSSNICKHDALYTLYNLSTHPANIPSLLNSNIINGLHSLLTETSSPCSWAEKSLAVLINLTSNTSAKKHIITTPGLIGGIAAVLDTGEPTEQEQAVSCLLNLCEDDDKCCHMVLQEGVIPSLVSMSANGSTRGKEKAQNLLKLFREQRQRESSPVRCQELTVETHGTYDDNVGVTNDLKPLPKSRSKRLGRALTSMWKTKNPQSS >DRNTG_22534.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3608599:3611542:-1 gene:DRNTG_22534 transcript:DRNTG_22534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDITDEICKDDTLIFEDMEIDPNELELSQHQEDGGSQQLLHGQELAKSPQSQDEQKSERIPFVGMEFHDEEEAYRFYLDYAKSRGFGIRRGHMYRLSHSQLITCRHFVCDKEGSKCMKDKRQQEKIVHRRKDTRTNCQSRMVVSKMKSGLWTIKTFGDAHNHDLLTSPSKVMKMRSHHHISDICKSLMEALHKSRVGPSQMSRILNETLSDTGCAQITREDCSNHLRAVRSNNIGQECMAIVKYFKEKKLNDDFFFFDMELDEFGQTRSVFWADGRCRIAYSEFGDVVFDTTYQTNRFCFPFAPFVGVNHHKQSILFGCALIADEKEESFLWVFETWMKCMLGKHPQTIITDQDLAMGKAIAKVFPNSGHRLCSWHIGRNSMKYLVDLKSKEGFLGDYNSWLHRSASIEAFESKWGELKATYNIDDKHWLSKMYEIRHKWVFLYWQNIFTAGMTSTQRSESINSFFDGFVNSQTPLDEFVMQYDKALCARRNDEENEDFKTLNSMPNFHTGHPIERHAGEVYTRAVFNIFQAELRESDSMLAERIRDGTDHAKYKICNHIVIFRRDDLEDGEPIATCSCKKFETEGVLCCHILKIFKKKEVAKIPKNYILRRWSMVARNRSNVMMVDISNNAFTPLMKWNAQNMCFRIAQSISSLEMYEKIMPKLNDIFK >DRNTG_07521.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19213179:19213939:-1 gene:DRNTG_07521 transcript:DRNTG_07521.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGERRSLQGRSLKCPIPRNKSNETSSSNC >DRNTG_34054.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26905452:26907555:-1 gene:DRNTG_34054 transcript:DRNTG_34054.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIATYFRYVSCVVGCPVEGAVPPSKVAYVAKELYDMGCSEISLGDTIGVGTPGTVIPMLEAVISIVPVENLAVHFHDTYGQSLSNILVSLQMGISTVDSAIAGLGGCPYAKGASGNVATEDVVYMLNGLGIKTNVDLNKLMLAGDFICKHLGRQSGSKTAIALSRITAPVSKL >DRNTG_34054.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26905452:26914619:-1 gene:DRNTG_34054 transcript:DRNTG_34054.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIQNVHVARFPVLTPNIKGFEAAVAAGAKEVAVFASASESFSMSNINCSIADSLARYQDVALAAKNLAIPIRGYVSCVVGCPVEGAVPPSKVAYVAKELYDMGCSEISLGDTIGVGTPGTVIPMLEAVISIVPVENLAVHFHDTYGQSLSNILVSLQMGISTVDSAIAGLGGCPYAKGASGNVATEDVVYMLNGLGIKTNVDLNKLMLAGDFICKHLGRQSGSKTAIALSRITAPVSKL >DRNTG_28368.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2366951:2369874:-1 gene:DRNTG_28368 transcript:DRNTG_28368.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVVSAEIPKNADSSDEALLSSYLGLSFAVFLGLLPRGSISYVTSLQSRARVLSLKLFHAEDQLRQLRSRRAEDSKANARVVEIFAGHRLAWHREERRLRDLLGASSSEIAALKERVDELERSEADLRVSVETLQREVSEREEMLEFMARGAEGDDFVEKEEIREELGEYSGDFTGASAPEGLDPGVPEVEEMAMPYGKQMNCYAGDFSMPLSGFQDNQYDSLDSMYHTKHFVARRESPWKVDGDSTGVSAKLKSLDQELSNLEKVGKGDLSKIPSLLRKQAKRYQSLTGKIDDLCRKMQTSDPCEPTLSPEFRTQRQTEFLLEAFRLQNRATKTRQKLNLLEAEATKSYLGDELVGEAKVSTKRSLDSIRINFKDIQRNLEIWLARIMGDLEGILARDGMSRVRDYYLSQLSRDPFVR >DRNTG_27270.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22447857:22450042:1 gene:DRNTG_27270 transcript:DRNTG_27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAKEKRKIEKMEFQRRDEEMKTKVCESGIGEWEGARKSSPLLPRDGACSS >DRNTG_25418.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24613834:24615286:-1 gene:DRNTG_25418 transcript:DRNTG_25418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSWIPATCPLSLVPAPLRRTSIAAIPAGKEAAAAVATEFDFKGYMLSKAAGVNRALDLAVPLAEPRRIHEAMRYSLLAGGKRVRPVLCIAACELAGGHESVAMPPALAVEMIHTMSLIHDDLPCMDDDDLRRGQPTCHRAFGEPVAVLAGDALLALAFRTLSNPQSYPSNLVVPPSRIVNAVAELARCVGSEGLVAGQVVDIESTGLAEPVPIDRLEYIHLHKTAALLEASVVIGAIVGGGSDSQVERLRRYARCIGLLFQVVDDILDVTKSSHELGKTAGKDLASDKTTYPKLMGLEKSKEFAEELNRDAKQQLEDFDREKAAPLVHLANYIAYRQK >DRNTG_33912.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8721295:8728491:1 gene:DRNTG_33912 transcript:DRNTG_33912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMESSRRSAVDRSREPGLKKPRLAEDVPNRDRSVPQPRGGPPTDPRLRVGEREREEPSRAAIGAYQQQQELVSQYKTALSELTFNSKPIITNLTIIAGENLHAAKAIASTVCNNILEVPSEQKLPSLYLLDSIVKNIGRDYIKHFAARLPEVFCKAYKHVDPSIHPGMRHLFGTWKGVFPAGPLQIIEKELGFAATVNGSSGATVSRPDSETQRQPHGIHVNPKYLEARHRLQQSARAKEINRGDMTSAMNSMDNAERSDRMAISGSSKQWADQPVKMPNTQRSQREQLSEPVPENKAYIDYEFSSNYSRPDSRVGRVNEAVKERDAIDKPWYGNAGITVSKTLGNKRNGVDVNQSYGKFIESGATLTDHQLRPLHFNEANKGSGVISKSWKNSEEEEYLWDMHSRSTGYGEASGLMKGGQDIDDTKPVSMLRRKWMPRETEHLDSRQNQLEALSQYVKSSISDDTVPPSRGAEDSFLPARQQADPDPRIKMESPSDTLPLRRTSLGHTPLRPLQEPHSSVMGLDHISSRIAGQSETQPTSLDSSLQRSGRLMHSIPPSIGPITNVVSRSRGTFGQALQSLRPSSPSRYMLPSSAHLQQQNSPSSADSEQLQSLSSSQGIQKPLQLSGNLNRVHASASPDSFQSHGVPNPQALAPQSQYLQSASTSPATFLQSRLHLPLLVPSNSDQLVQQTQAQSSHSSTPMQKQPPLPQGQSDTSSLLTGIMKSGLLPTTSSSNPHDLRPPLPSGPPPIHVLTSSGPSVIPSSASLPMPHTNVPNLTSSSMRGVLPPLPPGPPPQSSLLSSSKSSSAVSGTSNPLSSLLTSLVAKGLISSPASEVPTVTSQIHEKSSDKISNDDSSNSLVACSTSASSKGSAPPMDNHSGPEPSAPVNVAFPVLDTGLNDLIGLDFKAEVIREHHPSVISGLFDDLKQQCNKCGLRFRLKEQLNHHLDKHSSEKPKRSSFESISRKWYADLTVWISRSTGQPSGPASSVSFAEDIPVRKDYEPMVTADESQCICLFCGEPFEDFYCEEKDEWMYKGTMYLNEPEALGNKGSMDEGFRQVPIVHAKCAATSAGNRTDVPDHNEMSWTSS >DRNTG_33912.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8721295:8728491:1 gene:DRNTG_33912 transcript:DRNTG_33912.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMESSRRSAVDRSREPGLKKPRLAEDVPNRDRSVPQPRGGPPTDPRLRVGEREREEPSRAAIGAYQQQQELVSQYKTALSELTFNSKPIITNLTIIAGENLHAAKAIASTVCNNILEVPSEQKLPSLYLLDSIVKNIGRDYIKHFAARLPEVFCKAYKHVDPSIHPGMRHLFGTWKGVFPAGPLQIIEKELGFAATVNGSSGATVSRPDSETQRQPHGIHVNPKYLEARHRLQQSARAKEINRGDMTSAMNSMDNAERSDRMAISGSSKQWADQPVKMPNTQRSQREQLSEPVPENKAYIDYEFSSNYSRPDSRVGRVNEAVKERDAIDKPWYGNAGITVSKTLGNKRNGVDVNQSYGKFIESGATLTDHQLRPLHFNEANKGSGVISKSWKNSEEEEYLWDMHSRSTGYGEASGLMKGGQDIDDTKPVSMLRRKWMPRETEHLDSRQNQLEALSQYVKSSISDDTVPPSRGAEDSFLPARQQADPDPRIKMESPSDTLPLRRTSLGHTPLRPLQEPHSSVMGLDHISSRIAGQSETQPTSLDSSLQRSGRLMHSIPPSIGPITNVVSRSRGTFGQALQSLRPSSPSRYMLPSSAHLQQQNSPSSADSEQLQSLSSSQGIQKPLQLSGNLNRVHASASPDSFQSHGVPNPQALAPQSQYLQSASTSPATFLQSRLHLPLLVPSNSDQLVQQTQAQSSHSSTPMQKQPPLPQGQSDTSSLLTGIMKSGLLPTTSSSNPHDLRPPLPSGPPPIHVLTSSGPSVIPSSASLPMPHTNVPNLTSSSMRGVLPPLPPGPPPQSSLLSSSKSSSAVSGTSNPLSSLLTSLVAKGLISSPASEVPTVTSQIHEKSSDKISNDDSSNSLVACSTSASSKGSAPPMDNHSGPEPSAPVNVAFPVLDTGLNDLIGLDFKAEVIREHHPSVISGLFDDLKQQCNKCGLRFRLKEQLNHHLDKHSSEKPKRSSFESISRKWYADLTVWISRSTGQPSGPASSVSFAEDIPVRKDYEPMVTADESQCICLFCGEPFEDFYCEEKDEWMYKGTMYLNEPEALGNKGSMDEGFRQVPIVHAKCAATSAGNRTDVPDHNEMSWTSS >DRNTG_33912.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8721295:8722159:1 gene:DRNTG_33912 transcript:DRNTG_33912.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDMESSRRSAVDRSREPGLKKPRLAEDVPNRDRSVPQPRGGPPTDPRLRVGEREREEPSRAAIGAYQQQQELVSQYKTALSELTFNSKPIITNLTIIAGENLHAAKAIASTVCNNILEVTSWVLLQLL >DRNTG_33912.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8721295:8728491:1 gene:DRNTG_33912 transcript:DRNTG_33912.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDMESSRRSAVDRSREPGLKKPRLAEDVPNRDRSVPQPRGGPPTDPRLRVGEREREEPSRAAIGAYQQQQELVSQYKTALSELTFNSKPIITNLTIIAGENLHAAKAIASTVCNNILEVPSEQKLPSLYLLDSIVKNIGRDYIKHFAARLPEVFCKAYKHVDPSIHPGMRHLFGTWKGVFPAGPLQIIEKELGFAATVNGSSGATVSRPDSETQRQPHGIHVNPKYLEARHRLQQSARAKEINRGDMTSAMNSMDNAERSDRMAISGSSKQWADQPVKMPNTQRSQREQLSEPVPENKAYIDYEFSSNYSRPDSRVGRVNEAVKERDAIDKPWYGNAGITVSKTLGNKRNGVDVNQSYGKFIESGATLTDHQLRPLHFNEANKGSGVISKSWKNSEEEEYLWDMHSRSTGYGEASGLMKGGQDIDDTKPVSMLRRKWMPRETEHLDSRQNQLEALSQYVKSSISDDTVPPSRGAEDSFLPARQQADPDPRIKMESPSDTLPLRRTSLGHTPLRPLQEPHSSVMGLDHISSRIAGQSETQPTSLDSSLQRSGRLMHSIPPSIGPITNVVSRSRGTFGQALQSLRPSSPSRYMLPSSAHLQQQNSPSSADSEQLQSLSSSQGIQKPLQLSGNLNRVHASASPDSFQSHGVPNPQALAPQSQYLQSASTSPATFLQSRLHLPLLVPSNSDQLVQQTQAQSSHSSTPMQKQPPLPQGFGAQLSGGFSSMSFSNNPAVDVTGQSDTSSLLTGIMKSGLLPTTSSSNPHDLRPPLPSGPPPIHVLTSSGPSVIPSSASLPMPHTNVPNLTSSSMRGVLPPLPPGPPPQSSLLSSSKSSSAVSGTSNPLSSLLTSLVAKGLISSPASEVPTVTSQIHEKSSDKISNDDSSNSLVACSTSASSKGSAPPMDNHSGPEPSAPVNVAFPVLDTGLNDLIGLDFKAEVIREHHPSVISGLFDDLKQQCNKCGLRFRLKEQLNHHLDKHSSEKPKRSSFESISRKWYADLTVWISRSTGQPSGPASSVSFAEDIPVRKDYEPMVTADESQCICLFCGEPFEDFYCEEKDEWMYKGTMYLNEPEALGNKGSMDEGFRQVPIVHAKCAATSAGNRTDVPDHNEMSWTSS >DRNTG_02064.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000104.1:11982:12691:1 gene:DRNTG_02064 transcript:DRNTG_02064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGTKKSRIHYSGPLMPPGGNIDDMLKEHERQIQQAVRKARLDKDKTKKHFG >DRNTG_09328.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1033761:1034091:1 gene:DRNTG_09328 transcript:DRNTG_09328.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEGNPAQRNVGDEQLKKYLLSLLPSLVYFNKQTIRASSSKEVASLQFERELRTSHKNTRRTHGSGLHKGSAASSSSHALGSLLKHASSKGRHEHSVSARTKPANHLRNIN >DRNTG_22730.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31576766:31578342:-1 gene:DRNTG_22730 transcript:DRNTG_22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEADHIDCDLLMDGCGDGDEGKVLEWEIGLPSADDLTPLSQGLITPELASAFSITPEPCRTILDVIRASQSTMSSLQRNSTSSSSSTSVLKSFAPFPSSDAHDQMVLDGDDPVNSKDGETEPSQKARRMDSTVMEDGDSSAIRQDNSIDEHSARTLKRPRLVWTPQLHKRFVDVVAHLGIKSAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSNEGPSSSDHLFASTPVPQSLREQQPVPVPVPMPMPYAVPTMFPMPYGMQHAHHQGHNPVAMVPVNNHHGGGAYQGFDHYGAFGDRGKDCSGGNNFGNIVSYPHATTNDK >DRNTG_32857.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32180942:32184027:-1 gene:DRNTG_32857 transcript:DRNTG_32857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSGVSGSAPGFDSDRGVAAPERRMEELLNARTAALEWSTRCLAGADPDLLYYVPCLRHAATPLLRLRAPRHQLNRHHCSLRRLRSIHLHSLSYPTASSQVSLDSFLSNRAKLFGIFKE >DRNTG_32121.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1371316:1373460:1 gene:DRNTG_32121 transcript:DRNTG_32121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHAGTGNKNAFKALIAAEYSGVKVELVKNFEMGVSNKTPEFIKMNPMGKVPVLETPDGPVFESNAIARYVVRSRADNPLHGSSLIEYAHIEQWIDFATTEIDQSIAHWYYPRLGYLPYLPPAEEAAKAALKRALGALNVHLAANTYLVGHSITLADIIMTCNLYVGFARVMTKSFTSEFPHVERYFWTLVNQPNFLKVIGEVK >DRNTG_10935.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:99420:101590:1 gene:DRNTG_10935 transcript:DRNTG_10935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEVEEGESLISEVAEKPAENPNPRSVKTKVPEVEVHLFRSGKGPIDVFRSGLSGWEQNQLEVQDILDKYGFKSLYAFNTSSGRGVPIRFNARNGRSILTYTDGSVIYVDGEPKDSMVKPVTKIFIGVAVLTLLIAVLVKETPQWFKNSWFKNAKFPPWVLACAVIVFTRMRKRTKDMLKKFGW >DRNTG_31286.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1151191:1152162:1 gene:DRNTG_31286 transcript:DRNTG_31286.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIYEYMPNGSLWEALHGKLAGRLLVDWVSRYNIATGIAQGMAYLHHDCHPPVIHRDVKSNNILLDTNLDARIADFGLAKMLLRKNETVSVIAGSYGYIAPEYGYTLKVDQKSDIYSFGVVLMELVTGKRPVEPEFGEGQDIVGWVREKLSKREVEDVLDQSVGGQCKHVQEEMMLVLRIAVLCSARLPKDRPSMRDVLTMLGEAKPRRKSSSASVVSNVAGKDKPVFSTSPESEFI >DRNTG_31846.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21409790:21412801:-1 gene:DRNTG_31846 transcript:DRNTG_31846.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIPAAIQNENLPIHRGKGVEGAKTDLSKTVRTKSQGRVALRDLSKASKPAPLGPTKGSTLKEKPKAHDGGTIKNTSKTSFLTDEEIKHCQEWAKEGIEEIPFTGNDQRKQEQEHMEERVRKEVETVLSSLREWMDLAYGFGMPHEEAELDDSMDLLKMQLEPEEHPPFARYCSYSGSFITMYCTMLPVIHSHI >DRNTG_31846.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21409790:21412801:-1 gene:DRNTG_31846 transcript:DRNTG_31846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIPAAIQNENLPIHRGKGVEGAKTDLSKTVRTKSQGRVALRDLSKASKPAPLGPTKGSTLKEKPKAHDGGTIKNTSKTSFLTDEEIKHCQEWAKEGIEEIPFTGNDQRKQEQEHMEERVRKEVETVLSSLREWMDLAYGFGMPHEEAELDDSMDLLKMQLEPEEHPPFARYCSYSGNEEIEDVSLEPEFDNYFPYTDHTFEMKLKEEYDSDIVFG >DRNTG_05453.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:12292557:12293711:1 gene:DRNTG_05453 transcript:DRNTG_05453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGSTREETSTPVILKKVLRKMKRAQRRHRKRPKTVGDVHEPRKLDEPLLERAQGRVAAPVDDHATGARPWVISTRACAFLQSWAGGISARACGRLDIFLR >DRNTG_24744.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2276198:2279625:1 gene:DRNTG_24744 transcript:DRNTG_24744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSAVGPLIPAKTIAQTPDPSYHAAAKKRRQKRLRSSVLPGGGAYGGRRSGPGTPLLRWKFDNGDRQGEVAPEVGGKGRRKKKSCDGAPAVSARRLAAGLWKLHLPEFASGGGEGRRGQVVSEHFPGHMQNKSATIHGSSAFHACGRNELLSPMSVHNTENFMLQKLDGSAALANSVMEKATKWDPGCTKASDEVYRFYSHLKLLEDQQITTVSVVSTLQAELEQARTQIAELEAERKVAKKKMDHFFRKVEEEKASWRSKEHRKIRAILDDMKIDLNRERKSRQRMEIMNSKLVNELAEAKLSAKRFMQDYEKERKNRILMEEVCDELAKEMGEDKAEVDALKRESLMAREEVEEEKKMLQTAEIWREERVQMKLVDAKLTLEEKYAQLSKLQADLEAFLKERRNTNLDEGNIKEADALVKAVSSVGIQDIKELTYQPPPASEDIFSVFEELQPREDANEIEIQPCCESRPASHASKVHTVSPDINGLLGKTKYNSRYVNGVVDRNDDAEDDSGWETVSHIEEQGSSFSPEGSDPSVNGFCQESNVSLSGTDWEDNGVPGKANSEISEVCSVGTKQSRKKVSSIVRLWKSSSGQINGENPKKISMEMINGRLSNGRMSNATTVSPERLSGEIGSSPRSLVQWSSPDSVNPPYIIRGMKGCIEWPRGTQNQSLKAKLLEARIESQKIQLKQVLKQKI >DRNTG_29988.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7495289:7498839:1 gene:DRNTG_29988 transcript:DRNTG_29988.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTVVWKNNSVSTTRSSLFDLLEGKEMVADDVMDAFVCILQKSMSVLPYRYKKHASITRPLALLMSKQGDDAHESTMAMIGDAAGNLHEVDIVILPIIMSGHFHVVVLDNDKQKYMHYSSCQSEEYDKNALEMRNLFDLCIDMELGESATAKFPLVHDTETPR >DRNTG_02992.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9107729:9112297:-1 gene:DRNTG_02992 transcript:DRNTG_02992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWCCVCLHGFEEGEEVSQVVSCRHFFHKECLGRWLGLSHRTCPLCRSQL >DRNTG_02992.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9109093:9112297:-1 gene:DRNTG_02992 transcript:DRNTG_02992.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWCCVCLHGFEEGEEVSQVVSCRHFFHKECLGRWLGLSHRTCPLCRSQL >DRNTG_29673.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:123687:124708:1 gene:DRNTG_29673 transcript:DRNTG_29673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAFSASSDQSPAPPDSSLIAQENKDGPVTSKEAPAPSEDKSLLADEPSEKTLELAAELFDQGSKAIEDGDFVEAVDCLSRALEIRVAHYGELAPECAN >DRNTG_29673.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:123687:124162:1 gene:DRNTG_29673 transcript:DRNTG_29673.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAFSASSDQSPAPPDSSLIAQENKDGPVTSKEAPAPSEDKSLLADEPSEKTLELAAELFDQGSKAIEDGDFVEAVDCLSRALEIRFPFLFTES >DRNTG_12913.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26503584:26504143:1 gene:DRNTG_12913 transcript:DRNTG_12913.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDDVMDAFVCIIQNSLRIVPYRYKKRVSITRPLVLFMSMQGDAHDTTMAIIGDAACNLHDVEIVILPIIMNGHFHVVVLDNDKQEYRHHSSCQSKKYDKDALEMRNLFELCIDMEFGESATAKYPLVHDTETPRQKTRKCRLCRLCDAVYRAVTRR >DRNTG_25963.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22406268:22408115:-1 gene:DRNTG_25963 transcript:DRNTG_25963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPKHIVMLPYMAQGHLIPIFHLAKLIEQRYPDHTITIVNTPLNIQKLQSSLPPNSNIHLIDLPFSSSNHGLPPNSENTGSLPAHQLPLLLLTTESFEPHFNLLISNMIKNGHRPVCIIADIFYGWTINIAKTHNIFHATYMPTGALGTAFFFSMWKHLPHKKTDSMEFNLPGFPPSFRLHRNRLSRFWRSSDGTDAVAMFYRKNIELSSISDAFLCNTVEEVETLGLQALRCNARDVPVYPVGPLVPLEGLITGKRLGEEFEACVQWLDSRSPCSVIYICFGSESNISASQMMALAKGLEASGKPFIWVIKPPFGFAMKGEFRDEWLPEGFEERMRASGQGLLVKTWAPQLEILGHKSTGVFVNHCGCNSLLESLSRGVPIISWPLAYDQFCVSKVMVEELGVCVELAKGVEDEVECAEVERVIGLVLDGEKGEEMKRKALKCMEMMREAMKDNGDVKGSSLTALDEFIKCVSVSRCSTRGICSKSTLSI >DRNTG_04940.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:14221740:14222483:-1 gene:DRNTG_04940 transcript:DRNTG_04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDIDKLMELEKKKVAVILCNLEKIFPPSFFDSMEHLIVHL >DRNTG_13646.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15792833:15793295:-1 gene:DRNTG_13646 transcript:DRNTG_13646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPLFVVRVPLSGLGYTSSDRCRRKLSHYPSSKRRSMSEP >DRNTG_22920.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:12164642:12174533:1 gene:DRNTG_22920 transcript:DRNTG_22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPCDCSPSVMAGIGNPNIGPITTLAPREGSQPNGSPLPKTFRSPENNPSPSIVP >DRNTG_31230.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001676.1:34892:35332:-1 gene:DRNTG_31230 transcript:DRNTG_31230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSKVNRMKSKHFGTRFSAISVIPANKASKEPPRACGKATRPHLRVLVSARPSARSRGPSARARNTRPLGWPAHMGAWAGRAPAWGPSQAPGQRTRARGPAMLPRGRPTMAKKP >DRNTG_12534.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21125617:21128723:-1 gene:DRNTG_12534 transcript:DRNTG_12534.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVKAQKTKAYFKRFQVKFKRRRAGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKNIIAQIVSASIAGDMVMAAAYAHELPRYGLEVGLTNYAAAYCTGLLLARRVLKMLEMDEEYQGNVEATGEDFSVEPAESRRPFRALLDVGLLRTTTGNRVFGALKGALDGGLDIPHSEKRFAGFKKDDKQLDAEAHRKYIFGDHVACYMRL >DRNTG_12534.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21125617:21128723:-1 gene:DRNTG_12534 transcript:DRNTG_12534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVKAQKTKAYFKRFQVKFKRRRAGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKNIIAQIVSASIAGDMVMAAAYAHELPRYGLEVGLTNYAAAYCTGLLLARRVLKMLEMDEEYQGNVEATGEDFSVEPAESRRPFRALLDVGLLRTTTGNRVFGALKGALDGGLDIPHSEKRFAGFKKDDKQLDAEAHRKYIFGDHVACYMRVCHLHLIFNLLPLSSF >DRNTG_12534.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21125617:21128723:-1 gene:DRNTG_12534 transcript:DRNTG_12534.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVKAQKTKAYFKRFQVKFKRRRAGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKNIIAQIVSASIAGDMVMAAAYAHELPRYGLEVGLTNYAAAYCTGLLLARRVLKMLEMDEEYQGNVEATGEDFSVEPAESRRPFRALLDVGLLRTTTGNRVFGALKGALDGGLDIPHSEKRFAGFKKDDKQLDAEAHRKYIFGDHVACYMRSFDG >DRNTG_16074.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25639577:25640178:1 gene:DRNTG_16074 transcript:DRNTG_16074.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFLPPPFILTPSPNHLPPPPNPFSHRQRRQIPS >DRNTG_16074.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25639577:25640035:1 gene:DRNTG_16074 transcript:DRNTG_16074.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFLPPPFILTPSPNHLPPPPNPFSHRQRRQIPS >DRNTG_17940.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17334872:17339982:1 gene:DRNTG_17940 transcript:DRNTG_17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSQGQLHAPVFSRDGENSSAGFHRGESTPLCALGKICPTRGSHTSLCLLGMSSQYTPTGVWKFHTPVCFLWMTLKNLQTLQKISKHVYTLRACPLNPGDVLFNRFFYWLLSCTLLMVRRTQYVMPCNRLNFLLHVFNH >DRNTG_23948.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:16484238:16487569:1 gene:DRNTG_23948 transcript:DRNTG_23948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSRPESIMSCSTCCGGHGSILRNLQTSVKSLEHRVWELTKENSERSLGCLPCDTEDNPREHLKAIGLRSRREVEKRFKVDPSVKEKGEVFSINPLDEYLGDLRNEEQEEPHYHPQIHNLKKLKEKSFGVWKAFLSHTAIPYPHGGMPNTKRLVSKRQRITIPSLTPEEPVFNYANHREKYDILKSKPIGMVHYIDWGLVESLGIENQVKGWLSHNCWENLFAIDEPSFRHLTLEVLSTFEARQDSQSLHGKKHGTIHFQAFGKSSEMNHMEFVKYLGIYDEHFINSMLGDHLKIDFPSDMSKK >DRNTG_04020.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:6547162:6576341:-1 gene:DRNTG_04020 transcript:DRNTG_04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTAVTQEDEVLSRHVEDSLAIIPIMLRSYLSNCSSTSSCDGIDVIDVIDVGSGAGLPGLILAIAYPSWKITLLESMHKRCLFLEHAVSHIGLSNVQILHERAENVGQNVAFRERFDVAVARAVAELRVLAEYCIPFVRVGGLFIAAKGYDPQEEVKNAEKAIHLMGASISELSYVKSYSPHGQRTVVTCLKKRSTPRRYPRQPGTPSKIPL >DRNTG_04020.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:6547162:6576147:-1 gene:DRNTG_04020 transcript:DRNTG_04020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTAVTQEDEVLSRHVEDSLAIIPIMLRSYLSNCSSTSSCDGIDVIDVIDVGSGAGLPGLILAIAYPSWKITLLESMHKRCLFLEHAVSHIGLSNVQILHERAENVGQNVAFRERFDVAVARAVAELRVLAEYCIPFVRVGGLFIAAKGYDPQEEVKNAEKAIHLMGASISELSYVKSYSPHGQRTVVTCLKKRSTPRRYPRQPGTPSKIPL >DRNTG_17950.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4826845:4828635:-1 gene:DRNTG_17950 transcript:DRNTG_17950.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphodiester phosphodiesterase GDPD4 [Source:Projected from Arabidopsis thaliana (AT1G71340) UniProtKB/Swiss-Prot;Acc:F4I8H8] MEDPSTGAKSRILRMRGANIVGVYHPLIDKKLVRTLHRQNKKVYAWTVDDMDSMRKMLFEHVDAIVTGQPSLLQGLMQNMKTECLEEGFLLP >DRNTG_17950.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4830373:4830749:-1 gene:DRNTG_17950 transcript:DRNTG_17950.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphodiester phosphodiesterase GDPD4 [Source:Projected from Arabidopsis thaliana (AT1G71340) UniProtKB/Swiss-Prot;Acc:F4I8H8] MRSRKCGWLENPPLVCAHGGDSSKAFPNTMDAYRFAIDSHVDCIEIDISRSSDGVLLALHDR >DRNTG_17950.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4826845:4827212:-1 gene:DRNTG_17950 transcript:DRNTG_17950.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphodiester phosphodiesterase GDPD4 [Source:Projected from Arabidopsis thaliana (AT1G71340) UniProtKB/Swiss-Prot;Acc:F4I8H8] RQNKKVYAWTVDDMDSMRKMLFEHVDAIVTGQPSLLQGLMQNMKTECLEEGFLLP >DRNTG_17950.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4826845:4831016:-1 gene:DRNTG_17950 transcript:DRNTG_17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphodiester phosphodiesterase GDPD4 [Source:Projected from Arabidopsis thaliana (AT1G71340) UniProtKB/Swiss-Prot;Acc:F4I8H8] MSFVPRPWRRSRLPSLRSPMANEVRLPRLTSRRLLRLLPVLVLLALVPPIFFHFRLRRFNQMRSRKCGWLENPPLVCAHGGDSSKAFPNTMDAYRFAIDSHVDCIEIDISRSSDGVLLALHDRDLQRISGNDTAKVGYMSVDEIKKLNSGFQTRHESTKHKVLAARDALELVSKSVRQVILDVKVGPPSYEKGLATDILSLVQKTNCRNCVVWAKSDIIGRDVIRLSPDISVGYIVMEDPSTGAKSRILRMRGANIVGVYHPLIDKKLVRTLHRQNKKVYAWTVDDMDSMRKMLFEHVDAIVTGQPSLLQGLMQNMKTECLEEGFLLP >DRNTG_17950.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4826845:4827439:-1 gene:DRNTG_17950 transcript:DRNTG_17950.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphodiester phosphodiesterase GDPD4 [Source:Projected from Arabidopsis thaliana (AT1G71340) UniProtKB/Swiss-Prot;Acc:F4I8H8] MEDPSTGAKSRILRMRGANIVGVYHPLIDKKLVRTLHRQNKKVYAWTVDDMDSMRKMLFEHVDAIVTGQPSLLQGLMQNMKTECLEEGFLLP >DRNTG_17950.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4826845:4831016:-1 gene:DRNTG_17950 transcript:DRNTG_17950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphodiester phosphodiesterase GDPD4 [Source:Projected from Arabidopsis thaliana (AT1G71340) UniProtKB/Swiss-Prot;Acc:F4I8H8] MEYCLLSMTGRDLQRISGNDTAKVGYMSVDEIKKLNSGFQTRHESTKHKVLAARDALELVSKSVRQVILDVKVGPPSYEKGLATDILSLVQKTNCRNCVVWAKSDIIGRDVIRLSPDISVGYIVMEDPSTGAKSRILRMRGANIVGVYHPLIDKKLVRTLHRQNKKVYAWTVDDMDSMRKMLFEHVDAIVTGQPSLLQGLMQNMKTECLEEGFLLP >DRNTG_00186.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:99828:102417:-1 gene:DRNTG_00186 transcript:DRNTG_00186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNTDLFIHTVHGAGRLLPSGNRWNSIELDYNLLPTSSHNDDHFTTTSSLYSKSFTFNLTITDKSHFKRFLYIFIALLLTIIFLVLLLSFLPHKHHDSLPSTNLPFTLKQALLFFDAQKSGQINNSLVKFRGDSGLQDGHYRQINASLVGGFYDSGNNVKFSFPTAYTITLLSWTVIEYHEKYEAMNELEHVKDIIKWGTDYLLKLFVPSNSTATPNLIYSQVGSANISSSSENDMSCWQRPEDMKYARPVSVCGASATDLAGEIAAALAAASLVFTDRKSYSLKLIQASESLFNLAKNSGKKQTYTMDVACGGEASNYYNSSGYLDELVWGATWLFFATGDRSYLGYATDSFDSALIEELVPDHGVFYWNNKLAAIAVLMTRLRYLLDPGFPYTTVLTKCSELTNNLMCSYLSAPEKFSMTPAGLILLKPNSSTSLELASTAAFLTKIYSDYLDSIKIEGGACGDDLYSLDDLQNFAESQMNYILGNNPMKMSYVVGVGSEYPKQVYHRGASIPWDGKQYGCVEGKRWRDSKEANPNVLLGAMVRGPDKEDGFSDDRNRPEYTEPSIASNAGLVAALIGLLDHPSSTTSRIDRDAMFANIS >DRNTG_00186.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:99828:102417:-1 gene:DRNTG_00186 transcript:DRNTG_00186.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNTDLFIHTVHGAGRLLPSGNRWNSIELDYNLLPTSSHNDDHFTTTSSLYSKSFTFNLTITDKSHFKRFLYIFIALLLTIIFLVLLLSFLPHKHHDSLPSTNLPFTLKQALLFFDAQKSGQINNSLVKFRGDSGLQDGHYRQINASLVGGFYDSGNNVKFSFPTAYTITLLSWTVIEYHEKYEAMNELEHVKDIIKWGTDYLLKLFVPSNSTATPNLIYSQVGSANISSSSENDMSCWQRPEDMKYARPVSVCGASATDLAGEIAAALAAASLVFTDRKSYSLKLIQASESLFNLAKNSGKKQTYTMDVACGGEASNYYNSSGYLDELVWGATWLFFATGDRSYLGYATDSFDSALIEELVPDHGVFYWNNKLAAIAVLMTRLRYLLDPGFPYTTVLTKCSELTNNLMCSYLSAPEKFSMTPAGLILLKPNSSTSLELASTAAFLTKIYSDYLDSIKIEGGACGDDLYSLDDLQNFAESQASTFHTLFDQMNYILGNNPMKMSYVVGVGSEYPKQVYHRGASIPWDGKQYGCVEGKRWRDSKEANPNVLLGAMVRGPDKEDGFSDDRNRPEYTEPSIASNAGLVAALIGLLDHPSSTTSRIDRDAMFANIS >DRNTG_20870.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7772923:7773623:-1 gene:DRNTG_20870 transcript:DRNTG_20870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDPSTSESEEDDDHGGYGSDDDPNYASRNMENQTRLEGIIPRLGDADYGADLVVLTEICEVRTMESFALRNLSGSLAH >DRNTG_20870.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7772844:7773709:-1 gene:DRNTG_20870 transcript:DRNTG_20870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDPSTSESEEDDDHGGYGSDDDPNYASRNMENQTRLEGIIPRLGDADYGADLVVLTEICEVRTMESFALRNLSGSLAH >DRNTG_20870.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7772844:7773623:-1 gene:DRNTG_20870 transcript:DRNTG_20870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDPSTSESEEDDDHGGYGSDDDPNYASRNMENQTRLEGIIPRLGDADYGADLVVLTEICEVRTMESFALRNLSGSLAH >DRNTG_20870.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7772636:7773623:-1 gene:DRNTG_20870 transcript:DRNTG_20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDPSTSESEEDDDHGGYGSDDDPNYASRNMENQTRLEGIIPRLGDADYGADLVVLTEICEVRTMESFALRNLSGSLAH >DRNTG_12618.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20477686:20478314:1 gene:DRNTG_12618 transcript:DRNTG_12618.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSNPALPSSDQALTTEERNDPKLIGLEIKISPDEKKEDLQEIGAKFAELNESQSELLSRLQKLRD >DRNTG_12618.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20477686:20480593:1 gene:DRNTG_12618 transcript:DRNTG_12618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSNPALPSSDQALTTEERNDPKLIGLEIKISPDEKKEDLQEIGAKFAELNESQSELLSRLQKLRDDMKNWSITLDKQVATYKDELKTMKESLNSELDQLRSGFKELRTTLQKQQEDVSDSLRNLGMEDPSEHSKRTGTHDANEAQSSPAENSK >DRNTG_19436.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6887891:6890187:1 gene:DRNTG_19436 transcript:DRNTG_19436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNRGPTPVLSPEAATRFGEGISLVLARWTALQMAVQNGWGGRESRQKADKLASAVLSWFSNDKAPLYIDDLENILDENMVLSFNTEIEDGSVEETVCREILNQLIN >DRNTG_02486.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2236577:2237169:-1 gene:DRNTG_02486 transcript:DRNTG_02486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDDSLTTEIPIEQPPEVDIPESSSSSLPNGIIQASKVEVLPTATSLAPAINNAPPEADMELLAVLLKNPELVFALTSGQTSNMTSHQTVALLDMLKKSGVGFAQSSREIQEPTSLPSPTPPSESRSEWRSEPSPFTRNPPQLLQPPHVPAVVLTMPQLTVTTNLNP >DRNTG_18677.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2181189:2182519:1 gene:DRNTG_18677 transcript:DRNTG_18677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFQPISMSPTTLSLTSSGTSLLAAGKPAWFSFSFNKHSSIPSSKNNSRTRSGRGGSIVCLRGGNISVQTSAPQTTTDAAVAFGRQSFPPGFAFGAATAAYQIEGAWNEGGRGPSIWDTFAQHHPEKIEDREQWKYWRGFISSIQ >DRNTG_18677.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2181189:2182078:1 gene:DRNTG_18677 transcript:DRNTG_18677.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFQPISMSPTTLSLTSSGTSLLAAGKPAWFSFSFNKHSSIPSSKNNSRTRSGRGGSIVCLRGGNISVQTSAPQTTTDAAVAFGRQSFPPGFAFGAATAAYQIEGAWNEGGRGPSIWDTFAQHHP >DRNTG_21248.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:28030:29991:1 gene:DRNTG_21248 transcript:DRNTG_21248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGGGRLPTWKERENNKRRERRRRAIAAKIFTGLRALGNYKLPKHCDNNEVLKALCAEAGWIVEPDGTTYRKGCRPLPQPPQEGITGGLLPNISPPSSSFPSPVPSYHASPSSSSFPSPTRIDNANNPAVNPSYLLPFLRNLSSLPPLRISNSAPVTPPLSSPTASRPPKLRKPDWDSSVVHHPIFAASAPASPTRARQLFYPATIPECDESDISTIDSGRWVSFQMTAPASPTFNLVNPVAPMKNAVFSGLDANGGMPEKARGVVEFEFGNKPVKAWEGETIHEVAVDDLELTLGTGKNH >DRNTG_19980.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:823161:824914:-1 gene:DRNTG_19980 transcript:DRNTG_19980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPGEITTPLVTKPAAPAAGQLVRPSEPTPSHILLLSSIDTLPPFRYYTDTLHVFMHGREPAKVIRRAISRALVFYYPLAGRLVHSGDDDLRIACTGDGVYFVEAQAKCKLEDVNFLQRPLMIPIDDLLPYLPHPQEPAPPLFMIQVTEFKCGGFAVGTRYNHMITDGVGLGQILQAVGEMARGLTRPTIDPIWQRDVIPIPTKTPQHHSQVSPPPFTRLNFNLEDTILDIPQDHIDHLINQVTKETGIKCTTFDVLAAKLWQSRTRAINFDPQVPVIFSFTANIRPLLHALKQGGYYGNCVYMPYVTVSSERVKRAPISELVSLIQDKKENLRVEFMKWTKGELEQDPYEVRNTYALMHVTDWRNVGFSDVDFGWGSEMHSGVGTMIDYVVIGFLTRPPASSSGARVTARFVMKEHLAAFHDEMMSF >DRNTG_19980.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:822984:824857:-1 gene:DRNTG_19980 transcript:DRNTG_19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPGEITTPLVTKPAAPAAGQLVRPSEPTPSHILLLSSIDTLPPFRYYTDTLHVFMHGREPAKVIRRAISRALVFYYPLAGRLVHSGDDDLRIACTGDGVYFVEAQAKCKLEDVNFLQRPLMIPIDDLLPYLPHPQEPAPPLFMIQVTEFKCGGFAVGTRYNHMITDGVGLGQILQAVGEMARGLTRPTIDPIWQRDVIPIPTKTPQHHSQVSPPPFTRLNFNLEDTILDIPQDHIDHLINQVTKETGIKCTTFDVLAAKLWQSRTRAINFDPQVPVIFSFTANIRPLLHALKQGGYYGNCVYMPYVTVSSERVKRAPISELVSLIQDKKENLRVEFMKWTKGELEQDPYEVRNTYALMHVTDWRNVGFSDVDFGWGSEMHSGVGTMIDYVVIGFLTRPPASSSGARVTARFVMKEHLAAFHDEMMSF >DRNTG_06934.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16822949:16826186:-1 gene:DRNTG_06934 transcript:DRNTG_06934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCPGMVAMSNVSRFVRFCTSDSDEELQWEVKLLFDEMAGNNIIPLKVVLHILLGSVCSRVKFNIARLLMENVVDHGSAPSICHYNFLIRCLCKEDRIEDAYSLSCLMRRRGVLPNLATHSIVINFHCKCGAET >DRNTG_10696.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13768311:13772245:-1 gene:DRNTG_10696 transcript:DRNTG_10696.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGDAARSSMAMGSMALRSTSVDNDEVLKKRTDCPFFITSPPTCHKGSECEFRHSETARLNPRECWYWLKGNCLNPTCAFRHPLPHAPASVNKLNTPCYFFFNAICIKGDWCPFMHDTSSDQRIKKQFPDTASIKAPETKTSIGSDTGPATVEVPANPLESTSELNKHFYPEEVLGDPAPVNVFEDSRASAESSMPELEDPTLKLPENSLSPVEHVNGLSTIMPDQSSEELMKEYAESDEGYMSSSGFDVLVDDGSELVYGNDTDYLPFHEKDSERLHGHILQYDFEGSAGCVPQNYTDTGYYEHDIFEAYDHLGERYIPDYYHRVLENPRESESEREERERERLALPMYYHKREPLPREHAMGSRNAVDLRDHLKKRRRMEDPLPFYHSRRHLSYRDQQSSRERPARHLRDRRLASEVGKTMTDSSVSEIKSVLDNGHRERSSGYPQFNRHGRSRLGERGSRRRMKAPSAFSSKNLKATVSKESRDACAPADFSGPKTLAEIREEKTRMQSPGSHLGDPGTPHHRRIASVDFEGPKPLTELLKEKKRPLPVSQNSHSKGSASAKEGCNERISSQNDPRVFEANLGEDDNMCYKSTVEKQNGEYESDSLDDLDDDEEDSLRKKLAHIFA >DRNTG_09448.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21262757:21263871:-1 gene:DRNTG_09448 transcript:DRNTG_09448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLPLLLLLLLLLLPLSTTTTTTSDPTPIGHYCRPFSTFTHLQLNHTQLLHDVADEASISGFATSSILSIPFYTLAQCRGDINSKDCSTCVHAAATALPQLCPPNTFDGRIWFDYCIMRFANYSFFGQVDATYFINYANIDNATDPIEFMNKTSELMSHVSGAATEPGNAGLGRGEYKFEPQITIYGLAQCTEDLEPMPCAQCLSLAVAKFRDYCEFKQGCQVLFTSCWARYEIYPFFFPLDVNATTTLLHHSVVAQVNT >DRNTG_12106.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4986982:4991814:-1 gene:DRNTG_12106 transcript:DRNTG_12106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLARLVRRPVAKLLFLRSCRHARSYSHYALPGGSGPIFSNNMRDVKEFLPRDSPYRVWARSFASGNGDLFDAVVPHMGESITDGTLATFLKKPGDRVEADEPIAQVETDKVTIDVNSPEAGVIEKFVASEGDTVTPGTKIAVISKSASSATHVAPSEEKVDTVATKPSPPAESKTAGKQKPKVETAAEEKPKVPSPVPPKTSPSEPQLPPKERERRVPMPRLRKRVATRLKDSQNTFAMLTTFNEVDMTNLMRLRSDYKDAFVEKHGVKLGLMSGFVKAAVSGLQNQPIINAVIDGEDIIYREYVDISIAVGTKKGLVVPVIRNADTMNFAEIEKEINTLAKKANDGTISIDEMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSIVSRPMVVDGVVVPRPMMYIALTYDHRLIDGREAVYFLRRIKDVVEDPRRLLLDL >DRNTG_26314.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21377683:21378957:1 gene:DRNTG_26314 transcript:DRNTG_26314.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRSRRVAGEAELEISIPNHFRCPISLELMKDPVTVSTGITYDRQSIERWMDMGHSMCPVTNQTMHTDHELIPNHIIRRRIQDWCVAHKALGIERIPTPRIPVSPAMASQLLSEIASISQLGDVQRIILNWL >DRNTG_23208.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10496426:10496777:-1 gene:DRNTG_23208 transcript:DRNTG_23208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGKARVRNDSNSETQNNQTPNQVDDENVEINLDCSLPSSTRASNEICSSSAPPNKRHRKVNVRGKAKGVKSGEGIEVEIYD >DRNTG_00729.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14313617:14314527:1 gene:DRNTG_00729 transcript:DRNTG_00729.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHNVKDCGKAVAMPVASVMTRLILIMVWRKLIRNLNTYSSLIGHIWSLVSFRLGMAMFSLGLFMALQPKMIACGNSVTAFSMAVRFILAPLVMVVASYVVGLRGALLHVSIVQAALPQGIVPFVFPKEYIVHPKILSTGVVFGMLIIVFGMQILSTVYQLFITRPLKHQ >DRNTG_17424.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5954557:5955797:1 gene:DRNTG_17424 transcript:DRNTG_17424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGVSSFALSFLLFVMFTTPNSVHSFKTSGWTKASATFYGGGDASGTMGGACGYGNLFTNKYGTRTAALSTALFNDGSACGQCYKIMCDYKFDPKWCIKGMSVTITATNFCPPNLALPNNDGGWCNLPRQHFDMAQPAWQKIGIYQGGIIPVLYQRVPCKKHGGLRFTINGRDYFELVLVTNVGGSGSIDSMWIKGTSTGWTAMSRNWGANWQSSAHLNGQSISFKVTTSDAQTLVFPDVVPSGWAFGQTFSSHLQFT >DRNTG_15810.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15320023:15322787:-1 gene:DRNTG_15810 transcript:DRNTG_15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCYGENTYRKQATIETSLSSLEGKDFPELVPANANEDIFVWANRRFDCIAPEPLARRQDERTTSSVRARRRRSPPLPRQITTPPPTMTSTVSPIVAAPPTALGEDVTATLMQACQILMTEFPRLVTRVEALEGRSKSTAWSLRTYKEPGTDAASDFDDDDIIGEAIRKWPHSKRLAKKRKTIMPPSSPPADDETIAAPSAADGVTIDDMAVTVEEIADDVAIAAVDKINDSVMNPVERAADSAASKMDTILEEQEPANIVSPIDAVVMATVEKVVDSIVNKIIVTVEPTTDIAASKADTIPQQQEACKDMSQLDAAVVPASKEDDAGAKHRQPSITVQHDDPKTDVDEGQGNVAEITTREKINANKKLEEVRKVFIPKKKKYVGQSRLNKYEPELIRIFLNCPMDNLTRSRLFDLLEGKEMVADDVMDAFGRRT >DRNTG_12078.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000533.1:83053:90452:1 gene:DRNTG_12078 transcript:DRNTG_12078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAISLYRGNLHRVPSGLRRWPFPRRSLTLNSFKILTRKRALALSRLSLQIKTKDEEKEELAPREDDEELDREGVGENGCHQRHLVPENDSSSPIEIKKEVASTEEPVQEPREVVDVGSLNTEDVVAGGDAVVEGKTEETQKVNIVEDKEHKKKELQEKVHVLSEKKHLLVQMLKQILNTEEEMKRRSVQPSGIWPTTPVPEPAVEMGSAGRLISRINADVNCNGDAGGESEIAKNPNVHSRHLIPTHSTSPSAMSPHSRTTNILFQQSPTPHSRGNITTAIHGQASSNMIGNTAASPSRIISTGHQGHAAAGLPPVSVPGSQFVASSPSPATSGGASSVFRDSRLTSPSWNC >DRNTG_24999.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27199911:27204355:-1 gene:DRNTG_24999 transcript:DRNTG_24999.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3A [Source:Projected from Arabidopsis thaliana (AT2G47620) UniProtKB/Swiss-Prot;Acc:Q8W475] MAPPPHEEDDAEVSCSKLSDREFYTIPASSSWFQWDEIHETERRSIPEFFDGSSVSKNPRVYKEYRDFIISKYREEPSRRLTFTEVRKSLIGDVGALHRVFLFLDGWGLINFGVPAVEARDDGCTAVTVSSVVEEGVPAGLRIVPASAVAGGTQGSTAGGESVFRLPPLTSYTDAFGDWVPGKGPVCGVCGNHCQHGQYEKTMDGFFKCQKCSLDNGNGEGKSADGSKTNDHMNGNGDIGTRSWTDAETLLLLEAVMKHGDDWNLIAQHVRTKNKIDCIARLVQLPFGEHILGTINGKCEIRNSSSQAANIRTIHHGTIENSQEIMSTEDKPDIQNDEEKVVEESCAAPPLKKRRKQSIIDATDSLMQKVAILSTAAGPDVAAAAADAAISALRKENPDVMNFFAADQHEATGKFASYETQNELGSELVNEGQDVQKNKAADTSEPVPEKFAATAFRVRAAVATALGAIAAHAKLLADQEEREIEHLMASIIDMQLKKIQHKMKHFEELESIMEKEYANIQQQKESILEEWITVLQESVRAGIPRWRDHAIPWSLLNSVL >DRNTG_24999.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27199911:27204355:-1 gene:DRNTG_24999 transcript:DRNTG_24999.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3A [Source:Projected from Arabidopsis thaliana (AT2G47620) UniProtKB/Swiss-Prot;Acc:Q8W475] MAPPPHEEDDAEVSCSKLSDREFYTIPASSSWFQWDEIHETERRSIPEFFDGSSVSKNPRVYKEYRDFIISKYREEPSRRLTFTEVRKSLIGDVGALHRVFLFLDGWGLINFGVPAVEARDDGCTAVTVSSVVEEGVPAGLRIVPASAVAGGTQGSTAGGESVFRLPPLTSYTDAFGDWVPGKGPVCGVCGNHCQHGQYEKTMQDGFFKCQKCSLDNGNGEGKSADGSKTNDHMNGNGDIGTRSWTDAETLLLLEAVMKHGDDWNLIAQHVRTKNKIDCIARLVQLPFGEHILGTINGKCEIRNSSSQAANIRTIHHGTIENSQEIMSTEDKPDIQNDEEKVVEESCAAPPLKKRRKQSIIDATDSLMQKVAILSTAAGPDVAAAAADAAISALRKENPDVMNFFAADQHEATGKFASYETQNELGSELVNEGQDVQKNKAADTSEPVPEKFAATAFRVRAAVATALGAIAAHAKLLADQEEREIEHLMASIIDMQLKKIQHKMKHFEELESIMEKEYANIQQQKESILEEWITVLQESVRAGIPRWRDHAIPWSLLNSVL >DRNTG_06483.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22974697:22976440:-1 gene:DRNTG_06483 transcript:DRNTG_06483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLKRIKTLAISNCKSLLYSSSSSSHHFQNPSSPSKSHLYSSFFCTLIQTFLSCYRFTQALNSFLFMRSLGLNPTVHQWNHLLFRFNASGLVSEVPNVYSELIKCHQSSNLFARNVLIHAFCKMRMLDMALEVARDGEADVVSYNTVIWGFCDNGMVTSAVGFLSEMFKRGVGMNTISCNTLLKGLCDEGMLDESESLMNMFVCWGIGRDIVGFNTLLSGHCKLKAMDEAMGLVESMKGEGISPDVVTYNTLFHGFCRVGELDKAEGLMDVEKDGFGPNAITYTTFIGGYCKRKQLDKACLFYKEMVTSGILPDVVTYSALINGLCKSGQLLKGYSLFRRMEKMGITANHVSYSTIIDTLFKSGKPRELSIIQGEMVVRGIILDLVSHTILLDGLFKTGMVDEAEKVFKHISSLQFKPNHFTYTALIDGRCKAEDLKGAELALSEMQNRSICRTVVTYSSIICGYVRNGMLDMAVDIMNKMKDEHVFPNIVTYCTLMDGFFKMGKLEEALDLYEEMLKEGID >DRNTG_27558.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3051081:3062196:1 gene:DRNTG_27558 transcript:DRNTG_27558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNTFARAPLTMIIGLSLRNSSESVRIWKKLISIHSDLQNSGHPTLFSKQIFPNLEKTRSRPNTVLARRATVVTSVLLIMVPLCHGLITVVVCVVCLFVNLDGQSVKRELSTLVCSSDLPIGDRFLRAFTIYCFICSTIAIEELFPQVGKPIGGFTWFTLTSNERLQAHRHILINCPAVDSYVQMPRTKRHIVYSRSVNPNEDSGPTEGHTTSTSAPPIVTKERETASMPLDQVETTKPHLIRGNKRNTRTWDVQIKGMIDANGAMKQRKMRAVDVFSLPPGERVVVEWNCRHQPVDLSGGMLAQFLGHIASNCQNFPIGYEKWQKIPLCYKDHVWNNIIKDHRYNLYTSAQCDPDGPREANIAKKPPEFPLEQWVAFVDYRAHPETKETQLHRPITRIELFQVSYTTSDGSFVNEEARENHKELVSRTQNSSENEAFINVFGKDHPSYVRGLGLGVVPTQVRPGVLVLVNDYDNLDTTLEEKDVVVFISTNVADDASQKDYSR >DRNTG_22242.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1222909:1223952:-1 gene:DRNTG_22242 transcript:DRNTG_22242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVHMSLLLKEKNCPLEYTEKINIRLYNHPDAMITLKNLKAAYIGRLVSVRGTVVKVSTVRPLVMQMNFSCGKCGSVITRVFPDGKFSPPMICSVQGCKSRTFTPIRSTARPIDFQKIRRYLTQKAMKKVGYLELLNVN >DRNTG_13397.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000610.1:6212:6799:-1 gene:DRNTG_13397 transcript:DRNTG_13397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVVGFVVQKLGDLVGQEGSNLHGVEDQVKWLKRELERMQCFLKDADTKKNNGGIQSESVKKNWVTEMRDIAFEAEDIIDSYMDLKLRGSYEAEDVIDTSMDFNHRGFLGNIRNISENVSKRYVF >DRNTG_25625.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21967856:21969326:-1 gene:DRNTG_25625 transcript:DRNTG_25625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRYEHKEVDVRKLGEDEKKEFIDRVFKVAELDNLRFLNKLRNRIDRVGVQLPTMEVRFENVTVHAKCHVGNRAMPTLTNTARDIFESILGYFGVNLSKRMKLTILNNVSGMIKPAR >DRNTG_24103.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27175951:27181444:1 gene:DRNTG_24103 transcript:DRNTG_24103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQKAAMRKPVFTKVDQLRPVTSGHTLIVKVVSTKMVVQRGRPDGQQFRQSRVAECLVGDETGMIVFTARNDQVDLMKENSTVIIRNAKIEMFKGSMRLAVDKWGRVEVTDPADFTVKEDNNLSLLEFEQLTVVQG >DRNTG_34621.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:68384:69511:1 gene:DRNTG_34621 transcript:DRNTG_34621.1 gene_biotype:protein_coding transcript_biotype:protein_coding KHHRLISRFFLARQAATPPGSSSRQASTTHHLFHDVDMNEEEVDFELFDHASYDDAFDQQEYLGQRDDNESWPQHQP >DRNTG_05491.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30280606:30281189:1 gene:DRNTG_05491 transcript:DRNTG_05491.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVLPPSPLPASSFARARFTAPLCRAAVPLPPRRSSLSTRLQSSIANGDLSTGSEEQSEIIFVGTGTSEGIPRVSCLTDPSKACEVCLKAAQPGNKNRRRNTSILVRYVSSLGRFNILVDAGK >DRNTG_05491.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30280606:30283300:1 gene:DRNTG_05491 transcript:DRNTG_05491.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVLPPSPLPASSFARARFTAPLCRAAVPLPPRRSSLSTRLQSSIANGDLSTGSEEQSEIIFVGTGTSEGIPRVSCLTDPSKACEVCLKAAQPGNKNRRRNTSILVRYVSSLGRFNILVDAGKFFYHSALQWFPTYGLRNIDAVIITHSHADAIGGLDDLRDWTNNVQSHIPIYVNNRDFEVMKKTHYYLVDTSVVVPGAAVSELQFNLIKEEPFIVHNLK >DRNTG_02624.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21376977:21385373:-1 gene:DRNTG_02624 transcript:DRNTG_02624.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 40 [Source:Projected from Arabidopsis thaliana (AT3G06480) UniProtKB/Swiss-Prot;Acc:Q9SQV1] MSTVDASLSALGPRFAPEDPTLPKPWKGLIDGTTGLLYYWNPETNVTQYERPAGEAPPLPLGPPPASNTPKLAPLPVARSLSANGVIMQQPGQQALQQPGQQMQQAQPSQQLLLQQPGQQLSLQHAQKMPYQQIQVQNQQMQPASQQQTQQYQNMQLQQMPYAQASYLQGQLIPRPQGGQQGLQISQQQGQDPLVTQLPHQHGQQSQISQIMLQQEQQSHSQHTTHQQGQQLHLQGQQQPDQQGQCPPAQQFTHQHSQQIGFIRKEETGSHEVNPGGYPSPLHHVGVISSNHHPPGVPQVKIPHLGVHTFQPQQQLSGLPSVNVQSGATSGNLQPDGMDKMQHQQQIGGPAIVNQMGHGSGNIRPPIGMKMSYEEDRQGKVGGDFYPGRNDGPMMLQHQPKLAALPLPQKQQDTIMGANNSSFTAHGHPGGLNMLPTRAMPDMYNHPPFPNASPMRPSPRMLGQQDFSSISSVEAYRQHHEVSAMGDNVPDPFMTFEAAGLPPEILKEMHFAGFKSPTPIQAQSWPIAMQNRDIVAIAKTGSGKTLGYLIPAFIHLRRCRNNPQDGPTVLVLAPTRELATQIQDEAIKFGRSLRVSCTCLYGGLPKGPQLREIERGADIIVATPGRLNDFLEMKKISFHQVSLLVLDEADRMLDMGFEPQIRKIVDQIPPRRQTLMYTATWPKEVRKIAADLLVNPVQVNIGRVNELVANKSITQYVEVVPLMERQRRLEQILRSQERGSKTIIFCSTKRLCDQLAHSIGRTFGAAAIHGDKSQDERDRVLGHFRSGRAPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGAMGIAYTFFSDQDWKYAADLVKVLEGANQFVPPAIREMAERAAPVNPRGRGESRWESGGGSRWDSGAGRGMRDGGGRFDSGAGRGMRDGGGRWDSGAGRGMRDSAGTGGGFNSRGGGSSSSFGGRGGTRNEFGGRGGRGRGFGGPGGPGGRGRQGRGLPDRHTGSDGRSFYDSRRVIGDRNRDRKYSPSPERVRTWGHDRSRSSSRSSRSRSYSRSRSRSRSWSGSRSRSRSRSRSRSRSRSNGRYDRPRRRPSMFDVPLMPPSGHEAPAPSGFDAPQPAPVYEPPPAVVSGFDADQMSPMSPGQDRASFPSNEITDNLSIPESAKTSLPEAGADLLEHHPLGNL >DRNTG_02624.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21376977:21385373:-1 gene:DRNTG_02624 transcript:DRNTG_02624.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 40 [Source:Projected from Arabidopsis thaliana (AT3G06480) UniProtKB/Swiss-Prot;Acc:Q9SQV1] MHFAGFKSPTPIQAQSWPIAMQNRDIVAIAKTGSGKTLGYLIPAFIHLRRCRNNPQDGPTVLVLAPTRELATQIQDEAIKFGRSLRVSCTCLYGGLPKGPQLREIERGADIIVATPGRLNDFLEMKKISFHQVSLLVLDEADRMLDMGFEPQIRKIVDQIPPRRQTLMYTATWPKEVRKIAADLLVNPVQVNIGRVNELVANKSITQYVEVVPLMERQRRLEQILRSQERGSKTIIFCSTKRLCDQLAHSIGRTFGAAAIHGDKSQDERDRVLGHFRSGRAPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGAMGIAYTFFSDQDWKYAADLVKVLEGANQFVPPAIREMAERAAPVNPRGRGESRWESGGGSRWDSGAGRGMRDGGGRFDSGAGRGMRDGGGRWDSGAGRGMRDSAGTGGGFNSRGGGSSSSFGGRGGTRNEFGGRGGRGRGFGGPGGPGGRGRQGRGLPDRHTGSDGRSFYDSRRVIGDRNRDRKYSPSPERVRTWGHDRSRSSSRSSRSRSYSRSRSRSRSWSGSRSRSRSRSRSRSRSRSNGRYDRPRRRPSMFDVPLMPPSGHEAPAPSGFDAPQPAPVYEPPPAVVSGFDADQMSPMSPGQDRASFPSNEITDNLSIPESAKTSLPEAGADLLEHHPLGNL >DRNTG_02624.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21376977:21385373:-1 gene:DRNTG_02624 transcript:DRNTG_02624.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 40 [Source:Projected from Arabidopsis thaliana (AT3G06480) UniProtKB/Swiss-Prot;Acc:Q9SQV1] MHFAGFKSPTPIQAQSWPIAMQNRDIVAIAKTGSGKTLGYLIPAFIHLRRCRNNPQDGPTVLVLAPTRELATQIQDEAIKFGRSLRVSCTCLYGGLPKGPQLREIERGADIIVATPGRLNDFLEMKKISFHQVSLLVLDEADRMLDMGFEPQIRKIVDQIPPRRQTLMYTATWPKEVRKIAADLLVNPVQVNIGRVNELVANKSITQYVEVVPLMERQRRLEQILRSQERGSKTIIFCSTKRLCDQLAHSIGRTFGAAAIHGDKSQDERDRVLGHFRSGRAPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGAMGIAYTFFSDQDWKYAADLVKVLEGANQFVPPAIREMAERAAPVNPRGRGESRWESGGGSRWDSGAGRGMRDGGGRFDSGAGRGMRDGGGRWDSGAGRGMRDSAGTGGGFNSRGGGSSSSFGGRGGTRNEFGGRGGRGRGFGGPGGPGGRGRQGRGLPDRHTGSDGRSFYDSRRVIGDRNRDRKYSPSPERVRTWGHDRSRSSSRSSRSRSYSRSRSRSRSWSGSRSRSRSRSRSRSRSRSNGRYDRPRRRPSMFDVPLMPPSGHEAPAPSGFDAPQPAPVYEPPPAVVSGFDADQMSPMSPGQDRASFPSNEITDNLSIPESAKTSLPEAGADLLEHHPLGNL >DRNTG_02624.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21376977:21385373:-1 gene:DRNTG_02624 transcript:DRNTG_02624.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 40 [Source:Projected from Arabidopsis thaliana (AT3G06480) UniProtKB/Swiss-Prot;Acc:Q9SQV1] MHFAGFKSPTPIQAQSWPIAMQNRDIVAIAKTGSGKTLGYLIPAFIHLRRCRNNPQDGPTVLVLAPTRELATQIQDEAIKFGRSLRVSCTCLYGGLPKGPQLREIERGADIIVATPGRLNDFLEMKKISFHQVSLLVLDEADRMLDMGFEPQIRKIVDQIPPRRQTLMYTATWPKEVRKIAADLLVNPVQVNIGRVNELVANKSITQYVEVVPLMERQRRLEQILRSQERGSKTIIFCSTKRLCDQLAHSIGRTFGAAAIHGDKSQDERDRVLGHFRSGRAPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGAMGIAYTFFSDQDWKYAADLVKVLEGANQFVPPAIREMAERAAPVNPRGRGESRWESGGGSRWDSGAGRGMRDGGGRFDSGAGRGMRDGGGRWDSGAGRGMRDSAGTGGGFNSRGGGSSSSFGGRGGTRNEFGGRGGRGRGFGGPGGPGGRGRQGRGLPDRHTGSDGRSFYDSRRVIGDRNRDRKYSPSPERVRTWGHDRSRSSSRSSRSRSYSRSRSRSRSWSGSRSRSRSRSRSRSRSRSNGRYDRPRRRPSMFDVPLMPPSGHEAPAPSGFDAPQPAPVYEPPPAVVSGFDADQMSPMSPGQDRASFPSNEITDNLSIPESAKTSLPEAGADLLEHHPLGNL >DRNTG_03694.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:24367861:24381989:-1 gene:DRNTG_03694 transcript:DRNTG_03694.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFASPEYPIGVSGKSSTRRPRIPSSGIGYASMEAPLPIFFLRNDDVRAVEPLQNIVQNLRSTMPNSIHVPEERLNNLSTSHSNKVAMSSRDSR >DRNTG_28227.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11900921:11902044:-1 gene:DRNTG_28227 transcript:DRNTG_28227.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLNGGTALHFAALNGHTRCVRLLLVDYVRSISIFGIL >DRNTG_28227.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11900921:11902289:-1 gene:DRNTG_28227 transcript:DRNTG_28227.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSTTYHKPEPEFNRKSKRRLEASPTVNLLL >DRNTG_10185.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2148486:2151562:1 gene:DRNTG_10185 transcript:DRNTG_10185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSSGGRSRSAPRAPPRAAPVRNPPQPVHSAPPPAPVQGGGSVLGGIGSTIAQGVAFGTGSAVAHRAVDAVMGPRTIQLETAASEPAAAAPMNNSMGADSCNIHSKAFQDCVNNFGSDISKCQFYLDMLNECRRGSGAPLNA >DRNTG_16566.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19503523:19506794:-1 gene:DRNTG_16566 transcript:DRNTG_16566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISNALKVSASSSAHHPKMKPMALDILVFLLFLFSHNFHLKCKAETEAEALLKWKNSLSNPESLSSWSLINSTNHCHWFGITCNTAGSIVELKLPDCSLDGNLDELDFTYLSNVTKIDLSGNTLTGSIPRTLSALAKLTSLDISNNGLETSDFSEFKAMPTLTHLSLRSNNFPTMELPSFILNCTNLTVLDLSVNEFNGTIPDSLVTNLINLQYLDLSFNSFSGSIPSSIGNMASLEHLDMNDNSLEGKLPSTMSQLENLTYLDLSNNKLNGGIPAGLGQGGLLYVAKFSSNKFSGELPESLCMGFELQHLDVSKNSFYGALPSCLRHCTSLIQIVLNGNHFVGDISKAFGVHPKLISLYLNGNHLTGTLSTDWGQCTSLTSLIIEGNDISGEIPKEFGNMRSLQELSLASNFLTGEIPHELVNLSSLWSLKLNNNMLSGHIPRISLTTPLILLDLSGNKLSGQIPEELGNSSELSSLNLSHNLLVGHISEKLGGLTNLMQLDLSSNELSGSIPSSLARLKALQQLNVSHNKLSGQIPQTFSGMYNLYTIDFSYNAGLCGDAFGLPSCKFSHKKLIIAITVPVAGCSLMLLVAIAIACRRQRTCKVAETENCSLVWDTGLKFKFTDVMEAIDDFNEVYCIGEGSFGVVYRAELPSGQVLAVKRQHFSDESDIQENNVRSFLNEIKILLEVRHRNIVKLHGACTKKGVMHLVFDYVERGNLGDVLYSVLGGLTFDWAMRVNVIHGVAHAVAYLHNDCLPNIVHRDISINNVLLDNDFEPKVSDFGTAKMLKHDASSWTAVVGSYGYIAPELAYMTKFTDKCDVYSFGVVTLEVMMGMHPGELLLNLPSMSSSSQGNDLLLKDVLDNRLLPPTGQLAEQIVFIVKVALACTQTDPASRPTMLSIAQELSTRKKSYLSEPLGTISFKNLLQVSRSGVLLK >DRNTG_32494.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20977556:20987456:-1 gene:DRNTG_32494 transcript:DRNTG_32494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLLPRGPPPLSSLSDGIWSRQRDAITNDQLQKFWSELPPSARRELLRIDKQTLFEQARKNLYCSRCNGLLLEGYSQIIMHGKSLQQGAAVSTYFPNRAGTAKVQTVNGSDVVQDPSVHPWGGLTATRDGVLTLLDCFLKTKSLKPLYNVFDSAKARERDREMLYPDACGGGGRGWISQGMANYGRGHGTRETCALHTTKLSCKTLVDFWRALGDETRLSLLRMKEEDFIERLMYRFDSKRFCRDCRRNVIREFKELKELKRMRRELRCTSWFCLADTAFQYEVSEDTIQADWHQTFTDTIGTYHHFEWAVGTGEGKSDILDFEDVGMNENVHVNGLELGGLNSCFITLRAWKLDGRCTELSVKAHALKGQQCVHRRLVIGDGYVTITEGESIERFFEHAEEAEEEEDDDAIDKDGNEYDGEGSRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHCIFVCLALKLLEERLHVACKEVITLEKQIKLLEEEENEKREEEERKERRRTKQREKKNRRKERLKGKEREREGNCSEPSSLQFDPLASVHDSSTSTHDESVCNLDSGDSNSDPGDNANTPEPTHDIMLEKPSNGLTNADNMRDDNLQHKCLADGDLSARDSYGSFILEQSKSSRRKLRYNKDSVQDQSSKWYDKRQAVNGNDRDIYNHESNLNLLGCAMASSRCLNGLQKQPRVNGVKANVRSILKFNDKFHCPNSRTRDRHEFHSCSCGPRVDYKAKDGYHVPISRSTKETCGANKKDSTLDIPRTFYRSSKFNHGCYTPDNVVISKGKLVTSTTSRDSIYTKQVWEPTDSRKKCMRSNSDPDISSRTGSKVDPSLDDEISKEENGYQLHISLDSANIHCLSELSEELDNGDVLDISSTHQDRRQDSNGSASGDAKNCQNGYAMNSNYCSKDGVEDIDTCPIISAFPLGNNDCDPLVSSSSSGNCSSCLSEGDSSTSSSSGRNAESSSTSDSEDANPQCNEEDVSCTFSNDASDSKMDRGDSHGGRTAAEVAVASCNGAIFSKEYVKKADKCHDDGDFGYSMGHPSQHMHTLHNPAVHVSSFPSTAIGYHDQSAVCWQPIPANGFMPFHQPNHYMFSSPFGYGVAASQSPDFFIQYDRLQHLTTPAYNMDQRPLYQAPNRSNVASMKEQSKNFDIHGTRKIKGVNYPAEGVHSFERPFSDRQVPSKSASEHKDAACGADKSHNDSSAFSLFHFGGPLAGVSGYGVNPALPKEEKASGLSSNAYAGQADLTCPKEEMEVEEYSLFAASNAARFSFF >DRNTG_32494.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20977556:20987456:-1 gene:DRNTG_32494 transcript:DRNTG_32494.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLLPRGPPPLSSLSDGIWSRQRDAITNDQLQKFWSELPPSARRELLRIDKQTLFEQARKNLYCSRCNGLLLEGYSQIIMHGKSLQQGAAVSTYFPNRAGTAKVQTVNGSDVVQDPSVHPWGGLTATRDGVLTLLDCFLKTKSLKPLYNVFDSAKARERDREMLYPDACGGGGRGWISQGMANYGRGHGTRETCALHTTKLSCKTLVDFWRALGDETRLSLLRMKEEDFIERLMYRDCRRNVIREFKELKELKRMRRELRCTSWFCLADTAFQYEVSEDTIQADWHQTFTDTIGTYHHFEWAVGTGEGKSDILDFEDVGMNENVHVNGLELGGLNSCFITLRAWKLDGRCTELSVKAHALKGQQCVHRRLVIGDGYVTITEGESIERFFEHAEEAEEEEDDDAIDKDGNEYDGEGSRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHCIFVCLALKLLEERLHVACKEVITLEKQIKLLEEEENEKREEEERKERRRTKQREKKNRRKERLKGKEREREGNCSEPSSLQFDPLASVHDSSTSTHDESVCNLDSGDSNSDPGDNANTPEPTHDIMLEKPSNGLTNADNMRDDNLQHKCLADGDLSARDSYGSFILEQSKSSRRKLRYNKDSVQDQSSKWYDKRQAVNGNDRDIYNHESNLNLLGCAMASSRCLNGLQKQPRVNGVKANVRSILKFNDKFHCPNSRTRDRHEFHSCSCGPRVDYKAKDGYHVPISRSTKETCGANKKDSTLDIPRTFYRSSKFNHGCYTPDNVVISKGKLVTSTTSRDSIYTKQVWEPTDSRKKCMRSNSDPDISSRTGSKVDPSLDDEISKEENGYQLHISLDSANIHCLSELSEELDNGDVLDISSTHQDRRQDSNGSASGDAKNCQNGYAMNSNYCSKDGVEDIDTCPIISAFPLGNNDCDPLVSSSSSGNCSSCLSEGDSSTSSSSGRNAESSSTSDSEDANPQCNEEDVSCTFSNDASDSKMDRGDSHGGRTAAEVAVASCNGAIFSKEYVKKADKCHDDGDFGYSMGHPSQHMHTLHNPAVHVSSFPSTAIGYHDQSAVCWQPIPANGFMPFHQPNHYMFSSPFGYGVAASQSPDFFIQYDRLQHLTTPAYNMDQRPLYQAPNRSNVASMKEQSKNFDIHGTRKIKGVNYPAEGVHSFERPFSDRQVPSKSASEHKDAACGADKSHNDSSAFSLFHFGGPLAGVSGYGVNPALPKEEKASGLSSNAYAGQADLTCPKEEMEVEEYSLFAASNAARFSFF >DRNTG_28009.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001423.1:12713:16742:1 gene:DRNTG_28009 transcript:DRNTG_28009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPFLSMNSWTQTLAGVNFASGGSGLLDATGARFIQVLSFGKQMTDFETVVANLISNLGINKTRKLLAKSIFFVSTGSNDLFEDFQANPAKNETERCAFFDSLVASYQVNLEALRAEVTRAGEVEEKLCARRLGGLERWRIGSARGGFKELTDACCGNQTLCLPGSTFCANRTEFLFWDVNHPTEAAYRVAAQTLFVGSREFVTPINFGQLAAIKT >DRNTG_01563.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:9037169:9041461:1 gene:DRNTG_01563 transcript:DRNTG_01563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREIQVDVVHSQTAFTATVTRADHAPPSSMDSSSQLLHQPILPISSTPSNKLSLLPLIFLIYFEVSGGPFGAEPAVRAAGPLLALLGFLIFPFIWSVPEALITAELATAIPGNGGYVLWADRAFGPFFGSLMGFWKFLSGVINNAAYPVLCADYLSRVIHSLAAGTPRVIAIVLFSVVLSFLNYTGLTIVGYTAVALGFISLTPFYLMAGFTIPKVRPHRWLKMGKDIDWRLYFNTLFWNLNFWDNASTLAGEVENPQRIFPKALLASGLMTCIGYLVPLLAGTGALDVPQEDWSDGYFADIAGMIAGRWLKFWIETGAVLSAIGLYEAQLSSSSFQLLGMADLSILPRIFASRAKWFHTPWVGILVSSLITLAISFMSFTDIISSANFLYSLGMLLEFASFLWLRRKHPTLKRPYRVPVGLPALVCMCLIPSAFLIFVMSIATWKVYVISLGLTLLGIGVYYLMQHFRSKGCLEFTRGEDKGVEVEEASGTQI >DRNTG_28593.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7916525:7918199:-1 gene:DRNTG_28593 transcript:DRNTG_28593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAQLHAIHHLCSLSSVNSSSSSSSSSTITITMPSLPSYNQL >DRNTG_09501.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21659401:21661103:-1 gene:DRNTG_09501 transcript:DRNTG_09501.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 8h [Source:Projected from Arabidopsis thaliana (AT3G06420) UniProtKB/Swiss-Prot;Acc:Q8S925] MKQIMPFKDEFSFEERLAESRDIVAKYPDRVPVIAERYCRCDLPQMEKKKFLVPRDMSIGQFIHILSGRLRLTPDKALFVFIKNSLPQTASLMDMVYESYKDEDGFLYMCYSSEKTFG >DRNTG_01307.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1832728:1836517:1 gene:DRNTG_01307 transcript:DRNTG_01307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAEEVLVVNFQFFSFLALLFLLSLLLSPASGDEKANLLQLKHFLQENNKYSHGRYSQWNSSNSSENSPCQWFGITCNDEQHVTGIDLSSSNISGGLFDGFHLFPELTHLNLSHNTIGGPIPPEINKCRALTYLNLSHNIISGELNLTGLTNLHTLDITLNRLEGSIASNFPALCQSLVILNISSNNFTGDIHGSFDECKKLQYLDVSSNHFTGDIWRGFAYLREFCVSENNLTGEISVETFGADCNLEVLDLSVNNLHGEFPESISNCSSLKSLNLRANGFTGVIPSRIGELSELQSLYLGSNRFDRDLPEQLLQCSNLIFLDLSNNSFGGDVQDLFGKLKSVRFLLLHGNSYLGGIEKSGVLQLGNIERLDLSYNNFSGELPAKLDEMKQLKFLVFAWNNFSGRIPPEYGNITGLQALDLSYNKLTGPIPPEIGRLESLLWLMLAGNRISGEIPPEIGNCKSLLWLNLADNEISGRIPPEISKIGRDPSATFEANRRNDGVTAGSGECLAMKRWIPATYQPFSFVYELMTAKTCRFIWDRIIKGFGLFPVCINSSSPVQTLEISGYIQLSRNNLSGEIPAEIGEITNISLLHLDGNNLTGHLPSEISRLPLFILNVSTNRLSGQIPPEIGSMQCLQMLDLAVNNFSGKFPSTLVHLTQLSSFNVSFNPLLSGSIPQEGQFPTFGNASFLGDPLLVFPSLRAPPPPTPVAGRRVTGRAVAFWVFLALTAVFILSGTITVIYCLGIRTPHQNGSRSRGSIPLRLQTAQ >DRNTG_33385.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18824346:18824747:-1 gene:DRNTG_33385 transcript:DRNTG_33385.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLAVDDLENLLSEEEDETGSSVDSMSESDDSDGDMDTTDELEDSTILSKFKE >DRNTG_33385.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18822953:18824722:-1 gene:DRNTG_33385 transcript:DRNTG_33385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLAVDDLENLLSEEEDETGSSVDSMSESDDSDGDMDTTDELEDSTILSKFKEEIRKRNFWLQKGCVS >DRNTG_34447.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8049041:8049588:-1 gene:DRNTG_34447 transcript:DRNTG_34447.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKAPDEPQSHSHLVSHDVKSQCHAPPPSSNQQEAA >DRNTG_34447.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8048238:8049588:-1 gene:DRNTG_34447 transcript:DRNTG_34447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKQDIELNSDFFIEIHNKPPQVKDIDVQSLEEVNVMMDFPAYDSGSVSESLEQTKIENEQLNEIEVDIEQEDEDGVMDAEPVHLTEFDNKRKSRNESQYLQVESEPEAEKVDLKHLVMSERRTAEEWMIDYALQEVVNKLGPARKSKVELLVEAFEIIRPTPECELPPKHAQQRPVQARS >DRNTG_24154.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001288.1:7182:12266:1 gene:DRNTG_24154 transcript:DRNTG_24154.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMSH-like ubiquitin thioesterase 1 [Source:Projected from Arabidopsis thaliana (AT1G48790) UniProtKB/Swiss-Prot;Acc:Q8VYB5] MVGDNLRLKPYSGAISIAASARRIDVDNRFSLRLYYRIADNLIKQANIYRDENNIIDLYIMLLRFSSLITETIPNHRDYQVSLQREKLLYRKKLLDVLDELEALKPDVRRKLEDLSSKSRNQATMWVQPIQNISFDNSFVWPPAKKQTSKINDFSQVRGVGGYNGFSRGGLNGFSRGPVAQHEKLSQSKFDDRKFSLSIPRATEETLSRHSILGPNGLHGEWRPTIVNREIKYPSNLDLTPIEVPSLNPPTQEEPVDVKSNVASEKERSVLEEVLSLHDDGSLVRAEEPSSTIAPDIAEALSTLDIIKEPSVPPVHVHVEDLSTALRASNPSLGDSISLQDELARSETPLEVHISTTMMDSFMRLAKSNTDRNLETCGVLAGSLKNRKFFVTALIIPKQESTSDSCQTTNEEEIFDYQDKQSLFPLGWIHTHPTQSCFMSSIDVHTHYSYQIMLPEAIAIVMAPRDGSRTHGIFRLTTPGGMSVIRKCPHRGFHPHENPPDGGRIYDQCSDVYMNPNLRFDVVDFR >DRNTG_15151.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18690294:18691029:-1 gene:DRNTG_15151 transcript:DRNTG_15151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPMPTIAAVTGHAAAGGFIIARAHDYVVMRGDRGFVYMSELDIGVPIARYAMSVLRSRITDARALRDLLLRPEKMKAGEAERMGVIDRAVEGGAEETVAVAVRMAEEMAAKGWDDSIYASMRKGAFPQVFRDLGLAEDTDEDISRVFISKI >DRNTG_07010.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3213973:3214230:-1 gene:DRNTG_07010 transcript:DRNTG_07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREECRKWLTERVDLNRDGKISRRELHQAIQKLGLRFGWVEAWYEAWHAVKKLDINKNGAIDVDSEIDQLIIYAEKEWGIKFIN >DRNTG_28966.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001462.1:39639:40413:1 gene:DRNTG_28966 transcript:DRNTG_28966.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGLTLRPLTMPTPHVFFNHPSPSTGNSRSLVSNGWSNLPRFLQSLARETRKGVTVDE >DRNTG_10205.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000430.1:34928:36878:-1 gene:DRNTG_10205 transcript:DRNTG_10205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISKIHAAGIAKHCEIDNEAVVKHAECFNGVDLLNVCTEIGMSVICAKCDYVIHEDFMKA >DRNTG_30753.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2207562:2209057:-1 gene:DRNTG_30753 transcript:DRNTG_30753.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRCYRTNISYTPPECITRIDRSLPEIHVVGMIIPESMIYSFGIMLRDLLSGKQILGIEAVRLILGKKIPIILDSRLNGEYSIEEETALVKLAHQCLEQSPTSRPTITDVIATFAQIQSNNAGPSNSMPGIDEQDT >DRNTG_30753.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2207562:2211960:-1 gene:DRNTG_30753 transcript:DRNTG_30753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFSTAAHQPKNHDSDNDEHNQDTNVNPAEDTNVNPAEDTNVIPTEDTNVNPAEDTNVIPTEVMALSEYGWNYVTEQHQEGTTPFRQYTLQELMAATDGFSEQKFLSKGDGEIPNNTYSGLLPGGRQIAVKNFSLLAWPDEEQFKVMAIRGGRLRHRRLVNLIGYCCDDDERLLVAEFMPNDSLATYLSNPKKRTMEWSMRLRVARYIAEALEYCINEAQTLYFDLNPNKVLFDEADNPCLSCFGLAKNHMRRRCYRTNISYTPPECITRIDRSLPEIHVVGMIIPESMIYSFGIMLRDLLSGKQILGIEAVRLILGKKIPIILDSRLNGEYSIEEETALVKLAHQCLEQSPTSRPTITDVIATFAQIQSNNAGPSNSMPGIDEQDT >DRNTG_30753.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2207562:2208368:-1 gene:DRNTG_30753 transcript:DRNTG_30753.3 gene_biotype:protein_coding transcript_biotype:protein_coding AVRLILGKKIPIILDSRLNGEYSIEEETALVKLAHQCLEQSPTSRPTITDVIATFAQIQSNNAGPSNSMPGIDEQDT >DRNTG_17589.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3484970:3493807:1 gene:DRNTG_17589 transcript:DRNTG_17589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGHNFMIAPMEPPAHMRTLDLEAMSAQEFPEYPLLYADTLTRATTNGDLHVGMSIYVRQFDREEKSFMVDEMSPPQCGRQASTFRINLRSHWCDCGAFQTLHLPCRHWEEYVDNVYRLQTVFNVYRKEFEPVSNEGYWNPYNGPCLRPNITMRRPTKGRAKSTRIHNEMDIREGVQRKRCGLCRNEGHSRRNCPNIAGSSTRS >DRNTG_04562.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:7545909:7551520:-1 gene:DRNTG_04562 transcript:DRNTG_04562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEGYAAWEEIEVSTDKGRREVHFYLKRGDGQVDLAVVGREKSVRHMAYHVTGQFLRTALAGYMSAPSVSSAAARFSLKWRSKREVVDWLKTFISASHPYKSSSAVDRFLDDDDDDDNGEIADMSPPKDLSSLRVLHCSKEFSWLGSAWNCRKRRKHYRSFCRNGITVSVHDFVYIMAEDRKRLVAYVEDLYEDLRGNRMVVVRWFHKVDEVAVVLPPDVNDREIFFSLCLQDFSVECIDGLAAVLSTQHFEKFQNEARHGNWEPYLCRRQIDNDDIKPFDVTQVQGYWRQELLRSMSTSSRKLKLKITYGGSNLDRENDSVSLSGTKRKQRVSNEDISRKAFNKFRTSGNGSRSEVQAPGTISGVPGSKEELLKQKFQKMLYPGCNVEVLSQDSGVRGCWFQCVVLKKHQDKVKVRYQDILDADETGNLEEWVLASRIAVADKLGIRSSGRQIVRPQRTDKGMIQCSFNAGAVVDAWWNDGWWEGIVICKESEQKIHVYFPDERRASTFCKDDLRHSQDWVCFQWENIKDRPDITNSMLPNLASDGGIPPLVSSEQDGQPESDHTQAEEAQSAKKVKCELRSSEEPLVQEQKVPNLVKDCMRNGLRWVSSRKRRRGRDQDKVGLVCKRPSDGSCRSIQDEAAVSLHSGQFLLPKSMTIDHDNCKGGGDLLFNAPMSVSNLVMSR >DRNTG_21672.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10956409:10958011:-1 gene:DRNTG_21672 transcript:DRNTG_21672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAHRHRSYILRKRAFLGKRVLKQREESLGRRGMGNSLGCSASGERLVSAARDGDLTEARMILEFNPSLTKYSTFSGLNSPLHFVVAKGHCEIVTLLLENGADVNSRNYCDQTALMQACRNGHWEVVQTLLLYRK >DRNTG_21672.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10956409:10958011:-1 gene:DRNTG_21672 transcript:DRNTG_21672.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAHRHRSYILRKRAFLGKRVLKQREESLGRRGMGNSLGCSASGERLVSAARDGDLTEARMILEFNPSLTKYSTFSGLNSPLHFVVAKGHCEIVTLLLENGADVNSRNYCDQTALMQACRNGHWEVVQTLLLYRSNESRLSEW >DRNTG_04805.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2382211:2382678:1 gene:DRNTG_04805 transcript:DRNTG_04805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEEAHNVRVVGSGERTIVLAHGFGTDQSVWKHLVPHLVNEYRVVLFDNMGAGPTNPDYFDFERYASLQGYVLDLLAIFEELVITSPVIYVGHSVSGAIGLIASIHRPDLFSKIVLISASPRCELSSPL >DRNTG_27222.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:881869:886876:-1 gene:DRNTG_27222 transcript:DRNTG_27222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYDSNPFDEEEVNPFADPAVRKGAGQSNFGGGAFYTTNPGSVPPASNSRLSPLPPEPADFYNDRSATIDIPLDSSKDLKKKEKELQAKEAELKKREQELKRREDAAARAGIVIEEKNWPPFFPIIHHDIANEIPIHLQRLQYFAFASLLGLTLCLFWNIIAVTTAWIKGEGVKIWLLAIIYFISGVPGSLCFVV >DRNTG_11917.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:473205:474756:1 gene:DRNTG_11917 transcript:DRNTG_11917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGEENHDMHGELYNMMDVYRNEHEMSVMVSALTHVVSGEPEFGGGGGGFVGAPVSSGFIDTVTTVSSSSSSITTTSALSLRNKRTREMEAVQQFDMKYYLESFGEFGGHAGDSSSFSSSCSSSMVAAAAAKEQNQESMQTISQVTSSSEETCNKEQVQQERRRYRGVRQRPWGKWAAEIRDPHKATRVWLGTFETAEAAAMAYDQAALRFRGNRAKLNFPENVHLYPTPLLPLPSSSSSSSSSSSSSSSSLFHFHTSSLPPPTPLLDQLWNPNPVLITTTTTATASSSSSYDDDDGGALFYMQTEQQQQQRGGISFSRRPPWPWSSSFPPPSQ >DRNTG_05624.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:17177197:17177692:-1 gene:DRNTG_05624 transcript:DRNTG_05624.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCNMSAWKTQSSLFLFCPYVQEATIEDSTDVTTWTT >DRNTG_13229.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2793189:2797150:-1 gene:DRNTG_13229 transcript:DRNTG_13229.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein 3 [Source:Projected from Arabidopsis thaliana (AT5G48385) UniProtKB/Swiss-Prot;Acc:Q67ZB3] MADTQSVAILIDSTTSKIQQLQQAFAELESHNAVSLNLKWKELEEHFHGLENSLKTRFVELQDQEKEYETKVMETQEMLEKQEAVVVAKEQATLEQLQGKRDAALSDIGYVFGKYRVLPPVSMKDVSNGVPDTMIEEKLDVKPVSLNSDEVIVKEDNNPQSELMKLCENMDSKGLHKFISDNRKNLASIREEIPIALRNAADPFALVLDSLKDFYSGEILGLDGKKDASLLGLRRTCLMLMESLGSLMEDTVSDYPYNKILTSDIRQQAKLIANDWKPKLNDLDIDANNGNSLEAHAFLQLLATFGIASEFDEGEICKLIPAVSRRRQTADLCRSLGLTQKMPGVIEALINSGRQIDAVNLAYAFDLTQQFAPVPLLKAYLKEARKISHHKAGNASPGAQNELNERELTALKVVIKCIEDHKLEEQYPVDPLQKRIMQLEKAKADKRRAAETAKPQSKRPRANGTTYAPRVTSIPEKSFYRPPDRYPYSYDRQFVYPTEPHPPPPLMGSVSYTISPTHSTYYGNGYQVQYQTSFIH >DRNTG_13978.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27868035:27871740:-1 gene:DRNTG_13978 transcript:DRNTG_13978.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVSTCALACRLLRMDGYDVTSEYLAQYEERNSVDNSMEGYKIDVNAVLELFKASHVKIFPPEEVLDKLNSWTSHFLREELSTFMTNDLQNVFKEVDYALKFPLYANLDRLEHKRNIEQSRIEDFQILKTACISHGVDKKDILELALDEFKMCQSIYQKELTDLERWTKENKLDQLKFARQKVAYCYLAASGVMQINIIFSALRKHN >DRNTG_18198.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000938.1:14332:15318:1 gene:DRNTG_18198 transcript:DRNTG_18198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWTKNHEKPNSTSLEHRERSHSSSTFLASKIPAKWMLCNPPITINIVGLCFVACKKI >DRNTG_23783.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8690854:8700892:1 gene:DRNTG_23783 transcript:DRNTG_23783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLPPTGSSWVYSHIGSGTLISTGRTLKKAKVKLHHTF >DRNTG_34990.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002222.1:101281:106599:1 gene:DRNTG_34990 transcript:DRNTG_34990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSGRRSLIRAFDSRLQIFFDMVDKDADGRITEAEVKEILMLSASANKLSRLKEQAEEYAALIMEELDPERLGYIELWQLETLLLQKDTYLSYSQALSYTSQALSQNLAALRRKGTIRKLGTKVAYFLEEHWKRLWVLVLWVGIMAALFSWKFIQYRNRYSFQVMGYCLTTAKGAAETLKLNMALILLPVCRNTITWLRATRLSRAVPFDDNINFHKTVAAAIVVGVILHVGNHLTCDFVRLTNASDAKYGPLKDSFGAEKPDYGTLLRGTEGVTGLLMLLFMIVSFTLATRWFRRSLVKLPKPFDRVTGFNAFWYSHHLFVVVYVLLIVHGQFVYLDHRWYHKTTWMYLSVPVILYAGERTLRAFRSGFYSVRILKVAIYPGNVLTLQMSKPPGFRYKSGQYMFVQCPSVSPFEWHPFSITSAPGDDFLSIHIRQLGDWTRDLKRVFSEACEPPMPGKSGLLRADENTKKSMPKLLIDGPYGAPAQDYTKYDVLLLVGLGIGATPFISILKDLLNNVVKMEEQAEVVSDYFQPSDAKVGDLEVPSPARSSSSSSRSKKALKTTNAYFYWVTREQGSFDWFKSVMNEIADLDQRGVIEMHNYLTSVYEEGDARSALITMVQALNHAKNGVDVVSGTRVRTHFARPNWKKVFSRTCTRHPYARIGVFYCGAPVLAQELSNLCYEFNQKGGTKFEFHKEHF >DRNTG_22878.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001251.1:50655:51980:-1 gene:DRNTG_22878 transcript:DRNTG_22878.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGTPVTLFQPSVPVLSGAEYGRWSLRMKTVFRSQELWDLVEKGVAESKEEATERENRKHDAKALCLIQQAVDGPFLDRISEAKMAHEAWETLRKQCQGTTKVRAVRIQALWQEFETLQMEDSEGMQDYLTRVTVATNQVRALGHKLAESEVVSKVLRSLAPKFDFVAVAIEESKEMSSLTLDNLSGTLLAHEIRVNRMQAKGGEKALVVKGDLSCANSSKGGGSGNSWGDGRGRGRSFTRGRGRARGGRGRGTENRSQVQCFHCKKFGHVKANCWAREKQPEKESSMVAEEKEPSNVFMVSRAVESGSSSVWLIDSGCSNHMTGDRSLFVNLDEGQKVSVRLGNDKEMLVEGVGTVRIHSKSGEQRVIHRVQFVPGLAHNLLSVGQLLSKGYSVMFNQESCIIADNQTKKPVIEIQKSRNNMFLIDVMYNGAPFSVTK >DRNTG_02615.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21345943:21346593:-1 gene:DRNTG_02615 transcript:DRNTG_02615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTSKLGFKTHTHTHKGSRFHTEYSSRKRRSHREEQSCSKETMTYTTPHSF >DRNTG_28393.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3864217:3870779:-1 gene:DRNTG_28393 transcript:DRNTG_28393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQFDDSIERILARFESSYQDQRQEPFSVSVTISNLESCGMDKLIAVADCKEIDRPVDKEESKCEHEANDFEEIDKLKEGSLRPSIFEPPELELKTLPIFLSHQEKTHGRVEFPHARVCSLRAHPEKAQGRAAAPVDDHATGARPWVISARACEFMQSWEVFPESTQGSGLAPVGNLVDHARTWVIFARPCETLQVAPSIPRKHRGVRLPPLEKLKFGQTRILDVELLRQLQLADDMADEVEKLLLVGNWHKLVNIRDPDIRTLTLEVLASFEYDRSYADFDSVDVIQFRAFGQHHSMSVTQFSTRLGLYDDEYTETEEYENLPIDMTALSPIKAYSLLCGKGRYEPGVSKASCLSRPSYRYLHAIISRSVNGREYLKHQGQYPRLGVIFSGSYITKLIVGMGLRGQISGTEKAIIPAPLGLETMRLMGLIQKYSNGVYVLNIPFKDEAGASQSAPEPQPTPMETETPSAAEEPPPMHRMPPARAHDRFERLESAVGVVWTEVAKVRAEVAGIRATQATQYTEFMAHFDILQQILERDVASSFVLQSRILQAPSAPSAPEDPPCASTSAVEAQEPERITNT >DRNTG_24538.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2375224:2386149:1 gene:DRNTG_24538 transcript:DRNTG_24538.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDVACLRGLSFSSPIPHFPRPPSRLRRCSRRSPLLACQADTLIAGSRKERSSRAVKVDKGADTLDLQSWLVREGLPPCKVVLNERPSHDGKVRPIHYIAASEDLQAGDVAFSVPNSLVVTLERVLGNETVAELLTTNKLSELACLALYLMYEKKQGKESFWYPFIRELDRQRGRGQLAVESPLLWSETELAYLDGSPTRDEVREREEGIRREYDELDTVWFMAGSLFKQYPYDIPTVAFPFEIFKQAFVAVQSCVVHLQKVSLARRFALVPLGPPLLAYKSNCKAMLTAVDDAVQLVVDRPYKAGESIVVWCGPQPNSRLLINYGFVDEDNPHDRISIEISLNTEDPQYQEKRLVAQRNGKLAVQVFNVYVGREKEAVLDMLPFLRLGYVSDHAEMQSVISSQGPICPVSPCMERAVLDQLLGYFEARLAGYPTTLCEDEAMLADGNLDPKKHVALRLLRSEKKMLSACCDATVQLIDQLPDHTVSPCPAPFAPQFN >DRNTG_24538.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2375224:2386149:1 gene:DRNTG_24538 transcript:DRNTG_24538.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVACLRGLSFSSPIPHFPRPPSRLRRCSRRSPLLACQADTLIAGSRKERSSRAVKVDKGADTLDLQSWLVREGLPPCKVVLNERPSHDGKVRPIHYIAASEDLQAGDVAFSVPNSLVVTLERVLGNETVAELLTTNKLSELACLALYLMYEKKQGKESFWYPFIRELDRQRGRGQLAVESPLLWSETELAYLDGSPTRDEVREREEGIRREYDELDTVWFMAGSLFKQYPYDIPTVAFPFEIFKQAFVAVQSCVVHLQKVSLARRFALVPLGPPLLAYKSNCKAMLTAVDDAVQLVVDRPYKAGESIVVWCGPQPNSRLLINYGFVDEDNPHDRISIEISLNTEDPQYQEKRLVAQRNGKLAVQVFNVYVGREKEAVLDMLPFLRLGYVSDHAEMQSVISSQGPICPVSPCMERAVLDQLLGYFEARLAGYPTTLCEDEAMLADGNLDPKKHVALRLLRSEKKMLSACCDATVQLIDQLPDHTVSPCPAPFAPQFN >DRNTG_24538.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2375224:2386149:1 gene:DRNTG_24538 transcript:DRNTG_24538.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNWWLTAHTRLGNQLLCGPQPNSRLLINYGFVDEDNPHDRISIEISLNTEDPQYQEKRLVAQRNGKLAVQVFNVYVGREKEAVLDMLPFLRLGYVSDHAEMQSVISSQGPICPVSPCMERAVLDQLLGYFEARLAGYPTTLCEDEAMLADGNLDPKKHVALRLLRSEKKMLSACCDATVQLIDQLPDHTVSPCPAPFAPQFN >DRNTG_24538.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2375224:2386149:1 gene:DRNTG_24538 transcript:DRNTG_24538.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVACLRGLSFSSPIPHFPRPPSRLRRCSRRSPLLACQADTLIAGSRKERSSRAVKVDKGADTLDLQSWLVREGLPPCKVVLNERPSHDGKVRPIHYIAASEDLQAGDVAFSVPNSLVVTLERVLGNETVAELLTTNKLSELACLALYLMYEKKQGKESFWYPFIRELDRQRGRGQLAVESPLLWSETELAYLDGSPTRDEVREREEGIRREYDELDTVWFMAGSLFKQYPYDIPTVAFPFEIFKQAFVAVQSCVVHLQKVSLARRFALVPLGPPLLAYKSNCKAMLTAVDDAVQLVVDRPYKAGESIVVWCGPQPNSRLLINYGFVDEDNPHDRISIEISLNTEDPQYQEKRLVAQRNGKLAVQVFNVYVGREKEAVLDMLPFLRLGYVSDHAEMQSVISSQGPICPVSPCMERAVLDQLLGYFEARLAGYPTTLCEDEAMLADGNLDPKKHVALRLLRSEKKMLSACCDATVQLIDQLPDHTVSPCPAPFAPQFN >DRNTG_24538.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2375224:2386149:1 gene:DRNTG_24538 transcript:DRNTG_24538.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVACLRGLSFSSPIPHFPRPPSRLRRCSRRSPLLACQADTLIAGSRKERSSRAVKVDKGADTLDLQSWLVREGLPPCKVVLNERPSHDGKVRPIHYIAASEDLQAGDVAFSVPNSLVVTLERVLGNETVAELLTTNKLSELACLALYLMYEKKQGKESFWYPFIRELDRQRGRGQLAVESPLLWSETELAYLDGSPTRDEVREREEGIRREYDELDTVWFMAGSLFKQYPYDIPTVAFPFEIFKQAFVAVQSCVVHLQKVSLARRFALVPLGPPLLAYKSNCKAMLTAVDDAVQLVVDRPYKAGESIVVWCGPQPNSRLLINYGFVDEDNPHDRISIEISLNTEDPQYQEKRLVAQRNGKLAVQVFNVYVGREKEAVLDMLPFLRLGYVSDHAEMQSVISSQGPICPVSPCMERAVLDQLLGYFEARLAGYPTTLCEDEAMLADGNLDPKKHVALRLLRSEKKMLSACCDATVQLIDQLPDHTVSPCPAPFAPQFN >DRNTG_24538.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2375224:2386149:1 gene:DRNTG_24538 transcript:DRNTG_24538.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVACLRGLSFSSPIPHFPRPPSRLRRCSRRSPLLACQADTLIAGSRKERSSRAVKVDKGADTLDLQSWLVREGLPPCKVVLNERPSHDGKVRPIHYIAASEDLQAGDVAFSVPNSLVVTLERVLGNETVAELLTTNKLSELACLALYLMYEKKQGKESFWYPFIRELDRQRGRGQLAVESPLLWSETELAYLDGSPTRDEVREREEGIRREYDELDTVWFMAGSLFKQYPYDIPTVAFPFEIFKQAFVAVQSCVVHLQKVSLARRFALVPLGPPLLAYKSNCKAMLTAVDDAVQLVVDRPYKAGESIVVWCGPQPNSRLLINYGFVDEDNPHDRISIEISLNTEDPQYQEKRLVAQRNGKLAVQVFNVYVGREKEAVLDMLPFLRLGYVSDHAEMQSVISSQGPICPVSPCMERAVLDQLLGYFEARLAGYPTTLCEDEAMLADGNLDPKKHVALRLLRSEKKMLSACCDATVQLIDQLPDHTVSPCPAPFAPQFN >DRNTG_20437.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4495305:4502447:-1 gene:DRNTG_20437 transcript:DRNTG_20437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGRVDHGLKEMVAMVVVQSIYACMTIFSKEAFTEGMSIIVFVVYRQAIASLLLIPTSIILN >DRNTG_14085.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10361584:10362018:1 gene:DRNTG_14085 transcript:DRNTG_14085.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPITDTLRLLQTSPLQRHPSPSTARASSSLLLPSTPTTHHTLSFISDASMLDSESESFSCDTLSSLHHTKYTTDANHS >DRNTG_04547.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22512861:22514048:-1 gene:DRNTG_04547 transcript:DRNTG_04547.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANGSLDKFIFSSNNSLNHRFSLRKLTEIALGIARGIDYLHQGCDMQILHFDIKPHNILLDHNFMPKISDFGLAKLYPRDYNFVTLSVARGTIGYIAPELISRSFGLISHKSDVYSFGMLLLEMAGGRKNADQRAEKTSRVYYPSWIFDKLNNPIDHDAQEIDTGVAKLVISDAEKKLCMIGLWCIQMRPSDRPSMSKVIEMLEGDVDDLQMPPKPFFSDSSPTILSLPCSNISQEELNTSSNGIVCLD >DRNTG_04547.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22512861:22515244:-1 gene:DRNTG_04547 transcript:DRNTG_04547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHSCRLPLHSLPASKVMSPSFPYYSLYGSLWVSFVNCSNEVKNNYIYKPVTCLRNTDNKSFIYVIASEEAYRVGNLVSSCSFLAMTAMSYDAATNYSGTDIFKLLAKGFTLGKPGSTSLAFLLEMIFLATLEQITSLVIAHTLFGRFVVAPLIICGFLSYKFRKMIVSVDNVERFLRMQKGLVPTRYSYTDLIALTSHFKEKLGHGGFGSVFKGRLPGNHLLAIKMLGNSKANGEDFINEVSTIGRIHHVNVVKLIGF >DRNTG_24904.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:415391:455598:-1 gene:DRNTG_24904 transcript:DRNTG_24904.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQCTGPVDMAALNNGKASERLMGSLTVDSVRALATSLTATDHIPTRYIRPEVESEAVIILGAADEDEIPVIDYHKLLDPELSDAECSKLHLACQNWGFFQLINHDVPKEVSKRMMFVIEEFFKLSLDEKKQFKQPPGQVQGFGQWFVFSEEQKLDWADMLFYHISPSHLKKMELWPSKPSAFRDAFDEYTTEVNRLANCLLGFMAKNLGLNPSEIIGNSENETQSVRINCYPPCPEAKKVLGLSPHSDACSLTLVLQVNDVTGLQIRRNDKWLPIKPIPGAFVANMGDALEVISNGKYKSIEHRAVTNTQKERFSIAAFHGPNFNATVRPHPDLVLKGEPLYKSMDYQSFIKLFLASKLDGKKFMDDIKLNK >DRNTG_24904.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:415391:416857:-1 gene:DRNTG_24904 transcript:DRNTG_24904.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQSLITTYKLLDPELSDAECSKLHLACQNWGFFQLINHDVPKEVSKRMMFVIEEFFKLSLDEKKQFKQPPGQVQGFGQWFVFSEEQKLDWADMLFYHISPSHLKKMELWPSKPSAFRDAFDEYTTEVNRLANCLLGFMAKNLGLNPSEIIGNSENETQSVRINCYPPCPEAKKVLGLSPHSDACSLTLVLQVNDVTGLQIRRNDKWLPIKPIPGAFVANMGDALEVISNGKYKSIEHRAVTNTQKERFSIAAFHGPNFNATVRPHPDLVLKGEPLYKSMDYQSFIKLFLASKLDGKKFMDDIKLNK >DRNTG_01832.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13094476:13096165:1 gene:DRNTG_01832 transcript:DRNTG_01832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITPRTRLGGLGAQTNGRRSTGREPSRPARPQDLV >DRNTG_01832.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13094476:13095441:1 gene:DRNTG_01832 transcript:DRNTG_01832.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLITPRTRLGGLGAQTNGRRSTGREPSRPARPQDLV >DRNTG_01832.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13094476:13094812:1 gene:DRNTG_01832 transcript:DRNTG_01832.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLITPRTRLGGLGAQTNGRRSTGREPSRPARPQDLV >DRNTG_29406.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1893557:1895653:1 gene:DRNTG_29406 transcript:DRNTG_29406.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAISWGLGWKRPSEVFHLLLDYGELDDDPSLSRELPLSPHSSPPHPRISSAGELGFRIDLDWLAGDDEDQIALRLQSQLMVALPPPQDSVVLDLHHNANADADGDLDDGVTVDMKIVTRREPLRSIRMYRTAGSGQQSDGVGVLTRLLRSDLAPAGSGESVLELAEHWKGVTVLDLSGCGLSVLPVELTKLPQLERLYLDNNKLSLLPPELGQLKRLKILSVDNNMLVSVPAELRQCVALVELSLEHNKLVRPLLDFRAMPELCVLRLFGNPLEFLPEILPLHNLRHLSLANIRIEATENIKTINIQIETDTSSSYFIASRHKLSAFFTLVFRFSSCHHPLLASALAKLMEDPSNRVAISKEENAVRQLISMISSDDHHV >DRNTG_29406.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1895765:1901428:1 gene:DRNTG_29406 transcript:DRNTG_29406.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIKSDIMQPIESVLKSVDTEELISVLRVVVTLAFASDSVAQKMLTRDVLKSLKALCAHRNTEVQRLSLYAVGNLAFCLENRRTLAQSESLRELLLRLIMVHDPCVSKAAARALAILGENESLRRAIKGRPVGRQGLRILSMDGGGMKGMATVQILKQIEQGTGKRIHEMFDLIGGTSTGGMLAVALGIKQMTLDQCEEIYKKLGKLVFTEPTPKDEAATWREKLDQLYKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLLIESAVKAVPKVFVVSTLVSIMPAQPFIFRNYQYPAGTAELPSGMAESPAISAVGSTPHSAQIGRRTASIGSCKHHIWQAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFAMREAQLLWPDARIDCLVSIGCGSVPNKARKGGWRYLDTGQVLIESACSVDRVEEALDTLMPLLPEMRYFRFNPVDERCGMELDETDPSVWLKLEAATEEFIQRNSQSFKNLCDILAPRDQNEEKILEKLKSLNLPKKPTAADLDENSPSLGWRRMVLLVESLYSPDTGKAIHHARSLETFCARSGIRLSLTSNSSGFSKPVTAVPTPFTSPLFTGSFPSSPLVYSPEFGPQRINRIDLVPPLSLDGHQFGKASASPPSSPLASRQPSIPVRSLHEKLQNLPQVGIIHLALQNDLTGAVLSWQNDVFVVAEPGELAERFLQSVKMSLSSMLRSHSRKDVCSLAKVQSVADLVAQWRYFQVGGILHRYIGCQTQVMDDNQEIGAFMFRRTVPATHLTADDVRWMVGAWRDRIIISTGKYGLPPSMVKAFLDSGAKAIISSSVEPPDTEAISFQGSGEYNGLQNGKFVIGDDEAEDEEPPEPTSPISDWEDSDHEKGGGILSMNWMDDDEEELSRFVCVFYDSLFREGNRIDAALQQALRMHPKLRYSCHLP >DRNTG_29406.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1896108:1901428:1 gene:DRNTG_29406 transcript:DRNTG_29406.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDPCVSKAAARALAILGENESLRRAIKGRPVGRQGLRILSMDGGGMKGMATVQILKQIEQGTGKRIHEMFDLIGGTSTGGMLAVALGIKQMTLDQCEEIYKKLGKLVFTEPTPKDEAATWREKLDQLYKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLLIESAVKAVPKVFVVSTLVSIMPAQPFIFRNYQYPAGTAELPSGMAESPAISAVGSTPHSAQIGRRTASIGSCKHHIWQAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFAMREAQLLWPDARIDCLVSIGCGSVPNKARKGGWRYLDTGQVLIESACSVDRVEEALDTLMPLLPEMRYFRFNPVDERCGMELDETDPSVWLKLEAATEEFIQRNSQSFKNLCDILAPRDQNEEKILEKLKSLNLPKKPTAADLDENSPSLGWRRMVLLVESLYSPDTGKAIHHARSLETFCARSGIRLSLTSNSSGFSKPVTAVPTPFTSPLFTGSFPSSPLVYSPEFGPQRINRIDLVPPLSLDGHQFGKASASPPSSPLASRQPSIPVRSLHEKLQNLPQVGIIHLALQNDLTGAVLSWQNDVFVVAEPGELAERFLQSVKMSLSSMLRSHSRKDVCSLAKVQSVADLVAQWRYFQVGGILHRYIGCQTQVMDDNQEIGAFMFRRTVPATHLTADDVRWMVGAWRDRIIISTGKYGLPPSMVKAFLDSGAKAIISSSVEPPDTEAISFQGSGEYNGLQNGKFVIGDDEAEDEEPPEPTSPISDWEDSDHEKGGGILSMNWMDDDEEELSRFVCVFYDSLFREGNRIDAALQQALRMHPKLRYSCHLP >DRNTG_29406.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1893557:1901428:1 gene:DRNTG_29406 transcript:DRNTG_29406.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGRAMPELCVLRLFGNPLEFLPEILPLHNLRHLSLANIRIEATENIKTINIQIETDTSSSYFIASRHKLSAFFTLVFRFSSCHHPLLASALAKLMEDPSNRVAISKEENAVRQLISMISSDDHHVVEQACFALSSLAADTSLAMQLIKSDIMQPIESVLKSVDTEELISVLRVVVTLAFASDSVAQKMLTRDVLKSLKALCAHRNTEVQRLSLYAVGNLAFCLENRRTLAQSESLRELLLRLIMVHDPCVSKAAARALAILGENESLRRAIKGRPVGRQGLRILSMDGGGMKGMATVQILKQIEQGTGKRIHEMFDLIGGTSTGGMLAVALGIKQMTLDQCEEIYKKLGKLVFTEPTPKDEAATWREKLDQLYKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLLIESAVKAVPKVFVVSTLVSIMPAQPFIFRNYQYPAGTAELPSGMAESPAISAVGSTPHSAQIGRRTASIGSCKHHIWQAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFAMREAQLLWPDARIDCLVSIGCGSVPNKARKGGWRYLDTGQVLIESACSVDRVEEALDTLMPLLPEMRYFRFNPVDERCGMELDETDPSVWLKLEAATEEFIQRNSQSFKNLCDILAPRDQNEEKILEKLKSLNLPKKPTAADLDENSPSLGWRRMVLLVESLYSPDTGKAIHHARSLETFCARSGIRLSLTSNSSGFSKPVTAVPTPFTSPLFTGSFPSSPLVYSPEFGPQRINRIDLVPPLSLDGHQFGKASASPPSSPLASRQPSIPVRSLHEKLQNLPQVGIIHLALQNDLTGAVLSWQNDVFVVAEPGELAERFLQSVKMSLSSMLRSHSRKDVCSLAKVQSVADLVAQWRYFQVGGILHRYIGCQTQVMDDNQEIGAFMFRRTVPATHLTADDVRWMVGAWRDRIIISTGKYGLPPSMVKAFLDSGAKAIISSSVEPPDTEAISFQGSGEYNGLQNGKFVIGDDEAEDEEPPEPTSPISDWEDSDHEKGGGILSMNWMDDDEEELSRFVCVFYDSLFREGNRIDAALQQALRMHPKLRYSCHLP >DRNTG_29406.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1893557:1901428:1 gene:DRNTG_29406 transcript:DRNTG_29406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISWGLGWKRPSEVFHLLLDYGELDDDPSLSRELPLSPHSSPPHPRISSAGELGFRIDLDWLAGDDEDQIALRLQSQLMVALPPPQDSVVLDLHHNANADADGDLDDGVTVDMKIVTRREPLRSIRMYRTAGSGQQSDGVGVLTRLLRSDLAPAGSGESVLELAEHWKGVTVLDLSGCGLSVLPVELTKLPQLERLYLDNNKLSLLPPELGQLKRLKILSVDNNMLVSVPAELRQCVALVELSLEHNKLVRPLLDFRAMPELCVLRLFGNPLEFLPEILPLHNLRHLSLANIRIEATENIKTINIQIETDTSSSYFIASRHKLSAFFTLVFRFSSCHHPLLASALAKLMEDPSNRVAISKEENAVRQLISMISSDDHHVVEQACFALSSLAADTSLAMQLIKSDIMQPIESVLKSVDTEELISVLRVVVTLAFASDSVAQKMLTRDVLKSLKALCAHRNTEVQRLSLYAVGNLAFCLENRRTLAQSESLRELLLRLIMVHDPCVSKAAARALAILGENESLRRAIKGRPVGRQGLRILSMDGGGMKGMATVQILKQIEQGTGKRIHEMFDLIGGTSTGGMLAVALGIKQMTLDQCEEIYKKLGKLVFTEPTPKDEAATWREKLDQLYKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLLIESAVKAVPKVFVVSTLVSIMPAQPFIFRNYQYPAGTAELPSGMAESPAISAVGSTPHSAQIGRRTASIGSCKHHIWQAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFAMREAQLLWPDARIDCLVSIGCGSVPNKARKGGWRYLDTGQVLIESACSVDRVEEALDTLMPLLPEMRYFRFNPVDERCGMELDETDPSVWLKLEAATEEFIQRNSQSFKNLCDILAPRDQNEEKILEKLKSLNLPKKPTAADLDENSPSLGWRRMVLLVESLYSPDTGKAIHHARSLETFCARSGIRLSLTSNSSGFSKPVTAVPTPFTSPLFTGSFPSSPLVYSPEFGPQRINRIDLVPPLSLDGHQFGKASASPPSSPLASRQPSIPVRSLHEKLQNLPQVGIIHLALQNDLTGAVLSWQNDVFVVAEPGELAERFLQSVKMSLSSMLRSHSRKDVCSLAKVQSVADLVAQWRYFQVGGILHRYIGCQTQVMDDNQEIGAFMFRRTVPATHLTADDVRWMVGAWRDRIIISTGKYGLPPSMVKAFLDSGAKAIISSSVEPPDTEAISFQGSGEYNGLQNGKFVIGDDEAEDEEPPEPTSPISDWEDSDHEKGGGILSMNWMDDDEEELSRFVCVFYDSLFREGNRIDAALQQALRMHPKLRYSCHLP >DRNTG_33024.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17174867:17176630:1 gene:DRNTG_33024 transcript:DRNTG_33024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASIAHYDWPEDWPELLPFLLQLISGQNNIDGVRGSLRCLALLSGDLDDTLVPKLVPALFPHLQKILSSPNSYEKSLRAKAVEIMHSCISVLGSMTGVYKTEVITVMTPMLNSLLEQFSVILQPPVQPEDPDDWGIRMEVLKCLLQLVQNF >DRNTG_33024.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17175408:17176514:1 gene:DRNTG_33024 transcript:DRNTG_33024.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASIAHYDWPEDWPELLPFLLQLISGQNNIDGVRGSLRCLALLSGDLDDTLVPKLVPALFPHLQKILSSPNSYEKSLRAKAVEIMHSCISVLGSMTGVYKTEVITVMTPMLNSLLEQFSVILQPPVQPEDPDDWGIRMEV >DRNTG_33024.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17174867:17176514:1 gene:DRNTG_33024 transcript:DRNTG_33024.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASIAHYDWPEDWPELLPFLLQLISGQNNIDGVRGSLRCLALLSGDLDDTLVPKLVPALFPHLQKILSSPNSYEKSLRAKAVEIMHSCISVLGSMTGVYKTEVITVMTPMLNSLLEQFSVILQPPVQPEDPDDWGIRMEV >DRNTG_34157.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5409158:5416768:-1 gene:DRNTG_34157 transcript:DRNTG_34157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERVEMGEQIHTFQDYLSAIVKCGIVYNKNHIVSILLNKLPPSWSGFANGLRHKIDSVDLVGVYNTIIIEEANRIFSKGRSDLRVWKRRAKSKTVWALTLSLRRRSSSVWCRSSSTSASPFPCSSGPTSCLHSWEEGIEPDHVFRLGLASDVALIAEKTFVAYVTGSMTIIANAAHSLSNVALYAGESGSAMFLSVVFIGCHLGTILGFRPALIGGDTGAFLSFATFVKIGNHTLRSEPFMLLLDSLHQANSEWLEPDIRRIELYKNTHYKKGKGWTSLEAKANYCEMQQKFKKAIHENQDVEVDKICDEVLGTRSRYIKGLGYGPKPNASRCGHAKIIKDLEEEKNMWKEKYEAEVLENKTNRAQLTKHEKNVMADIRSKI >DRNTG_12573.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:795149:796897:-1 gene:DRNTG_12573 transcript:DRNTG_12573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMQRQPWEKLEGKVVMVTGASSGIGRELCLDLTRAGCLIVAAARRTDRLRSLCDQINGAESAEGDRETKAVRSVAVELDVCGKSTEIEAAVQKAWDAFGRIDALVNNAGIRGWVYSPLDWSEEDWNTNIKTNLTGLWLVSKHVCTRMRDAKQKGSVINISSIAALDRGQGPGALAYCASKAGVNMVTKVMALELGVYNIRVNALAPGLFKSEITQGLMRKEWVNKVAEKIVPLKTFWHI >DRNTG_23144.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14641866:14642579:1 gene:DRNTG_23144 transcript:DRNTG_23144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSIARATKPQQRVIHWTIHSIMAAKNSRNGGRIYHVGRERGRSCTSRLTLSTLSNLALSNTRGVSITHKAHSKPWGLDDAIGDKFSNKAAFPGAGITVA >DRNTG_05306.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2715593:2718558:1 gene:DRNTG_05306 transcript:DRNTG_05306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADAQRSLSMRAARRTDRSSQTSRYKEEEEEDGDFSPGRAAQDPIKMIWRRGFVRLVFVSAIVWMMLILIALLFHVWSCSSNIRFLSALCKKDSKVLNMLDSIGLSPKPQHRCSIPLADDPEAIVIPRRTPNTIPKRLSYITVDEKANPPLFGGHQSWTERENSFKLNTTMKVHCGFMQNGGADMDPIDVKYVKRCRFVVASGIFDGYDVPHQPSNISDRSRKLFCFLMVVDEVSLDFIKQNVTVHEDPDGGKWVGIWRLVALHNPPYDEPRRNGKVPKILTHRLFPQAWYSIWIDGKMELIVDPLLILERYLWRGKHTFAVACHKHHRSIYEEADSIKRRKRYARPLIDLHMKIYRYEGMEPWSPNKRTISDVPEGAVLIREHTTMTNLFSCLWFNEVNLFTPRDQLSFGYVVYRLGDAFKFFMFPNCEYNSLFMLYAHTREHSSVVEWVKSLDEFKKNSSGLKESRGGLGLWSPYPGDLDDVQLPPVARTSPAG >DRNTG_05306.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2716751:2718558:1 gene:DRNTG_05306 transcript:DRNTG_05306.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSIGLSPKPQHRCSIPLADDPEAIVIPRRTPNTIPKRLSYITVDEKANPPLFGGHQSWTERENSFKLNTTMKVHCGFMQNGGADMDPIDVKYVKRCRFVVASGIFDGYDVPHQPSNISDRSRKLFCFLMVVDEVSLDFIKQNVTVHEDPDGGKWVGIWRLVALHNPPYDEPRRNGKVPKILTHRLFPQAWYSIWIDGKMELIVDPLLILERYLWRGKHTFAVACHKHHRSIYEEADSIKRRKRYARPLIDLHMKIYRYEGMEPWSPNKRTISDVPEGAVLIREHTTMTNLFSCLWFNEVNLFTPRDQLSFGYVVYRLGDAFKFFMFPNCEYNSLFMLYAHTREHSSVVEWVKSLDEFKKNSSGLKESRGGLGLWSPYPGDLDDVQLPPVARTSPAG >DRNTG_25681.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:961106:963455:-1 gene:DRNTG_25681 transcript:DRNTG_25681.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVATRRKGRDSRQIEIFDLESETFLQVTELINPKLHHYNPFFSHDSTRLGYHRFRGESAPGDSVTPHLQPVTSPVKSLKMLRLSATFPAFSPDGDLIAINGNLTDGPGLMLLKSDGSKGWTLLKDSMAFYTTWSPTEKGVIYTSLGPIFESVKATVQIARIKFNLDDLVDGRNEVPVEVKILTRGETGNNAFPSCSPDGKFLVFRSGRTGQKNLYIVDAVEGDTAVNRR >DRNTG_25681.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:961106:965152:-1 gene:DRNTG_25681 transcript:DRNTG_25681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVATRRKGRDSRQIEIFDLESETFLQVTELINPKLHHYNPFFSHDSTRLGYHRFRGESAPGDSVTPHLQPVTSPVKSLKMLRLSATFPAFSPDGDLIAINGNLTDGPGLMLLKSDGSKGWTLLKDSMAFYTTWSPTEKGVIYTSLGPIFESVKATVQIARIKFNLDDLVDGRNEVPVEVKILTRGETGNNAFPSCSPDGKFLVFRSGRTGQKNLYIVDAVEGDTAVNRR >DRNTG_11633.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:16887885:16900095:1 gene:DRNTG_11633 transcript:DRNTG_11633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRASSSDICATSTTFFTSNAPKFSTTSLLSYFSSISLLLVVGSSIARSWNNCSTRFSNL >DRNTG_04766.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3738794:3746991:1 gene:DRNTG_04766 transcript:DRNTG_04766.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protochlorophyllide-dependent translocon component 52, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G25650) UniProtKB/Swiss-Prot;Acc:Q8W496] MESIASFPSSSPLHLCLPSSFLPPLPPLRFPLHPHRHQRAARLVSHSALPSSAASSTLSTTDTTISGEKFNWYANWYPVAPICDLDKRAPHAKRILGLDIVVWWDRVKEQWQVFDDRCPHRLAPLSEGRIDQWGRLQCVYHGWCFNGSGGCELIPQASPDGPPVQRAKKACVAVYPSIVQNKIVWFWPSTDPQYKDIALKEKPPYVPQLDDPSYTSSMGMRDLQYGYEILTENLMDPAHVPYAHYGLLTNPKPIAPNARYVPELPLSTITKKPLTLNFKIISQIEKEADLWS >DRNTG_04766.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3743598:3746991:1 gene:DRNTG_04766 transcript:DRNTG_04766.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protochlorophyllide-dependent translocon component 52, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G25650) UniProtKB/Swiss-Prot;Acc:Q8W496] MESIVSLPSSSLFHLSIPSPSLPPLPPLRLPLQPHRLQRTVRLVSHSTLPSSSASSTLSTTDTTISGEKFNWYAHWYPVAPVCDLDKRAPHAKRILGLDIVVWWDRVKEQWQVFDDRCPHRLAPLSEGRIDQWGRLQCVYHGWCFNGSGGCELIPQASPDGPPVQRAKKACVAVYPSIVQNKIVWFWPSTDPQYKDIALKEKPPYVPQLDDPSYTCTMGMRDLQYGYEILTENLMDPAHVPYAHYGILTNITAPKALTTNVRYVSEL >DRNTG_04766.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3738794:3741776:1 gene:DRNTG_04766 transcript:DRNTG_04766.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protochlorophyllide-dependent translocon component 52, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G25650) UniProtKB/Swiss-Prot;Acc:Q8W496] MESIASFPSSSPLHLCLPSSFLPPLPPLRFPLHPHRHQRAARLVSHSALPSSAASSTLSTTDTTISGEKFNWYANWYPVAPICDLDKRAPHAKRILGLDIVVWWDRVKEQWQVFDDRCPHRLAPLSEGRIDQWGRLQCVYHGWCFNGSGGCELIPQASPDGPPVQRAKKACVAVYPSIVQNKIVWFWPSTDPQYKDIALKEKPPYVPQLDDPSYTSSMGMRDLQYGYEILTENLMDPAHVPYAHYGLLTNPKPIAPNARYVPELPLSTITKKPLTLNFKIISQIEKEADLWS >DRNTG_04766.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3738794:3746991:1 gene:DRNTG_04766 transcript:DRNTG_04766.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protochlorophyllide-dependent translocon component 52, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G25650) UniProtKB/Swiss-Prot;Acc:Q8W496] MESIASFPSSSPLHLCLPSSFLPPLPPLRFPLHPHRHQRAARLVSHSALPSSAASSTLSTTDTTISGEKFNWYANWYPVAPICDLDKRAPHAKRILGLDIVVWWDRVKEQWQVFDDRCPHRLAPLSEGRIDQWGRLQCVYHGWCFNGSGGCELIPQASPDGPPVQRAKKACVAVYPSIVQNKIVWFWPSTDPQYKDIALKEKPPYVPQLDDPSYTCTMGMRDLQYGYEILTENLMDPAHVPYAHYGILTNITAPKALTTNIEKEADLWI >DRNTG_03155.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000141.1:5478:6359:1 gene:DRNTG_03155 transcript:DRNTG_03155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIRRRSPTPAISPESGAHAGARPAHAPAWASLTQSAGPRARAAPLAENIPAMLSRGWGGRACGLASRVLWLAKPAHTLGIGHATAWHSTRSRDGMAEASTRPRGRVAYPCTCVGWKSTQLGQQNTWPALHARVEPCTHLHAYLTSFEALYADDLESDVDGVLVINTIMCFVTWSCELRNLYNKPMS >DRNTG_05062.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3666551:3677094:-1 gene:DRNTG_05062 transcript:DRNTG_05062.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSGRGVSNPRGVRVQAPLVDSVTCYCRVDAGLKTVVGARKFVPGAKLCIQPDIIPNRAKSRSSRKERSRNQAPLLPGLPDDLAISCLIRVPRVEHQNLRLVCKRWNRLLSGNYFYSLRQKLGMAEEWVYVIKRDRDGKISWHAFDPIYQLWQPLPPIPGDYSEALGFGCAVLSGCNLYLFGGKDPLRGSMRRVIFYNARTNKWHRAPDMLRKRHFFGSCVINNCIYVAGGECEGIQRTLRSVEVYDPNKGKWSLVADMSTAMVPFIGVVHEGKWFLKGLDSHRQVTSEVYAPTTNNWSIVSDGLVTGWRNPSISFDGRLYALDCRDGCRLRVYDGATDSWNKSVDSKLHLGSSRALEAAALVSINGKLCIIRNNMSISLVDILNHGAGVGPNSSLIWVTIAGKGQLKTFMTNLWSSIAGRNGLKSHIVHCQVLQV >DRNTG_05062.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3666431:3677038:-1 gene:DRNTG_05062 transcript:DRNTG_05062.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSGRGVSNPRGVRVQAPLVDSVTCYCRVDAGLKTVVGARKFVPGAKLCIQPDIIPNRAKSRSSRKERSRNQAPLLPGLPDDLAISCLIRVPRVEHQNLRLVCKRWNRLLSGNYFYSLRQKLGMAEEWVYVIKRDRDGKISWHAFDPIYQLWQPLPPIPGDYSEALGFGCAVLSGCNLYLFGGKDPLRGSMRRVIFYNARTNKWHRAPDMLRKRHFFGSCVINNCIYVAGGECEGIQRTLRSVEVYDPNKGKWSLVADMSTAMVPFIGVVHEGKWFLKGLDSHRQVTSEVYAPTTNNWSIVSDGLVTGWRNPSISFDGRLYALDCRDGCRLRVYDGATDSWNKSVDSKLHLGSSRALEAAALVSINGKLCIIRNNMSISLVDILNHGAGVGPNSSLIWVTIAGKGQLKTFMTNLWSSIAGRNGLKSHIVHCQVLQV >DRNTG_05062.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3666551:3677038:-1 gene:DRNTG_05062 transcript:DRNTG_05062.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSGRGVSNPRGVRVQAPLVDSVTCYCRVDAGLKTVVGARKFVPGAKLCIQPDIIPNRAKSRSSRKERSRNQAPLLPGLPDDLAISCLIRVPRVEHQNLRLVCKRWNRLLSGNYFYSLRQKLGMAEEWVYVIKRDRDGKISWHAFDPIYQLWQPLPPIPGDYSEALGFGCAVLSGCNLYLFGGKDPLRGSMRRVIFYNARTNKWHRAPDMLRKRHFFGSCVINNCIYVAGGECEGIQRTLRSVEVYDPNKGKWSLVADMSTAMVPFIGVVHEGKWFLKGLDSHRQVTSEVYAPTTNNWSIVSDGLVTGWRNPSISFDGRLYALDCRDGCRLRVYDGATDSWNKSVDSKLHLGSSRALEAAALVSINGKLCIIRNNMSISLVDILNHGAGVGPNSSLIWVTIAGKGQLKTFMTNLWSSIAGRNGLKSHIVHCQVLQV >DRNTG_05062.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3666535:3677038:-1 gene:DRNTG_05062 transcript:DRNTG_05062.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSGRGVSNPRGVRVQAPLVDSVTCYCRVDAGLKTVVGARKFVPGAKLCIQPDIIPNRAKSRSSRKERSRNQAPLLPGLPDDLAISCLIRVPRVEHQNLRLVCKRWNRLLSGNYFYSLRQKLGMAEEWVYVIKRDRDGKISWHAFDPIYQLWQPLPPIPGDYSEALGFGCAVLSGCNLYLFGGKDPLRGSMRRVIFYNARTNKWHRAPDMLRKRHFFGSCVINNCIYVAGGECEGIQRTLRSVEVYDPNKGKWSLVADMSTAMVPFIGVVHEGKWFLKGLDSHRQVTSEVYAPTTNNWSIVSDGLVTGWRNPSISFDGRLYALDCRDGCRLRVYDGATDSWNKSVDSKLHLGSSRALEAAALVSINGKLCIIRNNMSISLVDILNHGAGVGPNSSLIWVTIAGKGQLKTFMTNLWSSIAGRNGLKSHIVHCQVLQV >DRNTG_05062.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3666535:3677094:-1 gene:DRNTG_05062 transcript:DRNTG_05062.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSGRGVSNPRGVRVQAPLVDSVTCYCRVDAGLKTVVGARKFVPGAKLCIQPDIIPNRAKSRSSRKERSRNQAPLLPGLPDDLAISCLIRVPRVEHQNLRLVCKRWNRLLSGNYFYSLRQKLGMAEEWVYVIKRDRDGKISWHAFDPIYQLWQPLPPIPGDYSEALGFGCAVLSGCNLYLFGGKDPLRGSMRRVIFYNARTNKWHRAPDMLRKRHFFGSCVINNCIYVAGGECEGIQRTLRSVEVYDPNKGKWSLVADMSTAMVPFIGVVHEGKWFLKGLDSHRQVTSEVYAPTTNNWSIVSDGLVTGWRNPSISFDGRLYALDCRDGCRLRVYDGATDSWNKSVDSKLHLGSSRALEAAALVSINGKLCIIRNNMSISLVDILNHGAGVGPNSSLIWVTIAGKGQLKTFMTNLWSSIAGRNGLKSHIVHCQVLQV >DRNTG_05062.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3666431:3677094:-1 gene:DRNTG_05062 transcript:DRNTG_05062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSGRGVSNPRGVRVQAPLVDSVTCYCRVDAGLKTVVGARKFVPGAKLCIQPDIIPNRAKSRSSRKERSRNQAPLLPGLPDDLAISCLIRVPRVEHQNLRLVCKRWNRLLSGNYFYSLRQKLGMAEEWVYVIKRDRDGKISWHAFDPIYQLWQPLPPIPGDYSEALGFGCAVLSGCNLYLFGGKDPLRGSMRRVIFYNARTNKWHRAPDMLRKRHFFGSCVINNCIYVAGGECEGIQRTLRSVEVYDPNKGKWSLVADMSTAMVPFIGVVHEGKWFLKGLDSHRQVTSEVYAPTTNNWSIVSDGLVTGWRNPSISFDGRLYALDCRDGCRLRVYDGATDSWNKSVDSKLHLGSSRALEAAALVSINGKLCIIRNNMSISLVDILNHGAGVGPNSSLIWVTIAGKGQLKTFMTNLWSSIAGRNGLKSHIVHCQVLQV >DRNTG_34087.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22937022:22940202:-1 gene:DRNTG_34087 transcript:DRNTG_34087.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:floral meristem identity control protein LEAFY (LFY) [Source:Projected from Arabidopsis thaliana (AT5G61850) TAIR;Acc:AT5G61850] MDPGDAFSANKFRWDPRPAAPPPQHHHEPAERARELEEVFEGYGVRGATVARMGELGFTASTLAGMREEEVDDMMAALAHLFRWDLLVGERYGIKAALRAERRRLDSLRLQQGLQFQHQHLAGVAAGSRPLLLLSPDHPHNLDALSQEGLSEEPVQQEREAAGSGEEGGGRRVSNKGKQQQQHQHQQMKGSSNSKRSKKKKSHGGEDHLSDSDSESEGSGGGFGCAVGERQREHPFIVTEPGEVARAKKNGLDYLFHLYDQCRDFLLQVQAIAKERGDKCPTKVTNQVFRYAKKAGASYINKPKMRHYVHCYALHCLEEEVSNALRRAYKERGENVGAWRQACYKPLVAIAARHGWDIDAVFNAHPRLAIWYVPTKLRQLCHLARSQAAAAAAGGSGLGSASASCLPVPPPPPPPPPPMY >DRNTG_23088.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1425469:1430909:1 gene:DRNTG_23088 transcript:DRNTG_23088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTASHPSRHLRLLPLRLLLLLLFCMQTLTPSPFPKPSLLLHRLRSVPSTARNARRPPPSSPVAQPFLHFLADLGTVPDKPHKKIARMLKGKTFRRPDISVTIQDYLASKEAAVGDGIVVDVGANVGMATFAAAAAAMGFHVVAFEPVFENLQRLCDGLFLNRAWDRVSLFAAAASDRIGNITFHKHHTWVRHRCPTRAWSCPTCGWLCCAQEEEDASLVEEREAKVVPLLYPGVHIKRKAWFLKPIANTMDDGLPETPVTHPPHYKAPTFTSEEPHNVKLEGWVNPQALWVKWVSKLQPRYGDSWRKAGIFDAIQSSCKKAHQSAWMKHYYSQGNGGELEHIAFLAFWLSRFVLPVHPVDTVRRSVIPIAIRLAQETRISFAPAVLASLYHDLGMVKNY >DRNTG_26961.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30211581:30220380:-1 gene:DRNTG_26961 transcript:DRNTG_26961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPYVGPENDTLWRIWVPDKKFILNEHFAVPKSYSGSIFREGGETPEIAPDVIYNTATELAPTNTSIARFNVTWQFDADAGSTYFLRLHFCDIVSNALFELYFDIYINTYMAVSDVDLSTINSNMLAAACYMDFVMTMTDSSSNLTVSIGPSNLPNVLPDGILNGLEILKMRSNGNVTVVSPPSSKADVGVIVGAVIGGALAVVIVVACVVCIMIRRKKAGKKQPSKTWSPLSINGIMSQSLGSGLSDGTNARMALNANFGFRFSISALQEATNNFDESCVIGVGGFGKVYKGVLKDDTEVAVKRGNPKSQQGLNEFHTEIELLSRLRHRHLVSLIGYCDERNEMILVYEYMENGTLKNHLYGSNLPALSWKQRLEVCIGSARGLHYLHTGQAKAVIHRDVKSANILLDKNLMAKVADFGLSKAGPELDQTHVSTAVKGSFGYLDPEYYRRQQLTDKSDVYSFGVVLLEVLCARPVIDPTLPREMVNLAEWAIKYQNRGELDQIVDSRIARTIRPDSLRKFGETVEKCLADCGVDRPAMGDVLWNLEYVLQLQEVDGGTEVNSINRISGLPTQMHHMSMFESETVHESGTSDSSDVSMSKVFSQLFQSQGR >DRNTG_12669.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1161467:1163199:1 gene:DRNTG_12669 transcript:DRNTG_12669.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTELKQWLKEVEDIETQLAFIESEKEQLHGRCFNCHHRYKISKEMVKKIEEVKKTCELLAFSER >DRNTG_18471.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7880182:7881104:1 gene:DRNTG_18471 transcript:DRNTG_18471.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVLWSSLVLFKGSLVKPRIHVAGSIPIKAPPITLFQRNAVLVADKCFQPKTTEPENPHGGVYHTCPWSRPIPALFKADSAPILVLSSPSFRQLTRRLRLGFRGVLAKVLEWFYGSDIVIPLGRRLVGELRSKRILYRTKESLDDE >DRNTG_23296.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1239569:1244904:1 gene:DRNTG_23296 transcript:DRNTG_23296.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMMLREMDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCCIYGKYGNGSQFPIHISAVIGLSGWLPCSRGLKTKVEVSPEATRRAAVLPVLLCHGRADDVVPYKHGEKSAEVLRSAGYRNLSFRSYNGLGHYTLPEEMDDVCKWLTARLGIASSRN >DRNTG_23296.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1239569:1244904:1 gene:DRNTG_23296 transcript:DRNTG_23296.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMMLREMDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCCIYGKYGNGSQFPIHISAVIGLSGWLPCSRGLKTKVEVSPEATRRAAVLPVLLCHGRADDVVPYKHGEKSAEVLRSAGYRNLSFRSYNGLGHYTLPEEMDDVCKWLTARLGIASSRN >DRNTG_03775.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18179374:18180230:1 gene:DRNTG_03775 transcript:DRNTG_03775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGKGDAPVPLDSPSQPSLTSKIVNALKSKKEAKIGYKDTMLEVLVQFKDQDTRAVHKRGDVCQLPRRFKSIHYLEGHKGSHIFIFLLFVKIARPLKDTSMKKSFIAYHMVVCLDMWPQEKSVWTAFRENTVEILL >DRNTG_01522.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000084.1:1288:13507:-1 gene:DRNTG_01522 transcript:DRNTG_01522.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTGQPTEKRGTTKRPKKTHAASLASTTILRGRYSGGLIIGREPPEFSSFVTAAELMDRRNWRSMVGQNQVGEGNQQTSTSMEIPELLTQQSRTSTSTKEKA >DRNTG_32582.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20949612:20956421:1 gene:DRNTG_32582 transcript:DRNTG_32582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNSVEKMTSIDAQLRLLAPSKVSEDDKLVEYDALLLDRFLDILQDLHGEDIRETVQECYELSAEYEGEHDPKKLEELGNVLTSLDPGDSIVVTSSFSHMLNLANLAEEVQIAYRRRIKKKKGDFADENSATTESDIEETLKRLVVQLKKSPEEVFDALKSQTVDLVFTAHPTQSVRRSLLQKHARIRNCLTQLNAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGIKERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFEMSMWRCSDELRLRANELHQSSKKDAKHYIEFWKQIPINEPYRVILGDVRDKLYNTRERSRHMLSNGFSDIPEESTFTNVEQFLEPLELCYRSLCACGDSPVADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVIDAITKYLGIGSYRDWPEERRQEWLLSELRGKRPLFGPDLPKTEEIADVLDTFHVIAELPADSFGAYIISMATAPSDVLAVELLQRECHVKQPLRVVPLFEKLGDLEAAPAAVARLFSIDWYKNRINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELVKVAKEYGIKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRALMDEMAIVATEKYRSIVFQEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVLEKDIRNLHMLQEMYNGWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSDDLWSFGKRLRADYEETKSLLLQVAGHKDLLEGDPYLKQRLRLRDAYITTLNVCQAYTLKRIRDPCYHVNLRPHLSKDIMEPNKPAAELVKLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >DRNTG_14616.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20823706:20825199:1 gene:DRNTG_14616 transcript:DRNTG_14616.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDHQIRWFEDPLVKGKGGPDSRTEQYDARVSPFPRYRYDQLMGPGWKVFLPLSLARVVPVSGVSVTFR >DRNTG_04500.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000218.1:19738:20035:1 gene:DRNTG_04500 transcript:DRNTG_04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTPHSLTPLSSDDSNLNDEVATNNACTRLDNLHPINEGNDLNSVDNEGQQRKRGQTTIKELWTLPPQERI >DRNTG_33984.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1104989:1105960:-1 gene:DRNTG_33984 transcript:DRNTG_33984.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEECSSSESGWTMYLTSPLHDDYVDYEDHEVYVKHAHVDDDHEDDDDSMASDASTGDKKKDDDDVVLVVEDHDEKHEKEVEKKKKKKRKEKIMCDRKQDVVKKKESVSTSVSVA >DRNTG_00457.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27260229:27261299:1 gene:DRNTG_00457 transcript:DRNTG_00457.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEAHSKGRPIARPLFFSFPEDKETYSISTQFLIGEGVMVSPVLSPGKVSVNAYFPKGNWFNLFNYSQVVVASSGRYVTLDAPEDTINVHVKGGHVVVMQEAGMSTMAGSQSGFHLLVVLDDNGKAEGKVFVDDGEVVEMGGEDLSQWSLVRFSAGVEGGELKLKSEVINGTYALDKKISVKNVVLLGLKHEGAVNGGLKRIGGVNVIDNGIGFGVAEIDGLSLMLGEPFELKVTMAALNV >DRNTG_03162.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000141.1:53511:67813:1 gene:DRNTG_03162 transcript:DRNTG_03162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHKTIDMTIEGLQVMTQGNPSILIEGDPELEHTTDELVDEYMQEMFNLDPYEGLFDQEESNEEVMMLGSTEEVTSIPGILKKVLWKMKRARRRHRKRSKTDGDIHEPRKLDEPLLGDFPESTQGRGLAPVGNLVNHARAWVISTRPCDAL >DRNTG_12114.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5048877:5050499:1 gene:DRNTG_12114 transcript:DRNTG_12114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRYLHHLNRIVSPIGDSMQRVASCFTDALAARLAATLTAASASSTRSPSTSPKTPPFPSHSLDVLKIYQILYQACPYIKFAHFTANQAIFEAFEAEERVHVIDLDIHQGYQWPAFLQALAARPGGAPFLRITGVGPSLDRVRETGRHLAELAHSLRVPFEFHAVGERLEDLQPHMLHRRVGEALAVNSVCRLHSVAGSSIGGLVGMLRDQAPSIVTLVEKEASHNGPHFLGRFLEALHYYSAIFDSLDATFPSDSVARAKVEQYVFAPEIRNIVACEGAERLERHERLEKWRKVMEGKGFKGVPLSANAVTQSKILLGLYSCDGYRLTEDKGCLLLGWQDRAIIAASAWRC >DRNTG_17710.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4012384:4015435:1 gene:DRNTG_17710 transcript:DRNTG_17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 3 [Source:Projected from Arabidopsis thaliana (AT1G60430) UniProtKB/Swiss-Prot;Acc:Q1ECJ7] MVYHSSFVDEEGITKACGCPLLPLKSHIKGPAPVSEQDKVDIVDEAISFFRANVFFRNFNIQSSADKLLIYLTFYINVALKRLEGCRTLAVGTKAIINLGLENVPVPGEAGFPFGGLFSLPQSQEEAEIFRNYLKQIREETSGRLLSCAYRPNGTPNKWWLAFAKRKFMNTIVPQ >DRNTG_32026.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8310618:8313452:1 gene:DRNTG_32026 transcript:DRNTG_32026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLDNGRYGHHNSHCEAVMVSMLLPVSSCCHGLITIVISVDNGYWLGRKEAGEDCHEPYAMTYCRVCCDHKLLWMIFTGISLARCSNNQVGSKLEAEAFALLMALEKHHC >DRNTG_18901.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2140940:2145537:1 gene:DRNTG_18901 transcript:DRNTG_18901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDIAYDCWSCDLLCAASSPDLYRINLEQGRFLSSLSTQSPAINVVSRSALHGLVACGGEDGSVECFDMRKKTSIGRISAAAHSSDLDQEVTSLQFEDIHGYQMAVGSSTGKVLIYDLRMSDPVRIKDHM >DRNTG_34195.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18874059:18876889:1 gene:DRNTG_34195 transcript:DRNTG_34195.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKWIDREPYAYWKGNHLVASTRFDLLKCNVSQSHDWNARIYSQDWFNETRKGFKESNLAKQCIHRYKIYIEGNSWSVSEKYILACDSLTLLVKPKYYDFFTRDLMPVHHYWPVRDDLKCPSIKFAVEWGNKHKQKAQAMGREASNFIQENLKMDYVYDYMFHLLNEYAKLLKYKPTRPPRAVRWCSESMACRSEGLVKQFMMESMVNVSHYASPCALPPPFSPLELLMILRRKANSLKQVEKWDESVN >DRNTG_34195.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18874059:18876889:1 gene:DRNTG_34195 transcript:DRNTG_34195.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSISQPEINIKPWEKLKEELKEGNKRMKWIDREPYAYWKGNHLVASTRFDLLKCNVSQSHDWNARIYSQDWFNETRKGFKESNLAKQCIHRYKIYIEGNSWSVSEKYILACDSLTLLVKPKYYDFFTRDLMPVHHYWPVRDDLKCPSIKFAVEWGNKHKQKAQAMGREASNFIQENLKMDYVYDYMFHLLNEYAKLLKYKPTRPPRAVRWCSESMACRSEGLVKQFMMESMVNVSHYASPCALPPPFSPLELLMILRRKANSLKQVEKWDESVN >DRNTG_34195.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18874059:18876889:1 gene:DRNTG_34195 transcript:DRNTG_34195.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKWIDREPYAYWKGNHLVASTRFDLLKCNVSQSHDWNARIYSQDWFNETRKGFKESNLAKQCIHRYKIYIEGNSWSVSEKYILACDSLTLLVKPKYYDFFTRDLMPVHHYWPVRDDLKCPSIKFAVEWGNKHKQKAQAMGREASNFIQENLKMDYVYDYMFHLLNEYAKLLKYKPTRPPRAVRWCSESMACRSEGLVKQFMMESMVNVSHYASPCALPPPFSPLELLMILRRKANSLKQVEKWDESVN >DRNTG_34195.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18874059:18876889:1 gene:DRNTG_34195 transcript:DRNTG_34195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICLSSRLLTIITMDQLLHHRCFDIVAMTLCLIFPFPDWSFWGWPEINIKPWEKLKEELKEGNKRMKWIDREPYAYWKGNHLVASTRFDLLKCNVSQSHDWNARIYSQDWFNETRKGFKESNLAKQCIHRYKIYIEGNSWSVSEKYILACDSLTLLVKPKYYDFFTRDLMPVHHYWPVRDDLKCPSIKFAVEWGNKHKQKAQAMGREASNFIQENLKMDYVYDYMFHLLNEYAKLLKYKPTRPPRAVRWCSESMACRSEGLVKQFMMESMVNVSHYASPCALPPPFSPLELLMILRRKANSLKQVEKWDESVN >DRNTG_32076.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:110353:120079:1 gene:DRNTG_32076 transcript:DRNTG_32076.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVLEMARVKDTKERMAAVERLHQYLESSRKHLSSAEVTALVDCCLDLFKDHNFRVSQGALQALSSAAVLSGEHLKIHFNGLVPAVVERLGDGKQPVRDAARQLFITLMEISSPTFIVERAGSYAWTHKSWRVREEFARTVAAAVGLFVSTELTLQRVLLPPVLQLLDDSNNSVRESATTCLEEMYMHIGPQFYDELQRYYLPPSMMKEINAKLERLEPKARQSDGLGSNFITAEMKSSSISHKRTSPKSKSAPKEPLFSTESNVAEKHVDPIKVYSEKVLVKEIEKIASILVPEKDWSVRITAMQRVEGLVFGGAADYPSFPMLLKQLVTPLSTQLSDRRSSIVKQACHLLNLLSKELLGGFEACAEMFIPVLLKLVVITVLVISESADNCIKTMIHNCKVARILPRIADYAKNDRSAVLRVRCCEYAHLMLDYWADASDIQRSADLYEDLLKCCVADAMCEVRATARACYCLFSKTWPERSHRLFLSFDPVIQRIINDGDGNVHKRYASPSLRERGVQVPCAQAYAVPPSVPGYGTSAIVAMDKSTSTHSGSTVSFSSVLLAQTKPFSNSSERNLESVLDASKQKVSAIENLLRGVNLAGRQNSSVAHSSGLNLGVDPPSTRDPPFPLAVPAKNNLLSPKSLFTDSNAANITGGGIRNRSSLTDLVNPQIQASRDLSKTYLSHIASDSLSTVSSPYTARRFSERLQEGGKLEDISDNRSIRALTFSLPEKQYFGAPYRDAGYMDSHNNHIPNFQRPLLRKQVTSRASGSGRNSSDDCQLALGDTTGMNGPASLNDALAEGLCPRSDWIARVSAFNYIRTFLHQDPKGIQEIAQSFEKIMKLFFQHLDDPHHKVAQAALLTLAEIIPTCRKLFESYLERTLPHVFSRLIDPKELVRQPCSTTLEIVGKTYGIEFLLPALVRSLDEQRSPKAKLAVIEFANNSFGKHSINSDGYSNNSFLKLWLAKLSPLVNDKNTKLKEAAISAIISVYSHFDPVSVLNFILSLAVEKQNSLRRALKQYTPRIEVELINFLQNKKERQRSKLLYDQSDTVGTSSEEGYAGILKKSLLFGRYSSGSVDGEGGRKWSGTQEINQLSVAIAQAASDETVQCLYQHFEASSDSEGRGFRNKGLNCNINTDLESVGSLINYSENADKNVVNNVDQKVLGTLVVHSINEGELTEEKLSSVNRHSIADNELSIPQLLHQVCNGTDSTSSYPTGEALQQLVKASLVNDHSIWTKYFNQILTSVLEVLDDSNPSTRELALSLITELLNNQKELMEDSIEIVIEKLFHATKDMVAEVSNEAHNCLTTLLGHYDPFRCLMVIVPLLVSDDERTLVICINCLTKLVGRLSQGELMMQLPSFLPALVDAFRNQSPDVRKTVVFCLVDIYIMLGKAFLPYLQGLSSTQLRLVTIYANRISQARSGAPTDINH >DRNTG_23906.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2013589:2016495:-1 gene:DRNTG_23906 transcript:DRNTG_23906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLELERFSSVEMGRIFVVELDGKLYRCRVCGTHLALAEDLISKAFHCHPGKAFLFSNVSNVSFGDQEERVMLSGMHTIVDIFCCSCGQNVGWKYESAREKTQKYEGKFVLERGKIDDGPDSHYYIDTAHPVDHNENMYIDDNN >DRNTG_02155.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29061426:29066752:1 gene:DRNTG_02155 transcript:DRNTG_02155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEMVAAVKWIVVMVFGVLLVLAVNQGFIVSRIDGTAKKSLKEAPESIKARNERVVGAVDDPEVVVSMVHESIINSTARRSLSYLSCGTGNPIDDCWRCDPHWHLNRKKLADCGIGFGRNAIGGRDGPFYTVTDPSDINPINPKPGTLRHAAIQSQPLWIIFNHDMVITLTQELILNSFKTIDGRGANIHIANGACITIQFITNVIIHGVHIHDCKPTGNALVRSSPSHYGWRTMADGDAVSIFGSSHVWVDHCSLSNCADGLVDAVMGSTAITISNNYFTHHNEVMLLGHSDSYERDKAMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYLAPTNPFAKEVTKRVETAQGVWKDWNWRSEGDLLLNGAYFTPSGAGASGSYSRASSLGAKSSSMVPSLTSGAGVLLCQKNVQC >DRNTG_02155.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29061426:29065574:1 gene:DRNTG_02155 transcript:DRNTG_02155.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEMVAAVKWIVVMVFGVLLVLAVNQGFIVSRIDGTAKKSLKEAPESIKARNERVVGAVDDPEVVVSMVHESIINSTARRSLSYLSCGTGNPIDDCWRCDPHWHLNRKKLADCGIGFGRNAIGGRDGPFYTVTDPSDINPINPKPGTLRHAAIQSQPLWIIFNHDMVITLTQELILNSFKTIDGRGANIHIANGACITIQFITNVIIHGVHIHDCKPTGNALVRSSPSHYGWRTMADGDAVSIFGSSHVWVDHCSLSNCADGLVDAVMGSTAITISNNYFTHHNEVCVCVCV >DRNTG_02155.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29061426:29061664:1 gene:DRNTG_02155 transcript:DRNTG_02155.4 gene_biotype:protein_coding transcript_biotype:protein_coding VLSSLASISLAFRRFRFCLSLERLRDRERERERERDRSGRE >DRNTG_02155.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29065664:29065773:1 gene:DRNTG_02155 transcript:DRNTG_02155.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGHSDSYERDKAMQVTIAFNHFGEGLIQRMPRC >DRNTG_14662.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5776283:5781072:-1 gene:DRNTG_14662 transcript:DRNTG_14662.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGPATDQATDLLQKLSLDSKKKTHDAPEVTDKASADTGEVPNIQIPPSERSVTPLLQECMDQSRWYSSTPYYYGGYEGLVNEWDDYRRVDVPPPGVYGDMYHHGYRYAPYSPYPSPTSPVPTITHDGQLYGPPHYQYQAQYYQLPTPHPASGTPHKPVTSQGEVSTTVAAENPAVPLDTAKGNSNATANGKSNSHSASIQPRSNHQNSPLPSNGSYGRGFPPGSLLSGCQDPRFGFDGMRSPTPWYDGSGLPDGYQRVPTTSSAPSAISHVVNTTSGRSQNLHPLPNLMGLHTPRPTSCIGPTAPGFVNRMYTNNRIYGQYGQCGTAFRTGLGFGSNGYHYRMNSRWGSVTDKYKPRGRGNVYYGYGSDDLDGLSELNRGPRGGHFKNTQSLGPNISIAVKGQNLPANGNDEEASVAPARDQYNRDDFLEKYSNGKFFVIKSYSEDDVHKSVKYSVWASTPNGNKKLDAAYQEAQKVADGCPVFLFFSVNTSGQFVGVAEMMGPVDFNKTVNYWQQDKWNGCFPVKWHIVKDVPNSILKHITLENNDNKPVTNSRDTQEVKVEQGVQMLKIFKEHVSKTSILDDFAFYETRQKAMQEKNSKLQQIHKQACLFSLAF >DRNTG_14662.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5776283:5781072:-1 gene:DRNTG_14662 transcript:DRNTG_14662.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGPATDQATDLLQKLSLDSKKKTHDAPEVTDKQASADTGEVPNIQIPPSERSVTPLLQECMDQSRWYSSTPYYYGGYEGLVNEWDDYRRVDVPPPGVYGDMYHHGYRYAPYSPYPSPTSPVPTITHDGQLYGPPHYQYQAQYYQLPTPHPASGTPHKPVTSQGEVSTTVAAENPAVPLDTAKGNSNATANGKSNSHSASIQPRSNHQNSPLPSNGSYGRGFPPGSLLSGCQDPRFGFDGMRSPTPWYDGSGLPDGYQRVPTTSSAPSAISHVVNTTSGRSQNLHPLPNLMGLHTPRPTSCIGPTAPGFVNRMYTNNRIYGQYGQCGTAFRTGLGFGSNGYHYRMNSRWGSVTDKYKPRGRGNVYYGYGSDDLDGLSELNRGPRGGHFKNTQSLGPNISIAVKGQNLPANGNDEEASVAPARDQYNRDDFLEKYSNGKFFVIKSYSEDDVHKSVKYSVWASTPNGNKKLDAAYQEAQKVADGCPVFLFFSVNTSGQFVGVAEMMGPVDFNKTVNYWQQDKWNGCFPVKWHIVKDVPNSILKHITLENNDNKPVTNSRDTQEVKVEQGVQMLKIFKEHVSKTSILDDFAFYETRQKAMQEKNSKLQQIHKQACLFSLAF >DRNTG_14662.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5776283:5781072:-1 gene:DRNTG_14662 transcript:DRNTG_14662.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSRWYSSTPYYYGGYEGLVNEWDDYRRVDVPPPGVYGDMYHHGYRYAPYSPYPSPTSPVPTITHDGQLYGPPHYQYQAQYYQLPTPHPASGTPHKPVTSQGEVSTTVAAENPAVPLDTAKGNSNATANGKSNSHSASIQPRSNHQNSPLPSNGSYGRGFPPGSLLSGCQDPRFGFDGMRSPTPWYDGSGLPDGYQRVPTTSSAPSAISHVVNTTSGRSQNLHPLPNLMGLHTPRPTSCIGPTAPGFVNRMYTNNRIYGQYGQCGTAFRTGLGFGSNGYHYRMNSRWGSVTDKYKPRGRGNVYYGYGSDDLDGLSELNRGPRGGHFKNTQSLGPNISIAVKGQNLPANGNDEEASVAPARDQYNRDDFLEKYSNGKFFVIKSYSEDDVHKSVKYSVWASTPNGNKKLDAAYQEAQKVADGCPVFLFFSVNTSGQFVGVAEMMGPVDFNKTVNYWQQDKWNGCFPVKWHIVKDVPNSILKHITLENNDNKPVTNSRDTQEVKVEQGVQMLKIFKEHVSKTSILDDFAFYETRQKAMQEKNSKLQQIHKQVTNMKAIDVVDEKEKILPNGKSWLQKPLETVSILKKESSKGTQAEQKPPEENGAASVSQPVTEKHVVANGVANTC >DRNTG_12187.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10773412:10777834:1 gene:DRNTG_12187 transcript:DRNTG_12187.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGAAARKRGRPDAALNGNGGAKRPKETESGLGSKSKPCTKFFSTSGCPFGEGCHFLHYVPGGYNAVAQMANLGNPAHAPPRNLMGPPAMPDGPAPAVKTKLCNKYNTAEGCKFGDKCHFAHGERELGRPIIPSHDDRPMPPPMAGRMGGGRYEPPPPAGLAAASFGASATAKISVDASLAGAIIGKGGVNTKQICRTTGAKLSIREHETDPNLRNIELEGTFDQIKQASTLVRELIVNISATFPMAAKVAAGMAASGGGGGGGGGGGGGGGGPGSNYKTKLCENFSKGSCTFGDRCHFAHGASELRKSGA >DRNTG_12187.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10773412:10777900:1 gene:DRNTG_12187 transcript:DRNTG_12187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGAAARKRGRPDAALNGNGGAKRPKETESGLGSKSKPCTKFFSTSGCPFGEGCHFLHYVPGGYNAVAQMANLGNPAHAPPRNLMGPPAMPDGPAPAVKTKLCNKYNTAEGCKFGDKCHFAHGERELGRPIIPSHDDRPMPPPMAGRMGGGRYEPPPPAGLAAASFGASATAKISVDASLAGAIIGKGGVNTKQICRTTGAKLSIREHETDPNLRNIELEGTFDQIKQASTLVRELIVNISATFPMAAKVAAGMAASGGGGGGGGGGGGGGGGPGSNYKTKLCENFSKGSCTFGDRCHFAHGASELRKSGA >DRNTG_12187.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10773412:10777900:1 gene:DRNTG_12187 transcript:DRNTG_12187.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGNPAHAPPRNLMGPPAMPDGPAPAVKTKLCNKYNTAEGCKFGDKCHFAHGERELGRPIIPSHDDRPMPPPMAGRMGGGRYEPPPPAGLAAASFGASATAKISVDASLAGAIIGKGGVNTKQICRTTGAKLSIREHETDPNLRNIELEGTFDQIKQASTLVRELIVNISATFPMAAKVAAGMAASGGGGGGGGGGGGGGGGPGSNYKTKLCENFSKGSCTFGDRCHFAHGASELRKSGA >DRNTG_19610.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001030.1:1:9133:-1 gene:DRNTG_19610 transcript:DRNTG_19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICTKGEAGIGNIIETVCSITGQIHFLHNMNDIEVFTYAKKIDAQYDFVM >DRNTG_04114.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30119752:30121328:1 gene:DRNTG_04114 transcript:DRNTG_04114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHKRHWSHEDELIGISKSAAQIPLKSGILLKTLNYIVSIKLANRKMTMIIDTGSDLTWTQCKPCFSCYIQQDPVFDPHLSSSYQNISCDSSTCIAVKPITSGCTSNGANCGYALSYGDGSYTLGVLGKDKINLGGITVEEFIFGCGRSNHGLFGGVSGIIGLGRTQLSLVSQTINQFGGVFSYCLPTRAFNSSGSLILGNDSSLYRNLTPISYTRLVSGPVKLPFYFVNLTGMIIGGERLTEGLFNGRVLIDSGTVITRLAPSVYRALRDVFTKRFSGYPPAPSFSILDTCFDLSEYEEVKVPIMKFEFEGDVELTVDVTGILYIVKRDASQVCLAVSSLESEDEVGIIGNFQQKNLRVVYDSAGSKLGFAKEICGY >DRNTG_16307.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4408301:4409252:1 gene:DRNTG_16307 transcript:DRNTG_16307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLIPSLLHIEKLGHQGIWKIEEIERTHHKSEHWSTPTSQNCQGTAHELQ >DRNTG_03384.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17790629:17791141:1 gene:DRNTG_03384 transcript:DRNTG_03384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRAFASLSLTLFLVVSPLCCMAKDIIYSGESLNTGEFLTYESYKFIMQSDCNLVLYANGKALWASGTNGRGTSCYLILQSDGNLVIYDKNNNAVWASNTNIGQGNYVLILQKDRNVVIYGGALWATNTNKRTGVMFIESKATIFGALPANKTTDEVKAARIAMVVNK >DRNTG_09724.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:11456495:11457089:-1 gene:DRNTG_09724 transcript:DRNTG_09724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNSRNGGRIYHTGGERGCSPSIVMSMVLWKVLYSSSKDSLVRYRIRLDGSSPTNLLPKE >DRNTG_20036.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13344536:13353512:1 gene:DRNTG_20036 transcript:DRNTG_20036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKHGVHIPYKQDWLGKEHVWVVLDGSDISSYDLLLWYVDKVVEINPGSIAIVERDGERFKRAFFSFRVCIVGYTKACRPLLFVDDTHLLGKYQGTLLGATGKDGNNGFFHVAFGIVDNETDANRTWFISKLGDALYEEGDYHEIITFVSDRSKGLVNAIARVLPSSPHAYCLRYLEANFMKANVRLGKALREECWSIYFRIAWASTAKDFNDTVQTRLRCNNADRHQRSSIY >DRNTG_02423.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000114.1:312529:319051:1 gene:DRNTG_02423 transcript:DRNTG_02423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEAECRPNVITGGEASSKGKEIIDK >DRNTG_02423.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000114.1:312529:313291:1 gene:DRNTG_02423 transcript:DRNTG_02423.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEAECRPNVITGTLSIFQHNAFALIDSGSERSFVSTTFACHANSDPSPLGGELVIQTPLGEEVVRSLVYRECPVLINGVVLKADLIPLEI >DRNTG_32092.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22311401:22313884:-1 gene:DRNTG_32092 transcript:DRNTG_32092.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 31 kDa protein [Source:Projected from Arabidopsis thaliana (AT3G10400) UniProtKB/Swiss-Prot;Acc:Q9CAE4] MNGKILNGRTLSASIATDNGRATEFIRRRVYRDKSRCYECGEGGHLSYECPRNQLGARERPNPKKTRRAAQREDGGGDDGAFDGGDELGFEDDNWASVVDTRDFEEKARGREEVMDPDLDSKKEKKKKKKEKKLSYFSDESGEDD >DRNTG_24147.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26272003:26272927:-1 gene:DRNTG_24147 transcript:DRNTG_24147.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQAEEAKLKSEAEKAAAAKAAFEATFKAVDPPKQSAGSDDDDEEEDLSSKPIGPPDPSKSLAAGAGIAGGTACAPASFVLTAKDSDGRRVPSGGAHVQVKISPGVGVGGSDQEGMVKDQGDGTYTVTYAVPKRGNYMVHIELDGRPVMGSPFPVFFSAGPAGTLPVATTMSQYPNMVNQTMPNMPNYAGAVSGAFPGLLGMIPGSLPGATGGVVLPGIGANLGEVCREYLSGRCAKSDCKFNHPPHNILMMALTATTSMGTLSQAPMAPSAAAMAAAQAIMAAKALQAHAAQMQAEVKASGN >DRNTG_21426.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3459100:3461606:1 gene:DRNTG_21426 transcript:DRNTG_21426.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-formyltetrahydrofolate cyclo-ligase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G13050) UniProtKB/Swiss-Prot;Acc:Q8L539] MTGHQSVLFSPSSPFPSLAAASHLRRATGAMACSPSDATAQKKRAIRSEVRKALKAFSPSQRSHEDSVIQSLVLDSSWFKSCRSLCAYISCEALREVDTSRIISETLNNVDTVSSQNNDAQGKKKLYVPRVEDKNSHMRMFHISSTEDLVANSMNILEPSPTDADGNQREDVMLANRPVDLFLLPGLAFDKTGRRLGRGGGYYDVLLKKYHDLAMEQSWAQPLLVALAYSVQIMEENVIPITPNDMPVDALVTASGVIPITPAALERM >DRNTG_31267.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19891530:19892929:-1 gene:DRNTG_31267 transcript:DRNTG_31267.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSKPRFKPSLRCSPRWPRARSSGSVEGVGRCCKWGTLSPMKIAMT >DRNTG_31267.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19890896:19892929:-1 gene:DRNTG_31267 transcript:DRNTG_31267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSKPRFKPSLRCSPRWPRARSSGSVEGVGRCCKWGTLSPMKIAMT >DRNTG_15933.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:870168:874077:-1 gene:DRNTG_15933 transcript:DRNTG_15933.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGGVVAQKKTRRRRGRPKAEDCSDDDEEYVVGADGEEEESSEESFVSSDSGSGGDSSEVEVDSEDEEIVVARARSKPKLKPKPKARKGVASGGRRQRGGRGPARRKPRGGVGSRTRKARVSDDDDEEDEDFDPDDVDEEEVSLISSRRSVLNPCPKRKRSKASLKPKNDAKKVKKSTGESPENRRVRVREEEDDDFVEKDQSMVFENQKNRGNRRRKRLVAEGSDSSDFDYEVSSEEEEEEEEEEEKEKPKGQPRRKNRSRILDSDSEFSDFVVSEDEEKVRGRGRLRKKKKKKNERKKRTRLADSESDFSDFVISDEELRDLGIGGESNEQQEQQRQQQQQQQAKRIVAEKKEEEKGKEKAEVDSGKQVCGICLSEEKKTTVQGLLECCAHYFCFACIMEWSKVESRCPVCKRRFASISKSGGPLNPGLGNRRAVIRVPKRDQVYQPSEEEMRGFLDPYENVVCVECQQGGDDYLMLLCDICDTPAHTYCVGLGRDVPEGNWYCEGCRPMEDGFSYAQFFNGMDQGASSADPLSGHFSFSGIDNYRNTHSSTIQQPITPQGQSPFLGIDLNVSPRYPWREEHECASQSPRTGASTLSGRRAIQQRIRILFNRSRQSFARDVAHEPVISSGLQRGGPLPHLDSLIHPNPLQNLSDSVQFRQNSGPIIQQSTVNEGSSFRVADGAKEQVQSMVRSQMKSLSRNITLDRATYKDIARRSTHTILAACGIEHRREIVTSPVQLPNTCIHDSEDGPGNLMKNCCSACFSLFVQGVVEKLMKDS >DRNTG_15933.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:870168:874077:-1 gene:DRNTG_15933 transcript:DRNTG_15933.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGGVVAQKKTRRRRGRPKAEDCSDDDEEYVVGADGEEEESSEESFVSSDSGSGGDSSEVEVDSEDEEIVVARARSKPKLKPKPKARKGVASGGRRQRGGRGPARRKPRGGVGSRTRKARVSDDDDEEDEDFDPDDVDEEEVSLISSRRSVLNPCPKRKRSKASLKPKNDAKKVKKSTGESPENRRVRVREEEDDDFVEKDQSMVFENQKNRGNRRRKRLVAEGSDSSDFDYEVSSEEEEEEEEEEEKEKPKGQPRRKNRSRILDSDSEFSDFVVSEDEEKVRGRGRLRKKKKKKNERKKRTRLADSESDFSDFVISDEELRDLGIGGESNEQQEQQRQQQQQQQAKRIVAEKKEEEKGKEKAEVDSGKQVCGICLSEEKKTTVQGLLECCAHYFCFACIMEWSKVESRCPVCKRRFASISKSGGPLNPGLGNRRAVIRVPKRDQVYQPSEEEMRGFLDPYENVVCVECQQGGDDYLMLLCDICDTPAHTYCVGLGRDVPEGNWYCEGCRPMEDGFSYAQFFNGMDQGASSADPLSGHFSFSGIDNYRNTHSSTIQQPITPQGQSPFLGIDLNVSPRYPWREEHECASQSPRTGASTLSGRRAIQQRIRILFNRSRQSFARDVAHEPVISSGLQRGGPLPHLDSLIHPNPLQNLSDSVQFRQNSGPIIQQSTVNEGSSFRVADGAKEQVQSMVRSQMKSLSRNITLDRATYKDIARRSTHTILAACGIEHRREIVTSPVQLPNTCIHDSEDGPGNLMKNCCSACFSLFVQGVVEKLMKDS >DRNTG_15933.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:870168:874077:-1 gene:DRNTG_15933 transcript:DRNTG_15933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGGVVAQKKTRRRRGRPKAEDCSDDDEEYVVGADGEEEESSEESFVSSDSGSGGDSSEVEVDSEDEEIVVARARSKPKLKPKPKARKGVASGGRRQRGGRGPARRKPRGGVGSRTRKARVSDDDDEEDEDFDPDDVDEEEVSLISSRRSVLNPCPKRKRSKASLKPKNDAKKVKKSTGESPENRRVRVREEEDDDFVEKDQSMVFENQKNRGNRRRKRLVAEGSDSSDFDYEVSSEEEEEEEEEEEKEKPKGQPRRKNRSRILDSDSEFSDFVVSEDEEKVRGRGRLRKKKKKKNERKKRTRLADSESDFSDFVISDEELRDLGIGGESNEQQEQQRQQQQQQQAKRIVAEKKEEEKGKEKAEVDSGKQVCGICLSEEKKTTVQGLLECCAHYFCFACIMEWSKVESRCPVCKRRFASISKSGGPLNPGLGNRRAVIRVPKRDQVYQPSEEEMRGFLDPYENVVCVECQQGGDDYLMLLCDICDTPAHTYCVGLGRDVPEGNWYCEGCRPMEDGFSYAQFFNGMDQGASSADPLSGHFSFSGIDNYRNTHSSTIQQPITPQGQSPFLGIDLNVSPRYPWREEHECASQSPRTGASTLSGRRAIQQRIRILFNRSRQSFARDVAHEPVISSGLQRGGPLPHLDSLIHPNPLQNLSDSVQFRQNSGPIIQQSTVNEGSSFRVADGAKEQVQSMVRSQMKSLSRNITLDRATYKDIARRSTHTILAACGIEHRREIVTSPVQLPNTCIHDSEDGPGNLMKNCCSACFSLFVQGVVEKLMKDS >DRNTG_33925.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1132999:1134426:-1 gene:DRNTG_33925 transcript:DRNTG_33925.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATENKPAVSEDAKIDLFEDDDEFEEFEIDEEWDDKEGKETLQQWEDDWDDDDVNDDFSLQLKNELDSNSQKK >DRNTG_33925.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1132999:1134373:-1 gene:DRNTG_33925 transcript:DRNTG_33925.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATENKPAVSEDAKIDLFEDDDEFEEFEIDEEWDDKEGKETLQQWEDDWDDDDVNDDFSLQLKNELDSNSQKK >DRNTG_35398.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1611988:1615217:-1 gene:DRNTG_35398 transcript:DRNTG_35398.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGQFGQKSGLSQTCVNHEGPSEAGSKAKRRKPNNSRVEEASPAAGDWVNPRNNAALPRDAGKRRVRAEGQQCGHWFTGQDGKKVYVTKNGQELTGQIAYRHYRRESGAASKRAKGKTSVKKKATAKKTRKR >DRNTG_35398.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1611235:1614774:-1 gene:DRNTG_35398 transcript:DRNTG_35398.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQFGQKSGLSQTCVNHEGPSEAGSKAKRRKPNNSRVEEASPAAGDWVNPRNNAALPRDAGKRRVRAEGQQCGHWFTGQDGKKVYVTKNGQELTGQIAYRHYRRESGAASKRAKGKTSVKKKATAKKTRKR >DRNTG_35398.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1611235:1615217:-1 gene:DRNTG_35398 transcript:DRNTG_35398.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQFGQKSGLSQTCVNHEGPSEAGSKAKRRKPNNSRVEEASPAAGDWVNPRNNAALPRDAGKRRVRAEGQQCGHWFTGQDGKKVYVTKNGQELTGQIAYRHYRRESGAASKRAKGKTSVKKKATAKKTRKR >DRNTG_28234.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20421770:20423786:-1 gene:DRNTG_28234 transcript:DRNTG_28234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITCLANSELCQCRDAVFPLFRDMVCSGVQPVIMTFASLVKVFDDPTKLNQAKQTHGFIVKLGIEVDDRLGSTMIAMYGRCGGINEVIRLSDRLKHDVVSLTSLLAAYMHNGFAVEAIGIFRRMVSEKMVIDLFVVASVIKACSNFQQLRLGKEVHGYAVKNGFMYDVSVSNAVLTLYGRCGAIRESERVFELMLDKDTISWTALLMSFCQNGYGEKAVLLFRQMLREALSTPIFSVTGAVSACSTIPSPPLGQQIHSRTVKLGIDEDISVENSLITMYAKCGSIENATKVFDSMQRRDTVSWNALINGFSHHGFEKEALKAFDQMQEKGIQPDDLTFVGILVSCSRAGLVTEGCEYFNLMSTAYRLMPKKEHYACMVDLFGRAGMVEDAIEFIHAMPCEPDQLVWEPLLASCKVHGNVELAKLAAMKILKIKPDEPSVYVTLSSVHASDGIWDEKARVRDIMSEYGVHKMPGRSWIEFPVSNENELEILQAL >DRNTG_14496.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14928836:14933376:-1 gene:DRNTG_14496 transcript:DRNTG_14496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHPDPYKRVLDQEVENEKVMMLGLEDKVQPTPGIMKKMLQKMKRARRRHKKCPKANADEQEQSNGDEPLCEKTHGHVEIPHGHVFSFRAHPKRTQGYGPKTKKVASKHPREPSPELEQMEFAILEHQAQFERLLKLKFRQTRFPDLSALREF >DRNTG_24326.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:305173:308860:1 gene:DRNTG_24326 transcript:DRNTG_24326.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein [Source:Projected from Arabidopsis thaliana (AT2G42210) TAIR;Acc:AT2G42210] MDPSTTLEDEDTPTMKTIKGATMGLAAGTIWGTVVATWYDVPRVERNVALPGLIRTLKMCGNYGLTFAAIGGLYIGVEQLVQKYRMKEDFVNGAVGAFVAGASVYGLRGPSISSAIAAGSALAVTSAVLDIGGQKTRFDTGKEYYPYTLEKRPPAN >DRNTG_24326.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:305173:308844:1 gene:DRNTG_24326 transcript:DRNTG_24326.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein [Source:Projected from Arabidopsis thaliana (AT2G42210) TAIR;Acc:AT2G42210] MDPSTTLEDEDTPTMKTIKGATMGLAAGTIWGTVVATWYDVPRVERNVALPGLIRTLKMCGNYGLTFAAIGGLYIGVEQLVQKYRMKEDFVNGAVGAFVAGASVYGLRGPSISSAIAAGSALAVTSAVLDIGGQKTRFDTGKEYYPYTLEKRPPAN >DRNTG_24326.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:305173:308844:1 gene:DRNTG_24326 transcript:DRNTG_24326.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein [Source:Projected from Arabidopsis thaliana (AT2G42210) TAIR;Acc:AT2G42210] MDPSTTLEDEDTPTMKTIKGATMGLAAGTIWGTVVATWYDVPRVERNVALPGLIRTLKMCGNYGLTFAAIGGLYIGVEQLVQKYRMKEDFVNGAVGAFVAGASVYGLRGPSISSAIAAGSALAVTSAVLDIGGQKTRFDTGKEYYPYTLEKRPPAN >DRNTG_24326.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:305173:308693:1 gene:DRNTG_24326 transcript:DRNTG_24326.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein [Source:Projected from Arabidopsis thaliana (AT2G42210) TAIR;Acc:AT2G42210] MDPSTTLEDEDTPTMKTIKGATMGLAAGTIWGTVVATWYDVPRVERNVALPGLIRTLKMCGNYGLTFAAIGGLYIGVEQLVQKYRMKEDFVNGAVGAFVAGASVYGLRGPSISSAIAAGSALAVTSAVLDIGGQKTRFDTGKEYYPYTLEKRPPAN >DRNTG_20844.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21228293:21232843:-1 gene:DRNTG_20844 transcript:DRNTG_20844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTARGSGTNGYVQSNKFFIKAKANSVSLQPPSASIADPGAKKANKEILEHDRKRRIELRLVVLEETLADQGYTQAEIAEKLRETRAALETAPLDNPPEKRISDTQTHQIAARKEKQLETFRAALGIKLGDESPKPDTEEQRHQDKAQGLETEESGGEKDREQTKLTDGKQDHGDRERENSKNARATEEHKSKDDLHDKKNREKKANEVEHKKMETKKGRYKDVSASDSSGEDVKNDKMKPLRNTRGLDSRYDTDIRSSKKSSKVSEKDKISSYLDSNEDSDSSSESGGERTRKSRKVAKQRKYDSDSGTDGDNKRKRGNVKERPSSWRRYDHDKSDSGHDSGRENTRKSNKYVKQRRRYDNESKIDEDAKKKKGHARELPSRRRHDSDSDFSMDETRKSRKVVKKQRRYDSSSSETDEDDGRKKAHVNETSRKRRHDSGDSDSDSDDSGIGKGRTSKHYNDKRNKNDSETVQEKRITNNKKILEKSRRHDSEDSSSGADDSESHSSDSISDGDSSDASDSSGYKRMDAKNGKRLKSIVEKDMSSGISRRDDQRTSKITNEDRNRDHSSLLKKSEKNRHVEMKNDRKEVGDKENQAAQGKWKIDDGRDDLPVLESRNSGLGKSEKMKESVEAKKDRYNRDHRSHHERRDNQKRDDYKYHGNSQKHYDEDDYGRRHKSMDDRSRDDRSHTDPKRRKLESSRQYARSNRYDSDSGEDLKSHRQR >DRNTG_08448.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21364039:21367135:-1 gene:DRNTG_08448 transcript:DRNTG_08448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVQAFSDEKQKHKPKFLGVEFQTLKKIIPLGIMFFCILFNYTILRDTKDVLVVTAPGSSAEIIPFLKTWVNLPMAVGFMLLYTKLSNVLSKEALFYTVLLPFIAFFGAFGFVLYPLSGVIHPTALADKLVAALGPSFVGPVAILRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKEFYPLFGLGANIALIFSGRTVKYFSNLRKNLGPGVDGWAISLKGMMSIVVILGFVICSIYWGVNKFVVNDPSIPRSARKKKEKPKLGMKESLKVLLSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLGRVILRKFGWGVAAMITPTVLLLTGVGFFSLLLFGEPLTPVLANFGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDMKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGILLMIVLAWLGAAKSLDSQFSPLAKQELEKEKREKAKVKEASVEVPVEVKAIESSGNGAALKQTLDTESESSSGASSPQVQ >DRNTG_29844.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23159723:23160248:-1 gene:DRNTG_29844 transcript:DRNTG_29844.1 gene_biotype:protein_coding transcript_biotype:protein_coding NHKRTNHTPSTTSPPSTPPSSCNPPSCTLDSAMASETLMSISLLHL >DRNTG_26234.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:456284:456548:1 gene:DRNTG_26234 transcript:DRNTG_26234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSFFVLQLISLVVIVLADFNTEMNITWGDGRATISNDGLNLHLSMDQTSGSGCNSKATYLYGRFDVQMQLIKGDSAGTVTTF >DRNTG_27600.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:2178659:2179572:-1 gene:DRNTG_27600 transcript:DRNTG_27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSRNVSFSVFNHNPARFKGPSFHLLAIISHHWSRSRLWFGEALARLLEGFTAFDIMLPSEENYWWSFRRYRSRESCPRLDEGTFREDAATQQDH >DRNTG_21350.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20600544:20602608:1 gene:DRNTG_21350 transcript:DRNTG_21350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPKFKLYSNVPTVIWAPTKGQAVYVKNLPKNVTRDQLRRLFERHGEIKKVYLPPAKSGEVNRFGFVHFAHPSSAWRASETSQKYHLDGQDLECFLAKPLTEKKGATGPNSQEGPLLQNVPSQIGYGVVGGVDGAPGYGMMGGADGAPGYGMIGGTDGAPGYGTMGGAYSASGYGMMGGVDGVPGYGMMGGAYGASGYGMMGGADGVPGYSVMGGGYGAPGYRVMGGAYSAPGYGVMGGVYGAPGYSAIAGAYGVPGYGVMGGVYGAPGYDVMGGAYGAPEYSAIAGAYGAPSPSFGQPMLYWSYDASGTARVSPFLPYGPYPYSSGSGRSSEGQQSNNSNH >DRNTG_21350.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20600544:20602608:1 gene:DRNTG_21350 transcript:DRNTG_21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPKFKLYSNVPTVIWAPTKGQAVYVKNLPKNVTRDQLRRLFERHGEIKKVYLPPAKSGEVNRFGFVHFAHPSSAWRASETSQKYHLDGQDLECFLAKPLTEKKGATGPNSQEGPLLQNVPSQIGYGVVGGVDGAPGYGMMGGADGAPGYGMIGGTDGAPGYGTMGGAYSASGYGMMGGVDGVPGYGMMGGAYGASGYGMMGGADGVPGYSVMGGGYGAPGYRVMGGAYSAPGYGVMGGVYGAPGYSAIAGAYGVPGYGVMGGVYGAPGYDVMGGAYGAPEYSAIAGAYGAPSPSFGQVVYVLNLNRQVVLVRCSCISCLRYMPLLLLNCCVCLQPMLYWSYDASGTARVSPFLPYGPYPYSSGSGRSSEGQQSNNSNH >DRNTG_24088.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26346570:26353388:1 gene:DRNTG_24088 transcript:DRNTG_24088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNFFNLQADLMKYFREDLHRRLLSTDFKKQVDGLELLQKALPTNIKELIELLDVLLRWFVLRFCESNTTCLLKVLEFLPELVDTLKDEGYTLTESEAATFLPCLVEKSGHNIEKVREKMRELMKQIVHIYSASKLLPYVLEGLRSKNNRSRIECVDFVGYLIDYYGAEITGQLKSLQLVAGLTSERDGDLRKAALNTLATAYKSLGEDIWRYVGKLTDAQKSMLDDRFKWKAREMDKRKEGKPGEGRGALRRSVRENGMDVAEQSGELLSRSLAAPMVMRNRVNPGYGESNVERHVLPRMLITANGPTDWHEALDVISMGSPEQSVEGMKVICHELTQATTDPENSVIEDLAKEADRLVECLAAMVPKTFNFSLSGASSRSCKYVLNTLMQTFQIKRLGHAVKESTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILDNAERTSSFVVLINLLRPLDPSRWPSPASNEAFITRNQKFSDLVVKCLIKLTKVLQSTIYDVDLDRILQSIHVYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDMEPQPIILAYIDLNLQTLAAARMLTPSGPIGQTHWGDSASNSPNTTSHSADAQLKQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQMEKNAAAGRTPSSLPMSTPPPLTLTTSPKFAPLSPVHTKSLNVKPENMSSNLLPSYMEDDGTGGMAFRGPIDSSDFRQLGDDRHDRYPSGAPAITPGTLDAIRERMKSIQAAAAAGNLDAGSRSLAFVNGSVPHGMVDRIDAEPPVHTNVLPMDEKALSGLQARMERLKSGSLEPL >DRNTG_24088.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26346570:26353388:1 gene:DRNTG_24088 transcript:DRNTG_24088.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNFFNLQADLMKYFREDLHRRLLSTDFKKQVDGLELLQKALPTNIKELIELLDVLLRWFVLRFCESNTTCLLKVLEFLPELVDTLKDEGYTLTESEAATFLPCLVEKSGHNIEKVREKMRELMKQIVHIYSASKLLPYVLEGLRSKNNRSRIECVDFVGYLIDYYGAEITGQLKSLQLVAGLTSERDGDLRKAALNTLATAYKSLGEDIWRYVGKLTDAQKSMLDDRFKWKAREMDKRKEGKPGEGRGALRRSVRENGMDVAEQSGELLSRSLAAPMVMRVNPGYGESNVERHVLPRMLITANGPTDWHEALDVISMGSPEQSVEGMKVICHELTQATTDPENSVIEDLAKEADRLVECLAAMVPKTFNFSLSGASSRSCKYVLNTLMQTFQIKRLGHAVKESTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILDNAERTSSFVVLINLLRPLDPSRWPSPASNEAFITRNQKFSDLVVKCLIKLTKVLQSTIYDVDLDRILQSIHVYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDMEPQPIILAYIDLNLQTLAAARMLTPSGPIGQTHWGDSASNSPNTTSHSADAQLKQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQMEKNAAAGRTPSSLPMSTPPPLTLTTSPKFAPLSPVHTKSLNVKPENMSSNLLPSYMEDDGTGGMAFRGPIDSSDFRQLGDDRHDRYPSGAPAITPGTLDAIRERMKSIQAAAAAGNLDAGSRSLAFVNGSVPHGMVDRIDAEPPVHTNVLPMDEKALSGLQARMERLKSGSLEPL >DRNTG_11575.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8144097:8149921:1 gene:DRNTG_11575 transcript:DRNTG_11575.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 3 [Source:Projected from Arabidopsis thaliana (AT2G33860) UniProtKB/Swiss-Prot;Acc:O23661] MGIDLNTVDGDGEEEEEEESPLPPPCSREHARGRSVCLELWHACAGPLGPLPRKGSLVVYLPQGHLEQLGARGAVAGAGSRIPPHVLCRVVDVHLLADAATDEVYARLALVAEEMDAEQEEDGWEKDGSGGCNSSVVPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQLRPSQELVAKDLHDMEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLASGDAVLFLRGNDGELRLGIRRAAQLKSCIPFSVLCGQSLNISTFTTLVDAVSSKNVFHIDYNPRSSLAEFIIPYSKFLNGFKNSFSVGMRFRMKYESEDATERRCTGLITGIGDADPVRWPGSKWRCLLVRWDDDAVINRQDRVSPWEVEPIGSASGPNGLTTSGLKRTRICPPSIQPDFPFANGSAITDSGESTRFPKVLQGQEMICLRTPHYGVDVASSQGSELRGHQFSDIRSGISNGASCMLASRGNYIGVPIDNSDASFKCIGFGESMRFHKVLQGQEIFPGNPSQGGASLDAHMETDIFGLPKGVHPSDDGTRWTSPIHGYGTLLHQPKPSVQVSSPSSVLMFRQACLQYPNTRSIYSMNDKDERGNYHGFFNCSELSTGNDSSLPYQPHAGAARIHNPAYFTEADLESNENITRSSCRLFGFPLTKGIPIANEIATTMSASPAPPDMNLPAFFSSSSLEC >DRNTG_26760.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001365.1:16615:26722:-1 gene:DRNTG_26760 transcript:DRNTG_26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQTPKVAKQDKKKKPRGRAHKRMQYYCASSPLEN >DRNTG_17412.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14787110:14790315:-1 gene:DRNTG_17412 transcript:DRNTG_17412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVRKTFYAAKAGVPVADVNVPVVGGHAGITILPLFSQATPSANSLSHEDIKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFADACLKGLNGVPDVVECSFVQSNITELPFFASKVRLGKNGVEDIHGLGPLSDFEKQGLESLKEELKASIEKGIKFAKEN >DRNTG_17833.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:6222700:6285118:-1 gene:DRNTG_17833 transcript:DRNTG_17833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDGEVGEHVLKMISMIERLEALDFSMDYNLQALKAQEKKNGQEVECPGSQSSGRSGIVHTQSR >DRNTG_32902.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1122031:1122672:1 gene:DRNTG_32902 transcript:DRNTG_32902.3 gene_biotype:protein_coding transcript_biotype:protein_coding FPPFLFPFFSLLPKGRNPNPNPNPFDSLKRSTESSIEDPWPGSKMLRRSIPSALLTKRRAET >DRNTG_32902.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1122031:1123252:1 gene:DRNTG_32902 transcript:DRNTG_32902.2 gene_biotype:protein_coding transcript_biotype:protein_coding FPPFLFPFFSLLPKGRNPNPNPNPFDSLKRSTESSIEDPWPGSKMLRRSIPSALLTKRRAET >DRNTG_32902.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1122031:1128121:1 gene:DRNTG_32902 transcript:DRNTG_32902.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPPFLFPFFSLLPKGRNPNPNPNPFDSLKRSTESSIEDPWPGSKMLRRSIPSALLTKRRAET >DRNTG_05232.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23977532:23979252:1 gene:DRNTG_05232 transcript:DRNTG_05232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHSGRKSCHLEIVKATLAAALERATLEDLFRPDTVEEKYSNMVASTVAALFTAARDNRSSKNPHWRVEASTPFERPFRSDVIDSTSASSSLWP >DRNTG_10435.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22791555:22792732:1 gene:DRNTG_10435 transcript:DRNTG_10435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIPLSENIKQSQKERKKEQEIQRIKHAPLNA >DRNTG_20898.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25154031:25155456:1 gene:DRNTG_20898 transcript:DRNTG_20898.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLKNETSAIQYNQHSGELTLAGHGYYRNPSSLSPQQNPRNQSLLFHIRPRASSPGPDSAPGAHHIPQQ >DRNTG_07617.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17716212:17716530:-1 gene:DRNTG_07617 transcript:DRNTG_07617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDSPSLGHFCRSILILDGMMTGCTVALVPSNPIPIHHAPGTEKTGLLRDWEVQVVGSLNKGSEWETFLFIAIGVVRSPIEE >DRNTG_19011.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22612344:22614795:-1 gene:DRNTG_19011 transcript:DRNTG_19011.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPRYHLISHYTPTNLLILLILPFVTAAINVTSILQAHPDLSDFARLLVTTGVASELSGRSSLTLLVVPNSYLARSSELGRSAGDTADVLRYHVLLEYLSWSELRRIPASGKLVTTLYQTTGRAASNLGSVNLTRSPSGVLIARSPAGNATVLSPIATIPYNASVLAVDALLIPSGFDLAASEARPAPAVNISRVLADGHDFNVAASMLAASGVAAEFEADERGAGITVFVPTDEAFAELPATERLQSLPADRKAVVLRFHVLHSYYPLGSLESIVNPVQPTLATEDTGAGRFTLNISRVNGSVAIDTGVIQASITRTVFDQNPVAVFAVSRVLLPREIFAKGAHASPLAPPPLDPVALSPENDTPPTRLSSPPGLRTEIKSGSAARSIATAAVKACCIAMLYLLVLR >DRNTG_19011.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22612344:22615279:-1 gene:DRNTG_19011 transcript:DRNTG_19011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPRYHLISHYTPTNLLILLILPFVTAAINVTSILQAHPDLSDFARLLVTTGVASELSGRSSLTLLVVPNSYLARSSELGRSAGDTADVLRYHVLLEYLSWSELRRIPASGKLVTTLYQTTGRAASNLGSVNLTRSPSGVLIARSPAGNATVLSPIATIPYNASVLAVDALLIPSGFDLAASEARPAPAVNISRVLADGHDFNVAASMLAASGVAAEFEADERGAGITVFVPTDEAFAELPATERLQSLPADRKAVVLRFHVLHSYYPLGSLESIVNPVQPTLATEDTGAGRFTLNISRVNGSVAIDTGVIQASITRTVFDQNPVAVFAVSRVLLPREIFAKGAHASPLAPPPLDPVALSPENDTPPTRLSSPPGLRTEIKSGSAARSIATAAVKACCIAMLYLLVLR >DRNTG_19011.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22612525:22614795:-1 gene:DRNTG_19011 transcript:DRNTG_19011.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPRYHLISHYTPTNLLILLILPFVTAAINVTSILQAHPDLSDFARLLVTTGVASELSGRSSLTLLVVPNSYLARSSELGRSAGDTADVLRYHVLLEYLSWSELRRIPASGKLVTTLYQTTGRAASNLGSVNLTRSPSGVLIARSPAGNATVLSPIATIPYNASVLAVDALLIPSGFDLAASEARPAPAVNISRVLADGHDFNVAASMLAASGVAAEFEADERGAGITVFVPTDEAFAELPATERLQSLPADRKAVVLRFHVLHSYYPLGSLESIVNPVQPTLATEDTGAGRFTLNISRVNGSVAIDTGVIQASITRTVFDQNPVAVFAVSRVLLPREIFAKGAHASPLAPPPLDPVALSPENDTPPTRLSSPPGLRTEIKSGSAARSIATAAVKACCIAMLYLLVLV >DRNTG_19011.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22612344:22614795:-1 gene:DRNTG_19011 transcript:DRNTG_19011.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPRYHLISHYTPTNLLILLILPFVTAAINVTSILQAHPDLSDFARLLVTTGVASELSGRSSLTLLVVPNSYLARSSELGRSAGDTADVLRYHVLLEYLSWSELRRIPASGKLVTTLYQTTGRAASNLGSVNLTRSPSGVLIARSPAGNATVLSPIATIPYNASVLAVDALLIPSGFDLAASEARPAPAVNISRVLADGHDFNVAASMLAASGVAAEFEADERGAGITVFVPTDEAFAELPATERLQSLPADRKAVVLRFHVLHSYYPLGSLESIVNPVQPTLATEDTGAGRFTLNISRVNGSVAIDTGVIQASITRTVFDQNPVAVFAVSRVLLPREIFAKGAHASPLAPPPLDPVALSPENDTPPTRLSSPPGLRTEIKSGSAARSIATAAVKACCIAMLYLLVLV >DRNTG_19011.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22612344:22614770:-1 gene:DRNTG_19011 transcript:DRNTG_19011.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPRYHLISHYTPTNLLILLILPFVTAAINVTSILQAHPDLSDFARLLVTTGVASELSGRSSLTLLVVPNSYLARSSELGRSAGDTADVLRYHVLLEYLSWSELRRIPASGKLVTTLYQTTGRAASNLGSVNLTRSPSGVLIARSPAGNATVLSPIATIPYNASVLAVDALLIPSGFDLAASEARPAPAVNISRVLADGHDFNVAASMLAASGVAAEFEADERGAGITVFVPTDEAFAELPATERLQSLPADRKAVVLRFHVLHSYYPLGSLESIVNPVQPTLATEDTGAGRFTLNISRVNGSVAIDTGVIQASITRTVFDQNPVAVFAVSRVLLPREIFAKGAHASPLAPPPLDPVALSPENDTPPTRLSSPPGLRTEIKSGSAARSIATAAVKACCIAMLYLLVLR >DRNTG_19011.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22612344:22614770:-1 gene:DRNTG_19011 transcript:DRNTG_19011.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPRYHLISHYTPTNLLILLILPFVTAAINVTSILQAHPDLSDFARLLVTTGVASELSGRSSLTLLVVPNSYLARSSELGRSAGDTADVLRYHVLLEYLSWSELRRIPASGKLVTTLYQTTGRAASNLGSVNLTRSPSGVLIARSPAGNATVLSPIATIPYNASVLAVDALLIPSGFDLAASEARPAPAVNISRVLADGHDFNVAASMLAASGVAAEFEADERGAGITVFVPTDEAFAELPATERLQSLPADRKAVVLRFHVLHSYYPLGSLESIVNPVQPTLATEDTGAGRFTLNISRVNGSVAIDTGVIQASITRTVFDQNPVAVFAVSRVLLPREIFAKGAHASPLAPPPLDPVALSPENDTPPTRLSSPPGLRTEIKSGSAARSIATAAVKACCIAMLYLLVLV >DRNTG_19011.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22612525:22614770:-1 gene:DRNTG_19011 transcript:DRNTG_19011.11 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPRYHLISHYTPTNLLILLILPFVTAAINVTSILQAHPDLSDFARLLVTTGVASELSGRSSLTLLVVPNSYLARSSELGRSAGDTADVLRYHVLLEYLSWSELRRIPASGKLVTTLYQTTGRAASNLGSVNLTRSPSGVLIARSPAGNATVLSPIATIPYNASVLAVDALLIPSGFDLAASEARPAPAVNISRVLADGHDFNVAASMLAASGVAAEFEADERGAGITVFVPTDEAFAELPATERLQSLPADRKAVVLRFHVLHSYYPLGSLESIVNPVQPTLATEDTGAGRFTLNISRVNGSVAIDTGVIQASITRTVFDQNPVAVFAVSRVLLPREIFAKGAHASPLAPPPLDPVALSPENDTPPTRLSSPPGLRTEIKSGSAARSIATAAVKACCIAMLYLLVLR >DRNTG_19011.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22612501:22614795:-1 gene:DRNTG_19011 transcript:DRNTG_19011.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPRYHLISHYTPTNLLILLILPFVTAAINVTSILQAHPDLSDFARLLVTTGVASELSGRSSLTLLVVPNSYLARSSELGRSAGDTADVLRYHVLLEYLSWSELRRIPASGKLVTTLYQTTGRAASNLGSVNLTRSPSGVLIARSPAGNATVLSPIATIPYNASVLAVDALLIPSGFDLAASEARPAPAVNISRVLADGHDFNVAASMLAASGVAAEFEADERGAGITVFVPTDEAFAELPATERLQSLPADRKAVVLRFHVLHSYYPLGSLESIVNPVQPTLATEDTGAGRFTLNISRVNGSVAIDTGVIQASITRTVFDQNPVAVFAVSRVLLPREIFAKGAHASPLAPPPLDPVALSPENDTPPTRLSSPPGLRTEIKSGSAARSIATAAVKACCIAMLYLLVLV >DRNTG_19011.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22612525:22614795:-1 gene:DRNTG_19011 transcript:DRNTG_19011.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPRYHLISHYTPTNLLILLILPFVTAAINVTSILQAHPDLSDFARLLVTTGVASELSGRSSLTLLVVPNSYLARSSELGRSAGDTADVLRYHVLLEYLSWSELRRIPASGKLVTTLYQTTGRAASNLGSVNLTRSPSGVLIARSPAGNATVLSPIATIPYNASVLAVDALLIPSGFDLAASEARPAPAVNISRVLADGHDFNVAASMLAASGVAAEFEADERGAGITVFVPTDEAFAELPATERLQSLPADRKAVVLRFHVLHSYYPLGSLESIVNPVQPTLATEDTGAGRFTLNISRVNGSVAIDTGVIQASITRTVFDQNPVAVFAVSRVLLPREIFAKGAHASPLAPPPLDPVALSPENDTPPTRLSSPPGLRTEIKSGSAARSIATAAVKACCIAMLYLLVLR >DRNTG_19011.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22612435:22614770:-1 gene:DRNTG_19011 transcript:DRNTG_19011.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPRYHLISHYTPTNLLILLILPFVTAAINVTSILQAHPDLSDFARLLVTTGVASELSGRSSLTLLVVPNSYLARSSELGRSAGDTADVLRYHVLLEYLSWSELRRIPASGKLVTTLYQTTGRAASNLGSVNLTRSPSGVLIARSPAGNATVLSPIATIPYNASVLAVDALLIPSGFDLAASEARPAPAVNISRVLADGHDFNVAASMLAASGVAAEFEADERGAGITVFVPTDEAFAELPATERLQSLPADRKAVVLRFHVLHSYYPLGSLESIVNPVQPTLATEDTGAGRFTLNISRVNGSVAIDTGVIQASITRTVFDQNPVAVFAVSRVLLPREIFAKGAHASPLAPPPLDPVALSPENDTPPTRLSSPPGLRTEIKSGSAARSIATAAVKACCIAMLYLLVLV >DRNTG_19011.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22612435:22614795:-1 gene:DRNTG_19011 transcript:DRNTG_19011.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPRYHLISHYTPTNLLILLILPFVTAAINVTSILQAHPDLSDFARLLVTTGVASELSGRSSLTLLVVPNSYLARSSELGRSAGDTADVLRYHVLLEYLSWSELRRIPASGKLVTTLYQTTGRAASNLGSVNLTRSPSGVLIARSPAGNATVLSPIATIPYNASVLAVDALLIPSGFDLAASEARPAPAVNISRVLADGHDFNVAASMLAASGVAAEFEADERGAGITVFVPTDEAFAELPATERLQSLPADRKAVVLRFHVLHSYYPLGSLESIVNPVQPTLATEDTGAGRFTLNISRVNGSVAIDTGVIQASITRTVFDQNPVAVFAVSRVLLPREIFAKGAHASPLAPPPLDPVALSPENDTPPTRLSSPPGLRTEIKSGSAARSIATAAVKACCIAMLYLLVLV >DRNTG_19011.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22612525:22614770:-1 gene:DRNTG_19011 transcript:DRNTG_19011.12 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPRYHLISHYTPTNLLILLILPFVTAAINVTSILQAHPDLSDFARLLVTTGVASELSGRSSLTLLVVPNSYLARSSELGRSAGDTADVLRYHVLLEYLSWSELRRIPASGKLVTTLYQTTGRAASNLGSVNLTRSPSGVLIARSPAGNATVLSPIATIPYNASVLAVDALLIPSGFDLAASEARPAPAVNISRVLADGHDFNVAASMLAASGVAAEFEADERGAGITVFVPTDEAFAELPATERLQSLPADRKAVVLRFHVLHSYYPLGSLESIVNPVQPTLATEDTGAGRFTLNISRVNGSVAIDTGVIQASITRTVFDQNPVAVFAVSRVLLPREIFAKGAHASPLAPPPLDPVALSPENDTPPTRLSSPPGLRTEIKSGSAARSIATAAVKACCIAMLYLLVLV >DRNTG_07679.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23266888:23268536:-1 gene:DRNTG_07679 transcript:DRNTG_07679.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPSNPISPDFLLSRVAAGSGRTTTPMDANGLLMYNGNGGAVLSDPQSQLTTTFNHPVSTMSMSMSRKRNRDELMPLPYQFQYQLAPNPSDAHTRVVESAGASTSGRLVTAPGLLAAHLSHEVDFLLRQHNERLRVGLEESAKRHCSVLHSVLEKQFLASLQEKQEELQKAVQRNLELEVKVGQLNAEKEMWFTAAKNSEAIVAGLKESLEQALLIQCQAVTKECGDISYPTAEDEESFCLQGEEKDKRGTSGVGVDVEEGIGESPEMLCQNVIKCCRVCQERDVCVLLLPCKHLCLCKDCASALDTCPVCRSPKNASLHVIIPHLQSL >DRNTG_07679.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23267025:23268536:-1 gene:DRNTG_07679 transcript:DRNTG_07679.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPSNPISPDFLLSRVAAGSGRTTTPMDANGLLMYNGNGGAVLSDPQSQLTTTFNHPVSTMSMSMSRKRNRDELMPLPYQFQYQLAPNPSDAHTRVVESAGASTSGRLVTAPGLLAAHLSHEVDFLLRQHNERLRVGLEESAKRHCSVLHSVLEKQFLASLQEKQEELQKAVQRNLELEVKVGQLNAEKEMWFTAAKNSEAIVAGLKESLEQALLIQCQAVTKECGDISYPTAEDEESFCLQGEEKDKRGTSGVGVDVEEGIGESPEMLCQNVIKCCRVCQERDVCVLLLPCKHLCLCKDCASALDTCPVCRSPKNASLHVIIPHLQSL >DRNTG_07679.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23266888:23268676:-1 gene:DRNTG_07679 transcript:DRNTG_07679.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPSNPISPDFLLSRVAAGSGRTTTPMDANGLLMYNGNGGAVLSDPQSQLTTTFNHPVSTMSMSMSRKRNRDELMPLPYQFQYQLAPNPSDAHTRVVESAGASTSGRLVTAPGLLAAHLSHEVDFLLRQHNERLRVGLEESAKRHCSVLHSVLEKQFLASLQEKQEELQKAVQRNLELEVKVGQLNAEKEMWFTAAKNSEAIVAGLKESLEQALLIQCQAVTKECGDISYPTAEDEESFCLQGEEKDKRGTSGVGVDVEEGIGESPEMLCQNVIKCCRVCQERDVCVLLLPCKHLCLCKDCASALDTCPVCRSPKNASLHVIIPHLQSL >DRNTG_07679.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23266700:23268536:-1 gene:DRNTG_07679 transcript:DRNTG_07679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPSNPISPDFLLSRVAAGSGRTTTPMDANGLLMYNGNGGAVLSDPQSQLTTTFNHPVSTMSMSMSRKRNRDELMPLPYQFQYQLAPNPSDAHTRVVESAGASTSGRLVTAPGLLAAHLSHEVDFLLRQHNERLRVGLEESAKRHCSVLHSVLEKQFLASLQEKQEELQKAVQRNLELEVKVGQLNAEKEMWFTAAKNSEAIVAGLKESLEQALLIQCQAVTKECGDISYPTAEDEESFCLQGEEKDKRGTSGVGVDVEEGIGESPEMLCQNVIKCCRVCQERDVCVLLLPCKHLCLCKDCASALDTCPVCRSPKNASLHVIIPHLQSL >DRNTG_07940.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1126646:1127618:1 gene:DRNTG_07940 transcript:DRNTG_07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGNRNGVDDHKANKGSGLASVLALGTANPPNVFYQDAFPDYYFRITNNEHRVELKEKFKRICEKSMIKKRHFFLTEEILKQKPNLCSFMEENSLNTRHDIIVEEMPKLGSKAAIKALEEWGRPLSEITHLIFCSTSGVDLPGADFQLIKLLGLSLSTKRIMLYSLGCYAGGSVLRIAKDLAENNQNA >DRNTG_22971.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16247617:16251622:-1 gene:DRNTG_22971 transcript:DRNTG_22971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNPSIIVEGDPELERTFKRMGKEPVEEPSNLAEVEVCRPQTHRQQHHVSFPDVLPGDNIGFNVKNIAVKVLKRALAHPTQRTTLARKWPTSLSQVIIMEHPGQIGNRYAPVLDCHGA >DRNTG_02449.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14595844:14605534:-1 gene:DRNTG_02449 transcript:DRNTG_02449.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPQSFPTAKLCRAALPAKGSILFGNISGVSSKLRPRIRSSRKGWSSSSVRAVLDLEGSGVTLKASKIDACRGDRSKVANLDDIISERGACGVGFIANLQNEASHQIVEDALSALGCMEHRGGCGADNDSGDGSGVMTTIPWDLLNEWATKEGLPSLEKSSTGVGMVFLPKDEIFKDEAKNVITKTLNEEGLEVLGWRPVPINASVVGYYAKETMPNIQQVLVKVPKEENVDDIERELYICRKLVEKASKSEVWQDELYLCSLSNQTIVYKGMLRSEVLGQFYLDLKNDLYKSAFAIYHRRYSTNTSPRWPLAQPMRLLGHNGEINTIQGNLNWMQSRETTLKSLVWRGRENEIRPFGNPKASDSANLDSAAELLLRSGRSPAEALMILVPEAYKNHPTLMIKYPEVVDFYDYYKGQMEAWDGPALLLFSDGKTVGACLDRNGLRPARYWRTIDNVVYVASEVYLLFNRLK >DRNTG_02449.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14595844:14605534:-1 gene:DRNTG_02449 transcript:DRNTG_02449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPQSFPTAKLCRAALPAKGSILFGNISGVSSKLRPRIRSSRKGWSSSSVRAVLDLEGSGVTLKASKIDACRGDRSKVANLDDIISERGACGVGFIANLQNEASHQIVEDALSALGCMEHRGGCGADNDSGDGSGVMTTIPWDLLNEWATKEGLPSLEKSSTGVGMVFLPKDEIFKDEAKNVITKTLNEEGLEVLGWRPVPINASVVGYYAKETMPNIQQVLVKVPKEENVDDIERELYICRKLVEKASKSEVWQDELYLCSLSNQTIVYKGMLRSEVLGQFYLDLKNDLYKSAFAIYHRRYSTNTSPRWPLAQPMRLLGHNGEINTIQGNLNWMQSRETTLKSLVWRGRENEIRPFGNPKASDSANLDSAAELLLRSGRSPAEALMILVPEAYKNHPTLMIKYPEVVDFYDYYKGQMEAWDGPALLLFSDGKTVGACLDRNGLRPARYWRTIDNVVYVASEVYLLFNRLK >DRNTG_16318.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1555014:1555808:1 gene:DRNTG_16318 transcript:DRNTG_16318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEYLMRGEFSPKSDVFSYGVLVLEIVTGQKNRGVVGYQPASDLVNNGKH >DRNTG_34925.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002207.1:10022:21814:1 gene:DRNTG_34925 transcript:DRNTG_34925.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAVLESDLRALSAEARRRYPAVKDAAEHAILKLRSLSSPSEIAQNEDILRMFLMACDVKSVKLSVLGLSCLQKLISHDAVSSSSLKEILCTLKDHAEMTDETVQHKTLQTILIIFQSKLHPESEDNMAQALGICLRLLESSRSSDNVRNTAAATFRQAVALIFDNVVSAESLPAIKISPSSQISRTSFVTDDVSRSITHSMSLESEFVSGRAAVQGTLTKTGKLGLRLLEDLTALAAGGSATWLRVMAVQRTFALDILE >DRNTG_34925.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002207.1:10022:21814:1 gene:DRNTG_34925 transcript:DRNTG_34925.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAVLESDLRALSAEARRRYPAVKDAAEHAILKLRSLSSPSEIAQNEDILRMFLMACDVKSVKLSVLGLSCLQKLISHDAVSSSSLKEILCTLKDHAEMTDETVQHKTLQTILIIFQSKLHPESEDNMAQALGICLRLLESSRSSDNVRNTAAATFRQAVALIFDNVVSAESLPAIKISPSSQISRTSFVTDDVSRSITHSMSLESEFVSGRAAVQGTLTKTGKLGLRLLEDLTALAAGGSATWLRVMAVQRTFALDILEFILSNYTPIFCSLVSYEQVLRHQVCSLLMTSLRTNVEVEGEAGEPTFRRLVLRSVAHIIRLYSLFLVTECEVFLNTLIKVTCLDLPLWHRILVLEVLRGFCVEARTLRLLFQNFDMDPNNTNVVENMVKALARIISTIQV >DRNTG_31567.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001723.1:19516:21408:-1 gene:DRNTG_31567 transcript:DRNTG_31567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAFISVYKVCWKFGGCGTDGVLAGIDKAIQDGVHILQMSFGARRGYLPTSFTDDDVAVGTFSAMQKGIFPCTAAGNNGPDPETLSHAAPWDMVVGATTTDRRIRATVTLGNGTQLHGESAYQPNLVTNQFYPLVFPGSNGRNRQLYCLRNSLNGIDVRDKIVMCDVGAKDNIVTGKVVRNAGGAGIILMNFDIVGYTTFSEAHHLPVSHVSYKDALQLKDYIISNSTPTAKITFGGTIFDIRPSPALAFFSSRGPVKYNGNIVKARCHCTWSEYSICMASRSWALSIRPKKTKTFNFVSGTSMATPHVSGIVALIMSKLKYEKQASVVSARDSISTHHHNQHIGLRWKTNLRSSNF >DRNTG_15632.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18027416:18032062:-1 gene:DRNTG_15632 transcript:DRNTG_15632.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVKSTQDVLELMQIGQANRAVGATALNERSSRSHSVLTVHVRGVDLKTEATLRGSLHLVDLAGSERVDRSEATGDRLKEAQHINKSLSALGDVISALAQKSNHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESFSETISTLKFAERVSGVELGAARSSKEGKDVRELMEQVASLKETIARKDEEIEQLQELKDIGAQRHGNNLLRQSSSTPGFQSLVATQPGRRLSGVGMTNTTNKILLDPECSDYSDRHSDPGSHQSMDDLKPHNENLEQIKLVREDARPAFPADDELLRFGDADSEERLSDISDSVLSMGTETDGSISSVVEFTLFPESNKQPQIKTEKITKVQSRIPKPLQQKKGPTPSVRPKLNNPLKSPSIRKGATTQASTSAGKSLKRWQ >DRNTG_07132.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:11603688:11604985:1 gene:DRNTG_07132 transcript:DRNTG_07132.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEQKAILSGMDSYLEKPLREHKLLEAIHIFS >DRNTG_26741.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16914725:16920741:1 gene:DRNTG_26741 transcript:DRNTG_26741.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHYYLPCPEPDRTLGSVLHTDVGVLTVLIQDEIGGLQVKSMKDEYWVDVKPIPGALIINVGDLLQIFRPLEDEVVVQFCTVDWQVLSQLGLGEEELLFLYSLTERLPIYLGYMLAYYIAHKGQHVHLGAIFADPYIIKLILGMGLADRLQRKKIIDGMAYLEAMENTRICFGHRKEKSRVCYCSDHYSSSRGPSKQRVLPIPYDPYNSLVLFKGLVVKSRDYLAGLVPTNAPPITLFQRNAVLMAIEPLQNICQSPSKP >DRNTG_19206.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5087451:5089147:-1 gene:DRNTG_19206 transcript:DRNTG_19206.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 91C1 [Source:Projected from Arabidopsis thaliana (AT5G49690) UniProtKB/Swiss-Prot;Acc:Q9LTA3] MENNNNNNNIKDNGNNNNINNNGYNNLHIAMLPWLAIGHLLPFLDLAKRLAQHGHQISFFSTPQNLHRLPSLPSHLSSLISFIPLPLPPIPDLPPNASATLDLPSDHLRPLLRKAFDSFQHSLLPFLHSSPPHWLLFDYAAHWVPSLARHLHIKSAFVALHNATALSFFGPPSALSGPDSRTSPEQFTQIPNWIPFPNSTITYRLYEARELFNPGVLPDASGVSESFRYSASISDSDFVAIRSCHEFESIYLDLLQNLYNKPLIPFGLLPPLIHTQNSHQIPNDLKQWLNKQTLKSVVYVAFGSEVKLSKKSVQKIALGLELSQLPFVWVLRASEDWLPEGFGRRNEGKGVVVFGWVPQLSVLGHWAVGGFLCHGGWSSIVEGLGFGVAMVMLPMVFEQGLNARNVVEKGIGVEVVRDENDGSFSGEDVCRSLRLVMVDDEGECFRKRALEMKDVFGHEELHDRYISGFIQFLWDHR >DRNTG_19206.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5087044:5089050:-1 gene:DRNTG_19206 transcript:DRNTG_19206.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 91C1 [Source:Projected from Arabidopsis thaliana (AT5G49690) UniProtKB/Swiss-Prot;Acc:Q9LTA3] MENNNNNNNIKDNGNNNNINNNGYNNLHIAMLPWLAIGHLLPFLDLAKRLAQHGHQISFFSTPQNLHRLPSLPSHLSSLISFIPLPLPPIPDLPPNASATLDLPSDHLRPLLRKAFDSFQHSLLPFLHSSPPHWLLFDYAAHWVPSLARHLHIKSAFVALHNATALSFFGPPSALSGPDSRTSPEQFTQIPNWIPFPNSTITYRLYEARELFNPGVLPDASGVSESFRYSASISDSDFVAIRSCHEFESIYLDLLQNLYNKPLIPFGLLPPLIHTQNSHQIPNDLKQWLNKQTLKSVVYVAFGSEVKLSKKSVQKIALGLELSQLPFVWVLRASEDWLPEGFGRRNEGKGVVVFGWVPQLSVLGHWAVGGFLCHGGWSSIVEGLGFGVAMVMLPMVFEQGLNARNVVEKGIGVEVVRDENDGSFSGEDVCRSLRLVMVDDEGECFRKRALEMKDVFGHEELHDRYISGFIQFLWDHR >DRNTG_19206.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5087451:5089050:-1 gene:DRNTG_19206 transcript:DRNTG_19206.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 91C1 [Source:Projected from Arabidopsis thaliana (AT5G49690) UniProtKB/Swiss-Prot;Acc:Q9LTA3] MENNNNNNNIKDNGNNNNINNNGYNNLHIAMLPWLAIGHLLPFLDLAKRLAQHGHQISFFSTPQNLHRLPSLPSHLSSLISFIPLPLPPIPDLPPNASATLDLPSDHLRPLLRKAFDSFQHSLLPFLHSSPPHWLLFDYAAHWVPSLARHLHIKSAFVALHNATALSFFGPPSALSGPDSRTSPEQFTQIPNWIPFPNSTITYRLYEARELFNPGVLPDASGVSESFRYSASISDSDFVAIRSCHEFESIYLDLLQNLYNKPLIPFGLLPPLIHTQNSHQIPNDLKQWLNKQTLKSVVYVAFGSEVKLSKKSVQKIALGLELSQLPFVWVLRASEDWLPEGFGRRNEGKGVVVFGWVPQLSVLGHWAVGGFLCHGGWSSIVEGLGFGVAMVMLPMVFEQGLNARNVVEKGIGVEVVRDENDGSFSGEDVCRSLRLVMVDDEGECFRKRALEMKDVFGHEELHDRYISGFIQFLWDHR >DRNTG_25555.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3076467:3080449:1 gene:DRNTG_25555 transcript:DRNTG_25555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCNAMHACMQTNSNMASFVFFVTMVASLLTVSASSNSLPILLQLKASFTHDPLNVLESWSSNNTDYCSWTGITCDGDVVAGVNLSSASLEGGVSPSLGLLTGLQVLDLSSNSLTGSIPESLSNLTSLTVLLLYSNGLSGTIPAGLGYLPSLRVLRLGDNSGITGPIPDSFGHLLNLTVLGLASCNLTGSIPSQLGRLSLLQRLVLQQNQLQGMIPTEISDCSELVVLALSNNNISGDIPAELGSLANLQTLNLGNNSLEGEIPSQLGKLNQLVYLNFMANKLQGTIPKSFGRLVSLQNLDLSGNQLTGSVPEELSELSELVFLVLSGNQLSGPLPEKLCQTSSKLEHLLLSTNNFTGEIPVSLVSCQYLKQLDLANNSLSGLIPSELGELLNLTDLLLNNNSFSGTIPRSLGDLSNLQTLTLYHNELRGRIPEELGMLQKLEILYLYENQLSGEIPKMIGNCSSLQMIDFFGNQLTGSIPSSFGMLENLNFLHLRGNGFSGEIPVSLGNCRQLMILDLADNQLSGRIPASFGQLESLQQLMLYNNSLHGSIPDEVFGCKNITRVNLSNNRLNGSLLPLCGSISLLSFDVTNNSFNFDVPPQLGNSQMLERIRLGKNRLIGEIPSTLGSISELSILDLSSNSLAGEIPEELASCSKLTHLDLNDNKFSGSVPAWLGSLSQLGELKLSNNGFSGLLPVELFNCSNLLKLCLDGNQLNGTVPAQIGELVSVNVLNLAGNEFSGSIPASIGRLTKLYDLHLSHNSFTGNIPSELGQLQELQSTLDLSYNHLTGEIPLFLQSLSKLEELDLSHNSLTGEVPRQIGEMSSLVKLDLSYNRLQGELDQAFDRWPLRSFEANAALCGNPLQPCSTHNSSPQQAGLNAASIAVVSAATTIIFALLIVAIIILRRRIPGTKQVNCGYSSSSSLTHRQLLLKGSMKREFRWEAIMESTNYLSDEFIIGSGGAGTVYRVELSTGETVAVKKFLNKDSNAFLLDKCFAREIKTLGRIRHRHLVKLLGFLSGSNNGASAGTYLLVYEYMENGSVWDWLHNPQVGYKKKELNWEARMKIAIGLAKGVEYLHHDCVPRITHRDIKTSNVLLDGDMEAHLGDFGLAKYVSENSAGYTESKSWFAGSYGYIAPEYAFSLKATEKSDVYSLGIVLMELVSGLMPTNRSFDGDMDMVRWVQLRLGSPGEGGIA >DRNTG_12347.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20798252:20798909:1 gene:DRNTG_12347 transcript:DRNTG_12347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGGVAVVVYTCDPSCEFRQSMQEMVSSRHIDQVHWEFMQELLLCYLQLNDQSVHKQRLEESVKIVKRSLEFIEPGEDELSSSRKIHIST >DRNTG_12935.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1574066:1578123:-1 gene:DRNTG_12935 transcript:DRNTG_12935.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WVD2-like 7 [Source:Projected from Arabidopsis thaliana (AT1G70950) UniProtKB/Swiss-Prot;Acc:Q67Y69] MAGEIEDCLETKADSLHNGSISFGRFETESLSWERRSSFSHNKYLEEVEKCSTHGSVNQKKAYFEAHFKKKPLNQFLAQSRDRAQCLTSESSHENSEIHTQECQDRGAQCLTSESSHEESEIYTQECQDRGISDDLEDGVTEHGYEDGVTEHGYEDGVTEHGYEDGMTEHGHEEIPPSGISEQCTPVEDNVQGTAVELVDHIDKTQPLHENDSDVVVNQKYGKEHGVTEGYPEEDSLSQRSFVEKNSYPSLREMKEISKVKAIVQQKGAETKLKAQPPSCQTPSKSSTQMNLPHSGKEFFKTLRKMREELRAKPDEQSPISIPPSTVSGFNPPKSEGSSGSLKTKARQENRSVKDQREKKGGAIRHSTSIKPKQVITPAKTESKQRTAFSFRSDERAEKRKEYSMKMEEKFRAKEAETSEILARTQEETEAEIKQLRKSLNFKATPMPSFYHETQTTRVSDTKKIVTPQTTTSTKLQTKSKNMKKA >DRNTG_12935.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1573455:1575683:-1 gene:DRNTG_12935 transcript:DRNTG_12935.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WVD2-like 7 [Source:Projected from Arabidopsis thaliana (AT1G70950) UniProtKB/Swiss-Prot;Acc:Q67Y69] MNLPHSGKEFFKTLRKMREELRAKPDEQSPISIPPSTVSGFNPPKSEGSSGSLKTKARQENRSVKDQREKKGGAIRHSTSIKPKQVITPAKTESKQRTAFSFRSDERAEKRKEYSMKMEEKFRAKEAETSEILARTQEETEAEIKQLRKSLNFKATPMPSFYHETQTTRVSDTKKIVTPQTTTSTKLQTKSKNMKKA >DRNTG_12935.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1574066:1578123:-1 gene:DRNTG_12935 transcript:DRNTG_12935.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WVD2-like 7 [Source:Projected from Arabidopsis thaliana (AT1G70950) UniProtKB/Swiss-Prot;Acc:Q67Y69] MAGEIEDCLETKADSLHNGSISFGRFETESLSWERRSSFSHNKYLEEVEKCSTHGSVNQKKAYFEAHFKKKPLNQFLAQSRDRAQCLTSESSHENSEIHTQECQDRGAQCLTSESSHEESEIYTQECQDRGISDDLEDGVTEHGYEDGVTEHGYEDGVTEHGYEDGMTEHGHEEIPPSGISEQCTPVEDNVQGTAVELVDHIDKTQPLHENDSDVVVNQKYGKEHGVTEGYPEEDSLSQRSFVEKNSYPSLREMKEISKVKAIVQQKGAETKLKAQPPSCQTPSKSSTQMNLPHSGKEFFKTLRKMREELRAKPDEQSPISIPPSTVSGFNPPKSEGSSGSLKTKARQENRSVKDQREKKGGAIRHSTSIKPKQVITPAKTESKQRTAFSFRSDERAEKRKEYSMKMEEKFRAKEAETSEILARTQEETEAEIKQLRKSLNFKATPMPSFYHETQTTRVSDTKKIVTPQTTTSTKLQTKSKNMKKA >DRNTG_12935.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1573455:1578123:-1 gene:DRNTG_12935 transcript:DRNTG_12935.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WVD2-like 7 [Source:Projected from Arabidopsis thaliana (AT1G70950) UniProtKB/Swiss-Prot;Acc:Q67Y69] MAGEIEDCLETKADSLHNGSISFGRFETESLSWERRSSFSHNKYLEEVEKCSTHGSVNQKKAYFEAHFKKKPLNQFLAQSRDRAQCLTSESSHENSEIHTQECQDRGAQCLTSESSHEESEIYTQECQDRGISDDLEDGVTEHGYEDGVTEHGYEDGVTEHGYEDGMTEHGHEEIPPSGISEQCTPVEDNVQGTAVELVDHIDKTQPLHENDSDVVVNQKYGKEHGVTEGYPEEDSLSQRSFVEKNSYPSLREMKEISKVKAIVQQKGAETKLKAQPPSCQTPSKSSTQMNLPHSGKEFFKTLRKMREELRAKPDEQSPISIPPSTVSGFNPPKSEGSSGSLKTKARQENRSVKDQREKKGGAIRHSTSIKPKQVITPAKTESKQRTAFSFRSDERAEKRKEYSMKMEEKFRAKEAETSEILARTQEETEAEIKQLRKSLNFKATPMPSFYHETQTTRVSDTKKIVTPQTTTSTKLQTKSKNMKKA >DRNTG_11037.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10670283:10672498:1 gene:DRNTG_11037 transcript:DRNTG_11037.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLEKEAISLEKGMRSDNDREKEMRSQEAISTGDRMDSTLTDMAVVMKQQDRAEEAVEAIKSFHHLCSKQAQEPFDNILIDLYKGNLGWAYMQQTNYGAVEVAYWKAQMIDTDANKACNLALFLMK >DRNTG_25692.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30313365:30314535:1 gene:DRNTG_25692 transcript:DRNTG_25692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTYKRLSNTKQSKKTNSQDKKHRYVIS >DRNTG_25692.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30313472:30314535:1 gene:DRNTG_25692 transcript:DRNTG_25692.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTYKRLSNTKQSKKTNSQDKKHRYVIS >DRNTG_25300.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19752037:19753096:-1 gene:DRNTG_25300 transcript:DRNTG_25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKQQMHVLAVDDNSVDRAVISRILRSSKHKVTAVDSAQRALDLLGLEPDVNMIITDYWMPDMTGFDLLKRVKESSVLKEIPVVIMSSENVPSRITRCLEEGAEDFLLKPVRPADVSRLCTRMMMR >DRNTG_25056.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6328969:6333123:1 gene:DRNTG_25056 transcript:DRNTG_25056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDKFTHKTNEAIVGAHELAINSGHAQITPLHLASSLISEQNGILRQAIANAAGGAGDSAPTSFERVLNQALKKIPSQHPPPDEVPASTSLIKVIRRAQSSQKSRGDSHLAVDQIILGLLEDSQIGDCLKEAGISTARVRAEVEKLRGKEGKKVESASGDTNFQALKTYGRDLVEQAGKLDPVIGRDEEIRRVIRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLEDVRLVALDMGALVAGAKYRGEFEERLKAVLKEVEDADGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVYVAEPSVPDTISILRGLKEKYEGHHGVRIQDRALVVAAQLSSRYITGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRIQLEVELHALEKEKDKASKARLVEVRRELDDLRDKLQPLKMKYMKEKERIDEIRRLKQKREELLFSLQEAERRMDLARVADLRYGALQEVDAAIAKLEGETGENLMLTETVGPDQIAEVVSRWTGIPVTRLGQNDKERLIGLAERLHKRVVGQDQAVDAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLVRIDMSEYMEQHSVARLIGAPPGYVGHDEGGQLTEAVRRRPYSVVLFDEVEKAHIAVFNTLLQVLDDGRLTDGQGRTVDFSNTVIIMTSNLGAEHLLAGMVGKSSMKIARERVMEEVRRHFRPELLNRLDEIVIFDPLSHDQLRKVARLQMKDVAVRLAERGIALAVTDAALDIVLAESYDPVYGARPIRRWLEKRVVTQLSKMLIREEIDENSTVYIDAAANKKELSYKVEKNGGMVNAATGQKSDVLIEIPNGVVKSDAAQAVKKMKIQIDEDDEEMEEALV >DRNTG_33142.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19397428:19408535:-1 gene:DRNTG_33142 transcript:DRNTG_33142.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPFNGHQGIDDKVLIEIFLEGGREFTAIVIDAGTGINSHPIVLLPTEVELSSSNNNEAIEDTIFNYRRKYLPTQQVAYHTPPRFPVNVIECIREGAALLFQRLHLCDFARIDGWFLPLPNNLLASAENDSKFGNTESGTIVFTDINLISGMEQTSFLFQQASKVGFSHSNILRTIIQRACLRFPSLRPCSNAWNKLSRRMKSSQHAKVTPKIEHTKKVFVIFGGETSERQVSLMSGTNVWLNLQGFDDLDVHPCLLAPANGYSSTKQDTDNIANSRIVWTLPYSLVLRHTTEEVFDACVEAIEPARAALTSCLRDAVMTELSEALNQHAWFTGFDIIDEPPVKYSLEQWIKLAKEAQATVFIAVHGGIGEDGTLQSLLEAAGVPYTGPGTMASKTCMDKVSTSLSLSHLTGMGVLTIPKDVRSTEELLSSPIPDIWRDLTTKLHSETLCVKPARDGCSTGVARLRSDEDLKVYTKAIRDFLPRLPANSLSKAHGVIEMPYPPPQSLIFEPFIETDEIIVSSKSVDDTARRLMWEGHSEWVEVTVGVVGKHGQMHSLSPSITVKESGDILSLEEKFQGGTGINLTPPPLSIMSENALRKCKQRIELIANTLELEGFSRIDAFVNVHSGEVLVIEVNTVPGMTPSTVLIHQALAEEPAMYPQQFFRTLLDLSSQRSN >DRNTG_33142.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19397428:19408570:-1 gene:DRNTG_33142 transcript:DRNTG_33142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTSFLFQQASKVGFSHSNILRTIIQRACLRFPSLRPCSNAWNKLSRRMKSSQHAKVTPKIEHTKKVFVIFGGETSERQVSLMSGTNVWLNLQGFDDLDVHPCLLAPANGYSSTKQDTDNIANSRIVWTLPYSLVLRHTTEEVFDACVEAIEPARAALTSCLRDAVMTELSEALNQHAWFTGFDIIDEPPVKYSLEQWIKLAKEAQATVFIAVHGGIGEDGTLQSLLEAAGVPYTGPGTMASKTCMDKVSTSLSLSHLTGMGVLTIPKDVRSTEELLSSPIPDIWRDLTTKLHSETLCVKPARDGCSTGVARLRSDEDLKVYTKAIRDFLPRLPANSLSKAHGVIEMPYPPPQSLIFEPFIETDEIIVSSKSVDDTARRLMWEGHSEWVEVTVGVVGKHGQMHSLSPSITVKESGDILSLEEKFQGGTGINLTPPPLSIMSENALRKCKQRIELIANTLELEGFSRIDAFVNVHSGEVLVIEVNTVPGMTPSTVLIHQALAEEPAMYPQQFFRTLLDLSSQRSN >DRNTG_33142.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19397428:19408535:-1 gene:DRNTG_33142 transcript:DRNTG_33142.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTSFLFQQASKVGFSHSNILRTIIQRACLRFPSLRPCSNAWNKLSRRMKSSQHAKVTPKIEHTKKVFVIFGGETSERQVSLMSGTNVWLNLQGFDDLDVHPCLLAPANGYSSTKQDTDNIANSRIVWTLPYSLVLRHTTEEVFDACVEAIEPARAALTSCLRDAVMTELSEALNQHAWFTGFDIIDEPPVKYSLEQWIKLAKEAQATVFIAVHGGIGEDGTLQSLLEAAGVPYTGPGTMASKTCMDKVSTSLSLSHLTGMGVLTIPKDVRSTEELLSSPIPDIWRDLTTKLHSETLCVKPARDGCSTGVARLRSDEDLKVYTKAIRDFLPRLPANSLSKAHGVIEMPYPPPQSLIFEPFIETDEIIVSSKSVDDTARRLMWEGHSEWVEVTVGVVGKHGQMHSLSPSITVKESGDILSLEEKFQGGTGINLTPPPLSIMSENALRKCKQRIELIANTLELEGFSRIDAFVNVHSGEVLVIEVNTVPGMTPSTVLIHQALAEEPAMYPQQFFRTLLDLSSQRSN >DRNTG_33142.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19397428:19408535:-1 gene:DRNTG_33142 transcript:DRNTG_33142.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSISLASIPSFSFPSGRHAFAPKEAFFPMISASEVGLEVRGVSLDRRVRVLTTRATAGVSSLVEQWKTEEEKERPLRVGIICGGPSAERGISLNSARSVLDHIQGEDLHVSCYYIDYELNAYAISPAQLYSNTPADFDFKLESLAQGFLSLSEFAEHLAVSVDIVFPVIHGRFGEDGGIQELLEKANVPFVGTPSNECRQAFDKYNASVELNKQGFLTVPSFLVQGDKPDKSALANWFRNNHLDESTGKVVVKPARAGSSIGVAVAYGVDDSLEKAVNIVAEGIDDKVLIEIFLEGGREFTAIVIDAGTGINSHPIVLLPTEVELSSSNNNEAIEDTIFNYRRKYLPTQQVAYHTPPRFPVNVIECIREGAALLFQRLHLCDFARIDGWFLPLPNNLLASAENDSKFGNTESGTIVFTDINLISGMEQTSFLFQQASKVGFSHSNILRTIIQRACLRFPSLRPCSNAWNKLSRRMKSSQHAKVTPKIEHTKKVFVIFGGETSERQVSLMSGTNVWLNLQGFDDLDVHPCLLAPANGYSSTKQDTDNIANSRIVWTLPYSLVLRHTTEEVFDACVEAIEPARAALTSCLRDAVMTELSEALNQHAWFTGFDIIDEPPVKYSLEQWIKLAKEAQATVFIAVHGGIGEDGTLQSLLEAAGVPYTGPGTMASKTCMDKVSTSLSLSHLTGMGVLTIPKDVRSTEELLSSPIPDIWRDLTTKLHSETLCVKPARDGCSTGVARLRSDEDLKVYTKAIRDFLPRLPANSLSKAHGVIEMPYPPPQSLIFEPFIETDEIIVSSKSVDDTARRLMWEGHSEWVEVTVGVVGKHGQMHSLSPSITVKESGDILSLEEKFQGGTGINLTPPPLSIMSENALRKCKQRIELIANTLELEGFSRIDAFVNVHSGEVLVIEVNTVPGMTPSTVLIHQALAEEPAMYPQQFFRTLLDLSSQRSN >DRNTG_34487.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12781156:12784586:1 gene:DRNTG_34487 transcript:DRNTG_34487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASSARALMPNSIHVPEEKSNNLNTKILSTHSLHYDRKELEERR >DRNTG_24700.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19122200:19127942:-1 gene:DRNTG_24700 transcript:DRNTG_24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL26 [Source:Projected from Arabidopsis thaliana (AT3G07070) UniProtKB/Swiss-Prot;Acc:Q9SFT7] MSCFSCFGTGKKDLRIRIDDGRAGSNENGRALVGGRDNGDGAKSFTFRDLAIATQNFREENKIGQGGFGSVFKGRLGANQTVAIKQLNREGMQGSKEFLMEVLMLIVLRHANLVSLIGFCAEGDERLLVYEYMPKGSLEDHLFDLPPDRQPLEWNTRIKIAVGAAEGLTYLHDIANPPVIYRDLKAANILLDNDFNPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPDYAMSGKLTLKSDVYSFGMLLLELITGRKAFDSSRPGSEQKLINWARPFLNDRRRFNRLPDPLLQGRYPHRPFHQLVVITSMCLQEQPHVRPIIRDVVVALNHVAAQPYVSDVRSPNPPSSPMNAGRRSPQPCSPRRAPRTPSRR >DRNTG_07347.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000332.1:1882:2978:1 gene:DRNTG_07347 transcript:DRNTG_07347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQVLNPVILSDRGGWGTLKVVCGGNGDLRQEEVVGAYDASAVLVGLGGIEVIVHKKRIRPNYSVKRGQNIVLQVKHGLSKIVFLLLNFPGKFCIMRSINTIVHILSGAELGQWDFIRAMSKWFRSKEGSLS >DRNTG_31117.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:71994:77545:1 gene:DRNTG_31117 transcript:DRNTG_31117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLRARSLPVFALALLLFAVLCTASESDHKYRSEEPITLWVNKVGPYNNPQETYNYYSLPFCHPSENPAHKWGGLGEVLGGNELIDSHIDIKFGKDVAKGTICTLELDEAKVKQFNDAIDSSYWFEFFIDDLPLWGFVGETDKNDESKRFFFTHKSILIHYNGDQIIQANLTQEGPRILEAGKKVDMTYSVKWVSTNVSFARRFEVYLDHPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVSEESGWKLVHGDVFRPPRSLVVLSAVVGTGAQLAMLVLLVILLAIIGMLYVGRGAIVTTFIVCYALTSFISGYVSGGLYSRNGGKNWIKSMILTASLFPFLCFGIGFVLNTIAIFYRSLAAIPFGTMVVVFIIWAFISFPLALLGTVVGRNWSGSPNNPCRVKTIPRPIPEKKWYLTPSIVSLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTALYVFLYSIYYFYLKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSTLFVRRIYRNIKCD >DRNTG_00311.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2164974:2168007:-1 gene:DRNTG_00311 transcript:DRNTG_00311.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLALLSASSPPPSLLLRPMPSSLLRPLLPLRRRLSLAAAAAARPDTTLWTEAPVTTIHPASADGSLFHISIDAGDLASSYTSPGQYLQVRLPGSEKPSFLAIASPPPSLDSSPTGEFEFLVKKIAGSVAELLCGLRSGDVVELSAVMGKGFEIHRISPADAFQSVLIFATGSGISPIRSLIESGFNANERSDVRLYYGARNLQRMAYQDRFEYWESTGVKIIPVLSRPEDKWKGEHGYVQAAFSKAKELVNPSSTGAVLCGHKQMAEDVTSVLVADGVSKEKILKNF >DRNTG_27224.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:909191:909905:1 gene:DRNTG_27224 transcript:DRNTG_27224.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALHRVLPRFVTPCRIVTSVGDAVTNSVITETKAIDGRRRRQCDVFINHRGVDTKRTVAGLVYDRLAQLNLCPFLDNRSMEPGDKLCDNISSAISDCHVGIAIFSPRYCESFFCLNELAMLVEAKKKLIPIFFDIKPSSLHVTDHPNLSTQAIQRFNNALLEAKNTVGLTFDSQSGSVLLLPLSKNWSELVTRTADIVVKSISNGR >DRNTG_18270.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1875026:1876715:-1 gene:DRNTG_18270 transcript:DRNTG_18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIRPTSLLPFRRHLSVALDRGAIPWHDRILDPGSSVVIRWNQVFLLLSTLSLFVDPFYFFVLFVGGRGCLKVDVHIMVIVTVLRTMFDLCYLANLLLKFRIAFVAPSSRVFGRGELVTDKHQITMRYLKGSFAIDLISLLPIPQLIIWIVITAARNTSAKHTINMLSMIIFLQYLPRLYLLFRLMSRITKAYGDVTKTTWIGAAYHLILYMLASHGFGALWYLFSMVQQNSCWATECAKENGTMHMPLCKTSFLDCSTLDDPERKVWLKTTQVLSNCDATNSSNPFQFGMYADALTDDVVGATFWGKYLYCLWWGLRNLSSYGQNLATSTNPGETSYAIFICIVGLVLFCLLIGNFQVM >DRNTG_18270.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1875733:1876715:-1 gene:DRNTG_18270 transcript:DRNTG_18270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIRPTSLLPFRRHLSVALDRGAIPWHDRILDPGSSVVIRWNQVFLLLSTLSLFVDPFYFFVLFVGGRGCLKVDVHIMVIVTVLRTMFDLCYLANLLLKFRIAFVAPSSRVFGRGELVTDKHQITMRYLKGSFAIDLISLLPIPQLIIWIVITAARNTSAKHTINMLSMIIFLQYLPRLYLLFRLMSRITKAYGDVTKTTWIGAAYHLILYMLASH >DRNTG_19882.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17384917:17385941:1 gene:DRNTG_19882 transcript:DRNTG_19882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLMSFSRMDDQMAVQEAATAGIRSMELLISQLSHQTSPSDCRDMADQTVSKFKKMISVLNRTGHARFRRGPNPVQTQTQTQPPSQTKTLDFTKPSFSAPISSAASSFFSSVTGDGSVSNGRLGSALIGATPASFSAGKPPLASKKRCHGHSGAHSEAAIGKRCHCSKKRKNKVKKVIRVPAISSKVADIPSDEYSWRKYGQKPIKGSPYPRGYYKCSSVRGCPARKHVERAPDDPAMLIVTYEWEHRHSLDGALIGSQPLN >DRNTG_01395.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4615711:4616122:1 gene:DRNTG_01395 transcript:DRNTG_01395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQSWNQPAPPHETSSGDSNPKPSTYAQRDIMPRLLKSQARERMPLRSQRKHGPRPRREGAADSGELAAAVAVATIVLQSFDIPGFYKLVFSEEQ >DRNTG_08477.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7442084:7443201:-1 gene:DRNTG_08477 transcript:DRNTG_08477.1 gene_biotype:protein_coding transcript_biotype:protein_coding EHTFGFWGASKSWSLPLRIKSSVVFFNSPTFFVSNRISNCSVSSRRSHKPCGRRSSSPSSRVPMLSSRREA >DRNTG_33444.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3011886:3012822:1 gene:DRNTG_33444 transcript:DRNTG_33444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKASRYVQIIKEQDEPLETIQPGELNQPIEVPQLEVRRCHECGQPLPESYEPPADEPWSTGIFACADDPDSCWSGLFCPCVLFGRNAEKLDDDDGDGHDDDNKKKNGIRRWRKACICHAIFIEGGIALGAFVTFCHGIDPRTSFLICEGLFFGWWLCGIYTGSYRQSLQRKFHLKVCL >DRNTG_05618.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20638022:20641461:1 gene:DRNTG_05618 transcript:DRNTG_05618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLNFLSYLNLSHNNLSGKIPSGGQLQTFNPSVYNWNHDLCGSPLQDCANKTHYSQGANEEEGKGDWSEVLWLYIGLAMGFITGFWMIIGTIIMKKTIRIACFRSIDKVYDWLYVKMVMCSRRLKSTSSRKN >DRNTG_05618.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20638022:20639343:1 gene:DRNTG_05618 transcript:DRNTG_05618.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLNFLSYLNLSHNNLSGKIPSGGQLQTFNPSVYNWNHDLCGSPLQDCANKTHYSQGANEEEGKGDWSEVLWLYIGLAMGFITGFWMIIGTIIMKKTIRIACFRSIDKVYDWLYVKMVMCSRRLKSTSSRKN >DRNTG_05618.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20638022:20638581:1 gene:DRNTG_05618 transcript:DRNTG_05618.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLNFLSYLNLSHNNLSGKIPSGGQLQTFNPSVYNWNHDLCGSPLQDCANKTHYSQGANEEEGKGDWSEVLWLYIGLAMGFITGFWMIIGTIIMKKTIRIACFRSIDKVYDWLYVKMVMCSRRLKSTSSRKN >DRNTG_00104.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3191411:3194015:-1 gene:DRNTG_00104 transcript:DRNTG_00104.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPSHVTSTPAALSHPLSSPISYPFCGSSSSSVSTPCANGGATHDGGFLIAPASGNSTSSMDAWNFLEFKARSLKFPANRLIEAEDLVWYILAWHSGDSISHICHAEKLRSRLGRDPLLYEFFEVTHTKKGTSKLIDSRAQTIKVQDKNKELQESLHEMRAERDEYRDQMMRQMQDMMMDFEARILQHS >DRNTG_08463.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24895223:24897360:1 gene:DRNTG_08463 transcript:DRNTG_08463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRSSFSCHLAISEIVTLIAVDAACVVFAEKENYRVIRIAGVEKDGWDLVARDEKRKRSTRDVDELVGGGSCPSYAETDIEADILALNLALQVTVNDNLQSGYMAPEFAMGGLFSANSDVYSFGVLVLEIVTGQRNGREHFEKHGQTLIRNMWHLWVEGRAVELMDPLLEGSYPSNEAMKCIKIGLLCVQENAEVRPTMSLVVHMLSVDETVFPEPSQPPTFMRQRSSVTNESSSSIGSHATLVHSINDVTNSDVQAR >DRNTG_10842.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4906375:4908702:1 gene:DRNTG_10842 transcript:DRNTG_10842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMWRSLNSSKGPFVQPRIHLARSVLTKALPLSFFQMERNVKDGWNQPSTSPCGFSTRACGNSARAWIISTYPCGFSELHFFGRVVRPSMKERSQCGLQCTDFGGNLAKNKSSTNLPIIEEESDPRRERVPVCVTPMKVWSVGKLFRVDTEEEYCSKYCSNNIYSRPRKQKFKESTQECGN >DRNTG_03518.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:25397926:25427834:1 gene:DRNTG_03518 transcript:DRNTG_03518.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVVCHGDRFILTPTTEQLPVGGEDEDDELDNECSNESSMSSLTKPADLSSRVAPPRHRSEIHKLYCSCRRIRR >DRNTG_11603.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19462069:19464203:1 gene:DRNTG_11603 transcript:DRNTG_11603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEMKPLDLLPDSDGEIGSEALNSSHSSTSSGSFSPLSLHAVAAAAAAAVCIAHKPHRSSDPAWEAIRAHSSRLGPRDFKLLRRIGSGDIGTVYLCRLRGDHRNASSYYAMKVVDKKALEKKKKLGRAETERRILKTLDHPFLPTLYADFDAAPHYSCVVMEYCSGGDLHSLRHRQPSHRFPF >DRNTG_12493.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2398982:2402105:-1 gene:DRNTG_12493 transcript:DRNTG_12493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSQPRIELSPLVSDKALSNTSEIGSSKLSMEEIVPDGVAVPEVKIEPVESELVMEEVLPATPVLMKGKHYRGVRQRPWGKFATEIRDSVMNDARVWWGTFETVKEVAITYDQAGSGSGRNDLHHIPLHLLLLLRGHRQQRFICRSRGKDKASKEMNMGMVLYMGYTYGEWALHWSGASRDGFGVQGQQPRGTVLGCFGKLYHRDLLKMMNPIGKFDVGKFNETGNFGLWQWRVNDLLSQQGIQEALWETKP >DRNTG_09338.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:687570:695150:-1 gene:DRNTG_09338 transcript:DRNTG_09338.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDMRFDESLVADYLTNHLGPLTKADPVILAEYVVALLRNDKPKKELQKLCADSLVEFLGPSTNSFITKLFQALEDGSITRSGERVDSMKKSEPSRSPGRVDNLQVKHSSQGEQNTSSSESASDPDDIEVTDDDDDDDRNHKHRRREARPNSLDNDAGELSLRRPNRMCHKPNENGRLFAETDPHSSSIQKGRNPSLDRDFSSKFDNQNSGLMPPMRASFDSGSRSRLSHRPDLSRFDLSASAGRPPNIRGRGRSAVPWNQHDSRFNPLETLDFASKMASHGHTHPHIFMGTGLASAASGQSPSWSSFGMIPGMSTGILDPLHPLSLQGSLQPPITPPLNLGMARQRCRDFEERGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVSIPSAHALGLQSGKGSLPTVNASSSLLVNNKALPGKSNKSGVGDDVLHINGVPSAPSGAEADVYDPDQPLWNNDRPGSSSALLGFTSPNIEDGAFLDCDTSNQQNQKFIDNNEGGCPVKNLSGDVGSRGTSIWGRIGSGTKSETGNKMDDSGSATGYPGKEMGTLHEKAKSSTPAPSGGKDAATEGTVIKETAAQFVPRPSKDFGRNHPKAAQRASRTLYVNGIPLKNNRRDALLSHFQKFGMVVDIYIPLNSEKAFVQFSKREEAEAALKAPDAVMGNRFIKLWWANRDSIPDEVQTNATNKAQQLHDVLVPSVSSQPATSVPAAQKGSTASASEMLMPVAGPQKNVHTNYSKAAPPVQKKLETLEHLKEEIRKKQELLAQKRNDFWRQLNKLEKQAVSVNKVEVSPEQAGKRQKVDKNVDATKTVPSNITNLSTLPSQDGENVLKKDNIGNARQMDCASAGLSKISKLDTHRTSFVILTPLPPGLANVDALKEHFSSFSDLSSVVIEEPEGNTPDAGLEMPLNCSARITFSTCKSAEEAFLVANHWQGHNLQVKWLEENHHSSDEFNKAPESVPHSTAEGGSNISIRVESMTSRPLSPSIENQASNDSGEAAAAAIRTEESSMDVDHTNHLPETLLEGPLTTTESGPATLSPEKRPSETHVSMA >DRNTG_09338.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:687570:695150:-1 gene:DRNTG_09338 transcript:DRNTG_09338.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDMRFDESLVADYLTNHLGPLTKADPVILAEYVVALLRNDKPKKELQKLCADSLVEFLGPSTNSFITKLFQALEDGSITRSGERVDSMKKSEPSRSPGRVDNLQVKHSSQGEQNTSSSESASDPDDIEVTDDDDDDDRNHKHRRREARPNSLDNDAGELSLRRPNRMCHKPNENGRLFAETDPHSSSIQKGRNPSLDRDFSSKFDNQNSGLMPPMRASFDSGSRSRLSHRPDLSRFDLSASAGRPPNIRGRGRSAVPWNQHDSRFNPLETLDFASKMASHGHTHPHIFMGTGLASAASGQSPSWSSFGMIPGMSTGILDPLHPLSLQGSLQPPITPPLNLGMARQRCRDFEERGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVSIPSAHALGLQSGKGSLPTVNASSSLLVNNKALPGKSNKSGVGDDVLHINGVPSAPSGAEADVYDPDQPLWNNDRPGSSSALLGFTSPNIEDGAFLDCDTSNQQNQKFIDNNEGGCPVKNLSGDVGSRGTSIWGRIGSGTKSETGNKMDDSGSATGYPGKEMGTLHEKAKSSTPAPSGGKDAATEGTVIKETAAQFVPRPSKDFGRNHPKAAQRASRTLYVNGIPLKNNRRDALLSHFQKFGMVVDIYIPLNSEKAFVQFSKREEAEAALKAPDAVMGNRFIKLWWANRDSIPDEVQTNATNKAQQLHDVLVPSVSSQPATSVPAAQKGSTASASEMLMPVAGPQKNVHTNYSKAAPPVQKKLETLEHLKEEIRKKQELLAQKRNDFWRQLNKLEKQAVSVNKVEVSPEQAGKRQKVDKNVDATKTVPSNITNLSTLPSQDGENVLKKDNIGNARQMDCASAGLSKISKLDTHRTSFVILTPLPPGLANVDALKEHFSSFSDLSSVVIEEPEGNTPDAGLEMPLNCSARITFSTCKSAEEAFLVANHWQGHNLQVKWLEENHHSSDEFNKAPESVPHSTAEGGSNISIRVESMTSRPLSPSIENQASNDSGEAAAAAIRTEESSMDVDHTNHLPETLLEGPLTTTESGPATLSPEKRPSETHVSMA >DRNTG_09338.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:687570:695150:-1 gene:DRNTG_09338 transcript:DRNTG_09338.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDMRFDESLVADYLTNHLGPLTKADPVILAEYVVALLRNDKPKKELQKLCADSLVEFLGPSTNSFITKLFQALEDGSITRSGERVDSMKKSEPSRSPGRVDNLQVKHSSQGEQNTSSSESASDPDDIEVTDDDDDDDRNHKHRRREARPNSLDNDAGELSLRRPNRMCHKPNENGRLFAETDPHSSSIQKGRNPSLDRDFSSKFDNQNSGLMPPMRASFDSGSRSRLSHRPDLSRFDLSASAGRPPNIRGRGRSAVPWNQHDSRFNPLETLDFASKMASHGHTHPHIFMGTGLASAASGQSPSWSSFGMIPGMSTGILDPLHPLSLQGSLQPPITPPLNLGMARQRCRDFEERGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVSIPSAHALGLQSGKGSLPTVNASSSLLVNNKALPGKSNKSGVGDDVLHINGVPSAPSGAEADVYDPDQPLWNNDRPGSSSALLGFTSPNIEDGAFLDCDTSNQQNQKFIDNNEGGCPVKNLSGDVGSRGTSIWGRIGSGTKSETGNKMDDSGSATGYPGKEMGTLHEKAKSSTPAPSGGKDAATEGTVIKETAAQFVPRPSKDFGRNHPKAAQRASRTLYVNGIPLKNNRRDALLSHFQKFGMVVDIYIPLNSEKAFVQFSKREEAEAALKAPDAVMGNRFIKLWWANRDSIPDEVQTNATNKAQQLHDVLVPSVSSQPATSVPAAQKGSTASASEMLMPVAGPQKNVHTNYSKAAPPVQKKLETLEHLKEEIRKKQELLAQKRNDFWRQLNKLEKQAVSVNKVEVSPEQAGKRQKVDKNVDATKTVPSNITNLSTLPSQDGENVLKKDNIGNARQMDCASAGLSKISKLDTHRTSFVILTPLPPGLANVDALKEHFSSFSDLSSVVIEEPEGNTPDAGLEMPLNCSARITFSTCKSAEEAFLVANHWQGHNLQVKWLEENHHSSDEFNKAPESVPHSTAEGGSNISIRVESMTSRPLSPSIENQASNDSGEAAAAAIRTEESSMDVDHTNHLPETLLEGPLTTTESGPATLSPEKRPSETHVSMA >DRNTG_09338.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:687570:695150:-1 gene:DRNTG_09338 transcript:DRNTG_09338.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDMRFDESLVADYLTNHLGPLTKADPVILAEYVVALLRNDKPKKELQKLCADSLVEFLGPSTNSFITKLFQALEDGSITRSGERVDSMKKSEPSRSPGRVDNLQVKHSSQGEQNTSSSESASDPDDIEVTDDDDDDDRNHKHRRREARPNSLDNDAGELSLRRPNRMCHKPNENGRLFAETDPHSSSIQKGRNPSLDRDFSSKFDNQNSGLMPPMRASFDSGSRSRLSHRPDLSRFDLSASAGRPPNIRGRGRSAVPWNQHDSRFNPLETLDFASKMASHGHTHPHIFMGTGLASAASGQSPSWSSFGMIPGMSTGILDPLHPLSLQGSLQPPITPPLNLGMARQRCRDFEERGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVSIPSAHALGLQSGKGSLPTVNASSSLLVNNKALPGKSNKSGVGDDVLHINGVPSAPSGAEADVYDPDQPLWNNDRPGSSSALLGFTSPNIEDGAFLDCDTSNQQNQKFIDNNEGGCPVKNLSGDVGSRGTSIWGRIGSGTKSETGNKMDDSGSATGYPGKEMGTLHEKAKSSTPAPSGGKDAATEGTVIKETAAQFVPRPSKDFGRNHPKAAQRASRTLYVNGIPLKNNRRDALLSHFQKFGMVVDIYIPLNSEKAFVQFSKREEAEAALKAPDAVMGNRFIKLWWANRDSIPDEVQTNATNKAQQLHDVLVPSVSSQPATSVPAAQKGSTASASEMLMPVAGPQKNVHTNYSKAAPPVQKKLETLEHLKEEIRKKQELLAQKRNDFWRQLNKLEKQAVSVNKVEVSPEQAGKRQKVDKNVDATKTVPSNITNLSTLPSQDGNARQMDCASAGLSKISKLDTHRTSFVILTPLPPGLANVDALKEHFSSFSDLSSVVIEEPEGNTPDAGLEMPLNCSARITFSTCKSAEEAFLVANHWQGHNLQVKWLEENHHSSDEFNKAPESVPHSTAEGGSNISIRVESMTSRPLSPSIENQASNDSGEAAAAAIRTEESSMDVDHTNHLPETLLEGPLTTTESGPATLSPEKRPSETHVSMA >DRNTG_09338.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:687570:695150:-1 gene:DRNTG_09338 transcript:DRNTG_09338.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDMRFDESLVADYLTNHLGPLTKADPVILAEYVVALLRNDKPKKELQKLCADSLVEFLGPSTNSFITKLFQALEDGSITRSGERVDSMKKSEPSRSPGRVDNLQVKHSSQGEQNTSSSESASDPDDIEVTDDDDDDDRNHKHRRREARPNSLDNDAGELSLRRPNRMCHKPNENGRLFAETDPHSSSIQKGRNPSLDRDFSSKFDNQNSGLMPPMRASFDSGSRSRLSHRPDLSRFDLSASAGRPPNIRGRGRSAVPWNQHDSRFNPLETLDFASKMASHGHTHPHIFMGTGLASAASGQSPSWSSFGMIPGMSTGILDPLHPLSLQGSLQPPITPPLNLGMARQRCRDFEERGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVSIPSAHALGLQSGKGSLPTVNASSSLLVNNKALPGKSNKSGVGDDVLHINGVPSAPSGAEADVYDPDQPLWNNDRPGSSSALLGFTSPNIEDGAFLDCDTSNQQNQKFIDNNEGGCPVKNLSGDVGSRGTSIWGRIGSGTKSETGNKMDDSGSATGYPGKEMGTLHEKAKSSTPAPSGGKDAATEGTVIKETAAQFVPRPSKDFGRNHPKAAQRASRTLYVNGIPLKNNRRDALLSHFQKFGMVVDIYIPLNSEKAFVQFSKREEAEAALKAPDAVMGNRFIKLWWANRDSIPDEVQTNATNKAQQLHDVLVPSVSSQPATSVPAAQKGSTASASEMLMPVAGPQKNVHTNYSKAAPPVQKKLETLEHLKEEIRKKQELLAQKRNDFWRQLNKLEKQAVSVNKVEVSPEQAGKRQKVDKNVDATKTVPSNITNLSTLPSQDGENVLKKDNIGEILVLPISTAILTSLLLSPGNARQMDCASAGLSKISKLDTHRTSFVILTPLPPGLANVDALKEHFSSFSDLSSVVIEEPEGNTPDAGLEMPLNCSARITFSTCKSAEEAFLVANHWQGHNLQVKWLEENHHSSDEFNKAPESVPHSTAEGGSNISIRVESMTSRPLSPSIENQASNDSGEAAAAAIRTEESSMDVDHTNHLPETLLEGPLTTTESGPATLSPEKRPSETHVSMA >DRNTG_09338.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:687570:695150:-1 gene:DRNTG_09338 transcript:DRNTG_09338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDMRFDESLVADYLTNHLGPLTKADPVILAEYVVALLRNDKPKKELQKLCADSLVEFLGPSTNSFITKLFQALEDGSITRSGERVDSMKKSEPSRSPGRVDNLQVKHSSQGEQNTSSSESASDPDDIEVTDDDDDDDRNHKHRRREARPNSLDNDAGELSLRRPNRMCHKPNENGRLFAETDPHSSSIQKGRNPSLDRDFSSKFDNQNSGLMPPMRASFDSGSRSRLSHRPDLSRFDLSASAGRPPNIRGRGRSAVPWNQHDSRFNPLETLDFASKMASHGHTHPHIFMGTGLASAASGQSPSWSSFGMIPGMSTGILDPLHPLSLQGSLQPPITPPLNLGMARQRCRDFEERGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVSIPSAHALGLQSGKGSLPTVNASSSLLVNNKALPGKSNKSGVGDDVLHINGVPSAPSGAEADVYDPDQPLWNNDRPGSSSALLGFTSPNIEDGAFLDCDTSNQQNQKFIDNNEGGCPVKNLSGDVGSRGTSIWGRIGSGTKSETGNKMDDSGSATGYPGKEMGTLHEKAKSSTPAPSGGKDAATEGTVIKETAAQFVPRPSKDFGRNHPKAAQRASRTLYVNGIPLKNNRRDALLSHFQKFGMVVDIYIPLNSEKAFVQFSKREEAEAALKAPDAVMGNRFIKLWWANRDSIPDEVQTNATNKAQQLHDVLVPSVSSQPATSVPAAQKGSTASASEMLMPVAGPQKNVHTNYSKAAPPVQKKLETLEHLKEEIRKKQELLAQKRNDFWRQLNKLEKQAVSVNKVEVSPEQAGKRQKVDKNVDATKTVPSNITNLSTLPSQDGNARQMDCASAGLSKISKLDTHRTSFVILTPLPPGLANVDALKEHFSSFSDLSSVVIEEPEGNTPDAGLEMPLNCSARITFSTCKSAEEAFLVANHWQGHNLQVKWLEENHHSSDEFNKAPESVPHSTAEGGSNISIRVESMTSRPLSPSIENQASNDSGEAAAAAIRTEESSMDVDHTNHLPETLLEGPLTTTESGPATLSPEKRPSETHVSMA >DRNTG_09338.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:687570:695150:-1 gene:DRNTG_09338 transcript:DRNTG_09338.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDMRFDESLVADYLTNHLGPLTKADPVILAEYVVALLRNDKPKKELQKLCADSLVEFLGPSTNSFITKLFQALEDGSITRSGERVDSMKKSEPSRSPGRVDNLQVKHSSQGEQNTSSSESASDPDDIEVTDDDDDDDRNHKHRRREARPNSLDNDAGELSLRRPNRMCHKPNENGRLFAETDPHSSSIQKGRNPSLDRDFSSKFDNQNSGLMPPMRASFDSGSRSRLSHRPDLSRFDLSASAGRPPNIRGRGRSAVPWNQHDSRFNPLETLDFASKMASHGHTHPHIFMGTGLASAASGQSPSWSSFGMIPGMSTGILDPLHPLSLQGSLQPPITPPLNLGMARQRCRDFEERGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVSIPSAHALGLQSGKGSLPTVNASSSLLVNNKALPGKSNKSGVGDDVLHINGVPSAPSGAEADVYDPDQPLWNNDRPGSSSALLGFTSPNIEDGAFLDCDTSNQQNQKFIDNNEGGCPVKNLSGDVGSRGTSIWGRIGSGTKSETGNKMDDSGSATGYPGKEMGTLHEKAKSSTPAPSGGKDAATEGTVIKETAAQFVPRPSKDFGRNHPKAAQRASRTLYVNGIPLKNNRRDALLSHFQKFGMVVDIYIPLNSEKAFVQFSKREEAEAALKAPDAVMGNRFIKLWWANRDSIPDEVQTNATNKAQQLHDVLVPSVSSQPATSVPAAQKGSTASASEMLMPVAGPQKNVHTNYSKAAPPVQKKLETLEHLKEEIRKKQELLAQKRNDFWRQLNKLEKQAVSVNKVEVSPEQAGKRQKVDKNVDATKTVPSNITNLSTLPSQDGNARQMDCASAGLSKISKLDTHRTSFVILTPLPPGLANVDALKEHFSSFSDLSSVVIEEPEGNTPDAGLEMPLNCSARITFSTCKSAEEAFLVANHWQGHNLQVKWLEENHHSSDEFNKAPESVPHSTAEGGSNISIRVESMTSRPLSPSIENQASNDSGEAAAAAIRTEESSMDVDHTNHLPETLLEGPLTTTESGPATLSPEKRPSETHVSMA >DRNTG_01830.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13103968:13105219:-1 gene:DRNTG_01830 transcript:DRNTG_01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEITPSSMPLPLITPEVIVCHHLLSLVAANAHWLQEKTELSTFTSMAGGSIPSTPLLKDKLDIIIPTIRNLDSLEMWRVFFQQYHFIIVQDGNPSRTIKISEGFDYELHNRDDINRILGPKASCIWFKDSACWCFGFMISTKKYILTIDDDCFIAKDPFGKEINALEQHIKKTCS >DRNTG_17840.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000916.1:39214:43303:1 gene:DRNTG_17840 transcript:DRNTG_17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTAHPPLNIVRHLDLAALTSWEGDSNNILNISMFLPFQELQGLILSDNFFNSCIPSDCFGSLAELDNLQHLDLSHNNFYFINVSIATPKLSKLEYLDLSYNQLNGSIVPYLIGLSSLKALSLHWNNIGRGLPFKVSSATLKLSKLKYLDLSYNQLNESIVPYLVGLSSLKALYLDGNNMGGRLPLKGLCKIKNLEVLSIGHNNLSGDISSCLGYLPSLNYFDISYNQFRMPFPMTIIGNLTKLKYAFFSNNYFRGVISIAKFANNTGIKVLDFSDNNQLEVQLEYVGLMASFQLDGIFLSGCIVNKGSSSIPMFLSTQYQIKYIDLSNNNLKGNIPTWLFQNRTNLIYLNLRNNSLTGSLIFPSHLKTNLLWFDVSNNKLIGEIPLRIGNVIP >DRNTG_17840.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000916.1:42572:43303:1 gene:DRNTG_17840 transcript:DRNTG_17840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPMTIIGNLTKLKYAFFSNNYFRGVISIAKFANNTGIKVLDFSDNNQLEVQLEYVGLMASFQLDGIFLSGCIVNKGSSSIPMFLSTQYQIKYIDLSNNNLKGNIPTWLFQNRTNLIYLNLRNNSLTGSLIFPSHLKTNLLWFDVSNNKLIGEIPLRIGNVIP >DRNTG_17840.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000916.1:39214:40964:1 gene:DRNTG_17840 transcript:DRNTG_17840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTAHPPLNIVRHLDLAALTSWEGDSNNILNISMFLPFQELQGLILSDNFFNSCIPSDCFGSLAELDNLQHLDLSHNNFYFINVSIATPKLSKLEYLDLSYNQLNGSIVPYLIGLSSLKALSLHWNNIGRGLPFKGNVHVHVFKGYKK >DRNTG_11150.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000488.1:11042:12573:-1 gene:DRNTG_11150 transcript:DRNTG_11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQDDAHDTIMAMIGDAVRKLHEVQIVILPIIMNGHFHVVVLDNDKQECRHYSSCSGYDKDALDMRNLFDICVDMEFGESATAKYPLVHDIETPHQKQGSFDWAVYVMRFIEQLLWGEKLRLPQTDVPYLRLRYVTRILKEGRAAGVHDKGGSSQAG >DRNTG_14397.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21722344:21724803:1 gene:DRNTG_14397 transcript:DRNTG_14397.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVILQHLTRENGGGFLTDHFPAATKAIWYFEGVYAYSPQIPGVRFPGLTHPGVIGTAPSHELLNIWNERERKLVKEGHQSLKLCEVLHQRPLASLPTAKNCLLGMIKEGSSEWEKIANEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVDGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIREGMREYLTPMGPTPLHVNPIFEPGPVEPRFSEWLVFEGISVDESGQQHFLDATVAYKRAVLNAIHYLSRFGYSKEQ >DRNTG_14397.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21721145:21725222:1 gene:DRNTG_14397 transcript:DRNTG_14397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVILQHLTRENGGGFLTDHFPAATKAIWYFEGVYAYSPQIPGVRFPGLTHPGVIGTAPSHELLNIWNERERKLVKEGHQSLKLCEVLHQRPLASLPTAKNCLLGMIKEGSSEWEKIANEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVDGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIREGMREYLTPMGPTPLHVNPIFEPGPVEPRFSEWLVFEGISVDESGQQHFLDATVAYKRAVLNAIHYLSRFGYSKEQVYLLLSCCPCEGRISGIVDAPNAVATLAIPIAIFDQVPVLFIIYLLYFFSFHYLGFFV >DRNTG_33456.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22182431:22185324:-1 gene:DRNTG_33456 transcript:DRNTG_33456.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSGVKRERIEPQVAVPVVIKSTVIELSSSDSDSDADVGVGGGTGRTRVSNSGSVVGGLAKKAKADSALPLGFLDPLPLEEPRVANSVMRKGCRQFWKAGDFEDVPVVDSTSVTVGMDHVRVHPKFLHSNATSHKWALGALAELLDNALDEACHGATYVNIDMMENKKDGSKMLIVEDNGGGMDPDKMRHCMSLGYSAKSKIANTIGQYGNGFKTSTMRLGADVIVFSRSRGRDGKKGLSLFLYVVILTDQ >DRNTG_33456.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22173326:22185324:-1 gene:DRNTG_33456 transcript:DRNTG_33456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSGVKRERIEPQVAVPVVIKSTVIELSSSDSDSDADVGVGGGTGRTRVSNSGSVVGGLAKKAKADSALPLGFLDPLPLEEPRVANSVMRKGCRQFWKAGDFEDVPVVDSTSVTVGMDHVRVHPKFLHSNATSHKWALGALAELLDNALDEACHGATYVNIDMMENKKDGSKMLIVEDNGGGMDPDKMRHCMSLGYSAKSKIANTIGQYGNGFKTSTMRLGADVIVFSRSRGRDGKSPTQSIGLLSYSYLRNTGKEDIVVPMLDYKKGGQIWKRMRTSYSIDWNTNLNTIIDWSPYSSEADLLQQFSKMKDHGTRVVIYNLWEDDQGKLELDFDADQHDIQVRGVNRDENKIQMAKQFPNSRHFLTYRHSLRSYASMLYLRLPRGFRMILRGKEIEHHNIVTDMMMKEEVTYRPQPSADGVHKDPNMVAVVTMGFVKDAEHHIDVQGFNVYHKNRLIKPFWRVWNPPGSDGRGVIGSLEANFVEPAHDKQDFERTIVLSRLEARLVQMQKTYWSTKCHKIGYAPRRNKKLIESEDKESSPEAYQPSARRSGKGSKNSTSAIGSIKNRNHKDETLGVANERTSQKSGKNSSRRSALNRIMPDSEESDSEDECIPSDRAVNGCGDKAAVAQKSVTKDGVCPSLSSPSPTKGGGDVTADKMTTRLQSKTTSIACDVNGSRQEEAELSLEQLKAENKDLKERLRKMEESMAIDLQNEKDKNKSLAEQLEAAEKKLEELNKEQEALIDIFSEERVRRDKEEENLRKKLKDAQADIQKLQNQM >DRNTG_33456.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22173326:22177771:-1 gene:DRNTG_33456 transcript:DRNTG_33456.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIKFELDLLYYVNLSTWYKIVAGSLEANFVEPAHDKQDFERTIVLSRLEARLVQMQKTYWSTKCHKIGYAPRRNKKLIESEDKESSPEAYQPSARRSGKGIFSSHWISFL >DRNTG_33456.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22173326:22181856:-1 gene:DRNTG_33456 transcript:DRNTG_33456.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYKKGGQIWKRMRTSYSIDWNTNLNTIIDWSPYSSEADLLQQFSKMKDHGTRVVIYNLWEDDQGKLELDFDADQHDIQVRGVNRDENKIQMAKQFPNSRHFLTYRHSLRSYASMLYLRLPRGFRMILRGKEIEHHNIVTDMMMKEEVTYRPQPSADGVHKDPNMVAVVTMGFVKDAEHHIDVQGFNVYHKNRLIKPFWRVWNPPGSDGRGVIGSLEANFVEPAHDKQDFERTIVLSRLEARLVQMQKTYWSTKCHKIGYAPRRNKKLIESEDKESSPEAYQPSARRSGKGSKNSTSAIGSIKNRNHKDETLGVANERTSQKSGKNSSRRSALNRIMPDSEESDSEDECIPSDRAVNGCGDKAAVAQKSVTKDGVCPSLSSPSPTKGGGDVTADKMTTRLQSKTTSIACDVNGSRQEEAELSLEQLKAENKDLKERLRKMEESMAIDLQNEKDKNKSLAEQLEAAEKKLEELNKEQEALIDIFSEERVRRDKEEENLRKKLKDAQADIQKLQNQM >DRNTG_33456.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22183545:22185324:-1 gene:DRNTG_33456 transcript:DRNTG_33456.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSGVKRERIEPQVAVPVVIKSTVIELSSSDSDSDADVGVGGGTGRTRVSNSGSVVGGLAKKAKADSALPLGFLDPLPLEEPRVANSVMRKGCRQFWKAGDFEDVPVVDSTSVTVGMDHVRVHPKFLHSNATSHKWALGALAELLDNALDE >DRNTG_29941.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21338584:21340525:-1 gene:DRNTG_29941 transcript:DRNTG_29941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAEGERVDREDYVNEADPSILRFLLASREEVSSVQLRDDLLSMLVAGHETTGSVLTWTLYLLSKDPSALSRAQEEVGSVLQG >DRNTG_29941.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21339317:21340525:-1 gene:DRNTG_29941 transcript:DRNTG_29941.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAEGERVDREDYVNEADPSILRFLLASREEVSSVQLRDDLLSMLVAGHETTGSVLTWTLYLLSK >DRNTG_33914.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8770410:8776221:1 gene:DRNTG_33914 transcript:DRNTG_33914.1 gene_biotype:protein_coding transcript_biotype:protein_coding IESIPQVPGSSSNNPCAGTRGSYSQGPRSYSYFLFASCLTYKFE >DRNTG_12119.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1858278:1865610:-1 gene:DRNTG_12119 transcript:DRNTG_12119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIAAAREDLAKVVILSIVRGHVNDTSVLEIAPSFFNVGLAGPIMPLNECNFIIPLASREEVKEVCKRGTFKVATKDGPCELNLAPWSAELGAEGRASGEGMWLHLWNLPLHGWSWNVVAEVLRPAGDLVALSNATQTHKNFISVLGRRRAGVSLPMEVEVCLGMRKYMVIITAERGVIPVFSRELGRYALPGSGSEAASGRLDGRISIREMVMEETVKRKGRAHPPTLSGVSMVQAEGGAIMQQSPEIMVERCSHVVETVAPVEPRSRSGGMEWISGRGSDVSTNRWRRLDGSKGARSPVERQDGAQRGGSRCIRVERWRARPAAVQVQRTEKGNPISKAGFANVKVADSREKDTRQLVDFVDPMLICDVEAGDRELGLSSKCTLGFGAGPASDQISDHFSSQVSMDPVACLEKDSVSIHEMMDGSHALDSHTGVGLNLSVPSSNEITIPDLPNLLPPEGYEWKYLAGLWAMVARVEIEINRSGTRTVEMGAEEDNGLGSGSLSSPEVVAESDDSSSDFEKKLKALLPDWPGNTEGTTSDLPHGTRRSARPKKPSSRFNEDAGFVTEPPVSSKKKIVRGESSAGMTYNPAHILIDDWGLGRPAKRFLVKDFLNLHFADICCLQESKLGVISNSMWREIGGYRLDQFAFVPTRVLREVDNFSWRCTSVYDPTVRNFKNAFWEELREINLLPHFPWVLCGDFNAIFSRDDKLSGAPCLSDSRSAQELMHNLGWSSSAFQRPFRFELVWSSVDGFRDLVINWERLRHWAKINFGSIKLKKLDLLDQLERFDIRKESSRLSVQERQQEHVLLEMLEIIRKQEEIYWKQRSRLQWLKHGDENTKYFHAVANGRKNKNAILCLRHEGRDLADPKEIGKVFIGNFQALFGSSRCDRFKVDLHKLLEFEAPVNLLHLERPFTLEEIETAVFVLGGDKAPGPDGFPIFFFKQFWDVKKTKNKNETRNARTVPRF >DRNTG_02661.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13488894:13491673:-1 gene:DRNTG_02661 transcript:DRNTG_02661.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIRLPEPPSGPVGMPEIFEGGLNVIRRAIVIGNGFPGAENQCLGLVRALGLAEKHTLYRVVRPRGGINEWLRWLPVSLHKMIESVIRRISGDSQFGGSIQSRRVFPLAAQNGGSVGLSSILEADAKKIASLAHETFEKEGPILVVASGRDTISIASSVRRLASQHVFVIQIQHPRTRLDRFDLVVTPRHDYHVLSPSGQQEIPQFIRRWITPREPPSRNVVLTVGALHQADSALLRLAALNWHAELAPLPKPLLVVNIGGPTRISLLPFK >DRNTG_02661.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13488691:13491673:-1 gene:DRNTG_02661 transcript:DRNTG_02661.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIRLPEPPSGPVGMPEIFEGGLNVIRRAIVIGNGFPGAENQCLGLVRALGLAEKHTLYRVVRPRGGINEWLRWLPVSLHKMIESVIRRISGDSQFGGSIQSRRVFPLAAQNGGSVGLSSILEADAKKIASLAHETFEKEGPILVVASGRDTISIASSVRRLASQHVFVIQIQHPRTRLDRFDLVVTPRHDYHVLSPSGQQEIPQFIRRWITPREPPSRNVVLTVGALHQADSALLRLAALNWHAELAPLPKPLLVVNIGGPTRHCRYGADLAKQLAVSLHSVMATCGSVRISFSRRTPQK >DRNTG_23018.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3185918:3186463:1 gene:DRNTG_23018 transcript:DRNTG_23018.2 gene_biotype:protein_coding transcript_biotype:protein_coding TKPLEGGDRRRRCPKGEPRTDWRRWKRSRDRNDDSRDRNDDDEGVSRNGTTTAVDGDVVILSPTVTTDESRDDVRGTGVGGEIWDLIS >DRNTG_23018.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3184992:3186463:1 gene:DRNTG_23018 transcript:DRNTG_23018.1 gene_biotype:protein_coding transcript_biotype:protein_coding TKPLEGGDRRRRCPKGEPRTDWRRWKRSRDRNDDSRDRNDDDEGVSRNGTTTAVDGDVVILSPTVTTDESRDDVRGTGVGGEIWDLIS >DRNTG_29443.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:2483626:2484013:1 gene:DRNTG_29443 transcript:DRNTG_29443.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHSLKNTASSLVIPSDRSRCSSSASKSPILLFTHTHNETQRDRQREKEEDESNLKKSLKLLRNFHPNHRISSQSALIKP >DRNTG_15228.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23348813:23350017:-1 gene:DRNTG_15228 transcript:DRNTG_15228.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSSLRRVTRSQTTSALQSQKSKQEDLVVSRSRTVLLDITNDSPIIGLAKKTPLSSMSKSFSQAKKTPGSGEALLRGQVKTLLQKVEEEEAELVNKLLDPNASQLDKILIAPPVVVVNGDPEIKQKVDALKSIDCLINRALLFDSPGKSRN >DRNTG_15228.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23348813:23350017:-1 gene:DRNTG_15228 transcript:DRNTG_15228.2 gene_biotype:protein_coding transcript_biotype:protein_coding METPSSLRRVTRSQTTSALQSQKSKQEDLVVSRSRTVLLDITNDSPIIGLAKKTPLSSMSKSFSQAKKTPGSGEALLRGQVKTLLQKVEEEEAELVNKLLDPNASQLDKILIAPPVVVVNGDPEIKQKV >DRNTG_33757.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32413759:32414525:-1 gene:DRNTG_33757 transcript:DRNTG_33757.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILKQIVDEERRDDAVKGLVYEAYARLRDPVYGCTGAIFYLQKCVEDLEKQLREAREQVLQSREQIDQLMNFLMNKEMI >DRNTG_33757.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32413759:32414525:-1 gene:DRNTG_33757 transcript:DRNTG_33757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPCAACRMLHRRCNAKCMLAPYFPADEPGKFAAVHKVFGASNVIRMLQIVDEERRDDAVKGLVYEAYARLRDPVYGCTGAIFYLQKCVEDLEKQLREAREQVLQSREQIDQLMNFLMNKEMI >DRNTG_33757.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32413759:32414525:-1 gene:DRNTG_33757 transcript:DRNTG_33757.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPCAACRMLHRRCNAKCMLAPYFPADEPGKFAAVHKVFGASNVIRMLQVRKTKIVDEERRDDAVKGLVYEAYARLRDPVYGCTGAIFYLQKCVEDLEKQLREAREQVLQSREQIDQLMNFLMNKEMI >DRNTG_16902.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6464282:6470313:1 gene:DRNTG_16902 transcript:DRNTG_16902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMPDSSTISTVILPDFGDLFPLFSSTFLHRLGSHRLGFGNAFGKSLEWFEGLDNAIYLEEGCWGSFCRHRSGEVCPSRSPLPSWGKDGEKYIKIGAESALNRAGIRRLHGHVRYTRPCGFSTQYHRGSLFAYSMTDKMMEILFYMHKSRRRILTPTRSRWRNLSISRLTPKMVA >DRNTG_04605.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4013313:4014324:1 gene:DRNTG_04605 transcript:DRNTG_04605.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSPHQHSAFVKQQNPSSNIVTSGAA >DRNTG_10298.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000433.1:38719:39358:-1 gene:DRNTG_10298 transcript:DRNTG_10298.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSVREGERKPSTENQVKKKERCELGKEDRTKILLRISLKFWVEDHYISLYSKWGGARRSKKSEEARFATVTVLGQCLFQNLNFLLVLQCMYYMEGSMGMFTP >DRNTG_02001.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13459133:13462813:-1 gene:DRNTG_02001 transcript:DRNTG_02001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMLAITLQHNLQNEATDKNPSSTRQLWSWIPTPWTSGQSSHKRRRLSSSEPIDDIVNKLRTIIEQINRIDLEMKEIKLDSWSNIEIALKGGFDSCGQNQVAENKRVTTSSPTESKIYGRDYEVSQLIQLLLKEPNVSGNVSVVPIVGMGGIGKTTLAQFVFNNKKIANHFDKKAWICVSDYFDRFRITKEILDSLSIGDGTSSTVRFNVTTNLDVLEREIKRQIIGKKFLLVLDDTWSDEWRELLNFLRFAQVETIKLIVTCRDPKISGVLANGQNQITLKGKQIVGKLKGSPLVAKTVERLSGSCLTEEHWKLMHMRYCHVDSQCYIQEIEGSSKTLEDKREEYWHELEAREATLSFKGMPMSASASASALITPGNCMWFPRLQNLKVLEWLKIETIDIGAQQQQYPPTDLREIKFEWCENMAFLRAVLLGVTSLERLIIYNCTPVSLLALGCLSFLKSISLERVEVTVEEDVTPLFPSLHTLILVEASMKVILEDVTPAFLSLQTSTLMEASTKVTVEDITPLFPSLHTFNLKKASSILQNISLSSSSSSSSSSLTTLNHNHFPKLTGLTIEESKVNGLHLVSTLEYLKYTNSHGLDDQLPRCLNGLSSLSKLILTQANIQNFPAKVMACLVSVEGLQALSSLIELSIVGCPKFRSWGTEKEIIEDGLSLPNLQHMQIYSCQKLKTLPAWLPLLPLLSELIVRKCPRFRSWGTEEEITKDGLSLPNL >DRNTG_17363.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32284984:32288026:-1 gene:DRNTG_17363 transcript:DRNTG_17363.6 gene_biotype:protein_coding transcript_biotype:protein_coding MECVYVYGGFRVSVQYPTFKHALNKSLVSILNRAMGCIHFQQALVQTKFHIPSLNSASRSYVSVQAFSREHVLGKLQLLIERDSNKISNLDSSSESHLKCIENDNVSVANNGYYGQIDLAEDQMGAAGLAPNFNKDLENISDKEIQRRQKIGLANKGRTPWNKGRKHSEETRQRIKMKTIEALRNPKVMSYQEEDV >DRNTG_17363.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32284892:32288054:-1 gene:DRNTG_17363 transcript:DRNTG_17363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFSCLVSVQYPTFKHALNKSLVSILNRAMGCIHFQQALVQTKFHIPSLNSASRSYVSVQAFSREHVLGKLQLLIERDSNKISNLDSSSESHLKCIENDNVSVANNGYYGQIDLAEDQMGAAGLAPNFNKDLENISDKEIQRRQKIGLANKGRTPWNKGRKHSEGNWFYVND >DRNTG_17363.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32284984:32288026:-1 gene:DRNTG_17363 transcript:DRNTG_17363.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIHFQQALVQTKFHIPSLNSASRSYVSVQAFSREHVLGKLQLLIERDSNKISNLDSSSESHLKCIENDNVSVANNGYYGQIDLAEDQMGAAGLAPNFNKDLENISDKEIQRRQKIGLANKGRTPWNKGRKHSEETRQRIKMKTIEALRNPKVMSYQEEDV >DRNTG_17363.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32284892:32288026:-1 gene:DRNTG_17363 transcript:DRNTG_17363.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIHFQQALVQTKFHIPSLNSASRSYVSVQAFSREHVLGKLQLLIERDSNKISNLDSSSESHLKCIENDNVSVANNGYYGQIDLAEDQMGAAGLAPNFNKDLENISDKEIQRRQKIGLANKGRTPWNKGRKHSEETRQRIKMKTIEALRNPKIRKKMSEHSRSHSEQSKARISMGLKKIWAKRLQFRRSQEKCYSSWAGRIAEAAKEGGYDQAKLDWDSYDKMKADIEYQFFQRRADKVRDKEIAKLRALRAARIRAEKLAMQKEEKAKSIQAKASEHNKPVTKKKKAVMSKALKLKATLTKFHHRKKQLDSLTSVQIKKTNKPRPLFEKWDMELIKKEKMRGCVSLADQIQAVKSRKPNF >DRNTG_17363.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32284892:32288026:-1 gene:DRNTG_17363 transcript:DRNTG_17363.3 gene_biotype:protein_coding transcript_biotype:protein_coding MECVYVYGGFRVSVQYPTFKHALNKSLVSILNRAMGCIHFQQALVQTKFHIPSLNSASRSYVSVQAFSREHVLGKLQLLIERDSNKISNLDSSSESHLKCIENDNVSVANNGYYGQIDLAEDQMGAAGLAPNFNKDLENISDKEIQRRQKIGLANKGRTPWNKGRKHSEETRQRIKMKTIEALRNPKIRKKMSEHSRSHRYL >DRNTG_17363.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32284984:32288026:-1 gene:DRNTG_17363 transcript:DRNTG_17363.10 gene_biotype:protein_coding transcript_biotype:protein_coding MECVYVYGGFRVSVQYPTFKHALNKSLVSILNRAMGCIHFQQALVQTKFHIPSLNSASRSYVSVQAFSREHVLGKLQLLIERDSNKISNLDSSSESHLKCIENDNVSVANNGYYGQIDLAEDQMGAAGLAPNFNKDLENISDKEIQRRQKIGLANKGRTPWNKGRKHSEETRQRIKMKTIEALRNPKVMSYQEEDV >DRNTG_17363.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32284984:32288026:-1 gene:DRNTG_17363 transcript:DRNTG_17363.13 gene_biotype:protein_coding transcript_biotype:protein_coding MECVYVYGGFRVSVQYPTFKHALNKSLVSILNRAMGCIHFQQALVQTKFHIPSLNSASRSYVSVQAFSREHVLGKLQLLIERDSNKISNLDSSSESHLKCIENDNVSVANNGYYGQIDLAEDQMGAAGLAPNFNKDLENISDKEIQRRQKIGLANKGRTPWNKGRKHSEETRQRIKMKTIEALRNPKVMSYQEEDV >DRNTG_17363.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32284984:32287074:-1 gene:DRNTG_17363 transcript:DRNTG_17363.18 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEIVSHRKSNLCFICLCRSASCSYVAFLNFHVRVSVQYPTFKHALNKSLVSILNRAMGCIHFQQALVQTKFHIPSLNSASRSYVSVQAFSREHVLGKLQLLIERDSNKISNLDSSSESHLKCIENDNVSVANNGYYGQIDLAEDQMGAAGLAPNFNKDLENISDKEIQRRQKIGLANKGRTPWNKGRKHSEETRQRIKMKTIEALRNPKVMSYQEEDV >DRNTG_17363.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32284984:32287921:-1 gene:DRNTG_17363 transcript:DRNTG_17363.15 gene_biotype:protein_coding transcript_biotype:protein_coding MECVYVYGGFRVSVQYPTFKHALNKSLVSILNRAMGCIHFQQALVQTKFHIPSLNSASRSYVSVQAFSREHVLGKLQLLIERDSNKISNLDSSSESHLKCIENDNVSVANNGYYGQIDLAEDQMGAAGLAPNFNKDLENISDKEIQRRQKIGLANKGRTPWNKGRKHSEETRQRIKMKTIEALRNPKVMSCKNQCYAVFV >DRNTG_17363.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32284984:32288026:-1 gene:DRNTG_17363 transcript:DRNTG_17363.12 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIHFQQALVQTKFHIPSLNSASRSYVSVQAFSREHVLGKLQLLIERDSNKISNLDSSSESHLKCIENDNVSVANNGYYGQIDLAEDQMGAAGLAPNFNKDLENISDKEIQRRQKIGLANKGRTPWNKGRKHSEETRQRIKMKTIEALRNPKVMSYQEEDV >DRNTG_17363.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32284984:32287074:-1 gene:DRNTG_17363 transcript:DRNTG_17363.17 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEIVSHRKSNLCFICLCRSASCSYVAFLNFHVRVSVQYPTFKHALNKSLVSILNRAMGCIHFQQALVQTKFHIPSLNSASRSYVSVQAFSREHVLGKLQLLIERDSNKISNLDSSSESHLKCIENDNVSVANNGYYGQIDLAEDQMGAAGLAPNFNKDLENISDKEIQRRQKIGLANKGRTPWNKGRKHSEETRQRIKMKTIEALRNPKIRKKMSEHSRSHSEQSKARISMGLKKIWAKRLQFRRSQEKCYSSWAGRIAEAAKEGGYDQAKLDWDSYDKMKADIEYQFFQRRADKVRDKEIAKLRALRAARIRAEKLAMQKEEKAKSIQAKASEHNKPVTKKKKAVMSKALKLKATLTKFHHRKKQLDSLTSVQIKKTNKPRPLFEKWDMELIKKEKMRGCVSLADQIQAVKSRKPNF >DRNTG_17363.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32284892:32288054:-1 gene:DRNTG_17363 transcript:DRNTG_17363.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIHFQQALVQTKFHIPSLNSASRSYVSVQAFSREHVLGKLQLLIERDSNKISNLDSSSESHLKCIENDNVSVANNGYYGQIDLAEDQMGAAGLAPNFNKDLENISDKEIQRRQKIGLANKGRTPWNKGRKHSEETRQRIKMKTIEALRNPKIRKKMSEHSRSHSEQSKARISMGLKKIWAKRLQFRRSQEKCYSSWAGRIAEAAKEGGYDQAKLDWDSYDKMKADIEYQFFQRRADKVRDKEIAKLRALRAARIRAEKLAMQKEEKAKSIQAKASEHNKPVTKKKKAVMSKALKLKATLTKFHHRKKQLDSLTSVQIKKTNKPRPLFEKWDMELIKKEKMRGCVSLADQIQAVKSRKPNF >DRNTG_17363.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32284984:32288054:-1 gene:DRNTG_17363 transcript:DRNTG_17363.5 gene_biotype:protein_coding transcript_biotype:protein_coding MECVYVYGGFRVSVQYPTFKHALNKSLVSILNRAMGCIHFQQALVQTKFHIPSLNSASRSYVSVQAFSREHVLGKLQLLIERDSNKISNLDSSSESHLKCIENDNVSVANNGYYGQIDLAEDQMGAAGLAPNFNKDLENISDKEIQRRQKIGLANKGRTPWNKGRKHSEETRQRIKMKTIEALRNPKVMSCKNQCYAVFV >DRNTG_17363.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32284984:32288026:-1 gene:DRNTG_17363 transcript:DRNTG_17363.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIHFQQALVQTKFHIPSLNSASRSYVSVQAFSREHVLGKLQLLIERDSNKISNLDSSSESHLKCIENDNVSVANNGYYGQIDLAEDQMGAAGLAPNFNKDLENISDKEIQRRQKIGLANKGRTPWNKGRKHSEETRQRIKMKTIEALRNPKVMSCKNQCYAVFV >DRNTG_17363.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32284984:32288026:-1 gene:DRNTG_17363 transcript:DRNTG_17363.8 gene_biotype:protein_coding transcript_biotype:protein_coding MECVYVYGGFRVSVQYPTFKHALNKSLVSILNRAMGCIHFQQALVQTKFHIPSLNSASRSYVSVQAFSREHVLGKLQLLIERDSNKISNLDSSSESHLKCIENDNVSVANNGYYGQIDLAEDQMGAAGLAPNFNKDLENISDKEIQRRQKIGLANKGRTPWNKGRKHSEETRQRIKMKTIEALRNPKIRKKMSEHSRSHSEQSKARISMGLKKIWAKRLQFRRSQEKCYSSWAGRIAEAAKEGGYDQAKLDWDSYDKMKADIEYQFFQRRADKVRDKEIAKLRALRAARIRAEKLAMQKEEKAKSIQAKASEHNKPVTKKKKAVMSKALKLKATLTKFHHRKKQLDSLTSVQIKKTNKPRPLFEKWDMELIKKEKMRGCVSLADQIQAVKSRKPNF >DRNTG_17363.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32284984:32287302:-1 gene:DRNTG_17363 transcript:DRNTG_17363.16 gene_biotype:protein_coding transcript_biotype:protein_coding MECVYVYGGFRVSVQYPTFKHALNKSLVSILNRAMGCIHFQQALVQTKFHIPSLNSASRSYVSVQAFSREHVLGKLQLLIERDSNKISNLDSSSESHLKCIENDNVSVANNGYYGQIDLAEDQMGAAGLAPNFNKDLENISDKEIQRRQKIGLANKGRTPWNKGRKHSEETRQRIKMKTIEALRNPKIRKKMSEHSRSHSEQSKARISMGLKKIWAKRLQFRRSQEKCYSSWAGRIAEAAKEGGYDQAKLDWDSYDKMKADIEYQFFQRRADKVRDKEIAKLRALRAARIRAEKLAMQKEEKAKSIQAKASEHNKPVTKKKKAVMSKALKLKATLTKFHHRKKQLDSLTSVQIKKTNKPRPLFEKWDMELIKKEKMRGCVSLADQIQAVKSRKPNF >DRNTG_17363.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32284984:32288026:-1 gene:DRNTG_17363 transcript:DRNTG_17363.11 gene_biotype:protein_coding transcript_biotype:protein_coding MECVYVYGGFRVSVQYPTFKHALNKSLVSILNRAMGCIHFQQALVQTKFHIPSLNSASRSYVSVQAFSREHVLGKLQLLIERDSNKISNLDSSSESHLKCIENDNVSVANNGYYGQIDLAEDQMGAAGLAPNFNKDLENISDKEIQRRQKIGLANKGRTPWNKGRKHSEETRQRIKMKTIEALRNPKVMSYQEEDV >DRNTG_17363.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32284984:32287921:-1 gene:DRNTG_17363 transcript:DRNTG_17363.14 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIHFQQALVQTKFHIPSLNSASRSYVSVQAFSREHVLGKLQLLIERDSNKISNLDSSSESHLKCIENDNVSVANNGYYGQIDLAEDQMGAAGLAPNFNKDLENISDKEIQRRQKIGLANKGRTPWNKGRKHSEETRQRIKMKTIEALRNPKVMSYQEEDV >DRNTG_30882.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21304531:21307333:1 gene:DRNTG_30882 transcript:DRNTG_30882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIESRGIAQKAILAGVAPIAAGDRRREERHLTGPSRCSHAIVTSRRVMASQLGNLVNGHCYLAPLIDSVRQLKEKMTRRHWELLRGTPFSYLMDIEPIAQERTVLDALMQVFDTLTKTFNLGDSHLQFRPVDVSMILGLKCDGVTIDFLRKKKHYTLKEEFLTKGEDRTRECLVRTLMSLVGKKDSKKEEGFIKLLLDWEEDPLWKTPRIPSYGEASMKKQAGVSALLESLDGKKFSPLMLERQFEFDLIGSGKIELSFARTSLVLFGATKAPKFIKALKQKARKHMEEASGKEDVVPPQLTDDIGLAPPLKRSKRVASKPKVTKLTLQEASGMAHPKKRVTHNSKIVTTAQPKKVAQVKGAPAPRGRKRAAPTLSQLSKVDKQTTEDIDNAIESLAQLKSGDSICILKEGDIECEAEKLIEQRAP >DRNTG_21809.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17545418:17545906:1 gene:DRNTG_21809 transcript:DRNTG_21809.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEKPESSHGGSMGQHQAHEYLDSSEPNCRHG >DRNTG_21809.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17542880:17545906:1 gene:DRNTG_21809 transcript:DRNTG_21809.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEKPESSHGGSMGQHQAHEYLDSSEPNCRHG >DRNTG_24550.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001308.1:72390:73093:-1 gene:DRNTG_24550 transcript:DRNTG_24550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLKGSKVGEGVPIILVPSASQTLITIYNVKEFLEDGVFVPSDVKVKQSAGEKKPEFVTVRKKFSRDQVVAAYEVRDKPSVLKPEDWDRVVAVFVL >DRNTG_24550.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001308.1:72390:72989:-1 gene:DRNTG_24550 transcript:DRNTG_24550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLKGSKVGEGVPIILVPSASQTLITIYNVKEFLEDGVFVPSDVKVKQSAGEKKPEFVTVRKKFSRDQVVAAYEVRDKPSVLKPEDWDRVVAVFVL >DRNTG_24550.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001308.1:71365:72989:-1 gene:DRNTG_24550 transcript:DRNTG_24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLKGSKVGEGVPIILVPSASQTLITIYNVKEFLEDGVFVPSDVKVKQSAGEKKPEFVTVRKKFSRDQVVAAYEVRDKPSVLKPEDWDRVVAVFVLGNEWQFKDWPFKDHVEIFNKIIGFYVRFEDDSIESAKMVKQWNVKIISISKNKRHQDRAAALEVWNRLEEFMRSRSHT >DRNTG_24550.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001308.1:71365:72989:-1 gene:DRNTG_24550 transcript:DRNTG_24550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLKGSKVGEGVPIILVPSASQTLITIYNVKEFLEDGVFVPSDVKVKQSAGEKKPEFVTVRKKFSRDQVVAAYEVRDKPSVLKPEDWDRVVAVFVLGNEWQFKDWPFKDHVEIFNKIIGFYVRFEDDSIESAKMVKQWNVKIISVGILICQAHFLFLFDYVIHIIFFCMVLLV >DRNTG_09917.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1630017:1632768:1 gene:DRNTG_09917 transcript:DRNTG_09917.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNNNSRNQCAERKLSNGSISAKAKW >DRNTG_09917.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1630017:1632768:1 gene:DRNTG_09917 transcript:DRNTG_09917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNNNSRNQCAERKLSNGSISISYDQELQ >DRNTG_09917.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1630017:1632726:1 gene:DRNTG_09917 transcript:DRNTG_09917.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNNNSRNQCAERKLSNGSISISYDQELQ >DRNTG_09917.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1630017:1630892:1 gene:DRNTG_09917 transcript:DRNTG_09917.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNNNSRNQCAERKLSNGSISISYDQELQ >DRNTG_01038.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18622790:18626135:1 gene:DRNTG_01038 transcript:DRNTG_01038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPCSKSDDEIIVGCQSGRRSLMAAADLSSAGFTAVVDIAGGYSAWVETGLPTEQ >DRNTG_31630.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:808367:811883:1 gene:DRNTG_31630 transcript:DRNTG_31630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucosamine 6-phosphate N-acetyltransferase [Source:Projected from Arabidopsis thaliana (AT5G15770) UniProtKB/Swiss-Prot;Acc:Q9LFU9] MILFRSAALSRLTMPKGFFALLSQLSPLPPVPDDAFQARLAEINALGADHLIAVVEDPATSRIVATGSVFIEKKFIRGCSSVGHIEDVVVDAAARGRRLGQKIVKYLVEHARANGCYKVILDCTPELRGYYESCGFVEKNIQMAIYFNH >DRNTG_08475.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7417570:7421209:-1 gene:DRNTG_08475 transcript:DRNTG_08475.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLLLLHQVKVLSYLLFLLFICHDTAMGTLTFKPIKANCSSTKYTENSTFSTNLNSLLSTLKAMSSFSISTNQTFGQAPNSVFGLFFCTGDLSEDNCQPCIQTAIKDITESSCPSSKQAIIWYDYCELRYSDTNFFGLPDTSGFNMTNPFENTSSSRPVEVVAQLVKEAPLENPIMFKSLALRSESLDALAQCSSDLTRQGCSDCLTTIFTNIKACCIGAKGWRYLAPSCWIRYEATPFLQNVNGVNTTITQSYCSSNEFPASNGLNATTQIESLLSSLTEQAPALNGFYNSSVGKGVNKVYGLALCRGDLQNKKDDCQSCLKNASKSIVVECLNNEQAIEWYEKCLVRYSNQSFVGVVDTDFGRTLCGPEQISQADYNATLTLAMALINDAPNSPLFFRAGAHLSNSYVLVQCTRDLSKDGCRQCLQNGMSSVSGQCNQTNGWRYLSGSCTLRYEVNPFFNVSFISIPSPDSPQEKDGASKKRSSGVIIAAIVAPILGVILLASILYLWWKLSHKNGSSF >DRNTG_08475.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7417337:7421209:-1 gene:DRNTG_08475 transcript:DRNTG_08475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLLLLHQVKVLSYLLFLLFICHDTAMGTLTFKPIKANCSSTKYTENSTFSTNLNSLLSTLKAMSSFSISTNQTFGQAPNSVFGLFFCTGDLSEDNCQPCIQTAIKDITESSCPSSKQAIIWYDYCELRYSDTNFFGLPDTSGFNMTNPFENTSSSRPVEVVAQLVKEAPLENPIMFKSLALRSESLDALAQCSSDLTRQGCSDCLTTIFTNIKACCIGAKGWRYLAPSCWIRYEATPFLQNVNGVNTTITQSYCSSNEFPASNGLNATTQIESLLSSLTEQAPALNGFYNSSVGKGVNKVYGLALCRGDLQNKKDDCQSCLKNASKSIVVECLNNEQAIEWYEKCLVRYSNQSFVGVVDTDFGRTLCGPEQISQADYNATLTLAMALINDAPNSPLFFRAGAHLSNSYVLVQCTRDLSKDGCRQCLQNGMSSVSGQCNQTNGWRYLSGSCTLRYEVNPFFNVSFISIPSPDSPQEKDGASKKRSSGVIIAAIVAPILGVILLASILYLWWKLSHKNDNKGEHIHELRPLTTQELPYMNLATILAATSNFAVENKLGEGGFGPVYKGVLNNGTEIAVKRLSTKSKQGAIEFENEVKLIAKLQHRNLVRMLGWCAEREEKLLIYEYLPNKSLDALLFDSEKRVQLDWNTRLQIIRGIARGLLYLHEDSLLKVIHRDLKASNVLLDNKMTPKISDFGMARIFRGDESEANTNRVVGT >DRNTG_08475.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7417570:7421209:-1 gene:DRNTG_08475 transcript:DRNTG_08475.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLLLLHQVKVLSYLLFLLFICHDTAMGTLTFKPIKANCSSTKYTENSTFSTNLNSLLSTLKAMSSFSISTNQTFGQAPNSVFGLFFCTGDLSEDNCQPCIQTAIKDITESSCPSSKQAIIWYDYCELRYSDTNFFGLPDTSGFNMTNPFENTSSSRPVEVVAQLVKEAPLENPIMFKSLALRSESLDALAQCSSDLTRQGCSDCLTTIFTNIKACCIGAKGWRYLAPSCWIRYEATPFLQNVNGVNTTITQSYCSSNEFPASNGLNATTQIESLLSSLTEQAPALNGFYNSSVGKGVNKVYGLALCRGDLQNKKDDCQSCLKNASKSIVVECLNNEQAIEWYEKCLVRYSNQSFVGVVDTDFGRTLCGPEQISQADYNATLTLAMALINDAPNSPLFFRAGAHLSNSYVLVQCTRDLSKDGCRQCLQNGMSSVSGQCNQTNGWRYLSGSCTLRYEVNPFFNVSFISIPSPDSPQEKDGASKKRSSGVIIAAIVAPILGVILLASILYLWWKLSHKNDNKGEHIHELRPLTTQELPYMNLATILAATSNFAVENKLGEGGFGPVYKGVLNNGTEIAVKRLSTKSKQGAIEFENEVKLIAKLQHRNLVRMLGWCAEREEKLLIYEYLPNKSLDALLFGQFQVKQNTVLS >DRNTG_08475.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7419003:7421209:-1 gene:DRNTG_08475 transcript:DRNTG_08475.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLLLLHQVKVLSYLLFLLFICHDTAMGTLTFKPIKANCSSTKYTENSTFSTNLNSLLSTLKAMSSFSISTNQTFGQAPNSVFGLFFCTGDLSEDNCQPCIQTAIKDITESSCPSSKQAIIWYDYCELRYSDTNFFGLPDTSGFNMTNPFENTSSSRPVEVVAQLVKEAPLENPIMFKSLALRSESLDALAQCSSDLTRQGCSDCLTTIFTNIKACCIGAKGWRYLAPSCWIRYEATPFLQNVNGVNTTITQSYCSSNEFPASNGLNATTQIESLLSSLTEQAPALNGFYNSSVGKGVNKVYGLALCRGDLQNKKDDCQSCLKNASKSIVVECLNNEQAIEWYEKCLVRYSNQSFVGVVDTDFGRTLCGPEQISQADYNATLTLAMALINDAPNSPLFFRAGAHLSNSYVLVQCTRDLSKDGCRQCLQNGMSSVSGQCNQTNGWRYLSGSCTLRYEVNPFFNVSFISIPSPDSPQEKDGASKKRSSGVIIAAIVAPILGVILLASILYLWWKLSHKNDNKGEHIHELRPLTTQELPYMNLATILAATSNFAVENKLGEGGFGPVYK >DRNTG_08475.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7417570:7421209:-1 gene:DRNTG_08475 transcript:DRNTG_08475.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLLLLHQVKVLSYLLFLLFICHDTAMGTLTFKPIKANCSSTKYTENSTFSTNLNSLLSTLKAMSSFSISTNQTFGQAPNSVFGLFFCTGDLSEDNCQPCIQTAIKDITESSCPSSKQAIIWYDYCELRYSDTNFFGLPDTSGFNMTNPFENTSSSRPVEVVAQLVKEAPLENPIMFKSLALRSESLDALAQCSSDLTRQGCSDCLTTIFTNIKACCIGAKGWRYLAPSCWIRYEATPFLQNVNGVNTTITQSYCSSNEFPASNGLNATTQIESLLSSLTEQAPALNGFYNSSVGKGVNKVYGLALCRGDLQNKKDDCQSCLKNASKSIVVECLNNEQAIEWYEKCLVRYSNQSFVGVVDTDFGRTLCGPEQISQADYNATLTLAMALINDAPNSPLFFRAGAHLSNSYVLVQCTRDLSKDGCRQCLQNGMSSVSGQCNQTNGWRYLSGSCTLRYEVNPFFNVSFISIPSPDSPQEKDGASKKRSSGVIIAAIVAPILGVILLASILYLWWKLSHKNGSSF >DRNTG_08475.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7417570:7421209:-1 gene:DRNTG_08475 transcript:DRNTG_08475.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLLLLHQVKVLSYLLFLLFICHDTAMGTLTFKPIKANCSSTKYTENSTFSTNLNSLLSTLKAMSSFSISTNQTFGQAPNSVFGLFFCTGDLSEDNCQPCIQTAIKDITESSCPSSKQAIIWYDYCELRYSDTNFFGLPDTSGFNMTNPFENTSSSRPVEVVAQLVKEAPLENPIMFKSLALRSESLDALAQCSSDLTRQGCSDCLTTIFTNIKACCIGAKGWRYLAPSCWIRYEATPFLQNVNGVNTTITQSYCSSNEFPASNGLNATTQIESLLSSLTEQAPALNGFYNSSVGKGVNKVYGLALCRGDLQNKKDDCQSCLKNASKSIVVECLNNEQAIEWYEKCLVRYSNQSFVGVVDTDFGRTLCGPEQISQADYNATLTLAMALINDAPNSPLFFRAGAHLSNSYVLVQCTRDLSKDGCRQCLQNGMSSVSGQCNQTNGWRYLSGSCTLRYEVNPFFNVSFISIPSPDSPQEKDGASKKRSSGVIIAAIVAPILGVILLASILYLWWKLSHKNDNKGEHIHELRPLTTQELPYMNLATILAATSNFAVENKLGEGGFGPVYKGVLNNGTEIAVKRLSTKSKQGAIEFENEVKLIAKLQHRNLVRMLGWCAEREEKLLIYEYLPNKSLDALLFDSEKRVQLDWNTRLQIIRGIARGLLYLHEDSLLKVIHRDLKASNVLLDNKMTPKISDFGMARIFRGDESEANTNRVVGTYGYMAPEFAMGGLFSEKSDVYSYGVLLLEIVTGQRNGRAHFEEHGKTLIRNMWHLWVEGRAQELLDPLFGDSCPINEAKKCMKIGLLCVQENSEERPIMSTVVHMLRSSDETLFPEPSQPPSFMGQRTFVPNESSSSIRSDATSVHSVNHVTNSDVQAR >DRNTG_08475.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7419946:7421209:-1 gene:DRNTG_08475 transcript:DRNTG_08475.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLLLLHQVKVLSYLLFLLFICHDTAMGTLTFKPIKANCSSTKYTENSTFSTNLNSLLSTLKAMSSFSISTNQTFGQAPNSVFGLFFCTGDLSEDNCQPCIQTAIKDITESSCPSSKQAIIWYDYCELRYSDTNFFGLPDTSGFNMTNPFENTSSSRPVEVVAQLVKEAPLENPIMFKSLALRSESLDALAQCSSDLTRQGCSDCLTTIFTNIKACCIGAKGWRYLAPSCWIRYEATPFLQNVNGVNTTITQSYCSSNEFPASNGLNATTQIESLLSSLTEQAPALNGFYNSSVGKGVNKVYGLALCRGDLQNKKDDCQSCLKNASKSIVVECLNNEQAIEWYEKCL >DRNTG_08475.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7419619:7421209:-1 gene:DRNTG_08475 transcript:DRNTG_08475.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLLLLHQVKVLSYLLFLLFICHDTAMGTLTFKPIKANCSSTKYTENSTFSTNLNSLLSTLKAMSSFSISTNQTFGQAPNSVFGLFFCTGDLSEDNCQPCIQTAIKDITESSCPSSKQAIIWYDYCELRYSDTNFFGLPDTSGFNMTNPFENTSSSRPVEVVAQLVKEAPLENPIMFKSLALRSESLDALAQCSSDLTRQGCSDCLTTIFTNIKACCIGAKGWRYLAPSCWIRYEATPFLQNVNGVNTTITQSYCSSNEFPASNGLNATTQIESLLSSLTEQAPALNGFYNSSVGKGVNKVYGLALCRGDLQNKKDDCQSCLKNASKSIVVECLNNEQAIEWYEKCLVRYSNQSFVGVVDTDFGRTLCGPEQISQADYNATLTLAMALINDAPNSPLFFRAGAHLSNSYVLVQCTRDLSKDGCRQCLQNGMSSVSGQCNQTNGWRYLSGSCTLRYE >DRNTG_22489.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2599513:2600410:1 gene:DRNTG_22489 transcript:DRNTG_22489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHTSTKPSIIPITSLSKRIAREKEADTIQGLKGVNLNASRSHQSRRKRKRMRRTKRSDGNGRGGGGQGGSRRTRRGRGRGGGDGKGEGSRGGQGPRSCSAAGDCRRCTGRDPPTPSPPEPPERC >DRNTG_09038.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31361894:31364624:-1 gene:DRNTG_09038 transcript:DRNTG_09038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFSPILSSDSLYMRVRSDRGRRSAFAVDILSVLFRLHSRRSSL >DRNTG_08793.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4294641:4295809:1 gene:DRNTG_08793 transcript:DRNTG_08793.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein XRCC3 homolog [Source:Projected from Arabidopsis thaliana (AT5G57450) UniProtKB/Swiss-Prot;Acc:Q9FKM5] MASIPPKPENPLHLHTTKLTLGCPILDSFLGGGIPCGSITELVGESSAGKTQLCLQLLLSSLLPPSLGGLSSPSLYIYSEPPFPLRRLLRLSLPSLPPCPLDHVFVRPCPLTLMISSPSSPTSLTPSPSASSLSTPSPPSSVPSLTTLLATSNPAPPSSSKSPPSSKTAHGSSAPPLWSLTMSSTSLRRSAVVRAACGRLVDGLCLR >DRNTG_31632.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:824447:827294:-1 gene:DRNTG_31632 transcript:DRNTG_31632.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRFLPPWPRHWPLPFQCFSPWLSPVGALLLTDLESLVLPRNRLSGHIPPAIAALRFLSTLDLSCNFLTGPIPAGIGDLKSLAHLDLSSNRLNGTLPPSIASLPHLVGILNLSSNLLSGKVPMEYGEIPVAVSLDLRHNNLSGEIPQSGSLLNQGPTAFAGNPYLCGFPLKISCVASSQNPNVPKPNPRITLNPSTVNATPVEEGGKRKPAVAVPILATVVAAAILAVVVLQWQLRRRRGEGRRRRMGRRRRGEEVEKARCTSRWMKGS >DRNTG_21020.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:93263:94960:-1 gene:DRNTG_21020 transcript:DRNTG_21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHIRTPRQYPGRKSLSDNLVLPSNNGIQ >DRNTG_08729.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4992499:4995072:1 gene:DRNTG_08729 transcript:DRNTG_08729.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLPLPPQQSRINLSDLKSQIVKKLGPERSQHYFQHLNRLLSQKLSKSEFNKLCLSILGRENVALHNQLIRSILRNAYFSKVAPPVAHNRNIGKAVSDAGSNLSPAPMPPVWSNGDILSPSPRKSRSGIRRIKDRPTSLGPNTKVDASTHQSFVTSDEFVVRDNGELSPYGFKRSVQQQHHQAWNLEQPVKKQRTEKPLPNDQLSVHGDLVESAAVGDQEELELRNVMKSLKGTLQAPLGIPFCPASVGGARRSLPSNINVDTVSAISNYDTGELCKSEDLRTRMEKIAQVQGLGGVTLECANLLNNGLDAYLKQMIKSSLKLARARMRHELLMQPLYKQQPLGRPINGVWPGNYMHGQSSGGPLEGTNKGKNHCPISLQDFKVAMQLNPHQLGEDWPLLLEKICLHSFEE >DRNTG_08729.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4992499:4995072:1 gene:DRNTG_08729 transcript:DRNTG_08729.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLPLPPQQSRINLSDLKSQIVKKLGPERSQHYFQHLNRLLSQKLSKSEFNKLCLSILGRENVALHNQLIRSILRNAYFSKVAPPVAHNRNIGKAVSDAGSNLSPAPMPPVWSNGDILSPSPRKSRSGIRRIKDRPTSLGPNTKVDASTHQSFVTSDEFVVRDNGELSPYGFKRSVQQQHHQAWNLEQPVKKQRTEKPLPNDQLSVHGDLVESAAVGDQEELELRNVMKSLKGTLQAPLGIPFCPASVGGARRSLPSNINVDTVSAISNYDTGELCKSEDLRTRMEKIAQVQGLGGVTLECANLLNNGLDAYLKQMIKSSLKLARARMRHELLMQPLYKQQPLGRPINGVWPGNYMHGQSSGGPLEGTNKGKNHCPISLQDFKVAMQLNPHQLGEDWPLLLEKICLHSFEE >DRNTG_22197.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1439557:1441757:1 gene:DRNTG_22197 transcript:DRNTG_22197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIAVFLLGLLGIMPLLSVTIPDNGTTRIKLPSGAKKIVPSGCRAMIGQVAGGGRTEKPLLKAGNAYHKFRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAASAAAKAEKSA >DRNTG_33796.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32511908:32518498:-1 gene:DRNTG_33796 transcript:DRNTG_33796.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin-conjugating enzyme E2 24 [Source:Projected from Arabidopsis thaliana (AT2G33770) UniProtKB/Swiss-Prot;Acc:Q8VY10] MDVFVSDLDSASYSEDTDSEDQDDRESSYSGHAQNILSSLDDSIGKIDNFLAFERGFVHGDIVCSISDPSGLLGRILDVDMIVDLETSSGELIKGINSKKLLKIQTFAIGDYVVHGPWLGRITKLFDRVTILYSDGSKCEVMTGGREVLTPVSPSLHEDAPFPYYAGQHVRIKLPVMSKPSRWLCGPWKETQNEGIICNVEVGLVHVNWIASVMTGSDSLPSMPHHLQNPQVLTSLSCFPYANWQIGDWCMLPHDDFDNQKRVLQESTYCDGPQYVSKMQSNLGMNRQDLRQMLVIARTRTKVDVLWQSGDLSVGLDPQTLHPVNNVGDHDFWPEQFVLEKVTSDDVVLPGSQRVGIVKSVDAQERTVKVKWMVPDLNLNENIMAEPDEETVSVYELIEHPDFSYCIGDVIFKLPPHFESSAKSFPDSQPDGPRQLHPLHGKARISSSPLSGKDLHDTSVGCYDENATAYLSCIGNVIGFKTEGVEVRWASGLTSKVHPSEIYGLDRLDDPPTAPTDNVEVISENISEQMVEQETLSWRKKDKDAWDSGALLFPRAAICFLTNVAKSLFSSRGSTSLPGTWHELSTEEPQPDVGDLSMANLNPSIQAKIEQKECPPVLPGNDKAGGFEKFVVVDDYSDHHFVMSNDKRLAISQVKSGWLKKLHQEWSILESNLPDSIYVRVYEDRVDLLRAAIIGAPGTPYHDGLFFFDICLPSDYPHEPPLVHYNSGGLRLNPNLYESGKVCLSLLNTWTGTGTEAWNPESSTILQVLLSLQALVLNDKPYFNEAGYDKQVGKIEGEKNSITYNENAFLLSLKSMLYVLNNPPKHFESLVSEHFACRSHSILHACKAYMDGAQIGSDFNTEKPVGEILKGSSTGFKLMLAKLFPKLVSGFTDKGIDCGHFLNQVKGVSDASKSSCSM >DRNTG_33796.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32511908:32518498:-1 gene:DRNTG_33796 transcript:DRNTG_33796.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin-conjugating enzyme E2 24 [Source:Projected from Arabidopsis thaliana (AT2G33770) UniProtKB/Swiss-Prot;Acc:Q8VY10] MDVFVSDLDSASYSEDTDSEDQDDRESSYSGHAQNILSSLDDSIGKIDNFLAFERGFVHGDIVCSISDPSGLLGRILDVDMIVDLETSSGELIKGINSKKLLKIQTFAIGDYVVHGPWLGRITKLFDRVTILYSDGSKCEVMTGGREVLTPVSPSLHEDAPFPYYAGQHVRIKLPVMSKPSRWLCGPWKETQNEGIICNVEVGLVHVNWIASVMTGSDSLPSMPHHLQNPQVLTSLSCFPYANWQIGDWCMLPHDDFDNQKRVLQESTYCDGPQYVSKMQSNLGMNRQDLRQMLVIARTRTKVDVLWQSGDLSVGLDPQTLHPVNNVGDHDFWPEQFVLEKVTSDDVVLPGSQRVGIVKSVDAQERTVKVKWMVPDLNLNENIMAEPDEETVSVYELIEHPDFSYCIGDVIFKLPPHFESSAKSFPDSQPDGPRQLHPLHGKARISSSPLSGKDLHDTSVGCYDENATAYLSCIGNVIGFKTEGVEVRWASGLTSKVHPSEIYGLDRLDDPPTAPTDNVEVISENISEQMVEQETLSWRKKDKDAWDSGALLFPRAAICFLTNVAKSLFSSRGSTSLPGTWHELSTEEPQPDVGDLSMANLNPSIQAKIEQKECPPVLPGNDKAGGFEKFVVVDDYSDHHFVMSNDKRLAISQVKSGWLKKLHQEWSILESNLPDSIYVRVYEDRVDLLRAAIIGAPGTPYHDGLFFFDICLPSDYPHEPPLVHYNSGGLRLNPNLYESGKVCLSLLNTWTGTGTEAWNPESSTILQVLLSLQALVLNDKPYFNEAGYDKQVGKIEGEKNSITYNENAFLLSLKSMLYVLNNPPKHFESLVSEHFACRSHSILHACKAYMDGAQIGSDFNTEKPVGEILKGSSTGFKLMLAKLFPKLVSGFTDKGIDCGHFLNQVKGVSDASKSSCSM >DRNTG_33796.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32511908:32518498:-1 gene:DRNTG_33796 transcript:DRNTG_33796.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin-conjugating enzyme E2 24 [Source:Projected from Arabidopsis thaliana (AT2G33770) UniProtKB/Swiss-Prot;Acc:Q8VY10] MDVFVSDLDSASYSEDTDSEDQDDRESSYSGHAQNILSSLDDSIGKIDNFLAFERGFVHGDIVCSISDPSGLLGRILDVDMIVDLETSSGELIKGINSKKLLKIQTFAIGDYVVHGPWLGRITKLFDRVTILYSDGSKCEVMTGGREVLTPVSPSLHEDAPFPYYAGQHVRIKLPVMSKPSRWLCGPWKETQNEGIICNVEVGLVHVNWIASVMTGSDSLPSMPHHLQNPQVLTSLSCFPYANWQIGDWCMLPHDDFDNQKRVLQESTYCDGPQYVSKMQSNLGMNRQDLRQMLVIARTRTKVDVLWQSGDLSVGLDPQTLHPVNNVGDHDFWPEQFVLEKVTSDDVVLPGSQRVGIVKSVDAQERTVKVKWMVPDLNLNENIMAEPDEETVSVYELIEHPDFSYCIGDVIFKLPPHFESSAKSFPDSQPDGPRQLHPLHGKARISSSPLSGKDLHDTSVGCYDENATAYLSCIGNVIGFKTEGVEVRWASGLTSKVHPSEIYGLDRLDDPPTAPTDNVEVISENISEQMVEQETLSWRKKDKDAWDSGALLFPRAAICFLTNVAKSLFSSRGSTSLPGTWHELSTEEPQPDVGDLSMANLNPSIQAKIEQKECPPVLPGNDKAGGFEKFVVVDDYSDHHFVMSNDKRLAISQVKSGWLKKLHQEWSILESNLPDSIYVRVYEDRVDLLRAAIIGAPGTPYHDGLFFFDICLPSDYPHEPPLVHYNSGGLRLNPNLYESGKVCLSLLNTWTGTGTEAWNPESSTILQVLLSLQALVLNDKPYFNEAGYDKQVGKIEGEKNSITYNENAFLLSLKSMLYVLNNPPKHFESLVSEHFACRSHSILHACKAYMDGAQIGSDFNTEKPVGEILKGSSTGFKLMLAKLFPKLVSGFTDKGIDCGHFLNQVKGVSDASKSSCSM >DRNTG_33796.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32511908:32518498:-1 gene:DRNTG_33796 transcript:DRNTG_33796.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin-conjugating enzyme E2 24 [Source:Projected from Arabidopsis thaliana (AT2G33770) UniProtKB/Swiss-Prot;Acc:Q8VY10] MDVFVSDLDSASYSEDTDSEDQDDRESSYSGHAQNILSSLDDSIGKIDNFLAFERGFVHGDIVCSISDPSGLLGRILDVDMIVDLETSSGELIKGINSKKLLKIQTFAIGDYVVHGPWLGRITKLFDRVTILYSDGSKCEVMTGGREVLTPVSPSLHEDAPFPYYAGQHVRIKLPVMSKPSRWLCGPWKETQNEGIICNVEVGLVHVNWIASVMTGSDSLPSMPHHLQNPQVLTSLSCFPYANWQIGDWCMLPHDDFDNQKRVLQESTYCDGPQYVSKMQSNLGMNRQDLRQMLVIARTRTKVDVLWQSGDLSVGLDPQTLHPVNNVGDHDFWPEQFVLEKVTSDDVVLPGSQRVGIVKSVDAQERTVKVKWMVPDLNLNENIMAEPDEETVSVYELIEHPDFSYCIGDVIFKLPPHFESSAKSFPDSQPDGPRQLHPLHGKARISSSPLSGKDLHDTSVGCYDENATAYLSCIGNVIGFKTEGVEVRWASGLTSKVHPSEIYGLDRLDDPPTAPTDNVEVISENISEQMVEQETLSWRKKDKVKEISLQDDAWDSGALLFPRAAICFLTNVAKSLFSSRGSTSLPGTWHELSTEEPQPDVGDLSMANLNPSIQAKIEQKECPPVLPGNDKAGGFEKFVVVDDYSDHHFVMSNDKRLAISQVKSGWLKKLHQEWSILESNLPDSIYVRVYEDRVDLLRAAIIGAPGTPYHDGLFFFDICLPSDYPHEPPLVHYNSGGLRLNPNLYESGKVCLSLLNTWTGTGTEAWNPESSTILQVLLSLQALVLNDKPYFNEAGYDKQVGKIEGEKNSITYNENAFLLSLKSMLYVLNNPPKHFESLVSEHFACRSHSILHACKAYMDGAQIGSDFNTEKPVGEILKGSSTGFKLMLAKLFPKLVSGFTDKGIDCGHFLNQVKGVSDASKSSCSM >DRNTG_33796.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32511908:32518498:-1 gene:DRNTG_33796 transcript:DRNTG_33796.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin-conjugating enzyme E2 24 [Source:Projected from Arabidopsis thaliana (AT2G33770) UniProtKB/Swiss-Prot;Acc:Q8VY10] MDVFVSDLDSASYSEDTDSEDQDDRESSYSGHAQNILSSLDDSIGKIDNFLAFERGFVHGDIVCSISDPSGLLGRILDVDMIVDLETSSGELIKGINSKKLLKIQTFAIGDYVVHGPWLGRITKLFDRVTILYSDGSKCEVMTGGREVLTPVSPSLHEDAPFPYYAGQHVRIKLPVMSKPSRWLCGPWKETQNEGIICNVEVGLVHVNWIASVMTGSDSLPSMPHHLQNPQVLTSLSCFPYANWQIGDWCMLPHDDFDNQKRVLQESTYCDGPQYVSKMQSNLGMNRQDLRQMLVIARTRTKVDVLWQSGDLSVGLDPQTLHPVNNVGDHDFWPEQFVLEKVTSDDVVLPGSQRVGIVKSVDAQERTVKVKWMVPDLNLNENIMAEPDEETVSVYELIEHPDFSYCIGDVIFKLPPHFESSAKSFPDSQPDGPRQLHPLHGKARISSSPLSGKDLHDTSVGCYDENATAYLSCIGNVIGFKTEGVEVRWASGLTSKVHPSEIYGLDRLDDPPTAPTDNVEVISENISEQMVEQETLSWRKKDKDAWDSGALLFPRAAICFLTNVAKSLFSSRGSTSLPGTWHELSTEEPQPDVGDLSMANLNPSIQAKIEQKECPPVLPGNDKAGGFEKFVVVDDYSDHHFVMSNDKRLAISQVKSGWLKKLHQEWSILESNLPDSIYVRVYEDRVDLLRAAIIGAPGTPYHDGLFFFDICLPSDYPHEPPLVHYNSGGLRLNPNLYESGKVCLSLLNTWTGTGTEAWNPESSTILQVLLSLQALVLNDKPYFNEAGYDKQVGKIEGEKNSITYNENAFLLSLKSMLYVLNNPPKHFESLVSEHFACRSHSILHACKAYMDGAQIGSDFNTEKPVGEILKGSSTGFKLMLAKLFPKLVSGFTDKGIDCGHFLNQVKGVSDASKSSCSM >DRNTG_33796.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32511908:32518498:-1 gene:DRNTG_33796 transcript:DRNTG_33796.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin-conjugating enzyme E2 24 [Source:Projected from Arabidopsis thaliana (AT2G33770) UniProtKB/Swiss-Prot;Acc:Q8VY10] MDVFVSDLDSASYSEDTDSEDQDDRESSYSGHAQNILSSLDDSIGKIDNFLAFERGFVHGDIVCSISDPSGLLGRILDVDMIVDLETSSGELIKGINSKKLLKIQTFAIGDYVVHGPWLGRITKLFDRVTILYSDGSKCEVMTGGREVLTPVSPSLHEDAPFPYYAGQHVRIKLPVMSKPSRWLCGPWKETQNEGIICNVEVGLVHVNWIASVMTGSDSLPSMPHHLQNPQVLTSLSCFPYANWQIGDWCMLPHDDFDNQKRVLQESTYCDGPQYVSKMQSNLGMNRQDLRQMLVIARTRTKVDVLWQSGDLSVGLDPQTLHPVNNVGDHDFWPEQFVLEKVTSDDVVLPGSQRVGIVKSVDAQERTVKVKWMVPDLNLNENIMAEPDEETVSVYELIEHPDFSYCIGDVIFKLPPHFESSAKSFPDSQPDGPRQLHPLHGKARISSSPLSGKDLHDTSVGCYDENATAYLSCIGNVIGFKTEGVEVRWASGLTSKVHPSEIYGLDRLDDPPTAPTDNVEVISENISEQMVEQETLSWRKKDKVKEISLQDDAWDSGALLFPRAAICFLTNVAKSLFSSRGSTSLPGTWHELSTEEPQPDVGDLSMANLNPSIQAKIEQKECPPVLPGNDKAGGFEKFVVVDDYSDHHFVMSNDKRLAISQVKSGWLKKLHQEWSILESNLPDSIYVRVYEDRVDLLRAAIIGAPGTPYHDGLFFFDICLPSDYPHEPPLVHYNSGGLRLNPNLYESGKVCLSLLNTWTGTGTEAWNPESSTILQVLLSLQALVLNDKPYFNEAGYDKQVGKIEGEKNSITYNENAFLLSLKSMLYVLNNPPKHFESLVSEHFACRSHSILHACKAYMDGAQIGSDFNTEKPVGEILKGSSTGFKLMLAKLFPKLVSGFTDKGIDCGHFLNQVKGVSDASKSSCSM >DRNTG_33796.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32511908:32518498:-1 gene:DRNTG_33796 transcript:DRNTG_33796.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin-conjugating enzyme E2 24 [Source:Projected from Arabidopsis thaliana (AT2G33770) UniProtKB/Swiss-Prot;Acc:Q8VY10] MDVFVSDLDSASYSEDTDSEDQDDRESSYSGHAQNILSSLDDSIGKIDNFLAFERGFVHGDIVCSISDPSGLLGRILDVDMIVDLETSSGELIKGINSKKLLKIQTFAIGDYVVHGPWLGRITKLFDRVTILYSDGSKCEVMTGGREVLTPVSPSLHEDAPFPYYAGQHVRIKLPVMSKPSRWLCGPWKETQNEGIICNVEVGLVHVNWIASVMTGSDSLPSMPHHLQNPQVLTSLSCFPYANWQIGDWCMLPHDDFDNQKRVLQESTYCDGPQYVSKMQSNLGMNRQDLRQMLVIARTRTKVDVLWQSGDLSVGLDPQTLHPVNNVGDHDFWPEQFVLEKVTSDDVVLPGSQRVGIVKSVDAQERTVKVKWMVPDLNLNENIMAEPDEETVSVYELIEHPDFSYCIGDVIFKLPPHFESSAKSFPDSQPDGPRQLHPLHGKARISSSPLSGKDLHDTSVGCYDENATAYLSCIGNVIGFKTEGVEVRWASGLTSKVHPSEIYGLDRLDDPPTAPTDNVEVISENISEQMVEQETLSWRKKDKDAWDSGALLFPRAAICFLTNVAKSLFSSRGSTSLPGTWHELSTEEPQPDVGDLSMANLNPSIQAKIEQKECPPVLPGNDKAGGFEKFVVVDDYSDHHFVMSNDKRLAISQVKSGWLKKLHQEWSILESNLPDSIYVRVYEDRVDLLRAAIIGAPGTPYHDGLFFFDICLPSDYPHEPPLVHYNSGGLRLNPNLYESGKVCLSLLNTWTGTGTEAWNPESSTILQVLLSLQALVLNDKPYFNEAGYDKQVGKIEGEKNSITYNENAFLLSLKSMLYVLNNPPKHFESLVSEHFACRSHSILHACKAYMDGAQIGSDFNTEKPVGEILKGSSTGFKLMLAKLFPKLVSGFTDKGIDCGHFLNQVKGVSDASKSSCSM >DRNTG_33749.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32377922:32378841:1 gene:DRNTG_33749 transcript:DRNTG_33749.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMSSSLLALKQELQLLKEENEKKMRIEQVITQNDSNDNNNSKRDGNGEDDDHKEGGRGSRESSSITNNSSIINLPINSNSNNNKNNSSNSNNNSNEVNTTTSTNVSKRAAVRKSGFRICKPQGTFLWPDMASSCSPRAVALGHDTLFRGCGLPTPPSVSSATSPPFPSPSPLGTASVKNGVTTELALATPSLSNF >DRNTG_33749.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32377101:32378841:1 gene:DRNTG_33749 transcript:DRNTG_33749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSVEKRNKKKKKKKKKVIIREEEEEEQSQRSRERWSSERYEAAELKLLDIMREKGAKLGKPIIRQALREEARKHIGDTGLLDHLLKHMAGKTIADGAERFRRRHNAEGAMEYWLEPADLVEIRRKAGVSDPYWVPPPGWKLGDAIVPCQCGSECKLQLIQLKDELTNLKRDMEQQPLSSQKQLEVETRESQSEAEKGSSPWQESYQTLLDWKTKVEAEMAEMSSSLLALKQELQLLKEENEKKMRIEQVITQNDSNDNNNSKRDGNGEDDDHKEGGRGSRESSSITNNSSIINLPINSNSNNNKNNSSNSNNNSNEVNTTTSTNVSKRAAVRKSGFRICKPQGTFLWPDMASSCSPRAVALGHDTLFRGCGLPTPPSVSSATSPPFPSPSPLGTASVKNGVTTELALATPSLSNF >DRNTG_25278.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31542586:31547740:-1 gene:DRNTG_25278 transcript:DRNTG_25278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLTKLLADNAPKAMKEQKFESYFGRKIAIDASMSIYQFLIVVGRIGTETLTNEAGEVTSHLQGMFNRTIRLLEAGIKPVYVFDGQPPDLKKQELAKRYSKREDASKELTAAIEDGDKEGIEKYSKRTVKVTRQHNEDCKRLLRLMGVPVIEAPGEAEAECAALCKNEKVYAVASEDMDSLTFGAPKFLRHLMDPSSRKIPVMEFEVLKVLEELNLTMDQFIDLCILSGCDYCDSIKGIGGQTALKLIRQHGSIETMLENINKERYPIPEDWPYQEARRLFKEPLVSTEPPELKWSAPEEEGIVNFLVNENGFNNDRVTKAIEKIKAAKNKSSQGRLESFFKPSASSSMPIKRKETEDKAAKGTAHNGKGANKKPKTGGGKKK >DRNTG_25278.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31543382:31547740:-1 gene:DRNTG_25278 transcript:DRNTG_25278.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLTKLLADNAPKAMKEQKFESYFGRKIAIDASMSIYQFLIVVGRIGTETLTNEAGEVTSHLQGMFNRTIRLLEAGIKPVYVFDGQPPDLKKQELAKRYSKREDASKELTAAIEDGDKEGIEKYSKRTVKVTRQHNEDCKRLLRLMGVPVIEAPGEAEAECAALCKNEKVYAVASEDMDSLTFGAPKFLRHLMDPSSRKIPVMEFEVLKVLEELNLTMDQFIDLCILSGCDYCDSIKGIGGQTALKLIRQHGSIETMLENINKERYPIPEDWPYQEARRLFKEPLVSTEPPELKWSAPEEEGIVNFLVNENGFNNDRVTKAIEKIKAAKNKSSQGRLESFFKPSASSSMPIKRKESKCILGSPRSVLGPKAFTLQVCLCQSSRVGNLKLPSPVMDIRSHQMYARSF >DRNTG_35005.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24126939:24129632:1 gene:DRNTG_35005 transcript:DRNTG_35005.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSSPQKGLQASAAGDPIQPSNSQNPNLSSPQIHPSPSMDMPQISSPQLPSSQPQMSPSSSMDYAQKQALPAGAQQNGMPATASFGVQPGLQRTGSMPRISQLQQQFGPAAAASAMRQHNGMYAGQMNFANPQIQQQQQQQQQQQQQQQQQQQLGGGMSRSGMISQGGQLQMVSGQSPGHFNLQSQMLNQKMNLAQGSQILQGNTSGQALQGMQTMGMMNPLAMNSQLRANAPLSYGQQRFTPGQMRQQQQQQQQQQLSQQMPLTSPHLSGQTLSRTLSGLVQNGQGLVQNSLSQQQWLKQMQPAMSVPGSASYPLQQQPQQQQQQQQQRQQQGFLPQQLSSSQLHQKTLGLNHQQLAQLVQQQQQQLGTHHHQQQQQQQQQQQQHLLQQQHQQQFQQQQLQQLQQFQQQQQQQQPQQSPRMPGPALQNSSTLTGAQADMTTSGTTTPGGSSSHGTDTSSQLLGKRKIQDLVSQVDALGKVDPEVEDLLLEIADQFIESVST >DRNTG_35005.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24126939:24129632:1 gene:DRNTG_35005 transcript:DRNTG_35005.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSSPQKGLQASAAGDPIQPSNSQNPNLSSPQIHPSPSMDMPQISSPQLPSSQPQMSPSSSMDYAQKQALPAGAQQNGMPATASFGVQPGLQRTGSMPRISQLQQQFGPAAAASAMRQHNGMYAGQMNFANPQIQQQQQQQQQQQQQQQQQQQLGGGMSRSGMISQGGQLQMVSGQSPGHFNLQSQMLNQPRQKMNLAQGSQILQGNTSGQALQGMQTMGMMNPLAMNSQLRANAPLSYGQQRFTPGQMRQQQQQQQQQQLSQQMPLTSPHLSGQTLSRTLSGLVQNGQGLVQNSLSQQQWLKQMQPAMSVPGSASYPLQQQPQQQQQQQQQRQQQGFLPQQLSSSQLHQKTLGLNHQQLAQLVQQQQQQLGTHHHQQQQQQQQQQQQHLLQQQHQQQFQQQQLQQLQQFQQQQQQQQPQQSPRMPGPALQNSSTLTGAQADMTTSGTTTPGGSSSHGTDTSSQLLGKRKIQDLVSQVDALGKVDPEVEDLLLEIADQFIESVST >DRNTG_35005.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24126939:24129632:1 gene:DRNTG_35005 transcript:DRNTG_35005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSSPQKGLQASAAGDPIQPSNSQNPNLSSPQIHPSPSMDMPQISSPQLPSSQPQMSPSSSMDYAQKQALPAGAQQNGMPATASFGVQPGLQRTGSMPRISQLQQQFGPAAAASAMRQHNGMYAGQMNFANPQIQQQQQQQQQQQQQQQQQQQLGGGMSRSGMISQGGQLQMVSGQSPGHFNLQSQMLNQPRQKMNLAQGSQILQGNTSGQALQGMQTMGMMNPLAMNSQLRANAPLSYGQQRFTPGQMRQQQQQQQQQQLSQQMPLTSPHKLSGQTLSRTLSGLVQNGQGLVQNSLSQQQWLKQMQPAMSVPGSASYPLQQQPQQQQQQQQQRQQQGFLPQQLSSSQLHQKTLGLNHQQLAQLVQQQQQQLGTHHHQQQQQQQQQQQQHLLQQQHQQQFQQQQLQQLQQFQQQQQQQQPQQSPRMPGPALQNSSTLTGAQADMTTSGTTTPGGSSSHGTDTSSQLLGKRKIQDLVSQVDALGKVDPEVEDLLLEIADQFIESVST >DRNTG_03756.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20408545:20408955:1 gene:DRNTG_03756 transcript:DRNTG_03756.1 gene_biotype:protein_coding transcript_biotype:protein_coding ETALNNSVADRIYENGDEIFTLLDEGAYIYFAGSKTMMPGILETFQKMAKERGVIWEEMLARLKKNNQWRVEVY >DRNTG_06685.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3276650:3277744:1 gene:DRNTG_06685 transcript:DRNTG_06685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDPPPPPRQLPAPAKKAPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGSGTTPASFSSSSPSLRASSTITSSPAAPFILGKRLRSDDEDKDDAVAQAGMSAFWAVPTRPDFGQMWGFAAAAAAGAEIVVPPRFPSGPVGEASAARVGNYLPMAQGHLNLLASLSAAAPPPAATAATGRREDEPR >DRNTG_07086.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2036616:2039579:1 gene:DRNTG_07086 transcript:DRNTG_07086.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRFLTFAAAAAQLLFLLGLLSSTLSINESKLQCRESERVALLKFKDGLRDPHSLLSSWEGSDCCNWRGVSCNNETEHVVSLDLGYRHLFDGPSTAWRLGGNTSPSLLGLKYLNYLDLSFNDFGGISIPEFIGSMLELSYLNLSNAGFSGRIPAQIGNLSSLRYLDLNSIYSLHTLYSDELEWVSHLSSLQYLDMNSVNLAKTNDWFHPINMIPSLSVLLLPNCQLKEMPTSLLFHNLTSLVTLDLSNNQFDSMLPSWLFNMSSLEYLNLQFNHFQGSIPDAFANMSSLEIIQIRNNELHGPIPQSIGKLCNLRSLDLSHNNISDNVLALAVISGGCAGDTLENINLRSNGLTGNLSDWLKKLKMLSILNLGNNLLQGSIPPSIGNISTLTNLFLSHNMFNGTLPESFGRLSELTLLDVPYNLLSGVVSEVHFVNLSKLEQLSLASNSLAINMSSDWIPPFRLRFIGLSSCKLGPKFPPWLQTQKNYFIMDLSYSEIDDTVPEWLWTLSQEIDMLDISQNRIAGNVTKLKFHVVHILDLSFNNLDGPLPSLPSSIEYIDFSNNLFSETLVPFFNEQMPILSHLFLSGYHIHGTIPASICHYLELYVLDLSDNLLSGELPGCLGDLASLAAMNFANNDLSGQLPRSLGAYSWLQSLHLDNNSFHGQLPVALRSCTRLVTLDVGNNRLSGKIPTWIGESLPFLRILRLRSNMFYGYIPHQLSLLTSLQILDLASNSLSGTIPHIFGNLPAMALTRKPKERMMEVLQGNVQTSVGFYGPSGYADSLSLVMKGRELKYSKNLGYVASIDLSGNNFSGDIPRELANLYGLQSMNLSNNKLSGNIPTNIGQLRWLESLDLSRNNLSGSIPSSMSSLTSLSHLNLSYNNFTGRIPTGYQLQTLNDPSMYIGNPGLCGAPLENCKANEIYNGTQRACGGEIDDCESEMLGFYIGIILGFVAGFWAIWGTLLLCETLRNRYFVFIDWLFALSVEK >DRNTG_33233.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001976.1:7173:16893:-1 gene:DRNTG_33233 transcript:DRNTG_33233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPEETPPARPWTERAPAPRRAHPRHGRASSAPPAGLPIRPVLKHGPRSLTCVRADGRGNP >DRNTG_28175.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20655808:20659560:1 gene:DRNTG_28175 transcript:DRNTG_28175.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOW PSII ACCUMULATION 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G02910) UniProtKB/Swiss-Prot;Acc:Q9SRY4] MAMAALALHQPLPWRTRSRTLSPRSTHLRSQKARLFFTVYSSSTPSEPSTQTAESCVNTGLSLFKKGRVKDALLQFESALDLNPNPKEAQVALYNKACCHAYSYNHVLFEAEGREGKQCHVYELLCETIISNLALFLMILTWHHSELHLNLRNYKTRQDRVVKILVMDFEEISNSLVKFKHHFVA >DRNTG_28175.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20655808:20660650:1 gene:DRNTG_28175 transcript:DRNTG_28175.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOW PSII ACCUMULATION 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G02910) UniProtKB/Swiss-Prot;Acc:Q9SRY4] MAMAALALHQPLPWRTRSRTLSPRSTHLRSQKARLFFTVYSSSTPSEPSTQTAESCVNTGLSLFKKGRVKDALLQFESALDLNPNPKEAQVALYNKACCHAYRGEGRQAVSCLRTALRDYNLKFSTILNDPDLASFRASPEFKELQDEARQGGEDIGYGFRRDLKLISEVQAPFRGVRRFFYVALSAAAGISMFFTVPRFIRAINGGEGAPDIWETAGNAAINIGALCRHCDSRGIVYLGQ >DRNTG_28175.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20655808:20660650:1 gene:DRNTG_28175 transcript:DRNTG_28175.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOW PSII ACCUMULATION 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G02910) UniProtKB/Swiss-Prot;Acc:Q9SRY4] MAMAALALHQPLPWRTRSRTLSPRSTHLRSQKARLFFTVYSSSTPSEPSTQTAESCVNTGLSLFKKGRVKDALLQFESALDLNPNPKEAQVALYNKACCHAYRGEGRQAVSCLRTALRDYNLKFSTILNDPDLASFRASPEFKELQDEARQGGEDIGYGFRRDLKLISEVQAPFRGVRRFFYVALSAAAGISMFFTVPRFIRAINGGEGAPDIWETAGNAAINIGGIVILVALFIWDNKKEEEQLAQISRDETLSRLPLRLSTNRIVELVQLRDSVRPVILAGNKETVTRAIEKAERFRTELVKRGVILAPVIWGENRKASLERKGFGLPAKAATSLPSIGEDFDKRTQSITAKSRLQAEVRFKAEIVSPNEWERWIRDQQKSEGVNPGDDVYIILRLDGRVRRSGKGMPDWQEIVKELPLLENLLSKLER >DRNTG_11560.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7975528:7982018:-1 gene:DRNTG_11560 transcript:DRNTG_11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPNSTTKTLTLSSSSTFLRSYKSYSDFSPQASLPCSFDLLSAGSPLPPIPPELPRFKIIPRTRFIVDGFRCSGDFSVSYFLSHFHSDHYTGLGPNWSKGIIFCSEITARLLVDILKVPPFFVASLSLNETVEIDGCDVAVVDANHCPGAVQFLFVVPGTDERRSERYIHTGDFRYCDWMKSDPCLCKFIGADAVFLDTTYCNPKYVFPSQKESVEYVVETVKRIRAQSEGSSESILFLIATYVVGKEKILLEISRHCGCLLYVDDKKMQILSILGHGDSGVFTEDVSATNVHVIGWNLLGETWPYFRPNFVKMKEIMTERGYSKAVGFVATGWMYETKRDGFAMRVKESLEIHLVPYSEHSSYDELREYVRFLRPKHVIPTVGVDVEKLESKHSLALQKHFSGLVDETANKHEFLMAFHQKSEGADMKSVQNVSVSTNEGENVGESKNPVLEVMDSEKESNLRELTEPGDVVSKGAMEDLRDCLPSWVTQDQILSLLRSSGGDVVEAVSDFFEHERELYEQVNDKPLSTHISQTDLFDDSASHLENKCTQVIPDCGAKKVFSQDKRPTTAKFAVFNKPSLTKKRTSSAGNKPKKRGRSHRGVESSGCKQSTITKYFGKVFPGDSCDDCPAVNVAHGSVSSCQHVNIENQFLCSSDETYMKDLDHFLQIINDGIPRNAAMSLLKKAKGNIDVAVDLYYDNVYVDLSSKETSILQDAQPELSGDLTSSHAEKATCFLEKTMNLSTLFVHGASDDTCQNYISLSIEKYSPVEHACWNAGEPAPYLHLARTFDLVEREKGRLKTSIMLCNMFRSLIALSPEDVLPAVYLCTNRIAADHENMLGTEYWRQSGCGCT >DRNTG_11560.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7975528:7982018:-1 gene:DRNTG_11560 transcript:DRNTG_11560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPNSTTKTLTLSSSSTFLRSYKSYSDFSPQASLPCSFDLLSAGSPLPPIPPELPRFKIIPRTRFIVDGFRCSGDFSVSYFLSHFHSDHYTGLGPNWSKGIIFCSEITARLLVDILKVPPFFVASLSLNETVEIDGCDVAVVDANHCPGAVQFLFVVPGTDERRSERYIHTGDFRYCDWMKSDPCLCKFIGADAVFLDTTYCNPKYVFPSQKESVEYVVETVKRIRAQSEGSSESILFLIATYVVGKEKILLEISRHCGCLLYVDDKKMQILSILGHGDSGVFTEDVSATNVHVIGWNLLGETWPYFRPNFVKMKEIMTERGYSKAVGFVATGWMYETKRDGFAMRVKESLEIHLVPYSEHSSYDELREYVRFLRPKHVIPTVGVDVEKLESKHSLALQKHFSGLVDETANKHEFLMAFHQKSEGADMKSVQNVSVSTNEGENVGESKNPVLEVMDSEKESNLRELTEPGDVVSKGAMEDLRDCLPSWVTQDQILSLLRSSGGDVVEAVSDFFEHERELYEQVNDKPLSTHISQTDLFDDSASHLENKCTQVIPDCGAKKVFSQDKRPTTAKFAVFNKPSLTKKRTSSAGNKPKKRGRSHRGVESSGCKQSTITKYFGKVFPGDSCDDCPAVNVAHGSVSSCQHVNIENQFLCSSDETYMKDLDHFLQIINDGIPRNAAMSLLKKAKGNIDVAVDLYYDNVYVDLSSKETSILQDAQPELSGDLTSSHAEKATCFLEKTMNLSTLFVHGASDDTCQNYISLSIEKYSPVEHACWNAGEPAPYLHLARTFDLVEREKGRLKTSIMLCNMFRSLIALSPEDVLPAVYLCTNRIAADHENMELNIGGNLVVAALEEACGVNKSKIKEMYNVVGDLGDVAQECRQTQSLLAHPRPLSIRNLFQTLQKISLETGNGSAIRRKNLVMNLMRSCRGMEMKFLVRTLVRNLRIGAMMKTILPALAHAVVLNSYSLEHPERSESLNLQLQAISAAVADAYDVTPNLDLLIPALLNKGIKFSALSLAMLPGTPIPPMLARITNGITQVLKLFQGRAFTCEFKYDGQRAQIHRLADGSVKVFSRQMKETTARFPDLINIIKEFCKPAVSTFIIDAEIVGVDRKIGNKLMSFQELSSRERGSKNSSISMDSIK >DRNTG_26691.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001362.1:22774:31883:-1 gene:DRNTG_26691 transcript:DRNTG_26691.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid 9,10(9',10')-cleavage dioxygenase 1 [Source:Projected from Arabidopsis thaliana (AT3G63520) UniProtKB/Swiss-Prot;Acc:O65572] MIHGMRIKDGKATYVSRYVKTSRLKQEEYFGGAKFMKIGDLKGLFGLFMVQTQILRAKLKILDVSYGTGTGNTALIYHQGKLLALSEADKPYVVKVLEDGDLQTLGLLDYDKRLAHSFTAHPKVDPFTDEMFTFGYAHTPPYVTYRVITKDGVMLDPVPITIPDPVMMHDFAITENYAIFMDLPLYFQPKEMVKGKLIFSFDPTKKARFGILPRYEKDDKLIRWFELPNCFIFHNANAWEEGDEVVLITCRLEDPDLDRVNGSVQGKLENFKNELYEMRFNMTKWCCFTETTISLCCGFSPDQRALYWKETKICLRDYTRKHYSGQGNYQV >DRNTG_26691.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001362.1:22774:30876:-1 gene:DRNTG_26691 transcript:DRNTG_26691.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid 9,10(9',10')-cleavage dioxygenase 1 [Source:Projected from Arabidopsis thaliana (AT3G63520) UniProtKB/Swiss-Prot;Acc:O65572] MIHGMRIKDGKATYVSRYVKTSRLKQEEYFGGAKFMKIGDLKGLFGLFMVQTQILRAKLKILDVSYGTGTGNTALIYHQGKLLALSEADKPYVVKVLEDGDLQTLGLLDYDKRLAHSFTAHPKVDPFTDEMFTFGYAHTPPYVTYRVITKDGVMLDPVPITIPDPVMMHDFAITENYAIFMDLPLYFQPKEMVKGKLIFSFDPTKKARFGILPRYEKDDKLIRWFELPNCFIFHNANAWEEGDEVVLITCRLEDPDLDRVNGSVQGKLENFKNELYEMRFNMTKWCCFTETTISLCCGFSPDQRALYWKETKICLRDYTRKHYSGQGNYQV >DRNTG_26691.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001362.1:22774:31883:-1 gene:DRNTG_26691 transcript:DRNTG_26691.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid 9,10(9',10')-cleavage dioxygenase 1 [Source:Projected from Arabidopsis thaliana (AT3G63520) UniProtKB/Swiss-Prot;Acc:O65572] MIHGMRIKDGKATYVSRYVKTSRLKQEEYFGGAKFMKIGDLKGLFGLFMVQTQILRAKLKILDVSYGTGTGNTALIYHQGKLLALSEADKPYVVKVLEDGDLQTLGLLDYDKRLAHSFTAHPKVDPFTDEMFTFGYAHTPPYVTYRVITKDGVMLDPVPITIPDPVMMHDFAITENYAIFMDLPLYFQPKEMVKGKLIFSFDPTKKARFGILPRYEKDDKLIRWFELPNCFIFHNANAWEEGDEVVLITCRLEDPDLDRVNGSVQGKLENFKNELYEMRFNMTKWCCFTETTISLCCGFSPDQRALYWKETKICLRDYTRKHYSGQGNYQV >DRNTG_26691.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001362.1:22774:31883:-1 gene:DRNTG_26691 transcript:DRNTG_26691.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid 9,10(9',10')-cleavage dioxygenase 1 [Source:Projected from Arabidopsis thaliana (AT3G63520) UniProtKB/Swiss-Prot;Acc:O65572] MATVQHEDQLHAEKHNSLRPNGVVTVDPYPKKGIVSKAVDLIERALVYLMYNAPPSNHYLSGNFAPVHDETPPCADLPVRGSLPECLNGEFVRVGPNPKFVPVAGYHWFDGDGMIHGMRIKDGKATYVSRYVKTSRLKQEEYFGGAKFMKIGDLKGLFGLFMVQTQILRAKLKILDVSYGTGTGNTALIYHQGKLLALSEADKPYVVKVLEDGDLQTLGLLDYDKRLAHSFTAHPKVDPFTDEMFTFGYAHTPPYVTYRVITKDGVMLDPVPITIPDPVMMHDFAITENYAIFMDLPLYFQPKEMVKGKLIFSFDPTKKARFGILPRYEKDDKLIRWFELPNCFIFHNANAWEEGDEVVLITCRLEDPDLDRVNGSVQGKLENFKNELYEMRFNMTKWCCFTETTISLCCGFSPDQRALYWKETKICLRDYTRKHYSGQGNYQV >DRNTG_26691.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001362.1:22774:31883:-1 gene:DRNTG_26691 transcript:DRNTG_26691.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid 9,10(9',10')-cleavage dioxygenase 1 [Source:Projected from Arabidopsis thaliana (AT3G63520) UniProtKB/Swiss-Prot;Acc:O65572] MIHGMRIKDGKATYVSRYVKTSRLKQEEYFGGAKFMKIGDLKGLFGLFMVQTQILRAKLKILDVSYGTGTGNTALIYHQGKLLALSEADKPYVVKVLEDGDLQTLGLLDYDKRLAHSFTAHPKVDPFTDEMFTFGYAHTPPYVTYRVITKDGVMLDPVPITIPDPVMMHDFAITENYAIFMDLPLYFQPKEMVKGKLIFSFDPTKKARFGILPRYEKDDKLIRWFELPNCFIFHNANAWEEGDEVVLITCRLEDPDLDRVNGSVQGKLENFKNELYEMRFNMTKWCCFTETTISLCCGFSPDQRALYWKETKICLRDYTRKHYSGQGNYQV >DRNTG_26691.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001362.1:22774:30876:-1 gene:DRNTG_26691 transcript:DRNTG_26691.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid 9,10(9',10')-cleavage dioxygenase 1 [Source:Projected from Arabidopsis thaliana (AT3G63520) UniProtKB/Swiss-Prot;Acc:O65572] MAHYMLLFFFFLGCCLLIESLKHDYGIVLCCRMIHGMRIKDGKATYVSRYVKTSRLKQEEYFGGAKFMKIGDLKGLFGLFMVQTQILRAKLKILDVSYGTGTGNTALIYHQGKLLALSEADKPYVVKVLEDGDLQTLGLLDYDKRLAHSFTAHPKVDPFTDEMFTFGYAHTPPYVTYRVITKDGVMLDPVPITIPDPVMMHDFAITENYAIFMDLPLYFQPKEMVKGKLIFSFDPTKKARFGILPRYEKDDKLIRWFELPNCFIFHNANAWEEGDEVVLITCRLEDPDLDRVNGSVQGKLENFKNELYEMRFNMTKWCCFTETTISLCCGFSPDQRALYWKETKICLRDYTRKHYSGQGNYQV >DRNTG_24084.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26373766:26375476:1 gene:DRNTG_24084 transcript:DRNTG_24084.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHELPPGFRFYPTDEELIVHYLRNREASLPSPASIIGEVDIYKFDPWDLPAKATFGDKEWYFFSPRERKYPNGVRPNRAAGSGYWKATGTDKKIVSGKENVGVKKALVFYQGKPPKGHKTNWIMHEYRLSQPIKLGDWVLCRIYKKSSHLWDLEQEGSSVEDIHTSNPKNNKQVNTLKAEKSISLSDLLNEADYSTLSQLFDMDQSMNMVQTSVNCQPLVHESNGGQSNTETGCSVLKEEKSLKRQRPVHFYSEEEIDDILIPSKKSNSSNNSYFSNQFYSPQCNLLNQHLLLNPQLRLQ >DRNTG_24084.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26374033:26375762:1 gene:DRNTG_24084 transcript:DRNTG_24084.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHELPPGFRFYPTDEELIVHYLRNREASLPSPASIIGEVDIYKFDPWDLPG >DRNTG_24084.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26373874:26375546:1 gene:DRNTG_24084 transcript:DRNTG_24084.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHELPPGFRFYPTDEELIVHYLRNREASLPSPASIIGEVDIYKFDPWDLPG >DRNTG_24084.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26373766:26375546:1 gene:DRNTG_24084 transcript:DRNTG_24084.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHELPPGFRFYPTDEELIVHYLRNREASLPSPASIIGEVDIYKFDPWDLPG >DRNTG_24084.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26373661:26375546:1 gene:DRNTG_24084 transcript:DRNTG_24084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHELPPGFRFYPTDEELIVHYLRNREASLPSPASIIGEVDIYKFDPWDLPAKATFGDKEWYFFSPRERKYPNGVRPNRAAGSGYWKATGTDKKIVSGKENVGVKKALVFYQGKPPKGHKTNWIMHEYRLSQPIKLGDWVLCRIYKKSSHLWDLEQEGSSVEDIHTSNPKNNKQVNTLKAEKSISLSDLLNEADYSTLSQLFDMDQSMNMVQTSVNCQPLVHESNGGQSNTETGCSVLKEEKSLKRQRPVHFYSEEEIDDILIPSKKSNSSNNSYFSNQFYSPQCNLLNQHLLLNPQLRLQ >DRNTG_24084.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26373874:26375476:1 gene:DRNTG_24084 transcript:DRNTG_24084.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHELPPGFRFYPTDEELIVHYLRNREASLPSPASIIGEVDIYKFDPWDLPAKATFGDKEWYFFSPRERKYPNGVRPNRAAGSGYWKATGTDKKIVSGKENVGVKKALVFYQGKPPKGHKTNWIMHEYRLSQPIKLGDWVLCRIYKKSSHLWDLEQEGSSVEDIHTSNPKNNKQVNTLKAEKSISLSDLLNEADYSTLSQLFDMDQSMNMVQTSVNCQPLVHESNGGQSNTETGCSVLKEEKSLKRQRPVHFYSEEEIDDILIPSKKSNSSNNSYFSNQFYSPQCNLLNQHLLLNPQLRLQ >DRNTG_24084.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26373874:26375546:1 gene:DRNTG_24084 transcript:DRNTG_24084.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHELPPGFRFYPTDEELIVHYLRNREASLPSPASIIGEVDIYKFDPWDLPAKATFGDKEWYFFSPRERKYPNGVRPNRAAGSGYWKATGTDKKIVSGKENVGVKKALVFYQGKPPKGHKTNWIMHEYRLSQPIKLGDWVLCRIYKKSSHLWDLEQEGSSVEDIHTSNPKNNKQVNTLKAEKSISLSDLLNEADYSTLSQLFDMDQSMNMVQTSVNCQPLVHESNGGQSNTETGCSVLKEEKSLKRQRPVHFYSEEEIDDILIPSKKSNSSNNSYFSNQFYSPQCNLLNQHLLLNPQLRLQ >DRNTG_24084.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26374033:26375762:1 gene:DRNTG_24084 transcript:DRNTG_24084.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHELPPGFRFYPTDEELIVHYLRNREASLPSPASIIGEVDIYKFDPWDLPAKATFGDKEWYFFSPRERKYPNGVRPNRAAGSGYWKATGTDKKIVSGKENVGVKKALVFYQGKPPKGHKTNWIMHEYRLSQPIKLGDWVLCRIYKKSSHLWDLEQEGSSVEDIHTSNPKNNKQVNTLKAEKSISLSDLLNEADYSTLSQLFDMDQSMNMVQTSVNCQPLVHESNGGQSNTETGCSVLKEEKSLKRQRPVHFYSEEEIDDILIPSKKSNSSNNSYFSNQFYSPQCNLLNQHLLLNPQLRLQ >DRNTG_24084.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26374033:26375424:1 gene:DRNTG_24084 transcript:DRNTG_24084.12 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHELPPGFRFYPTDEELIVHYLRNREASLPSPASIIGEVDIYKFDPWDLPAKATFGDKEWYFFSPRERKYPNGVRPNRAAGSGYWKATGTDKKIVSGKENVGVKKALVFYQGKPPKGHKTNWIMHEYRLSQPIKLGDWVLCRIYKKSSHLWDLEQEGSSVEDIHTSNPKNNKQVNTLKAEKSISLSDLLNEADYSTLSQLFDMDQSMNMVQTSVNCQPLVHESNGGQSNTETGCSVLKEEKSLKRQRPVHFYSEEEIDDILIPSKKSNSSNNSYFSNQFYSPQCNLLNQHLLLNPQLRLQ >DRNTG_24084.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26374033:26375476:1 gene:DRNTG_24084 transcript:DRNTG_24084.11 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHELPPGFRFYPTDEELIVHYLRNREASLPSPASIIGEVDIYKFDPWDLPAKATFGDKEWYFFSPRERKYPNGVRPNRAAGSGYWKATGTDKKIVSGKENVGVKKALVFYQGKPPKGHKTNWIMHEYRLSQPIKLGDWVLCRIYKKSSHLWDLEQEGSSVEDIHTSNPKNNKQVNTLKAEKSISLSDLLNEADYSTLSQLFDMDQSMNMVQTSVNCQPLVHESNGGQSNTETGCSVLKEEKSLKRQRPVHFYSEEEIDDILIPSKKSNSSNNSYFSNQFYSPQCNLLNQHLLLNPQLRLQ >DRNTG_24084.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26373661:26375476:1 gene:DRNTG_24084 transcript:DRNTG_24084.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHELPPGFRFYPTDEELIVHYLRNREASLPSPASIIGEVDIYKFDPWDLPG >DRNTG_24084.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26373766:26375546:1 gene:DRNTG_24084 transcript:DRNTG_24084.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHELPPGFRFYPTDEELIVHYLRNREASLPSPASIIGEVDIYKFDPWDLPAKATFGDKEWYFFSPRERKYPNGVRPNRAAGSGYWKATGTDKKIVSGKENVGVKKALVFYQGKPPKGHKTNWIMHEYRLSQPIKLGDWVLCRIYKKSSHLWDLEQEGSSVEDIHTSNPKNNKQVNTLKAEKSISLSDLLNEADYSTLSQLFDMDQSMNMVQTSVNCQPLVHESNGGQSNTETGCSVLKEEKSLKRQRPVHFYSEEEIDDILIPSKKSNSSNNSYFSNQFYSPQCNLLNQHLLLNPQLRLQ >DRNTG_31407.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001699.1:27300:27628:-1 gene:DRNTG_31407 transcript:DRNTG_31407.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYFIAFGMVGVGLVIYSGGAKEDHGEAQAVEGIEIAERQKDEGECDGQYMNSNTRHN >DRNTG_31407.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001699.1:27300:31058:-1 gene:DRNTG_31407 transcript:DRNTG_31407.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCDLILLALVARMKKALPHLKYGSGGNDPVKGDVLVLTGAMLYAVSNVSEEFIVKKGGRVELMAMLGLFGAVVGSCQISIFERNKLKSIHWTVSAVLPFLGYAIAMFLFYSTVPFVLKLSGSTMLNLSLLTSDMWAVLIRTFVYHEKVDWMYFIAFGMVGVGLVIYSGGAKEDHGEAQAVEGIEIAERQKDEGECDGQYMNSNTRHN >DRNTG_31407.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001699.1:27300:28788:-1 gene:DRNTG_31407 transcript:DRNTG_31407.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFYSTVPFVLKLSGSTMLNLSLLTSDMWAVLIRTFVYHEKVDWMYFIAFGMVGVGLVIYSGGAKEDHGEAQAVEGIEIAERQKDEGECDGQYMNSNTRHN >DRNTG_31407.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001699.1:27300:27834:-1 gene:DRNTG_31407 transcript:DRNTG_31407.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLSLLTSDMWAVLIRTFVYHEKVDWMYFIAFGMVGVGLVIYSGGAKEDHGEAQAVEGIEIAERQKDEGECDGQYMNSNTRHN >DRNTG_31407.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001699.1:27300:33350:-1 gene:DRNTG_31407 transcript:DRNTG_31407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDCWSIPSVILLTWLFLNTKYRFRKFVGVAVCVAGLVLVVFSDVHSRDRGRGNDPVKGDVLVLTGAMLYAVSNVSEEFIVKKGGRVELMAMLGLFGAVVGSCQISIFERNKLKSIHWTVSAVLPFLGYAIAMFLFYSTVPFVLKLSGSTMLNLSLLTSDMWAVLIRTFVYHEKVDWMYFIAFGMVGVGLVIYSGGAKEDHGEAQAVEGIEIAERQKDEGECDGQYMNSNTRHN >DRNTG_10932.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:84885:86363:1 gene:DRNTG_10932 transcript:DRNTG_10932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRTQVSWNAMLSILIDSGRLASALELFDEMPKKNSTSYTSMITGLSRAGFVSRAWQLFDTIPLSVQNVFSWTSMITCYAYNSEPLQALIFFARCYAEFFELKILPNSHTFSVLLKSCVSIQSLHAAMQVQTLSVKVLEEDSESSTFVQNSLIDVHAKLGNLVDAEKVFDRMKFKDLGSWNTIMDAYTHHLLVNKALSVFNSMTSKDTLSWNIIMAGLSNNHRAEEALKLFICLLRSEDDSMPNASTYTIILTATTTMTMLEFGKQVHASTVKIGLYNSNVFVCNSLLSMYSNCGIVELTERVFKEMHHKDIVSWNSVIQGLGQNGCSKRALEIAEQALSANKFNHNTFTAILTSCSHGGLVDEGLKYFNSMSEIYGIEQGLDQYVCMVDMLGRAGKVEIALEMLCKMPFRANSVAWETLLSACVIHGNVNVGRIAAKELEILKPGNARSYYALASVYQKAGKAEESKRVLDLIWNKELRKNSGFSWVVEA >DRNTG_06997.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1782072:1782484:1 gene:DRNTG_06997 transcript:DRNTG_06997.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQQKSIRGLLKENNQSFKKVYIGTASHSLRMKWKMLEKTHQRPQRKGQVNHATLEKLL >DRNTG_24673.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7674259:7676498:-1 gene:DRNTG_24673 transcript:DRNTG_24673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLSTSTPFSASTTTQTSRKTSPSSTAMPAPSSTLAKPTPTSSTPIFDTLVWSLKKAGAGDLKIIVGEVGWPTNGDINANVANAKRFYDGLLLKLGKKQGTPIRPNPIEGYIFSLIDEDMKSVLPGSFERHWGIFTYDGKPKFSMDLSGKGMSKHLVPAQVKYLPFQWCVLKKDVKELYKLSGNMDYACSLGDCTALGYGSSCNGLSKYENASYAFNMYFQMQDQDVRGCDFGGIAEIVTKNASTDDCLFPIQIISSALPGQVPALGFVVAFVLFLVFSLFGSL >DRNTG_16393.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16071201:16080010:-1 gene:DRNTG_16393 transcript:DRNTG_16393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEMHAGLASDGGSKLKMLLTFVDALPDGNETGTFYALDLGAATFRVLKIQLGGKQSRILWRQVEQHTIPPALVSSTSEDLFDFLAMTLKNFVERNNDGFEQSDKRKELGLTFPFPVRQLSVSSGILIKWTKGFAIRDTVGKDVTQCLQEALTKRGLDMQVAALVNDTVGTLALGHYYDEDTVAAVIIGTGTNACYIERTDAIIKCQGLLTNSGGMVINMEWGSFWSSHLPRTPYDFALDDETLNRNDQGFEKMISGMYLGDIVRRVLLRIALESDVLGNSARSLSIPFILGTPLMAAMREDDTPNLEEVGKILEENLQMPDLSLKARRLVVRVCDVVTQRAARLGAAGIVGILKKIGRDGSGGVASGRARGKPKRTVVAVEGGLYAGYSTFREYLNEAVVEILGAEVARNVVLRVFEDGSGIGAALLAASLSSDR >DRNTG_16393.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16071201:16080010:-1 gene:DRNTG_16393 transcript:DRNTG_16393.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVINMEWGSFWSSHLPRTPYDFALDDETLNRNDQGFEKMISGMYLGDIVRRVLLRIALESDVLGNSARSLSIPFILGTPLMAAMREDDTPNLEEVGKILEENLQMPDLSLKARRLVVRVCDVVTQRAARLGAAGIVGILKKIGRDGSGGVASGRARGKPKRTVVAVEGGLYAGYSTFREYLNEAVVEILGAEVARNVVLRVFEDGSGIGAALLAASLSSDR >DRNTG_01082.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6409037:6417306:-1 gene:DRNTG_01082 transcript:DRNTG_01082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTLPKLRSFSFGGLAKLEKYLQREIGRQECWETLAWEDPQLKERLSVFFCKSYKKDEIARRRRGQRKLIIPELTQAKFKGVCALLRRRIRISTQVGQKYVKGLGYRFKMREPKETFQTSNNEVGALSASLEQG >DRNTG_20881.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16230655:16236719:1 gene:DRNTG_20881 transcript:DRNTG_20881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVPSSQTRSPHDRARSTENLTLKIVSPKQGQEDLEDSRTT >DRNTG_30332.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19875900:19878404:1 gene:DRNTG_30332 transcript:DRNTG_30332.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSFMETKRNKVLWETVSMRMRLLAFPRTAEQCKSKWKNLLTRFKVFSIFIIFFRVFH >DRNTG_30332.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19875900:19878404:1 gene:DRNTG_30332 transcript:DRNTG_30332.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSFMETKRNKVLWETVSMRMRLLAFPRTAEQCKSKWKNLLTRFKGSEAMEGEVGRQFPFHEEMQRIFSERMMMRLDNHEGKGKEVVENESSDDDDHDHDHEEYYDQENENKKEEEEKKKKKKKRKKRRRKNGDDEVVEVLKEILRRQREMEERWMKELEEREEERRVWEEDWKRTMEELLVERMEMERRWREREEERKARDEAMADRRHSLLTAILTKLSEKL >DRNTG_30332.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19875838:19878404:1 gene:DRNTG_30332 transcript:DRNTG_30332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVPQWSHEETLELLKIRAEMDKSFMETKRNKVLWETVSMRMRLLAFPRTAEQCKSKWKNLLTRFKGFEVCVCREVRLWKGRLAGSFLFMKRCRGYSLKG >DRNTG_17198.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:361209:365421:1 gene:DRNTG_17198 transcript:DRNTG_17198.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSTMIAWVAGVSGLRPEELEDFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLARREMVILGTQYAGEMKKGLFGVMHYLMPKRNILSLHSGCNMGKDGDVALFFGLSGKDLDQRNVVPSPAHPASPLCLIVLLES >DRNTG_17198.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:359947:361090:1 gene:DRNTG_17198 transcript:DRNTG_17198.7 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGEFSFTNKAAGVNLVDRSRLPRIHTHVNKNGICHDDSAQPVKAQTIDELHSLQKKKSAPTTPIKDSSNASTFSVISEEERQKLQLQSIRHSSYSSSFWLACLLLFVKFQ >DRNTG_17198.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:361209:365421:1 gene:DRNTG_17198 transcript:DRNTG_17198.5 gene_biotype:protein_coding transcript_biotype:protein_coding MINSLTGTLNIGSKFESSRRGPIILSSCTTCVSGLRPEELEDFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLARREMVILGTQYAGEMKKGLFGVMHYLMPKRNILSLHSGCNMGKDGDVALFFGLSGKDLDQRNVVPSPAHPASPLCLIVLLES >DRNTG_17198.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:361209:365421:1 gene:DRNTG_17198 transcript:DRNTG_17198.6 gene_biotype:protein_coding transcript_biotype:protein_coding MINSLTGTLNIGSKFESSRRGPIILSSCTTCVSGLRPEELEDFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLARREMVILGTQYAGEMKKGLFGVMHYLMPKRNILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRLLIGDDEHCWSDNGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENIVFDEHTREVDYTDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLSLAQTMYHFISGYTAAGTTQQSIYISFV >DRNTG_17198.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:359947:365421:1 gene:DRNTG_17198 transcript:DRNTG_17198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSLTGTLNIGSKFESSRRGPIILSSCTTCVSGLRPEELEDFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLARREMVILGTQYAGEMKKGLFGVMHYLMPKRNILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRLLIGDDEHCWSDNGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENIVFDEHTREVDYTDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLSLAQTMYHFISGYTAAGTTQQSIYISFV >DRNTG_17198.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:359947:361090:1 gene:DRNTG_17198 transcript:DRNTG_17198.8 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGEFSFTNKAAGVNLVDRSRLPRIHTHVNKNGICHDDSAQPVKAQTIDELHSLQKKKSAPTTPIKDSSNASTFSVISEEERQKLQLQSIRWMGNLQ >DRNTG_17198.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:361209:365421:1 gene:DRNTG_17198 transcript:DRNTG_17198.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINSLTGTLNIGSKFESSRRGPIILSSCTTCTGKTTLSTDHNRLLIGDDEHCWSDNGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENIVFDEHTREVDYTDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLSLAQTMYHFISGYTAAGTTQQSIYISFV >DRNTG_17198.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:361209:365421:1 gene:DRNTG_17198 transcript:DRNTG_17198.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSTMIAWVAGVSGLRPEELEDFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLARREMVILGTQYAGEMKKGLFGVMHYLMPKRNILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRLLIGDDEHCWSDNGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENIVFDEHTREVDYTDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLSLAQTMYHFISGYTAAGTTQQSIYISFV >DRNTG_20026.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28608623:28612123:1 gene:DRNTG_20026 transcript:DRNTG_20026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILALNASYFLKNGGHFVISIKANCIDSTVPAEAVFAQEVKKLQADQFKPSEQVTLEPFERDHACVVGGYRVLKKQKAT >DRNTG_29461.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14417187:14419947:-1 gene:DRNTG_29461 transcript:DRNTG_29461.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDERMKAMKEVGATSIKECKGMERKWIKKDVWDALLIDNEWGIDAWQSKLGKAKANRLTEKKGSITKHTGGSRPFVVHGIKLAKKQGREVGYSEIFQATHKLKGGEGEFIDNKSRVFNEKYNAALVNKYGDDISVHPSFNGQSLYDVIGGMKATRTSVYGFGSRVDSR >DRNTG_28662.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6201153:6208297:-1 gene:DRNTG_28662 transcript:DRNTG_28662.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASTGRFTLGRQSSLAPDHADDHSAAADEVPPEINANIRLMYLSNEGNLEGIIDLLDSGVDVNFQDIDGRTALHVAACQGLADVVELLLKSGAKVDPEDRWGSTPLADAIHYKQHDVIKILEKNGARIKIAPMHVKNAREVPEYEIDAKELDLTNSVGITKGTFRMATWRGIQVAVKTLSEELLTKEDKVRAFRDELALLQQIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLRDFLRRKGALKPDIAVKFALDIARGMNYLHEHKPEPIIHRDLEPSNILRDDSGHLKVADFGVSKLLTVVDTVGEDRALMGQDSACRYVAPEVFHNREYDIKVDVFSFALILQEMIEGHPPFADKQDNEIPKAYASKERPPFQASAKFYSYGLKELIEESWSENPAERPTFREIIDRLTCVQKRIAHKKRWTIRPHKWFQNIENLWKKDQTNPSSLSSSSSRF >DRNTG_28662.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6201153:6208297:-1 gene:DRNTG_28662 transcript:DRNTG_28662.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASTGRFTLGRQSSLAPDHADDHSAAADEVPPEINANIRLMYLSNEGNLEGIIDLLDSGVDVNFQDIDGRTALHVAACQGLADVVELLLKSGAKVDPEDRWGSTPLADAIHYKQHDVIKILEKNGARIKIAPMHVKNAREVPEYEIDAKELDLTNSVGITKGTFRMATWRGIQVAVKTLSEELLTKEDKVRAFRDELALLQQIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLRDFLRRKGALKPDIAVKFALDIARGMNYLHEHKPEPIIHRDLEPSNILRDDSGHLKVADFGVSKLLTVVDTVGEDRALMGQDSACRYVAPEVFHNREYDIKVDVFSFALILQEMIEGHPPFADKQDNEIPKAYASKERPPFQASAKFYSYGLKELIEESWSENPAERPTFREIIDRLTCVQKRIAHKKRWTVNFCLSCMLWKMPFLFYEH >DRNTG_27570.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14851913:14852390:-1 gene:DRNTG_27570 transcript:DRNTG_27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDKSTITEGAPEQPTDPKLDFLMYISLTDNRNQQHEAGSSTVKSKQNRISTAGAGSSSAVGTDTHVW >DRNTG_31333.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4101131:4108427:-1 gene:DRNTG_31333 transcript:DRNTG_31333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMMRRLKSIASGRSSVSDPGGDPGTKRAKVDQDVEERIAAEPIQTQEKVLGLDLQTSSGNLKCEASTSGVDPTARTEEPGVDQLPKEMHEMTIKDDKVDDLNDKDSEPTMINGNGTETGQIIATTIGGRNGQPKQTMSYMAERVVGTGSFGVVFQAKCLETGEAVAIKKVLQDKRYKNRELQIMHLLDHPNVVQLKHFFFSTTDKDELYLNLVLEYVSETVYRITKYYSRMSQHVPLIYVQLYTYQICRALAYIHGVVGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKKLAPGEPNISYICSRYYRAPELIFGATEYSTAIDMWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKLFNKRMPPEAVDLVSRLLQYSPNLRCTALEACAHPFFDELRDPETRLPNGRELPPLFNFTPQELSNASPELIHRLIPEHARK >DRNTG_25567.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29692812:29693894:1 gene:DRNTG_25567 transcript:DRNTG_25567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAVKKWHQFLVGRHFVIKIDHQPLKYLIEQKISTPSQSTWLAKLMAYDYEIQ >DRNTG_05424.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5037600:5038412:-1 gene:DRNTG_05424 transcript:DRNTG_05424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEASWPGKDSTAYQMEEITSNPVVSILQEIPSLVDLQGIQQFVDLSEGLQDILESETEEDEEDEEADNEYEETDDDDDDDDDDLGEHDF >DRNTG_06064.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4037573:4042114:-1 gene:DRNTG_06064 transcript:DRNTG_06064.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR repeat-containing thioredoxin TDX [Source:Projected from Arabidopsis thaliana (AT3G17880) UniProtKB/Swiss-Prot;Acc:Q8VWG7] MDSARVAELQQLIFLCKSNPALLHDSSLAFFKDYLQGLGGHVPEKPGLADHDEDEIIESDLELDGEIIDPDDDPPQKMGDPSVEISEERCDAAQLLKSKAMQAVAENNLEEAIDYLTEAILLYPSSAILYAARARVFVKMRKGSAAIRDADTALKINPNSAAGYKSRGMAWSLMAQWEAAASDLRLASKLDYDEEIYSILKKAESNVRRIEEHRRKYDRLRKEKEARRIQHEKHHQSEKSRDPQVEDPDSLAVLRDGEVISTHSSDELEKKLKAAQNLSRVVVLYFTATWCGPCRTMGPVYTSLAEKYPKVVFLKADIDELNDIAHRLNVSGVPTFFLVKDGKEVDKVVGVTRIGLETMIKRHTGQL >DRNTG_29803.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23337139:23339306:1 gene:DRNTG_29803 transcript:DRNTG_29803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVFLESLPGPKIYKCRRCEAHSASSDAIMSKDFHGRYGPAYLFRSVVNVCLGPIEERQLSTGLHTVNDIYCCSCNQVLGWKYEKAYEESQKYKEGKYILEINRVVKEGW >DRNTG_28059.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23091440:23093053:-1 gene:DRNTG_28059 transcript:DRNTG_28059.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGNDNWNLKNTATRTFPVPNVQSIADASDNCTEIPEKYVIPEINDSLVLNGAAAGPGDLPIIDFSRLCKQSEEEIAKLSLACEDWGYFLLINHGVPDEAIENMKVAIIEFFRLPLEEKKVYAKQPNTGFEGYAQTFVISEKPKVVSGEKYILSTRTVAGRNMKLWTQNPPTFKDALDQYTEEIQKVANTVFESIGKSLKLDKFIDNFKDCQQSVRINYYPPCPHASNVLGIPPHTDTVGLTVVLQVNEVHGLQIKKNGVWLPINPLPGALIVNSGDIIEIMSNGKYKSLEHRAVVNSEQERFSIATFHGPRADAQIGPLPAETPAKSEPFYYKTVSFQDYSRMVFAHKDDGKNILDYMKLEV >DRNTG_23438.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21271193:21272178:-1 gene:DRNTG_23438 transcript:DRNTG_23438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIASKYEEICAPRVEQFCFITDNTYTKEEVLKMESQVLNYIGFRLSVPTIETF >DRNTG_20939.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28159127:28163649:-1 gene:DRNTG_20939 transcript:DRNTG_20939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLRQLLLLLLVMASGFKHVISSTISTTQFNLDNGLCLSWRLAVEANNMEPWPTVPTACLIYVKSYMLRGQYNKDVEMVVDQIYEFLSSIVVKDDDKDAWILDVDDTCISNIIYYQGKRFGCDPYDPQGFKSWAMKGACPAIPQILGVYKTLIENGFKVFLITGRDELLLGPSTTMNLFMQGFVGHEQLMMRNSTHKGQSATIFKSRIRKQLVEEGYRIHGNIGDQWSDLRGECSGTRTFKLPNPMYFVP >DRNTG_20939.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28159127:28160963:-1 gene:DRNTG_20939 transcript:DRNTG_20939.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLRQLLLLLLVMASGFKHVISSTISTTQFNLDNGLCLSWRLAVEANNMEPWPTVPTACLIYVKSYMLRGQYNKDVEMVVDQIYEFLSSIVVKDDDKDAWILDVDDTCISNIIYYQGKRFGCDPYDPQGFKSWAMKGACPAIPQILGVYKTLIENGFKVFLITGRDELLLGPSTTMNLFMQGFVGHEQLMMRNSTHKGQSATIFKSRIRKQLVEEGYRIHGNIGDQWSDLRGECSGTRTFKLPNPMYFVP >DRNTG_19555.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001012.1:38084:39289:1 gene:DRNTG_19555 transcript:DRNTG_19555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLISSLIMSSPPLKPSARRPTPADMLLLFINGNLGIFGPAMWDNGIKSSTFFNINYQPTLNHEKLKTGSTDYILFSGNYVTGSGNGDVVIAQNGKVSTVITKKCALAVKDDSANKTIWHSWPTSDEPTECFLELKSNGQLLLQRYNESGVVTQWKGGYAAKDNLYVCLLRYYGGITIYGLKTWLYHDGSSSSSAAAAAAAAAVVAEKIKMVTA >DRNTG_22329.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:542888:545543:-1 gene:DRNTG_22329 transcript:DRNTG_22329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEVDSDGNGTIEFSEFLHLMARKMKETDAEEELKEAFKVFDKDQNGYISASELRNVMINLGEKLTDEEVEQMIREADLDGDGQVNYEEFVRMMMAA >DRNTG_25436.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24740206:24742296:1 gene:DRNTG_25436 transcript:DRNTG_25436.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEIMAAQATSCDLKDLVQKFIPEVIGKEIEKATSSIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYKEDLGVKVDRPADDIAAEGETEVAA >DRNTG_25436.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24741106:24742296:1 gene:DRNTG_25436 transcript:DRNTG_25436.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTTDKLRSLVQKWQTLIEAHVDVKTTDNYSLRMFCIAFTKRRPNQVKRTCYAQSSQIRQIRHKMIEIMAAQATSCDLKDLVQKFIPEVIGKEIEKATSSIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYKEDLGVKVDRPADDIAAEGETEVAA >DRNTG_25436.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24741106:24742296:1 gene:DRNTG_25436 transcript:DRNTG_25436.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIEIMAAQATSCDLKDLVQKFIPEVIGKEIEKATSSIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYKEDLGVKVDRPADDIAAEGETEVAA >DRNTG_25436.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24741106:24741935:1 gene:DRNTG_25436 transcript:DRNTG_25436.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTTDKLRSLVQKWQTLIEAHVDVKTTDNYSLRMFCIAFTKRRPNQVKRTCYAQSSQIRQIRHKMIEIMAAQATSCDLKDLVQKFIPEVIGKEIEKATSSIYPLQNVFIRKVKILKAPKFDLGKLME >DRNTG_25436.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24740206:24742296:1 gene:DRNTG_25436 transcript:DRNTG_25436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTTDKLRSLVQKWQTLIEAHVDVKTTDNYSLRMFCIAFTKRRPNQVKRTCYAQSSQIRQIRHKMIEIMAAQATSCDLKDLVQKFIPEVIGKEIEKATSSIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYKEDLGVKVDRPADDIAAEGETEVAA >DRNTG_25436.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24740206:24740676:1 gene:DRNTG_25436 transcript:DRNTG_25436.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGGKKKTVDPFAKKDWYDIKAPSVFNVRNVGKTLVSKTGNQG >DRNTG_20404.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19443476:19446090:-1 gene:DRNTG_20404 transcript:DRNTG_20404.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEPDRLTMWDATHKKKDGSYVNEEFRKKLEVAHDLQVSYTSSSANFEQNEINEMVFQKVYGAEHNGRERDLGLGPIPSRYFSVISKFTSTSASTTDNNHKSELENVKLELAEMKDKYEKLSSDMANMKELFGGFMAERSFNDRMSKAPVEEVEDVASVD >DRNTG_17375.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6712220:6714695:1 gene:DRNTG_17375 transcript:DRNTG_17375.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger AN1 domain-containing stress-associated protein 12 [Source:Projected from Arabidopsis thaliana (AT3G28210) UniProtKB/Swiss-Prot;Acc:Q67YE6] MGIGGGTEAFPGLGAHCSYEDCYQLDFLPFNCDGCQKVFCLEHRTYKGHDCPKAEQKSRIVVVCEACTVSIEKKTGEKDEAILERHRKARECDITKKQKPKCPVKRCKDILTFSNNSTCKSCNLKVCLKHRFPNDHQCKGSPVHFSIRTSTDCRDKKNRSPSSSSSIIEIY >DRNTG_17375.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6712220:6714589:1 gene:DRNTG_17375 transcript:DRNTG_17375.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger AN1 domain-containing stress-associated protein 12 [Source:Projected from Arabidopsis thaliana (AT3G28210) UniProtKB/Swiss-Prot;Acc:Q67YE6] MGIGGGTEAFPGLGAHCSYEDCYQLDFLPFNCDGCQKVFCLEHRTYKGHDCPKAEQKSRIVVVCEACTVSIEKKTGEKDEAILERHRKARECDITKKQKPKCPVKRCKDILTFSNNSTCKSCNLKVCLKHRFPNDHQCKGSPVHFSIRTSTDCRDKKNRSPSSSSSIIEIY >DRNTG_17375.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6712040:6714695:1 gene:DRNTG_17375 transcript:DRNTG_17375.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger AN1 domain-containing stress-associated protein 12 [Source:Projected from Arabidopsis thaliana (AT3G28210) UniProtKB/Swiss-Prot;Acc:Q67YE6] MELPFELIKKFSGNGEKKMGIGGGTEAFPGLGAHCSYEDCYQLDFLPFNCDGCQKVFCLEHRTYKGHDCPKAEQKSRIVVVCEACTVSIEKKTGEKDEAILERHRKARECDITKKQKPKCPVKRCKDILTFSNNSTCKSCNLKVCLKHRFPNDHQCKGSPVHFSIRTSTDCRDKKNRSPSSSSSIIEIY >DRNTG_17375.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6714144:6714589:1 gene:DRNTG_17375 transcript:DRNTG_17375.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger AN1 domain-containing stress-associated protein 12 [Source:Projected from Arabidopsis thaliana (AT3G28210) UniProtKB/Swiss-Prot;Acc:Q67YE6] VFCLEHRTYKGHDCPKAEQKSRIVVVCEACTVSIEKKTGEKDEAILERHRKARECDITKKQKPKCPVKRCKDILTFSNNSTCKSCNLKVCLKHRFPNDHQCKGSPVHFSIRTSTDCRDKKNRSPSSSSSIIEIY >DRNTG_04270.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9358067:9361524:1 gene:DRNTG_04270 transcript:DRNTG_04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINIFSTEKGFNSMRIGESQYRRFASVEVIDEIISLAKEWQQRFVNGWLLGSLHSYYTCLNVELDKGGNLVVQHVISKGIDNVTWFL >DRNTG_20641.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20468549:20472132:1 gene:DRNTG_20641 transcript:DRNTG_20641.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLNECSASLETRVAAEGSGTSESSVLNGPGDEDSCSNPAPMALSFGILRSAVEGEEEGEGENGVPVEEAEHVTRMFFPPALVAGDSATVKPPWTDPRFLPPSIGGMAPQQQRPPQVQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYDEDLKQMGNLTKEEFVHSLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARSYLV >DRNTG_20641.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20468549:20472132:1 gene:DRNTG_20641 transcript:DRNTG_20641.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLNECSASLETRVAAEGSGTSESSVLNGPGDEDSCSNPAPMALSFGILRSAVEGEEEGEGENGVPVEEAEHVTRMFFPPALVAGDSATVKPPWTDPRFLPPSIGGMAPQQQRPPQVQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYDEDLKQMGNLTKEEFVHSLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQLLGKKAYDKAALRCNGREAVTNFEPNTYEGELLPEVDAGDADLDLNLRLSQPSVHNLEKDLNIMGLQLQGASFNDSDHRKARFADPSSHLSIQPNRLSLTNEESRIWSAPSGFLPMYEERTREKRPLETTSPAATLNWWNWQMSRHSPAAAASSGFSNTSIPANHSSELPLASAAHHYYFNG >DRNTG_20641.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20468549:20472132:1 gene:DRNTG_20641 transcript:DRNTG_20641.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLTKEEFVHSLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQLLGKKAYDKAALRCNGREAVTNFEPNTYEGELLPEVDAGDADLDLNLRLSQPSVHNLEKDLNIMGLQLQGASFNDSDHRKARFADPSSHLSIQPNRLSLTNEESRIWSAPSGFLPMYEERTREKRPLETTSPAATLNWWNWQMSRHSPAAAASSGFSNTSIPANHSSELPLASAAHHYYFNG >DRNTG_20641.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20468549:20472132:1 gene:DRNTG_20641 transcript:DRNTG_20641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLNECSASLETRVAAEGSGTSESSVLNGPGDEDSCSNPAPMALSFGILRSAVEGEEEGEGENGVPVEEAEHVTRMFFPPALVAGDSATVKPPWTDPRFLPPSIGGMAPQQQRPPQVQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYDEDLKQMGNLTKEEFVHSLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDKAALRCNGREAVTNFEPNTYEGELLPEVDAGDADLDLNLRLSQPSVHNLEKDLNIMGLQLQGASFNDSDHRKARFADPSSHLSIQPNRLSLTNEESRIWSAPSGFLPMYEERTREKRPLETTSPAATLNWWNWQMSRHSPAAAASSGFSNTSIPANHSSELPLASAAHHYYFNG >DRNTG_13280.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2668081:2671416:1 gene:DRNTG_13280 transcript:DRNTG_13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKPYELARRILSRMGYGPHNIASPHRSIPPFGTIEPSHPGNESPLILAINHLPTDHSLSEMTSDQLDSLIFMDENGSPFIRLSNHLPTVIFMESIGKLLRVALKNDNSFAAYSERKSSSVYYTREAACSEHRRSNDGVGAARGGKGEGAQAANVARGEAERDEPAGDKNAKDRAEEMTVKEMDAVDDVAEQCSMAVNAIIHDARIQTDDPIQGCYRRISILQEHIRSLQEENSQLREKMWILQVQAASGSPSPSQNTIGENN >DRNTG_32274.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001815.1:138:6339:-1 gene:DRNTG_32274 transcript:DRNTG_32274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLRAPAKTLEKTSATSKKERHMRNGEKKRPVVARFLKEREEADQSLPRVRPKHKRAHVLIRDRDLPMELKYSLGVHFKIIVVIKSSVASTAIKYGKFCKYNYKLHTGQVLQLLQTVLFLKLQSEKGNCHLEGIMGEHDRAPPVLNLSTPIKEARGPCFN >DRNTG_34067.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002082.1:54683:61613:1 gene:DRNTG_34067 transcript:DRNTG_34067.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSSRSRPRDDGGTRRGLAAFLCGAGAGSAASSSSAAAASVPSQVSGKEPSAVFSQNNLSVPMNEDSRPCESSNCRLKNGLIKPINAESSNSGNSGKCLSESKELVPLPTNPSSGRDVTYVERNCNQASTYAKSDAAELPSTSGNGNGMLGAIMEPESPNNYCSQTYPLGYPSSIVQESIDLTTSAASSTVDATTEVLVIHSSDSNPISAISDSSPTPHLVREPTMESGSSDGASFTSTGPGNERDENVIHVDVVSISSNIVTSSTAEASDNEARRNSRRLFWDAFSRRSSRRNNDSLMIFSSADDTDDLESHSRWLLDISDDIFENGTEDEFSYLRRRRLGASGRRWHSRSEIRERIRGNIDDSNRQSAFCSSGLHPYGTCSCYSYITSEESSARASISRIVMLAEALFEVLDEIHRQPVSLSLSMVSLPAPESVVNSLPTKIHKKPMMDVGSDDVEQCYICLADYEDGDKIRLLPCHHEYHMACVDKWLKEIHGVCPLCRGDVCESAVDGSTS >DRNTG_17736.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4141237:4150012:-1 gene:DRNTG_17736 transcript:DRNTG_17736.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase VPS15 [Source:Projected from Arabidopsis thaliana (AT4G29380) UniProtKB/Swiss-Prot;Acc:Q9M0E5] MGNKIARTTQMSASEYYLHELPSSYNLVLIEVLGSGRFFKSILCKHDEGLLLVKVYFKRGDPLDLKEHERKLLEIREIFRKGDHPHVWPFQFWLETDKAAYLLRQYFFSNLHDRLSMLPKLSLIEKKWLAFQLLCAVKHSHENGVCHGDIKCENVLVTSWNWLYLADFASFKPTYIPDDDPSDFSFFFDTGGRRRCYLAPERFYEHGGVETQIPSDATLKPSMDIFSLGCVIAELFLGGQPLFELSQLLAYRRGQYDPMQSLEKIQDAGIRRMILHMIQLDPESRLSCESYLQTFASDVFPSYFSPCLHSVFSCLVPLDSDKRVEVIQRAFQEIHKQMISDRSTLDASAELFPDSSLGRNDLSHHMEPGRQNMTLMKHSLKDGENLANGLIGGHFQLVSDLNSLLKEVQQRNKNSHSKAMVEGASNAFLHHSGSDPARYALHAKHYKQLPSNELIQNSKQNKDEALSLERILKSNLIALISGYDIQSDTYNIPVLPREESQLSCEGMVLIASLLCSCIRSVKQPQLRRVGVLLLKSSSLYIDDEDRLQHVLPYVIAMLSDPAAIVRCAALETLCDILPLVQEFPPSDAKIFPEYILPMLSMLPEDPEESVRICYASNIFKIAFTAYRLLLQSKKSNEAGFRDKSLQKSQSPTAESHVNKDFDTQLSQLRRAIADVVQELVMGSKQTPTIRRALLQDIGHLCFFFGQRQSNDFLLPILPAFLNDRDEQLRTVFYGQIIFVCYFVGQRSVEEYLLPIY >DRNTG_17234.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2592562:2607885:-1 gene:DRNTG_17234 transcript:DRNTG_17234.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIVVGMNSVLVLKVATIFQLSTYEVATACALAVKADKLICIVDGQILDEQGRHIRFMTLEDADLLIRNRAKQSEIAANYVKAVYEEDFSYSDHPDANGTSEPPWNGKSFSKKVYITFQNGVGFDNGNGLWASEQGFAIGGEERLSRLNGYLSELAAAAFVCRGGVQRVHLLDGTQCGALLLELFTRDGVGTMVASDVYEGTRMATVTDLSGIRQVIQPLEAYGTLVQRTDEELLQTLDSFIVVERDGSIIACAALFPYLKEKCGEIAAIAVSPECRGNGQGDKLLGMLINMIVVSKLFIAIAMMILMMTLS >DRNTG_17234.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2592562:2607885:-1 gene:DRNTG_17234 transcript:DRNTG_17234.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWFQLLQTLDSFIVVERDGSIIACAALFPYLKEKCGEIAAIAVSPECRGNGQGDKLLDYMEKKASSLGLEKLFLLTTRTADWFVRRGFRECSIEHIPKERRERINFSRGSKYYVKELQPELGGITVDVFGHRK >DRNTG_17234.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2592562:2607885:-1 gene:DRNTG_17234 transcript:DRNTG_17234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAPFLIAGPSRGGISIPQLDSRFPVSLMPLFPLARCGVRLGRRSIGCALDDAVRESVSAGYSSSENKQFVAWFRQAWPYIRGHRGSTFVVVISGEIVDSPHFDSILQDISLLHGLGIKFILVPATHVLIDKLLKERGSKVKYVGRYRITDSDALEASMEASGRARVAMEAKLSPGPCILNLRRHGDNSRWHELGVSVESGNYLSAKRRGVVKGIDFGETGEVKKIAVSRIREQLDKDCIVIISNLGYSSTGEVLNCNTYEVATACALAVKADKLICIVDGQILDEQGRHIRFMTLEDADLLIRNRAKQSEIAANYVKAVYEEDFSYSDHPDANGTSEPPWNGKSFSKKVYITFQNGVGFDNGNGLWASEQGFAIGGEERLSRLNGYLSELAAAAFVCRGGVQRVHLLDGTQCGALLLELFTRDGVGTMVASDVYEGTRMATVTDLSGIRQVIQPLEAYGTLVQRTDEELLQTLDSFIVVERDGSIIACAALFPYLKEKCGEIAAIAVSPECRGNGQGDKLLDYMEKKASSLGLEKLFLLTTRTADWFVRRGFRECSIEHIPKERRERINFSRGSKYYVKELQPELGGITVDVFGHRK >DRNTG_17234.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2597350:2605617:-1 gene:DRNTG_17234 transcript:DRNTG_17234.4 gene_biotype:protein_coding transcript_biotype:protein_coding GSKVKYVGRYRITDSDALEASMEASGRARVAMEAKLSPGPCILNLRRHGDNSRWHELGVSVESGNYLSAKYI >DRNTG_29908.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21354053:21354542:1 gene:DRNTG_29908 transcript:DRNTG_29908.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNLLWIITSPYNIFSRMIALQLNFFSNPDPALHWSYSNLIHDIQSLFNTHGQPKFHAIPPSV >DRNTG_01930.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32431952:32435881:-1 gene:DRNTG_01930 transcript:DRNTG_01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRRRLPSKPTASDRIPAPSPVRIQASDALPLPIRHTNKIFSALFMAALYFLMCRWREKVRTSTPLHLLTLSEIFAFVSLVASLIYLISFFGITYVQSSIPSNEDEIDEGVVVDDRHFPPTSSAQSANPPPPPCPLLVDNSDAKIPSFYPDDNDEEIVSSVVSGKTPSYILESKLGDCQRAAGVRREALRRITGSAMQGLPLHGFDYQSILGQCCEMPIGYVTLPVGIAGPLSLDGRTYYLPMATTEGCLVASTNRGCKAIAVSGGAESVVLRDGMTRAPVVRFGSAKRAAQLKFFLEDSSNSDTLTVVFNRSSRFARFQGIQCALAGKNVYMRFSCSTGDAMGMNMVSKGVQNVLDYLQDDFPDMEVISISGNFCSDKKPAAVNWIEGRGKSVVCEAIIKEEVVMKVLKTSVPALVELNTLKNLAGSAVAGALGGFNAHASNIVSAIFIATGQDPAQNVESSHCITMMEAVNEGKDLHISVTMPSIEVGTVGGGTQLAAQAACLDLLGVKGANLESPGANSRLLATIIAGAVLAGELSLMSALAAGQLVKSHMKYNRSSRDISQVAAAAVAAAAGTKNH >DRNTG_02251.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1035294:1035711:-1 gene:DRNTG_02251 transcript:DRNTG_02251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHANEDQSSSSPPFSKSLINMQISNLA >DRNTG_15279.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4537951:4541139:1 gene:DRNTG_15279 transcript:DRNTG_15279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLDVVMAVLKKQTIEEMVSELAFLAALLWIALLVGLLVGWAWRPRWVGNFVGEKGSSGGVLKTASDSLVAGESSGNEENGVDLMKSKVPELAVTSEDLDHLCKLVEMTDGGPSWHQMMDKSLPNMSYQAWRREPETGPPQYRSRTVYEDVTPEIVRDFFWDDEFRLKNKWDDMLLHYSTLEECPTTGTMVVHWIRKFPFFCSDREYIIGRRIWESERTYYCVTKGVPYPSVPRRDKPKRVDLYYSSWCIRPVESRRGDGQLTACEVILFHHEDMGIPRELAKLGVRQGMWGLVKKIEPGLRAYQIERQAGTPPSRCAFMAQINTKINSDYLRSLDTSNNVSDIVEAEDEKRQKNSIPRFLIIGGAVALACTLDRGLLTKAIIFGVARRLGR >DRNTG_31052.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30628250:30630319:1 gene:DRNTG_31052 transcript:DRNTG_31052.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIDPEDNELEVLEIIHHFVEILDRYFGSVCELDLIFNFHKAYYVLDEILIAGELQESSKKTVARLIASQDSLVETAKEQASSISNMIAQATK >DRNTG_31052.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30627890:30630319:1 gene:DRNTG_31052 transcript:DRNTG_31052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIDPEDNELEVLEIIHHFVEILDRYFGSVCELDLIFNFHKAYYVLDEILIAGELQESSKKTVARLIASQDSLVETAKEQASSISNMIAQATK >DRNTG_16584.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:127438:129842:1 gene:DRNTG_16584 transcript:DRNTG_16584.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHPIPGAKHILQSLSLFCNLYVVTSRQNAIKDQTLEWIEKHYPGLFQEVHFGNYFALNGQSRSKSEICRSLGAQVLIDDNPQFALECAEAGTKVLLFDYDNSYPWCKSGTAASHPQVTIVQNWREVKQHLLSWTKCPENNKYA >DRNTG_16584.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:127438:129842:1 gene:DRNTG_16584 transcript:DRNTG_16584.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHPIPGAKHILQSLSLFCNLYVVTSRQNAIKDQTLEWIEKHYPGLFQEVHFGNYFALNGQSRSKSEICRSLGAQVLIDDNPQFALECAEAGTKVLLFDYDNSYPWCKSGTAASHPQVTIVQNWREVKQHLLSWTKCPENNKYA >DRNTG_16584.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:127438:129842:1 gene:DRNTG_16584 transcript:DRNTG_16584.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNTIVFSNGLYGFRHFSEASERYRVHKLSCLVTAAAFRAGSRGAIAMSLKCRSLDHVGRKIDDLGKAHTMSPLPQLAHEPNGSLKLVNGHSARSPLVFSEHSLTEKIVVAVDVDEVLGSFLPAVNKFIADRYSLNHSLADYFVYEFYKIWNCSPAEADIRVHEFFETSYFKMGIHPIPGAKHILQSLSLFCNLYVVTSRQNAIKDQTLEWIEKHYPGLFQEVHFGNYFALNGQSRSKSEICRSLGAQVLIDDNPQFALECAEAGTKVLLFDYDNSYPWCKSGTAASHPQVTIVQNWREVKQHLLSWTKCPENNKYA >DRNTG_16069.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25656257:25657343:1 gene:DRNTG_16069 transcript:DRNTG_16069.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFITGDMLRQDDFPGTEQMRRLLLGKAAETPAASINGNRTHDGAGVGGNDANFDTNMVIILAALLCALICALGLNSIVRCALRCGRRIAFETPEETAARLAGTGLKKRALRQIPVAVYGPEAGILATDCPICLGEFADGEKVRVLPKCNHGFHVRCIDTWLSSHSSCPTCRHSLLDRTAVEGPPETGGDAPVPVTSPEDYVVVDVRSSS >DRNTG_16069.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25656257:25659115:1 gene:DRNTG_16069 transcript:DRNTG_16069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFITGDMLRQDDFPGTEQMRRLLLGKAAETPAASINGNRTHDGAGVGGNDANFDTNMVIILAALLCALICALGLNSIVRCALRCGRRIAFETPEETAARLAGTGLKKRALRQIPVAVYGPEAGILATDCPICLGEFADGEKVRVLPKCNHGFHVRCIDTWLSSHSSCPTCRHSLLDRTAVEGPPETGGDAPVPVTSPEDYVVVDVRSSS >DRNTG_31049.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30604504:30607268:1 gene:DRNTG_31049 transcript:DRNTG_31049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSQTIVKFPPHIVKHPSSSSPAFATSLTHVKPQSFLPLPRRLFLPSASAIWDALTGRDPAREASLAVRRGMQLFRQGDVSGSLAEFDKAIELDPRQKAYLWQRGLSLYYLNRFEEGAEQFRLDVAQNPNDTEESIWCFLCEAQLYGVEEARKRFLEVGRDSRPVMREAYNLFKDGGDPELLVSKFLSGRVDEYFYASLYAGLYHESQNDMDAAKHHLIAACQSPYGSRSDDYMASLAKVHCLCRNWDLK >DRNTG_23194.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001261.1:77840:78282:1 gene:DRNTG_23194 transcript:DRNTG_23194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDQSNIYISNGIHKQTKKSPNIKTDCKRSFLYLQ >DRNTG_28000.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001422.1:30953:32231:1 gene:DRNTG_28000 transcript:DRNTG_28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRSYQIVLIAIFVAVLSTGSSAQSLSSCIPDLTTLQTMLELHHRQRVFAVKFMLFTISSSRKIRSSMPLFAYQCQYNSFRQH >DRNTG_31855.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001756.1:2533:5557:1 gene:DRNTG_31855 transcript:DRNTG_31855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPPIALYRTKHGVEGHQTAPNPCQSLSKP >DRNTG_05317.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1956887:1962367:-1 gene:DRNTG_05317 transcript:DRNTG_05317.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIEDVIIVGAGLCGLATALGLHRKGVKSVVLESSEVLRAAGFAFSTWTNAWKALEALGIADELRQHHLRLEGEGPEMRCVRRDLLLETLAKELPSDTIRYSSKVVLIEESGNLKVLHLSNGSTLKTKVLIGCDGVSSVVSKWLGLKKPSFAGRSATRGFTEFPDGHGFNPEFVQLFGQGFRAGIMPCDEKSMYWYFNWSSSSKDKEMEKDATKMRQFVITKMQEANVPHNFIQLIEKSDMGDSVSFPLKFRWPWELLWRNICKGNVTVAGDALHPMTPELGQGGCAALEDAVVLARCLAQALKGNDNGDAKEDEYVRVKSGLENYVAERKWRDFDLVFTSYVFGSIQQGDNAFTRFLTEKMLVGIMARTKIKKTYYDCGKL >DRNTG_05317.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1956887:1957662:-1 gene:DRNTG_05317 transcript:DRNTG_05317.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKEMEKDATKMRQFVITKMQEANVPHNFIQLIEKSDMGDSVSFPLKFRWPWELLWRNICKGNVTVAGDALHPMTPELGQGGCAALEDAVVLARCLAQALKGNDNGDAKEDEYVRVKSGLENYVAERKWRDFDLVFTSYVFGSIQQGDNAFTRFLTEKMLVGIMARTKIKKTYYDCGKL >DRNTG_06494.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000290.1:1850:55500:-1 gene:DRNTG_06494 transcript:DRNTG_06494.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNLEYDRSNPKDASTSSINVSIGGSRSNNNLLQLPNGNSSSISYAISSPR >DRNTG_32468.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2538813:2544668:1 gene:DRNTG_32468 transcript:DRNTG_32468.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQAALRPATFEEVRSSSSPEDSSPPSIAAQAIRASAAHRDSSLSSAYGESAIASAYGRRSSSSPPKEATTYEYTSMRNLNESKYGFWGILARKAKSILDDDNAAQRFESHGRNQTQMPDTAMGSKLHQPDVSSEGNRKKENHKSTEAIASSLNYIGGTIKNAFEEGLTIVENRTADIIQETRKLQIRRKGNGSGAQNLATDFPQMQADHETQLKASRDVANAMAAKAKLLLRELKTVKADLAFAKERCAQLEEENKILRESRDKGDNPEDDDLIRLQLETLLAEKARLAHENSIYARENRFLREIVEYHQLTMQDVVYVDEGIEEVTEVYPVHNPPSLSRSSSGVPSPVVSNTNPTTPSPPQPTPLQNTIVKPEACLIIPVPPTLPPLIVRETQSVATKATGPPSSLSPTSPTA >DRNTG_30461.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18648331:18649410:-1 gene:DRNTG_30461 transcript:DRNTG_30461.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLPNESLTVLETPVPHFSDRSQSDPSSKVTVTGAVLIDNSVLQVLKEPKRLASISSQGALKRDKNGKGIVIEASGSVTGQMLATVPM >DRNTG_30461.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18648560:18649410:-1 gene:DRNTG_30461 transcript:DRNTG_30461.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLPNESLTVLETPVPHFSDRSQSDPSSKVTVTGAVLIDNSVLQVLKEPKRLASISSQGALKRDKNGKGIVIEASGSVTGQMLATVPM >DRNTG_07114.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22229953:22233221:1 gene:DRNTG_07114 transcript:DRNTG_07114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWPRRKRRPNSHEFHGRRKGDEVCSPSNGYRPNNRSPSTLCASHSCFSSVHNAR >DRNTG_17581.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3532959:3537269:1 gene:DRNTG_17581 transcript:DRNTG_17581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSLLRSAATAGGVAAARGENPITAFIIPTETKSRTYAKAAGGKAKTTTKGKGKVKETRAGPAADDAAESASLDDINAEFELPTDPLPPTYDPLLDVGPEGRPLFSCVDSFSRVSYRDAPIYVDFSLEEWKAMLPEGIPAGLTKEFEETRRCAVMVRRRFLNLRDNFRRIVDPPIWANNKSLKVQKQIVLDGPVSCGKSIALAMLVHWARSEGWLVFYVPKGREWTHGGFFYRNPHSNLWDTPVQAAKILQDFLKFNESRLQQLPCQIFDPIPLGEGAGVGMMKGADSMAMPEGSTLFDLIQTGITYTHASVGVVVRLRKELSLVKEVPVLFAIDQYNNWFTFSEYEEPITVRSCRPIHAKELATVNAFRSMMHGDMMIGAFSHSTAVGKLRQELPDVPFDARVMFPRYDLDEAAVVSHYYLRQKLIRREKFSDEKWKKIYYLSNGNGSEMRWLAPFI >DRNTG_22657.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20514550:20521541:-1 gene:DRNTG_22657 transcript:DRNTG_22657.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDEPEIEPSGPSKESNQPGIQSKPTESTRQAASADLPSIIQQHKIVARGLKEKEMDKPEEGLASAVVSAERSQPAGGGGSRRLSVQDRINLFESKQKELSATGSVTNSGSGGGGINKVASGKVELRRLPSDASMEKSVLRRWSGASDMSIDLGNNNSNSERRESGHVAVTPSSSVPKSQSDTFEAKEGGWMKDSAASQSSSGWEDHPPDTSSSLPSSQSQFDVHPKDRDQNVVSRENTTFDALPIRQMEKEQGDTKTHCSAGGTSDPFASHNQPKGFEKPCESVGLKYPLTSSTISLDAGGGREHAALKNPSRAGQFVQKDQEIFKSQSKSFQTRFGDVGERDKLSSTTQLKLFPRSKDVEEKLKSQSDSQRQTKDSSISREVDQVNSQSQWNNIHPKSEEVVKKAISVEGTQVGSLRVEDEESRLQGVKLLRQTSIPEQAKSSYGSRVGRKPTQGNSSSALSVARGNANMENIIPPSTSGEQLLVERPSKGNQELNNELQMKADELEKLFAAHKLRAHGDQSGSSRRSKQADIQSDLVTKGVEKPQTEPFSDDLVETGNANNGVDFDPTMLLKMVDNQDYVNNMKQKLGSASPSSECRGKFYDKYMQKRDTKLREESGSKRAQKEAKMKAMNDSLELSHAEMRAKSVGLASRQDQTRARRRADRRRSFSAHATMKIKDQTAEFLPGEDEDLAEFSEQDKSYDDGSSMSLHLKKLASSRTVSSSTPRTSAAQISRTSARATNSSLTRRRTQSENPLAQSVPNFSDLRKENTKPSGAVLKANSRAQSRITRSKSSNEEANHIKEEKSHRSQSLRKSTAIVGEIKSTSPLNSDSANMTPIGFSKEQIEQVNRYPMSGDSKTYLRKGNGIGPGAGAGIAKLKASMTSEMLEKVEDSDMLADMVRDEEEYENPSIEGNCGPLDYPGDSDSEKPRLSQDSGNSHDLGSDNADILRSPSQADNEPAVLSSKFDTTARNVQESPGESPGSWNSRVHHSFSYTQEASDVDASMDSPTGSSASWNSHPLNQMMEADVARMRKKWGSAQIPILVASASHQSRKDVTKGFKRLLKFGRKSRGTESLLPDWVSASTASEGDDDTEDGRDLGTRTPDEFRKSRMGYSVSSYEGFTEGEAFNEQVQSLRSSIPTPPANFKLRDDHISGSSLKAPRSFFSLSSFRSKGSESKFR >DRNTG_22657.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20514550:20523045:-1 gene:DRNTG_22657 transcript:DRNTG_22657.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSEACNKFISLCQRRPELIDHHNQSPVWKGFEDGNVRVSSSSDMSIDEPEIEPSGPSKESNQPGIQSKPTESTRQAASADLPSIIQQHKIVARGLKEKEMDKPEEGLASAVVSAERSQPAGGGGSRRLSVQDRINLFESKQKELSATGSVTNSGSGGGGINKVASGKVELRRLPSDASMEKSVLRRWSGASDMSIDLGNNNSNSERRESGHVAVTPSSSVPKSQSDTFEAKEGGWMKDSAASQSSSGWEDHPPDTSSSLPSSQSQFDVHPKDRDQNVVSRENTTFDALPIRQMEKEQGDTKTHCSAGGTSDPFASHNQPKGFEKPCESVGLKYPLTSSTISLDAGGGREHAALKNPSRAGQFVQKDQEIFKSQSKSFQTRFGDVGERDKLSSTTQLKLFPRSKDVEEKLKSQSDSQRQTKDSSISREVDQVNSQSQWNNIHPKSEEVVKKAISVEGTQVGSLRVEDEESRLQGVKLLRQTSIPEQAKSSYGSRVGRKPTQGNSSSALSVARGNANMENIIPPSTSGEQLLVERPSKGNQELNNELQMKADELEKLFAAHKLRAHGDQSGSSRRSKQADIQSDLVTKGVEKPQTEPFSDDLVETGNANNGVDFDPTMLLKMVDNQDYVNNMKQKLGSASPSSECRGKFYDKYMQKRDTKLREESGSKRAQKEAKMKAMNDSLELSHAEMRAKSVGLASRQDQTRARRRADRRRSFSAHATMKIKDQTAEFLPGEDEDLAEFSEQDKSYDDGSSMSLHLKKLASSRTVSSSTPRTSAAQISRTSARATNSSLTRRRTQSENPLAQSVPNFSDLRKENTKPSGAVLKANSRAQSRITRSKSSNEEANHIKEEKSHRSQSLRKSTAIVGEIKSTSPLNSDSANMTPIGFSKEQIEQVNRYPMSGDSKTYLRKGNGIGPGAGAGIAKLKASMTSEMLEKVEDSDMLADMVRDEEEYENPSIEGNCGPLDYPGDSDSEKPRLSQDSGNSHDLGSDNADILRSPSQADNEPAVLSSKFDTTARNVQESPGESPGSWNSRVHHSFSYTQEASDVDASMDSPTGSSASWNSHPLNQMMEADVARMRKKWGSAQIPILVASASHQSRKDVTKGFKRLLKFGRKSRGTESLLPDWVSASTASEGDDDTEDGRDLGTRTPDEFRKSRMGYSVSSYEGFTEGEAFNEQVQSLRSSIPTPPANFKLRDDHISGSSLKAPRSFFSLSSFRSKGSESKFR >DRNTG_22657.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20514550:20523045:-1 gene:DRNTG_22657 transcript:DRNTG_22657.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDTPLDYALFQLSPRRSRCELFVSGDGTTEKLASGFFKPFASHLKVAEEQASQAVQFIKLEVERQRNAGTWFNKGTLERFVRFVSTPEVLELVNTFDAELSQLEGARKIYSQGGGDQVSGGKESSTPAVADITKKELLRAIDVRLAAVKQDLTVACARASAAGFTHDSISELYCFAERFGAHRLNEACNKFISLCQRRPELIDHHNQSPVWKGFEDGNVRVSSSSDMSIDEPEIEPSGPSKESNQPGIQSKPTESTRQAASADLPSIIQQHKIVARGLKEKEMDKPEEGLASAVVSAERSQPAGGGGSRRLSVQDRINLFESKQKELSATGSVTNSGSGGGGINKVASGKVELRRLPSDASMEKSVLRRWSGASDMSIDLGNNNSNSERRESGHVAVTPSSSVPKSQSDTFEAKEGGWMKDSAASQSSSGWEDHPPDTSSSLPSSQSQFDVHPKDRDQNVVSRENTTFDALPIRQMEKEQGDTKTHCSAGGTSDPFASHNQPKGFEKPCESVGLKYPLTSSTISLDAGGGREHAALKNPSRAGQFVQKDQEIFKSQSKSFQTRFGDVGERDKLSSTTQLKLFPRSKDVEEKLKSQSDSQRQTKDSSISREVDQVNSQSQWNNIHPKSEEVVKKAISVEGTQVGSLRVEDEESRLQGVKLLRQTSIPEQAKSSYGSRVGRKPTQGNSSSALSVARGNANMENIIPPSTSGEQLLVERPSKGNQELNNELQMKADELEKLFAAHKLRAHGDQSGSSRRSKQADIQSDLVTKGVEKPQTEPFSDDLVETGNANNGVDFDPTMLLKMVDNQDYVNNMKQKLGSASPSSECRGKFYDKYMQKRDTKLREESGSKRAQKEAKMKAMNDSLELSHAEMRAKSVGLASRQDQTRARRRADRRRSFSAHATMKIKDQTAEFLPGEDEDLAEFSEQDKSYDDGSSMSLHLKKLASSRTVSSSTPRTSAAQISRTSARATNSSLTRRRTQSENPLAQSVPNFSDLRKENTKPSGAVLKANSRAQSRITRSKSSNEEANHIKEEKSHRSQSLRKSTAIVGEIKSTSPLNSDSANMTPIGFSKEQIEQVNRYPMSGDSKTYLRKGNGIGPGAGAGIAKLKASMTSEMLEKVEDSDMLADMVRDEEEYENPSIEGNCGPLDYPGDSDSEKPRLSQDSGNSHDLGSDNADILRSPSQADNEPAVLSSKFDTTARNVQESPGESPGSWNSRVHHSFSYTQEASDVDASMDSPTGSSASWNSHPLNQMMEADVARMRKKWGSAQIPILVASASHQSRKDVTKGFKRLLKFGRKSRGTESLLPDWVSASTASEGDDDTEDGRDLGTRTPDEFRKSRMGYSVSSYEGFTEGEAFNEQVQSLRSSIPTPPANFKLRDDHISGSSLKAPRSFFSLSSFRSKGSESKFR >DRNTG_22657.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20514550:20521541:-1 gene:DRNTG_22657 transcript:DRNTG_22657.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSEACNKFISLCQRRPELIDHHNQSPVWKGFEDGNVRVSSSSDMSIDEPEIEPSGPSKESNQPGIQSKPTESTRQAASADLPSIIQQHKIVARGLKEKEMDKPEEGLASAVVSAERSQPAGGGGSRRLSVQDRINLFESKQKELSATGSVTNSGSGGGGINKVASGKVELRRLPSDASMEKSVLRRWSGASDMSIDLGNNNSNSERRESGHVAVTPSSSVPKSQSDTFEAKEGGWMKDSAASQSSSGWEDHPPDTSSSLPSSQSQFDVHPKDRDQNVVSRENTTFDALPIRQMEKEQGDTKTHCSAGGTSDPFASHNQPKGFEKPCESVGLKYPLTSSTISLDAGGGREHAALKNPSRAGQFVQKDQEIFKSQSKSFQTRFGDVGERDKLSSTTQLKLFPRSKDVEEKLKSQSDSQRQTKDSSISREVDQVNSQSQWNNIHPKSEEVVKKAISVEGTQVGSLRVEDEESRLQGVKLLRQTSIPEQAKSSYGSRVGRKPTQGNSSSALSVARGNANMENIIPPSTSGEQLLVERPSKGNQELNNELQMKADELEKLFAAHKLRAHGDQSGSSRRSKQADIQSDLVTKGVEKPQTEPFSDDLVETGNANNGVDFDPTMLLKMVDNQDYVNNMKQKLGSASPSSECRGKFYDKYMQKRDTKLREESGSKRAQKEAKMKAMNDSLELSHAEMRAKSVGLASRQDQTRARRRADRRRSFSAHATMKIKDQTAEFLPGEDEDLAEFSEQDKSYDDGSSMSLHLKKLASSRTVSSSTPRTSAAQISRTSARATNSSLTRRRTQSENPLAQSVPNFSDLRKENTKPSGAVLKANSRAQSRITRSKSSNEEANHIKEEKSHRSQSLRKSTAIVGEIKSTSPLNSDSANMTPIGFSKEQIEQVNRYPMSGDSKTYLRKGNGIGPGAGAGIAKLKASMTSEMLEKVEDSDMLADMVRDEEEYENPSIEGNCGPLDYPGDSDSEKPRLSQDSGNSHDLGSDNADILRSPSQADNEPAVLSSKFDTTARNVQESPGESPGSWNSRVHHSFSYTQEASDVDASMDSPTGSSASWNSHPLNQMMEADVARMRKKWGSAQIPILVASASHQSRKDVTKGFKRLLKFGRKSRGTESLLPDWVSASTASEGDDDTEDGRDLGTRTPDEFRKSRMGYSVSSYEGFTEGEAFNEQVQSLRSSIPTPPANFKLRDDHISGSSLKAPRSFFSLSSFRSKGSESKFR >DRNTG_22657.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20507329:20521541:-1 gene:DRNTG_22657 transcript:DRNTG_22657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHSHHNTPASLSPMRSRHASPMRSFDQSEFSWQVEPTGWREAGGFAAALSPWTPTPSRTPAVFTSGSARDFYLSGTSDRPAAADGRLELNSYIARAPLHSQDNFYSVEASVSSIFPTVFGGRRRGRPRPPPPRHRRHHANTDSNMSLASKDELSFIEYSVAEPSYAVPQSTHRNLIDPAAGGHRRYLRQYDNVSLSHDYIVETPGSHVSPKMDEEYYEDEEMMQHGMLVRGHGHHADEHVRYHVDGEYYGDEGGDYHHGDDSYDDDDDDDDDDDDEVKGPKPVGLFSLFKYSSKWDMVLVVLGCIGALINGGSLPWYSYLFGDFVNKIALDSVTDKVKMMEDVKKVCLYMVWLAAIVVVGAYMQITCWRIVGERSSQRIRTEYLRAILRQDIGFFDTEVTTGDIMHGISSDVAQIQEVMGEKMAHFVHHICTFICGYVVGFIKAWKVALVVFTVIPLMMFCGIAYKAVYVGLTSKEEVSYRKAGNIAQQAISCIRTVFSFVMEGKLADKYAEWLEESSPIGVKIGFAKGAGMGVIYLVTYSQWALAFWYGSLLVARGEISGGAAIACFFGVNVGGRGLALSLSYFAQFAQGTVAASRVFEIIDRLPVIDPYNDDGRTLSRVRGYIEFKGVNFAYPSRPDAVIFRAFDLTIPASKTLALVGASGGGKSTIFALIERFYDPNEGFICLDGHDIRTLSVKWLREQIGMLGQEPALFSTSIIENVMMGKLNASRKEAIAACHAANAHNFISSLPSGYDTMVGDRGTQLSGGQKQRIALARAIIKKPKILLLDEPTSALDPESETIVQQAIDTISAGRTTIVIAHRLATVRNADSIIVLDRGTVIESGTHDRLMSLDGIYTNLIKLASHANISITAAATATSPISQVNSPLVRKVNFDLSTYSVNDPSQLKSMVQGQVDQVDNVDLPPKQSKKLHTSEIWKLQRPEIPLLLIGFLLGMNAGAILSIFPLILGQALQIYFTESDNSSKMKRDVGYLALALVGLGFGCIVSMTGQQGFCGWAGTRLTKRVRDLLFRAILRQEPGWFDKEENSTGILISRLSIDCMAFRSALGDRYSVILMGLSSAAVGLTVSFLLDWRLTLVAMAVMPFTLGASYFSLIINVGPRLNNGAYEQASSIASGALSNIRTVTTFSAQEYIVMSFNKALAEPMNKSMSRAQVTGLAHGLCQGAMYASYTVTLYVGSLLIKKGYSSFGDVYKIFLILVLGSFSVGQLAGLAPDTSAAPAAIQAVLRILGRRPKIVWDRAMPKKIEPLRMLDVELKNVVFSYPARPGLPVLIDFSVRVKGGSKLAIVGASGSGKSTVIWLVQRFYDPDSGRVMVGGVDVRDMDVKWLRRECALVGQEPALFAGSIRENIGFGNPKASWAEIEEAAKQAFIHKFISGLPQGYETQVGESGVQLSGGQKQRIAIARAILKASRILLLDEASSALDLESEKHVQEALKKASKRATTIVVAHRLATIREADRIAVVKDGKVAEYGSHDSLLANQINGTYGAMVRAEMEAQALN >DRNTG_22657.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20514550:20523045:-1 gene:DRNTG_22657 transcript:DRNTG_22657.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSEACNKFISLCQRRPELIDHHNQSPVWKGFEDGNVRVSSSSDMSIDEPEIEPSGPSKESNQPGIQSKPTESTRQAASADLPSIIQQHKIVARGLKEKEMDKPEEGLASAVVSAERSQPAGGGGSRRLSVQDRINLFESKQKELSATGSVTNSGSGGGGINKVASGKVELRRLPSDASMEKSVLRRWSGASDMSIDLGNNNSNSERRESGHVAVTPSSSVPKSQSDTFEAKEGGWMKDSAASQSSSGWEDHPPDTSSSLPSSQSQFDVHPKDRDQNVVSRENTTFDALPIRQMEKEQGDTKTHCSAGGTSDPFASHNQPKGFEKPCESVGLKYPLTSSTISLDAGGGREHAALKNPSRAGQFVQKDQEIFKSQSKSFQTRFGDVGERDKLSSTTQLKLFPRSKDVEEKLKSQSDSQRQTKDSSISREVDQVNSQSQWNNIHPKSEEVVKKAISVEGTQVGSLRVEDEESRLQGVKLLRQTSIPEQAKSSYGSRVGRKPTQGNSSSALSVARGNANMENIIPPSTSGEQLLVERPSKGNQELNNELQMKADELEKLFAAHKLRAHGDQSGSSRRSKQADIQSDLVTKGVEKPQTEPFSDDLVETGNANNGVDFDPTMLLKMVDNQDYVNNMKQKLGSASPSSECRGKFYDKYMQKRDTKLREESGSKRAQKEAKMKAMNDSLELSHAEMRAKSVGLASRQDQTRARRRADRRRSFSAHATMKIKDQTAEFLPGEDEDLAEFSEQDKSYDDGSSMSLHLKKLASSRTVSSSTPRTSAAQISRTSARATNSSLTRRRTQSENPLAQSVPNFSDLRKENTKPSGAVLKANSRAQSRITRSKSSNEEANHIKEEKSHRSQSLRKSTAIVGEIKSTSPLNSDSANMTPIGFSKEQIEQVNRYPMSGDSKTYLRKGNGIGPGAGAGIAKLKASMTSEMLEKVEDSDMLADMVRDEEEYENPSIEGNCGPLDYPGDSDSEKPRLSQDSGNSHDLGSDNADILRSPSQADNEPAVLSSKFDTTARNVQESPGESPGSWNSRVHHSFSYTQEASDVDASMDSPTGSSASWNSHPLNQMMEADVARMRKKWGSAQIPILVASASHQSRKDVTKGFKRLLKFGRKSRGTESLLPDWVSASTASEGDDDTEDGRDLGTRTPDEFRKSRMGYSVSSYEGFTEGEAFNEQVQSLRSSIPTPPANFKLRDDHISGSSLKAPRSFFSLSSFRSKGSESKFR >DRNTG_22657.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20514550:20523045:-1 gene:DRNTG_22657 transcript:DRNTG_22657.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDEPEIEPSGPSKESNQPGIQSKPTESTRQAASADLPSIIQQHKIVARGLKEKEMDKPEEGLASAVVSAERSQPAGGGGSRRLSVQDRINLFESKQKELSATGSVTNSGSGGGGINKVASGKVELRRLPSDASMEKSVLRRWSGASDMSIDLGNNNSNSERRESGHVAVTPSSSVPKSQSDTFEAKEGGWMKDSAASQSSSGWEDHPPDTSSSLPSSQSQFDVHPKDRDQNVVSRENTTFDALPIRQMEKEQGDTKTHCSAGGTSDPFASHNQPKGFEKPCESVGLKYPLTSSTISLDAGGGREHAALKNPSRAGQFVQKDQEIFKSQSKSFQTRFGDVGERDKLSSTTQLKLFPRSKDVEEKLKSQSDSQRQTKDSSISREVDQVNSQSQWNNIHPKSEEVVKKAISVEGTQVGSLRVEDEESRLQGVKLLRQTSIPEQAKSSYGSRVGRKPTQGNSSSALSVARGNANMENIIPPSTSGEQLLVERPSKGNQELNNELQMKADELEKLFAAHKLRAHGDQSGSSRRSKQADIQSDLVTKGVEKPQTEPFSDDLVETGNANNGVDFDPTMLLKMVDNQDYVNNMKQKLGSASPSSECRGKFYDKYMQKRDTKLREESGSKRAQKEAKMKAMNDSLELSHAEMRAKSVGLASRQDQTRARRRADRRRSFSAHATMKIKDQTAEFLPGEDEDLAEFSEQDKSYDDGSSMSLHLKKLASSRTVSSSTPRTSAAQISRTSARATNSSLTRRRTQSENPLAQSVPNFSDLRKENTKPSGAVLKANSRAQSRITRSKSSNEEANHIKEEKSHRSQSLRKSTAIVGEIKSTSPLNSDSANMTPIGFSKEQIEQVNRYPMSGDSKTYLRKGNGIGPGAGAGIAKLKASMTSEMLEKVEDSDMLADMVRDEEEYENPSIEGNCGPLDYPGDSDSEKPRLSQDSGNSHDLGSDNADILRSPSQADNEPAVLSSKFDTTARNVQESPGESPGSWNSRVHHSFSYTQEASDVDASMDSPTGSSASWNSHPLNQMMEADVARMRKKWGSAQIPILVASASHQSRKDVTKGFKRLLKFGRKSRGTESLLPDWVSASTASEGDDDTEDGRDLGTRTPDEFRKSRMGYSVSSYEGFTEGEAFNEQVQSLRSSIPTPPANFKLRDDHISGSSLKAPRSFFSLSSFRSKGSESKFR >DRNTG_04756.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15241300:15242975:-1 gene:DRNTG_04756 transcript:DRNTG_04756.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTPQGSHILSQPHFHTPVLEVLYPQSPEPLPVFELAQPFGGAQGQSHSSILTYAHRTRSPSTYPSLKKQSDPRHKRVTVYVSSMKSMDSESILGQLL >DRNTG_33129.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20946134:20948411:-1 gene:DRNTG_33129 transcript:DRNTG_33129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEIPISVAMNAKLIGCGEQTVVLSHGYGANQSIWDNILPILAQTYRVLLFDWNFSSTIQHPQLFDSLHNSFFTAFADDLISLSDEMNLKNTVFIGHSMGGMIGCIASVKRPDIFSQLILIGSSPRYLNEKNYEGGFSKDEVDNILSNIESNFETWAINFATLVVGVNNPNSIEKFSKKLTKYEAGSSPLSCTKCVPW >DRNTG_29499.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21119815:21125312:-1 gene:DRNTG_29499 transcript:DRNTG_29499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLISSLFKLSSEFETPGATILGWLVTGSFGLFAVIYAFLKLQRQTSLNWVKAAARAKKKVWKKFNFSKSHHSWNEDDGCNGQPSTCCVCLTSLVSLQAPVAKDGHTISLLRCSVCGVGAHSHCSKFATKDCKCMAQAGSLNLLHHWSERWVESDENPEVSAFCYYCDEPCGFPFLGGAPVWRCLWCQCLIHTSCHAKLSKETGDICDLGPLRRLVLSPLSVSEINDSGAPGGMLSSIKEEIIASSVRGRMTRKRNRNKNGSNHTNNKVNNAAADANLTLKSVLNGLVRLEKSCNGLSNGYNLKQASGLESTKSKQNGINRKNGCIINLGQKKQYKLVDLPQDARPLLVFINAKSGAQSGPSLKRRLNMLLNPVQVFELSSSQGPEVGLDLFRNVQYFRVLVCGGDGTVAWVLDAIERENFVSPPPVAILPLGTGNDLSRVLQWGGGLSSVEGQGGLAALLHDIDRAAVTMLDHWNVTITEEAVGQTQQKRQMKFMTNYLGVGCDAKVAYDFHMTREERPDKFYSQFVNKLRYAKEGAKDIVDRACAELPWKVSLQVDGNDIEIPEDAEGVLVLNIGSYMGGVDLWQNDYEHNDDFELQSMHDEMLEVVCISGTWHLSKLQVGLSQARRLAQGKVIRLHLDSPFPVQVDGEPWIQQPGCIEITHRGQVFMLRRASEEPTGHAAAIMTEVLVNAECNGIINTAQKRLLLQQMALRLA >DRNTG_29499.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21119815:21125312:-1 gene:DRNTG_29499 transcript:DRNTG_29499.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLISSLFKLSSEFETPGATILGWLVTGSFGLFAVIYAFLKLQRQTSLNWVKAAARAKKKVWKKFNFSKSHHSWNEDDGCNGQPSTCCVCLTSLVSLQAPVAKDGHTISLLRCSVCGVGAHSHCSKFATKDCKCMAQAGSLNLLHHWSERWVESDENPEVSAFCYYCDEPCGFPFLGGAPVWRCLWCQCLIHTSCHAKLSKETGDICDLGPLRRLVLSPLSVSEINDSGAPGGMLSSIKEEIIASSVRGRMTRKRNRNKNGSNHTNNKVNNAAADANLTLKSVLNGLVRLEKSCNGLSNGYNLKQASGLESTKSKQNGINRKNGCIINLGQKKQYKLVDLPQDARPLLVFINAKSGAQSGPSLKRRLNMLLNPVQVFELSSSQGPEVGLDLFRNVQYFRVLVCGGDGTVAWVLDAIERENFVSPPPVAILPLGTGNDLSRVLQWGGGLSSVEGQGGLAALLHDIDRAAVTMLDHWNVTITEEAVGQTQQKRQMKFMTNYLGVGCDAKVAYDFHMTREERPDKFYSQFVNKLRYAKEGAKDIVDRACAELPWKVSLQVDGNDIEIPEDAEGVLVLNIGSYMGGVDLWQNDYEHNDDFELQSMHDEMLEVVCISGTWHLSKLQVGLSQARRLAQGKVIRLHLDSPFPVQVDGEPWIQQPGCIEITHRGQVVMLPLVFCSSYCQCRLMYINAALTSLHISRKGFNLFP >DRNTG_29499.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21119815:21124427:-1 gene:DRNTG_29499 transcript:DRNTG_29499.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLISSLFKLSSEFETPGATILGWLVTGSFGLFAVIYAFLKLQRQTSLNWVKAAARAKKKVWKKFNFSKSHHSWNEDDGCNGQPSTCCVCLTSLVSLQAPVAKDGHTISLLRCSVCGVGAHSHCSKFATKDCKCMAQAGSLNLLHHWSERWVESDENPEVSAFCYYCDEPCGFPFLGGAPVWRCLWCQCLIHTSCHAKLSKETGDICDLGPLRRLVLSPLSVSEINDSGAPGGMLSSIKEEIIASSVRGRMTRKRNRNKNGSNHTNNKVNNAAADANLTLKSVLNGLVRLEKSCNGLSNGYNLKQASGLESTKSKQNGINRKNGCIINLGQKKQYKLVDLPQDARPLLVFINAKSGAQSGPSLKRRLNMLLNPVQVFELSSSQGPEVGLDLFRNVQYFRVLVCGGDGTVAWVLDAIERENFVSPPPVAILPLGTGNDLSRVLQWGGGLSSVEGQGGLAALLHDIDRAAVTMLDHWNVTITEEAVGQTQQKRQMKFMTNYLGVGCDAKVAYDFHMTREERPDKFYSQFVNKLRYAKEGAKDIVDRACAELPWKVSLQVDGNDIEIPEDAEGVLVLNIGSYMGGVDLWQNDYEHNDDFELQSMHDEMLEVVCISGTWHLSKLQVGLSQARRLAQGKVIRLHLDSPFPVQVDGEPWIQQPGCIEITHRGQVFMLRRASEEPTGHAAAIMTEVLVNAECNGIINTAQKRLLLQQMALRLA >DRNTG_19564.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5397920:5399778:1 gene:DRNTG_19564 transcript:DRNTG_19564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLFARTPLLMHIESSLGNSQKSTEILMEIISSRTVTAVMIHNGHCGGCYDFCVVLCDSRLTWLDNGRDRSG >DRNTG_08604.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30311687:30315210:1 gene:DRNTG_08604 transcript:DRNTG_08604.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLCQKVIKDDPLNWNTTAETMTGSHLDEVKRMVEEFRKPVVRLEGANLKVSQVAAVAAAAAVAIDDDDGVVVKVELSEEARARVKASSDWVMNNEGVITGFGASSHRRTNQATALQQELIRFLNAGIFSTGQEPNNMMPSAVTRAAMLVRINSLLQGYSGIRFEILEAITRLINTNITPCLPVRGSITASGDLIPLSYIVGLLIGRPNSRAIGPDGRTIDASKAFELAGISSGFFELQPKEGIALVNGTTVASGLASMVLYDANLLAILSEIMSAMFCEVMLGNPEYTDHLIHKLKHSPGQIEAAAIMEHILEGSSYMKINQLLQNPKRDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRNKVLFGGNFQGTPIGVSMDNTRLALAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGLKGGEIAMASYCSELQALANPVTNHVESAEQHNQDVNSLGLISARKTAEAIEILKLMSSTFLVGLCQAIDLRAFGGKISRKTIMSTVSQVAKKVGVANEEKDLIKSH >DRNTG_08604.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30313370:30315210:1 gene:DRNTG_08604 transcript:DRNTG_08604.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSAVTRAAMLVRINSLLQGYSGIRFEILEAITRLINTNITPCLPVRGSITASGDLIPLSYIVGLLIGRPNSRAIGPDGRTIDASKAFELAGISSGFFELQPKEGIALVNGTTVASGLASMVLYDANLLAILSEIMSAMFCEVMLGNPEYTDHLIHKLKHSPGQIEAAAIMEHILEGSSYMKINQLLQNPKRDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRNKVLFGGNFQGTPIGVSMDNTRLALAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGLKGGEIAMASYCSELQALANPVTNHVESAEQHNQDVNSLGLISARKTAEAIEILKLMSSTFLVGLCQAIDLRAFGGKISRKTIMSTVSQVAKKVGVANEEKDLIKSH >DRNTG_02129.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28770706:28774219:-1 gene:DRNTG_02129 transcript:DRNTG_02129.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKSLGSRWPSSVRVSNELGVGNLKVAKFSVFVVTVISLNIQTTSVIIIIVTKEDFPKLFTENEFVRERVSKVAYYLCASIFSWKHTTCYIMCL >DRNTG_02129.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28770706:28774219:-1 gene:DRNTG_02129 transcript:DRNTG_02129.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKSLGSRWPSSVRVSNELGVGNLKVAKFSVFVVTVISLNIQTTSVIIIIVTKEDFPKLFTENEFVRERVSKVAYYLCASIFSWKHTTCYIMCL >DRNTG_02129.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28770706:28774219:-1 gene:DRNTG_02129 transcript:DRNTG_02129.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKSLGSRWPSSVRVSNELGVGNLKVAKFSVFVVTVISLNIQTTSVIIIIVTKEDFPKLFTENEFVRERVSKVAYYLCASIFSWKHTTCYIMCL >DRNTG_02129.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28770706:28774219:-1 gene:DRNTG_02129 transcript:DRNTG_02129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPPLLRGSPHLVGVEGPGHRKYIVSQHNHLSAREPLAMLSKSLGSRWPSSVRVSNELGVGNLKVAKFSVFVVTVISLNIQTTSVIIIIVTKEDFPKLFTENEFVRERVSKVAYYLCASIFSWKHTTCYIMCL >DRNTG_02129.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28770706:28771022:-1 gene:DRNTG_02129 transcript:DRNTG_02129.5 gene_biotype:protein_coding transcript_biotype:protein_coding SVRVSNELGVGNLKVAKFSVFVVTVISLNIQTTSVIIIIVTKEDFPKLFTENEFVRERVSKVAYYLCASIFSWKHTTCYIMCL >DRNTG_19663.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2923638:2926317:1 gene:DRNTG_19663 transcript:DRNTG_19663.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional bis(5'-adenosyl)-triphosphatase/adenylylsulfatase FHIT [Source:Projected from Arabidopsis thaliana (AT5G58240) UniProtKB/Swiss-Prot;Acc:F4KEV7] HVLVCPRREVKRFVDLTADETIDLWLTAKKIGDQLESYHRASSLTFTIQDGPQAGQTVPHVHIHILPRKTGDFENNDEIYDAIDKEEKELKKKLDLDEERKDRTADEMAREADNYRVLFS >DRNTG_05407.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5701452:5702324:-1 gene:DRNTG_05407 transcript:DRNTG_05407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIEFSIRTGLYDVAYIGTVEYGRLPVDFPLSVTPYHAYRILCGRGEYEPRLSKASSLSRFSYRYVHAVISRSMTGRADNTAALTRLDLLFLYSMARNVPLYLGCILADVLQYQGRSTRVGVLFAGPYITRLILGMGLGDALRGAERTVVPTALTFDTIKMMGLVRREIRTEIEDMARFDFLRDLLRPGSSVPPAPPSSTPAPEDPLYASTSAAAAAEPESDFDT >DRNTG_19399.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22150105:22153404:-1 gene:DRNTG_19399 transcript:DRNTG_19399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPLVKLGSLALKTLCKPIASKLKKEAGIHPKFRQFIVNIAQANHRISTTIQRRIYGHATDVAIRPLNEEKAVQAAADLLGELFVFSVAGAAVIFEVQRSARSEAKKEEIRRQEIEALKQKEDDLAREVELLKQKLNEIEQLARGQGLSGVLGFRSSHAPEGSTSATPA >DRNTG_34993.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002222.1:118780:119501:1 gene:DRNTG_34993 transcript:DRNTG_34993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKLPPIYCIGPLIKGNLERTSTAECISWLDTQPKSSVVFLCFGSLGLFSAEQLKAVAHGLEISGQRFLWVVRSPPSETPGKYMVAPAEPDLDVLLPQGFVERTKEKGMVVKSWAPQVEVLKHDAVGGFVTHCGWNSVLEAVCAGVGMVGWPLYAEQKMNLVFMVEEMKLGVEMRGYEEELVTGEEVGRRVRWLMESDQGKELRERTLKAKESAHAALFGWWACLGPHWKVWLLNGRS >DRNTG_07967.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000353.1:6172:7138:1 gene:DRNTG_07967 transcript:DRNTG_07967.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGRSFSRMRSTNLSRMVSSCTLTHRSCFRLPHCKGNSGSGSIQGGLWHSSSPSLSPNPQIFLSNEVDEQMDKKIQDFKQRNEEIG >DRNTG_07967.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000353.1:6217:7138:1 gene:DRNTG_07967 transcript:DRNTG_07967.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGRSFSRMRSTNLSRMVSSCTLTHRSCFRLPHCKGNSGSGSIQGGLWHSSSPSLSPNPQIFLSNEVDEQMDKKIQDFKQRNEEIG >DRNTG_25593.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9482840:9484925:-1 gene:DRNTG_25593 transcript:DRNTG_25593.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIKKWEKCKSGFIFMCSERTKEECYVNRVFGLPRNQLEMVAKIEAGTVLFLFDLDRKLLFGPYAASCNGGADLVPEAFGGRFPSQVKFEITEDCLPLPESVFKDAILDNYTTKFKFKPELISDQVDKLLSLFRPISLLDQLPSQVIHNPLHESLTLLAPSNSDGI >DRNTG_25250.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19282014:19282688:-1 gene:DRNTG_25250 transcript:DRNTG_25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGPLNNFLQTSSTASVDFGRINFNFPAAVLRPESPKDISLLLSFLSASSSSKVSVAARGAGHSIHGQAQAQDGIVIEMESLPSAIEIHKKKEEEDEDDGVSYADVSGGVLWIELLEETLKQGLAPRSWTDYLYLSIGGTLSNAGISGQTFKHGPQISNVFLSFFWLTGKGELVTCS >DRNTG_12786.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2207215:2208916:1 gene:DRNTG_12786 transcript:DRNTG_12786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTSSCEKSCDFGNGGGGGNGSEGDTPHVLAVDDSVIERKLIERLLKSSEYKVTTAENGLRALEYLGLLEDAKENNLKNNDLKVNLVITDYCMPGMTGYELLKKIKESSNFKEIPVVIMSSEKNPTRVEKCLEEGALEFMFKPIQLSDVKRLRCHMMKLDEQCSGGGVCVG >DRNTG_20076.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001092.1:2236:3688:1 gene:DRNTG_20076 transcript:DRNTG_20076.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGCLLAFQTSPEEKEVDSNGKRRASRVLSSPLTP >DRNTG_20076.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001092.1:2236:3688:1 gene:DRNTG_20076 transcript:DRNTG_20076.2 gene_biotype:protein_coding transcript_biotype:protein_coding METGCLLAFQTSPEEKEVDSNGKRRASRVLSSPLTP >DRNTG_18832.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2661815:2662815:1 gene:DRNTG_18832 transcript:DRNTG_18832.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHDETILCIHFLMLSSTLLLACNLQFRQAKTEIISASKAAYSFISGIVSNTPATPENLSDEETEVSLCQGSCFKNLISISSKPSTSNSEQPEDSNNHIPQQQQQEHTEKNQPSS >DRNTG_18832.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2661692:2662815:1 gene:DRNTG_18832 transcript:DRNTG_18832.2 gene_biotype:protein_coding transcript_biotype:protein_coding AWVNRFANAGDTALEVAENTAIRFRQAKTEIISASKAAYSFISGIVSNTPATPENLSDEETEVSLCQGSCFKNLISISSKPSTSNSEQPEDSNNHIPQQQQQEHTEKNQPSS >DRNTG_18832.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2659301:2662815:1 gene:DRNTG_18832 transcript:DRNTG_18832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVVLHIYDVTNSGSDKANNTILQINRFFKDGIGLGGIFHSAVQVYGDEEWSFGFCEHGSGVFSCPPRKNHLYTYRESMVLGETNLSCAKVNQILRELSREWPGSSYGLLSRNCNHFCDTFCDRLGTPKLPAWVNRFANAGDTALEVAENTAIRFRQAKTEIISASKAAYSFISGIVSNTPATPENLSDEETEVSLCQGSCFKNLISISSKPSTSNSEQPEDSNNHIPQQQQQEHTEKNQPSS >DRNTG_03233.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16288267:16288896:1 gene:DRNTG_03233 transcript:DRNTG_03233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVKEFDDTKTGVKGLVDSGITSLPAIFHHPNICLSIPTPTHLSIPIIDMSLPRPVAVDLIHSACRDWGIFQLINHGIPLSIIDNTISVVRSFHELPPSIRSQYYKREHGAAVKYFSNPDLFLSSVATWKDTLHVSFSPVPPELDQITELCRSELVAWDKQAKKVAKEMMGMMCEGLGVGSGRLEELTCLDGTLQEKSQIGTVISAQK >DRNTG_30519.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1234588:1235365:1 gene:DRNTG_30519 transcript:DRNTG_30519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTTPPCTQGVTWTIVNKIRTASKAQLKLLRDAVHDDAKNNARPLQAINEREIKFYTPRYFESISIISTILL >DRNTG_14759.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:253387:255766:-1 gene:DRNTG_14759 transcript:DRNTG_14759.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSTASNLPSPACSFFTNNQTRRLPPSPPQLAAVKTNHLLRRRLSCKAELGGKHSHDHDGENYYSHEMMKLDRRNMLIALGGGLYGAAATGTPHAKGLPIQPPDVSQCQLADGGGNQINCCPPYKSNSKIIDFKLPSPTSPMRVRPAAHLVNNEYLKKYRRAVQLMRELPASDPRNFMQQANVHCAYCDGAYDQVGFPDLELQVHNSWLFFPWHRYYLYFNERILGKLIGDETFALPYWNWDAQAGMRLPSIYTTPSTSLYDPLRDAKHQPPTVIDLDYNLVDQNLPDQEQIDQNLTIMYRQVVANKTPELFLGAAYRRGDQPNPGAGSLENVPHGPVHLWTGDRTQPNIEDMGTFYSAGRDPIFFAHHGNIDRLWYIWQTKVAAKNNTGFKDKDWLDAAFLFYDENAQLVRVKVRDCLDNKLLRYTYQEVDIPWLRKRPTPKAARAAARSAITEATFPLTLTAAASATVKRPRVGRSQSEKAAETEVLVVEGIQFPRDKAIKFDVFINAPSDFGQMRANASEFVGSFVNVAHTHRSTDSQMAVGRTRLKLSITESLDDIGADGDENIIVTLVPRTGTENVIIGGLSIDFSSSA >DRNTG_21905.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1740027:1742207:-1 gene:DRNTG_21905 transcript:DRNTG_21905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQHRVVKTPGGKLVYQSTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLSRSQRTVNRAYGGVLSGGAVRERIIRAFLVEEQKIVKKVLKIQKAKEKLASKS >DRNTG_29999.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6523287:6523966:-1 gene:DRNTG_29999 transcript:DRNTG_29999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVAQDARVPLEMVYVGRSNPKEKVKRAMSVIAAEKLSGYWTDVAMIWFFWVRLESMWHSKMQHGRTVEDDPIMQEVMQILSFDGSEEGWAVISRGSVEVLKSQGKKLLDCLMEYDTWKGTVELEGFIPALGKALLPYQTHEHCTRLILPGETGKFGEKIVCAECKKPMEKYVLYRCCTD >DRNTG_18765.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1347084:1347795:-1 gene:DRNTG_18765 transcript:DRNTG_18765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEPSIEVRKLRANDLFLIFASDGLWEQLTDEDAVEIVFKNPRPVSLLFSSVLVKPLILSLHFSQK >DRNTG_24453.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23664453:23667653:1 gene:DRNTG_24453 transcript:DRNTG_24453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRLLLLLALLSLSSSSTIPSRSESGIRRIYKRWLAEHGKSSNALDDQRYEIFKDNLRFIDEHNAGNHSFVLGLNRFADLTNDEYRSTYLGLRRARSNRIPKLSDRYRFNAGDKLPDSIDWRELGAVAPVKDQGSCGSCWAFSTIAAVEGINKIVTEDMIVLSEQELVDCDTTYNMGCGGGLMDYAFEFIINNGGIDTEDDYPYKGLDGSCDPNRKNAKVVSIDSYEDVPVNSESALKKACANQPVSVAIEGGGRLFQLYQSGVFTGTCGTDLDHGVTVVGYGTEKGKDYWLVRNSWGDKWGEDGYVKMERNIKSPYGKCGIAIEPSYPIKNGPNPPNPGPSPPSPVKPESVCDNYYTCPASSTCCCVYEFGKLCHAWGCCPLEGATCCEDHYSCCPADYPICNVEAGTCLMSKGNPLGVKALARTPAKPHWSYSEAEGKRASE >DRNTG_16588.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:146139:148536:1 gene:DRNTG_16588 transcript:DRNTG_16588.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase/pectinesterase inhibitor 12 [Source:Projected from Arabidopsis thaliana (AT2G26440) UniProtKB/Swiss-Prot;Acc:O48711] MSSSSPSSNNIPFIMQTSLDSLLLLLLLLATFFTPSLSLNVTDDHNLLTIKSLCQTTPYPNSCFEALKLSISININPSILSFLLQTLNTAISESTKLSSLLSHSSTSIIEHQKGSLQDCQELHQITLTYLKKSSSIIKSNSDKLSDVRSHLSAALTNKATCLEGLATASGPSKQALVSSLISTYAHVTNSLSIISKRTAGTGKKGRKLSGELPKWLTRKDRRLLQSDDDYDNEYDPASILTVAADGTGNFTTISDAIAFAPNNSDYRTVIIVRAGVYDEHVEIPSYKPNIVLLGEGSDVTIITGNRSVADGWTTFRSATVAVSGQGFLARDLTIMNTAGPVKNQAVALRVNADLSAVYRCKIDGYQDTLYVHSFRQFYRECDIFGTVDFVFGNSAVIFQGCNLVAKKPLPGQSNVITAQSKDDPNEVTGISIQNCSVLASDDLSTSQGGTRTYLGRPWRIYSTTVYIESYIDSLVDPLGWQRWSSGDEGLDTLYYGEYENFGPGSSTNHRVDWPGYHIMDYDDAFNFTVSEFIYGDEWLDSTSFPYDDGV >DRNTG_19735.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001049.1:107593:113527:-1 gene:DRNTG_19735 transcript:DRNTG_19735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSLNLQSNLHSLKRTFSNGRLRKPRSSSSIVALHQNGSLRSHGTPGLRVAFQGSTGAYSEFAAKTACPECATVPCRSFADAIAAVERDRADRAIVPVESTMEGTALRNYDLLLRHDLRIVQEINLFVHYCLLAMPGVSTAELRRVISHPMALAHCGRALERLGLDREAVEDTAGAVKMLLSEQMLDTAAIASPRAAAIYGLDVLAGGLQDESWNVTRFLLLSKLPEPLPPSSSGKMKTSMVVAHRGGSMTVLLKILSAFSSRDISLTKLEVNNPTMEGKGPVIILDVRSPAMLKTFPHVLYVDFEGSIEDEKVKEAIDEISSFSVFVRVLGCYAADPHVYDLY >DRNTG_19735.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001049.1:112086:113527:-1 gene:DRNTG_19735 transcript:DRNTG_19735.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSLNLQSNLHSLKRTFSNGRLRKPRSSSSIVALHQNGSLRSHGTPGLRVAFQGSTGAYSEFAAKTACPECATVPCRSFADAIAAVERDRADRAIVPVESTMEGTALRNYDLLLRHDLRIVQEINLFVHYCLLAMPGVSTAELRRVISHPMALAHCGRALERLGLDREAVEDTAGAVKMLLSEQMLDTAAIASPRAAAIYGLDVLAGGLQDESWNVTRFLLLSKLPEPLPPSSSGKMKTSMVVAHRGGSMTVLLKILSAFSSRDISLTKLEVNNPTMEGKGPVIILDVRSPAMLKTFPHVLYVDFEGSIEDEKVKEAIDEISSFSVFVRVLGCYAADPHVYDLY >DRNTG_00723.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18361414:18362971:1 gene:DRNTG_00723 transcript:DRNTG_00723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQRILVVMMLMAMVLAMADKEKGGDESKRLFMLKDSKQVVKTEAGEVKVISGPKLDRDMPNMHIGFISMEPNSLFIPQYIDANLIFFVRRGEVKMGWIYKDEYVEKKLKMGDVNFIPAGSAFYMVNTGIGQRLQIICSIDASQTIGSSSYHPFYIAGGINPSSVLFGFDMGTLTTALNATAEEIGKVMTSRTDGAIVFIDGKEAEPPAKFMKRKLKEMSGRRDGDVDVEDGEEIDDGGVWTWRKMLSSLLKTRKGKAKGPVRAPDSYNLYDSAPDFKNKYGWSLALDENEYEPLKHSDFGVYLVNLTGGTMLAPHVNPRATEFGVVLGGEGRVQVVFPNGTMAMNEEVSEGDVFWVPRYFPFCHIASRSGPMEFFGFTTAARRNWPQFLAGARSLLKTMMGPELAAGFGVNQTRLRRMVEAQKEELFLPTWPVKEEFMSA >DRNTG_09984.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22056371:22057360:1 gene:DRNTG_09984 transcript:DRNTG_09984.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTNPILLITCCSSFEIFTNSLEWTLNVIHSRNSNQSNEMTTADRRREPRSTTPLLNGNLERKTRPWKAYL >DRNTG_24409.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001301.1:131095:131640:-1 gene:DRNTG_24409 transcript:DRNTG_24409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGALTLPHGSGKTVRVAVFAEGVAVKEAKAACADIVGGDELIDEIRIGGGKINFDKCIGTPMFMCPLSKIARILGPRGLMPNPKLGSVTSNISIAVKEAKSGRIDFKIDKTAIVHVGLAKVKFLDEALRENIGAFVNALLLTKLVGLKKKYAGHVKNFMLSSMIGWFPCYDTIFICRRQ >DRNTG_04603.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4025275:4027760:1 gene:DRNTG_04603 transcript:DRNTG_04603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLGPTGEFFRRRDEWRKHPMVGNQMRHALPGLGTALVAFGIYLIGEAAYNRFYRTPDSQH >DRNTG_09803.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26816488:26816893:1 gene:DRNTG_09803 transcript:DRNTG_09803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSIHQSLEKRMGRVLSKKRAVSKIQTFQGTLAGIA >DRNTG_21822.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15313363:15317008:1 gene:DRNTG_21822 transcript:DRNTG_21822.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLSPPPHQRPWRMTREEASELQALW >DRNTG_18881.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2265756:2267722:1 gene:DRNTG_18881 transcript:DRNTG_18881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLHRHRRFSTIVDLAHLSSPIIDPSHLTSLRSNSDLLVSYSITPPLQPWPSRLSPRHLSRIIHSLPDPLLALRAFHHASHFHPGFSHSYLSYHSILLRLAHARLFSYIPPILSSLRHSSIHCGENLFISLIRAYSLSSRPSSSLKIFLSIPSFRLRASVRSFNALLNAMIQNRRFHLVPILFKHCQSKFGIIPNVCTANIFLKALCKLGNLDGALKVLDEMPTWGMVPNVVSYTTILSCYCSLGDLHGAKALFDEILHRGWSPDVTTYTVLIDGYCRSGRLVDAVRTMDEMDDAGVKPNDVTYSVVIEECCRAKKSGEALNLIQDMLDGKYIPSSTLCCKVIDALCVDGKVEDACDIWKKLLKKNVTPDNSISSTLIYWLCKKGKVLEARKLFDEFEKGFIPSLLTYNTLISGMCENGELQEAGRLWDDMLEKKCAPNVFTYNVLIKGFCKVGKVKEGVEILEEMLEKKCMPNKSTYQVLVNGLLEAGDGDDELVEKVLCNLVSVGGEFFSKDDWSVFVSKMVKESENWRDVLNALLLGA >DRNTG_34397.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25931477:25932360:-1 gene:DRNTG_34397 transcript:DRNTG_34397.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEALEKERNNELGMVQQLKDEIRILSGQLVNVQFTYKDPVVNFDRSKVKGVVAKLIKVKDSSAMTALEV >DRNTG_34397.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25931179:25933244:-1 gene:DRNTG_34397 transcript:DRNTG_34397.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRITKVLNMKPPEILSMLEEAAGTRMYEMKKEAALRTLEKKQSKVDEIDKLLDQEILPALEKLRKERMQYMQWANGNAELDRLKRFCIAYEFVQAEKVRESAVSEVGQIKSRITELEDYAGRLKAEVQETENKISALTAEKEAKMGGDMVTLSEKVDALSRSLVKETSVMTNQEESLRTEEASAQKIFKSIEDVKRSIGERDSAVSKVDDGAAGLKKRVEDLTKDLDECEREYQGVLAGKSSRNDEKCLEDQLRDAKAAVGETETALKQLQTKTSHSEKELKEKRELLTSKCEEAASVENELSLRKEELENLKTTLASITYEEGQMEALEKERNNELGMVQQLKDEIRILSGQLVNVQFTYKDPVVNFDRSKVKGVVAKLIKVKDSSAMTALEVAAGGKLFNVVVDTENTGKQLLKNGDLRRRVTIIPLNKIQSYTVPLNVQQAAARL >DRNTG_34397.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25923422:25925396:-1 gene:DRNTG_34397 transcript:DRNTG_34397.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEQKDCSLKVDKLLEKHGWIATEKQLFGKSGTDYDFSNSDPYKSKEELDKLQAEQSSLEKRVNKKVMAMFEKAEDEYNDLISKKNIIENDKAKIKMVIEELDEKKKETLKVTWVKVNKDFGSIFSTLLPGTMAKLEPPEGSSFLDGLEVRVAFGSVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKSHFPHSQVSLFSK >DRNTG_34397.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25931822:25932116:-1 gene:DRNTG_34397 transcript:DRNTG_34397.8 gene_biotype:protein_coding transcript_biotype:protein_coding QGVLAGKSSRNDEKCLEDQLRDAKAAVGETETALKQLQTKTSHSEKELKEKRELLTSKCEEAASVENELSLRKEELENLKTTLASITYEEGQMEALEK >DRNTG_34397.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25925471:25925917:-1 gene:DRNTG_34397 transcript:DRNTG_34397.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSLKQEFDKAEAELKKSREQMKECDSQISCIVKEQQKLQQKLSDANLERKKMENE >DRNTG_34397.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25923422:25924924:-1 gene:DRNTG_34397 transcript:DRNTG_34397.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEELDEKKKETLKVTWVKVNKDFGSIFSTLLPGTMAKLEPPEGSSFLDGLEVRVAFGSVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKSHFPHSQVSLFSK >DRNTG_34397.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25923422:25933976:-1 gene:DRNTG_34397 transcript:DRNTG_34397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIKEICLEGFKSYATRTVVSGFDPFFNAITGLNGSGKSNILDSICFVLGITNLQQVRATNLQELVYKQGQAGITKATVSIVFDNSDRSRSPLGYEDCPEITVTRQIVVGGRNKYLINGHLAQPSRVQTLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYEMKKEAALRTLEKKQSKVDEIDKLLDQEILPALEKLRKERMQYMQWANGNAELDRLKRFCIAYEFVQAEKVRESAVSEVGQIKSRITELEDYAGRLKAEVQETENKISALTAEKEAKMGGDMVTLSEKVDALSRSLVKETSVMTNQEESLRTEEASAQKIFKSIEDVKRSIGERDSAVSKVDDGAAGLKKRVEDLTKDLDECEREYQGVLAGKSSRNDEKCLEDQLRDAKAAVGETETALKQLQTKTSHSEKELKEKRELLTSKCEEAASVENELSLRKEELENLKTTLASITYEEGQMEALEKERNNELGMVQQLKDEIRILSGQLVNVQFTYKDPVVNFDRSKVKGVVAKLIKVKDSSAMTALEVAAGGKLFNVVVDTENTGKQLLKNGDLRRRVTIIPLNKIQSYTVPLNVQQAAARLVGEGNAELALLLIGYEDEVKNAMAYVFGSTFVCRGTDAAKEVAFTRNISTPSVTLEGDIFQPSGLLTGGSRRGGGELLKQLHVLAEAESKLSLHQNRLTEVNDKIAVLSPLQKKFMHLKSQVELKAYDFSLFQRRAEQNEHHKLAELVKKLERDLQEAKAGSEEKQILYGKCVAQVALLEKGIKEQGSLRETRLKDLEKKIKSLKSEIQSASKQLKASENERERLLLEKAADIDELSKLENQLASLEGQISALREALNKRKMEVSSLKQEFDKAEAELKKSREQMKECDSQISCIVKEQQKLQQKLSDANLERKKMENEVKRMEMEQKDCSLKVDKLLEKHGWIATEKQLFGKSGTDYDFSNSDPYKSKEELDKLQAEQSSLEKRVNKKVMAMFEKAEDEYNDLISKKNIIENDKAKIKMVIEELDEKKKETLKVTWVKVNKDFGSIFSTLLPGTMAKLEPPEGSSFLDGLEVRVAFGSVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKSHFPHSQVSLFSK >DRNTG_34397.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25923422:25930516:-1 gene:DRNTG_34397 transcript:DRNTG_34397.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVFGSTFVCRGTDAAKEVAFTRNISTPSVTLEGDIFQPSGLLTGGSRRGGGELLKQLHVLAEAESKLSLHQNRLTEVNDKIAVLSPLQKKFMHLKSQVELKAYDFSLFQRRAEQNEHHKLAELVKKLERDLQEAKAGSEEKQILYGKCVAQVALLEKGIKEQGSLRETRLKDLEKKIKSLKSEIQSASKQLKASENERERLLLEKAADIDELSKLENQLASLEGQISALREALNKRKMEVSSLKQEFDKAEAELKKSREQMKECDSQISCIVKEQQKLQQKLSDANLERKKMENEVKRMEMEQKDCSLKVDKLLEKHGWIATEKQLFGKSGTDYDFSNSDPYKSKEELDKLQAEQSSLEKRVNKKVMAMFEKAEDEYNDLISKKNIIENDKAKIKMVIEELDEKKKETLKVTWVKVNKDFGSIFSTLLPGTMAKLEPPEGSSFLDGLEVRVAFGSVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKSHFPHSQVSLFSK >DRNTG_03967.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:19808859:19811950:1 gene:DRNTG_03967 transcript:DRNTG_03967.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase subunit 4L [Source:Projected from Arabidopsis thaliana (ATMG00650) UniProtKB/TrEMBL;Acc:G1C2W6] MIISILGIRGILLNRRNILIMSMPIESMLLAVNSNFLVFSVSLDDMMGQSFASLVPTVAAAESAIGSAIFVITFRVRGTIAVESINCIQG >DRNTG_13669.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000629.1:12551:12929:-1 gene:DRNTG_13669 transcript:DRNTG_13669.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLEDYHIVAMESKGREVYFVFMNFDPEYERLQKNRSKQGGHELDLYLSTKHDLLLNKLLQPNTYKKMYSLAIVDGFAVQMTKDQVSATACNAL >DRNTG_23764.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21210716:21215704:-1 gene:DRNTG_23764 transcript:DRNTG_23764.1 gene_biotype:protein_coding transcript_biotype:protein_coding GQSASFDWGYYLASPPLVVAYALTGTKQAKELEAKWDSIVIEEEETVKDYYDFLQQYRSLKEDVHDITCDVIINFERVIGTADDKGLSTS >DRNTG_23764.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21210716:21215704:-1 gene:DRNTG_23764 transcript:DRNTG_23764.2 gene_biotype:protein_coding transcript_biotype:protein_coding GQSASFDWGYYLASPPLVVAYALTGTKQAKELEAKWDSIVIEEEETVKDYYDFLQQYRSLKEDVHDITCDVIINFERVIGTADDKGLSTS >DRNTG_29102.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4421423:4425825:-1 gene:DRNTG_29102 transcript:DRNTG_29102.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G28030) UniProtKB/TrEMBL;Acc:A0A1I9LM66] MTREKLIRMALLLGSDYTEGVSGIGIVNAIEVLQAFPEEDGLQKFREWLESPDPSILGQFGSYTGSKSMKRSSKNKQ >DRNTG_29102.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4421423:4435726:-1 gene:DRNTG_29102 transcript:DRNTG_29102.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G28030) UniProtKB/TrEMBL;Acc:A0A1I9LM66] MKENMRPMAQNNATSSDPVLPNSASKQSSSVMGACIAEPISDFVNDVETYRDECGRVRVSRVRGMGINMTRDLQRNLDLMKEYEQEKNSRNICNGPTPNSDQGIPKAPKDISEINPSPKACAGSDTAVTEAGSILASTQGEIHHVDEPSVLASKTTIEISFLEDEIEKHDADHNLFFQLVSGSSALETNSVCVPSGKNICDSADCMWEEGVVKENAGSRTDSTKKQSRPFSAEEISVEEDDVEWEEGTCQGPTGATDGLIEHRSRISRGFLEEEADVQEAIKRSLEDLGRQKPLIISSEVQISNTNRQVQNNLKCSFSPSFAVGGHGKHNACQMGIVQTRSSLEMHQASPAASEARSVNDEMKSNAEIIEEPVVVSEIMETLCTDDVPKIQSVEGQVTLDTECMNISKHGSTSNAFAELEPSPNADQETIGNTPVIVLADCQYNDLQDSLKRNENAGFQKNSPLETFTETAVHMDVERQNQEKIINDMPSNKEKHYEDKFMAQEHLNDNLEFSEASLDEEISILRQERLNLGDKQRTLERNAESVSSEMFAECQELLQMFGLPYIIAPMEAEAQCAYMEMTKQVDGVITDDSDVFLFGARNVYKNIFDDKKYVETYFMKDIESELGMTREKLIRMALLLGSDYTEGVSGIGIVNAIEVLQAFPEEDGLQKFREWLESPDPSILGQFGSYTGSKSMKRSSKNKQ >DRNTG_29102.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4421423:4435726:-1 gene:DRNTG_29102 transcript:DRNTG_29102.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G28030) UniProtKB/TrEMBL;Acc:A0A1I9LM66] MGVQGLWELLAPVGRRVSVETLAGKTLAIDASIWMVQFMMAMRDERGEMVRNAHVLGFFRRICKLLFLRTKPIFVFDGGTPALKRRTVAARRRHRENARAKIRKTAEKLLINHLKVKRLEELAVEIKNSRAKDESKGKQVEVDHGSGLKGSSSKKNAKDGIGNANQEALDELLAASLAAEEEGKFNSNASASGVDAFEEGVDEDDENEEMLFPAMSGKIDPAVLASLPPSMQLDLLVQMRERLMAENRQKYQKIKKAPAKFSELQIQSYLKTVAFRREIDEVQKCAAGRGVGGVHTSRIASEANREFIFSSSFTGDKQILTSAANGMKENMRPMAQNNATSSDPVLPNSASKQSSSVMGACIAEPISDFVNDVETYRDECGRVRVSRVRGMGINMTRDLQRNLDLMKEYEQEKNSRNICNGPTPNSDQGIPKAPKDISEINPSPKACAGSDTAVTEAGSILASTQGEIHHVDEPSVLASKTTIEISFLEDEIEKHDADHNLFFQLVSGSSALETNSVCVPSGKNICDSADCMWEEGVVKENAGSRTDSTKKQSRPFSAEEISVEEDDVEWEEGTCQGPTGATDGLIEHRSRISRGFLEEEADVQEAIKRSLEDLGRQKPLIISSEVQISNTNRQVQNNLKCSFSPSFAVGGHGKHNACQMGIVQTRSSLEMHQASPAASEARSVNDEMKSNAEIIEEPVVVSEIMETLCTDDVPKIQSVEGQVTLDTECMNISKHGSTSNAFAELEPSPNADQETIGNTPVIVLADCQYNDLQDSLKRNENAGFQKNSPLETFTETAVHMDVERQNQEKIINDMPSNKEKHYEDKFMAQEHLNDNLEFSEASLDEEISILRQERLNLGDKQRTLERNAESVSSEMFAECQELLQMFGLPYIIAPMEAEAQCAYMEMTKQVDGVITDDSDVFLFGARNVYKNIFDDKKYVETYFMKDIESELGMTREKLIRMALLLGSDYTEGVSGIGIVNAIEVLQAFPEEDGLQKFREWLESPDPSILGQFGSYTGSKSMKRSSKNKQ >DRNTG_29102.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4421423:4426652:-1 gene:DRNTG_29102 transcript:DRNTG_29102.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G28030) UniProtKB/TrEMBL;Acc:A0A1I9LM66] MFGLPYIIAPMEAEAQCAYMEMTKQVDGVITDDSDVFLFGARNVYKNIFDDKKYVETYFMKDIESELGMTREKLIRMALLLGSDYTEGVSGIGIVNAIEVLQAFPEEDGLQKFREWLESPDPSILGQFGSYTGSKSMKRSSKNKQ >DRNTG_13980.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27860364:27861271:-1 gene:DRNTG_13980 transcript:DRNTG_13980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTKTSLSLFLFILFLTFSFLIPSQACPVCQTSPPPPPPPKKIPPPPPPKPVPCPPPPKPVPSPPPPKPKPVPCPPPPKSPAGTCPIDVLKLDACVDLLGGLINIGIGNDTKKTCCPVLSGLVDLDAAICLCTTIKAKLLNINILLPIALQLLVDCEKHAPEGFQCPA >DRNTG_13980.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27860364:27861271:-1 gene:DRNTG_13980 transcript:DRNTG_13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTKTSLSLFLFILFLTFSFLIPSQACPVCQTSPPPPPPPKKIPPPPPPKPVPCPPPPKPKPVPCPPPPKSPAGTCPIDVLKLDACVDLLGGLINIGIGNDTKKTCCPVLSGLVDLDAAICLCTTIKAKLLNINILLPIALQLLVDCEKHAPEGFQCPA >DRNTG_13980.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27860364:27861271:-1 gene:DRNTG_13980 transcript:DRNTG_13980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTKTSLSLFLFILFLTFSFLIPSQACPVCQTSPPPPPPTPSPPPPPPPKPVPSPPPPKPKPVPCPPPPKSPAGTCPIDVLKLDACVDLLGGLINIGIGNDTKKTCCPVLSGLVDLDAAICLCTTIKAKLLNINILLPIALQLLVDCEKHAPEGFQCPA >DRNTG_13980.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27860364:27861190:-1 gene:DRNTG_13980 transcript:DRNTG_13980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTKTSLSLFLFILFLTFSFLIPSQACPVCQTSPPPPPPPKKIPPPPPPKPVPCPPPPKPSPPPPPPPKPSPPPPPPPTPSPPPPPPPKPVPSPPPPKPKPVPCPPPPKSPAGTCPIDVLKLDACVDLLGGLINIGIGNDTKKTCCPVLSGLVDLDAAICLCTTIKAKLLNINILLPIALQLLVDCEKHAPEGFQCPA >DRNTG_20191.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12792728:12793581:1 gene:DRNTG_20191 transcript:DRNTG_20191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTIKSKSSGIIFPKSAMVNLRSRQSGKNSTGEPPTLSLLRRPVLSSPSALLRSCFFSDFSSGRPFFVGPLLSSLPYLYFFFH >DRNTG_01517.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:12909742:12918659:1 gene:DRNTG_01517 transcript:DRNTG_01517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGYAPGPVDRVHGLGYFHLACVVAFRGSECFPEIAHGRTSPPVMLFCGGVRAWIMEPQPKKQADKRSQESSPESENALSENGTMNEEKNSEIEVETDIEVLDFEEASDVASISFQHMVEVPPQWEIEEEKGEDGIMAKETCEEAEETASTTFEDAT >DRNTG_26426.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23935132:23936258:-1 gene:DRNTG_26426 transcript:DRNTG_26426.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLAKSSAAAAAGAGMIVLSRNSFGLIRSPVRAFASTTAPDAVGADPEKSKRRKKKNLFEVAQFLPNWGLGYKLAKSHWRDVSYELTKINLYKDGRHGKAWGIRYKAGSKIGDAPVKMSGVNKPGWKYLTESMKNINSPSMPTQTTTPASEEALHY >DRNTG_27741.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4370951:4377029:-1 gene:DRNTG_27741 transcript:DRNTG_27741.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDHEYIVLLEDMQYALQEVRGFNFYRRLVSQIQERPTTMPDIFRNVTNSCTIPIVIYGIGRIQYSYAARFQLALALLLREVPGLEIYEEITICCDDLTLNHVEERAMKAHGCKVIRMFGGRFRWIVDELTLFFLPFTRPEVLGDLLQMNWCSSQLEKMIILGNNLTSMATTLDEMISTCDNEERPPNMTINKLTYVSDRLRYIWAICKHTWVFDINDVSSSIDQSEDYSPEQLFEDMCWHGFDFDGIDINEKDMNNFLPSTDSCYKWVDDEASYPYRMDEDDYEELPRLQHEIKITVMELKESEYYIKFRDQLHNNYFLKNEISRRVSSTQQVQMVIYALGSLEHFYDSHYQLALALLLKEETEILKIGEIQVFDPVITPVDAALIRSLGCTVLTVNEYARRKVEKPTLFFLPFAWYELVANLLETNWSPSGLENLMILGTSMHEFDDACDQPVNEIFKQTEIESLLQIDRCRYMKTIKNWSVDFIVDKEDIELPLNQFCWVFFNLQQEIDINSILPSFPTLSKIQVDHDSAIADNNDEISELRTRMENCYFQRFKPDYKRKNNFFLCHKDLRGLSKYRKTWTPPQPSWIKLNFDGYSNGLYGYGGFFCNDKGERLLSYAGPMSDTNVELGDVKNQIKAQVKAKLIGGVAEGKYAGGARFKIGRNLPEME >DRNTG_24850.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29424384:29425808:1 gene:DRNTG_24850 transcript:DRNTG_24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRTLHWIVPSYSIWGLPFFLFYATRSSQFLHEHPNQGLFSSFLCHLLNPVRKAVSKFIESYLSWKLPLAKYGLKPDHPFEEDYASCQMAILPEKFFAEADNGRILFKKSSNFCFYEQGLMLDDNSKLEADIVFLTTGYDGKKKLRSVLPEPFNGLIVNNSGLMPLYRGTIHPLIPHMAFVGYIESVSNLHTSELRCKWLERLLEERFKLPTVEAMFEQVSEETEIMKRTTRFYKRHCISTYSINHSDDICTEMGWQSWRKRNWFMELFSPYNNQDYKEDKDN >DRNTG_24850.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29424702:29425808:1 gene:DRNTG_24850 transcript:DRNTG_24850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILPEKFFAEADNGRILFKKSSNFCFYEQGLMLDDNSKLEADIVFLTTGYDGKKKLRSVLPEPFNGLIVNNSGLMPLYRGTIHPLIPHMAFVGYIESVSNLHTSELRCKWLERLLEERFKLPTVEAMFEQVSEETEIMKRTTRFYKRHCISTYSINHSDDICTEMGWQSWRKRNWFMELFSPYNNQDYKEDKDN >DRNTG_28815.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28695683:28696422:-1 gene:DRNTG_28815 transcript:DRNTG_28815.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQIHQVSHVAVFPSPVTETEELLLPLSFFDAHWISIPPIQRLLLFPAAPDLHYLKSSLSAVLRRFYPLAGKLTYLPATSDVALSCSPGDHVTFIEADSDGDFTRLASDEIHDVDSFLRLVPELDVKVLPAAVMEVQVTRFDGGSVAVGLAIHHAVVDGHGLWLFVEALAMACRAGEEAISGISLVHDRTLIRHHPRGDEIARRLLKKMVPKLPIVSNSR >DRNTG_28815.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28695129:28696422:-1 gene:DRNTG_28815 transcript:DRNTG_28815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQIHQVSHVAVFPSPVTETEELLLPLSFFDAHWISIPPIQRLLLFPAAPDLHYLKSSLSAVLRRFYPLAGKLTYLPATSDVALSCSPGDHVTFIEADSDGDFTRLASDEIHDVDSFLRLVPELDVKVLPAAVMEVQVTRFDGGSVAVGLAIHHAVVDGHGLWLFVEALAMACRAGEEAISGISLVHDRTLIRHHPRGDEIARRLLKKMVPKLPIINTRSRYEGTRRTFTISRDMIQSMKQRAKDGHIQYSTF >DRNTG_12334.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000542.1:69015:71933:1 gene:DRNTG_12334 transcript:DRNTG_12334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKCMTRGSTSTPPVNLDPKVERTFRRRLRHISLSNTGSVEISVEVDQDVEMASNHPQSISDFAQPNLEGFGSSIVCPPIAPNNFDLKPNFIQLVQQMCQFDGFQDEDPYEHLKNFLEICDTYKASNVSEEAVRLRLFTFTLRGRPKYFPYVKITKLRSDISSFHQLESKSLYETWDRYKEMLWKCPQHGIVEWMQIQIFYIGLNTSTKQMLDVATGGLLCNKQPSDSQMLIKDVRQWETFLKDELNNLLEDILFDEDELGWTTKEVQGLEVLVYMDSKPLDRQISRAKMWWKKVTCKKKKTLTSPPEPRIPNHVGTRALAETQALHDRVDMKYVFCAPFTIVSMLKF >DRNTG_20742.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21006048:21009963:-1 gene:DRNTG_20742 transcript:DRNTG_20742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLISIGFEGSANKIGIGIATLDGNILANPRSTYITPPGHGFLPRETAQHHLQNLTRLLRSALDEAGISPADIDCICYTKGPGMGAPLQVCAAAVRVLAKLWNKPLVGVNHCVAHIEMGRVVTGAEDPVVLYVSGGNTQVIAYSEGKYRIFGETIDIAVGNCLDRFARVLNLSNDPSPGYNIEQLAKKGEEFIDLPYVVKGMDVSFSGILSYIEAIAPVKLESKECTEADLCYSLQETLFAMLVEITERAMAHCDTKDVLIVGGVGCNLRLQEMMKAMCAERGGRLYATDDRYCIDNGAMIAYTGLLAFARGITTPLEESTFTQRFRTDEVHAIWREKSLSDINNGSVNTIEEKTGS >DRNTG_29647.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2805722:2811523:-1 gene:DRNTG_29647 transcript:DRNTG_29647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSVAVKSLDNKSILVTGATGFLAKLFVEKVLRTQPNVKKLYLLVRAHDAISAKTRVEKEVVSKELFDVLREKYGASFDSFFWSKVHAVQGDTTLENIGIRDVNLIEVLWREVDYIVHSAANTRFNERYDVSLSINTLGARNITLFAKECENLRMFLHISTAYVTKEKKGIISEEIPEFDQVLIPELEFELTESKMKELNANCTSKNEIKLYMKELGMERANKFGWPNVYSFTKAMGELQITKFKGNLPVTILRPTIILSTYKEPFPGWIEGIRTIDKFMVSYGEGEIVCFPGHPDAILDVIPGDMVVNAMLAAMTNTDSHFTNNLNIYHVGSSTVNTMNCSLFFEVSYKYFSMHPQIGKNGELITVQKLFFFPTLHAFSMYMFFRFKLPLQGLSLEELSEEKRNQYKKLNQTFNNVMKMAKAYSPYSLMHGIFDDANTTMLRMRMNQEEKELFYFDPKCINWHQFLMEIHIPGVIKHYMDNQCLLRKRARIIEVASILEVELHAIELGLDVAGDWRYKLLQCS >DRNTG_10797.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000467.1:3088:4318:1 gene:DRNTG_10797 transcript:DRNTG_10797.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLMKRRGCKADEFLFRLLIKGLRKLGETDVADEVEKDFKVWFDGGIGASQYLLDEMANRR >DRNTG_01596.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9577548:9578247:1 gene:DRNTG_01596 transcript:DRNTG_01596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAWVASCCLQPPRNVALEVDLPNSYSPRREMLEFPPFLTSSSPGPRWGGSVSLTLAVSCPEKIMIPERKLPKKKLSKPFKPSGPDSVTVALVLDTPSLEGIHVQIRRDHRVFCTPPTLELDQVVFRL >DRNTG_01481.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13678432:13679842:-1 gene:DRNTG_01481 transcript:DRNTG_01481.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNSQEFHTLLEQALSCRSPAAQLQIKEKCRNMFSENLVEHLQGSKLGTQKNEMCEILCLWILEPHEREALVAKEAIEQRDQVDYKALVEIYTLRKSNQLFLTKQAYLKRFKRHLDQDIISESAHSYQKILEALATSHRSHHAETSHHIAKCDAKRLYEAGKGITGH >DRNTG_01481.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13678432:13679842:-1 gene:DRNTG_01481 transcript:DRNTG_01481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNSQEFHTLLEQALSCRSPAAQLQIKEKCRNMFSENLVEHLQGSKLGTQKNEMCEILCLWILEPHEREALVAKEAIEQRDQVDYKALVEIYTLRKSNQLFLTKQAYLKRFKRHLDQDIISESAHSYQKILEALATSHRSHHAETSHHIAKCDAKRLYEAGKGITGYIDQSIILEIFSKRSIPQLRLTFSSYKHIYGHEYIKTLKKENSAEFEESLRVVIKCMNDPTKYYSKMLHMSMKGGLKNRSALSRVMVGSTDVGMEDVKNEFQRRYGTKLEDYICESIPVGVYKDFLLALVNN >DRNTG_01330.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:97411:103284:1 gene:DRNTG_01330 transcript:DRNTG_01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPSITSQELNFLVFRYLQESGFTHAAFTLGYEAGINRSNIDGNLIPPGALVTFVQKGLQYIELEANLESNDAAVDSDFSFLQPLDLITKDVPELQQIIKERKEQLQMAKGNDLDSQRGSEKEREKQDKDRDKEMQKEKMDKDCEREKEKDRHHEENKDMAKNREDKTKSKPDENDVRGGSEAMDCTPVSFEIPSSEVTILEGHSSEVFACAWSPAGSLLASGSGDSTARIWTIPDGPCGPNSQITAQSVQVLKHFRGRTNEKSKDVTTLDWNGEGTLLATGSYDGQARVWTKDGELKNTLIKHKGPIFSLKWNKKGDCLLSGSVDKTAIVWDTKTWECKQQFEFHSAPTLDVDWRNNNSFATCSTDSMIYICKIGENRPIKAFSGHQGEVNAIKWDPTGSLLASCSDDGTAKIWSMKQDKCLHDLKEHIKEIYTIRWSPTGQGTNNPNRQLVLASASFDSTIKLWDVERGSLLYSLNGHRQPVYSVAFSPNAEYLASGSLDQCVHIWSMKEGKIVKTYTGGGGIFEVCWNKEGDKLAACFSNSTVCVMDFRM >DRNTG_13537.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21084966:21086416:-1 gene:DRNTG_13537 transcript:DRNTG_13537.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGRKEVLLSSFHPASKRRRNHLNVSLLKTNGFVSGVRRWENLENKSSALHNSSGLDQNSQSLNNETTVQTSDKQEHQSLSARGLLNSHGLGDSLVIPKRPRATTRRKKIENLNSLKRSPGNSISNGKARTDAPSSKSPINIFPSPHAPNGYLKKKLDSKQNSCFRRDGSVPKIKDEKEKHNRKRKRLEVERKTNVEKEKLGDDEKLSGGPVEDDEDNLEQNAARMLSSRFDPSCTDFSGKKMALSLKSAKGCSPLKQFNGTLKSLCSET >DRNTG_13537.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21084966:21086140:-1 gene:DRNTG_13537 transcript:DRNTG_13537.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGRKEVLLSSFHPASKRRRNHLNVSLLKTNGFVSGVRRWENLENKSSALHNSSGLDQNSQSLNNETTVQTSDKQEHQSLSARGLLNSHGLGDSLVIPKRPRATTRRKKIENLNSLKRSPGNSISNGKARTDAPSSKSPINIFPSPHAPNGYLKKKLDSKQNSCFRRDGSVPKIKDEKEKHNRKRKRLEVERKTNVEKEKLGDDEKLSGGPVEDDEDNLEQNAARMLSSRFDPSCTDFSGKKMALSLKSAKGCSPLKQFNGTLKSLCSET >DRNTG_10226.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:55757:63557:-1 gene:DRNTG_10226 transcript:DRNTG_10226.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 13 [Source:Projected from Arabidopsis thaliana (AT1G73177) UniProtKB/Swiss-Prot;Acc:Q8L981] MAELLSLGILIDILDDEWMRDTLPHDDVPLPTAMATRPEDTEEANQESQPVDGDAWRDLALENQ >DRNTG_10226.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:55757:63557:-1 gene:DRNTG_10226 transcript:DRNTG_10226.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 13 [Source:Projected from Arabidopsis thaliana (AT1G73177) UniProtKB/Swiss-Prot;Acc:Q8L981] MAELLSLGILIDILDDEWMRDTLPHDGIDVPLPTAMATRPEDTEEANQESQPVDGDAWRDLALENQ >DRNTG_10226.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:55757:63557:-1 gene:DRNTG_10226 transcript:DRNTG_10226.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 13 [Source:Projected from Arabidopsis thaliana (AT1G73177) UniProtKB/Swiss-Prot;Acc:Q8L981] MAELLSLGILIDILDDEWMRDTLPHDGIGIDVPLPTAMATRPEDTEEANQESQPVDGDAWRDLALENQ >DRNTG_29324.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29699432:29701852:1 gene:DRNTG_29324 transcript:DRNTG_29324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTETFGEILFTPETESSEGFPSPETLKRKILISTKPQQVYLDSETGKFKDLESHKGLKDD >DRNTG_31075.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30755088:30758199:-1 gene:DRNTG_31075 transcript:DRNTG_31075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQFPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKDRFAESKRELDALLSDESLATVPFLVLGNKIDIPYAASEEELRYHLGLSNFTTGKGNVNLADSNVRPLEVFMCSIVRKMGYGDGFKWVSQYIK >DRNTG_16185.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12161840:12172310:-1 gene:DRNTG_16185 transcript:DRNTG_16185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKNKHVLAPMVRVGTLPFRLLAAQYGADITYGEEIIDHKMIKCDRHINEYLGTTDFVERGTQNVVFRTCPEERNRVVFQMGTSNAVRALTAAQLVSKDVAAIDINMGCPKSFSISGGMGAALLSKPELIHDIITTLKRNLDNTITCKIRLLKSSEVTVELARRIEKAGVSALAVHGRRVPDRPRDPAKWDEIADVVAALSIPVIANGDVFEYEDFERIKGATGATCVMVARGALWNASIFSPSKSSWEDVKREYVRQCILWDNDVKSTKHTLKEMIAHYSSLELPEGKAVIKSANTENVARIYGEEDYYHQVSMNRS >DRNTG_16185.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12161840:12170327:-1 gene:DRNTG_16185 transcript:DRNTG_16185.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIKCDRHINEYLGTTDFVERGTQNVVFRTCPEERNRVVFQMGTSNAVRALTAAQLVSKDVAAIDINMGCPKSFSISGGMGAALLSKPELIHDIITTLKRNLDNTITCKIRLLKSSEVTVELARRIEKAGVSALAVHGRRVPDRPRDPAKWDEIADVVAALSIPVIANGDVFEYEDFERIKGATCVMVARGALWNASIFSPSKSSWEDVKREYVRQCILWDNDVKSTKHTLKEMIAHYSSLELPEGKAVIKSANTENVARIYGEEDYYHQVSMNRS >DRNTG_16185.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12162388:12172310:-1 gene:DRNTG_16185 transcript:DRNTG_16185.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKNKHVLAPMVRVIITTLKRNLDNTITCKIRLLKSSEVTVELARRIEKAGVSALAVHGRRVPDRPRDPAKWDEIADVVAALSIPVIANGDVFEYEDFERIKGATGATCVMVARGALWNASIFSPSKSSWEDVKREYVRQCILWDNDVKSTKHTLKEMIAHYSSLELPEGKAVIKSANTENVARIYGEEDYYHQVSMNRS >DRNTG_16185.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12161840:12172310:-1 gene:DRNTG_16185 transcript:DRNTG_16185.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCPKSFSISGGMGAALLSKPELIHDIITTLKRNLDNTITCKIRLLKSSEVTVELARRIEKAGVSALAVHGRRVPDRPRDPAKWDEIADVVAALSIPVIANGDVFEYEDFERIKGATGATCVMVARGALWNASIFSPSKSSWEDVKREYVRQCILWDNDVKSTKHTLKEMIAHYSSLELPEGKAVIKSANTENVARIYGEEDYYHQVSMNRS >DRNTG_16185.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12168142:12172371:-1 gene:DRNTG_16185 transcript:DRNTG_16185.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKNKHVLAPMVRVGTLPFRLLAAQYGADITYGEEIIDHKMIKCDRHINEYLGTTDFVERGTQNVVFRTCPEERNRVVFQMGTSNAVRALTAAQLV >DRNTG_16185.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12168142:12172310:-1 gene:DRNTG_16185 transcript:DRNTG_16185.7 gene_biotype:protein_coding transcript_biotype:protein_coding NRLALSTIPRRKERSSWSTRISTSSPQWSE >DRNTG_16185.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12161840:12172310:-1 gene:DRNTG_16185 transcript:DRNTG_16185.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKNKHVLAPMVRVGTLPFRLLAAQYGADITYGEEIIDHKMIKCDRHINEYLGTTDFVERGTQNVVFRTCPEERNRVVFQMGTSNAVRALTAAQLVSKDVAAIDINMGCPKSFSISGGMGAALLSKPELIHDIITTLKRNLDNTITCKIRLLKSSEVTVELARRIEKAGVSALAVHGRRVPDRPRDPAKWDEIADVVAALSIPVIANGDVFEYEDFERIKGATGATCVMVARGALWNASIFSPSKSSWEDVKREYVRQCILWDNDVKSTKHTLKEMIAHYSSLELPEGKAVIKSANTENVARIYGEEDYYHQVSMNRS >DRNTG_26068.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:14427539:14428269:-1 gene:DRNTG_26068 transcript:DRNTG_26068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWREIHGRKKKGNKLHHPTSHGGKVNFSPLLGRMVAV >DRNTG_05141.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30667077:30670021:1 gene:DRNTG_05141 transcript:DRNTG_05141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLANYASDDDDEQEMASNSHPNPNPSPNFLLSSLPPPKSLPKIPPSNLNSSKSDHHDLPKPNSSLFSSLPPPKSAQNPKKVVKFTPPVNLASLRSTDLDDDDDDEEEMERKSVKISSSNPSKGLAALLPAPKNSLCLAPNSSDASSRRSILDADVPAISQEGFGSRKEEVIGGSESFVNAPGGENLGFTTSTSTNEASTWDPSYGGGGVEYGGYTENWSDGSYAGNWYEGSETVAEPYPVIPEMEKIGGKRGRSEIPANIVEVKQDELMKNRPRQDQVKLTGIAFGPSYQPVSSGKGKPSKLHKRKHQIGSLYFDMKQKEMELAERRSKGLLTKSETQAKYGW >DRNTG_10529.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3786859:3787173:1 gene:DRNTG_10529 transcript:DRNTG_10529.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKAEFYIPKEKERVLVEPPSTVLEEQWPGLVRQWYNPRNEELAEINKRNAKKQNNAHTCGRKSFARIRKEI >DRNTG_19223.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18989011:18991116:-1 gene:DRNTG_19223 transcript:DRNTG_19223.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTIRRIDLICKLLAPVFSGFIISFISLKASAVTLALWNTLSVWLQYWLLTSVYNGIPALINTDEQRKAKPITSNLLENESYFNENTSFAHLHWWNRIPEMLSKIPFLDAWILYSKQQVVLPGVSLALLYFTVLSFGTLMTATLQWKGIPAYIIGLARGFSAIVGISATMIYPIVHSHISTLRTGLWSIWTQWSFLLLCLASIWIKNNGVSAWMLMGGVAASRLGLWMFDLSVIQQMQDEVPESDRCIVGGAQNSLQSMLDLLTYIMGIIVSNPEEFGKLVIMSFVLVSSAALLYTLHVYQVRKHLFHFEKFLKV >DRNTG_19223.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18989259:18989886:-1 gene:DRNTG_19223 transcript:DRNTG_19223.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTATLQWKGIPAYIIGLARGFSAIVGISATMIYPIVHSHISTLRTGLWSIWTQWSFLLLCLASIWIKNNGVSAWMLMGGVAASRLGLWMFDLSVIQQMQDEVPESDRCIVGGAQNSLQSMLDLLTYIMGIIVSNPE >DRNTG_19223.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18989011:18991116:-1 gene:DRNTG_19223 transcript:DRNTG_19223.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWEFSVGLYMINLWPDSLLFTAIYGVVESSSTALFGSVVGKWVDRLTYAKVLKLWLLTQNLSFIVAGVTVTALLTCYNLKSTSFSTFLSFVIIVNLSGAIGVLSTLAGTILIEREWVVVIANGQPAGVLTEMNSTIRRIDLICKLLAPVFSGFIISFISLKASAVTLALWNTLSVWLQYWLLTSVYNGIPALINTDEQRKAKPITSNLLENESYFNENTSFAHLHWWNRIPEMLSKIPFLDAWILYSKQQVVLPGVSLALLYFTVLSFGTLMTATLQWKGIPAYIIGLARGFSAIVGISATMIYPIVHSHISTLRTGLWSIWTQWSFLLLCLASIWIKNNGVSAWMLMGGVAASRLGLWMFDLSVIQQMQDEVPESDRCIVGGAQNSLQSMLDLLTYIMGIIVSNPEEFGKLVIMSFVLVSSAALLYTLHVYQVRKHLFHFEKFLKV >DRNTG_19223.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18989011:18991920:-1 gene:DRNTG_19223 transcript:DRNTG_19223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVNMRQPLVHGSSQQSLTLAFIKRMYVGHFLARWGARMWEFSVGLYMINLWPDSLLFTAIYGVVESSSTALFGSVVGKWVDRLTYAKVLKLWLLTQNLSFIVAGVTVTALLTCYNLKSTSFSTFLSFVIIVNLSGAIGVLSTLAGTILIEREWVVVIANGQPAGVLTEMNSTIRRIDLICKLLAPVFSGFIISFISLKASAVTLALWNTLSVWLQYWLLTSVYNGIPALINTDEQRKAKPITSNLLENESYFNENTSFAHLHWWNRIPEMLSKIPFLDAWILYSKQQVVLPGVSLALLYFTVLSFGTLMTATLQWKGIPAYIIGLARGFSAIVGISATMIYPIVHSHISTLRTGLWSIWTQWSFLLLCLASIWIKNNGVSAWMLMGGVAASRLGLWMFDLSVIQQMQDEVPESDRCIVGGAQNSLQSMLDLLTYIMGIIVSNPEEFGKLVIMSFVLVSSAALLYTLHVYQVRKHLFHFEKFLKV >DRNTG_28151.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20762558:20765224:-1 gene:DRNTG_28151 transcript:DRNTG_28151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETVRYLIGSAGPSGYGSKSTAEEVTEACADLRSITAIITGATSGIGAETARVLAKRGARLILPARNLKAAEETKARITSEFPTAEIIVLPLDLSSFSSVRSFASRFLSLRLPLNLLINNAGKFSYERAISEDGIEMTFATNYLGHFLLTKLLLNKMVETARETCIQGRIVNVSSSIHGWFSGDCIHYLHLITNNKIAFDATRAYAVSKLANVLHTRELAERLKDMEANVVVNCVHPGIVRTRLTRDREGFLTDMVFFLASKLLKTIPQAAATTCYAAVHPKVEGVTGKYFADCNEAAASKAGCNTAEAARLWRISEEMIVEQEQ >DRNTG_27732.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:206092:208286:-1 gene:DRNTG_27732 transcript:DRNTG_27732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCFVLILVPASVDGLRSLFFWVCCFLLSFTVFLCHTPNLTQRTRDTDKNDLTPTRPKLSSHTRPQNLIQNRIKKQTKWFTKTIQTYSNAHNKRDISQAN >DRNTG_28236.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20429404:20433403:1 gene:DRNTG_28236 transcript:DRNTG_28236.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g21300 [Source:Projected from Arabidopsis thaliana (AT4G21300) UniProtKB/Swiss-Prot;Acc:Q9STE1] MLRRKNLVPICKCFSWSSEFECNLFPKILRRISNLTLSSAQFNGVSRNHDDDDLVSRISSMLRDVHDPLDLQKGRQIHAQLVVNGLEDHRVSRNGVLGMYVLCGSVCDAKDVFFRLEKKASLHWNWMIRGFNMMGLFDFALLFYFKMFFYGVLPDQHTYSCVLKSCCGLSTVGLGRLIHGMISSVGLEEDMYVGSSLIKLYAENGCIVEAREVFDKMPERDCVLWNVMIDGYVRNGDAEKAVQMFNRMRISGINPNYVSFICVLSVCALEGMLECGNQLHALAVKLGLELEASVANTLVSLYSRCQCLSDVQILFGLMPQPDLVTWNGMISGYVQSGLKDEAFILFYQMQRAGVKPNSITLSSFLPSLSGIACLKQVKEVHSYIIRNQIDMDAFLKSALIDLYFKCKDVVSAMRIFHASKTMDIVICSAMISGYVLNGLSRDALEMFRMLLGAQLKPNAITLASVLPACSCLNALRLGKELHGYVIRNACEGRCYVSSALLDMYAKCGRVDLSYLVFRNISIKDSVAWNSMISSFTQNGQPEDAISHFRQMGDEGIRYDCVTVSSALSACASLPALHCGKEIHGFMMRSYLRSDLFAESALIDMYSKCGNLLWARRVFDSMSIKNEVSWNSIIASYGTHGLLTDALSLFQQMQEAGFRPDHVTFLTIISACGHAGHVEEGFRYYRCMSEQYGITARMEHYAAVVDLFGRAGLLNEAFSFIKSMPLKPDAGIWGALLGACRVYRNVELAELASQQLFELDPQNSGYYVLMSNINAVTGRWDGVSKVRNLMRERKVQKAPGCSWIEINNSSHMFIAADESHPDSFQIYFLLKLLLMELRLAGYVPQPDLIYPQQECTARVKNKIHSPS >DRNTG_28236.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20429404:20433755:1 gene:DRNTG_28236 transcript:DRNTG_28236.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g21300 [Source:Projected from Arabidopsis thaliana (AT4G21300) UniProtKB/Swiss-Prot;Acc:Q9STE1] MLRRKNLVPICKCFSWSSEFECNLFPKILRRISNLTLSSAQFNGVSRNHDDDDLVSRISSMLRDVHDPLDLQKGRQIHAQLVVNGLEDHRVSRNGVLGMYVLCGSVCDAKDVFFRLEKKASLHWNWMIRGFNMMGLFDFALLFYFKMFFYGVLPDQHTYSCVLKSCCGLSTVGLGRLIHGMISSVGLEEDMYVGSSLIKLYAENGCIVEAREVFDKMPERDCVLWNVMIDGYVRNGDAEKAVQMFNRMRISGINPNYVSFICVLSVCALEGMLECGNQLHALAVKLGLELEASVANTLVSLYSRCQCLSDVQILFGLMPQPDLVTWNGMISGYVQSGLKDEAFILFYQMQRAGVKPNSITLSSFLPSLSGIACLKQVKEVHSYIIRNQIDMDAFLKSALIDLYFKCKDVVSAMRIFHASKTMDIVICSAMISGYVLNGLSRDALEMFRMLLGAQLKPNAITLASVLPACSCLNALRLGKELHGYVIRNACEGRCYVSSALLDMYAKCGRVDLSYLVFRNISIKDSVAWNSMISSFTQNGQPEDAISHFRQMGDEGIRYDCVTVSSALSACASLPALHCGKEIHGFMMRSYLRSDLFAESALIDMYSKCGNLLWARRVFDSMSIKNEVSWNSIIASYGTHGLLTDALSLFQQMQEAGFRPDHVTFLTIISACGHAGHVEEGFRYYRCMSEQYGITARMEHYAAVVDLFGRAGLLNEAFSFIKSMPLKPDAGIWGALLGACRVYRNVELAELASQQLFELDPQNSGYYVLMSNINAVTGRWDGVSKVRNLMRERKVQKAPGCSWIEINNSSHMFIAADESHPDSFQIYFLLKLLLMELRLAGYVPQPDLIYPQQECTARVKNKIHSPS >DRNTG_28236.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20429404:20432890:1 gene:DRNTG_28236 transcript:DRNTG_28236.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g21300 [Source:Projected from Arabidopsis thaliana (AT4G21300) UniProtKB/Swiss-Prot;Acc:Q9STE1] MLRRKNLVPICKCFSWSSEFECNLFPKILRRISNLTLSSAQFNGVSRNHDDDDLVSRISSMLRDVHDPLDLQKGRQIHAQLVVNGLEDHRVSRNGVLGMYVLCGSVCDAKDVFFRLEKKASLHWNWMIRGFNMMGLFDFALLFYFKMFFYGVLPDQHTYSCVLKSCCGLSTVGLGRLIHGMISSVGLEEDMYVGSSLIKLYAENGCIVEAREVFDKMPERDCVLWNVMIDGYVRNGDAEKAVQMFNRMRISGINPNYVSFICVLSVCALEGMLECGNQLHALAVKLGLELEASVANTLVSLYSRCQCLSDVQILFGLMPQPDLVTWNGMISGYVQSGLKDEAFILFYQMQRAGVKPNSITLSSFLPSLSGIACLKQVKEVHSYIIRNQIDMDAFLKSALIDLYFKCKDVVSAMRIFHASKTMDIVICSAMISGYVLNGLSRDALEMFRMLLGAQLKPNAITLASVLPACSCLNALRLGKELHGYVIRNACEGRCYVSSALLDMYAKCGRVDLSYLVFRNISIKDSVAWNSMISSFTQNGQPEDAISHFRQMGDEGIRYDCVTVSSALSACASLPALHCGKEIHGFMMRSYLRSDLFAESALIDMYSKCGNLLWARRVFDSMSIKNEVSWNSIIASYGTHGLLTDALSLFQQMQEAGFRPDHVTFLTIISACGHAGHVEEGFRYYRCMSEQYGITARMEHYAAVVDLFGRAGLLNEAFSFIKSMPLKPDAGIWGALLGACRVYRNVELAELASQQLFELDPQNSGYYVLMSNINAVTGRWDGVSKVRNLMRERKVQKAPGCSWIEINNSSHMFIAADESHPDSFQIYFLLKLLLMELRLAGYVPQPDLIYPQQECTARVKNKIHSPS >DRNTG_11522.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3781266:3783510:-1 gene:DRNTG_11522 transcript:DRNTG_11522.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDQHRGYLLEIMLTKLRYAAGEGNTESSSGESSDSSNKADPARGLQIIGMSATMPNVAAVADWLQAALYQTDFRPVPLEEYIKVGNTIFDKKLDVVRVLPKTSDFGGKDPDHIVELCNE >DRNTG_11522.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3781943:3783510:-1 gene:DRNTG_11522 transcript:DRNTG_11522.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDQHRGYLLEIMLTKLRYAAGEGNTESSSGESSDSSNKADPARGLQIIGMSATMPNVAAVADWLQVGLGGFANALHQPCVALRYNTLSLTFHNLGLLNLLPS >DRNTG_11522.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3781266:3782254:-1 gene:DRNTG_11522 transcript:DRNTG_11522.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKLRYAAGEGNTESSSGESSDSSNKADPARGLQIIGMSATMPNVAAVADWLQAALYQTDFRPVPLEEYIKVGNTIFDKKLDVVRVLPKTSDFGGKDPDHIVELCNE >DRNTG_26594.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20030078:20035215:-1 gene:DRNTG_26594 transcript:DRNTG_26594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKINEGNGDLVADFIFSWSLDDIFNGDLFKGKVVKNPLTFESMKSYLNSYTFPMLEEVRADIASCLEVIAQAPFTNILEINKIGPKKQLLYHIKIGRQNASVAGENEIYIPKRGDIFVLTDTRPKLVSDLIQNGRSYRIAIVSKGGDDDDEMPPHKYKISLSKSLDNDQFCDIGNSKPPLFAVYLMNIATSSRMWRAIDFQLATKRNLLLVNEVINNKSSIFKDREVPQSDGLGNIINKLLDFKVNDSQKNAVLSCVWASQRNDKCSIDLIWGPPGTGKTNTTGALLWILKEMKCRTLTCAPTNTAVMEVASRYMKLLKEKENAAEKGALLLGDVLLFGNKDRMKNNDYLRDVFLDNRVKKLIPWFAQKTGWKHCLDSMVELFVDSFKLYQQHVEKEWITLEDFVRRKFAENSKSLSQCLRTLRMHLPSASISVESSRDIVLLLDLLQEFHAILRQKFTTSDLEEVFKSNSVQDSNSKTSELIDLEEVFKSNIVLNSNSKTSELRDLEEVFKSNCVVNSNSKTSELKDLEEVFKSNSVLNSNSKTSELRKSKERCLQVLYRLQSGLKLPLTCSKRGIGDFCMQKASLMFTTASSSSKLYNVKGMKPLDVLVIDEAAQLKECETLIPLQLSVVRHAILIGDECQLPAMVKSKVSDNALFGRSLFERLTSLGYKKQLLNVQYRMHPWISRFPNASFYDNQISDGPNVTDKKHARCFLPGPMFGPYSFINIEFGNEVADALAHSKKNLVEVAVISDIIRRLASECVRTKRRVSVGIICPYTAQVSAIQEKLGKAYNGKTSFSVRVNSVDGFQGSEEDIIIFSAVRSNTAGTVGFLYNHQRTNVALTRAKHCLWILGNAPTLSSSGTIWSKLVRDAKNRGCFFDAKDDKSIMNAMMKHCNDFGKINDQIYNTNSLDISKTQEKKSGGPSTSKSLSNYVLIKSLDKKNEGEIHVLSNQFLQLGADNTSEAPKSDSSKIKTSEGKKMENNPKMEDKRKFQTKENHVSDVMYQPKYHPSTRKSEDHVPICISNAAPCSTTTAQTAPKEKNVVEHDNLSNLSSEAAHQSEHPQIIKSEGYMQTDTLPNWGNPNYDASSSTLKMEAAPKPKQLIEERKEEPGNMCVTIAASVAVAVMGSAASRIFRWFNQS >DRNTG_13343.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1096010:1097917:-1 gene:DRNTG_13343 transcript:DRNTG_13343.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDIQKRRVQLALLIGGIIVLSMTAEKFREFVGDEASSKSGKFTFLNCFDMGSGSLACVAKEGVKLYVYSIRSAHVEKIRQRATEVALTEALTGGLTPAVAAKEAQKAGTKAAKQATREAKRITGPIISSGWDFFEAIYYGGSMTEGFLRGIGTLFGTYAGGFHGEQRLGKLGYLMGSHFGSWVGGRIGLMVYDIGNGLHYLLQFVEPEANTGSEDVYNAEENEGNYWRRDDESSEYYQTQEENSESSEGWSLF >DRNTG_34310.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002119.1:6559:8878:1 gene:DRNTG_34310 transcript:DRNTG_34310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSWKEKVVTSLTTFLRISWILCTRVQMTLALQNKKENRLVCNVNLKTYNVAGKLVVCWNAIYDPVETGKKVKKAGGAGMILVNTWPAGNTTSPEPHVLPVANVGFDAGQKIVTYITNSTANPTASISLDGTQLGVRPSPAVASFSCRGPSKMNYGIIKPDIIAPGVNILAAWPQRVGPSPTGSYSKFKFLSGTSMATPHVSGIVALLMNLYPSWSPARIKSALMTTAYALNTNGEPIKDEYSGNASVYAMGSGHVDPLAAVNPGLVYDLQYYNYVHYLCGTGMADYQLSAIIRTTASCSQINGIPVEQLNYPSFSVKLGAGNPVTVTRIVTNVGDANSAYQVEFDEPEGVNIAVNPTTLQFSQKEEVDV >DRNTG_11298.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1517487:1520548:-1 gene:DRNTG_11298 transcript:DRNTG_11298.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAPLSLSNHAIKELSESQAFTSSIPSNFHTFRNSDEELRPEDFINEEIPIIDFSLLIGGTAMERSEVIHHLCMACREWGFFMVVNHEIPKRLMDEMLDAFEKFFNQSEEEKNEYINKYALHPIRYGTSVNTHEDKIRYWRDYVKIFVNPEFHSPVKPFGFRNTLFEYAASTRKMGEELLKAIWESLELNTEDIEVALDIKSCFQIIIGNLYPPCPQPELALGLPPHSDHGLLTILLQNGINGLQVKHNNKWLHIKPLPNVFIVNIGDQMEVIYLLNFYYLIILHGLACLNTLA >DRNTG_11298.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1517487:1520548:-1 gene:DRNTG_11298 transcript:DRNTG_11298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAPLSLSNHAIKELSESQAFTSSIPSNFHTFRNSDEELRPEDFINEEIPIIDFSLLIGGTAMERSEVIHHLCMACREWGFFMVVNHEIPKRLMDEMLDAFEKFFNQSEEEKNEYINKYALHPIRYGTSVNTHEDKIRYWRDYVKIFVNPEFHSPVKPFGFRNTLFEYAASTRKMGEELLKAIWESLELNTEDIEVALDIKSCFQIIIGNLYPPCPQPELALGLPPHSDHGLLTILLQNGINGLQVKHNNKWLHIKPLPNVFIVNIGDQMELVSNGRYKSVLHRAEVNGKNTRMSVATIIGPSHEAIVAPAPQLVEHDHHLAFHGMRFKDFLEQQQANPLKQRSIFDLLRVTND >DRNTG_06163.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25341581:25345962:1 gene:DRNTG_06163 transcript:DRNTG_06163.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSTTTLTINNGKRRKKAKTRKAKSQAGWRFLRQGLQDSSAYKLPTAPLLFSLSGPSYLEIKRDATTMGDNTHIAQSKNLQFNQ >DRNTG_06163.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25341581:25345402:1 gene:DRNTG_06163 transcript:DRNTG_06163.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSTTTLTINNGKRRKKAKTRKAKSQAGWRFLRQGLQDSSAYKLPTAPLLFSLSGPSYLEIKRDATTMGDNTHIAQSKNLQFNQ >DRNTG_06163.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25341455:25345688:1 gene:DRNTG_06163 transcript:DRNTG_06163.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSTTTLTINNGKRRKKAKTRKAKSQAGWRFLRQGLQDSSAYKLPTAPLLFSLSGPSYLEIKRDATTMGDNTHIAQSKNLQFNQ >DRNTG_06163.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25341581:25345962:1 gene:DRNTG_06163 transcript:DRNTG_06163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSTTTLTINNGKRRKKAKTRKAKSQAGWRFLRQGLQDSSAYKLPTAPLLFSLSGPSYLEIKRDATTMGDNTHIAQSKNLQFNQ >DRNTG_06163.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25341581:25345402:1 gene:DRNTG_06163 transcript:DRNTG_06163.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSTTTLTINNGKRRKKAKTRKAKSQAGWRFLRQGLQDSSAYKLPTAPLLFSLSGPSYLEIKRDATTMGDNTHIAQSKNLQFNQ >DRNTG_27420.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28524272:28532512:1 gene:DRNTG_27420 transcript:DRNTG_27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate phosphatase PAH2 [Source:Projected from Arabidopsis thaliana (AT5G42870) UniProtKB/Swiss-Prot;Acc:Q9FMN2] MDVLKKEYISSSKLEAASVSDQAQDQSTPGVATDAGYQNKEENSTIPISPDVEVSLCKHLLYEGMGTDAASEAFSSMKVNVEQISALGPSLVKNDKLVVRIQGHYFPWDAAAPIILGMASFGKDHVFEHQGMIAVDRTEKNLKADTMNGSWRLWPFSFKRSKSISAVQSTPESTILMDSKGTGSCRNLSGGKIMRKPKVMKERSLTPSSEEIASLNLKEGQNVVTFGFSTAMLGQQQVDARIYLWKWNTRIVVSDVDGTITKSDVLGQFMPLVGVDWSQTGVAHLFSAIKENGYQLLFLSARAISQAYLTRQFLFNLKQEGIALPDGPVVISPDGLFPSLYREVIRRAPHEFKIQCLEDIKAVFPSDCNPFYAGFGNRDTDEISYLKVGVPKGKIFIINPKGEVAVNRHVDTRSYTSLHSLVNGMFPAMSSGEREDYNSWNFWRLPLPDINT >DRNTG_27420.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28524272:28532512:1 gene:DRNTG_27420 transcript:DRNTG_27420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate phosphatase PAH2 [Source:Projected from Arabidopsis thaliana (AT5G42870) UniProtKB/Swiss-Prot;Acc:Q9FMN2] MDVLKKEYISSSKLEAASVSDQAQDQSTPGVATDAGYQNKEENSTIPISPDVEVSLCKHLLYEGMGTDAASEAFSSMKVNVEQISALGPSLVKNDKLVVRIQGHYFPWDAAAPIILGMASFGKDHVFEHQGMIAVDRTEKNLKADTMNGSWRLWPFSFKRSKSISAVQSTPESTILMDSKGTGSCRNLSGGKIMRKPKVMKERSLTPSSEEIASLNLKEGQNVVTFGFSTAMLGQQQVDARIYLWKWNTRIVVSDVDGTITKSDVLGQFMPLVGVDWSQTGVAHLFSAIKENGYQLLFLSARAISQAYLTRQFLFNLKQEGIALPDGPVVISPDGLFPSLYREVIRRAPHEFKIQCLEDIKAVFPSDCNPFYAGFGNRDTDEISYLKVGVPKGKIFIINPKGEVAVNRHVDTRSYTSLHSLVNGMFPAMSSGEREDYNSWNFWRLPLPDINT >DRNTG_11396.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14139280:14142361:1 gene:DRNTG_11396 transcript:DRNTG_11396.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTLVLQVMAAMLQLRPFSTSLSPSPRFPGWTTNSILSILAAIPSFFFLSTRSIGRQPTTRHRSPLKPRPLRLHTLAVHRDPSRIHLGVSQALAFYSWVESHCGFIHNESTCRAMCRILAKSNNLRILWRFLRSNQTLVTTTTITSIIKVLGDEGLAKEALAAFYRMKQLHCKPDVIAYNTLISALCRIGDFKNARSLLEQMELPGARCSPDTYTYTILIGYYCRRSMETGCRKAIRRRIWEANHMFRRMLFKGFVPDVVTYNCLINGLCKSYRIERALEVFDEMLQRGCVPNRVTYNSFIRYYSVVNEVDKGVEMMRAMVARKHGVPMSSSYTPIIHSLCEAGRVEEARDFLVEMVQFGSVPREYTYKLVCDALSRLGVDGFGADLRRRIEDGIDARFRSVMRVKPIMQRPK >DRNTG_11396.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14139280:14143145:1 gene:DRNTG_11396 transcript:DRNTG_11396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTLVLQVMAAMLQLRPFSTSLSPSPRFPGWTTNSILSILAAIPSFFFLSTRSIGRQPTTRHRSPLKPRPLRLHTLAVHRDPSRIHLGVSQALAFYSWVESHCGFIHNESTCRAMCRILAKSNNLRILWRFLRSNQTLVTTTTITSIIKVLGDEGLAKEALAAFYRMKQLHCKPDVIAYNTLISALCRIGDFKNARSLLEQMELPGARCSPDTYTYTILIGYYCRRSMETGCRKAIRRRIWEANHMFRRMLFKGFVPDVVTYNCLINGLCKSYRIERALEVFDEMLQRGCVPNRVTYNSFIRYYSVVNEVDKGVEMMRAMVARKHGVPMSSSYTPIIHSLCEAGRVEEARDFLVEMVQFGSVPREYTYKLVCDALSRLGVDGFGADLRRRIEDGIDARFRSVMRVKPIMQRPK >DRNTG_35075.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19585191:19585451:1 gene:DRNTG_35075 transcript:DRNTG_35075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKYEDYVVFLATLQPSRHVKRNHGADKLEFRKPTASEKIPARSWEQQKCPREKLDRNHEDGSFVNSEHQVFGESLKERRRHQICL >DRNTG_04811.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2341597:2344774:-1 gene:DRNTG_04811 transcript:DRNTG_04811.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQCKVCMQTFMCTTSEVKCKEHAEAKHPKNDLYQCFPHLKQ >DRNTG_04811.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2341597:2347369:-1 gene:DRNTG_04811 transcript:DRNTG_04811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKNLEKQKAAAKGSQLETNKKAMNIQCKVCMQTFMCTTSEVKCKEHAEAKHPKNDLYQCFPHLKQ >DRNTG_04811.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2341597:2344774:-1 gene:DRNTG_04811 transcript:DRNTG_04811.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKSKMAREKNLEKQKAAAKGSQLETNKKAMNIQCKVCMQTFMCTTSEVKCKEHAEAKHPKNDLYQCFPHLKQ >DRNTG_09427.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21207144:21207780:1 gene:DRNTG_09427 transcript:DRNTG_09427.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPASEKPAEEKEKKTVAEKAPAEKKPKAEKRLPSKDSASGDKKKKKKAKGTETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >DRNTG_09427.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21173376:21207780:1 gene:DRNTG_09427 transcript:DRNTG_09427.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAAEKPAEEKEKKSVAEKAPAEKKPKAGKRLPSKDAASGEKKKKKMKKGSETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >DRNTG_09427.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21173376:21207780:1 gene:DRNTG_09427 transcript:DRNTG_09427.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAAEKPAEEKEKKSVAEKAPAEKKPKAGKRLPSKDAASGEKKKKKMKKGSETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >DRNTG_09427.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21173376:21207780:1 gene:DRNTG_09427 transcript:DRNTG_09427.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAAEKPAEEKEKKSVAEKAPAEKKPKAGKRLPSKDAASGEKKKKKMKKGSETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >DRNTG_25794.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2822227:2838811:1 gene:DRNTG_25794 transcript:DRNTG_25794.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFLLHSWIWVSKSSTSMTDLLKEIIRNVGHNYTESITIVELQKILSNVLRGKSLFLVLDDVWDADVWIELIKSLIQIATTKCRVLVTTRDRNIAMKMRAVHIHNASKLSSDFGWELLCKNVFTNNEVSDMQRMKDIGMQIIEKCDGLPISSKAIAGVLLTKDRNKREWENVLHNDAWTITGLPKELRGALYLSYKDLPSALKQCFLYCSLKPKNCEFDYYELVPEWIAEGYIKASGNSSMEDVAKDYYMELIKRSFLQPNPDYVDMSTCTVHDLLRGLAQALAGDENFIGDPQDAQKTDSIKIGTSSDSVK >DRNTG_25794.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2837902:2838811:1 gene:DRNTG_25794 transcript:DRNTG_25794.4 gene_biotype:protein_coding transcript_biotype:protein_coding TTVTVTEKNGRQKLTTIRSKCIARNVAHAGHPSQVQQIAKEPTNKNSTGSNARNHFLRSQGRQKDNEDRSKEGGLSRRKRNWVPPGTSTVGAAKHGRK >DRNTG_25794.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2836277:2838811:1 gene:DRNTG_25794 transcript:DRNTG_25794.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLWWIRMWATCSCRKWELSGIPCGHAISVIYYNRDKPENFLNDCYKVSTFLNTYRHTLNPTQDRQCWPKSNQGPMVPPDPMQKKRGRKTMLRREPGEETSGFKHGRVSKKGVSMKCSVCGAKGHNKRHHKVIDGITGEQEHQQPVEATNPMDIVDPQVLQAHFEMVDSLVGANQQAGDIRQGQNVSEPLSQVQQIAKEPTNKNSTGSNARNHFLRSQGRQKDNEDRSKEGGLSRRKRNWVPPGTSTVGAAKHGRK >DRNTG_08621.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1479937:1483986:1 gene:DRNTG_08621 transcript:DRNTG_08621.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGHARARTHTQGSIQDDGENPGELKKNQIPVRSPPQEPQKRKLLLRIWRPAMRAHPSWQRSYYIIIHHLFHCLQLTMYIDGDETGFYIDQEHKRSKQATNLNLFSSSLRFQYDSAAKLSLRTE >DRNTG_08621.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1479937:1483986:1 gene:DRNTG_08621 transcript:DRNTG_08621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGHARARTHTQGSIQDDGENPGELKKNQIPVRSPPQEPQKRKLLLRIWRPAMRAHPSWQRSYYIIIHHLFHCLQLTMYIDGDETGFYIDQEHKRSKQATNLNLFSSSLRFQYDSAAKLSLRTE >DRNTG_08621.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1479937:1483986:1 gene:DRNTG_08621 transcript:DRNTG_08621.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGHARARTHTQGSIQDDGENPGELKKNQIPVRSPPQEPQKRKLLLRIWRPAMRAHPSWQRSYYIIIHHLFHCLQLTMYIDGDETGFYIDQEHKRSKQATNLNLFSSSLRFQYDSAAKLSLRTE >DRNTG_08621.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1479937:1483986:1 gene:DRNTG_08621 transcript:DRNTG_08621.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGHARARTHTQGSIQDDGENPGELKKNQIPVRSPPQEPQKRKLLLRIWRPAMRAHPSWQRSYYIIIHHLFHCLQLTMYIDGDETGFYIDQEHKRSKQATNLNLFSSSLRFQYDSAAKLSLRTE >DRNTG_08621.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1479937:1483986:1 gene:DRNTG_08621 transcript:DRNTG_08621.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGHARARTHTQGSIQDDGENPGELKKNQIPVRSPPQEPQKRKLLLRIWRPAMRAHPSWQRSYYIIIHHLFHCLQLTMYIDGDETGFYIDQEHKRSKQATNLNLFSSSLRFQYDSAAKLSLRTE >DRNTG_08621.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1479937:1483986:1 gene:DRNTG_08621 transcript:DRNTG_08621.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGHARARTHTQGSIQDDGENPGELKKNQIPVRSPPQEPQKRKLLLRIWRPAMRAHPSWQRSYYIIIHHLFHCLQLTMYIDGDETGFYIDQEHKRSKQATNLNLFSSSLRFQYDSAAKLSLRTE >DRNTG_29305.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29610778:29613504:-1 gene:DRNTG_29305 transcript:DRNTG_29305.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVSPCWKPAANHAADADGFSSSSSAASSSSLSFRPSGRGRGDALLWVKDLGRHSGGEFSMAVVQANNVLEDGSQIESGPIAFHNPAGPPLHGTFVGVYDGHGGPETSRFICDNLFNNLKEFASEQGGGMSAEALMKAFAATEDGFLSLVRKHWLTKPQLASVGSCCLVGVVFAGMLYVANAGDSRAVLGRLEKGFRDVTAVQLTAEHNASNESVRAELQSLHPGDSQIVVLRHNVWRVKGLIQISRSIGDAYLKKAEFNRAPLLSRFRLPEPFHKSILNAEPSIVTHKLCPQDQFLIFASDGLWEHLSNQEAVDIVQNCPRNGIARKLIKAAMQEAARKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDPALVSKSSYSGPIVSLRGGHVQTN >DRNTG_35095.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1455769:1457293:-1 gene:DRNTG_35095 transcript:DRNTG_35095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVTSKILTRTQSLNEEVRNSFKSRKTRFESLVVSSNNNDQILALLRTANTVAKKLNEESPASKTENTALKLTSSKSIEVRETAGDTYQSSNIKDVETINTWELLDGLDDQKQRFKFADDSRGRSFRTVEDFDAMLAKNQSNEVEKSLTGECSSSSSPVSSEHDAVGDDLQIKNVDIKIEKGWKRKAMAKELTSLKFSPFEFSRTGSLREWLITGGQLQSPGSYVTPKFGDFKVSVDKNIGSDNENSVFDPILISEFEEAMQKLTMEEEFILKQIVESQ >DRNTG_35095.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1455769:1456613:-1 gene:DRNTG_35095 transcript:DRNTG_35095.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVTSKILTRTQSLNEEVRNSFKSRKTRFESLVVSSNNNDQILALLRTANTVAKKLNEESPASKTENTALKLTSSKSIEVRETAGDTYQSSNIKDVETINTWELLDGLDDQKQRFKFADDSRGRSFRTVEDFDAMLAKNQSNEVEKSLTGECSSSSSPVSSEHDAVGDDLQIKNVDIKIEKGWKRKAMAKELTSLKFSPFEFSRTGSLREWLITGGQLQSPGSYVTPKFGDFKVSVDKNIGSDNENSVFDPILISEFEEAMQKLTMEEEFILKQIVESQ >DRNTG_30947.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2455038:2458176:-1 gene:DRNTG_30947 transcript:DRNTG_30947.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDMVQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGILKPGMVVTFGPTGLTTEVKSVEMHHESLPEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAEIQTKIDRRSGKELEKEPKFLKNGDAGLVKMIPTKPMVVETFAEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPSGAKVTKSAVKKK >DRNTG_27300.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:148673:151433:-1 gene:DRNTG_27300 transcript:DRNTG_27300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >DRNTG_27300.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:148673:151550:-1 gene:DRNTG_27300 transcript:DRNTG_27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >DRNTG_28065.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21239077:21239926:-1 gene:DRNTG_28065 transcript:DRNTG_28065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNPFDILGGDDNDDPSHLIVVHQHQAASKKPSFALPLPPRSLPSPFLRRRP >DRNTG_21238.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:246272:250722:1 gene:DRNTG_21238 transcript:DRNTG_21238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAHHHLREVGFIAGEDLADVGAGEKEGWLSDSSLLAALHPRALALARSDLAVILALDSPSDYSVRIRPSLSPEDGQISALEWVTLSGDDALALAIGTSGGSLLIYSHDADLIHKQFIHPGRVLRLRFREIKGNSQQDAVSGELCVVMPGIIARFDGSDIQSLLRRWFEDDGSRAWENKLNKQADHEDSHGRIPFQLWNVGKYGSCVDAAITGLMAPPLLEFQSGQRYYCGITIGDDAVISAYRLSVDRSRSLVGAILSKVVPVTFSTLASVSRMIWRSEQTSMKKSRPSPPPFAKASSLTCLKDPPRKGERLTLSPTGTLAAITDSLGRVLLLDTQALVVVRLWKGYRDAHCLFVEMLINKDKPSSSSTPYVITRSDYCLCLAIHAPRKEIIEIWQMRTGPRLLTIQCPKGSRILQPSTRFPSSDSSSYHPLEVFVLNGDSGQLLVLNKSIG >DRNTG_07915.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000350.1:13273:18253:-1 gene:DRNTG_07915 transcript:DRNTG_07915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLACLGARMGWNSLCKEGRDENEKKKLGRQETGRLGKRERE >DRNTG_07915.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000350.1:13273:13614:-1 gene:DRNTG_07915 transcript:DRNTG_07915.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLACLGARMGWNSLCKEGRDENEKKKLGRQETGRLGKRERE >DRNTG_09793.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4377232:4379943:1 gene:DRNTG_09793 transcript:DRNTG_09793.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIHVALLFISIFSLFLLYSSSSPVPVRSEYEVSLLFEGWLVKLNKSYQDLAEKEKRYEIFKDNLKYVDEHNSGNHTFTLALNVFADITDEEYRATYLGTLPTPSGIDMIDDNRNGSDEYNVNETVNAVPDSIDWRDLGAVQPVRNQGGCFSCWAFTAVAAIEGINQIVTGDVVALSEQQLVDCERKSCDPYYLDKPMQYVMKNGGIDTRQDYPYTAVYAKCNTTKESNKVVTIDGYKWIPSNNEKILKTHVARQPIAGAVEGYERAFKLYGSGVFTKYCGTALDHAVTLIGYGTEGTQDYWLIKNSWGDFWGEAGYLRLERNVSDPAGKCGIAKMALYPTKNKPLRVKEQVQSVLDLSS >DRNTG_03098.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:521055:521607:1 gene:DRNTG_03098 transcript:DRNTG_03098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSNPKHSLRSISSKHSREDKGVVLR >DRNTG_16719.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3057057:3071059:-1 gene:DRNTG_16719 transcript:DRNTG_16719.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSKLSGMQKQVLCLYRDFLRAARKKPPEERNRIQSIISSEFHEKAKNIDRKNFLYIEYLMRRGKKQLEQLNNPETVSLSTFKIASSSAAINPSLYNQNSNISSSELHAAEPDKEPAAKATVQ >DRNTG_16719.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3057057:3060222:-1 gene:DRNTG_16719 transcript:DRNTG_16719.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSKLSGMQKQVLCLYRDFLRAARKKPPEERNRIQSIISSEFHEKAKNIDRKNFLYIEYLMRRGKKQLEQLNNPETVSLSTFKIASSSAAINPSLYNQNSNISSSELHAAEPDKEPAAKATVQ >DRNTG_16719.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3057057:3057993:-1 gene:DRNTG_16719 transcript:DRNTG_16719.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFKNDMASRSKLSGMQKQVLCLYRDFLRAARKKPPEERNRIQSIISSEFHEKAKNIDRKNFLYIEYLMRRGKKQLEQLNNPETVSLSTFKIASSSAAINPSLYNQNSNISSSELHAAEPDKEPAAKATVQ >DRNTG_16719.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3057057:3073320:-1 gene:DRNTG_16719 transcript:DRNTG_16719.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSKLSGMQKQVLCLYRDFLRAARKKPPEERNRIQSIISSEFHEKAKNIDRKNFLYIEYLMRRGKKQLEQLNNPETVSLSTFKIASSSAAINPSLYNQNSNISSSELHAAEPDKEPAAKATVQ >DRNTG_16719.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3070454:3073320:-1 gene:DRNTG_16719 transcript:DRNTG_16719.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQVASWDSLRKQGHDDITLDEIKTALGSNELRNQLNSKTAESSQGEVLIALGRSKASGQKSGHKIFLSQSKKKV >DRNTG_16719.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3057057:3073320:-1 gene:DRNTG_16719 transcript:DRNTG_16719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSKLSGMQKQVLCLYRDFLRAARKKPPEERNRIQSIISSEFHEKAKNIDRKNFLYIEYLMRRGKKQLEQLNNPETVSLSTFKIASSSAAINPSLYNQNSNISSSELHAAEPDKEPAAKATVQ >DRNTG_16719.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3057057:3073320:-1 gene:DRNTG_16719 transcript:DRNTG_16719.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSKLSGMQKQVLCLYRDFLRAARKKPPEERNRIQSIISSEFHEKAKNIDRKNFLYIEYLMRRGKKQLEQLNNPETVSLSTFKIASSSAAINPSLYNQNSNISSSELHAAEPDKEPAAKATVQ >DRNTG_13827.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:88302:88776:-1 gene:DRNTG_13827 transcript:DRNTG_13827.1 gene_biotype:protein_coding transcript_biotype:protein_coding QAYNYPIVGFQWVPQKNAFEWGNRKIPRTEDAIRVTQQTANYFIRWLLFDFQCLGALCLIAFYCINLAILM >DRNTG_34408.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25992546:25995286:1 gene:DRNTG_34408 transcript:DRNTG_34408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNFSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIIQVDAAPFKQWYLQHYGVDIGRKKKAPTASKKETTEDGEAPAEEAKKSNHVLRKVEKRQQGRTLDSHIEEQFGGGRLFACISSRPGQCGRADGYILEGKELEFYQKKIQRKKGKGAGAA >DRNTG_28612.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28489941:28495560:-1 gene:DRNTG_28612 transcript:DRNTG_28612.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGAIAGPSMLLTGPKTQHTSLAIYIFMRAAVLAARCGIKSKRLGKICKPLTWKHGDTFLMCLSSSQILSAYILKQDSLPSSYKSFLNKHGGKDLVILQGIKDIASGMPFTNLLEVEKYYKSVGVDIKLDPNMKVPCSIVHGNQSCTGHVLSFLFQAYGRALPVYVPVYLIPALIVHRQGLLKRPYTILGKSLLGTARSSLFLSAYCASAWAWTCLLFRLLERCNIPMVAMGTFLTGLALLIEKKSRRIEISLYCLARAIESFFTCMADAGLCPQASKLKRSDVLVFSLATSIIMHCYAQERDVFRSKYLNVLDWVFGIPPPCDSNELEKCQC >DRNTG_28612.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28489941:28495560:-1 gene:DRNTG_28612 transcript:DRNTG_28612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGDPTPEDSFSRCPKCRAAVSYSEPSDRRSEEELRRAIRRCTEAAGKGFAIGAGLKGGLALFSILARLKSRRTSGSVTRKVGSLTNSEAIVIAVKETLRYGLFLGVFAGTFASVDELIGTIGGRKRTAGWRSMLAGAIAGPSMLLTGPKTQHTSLAIYIFMRAAVLAARCGIKSKRLGKICKPLTWKHGDTFLMCLSSSQILSAYILKQDSLPSSYKSFLNKHGGKDLVILQGIKDIASGMPFTNLLEVEKYYKSVGVDIKLDPNMKVPCSIVHGNQSCTGHVLSFLFQAYGRALPVYVPVYLIPALIVHRQGLLKRPYTILGKSLLGTARSSLFLSAYCASAWAWTCLLFRLLERCNIPMVAMGTFLTGLALLIEKKSRRIEISLYCLARAIESFFTCMADAGLCPQASKLKRSDVLVFSLATSIIMHCYAQERDVFRSKYLNVLDWVFGIPPPCDSNELEKCQC >DRNTG_18829.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2693663:2699925:-1 gene:DRNTG_18829 transcript:DRNTG_18829.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAF1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79730) UniProtKB/Swiss-Prot;Acc:F4HQA1] MGVGGRGSGARVETEEERRARKKREYEKQKQEERRQHMLKQPQANVVHKMQIPSSSGVKPGHGHGSVAGSRMMERRATPFLSGDRADNRLKKPTTFLCKLKFRNELPDPTAQPKLLSLNTNNDRYAKYTITTLEKKHKPKLYTEQDLGIPLDLLDISVYNPPDVHQPLAPEDEELLRDTEVATPIKQEGLRKKDRPADEDLNWLVKTQYISSVSADAAKTAFTEKQAKEMRESKEGINLFLENLNNREKQIQAIEESFKASKLPPVHQTKPTLKPKRILPLLPYFDRYEDRFVTVTFDGDPTADSELYNKLDRSIRDEHESQAIMKSYVVNSSDPSKPEKFLAYMVPAPDELSKDIYDESEDVLYSWVREYHWDVRGDVADDPTTYLVNFDDKAARYLPLPTKLVLQKKKAKEGRSGDEIEHYPVPSRVTVRRRDTVAIGELKESLRMSSYHEKVDKRGRSSREDELERQSKITRMNSLDQLVGEEDMSD >DRNTG_18829.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2693663:2699925:-1 gene:DRNTG_18829 transcript:DRNTG_18829.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAF1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79730) UniProtKB/Swiss-Prot;Acc:F4HQA1] MGVGGRGSGARVETEEERRARKKREYEKQKQEERRQHMLKQPQANVVHKMQIPSSSGVKPGHGHGSVAGSRMMERRATPFLSGDRADNRLKKPTTFLCKLKFRNELPDPTAQPKLLSLNTNNDRYAKYTITTLEKKHKPKLYTEQDLGIPLDLLDISVYNPPDVHQPLAPEDEELLRDTEVATPIKQEGLRKKDRPADEDLNWLVKTQYISSVSADAAKTAFTEKQAKEMRESKEGINLFLENLNNREKQIQAIEESFKASKLPPVHQTKPTLKPKRILPLLPYFDRYEDRFVTVTFDGDPTADSELYNKLDRSIRDEHESQAIMKSYVVNSSDPSKPEKFLAYMVPAPDELSKDIYDESEDVLYSWVREYHWDVRGDVADDPTTYLVNFDDKAARYLPLPTKLVLQKKKAKEGRSGDEIEHYPVPSRVTVRRRDTVAIGELKESLRMSSYHEKVDKRGRSSREDELERQSKITRMNSLDQLVGEEDMSD >DRNTG_02476.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2178578:2184724:1 gene:DRNTG_02476 transcript:DRNTG_02476.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dephospho-CoA kinase [Source:Projected from Arabidopsis thaliana (AT2G27490) UniProtKB/Swiss-Prot;Acc:Q9ZQH0] MRVVGLTGGIASGKSTISNFFKSEGIPVVDADIVARNVLRKGTGGWKKVVAAFGDSILLENGEVDRALLGQIVFADPEKRQLLNRLLAPYISSGILWEVVKLWLKGSQVIILDIPLLFEAKLDRFTKPIIVVWVDPNTQLQRLMTRDGIPEDQAKNRINAQMALHLKRTKANIIIDNSGSLDETRAQFEQVLKEVTSPLKWTEFIVSRNGVVSVIVSAAVGVLAVQKHFSRL >DRNTG_05561.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000253.1:46791:63087:1 gene:DRNTG_05561 transcript:DRNTG_05561.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYDETYTGTEEYGRLPTDFPSTVTLQQALEFCVDMGILSRSVSGRGDNTAVLSRQDLLYLYSFICNVSIHLGHLVADVLRHQGQFARVGVLFAGPYITRLILGMGFLHAIRGTERTVMPTPPWIDTIRMIGLVRRCGPGAFILAMTAPEIAKGGRDAVEGSRQGIVANTLAGTVHSQLKKDENRESTKACGNSTWLDLERFYSTNIVLHLDEGWVVKPIMKERSQCGSQCTNFGGNLAKVQTRRYESGFWRSSTAPTSSFHWKKVGRRASVEAYPIPDKGILGRRVEDFPQGYRHDYRGAGGTLGRKIPDEAHQLIEEMELNSYQWNGREKKKVAGLHEIDAVTSLAAQVENLSKNLDLLTSNRVAAVTNCTGCGEGYAPSDCPISIAPQVENKVSSLETRMNDLEKHLTRFVQSANTRFESVEAILRNHTASLHNLENQVGQIAKSLSERPHGSLPSNTKTNPREHVKAITLRSDREVEGRLPNTTDEIVDEYMQEMFNPNTYEGLFDQEEDYEEVMMLGSTEEVLSTLGILKKVLWKMNRARRRHRKHSKAIGDVRKPKELDEPSLEPVCFSKVSSENVQACGISTHQWICIASSSREGTGACGCPCERLSKYRTPMGRVVKPIMKERIQYGSQCTDFGGNLTKSLLNYPESAHGRELAPVGDLVKIARARVISARPCKSLQWSSRHPEKTKGRALAPSSHRGMHLPLLVGRTGVRNFRMPVEIMAPRSKKQADKRLRESFSKSEDMSFTIPEHRVRFEWLLRVRFGQTRFLDTSILRDLQHEDELADEVEDLVIIGGWRQLLTIREPAIRELTLEVLSSFDLDRSYSSFDSIDAIQFRVCGHHHSLSVTQVLVLLGLYEEAFTNTEKYSQLSTDYPVALTELRPYRVLCGFWRDSRATTSSFLWKKVGRGASVEAYPIPDKGILGRRVEDFPQGYRHDYRGALFTDGREIRETENPHGRVEIIHAHVEIPHRRVYRPRPWSCPIPALFKADSAPILVFFSPSFPQLARGLRLGFRELLAKVLERFYGSDIVILLGRRLVGELQSRHILYRTKESLDDE >DRNTG_30074.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22751271:22761893:1 gene:DRNTG_30074 transcript:DRNTG_30074.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion protein SCC2 [Source:Projected from Arabidopsis thaliana (AT5G15540) UniProtKB/Swiss-Prot;Acc:A5HEI1] MVEDFCGSAEVTDDVNGAEVSVLSLADVKRLVNELTSIRAKKVLQLIPLDTLVRLINVLDHQIRHCQGWSFDGNEYPDPDAVFCALESTHAALAIMAHQDMPKQLYKEEVIERILDLTRNQIMESMAACNPAFRALHKPCENGLVDGDEDEDDDLDNGSVTKKRRNTRTANIRKSTGNKVSATVSSVIQKLCSILGFLKDLLSVERLTDSCILQLVKTSFSTFLVDNVQLLQLKSINLICGVFASYTQHRNFLIDETLQLLWKLQVSKRALRSYHLPDEEQKQIQMVTALLIQLVQSSANFPETLKSTPSWNTILDGSVEASYPTKCHEGATEACCLFWTSVLQRFTHAKAQDISEAKVILENLVMDLLTTLNLPEYPASASILEVLCVLLLQNAGLKSKDVSARTIAIDLLGTIAARLKIDAVTCKRDKLWVLRELNDEYGEVPSDMKDVCSVCLSGRGANLACQSCQKCFHADCMGVAGQEILLRDWSCHICLCEKQLLVLQSYCKSQSKDSDKRVIASKASGASDSITHLEVIQQILLNYLQETTTQDDANLFTRWFYLCLWYKDGPPSQERIIYYLAKLKSKAILRDSGADLLLSRDWAKKICLALGQNNSFSRGFDKILSLLLASLRENSPILRAKALRAVSSIVEADPEVLCDKRVQCAVEGRFCDSAISVREAALELVGRHIASHPDVGLKYFEKVAERIKDTGVSVRKRAIKIIRDLCISNPAFPEASNAFIEIISRVSDEESSIQDLVCKTFYEFWFEEPSGNQTQFVADGSCIPMEVAKKTEQIVDMLRKMPNHHLLVTIIKRNLALDFLPQSAKATGINAVSLATVRKRCELICKRLLERILQVEEGSDEDDEVRALPYVLALHAFCVVDPMLCAPATDPSQFVVTLQPYLKNQVDNKAIAQLLESIIFVIDSVLPLLRKPPQNVIEELEQDLKHMIVRHSFLTVVHSCIKCLCSLSKIAGKGASLIDYLIQIFFKHLHGPSSDNRQLLGRSLFCLGLLIRYGNELILARIREPLHVVKSLSLLKGYLLMEDFGLKVRALQALGHILIARPECMLEKDIGKILEASLSAEADTRVKMQALQNLYEYLVDAESQLSKDGVSKAATEYPEDISNKVPVAAGAGDTNICGGIIQLYWNNILERCLDVNDQVRQFALKIVEIVLRQGLVHPITCVPCLVALETDPLESNSKLAHHLLINMNEKYPAFFESRLGDGLQMSFKFIQSMAGDHNVFSGQVKGKSDSSRLAFVRHGISRIYRLIRANRVSRNKFMHSIVRKFESASWIYSSLPFLVYCTEILASLPFSCPDEPLYLIYDINRVVQLRAGAIESSIKMWSSSSQQQDSLKAWDENHMGNVFSVHQVSDQTLSDSTMTTSDNSCGISKEDLQKSQADFHDAIALQLLLKLKRHLKIVYSLNDARCQAFSLKEHPKVGETISRQNIPFNMSDISITLPTSYQEMVQKYQEFKTALREDTMDYTTYSANIKRKRPTPRSSRGGRGTQERFDDGDDDEDDEDWTGGARRLSFSGQKRVTRQRL >DRNTG_30074.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22748815:22761893:1 gene:DRNTG_30074 transcript:DRNTG_30074.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion protein SCC2 [Source:Projected from Arabidopsis thaliana (AT5G15540) UniProtKB/Swiss-Prot;Acc:A5HEI1] MEFRQGLGYEKHCRITNASDSEILPSLPLPSLPVCCGDEDRVLQLSDEPARHGHPVVDRPDVLDHASVIEGLLRGCDVSYLNLKEDARGVLESSGNYSRLYYEVLKYNPDAFQCQGPGFVREDRFHDPTDEIKFFEQNAPIIGQPQRQHKSLLSEHLEKNVVHDPTSSAARKPKLKKKDVDSTLQSAGYDLSDHQGRISSFCEMVEDFCGSAEVTDDVNGAEVSVLSLADVKRLVNELTSIRAKKVLQLIPLDTLVRLINVLDHQIRHCQGWSFDGNEYPDPDAVFCALESTHAALAIMAHQDMPKQLYKEEVIERILDLTRNQIMESMAACNPAFRALHKPCENGLVDGDEDEDDDLDNGSVTKKRRNTRTANIRKSTGNKVSATVSSVIQKLCSILGFLKDLLSVERLTDSCILQLVKTSFSTFLVDNVQLLQLKSINLICGVFASYTQHRNFLIDETLQLLWKLQVSKRALRSYHLPDEEQKQIQMVTALLIQLVQSSANFPETLKSTPSWNTILDGSVEASYPTKCHEGATEACCLFWTSVLQRFTHAKAQDISEAKVILENLVMDLLTTLNLPEYPASASILEVLCVLLLQNAGLKSKDVSARTIAIDLLGTIAARLKIDAVTCKRDKLWVLRELNDEYGEVPSDMKDVCSVCLSGRGANLACQSCQKCFHADCMGVAGQEILLRDWSCHICLCEKQLLVLQSYCKSQSKDSDKRVIASKASGASDSITHLEVIQQILLNYLQETTTQDDANLFTRWFYLCLWYKDGPPSQERIIYYLAKLKSKAILRDSGADLLLSRDWAKKICLALGQNNSFSRGFDKILSLLLASLRENSPILRAKALRAVSSIVEADPEVLCDKRVQCAVEGRFCDSAISVREAALELVGRHIASHPDVGLKYFEKVAERIKDTGVSVRKRAIKIIRDLCISNPAFPEASNAFIEIISRVSDEESSIQDLVCKTFYEFWFEEPSGNQTQFVADGSCIPMEVAKKTEQIVDMLRKMPNHHLLVTIIKRNLALDFLPQSAKATGINAVSLATVRKRCELICKRLLERILQVEEGSDEDDEVRALPYVLALHAFCVVDPMLCAPATDPSQFVVTLQPYLKNQVDNKAIAQLLESIIFVIDSVLPLLRKPPQNVIEELEQDLKHMIVRHSFLTVVHSCIKCLCSLSKIAGKGASLIDYLIQIFFKHLHGPSSDNRQLLGRSLFCLGLLIRYGNELILARIREPLHVVKSLSLLKGYLLMEDFGLKVRALQALGHILIARPECMLEKDIGKILEASLSAEADTRVKMQALQNLYEYLVDAESQLSKDGVSKAATEYPEDISNKVPVAAGAGDTNICGGIIQLYWNNILERCLDVNDQVRQFALKIVEIVLRQGLVHPITCVPCLVALETDPLESNSKLAHHLLINMNEKYPAFFESRLGDGLQMSFKFIQSMAGDHNVFSGQVKGKSDSSRLAFVRHGISRIYRLIRANRVSRNKFMHSIVRKFESASWIYSSLPFLVYCTEILASLPFSCPDEPLYLIYDINRVVQLRAGAIESSIKMWSSSSQQQDSLKAWDENHMGNVFSVHQVSDQTLSDSTMTTSDNSCGISKEDLQKSQADFHDAIALQLLLKLKRHLKIVYSLNDARCQAFSLKEHPKVGETISRQNIPFNMSDISITLPTSYQEMVQKYQEFKTALREDTMDYTTYSANIKRKRPTPRSSRGGRGTQERFDDGDDDEDDEDWTGGARRLSFSGQKRVTRQRL >DRNTG_35342.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2691544:2692648:-1 gene:DRNTG_35342 transcript:DRNTG_35342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHHSEHHQMITMNVETKPTGGGGGGGGDGSSIEIVRRPRGRPPGSKNKPKPPVIITRDADPPTSMRAHVIEINAGHDLVEAIADFSRRRDIGVCVLAGSGAVSNVTLRQPPPPTLQPPPVGPAATVVFRGRFEILSLSATVFPPATTALPAPALSVSLAGPHGQIVGGIVAGPLMAAGTVVLVAAGFSNPTFHRLPPDADEVPITAVGTAVVNSPETCGGMSIYNSHVASDVIWAPAPRAPPPPPP >DRNTG_22699.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001250.1:79915:83707:-1 gene:DRNTG_22699 transcript:DRNTG_22699.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFYVSWVDISNRNINFVTEMFTSGTLKQYRQKHRRVNIRAVKHWCRQILKGLLYLHSHDPPVIHRDLKCDNIFINGNQGEVKIGDLGLAAILRKSHAAHCVGTPEFMAPEVYQEEYNELVDIYSFGMCVLEMVTFEYPYSECTHPAQIYKKVISVRITVSFIFHICMVVDHFILASSYVSFHAGELNPEALYKVKDPEVRKFVEKCLASASERLSAKELLKDPFLQINDQVSDNLDVEYMDLGHIAQQPQFGNVPTIDNGFHDDFHLVSEAQENGWDYPDETDIEAHGFDLFDSHEDDQVANVDITIMGKRRDDGGIFLRLRITDK >DRNTG_22699.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001250.1:79915:83707:-1 gene:DRNTG_22699 transcript:DRNTG_22699.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFYVSWVDISNRNINFVTEMFTSGTLKQYRQKHRRVNIRAVKHWCRQILKGLLYLHSHDPPVIHRDLKCDNIFINGNQGEVKIGDLGLAAILRKSHAAHCVGTPEFMAPEVYQEEYNELVDIYSFGMCVLEMVTFEYPYSECTHPAQIYKKVISVRITVSFIFHICMVVDHFILASSYVSFHAGELNPEALYKVKDPEVRKFVEKCLASASERLSAKELLKDPFLQINDQVSDNLDVEYMDLGHIAQQPQFGNVPTIDNGFHDDFHLVSEAQENGWDYPDETDIEAHGFDLFDSHEDDQVANVDITIMGKRRDDGGIFLRLRITDK >DRNTG_22699.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001250.1:79915:83707:-1 gene:DRNTG_22699 transcript:DRNTG_22699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFYVSWVDISNRNINFVTEMFTSGTLKQYRQKHRRVNIRAVKHWCRQILKGLLYLHSHDPPVIHRDLKCDNIFINGNQGEVKIGDLGLAAILRKSHAAHCVGTPEFMAPEVYQEEYNELVDIYSFGMCVLEMVTFEYPYSECTHPAQIYKKVISVRITVSFIFHICMVVDHFILASSYVSFHAGELNPEALYKVKDPEVRKFVEKCLASASERLSAKELLKDPFLQINDQVSDNLDVEYMDLGHIAQQPQFGNVPTIDNGFHDDFHLVSEAQENGWDYPDETDIEAHGFDLFDSHEDDQVANVDITIMGKRRDDGGIFLRLRITDK >DRNTG_16600.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3925081:3927585:1 gene:DRNTG_16600 transcript:DRNTG_16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGPTVYAREGDRVIITVTNHAQYNMSIHWHGLKQVRNGWADGPAYITQCPIQSGNSYTYDFNITGQRGTLWWHAHILWLRATVHGAIVIMPQVGVPYPFPQPHSEAELMLGEWWNADVETIENQGNILGLPPNMSDAHTINGKPGPLFPCSDKHTYALEVEWGKTYLLRIINAALNDELFFAIAGHSMTVVEIDAVYCKPFTTEALLITPGQTTNVLVQANQSPGRYFMATRPFMDAPVLVDNKTATAILQYKGVPTTVLPLLPKLPAPNDTTFADSYLDKLRSLNTAQFPANVPLTVDSHLFYVIGLGANPCATCLNGTRFTASLNNITFMMPKIGLLQAHYFNTKGVFRLDFPDKPVTPFNYTGAPLTANLGTSMGTRLSKVAFNSTVELVLQDTNLLTVESHPFHLHGYNFFVVGTGIGNFDPAKDPATYNLVDPPERNTVGVPTGGWTAIRFRADNPGVWFLHCHLELHTTWGLKMAFVVENGNGPEQSVLPPPKDLPLC >DRNTG_06608.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:558165:559677:1 gene:DRNTG_06608 transcript:DRNTG_06608.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAHQNDLICDTGLVLGLGYGRRSTTSYTTTTTATATTATTTTTTTTRSSSHRMSPAPATKLTLSLSDDALGLKMDANNDGKTTRVSSPHSTLSSFSTAYHPSVKKEKDMVSEEAEVERVLSSRASDEEDGGGARKKLRLTKEQSALLEERFKEHSTLNPKQKQALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDFELLKRCCETLTEENRRLQKELQELKALKFATPLYMQLPAATLTMCPSCERIAGASEATKGLAGAGTGAGVGSSPFVIAPKPHFFNPFTHPAAC >DRNTG_26357.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11820705:11823985:1 gene:DRNTG_26357 transcript:DRNTG_26357.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFGRSELQTQSCEIKKKKSNKKQVRVHNLLRQDKKLLSRMGLPLNFTSAQQSITNETNSPDEIQDLLEAARYADMDDVMSSSSADEAEDEAMPGVPATAAWAEGAQEAPSQLSAYIERLEGDVSALLEGQRLLKDQARQLLEGQRLLMDQARELLEGQRLLKDQTRELLEGQREIKGVLLRIQACLSQHSPSPSHGGHSPPTPPALALVPPTFNDQDQPAT >DRNTG_26357.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11820830:11824042:1 gene:DRNTG_26357 transcript:DRNTG_26357.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLNFTSAQQSITNETNSPDEIQDLLEAARYADMDDVMSSSSADEAEDEAMPGVPATAAWAEGAQEAPSQLSAYIERLEGDVSALLEGQRLLKDQARQLLEGQRLLMDQARELLEGQRLLKDQTRELLEGQREIKGVLLRIQACLSQHSPSPSHGGHSPPTPPALALVPPTFNDQDQPAT >DRNTG_26357.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11820830:11823985:1 gene:DRNTG_26357 transcript:DRNTG_26357.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLNFTSAQQSITNETNSPDEIQDLLEAARYADMDDVMSSSSAADEAEDEAMPGVPATAAWAEGAQEAPSQLSAYIERLEGDVSALLEGQRLLKDQARQLLEGQRLLMDQARELLEGQRLLKDQTRELLEGQREIKGVLLRIQACLSQHSPSPSHGGHSPPTPPALALVPPTFNDQDQPAT >DRNTG_26357.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11820830:11823985:1 gene:DRNTG_26357 transcript:DRNTG_26357.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLNFTSAQQSITNETNSPDEIQDLLEAARYADMDDVMSSSSADEAEDEAMPGVPATAAWAEGAQEAPSQLSAYIERLEGDVSALLEGQRLLKDQARQLLEGQRLLMDQARELLEGQRLLKDQTRELLEGQREIKGVLLRIQACLSQHSPSPSHGGHSPPTPPALALVPPTFNDQDQPAT >DRNTG_16323.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1573815:1574128:-1 gene:DRNTG_16323 transcript:DRNTG_16323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEAFQKGINLSTGGFDRSVEGSVPMTPNEVSISEMEPR >DRNTG_22256.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:904457:906241:-1 gene:DRNTG_22256 transcript:DRNTG_22256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGEDFFVLDLIREHLLGDSIAVPDFLLTDSTPDPYCYRIPNLVAPVISFRSDPERRPAALSISHPPPPVVEWRDSPAPAPEPEPEPEPARDTRRYRGVRQRPWGKYAAEIRDPNRKGSRVWLGTFDTAVEAAKAYDRAAFQMRGCKAILNFPNEVASSGDRAAMQMTSVVTGTKRRRVTEETEKGMKAVKRESSPEEETGQLTPSIWKSVWEESEGKGIFSLPPPSPLSPHPSLGFPQLLVQ >DRNTG_22256.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:904355:905316:-1 gene:DRNTG_22256 transcript:DRNTG_22256.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGEDFFVLDLIREHLLGDSIAVPDFLLTDSTPDPYCYRIPNLVAPVISFRSDPERRPAALSISHPPPPVVEWRDSPAPAPEPEPEPEPARDTRRYRGVRQRPWGKYAAEIRDPNRKGSRVWLGTFDTAVEAAKAYDRAAFQMRGCKAILNFPNEVASSGDRAAMQMTSVVTGTKRRRVTEETEKGMKAVKRESSPEEETGQLTPSIWKSVWEESEGKGIFSLPPPSPLSPHPSLGFPQLLVQ >DRNTG_22256.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:904457:905316:-1 gene:DRNTG_22256 transcript:DRNTG_22256.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGEDFFVLDLIREHLLGDSIAVPDFLLTDSTPDPYCYRIPNLVAPVISFRSDPERRPAALSISHPPPPVVEWRDSPAPAPEPEPEPEPARDTRRYRGVRQRPWGKYAAEIRDPNRKGSRVWLGTFDTAVEAAKAYDRAAFQMRGCKAILNFPNEVASSGDRAAMQMTSVVTGTKRRRVTEETEKGMKAVKRESSPEEETGQLTPSIWKSVWEESEGKGIFSLPPPSPLSPHPSLGFPQLLVQ >DRNTG_24741.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2283705:2284441:-1 gene:DRNTG_24741 transcript:DRNTG_24741.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKDLNTRHLSEDLSFEFSQISTLSPSPADILFSNGQLIPQTFLGPRVSRNSSLHSSNNCSLSSSSTTSRSNSTSSNGSGVVKKCLRDGNSERRPGEGGRSVSKRVSFQILPSDHKLQLIGSTRTTSSPKG >DRNTG_08956.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14905918:14908339:-1 gene:DRNTG_08956 transcript:DRNTG_08956.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIWCIFLQLWSFRNYICHAPNQTPWRTNSGAPTGQKPHRHARPKILPQIKIQSKKTTNYK >DRNTG_08956.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14905918:14906855:-1 gene:DRNTG_08956 transcript:DRNTG_08956.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRITFWRSPNSNSHSKTRSILLGQP >DRNTG_26369.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:727448:728576:1 gene:DRNTG_26369 transcript:DRNTG_26369.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRYLGDGKGRMLREEGLAAENVYIQKMERERMEKMKQKAEKERAAGEKATASEKKPEGLQKG >DRNTG_29130.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1300068:1300554:1 gene:DRNTG_29130 transcript:DRNTG_29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMEAVAMSSSNRSSVAKAAAGIQSLSSAAAVAAAAEAGKGFARRAHCAIGLDRSRWITNGRSRCGEEEKEKGKRGKRH >DRNTG_30672.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3706295:3707335:1 gene:DRNTG_30672 transcript:DRNTG_30672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVVLTGKIAILVLPYVSICVEKAEHLELVLEPLGKHVRSFYGNQGGGSLPKDTSVAVCTIEKANSLINKLLEEGRLSEVGIIVIDELHM >DRNTG_30358.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001566.1:6738:10162:1 gene:DRNTG_30358 transcript:DRNTG_30358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWPRKRNHTLRGTGTNTEKKRPYCMC >DRNTG_21638.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:92048:93405:1 gene:DRNTG_21638 transcript:DRNTG_21638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSKSSKPSSPAIAGDTATAVDEWEVRPGGMLVQKRNPNADAGAAAFPPIPNIRVRVKHGSAYLDVYLSPQSTFGELKKLLSERTGLHPLDQKLVYKGKDRDSSAYLDIAGVKDRSKIVLLEDSTAQAKRFLEMRRSAKMEKAAKSISQISVEVDKLALQVSALDVAVSKGVKVGENDVLNLIELLMAQLIKLDGIAADGETKQQRGMQVRRVQKYVERLDVLKIKSNAEQQKKKQQQQQLQIQQQQATTLDFFDSLFSPPTPTASTVTTVSSASSAPTPRFDWEIF >DRNTG_11958.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000523.1:18686:22504:-1 gene:DRNTG_11958 transcript:DRNTG_11958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPSHQASLLLNNPPLGRTNPHPSTSKSAKLDCSCTGSFPFLLSVCSSSGSPSINIVGFTQMKEILEVLGRRFTKVYRGAFYISSTAGGGGEAHGGSCGARIGDGDAGGAEGA >DRNTG_22402.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22451670:22456206:-1 gene:DRNTG_22402 transcript:DRNTG_22402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIKKTTFKLKEGLPLRTRLVLYSERLSLVGKFPYPTTLEG >DRNTG_06118.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:12163221:12171365:1 gene:DRNTG_06118 transcript:DRNTG_06118.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSDMSLMDYIAVAPMKHATFIPHTAGRYSIKRFCKAQCPIVERLINNSLMMHDRNNGKKPMALQIVKHVMEIIHLLTDLNPIQVIVDAVINRLEIFEAL >DRNTG_06118.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:12163221:12171365:1 gene:DRNTG_06118 transcript:DRNTG_06118.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSDMSLMDYIAVAPMKHATFIPHTAGRYSIKRFCKAQCPIVERLINNSLMMHDRNNGKKPMALQIVKHVMEIIHLLTDLNPIQVIVDAVINRLEIFEAL >DRNTG_06118.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:12163221:12170164:1 gene:DRNTG_06118 transcript:DRNTG_06118.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSQSLFTGQVGNDFFLKPNRPTLVVSSTS >DRNTG_06118.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:12163221:12171365:1 gene:DRNTG_06118 transcript:DRNTG_06118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSDMSLMDYIAVAPMKHATFIPHTAGRYSIKRFCKAQCPIVERLINNSLMMHDRNNGKKPMALQIVKHVMEIIHLLTDLNPIQVIVDAVINRLEIFEAL >DRNTG_06118.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:12170222:12171365:1 gene:DRNTG_06118 transcript:DRNTG_06118.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSDMSLMDYIAVAPMKHATFIPHTAGRYSIKRFCKAQCPIVERLINNSLMMHDRNNGKKPMALQIVKHVMEIIHLLTDLNPIQVIVDAVINRLEIFEAL >DRNTG_06946.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1949165:1949964:1 gene:DRNTG_06946 transcript:DRNTG_06946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKHVKKSKNFQSSSSSSSSSPSPSSSSSSPSSSLSPSSS >DRNTG_06946.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1949165:1949638:1 gene:DRNTG_06946 transcript:DRNTG_06946.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKHVKKSKNFQSSSSSSSSSPSPSSSSSSPSSSLSPSSS >DRNTG_00744.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27975454:27978036:1 gene:DRNTG_00744 transcript:DRNTG_00744.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEGGLELRLGLSCGGSSGKSKCKDRPSDTKPEEGSSSKGGNMNASDGSFKNFFQADVQNKDQDRKQKQDSFWTDLGKCPAPATDNATGVHGNLSQFEGAKIYGLQIVRQLMTMKRNQGQASVNLHLKRATFKRSMKGPLNMLTQFLKKSNWCYHEKNSRVSFTTDDGSTGENEDIAESEAEGSNSWSVPPHDDSAKCSDIPKYTDKHALKDPALTGSQMQKGSCTSGTESTSELGKGPYGMPMQFQPLNVTTVPYPVPVKVPAPVNAPNTTGFPSPCVMQLMPLANNERPMVQAMSASNPPQLAFGYSHVQLPTLETNSSWAFGSQPQLVSSFPGRTDGASNSVHAEDDMKISHGATSSRVASGSAANLTYSTLDAMKGSGAKHAGEASTYQAESEGKGNSIIFKPKETNSQHVGEGFAQEGSAIRPGIAPNVKFGGSGSYPDLPWVSTTGSGPNGKTISGVTYKYNKDQIKIVCACHGTHMTPEEFVQHANADAPVLENNTGL >DRNTG_00744.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27975454:27976937:1 gene:DRNTG_00744 transcript:DRNTG_00744.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEGGLELRLGLSCGGSSGKSKCKDRPSDTKPEEGSSSKGGNMNASDGSFKNFFQADVQNKDQDRKQKQDSFWTDLGKCPAPATDNATGVHGNLSQFEGAKIYGLQIVRQLMTMKRNQGQASVNLHLKRATFKRSMKGPLNMLTQFLKKSNWCYHEKNSRVSFTTDDGSTGENEDIAESEAEGSNSWSVPPHDDSAKCSDIPKYTDKHALKDPALTGSQMQKGSCTSGTESTSELGKGPYGMPMQFQPLNVTTVPYPVPVKVPAPVNAPNTTGFPSPCVMQLMPLANNERPMVQAMSASNPPQLAFGYSHVQLPTLETNSSWAFGSQPQLVSSFPGRTDGASNSVHAEDDMKISHGKSSLL >DRNTG_00744.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27974167:27978036:1 gene:DRNTG_00744 transcript:DRNTG_00744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEGGLELRLGLSCGGSSGKSKCKDRPSDTKPEEGSSSKGGNMNASDGSFKNFFQADVQNKDQDRKQKQDSFWTDLGKCPAPATDNATGVHGNLSQFEGAKIYGLQIVRQLMTMKRNQGQASVNLHLKRATFKRSMKGPLNMLTQFLKKSNWCYHEKNSRVSFTTDDGSTGENEDIAESEAEGSNSWSVPPHDDSAKCSDIPKYTDKHALKDPALTGSQMQKGSCTSGTESTSELGKGPYGMPMQFQPLNVTTVPYPVPVKVPAPVNAPNTTGFPSPCVMQLMPLANNERPMVQAMSASNPPQLAFGYSHVQLPTLETNSSWAFGSQPQLVSSFPGRTDGASNSVHAEDDMKISHGATSSRVASGSAANLTYSTLDAMKGSGAKHAGEASTYQAESEGKGNSIIFKPKETNSQHVGEGFAQEGSAIRPGIAPNVKFGGSGSYPDLPWVSTTGSGPNGKTISGVTYKYNKDQIKIVCACHGTHMTPEEFVQHANADAPVLENNTGL >DRNTG_01708.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23653011:23655097:-1 gene:DRNTG_01708 transcript:DRNTG_01708.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix transcription factor, Regulator of tapetal programmed cell death, Male reproductive developmen [Source: Projected from Oryza sativa (Os04g0599300)] MYSHASGYFDHCQESSQAHQEPLIIGVESDHPSLDHQNNNINNDLEDNMKISNFSLEDLSNPHNNDDNNNNNNSGDPPGMGLDQLQHQLGFDIEHELHSHLIQEAHPLDSSTDWNTTMQDIQNMSDQQPNMQCYDHTSTYPVPDLLNLLQLPRCSSMAASMSFSKPGSFPLDVFGELPGTAESGSVLYDSSLHLGYPTPPQTNLLRDLFQSLPQNYGLFGGIDERDHVGGVAGGGGGTVFQEMDGRQYDNTVIDYRKEIIGNKGEVKGNFATERQRREQLNEKYKALKSLVPNPTKADRASIVGDAIEYINELRRTLSELKILVEKKRHGRERRKMVRTTDVEEVAGDMESSSMRPLRDEHDHHPLNGALRSSWLQRKSKDSFVDVRIVDDEVNIKLSQKKKVNCLLFVAKALDELQLNLIHVSGGNIGEHYIFMLNTKISEGSSVYAGAVAKKLLEVMDRQYTTATFPSGF >DRNTG_01708.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23653011:23655097:-1 gene:DRNTG_01708 transcript:DRNTG_01708.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix transcription factor, Regulator of tapetal programmed cell death, Male reproductive developmen [Source: Projected from Oryza sativa (Os04g0599300)] MYSHASGYFDHCQESSQAHQEPLIIGVESDHPSLDHQNNNINNDLEDNMKISNFSLEDLSNPHNNDDNNNNNNSGDPPGMGLDQLQHQLGFDIEHELHSHLIQEAHPLDSSTDWNTTMQDIQNMSDQQPNMQCYDHTSTYPVPDLLNLLQLPRCSSMAASMSFSKPGSFPLDVFGELPGTAESGSVLYDSSLHLGYPTPPQTNLLRDLFQSLPQNYGLFGGIDERDHVGGVAGGGGGTVFQEMDGRQYDNTVIDYRKEIIGNKGEVKGNFATERQRREQLNEKYKALKSLVPNPTKVLSLVGFAFLLLLFYHFKHP >DRNTG_07735.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2568972:2573130:-1 gene:DRNTG_07735 transcript:DRNTG_07735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKARIKERDGASPGKIFVGGLHRDTTDDIFKKHFSKYGAIDDIVIMKDQRKQPRGFGFVTYVDPSVVDKVIEDTHVICGKQVEIKRTIPRGSQQSKDFKTKKIFVGGIPTQLTEDEFKDFFSKYGKVADHQIMRDHATKRSRGFGFIIFESEQVVDDLLSKGNYIDLAGSKVEIKKAEPKKASNPPPSAYDNEPRPRSFNDGFSGFGDAYGGYGADGFGPASYRAPAGLPPPRFGNYGGYGGGTSEFVDGYGGIGGGMGGGFGGYRGESSLGYSSRFGSYGGGFAGGYGGGALGGYGRGEEGFGGYGGGYDSGPIGGYGSGGIYGSRGAGYGGGAASRYHPYAR >DRNTG_07408.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7358425:7361245:-1 gene:DRNTG_07408 transcript:DRNTG_07408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGGDPGDYFGDGIAIGSFHAVKNGIVVVASAGNSGPKAGTVSNTAPWILTVAASTIDREFPSYVSFNHQHIKGQSLSEWGLPGNKFYPMIKSEDATAANVSLHDAKLCLLKSLNPEKAKGRILVCLRGKNGRVEKGEAVREAGGVGMVLANAESDGNEIIADAHVLPATHISYSDGLTLYSYLNSTKPRYGYITIPKTELNTRPAPFMAAFSSQGPNTVNPEILKPDITAPGLSILAAYSEEAPPSELSFDKRRVPFNVLSGTSMSCPHIAGIVGLLKTLHPDWSPAAIRSAIMTTARTRDNEEMPIKNSSFTKATPFSYGAGHVRPNRAMDPGLVYDLTTSDYLNFLCTMGYNSTKIARFSTENYACSTKPVKLEDLNYPSITVPKLRGAVTVTRKVKNVGSPGTYVARIQEPAGVSVSVKPERLVFGKVGEEKVFVVSLQAQRKGNGYVFGRLIWSDGKHFVRSPIVVNL >DRNTG_07408.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7358425:7360557:-1 gene:DRNTG_07408 transcript:DRNTG_07408.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSEDATAANVSLHDAKLCLLKSLNPEKAKGRILVCLRGKNGRVEKGEAVREAGGVGMVLANAESDGNEIIADAHVLPATHISYSDGLTLYSYLNSTKPRYGYITIPKTELNTRPAPFMAAFSSQGPNTVNPEILKPDITAPGLSILAAYSEEAPPSELSFDKRRVPFNVLSGTSMSCPHIAGIVGLLKTLHPDWSPAAIRSAIMTTARTRDNEEMPIKNSSFTKATPFSYGAGHVRPNRAMDPGLVYDLTTSDYLNFLCTMGYNSTKIARFSTENYACSTKPVKLEDLNYPSITVPKLRGAVTVTRKVKNVGSPGTYVARIQEPAGVSVSVKPERLVFGKVGEEKVFVVSLQAQRKGNGYVFGRLIWSDGKHFVRSPIVVNL >DRNTG_02980.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23493633:23495672:1 gene:DRNTG_02980 transcript:DRNTG_02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISCISPNSGSFEHTLNTLRYADRVKSLSKGNNTKKDPFVNSSVRESTTLPFSSSLPTVCSYDGNNNAEIATETNRYGLSKQVDEENSLLFNTNCVPSRREDRRVGVSAVP >DRNTG_02980.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23493930:23495672:1 gene:DRNTG_02980 transcript:DRNTG_02980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSRGPTAVEDSDFSEEAYEQSKPLRKGKVDTYSTPSSENIGRRVYNQPKQKDVPNIDTDSFYSYEGLNGLLKEDLVFAHRSQVEEAIDIVREEMKLLDEADQPGNQLDEYIPRLNSILMQKAAGIVNLQARLAHFHRSLTEHNVLVYSLGP >DRNTG_26342.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17517769:17518278:-1 gene:DRNTG_26342 transcript:DRNTG_26342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKREKVERYFLEDQQREEEEQRREKKEQRGTPSSSTIVPNNHGQAMTDVYVPRSRYVVTMNTRDFSSIVALELRNIYMEHCHQDESHMPELRWTYGVLEEFVTTFDDAHAVLNYGVAVEQLNWERLHQYHRQG >DRNTG_34079.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:320788:348624:-1 gene:DRNTG_34079 transcript:DRNTG_34079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSNKSLSFLILISISILSHLSSATDTLYLGQSLSGNQTLVSKAGTFELGFFSPGNSSNYYIGIWYKNIPTRDIIWVANRETPISNPSTSELKISELDGHLVLLNQSKLPVWSSNSTLSNTTGARVAVLLDTGNLVLRNVSNSSTSLWQSFDHPTDTWMPGGWLGVNKVTGEFNSMTSWKTPEDPAPGPYTERMDPDGSNQYVFLYNNLEVYWSSGVWNGQYFGAVPGTREKTALMLSFVDGEVWKYATYTVTVPSVIARFVIDSSGQGKTWLWLNSSQQWQQIFTMPVAHCDVPSFCGAFAVCDERSSSACSCYTGFQPASSHEWDSGEWVSGCVRRTRLQCSSNNTNGGDHEKDGFLEMQIVKLPSNPESLKVSSAEDCKSACVNNCSCTAYAYDTTCSIWQGDLRSLQQLYDGDTMVGGTLYLRLAASDLPSSSSSHKWVLAVVLSIVALLVLIFFVILGTIWLLPRMRKRGGSIMSGEGSLLNFTYTDLQHITKNFSEKLGGGGFGSVFKGTMPDSTIIAVKKLEGLRQGEKQFRAEVSTMGSIQHINLLRLRGFCCEGINRLLVYDYMSGGSLDNYLSANSKVLDWSTRYRIILGIARGIAYLHEKCRECIIHCDIKPGNILLDAESCAKVADFGMAKLLGREFSRVLTTMRGTVGYLAPEWISGLPITPKVDVYSFGMMLFEIVSGQRNSGNSKSETFFPIWAAQRVTEGEIICLLDDRLKGDADMEELMRVCRTACWCIQEQELDRPSMGQVVLVLEGVIEVNTPPIPKTFQRLMQDDQQVPHFYNPLPITEHKEQSGKSEASFDSSQNDP >DRNTG_34079.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:344435:348624:-1 gene:DRNTG_34079 transcript:DRNTG_34079.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSNKSLSFLILISISILSHLSSATDTLYLGQSLSGNQTLVSKAGTFELGFFSPGNSSNYYIGIWYKNIPTRDIIWVANRETPISNPSTSELKISELDGHLVLLNQSKLPVWSSNSTLSNTTGARVAVLLDTGNLVLRNVSNSSTSLWQSFDHPTDTWMPGGWLGVNKVTGEFNSMTSWKTPEDPAPGPYTERMDPDGSNQYVFLYNNLEVYWSSGVWNGQYFGAVPGTREKTALMLSFVDGEVWKYATYTVTVPSVIARFVIDSSGQGKTWLWLNSSQQWQQIFTMPVAHCDVPSFCGAFAVCDERSSSACSCYTGFQPASSHEWDSGEWVSGCVRRTRLQCSSNNTNGGDHEKDGFLEMQIVKLPSNPESLKVSSAEDCKSACVNNCSCTAYAYDTTCSIWQGDLRSLQQLYDGDTMVGGTLYLRLAASDLPSSSSSHKWVLAVVLSIVALLVLIFFVILGTIWLLPRMRKRGGSIMSGEGSLLNFTYTDLQHITKNFSEKLGGGGFGSVFKGTMPDSTIIAVKKLEGLRQGEKQFRAEVSTMGSIQHINLLRLRGFCCEGINRLLVYDYMSGGSLDNYLSANSKVLDWSTRYRIILGIARGIAYLHEKCRECIIHCDIKPGNILLDAESCAKVADFGMAKLLGREFSRVLTTMRGTVGYLAPEWISGLPITPKVDVYSFGMMLFEIVSGQRNSGNSKSETFFPIWAAQRVTEGEIICLLDDRLKGDADMEELMRVCRTACWCIQEQELDRPSMGQVVLVLEGVIEVNTPPIPKTFQRLMQDDQQVPHFYNPLPITEHKEQSGKSEASFDSSQNDP >DRNTG_13411.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5898065:5899197:1 gene:DRNTG_13411 transcript:DRNTG_13411.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyllase-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G43860) UniProtKB/Swiss-Prot;Acc:Q9M7I7] MAMASNVTNVFEQGNYNTKLISINDPSTTTSFSIPPPKPLLIATPIQEGQFPILILLHGYLLYNNFYSQLILHIASHGYIVLAPQLYTVAGPDSSEEIRSAAALTDWISTGLAAILPKQVLLNTEKIAISGHSRGGKVAFALALGHAKTMKLSFSALMAIDPVDGMDKGKQTNPSILTYVPHSFDLKIAAMVIGSGLGGVKKNPIFPACAPKGVSHEDFYSECCAPAWHFVAKDYGHVDMLDDETEGFRGKASYCLCKSGKERKGMRRFVGGIMVAFMKAYLEGHDEDLKAIWTDPKIANIDISCNCFL >DRNTG_24197.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22469062:22470682:1 gene:DRNTG_24197 transcript:DRNTG_24197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNPPGGVAGPAVPIFDGEDYDLWCLMMKTLFRSQDLWELVEKGFSEKEDEVKVKENRKKDAKALFFIQQALSRPILARIASANTANEAWIALKKVVQGNPKVVAVKLQTLRQDFENLRIKGNEGVQSYITRVQEVANQMKSLGDTISEAMVVGKLLRSLGPKFNHVVTAIEESKDLTKLTMEDVSGSLLAHEARLLRQADDQSEKEEKAFHVKGEAMGSKEKDKHTFRGRGRGYSRGRFRGRGRGRSSENRQQHQQQQGEQRSYKGNIQCHICKKFGHMKMDCWYRDKSTDKETTMIVEEKDTSNLFMAVCEEREKISSVWLLDSGC >DRNTG_29038.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10549014:10549547:-1 gene:DRNTG_29038 transcript:DRNTG_29038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGQSNSKPSIPLHNVQGGKLRFIPRESNCLVNSTLNLQSSK >DRNTG_06075.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8383164:8383465:-1 gene:DRNTG_06075 transcript:DRNTG_06075.1 gene_biotype:protein_coding transcript_biotype:protein_coding WEPFFPVRSDDTLFHVQLLFAKHHRVRVAPVVESSNSCVVGFVTQWWSYFSSLVD >DRNTG_09596.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2567438:2571850:1 gene:DRNTG_09596 transcript:DRNTG_09596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMQLVTTFFGGFIIAFIRGWHLSLVISGSIISIITSSLSSTEQDAYADAGNVVEQTIGAMRTVASFTGEKLAISKYNQFIKVAYKSTVHQWIFSGVGGGAVWLIILSTYSLAIWATLKLRPHDNSLRTLIPSATAATALSLAALLGRPLKPSLATAPISQSETLPESLTDDEKVRALEDHPTSDPNGKTL >DRNTG_17142.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16887646:16890419:1 gene:DRNTG_17142 transcript:DRNTG_17142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKYGPDEANHPEFDPEVWCDAIGGQGTTRTHFYGFGITPPGKNFISTSINAGDASYSACSRPNHEHEQTPVEIDNLLEEVTLVKDRIINLEDKVEKQASNTPDIKKYLEQMMDMFNPAHMFTNASIGPS >DRNTG_04709.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3948199:3949997:-1 gene:DRNTG_04709 transcript:DRNTG_04709.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPVSCLCSCMQTYVKRQDISYVFRTKEKIDDLKNAMEQLTAKKLDFKKKLDDLQHDGKLLDDQHQLQQWLRDVGEKDNKVEQLLNEYRKGNCVPGGSYSLNCFSRYKIGRNAFKLKGEINQLKAEQPEIKFTNIPPPKPVPESYITVGEKIRSNVDIARSYLEDERVGIIGIWGMGGVGKTTLLKKIRQSLSGDANMGFDRVLFIEASKGIQLE >DRNTG_04709.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3949627:3949997:-1 gene:DRNTG_04709 transcript:DRNTG_04709.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPVSCLCSCMQTYVKRQDISYVFRTKEKIDDLKNAMEQLTAKKLDFKKKLDDLQHDGKLLDDQHQLQQWLRD >DRNTG_29843.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23159723:23160932:-1 gene:DRNTG_29843 transcript:DRNTG_29843.1 gene_biotype:protein_coding transcript_biotype:protein_coding NHKRTNHTPSTTSPPSTPPSSCNPPSCTLDSAMASETLMSISLLHL >DRNTG_29843.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23159723:23160270:-1 gene:DRNTG_29843 transcript:DRNTG_29843.2 gene_biotype:protein_coding transcript_biotype:protein_coding NHKRTNHTPSTTSPPSTPPSSCNPPSCTLDSAMASETLMSISLLHL >DRNTG_03547.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21561046:21561973:1 gene:DRNTG_03547 transcript:DRNTG_03547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIIIILSLLLLFLNFLHFPTSARELTSTSDSTSDPTSSQRKSTSKTTTTKPGDTTAADSSGFGFDFGNIPDFSGNGWSNYGGGGNYGGGYGSGYGGPGGGYSHHGVVRPSVVCTERGPCYKKRVTCPAKCFTSYSHSGKNGGGGGGGGGCSIDCKKHCVGYC >DRNTG_28253.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2727495:2728658:-1 gene:DRNTG_28253 transcript:DRNTG_28253.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVYGVEYAFGVHSYPTSGIFEVEPRRCPGFRFRQAIFMGMTSLNPNQISEFMERQSLNYTGDTYHLITKNCNHFCNDICYKLTGNLIPKWVNRLARIGAICSSILPKALKVSAVRQIKDYERQEGEKQRLRPMFQRRSSI >DRNTG_28253.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2727495:2730130:-1 gene:DRNTG_28253 transcript:DRNTG_28253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCSKKQSNSLKPIRASGKSPCFCLFPKIHSACQTQGGVPVYLNVYDLTSMNDYIRWAGIGVFHCGIEVYGVEYAFGVHSYPTSGIFEVEPRRCPGFRFRQAIFMGMTSLNPNQISEFMERQSLNYTGDTYHLITKNCNHFCNDICYKLTGNLIPKWVNRLARIGAICSSILPKALKVSAVRQIKDYERQEGEKQRLRPMFQRRSSI >DRNTG_28253.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2727495:2729121:-1 gene:DRNTG_28253 transcript:DRNTG_28253.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCSKKQSNSLKPIRASGKSPCFCLFPKIHSACQTQGGVPVYLNVYDLTSMNDYIRWAGIGVFHCGIEVYGVEYAFGVHSYPTSGIFEVEPRRCPGFRFRQAIFMGMTSLNPNQISEFMERQSLNYTGDTYHLITKNCNHFCNDICYKLTGNLIPKWVNRLARIGAICSSILPKALKVSAVRQIKDYERQEGEKQRLRPMFQRRSSI >DRNTG_10774.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000460.1:33036:39137:-1 gene:DRNTG_10774 transcript:DRNTG_10774.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-C-methyl-D-erythritol 4-phosphate cytidylyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G02500) UniProtKB/Swiss-Prot;Acc:P69834] MVSYSLASISNAPIPPKSIPWNPFLSGSSSSGSQIPLHPRRCLDLKNGRKDGVGWRSIGCAVLSPVEKKDIGIVKEKSVSVVLLAGGNGKRMGASIPKQYLPLLGQPIALYSFYTFSEIPEVKEIVVVCKPSYRDVFEDSSVRRRVDLKFALPGKERQDSVYSGLQEIDGRSELVCVHDSARPLVSVADIKKVLKDGWLNGAAVLGVPVKATIKEVHASF >DRNTG_10774.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000460.1:33036:39137:-1 gene:DRNTG_10774 transcript:DRNTG_10774.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-C-methyl-D-erythritol 4-phosphate cytidylyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G02500) UniProtKB/Swiss-Prot;Acc:P69834] MVSYSLASISNAPIPPKSIPWNPFLSGSSSSGSQIPLHPRRCLDLKNGRKDGVGWRSIGCAVLSPVEKKDIGIVKEKSVSVVLLAGGNGKRMGASIPKQYLPLLGQPIALYSFYTFSEIPEVKEIVVVCKPSYRDVFEDSSVRRRVDLKFALPGKERQDSVYSGLQEIDGRSELVCVHDSARPLVSVADIKKVLKDGWLNGAAVLGVPVKATIKEVNDRYYVVKTLDRKALWEMQTPQVIKPDVLKRGFELVNKEGLEVTDDVSIVEHLKHPVYITEGSYTNIKVTTPDDLLVAERILNMKAGVLA >DRNTG_02422.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000114.1:304514:305731:-1 gene:DRNTG_02422 transcript:DRNTG_02422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEFCISARACRNSTCPCVSTMESHKARRTPLCTVGIALVVSENTRTGVWKLPTPVLPTHKGSRTSLCALCPTERKSQSVLLAHAEIPYDRGQSQAQLIGAFARPCALSGWRRDRRGESTPLCALGKNSQVSAEQRTPVR >DRNTG_03940.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000187.1:62241:63674:1 gene:DRNTG_03940 transcript:DRNTG_03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTSRSVWKKISGRVFIGTDPLQVMTERNPSILIEGYPKLEYTLRKKGKEPVQEPSNLGDLEVKEYENMVLK >DRNTG_33255.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22145551:22148455:-1 gene:DRNTG_33255 transcript:DRNTG_33255.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 3 [Source:Projected from Arabidopsis thaliana (AT3G45290) UniProtKB/Swiss-Prot;Acc:Q94KB9] MNTAIEKLREELMVLGFISILLGVTQNTISSICVPIRLENTMLPCHLHQQSSLSSDGVPNHCAAKGKVSMVSESGLHQLHIFIFILAVVHVMCAVATMALGRAKMRKWKAWEKETQTVQYQVANDSKRFRFIRETSFARRHINIGTTSPLYIWTMCFFRQFYNSITKVDYFTLRHGFIAAHFSKYKTFNFQKYIKYSLEDDFKVVFRIRPSMWFLVVVFMLIDIHGWYSYFWLSFIPLALVLAIGTKLQIIVAKMAIRLHEQNRVITGALVVQPNDDHFWFSNPKLILFLLHLVLFQNSFELSFFIWIWWEFGLRSCYHENFEITIARVVIAIIVQFVCTYSTLPMYALVTQMGSKFKGHMFEESVGKIIRKWHEDVRTKRKEQQVEAVTNVSPTQ >DRNTG_33255.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22145551:22146746:-1 gene:DRNTG_33255 transcript:DRNTG_33255.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 3 [Source:Projected from Arabidopsis thaliana (AT3G45290) UniProtKB/Swiss-Prot;Acc:Q94KB9] MAIRLHEQNRVITGALVVQPNDDHFWFSNPKLILFLLHLVLFQNSFELSFFIWIWWEFGLRSCYHENFEITIARVVIAIIVQFVCTYSTLPMYALVTQMGSKFKGHMFEESVGKIIRKWHEDVRTKRKEQQVEAVTNVSPTQ >DRNTG_33255.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22145551:22146331:-1 gene:DRNTG_33255 transcript:DRNTG_33255.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 3 [Source:Projected from Arabidopsis thaliana (AT3G45290) UniProtKB/Swiss-Prot;Acc:Q94KB9] MYALVTQMGSKFKGHMFEESVGKIIRKWHEDVRTKRKEQQVEAVTNVSPTQ >DRNTG_33255.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22145551:22148455:-1 gene:DRNTG_33255 transcript:DRNTG_33255.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 3 [Source:Projected from Arabidopsis thaliana (AT3G45290) UniProtKB/Swiss-Prot;Acc:Q94KB9] MAGKAHTLENTPTWAFAGVFFLFIYLSLFLEFFINFISKWSNKRQKKAMNTAIEKLREELMVLGFISILLGVTQNTISSICVPIRLENTMLPCHLHQQSSLSSDGVPNHCAAKGKVSMVSESGLHQLHIFIFILAVVHVMCAVATMALGRAKMRKWKAWEKETQTVQYQVANDSKRFRFIRETSFARRHINIGTTSPLYIWTMCFFRQFYNSITKVDYFTLRHGFIAAHFSKYKTFNFQKYIKYSLEDDFKVVFRIRPSMWFLVVVFMLIDIHGWYSYFWLSFIPLALVLAIGTKLQIIVAKMAIRLHEQNRVITGALVVQPNDDHFWFSNPKLILFLLHLVLFQNSFELSFFIWIWWEFGLRSCYHENFEITIARVVIAIIVQFVCTYSTLPMYALVTQMGSKFKGHMFEESVGKIIRKWHEDVRTKRKEQQVEAVTNVSPTQ >DRNTG_33255.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22145551:22146203:-1 gene:DRNTG_33255 transcript:DRNTG_33255.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 3 [Source:Projected from Arabidopsis thaliana (AT3G45290) UniProtKB/Swiss-Prot;Acc:Q94KB9] MYALVTQMGSKFKGHMFEESVGKIIRKWHEDVRTKRKEQQVEAVTNVSPTQ >DRNTG_33255.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22145551:22146067:-1 gene:DRNTG_33255 transcript:DRNTG_33255.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 3 [Source:Projected from Arabidopsis thaliana (AT3G45290) UniProtKB/Swiss-Prot;Acc:Q94KB9] MYALVTQMGSKFKGHMFEESVGKIIRKWHEDVRTKRKEQQVEAVTNVSPTQ >DRNTG_25453.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1513528:1514969:1 gene:DRNTG_25453 transcript:DRNTG_25453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEQKEEEKKEEVKEEKKEVEEEIVLRVDMHCEGCAKKVAKALRGFEGVEEVKTDSKARLVVVKGKTADPIKVYERVQTKTGRRTELISPILKEPEEEKKEEAVDPPQEEKKEEPKPITVILNVRMHCESCAQVLNKRIKKMEGVESVMTDISNNQIIVNGFIDPEKLVDYVSKRTRKQAFIVKEEEKKDDEKAEKEEKQEEKENEEKNTEDEEKKIELQKFEHWSSPGYYIQYAYPPPQIFSDENPNGCFVM >DRNTG_15082.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4041648:4042171:1 gene:DRNTG_15082 transcript:DRNTG_15082.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNKALKSSPPPPPSSPPPPPSPPPCPCHQKESVFMEVMGDSEMDSLPRTCQYCMQRDERMMGVEVEEDAESCCGKFEGERVFDCEDFDDVVVVDDDDDDGDDGFRQFWRSWRQDGVVLNTAEEDRLFWLSCLADHGFP >DRNTG_19080.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16660703:16678121:1 gene:DRNTG_19080 transcript:DRNTG_19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQEGRGRRRVGEGVEEGEEDRVDGEGHEATKEEKEVQEHHSCKENNILTKLYRKEREVKTHAKDGNEGLFHLAIDIVDNETEPSMRGLGRHDIYLSEHGQRNKEIHKKIEKIIEESSCSSNNALCKKEMYHTHGNEEVEKKMLKCSYYHGYYIPMINMFADAVIVISKLACYDDLLFF >DRNTG_10599.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7489916:7496720:-1 gene:DRNTG_10599 transcript:DRNTG_10599.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWSGLVLSKGPLVKPRAHLAESLPTKAPPITIFQDNHDHVASAINGFIVEDLAIIAQVGIRKCNCLRAPPTHCNGLITWSLNSSHCAKLLKALGTLTMGIIEDVLGKDDEFIFSIDFVIQDVDKDVEEMMHNKLFQESLNNPQEENTTPLHNKDEIGGLVIDNVDCNITANHVSFMDGPQMEGITERINTDATYGYGNLLTSILDDQIDKKDSQHQTYIVHVRKPKHHELLSDEDVEHWHRSFLPNTTLDTGKPRLVYSYHHAISGFAARLTSEEVRAMESMEGFLMARPSRLVNLATTHTPSFLGLDRQTGLWPQSFMGSGVIIGVVDHGITPNHASFVDDGTMPPKPLKWKGTCGFHNKTLCNNKLIGAMAFSGSSRPSPEDTKVGHGSHVAGIAAGNFVDNADILGFDKGTASGIAPKAHLALYKVCHKTGCTTPNIVAAIEEAIKNGVDILNLSLGNLDHSPFYDDELVIATLSAVRAKIFVCICAGNTGPDPRSLWNNGAPWMLTVGASTHNRRFKASVKLGNAVEVEGEFGYQPRTFNATGNIVFPGFQGQNGTLGCYKTSFKNVEVKGKIVLCSNKGGYYKDLSINVKDAGGVGVIVLNRYYEGPTTFSDDHVVPTAHVNYSTALKIVNYFLNSSSTATATISFNGTIFGARPSPTVGSFSSRGPHAYNGGIIKPDILGPGVNIISAFPSKPGPFANVPPASSYFNTMSGTSMATPHLTGITALLMNTHKNWSIAAIRSAIMTTANRFDLDGNPILDDADMQINHANTSDMGSGQVNPLAANDPGLIYDINPDHYLQYLCGLGYNDTQLSIVAKSSLQCSIVGSIAPENLNYPSISISLDPSTTKSVHRTLTNVGDANELYNINVEEPYGISVAFSPTSVQFSSIGEEKNITLEFSSKGMPLNKGNVWDGQLKLDSGKHYVRSPISVTII >DRNTG_05056.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3584132:3584379:1 gene:DRNTG_05056 transcript:DRNTG_05056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLEADLYDLFSQIGPVVSVHVLQGC >DRNTG_30881.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21307854:21308610:1 gene:DRNTG_30881 transcript:DRNTG_30881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATITRPMALALSRQEHSPGGMERMMNPAVEDFPAIELIPTPIVWNKHYHLLVLDKVKKEYLHYDSIGGHVHDRDAVAMMVAIAEEVPEIDSEVVLTNEPVHKDGSADISEITIGTVPTPKDAAITQENPCPKIQSLLHICK >DRNTG_35188.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18712256:18713083:-1 gene:DRNTG_35188 transcript:DRNTG_35188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIPTMPSSSHKTLKTRGCKSLFESRDRERKDSARIGESEDEGKR >DRNTG_28912.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21973366:21973956:1 gene:DRNTG_28912 transcript:DRNTG_28912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVLGLVVRSGVRNTHTERKKERKKERKKERKKKKQRVLLNRG >DRNTG_03138.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21439713:21445932:1 gene:DRNTG_03138 transcript:DRNTG_03138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECIFCSANLSSRPASIQNHQGSSSLYKSGSYSKTVNYKVFSPHRVGSAFNSGGLPFVSKGSYKETIVAESTRQRWDFGRFVKTLYFFNGPPNPFKFIESLIEKLSSPAASEISKEMATSDVVLVAGATGGVGRRVVNILRNKGSRVRVLVRNKEKALKMFGPDIDMIVGDVTKENTLDPEYFKGIKKVINAVSVIVGPREGDTPDRAKYSQGIKFFEPEIKGDSPEKVDYIGMKNLINAVKNSTGQLDGKLLFGFSGNFTGKLVWGSLDDVVMGGVSESTFQIDPTGSENGGPTGLFKGFVSTANSGGFTSIRTRNFAVPEDLSAYDGIELRVKGDGRRYKLIIRLSADWDTLGYTASFDTTQEQWQSIKIPFSSLRPVFRARTVADAPPFDPSKIFSLQLMYSKFEYDGKLNPTFVEGEFQLPLSSIRAYIKEPITPRFVHVSAAGVTRPDRPGLDLSKQPPAVRLNKELGFILTYKLKGEDLLRESGIPYTIVRPCALTEEPAGADLIFDQGDNITGKISREEVALICIAALESPYPCQKTFEVKSTIPFSEPFTVDPSNPPPQKDYNVYFQTLKTGITGKEALEDSPVPV >DRNTG_23841.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:11713633:11714571:-1 gene:DRNTG_23841 transcript:DRNTG_23841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLLVDPRLGDEFNIGQLRQLAFVASLCVRATASWRPSMTEVLQLLTDGETPQNGWKMPEGEEEDLWDFEDLDECDKTTSSSLSTS >DRNTG_34012.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31627574:31631280:-1 gene:DRNTG_34012 transcript:DRNTG_34012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTAGCYCIAMDPTGRFFAVGSADSLVSLWDIKEMLCVRTFTKLEWPVRTVSFNYTGEYLASASEDTFVEITNVQTGRTAHQIACKSPMNSVEWNPKYNLLAYAGDDKNKYQNDEGVFRIFGFESS >DRNTG_34917.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20737692:20738834:1 gene:DRNTG_34917 transcript:DRNTG_34917.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g14470 [Source:Projected from Arabidopsis thaliana (AT1G14470) UniProtKB/Swiss-Prot;Acc:Q9M9R6] MPERDVFSYNSLISGLAANGNGYEVLKLLKKMKNDGIEPDAITYIGTLTALSHSGQLDEGFRVFAMIKTLTVDHYACMVDLLGRAGRLDEVMSLISEMPVKPHSGVYGALLNASRIHKRVDLGEFAAKELFELEPENSGNYILLSNMYASNRRWEEVEKLRKMMREKGVLKTVGSSWLEFSRENSSVCLWRSITLPIG >DRNTG_34917.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20736634:20738834:1 gene:DRNTG_34917 transcript:DRNTG_34917.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g14470 [Source:Projected from Arabidopsis thaliana (AT1G14470) UniProtKB/Swiss-Prot;Acc:Q9M9R6] MPQPTTTQAHGNAVQLPGSFPDASAFPQLIKSLGCHAVTVHAHVLKLGLMSDRYIRNSVLCYYAKYGPFLCALSLFDEMPDRGIVDWNSMLSGFWKWSSKEDACKVFDEMPERNVVSWTVMVSGLARAGELEEARRVFELMPERSVVSWNAVLSGYVKNGLPDECLFLFNKMMNSGVRPNETSWVTVISACASKGDLQLARTLVQQLEESRVNLNFYVKTALIDMYAKCGCLEKASEMFATMKDRNSVTWNAMISAYIRGGDVQSARKLFNRMHAKDVISWNTMISGYVQHGQWTRAIELFKEISLLNNMKPDEFTMSSVIAACGHLGIIEFGRSLVDYIAENRIPLSLSGYNSLIFFYSRCGSLEEAKKIFEEMPERDVFSYNSLISGLAANGNGYEVLKLLKKMKNDGIEPDAITYIGTLTALSHSGQLDEGFRVFAMIKTLTVDHYACMVDLLGRAGRLDEVMSLISEMPVKPHSGVYGALLNASRIHKRVDLGEFAAKELFELEPENSGNYILLSNMYASNRRWEEVEKLRKMMREKGVLKTVGSSWLEFSRENSSVCLWRSITLPIG >DRNTG_34917.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20736634:20738742:1 gene:DRNTG_34917 transcript:DRNTG_34917.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g14470 [Source:Projected from Arabidopsis thaliana (AT1G14470) UniProtKB/Swiss-Prot;Acc:Q9M9R6] MPQPTTTQAHGNAVQLPGSFPDASAFPQLIKSLGCHAVTVHAHVLKLGLMSDRYIRNSVLCYYAKYGPFLCALSLFDEMPDRGIVDWNSMLSGFWKWSSKEDACKVFDEMPERNVVSWTVMVSGLARAGELEEARRVFELMPERSVVSWNAVLSGYVKNGLPDECLFLFNKMMNSGVRPNETSWVTVISACASKGDLQLARTLVQQLEESRVNLNFYVKTALIDMYAKCGCLEKASEMFATMKDRNSVTWNAMISAYIRGGDVQSARKLFNRMHAKDVISWNTMISGYVQHGQWTRAIELFKEISLLNNMKPDEFTMSSVIAACGHLGIIEFGRSLVDYIAENRIPLSLSGYNSLIFFYSRCGSLEEAKKIFEEMPERDVFSYNSLISGLAANGNGYEVLKLLKKMKNDGIEPDAITYIGTLTALSHSGQLDEGFRVFAMIKTLTVDHYACMVDLLGRAGRLDEVMSLISEMPVKPHSGVYGALLNASRIHKRVDLGEFAAKELFELEPENSGNYILLSNMYASNRRWEEVEKLRKMMREKGVLKTVGSSWLEFSRENSSVCLWRSITLPIG >DRNTG_34917.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20737692:20738742:1 gene:DRNTG_34917 transcript:DRNTG_34917.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g14470 [Source:Projected from Arabidopsis thaliana (AT1G14470) UniProtKB/Swiss-Prot;Acc:Q9M9R6] MPERDVFSYNSLISGLAANGNGYEVLKLLKKMKNDGIEPDAITYIGTLTALSHSGQLDEGFRVFAMIKTLTVDHYACMVDLLGRAGRLDEVMSLISEMPVKPHSGVYGALLNASRIHKRVDLGEFAAKELFELEPENSGNYILLSNMYASNRRWEEVEKLRKMMREKGVLKTVGSSWLEFSRENSSVCLWRSITLPIG >DRNTG_34917.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20737692:20738718:1 gene:DRNTG_34917 transcript:DRNTG_34917.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g14470 [Source:Projected from Arabidopsis thaliana (AT1G14470) UniProtKB/Swiss-Prot;Acc:Q9M9R6] MPERDVFSYNSLISGLAANGNGYEVLKLLKKMKNDGIEPDAITYIGTLTALSHSGQLDEGFRVFAMIKTLTVDHYACMVDLLGRAGRLDEVMSLISEMPVKPHSGVYGALLNASRIHKRVDLGEFAAKELFELEPENSGNYILLSNMYASNRRWEEVEKLRKMMREKGVLKTVGSSWLEFSRENSSVCLWRSITLPIG >DRNTG_34917.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20736634:20738718:1 gene:DRNTG_34917 transcript:DRNTG_34917.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g14470 [Source:Projected from Arabidopsis thaliana (AT1G14470) UniProtKB/Swiss-Prot;Acc:Q9M9R6] MPQPTTTQAHGNAVQLPGSFPDASAFPQLIKSLGCHAVTVHAHVLKLGLMSDRYIRNSVLCYYAKYGPFLCALSLFDEMPDRGIVDWNSMLSGFWKWSSKEDACKVFDEMPERNVVSWTVMVSGLARAGELEEARRVFELMPERSVVSWNAVLSGYVKNGLPDECLFLFNKMMNSGVRPNETSWVTVISACASKGDLQLARTLVQQLEESRVNLNFYVKTALIDMYAKCGCLEKASEMFATMKDRNSVTWNAMISAYIRGGDVQSARKLFNRMHAKDVISWNTMISGYVQHGQWTRAIELFKEISLLNNMKPDEFTMSSVIAACGHLGIIEFGRSLVDYIAENRIPLSLSGYNSLIFFYSRCGSLEEAKKIFEEMPERDVFSYNSLISGLAANGNGYEVLKLLKKMKNDGIEPDAITYIGTLTALSHSGQLDEGFRVFAMIKTLTVDHYACMVDLLGRAGRLDEVMSLISEMPVKPHSGVYGALLNASRIHKRVDLGEFAAKELFELEPENSGNYILLSNMYASNRRWEEVEKLRKMMREKGVLKTVGSSWLEFSRENSSVCLWRSITLPIG >DRNTG_32926.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001931.1:8431:13310:-1 gene:DRNTG_32926 transcript:DRNTG_32926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRKSRSRKRQPVEPWKKMKAKERKKTKEREREGGKSRDSHTFIDQEREMAEFVITTVATKLSEMITQELILLHGVSDEVEWMERELRWIKCFLKDADAKGKRDERVKNWVNDVTELAYRAEAAIDGFLIKVRRLKGCPSWISRFKPNALIARHHVGVEIREIKKRLNEIKDVRERYGIQNLGEDGDASSQKSIFKRHHFSPQSDDADIVGLVNDEKILLERLLMNHHGQQQQQQQQQQGLVSLALLALVVSVKPPLLANSIVAMMPAIIFTNAFG >DRNTG_01000.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12750147:12756534:1 gene:DRNTG_01000 transcript:DRNTG_01000.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRPELQQRIKLFCSNRQGPEHWFNNQPPKRVDLQKALGNHLSWKERNPPFFDDVAARLLPVIPLIIYRLIENDATDVADKVLALYTPLLIYHPLRFTFVRDILAYFYGHLPIKLIVRILKVLDIPKIPFSESFPHQVGLNNSAFCPPPDYFATLLLGLVNNVIPPLNSKSKSDSMADASNNPGRTTVNKSQVSSQGTVNSSDGQKAFYQNQDPGTYTQLVLETAAIEILSLQATAAQIVSSLVQIVVHVQPTLIQSSNGLQGISGGLGQNSGLPTSPSGGSSESLNTNRSTPSATGVNATNFMSRSGYSCQQLSCLMIQACGLLLAQLPPEFHMLLYIEASRIIKDCWWLVDGKRTIKELDSAVGYAFGSSLGFSRQHIYCYR >DRNTG_01000.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12752997:12754088:1 gene:DRNTG_01000 transcript:DRNTG_01000.7 gene_biotype:protein_coding transcript_biotype:protein_coding MADASNNPGRTTVNKSQVSSQGTVNSSDGQKAFYQNQDPGTYTQLVLETAAIEILSLQATAAQIVSSLVQIVVHVQPTLIQSSNGLQGISGGLGQNSGLPTSPSGGSSESLNTNRSTPSATGVNATNFMSRSGYSCQQLSCLMIQACGLLLAQLPPEFHMLLYIEASRIIKDCWWLVDGKRTIKELDSAVGYAFGSSLGFSRQHIYCYR >DRNTG_01000.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12741467:12741722:1 gene:DRNTG_01000 transcript:DRNTG_01000.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKDSQTFSSTNPGILNWEDGQEGTVYSSMAASL >DRNTG_01000.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12754587:12756534:1 gene:DRNTG_01000 transcript:DRNTG_01000.6 gene_biotype:protein_coding transcript_biotype:protein_coding FSASCSPLRRSRRTSAKHEQTKTGDLNALWQSNRTLEARCAASSFTFEDRSELHLRRHSSQTGPKPDIKLTFHLQLSTMTRLCYPLTLFA >DRNTG_01000.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12741222:12741722:1 gene:DRNTG_01000 transcript:DRNTG_01000.8 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVTKIISMIKGKRGEHRFFRLAENLCMNLILSIRDFFLVKKELKGPTEFSETLNRITIISLSITIKTRGIAEVEHMLYLQPLLEQILATSQHTWSEKTLRHFPPLIRESLTGRMDKKGQSIQAWQQV >DRNTG_01000.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12741467:12748548:1 gene:DRNTG_01000 transcript:DRNTG_01000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKDSQTFSSTNPGILNWEDGQEGTVYSSMAAS >DRNTG_01000.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12741222:12756534:1 gene:DRNTG_01000 transcript:DRNTG_01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVTKIISMIKGKRGEHRFFRLAENLCMNLILSIRDFFLVKKELKGPTEFSETLNRITIISLSITIKTRGIAEVEHMLYLQPLLEQILATSQHTWSEKTLRHFPPLIRESLTGRMDKKGQSIQAWQQAEQTVINQCTQLLSPSADPTYVLTYLSHSFPQHRQYLCAGAWVLMKGHPDGINNINLGRVLREFSPEEVTSNIYTMVDVLLHNIQVELQQGHVVQDLLIKAIANLAFFVWTHELLPLDILLLALIDRDDDHCALRIVISMLDRPELQQRIKLFCSNRQGPEHWFNNQPPKRVDLQKALGNHLSWKERNPPFFDDVAARLLPVIPLIIYRLIENDATDVADKVLALYTPLLIYHPLRFTFVRDILAYFYGHLPIKLIVRILKVLDIPKIPFSESFPHQVGLNNSAFCPPPDYFATLLLGLVNNVIPPLNSKSKSDSMADASNNPGRTTVNKSQVSSQGTVNSSDGQKAFYQNQDPGTYTQLVLETAAIEILSLQATAAQIVSSLVQIVVHVQPTLIQSSNGLQGISGGLGQNSGLPTSPSGGSSESLNTNRSTPSATGVNATNFMSRSGYSCQQLSCLMIQACGLLLAQLPPEFHMLLYIEASRIIKDCWWLVDGKRTIKELDSAVGYAFGSSLGFSRQHIYCYR >DRNTG_01000.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12741222:12756534:1 gene:DRNTG_01000 transcript:DRNTG_01000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRPELQQRIKLFCSNRQGPEHWFNNQPPKRVDLQKALGNHLSWKERNPPFFDDVAARLLPVIPLIIYRLIENDATDVADKVLALYTPLLIYHPLRFTFVRDILAYFYGHLPIKLIVRILKVLDIPKIPFSESFPHQVGLNNSAFCPPPDYFATLLLGLVNNVIPPLNSKSKSDSMADASNNPGRTTVNKSQVSSQGTVNSSDGQKAFYQNQDPGTYTQLVLETAAIEILSLQATAAQIVSSLVQIVVHVQPTLIQSSNGLQGISGGLGQNSGLPTSPSGGSSESLNTNRSTPSATGVNATNFMSRSGYSCQQLSCLMIQACGLLLAQLPPEFHMLLYIEASRIIKDCWWLVDGKRTIKELDSAVGYAFGSSLGFSRQHIYCYR >DRNTG_01000.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12741222:12756534:1 gene:DRNTG_01000 transcript:DRNTG_01000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRPELQQRIKLFCSNRQGPEHWFNNQPPKRVDLQKALGNHLSWKERNPPFFDDVAARLLPVIPLIIYRLIENDATDVADKVLALYTPLLIYHPLRFTFVRDILAYFYGHLPIKLIVRILKVLDIPKIPFSESFPHQVGLNNSAFCPPPDYFATLLLGLVNNVIPPLNSKSKSDSMADASNNPGRTTVNKSQVSSQGTVNSSDGQKAFYQNQDPGTYTQLVLETAAIEILSLQATAAQIVSSLVQIVVHVQPTLIQSSNGLQGISGGLGQNSGLPTSPSGGSSESLNTNRSTPSATGVNATNFMSRSGYSCQQLSCLMIQACGLLLAQLPPEFHMLLYIEASRIIKDCWWLVDGKRTIKELDSAVGYAFGSSLGFSRQHIYCYR >DRNTG_15625.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20932215:20936294:1 gene:DRNTG_15625 transcript:DRNTG_15625.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRLGFSWRILVVLTAILAGIECGAVAEKPRGKRRHAYASMMYMGTPRDYEFFVATRVMMRSLSKLRVDADLVVIASIDVPSRWLRALKEEDGVKVVRVENLRNPYEKQDNFNSRFKLTLNKLYAWSLVAYDRVVMLDSDNIFLQRTDELFQCGQFCAVFINPCIFHTGLFVLQPSIDVFNNMLHELEIGRENPDGADQGFLASYFPDLLDRPMFHPPANGSKLDGFYRLPLGYQMDASYYYLKLRWSIPCGPNSVITFPSAPWLKPWYWWSWPVLPLGLSWHEQRRKSLGYNSELLVMLIQSAMYLAILAVTRLVRPSLTKLCYNRKPEKSIALLHASLKVAGIWSIMAAYTVPFFLVPRTVHPVLGWSLYLLGSAALTSIVINVFLLPGLSVLTPWLGILGSLFVMAWPWYSDGVVRALAVFAYAFCCAPFVWGALMKILGSLQTLVEREAFFPRLGESTELPNKLY >DRNTG_24491.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18944736:18960991:1 gene:DRNTG_24491 transcript:DRNTG_24491.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein GP210 [Source:Projected from Arabidopsis thaliana (AT5G40480) UniProtKB/Swiss-Prot;Acc:F4KHD8] MLPHIEGYNTLYGPPCAWTLLYASHAGRALLQATLSSMLPSYFHSFDGPFMLKATSSFSAYSPFMVHQAGDGNEFGGYWVDLTKSHTSFIDSNYSGMDRLYLVPGSGMDILLLGGPEPWDESVEYIDSVEIHGDQDHSMSDGLLLEQISSSSGRLYRVVCLALGSFNLLFTRGNLVSDDHPLPTLANLQLAVVCSLPSSITLLANEPVNTPEVIMAANKADRGPGRVRGPVVVANGCTIRVAAVGIHVTNRAFANSSSLRLNWELSGCEGLAHLDETISLPSPGAGWERFLVLNNMSGKCIVRATVVGFSEVIADHLLEEAEMLLKSTEDVLTDAVRLQLVSSLRLVPEFILLVFDPEAKVNLYVTGGTCFLDAETNNTQVIQIIQPTEDSMCSYLTVGARGLGVALVTVVDKGLSPPSSASASVKVANVEWIKIISEEEISLVEGAVETFNILSGTHDGDIFDSSQYVYMNIHVHIEDGILDVVNGSLSSSIGSWIIYRSNFSVRALDVGTTTLYVSARQQSGFEIFSQRIKLEVYRPLKMHPGYIYLTPGASYTLTLEGGPKSRGFVKYDSKNIDTAIVQSSSGKVSAISVGNSIVHASIFGNGGTFICEAYGQVEVGIPSAFSLNLQSDQLCVGCGMPIFPTFREGNLFSFYEICKDYKWTIEDEKVLSFQRTRQLHADMSDAPFPNSEVEVNPSFSNGNSLGFIKEIFGRSAGRTRISVSFSCEFVLSGTPHRVSYNASEFLRVVPDPPLALGIPVTWILPPFYTALDLLPASSDSSGVVDSPNYGGNFVYSVLKACGQTDLSGQDALVIDGNDITTKESNTLACIQAKDKATGRTEIASCVRVAEVAQVRLSTAKSSLNVLYLPLQAKVKLVIRYTDSLGYTFYEAHEVVPLDIETNYPDILSILMPNAEDDAENVVLQAKNPGSALVRISMKHNPKAVDYILVSVGAQVFPQNPVLRVGHHLNFSIIGDGASGPVSGCWSSANRSILHIDRKSGEAHALGEGVVEVIFEAPNYNLQTTVTVLKMGQIVVEAPAETLTNVPYPSKGYRFPVRLSDLPGKLEAAKNPVEAPYTCRINPLFVGFAKPWIDHATGSSYCHFFPYSPKKLQSSISKSDDSSSEGFLYISITASLKENPHIAGSAHALFVGGFSILEVGKLNLTPSSNRSLITIMGNTDVEIYWNAKDHLLVRPLKRDGFGIGGHVQYEVKVLIDQQFSDKLTVLLPATGQTADIDISYESSRRPTSSGTRLTWAAVFLCVIILLITIVIFIKLLDRPSISSSARLTRPTRQGGVIPSPATPNQTSPDSIRASPRTPQPLAEYARQTLDQTPYYKRDGRRRFDPQYTY >DRNTG_24491.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18944421:18960991:1 gene:DRNTG_24491 transcript:DRNTG_24491.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein GP210 [Source:Projected from Arabidopsis thaliana (AT5G40480) UniProtKB/Swiss-Prot;Acc:F4KHD8] MVILPYFPVEAAIGKSLQAAVTLKTSDGNYYYRCDAFASVVKWNIISGVDSFKVVNTAGVSWNSDMLPHIEGYNTLYGPPCAWTLLYASHAGRALLQATLSSMLPSYFHSFDGPFMLKATSSFSAYSPFMVHQAGDGNEFGGYWVDLTKSHTSFIDSNYSGMDRLYLVPGSGMDILLLGGPEPWDESVEYIDSVEIHGDQDHSMSDGLLLEQISSSSGRLYRVVCLALGSFNLLFTRGNLVSDDHPLPTLANLQLAVVCSLPSSITLLANEPVNTPEVIMAANKADRGPGRVRGPVVVANGCTIRVAAVGIHVTNRAFANSSSLRLNWELSGCEGLAHLDETISLPSPGAGWERFLVLNNMSGKCIVRATVVGFSEVIADHLLEEAEMLLKSTEDVLTDAVRLQLVSSLRLVPEFILLVFDPEAKVNLYVTGGTCFLDAETNNTQVIQIIQPTEDSMCSYLTVGARGLGVALVTVVDKGLSPPSSASASVKVANVEWIKIISEEEISLVEGAVETFNILSGTHDGDIFDSSQYVYMNIHVHIEDGILDVVNGSLSSSIGSWIIYRSNFSVRALDVGTTTLYVSARQQSGFEIFSQRIKLEVYRPLKMHPGYIYLTPGASYTLTLEGGPKSRGFVKYDSKNIDTAIVQSSSGKVSAISVGNSIVHASIFGNGGTFICEAYGQVEVGIPSAFSLNLQSDQLCVGCGMPIFPTFREGNLFSFYEICKDYKWTIEDEKVLSFQRTRQLHADMSDAPFPNSEVEVNPSFSNGNSLGFIKEIFGRSAGRTRISVSFSCEFVLSGTPHRVSYNASEFLRVVPDPPLALGIPVTWILPPFYTALDLLPASSDSSGVVDSPNYGGNFVYSVLKACGQTDLSGQDALVIDGNDITTKESNTLACIQAKDKATGRTEIASCVRVAEVAQVRLSTAKSSLNVLYLPLQAKVKLVIRYTDSLGYTFYEAHEVVPLDIETNYPDILSILMPNAEDDAENVVLQAKNPGSALVRISMKHNPKAVDYILVSVGAQVFPQNPVLRVGHHLNFSIIGDGASGPVSGCWSSANRSILHIDRKSGEAHALGEGVVEVIFEAPNYNLQTTVTVLKMGQIVVEAPAETLTNVPYPSKGYRFPVRLSDLPGKLEAAKNPVEAPYTCRINPLFVGFAKPWIDHATGSSYCHFFPYSPKKLQSSISKSDDSSSEGFLYISITASLKENPHIAGSAHALFVGGFSILEVGKLNLTPSSNRSLITIMGNTDVEIYWNAKDHLLVRPLKRDGFGIGGHVQYEVKVLIDQQFSDKLTVLLPATGQTADIDISYESSRRPTSSGTRLTWAAVFLCVIILLITIVIFIKLLDRPSISSSARLTRPTRQGGVIPSPATPNQTSPDSIRASPRTPQPLAEYARQTLDQTPYYKRDGRRRFDPQYTY >DRNTG_24491.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18954182:18960991:1 gene:DRNTG_24491 transcript:DRNTG_24491.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein GP210 [Source:Projected from Arabidopsis thaliana (AT5G40480) UniProtKB/Swiss-Prot;Acc:F4KHD8] MSHIHPKATGFLLDLVIYRASWKLLRILLKLHILVGSIHCLLDLQNHGLIMLLVVPIATFSHIHPRSSKVLYPSQTIAQVKDFFTYPSLLH >DRNTG_24491.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18943386:18960991:1 gene:DRNTG_24491 transcript:DRNTG_24491.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein GP210 [Source:Projected from Arabidopsis thaliana (AT5G40480) UniProtKB/Swiss-Prot;Acc:F4KHD8] MNYDEVVVEASIPYSMVILPYFPVEAAIGKSLQAAVTLKTSDGNYYYRCDAFASVVKWNIISGVDSFKVVNTAGVSWNSDMLPHIEGYNTLYGPPCAWTLLYASHAGRALLQATLSSMLPSYFHSFDGPFMLKATSSFSAYSPFMVHQAGDGNEFGGYWVDLTKSHTSFIDSNYSGMDRLYLVPGSGMDILLLGGPEPWDESVEYIDSVEIHGDQDHSMSDGLLLEQISSSSGRLYRVVCLALGSFNLLFTRGNLVSDDHPLPTLANLQLAVVCSLPSSITLLANEPVNTPEVIMAANKADRGPGRVRGPVVVANGCTIRVAAVGIHVTNRAFANSSSLRLNWELSGCEGLAHLDETISLPSPGAGWERFLVLNNMSGKCIVRATVVGFSEVIADHLLEEAEMLLKSTEDVLTDAVRLQLVSSLRLVPEFILLVFDPEAKVNLYVTGGTCFLDAETNNTQVIQIIQPTEDSMCSYLTVGARGLGVALVTVVDKGLSPPSSASASVKVANVEWIKIISEEEISLVEGAVETFNILSGTHDGDIFDSSQYVYMNIHVHIEDGILDVVNGSLSSSIGSWIIYRSNFSVRALDVGTTTLYVSARQQSGFEIFSQRIKLEVYRPLKMHPGYIYLTPGASYTLTLEGGPKSRGFVKYDSKNIDTAIVQSSSGKVSAISVGNSIVHASIFGNGGTFICEAYGQVEVGIPSAFSLNLQSDQLCVGCGMPIFPTFREGNLFSFYEICKDYKWTIEDEKVLSFQRTRQLHADMSDAPFPNSEVEVNPSFSNGNSLGFIKEIFGRSAGRTRISVSFSCEFVLSGTPHRVSYNASEFLRVVPDPPLALGIPVTWILPPFYTALDLLPASSDSSGVVDSPNYGGNFVYSVLKACGQTDLSGQDALVIDGNDITTKESNTLACIQAKDKATGRTEIASCVRVAEVAQVRLSTAKSSLNVLYLPLQAKVKLVIRYTDSLGYTFYEAHEVVPLDIETNYPDILSILMPNAEDDAENVVLQAKNPGSALVRISMKHNPKAVDYILVSVGAQVFPQNPVLRVGHHLNFSIIGDGASGPVSGCWSSANRSILHIDRKSGEAHALGEGVVEVIFEAPNYNLQTTVTVLKMGQIVVEAPAETLTNVPYPSKGYRFPVRLSDLPGKLEAAKNPVEAPYTCRINPLFVGFAKPWIDHATGSSYCHFFPYSPKKLQSSISKSDDSSSEGFLYISITASLKENPHIAGSAHALFVGGFSILEVGKLNLTPSSNRSLITIMGNTDVEIYWNAKDHLLVRPLKRDGFGIGGHVQYEVKVLIDQQFSDKLTVLLPATGQTADIDISYESSRRPTSSGTRLTWAAVFLCVIILLITIVIFIKLLDRPSISSSARLTRPTRQGGVIPSPATPNQTSPDSIRASPRTPQPLAEYARQTLDQTPYYKRDGRRRFDPQYTY >DRNTG_24491.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18938923:18960991:1 gene:DRNTG_24491 transcript:DRNTG_24491.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein GP210 [Source:Projected from Arabidopsis thaliana (AT5G40480) UniProtKB/Swiss-Prot;Acc:F4KHD8] MFIDPPSPVFVTIGAVVNYQLWVIRLNNLRVIDFPSKYHRWSVVNSSVAQVDREMAIASALNLGNTNVVVEDTRLSGHVQTSSLHVVIPDKMSLYLLPITNVSNLAGKIELTSSIVWYVFPGQEYIIHVKVVSQGPDAEELFITEENDLKMESDSDEYWNIRSIIKYDVPRPLRLWNSRLLKPTSEGQGFLTSSITYHSGSLEIPEVLKVEQEIVVCNKVKFVSGDQDVYTQIIRLPWVPGIYQEVELKASGGCAKSKTDYRWFSSDPETISVSASGSVQAKLPGKAVIKVVSSFDAMNYDEVVVEASIPYSMVILPYFPVEAAIGKSLQAAVTLKTSDGNYYYRCDAFASVVKWNIISGVDSFKVVNTAGVSWNSDMLPHIEGYNTLYGPPCAWTLLYASHAGRALLQATLSSMLPSYFHSFDGPFMLKATSSFSAYSPFMVHQAGDGNEFGGYWVDLTKSHTSFIDSNYSGMDRLYLVPGSGMDILLLGGPEPWDESVEYIDSVEIHGDQDHSMSDGLLLEQISSSSGRLYRVVCLALGSFNLLFTRGNLVSDDHPLPTLANLQLAVVCSLPSSITLLANEPVNTPEVIMAANKADRGPGRVRGPVVVANGCTIRVAAVGIHVTNRAFANSSSLRLNWELSGCEGLAHLDETISLPSPGAGWERFLVLNNMSGKCIVRATVVGFSEVIADHLLEEAEMLLKSTEDVLTDAVRLQLVSSLRLVPEFILLVFDPEAKVNLYVTGGTCFLDAETNNTQVIQIIQPTEDSMCSYLTVGARGLGVALVTVVDKGLSPPSSASASVKVANVEWIKIISEEEISLVEGAVETFNILSGTHDGDIFDSSQYVYMNIHVHIEDGILDVVNGSLSSSIGSWIIYRSNFSVRALDVGTTTLYVSARQQSGFEIFSQRIKLEVYRPLKMHPGYIYLTPGASYTLTLEGGPKSRGFVKYDSKNIDTAIVQSSSGKVSAISVGNSIVHASIFGNGGTFICEAYGQVEVGIPSAFSLNLQSDQLCVGCGMPIFPTFREGNLFSFYEICKDYKWTIEDEKVLSFQRTRQLHADMSDAPFPNSEVEVNPSFSNGNSLGFIKEIFGRSAGRTRISVSFSCEFVLSGTPHRVSYNASEFLRVVPDPPLALGIPVTWILPPFYTALDLLPASSDSSGVVDSPNYGGNFVYSVLKACGQTDLSGQDALVIDGNDITTKESNTLACIQAKDKATGRTEIASCVRVAEVAQVRLSTAKSSLNVLYLPLQAKVKLVIRYTDSLGYTFYEAHEVVPLDIETNYPDILSILMPNAEDDAENVVLQAKNPGSALVRISMKHNPKAVDYILVSVGAQVFPQNPVLRVGHHLNFSIIGDGASGPVSGCWSSANRSILHIDRKSGEAHALGEGVVEVIFEAPNYNLQTTVTVLKMGQIVVEAPAETLTNVPYPSKGYRFPVRLSDLPGKLEAAKNPVEAPYTCRINPLFVGFAKPWIDHATGSSYCHFFPYSPKKLQSSISKSDDSSSEGFLYISITASLKENPHIAGSAHALFVGGFSILEVGKLNLTPSSNRSLITIMGNTDVEIYWNAKDHLLVRPLKRDGFGIGGHVQYEVKVLIDQQFSDKLTVLLPATGQTADIDISYESSRRPTSSGTRLTWAAVFLCVIILLITIVIFIKLLDRPSISSSARLTRPTRQGGVIPSPATPNQTSPDSIRASPRTPQPLAEYARQTLDQTPYYKRDGRRRFDPQYTY >DRNTG_24491.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18938923:18960991:1 gene:DRNTG_24491 transcript:DRNTG_24491.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein GP210 [Source:Projected from Arabidopsis thaliana (AT5G40480) UniProtKB/Swiss-Prot;Acc:F4KHD8] MASLVASGLRLLLLPLLVELLLGSVFLVVESVVSGPHITDLNVLLPPRMTHPVEYRLQGSGGCFSWSWDHHDILSVHPEYNSSSQCSTSARLISISPYNGRKETSVYATDLQSGTVIRCEVFIDMISRIKIFHHSVKLDLDGLATLRVHAFDEEDNVFSSLVGLKFVWQLLPKSPETDGLHNLIHVPLKETPLSDCHGFCGELETRIKLEDSGLGSDLYVVKGVEIGQELISASLREPQLEHVEDKIVLTVAEPMFIDPPSPVFVTIGAVVNYQLWVIRLNNLRVIDFPSKYHRWSVVNSSVAQVDREMAIASALNLGNTNVVVEDTRLSGHVQTSSLHVVIPDKMSLYLLPITNVSNLAGKIELTSSIVWYVFPGQEYIIHVKVVSQGPDAEELFITEENDLKMESDSDEYWNIRSIIKYDVPRPLRLWNSRLLKPTSEGQGFLTSSITYHSGSLEIPEVLKVEQEIVVCNKVKFVSGDQDVYTQIIRLPWVPGIYQEVELKASGGCAKSKTDYRWFSSDPETISVSASGSVQAKLPGKAVIKVVSSFDAMNYDEVVVEASIPYSMVILPYFPVEAAIGKSLQAAVTLKTSDGNYYYRCDAFASVVKWNIISGVDSFKVVNTAGVSWNSDMLPHIEGYNTLYGPPCAWTLLYASHAGRALLQATLSSMLPSYFHSFDGPFMLKATSSFSAYSPFMVHQAGDGNEFGGYWVDLTKSHTSFIDSNYSGMDRLYLVPGSGMDILLLGGPEPWDESVEYIDSVEIHGDQDHSMSDGLLLEQISSSSGRLYRVVCLALGSFNLLFTRGNLVSDDHPLPTLANLQLAVVCSLPSSITLLANEPVNTPEVIMAANKADRGPGRVRGPVVVANGCTIRVAAVGIHVTNRAFANSSSLRLNWELSGCEGLAHLDETISLPSPGAGWERFLVLNNMSGKCIVRATVVGFSEVIADHLLEEAEMLLKSTEDVLTDAVRLQLVSSLRLVPEFILLVFDPEAKVNLYVTGGTCFLDAETNNTQVIQIIQPTEDSMCSYLTVGARGLGVALVTVVDKGLSPPSSASASVKVANVEWIKIISEEEISLVEGAVETFNILSGTHDGDIFDSSQYVYMNIHVHIEDGILDVVNGSLSSSIGSWIIYRSNFSVRALDVGTTTLYVSARQQSGFEIFSQRIKLEVYRPLKMHPGYIYLTPGASYTLTLEGGPKSRGFVKYDSKNIDTAIVQSSSGKVSAISVGNSIVHASIFGNGGTFICEAYGQVEVGIPSAFSLNLQSDQLCVGCGMPIFPTFREGNLFSFYEICKDYKWTIEDEKVLSFQRTRQLHADMSDAPFPNSEVEVNPSFSNGNSLGFIKEIFGRSAGRTRISVSFSCEFVLSGTPHRVSYNASEFLRVVPDPPLALGIPVTWILPPFYTALDLLPASSDSSGVVDSPNYGGNFVYSVLKACGQTDLSGQDALVIDGNDITTKESNTLACIQAKDKATGRTEIASCVRVAEVAQVRLSTAKSSLNVLYLPLQAKVKLVIRYTDSLGYTFYEAHEVVPLDIETNYPDILSILMPNAEDDAENVVLQAKNPGSALVRISMKHNPKAVDYILVSVGAQVFPQNPVLRVGHHLNFSIIGDGASGPVSGCWSSANRSILHIDRKSGEAHALGEGVVEVIFEAPNYNLQTTVTVLKMGQIVVEAPAETLTNVPYPSKGYRFPVRLSDLPGKLEAAKNPVEAPYTCRINPLFVGFAKPWIDHATGSSYCHFFPYSPKKLQSSISKSDDSSSEGFLYISITASLKENPHIAGSAHALFVGGFSILEVGKLNLTPSSNRSLITIMGNTDVEIYWNAKDHLLVRPLKRDGFGIGGHVQYEVKVLIDQQFSDKLTVLLPATGQTADIDISYESSRRPTSSGTRLTWAAVFLCVIILLITIVIFIKLLDRPSISSSARLTRPTRQGGVIPSPATPNQTSPDSIRASPRTPQPLAEYARQTLDQTPYYKRDGRRRFDPQYTY >DRNTG_24491.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18941766:18960991:1 gene:DRNTG_24491 transcript:DRNTG_24491.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein GP210 [Source:Projected from Arabidopsis thaliana (AT5G40480) UniProtKB/Swiss-Prot;Acc:F4KHD8] MESDSDEYWNIRSIIKYDVPRPLRLWNSRLLKPTSEGQGFLTSSITYHSGSLEIPEVLKVEQEIVVCNKVKFVSGDQDVYTQIIRLPWVPGIYQEVELKASGGCAKSKTDYRWFSSDPETISVSASGSVQAKLPGKAVIKVVSSFDAMNYDEVVVEASIPYSMVILPYFPVEAAIGKSLQAAVTLKTSDGNYYYRCDAFASVVKWNIISGVDSFKVVNTAGVSWNSDMLPHIEGYNTLYGPPCAWTLLYASHAGRALLQATLSSMLPSYFHSFDGPFMLKATSSFSAYSPFMVHQAGDGNEFGGYWVDLTKSHTSFIDSNYSGMDRLYLVPGSGMDILLLGGPEPWDESVEYIDSVEIHGDQDHSMSDGLLLEQISSSSGRLYRVVCLALGSFNLLFTRGNLVSDDHPLPTLANLQLAVVCSLPSSITLLANEPVNTPEVIMAANKADRGPGRVRGPVVVANGCTIRVAAVGIHVTNRAFANSSSLRLNWELSGCEGLAHLDETISLPSPGAGWERFLVLNNMSGKCIVRATVVGFSEVIADHLLEEAEMLLKSTEDVLTDAVRLQLVSSLRLVPEFILLVFDPEAKVNLYVTGGTCFLDAETNNTQVIQIIQPTEDSMCSYLTVGARGLGVALVTVVDKGLSPPSSASASVKVANVEWIKIISEEEISLVEGAVETFNILSGTHDGDIFDSSQYVYMNIHVHIEDGILDVVNGSLSSSIGSWIIYRSNFSVRALDVGTTTLYVSARQQSGFEIFSQRIKLEVYRPLKMHPGYIYLTPGASYTLTLEGGPKSRGFVKYDSKNIDTAIVQSSSGKVSAISVGNSIVHASIFGNGGTFICEAYGQVEVGIPSAFSLNLQSDQLCVGCGMPIFPTFREGNLFSFYEICKDYKWTIEDEKVLSFQRTRQLHADMSDAPFPNSEVEVNPSFSNGNSLGFIKEIFGRSAGRTRISVSFSCEFVLSGTPHRVSYNASEFLRVVPDPPLALGIPVTWILPPFYTALDLLPASSDSSGVVDSPNYGGNFVYSVLKACGQTDLSGQDALVIDGNDITTKESNTLACIQAKDKATGRTEIASCVRVAEVAQVRLSTAKSSLNVLYLPLQAKVKLVIRYTDSLGYTFYEAHEVVPLDIETNYPDILSILMPNAEDDAENVVLQAKNPGSALVRISMKHNPKAVDYILVSVGAQVFPQNPVLRVGHHLNFSIIGDGASGPVSGCWSSANRSILHIDRKSGEAHALGEGVVEVIFEAPNYNLQTTVTVLKMGQIVVEAPAETLTNVPYPSKGYRFPVRLSDLPGKLEAAKNPVEAPYTCRINPLFVGFAKPWIDHATGSSYCHFFPYSPKKLQSSISKSDDSSSEGFLYISITASLKENPHIAGSAHALFVGGFSILEVGKLNLTPSSNRSLITIMGNTDVEIYWNAKDHLLVRPLKRDGFGIGGHVQYEVKVLIDQQFSDKLTVLLPATGQTADIDISYESSRRPTSSGTRLTWAAVFLCVIILLITIVIFIKLLDRPSISSSARLTRPTRQGGVIPSPATPNQTSPDSIRASPRTPQPLAEYARQTLDQTPYYKRDGRRRFDPQYTY >DRNTG_13902.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1895855:1900979:1 gene:DRNTG_13902 transcript:DRNTG_13902.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional L-3-cyanoalanine synthase/cysteine synthase C1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G61440) UniProtKB/Swiss-Prot;Acc:Q9S757] MARLMMEMIRKGSRKLCLLERRTNSSIFSFSTETASTTYHHPQSIIKDLSRSNIKSDATKMIGKTPMVFLNRVSEGCEARVAVKLEMMQPTCSVKDRPSVAMIEDAEKKGLITPDKTTLIEPTSGNMGIGLAFMAALKGYKLVLTMPSYTSIERRVTMRAFGANLVLTDPTKGMGGTVKKAYELMDSYPDAFMLQQFENPANVKVHFETTGPEIWNDTCGEVDIFVMGIGSGGTVSGVGQYLKSKNPNVKIYGVEPAESNILNGGKPGPHHITGNGVGFKPKILDMDVMEKVLEVSSEDAVKMARELALKEGLMAGISSGANTVAALRLAKMPENKGKLIVTVLPSFGERYLSSVLFQQLREEAEKMEPVEVN >DRNTG_09485.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21510857:21511268:1 gene:DRNTG_09485 transcript:DRNTG_09485.7 gene_biotype:protein_coding transcript_biotype:protein_coding GIITQEQDAHNAEERRSERKKLETMRQRKKGIIEANPVLVERLLQNHCKRKTQVKNIL >DRNTG_09485.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21503722:21511268:1 gene:DRNTG_09485 transcript:DRNTG_09485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMIASIPIAWAVVDKENYDNWRWFLELLAIDLEINNGHGFAFMSDRQKGLIPALEDLFPNSEHRYCVRHIHCNFRSNYKGKALKDQLWKCARASYIPAFNKEMETLNAMSPDAYEFLRKIPPIHWSRAHFKPIYKCDMLLNNLCECFNSLILCARTKGIVTLNETIRTQLMCRIQRKRDSMKKSGNIFCPKIVKKLEKAKNMTYFHNTTWSGGDQYQIICNDGQFVVNKKEKTCTCRRWELTGVPCSHAISAIYYNHEKPETYIEDCYKVSTFLDIYSHILYPTQDKTCWPKSPQCPMIPPEVVMKKRGQKVRLRRKDATEKIGFCNGKVSKTGKKITCSICGVTGHNKRFHGQQGTNTNNDDNGGQTGTSTTTLPRDEVNCHDPMDGIDPQVLEDHLQLVDHLIESQFSEKITTFENVQVEPLQGIITQEQDAHNAEERRSERKKLETMRQRKKGIIEANPVLVERLLQNHCKRKTQVKNIL >DRNTG_09485.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21503722:21505605:1 gene:DRNTG_09485 transcript:DRNTG_09485.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVQFIHILSGRLRLTPGKALFVFIKNSLPQTASLMDTVYELYKDEDGFLYMCYSSEKTFG >DRNTG_09485.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21510702:21511268:1 gene:DRNTG_09485 transcript:DRNTG_09485.6 gene_biotype:protein_coding transcript_biotype:protein_coding VDHLIESQFSEKITTFENVQVEPLQGIITQEQDAHNAEERRSERKKLETMRQRKKGIIEANPVLVERLLQNHCKRKTQVKNIL >DRNTG_09485.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21503910:21505605:1 gene:DRNTG_09485 transcript:DRNTG_09485.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVQFIHILSGRLRLTPGKALFVFIKNSLPQTASLMDTVYELYKDEDGFLYMCYSSEKTFG >DRNTG_09485.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21503722:21506806:1 gene:DRNTG_09485 transcript:DRNTG_09485.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVQFIHILSGRLRLTPGKALFVFIKNSLPQTASLMDTVYELYKDEDGFLYMCYSSEKTFG >DRNTG_09485.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21509361:21511268:1 gene:DRNTG_09485 transcript:DRNTG_09485.3 gene_biotype:protein_coding transcript_biotype:protein_coding METLNAMSPDAYEFLRKIPPIHWSRAHFKPIYKCDMLLNNLCECFNSLILCARTKGIVTLNETIRTQLMCRIQRKRDSMKKSGNIFCPKIVKKLEKAKNMTYFHNTTWSGGDQYQIICNDGQFVVNKKEKTCTCRRWELTGVPCSHAISAIYYNHEKPETYIEDCYKVSTFLDIYSHILYPTQDKTCWPKSPQCPMIPPEVVMKKRGQKVRLRRKDATEKIGFCNGKVSKTGKKITCSICGVTGHNKRFHGQQGTNTNNDDNGGQTGTSTTTLPRDEVNCHDPMDGIDPQVLEDHLQLVDHLIESQFSEKITTFENVQVEPLQGIITQEQDAHNAEERRSERKKLETMRQRKKGIIEANPVLVERLLQNHCKRKTQVKNIL >DRNTG_00097.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3286979:3287358:1 gene:DRNTG_00097 transcript:DRNTG_00097.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQIYHKSTYSKPNNLTTPNENIKFTEL >DRNTG_00097.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3286798:3287358:1 gene:DRNTG_00097 transcript:DRNTG_00097.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQIYHKSTYSKPNNLTTPNENIKFTEL >DRNTG_00097.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3286798:3287309:1 gene:DRNTG_00097 transcript:DRNTG_00097.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQIYHKSTYSKPNNLTTPNENIKFTEL >DRNTG_00097.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3285784:3287309:1 gene:DRNTG_00097 transcript:DRNTG_00097.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTNPPPSSFDLEDLIHDLKYLINQGLLTLQVATQHEVDLTITLDMLESLMAIISHTINFNIESAREDFRQLSLHFQELQTKLTKYSSMGIYLGITSTVIAGIAMAPVGLSPVIQLLGQLFDTMWKEWMMNPLEEKLKVVEANRSMIHSMIIKVVKMKEMVQSLVSLVAKRYEDAEHQKVLLEELKMALVHSLAQLELVELEQEMANPM >DRNTG_00097.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3285784:3287358:1 gene:DRNTG_00097 transcript:DRNTG_00097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTNPPPSSFDLEDLIHDLKYLINQGLLTLQVATQHEVDLTITLDMLESLMAIISHTINFNIESAREDFRQLSLHFQELQTKLTKYSSMGIYLGITSTVIAGIAMAPVGLSPVIQLLGQLFDTMWKEWMMNPLEEKLKVVEANRSMIHSMIIKVVKMKEMVQSLVSLVAKRYEDAEHQKVLLEELKMALVHSLAQLELVELEQEMANPM >DRNTG_00097.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3286979:3287309:1 gene:DRNTG_00097 transcript:DRNTG_00097.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQIYHKSTYSKPNNLTTPNENIKFTEL >DRNTG_00097.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3286798:3287474:1 gene:DRNTG_00097 transcript:DRNTG_00097.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQIYHKSTYSKPNNLTTPNENIKFTEL >DRNTG_27640.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18703539:18704932:-1 gene:DRNTG_27640 transcript:DRNTG_27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQNKETSQEVKKQELEKHEENEEEGLEGEGV >DRNTG_03481.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:844376:847731:-1 gene:DRNTG_03481 transcript:DRNTG_03481.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSLSRSVIRVPEHPPQPQSPARAALEESEDLSDRTMNSPSQSPARNSARLKSSLGLVVATAAEVESEKRSLRVVNSPSRSPIRSSEHQQSPPRLITAVAADEELENHIDSTMTNPTLRLPIRDPEHPKSPLRLETVDSATPQAHNGRENQGFAPATSVASFSTWSGTATTAEKVRGNGGTKDRGVFMRRESVTTAVRQGSLALRVLAAALCLISFSVMAADRNKGWAEDSYDKYTEYRYAITVNVLGFLYALFQACVEGKHLIRPDIGIIFNFSMDQILAYLLISASSAAATRADEWVEYWGNDPFPSMARGSAAVSFLAFLVFAMSSLVSAFNFFRRRI >DRNTG_03481.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:844411:847823:-1 gene:DRNTG_03481 transcript:DRNTG_03481.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSLSRSVIRVPEHPPQPQSPARAALEESEDLSDRTMNSPSQSPARNSARLKSSLGLVVATAAEVESEKRSLRVVNSPSRSPIRSSEHQQSPPRLITAVAADEELENHIDSTMTNPTLRLPIRDPEHPKSPLRLETVDSATPQAHNGRENQGFAPATSVASFSTWSGTATTAEKVRGNGGTKDRGVFMRRESVTTAVRQGSLALRVLAAALCLISFSVMAADRNKGWAEDSYDKYTEYRYAITVNVLGFLYALFQACVEGKHLIRPDIGIIFNFSMDQILAYLLISASSAAATRADEWVEYWGNDPFPSMARGSAAVSFLAFLVFAMSSLVSAFNFFRRRI >DRNTG_03481.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:844411:847731:-1 gene:DRNTG_03481 transcript:DRNTG_03481.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSLSRSVIRVPEHPPQPQSPARAALEESEDLSDRTMNSPSQSPARNSARLKSSLGLVVATAAEVESEKRSLRVVNSPSRSPIRSSEHQQSPPRLITAVAADEELENHIDSTMTNPTLRLPIRDPEHPKSPLRLETVDSATPQAHNGRENQGFAPATSVASFSTWSGTATTAEKVRGNGGTKDRGVFMRRESVTTAVRQGSLALRVLAAALCLISFSVMAADRNKGWAEDSYDKYTEYRYAITVNVLGFLYALFQACVEGKHLIRPDIGIIFNFSMDQILAYLLISASSAAATRADEWVEYWGNDPFPSMARGSAAVSFLAFLVFAMSSLVSAFNFFRRRI >DRNTG_03481.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:844376:847823:-1 gene:DRNTG_03481 transcript:DRNTG_03481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSLSRSVIRVPEHPPQPQSPARAALEESEDLSDRTMNSPSQSPARNSARLKSSLGLVVATAAEVESEKRSLRVVNSPSRSPIRSSEHQQSPPRLITAVAADEELENHIDSTMTNPTLRLPIRDPEHPKSPLRLETVDSATPQAHNGRENQGFAPATSVASFSTWSGTATTAEKVRGNGGTKDRGVFMRRESVTTAVRQGSLALRVLAAALCLISFSVMAADRNKGWAEDSYDKYTEYRYAITVNVLGFLYALFQACVEGKHLIRPDIGIIFNFSMDQILAYLLISASSAAATRADEWVEYWGNDPFPSMARGSAAVSFLAFLVFAMSSLVSAFNFFRRRI >DRNTG_02272.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:924765:930021:1 gene:DRNTG_02272 transcript:DRNTG_02272.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDG15 [Source:Projected from Arabidopsis thaliana (AT5G09790) UniProtKB/TrEMBL;Acc:A0A178UEL1] MQGSEMEGEAAANGAVRKRTAAPPPMMKARKYRSMVDIMRKTTSVAGVTGEYYSDLRCEQCRSGEREEELLLCDRCDRGYHLACLRPIVVRVPTGPWFCPICAEDRPMTRFPLMQTKIVDFFRIQRCSYEKEGEKCGSSQDGRRRRRRSLPLVMHKKRRRILPFVPSEDSKRRLEQMGSLATALTALHMEFSNDLTYMPGMAPRSANRANLERGGMQVLCKEDKEAIELCRSMYKRGECPPLKVVYDKLEGFTVEADGPIKDMTFITEYTGDVDFLKNREHDDGDSMMTLLLTTNLSDSLVICPDKRGNIARFINGINNHTSDGKKKQNLKCVRYSEDGECRVFLIACRDICKGERLYYDYNGYEHEYPTQHFV >DRNTG_02272.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:929325:930021:1 gene:DRNTG_02272 transcript:DRNTG_02272.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDG15 [Source:Projected from Arabidopsis thaliana (AT5G09790) UniProtKB/TrEMBL;Acc:A0A178UEL1] MTFITEYTGDVDFLKNREHDDGDSMMTLLLTTNLSDSLVICPDKRGNIARFINGINNHTSDGKKKQNLKCVRYSEDGECRVFLIACRDICKGERLYYDYNGYEHEYPTQHFV >DRNTG_02272.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:924765:925577:1 gene:DRNTG_02272 transcript:DRNTG_02272.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDG15 [Source:Projected from Arabidopsis thaliana (AT5G09790) UniProtKB/TrEMBL;Acc:A0A178UEL1] MQGSEMEGEAAANGAVRKRTAAPPPMMKARKYRSMVDIMRKTTSVAGVTGEYYSDLRCEQCRSGEREEELLLCDRCDRGYHLACLRPIVVRVPTGPWFCPICAEDRPMTRFPLMQTKIVDFFRIQRCSYEKEGEKCGSSQG >DRNTG_15831.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25050592:25083298:-1 gene:DRNTG_15831 transcript:DRNTG_15831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNGQTNIMYHLESYGFEDKEGKPKRR >DRNTG_01456.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8124550:8124857:1 gene:DRNTG_01456 transcript:DRNTG_01456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKTPVLHMRQSQILSLSLSMSLSMSPGSIDLHLKQDLKNSVLRSTRCCSSSSSVGATSATTTCVASSLPPDP >DRNTG_30452.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:345619:349224:-1 gene:DRNTG_30452 transcript:DRNTG_30452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMLQSPDGDVVITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAEKLLERGIHPIRVAEGYEVASRIAVDHLERIAHKFDFSEANIEPLVQTCMTTLSSKIVNRCKRALAEIAVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELIYGIVVDKDMSHPQMPKRIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLREQEQKYFDDMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELTPEKLGRAGLVREKSFGTTKDRMLYIEQCANSKAVTIFIRGGNKMMIEETKRSLHDALCVARNLIRNNSIVYGGGSAEISCSIAVEAAADRYPGVEQ >DRNTG_30452.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:345943:349224:-1 gene:DRNTG_30452 transcript:DRNTG_30452.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMLQSPDGDVVITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAEKLLERGIHPIRVAEGYEVASRIAVDHLERIAHKFDFSEANIEPLVQTCMTTLSSKIVNRCKRALAEIAVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELIYGIVVDKDMSHPQMPKRIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLREQEQKYFDDMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELTPEKLGRVCFLQVICMQLVLVDPFLFPLFRALVLVSFATICQ >DRNTG_11965.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16769782:16772905:1 gene:DRNTG_11965 transcript:DRNTG_11965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDNTYEKLALKVLATFDLPRGPCGCPIPAYLKPDLRIILSIFSPTLERPLARVYRGNGKAFRVVLRLRYRISFGKQLLGLFLSALIRRGRVVRPIMKEKSQCGSECTDFGGAQGQSYSSIPTYAYRTRSPPAFPSLKKQSDPRRERVPVCVTSMRVWIRKVFQAGYCSKAL >DRNTG_21613.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1249467:1249674:1 gene:DRNTG_21613 transcript:DRNTG_21613.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKEIYRRSWHPQERVQNHRDNNNQSHQHCKTSRIYREMHCSGNYFHSC >DRNTG_17798.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:6984461:6991078:-1 gene:DRNTG_17798 transcript:DRNTG_17798.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63490) UniProtKB/Swiss-Prot;Acc:Q9LY66] MEFDKLIASPDMMPKVASLGKLLGPRGLMPNPKAGTVATDIPQAIQEFKKGKVEFRVDKTGIVHLPFGKVNFPDEDLIVNLIAAVRSVEANKPSGAKGVYWKSAHICSSMGPSIRLNIREMLDYKPPTTT >DRNTG_17798.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:6984461:6991078:-1 gene:DRNTG_17798 transcript:DRNTG_17798.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63490) UniProtKB/Swiss-Prot;Acc:Q9LY66] MEFDKLIASPDMMPKVASLGKLLGPRGLMPNPKAGTVATDIPQAIQEFKKGKVEFRVDKTGIVHLPFGKVNFPDEDLIVNLIAAVRSVEANKPSGAKGVYWKSAHICSSMGPSIRLNIREMLDYKPPTTT >DRNTG_17798.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:6984461:6991078:-1 gene:DRNTG_17798 transcript:DRNTG_17798.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63490) UniProtKB/Swiss-Prot;Acc:Q9LY66] MAATALSFSPPLSSPPSPKPTCLFLISSSSSSSSSSSSSLPSFALQIIQSRTLCRPILSRKPYPQFAVAAAPAEAVLVEEDQNEADVAAAVDSPPPTKPKTGKAALPLKRDRRRSKRFLEIQKLRENKKEYDVPTSIALVRQTANTKFVESVEAHFRLNLDPKYNDQQLRATVNLPKGTGQKVKVAVLTQGEKIDEAKNAGADIVGGEELIQQIKEGFMEFDKLIASPDMMPKVASLGKLLGPRGLMPNPKAGTVATDIPQAIQEFKKGKVEFRVDKTGIVHLPFGKVNFPDEDLIVNLIAAVRSVEANKPSGAKGVYWKSAHICSSMGPSIRLNIREMLDYKPPTTT >DRNTG_12183.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10832139:10835238:1 gene:DRNTG_12183 transcript:DRNTG_12183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFGALGLVWPSTPPLDSLFRCPRRRRRAILPGYGGWRAMVQQQSTQGASVSYAREMERLSAKESLLLAFKDAGGFESFAGGKTTEMQRIDVNERIVGLERLNPTLRPTTSPFLEGRWNFEWFGAGSPGLFAARFLFERSPATLANLLALDMLIKDGNANVTANLKFFNSIDSKFLLRAKLSVEGPTRLKEEYVEGLLETPTVSEQAIPEQLKAAFGQATGALQQLPASVRDAFANGVTVPLSGTFQRLFIISYLDEEILITRDSMGAADVLTRQDPAPGQIAADSVITEYES >DRNTG_15205.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16974719:16977040:1 gene:DRNTG_15205 transcript:DRNTG_15205.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSRAPWVMLISRTFSKTSNPPKPPCRVCSQILPAFCQLSNKFPKLSFVYADIDECPETTQDIRYTPTFRFYQDGERVDEMLGAGEERLEDRLWLHSDQ >DRNTG_15732.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11633058:11634765:1 gene:DRNTG_15732 transcript:DRNTG_15732.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSPLSLLLTSSTQKPSIKRKENDTKIRPRLSCFKDKRVWRARRLVAQLSL >DRNTG_11410.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:849122:853314:1 gene:DRNTG_11410 transcript:DRNTG_11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFSRASLHEKTLDAFFSILPLTRFKPSSKALATCLNLLLSSSRFDLAQSLLSHAQSHLGISPNTCVRNILIKHLCKSGDLSAAFKVFDEMRHSDSSSPPNLITYSTLMAALCNEGKLKDAYQLFEEMIERDKIAPDALTYNVLINGFCKHGKVEKAKAVLEFMRRNDCEPNVFNYASLMNGFCKQSRIEDAKKVFDEMQSSCLEPDAVTYTTLISCYCRAGRVDEGIELVKQMRNRDCKADVVTYNVVIEGLCKVERFREAMELLESLPYEGVRLNVASYRIVMNSLCAKGDMEMALGLLGLMLGRGVVPHFATSNKLLVGLCDAGSVANTAIALFGLAEMGFAPEIGCWIRLVECMCRERKLVRVLQVFDEIINHCGALEF >DRNTG_11410.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:850037:853366:1 gene:DRNTG_11410 transcript:DRNTG_11410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRDCKADVVTYNVVIEGLCKVERFREAMELLESLPYEGVRLNVASYRIVMNSLCAKGDMEMALGLLGLMLGRGVVPHFATSNKLLVGLCDAGSVANTAIALFGLAEMGFAPEIGCWIRLVECMCRERKLVRVLQVFDEIINHCGALEF >DRNTG_11410.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:850037:853314:1 gene:DRNTG_11410 transcript:DRNTG_11410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRDCKADVVTYNVVIEGLCKVERFREAMELLESLPYEGVRLNVASYRIVMNSLCAKGDMEMALGLLGLMLGRGVVPHFATSNKLLVGLCDAGSVANTAIALFGLAEMGFAPEIGCWIRLVECMCRERKLVRVLQVFDEIINHCGALEF >DRNTG_31616.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:722810:725288:-1 gene:DRNTG_31616 transcript:DRNTG_31616.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRCILLRIGNGLPFLNVSVRIRSSSQCRLRLLLPLELLRRLDPEKVSQSPSHCPRRQIRRSPQPTHRVPHERPRIPQRPLPATARHPIPPKFHKLGFQFQ >DRNTG_31616.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:722810:724363:-1 gene:DRNTG_31616 transcript:DRNTG_31616.2 gene_biotype:protein_coding transcript_biotype:protein_coding TSFLRIGNGLPFLNVSVRIRSSSQCRLRLLLPLELLRRLDPEKVSQSPSHCPRRQIRRSPQPTHRVPHERPRIPQRPLPATARHPIPPKFHKLGFQFQ >DRNTG_17478.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6834315:6837436:1 gene:DRNTG_17478 transcript:DRNTG_17478.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPSFGKDGDLESGPRPLYPMMLESPDLRWAFIRKIYFILATQLLLTVAVAAVVVTVRPISHFFVSSTAGLGLYIFLIIFPFILLCPLYYYHQKHPVNFLLLGLFTVSISFAVGMTCAFTSGKVILEAAILTAVVVIGLTLYTFWAVKRGQDFNFLGPFLFASVLVLMVFALIQIFFPLGKISVMIYGGLAAIIFCGYIVYDTDNLIKRYTYDEYIWAAVALYLDVINLFLSLLTLLRAAND >DRNTG_17478.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6834315:6837436:1 gene:DRNTG_17478 transcript:DRNTG_17478.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTCAFTSGKVILEAAILTAVVVIGLTLYTFWAVKRGQDFNFLGPFLFASVLVLMVFALIQIFFPLGKISVMIYGGLAAIIFCGYIVYDTDNLIKRYTYDEYIWAAVALYLDVINLFLSLLTLLRAAND >DRNTG_17478.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6834315:6837388:1 gene:DRNTG_17478 transcript:DRNTG_17478.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTCAFTSGKVILEAAILTAVVVIGLTLYTFWAVKRGQDFNFLGPFLFASVLVLMVFALIQIFFPLGKISVMIYGGLAAIIFCGYIVYDTDNLIKRYTYDEYIWAAVALYLDVINLFLSLLTLLRAAND >DRNTG_17478.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6834315:6837388:1 gene:DRNTG_17478 transcript:DRNTG_17478.6 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPSFGKDGDLESGPRPLYPMMLESPDLRWAFIRKIYFILATQLLLTVAVAAVVVTVRPISHFFVSSTAGLGLYIFLIIFPFILLCPLYYYHQKHPVNFLLLGLFTVSISFAVGMTCAFTSGKVILEAAILTAVVVIGLTLYTFWAVKRGQDFNFLGPFLFASVLVLMVFALIQIFFPLGKISVMIYGGLAAIIFCGYIVYDTDNLIKRYTYDEYIWAAVALYLDVINLFLSLLTLLRAAND >DRNTG_17478.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6834315:6837316:1 gene:DRNTG_17478 transcript:DRNTG_17478.8 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPSFGKDGDLESGPRPLYPMMLESPDLRWAFIRKIYFILATQLLLTVAVAAVVVTVRPISHFFVSSTAGLGLYIFLIIFPFILLCPLYYYHQKHPVNFLLLGLFTVSISFAVGMTCAFTSGKVILEAAILTAVVVIGLTLYTFWAVKRGQDFNFLGPFLFASVLVLMVFALIQIFFPLGKISVMIYGGLAAIIFCGYIVYDTDNLIKRYTYDEYIWAAVALYLDVINLFLSLLTLLRAAND >DRNTG_17478.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6834315:6837518:1 gene:DRNTG_17478 transcript:DRNTG_17478.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPSFGKDGDLESGPRPLYPMMLESPDLRWAFIRKIYFILATQLLLTVAVAAVVVTVRPISHFFVSSTAGLGLYIFLIIFPFILLCPLYYYHQKHPVNFLLLGLFTVSISFAVGMTCAFTSGKVILEAAILTAVVVIGLTLYTFWAVKRGQDFNFLGPFLFASVLVLMVFALIQIFFPLGKISVMIYGGLAAIIFCGYIVYDTDNLIKRYTYDEYIWAAVALYLDVINLFLSLLTLLRAAND >DRNTG_17478.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6834252:6837388:1 gene:DRNTG_17478 transcript:DRNTG_17478.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPSFGKDGDLESGPRPLYPMMLESPDLRWAFIRKIYFILATQLLLTVAVAAVVVTVRPISHFFVSSTAGLGLYIFLIIFPFILLCPLYYYHQKHPVNFLLLGLFTVSISFAVGMTCAFTSGKVILEAAILTAVVVIGLTLYTFWAVKRGQDFNFLGPFLFASVLVLMVFALIQIFFPLGKISVMIYGGLAAIIFCGYIVYDTDNLIKRYTYDEYIWAAVALYLDVINLFLSLLTLLRAAND >DRNTG_17478.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6834315:6837518:1 gene:DRNTG_17478 transcript:DRNTG_17478.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCAFTSGKVILEAAILTAVVVIGLTLYTFWAVKRGQDFNFLGPFLFASVLVLMVFALIQIFFPLGKISVMIYGGLAAIIFCGYIVYDTDNLIKRYTYDEYIWAAVALYLDVINLFLSLLTLLRAAND >DRNTG_15890.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18025869:18028522:-1 gene:DRNTG_15890 transcript:DRNTG_15890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYFTCGCSAYGVRLFNEMPYRDVVSWTALISGLVHQGSNAEALKTLNDMRIRSSNATPNVATMVSALSACVNMRSLVHTRGLHAYIEKVGLVGEVFIGNSLIDAYSKCGSFACATKMFDGMMRKDLHSWTAMIMCLASHGYGGEALYLFSRMVQSDILPDSVTFVAVLSACSHAGLVDEGIQFFDCMERVYRITPDLKHYGCMVDLFSRAGLLNRAYEFILSMPMKPNLAILGSLLSACRVHNEYDLAKVVAKKIESTCAHVGGSHVLLSNIYANECKWHKVVSIREATRGDENKPPGHSFIEVGGIVHEFIVDDKLHPFAWEMQLVMDGMGKLMEGS >DRNTG_15890.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18025869:18028522:-1 gene:DRNTG_15890 transcript:DRNTG_15890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALRSSSLGSFSPTALIVSTSLASRLVVFRRSKKDGCSMLHQLKKLPLSFNFFLRHINDTTSWISANSIFQRQPRLILLERCQSLQDLPLILSYIIVSGLFHNPFVASRVLHTCITAGWPDVTSATMVFNQMKRPNLFSWNTMIRALARPEYRRSAVLLYTEMLRREVLPDKYTLPFLLKSCLCDTDIHLGRLIHAHAVILGLISDPFVQTQLLIMYFTCGCSAYGVRLFNEMPYRDVVSWTALISGLVHQGSNAEALKTLNDMRIRSSNATPNVATMVSALSACVNMRSLVHTRGLHAYIEKVGLVGEVFIGNSLIDAYSKCGSFACATKMFDGMMRKDLHSWTAMIMCLASHGYGGEALYLFSRMVQSDILPDSVTFVAVLSACSHAGLVDEGIQFFDCMERVYRITPDLKHYGCMVDLFSRAGLLNRAYEFILSMPMKPNLAILGSLLSACRVHNEYDLAKVVAKKIESTCAHVGGSHVLLSNIYANECKWHKVVSIREATRGDENKPPGHSFIEVGGIVHEFIVDDKLHPFAWEMQLVMDGMGKLMEGS >DRNTG_15890.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18025594:18028522:-1 gene:DRNTG_15890 transcript:DRNTG_15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFTCGCSAYGVRLFNEMPYRDVVSWTALISGLVHQGSNAEALKTLNDMRIRSSNATPNVATMVSALSACVNMRSLVHTRGLHAYIEKVGLVGEVFIGNSLIDAYSKCGSFACATKMFDGMMRKDLHSWTAMIMCLASHGYGGEALYLFSRMVQSDILPDSVTFVAVLSACSHAGLVDEGIQFFDCMERVYRITPDLKHYGCMVDLFSRAGLLNRAYEFILSMPMKPNLAILGSLLSACRVHNEYDLAKVVAKKIESTCAHVGGSHVLLSNIYANECKWHKVVSIREATRGDENKPPGHSFIEVGGIVHEFIVDDKLHPFAWEMQLVMDGMGKLMEGS >DRNTG_05044.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:192011:192460:-1 gene:DRNTG_05044 transcript:DRNTG_05044.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTNSSQNSKQHSKSPAYNYQNHQKSPESRGTSKQHHSKLPKSSSPMTSKS >DRNTG_26907.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3049535:3054396:-1 gene:DRNTG_26907 transcript:DRNTG_26907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDWESLSEATSGAVGALVSTTVLYPLDTCKTKYQAEVRSHGQQKYRNLSDVLWEAISNRQILSLYQGLGTKNLQSFISQFVYFYGYSYFKRLYLKKSGAKSIGTKANLIVAAAAGACTAIVTQPLDTASSRMQTSEFGKSKGLWETLAGRSWSEAFDGLGISLLLTSNPAIQYTVFDQLKQKLLSKQRNETVSSTAGPSPAALSAFSAFAVGAISKSVATVLTYPAIRCKVMIQSSSEENDTDTKRKTPKTMADALSTIWRKEGILGFFKGLHAQILKTVLSSALLLMIKEKISSSTWILMLALQRYLLVSQKRLKNA >DRNTG_01982.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13259269:13259887:1 gene:DRNTG_01982 transcript:DRNTG_01982.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITHDLVNPTHKLGELKHPGVTSPLVLSP >DRNTG_21802.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17471957:17477144:1 gene:DRNTG_21802 transcript:DRNTG_21802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDEDEDLSSESSESTGEEEDLDDETDHQGLGFLEATKLQRGIQTETAVFAQWENHTRGIASKMMASMGYREGMALGASGKGIVDPITVKILRPRQSLDHAFASNESEEKKVDRNKKRSRGGKRKRDKKHAAAARAAKAEEEMAPDVFSFINSQLTGQDVVNGSGNKQKKQSDGTSGSMKKVDRRSLIAYEDEVKELRNRVEKLEEMVNRNRKDKAMYEAASRKLNETRKALADAEAAHVSASNAVVNKEKEKKWLRF >DRNTG_30747.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11270420:11271432:1 gene:DRNTG_30747 transcript:DRNTG_30747.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKNSRNGGRIYHTGGERGRSCTSRLTLSTLFNLVLCIMLHHCSATVSSLNSFPSECSSVTAFVPLQMDVPTRI >DRNTG_10542.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3340019:3341450:1 gene:DRNTG_10542 transcript:DRNTG_10542.1 gene_biotype:protein_coding transcript_biotype:protein_coding YEQSLESVRRFIAISEKELELYYRHVALYGDPSTRNSDLLYADQARVLCNQGTNTSDRVEIETNHSISDDIITDGSDSEVNSDDEGIETDSHSISDDISTYISDLEEANSEDEVPQAEDNFGR >DRNTG_12804.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2044680:2049224:-1 gene:DRNTG_12804 transcript:DRNTG_12804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSGFAHRNNISRPICERDRRREREPEDEEEEHGSKGNPRHGRTR >DRNTG_15319.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4869625:4878949:-1 gene:DRNTG_15319 transcript:DRNTG_15319.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRTESPVYLRQGSGGSSSTGSSSPAMSPAHHRSASVSGISGVRRTQNVAARAAAARLAQVMASQSAAGDEDEDDDGEIPIAGSGFRYSAPIRASPANSSNGGVSGGVGASLLGRTTRSPSPALGRNFVEHTPSVRSSSTGRPSVSVRTAPMVPPSRTSLRTPSPIPPIETPIDQHREKRFPADMRHLKSREISDQREASALRDELDMLQEENDNILEKFRLAEVKSEEAEARVRELEKQVAALGEGVSLEARLLSRKEAALRQREAALKAAKQTKDGRDEEIIAIQQELESAKEEVANAVEQLREAESETKSLRSMTQRMVLTQEEMEEVVLKRCWLARYWGLAVQLGIYPETAAEKHEHWSSLAPLPFEVVLSAGQKAKEEPRKQGDNNSEGRNKLVHDMSDITGEGNIESMLLVEKGLRELASLKVEDAVVIALGQHRRPNFVRQSTSDLKSPGDPKFVEAFELNQEEAEDVLFKQAWLTYFWRRAKTHGVEKDIAEERLQFWISRSNQSPTSHDAVDVERGLMELRKLGIEQQLWEASRRDIEQKLPTDAEIS >DRNTG_24433.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8511637:8512075:-1 gene:DRNTG_24433 transcript:DRNTG_24433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSRLTLLIFAWISCHSVALSKIHINYTSFFSFGDSLSDTGNFKLSTNQTLAIDRLPYGMTYFHRPTGRSCDGRLVVDFVSEALELPLLAKALPKLSKP >DRNTG_14499.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6198807:6199399:-1 gene:DRNTG_14499 transcript:DRNTG_14499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDDVMDAFVCIIQKSLSRVPYPYKKRASITRPLALFMSKQDDASDTTFAMIGDVTHKLHDVDIVILPIIMNGHFHVMVLDNNKQEYRHYSSCESVEYDKDGLEMRNLFDTCIDMEFGETVTTKYPLVHDMETPRQKRGSVDCVVYIMRFIEQLRNDKKLRLPQTDIP >DRNTG_10461.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000439.1:19301:24287:1 gene:DRNTG_10461 transcript:DRNTG_10461.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLEAYVDIEEYDQLSTDYLGSFTPQCAYRKLCGQGLIIGMGLLDVIKGGREDDCTIFPWPGDDKTHGHDSKEKHMETEVPPTAQEPPPVHMFSPSRAYDHFGRLESAVGVLQTELTGVRVIQVFMSMVLWSSLILFKGSLVKLRAHLVESVPTKAPLITLFQRHSHLGFGEAFHRFLEWFYGLQHRVPLKESYWGSFHQHRFGEVCPRLDKGTFGEHEVAPQDHR >DRNTG_33038.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3516907:3517416:-1 gene:DRNTG_33038 transcript:DRNTG_33038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWIKKVRALLLRFFVALKVLNLMILWMSTTACFLLSLSIYLSIFFFFFLSFGALMVKKQWIEEELGISASLLLLSCCFEGL >DRNTG_00690.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30047562:30054499:-1 gene:DRNTG_00690 transcript:DRNTG_00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-3-methylbut-2-en-1-yl diphosphate synthase (ferredoxin), chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G60600) UniProtKB/Swiss-Prot;Acc:F4K0E8] MAAAGFAPASLPSLRSGESGLGFAKSVDFTKVAFAADSRKIVSISRRTKAPSITNSAGSGSDTVELEPASQGSPLLVPRQKYCESVHNTVRRRTRTVMVGNVALGSQHPIRIQTMTTSDTKDVAKTVEEVMRIADKGADLVRITVQGKKEADACFDIKNSLVQKNYNIPLVADIHFAPSVALRVAECFDKIRVNPGNFADRRAQFEKLEYTDEDYQKELEHIEKVFSPLVEKCKKYGRAMRIGTNHGSLSDRIMSYHGDSPRGMVESAFEFARICRKLDFHNFVFSMKASNPVVMVEAYRLLVAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEPPEEEIDPCRRLANLGTQAANLHKGVVPFEEKHRHYFDFQRRTVQLPMQKEGEEVDYRGVLHRDGSVLMSVSLDQLKTPELLYRSLATKLVVGMPFKDLATVDSILLRELPSTEDIDSRLALKRLVDISMGILTPLSEQLTKPLPHAIALINLKELSSGAHKLLPEGTRLAVTVRGDEPYEELDILKDVDMTMLLHNPPYSEEKIGRVHAGRRLFEYLQDNNLNVPVIHHLQFPTGTHRDDLVIGAGSNAGALLVDGLGDGVLLEAPDQDFDFLRSTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEVSAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGTPGKIDLYVGKTVVKRGIAMEQAPDALIQLIKDNGRWVDPPADE >DRNTG_03976.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26497735:26498488:-1 gene:DRNTG_03976 transcript:DRNTG_03976.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVAIVGAGISGLVTCKNLVEKGFKPIVFEAGSCVGGVWAQTLSSTKLQSPRLAYQFSDFPWPETVTEMFPSHVQVMEYLESYSRHFDLLRYILFGAKVLGMELAGVDEEEMGCWELWAGNGEAFSGGGRRGRWRLTVQLEKEQCNEVGSFSFCIFILAKPSNFIK >DRNTG_08051.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30327467:30328262:-1 gene:DRNTG_08051 transcript:DRNTG_08051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTFGSLFGSPAKPGKKAKPARTISRSETSSFGSNSSSEELTPKSVLPREKVTRRELEGVLRKLGPDPPSEEEVAAMLAEAEHGGEGGISLEAIGALGGSARKSTAGIELRGAFAVFDEDGDGRISAEELMKIFAMIGEDSCTIDDCRRMIGGVDSDGDGFVCFDDFVRMMASPR >DRNTG_04380.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27106478:27107850:1 gene:DRNTG_04380 transcript:DRNTG_04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSLFNHSLQKLEFGRKATQLNRKPTYGEVFNRTHKRDKGLGDYIDNMSKSVSDLYTSSMSQKYSADESCHPEFDPQVWCDAIGVMETTRTHVYDFGTTPRGKSLFSPTNSTKEASSPACIPLVNQTPYSFAEVDNLQEEVMVVKNKLQSLEESQKNIAQSQNEIKTFVSNY >DRNTG_09413.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21064017:21065605:-1 gene:DRNTG_09413 transcript:DRNTG_09413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCPEKCIWFIHSGSQVPCISAEQTTKGSAALKSVGVGNATLKVHKAGEPYTTKDDHDTETRSRVIIHDFSITSCRKGDSFDGKTLNLCVRRASLSSDSVSNFLCNTKERKNLDTGQRSPPSIRKLYNNFTEKAPFPVSFQDLISLGTPPPFLSFSRNG >DRNTG_23444.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:820168:821449:1 gene:DRNTG_23444 transcript:DRNTG_23444.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter like protein [Source:Projected from Arabidopsis thaliana (AT2G40090) UniProtKB/TrEMBL;Acc:Q67ZT0] MLRAGAKLATWLTLIGGGAAGAAVATSEDPFLTMKVCTIVPVRLLRDFITAATIVMDYEYSTMGLKQGSVEWSQAKHEAHERSALRLRELCFRNGGIYIKLGQHIGQLQYLVPREYVETMRTSMLKRCPVSSYNQVREVCMQELGGPPEQIFLEFDPVPLASASLAQVHAAKTHDGRKVAVKV >DRNTG_23444.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:820168:827754:1 gene:DRNTG_23444 transcript:DRNTG_23444.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter like protein [Source:Projected from Arabidopsis thaliana (AT2G40090) UniProtKB/TrEMBL;Acc:Q67ZT0] MLRAGAKLATWLTLIGGGAAGAAVATSEDPFLTMKVCTIVPVRLLRDFITAATIVMDYEYSTMGLKQGSVEWSQAKHEAHERSALRLRELCFRNGGIYIKLGQHIGQLQYLVPREYVETMRTSMLKRCPVSSYNQVREVCMQELGGPPEQIFLEFDPVPLASASLAQVHAAKTHDGRKVAVKVQHTHLTNTAVADIATVDLIVSFLHWCFPSFDYRWLVDEIRESVPKASFL >DRNTG_23444.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:820168:827754:1 gene:DRNTG_23444 transcript:DRNTG_23444.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter like protein [Source:Projected from Arabidopsis thaliana (AT2G40090) UniProtKB/TrEMBL;Acc:Q67ZT0] MLRAGAKLATWLTLIGGGAAGAAVATSEDPFLTMKVCTIVPVRLLRDFITAATIVMDYEYSTMGLKQGSVEWSQAKHEAHERSALRLRELCFRNGGIYIKLGQHIGQLQYLVPREYVETMRTSMLKRCPVSSYNQVREVCMQELGGPPEQIFLEFDPVPLASASLAQVHAAKTHDGRKVAVKVQHTHLTNTAVADIATVDLIVSFLHWCFPSFDYRWLVDEIRESVPKELDFLCEAKNSIKCLDNFRRMSPHIAEYIYAPKVYWDLSTSKLLTMEFMDAPEILDVNAIRQLGVQPIDVSRLVSQAFAEMIFKHGFVHCDPHAGNMMVRSLPSSKWNIFGRRRPQLILLDHGLYKELDFETRTNYAALWRALIFSDANGIKEYSTKLGAGEDLYALFAGILTMRPWNRVIDPAVDHLVLEGNDGEQSELQVYASQYFPQISELLRRLPRVILLMLKTNDCIRAVNYSLLQGSSLDTFLIIGRVSSKVVVETKRKLQKNLLSSPIIWFEGMLLQARLLCMQIALWILQLEKQWKVMFG >DRNTG_23444.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:823745:827754:1 gene:DRNTG_23444 transcript:DRNTG_23444.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter like protein [Source:Projected from Arabidopsis thaliana (AT2G40090) UniProtKB/TrEMBL;Acc:Q67ZT0] MSPHIAEYIYAPKVYWDLSTSKLLTMEFMDAPEILDVNAIRQLGVQPIDVSRLVSQAFAEMIFKHGFVHCDPHAGNMMVRSLPSSKWNIFGRRRPQLILLDHGLYKELDFETRTNYAALWRALIFSDANGIKEYSTKLGAGEDLYALFAGILTMRPWNRVIDPAVDHLVLEGNDGEQSELQVYASQYFPQISELLRRLPRVILLMLKTNDCIRAVNYSLLQGSSLDTFLIIGRVSSKVVVETKRKLQKNLLSSPIIWFEGMLLQARLLCMQIALWILQLEKQWKVMFG >DRNTG_23444.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:823745:827754:1 gene:DRNTG_23444 transcript:DRNTG_23444.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter like protein [Source:Projected from Arabidopsis thaliana (AT2G40090) UniProtKB/TrEMBL;Acc:Q67ZT0] MSPHIAEYIYAPKVYWDLSTSKLLTMEFMDAPEILDVNAIRQLGVQPIDVSRLVSQAFAEMIFKHGFVHCDPHAGNMMVRSLPSSKWNIFGEKFSELFLNDANPFFYHILLNPT >DRNTG_35042.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23572344:23572702:-1 gene:DRNTG_35042 transcript:DRNTG_35042.1 gene_biotype:protein_coding transcript_biotype:protein_coding KAPYTATKTTLRWPGSSTAGGQVSAQLSFPMMQLAAVLL >DRNTG_35042.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23572384:23572702:-1 gene:DRNTG_35042 transcript:DRNTG_35042.2 gene_biotype:protein_coding transcript_biotype:protein_coding KAPYTATKTTLRWPGSSTAGGQVSAQLSFPMMQLAAVLL >DRNTG_09872.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:200628:408401:-1 gene:DRNTG_09872 transcript:DRNTG_09872.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTRRCHEASYKNLEHQLGGILDTLSREQQLFEQASQVPYREDLVVNDNEEVGRNEYDVVEIERIQEELFTQYDDCLSGQYVCEQEMMQGELAKTDCFQVEIEEEATTKVMEHASLFGIDQFINCKKEILGLEEDVGRRLKPSNDPPVLRMDNSQPKLFPWRPKVR >DRNTG_17154.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10294767:10299046:-1 gene:DRNTG_17154 transcript:DRNTG_17154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTAPSMISVNPRTSPMASHLHPCPQSIAIPKSFHGLRPSTARPRSPRLASTQRPLNRSFVVKACSLPLVGNPAPDFEAEAVFDQEFIKVKLSEYIGKKYVILFFYPLDFTFVCPTEITAFSDRYSDFEKLNTEILGVSVDSVFSHLAWVQTDRKSGGLGDLKYPLVSDVTKSISQSYGVLIPDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETMRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKLSKDYFAAI >DRNTG_14077.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000653.1:48:5511:1 gene:DRNTG_14077 transcript:DRNTG_14077.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDKIRCPCCKCQNRRYEVVDNVKLHLLKNGFTQNYHQWVCHGEPLVYVNYSETQCNSSRLQDVEGSSSYRDMIIDAVGPNFDPYYDANEEEMSNPATQKLYDMLDTIEEPLWPGCESHTQLSVVARLLTIKSKFHMSEVCYDTILQFIKEALPANNKLVDNFQKFVAGLGLPCEKIHCCINGFMLYWGEDSDRRSCNVCDHPRYKSGKKGIGNHKDYSPNQKMYYFPLTSRL >DRNTG_14077.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000653.1:48:4100:1 gene:DRNTG_14077 transcript:DRNTG_14077.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDKIRCPCCKCQNRRYEVVDNVKLHLLKNGFTQNYHQWVCHGEPLVYVNYSETQCNSSRLQDVEGSSSYRDMIIDAVGPNFDPYYDANEEEMSNPATQKLYDMLDTIEEPLWPGCESHTQLSVVARLLTIKSKFHMSEVCYDTILQFIKEALPANNKLVDNFQKFVAGLGLPCEKIHCCINGFMLYWGEDSDRRSCNVCDHPRYKSGKKGIGNHKDYSPNQKMYYFPLTSRL >DRNTG_17074.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:125318:133667:1 gene:DRNTG_17074 transcript:DRNTG_17074.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFCIRCTLALWSIIWLWQADWQALRGALIGCLALLRRRNTFGMVKGGDAKILAESFLKNVQVQSLAVEDRKLCFEVLQCLLDTFPEEMVTLGDDLVYGICDAIDEEKDPRCLLLTFHLVETLVRLFPEPHGFVADFANDLFEILGRYFPVYFTHQRSDDLDIKRDELSGGLMRAFCSTPYFEPLAVPLLLEKLSSSLPLAKLDSLRYLNNCITCYGAVRMMKYAESIWSALKDAIFTFSIPGFSSPSTVESVREMEPLGDQIAKETLACLQTAISELNHPKANSFLGLIIEDDGIEKAFNSVSGEKCYAVCSKESKRQLQALGSILSTSSKVSSVCCDRVFKKFFISLMGILEVTPNSPERSFIMKNNSFPKAVSFGAIYICVELVAACRDLTLSTEVLPQQFISMHDGWFCLLKSFATPLTCYLGSIVATLDNSISVNEDHKQEVTGYAVKGLQVLSTFPECCSPLSKDVFKDTLAILVTVIKDRPSDTYVWRLSLNALVQIGLFVDKSNDSQKAMCYEEFVVQRLLSWFSSDDSAVCLAQNLEAICEIGMTKWEFMLVATRWLEQAMPKS >DRNTG_17074.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:124295:133667:1 gene:DRNTG_17074 transcript:DRNTG_17074.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGGDAKILAESFLKNVQVQSLAVEDRKLCFEVLQCLLDTFPEEMVTLGDDLVYGICDAIDEEKDPRCLLLTFHLVETLVRLFPEPHGFVADFANDLFEILGRYFPVYFTHQRSDDLDIKRDELSGGLMRAFCSTPYFEPLAVPLLLEKLSSSLPLAKLDSLRYLNNCITCYGAVRMMKYAESIWSALKDAIFTFSIPGFSSPSTVESVREMEPLGDQIAKETLACLQTAISELNHPKANSFLGLIIEDDGIEKAFNSVSGEKCYAVCSKESKRQLQALGSILSTSSKVSSVCCDRVFKKFFISLMGILEVTPNSPERSFIMKNNSFPKAVSFGAIYICVELVAACRDLTLSTEVLPQQFISMHDGWFCLLKSFATPLTCYLGSIVATLDNSISVNEDHKQEVTGYAVKGLQVLSTFPECCSPLSKDVFKDTLAILVTVIKDRPSDTYVWRLSLNALVQIGLFVDKSNDSQKAMCYEEFVVQRLLSWFSSDDSAVCLAQNLEAICEIGMTKWEFMLVATRWLEQAMPKS >DRNTG_17074.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:127293:133667:1 gene:DRNTG_17074 transcript:DRNTG_17074.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLGDDLVYGICDAIDEEKDPRCLLLTFHLVETLVRLFPEPHGFVADFANDLFEILGRYFPVYFTHQRSDDLDIKRDELSGGLMRAFCSTPYFEPLAVPLLLEKLSSSLPLAKVCENVCSSLCFPCNIIFFTVGRYFCTLNAHFFFSFSFYSLIL >DRNTG_17074.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:129787:133667:1 gene:DRNTG_17074 transcript:DRNTG_17074.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMKYAESIWSALKDAIFTFSIPGFSSPSTVESVREMEPLGDQIAKETLACLQTAISELNHPKANSFLGLIIEDDGIEKAFNSVSGEKCYAVCSKESKRQLQALGSILSTSSKVSSVCCDRVFKKFFISLMGILEVTPNSPERSFIMKNNSFPKAVSFGAIYICVELVAACRDLTLSTEVLPQQFISMHDGWFCLLKSFATPLTCYLGSIVATLDNSISVNEDHKQEVTGYAVKGLQVLSTFPECCSPLSKDVFKDTLAILVTVIKDRPSDTYVWRLSLNALVQIGLFVDKSNDSQKAMCYEEFVVQRLLSWFSSDDSAVCLAQNLEAICEIGMTKWEFMLVATRWLEQAMPKS >DRNTG_17074.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:124295:133667:1 gene:DRNTG_17074 transcript:DRNTG_17074.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSLWIPHVEAFIDDSRSPNQKSASLDAIAALVKKDLLTLETLVRDMELYLTTPDHIIRKKGIILLAEILSRISGKSLNASTIGSLVEFFTSRLADWQALRGALIGCLALLRRRNTFGMVKGGDAKILAESFLKNVQVQSLAVEDRKLCFEVLQCLLDTFPEEMVTLGDDLVYGICDAIDEEKDPRCLLLTFHLVETLVRLFPEPHGFVADFANDLFEILGRYFPVYFTHQRSDDLDIKRDELSGGLMRAFCSTPYFEPLAVPLLLEKLSSSLPLAKLDSLRYLNNCITCYGAVRMMKYAESIWSALKDAIFTFSIPGFSSPSTVESVREMEPLGDQIAKETLACLQTAISELNHPKANSFLGLIIEDDGIEKAFNSVSGEKCYAVCSKESKRQLQALGSILSTSSKVSSVCCDRVFKKFFISLMGILEVTPNSPERSFIMKNNSFPKAVSFGAIYICVELVAACRDLTLSTEVLPQQFISMHDGWFCLLKSFATPLTCYLGSIVATLDNSISVNEDHKQEVTGYAVKGLQVLSTFPECCSPLSKDVFKDTLAILVTVIKDRPSDTYVWRLSLNALVQIGLFVDKSNDSQKAMCYEEFVVQRLLSWFSSDDSAVCLAQNLEAICEIGMTKWEFMLVATRWLEQAMPKS >DRNTG_06145.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25480800:25483249:1 gene:DRNTG_06145 transcript:DRNTG_06145.4 gene_biotype:protein_coding transcript_biotype:protein_coding MACREIHSWTLCSMVGAYLDLALAYLLLCGSFIAFLAAKFLSLLGLDLPCSCDGFFGLPHPPATRCLQGLLTHCPADKITSVRNSVLRRFPFDSIFNDRCETTPCCFDVKFVTDNSNRLLETHEVELSRSVSNGRLTLPSPGFESQSSSPSRSVLRRRRRTFAPRSAPSSRPKLLDSGEQLGISEGCSSSADFTTSPEDHLLGNCTDSLVEKDGGIVDKDVDVSELSEVEVIKKLEKALEEEQNAYAALCLELEKERSAAASSADEAMAMIVRLQEEKAAIVMEARQYRRMSEEKSAYDEEEMDILKEIIVRREREKHVLEGELEVYRQMMVSDERFQSNLVGDCFDIVLPVEQKQLVDESFGKKEENDTPCIDKDWMGHGHWIDQSGLETECLDAMQVPTGTKLNFGDECEAMTQICTYSVKTQGSVFEGSSSSKGKSDDLGSVVPADVLYKQNDRNADHSCNVSTKTEIESSILDVHVIDDGRLETGHEKNRELGDTENILRNNSPRIESSGSSNADCPNVHPGTKWRVPEGIQRSSSESEMVTQMTDSPPDSTPHKLKSSKSSVDSERIKLEIEVELLRKRLKTVQQGREKLSIERREKEDFQLQLLEEISSQLREIRKATEPVKGARRASLPPQFSKA >DRNTG_06145.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25480800:25483093:1 gene:DRNTG_06145 transcript:DRNTG_06145.9 gene_biotype:protein_coding transcript_biotype:protein_coding MACREIHSWTLCSMVGAYLDLALAYLLLCGSFIAFLAAKFLSLLGLDLPCSCDGFFGLPHPPATRCLQGLLTHCPADKITSVRNSVLRRFPFDSIFNDRCETTPCCFDVKFVTDNSNRLLETHEVELSRSVSNGRLTLPSPGFESQSSSPSRSVLRRRRRTFAPRSAPSSRPKLLDSGEQLGISEGCSSSADFTTSPEDHLLGNCTDSLVEKDGGIVDKDVDVSELSEVEVIKKLEKALEEEQNAYAALCLELEKERSAAASSADEAMAMIVRLQEEKAAIVMEARQYRRMSEEKSAYDEEEMDILKEIIVRREREKHVLEGELEVYRQMMVSDERFQSNLVGDCFDIVLPVEQKQLVDESFGKKEENDTPCIDKDWMGHGHWIDQSGLETECLDAMQVPTGTKLNFGDECEAMTQICTYSVKTQGSVFEGSSSSKGKSDDLGSVVPADVLYKQNDRNADHSCNVSTKTEIESSILDVHVIDDGRLETGHEKNRELGDTENILRNNSPRIESSGSSNADCPNVHPGTKWRVPEGIQRSSSESEMVTQMTDSPPDSTPHKLKSSKSSVDSERIKLEIEVELLRKRLKTVQQGREKLSIERREKEDFQLQLLEEISSQLREIRKATEPVKGARRASLPPQFSKA >DRNTG_06145.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25480800:25483093:1 gene:DRNTG_06145 transcript:DRNTG_06145.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVRLQEEKAAIVMEARQYRRMSEEKSAYDEEEMDILKEIIVRREREKHVLEGELEVYRQMMVSDERFQSNLVGDCFDIVLPVEQKQLVDESFGKKEENDTPCIDKDWMGHGHWIDQSGLETECLDAMQVPTGTKLNFGDECEAMTQICTYSVKTQGSVFEGSSSSKGKSDDLGSVVPADVLYKQNDRNADHSCNVSTKTEIESSILDVHVIDDGRLETGHEKNRELGDTENILRNNSPRIESSGSSNADCPNVHPGTKWRVPEGIQRSSSESEMVTQMTDSPPDSTPHKLKSSKSSVDSERIKLEIEVELLRKRLKTVQQGREKLSIERREKEDFQLQLLEEISSQLREIRKATEPVKGARRASLPPQFSKA >DRNTG_06145.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25480748:25483403:1 gene:DRNTG_06145 transcript:DRNTG_06145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACREIHSWTLCSMVGAYLDLALAYLLLCGSFIAFLAAKFLSLLGLDLPCSCDGFFGLPHPPATRCLQGLLTHCPADKITSVRNSVLRRFPFDSIFNDRCETTPCCFDVKFVTDNSNRLLETHEVELSRSVSNGRLTLPSPGFESQSSSPSRSVLRRRRRTFAPRSAPSSRPKLLDSGEQLGISEGCSSSADFTTSPEDHLLGNCTDSLVEKDGGIVDKDVDVSELSEVEVIKKLEKALEEEQNAYAALCLELEKERSAAASSADEAMAMIVRLQEEKAAIVMEARQYRRMSEEKSAYDEEEMDILKEIIVRREREKHVLEGELEVYRQMMVSDERFQSNLVGDCFDIVLPVEQKQLVDESFGKKEENDTPCIDKDWMGHGHWIDQSGLETECLDAMQVPTGTKLNFGDECEAMTQICTYSVKTQGSVFEGSSSSKGKSDDLGSVVPADVLYKQNDRNADHSCNVSTKTEIESSILDVHVIDDGRLETGHEKNRELGDTENILRNNSPRIESSGSSNADCPNVHPGTKWRVPEGIQRSSSESEMVTQMTDSPPDSTPHKLKSSKSSVDSERIKLEIEVELLRKRLKTVQQGREKLSIERREKEDFQLQLLEEISSQLREIRKATEPVKGARRASLPPQFSKA >DRNTG_06145.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25480646:25483093:1 gene:DRNTG_06145 transcript:DRNTG_06145.5 gene_biotype:protein_coding transcript_biotype:protein_coding MACREIHSWTLCSMVGAYLDLALAYLLLCGSFIAFLAAKFLSLLGLDLPCSCDGFFGLPHPPATRCLQGLLTHCPADKITSVRNSVLRRFPFDSIFNDRCETTPCCFDVKFVTDNSNRLLETHEVELSRSVSNGRLTLPSPGFESQSSSPSRSVLRRRRRTFAPRSAPSSRPKLLDSGEQLGISEGCSSSADFTTSPEDHLLGNCTDSLVEKDGGIVDKDVDVSELSEVEVIKKLEKALEEEQNAYAALCLELEKERSAAASSADEAMAMIVRLQEEKAAIVMEARQYRRMSEEKSAYDEEEMDILKEIIVRREREKHVLEGELEVYRQMMVSDERFQSNLVGDCFDIVLPVEQKQLVDESFGKKEENDTPCIDKDWMGHGHWIDQSGLETECLDAMQVPTGTKLNFGDECEAMTQICTYSVKTQGSVFEGSSSSKGKSDDLGSVVPADVLYKQNDRNADHSCNVSTKTEIESSILDVHVIDDGRLETGHEKNRELGDTENILRNNSPRIESSGSSNADCPNVHPGTKWRVPEGIQRSSSESEMVTQMTDSPPDSTPHKLKSSKSSVDSERIKLEIEVELLRKRLKTVQQGREKLSIERREKEDFQLQLLEEISSQLREIRKATEPVKGARRASLPPQFSKA >DRNTG_06145.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25480800:25483181:1 gene:DRNTG_06145 transcript:DRNTG_06145.7 gene_biotype:protein_coding transcript_biotype:protein_coding MACREIHSWTLCSMVGAYLDLALAYLLLCGSFIAFLAAKFLSLLGLDLPCSCDGFFGLPHPPATRCLQGLLTHCPADKITSVRNSVLRRFPFDSIFNDRCETTPCCFDVKFVTDNSNRLLETHEVELSRSVSNGRLTLPSPGFESQSSSPSRSVLRRRRRTFAPRSAPSSRPKLLDSGEQLGISEGCSSSADFTTSPEDHLLGNCTDSLVEKDGGIVDKDVDVSELSEVEVIKKLEKALEEEQNAYAALCLELEKERSAAASSADEAMAMIVRLQEEKAAIVMEARQYRRMSEEKSAYDEEEMDILKEIIVRREREKHVLEGELEVYRQMMVSDERFQSNLVGDCFDIVLPVEQKQLVDESFGKKEENDTPCIDKDWMGHGHWIDQSGLETECLDAMQVPTGTKLNFGDECEAMTQICTYSVKTQGSVFEGSSSSKGKSDDLGSVVPADVLYKQNDRNADHSCNVSTKTEIESSILDVHVIDDGRLETGHEKNRELGDTENILRNNSPRIESSGSSNADCPNVHPGTKWRVPEGIQRSSSESEMVTQMTDSPPDSTPHKLKSSKSSVDSERIKLEIEVELLRKRLKTVQQGREKLSIERREKEDFQLQLLEEISSQLREIRKATEPVKGARRASLPPQFSKA >DRNTG_06145.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25480646:25483181:1 gene:DRNTG_06145 transcript:DRNTG_06145.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACREIHSWTLCSMVGAYLDLALAYLLLCGSFIAFLAAKFLSLLGLDLPCSCDGFFGLPHPPATRCLQGLLTHCPADKITSVRNSVLRRFPFDSIFNDRCETTPCCFDVKFVTDNSNRLLETHEVELSRSVSNGRLTLPSPGFESQSSSPSRSVLRRRRRTFAPRSAPSSRPKLLDSGEQLGISEGCSSSADFTTSPEDHLLGNCTDSLVEKDGGIVDKDVDVSELSEVEVIKKLEKALEEEQNAYAALCLELEKERSAAASSADEAMAMIVRLQEEKAAIVMEARQYRRMSEEKSAYDEEEMDILKEIIVRREREKHVLEGELEVYRQMMVSDERFQSNLVGDCFDIVLPVEQKQLVDESFGKKEENDTPCIDKDWMGHGHWIDQSGLETECLDAMQVPTGTKLNFGDECEAMTQICTYSVKTQGSVFEGSSSSKGKSDDLGSVVPADVLYKQNDRNADHSCNVSTKTEIESSILDVHVIDDGRLETGHEKNRELGDTENILRNNSPRIESSGSSNADCPNVHPGTKWRVPEGIQRSSSESEMVTQMTDSPPDSTPHKLKSSKSSVDSERIKLEIEVELLRKRLKTVQQGREKLSIERREKEDFQLQLLEEISSQLREIRKATEPVKGARRASLPPQFSKA >DRNTG_06145.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25480748:25483181:1 gene:DRNTG_06145 transcript:DRNTG_06145.6 gene_biotype:protein_coding transcript_biotype:protein_coding MACREIHSWTLCSMVGAYLDLALAYLLLCGSFIAFLAAKFLSLLGLDLPCSCDGFFGLPHPPATRCLQGLLTHCPADKITSVRNSVLRRFPFDSIFNDRCETTPCCFDVKFVTDNSNRLLETHEVELSRSVSNGRLTLPSPGFESQSSSPSRSVLRRRRRTFAPRSAPSSRPKLLDSGEQLGISEGCSSSADFTTSPEDHLLGNCTDSLVEKDGGIVDKDVDVSELSEVEVIKKLEKALEEEQNAYAALCLELEKERSAAASSADEAMAMIVRLQEEKAAIVMEARQYRRMSEEKSAYDEEEMDILKEIIVRREREKHVLEGELEVYRQMMVSDERFQSNLVGDCFDIVLPVEQKQLVDESFGKKEENDTPCIDKDWMGHGHWIDQSGLETECLDAMQVPTGTKLNFGDECEAMTQICTYSVKTQGSVFEGSSSSKGKSDDLGSVVPADVLYKQNDRNADHSCNVSTKTEIESSILDVHVIDDGRLETGHEKNRELGDTENILRNNSPRIESSGSSNADCPNVHPGTKWRVPEGIQRSSSESEMVTQMTDSPPDSTPHKLKSSKSSVDSERIKLEIEVELLRKRLKTVQQGREKLSIERREKEDFQLQLLEEISSQLREIRKATEPVKGARRASLPPQFSKA >DRNTG_06145.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25480748:25483249:1 gene:DRNTG_06145 transcript:DRNTG_06145.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACREIHSWTLCSMVGAYLDLALAYLLLCGSFIAFLAAKFLSLLGLDLPCSCDGFFGLPHPPATRCLQGLLTHCPADKITSVRNSVLRRFPFDSIFNDRCETTPCCFDVKFVTDNSNRLLETHEVELSRSVSNGRLTLPSPGFESQSSSPSRSVLRRRRRTFAPRSAPSSRPKLLDSGEQLGISEGCSSSADFTTSPEDHLLGNCTDSLVEKDGGIVDKDVDVSELSEVEVIKKLEKALEEEQNAYAALCLELEKERSAAASSADEAMAMIVRLQEEKAAIVMEARQYRRMSEEKSAYDEEEMDILKEIIVRREREKHVLEGELEVYRQMMVSDERFQSNLVGDCFDIVLPVEQKQLVDESFGKKEENDTPCIDKDWMGHGHWIDQSGLETECLDAMQVPTGTKLNFGDECEAMTQICTYSVKTQGSVFEGSSSSKGKSDDLGSVVPADVLYKQNDRNADHSCNVSTKTEIESSILDVHVIDDGRLETGHEKNRELGDTENILRNNSPRIESSGSSNADCPNVHPGTKWRVPEGIQRSSSESEMVTQMTDSPPDSTPHKLKSSKSSVDSERIKLEIEVELLRKRLKTVQQGREKLSIERREKEDFQLQLLEEISSQLREIRKATEPVKGARRASLPPQFSKA >DRNTG_06145.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25480748:25483093:1 gene:DRNTG_06145 transcript:DRNTG_06145.8 gene_biotype:protein_coding transcript_biotype:protein_coding MACREIHSWTLCSMVGAYLDLALAYLLLCGSFIAFLAAKFLSLLGLDLPCSCDGFFGLPHPPATRCLQGLLTHCPADKITSVRNSVLRRFPFDSIFNDRCETTPCCFDVKFVTDNSNRLLETHEVELSRSVSNGRLTLPSPGFESQSSSPSRSVLRRRRRTFAPRSAPSSRPKLLDSGEQLGISEGCSSSADFTTSPEDHLLGNCTDSLVEKDGGIVDKDVDVSELSEVEVIKKLEKALEEEQNAYAALCLELEKERSAAASSADEAMAMIVRLQEEKAAIVMEARQYRRMSEEKSAYDEEEMDILKEIIVRREREKHVLEGELEVYRQMMVSDERFQSNLVGDCFDIVLPVEQKQLVDESFGKKEENDTPCIDKDWMGHGHWIDQSGLETECLDAMQVPTGTKLNFGDECEAMTQICTYSVKTQGSVFEGSSSSKGKSDDLGSVVPADVLYKQNDRNADHSCNVSTKTEIESSILDVHVIDDGRLETGHEKNRELGDTENILRNNSPRIESSGSSNADCPNVHPGTKWRVPEGIQRSSSESEMVTQMTDSPPDSTPHKLKSSKSSVDSERIKLEIEVELLRKRLKTVQQGREKLSIERREKEDFQLQLLEEISSQLREIRKATEPVKGARRASLPPQFSKA >DRNTG_33609.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28145778:28146238:-1 gene:DRNTG_33609 transcript:DRNTG_33609.1 gene_biotype:protein_coding transcript_biotype:protein_coding EASNNLFIVKPGSPPQPSKSCFPAERKVAKHSVAFCISP >DRNTG_33609.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28145885:28146238:-1 gene:DRNTG_33609 transcript:DRNTG_33609.2 gene_biotype:protein_coding transcript_biotype:protein_coding EASNNLFIVKPGSPPQPSKSCFPAERKVAKHSVAFCISP >DRNTG_21707.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:898567:911446:-1 gene:DRNTG_21707 transcript:DRNTG_21707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVVVLGTCLKECILSGILSVHGFKVFSFFSLFGKDSKVTKIKPPESLGNSKEYNVDMIPKFMMANGTLVQVLIHNNVTKYLNFKVVDGSFVYNKGKVRNSISIYKVPATDVEALKSTLMGLFEKRRVRKFFVYVKDYEDNDQKSHEVKLPLLPFDASDDWPGEYYETFMMKKPEEKRDELIYKAVLSADPSKTSDYIVIAIYFAFFKLAFWRPGDSIWTVIDSDFYLEDIIWWTDSIEAKDDVDQGNDPNSKGSMDSFRTERFKFMMANGTLVRVLIHANVFKYLNFKAVDGSILYNKGKVQNQSNLI >DRNTG_06004.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2500542:2501737:-1 gene:DRNTG_06004 transcript:DRNTG_06004.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRNLLGNLKFEQIGATDVFVDNISAIALGKNPIFHERSKHIDTKYHFIRECVGKKEI >DRNTG_12127.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4484594:4484972:-1 gene:DRNTG_12127 transcript:DRNTG_12127.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAYDVACYKLRGKEGLLNFPELVNEFPRPRSEEAEEIRVAAVEAAGMVGRWPEMVRRGSGSLPALKRLSQRAWV >DRNTG_02328.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:624909:627791:-1 gene:DRNTG_02328 transcript:DRNTG_02328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDSLGLKPSRSLPTSRSRIPRGRQLQKTFNNLKITILCGLVTILVLRGTIGVGNLGGSPSDADTRVIEDIERIIREIRSDSDPDDEMIVGGSNSTVALNSTVLRAENYTLGPKISDWDEKRAMWLASNPGFPSRISGGKPRMLLVTGSPPNPCDNAIGDHYLLKGMKNKIDYCRLHGIEIVHNMAHLDKELAGYWAKLPLIRRLMISHPEIEWIWWMDSDALFTDMAFEIPLSKYNSHNLVVHGYPDLIFDQHSWIGLNTGSFLLRNCQWSLDLLDAWAPMGPKGPIRDEAGRILTAALKGRPAFEADDQSALIYLLLSQQEKWGDKVFIENSYYLHGYWAGLVDKYEEMMDKYHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLSSMERAFNFADNQVLRLYGFTHKGLASPKIKRIRNETASPLEVLDKLNPQARIVSQ >DRNTG_33797.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32521776:32525498:-1 gene:DRNTG_33797 transcript:DRNTG_33797.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCSLWFRVLLLGSKTQTIVGRPILPDAAVHAVVEEHALDAKVIIFKKKRRKNYRRTKGHRQELTKLQITDIQGIDKSENTAVAAA >DRNTG_25426.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24648416:24649221:-1 gene:DRNTG_25426 transcript:DRNTG_25426.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRMESWEEMEEDGSECSSGCQSGWTMYLDQSYEKHHLLPFGKAGTQLEHEDEGEDEDDDEEEEEEEEDLSMVSDASSGPPHLHEEDYHHCCCCSSSLMVDKSGGKRKRVGEKKHQELNKHEDFSSFLDDTASSPLLSFPESGFNGSISRSINPPAIDGVLEFSSCFSATHFKL >DRNTG_32317.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18306697:18314341:1 gene:DRNTG_32317 transcript:DRNTG_32317.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDTDESGRRKGGRRNPFKQLEQLRARADAVTDGINFAREDDRSLEASRERLSKVLKRYEDLKDRLSRDSDKMIYERLLKDFEAARVAQSEEILLEAEQWNDGLLATIRERVHMEADRKAMGQTGMPLDPHFNEKPSYRIGNKVLFCLEGSRIGIQYETSFAGEPCETFHCVLESKSFLEKLTVIEHTIPFFLPIREAENDFLSSNAMKFIDYVGALLQSYVDRREQVRLIKELYGNQIGELFHSLTYNLIEFVLDDFDCKVTVSLRYADLVSVLPSRIRVLAWPIHPSKKALITDKKGSGATVAKSIPSRLSYAEDALRTMSLPEAYAEIVLNLPRVLEQIFSHTGSV >DRNTG_32317.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18306697:18314341:1 gene:DRNTG_32317 transcript:DRNTG_32317.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADRKAMGQTGMPLDPHFNEKPSYRIGNKVLFCLEGSRIGIQYETSFAGEPCETFHCVLESKSFLEKLTVIEHTIPFFLPIREAENDFLSSNAMKFIDYVGALLQSYVDRREQVRLIKELYGNQIGELFHSLTYNLIEFVLDDFDCKVTVSLRYADLVSVLPSRIRVLAWPIHPSKKALITDKKGSGATVAKSIPSRLSYAEDALRTMSLPEAYAEIVLNLPRVLEQIFSHTGSV >DRNTG_11840.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:183118:186650:-1 gene:DRNTG_11840 transcript:DRNTG_11840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRTTGSSTTTFIPMGHYGSPEYHGLGEGAPRVDNSHKVSIIPLVFLIFYEVSGGPFGIEDSVQAAGPLLAILGFLVFPLIWSIPEALITAELGTMFPENGGYVVWVSSSLGPFWGFQQGWMKWLSGVIDNALYPVLFLDYLKASYHIFEGGLPRTLAVLILTVALTYMNYRGLTIVGWVAVFLGVFSILPFIVMGFVSIPKLKPSRWLVVDSHNIDWNLYLNTLFWNLNYWDSISTLVGEVQNPKRTLPKALFYALILVVAAYLFPLLTGTGAIPLERELWEDGYFSTIAMILGGSWLRSWLQGASALSNMGMFVAEMSSDSYQLLGMAERGMLPAFFSKRSRYGTPLVGILFSASGVILLSWMSFQEIVAAENFLYCFGMLLEFIAFIRLRITHPTAPRPYKIPVSTTGAILMLIPPTVLICVVLAFASLKVMIVSLVAVCFGFLLQPSLKYVDKRQWLRFSVSPDLPDFTVTDDENIIDPMM >DRNTG_11840.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:182727:186650:-1 gene:DRNTG_11840 transcript:DRNTG_11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRTTGSSTTTFIPMGHYGSPEYHGLGEGAPRVDNSHKVSIIPLVFLIFYEVSGGPFGIEDSVQAAGPLLAILGFLVFPLIWSIPEALITAELGTMFPENGGYVVWVSSSLGPFWGFQQGWMKWLSGVIDNALYPVLFLDYLKASYHIFEGGLPRTLAVLILTVALTYMNYRGLTIVGWVAVFLGVFSILPFIVMGFVSIPKLKPSRWLVVDSHNIDWNLYLNTLFWNLNYWDSISTLVGEVQNPKRTLPKALFYALILVVAAYLFPLLTGTGAIPLERELWEDGYFSTIAMILGGSWLRSWLQGASALSNMGMFVAEMSSDSYQLLGMAERGMLPAFFSKRSRYGTPLVGILFSASGVILLSWMSFQEIVAAENFLYCFGMLLEFIAFIRLRITHPTAPRPYKIPVSTTGAILMLIPPTVLICVVLAFASLKVMIVSLVAVCFGFLLQPSLKYVDKRQWLRFSVSPDLPDFTVTDDENIIDPMM >DRNTG_11840.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:183118:184928:-1 gene:DRNTG_11840 transcript:DRNTG_11840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGHYGSPEYHGLGEGAPRVDNSHKVSIIPLVFLIFYEVSGGPFGIEDSVQAAGPLLAILGFLVFPLIWSIPEALITAELGTMFPENGGYVVWVSSSLGPFWGFQQGWMKWLSGVIDNALYPVLFLDYLKASYHIFEGGLPRTLAVLILTVALTYMNYRGLTIVGWVAVFLGVFSILPFIVMGFVSIPKLKPSRWLVVDSHNIDWNLYLNTLFWNLNYWDSISTLVGEVQNPKRTLPKALFYALILVVAAYLFPLLTGTGAIPLERELWEDGYFSTIAMILGGSWLRSWLQGASALSNMGMFVAEMSSDSYQLLGMAERGMLPAFFSKRSRYGTPLVGILFSASGVILLSWMSFQEIVAAENFLYCFGMLLEFIAFIRLRITHPTAPRPYKIPVSTTGAILMLIPPTVLICVVLAFASLKVMIVSLVAVCFGFLLQPSLKYVDKRQWLRFSVSPDLPDFTVTDDENIIDPMM >DRNTG_34051.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5853195:5853574:-1 gene:DRNTG_34051 transcript:DRNTG_34051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLEILKSIGMLQWVHTTRRFEYRVRQSTTSTIDFDVSPLDDDTPTPPPPATASRLRSRSPPRALIP >DRNTG_21413.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:695614:697330:-1 gene:DRNTG_21413 transcript:DRNTG_21413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNPFESLEIFHMMPQEPHFRALEQYCEEFREGMAIGFMVTFANLINDLGYKMSGCPS >DRNTG_09169.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7242761:7245157:-1 gene:DRNTG_09169 transcript:DRNTG_09169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKMMIPRVKLGTQGLEVSKLGFGCMSLSWAYNSPVPEEQGIGIIKHAFNQGITFFDTSDIYGPHTNEILIGKALKELPREEIQLATKFGVATSDGFSGVKVDGRPEYVRACCEASLKRLQVDYIDLYYPHRVDQSVPIEETIGELKKLVEEGKVKYIGLSEASPDTIRRAHAVHPISAVQMEWSLWTREIEQEIVPLCRELGIGIVPYSPLGRGFFGGKAVVEKLSENTILALHPRFIGENLEKNKALYVRVENLAKKHECSTAQLALSWVLHQGDDVVPIPGTSKIKNLDSNIGALQVKLTEEDLKEISDLIPEEEVAGSICIPEIDEKISWKYANTPLPKSA >DRNTG_32595.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22778237:22781991:1 gene:DRNTG_32595 transcript:DRNTG_32595.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGFLGITYSFKMESLLPTKFATFPTLMMMVLLSLLVLLFSNLCFPVFNMAAASKIESEGKALLQWKATLEIQELINTWTSKTSPCNWTGITCRNDGHGNATTIIRVQLGQLGLEGKLESLDFSALPSLRVLDLIDNHMYGFIPAAISALSKLTILDLGNNSLTGIIPSELGNLTRLKTLSLRYNQISGSVPPSFGKLLNLQSIDISVNFLVGSIPLVFGNLTKLNALSLAKNNLTGSIPPNIGNLSELNKLILFENQLYGSIPRYIGNLIKLENVALSVNNINGPIPTEIGNLMNLRVFMIYNNQITGPIPYSFKNLTKLELFFLSENNLNGSIPYEIGNLVNLINLDISVNQITGPIPHGIGNLTKLDSCYLYSNNINGFIPSEIGNLMNLKNFQISDNQVTGPIPHNIGNLTNLQTFHLDNNNINGIIHPSLGNLKGLTEMKLFNNHLFGIVPNEFENLTNLIIIDVFNNSLFGNLPPNLAKGGLLQHLALGYNNFQGPIPISLKKAINLVRVRLERNQFTGDVSQSFGIHPYLYYIDLSFNRLSGTLSPSWGECLNLTSLKISGNRISGQIPLEIVQLPKLHLLDISSNNFVGNIPKEFGKSPYIFQLNMCNYHLTGTIPPEFGGLSLLEVLDLSSNNLRGEIPIHLENCIKLNSLNLSDNQLSGVIPFQLGNLNLHDVLDLSHNLFIGEIPQQLSKLMELRELNLSHNELVGPIPSSFQSMIGLISLDLSYNYLEGPVPESHFFQAAPLEWFTHNKGLCGQVHGLPPCHQSWSASKGDEEKQHKIIILVVPLICGILLVLFLIIGICTLLYCKKKRSTVNDTSEEFDGHFFSIWRVSHGKEAYKEIIRAK >DRNTG_34400.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25953916:25955016:1 gene:DRNTG_34400 transcript:DRNTG_34400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMNCCLAQLQTFSVQQGLLMNTKVSNSFSIPPASLTAIPISFMLLSVPIFHHLSTSQTITKLTGTTLSLKPLKRIGVGLALASFSMTVASLVEIKRRRAYSNGGHQISVLWLSFQYLLLGVSDMFTLAGMLEFFYSEAPETMKSVCTSLSWCSTSMGFFLSSILVSIVNKVSKRVSGEEWLAESLNAGHLEFFYALLALLNLLNFFNYLCWAKWYSK >DRNTG_34400.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25952436:25955016:1 gene:DRNTG_34400 transcript:DRNTG_34400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILPEVSEKDEYVDWRGRSVQPNKHGGKKMALLVCLTEILENMVLVANISNLVVYFHSNMKFTIPESSNMLTNFTGTCLLLTLFSGFIADSFLKRFWCIILFGILELLGLLILTIQAFEPSLRPKEGEKTSSSQEAMLYIGLSVMALGVSGIKANLASHGADQLDPVIHGQQIITSFFNGFFFCLCTGGMLAVTILVWIQVNRGWKLSMILCTIFLFLAIFIYSLGFKYYKHKVPGGSPFTRIFKVLRLSFINRKQYSQQSEDSIRGSNAKKFRFLEKAIIVGGHASTEQVEEARSFLRLLPIFGSTIMMNCCLAQLQTFSVQQGLLMNTKVSNSFSIPPASLTAIPISFMLLSVPIFHHLSTSQTITKLTGTTLSLKPLKRIGVGLALASFSMTVASLVEIKRRRAYSNGGHQISVLWLSFQYLLLGVSDMFTLAGMLEFFYSEAPETMKSVCTSLSWCSTSMGFFLSSILVSIVNKVSKRVSGEEWLAESLNAGHLEFFYALLALLNLLNFFNYLCWAKWYSK >DRNTG_34400.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25954139:25955016:1 gene:DRNTG_34400 transcript:DRNTG_34400.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMNCCLAQLQTFSVQQGLLMNTKVSNSFSIPPASLTAIPISFMLLSVPIFHHLSTSQTITKLTGTTLSLKPLKRIGVGLALASFSMTVASLVEIKRRRAYSNGGHQISVLWLSFQYLLLGVSDMFTLAGMLEFFYSEAPETMKSVCTSLSWCSTSMGFFLSSILVSIVNKVSKRVSGEEWLAESLNAGHLEFFYALLALLNLLNFFNYLCWAKWYSK >DRNTG_34400.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25952641:25953771:1 gene:DRNTG_34400 transcript:DRNTG_34400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVCLTEILENMVLVANISNLVVYFHSNMKFTIPESSNMLTNFTGTCLLLTLFSGFIADSFLKRFWCIILFGILELLGLLILTIQAFEPSLRPKEGEKTSSSQEAMLYIGLSVMALGVSGIKANLASHGADQLDPVIHGQQIITSFFNGFFFCLCTGGMLAVTILVWIQVNRGWKLSMILCTIFLFLAIFIYSLGFKYYKHKVPGGSPFTRIFKVTT >DRNTG_34400.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25952942:25955016:1 gene:DRNTG_34400 transcript:DRNTG_34400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVANISNLVVYFHSNMKFTIPESSNMLTNFTGTCLLLTLFSGFIADSFLKRFWCIILFGILELLGLLILTIQAFEPSLRPKEGEKTSSSQEAMLYIGLSVMALGVSGIKANLASHGADQLDPVIHGQQIITSFFNGFFFCLCTGGMLAVTILVWIQVNRGWKLSMILCTIFLFLAIFIYSLGFKYYKHKVPGGSPFTRIFKVLRLSFINRKQYSQQSEDSIRGSNAKKFRFLEKAIIVGGHASTEQVEEARSFLRLLPIFGSTIMMNCCLAQLQTFSVQQGLLMNTKVSNSFSIPPASLTAIPISFMLLSVPIFHHLSTSQTITKLTGTTLSLKPLKRIGVGLALASFSMTVASLVEIKRRRAYSNGGHQISVLWLSFQYLLLGVSDMFTLAGMLEFFYSEAPETMKSVCTSLSWCSTSMGFFLSSILVSIVNKVSKRVSGEEWLAESLNAGHLEFFYALLALLNLLNFFNYLCWAKWYSK >DRNTG_17792.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:7258354:7261956:1 gene:DRNTG_17792 transcript:DRNTG_17792.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGPAVVDRVVIMKSTIGARCHDAKTLAEKEKRDGSDTRHFQLVPSHLQPAFDHPKLKGQKPLDPPESPKGHYNSTTTNHVLNENIQLWRVSGKSCPEGTIAIGRTTEEDILRASSIRRFGRKTISRVHCDSTASGHEHIVGYVMGEQYYGAKAGLNVWAPRVASSSKFSLSQIWVISGSFGDDLNTIETGWQLLDASSDQQIPHGNNHSHESSHQTNLNASSDAF >DRNTG_17792.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:7258354:7261956:1 gene:DRNTG_17792 transcript:DRNTG_17792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLMEICSISKQGRHFQLVPSHLQPAFDHPKLKGQKPLDPPESPKGHYNSTTTNHVLNENIQLWRVSGKSCPEGTIAIGRTTEEDILRASSIRRFGRKTISRVHCDSTASGHELLDASSDQQIPHGNNHSHESSHQTNLNASSDAF >DRNTG_17792.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:7258354:7261956:1 gene:DRNTG_17792 transcript:DRNTG_17792.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLMEICSISKQGRHFQLVPSHLQPAFDHPKLKGQKPLDPPESPKGHYNSTTTNHVLNENIQLWRVSGKSCPEGTIAIGRTTEEDILRASSIRRFGRKTISRVHCDSTASGHEHIVGYVMGEQYYGAKAGLNVWAPRVASSSKFSLSQIWVISGSFGDDLNTIETGWQLLDASSDQQIPHGNNHSHESSHQTNLNASSDAF >DRNTG_17792.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:7258354:7261956:1 gene:DRNTG_17792 transcript:DRNTG_17792.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGPAVVDRVVIMKSTIGARCHDAKTLAEKEKRDGSDTRHFQLVPSHLQPAFDHPKLKGQKPLDPPESPKGHYNSTTTNHVLNENIQLWRVSGKSCPEGTIAIGRTTEEDILRASSIRRFGRKTISRVHCDSTASGHELLDASSDQQIPHGNNHSHESSHQTNLNASSDAF >DRNTG_17792.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:7258354:7261956:1 gene:DRNTG_17792 transcript:DRNTG_17792.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLMEICSISKQGRHFQLVPSHLQPAFDHPKLKGQKPLDPPESPKGHYNSTTTNHVLNENIQLWRVSGKSCPEGTIAIGRTTEEDILRASSIRRFGRKTISRVHCDSTASGHEHIVGYVMGEQYYGAKAGLNVWAPRVASSSKFSLSQIWVISGSFGDDLNTIETGWQLLDASSDQQIPHGNNHSHESSHQTNLNASSDAF >DRNTG_17792.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:7258354:7261956:1 gene:DRNTG_17792 transcript:DRNTG_17792.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLMEICSISKQGRHFQLVPSHLQPAFDHPKLKGQKPLDPPESPKGHYNSTTTNHVLNENIQLWRVSGKSCPEGTIAIGRTTEEDILRASSIRRFGRKTISRVHCDSTASGHEVSFSILLLSSHTIQK >DRNTG_31962.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8597115:8599067:-1 gene:DRNTG_31962 transcript:DRNTG_31962.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSVTLRLVKERSLHLLGEESPEHTRDGYGRNLQRRKRSPNPEVFQYSASHGRHCWSSEISLARRRRNRVIK >DRNTG_07149.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20940421:20941545:1 gene:DRNTG_07149 transcript:DRNTG_07149.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFALRYILGYAYSNEKEVVDYVTGMAPLVCISVIMDSLQGVLSGVARGCGWQHVGAYVNLGAFYLFGIPVAIVLAFIVHMRGKGLWIGILGGATIQTTLLLIITFSINWQQQASKAHQRIFEERLRVENTLK >DRNTG_07149.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20939050:20941545:1 gene:DRNTG_07149 transcript:DRNTG_07149.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVYLAFLNQVIHIFEQLGMASGLETLCGQAYGAQQYEELGIQAYRAMLSLFIVCIPISFVWISLGKLLALIGQDPLISEEAGRYALWLIPALFAYAITQTMMKFLQSQSLILPMLLSSIATLCFHIPLCLFMVFKSGLGFVGAALSISISYWLNVLILGLYIKYSDSCKATRTSFSLKSFKRINEFLRFAVPSAVMICLEWWSFELLILLSGLLPNPQLETSVLSIW >DRNTG_07149.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20939050:20941545:1 gene:DRNTG_07149 transcript:DRNTG_07149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVYLAFLNQVIHIFEQLGMASGLETLCGQAYGAQQYEELGIQAYRAMLSLFIVCIPISFVWISLGKLLALIGQDPLISEEAGRYALWLIPALFAYAITQTMMKFLQSQSLILPMLLSSIATLCFHIPLCLFMVFKSGLGFVGAALSISISYWLNVLILGLYIKYSDSCKATRTSFSLKSFKRINEFLRFAVPSAVMICLEWWSFELLILLSGLLPNPQLETSVLSICLNSIAFLYTIPYGVGAAASTRISNELGAGNPHGARLAVRVALLITVAEALTVSMTVFALRYILGYAYSNEKEVVDYVTGMAPLVCISVIMDSLQGVLSGVARGCGWQHVGAYVNLGAFYLFGIPVAIVLAFIVHMRGKGLWIGILGGATIQTTLLLIITFSINWQQQASKAHQRIFEERLRVENTLK >DRNTG_29172.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24308925:24313136:1 gene:DRNTG_29172 transcript:DRNTG_29172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRIARDERIPLNTIQSKALLGIGSIGEVPILLVKPQAYMNYSGESVGSLAAYYKVPLRHILLIYDEMSLPNGVLRFQPKGGHGHHNGVKSVIEHLDGSREFPRLCIGIGNPPGSMDMRAFLLQKFSPTERDQVDSALEQGLDAVRTLVLKGFSTNINRFNLIQKYKYHKV >DRNTG_29172.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24308925:24313136:1 gene:DRNTG_29172 transcript:DRNTG_29172.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRIARDERIPLNTIQSKALLGIGSIGEVPILLVKPQAYMNYSGESVGSLAAYYKVPLRHILLIYDEMSLPNGVLRFQPKGGHGHHNGVKSVIEHLDGSREFPRLCIGIGNPPGSMDMRAFLLQKFSPTERDQVHNYLFLNLLRHSLIIFFDELG >DRNTG_29172.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24308925:24313136:1 gene:DRNTG_29172 transcript:DRNTG_29172.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSGESVGSLAAYYKVPLRHILLIYDEMSLPNGVLRFQPKGGHGHHNGVKSVIEHLDGSREFPRLCIGIGNPPGSMDMRAFLLQKFSPTERDQVDSALEQGLDAVRTLVLKGFSTNINRFNLIQKYKYHKV >DRNTG_05153.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:259765:262805:1 gene:DRNTG_05153 transcript:DRNTG_05153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFTIPEHEVRFERQTTLRFGQARFPDVSTIREIQWVEGITDEIEGMLVVGSWGHLLSIRDPAIHALTLEGQYEAGVSKATCLSCLNYRYIHAVLNRPLYHETSGHYGFTQRGQRGRDGDYTCSLGHGDIEVDGDACIQASTDVDGDQRTSCDRGPTPVRIFSPSRAHEHFERLESAL >DRNTG_06511.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4338922:4341936:1 gene:DRNTG_06511 transcript:DRNTG_06511.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLATAEACDANPHLISNGDLRALQPIFQIYGRRQVFSGPIVTLKVFEDNVLIREFLEERGNGRVLVVDGGASLRCAILGGNPVQQAQNNGWAGIVINGCIRDVDEINGCDIGVRALASHPMKAYKKGIGEKHVPINIAGTRICDGEWLYADTDGILISRSELSV >DRNTG_00099.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3266396:3269683:1 gene:DRNTG_00099 transcript:DRNTG_00099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVSRLNTETIDVKGNPTAVYQMFHQVVLLCACADIPLPKQ >DRNTG_00099.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3267357:3269683:1 gene:DRNTG_00099 transcript:DRNTG_00099.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVSRLNTETIDVKGNPTAVYQMFHQVVLLCACADIPLPKQ >DRNTG_00099.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3268515:3269345:1 gene:DRNTG_00099 transcript:DRNTG_00099.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVSRLNTETIDVKGNPTAVYQMFHQVVLLCACADIPLPKQ >DRNTG_23421.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001264.1:101559:106231:-1 gene:DRNTG_23421 transcript:DRNTG_23421.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYHRVEKPRPESAINENEIRITTQGLIKNYITYASSLVQEKRIGEIVLKAMGQAISKTVAIAEILKKRIPGLHQDTKISSVSITDVWEPIEEGLVPLEMTRHVSMISISLSTRELSKNSPGYQAPLYAEQPKPQYKQQPQQYQQHHHQQHQPRQGQVQVAEESYGRGRGRGRGRGRGWGRGNYNGYGGYGNGQGGYGNYQGRYVNQGGYGNNQGGYGNQGGGYNQGGYGRNQGGYGYNYENGGWNSNWGGRGGRGGGYAYRGKSFIVHF >DRNTG_23421.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001264.1:101559:106231:-1 gene:DRNTG_23421 transcript:DRNTG_23421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYHRVEKPRPESAINENEIRITTQGLIKNYITYASSLVQEKRIGEIVLKAMGQAISKTVAIAEILKKRIPGLHQDTKISSVSITDVWEPIEEGLVPLEMTRHVSMISISLSTRELSKNSPGYQAPLYAEQPKPQYKQQPQQYQQHHHQQHQPRQGQVQVAEESYGRGRGRGRGRGRGWGRGNYNGYGGYGNGQGGYGNYQGRYVNQGGYGNNQGGYGNQGGGYNQGGYGRNQGGYGYNYENGGWNSNWGGRGGRGGGYAYRGAGYERGRGGGGRGFVRGRGRMGDRGRGN >DRNTG_19041.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22492789:22493523:-1 gene:DRNTG_19041 transcript:DRNTG_19041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSKVKKGAGGRKGGGPKKKPVSRSVKAGLQFPVGRIGRFLKKGRYSQRVGSGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHLLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKRSTAAKEPKSPSKATKSPKKA >DRNTG_30019.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6783448:6785379:1 gene:DRNTG_30019 transcript:DRNTG_30019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLLLLLLIPFLFLILKASSKSKSQIHPPSPASLPFIGHLHLLLPIPYRALHSLSLTHGPIMLLRLGQIPTLILSSSSSVRSMTKSHDIAFSSRPNLKVPRQLVYNSKNISFSPYGAYWRQSRKLSVLHLLSTKRVLSFRPIRNSELSIMLSHISNHSLSGPINLSETIYFFTTNILCKVALGQSITEESQCRMLHYSISEATMLFSAFSVDDYFPSLKWLNMFSSLDSKIAKIFKKLDGFITSVVEDHLIAGVRDKDDDNADLVDILLSLQKNPPSGEFSPTMDEVKAIILNMLAAGTSTSFIFLEWAMSELIQNPKVMKKLKEEVTSVAGKGSMVTEENVNKMPYLKAVAKEVLRLHPPAPLLLPRETIEDIELEGYKIPAKTRVLINAWAIGRDPKSWDAPEEFIPERFINSDLDFRGQDFEFIPFGVGRRICPGMQFAVATIEFALANLVHHFDWEMPKGLSAEDLNMDEAQGLTMHRKYPLVLVAKKVD >DRNTG_16196.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12532979:12537293:-1 gene:DRNTG_16196 transcript:DRNTG_16196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIETAWLTSLIYFFRGCNKPAICFSSSGIEFLRSDFHVAAQNCWVKKGGAFTGEISGEMLVNLGIPWVILGHSERRAMLGESNEELSWFPWDECLLLVMCAFVYTLCFNILQIRSMTGPMYSLLMSLFGPLELESLQHLLRPKRYVHVDLRKWFQANVSAEVAESIRIIGANCKELASKPDVDGFLVGGASLKPEFIDIIKSATVKSST >DRNTG_02783.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1204842:1212297:-1 gene:DRNTG_02783 transcript:DRNTG_02783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDPEIEVVSDPNSVQNGNPSPGEAISNAIVDVYSASAYGDLQKVRDFVEREGHTVSKPDGNGYHALQWAALNNHVDVAQYIIEHGGDVNAADNTQQTALHWAAVRGSVAVADVLLQCGARTEAADLNGYRAVHVAAQYGQTTFLNHIIARYGADFDAPDNDGRSPLHWAAYKGFADTVRLLLFRDANHVRQDKEGCTPLHWGAIRGNMETCMVLVHAGTKEELMVKDKSGFTPAQLASDKGHGHVAYILSNASKLSGHFCEDKICAGKIGKVGYAPISADCDCSPYDSFP >DRNTG_08901.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27528280:27534843:1 gene:DRNTG_08901 transcript:DRNTG_08901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSGDVAGGRQAVGGAATSVGTGATGRSDAVDAVDHFLKSRGGFRRPCSQIELSLSASNLLNRDMLSKSDPMTVIYAKRKDGTLEEIGRTEVILNSLNPMWVVKIGVTYYFEVVQHLVFQVYDIDTQFHSVPVKMLKLDEQQFLGEASCALSQIVTKRTRSLTLNLERREHNGPPDSRNLGQLTVLAEEYAGSKITAEMIFRCSDLENKDLFSKSDPFLVISKVTENGVWIPICKTEVRKNDLNPIWKPVVLNLQQIGSKDNLLSIDCFNFNSNGKHDLIGKVVKPLSELEKLHYSKHGEHLFFPTAVGNDLQNKVLKSQLFVERFVESKRLTFLDYIANGCELNFMIAIDFTASNGNPRLPDSLHYIDPSGRLNAYQRAILEVGEVLQFYDSDKRFPAWGFGARPIDGPVSHCFNLNGSTYQSEVEGIQGVMSAYISALHNVSLAGPTLFGPVINTAALIASQSVESSQQKYFVLLIVTDGVITDLQETKDALVRASDLPLSILIVGVGGADFKEMEILDADKGEKIESLSGRVASRDIVQFVPMRDVQGDQESVIHALLAELPGQFLTYMQSRDIQPLS >DRNTG_08901.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27528280:27534843:1 gene:DRNTG_08901 transcript:DRNTG_08901.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIAIDFTASNGNPRLPDSLHYIDPSGRLNAYQRAILEVGEVLQFYDSDKRFPAWGFGARPIDGPVSHCFNLNGSTYQSEVEGIQGVMSAYISALHNVSLAGPTLFGPVINTAALIASQSVESSQQKYFVLLIVTDGVITDLQETKDALVRASDLPLSILIVGVGGADFKEMEILDADKGEKIESLSGRVASRDIVQFVPMRDVQGDQESVIHALLAELPGQFLTYMQSRDIQPLS >DRNTG_08901.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27528280:27534843:1 gene:DRNTG_08901 transcript:DRNTG_08901.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSGDVAGGRQAVGGAATSVGTGATGRSDAVDAVDHFLKSRGGFRRPCSQIELSLSASNLLNRDMLSKSDPMTVIYAKRKDGTLEEIGRTEVILNSLNPMWVVKIGVTYYFEVVQHLVFQVYDIDTQFHSVPVKMLKLDEQQFLGEASCALSQIVTKRTRSLTLNLERREHNGPPDSRNLGQLTVLAEEYAGSKITAEMIFRCSDLENKDLFSKSDPFLVISKVTENGVWIPICKTEVRKNDLNPIWKPVVLNLQQIGSKDNLLSIDCFNFNSNGKHDLIG >DRNTG_25970.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22451078:22451919:-1 gene:DRNTG_25970 transcript:DRNTG_25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNLVNGRCYLTPVVETLAELKVNMTPRHWEIIRRTPFAAFTELEAIYQERALLDSLLQRYDGRTNKFRIGESLLSFRPQDVALVLGLRCDGDAVVFQKKKTRSAFEGRYLSKTYERHRDSIKSTLVQLVRQRGEEDNFVKLLMVYLMGTVLFPNTSCSVPNWIVDYVDDLPAMGRYAWAQATHKWLMEDIPQAAARVQDRCAGKKTNTGYIKGCSVALNVWFYELTGTGKKVRFGKIPRMLCYGESTYRKQATVETSLSSLDGKEVINHGQCLT >DRNTG_00817.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18995168:18996406:-1 gene:DRNTG_00817 transcript:DRNTG_00817.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASMANHSSSNSDTNTNTNRDNGTGSDDSDDSGSSKRRQAAVTSGGIGMLGGIISVIKHASAMNSGNGDV >DRNTG_00817.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18995168:18996325:-1 gene:DRNTG_00817 transcript:DRNTG_00817.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVASMANHSSSNSDTNTNTNRDNGTGSDDSDDSGSSKRRQAAVTSGGIGMLGGIISVIKHASAMNSGNGDV >DRNTG_00817.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18995314:18996406:-1 gene:DRNTG_00817 transcript:DRNTG_00817.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVASMANHSSSNSDTNTNTNRDNGTGSDDSDDSGSSKRRQAAVTSGGIGMLGGIISVIKHASAMNSGNGDV >DRNTG_09889.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:156319:159882:1 gene:DRNTG_09889 transcript:DRNTG_09889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYWLVIGRGSTALILCFIWKKVSGRAFIGTDPARYGLIIMGIETLSWARPRCFGTCPCWVVKPIMKERSQCGSQCTDFGGNLAKVQTRRYESGGFERYWLGFWRGSTAPPSSFLRKKVLRTRESLDEE >DRNTG_08938.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27321072:27322243:1 gene:DRNTG_08938 transcript:DRNTG_08938.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPGFFRNGVMVKLPPGFRFHPTDEELVMQYLRKKVYSCPLPASIIPEIDLGKHDPWELPGASEQEQYYFNLRESKYPSGSRHNRTASSGYWKATGRDKQISVGKGGQVVGMKKVLVFYQGRPPRGCRTDWIMHEYRLAGAVITGDRNSVIKSGRDWVLCRIFLKKRAGKMNTECSRGFIDFFKQASSSSSSSSSASSCVTDVSDGSNTSEEDNSCSTDSSSGGREA >DRNTG_08938.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27320660:27322243:1 gene:DRNTG_08938 transcript:DRNTG_08938.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPGFFRNGVMVKLPPGFRFHPTDEELVMQYLRKKVYSCPLPASIIPEIDLGKHDPWELPGASEQEQYYFNLRESKYPSGSRHNRTASSGYWKATGRDKQISVGKGGQVVGMKKVLVFYQGRPPRGCRTDWIMHEYRLAGAVITGDRNSVIKSGRDWVLCRIFLKKRAGKMNTECSRGFIDFFKQASSSSSSSSSASSCVTDVSDGSNTSEEDNSCSTDSSSGGREA >DRNTG_08938.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27318640:27322243:1 gene:DRNTG_08938 transcript:DRNTG_08938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPGFFRNGVMVKLPPGFRFHPTDEELVMQYLRKKVYSCPLPASIIPEIDLGKHDPWELPGASEQEQYYFNLRESKYPSGSRHNRTASSGYWKATGRDKQISVGKGGQVVGMKKVLVFYQGRPPRGCRTDWIMHEYRLAGAVITGDRNSVIKSGRDWVLCRIFLKKRAGKMNTECSRGFIDFFKQASSSSSSSSSASSCVTDVSDGSNTSEEDNSCSTDSSSGGREA >DRNTG_08938.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27320542:27322774:1 gene:DRNTG_08938 transcript:DRNTG_08938.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPGFFRNGVMVKLPPGFRFHPTDEELVMQYLRKKVYSCPLPASIIPEIDLGKHDPWELPGASEQEQYYFNLRESKYPSGSRHNRTASSGYWKATGRDKQISVGKGGQVVGMKKVLVFYQGRPPRGCRTDWIMHEYRLAGAVITGDRNSVIKSGRDWVLCRIFLKKRAGKMNTECSRGFIDFFKQASSSSSSSSSASSCVTDVSDGSNTSEEDNSCSTDSSSGGREA >DRNTG_08938.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27320542:27322243:1 gene:DRNTG_08938 transcript:DRNTG_08938.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPGFFRNGVMVKLPPGFRFHPTDEELVMQYLRKKVYSCPLPASIIPEIDLGKHDPWELPGASEQEQYYFNLRESKYPSGSRHNRTASSGYWKATGRDKQISVGKGGQVVGMKKVLVFYQGRPPRGCRTDWIMHEYRLAGAVITGDRNSVIKSGRDWVLCRIFLKKRAGKMNTECSRGFIDFFKQASSSSSSSSSASSCVTDVSDGSNTSEEDNSCSTDSSSGGREA >DRNTG_08938.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27320991:27322243:1 gene:DRNTG_08938 transcript:DRNTG_08938.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPGFFRNGVMVKLPPGFRFHPTDEELVMQYLRKKVYSCPLPASIIPEIDLGKHDPWELPGASEQEQYYFNLRESKYPSGSRHNRTASSGYWKATGRDKQISVGKGGQVVGMKKVLVFYQGRPPRGCRTDWIMHEYRLAGAVITGDRNSVIKSGRDWVLCRIFLKKRAGKMNTECSRGFIDFFKQASSSSSSSSSASSCVTDVSDGSNTSEEDNSCSTDSSSGGREA >DRNTG_17535.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29023553:29024305:1 gene:DRNTG_17535 transcript:DRNTG_17535.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKTPSSMTTPLLMVFAFILITIMYSIQRASSCDEQSYYPSACDEVTSYLKPCILLDEKSDAGSSPECCEGVEGILEYNYYNTLALREICACIQQDTDLYAVLDKLPEACDNSFDLSYICSRFG >DRNTG_05444.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11983376:11985258:-1 gene:DRNTG_05444 transcript:DRNTG_05444.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFNKKAAEWLLRNLARAREKRKRPEWNRHNVWEQLLLIWNDAKYRKYCEKNKKSRQSKTEGSTTIYHGGSISMIEHRDRLEAKLGRKVSIVEAFKEVYQKYDGSWNEQVAQLAHEKFVKPRHIGSRRPRGRN >DRNTG_05444.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11983376:11986585:-1 gene:DRNTG_05444 transcript:DRNTG_05444.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNKKAAEWLLRNLARAREKRKRPEWNRHNVWEQLLLIWNDAKYRKYCEKNKKSRQSKTEGSTTIYHGGSISMIEHRDRLEAKLGRKVSIVEAFKEVYQKYDGSWNEQVAQLAHEKFVKPRHIGSRRPRGRN >DRNTG_05444.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11983376:11987821:-1 gene:DRNTG_05444 transcript:DRNTG_05444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNKKAAEWLLRNLARAREKRKRPEWNRHNVWEQLLLIWNDAKYRKYCEKNKKSRQSKTEGSTTIYHGGSISMIEHRDRLEAKLGRKVSIVEAFKEVYQKYDGSWNEQVAQLAHEKFVKPRHIGSRRPRGRN >DRNTG_05444.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11983376:11984222:-1 gene:DRNTG_05444 transcript:DRNTG_05444.4 gene_biotype:protein_coding transcript_biotype:protein_coding VNFETAPRTSHAAARTGESASHAPHTSEDVEHPQPVDEIATK >DRNTG_10297.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000433.1:37858:40295:1 gene:DRNTG_10297 transcript:DRNTG_10297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFMVDYGLTSGKFGGSSFSVFSRNPLLETDRELAIVWWLGEV >DRNTG_24707.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:9120547:9130517:-1 gene:DRNTG_24707 transcript:DRNTG_24707.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folylpolyglutamate synthase [Source:Projected from Arabidopsis thaliana (AT3G10160) UniProtKB/Swiss-Prot;Acc:F4J2K2] MPVLFQFLTLLAFKIFLSEKVDVAILEVGLGGGLDATNVVRRPVVCGITSLGMDHTEIL >DRNTG_24707.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:9110401:9130517:-1 gene:DRNTG_24707 transcript:DRNTG_24707.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folylpolyglutamate synthase [Source:Projected from Arabidopsis thaliana (AT3G10160) UniProtKB/Swiss-Prot;Acc:F4J2K2] MGFNGVIHGHLPQQTHPPSYEGAMEALSSLITVRKRGDGSKRAEKFDLMFKYLKVLGLEEKIDGLKIIHVAGTKGKGSTCTFSEAILRHCGFRTGLFTSPHLIDVRERYRINGLDISKDNFLLYFWDCWHQLKEKTTDGLPMPVLFQFLTLLAFKIFLSEKVDVAILEVGLGGGLDATNVVGRPVVCGITSLGMDHTEILGDTLGKIASEKAGIIKSGVPAYTVPQLSEAMSVLQDRAATLMVHLDIALPLQQKMLRGLKLGLDGLHQFTNASLAVALCRCWLEQTKHHTFFLDNNLDAGLPEPFLQGLCAARLQGRAQIVHDIPEKSLQMPNIDECSSNLVFYLDGAHSPESMEICANWFSDSVTGEKNSLKNNLMDEFNPLNTVVNGKMGYPNRSNKISRRILLFNCMEARDPELLLPQLFKICAGKGIHFSKALFVPSMSIYYKVDPGLSDRTLETCMDTSWQSTLQRTWEKMIHGRDNIPENDSKLQKPDNLPSYDFLHGSSENCNPPGNNVTCSAVIPSLPLTIKWLRDCLKENPSFRLQVLVTGSLHLVGDVLKLIRR >DRNTG_24707.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:9110401:9119523:-1 gene:DRNTG_24707 transcript:DRNTG_24707.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folylpolyglutamate synthase [Source:Projected from Arabidopsis thaliana (AT3G10160) UniProtKB/Swiss-Prot;Acc:F4J2K2] MSVLQDRAATLMVHLDIALPLQQKMLRGLKLGLDGLHQFTNASLAVALCRCWLEQTKHHTFFLDNNLDAGLPEPFLQGLCAARLQGRAQIVHDIPEKSLQMPNIDECSSNLVFYLDGAHSPESMEICANWFSDSVTGEKNSLKNNLMDEFNPLNTVVNGKMGYPNRSNKISRRILLFNCMEARDPELLLPQLFKICAGKGIHFSKALFVPSMSIYYKVDPGLSDRTLETCMDTSWQSTLQRTWEKMIHGRDNIPENDSKLQKPDNLPSYDFLHGSSENCNPPGNNVTCSAVIPSLPLTIKWLRDCLKENPSFRLQVLVTGSLHLVGDVLKLIRR >DRNTG_24707.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:9110401:9119107:-1 gene:DRNTG_24707 transcript:DRNTG_24707.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folylpolyglutamate synthase [Source:Projected from Arabidopsis thaliana (AT3G10160) UniProtKB/Swiss-Prot;Acc:F4J2K2] MLRGLKLGLDGLHQFTNASLAVALCRCWLEQTKHHTFFLDNNLDAGLPEPFLQGLCAARLQGRAQIVHDIPEKSLQMPNIDECSSNLVFYLDGAHSPESMEICANWFSDSVTGEKNSLKNNLMDEFNPLNTVVNGKMGYPNRSNKISRRILLFNCMEARDPELLLPQLFKICAGKGIHFSKALFVPSMSIYYKVDPGLSDRTLETCMDTSWQSTLQRTWEKMIHGRDNIPENDSKLQKPDNLPSYDFLHGSSENCNPPGNNVTCSAVIPSLPLTIKWLRDCLKENPSFRLQVLVTGSLHLVGDVLKLIRR >DRNTG_24707.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:9110401:9119730:-1 gene:DRNTG_24707 transcript:DRNTG_24707.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folylpolyglutamate synthase [Source:Projected from Arabidopsis thaliana (AT3G10160) UniProtKB/Swiss-Prot;Acc:F4J2K2] GDTLGKIASEKAGIIKSGVPAYTVPQLSEAMSVLQDRAATLMVHLDIALPLQQKMLRGLKLGLDGLHQFTNASLAVALCRCWLEQTKHHTFFLDNNLDAGLPEPFLQGLCAARLQGRAQIVHDIPEKSLQMPNIDECSSNLVFYLDGAHSPESMEICANWFSDSVTGEKNSLKNNLMDEFNPLNTVVNGKMGYPNRSNKISRRVSIFQRLFLFLACQYIIKLILGYQIEHLKLVWIHRGNLLCKGHGKR >DRNTG_24707.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:9110401:9119730:-1 gene:DRNTG_24707 transcript:DRNTG_24707.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folylpolyglutamate synthase [Source:Projected from Arabidopsis thaliana (AT3G10160) UniProtKB/Swiss-Prot;Acc:F4J2K2] MSVLQDRAATLMVHLDIALPLQQKMLRGLKLGLDGLHQFTNASLAVALCRCWLEQTKHHTFFLDNNLDAGLPEPFLQGLCAARLQGRAQIVHDIPEKSLQMPNIDECSSNLVFYLDGAHSPESMEICANWFSDSVTGEKNSLKNNLMDEFNPLNTVVNGKMGYPNRSNKISRRILLFNCMEARDPELLLPQLFKICAGKGIHFSKALFVPSMSIYYKVDPGLSDRTLETCMDTSWQSTLQRTWEKMIHGRDNIPENDSKLQKPDNLPSYDFLHGSSENCNPPGNNVTCSAVIPSLPLTIKWLRDCLKENPSFRLQVLVTGSLHLVGDVLKLIRR >DRNTG_24707.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:9110401:9130517:-1 gene:DRNTG_24707 transcript:DRNTG_24707.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folylpolyglutamate synthase [Source:Projected from Arabidopsis thaliana (AT3G10160) UniProtKB/Swiss-Prot;Acc:F4J2K2] MGFNGVIHGHLPQQTHPPSYEGAMEALSSLITVRKRGDGSKRAEKFDLMFKYLKVLGLEEKIDGLKIIHVAGTKGKGSTCTFSEAILRHCGFRTGLFTSPHLIDVRERYRINGLDISKDNFLLYFWDCWHQLKEKTTDGLPMPVLFQFLTLLAFKIFLSEKVDVAILEVGLGGGLDATNVVRRPVVCGITSLGMDHTEILGDTLGKIASEKAGIIKSGVPAYTVPQLSEAMSVLQDRAATLMVHLDIALPLQQKMLRGLKLGLDGLHQFTNASLAVALCRCWLEQTKHHTFFLDNNLDAGLPEPFLQGLCAARLQGRAQIVHDIPEKSLQMPNIDECSSNLVFYLDGAHSPESMEICANWFSDSVTGEKNSLKNNLMDEFNPLNTVVNGKMGYPNRSNKISRRILLFNCMEARDPELLLPQLFKICAGKGIHFSKALFVPSMSIYYKVDPGLSDRTLETCMDTSWQSTLQRTWEKMIHGRDNIPENDSKLQKPDNLPSYDFLHGSSENCNPPGNNVTCSAVIPSLPLTIKWLRDCLKENPSFRLQVLVTGSLHLVGDVLKLIRR >DRNTG_24707.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:9121684:9124768:-1 gene:DRNTG_24707 transcript:DRNTG_24707.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folylpolyglutamate synthase [Source:Projected from Arabidopsis thaliana (AT3G10160) UniProtKB/Swiss-Prot;Acc:F4J2K2] GSTCTFSEAILRHCGFRTGLFTSPHLIDVRERYRINGLDISKDNFLLYFWDCWHQLKEKTTDGLPMPVLFQFLTLLAFKIFLSEKVSFIYLFTLLD >DRNTG_17722.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4053529:4054252:-1 gene:DRNTG_17722 transcript:DRNTG_17722.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLSKLFSNLHNTLCTVKNLYHSLLIHSFAIWLNIHDYHGQQVILNKKSPTVQSIKKKPHKQVPKKNNKKKNKKKGN >DRNTG_09200.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4792553:4796106:1 gene:DRNTG_09200 transcript:DRNTG_09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIELEEFKNATRNGARLKLLDTYRLSLLRPDGHSGPYRTFHPFDKNSVRGPNDCLHWCLPGPIDSWNDLVMDLVLNGN >DRNTG_23714.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:756936:757340:-1 gene:DRNTG_23714 transcript:DRNTG_23714.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein XRCC4 [Source:Projected from Arabidopsis thaliana (AT3G23100) UniProtKB/Swiss-Prot;Acc:Q682V0] MKAPAEDNESTDKTESFDEESSDDQIKEEPAEKSYDDVLIISKKNVATSTQNVRKRKTRK >DRNTG_23714.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:756936:759781:-1 gene:DRNTG_23714 transcript:DRNTG_23714.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein XRCC4 [Source:Projected from Arabidopsis thaliana (AT3G23100) UniProtKB/Swiss-Prot;Acc:Q682V0] MESDRHTCLKLELPSGHGPIFVKGTWFNSHFHLFITDGLHAWTCHATEAEVRLRAEQWDQPVSDYLALVERYLGLQQPGSCYAFEDAGNGSRRLSWTFEKQGTKLEWRWKCQPSSDDKQTTAQILDFLMDANIHLSDEVVRNSQAFAKLKSEAERCLSLSEKYNKEKDAYETAVYGKFVAVLNSKKAKLRALRDKISKLEGSMKAPAEDNESTDKTESFDEESSDDQIKEEPAEKSYDDVLIISKKNVATSTQNVRKRKTRK >DRNTG_21687.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1014442:1017490:-1 gene:DRNTG_21687 transcript:DRNTG_21687.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diaminopimelate epimerase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53580) UniProtKB/Swiss-Prot;Acc:Q9LFG2] MSVEAPEKSRKISFLDRKESGVLHFVKYHGLGNDFILVDNRNSLEPSVTPEQAVKLCDRNFGIGADGVIFAMPGVNGTDYSMRIFNSDGSEPEMCGNGVRCFARYVADLENLTGTRSFTIHTGAGLIVPEIQEDGKVKVNMVDGISWKVTCVSMGNPHCVTFGNERSEGLQVDDLKLAEIGPKFEHHQMFPSRTNTEFVEVISRTHLKMRVWERGAGATLACGTGACALVVAAVLEGRIERSCTVDLPGGPLEIEWREDDNLVYMTGPAEVVFYGSIPI >DRNTG_12133.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11487877:11489706:1 gene:DRNTG_12133 transcript:DRNTG_12133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAKEKPHAVCMPIPAQGHINAMMQFAKVLHLHVFHITFIHTEFNYNRILKSRGPSSLQGLPDFRFETIPDGLPPSDENIPQDVKQVCQSTQEHCAIPFHNLVVKLRNSSAAGVPRVSCIISDIVTKFTLPTSQELHIPNIFFCSLSACGFWGFFNYQQLMDKGLVPFKSEDDLINGHLNKEMDWIPGLKNMRIRDIPSNIRTTDPEDFWFKFLKDETQCAMHATAIIFNTFDDLEREVLETMSPVLPPMYSIGPLSLLLKEMPTSPLESAGSNLWKENPGCIEWLDAKREGSVVYVNFGSIAVMSNKQMVEFAWGLANCGHDFMWVIRSDLVKGENAMLPEELLNEITESGRGFLAGWCPQEKVLMHASVGGFLTHCGWNSTMESICAGVPMICWPFFGDQQTNCHYACSEWGIGMEIENNVKRDEVEGMIRVLMDGDKGKDMKKTALEWKRLATMAVKQEGSSMNNLKTLINRVLQPKQ >DRNTG_04593.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19448315:19451361:1 gene:DRNTG_04593 transcript:DRNTG_04593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENACPRAWTITRSLTGAYHLWMSSEQKCTPMRKFHMGVCFLSNLFGSCTSPTALEHFLWRLLTLFIFRRTFFRIPGVDGTSSVEPSIITSSFSSSWSNKPS >DRNTG_21229.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:189665:194888:-1 gene:DRNTG_21229 transcript:DRNTG_21229.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLELLFSHGRRHLSAPKPPFLGFLRFFSSDSSTVIKPISYPVKPQESSSSDAPSQTPAPQPESDSSSARPEATVPDSSSEQPIPRPWTRADARFVKDAPRISPVSYPTKVAPLPEDRTSEATEGGDDALKREAREIESNERVKSYFRVQAEEVIPFPTLIKQEKRPQKLPMDLGEAIREMKASTTTKKRNFLETVEAHVNLGVDPRRGDQMVRGALTLPHGSGKTVRVAVFAEGAAAEEAKAAGADIVGGDELIEEIKTGGGKINFDKCIATPMFMPRLSKIARILGPSWFNAKSQIKEAKSGRIDFKIDKTAIIHVGLGKVNFSDEALRENIGAFVNALLLAKPVGLKKTSKYAGYVKNFTLSSTMGPGFPVSIQSLSVAADNYVKLQVK >DRNTG_21229.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:189665:194888:-1 gene:DRNTG_21229 transcript:DRNTG_21229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLELLFSHGRRHLSAPKPPFLGFLRFFSSDSSTVIKPISYPVKPQESSSSDAPSQTPAPQPESDSSSARPEATVPDSSSEQPIPRPWTRADARFVKDAPRISPVSYPTKVAPLPEDRTSEATEGGDDALKREAREIESNERVKSYFRVQAEEVIPFPTLIKQEKRPQKLPMDLGEAIREMKASTTTKKRNFLETVEAHVNLGVDPRRGDQMVRGALTLPHGSGKTVRVAVFAEGAAAEEAKAAGADIVGGDELIEEIKTGGGKINFDKCIATPMFMPRLSKIARILGPSWFNAKSQTRVCDQ >DRNTG_21229.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:189665:194888:-1 gene:DRNTG_21229 transcript:DRNTG_21229.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARLELLFSHGRRHLSAPKPPFLGFLRFFSSDSSTVIKPISYPVKPQESSSSDAPSQTPAPQPESDSSSARPEATVPDSSSEQPIPRPWTRADARFVKDAPRISPVSYPTKVAPLPEDRTSEATEGGDDALKREAREIESNERVKSYFRVQAEEVIPFPTLIKQEKRPQKLPMDLGEAIREMKASTTTKKRNFLETVEAHVNLGVDPRRGDQMVRGALTLPHGSGKTVRVAVFAEGAAAEEAKAAGADIVGGDELIEEIKTGGGKINFDKCIATPMFMPRLSKIARILGPSWFNAKSQSEFLPLSI >DRNTG_13176.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000599.1:7289:9561:-1 gene:DRNTG_13176 transcript:DRNTG_13176.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGCQKEIMFLLNDLPGNDFNTIFRSLSFYEKKVKEENGNRVLPYFVAGVPGSFYGRLFPRNSLHFAHSSYCLMWLSQVPVGIENGGVNINQGNIYISRTSPPIVLKLYVEQFKRDFSSFLKCRSQELVNGGQMILSFLGRKSSDPSKAELCHFYDLVADALNSMVQEGLLEEEKVNTFNMPLYAASKEEVQQVIQSEGSFYIEQMQILESNWDPFDDSDDDKVFDNVMSGHNVAKYKRAVLEPLLVRHFGEQAFVDQVFARYAQNVAMHLLKEKTKHIVFILALKAKYLNN >DRNTG_33304.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23738448:23739640:-1 gene:DRNTG_33304 transcript:DRNTG_33304.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISTSSKTSSSPSAPLSTTSSGTTALPSCTLSIPPANAAQSTSTSAFSALIGSTALTISASSMLMASCAMSGRRWTSSGTMRMSSPNALFTGSSTLEELLM >DRNTG_33304.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23738481:23739814:-1 gene:DRNTG_33304 transcript:DRNTG_33304.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISTSSKTSSSPSAPLSTTSSGTTALPSCTLSIPPANAAQSTSTSAFSALIGSTALTISASSMLMASCAMSGRRWTSSGTMRMSSPNALFTGSSTLEELLM >DRNTG_33304.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23738448:23739814:-1 gene:DRNTG_33304 transcript:DRNTG_33304.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISTSSKTSSSPSAPLSTTSSGTTALPSCTLSIPPANAAQSTSTSAFSALIGSTALTISASSMLMASCAMSGRRWTSSGTMRMSSPNALFTGSSTLEELLM >DRNTG_33304.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23738278:23739814:-1 gene:DRNTG_33304 transcript:DRNTG_33304.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISTSSKTSSSPSAPLSTTSSGTTALPSCTLSIPPANAAQSTSTSAFSALIGSTALTISASSMLMASCAMSGRRWTSSGTMRMSSPNALFTGSSTLEELLM >DRNTG_33304.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23738084:23739640:-1 gene:DRNTG_33304 transcript:DRNTG_33304.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISTSSKTSSSPSAPLSTTSSGTTALPSCTLSIPPANAAQSTSTSAFSALIGSTALTISASSMLMASCAMSGRRWTSSGTMRMSSPNALFTGSSTLEELLM >DRNTG_33304.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23738481:23739640:-1 gene:DRNTG_33304 transcript:DRNTG_33304.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISTSSKTSSSPSAPLSTTSSGTTALPSCTLSIPPANAAQSTSTSAFSALIGSTALTISASSMLMASCAMSGRRWTSSGTMRMSSPNALFTGSSTLEELLM >DRNTG_33304.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23738278:23739640:-1 gene:DRNTG_33304 transcript:DRNTG_33304.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISTSSKTSSSPSAPLSTTSSGTTALPSCTLSIPPANAAQSTSTSAFSALIGSTALTISASSMLMASCAMSGRRWTSSGTMRMSSPNALFTGSSTLEELLM >DRNTG_16258.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9522818:9528911:-1 gene:DRNTG_16258 transcript:DRNTG_16258.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGILAMRTTNSGKRKRSKANSGLMDESSRDAEKMRFACHQCFHSFEQNVVICSSCERKRYCYNCIAKWYPEQTREDVQAACPVCRGNCNCKACLRASVNLKINRQEADDHVRLQHLLYLLYRLLPVLRQIDDEHRSEVILEAMTQGIEASQVDVVRTKLDKEERIYWTT >DRNTG_16258.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9524971:9528911:-1 gene:DRNTG_16258 transcript:DRNTG_16258.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGILAMRTTNSGKRKRSKANSGLMDESSRDAEKMRFACHQCFHSFEQNVVICSSCERKRYCYNCIAKWYPEQTREDVQAACPVCRGNCNCKACLRASVNLKINRQEADDHVRLQHLLYLLYRLLPVLRQIDDEHRSEVILEAMTQGIEASQVDVVRTKLDKEERIYW >DRNTG_16258.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9494802:9528911:-1 gene:DRNTG_16258 transcript:DRNTG_16258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILAMRTTNSGKRKRSKANSGLMDESSRDAEKMRFACHQCFHSFEQNVVICSSCERKRYCYNCIAKWYPEQTREDVQAACPVCRGNCNCKACLRASVNLKINRQEADDHVRLQHLLYLLYRLLPVLRQIDDEHRSEVILEAMTQGIEASQVDVVRTKLDKEERIYCDNCYTSVVDFHRECLKCSYDLCLACCQELRDGHQPGGSEAFSAHQKFMERQHQNFCVDGEKNVPRKRFCWETQDPPVLNDCVVGLPCSFPDWKANSDGSIPCPPKELGGCGDGLLVLKRNFKANWVVKLLKNAEELTRSFQNLDVNTAFVCPLCDSVCSSTEMTEGSSGVRKAAGRDNSHDNFLYCPFSSDLGVDDMDHFQKHWMRGEPVIVRGVLDKTVGLSWEPMVMWRAVRETKSKKFKEEGRVVRAIDCLDWCEVEINIHQFFKGYLEGRVHKNGWPEMLKLKDWPPSSLFEERLPRHGAEFISSLPFHEYTHPQFGLLNLATKIPDGRVKPDLGPKTYIAYGFREELGRGDSVTKLHCDISDAINVLTHTSEVKMARWQQDKIKQMQKKHRDEDILELYKNAGNLSEKEAVKENEGSCEHPELLNYECKITDASFNTLENNIKAEKCIGSTVEFNSPIVMNGVSGGDESALCRNLCFNGSKNIMCECQSDWKTISLSSLSGDGQSSSNQHEFKFQIENETVCNKHDKIPERGLCKTCSSTLHADVKDKTAVEDESLADAASEDQKVAVSGMVGPSLGSEYLEHRNIPNISFGGAVWDIFRRQDVPKLIEYLQKHRNEFRHIDNLPLDSVIHPVHDQTFFLNERHKRQLKEEFDVEPWTFEQYLGEAVFIPAGCPHQVRNRQSCIKVALDFVSPENIGECVKLTKEFRLLPKTHRAKEDKLEVKKMALYAASSAIREAMELQSKLRVVV >DRNTG_16258.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9499986:9507357:-1 gene:DRNTG_16258 transcript:DRNTG_16258.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERQHQNFCVDGEKNVPRKRFCWETQDPPVLNDCVVGLPCSFPDWKANSDGSIPCPPKELGGCGDGLLVLKRNFKANWVVKLLKNAEELTRSFQNLDVNTAFVCPLCDSVCSSTEMTEGSSGVRKAAGRDNSHDNFLYCPFSSDLGVDDMDHFQKHWMRGEPVIVRGVLDKTVGLSWEPMVMWRAVRETKSKKFKEEGRVVRAIDCLDWCEVEINIHQFFKGYLEGRVHKNGWPEMLKLKDWPPSSLFEERLPRHGAEFISSLPFHEYTHPQFGLLNLATKIPDGRVKPDLGPKTYIAYGFREELGRGDSVTKLHCDISDAINVLTHTSEVKMARWQQDKIKQMQKKHRDEDILELYKNAGNLSEKEAVKENEGSCEHPELLNYECKITDASFNTLENNIKAEKCIGSTVEFNSPIVMNGVSGGDESALCRNLCFNGSKNIMCECQSDWKTISLSSLSGDGQSSSNQHEFKFQIENETVCNKHDKIPERGLCKTCSSTLHADVKDKTAVEDESLADAASEDQKVAVSGMVGPSLGSEYLEHRNIPNISFGGAVWDIFRRQDVPKLIEYLQKHRNEFRHIDNLPLDSVIHPVHDQTFFLNERHKRQLKEEFDVEPWTFEQYLGEAVFIPAGCPHQVRNRQSCIKVALDFVSPENIGECVKLTKEFRLLPKTHRAKEDKLEVKKMALYAASSAIREAMELQSKLR >DRNTG_16258.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9524971:9528911:-1 gene:DRNTG_16258 transcript:DRNTG_16258.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGILAMRTTNSGKRKRSKANSGLMDESSRDAEKMRFACHQCFHSFEQNVVICSSCERKRYCYNCIAKWYPEQTREDVQAACPVCRGNCNCKACLRASVNLKINRQEADDHVRLQHLLYLLYRLLPVLRQIDDEHRSEVILEAMTQGNLICIPHSFAT >DRNTG_23702.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:644522:649847:-1 gene:DRNTG_23702 transcript:DRNTG_23702.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 17 [Source:Projected from Arabidopsis thaliana (AT3G54650) UniProtKB/Swiss-Prot;Acc:Q8W104] MLRQPAKGSAPPLPQPKCAVPKKRGSYNCGRCGLPKKGHVCSSDAPPPRSDSRLRRALSYDDLPEEVAETVGVKGEEVEEEDEWGMLVPLPGRCLVEVLRRLPLKELIGASAVCRGWKECVRRVWRSAEELRLRVSPRSQIGFVGSLLPKCSGLTKLVLTLESDVDATLLACVAFSCPNLEAFEISTAGTAANWMTGDELGRFVADKRCLTSLKVEGCTNLGSLNLSSSSLSILWLSDLYCMSKMVINCPILKELSLDFTRQENDSTDFISMMDSLGRTCPRLRNLHVASIKLSNEAVLALTSVDLRGLRMLSLVLGSKITDSSVAAIVSCYANIEMLDLSGSSISDNGIGMICNAFPQTLSRLLLALCPNITSSGIQFATAQLPYLQLIDCGMSLCDFSSRNDDLEDITEIEENQWGQKSNSRTHPIYQKLIIKHGRLKKLSLWGCSGLDALYLNCPELNDLNLNSCTNLHPERLLLQCPNLENIHASGCQDSLIEAIEDQVFNKLNTKENQLPSKRLADGSKRVQAPKFIQQQPSDDSKQKRPRLTQCSLHLDR >DRNTG_00813.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12025930:12026297:1 gene:DRNTG_00813 transcript:DRNTG_00813.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQGYTTFGYMKMQMVVAHGGRCAERILFGDDISDGGRVDLEKITK >DRNTG_22809.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9257298:9268128:1 gene:DRNTG_22809 transcript:DRNTG_22809.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTEIEYTQSEGRGDTPLSHDSPSQPSLILKF >DRNTG_06190.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25233329:25237198:1 gene:DRNTG_06190 transcript:DRNTG_06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVNGRDVKQAFLNIYLRLKDDLLSDPAFDYTDDARAWIERMLDYNVPRGKLNRGISVVDSYKLLKEGIELGENEFFLSCALGWCIEWLQAYFLVLDDIVDDSHTRRGQPCWFRVPKVGLIATNDGTLLRNHIPRILKRYFRDKPYYVDLLDLFNEVEFQTASGQMLDLITTHEGEQDLSKYKLPVYNRIVQYKTAYYSFYLPVACALLMSGENLDNFVGVKNILVEMGTYFQVQDDYLDCFGDPEVIGKIGTDIEDFKCSWLVVQAIERANEDQMKILHENYGKKDAACVAKVKALYKELNLQTVFSEYESKSYEKLILNIEAEPSKAVQEVLKSFLHKIYKRQK >DRNTG_12043.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1832424:1835767:1 gene:DRNTG_12043 transcript:DRNTG_12043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSATTTTNITISEQADEEACLHAMQLVSSSILPMTFKAAIELNLFNIISAASPNSLSAIEITSLLPSSTPSTPIMLDRILRLLSSYSILTCSLSTDPISGAVTHRYAAAPVVKYLAQNEDGFTLSALGLMNQDKVLMESWYYLKDTVLNGGIPFNMAHGMTSFEYHGTDPRFNKVFNEGMKNHSAIIMKRILEKYRGFDDVKVLVDVGGGVGGTLAQVVAKHKHIKGINFDLPHVISEAAPIPGVEHIGGDMFESVPSGDAIFMKWILHDWSDEHGLKILKNCWKALPENGKVILVECILPVAPENTFAAQSVFHLDMIMLAHNPGGKERTAQEFESMAKQAGFSAMKPYFSFAGAWVIELFK >DRNTG_26766.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18447077:18447561:-1 gene:DRNTG_26766 transcript:DRNTG_26766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSPGDAANSLQRPVFCVALRLSGLITFFMCPLARDLWDRLALLFFLSQVSPRLLWTFRAATAREMHMLEKSIAAVRRYIQFSSPLHSRSYILRGTISPS >DRNTG_20324.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5745274:5751228:-1 gene:DRNTG_20324 transcript:DRNTG_20324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLLLLPPLLLLILPTLILLNGPWKAPFRPSDLLPLLPRPVSWTILSSLHSAVDLLPTFIGAATSSPNSSLNWKGACFYNNTAWMVFHNKSSSPFGGGTLHIKASKAHSWTCIDLYIFATPYRVTWDYYFLAREHTLDFKEWETEAELEYVKQNGVSIFLMKSGMIGTLQALWDVFPLFSNSRWGENSNLAFLKKHMGATFEQRPQPWVSNINVDDIHSGDFLAISKIRGHWGGFETIEKWATGSFAGHTAVCLKDSEGKLWVGESGHDNDKGETVIVVLPWEEWWAFELAQDDADPHIALLPLHPDIRAKLNVTAAWEYVRSMSGKPYGYHNMIFSWIDTIHGNYPPPLDAHVCWSLNSLSLHSSLGSHLTGANLIFTVAHFVEISQGFDAMQAASIMTVWSKLQPNYTDLWNEALNKRLGTKGLDLPEIIVEAERRGSSFDELLTVPEQDDWLYADGRSTSCVAYIVEMYKQAGLFHPITDSIQATEFTIKDAYILKFFEDNSSRLPEWCNEHDDVKLPFCQITGKYRMELPDYNTIEPYPHMNERCPSLPPKYIRPDGC >DRNTG_07257.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22918690:22919150:1 gene:DRNTG_07257 transcript:DRNTG_07257.1 gene_biotype:protein_coding transcript_biotype:protein_coding CQHQKHFCSSNDANQNGNGGRSYWPLVDGLCGI >DRNTG_05638.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6260176:6264034:1 gene:DRNTG_05638 transcript:DRNTG_05638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSLLPSKPPKRSPVFEAARAGEIETLVSKSLKEVLVSATDLTGLTSGRSREFSGPRAKESVVFFSDGPETNASASGSVCEVIPSAVIANQGESLEFGKELQESSGESELQSSGGETEEQRMNVGESRDLDAVKEEKVVTLGDLTNDGASNEEKDVNLVVSAEKRVTDGGCAEISAGVAPVKRRRPRERRVPSTPFSRALGFAGLGAGLAWGTLQESAKRLVFGTPDLEDKQSAISPFLSEQNAERLALALCRMRGAALKLGQMLSIQDDSLVPKPILAALDIVRQGADVMPRSQLNDVLDSELGLNWSSKLRSFDYEPLAAASIGQVHRAVLKDGLEVAMKIQYPGVAESIESDIDNVRRLLDYTNLIPKGLFLDRAIKVAKEELARECDYLLEAANQKHFRELLSNSEGYYVPMVIDDISSKKVLTTELVTGVPVDKVALLDQETRNYVGKKLLELTLKELFAFRFMQANPNWSNFLYDEPTKMINLIDFGAAREYPQNFVDDYLRMVIACANSDRDAVIEMSTRLGFLTGEESEVMLDAH >DRNTG_21271.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4900981:4901235:1 gene:DRNTG_21271 transcript:DRNTG_21271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRQGHEYLGGSEPSHGHGKSLESFLDHVMLGECWVLCLFISKP >DRNTG_09119.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:88896:94720:1 gene:DRNTG_09119 transcript:DRNTG_09119.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTHLLLEEPIRMASILEPSKPSFFPAMTKIVGTLGPKSRSVEVISSCLKAGMSVARFDFSWGDIDYHQETLENLKLAVKSTKKLCAVMLDTVGPELQVLNKSERAISLEAEAFVTLTPDQEKEASSELLPINFSGLSKAVKTGDTIFIGQYLFTGSETTSVWLEVTELKGDDVVCVIKNTATLAGSLFTLHISQIRIDLPTLSDADKDVICKWGVKNNIDFLSLSYTRHAEDVRQAREFLSKLGDLHQTQIFAKIENIEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAAVYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISIVGKICAEAEKVFNQDLYFKKTVKYVGEPMTHLESIASSAVRAAIKVKASVIIVFTSSGRAARWYCS >DRNTG_09119.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:88896:94720:1 gene:DRNTG_09119 transcript:DRNTG_09119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTHLLLEEPIRMASILEPSKPSFFPAMTKIVGTLGPKSRSVEVISSCLKAGMSVARFDFSWGDIDYHQETLENLKLAVKSTKKLCAVMLDTVGPELQVLNKSERAISLEAEAFVTLTPDQEKEASSELLPINFSGLSKAVKTGDTIFIGQYLFTGSETTSVWLEVTELKGDDVVCVIKNTATLAGSLFTLHISQIRIDLPTLSDADKDVICKWGVKNNIDFLSLSYTRHAEDVRQAREFLSKLGDLHQTQIFAKIENIEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAAVYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISIVGKICAEAEKVFNQDLYFKKTVKYVGEPMTHLESIASSAVRAAIKVKASVIIVFTSSGRAARWYCS >DRNTG_16641.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1975169:1979991:1 gene:DRNTG_16641 transcript:DRNTG_16641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTASEKTQASYDSSSKVDTGNDGEDTATGDSVVAAEGGDSLGQEAGENNVASLSEDASGLPELSECELDSLKDDVGVSLDQDAGKNKGVTQFEEVSADLHTNSHGGEPDVDADDGGVVSSEVVASAPVLVEEIKSRDVVFGDSKSLEADDDENVGDVEPDEEEEGLVSNGPARVAILESSETAKQIIKELEGGSSSNSSQDYRNAIEGQIASDSDEEVDTDEEGDGKELFDSAALAALLKAATGASGEGGITISSGDSARIFAVDRPAGLGSSGSSLKPLPPRAGRSNVLTPTDLAVTAEPENNMDEEEKKLHEKVEMIRVKFLRLIQRLGHSADDTVASQVLYRLSLAEGIRRGRQMNRASSLENAKKKARQLEAEGKDDLDFSCNILVLGKSGVGKSATINSIFGEEKSKTSAFELATTSVKEISGIVDGVKIRVIDTPGLKASAIEQAANRKILSSIKNYTKRCPPDIVLYVDRMDTQTRDFNDLPLLRSITSILGSSIWFNAIVALTHAASAPPDGLNGTPLSYEVFIAQRSHVVQQSIRQAASDVRLMNPVALVENHPSCRRNREGEKVLPNGQSWRPQMLLLCYSSKILSEANSLLKLQDANPGKLFGFRLRAPPLPFLLSSLLQSRTHPKLSSDQGIDNGDSDIDLDDLSDSDQEDEEDDYDQLPPFRPLKKAQIAKLTKEQKKAYYEEYDYRVRLLQKKQWKEEIRRLKEMKKRGKAAPNEYAYGDMAEDYDQDAAPAAVPVPLPDMVLPPTFDSNNPAYRYRFLEPTSQLLTRPVLDTHGWDHDCGYDGVSIEQNLALLSRFPAGVSVQITKDKKDFNIHLDSSISGKHGENVSTLAGLDVQTVGKQLAYILRSETKFKNFKKNKTTGGVSVTFLGETVATGLKLEDQISIGRQLSLVASTGAIRAQGDTAYGANLEARLREKDYPIGQVLATLGLSLMSWRGDLALGANVQSQLSAGRNYKVAVRGSLNNKLSGQITVKTSSSEQLQIALLAIIPVAISIFRKVWPGESYPMH >DRNTG_11554.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15848920:15850613:-1 gene:DRNTG_11554 transcript:DRNTG_11554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMSNKRFLFCPLEGRECDNVHASPVLLISCRVR >DRNTG_21744.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13561605:13562406:1 gene:DRNTG_21744 transcript:DRNTG_21744.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQRKSKKEKGKRRRSNETHPYLKASQNPKGKHFSIEEEEIFEFLLDSRQGMMGLRSGDEGSKGGGKWKRK >DRNTG_26952.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30161810:30163290:1 gene:DRNTG_26952 transcript:DRNTG_26952.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFHSVGILSSRVVTLGSIEIFDTHEWFGSKPNVYFQCRGENRTFLPDVKQSHLQYTFKGEESWQPLTELNEKKCKRCGLFEMDSFKPDDVFDEWELCPGDFVDGKYVQFKDREVNATLICLECSDSNGTQSSSSNGASITRKSSVALVVVICLLTSIIMIAVTTVIYKYWQKRKREQDQARFLKLFEEGDDIEDELGLDPV >DRNTG_08896.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27559382:27562068:-1 gene:DRNTG_08896 transcript:DRNTG_08896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVAVNESVEGYKPEPDFLEDSKEPLLDIEVNDSTEFWLIQWPINQLQPVDFNGKELSLKLHPDGRLGGFESSSGKSYDVVSFAAQEPNATVFVSSPNESKVVGRVSRRVCLVHYPEPEELEKSATGRRSEGPMSRSMSRLTGSRLRSGSNRGTGATHDTLTSAHSMDQRSEELSPKSSKKRRKNTSAPIVDTPTRSAGRPSHASEPESQMTNTTSGSELSRGDKSKKKKKNKTKVEE >DRNTG_03564.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5305564:5308716:-1 gene:DRNTG_03564 transcript:DRNTG_03564.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALVEASPPEMATLAEALVVLVRDVSAMREFTGATGALRLECVRLVRNVSLLSHLLEEIQDSAGNAGAACVASSSSSSSSSSSSSRAACPVSCLADLLFAVEAVKRFLQLVCGSVSDFAWKNIALQLQYVTWQLEKVLRNLPYDFFGISDEVQEELRRATEKIGALNIKVFSEIYCIQLHAHGKEYKHLSSSLSGCFQIDNASYDYHDFRDIFVLVTQINFKSKCDADQMQCNVAESPKTTRPSGPLRAIVTDTNPILEAKMKEDTCSNHIAIPEDFRCPITLELMRDPVIVSTGQTYERSSIQRWIDCGNQTCPKTQQKLQNLTLTPNYVLRSLIMQWCETHNVEQPCRISGKLRKCNGSFMEVCGDQTTIDALVHKLSSSSNEERKSAVADIRSLAKRNSGNRILIAEAGAIPTLVDLLSDSDPKIQEHAVTSILNLSIYDNNKEIIMLAGAIDPIIQVLQTGSMEARENAAAAIFSLSVIDENKITIGSIPGAIEALVELLNFGSSRGKKDAATALFNLCVYQGNKARAIRVGILTPLLKMLKDSSSYCMVDEVLTILSVLVSHREGKVAIAKACIIPLLIDLLRTGQPRSKENAAAILLALCKKDGENLACVGRLGAFIPLTELARSGTNRAKRKASSLLEHLRKLHML >DRNTG_03564.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5305564:5308826:-1 gene:DRNTG_03564 transcript:DRNTG_03564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALVEASPPEMATLAEALVVLVRDVSAMREFTGATGALRLECVRLVRNVSLLSHLLEEIQDSAGNAGAACVASSSSSSSSSSSSSRAACPVSCLADLLFAVEAVKRFLQLVCGSVSDFAWKNIALQLQYVTWQLEKVLRNLPYDFFGISDEVQEELRRATEKIGALNIKVFSEIYCIQLHAHGKEYKHLSSSLSGCFQIDNASYDYHDFRDIFVLVTQINFKSKCDADQMQCNVAESPKTTRPSGPLRAIVTDTNPILEAKMKEDTCSNHIAIPEDFRCPITLELMRDPVIVSTGQTYERSSIQRWIDCGNQTCPKTQQKLQNLTLTPNYVLRSLIMQWCETHNVEQPCRISGKLRKCNGSFMEVCGDQTTIDALVHKLSSSSNEERKSAVADIRSLAKRNSGNRILIAEAGAIPTLVDLLSDSDPKIQEHAVTSILNLSIYDNNKEIIMLAGAIDPIIQVLQTGSMEARENAAAAIFSLSVIDENKITIGSIPGAIEALVELLNFGSSRGKKDAATALFNLCVYQGNKARAIRVGILTPLLKMLKDSSSYCMVDEVLTILSVLVSHREGKVAIAKACIIPLLIDLLRTGQPRSKENAAAILLALCKKDGENLACVGRLGAFIPLTELARSGTNRAKRKASSLLEHLRKLHML >DRNTG_15429.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17914822:17928976:1 gene:DRNTG_15429 transcript:DRNTG_15429.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSEEDSGLDRSLNSRGGADKISCVRHGSFSSFLNGRRRSAWEISLREWLDRPGRPVDMLQCLHVFQQVVDVVGVAHSQSVVLANARPSCFVVSSFNRVAFVESVSCSSSGSESCGRRPGSVLDAQVEDGRKEVGGGGWPRNAVSEMNDFPMKRMLVIELDWYTSPEEVDGCAGSLASDVYRLGVLLFELFCMFNTLDEKLRTMANLRHRVLPPQLLLKWPKVASFCLWLLHPQPSNRPKMSEVLQSEFLNETRDSLEQREAALKLKEEIEGQELLLEFLLELQQRKQETANKLHDTICFLSADIEEVVNRHSALKEKGSTYMELNKACYSEVEKVDHPLCYSAVIEDSAFSGSRKRSRPELEHYEVEDRSDNLAEGFRSERVPEVQQDILSKNSRLMKNFNKLEAAYFSSRCRLLKPGGKFINKKLTSSGGTGQGSIARTEVSSIDDLLPKEACNAARRSGWIDPFLEGLCKYLSYSRLKVRADLKQGDLLNSSNLVCSLGFDRDKEFFATAGVNRKIKVFECNMILNEERDIHYPVIEMGSGSKVSSICWNSYIKNQIASSDFEGVVQVWDVTRSQVFAEFREHERRVWSVDFSVADPTKLASGSDDGSVKLWNINQGGSIGTIRTKANICSVQFPPESSRSLAIGSADHNIYFYDLRNVRLPWCTLVGHSKTVSYVKFVDSSTLVSASTDNSLKLWDLSGNVSRLHDNPLQTFTGHTNIKNFVGLSIYDGYIATGSETNEVFVYHKAFPMPVLSYKFETVDPITGREVDDTSQFISCVCWRGQSSMLVAANSSGNIKLLEMV >DRNTG_15429.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17914822:17928976:1 gene:DRNTG_15429 transcript:DRNTG_15429.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSEEDSGLDRSLNSRGGADKISCVRHGSFSSFLNGRRRSAWEISLREWLDRPGRPVDMLQCLHVFQQVVDVVGVAHSQSVVLANARPSCFVVSSFNRVAFVESVSCSSSGSESCGRRPGSVLDAQVEDGRKEVGGGGWPRNAVSEMNDFPMKRMLVIELDWYTSPEEVDGCAGSLASDVYRLGVLLFELFCMFNTLDEKLRTMANLRHRVLPPQLLLKWPKVASFCLWLLHPQPSNRPKMSEVLQSEFLNETRDSLEQREAALKLKEEIEGQELLLEFLLELQQRKQETANKLHDTICFLSADIEEVVNRHSALKEKGSTYMELNKACYSEVEKVDHPLCYSAVIEDSAFSGSRKRSRPELEHYEVEDRSDNLAEGFRSERVPEVQQDILSKNSRLMKNFNKLEAAYFSSRCRLLKPGGKFINKKLTSSGGTGQGSIARTEVSSIDDLLPKEACNAARRSGWIDPFLEGLCKYLSYSRLKVRADLKQGDLLNSSNLVCSLGFDRDKEFFATAGVNRKIKVFECNMILNEERDIHYPVIEMGSGSKVSSICWNSYIKNQIASSDFEGVVQVWDVTRSQVFAEFREHERRVWSVDFSVADPTKLASGSDDGSVKLWNINQAILLLHLVEEALELLEQKLIYVLSNFHLNLLARLQLALLIIIYTSMTFVT >DRNTG_15429.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17914822:17928976:1 gene:DRNTG_15429 transcript:DRNTG_15429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSEEDSGLDRSLNSRGGADKISCVRHGSFSSFLNGRRRSAWEISLREWLDRPGRPVDMLQCLHVFQQVVDVVGVAHSQSVVLANARPSCFVVSSFNRVAFVESVSCSSSGSESCGRRPGSVLDAQVEDGRKEVGGGGWPRNAVSEMNDFPMKRMLVIELDWYTSPEEVDGCAGSLASDVYRLGVLLFELFCMFNTLDEKLRTMANLRHRVLPPQLLLKWPKVASFCLWLLHPQPSNRPKMSEVLQSEFLNETRDSLEQREAALKLKEEIEGQELLLEFLLELQQRKQETANKLHDTICFLSADIEEVVNRHSALKEKGSTYMELNKACYSEVEKVDHPLCYSAVIEDSAFSGSRKRSRPELEHYEVEDRSDNLAEGFRSERVPEVQQDILSKNSRLMKNFNKLEAAYFSSRCRLLKPGGKFINKKLTSSGGTGQGSIARTEVSSIDDLLPKEACNAARRSGWIDPFLEGLCKYLSYSRLKVRADLKQGDLLNSSNLVCSLGFDRDKEFFATAGVNRKIKVFECNMILNEERDIHYPVIEMGSGSKVSSICWNSYIKNQIASSDFEGVVQVWDVTRSQVFAEFREHERRVWSVDFSVADPTKLASGSDDGSVKLWNINQGGSIGTIRTKANICSVQFPPESSRSLAIGSADHNIYFYDLRNVRLPWCTLVGHSKTVSYVKFVDSSTLVSASTDNSLKLWDLSGNVSRLHDNPLQTFTGHTNIKNFVGLSIYDGYIATGSETNEVFVYHKAFPMPVLSYKFETVDPITGREVDDTSQFISCVCWRGQSSMLVAANSSGNIKLLEMV >DRNTG_15429.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17914822:17918356:1 gene:DRNTG_15429 transcript:DRNTG_15429.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSEEDSGLDRSLNSRGGADKISCVRHGSFSSFLNGRRRSAWEISLREWLDRPGRPVDMLQCLHVFQQVVDVVGVAHSQSVVLANARPSCFVVSSFNRVAFVESVSCSSSGSESCGRRPGSVLDAQVEDGRKEVGGGGWPRNAVSEMNDFPMKRMLVIELDWYTSPEEVDGCAGSLASDVYRLGVLLFELFCMFNTLDEKLRTMANLRHRVLPPQLLLKWPKVASFCLWLLHPQPSNRPKMSEVLQSEFLNETRDSLEQREAALKLKEEIEGQELLLEFLLELQQRKQETANKLHDTICFLSADIEEVVNRHSALKEKGSTYMELNKACYSEVEKVDHPLCYSAVIEDSAFSGSRKRSRPELEHYEVEDRSDNLAEGFRSERVPEVQQDILSKNSRLMKNFNKLEAAYFSSRCRLLKPGGKFINKKLTSSGGTGQGSIARTEVSSIDDLLPKEACNAARRSGWIDPFLEGLCKYLSYSRLKVRADLKQGDLLNSSNLVCSLGFDRDKEFFATAGVNRKIKVFECNMILNEERDIHYPVIEMGSGSKVSSICWNSYIKNQIASSDFEGVVQVWDVTRSQVFAEFREHERRVWSVDFSVADPTKLASGSDDGSVKLWNINQAILLLHLVYVCFETK >DRNTG_15429.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17914822:17928976:1 gene:DRNTG_15429 transcript:DRNTG_15429.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSEEDSGLDRSLNSRGGADKISCVRHGSFSSFLNGRRRSAWEISLREWLDRPGRPVDMLQCLHVFQQVVDVVGVAHSQSVVLANARPSCFVVSSFNRVAFVESVSCSSSGSESCGRRPGSVLDAQVEDGRKEVGGGGWPRNAVSEMNDFPMKRMLVIELDWYTSPEEVDGCAGSLASDVYRLGVLLFELFCMFNTLDEKLRTMANLRHRVLPPQLLLKWPKVASFCLWLLHPQPSNRPKMSEVLQSEFLNETRDSLEQREAALKLKEEIEGQELLLEFLLELQQRKQETANKLHDTICFLSADIEEVVNRHSALKEKGSTYMELNKACYSEVEKVDHPLCYSAVIEDSAFSGSRKRSRPELEHYEVEDRSDNLAEGFRSERVPEVQQDILSKNSRLMKNFNKLEAAYFSSRCRLLKPGGKFINKKLTSSGGTGQGSIARTEVSSIDDLLPKEACNAARRSGWIDPFLEGLCKYLSYSRLKVRADLKQGDLLNSSNLVCSLGFDRDKEFFATAGVNRKIKVFECNMILNEERDIHYPVIEMGSGSKVSSICWNSYIKNQIASSDFEGVVQVWDVTRSQVFAEFREHERRVWSVDFSVADPTKLASGSDDGSVKLWNINQAILLLHLVYVCFETKWRKHWNY >DRNTG_24484.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18891499:18892278:1 gene:DRNTG_24484 transcript:DRNTG_24484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSDLDDKDAGAGPSSTARIRAPSTTSIHVTALDGLVNVNSLFTIAVFVGLSLTTPGQRSLEGISACDAGPDVIRNLLVFEVVAFSFFLFSSLIAQGLKLAINLLNSNDIDDAFRAHINARVLRLGMLGSAVGSVMGCLFLLLSMVNVVQVRLGMLSCGSKAAVRAVAALVTLVTTALVVYISTVFYAFTH >DRNTG_32054.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15332235:15342407:1 gene:DRNTG_32054 transcript:DRNTG_32054.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSPSEVLAQLEELLLAKEKLTVVCITAWDRFLMGFSKYYAQNLDVAYSRKDKSLGLNDARLAQLPPSYITVASVMAAKTESTLTSCGRRQSQELISLAAQERCSSDCRTLKEESKCYHDLATGLHQLLSFKRTRAPPTCLSLKKQVIHDVNMCPLMLLR >DRNTG_20101.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:316931:319675:-1 gene:DRNTG_20101 transcript:DRNTG_20101.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDPTAPSTSQYSYSGAPSYFPLPFHLQNPDPSASAPVAPPYVPQAPITPPVKVPVIPQPFAPAYPAVAPVATATGVYSLPQYQQAQQLFQRDAQTITPEALESVKAALANSEVEHKAESKKRALPRKAAGQTWEDPTLADWPESDFRLFCGDLGNEVNDDILSKAFARFPSFNMARVVRDKRTGKTKGYGFVSFANPTDLAAALKEMNGKYVGNRPIKLRKSNWKERTDFDALERQKNHIQKKPKLPKKSILHK >DRNTG_20101.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:316931:319675:-1 gene:DRNTG_20101 transcript:DRNTG_20101.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDPTAPSTSQYSYSGAPSYFPLPFHLQNPDPSASAPVAPPYVPQAPITPPVKVPVIPQPFAPAYPAVAPVATATGVYSLPQYQQAQQLFQRDAQTITPEALESVKAALANSEVEHKAESKKRALPRKAAGQTWEDPTLADWPESDFRLFCGDLGNEVNDDILSKAFARFPSFNMARVVRDKRTGKTKGYGFVSFANPTDLAAALKEMNGKYVGNRPIKLRKSNWKERTDFDALERQKNHIQKKPKLPKKSILHK >DRNTG_20101.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:317321:319675:-1 gene:DRNTG_20101 transcript:DRNTG_20101.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATDPTAPSTSQYSYSGAPSYFPLPFHLQNPDPSASAPVAPPYVPQAPITPPVKVPVIPQPFAPAYPAVAPVATATGVYSLPQYQQAQQLFQRDAQTITPEALESVKAALANSEVEHKAESKKRALPRKAAGQTWEDPTLADWPESDFRLFCGDLGNEVNDDILSKAFARFPSFNMARVVRDKRTGKTKGYGFVSFANPTDLAAALKEMNGKYVGNRPIKLRKSNWKERTDFDALERQKNHIQKKPKLPKKSILHK >DRNTG_15860.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25294186:25301392:1 gene:DRNTG_15860 transcript:DRNTG_15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNLGERLLDGHGNGHEEQRSDVEEEEETKSFGRRVLEENKKLWRVAGPSIFTRFSTFGVTVISQAFVGHIGPTELAGYALVSTVLVRFANGILLGMASALETLCGQSYGAKQYHMLGIYLQRSWIILFVCAVLLLPIFIFTTPLLKLLGQESSISSMAGIISIWFIPVIFSYVWGFTLQMYLQAQSKNVIITYLAVLTLALHFFFSWLLARKLSLGLDGIMISMIFAMWLPVIGQLGFVFFGGCPQTWTGFSFDAFKDLWPIIKLSLSSGLMLCLELWYNTILVLLTGYMENAEVAIDALSICLNINGWEMMISIGFLAASGVRVANELGAGSAKRAKFAIVNVVATSFFIGFSLFIAFLFFRGSLAYVFTDSLEVASAVGNLSPLLAVSILLNSVQPVLSGVAVGAGLQGVVAYVNITCYYLIGIPLGCLLGYILGFHIKGIWLGMLIGTFVQTLVLLFITCRTDWDKQVVLTKERVSKWYMEERRKASSRNAQRNELA >DRNTG_22442.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23434131:23434499:-1 gene:DRNTG_22442 transcript:DRNTG_22442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKWRSAKQALRTKLCLPMIEDDDDAESSREVEERRSGSSRMTMALGSRLMRSRTLSFFSGSSLSRSGSMASE >DRNTG_13053.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23749919:23750274:-1 gene:DRNTG_13053 transcript:DRNTG_13053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAGELESGNAGEPAKLIRQRYREAADLIAKGKMCALFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADCPTNVQLPGMYNKQENARVPIIVTGNDFSTLYAPLIRDGRMEKF >DRNTG_03882.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3864781:3866096:1 gene:DRNTG_03882 transcript:DRNTG_03882.5 gene_biotype:protein_coding transcript_biotype:protein_coding VINFCSYLEGIFNRQCASHSTLELTESLKAVDFLVEKLKIPTAKDMRHIDRKLKNCLDPSSVDEYFLSTINKS >DRNTG_03882.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3864781:3866096:1 gene:DRNTG_03882 transcript:DRNTG_03882.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKSGKSAPSTNLNEPEARPTECLQKCKFAVKMSSWQQLAVGFHAFWFKCPNMLFKNLLYK >DRNTG_03882.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3855487:3864398:1 gene:DRNTG_03882 transcript:DRNTG_03882.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADFQTSTHRSRWIFSPEDLVEKHETANQRAAKMLEQYGTTRLEVSVDGSLSYPEPIHDQRENERQSTSKPLSCEEEQLMQVFYEQKIQEVCGAFMFPHKIQATAIIYFKRFYLQWSVMEHHPKHIMLTCIYASCKVEENHVSAEELGKGIQQDHKMILNNEMLVLQSLGFDLIVYAPYRPIKGFVADMEEFCQSRIDEPSKFKDLIETAKSEVDKIMLTDAPLLFPPGQVNAIYIADCLMDIFLMILFLFLCLFSEMRLYVFSSFMVHVSLVCHLFPGSKPCLGIY >DRNTG_03882.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3855487:3864398:1 gene:DRNTG_03882 transcript:DRNTG_03882.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADFQTSTHRSRWIFSPEDLVEKHETANQRAAKMLEQYGTTRLEVSVDGSLSYPEPIHDQRENERQSTSKPLSCEEEQLMQVFYEQKIQEVCGAFMFPHKIQATAIIYFKRFYLQWSVMEHHPKHIMLTCIYASCKVEENHVSAEELGKGIQQDHKMILNNEMLVLQSLGFDLIVYAPYRPIKGFVADMEEFCQSRIDEPSKFKDLIETAKSEVDKIMLTDAPLLFPPGQVNAIYIADCLMDIFLMILFLFLCLFSEMRLYVFSSFMVHVSLVCHLFPGSKPCLGIY >DRNTG_03882.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3864781:3866096:1 gene:DRNTG_03882 transcript:DRNTG_03882.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRHIDRKLKNCLDPSSVDESKKREKRSKHKSKRTGSETHGVPSE >DRNTG_03882.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3855487:3866096:1 gene:DRNTG_03882 transcript:DRNTG_03882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFQTSTHRSRWIFSPEDLVEKHETANQRAAKMLEQYGTTRLEVSVDGSLSYPEPIHDQRENERQSTSKPLSCEEEQLMQVFYEQKIQEVCGAFMFPHKIQATAIIYFKRFYLQWSVMEHHPKHIMLTCIYASCKVEENHVSAEELGKGIQQDHKMILNNEMLVLQSLGFDLIVYAPYRPIKGFVADMEEFCQSRIDEPSKFKDLIETAKSEVDKIMLTDAPLLFPPGQLALAALCRVEMRVHRVLDF >DRNTG_23882.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:65467:65791:-1 gene:DRNTG_23882 transcript:DRNTG_23882.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRVDECKGKIEKAKAESTADAEQEHLQNELEEKLQSERLLRQELR >DRNTG_24457.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001303.1:23734:25557:-1 gene:DRNTG_24457 transcript:DRNTG_24457.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLADLKPRFIRFPGGSYVEGEWLRNAFRWRETVGPWEERPGHFGDVWKYWTDDGLGYYEFLQLAEDLGASPVWVINNGNLYQLRKLADCMPKVDFLHLTILYFRNQP >DRNTG_24457.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001303.1:23734:25557:-1 gene:DRNTG_24457 transcript:DRNTG_24457.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLADLKPRFIRFPGGSYVEGEWLRNAFRWRETVGPWEERPGHFGDVWKYWTDDGLGYYEFLQLAEDLGASPVWVINNGISHNDQVDTSTIPAFVQVWLNSSIEQLQVIYFYELI >DRNTG_24457.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001303.1:23734:25557:-1 gene:DRNTG_24457 transcript:DRNTG_24457.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCDIGGSITCPTGGAGIYNPGYWGMNIEQGKTYKLTMYVRSMDAVNLSVSLTSSDGLQNLATANIVTAALDIANWTKVELLLEAEGTNVNSRLQITTAQKGIIWLDQVSLMPLDTYKGKNLEFKV >DRNTG_24457.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001303.1:23734:25773:-1 gene:DRNTG_24457 transcript:DRNTG_24457.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDTYKGHGFRAGLVSMLADLKPRFIRFPGGSYVEGEWLRNAFRWRETVGPWEERPGHFGDVWKYWTDDGLGYYEFLQLAEDLGASPVWVINNGISHNDQVDTSTIPAFVQVWLNSSIEQLQVIYFYELI >DRNTG_24959.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6531183:6531980:1 gene:DRNTG_24959 transcript:DRNTG_24959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKESMFNDWLDFHHFPDQDESSASYRSSSTRK >DRNTG_08402.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4781011:4781413:1 gene:DRNTG_08402 transcript:DRNTG_08402.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichol-phosphate mannose synthase subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G74340) UniProtKB/Swiss-Prot;Acc:Q9CA79] MELADKVVGFLLSAISLTIFIYYTFWVIILPFVETDHFIHGYFLPQEFAILIPVFAGVALLCFLCVFVGYVMLKSKAKKKQT >DRNTG_07726.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2505245:2507313:-1 gene:DRNTG_07726 transcript:DRNTG_07726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVPYSDPNPNPSSNLPWSEMFRSASLRRPPDSLPPSPPKPPRPPPANPHQPSSNEDRQTITLDSQARLALYIAMAHAGLALTILLLYGLYKLLHDFIRPLQWALLCSIPLREAQLAVVDFWAEPLQQGIVPTLLAAPSALFRASTLSLSDLRSAILRRRAPSPSGFPRLVRWLASFWLFTLAFERFGPFSIPFLLLAGPTASAAARHPSIASRRPKPSSSFFTSKILAHMKTIVAIGLIFWMIFGCLAGGIFFSYKIGVEGKDAVMSLKSHVQKSNYAERIGFKQWMDDNDVPGLVDRYSASLYETVWEHVDSLAAQYNLTEFANGFRHFLITPSNSGPSTALSSSPPHPYTLKFQSLRTRVKNREWTEIYSELDAIFRELLITREDLVEKAKGLAFKGIEISKQVLASSTSVLGGSASLVFSVFLLVVSGAAEVLNFLSQLMVFLWVLYYLITSESGGATEQVVGMLPVSKQMRDRFVEVIDKAISSVLLATAKISFYQGCLTWLLFRFCSVHFLYVSTVLAFISPLLPILPPWLSSIPAVAQFFMEGRYIWAFVIAAVHLMLMDYGSSVIQVDIPGHNAYLTGLSILGGLTLFTNALEVLFLSNNSFIIARTSSCFVKFLLKTRINIENLLF >DRNTG_00715.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18416012:18416618:-1 gene:DRNTG_00715 transcript:DRNTG_00715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGTQQGANMVMDNTLMHVRHGCKLADELRRCLPDMAREPHLLLQACEEIVSTFNKAIHGVISQPVFYFPVTGNTDKLHQDPYTSLGILWPSSGYPSTQILEADTMIGSSSGVNQRLGEKSSKRPKNKRKDGEGMHTVRVPAPFSGNTEIPPDDGFTWRKYG >DRNTG_30708.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1746032:1749316:1 gene:DRNTG_30708 transcript:DRNTG_30708.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLNKIKESYCQIRDGEFDAVAIVHSYEEGRPVGSHKTRLSALNVPPVGLFYPTLFATEEYPPPPRTWFHDHEDMLEDTWHMEYPRRPDMSDGLYYGGNGGVNMWDNYPVYQTKLKKEVNLGLAEAITSSILSTGRLDLQRKLFVSIQLIGGVALTSGLVATVEERVLHAIPSNEAIDTVEVLQSRTEPSFVPWKGGAILGILDICREGWIFREDWIKNGIHIGSSRKYKDSYYLQAVALSYISS >DRNTG_14910.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20510142:20512231:1 gene:DRNTG_14910 transcript:DRNTG_14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITGDQVARRRLHKAILLLAAVTLPCTLLYLSPTPSTTWFLLSNPSQPITPSSSSSSQDLRQVLKAAAMADKKTVILTMVNSAWISPGSVMDLFMESFKLGNGTSELLDHLVLVAMDEKGYVRCMQVHNHCFALTTEGVDFSEQKNFMSGGYLKMMWRRLEFLGTILNLGFDFIFSDADIMWLRNPLLHFYSDGDIQFSCDRFSGFSEDMNNVANTGFYYVKSNNKTINFYKYWYSSRVNYSRHHDQDVFNFIKKDSYARELGVKFKFLDTVNFGGFCQPSKDFNQVCTMHANCCIGLSRKIHDLGLVLDDWRKYMRMSSEERQTQRMSWGAPKNCSLAPLG >DRNTG_30632.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1099704:1100256:1 gene:DRNTG_30632 transcript:DRNTG_30632.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTLIISWILLAVGFTMLILAAMSNSKSDVSCGIVRPHFLSIGGIVCFFHGLFAVAFYVSATAPDFGDGKARRDVRTPSGP >DRNTG_08586.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9608750:9609924:-1 gene:DRNTG_08586 transcript:DRNTG_08586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKKPAEEAAVLPETKEQHHPEEGHENKEKKGFLEKIKEKLPGYHKNSGEDSPPTQ >DRNTG_02777.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1243659:1248313:1 gene:DRNTG_02777 transcript:DRNTG_02777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGLAAERRERSANVVPSGGPLPPAGVSVNPSAVGDSFTYDQLFRATSEFSDANLIKHGHSGDLYHGVLEGGAHVVVKKIDLLTVKKEAYVVELDLFTKASHTRLVPLLGHCLEKENEKFLVYKFMPNGDLSSALYRKNGLEEDGLQSLDWITRLKIATGLARGSMFPSS >DRNTG_02777.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1247535:1248313:1 gene:DRNTG_02777 transcript:DRNTG_02777.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSNNVTTNEWLDHTLPYIHIYDKELVIKILDPSLIVDEDLLEEVWAMAIVAKSCLNPKPSKRPLMRYILKALENPLKVVREENNSGSARLRTTSSRGSWNAAIFGSWRRSSSDIAMPPRDDRGLRRSGTMGSQGSGGEHSFNHKRPSREIFPEPTARETYD >DRNTG_02777.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1246401:1248313:1 gene:DRNTG_02777 transcript:DRNTG_02777.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSNNVTTNEWLDHTLPYIHIYDKELVIKILDPSLIVDEDLLEEVWAMAIVAKSCLNPKPSKRPLMRYILKALENPLKVVREENNSGSARLRTTSSRGSWNAAIFGSWRRSSSDIAMPPRDDRGLRRSGTMGSQGSGGEHSFNHKRPSREIFPEPTARETYD >DRNTG_06528.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29548042:29550777:1 gene:DRNTG_06528 transcript:DRNTG_06528.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 2 [Source:Projected from Arabidopsis thaliana (AT3G13682) UniProtKB/Swiss-Prot;Acc:Q9LID0] MDPAAAPHRRPRRAAASKTRNYSEDLVDDLLLGQLGPVRKRQRNAADHQRETETEAMIALSLGFPIDALLDSELRSDVLPRPDDQNAYIVIRNHILARWRSSVRSFLSVDNVRETVEQRCAPLIASAYRFLSENGYINFGVSPALRPRLSDDHEGGSVIIIGAGLAGLAAARQLLGFGFRVLVLEGRDRPGGRVYTAKMGETGSAVAVDLGGSVITGIHANPLGVLARQLGIPLHKIREKCPLFRPDGGSVDEKLDQEMDLVFNKLLENASKIRELLGEFVQGVSLGSAVEKLRKLYNVAISRNERELLDWHLANLEYANAGCLSELSLLHWDQDDPYEMGGDHCFLAGGNWKLINALCEDVPVLYQKTVRRISYGESGVEVVVEGGQEFRADMVLCTVPLGVLKSSSIVFDPPLPDRKLEAIERLGFGLLNKVAMVFPSAFWGDDLDTFGCLNKERSKRGEFFLFYGYQAVSGGAVLIALVAGEAALEFEHTDPVVMLHRVLAVLRAIAKRRNIVVPDPIQTICTRWGSDPLCHGSYSHVRVGSSGDDYDILAENVNERLFFAGEATTRQYPATMHGAFLSGLREAACIFHASRSRMFVNEDAKACSQKNLKLCGDLLAELFRDPDLAFGNFSFVFDSLSMENPKAMGLMKVTLNDRMKNCSGNGLAEKNQSTDRELETLQLYAILSLEQACQMQTMSEDDKSRLSLACKKFDLKLMGFSSTCAVGNSLITSISNGRKGRNRHRQSGLKNHGLKNVPIQNGSSFVPTNSLVKP >DRNTG_12721.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000563.1:44055:46940:1 gene:DRNTG_12721 transcript:DRNTG_12721.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREEERGKAKRKKMMGKEMDGMWPRIKAKKDLQINRLKGTHLFTVPNFFTAAEAKAFVDAAEAIGFAHQGSQGPAKGEAYRDNDRISVSDPVLAENIWESGLKSMFDDIELRGKIAVGLNPNIRFYRYKVGQRFGCHIDESVNLGEGRRTQYTLLIYLSGDLCSKTRHGLDKTQDSSIHSLVGGETVFYDERKGIVAEVAPMVGMALLHIHGGRCMLHEARAVTKNVKYVLRSDVVFA >DRNTG_12721.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000563.1:44055:46940:1 gene:DRNTG_12721 transcript:DRNTG_12721.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREEERGKAKRKKMMGKEMDGMWPRIKAKKDLQINRLKGTHLFTVT >DRNTG_23863.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20876541:20877315:-1 gene:DRNTG_23863 transcript:DRNTG_23863.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILPKCRHEFHAECIDMWFSSHSTCPLCRSTIDIDTPAVFNAGTASSSEEAMVRIEEPPVRIDELGLGFGSRRWWQLA >DRNTG_19444.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000998.1:121948:122743:1 gene:DRNTG_19444 transcript:DRNTG_19444.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSKAKFVGKKPLVIALVIAPGGGFDAAWFGKLINQTKANSLDVVSHHIYNLGSGN >DRNTG_29694.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3804229:3807034:1 gene:DRNTG_29694 transcript:DRNTG_29694.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uric acid degradation bifunctional protein TTL [Source:Projected from Arabidopsis thaliana (AT5G58220) UniProtKB/Swiss-Prot;Acc:Q9LVM5] MEEQRSWSEIDFLRCCGSSKFSRAMMEASPFPDLQHAIQFARNIWFNEIDVNGWLDAFADHPPIGATSKPISQWSKEEQSTAVVTATDSTMEELIEWNARYKEKFGFVFLICASGRSTPEILSELKKRYSNRLIVELEIAAQEEMKITELRMAKLFESKARAVPATSTRQSVDPVKKSEDRLGIIGAHLVAGSEAPEDKPLVHSGNPRRSRPPITTHVLDVARGCPGKGIEVQLEMWRNQQNYPSFKEQSSGNWSLLGSSVTDSDGRSGHLMNIIDDITPGIYRISFNTGKYNPSGFFPYISIVFEIKESQKSEHFHVPLLLSPFSVTTYRGS >DRNTG_25580.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29759491:29760023:1 gene:DRNTG_25580 transcript:DRNTG_25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNPCCAFLEILLAIVLPPLGVFLHYGCCSLEFCICLLLTILGYVPGIIYAIYVLVATDRRREYYEPLA >DRNTG_14659.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5812315:5813360:1 gene:DRNTG_14659 transcript:DRNTG_14659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTLVTRNQNEKDATNRQPQEDPVSQVMSQPNKTLIEATHKGELEVRRPKLRTIRGKKALINETTTANKTFLETTPIKENIVRRRGLSTVAGKKALANETTANKQVSGDPMSQVHTKPEQTLPTAPPALKTQFKRSEIITRGALKRKTIETSSRKIDKQASEEPASQVSGAGETQRKRQELHRRVKKTRTNEKKVATEVGKPKKNPPNKSF >DRNTG_24790.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31949519:31950371:-1 gene:DRNTG_24790 transcript:DRNTG_24790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKMILKLSLQDDKKRSKVMQIAVSLNGVISAGLEGEAKDKLVVIGDGVDAVKLISVLRKKMGCSVELISIGPVEQKKADKKDNKSEGDGKQQQQQQQQQQQQPVTLPYHYYESPAETKYDPGCSLM >DRNTG_24790.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31949341:31950371:-1 gene:DRNTG_24790 transcript:DRNTG_24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKMILKLSLQDDKKRSKVMQIAVSLNGVISAGLEGEAKDKLVVIGDGVDAVKLISVLRKKMGCSVELISIGPVEQKKADKKDNKSEGDGKQQQQQQQQQQQQPVTLPYHYYESPAETKYDPGCSLM >DRNTG_24790.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31949519:31950371:-1 gene:DRNTG_24790 transcript:DRNTG_24790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSVELISIGPVEQKKADKKDNKSEGDGKQQQQQQQQQQQQPVTLPYHYYESPAETKYDPGCSLM >DRNTG_23798.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29671434:29676527:-1 gene:DRNTG_23798 transcript:DRNTG_23798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTREATLNTNFRSAFLVSCRLKVAPLAKGTFNSPSNDSAEPTNDPPKPRMWNSLPRHEASQSTLIDSSKGTTEISASPGSSIAAILEP >DRNTG_09000.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18629638:18632722:-1 gene:DRNTG_09000 transcript:DRNTG_09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVTARPLVLWLNGEANLLFLESPVGVGFSYTNTSSDLDQLGDAITATDAYNALLSWFNRFPEFKSHDFYLTGESYAGHYVPQLAEKIFDSNKNATKENIINLKGFMIGNALMDDDTDTAGIVDYAWHHAIISDEVYQDIKTKCNYSAIEVNDDMCDGSMNELFEAYAPIDIYSLYTPVCTGSSSSNKISTRDFPKSFPTYKKIHRNLAGYDPCARAYAEKYFNRPDVQQALHATVKLSYPWSACSNAIENWNDSPNTMLPVITKLIEGGLRVWVYSGDTDGRMPVTSTRYTLKKLGLNSTTAWSAWYTDGGQVGGWTMDYKGLTFATVRGAGHQVPTFAPRQSLQLLHYFLLNESLPRQAF >DRNTG_20964.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001181.1:26449:30630:-1 gene:DRNTG_20964 transcript:DRNTG_20964.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor PrfB1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G36170) UniProtKB/Swiss-Prot;Acc:Q9LVY0] MDSSLHSLPSALRLHRASLSCSTSKPWLLSSFARPYKTTRRKWSPPLFAFLRPSPVPLHASGSQSSVGISSETKEWALQDFYSLRKDVETSAERVEEVRASAGLERLEGDLTLLEEKAADSSLWDDPPKAQKLLLALTDVKDKMKLLSDFKSQVEEAETIVKLTEELDSIDAGLLEEASKIIQELNKALDRYELTQLLSGPYDKEGAVVTITAGAGGTDAQDWADMLLRMYVRWGEQQRYKTMVVEKSPGEEAGIKSATIEIEGRYVYGYLSGEKGTHRIVRQSPFNSKGLRQTSFAGIEVMPLLPEESLDVEIPEEDLEISYSRAGGKGGQNVNKVETAVRIVHIPTGVTVRCTEERSQLANKIKALSRLKAKLLVIAEEQRASEIKQIRGDAVKAEWGQQIRNYVFHPYKLVKDVRTGHETSDIASVMDGNLESFIKAYLKYKYATSMSEESLK >DRNTG_20964.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001181.1:26449:30630:-1 gene:DRNTG_20964 transcript:DRNTG_20964.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor PrfB1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G36170) UniProtKB/Swiss-Prot;Acc:Q9LVY0] MDSSLHSLPSALRLHRASLSCSTSKPWLLSSFARPYKTTRRKWSPPLFAFLRPSPVPLHASGSQSSVGISSETKEWALQDFYSLRKDVETSAERVEEVRASAGLERLEGDLTLLEEKAADSSLWDDPPKAQKLLLALTDVKDKMKLLSDFKSQVEEAETIVKLTEELDSIDAGLLEEASKIIQELNKALDRYELTQLLSGPYDKEGAVVTITAGAGGTDAQSRTGQTCYSECM >DRNTG_20964.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001181.1:26449:28194:-1 gene:DRNTG_20964 transcript:DRNTG_20964.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor PrfB1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G36170) UniProtKB/Swiss-Prot;Acc:Q9LVY0] MLLRMYVRWGEQQRYKTMVVEKSPGEEAGIKSATIEIEGRYVYGYLSGEKGTHRIVRQSPFNSKGLRQTSFAGIEVMPLLPEESLDVEIPEEDLEISYSRAGGKGGQNVNKVETAVRIVHIPTGVTVRCTEERSQLANKIKALSRLKAKLLVIAEEQRASEIKQIRGDAVKAEWGQQIRNYVFHPYKLVKDVRTGHETSDIASVMDGNLESFIKAYLKYKYATSMSEESLK >DRNTG_16407.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4440085:4443880:-1 gene:DRNTG_16407 transcript:DRNTG_16407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEYDYLFKLLLIGDSSVGKSCLLLRFADDSYVDSYISTIGVDFKIRTVELDGKTVKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTEMESFNNVKQWLSEIDRYAN >DRNTG_28043.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3384073:3385211:1 gene:DRNTG_28043 transcript:DRNTG_28043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDFVDMIAVELGAGTGLLGILLARVLRAIFVTDTGTEVLDNCAINVQINA >DRNTG_23628.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30208724:30214012:-1 gene:DRNTG_23628 transcript:DRNTG_23628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILRRLPRVDSSNRPHHLTPLFHASEPGVFDDDEPLDSHTEATNDSQHGCIKTVEIKTYPEFSAVPQLASEENFFALIHLKAPLASTREISGQNIQSNLPASQTTRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVIQNLGPADRLSVIAFSSTARRLFHLRLMSESGRQQALQAVNSLVSSGGTNIAEGLRKGAKVIEDRKEKNPVCSIILLSDGQDTYTISAATGSRHAQPDYRSLVPSSILGGSGHQIPVHAFGFGVDHDSASMHSISETSGGTFSFIEAEGVIQDAFAQCIGGLLSVVVQEMRVGVECGHPGVRINCIKSGSYPNQVVDNGHVGSINVGDLYADEERDFLVSVAVPPSSEETVLLKVNCVYRDPVSQEMVHLQSEEVRIQRPGSLMAQAMSIEVDRQRNRLRAAEAMADARISAERGSLSEAVSILEGCRKMLAESLAGRSGDQLCSALDVELKEMQDRMASRQRYEASGRAYVLSGLSSHSWQRATTRGDSTDSNSLVHAYQTPSMVDMLQRSQTFSPSVRRPNPPIRPSRSFPARPQPR >DRNTG_23628.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30208724:30214012:-1 gene:DRNTG_23628 transcript:DRNTG_23628.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTILRRLPRVDSSNRPHHLTPLFHASEPGVFDDDEPLDSHTEATNDSQHGCIKTVEIKTYPEFSAVPQLASEENFFALIHLKAPLASTREISGQNIQSNLPASQTTRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVIQNLGPADRLSVIAFSSTARRLFHLRLMSESGRQQALQAVNSLVSSGGTNIAEGLRKGAKVIEDRKEKNPVCSIILLSDGQDTYTISAATGSRHAQPDYRSLVPSSILGGSGHQIPVHAFGFGVDHDSASMHSISETSGGTFSFIEAEGVIQDAFAQCIGGLLSVVVQEMRVGVECGHPGVRINCIKSGSYPNQVVDNGHVGSINVGDLYADEERDFLVSVAVPPSSEETVLLKVNCVYRDPVSQEMVHLQSEEVRIQRPGSLMAQAMSIEVDRQRNRLRAAEAMADARISAERGSLSEAVSILEGCRKMLAESLAGRSGDQLCSALDVELKEMQDRMASRQRYEASGRAYVLSGLSSHSWQRATTRGDSTDSNSLVHAYQTPSMVDMLQRSQTFSPSVRRPNPPIRPSRSFPARPQPR >DRNTG_11238.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21907524:21913241:-1 gene:DRNTG_11238 transcript:DRNTG_11238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGTFDEIMRAMELIIEKLSHEEEDGNDADGLSTLKLAVPNSSCGAIIGKGGATIKSFIEDSHANIKISPQDSLLVGVRDRLVTLTGTQEELIRAVELILSKLIEDAYYLRSISSPWPYVGLKLPGHQGVSSGISSAYNTGSHETNATGGKFPSKAASARSPTRPLETSK >DRNTG_20440.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4517078:4519081:-1 gene:DRNTG_20440 transcript:DRNTG_20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLEKLKLRSLRSMAKIFGTLICIAGAMCMALYKGSPILQSSKQNFMLGCILLFANIIGWSSWLILQDIICRHYLDPLSVTVWVSFLAAISSAILTWFIDQNLNIWKIRTESQLIACLFSGIFGTTVTYYLQAWIIAARGPLFSAVFNPLTTVITTTFTFLLLHENLYVGSLVGAIAVIVGLYIVLWGKGADYGTKTKLDQKDDSIEEADVQSDLHEPLVSSTRGVDV >DRNTG_13991.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27784010:27785161:1 gene:DRNTG_13991 transcript:DRNTG_13991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNGPLLSSNLTMSTPLPPPENMLSTMFTPLIFPFTDFSTMLPPPRKKQFDTTSCTGSSDTIPLKSR >DRNTG_28115.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22119736:22120890:1 gene:DRNTG_28115 transcript:DRNTG_28115.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galacturonosyltransferase-like 3 [Source:Projected from Arabidopsis thaliana (AT1G13250) UniProtKB/Swiss-Prot;Acc:Q0V7R1] MVMDLGKWRQGGYTRKLEEWMEVQKKEARIYELGSLPPFLLVFAGSVAGIEHRWNQHGLGGDNVEGLCRDLHPGPVSLLHWSGKGKPWLRLDAGRPCPLDALWAPYDLLRRHGRELLADG >DRNTG_23931.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1104539:1106603:1 gene:DRNTG_23931 transcript:DRNTG_23931.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPTEFALDLIRELESSNSTEPLIEFNRTWNNNKHNHCDPSELSMEVLPLQAAIAGSISKGKLVTRESEFANPVHIETAVLTKRSLTNMRRMPELFLMRLGTVFITGFILATIFWKLDNSPKGARERLGFFAFAMSTMFYTTADALPVFLHERYIFMRETSYNAYRRSSYVLSNIIASFPALVVLSVTFAVTTFFAVGLAGGSNGFFFYFLMILASFWTGSSFVSFISGVVSHVIVGYVVVVAILAYFLLFCGFFINRNRIPSYWIWFHYLSLVKYPYEAVMKSEFDDSVKCFVKGIQIFDGSPLGGLSDGMKVKVLKAISNALGVNITRDTCVTNGRDILQQQAINDLSKWNCFWVTVAWGFFFRILFYFALLIGSKNKRR >DRNTG_15313.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4847681:4850158:1 gene:DRNTG_15313 transcript:DRNTG_15313.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMESCVPPGFRFHPTDEELVGYYLKKKVASQKIDLDVIKDIDLYRIEPWDLQGYEEQSEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVHEKNRLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLETDENGPPQEEGWVVCRAFKKRTTIQTRSIADAWDSSYCYDDIGRLNSINDPIEYLQRQPKSLLCKREADNIENLNLLHSNQFVQLPQLESPSMPLSVKHHSLLSVASENEDDEQARGSNGIEKVTDWRALDKFVASQLSHDEDKNDAEQTPDFGMDKHSDMALFLLENGMEDGSKLNEFFSSSSECDFGICIFEK >DRNTG_15313.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4845985:4850158:1 gene:DRNTG_15313 transcript:DRNTG_15313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMESCVPPGFRFHPTDEELVGYYLKKKVASQKIDLDVIKDIDLYRIEPWDLQGYEEQSEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVHEKNRLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLETDENGPPQEEGWVVCRAFKKRTTIQTRSIADAWDSSYCYDDIGRLNSINDPIEYLQRQPKSLLCKREADNIENLNLLHSNQFVQLPQLESPSMPLSVKHHSLLSVASENEDDEQARGSNGIEKVTDWRALDKFVASQLSHDEDKNDAEQTPDFGMDKHSDMALFLLENGMEDGSKLNEFFSSSSECDFGICIFEK >DRNTG_15313.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4847994:4850158:1 gene:DRNTG_15313 transcript:DRNTG_15313.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMESCVPPGFRFHPTDEELVGYYLKKKVASQKIDLDVIKDIDLYRIEPWDLQGYEEQSEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVHEKNRLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLETDENGPPQEEGWVVCRAFKKRTTIQTRSIADAWDSSYCYDDIGRLNSINDPIEYLQRQPKSLLCKREADNIENLNLLHSNQFVQLPQLESPSMPLSVKHHSLLSVASENEDDEQARGSNGIEKVTDWRALDKFVASQLSHDEDKNDAEQTPDFGMDKHSDMALFLLENGMEDGSKLNEFFSSSSECDFGICIFEK >DRNTG_29856.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23107993:23110421:-1 gene:DRNTG_29856 transcript:DRNTG_29856.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLGHCSLQSGNKELLPRRVVALDGIVIKDIACGGIHTCALTAKGSLYAWGGGQVGQLGVGPHNCLFSCDVNDSDMFLRNIPVMVIPSEVRLVTCGHSHTLITMRDGRIFGWGYNSYGQATNEKSTYAWYPSPVDWCVGEVKRLAAGGGHSAVLTDACSLKDLCEFRLAENVNLCNSYLIEEVASRTGADALARLCGRLRVHLLEGGDVDLEEKKADCKKDY >DRNTG_29856.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23107993:23111587:-1 gene:DRNTG_29856 transcript:DRNTG_29856.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYIYFQSAVEISRYTWGLGSMGQLGHCSLQSGNKELLPRRVVALDGIVIKDIACGGIHTCALTAKGSLYAWGGGQVGQLGVGPHNCLFSCDVNDSDMFLRNIPVMVIPSEVRLVTCGHSHTLITMRDGRIFGWGYNSYGQATNEKSTYAWYPSPVDWCVGEVKRLAAGGGHSAVLTDACSLKDLCEFRLAENVNLCNSYLIEEVASRTGADALARLCGRLRVHLLEGGDVDLEEKKADCKKDY >DRNTG_29856.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23107993:23111587:-1 gene:DRNTG_29856 transcript:DRNTG_29856.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYIYFQSAVEISRYTWGLGSMGQLGHCSLQSGNKELLPRRVVALDGIVIKDIACGGIHTCALTAKGSLYAWGGGQVGQLGVGPHNCLFSCDVNDSDMFLRNIPVMVIPSEVRLVTCGHSHTLITMRDGRIFGWGYNSYGQATNEKSTYAWYPSPVDWCVGEVKRLAAGGGHSAVLTDACSLKDLCEFRLAENVNLCNSYLIEEVASRTGADALARLCGRLRVHLLEGGDVDLEEKKADCKKDY >DRNTG_29856.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23107993:23111587:-1 gene:DRNTG_29856 transcript:DRNTG_29856.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDRILREFEAVNLPTKSAIYVWGYNQRGQTARKGSEFHLRIPKRLSPELFKYSGARWLDIACGREHTAAVASDGSLFTWGSNDFGQLGDGTEESREQPKKVKFLQTEFVKSVSCGAHCTAAIAEPRENDGTVSTSRLWVWGQNQGSNYPRLYWGAFSPNTVIRQVSCGSVHVVALSEDGLLQAWGYNEYGQLGRGFTCEGLQGARVLSAYAKFLDEAPELVKIEQVSCGEYHTAAISENGQVYTWGLGSMGQLGHCSLQSGNKELLPRRVVALDGIVIKDIACGGIHTCALTAKGSLYAWGGGQVGQLGVGPHNCLFSCDVNDSDMFLRNIPVMVIPSEVRLVTCGHSHTLITMRDGRIFGWGYNSYGQATNEKSTYAWYPSPVDWCVGEVKRLAAGGGHSAVLTDACSLKDLCEFRLAENVNLCNSYLIEEVASRTGADALARLCGRLRVHLLEGGDVDLEEKKADCKKDY >DRNTG_29856.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23107993:23111587:-1 gene:DRNTG_29856 transcript:DRNTG_29856.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDRILREFEAVNLPTKSAIYVWGYNQRGQTARKGSEFHLRIPKRLSPELFKYSGARWLDIACGREHTAAVASDGSLFTWGSNDFGQLGDGTEESREQPKKVKFLQTEFVKSVSCGAHCTAAIAEPRENDGTVSTSRLWVWGQNQGSNYPRLYWGAFSPNTVIRQVSCGSVHVVALSEDGLLQAWGYNEYGQLGRGFTCEGLQGARVLSAYAKFLDEAPELVKIEQVSCGEYHTAAISENGQVYTWGLGSMGQLGHCSLQSGNKELLPRRVVALDGIVIKDIACGGIHTCALTAKGSLYAWGGGQVGQLGVGPHNCLFSCDVNDSDMFLRNIPVMVIPSEVRLVTCGHSHTLITMRDGRIFGWGYNSYGQATNEKSTYAWYPSPVDWCVGEVKRLAAGGGHSAVLTDACSLKDLCEFRLAENVNLCNSYLIEEVASRTGADALARLCGRLRVHLLEGGDVDLEEKKADCKKDY >DRNTG_29856.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23107993:23111587:-1 gene:DRNTG_29856 transcript:DRNTG_29856.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYIYFQSAVEISRYTWGLGSMGQLGHCSLQSGNKELLPRRVVALDGIVIKDIACGGIHTCALTAKGSLYAWGGGQVGQLGVGPHNCLFSCDVNDSDMFLRNIPVMVIPSEVRLVTCGHSHTLITMRDGRIFGWGYNSYGQATNEKSTYAWYPSPVDWCVGEVKRLAAGGGHSAVLTDACSLKDLCEFRLAENVNLCNSYLIEEVASRTGADALARLCGRLRVHLLEGGDVDLEEKKADCKKDY >DRNTG_29856.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23107993:23111587:-1 gene:DRNTG_29856 transcript:DRNTG_29856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDRILREFEAVNLPTKSAIYVWGYNQRGQTARKGSEFHLRIPKRLSPELFKYSGARWLDIACGREHTAAVASDGSLFTWGSNDFGQLGDGTEESREQPKKVKFLQTEFVKSVSCGAHCTAAIAEPRENDGTVSTSRLWVWGQNQGSNYPRLYWGAFSPNTVIRQVSCGSVHVVALSEDGLLQAWGYNEYGQLGRGFTCEGLQGARVLSAYAKFLDEAPELVKIEQVSCGEYHTAAISENGQV >DRNTG_29856.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23107993:23111587:-1 gene:DRNTG_29856 transcript:DRNTG_29856.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYIYFQSAVEISRYTWGLGSMGQLGHCSLQSGNKELLPRRVVALDGIVIKDIACGGIHTCALTAKGSLYAWGGGQVGQLGVGPHNCLFSCDVNDSDMFLRNIPVMVIPSEVRLVTCGHSHTLITMRDGRIFGWGYNSYGQATNEKSTYAWYPSPVDWCVGEVKRLAAGGGHSAVLTDACSLKDLCEFRLAENVNLCNSYLIEEVASRTGADALARLCGRLRVHLLEGGDVDLEEKKADCKKDY >DRNTG_23686.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10206814:10208556:-1 gene:DRNTG_23686 transcript:DRNTG_23686.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVIGSYFIFMNLLDFFQVLGKYKQVAHKAKRQRRESSRPAASFRAAPQQRPR >DRNTG_26757.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7891643:7894851:1 gene:DRNTG_26757 transcript:DRNTG_26757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTIGNILEEVKGGSSEEDRDLEEELIKIDGKNKDAEEDTFHDSDYSFCDVSEQEVGDTSQKHRTMVITHDEPPNLVGEDDVDSNYADPSWKLAGIVQYVKINQEVDISRLKAYMAKCLALRDSCPKSQQGSMIPPEPITRRRGRKTLLRRREIGEEDKGFTNGRVKKTGVTMKCSICGAPCHNKRHHQRQQVDALYGPNHSAATTQTQPSIDPSSQAFATTQTATQLRMRMQKLLAWGGKGFDAATNIAKAQYKDKGTDRRSKKRNSYKKEKGMGTPKNI >DRNTG_17764.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:742653:743968:1 gene:DRNTG_17764 transcript:DRNTG_17764.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYVIDATHYGNVSRFINHSCSPNLVNYLVLVESMDCQLAHIGFYASRDISIGEELAYDYRYKLLPGDGCPCLCGASNCRGRLN >DRNTG_17764.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:742653:743968:1 gene:DRNTG_17764 transcript:DRNTG_17764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKCDSSCCNRILQKGVQVKLEVFRTEKKGWAVRAGETIHRGAFVCEYIGEVLSDVEANKRGQRYDIEGCSYLYDIDAHIDEANGLSGMVPYVIDATHYGNVSRFINHSCSPNLVNYLVLVESMDCQLAHIGFYASRDISIGEELAYDYRYKLLPGDGCPCLCGASNCRGRLN >DRNTG_09340.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:667429:676985:-1 gene:DRNTG_09340 transcript:DRNTG_09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVSALFLLDIKGRVLIWRDYRGDVSASQAERFFTKLIEKEGDPGSHDPVVYDNGVSYMFVQHSNVFLMTAARQNCNAVSILLFLHRVIDVFKHYFEELQEESLRDNFVAVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGVRYKKNEVFLDVVESVNILVNSNGQIIRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGQTTKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLNTQVKPLIWVEAQVERHSRSRIEIMVKARSQFKERSTATNVEIELPVPSDSTNSNARSSMGSASYAPEKDALVWKIKSFPGGKEYMLRAEFSLPSITAEEASPEKKAPIRVKFEIPYFTVSGIQVRYLKIIEKTGYQALPWVRYITMAGEYELRLI >DRNTG_19540.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17829368:17831729:1 gene:DRNTG_19540 transcript:DRNTG_19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSLHDHLHKLAGSELSSWSTRLRVALDAARGIEYLHSYAVPPIIHRDIKSSNILLDDSWTAKVSDFGLSLMNLNDESSPEESLHTAGTVGYMDPEYYRLQNLTAKSDVYSFGVVLLELLSGCKVIHRYEESSTPRNVVEFAVPHIVADHVHKVLDQKLPPPSPTEIEAVTYVGYLAADCVSLEGRYRPTMTEVVAALERAVTAAVPPEPTLSRSSTTRSV >DRNTG_10201.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20083131:20094091:1 gene:DRNTG_10201 transcript:DRNTG_10201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSEDQSQPHKSHRSHKSGAKVKKNKKNKEAASGDKKQNPKAFAFNSSVKAKRLQSRTAEREQRRLHVPTIDRSIGEPAPFVVVVHGPPKVGKSLLIKCLVKHYTKHNLSEVRGPITVVSGKQRRVQFVECPNDINGMIDAAKFADLALLLVDGSYGFEMETFEFLNILQIHGLPPVMGVLTHLDKFKDVKKLRKTKQRLKHRFWGEVKQGAKLFYLSGLIHGKYAKREIHNLARFISVMKFHPLSWRVAHPYIVVDRFEDVTPPGRVHENRKCNRNITLYGYLRGCNLKRASKVHIAGVGDYALAGVTSLPDPCPLPSAAKKKGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHLVQFSKVDDENGAVMKKGNDRDVGVDLVKTLQNTKYSIDEKLEQSFINFFSRRPPTWLENDVDEKDDKLKENDNGNLNISSNEDDEDLNQVQSMGSEKSTVKILGKESDSDYSDEGEECDDERPPALSCDLKEEVEFHNGRIRRKAISSNSQDHNSLEESDGDGDGDEEDYDDGDGDGDKEDYDDGSDDNNSDVGSESSDGGIEHNAKWKESLIARTISRRNSNLMQLVYGPTSESAVAEEEQNSSESEASDDENFFKPKGEREKKLNHKSGSHVNLEDCSKFINIQLKDWSNEDLIRSIRDRFITGDWSKAAQRGQMEDGDNDESVYGDFEDLETGEVYKSKPTDDMEGNECILKEDTTENEDRRLKKLALRAKFDSQYDGSELSDEEADGGKKKKYHQSQANEGGYFDKLKEEIELRKQMNLSELNDLDDATRVEVEGFRTGSYLRLEVHDVPFEMVEYFDPCHPLLAGGIGLGEENVGYMQVRMKRHRWHKKVLKTRDPIIVSVGWRRYQTIPVYAIEDINGRHRMLKYTPEHMHCLAMLWGPLAPPKTGMLAVQNLSNNQSAFRITATGSVLEFNHAVRIVKKIKLVGHPCKIFKKTALIRDMFTSDLEIARFEGAAVRTVSGIRGQVKKAAKAEIGNKSLKGGNVKEGIARCTFEDRILMSDIVFLRAWTQVDIPRFYNPVATALQPRDQTWKGMRTVAELRIEKNIPIPFNKDSVYKPVERKPRKFNPLVIPRKLQAELPFASKPKDRPAQKRPLLENRRAVVMEPHERKVHALVQQLQLIKNEKMKKRKLKEQEKRKAYEAEKAKNEQVMKKRKREERRERYREEDKQMRRIKRKTGV >DRNTG_03795.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22143403:22145386:1 gene:DRNTG_03795 transcript:DRNTG_03795.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQGHCANLFQKCKPYIAMVSLQFGYAGMNIITKVSLNHGMSHYVLVVYRHAFATLSIAPFALFLESPVIDQNFYYAGLKYTSPSFACAISNMLPAMTFVMAVIFRMEKLDLKRVICQVKLVGTLVTVVGAMLMTLYKGPIIEMVWTKHKHTHEVQTDESNNKDWLKGSIFVIIATLAWAALFILQTEALKKYASAPISLTSLICFVGTLQAIVVTFVMEHKASVWSIGWDMNLLAAAYAGIVTSSVAYYVQGLVIQEKGPVFASAFSPLMMIIVAIMGSFILAEKIYLGGVIGAILIVAGLYSVLWGKFKENKEMTETAALELPIAIKSIEGNGKGMDIIDSNDVVIAKDTQKA >DRNTG_34186.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7085563:7086721:1 gene:DRNTG_34186 transcript:DRNTG_34186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISELEDKPATNEVLEQLGELVKAKDGVEKKLTTSYSLGRDSVGSKRHSILPLYDGSSRGHSFRSTKKETVTIFKTLSDDDDDDDDDGDESTSGERCISNRNSSETGSSNGNNEDKYIGSSSKGKEIIKDTADVEFVAQRSGMDHRQCEGILWRRNLFKRRTLSSTN >DRNTG_23577.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14973704:14976509:1 gene:DRNTG_23577 transcript:DRNTG_23577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWSSLVFSKGSLINPRAHLAESMPIKATPTTIFQSNAVLKTVEPLQKPCQSLSEP >DRNTG_22911.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:24492476:24500680:1 gene:DRNTG_22911 transcript:DRNTG_22911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDEKNLISWNSMIGGMMDEALELFDKMQKRDVIAWDSVLEGYMDIGNVELGLCLFDEMLERDVISKNIMINGYVKNGMISEALFVFMKMQVEGGIVPDGTILVTALFAISELGACYSNLETSVVSSGTSIP >DRNTG_07865.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18996798:19000400:-1 gene:DRNTG_07865 transcript:DRNTG_07865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAKGSRKGKKAWRANISTADIEDYFDNTTKEALAGVSAPPQSLFFENKSDDLPPKRKIEKKREKVLNYELILQKNEFVQPVPSSTLKKSSKRKKEKAYEDKSQALVTSKVDEGLDSENLDLWSEKDEINGKIKKNAASHIPAVEVEPPGCSFNPPFEAHQDSLAQAVAVEMQKIYKRELQPEPVPLIVTGEVLEEEDRYFLDADDGNENDVDEDADNAADELNAQRKSIVKRVTRVELNRRARRKEQLRTEAEAKKINHVHKEIDCLSDIIEEIAKEDEDKNRRHVRRMVARQERLKSGPPRLGKFKFEPAPPQVLLTEEISGSLRKLKGCCNLSRDRFKSIQKRGLLAPYKIKKRR >DRNTG_22466.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20685583:20688601:1 gene:DRNTG_22466 transcript:DRNTG_22466.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNQGIKDQGAVVHGVSSGSKLRYPLRSATKLKEEKQAMAGEKSGGSSATRRGRPKSEVSKSVSVLDLSGKDKIAKVPGRLSFPSKSSPRPATSGSVTPISEARTRRTNTLGKSETPLSNVSRSSGQRRFNVLSSVSYWLTQIKLSESAGKHSISLGFFKLALEAGCEHIQRLREELKSYACRYNLVSELGESVKDLMQSYNILEEFEKLSISGSCSESPRDGGMHVSDEDVHNSNTTKTGNLKPKLVNSDALGIRESNKLNTVHKKIPTPRNKSSVDKNSKTVTSTEQKRSQRLKKPESNAEKVKIKSSLRGTPIPAEKVDSIDTSQADEEEALSQEEKENMDVQLMEQEIQTN >DRNTG_27440.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28689012:28691590:-1 gene:DRNTG_27440 transcript:DRNTG_27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGEVMKKVKPYLAMVFLQFGFAGLYIVSVLSLKRGMSHYVLVVYRNGIAAVVMAPFALWFERKVRPKMTASCFAKILLLGLLEPVFDQNFYYMGTKATSASFSAALYNVLPAMTFVLAVILRMEKIKIKSLRSQAKICGTIITVIGALVMIIYKGAIVHMMWTKGHDQEDTSSSSNAHFLLGTFMLLFSCFCWAAFFILQSHTLKSYPAELSLATLICFFGMIESGAVALVMERNTKAWKVGWNDGLFTAVYSGIVCSGVAYYVQGIVMKERGPVFVTAFNPLVMIIVAVLGSFILSENITVGRIIGAVIIVIGLYMLIWGKAKDQEGENSEEKKGSKSMELPKSVINGDQHEINGKEYYTVVEIQQTKNP >DRNTG_28674.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5162698:5167528:-1 gene:DRNTG_28674 transcript:DRNTG_28674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLHIPRGVRRVLFRTLNTDRKLMWKKEFDSTYVGFMKDGAQWLIDNTDIKLVGLDYVSVAAYDDLKSAHLVFLKSRIISNYLQIVHFQPRQDIILVEALNLDNVEAGIYSLRCLPLRLVGAEGSPIRCILIK >DRNTG_08265.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17579028:17580904:1 gene:DRNTG_08265 transcript:DRNTG_08265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQAVSFPTMEDLKHIQTLIECCLQKYMNERETIDELHRDNGIDPLLTHLVWQHLEDNNQVFFKEYYLRLMLMEQYMEFNKLTAVVIEVKGITQAQNASNVAQQTLGLVTQGNALCNGGTWSALMGNGKWE >DRNTG_24301.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23486219:23493730:1 gene:DRNTG_24301 transcript:DRNTG_24301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRRDSLTPSHHCLPLGRFFTPPLTIIFLLSSISILSLHSSTPPSSPWKVEEECVLPRSSGFYQSSCHPETRLIGFTPYGSAAVCLGIGSSEPGSGCDIHNSPNKVMLAAHHSTTPLTCPNPDPSFGFNSTDSRPDLLQQQQQQVNNVVGSSPILYILLVIHTLARLSHHHSPDITRNLVGERRFLKDEHKELIAPPPVPPIKCRSCAYYLSYIWTNTDAGTMPEWIRCNDYLQYAYDLPSSVKYQHKLTSQGYRALVYSAYWNPDMDKILELLHIDDWRSWFSYGQVAGHTKYFWFGVIDELVT >DRNTG_03345.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26192746:26193777:-1 gene:DRNTG_03345 transcript:DRNTG_03345.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKILAMLATLLWVACLIRFKKTKHCIHRREWLEEVWAAMMAVN >DRNTG_03345.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26191908:26193777:-1 gene:DRNTG_03345 transcript:DRNTG_03345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILAMLATLLWVACLIRFKKTKHCIHRREWLEEVWAAMMAVN >DRNTG_20676.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001137.1:41958:43711:-1 gene:DRNTG_20676 transcript:DRNTG_20676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHILAIEVEPPGCSFNPPFEAHQDSLAQAVVVKIQKIYKRKLQPELVSLIVTDEVLDKEVVSNLMP >DRNTG_33761.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32465812:32471884:1 gene:DRNTG_33761 transcript:DRNTG_33761.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHQLELAIAFFLLGGDPSSAVTVCAKNLGDEQLALVICRLIQGIGGPLEHQLISNFLLPSAIEKGDYWLSSMFECMLGNYSQCMNNLINCQMGPTTSKPPTSANKAVFLDPSIGRYCAILATKNSLKNSIGDYLAITLSKLAMVMVSIALKRCGLPFEALECLASSFGIEGKDKINLPGTGSQNIFHGLLNSFLSNGACNWLLGDVACHLESNAKLSIALQYITMLLYDHLNSPSTDLVPSEKLTIHGCDIQKEELQIRKFKDKLNMVMSTFEQKYSLNPVDLSNKGLLFFNWNMEESFHEKQDYIWSESDWPKSGWAGCESTPIPTFVSTGIGLGGKKGLHLGLGGATTGHGSLARPGRDLTGGGAFGIPGYAGIGASGLGWGEQEDVTDYVDPPATVENIRSCALTSHPSKPFLLVGSSNTHVYLWEFGKDRALATYGVLPAANVPPPYALASISALKFDHCGHRFVTAALDGTVCTWQLEVGGRSNVHPTESSLCFSNHASDVAYLGTSGSVVAATGYSSNCLNVVVWDTLAPPSTSQVSLACHEGGARSLSVFGSDIGSGSVTPLIVTGGKGGDVGLHDFRYIATGKSKRHRQSGDQDLKSSSIQNNNTETLKHGENANGMLWYIPKAHSGSVTTISTIPHTSLFLTGSKDGDVKLWDAKKSQLVFHWPKLHDKHTFLQPNSRGFAGVVRAGVTNIQVLSNGFLSCGGDGSVKLVQLRQTS >DRNTG_33761.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32457156:32471884:1 gene:DRNTG_33761 transcript:DRNTG_33761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEAGRGPAPATTLPNDPDEIASLLPFHLLRSQILPPAPNRRKSAVDWLPEFGGASWVSYGASSLLVISHFPNPLYEHETLVGSLLQQVIEPPPSDGGDELADVNAVRWCPARPSVGEIAAAAGNFIRFYSPCTGDDPSSFCWRQTAGIVQSFTVEAIEWTGSGDGLIAAGVSVALWVRKNMSWEMAWKSSSDIPQTMVSATLFAQGPIATAASSSNCMTATGADGKKSSPMSSKECLCVSVYHRDEKSGFTKLQLFQPQPVCMIQWRPSNAAQSEKNISRSWRDVLLTCCLDGTVRLWSEIENGRNKKSSKDAHDKKTLKRTYHVTAVIEINQHLRGTIDMDIYISWATEYGGIVCEVEGGNYCLISETSEHNHIGKCEWIISVGPGSALTFWAIHCLDDSAPLRFPRVTLWKTQELMEFKGCKNFNSDHLYLKDRPVFVNAVASRIQPYGPPVHCSLLQLLPDNSFSWLQLYNPMSNSKEDESSCQTSMEKCLSCVSGGVLKQDGHTGKIVELDVHPCYSEMDLAVSLDCNGSLLFWSLSTVSSYTFRVPAAIHPLGKILGKIILHDISSVTKYSAVRWAPLVLGENHFLLLAHADGIDCFVIQVSEKEEALLCQKILSIPFGGLGRDGPPDHIFTTTMTSTNGCSIFPNGFLLCGIWMDKFQALSWKVVLHLEDPSGSNCKCDSGSEMVSDLKRNVVHFAGKQVYATAGMCSSFLPDPYDHDQVTSVAVLPPDVQALPVQNHVAFSTGSEMNSCMYTMATGCSDGTLKLWRICYGGNTTNSRSEFLPWEIVGMLNAHQGPVSAVSLSTCGGKIATIGLDGQSNNNLHIWEPVCLIGGGNFLLEDVISLTGAVIALNWMTTGDGRLLLGVCMLNTLCVYSAKRLTKKDLVKSKESKDMNIWFCIAQSHVYPVCQDFCWGPKLSPVLVHEKHISLFSQWSSTAENILNEGCSATFVCRTNKNSPFALYTEKDICIANEPIVAKSNHNDNHDGQNLKFVGKLYDFGASGLYSVLDISERLHGCLVAYHPQALMHFLYSGNWRHVLAILGHLVESIKSNEDSAGPLEIGKSKYPSHKILEIQLLAYFPETVLTSLSDKKLQWGQDISSSMLSFEPQRNLLQFGEFDSLTNAPNSILTPISEKSEITGLIDTLEKTCYIPGIIDIEKTQILSIVDLVGEVSGASGGSVYQSLDEPGRRFWVGVRYQHLYFLRKYGRSAAAEELVVDSALASWAFLSDCKENLYDSILSAEPSWLEMRNIGVGFWFTNTTQLRTKMEKLARSQYLKKKDPKDCALLYLALNRVQVLAGLFKISRDEKDKLLVAFLSRNFQEEKNKAAALKNAYVLMGRHQLELAIAFFLLGGDPSSAVTVCAKNLGDEQLALVICRLIQGIGGPLEHQLISNFLLPSAIEKGDYWLSSMFECMLGNYSQCMNNLINCQMGPTTSKPPTSANKAVFLDPSIGRYCAILATKNSLKNSIGDYLAITLSKLAMVMVSIALKRCGLPFEALECLASSFGIEGKDKINLPGTGSQNIFHGLLNSFLSNGACNWLLGDVACHLESNAKLSIALQYITMLLYDHLNSPSTDLVPSEKLTIHGCDIQKEELQIRKFKDKLNMVMSTFEQKYSLNPVDLSNKGLLFFNWNMEESFHEKQDYIWSESDWPKSGWAGCESTPIPTFVSTGIGLGGKKGLHLGLGGATTGHGSLARPGRDLTGGGAFGIPGYAGIGASGLGWGEQEDVTDYVDPPATVENIRSCALTSHPSKPFLLVGSSNTHVYLWEFGKDRALATYGVLPAANVPPPYALASISALKFDHCGHRFVTAALDGTVCTWQLEVGGRSNVHPTESSLCFSNHASDVAYLGTSGSVVAATGYSSNCLNVVVWDTLAPPSTSQVSLACHEGGARSLSVFGSDIGSGSVTPLIVTGGKGGDVGLHDFRYIATGKSKRHRQSGDQDLKSSSIQNNNTETLKHGENANGMLWYIPKAHSGSVTTISTIPHTSLFLTGSKDGDVKLWDAKKSQLVFHWPKLHDKHTFLQPNSRGFAGVVRAGVTNIQVLSNGFLSCGGDGSVKLVQLRQTS >DRNTG_23811.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29595630:29598263:-1 gene:DRNTG_23811 transcript:DRNTG_23811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEVTFYSITVLSEGHNENITISTLTENQPRVLFTLKENSSYRLQLRFSVQHNIVSGLAYSNTVWKGGVRVDETKGMLGTFAPQREIYVHTLEEETTPSGILARGIYSAKLKFEDDDKRCYLELNYQFEIKSK >DRNTG_03961.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1587295:1588279:-1 gene:DRNTG_03961 transcript:DRNTG_03961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAVPNIESRVKLLRTKTTAIADILRVSGFDWNYERSTIMCEKSAYDEYVKAHKEAAGLYGKSFPFFNYLVAVFTKDRAYGNARADIGDEARQVENEDDNIFEEDVGFSQVPIEEFSMPSQENDETPLPTPMESNSSSSKTSTQRKRKGIPTESTMDQISVNFRSFVEMVGPEFKILADAATRNAESASRHADAAVRNTEIATIREEARLEIEGKKKLLSQVLFNIEGLNDDEALIMLQALAKDEDQLKVFWDLPNDKKLRFCRIYLAKMPYIPPVV >DRNTG_27266.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22422402:22426089:1 gene:DRNTG_27266 transcript:DRNTG_27266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNPSSLPRDLFFPHCPNEDEGDEVLFSEYLSSVSSSLDLIPAPSKRSRSDEMVRVSSSDDAFYRSLVRRTRITYDSLRSLIAAEERRLTPGHRRRADLVAGAKMKRLGLWLHRDKRIIGSIPGVSVGDVFFYRVEMMTVAVHGHIQAGIDYVCPRGGNGCFPIATSVVLSGGYEDDDDQGDVIIYSGSGGRKKNDVSTTAPQSLSRGNLALEKSKEFGIELRVIRGFTFDRSPSGRVYVYDGLYKVIDSWPDAGKSGFGVYKFKLLRIEGQEPMGSVVLQLAMDLKTKILKSSPSGYLCQDISNGQERYPISLFNDIDNDKEPLLFEYVCKPEYPSIVFQETMEMKRRNGCRCVENCCASCACIKRNGGESAYDGNGLLLRGKPLVYECGTSCRCPPSCPNRVSQKGLRHQLEVFRSKETGWGVRSLDVIRAGEFICEFTGKVRTEDQLEAVMRNGGSLVYPSLFPGEVEGMGRHF >DRNTG_08342.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3928217:3929542:-1 gene:DRNTG_08342 transcript:DRNTG_08342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNEKTISSSSGKSDPCWKYVQCINPNNKNDLKCKFCDKVTKGGIFRAKQHIVGGFRNTTICQRCPPP >DRNTG_32146.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20781164:20782179:1 gene:DRNTG_32146 transcript:DRNTG_32146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLRKQHEKTKKQAQNHRHNHKTTSKAGVSTMQKRMIDQDYH >DRNTG_11805.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:381900:384095:1 gene:DRNTG_11805 transcript:DRNTG_11805.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNDRRQAERTGRHGTPRSQYLQELVTQFQNTNNEEAKEKIVAHLANFAYDPYNYAFLRQLNVLELFLDCITEPNEKLVEFGIGGICNACAGLLRDFNCFWFFFPSH >DRNTG_11805.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:381900:384095:1 gene:DRNTG_11805 transcript:DRNTG_11805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNDRRQAERTGRHGTPRSQYLQELVTQFQNTNNEEAKEKIVAHLANFAYDPYNYAFLRQLNVLELFLDCITEPNEKLVEFGIGGICNACADPANAAVVCQCGGLPLVIQCLSSPARNTVNYALGALYYLCYGSFKEEILKPEVVELIEKYAATDTSVSFRNLANAFLDAHVKQR >DRNTG_16724.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000844.1:35824:40963:1 gene:DRNTG_16724 transcript:DRNTG_16724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIGPAFLTLLTRTSVKSSSAMATSVEYEQNLSGVKLAEHSSQVSSLADAMVQEVQRLMDKYAGEELSITVTGHNLSSSLALLVTDELSSAISVLLRWASGWEQGVR >DRNTG_34811.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:229332:233066:1 gene:DRNTG_34811 transcript:DRNTG_34811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARLSAPSMACIGAGAALPCSSRLAVTRSSLRLSSSHRLTVFRSLVIVHSPLRSAQQRSLQMRTLDRCAIRASSAATSAATAASDAAQLRSAREDIKELLRSTFCHPILVRLGWHDAGTYDKNIEEWPQRGGANGSLRFEIELKHAANAGLVNALKLIQPIKDKYSGITYADLFQLASATAIEDAGGPKIPMKYGRVDVSGPEQCPPEGKLPDAGPSSPGAHLRDVFYRMGLDDKEIVALSGAHTLGRSRPERSGWGKPETKYTKDGPGAPGGQSWTVQWLKFDNSYFKEIKERKDEDLLVLPTDAVLFDDPSFKFYAEKYAEDQETFFKDYAESHAKLSNLGAKFDPPEGIDIDDDSKSAPAPEPFIAAKYSSGEKKRELSDAMKQKIRAEYEGLGGSPDKPLKSNYFLNIMILIAVLAILTSLLGN >DRNTG_19333.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5309836:5310218:1 gene:DRNTG_19333 transcript:DRNTG_19333.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVKANGLSKFSPKTTCHTKGRPMVLSY >DRNTG_25383.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24321291:24327211:-1 gene:DRNTG_25383 transcript:DRNTG_25383.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIYDLLLTAFLSVLLAFLVGKIFSIDTDEHDENQGEGVGEDRQQQQQKEEEEEEEEEEEEDKGDGGFERSVVAEEKREGLRESFGGEARVGWEACDLDRIGDDGVGFGVGKDEGEGRRSREGDFLKEMSGGVDQGVVIGGINVVREEGESSEEVGGGFVRGDESRAMVHEFCLAEEVGVGEIQVEVERFGVDDEVYGRQEMELVRTNDDRTVELELKNREIEKRSQVRIEEKEEKVEESEQNCAALGREDMRLGMDEVGVDEEMELKKETQGRLDRDLAMAMTMAEKMEFDKVNEEERLVVGEVGIERHVQPFEGDIEQGKGHDWQIGKEVRVGTKEVGSLLDGEDEWEGIERTELQERFGDANAYVGSRNGVDAISKLSGDVQMQLYGLHKVATEGPCFEPQPSALKISARSKWHAWQRLGDMNPEMAMEKYMDLLSEQIPGWTRETIIVESKQDGSKNVEVAEKSVVEPPDQSSPLTEMPYQLPEIRSEQENTCTEGNVAVGAKVNEPGPGKVDDSPHAPKPE >DRNTG_25383.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24321149:24327211:-1 gene:DRNTG_25383 transcript:DRNTG_25383.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIYDLLLTAFLSVLLAFLVGKIFSIDTDEHDENQGEGVGEDRQQQQQKEEEEEEEEEEEEDKGDGGFERSVVAEEKREGLRESFGGEARVGWEACDLDRIGDDGVGFGVGKDEGEGRRSREGDFLKEMSGGVDQGVVIGGINVVREEGESSEEVGGGFVRGDESRAMVHEFCLAEEVGVGEIQVEVERFGVDDEVYGRQEMELVRTNDDRTVELELKNREIEKRSQVRIEEKEEKVEESEQNCAALGREDMRLGMDEVGVDEEMELKKETQGRLDRDLAMAMTMAEKMEFDKVNEEERLVVGEVGIERHVQPFEGDIEQGKGHDWQIGKEVRVGTKEVGSLLDGEDEWEGIERTELQERFGDANAYVGSRNGVDAISKLSGDVQMQLYGLHKVATEGPCFEPQPSALKISARSKWYNATTVIFLYIFMSYLFASYDGLGILKLIFFFPFTIFVRLMWEVVC >DRNTG_25383.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24321149:24326885:-1 gene:DRNTG_25383 transcript:DRNTG_25383.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVDQGVVIGGINVVREEGESSEEVGGGFVRGDESRAMVHEFCLAEEVGVGEIQVEVERFGVDDEVYGRQEMELVRTNDDRTVELELKNREIEKRSQVRIEEKEEKVEESEQNCAALGREDMRLGMDEVGVDEEMELKKETQGRLDRDLAMAMTMAEKMEFDKVNEEERLVVGEVGIERHVQPFEGDIEQGKGHDWQIGKEVRVGTKEVGSLLDGEDEWEGIERTELQERFGDANAYVGSRNGVDAISKLSGDVQMQLYGLHKVATEGPCFEPQPSALKISARSKWVESCYV >DRNTG_25383.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24321149:24326885:-1 gene:DRNTG_25383 transcript:DRNTG_25383.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVDQGVVIGGINVVREEGESSEEVGGGFVRGDESRAMVHEFCLAEEVGVGEIQVEVERFGVDDEVYGRQEMELVRTNDDRTVELELKNREIEKRSQVRIEEKEEKVEESEQNCAALGREDMRLGMDEVGVDEEMELKKETQGRLDRDLAMAMTMAEKMEFDKVNEEERLVVGEVGIERHVQPFEGDIEQGKGHDWQIGKEVRVGTKEVGSLLDGEDEWEGIERTELQERFGDANAYVGSRNGVDAISKLSGDVQMQLYGLHKVATEGPCFEPQPSALKISARSKWHAWQRLGDMNPEMAMEKYMDLLSEQIPGWTRETIIVESKQDGSKNVEVAEKSVVEPPDQSSPLTEMPYQLPEIRSEQENTCTEGNVAVGAKVNEPGPGKVDDSPHAPKPE >DRNTG_25383.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24321149:24327211:-1 gene:DRNTG_25383 transcript:DRNTG_25383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIYDLLLTAFLSVLLAFLVGKIFSIDTDEHDENQGEGVGEDRQQQQQKEEEEEEEEEEEEDKGDGGFERSVVAEEKREGLRESFGGEARVGWEACDLDRIGDDGVGFGVGKDEGEGRRSREGDFLKEMSGGVDQGVVIGGINVVREEGESSEEVGGGFVRGDESRAMVHEFCLAEEVGVGEIQVEVERFGVDDEVYGRQEMELVRTNDDRTVELELKNREIEKRSQVRIEEKEEKVEESEQNCAALGREDMRLGMDEVGVDEEMELKKETQGRLDRDLAMAMTMAEKMEFDKVNEEERLVVGEVGIERHVQPFEGDIEQGKGHDWQIGKEVRVGTKEVGSLLDGEDEWEGIERTELQERFGDANAYVGSRNGVDAISKLSGDVQMQLYGLHKVATEGPCFEPQPSALKISARSKWHAWQRLGDMNPEMAMEKYMDLLSEQIPGWTRETIIVESKQDGSKNVEVAEKSVVEPPDQSSPLTEMPYQLPEIRSEQENTCTEGNVAVGAKVNEPGPGKVDDSPHAPKPE >DRNTG_25383.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24321291:24326885:-1 gene:DRNTG_25383 transcript:DRNTG_25383.11 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVDQGVVIGGINVVREEGESSEEVGGGFVRGDESRAMVHEFCLAEEVGVGEIQVEVERFGVDDEVYGRQEMELVRTNDDRTVELELKNREIEKRSQVRIEEKEEKVEESEQNCAALGREDMRLGMDEVGVDEEMELKKETQGRLDRDLAMAMTMAEKMEFDKVNEEERLVVGEVGIERHVQPFEGDIEQGKGHDWQIGKEVRVGTKEVGSLLDGEDEWEGIERTELQERFGDANAYVGSRNGVDAISKLSGDVQMQLYGLHKVATEGPCFEPQPSALKISARSKWHAWQRLGDMNPEMAMEKYMDLLSEQIPGWTRETIIVESKQDGSKNVEVAEKSVVEPPDQSSPLTEMPYQLPEIRSEQENTCTEGNVAVGAKVNEPGPGKVDDSPHAPKPE >DRNTG_25383.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24321422:24327211:-1 gene:DRNTG_25383 transcript:DRNTG_25383.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIYDLLLTAFLSVLLAFLVGKIFSIDTDEHDENQGEGVGEDRQQQQQKEEEEEEEEEEEEDKGDGGFERSVVAEEKREGLRESFGGEARVGWEACDLDRIGDDGVGFGVGKDEGEGRRSREGDFLKEMSGGVDQGVVIGGINVVREEGESSEEVGGGFVRGDESRAMVHEFCLAEEVGVGEIQVEVERFGVDDEVYGRQEMELVRTNDDRTVELELKNREIEKRSQVRIEEKEEKVEESEQNCAALGREDMRLGMDEVGVDEEMELKKETQGRLDRDLAMAMTMAEKMEFDKVNEEERLVVGEVGIERHVQPFEGDIEQGKGHDWQIGKEVRVGTKEVGSLLDGEDEWEGIERTELQERFGDANAYVGSRNGVDAISKLSGDVQMQLYGLHKVATEGPCFEPQPSALKISARSKWVESCYV >DRNTG_25383.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24321422:24326885:-1 gene:DRNTG_25383 transcript:DRNTG_25383.12 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVDQGVVIGGINVVREEGESSEEVGGGFVRGDESRAMVHEFCLAEEVGVGEIQVEVERFGVDDEVYGRQEMELVRTNDDRTVELELKNREIEKRSQVRIEEKEEKVEESEQNCAALGREDMRLGMDEVGVDEEMELKKETQGRLDRDLAMAMTMAEKMEFDKVNEEERLVVGEVGIERHVQPFEGDIEQGKGHDWQIGKEVRVGTKEVGSLLDGEDEWEGIERTELQERFGDANAYVGSRNGVDAISKLSGDVQMQLYGLHKVATEGPCFEPQPSALKISARSKWHAWQRLGDMNPEMAMEKYMDLLSEQIPGWTRETIIVESKQDGSKNVEVAEKSVVEPPDQSSPLTEMPYQLPEIRSEQENTCTEGNVAVGAKVNEPGPGKVDDSPHAPKPE >DRNTG_25383.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24321291:24327211:-1 gene:DRNTG_25383 transcript:DRNTG_25383.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIYDLLLTAFLSVLLAFLVGKIFSIDTDEHDENQGEGVGEDRQQQQQKEEEEEEEEEEEEDKGDGGFERSVVAEEKREGLRESFGGEARVGWEACDLDRIGDDGVGFGVGKDEGEGRRSREGDFLKEMSGGVDQGVVIGGINVVREEGESSEEVGGGFVRGDESRAMVHEFCLAEEVGVGEIQVEVERFGVDDEVYGRQEMELVRTNDDRTVELELKNREIEKRSQVRIEEKEEKVEESEQNCAALGREDMRLGMDEVGVDEEMELKKETQGRLDRDLAMAMTMAEKMEFDKVNEEERLVVGEVGIERHVQPFEGDIEQGKGHDWQIGKEVRVGTKEVGSLLDGEDEWEGIERTELQERFGDANAYVGSRNGVDAISKLSGDVQMQLYGLHKVATEGPCFEPQPSALKISARSKWVESCYV >DRNTG_25383.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24321422:24327211:-1 gene:DRNTG_25383 transcript:DRNTG_25383.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIYDLLLTAFLSVLLAFLVGKIFSIDTDEHDENQGEGVGEDRQQQQQKEEEEEEEEEEEEDKGDGGFERSVVAEEKREGLRESFGGEARVGWEACDLDRIGDDGVGFGVGKDEGEGRRSREGDFLKEMSGGVDQGVVIGGINVVREEGESSEEVGGGFVRGDESRAMVHEFCLAEEVGVGEIQVEVERFGVDDEVYGRQEMELVRTNDDRTVELELKNREIEKRSQVRIEEKEEKVEESEQNCAALGREDMRLGMDEVGVDEEMELKKETQGRLDRDLAMAMTMAEKMEFDKVNEEERLVVGEVGIERHVQPFEGDIEQGKGHDWQIGKEVRVGTKEVGSLLDGEDEWEGIERTELQERFGDANAYVGSRNGVDAISKLSGDVQMQLYGLHKVATEGPCFEPQPSALKISARSKWHAWQRLGDMNPEMAMEKYMDLLSEQIPGWTRETIIVESKQDGSKNVEVAEKSVVEPPDQSSPLTEMPYQLPEIRSEQENTCTEGNVAVGAKVNEPGPGKVDDSPHAPKPE >DRNTG_25383.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24321291:24327211:-1 gene:DRNTG_25383 transcript:DRNTG_25383.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIYDLLLTAFLSVLLAFLVGKIFSIDTDEHDENQGEGVGEDRQQQQQKEEEEEEEEEEEEDKGDGGFERSVVAEEKREGLRESFGGEARVGWEACDLDRIGDDGVGFGVGKDEGEGRRSREGDFLKEMSGGVDQGVVIGGINVVREEGESSEEVGGGFVRGDESRAMVHEFCLAEEVGVGEIQVEVERFGVDDEVYGRQEMELVRTNDDRTVELELKNREIEKRSQVRIEEKEEKVEESEQNCAALGREDMRLGMDEVGVDEEMELKKETQGRLDRDLAMAMTMAEKMEFDKVNEEERLVVGEVGIERHVQPFEGDIEQGKGHDWQIGKEVRVGTKEVGSLLDGEDEWEGIERTELQERFGDANAYVGSRNGVDAISKLSGDVQMQLYGLHKVATEGPCFEPQPSALKISARSKWYNATTVIFLYIFMSYLFASYDGLGILKLIFFFPFTIFVRLMWEVVC >DRNTG_25383.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24321149:24326885:-1 gene:DRNTG_25383 transcript:DRNTG_25383.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVDQGVVIGGINVVREEGESSEEVGGGFVRGDESRAMVHEFCLAEEVGVGEIQVEVERFGVDDEVYGRQEMELVRTNDDRTVELELKNREIEKRSQVRIEEKEEKVEESEQNCAALGREDMRLGMDEVGVDEEMELKKETQGRLDRDLAMAMTMAEKMEFDKVNEEERLVVGEVGIERHVQPFEGDIEQGKGHDWQIGKEVRVGTKEVGSLLDGEDEWEGIERTELQERFGDANAYVGSRNGVDAISKLSGDVQMQLYGLHKVATEGPCFEPQPSALKISARSKWYNATTVIFLYIFMSYLFASYDGLGILKLIFFFPFTIFVRLMWEVVC >DRNTG_30460.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10884915:10885747:-1 gene:DRNTG_30460 transcript:DRNTG_30460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWKFHTPYGPNMGVPPPHEFLLNEAPLLFIGSTCKAHLHAPFTSVLKSNMGRA >DRNTG_11443.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6752863:6755802:-1 gene:DRNTG_11443 transcript:DRNTG_11443.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKSMGFKVHSRNYKMRLEGVSTNKTGQFVVVLEVFEVAPSLFMVDVRKAAGNTLEYHKFYKNLRSKLEDIIWKPAEATDSPTLTRTPTF >DRNTG_11443.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6752863:6754198:-1 gene:DRNTG_11443 transcript:DRNTG_11443.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKSMGFKVHSRNYKMRLEGVSTNKTGQFVVVLEVFEVAPSLFMVDVRKAAGNTLEYHKFYKNLRSKLEDIIWKPAEATDSPTLTRTPTF >DRNTG_11443.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6752863:6756041:-1 gene:DRNTG_11443 transcript:DRNTG_11443.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKSMGFKVHSRNYKMRLEGVSTNKTGQFVVVLEVFEVAPSLFMVDVRKAAGNTLEYHKFYKNLRSKLEDIIWKPAEATDSPTLTRTPTF >DRNTG_24804.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31900584:31904690:-1 gene:DRNTG_24804 transcript:DRNTG_24804.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAWRSLLVQSLHRIPSNSSRIQPPNLHFIIQRPLQPCASGSFLSLNSRFRFFSLGSTPDCDGQDHQALAVEIFSKPIGSGEIEAELEAAKLSLDNKMLNLVLRSVEDRPEIARKVFDWVSEKQNRLLSSKSYNLMLGILGSDGDSEGLWATVEIMKKNGYGISKGAYLKALEGFNRKGMEKDSNLLERTYFLNSHENVVVRACPRVCKILRECNESEEVYKNLNELEISLSSDLILAVLDRIGADPRKALMFFRWAEKNPSFRVDGSVYNALARVCGREDCIDEFRVFLQKMKNEGFEMEKETYVKVSDRFYKRKMIVEAVELFEFAMHGSEKPQDSDLLLLLRKIAVSKELDMDLISRAVRIYNEKAGQSVKASVFSKVLKSLASVGKLGDSDEVLKAMEAGGFVPDRGIHAQVVVQLFSLGRLDKALEYMDGLEKLGHTKDADSWAWFLLCSSIQKYCKAHKLDKALSLLHEMIEIRGAAHIDTSVDVLVSSYCHNNKVDAALKILKEMVTMKQLQLSYDTRKILIEKLVSKGKLREASRRMSAALLEAGRYELAYGLLYESPGSGSIYHMKPEEAALSVAV >DRNTG_24804.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31900584:31904690:-1 gene:DRNTG_24804 transcript:DRNTG_24804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAWRSLLVQSLHRIPSNSSRIQPPNLHFIIQRPLQPCASGSFLSLNSRFRFFSLGSTPDCDGQDHQALAVEIFSKPIGSGEIEAELEAAKLSLDNKMLNLVLRSVEDRPEIARKVFDWVSEKQNRLLSSKSYNLMLGILGSDGDSEGLWATVEIMKKNGYGISKGAYLKALEGFNRKGMEKDSNLLERTYFLNSHENVVVRACPRVCKILRECNESEEVYKNLNELEISLSSDLILAVLDRIGADPRKALMFFRWAEKNPSFRVDGSVYNALARVCGREDCIDEFRVFLQKMKNEGFEMEKETYVKVSDRFYKRKMIVEAVELFEFAMHGSEKPQDSDLLLLLRKIAVSKELDMDLISRAVRIYNEKAGQSVKASVFSKVLKSLASVGKLGDSDEVLKAMEAGGFVPDRGIHAQVVVQLFSLGRLDKALEYMDGLEKLGHTKDADSWAWFLLCSSIQKYCKAHKLDKALSLLHEMIEIRGAAHIDTSVDVLVSSYCHNNKVDAALKILKEMVTMKQLQLSYDTRKILIEKLVSKGKLREASRRMSAALLEAGRYELAYGLLYESPGSGSIYHMKPEEAALSVAV >DRNTG_24804.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31902428:31904690:-1 gene:DRNTG_24804 transcript:DRNTG_24804.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAWRSLLVQSLHRIPSNSSRIQPPNLHFIIQRPLQPCASGSFLSLNSRFRFFSLGSTPDCDGQDHQALAVEIFSKPIGSGEIEAELEAAKLSLDNKMLNLVLRSVEDRPEIARKVFDWVSEKQNRLLSSKSYNLMLGILGSDGDSEGLWATVEIMKKNGYGISKGAYLKALEGFNRKGMEKDSNLLERTYFLNSHENVVVRACPRVCKILRECNESEEVYKNLNELEISLSSDLILAVLDRIGADPRKALMFFRWAEKNPSFRVDGSVYNALARVCGREDCIDEFRVFLQKMKNEGFEMEKETYVKVSDRFYKRKMIVEAVELFEFAMHGSEKPQDSDLLLLLRKIAVSKELDMDLISRAVRIYNEKAGQSVKASVFSKVLKSLASVGKLGDSDEVLKAMEAGGFVPDRGIHAQVVVQLFSLGRLDKALEYMDGLEKLGHTKDADSWAWFLLCSSIQKYCKAHKLDKALSLLHEMIEIRGAAHIDTSVDVLVSSYCHNNKVDAALKILKEMVTMKQLQLSYDTRKILIEKLVSKGKLREASRRMSAALLEAGRYELAYGLLYESPGSGSIYHMKPEEAALSVAV >DRNTG_15013.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23855597:23856206:-1 gene:DRNTG_15013 transcript:DRNTG_15013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIHFLETHPCLILLLFIKDLELENCVNATTCLPRRAKVVKVERGCSANTFVDNAAYREFLRSKFNVSPVDMETAAVALVCLQQTSTPFIAFRALSDLAGGGSALSNEASLFASLASQNAVTVALQFIHLISSSKLDFGSFYVQ >DRNTG_11332.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23567186:23569573:1 gene:DRNTG_11332 transcript:DRNTG_11332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHKLFTLLYSLFFFQCFTSFYRHSFGNPLSSVLNDQHDKKESQLRTYIIHIRRPKHDELLGDEDVEDWHRSFLPNTTLDTGEPRLIHSYRHAISGFAARLTSEEVRAIESMEGFLIARPSRTLKLSTTYTPRFLGLDRQSGLWVDSFMGSGVIIGVVDSGITPHHASFMDDGTMPPKPPSWKGSCRFLNKTLCNNKLIGAIAFRGSRRPSPKDAKNDGHGTHVAGIAAGSFVNNANVLGLARGTASGTAPKAHLAIYKACSTSGCSGADIVAAIEEAMKNGVDILSLSLGQKSRPFYNDDIMIATLSAVRAKIFVCMAAGNSGPSRESVENGAPWILTVGASTHDRRVSAIVKLGNGVEVEGESGYQPNIFNATGNIIFPGFGSQNGTLGCKKNSFNNTNVKGKIVLCNIEEGKYRDMSVNVKAAGGVGMIVLDTFVEGATTLSYDYVLPTAHVNYTTARKIVNYLRNTSSAATATIAFNGTKFGARPSPTVGFFSSRGPHPYNGGIIKPDILGPGVNILSAWPVRPGPNPNGPPGSYFNFDSGTSMATPHLAGIAALLKNIHKNWSTAAIKSAIMTTANRFDLDGNPILDDYKEHINHANATDMGSGQVNPVAVNDPGLIYDINPDHYIQYLCGLGYNDTQVSTVAGSSVQCSIVGSIAPEDLNYPSISISLDPSKTKSVNRTLTNVGDANEVYNIDVEEPKGISVVVSPSSIQFSQIGEEKNIILEFSSKGMPLNQGNILYGQLKLDSGKHFIRSPISVAIL >DRNTG_19586.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001023.1:24230:24619:-1 gene:DRNTG_19586 transcript:DRNTG_19586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFLAVLYFTLLIFSTVSTATIPCKTSPAPIKFPPKGPPVNPFCPWDTVKLGACINVLGDLGHLVSGESLGNKCCPLLEGLTDAEVAACFCTVIKESVLGITTKWTVTLSLLVSSCKKEIPDGFKCV >DRNTG_34749.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002187.1:5162:10124:1 gene:DRNTG_34749 transcript:DRNTG_34749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPPLLLHPRASLPSLSSLYTRGFLLTRTELSSFSRCSDDHPCSAPLNSTAHSDPFQRPDRDHDQWCWTRPAVDRIVIIVLDALRYDFLSPSTFFEEKKPWMDKLTVLQRLAAKEPLSARIFKAIADPPTTSLQRLKGLTTGGLPTFIDVGNSFGAPAIVEDNLIHQMAQNGKRVLMMGDDTWLQLFPNHFKTAYPYPSFNVKDLDTVDNGVVKHLFPSLYQDDWDVLIAHFLGVDHAGHIFGVDSMPMVQKLEQYNSILERVVEVLKNQSGPGGLHENTLLIVMGDHGQTLNGDHGGGTAEEVETSLFAMSLRSPPPSVSSILDHSTCKLDLEGEMICTSSMQQLDFATTLAALLGIPFPFGSIGCVNPELYALSGGTWDGQWTKNRNQEYCSDLEEWMQTYTNVLCINSWQVNRYIDMYTSTAVIGLPSEDLRHLRELYTQAQTNLQNSISSTCSESTLQRQIDAYSDYLGSVAKLARSAWTEFNLILMFA >DRNTG_34749.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002187.1:5162:6492:1 gene:DRNTG_34749 transcript:DRNTG_34749.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPPLLLHPRASLPSLSSLYTRGFLLTRTELSSFSRCSDDHPCSAPLNSTAHSDPFQRPDRDHDQWCWTRPAVDRIVIIVLDALRYDFLSPSTFFEEKKPWMDKLTVLQRLAAKEPLSARIFKAIADPPTTSLQRLKGLTTGGLPTFIDVGNSFGAPAIVEDNLIHQMAQNGKRVLMMGDDTWLQLFPNHFKTAYPYPSFNVKDLDT >DRNTG_08423.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31048711:31049496:1 gene:DRNTG_08423 transcript:DRNTG_08423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKKKKVKLAVLQFYRVDDSGKVVRLRKECPNTECGAGTFMANHFDRHYCGKCGLTYVYQKAQGE >DRNTG_01751.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4337790:4344595:1 gene:DRNTG_01751 transcript:DRNTG_01751.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDKILPFSSTLGWHSLNVNGEVQTRKGLRWIPRHPETRKGVASDEMLRGVENKHRSGDSRIGQPFELLPNPWAGKRQPGELKHLSSQRKRKQKRFP >DRNTG_01751.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4337790:4344595:1 gene:DRNTG_01751 transcript:DRNTG_01751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDKILPFSSTLGWHSLNVNGEVQTRKGLRWIPRHPETRKGVASDEMLRGVENKHRSGDSRIGQPFELLPNPWAGKRQPGELKHLSSQRKRKQKRFP >DRNTG_01751.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4337790:4344595:1 gene:DRNTG_01751 transcript:DRNTG_01751.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDKILPFSSTLGWHSLNVNGEVQTRKGLRWIPRHPETRKGVASDEMLRGVENKHRSGDSRIGQPFELLPNPWAGKRQPGELKHLSSQRKRKQKRFP >DRNTG_01751.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4337790:4344595:1 gene:DRNTG_01751 transcript:DRNTG_01751.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDKILPFSSTLGWHSLNVNGEVQTRKGLRWIPRHPETRKGVASDEMLRGVENKHRSGDSRIGQPFELLPNPWAGKRQPGELKHLSSQRKRKQKRFP >DRNTG_01751.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4337790:4344595:1 gene:DRNTG_01751 transcript:DRNTG_01751.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDKILPFSSTLGWHSLNVNGEVQTRKGLRWIPRHPETRKGVASDEMLRGVENKHRSGDSRIGQPFELLPNPWAGKRQPGELKHLSSQRKRKQKRFP >DRNTG_27088.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17467832:17481871:-1 gene:DRNTG_27088 transcript:DRNTG_27088.1 gene_biotype:protein_coding transcript_biotype:protein_coding FASRTNSLTTFVSPYLQGAADEVEAVRQENAELRIAKNDLAKRLSEVAEAAVILSAKKC >DRNTG_19694.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001040.1:101346:101998:1 gene:DRNTG_19694 transcript:DRNTG_19694.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLVLHPMGCVLSLNHEHGPKCGDTERLYGAYERLIRPSPRASLVMMEQGIWPPSRGPKTDISTSKLAHGRFNVRDRTEWRYLKVGHLRADHFERHSTSMSPIAIGNSMYNIMYSTLEADGLMVAEADDCPIQLK >DRNTG_11272.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2708789:2709472:-1 gene:DRNTG_11272 transcript:DRNTG_11272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREHHIPYKQAWFGKEHARVILDGSDISGYDLLFWYVDKVVKTNPDSIAIVERDGERFKRVFFSFRACIVGFKRACWPLLFVDGTHLLGKYRGTLLCGTGKDGNNGFFHVAFGIVDNETDANWTWFISKLGDALYKERDYHEIITFVSDRSKGLVNAIARVFPSSPHAYCLRHLEANFMKANVRLGEALREECWSIYLRIVWASTAKEFNDTVNEL >DRNTG_24843.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29356835:29363218:1 gene:DRNTG_24843 transcript:DRNTG_24843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARNEGLGPPGQRIAPAASGSGHASARLPEFHVSGGAAPVLNYSIRTGEEFAFEFIRERALPRKSSAPNAPGDQNAPASAYMDPRGILGISHTGSEAGSDASMFVTGDRSQTKEIEKRSFSEVDNKGHYASASSLPQASTGDGSSRGISHGYASSDTSDNSSTKMKFLCSFGGRILPRPSDRKLRYVGGDTRILRLSRDVSWRELVQKTLAIYHQPHTIKYQLPGEDLDALVTVSSDEDVQIMMEECSVLGGDGSQKLRLFLLPSGDFDDMHYGLGSLDSDSEVHYVVAVNGIDAGAGKSLDGHGLGSVSSDLDQLLNLNFENDSIVASKPAGVSVNPMAGNPEPPPMLSSNMQENLPNNYDSTMYSHQGNAVPYFEGRPYPYTVIPQHVNIHNSDGRMSMPISVPSDYDYNYHYLSHGGISVPPPPPPQLNFFHQGVNEGVHSFTRAQGPVMITQEMNLTVDGASLQKNESAYIHSFEVAPKQHNDGPVRKFSQVESFGGAAPEHVPSVLPFKHGAKNVEPAQILSSHDANPGQVCDSNEDDRQSAGAFTSGYSDYEGDMHDLGYNDLSARPSRVYHSEMIPRELGESRNRLSKSDDSIGSQYLILHSRSGVTQDSIAEAVDPSLEGKSIDQPTLAGNPLNSYSKPTMEPPMRLEKRDFKDANSQMKQTEGASIPEESKAVTSYHQPLGGNDNDALINRNSQVHEEAVINPVVRVMNDPADGKSDEQNQNIGRRIQNPEFDQAMKTSVYDNNTLNENKILEESDSSKSLENLVNAGEKSTGKVNMKQPPVDGAGAVEMVQTSAVADIVNKQQENLASVLPKIHWEEKTAKDAYGFQGSSAGAAEEEPTSVPGQKDILIDINDRFPPGLLSNIFTQARFSDDPSSINPLRKDDAGLSLNMQNHEPQKWSFFRNLAPDEFSRKDVSLMDQDHIGYSSLLKNGEELAARTYEFSTLQNEGVDVSHIGLPIDLEDEMQQELSGKTVDGTNTLHPPVGISSQVVHTHLKDKVGESLQVDNPYSNIGENLRNPVSEYEELKFDVGLAGGPVIDVSAADVDLSDLQIIKNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFTGRSSEQERLTIEFWREADILSKLHHPNVVAFYGVVQDGPGGTMATVTEFMVNGSLRNVLQRKDRYLDRRKCLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGGSNKVSEKVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPASCDPEWRKLMEQCWSPDPAQRPSFTQIASRLRTMSAAVQSKSSK >DRNTG_22759.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4072813:4073677:-1 gene:DRNTG_22759 transcript:DRNTG_22759.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPLRLASLLLSSTQTLLLPSSAAALVEEFYAITWESPKEQIFEMPTGGAAIMRQGPNLLKLARKEQCLALGTRLRSKYKINYQFYRVFPNGEVQYLHPKDGVYPEKVNPGRQGVGQNFRSIGKNVNPIEVKFTGKQVYDL >DRNTG_33152.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001961.1:28700:29324:-1 gene:DRNTG_33152 transcript:DRNTG_33152.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARELQETLDLIIGNYSGGNLVASLLAYKLGVTQGT >DRNTG_16595.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000828.1:17401:19893:1 gene:DRNTG_16595 transcript:DRNTG_16595.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFPSQCSLVKIMLISIVAFVSVLIPTSEAAVKKYQFDVVVKNVSRLCHAKPIVTVNGMYPGPTVYAREGDRVIITVTNHAQYNMSIHWHGLKQVRNGWADGPAYITQCPIQSGNSYTYDFNITGQRGTLWWHAHILWLRATVHGAIVIMPQVGVPYPFPQPHSEAELMLGEWWNADVETIENQGNILGLPPNMSDAHTINGKPGPLFPCSDKHTYALEVEWGKTYLLRIINAALNDELFFAIAGHSMTVVEIDAVYCKPFTTEALLITPGQTTNVLVQANQSPGRYFMATRPFMDAPVLVDNKTATAILQYKGVPTTVLPLLPKLPAPNDTTFADSYLDKLRSLNTAQFPANVPLTVDSHLFYVIGLGANPCATCLNGTRFTASLNNITFMMPKIGLLQAHYFNTKGVFRLDFPDKPVTPFNYTGAPLTANLGTSMGTRLSKVAFNSTVELVLQDTNLLTVESHPFHLHGYNFFVVGTGIGNFDPAKDPATYNLVDPPERNTVGVPTGGWTAIRFRADNPGVWFLHCHLELHTTWGLKMAFVVENGNGPEQSVLPPPKDLPLC >DRNTG_08861.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27776266:27778390:-1 gene:DRNTG_08861 transcript:DRNTG_08861.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANFPFSLFTSLLLLFCTASPFRHRTLSKSDVDLIEFPLNLEYFEAEFFLWGALGHGLDTVAPNLTLGGPPPIGAQQARLNPFVKDIITQFGYQEVGHLRAIKSTVKGFPRPLLDLSSKTFAKVMDSALNLTLEPPFDPYANELNFLIASYLIPYVGLTGYVGANPLLTNPHAKRLVAGLLGVESAQDAVIRTLLYERATNSVHPYNITVADFTSRISELRNQLGGSGIKDEGLIVPPYRGAEGWIQGNIIAGNRYSLSYKRTPTEILRIIYGTGSADKPGGFYPKGADGRIAKSYLGKHI >DRNTG_25252.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19295435:19295741:1 gene:DRNTG_25252 transcript:DRNTG_25252.1 gene_biotype:protein_coding transcript_biotype:protein_coding YFLIFDDHHHIHHSFSSIGPDGTKKAYVRLTPDYDALDVANKIGII >DRNTG_02264.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:981922:986406:-1 gene:DRNTG_02264 transcript:DRNTG_02264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLGMEPRPPASETAEKALSFLSRGWREVRDSAGADLQLMRARARSFKSLADKELENFLNSASPFSSSSADASLPELNFVKRIQPKISEFRLAQSSPDFSRKVLEKWSPRPEIRIDLSGIRDAIVSEVDESWGLWDFGRDGLRRKGRRVKWREREGEREEGKEWEPIRILKMRLKEFEKKSQSIEIFGGFKGSDFIEKMKLNLKSIYKEPEESQSIPPLDVSELLAYLIRQSTPLFDQLGLKRDVCDKIVESLCSRRKDQLTSHSLSTRDMPLLGNDNIHDELDCRIASVLHSTGHHYEGSYWTDFVKRDADDSKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKSAKQNVTLVVPWLCKSDQELVYPNSLTFSSPEEQEAYIRNWVEERVGFKADFKISFYPGKFAKERRSIIPAGDTTRFISPHEADIAILEEPEHLNWYHHGVRWTDKFNHVIGVVHTNYLEYIKRERFGAFQAFLVKHINNLVTRAYCHKVLRLSSATQDLPKSVICNVHGVNPKFLKIGENIAAERERGQQAFSKGAYFLGKMVWAKGYSELIDLLSKHKNDLQGFKLDVYGNGEDSREIQSTARKLDLNLNFLRGRDHADDSLHGYKVFVNPSVSDVLCTATAEALAMGKFVVCADHPSNDFFRAFPNCLTYKTSEDFVARMKEALANEPQPLTPEQRYTLSWEAATQRFLQTSELNEVLFNNNGESTDLSNVRKMKKSASTPGLSDVIDGGLAFAHYCFTGNEFLRLCTGAIPGTRDYNRQHSLDLRLLPPQVQNPIYGW >DRNTG_21700.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:936654:940519:1 gene:DRNTG_21700 transcript:DRNTG_21700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKESTNGDNHSVTKPPPTPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNFFTGSKDNLRKWIGHPRFELIRHDVTEPLLVEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQKEEYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRGETLTVQAPGTQTRSFCYVSDMVNGLIRLMEGESTGPINIGNPGEFTMMELAETVKELINPSVSVTIVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGLPLMEEDFRHRLGVPKNA >DRNTG_21700.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:936320:940519:1 gene:DRNTG_21700 transcript:DRNTG_21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKESTNGDNHSVTKPPPTPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNFFTGSKDNLRKWIGHPRFELIRHDVTEPLLVEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQKEEYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRGETLTVQAPGTQTRSFCYVSDMVNGLIRLMEGESTGPINIGNPGEFTMMELAETVKELINPSVSVTIVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGLPLMEEDFRHRLGVPKNA >DRNTG_00403.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2823401:2824381:1 gene:DRNTG_00403 transcript:DRNTG_00403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTPSTSTSTSTSTSQDQQQQQNQGGGGGNGNSGISMSPRISFSSDFILDKPIPSSSSSPATPGNPDFEFAVGTRPMMAADELFFKGRLLPLRHGHGHGGAPPRPTTTLRDELRSGSGADDVASRPKPCPPKWKGLLGLKKVGGHYGNKKHVDKSDHVGAASSFKFASSTQEVLEEEEED >DRNTG_00403.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2823401:2823931:1 gene:DRNTG_00403 transcript:DRNTG_00403.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTPSTSTSTSTSTSQDQQQQQNQGGGGGNGNSGISMSPRISFSSDFILDKPIPSSSSSPATPGNPDFEFAVGTRPMMAADELFFKGRLLPLRHGHGHGGAPPRPTTTLRDELRSGSGADDVASRPKPCPPKWKGLLGLKKVGGHYGNKKHVDKSDHVGAASSFKFASSTQVGW >DRNTG_27918.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22858320:22861999:1 gene:DRNTG_27918 transcript:DRNTG_27918.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFRLGFKLMRMLCNRCEHSNVQTLVSLYEGRVTSWELVLAEICERWGLEVSLVMVKFITPDGYKTVCPIENDVDFQRMSHVHSIFKCAVVDLVVETENVPLLNPNENKFYSFVGRNSDSASAPVHPHGDPDGVGCLPSSSDHSEVLSLDIGQRFDGVEHFRDVLRNHAIKRNFDFKFIKNEKHRVTVECAADGCHWRLHASKEYNKNTFRIKTINPSHTCGGGIGSASHPKASKKWVSARVIQKLKDRPLYKAIDIQKDMLREHGVHIPYKQAWLGKEHARVVLDGSDIYSYDYLLWNVDKVAETNSGSVAIVERDGDRFKRVFFSFSACIVRFKRACRPLLFLDGTHLLGKYRGILLGATGKDGNNGFFHVAFGIVDNEIDANWTWFISKLGDALYEDGDYHEIITFVSDRSKGLVSAIARVFPSSPHAYCLRHLEANFMKANVRLGKSLREECGLYASALRGHPRLKISMIP >DRNTG_05537.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16829075:16830856:1 gene:DRNTG_05537 transcript:DRNTG_05537.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHTCLRLISIIHLNSKFSSFHALKCTHESFKKMHHPDKDMHHDLDAYQVPGKMPDRDYRAQNALIRSCTMNHQYNESVIVFHRMHCDVVRPDCLALAAVVKSSAVLLLHGFGRSLHGFAVKGGYVMIAAVAKALMDMYARFDELHDAHRLFAEMDWRDSVSWNILLSGYARAELNVQVMNLFCLMHAQGDEDAKPTAITIAVVLPVCAKMRSLKSGQIVHVYAIRTGLESETLVGNALLSMYAKCGSVRDEAHYVFNHIGCKDVISWNSMISGYSEQGFFAEAFQMFKQMISSSFKPNHATVATILPICALVENGYLCGKEIHSYVLHSGLENDLSSNNALLTYYSKIRDMCIAESIFANMEVRDLVSWNTMITGYAMDGHPLRTLGLLHELLLTGMEPDSITLIGVLSACTQLHNVKEGKKIHNYVLQHPKLCLETSIGNALISFYAKCDKLDDALKTFMGMQRRDLISWNSMTTAYADKDQWEKLAELLNKMSNERVQPDSVTILSVLQGSTFLGIEKVREVHGYSLRTGIISKLTVGNAILDAYAKCGSIES >DRNTG_24935.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001317.1:75369:75817:1 gene:DRNTG_24935 transcript:DRNTG_24935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLVNNNCLQPPTEMRLSTSSTNSSPCCKSLNMLLSRNRVRPFISLLL >DRNTG_02198.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1315854:1319529:-1 gene:DRNTG_02198 transcript:DRNTG_02198.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKDIMSVIRSADSSSTTYGNANIYARRSSGDNSGLNSQMLNSDKRMFNHYGLDTSHPEIYSHQYFNSSLSPAQHNLFHGSNSGVPGTMIRSQVTPAQYQLLAASQSPIVSENPYSACFSAVRQQDSPLTSNISHQSTQSVSDSQTVENDLDFDEDVIRLKLQELERALLADNDDELVAIDQEMSIDDDWSEPIKNAISPNSPKESSSDSNLSSTDSSREARTPKQLLFDCAAAISEGHMEEASSMITELRQMVSIQGDPPQRLAAYMVEGLAARMASSGQGLYRALKCKEPPTSDRLSAMQILFEVCPCFKFGFMAANGAIAEAFKDEERVHIIDFDINQGSQYITLIQALSNPRSKAPRLRITGVDDPESVQRAVGGLKVIGKRLEELAKEHGVQFEFRAIAAKTGDVTPSMLDCRPGEALVVNFAFQLHHMPDESVSTVNQRDRLLRMVKGLNPKLVTVVEQDVNTNTAPFFPRFVEVYNYYAAVFESLDATLPRSSPDRMNVERQCLARDIVNIVACEGADRIERYEAAGKWRARMMMAGFVSCPLSTYVNGAIRTLLKPYCDRYKVKEEGGALYFGWEDKILVVASAWK >DRNTG_02198.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1315854:1319529:-1 gene:DRNTG_02198 transcript:DRNTG_02198.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKDIMSVIRSADSSSTTYGNANIYARRSSGDNSGLNSQMLNSDKRMFNHYGLDTSHPEIYSHQYFNSSLSPAQHNLFHGSNSGVPGTMIRSQVTPAQYQLLAASQSPIVSENPYSACFSAVRQQDSPLTSNISHQSTQSVSDSQTVENDLDFDEDVIRLKLQELERALLADNDDELVAIDQEMSIDDDWSEPIKNAISPNSPKESSSDSNLSSTDSSREARTPKQLLFDCAAAISEGHMEEASSMITELRQMVSIQGDPPQRLAAYMVEGLAARMASSGQGLYRALKCKEPPTSDRLSAMQILFEVCPCFKFGFMAANGAIAEAFKDEERVHIIDFDINQGSQYITLIQALSNPRSKAPRLRITGVDDPESVQRAVGGLKVIGKRLEELAKEHGVQFEFRAIAAKTGDVTPSMLDCRPGEALVVNFAFQLHHMPDESVSTVNQRDRLLRMVKGLNPKLVTVVEQDVNTNTAPFFPRFVEVYNYYAAVFESLDATLPRSSPDRMNVERQCLARDIVNIVACEGADRIERYEAAGKWRARMMMAGFVSCPLSTYVNGAIRTLLKPYCDRYKVKEEGGALYFGWEDKILVVASAWK >DRNTG_02198.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1315854:1319529:-1 gene:DRNTG_02198 transcript:DRNTG_02198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKDIMSVIRSADSSSTTYGNANIYARRSSGDNSGLNSQMLNSDKRMFNHYGLDTSHPEIYSHQYFNSSLSPAQHNLFHGSNSGVPGTMIRSQVTPAQYQLLAASQSPIVSENPYSACFSAVRQQDSPLTSNISHQSTQSVSDSQTVENDLDFDEDVIRLKLQELERALLADNDDELVAIDQEMSIDDDWSEPIKNAISPNSPKESSSDSNLSSTDSSREARTPKQLLFDCAAAISEGHMEEASSMITELRQMVSIQGDPPQRLAAYMVEGLAARMASSGQGLYRALKCKEPPTSDRLSAMQILFEVCPCFKFGFMAANGAIAEAFKDEERVHIIDFDINQGSQYITLIQALSNPRSKAPRLRITGVDDPESVQRAVGGLKVIGKRLEELAKEHGVQFEFRAIAAKTGDVTPSMLDCRPGEALVVNFAFQLHHMPDESVSTVNQRDRLLRMVKGLNPKLVTVVEQDVNTNTAPFFPRFVEVYNYYAAVFESLDATLPRSSPDRMNVERQCLARDIVNIVACEGADRIERYEAAGKWRARMMMAGFVSCPLSTYVNGAIRTLLKPYCDRYKVKEEGGALYFGWEDKILVVASAWK >DRNTG_20388.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19249682:19250914:1 gene:DRNTG_20388 transcript:DRNTG_20388.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SHI-related sequence3 [Source:Projected from Arabidopsis thaliana (AT2G21400) TAIR;Acc:AT2G21400] MAQVNQDQELHNTNFLTTTTTTTTNNKSCHPWQDYLFQYHHHQPTLISFESHPTSSGSAGAGMSCQDCGNQAKKDCAHTRCRTCCKSRGFPCPTHIKSTWVPVSKRRDRRPQPLTIPAEQSFPAEITSPATFRCVRVSPIDDADDELAYQTSISIAGHVFKGILYDQGPDSTPSSSSDPNPNPHPHPHPHLGSVNPSSAYSYPQPPPLNDFISGNQFFHHHSRP >DRNTG_09431.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21180740:21181020:-1 gene:DRNTG_09431 transcript:DRNTG_09431.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGKIKKGAGGRKGGGPKKKPVSRSVKAGLQFPVGRIGRFLKKGRYAQRVGTGAPVYLAAVLEYLA >DRNTG_32449.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18957586:18960963:-1 gene:DRNTG_32449 transcript:DRNTG_32449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKELFVITSWRRRQWMGIPATRRASRPCFNGKGELGKFKLELSTIFVMMEVKSIPPSVGQTGDFAHLSFFVILGRKSMKGGRLWNKINTMSSIAASVSQSVSIRVFASKLCVENINYEPLIKDLFCIREGDNREENAIEIMTARVSGATSSATMALLRTSKRAVKLRLSLISLLLSSLPEEMPPLATLLVSQPFGNRSLSLDKGNSIFGSEAMKVVRVARRG >DRNTG_31090.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15741181:15759260:1 gene:DRNTG_31090 transcript:DRNTG_31090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFETSDAMKAPKQSDSTQLESGQQSLSSSPVRKATKDENYETLMEELIALRAKVNVLKRKEDVINRNEEQPPHDDPSESPAIKFKKVASKPRVPKPPLADVSEASHTRKGKKRTAATPSQMSVLNEQTTDDIDNAIESLTQLKNDEKLFVKEGEKEPSPVEEIQDIPTSLEDTGLHICHENVKEPPKNFIPEKKMTRRTTVWSNVWGHTTRCHLHALIDGKLMVPDSVIDVFVLMLLDSLKKSPTSSIDSETCSHAGCFEQTLHLIVLDKDEKAYFHYSSVRSPVYDHAANAMRSLFEDRLQIKFNINEAASYTLTHFPSHQSLQAKPSHSTALSNGRQRRLRRPQRGLNVINAIAGAYSENLSIVFIICGQTPMTTTIMNHLEDAHEVIDGACDINSVEGEQPTYISIGCNLAGIPHPTLSKEPVPFFLSPKLMLMPVNLVNRRCYLTPVGETMAELKVHMSGQHYIVVFQKKKTHTSFEDKYLSKTYKRHRDSIKKTLDQIVRKTGEEDSFVKLLMMYLMGTNLFPNTSCSVPNWIVDYVDDLLGMAQYAWAHATHKWLMEDIPQMAARVHARYAGKKTNTRYLKGCTVALNIFYEFTGTGKKLHFGKTPWILCYGENSYQKQASLGPMLSSLKRKEATCHRDDIALTPQSRRKDERPTSSSCVRKRSPSSNPTCARFSLLHTSSPEGNRRRPHCMSIAGVRNTNKRVPRLVACIEALEGHSQSNTTSLIINEGPATDASRNSLDAIDIVPAFTDDLIGLAIRKGPRLKRHITRRISTMSLLPSPDVKTIVALPAMDRPDTRPASEKIGPTIPLVAVDQPPINVSPVDDAVTADINRIIEILTNGFSVSMELANDSATLKEDTIPQEHEAVTTGDELLFHQQKTTCAPSTEHQQTSTTTLKGPSLRVEDVSAIPGDEPSGIPTTEQSQPFKDGTNIAIAYSETHQLDTLKPKDATKERKGNANDET >DRNTG_22810.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9259840:9261806:-1 gene:DRNTG_22810 transcript:DRNTG_22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKKKEEFEQARQVSSGHDEAMNNMEEIGQIEYIGAENEKQEIEYQFEILDCVTEDCACDRENFQGDLLVSCSSQVENTLEEANPKVMEQASFFGIDQLLQCKREVLGREEDVGREIESHPMTHLC >DRNTG_13327.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23138298:23140923:-1 gene:DRNTG_13327 transcript:DRNTG_13327.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALGYDKAKEMLEKNRHVLETIAEQLLEFENLNGEENSWLKMEMVEDEEDTRSFLFFVTKPKNIL >DRNTG_15670.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28855022:28858498:-1 gene:DRNTG_15670 transcript:DRNTG_15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSFIKVVAKNFDVLAGPLVTLVYPLYASVKAIETKSPIDDQQWLTYWVLYSLITLFELTFAKVIEWLPFWSYAKLIFNCWLVLPYFSGAAYVYQHFVRPLFVNHQTVNIWYVPRKKDIFGKSDNALQAAERYIEENGPEAFENLISKAEKAPKARKGKTKSILEEVAAEQEAKALNSNSYSIFDDLYRY >DRNTG_22375.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6180695:6181180:-1 gene:DRNTG_22375 transcript:DRNTG_22375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQTQTHLLLYNSKASIYYNPLAQQCPIGLNLQ >DRNTG_13514.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21967174:21969090:1 gene:DRNTG_13514 transcript:DRNTG_13514.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter 2 [Source:Projected from Arabidopsis thaliana (AT2G38290) UniProtKB/Swiss-Prot;Acc:Q9M6N7] MATPSSSSPDWLNKGDNAWQLIAATLVGVQSMPGLVILYGSIVKKKWAINSAFMAIYAFAASLIVWVLVAYRMAFGDKLLPFWGKAAPALGQKYLLAHAAVPETDHYYKNGTLETPMDMPSYPMATLVGFQFMFAAITLLLLAGSVLARISIKAWMAFVPLWLIFSYTVGAFSLWGGGFLYHWGVIDYSGGYVIHLSSGVAGFTAAYWVGPRLKSDRERFLPNNILLMIAGAGLLWMGWSGFNGGAPYSANVISSVAILNTNISAAASLLVWTCLDVVYFGKPSVIGAIQGMITGLVCITPGAGLGSDGNGSACRKCAMVSMMVLHKKWVLLQKVDDTLAVFHTHAVAGLLGGLLTGLLAEPALCRRFLPVTNTKGTVYGGGAVQLMKQLVGALFVAAWNVVVTSIILMVIGWFTPLRMSEEELAIGDDAAHGEEAYALWGDGERYDTTRHGSVYGGHEGLMLNSGVVNGARGVTIQL >DRNTG_15344.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20742541:20742939:1 gene:DRNTG_15344 transcript:DRNTG_15344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFTNQVIAQLELWKERASGKYEKKVYVLPKHLDEKVVALHLSKLGAKLTKLTPSRAEYISVPVEGFYKPPHYRY >DRNTG_03509.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:15848892:15850279:-1 gene:DRNTG_03509 transcript:DRNTG_03509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHNMDPFEVNVPGGGRQLNNDETWTIKVNANTTDGYVWARTGYNCDSSSHGSCETSGCNGLLQCQCYDQPPNTLAEFTLNQFQNLDFIDNSLVDGFNVPMDFSPTGGCERGIWCSADINGQWSAVLKDPGGCNNPCTVIKIDEYCSNSGSYQPTNYSIFYKGLCLDAYSYPKGDQNTKVVFNCSGGTNYKIVFCP >DRNTG_26429.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23942318:23943153:1 gene:DRNTG_26429 transcript:DRNTG_26429.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFVLPSKYTKAEEAPKPTDERVVIREEGERKYGVVKFSGVATDKVVKEKVEMLRRSLERDGHIVAGDFLLARYNPPWTLPLLRTNEVMLPVE >DRNTG_26429.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23942150:23943153:1 gene:DRNTG_26429 transcript:DRNTG_26429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFVLPSKYTKAEEAPKPTDERVVIREEGERKYGVVKFSGVATDKVVKEKVEMLRRSLERDGHIVAGDFLLARYNPPWTLPLLRTNEVMLPVE >DRNTG_05995.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19604516:19610292:1 gene:DRNTG_05995 transcript:DRNTG_05995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRSRRPSRRGSPSSRSPRGKPWFILSTPLKIKSAMEIENLR >DRNTG_05258.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000237.1:59183:62471:-1 gene:DRNTG_05258 transcript:DRNTG_05258.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 26, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G10620) UniProtKB/Swiss-Prot;Acc:Q9CAF2] MLSLCRLGLVHYPALHKRATSFPFYPSRSVKFTPLPLHKTSRIAAAVTVAIVAAGASMEASPPGYRRNVGICLVNASSKIFAASRLDIPGTWQMPQGGVDEGEDPRIAAVRELKEETGVTSAELLAEVPYWLTYDFPPEVCEKLNKRWGSNWKGQAQKWYLFRFTGEEEEINLAGDGSEKPEFEKWTWMTPEELLDHIVGFKKPVYEEVLKYFAPHLQSDPAPNI >DRNTG_28718.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27442444:27448791:-1 gene:DRNTG_28718 transcript:DRNTG_28718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSRVLVLKLNKNSQHCVFIAYLCFLFPLQGIHNLSKSLAKASQNPSRKRL >DRNTG_15723.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4306995:4312267:-1 gene:DRNTG_15723 transcript:DRNTG_15723.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRSLVLEGSVVEQKEDPPRPPLVPSEKNNAVTAARKPRLREISSRYKSAASSTTTAPRRCPSPSAGRTSPTPGAALPKRSQSAERRRPSTPTSRYSAPSSPTSRPSTPSTPSSRSTTPVRDSGVDLQSATRRLLGGRAHDGLWPSMRSLTASFQSESISVPVIRKERPFRDPSPDRSLKSSINVGSERKRTPLRGRNTSDQSENSRPVDNSHSRVVEQHRWPGISGGKMSVLSLSRSMDLTDKVNRSASLVSTRGVSPRRTAVSDSSGRGHQESMSEVGRRVLSNGSRKLERDVGVGVNVPLAPSERSASVTHPSRTLSSPLPGLRRPSSPSKTLLMPSSTTRGMQSPSRSRPSTPLTSSSSAAGRIGASSSVLNYTVDMRRGKKNASQIEDAHQLRLLYNRNLQWLFVNALSDAISVIQKMAAEDTLYTVWSRISELDGSVISKKINLQYLKEEMKLNMVLKEQMAYLEDWATLEKEHSSSLSAATEALKASTLRLPVTGGARVDACAVKNAISSAVDVMQAMGSSVCCLLSKVEGMNHLASELSDTAAKERAMLDECRDLLSSTAAIQVQESSLRTHLIQLRQDFYKMK >DRNTG_15723.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4306995:4313375:-1 gene:DRNTG_15723 transcript:DRNTG_15723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRSLVLEGSVVEQKEDPPRPPLVPSEKNNAVTAARKPRLREISSRYKSAASSTTTAPRRCPSPSAGRTSPTPGAALPKRSQSAERRRPSTPTSRYSAPSSPTSRPSTPSTPSSRSTTPVRDSGVDLQSATRRLLGGRAHDGLWPSMRSLTASFQSESISVPVIRKERPFRDPSPDRSLKSSINVGSERKRTPLRGRNTSDQSENSRPVDNSHSRVVEQHRWPGISGGKMSVLSLSRSMDLTDKVNRSASLVSTRGVSPRRTAVSDSSGRGHQESMSEVGRRVLSNGSRKLERDVGVGVNVPLAPSERSASVTHPSRTLSSPLPGLRRPSSPSKTLLMPSSTTRGMQSPSRSRPSTPLTSSSSAAGRIGASSSVLNYTVDMRRGKKNASQIEDAHQLRLLYNRNLQWLFVNALSDAISVIQKMAAEDTLYTVWSRISELDGSVISKKINLQYLKEEMKLNMVLKEQMAYLEDWATLEKEHSSSLSAATEALKASTLRLPVTGGARVDACAVKNAISSAVDVMQAMGSSVCCLLSKVEGMNHLASELSDTAAKERAMLDECRDLLSSTAAIQVQESSLRTHLIQLRQDFYKMK >DRNTG_10549.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3286646:3289110:-1 gene:DRNTG_10549 transcript:DRNTG_10549.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPQPMIEGAAYSEISAGDHHLCALRKTQVLIDCWGYNMTASYQHNAAIAMITAGSVFSCGLFLRNKTTFCWGDETGSGVIGLIPRNMRFHSISAGGFHVCGILENFQVFCWGRSSGLQQSVNLAPMDPMVAVSGGRFHACGIKSLNHEVLCWGFTAGDSVPPPRQAKFYEITAGDYFTCGVLAERSLRAVCWGSAGPWSAPLAVSPGVCSTNPCGPGYYEYSILSNSNSVSKLCKSIDSRVCLPCSVSCPQGTLESSPCNSSSDLKCEFNCSACNSTQCSSFCSSKTKHKSLLSIQLPIFLAELVFAVILLLSVTLTALFLCPVQTKELHLQRNNINNQHEIKSKKNNYNNKLMSILQQRLGENHARLEKSPSVHLRRARESHREIQRGISSRKRELLLRLQRSSQRRHSGGSETSNNGGFSIPRTTKEKFQRIQNRARPALKTKPRTPPQPPRLLRRRRRKTTSLRIHGAWITIPAPPRKRSTTKKEVRLGAESDNSSASSKRNRILAWLRMPASDSQRHQIIQHSH >DRNTG_23040.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3281569:3284619:-1 gene:DRNTG_23040 transcript:DRNTG_23040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIHEVLRLYPPVTLMARQINKKIKLGDITLPEGAEVWIPVLEVHHDPEIWGEDAEEFDPQRFSEGVAKASKGQNAFFPFGWGPRICIGQTFAMIEAKLALAMILQRFSFELSPSYAHAPFTVITLQPQYGAHLIQTVLCR >DRNTG_23040.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3283912:3284309:-1 gene:DRNTG_23040 transcript:DRNTG_23040.5 gene_biotype:protein_coding transcript_biotype:protein_coding KGRRQGSLVQANGAYSQYNTSRNSLQSPYG >DRNTG_23040.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3281569:3284619:-1 gene:DRNTG_23040 transcript:DRNTG_23040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMEWNNSESEYIMGLTALEGEVWAQRRKLINPAFHFDKLKVQLLFELVALV >DRNTG_23040.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3281569:3284619:-1 gene:DRNTG_23040 transcript:DRNTG_23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAFRTSCIGLAERWKKLVSAEGSCELDIWPEFQNLTGDVISRSAFGSSFEEGKRIFELQKEQAVLVMEAARSLYLPGFRYIPSIYIYCV >DRNTG_23040.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3281569:3284619:-1 gene:DRNTG_23040 transcript:DRNTG_23040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMEWNNSESEYIMGLTALEGEVWAQRRKLINPAFHFDKLKVQLLFELVALV >DRNTG_08023.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30157575:30162327:1 gene:DRNTG_08023 transcript:DRNTG_08023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVLVTIFLDYLHKSDRGAHAFWLNKGTVESRPDHIINLIHYEDAALLAVAIMKKKLRSQVFLGCDNHPLSRQDLMDAVNQSGKFSKKFMGFTGSDGPLGKRMYNSKTRAEIGWQPKYPRFRQFLGLTD >DRNTG_08023.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30157575:30162327:1 gene:DRNTG_08023 transcript:DRNTG_08023.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALISTTTLSLPPKPLVPHLRSPHSSRFLWNNQPLRCRVFMALSAQPSSTPPLESSVGTGQEITSVDVVGQNDLLIVGPGVLGRMVAEQWQQEHPGCRIYGQTMTADHHDELMKAGINPSLRGSKFTHKLPNIIFCAPPSLTPDYPGDVRLAASNWSGEGCFLFTSSTALYDCSDNGICNENTPTVPLGRNPRTDILLKAEDVVLEVGGCVLRLAGLYKSDRGAHAFWLNKGTVESRPDHIINLIHYEDAALLAVAIMKKKLRSQVFLGCDNHPLSRQDLMDAVNQSGKFSKKFMGFTGSDGPLGKRMYNSKTRAEIGWQPKYPRFRQFLGLTD >DRNTG_10078.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26536027:26537391:1 gene:DRNTG_10078 transcript:DRNTG_10078.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHYTOCHROME KINASE SUBSTRATE 4 [Source:Projected from Arabidopsis thaliana (AT5G04190) UniProtKB/Swiss-Prot;Acc:Q9FYE2] MDRYRISTTSINGVPSPSISPRPTSLTRSPKPLSHLPNPRRLDDDAELSIFDAQRYFSDSTTTTTTTNDSSLIKTQNSDLSINPRDSSVSSVDTFSRNYRNISYHATPTASSEASWNSQSGLLTNPHGATAISVRAFPSNDHKKLPPFSTRRRFPRPCPCSGKKSIDVEEKYSEPKTPMTTTTTVTTTSLSTAAKLQTIRTEPIPADVASEKETKMKILADNWAKERAIFRPAGRFSPESRFTTSRFLESGGFSFPVLKPQASLDDPARDSLEVFRPNRHGGFPFPGSPRAADDDVGSDASSDLFELESFSAYKRRDSLDDTAAAAGRLVNLHRSIEIAAAAAEPSEGYAPSEVSVEWSVTTAEGFDRASAANFSSAASDYDEARFVRAAEPDRASGKRRGSGLLSCVCEKAVSVGPSPVRFVPEPQRWIEPDRVHPVLVRSNSARMCRSMVTR >DRNTG_05203.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22685553:22689544:1 gene:DRNTG_05203 transcript:DRNTG_05203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSESEAVFDACDLNPQRFINEVLNASDDLLDGAFQFCLQQASIITGCGEKQSDELAKGVSYLRNMTQGILDKRMNIWEKYCLRHCFSVPEGFLLQKTQDLSVENLSLQEGGSEAELDSQLSSLREKLAAVGKESSELHREIQALEKQASLNNSYDASIAEALQLYEPNSTHEMFQEVRKVASVLQAKAETMQIKRAKDMEPLIAGKINSPSKRQHVFDNHGNTEFHMLHAAITCKLLKLK >DRNTG_16421.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7474821:7481643:-1 gene:DRNTG_16421 transcript:DRNTG_16421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRAPMLCFDVNSIWIPASGSLLSSFFGTHRIRAGSRSQSLRSPSRSPVSNGFRLRAAHHVNDRSSLYSVSPSSVVKASLKGQAETVELLSAEDDDHGGVIIEMKVPVDAGAFVSSLRASLENWRQQGKRGVWLKLPIEFANLVQPAVEEGFTYHHAEPNYLMLVYWIPATKNTLPVNATHRVGVGAFVMNDRREVLAVQEKSGKFRGSGVWKFPTGVVDQGEDLFVGAVREVKEETGIDTEFIEILAFRQSHKSFFEKSDLFFICLLRPLTFDIRKQESEIAAAKWVPIEEFAAQPFVHKHELLKYIIDVGLAKIDKDYAGFSPVQISSFFSDRKSYIYLNSKEMNQSSRPGNVSKSI >DRNTG_29323.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29694899:29697237:-1 gene:DRNTG_29323 transcript:DRNTG_29323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLIGALLGITVFGFAVTGGGGAVAVPGRNYKEYRLESYSGWLRRRIEEPKYWQTARACVVGSRACEKIASWTPLDYLQRSLTPIQSGCCKPPTSCTYDGGTTISAQDEDCYRWNNAASVLCYECDSCKAGVLEQVREDWHKLSVLNVVILIFLIGIYSIGCCAFRNSRRSETDYPYGENRMSKNPASLGLLLVEMVT >DRNTG_29323.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29694858:29697185:-1 gene:DRNTG_29323 transcript:DRNTG_29323.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLIGALLGITVFGFAVTGGGGAVAVPGRNYKEYRLESYSGWLRRRIEEPKYWQTARACVVGSRACEKIASWTPLDYLQRSLTPIQSGCCKPPTSCTYDGGTTISAQDEDCYRWNNAASVLCYECDSCKAGVLEQVREDWHKLSVLNVVILIFLIGIYSIGCCAFRNSRRSETDYPYGENRMSKNPASLGLLLVEMVT >DRNTG_27050.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2646785:2651977:1 gene:DRNTG_27050 transcript:DRNTG_27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFSDPFISGAGDSSSSATSSADHGWQKVSYAKRQRRPNPAPAAADHRPNGVSSNVFASVERSAFERRKAIEAAAEEYDAAPSRSRPGPVAAAVDSDEDDDSAGEGGKENRAVEDEKKIKQKKPKKPKVSVAEAASKIDATNLAVFLADVSASYESQTDIQLMRFADYFARAFASVSASMFPTKMFKESPVSKIDIPLSHIPDAVYKTSVDWIAQKPVEALGGFVLWCLDVIYADLASQSVPAKGSKKSAAQLPSKAQVAIFAGLAMIIRRKPDVLVSITPKLRESTKYQGQDMVPIIIWSVGQASQGDLVIGMYLCSHFLLPSICGKSNVNPQFRDWVLQLVERILSGPKARSILLNGAVRKGERLVPPSALDLLMQSAFPAPSARVKATDRFTAVYPTLKELALAGSPGTKTTKQASQQLLPYTIKAMQGDNPELTKEATDVFLWCLAQNAECYKQWEKLYLENISESVAVLRKLSDEWKVYSGKLSPLDHLKETLNHLRAKNEEALSGSLDASSQASVKEADKYCNMILRRLKRGFSCGKGAVLILTVAIAAAAFVNWNEPPEILSSILEMNSKFFQP >DRNTG_33430.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2905715:2911460:-1 gene:DRNTG_33430 transcript:DRNTG_33430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTMMALLRRGTPKIPRCLPSILPRFLHSHATSFGFKQVQEDEKSKLVGGVFSSVASSYDLMNDMMSAGLHRLWKDRLVSKLHPFPGMKHLDVAGGTGDVAFRVLESITSVSDRALQEGLSGIEDETKIFVCDINPNMLNVGKKRAVEKGLGEDCSLHWVEGDAEALSFADGSMDGYTIAFGIRNVTHIEKVLSEAYRVLKRGGRFLCLELSHVELPVFKQIYDYYSFSIIPAMGEFLAGDRDSYQYLVESIRRFPNQETFARMILDAGFQKVEYENLVGGVVAIHSGLKLTSKMPDPILEIILLQLQPRTLKVQRVLVPL >DRNTG_21083.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4277382:4278074:1 gene:DRNTG_21083 transcript:DRNTG_21083.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar-processing enzyme beta-isozyme [Source:Projected from Arabidopsis thaliana (AT1G62710) UniProtKB/Swiss-Prot;Acc:Q39044] MEYGNKSMKSEKLYLYQGFNPANANVTDNAIRTTSVGVINQRDADLLFLWRSYERLDEKSERKKEILKEITETITHRAHLDISVEFIGKLIFGLEIGPSILRAVRPSGQALVDDWDCLKSM >DRNTG_21083.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4277104:4278706:1 gene:DRNTG_21083 transcript:DRNTG_21083.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar-processing enzyme beta-isozyme [Source:Projected from Arabidopsis thaliana (AT1G62710) UniProtKB/Swiss-Prot;Acc:Q39044] MFEGLMPKDINIYVTTASNAEESSWGTYCPGMDPPPPPGFMTCLGDLYSVSWMEDSDAHNLKKETIEKQYQNVKARTSNYNTYNAGSHVMEYGNKSMKSEKLYLYQGFNPANANVTDNAIRTTSVGVINQRDADLLFLWRSYERLDEKSERKKEILKEITETITHRAHLDISVEFIGKLIFGLEIGPSILRAVRPSGQALVDDWDCLKSMVRIFESHCGSLTQYGMKHMRAFANICNKGISKDEMEEACITACRSYDSGSWWPSNRGYSV >DRNTG_21083.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4278139:4278706:1 gene:DRNTG_21083 transcript:DRNTG_21083.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar-processing enzyme beta-isozyme [Source:Projected from Arabidopsis thaliana (AT1G62710) UniProtKB/Swiss-Prot;Acc:Q39044] KIRTLFQVRIFESHCGSLTQYGMKHMRAFANICNKGISKDEMEEACITACRSYDSGSWWPSNRGYSV >DRNTG_21083.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4276678:4278706:1 gene:DRNTG_21083 transcript:DRNTG_21083.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar-processing enzyme beta-isozyme [Source:Projected from Arabidopsis thaliana (AT1G62710) UniProtKB/Swiss-Prot;Acc:Q39044] MGNKSAVEGGTGKVVDSKANDRIFIYFSDHGGPGVLGMPIMPFILANEFINVLKKKHAAGTYKEMVIYVEACESGSMFEGLMPKDINIYVTTASNAEESSWGTYCPGMDPPPPPGFMTCLGDLYSVSWMEDSDAHNLKKETIEKQYQNVKARTSNYNTYNAGSHVMEYGNKSMKSEKLYLYQGFNPANANVTDNAIRTTSVGVINQRDADLLFLWRSYERLDEKSERKKEILKEITETITHRAHLDISVEFIGKLIFGLEIGPSILRAVRPSGQALVDDWDCLKSMVRIFESHCGSLTQYGMKHMRAFANICNKGISKDEMEEACITACRSYDSGSWWPSNRGYSV >DRNTG_21083.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4277768:4278706:1 gene:DRNTG_21083 transcript:DRNTG_21083.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar-processing enzyme beta-isozyme [Source:Projected from Arabidopsis thaliana (AT1G62710) UniProtKB/Swiss-Prot;Acc:Q39044] MVRIFESHCGSLTQYGMKHMRAFANICNKGISKDEMEEACITACRSYDSGSWWPSNRGYSV >DRNTG_21083.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4276011:4278706:1 gene:DRNTG_21083 transcript:DRNTG_21083.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar-processing enzyme beta-isozyme [Source:Projected from Arabidopsis thaliana (AT1G62710) UniProtKB/Swiss-Prot;Acc:Q39044] MAVFIHCILAALLLLDFVAGGRWDSAIRMPIEEEEDEVGTRWAVLVAGSSGYANYRHQADVCHAYQLLKKGGLKEENIVMFMYDDIAHNPLNPRPGIIINHPQGDDVYAGVPKDYTGTQVTAKNLYAVIMGNKSAVEGGTGKVVDSKANDRIFIYFSDHGGPGVLGMPIMPFILANEFINVLKKKHAAGTYKEMVIYVEACESGSMFEGLMPKDINIYVTTASNAEESSWGTYCPGMDPPPPPGFMTCLGDLYSVSWMEDSDAHNLKKETIEKQYQNVKARTSNYNTYNAGSHVMEYGNKSMKSEKLYLYQGFNPANANVTDNAIRTTSVGVINQRDADLLFLWRSYERLDEKSERKKEILKEITETITHRAHLDISVEFIGKLIFGLEIGPSILRAVRPSGQALVDDWDCLKSMVRIFESHCGSLTQYGMKHMRAFANICNKGISKDEMEEACITACRSYDSGSWWPSNRGYSV >DRNTG_05452.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:12334406:12336465:1 gene:DRNTG_05452 transcript:DRNTG_05452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRLMLSPSSPHRSQIKSHNKNNHVRMCLQLMLSPSSPHRRKMSRRPRPSNERDDQGQSKKLDRLARKNFYSKKKKWVGSSRL >DRNTG_20956.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1191138:1201286:1 gene:DRNTG_20956 transcript:DRNTG_20956.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQPANSHKLQSNQIKHKPMAQYHHLLPFLALIVLLILPSSSHAILTDALFSETNDFIFGGQNLTNGNGTLSLLNNCGLSYYIKGQKVVDFNTTINGTKGCTLSVTRRGLLSLDDGSGNAPRTLGTAGELGEYVLLASNERFGVYGPRIWDNGVSRPPSTTLENKKLLRATSNNFIYSDNSIDGIANGNATIATNDDVKAYITQKCTLSVKNSTGTIWESTPSSNESNVCSLWLTNRGPLLLQYEDSKGLQTQWTGGVLGEKNKYVAVLRSYGGIDIYGLKDGILDIPPVTAAVAKNIKMVTA >DRNTG_20956.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1191138:1201286:1 gene:DRNTG_20956 transcript:DRNTG_20956.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPANSHKLQSNQIKHKPMAQYHHLLPFLALIVLLILPSSSHAILTDALFSETNDFIFGGQNLTNGNGTLSLLNNCGLSYYIKGQKVVDFNTTINGTKGCTLSVTRRGLLSLDDGSGNAPRTLGTAGELGEYVLLASNERFGVYGPRIWDNGVSRPPSTTLENKKLLRATSNNFIYSDNSIDGVANGNATIATNEGVTAYITQKCTLSVKNSAGIIWESTPSINGSYVCYLWLTNRGPLLLQYEDSKGLQTQWTGGVLGEKNKYVAVLRSYGGIDIYGLKDGILDIPPVTAAVAKNIKMVTA >DRNTG_20956.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1191138:1201286:1 gene:DRNTG_20956 transcript:DRNTG_20956.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQPANSHKLQSNQIKHKPMAQYHHLLPFLALIVLLILPSSSHAILTDALFSETNDFIFGGQNLTNGNGTLSLLNNCGLSYYIKGQKVVDFNTTINGTKGCTLSVTRRGLLSLDDGSGNAPRTLGTAGELGEYVLLASNERFGVYGPRIWDNGVSRPPSTTLENKKLLRATSNNFIYSDNSIDGIANGNATIATNDDVKAYITQKCTLSVKNSTGTIWESTPSSNESNVCSLWLTNRGPLLLQYEDSKGLQTQWTGGAFEKVNLYVALLRSFGKIGIYGLKDDIRDISPYPGSAAENIKMVTA >DRNTG_20956.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1191138:1192348:1 gene:DRNTG_20956 transcript:DRNTG_20956.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQPANSHKLQSNQIKHKPMAQYHHLLPFLALIVLLILPSSSHAILTDALFSETNDFIFGGQNLTNGNGTLSLLNNCGLSYYIKGQKVVDFNTTINGTKGCTLSVTRRGLLSLDDGSGNAPRTLGTAGELGEYVLLASNERFGVYGPRIWDNGVSRPPSTTLENKKLLRATSNNFIYSDNSIDGIANGNATIATNDDVKAYITQKCTLSVKNSTGTIWESTPSSNESNVCSLWLTNRGPLLLQYEDSKGLQTQWTGGAFEKVNLYVALLRSFGKIGIYGLKDDIRDISPYPGSAAENIKMVTA >DRNTG_20956.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1191138:1268010:1 gene:DRNTG_20956 transcript:DRNTG_20956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPANSHKLQSNQIKHKPMAQYHHLLPFLALIVLLILPSSSHAILTDALFSETNDFIFGGQNLTNGNGTLSLLNNCGLSYYIKGQKVVDFNTTINGTKGCTLSVTRRGLLSLDDGSGNAPRTLGTAGELGEYVLLASNERFGVYGPRIWDNGVSRPPSTTLENKKLLRATSNNFIYSDNSIDGIANGNATIATNGDVSVYITRYCALSVNHMTTGINIWNSNSSSAEPRICSLYLTRRGVLPLLYYDESNELHTQWTGGALAELKKYYVLVLRYYGGLDIYGVSINVRNIPPYSGPVTENIKMVTA >DRNTG_20956.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1200077:1201286:1 gene:DRNTG_20956 transcript:DRNTG_20956.6 gene_biotype:protein_coding transcript_biotype:protein_coding MADHHIHHLLPLILALILLILPSSSHASNQTDGLFSEPYDFLVSGNNLTDGNQTLSLQPDCGLYYYVNGTLVKNFQTNTDSTGCILTINYFGQLVLDKGDGTQPQTLGTAGALGFYALLLTDDLLGVYGPRTWSNGILRSRTLKNKNLKQATSNNFIYSDNSIDGVANGNATIATNEGVTAYITQKCTLSVKNSAGIIWESTPSINGSYVCYLWLTNRGPLLLQYEDSKGLQTQWTGGVLGEKNKYVAVLRSYGGIDIYGLKDGILDIPPVTAAVAKNIKMVTA >DRNTG_31904.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5174363:5174989:1 gene:DRNTG_31904 transcript:DRNTG_31904.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLQEKASEWSGVAPGDAFAIDDTNLFETLGGIQPFIDLSTNFYNRKEDAIQNQYEFFVQRMGGPPLYSQRKRYVLVLFCDFNLVFC >DRNTG_15491.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20907694:20908551:-1 gene:DRNTG_15491 transcript:DRNTG_15491.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESQRFMVTDKLDIVPVSTSSSVGIVNSLMIPLTKLEMKEITFGEDEALELLRSCLTSKEFSLMPSVRKNPFLVMLKSFFF >DRNTG_15491.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20908046:20908551:-1 gene:DRNTG_15491 transcript:DRNTG_15491.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKESQRFMVTDKLDIVPVSTSSSVGIVNSLMIPLTKLEMKEITFGEDEVIV >DRNTG_02063.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000104.1:9756:11705:1 gene:DRNTG_02063 transcript:DRNTG_02063.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPESVRFMAREIHILRRLDHPNVLKLEGLVTSRMSCSLYLVFEYMEHDLAGLAATPGIKFSEPQVKCYMKQLLCGLDHCHSRGVLHRDIKGSNLLVNDNGILRIGDFGLATIFNPDQSQQLTSRVVTLWYRPPELLLGATEYSVGVDLWSAGCILAELLAGKPIMPGRTEVEQMHKIFKLCGSPSEDFWTHSKLPHATIFKPQHPYQRCVRETYRDFPSSALDLLDTLLAIEPADRGTASAALNSSFFTTNPLACDPSSLPKYPPSKELDAKLRDEEARRQRAALKAHGGSVRGS >DRNTG_23145.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14652638:14658382:1 gene:DRNTG_23145 transcript:DRNTG_23145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLNLSKISSKIGHKEAMENKKISFGRKEKKTGISYYPDTTQYRGRMHYSSGMIWSVCPDFHTTQYTGPYGGYMHAVWIANLGFLSAIRPPYDPLILGRPLGRFWAILGRRIRPRKLEDHQAQGPRLLRQEGNIIQGEIYHDLKEGYPRLEEASFSTPLVGKASFGSILRNLEASVKSIEHRVGELTKASSERLLGCLPSNTEENPREHLKAIALRSWRQVETRVEVDPSVKKSALNDLKGGKLTLRVGEEEVVYTLPAAMKHSLDHDDTLLLSLMKLID >DRNTG_27281.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22503289:22504049:-1 gene:DRNTG_27281 transcript:DRNTG_27281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLISKCRSRGKEVKALEKEIQVMKREREKETRVHEEKWEEWEVEKEKHMERIRSLEKEVMEFREDKGWIRMGSEFIVEQLEEKARREEAVEKWKQLYHAIKTELDHLILTTHQGERFYLGEQGEMVERLERELKAKEEMIEGLRRSLTGMQMEGMKKDREIDILRQSLRILSNSKRSNLGRKSTRRSLRCRIIP >DRNTG_00131.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2316317:2316792:1 gene:DRNTG_00131 transcript:DRNTG_00131.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLLKPRTPSSCHGYLSHMISNLDRPCLHALSLGFKHPHTGEQVQFSCHPPADFSDALSLLRNINNVSSNNGEIYVENVSSI >DRNTG_00131.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2315529:2316792:1 gene:DRNTG_00131 transcript:DRNTG_00131.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLLKPRTPSSCHGYLSHMISNLDRPCLHALSLGFKHPHTGEQVQFSCHPPADFSDALSLLRNINNVSSNNGEIYVENVSSI >DRNTG_00131.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2310937:2314612:1 gene:DRNTG_00131 transcript:DRNTG_00131.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHPAPGNANGTLVNAILHHCRLPTMSCTPHNSSSEVQEFSELSEDELDDFNVEPSSAVDISSSNYDAHIRPGIVHRLDKGTSGLLVVAKDEESHAHLAEQFKSHTIHRVYISLTSGVPSPSSGRIEVPIARDPNNRVRMAAVAGSINSKHVRYAASR >DRNTG_00131.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2310937:2316792:1 gene:DRNTG_00131 transcript:DRNTG_00131.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHPAPGNANGTLVNAILHHCRLPTMSCTPHNSSSEVQEFSELSEDELDDFNVEPSSAVDISSSNYDAHIRPGIVHRLDKGTSGLLVVAKDEESHAHLAEQFKSHTIHRVYISLTSGVPSPSSGRIEVPIARDPNNRVRMAAVAGSINSKHVRYAASRYKVLEVLANGGSALVQWRLETGRTHQIRAHAKYLGIPLLGDEVYGGTKSMALSLLKPRTPSSCHGYLSHMISNLDRPCLHALSLGFKHPHTGEQVQFSCHPPADFSDALSLLRNINNVSSNNGEIYVENVSSI >DRNTG_00131.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2314406:2314612:1 gene:DRNTG_00131 transcript:DRNTG_00131.7 gene_biotype:protein_coding transcript_biotype:protein_coding DEESHAHLAEQFKSHTIHRVYISLTSGVPSPSSGRIEVPIARDPNNRVRMAAVAGSINSKHVRYAASR >DRNTG_00131.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2313603:2316792:1 gene:DRNTG_00131 transcript:DRNTG_00131.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTPHNSSSEVQEFSELSEDELDDFNVEPSSAVDISSSNYDAHIRPGIVHRLDKGTSGLLVVAKDEESHAHLAEQFKSHTIHRVYISLTSGVPSPSSGRIEVPIARDPNNRVRMAAVAGSINSKHVRYAASRYKVLEVLANGGSALVQWRLETGRTHQIRAHAKYLGIPLLGDEVYGGTKSMALSLLKPRTPSSCHGYLSHMISNLDRPCLHALSLGFKHPHTGEQVQFSCHPPADFSDALSLLRNINNVSSNNGEIYVENVSSI >DRNTG_23657.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20450818:20454899:-1 gene:DRNTG_23657 transcript:DRNTG_23657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLSLASSNLDLNAPTSNRLSFSVSCSLLITSCCSLCCLK >DRNTG_23657.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20450818:20454899:-1 gene:DRNTG_23657 transcript:DRNTG_23657.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLSLASSNLDLNAPTSNRLSFSVSCSLLITSCCSLCCLK >DRNTG_23657.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20450818:20454899:-1 gene:DRNTG_23657 transcript:DRNTG_23657.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLSLASSNLDLNAPTSNRLSFSVSCSLLITSCCSLCCLK >DRNTG_01884.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21078367:21083539:1 gene:DRNTG_01884 transcript:DRNTG_01884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKPMTMSSTAMPYTGGDIKKSGELGKMFDIHVEKSRKSGPLNVSSRNASFGGAASHSGPIPPNTAGRSSYSSGNVPSAVIPGSTSGLVTGGSNRQKSNSGPLRHGDSVKKSSGPQSGGVTPMARQNSGPLPPVLPATGLITSGPISSGPLNSSGAPRKVSGPLDSTGSIKLHSAVAHNQAVTTLSLEDEYSFRRSLPKTILWAVILLFMMGFIAGGFILGAVRNPILLIVVVIIFGVVVALFMWNSCWGRRAIVGYITRYPDAELRTAKNGQYVKVSGVVTCGNVPLESSFRKVPRCVYTSTCLYEYRGWDSKAANPQHRRFSWGLRSSERHVVDFYISDFQSGLRALVKTGCGARVTPYVDNSLVIDINPNNKDLSPEFISWLGHQNLSSDDRVMRLKEGYIKEGSTVSVMGVVQRNENVLMIVPPSEPFSTGCQWAKCILPASLDGIVLRCEDSSKIDVIPV >DRNTG_29361.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1555216:1557363:1 gene:DRNTG_29361 transcript:DRNTG_29361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLSPSSHFVNSPRRTLSLVSKRRAKVFLSDPDEETRASSSGSSGEHGANPSEVYGFVGAITTVIATVIYLVWAYTPENWLHSLGITYYPNKYWALAFPTFIMVAVVLMLVFYFGLNFMATPPPTSFNTMFDEHSREPLTFIAAQGLDQPIKPISDIGIDYVNELMFNQNQ >DRNTG_25885.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19727393:19729553:1 gene:DRNTG_25885 transcript:DRNTG_25885.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFIVLYVWWSRRRKAAAAAAAMAMVVFDQEGNPSSRSHLRPNTGSIWFEIRDLEKATSFFSQRNLIGRGGYGVVYKGVLSDGTPVAVKRILDSDFEGDEEFRNEVEIISNLRHRNLVPLRGCCITDDHDRQRYLVYDLMPNGSLENHIFIDGDKRPKLTWPQRKNIILDVAKGLFYLHYGVKPAIYHRDIKATNILLDGEMRARVADFGLARQSKEGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVVILEIMSGKKALDTSASSNMVLITDWAWTLIKAGKAEEVLDECLVETGEEMVNPKGIMVRFLMVGVLCAHVMVALRPTIADALKMLEGDIDLPSLPDRPLPLGYGPVLVDGNTFTVTSPMLSGPSLNLGDMLR >DRNTG_25885.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19727393:19729498:1 gene:DRNTG_25885 transcript:DRNTG_25885.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFIVLYVWWSRRRKAAAAAAAMAMVVFDQEGNPSSRSHLRPNTGSIWFEIRDLEKATSFFSQRNLIGRGGYGVVYKGVLSDGTPVAVKRILDSDFEGDEEFRNEVEIISNLRHRNLVPLRGCCITDDHDRQRYLVYDLMPNGSLENHIFIDGDKRPKLTWPQRKNIILDVAKGLFYLHYGVKPAIYHRDIKATNILLDGEMRARVADFGLARQSKEGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVVILEIMSGKKALDTSASSNMVLITDWAWTLIKAGKAEEVLDECLVETGEEMVNPKGIMVRFLMVGVLCAHVMVALRPTIADALKMLEGDIDLPSLPDRPLPLGYGPVLVDGNTFTVTSPMLSGPSLNLGDMLR >DRNTG_25885.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19727294:19729498:1 gene:DRNTG_25885 transcript:DRNTG_25885.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFIVLYVWWSRRRKAAAAAAAMAMVVFDQEGNPSSRSHLRPNTGSIWFEIRDLEKATSFFSQRNLIGRGGYGVVYKGVLSDGTPVAVKRILDSDFEGDEEFRNEVEIISNLRHRNLVPLRGCCITDDHDRQRYLVYDLMPNGSLENHIFIDGDKRPKLTWPQRKNIILDVAKGLFYLHYGVKPAIYHRDIKATNILLDGEMRARVADFGLARQSKEGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVVILEIMSGKKALDTSASSNMVLITDWAWTLIKAGKAEEVLDECLVETGEEMVNPKGIMVRFLMVGVLCAHVMVALRPTIADALKMLEGDIDLPSLPDRPLPLGYGPVLVDGNTFTVTSPMLSGPSLNLGDMLR >DRNTG_25885.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19727294:19729553:1 gene:DRNTG_25885 transcript:DRNTG_25885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFIVLYVWWSRRRKAAAAAAAMAMVVFDQEGNPSSRSHLRPNTGSIWFEIRDLEKATSFFSQRNLIGRGGYGVVYKGVLSDGTPVAVKRILDSDFEGDEEFRNEVEIISNLRHRNLVPLRGCCITDDHDRQRYLVYDLMPNGSLENHIFIDGDKRPKLTWPQRKNIILDVAKGLFYLHYGVKPAIYHRDIKATNILLDGEMRARVADFGLARQSKEGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVVILEIMSGKKALDTSASSNMVLITDWAWTLIKAGKAEEVLDECLVETGEEMVNPKGIMVRFLMVGVLCAHVMVALRPTIADALKMLEGDIDLPSLPDRPLPLGYGPVLVDGNTFTVTSPMLSGPSLNLGDMLR >DRNTG_14902.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20541409:20544208:1 gene:DRNTG_14902 transcript:DRNTG_14902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTSSPSPSIPGVAPGKIVISSISGAPKLSHNPLRNCAGIAAIEIMRKLGVRSVGLSLSLEKGLPLGSGLGSSAASAAAAAVAVDALFGSTLTAHDLVLAGLESEAKVSGYHADNIGPAILGGFVLIRSYETVRDPAAQLSRRS >DRNTG_14902.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20541409:20544093:1 gene:DRNTG_14902 transcript:DRNTG_14902.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTSSPSPSIPGVAPGKIVISSISGAPKLSHNPLRNCAGIAAIEIMRKLGVRSVGLSLSLEKGLPLGSGLGSSAASAAAAAVAVDALFGSTLTAHDLVLAGLESEAKVSGYHADNIGPAILGGFVLIRSYETVRDPAAQLSRRS >DRNTG_31348.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2886372:2888164:1 gene:DRNTG_31348 transcript:DRNTG_31348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIAGQDEHEKKPHAVCIPYPAQGHITPMLKLAKLLHSNGFHITFINSEYNHKRLLNSRGPSSLDGLHDFQYKTIPDGLPPSHFEATQDIPSLCHSTMTTCLPFFRQLLIDLMHPRTDSGVPPVTCIIADGVMSFTLDAAVELGIPEFLFWTTSACGFMCYLHYHLLIAKSLAPLKSMEDISNGYLETPVEWIPGMKNMRLRDFPSFIRTTNREDIMINFALHETSRVCMAKGIILNTFSDLEHEVLDAMSSMANVPPVYTIGPLCLMSKLLIPQDSPLAAMDSNLWVEEKKCLEWLEGKEKGSVVYVNFGSITVMTSQQLVEFAWGLCNSNKEFLWIIRPDLVRGDNAVLPEEFLMKTKERSMLASWCSQEDVLKHPSVGGFLTHCGWNSTMETMCGGVPVICWPFFAEQQTNCKYLCGEWGIGTEIDNNVKRDEVELLIRELVDGEKGKEMKTKVLEWKTLAEKATCHGGSSFINFQRLVKQMLASPEGNH >DRNTG_12578.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:819434:820991:-1 gene:DRNTG_12578 transcript:DRNTG_12578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPDQEARRQPDPTADGSCHGSTATEAKESGDAQSSACLTNRWSLRRRKETLPEKEVEGCPIAVETTKIESQPLMSFLEIITSDKLCSVFMRKLESQMSEKYEQVIRRHMDLETVREQLLRDQQGAWAYITIHMYRDLLLICNNAIAFYPKGSREYSAALHLRHLCAPCHHTSMPTLLACGRQREAALEPVTVKVKMKEKDKNKKVARKTRTKKGKCSSSDLTVVKKNKVRSSPPVKSEVEKKNKKSGKQSVKQTKRGATALKQHIQPVKKRIASSALASTPPQKRARKAHEIKRTTASAKPPPAAAKKPRPRRR >DRNTG_30273.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5157232:5159356:-1 gene:DRNTG_30273 transcript:DRNTG_30273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLNLSTNVPVDAVVASDILKDATKIVAKIIGKPESYVMILLNGGVPMAFAGTEEPAAYGELISIGGLDASVNGKLSSALADILETKLSIDSSRFYIKFYDVQRSFFGFNGSTF >DRNTG_08347.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3989787:3999324:1 gene:DRNTG_08347 transcript:DRNTG_08347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDYGPELTTASQHPPWAHSGCGTQDPSSSKAQPGIDVGPSSDTMLEEGRMKEEEKKWINAHIILLNKIERKLNCNAYPTTSRFAADVRQTLANAMQNHPSTDEVHIKAKELNNIFNVSWKTRNKWWIDIPEPRPEKKQKVAIVNKNLLLKAKEEQALQTHQFTVETKTFKTCKDGVAEEKHDAQPLDTHPCTANSATTFQPCKYVAPVSETKAKPSPDTVLSQKRKNNYLMEEQISPSKALHVAKMKARFADTIIKAQKALQFNDMQVDLKVEMQKQVKYLEKQHHGEKTRLEYQRKMQIQKEREGARIAREKMEQTAKLNQSLEKEVERSVRLQGIGRKFSQRRRETERNRGRSREED >DRNTG_25847.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8774578:8779019:-1 gene:DRNTG_25847 transcript:DRNTG_25847.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease accessory protein G [Source:Projected from Arabidopsis thaliana (AT2G34470) UniProtKB/TrEMBL;Acc:F4IHW4] MASEAHHHDHDHHHHDHDEATESWVGQDGRVYHSHDGLAPHSHEPIYSPGYFSARARPLSTRNFRERAFTIGIGGPVGTGKTALMLALCQFLRDKYSLAAVTNDIFTKEDGEFLIKHGALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLFKADLLLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLVINKTDLAQAVGADLAVMERDALRMRDGGPFVFAQVKHGVGVEEIVNHILQAWEVATGNKRH >DRNTG_07675.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23297947:23299562:-1 gene:DRNTG_07675 transcript:DRNTG_07675.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVCVCVCVCVCVRERERERASLSRRRRRSSSSMDVYISEDYVKSRREMRKREKQLHLEIDMKPDSSCTTKVEVGMAPKTPCPWNTSSRAFSSPSPAAPSENKTRLTVEAANSLDSIFHCITP >DRNTG_07675.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23298944:23299562:-1 gene:DRNTG_07675 transcript:DRNTG_07675.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCVCVCVCVCVCVRERERERASLSRRRRRSSSSMDVYISEDYVKSRREMRKREKQLHLEIDMKPDSSCTTKVEVGMAPKTPCPWNTSSRAFSSPSPAAPSENKTRLTVEAANSLDSIFHCITP >DRNTG_07675.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23298111:23299766:-1 gene:DRNTG_07675 transcript:DRNTG_07675.1 gene_biotype:protein_coding transcript_biotype:protein_coding QWASPFSAEIAAIHFGLDQCISKNWLPNRIFCDCPGISGLLKHLDSCVAWRFQPAIDSLKIKLLNFPCSDFKSISRSDNLIADALANFGRLNPHLSLFDQGLDRPRWLEDLCRSLHFFFF >DRNTG_07675.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23298850:23299562:-1 gene:DRNTG_07675 transcript:DRNTG_07675.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCVCVCVCVCVCVRERERERASLSRRRRRSSSSMDVYISEDYVKSRREMRKREKQLHLEIDMKPDSSCTTKVEVGMAPKTPCPWNTSSRAFSSPSPAAPSENKTRLTVEAANSLDSIFHCITP >DRNTG_17651.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11866010:11866502:1 gene:DRNTG_17651 transcript:DRNTG_17651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYFGKHPSFLTVGEAALLTGILPAPEILNPFTNPESVEEERPHNAEY >DRNTG_32640.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18426179:18431596:1 gene:DRNTG_32640 transcript:DRNTG_32640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAARSLAGVEVPIPGADKIRWTELSIPSSTPSSSPQPLPVAPFRDAAGCHSIPGDPPVYLIWRIHKEAPNVLELFELCPCQELPETGLRLVFDNALHPFSFLCKNEIQGASGSLYLVYVLTVSGLVYLINLRSPSTYHSGSILNQNELIELNVQAQVETGKIRAVAATSGCVAIGRQDGSISCYKLGSSDPNSAGFVNELRDDGGIGRLWNLMSRGKAAGSVLDMVLSQVWGRKLVFVVHSDGALRVWDLVSHTRVLNHNISSHELSGSTPLRLWIGDTTSDTNKLTLAVLHGGFRDNVQDADVETIAVYTLTFGSGDKVMLLPETSLPSISFKEGRLIDVKIVSNKIWILKEDESSLYDLIQLDCQMKDTCSYGLQEDFIADQLFQSSEHALDDLIWNNNSIFSTMKHQTAYFVSSIFLRRLLQPGVYQKDALRATVMGHKKYLSDHEFQSLSVIGLKKEILTAIELEGVAANSNSTIYYWKNFCAHFFRHWCQNCTPYALLVDPSTGAIGLIRKSSVSLFRSLDGIEQLIYGTSDEHDLRSSGLFFTDSD >DRNTG_30923.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26025361:26033887:-1 gene:DRNTG_30923 transcript:DRNTG_30923.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDALGRLIKINPEIAEEHQLAVIDCLEDPDDTLKRKTFELLYKMTKSTNVEVIVDRMIDYMININDHHYKTEIASRCVELAEQYAPSNHWFIQTMNKVFEHAGDLVNVRVAHNLMRLIAEGFGEDDEGADSQLRSSAVESYLRILGEPKLPSVFLQVICWVLGEYGTMDGKCSASYIIGKLCDVAEAHANDDTVKAYATTAIMKIFAYEIAAGRKVEMLPECQALIDELSASHSTDLQQRAYELQALMGLDVHAVESVMPLDASCEDIEVDRSLPFLSSFVQQSLEKGARPYISESEQSGMSHVNIFRSQDPHEVSGHTLRFEAYQLPKPSPPASAPIAFAPPTDLVPVSDATYARDIQPPPKVPSSLDALSADVGVRLRLEGVQKKWGKPTYSSSAAPSTSSTSQKTVNGATHLDGVGSMSAQARDTRDNLYDSRRQQVEVSAEKQKLAASLFGASSSKNEKKPSSHKTIKGSAGNVEKPTIMVKAVTSSEPTEKTSVPPPPDLLDLGEPAPETKPSVDPFQQLEGLLGPAPVQSTPDSSVVAGPREPDLMALYSDIPMTNLSGTSTNPTVTDLYAVSKNPQGATNVSTLKKGPNPQDSLEKDALARQVGVTPSGKNPNLFRDLFG >DRNTG_30923.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26025361:26033887:-1 gene:DRNTG_30923 transcript:DRNTG_30923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQGGWGQSKEFLDLVKSIGEARSKAEEDRIVLSEIETLKRRIAEPDVPRRRMKEYIIRLVYVEMLGHDASFGYIHAVKMTHDDSLSLKRTGYLAVTLFLNEDHDLIILIVNTIQKDLRSDNYLVVCAALTAVCRLINEETIPAVLPQIVELLGHPKEAIRKKAVMALHRFYQRAPGSVSHLISNFRKRLCDNDPGVMGATLCPLFDLITADPSSYKDLVMSFVSILKQVAEKRLPKSYDYHQMPAPFIQIKLLKILALLGSGDKQASEQMYTILSDIFRKGESTSNIGNSILYQCICCVSSIYPNTKSLEAAADATSKFLKSDNHNLRYMGIDALGRLIKINPEIAEEHQLAVIDCLEDPDDTLKRKTFELLYKMTKSTNVEVIVDRMIDYMININDHHYKTEIASRCVELAEQYAPSNHWFIQTMNKVFEHAGDLVNVRVAHNLMRLIAEGFGEDDEGADSQLRSSAVESYLRILGEPKLPSVFLQVICWVLGEYGTMDGKCSASYIIGKLCDVAEAHANDDTVKAYATTAIMKIFAYEIAAGRKVEMLPECQALIDELSASHSTDLQQRAYELQALMGLDVHAVESVMPLDASCEDIEVDRSLPFLSSFVQQSLEKGARPYISESEQSGMSHVNIFRSQDPHEVSGHTLRFEAYQLPKPSPPASAPIAFAPPTDLVPVSDATYARDIQPPPKVPSSLDALSADVGVRLRLEGVQKKWGKPTYSSSAAPSTSSTSQKTVNGATHLDGVGSMSAQARDTRDNLYDSRRQQVEVSAEKQKLAASLFGASSSKNEKKPSSHKTIKGSAGNVEKPTIMVKAVTSSEPTEKTSVPPPPDLLDLGEPAPETKPSVDPFQQLEGLLGPAPVQSTPDSSVVAGPREPDLMALYSDIPMTNLSGTSTNPTVTDLYAVSKNPQGATNVSTLKKGPNPQDSLEKDALARQVGVTPSGKNPNLFRDLFG >DRNTG_03049.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:7604541:7616075:1 gene:DRNTG_03049 transcript:DRNTG_03049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETEILTQVIGKERHGRVRGLGLRPTPTSYYGHSDSHRLITCSGHSSECIEFHQQMNEKFQKMEDELGQERSNYNALYTFLQQQFPGATIPLPTIGGSSSQSQETPRKEHIGIGF >DRNTG_07815.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1341110:1349730:1 gene:DRNTG_07815 transcript:DRNTG_07815.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUP155 [Source:Projected from Arabidopsis thaliana (AT1G14850) UniProtKB/TrEMBL;Acc:A0A178WJ50] MVIDNERHILYARTEDMKLQAFDLGVDGDGPFKKIAEEKNLIDPRETQYGGRRSAASRALARAAKPSIVYIAPLSTMESKWLHVVTVLSDGRRLYLSTSSSSGSNRSVGGLTGLDNNYQRPCCLKVVATRPSPPLGVGGGLTFGAMSVASRSQPEDLALKVETAFYSLGSLVLSDSSSPAVSSLLIVGKDSSMQSSAVSNFGVSLRELVSSLPVDGRMLVVADVLPTPDIAITVQSLYADVEVYGFAALRESCEKASAKLWARGDLQTQHILPRRRIVVFTTMGIMEVVSNRPVDTLRRLFESNAPRSHIEDFFNRFGAGEAAAMCLLLAAKLAYSEENLVSNAVAEKAAEVFEDPRMVGMPQLDGAAALPNTRSPPGGFSMGQVVQEAEPVFSGAHEGLCLCSSRLLLPIWELPVMIVRREMVSDTRDDEGVVVCRLSTGAMQVLENKIRSLEQFLRSRRNQSRGLYGYVAGLGDFSGSILYGTSSDLGTGGMGSGRNLFGPYSRTVDSGDGMTANKRSRLPYNPAELAAMEVRAMECLRRLLRRSSEALFLLQLISQHHVTRLVQGLDSTLRQRLLQLTFHQLVCSEEGDQLAMQLISSLMQYHIGQDGRGTVDEISVKLRDGCPSFYNESDYKYFLAVECLERAVATKDAGEREILARDAYNFLSKIPDSADLSSVCKRFADLRFYEAVVRLPLRKAQALDPNGDAVNDKIDPVKRNDALAQREQCYEIVVDALRSLKGEVAQKGMQEFGASAKFSGSGSVLDQTSRNKFIRQIIQLGVQWPDTLFHEHMYRALIELGLDQELLEYGGSDLVSFLQSAGRRTPQEIRAATVTSSMGSPLHAPEAPIPPSQTKYLDLLARYYVLKRQHLLAANVLYRLAERQCSDAGEGPTLEQRRQYLSNAVLQAKSASTTAGTTTTRQNIDDGLLDMLEGKLTVLKFQMKI >DRNTG_07815.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1341110:1349730:1 gene:DRNTG_07815 transcript:DRNTG_07815.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUP155 [Source:Projected from Arabidopsis thaliana (AT1G14850) UniProtKB/TrEMBL;Acc:A0A178WJ50] MAWENDTVGPDVAIAGVHISERIGKDVAGQLDLEEALEASRYASHPFSSHPKEWPPLFEVVENRELPPVLIERFNAAGGEGTALCGIFPEIRRAWASVDNALFLWRFDKWDGQCPEYNGEEQAICAVGLVRSKPGIFVEAIQYLIVLATPVELVLVGVCCTARGDGTDPYDELSLQPLPEYTIPSDGVTMTSIACTDRGQIFLAGRDGHIYEMQYTTGSGWHKRCRKVCLTAGLGSLISRWVLPNAFKFGAVDPIVDMVIDNERHILYARTEDMKLQAFDLGVDGDGPFKKIAEEKNLIDPRETQYGGRRSAASRALARAAKPSIVYIAPLSTMESKWLHVVTVLSDGRRLYLSTSSSSGSNRSVGGLTGLDNNYQRPCCLKVVATRPSPPLGVGGGLTFGAMSVASRSQPEDLALKVETAFYSLGSLVLSDSSSPAVSSLLIVGKDSSMQSSAVSNFGVSLRELVSSLPVDGRMLVVADVLPTPDIAITVQSLYADVEVYGFAALRESCEKASAKLWARGDLQTQHILPRRRIVVFTTMGIMEVVSNRPVDTLRRLFESNAPRSHIEDFFNRFGAGEAAAMCLLLAAKLAYSEENLVSNAVAEKAAEVFEDPRMVGMPQLDGAAALPNTRSPPGGFSMGQVVQEAEPVFSGAHEGLCLCSSRLLLPIWELPVMIVRREMVSDTRDDEGVVVCRLSTGAMQVLENKIRSLEQFLRSRRNQSRGLYGYVAGLGDFSGSILYGTSSDLGTGGMGSGRNLFGPYSRTVDSGDGMTANKRSRLPYNPAELAAMEVRAMECLRRLLRRSSEALFLLQLISQHHVTRLVQGLDSTLRQRLLQLTFHQLVCSEEGDQLAMQLISSLMQYHIGQDGRGTVDEISVKLRDGCPSFYNESDYKYFLAVECLERAVATKDAGEREILARDAYNFLSKIPDSADLSSVCKRFADLRFYEAVVRLPLRKAQALDPNGDAVNDKIDPVKRNDALAQREQCYEIVVDALRSLKGEVAQKGMQEFGASAKFSGSGSVLDQTSRNKFIRQIIQLGVQWPDTLFHEHMYRALIELGLDQELLEYGGSDLVSFLQSAGRRTPQEIRAATVTSSMGSPLHAPEAPIPPSQTKYLDLLARYYVLKRQHLLAANVLYRLAERQCSDAGEGPTLEQRRQYLSNAVLQAKSASTTAGTTTTRQNIDDGLLDMLEGKLTVLKFQMKI >DRNTG_07815.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1341110:1349730:1 gene:DRNTG_07815 transcript:DRNTG_07815.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUP155 [Source:Projected from Arabidopsis thaliana (AT1G14850) UniProtKB/TrEMBL;Acc:A0A178WJ50] MVIDNERHILYARTEDMKLQAFDLGVDGDGPFKKIAEEKNLIDPRETQYGGRRSAASRALARAAKPSIVYIAPLSTMESKWLHVVTVLSDGRRLYLSTSSSSGSNRSVGGLTGLDNNYQRPCCLKVVATRPSPPLGVGGGLTFGAMSVASRSQPEDLALKVETAFYSLGSLVLSDSSSPAVSSLLIVGKDSSMQSSAVSNFGVSLRELVSSLPVDGRMLVVADVLPTPDIAITVQSLYADVEVYGFAALRESCEKASAKLWARGDLQTQHILPRRRIVVFTTMGIMEVVSNRPVDTLRRLFESNAPRSHIEDFFNRFGAGEAAAMCLLLAAKLAYSEENLVSNAVAEKAAEVFEDPRMVGMPQLDGAAALPNTRSPPGGFSMGQVVQEAEPVFSGAHEGLCLCSSRLLLPIWELPVMIVRREMVSDTRDDEGVVVCRLSTGAMQVLENKIRSLEQFLRSRRNQSRGLYGYVAGLGDFSGSILYGTSSDLGTGGMGSGRNLFGPYSRTVDSGDGMTANKRSRLPYNPAELAAMEVRAMECLRRLLRRSSEALFLLQLISQHHVTRLVQGLDSTLRQRLLQLTFHQLVCSEEGDQLAMQLISSLMQYHIGQDGRGTVDEISVKLRDGCPSFYNESDYKYFLAVECLERAVATKDAGEREILARDAYNFLSKIPDSADLSSVCKRFADLRFYEAVVRLPLRKAQALDPNGDAVNDKIDPVKRNDALAQREQCYEIVVDALRSLKGEVAQKGMQEFGASAKFSGSGSVLDQTSRNKFIRQIIQLGVQWPDTLFHEHMYRALIELGLDQELLEYGGSDLVSFLQSAGRRTPQEIRAATVTSSMGSPLHAPEAPIPPSQTKYLDLLARYYVLKRQHLLAANVLYRLAERQCSDAGEGPTLEQRRQYLSNAVLQAKSASTTAGTTTTRQNIDDGLLDMLEGKLTVLKFQMKI >DRNTG_17681.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5327867:5329854:1 gene:DRNTG_17681 transcript:DRNTG_17681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLKAPTATASHPVRSLVSFFSRSTSSPPSSSPSSSSPLPSVSFPNHLDLLQRFFHNRDTKQPFAVFAFLVKHGHPINTLLLNHLTKSFVNARNVTSALLLFRELGNTCLPPDVVTYNTIISGLFKEKRLEEAMDLWAEMKKLDFSPTVVSYTIVVDGLCKVGRTGEALSLLDEMQKRGLEPDVFVYSALISGMCNVGLVDNALKVFDEMSKNGVSPNVVSFTCLIHGLSKIGRWEEAMEMLDSMARRGIRPDVVTYTGLIDGLCKEGRVKEAMRLLGLMVEKGEKPCLVTYNVLINQFCKEGLVEHAYGFLEKMMESGNAPDVVTYNSMIAGLCAVHKVDEAMKLLKEMLDGKRVVEPDVKSFNTLIHGLCKNYRVNEALDLREKMVQRGYDHNPVTYNLLIDGLLRTHKADEAMLLQKEMTFLGLVPNSYTYSIMINGLCKMRQLDAAEKLLNEMKTSAMKPTLHDYNTVMALMCKKHKVDQAMSLFRGNGG >DRNTG_33616.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22394988:22395852:1 gene:DRNTG_33616 transcript:DRNTG_33616.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESCSLVRFRAALCPAFKPSPFDPLLSPIASFSLPSLLPSSPPSLRYQRRRGRRDGSLVVRSMSSSSASFGSRLEESVRKTVSENPVVIYSKTWCSYSMEVKSRLKRLGVQPLVIELDRLGPQGPQLQKMLERITGQFTVPNVFIGMNFLVLY >DRNTG_33616.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22394988:22397105:1 gene:DRNTG_33616 transcript:DRNTG_33616.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESCSLVRFRAALCPAFKPSPFDPLLSPIASFSLPSLLPSSPPSLRYQRRRGRRDGSLVVRSMSSSSASFGSRLEESVRKTVSENPVVIYSKTWCSYSMEVKSRLKRLGVQPLVIELDRLGPQGPQLQKMLERITGQFTVPNVFIGGKHIGGCSDTVKLYQKGELTAMLSELNISTNSLLKP >DRNTG_07503.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27029922:27030446:1 gene:DRNTG_07503 transcript:DRNTG_07503.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLQRNLREKLRGERFLLVLDDVWNEDHEKWDKLRTLLTGSEARGSKVIVTTRSARVASIMGTVSPHLLTGLSNQDCWVLFEKRAF >DRNTG_15460.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:334247:335935:1 gene:DRNTG_15460 transcript:DRNTG_15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITISLSLLLLLIFLSTFSSISYSQYPPSIAGNWKLLQSSLGISAMHMQVLPGDKLLVFDRTDFGPSNISLPAGHCRQDPSDLALTTDCTAHSILLDLPSLSLRPLSILTDTWCSSGSLLPNSSFFQSGGFNDGDHTIRLFTSDSDWSETSSYLSARRWYSTNQLLPDGRVIIIGGRRQFNYEFFPKDHIRPLTSFPFLDETRDGDAENNLYPFVHLLPDGTLFVFANTRVVLLDLSHNGYPLRHLPAIPDAVPRNYPSSGSSVLLPLRPPSHSPEILICGGAPRGSFQAALNGTFYPAARTCGRIYPLDQNPTWAMEEMPTARVMGDMVVLPTGDVLLVNGAAAGTAGWELARDPVTVPVLYNPNKPAGNRFGLMNRSPIPRLYHSSAVLDAYGRVLVGGSNPHVCYNFTNVMFPTELSLEAFHPPYLSASLDGLRPEVVAVWAGERGGGSGQVPATVVGYGEEMGVRFTVKGRKVGGEVVEVVVVVPAFGTHSFGMNQRVVVLEGGRDEQVAPYVYEVMVKAPPSPEVAPPGYYMMFVLHAGVPGRGLWVKIQRRDVIT >DRNTG_15664.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000781.1:2554:4470:1 gene:DRNTG_15664 transcript:DRNTG_15664.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASILPSWLSNPETILILVSFILLYLLRHYYQSHHHHHHHHHHLPFNFPVIGMLPGLLTNIHQFHSWITNLLRASCCNFMFKGPWFSSMDMLITCDPANINHIFNTNFKNYPKGEEFSEIFDFLGDGIFTVDGESWRLQRKKAHNFITDHNFKAFLIKSSNSKVYTELIPLLRQLAGEEKETQVLDLQEVFLKFTFDATCELVFGVNPKSLSLEFPTIPFSKAMDETMTVMFLRHIMPARLWKLLRWLNVGEEKKLARARKVIDQFIAEAIKKKRDNHGNIRDCDLLTSYIKDTNSMKNIQESNKILRDTTINLMLAGRDTTGSALTWFFWLLSKNKQVEEKTIEELKQYSLEKKEEIDSEELGKLVYLHAALCESLRLYPPVPFEHKGVVKEEVLPSGDKVKPGMKIVILIYAMGRMKGIWGEDCMEFKPERWISEKGKLRHEPSFKFLSFNAGPRTCLGKEVAFVQMKTVVVAMISRFHFHVVEDHVVEAKCSVILHMKNGLMVKITERE >DRNTG_15664.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000781.1:2321:4611:1 gene:DRNTG_15664 transcript:DRNTG_15664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILPSWLSNPETILILVSFILLYLLRHYYQSHHHHHHHHHHLPFNFPVIGMLPGLLTNIHQFHSWITNLLRASCCNFMFKGPWFSSMDMLITCDPANINHIFNTNFKNYPKGEEFSEIFDFLGDGIFTVDGESWRLQRKKAHNFITDHNFKAFLIKSSNSKVYTELIPLLRQLAGEEKETQVLDLQEVFLKFTFDATCELVFGVNPKSLSLEFPTIPFSKAMDETMTVMFLRHIMPARLWKLLRWLNVGEEKKLARARKVIDQFIAEAIKKKRDNHGNIRDCDLLTSYIKDTNSMKNIQESNKILRDTTINLMLAGRDTTGSALTWFFWLLSKNKQVEEKTIEELKQYSLEKKEEIDSEELGKLVYLHAALCESLRLYPPVPFEHKGVVKEEVLPSGDKVKPGMKIVILIYAMGRMKGIWGEDCMEFKPERWISEKGKLRHEPSFKFLSFNAGPRTCLGKEVAFVQMKTVVVAMISRFHFHVVEDHVVEAKCSVILHMKNGLMVKITERE >DRNTG_15664.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000781.1:2321:4470:1 gene:DRNTG_15664 transcript:DRNTG_15664.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASILPSWLSNPETILILVSFILLYLLRHYYQSHHHHHHHHHHLPFNFPVIGMLPGLLTNIHQFHSWITNLLRASCCNFMFKGPWFSSMDMLITCDPANINHIFNTNFKNYPKGEEFSEIFDFLGDGIFTVDGESWRLQRKKAHNFITDHNFKAFLIKSSNSKVYTELIPLLRQLAGEEKETQVLDLQEVFLKFTFDATCELVFGVNPKSLSLEFPTIPFSKAMDETMTVMFLRHIMPARLWKLLRWLNVGEEKKLARARKVIDQFIAEAIKKKRDNHGNIRDCDLLTSYIKDTNSMKNIQESNKILRDTTINLMLAGRDTTGSALTWFFWLLSKNKQVEEKTIEELKQYSLEKKEEIDSEELGKLVYLHAALCESLRLYPPVPFEHKGVVKEEVLPSGDKVKPGMKIVILIYAMGRMKGIWGEDCMEFKPERWISEKGKLRHEPSFKFLSFNAGPRTCLGKEVAFVQMKTVVVAMISRFHFHVVEDHVVEAKCSVILHMKNGLMVKITERE >DRNTG_15664.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000781.1:2554:4611:1 gene:DRNTG_15664 transcript:DRNTG_15664.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASILPSWLSNPETILILVSFILLYLLRHYYQSHHHHHHHHHHLPFNFPVIGMLPGLLTNIHQFHSWITNLLRASCCNFMFKGPWFSSMDMLITCDPANINHIFNTNFKNYPKGEEFSEIFDFLGDGIFTVDGESWRLQRKKAHNFITDHNFKAFLIKSSNSKVYTELIPLLRQLAGEEKETQVLDLQEVFLKFTFDATCELVFGVNPKSLSLEFPTIPFSKAMDETMTVMFLRHIMPARLWKLLRWLNVGEEKKLARARKVIDQFIAEAIKKKRDNHGNIRDCDLLTSYIKDTNSMKNIQESNKILRDTTINLMLAGRDTTGSALTWFFWLLSKNKQVEEKTIEELKQYSLEKKEEIDSEELGKLVYLHAALCESLRLYPPVPFEHKGVVKEEVLPSGDKVKPGMKIVILIYAMGRMKGIWGEDCMEFKPERWISEKGKLRHEPSFKFLSFNAGPRTCLGKEVAFVQMKTVVVAMISRFHFHVVEDHVVEAKCSVILHMKNGLMVKITERE >DRNTG_15664.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000781.1:2554:4198:1 gene:DRNTG_15664 transcript:DRNTG_15664.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASILPSWLSNPETILILVSFILLYLLRHYYQSHHHHHHHHHHLPFNFPVIGMLPGLLTNIHQFHSWITNLLRASCCNFMFKGPWFSSMDMLITCDPANINHIFNTNFKNYPKGEEFSEIFDFLGDGIFTVDGESWRLQRKKAHNFITDHNFKAFLIKSSNSKVYTELIPLLRQLAGEEKETQVLDLQEVFLKFTFDATCELVFGVNPKSLSLEFPTIPFSKAMDETMTVMFLRHIMPARLWKLLRWLNVGEEKKLARARKVIDQFIAEAIKKKRDNHGNIRDCDLLTSYIKDTNSMKNIQESNKILRDTTINLMLAGRDTTGSALTWFFWLLSKNKQVEEKTIEELKQYSLEKKEEIDSEELGKLVYLHAALCESLRLYPPVPFEHKGVVKEEVLPSGDKVKPGMKIVILIYAMGRMKGIWGEDCMEFKPERWISEKGKLRHEPSFKFLSFNAGPRTCLGKEVAFVQMKTVVVAMISRFHFHVVEDHVVEAKCSVILHMKNGLMVKITERE >DRNTG_29509.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2228713:2230761:-1 gene:DRNTG_29509 transcript:DRNTG_29509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSFTDLAKKVDELEKKVSESKKRKVIERRLLLSSRNASLSFNYFFLFLVLRS >DRNTG_34327.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002128.1:2802:6908:-1 gene:DRNTG_34327 transcript:DRNTG_34327.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIELVSMAKEFGLDVDGFSIGWLDARSGMGVHREIRTDLEALEMAMSVGCTREIYVCITLPSGLNVSNDANVELLKHVKEDEDEVVDDAKLEEIITGGKDNGRNVDDEGDFHDSDYSFSDRSEEEIIGNESRFAEQSSNCGQAAQMGVELGEDSEYADSDAFQSASSTDEEELASSRARYPEFNGEVDMKNPQFKVGMKFRDFKQFKEAVRNYGIQNRYVMNFRPNTKKKCKAFCKKGCHFYLWVSPMVGDKSTVQIKSGVFKHECSKDHNNRHVRANWIAMNYLEQFRANPNWAISVIVQVVKTNQKVNVSRLKAYRVKCIAHRMIDGDEESQILRLHDYRLELIRTHPRSIVIIECNEDVFEGIYICLAPLRAGFLAGCRRLVSLDGCWLKGLYGGQLLSVVGIDANDCIYPIAWAIVRRETKDSWTKFLQVLAQDLRINDSQQWAFMSDRQKGLMPAIHELFPNSEHRYCVRHIHTNFKQTYKGKTLKDQLWSCARATYLSAYKRAIEGLKGMSLGAFEYMKKIEPKHWSRLHFHTQFKCDILLNNMCEVFNSQILKLGLRESLQ >DRNTG_34327.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002128.1:5598:14999:-1 gene:DRNTG_34327 transcript:DRNTG_34327.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVPSVELFTIRLHYKLDEIEGFAGYIDYCCADQMSRIELVSMAKEFGLDVDGFSIGWLDARSGMGVHREIRTDLEALEMAMSVGCTREIYVCITLPSGLNVSNDANVELLKHVKEDEDEVVDDAKLEEIITGGKDNGRNVDDEGDFHDSDYSFSDRSEEEIIGNESRFAEQSSNCGQAAQMGVELGEDSEYADSDAFQSASSTDEEELASSRARYPEFNGEVDMKNPQFKVGMKFRDFKQFKEAVRNYGIQNRYVMNFRPNTKKKCKAFCKKGCHFYLWVSPMVGDKSTVQIKSGVFKHECSKDHNNRHVRANWIAMNYLEQFRANPNWAISVIVQVVKTNQKVNVSRLKAYRVKCIAHR >DRNTG_34327.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002128.1:2802:14999:-1 gene:DRNTG_34327 transcript:DRNTG_34327.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVPSVELFTIRLHYKLDEIEGFAGYIDYCCADQMSRIELVSMAKEFGLDVDGFSIGWLDARSGMGVHREIRTDLEALEMAMSVGCTREIYVCITLPSGLNVSNDANVELLKHVKEDEDEVVDDAKLEEIITGGKDNGRNVDDEGDFHDSDYSFSDRSEEEIIGNESRFAEQSSNCGQAAQMGVELGEDSEYADSDAFQSASSTDEEELASSRARYPEFNGEVDMKNPQFKVGMKFRDFKQFKEAVRNYGIQNRYVMNFRPNTKKKCKAFCKKGCHFYLWVSPMVGDKSTVQIKSGVFKHECSKDHNNRHVRANWIAMNYLEQFRANPNWAISVIVQVVKTNQKVNVSRLKAYRVKCIAHRMIDGDEESQILRLHDYRLELIRTHPRSIVIIECNEDVFEGIYICLAPLRAGFLAGCRRLVSLDGCWLKGLYGGQLLSVVGIDANDCIYPIAWAIVRRETKDSWTKFLQVLAQDLRINDSQQWAFMSDRQKGLMPAIHELFPNSEHRYCVRHIHTNFKQTYKGKTLKDQLWSCARATYLSAYKRAIEGLKGMSLGAFEYMKKIEPKHWSRLHFHTQFKCDILLNNMCEVFNSQILKLGLRESLQ >DRNTG_24628.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31153036:31153853:1 gene:DRNTG_24628 transcript:DRNTG_24628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPFPPPTHLQFTSLAFHYKMFHLSHHLTAQRRHLSSPFKFETRVRFWEKHLRQLPGRTPKAPRERPPHHPPTTLYALQSVKKRDCSFNHYLRRRRGGF >DRNTG_06280.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25847909:25848652:-1 gene:DRNTG_06280 transcript:DRNTG_06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPSPSPPMYGISMSETSGSPNSTPSRSPQLPITLLPSRKKHPPKSAKIFRAIRSIVRSFPIISPGCRFHANIPRGNTSHDSHIQGATCTTGTLFGHRKARVTLAIQENPGSIPWLLLELGIPTTKLLQEVTSSLRIALECEKQVGSKTKLLDEPVWTAFINGRKIGYAARREPTDTHLNIMQLLHAVSMGAGVLPDELTDPVDGELTYMRAHFDRIIGNRDSETFYMFNPDSKSGPELSIFFVRI >DRNTG_01434.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23437582:23439138:-1 gene:DRNTG_01434 transcript:DRNTG_01434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATLSLLQSDCNLVLYDNGRPVWAYNIGGPGQHCCATLQSDGNQVIKKKTTT >DRNTG_01434.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23438078:23439138:-1 gene:DRNTG_01434 transcript:DRNTG_01434.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRATLSLLQSDCNLVLYDNGRPVWAYNIGGPGQHCCATLQSDGNQVIKKKTTT >DRNTG_01434.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23438078:23438949:-1 gene:DRNTG_01434 transcript:DRNTG_01434.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRATLSLLQSDCNLVLYDNGRPVWAYNIGGPGQHCCATLQSDGNQVIKKKTTT >DRNTG_21600.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2724846:2758420:1 gene:DRNTG_21600 transcript:DRNTG_21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGEGQAFGTHSPNNTLEDVKLLKDMNIDSYRFSISWSRILPKGSLKGGINQEGINYYNNLINELIKNGITPYVTLFHWDVPQALEDEYGGFLNKKIMFDFKDYCEICFKEFGDRVKHWITLNEPWTFSSMGYGLGQHAPGRCSHDLGCSVGNSIVEPYIVTHNLLLAHGAAARLYKDKYQGTQGGQVGITLVCMWYHPYDQTHKHVEAASRALDFMLAWYLDPLMHGDYPFNMRAIVRNRLPTFSKEEADMIKGSYDFIGINYYTARYAREVPYSQAPPFLHINESYAEQLADKDGVPIGESNGSWIYVYPRGLRDLLLYIKRRYENPAIYITENGISNVDKSDIPKEEALADEMRKNYFAVHLAEICDAIREGANVKGYFAWSLMDNYEWEKGYTERFGLNYVDYNTLERTPKDSAKWFSKFLQPKPQN >DRNTG_21600.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2727173:2728991:1 gene:DRNTG_21600 transcript:DRNTG_21600.9 gene_biotype:protein_coding transcript_biotype:protein_coding MWYHPYDQSHKHVEAATRALDFMLAWYLDPLLHGDYPFNMKAIVRDRLPTFSKEEADMIKGSYDFIGINYYTARYAREVPYSQAPPFLHINESYAEQLGKFFQKLKRVLFG >DRNTG_21600.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2726033:2758420:1 gene:DRNTG_21600 transcript:DRNTG_21600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKKNNFLFITTFIKFIMSMFNYFLKYFTEKIEDRSNGNIAVDSYHRYKEDVKLLKDMNIDSYRFSISWSRILPKGSLKGGINQEGINYYNNLINELIKNGITPYVTLFHWDVPQALEDAYGGFLNKKIMFDFKDYCEICFKEFGDRVKHWITLNEPWTFSSMGYGLGQHAPGRCSHDLGCSVGNSIVEPYIVTHNLLLAHGAAARLYKDKYQGTQGGQVGITLVCMWYHPYDQTHKHVEAASRALDFMLAWYLDPLMHGDYPFNMRAIVRNRLPTFSKEEADMIKGSYDFIGINYYTARYAREVPYSQAPPFLHINESYAEQLADKDGVPIGESNGSWIYVYPRGLRDLLLYIKRRYENPAIYITENGISNVDKSDIPKEEALADEMRKNYFAVHLAEICDAIREGANVKGYFAWSLMDNYEWEKGYTERFGLNYVDYNTLERTPKDSAKWFSKFLQPKPQN >DRNTG_21600.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2724846:2726561:1 gene:DRNTG_21600 transcript:DRNTG_21600.10 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFQPISMSPTTLSLFSSSTSLLATGKPALLSFSFNKHSSLPSSKNKYSRRTSGRGGNIVCLRGGNIPVQTSAPQTTTDAAVAFGRQSFPPGFAFGAATAAYQIEGAWNEGGRGPSIWDTFAQQHPGRREAVKGHEHRFL >DRNTG_21600.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2726033:2758420:1 gene:DRNTG_21600 transcript:DRNTG_21600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKKNNFLFITTFIKFIMSMFNYFLKYFTEKIEDRSNGNIAVDSYHRYKEDVKLLKDMNLDSYRFSISWSSILPKGSLKGGINQEGINYYNNLINELIKNGITPYVTLFHWDVPQALEDAYGGFLNKKIMFDFKDYCEICFKEFGDRVKHWITLNEPWTFSSMGYGLGQHAPGRCSHDLGCSVGNSIVEPYIVTHNLLLAHGAAARLYKDKYQGTQGGQVGITLVCMWYHPYDQTHKHVEAASRALDFMLAWYLDPLMHGDYPFNMRAIVRNRLPTFSKEEADMIKGSYDFIGINYYTARYAREVPYSQAPPFLHINESYAEQLGKFFQKLKEFYLANFF >DRNTG_21600.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2754322:2758420:1 gene:DRNTG_21600 transcript:DRNTG_21600.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFQPISMSPTTLCLSSSSTSLLATGKPAWLSFSFNKHSSLPSSKNKYSRRTSGRGGTFVCLRGGNISVQTSAPHTTTDAAVAFGRQSFPPGFAFGAATAAYQIEGAWNEGGRGPSIWDTFAQQHPEKIDDRSNGNIGVDSYHRYKEDVKLLKDMNLDSYRFSISWSSILPKGSLKGGINQEGINYYNNLINELIKNGITPYVTLFHWDVPQALEDAYGGFLNKKIMFDFKDYCEICFKEFGDRVKHWITLNEPWTFSSMGYGLGQHAPGRCSHDLGCSVGNSIVEPYIVTHNLLLAHGAAARLYKDKYQGTQGGQVGITLVCMWYHPYDQTHKHVEAASRALDFMLAWYLDPLMHGDYPFNMRAIVRNRLPTFSKEEADMIKGSYDFIGINYYTARYAREVPYSQAPPFLHINESYAEQLADKDGVPIGESNGSWIYVYPRGLRDLLLYIKRRYENPAIYITENGISNVDKSDIPKEEALADEMRKNYFAVHLAEICDAIREGANVKGYFAWSLMDNYEWEKGYTERFGLNYVDYNTLERTPKDSAKWFSKFLQPKPQN >DRNTG_21600.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2754322:2758420:1 gene:DRNTG_21600 transcript:DRNTG_21600.7 gene_biotype:protein_coding transcript_biotype:protein_coding MFDFKDYCEICFKEFGDRVKHWITLNEPWTFSSMGYGLGQHAPGRCSHDLGCSVGNSIVEPYIVTHNLLLAHGAAARLYKDKYQGTQGGQVGITLVCMWYHPYDQTHKHVEAASRALDFMLAWYLDPLMHGDYPFNMRAIVRNRLPTFSKEEADMIKGSYDFIGINYYTARYAREVPYSQAPPFLHINESYAEQLGKFFQKLKEFYLANFF >DRNTG_21600.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2727173:2728991:1 gene:DRNTG_21600 transcript:DRNTG_21600.8 gene_biotype:protein_coding transcript_biotype:protein_coding MWYHPYDQSHKHVEAATRALDFMLAWYLDPLLHGDYPFNMKAIVRDRLPTFSKEEADMIKGSYDFIGINYYTARYAREVPYSQAPPFLHINESYAEQLEAKDGVPIGESNGSWIYVYPRGLRDLLLYIKRRYENPAIYITENGISNVDKSDIPKEEALADEMRKNYLAVHLAEICDAIREGANVKGYFAWSLMDNYEWEKGYTERFGLNYVDYNSLERTPKNSAKWFSKFLQPKPQN >DRNTG_21600.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2726033:2758420:1 gene:DRNTG_21600 transcript:DRNTG_21600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGLGQHAPGRCSHDLGCSVGNSIVEPYIVTHNLLLAHGAAARLYKDKYQGTQGGQVGITLVCMWYHPYDQTHKHVEAASRALDFMLAWYLDPLMHGDYPFNMRAIVRNRLPTFSKEEADMIKGSYDFIGINYYTARYAREVPYSQAPPFLHINESYAEQLGKFFQKLKEFYLANFF >DRNTG_21600.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2727173:2758420:1 gene:DRNTG_21600 transcript:DRNTG_21600.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWYHPYDQSHKHVEAATRALDFMLAWYLDPLLHGDYPFNMKAIVRDRLPTFSKEEADMIKGSYDFIGINYYTARYAREVPYSQAPPFLHINESYAEQLEAKDGVPIGESNGSWIYVYPRGLRDLLLYIKRRYENPAIYITENGISNVDKSDIPKEEALADEMRKNYFAVHLAEICDAIREGANVKGYFAWSLMDNYEWEKGYTERFGLNYVDYNTLERTPKDSAKWFSKFLQPKPQN >DRNTG_15061.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000751.1:11571:27392:-1 gene:DRNTG_15061 transcript:DRNTG_15061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKIARRRQAVEDRYTRPQGLYEHRDIDYKKLRRLILDSKLAPCYPGEEDCAIDLEECPICFLCYPSLNRSRCCVKGICTECFLQMKPHQSTSATQCPFCKTSNYAVEYRGVRTKEEKGMDQIEEQKVIEAQIRMQQQELQDEEERRLRRESLMRPSNRIATTEEVENLDLSCRSLSVQSSRFPTQGNELVSQGSSTTPTSRRFSHPKI >DRNTG_15061.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000751.1:4585:13818:-1 gene:DRNTG_15061 transcript:DRNTG_15061.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEDLMVMEAIWLSIQEPENQRHHTLPVSSQLHSVPGREASSPSGGLALAVAALAERQHLRAEPSESASRVDRARVTDHPPERWTEAPLDSGSLSCREDGNWEMDHESEVHSMARNAAGGFNVVSPLPATNDMAVDHLPPESFDEQMMLAMAVSLAEARARTNAPPLTWM >DRNTG_20760.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2235848:2242746:1 gene:DRNTG_20760 transcript:DRNTG_20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFLHSSSASTSVLYPWLHPDSKHQSAASSLVFFSHPNFLLTIPTNLNLQRISRRYCLNPLSISDGNGGLVLGSNNKEEKKDDDDDDDDAELCPVDCVKEFKTEEEFLNILEKSKETGALVVVDFFRPSCGSCKYIEQGFMKLCKGSGDQDSDVVFLKHNVIDEYDEQSEVADRLRIKIVPLFHFYKNGVLLEAFPTRDKEKIMEAIQKYTSSS >DRNTG_07410.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7323007:7346654:1 gene:DRNTG_07410 transcript:DRNTG_07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVVGDTHKRLRNLALTLVTTTKSKPSYLYDMESIAIHVLNSWKDKSIVFFCEEAKKFTFSVIVKQVLGLSPDEPQTSKILEDFLTFMKGFISFPFYIPGTSYAKAVKARAKISFTVKSIMEARRRKHGEDSAKQQQKGDHFLDVLLSLTNLSEDEKVSFVLDSLFGGYETTSVLMSMAVFFLGQSPLALQQLKQEHEEIKAKRNKDEYYLSLEDYKQMEFTQNVINEALRCGNIVKFVHRKALKDVTFQGHLIPAGWKVLPVFSAVHLDSSLHDNALEFHPWRWEKQGQMTCKKFTPFGGGPRICPGFELAKVETAVFLHHLVLNFRWKQEGEDCPIAHPYVEFPRALPLEIEPIPMESSF >DRNTG_11775.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:613517:616530:-1 gene:DRNTG_11775 transcript:DRNTG_11775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAMEVIADRLSAMDDLYFPRAFLEGAHNSDHRKAALLDLLIRDAPLFLERYGSRLTSEELKGFDVLGMDYEVGWHLKRIRSAREPTVEEVRVRVAAVKNRRRAHMERLVLAGEYFSEDAMREREPYLHHELVGRFQDPVGRAMSRPGERWSETLMRRCDEAALVEKIRGEQQRRGVARKDWVGAAEEEMEEEEEEEDDDEEEEEEEKEGKKEEEEKDELSSSKEQIDGGSNVITAQSSGVESFAQALSEAELEYHLEQFTHIMQQKFLAGEDTEYWDYSKIDDDERLDDHWIKEANQDAEEKYFDEDD >DRNTG_16843.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000851.1:13888:14385:1 gene:DRNTG_16843 transcript:DRNTG_16843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMGMVRRVRTGVFALVLLAPEIAEDEGDEAGASQPAPEPQPASMETEAPPAAEEPPPVRMFSPSRANDHFERLHNAIGVVRAEILERDVASSFVLQPRTRQAPSVPPAPPSSTPKTEGPTICFHLTRAAVVSPESDSDT >DRNTG_32481.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001845.1:14806:21401:1 gene:DRNTG_32481 transcript:DRNTG_32481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARLLSRSKQLYAGRSVFQYEHAVPVRYFAKEAAPPSQTPLKGDEMLKGIFYEVKNKFETALGILRTEKITIDPDDPAAVSQYSKVMKTVREKADLFSESQRIKYTIQQRTQDIPDARTYLLTLQEIRVKSGLTDDIGAETMMMEALDKVEKDIKKPLLRSDKKNMAILLAEFDKINKKLGIRKEDLPKYEEELELKIAKVQLQELKKDAMEAMDTQLKREEFKDEEMVDVKQLDIRNFI >DRNTG_02281.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:866123:868477:-1 gene:DRNTG_02281 transcript:DRNTG_02281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFFIIIFFFFFFFFFFSLHGSSVHSQTIKPNITPGSSINSGTNDSWTSPSGHFSFGFYPTPNGFFIGVWLQTSSEKTIIWTANRDDTPITTGSIRLSFDGRLLWSAAGGQETVISNPPEPAAGAAMLDSGNFVLVNSAQKVVWSTFSSPTDTIVPGQTLNPNTQLVSSSSENDPSTGRFRLTNQNDGNLVLYPMYSTNTIDDAYWDSGTYQIGFLITLNLDVNGVLYLSGNNSAVVKNLTQPKTFTASSVEIYHRAVIDVDGILRVYYHSLMKDGSWETGVEWVALSDKCLVKGVCGLNSYCSLVDDAAVCLCPPGFDFVDSYQRSLGCTRSFSGGDCLKDSNITMVELKNTTWVDKTYSIFPSGMSRDDCEAKCMDDCFCKAVLFKSTGECSKQLLPLRYGRMGGNDTVFIKLAAVISNSSSEQHGNNKTTTLLLIISVIFSSLFFILLLFAVFLIFVIRHQRKHLHEKASLNEESPLKSYSYQELEDATNGFREELGRGAFGVVFKGSILSINGEKTIAVKRLQKMVDEGEKEFQREVKAIARTHHRNLVQLLGFCNEGSNRLLVYEYMGNGSLADLLFKRSITGTYPNWKQRTKIAIDVARGLHYLHEEVENHIIHCDIKPQNILIDKSENAKISDFGLAKLLMPEQTKTFTGIRGTRGYLAPEWHKNVAITVKADVFSFGVLLFEIICCKRNMEMEEQGMDCTLVEWVYECFEEGDLRKVLSGEEEVDMVELERMVMVGLWCVHNDPGFRPSMKNVVPMLEGNMIVPRPPLVPPTSFY >DRNTG_17891.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17398161:17402215:1 gene:DRNTG_17891 transcript:DRNTG_17891.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLVDDRIALIIQTFSSIIIAFVLPLITSWS >DRNTG_17891.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17398161:17402215:1 gene:DRNTG_17891 transcript:DRNTG_17891.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLVDDRIALIIQTFSSIIIAFVLPLITSWS >DRNTG_17891.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17398161:17402215:1 gene:DRNTG_17891 transcript:DRNTG_17891.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLVDDRIALIIQTFSSIIIAFVLPLITSWS >DRNTG_17891.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17398161:17402215:1 gene:DRNTG_17891 transcript:DRNTG_17891.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLVDDRIALIIQTFSSIIIAFVLPLITSWS >DRNTG_18337.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1644163:1646877:-1 gene:DRNTG_18337 transcript:DRNTG_18337.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASAPEKATQAASERLEKAYAAFQERVKRTVYLDNISPQVNIPVIRTALGQFGNVVNVEFILNYTIPFDIPQCALVEMENEKEAAAVISEMKNFPFMILGMPRPARALPAKPEMFADRPSPPNRKIQLRWVKPSDPEFEVGKKLKHLVKRHRAETNAMIEFQLAEEEKLAKQQSEQLKTNYKKYEMIENIMHDGTAARLARYYGVNLGDD >DRNTG_05030.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5676672:5677218:-1 gene:DRNTG_05030 transcript:DRNTG_05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKSLGNTEIGNTQSEGKGDTPLSHDSPSHARLTLGVALHGASYNTMITTMKAMKNMNVNLFDFVMNGLDGLPTVLKIPPLKLGHGWLPLAMTKRRPIKVGDGLPQCCQRPPSKP >DRNTG_19859.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20048537:20049743:-1 gene:DRNTG_19859 transcript:DRNTG_19859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLNSLRNANVSTLWVDDVHPHYVMRRYAEFIASLVHLNVEHGDGQLDLNFERLEMAIDDLLIKLAKTFTKPKLQTAFLINNYDLIVAVLMNVPGGGRTMIYFGKLLESNIYIFAEEMLLEHFNDLIKFLNSCGAGESSSGAEKPSATDLEPLVRDFLSRRETAIELMNKDVITFFSNCLCGGRNIMDVATIKLHVYYGRLRECARRMEGSFDCRDPLPVFRLSYKDKYFKMV >DRNTG_30990.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18869895:18872142:-1 gene:DRNTG_30990 transcript:DRNTG_30990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPIAAVTCPQLCRTSTCPSWLQAALADIEQRVNEVALSQPGKDESDSFADRAEFYYEKRPQLVSLLHDLHHRYLQLADRYSQSLLRRTHSPSPSISPPDSPTSSAAESALSFQPNNLNPNQNPNPNLNPIEADLDFLIAELVITGVEREILVNEINESDRYRMEADRRMELQRSLVEVLESEREVLAGENARVGFRAAAAEAEARGLAAEVGWMRRRAGELARAVVKLREDHRVCLLSRRIEGLQAQIYALERRNGECYEEMERLKEENRRLKEEAKGRRRRVKGAGWEKVWRWWAQARKVEWGPICDADVEKGLGLGCYCW >DRNTG_13579.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1996980:1997408:1 gene:DRNTG_13579 transcript:DRNTG_13579.2 gene_biotype:protein_coding transcript_biotype:protein_coding NLCLRSCSSNCARAPHSSQTYPLRTSCNS >DRNTG_13579.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1996797:1997408:1 gene:DRNTG_13579 transcript:DRNTG_13579.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLCLRSCSSNCARAPHSSQTYPLRTSCNS >DRNTG_00568.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30078998:30080236:1 gene:DRNTG_00568 transcript:DRNTG_00568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCCAILSIFSPLLKPPPPPPPPPPPCFTLHSPLISCRARAAGRQGAAQQLVSPCRARRRVRYDNDDEDDDEEYGNNAEFQMLEAYSDSARSEALLVRATVDDQEEEVLVFRGFSSCLSGRTAPDPSKSVLPANAVIQSIDVVRGPFDPSNIEYLEKGLTWEAFKTRLQAL >DRNTG_31492.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2031497:2040875:1 gene:DRNTG_31492 transcript:DRNTG_31492.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTITLSASIPYFKPPSCAGNLCPPANNVEKLIFYSGLYLIAFGSGGVKSSLLPLGADQFDEDNPMEVQKKGSFFNYFYFCMNAGALASSTVIVWIEQNIDWGIGYGISTLCIFLAFTCFLIGTSTFRLREPGGSPLKNVFQVLVASFQKRKLRVPSENRFLYEVEDNNLNPRRTHRLDHTDEFRCLDKAAIVLNSDMKEVDSWSLCTVTQVEEFKALLRLLPIWVAGIIYSVSYAQMYTTFVEQGKAMETGLGSFSIPPASLYAFEVLTVMLWVLFYDTVIATIARRYLGDGHGLTQLQRMGIGHLSIIIAIATAAIVEMKRKESVNKGEPISILWQLP >DRNTG_31492.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2031497:2033643:1 gene:DRNTG_31492 transcript:DRNTG_31492.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTITLSASIPYFKPPPCEGNLCPPANNVEKLIFYSGLYLIAFASGGIKSSLLPLGADQFDEDNPTEVQKKGSFFNYFYFCMNAGALVSSTVIVWIQQNIDWGIGFGISTLCMFLALTCFLIGTSTFRLREPGGSPLKNVFQVLVASFQKRKLKVPSDNIFLYEVEDNNLNPRRIHRLDHTDEFRCLDKAAIVLNSDMKEVDSWSLCTVTQVEEFKALLRLLPIWVTGIIYSVTYAQMYTTFVEQGKAMETRLGSFSIPPASLYAFEVLSVMLWVLLYDTVIATIARRYLGHGLTQLQRMGIGHLCIIVAIAAAAILEMKRKESVNKGEPISILRQLPQFFLMACSEVFTYIGQLEFFYDQAPDSMKSMSTALSLLTISLGNYLSSVIVSLIALVTTSRDSAGWIPDDLNNGHLDYFFWWLAGFSFLNFMAYISFAKRFTVLKRRVFV >DRNTG_31492.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2031497:2033643:1 gene:DRNTG_31492 transcript:DRNTG_31492.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQVGHHVALMDHQSLLHGTRNTQEGLDVKQSGNTEIKRGPSIILGFLFLESLGFYGMSTNLVIYLSSILHETNASIAMNITTWTGTSYFTPFLGALIADSYSGSYKMILFSSLLYLIGMGTITLSASIPYFKPPPCEGNLCPPANNVEKLIFYSGLYLIAFASGGIKSSLLPLGADQFDEDNPTEVQKKGSFFNYFYFCMNAGALVSSTVIVWIQQNIDWGIGFGISTLCMFLALTCFLIGTSTFRLREPGGSPLKNVFQVLVASFQKRKLKVPSDNIFLYEVEDNNLNPRRIHRLDHTDEFRCLDKAAIVLNSDMKEVDSWSLCTVTQVEEFKALLRLLPIWVTGIIYSVTYAQMYTTFVEQGKAMETRLGSFSIPPASLYAFEVLSVMLWVLLYDTVIATIARRYLGHGLTQLQRMGIGHLCIIVAIAAAAILEMKRKESVNKGEPISILRQLPQFFLMACSEVFTYIGQLEFFYDQAPDSMKSMSTALSLLTISLGNYLSSVIVSLIALVTTSRDSAGWIPDDLNNGHLDYFFWWLAGFSFLNFMAYISFAKRFTVLKRRVFV >DRNTG_31492.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2039392:2041124:1 gene:DRNTG_31492 transcript:DRNTG_31492.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTITLSASIPYFKPPSCAGNLCPPANNVEKLIFYSGLYLIAFGSGGVKSSLLPLGADQFDEDNPMEVQKKGSFFNYFYFCMNAGALASSTVIVWIEQNIDWGIGYGISTLCIFLAFTCFLIGTSTFRLREPGGSPLKNVFQVLVASFQKRKLRVPSENRFLYEVEDNNLNPRRTHRLDHTDEFRCLDKAAIVLNSDMKEVDSWSLCTVTQVEEFKALLRLLPIWVAGIIYSVSYAQMYTTFVEQGKAMETGLGSFSIPPASLYAFEVLTVMLWVLFYDTVIATIARRYLGDGHGLTQLQRMGIGHLSIIIAIATAAIVEMKRKESVNKGEPISILWQLP >DRNTG_31607.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:687171:693081:1 gene:DRNTG_31607 transcript:DRNTG_31607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKEDNGESEQECPEPSTDAQVDAGVKEEDFPSLVMDPVVEQNPPEQAEDDPVNNSEPGGVPTSEHVPVIDEPIKKDTGMPPELDNAAKEPTLNSSAKESSPELNNAVEEPTLDSAAEDSALELNNAVEEHRLNNAAEEPTLDNAANETPSDNAAKETLFDNVAKEIASNEISEDPASENIAEDLVLNKILEEPTSNHICEETASNLITKEPASNHFADEPPSNHISKELVSNHIAGEPASDQRSKEPALNHIAEEPASKNIPKDPTSHDIAENPSSNNIAEDLASNDVVEGPVSRNYPQDPLLDNVGTDSLDADADLINQQENVVDQDPPLDVNAGKEIELAETKVENGGGPKEQDTKATKFDDNFFVDSAMKEEREGDYPSHEDGHSQSFLFDYTEGEESGTEEDQAAFMKELENFHKDKSLEFKPPKFYGEGLNCLKLWRAVTRLGGYDQVTSCKLWRQVGESFRPPKTCTTVSWSFRIFYEKALLEYEKHKIRTGELQVPIASLPEPMALDHQVGGNQASGSGRARRDAAARAMQGWHSQRLLGNGEVGDPIIKDKSSVSLLKQNKHLKTVGLLKRKKASSMEHAAVKVARTKIAKPQTDTMVVDVGAPADWVKINVRRTKDCFEVYALVPGLLREEVHVQSDPAGRLVISGEPEQPDNPWGVTPFKKVVTLPSRIDPHQTSAVVTLHGQLFVRAPFEQSES >DRNTG_22850.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2561650:2566073:-1 gene:DRNTG_22850 transcript:DRNTG_22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFATSFLVPSAPKRKVSNPRRVSSSKSSSFLSGSISFLPGISLELQSLLLSRKPLRVKATTLQEEDEKGGRGRFFLLQKTSSIDQEEAIDSGVSTSTPSRAESWIIKFEQTFNIALTESMITILDAFYHDRHYARFYVLETIARVPYFSFISVLHLYESLGWWRRADYLKVHFAESWNEMHHLLIMEELGGNSFWLDRFLAQSIAVGYYFMTVFMYMLSPRMAYHFSECVEKHAFSTYDKFLKLKEEELKKLPAPEAAIKYYMSEDLYLFDEFQTARAPRTRRPKIENLYDAFVNIRDDEAEHCKTMKACQTPGSLRSPHSNMNMNMNAEADEDEPESGSSTPEADCEGII >DRNTG_02450.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3473737:3474554:-1 gene:DRNTG_02450 transcript:DRNTG_02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLTVTTNLNPTTTPQNHTLMNHQAYKQLPAATMYAQQSSNTSAYHSSLAQMHNASTSLLNSTMPTWLPSSVAPSTHFDANQYNHRMYSASQGQMNAVRNNAELYSNRGLPDSRREHGPSYRPEWPANWNPGEHRDWQNRPSDAGRRGRDRDWR >DRNTG_02450.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3473779:3474554:-1 gene:DRNTG_02450 transcript:DRNTG_02450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLTVTTNLNPTTTPQNHTLMNHQAYKQLPAATMYAQQSSNTSAYHSSLAQMHNASTSLLNSTMPTWLPSSVAPSTHFDANQYNHRMYSASQGQMNAVRNNAELYSNRGLPDSRREHGPSYRPEWPANWNPGEHRDWQNRPSDAGRRGRDRDWR >DRNTG_19141.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000984.1:1048:2061:1 gene:DRNTG_19141 transcript:DRNTG_19141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVGSIDTSGISMLKEAKKVVERRGLQLVLANPGSEVMKKLYKSKALEIIGKEWIFLTVGEAVGACSYLLHTCKPGTMEGVNGVAFSNDNVV >DRNTG_04722.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8276809:8280093:1 gene:DRNTG_04722 transcript:DRNTG_04722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSQEELLAAHLEQQKLNPDEPVVEDDEAEDDDDNEDDDKDEDDVEGQHGDASERSKQNRREKKSRRAMLKLGMVPMAGIKQVTVRKSKSIIFVISNPEVYASPETDTYVVIGEAKIEDLSSQLQNAAEKFKVPDLSHVISKPETSSMAEDNEDVDETGVEPKDIELVMTQAGVSKSNAIKALKAADGDIVSAIMELTN >DRNTG_23182.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1814952:1815789:-1 gene:DRNTG_23182 transcript:DRNTG_23182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPISPTFSSPSTSKLLSLPQKPFLSFNARFKRPRFVCRPCAAPEALEIEEEDQLEEKEKVRVAVKTMEKPRLVLKFVWMEKNIGLALDQVIPGYGTVPLSPYYFWPRKDAWEELKSLLESKAWISQKRMIILLNQATDIINLWQQSGGAL >DRNTG_23182.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1814996:1815789:-1 gene:DRNTG_23182 transcript:DRNTG_23182.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPISPTFSSPSTSKLLSLPQKPFLSFNARFKRPRFVCRPCAAPEALEIEEEDQLEEKEKVRVAVKTMEKPRLVLKFVWMEKNIGLALDQVIPGYGTVPLSPYYFWPRKDAWEELKSLLESKAWISQKRMIILLNQATDIINLWQQSGGAL >DRNTG_16850.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15667009:15669154:-1 gene:DRNTG_16850 transcript:DRNTG_16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKNSRNGGRIFHVGGERGRSGTSRLTLSTLSNIALSNARGVSLTHKVTNKNSQPSSVTQGEMFIQSNIQGGEKNAEIGAESALNRAGIGNPHAPVGAPVEFPHGRGLEVFSGQQGKHTLDASSTIMHKRSMV >DRNTG_15548.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20640065:20642009:-1 gene:DRNTG_15548 transcript:DRNTG_15548.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKYIVNHREDFEPFIEGDVPFDKYCHSMGKQGTWAGHMELQAASLVTGVNICIHRAMFPRWYIRNFQGQEARMIHLSYHHGKHYNSVRLCEDSCEGPAKQIIIKADSDILVPSHNKKVPACVPKAASVKMVMSGTGCENFAKAEQVLQEFGGDVDAAIEFLIAEQEMKDCENDDNDTPSENNISYGNFFLSDYIFYHKDRPPKRICNKL >DRNTG_15548.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20638546:20645260:-1 gene:DRNTG_15548 transcript:DRNTG_15548.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSKQKKPQPQPEKKQSHAAAVKKKGKEVVLPQFQPQLNALGLKIIQITADGNCFFRALADQLEGNAEEHTKYRHMVAKYIVNHREDFEPFIEGDVPFDKYCHSMGKQGTWAGHMELQAASLVTGVNICIHRAMFPRWYIRNFQGQEARMIHLSYHHGKHYNSVRLCEDSCEGPAKQIIIKADSDILVPSHNKKVPACVPKAASVKMVMSGTGCENFAKAEQVLQEFGGDVDAAIEFLIAEQEMKDCENDDNDTPSENNISYGADQIMNSEKLEVPFEDVTTAQSSLDSDPQSVTERKVQVVDKKTSNNKQCSCGSKKKYKACCGSGVASTSAVTNSNAKCTSSKDRKDRKSCRRKEAVGEAEASRSSNQLDLGALCL >DRNTG_15548.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20638546:20639277:-1 gene:DRNTG_15548 transcript:DRNTG_15548.11 gene_biotype:protein_coding transcript_biotype:protein_coding KKTSNNKQCSCGSKKKYKACCGSGVASTSAVTNSNAKCTSSKDRKDRKSCRRKEAVGEAEASRSSNQLDLGALCL >DRNTG_15548.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20638546:20642009:-1 gene:DRNTG_15548 transcript:DRNTG_15548.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKYIVNHREDFEPFIEGDVPFDKYCHSMGKQGTWAGHMELQAASLVTGVNICIHRAMFPRWYIRNFQGQEARMIHLSYHHGKHYNSVRLCEDSCEGPAKQIIIKADSDILVPSHNKKVPACVPKAASVKMVMSGTGCENFAKAEQVLQEFGGDVDAAIEFLIAEQEMKDCENDDNDTPSENNISYGADQIMNSEKLEVPFEDVTTAQSSLDSDPQSVTERKVQVVDKKTSNNKQCSCGSKKKYKACCGSGVASTSAVTNSNAKCTSSKDRKDRKSCRRKEAVGEAEASRSSNQLDLGALCL >DRNTG_15548.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20638546:20638880:-1 gene:DRNTG_15548 transcript:DRNTG_15548.13 gene_biotype:protein_coding transcript_biotype:protein_coding SNAKCTSSKDRKDRKSCRRKEAVGEAEASRSSNQLDLGALCL >DRNTG_15548.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20645811:20650064:-1 gene:DRNTG_15548 transcript:DRNTG_15548.7 gene_biotype:protein_coding transcript_biotype:protein_coding FQLEKEGEVLQIRFSWNRIHFLGRFGVQNGKSITNTEDRCVQFYEEEIRKLSEQR >DRNTG_15548.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20640369:20650064:-1 gene:DRNTG_15548 transcript:DRNTG_15548.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSKQKKPQPQPEKKQSHAAAVKKKGKEVVLPQFQPQLNALGLKIIQITADGNCFFRALADQLEGNAEEHTKYRHMVAKYIVNHREDFEPFIEGDVPFDKYCHSMGKQGTWAGHMELQAASLVTGVNICIHRAMFPRWYIRNFQGQEARMIHLSYHHGKHYNSVRLCEDSCEGPAKQIIIKADSDILVPSHNKKVPACVPKAASVKMVMSGTGCENFAKAEQ >DRNTG_15548.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20638546:20643323:-1 gene:DRNTG_15548 transcript:DRNTG_15548.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSKQKKPQPQPEKKQSHAAAVKKKGKEVVLPQFQPQLNALGLKIIQITADGNCFFRALADQLEGNAEEHTKYRHMVAKYIVNHREDFEPFIEGDVPFDKYCHSMGKQGTWAGHMELQAASLVTGVNICIHRAMFPRWYIRNFQGQEARMIHLSYHHGKHYNSVRLCEDSCEGPAKQIIIKADSDILVPSHNKKVPACVPKAASVKMVMSGTGCENFAKAEQVLQEFGGDVDAAIEFLIAEQEMKDCENDDNDTPSENNISYGADQIMNSEKLEVPFEDVTTAQSSLDSDPQSVTERKVQVVDKKTSNNKQCSCGSKKKYKACCGSGVASTSAVTNSNAKCTSSKDRKDRKSCRRKEAVGEAEASRSSNQLDLGALCL >DRNTG_15548.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20638546:20650064:-1 gene:DRNTG_15548 transcript:DRNTG_15548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSKQKKPQPQPEKKQSHAAAVKKKGKEVVLPQFQPQLNALGLKIIQITADGNCFFRALADQLEGNAEEHTKYRHMVAKYIVNHREDFEPFIEGDVPFDKYCHSMGKQGTWAGHMELQAASLVTGVNICIHRAMFPRWYIRNFQGQEARMIHLSYHHGKHYNSVRLCEDSCEGPAKQIIIKADSDILVPSHNKKVPACVPKAASVKMVMSGTGCENFAKAEQVLQEFGGDVDAAIEFLIAEQEMKDCENDDNDTPSENNISYGADQIMNSEKLEVPFEDVTTAQSSLDSDPQSVTERKVQVVDKKTSNNKQCSCGSKKKYKACCGSGVASTSAVTNSNAKCTSSKDRKDRKSCRRKEAVGEAEASRSSNQLDLGALCL >DRNTG_15548.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20638485:20638880:-1 gene:DRNTG_15548 transcript:DRNTG_15548.12 gene_biotype:protein_coding transcript_biotype:protein_coding SNAKCTSSKDRKDRKSCRRKEAVGEAEASRSSNQLDLGALCL >DRNTG_15548.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20645811:20650064:-1 gene:DRNTG_15548 transcript:DRNTG_15548.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRHSRNRILLPSPVLRLSTGALQIATDPVKHELTKHIGVDAHFTRCHVRAQAVSLHYLPTEVQVADFFTKAQTRDQHLFMLSKLKTHDPL >DRNTG_24262.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18899201:18905490:1 gene:DRNTG_24262 transcript:DRNTG_24262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPAVAQEEHAPPVTSIPGARPLTVVSGGRRKRWDSLVLIVARFASDMPIFRCGRRKRSSNLVLTVARFCFLNLTLPEFVLILVLRGKACVKMNPGDDIPVVEVEQSMALSLIRESQLLTPSHVESSDGVPSAVRESQAVTASPGRESQEIRSAIRECQSASTSSLEETSQGPSTASEDESVISSPPRGTQENPSAGIGIRSGGGGGESSNASPSAFQQIVVYDTDSANNRQGGNDSDTRDAPPGLEDQFSAFSVQCDHCFQRRLVPTKEQYEKIRANVSERPFVCYMAREWNREVSCADPADIIQDDSRIWAIDKPNIPVSPQGWERLIRLRKEGGTKFADVYVLFLTCGYIDIFEFKTNICVR >DRNTG_32403.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17662652:17663307:-1 gene:DRNTG_32403 transcript:DRNTG_32403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYSFIKFSDLLCIEVNCTHLFTIKDKKPC >DRNTG_18092.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:318430:320523:1 gene:DRNTG_18092 transcript:DRNTG_18092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNAMEGFTKAVANDVRRWGAMKQTGVSLRYMMEFGSRPTDRNLLLSAQFLHKELPIRIARRVIELENLPFGLSQKPAVLKVRDWYLESFRDVRSFGDINDKHDELAFTEMIKMIKVRHNHVVPTMALGVQQLKKDLNVTAAFKDLEEIHQFLDRFYMSRIGIRVLIGQHVGLHDPSPEPGCVGLINTRMSPVQ >DRNTG_15963.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:318550:321767:1 gene:DRNTG_15963 transcript:DRNTG_15963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAAVADDSPDLVCHLDSIHGMVDALTSVRWKRHQDVVLEISEHGIVVIVEESGCLQAKVYLKRELFVFYEYTAEGRPRFGMSLDLFVDGLNIFSALGSATVVEIRYPGPDMELVLKTVDFPNGSLYAAIRTRIPDTISWDYDFEPTGNVPISFTVKSAVLKETIEDLEWPGSSIQIVLQPDPPSVTFRGDGHGELQIDFPYYASTDLLIAFQCDLRIAYW >DRNTG_20321.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5718234:5720322:-1 gene:DRNTG_20321 transcript:DRNTG_20321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHILVMGLEGPLMSTLQHLMDIPDEVDKTMTPADVKELPRSYVFEVDMPGMKPGESKVQVEDENMLIGCPFPSLSYFPCIISR >DRNTG_20321.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5718169:5720238:-1 gene:DRNTG_20321 transcript:DRNTG_20321.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHILVMGLEGPLMSTLQHLMDIPDEVDKTMTPADVKELPRSYVFEVDMPGMKPGESKVQVEDENMLIGCPFPSLSYFPCIISR >DRNTG_18416.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4126547:4130318:-1 gene:DRNTG_18416 transcript:DRNTG_18416.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGTVNARIIVVTDFIAVPVRVVLAAVSDLGPIVSDDDVDDALVVTQACVHRGKLPVESSSKDIVVSISKTPELIRNQWLEEIGRVSKPGGKILIQAYFPINDKFSELKSQLERAATMAGFLEFQALHDAKDFFSVEGYRSFTIKCEKAAWNVGASFDIKKAFTGKIQIDEELDFIDEDSLLTEEDLKKPQLPPVGDCEVGSTRKACKNCTCGRAEAENKVEKLGLTAEQINNPQSACGNCGLGDAFRCGSCLYKGLSPFKLGEKVSHHFRAFLLN >DRNTG_18416.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4126547:4130318:-1 gene:DRNTG_18416 transcript:DRNTG_18416.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFLEFQALHDAKDFFSVEGYRSFTIKCEKAAWNVGASFDIKKAFTGKIQIDEELDFIDEDSLLTEEDLKKPQLPPVGDCEVGSTRKACKNCTCGRAEAENKVEKLGLTAEQINNPQSACGNCGLGDAFRCGSCLYKGLSPFKLGEKVSLGGDFLVAEI >DRNTG_18416.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4126547:4130318:-1 gene:DRNTG_18416 transcript:DRNTG_18416.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGTVNARIIVVTDFIAVPVRVVLAAVSDLGPIVSDDDVDDALVVTQACVHRGKLPVESSSKDIVVSISKTPELIRNQWLEEIGRVSKPGGKILIQAYFPINDKFSELKSQLERAATMAGFLEFQALHDAKDFFSVEGYRSFTIKCEKAAWNVGASFDIKKAFTGKIQIDEELDFIDEDSLLTEEDLKKPQLPPVGDCEVGSTRKACKNCTCGRAEAENKVEKLGLTAEQINNPQSACGNCGLGDAFRCGSCLYKGLSPFKLGEKVSLGGDFLVAEI >DRNTG_18416.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4125648:4130318:-1 gene:DRNTG_18416 transcript:DRNTG_18416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGTVNARIIVVTDFIAVPVRVVLAAVSDLGPIVSDDDVDDALVVTQACVHRGKLPVESSSKDIVVSISKTPELIRNQWLEEIGRVSKPGGKILIQAYFPINDKFSELKSQLERAATMAGFLEFQALHDAKDFFSVEGYRSFTIKCEKAAWNVGASFDIKKAFTGKIQIDEELDFIDEDSLLTEEDLKKPQLPPVGDCEVGSTRKACKNCTCGRAEAENKVEKLGLTAEQINNPQSACGNVSFSIFESH >DRNTG_18416.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4126547:4128495:-1 gene:DRNTG_18416 transcript:DRNTG_18416.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFLEFQALHDAKDFFSVEGYRSFTIKCEKAAWNVGASFDIKKAFTGKIQIDEELDFIDEDSLLTEEDLKKPQLPPVGDCEVGSTRKACKNCTCGRAEAENKVEKLGLTAEQINNPQSACGNCGLGDAFRCGSCLYKGLSPFKLGEKVSLGGDFLVAEI >DRNTG_29356.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1576920:1577650:-1 gene:DRNTG_29356 transcript:DRNTG_29356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFTDLLGDPVCRIRHSPAFLMLVAETVGPAPEIVGVVRGCVKTVATTTSVETLAVYAKVGYILGLRVSPYHRRLGIGMKLVRGMEQWFTEKGAEYAYVATEKDNEASVRLFTRGCRYSKFRTPAILVNPVFAHQAPAPSGAAVISISAA >DRNTG_06970.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:70900:72483:1 gene:DRNTG_06970 transcript:DRNTG_06970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >DRNTG_06970.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:69546:72483:1 gene:DRNTG_06970 transcript:DRNTG_06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFTKLFLVDFFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >DRNTG_08211.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17694434:17696319:1 gene:DRNTG_08211 transcript:DRNTG_08211.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHHHHHLHHHCFKCSPPRARLRRNSGRQQNG >DRNTG_08211.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17694434:17696319:1 gene:DRNTG_08211 transcript:DRNTG_08211.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHHHHHLHHHCFKCSPPRARLRRNSGRQQNG >DRNTG_08211.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17694434:17696319:1 gene:DRNTG_08211 transcript:DRNTG_08211.2 gene_biotype:protein_coding transcript_biotype:protein_coding SSPQLPNQEEPCHKFLHPNPWPHPSTKYQKDAELAEGKVQ >DRNTG_08211.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17694434:17696319:1 gene:DRNTG_08211 transcript:DRNTG_08211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHHHHHLHHHCFKCSPPRARLRRNSGRQQNG >DRNTG_08211.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17694434:17696319:1 gene:DRNTG_08211 transcript:DRNTG_08211.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHHHHHLHHHCFKCSPPRARLRRNSGRQQNG >DRNTG_31120.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:123665:124436:-1 gene:DRNTG_31120 transcript:DRNTG_31120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTCTLFTTIPSSSFTRRNTSLISLRSFPASSKLFGLDQARGGRVTAMATYTVKLITPEGTKELKMSEDSYILDSAEEQGFDLPYSCRAGSCSSCAGKVVQGKVDQSDGSYLDDDQMEAGYVLTCVAFPQSDLVIETHKEEELMEF >DRNTG_31120.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:123589:124436:-1 gene:DRNTG_31120 transcript:DRNTG_31120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTCTLFTTIPSSSFTRRNTSLISLRSFPASSKLFGLDQARGGRVTAMATYTVKLITPEGTKELKMSEDSYILDSAEEQGFDLPYSCRAGSCSSCAGKVVQGKVDQSDGSYLDDDQMEAGYVLTCVAFPQSDLVIETHKEEELMEF >DRNTG_31120.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:99016:124436:-1 gene:DRNTG_31120 transcript:DRNTG_31120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTCTLFTTIPSSSFTRRNTSLISLRPFPATSKLFGLDQARGGRVTSMAVYNVKLLTPDGPVEIQCPDDVYIMDCAEEQGIDLPYSCRSGSCSSCAGKVVEGVVDQEDQSFLDDEQMENGFVLTCIALPRSNLVIETHKEEEIA >DRNTG_31120.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:123765:124436:-1 gene:DRNTG_31120 transcript:DRNTG_31120.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTCTLFTTIPSSSFTRRNTSLISLRSFPASSKLFGLDQARGGRVTAMATYTVKLITPEGTKELKMSEDSYILDSAEEQGFDLPYSCRAGSCSSCAGKVVQGKVDQSDGSYLDDDQMEAGYVLTCVAFPQSDLVIETHKEEELMEF >DRNTG_31120.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:123665:124477:-1 gene:DRNTG_31120 transcript:DRNTG_31120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTCTLFTTIPSSSFTRRNTSLISLRSFPASSKLFGLDQARGGRVTAMATYTVKLITPEGTKELKMSEDSYILDSAEEQGFDLPYSCRAGSCSSCAGKVVQGKVDQSDGSYLDDDQMEAGYVLTCVAFPQSDLVIETHKEEELMEF >DRNTG_31120.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:123765:124477:-1 gene:DRNTG_31120 transcript:DRNTG_31120.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTCTLFTTIPSSSFTRRNTSLISLRSFPASSKLFGLDQARGGRVTAMATYTVKLITPEGTKELKMSEDSYILDSAEEQGFDLPYSCRAGSCSSCAGKVVQGKVDQSDGSYLDDDQMEAGYVLTCVAFPQSDLVIETHKEEELMEF >DRNTG_10459.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1911837:1916555:-1 gene:DRNTG_10459 transcript:DRNTG_10459.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYIPLASSPSSPPPVRPLKLLSFALATALLTLVVFLNVHNYSNPSQNPILHPSSPLSRGPSHGVSEKTSGISSLFHSTPFFPWTNHMLQWQRTAFHFQPEKNWMNDPNGPVFYKGWYHLFYQYNPDSAVWGNITWGHAVSRDLIRWRHLPLAMVRDQWYDSDGVWTGSATILPDGTLIMLYTGSTNASVQVQNLAIPTDPTDPLLLEWTKSDANPVLIPPPGVGPKDFRDPTTAWYDDEAEAWRFVIGTKEDKGHSGIVLVYQTKDFLNYELLPGKLHGVARTGMWECVDFYPVATTAGVGGLDTSVVGEKVKHVLKASMDDDRHDYYAIGTYESEKVRWVPDDPEEDVGLGLRYDWGKFYASKTFFDQQRARRVLWGWVGETDSEFADAQKGNPSDSVA >DRNTG_35477.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3827501:3830574:1 gene:DRNTG_35477 transcript:DRNTG_35477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASPQCLSLRPLSYHSQSAAVPSLGLPLSLAGGCLLSPLQLRVRRRMSFVVGMAPEEEKMTRRSPLDFPIEWERPKPGRRPDIFPQFSPMKTPLPNPLPEDPPEEEEEEEEQREEEEEDPEKEKPEEPEKQSNMWRLGDWWP >DRNTG_16147.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000797.1:7123:7680:1 gene:DRNTG_16147 transcript:DRNTG_16147.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPHRFIHALIARSIWGRADCKGMVTQADIYTIVRASGRPTHHQATSESSQRQTEHTEPESEGTLAPTPGTTSLPNFDMRLRGIEGEVQAVRQEQCEICGQLHQIIEG >DRNTG_07661.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23157469:23158856:1 gene:DRNTG_07661 transcript:DRNTG_07661.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRGGDESVICNGVFYCLIYATGIVGASERRHGLIAHDFSARTHCSSLLSMVIPVPCSLTCGRLMNLKDKLVMVGGIGKQDRPDIIKGIGIWELCKKEWREVARMPHKFFQGFGELDDVFASCGMEDLIYIQSYGAPALLVYDMSQKQWRWSVKCPVPKRFPLQLFTGFCFEPRIEAVA >DRNTG_22471.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20708826:20712690:1 gene:DRNTG_22471 transcript:DRNTG_22471.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFSFSAARAAPAMAGAGAVVSSSLFSSTLTRPVYRLSSSLTAVPASDTADAVQPLRRSLLLDRLRSRHLKDAGKSSPLIVPPSRPTPAGEKKERRSAMAGSFEELGLEEEVMGAVREIGISVPTEIQCIGVPSVLEGKSVVLGSHTGSGKTLAYLLPLIQLLRRDEQLYGMLMKPKRPRAIVLCPTRELCLSGC >DRNTG_15404.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4793912:4794629:1 gene:DRNTG_15404 transcript:DRNTG_15404.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNSQESPPSQLPSSLDLSLTLAPSSPPPSLDGDQSMRLFPCLFCNKKFLKSQALGGHQNAHKKERSYGWNSHLYSSIPSPSIAHQSTTPPPFPITSHSSRNSPSDHHGPCTQVCNSSSLLSHHCHYF >DRNTG_15020.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23802521:23807584:-1 gene:DRNTG_15020 transcript:DRNTG_15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWANQKGRKFVAGGFLNEKKVKKRKMGNRRKKDKDHHAQVELRLRLDMCSKMGDVMGSIALYDSAVRDGVKLGQYHYNVLLYLCSSAAVGVVHPAKSGSGTSANSSSSVCDFSEDESEDMSGNGLADEWYNEENEISHREKQGTAIQVSEDVRDYARTRGFEIYERMCLEEIPMNEAALTAVARMALSMGNGDMAFEVVKQMKSLGINPKLRSYGPALFVYCKNGDIEKAFEVEEHMLKSGVCPEEPELEALLRTSVVACRGDKVYYILHKLRTTVRQVSPSTASLIEQWFKSKNATRLGKRKWDRKLVEQAMENGGGGWHGLGWLGKGKWTVKHEQIDCDGICLGCGEKLLTIDLDPIETEDFARSVASIACKRERHSSFQKFQKWLDYYGPFEAVVDGANVGLFSQRQLSINKVNTVVNAIRQKLPSKKWPLIIIHNKRLTGGWMDEPANEKLLEKWKNADCIYATPTGSNDDWYWLYAAIKCKSLIVTNDEMRDHLFQLLGNDFFPKWKERHQVHFNYRNGRFEFRMPPPCSIVIQESEQGHWHIPVSPKQRMMGEISWLCVTRDMTTPKLSSQKGSQGSNEAGLSSDDHNQQCHRPAEHQLAKKNGKNSHRSKLRQLDSMTSRIETAEKLCGCVIDFQI >DRNTG_15020.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23805573:23807584:-1 gene:DRNTG_15020 transcript:DRNTG_15020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWANQKGRKFVAGGFLNEKKVKKRKMGNRRKKDKDHHAQVELRLRLDMCSKMGDVMGSIALYDSAVRDGVKLGQYHYNVLLYLCSSAAVGVVHPAKSGSGTSANSSSSVCDFSEDESEDMSGNGLADEWYNEENEISHREKQGTAIQVSEDVRDYARTRGFEIYERMCLEEIPMNEAALTAVARMALSMGNGDMAFEVVKQMKSLGINPKLRSYGPALFVYCKNGDIEKAFEVEEHMLKSGVCPEEPELEALLRTSVVACRGDKVYYILHKLRTTVRQVSPSTASLIEQWFKSKNATRLGKRKWDRKLVEQAMENGGGGWHGLGWLGKGKWTVKHEQIDCDGICLGCGEKLLTIDLDPIETEDFARSVASIACKRERHSSFQKFQKWLDYYGPFEAVVDGANVGLFSQRQLSINK >DRNTG_09847.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19854845:19861494:1 gene:DRNTG_09847 transcript:DRNTG_09847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRNGVERSAYFARREAAKVLRSALQGDARRRAVGSIKSLVYSPSVRNKKATFALVCQTLKHLPLLKEVLAATNILSGKWKRQEELVYVIAYDVLFGQDIAMSGPVEKFLMFRKNELQSALAKLYVKEKVKSVESLLLEYQTPVSSKPRYVRVNTLKMDIDTAMHELGKATTGKASSMVAVALCPKPGWQVLDACAAPGNKTAHLAALMRGQGKIIACELNEERVKVLRTSITKSGAHNVDIIHGDFLKLDTKDPKFSKVCAILLDPSCSGSGISAERLDNLLPSYSQDNDIDAAKSQRVKKLAAFQRKALVHALSFPAVERVVYSTCSIHQTENEDVIKSVLPFAASRNFELATPFPQWPRRGLPVFEGSEHLLRTDPTEDVEGFFIALFVRKQFKKKILRRKTREIYLPFGKISAMWMRDITIKRRKRWTMLDSRMII >DRNTG_09847.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19855946:19861494:1 gene:DRNTG_09847 transcript:DRNTG_09847.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPVEKFLMFRKNELQSALAKLYVKEKVKSVESLLLEYQTPVSSKPRYVRVNTLKMDIDTAMHELGKATTGKASSMVAVALCPKPGWQVLDACAAPGNKTAHLAALMRGQGKIIACELNEERVKVLRTSITKSGAHNVDIIHGDFLKLDTKDPKFSKVCAILLDPSCSGSGISAERLDNLLPSYSQDNDIDAAKSQRVKKLAAFQRKALVHALSFPAVERVVYSTCSIHQTENEDVIKSVLPFAASRNFELATPFPQWPRRGLPVFEGSEHLLRTDPTEDVEGFFIALFVRKQFKKKILRRKTREIYLPFGKISAMWMRDITIKRRKRWTMLDSRMII >DRNTG_09847.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19856501:19861494:1 gene:DRNTG_09847 transcript:DRNTG_09847.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPVEKFLMFRKNELQSALAKLYVKEKVKSVESLLLEYQTPVSSKPRYVRVNTLKMDIDTAMHELGKATTGKASSMVAVALCPKPGWQVLDACAAPGNKTAHLAALMRGQGKIIACELNEERVKVLRTSITKSGAHNVDIIHGDFLKLDTKDPKFSKVCAILLDPSCSGSGISAERLDNLLPSYSQDNDIDAAKSQRVKKLAAFQRKALVHALSFPAVERVVYSTCSIHQTENEDVIKSVLPFAASRNFELATPFPQWPRRGLPVFEGSEHLLRTDPTEDVEGFFIALFVRKQFKKKILRRKTREIYLPFGKISAMWMRDITIKRRKRWTMLDSRMII >DRNTG_25503.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1386756:1391890:-1 gene:DRNTG_25503 transcript:DRNTG_25503.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVNLCLSPLCSCMQSFVTRQDISYVFRTKEKLHDLENVMKHLMATKETVRRKLDDPQHNGKLLDNQHQVKDWLRDVGEKEDKVERLLDEYGKGNCVPAGSCSLNCFSRYKIGRNAFKLKEEITQLTIKQPEIKFTNIPPPKSVPESSKIVGEKILSNLNIACSYLAEETNDIIGIWGMGGVGKTTLLKKINQLLLDNANMGFDHVLFIQASQNTQLEELRNEIAKELHLAPDAVQKDIFNALKTKNIVLLLDNIWEPVDLVGLGIINPYRDDDDITKSNKYKVIFTTRLEDVRDQMRASKIIKVECLEPDEAWALFKHNANLAVIESDERINEIAKQVMNMSGGLPLALQTLGKAMSKRKTVQDWEFILDSIKNSGTEVLQGVQGSFLPILKLSYDNLPRNIQECFLWASILGWLSKDDLIECWICLGLIGNFDNLQQAYGTAKYIFNILEEAGLLYSSDNGCVSSHDVIYEMALWIASDLGMNMNKWIVEEYDGLAEIPTENRENWRMADRVIISGIKLVPILSLQCDDLLCLMILNSSYLKNIPRGFFGQMPNLIYLDLSKSAIKKLPEDIKCLVNLQYLNISSTCISSLPKELVYLSKLQYLMCGYLRWLRKVKNGLLSRLHKLQIIDLYPYGWVELEELKMSKKHNNIKAIGMRVVSQEVLRELSCLPTTQLHIELENVSSLSFDTLSCKDHGFLNELTIESCPQLEELVMNGNGSYLNYLTITNNEKLQKISWTDISPPEHFHALKALFISECNLASFAWVLHLPSLALLNVQHCAEVKELFYVEKREIHQVSERPMFPALQYLFLVYLPKLVSISNFAVEFP >DRNTG_25503.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1386756:1391890:-1 gene:DRNTG_25503 transcript:DRNTG_25503.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVNLCLSPLCSCMQSFVTRQDISYVFRTKEKLHDLENVMKHLMATKETVRRKLDDPQHNGKLLDNQHQVKDWLRDVGEKEDKVERLLDEYGKGNCVPAGSCSLNCFSRYKIGRNAFKLKEEITQLTIKQPEIKFTNIPPPKSVPESSKIVGEKILSNLNIACSYLAEETNDIIGIWGMGGVGKTTLLKKINQLLLDNANMGFDHVLFIQASQNTQLEELRNEIAKELHLAPDAVQKDIFNALKTKNIVLLLDNIWEPVDLVGLGIINPYRDDDDITKSNKYKVIFTTRLEDVRDQMRASKIIKVECLEPDEAWALFKHNANLAVIESDERINEIAKQVMNMSGGLPLALQTLGKAMSKRKTVQDWEFILDSIKNSGTEVLQGVQGSFLPILKLSYDNLPRNIQECFLWASILGWLSKDDLIECWICLGLIGNFDNLQQAYGTAKYIFNILEEAGLLYSSDNGCVSSHDVIYEMALWIASDLGMNMNKWIVEEYDGLAEIPTENRENWRMADRVIISGIKLVPILSLQCDDLLCLMILNSSYLKNIPRGFFGQMPNLIYLDLSKSAIKKLPEDIKCLVNLQYLNISSTCISSLPKELVYLSKLQYLMCGYLRWLRKVKNGLLSRLHKLQIIDLYPYGWVELEELKMSKKHNNIKAIGMRVVSQEVLRELSCLPTTQLHIELENVSSLSFDTLSCKDHGFLNELTIESCPQLEELVMNGNGSYLNYLTITNNEKLQKISWTDISPPEHFHALKALFISECNLASFAWVLHLPSLALLNVQHCAEVKELFYVEKREIHQVSERPMFPALQYLFLVYLPKLVSISNFAVEFP >DRNTG_25503.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1386756:1391890:-1 gene:DRNTG_25503 transcript:DRNTG_25503.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVNLCLSPLCSCMQSFVTRQDISYVFRTKEKLHDLENVMKHLMATKETVRRKLDDPQHNGKLLDNQHQVKDWLRDVGEKEDKVERLLDEYGKGNCVPAGSCSLNCFSRYKIGRNAFKLKEEITQLTIKQPEIKFTNIPPPKSVPESSKIVGEKILSNLNIACSYLAEETNDIIGIWGMGGVGKTTLLKKINQLLLDNANMGFDHVLFIQASQNTQLEELRNEIAKELHLAPDAVQKDIFNALKTKNIVLLLDNIWEPVDLVGLGIINPYRDDDDITKSNKYKVIFTTRLEDVRDQMRASKIIKVECLEPDEAWALFKHNANLAVIESDERINEIAKQVMNMSGGLPLALQTLGKAMSKRKTVQDWEFILDSIKNSGTEVLQGVQGSFLPILKLSYDNLPRNIQECFLWASILGWLSKDDLIECWICLGLIGNFDNLQQAYGTAKYIFNILEEAGLLYSSDNGCVSSHDVIYEMALWIASDLGMNMNKWIVEEYDGLAEIPTENRENWRMADRVIISGIKLVPILSLQCDDLLCLMILNSSYLKNIPRGFFGQMPNLIYLDLSKSAIKKLPEDIKCLVNLQYLNISSTCISSLPKELVYLSKLQYLMCGYLRWLRKVKNGLLSRLHKLQIIDLYPYGWVELEELKMSKKHNNIKAIGMRVVSQEVLRELSCLPTTQLHIELENVSSLSFDTLSCKDHGFLNELTIESCPQLEELVMNGNGSYLNYLTITNNEKLQKISWTDISPPEHFHALKALFISECNLASFAWVLHLPSLALLNVQHCAEVKELFYVEKREIHQVSERPMFPALQYLFLVYLPKLVSISNFAVEFP >DRNTG_25503.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1386756:1391890:-1 gene:DRNTG_25503 transcript:DRNTG_25503.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVNLCLSPLCSCMQSFVTRQDISYVFRTKEKLHDLENVMKHLMATKETVRRKLDDPQHNGKLLDNQHQVKDWLRDVGEKEDKVERLLDEYGKGNCVPAGSCSLNCFSRYKIGRNAFKLKEEITQLTIKQPEIKFTNIPPPKSVPESSKIVGEKILSNLNIACSYLAEETNDIIGIWGMGGVGKTTLLKKINQLLLDNANMGFDHVLFIQASQNTQLEELRNEIAKELHLAPDAVQKDIFNALKTKNIVLLLDNIWEPVDLVGLGIINPYRDDDDITKSNKYKVIFTTRLEDVRDQMRASKIIKVECLEPDEAWALFKHNANLAVIESDERINEIAKQVMNMSGGLPLALQTLGKAMSKRKTVQDWEFILDSIKNSGTEVLQGVQGSFLPILKLSYDNLPRNIQECFLWASILGWLSKDDLIECWICLGLIGNFDNLQQAYGTAKYIFNILEEAGLLYSSDNGCVSSHDVIYEMALWIASDLGMNMNKWIVEEYDGLAEIPTENRENWRMADRVIISGIKLVPILSLQCDDLLCLMILNSSYLKNIPRGFFGQMPNLIYLDLSKSAIKKLPEDIKCLVNLQYLNISSTCISSLPKELVYLSKLQYLMCGYLRWLRKVKNGLLSRLHKLQIIDLYPYGWVELEELKMSKKHNNIKAIGMRVVSQEVLRELSCLPTTQLHIELENVSSLSFDTLSCKDHGFLNELTIESCPQLEELVMNGNGSYLNYLTITNNEKLQKISWTDISPPEHFHALKALFISECNLASFAWVLHLPSLALLNVQHCAEVKELFYVEKREIHQVSERPMFPALQYLFLVYLPKLVSISNFAVEFP >DRNTG_23436.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21265330:21269126:1 gene:DRNTG_23436 transcript:DRNTG_23436.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVESSVNEMNLDEDSELISASEPNAKEMASDSKVDGEERATEENDGIPRVGLSFKSFDEVYEFYNQYARNVGFGTKIRRSFYSLDDGQLNKVMLTCCKEGRREYKNQERSTYRLRLSARTDCQARIKVQRKYIDGLFHLTEVNLEHNHPVNPTMSKFFRSHKDLNDGPKKQLPARGKIQKDLVSTEKENEEVEVKLQGPFWGREDLEALNQFFVKMQLSGSYFFHLMDFDGGGRLKNVFWADGRSKAAYKYFGDVVRVDTMYLMDNYETPLVMFTGVNNHGHLVLLGCGLLSERNVDAFIWLFKSWLACMSGNHPSVIITDHSQAIRGAVAAVFPGVRHHMCLQHIMREMQDNLGELSEYKAVKGLLKRAIYDCLRIEEFEEDWQNMIEKHMLQDNAWLESLYESRHSWAPVFVKETFSAGLSCIQHKESMVSYFDGYIHPKSTLKQFLSKYEAAVQNNCEKEGQADSDSFHKSPQLITKLYMEEQIRKVYTVDMFKKFQEEVKAILYCIPTLIQVDGLISIFEVRECVKMKDGKEVNKNYGVTYNANEADVQCNCGSFQHGGILCRHSLSVLNFLEVYEIPSQYILERWRKDFKRRHTLSCSPNDFIANGPVERYDNLYKSCLRLAEIGSSSDDKYECALKIIHGAADELLVGDGTIDLQHKSMTYDAQANCSIMGGTADGRRSIGDGDEDIPDLMQVRRRGRPPKKRKEALVEKIVEASKKKVSQRKSMTESEPDLLQIGPNASHFDSHLWAQDGINLTV >DRNTG_23436.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21265330:21269126:1 gene:DRNTG_23436 transcript:DRNTG_23436.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVESSVNEMNLDEDSELISASEPNAKEMASDSKVDGEERATEENDGIPRVGLSFKSFDEVYEFYNQYARNVGFGTKIRRSFYSLDDGQLNKVMLTCCKEGRREYKNQERSTYRLRLSARTDCQARIKVQRKYIDGLFHLTEVNLEHNHPVNPTMSKFFRSHKDLNDGPKKQLPARGKIQKDLVSTEKENEEVEVKLQGPFWGREDLEALNQFFVKMQLSGSYFFHLMDFDGGGRLKNVFWADGRSKAAYKYFGDVVRVDTMYLMDNYETPLVMFTGVNNHGHLVLLGCGLLSERNVDAFIWLFKSWLACMSGNHPSVIITDHSQAIRGAVAAVFPGVRHHMCLQHIMREMQDNLGELSEYKAVKGLLKRAIYDCLRIEEFEEDWQNMIEKHMLQDNAWLESLYESRHSWAPVFVKETFSAGLSCIQHKESMVSYFDGYIHPKSTLKQFLSKYEAAVQNNCEKEGQADSDSFHKSPQLITKLYMEEQIRKVYTVDMFKKFQEEVKAILYCIPTLIQVDGLISIFEVRECVKMKDGKEVNKNYGVTYNANEADVQCNCGSFQHGGILCRHSLSVLNFLEVYEIPSQYILERWRKDFKRRHTLSCSPNDFIANGPVERYDNLYKSCLRLAEIGSSSDDKYECALKIIHGAADELLVGDGTIDLQHKSMTYDAQANCSIMGGTADGRRSIGDGDEDIPDLMQVRRRGRPPKKRKEALVEKIVEASKKKVSQRKSMTESEPDLLQIGPNASHFDSHLWAQDGINLTEQVSPTNLSIGTHFGVQVNHPHAIDNQSGLRWGFQPMFQQSHTPEPPPGPWAG >DRNTG_23436.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21265330:21269288:1 gene:DRNTG_23436 transcript:DRNTG_23436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESSVNEMNLDEDSELISASEPNAKEMASDSKVDGEERATEENDGIPRVGLSFKSFDEVYEFYNQYARNVGFGTKIRRSFYSLDDGQLNKVMLTCCKEGRREYKNQERSTYRLRLSARTDCQARIKVQRKYIDGLFHLTEVNLEHNHPVNPTMSKFFRSHKDLNDGPKKQLPARGKIQKDLVSTEKENEEVEVKLQGPFWGREDLEALNQFFVKMQLSGSYFFHLMDFDGGGRLKNVFWADGRSKAAYKYFGDVVRVDTMYLMDNYETPLVMFTGVNNHGHLVLLGCGLLSERNVDAFIWLFKSWLACMSGNHPSVIITDHSQAIRGAVAAVFPGVRHHMCLQHIMREMQDNLGELSEYKAVKGLLKRAIYDCLRIEEFEEDWQNMIEKHMLQDNAWLESLYESRHSWAPVFVKETFSAGLSCIQHKESMVSYFDGYIHPKSTLKQFLSKYEAAVQNNCEKEGQADSDSFHKSPQLITKLYMEEQIRKVYTVDMFKKFQEEVKAILYCIPTLIQVDGLISIFEVRECVKMKDGKEVNKNYGVTYNANEADVQCNCGSFQHGGILCRHSLSVLNFLEVYEIPSQYILERWRKDFKRRHTLSCSPNDFIANGPVERYDNLYKSCLRLAEIGSSSDDKYECALKIIHGAADELLVGDGTIDLQHKSMTYDAQANCSIMGGTADGRRSIGDGDEDIPDLMQVRRRGRPPKKRKEALVEKIVEASKKKVSQRKSMTESEPDLLQIGPNASHFDSHLWAQDGINLTEQVSPTNLSIGTHFGVQVNHPHAIDNQSGLRWGFQPMFQQSHTPEPPPGPWAG >DRNTG_23436.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21265330:21269126:1 gene:DRNTG_23436 transcript:DRNTG_23436.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVESSVNEMNLDEDSELISASEPNAKEMASDSKVDGEERATEENDGIPRVGLSFKSFDEVYEFYNQYARNVGFGTKIRRSFYSLDDGQLNKVMLTCCKEGRREYKNQERSTYRLRLSARTDCQARIKVQRKYIDGLFHLTEVNLEHNHPVNPTMSKFFRSHKDLNDGPKKQLPARGKIQKDLVSTEKENEEVEVKLQGPFWGREDLEALNQFFVKMQLSGSYFFHLMDFDGGGRLKNVFWADGRSKAAYKYFGDVVRVDTMYLMDNYETPLVMFTGVNNHGHLVLLGCGLLSERNVDAFIWLFKSWLACMSGNHPSVIITDHSQAIRGAVAAVFPGVRHHMCLQHIMREMQDNLGELSEYKAVKGLLKRAIYDCLRIEEFEEDWQNMIEKHMLQDNAWLESLYESRHSWAPVFVKETFSAGLSCIQHKESMVSYFDGYIHPKSTLKQFLSKYEAAVQNNCEKEGQADSDSFHKSPQLITKLYMEEQIRKVYTVDMFKKFQEEVKAILYCIPTLIQVDGLISIFEVRECVKMKDGKEVNKNYGVTYNANEADVQCNCGSFQHGGILCRHSLSVLNFLEVYEIPSQYILERWRKDFKRRHTLSCSPNDFIANGPVERYDNLYKSCLRLAEIGSSSDDKYECALKIIHGAADELLVGDGTIDLQHKSMTYDAQANCSIMGGTADGRRSIGDGDEDIPDLMQVRRRGRPPKKRKEALVEKIVEASKKKVSQRKSMTESEPDLLQIGPNASHFDSHLWAQDGINLTEQVSPTNLSIGTHFGVQVNHPHAIDNQSGLRWGFQPMFQQSHTPEPPPGPWAG >DRNTG_23436.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21265630:21269126:1 gene:DRNTG_23436 transcript:DRNTG_23436.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVESSVNEMNLDEDSELISASEPNAKEMASDSKVDGEERATEENDGIPRVGLSFKSFDEVYEFYNQYARNVGFGTKIRRSFYSLDDGQLNKVMLTCCKEGRREYKNQERSTYRLRLSARTDCQARIKVQRKYIDGLFHLTEVNLEHNHPVNPTMSKFFRSHKDLNDGPKKQLPARGKIQKDLVSTEKENEEVEVKLQGPFWGREDLEALNQFFVKMQLSGSYFFHLMDFDGGGRLKNVFWADGRSKAAYKYFGDVVRVDTMYLMDNYETPLVMFTGVNNHGHLVLLGCGLLSERNVDAFIWLFKSWLACMSGNHPSVIITDHSQAIRGAVAAVFPGVRHHMCLQHIMREMQDNLGELSEYKAVKGLLKRAIYDCLRIEEFEEDWQNMIEKHMLQDNAWLESLYESRHSWAPVFVKETFSAGLSCIQHKESMVSYFDGYIHPKSTLKQFLSKYEAAVQNNCEKEGQADSDSFHKSPQLITKLYMEEQIRKVYTVDMFKKFQEEVKAILYCIPTLIQVDGLISIFEVRECVKMKDGKEVNKNYGVTYNANEADVQCNCGSFQHGGILCRHSLSVLNFLEVYEIPSQYILERWRKDFKRRHTLSCSPNDFIANGPVERYDNLYKSCLRLAEIGSSSDDKYECALKIIHGAADELLVGDGTIDLQHKSMTYDAQANCSIMGGTADGRRSIGDGDEDIPDLMQVRRRGRPPKKRKEALVEKIVEASKKKVSQRKSMTESEPDLLQIGPNASHFDSHLWAQDGINLTEQVSPTNLSIGTHFGVQVNHPHAIDNQSGLRWGFQPMFQQSHTPEPPPGPWAG >DRNTG_27489.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26534696:26536346:-1 gene:DRNTG_27489 transcript:DRNTG_27489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRERERERGPFAIPNNAQMDWQGQKRAEMLMQVMLVVFGVVAFVVGYSIGSFRTMMLVYAGGVTLTALITVPNWPCYNRHPLNWLDPSEAEKHPKPQPVPSKKKSGKHHHKFLS >DRNTG_27489.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26535555:26536346:-1 gene:DRNTG_27489 transcript:DRNTG_27489.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRERERERGPFAIPNNAQMDWQGQKRAEMLMQVMLVVFGVVAFVVGYSIGSFRTMMLVYAGGVTLTALITVPNWPCYNRHPLNWLDPSEAEKHPKPQPVPSKKKSGKHHHK >DRNTG_09527.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21952423:21959516:-1 gene:DRNTG_09527 transcript:DRNTG_09527.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLPLILTTKHLADSLKNINDEARSNHDHAYAVSSLKSAFLTLASMVSSLSLFGDFLLHREGFDEGEDQASPHRLRGSRVSRRHKGGGASICSSTRKSIFRRRYILLFLRVLRSNVASMVLKYTKFFYPILASFFSSFIHLLRGRRLGFRGDLARILEWFSGFNTVILLEDSYWGSFCQHRFGKVCPRLNKGTFGEDEATPQDHRHEYR >DRNTG_28490.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8417735:8419295:1 gene:DRNTG_28490 transcript:DRNTG_28490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVKSEAMDVQELADQLHIGSKFYVIGSSMGGYAAWSCLNYIPHRLAGVALVVPAVNYWWPSLPQYVTKEVYSKLLVQDQRTLWIAHHVPSLLYAWMNQKLFPSLACLEGNSTIFSQQDKEILKMMDFKKSIEIQRKARQQGVYESLHRDLIALVSNWEFDPMKISNPFSNNEGSVHLWQGYEDRLVPVEIQRCVVDKLSWIHYHENPEGGHLFVGTNGWPDRILKALLLGEEPALDQ >DRNTG_28490.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8417735:8419295:1 gene:DRNTG_28490 transcript:DRNTG_28490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKLFPSLACLEGNSTIFSQQDKEILKMMDFKKSIEIQRKARQQGVYESLHRDLIALVSNWEFDPMKISNPFSNNEGSVHLWQGYEDRLVPVEIQRCVVDKLSWIHYHENPEGGHLFVGTNGWPDRILKALLLGEEPALDQ >DRNTG_28490.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8417735:8419295:1 gene:DRNTG_28490 transcript:DRNTG_28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRIAAILVVLLLGWIYKSFIQPPKPKLCGTPNGPPITSPRIQLRDGRHLSYKESGVPKEKAKYKIILIHGFFSTKETTIDASQELVEELGIYFLSFDRAGYGESDPNPMRNVKSEAMDVQELADQLHIGSKFYVIGSSMGGYAAWSCLNYIPHRLAGVALVVPAVNYWWPSLPQYVTKEVYSKLLVQDQRTLWIAHHVPSLLYAWMNQKLFPSLACLEGNSTIFSQQDKEILKMMDFKKSIEIQRKARQQGVYESLHRDLIALVSNWEFDPMKISNPFSNNEGSVHLWQGYEDRLVPVEIQRCVVDKLSWIHYHENPEGGHLFVGTNGWPDRILKALLLGEEPALDQ >DRNTG_30195.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6530294:6531737:1 gene:DRNTG_30195 transcript:DRNTG_30195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNTNAMVRNIEHLMAQISELIEETLQGSLPSDIEVNLMESLTAVTLRSGKQLSSPVFERSKVIDLETTIDIEKKGEEKGRTELPNYQPKLRYSVKSRNNLQEVQYKRFLDVLKTLNALKTLLQPRINNSDDELLEWVSPPLKKWPNDAHKEWVPDGLWVASWSMNWP >DRNTG_18045.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000937.1:25898:29555:-1 gene:DRNTG_18045 transcript:DRNTG_18045.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSTSFTAAQSLAGRAIVGAGTSTTTSNRLAVPSFLSFNSLKTSARQHLQHRVVRSHRVGLVRAAAVEALEGTAGTAPVPLVEKSINTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEVMRYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDSVKEEDLKSFRQWGSRTPGHPENFETPGIEVTTGPLGQGIANAVGLALAEKHLAARFNKPDSEIVDHYIYAILGDGCQMEGVSNEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTEDVITRFEALGWHTIWVKNGNHGYDEIRAAIKEAKAVKDRPTLIKVTTTIGFGSPNKANSYSVHGSALGAKEVDATRQNLTWPFEPFHVPEDVKSHWSRHISEGASLEADWNSKFAEYEKKYPEEAAELKRIMSGELPAGWEKALPTYTTESPADATRNLSQQCLNALAKVLPGFLGGSADLASSNMTLLKTFGDFQSTTPEERNLRFGVREHGMGAICNGIVSHSPGLIPYCATFFVFTDYMRAAIRISALSEGGVIYVMTHDSIGLGEDGPTHQPIEHLISFRAMPNILMLRPADGNETAGAYMVAILNRKRPSILALSRQKLPHLPGTSIEGVAKGGYIISDNSSGNKPDLILIGTGSELEIAAKAAHELRKQGKAVRVVSLVSWELFDEQSDEYKESVFPSAVTARVSIEAGATLGWEKFVGGKGKAIGIDQFGASAPAGKIYKEFGLTTENVIAAANSL >DRNTG_01786.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:142758:143154:1 gene:DRNTG_01786 transcript:DRNTG_01786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSFSWEIWLEAWEDQGLELQGEASSSREEKHSAPLGLEEALFGRHSP >DRNTG_12211.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10428541:10431419:-1 gene:DRNTG_12211 transcript:DRNTG_12211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKQAEVQEVVKKDDTAPPRPKRTLLGWKDGAVVKKSDSAVFRNKEKVLVTCSRRINSRYRHLMMDVVSLLPHCKKDSKVESKETKGATLNEIVELRNCSSILFFECRKQKDLYLWMAKSPGGPSAKFLVNAVHTMEELKLTGNHLKGSRPILTFSSNFDEEPHWKLLKELIMQTFGTPKDHGKAKPFHDHVFVFSIVDRHIWFRNYQISVPHNEIDKVDRGGLDKMTLVEVGPRFCLNPIKIFGGSFGGPTLYENPLYISPNLERAQLKREKATKYVKKVKAKTRRKMHVMENPLEPDEFADLWKE >DRNTG_12061.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6619174:6626428:1 gene:DRNTG_12061 transcript:DRNTG_12061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGRKRAEAGDHLLADKRPCISSDIHPGTSSSERPADCDMDQDQSMSGSDEDDDQGEYGSDDDPNYASRNVENQRRLEGIVPRLGDADYIWNLHKAKCFQQQKTENHPCIDVNSKVRVSRKKLKPCNVASPSLQYLPSVQPSQSYCLYNDGWTEDLREVVNYLNHEHPKALLFAVGTSIGANILVKYLAEEGESTPVAGAVSSRTVRDFDHHATRIAANLSSAQFVRNVSMPLLCLNALDDPVCTREAIPWDQCRSRLKLEGYAIDIVSKKTARNIALLNTRLVFTTDGEAIYKPMSFPGSSEEWVNQARSAQGLSLPWSKVMKDKRSPTLGINKCDTHEISQELKGKYVVEDVASMAGNSNHGRRELRLVEMSNSGVGHTQQALCYTHEAKREVWRQRDSGKRVCPMSAIFKATRVVEPEVCLVSYAQGTGGGASGWSDTTQGDKG >DRNTG_32643.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22108432:22111298:1 gene:DRNTG_32643 transcript:DRNTG_32643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALVTRRARSILLGLRAPPQSSSSSSYGTYLCGNPFLLESRLRAVLPDGFLKWSSVGSCRGSRFATGFTPLQPKPLNSIIDLDRAQNYPQEELVSIWDDYHLGRGHIGATMKAALYRLMEQRAATCRYFVIPLWKGSGYTSMFVQDAIYIVHWS >DRNTG_10974.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1084280:1086615:-1 gene:DRNTG_10974 transcript:DRNTG_10974.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSESITAMFDSVPSINEITEIKLKNCNFRIKILGKEHQHTCKSTGLMLWESARFICNVLSDNPSIVSGKKVLELGCGSAGICSMVAARSAELVVATDGDKESLNLLNENIAANVEPNLLTKLVVKKLLWGNTDDIKGHQGSRGGFDVIIGTDVTYNSEAISPLFETAREDDI >DRNTG_10974.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1084280:1087381:-1 gene:DRNTG_10974 transcript:DRNTG_10974.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVLQNIRKVLKPNGHVLLRDYAAGDLAQERFTSKEQQISENFYVRGDGTRAYYFSNDHLTTLFEQNGFETDEISVYNKQMENRSRELVMNRRWIQAVFSLNFSGGQESVERPGKDLCTKDPASDLEIDMSESITAMFDSVPSINEITEIKLKNCNFRIKILGKEHQHTCKSTGLMLWESARFICNVLSDNPSIVSGKKVLELGCGSAGICSMVAARSAELVVATDGDKESLNLLNENIAANVEPNLLTKLVVKKLLWGNTDDIKGHQGSRGGFDVIIGTDVTYNSEAISPLFETAREDDI >DRNTG_10974.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1084280:1086615:-1 gene:DRNTG_10974 transcript:DRNTG_10974.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVLQNIRKVLKPNGHVLLRDYAAGDLAQERFTSKEQQISENFYVRGDGTRAYYFSNDHLTTLFEQNGFETDEISVYNKQMENRSRELVMNRRWIQAVFSLNFSGGQESVERPGKDLCTKDPASDLEIDMSESITAMFDSVPSINEITEIKLKNCNFRIKILGKEHQHTCKSTGLMLWESARFICNVLSDNPSIVSGKKVLELGCGSAGICSMVAARSAELVVATDGDKESLNLLNENIAANVEPNLLTKLVVKKLLWGNTDDIKGHQGSRGGFDVIIGTDVTYNSEAISPLFETAREDDI >DRNTG_10974.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1084280:1086615:-1 gene:DRNTG_10974 transcript:DRNTG_10974.8 gene_biotype:protein_coding transcript_biotype:protein_coding MENRSRELVMNRRWIQAVFSLNFSGGQESVERPGKDLCTKDPASDLEIDMSESITAMFDSVPSINEITEIKLKNCNFRIKILGKEHQHTCKSTGLMLWESARFICNVLSDNPSIVSGKKVLELGCGSAGICSMVAARSAELVVATDGDKESLNLLNENIAANVEPNLLTKLVVKKLLWGNTDDIKGHQGSRGGFDVIIGTDVTYNSEAISPLFETAREDDI >DRNTG_10974.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1084280:1086615:-1 gene:DRNTG_10974 transcript:DRNTG_10974.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSESITAMFDSVPSINEITEIKLKNCNFRIKILGKEHQHTCKSTGLMLWESARFICNVLSDNPSIVSGKKVLELGCGSAGICSMVAARSAELVVATDGDKESLNLLNENIAANVEPNLLTKLVVKKLLWGNTDDIKGHQGSRGGFDVIIGTDVTYNSEAISPLFETAREDDI >DRNTG_10974.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1084280:1086615:-1 gene:DRNTG_10974 transcript:DRNTG_10974.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSESITAMFDSVPSINEITEIKLKNCNFRIKILGKEHQHTCKSTGLMLWESARFICNVLSDNPSIVSGKKVLELGCGSAGICSMVAARSAELVVATDGDKESLNLLNENIAANVEPNLLTKLVVKKLLWGNTDDIKGHQGSRGGFDVIIGTDVTYNSEAISPLFETAREDDI >DRNTG_10974.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1084280:1087381:-1 gene:DRNTG_10974 transcript:DRNTG_10974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVLQNIRKVLKPNGHVLLRDYAAGDLAQERFTSKEQQISENFYVRGDGTRAYYFSNDHLTTLFEQNGFETDEISVYNKQMENRSRELVMNRRWIQAVFSLNFSGGQESVERPGKDLCTKDPASDLEIDMSESITAMFDSVPSINEITEIKLKNCNFRIKILGKEHQHTCKSTGLMLWESARFICNVLSDNPSIVSGKKVLELGCGSAGICSMVAARSAELVVATDGDKESLNLLNENIAANVEPNLLTKLVVKKLLWGNTDDIKGHQGSRGGFDVIIGTDVTYNSEAISPLFETAREDDI >DRNTG_10974.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1084280:1087381:-1 gene:DRNTG_10974 transcript:DRNTG_10974.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVLSAVSPEKMPLVLQNIRKVLKPNGHVLLRDYAAGDLAQERFTSKEQQISENFYVRGDGTRAYYFSNDHLTTLFEQNGFETDEISVYNKQMENRSRELVMNRRWIQAVFSLNFSGGQESVERPGKDLCTKDPASDLEIDMSESITAMFDSVPSINEITEIKLKNCNFRIKILGKEHQHTCKSTGLMLWESARFICNVLSDNPSIVSGKKVLELGCGSAGICSMVAARSAELVVATDGDKESLNLLNENIAANVEPNLLTKLVVKKLLWGNTDDIKGHQGSRGGFDVIIGTDVTYNSEAISPLFETAREDDI >DRNTG_13297.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2922072:2925298:1 gene:DRNTG_13297 transcript:DRNTG_13297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKATTHMKQLWLWSGMLVAKPHEVQIVILPIIMNGYFHVVVLDNDKQEYRHYSSCPGYDTDALDMRNLFDTCVDMEFGESATAKYPLVHDKETLRQKQGSVDCAVYVMRFIEQLLWGEKLQLPQTDVPYLRLRYVTRILKEGRAAGVHDKGVSSQAG >DRNTG_33938.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22060421:22061307:1 gene:DRNTG_33938 transcript:DRNTG_33938.1 gene_biotype:protein_coding transcript_biotype:protein_coding TISSNEIGQVSQGDNAYERKQQFIPGSICEQKEGGGELPHFMKGDAEPMTSKL >DRNTG_15773.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17460222:17463734:-1 gene:DRNTG_15773 transcript:DRNTG_15773.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLWVLCWAACLLCLVPPARPDLASDGTALLAFRTAVGRMALSWNTTTSPCAWQGVVCENGRVVLLRLPGVGLIGPIPTGILSNLTVLRTLSLRFNALSGAIPSDLASLSQLRNLYLQGNRFSGEIPTFLLSIPNLVRLNLARNNFSGGISPDFNKLTRLGTLYLEENQLTGEIPNLDLPNLVQFNVSYNQLNGSVPQKLRSKPAEAFLGMSLCGGPLTPCPGEISPTSSVPSVPSSEAKKDHKLSGGAIAGIAIGAVAGFLLLLLIIILLCRRKSSKSGTAEVAAKPPEVEVALRDKGIVDGEPGNGVAAHRPPPTSVAAPAKGENTKKLLFFGTGPRTFELEDLLRASAEVLGKGTFGTAYKAVLEMGTVVAVKRLRDVSMPEKEFREKIEAVGSMDHPNLVPLRAYYYSNDEKLLVYDYMSMGSLYALLHGNRGSGRTPLDWETRSAIALAAAQGIEYIHSTAPGASHGNIKSSNILLAKSHEARVSDHGLAHLVGPSSTPNRVAGYRAPEVTDPRKVSQKADVYSFGVLLLELLTGKAPAQALLNEEGVDLPRWVQSVVREEWTSEVFDMELLRYQTVEEDMVQLLQLAIDCAAQYPDKRPTMADVVIRIEEIRKSSLRALEQDHHDHPQTIEDLDHLSLRTDSIPSIS >DRNTG_15773.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17460067:17463312:-1 gene:DRNTG_15773 transcript:DRNTG_15773.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLWVLCWAACLLCLVPPARPDLASDGTALLAFRTAVGRMALSWNTTTSPCAWQGVVCENGRVVLLRLPGVGLIGPIPTGILSNLTVLRTLSLRFNALSGAIPSDLASLSQLRNLYLQGNRFSGEIPTFLLSIPNLVRLNLARNNFSGGISPDFNKLTRLGTLYLEENQLTGEIPNLDLPNLVQFNVSYNQLNGSVPQKLRSKPAEAFLGMSLCGGPLTPCPGEISPTSSVPSVPSSEAKKDHKLSGGAIAGIAIGAVAGFLLLLLIIILLCRRKSSKSGTAEVAAKPPEVEVALRDKGIVDGEPGNGVAAHRPPPTSVAAPAKGENTKKLLFFGTGPRTFELEDLLRASAEVLGKGTFGTAYKAVLEMGTVVAVKRLRDVSMPEKEFREKIEAVGSMDHPNLVPLRAYYYSNDEKLLVYDYMSMGSLYALLHGNRGSGRTPLDWETRSAIALAAAQGIEYIHSTAPGASHGNIKSSNILLAKSHEARVSDHGLAHLVGPSSTPNRVAGYRAPEVTDPRKVSQKADVYSFGVLLLELLTGKAPAQALLNEEGVDLPRWVQSVVREEWTSEVFDMELLRYQTVEEDMVQLLQLAIDCAAQYPDKRPTMADVVIRIEEIRKSSLRALEQDHHDHPQTIEDLDHLSLRTDSIPSIS >DRNTG_15773.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17460126:17463734:-1 gene:DRNTG_15773 transcript:DRNTG_15773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLWVLCWAACLLCLVPPARPDLASDGTALLAFRTAVGRMALSWNTTTSPCAWQGVVCENGRVVLLRLPGVGLIGPIPTGILSNLTVLRTLSLRFNALSGAIPSDLASLSQLRNLYLQGNRFSGEIPTFLLSIPNLVRLNLARNNFSGGISPDFNKLTRLGTLYLEENQLTGEIPNLDLPNLVQFNVSYNQLNGSVPQKLRSKPAEAFLGMSLCGGPLTPCPGEISPTSSVPSVPSSEAKKDHKLSGGAIAGIAIGAVAGFLLLLLIIILLCRRKSSKSGTAEVAAKPPEVEVALRDKGIVDGEPGNGVAAHRPPPTSVAAPAKGENTKKLLFFGTGPRTFELEDLLRASAEVLGKGTFGTAYKAVLEMGTVVAVKRLRDVSMPEKEFREKIEAVGSMDHPNLVPLRAYYYSNDEKLLVYDYMSMGSLYALLHGNRGSGRTPLDWETRSAIALAAAQGIEYIHSTAPGASHGNIKSSNILLAKSHEARVSDHGLAHLVGPSSTPNRVAGYRAPEVTDPRKVSQKADVYSFGVLLLELLTGKAPAQALLNEEGVDLPRWVQSVVREEWTSEVFDMELLRYQTVEEDMVQLLQLAIDCAAQYPDKRPTMADVVIRIEEIRKSSLRALEQDHHDHPQTIEDLDHLSLRTDSIPSIS >DRNTG_15773.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17460222:17463312:-1 gene:DRNTG_15773 transcript:DRNTG_15773.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLWVLCWAACLLCLVPPARPDLASDGTALLAFRTAVGRMALSWNTTTSPCAWQGVVCENGRVVLLRLPGVGLIGPIPTGILSNLTVLRTLSLRFNALSGAIPSDLASLSQLRNLYLQGNRFSGEIPTFLLSIPNLVRLNLARNNFSGGISPDFNKLTRLGTLYLEENQLTGEIPNLDLPNLVQFNVSYNQLNGSVPQKLRSKPAEAFLGMSLCGGPLTPCPGEISPTSSVPSVPSSEAKKDHKLSGGAIAGIAIGAVAGFLLLLLIIILLCRRKSSKSGTAEVAAKPPEVEVALRDKGIVDGEPGNGVAAHRPPPTSVAAPAKGENTKKLLFFGTGPRTFELEDLLRASAEVLGKGTFGTAYKAVLEMGTVVAVKRLRDVSMPEKEFREKIEAVGSMDHPNLVPLRAYYYSNDEKLLVYDYMSMGSLYALLHGNRGSGRTPLDWETRSAIALAAAQGIEYIHSTAPGASHGNIKSSNILLAKSHEARVSDHGLAHLVGPSSTPNRVAGYRAPEVTDPRKVSQKADVYSFGVLLLELLTGKAPAQALLNEEGVDLPRWVQSVVREEWTSEVFDMELLRYQTVEEDMVQLLQLAIDCAAQYPDKRPTMADVVIRIEEIRKSSLRALEQDHHDHPQTIEDLDHLSLRTDSIPSIS >DRNTG_15773.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17460126:17463312:-1 gene:DRNTG_15773 transcript:DRNTG_15773.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLWVLCWAACLLCLVPPARPDLASDGTALLAFRTAVGRMALSWNTTTSPCAWQGVVCENGRVVLLRLPGVGLIGPIPTGILSNLTVLRTLSLRFNALSGAIPSDLASLSQLRNLYLQGNRFSGEIPTFLLSIPNLVRLNLARNNFSGGISPDFNKLTRLGTLYLEENQLTGEIPNLDLPNLVQFNVSYNQLNGSVPQKLRSKPAEAFLGMSLCGGPLTPCPGEISPTSSVPSVPSSEAKKDHKLSGGAIAGIAIGAVAGFLLLLLIIILLCRRKSSKSGTAEVAAKPPEVEVALRDKGIVDGEPGNGVAAHRPPPTSVAAPAKGENTKKLLFFGTGPRTFELEDLLRASAEVLGKGTFGTAYKAVLEMGTVVAVKRLRDVSMPEKEFREKIEAVGSMDHPNLVPLRAYYYSNDEKLLVYDYMSMGSLYALLHGNRGSGRTPLDWETRSAIALAAAQGIEYIHSTAPGASHGNIKSSNILLAKSHEARVSDHGLAHLVGPSSTPNRVAGYRAPEVTDPRKVSQKADVYSFGVLLLELLTGKAPAQALLNEEGVDLPRWVQSVVREEWTSEVFDMELLRYQTVEEDMVQLLQLAIDCAAQYPDKRPTMADVVIRIEEIRKSSLRALEQDHHDHPQTIEDLDHLSLRTDSIPSIS >DRNTG_30887.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21274297:21275230:-1 gene:DRNTG_30887 transcript:DRNTG_30887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHGIPPNQSTFIALLSSCASFGFLGLSKQFHAQAVLRGFSSDDNVQAVIIDMYTKCGDLQAGRAAFDRMGAKKNIVVWNSLICGYGKHGRTIEALEVFNSMASALVSPNQITFTCLLSACSHSGFIDEGWKLFDLMTNVHGMAARNEHYCCIIDLLGRAGMVRKAYDLILSCDHEPEPSVWGALLNACVTLCDTEIGEIAARKLFELEPDKSAPYVALASIFAAKGRFDEAAEMRELMNCQGIIKDAGYSWIEIGGAVHKFRAGKDMHCAEMKEILSLCSELNSCISDQRILNSFVDVV >DRNTG_11854.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:104394:105122:-1 gene:DRNTG_11854 transcript:DRNTG_11854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCTRKPQLGVIINDMVIFVLIMTLLFQDCTLQADARSAVVEWHAITCTSTRRLSNSKRYSSCAPITSPSSTIPQGHSPGVGHDNPPGIHI >DRNTG_16302.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2037103:2037591:1 gene:DRNTG_16302 transcript:DRNTG_16302.1 gene_biotype:protein_coding transcript_biotype:protein_coding WNELLKVFPNVNKGSRVIITTRITNVAKIANPTTKSHELRCLDEKESGELFLRKVFPNQNIKTCCPTYLVDYAHQLVQRCGGLPLALVVLGGLVSTKPQTQDAWQKVVDSMKGQFVEGGERCLEILALSYNDLPYYLKSCFLYFGCFKEDEEIPTQLIIRLW >DRNTG_01561.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:9020387:9033095:1 gene:DRNTG_01561 transcript:DRNTG_01561.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLKNSAESTGLMLATDTPPSPSGILSTSNLYCIPLTRAFFTSSMDLPKDRGPLITAT >DRNTG_01226.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5008975:5009975:1 gene:DRNTG_01226 transcript:DRNTG_01226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKVVLLVLALIIISCSSLAKSTRVLEKQEKKEKYESLVRVEDVGKVMHIHGLAAGSSIDNHHSIPRQQYSNHGGGTSQQPPEDDHDNQGNNNGGGNTNN >DRNTG_01226.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5009152:5009795:1 gene:DRNTG_01226 transcript:DRNTG_01226.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKVVLLVLALIIISCSSLAKSTRVLEKQEKKEKYESLVRVEDVGKVMHIHGLAAGSSIDNHHSIPRQQYSNHGGGTSQQPPEDDHDNQGNNNGGGNTNN >DRNTG_01226.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5008975:5009795:1 gene:DRNTG_01226 transcript:DRNTG_01226.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKVVLLVLALIIISCSSLAKSTRVLEKQEKKEKYESLVRVEDVGKVMHIHGLAAGSSIDNHHSIPRQQYSNHGGGTSQQPPEDDHDNQGNNNGGGNTNN >DRNTG_01226.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5009152:5009975:1 gene:DRNTG_01226 transcript:DRNTG_01226.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKVVLLVLALIIISCSSLAKSTRVLEKQEKKEKYESLVRVEDVGKVMHIHGLAAGSSIDNHHSIPRQQYSNHGGGTSQQPPEDDHDNQGNNNGGGNTNN >DRNTG_20636.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20511411:20514057:1 gene:DRNTG_20636 transcript:DRNTG_20636.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY transcription factor 44 [Source:Projected from Arabidopsis thaliana (AT2G37260) UniProtKB/Swiss-Prot;Acc:Q9ZUU0] MEDKNKEKTVVVKPVPWRPRSDFKSSKHTTTAGNVSSPSSSTEATNIIRPKTVRLRPSSNHFQAEIGPFLDHLSEPGAHDFSNLASKADASSFLPNLGNVDVGHEEMFVETMVQDQVLDRSKQQCQSFPTHRDQSNDVPMDVEQGSRSHQSAIAVDRSSYDGYNWRKYGQKQVKGSEYPRSYYKCTHPSCPVKKKVERSLEGHIAEIVYKGEHNHPKPQPPKHLLSETVGNANADSSGNTLSSNLNVERNDASESRLESHSEVGLSGIPSYSGKTGRHLTSTCHSDVASGTADAESSRVSSKRRRTEDQASVGEGDQGEPDVSSDGYHWRKYGQKVVKGNPYPRSYYKCTGLKCKVRKHVDRASDHSGSFITTYEGKHNHEMPFRNQSQ >DRNTG_20636.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20511411:20514057:1 gene:DRNTG_20636 transcript:DRNTG_20636.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY transcription factor 44 [Source:Projected from Arabidopsis thaliana (AT2G37260) UniProtKB/Swiss-Prot;Acc:Q9ZUU0] MFVETMVQDQVLDRSKQQCQSFPTHRDQSNDVPMDVEQGSRSHQSAIAVDRSSYDGYNWRKYGQKQVKGSEYPRSYYKCTHPSCPVKKKVERSLEGHIAEIVYKGEHNHPKPQPPKHLLSETVGNANADSSGNTLSSNLNVERNDASESRLESHSEVGLSGIPSYSGKTGRHLTSTCHSDVASGTADAESSRVSSKRRRTEDQASVGEGDQGEPDVSSDGYHWRKYGQKVVKGNPYPRSYYKCTGLKCKVRKHVDRASDHSGSFITTYEGKHNHEMPFRNQSQ >DRNTG_20636.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20511411:20514057:1 gene:DRNTG_20636 transcript:DRNTG_20636.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY transcription factor 44 [Source:Projected from Arabidopsis thaliana (AT2G37260) UniProtKB/Swiss-Prot;Acc:Q9ZUU0] MEDKNKEKTVVVKPVPWRPRSDFKSSKHTTTAGNVSSPSSSTEATNIIRPKTVRLRPSSNHFQAEIGPFLDHLSEPGAHDFSNLASKADASSFLPNLGNVDVGHEEMFVETMVQDQVLDRSKQQCQSFPTHRDQSNDVPMDVEQGSRSHQSAIAVDRSSYDGYNWRKYGQKQVKGSEYPRSYYKCTHPSCPVKKKVERSLEGHIAEIVYKGEHNHPKPQPPKHLLSETVGNANADSSGNTLSSNLNVERNDASESRLESHSEVGLSGIPSYSGKTGRHLTSTCHSDVASGTADAESSRVSSKRRRTEDQASVGEGDQGEPDVSSDGYHWRKYGQKVVKGNPYPRSYYKCTGLKCKVRKHVDRASDHSGSFITTYEGKHNHEMPFRNQSQ >DRNTG_23074.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2396082:2399449:-1 gene:DRNTG_23074 transcript:DRNTG_23074.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSCPKALSTIQTAVKAAVKKEARMGASLLRLHFHDCFVNGCDGSILLDDTSNFTGEKTAGANQNSIRGYDVIDNIKTQVESVCKQTVSCADILAVAARDSVVALGGPSWRVQLGRRDATTASVSAANSDIPLPTFDLSNLSSAFL >DRNTG_23074.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2399073:2399449:-1 gene:DRNTG_23074 transcript:DRNTG_23074.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSCPKALSTIQTAVKAAVKKEARMGASLLRLHFHDCFVNASVLFCYKILLSF >DRNTG_08970.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000392.1:63867:70097:1 gene:DRNTG_08970 transcript:DRNTG_08970.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDDAAAARSRSPIPPPNGAGTVTIAAAAPQHQQQQTLTLALPIQRPNPSSSSREDCWSEGATSALIDAWGERFLDLSRGNLKQKHWQDVADAVSSREDYTKTPRTDVQCKNRIDTLKKKYKIEKSKISNSPAYRSPWPFFDRLDLLLGPTHKPIQPKPLRKQPPITTTTTPPPPIEEEEEDDDDSSESIPPVSDRLEGVRAVAKAVARLGEVYERVERGRMEMEAEAERRRIGFFKEIENQRVQFYMKAQMEIIKFKKKRPRRNEDDDDDDGDDDDDVDHDRAER >DRNTG_08970.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000392.1:63867:70097:1 gene:DRNTG_08970 transcript:DRNTG_08970.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDDAAAARSRSPIPPPNGAGTVTIAAAAPQHQQQQTLTLALPIQRPNPSSSSREDCWSEGATSALIDAWGERFLDLSRGNLKQKHWQDVADAVSSREDYTKTPRTDVQCKNRIDTLKKKYKIEKSKISNSPAYRSPWPFFDRLDLLLGPTHKPIQPKPLRKQPPITTTTTPPPPIEEEEEDDDDSSESIPPVSDRLEGVRAVAKAVARLGEVYERVERGRMEMEAEAERRRIGFFKEIENQRVQFYMKAQMEIIKFKKKRPRRNEDDDDDDGDDDDDVDHDRAAAN >DRNTG_08970.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000392.1:63867:70097:1 gene:DRNTG_08970 transcript:DRNTG_08970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDDAAAARSRSPIPPPNGAGTVTIAAAAPQHQQQQTLTLALPIQRPNPSSSSREDCWSEGATSALIDAWGERFLDLSRGNLKQKHWQDVADAVSSREDYTKTPRTDVQCKNRIDTLKKKYKIEKSKISNSPAYRSPWPFFDRLDLLLGPTHKPIQPKPLRKQPPITTTTTPPPPIEEEEEDDDDSSESIPPVSDRLEGVRAVAKAVARLGEVYERVERGRMEMEAEAERRRIGFFKEIENQRVQFYMKAQMEIIKFKKKRPRRNEDDDDDDGDDDDDVDHDRAER >DRNTG_08970.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000392.1:63867:70097:1 gene:DRNTG_08970 transcript:DRNTG_08970.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDDAAAARSRSPIPPPNGAGTVTIAAAAPQHQQQQTLTLALPIQRPNPSSSSREDCWSEGATSALIDAWGERFLDLSRGNLKQKHWQDVADAVSSREDYTKTPRTDVQCKNRIDTLKKKYKIEKSKISNSPAYRSPWPFFDRLDLLLGPTHKPIQPKPLRKQPPITTTTTPPPPIEEEEEDDDDSSESIPPVSDRLEGVRAVAKAVARLGEVYERVERGRMEMEAEAERRRIGFFKEIENQRVQFYMKAQMEIIKFKKKRPRRNEDDDDDDGDDDDDVDHDRAAAN >DRNTG_08970.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000392.1:63867:70097:1 gene:DRNTG_08970 transcript:DRNTG_08970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDDAAAARSRSPIPPPNGAGTVTIAAAAPQHQQQQTLTLALPIQRPNPSSSSREDCWSEGATSALIDAWGERFLDLSRGNLKQKHWQDVADAVSSREDYTKTPRTDVQCKNRIDTLKKKYKIEKSKISNSPAYRSPWPFFDRLDLLLGPTHKPIQPKPLRKQPPITTTTTPPPPIEEEEEDDDDSSESIPPVSDRLEGVRAVAKAVARLGEVYERVERGRMEMEAEAERRRIGFFKEIENQRVQFYMKAQMEIIKFKKKRPRRNEDDDDDDGDDDDDVDHDRAAAN >DRNTG_08970.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000392.1:63867:70097:1 gene:DRNTG_08970 transcript:DRNTG_08970.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDDAAAARSRSPIPPPNGAGTVTIAAAAPQHQQQQTLTLALPIQRPNPSSSSREDCWSEGATSALIDAWGERFLDLSRGNLKQKHWQDVADAVSSREDYTKTPRTDVQCKNRIDTLKKKYKIEKSKISNSPAYRSPWPFFDRLDLLLGPTHKPIQPKPLRKQPPITTTTTPPPPIEEEEEDDDDSSESIPPVSDRLEGVRAVAKAVARLGEVYERVERGRMEMEAEAERRRIGFFKEIENQRVQFYMKAQMEIIKFKKKRPRRNEDDDDDDGDDDDDVDHDRAAAN >DRNTG_08970.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000392.1:63867:70097:1 gene:DRNTG_08970 transcript:DRNTG_08970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDDAAAARSRSPIPPPNGAGTVTIAAAAPQHQQQQTLTLALPIQRPNPSSSSREDCWSEGATSALIDAWGERFLDLSRGNLKQKHWQDVADAVSSREDYTKTPRTDVQCKNRIDTLKKKYKIEKSKISNSPAYRSPWPFFDRLDLLLGPTHKPIQPKPLRKQPPITTTTTPPPPIEEEEEDDDDSSESIPPVSDRLEGVRAVAKAVARLGEVYERVERGRMEMEAEAERRRIGFFKEIENQRVQFYMKAQMEIIKFKKKRPRRNEDDDDDDGDDDDDVDHDRAAAN >DRNTG_08970.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000392.1:63258:70097:1 gene:DRNTG_08970 transcript:DRNTG_08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDDAAAARSRSPIPPPNGAGTVTIAAAAPQHQQQQTLTLALPIQRPNPSSSSREDCWSEGATSALIDAWGERFLDLSRGNLKQKHWQDVADAVSSREDYTKTPRTDVQCKNRIDTLKKKYKIEKSKISNSPAYRSPWPFFDRLDLLLGPTHKPIQPKPLRKQPPITTTTTPPPPIEEEEEDDDDSSESIPPVSDRLEGVRAVAKAVARLGEVYERVERGRMEMEAEAERRRIGFFKEIENQRVQFYMKAQMEIIKFKKKRPRRNEDDDDDDGDDDDDVDHDRAAAN >DRNTG_06966.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:80623:81351:-1 gene:DRNTG_06966 transcript:DRNTG_06966.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQMMNKAVAVNGGAIGKQGGNGKRAYVTFLAGDGDYVKGVVGLVKGLRKVRSSYPLVVAVLPDVPEDHRKLLEKQGCIVREIQPVYPPENQTQFAMAYYVINYSKLRIWEFVEYSKMIYLDADIQVYENIDYLFDLPDGHFYAVMDCFCEKTWSHTKQYQIGYCQQCPNKVEWPESM >DRNTG_06966.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:79977:81272:-1 gene:DRNTG_06966 transcript:DRNTG_06966.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQMMNKAVAVNGGAIGKQGGNGKRAYVTFLAGDGDYVKGVVGLVKGLRKVRSSYPLVVAVLPDVPEDHRKLLEKQGCIVREIQPVYPPENQTQFAMAYYVINYSKLRIWEFVEYSKMIYLDADIQVYENIDYLFDLPDGHFYAVMDCFCEKTWSHTKQYQIGYCQQCPNKVEWPESMGPRPCSLLQRRHVRL >DRNTG_06966.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:79881:80478:-1 gene:DRNTG_06966 transcript:DRNTG_06966.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRHPENVELHKVKVVHYCAAGSKPWRYTGKEANMDREDIKMLVKKWWDVYNDEALDYKGPVLVDGVDEQRNQPLLAALSEAGVVHYTTAPSAA >DRNTG_06966.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:80623:81272:-1 gene:DRNTG_06966 transcript:DRNTG_06966.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQMMNKAVAVNGGAIGKQGGNGKRAYVTFLAGDGDYVKGVVGLVKGLRKVRSSYPLVVAVLPDVPEDHRKLLEKQGCIVREIQPVYPPENQTQFAMAYYVINYSKLRIWEFVEYSKMIYLDADIQVYENIDYLFDLPDGHFYAVMDCFCEKTWSHTKQYQIGYCQQCPNKVEWPESM >DRNTG_33837.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002043.1:11536:12176:1 gene:DRNTG_33837 transcript:DRNTG_33837.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSENKARLVSSCNLSENTSSNSTPITSQEFKIQAKRFKIQPRDSNTSQENTR >DRNTG_01435.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23405492:23424414:1 gene:DRNTG_01435 transcript:DRNTG_01435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATLPMDMTTPPVTGEDCNAVLYDHGKPIWVSQTYSRGTSSISGRGRDRLEESLVSSSVDSRLSSSLTNCYGWRCYITLQSDGNLVIYDDSNTAVWASNTNLAEATYVLIIQKDRNLVLYGAACWATNTNIGGSAGAMFIKSKATIFGALPANKTTEEAKASGTISMGGVRESHGGARLPRGGPRGGPRYSHGGARGGTRQGHGVPRRDIRSSVPSHSNLNTEPSSTHPQPLANEPPKNKNSPVKDGVFFEDIARLSPPPENTTSSFNTSLKRRRSPETEEIYKRCLRPGYKADDCRHQLTYRRCSGVGHFAARCPLKHSHSGALAANPSSTRKLPPSKPLLHIPSPRPNSEISSLRVSLPISEAIIHSKEILKDG >DRNTG_34175.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6999193:7000314:1 gene:DRNTG_34175 transcript:DRNTG_34175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRQAQKLERKTVEKNRRLLMKGLYSQLSFLIPKTQTSGSKDALPQRDLLEEAASYIKKLQGKIESLKRKRKVLWVNMQGIHMDVTDDDHKFEVQVRVKDVLMEVVLLSGLKKGLKLHHVFSILEEEGAEVINANFSTVGDKIIHTIHSQAISSRIGLEEKRIKERLEGLVLMANESNSEGQKNKKINYLDDQMYYDN >DRNTG_06864.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17281930:17285612:1 gene:DRNTG_06864 transcript:DRNTG_06864.1 gene_biotype:protein_coding transcript_biotype:protein_coding IQPAAFTHSNHRFCIRRSFQQIEAPKPDTQKKKNQPEKNRKRQNLTNWRKKSTIAPIN >DRNTG_32385.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28048864:28049629:-1 gene:DRNTG_32385 transcript:DRNTG_32385.1 gene_biotype:protein_coding transcript_biotype:protein_coding GNLSRMQVPWLSCWRRKKFRNLQNKPRHIKHLNMKNSPNLK >DRNTG_29250.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21504661:21505564:-1 gene:DRNTG_29250 transcript:DRNTG_29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSDDDDQENSPNLLPLLQDQDHSPPSEHYLNSISASLSIRQLRSQGLSFQLWPAAHSLVSLLDSNPQSLLPLNPNLRILELGSGTGLLGLAAAAILRANVTLTDLPHVLPNLRFNADSNADTINSRGGSVQVHQLQWGNCLDADALGGAEAFDAVVASDVVYYEELFDPLLETLRVFVNAEKVFVMGHLRRWKKRDAVFFRKARKVFDVLPVHADAPLPGSRVGVVVYRFKAKKKKMKDSATSCGTTSSEISKFG >DRNTG_29250.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21504724:21505564:-1 gene:DRNTG_29250 transcript:DRNTG_29250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSDDDDQENSPNLLPLLQDQDHSPPSEHYLNSISASLSIRQLRSQGLSFQLWPAAHSLVSLLDSNPQSLLPLNPNLRILELGSGTGLLGLAAAAILRANVTLTDLPHVLPNLRFNADSNADTINSRGGSVQVHQLQWGNCLDADALGGAEAFDAVVASDVVYYEELFDPLLETLRVFVNAEKVFVMGHLRRWKKRDAVFFRKARKVFDVLPVHADAPLPGSRVGVVVYRFKAKKKKMKDSATSCGTTSSEISKFG >DRNTG_17285.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:11913119:11919812:-1 gene:DRNTG_17285 transcript:DRNTG_17285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLIPIIQKHHNQIRQPMKYVLQLPQPEDTKRNKSDKNKVNRSQSQIIHTTRGVSMAKHRSDMVKETGSELGPIDCFKKFRTKKDGETWPTEKAKDLFDQMENIRSTATSATSEGSLINEWEIYRNVTGEPSHGRVLGLVLSIAHTLGGD >DRNTG_12253.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24927354:24929842:1 gene:DRNTG_12253 transcript:DRNTG_12253.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGSRSRSALGLTAAREVGDSPSLSSSSFRRRSSLESGSVWESYCLFLSVSLYYSFCECKACMLTVFVFCKLLSCICIL >DRNTG_21227.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:180483:184351:-1 gene:DRNTG_21227 transcript:DRNTG_21227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTPNKEVLMVDVNESVTGHIISTTIGGKNGEPKQTVSYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQLMRSMDHPNVISLKHCFFSTTSRDELFLNLVMEYVPETLYRVLKHYSNANQRMPLIYVKLYTYQLFRGLAYIHNAPGVCHRDVKPQNVLVDPLTHQVKLCDFGSAKILVNGEANISYICSRYYRAPELIFGAFEYTTSIDIWSAGCVLAELLLGQPLFPGENSVDQLVEIIKVLGTPTREEIRCMNPSYTDFRFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYSPSLRCTALEACAHPFFDELREPNVRLPNGRPFPPLFNFKQELAGASPELIGKLIPEHVRRQSGLVS >DRNTG_10801.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17473978:17480752:1 gene:DRNTG_10801 transcript:DRNTG_10801.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGEDRKRRLLNDLYILDLETMSWDVLEVKKQSPAPRFDHTTAVQGDQYLLIFGGSSHSTCFNDLHILDLQTMDWAQSDIRGAGVSPRGGHAAVAVDENWFIVGGGDNSSGAPETLVLNMPKLVWSIVTSVGQRDPLASEGLTLCSAMIDGEKLLIAFGGYNGKYNNEIFILKTKKLDSTQPRLLQSTAAAAAAASVTAVYALTTAADKEITVIAKEEKPKKVQTQESTKVVANYDALSAENKILESRLMEIRAENLKLRGNIDELNNSHTELSIELQSVQNQLAAESSRCLKIEEQIAEMQKKLLSLNSIEQELVALRDQKTQIEVHSAELQKQRSGGVWNWMTGAS >DRNTG_10801.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17473978:17480752:1 gene:DRNTG_10801 transcript:DRNTG_10801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKNGNDSLSCDPFSVMPYDQWVLINCSGSRPPGRYKHAAEVFQEKLYVVGGSRNGRYLSDFQVFDLRTLKWSQLRLKVDTDGSNPEDGASDLSFPASAGHSLVKWENKLLVIAGHQKSSSDTVTVWSIDPETGSCHVVKTNGKVPTARGGQSVTLVGSRVIMFGGEDRKRRLLNDLYILDLETMSWDVLEVKKQSPAPRFDHTTAVQGDQYLLIFGGSSHSTCFNDLHILDLQTMDWAQSDIRGAGVSPRGGHAAVAVDENWFIVGGGDNSSGAPETLVLNMPKLVWSIVTSVGQRDPLASEGLTLCSAMIDGEKLLIAFGGYNGKYNNEIFILKTKKLDSTQPRLLQSTAAAAAAASVTAVYALTTAADKEITVIAKEEKPKKVQTQESTKVVANYDALSAENKILESRLMEIRAENLKLRGNIDELNNSHTELSIELQSVQNQLAAESSRCLKIEEQIAEMQKKLLSLNSIEQELVALRDQKTQIEVHSAELQKQRSGGVWNWMTGAS >DRNTG_10801.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17476720:17480752:1 gene:DRNTG_10801 transcript:DRNTG_10801.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGEDRKRRLLNDLYILDLETMSWDVLEVKKQSPAPRFDHTTAVQGDQYLLIFGGSSHSTCFNDLHILDLQTMDWAQSDIRGAGVSPRGGHAAVAVDENWFIVGGGDNSSGAPETLVLNMPKLVWSIVTSVGQRDPLASEGLTLCSAMIDGEKLLIAFGGYNGKYNNEIFILKTKKLDSTQPRLLQSTAAAAAAASVTAVYALTTAADKEITVIAKEEKPKKVQTQESTKVVANYDALSAENKILESRLMEIRAENLKLRGNIDELNNSHTELSIELQSVQNQLAAESSRCLKIEEQIAEMQKKLLSLNSIEQELVALRDQKTQIEVHSAELQKQRSGGVWNWMTGAS >DRNTG_10920.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:20505:21793:-1 gene:DRNTG_10920 transcript:DRNTG_10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKGKRRVQPCYEIFHPNSDWNVEPGNYTLRVFLPGFKKEEFTVQIDHQGKLTVKGKKQLEENKFMALDQTYNVPKDSDHDKITGKFDHECLTLVIPRKVSQDQKKPSSSSNDQQQQQQQQQKQEQNLIPADHDGQKGDHDDHEKKKKDEEKVMKTSEKYCESSKVCWLEHGVVDCLLERMNRNRKVISVAFVAFSVGFYVSHKLKTAGK >DRNTG_16384.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15926609:15926922:-1 gene:DRNTG_16384 transcript:DRNTG_16384.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALTPWSFSLYHFSFLTEKSHKSIQSCPPSLLLQPPRLSAFARSILPSFCNARRLVALCVKEEQTQSPVLDSDASPPSSKTVLVVGGSGGV >DRNTG_16384.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15916026:15926922:-1 gene:DRNTG_16384 transcript:DRNTG_16384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTPWSFSLYHFSFLTEKSHKSIQSCPPSLLLQPPRLSAFARSILPSFCNARRLVALCVKEEQTQSPVLDSDASPPSSKTVLVVGGSGGVGQLVVAALLNRNIKSRLLLRDPTKAIQLFGVQDKSKLEVWKGDTRNPSDLDPAKFEGVTHVICCTGTTAFPSRRWDGDNTPERVDWDGVRNLVLALPSTLDRFVLVSSVGVTKFNELPWSIMNLFGVLKFKKMGEDFVHNSGLPFTIIRAGRLTDGPYTSYDLNTLLKATSGERRAVIIGQGDKLVGEVSRLVVAEACIQALDIDFAEGQIYEINSVEGEGPGSDPEKWKELFKAATVN >DRNTG_16384.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15916026:15922014:-1 gene:DRNTG_16384 transcript:DRNTG_16384.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFGVLKFKKMGEDFVHNSGLPFTIIRAGRLTDGPYTSYDLNTLLKATSGERRAVIIGQGDKLVGEVSRLVVAEACIQALDIDFAEGQIYEINSVEGEGPGSDPEKWKELFKAATVN >DRNTG_17031.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10748833:10751502:1 gene:DRNTG_17031 transcript:DRNTG_17031.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEKKAPFQEHPQGKPERRNSKACVMAIMTG >DRNTG_09203.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4757961:4762612:1 gene:DRNTG_09203 transcript:DRNTG_09203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMSAGVPLEAKVRVVKCPKCEKLLPELPNFTVYKCGGCNATLQAQAKKQIEEVEISPEKSDGGKFKVSVNSEKNFNLKRVGSDGLPETDCEADNLNCGRKEDLVLNKTAGVMSTSLPQNENVMGLKDRSEQKNMRSDTMIPKESDSRSLAGNLDLNVNEMETSALSKERIRFLSSCIEPWPSREGIKFAPYPDEGPSNSYAEPNLEELKRQLNLDQDQGELLRKLDELRDQLRQSCEAKDKMRDRTPYKRAMSSSPYGNCANDTCFTDNSASHNPATCQYPPSLSGHCVDMPSFYQAMHPQSSIPEYRVPFGSQPVGVAPFHGHVQYPQRPCNNYPYGQLNPDHVFHYHPDGLYSQPACSCFHCCNRHWSLPARAPPAIFSGQRAPCIVNNHGLYPVENSMMFGRQSFNHGVPGASLHSHAPFTNKRIVVTKEGRSCRPIAGAAPFVICSGCSKVLELPPKLLLIKKKFKLRCGSCFQIISVEYDGKRLTTTAPVQAVHPLPSDPSSSSTIEMVDGSNFHDPINQYDDGDFDSPIYDVHYTDQKPVLPSFSNSSPDMLDKMQGLSFSSGTSEDVGSPTNVNCQRELPVDVEVPSQVAGQPLSEHFGYLSSDHVSNGSGVGSKSRRSDQENIVSANGNVKLNATKVSVASEIDLSVDDYLNPGSSQDSWDLGREEDQTKVVKGGESFFTGVIKKGIKELRSNVDNGRSKVTINGHLLSDRLVKKAEKKAGPIHPGDYWYDYRAGFWGVMGHPCLGIIPPFIEEFNYPMPKNCAGGNTGVFVNGRELHQKDLDLLVNRGFPPTEGQSYMVEISGKVWDEATGEELESLGKLAPTIERLKHGFGMRVLTVIT >DRNTG_23704.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:654299:654960:-1 gene:DRNTG_23704 transcript:DRNTG_23704.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATITTPTSIISSTITVPKAAAASSPSAILGLAGMRMRGGKVRCSSEKKEVARAGTGASLLAAAAVMGASNPVLALVDERMSTEGTGLSLGLSNNLLGWILFGVFGLIWALYTVYTSTLEEDEESGLSL >DRNTG_23704.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:654299:655231:-1 gene:DRNTG_23704 transcript:DRNTG_23704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITTPTSIISSTITVPKAAAASSPSAILGLAGMRMRGGKVRCSSEKKEVARAGTGASLLAAAAVMGASNPVLALVDERMSTEGTGLSLGLSNNLLGWILFGVFGLIWALYTVYTSTLEEDEESGLSL >DRNTG_23704.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:654248:654960:-1 gene:DRNTG_23704 transcript:DRNTG_23704.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATITTPTSIISSTITVPKAAAASSPSAILGLAGMRMRGGKVRCSSEKKEVARAGTGASLLAAAAVMGASNPVLALVDERMSTEGTGLSLGLSNNLLGWILFGVFGLIWALYTVYTSTLEEDEESGLSL >DRNTG_19467.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14137951:14139784:-1 gene:DRNTG_19467 transcript:DRNTG_19467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAGCRLGINVRVELLEAAKYFSGVLFSNVISIIVASYSWCLWSLNLVDLWVEPLQQGIVPMFLAASSALFRAFTLSLSELCSVILRHRIVTRNVVRSKSLDGYGYKKRCL >DRNTG_08040.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30258476:30262917:1 gene:DRNTG_08040 transcript:DRNTG_08040.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRICTOSIDINE SYNTHASE-LIKE 2 [Source:Projected from Arabidopsis thaliana (AT2G41290) UniProtKB/Swiss-Prot;Acc:Q9SLG8] MEVITTGDRTGRVMKYDPKSEKVEVLMNGSAFPNGVALSRDGSFLLIAETSTCRILKYKLKEKSVQVLVKLPGFPDNIRRSPRGGYWVPLHSEREKMSISCTRRMVPWLSIFDLNKLSEFIMGRWRGGVDFAVRNWGGW >DRNTG_08040.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30258095:30259498:1 gene:DRNTG_08040 transcript:DRNTG_08040.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRICTOSIDINE SYNTHASE-LIKE 2 [Source:Projected from Arabidopsis thaliana (AT2G41290) UniProtKB/Swiss-Prot;Acc:Q9SLG8] MEVITSGNRTGRVMKYDPKSKKVEVLMNGSAFPNGVALSRDGSFLLIAETSTCRILKYKLKEKSVQVLVKLPGRPDNIRRSPRGGYWVALNSEKERMEKWALSVPWIIIRKMVPWVPFDVHKLVGFMERWKGGGALAIRIGDNGEILEVVEDKFKYISEVHERDGNLWIGSVILPLVYLYKL >DRNTG_08040.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30258095:30271955:1 gene:DRNTG_08040 transcript:DRNTG_08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRICTOSIDINE SYNTHASE-LIKE 2 [Source:Projected from Arabidopsis thaliana (AT2G41290) UniProtKB/Swiss-Prot;Acc:Q9SLG8] MKAKGLLIAAMAASCIFLFILSFTDPSHDPKLQVFPLSTATGPESLAFDRIFKYSQEHHQWTQFAVSSGYMDEECAGSQDKEKENICGRPLGLEFNNKTGDLFVADAYKGLLKATQDERILKPVVTSAEGGAFGFTNSLDIDQNSGVIYFSDSSINFQRRQFMKAIITGDRTGRVMKYDPEDEKVEVLINGLAFANGVALSRDGSFLLIVETTECRILKYKLKEKSVQVLVKLPGFPDNIKRSPRGGYWVAMHSRRKKVVQWALSVSWIRRMIPYLPFDLHKLSELMERWRGGALAMRIGDDGEVLEVLDNRFKFISEVHERNGTLWIGSVLVPFASLYKL >DRNTG_08040.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30261289:30271955:1 gene:DRNTG_08040 transcript:DRNTG_08040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRICTOSIDINE SYNTHASE-LIKE 2 [Source:Projected from Arabidopsis thaliana (AT2G41290) UniProtKB/Swiss-Prot;Acc:Q9SLG8] MKAKGMLIAGIAACIFLFILSFTDPSHDPKLQVLPLSTATGPESLAFDSAGEGPYTGVSDGRIFKYLPEHHQWTQFAVSSGYMDEECAGSQDKEKENICGRPLGLEFNNKTGDLFVADAYKGLLKATQDERILKPVVTSAEGGAFGFTNSLDIDQNSGVIYFSDSSINFQRRQFMKAIITGDRTGRVMKYDPEDEKVEVLINGLAFANGVALSRDGSFLLIVETTECRILKYKLKEKSVQVLVKLPGFPDNIKRSPRGGYWVAMHSRRKKVVQWALSVSWIRRMIPYLPFDLHKLSELMERWRGGALAMRIGDDGEVLEVLDNRFKFISEVHERNGTLWIGSVLVPFASLYKL >DRNTG_08040.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30254340:30259498:1 gene:DRNTG_08040 transcript:DRNTG_08040.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRICTOSIDINE SYNTHASE-LIKE 2 [Source:Projected from Arabidopsis thaliana (AT2G41290) UniProtKB/Swiss-Prot;Acc:Q9SLG8] MEVITSGNRTGRVMKYDPKSKKVEVLMNGSAFPNGVALSRDGSFLLIAETSTCRILKYKLKEKSVQVLVKLPGRPDNIRRSPRGGYWVALNSEKERMEKWALSVPWIIIRKMVPWVPFDVHKLVGFMERWKGGGALAIRIGDNGEILEVVEDKFKYISEVHERDGNLWIGSVILPLVYLYKL >DRNTG_08040.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30258095:30259498:1 gene:DRNTG_08040 transcript:DRNTG_08040.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRICTOSIDINE SYNTHASE-LIKE 2 [Source:Projected from Arabidopsis thaliana (AT2G41290) UniProtKB/Swiss-Prot;Acc:Q9SLG8] MEVITSGNRTGRVMKYDPKSKKVEVLMNGSAFPNGVALSRDGSFLLIAETSTCRILKYKLKEKSVQVLVKLPGRPDNIRRSPRGGYWVALNSEKERMEKWALSVPWIIIRKMVPWVPFDVHKLVGFMERWKGGGALAIRIGDNGEILEVVEDKFKYISEVHERDGNLWIGSVILPLVYLYKL >DRNTG_08040.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30269750:30271955:1 gene:DRNTG_08040 transcript:DRNTG_08040.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRICTOSIDINE SYNTHASE-LIKE 2 [Source:Projected from Arabidopsis thaliana (AT2G41290) UniProtKB/Swiss-Prot;Acc:Q9SLG8] MKGKGMMAAAMAACIFFFIPSFEGPHHDDAKLQVLPLSNASGPESFAFDSAGQGPYTGVSDGRIFKWSPEHHQWIQFAVSSGYMDEECAGSQDKEKENICGRPLGLEFNNKTGDLFVADAYKGLLKATQDERILKPVVTSAEGGAFGFTNSLDIDQNSGVIYFSDSSINFQRRQFMKAIITGDRTGRVMKYDPEDEKVEVLINGLAFANGVALSRDGSFLLIVETTECRILKYKLKEKSVQVLVKLPGFPDNIKRSPRGGYWVAMHSRRKKVVQWALSVSWIRRMIPYLPFDLHKLSELMERWRGGALAMRIGDDGEVLEVLDNRFKFISEVHERNGTLWIGSVLVPFASLYKL >DRNTG_08040.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30269750:30270109:1 gene:DRNTG_08040 transcript:DRNTG_08040.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRICTOSIDINE SYNTHASE-LIKE 2 [Source:Projected from Arabidopsis thaliana (AT2G41290) UniProtKB/Swiss-Prot;Acc:Q9SLG8] MKGKGMMAAAMAACIFFFIPSFEGPHHDDAKLQVLPLSNASGPESFAFDSAGQGPYTGVSDGRIFKWSPEHHQWIQFAVSSGYMYACVFN >DRNTG_08040.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30258095:30262917:1 gene:DRNTG_08040 transcript:DRNTG_08040.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRICTOSIDINE SYNTHASE-LIKE 2 [Source:Projected from Arabidopsis thaliana (AT2G41290) UniProtKB/Swiss-Prot;Acc:Q9SLG8] MEVITTGDRTGRVMKYDPKSEKVEVLMNGSAFPNGVALSRDGSFLLIAETSTCRILKYKLKEKSVQVLVKLPGFPDNIRRSPRGGYWVPLHSEREKMSISCTRRMVPWLSIFDLNKLSEFIMGRWRGGVDFAVRNWGGW >DRNTG_24138.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26310347:26311989:-1 gene:DRNTG_24138 transcript:DRNTG_24138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHFILLLTLAFTYLNQSQQQQQQCIINGNNTQVRAGYWFSNSNHYSPVSQINTSLYTHLYYYSLSVDETNFVVSLPTEDQLPLFTTFSSTLKSNNPSLKTLLSIGTHEHANNASNSAISTMVSDQTHRAVFINSSLQLARAHGFDGLDFAWQFPSSQHDMSNLEDLLAEWRHSINDDAKNSPAATLLLTATVYFSDHLFSEDSNNVDYPIDAISNNVDWANVLCFNYHKEGDVTAADAALFDQVSHFSTSYGVVSWLDAGVPPCKLLLGVPLFGRSWFLKNKMKNGFGAQVVAAGPRLKLSNQTGIMAYFEITEMLEDTNSIMIYDNRTGSSYFSNGGLWVTFDSLEVIEQKIDFALQNGLLGYFLWPISYDTTNYTASRQAINVWTRDYGSVAAGVEFGLKHGNSPVEFAAGGGAPPQSSRSVRNLQTIYAFHLCFYLQLFLPYYCASI >DRNTG_04987.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4191896:4192171:-1 gene:DRNTG_04987 transcript:DRNTG_04987.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMCEGLEVDTRRLEELSCLEGRGMAGHYYPPCPKPNLTFGLIDHTDEGILTILVQDNIGGLQVKSMRDGCWVDMKPIPGALVVNVGDLL >DRNTG_27531.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21060624:21064520:1 gene:DRNTG_27531 transcript:DRNTG_27531.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVITPEDVLESLMNDGTIDAIRLKIINQLKANEELKNSTISMVEQSKVLNTPGAEKQTKRELFDALRRELEAPALEKASKAVWELILDTNGLGKEINETVERVFCRLSGTEPPLLPKPPAPEVQAQPENEKEAKEKEGEKVKDVEMSESSSSKKRTFSEMNNQTPGPEVATANSCNDQPPEDDTVAPSSSNLNT >DRNTG_27531.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21060624:21064520:1 gene:DRNTG_27531 transcript:DRNTG_27531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVITPEDVLESLMNDGTIDAIRLKIINQLKANEELKNSTISMVEQSKVLNTPGAEKQTKRELFDALRRELEAPALEKASKAVWELILDTNGLGKEINETVERVFCRLSGTEPPLLPKPPAPEVQAQPENEKEAKEKEGEKVKDVEMSESSSSKKRTFSEMNNQTPGPEVATANSCNDQPPEDDTVAPSSSNLNT >DRNTG_07406.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7366846:7369838:-1 gene:DRNTG_07406 transcript:DRNTG_07406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESAYRVETAPRLAQWRVDALSSTTYRKSDPFRIGLWNWYLTVEKNKQLFVKLYPEVSSLTREQPPIVSFIIKLISISSPNRRTLVHPGISDKLLKNNDDFVWAIDILFSGRFIIDVEFLDIKIVPQSGGEPCSIWTGYHVEKQSVGTALTSLGRMLSEGIHTDIIINAADGTIGAHRSILATRSPVFRSMFSHNLREKELSTVNISDMSFEACQAFFNYIYGNFQADEFLANRLALLRAADKYDISDLKEACHESLLEDIDTKNVLERLQTAHLYRLPLLKSCCMRYLVNFGKIYEIRDDFNTFLENSDRELIADVFHEILAAWKG >DRNTG_07406.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7366846:7369838:-1 gene:DRNTG_07406 transcript:DRNTG_07406.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTISILCYIGISDKLLKNNDDFVWAIDILFSGRFIIDVEFLDIKIVPQSGGEPCSIWTGYHVEKQSVGTALTSLGRMLSEGIHTDIIINAADGTIGAHRSILATRSPVFRSMFSHNLREKELSTVNISDMSFEACQAFFNYIYGNFQADEFLANRLALLRAADKYDISDLKEACHESLLEDIDTKNVLERLQTAHLYRLPLLKSCCMRYLVNFGKIYEIRDDFNTFLENSDRELIADVFHEILAAWKG >DRNTG_09045.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31383216:31384958:1 gene:DRNTG_09045 transcript:DRNTG_09045.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:partner of SLD five 1 [Source:Projected from Arabidopsis thaliana (AT1G80190) TAIR;Acc:AT1G80190] MYGRRASQLLGELASAEKGQLTVFNSDTFDQVIKECNEHNLQLQTLIRKIEDQNLDIQTTRNEDHFGAVIHHLSLARNKRCLMAYMYNRAEIIQSLRWKIGPILPQEIQEKLSYSEEEYFKNHSAAIDSYMSELDLDLTVDMVPPKDPYIQVRVLDDIGEVCLGDMEISLTRHSVHFLRRTDAEQFISQGLMEEFLG >DRNTG_09045.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31383216:31384075:1 gene:DRNTG_09045 transcript:DRNTG_09045.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:partner of SLD five 1 [Source:Projected from Arabidopsis thaliana (AT1G80190) TAIR;Acc:AT1G80190] MYGRRASQLLGELASAEKGQLTVFNSDTFDQVIKECNEHNLQLQTLIRKIEDQNLDIQTTRNEDHFGAVIHHLSLARNKRCLMAYM >DRNTG_32151.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001790.1:10392:10833:1 gene:DRNTG_32151 transcript:DRNTG_32151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHTMQQQQHLPMVNLSGLNPSQPHSSDWDAARTAVFQALEKFGCFQAVYDRITPELKGLIFREAMEDVFSLPLETKMGNNPKFPLGGFIGNLPDMTFESLRVDEAPALDAAERFTHLMWPEGN >DRNTG_12380.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1839096:1841269:1 gene:DRNTG_12380 transcript:DRNTG_12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSMVLWRVLYSSSKDFLHLSFIFRGSEGFLESAQGRASALVKLSCGGAQACLMAPLSKKQVEKWPHESSPESEDMRFAILEHQV >DRNTG_13922.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19665498:19665864:1 gene:DRNTG_13922 transcript:DRNTG_13922.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVAVLATLDFIKVEQIEAYGDITISRGHKM >DRNTG_33937.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22056206:22060036:-1 gene:DRNTG_33937 transcript:DRNTG_33937.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESYYEKMLAVSKKLISLMALSLGLDDLFFEKIGALHEPLAYVRVLHYPGKSFEGSCGSLGASAHSDFGMVTLLLSDGVRGLQICRDKDRCPQLWEDVPHVHGALIVNVGDTSERWTNCLFRSTLHRVLITEQERYSVAFFLDGDPACMIECLESCCSKENPPRFPTIRCADYLLGHISAELDNLGLK >DRNTG_27788.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3289776:3293724:-1 gene:DRNTG_27788 transcript:DRNTG_27788.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSLAVIAGVAAGGLALVFLGLFFLWFYILKSRSLSSKTSETGSSYPSALVEWNRGAQMCFAASGPSGDHQSLRQFTLQELELAAKNFNESNYVGKGTFGLVYKGLLLDGTLVAIKRRLGYPMQEFVEEVQSLSKIRHRNLVTLIGYCQEDGCQVLVYEYIPNGSISGHLYDTQRDPTVRLEFKQRLSIAIGAAKGLSHLHSLVPPVIHKGFKTSDVLVNENFIAKVADTGMVELLQRIEGGCPSQTSESNVFQDPEIPTLEELREASDVYSFGVFLLELVTGREVALFISHESTRSLAHWVEGHISLNDLIDQRLAGSFTSRGMKCLIRLILQCLDLSGRRRPRMGLIVLELERILETEMALTIVMGDGTAVVTLGSQLFTSS >DRNTG_27788.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3289776:3292393:-1 gene:DRNTG_27788 transcript:DRNTG_27788.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVELLQRIEGGCPSQTSESNVFQDPEIPTLEELREASDVYSFGVFLLELVTGREVALFISHESTRSLAHWVEGHISLNDLIDQRLAGSFTSRGMKCLIRLILQCLDLSGRRRPRMGLIVLELERILETEMALTIVMGDGTAVVTLGSQLFTSS >DRNTG_27788.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3294568:3296107:-1 gene:DRNTG_27788 transcript:DRNTG_27788.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNLALNIAEKGFPISIYNRTAAKVDETIACGVYEGFLPFSGDHYPASSSSSSSPALPLTRSSLPSPTSSSPVIPLSMVATSDTSSPSADAASVPGGCRPGLRQRPRSTMAPASPTLATAAPGTSSRWSTTGSSMAICSSFPKPMMFSNSLAGFPTESSRIALRSGTTGS >DRNTG_27788.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3289776:3296107:-1 gene:DRNTG_27788 transcript:DRNTG_27788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFAASGPSGDHQSLRQFTLQELELAAKNFNESNYVGKGTFGLVYKGLLLDGTLVAIKRRLGYPMQEFVEEVQSLSKIRHRNLVTLIGYCQEDGCQVLVYEYIPNGSISGHLYDTQRDPTVRLEFKQRLSIAIGAAKGLSHLHSLVPPVIHKGFKTSDVLVNENFIAKVADTGMVELLQRIEGGCPSQTSESNVFQDPEIPTLEELREASDVYSFGVFLLELVTGREVALFISHESTRSLAHWVEGHISLNDLIDQRLAGSFTSRGMKCLIRLILQCLDLSGRRRPRMGLIVLELERILETEMALTIVMGDGTAVVTLGSQLFTSS >DRNTG_27788.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3289776:3292966:-1 gene:DRNTG_27788 transcript:DRNTG_27788.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCFAASGPSGDHQSLRQFTLQELELAAKNFNESNYVGKGTFGLVYKGLLLDGTLVAIKRRLGYPMQEFVEEVQSLSKIRHRNLVTLIGYCQEDGCQVLVYEYIPNGSISGHLYDTQRDPTVRLEFKQRLSIAIGAAKGLSHLHSLVPPVIHKGFKTSDVLVNENFIAKVADTGMVELLQRIEGGCPSQTSESNVFQDPEIPTLEELREASDVYSFGVFLLELVTGREVALFISHESTRSLAHWVEGHISLNDLIDQRLAGSFTSRGMKCLIRLILQCLDLSGRRRPRMGLIVLELERILETEMALTIVMGDGTAVVTLGSQLFTSS >DRNTG_04506.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000218.1:129625:142982:-1 gene:DRNTG_04506 transcript:DRNTG_04506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHHTDSSAAPSSSSSSSPAASPDQRQSLPPSSATPSGAAPEEPTLLREGGGQESVSVERRSDYSAVCRWTVSGFPRVKARALWSRYFDVGGFDCRLLVYPKGDSQALPGYLSIYLQIVDPRSSPSSSKWDCFASYRLSVVNLSDDSKSITRDSWHRFSSKKKSHGWCDFTPSAAVLDPRSGFLISPSDSILITADILVLHESVSFTRDGAEALQPLPDVLSGKFTWKVHNFSLFREMIKTQKIMSPVFPAGECNLRISVYQSSVAGVEHLSMCLESKDTEKAVAPERSCWCLFRMSVLNQRPGLNHVHRDSYGRFAADNKGGDNTSLGWNDYMRMTDFIGSEAGFLVDDTAVFSTSFHVIKESNSFSKAQLGGRGLGGQRKSDGHFGKFTWRIENFTKLKDLLKKRKITGLCVKSRRFQIGNRDCRLIVYPRGQSQPPCHLSLFLEVTDSRNTTSDWSCFVSHRLSVVNQKMEDKSVTKESQNRYSKAAKDWGWREFVTLTSLFDQDSGFLVQDTVIFSAEVLILKETSIMQELTDQETEYSNAGSVSSIDAICKRGSFTWKVENFLSFKEIMETRKIFSKFFQAGGCELRIGVYESFDTICIYLESDQSAGSDPEKNFWVRYRMAVVNQKNPAKTVWKESSICTKTWNNSVLQFMKVSDMLEADAGFLVRDTVVFICEIIDCCPWFEFSDLEVLASEDDQDALSTDPDELVDSEDSEGISGDEEDMFRNLLSRAGFHLTYGDNPSQPQVTLREKLLMDAGAIAGFLTGLRVYLDDPAKVKRLLLPTKLSGSNGGKKDATRVDTNSPSLMNLLMGVKVLQQAIIDLLLDIMVECCQSSDGRTSYDSSDTSSKPSPDSIEASTPTEPSGDPEATEFAQYQVYQRLEAGISEISQAYAVQSSDLNTNEIPGKTNPVQPIFPPETSAGDLSADDSFVRTSKTKWPEQSEELLGLIVNSLRALDSAVPQGCPEPKRRPESVQKIALVLDKAPKHLQPDLVALVPKLIDQSEHSLAACALLDRLQKPDAEPSLRLPVLSALSQLEFGSEVWERVLFQAFEILTDSNDEPLVAAMSFVFKAASQCQHLPQAVRAVRSRLKSLSAEVPHCVLDVLTKTVHTWPDVAEAILRDIDSDCDLDGLSGEVINMGEEQQIPQACLHMSDVYILIEMLSIPGIFVEVSKVLEKAVLRGNIELQSVAMVLERRHRLGLKCRSIDDSPNKQILIDGKTDPLPVQEDDFTSILALGEVFSLSRDARVQDFVRMLYAIMFKIYSEDHYRVRMLKGLVDRAMNTSDSCRLVDIDMDVLVFLVREEDEVARPVLNMMREVAEVSQGQRAALWHQICAIEDENIRIQEERQAELANFTQEKALLMQRLTESEATASRIKSELKAETDRFAREKKELSEQILEIESQLEWVRSEKDEEIMKLSADKKVLQDRLHEADAQLLQLKSRKRDELKRVLKERNALAERLKNAEVARKRFDEELKRYATETVTREEVRQSLEDEIRRLTQTVGQTEGEKREKEEQVARCEAYIDGLESKLQTCQEYIQTLEASLGEEMSRHAPLYGVGLESLSMSELDTLSRIHEDGLRQIHLITQQRKGNNNPLVSGHPLPHSSGLYSSAPQVAVGLPPSMIPTGMGAHGNGHIWAHGSAPPR >DRNTG_32482.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001845.1:23601:27598:-1 gene:DRNTG_32482 transcript:DRNTG_32482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNKNPIPFSSSPAKLKVAGAWSGVLDVDLSSWTVPLLRDEVARRSAASRDRINLICGGKILKDGDGVENLSQLGLKNNSKVLASVVSADRGKALNDEAAVQADRSQKLARLRAAALALSGRHADGSLPVEDFNIELEDQNGQKVNLGSETDQKGVMMGLMLHANARSLMKNEKYKDALDVLSMAEEAFSLCDPKFIEMIDNVPILQLDTVWCYFMLRDISCLSVAGVRLTKARKGFEQSHGKDSTRLRLLQSGQHAEIALYLRLELLEGVVAYHSGNYAQSRKALNSAQAKYLQLQIPDESLSLLMNMGYKEGSAKRALRMTGQDIQSAVDFLIDERAKKVRRHEEDRQRHKEIMKQKEYGRTPQKKAIDLQMLNELVSIGFETYLAAEALRINENNFQEALDHLTDTQKNYDLQQRIDSRNMRMEQRETAAAMNAVNTTPAENNGSTQVEEPISFSDEQNHPVTGAEEEGTSEAATVDPRDEEMEDALAKELTGDALADYDIEVTKEGEAVKEYLALLESL >DRNTG_14023.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27609754:27610418:1 gene:DRNTG_14023 transcript:DRNTG_14023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFDHHQTPPQVYPPPTTAYPPPGQVAPPAPMGYPVSDDVGVAAPYQQSVPMETKSRGDGFWKGCCAALCCCCVLDMCV >DRNTG_11692.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:367874:368448:-1 gene:DRNTG_11692 transcript:DRNTG_11692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVKYVWLVCLLIMIGVIAAGFEGAEGAGECGSIPVERMAIKLAPCASASQNTRAKVSASCCAEVQKLGKNPRCLCAVMLSNTAKSAGVKPAIAMTIPKRCNLAKRPVGYKCGGYTLP >DRNTG_00130.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2294781:2299556:-1 gene:DRNTG_00130 transcript:DRNTG_00130.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll(ide) b reductase NOL, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04900) UniProtKB/Swiss-Prot;Acc:Q8LEU3] MMLNQPRGGHIFNIDGAGSDGRPTPRFAAYGATKQSVVHLTKSLQAELQMQDVKNVMVHNLSPGMVTTDLLMSGANTKQAKFFINILAEPPDVVAEYLVPSIRSVPSRQSMRPTYIRFLTGLKAYSQIFSRLAFGARRNRYVIED >DRNTG_00130.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2294781:2309163:-1 gene:DRNTG_00130 transcript:DRNTG_00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll(ide) b reductase NOL, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04900) UniProtKB/Swiss-Prot;Acc:Q8LEU3] MASALALSPTLLPLSRHRRSIFSPAAQIPLLSSIPRPHLLRGIVSLPLVPSGRVLWRVRAESTKQEREPMVPPFNVLITGSTKGIGLAFAKEFLKAGDNVVVCSRSAERVELTIKQLTEEFGEKHVWGTVCDVRKGEDVKALITFARDKLNYIDIWINNAGSNAYSYKPLAETADDDLMEVVTTNTIGVMICCREAINMMLNQPRGGHIFNIDGAGSDGRPTPRFAAYGATKQSVVHLTKSLQAELQMQDVKNVMVHNLSPGMVTTDLLMSGANTKQAKFFINILAEPPDVVAEYLVPSIRSVPSRQSMRPTYIRFLTGLKAYSQIFSRLAFGARRNRYVIED >DRNTG_12002.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7764422:7766879:-1 gene:DRNTG_12002 transcript:DRNTG_12002.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEDHLQPQPSKPLPTLSSFYHSSCTQSQTEPR >DRNTG_25159.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23844988:23851309:-1 gene:DRNTG_25159 transcript:DRNTG_25159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLNIACPPLLPSPYHTLIMNSDRGRNRFSIRACQRSPAVLKKKREQKEVIKNQNEEDGVLLTSTKEQARQNTLRELYNRTYGHCKDCPEELTGSNLCLPLSGDLRCFPLDFLPEKEEKGQIRRRFKASRESFVERFRW >DRNTG_35370.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:28:27536:28435:-1 gene:DRNTG_35370 transcript:DRNTG_35370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEARLVFNRYHASFAPNSSILNTLLLGFKEVGDLTALNLFYNEMIVRGFEPDVVSFNIRIDACCKKGRFLDALTVFDEMSKRNLSPTLQTLTTLVYGAGIAGDLPKAQELFDEMFERGLDVDRGAYNALMSCHMRVGNLKGGMAVMDEMVEKGIELDDVSYYTVLSRLKKLEDVCLVYGRMIGNRFVPRMRTVMLLMKVFCNNKRLDLGLQFWDYIVEKGLCLHCHVLDVL >DRNTG_10273.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20139284:20145137:-1 gene:DRNTG_10273 transcript:DRNTG_10273.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQVTPSKRPYERHPLESNGRGKWQKTAPSITQQNQSKASPGAVVFRLLCPISKFGSIIGKGGGIIAKIRQDTGAKIRIEETIPGCDERVVVITSSESDMEARNEHDQEDEGEKSPPDDDKDNKDADENDNEKGDDAPAADGSKSEKGSSSAQMALLLVCERITEEEPENDGDEEVSKISSVSIRLLVLSSQVGCILGKGGSVIKQMSADSGAQIRILPRDKLPLCASPVDEIVQIAGGLDSVRKAIQLVSQQLLEHPPRERDSFPGSNPSGSSSHPFSNMPHAEIPRGEVLPPPMRPFPGQGPPPFSNRPYDAPDHHLSMPPPIPRLHENIPPGRIQVPPEILTFRLLCSNDKVGSIIGKGGNIIKSLQHETGCEIKVLETTPESDDRIVVISGPSLPDDRISPAQDAILRIQERIMMAASDNNSRESSPLMRLIVSSNQTGCLLGKGGSVIAEMRKLSGAHIRILGKEQVPKSVSDNEEVVQVNGEFRAIQDALIQITTQLRHHLFRDKISGRNQIGRPPFNDQLPPFGSYMGRRESPPPRMLHNLPPPFLNYDSVGRPHEDRPPFGPMHGPGMAPHNFERFLPPPWAPQSMRDAGGSVPIPDYAGAPQRRIGGYPGGSQPAVITNTTVDVVVPRSLVPVIYGEDGGCLKKIREISEAKITITEPRPEATETVIIISGTPDQTHAAQSLLQAFVSSESESP >DRNTG_10273.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20139284:20145137:-1 gene:DRNTG_10273 transcript:DRNTG_10273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQVTPSKRPYERHPLESNGRGKWQKTAPSITQQNQSKASPGAVVFRLLCPISKFGSIIGKGGGIIAKIRQDTGAKIRIEETIPGCDERVVVITSSESDMEARNEHDQEDEGEKSPPDDDKDNKDADENDNEKGDDAPAADGSKSEKGSSSAQMALLLVCERITEEEPENDGDEEVSKISSVSIRLLVLSSQVGCILGKGGSVIKQMSADSGAQIRILPRDKLPLCASPVDEIVQIAGGLDSVRKAIQLVSQQLLEHPPRERDSFPGSNPSGSSSHPFSNMPHAEIPRGEVLPPPMRPFPGQGPPPFSNRPYDAPDHHLSMPPPIPRLHENIPPGRIQVPPEILTFRLLCSNDKVGSIIGKGGNIIKSLQHETGCEIKVLETTPESDDRIVVISGPSLPDDRISPAQDAILRIQERIMMAASDNNSRESSPLMRLIVSSNQTGCLLGKGGSVIAEMRKLSGAHIRILGKEQVPKSVSDNEEVVQVNGEFRAIQDALIQITTQLRHHLFRDKISGRNQIGRPPFNDQLPPFGSYMGRRESPPPRMLHNLPPPFLNYDSVGRPHEDRPPFGPMHGPGMAPHNFERFLPPPWAPQSMRDAGGSVPIPDYAGAPQRRIGGYPGGSQPAVITNTTVDVVVPRSLVPVIYGEDGGCLKKIREISEAKITITEPRPEATETVIIISGTPDQTHAAQSLLQAFVSSESESP >DRNTG_10273.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20139284:20145137:-1 gene:DRNTG_10273 transcript:DRNTG_10273.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQVTPSKRPYERHPLESNGRGKWQKTAPSITQQNQSKASPGAVVFRLLCPISKFGSIIGKGGGIIAKIRQDTGAKIRIEETIPGCDERVVVITSSESDMEARNEHDQEDEGEKSPPDDDKDNKDADENDNEKGDDAPAADGSKSEKGSSSAQMALLLVCERITEEEPENDGDEEVSKISSVSIRLLVLSSQVGCILGKGGSVIKQMSADSGAQIRILPRDKLPLCASPVDEIVQIAGGLDSVRKAIQLVSQQLLEHPPRERDSFPGSNPSGSSSHPFSNMPHAEIPRGEVLPPPMRPFPGQGPPPFSNRPYDAPDHHLSMPPPIPRLHENIPPGRIQVPPEILTFRLLCSNDKVGSIIGKGGNIIKSLQHETGCEIKVLETTPESDDRIVVISGPSLPDDRISPAQDAILRIQERIMMAASDNNSRESSPLMRLIVSSNQTGCLLGKGGSVIAEMRKLSGAHIRILGKEQVPKSVSDNEEVVQVNGEFRAIQDALIQITTQLRHHLFRDKISGRNQIGRPPFNDQLPPFGSYMGRRESPPPRMLHNLPPPFLNYDSVGRPHEDRPPFGPMHGPGMAPHNFERFLPPPWAPQSMRDAGGSVPIPDYAGAPQRRIGGYPGGSQPAVITNTTVDVVVPRSLVPVIYGEDGGCLKKIREISEAKITITEPRPEATETVIIISGTPDQTHAAQSLLQAFVSSESESP >DRNTG_00986.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6709650:6711405:-1 gene:DRNTG_00986 transcript:DRNTG_00986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLESLRKITISYDDPDATDSSSDENENESTTKKRNIIILMRQNPIKEKLKTKTPVKRSSTSSKYKGVRQRQWGKWAAEIRDPIRGVRLWLGTFDTAEKAAEAYALTSKRLEAEKQTLLRSSSEFGLDHQPSSPSSVLDISRSSSSAGNTRAKKAQSFEHNTVPEMAEIPFPISKLCFDDDDSTLETEMDLLFCEDAVDLEAFDLDTCEDELEFIFNGDPFDFDDAEAFSFLKPEALSWIDELGI >DRNTG_18372.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000946.1:25390:26376:-1 gene:DRNTG_18372 transcript:DRNTG_18372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGTLGATLRSEERAMDLDWIKRVSIIQDIAQALSYLHHDCAPPIIHRDITSNNILLDEEYKACVSDFGISRLLKPNSSHWSLLAGTYGYMAPELAYVMEVTEKCEVYSFGIVALEVIHGTHPGDLLSNFSSSMLVKDILDPRLPLHIADQVITNQVLSVILVAMQCINNDPQARPTMQQVSQMLSSPKSLPASNNYSFQALTLDHLINIVQVHIDDQAHE >DRNTG_27662.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8502481:8503390:1 gene:DRNTG_27662 transcript:DRNTG_27662.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLVKKKTLVKQNIPFNSSTPNRAMQNQQKFTHSTRNYGS >DRNTG_21795.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17422797:17427945:-1 gene:DRNTG_21795 transcript:DRNTG_21795.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:monogalactosyl diacylglycerol synthase 1 [Source:Projected from Arabidopsis thaliana (AT4G31780) TAIR;Acc:AT4G31780] MPSSLSQEPTVSSLHLHLHPSSPSSPLLLSFPFSSFPSFPSLLFKSPSKLQSFLNDFNRLARFHCYRFPLSFSSLSLSDSHSVLVDDPLPLPPSPFDSSKKVLILMSDTGGGHRASAEAIKAAFNQEFGDEYQVFVTDLWTDHTPWPFNQLPKSYNFLVKHGTLWKMTYYGSAPRLVHQPHFAATSTFIAREVEKGLMKYQPDIIISVHPLMQHVPLRILRAKGLLNKIVFTTVVTDLSTCHPTWFHKLVTRCYCPSTEVAKRALKAGLKPSQIKVYGLPVRPSFVKQVRPKDELRRELGMDEYLPAVLLMGGGEGMGPIEATARALGDALFNETLGEPIGQMLVICGRNKKLANKLQSIDWKIPVKVLGFVTKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNGYIAGQEAGNVPYVVENGCGKFSKSPKEIAKIVADWFGPKADELNTMSQHALKLARPDAVFKIVHDLDELVRERSLLVPEYSCVT >DRNTG_09372.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15109938:15110463:1 gene:DRNTG_09372 transcript:DRNTG_09372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSAETFRSPDGGGANEVDDGGHHSRDPVPIRVLQIIKEGWDGGLVTTLSNHLLAAASLLSPSSSPILISLLKFKTNANYLFYWDPLKFFSSKKYLLILIFARIQNLKFLLIFHDEYFQEIDLAIGTLGTIQSGNTKLLEIK >DRNTG_00577.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29988688:29992013:1 gene:DRNTG_00577 transcript:DRNTG_00577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISHRHHLHHLLLPLFLFILSPASSQTDAGDRAAMLDLARATGATRSALSWSLSADPCTWSGVSCSSGRVTSIHAGNRSLSGTLPSSLSNLTAITHLEFQSNRLSGPLPSLPSLSSLDTLLLHSNSFSSIPSDFFSGLTSLRAVYLDDNPFSPWPFPDSLSSNLQNFSATNCSINGHIPDFLGTAFPSLSHLALAYNLLHGSLPLSLSSSSLQTLWLNNQRGPSQLSGTIDVIANMTYLTQLWLHSNEFSGPLPNFSSLTALQDLNLRDNHFTGPVPLSLTSLTSLKRVALTNNLLQGPVPEFRPQVETLDLVASSESFCLEKPGACDARVSILLTVAGGFEYPEKLAESWKGNDACDGWLGISCDAGGNITVVNFQKMGLNGSISPAFASLTSLQKLLLSSNHLTGSIPPALAQIPSLKELDVSNNSLQGKVPSFRQNVLVNTKGNPGIGKDAAGRTPANGSEPSGGKGPVAGEGGSGDNGEKKSSSSSSHVGIIVGSVLAGVCALCVVGLLGFCYYKRKQQPFRRVQSPNTTVIHPRHSGSDPETLKVTVASSSINGGGTAASETYSRTSSGPSDLHVVEAGNMVISIQVLRNVTNNFSEENILGRGGFGTVYKGELHDGTKIAVKRMEAGVMGNKGLNEFKSEIAVLTKVRHRHLVSLLGYCLDGNERLLVYEYMPQGTLSRHLFDWKEEGLKPLEWKKRLSIALDVARGVEYLHSLAHQSFIHRDLKPSNILLGDDMKAKVADFGLVRLAPDGKCCSVETRLAGTFGYLAPEYAVTGRVTTKADVFSFGVILMELITGRKALDETQPEESVHLVTWFRRMQLNKDTFKKAIDPTIDVDEETLVTISTVAELAGHCCAREPYQRPDMGHAVNVLSSLAELWKPSDPDSEDSYGIDLDMTLPQALKKWQAFEDSGHLDGATSSFLASVDNTQTSIPTRPPGFAESFTSEDGR >DRNTG_25394.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24445434:24446392:-1 gene:DRNTG_25394 transcript:DRNTG_25394.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIKPAMYYNQYAQYLEMDPELHARPRESDSSMMLKRLGQEKMLELERRRLAEFNIVQKPLVQQPYYSFSMDEFKLPEGPDEFVPADHFSCVLDALNNGSTSDDKANNHTGNNFSDQESNQIELPESPFASPVGSNISAIIQ >DRNTG_23963.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30825278:30828759:1 gene:DRNTG_23963 transcript:DRNTG_23963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALDMSLDDLIKNSKKSAPAGAGGNSRGRGRASASSAGPARRVPNRNRAAPYSVGKAPDTAWQHDLYSSGDRISAFPPQAAGRASSIELGTKLYISNLDYGVSNEDIKELFSEVGDLKRFSIHYDRSGRSKGTAEVVFARREDAFAAVKRYNTVQLDGKPMKIEVIGTNIVTPAAGPPVVNGGLGNSNGIPRSGPGRGGAGGRLRGGGGGGRGRGRGRGRRGGQAEPPSAETLDADLDKYHSDAMQTN >DRNTG_11113.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30571174:30572863:1 gene:DRNTG_11113 transcript:DRNTG_11113.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLKTRSHLAPLMTEKRWAIPIAMSSFVFAFLVITSLNIGSTMNSFFSASSSFNRTSPVFVESKLSSEPPLPRFAYLVSGSKGDLDRLWRTVRSLYHPRNLYILHLDLESPVEERDELVYRVGNDTIFAVVQNVFVISKANMVTYRGPTMVANTLHACAILLKKSKDWDWFINLSASDYPLVTQDDLIHTFSSLPRNLSFIEHTSRLGWKESKRAKPLIIDPGLYKSKKSDVFWITEKRETPTAFKLFTGSAWMVLSREFVEYCVWGWDNLPRTLLMYYTNFISSPEGYFQTVICNAPEYIPTAVNHDLHYIAWDVPPKQHPHTLSVADAPRMINANVPFARKFNRDDPVLDKIDAELLGGRRNYSFVYGGWCEGGGGACSKVGDVNKLQPGPGAQRLAKLMNRIVWSKAFVSNQCR >DRNTG_14866.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2852561:2855802:1 gene:DRNTG_14866 transcript:DRNTG_14866.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G45890) UniProtKB/Swiss-Prot;Acc:Q7X6P3] MASSYLCARVPPPQPRSSPSFTRPTSFSFTSLTLPHLLPPSTSLLPSPSPSPLLDGTNNGGSNRNNNNNNNNGWLWSWWNPDDWWNPEEEEEEEEEEEEEESSLFPSSATLLTFLCLLYISIIPAPALARAIWEVKGGKWTRLVPDPSGSDFLVVENDGSHSSSYLALQAWWRSCCEVVSRLLLPEGYPHSVSDDYLEYSLWRGVQGVASQVSGVLSTQALLYAVGLGKGAIPTAAAVNWVLKDGIGYLSKIMLSKFGRHFDVNPKGWRLLADLLENVAYGLEILTPAFPHLFVFIGAAAGAGRSASSLIQAATRSCFYAGFAVQRNFAEVIAKGEAQGMISKFLGIMLGIALANYIGSSVPLAMASFVAVSGIHMYCNFKSYQSIQLRTLNPYRATNIY >DRNTG_14866.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2853396:2855802:1 gene:DRNTG_14866 transcript:DRNTG_14866.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G45890) UniProtKB/Swiss-Prot;Acc:Q7X6P3] MLSKFGRHFDVNPKGWRLLADLLENVAYGLEILTPAFPHLFVFIGAAAGAGRSASSLIQAATRSCFYAGFAVQRNFAEVIAKGEAQGMISKFLGIMLGIALANYIGSSVPLAMASFVAVSGIHMYCNFKSYQSIQLRTLNPYRATNIY >DRNTG_25229.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17259052:17261509:1 gene:DRNTG_25229 transcript:DRNTG_25229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLEMVRHVVHVHQHLESPSLGFQTLEASILHAYISTTRRISPSIPRELEEYVSIAYSSIRQDEAKSCVVHSYTTVRTLLSILQISTALARLRFSETVHQSDVDEALHLMQMSKFLLYLNDRQIFGLNGNFCEMKHPKLTIFLGEESEVQLPNCVFNTGVTGAEIRFGTISESVQFVKRTSYLEENLMVPCLPSRGHHPNIIVPAFEENIIDEKTRTSVFQKLPCPKKIVPADDEDDEPTFTITVKRKENRIFLIRRSQAEKKCVFKADA >DRNTG_22121.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16525899:16527093:-1 gene:DRNTG_22121 transcript:DRNTG_22121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVEQADDSASSKVDTIPQQLEPGKIVSPVYAIIMAVIDMIVDSIVNKIPVSVELVDGTAASKGETLPHLSTTAPGDKPKDDVNEGQGNRASIKRLLALFMSKREDASETAPTMMGDTTRNLHDVDIVILLVIMNSHFHLVILDNDKQEYRHYTSTESEEYDRDAGEMRRLFDYCVDMALSESATLTYPLVHSTNTLRQKQGSVD >DRNTG_02250.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1038706:1040891:-1 gene:DRNTG_02250 transcript:DRNTG_02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYGLQLRQPKKPPAPARPPLRPSAFAADDDDGVEQEISRQASKNKALQKIEEQHKKAMEEDPSVFDYDGVYDEMKEKIARPKLEDKSERVPKYIHSLKVAAEKRQRNQEIVFERKLLKERSKDDHLYADKEKFVTRAYKEKLLEEQKWLEEERKRQILEEKEDVTKKKDLSDFYFSLSKNVAFGARVGENAKPAKDNQADNHIQEHQFSLPETEVHPNSSEGGKGVKGQAEISHPQGLPEDAAQVVENTVSEGASVHGNPQTEQASSDQRGEPYKRSEDALAAARERFLARKRAREQE >DRNTG_06027.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000275.1:49318:49925:1 gene:DRNTG_06027 transcript:DRNTG_06027.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLKLKIIQGKGKGEEEMINMEGEDAYRMGLKELVGWVEKNMDPRKTRVFFVTMSPSHPM >DRNTG_06027.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000275.1:48918:49925:1 gene:DRNTG_06027 transcript:DRNTG_06027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCQAHGRPDKGYQHWRWQPHNCSLPSFNATFMLEKLRGKTMIFVGDSLNRGQFSSMVCLLQRSIPQHAKSFEIHEPRFIFKAKEYNASIEFYWAPFLLESNCDNFYYHRLSDRTVRKGSINVHAQHWKEADILVVDTYIWWMTGLKLKIIQGKGKGEEEMINMEGEDAYRMGLKELVGWVEKNMDPRKTRVFFVTMSPSHPM >DRNTG_06027.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000275.1:49077:49925:1 gene:DRNTG_06027 transcript:DRNTG_06027.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKLRGKTMIFVGDSLNRGQFSSMVCLLQRSIPQHAKSFEIHEPRFIFKAKEYNASIEFYWAPFLLESNCDNFYYHRLSDRTVRKGSINVHAQHWKEADILVVDTYIWWMTGLKLKIIQGKGKGEEEMINMEGEDAYRMGLKELVGWVEKNMDPRKTRVFFVTMSPSHPM >DRNTG_27401.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001396.1:171342:172170:1 gene:DRNTG_27401 transcript:DRNTG_27401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQHSQYHILDNHLDNHHIPDNHLENHYIQDNHLGNHHSRAQSQA >DRNTG_35231.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18010429:18014463:1 gene:DRNTG_35231 transcript:DRNTG_35231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDGSFSCKCALRRLLARCPGLRSDPRLVSLSNKEAVTADEVVAAIADPFLHPKFTIPIMGCFRPLCQRIVEQVIAKLKVVPNLESENDEAREEIGEEDVRVVDFYVGRGRGLRLHELASLTFVRALDLAPFLLGYLLSYYRIAPPPFRRLVLVMNSASEFKDLSHLLEVVRVTYRFLLIETKVFSELWEWTCFFDLMRQPEILNFSYTKMALDNKLDIKWCSSQILSVVLKISDRAIEKFGLGPNETLSCLLRWEDFCHDITLEKAGWYLDESNADIRNCCHGDFKFDCFLEFNKSISSIVSSRSHQFKSEGRNCRNKVSSVLQLAGGPFILTSAIRKSFDMCLMAVSQKWPILLHGPAGVGKTSLVRKLAEICAKQVLFIHVDEQMDSKTLVGSYVCTEVPGEFKWQPGSLTQ >DRNTG_00514.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30478502:30480709:1 gene:DRNTG_00514 transcript:DRNTG_00514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALWTMRCWPAARPPLRLLRGGGTFSRRSSVAIHGGLTRKRAYDGLLVDAGGTLLQLSRPVEETYASIGRKYGLKATESEIKQGFRRAFAASWPEKLRYQGDGRPFWRLIVSEATGCNKNDYFEELYEHFAKGDAWRLPAGAYRSLCLLKDAGVKLAVVSNFDTRLQKLLKDLNVAHLFDAVIVSSEIGYEKPAPEIFKAALDQIGVEAAKAVHVGDDERADKNGANAIGIDCWLWGSDVKTFANVCERILIDDSK >DRNTG_26644.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18205400:18207796:1 gene:DRNTG_26644 transcript:DRNTG_26644.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:9-cis-epoxycarotenoid dioxygenase NCED6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G24220) UniProtKB/Swiss-Prot;Acc:Q9LRM7] MEIPKLPSKLSPSSTPSQTLTTLNPIQTLIATTLNSIETNLIIPLETKKPLPKTINPNVQISGNYAPVAEFPLRRGLHVTGTIPDHLHGLYVRNGANPILPPSSGHHLFDGDGMIHSVVLSGEKQACYACRLTRTNKLKQELNYGRPLFPKPIGELHGHSGIARFLLFSLRAAAGIVDVSGGSGVANAGLIYFSGRLLAMSEDDLPYHVKITSDGDLETIGRFSFSGQLSSPMIAHPKIDPISGELFSLSYQLLNKPYLKYFYVDPISGEKSPDVAITLRQPTMIHDFAITENFVVIPDQQIVFDVKRMVHGKSPVRVDSGKKSKLGVMPKYDKDEKRIKWFTIPDCFCFHYWNAWEEIAGDGDGDGDGECTVVVVGSCMSPPDSVFNEDDDVELKCELMEFRMNMRTGETKTRVIIKGVNLEVGQVNKEKLGRKTRYIYMAVAEPWPKCSGIAKVDIESGEMKKFEYGEKKYGGEPTFVPVKGGEEDEGYVMSFVHDEVSGTSELVVLTAAELDMVATVSLPARVPYGFHGTFVGFNELSEQKAT >DRNTG_26644.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18207200:18207796:1 gene:DRNTG_26644 transcript:DRNTG_26644.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:9-cis-epoxycarotenoid dioxygenase NCED6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G24220) UniProtKB/Swiss-Prot;Acc:Q9LRM7] MNMRTGETKTRVIIKGVNLEVGQVNKEKLGRKTRYIYMAVAEPWPKCSGIAKVDIESGEMKKFEYGEKKYGGEPTFVPVKGGEEDEGYVMSFVHDEVSGTSELVVLTAAELDMVATVSLPARVPYGFHGTFVGFNELSEQKAT >DRNTG_00451.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27284407:27289664:1 gene:DRNTG_00451 transcript:DRNTG_00451.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRENVFHASMTCTFLLFVVNVLQTILPAYPMQIFLCSCEPARRFFLFRYHIDELNVLVEALEGNLSAVKQWAEGDLGLALPSGSSLREISENAKPEYASGPTDSLEKTISKLPKPIIDLNRPDMDDDSQARKVDHHEKRKSHRVQSPATLMETMINDGNEPSEVDYVKTSEDVKAKRPLKDEIEFLDLERSPVASTSASSKKGQYNNFSNLYTDKIPTGNRDRWLASSGNAVLMAPDVKEEPGCSSQSAVLGSNGVGIDKLNLENEPLMPTGRPWRSNPENRFSVPLNCSKEWSPAFLTSSCSSGTKYPKRSGAKLFGQDLHHLPHLPKALGSHHNQSSSDAGNGVPIEVSGTSHCLVELINFGTIIPGRKWCSKQAIFPKGFVSRVRFFNVLDPTKTCYYISEVVDSGILGPLFKVTMEEEPSVSFMHTCPTQCWKLVCEKLNKKISQEPGMVPPVKFPDSIDGFEMFGFFTPSIVKVIESFDPLHMCSEYWAAKSCSQLELEKTPEAGVILCVGMEAKEQHSCMSSSPANKKMLFGVNLASQENNKPKINNKSSAEEVQTVLGRLFKKANHEELKMMHQILNSGSGSDAWNAALYALTHEIQKNVHTQ >DRNTG_00451.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27285023:27289664:1 gene:DRNTG_00451 transcript:DRNTG_00451.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRENVFHASMTCTFLLFVVNVLQTILPAYPMQIFLCSCEPARRFFLFRYHIDELNVLVEALEGNLSAVKQWAEGDLGLALPSGSSLREISENAKPEYASGPTDSLEKTISKLPKPIIDLNRPDMDDDSQARKVDHHEKRKSHRVQSPATLMETMINDGNEPSEVDYVKTSEDVKAKRPLKDEIEFLDLERSPVASTSASSKKGQYNNFSNLYTDKIPTGNRDRWLASSGNAVLMAPDVKEEPGCSSQSAVLGSNGVGIDKLNLENEPLMPTGRPWRSNPENRFSVPLNCSKEWSPAFLTSSCSSGTKYPKRSGAKLFGQDLHHLPHLPKALGSHHNQSSSDAGNGVPIEVSGTSHCLVELINFGTIIPGRKWCSKQAIFPKGFVSRVRFFNVLDPTKTCYYISEVVDSGILGPLFKVTMEEEPSVSFMHTCPTQCWKLVCEKLNKKISQEPGMVPPVKFPDSIDGFEMFGFFTPSIVKVIESFDPLHMCSEYWAAKSCSQLELEKTPEAGVILCVGMEAKEQHSCMSSSPANKKMLFGVNLASQENNKPKINNKSSAEEVQTVLGRLFKKANHEELKMMHQILNSGSGSDAWNAALYALTHEIQKNVHTQ >DRNTG_00451.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27284196:27289664:1 gene:DRNTG_00451 transcript:DRNTG_00451.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRENVFHASMTCTFLLFVVNVLQTILPAYPMQIFLCSCEPARRFFLFRYHIDELNVLVEALEGNLSAVKQWAEGDLGLALPSGSSLREISENAKPEYASGPTDSLEKTISKLPKPIIDLNRPDMDDDSQARKVDHHEKRKSHRVQSPATLMETMINDGNEPSEVDYVKTSEDVKAKRPLKDEIEFLDLERSPVASTSASSKKGQYNNFSNLYTDKIPTGNRDRWLASSGNAVLMAPDVKEEPGCSSQSAVLGSNGVGIDKLNLENEPLMPTGRPWRSNPENRFSVPLNCSKEWSPAFLTSSCSSGTKYPKRSGAKLFGQDLHHLPHLPKALGSHHNQSSSDAGNGVPIEVSGTSHCLVELINFGTIIPGRKWCSKQAIFPKGFVSRVRFFNVLDPTKTCYYISEVVDSGILGPLFKVTMEEEPSVSFMHTCPTQCWKLVCEKLNKKISQEPGMVPPVKFPDSIDGFEMFGFFTPSIVKVIESFDPLHMCSEYWAAKSCSQLELEKTPEAGVILCVGMEAKEQHSCMSSSPANKKMLFGVNLASQENNKPKINNKSSAEEVQTVLGRLFKKANHEELKMMHQILNSGSGSDAWNAALYALTHEIQKNVHTQ >DRNTG_00451.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27285957:27289664:1 gene:DRNTG_00451 transcript:DRNTG_00451.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRENVFHASMTCTFLLFVVNVLQTILPAYPMQIFLCSCEPARRFFLFRYHIDELNVLVEALEGNLSAVKQWAEGDLGLALPSGSSLREISENAKPEYASGPTDSLEKTISKLPKPIIDLNRPDMDDDSQARKVDHHEKRKSHRVQSPATLMETMINDGNEPSEVDYVKTSEDVKAKRPLKDEIEFLDLERSPVASTSASSKKGQYNNFSNLYTDKIPTGNRDRWLASSGNAVLMAPDVKEEPGCSSQSAVLGSNGVGIDKLNLENEPLMPTGRPWRSNPENRFSVPLNCSKEWSPAFLTSSCSSGTKYPKRSGAKLFGQDLHHLPHLPKALGSHHNQSSSDAGNGVPIEVSGTSHCLVELINFGTIIPGRKWCSKQAIFPKGFVSRVRFFNVLDPTKTCYYISEVVDSGILGPLFKVTMEEEPSVSFMHTCPTQCWKLVCEKLNKKISQEPGMVPPVKFPDSIDGFEMFGFFTPSIVKVIESFDPLHMCSEYWAAKSCSQLELEKTPEAGVILCVGMEAKEQHSCMSSSPANKKMLFGVNLASQENNKPKINNKSSAEEVQTVLGRLFKKANHEELKMMHQILNSGSGSDAWNAALYALTHEIQKNVHTQ >DRNTG_00451.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27282032:27289664:1 gene:DRNTG_00451 transcript:DRNTG_00451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEHVLNHLEHPSEETTTSQMPLDFRKDPETTTNSGHSIEATPTPPIQMNDDSADCEEVKIKRSRKRRNGIHYGVLDLSSDEELDCEKPVKERSPKHGKRNGGSSKCSGSKFEKISARWCLEEGCRPDIDEAPAFYPTEEEFKDTLGYIASIRQKAEKYGICRIIPPSSWKPPCPLRVKSTWEHAKFTTRVQQVDKLQNREPMRKRSRNRCQRKKKRRKRLRFGMTRRRNISTSSENNDCAASDTDEKFGFQSGSDFTLKTFQEYADDFKEQYFGMKDICENFVDSEKDSMKGWRPSVEEIEGEYWRMVEKATDEVEVHYGADLETGVFGSGFPKASSSTDQNSDPYLLSGWNLNNFPRLPGSVLAFERGDISGVLVPWLYIGMCFSSFCWHVEDHHLYSLNYMHFGEPKVWYGVPGSDALKLEDAMRRNLPELFEEQPDLLHELVTQLSPSVLKSEGVPVYRVIQKSGEFVLTFPRAYHSGFNCGFNCAEAVNVAPMDWLPHGQCAVELYSEQARKTSLSHDKLLLGAAREAIKALWDVLVLGKQDSEKFRWESVCQQDGVLTKAIKARVQMENKRRESIGSQPQVRRMDKDFDLSNERECFSCFYDLHLSAVCCECSPNHFACLSHANFPLLLRTRQKIFPFSLSH >DRNTG_13990.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27784051:27786003:-1 gene:DRNTG_13990 transcript:DRNTG_13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G20050) TAIR;Acc:AT4G20050] MGMKENKSLNMMISLALLGLVALLAGMQVDGGVPKPKVLGIGDLRHSTTNHQQPDHRQRLLRRLDSLKASLAATTTTTTAMSPSPSPAGSTVAPVMTSSRVYHATDYGADPTGKTDSTSALMKAIDDAFKAPNDRNLMPGITDLGGAELHLDGGSYLVSSPIKLPASKGGNFKIHSGSLIASDDFPNGRFLIELWANPSSESAATEDVVSLAVTSSSNYDYEYITIRDLLLDANFRCGGIAVVNSLRTTINNCYITHFQTTGIWVKDGHESYISTSYLGQHITAGNDPNEKNFSGTGIKLIGNDNAVTDVVIFSAETGILITGQANVITGVHCYNKATGFGGTGIYLKLPGLTQTRIVNCYLDFNGIVSEDPVQLVVSNCFFLGGGNIVLKSVNGKMSGVNIVDNMFSGGGNGVDIVKLDESNGPFKDIDQVIVHRNNVDGMRLKTTVAKATVVGKWNFMDSRLLSGVAVPRQD >DRNTG_13990.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27784051:27786003:-1 gene:DRNTG_13990 transcript:DRNTG_13990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G20050) TAIR;Acc:AT4G20050] MTSSRVYHATDYGADPTGKTDSTSALMKAIDDAFKAPNDRNLMPGITDLGGAELHLDGGSYLVSSPIKLPASKGGNFKIHSGSLIASDDFPNGRFLIELWANPSSESAATEDVVSLAVTSSSNYDYEYITIRDLLLDANFRCGGIAVVNSLRTTINNCYITHFQTTGIWVKDGHESYISTSYLGQHITAGNDPNEKNFSGTGIKLIGNDNAVTDVVIFSAETGILITGQANVITGVHCYNKATGFGGTGIYLKLPGLTQTRIVNCYLDFNGIVSEDPVQLVVSNCFFLGGGNIVLKSVNGKMSGVNIVDNMFSGGGNGVDIVKLDESNGPFKDIDQVIVHRNNVDGMRLKTTVAKATVVGKWNFMDSRLLSGVAVPRQD >DRNTG_04976.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4049393:4055113:-1 gene:DRNTG_04976 transcript:DRNTG_04976.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVILAMMSREQRRNALPSELASVRDIHRSAHMTRILNGGVHNCVEYIRLSKGTFLKLCTILRERGHLRDTIHVPVEEQITLFLYIVKHHAKNKAKKIDFVRSGATVSYQALLPIVLQVWLQKGNYLASLLQLTTLQVALHSENMKPLMLQKYLVVMAVKFSFWSSPIPRGLFSHSPFVRRLNPNFSRLVSHSRCLPVPLAITLLVCSSIVEISISPPLILYSASITCSQSSLHSPATSIICRRSSSHAQANLSFITLYLFGSFSISLLMLPSYLLLFCLCSGGVVTWTACSSVGNHRLNTATRSWCCGREGVSGVGWSGGDDVQPYINWDVLFNQCNFSILNGYFKSIAFSLFDMSFFFKFEGLTSVGDASDEVENVMTAVGECSDERLQSTPTGDADGVEENKQSSQQQGRRWENSPSQRMP >DRNTG_33781.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:87338:88919:1 gene:DRNTG_33781 transcript:DRNTG_33781.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADTRLLPPLSQWNFQELHTSYHQLILPVSDDDFLSSFQSILPSNTSHMGVLVQNPTVMEYDLGGEGDLFKAPEPIMGGEAVFAAVDPVTSAMSMISFGDEVISAETIKVAGMESIQNDHLSDFIYDCKKEFLAKSGIEDGFAELVNDVKEVHGVGAATEEEDHPVKRTRIVPEGPMQKSVSAECLSSMDWINNSCGVRPNFLSFEGLDFGAAFGMRRAYSEGDIQTLGSNNHSNGGGSSTSIVTKSEERREKLFRFWEKSKRNFGRKIKYACRKALADSQPRVRGRFAKTEDYEVSKPYK >DRNTG_17637.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4217468:4225833:-1 gene:DRNTG_17637 transcript:DRNTG_17637.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKGGSFLKLFLFADACDCTLMAMGTVGAFVHGASVPVFFLFFGKLIDVIGTASLFPSSISNKVSKYSLDFVYLGVVILFSSWIEVACWMYTGERQTAKMRIEYLRSLLNRDMAFFDIETTTGEVITTVTNDIIIIQDAISEKVGKSIHCLSRFVVGFVIGFARIWQISLVTLAIVPVIAISGGIFAYTTTGFAARIRKSYVKAGEIAEEVMGNIRTVQAFVGEEKAVKSYKNALMQTYKFGVKAALAKGLGLGSVYCVLFCSWAMLVWFTSCIVHKSISTGADAFTTMINVFVAGLSLGQAAPHISTILKAKMAAKPILEMVKKNKEKNKRNMIGGTLAEVEGHIQLCNMSFSYPSRPGVLIFDGLNLDIPSGKIVALVGGSGSGKSTIISLIERFYEPLSGTVLLDGHDIKNLQLKWLRQQIGLVNQEPTLFAMSIRENIIYGKEDATEEEIAHAAMLSNADTFIDNLPDRYETQVGERGIQLSGGQKQRIALARVIIKNPRILLLDEATSALDAESEKSIQKGLNRVMVGRTTVIVAHRLSTIRNANTIAVVQNGKIAETGTHDELMLNPQSTYASLVQLQEFASLNGLSSVEHCKRLTSFRASDKQFHSFQSDKDSFSIYAPDTTEQPNIKTISMRRLYSMAGPDWNLGLFGTLGAFVTGAQMPLFTLGMTQALVAYYKPWEDTQREIKMIAFLFCGGAVITLIFHTIQHANFGIMGERLVLRVRAKIFRAILQNEIGWFDDTKHASAMLASRLETDASLLRSIIIDCSATLLHNLGLIITSFIITFILNWRLTLVILATFPLLITANISEQLFLKGFGGNLSKAYLKANMLATEAVSNIRTVASFCLENKVVKLYASELNAPAKRSLLRGHIAGIFYGMSQFCLYSSYALTLWYASVLMSKEIVSFPSVIKTFMVLIVTAFAMAEALALAPDIIRGNQMANSVFELMDRKTEIVSDGGVDAGRVVGIVELKGVKFCYPSRPDDVIFRDLDLRVSSGKTMALVGMSGSGKSTVLALILRFYDPTAGKVMIDGKDIRKFQLSSLREHIGLVQQEPALFATTIYDNILYGKDGASEAEIIEAAKIANAHSFISALPEGYLTKVGERGLQLSGGQKQRIAIARAIIKNPAILLLDEATSALDVESERIVQQALDRVMQTRTTVMVAHRLSTVQNADEISVLEDGKIIEKGSHKSLVENIEGAYYKLINLQQQKN >DRNTG_08820.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28006642:28010672:1 gene:DRNTG_08820 transcript:DRNTG_08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKFATMLHKKGNKMICILVYTLLEWTLIGLLLINAFFSFLIAKFAAYFGLKPPCIFCSRVDHVFEPAGKLSYRRLLCDDHAAEISGLGFCSTHRKLAEASEMCEDCAAARGSPSGADRAVALLSWMKRSEDGEKDLRCSCCDVSLESGFYSPYLFLKPSWPAAVECTEKEDLIEHLVDDGDCEEMDREMDEEEKKDEEISSFVRIKDSSLEMLTVGMDDGFVEDERVVPVELIDSTTFDKTDADYWPSEYQFVVCHSAVDVVPSVLDAETAAVPELVEVIKECSSVPPAEVVDEGCLSQHQNDVPRITEVVGVGNEPFDLAKEFGEMQDIEVGSIAASEDLGEAQAFEEDDKTMDVEATCEISIGSEICDQEQIDQVHIDGQAHLSVEIENQSSEVLIEVADKRQGAVIEVEQVEASELVEANARDVDRLSICPELNNEVDEERAPETPTYIEGIHALHKRFLFERRESGTESIDGSVASEIEGSEPLTIEQLKSALKSERKALSALYTELEEERSASAIAANQTMAMITRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELMMKREKEKLELEKELEVYRKKVLLLEARERRNNSNTRSGTSSASLSAEESDDVSLGSNEGDECSYRLHESNQNTPLNAVLSSETDQDTTKHLITLDESLAEFEEERMSILEQLKALEEKLFTLDDEAVFNNGEAIKHLSEENGHGLNGHHPSPCDDQNGHVNGFLNDLDTDDKLHCKCRSIGVKGKRLLPLFDAIDMENEDETCSKEGVTDALPESLSKFSVDRKKLAIAEEVDNVYERLQALEADREFLKHCIRSLKKGDKGMDLLQEILQHLRDLRSVELRVRNAGDTLASLSA >DRNTG_00490.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30599051:30603897:-1 gene:DRNTG_00490 transcript:DRNTG_00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRSFWASFFGSRSLLFGLFHLFLGFGSLGSIACLRSWPFYGIWTWQPASFCFGGSGRIPGKLKNFCWLVIKRREQSLLDQSIIITVYIHAVCAASWLISLEAANHADKGPVVLG >DRNTG_00490.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30599051:30603897:-1 gene:DRNTG_00490 transcript:DRNTG_00490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRSFWASFFGSRSLLFGLFHLFLGFGSLGSIACLRSWPFYGIWTWQPASFCFGGSGRIPVIKRREQSLLDQSIIITVYIHAVCAASWLISLEAANHADKGPVVLG >DRNTG_14096.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20732973:20734729:1 gene:DRNTG_14096 transcript:DRNTG_14096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQASRRARDTPSFSSSLLDAIYRSLDDDPNATYDPITRQASHHPVHHSLPTKYNPTCSIGNHTVPVTRPRPCRILKSSSSSSDISSSAGFSSSSEPESGPARLRPIRTGGLRSGPAKTRPVSPPPDKKKTESVKNLG >DRNTG_12805.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2044718:2048827:1 gene:DRNTG_12805 transcript:DRNTG_12805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSLRSMLFFFIFWFSLSASISLAYRPGDVVPMSKAGQYHGSRTVWHDVIGRHCPMFGVNREVLIPIEKPVGYTGADPYKISFQVGHEKFLIPWLLVINRKSPEVPMIDVHLRYTGGDLHGVIAKVIDMPHHYIEVHEDIHKHFWDPQNWPKHVLVRYTWEEHSEIDVAGGFYVLFGSGIVLSFILAIFVLQSSQDKLARFVRETVAESSMPGGGGIAKVE >DRNTG_19288.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:554861:558070:1 gene:DRNTG_19288 transcript:DRNTG_19288.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like 10 [Source:Projected from Arabidopsis thaliana (AT4G33000) UniProtKB/TrEMBL;Acc:M5BEI3] MVVAILLESDMKLPDDLVEDIIDKTFADADADRDGRINKEEWSDFVIRHPSLLKNMTLPYLKGITTVFPSFIFNTEVED >DRNTG_19288.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:554960:558070:1 gene:DRNTG_19288 transcript:DRNTG_19288.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like 10 [Source:Projected from Arabidopsis thaliana (AT4G33000) UniProtKB/TrEMBL;Acc:M5BEI3] MALFAGKQRSGSSPTVGEWLCGAFIPLIAIVEALVFTFTECFEIRRSGNRRRRDFCPSDLARLASESRCFSVNEVEALYELFKKLSSSIIDDGFIHKEELQLALFKSRVDENLFLDRVFDLFDEKKNGVIEFDEFIHALAVFHPQAPLEDKIDFAFRLYDLRQTGFIERDEVKQMVVAILLESDMKLPDDLVEDIIDKTFADADADRDGRINKEEWSDFVIRHPSLLKNMTLPYLKGITTVFPSFIFNTEVED >DRNTG_29825.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23225913:23227819:-1 gene:DRNTG_29825 transcript:DRNTG_29825.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSLSEYDPSVEEHEWDSDDFVIPNLSVGEPDLVSQNAPEVSDPQTPSKVCKQVIKREEKIYLGPHGAPPSQTKQQEPIAGGHKQRFKQKLKEADRRFGGTGRENKVESLRELVGSKVSNTNMWKGSHRDWLDPHCHESEFDKHTH >DRNTG_29825.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23225513:23227775:-1 gene:DRNTG_29825 transcript:DRNTG_29825.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILHHFTLSLQSTSQQEQMTKRCSSMASVTIVVRCTENLKKADLYIQQ >DRNTG_29825.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23225513:23227775:-1 gene:DRNTG_29825 transcript:DRNTG_29825.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSLSEYDPSVEEHEWDSDDFVIPNLSVGEPDLVSQNAPEVSDPQTPSKVCKQVIKREEKIYLGPHGAPPSQTKQQEPIAGGHKQRFKQKLKEADRRFGGTGRENKVESLRELVGSKVSNTNMWKGSHRDWLDPHCHESEFDKHTH >DRNTG_24986.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28171495:28174384:-1 gene:DRNTG_24986 transcript:DRNTG_24986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLRALCRPSSVISSFIGCRRSQARSSLAFAGRSVVRPRRSPYATAHPFFVDDLPRTAPASRGGPRRTLTRVAKWDSERSPYETLELERDADEEKIKAAYRRLAKFYHPDVYDGRGTLEEGETAEVRFIKIQAAYELLLDEEKRRQYDKDHRVNPLKASQAWMEWLMKKRKAFDQRGDMAIAAWAEQQQREMNLRVRRLSRSKMDPDEERRILAKERKASLENFNTTLKRHTLILKKRDIMRRKAEEDKKKVISQLLAAEGLELDTDDDESV >DRNTG_31070.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30726637:30728460:-1 gene:DRNTG_31070 transcript:DRNTG_31070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLKRGRWTTEEDEKLVKYIAEHGEGSWRSLPKNAGLLRCGKSCRLRWINYLRADLKRGNISREEEEAIVKLHTTLGNRWSLIASHLPGRTDNEIKNYWNSHLSRKLQRFNDSDLILISDNEKLKKKSKSSNVKKIKRKDNKKPESLPSSSTTTTTTTSSSSSSSSLVQSESNINVLDQSTERSTGHEVVVPQEDQNGKMNNNSSEERECGSLVTSEESDNGVKEWGVGKWLGINEDEVKSMNIDQLVDCWGMEFWDEPWMWDCGSDELLLQEQSVTNWLI >DRNTG_07797.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1238059:1243679:1 gene:DRNTG_07797 transcript:DRNTG_07797.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase, Cytokinin signalin [Source: Projected from Oryza sativa (Os03g0717700)] MGYEMGGERRRWWLNRSAMVVLWVVVSLGIWACLHLYIRRVSMRKAEEALVSMCEERARMIQDQFAVSVNHVHALAILISTFHYQKHPSAIDQETFAHYTARTAFERPLLNGVAYAQRVAHMERERFENEQGWIIKTMKHEPSPMQDEYAPVIFSQETVSYIEALDMMSGEEDRENIIRARATGKAVLTNPFRLLGSNHLGVVLTFPVYLSGLPADATVDERVENTAGYLGGAFDVESLVENLLRQLAGSQDIMVNVYDVTNISEPLIMYGPQQPDGYMSLSHVSMLDFGDPFRKHHMECRYSQKPPIPLSAITTPSGVFVICMLAGYILYAAWNRYDNVKEDCRKMEELKVQAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLLDTDLNLTQRDFAQTAQVCGKALISLINEVLDRAKIEAGKLEIEAVPFDLRSILDEVLSLFSAASREKGIELATFVSDRVPEVLTGDPGRFRQIITNLVGNSVKFTERGHIFVQVHLVEHSNMVMDVKSEAHINGHSDEQDHKSNKTLFNTLSGLEAADNRNSWENFKHLLSNDASQNGMPGDSDSGGVTLIVSVEDTGIGIPLQAQDRVFTPFMQADSSTSRNYGGTGIGLSISKCLVELMGGQINFVSRPQVGSTFTFTVVLQRCNGRAIDDAKRAIPEPLPTSFRGMKSLIIDERPVRGAVTAYHLRRLGITAEVVCNVKTAINMLAGQNGYPKSYGKQPNLIFVEKDSWSSGTDAGLCKQLMQFKQNGRSVELPKVILLVTSEYDKTKTSFEDGVIMKPLRASTVATCLQQVLGAGGVQQRKQTPNGSTFLHNLLVGKNILVVDDNKVNLRVAAGALKKYGANVACAESGKDALSLLQLPHKFDACFMDVQMPEMDGFEATRQIRLMESKVNKEARNTGREGSEKSEWHLPVLAMTADVIQATYEECLKCGMDGYVSKPFDEQQLYQAVAKFLLSKPEQ >DRNTG_07797.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1241634:1243679:1 gene:DRNTG_07797 transcript:DRNTG_07797.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase, Cytokinin signalin [Source: Projected from Oryza sativa (Os03g0717700)] MVMDVKSEAHINGHSDEQDHKSNKTLFNTLSGLEAADNRNSWENFKHLLSNDASQNGMPGDSDSGGVTLIVSVEDTGIGIPLQAQDRVFTPFMQADSSTSRNYGGTGIGLSISKCLVELMGGQINFVSRPQVGSTFTFTVVLQRCNGRAIDDAKRAIPEPLPTSFRGMKSLIIDERPVRGAVTAYHLRRLGITAEVVCNVKTAINMLAGQNGYPKSYGKQPNLIFVEKDSWSSGTDAGLCKQLMQFKQNGRSVELPKVILLVTSEYDKTKTSFEDGVIMKPLRASTVATCLQQVLGAGGVQQRKQTPNGSTFLHNLLVGKNILVVDDNKVNLRVAAGALKKYGANVACAESGKDALSLLQLPHKFDACFMDVQMPEMDGFEATRQIRLMESKVNKEARNTGREGSEKSEWHLPVLAMTADVIQATYEECLKCGMDGYVSKPFDEQQLYQAVAKFLLSKPEQ >DRNTG_07797.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1238059:1243679:1 gene:DRNTG_07797 transcript:DRNTG_07797.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase, Cytokinin signalin [Source: Projected from Oryza sativa (Os03g0717700)] MGYEMGGERRRWWLNRSAMVVLWVVVSLGIWACLHLYIRRVSMRKAEEALVSMCEERARMIQDQFAVSVNHVHALAILISTFHYQKHPSAIDQETFAHYTARTAFERPLLNGVAYAQRVAHMERERFENEQGWIIKTMKHEPSPMQDEYAPVIFSQETVSYIEALDMMSGEEDRENIIRARATGKAVLTNPFRLLGSNHLGVVLTFPVYLSGLPADATVDERVENTAGYLGGAFDVESLVENLLRQLAGSQDIMVNVYDVTNISEPLIMYGPQQPDGYMSLSHVSMLDFGDPFRKHHMECRYSQKPPIPLSAITTPSGVFVICMLAGYILYAAWNRYDNVKEDCRKMEELKVQAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLLDTDLNLTQRDFAQTAQVCGKALISLINEVLDRAKIEAGKLEIEAVPFDLRSILDEVLSLFSAASREKGIELATFVSDRVPEVLTGDPGRFRQIITNLVGNSVKFTERGHIFVQVHLVEHSNMVMDVKSEAHINGHSDEQDHKSNKTLFNTLSGLEAADNRNSWENFKHLLSNDASQNGMPGDSDSGGVTLIVSVEDTGIGIPLQAQDRVFTPFMQADSSTSRNYGGTGIGLSISKCLVELMGGQINFVSRPQVGSTFTFTVVLQRCNGRAIDDAKRAIPEPLPTSFRGMKSLIIDERPVRGAVTAYHLRRLGITAEVVCNVKTAINMLAGQNGYPKSYGKQPNLIFVEKDSWSSGTDAGLCKQLMQFKQNGRSVELPKVILLVTSEYDKTKTSFEDGVIMKPLRASTVATCLQQVLGAGGVQQRKQTPNGSTFLHNLLVGKNILVVDDNKVNLRVAAGALKKYGANVACAESGKDALSLLQLPHKFDACFMDVQMPEMDGFEATRQIRLMESKVNKEARNTGREGSEKSEWHLPVLAMTADVIQATYEECLKCGMDGYVSKPFDEQQLYQAVAKFLLSKPEQ >DRNTG_10804.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17488216:17488464:1 gene:DRNTG_10804 transcript:DRNTG_10804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTKLLVLGFILLLAIGLSSAARTLSEGYTSGGGGGGEGGGGGGGEGGGGSGYGSGSGSGSG >DRNTG_18579.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3480841:3482033:1 gene:DRNTG_18579 transcript:DRNTG_18579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRPTEGQASRNDDELSGREKGPFLSRRGWLSGALQLDGQHSDWDYAAG >DRNTG_09801.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26816571:26820833:1 gene:DRNTG_09801 transcript:DRNTG_09801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDLSIAQAIASVMERRPDLRQEGLGHKVLQWYLCRMEGWFAADADTISLKHWDEEDLLPGGHGLMVRGYRPVLNALARGLDIRLHHRVTKIFRGKKGVEITVENGKTFVVDAAVITVPLGVLKANSIKFEPRLPDWKEEAIADIGVGTENKIALHFDKVFWPNVEFLGVVSSTSYGCSYFLNLHKATGHPVLVYMPAGRLAHDIEKMSDEAAARFAFSQLNEILPDASEPIQYLVSHWGTDVNSLGSYSYCAVGKSSNLHEKLRVPVDNIFFAGEATSLKYTGTVHGAFSTGLMAAEECRMHLLERNGDSEVFHPVSGGEITSISVPLLISRM >DRNTG_09801.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26816571:26820833:1 gene:DRNTG_09801 transcript:DRNTG_09801.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDLSIAQAIASVMERRPDLRQEGLGHKVLQWYLCRMEGWFAADADTISLKHWDEEDLLPGGHGLMVRGYRPVLNALARGLDIRLHHRVTKIFRGKKGVEITVENGKTFVVDAAVITVPLGVLKANSIKFEPRLPDWKEEAIADIGVGTENKIALHFDKVFWPNVEFLGVVSSTSYGCSYFLNLHKATGHPVLVYMPAGRLAHDIEKMSDEAAARFAFSQLNEILPDASEPIQYLVSHWGTDVNSLGSYSYCAVGKSSNLHEKLRVPVDNIFFAGEATSLKYTGTVHGAFSTGLMAAEECRMHLLERNGDSEVFHPVSGGEITSISVPLLISRM >DRNTG_09801.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26816571:26820833:1 gene:DRNTG_09801 transcript:DRNTG_09801.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSYMCHFNLYLHVLCSLVSHIGSRVRVTKIFRGKKGVEITVENGKTFVVDAAVITVPLGVLKANSIKFEPRLPDWKEEAIADIGVGTENKIALHFDKVFWPNVEFLGVVSSTSYGCSYFLNLHKATGHPVLVYMPAGRLAHDIEKMSDEAAARFAFSQLNEILPDASEPIQYLVSHWGTDVNSLGSYSYCAVGKSSNLHEKLRVPVDNIFFAGEATSLKYTGTVHGAFSTGLMAAEECRMHLLERNGDSEVFHPVSGGEITSISVPLLISRM >DRNTG_09801.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26816571:26820833:1 gene:DRNTG_09801 transcript:DRNTG_09801.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSYMCHFNLYLHVLCSLVSHIGSRVRVTKIFRGKKGVEITVENGKTFVVDAAVITVPLGVLKANSIKFEPRLPDWKEEAIADIGVGTENKIALHFDKVFWPNVEFLGVVSSTSYGCSYFLNLHKATGHPVLVYMPAGRLAHDIEKMSDEAAARFAFSQLNEILPDASEPIQYLVSHWGTDVNSLGSYSYCAVGKSSNLHEKLRVPVDNIFFAGEATSLKYTGTVHGAFSTGLMAAEECRMHLLERNGDSEVFHPVSGGEITSISVPLLISRM >DRNTG_11753.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:722732:723415:-1 gene:DRNTG_11753 transcript:DRNTG_11753.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSACYCVVLPKPLVLLVQLLDHMELTVSAALEYLRLRSPTPDDAAHQTFLSDLPGSGIPSVESSAIKQLLPVVSFGSLRTMWEGASSCAVCLGSLKAKDEVRQLGNCRHAFHRACIDRWVDLGRVTCPLCRSHLVSLRHC >DRNTG_11753.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:722732:723317:-1 gene:DRNTG_11753 transcript:DRNTG_11753.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSACYCVVLPKPLVLLVQLLDHMELTVSAALEYLRLRSPTPDDAAHQTFLSDLPGSGIPSVESSAIKQLLPVVSFGSLRTMWEGASSCAVCLGSLKAKDEVRQLGNCRHAFHRACIDRWVDLGRVTCPLCRSHLVSLRHC >DRNTG_11753.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:722570:723317:-1 gene:DRNTG_11753 transcript:DRNTG_11753.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSACYCVVLPKPLVLLVQLLDHMELTVSAALEYLRLRSPTPDDAAHQTFLSDLPGSGIPSVESSAIKQLLPVVSFGSLRTMWEGASSCAVCLGSLKAKDEVRQLGNCRHAFHRACIDRWVDLGRVTCPLCRSHLVSLRHC >DRNTG_11753.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:722732:723366:-1 gene:DRNTG_11753 transcript:DRNTG_11753.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSACYCVVLPKPLVLLVQLLDHMELTVSAALEYLRLRSPTPDDAAHQTFLSDLPGSGIPSVESSAIKQLLPVVSFGSLRTMWEGASSCAVCLGSLKAKDEVRQLGNCRHAFHRACIDRWVDLGRVTCPLCRSHLVSLRHC >DRNTG_11753.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:722570:723366:-1 gene:DRNTG_11753 transcript:DRNTG_11753.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSACYCVVLPKPLVLLVQLLDHMELTVSAALEYLRLRSPTPDDAAHQTFLSDLPGSGIPSVESSAIKQLLPVVSFGSLRTMWEGASSCAVCLGSLKAKDEVRQLGNCRHAFHRACIDRWVDLGRVTCPLCRSHLVSLRHC >DRNTG_11753.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:722148:723366:-1 gene:DRNTG_11753 transcript:DRNTG_11753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSACYCVVLPKPLVLLVQLLDHMELTVSAALEYLRLRSPTPDDAAHQTFLSDLPGSGIPSVESSAIKQLLPVVSFGSLRTMWEGASSCAVCLGSLKAKDEVRQLGNCRHAFHRACIDRWVDLGRVTCPLCRSHLVSLRHC >DRNTG_11753.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:722148:723317:-1 gene:DRNTG_11753 transcript:DRNTG_11753.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSACYCVVLPKPLVLLVQLLDHMELTVSAALEYLRLRSPTPDDAAHQTFLSDLPGSGIPSVESSAIKQLLPVVSFGSLRTMWEGASSCAVCLGSLKAKDEVRQLGNCRHAFHRACIDRWVDLGRVTCPLCRSHLVSLRHC >DRNTG_11753.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:722148:723317:-1 gene:DRNTG_11753 transcript:DRNTG_11753.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSACYCVVLPKPLVLLVQLLDHMELTVSAALEYLRLRSPTPDDAAHQTFLSDLPGSGIPSVESSAIKQLLPVVSFGSLRTMWEGASSCAVCLGSLKAKDEVRQLGNCRHAFHRACIDRWVDLGRVTCPLCRSHLVGLGLF >DRNTG_20311.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5604329:5607086:1 gene:DRNTG_20311 transcript:DRNTG_20311.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPVPRRESPWGLPEGDTRQPQPHRCNDRAEDVIQACFEGNPFKTVPGPFKLFWRCMRSKPGEEPTEPFYYLELEPPIRQEVKLE >DRNTG_20311.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5604329:5607086:1 gene:DRNTG_20311 transcript:DRNTG_20311.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPVPRRESPWGLPEGDTRQPQPHRCNDRAEDVIQHFLSILTVTKCSVSVKRLEEYYIRLVLKEIHLKQSQALSNSFGDACVPSQGKSQRNLSITWSWSRQ >DRNTG_30974.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2471798:2472737:1 gene:DRNTG_30974 transcript:DRNTG_30974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTIVWKNDYVSLTRSRLFDLLEGKEMVADYVMDAFVCIIQNSLTIVPYRYKKRASITRPLALFMSMQEDAHDTTMAMIGDAARNLHDVKIVILPIIMNGHFHVVILDNDKQEYMHYSSCQSKKYDKDALDMRNLFDLCIDMEFVESATAKYPLVHDTETERQKQGSVDCVIYVMRFIEQLLPDEKLRLPQIDVLYLRLKYVPAYLRSGG >DRNTG_28168.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18118322:18119362:-1 gene:DRNTG_28168 transcript:DRNTG_28168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSYNLLQLNVPNDWVPPFHALTIMMCSCRISSVFPAWLKTQTGLEYLCLSEAEISGNVPTWFWNLSSNGLVLLNISHNNLSGILPTLQHSSLEIIDMSHNKFEGLIPDWNSSSLAMIDLSNNSLFGPISLSFAWIEFLSLSHNHINGSMPFFLCNLTILKMLDLSSNNMSGELPHCWNQLSLLKIINLSNNNFFGSIPEAIVSATNLRSLHLQKNSLSGNLPLPLKNAKKLVVLDIGENRLSGTIPSWIGSLVSLVFLRLRSNLFEGSIPEQLLNLSSLQVLDLAQNNLSGVIFLHTFGGF >DRNTG_01966.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12954459:12955292:-1 gene:DRNTG_01966 transcript:DRNTG_01966.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTIQGSFLPSQVIPPASSLRRSPSPSP >DRNTG_21178.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001205.1:82690:86163:-1 gene:DRNTG_21178 transcript:DRNTG_21178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMKMVTVVMLFMVVVVMAGDVTYDGRSLIINGSRRMLFSGSIHYPRSTPEMWPYLISQAKDGGLDVIQTYVFWNVHEPIQGQFNFQGRYDLVRFIKEIRDQGLYVSLRIGPFIESEWKYGGFPFWLHDIPGIVFRSDNEPFK >DRNTG_21178.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001205.1:82909:86163:-1 gene:DRNTG_21178 transcript:DRNTG_21178.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMKMVTVVMLFMVVVVMAGDVTYDGRSLIINGSRRMLFSGSIHYPRSTPEMWPYLISQAKDGGLDVIQTYVFWNVHEPIQGQFNFQGRYDLVRFIKEIRDQGLYVSLRIGPFIESEWKYG >DRNTG_29861.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23067331:23068705:-1 gene:DRNTG_29861 transcript:DRNTG_29861.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEDKRCLSRPSILPLFVKNHPVKAQPPDQSGTVIISREPLLQLCHFLHCPPIFVLPIWQKLIILMPLLLHRQISKVPSQSGKALSSESEGREADKPLLGSEQLNEHLKHVVENGGSDAQNILTKWSIGSRVSNSSQMVRF >DRNTG_35427.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:24755153:24761836:-1 gene:DRNTG_35427 transcript:DRNTG_35427.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTVALMQNLARRRLQSLAPTVASHPAAVVVSSQSFLTLKVALSLPSEERRVPPQSSKECHPQQTQEWVVVSKGGKRHGKGHSLGRNPSGSFSSTSGCARPGPRLNVKRRRSPESPSQPCLRCFRVGHRADECRHLIVCLCCSRAGHTASSCHLPPRSKAGEVSCRGGLTSAQKHGVVLSRDRGPALSQAIWAWPEKSVSIPLSLDIVRAKQELNFVVVASFTSGFISPDNLLELLAAELGVQSIGSSSGICGDSLLLKCLGEKINKKVVKFSDFKCSSAFGLCTVHFRRWSPELGSSSRAIGRTDWVHIWNLPLYCWGWNAVSDLLKSFGEVVTMRRHLEEPSSALSALLRLRPSAELPIMIELSVGMCHFLVLLTDHRSPVPRFNFQIDRFSLLNYEVDLHQAAHQIPAHLKGKQKLVVDGGQKRASRTAADVEDAGGNSSHRPVSLEGGVLQKTDGLTPPVMTTDGLTSPSRERPMGHSQSKERRSYIVVDRDEALRNRSSDVSVDEVSQDPCTLGGTFGYPATAIVPSSGKAFSRHWRLLKHVFTPRDMLLELPSAAMRRDPLLIELSSRIISGFGDIPDHKSWKLTSDGSFSVKSFYKLLIDRGLRCSITPVIFKLFVPQKIATFMWLVWDRKILTLDTLFTRSCNKLPTATCLLCCSAIESIDHLFFRCPLARAIWDCLALPFSFPRAPLSCSDIWGLWYRELSPRLKLPCSLVARVWFLLGKCTSLRSLLLRFGAVSSFQASLARSRISTGMTSFPATCPPDLLLSVGGVPLSSSLCFYLVS >DRNTG_28825.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4123887:4125232:1 gene:DRNTG_28825 transcript:DRNTG_28825.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAITGEAVTGEEDGKDGYGQDLVMPGFRFHPTEEELIDFYLRRKIEGKRFNVELISFLDLYRYDPWELPALATIGEKEWFFYVPRDRKYRNGDRPNRVASNGYWKATGADRIIRNEKSQPIGLKKTLVFYSGKAPKGVRTSWIMNEYRLPPSTDTNINEQQKQKVEISLCKIHQRARHEEKEKEKLPQAKLKDDSGTTSTLASNSTKTIEQVCDSQNESSSFKEPTCLPSSAIMSVEDEFNQIFGYNRGGYVQQQNQLISFMQQPQMLPPNAVAPNSLAAISSDKLWDWNSLQDTANKYTIFK >DRNTG_28825.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4124675:4125232:1 gene:DRNTG_28825 transcript:DRNTG_28825.2 gene_biotype:protein_coding transcript_biotype:protein_coding VEISLCKIHQRARHEEKEKEKLPQAKLKDDSGTTSTLASNSTKTIEQVCDSQNESSSFKEPTCLPSSAIMSVEDEFNQIFGYNRGGYVQQQNQLISFMQQPQMLPPNAVAPNSLAAISSDKLWDWNSLQDTANKYTIFK >DRNTG_04509.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18999823:19002069:1 gene:DRNTG_04509 transcript:DRNTG_04509.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNAWIPSPMAAATRYAIKTLDLLFVDRDLTRQRTSRSHCAAAAAATNTCEDLICRLASIAKRFPGPLSPPPPPPPGAKPRRRHPYHYHALMKAFSRAGQSDEVLRLLRDMKESGCKPNLLCYTTAIHSLVISNRPFEAQSLFQEMLMNGVHPDTISFSILAKSLAFHLKHFDSALTFLGCMVKNGSEPDVVTYSSLIAGFCRAGLVDDALKMFKIMHELKCTPNAHTFTPIIQFYCSRGEIRMARWILDYMHDYSIVPDAVAYNVFVQSLCQARQFEQVETLLRESDENGWMPDSVTYNTYINGLCKAGRVEDAFDQLEVMLGKGFHPTAVTLSILLNLLCSESKVVQVKSLLEKSVLLGWFIGTDGYNTVMSRLSESGQWVAALKLFADMVKKGVSPDTHTFNIVINSLCKGGKLGVAKCMVRSQGFIADSVTYNILYCAFQTAGRIDEFHDLFSNMGLLQDSVTYNIVIDYLCRKGRYSEAIYFLRTLEDSFSPDVVAHLTFGLVKGGKLGEVLSLFRDMLDRGLVIDHCIFDSLIKAFCLKGSCGGMGIYKLCVVLDVMLGIR >DRNTG_04509.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18999823:19002930:1 gene:DRNTG_04509 transcript:DRNTG_04509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAWIPSPMAAATRYAIKTLDLLFVDRDLTRQRTSRSHCAAAAAATNTCEDLICRLASIAKRFPGPLSPPPPPPPGAKPRRRHPYHYHALMKAFSRAGQSDEVLRLLRDMKESGCKPNLLCYTTAIHSLVISNRPFEAQSLFQEMLMNGVHPDTISFSILAKSLAFHLKHFDSALTFLGCMVKNGSEPDVVTYSSLIAGFCRAGLVDDALKMFKIMHELKCTPNAHTFTPIIQFYCSRGEIRMARWILDYMHDYSIVPDAVAYNVFVQSLCQARQFEQVETLLRESDENGWMPDSVTYNTYINGLCKAGRVEDAFDQLEVMLGKGFHPTAVTLSILLNLLCSESKVVQVKSLLEKSVLLGWFIGTDGYNTVMSRLSESGQWVAALKLFADMVKKGVSPDTHTFNIVINSLCKGGKLGVAKCMVRSQGFIADSVTYNILYCAFQTAGRIDEFHDLFSNMGLLQDSVTYNIVIDYLCRKGRYSEAIYFLRTLEDSFSPDVVAHLTFGLVKGGKLGEVLSLFRDMLDRGLVIDHCIFDSLIKAFCLKGSCGGMGIYKLCVVLDVMLGIR >DRNTG_04509.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18999823:19002887:1 gene:DRNTG_04509 transcript:DRNTG_04509.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAWIPSPMAAATRYAIKTLDLLFVDRDLTRQRTSRSHCAAAAAATNTCEDLICRLASIAKRFPGPLSPPPPPPPGAKPRRRHPYHYHALMKAFSRAGQSDEVLRLLRDMKESGCKPNLLCYTTAIHSLVISNRPFEAQSLFQEMLMNGVHPDTISFSILAKSLAFHLKHFDSALTFLGCMVKNGSEPDVVTYSSLIAGFCRAGLVDDALKMFKIMHELKCTPNAHTFTPIIQFYCSRGEIRMARWILDYMHDYSIVPDAVAYNVFVQSLCQARQFEQVETLLRESDENGWMPDSVTYNTYINGLCKAGRVEDAFDQLEVMLGKGFHPTAVTLSILLNLLCSESKVVQVKSLLEKSVLLGWFIGTDGYNTVMSRLSESGQWVAALKLFADMVKKGVSPDTHTFNIVINSLCKGGKLGVAKCMVRSQGFIADSVTYNILYCAFQTAGRIDEFHDLFSNMGLLQDSVTYNIVIDYLCRKGRYSEAIYFLRTLEDSFSPDVVAHLTFGLVKGGKLGEVLSLFRDMLDRGLVIDHCIFDSLIKAFCLKGSCGGMGIYKLCVVLDVMLGIR >DRNTG_09480.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21442492:21444048:-1 gene:DRNTG_09480 transcript:DRNTG_09480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWEVLKSFSLEHQKKFLKFVTGCSRGPLLGFKYLEPKFCIQRVAPLNVTEDDLDRLPTSATCMNLLKLPPYRSKEQMQSKLLYAINAEAGFDLS >DRNTG_09480.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21442492:21447179:-1 gene:DRNTG_09480 transcript:DRNTG_09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEGILVDLPFAMYFLSKLKQKYNYLNDLPSLDPEFYCHLLFLKHYQGDISELELYFVVVINEYGEQTEEDLLPGGKDTRVTNENVITYIHLIANHRLNYQIRHQSLHFLRGFQQLIQKEWIEMFSEHEIQAHWKAWMLMTYVLMHIILVVIIRIIV >DRNTG_31874.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21106776:21109260:1 gene:DRNTG_31874 transcript:DRNTG_31874.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKAFTIRTRKFMTNRLLSRKQFVIDVLHPGRANVSKAELKDKLAKMYEVKDENSIFVFKFRTHFGGGKSTGYGLIYDSVEKREEIRA >DRNTG_33872.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26238498:26239642:1 gene:DRNTG_33872 transcript:DRNTG_33872.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCCGITTTSEPRQRRPEENKQMSKPARKDQANVKRSNRRRNIDVIDGEIGPDDDDRKKTGKCRNRQERIKQTSNEIIDGETLTS >DRNTG_23218.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7817220:7823000:1 gene:DRNTG_23218 transcript:DRNTG_23218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRSHRHTSHGPEVSGSISNLGSGGPVGQRGANDVMVELERAHDLHRPIPHRQDLWARASANLSGIRL >DRNTG_04596.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5011582:5013820:1 gene:DRNTG_04596 transcript:DRNTG_04596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRMRKEEEEVKQVEEEEEEKVRVLVVDDSPLDRRIVEMMLNRCGGFFEVIAVESGVKAIEVLGLNEGKADCPIVNVQKIDIILTDYCMPGMTGYDLLKAVKENNHPGSIPVVIMSSENDPQRIKSCQAVGAEDFFLKPLKVQDMQSLKSYAKPTGSSPITGTKRKVTLDLIAEADSSGRRPRLAGVAVA >DRNTG_11921.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:491002:493641:1 gene:DRNTG_11921 transcript:DRNTG_11921.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome complex subunit 8 [Source:Projected from Arabidopsis thaliana (AT4G14110) UniProtKB/Swiss-Prot;Acc:P43255] MDLSELHGALASKSYDKIGELCDELLIQVASRGIAFQEEWPYAIHLIGHIYANDLNSARFLWKSIPEGIKKSRPEIMAAWKIGQCLWTRDYAGVYGAVRGFEWSPEAVALVTAFAENYTNKMFNLLVSAYSTISVADAAHCLGMSENEATNYVLQHGWTLDSSSAMLIVKKPSITKEQKLDSSKLQRLTEYVFHLEH >DRNTG_04359.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30996097:30996572:-1 gene:DRNTG_04359 transcript:DRNTG_04359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKPIFRQPSFEPRIDSSISSEMKPKTREATT >DRNTG_31173.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17737707:17738655:-1 gene:DRNTG_31173 transcript:DRNTG_31173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIPIGRKVDLNAYDNYEELSLAVDNLFRGLLSAQRDLKTVEEKLVLTGLLDGSGEYTLVYEDTEGDRMLVGDDVPWNMFVSTAKRLRVLKTSDLSGLSLGVMSRKRTAPESCTR >DRNTG_00683.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30107053:30110235:-1 gene:DRNTG_00683 transcript:DRNTG_00683.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSIKKTITKKKHMSESDDVSSARGKEKRATMNTEEKKKSQKRPKANNKEKEKKRKGASFSSSSSSTSSTSWGAVATCSFPMSRVWRLVRSEGCQGSAIDTRTTQDAVFLINKAAEMFLEKFTEDVYANAKHKKSVAYKNLSSTVYKEKKYEFLSDFIPEKLRGEDALKAKAE >DRNTG_00683.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30107053:30110235:-1 gene:DRNTG_00683 transcript:DRNTG_00683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSIKKTITKKKHMSESDDVSSARGKEKRATMNTEEKKKSQKRPKANNKEKEKKRKGASFSSSSSSTSSTSWGAVATCSFPMSRVWRLVRSEGCQGSAIDTRTTQDAVFLINKAAEMFLEKFTEDVYANAKHKKSVAYKNLSSTVYKEKKYEFLSDFIPEKLRGEDALKAKAE >DRNTG_32688.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:10569365:10570129:-1 gene:DRNTG_32688 transcript:DRNTG_32688.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRNLHHSDVVLDGTNYIAWRLTIKRILDGIHVLRHVDGTCPAPTAPSLSDTVASTEASALLTAFEQQFEKWAADDSTAKMMICQTITLDIHTQITDLPTAQEMWDYLERHYCGSSQAQLYTLYQALSSLQQGEDKVDLFYSRYCALWRQIDALTPPYCIVHVALILTCSESCAHRHSHDETRHMYEFIMCLRPSSSKLGLSYFMPPQSILWMRPSPLLVLRKPVSRLLSQGVVLLLSLNFLLSPHPPRLLDP >DRNTG_19885.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18281565:18282260:-1 gene:DRNTG_19885 transcript:DRNTG_19885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTDHYRSQYDHPDSAPEEGERVEDHEYEYRRSERSLSREYEH >DRNTG_04299.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30092497:30094044:1 gene:DRNTG_04299 transcript:DRNTG_04299.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKVSSRCGIRTINLHGNKLEGVVPSSWVNCAELRVLDLGRNKLADSFPHWLMNLPALKVLVLKENKFSGHLTGICEGNHSFMMLQIFDISSNHFTGSLPSECFKSMKAMMVHQGHMETIGYRNISLGLPYYQDTITVDLKGFELKLVKIFTTFTSIDLSDNRFVGNIPQVFGDLKSLHSLNMSLNGFTGEIPRVLGDMMDLEALDLSRNQLSGVIPNSLTSLTFLAFLNLSNNNLVGQVPQGYQFSTFSNSSFGGNPGLCGSPLSRNCINSTRVEPSSDSKNTPTEVDMDEIWFWMFTGLGYGVGFASAIMYQLFFPKWKKWYKRRFMNR >DRNTG_04299.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30091971:30094044:1 gene:DRNTG_04299 transcript:DRNTG_04299.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYLGSNNFGDSVVELELFGHLQHLSDLDLSGINVLISNQIADSSGLFPSLTQLKLGSCNLTAIPSFLKRKKNMLVLDLSKNKINGTIPNWIWSIGSGFIGSIDLSFNLFTDIEKPFLKNSNNLEALDLSSNRIGGAIPSWILSFNLSNLNLSCNQFTSVEGSFSNSLTDSVAIDLHSNLLQGPIPLPPPNSTIFVDYSNNLFTSSIPFNISYYLKKTIFFSLSNNSLTGEVPSSICSATELIIFDISHNNLSGSLPACLLESLIRLRVLNARENSFQGSMPEKVSSRCGIRTINLHGNKLEGVVPSSWVNCAELRVLDLGRNKLADSFPHWLMNLPALKVLVLKENKFSGHLTGICEGNHSFMMLQIFDISSNHFTGSLPSECFKSMKAMMVHQGHMETIGYRNISLGLPYYQDTITVDLKGFELKLVKIFTTFTSIDLSDNRFVGNIPQVFGDLKSLHSLNMSLNGFTGEIPRVLGDMMDLEALDLSRNQLSGVIPNSLTSLTFLAFLNLSNNNLVGQVPQGYQFSTFSNSSFGGNPGLCGSPLSRNCINSTRVEPSSDSKNTPTEVDMDEIWFWMFTGLGYGVGFASAIMYQLFFPKWKKWYKRRFMNR >DRNTG_04299.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30092497:30094087:1 gene:DRNTG_04299 transcript:DRNTG_04299.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKVSSRCGIRTINLHGNKLEGVVPSSWVNCAELRVLDLGRNKLADSFPHWLMNLPALKVLVLKENKFSGHLTGICEGNHSFMMLQIFDISSNHFTGSLPSECFKSMKAMMVHQGHMETIGYRNISLGLPYYQDTITVDLKGFELKLVKIFTTFTSIDLSDNRFVGNIPQVFGDLKSLHSLNMSLNGFTGEIPRVLGDMMDLEALDLSRNQLSGVIPNSLTSLTFLAFLNLSNNNLVGQVPQGYQFSTFSNSSFGGNPGLCGSPLSRNCINSTRVEPSSDSKNTPTEVDMDEIWFWMFTGLGYGVGFASAIMYQLFFPKWKKWYKRRFMNR >DRNTG_04299.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30091971:30094087:1 gene:DRNTG_04299 transcript:DRNTG_04299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYLGSNNFGDSVVELELFGHLQHLSDLDLSGINVLISNQIADSSGLFPSLTQLKLGSCNLTAIPSFLKRKKNMLVLDLSKNKINGTIPNWIWSIGSGFIGSIDLSFNLFTDIEKPFLKNSNNLEALDLSSNRIGGAIPSWILSFNLSNLNLSCNQFTSVEGSFSNSLTDSVAIDLHSNLLQGPIPLPPPNSTIFVDYSNNLFTSSIPFNISYYLKKTIFFSLSNNSLTGEVPSSICSATELIIFDISHNNLSGSLPACLLESLIRLRVLNARENSFQGSMPEKVSSRCGIRTINLHGNKLEGVVPSSWVNCAELRVLDLGRNKLADSFPHWLMNLPALKVLVLKENKFSGHLTGICEGNHSFMMLQIFDISSNHFTGSLPSECFKSMKAMMVHQGHMETIGYRNISLGLPYYQDTITVDLKGFELKLVKIFTTFTSIDLSDNRFVGNIPQVFGDLKSLHSLNMSLNGFTGEIPRVLGDMMDLEALDLSRNQLSGVIPNSLTSLTFLAFLNLSNNNLVGQVPQGYQFSTFSNSSFGGNPGLCGSPLSRNCINSTRVEPSSDSKNTPTEVDMDEIWFWMFTGLGYGVGFASAIMYQLFFPKWKKWYKRRFMNR >DRNTG_32985.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28723639:28725137:-1 gene:DRNTG_32985 transcript:DRNTG_32985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHQSHASSSPKPSVFSKSHVLRARDREEHQRHSSKAFEDRSFWRFRS >DRNTG_04834.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18194349:18194825:-1 gene:DRNTG_04834 transcript:DRNTG_04834.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGKQDALNIGPLNKESTVKKLQLKKETCDLWTGEWIPNSEGPGYTHETCNQIPPYVNCVNNGRPDTGYLHWGWKPNACDLPPIDPLKFLNAMRNKSFAFIGSSICHNQVFSLICQLSEVKM >DRNTG_05967.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20482004:20485664:-1 gene:DRNTG_05967 transcript:DRNTG_05967.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKARSYMDPFQGSNPEKKKKKKERKRKRKKKKLGGVVQTWEGVPSLARNPRETRSGGCDIRSLPGCAYLSIDHWEVRVVTPNNPTISKSGFYAPT >DRNTG_00658.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16427628:16428380:-1 gene:DRNTG_00658 transcript:DRNTG_00658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVNPSSSAYIHQPPSDQDKSPSKELTTAVDEPPSTSSASARRPRGRPSGSKNKPKPPIIVTRDNPSALRSHVLEVSSGADVVESLSEYARRRGRGVCVLSGGGAVVNVGLRQPGASPPGSVVATLRGRFEILSLTGTVLPPPAPPGAGGLTVFLAGGQGQVVGGSVVAPLVAAGPVVLMVASFGNAIYERLPLEGDDDGTVQQQLPDASQSSSVTGGEGGAAPFHGLGGGFQFPGDVGGWVGGARPPF >DRNTG_30934.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28123056:28129533:-1 gene:DRNTG_30934 transcript:DRNTG_30934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPDSASASPRATAVDHSSSPRSPTSDDRVKPTHIRFLVSNMAAGSIIGKGGSTITEFQSQSHARIQLSRNHEFFPGTSDRVIMISGAFDEIMKAMELILEKLLAEGEDGNDVDSRSTVRLVVPNNSCGGIIGKGGATIKSFIEDSQAHIKISPQDHNFVGLNDRLVTLTGSLEELLRAVDLILTKLTEDAYYLRSIYSPWPYVGVKLPGYQGVPNGYPMAPPAYNAMNYGPNGVGGKYPSKKVVSARSPAGPNEYQGDSVTIGIADEHIGVVVGRGGRNIMEITQGSGARIKISDRGDFMPGTSDRKVTITGSPEAIHIAEDMIKQKISTMSEN >DRNTG_01838.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:17344374:17346261:1 gene:DRNTG_01838 transcript:DRNTG_01838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWLSIRQQLTPPLTETRRGKRAWCSGIAKLMPSDSEDESHCYFSACFFDLETENPYGRVEIPHGRAFRTRPWSPPIPALFKADFSPVFNILFSIFSPTCERTSARVSRGIGQCFGEVLRLQHHDSIRKKVGRGASIEAYPIPDEGIFGRR >DRNTG_13581.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2001243:2001683:-1 gene:DRNTG_13581 transcript:DRNTG_13581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLYPKVLGFNPSERWGHSSCFFDGVVYVFG >DRNTG_03195.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18963674:18965553:1 gene:DRNTG_03195 transcript:DRNTG_03195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFGLPSSSSRIVLHQAIIEKAAAFARARAMSSASKRRKIEEKGSVTESASIGKAAPSVSVGRSEGIDLMVQQAKKFAVTQAQQEGCLGNYKSVDSPFGNYLVPVIPTHTDLYR >DRNTG_30309.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16615703:16621701:1 gene:DRNTG_30309 transcript:DRNTG_30309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFLFKISKRSSVPLRMVLVLFVTIFGVFVFTVCIKQITIENKSNNIVLATEKERNHCNLHASAQNELPQIHFPRPKTYSRGECSCNPVRFFVLFSMQRCGSGWFETLLNSHPNISSHGEIFSVKERRINISSIIRTLDGVYNLDWFSSASKNECVAAVGFKWMLNQGVFDNHKEILDYFNLKGVSVIFLFRRNLLRRLISVLANDYDRHAKQLNGIHKSHVHTKQEAEVLAQFKPIINTTALIANLRSVERTMTDCLQLFRSTHHIILYYEGIFKNHKALVQIQEFLGVPVRKLQSRQVKIHLRPPPEQIMNWKDVYITLNGTQYEHFLHQADYAN >DRNTG_11016.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29403412:29413374:1 gene:DRNTG_11016 transcript:DRNTG_11016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTTVSRPGKQGIEEDSIYPEVVRVSSNSKMTKDVHVPVEPLLAIKDGSKDFNSVADGQGVMEKSEIQLFGSVGVSQQRTSYANKLCEKVSSLGVMMQLMVVSDGPYASLDSTCNLLYQPLRFAICVSAYGYKVARKSVGKCRVLVHREENQELNRSLLENRVLRPCTPNGSRSCRLRRKRWTISFVVLLLVTALMGIHYGRKLL >DRNTG_07447.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19679107:19680941:-1 gene:DRNTG_07447 transcript:DRNTG_07447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAQSRENIASVVIDVLEECEELLIEVGRKYRSALSIDGNDVRALYNLGLALSLHAQLIADIGLEAAFDADKEAIAKFDAMVSRSNAYAPDALFRWAIALFSSAFT >DRNTG_21509.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17865384:17869429:1 gene:DRNTG_21509 transcript:DRNTG_21509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLTRVTSGLTTTPPIRPDHRTPHCSVGLKSAVTIKSKCHNVILSNSNSRNPTYDMNQSSHLEMRSQVNNFLPLGGSFATVR >DRNTG_31710.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16605427:16606601:1 gene:DRNTG_31710 transcript:DRNTG_31710.7 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAETYTGSSYNLISKNCNHFCDEVCLRLTSSSIPRWVNRLARLGFPLLYLSKPLSNSSIPISSSMSISDLRSSPRFSLQLRAAGGDQHGSRSPERIGEHGEQRRREAQAEEQLKQVHPFYCSSSSSYSYSHSFFYNYYYDDDF >DRNTG_31710.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16605427:16606718:1 gene:DRNTG_31710 transcript:DRNTG_31710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRVFSSKRRDGSVPVYLNVYDLTPINGYAYWLGLGVYHSGVQVHGVEYAYGAHENPTTGIFEGEPRQCPGFAFRKSILIGRTDLGPRQVRELMESLAETYTGSSYNLISKNCNHFCDEVCLRLTSSSIPRWVNRLARLGFPLLYLSKPLSNSSIPISSSMSISDLRSSPRFSLQLRAAGGDQHGSRSPERIGEHGEQRRREAQAEEQLKQVHPFYCSSSSSYSYSHSFFYNYYYDDDF >DRNTG_31710.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16605427:16606601:1 gene:DRNTG_31710 transcript:DRNTG_31710.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRVFSSKRRDGSVPVYLNVYDLTPINGYAYWLGLGVYHSGVQVHGVEYAYGAHENPTTGIFEGEPRQCPGFAFRKSILIGRTDLGPRQVRELMESLAETYTGSSYNLISKNCNHFCDEVCLRLTSSSIPRWVNRLARLGFPLLYLSKPLSNSSIPISSSMSISDLRSSPRFSLQLRAAGGDQHGSRSPERIGEHGEQRRREAQAEEQLKQVHPFYCSSSSSYSYSHSFFYNYYYDDDF >DRNTG_31710.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16605427:16606718:1 gene:DRNTG_31710 transcript:DRNTG_31710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRVFSSKRRDGSVPVYLNVYDLTPINGYAYWLGLGVYHSGVQVHGVEYAYGAHENPTTGIFEGEPRQCPGFAFRKSILIGRTDLGPRQVRELMESLAETYTGSSYNLISKNCNHFCDEVCLRLTSSSIPRWVNRLARLGFLCNCVLPAGISMEAVRRKGSENTANKDGEKRKLRSNSSRCIPSTAPPPPPTPTPTPSSTTTTTTTTSNRVTRVPSSRRRKSSSQAPPLAGASPAGKG >DRNTG_31710.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16605427:16606887:1 gene:DRNTG_31710 transcript:DRNTG_31710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRVFSSKRRDGSVPVYLNVYDLTPINGYAYWLGLGVYHSGVQVHGVEYAYGAHENPTTGIFEGEPRQCPGFAFRKSILIGRTDLGPRQVRELMESLAETYTGSSYNLISKNCNHFCDEVCLRLTSSSIPRWVNRLARLGFLCNCVLPAGISMEAVRRKGSENTANKDGEKRKLRSNSSRCIPSTAPPPPPTPTPTPSSTTTTTTTTSNRVTRVPSSRRRKSSSQAPPLAGASPAGKG >DRNTG_31710.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16605427:16606601:1 gene:DRNTG_31710 transcript:DRNTG_31710.8 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAETYTGSSYNLISKNCNHFCDEVCLRLTSSSIPRWVNRLARLGFLCNCVLPAGISMEAVRRKGSENTANKDGEKRKLRSNSSRCIPSTAPPPPPTPTPTPSSTTTTTTTTSNRVTRVPSSRRRKSSSQAPPLAGASPAGKG >DRNTG_31710.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16605427:16606601:1 gene:DRNTG_31710 transcript:DRNTG_31710.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRVFSSKRRDGSVPVYLNVYDLTPINGYAYWLGLGVYHSGVQVHGVEYAYGAHENPTTGIFEGEPRQCPGFAFRKSILIGRTDLGPRQVRELMESLAETYTGSSYNLISKNCNHFCDEVCLRLTSSSIPRWVNRLARLGFLCNCVLPAGISMEAVRRKGSENTANKDGEKRKLRSNSSRCIPSTAPPPPPTPTPTPSSTTTTTTTTSNRVTRVPSSRRRKSSSQAPPLAGASPAGKG >DRNTG_31710.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16605427:16606718:1 gene:DRNTG_31710 transcript:DRNTG_31710.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAETYTGSSYNLISKNCNHFCDEVCLRLTSSSIPRWVNRLARLGFLCNCVLPAGISMEAVRRKGSENTANKDGEKRKLRSNSSRCIPSTAPPPPPTPTPTPSSTTTTTTTTSNRVTRVPSSRRRKSSSQAPPLAGASPAGKG >DRNTG_31710.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16605296:16606718:1 gene:DRNTG_31710 transcript:DRNTG_31710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRVFSSKRRDGSVPVYLNVYDLTPINGYAYWLGLGVYHSGVQVHGVEYAYGAHENPTTGIFEGEPRQCPGFAFRKSILIGRTDLGPRQVRELMESLAETYTGSSYNLISKNCNHFCDEVCLRLTSSSIPRWVNRLARLGFLCNCVLPAGISMEAVRRKGSENTANKDGEKRKLRSNSSRCIPSTAPPPPPTPTPTPSSTTTTTTTTSNRVTRVPSSRRRKSSSQAPPLAGASPAGKG >DRNTG_31345.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2833662:2836252:-1 gene:DRNTG_31345 transcript:DRNTG_31345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSPTTLKPHAVCMPCIGLGHVNPMLKLAKLLHSYHGFHITFVLTELVNKNGCNTASSLHSLPDFQFVTIQDGLNPTTGHDHHVPDVSSVIFSIQHKLLDPLRVLLAKLNHFSSNVPPVTCIVSDACMSFTLDVAAEIGVPDVFFCCSGACAYMSNLHLDQLIDSGLVPLKSGNDLSNGYLETEVDCIPGMKRLRLKDFSTCIRTTDIGDIVLNFCMSEARRARESSAIILNTFDSLEQSTLDAMRELKLPPIYTIGPLTLLNDQRLSLREWEEDEDCVEWLNGRVSRSVMYVNFGSGVVLSKDQLVEFAWGLANSEHEFLWVIRPNLVHGYGYHDSAAALPQEFMDEIKERGRVSSWCAQEKVLKHSSIRVFLTHCGWNSIMESISNGVPMLCWPCFADQQMNCKYVCHEWGVGMEIENDVKREKVERLIREVMDGDIGKEMKKKVVEFKELAERAVKPGGSSFENFNKVVMDVLLQS >DRNTG_31345.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2833662:2836090:-1 gene:DRNTG_31345 transcript:DRNTG_31345.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSPTTLKPHAVCMPCIGLGHVNPMLKLAKLLHSYHGFHITFVLTELVNKNGCNTASSLHSLPDFQFVTIQDGLNPTTGHDHHVPDVSSVIFSIQHKLLDPLRVLLAKLNHFSSNVPPVTCIVSDACMSFTLDVAAEIGVPDVFFCCSGACAYMSNLHLDQLIDSGLVPLKSGNDLSNGYLETEVDCIPGMKRLRLKDFSTCIRTTDIGDIVLNFCMSEARRARESSAIILNTFDSLEQSTLDAMRELKLPPIYTIGPLTLLNDQRLSLREWEEDEDCVEWLNGRVSRSVMYVNFGSGVVLSKDQLVEFAWGLANSEHEFLWVIRPNLVHGYGYHDSAAALPQEFMDEIKERGRVSSWCAQEKVLKHSSIRVFLTHCGWNSIMESISNGVPMLCWPCFADQQMNCKYVCHEWGVGMEIENDVKREKVERLIREVMDGDIGKEMKKKVVEFKELAERAVKPGGSSFENFNKVVMDVLLQS >DRNTG_05029.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5672479:5673663:1 gene:DRNTG_05029 transcript:DRNTG_05029.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKIELIHIFYGVKEQVGGKGSGVSTRRLTLESYLRVKGVMSSFSRSSLFSSMLYPPMLDDETVGEDKASLQDHRYGLR >DRNTG_24889.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:313953:320447:1 gene:DRNTG_24889 transcript:DRNTG_24889.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aminoacyl-tRNA and biotin synthetases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G17300) TAIR;Acc:AT4G17300] MMVPAAASLSPASFSRSRALSTARLLFCFSSNPRTSLRRLRTPVHLPSSPPPSIPLRRCYIPAISPDISYPRWRCFCSGAAVSAGAEVSEKAEAEVAEKVREFKKRLRIFDVKGGEDEGVEWIGKEIVIRGWVRTCRVQSSVTFIEVNDGSCLSNMQCVMSSDAEGYSEVEIADITTGASVLVEGIVVSSQGAKQKVELKVARLNVIGKSDPLAYPIQKKRASREFLRTTAHLRPRTNTFGAVTRVRNALAYATHKFFQENGFVWISSPIITASDCEGAGEQFCVTTLLSKTGDGTECSVSSIPTSVDGTIDWSQDFFGKPAFLTVSGQLNAESYATALSDVYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLNDDMACATAYLQ >DRNTG_24889.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:313953:320447:1 gene:DRNTG_24889 transcript:DRNTG_24889.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aminoacyl-tRNA and biotin synthetases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G17300) TAIR;Acc:AT4G17300] MMVPAAASLSPASFSRSRALSTARLLFCFSSNPRTSLRRLRTPVHLPSSPPPSIPLRRCYIPAISPDISYPRWRCFCSGAAVSAGAEVSEKAEAEVAEKVREFKKRLRIFDVKGGEDEGVEWIGKEIVIRGWVRTCRVQSSVTFIEVNDGSCLSNMQCVMSSDAEGYSEVEIADITTGASVLVEGIVVSSQGAKQKVELKVARLNVIGKSDPLAYPIQKKRASREFLRTTAHLRPRTNTFGAVTRVRNALAYATHKFFQENGFVWISSPIITASDCEGAGEQFCVTTLLSKTGDGTECSVSSIPTSVDGTIDWSQDFFGKPAFLTVSGQLNAESYATALSDVYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLNDDMACATAYLQYVVNYVLQNCKEDMEFFDAWIEKGIINRLTDVVEKDFVQLTYSDAVEILCRAKKKFEFPVKWGLDLQSEHERYITEEVYAGQPVIIRDYPKEIKAFYMRLNDDGKTVAAMDLLVPHVGELIGGSQREERLELLESRLDELKLNKDSYWWYLDLRRFGSVPHAGFGLGFERLVQFATGMDNIRDAIPFPRTPGSAEF >DRNTG_26478.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:578725:583667:1 gene:DRNTG_26478 transcript:DRNTG_26478.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAVVMAPVHTRSSLELMLELIQKRDELAKDAPPALPSRPTSRGRLPSSRRSLPLNFKTRSISPEPSVKEGSDKMEMKREPLVKVDKEFPVQNRFFESHNKLEKVGQLEESSLYANKPQAERSDEDNSREIGRLIYQMSGSEKVADKILMKIAQSEDVSCQALLQIIGVEKCPYGLHACSSYEDLKKGATLFQSFVRGEIARRTFQFLMKRLMAVVIIQKYVRRWIARTKFFNQKEDIIHLQAVVRGQLAQKQSIILKNLRMSNKLNEASSNWHLHKNFQETKEYVLVHHSVMEDLQMRVLKAEASLREKEGIHLSFQHQLEQYEMKMILMEEMWQKKMSLQDLNERHFEAHHSVKTELQREILKAKGALMQKEEENIKLQQDLHQYEVKWSQYEMKMKSMEEKWQKQLTSLQLSLAAARKRLADDSVSLPEKSDSSSLWHSYDSESSMSTEARSPESTLAKHCHASGAGIRNDFTRKQKAVNVLVQEFEQRKQAFEDDVRFLMEAKSQQLDRSPNPDVELQKLKIEFTSWKKDYKVRLREGKSAIHKLSKTRKDKPKKNWWCT >DRNTG_26478.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:578725:583667:1 gene:DRNTG_26478 transcript:DRNTG_26478.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAVVMAPVHTRSSLELMLELIQKRDELAKDAPPALPSRPTSRGRLPSSRRSLPLNFKTRSISPEPSVKEGSDKMEMKREPLVKVDKEFPVQNRFFESHNKLEKVGQLEESSLYANKPQAERSDEDNSREIGRLIYQMSGSEKVADKILMKIAQSEDVSCQALLQIIGVEKCPYGLHACSSYEDLKKGATLFQSFVRGEIARRTFQFLMKRLMAVVIIQKYVRRWIARTKFFNQKEDIIHLQAVVRGQLAQKQSIILKNLRMSNKLNEASSNWHLHKNFQETKEYVLVHHSVMEDLQMRVLKAEASLREKEGIHLSFQHQLEQYEMKMILMEEMWQKKMSLQDLNERHFEAHHSVKTELQREILKAKGALMQKEEENIKLQQDLHQYEVKWSQYEMKMKSMEEKWQKQLTSLQLSLAAARKRLADDSVSLPEKSDSSSLWHSYDSESSMSTEARSPESTLAKHCHASGAGIRNDFTRKQKAVNVLVQEFEQRKQAFEDDVRFLMEAKSQQLDRSPNPDVELQKLKIEFTSWKKDYKVRLREGKSAIHKLSKTRKDKPKKNWWCT >DRNTG_26478.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:578725:583667:1 gene:DRNTG_26478 transcript:DRNTG_26478.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAVVMAPVHTRSSLELMLELIQKRDELAKDAPPALPSRPTSRGRLPSSRRSLPLNFKTRSISPEPSVKEGSDKMEMKREPLVKVDKEFPVQNRFFESHNKLEKVGQLEESSLYANKPQAERSDEDNSREIGRLIYQMSGSEKVADKILMKIAQSEDVSCQALLQIIGVEKCPYGLHACSSYEDLKKGATLFQSFVRGEIARRTFQFLMKRLMAVVIIQKYVRRWIARTKFFNQKEDIIHLQAVVRGQLAQKQSIILKNLRMSNKLNEASSNWHLHKNFQETKEYVLVHHSVMEDLQMRVLKAEASLREKEGIHLSFQHQLEQYEMKMILMEEMWQKKMSLQDLNERHFEAHHSVKTELQREILKAKGALMQKEEENIKLQQDLHQYEVKWSQYEMKMKSMEEKWQKQLTSLQLSLAAARKRLADDSVSLPEKSDSSSLWHSYDSESSMSTEARSPESTLAKHCHASGAGIRNDFTRKQKAVNVLVQEFEQRKQAFEDDVRFLMEAKSQQLDRSPNPDVELQKLKIEFTSWKKDYKVRLREGKSAIHKLSKTRKDKPKKNWWCT >DRNTG_12397.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:12901388:12905087:-1 gene:DRNTG_12397 transcript:DRNTG_12397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCARFPQKSSPEDGRKASRKCGGSGPNGQGNVTLICPSNIMYGELYMSGRTSTKKMEGSPSSLNALLG >DRNTG_03106.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15659627:15659979:-1 gene:DRNTG_03106 transcript:DRNTG_03106.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDLLRWSEKREVKRGERRNHLKSKLQNLLELATTTTNNRKKKK >DRNTG_03106.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15659627:15662380:-1 gene:DRNTG_03106 transcript:DRNTG_03106.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEKPSEIQAPKPPRVWKSDKMRRRKLATTTTRFSTGGLPEVCRRRSQLSLSLSKRIEERMLKAW >DRNTG_26175.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29199217:29202002:-1 gene:DRNTG_26175 transcript:DRNTG_26175.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14S [Source:Projected from Arabidopsis thaliana (AT5G27550) UniProtKB/Swiss-Prot;Acc:F4K4C5] MGEEQASAMEAPNSLSPASQKGFCGPVQENEDHQPLESDQLDRGVMISLFDGTVEQNGEALEKETNQLNIQEPKPNIYQDKEEIGDTTDNTIDTFMDDCTIPSPALKDNGMSSFFGPDLSTALQLLGTKYNSLMKRYQEGSSRLQALEAECVTLSNKCLNECQPRYENLKEKYTLECAERKCLYNELIELKGNIRVFCRCRPLNPEEASKGYSSVLELDPSQDMELQIVCTDSSRKKFRFDHVFGPLDNQEAVFAETLPVVRSALDGYNVCIFAYGQTGTGKTYTMEGTSEDRGVNYRALGELFQSSMERCSTMKYEFSISMLEVYNEKIRDLLAENSDQHAKKLEIKQTADGTQDVPGLVEAPVNSVDEVWSMLKTGGRNRSVGSTNANELSSRSHCLVRVIIKSENMLNGHQCRSNMWLVDLAGSERVGKIEVEGERLKESQFINKSLSALGDVISALASRNPHIPYRNSKLTHLLQSSL >DRNTG_24358.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:449089:452455:1 gene:DRNTG_24358 transcript:DRNTG_24358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPDWHGEMDETHLMGALGNSKCWPPGFRFHPTDEELVLYYLKRKICGRRIKSPMIGDVDVYKHEPWELPEKSVLRSGDKQWYFFTPRDRKYPNGSRSNRATKRGYWKATGKDRSISQNSKPVGNKKTLVYYQGRAPKGQRTDWVMHEYAMEEQALVGFINVQDCYALYKVFRKSGPGPKNGEQYGAPFIEEEWHDDETADECVNSLIDWEPPLCEHDNCDSTQAVGTNVLPIDNLEHLLLQMSDQLDTDPQLHEGSAYVSEFVVETEIGSCIVPQSQIKPCSLVDTDTWCEPSVVETSSPECRFYFYMLSTH >DRNTG_35390.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1669929:1675758:1 gene:DRNTG_35390 transcript:DRNTG_35390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESKTKYDRQLRIWGEQGQAALEKASICLLNCGPTGSEALKNLVLGGIGSITVVDGSKVEAFDLGNNFMLDEESLGQSKAKCVCAFLQELNDAVKAKFVEESPETLIETKPSFFSQFTLVIATQILESYLLKLDKICWQANVMLVVARSYGLTGLVRISMKEHVVIESKPDHFLDDLRLHNPWPELKQYANTFDLNVKDAVVHKHIPYIIILINIAEEWANSHGGNLPSTRQEKRDFKDQVKGHMLNFDEENYREAIDASFKVSASRGISSHIQQIISDSAAEVGSTSPDFWVLVAALKEFIENEGDGEPPLEGSIPDMTSLTEYYINLQKIYQAKAESDCLAMEHHVRSLLKKIGRDPESISKAYIKNFCKNARKLSICRYRQLEDEYNSPVLPELQKYLTDEDYSFAVGFYILLRAVDRFAANYNRFPGMFDGDMDEDISWLKTIAVGMLNDLGCHGSAIIPEDLINEMCRFGGAELHAVAALIGGIASEEVIKLVTKQFVPLTGTFIFNGIDQKSQVLPF >DRNTG_20501.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16413325:16413870:1 gene:DRNTG_20501 transcript:DRNTG_20501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCSSFEAMVAHLWRTRTKTVFDSPLATSSVLFAADIRTKLNPPLPPNFIGNAVITTAATAKVMDIEDKPFLFCVDKVKTAIERVNDEYVRSVIDWLEVHRGGAPSAMNGNFYVSAWWKLPFHELDFSHGKLVHGGPVVSGMDEFVLLLSNGNGKEGGVNVWMSMEKMKMEKFLCFVYDF >DRNTG_23562.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22470172:22470932:-1 gene:DRNTG_23562 transcript:DRNTG_23562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRFRVGGEQVEAIDQSLSMANVLMLLSRGDHPQADAGSGSGSGSGVAGGEDSSTSTGRVFECRTCNRQFPSFQALGGHRASHKKPRLGGDGPAQSSAGSKPKVHECSVCGLEFAIGQALGGHMRRHRAMTEGFGHENMVQKKSHGRRVMCFDLNLPPLEDNGGESWLKMGTGGIHDNSVDNFRMVDCFH >DRNTG_28149.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20755548:20758016:-1 gene:DRNTG_28149 transcript:DRNTG_28149.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase-6 [Source:Projected from Arabidopsis thaliana (AT2G46570) UniProtKB/Swiss-Prot;Acc:Q9ZPY2] MSSSNFFVWLSLLLSFCTSSALATRHTAKHWPRGASTRFYEFKVQKTYVTKLCERKEIITINGMFPGPVVYAQEDDRVIVKVTNETPHNATIHWHGVRQRLSCWADGPSYITQCPIQAGQTFTYEFTLFQQKGTLLWHAHVSWLRATVHGAIVVYPKPGVPYPFPHPYEEHILVLGEYWFKNALKLEKQVLASGGGAPIADAFLINGHPGPLYNCSSNDVYQIEVMPGKTYLLRIISAALNMEHFFSISNHKMTIVEADGEYTKPFTVDQLMITPGQTINVLVHADQPIGKYDMAMGPYMSAQNIPFQNITAIAHFQYTGASITSIGVPAELPSFNNNLAVKSHLDGLRSLNATDLPLEIDTNLFFTIGIERRRMSLNNAEQKLPRTEWRRFRRINEQHHICEASNCIAAILL >DRNTG_28149.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20755548:20757069:-1 gene:DRNTG_28149 transcript:DRNTG_28149.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase-6 [Source:Projected from Arabidopsis thaliana (AT2G46570) UniProtKB/Swiss-Prot;Acc:Q9ZPY2] MPGKTYLLRIISAALNMEHFFSISNHKMTIVEADGEYTKPFTVDQLMITPGQTINVLVHADQPIGKYDMAMGPYMSAQNIPFQNITAIAHFQYTGASITSIGVPAELPSFNNNLAVKSHLDGLRSLNATDLPLEIDTNLFFTIGIERRRMSLNNAEQKLPRTEWRRFRRINEQHHICEASNCIAAILL >DRNTG_28149.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20754816:20758016:-1 gene:DRNTG_28149 transcript:DRNTG_28149.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase-6 [Source:Projected from Arabidopsis thaliana (AT2G46570) UniProtKB/Swiss-Prot;Acc:Q9ZPY2] MSSSNFFVWLSLLLSFCTSSALATRHTAKHWPRGASTRFYEFKVQKTYVTKLCERKEIITINGMFPGPVVYAQEDDRVIVKVTNETPHNATIHWHGVRQRLSCWADGPSYITQCPIQAGQTFTYEFTLFQQKGTLLWHAHVSWLRATVHGAIVVYPKPGVPYPFPHPYEEHILVLGEYWFKNALKLEKQVLASGGGAPIADAFLINGHPGPLYNCSSNDVYQIEVMPGKTYLLRIISAALNMEHFFSISNHKMTIVEADGEYTKPFTVDQLMITPGQTINVLVHADQPIGKYDMAMGPYMSAQNIPFQNITAIAHFQYTGASITSIGVPAELPSFNNNLAVKSHLDGLRSLNATDLPLEIDTNLFFTIGIERRRMSLNNAEQKLPRTEWRRFRRINEQHHICEASNCIAAILL >DRNTG_28149.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20755548:20756493:-1 gene:DRNTG_28149 transcript:DRNTG_28149.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase-6 [Source:Projected from Arabidopsis thaliana (AT2G46570) UniProtKB/Swiss-Prot;Acc:Q9ZPY2] MPGKTYLLRIISAALNMEHFFSISNHKMTIVEADGEYTKPFTVDQLMITPGQTINVLVHADQPIGKYDMAMGPYMSAQNIPFQNITAIAHFQYTGASITSIGVPAELPSFNNNLAVKSHLDGLRSLNATDLPLEIDTNLFFTIGIERRRMSLNNAEQKLPRTEWRRFRRINEQHHICEASNCIAAILL >DRNTG_27604.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16612454:16612848:-1 gene:DRNTG_27604 transcript:DRNTG_27604.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSNTTTTNNNNKGGEEISIIQQKQNKVMKRNSNGGYPIGQGFFRAVSAMATFAAALLMALNQETHTIDGFPMHASYHSSPAFR >DRNTG_27604.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16611931:16612848:-1 gene:DRNTG_27604 transcript:DRNTG_27604.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSNTTTTNNNNKGGEEISIIQQKQNKVMKRNSNGGYPIGQGFFRAVSAMATFAAALLMALNQETHTIDGFPMHASYHSSPAFRFFLYGNGIACGYSLVSLPLVSVFGTSYLMHLFDMLAMGLVMGCATGAAAIGYVGKHGNNHIGWIQVCGYYEKFCKQMTFSVGCSYLGCLLLLAVSALSSANKLI >DRNTG_13618.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6239258:6240814:1 gene:DRNTG_13618 transcript:DRNTG_13618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIHNHLQLRKSRSCATMAIPFSKTSLFSGFSSRLQKADPFHLPRRGYHIDLGAREKALLEEDPALKKFKSYKNTVKRVSRIGDVLTILVVAACSYEFYAVAVLKSEQREQN >DRNTG_00013.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21534890:21535096:-1 gene:DRNTG_00013 transcript:DRNTG_00013.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFKLGLRSLAQESSSESGAVDGSGASDQALVLMQRAPR >DRNTG_00013.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21533211:21535096:-1 gene:DRNTG_00013 transcript:DRNTG_00013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFKLGLRSLAQESSSESGAVDGSGASDQALVLMQRAPRGMVSIWTCSKVCAFTFVAGVVVGFMLERRFKRWLRKLLK >DRNTG_07864.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19002274:19006379:-1 gene:DRNTG_07864 transcript:DRNTG_07864.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSNKNKNAKLVLLGDVGAGKSSLVLRFVKGQFIEFQESTIGAAFFSQTVSVNDESVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDLTNPASFTRAKKWVQELQAQGKSEFSFIFCLSLLSLGS >DRNTG_07864.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19002274:19006379:-1 gene:DRNTG_07864 transcript:DRNTG_07864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSNKNKNAKLVLLGDVGAGKSSLVLRFVKGQFIEFQESTIGAAFFSQTVSVNDESVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDLTNPASFTRAKKWVQELQAQGNANTIMALAGNKADMLEARKVTEEATKTYAQENGLFFMETSAKTALNVNDIFYEIAKKLVQAQTVAPNPSGMILMDRPADQAPPKTSSCCA >DRNTG_21318.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16311902:16314332:-1 gene:DRNTG_21318 transcript:DRNTG_21318.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHMKQLQDSLVEIETEAEHLLLARHQLVENDKLRNGNREALTALRKRACTTKSSVPSPFEALMKEIEGAREPLVKEICSTCGNHDSKEHTWMMFPGSDIFALVPFHVAHTILEKDQERLDYETKKLQSYVKEKSFSISEKGALADRISPGVVKSLVSLTDKSK >DRNTG_21318.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16311709:16314332:-1 gene:DRNTG_21318 transcript:DRNTG_21318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHMKQLQDSLVEIETEAEHLLLARHQLVENDKLRNGNREALTALRKRACTTKSSVPSPFEALMKEIEGAREPLVKEICSTCGNHDSKEHTWMMFPGSDIFALVPFHVAHTILEKDQERLDYETKKLQSYVKEKSFSISEKGALADRISPGVVKSLVSLTDKSK >DRNTG_21318.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16311902:16314332:-1 gene:DRNTG_21318 transcript:DRNTG_21318.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHMKQLQDSLVEIETEAEHLLLARHQLVENDKLRNGNREALTALRKRACTTKSSVPSPFEALMKEIEGAREPLVKEICSTCGNHDSKEHTWMMFPGSDIFALVPFHVAHTILEKDQERLDYETKKLQSYVKEKSFSISEKGALADRISPGVVKSLVSLTDKSK >DRNTG_21318.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16311902:16314439:-1 gene:DRNTG_21318 transcript:DRNTG_21318.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHMKQLQDSLVEIETEAEHLLLARHQLVENDKLRNGNREALTALRKRACTTKSSVPSPFEALMKEIEGAREPLVKEICSTCGNHDSKEHTWMMFPGSDIFALVPFHVAHTILEKDQERLDYETKKLQSYVKEKSFSISEKGALADRISPGVVKSLVSLTDKSK >DRNTG_21318.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16311797:16314332:-1 gene:DRNTG_21318 transcript:DRNTG_21318.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHMKQLQDSLVEIETEAEHLLLARHQLVENDKLRNGNREALTALRKRACTTKSSVPSPFEALMKEIEGAREPLVKEICSTCGNHDSKEHTWMMFPGSDIFALVPFHVAHTILEKDQERLDYETKKLQSYVKEKSFSISEKGALADRISPGVVKSLVSLTDKSK >DRNTG_26388.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18030586:18032657:1 gene:DRNTG_26388 transcript:DRNTG_26388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPNHPPMNSIGFTKPSIGTIILLLLPFMLLALIFVVIYPNEFQLQSLTGIAPVIITHASNSTSFFSPEKPAFRLLIGILTLPDHYERRHLLRLVYSLEPPVNAVIDVKFVFCNLTKDDQRVLVALEIIRFDDIIILDCPENMNDGKTYTYFSSLPMLFNGSNGMGYILSWDLVQWISSSNIPRNNSVGPEDMMTGKWMTLGQKAKNRFNTKPAMYDFPIPVPIDTCSHEFVPDTIAVHRLKDNPKWARTLKYFNVTDALKPSKLYHIP >DRNTG_08940.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27302380:27307563:1 gene:DRNTG_08940 transcript:DRNTG_08940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYACIREGPDHAPRFKASVNFNGEIFEGPSNCTTLRQAEHAAAEVALQNLSARGPSRTLTARVLVSLPFTFSWLILFFFF >DRNTG_08940.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27302380:27306421:1 gene:DRNTG_08940 transcript:DRNTG_08940.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYACIREGPDHAPRFKASVNFNGEIFEGPSNCTTLRQAEHAAAEVALQNLSARGPSRTLTARVLVSLPFTFSWLILFFFF >DRNTG_08940.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27302380:27305442:1 gene:DRNTG_08940 transcript:DRNTG_08940.7 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYACIREGPDHAPRFKASVNFNGEIFEGPSNCTTLRQAEHAAAEVALQNLSARGPSRTLTARVLVSLPFTFSWLILFFFF >DRNTG_08940.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27302380:27306421:1 gene:DRNTG_08940 transcript:DRNTG_08940.6 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYACIREGPDHAPRFKASVNFNGEIFEGPSNCTTLRQAEHAAAEVALQNLSARGPSRTLTARVLVSLPFTFSWLILFFFF >DRNTG_08940.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27302380:27307563:1 gene:DRNTG_08940 transcript:DRNTG_08940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYACIREGPDHAPRFKASVNFNGEIFEGPSNCTTLRQAEHAAAEVALQNLSARGPSRTLTARVLVSLPFTFSWLILFFFF >DRNTG_08940.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27302380:27305442:1 gene:DRNTG_08940 transcript:DRNTG_08940.8 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYACIREGPDHAPRFKASVNFNGEIFEGPSNCTTLRQAEHAAAEVALQNLSARGPSRTLTARVLVSLPFTFSWLILFFFF >DRNTG_08940.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27307192:27307563:1 gene:DRNTG_08940 transcript:DRNTG_08940.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNTKHREKRQNWEENRNQTSRNRFQNAAIARPLMNPNREEF >DRNTG_08940.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27302380:27307563:1 gene:DRNTG_08940 transcript:DRNTG_08940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYACIREGPDHAPRFKASVNFNGEIFEGPSNCTTLRQAEHAAAEVALQNLSARGPSRTLTARVLVSLPFTFSWLILFFFF >DRNTG_08940.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27302380:27307563:1 gene:DRNTG_08940 transcript:DRNTG_08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYACIREGPDHAPRFKASVNFNGEIFEGPSNCTTLRQAEHAAAEVALQNLSARGPSRTLTARVLVSLPFTFSWLILFFFF >DRNTG_21114.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2622989:2628020:-1 gene:DRNTG_21114 transcript:DRNTG_21114.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWWGKSSSKEVKKKTTKEYSISTLNRLISPTEQRSGNVRVEGNRMLSDKISQEGSRSRSESQSTSPSSEVSCSQSFADRPRAQSLPVPGSQCCLTCSPSGVVASKSMLEKRCKMQWILPLLKRGHLSRNPDAIDAPVSSRCSVDSDDPADCKIHSPVVDDVVSGGKGVSNPNPRDQASVSAQKNLKEVLMSENLLSNNQLLATPPKAGVLSKFQSNSQSPQNGAFASAPDSPIRVVCPEQILTAAPKPYPGVNFIGSRHCSSPGSGQTSGHNSVGGDMPGHLLWQHSRGSPECSPIFSPRLASPGPGSRIHSSAASPRHPRAGGLAPESPTSWHDEGQKSHRLPLPPSKGSCWKKGKLIGRGTFGHVFVGFNSDSGETCAMKEVTLFSDDAKSRESEKQLVQEIALLSRLQHPNIVRYYGCDMIDDKLYIYLEYVSGGSIYKLLQEYGPLGEQAIRSFTKQILSGLAYLHAKATVHRDIKGANILVDPNGCVKLADFGMAKYVKIRFSFFFFLTIHCMRSNLFHLLALCPSSLNNYC >DRNTG_21114.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2622376:2627095:-1 gene:DRNTG_21114 transcript:DRNTG_21114.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWWGKSSSKEVKKKTTKEYSISTLNRLISPTEQRSGNVRVEGNRMLSDKISQEGSRSRSESQSTSPSSEVSCSQSFADRPRAQSLPVPGSQCCLTCSPSGVVASKSMLEKRCKMQWILPLLKRGHLSRNPDAIDAPVSSRCSVDSDDPADCKIHSPVVDDVVSGGKGVSNPNPRDQASVSAQKNLKEVLMSENLLSNNQLLATPPKAGVLSKFQSNSQSPQNGAFASAPDSPIRVVCPEQILTAAPKPYPGVNFIGSRHCSSPGSGQTSGHNSVGGDMPGHLLWQHSRGSPECSPIFSPRLASPGPGSRIHSSAASPRHPRAGGLAPESPTSWHDEGQKSHRLPLPPSKGSCWKKGKLIGRGTFGHVFVGFNSDSGETCAMKEVTLFSDDAKSRESEKQLVQEIALLSRLQHPNIVRYYGCDMIDDKLYIYLEYVSGGSIYKLLQEYGPLGEQAIRSFTKQILSGLAYLHAKATVHRDIKGANILVDPNGCVKLADFGMAKYIAGKSRPLSFKGSPYWMAPEVIKKSTGCNLAVDIWSLGCTVLEMATSKPPWSQYEG >DRNTG_21114.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2624540:2627095:-1 gene:DRNTG_21114 transcript:DRNTG_21114.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSENLLSNNQLLATPPKAGVLSKFQSNSQSPQNGAFASAPDSPIRVVCPEQILTAAPKPYPGVNFIGSRHCSSPGSGQTSGHNSVGGDMPGHLLWQHSRGSPECSPIFSPRLASPGPGSRIHSSAASPRHPRAGGLAPESPTSWHDEGQKSHRLPLPPSKGSCWKKGKLIGRGTFGHVFVGFNSDSGETCAMKEVTLFSDDAKSRESEKQLVQEIALLSRLQHPNIVRYYGCDMVHVMFISINCLFMLPNSRLPSRFSVF >DRNTG_21114.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2619266:2628020:-1 gene:DRNTG_21114 transcript:DRNTG_21114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWWGKSSSKEVKKKTTKEYSISTLNRLISPTEQRSGNVRVEGNRMLSDKISQEGSRSRSESQSTSPSSEVSCSQSFADRPRAQSLPVPGSQCCLTCSPSGVVASKSMLEKRCKMQWILPLLKRGHLSRNPDAIDAPVSSRCSVDSDDPADCKIHSPVVDDVVSGGKGVSNPNPRDQASVSAQKNLKEVLMSENLLSNNQLLATPPKAGVLSKFQSNSQSPQNGAFASAPDSPIRVVCPEQILTAAPKPYPGVNFIGSRHCSSPGSGQTSGHNSVGGDMPGHLLWQHSRGSPECSPIFSPRLASPGPGSRIHSSAASPRHPRAGGLAPESPTSWHDEGQKSHRLPLPPSKGSCWKKGKLIGRGTFGHVFVGFNSDSGETCAMKEVTLFSDDAKSRESEKQLVQEIALLSRLQHPNIVRYYGCDMIDDKLYIYLEYVSGGSIYKLLQEYGPLGEQAIRSFTKQILSGLAYLHAKATVHRDIKGANILVDPNGCVKLADFGMAKYIAGKSRPLSFKGSPYWMAPEVIKKSTGCNLAVDIWSLGCTVLEMATSKPPWSQYEGIAAIFKIGNSKDLPAIPDHLSEDGKDFIRSCLQRDPFNRPTSIELLQHPFVRKVVPMEKSIINPKLRDQRRCASIGASFRVFGNVGYRSSLDKGLMVHQPRGSRTALMISDSHARNMSCPVSPIVSPLLSPRSQQHIIGGMSPISSPQTTSGSSTVLTGGNGAIPFNQTRKLGFPRKGFVDTIRSQDNIHLLGYAFHGQKRDHYRGGQQYPSKGDILMANGHLREPNDKQFMLVDRLKEHLGEHAQMIPTLNLSPSSVET >DRNTG_21114.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2618455:2619998:-1 gene:DRNTG_21114 transcript:DRNTG_21114.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPVSPIVSPLLSPRSQQHIIGGMSPISSPQTTSGSSTVLTGGNGAIPFNQTRKLGFPRKGFVDTIRSQDNIHLLGYAFHGQKRDHYRGGQQYPSKGDILMANGHLREPNDKQFMLVDRLKEHLGEHAQMIPTLNLSPSSVET >DRNTG_27744.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4302337:4303062:1 gene:DRNTG_27744 transcript:DRNTG_27744.1 gene_biotype:protein_coding transcript_biotype:protein_coding DFARIGAIVPWREIHGPFRDKEDQVRDAFSHPSGTKEHNTRNMSAHI >DRNTG_20557.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3500455:3502236:-1 gene:DRNTG_20557 transcript:DRNTG_20557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAALSRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVARAADEGGLPLFGHHSPREFVLSLSRPRSIIILVKAGAPVDQTIATLSRFLEPGDAIIDGGNEWYENTERRISEASPQGLLYLGMGVSGGEEGARRGPSLMPGGSRQAFDNVADILSKVAAQVDDGPCVTYVGPGGAGNFVKMVHNGIEYGDMQLISEAYDVLKTVGGLSNQDLANIFDEWNRGELESFLIEITADIFKVKDEHGEGELVDKILDKTGMKGTGKWTVQQAAELSVAAPTIAASLDCRYLSGLKEEREAAAGVLEQAGISNEALRASVGAVDKKRLIDDVRQALYASKICSYAQGMNLLRAKSQEKGWNLNLGELARIWKGGCIIRARFLDRIKKAYERNGSLPNLIVDPEFAREMAQRQGAWRRVVGLAIGAGISTPGMCASLSYFDTYRRSRLPANLVQAQRDLFGAHTYERIDRPGSFHTEWTKLARQSEAGVGAHN >DRNTG_07059.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3852936:3853250:1 gene:DRNTG_07059 transcript:DRNTG_07059.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEDELVKPKPSLPYFKDAPCSGTSLATLAEGFEDLARKLESGDDDLRLDAFSYACSLVSVLFGCLGIAFKFAEIEYVSK >DRNTG_07059.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3852936:3855627:1 gene:DRNTG_07059 transcript:DRNTG_07059.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEDELVKPKPSLPYFKDAPCSGTSLATLAEGFEDLARKLESGDDDLRLDAFSYACSLVSVLFGCLGIAFKFAEIEYVSKVDELYEASKTYDTLKNVLDYDVEQDTVKKPGSHSRHLRRVRLGLDLIKALFKQFLLSNDNSLREAASTAYAQTCAPFHPWAVRKAVGAGMYALPTREQLMLNLNETDDSIQKEMTRYIIASSSIIDYIDNLYTSRNISLDW >DRNTG_06915.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1608867:1609072:-1 gene:DRNTG_06915 transcript:DRNTG_06915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGVNGNDGAGMDSGHARLHELGYKQELKRDLS >DRNTG_12327.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4199292:4206582:-1 gene:DRNTG_12327 transcript:DRNTG_12327.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLQDSVEELKQSLHEMAKLRGKDVKLHMNDIQTWVSAALTDENTCINEFTSDGNKNGGAENKVRSQVVKVAQLTSNALALINGMAGVQSSYP >DRNTG_32897.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1074229:1082448:-1 gene:DRNTG_32897 transcript:DRNTG_32897.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysophospholipid acyltransferase LPEAT2 [Source:Projected from Arabidopsis thaliana (AT2G45670) UniProtKB/Swiss-Prot;Acc:Q8S8S2] MASDLRARLLPENGEVVIDVEGRAETNGVGEYHTRSFSNPFDFVGAAPLVDLRLPSTIDPFRNHTPGFGGVYEWIKMVVCVPIAILRLVLFGISISIGFLATKLALEGWKDRQNPMPKWRCRLMWVTRFCSRCILFSFGYHWIKRIGKPASRDIAPIVVSNHISYIDPIFFFYELFPTIVASESHDSLPFVGTIIRAMQVIYVDRFSAPSRRQAVNEIKRKASCNGFPRVLLFPEGTTTNGRALISFQLGAFIPGFPVQPVIVRYPHVHFDQSWGNISLAKLMFRMFLQFHNFMEVEYLPIVLPQEKKHETVAQFAQRTSYAMASALNVVQTSHSYVDGMLLTRASELMKENCSNYMVEMAWADNLFQISTSDAMEFLDQFLAMDPDSNGCVQKHDFLICLGLEKSPLGEKIFSYFDVEKKNSITFRQFLLGSANIRKQPLFHEVCNTAFSKCDYEETGFISAEQLTHFLRSSCLGTIEQTFARLLNFFDIDNDGPVTRAKFMEGLWKYPILVAFFTCDPN >DRNTG_32897.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1074229:1082448:-1 gene:DRNTG_32897 transcript:DRNTG_32897.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysophospholipid acyltransferase LPEAT2 [Source:Projected from Arabidopsis thaliana (AT2G45670) UniProtKB/Swiss-Prot;Acc:Q8S8S2] MASDLRARLLPENGEVVIDVEGRAETNGVGEYHTRSFSNPFDFVGAAPLVDLRLPSTIDPFRNHTPGFGGVYEWIKMVVCVPIAILRLVLFGISISIGFLATKLALEGWKDRQNPMPKWRCRLMWVTRFCSRCILFSFGYHWIKRIGKPASRDIAPIVVSNHISYIDPIFFFYELFPTIVASESHDSLPFVGTIIRAMQVIYVDRFSAPSRRQAVNEIKDLNSAESFMQWVSSCSVVS >DRNTG_15871.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7146061:7149504:1 gene:DRNTG_15871 transcript:DRNTG_15871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLASNDGIFREVLGNKTVVIEYTTRSFTKVSFSPSSLRTSLNRFSLIASG >DRNTG_34111.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19754324:19756482:1 gene:DRNTG_34111 transcript:DRNTG_34111.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMTALQVVLKKSLAHGGLVRGLHEGAKAIEKHDAQLCLLAEDCNQPDYVKLVKALCADHNIHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCIVVKDYGEESEGLHIVQEYVKSH >DRNTG_34111.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19721718:19756482:1 gene:DRNTG_34111 transcript:DRNTG_34111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDAVVADTLGEPMDLMTALQVVLKKSLAHGGLVRGLHEGAKAIEKHDAQLCLLAEDCNQPDYVKLVKALCADHNIHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCIVVKDYGEESEGLHIVQEYVKSH >DRNTG_34111.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19721718:19724034:1 gene:DRNTG_34111 transcript:DRNTG_34111.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDAVVADTLGEPMDLMTALQVVLKKSLACGGLVRGLHEGAKVIEKNAALLCVLAKDSNQPDYEKLVKALCADHGISIITVPSSKTLGEWAGLCKIDSEGKGRQERWSAALA >DRNTG_17812.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000915.1:1488:2209:1 gene:DRNTG_17812 transcript:DRNTG_17812.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLFAIGVALQTALDKRLHVKHIFVNTTNPQTILSNPNPVTTWRFCPQISHIRSLLDDLGSPCIHCIPGAWMTPAINLATHGFNFSVLNLSSARTYLTG >DRNTG_14962.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1012334:1023377:1 gene:DRNTG_14962 transcript:DRNTG_14962.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MDPGRYVLQQGWDANSALEGYGAVHEPEFRAGGPYGTRRYADEGFSREPVYSRNGFSRDVLDRDVYPPPSATGMWSQHRRRNFDEEYSLIRESRRHENPYAEGFNDMDNYREADRYQGFDSFHAIRENCNNADNLREINSYRDYGFDRHARFGVRDREEIGSDNYEVRHRYPQSREDSRERDYDYGRYSYDSDHERGRRDGSWRRRDSRDRERDKRGLSRERDQSPHRRCHDRSRSRGHDDRSRSRSPRGRSRGRSHREDNYDDDRYERNEKQRDRDRDEKRHFDSSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPTMEAARTMMDGFGDDGLVIDGRKLFFEYSKPTGGAGGPSFGSEGSYRSGYGHGRNTIPPSDWMCTMCGCVNFARRTSCFQCNEGRTEDAPLADVASSNPTPLGRKGSEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFLHFHSVEDATKALEATNGTMLEKNGQILRVAYAKSIHGPAAGAPQSSSLAAAAIEAATFAQQYDAVGWAPKEYNPDEKQSTGGHEQDGNASAQKGCATPQSGFVWDEKSGYYYDAASGFYYDGNTGLYYDSNSGAWYSYDHQSQQYVPCTEQSDNKVTGEATHETSKTSDAASNRKVVISAPATTTKLNEKASLPDAVQAAAAAALAAEKKEKERSKEIKLASKSSLLANKKKMNNVLAMWKQRNHEGQAARVIIDENPHYNSNDDKQGASSNTGKGLSAKNKLKSDSSMSRETANSSGFVGSMVGRGVSSTSAAQTVPAESQDAPRPVGNSLGGTLMGVIRGIW >DRNTG_14962.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1012334:1023377:1 gene:DRNTG_14962 transcript:DRNTG_14962.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MWSQHRRRNFDEEYSLIRESRRHENPYAEGFNDMDNYREADRYQGFDSFHAIRENCNNADNLREINSYRDYGFDRHARFGVRDREEIGSDNYEVRHRYPQSREDSRERDYDYGRYSYDSDHERGRRDGSWRRRDSRDRERDKRGLSRERDQSPHRRCHDRSRSRGHDDRSRSRSPRGRSRGRSHREDNYDDDRYERNEKQRDRDRDEKRHFDSSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPTMEAARTMMDGFGDDGLVIDGRKLFFEYSKPTGGAGGPSFGSEGSYRSGYGHGRNTIPPSDWMCTMCGCVNFARRTSCFQCNEGRTEDAPLADVASSNPTPLGRKGSEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFLHFHSVEDATKALEATNGTMLEKNGQILRVAYAKSIHGPAAGAPQSSSLAAAAIEAATFAQQYDAVGWAPKEYNPDEKQSTGGHEQDGNASAQKGCATPQSGFVWDEKSGYYYDAASGFYYDGNTGLYYDSNSGAWYSYDHQSQQYVPCTEQSDNKVTGEATHETSKTSDAASNRKVVISAPATTTKLNEKASLPDAVQAAAAAALAAEKKEKERSKEIKLASKSSLLANKKKMNNVLAMWKQRNHEGQAARVIIDENPHYNSNDDKQGASSNTGRGVSSTSAAQTVPAESQDAPRPVGNSLGGTLMGVIRGIW >DRNTG_14962.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1012334:1023377:1 gene:DRNTG_14962 transcript:DRNTG_14962.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MLNCFGRAGGPYGTRRYADEGFSREPVYSRNGFSRDVLDRDVYPPPSATGMWSQHRRRNFDEEYSLIRESRRHENPYAEGFNDMDNYREADRYQGFDSFHAIRENCNNADNLREINSYRDYGFDRHARFGVRDREEIGSDNYEVRHRYPQSREDSRERDYDYGRYSYDSDHERGRRDGSWRRRDSRDRERDKRGLSRERDQSPHRRCHDRSRSRGHDDRSRSRSPRGRSRGRSHREDNYDDDRYERNEKQRDRDRDEKRHFDSSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPTMEAARTMMDGFGDDGLVIDGRKLFFEYSSKPTGGAGGPSFGSEGSYRSGYGHGRNTIPPSDWMCTMCGCVNFARRTSCFQCNEGRTEDAPLADVASSNPTPLGRKGSEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFLHFHSVEDATKALEATNGTMLEKNGQILRVAYAKSIHGPAAGAPQSSSLAAAAIEAATFAQQYDAVGWAPKEYNPDEKQSTGGHEQDGNASAQKGCATPQSGFVWDEKSGYYYDAASGFYYDGNTGLYYDSNSGAWYSYDHQSQQYVPCTEQSDNKVTGEATHETSKTSDAASNRKVVISAPATTTKLNEKASLPDAVQAAAAAALAAEKKEKERSKEIKLASKSSLLANKKKMNNVLAMWKQRNHEGQAARVIIDENPHYNSNDDKQGASSNTGRGVSSTSAAQTVPAESQDAPRPVGNSLGGTLMGVIRGIW >DRNTG_14962.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1012334:1023377:1 gene:DRNTG_14962 transcript:DRNTG_14962.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MWSQHRRRNFDEEYSLIRESRRHENPYAEGFNDMDNYREADRYQGFDSFHAIRENCNNADNLREINSYRDYGFDRHARFGVRDREEIGSDNYEVRHRYPQSREDSRERDYDYGRYSYDSDHERGRRDGSWRRRDSRDRERDKRGLSRERDQSPHRRCHDRSRSRGHDDRSRSRSPRGRSRGRSHREDNYDDDRYERNEKQRDRDRDEKRHFDSSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPTMEAARTMMDGFGDDGLVIDGRKLFFEYSSKPTGGAGGPSFGSEGSYRSGYGHGRNTIPPSDWMCTMCGCVNFARRTSCFQCNEGRTEDAPLADVASSNPTPLGRKGSEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFLHFHSVEDATKALEATNGTMLEKNGQILRVAYAKSIHGPAAGAPQSSSLAAAAIEAATFAQQYDAVGWAPKEYNPDEKQSTGGHEQDGNASAQKGCATPQSGFVWDEKSGYYYDAASGFYYDGNTGLYYDSNSGAWYSYDHQSQQYVPCTEQSDNKVTGEATHETSKTSDAASNRKVVISAPATTTKLNEKASLPDAVQAAAAAALAAEKKEKERSKEIKLASKSSLLANKKKMNNVLAMWKQRNHEGQAARVIIDENPHYNSNDDKQGASSNTGRGVSSTSAAQTVPAESQDAPRPVGNSLGGTLMGVIRGIW >DRNTG_14962.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1012334:1023377:1 gene:DRNTG_14962 transcript:DRNTG_14962.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MDPGRYVLQQGWDANSALEGYGAVHEPEFRAGGPYGTRRYADEGFSREPVYSRNGFSRDVLDRDVYPPPSATGMWSQHRRRNFDEEYSLIRESRRHENPYAEGFNDMDNYREADRYQGFDSFHAIRENCNNADNLREINSYRDYGFDRHARFGVRDREEIGSDNYEVRHRYPQSREDSRERDYDYGRYSYDSDHERGRRDGSWRRRDSRDRERDKRGLSRERDQSPHRRCHDRSRSRGHDDRSRSRSPRGRSRGRSHREDNYDDDRYERNEKQRDRDRDEKRHFDSSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPTMEAARTMMDGFGDDGLVIDGRKLFFEYSSKPTGGAGGPSFGSEGSYRSGYGHGRNTIPPSDWMCTMCGCVNFARRTSCFQCNEGRTEDAPLADVASSNPTPLGRKGSEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFLHFHSVEDATKALEATNGTMLEKNGQILRVAYAKSIHGPAAGAPQSSSLAAAAIEAATFAQQYDAVGWAPKEYNPDEKQSTGGHEQDGNASAQKGCATPQSGFVWDEKSGYYYDAASGFYYDGNTGLYYDSNSGAWYSYDHQSQQYVPCTEQSDNKVTGEATHETSKTSDAASNRKVVISAPATTTKLNEKASLPDAVQAAAAAALAAEKKEKERSKEIKLASKSSLLANKKKMNNVLAMWKQRNHEGQAARVIIDENPHYNSNDDKQGASSNTGRGVSSTSAAQTVPAESQDAPRPVGNSLGGTLMGVIRGIW >DRNTG_14962.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1012334:1023377:1 gene:DRNTG_14962 transcript:DRNTG_14962.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MWSQHRRRNFDEEYSLIRESRRHENPYAEGFNDMDNYREADRYQGFDSFHAIRENCNNADNLREINSYRDYGFDRHARFGVRDREEIGSDNYEVRHRYPQSREDSRERDYDYGRYSYDSDHERGRRDGSWRRRDSRDRERDKRGLSRERDQSPHRRCHDRSRSRGHDDRSRSRSPRGRSRGRSHREDNYDDDRYERNEKQRDRDRDEKRHFDSSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPTMEAARTMMDGFGDDGLVIDGRKLFFEYSSKPTGGAGGPSFGSEGSYRSGYGHGRNTIPPSDWMCTMCGCVNFARRTSCFQCNEGRTEDAPLADVASSNPTPLGRKGSEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFLHFHSVEDATKALEATNGTMLEKNGQILRVAYAKSIHGPAAGAPQSSSLAAAAIEAATFAQQYDAVGWAPKEYNPDEKQSTGGHEQDGNASAQKGCATPQSGFVWDEKSGYYYDAASGFYYDGNTGLYYDSNSGAWYSYDHQSQQYVPCTEQSDNKVTGEATHETSKTSDAASNRKVVISAPATTTKLNEKASLPDAVQAAAAAALAAEKKEKERSKEIKLASKSSLLANKKKMNNVLAMWKQRNHEGQAARVIIDENPHYNSNDDKQGASSNTGKGLSAKNKLKSDSSMSRETANSSGFVGSMVGRGVSSTSAAQTVPAESQDAPRPVGNSLGGTLMGVIRGIW >DRNTG_14962.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1012334:1023377:1 gene:DRNTG_14962 transcript:DRNTG_14962.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MWSQHRRRNFDEEYSLIRESRRHENPYAEGFNDMDNYREADRYQGFDSFHAIRENCNNADNLREINSYRDYGFDRHARFGVRDREEIGSDNYEVRHRYPQSREDSRERDYDYGRYSYDSDHERGRRDGSWRRRDSRDRERDKRGLSRERDQSPHRRCHDRSRSRGHDDRSRSRSPRGRSRGRSHREDNYDDDRYERNEKQRDRDRDEKRHFDSSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPTMEAARTMMDGFGDDGLVIDGRKLFFEYSKPTGGAGGPSFGSEGSYRSGYGHGRNTIPPSDWMCTMCGCVNFARRTSCFQCNEGRTEDAPLADVASSNPTPLGRKGSEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFLHFHSVEDATKALEATNGTMLEKNGQILRVAYAKSIHGPAAGAPQSSSLAAAAIEAATFAQQYDAVGWAPKEYNPDEKQSTGGHEQDGNASAQKGCATPQSGFVWDEKSGYYYDAASGFYYDGNTGLYYDSNSGAWYSYDHQSQQYVPCTEQSDNKVTGEATHETSKTSDAASNRKVVISAPATTTKLNEKASLPDAVQAAAAAALAAEKKEKERSKEIKLASKSSLLANKKKMNNVLAMWKQRNHEGQAARVIIDENPHYNSNDDKQGASSNTGKGLSAKNKLKSDSSMSRETANSSGFVGSMVGRGVSSTSAAQTVPAESQDAPRPVGNSLGGTLMGVIRGIW >DRNTG_14962.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1012334:1023377:1 gene:DRNTG_14962 transcript:DRNTG_14962.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MWSQHRRRNFDEEYSLIRESRRHENPYAEGFNDMDNYREADRYQGFDSFHAIRENCNNADNLREINSYRDYGFDRHARFGVRDREEIGSDNYEVRHRYPQSREDSRERDYDYGRYSYDSDHERGRRDGSWRRRDSRDRERDKRGLSRERDQSPHRRCHDRSRSRGHDDRSRSRSPRGRSRGRSHREDNYDDDRYERNEKQRDRDRDEKRHFDSSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPTMEAARTMMDGFGDDGLVIDGRKLFFEYSSKPTGGAGGPSFGSEGSYRSGYGHGRNTIPPSDWMCTMCGCVNFARRTSCFQCNEGRTEDAPLADVASSNPTPLGRKGSEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFLHFHSVEDATKALEATNGTMLEKNGQILRVAYAKSIHGPAAGAPQSSSLAAAAIEAATFAQQYDAVGWAPKEYNPDEKQSTGGHEQDGNASAQKGCATPQSGFVWDEKSGYYYDAASGFYYDGNTGLYYDSNSGAWYSYDHQSQQYVPCTEQSDNKVTGEATHETSKTSDAASNRKVVISAPATTTKLNEKASLPDAVQAAAAAALAAEKKEKERSKEIKLASKSSLLANKKKMNNVLAMWKQRNHEGQAARVIIDENPHYNSNDDKQGASSNTGRGVSSTSAAQTVPAESQDAPRPVGNSLGGTLMGVIRGIW >DRNTG_14962.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1013777:1023377:1 gene:DRNTG_14962 transcript:DRNTG_14962.15 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MDPGRYVLQQGWDANSALEGYGAVHEPEFRAGGPYGTRRYADEGFSREPVYSRNGFSRDVLDRDVYPPPSATGMWSQHRRRNFDEEYSLIRESRRHENPYAEGFNDMDNYREADRYQGFDSFHAIRENCNNADNLREINSYRDYGFDRHARFGVRDREEIGSDNYEVRHRYPQSREDSRERDYDYGRYSYDSDHERGRRDGSWRRRDSRDRERDKRGLSRERDQSPHRRCHDRSRSRGHDDRSRSRSPRGRSRGRSHREDNYDDDRYERNEKQRDRDRDEKRHFDSSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPTMEAARTMMDGFGDDGLVIDGRKLFFEYSSKPTGGAGGPSFGSEGSYRSGYGHGRNTIPPSDWMCTMCGCVNFARRTSCFQCNEGRTEDAPLADVASSNPTPLGRKGSEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFLHFHSVEDATKALEATNGTMLEKNGQILRVAYAKSIHGPAAGAPQSSSLAAAAIEAATFAQQYDAVGWAPKEYNPDEKQSTGGHEQDGNASAQKGCATPQSGFVWDEKSGYYYDAASGFYYDGNTGLYYDSNSGAWYSYDHQSQQYVPCTEQSDNKVTGEATHETSKTSDAASNRKVVISAPATTTKLNEKASLPDAVQAAAAAALAAEKKEKERSKEIKLASKSSLLANKKKMNNVLAMWKQRNHEGQAARVIIDENPHYNSNDDKQGASSNTGKGLSAKNKLKSDSSMSRETANSSGFVGSMVGRGVSSTSAAQTVPAESQDAPRPVGNSLGGTLMGVIRGIW >DRNTG_14962.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1012334:1023377:1 gene:DRNTG_14962 transcript:DRNTG_14962.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MDPGRYVLQQGWDANSALEGYGAVHEPEFRAGGPYGTRRYADEGFSREPVYSRNGFSRDVLDRDVYPPPSATGMWSQHRRRNFDEEYSLIRESRRHENPYAEGFNDMDNYREADRYQGFDSFHAIRENCNNADNLREINSYRDYGFDRHARFGVRDREEIGSDNYEVRHRYPQSREDSRERDYDYGRYSYDSDHERGRRDGSWRRRDSRDRERDKRGLSRERDQSPHRRCHDRSRSRGHDDRSRSRSPRGRSRGRSHREDNYDDDRYERNEKQRDRDRDEKRHFDSSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPTMEAARTMMDGFGDDGLVIDGRKLFFEYSSKPTGGAGGPSFGSEGSYRSGYGHGRNTIPPSDWMCTMCGCVNFARRTSCFQCNEGRTEDAPLADVASSNPTPLGRKGSEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFLHFHSVEDATKALEATNGTMLEKNGQILRVAYAKSIHGPAAGAPQSSSLAAAAIEAATFAQQYDAVGWAPKEYNPDEKQSTGGHEQDGNASAQKGCATPQSGFVWDEKSGYYYDAASGFYYDGNTGLYYDSNSGAWYSYDHQSQQYVPCTEQSDNKVTGEATHETSKTSDAASNRKVVISAPATTTKLNEKASLPDAVQAAAAAALAAEKKEKERSKEIKLASKSSLLANKKKMNNVLAMWKQRNHEGQAARVIIDENPHYNSNDDKQGASSNTGKGLSAKNKLKSDSSMSRETANSSGFVGSMVGRGVSSTSAAQTVPAESQDAPRPVGNSLGGTLMGVIRGIW >DRNTG_14962.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1013777:1023377:1 gene:DRNTG_14962 transcript:DRNTG_14962.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MWSQHRRRNFDEEYSLIRESRRHENPYAEGFNDMDNYREADRYQGFDSFHAIRENCNNADNLREINSYRDYGFDRHARFGVRDREEIGSDNYEVRHRYPQSREDSRERDYDYGRYSYDSDHERGRRDGSWRRRDSRDRERDKRGLSRERDQSPHRRCHDRSRSRGHDDRSRSRSPRGRSRGRSHREDNYDDDRYERNEKQRDRDRDEKRHFDSSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPTMEAARTMMDGFGDDGLVIDGRKLFFEYSKPTGGAGGPSFGSEGSYRSGYGHGRNTIPPSDWMCTMCGCVNFARRTSCFQCNEGRTEDAPLADVASSNPTPLGRKGSEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFLHFHSVEDATKALEATNGTMLEKNGQILRVAYAKSIHGPAAGAPQSSSLAAAAIEAATFAQQYDAVGWAPKEYNPDEKQSTGGHEQDGNASAQKGCATPQSGFVWDEKSGYYYDAASGFYYDGNTGLYYDSNSGAWYSYDHQSQQYVPCTEQSDNKVTGEATHETSKTSDAASNRKVVISAPATTTKLNEKASLPDAVQAAAAAALAAEKKEKERSKEIKLASKSSLLANKKKMNNVLAMWKQRNHEGQAARVIIDENPHYNSNDDKQGASSNTGKGLSAKNKLKSDSSMSRETANSSGFVGSMVGRGVSSTSAAQTVPAESQDAPRPVGNSLGGTLMGVIRGIW >DRNTG_14962.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1013777:1023377:1 gene:DRNTG_14962 transcript:DRNTG_14962.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MWSQHRRRNFDEEYSLIRESRRHENPYAEGFNDMDNYREADRYQGFDSFHAIRENCNNADNLREINSYRDYGFDRHARFGVRDREEIGSDNYEVRHRYPQSREDSRERDYDYGRYSYDSDHERGRRDGSWRRRDSRDRERDKRGLSRERDQSPHRRCHDRSRSRGHDDRSRSRSPRGRSRGRSHREDNYDDDRYERNEKQRDRDRDEKRHFDSSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPTMEAARTMMDGFGDDGLVIDGRKLFFEYSSKPTGGAGGPSFGSEGSYRSGYGHGRNTIPPSDWMCTMCGCVNFARRTSCFQCNEGRTEDAPLADVASSNPTPLGRKGSEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFLHFHSVEDATKALEATNGTMLEKNGQILRVAYAKSIHGPAAGAPQSSSLAAAAIEAATFAQQYDAVGWAPKEYNPDEKQSTGGHEQDGNASAQKGCATPQSGFVWDEKSGYYYDAASGFYYDGNTGLYYDSNSGAWYSYDHQSQQYVPCTEQSDNKVTGEATHETSKTSDAASNRKVVISAPATTTKLNEKASLPDAVQAAAAAALAAEKKEKERSKEIKLASKSSLLANKKKMNNVLAMWKQRNHEGQAARVIIDENPHYNSNDDKQGASSNTGKGLSAKNKLKSDSSMSRETANSSGFVGSMVGRGVSSTSAAQTVPAESQDAPRPVGNSLGGTLMGVIRGIW >DRNTG_14962.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1013777:1023377:1 gene:DRNTG_14962 transcript:DRNTG_14962.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MLNCFGRAGGPYGTRRYADEGFSREPVYSRNGFSRDVLDRDVYPPPSATGMWSQHRRRNFDEEYSLIRESRRHENPYAEGFNDMDNYREADRYQGFDSFHAIRENCNNADNLREINSYRDYGFDRHARFGVRDREEIGSDNYEVRHRYPQSREDSRERDYDYGRYSYDSDHERGRRDGSWRRRDSRDRERDKRGLSRERDQSPHRRCHDRSRSRGHDDRSRSRSPRGRSRGRSHREDNYDDDRYERNEKQRDRDRDEKRHFDSSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPTMEAARTMMDGFGDDGLVIDGRKLFFEYSSKPTGGAGGPSFGSEGSYRSGYGHGRNTIPPSDWMCTMCGCVNFARRTSCFQCNEGRTEDAPLADVASSNPTPLGRKGSEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFLHFHSVEDATKALEATNGTMLEKNGQILRVAYAKSIHGPAAGAPQSSSLAAAAIEAATFAQQYDAVGWAPKEYNPDEKQSTGGHEQDGNASAQKGCATPQSGFVWDEKSGYYYDAASGFYYDGNTGLYYDSNSGAWYSYDHQSQQYVPCTEQSDNKVTGEATHETSKTSDAASNRKVVISAPATTTKLNEKASLPDAVQAAAAAALAAEKKEKERSKEIKLASKSSLLANKKKMNNVLAMWKQRNHEGQAARVIIDENPHYNSNDDKQGASSNTGKGLSAKNKLKSDSSMSRETANSSGFVGSMVGRGVSSTSAAQTVPAESQDAPRPVGNSLGGTLMGVIRGIW >DRNTG_14962.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1013777:1023377:1 gene:DRNTG_14962 transcript:DRNTG_14962.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MWSQHRRRNFDEEYSLIRESRRHENPYAEGFNDMDNYREADRYQGFDSFHAIRENCNNADNLREINSYRDYGFDRHARFGVRDREEIGSDNYEVRHRYPQSREDSRERDYDYGRYSYDSDHERGRRDGSWRRRDSRDRERDKRGLSRERDQSPHRRCHDRSRSRGHDDRSRSRSPRGRSRGRSHREDNYDDDRYERNEKQRDRDRDEKRHFDSSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPTMEAARTMMDGFGDDGLVIDGRKLFFEYSSKPTGGAGGPSFGSEGSYRSGYGHGRNTIPPSDWMCTMCGCVNFARRTSCFQCNEGRTEDAPLADVASSNPTPLGRKGSEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFLHFHSVEDATKALEATNGTMLEKNGQILRVAYAKSIHGPAAGAPQSSSLAAAAIEAATFAQQYDAVGWAPKEYNPDEKQSTGGHEQDGNASAQKGCATPQSGFVWDEKSGYYYDAASGFYYDGNTGLYYDSNSGAWYSYDHQSQQYVPCTEQSDNKVTGEATHETSKTSDAASNRKVVISAPATTTKLNEKASLPDAVQAAAAAALAAEKKEKERSKEIKLASKSSLLANKKKMNNVLAMWKQRNHEGQAARVIIDENPHYNSNDDKQGASSNTGKGLSAKNKLKSDSSMSRETANSSGFVGSMVGRGVSSTSAAQTVPAESQDAPRPVGNSLGGTLMGVIRGIW >DRNTG_14962.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1013777:1023377:1 gene:DRNTG_14962 transcript:DRNTG_14962.16 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MWSQHRRRNFDEEYSLIRESRRHENPYAEGFNDMDNYREADRYQGFDSFHAIRENCNNADNLREINSYRDYGFDRHARFGVRDREEIGSDNYEVRHRYPQSREDSRERDYDYGRYSYDSDHERGRRDGSWRRRDSRDRERDKRGLSRERDQSPHRRCHDRSRSRGHDDRSRSRSPRGRSRGRSHREDNYDDDRYERNEKQRDRDRDEKRHFDSSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPTMEAARTMMDGFGDDGLVIDGRKLFFEYSKPTGGAGGPSFGSEGSYRSGYGHGRNTIPPSDWMCTMCGCVNFARRTSCFQCNEGRTEDAPLADVASSNPTPLGRKGSEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFLHFHSVEDATKALEATNGTMLEKNGQILRVAYAKSIHGPAAGAPQSSSLAAAAIEAATFAQQYDAVGWAPKEYNPDEKQSTGGHEQDGNASAQKGCATPQSGFVWDEKSGYYYDAASGFYYDGNTGLYYDSNSGAWYSYDHQSQQYVPCTEQSDNKVTGEATHETSKTSDAASNRKVVISAPATTTKLNEKASLPDAVQAAAAAALAAEKKEKERSKEIKLASKSSLLANKKKMNNVLAMWKQRNHEGQAARVIIDENPHYNSNDDKQGASSNTGRGVSSTSAAQTVPAESQDAPRPVGNSLGGTLMGVIRGIW >DRNTG_14962.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1013777:1023377:1 gene:DRNTG_14962 transcript:DRNTG_14962.14 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MWSQHRRRNFDEEYSLIRESRRHENPYAEGFNDMDNYREADRYQGFDSFHAIRENCNNADNLREINSYRDYGFDRHARFGVRDREEIGSDNYEVRHRYPQSREDSRERDYDYGRYSYDSDHERGRRDGSWRRRDSRDRERDKRGLSRERDQSPHRRCHDRSRSRGHDDRSRSRSPRGRSRGRSHREDNYDDDRYERNEKQRDRDRDEKRHFDSSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPTMEAARTMMDGFGDDGLVIDGRKLFFEYSSKPTGGAGGPSFGSEGSYRSGYGHGRNTIPPSDWMCTMCGCVNFARRTSCFQCNEGRTEDAPLADVASSNPTPLGRKGSEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFLHFHSVEDATKALEATNGTMLEKNGQILRVAYAKSIHGPAAGAPQSSSLAAAAIEAATFAQQYDAVGWAPKEYNPDEKQSTGGHEQDGNASAQKGCATPQSGFVWDEKSGYYYDAASGFYYDGNTGLYYDSNSGAWYSYDHQSQQYVPCTEQSDNKVTGEATHETSKTSDAASNRKVVISAPATTTKLNEKASLPDAVQAAAAAALAAEKKEKERSKEIKLASKSSLLANKKKMNNVLAMWKQRNHEGQAARVIIDENPHYNSNDDKQGASSNTGRGVSSTSAAQTVPAESQDAPRPVGNSLGGTLMGVIRGIW >DRNTG_03175.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4491930:4494149:-1 gene:DRNTG_03175 transcript:DRNTG_03175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHGHAVPLEVVGTVLEMADMAWTALEHRRERQHEAAEDQELAQLRAENLRLRGLFGGESHSSSRPLPGSIHLSGLSS >DRNTG_10512.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4303242:4304293:-1 gene:DRNTG_10512 transcript:DRNTG_10512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRNPSADMLLTEAELNMSLIKNAKIFHYGSISLITEPCRSAHMAAMKAARDAGALLSYDPNVRLPLWPSEQAAREGIMSIWKHADFIKVSDDEVAFLTQGDPYNEDVVLSLWYEGLKLLLVTDGEKGCRYFTKDFKGKVGGYSVKTVDTTGAGDAFVGAFLFIVAAVSEGSLFEDEGELKEALKFANACGAIATTKKGAIPALPTASMAVDLISTSN >DRNTG_13958.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:12191175:12211676:1 gene:DRNTG_13958 transcript:DRNTG_13958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPSKNDRGPENRARSGNYPCPCGNSAGPVKRPTPVESPDSSPYLKLDSARFLPSGRGQSGAPIQWSINIESWWSIHIRVTTTMTPLTPIPQPSIVAPIVQSPPGPYVETSTHATEDTPIEMEGQSNESAPFPWMALAVWEGLQRYWESDDFKRKSEKNKLNRAEIGSSSTIIYRGGSVSTAVHHLRLVEELGREPTMKECFIRTNKKKGWHTRAIGSHNKVIGKR >DRNTG_21475.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2694338:2695974:-1 gene:DRNTG_21475 transcript:DRNTG_21475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASIEIAPKLEEDEPLISRINIHKFAAEFIGTYILILIGVGSIIVDERVHITLLGVALAWGTVVIALVYTIGHISGCHLNPAITIAFASIRQFPWKLVLPYMVVQVLSATLACLTLRCLFVGENASLMLSHPIGSTGNLNAVTSEIIVTCILMFVVCGTVTDPMAINDLAGVAIGATVIFNVVIAGTISGASMNPARSLGSAFATMNFQGIWIYITAPVIGAVAGSSPYFFVSLHDFKKKSSMTLKT >DRNTG_15983.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5142938:5144503:-1 gene:DRNTG_15983 transcript:DRNTG_15983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMIVVVGETYCGAEGRQLTVRKTSVFYPGDGFAAYEHSTGELVFRVDTYGRGPALATDLVLMDPSGASILTLRKKWPSLHQRWEGYLGDRMEGQKPLFTVKRSSIFGGERAGVVVEVHDTDHAKGTEYRIEGSFAHRCCRLLYLDNKSVEDRDEQGSIRDAAGDGVVAAEIKRKVDEHAHVMLSKDVFCLHVQPRFDAAFAMGLILVLDQISGDDDVDNVDDFSALPDDNQDARSPSS >DRNTG_02525.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8080849:8083748:1 gene:DRNTG_02525 transcript:DRNTG_02525.7 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRDPKNNDNQVKSNVSDHEKKEPILVHGSPGDNSDVRGIETHFSKESLEICLLKLSLCFLHLWGIDHELDKFLMDEMNISKPEGFYISSGMLGDREALTLMFPGLQATLEVFIVIYHVLLVFSILKLFCIKKFLLVF >DRNTG_02525.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8077282:8083748:1 gene:DRNTG_02525 transcript:DRNTG_02525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIPAISLIRVCLNKFSQGHTGAVLCLAAHQMAASLNNQSLNHVLISGSLDCTVRVWNLDNGCLLWILHHHIAPLKEIILPPLQTARPWNDCFLSVGEDGCVALVSIQTLRVERMFPGHPSCPSMVVWDSRRGFIACLCRNTSESSDAASILYLWDLKKSAQDHVIRGIASRSMFDHFGNNNQNFIGLEDFEFRGSSLHKMIRLISFSIVGSAYLNFMGNEFGHPNRVEFPMESNNYSFAFANRKWDLLKDKGLHMGLCNFDKEMMKLDEEEQILSRNPSTVHHVDDLKMTGYMVYH >DRNTG_02525.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8077282:8083748:1 gene:DRNTG_02525 transcript:DRNTG_02525.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIPAISLIRVCLNKFSQGHTGAVLCLAAHQMAASLNNQSLNHVLISGSLDCTVRVWNLDNGCLLWILHHHIAPLKEIILPPLQTARPWNDCFLSVGEDGCVALVSIQTLRVERMFPGHPSCPSMVVWDSRRGFIACLCRNTSESSDAASILYLWDLKKSAQDHVIRGIASRSMFDHFGNNNQNFIGLEDFEFRGSSLHKMIRLISFSIVGSAYLNFMGNEFGHPNRVEFPMESNNYSFAFANRKWDLLKDKGLHMGLCNFDKILENTQNTQAP >DRNTG_02525.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8077282:8083748:1 gene:DRNTG_02525 transcript:DRNTG_02525.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIPAISLIRVCLNKFSQGHTGAVLCLAAHQMAASLNNQSLNHVLISGSLDCTVRVWNLDNGCLLWILHHHIAPLKEIILPPLQTARPWNDCFLSVGEDGCVALVSIQTLRVERMFPGHPSCPSMVVWDSRRGFIACLCRNTSESSDAASILYLWDLKKSAQDHVIRGIASRSMFDHFGNNNQNFIGLEDFEFRGSSLHKMIRLISFSIVGSAYLNFMGNEFGHPNRVEFPMESNNYSFAFANRKWDLLKDKGLHMGLCNFDKILENTQNTQAP >DRNTG_02525.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8077282:8083748:1 gene:DRNTG_02525 transcript:DRNTG_02525.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIPAISLIRVCLNKFSQGHTGAVLCLAAHQMAASLNNQSLNHVLISGSLDCTVRVWNLDNGCLLWILHHHIAPLKEIILPPLQTARPWNDCFLSVGEDGCVALVSIQTLRVERMFPGHPSCPSMVVWDSRRGFIACLCRNTSESSDAASILYLWDLKKSAQDHVIRGIASRSMFDHFGNNNQNFIGLEDFEFRGSSLHKMIRLISFSIVGSAYLNFMGNEFGHPNRVEFPMESNNYSFAFANRKWDLLKDKGLHMGLCNFDKILENTQNTQAP >DRNTG_02525.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8077282:8080756:1 gene:DRNTG_02525 transcript:DRNTG_02525.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIPAISLIRVCLNKFSQGHTGAVLCLAAHQMAASLNNQSLNHVLISGSLDCTVRVWNLDNGCLLWILHHHIAPLKEIILPPLQTARPWNDCFLSVGEDGCVALVSIQTLRVERMFPGHPSCPSMVVWDSRRGFIACLCRNTSESSDAASILYLWDLKKSAQDHVIRGIASRSMFDHFGNNNQNFIGLEDFEFRGSSLHKMIRLISFSIVGSAYLNFMGNEFGHPNRVEFPMESNNYSFAFANRKWDLLKDKGLHMGLCNFDKILENTQNTQAP >DRNTG_02525.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8077282:8083748:1 gene:DRNTG_02525 transcript:DRNTG_02525.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIPAISLIRVCLNKFSQGHTGAVLCLAAHQMAASLNNQSLNHVLISGSLDCTVRVWNLDNGCLLWILHHHIAPLKEIILPPLQTARPWNDCFLSVGEDGCVALVSIQTLRVERMFPGHPSCPSMVVWDSRRGFIACLCRNTSESSDAASILYLWDLKKSAQDHVIRGIASRSMFDHFGNNNQNFIGLEDFEFRGSSLHKMIRLISFSIVGSAYLNFMGNEFGHPNRVEFPMESNNYSFAFANRKWDLLKDKGLHMGLCNFDKEMMKLDEEEQILSRNPSTVHHVDDLKMV >DRNTG_07813.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1332529:1338234:-1 gene:DRNTG_07813 transcript:DRNTG_07813.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLYLSKPVADASGDGDLVEGRLSLLQRLESIIWSIITLGGRYEARLWLCNTISCIQCITSRNQCELFRELLRSKPAKHDVAAQLLRMILEKSPGEIGPVIAKKSYLLEKFFEGHKKGARALSQFAFVNRDMCWEELEWKGSHGQSPAVVATKPHYFHDLDVLHTVENFLEYVPDFWSSHELAESLRDGEIFKIDTQYFVDQFIKLLYEENFKELWAAVEKFLTEEQYSYLCQHLLVLLDEHELLAFLKSVSKYIFAGVQHKDFGYPSGWLEYLLSTSSDMTLNELFLLNAVISHGRKLLHLLSDEEHAEENGRLEELLRTASMFSDADHWALIRECMRMQKKVAIKWAGLHSWALHYCLSKECKTRESCESIFIKNGIGFQKADDYTLINNDGYQEIYGSGADDKGSRRTGGQKRKRDKKKRRRNKNDHEEWNSDGLEDFKTLNGQETLKLEERSWLLSTDGYSCSWHIADLPEHLARYCFLTWMKWIYSKW >DRNTG_07813.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1332529:1335675:-1 gene:DRNTG_07813 transcript:DRNTG_07813.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCWEELEWKGSHGQSPAVVATKPHYFHDLDVLHTVENFLEYVPDFWSSHELAESLRDGEIFKIDTQYFVDQFIKLLYEENFKELWAAVEKFLTEEQYSYLCQHLLVLLDEHELLAFLKSVSKYIFAGVQHKDFGYPSGWLEYLLSTSSDMTLNELFLLNAVISHGRKLLHLLSDEEHAEENGRLEELLRTASMFSDADHWALIRECMRMQKKVAIKWAGLHSWALHYCLSKECKTRESCESIFIKNGIGFQKADDYTLINNDGYQEIYGSGADDKGSRRTGGQKRKRDKKKRRRNKNDHEEWNSDGLEDFKTLNGQETLKLEERSWLLSTDGYSCSWHIADLPEHLARYCFLTWMKWIYSKW >DRNTG_07813.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1332529:1338234:-1 gene:DRNTG_07813 transcript:DRNTG_07813.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLYLSKPVADASGDGDLVEGRLSLLQRLESIIWSIITLGGRYEARLWLCNTISCIQCITSRNQCELFRELLRSKPAKHDVAAQLLRMILEKSPGEIGPVIAKKSYLLEKFFEGNPRRILLWFDNFASVGESGHKKGARALSQFAFVNRDMCWEELEWKGSHGQSPAVVATKPHYFHDLDVLHTVENFLEYVPDFWSSHELAESLRDGEIFKIDTQYFVDQFIKLLYEENFKELWAAVEKFLTEEQYSYLCQHLLVLLDEHELLAFLKSVSKYIFAGVQHKDFGYPSGWLEYLLSTSSDMTLNELFLLNAVISHGRKLLHLLSDEEHAEENGRLEELLRTASMFSDADHWALIRECMRMQKKVAIKWAGLHSWALHYCLSKECKTRESCESIFIKNGIGFQKADDYTLINNDGYQEIYGSGADDKGSRRTGGQKRKRDKKKRRRNKNDHEEWNSDGLEDFKTLNGQETLKLEERSWLLSTDGYSCSWHIADLPEHLARYCFLTWMKWIYSKW >DRNTG_14327.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12310637:12321603:-1 gene:DRNTG_14327 transcript:DRNTG_14327.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIPTPVRPSHRGSRKPRGKHTPVCLLGMERAPLQRFARVCENYPHPR >DRNTG_13186.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000600.1:23944:29544:-1 gene:DRNTG_13186 transcript:DRNTG_13186.4 gene_biotype:protein_coding transcript_biotype:protein_coding HIHGKLSRILQSHAWFLFSALYEIKEKETGPWTSDSNILQQEVHRKESSYKDHVHHHHHYPHSLSNRRSGNEARREHSFVG >DRNTG_13186.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000600.1:23634:29544:-1 gene:DRNTG_13186 transcript:DRNTG_13186.3 gene_biotype:protein_coding transcript_biotype:protein_coding HIHGKLSRILQSHAWFLFSALYEIKEKETGPWTSDSNILQQEVHRKESSYKDHVHHHHHYPHSLSNRRSGNEARREHSFVG >DRNTG_13186.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000600.1:23634:29544:-1 gene:DRNTG_13186 transcript:DRNTG_13186.1 gene_biotype:protein_coding transcript_biotype:protein_coding HIHGKLSRILQSHAWFLFSALYEIKEKETGPWTSDSNILQQEVHRKESSYKDHVHHHHHYPHSLSNRRSGNEARREHSFVG >DRNTG_04757.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15250419:15251246:-1 gene:DRNTG_04757 transcript:DRNTG_04757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLEVLASFDFDCSYSSSRALTPSSSEPLDNITLGVEKTIEPSPLSFETMRLMR >DRNTG_18724.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1114396:1115189:-1 gene:DRNTG_18724 transcript:DRNTG_18724.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLRTHLRLSVGASPSILLIAMLFLCVSVSATKHDVGGKQGWAPNVNYTDWASHERFFVGEWLVFYYQKGMYDVVQVNSTAFDRCSAENAIMNWSRGHSFAFQLKDAGNYYFICSRGQCYNGMKLSILVHETPAPAPSLPLQSAPSPSGSSALTRFISLNFAAAAVFLAGVF >DRNTG_07279.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000331.1:26745:31165:1 gene:DRNTG_07279 transcript:DRNTG_07279.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTPPQYKHMLGGPSLKVDAHTGAIAEGLLTFLITFTVLWIIIKGPQKFGHQAWLLAACTVAFVIAGTGYTGPSMNPANAFGWAYIHNRHNTWEHFYVYWISPFIGAVMASWLFRIIFPPPAAKVKKA >DRNTG_07279.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000331.1:26745:31165:1 gene:DRNTG_07279 transcript:DRNTG_07279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTPPQYKHMLGGPSLKVDAHTGAIAEGLLTFLITFTVLWIIIKGPQKFGHQAWLLAACTVAFVIAGTGYTGPSMNPANAFGWAYIHNRHNTWEHFYVYWISPFIGAVMASWLFRIIFPPPAAKVKKA >DRNTG_29835.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23190895:23192003:-1 gene:DRNTG_29835 transcript:DRNTG_29835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKAEKISTLILEVDLCCCKCHRKIKKILCKLKDQENIKEINFDVKNNKVIISGPFDPHTLTRTLYFKACKIIKKITTPPPDPNPTPTPLPTPKPTKPELPPGPPCCAKPTYQWLYGKLKCASCGMVYTWTNKCLPPPADPCKHQCPSEVPAVCCPQPSYVGMCGGTMCTSCGPQTNQDPPTVTVSNQVCVPQSNHAYGCACGCGQLKPCHFIYDDNTPSCTIM >DRNTG_17033.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10758151:10760919:-1 gene:DRNTG_17033 transcript:DRNTG_17033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNREAEEERRGGGDGDVVGDGVMYVKVMTDEQMEVLRKQISAYATICEQLVEMHKAMTAQQDSLAGMKLGNLYCDPLMTTGCHRITARQRWTPTPMQLQILENIFDHGNGTPSKQKIKDITAELSQHGQISETNVYNWFQNRRARSKRKQTASLPNNSESEVEAEVESPDEKKSKSERILSHDNLHQVIPASSFHTKEQDHSRTQNIFPSNDNVKPSPTFNQIPFYGGSLSNQRMDHLMGKEYPGNFGFFQPGERYDMLQ >DRNTG_14626.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000724.1:225:4659:1 gene:DRNTG_14626 transcript:DRNTG_14626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECVPTSSYSSLAHPFGGAQRQSHSSILIYAHKNESSTNMYIIEEATDSRGKRVPVCVTPMKIWNWEVIQVEDCSSSLQHHFSMYCSQPAEKSEKQRIHTGMWKLSTAVWKFLTGACIVHARGVARFQPYLKPIQPDFVFATASYYNTGPKHSRIHAFIEVHSKVLVVLESNVIHMAIKYAKFCKCNYGLPTCLHMVSIPTVWPAVGIKEFFKEEKNSWPLLKRKKPRNDNDLSRSIDLEDISINTHTGSLLDTVTEKRSIKAGEERPRNSPKTSS >DRNTG_32498.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21007042:21009515:1 gene:DRNTG_32498 transcript:DRNTG_32498.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLPRPLPTSTFELDTDMSRSEGDNEVGDSGKQEHVGTGDHLSTDDLLQQHIKRAKRVRAELQKERLMRIDRCKQRLALLLPVPSELGKNLGHNDN >DRNTG_32498.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21004574:21009515:1 gene:DRNTG_32498 transcript:DRNTG_32498.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPPSSSASDPVAAAPIIAGVNPSKPYPQIFSPTAAASGAEPLIGKPLNPPPPGPPSQAVVFSIPRGIPFRPRPPIADQTVTVAGSAGYVRGSGQQTPLVAFATGQGRGPFMFPADQTGQRPPPASAVHMMRPPQPQAPFVIPRQARSAAPGAAATGAPKSAPINAGPPKAGSFPAASPNPELSNCKERDKSNEDTVVTIQGRKVRIVDGGSDSLYALCRSWVRNGVPQEPQTTFGNGMKVLPRPLPTSTFELDTDMSRSEGDNEVGDSGKQEHVGTGDHLSTDDLLQQHIKRAKRVRAELQKERLMRIDRCKQRLALLLPVPSELGKNLGHNDN >DRNTG_05276.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19514786:19518524:-1 gene:DRNTG_05276 transcript:DRNTG_05276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQFLFTILSILLSSIFCVSAFSPFTVYFLNCGSSSNPSNISSSTSNSTVSLKPLPSLHADIPARVFASDSRVLPSSSTSNSTGPGTNPINVQPNSYHNTARLFNQTSSYTFPPINTTVTHVLSCGKRSTHVGITVIIISAVAATLVSLIVISITIIILMRKRTKPTPLNPKANTTAAVSRPPQHNDSINKCSNGATPRMKMELELYIPLSNIKLATNGFDESLVIGRGGFGKVYKGILSNGTMVAVKRATGKSRQGYPEFVNEINLLSKIRHRHLISIIGYCDEMGEMILVYEFMENGTLKYYLYGSTDLPCLSWKQRLQVCIGAARGLHYCNVCKNFFFFIFDCRRSDLVVVDLLLAMEMYFCVFFSCFFGLFQILRIFLYSEKIKFRTWGCLRVVLSALSVSCYYLLESWGPVPLDAADLSAGPAVGLGRDNYLHNAHSPVIIHRDVKSVNILLGHDLLAKISDFGISKLGPLLGENTYVSTGVKGSFGYFDPEPVIDPRFKDEAVNLADWALHYMKKGKLDKIIDVRLGGEINPKSLEKFGKIAERCLAKYGDNRPTIGDVLWNLEYALHLQETELIREPHEDSGIVDSQIQSLSLVRRMLSSSTDVDEDNNACD >DRNTG_16412.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28883120:28888502:-1 gene:DRNTG_16412 transcript:DRNTG_16412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHSCPTYQGTHAPLGGQTAFPTQMSSSAVENSSAFQDYGLQEGQGSLKNVGEALQHQHQALHMGNSIKEKGGTDSGKCSQSLVPGGGNPSSHVDLEPSQSSKVEKVSRKKKYDPDVFFKVNGKLYQKLGKIGSGGSSEVHKVISADRSIYALKKIKLKGRDYPTAYGFCQEIEYLNKLKGKNNIIQLIDYEVTDKTLLMEVVNGSMTVKEGRIKDDEHIYMVLEYGEIDLAHMLSQKWKEMDSSNWRLDENWLRFYWQQMLEAVNTIHEERIVHSDLKPANFLLVRGSLKLIDFGIAKAILSDTTNIQRDAQVGTLNYMSPEAFMWNEHDANGNTIKCGRPSDIWSLGCILYQMVYGKTPFAEYKTFWAKFKVITDRNHEIVYEPVSNPWLIDLMRRCLAWDRNERWRIPELLRHPFLVPPLPEELPLPQDHPCRLLMQKVQLFWNEPKVSQLCSELHMIVENLKQASDLTRHA >DRNTG_01356.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:324658:326008:-1 gene:DRNTG_01356 transcript:DRNTG_01356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTLCGIDVCLLFYDPSSSSTAPALTFPSDPSQVSRILSRYQSHTGRVKDETPKYLRRHPSEPEIVDSPDDMVVDCAEETAEKVIVCQTEQWIDGEPIRALVDLVDEWVSEAEWIGSGKKKGMRSFSRREKALRSIAEDLDVMLCSVNNRILALLSRNVDQPQDFNSDSLIIKVM >DRNTG_19331.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5344461:5344787:-1 gene:DRNTG_19331 transcript:DRNTG_19331.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVSACEVKEVRKRFISEFRSHGMESGMRGKRQMPSRKRVR >DRNTG_28018.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23000385:23001539:1 gene:DRNTG_28018 transcript:DRNTG_28018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSISRRAKLCSWNFGTSACDMQTLLLFNTCSINSMTNSLLLWAVAHIRQMDSPRFGCH >DRNTG_15774.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17451844:17453804:1 gene:DRNTG_15774 transcript:DRNTG_15774.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFMAHLLAFSLFMAFRVCTSDPILSCTQTPYPYVCTSSISNTIKDSLGFLNSALQATLDHAVQTHKLASSIDVSLFDERAKAAWNDCLELCEDTISHLNKCMSPNSVSFDDSQTWLSAAIANQQTCMNGFKELNVNLSHLPSFPFTSNNISKFISNSLAINKAFSPVKSGGNRRLLSDNFPNWLSISDRKLLQSSTVKADLTVAQDGSGDYKTISEAVSASSKIRSGSSRVVISVKAGVYNENVQIGNSMKNIMMVGDGIDQTIVTGSKNVQDGSTTFQSATFAVTGSGFIAKGMTFENTAGPQKHQAVALRSGADLSVFYQCSFKGYQDTLYVYSQRQFYRNCDIYGTVDFIFGDAAAVFQNCNMYVRKPMSQQQNTVTAQGRTDPNENTGIIVHNSVVSATSELQSVQGSIKTYLGRPWQKYSRTVFMKTSLGSLIDPAGWLAWDGDFALSTLYYGEYMNTGSGASTSGRVKWAGYHVITSASEAGKFTVGNFLAGNSWIPATGVPFTSGL >DRNTG_14015.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27650034:27653851:1 gene:DRNTG_14015 transcript:DRNTG_14015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKSVGDLKGADLKSKRAFVRVDLNVPLDENLNITDDTRIRAAVPTIKYLMEHGARVILSSHLGRPKGVTPKYSLKPLVPRLSELLGVNVEMANDCIGEEVEKMVAALPDGGVLLLENVRFHKEEEKNDPEFSKKLASLADLYVNDAFGTAHRAHASTEGVAKFLKPAVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLFEKVDILILGGGMIFTFYKAQGYSVGSSLVEEDKLDLATALIEKAKTKGVSLLLPTDVVIADKFAADANSKVVLASEISDGWMGLDVGPDSIKTFNETLDTTQTIIWNGPMGVFEFEKFAAGTEAIAKKLAELTGKGATTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKPLPGVLALDDA >DRNTG_30939.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2506194:2507177:1 gene:DRNTG_30939 transcript:DRNTG_30939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRVGGAHGGAPTDLLVCFPSKAHLGLIPKPICSPSRPGEPGKRNGGKAGGGRGQASPLFKNKSKNLSNEIADEPTSPKVTCAGQIKVRPKPRPRPNSNSKDWLSVVEEIERFHKEKKKPHWLEGLGIKKDIMQFMGALRGLRFDMRCFGSFHGAVDCSSEDEEEDDEDEDEDEEDGDDDDDDQDDEDKRDEGEKRLEEEISARTLREEEKEKERLILMSYAPDFFKVSTDIAKETWVVSGMDPLARSRSWRK >DRNTG_34865.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21960662:21962024:1 gene:DRNTG_34865 transcript:DRNTG_34865.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEEEVRRVPEFGGEIPGTSATGQEADSLAEPDLAQTSTQTSQRKRGRSPADKEHKRLKRLLRNRVSAQQARERKKAYMNDLEAKVKDLETKNSELEERLSILQNENQMLRQVCFHSKFIFPQFSINQNVIEFPLSCIGFHMSCPGQRVLLLAVIYL >DRNTG_34865.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21960136:21962024:1 gene:DRNTG_34865 transcript:DRNTG_34865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPATSSLPSSSERSSSSGPQMDFREGMEGDEEEVRRVPEFGGEIPGTSATGQEADSLAEPDLAQTSTQTSQRKRGRSPADKEHKRLKRLLRNRVSAQQARERKKAYMNDLEAKVKDLETKNSELEERLSILQNENQMLRQILKNTTRQSEKN >DRNTG_34865.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21960210:21962024:1 gene:DRNTG_34865 transcript:DRNTG_34865.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPATSSLPSSSERSSSSGPQMDFREGMEGDEEEVRRVPEFGGEIPGTSATGQEADSLAEPDLAQTSTQTSQRKRGRSPADKEHKRLKRLLRNRVSAQQARERKKAYMNDLEAKVKDLETKNSELEERLSILQNENQMLRQILKNTTRQSEKN >DRNTG_01724.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23576483:23581062:1 gene:DRNTG_01724 transcript:DRNTG_01724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALGSLSFFGTLRPPSTSTARRSKKPLMIHSRFERPLEDIYNVRVERGISKARLEKLGIDRWSTWKTGKCQFPWDWHVDQLVYVVSGEVRVVPEGATSGERHMRFVAGDLIRYPKWFEADIFFNGPYEEKYRFLAFGED >DRNTG_01724.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23576403:23578413:1 gene:DRNTG_01724 transcript:DRNTG_01724.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALGSLSFFGTLRPPSTSTARRSKKPLMIHSRFERPLEDIYNVRVERGISKARLEKLGIDRWSTWKTGKCQFPWDWHVDQLVYVVSGEVRVVPEGATSGERHMRFVAGDLIRYPKWFEADIFFNGPYEEKYRFLAFGED >DRNTG_01724.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23576483:23578311:1 gene:DRNTG_01724 transcript:DRNTG_01724.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALGSLSFFGTLRPPSTSTARRSKKPLMIHSRFERPLEDIYNVRVERGISKARLEKLGIDRWSTWKTGKCQFPWDWHVDQLVYVVSGEVRVVPEGATSGERHMRFVAGDLIRYPKWFEADIFFNGPYEEKYRFLAFGED >DRNTG_01724.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23576483:23578311:1 gene:DRNTG_01724 transcript:DRNTG_01724.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALGSLSFFGTLRPPSTSTARRSKKPLMIHSRFERPLEDIYNVRVERGISKARLEKLGIDRWSTWKTGKCQFPWDWHVDQLVYVVSGEVRVVPEGATSGERHMRFVAGDLIRYPKWFEADIFFNGPYEEKYRFLAFGED >DRNTG_01724.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23576483:23578311:1 gene:DRNTG_01724 transcript:DRNTG_01724.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALGSLSFFGTLRPPSTSTARRSKKPLMIHSRFERPLEDIYNVRVERGISKARLEKLGIDRWSTWKTGKCQFPWDWHVDQLVYVVSGEVRVVPEGATSGERHMRFVAGDLIRYPKWFEADIFFNGPYEEKYRFLAFGED >DRNTG_06835.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:8310161:8311279:-1 gene:DRNTG_06835 transcript:DRNTG_06835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDGRAKNIHARAPDPHGQMHVPVASLDIRERYQVFHTPVQKFPTGVDIHKPKSQGQPHTPVFSWDGGSNLQSFARAYGNYPRPCVVHKVTHRGEPTPLCTLGKICPTLQEGTRPGCTIGLRTT >DRNTG_15576.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:517401:517777:1 gene:DRNTG_15576 transcript:DRNTG_15576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSSNLSIWGTWEDLLLAYAVRRHGTNCWDSVAAELRHRSSYGHLLNSRCCHLRFNQLHRRFSTGNPFADTLEGSSDVPWLEDLRRLRVAELRRDVQRYDASIM >DRNTG_19265.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:389762:393502:1 gene:DRNTG_19265 transcript:DRNTG_19265.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFVCISRIDEEKNDLIISEKEAWDMLHLKEGTLLDGTIRKIFPYGAQVRIGETNRSGLLHISNITRARISAVDDVLKVGEKVKVLVVKSMFPDKISLSIADLESEPGLFLSNKEKVFSEAEEMSKQYRQRLPAVSRTSESFPADVLPFDDESKLYANWEWFKFEQEQDQDLEPNCTDISTP >DRNTG_19265.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:389762:393502:1 gene:DRNTG_19265 transcript:DRNTG_19265.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSELKQLHNPIEVKIFEWNTGGLLTRIEGLRAFLPKAEMMTRASSFMDLKQNVGRQMFVCISRIDEEKNDLIISEKEAWDMLHLKEGTLLDGTIRKIFPYGAQVRIGETNRSGLLHISNITRARISAVDDVLKVGEKVKVLVVKSMFPDKISLSIADLESEPGLFLSNKEKVFSEAEEMSKQYRQRLPAVSRTSESFPADVLPFDDESKLYANWEWFKFEQEQDQDLEPNCTDISTP >DRNTG_19265.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:389762:393502:1 gene:DRNTG_19265 transcript:DRNTG_19265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLRFALLLPQTLSSFPSRRPRFLPNTRRNPTSRTLKPLIAFSSPADGELELLGKPFPIPVPEEDGDEPPKTSEETLAPFLKLFRSDEQGEIKQRELEQKPHRGLVGVEYYDPKPGDFVVGVVVSGNENKLDVNVGADMLGSMLTKEMLPFYEGEMPYLLCDLEKDAEEFKVGGKIGVVKDDEALSGGKVPGRPVVEPETVIFAEVLGRTLSGRPLLSSRRLFRRVAWHRVRQLKQLHNPIEVKIFEWNTGGLLTRIEGLRAFLPKAEMMTRASSFMDLKQNVGRQMFVCISRIDEEKNDLIISEKEAWDMLHLKEGTLLDGTIRKIFPYGAQVRIGETNRSGLLHISNITRARISAVDDVLKVGEKVKVLVVKSMFPDKISLSIADLESEPGLFLSNKEKVFSEAEEMSKQYRQRLPAVSRTSESFPADVLPFDDESKLYANWEWFKFEQEQDQDLEPNCTDISTP >DRNTG_34870.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21945606:21949541:1 gene:DRNTG_34870 transcript:DRNTG_34870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALQAIASATQIVYSMIGAVSALEQASRNLSEAPNRIRVLDEFVSDLENLTRHIKLKHAHKLHNPQLDRQFSSLTGLIERLHLNLSKARRVVAKNKAKNFAKVVWSSMVGDPLSKLIQLIRDDLNWWLELQKLTENVERVIESTAENAPFLLKVSAEQGYPVLNKCNYVRKLLEQDETHRVILLVGLSGIGKSCLARHVAADPPGRFVHGAVELGFGQWCSRAACNGSRNEYHKRLAKRLGTFLVQIGFMKKVKNETNGDLEDMCYLLQTALVGKKLLVLLDDVWEQDIVERFTKLYDNDCKYLVTTRNEAVFEITEAEKVEILMFVVCCRDFA >DRNTG_33275.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23896850:23899110:1 gene:DRNTG_33275 transcript:DRNTG_33275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRSGALRRYSSCAHEGEQQAMLAETAKRVCETIRTTPRWESRLLSLFPSAQIFHPDCIRLVLSSSNPLLSLRYFLWLSSSSSSSSSPLDPTPLIDSLARAKAWKPALHAIRSTKCLPETQVLHSLLLLLLDDAAGIDHAFDVLSLLDAQSLPLPTWNAALSGSLRAGKTDLFWLFYQSMMQSGASGGDASTASLLIQALCKEKKLFEACGLLREACRNGIMPDVASITQLISGFSRAGNYGKVSELLHLMIAGGRPPDIVTYQTIIHGLCANGMGDEAFRIFNDLKLRGYAPDTVTYTSMIDGLCKMRRMEEAKTLWCEMASKGMKPNHYTYGALLNGYCKSGDFHHARKVYDEMLSHGYKESIITCNMMIAGLCLHGRMAEAVDMFEGMTKKRIVPDVITYNTLIQGFCKNGSSITHAKDLYKQLLAKGLQPSVSTYTPIIRALCEEGSMADAVTMMTDMVEIRGLEPLIRTYDYIIVGFCKLGEAREALSWLHRMMKGKLKPRNDTLILLVECLSSVGQVDDALSVSNLLLELGYSLGGSACHQLVSALCCKTDKHTTKEWLEEIKVGN >DRNTG_11702.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14187048:14187985:1 gene:DRNTG_11702 transcript:DRNTG_11702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASTEMRKERVTQDDFGSHVKVLRKVSAGARSQSPACSPAGPSV >DRNTG_21130.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2509395:2515869:1 gene:DRNTG_21130 transcript:DRNTG_21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVDAPETFKSIEELSESNESGPLPCVRTSSI >DRNTG_21130.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2516677:2517472:1 gene:DRNTG_21130 transcript:DRNTG_21130.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKILALSLDHSIWFHRPVKADDWLLF >DRNTG_21130.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2507437:2512315:1 gene:DRNTG_21130 transcript:DRNTG_21130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDCERIDREAVFEFLGEVPLLQRLPSSSLNKIADLVQVRYFDSGQHVVRKGEKGEGLYLIWDGKACSSGSPEVLVGNHSDIQLKQYDYFGYCTIGSDYEVNVIALSKLICLVLRHENSNLLHPKSIWNADETHEGIALVQHILSLEPQEIYFMGIHCLRLQHLVMFLEGS >DRNTG_21130.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2512724:2517472:1 gene:DRNTG_21130 transcript:DRNTG_21130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKILALSLDHSIWFHRPVKADDWLLF >DRNTG_21130.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2507437:2508963:1 gene:DRNTG_21130 transcript:DRNTG_21130.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDCERIDREAVFEFLGEVPLLQRLPSSSLNKIADLVQVRYFDSGQHVVRKGEKGEGLYLIWDGK >DRNTG_21130.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2511361:2517472:1 gene:DRNTG_21130 transcript:DRNTG_21130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKILALSLDHSIWFHRPVKADDWLLF >DRNTG_21130.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2513631:2515869:1 gene:DRNTG_21130 transcript:DRNTG_21130.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVDAPETFKSIEELSESNESGPLPCVRTSSI >DRNTG_21130.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2507437:2509569:1 gene:DRNTG_21130 transcript:DRNTG_21130.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDCERIDREAVFEFLGEVPLLQRLPSSSLNKIADLVQVRYFDSGQHVVRKGEKGEGLYLIWDGKACSSGSPEVLVGNHSDIQLKQYDYFGYCMHLSLFYLLLLSSEEFV >DRNTG_28480.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8661161:8661395:1 gene:DRNTG_28480 transcript:DRNTG_28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEEEEEEEEEEEEEVAACYRRRLPAAATCPRSLLLSLSLLPR >DRNTG_28450.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20204753:20206617:1 gene:DRNTG_28450 transcript:DRNTG_28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSHCGNNGHNSRTCSSSSNNGSSIGLKLFGVQLLQMASSSSYSSSPSTSSSSSSSALHMKKSFSMDCLGPSSSCYHVCSSSSPSSSSSSSLVSIEEATDHHCKVSNGYLSDGLLGRGAQERKKGVPWTEEEHRTFLAGLEKLGKGDWRGISRNFVTTRTPTQVASHAQKYFLRQTNPNKKKRRSSLFDVVKSSDKFTTPKLIQDSFTLSLAPSSIMSSSSTLDHHHHQQQQQQQQPPPQQPPPQLDLELSISSPGIGTVRVT >DRNTG_17275.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5231646:5234141:-1 gene:DRNTG_17275 transcript:DRNTG_17275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHKEKHGLTMLQNVQADAKSSKKYLKDVVTENEFEKRLLTDVIPPNDVGVTFDDIGALENVNDTLKELVMLPLQRPELFCRGQLTKV >DRNTG_34602.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23216866:23227286:1 gene:DRNTG_34602 transcript:DRNTG_34602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMLEKIPEGDWLCEECKLKEDTENDEVDRTEVIQEKLEVQSLKENIVSSETVPSPKVSLRLDLEANDQEATGVLKRTHSQHVSAHRLTDNTEVSSITGKRSAESNGSSIETSSPRKKVALSCDSSLKKLDLTKGKLANMTSSIGSHSGHSSPQAFVKAQMSGSYPSKVQTQVQTPRGSLSRSMSLNNSGMKGKVKQLIENIPHKTKLTKEFSSKDTRKDGIVRTATKNASFRTIGSSRLNVETTIKTQFLNSPRSEDSKSFKHPKDRNPLEKKNSFKLDHTPAIPSTSNAVPSKAELKGAQISGKSNNVSESNIVSVTKRLGDANDLGHSEVKKQPLNAPKALGSSSLSSLGQKSYSIRSAKSSIQPETVPQHEDKSKDSNLFSSSRQAGPSGGRVLRCQKCNETGHATQFCSIDKLRVSALKPSAERNLKEATVKSDRWKDAVEALLSKTKVQKDGRSLDHADSTTVTPRSSRESGPKDVTTSSSNSFQGGLISRQEVFRSSATCPGLIGAAIGVKQPDNHQVETCIPVDRQPKALPTALKESTGLPSVPTMTDRCSDQGHPMRVSVFPELEFIWQGDFEVLRAGRLLEPCNGVQAHLSTCASPKVLEVVNKFPGKVKLEEVPRSGSWPLQFQRISPKEDNIALFLFARDIESYEKNYKKLLENMLKNDLALKGFIDEVELLIFPSDKLPENSQRWNNLFFLWAVFRERRIDCSDALPGPQRPCKSSLQEELLVQDSPSLLASDFSTSKNINLHEFPSTDSSKFEMLPKAGIIEHNTQMSSEAVVEPQCEISPCPDLGNQITTLPLCLEQNSGVASPKISAPVQVDRCAEPRKNKIFGNDAMGGIDAETSNNVQNSLNKHGALPILPVTCASTACGDGCEFSANLEKLQETTVLGSDPHDCMTSADNLSWESKSSRKRARSCSVDVNLQASGETLKVVDETMTGKENMNHGAEHIEKDHKKAKLCNDDGLADFPEPQRHREIIMDETKDNPESSRSVERFVFPFDLNSVSCEETETETEIERVIHVLSSDDEDIGEPVIPDLELALGGRKKPVKNERQPLIFPIVSSKINQHQRPAVRQNEYASASLSLSLAIPKSENEETVAGDVQQPSFWQL >DRNTG_34602.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23217576:23227286:1 gene:DRNTG_34602 transcript:DRNTG_34602.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMLEKIPEGDWLCEECKLKEDTENDEVDRTEVIQEKLEVQSLKENIVSSETVPSPKVSLRLDLEANDQEATGVLKRTHSQHVSAHRLTDNTEVSSITGKRSAESNGSSIETSSPRKKVALSCDSSLKKLDLTKGKLANMTSSIGSHSGHSSPQAFVKAQMSGSYPSKVQTQVQTPRGSLSRSMSLNNSGMKGKVKQLIENIPHKTKLTKEFSSKDTRKDGIVRTATKNASFRTIGSSRLNVETTIKTQFLNSPRSEDSKSFKHPKDRNPLEKKNSFKLDHTPAIPSTSNAVPSKAELKGAQISGKSNNVSESNIVSVTKRLGDANDLGHSEVKKQPLNAPKALGSSSLSSLGQKSYSIRSAKSSIQPETVPQHEDKSKDSNLFSSSRQAGPSGGRVLRCQKCNETGHATQFCSIDKLRVSALKPSAERNLKEATVKSDRWKDAVEALLSKTKVQKDGRSLDHADSTTVTPRSSRESGPKDVTTSSSNSFQGGLISRQEVFRSSATCPGLIGAAIGVKQPDNHQVETCIPVDRQPKALPTALKESTGLPSVPTMTDRCSDQGHPMRVSVFPELEFIWQGDFEVLRAGRLLEPCNGVQAHLSTCASPKVLEVVNKFPGKVKLEEVPRSGSWPLQFQRISPKEDNIALFLFARDIESYEKNYKKLLENMLKNDLALKGFIDEVELLIFPSDKLPENSQRWNNLFFLWAVFRERRIDCSDALPGPQRPCKSSLQEELLVQDSPSLLASDFSTSKNINLHEFPSTDSSKFEMLPKAGIIEHNTQMSSEAVVEPQCEISPCPDLGNQITTLPLCLEQNSGVASPKISAPVQVDRCAEPRKNKIFGNDAMGGIDAETSNNVQNSLNKHGALPILPVTCASTACGDGCEFSANLEKLQETTVLGSDPHDCMTSADNLSWESKSSRKRARSCSVDVNLQASGETLKVVDETMTGKENMNHGAEHIEKDHKKAKLCNDDGLADFPEPQRHREIIMDETKDNPESSRSVERFVFPFDLNSVSCEETETETEIERVIHVLSSDDEDIGEPVIPDLELALGGRKKPVKNERQPLIFPIVSSKINQHQRPAVRQNEYASASLSLSLAIPKSENEETVAGDVQQPSFWQL >DRNTG_11672.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:254018:260575:-1 gene:DRNTG_11672 transcript:DRNTG_11672.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQRNGLSDGDEGSDRVDQASGGDSSEESREMDGRGLPASLTLRLRDALQNEGDSDLLLDRGDLENGVLQWLRALDSQVLGACRADERMNPMLKLNVSSGVAEYQLMAHLSQHFEASEIGMLARCLCVPLVSIRVGKIKKQGSHLCPTSIRGHLNLTLLPSSNMCISFFGDDGCISKLAVVSSISESPAVAIEEISADRSGRSFLINLPGSGSLYFWCSEKSKSEGMRLLTKMKDLLLRRPSLAHLSGVSESRLASFATHLQAYFHGSTGIAEAANSAASSTSSIMSTSSEHESVCDPQALAPVPKSSCFRLGVSHTTKAHSLHQGSLSPKSSTFKDAPPRSSSLIRSSVRDKIRRRGGGNSSFVTGNGRPAASDIASNMTDALPANDHFVDQKLTELCDSVKCCISSIPCPSLPLASLSPLSVQLPIPRDVFPGAQLSPYYCWCPPCVTPVRSTLVTSCLSSTLESKPLPPFPSVLVAVEGPALVSSRSSTGVIERPVSMGPPNLLNESLFPLPFTAPVLVTTPLSPQIPTFTPLISDPIVHIPIIDVCSSGQGYLVSAGPAISSAIPPLLPNVVNPIILNSESALEKSARETLRMLMASTPITSSPHLMNVLPAVFGKENEKLAFIHVNNQADLPGDHGLIIKNIPSFESVASGERLSEHGSSDADERLDSDDTKYPKVFSCAMDDHSY >DRNTG_11672.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:254086:260575:-1 gene:DRNTG_11672 transcript:DRNTG_11672.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCISFFGDDGCISKLAVVSSISESPAVAIEEISADRSGRSFLINLPGSGSLYFWCSEKSKSEGMRLLTKMKDLLLRRPSLAHLSGVSESRLASFATHLQAYFHGSTGIAEAANSAASSTSSIMSTSSEHESVCDPQALAPVPKSSCFRLGVSHTTKAHSLHQGSLSPKSSTFKDAPPRSSSLIRSSVRDKIRRRGGGNSSFVTGNGRPAASDIASNMTDALPANDHFVDQKLTELCDSVKCCISSIPCPSLPLASLSPLSVQLPIPRDVFPGAQLSPYYCWCPPCVTPVRSTLVTSCLSSTLESKPLPPFPSVLVAVEGPALVSSRSSTGVIERPVSMGPPNLLNESLFPLPFTAPVLVTTPLSPQIPTFTPLISDPIVHIPIIDVCSSGQGYLVSAGPAISSAIPPLLPNVVNPIILNSESALEKSARETLRMLMASTPITSSPHLMNVLPAVFGKENEKLAFIHVNNQADLPGDHGLIIKNIPSFESVASGERLSEHGSSDADERLDSDDTKYPKVFSCAMDDHSY >DRNTG_11672.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:254086:260575:-1 gene:DRNTG_11672 transcript:DRNTG_11672.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCISFFGDDGCISKLAVVSSISESPAVAIEEISADRSGRSFLINLPGSGSLYFWCSEKSKSEGMRLLTKMKDLLLRRPSLAHLSGVSESRLASFATHLQAYFHGSTGIAEAANSAASSTSSIMSTSSEHESVCDPQALAPVPKSSCFRLGVSHTTKAHSLHQGSLSPKSSTFKDAPPRSSSLIRSSVRDKIRRRGGGNSSFVTGNGRPAASDIASNMTDALPANDHFVDQKLTELCDSVKCCISSIPCPSLPLASLSPLSVQLPIPRDVFPGAQLSPYYCWCPPCVTPVRSTLVTSCLSSTLESKPLPPFPSVLVAVEGPALVSSRSSTGVIERPVSMGPPNLLNESLFPLPFTAPVLVTTPLSPQIPTFTPLISDPIVHIPIIDVCSSGQGYLVSAGPAISSAIPPLLPNVVNPIILNSESALEKSARETLRMLMASTPITSSPHLMNVLPAVFGKENEKLAFIHVNNQADLPGDHGLIIKNIPSFESVASGERLSEHGSSDADERLDSDDTKYPKVFSCAMDDHSY >DRNTG_11672.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:253952:260575:-1 gene:DRNTG_11672 transcript:DRNTG_11672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQRNGLSDGDEGSDRVDQASGGDSSEESREMDGRGLPASLTLRLRDALQNEGDSDLLLDRGDLENGVLQWLRALDSQVLGACRADERMNPMLKLNVSSGVAEYQLMAHLSQHFEASEIGMLARCLCVPLVSIRVGKIKKQGSHLCPTSIRGHLNLTLLPSSNMCISFFGDDGCISKLAVVSSISESPAVAIEEISADRSGRSFLINLPGSGSLYFWCSEKSKSEGMRLLTKMKDLLLRRPSLAHLSGVSESRLASFATHLQAYFHGSTGIAEAANSAASSTSSIMSTSSEHESVCDPQALAPVPKSSCFRLGVSHTTKAHSLHQGSLSPKSSTFKDAPPRSSSLIRSSVRDKIRRRGGGNSSFVTGNGRPAASDIASNMTDALPANDHFVDQKLTELCDSVKCCISSIPCPSLPLASLSPLSVQLPIPRDVFPGAQLSPYYCWCPPCVTPVRSTLVTSCLSSTLESKPLPPFPSVLVAVEGPALVSSRSSTGVIERPVSMGPPNLLNESLFPLPFTAPVLVTTPLSPQIPTFTPLISDPIVHIPIIDVCSSGQGYLVSAGPAISSAIPPLLPNVVNPIILNSESALEKSARETLRMLMASTPITSSPHLMNVLPAVFGKENEKLAFIHVNNQADLPGDHGLIIKNIPSFESVASGERLSEHGSSDADERLDSDDTKYPKVFSCAMDDHSY >DRNTG_11672.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:254086:260575:-1 gene:DRNTG_11672 transcript:DRNTG_11672.6 gene_biotype:protein_coding transcript_biotype:protein_coding MISEYEGKKGDEKKRWICSSPSNFYSAKLWGHLNLTLLPSSNMCISFFGDDGCISKLAVVSSISESPAVAIEEISADRSGRSFLINLPGSGSLYFWCSEKSKSEGMRLLTKMKDLLLRRPSLAHLSGVSESRLASFATHLQAYFHGSTGIAEAANSAASSTSSIMSTSSEHESVCDPQALAPVPKSSCFRLGVSHTTKAHSLHQGSLSPKSSTFKDAPPRSSSLIRSSVRDKIRRRGGGNSSFVTGNGRPAASDIASNMTDALPANDHFVDQKLTELCDSVKCCISSIPCPSLPLASLSPLSVQLPIPRDVFPGAQLSPYYCWCPPCVTPVRSTLVTSCLSSTLESKPLPPFPSVLVAVEGPALVSSRSSTGVIERPVSMGPPNLLNESLFPLPFTAPVLVTTPLSPQIPTFTPLISDPIVHIPIIDVCSSGQGYLVSAGPAISSAIPPLLPNVVNPIILNSESALEKSARETLRMLMASTPITSSPHLMNVLPAVFGKENEKLAFIHVNNQADLPGDHGLIIKNIPSFESVASGERLSEHGSSDADERLDSDDTKYPKVFSCAMDDHSY >DRNTG_11672.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:254086:260575:-1 gene:DRNTG_11672 transcript:DRNTG_11672.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQRNGLSDGDEGSDRVDQASGGDSSEESREMDGRGLPASLTLRLRDALQNEGDSDLLLDRGDLENGVLQWLRALDSQVLGACRADERMNPMLKLNVSSGVAEYQLMAHLSQHFEASEIGMLARCLCVPLVSIRVGKIKKQGSHLCPTSIRGHLNLTLLPSSNMCISFFGDDGCISKLAVVSSISESPAVAIEEISADRSGRSFLINLPGSGSLYFWCSEKSKSEGMRLLTKMKDLLLRRPSLAHLSGVSESRLASFATHLQAYFHGSTGIAEAANSAASSTSSIMSTSSEHESVCDPQALAPVPKSSCFRLGVSHTTKAHSLHQGSLSPKSSTFKDAPPRSSSLIRSSVRDKIRRRGGGNSSFVTGNGRPAASDIASNMTDALPANDHFVDQKLTELCDSVKCCISSIPCPSLPLASLSPLSVQLPIPRDVFPGAQLSPYYCWCPPCVTPVRSTLVTSCLSSTLESKPLPPFPSVLVAVEGPALVSSRSSTGVIERPVSMGPPNLLNESLFPLPFTAPVLVTTPLSPQIPTFTPLISDPIVHIPIIDVCSSGQGYLVSAGPAISSAIPPLLPNVVNPIILNSESALEKSARETLRMLMASTPITSSPHLMNVLPAVFGKENEKLAFIHVNNQADLPGDHGLIIKNIPSFESVASGERLSEHGSSDADERLDSDDTKYPKVFSCAMDDHSY >DRNTG_00934.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21254295:21256687:-1 gene:DRNTG_00934 transcript:DRNTG_00934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQSVSTCHSCPPVSLRSFDPRRNGAVLILKSSNSSIRFSIKGRFRKGRAMAYLPNSDASTPIPDLKTSNGVPSPEASPRPGMVGIEPLRGKPGYVSFSGLSYQMLEESELVSSPFKEDARSFVWVVGPVALISSLLLPQLFLGNAIDAFLQDPILAEIVTLLSSEIIFYFGLATFLSVTNHVQQPYLEFSSKRWSLITGLRGYLTSAFFTMGLKVLAPLFAVLCFWPPLGLASVIAVAPFLLGCAVQCAFEMYLEQHRSSCWPLLPIIFEVYRLYQLNRGAHFLEKLLFSMRNAAVTPAMVERSGALLSMVMVFQLLGIVCLWSLTTFLLRLFPSRPVAENY >DRNTG_11399.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14068935:14071497:-1 gene:DRNTG_11399 transcript:DRNTG_11399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVITWTRTVEGSYCKHNADCRVASLSDVIAKAAAMSDRPRFLLTLFAPLISSSSLLTLSLLWEILFGEMAFKSSIAPGSPKLTIFLGEGSEVELPNCVLNTGVVGAEMRFGIIFESVQFVRRTSSFEENLTVPRLPSRGHRPNVIVPALENHTPYEKPRASVFQRLSCPKKSFSR >DRNTG_00874.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21842389:21843273:1 gene:DRNTG_00874 transcript:DRNTG_00874.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIQSYRNSAEIYNGDAFCKKKSIQLLEEIGLPNGLFPLDDIEEFGYNREAGFVWLIQKKKKDHTFKKIKRAVSYAPEVTAFVENGKMKKMTGVKTKELMLWLSVVEMYIEDPSSKKITFKTGTGLSDSFPVSAFELEE >DRNTG_30301.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10756389:10757117:-1 gene:DRNTG_30301 transcript:DRNTG_30301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQYDNISGRSTNVVEEPHNPAEPAPSSLPQDIPAVEHDLQRPPASGRHAASPNIHVLHLTTTMKIVGGGSQNVKNCP >DRNTG_16812.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1536345:1566845:1 gene:DRNTG_16812 transcript:DRNTG_16812.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIDSINTSNAGNGDLPEKYVPGTSCLANSSGRYKFSDSNVSTFLQSKSILQTNSLLQSASTLQIRDSMNNCF >DRNTG_34388.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4838895:4841258:1 gene:DRNTG_34388 transcript:DRNTG_34388.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSMQSLMKIKMEIGCWLEMFHGGEDERKTLDRELARAKVTTNGVAVVVANEWKDANDKVMPVRQWLEERRIMQGEMQQLRDKLAIAERIARSEAQLKICIPSAALKLFR >DRNTG_34388.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4839974:4841258:1 gene:DRNTG_34388 transcript:DRNTG_34388.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRQWLEERRIMQGEMQQLRDKLAIAERIARSEAQLKICIPSAALKLFR >DRNTG_34388.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4838895:4841258:1 gene:DRNTG_34388 transcript:DRNTG_34388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSMQSLMKIKMEIGCWLEMFHGGEDERKTLDRELARAKVTTNGVAVVVANEWKDANDKVMPVRQWLEERRIMQGEMQQLRDKLAIAERIARSEAQLKICIPSAALKLFR >DRNTG_12075.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000533.1:28819:36275:-1 gene:DRNTG_12075 transcript:DRNTG_12075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVTRPEGCVGVRKKRADGLRTRRKRRGIKRRKSMETINEIPDFEGCDPPADSNPAFQAVSGSIDECWFDTTGIMESDFDDDFHSVQDDVLSLSGHEVSSVSSLSSFKEATHEGVRVNTPTASSTVQEHKGSKLEGQSAGTTVTCPATQSHTGLTGANASVCYGNDSRKIVNEDSGEGSGILDNCGLLPNNCLPCLTPIVPTVEKRKSLSCSPPNSRRKATLKLSFKSKPGEGPGTPTPFSTKEFVERPLAGSQVLFCPAEKKMMNCWSKIEPSTFRVRGGNFLRDKRKDFAPNYAAYYPFGVDVYLCPRKIHHIGQLVDLPSVDSSSKFPSILIVNVQIPLYPAAIFQSETDGQGMSFVLYFRLSDNYSKELSSSFQENLARLIDDEVERVKGFPTDSIAPFRERLKILGRVVNVDELPLSAAERKLMNAYNEKPILSRPQHEFYLGENYFEIDLDMHRFSYISRKGFDTFIGRLKLCVLDVGLTIQGNKADELPEQILCCLRLNGIDFNEYQQFADHSSQELTSF >DRNTG_14851.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22983912:22986267:1 gene:DRNTG_14851 transcript:DRNTG_14851.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin-12 [Source:Projected from Arabidopsis thaliana (AT3G04460) UniProtKB/TrEMBL;Acc:A0A1I9LLU9] MRRPFLHKVLDYEDEFFALLMLVLETHSLRTADASFAESLYGLRRRAVEIIPEKHTSLKESNDRMHLSGLRKKQKILSVAFMVILPYIRSKLHSIYNREREARLQASLWAHDDTRLGDTDYLLDRREISPVSDGDMVVEESSLMQAKRKIMAVIGACYPSIHATNEGI >DRNTG_14851.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22987004:22988990:1 gene:DRNTG_14851 transcript:DRNTG_14851.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin-12 [Source:Projected from Arabidopsis thaliana (AT3G04460) UniProtKB/TrEMBL;Acc:A0A1I9LLU9] MMEWWYQSAEERMSAPTVYPPPLPPPPPKVAEEGIPLPSDKSLCPLCSQKRVSPSVLAASGFVFCYTCICKYITQYKRCPITLIPATVEQVRRLFHDF >DRNTG_14851.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22983711:22988990:1 gene:DRNTG_14851 transcript:DRNTG_14851.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin-12 [Source:Projected from Arabidopsis thaliana (AT3G04460) UniProtKB/TrEMBL;Acc:A0A1I9LLU9] MLFQVGGQGTRPTFFEMAAAQQLPASLRAALSYSLGVFAMRRPFLHKVLDYEDEFFALLMLVLETHSLRTADASFAESLYGLRRRAVEIIPEKHTSLKESNDRMHLSGLRKKQKILSVAFMVILPYIRSKLHSIYNREREARLQASLWAHDDTRLGDTDYLLDRREISPVSDGDMVVEESSLMQAKRKIMAVIGACYPSIHATNEGLSFAYQLLYLLDATRFYSPSLHALGVQVSRATGQELMDAASRISRIRSREIERLRGPPWLKAFQRILLSCIYTSLDYAQTGLIAAVFFFKMMEWWYQSAEERMSAPTVYPPPLPPPPPKVAEEGIPLPSDKSLCPLCSQKRVSPSVLAASGFVFCYTCICKYITQYKRCPITLIPATVEQVRRLFHDF >DRNTG_04626.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9011717:9014737:-1 gene:DRNTG_04626 transcript:DRNTG_04626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIAGHCSSKVAKYCSSTIHSRARTREASTPVESPDSSPI >DRNTG_22031.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2495796:2496754:1 gene:DRNTG_22031 transcript:DRNTG_22031.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTRSSHLALSGSHSRHHNAARVLREHSRDRVIVTVPCSELRRARAASVPRIHESDLPGDSHRKNVKHLGRDANCLRSI >DRNTG_20663.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20289855:20293580:1 gene:DRNTG_20663 transcript:DRNTG_20663.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:LPPgamma [Source:Projected from Arabidopsis thaliana (AT5G03080) UniProtKB/TrEMBL;Acc:A0A178URC2] MASPPAQAALKAVTLTHVRYRRGDSLGHFLAWVSLIPVFISLGGFVSHFLFRRELQGFFFALGLIFSQVLNELVKTSVQQSRPDTCAALEMCDSHGWPSSHSQYMFFFAIYFSLLCIRGLGISPRSRPFLTFLAWPPAILTMYSRVYLGYHTVAQVFAGAGLGLVLGALWFWIVNSILVDYFPAMEESWIGRVLYIKDSSHIKNVLKFEYDNARAARKKQAQD >DRNTG_20663.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20289686:20293580:1 gene:DRNTG_20663 transcript:DRNTG_20663.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:LPPgamma [Source:Projected from Arabidopsis thaliana (AT5G03080) UniProtKB/TrEMBL;Acc:A0A178URC2] MASPPAQAALKAVTLTHVRYRRGDSLGHFLAWVSLIPVFISLGGFVSHFLFRRELQGFFFALGLIFSQVLNELVKTSVQQSRPDTCAALEMCDSHGWPSSHSQYMFFFAIYFSLLCIRGLGISPRSRPFLTFLAWPPAILTMYSRVYLGYHTVAQVFAGAGLGLVLGALWFWIVNSILVDYFPAMEESWIGRVLYIKDSSHIKNVLKFEYDNARAARKKQAQD >DRNTG_20663.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20289686:20293499:1 gene:DRNTG_20663 transcript:DRNTG_20663.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:LPPgamma [Source:Projected from Arabidopsis thaliana (AT5G03080) UniProtKB/TrEMBL;Acc:A0A178URC2] MASPPAQAALKAVTLTHVRYRRGDSLGHFLAWVSLIPVFISLGGFVSHFLFRRELQGFFFALGLIFSQVLNELVKTSVQQSRPDTCAALEMCDSHGWPSSHSQYMFFFAIYFSLLCIRGLGISPRSRPFLTFLAWPPAILTMYSRVYLGYHTVAQVFAGAGLGLVLGALWFWIVNSILVDYFPAMEESWIGRVLYIKDSSHIKNVLKFEYDNARAARKKQAQD >DRNTG_20663.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20289686:20291398:1 gene:DRNTG_20663 transcript:DRNTG_20663.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:LPPgamma [Source:Projected from Arabidopsis thaliana (AT5G03080) UniProtKB/TrEMBL;Acc:A0A178URC2] MASPPAQAALKAVTLTHVRYRRGDSLGHFLAWVSLIPVFISLGGFVSHFLFRRELQGFFFALGLIFSQVLNELVKTSVQQSRPDTCAALEMCDSHGWPSSHSQYMFFFAIYFSLLCIRGLGISPRSRPFLTFLAWPPAILTMYSRVYLGYHTVAQVFAGAGLGLVLGALWFWIVNSILVDYFPAMEESWIGRVLYIKDSSHIKNVLKFEYDNARAARKKQAQD >DRNTG_20663.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20289855:20295481:1 gene:DRNTG_20663 transcript:DRNTG_20663.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LPPgamma [Source:Projected from Arabidopsis thaliana (AT5G03080) UniProtKB/TrEMBL;Acc:A0A178URC2] MASPPAQAALKAVTLTHVRYRRGDSLGHFLAWVSLIPVFISLGGFVSHFLFRRELQGFFFALGLIFSQVLNELVKTSVQQSRPDTCAALEMCDSHGWPSSHSQYMFFFAIYFSLLCIRGLGISPRSRPFLTFLAWPPAILTMYSRVYLGYHTVAQVFAGAGLGLVLGALWFWIVNSILVDYFPAMEESWIGRVLYIKDSSHIKNVLKFEYDNARAARKKQAQD >DRNTG_20663.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20289855:20291398:1 gene:DRNTG_20663 transcript:DRNTG_20663.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:LPPgamma [Source:Projected from Arabidopsis thaliana (AT5G03080) UniProtKB/TrEMBL;Acc:A0A178URC2] MASPPAQAALKAVTLTHVRYRRGDSLGHFLAWVSLIPVFISLGGFVSHFLFRRELQGFFFALGLIFSQVLNELVKTSVQQSRPDTCAALEMCDSHGWPSSHSQYMFFFAIYFSLLCIRGLGISPRSRPFLTFLAWPPAILTMYSRVYLGYHTVAQVFAGAGLGLVLGALWFWIVNSILVDYFPAMEESWIGRVLYIKDSSHIKNVLKFEYDNARAARKKQAQD >DRNTG_20663.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20289855:20293499:1 gene:DRNTG_20663 transcript:DRNTG_20663.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:LPPgamma [Source:Projected from Arabidopsis thaliana (AT5G03080) UniProtKB/TrEMBL;Acc:A0A178URC2] MASPPAQAALKAVTLTHVRYRRGDSLGHFLAWVSLIPVFISLGGFVSHFLFRRELQGFFFALGLIFSQVLNELVKTSVQQSRPDTCAALEMCDSHGWPSSHSQYMFFFAIYFSLLCIRGLGISPRSRPFLTFLAWPPAILTMYSRVYLGYHTVAQVFAGAGLGLVLGALWFWIVNSILVDYFPAMEESWIGRVLYIKDSSHIKNVLKFEYDNARAARKKQAQD >DRNTG_20663.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20289855:20294008:1 gene:DRNTG_20663 transcript:DRNTG_20663.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:LPPgamma [Source:Projected from Arabidopsis thaliana (AT5G03080) UniProtKB/TrEMBL;Acc:A0A178URC2] MASPPAQAALKAVTLTHVRYRRGDSLGHFLAWVSLIPVFISLGGFVSHFLFRRELQGFFFALGLIFSQVLNELVKTSVQQSRPDTCAALEMCDSHGWPSSHSQYMFFFAIYFSLLCIRGLGISPRSRPFLTFLAWPPAILTMYSRVYLGYHTVAQVFAGAGLGLVLGALWFWIVNSILVDYFPAMEESWIGRVLYIKDSSHIKNVLKFEYDNARAARKKQAQD >DRNTG_26410.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23865306:23865830:1 gene:DRNTG_26410 transcript:DRNTG_26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISPATILIFLSVSATLLLLTPQYCMAKTMLFTGQKLEEGEYLTWGPYKLVMEDTCDLILYEAGESIWHTNTYHFAKHCYLTLKNNGNLVMYSGQRQLWQSDSYGPLGNYVFVLQENGDLAIYGDVMWTTNTNVVNYSGVSIDATLNNGTSNAVGATTTYKNPAGMAMTTMSK >DRNTG_12435.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000549.1:10661:12544:-1 gene:DRNTG_12435 transcript:DRNTG_12435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPNSNTRRSKSVAEHCCKYYSSTVHSRPRNQRNRESTRACGNYPRRCGNSARARVASTPVELPDSNPI >DRNTG_29317.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29665533:29670242:-1 gene:DRNTG_29317 transcript:DRNTG_29317.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ protein P58IPK homolog [Source:Projected from Arabidopsis thaliana (AT5G03160) UniProtKB/Swiss-Prot;Acc:Q9LYW9] MASVRPFLGPGRLFLLLAIHMLAAFQFLYVVCHEDAASLLRRASETLKAKQYGEALGLLNAAIESDPKLSEAYLQRASVLRHLCRFEESEVNYKKFLDLKPGTSSAEKDLSQLLQAQSALDSAYSLFESNEYSKALDYIDKVVLVFSPGCLEAKFLKVKLLLGVKDYSSAITETGYILKEDENNLDALLLRGRAYYYLSDHDVASRHYHKGLRLDPEHAELKKAYFGLKNLLKKTKSAEDNAAKGKLRMAVEDFRAALALDPIHTAHNVHLHLGLCKVLVDLGRGKDAVDSCTEALEIDGELVEALFQRGKAKLITEDWEGAVEDLKSAAQKSPQDMNIREALRKAEKSLKLSKRKDWYKILGIPKTASVAEIKRAYKKLALQWHPDKNVDNSEEAEAQFREIAAAYEVLGDEDKRVRYDRGEDIDDMDTGMGGGGGGFNPFGAGGQQFTFHFEGGFPGGGFGGFNF >DRNTG_14303.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000666.1:20634:21683:1 gene:DRNTG_14303 transcript:DRNTG_14303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQCPSFWRLLVSMMFTTLEKIAQADPKYADILLLENYAAFQNSLYDLANVVPTLAKFYHQASESYEQACTRHISMIIYV >DRNTG_07471.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26900839:26902001:-1 gene:DRNTG_07471 transcript:DRNTG_07471.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTCVGLLLRDTGGKGKAAITEAAPVEITSETASEMEKRRNGCSHDSPCKG >DRNTG_08742.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18708280:18711562:-1 gene:DRNTG_08742 transcript:DRNTG_08742.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome non-ATPase regulatory subunit 11 homolog [Source:Projected from Arabidopsis thaliana (AT1G29150) UniProtKB/Swiss-Prot;Acc:Q9LP45] MSSSFIPATTESVAQALEAKDPLESISILYRILEDPSSSSEALRIKEQAITNLSDLLRQEKKAEELCNLLTQLRPFFSLIPKAKTAKIVRGIIDAVAKIPGTSDLQISLCKEMVEWTRAEKRTFLRQRVEARLAALLMENKEYTEALSLLSNLIKEVRRLDDKLLLVDIDLLESKLHFSLRNMPKAKAALTAARTAANAIYVPPAQQGSIDLQSGILHAEEKDYKTAYSYFFEAFEAFNALEDPRAVFSLKYMLLCKIMVSQADDVAGVISSKAGLQYLGPELDAMKAVADAHSKRSLKFFETALRDYKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPFVRVEISHIAELIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLIIFDDPKVDAIFPATLETISNIGKVVDSLYVRSAKIMA >DRNTG_11334.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23621829:23622938:1 gene:DRNTG_11334 transcript:DRNTG_11334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDGSKKSSPKDNKNNGHGAHVAGIVIGNFVDNTDVLGLAKGTASGTSPKAHRATYKAGYMGNNCNSADIAAAVDEAIKNGVDILNLSSGYLNPSPFYNDDIIITMISTVRAKIFVCMAVGDIEAKHRDINVHVKNARGVGIIALETFVQGATTLSYDYVLLIAHANYTTTHKIVNYFTYSSSTATAIITFNGTNFGARPSPTVGYFSSRGPYAYNGGIIKPNILGPGANILYASWPVRPGPNPNGPPGSYFNFDNGTSMATPHLRGIAALLKNTHKN >DRNTG_27527.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21083132:21086400:-1 gene:DRNTG_27527 transcript:DRNTG_27527.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR5 [Source:Projected from Arabidopsis thaliana (AT1G56440) UniProtKB/TrEMBL;Acc:A0A178WG02] MSYLKVKRYEDAENDCTEALNLDDRYVKAYSRRATARRELGKLKSSLQDAEFAMRLEPNNQELKKQHMETKSLLEKEIVKKSSGASKASVPVVQIVGEQDMETKDPHVSSVSSSTQQKMTAGIKIGDVENNEKISSSSVPIKEISSVRLVNGSRGGALPSSTGESTPINGSVNNKQEMETSVHELASLAASRVKVSAAKNITAPKSAYQFEVSWRALSDNPSLQTQLLKTIQPETLTQIFKSALSTAMLIDIIKCTASFFKEDTELAVRILNNLVKVPRFDMIVLSLSAMDHSVINKIWNEVFSSKDIKAEHLEAVNKLRPMYCYGKYQTCLQGSNWS >DRNTG_27527.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21083132:21087349:-1 gene:DRNTG_27527 transcript:DRNTG_27527.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR5 [Source:Projected from Arabidopsis thaliana (AT1G56440) UniProtKB/TrEMBL;Acc:A0A178WG02] MITCPRGKSRNLKAKLRDGNKSNTGEPDQNRGPPRKVVAVDANRKTNTVSAASFGDYNERYDYLKYSDASSSVSSSFVDEDKPPDATSEKELGNEYFKQRKFNEAIDCYSRSIALRPTSVAFANRAMSYLKVKRYEDAENDCTEALNLDDRYVKAYSRRATARRELGKLKSSLQDAEFAMRLEPNNQELKKQHMETKSLLEKEIVKKSSGASKASVPVVQIVGEQDMETKDPHVSSVSSSTQQKMTAGIKIGDVENNEKISSSSVPIKEISSVRLVNGSRGGALPSSTGESTPINGSVNNKQEMETSVHELASLAASRVKVSAAKNITAPKSAYQFEVSWRALSDNPSLQTQLLKTIQPETLTQIFKSALSTAMLIDIIKCTASFFKEDTELAVRILNNLVKVPRFDMIVLSLSAMDHSVINKIWNEVFSSKDIKAEHLEAVNKLRPMYCYGKYQTCLQGSNWS >DRNTG_27527.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21083132:21087616:-1 gene:DRNTG_27527 transcript:DRNTG_27527.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR5 [Source:Projected from Arabidopsis thaliana (AT1G56440) UniProtKB/TrEMBL;Acc:A0A178WG02] MITCPRGKSRNLKAKLRDGNKSNTGEPDQNRGPPRKVVAVDANRKTNTVSAASFGDYNERYDYLKYSDASSSVSSSFVDEDKPPDATSEKELGNEYFKQRKFNEAIDCYSRSIALRPTSVAFANRAMSYLKVKRYEDAENDCTEALNLDDRYVKAYSRRATARRELGKLKSSLQDAEFAMRLEPNNQELKKQHMETKSLLEKEIVKKSSGASKASVPVVQIVGEQDMETKDPHVSSVSSSTQQKMTAGIKIGDVENNEKISSSSVPIKEISSVRLVNGSRGGALPSSTGESTPINGSVNNKQEMETSVHELASLAASRVKVSAAKNITAPKSAYQFEVSWRALSDNPSLQTQLLKTIQPETLTQIFKSALSTAMLIDIIKCTASFFKEDTELAVRILNNLVKVPRFDMIVLSLSAMDHSVINKIWNEVFSSKDIKAEHLEAVNKLRPMYCYGKYQTCLQGSNWS >DRNTG_34688.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22830603:22831505:-1 gene:DRNTG_34688 transcript:DRNTG_34688.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFTAFIVLAFFTFSLSFPLPSNGRIISADDRMNADLPVDDRSEQRFTISTGEMPHAGPLSGDRFMHLRIHRPMNHHGFGHGPLLMRSRRGCRHGISTIQSDGKPIMMPFVLRHGDGVRSRPLPAMDTKNWEPEREQQQQQQQPMKNWEPEREQEHQPMKNWEPEREQGMGLAKWFRDVVGRY >DRNTG_00128.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2277370:2284714:1 gene:DRNTG_00128 transcript:DRNTG_00128.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLEFFMKVSFFVNLQQNFDVLWCRGSVQNKIFTPWLESMKIYGCKFHGNSKVTDFILDGNNGTIAEVVCGQEAFQADAVILAEGVSAIQSTVSSSPVLQSREEFLQVLNLSSIDVISLRLWLDRKVQILKPGNVCFGVDGLSGWTFFDLNSIYDEYEDEPATVLQADFAYARQLLPLKDDQIVQKVVSCLSICIKELNGATVLQHIVDRFPNSATHYFPGSYKYMMRGSSSFPNLFMAGDWIVTRHGSWSQEKAYVTGLEAANRVVDYFGDGSLAKIIAVEEDEPHIETLRSINRRLGELRAELPLSDFFLQ >DRNTG_00128.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2277370:2284714:1 gene:DRNTG_00128 transcript:DRNTG_00128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLEFFMKVSFFVNLQQNFDVLWCRGSVQNKIFTPWLESMKIYGCKFHGNSKVTDFILDGNNGTIAEVVCGQEAFQADAVILAEGVSAIQSTVSSSPVLQSREEFLQVLNLSSIDVISLRLWLDRKVQILKPGNVCFGVDGLSGWTFFDLNSIYDEYEDEPATVLQADFAYARQLLPLKDDQIVQKVVSCLSICIKELNGATVLQHIVDRFPNSATHYFPGSYKYMMRGSSSFPNLFMAGDWIVTRHGSWSQEKAYVTGLEAANRVVDYFGDGSLAKIIAVEEDEPHIETLRSINRRLGELRAELPLSDFFLQ >DRNTG_00128.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2277370:2284714:1 gene:DRNTG_00128 transcript:DRNTG_00128.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLAISSAATPPPPPPSPPRSFSRRHSGHCSAVALSPHADHPSEKKKVVVVGSGWAGLASAHHLTKQGFDVTVLGSGNGPAEEIGMRGFRYAYRNIFSLVDELGIKPFTNWTRSAHFSPDGLEVEFPIFQDLPKLPAPFGALLYPQFLRLPLVDRLTSIPLMAAVIDFDNTDIAWRKYDAMTARELFKQFGCSERLYREAFEPFLQIGLFAPGEQCSAAATLGMLYYFFLAHQQNFDVLWCRGSVQNKIFTPWLESMKIYGCKFHGNSKVTDFILDGNNGTIAEVVCGQEAFQADAVILAEGVSAIQSTVSSSPVLQSREEFLQVLNLSSIDVISLRLWLDRKVQILKPGNVCFGVDGLSGWTFFDLNSIYDEYEDEPATVLQADFAYARQLLPLKDDQIVQKVVSCLSICIKELNGATVLQHIVDRFPNSATHYFPGSYKYMMRGSSSFPNLFMAGDWIVTRHGSWSQEKAYVTGLEAANRVVDYFGDGSLAKIIAVEEDEPHIETLRSINRRLGELRAELPLSDFFLQ >DRNTG_00128.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2277370:2284714:1 gene:DRNTG_00128 transcript:DRNTG_00128.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLYYFFLAHQQNFDVLWCRGSVQNKIFTPWLESMKIYGCKFHGNSKVTDFILDGNNGTIAEVVCGQEAFQADAVILAEGVSAIQSTVSSSPVLQSREEFLQVLNLSSIDVISLRLWLDRKVQILKPGNVCFGVDGLSGWTFFDLNSIYDEYEDEPATVLQADFAYARQLLPLKDDQIVQKVVSCLSICIKELNGATVLQHIVDRFPNSATHYFPGSYKYMMRGSSSFPNLFMAGDWIVTRHGSWSQEKAYVTGLEAANRVVDYFGDGSLAKIIAVEEDEPHIETLRSINRRLGELRAELPLSDFFLQ >DRNTG_00128.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2277370:2284714:1 gene:DRNTG_00128 transcript:DRNTG_00128.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYYFFLAHQQNFDVLWCRGSVQNKIFTPWLESMKIYGCKFHGNSKVTDFILDGNNGTIAEVVCGQEAFQADAVILAEGVSAIQSTVSSSPVLQSREEFLQVLNLSSIDVISLRLWLDRKVQILKPGNVCFGVDGLSGWTFFDLNSIYDEYEDEPATVLQADFAYARQLLPLKDDQIVQKVVSCLSICIKELNGATVLQHIVDRFPNSATHYFPGSYKYMMRGSSSFPNLFMAGDWIVTRHGSWSQEKAYVTGLEAANRVVDYFGDGSLAKIIAVEEDEPHIETLRSINRRLGELRAELPLSDFFLQ >DRNTG_00128.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2277370:2277736:1 gene:DRNTG_00128 transcript:DRNTG_00128.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLAISSAATPPPPPPSPPRSFSRRHSGHCSAVALSPHADHPSEKKKVVVVGSGWAGLASAHHLTKQGFDVTVLGSGNGPAEEIGMRG >DRNTG_00128.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2277370:2284714:1 gene:DRNTG_00128 transcript:DRNTG_00128.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLEFFMKVSFFVNLQQNFDVLWCRGSVQNKIFTPWLESMKIYGCKFHGNSKVTDFILDGNNGTIAEVVCGQEAFQADAVILAEGVSAIQSTVSSSPVLQSREEFLQVLNLSSIDVISLRLWLDRKVQILKPGNVCFGVDGLSGWTFFDLNSIYDEYEDEPATVLQADFAYARQLLPLKDDQIVQKVVSCLSICIKELNGATVLQHIVDRFPNSATHYFPGSYKYMMRGSSSFPNLFMAGDWIVTRHGSWSQEKAYVTGLEAANRVVDYFGDGSLAKIIAVEEDEPHIETLRSINRRLGELRAELPLSDFFLQ >DRNTG_00128.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2277370:2284714:1 gene:DRNTG_00128 transcript:DRNTG_00128.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLEFFMKVSFFVNLQQNFDVLWCRGSVQNKIFTPWLESMKIYGCKFHGNSKVTDFILDGNNGTIAEVVCGQEAFQADAVILAEGVSAIQSTVSSSPVLQSREEFLQVLNLSSIDVISLRLWLDRKVQILKPGNVCFGVDGLSGWTFFDLNSIYDEYEDEPATVLQADFAYARQLLPLKDDQIVQKVVSCLSICIKELNGATVLQHIVDRFPNSATHYFPGSYKYMMRGSSSFPNLFMAGDWIVTRHGSWSQEKAYVTGLEAANRVVDYFGDGSLAKIIAVEEDEPHIETLRSINRRLGELRAELPLSDFFLQ >DRNTG_18969.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10719361:10732383:-1 gene:DRNTG_18969 transcript:DRNTG_18969.1 gene_biotype:protein_coding transcript_biotype:protein_coding QVGGPGKTGPICSERIIGPRAKSLLTGSHETDTKNPMSSNDRLYEASVAPTISLCTPSELVRNQRKTESPSSPPQYFLSAINVVPSIPFPIICRESNLGLPARTLSCPNIVLDSPTNPSSLIGVHLKEINFPIASFTILY >DRNTG_07264.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:504182:507019:1 gene:DRNTG_07264 transcript:DRNTG_07264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQMGNWTYRKRTPRDEKRFIGVRQRPSGRWVAEIKDSLQKVRLWLGTFDTAEDAARAYDRAARTLRGANARTNFKSPSVGPDDEALDNLPPFSFEDGCGPANDFIGVLKAKVLDGKLPSHPAIAKALGATRVLSPGPGPGPGPGAKEATRGGGSLNNSISVASNEASDIMDEQGQYWRLLNQETRAAPAPAPAYVTNGRPLTDLETRMFPSSSISIYADGSSSSSDPLIGASVRVPAAGYCVGSAPIKGKEMVDANVYIPQPPDNQTLCDCEEWPPTDSSLDFEYDPANTQHEVWFPSSFPF >DRNTG_12196.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10672266:10676865:1 gene:DRNTG_12196 transcript:DRNTG_12196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIALSSSIGASKLRIGFPTLGRAAARFESVRCEVSKAFQATNGRICTPLSRDLGIPMLLDMHKEQGLPQKSDTKLRVFSGTANLALAQEIACCLGLELGKINIKRFADGEVYVQLQESVRGCDVFLIQPTCPPVNENLCELLIMIDACRRASARTITAVIPYFGYARADRKTQGRESIAAKLTANLITKAGADRVLACDLHSGQSMGYFDIFVDHVYGQPVILDYLASKKIPAEDLVVVSPDVGGVARARGFAKKLSDAPLAIVDKRRQGHNVSEVMNLIGDVKGKVAVMVDDMIDTAGTITSAAALLQEEGAKAVYACCTHAVFSPPAIERLSGGLFQEVIITNTIPVAEHNYFPQLTVLSVANLLAETIWRVHGDGSVSSIFQ >DRNTG_34475.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24506025:24506596:-1 gene:DRNTG_34475 transcript:DRNTG_34475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNHTKQLSVVVMYSIFSLRRRRLFPNATTQYPLHPTSIGYLELKDEEAQQDKHEKERLNERRELHLCPMMITFILVL >DRNTG_24508.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19063486:19067883:1 gene:DRNTG_24508 transcript:DRNTG_24508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKNRPSSSFNSNFWTTNSGAPVWNNNSSLTVGSRGPILLEDYHLVEKLAQFDRERIPERVVHARGASAKGFFEVSHDVSHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFIRDGMKFPDMVHALKPNPKSHIQENWRIVDFFSHHPESLHMFSFLFDDVGIPADYRHMDGSGVNTYTLINKAGKAHYVKFHWRPTCGVKSLLEDEAVIVGGNNHSHATKDLYDSIAAGNYPEWKLYIQTIDPDHEDRFDFDPLDVTKTWPEDILPLQPVGRMVLNKNIDNFFTENEQLAFCPSIVVPGVYYSDDKLLQTRIFSYSDTQRHRLGPNYLMLPPNAPKCAYHNNHHDGFMNFMHRDEEVNYFPSRYDPAGHAERFPIPPRILNGKREKTVITKENNFKQPGERYRSWAPDRQERFIRRWVEALSDPKVTHELRSIWVSYWFQADKSLGQKLGTRLNVRPSM >DRNTG_15240.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23429213:23432332:-1 gene:DRNTG_15240 transcript:DRNTG_15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKQEGDLPVYGFHDPAAFINSIQKPRVVIMLVKAGAPVDQTIQTLSAYMEKGDCIIDGGNEWYENTERREKAVTEQGLLYLGMGVSGGEEGARHGPSLMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLSNDELQQVFSEWNKGELLSFLIEITADIFGIKDDKGDGYLVDKVLDKTGMKGTGKWTVQQAADLSVAAPTIAASLDSRFLSGLKEERVEAAKVFKAGGFSDILGNQSVDKAKLIDDVRQALYASKICSYAQGMNLIRAKSTEKGWGLKLGELARIWKGGCIIRAIFLDRIKQAYDRNSDLANLLVDPEFAKEILDRQAAWRRVICLAINSGVSTPGMSTSLAYFDTYRRERLPANLVQAQRDYFGAHTYERVDMPGSFHTEWFKIAQQSKM >DRNTG_15240.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23429213:23430939:-1 gene:DRNTG_15240 transcript:DRNTG_15240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKQEGDLPVYGFHDPAAFINSIQKPRVVIMLVKAGAPVDQTIQTLSAYMEKGDCIIDGGNEWYENTERREKAVTEQGLLYLGMGVSGGEEGARHGPSLMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLSNDELQQVFSEWNKGELLSFLIEITADIFGIKDDKGDGYLVDKVLDKTGMKGTGKWTVQQAADLSVAAPTIAASLDSRFLSGLKEERVEAAKVFKAGGFSDILGNQSVDKAKLIDDVRQALYASKICSYAQGMNLIRAKSTEKGWGLKLGELARIWKGGCIIRAIFLDRIKQAYDRNSDLANLLVDPEFAKEILDRQAAWRRVICLAINSGVSTPGMSTSLAYFDTYRRERLPANLVQAQRDYFGAHTYERVDMPGSFHTEWFKIAQQSKM >DRNTG_20006.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3176390:3176934:-1 gene:DRNTG_20006 transcript:DRNTG_20006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPECDNYRQNHHNCIPASGKQLVLLFIALYTIATGGGGIKANVSGFGSDQFDHRDPKEEKAMIFFFNRFYFCISIGSLFAVTVLVYVQDNVGRGWGYGVSGATMVMAVMILLIGTPLYRYRRPKGSPLAVIWRVVVNAWKKRYVAYPDHPSLLNEYHTSKVPYTEY >DRNTG_14146.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17873660:17881710:1 gene:DRNTG_14146 transcript:DRNTG_14146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDNSKEEEYSYEDEDDEMSEEEHPDDSPDDAMTLIQYQTEARGMRLFEKETLLLGFSISSSPINQLLFIMWKLELILIELIIFAGKSPRTMIGLLSFLMVSLLMRLGKLPVV >DRNTG_30667.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8727005:8728711:1 gene:DRNTG_30667 transcript:DRNTG_30667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKSKNFQSTSSSSSSSPSPSSLSSSPSSSLSPSSS >DRNTG_30667.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8728245:8728711:1 gene:DRNTG_30667 transcript:DRNTG_30667.2 gene_biotype:protein_coding transcript_biotype:protein_coding RQRWREQEQRRNSRDQSQTRTGSGKGEETRRDSRKLRLRSPSTAKRGYAGQVDSGEGWRRSQRQHSLHGKIHQPMSSRGGNSTASHEHGALTTYEG >DRNTG_10101.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20767745:20770458:1 gene:DRNTG_10101 transcript:DRNTG_10101.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein S-acyltransferase 13 [Source:Projected from Arabidopsis thaliana (AT4G22750) UniProtKB/Swiss-Prot;Acc:Q94C49] MHRSGVAMAWNVFRFCTALRGLGSVMILLVLALIGVTYYSVVIANYGPALFNAAFSSSSLVALAVLIVFHSLLVMLLWSYFSVVFTDPGSVPPNWRPDVDEERAEILPLASSEFSSPILSLQQSEALAEAGNPRIRYCRKCSQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKFFLLFLFYTFLETIPCHPIIIAAFYCLL >DRNTG_27418.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11310477:11313254:1 gene:DRNTG_27418 transcript:DRNTG_27418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSDMCCSPHLWSASRAALIEVLRLDQIRANKIKDSLTRHRHIRGHKEAMENTMISFRRKEKKTVQKCVDPENIPIGIRMGSRISYLEIGANILTKSLGKQSLIKKNSGRGLQVEEVQVPQIPVEDSLEIETDSQRVVEPKPIR >DRNTG_06509.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4355354:4360459:-1 gene:DRNTG_06509 transcript:DRNTG_06509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAFSITSKIFEIELQKNRLKTVPIPVSGGSIPWLRFKMAMSSWDSLVKQMTTGKPQQSSPSAPPSVSPLSAKPRPAPTMTSLACFFSIKASHSRSLQLPITDNLEALREENAELRIAKKDFAKLLSKIPITGADLLRLDYSGGEDSERLAINELLEVVCEESKSGPLIIFLKDIEKSLSGSTDSYLTLKAKLELVLAGVLVIGSHTQMDNRIEKVTNEAQLLDWKQQLDRDVETLKAKANILSIRSSTSARRILWLGYELVFVSFWKTMLAKAVATEAGANFINISMLSITSKWFGKGEKYVKAVFSLASKIAPSVIFVDE >DRNTG_01755.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14228261:14232961:1 gene:DRNTG_01755 transcript:DRNTG_01755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKLSKLAYALSNSNEESTSSVNSRMDGSLIVSNCRQPPSESRSLISSANSSPC >DRNTG_31425.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:654740:656059:1 gene:DRNTG_31425 transcript:DRNTG_31425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVSESGEELVRELLDNESPFFVSSNAMMVDDMNRESIINKLISTVYSGPTIGDIESALSINGPRPVVSMPEKGMGKMENKYTLKIKSCGSAGLADDGYKWRKYGQKTIKNSPNPRSYYRCTNPRCNAKKQVERSIDDPETLIVTYEGLHLHYAYSHILSPVPQQFPMKKTKIQINNVSELGQQLEQQQLQQQQNTAIIDVDHVMESPQGLLEDIVPLLVRKPCFSNTNTSSSSQASSPSYSSSLSWSPSVLSSII >DRNTG_26470.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:546451:547166:-1 gene:DRNTG_26470 transcript:DRNTG_26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFPTKGSHGTRRSAHGKETKKEHSMVAR >DRNTG_15488.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20911976:20913098:-1 gene:DRNTG_15488 transcript:DRNTG_15488.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLHSHAGDPEAANQLFEDILSKNPFLVEAYHGLVMVAYEYESGAELEVVLKRVERAIEMCKKEGRKDDLRDFRLLVAQIRVVEGKYDDALKVYERMVAEEPRDFRPYLCQGIIYSMLKKNDEAEKQFSKYRKLVPREHPYAQYFDDNMVAMKVFMQMEENKTRGVLKR >DRNTG_15488.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20911823:20913098:-1 gene:DRNTG_15488 transcript:DRNTG_15488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLHSHAGDPEAANQLFEDILSKNPFLVEAYHGLVMVAYEYESGAELEVVLKRVERAIEMCKKEGRKDDLRDFRLLVAQIRVVEGKYDDALKVYERMVAEEPRDFRPYLCQGIIYSMLKKNDEAEKQFSKYRKLVPREHPYAQYFDDNMVAMKVFMQMEENKTRGVLKR >DRNTG_27611.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28466974:28470856:1 gene:DRNTG_27611 transcript:DRNTG_27611.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRDRTEDLKDAVRVAAISSGYTESKLAAILASFIMHKSPERQPFTKAALKTLSSINELERFIVKHRKDYVGLHRTTEQERDDIEHEVSIFIKSCKDQIDVLKNGIHEEEKSGNARTWLNMRDVGSNADVVAHKHGVVLILSEQLHAVTAQFDQLRSVRFQDAVNKAMPRRKMHKMANLKSSESVPSDVSEITGQDLSSGPVRIQDQLLDDETQALQVELTSLLDAVQETEKRMVEMSALNHLMSTHVLQQAQQIEQLYEQAVEATMNVERGNKELSQAIQRNSSSRTFLVLFLFVLTFSIIFLDWYS >DRNTG_16604.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31292128:31293550:1 gene:DRNTG_16604 transcript:DRNTG_16604.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRLPYRTYSKKQPEHGPISPSRALNTGFAKTRTFTLLPIPHQTQKELQERPVGS >DRNTG_16248.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000803.1:738:1099:-1 gene:DRNTG_16248 transcript:DRNTG_16248.2 gene_biotype:protein_coding transcript_biotype:protein_coding SKKFSVFTAHQISKFPNPSPSPRRGFASVFFSAHPPPADQPKSPFDEPSTSATRKEASAEADEPSTLVVPTRRPCGRPAGSKNKPKPPIIADNVVPRDSEGRCPARSVAEVGIPMADAPG >DRNTG_16248.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000803.1:551:1099:-1 gene:DRNTG_16248 transcript:DRNTG_16248.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKKFSVFTAHQISKFPNPSPSPRRGFASVFFSAHPPPADQPKSPFDEPSTSATRKEASAEADEPSTLVVPTRRPCGRPAGSKNKPKPPIIADNVVPRDSEGRCPARSVAEVGIPMADAPGMLRSC >DRNTG_18379.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000946.1:67790:68581:-1 gene:DRNTG_18379 transcript:DRNTG_18379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSISKLLSFFNLFSFFLRRRPLPTTTTTTTTDDATIECYACTQPGVPSFHSTTCDHSHTPQWQANAGSSLLPIHNSSNSNPPLTLTPSSLFGSVLDPRSKRVKSWNRAFLLTRALALAIDPLFFYSLSIGRDGTPCLQLDAKLAGVVTALRTCVDALHVLHVWLQFRVAYVSRESLVVGCGKLVWDARTIALHYLRSIKGFWFDIFVILPV >DRNTG_21306.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27423647:27425356:-1 gene:DRNTG_21306 transcript:DRNTG_21306.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPKGIKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEYEEEEEEEEVQA >DRNTG_21306.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27423647:27425356:-1 gene:DRNTG_21306 transcript:DRNTG_21306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGITCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPKGIKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEYEEEEEEEEVQA >DRNTG_07627.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000344.1:11192:11787:-1 gene:DRNTG_07627 transcript:DRNTG_07627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDHFHVVILDNDKQEYMHYSSCPGYEKDVLDMRNLFDTCVDMEFGESVTAKYPLVHDKETPRQKQGSVDCTVYVMRFIEQLLWGEKLRLPWTKRSLHEIKSIFPRYLRREAVRVHEKGVVEAG >DRNTG_11079.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:7228103:7241733:-1 gene:DRNTG_11079 transcript:DRNTG_11079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVQTFYNGLNPSTRQLLDAAAGGTLGSKTPDEARQLIEEMGLNSYQWNAREKKKVAGLHEIDAVNSLAAQVESLSKKLDLIASNRVVAVTNCTGCGGGHAPSDCLIVIGDVSSVENVDFVGNGMRPQGNPYSNTYNSGWKNHPNFSWSNQGPQKAMGPPGFQQQ >DRNTG_23979.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30900444:30902686:1 gene:DRNTG_23979 transcript:DRNTG_23979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERARLAKIPQPETSLKCPRCDSTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSKSTGGGTSSKSTSTTTTTADRHSGASSSSSTATSASGGVIPSNLVAPNHLPPFMASLHSLTDYTATPNNISLNFPGIQPVDHHNHHQHIIIIIIIIKVMLSIK >DRNTG_19565.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5354965:5355791:-1 gene:DRNTG_19565 transcript:DRNTG_19565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTVVWKNDTVSTTRDKLYTLLEGKEIVTDDDDAHETTMVMIKDAVRNLHEVQIVILPIIMNDHFHIVVLDNDKQEYKHYSSCAGYDKDLFYMRNLFDICVDIEFGESATSKYPLVHDMETPRQNKEVSIAPSMSCGLSSNYSPMRSYGYRRQTSLI >DRNTG_10299.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000433.1:40449:42662:-1 gene:DRNTG_10299 transcript:DRNTG_10299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIYDSCSFVLKVADPETYSEAAKEVEWQEAMESEMKAIERNSTWYLTKLPEGKKAIGLKWVYRTKLHSDGTIVKRKARLVVKGYAQTQGIDFEETFAPVVRMETVRVFLCVAAQRQWPVFQLDVKSAFLNGELNEEVYVQQPEGFIVQGKEQLVYRLNKALYGLRQAPRAWYSKIDEFLCSQGFERSINEPTLYKKLVGGSEVLLVCLYVDDIIYMGTSMQLSRKFKEIMMQRFEMSDLGLLHYFLGLEINQGMKGIHISQNKYAGDLLKKFGMSSCNPAPTPMNSNEKLMLNDGSPSCDEFRYRSMVGGLMYLTHTRPDIMYAASMVSRFLSKPSIQHHGAVKRILRYIAGTQALGVFYAQSESFNLVGFTDSDWVGSTDDRRSTSGNIFKLGSCVITWASKKQESTALSSTEAEYVAAATAACQAVWLGRLIQDFGITSIGTMILKCDNQSAIFIAKNPGMHGRTKHMDIKYHYLRELVTSGFITLEFCPTNEQLADICTKALSIQKHLSLRMELGMQCFKSQEGVECDLKLSNKEASGCNDAWPMECKEGI >DRNTG_15836.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25098700:25099399:-1 gene:DRNTG_15836 transcript:DRNTG_15836.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIESHREGAEIVKGDASCKKKAAEVLGELGLPIGLFPLDDIQEFGYNRAGGFIWLVQKKKKEHTFKKIKQVVSYATEVTAFVEKGKMMKISGVKTRELLLWLSVVEMYIEDPSSGKITFKTGTGLSDSFPVSAFELE >DRNTG_15836.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25095272:25095933:-1 gene:DRNTG_15836 transcript:DRNTG_15836.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIESHREGAEIVKGDASCKKKAAEVLGELGLPNGLFPLDDIQEFGYNRAGGFIWLVQKKKKEHTFKKIKQVVSYATEVTAFVEKGKMMKISGVKTRELLLWLSVVEMYIEDPSSGKITFKTGTGLSDSFPVSAFELE >DRNTG_15836.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25095272:25099399:-1 gene:DRNTG_15836 transcript:DRNTG_15836.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIESHREGAEIVKGDASCKKKAAEVLGELGLPNGLFPLDDIQEFGYNRAGGFIWLVQKKKKEHTFKKIKQVVSYATEVTAFVEKGKMMKISGVKTRELLLWLSVVEMYIEDPSSGKITFKTGTGLSDSFPVSAFELE >DRNTG_19183.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21369582:21373728:1 gene:DRNTG_19183 transcript:DRNTG_19183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVSRTSWEQKKKFEEVANNTTLDSIGQELNSEDKHNSDNLKRKKRRREHAASSGEKDSKVEQEKEMKRLESFLFGTIYSPLDFGKEVSEDREEEGLAEPLFIVNKSTNNEIESYVEELNEEREPLSDDGGDREEMDSAQPAPPMKMLTGNQIVVHEEELNKEKKPAWIDEEENMTKVDIMKVPRLRKLRKEAGEGLISGTDYVSRLRAQHSRLNPGTEWAHIDRKSERVHDSDNESDDESGITVAPGYERIVSDDILRSNDELVVKDRVKLLPGLLEYSRLMDANGEEPSNGPINSIQFHRNGQLLLTAGLDRRLRFFQVDGKRNTKVQSIFIEDCPIHKASFLPDGSQVILSGRRKFFYSVDLVKASVDKIGPLTGREEKSLEVFEVSNDSSIIAFIGNEGYILLVSTRTKELIGTLKMNGSARSLAFADGGQRLLSSGGDGHVYHWDLRTRTCIHKAVDEGCLAGSSLCTSPDSSLFAAGSTSGIVNIYKEDEFLGGKRKPLKTIESLSTQIDCLKFNPDAQILALCSRMKKNSLRLVHVPSFNIFSNWPPPRSSLQYPRCLDFSPGGGFMAVGNATGKVLLYKLHHYQKA >DRNTG_13702.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5337435:5343729:1 gene:DRNTG_13702 transcript:DRNTG_13702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSWACKKIWKPTFVDLDIGQRSVTIPGRIAATPVEMPIDAVERIPLETPIVYFYGHTSRGLAKELSRTLERQLSSNAESRAVGTAINPMGWVTDLGYELLLHAIDTFNPNVVLVVGQEKLCITLKNVLQHKPTVEVLELQKSGDVLLRKVKVPQKARNSRIREYFYGISNDLSPYSNTINFRDVCWIGSGPRAPHVTLPLGAEPAADPARVVPVKINLDLIHLVLAVSYAKEPEQLVFSNVTGFVYVTDIDIVRKKITYLASCHGELPSKLLVVRKEGKTGLMAEEGQTLDDTETFRRVRQRIAEKSKRVVETKDKTKEILSKQAIKIAKQAEEHERFITKVTHLLGVLGFGAFCFILGARPQDVPYVYCVFYVTFVPLRWIYYRCKKWHYYLLDFCYYANTIFVVMLLFFPKHEKLFMICFSFAEGPLAWALIVWRCSLVFSSADKIVSVLIHLLPGIVFFTIRWWNPGTLAAMHPVGKAKSSWPYVEGKSYLSTWLFVVPLVAYTLWQLLYFLVVNVLRRQRLLRDPEVMTSYR >DRNTG_02612.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21332001:21334694:-1 gene:DRNTG_02612 transcript:DRNTG_02612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMVAFISGPSSLSHLRAVPKQALLHPLRTFFSKPYPNNLFLRSSSNGIRRRSFFIPIRPFSPVMEWQDCSAKLDIDVPCSIAFQCYSDRESIPKWMPFISSVKVLKDKPALSRWSLKYEVLGRDVEFSWLARNMQPIPNQKIHWRSLEGLPNRGAVRFFPKGPSSCSVEMSISYEVPQILVPVASALKPLLESLLLNGLERFANVAKDYQKKTSLR >DRNTG_23897.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2061758:2065961:-1 gene:DRNTG_23897 transcript:DRNTG_23897.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDLITCRTLMSSWYSTLFFFFLLLLFITGEKQARAVSENQRPLNEGGQMESPESCPCAATNTSKHISVGEFHNNRSHFHGSTKEDDQLFNNSKHEVPSGPNPISNR >DRNTG_23897.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2061758:2065961:-1 gene:DRNTG_23897 transcript:DRNTG_23897.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDLITCRTLMSSWYSTLFFFFLLLLFITGEKQARAVSENQRPLNEGGQMESPESCPCAATNTSKHISVGEFHNNRSHFHGSTKEDDQLFNNSKHEVPSGPNPISNR >DRNTG_05789.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1148002:1150707:1 gene:DRNTG_05789 transcript:DRNTG_05789.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERNTKPSSNTTDPKPIPRRGLRVRASKFLLISPQSNKIISHFPAYEYLDKPQHVIGCSGYLAHENPSISLALITVFSI >DRNTG_05789.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1148002:1149123:1 gene:DRNTG_05789 transcript:DRNTG_05789.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPERNTKPSSNTTDPKPIPRRGLRVRASKFLLISPQSNKIISHFPAYEYLDKPQHVIGCSGYLAHENPSISLALITVFSI >DRNTG_26979.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21327047:21328582:1 gene:DRNTG_26979 transcript:DRNTG_26979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENIDVAERLLVEKKLHLTIEDLRKHNKEITTHLQTSEAELASLRGYASKCSTLNDENKRLGDKVSSLCSTITSLEKDLASERQATSALKDEISSLCQAFDAERQSIRATALLEKEHGRTYPELVFSKTSSIVEPFPWRSFDPTEEDVTISLNSGLHDDLDDLISPWEFFGKNGVSSESHEDEISALQGLDEEQVFDEMPSPEPSVHPAP >DRNTG_21586.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19175248:19177815:-1 gene:DRNTG_21586 transcript:DRNTG_21586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASTTTTTTNRFPTRNPNPNPNPKLPHLLSFKFSRYPSLLSHLHLRRRFSSISAASTGDQTIVPFDSEKPREECGVVGIVGHPDAAHLCSLALHALQHRGQEGAGIAASDLSTLRSSTGLGLVSEVFDPSKPGLSLSSLTGPAAIGHVRYSTAGAAASIHNVQPFLASYRFGQLAVAHNGNLVNYPSLRSSLEEKGSIFNTSSDTEAILHLIATSKSRPFLSRVAEACSYLRGAYSLLFLTADKLLAVRDPHGFRPLVLGRLPRANGAPSAVVFASETCALDLIGAKYEREVEPGEAIIVDARTLDISTSCLMPSKPRKACVFELIYFALPQSLVFSHPVYESRTFIQPSQAIRDLGVKLKLSPIKGILAGKSVVVVDDSIVRGTTSSKIVRLIRDAGAKEVHMRIASPPIIASCYYGVDTPSSEELISNRMDIEGVRKEIGADSLAFLSLESLRGLLGDEAPSFCEACFSGNYPVPPVEYEDVNSKEPLYVA >DRNTG_31147.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:355722:365164:1 gene:DRNTG_31147 transcript:DRNTG_31147.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKISWKKTTEERERKRRRSDRPIVLNPNLPFESATGEAESDLGGLEPSPVDPQCCDPVQLAEAFQAEGNRLAEGGKFCEALGKWEVAIRLLPDKAILHEQKAQVLLEIGEAWNALKAATRATELEPSWAEAWITLGRAQLNYGEPDLSIESFNRALEIKPGNKEALADRETAKHLVQKREQLHSSELNSVANRCQVRDKAENS >DRNTG_31147.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:362969:365164:1 gene:DRNTG_31147 transcript:DRNTG_31147.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWCQSCREEYGEVDAGTCKECYEEASETEEELKREIDDLKSKVAFLRLSPPSLDLNPSSSSSSSSSPSAPDLLLLASSHAPSSAPAVPAHRAVLISRSPVFRAMLESEMEESRSGMIRISDVSYDVLRAFVNYLYTAEILLDEQMACDLLVLAEKYQVKYLKAFCEKFMTSKVNNENAIINYAFAHRHNAKQLLDAALSLIMDNMSTLVERNEYKQLVENDPRLVVEIYEAYLKKQVNIALKI >DRNTG_31147.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:355722:365164:1 gene:DRNTG_31147 transcript:DRNTG_31147.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISWKKTTEERERKRRRSDRPIVLNPNLPFESATGEAESDLGGLEPSPVDPQCCDPVQLAEAFQAEGNRLAEGGKFCEALGKWEVAIRLLPDKAILHEQKAQVLLEIGEAWNALKAATRATELEPSWAEAWITLGRAQLNYGEPDLSIESFNRALEIKPGNKEALADRETAKHLVQKREQLHSSELNSVANRCQVRDKAENS >DRNTG_31147.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:355722:359821:1 gene:DRNTG_31147 transcript:DRNTG_31147.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKISWKKTTEERERKRRRSDRPIVLNPNLPFESATGEAESDLGGLEPSPVDPQCCDPVQLAEAFQAEGNRLAEGGKFCEALGKWEVAIRLLPDKAILHEQKAQVLLEIGEAWNALKAATRATELEPSWAEAWITLGRAQLNYGEPDLSIESFNRALEIKPGNKEALADRETAKHLVQKREQLHSSELNSVANRCQVRDKAENS >DRNTG_31147.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:355722:360426:1 gene:DRNTG_31147 transcript:DRNTG_31147.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKISWKKTTEERERKRRRSDRPIVLNPNLPFESATGEAESDLGGLEPSPVDPQCCDPVQLAEAFQAEGNRLAEGGKFCEALGKWEVAIRLLPDKAILHEQKAQVLLEIGEAWNALKAATRATELEPSWAEAWITLGRAQLNYGEPDLSIESFNRALEIKPGNKEALADRETAKHLVQKREQLHSSELNSVANRCQVRDKAENS >DRNTG_16456.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15087661:15088437:-1 gene:DRNTG_16456 transcript:DRNTG_16456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTLERREGGKCQKCDEGDGGSPATVSATTTTTNITMPDGQVLTLSDFISRFDEAAKRRVEVMKQKLMYMEMQMEAIENEMSKANGSSNWN >DRNTG_33299.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23764820:23766678:1 gene:DRNTG_33299 transcript:DRNTG_33299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEMHARKGRHQQRYENNFRLVSGCVPYRIKPSAQNQNGDSFDWLEVLMISSANRTDLVFPKGGWENDETLHEAAGREALEEAGVRGMISETLLGVWEFRSKRFQNNCSMEGSCRGYMFAMEVTEELDSWPEQDEHKRRWVTVREALQLCRYEWMREALRACLRVLSVKSSMSMPMISEISTMFHLMKPSSSEQMVVL >DRNTG_04278.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9081248:9087601:-1 gene:DRNTG_04278 transcript:DRNTG_04278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHTAVQPLMALHVRCCISRRPRGILLLPPKPLPKTMRLAVAASAAATPSPGALDPGELEALERCFSSSPDSGPPSVSSGASLGPVMKKDYGAFGAVTLEKSKLDLSQKTTRMSPETAIGGGGGDIGKKINHGGGDGGDDGGDDDDYFGDFDEGDEGDDGGLFRRRLVLEELFDRKFVDAVLQEWYKTMVNLPAGLRQAYEMGLVSSAQMVRYLAINARPTTPRYIARALPESLSRAFIGRMIADPSFLYKLLLEQIATIGCSVWWELRSRKDRIKQEWDLALVNILTISACNAFVVWSLAPCRSYGNTFRFDLENSIQKLPNNIFEKSYPLREFDLQKRIHSFFYKAAELCLVGVTAGSVQGAMSKFLSTKKEGRLSVSIPSLSTHALGYGAFLGLYANLRYQLLYGIDRAMFNHFDVLGVVLCFTTALRVLNVKIGETSRLAWLGVEADPLLQSDALLKAYNRPSETTENQSSSKWFISKNAIVSGLGLLGIKQGNGENVDTPPKARRKRIVRKKASPSSA >DRNTG_16436.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7637924:7645274:-1 gene:DRNTG_16436 transcript:DRNTG_16436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKKKNRGKPQNPNPKNQRKKNQKREEDEEELEVEPMDTATDSDNDVAEVEGGSPSEVSSDDDEPLGDDFFGASDDENEEGSGSEDDLEAKSRAIEEARAKEEEDAEAELKLNIKEESDEFLLPTKEELEQEGNLPPNLRSLNKRINDIVRVLTNFKTLRQEGATRKDYLSQLKADLISYYGYNEFLIEALMDLFPALELVELLEAFEKKRPICLRTNTLKTRRRDLANVLINKGVNLDPIGKWSKVGLVVYDSQVPIGATPEIMAGHYMLQGASSFLPVMALAPQEKERIVDMAAAPGGKTTYIAALMKNTGIIYANELKTHRLNSLTANIHRLGVTNTIVCNYDGKELPKVLGLNSIDRVLLDAPCSGTGVISEDASVKTSKSIEDIQNCAFLQKQLILAAIDLVDANSKSGGYIVYSTCSIMVAENEAVIDYALKKRDVQLVPCGLDFGRPGFIRFREHRFHPSLEKTRRFYPHVNNMDGFFVAKLKKMSNSKKATEPLKPSEKMEQAAGTDDVEDVPDDKKTEDVPTKDTTKASQPTSGLRKKKKFKAKHPKPQKDGAVKENGVSNKTDNGTAREKRKKRKPPPREEISQLREKKREALRNKKAKKQGT >DRNTG_09108.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31145:37187:-1 gene:DRNTG_09108 transcript:DRNTG_09108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKYLIMKAMNGSSSLEVNRRYRYAGQWKHGRMHGCGVYEVNERIIYGRFYFGNHVQDSTGCDENVSALHAGIAEVAAAKARMFVNKPDGMIREERGPYGDPQHPYFYDEEDVWMAPGFINQFYEVPDYWKVYVQEVDQEREMWLNSFYKAPLRLPMPAELEYWWSRDDTPEFVLINKEPEPDPKDPSKLIYTEDPLILHTRTGRLINYVEDEEHGVRLFWQPRVEDGEDMDPEKIEFLPLGFDEFYGRATPGTEKEVKPSGVIAAIDNAFKPLLNRIQKWIEENKKTSEANLKLYEKELEFIDAELDLEEAMEDMEIILKEKQKEEEKRAAVENDTDEMPASTGQDEARPDDGEDGDDDEDDEGAPTSFGTVGEGADDDILDGSEEKKSGRSPFSSLSMSLASWSLASMVPYKIQESFLSWKNGRQHPSAEFPPKMDCRRFTEYQQGLDSVAFHTRF >DRNTG_01663.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23915764:23916735:-1 gene:DRNTG_01663 transcript:DRNTG_01663.5 gene_biotype:protein_coding transcript_biotype:protein_coding LLSHFFSKFLKSLCCSFWCTFGNPLEILFKNKSPPERDQTPKRKPEDLSFGFGTSNDEEISLLSPPQQRHQHRL >DRNTG_01663.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23911537:23916735:-1 gene:DRNTG_01663 transcript:DRNTG_01663.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRLACCRRHNRDISIDFDENNRVVTYNGLESFILNSCSHDNISGNSGMMSGPDGCVTTTDLLDEDSTSCSSSKDASGSSFSSQCLASNKKEKEEEEQPLHDLDILSCLDRVCPKGKAQPTAYLLCISDVEVMKEKFAKLLLGEDVSGGTRGISTALALSNAIINLSACVFGELWKLEPLSEERKKRWRREMDWLLSPINYMVELVPAKQNGVNGRMLEVEVEVEVMTSKARSDVHVSLPALQKLDTMLIDVLDSMVDTEFWYSEGGSRAEGWSSTPDSRPSKRWWLPSPRVPESGLSTSQRKRLGCQGKLVHQVLKAAKSINEQVLLQMPIPTAVKDALPKSGKSSLGKGLYHAITAEFCSIEEIMLSLNLKSEHCVLETVNRLEGAIFAWRHRISEQPPLPCKKSPIRYPWHLIRDARSQAQRMEAALERAETILCILKMRFPNLPQTFIDVTKVQDNKVSAFSVLLNNNNNNNNNYIYIYMFN >DRNTG_01663.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23911537:23916735:-1 gene:DRNTG_01663 transcript:DRNTG_01663.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRLACCRRHNRDISIDFDENNRVVTYNGLESFILNSCSHDNISGNSGMMSGPDGCVTTTDLLDEDSTSCSSSKDASGSSFSSQCLASNKKEKEEEEQPLHDLDILSCLDRVCPKGKAQPTAYLLCISDVEVMKEKFAKLLLGEDVSGGTRGISTALALSNAIINLSACVFGELWKLEPLSEERKKRWRREMDWLLSPINYMVELVPAKQNGVNGRMLEVEVMTSKARSDVHVSLPALQKLDTMLIDVLDSMVDTEFWYSEGGSRAEGWSSTPDSRPSKRWWLPSPRVPESGLSTSQRKRLGCQGKLVHQVLKAAKSINEQVLLQMPIPTAVKDALPKSGKSSLGKGLYHAITAEFCSIEEIMLSLNLKSEHCVLETVNRLEGAIFAWRHRISEQPPLPCKKSPIRYPWHLIRDARSQAQRMEAALERAETILCILKMRFPNLPQTFIDVTKVQDNKDVGHSIVEAYSRVLVSIAFRILSRIGDILQEDEVKKPSTPIATLRFDFSSDVYLAGITETPPGHIRRSLIDQMNIVDGRICNSIAVKTPKDLHLFTVISQ >DRNTG_01663.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23911665:23916735:-1 gene:DRNTG_01663 transcript:DRNTG_01663.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRLACCRRHNRDISIDFDENNRVVTYNGLESFILNSCSHDNISGNSGMMSGPDGCVTTTDLLDEDSTSCSSSKDASGSSFSSQCLASNKKEKEEEEQPLHDLDILSCLDRVCPKGKAQPTAYLLCISDVEVMKEKFAKLLLGEDVSGGTRGISTALALSNAIINLSACVFGELWKLEPLSEERKKRWRREMDWLLSPINYMVELVPAKQNGVNGRMLEVMTSKARSDVHVSLPALQKLDTMLIDVLDSMVDTEFWYSEGGSRAEGWSSTPDSRPSKRWWLPSPRVPESGLSTSQRKRLGCQGKLVHQVLKAAKSINEQVLLQMPIPTAVKDALPKSGKSSLGKGLYHAITAEFCSIEEIMLSLNLKSEHCVLETVNRLEGAIFAWRHRISEQPPLPCKKSPIRYPWHLIRDARSQAQRMEAALERAETILCILKMRFPNLPQTFIDVTKVQDNKDVGHSIVEAYSRVLVSIAFRILSRIGDILQEDEVKKPSTPIATLRFDFSSDVYLAGITETPPGHIRRSLIDQMNIVDGRICNSIAVKTPKDLHLFTVISQ >DRNTG_01663.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23911665:23916735:-1 gene:DRNTG_01663 transcript:DRNTG_01663.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRLACCRRHNRDISIDFDENNRVVTYNGLESFILNSCSHDNISGNSGMMSGPDGCVTTTDLLDEDSTSCSSSKDASGSSFSSQCLASNKKEKEEEEQPLHDLDILSCLDRVCPKGKAQPTAYLLCISDVEVMKEKFAKLLLGEDVSGGTRGISTALALSNAIINLSACVFGELWKLEPLSEERKKRWRREMDWLLSPINYMVELVPAKQNGVNGRMLEVEVEVEVMTSKARSDVHVSLPALQKLDTMLIDVLDSMVDTEFWYSEGGSRAEGWSSTPDSRPSKRWWLPSPRVPESGLSTSQRKRLGCQGKLVHQVLKAAKSINEQVLLQMPIPTAVKDALPKSGKSSLGKGLYHAITAEFCSIEEIMLSLNLKSEHCVLETVNRLEGAIFAWRHRISEQPPLPCKKSPIRYPWHLIRDARSQAQRMEAALERAETILCILKMRFPNLPQTFIDVTKVQDNKDVGHSIVEAYSRVLVSIAFRILSRIGDILQEDEVKKPSTPIATLRFDFSSDVYLAGITETPPGHIRRSLIDQMNIVDGRICNSIAVKTPKDLHLFTVISQ >DRNTG_01663.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23911665:23912531:-1 gene:DRNTG_01663 transcript:DRNTG_01663.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLNLKSEHCVLETVNRLEGAIFAWRHRISEQPPLPCKKSPIRYPWHLIRDARSQAQRMEAALERAETILCILKMRFPNLPQTFIDVTKVQDNKDVGHSIVEAYSRVLVSIAFRILSRIGDILQEDEVKKPSTPIATLRFDFSSDVYLAGITETPPGHIRRSLIDQMNIVDGRICNSIAVKTPKDLHLFTVISQ >DRNTG_30609.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:587442:591857:-1 gene:DRNTG_30609 transcript:DRNTG_30609.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCIVEEFLVLENNDDHSEELQDNQSSGIVAGTAKLAVTLLIDGYLAEIAKDPNLPLQKFIELAEMISVASRPVHDGLYRAIDIYLKEHTELTKSEKKKICSLMDCKKLSADACMHAVQNDRLPLRVVVQVLFFEQMRASATGDLPTLGSLLPRKNAASHKSSSKSAANTNTDDECDAVNSLQSMRLTTGGKCAGSERSSGGSSSDVHKIGVVDDKGNDKAKGVIMPKKILSKLLPGKAQGGENSSSSDTSESPVSVSINPETGKSTPSRSVRHSVS >DRNTG_30609.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:587442:591857:-1 gene:DRNTG_30609 transcript:DRNTG_30609.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCIVEEFLVLENNDDHSEELQDNQSSGIVAGTAKLAVTLLIDGYLAEIAKDPNLPLQKFIELAEMISVASRPVHDGLYRAIDIYLKEHTELTKSEKKKICSLMDCKKLSADACMHAVQNDRLPLRVVVQVLFFEQMRASATGDLPTLGSLLPRKNAASHKSSSKSAANTNTDDECDAVNSLQSMRLTTGGKCAGSERSSGGSSSDVHKIGVVDDKGNDKAKGVIMPKKILSKLLPGKAQGGENSSSSDTSESPVSVSINPETGKSTPSRSVRHSVS >DRNTG_30609.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:587442:591857:-1 gene:DRNTG_30609 transcript:DRNTG_30609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCIVEEFLVLENNDDHSEELQDNQSSGIVAGTAKLAVTLLIDGYLAEIAKDPNLPLQKFIELAEMISVASRPVHDGLYRAIDIYLKEHTELTKSEKKKICSLMDCKKLSADACMHAVQNDRLPLRVVVQVLFFEQMRASATGDLPTLGSLLPRKNAASHKSSSKSAANTNTDDECDAVNSLQSMRLTTGGKCAGSERSSGGSSSDVHKIGVVDDKGNDKAKGVIMPKKILSKLLPGKAQGGENSSSSDTSESPVSVSINPETGKSTPSRSVRHSVS >DRNTG_30609.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:587442:590512:-1 gene:DRNTG_30609 transcript:DRNTG_30609.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCIVEEFLVLENNDDHSEELQDNQSSGIVAGTAKLAVTLLIDGYLAEIAKDPNLPLQKFIELAEMISVASRPVHDGLYRAIDIYLKEHTELTKSEKKKICSLMDCKKLSADACMHAVQNDRLPLRVVVQVLFFEQMRASATGDLPTLGSLLPRKNAASHKSSSKSAANTNTDDECDAVNSLQSMRLTTGGKCAGSERSSGGSSSDVHKIGVVDDKGNDKAKGVIMPKKILSKLLPGKAQGGENSSSSDTSESPVSVSINPETGKSTPSRSVRHSVS >DRNTG_30609.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:587442:591857:-1 gene:DRNTG_30609 transcript:DRNTG_30609.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCIVEEFLVLENNDDHSEELQDNQSSGIVAGTAKLAVTLLIDGYLAEIAKDPNLPLQKFIELAEMISVASRPVHDGLYRAIDIYLKEHTELTKSEKKKICSLMDCKKLSADACMHAVQNDRLPLRVVVQVLFFEQMRASATGDLPTLGSLLPRKNAASHKSSSKSAANTNTDDECDAVNSLQSMRLTTGGKCAGSERSSGGSSSDVHKIGVVDDKGNDKAKGVIMPKKILSKLLPGKAQGGENSSSSDTSESPVSVSINPETGKSTPSRSVRHSVS >DRNTG_07125.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4789234:4790545:-1 gene:DRNTG_07125 transcript:DRNTG_07125.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLLSPFIVLYEDNADAFWCFEMLLRRMVLNIDLIIFIRVKISKWKDLLG >DRNTG_07125.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4789234:4790545:-1 gene:DRNTG_07125 transcript:DRNTG_07125.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDLSIDKSNLKSYWENMARMSDILVVYARVDPATEYCQGYS >DRNTG_07125.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4789234:4790545:-1 gene:DRNTG_07125 transcript:DRNTG_07125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLLSPFIVLYEDNADAFWCFEMLLRRMGENFQMERPTGVMKQLQALWKILEHTDTEIYEHLSLDVLVYFKSLISICMAISI >DRNTG_07125.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4789234:4790545:-1 gene:DRNTG_07125 transcript:DRNTG_07125.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLLSPFIVLYEDNADAFWCFEMLLRRMGENFQMERPTGVMKQLQALWKILEHTDTEIYEHLSLDVLVYFKSLISICMAISI >DRNTG_30819.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19750046:19752636:1 gene:DRNTG_30819 transcript:DRNTG_30819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIESFRVESPNVKYSPDRINSVYNYETTELVHENRNGTYQWIVKPKTVQYELQTDTRVPKLGVMLVGWGGNNGSTLTAGVIANREGISWATKDKVQQANYFGSLTQASTIRVGSFNGEEIYAPFKSLLPMVNPDDIVFGGWDISKMNLADAMTRAKVLDIDLQKQLRPYMESMVPLPGIYDQDFIAANQSSRADNVIKGTKKEQVQQIINDIREFKEKTKVDMVVVLWTANTERYSDVIVGLNDTMENLLASLEKNEHEISPSTLFAIASVMENVPFINGSPQNTFVPGLIDLAIKRNSLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNGILYEPGEHPDHVIVIKYVPYVGDSKRAMDEYTSEIFMGGKSTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGEEKFHSFHPVATILSYLTKAPLVPPGTPVVNALSKQRAMLENILRACIGLAPENNMILEYK >DRNTG_07821.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:9878946:9879905:1 gene:DRNTG_07821 transcript:DRNTG_07821.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PGIP1 [Source:Projected from Arabidopsis thaliana (AT5G06860) UniProtKB/TrEMBL;Acc:A0A178UBN4] MVLSSSFPLQVSSAKCHKDDKKALLKLEAGFGNPELLPWTYDTDCCSWLEVICSSHTGRVAGLIINFANLSGTISPSIGDLPFLDTFLIQYSSLLTGTIPYSVTKLPLTSLTIKFTSLSGPIPDFLGELKDIENLDLSGNRHTGTIPDSIASLPKLNRLVLNGNKLTGTIPPSLFHGLNARDTLDLSDNHLTGEIPLSLGHADLTYMYLARNRFFGDASFLFGQSKRAIEIDLSRNQLQMDMSSLSFPQSLHLLYLEFNRINGTIPKALAGLNDLAFFNVSHNMLCGRIPAGGRMKFFFASSYLHNKCLCGTPMPSCHH >DRNTG_10039.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1780664:1783663:-1 gene:DRNTG_10039 transcript:DRNTG_10039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVFRVRLSSFFAGAAIASVAGSYVLYKDYKLAHQAVSDQVAEVYQSLEDRYEALDNRVRSLEKQKEAEIAITPIETSE >DRNTG_19250.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:299716:304593:-1 gene:DRNTG_19250 transcript:DRNTG_19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKRDSPPKPKESYPLFSLSNHYHQLGLGFGSGSGLFASSAKPLSPLPPPVEVLSSEESCPKTSHPEPVVINVEVTLLKGRVSTFDVFGVANSDLVPGKYEGGLKLWEGSLDLVRTLWTEIQQGQLSIIGKRVLELGCGHGLPGIFTCIEGASAIHFQDFNSEVLKCLTIPNVNVNLDSFKKKNSNVKDIKTSQSPEIRFFAGDWSNMHDLLFQNCDHDGTEKDSGMNSTRGTYDGYDIVLMAETVYELSSLPNLYSLIKKCLCYPHGVVYMAGKKHYFGVGGGTRQFQLFVEEDGVMDACLIAEVADGSSNVREVWKFSFK >DRNTG_19250.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:299716:304593:-1 gene:DRNTG_19250 transcript:DRNTG_19250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKRDSPPKPKESYPLFSLSNHYHQLGLGFGSGSGLFASSAKPLSPLPPPVEVLSSEESCPKTSHPEPVVINVEVTLLKGRVSTFDVFGVANSDLVPGKYEGGLKLWEGSLDLVRTLWTEIQQGQLSIIGKRVLELGCGHGLPGIFTCIEGASAIHFQDFNSEVLKCLTIPNVNVNLDSFKKKNSNVKDIKTSQSPEIRFFAGDWSNMHDLLFQNCDHDGTEKDSGMNSTRGTYDGYDIVLMAETVYELSSLPNLYSLIKKCLCYPHGVVYMAGKKHYFGVGGGTRQFQLFVEEDGVMDACLIAEVADGSSNVREVWKFSFK >DRNTG_01233.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000074.1:41900:47451:-1 gene:DRNTG_01233 transcript:DRNTG_01233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSRTIWKKVSGRAFIGTDPARVSSIAGVWVFTGILGIQRQGGEEQVAVCVSPKARFIGPRPAGLQPFASAPSMGRDRMIVDLTQVVQALQSQESVGAQIENLDGDHNHYKIPDLEAEDVVEDENAFYDAGAPNGTTRGGLEEWLLHALDLNGR >DRNTG_27034.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2555459:2559742:-1 gene:DRNTG_27034 transcript:DRNTG_27034.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT1G73840) UniProtKB/TrEMBL;Acc:Q8VYM7] MMASQPASGDGSSLDIREMSRDQLLDIMYQMKELIDQNPQLARQILIDNPMLTRTVFKAQIMLGMVRPRQQQPSSQPQQAQTAQQPNAQTIQSSSVQAGVGGSSQPLVPARPTHSQPSMSMSSASIPPLAFQSQSMPSNPPSLPQQTKNILNPQIPSAAPPHSISTHNPPLPPPPAPQYPIPQAHLPMTAGLTQQPMQIPGAMHQPMQPPLPQHPRPSSMLPFPHQAHPQMPHNVGYQPSNMPLQHLSQPMFHSGGNPSLPSSFPQGQPPLPSHPPPQQLYQGSSHMGTDFGVQVGNTMQGDRGAPWALGLPENPMPITQLPGPPPLPSGQMVPGPGVSGPPRPPQLTSEMERNLLEQVMSLTPDQINLLPPEQRNQVKQLQEMLMVRNR >DRNTG_16317.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1553257:1554713:1 gene:DRNTG_16317 transcript:DRNTG_16317.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIFIAVLLLFFLSEAYDLHKDTILPWCDFSSNYTIPSPFKANLDNLLSSLPDAAANSNNLFFNNSVGTAPAVAYGLAQCRTDMSAYDCGICLYRSALKAFSQCLMYRSATILSDKCTLRYSDSCFFSQISLNFITVQNFNNVSNPTLFIQPLRKLMSEVLSKAPRKVTKFASTSFNDSIIGDIYGMAGCTRDLTDAGCSTCLNQALPHLLEVGHTKGCRVFSMSCYVRFEIYPILAQRPPPLTRGYPSEENVMIQ >DRNTG_03460.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20650006:20653998:-1 gene:DRNTG_03460 transcript:DRNTG_03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLAPLLLLLLFVSASAAPIGSAPRFLGPYARRKSSGVASPASYEYDVRYFGQTLDHFSFADLPGFQQRYLVGRNDLWSRNGPIFFYCGNEGDIEWFAENTGFVWEIAPRFGALVVFAEHRYYGKSMPFGSRESAYKDAKSLAYLTAEQALADFATLLTDFKHNLSSEGSPVVLFGGSYGGMLAAWMRLKYPHVAIGALASSAPILQFEDIVPLETFYDIVSNDFKRESLSCFEFIKESWDALETCGQGNDGLRNLSKDFHLCRKLNSVGELSDWINSAYSYLAMVDYPLPSSFLMPLPANPIKEVCRKIDSHPNGTDILVRILAGINVYYNYTGTVDCFDLEDDPHGMNGWDWQACTEMVMPMSSSRDKSMFPTYDFDYASYEDQCVQSYGVRPRPRWITTEFGGHDIRTVLKKFGSNIIFSNGLLDPWSGGSVLHNISDSIIALATELGGHHLDLRPSTNEDPDWLVGQRKTEINIIRSWLNDYYEGRKTSFLTQLY >DRNTG_03353.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18105901:18106989:1 gene:DRNTG_03353 transcript:DRNTG_03353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLWTFLTHLSSIAGPTIMLIYPLIASVIAIESQSKLDDEQWLAYWILYSFLTLVEMAADPILYWLPVWYPIKVVLVAWLVLPQFRGASFIYEKFVRQQLLKKQGHRVGYVTGTRDIYEDDTE >DRNTG_26128.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28445599:28448041:1 gene:DRNTG_26128 transcript:DRNTG_26128.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 55 [Source:Projected from Arabidopsis thaliana (AT2G40740) TAIR;Acc:AT2G40740] MILCFLDSIRNEAPMDDILAQVLNGCKLAGELEGCLATLANQPQLLLSSCEKVVDTFNKVIYSLSLQTSQHLVLGEPLHGGPRLGLTMGEGSSSHGFMQPCMDVMNQENEIGGLGIGGGSSRSMGMIEFGFSQSVEDVDVFGRRGEAPVTGGRISDEPSSSHKPSSRKRKDGAGARTMRVAAPRIGNTEIPPDDGYTWRKYGQKDILGSKFPRSYYRCTHKNYYGCEAKKKVQRLNEDPYTYEVSYCGEHTCQTSTSPLVISSLPPPPVTGATLTPATSLSTSINLGSWFSREVDSGRVPAALPSSETPAGRDGDYPVADLAEVMFNSGSSGSSMDAIFNSKQEHWKG >DRNTG_26128.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28445599:28447270:1 gene:DRNTG_26128 transcript:DRNTG_26128.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 55 [Source:Projected from Arabidopsis thaliana (AT2G40740) TAIR;Acc:AT2G40740] MILCFLDSIRNEAPMDDILAQVLNGCKLAGELEGCLATLANQPQLLLSSCEKVVDTFNKVIYSLSLQTSQHLVLGEPLHGGPRLGLTMGEGSSSHGFMQPCMDVMNQENEIGGLGIGGGSSRSMGMIEFGFSQSVEDVDVFGRRGEAPVTGGRISDEPSSSHKPSSRKRKDGAGARTMRVAAPRIGNTEIPPDDGYTWRKYGQKDILGSKFPR >DRNTG_35408.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1556217:1558817:-1 gene:DRNTG_35408 transcript:DRNTG_35408.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:Projected from Arabidopsis thaliana (AT3G20500) UniProtKB/TrEMBL;Acc:A0A178V816] MDLRVVALMAVVLLAFFSGIVAASRPGGELYIRPKPRKTLWLPWRPRHSSDPQQVHISLSGENHIRVSWMTYDGSSLPIVDYGIAPGVYSSSSSFGETTSYSYLLYDSGKIHHVVIGPLKPDTVYYYRCGGRGREFHFKTPPARFPITFAVVGDLGQTGWTATTLDHIGQSEYDVLLLPGDLSYADYMQHLWDSFGELVEPYASSRPWMVTEGNHEKESILLFKSGFQAYNARWRMPYEESGSPSNLFYSFELSGVHVVMLGSYTDYDVSSDQYAWLKADLAKVDRVKTPWLLVLLHAPWYNSNYAHQGEGNRMMAAMEQLLYAAGVDLVLAGHVHAYERSERVYNGVLNNCGAVHITVGDGGNREGLAERFCQPKPEWSVYREASFGHGELKIMNQTHALWTWHRNDDDETVKSDEVWINSLASSACFASRMPDLRKILMAP >DRNTG_05985.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:24094747:24103744:-1 gene:DRNTG_05985 transcript:DRNTG_05985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSNQSTLITPDSEIERTLHRRLRETAGLYEVSSNDALAAKVDVLTKKLDFLMGSSSRFNSLTSSMDAQFSKVNAQLTQHTEQFSEIGSLLRNLQASVKSLEYRVGELAKAQSERPLGCLRGNTEDNPREHLKAIALRSGRQVETSVEVDPSVKKSGVASGEDPKLVEENSEDKNQGRNAKPQQQGPSKSSEYKPPIPYPTRLKHDKEDSLQIFLSHLEKTHGRGYFPHARGFALRAHPEKAHGRAAAPLNDHVTVTRPWVISARACEFLQSWADFPESTQGVDSPLWANLVNHTWAWRGRGVFTSRESTRIMAPRSKKQADKRPQSLQSAMWVGEWAW >DRNTG_29457.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14367958:14371878:1 gene:DRNTG_29457 transcript:DRNTG_29457.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVSELLKISLMEFMMPIPLLVKIGEESSRRGCEEKRGEEALGRRRR >DRNTG_00952.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21137704:21141577:-1 gene:DRNTG_00952 transcript:DRNTG_00952.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRIRRKRRNPHLPFKDGLLRRALRRAVRWWPLLLFIPAISLLIFEASRLFGKPAVELRSTPTSTMEEEPVGNLNRLDPVTRVIHGVREPCLMILHPEEIQHLEFPKTAEPDFHVKEVVYKSDLSTYPSNGTILAQYSEATRFNLFTGEQTLQEREESFKANEIAVVHCGFYSEKGGFKLSDDDKNYMQTCQAVVSTCSFGGGDDLYQPIGMTQASLEKVCYVAFWDEITRVAQEAEGRKIGDDHMVGKWRIVLVHDLPFSDQRLNGKIPKMLSHRLFPQARYSIWVDSKSQFRRDPLGVLEALLWRTNSVLAISEHGARSSLYDEAKAVVKKNKATPQEVEVQIRQYRLDGMPDQKRFNGKKALAEASIIVREHTPSMNLFMCLWFNEVVRFTSRDQLSFPYVFRRLNTSAINMFPVCTRKDLVNSMGHKRKVKPLVRSTAN >DRNTG_08732.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5029214:5030039:1 gene:DRNTG_08732 transcript:DRNTG_08732.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGLQFAEGNSTTKTAKILSVNEKENLWKDLVENPKNWWDNRSDKLKPSQPDFKHKVTGQVLWLDSSTTPSWVLADLPPPSSKSNDARKQGTNDAGKQDTVLT >DRNTG_08732.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5026296:5030039:1 gene:DRNTG_08732 transcript:DRNTG_08732.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLARFLTSKSPNPQKWLLHRLIASFPFSTAGSPAKRSYKRNPQTQSSKTEETASSPVDNDELKLPRPSEIPFQPKVANLVQLVGTIGVPVQLQTSPEGVYSAASVLVHEKTVDFPRFWIPVIFQGDLAKIAACHLNERDHVYITGQLSGDAPPVKFENVHANVQVLAHTLSFVQGCLKDRELAYTQKAMSLDFSGEFWKCACWDFCYK >DRNTG_08732.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5026296:5030039:1 gene:DRNTG_08732 transcript:DRNTG_08732.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLARFLTSKSPNPQKWLLHRLIASFPFSTAGSPAKRSYKRNPQTQSSKTEETASSPVDNDELKLPRPSEIPFQPKVANLVQLVGTIGVPVQLQTSPEGVYSAASVLVHEKTVDFPRFWIPVIFQGDLAKIAACHLNERDHVYITGQLSGDAPPVKFENVHANVQVLAHTLSFVQGCLKDRELAYTQKAMSLDFSARFKISCI >DRNTG_08732.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5026296:5030039:1 gene:DRNTG_08732 transcript:DRNTG_08732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLARFLTSKSPNPQKWLLHRLIASFPFSTAGSPAKRSYKRNPQTQSSKTEETASSPVDNDELKLPRPSEIPFQPKVANLVQLVGTIGVPVQLQTSPEGVYSAASVLVHEKTVDFPRFWIPVIFQGDLAKIAACHLNERDHVYITGQLSGDAPPVKFENVHANVQVLAHTLSFVQGCLKDRELAYTQKAMSLDFSGEFWKCACWDFCYK >DRNTG_08732.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5026296:5029520:1 gene:DRNTG_08732 transcript:DRNTG_08732.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNLARFLTSKSPNPQKWLLHRLIASFPFSTAGSPAKRSYKRNPQTQSSKTEETASSPVDNDELKLPRPSEIPFQPKVANLVQLVGTIGVPVQLQTSPEGVYSAASVLVHEKTVDFPRFWIPVIFQGDLAKIAACHLNERDHVYITGQLSGDAPPVKFENVHANVQVLAHTLSFVQGCLKDRELAYTQKAMSLDFSAKRDEDPSTFYLWVDLLTNPHKWWDNRSNNLDSRSPAFRHKDNGQVLFINKSTPEWVIKKLDAPTFSQRTEKVPSNGTSRLAILKNFWSDLIDNPQNWQDYRINKLNPKFPDFKHKDTRESLWLNTAPAEILLMLDGLQFAEGNSTTKTAKILSVNEKENLWKDLVENPKNWWDNRSDK >DRNTG_08732.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5026241:5026688:1 gene:DRNTG_08732 transcript:DRNTG_08732.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNLARFLTSKSPNPQKWLLHRLIASFPFSTAGSPAKRSYKRNPQTQSSKTEETASSPVDNDELKLPRPSEIPFQPKVANLVQLVGTIGVPVQLQTSPEGVYSAASVLVHEKTVDFPRFW >DRNTG_08732.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5026296:5027413:1 gene:DRNTG_08732 transcript:DRNTG_08732.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNLARFLTSKSPNPQKWLLHRLIASFPFSTAGSPAKRSYKRNPQTQSSKTEETASSPVDNDELKLPRPSEIPFQPKVANLVQLVGTIGVPVQLQTSPEGVYSAASVLVHEKTVDFPRFWIPVIFQGDLAKIAACHLNERDHVYITGQLSGDAPPVKFENVHANVQ >DRNTG_02788.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1158933:1161380:1 gene:DRNTG_02788 transcript:DRNTG_02788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASNHHHPESRAPSVQQAEKEKELAKP >DRNTG_17738.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4154589:4160869:1 gene:DRNTG_17738 transcript:DRNTG_17738.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome regulatory subunit 6A homolog A [Source:Projected from Arabidopsis thaliana (AT3G05530) UniProtKB/Swiss-Prot;Acc:Q9SEI2] MASSAAMDDFEDDQIAPMSTEDIIRASRLLDTEIRILKEDLQRANLDLDSYKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEEEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDTLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVHPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVMHEDFNEGIIQVQAKKKTSLNYYA >DRNTG_11517.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3738498:3739816:-1 gene:DRNTG_11517 transcript:DRNTG_11517.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSSLECPSTEKIVKRSQPVVKMCGITSAKDAELAAEAGAKLIGMILWPNSKRSVSLSVAKEISKAARDYGAEPVGVFVDDNAETILRASDASGLEFVQLHGDSSRADLSMILPQKRVIYVLHTDENGNLLNFVSDEESSPVDWILVDSAEGGSGKGFDWKKFRLPPIKSKEGWLLAGGLHADNVYEAVITLKPDGVDVSSGICASDGIQKDPSRISSFMSKVNSVSY >DRNTG_11517.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3738498:3739381:-1 gene:DRNTG_11517 transcript:DRNTG_11517.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILPQKRVIYVLHTDENGNLLNFVSDEESSPVDWILVDSAEGGSGKGFDWKKFRLPPIKSKEGWLLAGGLHADNVYEAVITLKPDGVDVSSGICASDGIQKDPSRISSFMSKVNSVSY >DRNTG_11517.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3738498:3740567:-1 gene:DRNTG_11517 transcript:DRNTG_11517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSHLCVLSMIKSSLECPSTEKIVKRSQPVVKMCGITSAKDAELAAEAGAKLIGMILWPNSKRSVSLSVAKEISKAARDYGAEPVGVFVDDNAETILRASDASGLEFVQLHGDSSRADLSMILPQKRVIYVLHTDENGNLLNFVSDEESSPVDWILVDSAEGGSGKGFDWKKFRLPPIKSKEGWLLAGGLHADNVYEAVITLKPDGVDVSSGICASDGIQKDPSRISSFMSKVNSVSY >DRNTG_05709.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1792183:1793388:-1 gene:DRNTG_05709 transcript:DRNTG_05709.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPWFFRCPISLDLFTDPVTLSTGQTYDRPSIERWLAEGHYTCPVTMQRLNDTSLVPNHTLRHLIDRWLLTGHETKSKPINFINSLAALKLNIQSAETSIETKLEALKKVRILSMESDNGQASLIQLGFFPLLLDFLFQTPQLTTTTTTTYPELFIEVALDCILSLSPEKHSEFLNILKNESCLASLGIMLDQGNVKIKTCLCTLIETIASSPVTRQVCLAMGQSQKVLQVLVSLLCSSTGIWAMEAAVRAVYGICMLEANRSNLVEEGAIDGLFSYLSCSVQRNASQALASIEALLVVDAGRKSLLMNVNAVRVLVKMVFVVNSDHEASEHAVGALLIMCCETMGVRAEAVNAGVLKQLLLLLQSQCGVKAKAKARALLKLLRSVWAEDPGVCNFYNAM >DRNTG_06306.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2189587:2191866:1 gene:DRNTG_06306 transcript:DRNTG_06306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGDIQLSTPSFTRHHTPPPSTRRSDQPDHGAPTECYACTQAGAPVFHSTSCDHANPPEWAANAGSSLIPIQPGSTPPGSTKPLAWLFGPVLDPREPHVHRLNRVFLLARAVALAVDPLFFYTVSVGLSAEPCVSLDAELAVALIAVRTCVDVVHLWHVWFQLKMAYVSRESLVIGCGKLVWDPRLIAQHYARSATGFWLDVFVILPIPQIVFWLVLPGLIRDREIKLVMSVLLLAFLFQFIPKVYHSLCMM >DRNTG_00726.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:4415389:4415780:1 gene:DRNTG_00726 transcript:DRNTG_00726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLVRRCGPVVYILATATLETAKSEEDTADGFQQIPTPSVVQGPRAYDRIERLESFNLSISYTPILYPAPADSPCASPAAAAAAPKPEGDADI >DRNTG_00046.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21683681:21686592:1 gene:DRNTG_00046 transcript:DRNTG_00046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFFTLNTGAKIPSIGLGTWQAEPGVVGEAVVAAVKAGYRHIDCARAYNNEKEIGLALKKLFEDGVVKREDLFITSKLWSGYHAPEDVPEAINLTLTELQLDYVDLYLIHWPLRVKKGSSISPENFIQLDIPGTWSAMEKLYDAGKARAIGVSNFSSKKLEDLLAVARISPAVNQVECHPGWQQDKLRSFCQSTGVHLSGYSPLGSPGTPWIKGSNVLANPVVKMIAEKLGKTPAQVVLRWGIQKGHSVLPKSTSEARIKENLDIFDWSIPEDLFSKFSEIEQARLIRGNFAVHPQSIYKSVEDLWDGEI >DRNTG_03430.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3210193:3210453:1 gene:DRNTG_03430 transcript:DRNTG_03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSPRADKLFDFNECSASSVVEKGHFFVYTSEGKRFMVPLAYLTNSIFKELLKISEEEFGLPSIDGVCALNAKKRSISRGGEGTA >DRNTG_19235.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18920676:18922837:1 gene:DRNTG_19235 transcript:DRNTG_19235.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTENKCCILMQKYELGKLLGQGTFAKVYHGRDLKNSQSVAIKVIDKEKVSKVGLVDQIKREISVMRLIRHPHVVRLFEVMANKNKIYFVMEYVKGGELFNKLLKGKLKEDVVRKYFQQLISSVDFCHSRGVYHRDLKPENLLLDDEGDLKVSDFGLSALTESKRQDGLLHTTCGTPAYVAPEVISRRGYEGAKADIWSCGVILFVLLAGYLPFHDQNLMEMYRKIGKAEFKCPGWFPSDVRKLLSKILDPNPSTRITIEEIMENSWFRKGFDYKPMMMINDTNTEAAEEVLNSSENSPVMKPTSLKCF >DRNTG_01780.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10769923:10771422:1 gene:DRNTG_01780 transcript:DRNTG_01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEISESIGNLINLHFLTVLECKNLKRLLNGMTSLKGLQNLNLDGTPIDPIPKGTERLHKLKVLTDLLLEDQVMESLPEWLLTAAVKGSLVEFRRWTLRATPIGNGGGGGNGGDVSAESSAGSSGST >DRNTG_28084.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20437115:20440192:1 gene:DRNTG_28084 transcript:DRNTG_28084.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g74700 [Source:Projected from Arabidopsis thaliana (AT1G74700) UniProtKB/TrEMBL;Acc:Q1WW71] MYVATRGLYSMKPPTIFVPVSVRENVEKLFEVHRAMDQSELKHNLVALDIGEEFQMRKDLKVKAFRTYHVIPSQGYIIYSVKQKLKQEYAGLSGNEIKNLRLSGVEITYTVVSPEIAFTGDTMSDFVVDPENSDALRARVLVMESTFVDDTVTIEHARDYGHTHLFEISHYAERFQNKAILLIHFSARYQADEIKEAIAKLPPSFSGRVFALLEGI >DRNTG_28084.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20437115:20440192:1 gene:DRNTG_28084 transcript:DRNTG_28084.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g74700 [Source:Projected from Arabidopsis thaliana (AT1G74700) UniProtKB/TrEMBL;Acc:Q1WW71] MESRKSKEKSEEPSCTPSLAMRKKGLVIEGYPIEGLSIGGQETCVIFPTLKTAFDIGRCPQRAISQDFLFISHSHMDHIGGLPMYVATRGLYSMKPPTIFVPVSVRENVEKLFEVHRAMDQSELKHNLVALDIGEEFQMRKDLKVKAFRTYHVIPSQGYIIYSVKQKLKQEYAGLSGNEIKNLRLSGVEITYTVVSPEIAFTGDTMSDFVVDPENSDALRARVLVMESTFVDDTVTIEHARDYGHTHLFEISHYAERFQNKAILLIHFSARYQADEIKEAIAKLPPSFSGRVFALLEGI >DRNTG_28084.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20437115:20440192:1 gene:DRNTG_28084 transcript:DRNTG_28084.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g74700 [Source:Projected from Arabidopsis thaliana (AT1G74700) UniProtKB/TrEMBL;Acc:Q1WW71] MESRKSKEKSEEPSCTPSLAMRKKGLVIEGYPIEGLSIGGQETCVIFPTLKTAFDIGRCPQRAISQDFLFISHSHMDHIGGLPMYVATRGLYSMKPPTIFVPVSVRENVEKLFEVHRAMDQSELKHNLVALDIGEEFQMRKDLKVKAFRTYHVIPSQGYIIYSVKQKLKQEYAGLSGNEIKNLRLSGVEITYTVVSPEIAFTGDTMSDFVVDPENSDALRARVLVMESTFVDDTVTIEHARDYGHTHLFEISHYAERFQNKAILLIHFSARYQADEIKEAIAKLPPSFSGRVFALLEGI >DRNTG_28084.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20437115:20440192:1 gene:DRNTG_28084 transcript:DRNTG_28084.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g74700 [Source:Projected from Arabidopsis thaliana (AT1G74700) UniProtKB/TrEMBL;Acc:Q1WW71] MRKKGLVIEGYPIEGLSIGGQETCVIFPTLKTAFDIGRCPQRAISQDFLFISHSHMDHIGGLPMYVATRGLYSMKPPTIFVPVSVRENVEKLFEVHRAMDQSELKHNLVALDIGEEFQMRKDLKVKAFRTYHVIPSQGYIIYSVKQKLKQEYAGLSGNEIKNLRLSGVEITYTVVSPEIAFTGDTMSDFVVDPENSDALRARVLVMESTFVDDTVTIEHARDYGHTHLFEISHYAERFQNKAILLIHFSARYQADEIKEAIAKLPPSFSGRVFALLEGI >DRNTG_14043.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27482737:27486267:-1 gene:DRNTG_14043 transcript:DRNTG_14043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKACGTTLLLAYQSLGVVYGDLSISPIYVYRSTFSGKLHLHEKDAVVLGVFSLVFWTLTLIPLCKYILFVLQADDNGEGGTFALYSLMCRKLKIGHLRYSQPTDNDLSVYNSETSQRETRTSFLIKSFFDKHQSSRVVLLLVVLLGTSMIIGDGVLTPTMSVLSAVSGIHIKAPNLHENYIIFIACMILIGLFSLQHYGTHKVGFLFAPILIAWLCCISGLGIYNIFKWNPSVCRAMSPYYIYNFFKETGKDGWSSLGGIILCITGSEAMFADLGHFSIISIRMTFTAVVYPCLVIAYMGEAAYLTEHIEDLEKSFYKSIPEHIFWPVFIIATLATVVGSQAIISATFSIISQCQALGCFPRVKITHTSSDIHGQIYIPEINWLLMFLCIILTITFKDFHLIGNAFGLTVIIVMLITTCLMFLIITTVWKRTVILALLFILMFGSIELVYFSACLAKVHHGGWLPLVFSLVTLMLMSVWHYGTAKKQDFEFQNKVCLDWFLGFGQSLGMVRLPGIGLIYTSTTNGLPPMFAHFITNFPAFHRICIFISLHTLTIPKVPLDEQFLIGRIGPAEYRLFQCIVRYGYKDARKDSDEFENQVFMKVAEFMQQEVITGKSNTNYEYEMPVVGKPSEPVIDAFSKAKTKRKYKVRFTEVGVMDEVSELIEQKESGVSYMMGNTCVIAHDSSSFLKRIVINIIYGFLRRNSRRRAVALGIPHPFPH >DRNTG_14043.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27482737:27483764:-1 gene:DRNTG_14043 transcript:DRNTG_14043.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLITTCLMFLIITTVWKRTVILALLFILMFGSIELVYFSACLAKVHHGGWLPLVFSLVTLMLMSVWHYGTAKKQDFEFQNKVCLDWFLGFGQSLGMVRLPGIGLIYTSTTNGLPPMFAHFITNFPAFHRICIFISLHTLTIPKVPLDEQFLIGRIGPAEYRLFQCIVRYGYKDARKDSDEFENQVFMKVAEFMQQEVITGKSNTNYEYEMPVVGKPSEPVIDAFSKAKTKRKYKVRFTEVGVMDEVSELIEQKESGVSYMMGNTCVIAHDSSSFLKRIVINIIYGFLRRNSRRRAVALGIPHPFPH >DRNTG_09634.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21945223:21949864:1 gene:DRNTG_09634 transcript:DRNTG_09634.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTKGKLYEYSSDSSMERIIERYEHYSYAEKALSMTEPDSQGDWFLEYGKLKAKIEIIQKSQRHLMGDQLDTLGIKQLQHLEQQLENSLKHIRSRKSHLLSDSIADLQKKEKLLMEQNKLLENEVMEKEKARAMAEQTQWEQQNQAQQTTSCSSAPSFLITTDSLPTLNIGNFQGRANEEEQEGQRNQVQIASSSLLPPWMLRPQ >DRNTG_09634.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21945223:21949864:1 gene:DRNTG_09634 transcript:DRNTG_09634.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTKGKLYEYSSDSSMERIIERYEHYSYAEKALSMTEPDSQGDWFLEYGKLKAKIEIIQKSQRHLMGDQLDTLGIKQLQHLEQQLENSLKHIRSRKSHLLSDSIADLQKKEKLLMEQNKLLENEVMEKEKARAMAEQTQWEQQNQAQQTTSCSSAPSFLITTDSLPTLNIGYLQTSSSSNAHTN >DRNTG_09634.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21945223:21949864:1 gene:DRNTG_09634 transcript:DRNTG_09634.14 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTKGKLYEYSSDSSMERIIERYEHYSYAEKALSMTEPDSQGDWFLEYGKLKAKIEIIQKSQRHLMGDQLDTLGIKQLQHLEQQLENSLKHIRSRKSHLLSDSIADLQKKEKLLMEQNKLLENEVMEKEKARAMAEQTQWEQQNQAQQTTSCSSAPSFLITTDSLPTLNIGNFQGRANEEEQEGQRNQVQIASSSLLPPWMLRPQ >DRNTG_09634.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21945223:21947495:1 gene:DRNTG_09634 transcript:DRNTG_09634.16 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTKGKLYEYSSDSSMERIIERYEHYSYAEKALSMTEPDSQGDWFLEYGKLKAKIEIIQKSQRHLMGDQLDTLGIKQLQHLEQQLENSLKHIRSRKSHLLSDSIADLQKKEKLLMEQNKLLENEVMEKEKARAMAEQTQWEQQNQAQQTTSCSSAPSFLITTDSLPTLNIGNFQGRANEEEQEGQRNQVQIASSSLLPPWMLRPQ >DRNTG_09634.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21945223:21949864:1 gene:DRNTG_09634 transcript:DRNTG_09634.11 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTKGKLYEYSSDSSMERIIERYEHYSYAEKALSMTEPDSQGDWFLEYGKLKAKIEIIQKSQRHLMGDQLDTLGIKQLQHLEQQLENSLKHIRSRKSHLLSDSIADLQKKEKLLMEQNKLLENEVMEKEKARAMAEQTQWEQQNQAQQTTSCSSAPSFLITTDSLPTLNIGNFQGRANEEEQEGQRNQVQIASSSLLPPWMLRPQ >DRNTG_09634.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21945223:21950127:1 gene:DRNTG_09634 transcript:DRNTG_09634.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTKGKLYEYSSDSSMERIIERYEHYSYAEKALSMTEPDSQGDWFLEYGKLKAKIEIIQKSQRHLMGDQLDTLGIKQLQHLEQQLENSLKHIRSRKSHLLSDSIADLQKKEKLLMEQNKLLENEVMEKEKARAMAEQTQWEQQNQAQQTTSCSSAPSFLITTDSLPTLNIGYLQTSSSSNAHTN >DRNTG_09634.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21945223:21949864:1 gene:DRNTG_09634 transcript:DRNTG_09634.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTKGKLYEYSSDSSMERIIERYEHYSYAEKALSMTEPDSQGDWFLEYGKLKAKIEIIQKSQRHLMGDQLDTLGIKQLQHLEQQLENSLKHIRSRKSHLLSDSIADLQKKEKLLMEQNKLLENEVMEKEKARAMAEQTQWEQQNQAQQTTSCSSAPSFLITTDSLPTLNIGYLQTSSSSNAHTN >DRNTG_09634.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21945223:21949864:1 gene:DRNTG_09634 transcript:DRNTG_09634.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTKGKLYEYSSDSSMERIIERYEHYSYAEKALSMTEPDSQGDWFLEYGKLKAKIEIIQKSQRHLMGDQLDTLGIKQLQHLEQQLENSLKHIRSRKSHLLSDSIADLQKKEKLLMEQNKLLENEVMEKEKARAMAEQTQWEQQNQAQQTTSCSSAPSFLITTDSLPTLNIGYLQTSSSSNAHTN >DRNTG_09634.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21945223:21949864:1 gene:DRNTG_09634 transcript:DRNTG_09634.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTKGKLYEYSSDSSMERIIERYEHYSYAEKALSMTEPDSQGDWFLEYGKLKAKIEIIQKSQRHLMGDQLDTLGIKQLQHLEQQLENSLKHIRSRKSHLLSDSIADLQKKEKLLMEQNKLLENEVMEKEKARAMAEQTQWEQQNQAQQTTSCSSAPSFLITTDSLPTLNIGNFQGRANEEEQEGQRNQVQIASSSLLPPWMLRPQ >DRNTG_09634.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21945223:21949864:1 gene:DRNTG_09634 transcript:DRNTG_09634.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTKGKLYEYSSDSSMERIIERYEHYSYAEKALSMTEPDSQGDWFLEYGKLKAKIEIIQKSQRHLMGDQLDTLGIKQLQHLEQQLENSLKHIRSRKSHLLSDSIADLQKKEKLLMEQNKLLENEVMEKEKARAMAEQTQWEQQNQAQQTTSCSSAPSFLITTDSLPTLNIGNFQGRANEEEQEGQRNQVQIASSSLLPPWMLRPQ >DRNTG_09634.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21945223:21949864:1 gene:DRNTG_09634 transcript:DRNTG_09634.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTKGKLYEYSSDSSMERIIERYEHYSYAEKALSMTEPDSQGDWFLEYGKLKAKIEIIQKSQRHLMGDQLDTLGIKQLQHLEQQLENSLKHIRSRKSHLLSDSIADLQKKEKLLMEQNKLLENEVMEKEKARAMAEQTQWEQQNQAQQTTSCSSAPSFLITTDSLPTLNIGYLQTSSSSNAHTN >DRNTG_09634.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21945223:21949864:1 gene:DRNTG_09634 transcript:DRNTG_09634.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTKGKLYEYSSDSSMERIIERYEHYSYAEKALSMTEPDSQGDWFLEYGKLKAKIEIIQKSQRHLMGDQLDTLGIKQLQHLEQQLENSLKHIRSRKSHLLSDSIADLQKKEKLLMEQNKLLENEVMEKEKARAMAEQTQWEQQNQAQQTTSCSSAPSFLITTDSLPTLNIGYLQTSSSSNAHTN >DRNTG_09634.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21945223:21949864:1 gene:DRNTG_09634 transcript:DRNTG_09634.13 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTKGKLYEYSSDSSMERIIERYEHYSYAEKALSMTEPDSQGDWFLEYGKLKAKIEIIQKSQRHLMGDQLDTLGIKQLQHLEQQLENSLKHIRSRKSHLLSDSIADLQKKEKLLMEQNKLLENEVMEKEKARAMAEQTQWEQQNQAQQTTSCSSAPSFLITTDSLPTLNIGYLQTSSSSNAHTN >DRNTG_09634.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21945223:21949864:1 gene:DRNTG_09634 transcript:DRNTG_09634.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTKGKLYEYSSDSSMERIIERYEHYSYAEKALSMTEPDSQGDWFLEYGKLKAKIEIIQKSQRHLMGDQLDTLGIKQLQHLEQQLENSLKHIRSRKSHLLSDSIADLQKKEKLLMEQNKLLENEVMEKEKARAMAEQTQWEQQNQAQQTTSCSSAPSFLITTDSLPTLNIGYLQTSSSSNAHTN >DRNTG_09634.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21945223:21947495:1 gene:DRNTG_09634 transcript:DRNTG_09634.15 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTKGKLYEYSSDSSMERIIERYEHYSYAEKALSMTEPDSQGDWFLEYGKLKAKIEIIQKSQRHLMGDQLDTLGIKQLQHLEQQLENSLKHIRSRKSHLLSDSIADLQKKEKLLMEQNKLLENEVMEKEKARAMAEQTQWEQQNQAQQTTSCSSAPSFLITTDSLPTLNIGYLQTSSSSNAHTN >DRNTG_09634.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21945223:21949864:1 gene:DRNTG_09634 transcript:DRNTG_09634.12 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTKGKLYEYSSDSSMERIIERYEHYSYAEKALSMTEPDSQGDWFLEYGKLKAKIEIIQKSQRHLMGDQLDTLGIKQLQHLEQQLENSLKHIRSRKSHLLSDSIADLQKKEKLLMEQNKLLENEVMEKEKARAMAEQTQWEQQNQAQQTTSCSSAPSFLITTDSLPTLNIGYLQTSSSSNAHTN >DRNTG_33472.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002001.1:7394:8685:1 gene:DRNTG_33472 transcript:DRNTG_33472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVRTHEVKHLRDTLPEPIYMEFLNPEHQAQFLRLSALSFFHTRFVDFQVLRDIQRGDKLADEIDEMLVVGNWMRLLTISKPVFRAVTLEVLASFAFDHPYGRVDTIDAMQFRAFRNPFCMSVTEFFVHIGLYDGTYTGTEEYRHLSTDFPGHHPILVELQVFALGPQQVCVKSSGSRFEAPGPVCESELLFAGAYVTRLILEKGFMDAIHAAKRTVVPASLGFETIRMMGLHRRHSGDQYPFLLLLELMIILGGSRVLGPPAPPSHPPPLCPLHLFEYPKQ >DRNTG_28396.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001434.1:5033:5774:1 gene:DRNTG_28396 transcript:DRNTG_28396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASLVKGRGEGDQRSLPAAPSIKSIAD >DRNTG_33575.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10608097:10608878:1 gene:DRNTG_33575 transcript:DRNTG_33575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFVCIIQKSLSKEPYPYKKHASITRPLALFMSKQDDAHETIMAMVGDAVRNMHEVQIVILSIIMNGHFHVIVLDNDKQEYRHYSSCPGYDKDALDMRNLFDNCVDMQFGESATAKYQLVHDTETPRKKQGSVDCAVYIMRFIEQLLWGEKLRLPQTDVPYLRLRYVTRILKEGRAAGVHDKGGSSQAG >DRNTG_14000.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27730148:27732570:1 gene:DRNTG_14000 transcript:DRNTG_14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDGGGLPGWLGGLVEEPFFVACEAHETRKKNEKNIFCLYCCTSICPHCVSSHPSHPLLQVRRYVYNDVVRLDDLQKLIDCSHVQPYTINSAKVVFLKPRPQSRPFKGSGNICLTCDRILQEPFHFCSLSCKVDHVVLQGEDLSSILYRFNESELAFSHFENLRMDSSDILEEDGHVISSTVTQDSVQYKECSASSHGGKAVTRRPENSEVVKRKKNGGFFPHIVLSLNNRRKGAPHRSPLS >DRNTG_22986.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001255.1:80212:83491:-1 gene:DRNTG_22986 transcript:DRNTG_22986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRKLFGFSISVILINMAAIMERADENLLPSVYKEVSEAFSAGPTKLGYLTFIMNFVQALSSPLAGVLILHYDRPTVLAIGTACWALSTAAVGASQQFYQVACWRAVNGLGLAIVIPALQSFIADSYSDDVRGRGFGLLSLVGSVGGIGGGALATIMAGQEYWGIPVARLLFRPSSMRTLKGLNWLGKGNAIPHSVWRESWAAARAVIKVRTFQIIVLQGIVGSFPSRAMVFFTMWFELIGFDNNSSAGLISLFAIGCAIGSFIGGLIADRISRIYPDTGRVMCAQFSAFMGIPFSWLLLNIIPQSVSNWISFAVTLFLMGLTISWCATCANNPMFAEVVPLKHRTMIYAFDRAFEGSFASFAAPAVGILTEKIYGYDSRPVNSVSGSAHQAFALSRGLLSMTVVPFGLCSLFYSPLHVIFKRDRANARAASLKEQELT >DRNTG_22986.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001255.1:81578:83491:-1 gene:DRNTG_22986 transcript:DRNTG_22986.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRKLFGFSISVILINMAAIMERADENLLPSVYKEVSEAFSAGPTKLGYLTFIMNFVQALSSPLAGVLILHYDRPTVLAIGTACWALSTAAVGASQQFYQVACWRAVNGLGLAIVIPALQSFIADSYSDDVRGRGFGLLSLVGSVGGIGGGALATIMAGQEYWGIPVARLLFRPSSMRTLKGTYSLIL >DRNTG_25261.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19349531:19351970:1 gene:DRNTG_25261 transcript:DRNTG_25261.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFYRVARASEYLAITGFQIKDIKLAKKAWIFPGQSCTVFDISPVNYTFEVQAMSAEKLPFVLPAVFTIGPRVDGEDSLLRYARLISPHDKLSNHVKELVQGVIEGETRVLAASMTMEEIFKGTKSFKEEVFEKVQLELNQFGLLIYNANVKQLVDVRGHEYFSYLGQKTQQEAANQAKVDVAEAKMKGEIGAKTRDGQTLQNAAKIDAETKIYSTNRQGEGKKEEIKVKAEVKVYENKREAEVAEANAELAMKKAGWARKAEVAQVEAEKAVKLREAELQMEVERRNALTQTEKFKAECLSKALVDYEMKVQEANWELYKKQKEAEALLYEQQKVADGQKAVAEAAFFSRRQAADGELYAKKKEAEGLVALAEAQGAYLSTLLGALGGNYTALRDYLMINQGMYQDIAKINANAIQGLQPKISVWTNSGGDNKSEGGAMQELAGVYRMLPPLFKTVHEQTGMIPPTWMGALPQTSTE >DRNTG_25261.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19349531:19351815:1 gene:DRNTG_25261 transcript:DRNTG_25261.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFYRVARASEYLAITGFQIKDIKLAKKAWIFPGQSCTVFDISPVNYTFEVQAMSAEKLPFVLPAVFTIGPRVDGEDSLLRYARLISPHDKLSNHVKELVQGVIEGETRVLAASMTMEEIFKGTKSFKEEVFEKVQLELNQFGLLIYNANVKQLVDVRGHEYFSYLGQKTQQEAANQAKVDVAEAKMKGEIGAKTRDGQTLQNAAKIDAETKIYSTNRQGEGKKEEIKVKAEVKVYENKREAEVAEANAELAMKKAGWARKAEVAQVEAEKAVKLREAELQMEVERRNALTQTEKFKAECLSKALVDYEMKVQEANWELYKKQKEAEALLYEQQKVADGQKAVAEAAFFSRRQAADGELYAKKKEAEGLVALAEAQGAYLSTLLGALGGNYTALRDYLMINQGMYQDIAKINANAIQGLQPKISVWTNSGGDNKSEGGAMQELAGVYRMLPPLFKTVHEQTGMIPPTWMGALPQTSTE >DRNTG_25261.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19349568:19351815:1 gene:DRNTG_25261 transcript:DRNTG_25261.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFYRVARASEYLAITGFQIKDIKLAKKAWIFPGQSCTVFDISPVNYTFEVQAMSAEKLPFVLPAVFTIGPRVDGEDSLLRYARLISPHDKLSNHVKELVQGVIEGETRVLAASMTMEEIFKGTKSFKEEVFEKVQLELNQFGLLIYNANVKQLVDVRGHEYFSYLGQKTQQEAANQAKVDVAEAKMKGEIGAKTRDGQTLQNAAKIDAETKIYSTNRQGEGKKEEIKVKAEVKVYENKREAEVAEANAELAMKKAGWARKAEVAQVEAEKAVKLREAELQMEVERRNALTQTEKFKAECLSKALVDYEMKVQEANWELYKKQKEAEALLYEQQKVADGQKAVAEAAFFSRRQAADGELYAKKKEAEGLVALAEAQGAYLSTLLGALGGNYTALRDYLMINQGMYQDIAKINANAIQGLQPKISVWTNSGGDNKSEGGAMQELAGVYRMLPPLFKTVHEQTGMIPPTWMGALPQTSTE >DRNTG_08196.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6741471:6741920:-1 gene:DRNTG_08196 transcript:DRNTG_08196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRAITSVWFTVVRRSELKVAPRLQVIPRPPYAPPVAREQDETALLQLPRPQLALVGAYSAHTPHLYIFRSCSSSHGLLAEGCSNYRLLDPSIASRRPIPMISLVIYGPEKITPTLAYCP >DRNTG_12089.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14074689:14080590:1 gene:DRNTG_12089 transcript:DRNTG_12089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKFRTGPCTVHGRGVARFQPYLKPIQPQFWFLERFYGFDIVVVWKKVIERAFVGIDPARCILGRTKDPLRQIEDSPQDHRHDHRDKARLERVERVSREEMFNPDTYEGLFNQEVDNEEVMMLGPKKEAPSTLGIRKKVLRKMKRARRRHRKCTKTVRDVSEPNKLDEPLLEKPQGRAATLVDWVDGRGYFSHARADAFRDIECHLESAQGRACAPVGLSSGGAREWVISARPCGCAEFQETRVFL >DRNTG_27010.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26905105:26905421:-1 gene:DRNTG_27010 transcript:DRNTG_27010.8 gene_biotype:protein_coding transcript_biotype:protein_coding GTFFIYAIINALTVVFVAKMVPETKGRTLEEIHASMSPHTRI >DRNTG_27010.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26905105:26908672:-1 gene:DRNTG_27010 transcript:DRNTG_27010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMRASAIICTIGWLAIYFAQGAVLLDLGRFATGYGIGVLSYVVPIFIAEITPKNLRGALTTLNQLMITVGIAVAFIIGALVSWRTLALTGIVPCFVLLVGLVFIPESPRWLAKIGRRKEFEDACQILRGKDADISAEVQEIQEHIDTLETLPKGRVQDLFQWNYIRPVIIGVGLMVFQQFGGVNGIIFYSSETFAAAGFSSARLATILLGLTQIPVTILGAIFLDRTGRRPLLMISASGTFLGTFQAGLSFYFRDHNMYTDWAPILAVSGMLTYLGSFSIGMGAIPWVIMSEIFPLNIKGIAGSLVTLVNWSGSWCTSYSFNFLMSWNSAGTFFIYAIINALTVVFVAKMVPETKGRTLEEIHASMSPHTRI >DRNTG_27010.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26905105:26908672:-1 gene:DRNTG_27010 transcript:DRNTG_27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASREDGEIGVSIAKLGDDDDDARESLISKGKESVSMVLFSTFVAVCGSFEFGSCIGFSAPAQADLRKDLDLSLSEYGLFGSLMNFGAMIGAVASGPTSDFIGRKGAMRASAIICTIGWLAIYFAQGAVLLDLGRFATGYGIGVLSYVVPIFIAEITPKNLRGALTTLNQLMITVGIAVAFIIGALVSWRTLALTGIVPCFVLLVGLVFIPESPRWLAKIGRRKEFEDACQILRGKDADISAEVQEIQEHIDTLETLPKGRVQDLFQWNYIRPVIIGVGLMVFQQFGGVNGIIFYSSETFAAAGFSSARLATILLGLTQIPVTILGAIFLDRTGRRPLLMISASGTFLGTFQAGLSFYFRDHNMYTDWAPILAVSGMLTYLGSFSIGMGAIPWVIMSEIFPLNIKGIAGSLVTLVNWSGSWCTSYSFNFLMSWNSAGTFFIYAIINALTVVFVAKMVPETKGRTLEEIHASMSPHTRI >DRNTG_27010.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26905105:26907314:-1 gene:DRNTG_27010 transcript:DRNTG_27010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MITVGIAVAFIIGALVSWRTLALTGIVPCFVLLVGLVFIPESPRWLAKIGRRKEFEDACQILRGKDADISAEVQEIQEHIDTLETLPKGRVQDLFQWNYIRPVIIGVGLMVFQQFGGVNGIIFYSSETFAAAGFSSARLATILLGLTQIPVTILGAIFLDRTGRRPLLMISASGTFLGTFQAGLSFYFRDHNMYTDWAPILAVSGMLTYLGSFSIGMGAIPWVIMSEIFPLNIKGIAGSLVTLVNWSGSWCTSYSFNFLMSWNSAGTFFIYAIINALTVVFVAKMVPETKGRTLEEIHASMSPHTRI >DRNTG_27010.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26908086:26908826:-1 gene:DRNTG_27010 transcript:DRNTG_27010.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASREDGEIGVSIAKLGDDDDDARESLISKGKESVSMVLFSTFVAVCGSFEFGSCIGFSAPAQADLRKDLDLSLSEVCLGFRLTVWCREGTVLIDREFIFI >DRNTG_27010.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26905105:26906482:-1 gene:DRNTG_27010 transcript:DRNTG_27010.5 gene_biotype:protein_coding transcript_biotype:protein_coding MISASGTFLGTFQAGLSFYFRDHNMYTDWAPILAVSGMLTYLGSFSIGMGAIPWVIMSEIFPLNIKGIAGSLVTLVNWSGSWCTSYSFNFLMSWNSAGTFFIYAIINALTVVFVAKMVPETKGRTLEEIHASMSPHTRI >DRNTG_27010.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26905105:26905655:-1 gene:DRNTG_27010 transcript:DRNTG_27010.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNSAGTFFIYAIINALTVVFVAKMVPETKGRTLEEIHASMSPHTRI >DRNTG_27010.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26905105:26906997:-1 gene:DRNTG_27010 transcript:DRNTG_27010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQQFGGVNGIIFYSSETFAAAGFSSARLATILLGLTQIPVTILGAIFLDRTGRRPLLMISASGTFLGTFQAGLSFYFRDHNMYTDWAPILAVSGMLTYLGSFSIGMGAIPWVIMSEIFPLNIKGIAGSLVTLVNWSGSWCTSYSFNFLMSWNSAGTFFIYAIINALTVVFVAKMVPETKGRTLEEIHASMSPHTRI >DRNTG_09677.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1342558:1343530:-1 gene:DRNTG_09677 transcript:DRNTG_09677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTLRAFALIATAILLVQSAKGANFNVGGTAGNWDLSTNLNGWASAQTFTTGDTLTFNYDSMHSVLEVSKPDYDACQVNNPIGEFTNGNTLIMLKFPGKRYFICGTGGHCSSGMKMEIDTVAAAVATPQPASSPVTPSSRPPMSPPQLPKPPSALPLTPSKAQSPKPQAHAPRTHIVAPLTAPSSSPSVAAEPEQPVAPSSPSLALPPFGSAQAPQPSVAENVGRNAKVVLGFGFGVLMLSVL >DRNTG_15367.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26832161:26840662:1 gene:DRNTG_15367 transcript:DRNTG_15367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFFSTSSRSFTYSTPSHCQARPQKPLYHPHLRRQPLL >DRNTG_08950.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27259639:27260581:-1 gene:DRNTG_08950 transcript:DRNTG_08950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPSNKSLYSCIYIPRCSYMDNQKTRENDDDDDYYQGGQEAEETERW >DRNTG_08950.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27258710:27260581:-1 gene:DRNTG_08950 transcript:DRNTG_08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGMDTVGFGRLRIHPALRSHQITTAEKSEKNQERHRERRRLRTSPPGDRTGHWKRENRERERERDLELQGSEEECSE >DRNTG_05310.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12750316:12799608:1 gene:DRNTG_05310 transcript:DRNTG_05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMMGMVRRVRTEIFALVLPAPVIAEDEGDEAGASQPAPEPQPATMET >DRNTG_21373.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19348489:19362642:1 gene:DRNTG_21373 transcript:DRNTG_21373.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 26 [Source:Projected from Arabidopsis thaliana (AT3G49600) UniProtKB/Swiss-Prot;Acc:Q9SCJ9] MSRPNTRSKNKRHRTETTDDPSSEILRKIHLTGELSESDISQLYSLWKPTCHGCRVNLKDSPNCFCGLIPPPNGTRKTGLWQKMSDLIVSLGPDPSKDLRSSNDTPAGLTNLGATCYANSILQCLYMNTLFRAGIFSVEPEILKRHPVLDQLARLFAQLHSSKMAFIDSAPFIKTLELDNGVQQDSHEFLTLFLSLLEHSLNQSKGSTAKTIVQDLFRGSVSNLTRCSVCGKDSEASSKTEDFYELELNIKGLNSLDESLDDYLSLEQLHGENQYFCESCGMRVDATRCIKLRSLPPVLNFQLKRYVFLPKTTTKKKITSAFSFPRIIDMGKKAQNPPESDLIYELSAILIHKGTAVNSGHYVAHIKDENSGQWWEFDDEHVSKLGFHPFGENSSKSSKKLETLQSVDCPVKDEHVVNGGHADTGRLSVSGSNLFAHEEIFSSTDAYMLMYNRININGCEVKSHRTCRVDDMGLNAKSSALPLHLSEEIQELNTSYDTACKEYQAKKDSQVAYISERREEVKSFLSEAPVKPLEEPYCWISTEWLRQWSDTIAPSSIDNSVIQCSHGKVPITKVTQMKRLSKTIWQKLLSKYGGGPTLTNGDYCIDCIKERAGTSVSADDYRERRASFRELAEACLAGNQPNSSYFVSKTWLVHWLRRKNADCPSDADAGPTSSLRCPHGDLLPEQAAGAKRVVVPESLWLFFYETACSVNPDDLLGCFAFPSDSVPCEICSRDLSEVACLEGSIRAAKLRQRQNHEKLIQGKSFALNPGSKYFLIPSWWLAKWRAYLNATGKNTSYSLEPESLEVIIDSLICKQHSRLLEKPLELVCKRGVINQRVSTTDGLTIIPESDWKLFCEEWNLPETKGISAEITLTNSSSSGLLGSCKETPISDEDLKHSIDESNDELEAREPFIITIPEICESCIGEKESCELMRKLNYSEGDICVHLVRGKEVPRSILEASCAASEPDRRTSKRSRKTSFGNSINLNVSATTSIYQLKMMIWEAFGVVKENQKLHKGSVEIEGDTATLADKNIFPGDVLWVRDTEIHENRDIADELSEQKTEAQLVEEGFRGTLLISDVYIQDT >DRNTG_21373.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19350803:19362642:1 gene:DRNTG_21373 transcript:DRNTG_21373.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 26 [Source:Projected from Arabidopsis thaliana (AT3G49600) UniProtKB/Swiss-Prot;Acc:Q9SCJ9] MSRPNTRSKNKRHRTETTDDPSSEILRKIHLTGELSESDISQLYSLWKPTCHGCRVNLKDSPNCFCGLIPPPNGTRKTGLWQKMSDLIVSLGPDPSKDLRSSNDTPAGLTNLGATCYANSILQCLYMNTLFRAGIFSVEPEILKRHPVLDQLARLFAQLHSSKMAFIDSAPFIKTLELDNGVQQDSHEFLTLFLSLLEHSLNQSKGSTAKTIVQDLFRGSVSNLTRCSVCGKDSEASSKTEDFYELELNIKGLNSLDESLDDYLSLEQLHGENQYFCESCGMRVDATRCIKLRSLPPVLNFQLKRYVFLPKTTTKKKITSAFSFPRIIDMGKKAQNPPESDLIYELSAILIHKGTAVNSGHYVAHIKDENSGQWWEFDDEHVSKLGFHPFGENSSKSSKKLETLQSVDCPVKDEHVVNGGHADTGRLSVSGSNLFAHEEIFSSTDAYMLMYNRININGCEVKSHRTCRVDDMGLNAKSSALPLHLSEEIQELNTSYDTACKEYQAKKDSQVAYISERREEVKSFLSEAPVKPLEEPYCWISTEWLRQWSDTIAPSSIDNSVIQCSHGKVPITKVTQMKRLSKTIWQKLLSKYGGGPTLTNGDYCIDCIKERAGTSVSADDYRERRASFRELAEACLAGNQPNSSYFVSKTWLVHWLRRKNADCPSDADAGPTSSLRCPHGDLLPEQAAGAKRVVVPESLWLFFYETACSVNPDDLLGCFAFPSDSVPCEICSRDLSEVACLEGSIS >DRNTG_21373.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19350803:19362642:1 gene:DRNTG_21373 transcript:DRNTG_21373.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 26 [Source:Projected from Arabidopsis thaliana (AT3G49600) UniProtKB/Swiss-Prot;Acc:Q9SCJ9] MSRPNTRSKNKRHRTETTDDPSSEILRKIHLTGELSESDISQLYSLWKPTCHGCRVNLKDSPNCFCGLIPPPNGTRKTGLWQKMSDLIVSLGPDPSKDLRSSNDTPAGLTNLGATCYANSILQCLYMNTLFRAGIFSVEPEILKRHPVLDQLARLFAQLHSSKMAFIDSAPFIKTLELDNGVQQDSHEFLTLFLSLLEHSLNQSKGSTAKTIVQDLFRGSVSNLTRCSVCGKDSEASSKTEDFYELELNIKGLNSLDESLDDYLSLEQLHGENQYFCESCGMRVDATRCIKLRSLPPVLNFQLKRYVFLPKTTTKKKITSAFSFPRIIDMGKKAQNPPESDLIYELSAILIHKGTAVNSGHYVAHIKDENSGQWWEFDDEHVSKLGFHPFGENSSKSSKKLETLQSVDCPVKDEHVVNGGHADTGRLSVSGSNLFAHEEIFSSTDAYMLMYNRININGCEVKSHRTCRVDDMGLNAKSSALPLHLSEEIQELNTSYDTACKEYQAKKDSQVAYISERREEVKSFLSEAPVKPLEEPYCWISTEWLRQWSDTIAPSSIDNSVIQCSHGKVPITKVTQMKRLSKTIWQKLLSKYGGGPTLTNGDYCIDCIKERAGTSVSADDYRERRASFRELAEACLAGNQPNSSYFVSKTWLVHWLRRKNADCPSDADAGPTSSLRCPHGDLLPEQAAGAKRVVVPESLWLFFYETACSVNPDDLLGCFAFPSDSVPCEICSRDLSEVACLEGSIRAAKLRQRQNHEKLIQGKSFALNPGSKYFLIPSWWLAKWRAYLNATGKNTSYSLEPESLEVIIDSLICKQHSRLLEKPLELVCKRGVINQRVSTTDGLTIIPESDWKLFCEEWNLPETKGISAEITLTNSSSSGLLGSCKETPISDEDLKHSIDESNDELEAREPFIITIPEICESCIGEKESCELMRKLNYSEGDICVHLVRGKEVPRSILEASCAASEPDRRTSKRSRKTSFGNSINLNVSATTSIYQLKMMIWEAFGVVKENQKLHKGSVEIEGDTATLADKNIFPGDVLWVRDTEIHENRDIADELSEQKTEAQLVEEGFRGTLLISDVYIQDT >DRNTG_21373.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19348489:19362642:1 gene:DRNTG_21373 transcript:DRNTG_21373.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 26 [Source:Projected from Arabidopsis thaliana (AT3G49600) UniProtKB/Swiss-Prot;Acc:Q9SCJ9] MSRPNTRSKNKRHRTETTDDPSSEILRKIHLTGELSESDISQLYSLWKPTCHGCRVNLKDSPNCFCGLIPPPNGTRKTGLWQKMSDLIVSLGPDPSKDLRSSNDTPAGLTNLGATCYANSILQCLYMNTLFRAGIFSVEPEILKRHPVLDQLARLFAQLHSSKMAFIDSAPFIKTLELDNGVQQDSHEFLTLFLSLLEHSLNQSKGSTAKTIVQDLFRGSVSNLTRCSVCGKDSEASSKTEDFYELELNIKGLNSLDESLDDYLSLEQLHGENQYFCESCGMRVDATRCIKLRSLPPVLNFQLKRYVFLPKTTTKKKITSAFSFPRIIDMGKKAQNPPESDLIYELSAILIHKGTAVNSGHYVAHIKDENSGQWWEFDDEHVSKLGFHPFGENSSKSSKKLETLQSVDCPVKDEHVVNGGHADTGRLSVSGSNLFAHEEIFSSTDAYMLMYNRININGCEVKSHRTCRVDDMGLNAKSSALPLHLSEEIQELNTSYDTACKEYQAKKDSQVAYISERREEVKSFLSEAPVKPLEEPYCWISTEWLRQWSDTIAPSSIDNSVIQCSHGKVPITKVTQMKRLSKTIWQKLLSKYGGGPTLTNGDYCIDCIKERAGTSVSADDYRERRASFRELAEACLAGNQPNSSYFVSKTWLVHWLRRKNADCPSDADAGPTSSLRCPHGDLLPEQAAGAKRVVVPESLWLFFYETACSVNPDDLLGCFAFPSDSVPCEICSRDLSEVACLEGSIRAAKLRQRQNHEKLIQGKSFALNPGSKYFLIPSWWLAKWRAYLNATGKNTSYSLEPESLEVIIDSLICKQHSRLLEKPLELVCKRGVINQRVSTTDGLTIIPESDWKLFCEEWNLPETKGISAEITLTNSSSSGLLGSCKETPISDEDLKHSIDESNDELEAREPFIITIPEICESCIGEKESCELMRKLNYSEGDICVHLVRGKEVPRSILEASCAASEPDRRTSKRSRKTSFGNSINLNVSATTSIYQLKMMIWEAFGVVKENQKLHKGSVEIEGDTATLADKNIFPGDVLWVRDTEIHENRDIADELSEQKTEAQLVEEGFRGTLLISDVYIQDT >DRNTG_21176.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001205.1:78362:80694:-1 gene:DRNTG_21176 transcript:DRNTG_21176.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNDSKQASVVFQNGNMSCREKSISILPDCRNVVFNTATVKVQVGMRSSRVILHLNDVQNWGAFADGVSDITDASFTQQSLLEQLNVTKDETDYLWYTTSYNFASDDDQLVLWVNSTAHVLHAFVNDEFVGSQHGSHKSPGVTFEKPVSLKNGKNDISLLSVMVGSPDSGAYLERRVLGLRQVKIRGIKSVRDLSNQVWGYKIGLQGESTEIYTKEGSEKVEWKSIDIFAHQRLIWYKTTFDAPSGKDPVAVNLGSMGKGEVWINGENIGRYWISFQTPNGHPSQTLYHIPRSFLKPLGNLLVLFEEEVGNPTEITLETISVANA >DRNTG_21176.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001205.1:78362:79652:-1 gene:DRNTG_21176 transcript:DRNTG_21176.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSPDSGAYLERRVLGLRQVKIRGIKSVRDLSNQVWGYKIGLQGESTEIYTKEGSEKVEWKSIDIFAHQRLIWYKTTFDAPSGKDPVAVNLGSMGKGEVWINGENIGRYWISFQTPNGHPSQTLYHIPRSFLKPLGNLLVLFEEEVGNPTEITLETISVANA >DRNTG_21176.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001205.1:78362:79832:-1 gene:DRNTG_21176 transcript:DRNTG_21176.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSPDSGAYLERRVLGLRQVKIRGIKSVRDLSNQVWGYKIGLQGESTEIYTKEGSEKVEWKSIDIFAHQRLIWYKTTFDAPSGKDPVAVNLGSMGKGEVWINGENIGRYWISFQTPNGHPSQTLYHIPRSFLKPLGNLLVLFEEEVGNPTEITLETISVANA >DRNTG_21176.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001205.1:78362:79270:-1 gene:DRNTG_21176 transcript:DRNTG_21176.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGEVWINGENIGRYWISFQTPNGHPSQTLYHIPRSFLKPLGNLLVLFEEEVGNPTEITLETISVANA >DRNTG_21176.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001205.1:78362:79468:-1 gene:DRNTG_21176 transcript:DRNTG_21176.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGEVWINGENIGRYWISFQTPNGHPSQTLYHIPRSFLKPLGNLLVLFEEEVGNPTEITLETISVANA >DRNTG_22419.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23296749:23299992:1 gene:DRNTG_22419 transcript:DRNTG_22419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASGCSTTSFVGASVRPFLHKGSGDTLRPRSLVANAFQIRSVVRGPRSELEITHSQDTIPSRASSVSVLEQLKVSSADRYMKERSSIAVIGLSVHTAPVEMREKLAVPEAQWPRAIGELCSLNHIEEAAVLSTCNRMEIYVVALSWHRGIREVTEWMSKTSGIPVSELRQHLFLLRDSDATRHLFQVSAGLDSLVLGEGQILAQVKQVVKVGQGGRGLGKNIDRLFKDAITAGKRVRTETNIASGAVSVSSAAVELALMKIPNSQSLAARMLVIGAGKMGKLVIKHLAAKGCKKVVVVNRSVERVDAIREELKEIEIIYRPFTEMLSAAAEADVVFTSTASETPLFMKEDVETLPQVSKLVGGLRLFLDISVPRNVGSCVSGVESAQVYNVDDLKEVVEANKEDRLRKAMEAESIITEELKRFEAWRDSLETVPTIKKLRSYADRIRAAELEKCFQKIGDDALTKKIRRAVDDLSNGIVNKLLHGPLQHLRCDGSDSRTLDETLENMHALNRMFSLDTEKSIIEQKIKAKVEKAQN >DRNTG_28092.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20515442:20516945:1 gene:DRNTG_28092 transcript:DRNTG_28092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMSLTLKPSPTASTLTDSGNTTRRYLRGGHRKGGPCRATNPIDRCWRCRRNWRNHRKILAKCAKGFGHKTTGGLRGRIYVVTDSSDDDLLNPKPGTLRWGVIQTEPLWIIFERDMVIRLTQELIMASHKTIDGRGVNVHIAHGAGITIQFIENIIIHNVHIHDIKTGEGGLIRDSLSHYGLRTRSDGDGISIFGASNIWIDHVSMYNCMDGLIDAIMGSTAITISNSHFTRHNDCLLFGASDSFSGDSLMQITITKRDYFPPEVWKQWVWKSEGDLMMNGAFFVESGPRNTRSFSRKDIIKAKPGTFVKRLTRYSGTLICKPNKPC >DRNTG_28937.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22153538:22156128:-1 gene:DRNTG_28937 transcript:DRNTG_28937.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 3-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04260) UniProtKB/Swiss-Prot;Acc:Q8VZT6] MVSEVFSLPSRLLRAPAAAAEAAAPTPSPSPSPVPALPRQLSILRSLFFESVSCYPSSIPWHRRPRAAVIAATAWRNVEDDFSTESVADDDTPTSIELVPVSGEQEFDRIIAEEKPVVFLWMANWCRKCIYLKPKLEKLAADYHPRIHFYGIDVNTVPQRLVNRAEITKMPTIQLWRDGKKQAEVIGGHQTWLVIDDVRRMIETEIESIQQSI >DRNTG_14232.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:903374:904219:1 gene:DRNTG_14232 transcript:DRNTG_14232.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLREENTAIDIYPSARMQMHLEGMAYRKALIKNLKNIKQRASKNQLRSIQHQQINTSRIDLFFPRIKRRKTPKLFSPTDASRPLHHPASSIAAF >DRNTG_14232.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:903135:904405:1 gene:DRNTG_14232 transcript:DRNTG_14232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLREENTAIDIYPSARMQMHLEGMAYRKALIKNLKNIKQRASKNQLRSIQHQQINTSRIDLFFPRIKRRKTPKLFSPTDASRPLHHPASSIAAF >DRNTG_14232.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:903667:904219:1 gene:DRNTG_14232 transcript:DRNTG_14232.13 gene_biotype:protein_coding transcript_biotype:protein_coding VLGLQLGLGLEVELRTTLARNQRIKKKKKKKKKKKR >DRNTG_14232.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:903320:904405:1 gene:DRNTG_14232 transcript:DRNTG_14232.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLREENTAIDIYPSARMQMHLEGMAYRKALIKNLKNIKQRASKNQLRSIQHQQINTSRIDLFFPRIKRRKTPKLFSPTDASRPLHHPASSIAAF >DRNTG_14232.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:903374:904405:1 gene:DRNTG_14232 transcript:DRNTG_14232.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLREENTAIDIYPSARMQMHLEGMAYRKALIKNLKNIKQRASKNQLRSIQHQQINTSRIDLFFPRIKRRKTPKLFSPTDASRPLHHPASSIAAF >DRNTG_14232.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:903320:904219:1 gene:DRNTG_14232 transcript:DRNTG_14232.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLREENTAIDIYPSARMQMHLEGMAYRKALIKNLKNIKQRASKNQLRSIQHQQINTSRIDLFFPRIKRRKTPKLFSPTDASRPLHHPASSIAAF >DRNTG_14232.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:903135:904312:1 gene:DRNTG_14232 transcript:DRNTG_14232.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLREENTAIDIYPSARMQMHLEGMAYRKALIKNLKNIKQRASKNQLRSIQHQQINTSRIDLFFPRIKRRKTPKLFSPTDASRPLHHPASSIAAF >DRNTG_14232.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:903374:904605:1 gene:DRNTG_14232 transcript:DRNTG_14232.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLREENTAIDIYPSARMQMHLEGMAYRKALIKNLKNIKQRASKNQLRSIQHQQINTSRIDLFFPRIKRRKTPKLFSPTDASRPLHHPASSIAAF >DRNTG_14232.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:903135:904219:1 gene:DRNTG_14232 transcript:DRNTG_14232.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLREENTAIDIYPSARMQMHLEGMAYRKALIKNLKNIKQRASKNQLRSIQHQQINTSRIDLFFPRIKRRKTPKLFSPTDASRPLHHPASSIAAF >DRNTG_14232.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:903320:904312:1 gene:DRNTG_14232 transcript:DRNTG_14232.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLREENTAIDIYPSARMQMHLEGMAYRKALIKNLKNIKQRASKNQLRSIQHQQINTSRIDLFFPRIKRRKTPKLFSPTDASRPLHHPASSIAAF >DRNTG_30035.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5670785:5671736:-1 gene:DRNTG_30035 transcript:DRNTG_30035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLTGGSAFPRYTTHLWSIKVIGTQLLTPSIKGIKRKVFKGKKTGQKGGGWRRNKGGSKGSKRGGKNRRRLEI >DRNTG_10905.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000477.1:64687:69616:1 gene:DRNTG_10905 transcript:DRNTG_10905.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSGAAPLPKHVEEFLRVTSCSVLIQGYGLTESCAGCFTSVANVFSMVGTVGIPVMTIEARLESVPDMGYDALSPTARGEICLRGKTLFSGYYKRADLTDEVFVAGWFHTGDIGELQPDGAMKIIDRKKNIFKLSQGEYVAVENIESAYAQCSLITSIWVYGNSFESFLVGVAVPEKQALENWAAANDLTGNFVELCKHPKSRKYILEELNNTGRRLQLRGFEMLKAIHLEPFLFDMERDLITPTFKLKRPQLLKYYKASKRKILG >DRNTG_10905.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000477.1:64687:69616:1 gene:DRNTG_10905 transcript:DRNTG_10905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSGAAPLPKHVEEFLRVTSCSVLIQGYGLTESCAGCFTSVANVFSMVGTVGIPVMTIEARLESVPDMGYDALSPTARGEICLRGKTLFSGYYKRADLTDEVFVAGWFHTGDIGELQPDGAMKIIDRKKNIFKLSQGEYVAVENIESAYAQCSLITSIWVYGNSFESFLVGVAVPEKQALENWAAANDLTGNFVELCKHPKSRKYILEELNNTGRRLQLRGFEMLKAIHLEPFLFDMERDLITPTFKLKRPQLLKYYKERIDELYQEAKEKKV >DRNTG_10905.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000477.1:63122:66001:1 gene:DRNTG_10905 transcript:DRNTG_10905.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCLNIPQVLMLVEFIINHAEISIVFVQENKMQSMLTCLLRCVAHLKTIVSFGKVADEQKQEAERIGVSCFSWEEFLLSVSTLSLSLSLHLCVFAFVCIDVS >DRNTG_33829.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002041.1:17462:19679:1 gene:DRNTG_33829 transcript:DRNTG_33829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQSLLWDEMFKNQSSVSPNDQPKDLIKHWAIYQASSGLFKKFYGAKTSLSVYGVSDIKSTQLTLASIWISNGFPGVRKTLNVISVGWMVYPSKYNDTRTHFFTLWTRDGYQTYCYNTQCPGFILANTSNIVPGSPIDQVSAYDGPQYNITIKVYKDSASGNWWLYYGPSGQYDDLYAVGYWPRSLFTGLEENASYMHFGGSVIYLKDAQGPPMGSGHYPEEGEGKAATFYGMQALDQNGSLYDFKDNLMAIQDKKECYRVSEFRNKRFFYGGPAHCID >DRNTG_33829.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002041.1:18767:19679:1 gene:DRNTG_33829 transcript:DRNTG_33829.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFGGSVIYLKDAQGPPMGSGHYPEEGEGKAATFYGMQALDQNGSLYDFKDNLMAIQDKKECYRVSEFRNKRFFYGGPAHCID >DRNTG_24498.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18992212:18993008:-1 gene:DRNTG_24498 transcript:DRNTG_24498.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMQFKAKSEQQQQQQQVLVPDIANLMNDWFFGSGNREKNFESIMIKSTKNDSEESFESTMTKQKSKNDESPGKISEGRKTQEWLEEARKMVAESLVRKSVSSGKSSGSPKFVSAPPSFIDRRDPLSRSARRGAG >DRNTG_11883.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15171304:15172500:-1 gene:DRNTG_11883 transcript:DRNTG_11883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTMFEMGSSNQQIESFIISNQKVPEIPDGEPIWSLSSSFQVGEDEISVPLYVPPMISRQWYPSNARNQNIQPCWPPPNWQTTAPNVNVALDNCPREAPAEISDKLPEDSIAEQTITETETERLFWEIQGMDQAWETGRQGEFVAYKYFTEKLGSTAVKWVNQDMETGLPYDLIIDEEPKSTYIEVKTTKSTSKDWFLISTNEWHCACEKGDSFRVAWVNLANPSKPKILIFKNPSKLCQQNVLQLAILVPSNNMKNSDI >DRNTG_19772.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17680532:17683009:-1 gene:DRNTG_19772 transcript:DRNTG_19772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFSSRASVPFAGAFFTPSGLSGTALIQTLARLSHDLSISSPLQRRNSRSLLRCIKILSTFFESLLESELSPSSSSSADLCFKELYVLVYRAKALLHCCSHSGRLWLLIQSHRVSGYFHDLCREIYTLLDILPLGDFKNLAGETREQIELLRRQLRESKLFFDPHEESLRRMVFSFLDGFENGCVPDQGELREAFIQRLGIRSASACRAEVDFLEERIHVDDREDDDADPATLSAMAALARYSRFALFGFQEDEVDRNGRTLKKGVVSRELAEQSLSIPKDFCCPVSLDLMRDPVIISSGQTYDRESISRWLEDGHCTCPNSGQTLNHTSLVPNRALRSLISRWCAANSIAYDSPGDRQRDRSRRSVEQSSSWSYQSNSGPSGSPSLQWFR >DRNTG_23544.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22376674:22378274:-1 gene:DRNTG_23544 transcript:DRNTG_23544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRSPSLLLLLLLSLISSSMAEIKNLKITADSRPMILLEEFGFTPHGSVIIDISDATFASNLATPDPNVLGFFLLSEENLIQALYESQSQQRSEAPGCVLSSSFVRPLFTFHELSNGRYNNSFPISRPDQYSLFFANCAPETLVTMSVHTEMYNTRTDGTRDYLSVGQAPVPSLYFVFALVYIVFLAAWSHLVFFKNRLSAHRIHFLMAGLLLTKVLNLLFAAEDQHYIRSTGSPHGWDILFYLFQFLKGVLLFTVIVLIGTGWSFLKPFLQDREKKVLMILIPLQVIANIASTVIGETGPFIRDWVTWNQVFLLIDIVCCCAILFPIIWSIRSLRETSKTDGKAARNLSKLTLFRQFYIIVVGYLYFTRIVVFALKTITAYKYRWVSVAAEETASLAFYAVMFFMFRPVERNQYFVLDEDEEEAAQAALREEEFEL >DRNTG_24608.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1306677:1308200:1 gene:DRNTG_24608 transcript:DRNTG_24608.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLSGPIPKTFRNLHSLQSLDLSHNLFSGPIPTFFDSQFQNLTFLDLSYNQFTGQIPASLCSLSGVLDLSLSHNKLTGFIPGQIGNLKSLSSLSLSDNLLNGFIPEAFAQLQKLWYLNISRNFFSGSLPEKLGTGFQSLISIDLNHNNFSLGSIPKWLTNREQLREIKSLWLQNQRPIPRIPIS >DRNTG_14498.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14973691:14974370:1 gene:DRNTG_14498 transcript:DRNTG_14498.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLSGIRYASPNHSDGGSLTNLLRACGISTRPCGFSVLLLLWLAVNKTCYHPSMKYSYVFTFEP >DRNTG_24144.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26281067:26282577:1 gene:DRNTG_24144 transcript:DRNTG_24144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFIDREKLYLSLGLSLSTSTSTSTSTPTKLSMPPMINKRTEVEKTRKRSNPNNPNPSYFGLASLPVFPVQYVPAIDGYGFPCMVPFPVGLVPHLPLMQFSAPNDTGVKRSYSWPSSESYSDSHDQGGGSSSSSNKDSNQSIERKKQKLQAVEGSMKLPQVPSENSISTKTNESINVKLDNNNNGSSNNNDEELMALVSTRGDGPNGTRTIYGYLKRSCKRSDGSVISIECGCHGTSFSPAEFVRHAGGTETANPLRSIVVSSRRSRW >DRNTG_13231.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2817384:2820084:-1 gene:DRNTG_13231 transcript:DRNTG_13231.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHHHFLMLLLLFLSTTTKMTSYNIIDFGAKNDNITDSANAFIGAWKAACRSMEAANVSVPAGRFLLSRTLFEGPCNNSDIRVLIEGIIVAPSNYSALREWVTFKHVEGVSIYGGTLDAQGQALWDCKNSSRRCPAGATTLTVMHSNNVTINGVNLINSEMFHMSIYGSSNVTVQAVNITAPGDSPNTDGIHIHMSSAVTVTNSVISTGDDCISMGHGTNAVWIENITCGPGHGISIGSLGGGATDEAGVANITVKTVIFSGTQNGIRIKTWANPIAGFVTNVTFENITMNFVDNPIIVDQNYCPRKTNCPNMTSDIAISQISYMNITGSSATLVAVKFACSPTKPCSGISMQNIMLTYDNKPALALCQNVNGTTVGLIVPESYL >DRNTG_13231.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2812027:2820084:-1 gene:DRNTG_13231 transcript:DRNTG_13231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHHHFLMLLLLFLSTTTKMTSYNIIDFGAKNDNITDSANAFIGAWKAACRSMEAANVSVPAGRFLLSRTLFEGPCNNSDIRVLIEGIIVAPSNYSALREWVTFKHVEGVSIYGGTLDAQGQALWDCKNSSRRCPAGATTLTVMHSNNVTINGVNLINSEMFHMSIYGSSNVTVQAVNITAPGDSPNTDGIHIHMSSAVTVTNSVISTGDDCISMGHGTNAVWIENITCGPGHGIRC >DRNTG_25008.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001322.1:17478:19373:-1 gene:DRNTG_25008 transcript:DRNTG_25008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGALPNCWNVASALEIIDLSDNNFTGKIPGGLMSFTNLQSLHLRNNGFSGDLPLSLKMANKLVTLDIGENKLSGSIPTWFGESLSSLIVLRMRSNLFEGVIPEQLSKLSSLQILDLAHNNLSGCIPHSFGDFKAMAVTNHYKWWSLLSIFSEVFSPYSIYGSAPDSFAYSESLLISAKGLQMEYSKVLSLVTSMDLSNNKLSCELPEELTKLHGLHFLNLSHNHFNGKIPQSINDMEQLESLDLSENNLFGTIPSGMSTLNFLGYLNLSHNNLSGKIPSGGQLQTFDPSAYNWNHDLCGSPLQNCANETHYSQGANEKEGKEDWSEMLWLYIGLAMGFITGFWMIIGTIIMKKSIRIAYFRTIDKVYDYLYVKMVLYSRRFKSTFSRRN >DRNTG_22637.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20734630:20741955:1 gene:DRNTG_22637 transcript:DRNTG_22637.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEARSESSNYTSEDEGTGDYRRGGYHAVRVGDSFKQGTYVVQSKLGWGHFSTVWLAWDTVHSRYVALKVQKSAQHYTEAAMDEITILKQIAEKDPDDTRCVVKLLDHFKHSGPNGHHVCMVFEYLGDNLLTLIKYTDYKGIPIPMVKEICRHILIGLDYLHRDLSIIHTDLKPENILLCTTIDPSKDPRSSSTPPIIPTIISDDPPPKIPALTNGDLTRNQKKKIKRKAKRAAAATAESSQATTAADADGVEDNGDSNTGNDEGGVSGAAHDGTGQVQRRGSKATRRKLALAADLRCKLVDFGNACWTYKQFTSDIQTRQYRCPEVLLGSKYSTPADLWSFACICFELATGDVLFDPHSGDNFDRDEDHLALMMELLGMMPRKIALGGRYSREFFNRYGDLRHIRRLRFWPLNKVLVEKYDFSEQDANYLADFLTPILDFVPDKRPSAAQLLQHPWINAAPSAHPLPVPPLAQDQPPISSVLEKQQKEKDDKDAMAVGLGNIAIDGNPKSDKRSSTQLKPE >DRNTG_22621.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17848308:17854471:-1 gene:DRNTG_22621 transcript:DRNTG_22621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHLRFFLAFSCFSLLLISGFASVPSRDSLGIAPQDELYYKSDVIKCKDGSKKFSKDQLNDEFCDCPDGTDEPGTSACPEGKFYCRNVGHVPLSIYSSRVNDGICDCCDGSDEYKSNVKCRNTCWEAGKAARERLRKRISTYQDGVTIRKTEVEKAKQAFAKDEAELANLKAEEKILKGLVQKLKEHKEQIEKVEEEVRQKKEEEKKLQEEARKANEKEVYHEEPSHGNIEAPPDGLSESPKQDDQQHYHDTSSETESDQSVGTEISDNHEVEQHEEETYNDKRGNVDDDNIGEASSSNVFEHIEDQHDPLTETKTTAETETKEGSPDQVQEVSPDTEKLSREELGRLVASRWTGENTAENKNDVDNNVKEDLNHNQDDSDHDDVQDEYNKGYTSEIEDNKYADGDEFNGSDDEYEDDHIDPTESYNPDDFDEKEDFSDLTISTTPSWLDKVRQTFRKVIQAFKFFQTQVDISEGARIRKEYDESSSRLSKIQSRISSLTEKLKHDFGKEKEFYSFYGQCFENKENKYTYKVCPFKQATQVEGHSTTRLGNWDKFEESYRIMQFTYGDKCWNGPDRSLTVRLRCGLKNELTDVDEPSRCEYSALLSTPALCVEEKLKELQQKLELLNSSQPPNHDEL >DRNTG_00859.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7104229:7110402:-1 gene:DRNTG_00859 transcript:DRNTG_00859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSAFEIGRLAKAETSPEVGWLVSNTLTWMSVVLANEDTCMDGFAPVKAGPLKTDVCQQVGSAKKYTSNALIFVNKLVSSLIYADLVDDVFQEDEMCISTVVTPLKELEHPIVLANGNTRTLHGVVKANRGNNGYYIPQDSNFSLYHQRIGNISPKMCWQGNLISKTKANPREELKAITLRSGKDAEARAKNRLSMEKSEHVAEDTTSKDEVVIKDSNNKKKEVQQPQPPPAQ >DRNTG_09371.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15096449:15098877:-1 gene:DRNTG_09371 transcript:DRNTG_09371.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYAWAHATHKWLMEDIPQAAARVQDRCTGKKTNTGYIKGCSVALNIWFYELTETGKKVRFGKIPKMLCYGESTYRKQATIETSLRSYSKRLVKKMRTILPLSPPPVDDETIATPSAADAVTESVTVDGMAMTVEEILDDVAIAAVENIVYSLVNEIPYPVEPAAESAASKMDTIPEEQEQAKGVSPFDDVVVATLRRSLNLLPWPIVPHRSKTQSHNKKKHVRICLRLMLSSPHRSQTQSHNNNNQPCKDLSAVDVVVVSASKEDAAGAEHRQGSTTVLHEDPDRATRDMIKANQKWDETARRVFVPKKKKWVGQSRLNKYEQELMRIFLNCPMDNTIVWKNDAVSTTLDKLYTLLEEKEMVTDDVMDAFVCIIQKSLSKVPYPYKKRASITRPLALFMSKQDAAHKTTMAMIGDFVRNLHEVQIVILPIIMNGHFYVVVLDNDKQEYRHYSSYAGYDKDVLDMVSSLINYVRLIVFGIE >DRNTG_20943.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28112774:28116992:1 gene:DRNTG_20943 transcript:DRNTG_20943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLCEKLGDPVVPITSPASPITLAKTHPIPSLTSPTSVRVRVHATSLNYANYLQILGKYQEKPPLPFIPGSDYSGVVETVGEAVSRFKVGDRVCSVAGLGSFAEFIVDDEKDLLLVPEGCDLLAAGALPVAFGTSHVALVHRAQLKVGQVLLVLGAAGGVGLSAVQIGKVCGAVVIAAARGAEKVQLLESLGVDHVVDLSKGNVIESVKKFLKMRNLKGVDVLYDPVGGKLTKESLKLLNWGASILVIGFASGEVPVIPANIALVKNWTIHGLYWGSHKIHQPHVLEDSLKELLSWLSKGLIKVHISHKYSLHEANLAFTAIKERKAIGKVMIVVEKSSGARAKL >DRNTG_34819.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8225060:8237449:-1 gene:DRNTG_34819 transcript:DRNTG_34819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSLALVLQAALSPNVEERKAAEDSLNQCQHTPQHLVRLLQIIVASNYDIAVRQVASIHFKNFVAKNWSPHEPGEAQKISDSDKTMVRDNILGFILQVPPLLRAQLGECLKTIIHADYPEQWPGLLRWISSNLQSQDQQVFGALYVLRILSRKYEFKSDEERTPVYLIVDETFPHLLGIFSKLVQVVNPPIEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNAWMILFLNVLERPVPLEGQPTDPEIRKSWGWWKVKKWTIHILNRLYTRFGDTKLQKPENKAFAQAFQKNYAGKILECHLRLLNAIRVGDYLPDRVTNLILQYLSSSISKNSMYQLLQTQLDIVLFEIIFPLMCFNDNDQKLWNEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKGNLQKFIQFIVEIFRRYDEASAETKPYRQKDGALLAIGALCDKLKQTEPYKSELEPMLVRHVLPEFTSHVGHLRAKAAWVAGQYAHINFSDQNNFRRAFHFVVSGLRDPELPVRVDSVFALRSFVEACKDLNEIRPILPQLLDEFFKLMNEVENEDLVFTLETVVDKFGEEMAPYALGLCQNLAAAFWRCLNTSEADVESDDPGAMAAVGCLRAISTILESISRLPQLFVQIEPILLPIMRKMLTTDGQEVFEEVLEIVSYMTFFAPTISLEMWSLWPLMIEALGDWAIDFFENILVPLDNYISRGTTHFLACRDPDYQQSLWNILSSIMADKNMEDRDIEPAPKLIEVVFQNCKGQVDQWVEPYLRITIDRLRRAEKSYLKCLLIQVVADALYYNPSFTLSVLHKLGVASEVFNLWFQMLQQVKKSGVHVNFKREHDKKVCCLGLTSLLGLPADQLPEEAFSRVFKAALELLVAYKDQVAESKKESEQDDNDMDGLQSDDEDEDEDEDEQEMGVDAEEGDEADSLRLQKLAAQAKAFQPDDEDDDSDDDYSDDEELQSPIDEVDPFIYFVEAIQGVQASNPPRFQNLMQSLDFHYQALASGIAQHAEQRRVEIEKEKLEKANAQ >DRNTG_15563.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000768.1:8599:16629:1 gene:DRNTG_15563 transcript:DRNTG_15563.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT1G04910) UniProtKB/Swiss-Prot;Acc:Q8W486] MVLIWRSALVSSGFHGIYDVEHFIKSLKYDVHIVDSLPDVPSRGKNRKMKAFQIKPPRDASVSWYKTTALEKMREHGAIYLTPFSHRLEEEIDDPEYQRLRCRVNYHALRFKPHIMKLSSEIVNRIRSQGHFMAIHLRFEMDMLAFAGCVDIFTPEEQKMLIKYRKENFAEKDLVYRERRLIGKCPLTPEEVGLILRAMGFNNSTRIYLAAGELFGGDRFMNPFRKMFPRLENHSSMLETSEKLEENARGLVGSAVDYMVCLLSDIFMPTYDGPSNFANNLMGHRLYYGFRTTIQPNRKALAPIFMDREEGQTAKFEERIREVMLNGQFGGPHKRVHPESFYTNSWPECFCQMTPKNPAHKCPPGNVVQVLDSQLQKETHDGSKSATTLNRTKMTADDA >DRNTG_15563.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000768.1:8599:16629:1 gene:DRNTG_15563 transcript:DRNTG_15563.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT1G04910) UniProtKB/Swiss-Prot;Acc:Q8W486] MVLIWRSALVSSGFHGIYDVEHFIKSLKYDVHIVDSLPDVPSRGKNRKMKAFQIKPPRDASVSWYKTTALEKMREHGAIYLTPFSHRLEEEIDDPEYQRLRCRVNYHALRFKPHIMKLSSEIVNRIRSQGHFMAIHLRFEMDMLAFAGCVDIFTPEEQKMLIKYRKENFAEKDLVYRERRLIGKCPLTPEEVGLILRAMGFNNSTRIYLAAGELFGGDRFMNPFRKMFPRLENHSSMLETSEKLEENARGLVGSAVDYMVCLLSDIFMPTYDGPSNFANNLMGHRLYYGFRTTIQPNRKALAPIFMDREEGQTAKFEERIREVMLNGQFGGPHKRVHPESFYTNSWPECFCQMTPKNPAHKCPPGNVVQVLDSQLQKETHDGSKSATTLNRTKMTADDA >DRNTG_15563.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000768.1:8599:16629:1 gene:DRNTG_15563 transcript:DRNTG_15563.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT1G04910) UniProtKB/Swiss-Prot;Acc:Q8W486] MRRGGDMWARRGGTRQLRSMKNMAARLSIVAVVAVICIISLFSMIWVTGPNRLQNFDVNELWGTAASGGWRPSSSPRSHWPSPPKESNGYLRVRCNGGLNQQRSAICNAVLAARIMNATLVLPELDTNSFWHDESGFHGIYDVEHFIKSLKYDVHIVDSLPDVPSRGKNRKMKAFQIKPPRDASVSWYKTTALEKMREHGAIYLTPFSHRLEEEIDDPEYQRLRCRVNYHALRFKPHIMKLSSEIVNRIRSQGHFMAIHLRFEMDMLAFAGCVDIFTPEEQKMLIKYRKENFAEKDLVYRERRLIGKCPLTPEEVGLILRAMGFNNSTRIYLAAGELFGGDRFMNPFRKMFPRLENHSSMLETSEKLEENARGLVGSAVDYMVCLLSDIFMPTYDGPSNFANNLMGHRLYYGFRTTIQPNRKALAPIFMDREEGQTAKFEERIREVMLNGQFGGPHKRVHPESFYTNSWPECFCQMTPKNPAHKCPPGNVVQVLDSQLQKETHDGSKSATTLNRTKMTADDA >DRNTG_15563.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000768.1:8599:16629:1 gene:DRNTG_15563 transcript:DRNTG_15563.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT1G04910) UniProtKB/Swiss-Prot;Acc:Q8W486] MRRGGDMWARRGGTRQLRSMKNMAARLSIVAVVAVICIISLFSMIWVTGPNRLQNFDVNELWGTAASGGWRPSSSPRSHWPSPPKESNGYLRVRCNGGLNQQRSAICNAVLAARIMNATLVLPELDTNSFWHDESGFHGIYDVEHFIKSLKYDVHIVDSLPDVPSRGKNRKMKAFQIKPPRDASVSWYKTTALEKMREHGAIYLTPFSHRLEEEIDDPEYQRLRCRVNYHALRFKPHIMKLSSEIVNRIRSQGHFMAIHLRFEMDMLAFAGCVDIFTPEEQKMLIKYRKENFAEKDLVYRERRLIGKCPLTPEEVGLILRAMGFNNSTRIYLAAGELFGGDRFMNPFRKMFPRLENHSSMLETSEKLEENARGLVGSAVDYMVCLLSDIFMPTYDGPSNFANNLMGHRLYYGFRTTIQPNRKALAPIFMDREEGQTAKFEERIREVMLNGQFGGPHKRVHPESFYTNSWPECFCQMTPKNPAHKCPPGNVVQVLDSQLQKETHDGSKSATTLNRTKMTADDA >DRNTG_28308.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8015133:8015768:-1 gene:DRNTG_28308 transcript:DRNTG_28308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMENKPPVSRSKGNKILRMLKKPPSFSISNPHSISNSKPIHHNKAFSGPLVPMEARKKGESRNFTEREPTSPKVSCMGQIKLKKSNSSSKRFPNKEKIHKSSSFTLKKMFNKKVRPRRRPESPEVPAQPPVGQLRKFTSGRDWLADFDWRKVHDDSEVYDDDGDEVIVPHSAPILIGGGGGVAPEPKKEVNLWKRRTLDPPMSLQVKVK >DRNTG_01847.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21336919:21337411:-1 gene:DRNTG_01847 transcript:DRNTG_01847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRKSNKLSQAAALKQILKRCSSLGKKQSYGEDGLPQDVPKGHFAVYVGENRSRFIVPISYLAHPQFQTLLQMAEEEFGFHHDMGLTLPCEEVVFRSLTSTLSFVPPLPLALVVLVVNFGAACHVVALQKIIY >DRNTG_15270.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4471658:4485126:-1 gene:DRNTG_15270 transcript:DRNTG_15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOW PSII ACCUMULATION 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51545) UniProtKB/Swiss-Prot;Acc:F4KDA6] MTSDDACEDTQVTVRQSLTDSRDKILTKLRENLKEDDVRLLEELFIVEGIFFNPSNTEEFESACDDIIWQTVSALERTVQELRDEVEELNKENYKLKSELAGASTWSSTSVAAEGKKNHFTWAISIVSLANSLIWLFSMFLFKP >DRNTG_15270.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4471631:4483729:-1 gene:DRNTG_15270 transcript:DRNTG_15270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOW PSII ACCUMULATION 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51545) UniProtKB/Swiss-Prot;Acc:F4KDA6] MRPSSSLLAYQHPHPPLLALLPLHTSRGRCLSLIPKAEPKDDKAVTDSEDIPVSDPKKPSESGSGFGTLKESKKKRKGNEKRSVIRRSPIERPPSVYQASGNTSSSSNQEPSVSVNEGAFILTWLSLGFLILVEGIALAASGFLPEEWDNFFVKYLYPSFTPTVVFFIFGTVAYGVVKYLENEKNKG >DRNTG_07928.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20295242:20295698:-1 gene:DRNTG_07928 transcript:DRNTG_07928.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 72 [Source:Projected from Arabidopsis thaliana (AT5G15130) UniProtKB/Swiss-Prot;Acc:Q9LXG8] MDMVVKRPLEAVVDEGGSKLPKFENGDQTVKSSGSPKHREANKVCTAVSSEESTMDTSSRPSSNPKDSTITTQ >DRNTG_07928.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20293122:20295698:-1 gene:DRNTG_07928 transcript:DRNTG_07928.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 72 [Source:Projected from Arabidopsis thaliana (AT5G15130) UniProtKB/Swiss-Prot;Acc:Q9LXG8] MDMVVKRPLEAVVDEGGSKLPKFENGDQTVKSSGSPKHREANKVCTAVSSEESTMDTSSRPSSNPKDSTITTQEDQLDSTKVEMGEVREENERLKMILTRIVKDYQSLQMHFFDIVQQEQAKKATETPVSITPEVEDTELVSLSLGTSSTGHKKEEMKPSSSKNKENDHNQVEQVLTLGLQCKFEGSSNGPLSNDQVPLSNLNPDEHNIEEHKKEEEEEEEEAGEPWPPSKILKNNNRNGDDEVSPQTHTKKARVSVRARCDAPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPACPVRKQVQRCAEDMSILITTYEGTHNHPLPISATAMASTTSAAASMLVSGSSTSRSSSIGTPVITTTTPTTLNSTNSHLNSPLNFTLSDNSRPNHFYLPNPSISSTPSYPTITLDLTAPPQTSSTSHAQQFNRFSSSRYSSTSFNFSSSESSNPITSTWNSSYLNYGSQPHTISNLVRQPQDSFFQPYLQKPTTTHSFSTATQPMTTAMITDTIAKAITADPSFQSALAAAITSYVGGQGSGQSGGGGGGGDGVKLVENFSSVTPGSTATTSTTTTRNGCASSFLTRSSSSSLNPQQGNMMFLQPPLALSSSKSASASPVDNRENSN >DRNTG_01626.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1032736:1036335:1 gene:DRNTG_01626 transcript:DRNTG_01626.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFQQMEGFQSFEARRKKKFDKQIDSNVMMSSSGLDEGLDLKNSR >DRNTG_01626.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1032736:1036335:1 gene:DRNTG_01626 transcript:DRNTG_01626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLVLAENLIERLPANLGVFKSLKVLKLDGNRIKTLPDDLGSLSRLEKLSIAGNSLLSLPKTIGDLHNLLLLNVSNNKLKSFPESIGSCTSLEELQADGNLVEELPSSICSLTNLKSLSLNSNNVKKLPQNLLKDCKALQNISLHENPISMDQFQQMEGFQSFEARRKKKFDKQIDSNVMMSSSGLDEGLDLKNSR >DRNTG_14412.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:9717834:9719032:1 gene:DRNTG_14412 transcript:DRNTG_14412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPVVALYLQFEALSKKVDRIVTSRPQNIPCYNAYHPIEVGYPNVLWDNGEQHWEAPQEECQTGEILGEDAFQLQRVLANFIEASDVCVQNMETTRRCHEASYKNLEHQLGGILDTLSREQQVFEQASQVPYREDVVVNDNEEVGRNEYDVVEIERIQEEPFIQCDKCLSGQYVCEQEMVQGELVKTYCFQVEMEEDANPKAGSECVNMFRNFLQSLQVFLRHPVKTHGRVEFLHARGFAL >DRNTG_28956.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27483745:27489924:1 gene:DRNTG_28956 transcript:DRNTG_28956.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTIPMAPTKKNTPEISKEREMRGGDGGTAAGVLRGIH >DRNTG_28956.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27485298:27489924:1 gene:DRNTG_28956 transcript:DRNTG_28956.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTIPMAPTKKNTPEISKEREMRGGDGGTAAGVLRGIH >DRNTG_28956.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27484409:27484902:1 gene:DRNTG_28956 transcript:DRNTG_28956.6 gene_biotype:protein_coding transcript_biotype:protein_coding TTSFRDSSSQAARSSVRKKKKTCSLSKRVQHESDFQA >DRNTG_28956.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27487019:27489924:1 gene:DRNTG_28956 transcript:DRNTG_28956.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTIPMAPTKKNTPEISKEREMRGGDGGTAAGVLRGIH >DRNTG_28956.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27483745:27489924:1 gene:DRNTG_28956 transcript:DRNTG_28956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTIPMAPTKKNTPEISKEREMRGGDGGTAAGVLRGIH >DRNTG_28956.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27483745:27484902:1 gene:DRNTG_28956 transcript:DRNTG_28956.5 gene_biotype:protein_coding transcript_biotype:protein_coding TTSFRDSSSQAARSSVRKKKKTCSLSKRVQHESDFQA >DRNTG_28956.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27489598:27489924:1 gene:DRNTG_28956 transcript:DRNTG_28956.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTIPMAPTKKNTPEISKEREMRGGDGGTAAGVLRGIH >DRNTG_34274.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002110.1:74548:75081:-1 gene:DRNTG_34274 transcript:DRNTG_34274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGYSTSLRSMTQGKGEFTMEYLEHAAVSQDVQTQLVNTYKASKGSE >DRNTG_09380.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15356854:15364474:-1 gene:DRNTG_09380 transcript:DRNTG_09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETDYYDVLGVSPSATETEIKKAYYIKARQVHPDKNPNDPQAAQNFQALGEAYQVLSDPTQRQAYDVYGKAGISTDAIIDPAAIFAMLFGSELFEEYIGQLAMASIASLDIFTEGEQFDAKKLEEKMKVFQKEREEKLAEILKNRLHQYVQRDKDGFISHAEAEVARLSNAAYGVDMLNTIGYIYARQAAKELGKKAIYLGVPFVAEWFRNKGHVIKSQLTAATGALTLIQLQEDMKKQLSTQGNYTEEELEEFVMSNKKLMVDSLWKLNVVDIEATLSRVCQMVLQDNTVKREELKARAKGLKTLGKIFQRVKSNNANESETSLTGGVHKLNGNEGGNDDSSPDTSPKPPVEQQTYGTPLTTQSPYVEAPQFAGSNYTYNFPMPTAPPGAQRHP >DRNTG_15478.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16543307:16543992:1 gene:DRNTG_15478 transcript:DRNTG_15478.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEILHYPVGVNGDPPRHQSSPSLFFTKRSHKLPPSPWKLPFIGNLNQLGLLPHKSLHKFSKKHGPLMLLQLGQVPTLMISFSQMAKEILKTHDLIFESRPKVNAAHIMIYGSQDMVFAPYGEHRRKMRKIAVTNLFSIKRVKSLHGAMEEQVAHLMDKISNASSSQYPR >DRNTG_35103.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16326225:16329232:1 gene:DRNTG_35103 transcript:DRNTG_35103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLVGSLRSLCHWILDNVFGGVEHFRDVLRNHAIKRNFDFKFIKNEKHRVTVECAADGCHWRLHASKEYNKKTFRIKTINPSHTCGGGIGSASHPKASKKWVSARVIQKLKDRPLYKAIDIQKDMLREHGVHIPYKQAWLGKEHARVVLDGSDISSYDCLLWYVDKVQGPCERNCESLPFVATCILSSTFGGQFYESQCQTWEVIEGGVLVYMLPHCVGIHG >DRNTG_31793.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14857568:14860587:1 gene:DRNTG_31793 transcript:DRNTG_31793.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGLLSRIATNDGHGENSSYFDGWKAYDSDPYHPVDNPNGVIQMGLAENQLCHDLMVEWIKEHPTASICTKEGASQFKDVAIFQDYHGLPSFTKAVAQYMEKVRGGRVKVKPERIVMSGGATGAQEIIAFCLADPGDAFLIPTPFYPAFARDFCWRTGVKLIPVHCKSSNNFRITREALEAEYKKAEKSNTRVKGLLITNPSNPLGTMMDKNTLEELLQWTNEKKIHLVCDEIFAGTVFGQGAEFTSVAQVLEEDTSKTKYDPNLLHIACSLSKDLGMPGFRVGIVYSYNDNVVKCGRRMSSFGLVSTQTQHLLAPMLADNNFTSKLMIENSKRLHDRHEKFTSGLADVGIKCLSSNAGLFCWMDLRRLLESISVEGENKLWRIIIDEVKLNVSPGSSFHCTEPGWFRVCFANMDSETMEEALGRIRRFVFRLGEEEEKKKKEKEKEKKKKKFERMLSLPKRFDEMVFTPRGILSPRSPLVKAT >DRNTG_29543.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29507483:29510683:-1 gene:DRNTG_29543 transcript:DRNTG_29543.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWEYPATTTDMKNFCTLISELRAAITKEAQSSGKSALLITAAVSATPNLNSPSYPVSCINTNIDFLNIMAYDFYAPTYTPKVTSAHAALNDPSGGISGSSGITAWITAGMPANKMVLGLPFYGYAWQLNDPANHGLGAPANGVATATGVGIDADDGSISFNYIKSFIGSSGATTVYNSTYVVNYCYSGKTWIGYDDVDAVSAKVSYVKNKGLKGYFAWSVGGDYNWSLSQKASTTLG >DRNTG_29543.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29507483:29511332:-1 gene:DRNTG_29543 transcript:DRNTG_29543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLTTTTFHLSTAQTKVKGAYWFPESEFPATSINATLFTHLFCAFATLSPTNFQISISSSCSSFTSTVRKSNPSIITLLSIGGGSANPSTFSSMASQSSSRASFINSTISTARNNGYSGVDMDWEYPATTTDMKNFCTLISELRAAITKEAQSSGKSALLITAAVSATPNLNSPSYPVSCINTNIDFLNIMAYDFYAPTYTPKVTSAHAALNDPSGGISGSSGITAWITAGMPANKMVLGLPFYGYAWQLNDPANHGLGAPANGVATATGVGIDADDGSISFNYIKSFIGSSGATTVYNSTYVVNYCYSGKTWIGYDDVDAVSAKVSYVKNKGLKGYFAWSVGGDYNWSLSQKASTTLG >DRNTG_02982.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5160548:5161109:1 gene:DRNTG_02982 transcript:DRNTG_02982.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTYKPRLGSSESNEQLRNRVKELEEELARARAEADDRIQAESTRRELFESSLLAALRGQGIDLSSMPIFARMPHAPRAPTRESQTHADEHSPMPKIVRISPSTDNSLEDMEDDV >DRNTG_03061.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12333276:12339273:1 gene:DRNTG_03061 transcript:DRNTG_03061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLEGKVAIVTASTQGIGFSIAERFGLEGASVIVSSRKKRNVDEAVEKLKKKGIEATGIVCHVSNPQQRKELIDKTIQALAAEMSPDTRVNCIAPGFVPTHFADFITRTEEVRKSFEDKTLLKRLGTTADMAAVAAFLASDDASYITGETIVVSGGMPSRL >DRNTG_08286.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23862776:23864302:-1 gene:DRNTG_08286 transcript:DRNTG_08286.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLPISRSCRQARSSTPRRPRTKGSLAEFSNCRCDSSSIRTAVINQGNDNSVSELSREMCVSAHSESTTSGSR >DRNTG_24542.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2408713:2409513:-1 gene:DRNTG_24542 transcript:DRNTG_24542.3 gene_biotype:protein_coding transcript_biotype:protein_coding ICTGHPTLEKQATCDGWQRGPPPTFIGSLESSS >DRNTG_24542.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2408713:2411753:-1 gene:DRNTG_24542 transcript:DRNTG_24542.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDMEKKEWHDLPPISSPRYAPATQLWRSRLHVMGGNEDHHQPSLDHWSHPVKDGEALEKVGNVKYLLTCGGPHRCYLIMFIC >DRNTG_24542.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2408713:2410710:-1 gene:DRNTG_24542 transcript:DRNTG_24542.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDMEKKEWHDLPPISSPRYAPATQLWRSRLHVMGGNEDHHQPSLDHWSHPVKDGEALEKVGNVKYLLTCGGPHRCYLIMFIC >DRNTG_28817.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4187629:4193149:1 gene:DRNTG_28817 transcript:DRNTG_28817.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQWDVESGKSEKYTWPSEEVLISHHAKPHQNPSLKRSKQVLALAVSTDGRYLVTGGFDRHVHLWDTRAREHIQAFPGHLAPVSCLVFQPGTSQLFSGSFDRTMKLWDVEDRSHINNLFGHQSEVLTVDCLGKLDDERLLTVGRDRTLRMWKVHDESQLVFRGPAASLECCCFIDGNEFISGSDDGSIELWSSRHKKPIHIIKNAHALPYQSNRDEKEVILDGCIKVNGILEEKKCSLAESWVSSIAVCKGSDLAVSGAANGLIRLWAIENDNKGLRPLFDCPLDGFINSLAFAKSGRFFVAGVGQEPRLGRWGRVPTARNGVVIHPIRLAEEQGLPKSFRSSPRTLQ >DRNTG_28817.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4187629:4193149:1 gene:DRNTG_28817 transcript:DRNTG_28817.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHYRSKAAKRKPRVLLDNDDDPFFESDPKRRRRGEDEEIEGVSSDDDREVSEEEKEEAPEETADEVRYRIAKEYLQKVRALTQRDEDEGNEEEGREDKDGRRDSLVAEKLLKDQLQDSGRIRRLIASRVQKPEDPEQFRYIVKHRQSVTAVALTVDEARGFSASKDGTIMQWDVESGKSEKYTWPSEEVLISHHAKPHQNPSLKRSKQVLALAVSTDGRYLVTGGFDRHVHLWDTRAREHIQAFPGHLAPVSCLVFQPGTSQLFSGSFDRTMKLWDVEDRSHINNLFGHQSEVLTVDCLGKLDDERLLTVGRDRTLRMWKVHDESQLVFRGPAASLECCCFIDGNEFISGSDDGSIELWSSRHKKPIHIIKNAHALPYQSNRDEKEVILDGCIKVNGILEEKKCSLAESWVSSIAVCKGSDLAVSGAANGLIRLWAIENDNKGLRPLFDCPLDGFINSLAFAKSGRFFVAGVGQEPRLGRWGRVPTARNGVVIHPIRLAEEQGLPKSFRSSPRTLQ >DRNTG_09824.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000414.1:50482:52038:-1 gene:DRNTG_09824 transcript:DRNTG_09824.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2735 [Source:Projected from Arabidopsis thaliana (AT5G06240) UniProtKB/TrEMBL;Acc:Q9FFZ3] MSIKDHGKKMHLCQRGISLSRGDRRLPSPSLGGRSSHLLLPRRFPLRLLRVVCRKLYDYVRYDLMEIAFPSSLPDPPHIIKQRKLTWKERWLVLKEASRLYAASWVRDIGPDLRPNDYKKEDHESDNETRDDVQRSLCLDLDVMVQVLDVSKFGENIMAGSKCLQHSVRRSKQELQWEREATEEGSDGNRRGKRPKREATATETGEATGEGSDRRGK >DRNTG_27071.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2786400:2789020:1 gene:DRNTG_27071 transcript:DRNTG_27071.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYGFPGMQDTQFSSSGFWIANDDDLGHINHIVVGWAVDPKYNGDKNSRLMAGWTCDDFKSTGCMNNACPGFVQVSKDTPLGIAISPVSTYNGTQRDIELFVFRDPTTLNWWFLCGPDKKLVGYWPNDLFTTLAQYGTRLEFGGTAEYIGNSVFPPMGSGHFPYEGYSKSCLFQKVKYIDGDSRSDDLPLLEGTPRSDSPCYKAGDFTGSDNANNYFYFGGPGGIDC >DRNTG_25887.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19736374:19739813:1 gene:DRNTG_25887 transcript:DRNTG_25887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVTKEEEEEEEEEGEGEESEKKNKTVVVGVKLDTQSRELLTWALFNLVVSGDRLIALHVILSSNDGPGFDAKVPNLLSLMKDFDAMLAVYEGFCNLKQIDLKLKICRGASVRKALVQEAQCFGAAKLVIGIAKNSRGIGASSSSTSIAKYCAKKMACDCSVLAVCCGKIIFQKPALEMSKTVRINEKSNQHKRTDSECSFTSKSDAEINSNALYWSSHGSEFFDSMSQSSCATVEVDENSSVCSPESVSKEELRPRWKLVRNAVLNDKITSSKVGPKNSMIRWAMRLSNRYSACSILYSDQKHIKSKIDNTSASDNETRSVVSVETDSSHSSDKIENVICELPKELESLQEKYSSVCRLFDLKELTQMTSNFSQEKLIGKGGSSRVYKGCLPDGKELAVKILKPSSDALRAFVSEIEIITTLNHKHIISLFGFCFENNKLILVYDFLSGGSLEEVLHGEKEKSNALSWVNRYKVAIGVAEALDYLHCGSNSQPVIHRDVKSSNILFSDDFQPKLADFGLAKWALSSAPNLTCSDVVGTFGYLAPEYLIYGKVDEKIDVYAFGVVLLELLSGRKPIRTGCPKGEESLVMWAKRVLNDLDKVKELVDPHLSDEYDIDQMERMALAASLCIRRASRCRPQVATVLKLLQGDDEIVKWARSEAKSSEEIDGVDNEEHKQDFDIKSHLTLALLDVDDNSLSTNSTEQTFWMSTCKKGVVDHPASSNH >DRNTG_25887.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19736374:19737157:1 gene:DRNTG_25887 transcript:DRNTG_25887.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVTKEEEEEEEEEGEGEESEKKNKTVVVGVKLDTQSRELLTWALFNLVVSGDRLIALHVILSSNDGPGFDAKVPNLLSLMKDFDAMLAVYEGFCNLKQIDLKLKICRGASVRKALVQEAQCFGAAKLVIGIAKNSRGIG >DRNTG_14150.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17927307:17931845:1 gene:DRNTG_14150 transcript:DRNTG_14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPRWLSLMLLGMLVLVAGVFGWFGKERVSDLSDEGSARRSLGEAMVMRSELDEVHGAVEDPDAVAAEVHMAINNHTERRSLGYLSCGTGNPIDDCWRCDSEWQKNRKRLADCGIGFGRNAIGGRDGRFYVVTDSSDDDAVNPLPGTLRYAVIQDEPLWIVFKRDMVITLKEELIMNSFKTIDGRGVNVHIANGACITIQFVTNIIIHGLHIHDCKPTGNAMVRSSPSHYGWRTMADGDAVSIFGSSHIWVDHCSLSNCADGLVDAIMGSTAITISNNYFTHHNEVMLLGHSDSYDRDKAMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRYLAPTNPFSPKRYQ >DRNTG_08440.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21334103:21334752:-1 gene:DRNTG_08440 transcript:DRNTG_08440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIEEVMEVVELTQLKKVLVGLPGLSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFESFDELFLMKRGGEEIYAGPLGHNSCELIKYFEGVKGVDKIKDGCNPATWMLEVTTLAQEDKLGVNFSEIYKNSELFR >DRNTG_08440.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21333548:21334029:-1 gene:DRNTG_08440 transcript:DRNTG_08440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTIFYKLGSKRKTQQDLFNAMGSMYSAVLFIGIQNSQMVQPIVDIERTVFYREKAAGMYSALPFAFAQ >DRNTG_08440.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21332835:21334752:-1 gene:DRNTG_08440 transcript:DRNTG_08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIEEVMEVVELTQLKKVLVGLPGLSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFESFDELFLMKRGGEEIYAGPLGHNSCELIKYFEGVKGVDKIKDGCNPATWMLEVTTLAQEDKLGVNFSEIYKNSELFRRTKALISELSEPPPASKDIYFSTKYSQPFHIQFIACFWKQFKSYWRNPSYSAIRVFFTAVIGLMFGTIFYKLGSKRKTQQDLFNAMGSMYSAVLFIGIQNSQMVQPIVDIERTVFYREKAAGMYSALPFAFAQVAIEIPYTMFQTLLYGILVYSLINLEWTLVKFMWYLFFMFFTFLYFTYYGMMAVSMTPNSDIAAILSSAFYAIWNLFHRIYNSSSEIASLVEMVLLGITSFMDFVWTIWFTIWR >DRNTG_25741.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18452677:18452984:1 gene:DRNTG_25741 transcript:DRNTG_25741.1 gene_biotype:protein_coding transcript_biotype:protein_coding YDVIVVGSGYGGSVVACRLSMAGAKVCLIEKGKQWSAQDFPTNSLSILAATKMEFSKWGFGFGSDKALFQVTTFRHLLVSFVGKLANNNNKMKHACI >DRNTG_03033.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000138.1:88542:90713:1 gene:DRNTG_03033 transcript:DRNTG_03033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPDPGNCFLLSRALVLATAALFSLVPLLPRASTRLEIKYRGNDDALKNSHVLSSLHNDSGFRVQGLGFMILTYVVDVLESGDGDDLELFQGENLDTDDTEHSTSFGDTLSGQAMSFVQAQVIWKWIQECVPWSSKLFPMDSKGFSGLSPIMEDTNITVDGSTILIALKRVEELNLNCKHIYINNSDLWRFLYGLEEVNNWRAANSLANIKHQMRRFNEPPIHLIPAQWNKIAATMASKGVNAFQLSLFHKGMDLPRWLMRLLERSFFNF >DRNTG_23618.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21698789:21701624:-1 gene:DRNTG_23618 transcript:DRNTG_23618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRGFPVQARGPQDVRVDDRHPFESKTFSSPLPQRSSDDDSITLGPKGGLGRGDLRRMTTGLNGYSSAPHNSRDEVRSRDVFDRLGGTSYEQPTIQRTYSGIRDSTITDHAADKSSVTSSTGRIQGSVPADPTTSSLTKALGEDGLRDKSMSAIREYYSAKDDEEVVLCIKELNSPNFFPTMISLWITDSFERKDMERDLLATLLVKLNQSQDHLLSETQLIQGFENVLSSLEDAINDAPKAAEFLGRLCARVILDTPMPLKEIGRLILEGGEEPGQLLESGVASEILGSILEFIQKEKGDSVLNQLRANSGLHLESFRPPHPLRAKKLDPFL >DRNTG_22717.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28489523:28492507:-1 gene:DRNTG_22717 transcript:DRNTG_22717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRHGISLLFLLLIGCFNAVSGNLGILEINDHKMTTLSKLNGSGRNGQICTLCEDFASQTLSYLSDNETQIMIINGLHHVCSKLYSLKHQCLELVDYYVPMFFVMVSQIQPKEFCEEVKLCEIMTSLRLPNHDGPCKICHNLVVEVLTKLTDPDIQLEIIEVLLKACSKMDNYAQECKKIVFHYGPLILFDIEKYLETTDVCAAIHVCKVNQEAGIGATLLADA >DRNTG_13977.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27881497:27882247:-1 gene:DRNTG_13977 transcript:DRNTG_13977.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:KS1 [Source:Projected from Arabidopsis thaliana (AT1G79460) UniProtKB/TrEMBL;Acc:A0A178WDK0] MMSLSLPIHHLLLPKPRLVWKPSSKVCLGFGLIAGKRASFQVKFAKRSEDRIREALARTPKVELSVSSYDTAWVAMVPSPEFSEFPCFPKFVDWIFWKINNQMALGAFIIFIPCLIKDALLSTLACILALKRWNAGEEHIKR >DRNTG_13977.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27878106:27882247:-1 gene:DRNTG_13977 transcript:DRNTG_13977.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:KS1 [Source:Projected from Arabidopsis thaliana (AT1G79460) UniProtKB/TrEMBL;Acc:A0A178WDK0] MMSLSLPIHHLLLPKPRLVWKPSSKVCLGFGLIAGKRASFQVKFAKRSEDRIREALARTPKVELSVSSYDTAWVAMVPSPEFSEFPCFPKFVDWIFWKINNQMALGAFIIFIPCLIKDALLSTLACILALKRWNAGEEHIKRGLCFIGSNFVLAIDEHLISPVGFNIIFPGLVEYAIDMELKLPVKQSDIDAVLYLRNSELERSRHTREGKKAYLAYVAEGVGKLLDWQEIMKYQKSNGSLFNSPSTTAAAMIYSQNAKALEYLRSLEQKFGDSVPTLHPVSMHGQLCMIDNFEKFGISQHFRYEIKSILDQTYRCWVQKVEELMSNISTCALAFRLLRMNGYDVSSEYLAQYDEKSTFDNSMEGYLKDVNAVLELYKASQVKIFAEEKVLDKLKSWTSHFLREELSTYMTNDHRNVFKEVGQGK >DRNTG_13977.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27878106:27882247:-1 gene:DRNTG_13977 transcript:DRNTG_13977.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KS1 [Source:Projected from Arabidopsis thaliana (AT1G79460) UniProtKB/TrEMBL;Acc:A0A178WDK0] MMSLSLPIHHLLLPKPRLVWKPSSKVCLGFGLIAGKRASFQVKFAKRSEDRIREALARTPKVELSVSSYDTAWVAMVPSPEFSEFPCFPKFVDWIFWKINNQMALGAFIIFIPCLIKDALLSTLACILALKRWNAGEEHIKRGLCFIGSNFVLAIDEHLISPVGFNIIFPGLVEYAIDMELKLPVKQSDIDAVLYLRNSELERSRHTREGKKAYLAYVAEGVGKLLDWQEIMKYQKSNGSLFNSPSTTAAAMIYSQNAKALEYLRSLEQKFGDSVPTLHPVSMHGQLCMIDNFEKFGISQHFRYEIKSILDQTYRCWVQKVEELMSNISTCALAFRLLRMNGYDVSSEYLAQYDEKSTFDNSMEGYLKDVNAVLELYKASQVKIFAEEKVLDKLKSWTSHFLREELSTYMTNDHRNVFKEVHS >DRNTG_13977.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27878106:27881014:-1 gene:DRNTG_13977 transcript:DRNTG_13977.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:KS1 [Source:Projected from Arabidopsis thaliana (AT1G79460) UniProtKB/TrEMBL;Acc:A0A178WDK0] MKYQKSNGSLFNSPSTTAAAMIYSQNAKALEYLRSLEQKFGDSVPTLHPVSMHGQLCMIDNFEKFGISQHFRYEIKSILDQTYRCWVQKVEELMSNISTCALAFRLLRMNGYDVSSEYLAQYDEKSTFDNSMEGYLKDVNAVLELYKASQVKIFAEEKVLDKLKSWTSHFLREELSTYMTNDHRNVFKEVDYALKFPFYANLDRLEHKKNIEQCYIEDFQILKTAYISHGVDKKDILELALDEFQICRSIYQKEIKDLERSVCLFHAETHFSSDY >DRNTG_13977.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27878106:27882247:-1 gene:DRNTG_13977 transcript:DRNTG_13977.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:KS1 [Source:Projected from Arabidopsis thaliana (AT1G79460) UniProtKB/TrEMBL;Acc:A0A178WDK0] MMSLSLPIHHLLLPKPRLVWKPSSKVCLGFGLIAGKRASFQVKFAKRSEDRIREALARTPKVELSVSSYDTAWVAMVPSPEFSEFPCFPKFVDWIFWKINNQMALGAFIIFIPCLIKDALLSTLACILALKRWNAGEEHIKRGLCFIGSNFVLAIDEHLISPVGFNIIFPGLVEYAIDMELKLPVKQSDIDAVLYLRNSELERSRHTREGKKAYLAYVAEGVGKLLDWQEIMKYQKSNGSLFNSPSTTAAAMIYSQNAKALEYLRSLEQKFGDSVPTLHPVSMHGQLCMIDNFEKFGISQHFRYEIKSILDQTYRCWVQKVEELMSNISTCALAFRLLRMNGYDVSSEYLAQYDEKSTFDNSMEGYLKDVNAVLELYKASQVKIFAEEKVLDKLKSWTSHFLREELSTYMTNDHRNVFKEVDYALKFPFYANLDRLEHKKNIEQCYIEDFQILKTAYISHGVDKKDILELALDEFQICRSIYQKEIKDLERWAKENKLDQLKFARQKVAYCYLSAAATIFSPEASEARMSWAKNGVLTTVVDDFFDIGGSREELQSLILLVEKWDGNHEQIHCSEQVNIIFSALRSTINDLGTKASTLQNRNVTDHMVKIWLSLVNSMMEEAEWLRNNAVPTLDEYMENGYVSFALGPIILPALYFVGPKLSEDVVQDPEYQNLYRLVSTCGRLLNDIHSFEREGKEGKLNSVALRILHSNGSVSEEEAKRETMNLINSIRCELLRMVLQSKSSVVPRTCKEFFWNMSRILHLFYMRTDGFSSPKEMMSAVNAVIYEPLDVSHLLSKSALKL >DRNTG_18812.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000974.1:39445:42228:1 gene:DRNTG_18812 transcript:DRNTG_18812.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGETEEVLDRLGSEAEESGMKSDLAMEIDDALPTEGVATAEDWRRTLAKVVPSVVVLRTNGSRAFDTESAGAGYATGFIVDKTRGIILTNRHVVKPGPVVAEAMFVNREEIPVHPMYRDPVHDFGFFRYDPKAIKFLSYEEIPLAPEAACVGLEIRVVGNDSGEKVSILAGTLARLDREAPHYKKYVF >DRNTG_18812.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000974.1:39471:45518:1 gene:DRNTG_18812 transcript:DRNTG_18812.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETEEVLDRLGSEAEESGMKSDLAMEIDDALPTEGVATAEDWRRTLAKVVPSVVVLRTNGSRAFDTESAGAGYATGFIVDKTRGIILTNRHVVKPGPVVAEAMFVNREEIPVHPMYRDPVHDFGFFRYDPKAIKFLSYEEIPLAPEAACVGLEIRVVGNDSGEKVSILAGTLARLDREAPHYKKDGYNDFNTFYMQAASGTKGGSSGSPVIDCQGRAVALNAGSKSSSASAFFLPLERVVRALDLIQKSWDAYGSISEAVTIPRGTLQVTFLHKGFDETRRLGLQSTTEKMVRHVSPIGETGMLVVDSVVPGGPAYKLLEPGDVLVRVNDGVVTQFLKLETILDDSVGREIELEIERGGALMPVKLMVQDLHSITPNYFLEVSGAVIHPLSYQQARNFRFNCGLVYVAESGYMLSRASVP >DRNTG_18812.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000974.1:39471:41523:1 gene:DRNTG_18812 transcript:DRNTG_18812.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGETEEVLDRLGSEAEESGMKSDLAMEIDDALPTEGVATAEDWRRTLAKVVPSVVVLRTNGSRAFDTESAGAGYATGFIVDKTRGIILTNRHVVKPGPVVAEAMFVNREEIPVHPMYRDPVHDFGFFRYDPKAIKFLSYEEIPLAPEAACVGLEIRVVGNDSGEKVWISS >DRNTG_18812.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000974.1:39471:45306:1 gene:DRNTG_18812 transcript:DRNTG_18812.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGETEEVLDRLGSEAEESGMKSDLAMEIDDALPTEGVATAEDWRRTLAKVVPSVVVLRTNGSRAFDTESAGAGYATGFIVDKTRGIILTNRHVVKPGPVVAEAMFVNREEIPVHPMYRDPVHDFGFFRYDPKAIKFLSYEEIPLAPEAACVGLEIRVVGNDSGEKVSILAGTLARLDREAPHYKKDGYNDFNTFYMQAASGTKGGSSGSPVIDCQGRAVALNAGSKSSSASAFFLPLERVSLVAFKFSAFKFYSDPQFMIHNSIEWQVVRALDLIQKSWDAYGSISEAVTIPRGTLQVTFLHKGFDETRRLGLQSTTEKMVRHVSPIGETGMLVVDSVVPGGPAYKLLEPGDVLVRVNDGVVTQFLKLETILDDSVGREIELEIERGGALMPVKLMVQDLHSITPNYFLEVSGAVIHPLSYQQARNFRFNCGLVYVAESG >DRNTG_18812.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000974.1:39471:45306:1 gene:DRNTG_18812 transcript:DRNTG_18812.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGETEEVLDRLGSEAEESGMKSDLAMEIDDALPTEGVATAEDWRRTLAKVVPSVVVLRTNGSRAFDTESAGAGYATGFIVDKTRGIILTNRHVVKPGPVVAEAMFVNREEIPVHPMYRDPVHDFGFFRYDPKAIKFLSYEEIPLAPEAACVGLEIRVVGNDSGEKVSILAGTLARLDREAPHYKKDGYNDFNTFYMQAASGTKGGSSGSPVIDCQGRAVALNAGSKSSSASAFFLPLERVVRALDLIQKSWDAYGSISEAVTIPRGTLQVTFLHKGFDETRRLGLQSTTEKMVRHVSPIGETGMLVVDSVVPGGPAYKLLEPGDVLVRVNDGVVTQFLKLETILDDSVGREIELEIERGGALMPVKLMVQDLHSITPNYFLEVSGAVIHPLSYQQARNFRFNCGLVYVAESG >DRNTG_18812.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000974.1:39471:42228:1 gene:DRNTG_18812 transcript:DRNTG_18812.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGETEEVLDRLGSEAEESGMKSDLAMEIDDALPTEGVATAEDWRRTLAKVVPSVVVLRTNGSRAFDTESAGAGYATGFIVDKTRGIILTNRHVVKPGPVVAEAMFVNREEIPVHPMYRDPVHDFGFFRYDPKAIKFLSYEEIPLAPEAACVGLEIRVVGNDSGEKVSILAGTLARLDREAPHYKKYVF >DRNTG_32508.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001848.1:35602:36249:-1 gene:DRNTG_32508 transcript:DRNTG_32508.1 gene_biotype:protein_coding transcript_biotype:protein_coding HEKIQAATSTAAAAEDPQPPTPGHPIKASSSASPPRFRSRSADRRRSERRQRRQRRMEDQAEILKAECEFLRVERELAVKKMERNRESMEVFLKSAMENLGREKIDGKEMDMMDKRTYPHHHHHHQHVEMLRRRMEVVEEYSQMLLEGDWNSK >DRNTG_32508.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001848.1:35948:36249:-1 gene:DRNTG_32508 transcript:DRNTG_32508.3 gene_biotype:protein_coding transcript_biotype:protein_coding HEKIQAATSTAAAAEDPQPPTPGHPIKASSSASPPRFRSRSADRRRSERRQRRQRRMEDQAEILKAECEFLRVERELAVKKMERNRESMEVFLKSAMENL >DRNTG_32508.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001848.1:35759:36249:-1 gene:DRNTG_32508 transcript:DRNTG_32508.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQAEILKAECEFLRVERELAVKKMERNRESMEVFLKSAMENLGREKIDGKEMDMMDKRTYPHHHHHHQH >DRNTG_27272.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22450808:22451353:1 gene:DRNTG_27272 transcript:DRNTG_27272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLALLLLLLASLSIASSSFTKSCHPSGYLWRKAGGGCLASDPDCCQPEKKYPKYLCSPPVTNSTPATMTLAHFSTAEDYPTSCDSRSHSDSELIVALSTGWYNGGSRCLKSINIHGNGRSVLAKVVDECDSMNGCDADHDYEKPCANNVVDASRAVWKALGITKSQMAAGAHKIIWSDV >DRNTG_26352.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11978580:11979958:-1 gene:DRNTG_26352 transcript:DRNTG_26352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWRRKIVMGCKGSAKRETTIPPKFSLSTKLSQQGGKKKKKKKKKKKKKKKKKKGLREKQIGFLLGLEMIVAHGWALLAALGGMLVPNLVNLKQLCFLDLSRNDFNRIHILEFMGSFKKLEYLNLSQAGFIALDLSYSSRSNLLIPSTLGNLCNLHTLSLSYPDLSLEQAKLGRIFSGCIINSLVELDLKGKETAKTARVFSGCIVQSLKELHLANSNLQGDMPYWIDGLKNLKLLDLS >DRNTG_27367.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001389.1:421:2178:-1 gene:DRNTG_27367 transcript:DRNTG_27367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFQRDQKISLNSSYIHHHHLLLLLLQSNHQTSSTTHRHQNPIQPHTMHDALREEPC >DRNTG_34459.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18219418:18225805:-1 gene:DRNTG_34459 transcript:DRNTG_34459.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLFGPETFRYDLPELPELDDLFSPNGVILDAQKRAAELFGSSETWFLVGGTTCGVQASIMATCSPGETLILPRNSHLSAISGLTLSGAVPKYIIPEYNSQWDIPGGITALQVEKAIEELKEEGKTAAAVLITSPTYHGICSNVREIAKLCHSHSIPVIVDEAHGAHFRFHAEFPSTALEQGADLAVQSTHKVLNSLTQSSMLHMSGNLVDIDTMSRCLQTLQSSSPSYLLLASLDAARAQLSENPLGIFNKAMDLSLEAKGKISRIPGISILNLLSFTSGFPAIDPLRLTLGVSQLQISGYTADDIIEQKLNIYSELAGSQSITFAVNLGTQRESIQRLVSGMNHLSATFYQKRNQLCRNADKVCAPFDDVTVKLSPREAFFSRKRKASIRESFGQVCGEMVCSYPPGIPVLIPGEVITEKALLYLLDVKKMGAAISGAADQQLNSIVVCIV >DRNTG_34459.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18219418:18221132:-1 gene:DRNTG_34459 transcript:DRNTG_34459.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNFQQESVAEDTRKHGSASLITERISSADTPVAGCLSTGQQDSAPLLHALKISAEKDVACFHFPGHNRGKAVPQLMSQLFGPETFRYDLPELPELDDLFSPNGVILDAQKRAAELFGSSETWFLVGGTTCGVQASIMATCSPGETLILPRNSHLSAISGLTLSGAVPKYIIPEYNSQWDIPGGITALQVEKAIEELKEEGKTAAAVLITSPTYHGICSNVREIAKLCHSHSIPVIVDEAHGAHFRFHAEFPSTALEQGADLAVQSTHKVLNSLTQSSMLHMSGNLVDIDTMSRCLQTLQSSSPSYLLLASLDAARAQLSENPLGIFNKAMDLSLEAKGKISRIPGISILNLLSFTSGFPAIDPLRLTLGVSQLQISGYTADDIIEQKLNIYSELAGSQSITFAVNLGTQRESIQRLVSGMNHLSATFYQKRNQLCRNADKVCAPFDDVTVKLSPREAFFSRKRKASIRESFGQVCGEMVCSYPPGIPVLIPGEVITEKALLYLLDVKKMGAAISGAADQQLNSIVVCIV >DRNTG_00113.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10867903:10869828:-1 gene:DRNTG_00113 transcript:DRNTG_00113.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESYHCTTRSLRGIGAAISNPADVAMVWMQADDFLHIAQRHNYKSAVDAISRMVREEGMTSLWCGSSLMVNRAMIVTASQLVMYNQAKEAIGGDAHHE >DRNTG_17415.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3845491:3855124:-1 gene:DRNTG_17415 transcript:DRNTG_17415.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFWGPKKISKPQELNPSLGSFPLIASVPEGSSAIQMKPQKISLSVVTSISEISAEDWDACALDATGPEKFNPFLSHAFLSSLEESGSATKQTGWLPQHILAQDEFKKTVGVVPLYLKSHSYGEYVFDHSWADAFYRYGSRYYPKLQSCVPFTPVTGQRILVRNTWFKEQVFDILLSALKDMPAKYQVSSLHVTFPSENEWHRMKNFGFLQRIGMQYHWINRNYQNFDEFLMDMKQSKRKTIRQERKKISAQNLKMTRLRGDEIKAKHWDSFYKFYRNTTDNKWGSAYLTRDFFQIMGSKMADNVLLVVAEDDGELVAGALNLIGGDTLFGRLWGCRSHVYYPNLHFEACYYQAIEAAIELKLNKVEAGAQGDHKIQRGYQPVTTYSCHYISHDGFRQVIEDFLVHETEQVKHVIKLLIDSGPFKEGIDWHA >DRNTG_17415.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3845491:3855124:-1 gene:DRNTG_17415 transcript:DRNTG_17415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFNPFPSNHSPSIFLGKRQLRRLDHVPWNLRSNSVVFPSTIMAIFWGPKKISKPQELNPSLGSFPLIASVPEGSSAIQMKPQKISLSVVTSISEISAEDWDACALDATGPEKFNPFLSHAFLSSLEESGSATKQTGWLPQHILAQDEFKKTVGVVPLYLKSHSYGEYVFDHSWADAFYRYGSRYYPKLQSCVPFTPVTGQRILVRNTWFKEQVFDILLSALKDMPAKYQVSSLHVTFPSENEWHRMKNFGFLQRIGMQYHWINRNYQNFDEFLMDMKQSKRKTIRQERKKISAQNLKMTRLRGDEIKAKHWDSFYKFYRNTTDNKWGSAYLTRDFFQIMGSKMADNVLLVVAEDDGELVAGALNLIGGDTLFGRLWGCRSHVYYPNLHFEACYYQAIEAAIELKLNKVEAGAQGDHKIQRGYQPVTTYSCHYISHDGFRQVIEDFLVHETEQVKHVIKLLIDSGPFKEGIDWHA >DRNTG_17415.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3845491:3855124:-1 gene:DRNTG_17415 transcript:DRNTG_17415.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFNPFPSNHSPSIFLGKRQLRRLDHVPWNLRSNSVVFPSTIMAIFWGPKKISKPQELNPSLGSFPLIASVPEGSSAIQMKPQKISLSVVTSISEISAEDWDACALDATGPEKFNPFLSHAFLSSLEESGSATKQTGWLPQHILAQDEFKKTVGVVPLYLKRSGFSILLIIIIHKLSYQYVIG >DRNTG_10120.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30472153:30474386:-1 gene:DRNTG_10120 transcript:DRNTG_10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLALAIQPANGANILLQTREWFPPARALAALSSFRQTRLSFSSSSSSSSSSAAAGSKSDDLDPASAALGDDPLAASSGQVVVGVESRYLVVYRLVNSIYVLGVTTPDTPDVFSCVDAVNQSVAVVVAACRGVDATAEKLHRKYPEVYMALDIVLRGVGAARLAAILSSMHSDNIAKLVSSAIDTEARVRGADPWAGPHEALSLERRAALQTFSSVFFELPAETLAAGDEAAAASLPPAAPPTDDPSKPSEETPEDQQPKDPFAASEKINKPEEALVGAFKKSKDGVSLVSDPSAALAGLEVSSLPPPAATKPTFIGVEGFEGEYGGIEFGNEEASLSEAFEGFDNAFGGGLDASEFVNTTKKAPKGPGLGGLELLATSPSPATASKGDEKTPLENLLVSKTQAMTGPELYIAEEINAEFQESLLSRVGLKGTIFLRTLPPKQAAGKETEFSFRLENTSGIQRAVMQTSCVSSLENGMFHVRTPSKEEPIPIMKYSLQPRFTPLPLRLRLIKRHIGTLLSVMIQYASNPALLMPLNNVTFILKLPVDPTLLKVTPKAVLNRATRELRWHVPDVPLKGLAGKLRARMPVDQDSEEGGELEVIGMVKFSAQSSTTLSGICLRPVSEGIAQFNEVSHRYESGSYTCI >DRNTG_29374.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3834555:3836413:1 gene:DRNTG_29374 transcript:DRNTG_29374.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSPLSLLVTSSTQKPSIKRKEKDTKIRPRLSCFKDKRVWRARRL >DRNTG_29374.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3834555:3834831:1 gene:DRNTG_29374 transcript:DRNTG_29374.2 gene_biotype:protein_coding transcript_biotype:protein_coding RRQYDSLTPPRPKLDLLLRKQNTQSA >DRNTG_17231.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2634022:2641193:1 gene:DRNTG_17231 transcript:DRNTG_17231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNGGLLPKLRPRRRSKKPATTVADETPPSPPVYMRTTISSISNLLRYSPWSSAQSQLHLLPIRWDSFTINQVLKTHPPMEKSWLFFNWASKLPSFKHDHFTYTTMLDIFGEAGRIQSMLRVFQDMEEKGIAADAATYTSLMHWMGKAGDFDGAVKAWEEMRSRGCRPTIVSYTAFIKVLFDHGRPREAARVYKEMLEVGLTPNCQTYTVLMEYLAGEGKFDAVLEVMNKMQEVGIHPDKAMCNILVQKCSKAGETSVMAQILQFMKENFIVLRRPVFLEALEALKISGESDHLLREVNPHLSCEGMVEEITDSESTVTDMSSEIDRGIIINLLAMRNFVAIEHILSESLHRNLLLDSNIISAVVHTNYATHRSTGALTAFEYCIKMRQILDKSAYISLLGLSIRSGLYQKVLEIVEEMVRANICLGTYLVSLLIYKLGCSGFPSFSEKLYYLCSTDQNSATCTALISAYLQAGEVEKGLDVYSRMRNEGMPVSVGTYAALIVGLEQAGQPRDAEVYRKEKKRLEWCYHSRGTASLEEILCNCLFDGGYFLHIMVRQHSIV >DRNTG_17231.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2634022:2641193:1 gene:DRNTG_17231 transcript:DRNTG_17231.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIKKPLGSNERTKIERIGVHSHIRGLGLDSSLDAHSASDGMVGQLPARRAAGLILQLVREGRISGRAVLLAGQPGTGKTAIAMGLAKSLGLETPFATLSASELYSLEFSKTEALTQAFRKAIGVRITEEVEIIEGQVVKITINRLASGERGVAWMTGKLTLKTTDMEAVFELGGKMIEALMKEKVQNGDVVALEKASGKVTNLGRSTWTTMNYDAVGSRTKFVRCPEGELLKRKEVVQCVTLHEIDVINSRTQGFLALFTGDTGELCSEVREQVNSKVTEWLVGGKAELIPGVLFIDEAHMLDIECFSFLNRALENEMAPILVIATNHGITNIRGTNDRSPHGIPADFLDRLLIICTQPYTEDEISKILDIRCEEEDVKMSISAKGLLMKISVETSLRYAMHLIILAALACQKRKGALVEHGDVSRVYHLFIDVKRSTQYLTEYQNEYMLNEINETEAMQS >DRNTG_13290.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2820135:2822273:1 gene:DRNTG_13290 transcript:DRNTG_13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILLLSLTLILITTKVIAQNTSTNFIYNGFAGVSNLTMEAAAAVTTSGVLQLTNTSTNVIGRAFFPSSIPSLLPGNKTISFSTTFVFQILKSTTSSGGGHGLAFTFSPTKITPSPGCCPYLGLFGRENNGNSSNHIFAVEFDTARGFRFFTSESHVGININNIVSVSSASPSYYDNTTNSDVNLDFLQGDPLHAWIEYDGVLKVLNVTLASLNVAKPSKPLISYATNLSDVFKENMYVGFSASTGTQPNSHYISGWSFRVNGEAQALDLSSLPFPPQPSTRGSSSSKLSNAATIGITFSITIIVVATVIAVILYLRLRARLAETIEDWELYYPHRFPYKELYKATKGFKEGELLGKGGFGHVYKVVLRGTGEEVAVKRISNSSQQGVREFIAEISSLGRLRHRHLVHLQGWCKRNEDLLLVYEFMPNRSLDLYLFESHKNNMTLTWDQRYKILKGIALGLLYLHEEWEQVVVHRDIKASNVLLDSEMNGRFGDFGLARLYEHGDHPHTTHVVGTVGYMAPEISRTGKATASSDVFSFGALLLEVACGRRPIEMSYPPREMILLDWVKECYLKGKTHEVVDGKLGDEFNQEEMEMVVKLGIVCCSSRPETRPSMRQVTKYLNGDEVLSDGWVVQLCESDSSMDLGSHHGSSNPSSTDPSTNFSTYPHGVVSSGSFLGGR >DRNTG_14003.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27713982:27716445:1 gene:DRNTG_14003 transcript:DRNTG_14003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMELTRTLSHVQQRQQGRSRECSTGQWGRQYWCILHQVSHC >DRNTG_12220.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25106189:25108315:1 gene:DRNTG_12220 transcript:DRNTG_12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVMSGPLDRSSRPGLNGYQEEKRDRRSDVDINSEDERKKRAGSLKKKAITASAKFKNSLTKRSRRRSSSRVMSVSIEDVRDAEEMGAVDAFRQTLILEELLPSQHDDYHMMLRFLRARKFDIEKAKQMWSDMLQWRKEFDADAILEDFEFAEIDQVLQHYPQGHHGVDKQGRPVYIERLGQIDVNKLMQVTTMDRYVKYHVKEFERTFKVKFPACSLAAKKHIDQSTTILDVQGVGYKHLTKTARELIGRLQKIDGDNYPETLNQMFIINAGNGFRLLWNTIKTFLDPKTTAKIHVSSFPLISAFLQSLLFDPSVNVSLQVLGNKYQSKLLEVIDASELPDFLGGTCTCSEHGGCLRSDKGPWKDADILRMVRNGYAKCGRHRRNSSIDEKTISEDDITYPSETIVDAESPRFPRSKIEHPHLSPLREEVRDYHQVSASLPIFTLTYNYTIYNNDLI >DRNTG_06495.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000290.1:50844:52368:1 gene:DRNTG_06495 transcript:DRNTG_06495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTHGGVKFPHDRVASLANSDKRLKAHLKPWGLGDAIRDEFINKAVFSDWDHCSKADVAIHCSSHCNSSRASQQKTEILEGSAYGRDYRRDHRRDLFLDVSWSNHRCLCNSSIHLALVVTRGSSPGDLALPLLLASLTRQCHLGGSNSSSWDLGNTTPVSRTGYYLMTRALADLIKLLPRPARINSVAKVPTTVPVRNTPETMVSSM >DRNTG_33923.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3936229:3938204:-1 gene:DRNTG_33923 transcript:DRNTG_33923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNFRLHLTKLYNCGARKIIMLSTSNIGCIPFIRSLFPLSSGDCSEELNNLSIQFKNETRDLLQNLTSTMPELRYTSHRHI >DRNTG_17719.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4028163:4028611:-1 gene:DRNTG_17719 transcript:DRNTG_17719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQWLQQTADQQLAIQTASSFPSFYEEYQNQLMNHRKLEHQTNKQSH >DRNTG_13036.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:764990:766930:-1 gene:DRNTG_13036 transcript:DRNTG_13036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVSNEVLQQLSCLPTAWLCLANMDNLDSLSFDILSCKDDGFLKELEIRSCPQITKLVMNGRETHLNNLTIYDVKQLQNISWENVLPQEFFRMLKRLFIYKCKLASVDWVLHLPCLIHLHIQSCTEIETLFNVEEEREIQQVSKLPVFPHLECLELAKLPKLMRISNFTLDFPRLSHLSVTECLNLQKDSFELGFINHQIRTHCDREWRENLECEAKSPPTYQRDYFWRVTASTVVLKIGLHSELCEGRILKTIVMIEGVERVWFESFDASTDLVMVKGTMDVKNLLEVLKEKLNNSVEIMPAKKYDAGAGDKKDGGVGGGGDEQKEMLMLIEDGVRMGRALAANEDDRENEGEHGSQIRGLRRSLTF >DRNTG_12499.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2467370:2468557:-1 gene:DRNTG_12499 transcript:DRNTG_12499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSCPHRRKMLLVLNTDNRQQQYRIMIPKQLLMRARERHHNGVDKDLPQLSYGQNDSLSTTRSRLFTLLEGKKMVSDDVMDAFEDDAHETTMAMIGDAARNLHDVEIFILPIIMNDYFHVVVLDNYKQKYRHYSSCQSEGYDKDALEMFIEQLLADGKLRLPQTDVPYLRLKYVSRILKEGRTAGITDKGGSLKAG >DRNTG_00052.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21720768:21724381:1 gene:DRNTG_00052 transcript:DRNTG_00052.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RETICULATA, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G37860) UniProtKB/Swiss-Prot;Acc:B9DFK5] MALSCSSLRLHSSSTLYGDCHSGINVIDCNDRHLFIESCGFKVQTCPRSQLALSWKEMAVPVSFRVESSVNENKERWVFQIISKLQSSGKDGSNDVTVNILLNDGDVALERGAAVSEKNHFPDTGCGNGGAESGGNGKFPPGGGGGGGGGSHNEDDKEEDEFGPVLKFDEIIRETESRGVELPSDMLEAAKTMGIQKVLLERYLDLQAAAWPLGIAMKSCSMLRNRMLADPSFLFKIGTEIIIDSCCATFAEVQKRGKDFWAEFELYLADLLVGVVVNVALVGMLAPYARIGQRSVSKGIFGQMKHAYNALPSSVFEAERPGCSFSVQQRIGTYFYKGFLYGSVGFACGLVGQGIANMIMTAKRAMRKSEEDIPVPPLVKSAALWGVFLAVSSNTRYQIINGLERLVEASPVAKRVPIVAMAFTVGVRFANNVYGGMQFVDWARWSGVQ >DRNTG_00052.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21720768:21724381:1 gene:DRNTG_00052 transcript:DRNTG_00052.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RETICULATA, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G37860) UniProtKB/Swiss-Prot;Acc:B9DFK5] MALSCSSLRLHSSSTLYGDCHSGINVIDCNDRHLFIESCGFKVQTCPRSQLALSWKEMAVPVSFRVESSVNENKERWVFQIISKLQSSGKDGSNDVTVNILLNDGDVALERGAAVSEKNHFPDTGCGNGGAESGGNGKFPPGGGGGGGGGSHNEDDKEEDEFGPVLKFDEIIRETESRGVELPSDMLEAAKTMGIQKVLLERYLDLQAAAWPLGIAMKSCSMLRNRMLADPSFLFKIGTEIIIDSCCATFAEVQKRGKDFWAEFELYLADLLVGVVVNVALVGMLAPYARIGQRSVSKGIFGQMKHAYNALPSSVFEAERPGCSFSVQQRIGTYFYKGFLYGSVGFACGLVGQGIANMIMTAKRAMRKSEEDIPVPPLVKSAALWGVFLAVSSNTRYQIINGLERLVEASPVAKRVPIVAMAFTVGVRFANNVYGGMQFVDWARWSGVQ >DRNTG_00052.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21720768:21724381:1 gene:DRNTG_00052 transcript:DRNTG_00052.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RETICULATA, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G37860) UniProtKB/Swiss-Prot;Acc:B9DFK5] MALSCSSLRLHSSSTLYGDCHSGINVIDCNDRHLFIESCGFKVQTCPRSQLALSWKEMAVPVSFRVESSVNENKERWVFQIISKLQSSGKDGSNDVTVNILLNDGDVALERGAAVSEKNHFPDTGCGNGGAESGGNGKFPPGGGGGGGGGSHNEDDKEEDEFGPVLKFDEIIRETESRGVELPSDMLEAAKTMGIQKVLLERYLDLQAAAWPLGIAMKSCSMLRNRMLADPSFLFKIGTEIIIDSCCATFAEVQKRGKDFWAEFELYLADLLVGVVVNVALVGMLAPYARIGQRSVSKGIFGQMKHAYNALPSSVFEAERPGCSFSVQQRIGTYFYKGFLYGSVGFACGLVGQGIANMIMTAKRAMRKSEEDIPVPPLVKSAALWGVFLAVSSNTRYQIINGLERLVEASPVAKRVPIVAMAFTVGVRFANNVYGGMQFVDWARWSGVQ >DRNTG_01814.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3100862:3106921:1 gene:DRNTG_01814 transcript:DRNTG_01814.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFDLNKTPFISSKLDVWSAGVMLYQMLFGKRPFGHDQTQERILREDTIINARKVEFPSRPSVSNEAKELIRRCLTYNQGERPDVLTIAQDPYLSYSKR >DRNTG_01814.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3094738:3106921:1 gene:DRNTG_01814 transcript:DRNTG_01814.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDVLMQLSSNSNPSDRSKLAKLEARMVGKSSSSSSPAVQSTWQMPSSVKFGTEELPDSLSSSDSEDDNGREFLIQANTQKRKRVVEDDHIMPLQLYEGAGDGRIKVTENTEKGSSLDDSQRKKQVRGRGRQATGRGRGSKSTDQTWPSASPSTVVSSNGHLENSSVHKENWSKEQLENEEKTALKEEISLLHGKVALLEEELRKLRQGAVDHQQLCHQLEKELRDFKDRDQQMKAKRIKILSDLLISVSKSERQEARMKMRQESLRLGNVGVIRAGTVISETWEDGQALKDIHTHLKSLLESKEAIEKHRKSLKKRQSDKGDGSDAETGMSEEDFLIQDEIYKSRLTSIKREEESALRERDRYELEKARHIREMKRIRDEDASRFNNFQILNHRYALLNLLGKGGFSEVYKAFDLVEFRYVACKLHSLNAQWSEEKKQSYMRHAIREYNIHKTLVHPHIVRLWDYFEIDHNTFCTVLEYCSGKDLDAVLKAVPVLPEKEARIIIVQIFQGLIFE >DRNTG_01814.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3094738:3095745:1 gene:DRNTG_01814 transcript:DRNTG_01814.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDVLMQLSSNSNPSDRSKLAKLEARMVGKSSSSSSPAVQSTWQMPSSVKFGTEELPDSLSSSDSEDDNGREFLIQANTQKRKRVVEDDHIMPLQLYE >DRNTG_01814.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3094738:3099383:1 gene:DRNTG_01814 transcript:DRNTG_01814.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDVLMQLSSNSNPSDRSKLAKLEARMVGKSSSSSSPAVQSTWQMPSSVKFGTEELPDSLSSSDSEDDNGREFLIQANTQKRKRVVEDDHIMPLQLYEGAGDGRIKVTENTEKGSSLDDSQRKKQVRGRGRQATGRGRGSKSTDQTWPSASPSTVVSSNGHLENSSVHKENWSKEQLENEEKTALKVGQFVAC >DRNTG_25954.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2083778:2086089:-1 gene:DRNTG_25954 transcript:DRNTG_25954.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAGEEEQDGVSVHSPAQAPPSSASSLPKNQDHSQVELELRALEALETYPPVRLKGVHRHFVLYGLMENLRRSCDRHFSADEVLQLLDRFFNLEMLKPDDEEMDIFNQEEDFSLPQSFFVKEET >DRNTG_25954.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2083778:2086089:-1 gene:DRNTG_25954 transcript:DRNTG_25954.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAGEEEQDGVSVHSPAQAPPSSASSLPKACS >DRNTG_32625.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19036251:19037836:-1 gene:DRNTG_32625 transcript:DRNTG_32625.1 gene_biotype:protein_coding transcript_biotype:protein_coding YPGATIHNPTIALQRCRGNNIIVGEEYYKPEPIQLAFSTTIKGVKKKELAITDLDGKALFWLSSDMANNKKWFILDAATGIPLLSIIKKGICMHACLFLIKSLLCMHIYS >DRNTG_18376.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000946.1:53359:58294:-1 gene:DRNTG_18376 transcript:DRNTG_18376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEPTEPVTIPDSPDFLTPKREARSNSTGKDITRSLPSPLAGNEKPLPHYLRASSGSCHDYCKYGRKHAFEDKARRPNFRRSSGDNEISEKVRNQVEVLPRGGRKKKPVQKLKPTSQHETELSGQSNVVKNNGLSAAKDIQVCEYGSEGFLSSSQNSISSTDRRYSDKAEGLSEEHVSVESIGPSVVEKSVITNELIVSTREDVLLEEPMSIVMPSSGWEGIANESGGLYGELHEAVSPLQGGIVGFAASTLSSQEEMSGEMPISKLTGTLSNTLSRDSPGNQAIKPSGEPSKASPSSSQSIKGSSSKRIDLNKREAPKLRSVPKPKNEMPVKAKAIAQKETDVLGKSSTIIKQKAPSANKKTMTSDQQKTIKQKSRNAASPGTAEVLKLKASSPLKKTDISARASASLKPKASATKSLSPLTPAGGPDSSRSKPSQISRTQDLPKTVEKKVLKLPRASLSPKIGDKKVLKTPRASLSPKIGEKKVVKTPRASLSPKIGEKKVLKKPRASLSLNIGDKKVLKAARVLLSPRPAVTRISSMKLRKFGNKKLGSPVKYAKKVSAQDNNDQVKEKTIYAIDPEPEIKSEEDVPQISTGYKSSTSSQSLSVASSQSTASDTSEENEENEEKEENEDTESTYTEVSVVSEDEDTESDNEVEPQEVEERRMSRKKSVVHPDDEAQPPHKLKFRRGKVLDPQSQNNGPRRLRFRKPRVVTENENGRGELGRRSFRKRSNISGVDTNEVPETPNVVLRHQDQQGKKDSQGLFNHVIEETASKLVESRKSKVKALVGAFETVISLQDTKAAPTV >DRNTG_18268.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8955176:8956088:1 gene:DRNTG_18268 transcript:DRNTG_18268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRNIVDGAPSEKRNRTPNKRWTKEMDNVLIPLLADMAKGGLKVDKSFKRQVFIQAANVVNNRFPNACMDADNVENHMRTLKQKYQDIKKLMNLSGVGWNDSEKMLVLEDKTYHTYVEGHPKAKDYLNKPIPLFEELRLVAGDDHATGDYARSIYDQFGGTIHANDHDTILDNVLAPNDPIDCEAFEANSRRHEVLRSNTSKTTARSTRTTRTMGENGGMEIVRDKLGQLATSIDRAKKKKHGRKNYQMLYGTWKVTTIRTWEWYLTD >DRNTG_21713.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:603959:608673:-1 gene:DRNTG_21713 transcript:DRNTG_21713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFLAEGAVILGIQDENYWPLMPALPSYGSGREQGGPCYASLIHGQNLKDVVITGHNGTIHGQGQSWWTKFKQKLLRHTRGPLVQLMWSRDIVISNITLRDSPFWTLHPYDCKNVTISDVTILAPVSGAPNTDGIDPDSCEDVVIENCYICVGDDGIAIKSGWDQYGIKYAKPSANILIRNVIVRSVVSAGVSIGSEMSGGVSNVTIENLHVWESRRGVRIKTAPGRGGYVRDISYNNLTFDNVRVGIVIKTDYNEHPDEGFDPKALPTIERINFKGIHGQGVRVPVRIHGSEEIPVKNISFKDMSVGITYKKKHIFQCSFVQGRTIGSIFPSPCENLDRYDEQGNLVKHSVSQNNTDVDYDF >DRNTG_03932.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000186.1:15894:28135:1 gene:DRNTG_03932 transcript:DRNTG_03932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSTSSSVVCSSSGSSSINIDGFPRVITWSLLHAQLSPSGSSSLRPFTLLWPQRTRGMEVESITLEGKGDALTRLPTRTLNPSVTLGEMFIQSS >DRNTG_18452.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19511519:19511901:-1 gene:DRNTG_18452 transcript:DRNTG_18452.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLLSTFMLIHNLIVILMTNNKLHATHAHDLLVINGGGFSCESWLLGVETNNVRGWKTVPRGCESFVGQYMIGKRYGEDLAMVVREAEKYARGLKLGGDGKEVWVFDVDDTALSNLPFYAHRGFG >DRNTG_18452.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19510630:19511901:-1 gene:DRNTG_18452 transcript:DRNTG_18452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLLSTFMLIHNLIVILMTNNKLHATHAHDLLVINGGGFSCESWLLGVETNNVRGWKTVPRGCESFVGQYMIGKRYGEDLAMVVREAEKYARGLKLGGDGKEVWVFDVDDTALSNLPFYAHRGFGARAYNKSELDVWVNKSRRPAVQQSLRLYKTLLSLGIKVMFLGTDFEHDRDIISANLRKVGYITWEKLLL >DRNTG_21900.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19652662:19660187:-1 gene:DRNTG_21900 transcript:DRNTG_21900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGMDEDGAGTSQAPSPPPPLEWKFSQVFGERTAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDVRDFGHRRDLERSDNSAIRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQAPNGALFLLSTNDKTIKYWKVQEKKVKRISEMNLDSSLTVSMPSSSASSPSTYLPNGGCLERPHPSLSNDFSFPPGGFPSLRLPMVASQETSLVARCRRVYAHAHDYHINSISTNSDGETFISADDLRVNLWNLEISNQSFNIVDVKPTNMEDLTEVITSAEFHPTHCNMLAYSSSKGFIRLIDLRQSALCDSHSQLFEEREVPGSRSFFTEIIASISDIKFAKNGRHILSRDYMTLKLWDISMNSGPVATFQVHEFLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCVPGSNEATTLEASKNPMRRQVQNPTRPARSLSSLTRVVRRGAENTDANGNNYDFSTKLLHLAWHPSENSIACAAANSLYMYYA >DRNTG_21900.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19652662:19660187:-1 gene:DRNTG_21900 transcript:DRNTG_21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGMDEDGAGTSQAPSPPPPLEWKFSQVFGERTAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDVRDFGHRRDLERSDNSAIRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQAPNGALFLLSTNDKTIKYWKVQEKKVKRISEMNLDSSLTVSMPSSSASSPSTYLPNGGCLERPHPSLSNDFSFPPGGFPSLRLPMVVASQETSLVARCRRVYAHAHDYHINSISTNSDGETFISADDLRVNLWNLEISNQSFNIVDVKPTNMEDLTEVITSAEFHPTHCNMLAYSSSKGFIRLIDLRQSALCDSHSQLFEEREVPGSRSFFTEIIASISDIKFAKNGRHILSRDYMTLKLWDISMNSGPVATFQVHEFLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCVPGSNEATTLEASKNPMRRQVQNPTRPARSLSSLTRVVRRGAENTDANGNNYDFSTKLLHLAWHPSENSIACAAANSLYMYYA >DRNTG_01418.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6560216:6562062:-1 gene:DRNTG_01418 transcript:DRNTG_01418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGGMDLFFALRTATRHSSPRGATTKPRGHCQASSNR >DRNTG_01284.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1991678:1994296:1 gene:DRNTG_01284 transcript:DRNTG_01284.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTGQGSQPVSGGNPEHVGSYQDVQRLPRRNNWTISSDEALVDLLLEESKQPGVCSGSFRPEAWFRIWNEYQKRTREDTTLPQLKGRWKTLKKLYKLHSSLVGKSGWSWDFDTQRPTPGCPMVWEEIIKLNKEYKVCRDKPFPLFLKIHDLVASSTANGQYASHTGGSVRADDLVVNLDEGSGSSDSLVLHVDKDTIPSHSTGKKVSYNNASSMTKRKQLDHPNPSVSKKKSSDHTVEALNRLISLSDRRSKIMEQSKEEDDSFSFKTCMEKLSSMPGVSDVEVCVAAEALKSRENRIFFMTMRQSVIDCWLSLRMKEFRQQE >DRNTG_01284.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1991678:1994296:1 gene:DRNTG_01284 transcript:DRNTG_01284.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTGQGSQPVSGGNPEHVGSYQDVQRLPRRNNWTISSDEALVDLLLEESKQPGVCSGSFRPEAWFRIWNEYQKRTREDTTLPQLKGRWKTLKKLYKLHSSLVGKSGWSWDFDTQRPTPGCPMVWEEIIKVSIICIFSCNDRFLAIMESQTCIKQIRIRKFDKPFGLSRI >DRNTG_01284.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1991678:1994296:1 gene:DRNTG_01284 transcript:DRNTG_01284.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTGQGSQPVSGGNPEHVGSYQDVQRLPRRNNWTISSDEALVDLLLEESKQPGVCSGSFRPEAWFRIWNEYQKRTREDTTLPQLKGRWKTLKKLYKLHSSLVGKSGWSWDFDTQRPTPGCPMVWEEIIKLNKEYKVCRDKPFPLFLKIHDLVASSTANGQYASHTGGSVRADDLVVNLDEGSGSSDSLVLHVDKDTIPSHSTGKKVSYNNASSMTKRKQLDHPNPSVSKKKSSDHTVEALNRLISLSDRRSKIMEQSKEEDDSFSFKTCMEKLSSMPGVSDVEVCVAAEALKSRENRIFFMTMRQSVIDCWLSLRMKEFRQQVE >DRNTG_01284.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1991678:1994296:1 gene:DRNTG_01284 transcript:DRNTG_01284.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTGQGSQPVSGGNPEHVGSYQDVQRLPRRNNWTISSDEALVDLLLEESKQPGVCSGSFRPEAWFRIWNEYQKRTREDTTLPQLKGRWKTLKKLYKLHSSLVGKSGWSWDFDTQRPTPGCPMVWEEIIKE >DRNTG_02751.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000126.1:86534:89222:-1 gene:DRNTG_02751 transcript:DRNTG_02751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLEQTQELLVLFIPLVIFQSRGIRDSWLERWGCHLFLFACPLFYLYNLGCVFFLLLTRKPTFSLTTTSQSDRLHMLSRVGLRIARQASMWPFRKRIRNLSHLIFKDTPQRKLPYQPSS >DRNTG_08300.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000375.1:106273:120676:1 gene:DRNTG_08300 transcript:DRNTG_08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVCRGRNLGGCYCIQENLYRIHPLQDKDHFKTGHYKKRVISRHSSRGGSNHLCSPFPLYYRISQTWPFSVKATSPSQPLNICVKASTTILDRLGGEASSKGKETANHTPRTKRHIMTSHSGNSIRDPHHSIDPGTTMPTPQIKAQEQEEGPVHQSKDESRGWDMLAQFLGHIASNCQKFLIGYDKLQKIPECYKDHVWNNIIKPKLEVNDDGHKRYIMKSLVKKWQPPEIRLEQWVAFVDYRARPDTKGMFEVWDLELFQPKFIDHLVVRVGKGLSKNDKAQKLAFRHWLEDVNVFDDMPFYKPPRILVLVIPDVDSNCHEFAHRALALVAVGQLLHRNWLQKTHQGRTTCLELLWPTLRGLSIT >DRNTG_13806.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10325041:10327335:1 gene:DRNTG_13806 transcript:DRNTG_13806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKKRSSFHLTSKIIFILLFIAHCKTFPNIVDEKQVHTYIVHVQPHKENEFLGDEEREAWHKSFLPNTTLDSGEQRLVYSYREVISGFAARLTRKEVEDMKSMEGVMHAQQDQELSPRTTYTPSFIGLSQWHGLWVDSFMGQGMIIGVIDSGITPGHSSFKDSTVDKPMPPPPKKWKGKCQFRKQGYCNNKLIGAISFQNGRSPAPRDQKTNGHGTHCAGIAAGSMVPDADVRGLAKGTASGVAPRAHLAIYQSCTTTSCSSTDVLKAMDQAIDDGVDVLSISLGPSLGQRQDPYYVDSMAIGAFSAIRKGLVVSLPAGNSGPEFSSIENDEPWAMTVGASSHDRRAKATVKLGDGTEIVGETGYQDNNFNATLPLVFPSVLGQNGTEGCKKDSFDNVDVKGKIVICYIYGGTYIDMGVNVKNAGGAAMIITDTFREGSTTFSDVHVLPTAHVDDPGLKKIIRYLRSDPNPNATITFGGTTFGARPSPTIAYFSSRGPSLLNGGILKPDIVGPGVNVLSAWPKKPGPGSKAPPGSYFNFQSGTSMATPHLAGVAALLKSTHKNWSPAAIKSAIMTTADRLDRDLKPITDDYNGTRDVANLIALGAGQVNPQAANDPGLVYDIKPFNYIQYLCGIGYSDANVSTVAGRQIQCANLVKINAEDLNYPSISVTLDPKAKKSITRQLTNVVDGGTEVYHAVVEDPKGINVDVSPNTLRFSRLDQKRKFTIEFEVTGMPLSQGETTQGQLLWISPKHEVRSPILVTFS >DRNTG_21035.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:181688:183617:-1 gene:DRNTG_21035 transcript:DRNTG_21035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNWLLISTIFIFKTLNNGGLPPGPTAIPIIGNLHWLWSSPPTIEPLLRDLHARLGPIVTLRIGSTCAIFISDRHLAHDALITHGAVFADRPPALPATRVFNSNQHNISSASYGPLWRLLRRNLISEILHPSRVKLFSNGRQWVLNILISKIRASAEANNGVVLDFKQNLQFSMFCLLVLMCFGEKLDENAIRDIETVERNWLLYFNKLTVFAFVPRISKLIFRKRWNTAMDLRQKQKDIIIPLIRTREKHKEKQNKQGWGDDEKERFVYSYLDSLLDIKIPEDGDRKLTDDELVTLCSEFLTGGIDTTVTALEWIMANLVKQPEIQAKLFDEIQGVVGSEAEEVKEEELHKMPYLKAVVLEGLRRHPPGHFVLPHTVTEDVMLNGYVIPKGASVNFMVAEMGRDEKVWENPMEFRPERFMEGGEGEGVDITGNKEIKMMPFGAGRRICAGVGLAMLHLEYFLANLIKEFEWKAINGEDIDVNQEILEFTTVIKTTLRARIIRRRI >DRNTG_18996.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22672960:22678323:-1 gene:DRNTG_18996 transcript:DRNTG_18996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGDEKPTEGGGFFAAISSSVRSWGSAMQKSVNGLMGYEGLEVINPEGSTEDAEAEAQRGRWKQEDRDGYWKMMHKYIGADVTSLVTLPVIIFEPMTMLQRMAEILEYTHLLDLADECEDPYMRVAYVSSWAISVYFAYQRTWKPFNPILGETYEMVNHNGITFISEQISHHPPMSAGHAENEHFTYDITSKLKTKFLGNSVEVYPVGRTRVTLKKAGVVFDLVPPPTKVNNLIFGRTWVDSPGEMIMTNLTTGDKAVLYFQPCGWFGAGRYEVDGYVYNASEEPKLLMTGKWNESMSCQPCDLEGEPLPGTELKEIWKVAATPANDKYQYTHFAHKLNSFETAPKKLLASDSRLRPDRYALEKGDMSKSGAEKSRLEEQQRAEKRNREANGHKFTPRWFDLSGEITPTPWGDLEVYEYNGKYTEHRAVVDSSGCHRGGRY >DRNTG_11397.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14131577:14136112:1 gene:DRNTG_11397 transcript:DRNTG_11397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSNALPLLLVLLSILIVSLLNPSHSSTLRLPSDRRAAPNAAQFFDPTRVIQLSWIPRAFLYKRFLSNEECDHLIALAKDKLEKSMVADNDSGKSIMSEVRTSSGMFLEKRQDEIVSRIESRLAAWTLLPEENGESIQILHYENGEKYEPHFDYFHDKANQELGGHRIATVLMYLSNVSKGGETIFPNSEGKLSQPKDETWSDCAKNGYAVKPAKGDALLFFSLHPDATTDTSSLHGSCPVIEGEKWSATKWIHVRSFEKIERSSDTCADENELCALWASAGECEKNPNYMVGSNAAVGFCRKSCNACSSQEIK >DRNTG_11662.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:199429:202085:1 gene:DRNTG_11662 transcript:DRNTG_11662.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSILNNSWKKVSLAGEWRSGAFLYVCRSNFHKGQVVCAPRSFFGVEDFLDDDNSRPYTYKKEKKSKNPHKHVSFKQRTIAYMEPFTLDVFISKRFVSASLTHRVTSKQVAVAGTNSKDIKAVIQSRSDIPACLAVGRILADRAREADVYTASYTPRERDKFEGKIRAVVQSIIDNGIDIKVYLD >DRNTG_19990.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:872734:876229:1 gene:DRNTG_19990 transcript:DRNTG_19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLIMKIKEKFELMNDGEISPSAYDTAWIARISSLDNPKKPQYPMTLKWIIENQNKDGSWGEPSSFLLYDRLVCTLACVLALKKWEAGEEQYIYIKNPKRDAPLASITSLRLPPSLRAHLLLFSGDRRRLQAFHAPLQPHTSPLPLCPLRPLPSSFRTTLHLRRPSPSPSTLSLHLTRFRHGCRIRCHTSASAFSASFSPVKWLEKDNVRLFSHQIPARKLTDMEGVDFPGECWELDPASLPADLLRLELTPIPLTSRLP >DRNTG_34844.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28940756:28946724:-1 gene:DRNTG_34844 transcript:DRNTG_34844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFCCAPPVPVSPAAPSPPLPPMPPPPAADPIPRDPHITKQLQPNALRWSSSDHGRQAPRVEFSAPLRASFHEGLLPPGGQVKLNDVVGSGISGILYKWVNYGKGWRPRWFVLQDGVLSYYKIHGPDRIEVNKETEKGSKVIGDESIRRIGRHRKHHCSHLRRKPIGEVHLKVSSIKESRSDDKRFSIFTGTKTLHLRAETREDRGSWMEALLAVKEMFPRMSNSELMAPVDGLVVSTEKLRQRLQQEGVSEAAIQDSEQIMRAEFAALQNQLVALKQKQSLLVDTLRQLETEKVDLENTLVDESQKQAKDDESASRSRQEKSSEASGSGSESDEDNERHDAAEEETDEEEKCIFDTRDFSLIKFF >DRNTG_34844.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28940756:28946724:-1 gene:DRNTG_34844 transcript:DRNTG_34844.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFCCAPPVPVSPAAPSPPLPPMPPPPAADPIPRDPHITKQLQPNALRWSSSDHGRQAPRVEFSAPLRASFHEGLLPPGGQVKLNDVVGSGISGILYKWVNYGKGWRPRWFVLQDGVLSYYKIHGPDRIEVNKETEKGSKVIGDESIRRIGRHRKHHCSHLRRKPIGEVHLKVSSIKESRSDDKRFSIFTGTKTLHLRAETREDRGSWMEALLAVKEMFPRMSNSELMAPVDGLVVSTEKLRQRLQQEGVSEAAIQDSEQIMRAEFAALQNQLVALKQKQSLLVDTLRQLEKQVEVEVNLMKTTKDTMLLRKKQMRKKNAFLIHEIFLSSSSFKSSGSDFLRSEIDSDDDELYAGSFDGTDSVKHVGSNYPYVREA >DRNTG_14867.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2855984:2857437:1 gene:DRNTG_14867 transcript:DRNTG_14867.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSDALDLITSSGSPTSPVVAYAQQQNNCFHEEYSALASDVDPHIPWATEALGCLPEAVNLWIGNGRSETSFHKDHYENIYAVITGEKHFLLLPPTELHRLYVRPYPAASYSSLSPITDGLRLELEQPERLVPWCSVDPYPPTNEAMDEQMSAFPLYFQGPKPFQCTIKAGEILYLPSMWFHHVSQTPDHNGRTIAVNYWYDMQFDIKYAYFNFLCSIEYPPTSEEMKNHDAHSPASDP >DRNTG_20599.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16051609:16056073:-1 gene:DRNTG_20599 transcript:DRNTG_20599.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMRFSLSQYGHYYLSWSAFLSTPIGLNDELIEPVYRQYRGQFELVYNHQHFGVGRKNEDIIMGSVSLRLGGVEVPPELFMELGATIKSYTTSTRSTNATTSAIEEFSKVNAQLAQHAGQFNEIGSILRNLHASVQSLEHQVGQLAKANSERPSGSFPSNTEENPRECLKAIALRSWTQEVLSLNPLDEYDKVPLHISRKCTDLSNGGSSLRPFTLLWPQRTRGTVVESITSEGKRDAHVPLDSPSQPSPA >DRNTG_05010.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9496876:9498478:1 gene:DRNTG_05010 transcript:DRNTG_05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDSLLPTNTTYATLIDIYSKAGLPSLALAWLKHMRSRGLFPDEVTINTSVRVLKDSRQFAAAEMLFSQWCSGKIDLDSLGLDFGELGLSSISPKQFLLTELFKTGGRVPVSSMIGSEEEGGGCQKPRRAATYNTLIDLYGKAGKLDKASEVFAEMLTNGVAPDVITFNTMIGVCGTNGRLGEAEALLGEMEQRGVRPDAKTFNVFMSVYAATGNVEKVLKYYRKISLAGLRPDVVTHRIILQVLCERRMVNDAENVIDEMLDSGFQVDEQSLPVVMKMYIDEGLLDEANMFFEKHCSGREISSKNYAAIMDAYAEKGLWKEAEVVFFRKRDAEHKKEVVEYNVMVKAYGKAKAFMIRLFLCLRA >DRNTG_31746.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21667834:21673383:1 gene:DRNTG_31746 transcript:DRNTG_31746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPATILVTGGAGYIGSHTVLQLLLGGFRAVVLDNLDNSSDLAINRVADLAGDFGKNLAFHKVDIRDKDALEKVFSSTKFDAVIHFAGLKAVGESVQKPMLYYNNNIAGTIVLLEVMAAHGCKKLVFSSSATVYGWPKEVPCTEEFPLCALNPYGRTKLFIEEICRDLHQSDPDWNIILLRYFNPVGAHPSGFIGEDPRGIPNNLMPFVQQVAVERRPVLTVYGNDYSTKDGTGVRDYIHVVDLADGHIAAVKKLFEGPAIGCEVYNLGTGKGTSVLEMVAAFEKASGKKIPLVMAGRRPGDAEIVYASTSKAEQELNWKAKYGIDEMCKDQWNWASKNPWGYGSPDSTN >DRNTG_34374.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21683185:21684553:-1 gene:DRNTG_34374 transcript:DRNTG_34374.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyllase-1 [Source:Projected from Arabidopsis thaliana (AT1G19670) UniProtKB/Swiss-Prot;Acc:O22527] MESKKNVFEMGKLKAQEITIKKGCSSPPKSLLIVTPSESGKYPVVLFLHGFLLSNNYYSLLLNHISSHGFILVAPQLSVILPCSTRDITGAAKVTDWLAGNLQALLPTGVEANLQELALAAFSLILGCTKTTLKFSALIGVDPVAGPFKGYQIPPRILTGKPSSMELGIPAMVIGTGLGEKKKMFFPACAPEGVNHKEFYYECKPPCYHVVVKDYGHLDMLDDDAPKVTKCVCTNGVNCKDLMRRSTGGIMVAFLKAYLMEDNESLEAIFDGSLVAPAELSPVERRLE >DRNTG_34374.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21683185:21684553:-1 gene:DRNTG_34374 transcript:DRNTG_34374.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyllase-1 [Source:Projected from Arabidopsis thaliana (AT1G19670) UniProtKB/Swiss-Prot;Acc:O22527] MESKKNVFEMGKLKAQEITIKKGCSSPPKSLLIVTPSESGKYPVVLFLHGFLLSNNYYSLLLNHISSHGFILVAPQLSVILPCSTRDITGAAKVTDWLAGNLQALLPTGVEANLQELALAGHSRGGHAAFSLILGCTKTTLKFSALIGVDPVAGPFKGYQIPPRILTGKPSSMELGIPAMVIGTGLGEKKKMFFPACAPEGVNHKEFYYECKPPCYHVVVKDYGHLDMLDDDAPKVTKCVCTNGVNCKDLMRRSTGGIMVAFLKAYLMEDNESLEAIFDGSLVAPAELSPVERRLE >DRNTG_20309.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5568947:5574519:1 gene:DRNTG_20309 transcript:DRNTG_20309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGIMIPARHMPPMIGRNGGTGFASSSALSLGQLQPNMLEGQQLPLQHQLEMAQTTGESEMIRVREEDFESKSGSENIECASGDDQDPNNRPKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRTENEKLRAENLRYKEALSNASCPNCGGPAALGEMSFDEHHLRIENARLREEIDRISGIAAKYVGKPMVSYPLLSPPIPSRSGLDLGVGGGFGVQPGIGGEMFGGGELLRSVSGQPEIEKPMVIELAVAAMEELIRMAQLGEPLWTPAGLDNSMETLNEEEYVRTFPRGIGPKPFGLKSEASRETAVVIMNHINLVEILMDVNQWSTVFSGIVSRAVTLEVLSTGVAGNYNGALQVMSAEFQVPSPLVPTRESLFVRYCKQHSDGTWAVVDVSLDSLRPSPVLRCRRRPSGCLIQEMPNGYSKVIWVEHVEVDDRSVHNIYRSLVNSGLAFGAKRWVATLDRQCERLASVMASNIPSGDIGVITTPEGRKSMLKLAERMVISFCGGVTASTTHQWTTLSGSSAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPKRVFDFLRDESSRSEWDILSNGGVVQEMAHIANGRDHGNCVSLLRVNSANSNQSNMLILQESCTDSTGSYVIYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPHGVEAGGIGEVGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVSGETGGQ >DRNTG_20309.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5568947:5574519:1 gene:DRNTG_20309 transcript:DRNTG_20309.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGIMIPARHMPPMIGRNGGTGFASSSALSLGQPNMLEGQQLPLQHQLEMAQTTGESEMIRVREEDFESKSGSENIECASGDDQDPNNRPKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRTENEKLRAENLRYKEALSNASCPNCGGPAALGEMSFDEHHLRIENARLREEIDRISGIAAKYVGKPMVSYPLLSPPIPSRSGLDLGVGGGFGVQPGIGGEMFGGGELLRSVSGQPEIEKPMVIELAVAAMEELIRMAQLGEPLWTPAGLDNSMETLNEEEYVRTFPRGIGPKPFGLKSEASRETAVVIMNHINLVEILMDVNQWSTVFSGIVSRAVTLEVLSTGVAGNYNGALQVMSAEFQVPSPLVPTRESLFVRYCKQHSDGTWAVVDVSLDSLRPSPVLRCRRRPSGCLIQEMPNGYSKVIWVEHVEVDDRSVHNIYRSLVNSGLAFGAKRWVATLDRQCERLASVMASNIPSGDIGVITTPEGRKSMLKLAERMVISFCGGVTASTTHQWTTLSGSSAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPKRVFDFLRDESSRSEWDILSNGGVVQEMAHIANGRDHGNCVSLLRVNSANSNQSNMLILQESCTDSTGSYVIYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPHGVEAGGIGEVGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVSGETGGQ >DRNTG_09843.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000415.1:12935:13717:1 gene:DRNTG_09843 transcript:DRNTG_09843.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFAEPQTISVAILIALLLLPTLYAFKLILRPSFAKKWGRRNYPPVAGTIFHQFMNLNRLQDFQTDISHKYKTFRMLTPSCNFVYTVDPVNVEYILKTNFANYGKGKAFHDVTKDLLGDGIFAVDGEKWRQQRKIASYEFSARVLRDYSSAVFRDTAAKLVEIILVAVNSEQMIDIQ >DRNTG_09843.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000415.1:12935:13927:1 gene:DRNTG_09843 transcript:DRNTG_09843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFAEPQTISVAILIALLLLPTLYAFKLILRPSFAKKWGRRNYPPVAGTIFHQFMNLNRLQDFQTDISHKYKTFRMLTPSCNFVYTVDPVNVEYILKTNFANYGKGKAFHDVTKDLLGDGIFAVDGEKWRQQRKIASYEFSARVLRDYSSAVFRDTAAKLVEIILVAVNSEQMIDIQDLLMKSTLDSIFKVGFGVELGTLFRFQQGREKLCKGI >DRNTG_10090.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000422.1:20393:21285:-1 gene:DRNTG_10090 transcript:DRNTG_10090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPFAGGVFLVSIHFPPDYPFKPPKVWPFLTLYNLVIGYFLILYDS >DRNTG_10090.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000422.1:18748:21285:-1 gene:DRNTG_10090 transcript:DRNTG_10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPFAGGVFLVSIHFPPDYPFKPPKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >DRNTG_22975.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16170766:16183901:-1 gene:DRNTG_22975 transcript:DRNTG_22975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSGKQGVDLVEKCQNLVSSMESPVASAENVKKAMRSSKPIGVEPVAKRSGATSSLRKSSDSLKTTDSRATRPGSTLTKPTVSSINAQRRNSTGSALEKKPAIASKQFDNGSSTDERKVSRSNSDLSRKSIAETRRASLPSVSSKTKVSDTHPENKKSSPVPRLLQMSESGKLDSVKKPSVRPSLLGSTSKKAPSSPLDNSTGRSSSLKRVTSNISSPSTRSPRTISSLKSGSMSSSMDRGSSLSGRRKSSTPDGRDSRFMMLPQVDIKASDELRLDRRGHKVRTLTALNLSSNLEFVYLRDNLLSSIEGIELLKRVKVLDLSFNDFKGPGFEPLENCKALQQLYLAGNQITSLASLPQLPNLEFLSIAQNKLKSLSMASQPRLQHLRVEENAILEMSHLEAASILLVGPTLKKFNDRDLSPKEVEIAKLYPPHTALCIRNGWEFTQPELAADSTFCFLAEQWKDQFPPGYMLQEASIDQPFEEDACHCHFSFVNSNGDSDLKLKYQWFIGDRTATNFVAITDALGEVYWPKHGDIEKCLKVECTPILGEMEYSSIFALSSPVSPGTGYPKVLSLSVQGELVEGNVIKGVAEVAWCGGTPGKGVASWLRRRWNSSPVAVVGAEDEEYTLTADDIDSSLVFMYTPVTEEGARGEPQYAMTDFIKAATPSVSNVQILGD >DRNTG_02798.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1067931:1069891:-1 gene:DRNTG_02798 transcript:DRNTG_02798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNRLLWDGPSLVAGRILQLSLWKESFQPTFERFSTVAVWVQIYHLPMEL >DRNTG_30055.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18653348:18654721:-1 gene:DRNTG_30055 transcript:DRNTG_30055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSKRVEATVVADVYRPPPTSVSLFDVSKIEEPWMITTKVTNEDEDDIKSQDKKSQTLVPLSILDKLDSVELAPKSWLEVSKALEELKPTLNTQKLEVKNQQVIKKASNPNNNNNSLTTVLKKAESHEESLTSIGYYRPVKDNSFIVRDREEREKKKGGDQGEKKWRPRDPFEGYEEKKVPGNERGVVLYTTTLRGVRRTFEDCETARRVVEGIAVDAGVEVDERDVSLHGEYLKEVKEMVGEEVVVPRLFIMGRYIGGVEEVVQLGDVGKLREMMKWVVRRGEGGGKGGRRDCEGCGGARFVPCLECKGSCKVVVVVEEEEEKEKVVRCGECNENGLMLCPLCH >DRNTG_10453.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1854909:1858054:-1 gene:DRNTG_10453 transcript:DRNTG_10453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVFGDWPSYDPLNFSQLRPSDPSQPSKLRLVTYRPTHNRVMPPPDQVISTEETNILLRQFYQKADEKLRPKRAATDNLMPEHACKQARGAFAENASN >DRNTG_33905.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15181902:15182355:-1 gene:DRNTG_33905 transcript:DRNTG_33905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMGMIRKYPGGVYVLNMSPPEPLTKAASPVTEDQPPVRMFSPSRAQDRFERLESDVGLIRTEVAEARVKITDTMAYFDTLQQILELDIGSSFVLQQRTPQAPWFLRHLHHLFQHQMTHRVLHHQ >DRNTG_28569.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:10451810:10452203:1 gene:DRNTG_28569 transcript:DRNTG_28569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNYEDEETQDPTMLAEENHDDITPEIEKNKRRRGPNKEIPAPANPNERMLVNVLHDEKFVDPKIVRTITKCIQLHFNDAWPTWKKVPEAIKDEMWAKFE >DRNTG_31566.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001723.1:18327:19372:-1 gene:DRNTG_31566 transcript:DRNTG_31566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVGNRSQLNSRNHPNTRNYMNPRKLKRVD >DRNTG_15875.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:10228511:10230607:-1 gene:DRNTG_15875 transcript:DRNTG_15875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGERYDNLQSIARDAPAPVTSAPNVLPRPFPPSIANAYAGPSSSSAFTPCASGGVTLNGEPTMHARDTPTSSMDCLPLAIDEARRPLIKLVNRIALICSLRKGKKSMHVSDSARKTLFEAWNSPEFKTWCEKATANRLTEIARPGYGITRHTRGSISHASHADRLRSRLGRDPCPVELFEVTHTKKGTSMLVNARGQFIKRLQQTLSQVQDNNKELQQSFLEIKEEMDQYRVEMMRQMKDMMMNFEKRILQQSQFTTQDSQPFTDDHDVDI >DRNTG_01215.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1274918:1275507:-1 gene:DRNTG_01215 transcript:DRNTG_01215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKMEMVVLLFLVMMIMKSHLVVSRVVKIDEMNANKEDVKVDQSVVGYPSGSNTDNHHSIPRDQFNNWPTTPGNEPAGGDGNGKN >DRNTG_01215.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1274918:1275400:-1 gene:DRNTG_01215 transcript:DRNTG_01215.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKMEMVVLLFLVMMIMKSHLVVSRVVKIDEMNANKEDVKVDQSVVGYPSGSNTDNHHSIPRDQFNNWPTTPGNEPAGGDGNGKN >DRNTG_30969.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8513522:8544691:-1 gene:DRNTG_30969 transcript:DRNTG_30969.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAIETAEALAYLHAIDPLIIHRDVKTNNILVDNNFHAKVADFGLSKLCPTDATHISTAPQGTPGYLDPEYHQCFQLTNKSDVYSFGVVLVELISSKLAVDLKRQTSEINLASMAIHKIENCELEQLVDPFLGYQSNMVVKMAISQMAQLAFICLQGEKEMRPTMKEVLEMLKDIESKMQIHQNTETLSPNSVVDNWPSRGSTP >DRNTG_20871.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:263078:263919:1 gene:DRNTG_20871 transcript:DRNTG_20871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRTKSIFHLEEEEEQQQEQGDDQAIREVQEGLVGLRILIQHQGRKVPKNIVIKPTKFSTDLGFLKACFFCKKELSLQKDVYIGDQGFCSVECRYKQMVVDEKIEFEEATKERLKMAKSHHHHHHHHNHHHHHHHHVHHGIAKMRESADGRRRRRVLASAAC >DRNTG_09742.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1720184:1722030:-1 gene:DRNTG_09742 transcript:DRNTG_09742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLRRCLELEKGSSKSIITGHIDHYQSLKSEDRGWGCGWRNIQMLSSHLLMQNQEVRDVMFGGCGFVPDIPSLQRWLEIAWRKGFDSDGSETFCNKIYGTTKWIGTTECAALLRSFGLRARVV >DRNTG_09742.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1720184:1721085:-1 gene:DRNTG_09742 transcript:DRNTG_09742.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLRRCLELEKGSSKSIITGHIDHYQSLKSEDRGWGCGWRNIQMLSSHLLMQNQEVRDVMFGGCGFVPDIPSLQRWLEIAWRKGFDSDGSETFCNKIYGTTKWIGTTECAALLRSFGLRARVV >DRNTG_28832.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001448.1:63407:63823:1 gene:DRNTG_28832 transcript:DRNTG_28832.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNLNFYFFLTILAPPSSHLAPPLHLPRHR >DRNTG_13838.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16881292:16884424:1 gene:DRNTG_13838 transcript:DRNTG_13838.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IMP4 [Source:Projected from Arabidopsis thaliana (AT1G63780) UniProtKB/TrEMBL;Acc:A0A178WDJ3] MLRRNIRKRREYLYRKSLEGKEREYYEKKRKIREALAEGKPIPTELRNEEASLRQEIDLEDDHTAEPRSVIDDEYADATVRDPKILLTTSRNPSAPLVQFVKELKFVFPNSTRINRGGQVISEIIETCRSHEFTDVILVHEHRGKPDGLVISHLPFGPTAYFGLLNVVTRHDIKDKKSMGTMPEVYPHLILENFSSKLGERTGNILKHLFPVPKPDSKRIITFANQSDYISFRHHLCEKRGGGPKSVEITEIGPRFEMRLYKITLGTVERSESPD >DRNTG_31804.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:586268:589951:1 gene:DRNTG_31804 transcript:DRNTG_31804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFESFWQYLITNFSDFQLATIATFIVHESVFFLSGLPSIVFERLGLFSKYKIQKKINSPGSHERCILRLILYHTCVNLPVMIVSYPTFKYMGLKTSLPLPPWSVVLPQILFYFILEDFVFYWGHRVLHTKWLYKHVHCIHHEYATPFGLTSEYAHPAEILFLGFATILGPALTGPHLFTLWLWMILRVLETVEAHSGYHFPWSPSNFLPLYGGADFHDYHHRLLYTKSGNYASTFVYMDWLFGTDQGYRRLKALEKERKESEQN >DRNTG_10934.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:93011:98678:1 gene:DRNTG_10934 transcript:DRNTG_10934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWIKTLLFGKKTARSQSSKGRDVLKAGSVAKEASLALNSPVISEPVLISTDRRGITEEIGKGVPSNVANDGVVVTAPHQDTDIPGVLAPSAVNDPERLKEEQAAIKAQAAFRGYLARRAFRALKGIIRLQALVRGHLVRRQAIATLRAIQAIVKLQAHVRGRNARHANIGFEVNAKYCQAKDVVAKSDGAWKEKLMTNVFVCKLLSPTSSATPLQIQYSQGEPNSTLIWLERWTLYAIWKPVSRPKKTLDSKPQAKKGNYAMETESGRPKRVSRKSSNATIESAPASDLEKPKRNPRKVSSSAVDSVQEHPQSELEKVKRNLRKVSNLAAEASDRLDETEKPARNVRKASTTPEILEQTVEESSQKPKKDISPVSEPQPDIEITQKHVTEEAPVDAATDSSPAVEVNQHHSDDKDVDFSEMNNDISAQDEQTCGENHKGSKRRASLSAKLEHAENGSPNAPTLPSYMASTESAKAKLRGQSSPRFSSESVEKNGFTRRHSLPSSTNGKLSSHSPRTQRLVQAGAKGCIKNDKSLLSSRDAHDRPIQVEWRR >DRNTG_03489.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:796684:801837:1 gene:DRNTG_03489 transcript:DRNTG_03489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDTDINMVPAGEGSSGAGPSSSVAKKPKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >DRNTG_01639.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18816043:18816263:1 gene:DRNTG_01639 transcript:DRNTG_01639.5 gene_biotype:protein_coding transcript_biotype:protein_coding RYSGCVPLKLSCPSCSSSFDCPPISSLLSTSSNGSQSKTQTENEPINFWRTMRCPRCPGDVDCRLSPAMIANQ >DRNTG_01639.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18811660:18816733:1 gene:DRNTG_01639 transcript:DRNTG_01639.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWAFQIQDFYAKPLAELITRKGREILQSTVDVVQNHLNLEVIYGDTDSIMIYTGLDDINKAKTIARKVIQEVNKKYNCLEIDLDCLYKRMLLLKKKKYAAVKVKNGIPNEETDCKGVDMVRRDWSDLSKDTGKFCLKRILSEMSCEDVVDSIHSHLMKVQGQMRNGDIKIEKYVIRKTLTKPPEDYPDAKNQPHVQVALRLKQNGYPGCSAGDTVPYVICCQQESNSGSSAGIAQRARHPDEFKRDGGNWMIDINYYLSQQIHPVVSRSCAFIQGTSPARLADCLGLDSSKYQYNASESAGKGPTALLSVFYDGERYSGCVPLKLSCPSCSSSFDCPPISSLLSTSSNGSQSKTQTENEPINFWRTMRCPRCPGDVDCRLSPAMIANQVKRQADGFTSLYYRGLMICDDELCKHTTRSINLRVIGDAERGTVCPNYPCCNGHLVRQYTEADLYK >DRNTG_01639.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18815032:18816733:1 gene:DRNTG_01639 transcript:DRNTG_01639.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGDIKIEKYVIRKTLTKPPEDYPDAKNQPHVQVALRLKQNGYPGCSAGDTVPYVICCQQESNSGSSAGIAQRARHPDEFKRDGGNWMIDINYYLSQQIHPVVSRSCAFIQGTSPARLADCLGLDSSKYQYNASESAGKGPTALLSVFYDGERYSGCVPLKLSCPSCSSSFDCPPISSLLSTSSNGSQSKTQTENEPINFWRTMRCPRCPGDVDCRLSPAMIANQVKRQADGFTSLYYRGLMICDDELCKHTTRSINLRVIGDAERGTVCPNYPCCNGHLVRQYTEADLYK >DRNTG_01639.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18815871:18816733:1 gene:DRNTG_01639 transcript:DRNTG_01639.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRCPRCPGDVDCRLSPAMIANQVKRQADGFTSLYYRGLMICDDELCKHTTRSINLRVIGDAERGTVCPNYPCCNGHLVRQYTEADLYK >DRNTG_01639.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18815392:18816263:1 gene:DRNTG_01639 transcript:DRNTG_01639.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQPSYRTRKKLFADVRHYLFLVLFFFYYFLDQESNSGSSAGIAQRARHPDEFKRDGGNWMIDINYYLSQQIHPVVSRSCAFIQGTSPARLADCLGLDSSKYQYNASESAGKGPTALLSVFYDGERYSGCVPLKLSCPSCSSSFDCPPISSLLSTSSNGSQSKTQTENEPINFWRTMRCPRCPGDVDCRLSPAMIANQ >DRNTG_29013.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22267495:22272060:1 gene:DRNTG_29013 transcript:DRNTG_29013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKSGEQSHASHQDENLFRQTQNLPLLEENSTYRQKILAIADQLQRLKNQLEHDKLQFPSFSWVHQQHQGETSSYVGQNQSIISENPLCSKQVAHQGQQQFINQCVSNAKRDDNCLMQMEPIHSISDKGLQLSQPILNKCTSTMVDNAASGNQLLELIAIINCLVSYRIKKVGTTGSSAYQPIEMLNDVVTSCAIDLKEEEEKLLCANIEGQFMEATERLEKEEEISILEKDLLQKNYLKSCVEERLRKLMSNLIRLSKQRVDIEKSRHQCAVTSDVRNYILSKNRKAKKDYANEQDEAVEKIPELSDTVKNTGKNVQISEVQDSSVAHLSPFLLILFQYLPAKSS >DRNTG_03556.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21629394:21630875:1 gene:DRNTG_03556 transcript:DRNTG_03556.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRIHTLVIVLIVSAVSPAVSRPRFREAPQFYNSAGCPPPLPPSPAAECHREALVHVAMTLDVTYLRGSMAAIHSVLQHSSCPQSIQFHFVASSRIPFLNSTLGNAFPMLAFKIYDFDDRLVAGRISTSIRAALDRPLNYARSYLAGILPSCAGRVVYLDSDLILVDDIARLAGTPSPFRRRPRRAGVLQR >DRNTG_03556.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21623677:21630715:1 gene:DRNTG_03556 transcript:DRNTG_03556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRIHTLVIVLIVSAVSPAVSRPRFREAPQFYNSAGCPPPLPPSPAAECHREALVHVAMTLDVTYLRGSMAAIHSVLQHSSCPQSIQFHFVASSRIPFLNSTLGNAFPMLAFKIYDFDDRLVAGRISTSIRAALDRPLNYARSYLAGILPSCAGRVVYLDSDLILVDDIARLAGTPSPFRRRPRRAGVLQR >DRNTG_03556.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21628874:21630715:1 gene:DRNTG_03556 transcript:DRNTG_03556.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRIHTLVIVLIVSAVSPAVSRPRFREAPQFYNSAGCPPPLPPSPAAECHREALVHVAMTLDVTYLRGSMAAIHSVLQHSSCPQSIQFHFVASSRIPFLNSTLGNAFPMLAFKIYDFDDRLVAGRISTSIRAALDRPLNYARSYLAGILPSCAGRVVYLDSDLILVDDIARLAGTPSPFRRRPRRAGVLQR >DRNTG_03556.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21629475:21630715:1 gene:DRNTG_03556 transcript:DRNTG_03556.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRIHTLVIVLIVSAVSPAVSRPRFREAPQFYNSAGCPPPLPPSPAAECHREALVHVAMTLDVTYLRGSMAAIHSVLQHSSCPQSIQFHFVASSRIPFLNSTLGNAFPMLAFKIYDFDDRLVAGRISTSIRAALDRPLNYARSYLAGILPSCAGRVVYLDSDLILVDDIARLAGTPSPFRRRPRRAGVLQR >DRNTG_03556.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21628874:21630715:1 gene:DRNTG_03556 transcript:DRNTG_03556.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRIHTLVIVLIVSAVSPAVSRPRFREAPQFYNSAGCPPPLPPSPAAECHREALVHVAMTLDVTYLRGSMAAIHSVLQHSSCPQSIQFHFVASSRIPFLNSTLGNAFPMLAFKIYDFDDRLVAGRISTSIRAALDRPLNYARSYLAGILPSCAGRVVYLDSDLILVDDIARLAGTPSPFRRRPRRAGVLQR >DRNTG_03556.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21629341:21630715:1 gene:DRNTG_03556 transcript:DRNTG_03556.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRIHTLVIVLIVSAVSPAVSRPRFREAPQFYNSAGCPPPLPPSPAAECHREALVHVAMTLDVTYLRGSMAAIHSVLQHSSCPQSIQFHFVASSRIPFLNSTLGNAFPMLAFKIYDFDDRLVAGRISTSIRAALDRPLNYARSYLAGILPSCAGRVVYLDSDLILVDDIARLAGTPSPFRRRPRRAGVLQR >DRNTG_03556.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21623677:21630715:1 gene:DRNTG_03556 transcript:DRNTG_03556.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRIHTLVIVLIVSAVSPAVSRPRFREAPQFYNSAGCPPPLPPSPAAECHREALVHVAMTLDVTYLRGSMAAIHSVLQHSSCPQSIQFHFVASSRIPFLNSTLGNAFPMLAFKIYDFDDRLVAGRISTSIRAALDRPLNYARSYLAGILPSCAGRVVYLDSDLILVDDIARLAGTPSPFRRRPRRAGVLQR >DRNTG_10110.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20826848:20828778:-1 gene:DRNTG_10110 transcript:DRNTG_10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLKTMALGDGVASNSGKFKPEKPLHHHHRAAHLHRWHQQHCIAVWGLLAIALLIAFFAFSPRPSTPSRRHLSSPHSSWGGPLWEKRVQASARVRLTSSSSRRAISVLVTGAAGFVGSHCSIALKRRGDGVLGLDNFNDYYETSLKRARQALLDRAGVFVVEGDINDANLLRKLFDVAPFTHVLHLAAQAGVRYALRDPASYVRSNVAGLVSILEAARSASPQPAIVWASSSSVYGLNSKVPFSESDRTDSPASLYAATKKAGEEIVHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFTKDILRGKPISIYEGPDHSTVARDFTYIDDIVKGCLASLDTSQKSTGSGGKKRGPAQLRIFNLGNTSPVPVGELVSILERLLKVKANRKVVKMPRNGDVQFTHANISLAQRELGYHPVTDLQTGLKRFVKWYLDYYSPHSLVVKKVSSIGGLSS >DRNTG_32175.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30876245:30878859:1 gene:DRNTG_32175 transcript:DRNTG_32175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQHRVVKTPGGKLVYQSTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLSRNRRTVNRAYGGVLSGNAVRERIIRAFLVEEQKIVKKVLKIQKAKEKLASKS >DRNTG_31408.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001699.1:43960:45792:-1 gene:DRNTG_31408 transcript:DRNTG_31408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERHHDNSLFGSPKKMRHSPTEAKKKFYKSHDDYVSLLLQQVLRELGEFHQRLDDRDARGNNPHEEFIRRRLSWTHVPWNTKKSDPMEEIHALEVDTPSPNVEIAVTEADVISLAMGKFAINVTAKIPPRRAPVKRASPKRALSKRARNSLKQTTSQTNKKDSL >DRNTG_08935.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27330533:27334587:1 gene:DRNTG_08935 transcript:DRNTG_08935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSDAIEGSKDPDLIKLVEEQQVKVPEKKKPRAEQDVSDLLDMKMQKYLRGEAANLEVLQDKKLKTQLVIKEKLYGQSAKAAAKHEQWLMPNEEGFLEAEGFEKTWRITQQSLLNEVDANSSRKAFDMILPELGPYRMKYTSNGRYMLLGGRKGHLGLLDMLSFDIVKEFQVRETVRDVEFLHTEELFSVAQKKYLYIYNRQGTEIHCLKEHGTPLRLQFLDKHFLLASVNTFGQLHYQDVTTGEIVANLRTGLGRTDVMRMNPYNSIIGLGHSSGKLTMWKPTSAKPLITMFCNPGPVTAIAFHSNGHLMATAGMGCRIKLWDIRKFEVLHSYFGHASTLDFSQKGLLAVGNRTHVNVWRDYKGDQRYGRYMTHEMVKGYQVNNLVFRPYEDVLGIGHSMGISSILIPGSGEPNFDSWVANPYETKKQRREKEVHSLLDKLPPETIMLDPKEIGTVKQPRKKEKKSKKEMEEEMEAEVETAKAIKLKKKTKGRNKPSKRAKKKEEEVLKAKRPFVEQRLKEEQPFKKQKMIAEGEAELPVSLQRFARKQAA >DRNTG_08935.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27333110:27334587:1 gene:DRNTG_08935 transcript:DRNTG_08935.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRMNPYNSIIGLGHSSGKLTMWKPTSAKPLITMFCNPGPVTAIAFHSNGHLMATAGMGCRIKLWDIRKFEVLHSYFGHASTLDFSQKGLLAVGNRTHVNVWRDYKGDQRYGRYMTHEMVKGYQVNNLVFRPYEDVLGIGHSMGISSILIPGSGEPNFDSWVANPYETKKQRREKEVHSLLDKLPPETIMLDPKEIGTVKQPRKKEKKSKKEMEEEMEAEVETAKAIKLKKKTKGRNKPSKRAKKKEEEVLKAKRPFVEQRLKEEQPFKKQKMIAEGEAELPVSLQRFARKQAA >DRNTG_08935.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27331935:27334587:1 gene:DRNTG_08935 transcript:DRNTG_08935.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNEEGFLEAEGFEKTWRITQQSLLNEVDANSSRKAFDMILPELGPYRMKYTSNGRYMLLGGRKGHLGLLDMLSFDIVKEFQVRETVRDVEFLHTEELFSVAQKKYLYIYNRQGTEIHCLKEHGTPLRLQFLDKHFLLASVNTFGQLHYQDVTTGEIVANLRTGLGRTDVMRMNPYNSIIGLGHSSGKLTMWKPTSAKPLITMFCNPGPVTAIAFHSNGHLMATAGMGCRIKLWDIRKFEVLHSYFGHASTLDFSQKGLLAVGNRTHVNVWRDYKGDQRYGRYMTHEMVKGYQVNNLVFRPYEDVLGIGHSMGISSILIPGSGEPNFDSWVANPYETKKQRREKEVHSLLDKLPPETIMLDPKEIGTVKQPRKKEKKSKKEMEEEMEAEVETAKAIKLKKKTKGRNKPSKRAKKKEEEVLKAKRPFVEQRLKEEQPFKKQKMIAEGEAELPVSLQRFARKQAA >DRNTG_02714.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19557831:19559358:-1 gene:DRNTG_02714 transcript:DRNTG_02714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKGKGGQNSGKGKQHMQQQQQQQQQRTPVFKESSSALRPLKKIKSPERHSGAGTGTSSVRPPFFPFAYESNQPLFNPHQQMISFNNSTQLPMTPSQQQQLLRYWSEALNLSPRGQTMMMNRLSGRQGPSLYSSLFRPPIVPPTPTKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAAMAYDREAFRLRGENARLNFPHLFPSTANTVPSSTTSSVPVPSSSPPLIEQEQTETDEAQPCQTPTAAVAAEVTGQVSATPASSSQELVWGEAEEAWFSTWGPGSSVWDDLDEANSLFLQSRNTSSSSSSSPSSSTFMWKDP >DRNTG_18345.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1574198:1574985:1 gene:DRNTG_18345 transcript:DRNTG_18345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVCQNSSLQTPSERYREGGNGDHACQ >DRNTG_21121.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2580173:2581501:-1 gene:DRNTG_21121 transcript:DRNTG_21121.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATCCQSCPSPSPTAPTAVKSWSDGRDQHENVINRYIY >DRNTG_22845.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2526824:2529938:1 gene:DRNTG_22845 transcript:DRNTG_22845.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLMP1 [Source:Projected from Arabidopsis thaliana (AT1G62390) UniProtKB/Swiss-Prot;Acc:O48802] MTRFPASKHVLIKYKDDDGDLVTITSTAELRLAESSVDRMGSEEGGGGGAKGVSRAEVDEGNVLRVLRLHIVEVSPEQEPAVPEEEEKLVEDEGLIKVDDGSSNSPSEDGKALEVGDVDSVKKEMDEEVVKEKIGKKECNHAECKEVEIDDWLFEFAQLFRTQVGIDPDAHLDLHELGMELCAEALEETVTSEDAQALFVMAAAKFQEVAALAFFNWGNVHMCAARKRIPLDETTSKDAIAAQLHGAYDWVRERYELAGQKYEEALRIKPDFYEGLLALGQQHFESAKLQWSFALANKVDFTTWDSSGTIQLFDSAEEKMKAATEMWEKVEAQRTAELKSPGTSKMEELLKKRRKHAVGVDVQEEVSPEEAAEQAAVMRSQIHLFWGNMLFERSQVEFKLGCGDWKKTLDTAVERFKLAGASEVDISVVLKNHSSNLMGMENNEAHVVNLKMDSSETDSKLENKLVVES >DRNTG_22845.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2526824:2529726:1 gene:DRNTG_22845 transcript:DRNTG_22845.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLMP1 [Source:Projected from Arabidopsis thaliana (AT1G62390) UniProtKB/Swiss-Prot;Acc:O48802] MTRFPASKHVLIKYKDDDGDLVTITSTAELRLAESSVDRMGSEEGGGGGAKGVSRAEVDEGNVLRVLRLHIVEVSPEQEPAVPEEEEKLVEDEGLIKVDDGSSNSPSEDGKALEVGDVDSVKKEMDEEVVKEKIGKKECNHAECKEVEIDDWLFEFAQLFRTQVGIDPDAHLDLHELGMELCAEALEETVTSEDAQALFVMAAAKFQEVAALAFFNWGNVHMCAARKRIPLDETTSKDAIAAQLHGAYDWVRERYELAGQKYEEALRIKPDFYEGLLALGQQHFESAKLQWSFALANKVDFTTWDSSGTIQLFDSAEEKMKAATEMWEKVEAQRTAELKSPGTSKMEELLKKRRKHAVGVDVQEEVSPEEAAEQAAVMRSQIHLFWGNMLFERSQVEFKLGCGDWKKTLDTAVERFKLAGASEVDISVVLKNHSSNLMGMENNEAHVVNLKMDSSETDSKLENKLVVES >DRNTG_22845.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2526824:2529686:1 gene:DRNTG_22845 transcript:DRNTG_22845.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLMP1 [Source:Projected from Arabidopsis thaliana (AT1G62390) UniProtKB/Swiss-Prot;Acc:O48802] MTRFPASKHVLIKYKDDDGDLVTITSTAELRLAESSVDRMGSEEGGGGGAKGVSRAEVDEGNVLRVLRLHIVEVSPEQEPAVPEEEEKLVEDEGLIKVDDGSSNSPSEDGKALEVGDVDSVKKEMDEEVVKEKIGKKECNHAECKEVEIDDWLFEFAQLFRTQVGIDPDAHLDLHELGMELCAEALEETVTSEDAQALFVMAAAKFQEVAALAFFNWGNVHMCAARKRIPLDETTSKDAIAAQLHGAYDWVRERYELAGQKYEEALRIKPDFYEGLLALGQQHFESAKLQWSFALANKVDFTTWDSSGTIQLFDSAEEKMKAATEMWEKVEAQRTAELKSPGTSKMEELLKKRRKHAVGVDVQEEVSPEEAAEQAAVMRSQIHLFWGNMLFERSQVEFKLGCGDWKKTLDTAVERFKLAGASEVDISVVLKNHSSNLMGMENNEAHVVNLKMDSSETDSKLENKLVVES >DRNTG_29171.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24322877:24326795:-1 gene:DRNTG_29171 transcript:DRNTG_29171.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGKIEGSSAPPRRRDLYEVLSVSRDSSDQEIKTAYRKLALKYHPDKNVGNPEASELFKEVAYSYSILSDPEKRRQYDTAGFEALEANGMDVEIDLSNLGTVNTMFAALFSKLGVPIKTTISATVLEEALNGTVTVRPLPLGTSVSGKVDKQCAHFYSVTINDEQAQAGIVVRATSSSQSKFKLLYFEQDASGGYDLAIQEESEKTGKVTSAGLYFLHFQVYKMDSSTNALAMAKDPEAAFFKRLEGLQPCEVSELKPGTHIFAVYGDNFFKTASYTIEALCARSFEDATEKLKEIEAQILRKRNDLREFEIEYRKALARFQEVTNRYSQEKQIVDELLKQRDSIHSSFTTEPTVTSVGNGGSTSGSCKAPDDENSIDSPTEDNTSDARDRSIKKRWFNLNLSKKG >DRNTG_26229.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:443525:444311:1 gene:DRNTG_26229 transcript:DRNTG_26229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVCRFYVWLFCSSLYPPFILPESQTLCCAFSDFREIIKMATEEEETILAVHSPTDDSDGEDDHLSARRMSQLSIETSEGEVADGELSDNDDVHESPSPVVVFGSLPVTPVRRRRGRRGKGRDGWVERQWERRRKREEGECRLLVRRNGRPGCITMDIDEVKACRELGIELQPLDWTVGFSGSALDTSSGGDSPVPNWRISNPG >DRNTG_18490.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:906973:911373:-1 gene:DRNTG_18490 transcript:DRNTG_18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSDAIVNNTVSEGTDEHALLQALQLVNSYILPMTFKTAFELNLFNIISAASPNPISATEITTLLPSSTPSTPIMLDRILRLLSSYSIFTCSLSTDPISGATTHLYAAAPAVKYLAQNEDGFTLSTLGLMNQDKVLMESWDYLKDAVLNGGIPFNMAHGMTSFEYHGTDPRFNKVFNEAMKNHSGIIMKRILEKYRGFDDVKVLVDVGGGVGNTLAQVVAKHKHINFDLPHVISEAPLIPGVEHVGGDMFNSIPKGDAILMKCVLHDWSDEDGLKILKNCWKTLPKNGKLISVEYIRPTTPDNTNITQYLSSLDMAMLAFNPGGKERTAQEFESMAKQIGFSSIKPKFSLTGLWLIELYK >DRNTG_12323.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4189641:4191646:1 gene:DRNTG_12323 transcript:DRNTG_12323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRRAAAGRPSGTDGSDFSYRMVVDPRYTKVAEGKSRLRILIAAQGVSLVLASLLVFLAASQERSFDNFAIITISIGFISLLIGEIGQRRSHVNLLRLYVSASSIATALSVACIIRSNLFFEVIQHQSIAGAKTYELVEAARILFGILLQVFVIITTISLVHNMSPKRAS >DRNTG_16934.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20507516:20513083:-1 gene:DRNTG_16934 transcript:DRNTG_16934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLRPDIKRGNIGPQEEDLIIRLHALLGNRWSLIARRLPGRTDNEIKNYWNCHLKKKLKEQGFAINESRLSKRRNAIKYSNKNSKSRNNKIDLKKKKDGVTCIEHETGTKIYIPKPTRFTSIMKSSDNYPGNRKNDDMNEGRLILEQGNYGSDNSNNTIMQHLNTLGFLEDDLLLDDEFAINDFSSLEKLFQEYLQALNSNEDQVHDTQHQSN >DRNTG_17732.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4116991:4118948:-1 gene:DRNTG_17732 transcript:DRNTG_17732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIIREDQLTLRTFSLSCSIVEKLKMKVSRCAIGDASCSSFEVLAAHLWKAKNKALGLAKTNKMVYFQFTVDVRARMTPPLPKAFTGNAYVLASIACTGSKLEGESLATIVEKIKDAKLAMTENYVKAYLEALEAPQESALPPLPELTIVSDWTRTPYHCFDIGLGNAMYASPMPPPVMQVAYFMQSPEEEGGVHVRIGLAHKYVQAFSHYFLSV >DRNTG_17732.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4118594:4118948:-1 gene:DRNTG_17732 transcript:DRNTG_17732.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIIREDQLTLRTFSLSCSIVEKLKMKVSRCAIGDASCSSFEVLAAHLWKVYLYPLICFV >DRNTG_35475.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3816276:3820295:1 gene:DRNTG_35475 transcript:DRNTG_35475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLVEVGGGLCHHGRMEERRGKGMDPRIGSIRRRNQRPCPCPCPMPMPVRQVAGGDVDDLVDGWPRWLVNNVPKDVLAGFVPKSADSYDKLAKVGQGTYSNVYKARDRDTGKIVALKKVRFDTSESESVRFMAREIMILQKLDHPNVIKLEGLATSRMQYSLYLVFDFMQTDLARVISRPDGRLTEPQIKCYMMQLLSGLQHCHENGILHRDIKGSNLLIDKQGMLKIADFGLANYFNPNKKRPLTSRVVTLWYRAPELLLGTTDYGVGIDLWSAGCLLAEMFAGRPIMPGRTEVEQLHRIFKLCGSPSEDYWRKLKLSSSFRPVQPYKSSISEAFKDFPSSSLGLLTMLLALDPSYRGSAASALQNEFFSMSPLACDLSGLPEIYKEENDEPGQLHERRRRKGGKLNRRSRTSKEGKRKTETTMVQPKGDSRSSKEEKVADPGRSSDDFGSTTGSTSSGVKPRNHSVSPPETESMVISSLRERQRRTEGHPLATKNIKNLPPVTITEITDLDDDKSIEKIRHYGLDKRSASMSIYRRVDDIHIPKPSVFVD >DRNTG_14497.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14939051:14944486:-1 gene:DRNTG_14497 transcript:DRNTG_14497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERISLVDAHAHNVVSIDSTFPFLCCFSEAENEILDLTPHSLSLKGDKVCAKRNRPLELEQVEWNWLVEFWESDTRKMRRDKGNIIEENSACLIHLAKMNTLSSQLLEDSQSSSTVRDKIFSQVIGEDNHGRCRMYSLGVLLKDLHGPHPTGCELMESLVAMKSGWDEYGIAYGRPSSSITI >DRNTG_18335.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1661301:1661944:1 gene:DRNTG_18335 transcript:DRNTG_18335.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RALF-like 34 [Source:Projected from Arabidopsis thaliana (AT5G67070) UniProtKB/Swiss-Prot;Acc:Q9FHA6] MAPRSSSILLIVLLVLSFNGLFVGAQMEDTSLETMAEWPSAISSSSYPFAGETDDGDFEGDVEKSNRRALFWYHVHYYISYGALSANRVPCPPRSGRSYYTHNCYRARGPVHPYTRGCSAITRCRR >DRNTG_01187.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29411067:29415343:1 gene:DRNTG_01187 transcript:DRNTG_01187.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTRANAATVKAAEPVKPVETEEQVELDDPEDAMEEEVEYEEVEEEIEEVEEEEEEVIEEEEDVEEYDGEDIAGNGVKSNVAPGGDNRMKEDEKEGADSDLHAELLALPPHGSEVYVGGIPHDTSEADLKSFCESVGEVTEVRLMKSKDSSENKGYAFVTFRTKELATKAIEDLNNSEFKGRKIKCSTSQAKHRLFIGNVPRNWVEDDLKKVVTKIGPGVNKVDLMKDPQNSSRNRGYAFIEYYNHACAEYSRKKMSTPKFKLDSNAPTVSWADPKSGDSSSSSQVKAVYVKNLPKDVTSDQLKKLFAHHGEITKVVLPPAKSGQDKRYGFVHFEERSTAMKALKNTERYELNGEILECSLAKPPADKKNEPVSNAQKGALLPNYPPRIGHGLMGGAYPLMPGFGQPMIYGRGQTPAGMAMVPMILPDGRLGYVLQQPGAPMPPAPRSGGGGGGGGGGAGSSRGGNSHGGRKNNDNDRGRRFRPY >DRNTG_01187.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29411067:29415343:1 gene:DRNTG_01187 transcript:DRNTG_01187.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTRANAATVKAAEPVKPVETEEQVELDDPEDAMEEEVEYEEVEEEIEEVEEEEEEVIEEEEDVEEYDGEDIAGNGVKSNVAPGGDNRMKEDEKEGADSDLHAELLALPPHGSEVYVGGIPHDTSEADLKSFCESVGEVTEVRLMKSKDSSENKGYAFVTFRTKELATKAIEDLNNSEFKGRKIKCSTSQAKHRLFIGNVPRNWVEDDLKKVVTKIGPGVNKVDLMKDPQNSSRNRGYAFIEYYNHACAEYSRKKMSTPKFKLDSNAPTVSWADPKSGDSSSSSQVKAVYVKNLPKDVTSDQLKKLFAHHGEITKVVLPPAKSGQDKRYGFVHFEERSTAMKALKNTERYELNGEILECSLAKPPADKKNEPVSNAQKGALLPNYPPRIGHGLMGGAYPLMPGFGQPMIYGRGQTPAGMAMVPMILPDGRLGYVLQQPGAPMPPAPRSGGGGGGGGGGAGSSRGGNSHGGRKNNDNDRGRRFRPY >DRNTG_01187.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29411067:29415343:1 gene:DRNTG_01187 transcript:DRNTG_01187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTRANAATVKAAEPVKPVETEEQVELDDPEDAMEEEVEYEEVEEEIEEVEEEEEEVIEEEEDVEEYDGEDIAGNGVKSNVAPGGDNRMKEDEKEGADSDLHAELLALPPHGSEVYVGGIPHDTSEADLKSFCESVGEVTEVRLMKSKDSSENKGYAFVTFRTKELATKAIEDLNNSEFKGRKIKCSTSQAKHRLFIGNVPRNWVEDDLKKVVTKIGPGVNKVDLMKDPQNSSRNRGYAFIEYYNHACAEYSRKKMSTPKFKLDSNAPTVSWADPKSGDSSSSSQVKAVYVKNLPKDVTSDQLKKLFAHHGEITKVVLPPAKSGQDKRYGFVHFEERSTAMKALKNTERYELNGEILECSLAKPPADKKNEPVSNAQKGALLPNYPPRIGHGLMGGAYPLMPGFGQPMIYGRGQTPAGMAMVPMILPDGRLGYVLQQPGAPMPPAPRSGGGGGGGGGGAGSSRGGNSHGGRKNNDNDRGRRFRPY >DRNTG_16464.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000818.1:10316:10689:-1 gene:DRNTG_16464 transcript:DRNTG_16464.1 gene_biotype:protein_coding transcript_biotype:protein_coding IYEGRPKSEGSSLGPYRRWGAKAWGSEQD >DRNTG_21183.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20662310:20664492:-1 gene:DRNTG_21183 transcript:DRNTG_21183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFEANGRDPENITPTSVSVLKKFETENHIAMDQEIEFLRNLVVSLSERERCLELQLFEYYGMEEQETAMRELESKLKINTMETKLYTMKIESLEADNRRLQALVSDYSSRVMSELESSRAKVKLLKRKLKSDGEQAKEKISAMHQIISKLQAKEANNQSNVELERQVKRLNELEVEVETLKIVNSRLLEENSELMKKMEAAQMLASSVEVPKADLMEDVNQLREVNEKLMNDIDQLKTDRCADVEQLVYLRWVNACLRYELRNFQPPPGKSSSKRFKQELEPKV >DRNTG_20333.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001114.1:21289:21842:1 gene:DRNTG_20333 transcript:DRNTG_20333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPPFTFFVFMADLEENVTTTISNSNAPGFKLFGATITIEEKEKLPNHEETSKESTTREDGTETAGSTVGLPCPRCKSKDTKFCYFNNYNINQPRHFCRSCHRYWTAGGTLRNVPKGAGPEKSPVSSPGYFPGSAPDLI >DRNTG_07216.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20279353:20282748:-1 gene:DRNTG_07216 transcript:DRNTG_07216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEIEQLLAMGSWCHLLLILEPTIRLYDKAFTDIEEYDRLYTDYLGSLTTQRTYRTLCGQGQYKTRVSQSAYCYLHTVLNRSMNG >DRNTG_25402.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24512764:24514331:-1 gene:DRNTG_25402 transcript:DRNTG_25402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKGKEKRNKYACACSIVASMISLLLGYDTGVMSGAMLFIKEDLKISDVQVEVLAGILNLCALIGSLTAGRASDWIGRRYTIVLASVIFFIGALLMGLAPSYAILITGRCVAGVGVGYALMIAPVYSAEISSPSFRGFLTSMPEICISLGILLGYISNYLFAKLPLMYGWRTMLGIGAVPSLMLAVGILAMPESPRWLVMQGRLKEAQEVMLKVSNSEDEAELRFQEIKTAAGIDHESELPKRPTGEGVWKELLLRPPPPVRRILIAGIGIHFFEHATGIEPVVLYSPRIFKMAGIQSKNKLLIATIGVGVTKTLFIFVATFLIDKVGRRPLLLTSVGGLGPITWVYSSEIFPMRLRAQGASLGVAVNRVMNGTTSMTFMSLYKAITIGGSFFMFSGIAVLAWFFFFFFCPETRGRSLEEMEEVFSHAWWSTPKPVKKDGHEMELTS >DRNTG_32551.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20066487:20067735:1 gene:DRNTG_32551 transcript:DRNTG_32551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKEATEKIEDTKAEVSSALVEST >DRNTG_32551.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20067393:20067735:1 gene:DRNTG_32551 transcript:DRNTG_32551.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKEATEKIEDTKAEVSSALVEST >DRNTG_30526.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21414643:21415129:1 gene:DRNTG_30526 transcript:DRNTG_30526.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVTCFFITEPSLVKNKLLQSSIIPHPNAFHASRSSPSIHQSSSSHTRI >DRNTG_09792.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4345705:4346512:1 gene:DRNTG_09792 transcript:DRNTG_09792.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQDYWLIKNSWGDFWGEAGYLRLERNVNNPAGKCGVAQMALYPIKNKPLRVKEQVQSALDLSS >DRNTG_26113.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20840373:20843325:1 gene:DRNTG_26113 transcript:DRNTG_26113.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITSNSEIPSTCPCSTIPELYPKLDKIFFLDDDVVVQKDLTALWSVDMKGMVNGAVETCKSGVHRYQQYLNFSHPIIHQNFDPKAC >DRNTG_26113.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20841319:20847977:1 gene:DRNTG_26113 transcript:DRNTG_26113.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSNSEIPSTCPCSTIPELYPKLDKIFFLDDDVVVQKDLTALWSVDMKGMVNGAVETCKSGVHRYQQYLNFSHPIIHQNFDPKAC >DRNTG_26113.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20841504:20843325:1 gene:DRNTG_26113 transcript:DRNTG_26113.4 gene_biotype:protein_coding transcript_biotype:protein_coding MITSNSEIPSTCPCSTIPELYPKLDKIFFLDDDVVVQKDLTALWSVDMKGMVNGAVETCKSGVHRYQQYLNFSHPIIHQNFDPKAC >DRNTG_26113.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20841123:20843325:1 gene:DRNTG_26113 transcript:DRNTG_26113.3 gene_biotype:protein_coding transcript_biotype:protein_coding MITSNSEIPSTCPCSTIPELYPKLDKIFFLDDDVVVQKDLTALWSVDMKGMVNGAVETCKSGVHRYQQYLNFSHPIIHQNFDPKAC >DRNTG_30989.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18849158:18852561:-1 gene:DRNTG_30989 transcript:DRNTG_30989.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDVVVTGYMALEPYADPSTENEVANQNAYAWMNEVDIELDNFNDDDNNPQQYNDVTMEETSTTQDKK >DRNTG_29607.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19832693:19837671:-1 gene:DRNTG_29607 transcript:DRNTG_29607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALPSLSMRVTYFKRKRRSRHFLVSVLGCSVMNKGRLESPIRREFWYIKISTFGMASEGKQESRAKWNEIHKAHLVRLLGDYNTLTYRSQNGWTKEAWNKMDYERHIVSAPNDVWAPLLERSRDARKWHSRPFPYFMALQKVYERRYAEGKRSRGIEDYKDISESPVHTLSPSVFTPNDSRQPSPVHETEDDDIMQVEPPCSQPLNPQTQSSSNEILREIRDQDG >DRNTG_30360.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001566.1:12738:13853:-1 gene:DRNTG_30360 transcript:DRNTG_30360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQKIETGHQDVVHDVSMDYYGKRIATASSDTTIQIISVSGSSHQHIATLSGHQGPVWQVAWAHPKFGSMLASCSYDGRVIIWKDGTKPARWSQAHVFTDHKSSVNSIAWAPHDLGLCLACGSSDGNISVFTARADGSWDTTRIDQAHPVGVTSISWAPSMAAGALVGSGLLDPVQKLASGGFDNTVKVWKLYNGSWKMDCFPALQMHSECVRDVAWAPNLGLPKSTIASASQDGTVVIWTVAKEGDQWKGKVLNDFKTPVWRVSWSLAGNILAVADESNNVTLWKEAVNGEWQQVTTVQVQP >DRNTG_11205.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2299399:2300727:-1 gene:DRNTG_11205 transcript:DRNTG_11205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLCTSKLNLSEKERSSSIRRISTKVTNVLVSQPMTKTVAPVAVTSLRETKKPGIKPVLASVWKEIQGADDWEDLMEPLNPLLRDEIVRYGEFVSACYKAFNLEPSSKRYLNCKYGRKNMFAEVGMEKPGYDVVKYIYATPDISIPMQSTACCGRWIGYVAVSNDEETKRLGRRDILVSFRGTVTQSEWIANFMSSLTPARLDPTDARVEVKVESGFLNLYTSDDSTCKFSQGSCRQQLLSEISRMINKYKGEEMSITLAGHSLGSSLATLLGYDLAELGLNRDGTNEIQITVYSFGGPRVGNSEFKKRCEELGVKVLRVVNVNDPVTKLPGVFLNENSRVLGGKFELPWSCSCYAHVGVELALDFFKMKNPTCVHDLDAYIGLLKCPNMEQMNEDGETFNLFRKLMEIFSSQEFVINHVWPWHQAATYVGSLVQSLGI >DRNTG_09483.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21467872:21470040:-1 gene:DRNTG_09483 transcript:DRNTG_09483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSQKSTYQSITKKKKNRLEELRKN >DRNTG_04435.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9807692:9809773:-1 gene:DRNTG_04435 transcript:DRNTG_04435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLERAQGSLPSNTDTNPREHVKAITLTSGHGVEGRFPSEKTNIEAPEVVEVEERANKEKEELLNLDTYEGLLDQEVDNEEVMMLGLKEKVPSTLGIMKKVLWKIKWARRHHNKCPKAIRNPEEQNKSLQRFLSVQRKHMAMWNFRMCVHFCSELIHRREFLLHPENTHWRASAYRKATGACVCPGWSGTQAWVISARPCEGIQNHRLFSRQHTEVCVCP >DRNTG_24056.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26525864:26530639:1 gene:DRNTG_24056 transcript:DRNTG_24056.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat-containing protein LFR [Source:Projected from Arabidopsis thaliana (AT3G22990) UniProtKB/Swiss-Prot;Acc:Q9LS90] MRRDAVPLAKIPGLLDALLQIIDDWRDIALPKEYAKRPRIRSLGENTAVTGFGNDYEVTNPNNAPPNAGNGLKRQSLEWWFDEDGLFNLDEEGRAEKQQCAVATSNIIRNFSFMPDNDLIMAQHRHCLETIFQCIEDHETEDEELITNALETIVNLAPLLDLRIFSSSKPSFIRMTEKRAVQAIMGMLGSSIKAWHCAAAEMLGRLIINPDNEPYLLPFAPQIYKRLIDLLSLPAVDAQAAAIGALYNLSEVNMDCRLKLASERWAVDRLLKIVRTPHPVPEVCRKAAMILESLVSEPQNRPALLAHESTFAEILLSDGKYSEIFARILYELTSRPNSKVAITRGVWGM >DRNTG_24056.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26525864:26530639:1 gene:DRNTG_24056 transcript:DRNTG_24056.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat-containing protein LFR [Source:Projected from Arabidopsis thaliana (AT3G22990) UniProtKB/Swiss-Prot;Acc:Q9LS90] MRRDAVPLAKIPGLLDALLQIIDDWRDIALPKEYAKRPRIRSLGENTAVTGFGNDYEVTNPNNAPPNAGSNGLKRQSLEWWFDEDGLFNLDEEGRAEKQQCAVATSNIIRNFSFMPDNDLIMAQHRHCLETIFQCIEDHETEDEELITNALETIVNLAPLLDLRIFSSSKPSFIRMTEKRAVQAIMGMLGSSIKAWHCAAAEMLGRLIINPDNEPYLLPFAPQIYKRLIDLLSLPAVDAQAAAIGALYNLSEVNMDCRLKLASERWAVDRLLKIVRTPHPVPEVCRKAAMILESLVSEPQNRPALLAHESTFAEILLSDGKYSEIFARILYELTSRPNSKVAITRGVWGM >DRNTG_33216.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:430286:430822:-1 gene:DRNTG_33216 transcript:DRNTG_33216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRTEKYTTRFSQKIKKKVVELRRMLRAQWKEKSFEWFNRLTARNDEAIPRHCLNPVINTFIIASHPQINQNQSRSKTKHSSIKTEA >DRNTG_21027.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:150389:153384:-1 gene:DRNTG_21027 transcript:DRNTG_21027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMREVGNDSQLKEHKDVQEVNIIINNNNNNNNNNNKMMIKKSIPEWKDQLTLRGFMASLIIGALYSIICMKLNLTTGLVPTLNVSAALLAFIFIRTWTKLLHRVGALATPFTPQENTVVQTCAVACYSIALGGGFGSYLLGLNKKTYELAGVDMEGNTAKSYKEPGIGWMTAYLFTVCFVGLLSLVPLRKIMIIDYKLTYPSGTATAILINGFHTAQGDAMAKKQIKGFAKCFIMSFLWGFFQWFYSGGEQCGFSQFPTFGLRAWKQTFFFDFDPTYVGAGMICSHLVNMSVLLGAVLSWGIMWPLIEQEKGNWYSANLPENNMRSLQGYKVFIPITLILGDGLYNFVKVLVCTAKNIHERSKMKSDKTDDDDPERNEVFLRDKIPTWIACSGYVFLATICVITLPQIFPELKWYHIIAAYIMGPVLAFSNAYGAGLTDMNMAYNYGKVSLFIFAAWAGKDSGVVAGLVGCGVIKSMASISADLMHDLKTGHLTLTSPRSMLISQAIGTAMGCVIAPLSFFLFYKAFDVGNPNGTFKAPFALIYRNLAIVGVEGFAALPGHCLQLCYGFFGFAVVANLVRDFSPRRYGKWVPLPMAMAVPFLVGASFAIDMSIGSAIVFVWNKVNKKKANVMVPAVASGLICGNGLWILPSSLLALAKVKPPICMNFVPS >DRNTG_28386.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18008914:18012484:1 gene:DRNTG_28386 transcript:DRNTG_28386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKDESVHACEPKEVGEIRWPTFVCLPALRHQCYRCQSVRFGHPSLRLQMQQGGQLGLAPAMVRSLLCWGIRLSLYEPCKYFSDSVYGSAIIFVKLVSGTISGAIATAVTNPVDVLKVCMQMNANPQRGLVGELWKILLEDWLKGLCKGVGTSMTKAGALTASYDESKQALLRWTPLEESFHLHLISSCIVGAILTLVMGPMDIVKTHIMLQQESKGGIIYRNSFLCTYQVWI >DRNTG_10306.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19554438:19565278:-1 gene:DRNTG_10306 transcript:DRNTG_10306.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSTLDMQGNQKQAFDLGMFVGNLALDENGRSDYVSLEGLQEELEECKNDEKLSDILAKGTKLWEHTKGAENNVRQVQLDAIQAAESKLTKFVEDIIVPPGMVDIIVDGEVNEEYLKTLESLSKKLKFAEVDSMVTTSKALKVVQPELERLRQKAVSKVFEFIAQKIHALRKLKTSIQIPQDNILLKYRCLIIFLKEHGMEIYNEVKATYIDTMNKILSAHFRAYIQAMGKLQLDIATSSDLIGVETKSTRLSLGRRESLRNGSAVFALGDRINILKEIDQPALILHTAEVNSERYPYEVLFRSLHKLLMDTAASEYLFCGDFFGEESIFYEIFAGI >DRNTG_10306.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19554438:19565278:-1 gene:DRNTG_10306 transcript:DRNTG_10306.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSTLDMQGNQKQAFDLGMFVGNLALDENGRSDYVSLEGLQEELEECKNDEKLSDILAKGTKLWEHTKGAENNVRQVQLDAIQVNEEYLKTLESLSKKLKFAEVDSMVTTSKALKVVQPELERLRQKAVSKVFEFIAQKIHALRKLKTSIQIPQDNILLKYRCLIIFLKEHGMEIYNEVKATYIDTMNKILSAHFRAYIQAMGKLQLDIATSSDLIGVETKSTRLSLGRRESLRNGSAVFALGDRINILKEIDQPALILHTAEVNSERYPYEVLFRSLHKLLMDTAASEYLFCGDFFGEESIFYEIFAGPFAVLDEHFNVVLPNCYDALGLMLMIRIVDQHQMVMFKRKIPCLDSYLDKVNISLWSRLKLVLDMHLNSLRNANVRTLWEDDVHPHYVMWRYAEFVASLVHLNVEYGDGQLDLNFERLQMAIDDLLNKLAKTFTKPKLQTTFLINNYDVMVTVLMKSGTGGGSTMVHFWHLLKSNIPIFVEEMLLEHFNDLIKFVTSRGSEESSSSAENPSATDLEPLAWDFLSRWKAAIELMYEDCITSFSDSLCGRMILNMAYLRLGFYYRRLKVCARRIEGSFNGSFNRVLFPVTYISDEVDKYLKAF >DRNTG_10306.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19554438:19565278:-1 gene:DRNTG_10306 transcript:DRNTG_10306.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYFLSGPFAVLDEHFNVVLPNCYDALGLMLMIRIVDQHQMVMFKRKIPCLDSYLDKVNISLWSRLKLVLDMHLNSLRNANVRTLWEDDVHPHYVMWRYAEFVASLVHLNVEYGDGQLDLNFERLQMAIDDLLNKLAKTFTKPKLQTTFLINNYDVMVTVLMKSGTGGGSTMVHFWHLLKSNIPIFVEEMLLEHFNDLIKFVTSRGSEESSSSAENPSATDLEPLAWDFLSRWKAAIELMYEDCITSFSDSLCGRMILNMAYLRLGFYYRRLKVCARRIEGSFNGSFNRVLFPVTYISDEVDKYLKAF >DRNTG_10306.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19554438:19565278:-1 gene:DRNTG_10306 transcript:DRNTG_10306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSTLDMQGNQKQAFDLGMFVGNLALDENGRSDYVSLEGLQEELEECKNDEKLSDILAKGTKLWEHTKGAENNVRQVQLDAIQAAESKLTKFVEDIIVPPGMVDIIVDGEVNEEYLKTLESLSKKLKFAEVDSMVTTSKALKVVQPELERLRQKAVSKVFEFIAQKIHALRKLKTSIQIPQDNILLKYRCLIIFLKEHGMEIYNEVKATYIDTMNKILSAHFRAYIQAMGKLQLDIATSSDLIGVETKSTRLSLGRRESLRNGSAVFALGDRINILKEIDQPALILHTAEVNSERYPYEVLFRSLHKLLMDTAASEYLFCGDFFGEESIFYEIFAGPFAVLDEHFNVVLPNCYDALGLMLMIRIVDQHQMVMFKRKIPCLDSYLDKVNISLWSRLKLVLDMHLNSLRNANVRTLWEDDVHPHYVMWRYAEFVASLVHLNVEYGDGQLDLNFERLQMAIDDLLNKLAKTFTKPKLQTTFLINNYDVMVTVLMKSGTGGGSTMVHFWHLLKSNIPIFVEEMLLEHFNDLIKFVTSRGSEESSSSAENPSATDLEPLAWDFLSRWKAAIELMYEDCITSFSDSLCGRMILNMAYLRLGFYYRRLKVCARRIEGSFNGSFNRVLFPVTYISDEVDKYLKAF >DRNTG_10306.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19554438:19565278:-1 gene:DRNTG_10306 transcript:DRNTG_10306.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYFLSGPFAVLDEHFNVVLPNCYDALGLMLMIRIVDQHQMVMFKRKIPCLDSYLDKVNISLWSRLKLVLDMHLNSLRNANVRTLWEDDVHPHYVMWRYAEFVASLVHLNVEYGDGQLDLNFERLQMAIDDLLNKLAKTFTKPKLQTTFLINNYDVMVTVLMKSGTGGGSTMVHFWHLLKSNIPIFVEEMLLEHFNDLIKFVTSRGSEESSSSAENPSATDLEPLAWDFLSRWKAAIELMYEDCITSFSDSLCGRMILNMAYLRLGFYYRRLKVCARRIEGSFNGSFNRVLFPVTYISDEVDKYLKAF >DRNTG_28821.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4158347:4164841:-1 gene:DRNTG_28821 transcript:DRNTG_28821.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFSAHEPLISNGGFKDLQSKNKPFKLVLVKWLLKSLMWLIFVLWVAVIFFLPSNIVRGLFLIFIQASSQHSFFGITGSVFLLFSVPILILVFLAFIYISVFPSDDFEGRNSKPPRFRLWTFPIIVDGPFGVVSAAEFIGILLFSAYVLYNIIDDSIQNQELIAREFSVTGKERSYLMIELTGLRLGSIGLFCMAFLFLPIARGSVLLRMIDIPFEHATRYHVWLGHLTMALFTLHGLCYVIAWALEGRLIEKILEWKDDEIANLPGVISLLAGLLMWVTSLHPVRKNYFELFFYTHQLYVVFIVFLAMHVGDFIFCMAAGAVFLFILDRFLRFCQSRTTVDIISAACRPCGTIELVFSKPSNLRYNALSFVFLQVRELSWLQWHPFSVSSSPLDGRYHISILIKVLGEWTAKLRDIVSANSDQLQIKKITASVEGPYGHESPYHLMYENLILVAGGIGISPFLAILSDIINRINERRPCLPKNVLIVWAVKKSKELSLISAVNAQSIHSSFSDKLQLDIQAYVTQESVPPLEEGKLHDISSISSFSVINGRNMSCLVGTGNNMWSGMYVVVSTLGLVAIYSLLRVYYIKPFHVSTRWYLGLLFVLMMVAAVTILGGIVVLLWHWAEKRNLKHDESVKIGNEKIDLSLSSDAKVHSKTEGSNLVKLLATRYGCRPDFQEIFNSFSDRVGHVDVGVIVCGPPSLQSTVAKECRSQNIKGKWNHPVFHFNSHSFDL >DRNTG_28255.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2739784:2742487:-1 gene:DRNTG_28255 transcript:DRNTG_28255.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRISLNSRMAASLLISPPPMRMTSRVSSFGYRGTSVSSCAIDAELLRSQLDRLHGEAEITRSKANNARLRLMRLSETAEKLKKRAATKIHDGQESEARDLLIQKKKLMKALESSKSRIEVLDKLSAKLQEVISSKEAQLIENVAVNPSSNAEDRGYPIHFINPKGDVSEDLSTTGSFDRSGMESSVKEELESQSLEDDEPQPVVGDPPETHFSSVIERTSSSNGTPSYNDFRLRAIEQLKNVEEDMVIFLRLLITESESKKITKNAQQILEVLEDLQRIRERIQVIFTEETM >DRNTG_28255.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2739784:2742487:-1 gene:DRNTG_28255 transcript:DRNTG_28255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRISLNSRMAASLLISPPPMRMTSRVSSFGYRGTSVSSCAIDAELLRSQLDRLHGEAEITRSKANNARLRLMRLSETAEKLKKRAATKIHDGQESEARDLLIQKKKLMKALESSKSRIEVLDKLSAKLQEVISSKEAQLIENVAVNPSSNAEDRGYPIHFINPKGDVSEDLSTTGSFDRSGMESSVKEELESQSLEDDEPQPVVGDPPETHFSSVIERTSSSNGTPSYNDFRLRAIEQLKNVEEDMVIFLRLLITESESKKITKNAQQILEVLEDLQRIRERIQVIFTEETM >DRNTG_28255.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2740486:2742487:-1 gene:DRNTG_28255 transcript:DRNTG_28255.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRISLNSRMAASLLISPPPMRMTSRVSSFGYRGTSVSSCAIDAELLRSQLDRLHGEAEITRSKANNARLRLMRLSETAEKLKKRAATKIHDGQESEARDLLIQKKKLMKALESSKSRIEVLDKLSAKLQEVISSKEAQLIENVAVNPSSNAEDRGYPIHFINPKGDVSEDLSTTGSFDRSGMESSVKEELESQSLEDDEPQPVVGDPPETHFSSVIERTSSSNGTPSYNDFRLRAIEQLKNVEEDMVIFLRLLITESESKKITKNAQQILEVLEDLQRIRERIQVIFTEETM >DRNTG_19386.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22226742:22228721:-1 gene:DRNTG_19386 transcript:DRNTG_19386.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENKVIKMHGRKVLMDMIMDYEYGGPNSKHDPRRARPGMAGRNN >DRNTG_19386.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22226742:22228721:-1 gene:DRNTG_19386 transcript:DRNTG_19386.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLIIMFILILYLFVSFSSQTSYAGIVENKEEIISLLKMENKVIKMHGRKVLMDMIMDYEYGGPNSKHDPRRARPGMAGRNN >DRNTG_19386.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22226742:22228721:-1 gene:DRNTG_19386 transcript:DRNTG_19386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLIIMFILILYLFVSFSSQTSYGIVENKEEIISLLKMENKVIKMHGRKVLMDMIMDYEYGGPNSKHDPRRARPGMAGRNN >DRNTG_19386.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22226742:22228721:-1 gene:DRNTG_19386 transcript:DRNTG_19386.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENKVIKMHGRKVLMDMIMDYEYGGPNSKHDPRRARPGMAGRNN >DRNTG_18814.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000974.1:51065:51664:-1 gene:DRNTG_18814 transcript:DRNTG_18814.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSITSQTSRIRHPSRISPAASLPITNPNFSIALGMKLGSEGSTLMARPILSAHFWKNEPRRPTHSSRFSDLGFLSLRVRVLERLETRTAISVSVLERLHPETRLTRAAFAGESLPGTRE >DRNTG_18814.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000974.1:51011:51664:-1 gene:DRNTG_18814 transcript:DRNTG_18814.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSITSQTSRIRHPSRISPAASLPITNPNFSIALGMKLGSEGSTLMARPILSAHFWKNEPRRPTHSSRFSDLGFLSLRVRVLERLETRTAISVSVLERLHPETRLTRAAFAGESLPGTRE >DRNTG_09859.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000417.1:109310:144905:1 gene:DRNTG_09859 transcript:DRNTG_09859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVVLMLRSYSFPLPKPSTPAFFIRNRTSSQPNMDLRDMDLNQVNYRQCNKEQRHPTVDSVNNLSITQLEGR >DRNTG_35464.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3736315:3736562:1 gene:DRNTG_35464 transcript:DRNTG_35464.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATALVADKEQFSLGFEATELRLGLPGDGETAKSNGKRGFSETIDSDETSEEPERMKKSVAEKSIKEPEKPPAK >DRNTG_06412.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29715216:29716514:-1 gene:DRNTG_06412 transcript:DRNTG_06412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVTSTTTLTSPLSQPSEVPLTIFDRFALNIHVAILYAFSRPTSANANIISALSKILVHFPTLTANLSTNSHGRPCLTVGGPDGGALVVEATVSSKLEDHLPLTPSPDFRLLHPEVNDAKHLLQVQLNRFECGGLVIGITSHHRVADGQSMSSFFLAWGKMVRGVPIDPLPVYDQSWLKPRDPPLVQFDHWGTDFIPLSPQPNEFKATPVYADPSEITNMLFHYTPEFIMRLKAHTNKLSTEKYTTFETLAGYIWRKVTIARQLDDEEGTMLSVPVNGRRRLQPPMPSEFFGNLVLDVYPKAKARALIEGGVAKAVGIVREAVRAIGNDYFRSFIDLAEVYKDRNLVPCYETDGNVLSPNMEVDSWLGFGLDEIDFGGGGKLCGISLTWVPFEGLSIFIPSLSQDGGLDVFVSLLEKDAMRFREISHSLD >DRNTG_06316.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7005768:7009195:1 gene:DRNTG_06316 transcript:DRNTG_06316.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQTHQQLYNRQRSRLSSNSSSKRSGSIGLQANSVLKRPTDNLKDLYTLGRKLGQGQFGVTYLCVEKSTGKEYACKSIAKRKLTSAEDVDDVRREIQIMHHLSGHPHVISIKGAYEDTMAVHVVMELCGGGELFDRIIKKGHYTERKAAHLARIIVGVVEACHSMGVMHRDLKPENFLFVSNKEEAPLKTIDFGLSVFFRPGDTFTDVVGSPYYVAPEVLRKKYGPEADVWSAGVIIYILLSGVPPFWAENEQGIFEQILNGSLDFESDPWPSVSVSAKDLVRKMLVRDPKKRLTAHEVLCHPWIQVGSTAPDKPLDSAVLSRLKQFSAMNKLKKMALRIIAENLSEEEIAGLKEMFKMIDTDNSGQITFEELKAGMERVGANLKESEIYALMQAADVDNSGTIDYGEFIAATLHLNKVEREDHLYAAFSYFDKDGSGYITQDELQQACDEFGIENVQLEEMIDEVDQDHDGRIDYSEFVAMMQKGNVGFGKKIFSK >DRNTG_06316.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7005768:7009195:1 gene:DRNTG_06316 transcript:DRNTG_06316.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQTHQQLYNRQRSRLSSNSSSKRSGSIGLQANSVLKRPTDNLKDLYTLGRKLGQGQFGVTYLCVEKSTGKEYACKSIAKRKLTSAEDVDDVRREIQIMHHLSGHPHVISIKGAYEDTMAVHVVMELCGGGELFDRIIKKGHYTERKAAHLARIIVGVVEACHSMGVMHRDLKPENFLFVSNKEEAPLKTIDFGLSVFFRPGDTFTDVVGSPYYVAPEVLRKKYGPEADVWSAGVIIYILLSGVPPFWAGHIFNFKFCCVSFLSLIFVV >DRNTG_15068.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4920770:4921502:1 gene:DRNTG_15068 transcript:DRNTG_15068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRGFSAGLEDEALVSSDDEDDEEIEATGMTS >DRNTG_22433.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23387641:23392182:-1 gene:DRNTG_22433 transcript:DRNTG_22433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDSVRESMVKDSDKCLDSQLWHACAGGMVQMPSVNSKVYYFPQGHAEHAQGNTDFGSSSRIPAFIFCRVAAVKFMADPETDEVFAKIRLVPVRANEPDFGEDDGLALGNHGTTELPEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVLAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRTENGDLCVGIRRAKRGMGGGPEPPSGWNPPSGNCVSSYGAFSSFFREEEGKFMRGNSNGGVTNMNGNLRSRGRVTAESVVEAANLAASGQPFEVVYYPRASTPEFCVKAASVRAAMRIQWSSGMRFKMAFETEDSSRISWFMGTISSVHVADPIRWPNSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPAIHLAPFSPPRKKLRIPQHPDFPLDGQLPTPMFSTNPLGPSSSPLCCFPDSTPAGIQGARHAQFGLSLSDLHLNKLQAGLLQAGYRRLDHVAPALRISSAGLSSGNPTIHDNVSCLLTIGNPSVSAKKSCDVKAPQLVLFGQPILTEEQLSLSSSGGAVSPGLTGNSLSDGNHEKAGNLSDGSGSAIHQHGPVDNSSCEGFPWYRDQRATELGLDIGHCKVFMESEDVGRTLDLSALGSYEELYGKLADMFGIEKSEMMSHVLYRDSFGAVKHTGDEPFSEFMKTARRLTILMDSGSDNIGR >DRNTG_22433.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23387641:23392182:-1 gene:DRNTG_22433 transcript:DRNTG_22433.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDSVRESMVKDSDKCLDSQLWHACAGGMVQMPSVNSKVYYFPQGHAEHAQGNTDFGSSSRIPAFIFCRVAAVKFMADPETDEVFAKIRLVPVRANEPDFGEDDGLALGNHGTTELPEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVLAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRTENGDLCVGIRRAKRGMGGGPEPPSGWNPPSGNCVSSYGAFSSFFREEEGKFMRGNSNGGVTNMNGNLRSRGRVTAESVVEAANLAASGQPFEVVYYPRASTPEFCVKAASVRAAMRIQWSSGMRFKMAFETEDSSRISWFMGTISSVHVADPIRWPNSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPAIHLAPFSPPRKKLRIPQHPDFPLDGQLPTPMFSTNPLGPSSSPLCCFPDSTPAGIQGARHAQFGLSLSDLHLNKLQAGLLQAGYRRLDHVAPALRISSAGLSSGNPTIHDNVSCLLTIGNPSVSAKKSCDVKAPQLVLFGQPILTEEQLSLSSSGGAVSPGLTGNSLSDGNHEKAGNLSDGSGSAIHQHGPVDNSSCEGFPWYRDQRATELGLDIGHCKVFMESEDVGRTLDLSALGSYEELYGKLADMFGIEKSEMMSHVLYRDSFGAVKHTGDEPFSEFMKTARRLTILMDSGSDNIGR >DRNTG_22433.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23387641:23392182:-1 gene:DRNTG_22433 transcript:DRNTG_22433.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDSVRESMVKDSDKCLDSQLWHACAGGMVQMPSVNSKVYYFPQGHAEHAQGNTDFGSSSRIPAFIFCRVAAVKFMADPETDEVFAKIRLVPVRANEPDFGEDDGLALGNHGTTELPEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVLAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRTENGDLCVGIRRAKRGMGGGPEPPSGWNPPSGNCVSSYGAFSSFFREEEGKFMRGNSNGGVTNMNGNLRSRGRVTAESVVEAANLAASGQPFEVVYYPRASTPEFCVKAASVRAAMRIQWSSGMRFKMAFETEDSSRISWFMGTISSVHVADPIRWPNSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPAIHLAPFSPPRKKLRIPQHPDFPLDGQLPTPMFSTNPLGPSSSPLCCFPDSTPAGIQGARHAQFGLSLSDLHLNKLQAGLLQAGYRRLDHVAPALRISSAGLSSGNPTIHDNVSCLLTIGNPSVSAKKSCDVKAPQLVLFGQPILTEEQLSLSSSGGAVSPGLTGNSLSDGNHEKAGNLSDGSGSAIHQHGPVDNSSCEGFPWYRDQRATELGLDIGHCKVFMESEDVGRTLDLSALGSYEELYGKLADMFGIEKSEMMSHVLYRDSFGAVKHTGDEPFSEFMKTARRLTILMDSGSDNIGR >DRNTG_30252.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:283872:288890:1 gene:DRNTG_30252 transcript:DRNTG_30252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREEMTAVLTPPLALEGGLAAEHRAPNLIQRILSLFHNVRPGSDLTNFQLPPMFNLPKSQLQLFAEMIYCINDDYLSKCVEGSSSLERFTAVVAWNISMIRPPIFGLAPYNPVLGETHHVSRGTLNILLEQVSHHPPVTALHATDEDKNIELIWCQHPTPKFHGTTVEATMHGRRQLKLARFDENYEMETPKLLIRILPIPGIEWVGNVRIKCKESGLEADLCFHKTNPFLGFGRNSRLVKGKIFYSNSLRTIYEIEGHWDRTVALKDVNSGESQIIYNAKEAIGKLTTPSIKDPKGLQPSESVVVWSEVSRSILDKDWGKAREAKRSIEEKQRELQRERKSKGEVWAPKHFILRHSKECGWDCSPIENVVHEAPIIAPCPEETRD >DRNTG_10411.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6717489:6719881:-1 gene:DRNTG_10411 transcript:DRNTG_10411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYSKEFLSGPKKKWGGGSNHLRIRPSLNCTIPGPSSFRGGQKVTHAWSSI >DRNTG_04351.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31083526:31085011:1 gene:DRNTG_04351 transcript:DRNTG_04351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTSLEGPFPSNVSALEALTELKVSPLIGGDGKFPPLQRMPYMHILILRNISLSGELPDYIQSMTKLKTLRLGSSGRRRHAPPPFSLSLSLSAPPALSLSLAGLLLFPLPTPPNKTLAFFFQTQISKSAGPTTTYTFLPNTTRQFGWT >DRNTG_15731.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11649556:11654725:1 gene:DRNTG_15731 transcript:DRNTG_15731.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNGKTTYIHWPRPLDLRRGREVCIMVNSCLVCLCHYSDYDEVPSISKPDFFPMLLSSIAALCFHIPVCWFMVFKSGMGNVGAAFSISISYWLNVLMLGLYIKCSDSCKATRIPFSLKAFKGINEFLRFAVPSAVMICLEWWSFELLILLSGLLPNPELETSVLSICLNSIAFLYTIPYGLGAATSTRISNEVGAGNPNKARFVVRVALLITIIEAVTVSTTIFVLRYILGYAFSDEKEVINYVTEMAPLICISIIIDSLHGVLSGVARGCGWQHLGAYVNLGAYYLFGIPAAVVLAFVIHIRGKGLWIGILGGAIVQTTLLLIITFSINWQLQANKAHQRIFEERLAYENRLK >DRNTG_11182.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2055267:2063692:1 gene:DRNTG_11182 transcript:DRNTG_11182.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone methyltransferases(H3-K4 specific);histone methyltransferases(H3-K36 specific) [Source:Projected from Arabidopsis thaliana (AT1G77300) TAIR;Acc:AT1G77300] MVCHCKPSSNGGLGCGEECLNRILNIECVRGTCPCGDLCSNQQFQKRKYAQFEWFPCGKKGYGLKLLEGVSQGQFLIEYVGEVLDLATYEQRQKDYASRGQKHFYFMTLNGGN >DRNTG_11182.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2051034:2063692:1 gene:DRNTG_11182 transcript:DRNTG_11182.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone methyltransferases(H3-K4 specific);histone methyltransferases(H3-K36 specific) [Source:Projected from Arabidopsis thaliana (AT1G77300) TAIR;Acc:AT1G77300] MGEVGKRSDDDLGSTDALDGRFALNAGVQEGAICCAGAEGSERRSSPRENGIGLPELVKSGLKEMGLESNETDDREEEPKSLNLYLASHEHVELPGKVTGEEHCEFTGLSPLRTTDGAGSSGSIDIARLLHGRREYNDCEDGLLVTSALVAECPLEDIKGNAADVEEENFFMPVPADGEDNQIDEKHGNLLAVNREREEDELLSPETASKSSLDCARLQKESGECNTLSSEKIGTSLQVRTKEEGCHVSHVPRLVSCLVHGSVGDAVYSCPKERSSQISVAVKDCSAENTSLSIAQESGAPVIDDANITCGKSLNPESGITKPSTIIVFRRANPRRAASTNIKKTSGKHDKLSQAKGNARKCKEAPNSISSISTCVLKIPLQHMTRKRSSSHKHARLSIWGAAENLAELFKDNAQDGLCDSPIPIQNKNPRKGKSGLGRKKQNTGMCGRRSRVAKKKNPSLSHPADIVDNNCIEAMFPVVVQSQASLNICSNRCNDNLSPIKLKGHQGDKDLESTVTQDTSVENVQYDCLGISSQRGSKVIVETIDHKQLMNPVTSPDSDVCHPVPSVNSEGATSVCGDAATDGIAPVLKRTSQVDVNGTVMTSSEALPTHGSAPMLDTQPYTKKGKKSNSRKAGAKVNGSPSLLSESFNVEEKFHGPNETKKARKKVRKSSRRGHGAEKQDTLGRRKSSTSKFVSETTTRGSKGKQSPMESPMLEIGKLEYCEEASRNETDPDACISSGKDIGNANSEAIHKPFLVTAKEKGLKARRGTKMGVCEGAVCHVDATKHNKCANARKKESLKKSIYKNVYTRGRRKPNRCLKAGRGVDTTSETGDVLALAGSNKLGSDELLSSRPCHGLDDSHSLSPQVAWVLCDDCQKWRCISAELADAINETKCRWTCKDNKDKAFADCSIPQEKTDAQINAELGISEEEECLNVQPIFKGVEPSKLAASPSASFKLIKSNLFLHRNRRTQNIDEVMVCHCKPSSNGGLGCGEECLNRILNIECVRGTCPCGDLCSNQQFQKRKYAQFEWFPCGKKGYGLKLLEGVSQGQFLIEYVGEVLDLATYEQRQKDYASRGQKHFYFMTLNGGEVIDACAKGNLGRFINHSCEPNCRTEKWMVNGEVCIGLFAIRDIKEGEEVTFDYNYVRVFGAAAKKCVCGSSECRGYIGGDPSNTEAIVHGDSDEEYPEPVMVDEDLDDPILDAIGVKAVENNATCVGTLYEKIKCSPANPKLEIQQMSEPVLSRPIPNPLLSLDATHAENVVGKALSVVQPSRNSTENVSAMQTEETKYRPTFNARRPLDAPPQILSAAERITSNKIKDKKEDKPTASVPSPHAKSLRSSASLKKGRQSVKGLNPHKAKEVLNTTVNTCFEGVEEKLNELLDVDGGISKRKDATKGYLKLLFVTAAEGDNVRGCASQSIRDLSLILDALLKTKSRFVLTDVINKNGLQMLHNIMKQNRSNFNRIPIIRKLLKVLEFLASKGILTPEHMNKGPPCEGMESFRDSMLGLTKHNDIQVHQIARNFRDKWMPRSIKRIELSDRDDNMPDSGYAVCNWTQSSFRRWHDLGTRDSDAIVCVNGDSRFYYNELNSPGETSQFGTGQPISGCLPFTDGSSAILAQSRKRRSRWDQPTDTTMPEKLPVSNENLMIGASKSLERTVLAEPRSPHEAMEQTPETDRFENNTTSGPKLETSILPNVDDDAPPGFGSLWKDNHSQVSPKGSEAAAEVVTGHLQERYLSHLTVSYGIPLELLEQLGTYDGGNQNPPNWAVAPGMPFHPFPPLPPYPRGTPSPAVSTGTYPTHVVKESPAISCTNIITSNKSGEKSACELGAGLRHCQTPGRGRWPSRVPKRKVLHPQRWNNKRFQRCWPSRPWEGNHLGMRSSSRIGVSPLSVGNGSNGGAGLGIPDCERSNGGGCLGFLEDERSNGCGGLGISEGVTFINNDN >DRNTG_11182.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2051395:2063692:1 gene:DRNTG_11182 transcript:DRNTG_11182.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone methyltransferases(H3-K4 specific);histone methyltransferases(H3-K36 specific) [Source:Projected from Arabidopsis thaliana (AT1G77300) TAIR;Acc:AT1G77300] MGEVGKRSDDDLGSTDALDGRFALNAGVQEGAICCAGAEGSERRSSPRENGIGLPELVKSGLKEMGLESNETDDREEEPKSLNLYLASHEHVELPGKVTGEEHCEFTGLSPLRTTDGAGSSGSIDIARLLHGRREYNDCEDGLLVTSALVAECPLEDIKGNAADVEEENFFMPVPADGEDNQIDEKHGNLLAVNREREEDELLSPETASKSSLDCARLQKESGECNTLSSEKIGTSLQVRTKEEGCHVSHVPRLVSCLVHGSVGDAVYSCPKERSSQISVAVKDCSAENTSLSIAQESGAPVIDDANITCGKSLNPESGITKPSTIIVFRRANPRRAASTNIKKTSGKHDKLSQAKGNARKCKEAPNSISSISTCVLKIPLQHMTRKRSSSHKHARLSIWGAAENLAELFKDNAQDGLCDSPIPIQNKNPRKGKSGLGRKKQNTGMCGRRSRVAKKKNPSLSHPADIVDNNCIEAMFPVVVQSQASLNICSNRCNDNLSPIKLKGHQGDKDLESTVTQDTSVENVQYDCLGISSQRGSKVIVETIDHKQLMNPVTSPDSDVCHPVPSVNSEGATSVCGDAATDGIAPVLKRTSQVDVNGTVMTSSEALPTHGSAPMLDTQPYTKKGKKSNSRKAGAKVNGSPSLLSESFNVEEKFHGPNETKKARKKVRKSSRRGHGAEKQDTLGRRKSSTSKFVSETTTRGSKGKQSPMESPMLEIGKLEYCEEASRNETDPDACISSGKDIGNANSEAIHKPFLVTAKEKGLKARRGTKMGVCEGAVCHVDATKHNKCANARKKESLKKSIYKNVYTRGRRKPNRCLKAGRGVDTTSETGDVLALAGSNKLGSDELLSSRPCHGLDDSHSLSPQVAWVLCDDCQKWRCISAELADAINETKCRWTCKDNKDKAFADCSIPQEKTDAQINAELGISEEEECLNVQPIFKGVEPSKLAASPSASFKLIKSNLFLHRNRRTQNIDEVMVCHCKPSSNGGLGCGEECLNRILNIECVRGTCPCGDLCSNQQFQKRKYAQFEWFPCGKKGYGLKLLEGVSQGQFLIEYVGEVLDLATYEQRQKDYASRGQKHFYFMTLNGGEVIDACAKGNLGRFINHSCEPNCRTEKWMVNGEVCIGLFAIRDIKEGEEVTFDYNYVRVFGAAAKKCVCGSSECRGYIGGDPSNTEAIVHGDSDEEYPEPVMVDEDLDDPILDAIGVKAVENNATCVGTLYEKIKCSPANPKLEIQQMSEPVLSRPIPNPLLSLDATHAENVVGKALSVVQPSRNSTENVSAMQTEETKYRPTFNARRPLDAPPQILSAAERITSNKIKDKKEDKPTASVPSPHAKSLRSSASLKKGRQSVKGLNPHKAKEVLNTTVNTCFEGVEEKLNELLDVDGGISKRKDATKGYLKLLFVTAAEGDNVRGCASQSIRDLSLILDALLKTKSRFVLTDVINKNGLQMLHNIMKQNRSNFNRIPIIRKLLKVLEFLASKGILTPEHMNKGPPCEGMESFRDSMLGLTKHNDIQVHQIARNFRDKWMPRSIKRIELSDRDDNMPDSGYAVCNWTQSSFRRWHDLGTRDSDAIVCVNGDSRFYYNELNSPGETSQFGTGQPISGCLPFTDGSSAILAQSRKRRSRWDQPTDTTMPEKLPVSNENLMIGASKSLERTVLAEPRSPHEAMEQTPETDRFENNTTSGPKLETSILPNVDDDAPPGFGSLWKDNHSQVSPKGSEAAAEVVTGHLQERYLSHLTVSYGIPLELLEQLGTYDGGNQNPPNWAVAPGMPFHPFPPLPPYPRGTPSPAVSTGTYPTHVVKESPAISCTNIITSNKSGEKSACELGAGLRHCQTPGRGRWPSRVPKRKVLHPQRWNNKRFQRCWPSRPWEGNHLGMRSSSRIGVSPLSVGNGSNGGAGLGIPDCERSNGGGCLGFLEDERSNGCGGLGISEGVTFINNDN >DRNTG_11182.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2051264:2063692:1 gene:DRNTG_11182 transcript:DRNTG_11182.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone methyltransferases(H3-K4 specific);histone methyltransferases(H3-K36 specific) [Source:Projected from Arabidopsis thaliana (AT1G77300) TAIR;Acc:AT1G77300] MGEVGKRSDDDLGSTDALDGRFALNAGVQEGAICCAGAEGSERRSSPRENGIGLPELVKSGLKEMGLESNETDDREEEPKSLNLYLASHEHVELPGKVTGEEHCEFTGLSPLRTTDGAGSSGSIDIARLLHGRREYNDCEDGLLVTSALVAECPLEDIKGNAADVEEENFFMPVPADGEDNQIDEKHGNLLAVNREREEDELLSPETASKSSLDCARLQKESGECNTLSSEKIGTSLQVRTKEEGCHVSHVPRLVSCLVHGSVGDAVYSCPKERSSQISVAVKDCSAENTSLSIAQESGAPVIDDANITCGKSLNPESGITKPSTIIVFRRANPRRAASTNIKKTSGKHDKLSQAKGNARKCKEAPNSISSISTCVLKIPLQHMTRKRSSSHKHARLSIWGAAENLAELFKDNAQDGLCDSPIPIQNKNPRKGKSGLGRKKQNTGMCGRRSRVAKKKNPSLSHPADIVDNNCIEAMFPVVVQSQASLNICSNRCNDNLSPIKLKGHQGDKDLESTVTQDTSVENVQYDCLGISSQRGSKVIVETIDHKQLMNPVTSPDSDVCHPVPSVNSEGATSVCGDAATDGIAPVLKRTSQVDVNGTVMTSSEALPTHGSAPMLDTQPYTKKGKKSNSRKAGAKVNGSPSLLSESFNVEEKFHGPNETKKARKKVRKSSRRGHGAEKQDTLGRRKSSTSKFVSETTTRGSKGKQSPMESPMLEIGKLEYCEEASRNETDPDACISSGKDIGNANSEAIHKPFLVTAKEKGLKARRGTKMGVCEGAVCHVDATKHNKCANARKKESLKKSIYKNVYTRGRRKPNRCLKAGRGVDTTSETGDVLALAGSNKLGSDELLSSRPCHGLDDSHSLSPQVAWVLCDDCQKWRCISAELADAINETKCRWTCKDNKDKAFADCSIPQEKTDAQINAELGISEEEECLNVQPIFKGVEPSKLAASPSASFKLIKSNLFLHRNRRTQNIDEVMVCHCKPSSNGGLGCGEECLNRILNIECVRGTCPCGDLCSNQQFQKRKYAQFEWFPCGKKGYGLKLLEGVSQGQFLIEYVGEVLDLATYEQRQKDYASRGQKHFYFMTLNGGN >DRNTG_14245.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:966788:971431:-1 gene:DRNTG_14245 transcript:DRNTG_14245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVDTEINRDYNADSSSSNPVADTVVYQLVRVEDDGRLFPATVDEVMKAEHLLEDDKNELSQVETTAHTGKQIPNESISFKKCESSEGLSQSESNAVDLSKLKFKVQEESLPLAQTLDNSSKKEDVDTQLNDHCNHINSSIEKVNREDNAQSKGLNDSHVASLGSGETCLEPVNEAVTNVSLDSETCFSSMPDFSILNGEIHLDSLTIRELQEAFWATFGRRTSVKDKLWLKRRIAMGLTNSCDIPSGNFTIKDNKIVRKDDAKELPCSLQASNLEAVPLPTEQLVSPIDDTYESSQRFSPANQEEDQQQSSCKRNRETILECDVKSADISEEPCVAKRVRKPTKRYIEELSEFEPRECTGKVFTPSRISEQCHSSSKSRSRPTHVIGLQGKTSVTRQDSLGGFTVHIPYVCRVRRQRPRKSFMAFMNFVDSESEKTDREHFDISERDIQHGMADNSGDNSDDNAYTKLEGSNKRKHHRAWTLCEVLKLVDGVARLGAGKWSEIKRIAFASYTYRTSVDLKDKWRNLLRASFALRTTEKGAKISRKPSSLPIPIPILMRVRELAEMHSKTGIDFTTRTLSDEGSTVLKENDTGFL >DRNTG_30517.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1257420:1257851:-1 gene:DRNTG_30517 transcript:DRNTG_30517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQSKSESSANEGLRKTPSRPDNQNLGINPHTPLARAFLSGESSGLGQ >DRNTG_31669.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001729.1:66506:69506:-1 gene:DRNTG_31669 transcript:DRNTG_31669.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTTVAGASSRGVPFPMGHSVFAPKRGILKSQKSGCRGPCRCLDCITFLVHAEKGFEFSKKRIHGADDPIVGLMKELSHLRSLVEKSVLRVEDKKYHSDLQLNEESSVERSLPKSTQSRGDNKELLQPYVQWPQ >DRNTG_31669.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001729.1:67375:69506:-1 gene:DRNTG_31669 transcript:DRNTG_31669.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDSSNYPTKNPPSHKVNSFPEGKHEVQGIGESLNTQMSFNLNVAERHVGENHNLRTFNVADHKPTRISALNDERQGEINDMKPRATQEGGSFELELMIGSFHLPPDRPPSASNCRSDKES >DRNTG_31669.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001729.1:67375:69506:-1 gene:DRNTG_31669 transcript:DRNTG_31669.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNLNVAERHVGENHNLRTFNVADHKPTRISALNDERQGEINDMKPRATQEGGSFELELMIGSFHLPPDRPPSASNCRSDKES >DRNTG_13706.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5356176:5360811:-1 gene:DRNTG_13706 transcript:DRNTG_13706.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEVGGEVEKKAKKHKGKHDKPKPWDDDPNIDHWKVEKFDPSWNDGGMLEVSSFSTLFPQYQEKYLQQVWPKVKTALKECGISCELNLVEGSMTVSTTRKTRDPYIIVKARDLIKLLSRSVPAPQAIKILNDDMQCDIIKIGSLIRNKDRFVKRRQHLLGPNLSTLKAIEILTGCYVLVQGNTVASMGSFKGLKQVRRIVEDCILNVMHPVHNIKILLMKRELSKNPALANASWDRFLPKFKKKSVKHKKVKTKEKKPYTPFPPPQQPSKIDLELESGEYFLSDKKKSAKKWQEKQEKQSEKTAEKKRKREAAFVPPKEHVGRVSDVTKSDDNKSEMAEMAMSLKKKAKEFKKHEAHDNINAESFIADTAEPRESKKRKKSHQI >DRNTG_21718.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:632528:634291:-1 gene:DRNTG_21718 transcript:DRNTG_21718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGYARECAQAYSSARKSAVDTGLRHLGVERLSIGDVQRLEWEALEAKIRRWIRAARACVRVLFASERRLCDQVFGTPSSADAPFAETVKGAAIQLLSFPEAISIGRRSPEKLFKILDLHDALSDLLPDIAAIFRSGPAESIHTQAAEILARLAEAARGTLSEFENAVLREASRIPVPGGTLHPLTRYVMNYISLISDYQPTLNELIVTTPSARFSGEETTDHPEINFPDLDNRTPLASHLVWIIVVLQHNLENKASLYKDAALSHLFLMNNLHYIVNKVKDSPELRGMIGDEYLRRLTGKYRQLATSYQRTAWVKILHCLRDEGIHVSGSFSSGVSKSTLRERFKAFNAAFDEAYRTQAAWFVPDPQLREELRISISERLLPAYRAFLGRFRHHIESGRHPEVYIKYSVEDLEMALMDFFEGCPPSVHGRKRSH >DRNTG_19584.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001023.1:1551:5946:-1 gene:DRNTG_19584 transcript:DRNTG_19584.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTKSFILNHGRKPCFFYCHRRFLPSNHPFRKQRDKLSNRVERDSPIPRFAGEEILMRINSLPNITYGNNVSTERIPGYGDNHHWVKKSIFWELTYWHTNLIRHNLDVMHIERNVFDNIFNTVMDVKGKTKDNIKARKDLELYCRWPQLHLIESNGRTFKPKASYCLTKEQKKCVYA >DRNTG_22150.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13760633:13761510:1 gene:DRNTG_22150 transcript:DRNTG_22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding NRLQKARVDDPGSPRGNPGSTRKDCLKIVRIG >DRNTG_18325.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1749445:1754487:-1 gene:DRNTG_18325 transcript:DRNTG_18325.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 26 [Source:Projected from Arabidopsis thaliana (AT1G76710) TAIR;Acc:AT1G76710] MDQQNEWPAPYKHIERNDFVHRKHKKQKEEDIAVCVCRYDANDPESACGGSCLNVLTSTECTPGYCPCGNFCKNQKFQKCEYAKLQLFKTEGRGWGLLAAEDIKAGQFVIEYCGEVISCQEAKWRAQRYEVEGLKDAFIISLDAYESIDATSKGSLARFINHSCQPNCETRKWNVLGEVRVGIFAKQDINAGTELAYDYNFEWYGGAKVRCLCGAPSCSGFLGAKSRGFQEATYLWEDGDTRYSIENVPVYDSEEDEPATKILKAIVPVKDEMIADDGSSYLLGVDGMEPILFPHSQPISVEPLNSLPMEIDGLKNEIPGEDNNYSEDAHQRLTQKSTMISRIRSNSACRNYHIDSSPTKNSAFYPSAKSKTNVRRQVNIKSVTDRLASEDACQEIFTCEESRNLAASQLDALYDEIRPAIEEHERDTQDSVPTSVAEKWIEASCNKLKAEFDLYSSIIKNITCTPRRAQNNMGPEGVATENGIKLLENGTVKSEMQGVVSLNGSTF >DRNTG_18325.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1749445:1754487:-1 gene:DRNTG_18325 transcript:DRNTG_18325.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 26 [Source:Projected from Arabidopsis thaliana (AT1G76710) TAIR;Acc:AT1G76710] MDQQNEWPAPYKHIERNDFVHRKHKKQKEEDIAVCVCRYDANDPESACGGSCLNVLTSTECTPGYCPCGNFCKNQKFQKCEYAKLQLFKTEGRGWGLLAAEDIKAGQFVIEYCGEVISCQEAKWRAQRYEVEGLKDAFIISLDAYESIDATSKGSLARFINHSCQPNCETRKWNVLGEVRVGIFAKQDINAGTELAYDYNFEWYGGAKVRCLCGAPSCSGFLGAKSRGFQEATYLWEDGDTRYSIENVPVYDSEEDEPATKILKAIVPVKDEMIADDGSSYLLGVDGMEPILFPHSQPISVEPLNSLPMEIDGLKNEIPGEDNNYSEDAHQRLTQKSTMISRIRSNSACRNYHIDSSPTKNSAFYPSAKSKTNVRRQVNIKSVTDRLASEDACQEIFTCEESRNLAASQLDALYDEIRPAIEEHERDTQDSVPTSVAEKWIEASCNKLKAEFDLYSSIIKNITCTPRRAQNNMGPEGVATENGIKLLENGTVKSEMQGVVSLNGSTF >DRNTG_18325.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1749445:1754487:-1 gene:DRNTG_18325 transcript:DRNTG_18325.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 26 [Source:Projected from Arabidopsis thaliana (AT1G76710) TAIR;Acc:AT1G76710] MDQQNEWPAPYKHIERNDFVHRKHKKQKEEDIAVCVCRYDANDPESACGGSCLNVLTSTECTPGYCPCGNFCKNQKFQKCEYAKLQLFKTEGRGWGLLAAEDIKAGQFVIEYCGEVISCQEAKWRAQRYEVEGLKDAFIISLDAYESIDATSKGSLARFINHSCQPNCETRKWNVLGEVRVGIFAKQDINAGTELAYDYNFEWYGGAKVRCLCGAPSCSGFLGAKSRGFQEATYLWEDGDTRYSIENVPVYDSEEDEPATKILKAIVPVKDEMIADDGSSYLLGVDGMEPILFPHSQPISVEPLNSLPMEIDGLKNEIPGEDNNYSEDAHQRLTQKSTMISRIRSNSACRNYHIDSSPTKNSAFYPSAKSKTNVRRQVNIKSVTDRLASEDACQEIFTCEESRNLAASQLDALYDEIRPAIEEHERDTQDSVPTSVAEKWIEASCNKLKAEFDLYSSIIKNITCTPRRAQNNMGPEGVATENGIKLLENGTVKSEMQGVVSLNGSTF >DRNTG_18325.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1749445:1753941:-1 gene:DRNTG_18325 transcript:DRNTG_18325.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 26 [Source:Projected from Arabidopsis thaliana (AT1G76710) TAIR;Acc:AT1G76710] MDQQNEWPAPYKHIERNDFVHRKHKKQKEEDIAVCVCRYDANDPESACGGSCLNVLTSTECTPGYCPCGNFCKNQKFQKCEYAKLQLFKTEGRGWGLLAAEDIKAGQFVIEYCGEVISCQEAKWRAQRYEVEGLKDAFIISLDAYESIDATSKGSLARFINHSCQPNCETRKWNVLGEVRVGIFAKQDINAGTELAYDYNFEWYGGAKVRCLCGAPSCSGFLGAKSRGFQEATYLWEDGDTRYSIENVPVYDSEEDEPATKILKAIVPVKDEMIADDGSSYLLGVDGMEPILFPHSQPISVEPLNSLPMEIDGLKNEIPGEDNNYSEDAHQRLTQKSTMISRIRSNSACRNYHIDSSPTKNSAFYPSAKSKTNVRRQVNIKSVTDRLASEDACQEIFTCEESRNLAASQLDALYDEIRPAIEEHERDTQDSVPTSVAEKWIEASCNKLKAEFDLYSSIIKNITCTPRRAQNNMGPEGVATENGIKLLENGTVKSEMQGVVSLNGSTF >DRNTG_05014.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5474137:5476528:-1 gene:DRNTG_05014 transcript:DRNTG_05014.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSRDLAGVRKLPTPVCGSQGRPQGRVHAPVCSRDKPPNSAGVHMPVQKLPTGV >DRNTG_33179.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23512029:23514490:-1 gene:DRNTG_33179 transcript:DRNTG_33179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMGVFTSTPAVPSLSSLRLSLPERRRAATFSTMAVLGDDPVRKWILTEGNATEITRISSIGGGCINLATRYDTDAGSFFVKTNRGIGPSMFEGEAMGLNAMYATNSIRVPKPYKVGALPTGGSFIIMEYIEFGMSRGDQSVLGRKLGEMHKAGKSERGFGFDVDNTIGSTPQMNTWSSDWVEFFAEHRLGYQLKLALKKFGDSSIYEKGQRLMKNLRPLFEGVVTEPCLLHGDLWSGNISTDKDGNPVILDPACYYGHNEAEFGMSWCAGFGGSFYNSYFEVMPKQPGFETRRDLYMLYHYLNHYNLFGSGYQSSAVSIIDDYLRMLKC >DRNTG_18115.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:447032:448288:-1 gene:DRNTG_18115 transcript:DRNTG_18115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMKAKQEPETVSGISPFSVDGIFDVGHGGGEAEKNSSYGFLELLGFQDYSPSLLDLSPQMEPPLESSSVTVNTPATPNSSSISSSSTDADPLKPLATTAAAAEDEQEKTNKKLENEAKKKGQKRQREPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTSAACGVKKRVERSSEDPTVVVTTYEGQHTHPSPVVTRGAHPSQSPLGFSAPPVHVNELHLPYINGFLPMDLRQRFSDQTARNAPIMDYGGLLQDVIPRMNE >DRNTG_22491.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2589865:2592548:-1 gene:DRNTG_22491 transcript:DRNTG_22491.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSEFTICDDASPLCSSSKMKYSKSREKKKKKLH >DRNTG_15788.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17111555:17112792:1 gene:DRNTG_15788 transcript:DRNTG_15788.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFLGCSCQRKIQSLIYSREDFKQYQSIVSSILSLTKQLADQSNVNQDRGNTQHTNSTSSL >DRNTG_16736.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000845.1:86189:102017:-1 gene:DRNTG_16736 transcript:DRNTG_16736.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARLCYLAESIDSEVFQAGSVAGFYSSDIGTIWKKVSERAFIGTDPARVDDYSDLLQIEEMGLNNYQWNAMEKKKVGGLHGIDAVTSLVAQVKSLIPNLRSTMPDSIHVSEERSNMDAQFGKVNAQLTQHAEQFSEIGSILRNLQASVKSLEYRVGELTKVLSLNSLDEYLGELENEEEEEPHRHPPIHNLKPPKERVSCTNAKEKKTKKESFMKRMWREIHGRKKKGLRPYWVVWRPSKLTTEPIRMALCSLRRPPYGPVWGPSSRFGYLGRGDCSLGTAPIRPGKLVELLFYVHKSECSSVIAFVPLQMDVPTRMRGDTTDEIVNEYIQEMFNPNPYEGLFDQEKDNEEVRMLCLTDEVPSTPGILKKVLRKMKRSRRRHRKCSKAVRDVRELKKLDGPLLAEMPQGRALGLMGREHGRGYFPHARVFAFRGSECFPESAQGHAFAPVRLSCGDAWAWLLSIRDPAIWELTLEVLLSFEFDKSYARFGDLDTVQFRALGHHYSLSITHLYKEGHYARLGAIFSGPYITRLVLGMGLLGMICKAEKTSIPAPLSLETMRLMGIVRRVCTGVYALVLPVPEIAGRRVMIPRLPSLPPSLNQHLWRPRLERAVRVIQTEEVEARAEIAYIRARKRTPQVPSASPAPPSPIPAPVDLPCTLSPAAAEPEDDIDT >DRNTG_18381.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000946.1:80550:85897:-1 gene:DRNTG_18381 transcript:DRNTG_18381.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSAILKPTNPDETKSQTSGGLLSAGGKLLDATEAVVIDTVTKITSGDILEPFTGHAKHGKEHQTDTSTTKPGESNTNPDHASSENENEFRPGQDYYLMPLPKSKTTTTTKTDASEKNNKNENENENDFTPGQEYYVMPLPKSTTTTTTKTDADEYHIAGGGEVKH >DRNTG_26144.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20031874:20034226:-1 gene:DRNTG_26144 transcript:DRNTG_26144.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA excision repair protein ERCC-1 [Source:Projected from Arabidopsis thaliana (AT3G05210) UniProtKB/Swiss-Prot;Acc:Q9MA98] MEKDEQHQQKEQGNDRKTLIQIPSYQEVFQPSSSSAPQSYNPPTTSISTSAASFSDAFSFIKASEFYTPPPSSIPSNNSDSQPSSSSSSSVPQNRNAILVSQRQKGNPLLKHIRNVRWVFADIIPDYLMGQGSCALYLSLRYHLLHPDYLYFRIRELQKNFKLRVVLCHVDVEDVVKPLLEVTRTAMLHDCTLLCGWR >DRNTG_26144.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20031874:20034226:-1 gene:DRNTG_26144 transcript:DRNTG_26144.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA excision repair protein ERCC-1 [Source:Projected from Arabidopsis thaliana (AT3G05210) UniProtKB/Swiss-Prot;Acc:Q9MA98] MEKDEQHQQKEQGNDRKTLIQIPSYQEVFQPSSSSAPQSYNPPTTSISTSAASFSDAFSFIKASEFYTPPPSSIPSNNSDSQPSSSSSSSVPQNRNAILVSQRQKGNPLLKHIRNVRWVFADIIPDYLMGQGSCALYLSLRYHLLHPDYLYFRIRELQKNFKLRVVLCHVDVVSRALSITYISLQFCLR >DRNTG_08412.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4899341:4901549:-1 gene:DRNTG_08412 transcript:DRNTG_08412.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g50390, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G50390) UniProtKB/Swiss-Prot;Acc:Q9FK33] MDLHPSLSYTPLSTISLPFFPLFSVSTISFFHPRKLRSLSVCSCSSGGKSLIRKPQLNSRTITTPVPDLRDDDEAEEEEEQEVDGDDEQQSAKKPSAFHSICAQIEKLVFFKRYDEALELFEVLQLRGGYGGVRASTYDSLVTACIGLRSVHGVKSVVRHMIDAGFTFDLYMRNRLLLMYVKCGMMVDARRVFDEMPERNVVSWNTIICGLVELGSYDEALELFLVMWEEMPEAAPRMFATVIRACAGLGEVFVGSQLHACVAKLGLYEQIYVSCALIDMYSKCGSIREAQWVFEEMPDKTVVGWNTIIAGYALHGYSEKALELYYEMRNSRVSMDQFTYSIVVRICARLGSLEHAKQAHAGLIRNGFGLDVVASTALVDFYCKWGRMDDARHVFDMMSRKNVISWNALIGGYANNGMGKEAIKMFERMIKEGMAPNHVTFLAVLTACSYSGFLDKGIEVFESMFRDPKMKPRAMHYACMIELLGREGLLDEALSLIKDAPFSPTTNMWAALLTACRFHKNLELGKFAAEKLFGMEPEKLSNYIVLLNIYNSCGRAADAAKVLEGLKKKGLRLLPACTWIEVKKQPHRFTFGDKTHPKSTKIYEKLDVLMNKIVEQGYVPEGKPLLPDVGQHEQRMSNSHSEKLAIAYGLISTPDSTPLQLVQGHRICGDCHRAIKLITVITKREIAVRDASRFHHFKQGRCSCGDYW >DRNTG_08412.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4899224:4901549:-1 gene:DRNTG_08412 transcript:DRNTG_08412.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g50390, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G50390) UniProtKB/Swiss-Prot;Acc:Q9FK33] MDLHPSLSYTPLSTISLPFFPLFSVSTISFFHPRKLRSLSVCSCSSGGKSLIRKPQLNSRTITTPVPDLRDDDEAEEEEEQEVDGDDEQQSAKKPSAFHSICAQIEKLVFFKRYDEALELFEVLQLRGGYGGVRASTYDSLVTACIGLRSVHGVKSVVRHMIDAGFTFDLYMRNRLLLMYVKCGMMVDARRVFDEMPERNVVSWNTIICGLVELGSYDEALELFLVMWEEMPEAAPRMFATVIRACAGLGEVFVGSQLHACVAKLGLYEQIYVSCALIDMYSKCGSIREAQWVFEEMPDKTVVGWNTIIAGYALHGYSEKALELYYEMRNSRVSMDQFTYSIVVRICARLGSLEHAKQAHAGLIRNGFGLDVVASTALVDFYCKWGRMDDARHVFDMMSRKNVISWNALIGGYANNGMGKEAIKMFERMIKEGMAPNHVTFLAVLTACSYSGFLDKGIEVFESMFRDPKMKPRAMHYACMIELLGREGLLDEALSLIKDAPFSPTTNMWAALLTACRFHKNLELGKFAAEKLFGMEPEKLSNYIVLLNIYNSCGRAADAAKVLEGLKKKGLRLLPACTWIEVKKQPHRFTFGDKTHPKSTKIYEKLDVLMNKIVEQGYVPEGKPLLPDVGQHEQRMSNSHSEKLAIAYGLISTPDSTPLQLVQGHRICGDCHRAIKLITVITKREIAVRDASRFHHFKQGRCSCGDYW >DRNTG_08412.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4899283:4901549:-1 gene:DRNTG_08412 transcript:DRNTG_08412.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g50390, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G50390) UniProtKB/Swiss-Prot;Acc:Q9FK33] MDLHPSLSYTPLSTISLPFFPLFSVSTISFFHPRKLRSLSVCSCSSGGKSLIRKPQLNSRTITTPVPDLRDDDEAEEEEEQEVDGDDEQQSAKKPSAFHSICAQIEKLVFFKRYDEALELFEVLQLRGGYGGVRASTYDSLVTACIGLRSVHGVKSVVRHMIDAGFTFDLYMRNRLLLMYVKCGMMVDARRVFDEMPERNVVSWNTIICGLVELGSYDEALELFLVMWEEMPEAAPRMFATVIRACAGLGEVFVGSQLHACVAKLGLYEQIYVSCALIDMYSKCGSIREAQWVFEEMPDKTVVGWNTIIAGYALHGYSEKALELYYEMRNSRVSMDQFTYSIVVRICARLGSLEHAKQAHAGLIRNGFGLDVVASTALVDFYCKWGRMDDARHVFDMMSRKNVISWNALIGGYANNGMGKEAIKMFERMIKEGMAPNHVTFLAVLTACSYSGFLDKGIEVFESMFRDPKMKPRAMHYACMIELLGREGLLDEALSLIKDAPFSPTTNMWAALLTACRFHKNLELGKFAAEKLFGMEPEKLSNYIVLLNIYNSCGRAADAAKVLEGLKKKGLRLLPACTWIEVKKQPHRFTFGDKTHPKSTKIYEKLDVLMNKIVEQGYVPEGKPLLPDVGQHEQRMSNSHSEKLAIAYGLISTPDSTPLQLVQGHRICGDCHRAIKLITVITKREIAVRDASRFHHFKQGRCSCGDYW >DRNTG_08412.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4900757:4901660:-1 gene:DRNTG_08412 transcript:DRNTG_08412.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g50390, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G50390) UniProtKB/Swiss-Prot;Acc:Q9FK33] MDLHPSLSYTPLSTISLPFFPLFSVSTISFFHPRKLRSLSVCSCSSGGKSLIRKPQLNSRTITTPVPDLRDDDEAEEEEEQEVDGDDEQQSAKKPSAFHSICAQIEKLVFFKRYDEALELFEVLQLRGGYGGVRASTYDSLVTACIGLRSVHGVKSVVRHMIDAGFTFDLYMRNRLLLMYVKCGMMVDARRVFDEMPERNVVSWNTIICGLVELGSYDEALELFLVMWEEMPEAAPRMFATVIRACAGLGEVFV >DRNTG_08412.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4899283:4900960:-1 gene:DRNTG_08412 transcript:DRNTG_08412.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g50390, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G50390) UniProtKB/Swiss-Prot;Acc:Q9FK33] MPERNVVSWNTIICGLVELGSYDEALELFLVMWEEMPEAAPRMFATVIRACAGLGEVFVGSQLHACVAKLGLYEQIYVSCALIDMYSKCGSIREAQWVFEEMPDKTVVGWNTIIAGYALHGYSEKALELYYEMRNSRVSMDQFTYSIVVRICARLGSLEHAKQAHAGLIRNGFGLDVVASTALVDFYCKWGRMDDARHVFDMMSRKNVISWNALIGGYANNGMGKEAIKMFERMIKEGMAPNHVTFLAVLTACSYSGFLDKGIEVFESMFRDPKMKPRAMHYACMIELLGREGLLDEALSLIKDAPFSPTTNMWAALLTACRFHKNLELGKFAAEKLFGMEPEKLSNYIVLLNIYNSCGRAADAAKVLEGLKKKGLRLLPACTWIEVKKQPHRFTFGDKTHPKSTKIYEKLDVLMNKIVEQGYVPEGKPLLPDVGQHEQRMSNSHSEKLAIAYGLISTPDSTPLQLVQGHRICGDCHRAIKLITVITKREIAVRDASRFHHFKQGRCSCGDYW >DRNTG_08412.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4899341:4901430:-1 gene:DRNTG_08412 transcript:DRNTG_08412.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g50390, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G50390) UniProtKB/Swiss-Prot;Acc:Q9FK33] MIDAGFTFDLYMRNRLLLMYVKCGMMVDARRVFDEMPERNVVSWNTIICGLVELGSYDEALELFLVMWEEMPEAAPRMFATVIRACAGLGEVFVGSQLHACVAKLGLYEQIYVSCALIDMYSKCGSIREAQWVFEEMPDKTVVGWNTIIAGYALHGYSEKALELYYEMRNSRVSMDQFTYSIVVRICARLGSLEHAKQAHAGLIRNGFGLDVVASTALVDFYCKWGRMDDARHVFDMMSRKNVISWNALIGGYANNGMGKEAIKMFERMIKEGMAPNHVTFLAVLTACSYSGFLDKGIEVFESMFRDPKMKPRAMHYACMIELLGREGLLDEALSLIKDAPFSPTTNMWAALLTACRFHKNLELGKFAAEKLFGMEPEKLSNYIVLLNIYNSCGRAADAAKVLEGLKKKGLRLLPACTWIEVKKQPHRFTFGDKTHPKSTKIYEKLDVLMNKIVEQGYVPEGKPLLPDVGQHEQRMSNSHSEKLAIAYGLISTPDSTPLQLVQGHRICGDCHRAIKLITVITKREIAVRDASRFHHFKQGRCSCGDYW >DRNTG_08412.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4899224:4901660:-1 gene:DRNTG_08412 transcript:DRNTG_08412.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g50390, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G50390) UniProtKB/Swiss-Prot;Acc:Q9FK33] MDLHPSLSYTPLSTISLPFFPLFSVSTISFFHPRKLRSLSVCSCSSGGKSLIRKPQLNSRTITTPVPDLRDDDEAEEEEEQEVDGDDEQQSAKKPSAFHSICAQIEKLVFFKRYDEALELFEVLQLRGGYGGVRASTYDSLVTACIGLRSVHGVKSVVRHMIDAGFTFDLYMRNRLLLMYVKCGMMVDARRVFDEMPERNVVSWNTIICGLVELGSYDEALELFLVMWEEMPEAAPRMFATVIRACAGLGEVFVGSQLHACVAKLGLYEQIYVSCALIDMYSKCGSIREAQWVFEEMPDKTVVGWNTIIAGYALHGYSEKALELYYEMRNSRVSMDQFTYSIVVRICARLGSLEHAKQAHAGLIRNGFGLDVVASTALVDFYCKWGRMDDARHVFDMMSRKNVISWNALIGGYANNGMGKEAIKMFERMIKEGMAPNHVTFLAVLTACSYSGFLDKGIEVFESMFRDPKMKPRAMHYACMIELLGREGLLDEALSLIKDAPFSPTTNMWAALLTACRFHKNLELGKFAAEKLFGMEPEKLSNYIVLLNIYNSCGRAADAAKVLEGLKKKGLRLLPACTWIEVKKQPHRFTFGDKTHPKSTKIYEKLDVLMNKIVEQGYVPEGKPLLPDVGQHEQRMSNSHSEKLAIAYGLISTPDSTPLQLVQGHRICGDCHRAIKLITVITKREIAVRDASRFHHFKQGRCSCGDYW >DRNTG_08412.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4899283:4901660:-1 gene:DRNTG_08412 transcript:DRNTG_08412.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g50390, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G50390) UniProtKB/Swiss-Prot;Acc:Q9FK33] MDLHPSLSYTPLSTISLPFFPLFSVSTISFFHPRKLRSLSVCSCSSGGKSLIRKPQLNSRTITTPVPDLRDDDEAEEEEEQEVDGDDEQQSAKKPSAFHSICAQIEKLVFFKRYDEALELFEVLQLRGGYGGVRASTYDSLVTACIGLRSVHGVKSVVRHMIDAGFTFDLYMRNRLLLMYVKCGMMVDARRVFDEMPERNVVSWNTIICGLVELGSYDEALELFLVMWEEMPEAAPRMFATVIRACAGLGEVFVGSQLHACVAKLGLYEQIYVSCALIDMYSKCGSIREAQWVFEEMPDKTVVGWNTIIAGYALHGYSEKALELYYEMRNSRVSMDQFTYSIVVRICARLGSLEHAKQAHAGLIRNGFGLDVVASTALVDFYCKWGRMDDARHVFDMMSRKNVISWNALIGGYANNGMGKEAIKMFERMIKEGMAPNHVTFLAVLTACSYSGFLDKGIEVFESMFRDPKMKPRAMHYACMIELLGREGLLDEALSLIKDAPFSPTTNMWAALLTACRFHKNLELGKFAAEKLFGMEPEKLSNYIVLLNIYNSCGRAADAAKVLEGLKKKGLRLLPACTWIEVKKQPHRFTFGDKTHPKSTKIYEKLDVLMNKIVEQGYVPEGKPLLPDVGQHEQRMSNSHSEKLAIAYGLISTPDSTPLQLVQGHRICGDCHRAIKLITVITKREIAVRDASRFHHFKQGRCSCGDYW >DRNTG_08412.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4899224:4900960:-1 gene:DRNTG_08412 transcript:DRNTG_08412.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g50390, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G50390) UniProtKB/Swiss-Prot;Acc:Q9FK33] MPERNVVSWNTIICGLVELGSYDEALELFLVMWEEMPEAAPRMFATVIRACAGLGEVFVGSQLHACVAKLGLYEQIYVSCALIDMYSKCGSIREAQWVFEEMPDKTVVGWNTIIAGYALHGYSEKALELYYEMRNSRVSMDQFTYSIVVRICARLGSLEHAKQAHAGLIRNGFGLDVVASTALVDFYCKWGRMDDARHVFDMMSRKNVISWNALIGGYANNGMGKEAIKMFERMIKEGMAPNHVTFLAVLTACSYSGFLDKGIEVFESMFRDPKMKPRAMHYACMIELLGREGLLDEALSLIKDAPFSPTTNMWAALLTACRFHKNLELGKFAAEKLFGMEPEKLSNYIVLLNIYNSCGRAADAAKVLEGLKKKGLRLLPACTWIEVKKQPHRFTFGDKTHPKSTKIYEKLDVLMNKIVEQGYVPEGKPLLPDVGQHEQRMSNSHSEKLAIAYGLISTPDSTPLQLVQGHRICGDCHRAIKLITVITKREIAVRDASRFHHFKQGRCSCGDYW >DRNTG_08412.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4899341:4901660:-1 gene:DRNTG_08412 transcript:DRNTG_08412.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g50390, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G50390) UniProtKB/Swiss-Prot;Acc:Q9FK33] MDLHPSLSYTPLSTISLPFFPLFSVSTISFFHPRKLRSLSVCSCSSGGKSLIRKPQLNSRTITTPVPDLRDDDEAEEEEEQEVDGDDEQQSAKKPSAFHSICAQIEKLVFFKRYDEALELFEVLQLRGGYGGVRASTYDSLVTACIGLRSVHGVKSVVRHMIDAGFTFDLYMRNRLLLMYVKCGMMVDARRVFDEMPERNVVSWNTIICGLVELGSYDEALELFLVMWEEMPEAAPRMFATVIRACAGLGEVFVGSQLHACVAKLGLYEQIYVSCALIDMYSKCGSIREAQWVFEEMPDKTVVGWNTIIAGYALHGYSEKALELYYEMRNSRVSMDQFTYSIVVRICARLGSLEHAKQAHAGLIRNGFGLDVVASTALVDFYCKWGRMDDARHVFDMMSRKNVISWNALIGGYANNGMGKEAIKMFERMIKEGMAPNHVTFLAVLTACSYSGFLDKGIEVFESMFRDPKMKPRAMHYACMIELLGREGLLDEALSLIKDAPFSPTTNMWAALLTACRFHKNLELGKFAAEKLFGMEPEKLSNYIVLLNIYNSCGRAADAAKVLEGLKKKGLRLLPACTWIEVKKQPHRFTFGDKTHPKSTKIYEKLDVLMNKIVEQGYVPEGKPLLPDVGQHEQRMSNSHSEKLAIAYGLISTPDSTPLQLVQGHRICGDCHRAIKLITVITKREIAVRDASRFHHFKQGRCSCGDYW >DRNTG_08412.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4899341:4900960:-1 gene:DRNTG_08412 transcript:DRNTG_08412.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g50390, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G50390) UniProtKB/Swiss-Prot;Acc:Q9FK33] MPERNVVSWNTIICGLVELGSYDEALELFLVMWEEMPEAAPRMFATVIRACAGLGEVFVGSQLHACVAKLGLYEQIYVSCALIDMYSKCGSIREAQWVFEEMPDKTVVGWNTIIAGYALHGYSEKALELYYEMRNSRVSMDQFTYSIVVRICARLGSLEHAKQAHAGLIRNGFGLDVVASTALVDFYCKWGRMDDARHVFDMMSRKNVISWNALIGGYANNGMGKEAIKMFERMIKEGMAPNHVTFLAVLTACSYSGFLDKGIEVFESMFRDPKMKPRAMHYACMIELLGREGLLDEALSLIKDAPFSPTTNMWAALLTACRFHKNLELGKFAAEKLFGMEPEKLSNYIVLLNIYNSCGRAADAAKVLEGLKKKGLRLLPACTWIEVKKQPHRFTFGDKTHPKSTKIYEKLDVLMNKIVEQGYVPEGKPLLPDVGQHEQRMSNSHSEKLAIAYGLISTPDSTPLQLVQGHRICGDCHRAIKLITVITKREIAVRDASRFHHFKQGRCSCGDYW >DRNTG_08412.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4899283:4901430:-1 gene:DRNTG_08412 transcript:DRNTG_08412.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g50390, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G50390) UniProtKB/Swiss-Prot;Acc:Q9FK33] MIDAGFTFDLYMRNRLLLMYVKCGMMVDARRVFDEMPERNVVSWNTIICGLVELGSYDEALELFLVMWEEMPEAAPRMFATVIRACAGLGEVFVGSQLHACVAKLGLYEQIYVSCALIDMYSKCGSIREAQWVFEEMPDKTVVGWNTIIAGYALHGYSEKALELYYEMRNSRVSMDQFTYSIVVRICARLGSLEHAKQAHAGLIRNGFGLDVVASTALVDFYCKWGRMDDARHVFDMMSRKNVISWNALIGGYANNGMGKEAIKMFERMIKEGMAPNHVTFLAVLTACSYSGFLDKGIEVFESMFRDPKMKPRAMHYACMIELLGREGLLDEALSLIKDAPFSPTTNMWAALLTACRFHKNLELGKFAAEKLFGMEPEKLSNYIVLLNIYNSCGRAADAAKVLEGLKKKGLRLLPACTWIEVKKQPHRFTFGDKTHPKSTKIYEKLDVLMNKIVEQGYVPEGKPLLPDVGQHEQRMSNSHSEKLAIAYGLISTPDSTPLQLVQGHRICGDCHRAIKLITVITKREIAVRDASRFHHFKQGRCSCGDYW >DRNTG_08412.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4899224:4901430:-1 gene:DRNTG_08412 transcript:DRNTG_08412.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g50390, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G50390) UniProtKB/Swiss-Prot;Acc:Q9FK33] MIDAGFTFDLYMRNRLLLMYVKCGMMVDARRVFDEMPERNVVSWNTIICGLVELGSYDEALELFLVMWEEMPEAAPRMFATVIRACAGLGEVFVGSQLHACVAKLGLYEQIYVSCALIDMYSKCGSIREAQWVFEEMPDKTVVGWNTIIAGYALHGYSEKALELYYEMRNSRVSMDQFTYSIVVRICARLGSLEHAKQAHAGLIRNGFGLDVVASTALVDFYCKWGRMDDARHVFDMMSRKNVISWNALIGGYANNGMGKEAIKMFERMIKEGMAPNHVTFLAVLTACSYSGFLDKGIEVFESMFRDPKMKPRAMHYACMIELLGREGLLDEALSLIKDAPFSPTTNMWAALLTACRFHKNLELGKFAAEKLFGMEPEKLSNYIVLLNIYNSCGRAADAAKVLEGLKKKGLRLLPACTWIEVKKQPHRFTFGDKTHPKSTKIYEKLDVLMNKIVEQGYVPEGKPLLPDVGQHEQRMSNSHSEKLAIAYGLISTPDSTPLQLVQGHRICGDCHRAIKLITVITKREIAVRDASRFHHFKQGRCSCGDYW >DRNTG_24055.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26530988:26532584:1 gene:DRNTG_24055 transcript:DRNTG_24055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAASTGCRTKKRSDEKVLLRARECRERITLSYKRLVASIATPYQGKGLSFQDLIQVHLMLLLILR >DRNTG_00586.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29929579:29931678:1 gene:DRNTG_00586 transcript:DRNTG_00586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSFRNWSYYEQPMKGNLGLQLMPSVVERDTKPLLPNGSFMQHPDSSVPMEFIRDHWMHHNRDTKMHHGLPMNHNYHVLTDPPVTNTLQMLQPTDALKDDKLPTVEDSVARNDAPLKKRSQGRASKAPKVKKPKKANDLRDAEMTGSAPRGRTVKNTNTSLVINGINLDISGIPTPVCSCTGTPQQCYRWGVGGWQSACCTTSISMYPLPMSTKRRGARIAGRKMSQGAFKKVLERLASEGQNLSNPIDLKTFWAKHGTNKFVTIR >DRNTG_00586.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29929579:29931678:1 gene:DRNTG_00586 transcript:DRNTG_00586.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSFRNWSYYEQPMKGNLGLQLMPSVVERDTKPLLPNGSFMQHPDSSVPMEFIRDHWMHHNRDTKMHHGLPMNHNYHVLTDPPVTNTLQMLQPTDALKDDKLPTVEDSVARNDAPLKKRSQGRASKAPKVKKPKKANDLRDAEMTGSAPRGRTVKNTNTSLVINGINLDISGIPTPVCSCTGTPQQCYRWGVGGWQSACCTTSISMYPLPMSTKRRGARIAGRKMSQGAFKKVLERLASEGQNLSNPIDLKTFWAKHGTNKFVTIR >DRNTG_00586.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29929579:29931678:1 gene:DRNTG_00586 transcript:DRNTG_00586.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSFRNWSYYEQPMKGNLGLQLMPSVVERDTKPLLPNGSFMQHPDSSVPMEFIRDHWMHHNRDTKMHHGLPMNHNYHVLTDPPVTNTLQMLQPTDALKDDKLPTVEDSVARNDAPLKKRSQGRASKAPKVKKPKKANDLRDAEMTGSAPRGRTVKNTNTSLVINGINLDISGIPTPVCSCTGTPQQCYRWGVGGWQSACCTTSISMYPLPMSTKRRGARIAGRKMSQGAFKKVLERLASEGQNLSNPIDLKTFWAKHGTNKFVTIR >DRNTG_33017.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4819306:4820351:-1 gene:DRNTG_33017 transcript:DRNTG_33017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWCGGGDSASLDLLQIVQYIKDLIRETWTKLNTNLFTMSSSFEIPFNNLEMDIARVAHCIYEYRDGYGIPKNETKDKVISLLIKPISLGNM >DRNTG_16114.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1524101:1525615:1 gene:DRNTG_16114 transcript:DRNTG_16114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPPPPSTTSSSSTDPPPPPPHPPHPHPHPHPPQQQQLSRYEAQKRRDWNTFQQYLRNHKPPLALPRCSGAHVIEFLKYLDQFGKTKVHVNPCPYFGNPNPPSPCACPLRQAWGSLDALIGRLRAAYEESGGRPESNPFAARAVRIYLREVRESQAHARGIPYEKKKRKRVTSGVTAGNVSSSSACGGGGSSSEVMEEGGGAPPT >DRNTG_17521.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28922235:28923839:-1 gene:DRNTG_17521 transcript:DRNTG_17521.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMELRSESRKQASLHQKIALIIFCISLFFISVSALLVQTDISRLRTGSQIQRWSNEVGLGRRRLGGPGSHPPRCAAKCGSCAPCLAVHVTVPPGTPTPAEYYPEAWRCKCGNRYYMPWLNHSN >DRNTG_03973.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26450144:26453596:-1 gene:DRNTG_03973 transcript:DRNTG_03973.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPVLVVCQGYISPSMRHKQRGKIQMVRGVQENLVSMALINWIAAAFLLISSTFIAFPGTKAIGVNYGMLGNNLPTPAQVVALYKSNNIDKLRLYNPDSSALQALQGSGIQVILGTLNEDLQMLASSPSFATNWVATNVAPYAESVNFSYITAGNEVIPGTQANYVYPAIQNLDSALQAANFSIPVTTSVSTEVLGVSYPPSQGAFSQNATSIMSPIVSYLASKQSPLLVNVYPYFAYSGDPQNVKLDYALFTAQGVVVQDGSLGYKNLFDAIVDSLYSALEKTGQPNLDVVVSETGWPSGGGANGATIENAKIYNNNVIAHVNGETGTPKRPGKSIETYLFAMFNENQKPEGTEQNFGLFHPDMTQVYPVDFSS >DRNTG_03973.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26450110:26453125:-1 gene:DRNTG_03973 transcript:DRNTG_03973.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGVQENLVSMALINWIAAAFLLISSTFIAFPGTKAIGVNYGMLGNNLPTPAQVVALYKSNNIDKLRLYNPDSSALQALQGSGIQVILGTLNEDLQMLASSPSFATNWVATNVAPYAESVNFSYITAGNEVIPGTQANYVYPAIQNLDSALQAANFSIPVTTSVSTEVLGVSYPPSQGAFSQNATSIMSPIVSYLASKQSPLLVNVYPYFAYSGDPQNVKLDYALFTAQGVVVQDGSLGYKNLFDAIVDSLYSALEKTGQPNLDVVVSETGWPSGGGANGATIENAKIYNNNVIAHVNGETGTPKRPGKSIETYLFAMFNENQKPEGTEQNFGLFHPDMTQVYPVDFSS >DRNTG_32046.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11255571:11256921:-1 gene:DRNTG_32046 transcript:DRNTG_32046.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKRLNIVLANYRDSSQSQIQLEQSSSQSQNQLPHPQQQREGSASMPLEENQAFV >DRNTG_32046.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11255210:11256575:-1 gene:DRNTG_32046 transcript:DRNTG_32046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVWEMNAEKRIAVQLNAQYIPIGDESCTLSRFIGSMIRKFSFAPIDYTSWKEMPIKKISEMWDVVESKFQFVPYKDESDEEITEEMLQKQFKVAKSWVFKNMSGKWRQWKNYLKSTGFDPSKTVDEMAEELLDSRVDKDQFKKIVGYWCSEKAKEISIINQANRLKLEEPHCTGTKSFARIMDEKSKDANGIPPSRAQMYILSRTKKEGTIVSQKAAEVVEQMKIHMNDSSDSSNKNGWSWENDVYAKVKGPEKRGRVRCVGDVYASSSKSGSSTQRNEEVLSLKSYVNGLEQKLELQSQV >DRNTG_32046.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11255210:11255679:-1 gene:DRNTG_32046 transcript:DRNTG_32046.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYILSRTKKEGTIVSQKAAEVVEQMKIHMNDSSDSSNKNGWSWENDVYAKVKGPEKRGRVRCVGDVYASSSKSGSSTQRNEEVLSLKSYVNGLEQKLELQSQV >DRNTG_10072.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26591977:26595030:1 gene:DRNTG_10072 transcript:DRNTG_10072.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKNASGGLHRLNKKNQQSKSTWSKCQRWTLHIQCRIPPTTKKMVKNKKKK >DRNTG_10072.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26591977:26593685:1 gene:DRNTG_10072 transcript:DRNTG_10072.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTENTQNTEIIQKYGKHANTVMYISLANNRIQQRKAGSSTVKSEQNRTEYQQPKPDLRP >DRNTG_14472.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000693.1:91126:91473:-1 gene:DRNTG_14472 transcript:DRNTG_14472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMGMVCRVRTGVFALVIPALEIAKDEGDEAEASQPAPEPQPAPMETEDCFERLENALGVIRTEVAEARAEIAEIRATQTTQYTEFMARFNRLQ >DRNTG_33556.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28475847:28487428:-1 gene:DRNTG_33556 transcript:DRNTG_33556.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIGCQTLFDFINCQMDGTYMFNLESMIPRLCELAQEIGEDEKTHNTRAAGLQALSSMIWFMGEYSHISAELDNIVSVVLENYESPQKKPDVLNKQPSQNRWVQEVRKTEGHMSPSPICITRIPSWKHIVKDEVNLTFDEGRNPNFWSRVCLRNMAELVKEATTVRRVLESFFRYFDNNNMWSPENGLALCVLLDLQSLMEKSGQNTHLLLSILIKHLDHKAVLKQPDMQLNIVQVTTSLAEHSKCQTSAPLVTAISDLVRHLRRTMQCALCSLDLGDDIIKWSNEFRAALDDCLVHLCKKVGDAGPVLDMMAVILENLTSTVSVSRSTVSAVYRTAQIIASVPNLSYQNKAFPEALFHQLLIAMVHPDRETHLGAHRIFSVVLVPSSVCPHGCSAVPDSPKMSDLRRTLSRTVSVFSSSAALFEKLKRDKFSSRGNGNQDSQDNVTDAKLYKLQSSKSRIYSMKGSSLPSAGDKHSSNNSPKEMDPVPLRLSNRQIILLLSSIFAQATFPENTPENYEAISHTYGLLLLFSRAKTPISETLARSFQLAFSLRSISLGGGGSLSPSRCRSLFVLATSMIIFSSKVFNITPLVPIAKLSLNEKLVDPFLHLDDCRLQAVKNVKVYGSKDDDNAASKSLSAVEIKESQSREAMASVILNCLGDIADSELSVVRKQLLADFVPDDVCPLGAQFVEQSGQLSSLVSKNDVESQEEVIAANLSVEDDLLIFENVADPEPQLLPDTSTLLGVNQLLESVLETAWQPGRFSVSTTPDVPFKEMASHCEALLMGKQQKMSVFMSAQQKQERYLTDLSQDQNEVNQPLYMCTEQFYRVGNPFLDPAPNSYPYALSTTAPVFYPSQVHYQPEPFRLPASSPYDNFLKAAGC >DRNTG_33556.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28475847:28485087:-1 gene:DRNTG_33556 transcript:DRNTG_33556.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIGCQTLFDFINCQMDGTYMFNLESMIPRLCELAQEIGEDEKTHNTRAAGLQALSSMIWFMGEYSHISAELDNIVSVVLENYESPQKKPDVLNKQPSQNRWVQEVRKTEGHMSPSPICITRIPSWKHIVKDEVNLTFDEGRNPNFWSRVCLRNMAELVKEATTVRRVLESFFRYFDNNNMWSPENGLALCVLLDLQSLMEKSGQNTHLLLSILIKHLDHKAVLKQPDMQLNIVQVTTSLAEHSKCQTSAPLVTAISDLVRHLRRTMQCALCSLDLGDDIIKWSNEFRAALDDCLVHLCKKVGDAGPVLDMMAVILENLTSTVSVSRSTVSAVYRTAQIIASVPNLSYQNKAFPEALFHQLLIAMVHPDRETHLGAHRIFSVVLVPSSVCPHGCSAVPDSPKMSDLRRTLSRTVSVFSSSAALFEKLKRDKFSSRGNGNQDSQDNVTDAKLYKLQSSKSRIYSMKGSSLPSAGDKHSSNNSPKEMDPVPLRLSNRQIILLLSSIFAQATFPENTPENYEAISHTYGLLLLFSRAKTPISETLARSFQLAFSLRSISLGGGGSLSPSRCRSLFVLATSMIIFSSKVFNITPLVPIAKLSLNEKLVDPFLHLDDCRLQAVKNVKVYGSKDDDNAASKSLSAVEIKESQSREAMASVILNCLGDIADSELSVVRKQLLADFVPDDVCPLGAQFVEQSGQLSSLVSKNDVESQEEVIAANLSVEDDLLIFENVADPEPQLLPDTSTLLGVNQLLESVLETAWQPGRFSVSTTPDVPFKEMASHCEALLMGKQQKMSVFMSAQQKQERYLTDLSQDQNEVNQPLYMCTEQFYRVGNPFLDPAPNSYPYALSTTAPVFYPSQVHYQPEPFRLPASSPYDNFLKAAGC >DRNTG_33556.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28475847:28484600:-1 gene:DRNTG_33556 transcript:DRNTG_33556.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTYMFNLESMIPRLCELAQEIGEDEKTHNTRAAGLQALSSMIWFMGEYSHISAELDNIVSVVLENYESPQKKPDVLNKQPSQNRWVQEVRKTEGHMSPSPICITRIPSWKHIVKDEVNLTFDEGRNPNFWSRVCLRNMAELVKEATTVRRVLESFFRYFDNNNMWSPENGLALCVLLDLQSLMEKSGQNTHLLLSILIKHLDHKAVLKQPDMQLNIVQVTTSLAEHSKCQTSAPLVTAISDLVRHLRRTMQCALCSLDLGDDIIKWSNEFRAALDDCLVHLCKKVGDAGPVLDMMAVILENLTSTVSVSRSTVSAVYRTAQIIASVPNLSYQNKAFPEALFHQLLIAMVHPDRETHLGAHRIFSVVLVPSSVCPHGCSAVPDSPKMSDLRRTLSRTVSVFSSSAALFEKLKRDKFSSRGNGNQDSQDNVTDAKLYKLQSSKSRIYSMKGSSLPSAGDKHSSNNSPKEMDPVPLRLSNRQIILLLSSIFAQATFPENTPENYEAISHTYGLLLLFSRAKTPISETLARSFQLAFSLRSISLGGGGSLSPSRCRSLFVLATSMIIFSSKVFNITPLVPIAKLSLNEKLVDPFLHLDDCRLQAVKNVKVYGSKDDDNAASKSLSAVEIKESQSREAMASVILNCLGDIADSELSVVRKQLLADFVPDDVCPLGAQFVEQSGQLSSLVSKNDVESQEEVIAANLSVEDDLLIFENVADPEPQLLPDTSTLLGVNQLLESVLETAWQPGRFSVSTTPDVPFKEMASHCEALLMGKQQKMSVFMSAQQKQERYLTDLSQDQNEVNQPLYMCTEQFYRVGNPFLDPAPNSYPYALSTTAPVFYPSQVHYQPEPFRLPASSPYDNFLKAAGC >DRNTG_33556.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28475847:28488792:-1 gene:DRNTG_33556 transcript:DRNTG_33556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMSRKVLPLCGRLLFLCPSLRARSRQPVKRYKKILSEIFPRLQDEEPNDRKIGKLCEYASKNPLRIPKITDYLEQRCYKELRIEHFGFAKIVMRIYRKLLLSCKEQMPLFAGSLLSIIHTLLDQTRHDEMRIIGCQTLFDFINCQMDGTYMFNLESMIPRLCELAQEIGEDEKTHNTRAAGLQALSSMIWFMGEYSHISAELDNIVSVVLENYESPQKKPDVLNKQPSQNRWVQEVRKTEGHMSPSPICITRIPSWKHIVKDEVNLTFDEGRNPNFWSRVCLRNMAELVKEATTVRRVLESFFRYFDNNNMWSPENGLALCVLLDLQSLMEKSGQNTHLLLSILIKHLDHKAVLKQPDMQLNIVQVTTSLAEHSKCQTSAPLVTAISDLVRHLRRTMQCALCSLDLGDDIIKWSNEFRAALDDCLVHLCKKVGDAGPVLDMMAVILENLTSTVSVSRSTVSAVYRTAQIIASVPNLSYQNKAFPEALFHQLLIAMVHPDRETHLGAHRIFSVVLVPSSVCPHGCSAVPDSPKMSDLRRTLSRTVSVFSSSAALFEKLKRDKFSSRGNGNQDSQDNVTDAKLYKLQSSKSRIYSMKGSSLPSAGDKHSSNNSPKEMDPVPLRLSNRQIILLLSSIFAQATFPENTPENYEAISHTYGLLLLFSRAKTPISETLARSFQLAFSLRSISLGGGGSLSPSRCRSLFVLATSMIIFSSKVFNITPLVPIAKLSLNEKLVDPFLHLDDCRLQAVKNVKVYGSKDDDNAASKSLSAVEIKESQSREAMASVILNCLGDIADSELSVVRKQLLADFVPDDVCPLGAQFVEQSGQLSSLVSKNDVESQEEVIAANLSVEDDLLIFENVADPEPQLLPDTSTLLGVNQLLESVLETAWQPGRFSVSTTPDVPFKEMASHCEALLMGKQQKMSVFMSAQQKQERYLTDLSQDQNEVNQPLYMCTEQFYRVGNPFLDPAPNSYPYALSTTAPVFYPSQVHYQPEPFRLPASSPYDNFLKAAGC >DRNTG_29151.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5310554:5315793:1 gene:DRNTG_29151 transcript:DRNTG_29151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRRRSKGKVDREAEEEELEEREARSVVVLTSSDDEEANKDLSLEIVEKARNRKGKRLFPTEDDGVAKRVPLVELSSASDDGVGGELVVPEEEAEEKKKRKKRKKSKKSKEVVEEDGAAEMLVKGEESQETLGMADSVHTGANGASDLTALPELVNAHRKDDDPLVTEDSLTNEPSDNVILRKLLRGPRYFDPGDSTWQGSCFNCGEEGHAAANCTAEKRRRPCFVCGMFGHNSYQCTKGQDCFICRRRGHFAKDCPDKHQRNSHDYKICLRCGDAGHDMLTCSNDYAPEDMKDIQCYVCRKFGHLCCVDCKQEGSGEVFCYICAQSGHTGAGCAKQSAADVDASPSLCYKCGEEGHFARGCTKSTKSDRSDKFMGKLHTPPRKFSLGESSTTSRRFASGESSKRSQKYVEDKKGFQSAPHYAGKTHNKQRVQHEGRWNGTPRVSRSNGGWTVDGPGETTKGRHKASGWVSSSTYGESYNKKQFTPSSGHYSSSRSSPRKHKYFSNSQNSASRHGLSDFRSGRSQGHYGRN >DRNTG_18057.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:100623:102143:1 gene:DRNTG_18057 transcript:DRNTG_18057.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDSSHKSHMVPSLAGPNFAKASSTSSLTCKKV >DRNTG_25757.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21412374:21415763:-1 gene:DRNTG_25757 transcript:DRNTG_25757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPMVQEEAEKLEEQLKKLDVEEPIVEDVKEDEADDDDEDEDEEDAEGDKETGATEGSKQSRSEKKSRKAIMKLGLKPVTGVSRINIKRNKNIMFAITKPEVFKCPNSDTYVIFGEAKIEDLSSQIQSQAAQQFRMPDLSNVVAKPEASAPVEEEEEEVDETGVAPGDIELVMTQAGVSRAKAVTALKAHDGDIVGAIMELTA >DRNTG_06703.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3589420:3596453:-1 gene:DRNTG_06703 transcript:DRNTG_06703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMMSGMGARFGVFEILTAFYKDGREDEYVYVAEALLAGIVGGATEAVVSTPFELLKLRGQVSSTAKSRSMGATKSLSELVPVAWKLLPTYNPDQKMWNQTLSLLTTLPKKHSNMAVALKQYPWLLTGSGRPPLASEVKRPSDIISLEGWQALWRGLRPGIVRDCVFGGIFFSTWQFIHIGMLNWKALDIDPPPRSINGVGPVSPLAASLAAGISGSIAAAASHTLDTAKCRSQCIVIPKYIAMERKLLRWKAPGNWIERVTGTSPADRNILFRGIWLRMARSGLASFAVVGSYLFAVDHFF >DRNTG_06703.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3589420:3593105:-1 gene:DRNTG_06703 transcript:DRNTG_06703.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMMSGMGARFGVFEILTAFYKDGREDEYVYVAEALLAGIVGGATEAVVSTPFELLKLRGQVSSTAKSRSMGATKSLSELVPVAWKLLPTYNPDQKMWNQTLSLLTTLPKKHSNMAVALKQYPWLLTGSGRPPLASEVKRPSDIISLEGWQALWRGLRPGIVRDCVFGGIFFSTWQFIHIGMLNWKALDIDPPPRSINGVGPVSPLAASLAAGISGSIAAAASHTLDTAKCRSQCIVIPKYIAMERKLLRWKAPGNWIERVTGTSPADRNILFRGIWLRMARSGLASFAVVGSYLFAVDHFF >DRNTG_06703.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3589420:3596453:-1 gene:DRNTG_06703 transcript:DRNTG_06703.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMMSGMGARFGVFEILTAFYKDGREDEYVYVAEALLAGIVGGATEAVVSTPFELLKLRGQVSSTAKSRSMGATKSLSELVPVAWKLLPTYNPDQKMWNQTLSLLTTLPKKHSNMAVALKQYPWLLTGSGRPPLASEVKRPSDIISLEGWQALWRGLRPGIVRDCVFGGIFFSTWQFIHIGMLNWKALDIDPPPRFSFWVN >DRNTG_03635.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11821498:11825157:1 gene:DRNTG_03635 transcript:DRNTG_03635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGDHSFGFQKPCQTRTRKMVLVLGFMASMVFVFQIFQLSSGGALSHLFPTAQFPDQEVSALQHDAGSSSMRVGKFSLLSELQKSVNQSIILKDPGKLEPFDLEGKVDEHVKETGEQLEEEDDDDTNVDETDEFLLDIRDDDTVLKKIGDSVDGFITLEKVVEKEKGLAKKNVSEFTDGVSDGIDKFKPMEQNTLDEKSTYQGHAISVQMDDASGEKSSVPSINVASNSGSEGQQQTKVLSLEKGFMKIIPMDRTSVGARTPSPKKRMKKKKKRNLSPAYTSIKPQWSAAHDRQLLAIRAQIENASLITNDGELYAPAFRNISMFKRSYELMQRNLKVYVYKEGEKPIFHQPLLKGIYASEGWFMKLLEGSKHFVVRDPRKAHLFYIPFSSRLLQFALYTPNSHNRRALKEFLQNYVNTIAAKYPFWNRTGGADHFLVACHDWASYETRHTTGLAIRALCNADTHDSFILGKDVSLPETYVRSAANPLRDLGGMPANKRPTLAFFAGNLHGRLRPILLQHWENKDPDMKITGPMPGGVQSKMDYIEHMKSSKYCICPRGHEVNSPRIVESIFYECVPVIISDNYVPPFFEVLNWEVFSVIVAEKDVPRLKGDTGID >DRNTG_16739.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13973234:13977569:1 gene:DRNTG_16739 transcript:DRNTG_16739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLDLYEGLFDQEVDNEEVMMLGSMEEVASTSGIMKKVLRKMKKVRRRHQKCPKVIGDVHEPNKLDEPLLGGTKPNSSECVYMFRNFLQSLQSFLRHPEKTHEGAEISLARGFALQARPEKAQGRRRGLAPVSDLVTPARPWQSSPPSREETGACDCPYREATGTCVCLYGSGARAWTFSARPYSYVQRGTLVKLLFYVHKSECSSVATFVPLQMDVPTRIRGGPCGILQYSFLSFFDHFLRHSSHVWHRARRSKGVSVLETSPKLAHIEFSKPEHQARFDRLSGLRKLEEIIVDTRASYLAADTGNCANSLGARCGRISTILGPE >DRNTG_06562.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22650422:22652920:1 gene:DRNTG_06562 transcript:DRNTG_06562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIREYYSAKDDEEVVLCIKELNSPNFFPTMISLWITDSFERKDMERDLLATLLVKLNQSQDHLLSETQLIQGFENVLSSLEDAINDAPKAAEFLGRLCARVILDTPMPLKEIGRLILEGGEEPGQLLESGVASRSLAAFLEFIQKEKKGIQFLNQLRANSGFASGEFPASSSLESKEARPIPLDSQIQCFAVVIYEFFFFLFLFVSS >DRNTG_31273.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19842868:19844299:-1 gene:DRNTG_31273 transcript:DRNTG_31273.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRVYPSAKPNPHPPPPAANGAAAAGGPPSFPATKAQMYGATRPAYRPQPLSKQRRRRSRRGCCCACCLWLMLVIVALILLAAIAGGVFYVLYRPQRPTFSVSSLRLSTLNLTSNHLNSRIDLSVTARNPNRKLVFLYDPITIAASSSGIDIGDGSFPAFTHDTKNTTILKTALTSSSQSLDSSTASDLKKKTTIPLEIDLETKAGVKIGSLKTKKIGIQVSCTGINVAVPKSKGKPSAADSPDASCKVKIRIKIWKWTV >DRNTG_31273.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19842868:19844714:-1 gene:DRNTG_31273 transcript:DRNTG_31273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRVYPSAKPNPHPPPPAANGAAAAGGPPSFPATKAQMYGATRPAYRPQPLSKQRRRRSRRGCCCACCLWLMLVIVALILLAAIAGGVFYVLYRPQRPTFSVSSLRLSTLNLTSNHLNSRIDLSVTARNPNRKLVFLYDPITIAASSSGIDIGDGSFPAFTHDTKNTTILKTALTSSSQSLDSSTASDLKKKTTIPLEIDLETKAGVKIGSLKTKKIGIQVSCTGINVAVPKSKGKPSAADSPDASCKVKIRIKIWKWTV >DRNTG_31273.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19842868:19844212:-1 gene:DRNTG_31273 transcript:DRNTG_31273.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRVYPSAKPNPHPPPPAANGAAAAGGPPSFPATKAQMYGATRPAYRPQPLSKQRRRRSRRGCCCACCLWLMLVIVALILLAAIAGGVFYVLYRPQRPTFSVSSLRLSTLNLTSNHLNSRIDLSVTARNPNRKLVFLYDPITIAASSSGIDIGDGSFPAFTHDTKNTTILKTALTSSSQSLDSSTASDLKKKTTIPLEIDLETKAGVKIGSLKTKKIGIQVSCTGINVAVPKSKGKPSAADSPDASCKVKIRIKIWKWTV >DRNTG_31273.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19843146:19844212:-1 gene:DRNTG_31273 transcript:DRNTG_31273.10 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRVYPSAKPNPHPPPPAANGAAAAGGPPSFPATKAQMYGATRPAYRPQPLSKQRRRRSRRGCCCACCLWLMLVIVALILLAAIAGGVFYVLYRPQRPTFSVSSLRLSTLNLTSNHLNSRIDLSVTARNPNRKLVFLYDPITIAASSSGIDIGDGSFPAFTHDTKNTTILKTALTSSSQSLDSSTASDLKKKTTIPLEIDLETKAGVKIGSLKTKKIGIQVSCTGINVAVPKSKGKPSAADSPDASCKVKIRIKIWKWTV >DRNTG_31273.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19843146:19844714:-1 gene:DRNTG_31273 transcript:DRNTG_31273.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRVYPSAKPNPHPPPPAANGAAAAGGPPSFPATKAQMYGATRPAYRPQPLSKQRRRRSRRGCCCACCLWLMLVIVALILLAAIAGGVFYVLYRPQRPTFSVSSLRLSTLNLTSNHLNSRIDLSVTARNPNRKLVFLYDPITIAASSSGIDIGDGSFPAFTHDTKNTTILKTALTSSSQSLDSSTASDLKKKTTIPLEIDLETKAGVKIGSLKTKKIGIQVSCTGINVAVPKSKGKPSAADSPDASCKVKIRIKIWKWTV >DRNTG_31273.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19843146:19844529:-1 gene:DRNTG_31273 transcript:DRNTG_31273.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRVYPSAKPNPHPPPPAANGAAAAGGPPSFPATKAQMYGATRPAYRPQPLSKQRRRRSRRGCCCACCLWLMLVIVALILLAAIAGGVFYVLYRPQRPTFSVSSLRLSTLNLTSNHLNSRIDLSVTARNPNRKLVFLYDPITIAASSSGIDIGDGSFPAFTHDTKNTTILKTALTSSSQSLDSSTASDLKKKTTIPLEIDLETKAGVKIGSLKTKKIGIQVSCTGINVAVPKSKGKPSAADSPDASCKVKIRIKIWKWTV >DRNTG_31273.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19842800:19844385:-1 gene:DRNTG_31273 transcript:DRNTG_31273.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRVYPSAKPNPHPPPPAANGAAAAGGPPSFPATKAQMYGATRPAYRPQPLSKQRRRRSRRGCCCACCLWLMLVIVALILLAAIAGGVFYVLYRPQRPTFSVSSLRLSTLNLTSNHLNSRIDLSVTARNPNRKLVFLYDPITIAASSSGIDIGDGSFPAFTHDTKNTTILKTALTSSSQSLDSSTASDLKKKTTIPLEIDLETKAGVKIGSLKTKKIGIQVSCTGINVAVPKSKGKPSAADSPDASCKVKIRIKIWKWTV >DRNTG_31273.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19843146:19844299:-1 gene:DRNTG_31273 transcript:DRNTG_31273.9 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRVYPSAKPNPHPPPPAANGAAAAGGPPSFPATKAQMYGATRPAYRPQPLSKQRRRRSRRGCCCACCLWLMLVIVALILLAAIAGGVFYVLYRPQRPTFSVSSLRLSTLNLTSNHLNSRIDLSVTARNPNRKLVFLYDPITIAASSSGIDIGDGSFPAFTHDTKNTTILKTALTSSSQSLDSSTASDLKKKTTIPLEIDLETKAGVKIGSLKTKKIGIQVSCTGINVAVPKSKGKPSAADSPDASCKVKIRIKIWKWTV >DRNTG_31273.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19843146:19844385:-1 gene:DRNTG_31273 transcript:DRNTG_31273.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRVYPSAKPNPHPPPPAANGAAAAGGPPSFPATKAQMYGATRPAYRPQPLSKQRRRRSRRGCCCACCLWLMLVIVALILLAAIAGGVFYVLYRPQRPTFSVSSLRLSTLNLTSNHLNSRIDLSVTARNPNRKLVFLYDPITIAASSSGIDIGDGSFPAFTHDTKNTTILKTALTSSSQSLDSSTASDLKKKTTIPLEIDLETKAGVKIGSLKTKKIGIQVSCTGINVAVPKSKGKPSAADSPDASCKVKIRIKIWKWTV >DRNTG_31273.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19842868:19844529:-1 gene:DRNTG_31273 transcript:DRNTG_31273.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRVYPSAKPNPHPPPPAANGAAAAGGPPSFPATKAQMYGATRPAYRPQPLSKQRRRRSRRGCCCACCLWLMLVIVALILLAAIAGGVFYVLYRPQRPTFSVSSLRLSTLNLTSNHLNSRIDLSVTARNPNRKLVFLYDPITIAASSSGIDIGDGSFPAFTHDTKNTTILKTALTSSSQSLDSSTASDLKKKTTIPLEIDLETKAGVKIGSLKTKKIGIQVSCTGINVAVPKSKGKPSAADSPDASCKVKIRIKIWKWTV >DRNTG_17091.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17345713:17347836:-1 gene:DRNTG_17091 transcript:DRNTG_17091.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVHEEGGGGGGGGGAVVVMGRAEIDTSAPFRSVKEAVMLFGEKVLAGEIYGHRLHQMQAAAEKRHELGLSRLSSIAAELEETRQNLEKAREESLEMANCLSSLRKELQKAKKELTQLKEAKHSSPKKQVIESEIEEDIKFVENKTEMMPKRNDVFEFQKRRCVTFANPPSLAQVINPQNHIMLERQLSVDRDSVQLKKKKKPLIPLIGGMFSKKKNNNHHHHQEVMATKAHGVQAMF >DRNTG_17091.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17345406:17347836:-1 gene:DRNTG_17091 transcript:DRNTG_17091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVHEEGGGGGGGGGAVVVMGRAEIDTSAPFRSVKEAVMLFGEKVLAGEIYGHRLHQAAAEKRHELGLSRLSSIAAELEETRQNLEKAREESLEMANCLSSLRKELQKAKKELTQLKEAKHSSPKKQVIESEIEEDIKFVENKTEMMPKRNDVFEFQKRRCVTFANPPSLAQVINPQNHIMLERQLSVDRDSVQLKKKKKPLIPLIGGMFSKKKNNNHHHHQEVMATKAHGVQAMF >DRNTG_17091.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17345713:17347836:-1 gene:DRNTG_17091 transcript:DRNTG_17091.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVHEEGGGGGGGGGAVVVMGRAEIDTSAPFRSVKEAVMLFGEKVLAGEIYGHRLHQAAAEKRHELGLSRLSSIAAELEETRQNLEKAREESLEMANCLSSLRKELQKAKKELTQLKEAKHSSPKKQVIESEIEEDIKFVENKTEMMPKRNDVFEFQKRRCVTFANPPSLAQVINPQNHIMLERQLSVDRDSVQLKKKKKPLIPLIGGMFSKKKNNNHHHHQEVMATKAHGVQAMF >DRNTG_17091.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17345713:17347918:-1 gene:DRNTG_17091 transcript:DRNTG_17091.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVHEEGGGGGGGGGAVVVMGRAEIDTSAPFRSVKEAVMLFGEKVLAGEIYGHRLHQAAAEKRHELGLSRLSSIAAELEETRQNLEKAREESLEMANCLSSLRKELQKAKKELTQLKEAKHSSPKKQVIESEIEEDIKFVENKTEMMPKRNDVFEFQKRRCVTFANPPSLAQVINPQNHIMLERQLSVDRDSVQLKKKKKPLIPLIGGMFSKKKNNNHHHHQEVMATKAHGVQAMF >DRNTG_17091.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17345713:17347708:-1 gene:DRNTG_17091 transcript:DRNTG_17091.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAEIDTSAPFRSVKEAVMLFGEKVLAGEIYGHRLHQMQAAAEKRHELGLSRLSSIAAELEETRQNLEKAREESLEMANCLSSLRKELQKAKKELTQLKEAKHSSPKKQVIESEIEEDIKFVENKTEMMPKRNDVFEFQKRRCVTFANPPSLAQVINPQNHIMLERQLSVDRDSVQLKKKKKPLIPLIGGMFSKKKNNNHHHHQEVMATKAHGVQAMF >DRNTG_17091.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17345713:17347708:-1 gene:DRNTG_17091 transcript:DRNTG_17091.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAEIDTSAPFRSVKEAVMLFGEKVLAGEIYGHRLHQAAAEKRHELGLSRLSSIAAELEETRQNLEKAREESLEMANCLSSLRKELQKAKKELTQLKEAKHSSPKKQVIESEIEEDIKFVENKTEMMPKRNDVFEFQKRRCVTFANPPSLAQVINPQNHIMLERQLSVDRDSVQLKKKKKPLIPLIGGMFSKKKNNNHHHHQEVMATKAHGVQAMF >DRNTG_12840.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21192899:21196520:1 gene:DRNTG_12840 transcript:DRNTG_12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMMKVTNPQSINANEHPNNSDTNPAGVTGGIFAGEKSMNLSNSSHSSSIPFE >DRNTG_22130.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17439120:17443305:1 gene:DRNTG_22130 transcript:DRNTG_22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLELHASPRVHGSSFSLVLPRRFRSSISCCSNDVAVRPLVLTDAEQRSVVRLGLPSKGRMAEETLDLLKACQLTVKQVNPRQYVAFIPQLSNLEVWFQRPNDIVRKLQSGDLDLGIVGFDTYCEYGRGNEDLMIIHDELGYGHCRLSLAIPRYGIFENINSLKELAQMPHWTDERPLRIVTGFTYLGRKFMEEKGLKHVRFLTADGALEASPAMGIADAIVDLVSSGTTLRENNLKEIEDGVLLESQGVLIANKKSLIQRKGLLDITHEILERLEAHLRAAGQFTVIANMRGNNAQEVADRVLSQPSLSGLQGPTISPVYCKRNGKVTVDYYAIVICVPQKALYKSVQQLRSISGSGVLVSNLTYIFEEETPRWRKLLSELGL >DRNTG_22130.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17439120:17443305:1 gene:DRNTG_22130 transcript:DRNTG_22130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLELHASPRVHGSSFSLVLPRRFRSSISCCSNDVAVRPLVLTDAEQRSVVRLGLPSKGRMAEETLDLLKACQLTVKQVNPRQYVAFIPQLSNLEVWFQRPNDIVRKLQSGDLDLGIVGFDTYCEYGRGNEDLMIIHDELGYGHCRLSLAIPRYGIFENINSLKELAQMPHWTDERPLRIVTGFTYLGRKFMEEKGLKHVRFLTADGALEASPAMGIADAIVDLVSSGTTLRENNLKEIEDGVLLESQGVLIANKKSLIQRKGLLDITHEILERLEAHLRAAGQFTVIANMRGNNAQEVADRVLSQPSLSGLQGPTISPVYCKRNGKVTVDYYAIVICVPQKALYKSVQQLRSISGSGVLVSNLTYIFEEETPRWRKLLSELGL >DRNTG_01919.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32278062:32279340:1 gene:DRNTG_01919 transcript:DRNTG_01919.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQGIVSTCMIPLLDILAEVVAHNFPFIAALKFFFDVGKFLKVDVAAHVALQVFQIDKHPTHMGIGWEKEQFVT >DRNTG_15447.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:298007:301573:-1 gene:DRNTG_15447 transcript:DRNTG_15447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASPLLLLLLLLLAVVAGAIAASPHFEGFDSDDLLDDDLSDSDSEPFIPVSSPISSPSVSVSSSDPPESPDPLPPPASPLATDFWDEDEFEGIPIPRTEQQQRDPEPDPVPTPPSDETAAPKPTPRNLRSYTMEIVCISFLIAFAINYFTGKRENELIALTWASHFATEDSIFEKNFSLLGAGEGKDAPLLLKEGQSIFKFWASGRRYCKGLLATMDLRSRHDLISRIWELVFVKKDVITFEVMMNDEAMDNVVMAVARRKTAKAMHKEVRDLQRFATVMGSSPAGRKWVAEELAVVTESKEVAADLITDVVLDQVLGEKAFEKFGKGFISLHFSDHYSGSHKKMLVLKFALPDAKNMADMTRLVALVPYYIDVVGRYKLSSQARTKTDAARAKVAQEIYRELQNVRQEALQKKKAEKKKQLEEAETKLSAESVRKKEEKDRARQLKKSMPRVKMMRSH >DRNTG_21026.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:147490:151847:-1 gene:DRNTG_21026 transcript:DRNTG_21026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDKTDDDDPERNEVFLRDKIPTWIACSGYVFLATICVITLPQIFPELKWYHIIAAYIMGPVLAFSNAYGAGLTDMNMAYNYGKVSLFIFAAWAGKDSGVVAGLVGCGVIKSMASISADLMHDLKTGHLTLTSPRSMLISQAIGTAMGCVIAPLSFFLFYKAFDVGNPNGTFKAPFALIYRNLAIVGVEGFAALPGHCLQLCYGFFGFAVVANLVRDFSPRRYGKWVPLPMAMAVPFLVGASFAIDMSIGSAIVFVWNKVNKKKANVMVPAVASGLICGNGLWILPSSLLALAKVKPPIGKLTVAGACTLTSLRRL >DRNTG_19323.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:728702:729763:1 gene:DRNTG_19323 transcript:DRNTG_19323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPPTKSTAENASPARFVIDVEEAENMMKAQGLSSVMDLLPVLVPAAKRLARAPISGHLVGAVGLGESGRIYFGANLEFPGLPLHHSVHAEQFLITNAAAHRERAIRCIAVSSAPCGHCRQFFQEIRNASQIQILITGSGSDLAFRPLSYYIPEPFGPSDLLHDDSPFLLEPHNNRIEIIDDGHEAPNRILGAAVNGARSAHAPYSGCAAGFAVGDGKGRVGGGGYMESAAYNPGMGPVAAALVAYLMAGGGAWEDIVEAALVEKEKAVVPQEATARVVLAAVAPKARLQVSHYRQA >DRNTG_06779.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21654494:21654721:-1 gene:DRNTG_06779 transcript:DRNTG_06779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDDAPKVTKCVCTNGVNCKDLMRRSTGGIMVAFLKAYLM >DRNTG_07348.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000332.1:3573:7461:-1 gene:DRNTG_07348 transcript:DRNTG_07348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMRMLFILLFLFSVPGRVKQWLNSLPQRSTKSVIHTSPIIVLSNQGILIGMFLGSRRASLRTMLGGGSTLIPPMTPALVIVLVSLVDSTMIFCSPCMSILQGTDLMRIVVESPP >DRNTG_20874.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1633342:1633681:1 gene:DRNTG_20874 transcript:DRNTG_20874.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNAAMGGRLPSSSPSISRVESMKILPIDASPLNFSFKSHLPQGARAMRGPHCAR >DRNTG_07163.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31694720:31694999:1 gene:DRNTG_07163 transcript:DRNTG_07163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHAISSSLSFPGAPVFFSYHVLQSATGNFSRLIGTGGFGSVYKGTLR >DRNTG_04650.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12554767:12558111:1 gene:DRNTG_04650 transcript:DRNTG_04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATPQASTSSSGVAGVPKECSHPRTHSEARFTGGFLDAMTEEELIKVSDEAPPKPQRPPLQTLAVSPLKSRTKDEKE >DRNTG_26969.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6425684:6451043:-1 gene:DRNTG_26969 transcript:DRNTG_26969.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPIARSLSHLTRFVQSANARFELVEATLRNHTASLHNLENQVGQIAKSLFERPHGSLSNNIETNPREHVKAITLRSGHTTDEIIDEYMQEMFNPDPYEGLFG >DRNTG_07989.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27388818:27393031:1 gene:DRNTG_07989 transcript:DRNTG_07989.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADLSNPCPQSLINENVVPGDVVLDVSSMVNQTVKLGVGLRQECDSLSVFKAGKLRFLKPNKYWVESSHKRYVPSAEDTVLGIVVDSKPDNFLVDIKGPSLAFLPVLAFEGGTRRNIPKFEVGTLLYVRVVKANNSMNPELSCTDASGKAAEFGPLKDGYMFETSTGLARMLRSSPTCPVLEALGKKMSFETAIGLNGRVWVNAPSPSMIILVSNAIINSEFLSGAQQRIMVEKLVQRFSDA >DRNTG_31795.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28521341:28521602:1 gene:DRNTG_31795 transcript:DRNTG_31795.4 gene_biotype:protein_coding transcript_biotype:protein_coding YDCICCQYSGSVHQILDGHLHYVQGFAWDPLGQYVASLSSDRTCRVYVNKPQAKLKGYEKLNYVSQHVITKSELQRQEDCKVCKLVV >DRNTG_31795.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28519618:28522229:1 gene:DRNTG_31795 transcript:DRNTG_31795.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRSKRVKRTVPRFDNVYLKLLIKVRLVLIFR >DRNTG_31795.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28519618:28522229:1 gene:DRNTG_31795 transcript:DRNTG_31795.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRSKRVKRTVPRFDNVYLKLLIKLYRFLVRRTGSKFNAVVLKRLFMSKTNRPPISLRRLIKFMDGKEVN >DRNTG_04195.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7101050:7104027:1 gene:DRNTG_04195 transcript:DRNTG_04195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDHDLAAVFAWKDETYRSTGGALKWCLDWGRGGGPDLIIDNGDDMILLIHEGVKAKEYKKSSALLDPNLKDNDKFQIMLVLIKDGLKIQVNGMLVPMTINVSDPITERIKKGMENKKISFRRKDKKTGISYHPPYYLVYGAIWRPAEQGAFCWAYFGRPLESFWVALGRRRRRARKLGDHSRPRSKTLKKVGEV >DRNTG_28889.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:659679:661402:1 gene:DRNTG_28889 transcript:DRNTG_28889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNYKFISLSSFFFFLIFCIVNGQDQSINIFNVKDYGAVANGQHNDTEAFDLAWREACAAEGETKVRIPKGCYFVGPMKFQGPCNGSMMVEVEGVLLAPTNLSVYNPTDSPATWLEFRHLNNIHLCGDGVFDGQGHSAWHHPYIQRPYSLGFRYVSNGTVRGVSLVNSKSLHLLIYQSDNFNVDGLTINSPADSPNTDGIHVAESTDVVLANSTIGTGDDCIAFLEGAANVNVSNITCGPGHGISVGSLGNMFHEEDVVNLTISNCTFNGTDNGLRIKTWQSSPSISYASHFLFENISVSNISNPIIIDQQYCPLGNCNTTTPSKVKISCVKFRNIHGTYRNEVAIKLTCSKDYPCSDVELANINLEYIGKKKPEPSWCANVWGNSTGTVQPPPCI >DRNTG_05648.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17983761:17984357:1 gene:DRNTG_05648 transcript:DRNTG_05648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKYKVDVMGILETRVREENLKEIWPKIQLHQWSMELNYSMSAQGRIWIVYNTEQIKLQVLERNLQFIHCKITGENEIFFWTCVYGSYNPVIRREMCRDLARIGNNLRAPWLIQGDFNVINCNEDRIGGNSINEEAVADFQNWILGVNLVEVQSCGPKFTWTNFQEGEHRIYKKLDWSFANPLFYLRKDEPACAVLNC >DRNTG_18481.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:10502759:10525904:1 gene:DRNTG_18481 transcript:DRNTG_18481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGKSLKCLLAGCHLYQHSACIYQEIPNSASAIFQASRISLIHYPESPLKSYDKFNPLRHVCPRASRGGVLHRLNKESLIATSRIHQRSFGSTTKNIQRNPSFSMINSDDISYFRDILDDNTVIQDEDRLSSANIDWMRKYEGSSKLLLLPKDTDEVSKILRYCNSRRLAVVPQGGNTGLVGGSVPVYDEVIVNVGLMNKIISLDKVSGILKCEAGCILENLDSFLANECYLMPIDLGAKGSCQIGGNVSTNAGGLHFVRYGSLHGNVLGLEVVLADGTVLDMLGSLRKDNTGYDLKHLFIGSEGTLGIITKVSILTPARLSSTNLAFLACEDYSSCQELLLKAKRGLGEVLSAFEFLDTNSMDLVLDKLEGVRNPFPSSLHKFYVLIETTGTDDSYDRAKLETFLVNSMEGGLISDGVIAQDINQASSFWRIREGIPEALM >DRNTG_18481.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:10502759:10524807:1 gene:DRNTG_18481 transcript:DRNTG_18481.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRFHILNFLLPSAINKKLCVRLLLWAMRRGKSLKCLLAGCHLYQHSACIYQEIPNSASAIFQASRISLIHYPESPLKSYDKFNPLRHVCPRASRGGVLHRLNKESLIATSRIHQRSFGSTTKNIQRNPSFSMINSDDISYFRDILDDNTVIQDEDRLSSANIDWMRKYEGSSKLLLLPKDTDEVSKILRYCNSRRLAVVPQGGNTGLVGGSVPVYDEVIVNVGLMNKIISLDKVSGILKCEAGCILENLDSFLANECYLMPIDLGAKGSCQIGGNVSTNAGGLHFVRYGSLHGNVLGLEVVLADGTVLDMLGSLRKDNTGYDLKHLFIGSEGTLGIITKVSILTPARLSSTNLAFLACEDYSSCQELLLKAKRGLGEVLSAFEFLDTNSMDLVLDKLEGVRNPFPSSLHKFYVLIETTGTDDSYDRAKLETFLVNSMEGGLISDGVIAQDINQASSFWRIREVKYFM >DRNTG_18481.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:10502759:10524807:1 gene:DRNTG_18481 transcript:DRNTG_18481.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRFHILNFLLPSAINKKLCVRLLLWAMRRGKSLKCLLAGCHLYQHSACIYQEIPNSASAIFQASRISLIHYPESPLKSYDKFNPLRHVCPRASRGGVLHRLNKESLIATSRIHQRSFGSTTKNIQRNPSFSMINSDDISYFRDILDDNTVIQDEDRLSSANIDWMRKYEGSSKLLLLPKDTDEVSKILRYCNSRRLAVVPQGGNTGLVGGSVPVYDEVIVNVGLMNKIISLDKVSGILKCEAGCILENLDSFLANECYLMPIDLGAKGSCQIGGNVSTNAGGLHFVRYGSLHGNVLGLEVVLADGTVLDMLGSLRKDNTGYDLKHLFIGSEGTLGIITKVSILTPARLSSTNLAFLACEDYSS >DRNTG_18481.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:10502759:10525904:1 gene:DRNTG_18481 transcript:DRNTG_18481.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGKSLKCLLAGCHLYQHSACIYQEIPNSASAIFQASRISLIHYPESPLKSYDKFNPLRHVCPRASRGGVLHRLNKESLIATSRIHQRSFGSTTKNIQRNPSFSMINSDDISYFRDILDDNTVIQDEDRLSSANIDWMRKYEGSSKLLLLPKDTDEVSKILRYCNSRRLAVVPQGGNTGLVGGSVPVYDEVIVNVGLMNKIISLDKVSGILKCEAGCILENLDSFLANECYLMPIDLGAKGSCQIGGNVSTNAGGLHFVRYGSLHGNVLGLEVVLADGTVLDMLGSLRKDNTGYDLKHLFIGSEGTLGIITKVSILTPARLSSTNLAFLACEDYSSCQELLLKAKRGLGEVLSAFEFLDTNSMDLVLDKLEGVRNPFPSSLHKFYVLIETTGTDDSYDRAKLETFLVNSMEGGLISDGVIAQDINQASSFWRIREGIPEALM >DRNTG_29000.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22363082:22367362:-1 gene:DRNTG_29000 transcript:DRNTG_29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLDLVSSPLPSSKKTKIPLLPMSTGDVAAVAGAGESDALLPSVENAYESSEKISIAISDDDETDADSVPSFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAVAGYSLLWLLLWATAMGLLIQLLSARLGVATGKHLAELCREEYKGWAGVALWIMTELALIGADIQEVIGSAIAIKVLSRGVLPLWAGVVITASDCFIFLFLENYGVRKLEAAFAVLIGTMAFSFAWMFGKTKPSGTELLLGILIPKLSSKTIRQAVGVVGCVIMPHNVFLHSALVQSRKIDINKKSRVQEALNYYSIESTIALIISFMINLFVTTVFAKGFFGSKEADNIGLENAGQFLQSKYGGGLFPILYIWGVGLLAAGQSSTITGTYAGQFIMGGFLNLPLKKWLRALITRSFAIIPTIIVALFFDTSNAALDVLNEWLNVLQSVQIPFALIPLLTLVSKEEVMGVFKIGHVMKMVTWTVAALLIIINGYLLLEFFSSEVHSILVGSIVCVAITLYVAFIVYLIMHGQGLSSQNLAAGFKRFVGSEN >DRNTG_34876.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21932889:21934014:-1 gene:DRNTG_34876 transcript:DRNTG_34876.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGDWNCRSCNHLNFSRRDSCQRCGDPRSANDRGDYSSFGGRGGGSSFGFTGSDVRPGDWYCSCGAHNFASRSSCFKCGAFKEDSAVTGAGAGSGFDSDMPRRGYGFGGGGGGGARSGWKSGDWICTRSGCNEHNFASRMECFRCNAPRESGTEV >DRNTG_34876.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21932889:21934156:-1 gene:DRNTG_34876 transcript:DRNTG_34876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGDWNCRSCNHLNFSRRDSCQRCGDPRSANDRGDYSSFGGRGGGSSFGFTGSDVRPGDWYCSCGAHNFASRSSCFKCGAFKEDSAVTGAGAGSGFDSDMPRRGYGFGGGGGGGARSGWKSGDWICTRSGCNEHNFASRMECFRCNAPRESGTEV >DRNTG_12191.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10703233:10703645:-1 gene:DRNTG_12191 transcript:DRNTG_12191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAALMARSRDLDEGKEGIWGTWEELLLACAVARHGTRRWDSIATEVQSRIAPSSAPLFTPSRCRQRFDLLQLRFSAAVATDSGGAGGGSPGEAPLIEELRKLRVAELRREVERSD >DRNTG_01228.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:11535766:11539981:1 gene:DRNTG_01228 transcript:DRNTG_01228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAQGFGSNPPEPESSLPIPRLPDKSRRDEWSEGGVLCLLDFYESKWVLRNRAKLKGSDWEDIAREVSVRCSGTKALKTPSQCKNKIESMKKRYRAESAITHLPNSCSSWQFYARDG >DRNTG_25354.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24137742:24144669:-1 gene:DRNTG_25354 transcript:DRNTG_25354.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSLIEFQSTKGDVLPAHKFGTHDVVVLKPNKADPGSAALGQGVVYRLKDSSIVVAFDDIPEDGLNIPLRLEKVANEVTYNSMKNTLVQLSKGVQKGPAADLIQVLFGEKPPTISKKTMSLTSFNKNLDHSQKEAISKALSSRDVFLLHGPPGTGKTTTVVEIILQEVKNGSKVLACAASNIAVDNIVERLVPYRVRLVRFGHPARLLPQVLESALDAQVLRGDNSGLANDIRKEMKVLNGKLLKAKDRNTKREIRKELRALSKEERTRQQLAVADVIKNADVVLTTLTGAFSRKLDGVTFDLVVIDEAAQALEVACWIALLKGSRCILAGDHLQLPPTVQSVEAERKGLGKTLFERLADLYGEAVTSMLTVQYRMHELIMNWSSKELYDSKIEAHSSVARHVLYDIEGVQRSSSTESALLLIDTAGCDMEEKKDEEDSTMNEGEAAVAIAHAKRLVESGLHATNIGIITPYAAQVVLLKTLRSKEGKLKELEISTVDGFQGREKEAIVISMVRSNSKKEVGFLTDHRRMNVAVTRARRQCCIICDTDTVSHDSFLKRMIEYFEEHAEYLSASEYTNV >DRNTG_25354.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24137742:24145610:-1 gene:DRNTG_25354 transcript:DRNTG_25354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGAKSRGKPSPLTLDQFISIMAPLIDLEKEAEISASISSSSSKSIDVAQKKGSAILNLKCVDVQTGLMGKSLIEFQSTKGDVLPAHKFGTHDVVVLKPNKADPGSAALGQGVVYRLKDSSIVVAFDDIPEDGLNIPLRLEKVANEVTYNSMKNTLVQLSKGVQKGPAADLIQVLFGEKPPTISKKTMSLTSFNKNLDHSQKEAISKALSSRDVFLLHGPPGTGKTTTVVEIILQEVKNGSKVLACAASNIAVDNIVERLVPYRVRLVRFGHPARLLPQVLESALDAQVLRGDNSGLANDIRKEMKVLNGKLLKAKDRNTKREIRKELRALSKEERTRQQLAVADVIKNADVVLTTLTGAFSRKLDGVTFDLVVIDEAAQALEVACWIALLKGSRCILAGDHLQLPPTVQSVEAERKGLGKTLFERLADLYGEAVTSMLTVQYRMHELIMNWSSKELYDSKIEAHSSVARHVLYDIEGVQRSSSTESALLLIDTAGCDMEEKKDEEDSTMNEGEAAVAIAHAKRLVESGLHATNIGIITPYAAQVVLLKTLRSKEGKLKELEISTVDGFQGREKEAIVISMVRSNSKKEVGFLTDHRRMNVAVTRARRQCCIICDTDTVSHDSFLKRMIEYFEEHAEYLSASEYTNV >DRNTG_25354.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24137742:24143680:-1 gene:DRNTG_25354 transcript:DRNTG_25354.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTLVQLSKGVQKGPAADLIQVLFGEKPPTISKKTMSLTSFNKNLDHSQKEAISKALSSRDVFLLHGPPGTGKTTTVVEIILQEVKNGSKVLACAASNIAVDNIVERLVPYRVRLVRFGHPARLLPQVLESALDAQVLRGDNSGLANDIRKEMKVLNGKLLKAKDRNTKREIRKELRALSKEERTRQQLAVADVIKNADVVLTTLTGAFSRKLDGVTFDLVVIDEAAQALEVACWIALLKGSRCILAGDHLQLPPTVQSVEAERKGLGKTLFERLADLYGEAVTSMLTVQYRMHELIMNWSSKELYDSKIEAHSSVARHVLYDIEGVQRSSSTESALLLIDTAGCDMEEKKDEEDSTMNEGEAAVAIAHAKRLVESGLHATNIGIITPYAAQVVLLKTLRSKEGKLKELEISTVDGFQGREKEAIVISMVRSNSKKEVGFLTDHRRMNVAVTRARRQCCIICDTDTVSHDSFLKRMIEYFEEHAEYLSASEYTNV >DRNTG_05889.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4202487:4204621:-1 gene:DRNTG_05889 transcript:DRNTG_05889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGPCSAQPGLLYPEAFNKFGANCGPEAVWFKTGALLLDGNTLNYFGKNIPINLVLAIAAEVVLVGGAEYYRLTNGLDLEDTLHPGGPFDPLGLANDPDQAAILKVKEIKNGRLAMFAMLGFFLQAYVTGEGPVENLAKHLSDPFGNNLLTVISGGAERAPTL >DRNTG_31811.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18673726:18674476:-1 gene:DRNTG_31811 transcript:DRNTG_31811.3 gene_biotype:protein_coding transcript_biotype:protein_coding DQDSVQSTAGTTIAKNGEIPEQRCHAGSTSMTLRSHPFVYRVE >DRNTG_31811.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18676099:18677440:-1 gene:DRNTG_31811 transcript:DRNTG_31811.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIPPAFRSTKLPIPLLHPPPSSSSSPPSPPLHHSKPHPPFPKSRKPQLPRTSSSPSPSPLFSCPDITTALSLLSSPSPPSPSSILRSFISSGASLSSLLSLLPHLPSDRSTTDIAVRSLLSSSRLDDALSLVRHLSSDLSSSPDQFTFNFLIRHLSKSRPISSVYSFIEEMRLLPSGPKPNVVTYTILIDSVCRSGNLREATRLLSVLKDSGFKPDCYVYNVIMKGYCMLDACGEVMEVYNKMKDEGVEPDLVTYNTLIFGLSKAGMVDQAKKILNVMTGMGHFPDAVTYTSLMNGMCRKGDALGALRLLGEMEEKGCSPNECTYNTLLIGLCKAKYLDKGMVLYGVMTAEGMKVDSAAYSTFVRALCRANRVAEAYEVFDYALESKSLTEVTAYSALESSLKWLKKGQA >DRNTG_31811.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18673726:18677440:-1 gene:DRNTG_31811 transcript:DRNTG_31811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIPPAFRSTKLPIPLLHPPPSSSSSPPSPPLHHSKPHPPFPKSRKPQLPRTSSSPSPSPLFSCPDITTALSLLSSPSPPSPSSILRSFISSGASLSSLLSLLPHLPSDRSTTDIAVRSLLSSSRLDDALSLVRHLSSDLSSSPDQFTFNFLIRHLSKSRPISSVYSFIEEMRLLPSGPKPNVVTYTILIDSVCRSGNLREATRLLSVLKDSGFKPDCYVYNVIMKGYCMLDACGEVMEVYNKMKDEGVEPDLVTYNTLIFGLSKAGMVDQAKKILNVMTGMGHFPDAVTYTSLMNGMCRKGDALGALRLLGEMEEKGCSPNECTYNTLLIGLCKAKYLDKGMVLYGVMTAEGMKVDSAAYSTFVRALCRANRVAEAYEVFDYALESKSLTEVTAYSALESSLKWLKKGTRTYKECCKNSEGT >DRNTG_35476.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3826215:3826636:-1 gene:DRNTG_35476 transcript:DRNTG_35476.4 gene_biotype:protein_coding transcript_biotype:protein_coding FHPIAFSGISNLICLESDNESEGFSEKENQRELGKEATSLALEENFEVGISFCRSVSPLTKCSREDSVGPCFSDKQASSGSNEKSNTKSIGNSEIGHFGGNSQPEC >DRNTG_35476.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3820785:3827308:-1 gene:DRNTG_35476 transcript:DRNTG_35476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLEKEKVKWEKGKFAVKSIVAEIDARVVENGSVGGHLLTRLAEKGLSFRITSNPIEKSILWKMNVPDNIAQLAMASEVPYILLVCQAEEFCEL >DRNTG_02981.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5081100:5141055:1 gene:DRNTG_02981 transcript:DRNTG_02981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRRHWDILRRTPFSHLMDIEPIVQERSVLDALMQMFDERSNTFHLGDSFLQFKAEDVSLILGLQCDGTAIDFKRKKERSVFEEEYFSKGVDRNRDCLVRSLMNMVVKRESKKEESFVKLLLVYILGFILFPTTSCLSPAWLPYYMDNLSKIGQYAWAQATHKWMMDDVPLAAARVKERCARKQSRIGYVRGCKMALINWFYEVTGNGKKIHFGRTPRILCYGVGSYKKQAAVSALID >DRNTG_18543.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6644252:6645299:-1 gene:DRNTG_18543 transcript:DRNTG_18543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLFRCGHRLLRPIPSTAAIRISGCFYSQPTAARKEEEHEIFDQRKLPADYDPANFDPTDHRGPPTARVWRLVDEVSSLTLSEVTDLSSILASKLRMKEPPVIAVMNSGTGFAGGISPGGNEAGVTKEDKKQEKTVFELKLESFEVASKIKVIKEVRGFTDLGLKEAKELVEKTPVVIKGGVSKEEGQQIIEKMKAVGAKVVLE >DRNTG_18543.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6644252:6645299:-1 gene:DRNTG_18543 transcript:DRNTG_18543.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLFRCGHRLLRPIPSTAAIRISGCFYSQPTAARKEEEHEIFDQRKLPADYDPANFDPTDHRGPPTARVWRLVDEVSSLTLSEVTDLSSILASKLRMKEPPVIAVMNSGTGFAGGISPGGNEAGVTKEDKKQEKTVFELKLESFEVASKIKVIKEVRGFTDLGLKEAKELVEKTPVVIKGGVSKEEGQQIIEKMKAVGAKVVLE >DRNTG_34920.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20748430:20749756:-1 gene:DRNTG_34920 transcript:DRNTG_34920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREHEVTIVTTTMNFDGPFNNFIASRGLVPLHISVANRLPFFENTLDIVHSMHVLSNWIPDVMLEFALFDIYRVLRPGGLFWLDHFFCIGNQLNSTYVPTFDRIGFKKLRWNAGRKLDRGIEKNEWYISALLEKPMT >DRNTG_11236.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21922451:21925334:-1 gene:DRNTG_11236 transcript:DRNTG_11236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVNNSVETVNAAATAIVSAESRVQQIAVERRRWRTCFSAYWCFKPPRHSKRVNHAVLVPEPTLPGSEAPAPENQNNPPALVLPFIAPPSSPVSFLQSEPSSATLSPAGPISRATFAASAYSPTGPASIFAIGPYAHETQLVSPPVFSTFTTEPSTANFTPPPEPLHLTTPSSPEVPFARLLASSLNANCSKGEVWEFNSYQLYPGSPIGHLISPSSACSGTSSPLPDAEYSLSGGISLPVFPIGEPPKILSGEGLALRKLTSLHAARDGGSILDGQISAISPRRDPTTESQNGEADANHRLSFELTAEDVALCIAKKPFFSGELTLEPRLAATTETAASNGNSDETTANNSILCVDETYHDLPVRIQSSTGLQAAKEFKFDNADGASSEPGVVGSDWWANEKVAGTEVVAKKNWTFFPMIQPGVS >DRNTG_08312.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5732901:5734650:1 gene:DRNTG_08312 transcript:DRNTG_08312.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKKFASKRARREPSPPVQEPKFSNEEHKTRYALLSRKVFGTLFERNVVFLRMILVCIWGYIRKLT >DRNTG_16170.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20537535:20539742:-1 gene:DRNTG_16170 transcript:DRNTG_16170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMYPEANIPVCQLSIQTMEDGTYHYNMGKALAPLRDEGVLIVGSGSATHNLRATGPDDAPIVSWALEFDAWLTNSLINGSHEDVNNYEDKAPHAKMAHPSPDHFYPLHVALGAAGEQAKAELIHASFTESSLSYSSYRFYNI >DRNTG_16170.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20534218:20539742:-1 gene:DRNTG_16170 transcript:DRNTG_16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMYPEANIPVCQLSIQTMEDGTYHYNMGKALAPLRDEGVLIVGSGSATHNLRATGPDDAPIVSWALEFDAWLTNSLINGRHEDVNHYEDKAPHGRMAHPWPDHFYPLHVALGAAGEQAKAELIHNTWTNSSMSYSSYRFTTPN >DRNTG_16170.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20534218:20536308:-1 gene:DRNTG_16170 transcript:DRNTG_16170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKMDSFFVSHGSPMLSIDESVPARGFFKLWKSQVLQTIPRAILVISGHWDTSAPTVNVISGPNDTIYDFYGFPKSMYKLKYPAPGAPELARRVKELLQGAGFGPVKEDKARGLDHGAWVPLMLMYPEANIPVCQLSVQTKKDGTYHYNMGKALAPLRDEGVLVLGSGSATHNLRTMGPGGSPIASWALEFDTWLTESLINGRHEDVNHYEDKAPHGRMAHPWPDHFYPLHVALGAAGEQAKAELIHNTWTNSSMSYSSYRFTTPN >DRNTG_25228.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17257830:17258382:1 gene:DRNTG_25228 transcript:DRNTG_25228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRLECLVMESSYLEEKMDKGMKKKMKEGKELEDKNSKEEEEEKRRRRRRRRRRRRRRRRIRGSPRRS >DRNTG_33032.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001940.1:2900:3484:-1 gene:DRNTG_33032 transcript:DRNTG_33032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSVPIHLGHVVADYMRYQAQNRRVGVLFVGPYITRLILGMGLDDALRDTDQTVIPSPLGLDTLRMMGVVRGYGSRSYILSTTTTESTEGGRDAAEGSLLRSRSPASPASSSPHLLASLDLAPAVAEDLERGIDT >DRNTG_29112.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10402743:10403697:1 gene:DRNTG_29112 transcript:DRNTG_29112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKWRWNLHCKVRCIERSFSISLGILACSYNIPAKLLFRLFFC >DRNTG_14733.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:105845:109758:1 gene:DRNTG_14733 transcript:DRNTG_14733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSPGKDGRDLSEADANYNPLARSDHVVSIQSADPMAPPMPEIPPLHRAPLMFAPQAPLAPLQNSAEVPQVINQLWMNNPSGPLDISIAKGIPTVISWNQGGSNVAIEGSWDNWASRKTLQRSGKDHAIMLVLPSGVYHYKFIVDGKWRYISDLPCIADELGHITNIIDVHDFVPENVESVSKFDPPPSPDSSYSQVNPFDEDFSKEPPNTPPQLGMINHEEVCLKPQHHVLNHLFLERGRAAHSLFALSLTHRFQAKFVTVVLYTPVRK >DRNTG_28628.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28374971:28385259:-1 gene:DRNTG_28628 transcript:DRNTG_28628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTIVPAFVLRNLADKLYEKRKNAALEIEGIVKQLTIAGEHDKITAIINLLTTEFTYSQQANHRKGGLIGLAAATVGLTSEAAQHLEQIVPPVLNSFLDQDSRVRYYACEALYNIAKVVRGEFIVYFNQIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPNVDYGRMAEILVQRAGSPDEFTRLTAITWINEFVKLGGDQLVPYYADILGAILPCISDKEEKIRVVARETNEELRSIRADPGEGFDIGAILSIARRELTSEWEATRIEALHWIATLLARHRAEVISYLNDIFGSLLSALSDPSDEVVLLVLEVLACIAKDAPHFRHLVVFLIHNFRSDHSLLEKRGALIVRRLCVLLDAERVYREFSTILEGEDDLDFASIMVQALNLILLTSSELADLRALLKQSLVNVAGKDLFISLYASWCHSAMATISLCLLAQAYQHASSVIQSLGEEDINVKFLVQLDKLIRLMETPIFAYLRLQLLEPGKYTWLLKALYGLLMLLPQQSAAFQILRNRLKTVPSIAFNTDQLKRASSGNPYSQILPVSEDIIRNQDSGNMHNAINFASRLQQFEHMQNQHRMHAKLQQQSRKATPSTLSQEMQKSDESRRPSPISDTTRPPSRSSWNSGQFQH >DRNTG_31467.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1906229:1906752:-1 gene:DRNTG_31467 transcript:DRNTG_31467.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSSMRRVTRSQASSLPSHKKQGDAAASRSRTGSKAERSVLSDITNDSPIVGLAVEKTPSSALVKSHVLSKRTPGSGEALLRGQVKNLLEKVEEEVGRAGKENLIRKPTIVSPRIGRVPSLAGAASSSDPGQHAANPKPFRSQRRERINNNNLIRFS >DRNTG_13637.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7473730:7474368:1 gene:DRNTG_13637 transcript:DRNTG_13637.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNLGWTAFVNMKFPTYERLTLEFLSSIDVHVLYGPGSEEGEITFRLLNQDYKLDLAQFNQIFDFPTGGDRRTPKDFDATNFWHTFTNEPKYEPSTARTTSLRNPCF >DRNTG_34144.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002090.1:38408:38841:1 gene:DRNTG_34144 transcript:DRNTG_34144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVSAFGERLKISGIEINSVKLIRAIKSRIMLKDSQVEYLALVLLETYVKNYEKAFTEVASDRILDEMVKLIDDPQTVVNNRNETIVLIEAWEESGNEL >DRNTG_18474.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:10265943:10271910:1 gene:DRNTG_18474 transcript:DRNTG_18474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSSLKGEATAVELSEGRKTPAAADQLSVGGMKKARRERVCTAKERISRMPPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGAGTLINFPVSDYSRDLEEMQMVSKEDYLVSLRRKSSAFSRGFPKYRGIARQPQNNRWETPFSQMFGNEYLNNLNCSTSKDPSLEGKYTSPFCMERKIDLTSYIRWWVPKKARQSDSANNTEEINRELRLIEGPIHPTEPYQFPVLGPAQKGKSKIRTISACNIISQSAAFKNFENKLKESQEGSCSKQMENQKSKAILFSDDGIDRSGAALDLREFPVQRAPYSLAPLLSAPLRTNWNPVDPVHDPVFWASLVPPTAQSLPTSLGKNEITPYTTFPCPD >DRNTG_18474.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:10265943:10268390:1 gene:DRNTG_18474 transcript:DRNTG_18474.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSSLKGEATAVELSEGRKTPAAADQLSVGGMKKARRERVCTAKERISRMPPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQGSILMTLTMPHFKFWFIASL >DRNTG_29690.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3865712:3868867:1 gene:DRNTG_29690 transcript:DRNTG_29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLGSVMMTVVVITGAVMIAKEAMKRAHKWWQEKKLDKKIRQKLPPGDMGWPVIGNMWTFLRSFKSSDPDSFIGSFVNRFGRTGMYKAFMFGSPTILATTPEVCKIVLMDDEKFIPGWPKATCELIGKKSFIGITNEEHKRLRRLTSTPINGHEALTTYLKFIEDTVVFTLDKWSSMGEIQFLTELRRFTFRIIMHIFLSSESDPIMEALERVYTDLNYGMRAMAINLPGFAYHRALKARKTLVAVLQSILNERRSLNGGKLPKESKDMMDALLEVKDEHGRQLDDEEIIDVLIMYLNAGHESSGHITMWATVFLQEHRNCFRLAKLEQEEIRRNKPPTEKGLTLKDVRQMKYLSMVIDETLRLVNISFVAFRQATTDVEINNYIIPKGWKVQVWYRNVHMDQEVYSDPKEFNPSRWNGVTPKVGTFLPFGGGSRLCPGNDLAKLEISVFLHYFLLDYKMERLNPKSPVRYLPHPRPKDNCMARITKLLVSDT >DRNTG_21927.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:19705222:19708120:-1 gene:DRNTG_21927 transcript:DRNTG_21927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTRLAGPGAQINGLRSTRREPSIPARPQDLI >DRNTG_21927.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:19705222:19705706:-1 gene:DRNTG_21927 transcript:DRNTG_21927.2 gene_biotype:protein_coding transcript_biotype:protein_coding KDSPAENQASKTFQLATSLTRQRNEEEAQEKRKTIKLKG >DRNTG_21780.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2940303:2941663:1 gene:DRNTG_21780 transcript:DRNTG_21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding REREEVWEGSNGEFGLQGSNGSGCCFK >DRNTG_33792.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:139111:142931:1 gene:DRNTG_33792 transcript:DRNTG_33792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPNKAIIVLQGRFAGRKAVIVRSFDEGTRDRPYGHCLVAGISKYPKKVIRKDSAKKTAKKSRVKAFLKLVNYNHIMPTRYTLDVDLKDIVTIDSLQSKDKKVTACKETKARLEERFKTGKNRWFFTKLSLFHNVKHISTRSSSFSSSQPFPRDLYLLQSRGKIYADDRLPGPPRVADTHDNLNRDTRPPFLAPS >DRNTG_30888.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19791537:19795509:-1 gene:DRNTG_30888 transcript:DRNTG_30888.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Accelerated cell death 11 [Source:Projected from Arabidopsis thaliana (AT2G34690) UniProtKB/Swiss-Prot;Acc:O64587] MAGDGEKPLRRIAEAFEGLAEIASSKLTPMELAPLSQASSLVSVLFGCLGFAFKFAEMDYVAKVDDLLEASKSISTVQSMIDLDIQQNRVRQAGSHTRNLLRVKRGLDMVKVLFEQILATDGNSLKNPASVAYARVFAPHHGWAIRKAVAAGMYALPTKSQLLKKLNEDASAKVQMQKYITASTLVIPYLEEEFQTRELGLDW >DRNTG_05579.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000256.1:48625:57086:-1 gene:DRNTG_05579 transcript:DRNTG_05579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDRSQDSRTTSGRGQSGRRSSGRSTSSRGGRSISGRGRCTLNIHQTSANTAVVSSTPADLGMPPCIHPNIQVTTTMTPLTPIPQPSIVAPIAQSPPQPYVETSTHATEDPPIEMEGPSNESVSTGPPWVITPDSVIIDHEVKRTIHELVKGHYKEAWTGWGKVPKDVRQRIFTAFRGIYTWDAQHESSILRHLNHEASEWLKKNLYLAHNLYKAPFPWMAPAVWEGLQRYWESDEFKRKSEKNKLNRTESGSSSIVIYRGGSVST >DRNTG_30546.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:680062:681339:-1 gene:DRNTG_30546 transcript:DRNTG_30546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQIDSDEILIDFHPRFRTYKSGRVERFFGTTTVSSGLDPSTGVLSKDLLLPLPSSSSSISARLFLPPHPQPHSLPILLYFHGGGFCIESTTSPTYHSYLNSLSLTSTVLILSVDYRRAPEHLLPTAYSDSWQALQWLTSSREEEWLISYADFTRLFLAGDSAGANIVHQLALRAGREKLGEGPHGFPELRGAMLVHPYFWGSTPVGNETKDELARSRVECLWKMTASPEMGVDHPCFNPFAEGAPPLAGVCCRRVLVCVASEDVYCERGKLYYEKLVGSGWDGVGELWVDEGEGHVFHLMKPLSDKALEMMKKE >DRNTG_14645.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000731.1:31109:31935:-1 gene:DRNTG_14645 transcript:DRNTG_14645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICPRVCTASRASPTLDGDQGTSCGVETTL >DRNTG_16938.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:64162:66413:-1 gene:DRNTG_16938 transcript:DRNTG_16938.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTRSTSLIQWVATRGFRSCVHPYRCCERRSNSNRHGSTLRHLDRPRSTSISHINSNQSPIKRRTASHRKDLQQLTCSQEKTTRKQEKSNKTTENFEIY >DRNTG_16938.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:64162:66413:-1 gene:DRNTG_16938 transcript:DRNTG_16938.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTRSTSLIQWVATRGFRSCVHPYRCCERRSNSNRHGSTLRHLDRPRSTSISHINSNQSPIKRRTASHRKDLQQLTCSQEKTTRKQEKSNKTTENFEIY >DRNTG_16938.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:64162:66413:-1 gene:DRNTG_16938 transcript:DRNTG_16938.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTRSTSLIQWVATRGFRSCVHPYRCCERRSNSNRHGSTLRHLDRPRSTSISHINSNQSPIKRRTASHRKDLQQLTCSQEKTTRKQEKSNKTTENFEIY >DRNTG_16938.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:64162:66413:-1 gene:DRNTG_16938 transcript:DRNTG_16938.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTRSTSLIQWVATRGFRSCVHPYRCCERRSNSNRHGSTLRHLDRPRSTSISHINSNQSPIKRRTASHRKDLQQLTCSQEKTTRKQEKSNKTTENFEIY >DRNTG_16938.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:64162:66413:-1 gene:DRNTG_16938 transcript:DRNTG_16938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTRSTSLIQWVATRGFRSCVHPYRCCERRSNSNRHGSTLRHLDRPRSTSISHINSNQSPIKRRTASHRKDLQQLTCSQEKTTRKQEKSNKTTENFEIY >DRNTG_16938.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:64162:66413:-1 gene:DRNTG_16938 transcript:DRNTG_16938.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTRSTSLIQWVATRGFRSCVHPYRCCERRSNSNRHGSTLRHLDRPRSTSISHINSNQSPIKRRTASHRKDLQQLTCSQEKTTRKQEKSNKTTENFEIY >DRNTG_16938.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:64162:66413:-1 gene:DRNTG_16938 transcript:DRNTG_16938.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTRSTSLIQWVATRGFRSCVHPYRCCERRSNSNRHGSTLRHLDRPRSTSISHINSNQSPIKRRTASHRKDLQQLTCSQEKTTRKQEKSNKTTENFEIY >DRNTG_03467.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17782865:17786541:-1 gene:DRNTG_03467 transcript:DRNTG_03467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLETMRLMGMVCRVQTGVYTLVLLAPEIAEEEGDDAEASQPTPEPQSAPMETGAPPVTEDPPPVRMFSVSQAQDRFERLESVVG >DRNTG_18636.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6386923:6388147:1 gene:DRNTG_18636 transcript:DRNTG_18636.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCDYSCIETEKMENLHRDLLGGAHGRRRGGHHGGGKNGLSGGTLKGSYISSSSRGISSNSDRPFGECGGAISRNQLHRRSFWSTRSFLCTSSEFRFFSGSLCSTSEIGCIDSNT >DRNTG_18636.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6387549:6388465:1 gene:DRNTG_18636 transcript:DRNTG_18636.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFRLGGGASKAPEITSSCGGGIGFTSYSNG >DRNTG_20172.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4914361:4919132:1 gene:DRNTG_20172 transcript:DRNTG_20172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMLVPSMQCSQMRVPCNLPQTRSLMPAKMWKSLLLNNQKAKTKKGLSSFRVFAVKSENSNGTVSRLEDLLNLDITPFTEKIIAEYIWIGGTGIDIRSKSRTIPKPVEHPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVICDTYTPSGEPIPTNKRHRAAQIFSEKKVTNEVPWYGIEQEYTLLQSNVKWPLGWPVGGYPGPQGPYYCAAGADKSFGRDISDAHYKACLYAGINISGTNGEVMPGQWEYQVGPSVGIDAADHIWCSRYLLERITEQAGVVLSLDPKPIEGDWNGAGCHTNYSTKSMREDGGFDVIKKAILNLSLRHKEHISAYGEGNERRLTGKHETASIDTFSWGVANRGCSIRVGRDTEKRGKGYLEDRRPASNMDPYVVTSLLAETTILWEPTLEAEALAAKKLQLQV >DRNTG_23245.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21397480:21402572:-1 gene:DRNTG_23245 transcript:DRNTG_23245.12 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKEMLMSKKSIVEHCLLQLLQRVLVSVQKCRKSLLNIFLENHLDLHGVLWLESYLVKWPKAFIVVSHARKFLNTVVTDILHLHNQKLIVYKGDYYTFERTREEQLRNQQNAFETSEKARAHM >DRNTG_23245.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21397480:21402572:-1 gene:DRNTG_23245 transcript:DRNTG_23245.13 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRQVKRLEPTWSTRTKTTSTPRFFWCLRPSCTSTNVYFVRWSESRVAFSKITFKKPHIILLDEPSNHLDLDAVGALIQGLVIFQGGVLMVSHDEHLISSSICSMIQNSLQSYILELLLKSLCSPCLFFKMDNKIIQTCHS >DRNTG_23245.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21397480:21402572:-1 gene:DRNTG_23245 transcript:DRNTG_23245.10 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRQVKRLEPTWSTRTKTTSTPRFFWCLRPSCTSTNVYFVRWSESRVAFSKITFKKPHIILLDEPSNHLDLDAVGALIQGLVIFQGGVLMVSHDEHLISSSICSMIQNSLQSYILELLLKSLCSPCLFFKMDNKIIQTCHS >DRNTG_23245.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21397387:21398052:-1 gene:DRNTG_23245 transcript:DRNTG_23245.14 gene_biotype:protein_coding transcript_biotype:protein_coding RWSESRVAFSKITFKKPHIILLDEPSNHLDLDAVGALIQGLVIFQGGVLMVSHDEHLISSSICSMIQNSLQSYILELLLKSLCSPCLFFKMDNKIIQTCHSTSIKNELDFVLLDVLTILFCIGLLFWMLIDR >DRNTG_23245.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21397480:21402572:-1 gene:DRNTG_23245 transcript:DRNTG_23245.11 gene_biotype:protein_coding transcript_biotype:protein_coding NHLDLHGVLWLESYLVKWPKAFIVVSHARKFLNTVVTDILHLHNQKLIVYKGDYYTFERTREEQLRNQQNAFETSEKARAHM >DRNTG_23245.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21397480:21402572:-1 gene:DRNTG_23245 transcript:DRNTG_23245.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRQVKRLEPTWSTRTKTTSTPRFFWCLRPSCTSTNVYFVRWSESRVAFSKITFKKPHIILLDEPSNHLDLDAVGALIQGLVIFQGGVLMVSHDEHLISSSICSMIQNSLQSYILELLLKSLCSPCLFFKMDNKIIQTCHS >DRNTG_23245.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21397387:21402572:-1 gene:DRNTG_23245 transcript:DRNTG_23245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKEMLMSKKSIVEHCLLQLLQRVLVSVQKCRKSLLNIFLENHLDLHGVLWLESYLVKWPKAFIVVSHARKFLNTVVTDILHLHNQKLIVYKGDYYTFERTREEQLRNQQNAFETSEKARAHMEYQNKNYEHT >DRNTG_23245.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21397480:21402572:-1 gene:DRNTG_23245 transcript:DRNTG_23245.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRQVKRLEPTWSTRTKTTSTPRFFWCLRPSCTSTNVYFVRWSESRVAFSKITFKKPHIILLDEPSNHLDLDAVGALIQGLVIFQGGVLMVSHDEHLISSSICSMIQNSLQSYILELLLKSLCSPCLFFKMDNKIIQTCHS >DRNTG_23245.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21397480:21402572:-1 gene:DRNTG_23245 transcript:DRNTG_23245.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDMPRLLLFFSSLLRKATFSLIYFQSHTHTQRPPSISSNAHNTFIPHKASSSRSLP >DRNTG_23245.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21397480:21402572:-1 gene:DRNTG_23245 transcript:DRNTG_23245.7 gene_biotype:protein_coding transcript_biotype:protein_coding MYDMPRLLLFFSSLLRKATFSLIYFQSHTHTQRPPSISSNAHNTFIPHKASSSRSLP >DRNTG_00443.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27317847:27319214:-1 gene:DRNTG_00443 transcript:DRNTG_00443.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACFLHHHVPTTTSKTPAHRLLPNLKSSQLVCKAQKQEPENNATVSRRLALTILIGTAAVGSKVSPADAAYGESANIFGKPKTNTDFLPYTGSGFQVQVPSKWNPSKEVEFPGQVMRYEDNSDALSYLSVIIQPTDKKAITDYGTPEEFISQFDYLLGKQIFAGKTQAEGGFDKDAVATANILESETADIDGKKYYFVSVLTRTADGDEGGKHQLIKATVSNGKLYICKAQAGDKRWFKGARKFVESSSSSFKVA >DRNTG_12730.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000566.1:30878:39195:-1 gene:DRNTG_12730 transcript:DRNTG_12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDTICSAAAPSSGDGVAESIVFTFAVVAVVGNKNTNSITDKITLQENFQIGTMANQEKTETRAKWNENHRAHLVKLLGPANSTPKEKQQKKQKDEGPAESSQKEKQHKKKKEEDSDESPPKAKGRKGGGFLAPLQLSDALIKFIGTGESALSRSDVVKRVWNYIKENKLQGFHPGHVESTPHGDQGKPQEGAESALNAKDLDSTYVQ >DRNTG_33424.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19737886:19743551:-1 gene:DRNTG_33424 transcript:DRNTG_33424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVGDALHHCNNDNSVIKVDLGNAALSGSLVPQLGQLKILQYLYRSWGLGAWVSLGLTTLHVQVTDCTICNSAYSYHGGDDVMQHLQIDAHVGGVNDLAFSHPNKQLCVITCGDDKMIKFIFSIALDGKIMVWLKDGESYNVEWNESEGAVKRTYQGFRKRSMGVVQFDTTRNRFLAAGDKGLIKFWDMDNTNLLTTIDAEGGLPASPRIRFNKEGTLHAVSTVDNGIKILANTDGIRLLRTFESLSFDASRSVQRIWQSSGILDGVVPPVVVTRMHRASVVPQLWQLASGIIMTNEITDINPEEAVPCFALSKNDSYVMSTHPVEKNFSFNMMTFKTMTTFMPPPQAATFLAFHSQDNNIIAIGMDDSTIQIYNVKSKLRGHTKRITGLAFSNMLNVSVSFGADA >DRNTG_07187.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000323.1:74667:78268:1 gene:DRNTG_07187 transcript:DRNTG_07187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYDYEDDSSSRYAASDAGYDPSFVPDSVKTFVAHLYRHIREKNVYEIHQMYEGGFHRLSDRMFRDTPWPPVEAIAPFVDNDHVFCLLYREMWFRHLYARLSPTARQRVESWDNYCSLFGVVLHGVVNMQLPNQWLWDMVDEFVYQFQSYCQYRAKLMNKTEEELQLLRQNDQAWNVYGVLNYLQALVEKSMIIQILEREKEGLEQFTSTDGYDYEGGSNVLKMLGYYSMIGLLRVHCLLGDYHTGLKCLSPIDISQQGVYTIVIGSHISAIYHYGFANLMLRRYVDATREFNKILLYILKFKQYHEKSPQFDQILKKNEQMYALLAICLSLCPQTKLIEEKVSAQLKEKYGDKMLRMQRYDDEAYAVYDELFSYACPKFITPSAPLLEEPLINRNQDAYRLQLKLFLLEVKQQQLLSGLLELLEAVLNHINWETLQHIWN >DRNTG_07187.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000323.1:74667:77683:1 gene:DRNTG_07187 transcript:DRNTG_07187.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYDYEDDSSSRYAASDAGYDPSFVPDSVKTFVAHLYRHIREKNVYEIHQMYEGGFHRLSDRMFRDTPWPPVEAIAPFVDNDHVFCLLYREMWFRHLYARLSPTARQRVESWDNYCSLFGVVLHGVVNMQLPNQWLWDMVDEFVYQFQSYCQYRAKLMNKTEEELQLLRQNDQAWNVYGVLNYLQALVEKSMIIQILEREKEGLEQFTSTDGYDYEGGSNVLKMLGYYSMIGLLRVHCLLGDYHTGLKCLSPIDISQQGVYTIVIGSHISAIYHYGFANLMLRRYVDATREFNKILLYILKFKQYHEKSPQFDQILKKNEQMYALLAICLSLCPQTKLIEEKVSAQLKEKYGDKMLRMQRYDDEAYAVYDELFSYACPKFITPSAPLLEEPLINRNQVGSSFSLQFFRYAHLYSLLECWCC >DRNTG_21062.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4825456:4829470:-1 gene:DRNTG_21062 transcript:DRNTG_21062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQMKSKTKKKKKKKKKKKMQRIRKMTMKMNYYFRPNGR >DRNTG_02237.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1106937:1108886:-1 gene:DRNTG_02237 transcript:DRNTG_02237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPMVLVFLLVFFIVTSQLEWKQQLAKELEASSGIFQEQLHTADKEGFFKEKIILSQEKDIKRLKELVQSFQHQLLQCRMGIKMINLSRNPSSANADEI >DRNTG_02047.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1529975:1532782:-1 gene:DRNTG_02047 transcript:DRNTG_02047.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQVSVLFSVASTTSTRTSEDTDAGGSIGVAGYDGGVADSSRVDGALCLIINGKAETPLLHLPNPWLSLVGDSTSCLLMHPRGRVEISYTSVPDPQGHSHAPVASLSKREVLLNVPHAMWKFHMVIWIFTGGHKEAMENKKISFGRKKEENRNFILSPYYPL >DRNTG_21432.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7433404:7433844:1 gene:DRNTG_21432 transcript:DRNTG_21432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNIVNSLIPGKNKGVEVKGTVVLMQKNVLDFNDFTSTAIDAASELLGQ >DRNTG_15761.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15301110:15304577:1 gene:DRNTG_15761 transcript:DRNTG_15761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLEKHLARFVQSANTRFESVKATLRNHTASLHNLENQVGQIAKSLSERPHGSLPSNTETNPREHVKAIVLRSGREVEGRLLNTTDEIVDEYIQEMFNPDPYEGLFDQEESIEEVLMLGSTGEETSTLGILMKGWADFPENTQRRGLAPVGNLVNHARPWVISARPCETLQVAPSIPRTHKGVRPSLKPKECASSPVGLAHGHGYFPHARIMAPRSKKQADKRPRESSFEPEGMRFAIPEHQARYERLSRLRFGQTRFLDTIILRDLQQGDEFAEEIEELVSEGGWRQLLTIRKPAIRAFALEFSILLGLYKEAFTDSEEYAQLPTDYPGTLNPQRAYRMLCGQGQYEPGVSKATCLSRPAYRYLHAIMSRSVNGRGDSTGVLSRQELLYLYSMVERVPIHLGNILADYIRHQGQ >DRNTG_09519.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:25743367:25745109:-1 gene:DRNTG_09519 transcript:DRNTG_09519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGNPHASVGAPVEFPQGQGMLECDCPCAPPNGCANSNTGSVAFDEAKSLGVVFQQVVKLTRSSAKALWPCP >DRNTG_24703.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19109654:19110465:1 gene:DRNTG_24703 transcript:DRNTG_24703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQELSQLLILFSSETKRNMQLSFIKFLLLILLMLPFIINLSKAPTEDGKLKNMLGGRVGIHPTPPYTPPAPIPKLSQRGGP >DRNTG_19889.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18137000:18138478:-1 gene:DRNTG_19889 transcript:DRNTG_19889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAATEIVLEMDPLLDSIKEKLKIIKIQTLEEEEPCTIYRVVPSIRGEDGAVFDPKIISIGPFHQNKKHLLPMEEIKWCYLHKLLSRVPENTLEELLGVVGAQAPQARKMYSEKLCLEPDAFVKMLLLDGCFIVEFLVRFVLEKNHGQLTDTNWKMPLVRSDLLLLENQIPFFILQSLFDSSVSSLFDFKNQNDPPITLKELALSYVTQGRLEILLESVNNVKIHHLLHLFQISLTPNPALSGPQPFSCMKMLMQFLRKYGIMEIPRVPIQALTISMFHNLIAFEQCCPNSGSHFTSYAALMDNLINTPMDVVVLQDCGIIESKLGSDNEVAIFFNQLCRGGYLDYENHYLIEVFKDVRKFSSSSRHRWRAMLIHDYFSNPWAIISFAAAFVLLGLTIVQTVFAILSYVHPPKQPT >DRNTG_22568.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14620807:14635008:1 gene:DRNTG_22568 transcript:DRNTG_22568.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL3 [Source:Projected from Arabidopsis thaliana (AT4G38600) UniProtKB/Swiss-Prot;Acc:Q6WWW4] METRSRKRAEASSAAPSTPSSSSSRPSKRSRSFPPPLPSVTTRSRSAAIVANTTAMDSSESSPAPRRRGPARTHQPPPPPADDSSSSKGKERETPEGSSRPRADRDRDAERILGLSFDGGGGGGNDDDIDSEGGAGGIFQHNFAGSALQGLLRKLGAGLDDLLPSSTGAPSSSSHQSGRLKKILTGLRADGEEGRQVEALTQLCEMLSIGTEESLGSFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCAAVVHYGAVPCFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADFVMEAVPLLTNLLNYHDSKVLEHASVCLTRIAEAFASSPEKLDELCNHGLVTQAAGLISVSNTGGGQASLSSSTYTGLIRLLSTCASGSPLGAKTLLLLGISGILKDILSGSGLVASISVSPTVTRPADQIYEIVNLVDELLPPLPQGTISLPICSNILVKGSAIKRSCSGSGNKSDEVNGASNEVSAREKLLHDQPELLQQFGADLLPVVIQVYGSSVSGPVRHKCLSAIGKLMYFSTADMIQSLLSATNISSFLAGVLAWKDPQVLIPALQIAEILMEKLPGTFSKMFIREGVVHAVDALICSDLSSSVPSQASAAEKDNDTTPGTSSRSRRSRRRGSTNTENSLLDESKGVVSGSVSTPPTTIEVPSANSSLRATVSACARAFKDKYFPADPLSADVGVTDDLLRLKNLCSKLNADVEDVKTKAKGKSRASSACSFDISTDNEEHLNDVISEMLFELCKGDGVSTFEFIGSGVVVALLNYFSCGTFLKEKISEANLPKLRQQALRRYKSFIATALPASVNGGKELPMTVLVKKLQNALSSLERFPVVLSHSSRSSSGGARLSSGLSALSQPFKLRLCRAQGEKSLRDYSSNIVLIDPLASLAAVEEFLWPRVQRSESGQKPALLAGNSDSGAASTGAGAAMPASAPASGRRPATRSRSSLTIGGARKDTNEGNASSSKGKGKAVLKSNADEAKGPQTRNTSRRRALLDKDTNMKPAHGDSTSEDEELDVSPAEIDEALVIEEDDVSEDEDDDHDEVLRDESLPVCVPEKVHDVKLGDSADDAAVASSANDSQVQPSSGSINRTAATRGQDTEFRSGNAFGSRGAMSFAAAAMAGLASVSGRGIRGGRDRRGFPTGASINDHYNKLIFTSGGKQLSKHLTIYQAIQRQLVLDEDDDDRYNGSDFVASDGSRLWSDVFTITYQKVDTQPDRVAAGGSNSVTAKSSKSTSASNSGDGSDSRLQQMSLLDSILQGELTCDLEKSNPTYNILALLRVLEGLNQLAPRLRVQAVSDDFAEGKISTLDDLYKAGAGVPREEFVNSKLTPKLARQIQDALALCSGSLPSWCYQMTKACPFLFPFETRRQYFYSTAFGISRALHRLQQQQGADSHSANEREVRVGRLQRQKVRVSRNRILESALKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVGLGMWRSNATLDNTSMHIDGDELKDGNSDEILGEKKPRSDLAFGSNNHIQAPLGLFPRPLSPNTEVSEGSQFSKVIEYFRLAGRVMAKALQDGRLLDLPLSPAFYKLMLGYELDLHDILAFDSEFGKILQEMQVLVNRKKSLEAMADYSEKAVADLRFRGAAIEDLCLDFTLPGYPDYLLNEGEGTLVDIDNLEEYISLVVDATVKTGITRQMDAFRAGFNQVFDITTLQIFSPQELDYLLCGRRELWEPDSLVDHIKFDHGYTAKSPAIINLLEIMGEFSPEQQHAFCQFVTGAPRLPPGGLAALNPKLTIVRKHSSTASNIASNGAVTSESADDDLPSVMTCANYLKLPPYSTKDIMYKKLLYAISEGQGSFDLS >DRNTG_22786.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3945277:3948210:1 gene:DRNTG_22786 transcript:DRNTG_22786.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDNSSNSQDAQPEDSPPLGTRLLEDIYQTCTFALHVVDPTQFSEAVKSSKWKASMDAEMEAIMSNKTWELCALPEGKKTVGLKWIYKTKLNAEGQVLKLKARIVAKGYSQQQGIDYEEVFSPVARLETVRLVLAFAVQHGWEVHQFDVKSAFLNGEIREDVYVSQPEGYVEKGKEHLVCKLSKALYGLKQAPRAWYSKIDQYSREIGLRKSKSEHTLYQRQGEDGETLVVSLYVDDIIYTSSLCTMLKEFKNEMMHKFNMTDLGVMGFFLGIEVKQNSDGIFICQRSYIEDVLSKLNMQQCKPVPTPMAVNEKLEEEGSEEFTDPFLFRSMVGKLLYICHTRPDIVYSVNYLSRFMSRPSKVHFSAVKRVVRYLAGSKNFGLWYSHEGETTLEIFSDSD >DRNTG_22786.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3945277:3948010:1 gene:DRNTG_22786 transcript:DRNTG_22786.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDNSSNSQDAQPEDSPPLGTRLLEDIYQTCTFALHVVDPTQFSEAVKSSKWKASMDAEMEAIMSNKTWELCALPEGKKTVGLKWIYKTKLNAEGQVLKLKARIVAKGYSQQQGIDYEEVFSPVARLETVRLVLAFAVQHGWEVHQFDVKSAFLNGEIREDVYVSQPEGYVEKGKEHLVCKLSKALYGLKQAPRAWYSKIDQYSREIGLRKSKSEHTLYQRQGEDGETLVVSLYVDDIIYTSSLCTMLKEFKNEMMHKFNMTDLGVMGFFLGIEVKQNSDGIFICQRSYIEDVLSKLNMQQCKPVPTPMAVNEKLEEEGSEEFTDPFLFRSMVGKLLYICHTRPDIVYSVNYLSRFMSRPSKVHFSAVKRVVRYLAGSKNFGLWYSHEGETTLEIFSDSD >DRNTG_22786.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3944691:3948010:1 gene:DRNTG_22786 transcript:DRNTG_22786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDNSSNSQDAQPEDSPPLGTRLLEDIYQTCTFALHVVDPTQFSEAVKSSKWKASMDAEMEAIMSNKTWELCALPEGKKTVGLKWIYKTKLNAEGQVLKLKARIVAKGYSQQQGIDYEEVFSPVARLETVRLVLAFAVQHGWEVHQFDVKSAFLNGEIREDVYVSQPEGYVEKGKEHLVCKLSKALYGLKQAPRAWYSKIDQYSREIGLRKSKSEHTLYQRQGEDGETLVVSLYVDDIIYTSSLCTMLKEFKNEMMHKFNMTDLGVMGFFLGIEVKQNSDGIFICQRSYIEDVLSKLNMQQCKPVPTPMAVNEKLEEEGSEEFTDPFLFRSMVGKLLYICHTRPDIVYSVNYLSRFMSRPSKVHFSAVKRVVRYLAGSKNFGLWYSHEGETTLEIFSDSD >DRNTG_22786.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3945170:3948010:1 gene:DRNTG_22786 transcript:DRNTG_22786.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDNSSNSQDAQPEDSPPLGTRLLEDIYQTCTFALHVVDPTQFSEAVKSSKWKASMDAEMEAIMSNKTWELCALPEGKKTVGLKWIYKTKLNAEGQVLKLKARIVAKGYSQQQGIDYEEVFSPVARLETVRLVLAFAVQHGWEVHQFDVKSAFLNGEIREDVYVSQPEGYVEKGKEHLVCKLSKALYGLKQAPRAWYSKIDQYSREIGLRKSKSEHTLYQRQGEDGETLVVSLYVDDIIYTSSLCTMLKEFKNEMMHKFNMTDLGVMGFFLGIEVKQNSDGIFICQRSYIEDVLSKLNMQQCKPVPTPMAVNEKLEEEGSEEFTDPFLFRSMVGKLLYICHTRPDIVYSVNYLSRFMSRPSKVHFSAVKRVVRYLAGSKNFGLWYSHEGETTLEIFSDSD >DRNTG_22786.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3945170:3948210:1 gene:DRNTG_22786 transcript:DRNTG_22786.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDNSSNSQDAQPEDSPPLGTRLLEDIYQTCTFALHVVDPTQFSEAVKSSKWKASMDAEMEAIMSNKTWELCALPEGKKTVGLKWIYKTKLNAEGQVLKLKARIVAKGYSQQQGIDYEEVFSPVARLETVRLVLAFAVQHGWEVHQFDVKSAFLNGEIREDVYVSQPEGYVEKGKEHLVCKLSKALYGLKQAPRAWYSKIDQYSREIGLRKSKSEHTLYQRQGEDGETLVVSLYVDDIIYTSSLCTMLKEFKNEMMHKFNMTDLGVMGFFLGIEVKQNSDGIFICQRSYIEDVLSKLNMQQCKPVPTPMAVNEKLEEEGSEEFTDPFLFRSMVGKLLYICHTRPDIVYSVNYLSRFMSRPSKVHFSAVKRVVRYLAGSKNFGLWYSHEGETTLEIFSDSD >DRNTG_22723.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31554553:31556133:-1 gene:DRNTG_22723 transcript:DRNTG_22723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWANSLASLLLSMTGAMASLPIETLTLQRHLLKATSTDGGEVKKIEDWLSEKQFAKALREALASMARARRDDSKDESKTKRNQRAI >DRNTG_22723.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31554553:31555302:-1 gene:DRNTG_22723 transcript:DRNTG_22723.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWANSLASLLLSMTGAMASLPIETLTLQRHLLKATSTDGGEVKKIEDWLSEKQFAKALREALASMARARRDDSKDESKTKRNQRAI >DRNTG_01687.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23773133:23776669:-1 gene:DRNTG_01687 transcript:DRNTG_01687.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTACFIIVSRNDIPIYEAEVGSAIKKEEAAHQHQFILHAALDIVQDLAWTTSAMFLKAMDRFNDLVVSVYVTAGHTRLMLLHDSRNEDGIKSFFQEVHELYIKILLNPLYLPGSRITSSHFDTKVRALARKYL >DRNTG_01687.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23773133:23776669:-1 gene:DRNTG_01687 transcript:DRNTG_01687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTACFIIVSRNDIPIYEAEVGSAIKKEEAAHQHQFILHAALDIVQDLAWTTSAMFLKAMDRFNDLVVSVYVTAGHIPPMLGFFSFYCCHVI >DRNTG_13072.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:974232:984350:-1 gene:DRNTG_13072 transcript:DRNTG_13072.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTLASHRCCYRGFEQLDRGRIADDLSFSGSISNLKYPKFETFVEDILERRPARFVSEGHQLDSNRVGINGHSVKSIGLNGRVTRMVSTKEIVKSKGSSNGRRTDSVNGSKVAVNRVSIMKKNSTSALVRTQRKPVTEENFFDEELKVLPSDENFSWAKENYSSWQRTIDVWSFVLSLRVRVLFDNAKWAYPDGFTEDKQKARRKRTASWLREQVLQLGPCFIKLGQLSSTRSDLFPREFVDELAKLQDKVPAFSSKKAKAFIQRELGSPVNILFKEFEERPIAAASLGQVHRAILHNGEKVVLKIQRPGLRKLFEIDLRNLKLIAEYFQRSETFGGPSRDWLGIYDECSKILYEEIDYINEGKNADRFRRDFRNIKWVRVPLVHWDYTSTKVLTLEYVPGIKINDMEKIEARGYNRSQIASRAIEAYLIQILKTGFFHADPHPGNLAIDRDGSLIYYDFGMMGEIKSFTRERLLELFYAVYEKDSNKVIKCLIELEALKPTGDLSPVRRSVQFFLDNLMNQRPDQEQTLSAIGEDLFAIATDQPFRFPATFTFVLRAFSTLEGIGYALDPNFSFAKVAAPYAQELLDIKQTQRSGAELVQEIRRQANDARDSTISMPYRIQRIEEFVKQLEDGDLKLRVRVLESERAARKASILQMAAIYTVLSGSLLNVGVSLSVQGNELIANGTYIGAGVFLALLIRSMQRVKQLEKFEKMI >DRNTG_35317.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1536689:1545811:-1 gene:DRNTG_35317 transcript:DRNTG_35317.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-CoA dehydrogenase IBR3 [Source:Projected from Arabidopsis thaliana (AT3G06810) UniProtKB/Swiss-Prot;Acc:Q8RWZ3] MAILTSDLLSKVQATHQFEEERLLGFVRANVSGFPQSLAKFSVLQFGHGQSNPTFLLEAYFGESGAEVKRYVLRKKPPGVLLESAHAVEREFMVLKALGACSDVPVPRVFCLCSDPSVIGTAFYIMEFLEGRIFLDNKLPGLAPERRRAVYQATAQTLAALHRVDVDSIGLQSFGRRDNYCKRQVQRWERQYLASTGEGKPSRNPKMLELADWLKKNIPLGDSSGSSGTGLVHGDYRIDNLVFHPVKDQVIGILDWELSTLGNQMSDVAYSTLHFFVDYMLATSESRGGLETMMIEGIPSVAEYLADYCSAAQKPWPAADWKFYVAFSLFRAASIYAGVYHRWAMGNASGGQRAQSAGKLTHVLIDSAWDYINRKFVLPNQPPLRPVTTKSSEMQYPNGLENIYSTKEQGRFVPNEKVLILKNKLLKFMEDHIYPLENEFYKLAQSSARWTIHPEEERLKELAKQEGLWNLWIPLDSAARARKLLFDGNSYLNQENWSNNLLGAGLSNLEYGYLCEIMGRSVWAPQIFNCGAPDTGNMEVLLRYGTKEQMKEWLVPLLEGKIRSGFAMTEPKVASSDATNIECAISRVGDSYVINGRKWWTSGAMDPRCKILIVMGKTDFTASTHKQQSMVLVDINTPGVQIIRPLQVFGFDDAPHGHAEVCFENVKVPIDNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMHMMVQRALKRKVFGKFIAEQGSFLSDLAKCRVELEKTRLLVLEAADQLDRLGNKRARGTIAMAKVAAPNMALAVLDMAMQVHGAAGLSSDIVLSHLWAAARTLRIADGPDEVHLGTIAKLELRRARL >DRNTG_05308.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12614381:12617990:1 gene:DRNTG_05308 transcript:DRNTG_05308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKISPISTLNPELDLDIQAKFNKCLNVGPFSRFHNHLLSTSCLAWLDTQIEASVVYISFGTMIMRSPVELIKMAAGLEASGVPLLWSLKDAARKHLPQGFLDRVAGQGLVVPWAPQTQVCDS >DRNTG_29152.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5287434:5301647:-1 gene:DRNTG_29152 transcript:DRNTG_29152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMAPAPLDQEDDEMLVPHSEFNEAPQPMEVAPAEAAVTVENQQVEDPSTSRFTWTIENFSRLNVKKHYSDIFVVGGFKWRVLIFPKGNNVDHLSMYLDVADSASLPYGWSRYAQFSLAVINQVHHKFSIRKETQHQFNARESDWGFTSFMPLSELYDPTRGYLVNDTCVVEAEVAVRRVVDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSENSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYINCINVDYKSNRKESFYDLQLDVKGCRDVYASFDKYVEVEQLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDHYEFPLQLDLDRENGKYLSPDADKSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDLKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKEKVICNVDEKDIAEHLRIRLKREQEEKEHKKKEKAEAHLYTIIKVARNEDLTQQIGKDIYFDLVDHDKVRSFRIQKQLPFNIFKEEVAKEFGVPVQCQRFWLWAKRQNHTYRPNRPLSPQEECQSVGQLREVSNKAHNAELKLFLEVELGPNLAPIPPPDKTKEDILIFFKFYDPEKEELRFVGRLFVKALGKPTEIFERKLNEMAGFPPNEEIELYEEIKFEPTVMCEHIDTKLTFRSSQLEDGDIICYQKSLENDGKYRYPDVPSFLEYVHNRQVVHFRLLEKPKEDDFCLELSKLYTYDDVVEKVAQRLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVEHLSDMLVHYNQTSDILYYEILDIPLPELQRLKTLKVAFHHATKDEVVIHTIRLPKNSTVGDVINDLKTKVELSRPDAEIRLLEVFYHKIYKIFIPSEKIENINDQYWTLRAEEIPEEEKNLGPQDRLIHVYHFTKDAGPNQAQVQNFGEPFFLPIREGETLAEVKVRIQRKLQVPDEEFSKWKFAFLSLGRPEYLQDTDIVSGRFQRRDVYGAWEQYLGLEHSDTAPKRSYTVNQNRHTFEKPVRIYN >DRNTG_30878.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2260946:2262125:-1 gene:DRNTG_30878 transcript:DRNTG_30878.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTTIILLLFFFFITLINIPSPSSSQSCKPFCGPLPLHFPFGSGPGCGHPSFNPHITCNPSQQSLLFTTFSGTYPITSIDYTNHLLFIQDPSMSTCSTSCSSPGFSLNSNAPFSFSDFSFFALLSCSSSSSIITTTTTLCDNSPICTLLSSCPSLSSSSPSSCCVYTPVTLGPAFQMNLKSMNCNSYTAVYSFNGDETNPVIWKYGIVLKYKFSVDDAYPSSCDSCEQSGGVCGFTITGDSSSSSSSSSSSSSYRFSCNCNGDMNTTTNCHFPSWSHAVIFSSPSSWTGLMCWILSLVWMFIIRFY >DRNTG_14195.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000660.1:23691:24093:1 gene:DRNTG_14195 transcript:DRNTG_14195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTVMLITLGVASPGDCQIWWTLEMVAIETYDIGKLMKSTRFRANDGALVQCCSLGPLLDKQRRFGLKDAMPDCTKWTGGLFSSIMKKRRQSGLKFGGNAARSPDV >DRNTG_07796.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1232085:1233586:-1 gene:DRNTG_07796 transcript:DRNTG_07796.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETAKNGSSVERSYELPDGQVITIGSERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >DRNTG_07796.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1232393:1233107:-1 gene:DRNTG_07796 transcript:DRNTG_07796.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETAKNGSSVERSYELPDGQVITIGSERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQVSSSSSSSSSSSSMKRITVNFTIYFLLICIEFY >DRNTG_21530.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1455988:1456521:-1 gene:DRNTG_21530 transcript:DRNTG_21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGLFDLENNFAFYGAYHSNPTNVLIHMLFVWPIFYTSLILLQFTPLLLHVPFGFGGGVAWLPLNFAFVFALIYALFYVFMDKKAGSLAALLCMLCWLGSDANASRLGFSLAWKV >DRNTG_32145.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20779165:20784320:1 gene:DRNTG_32145 transcript:DRNTG_32145.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPMLMLSLLPNLSPMLFFMGFLLSMSPPVGLLGLPPSLLRPSMVGSRAKLCALHCLYFLFFLLQIGQGTYSSVYKALDLETGKFVALKKVRFINMDPESVRFMAREIHILRKLDHPNVLKLQGIVTSRMSGSLYLVFEYMEHDLAGLAATPGIKFSESQVAEIFFIFTFGVDFLSQVDFCFLSKLLIDGNGILKIGDFGLATFFHPDQKQQMTSRVVTLWYRPPELLLGATDYGVGVDLWSTGCILASLLLMIEVLSGGANAQNFQAVWLSIGVALERHSRISRLLLWLFWIHY >DRNTG_32145.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20779165:20784320:1 gene:DRNTG_32145 transcript:DRNTG_32145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPMLMLSLLPNLSPMLFFMGFLLSMSPPVGLLGLPPSLLRPSMVGSRAKLCALHCLYFLFFLLQIGQGTYSSVYKALDLETGKFVALKKVRFINMDPESVRFMAREIHILRKLDHPNVLKLQGIVTSRMSGSLYLVFEYMEHDLAGLAATPGIKFSESQVAEIFFIFTFGVDFLSQVDFCFLSKLLIDGNGILKIGDFGLATFFHPDQKQQMTSRVVTLWYRPPELLLGATDYGVGVDLWSTGCILASLLLMIEVLSGGANAQNFQAVWLSI >DRNTG_32145.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20781919:20784320:1 gene:DRNTG_32145 transcript:DRNTG_32145.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRSMEEMLKEHERQIQEAVRKARIDKDKSRKDFI >DRNTG_29503.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21114714:21115666:1 gene:DRNTG_29503 transcript:DRNTG_29503.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTKKYSKSEISLHSSKKDCWLVIHGKVYDVTKFLDDHPGGDDVLIHASASGDATQSFEDIGHSSIATTMRERFLVGVVEGYEPGEDAKKDREPGRARVLQERTGPSSRLTDYLWPLLILALAFGAWFYSNYYSDEA >DRNTG_10833.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5017797:5022401:-1 gene:DRNTG_10833 transcript:DRNTG_10833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYNYLQCNPLTVWYLTNKTCSQTLLSFYPYLNCFTEFSFQLCIEVLISYWITKLEDFSLEEETMPIKKNQSSEGGRSIRLQIFRPPSVDSSNLHDLGGSFFEPQRETSYPTPIGMQDADNINKNHWERAPEKKLIIFAIRLAILEKAASGLGALGFIWATAVLLGGFASLMDSKDFWFVTVILLTEGTRIFSRSHELEWQHQATWTITDAGKRSFRTIASSSQFFIRVIKVIFQPFSAIKPESAQQQHIANDIQIITQTQVPPPIRQCTWRSSDVLMVPYTGLIFISKNISKALYWLQLLSAVACMTLSLMRLIQQNYGVEQPGVENLKLALNLFYGLALAEAHLFLLEKAYWEWKISICKLLEQVNQECDLEITDIVSIKRFFYDAYSSCINGSVFDGLKMDLISFAKELLDSDFHDEQLIGVQILQKFANSDRFSSDTLRKIGTCTSLIERLIEMLSWKNPAKENIRKSAAEIVSKLAGKKQNALRVAGIPASIECVSSLLYTGRKFDNKPYEMWERDIVADQRNYEFSAFNLLGLLILKKLANDHENCWKIGNTRSLLSKIIDFTSTGERFLRNDRVTESQIKAVKRSLQVVKKLVSTTGETGKMLRQEISNIVFTVSNIRDILEYGENHMLLQMHGIEILTSLAMDQDAREKIGSTGGVIRLLLSIFFKPRLTEDQNKVSVEAGETLALLTLNSKHNANCVLKQMQVVDQLVDSLTDPVLQINSSRILRNLCTYSGSENFFCMRGVTAAAPTLLHSIMVEEGKLLELSIGLTIQIFRFMNFEESDKELKKACISPNNFVKKLAQILEKYNIPHVQVPRIRRFVVEMVIWLMKSHEKYIELSKKFEMDKAIKDVAETTSDLECFPVFSGSIGLSRDDISLCSLTHVALELLMKH >DRNTG_06664.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3058285:3058719:-1 gene:DRNTG_06664 transcript:DRNTG_06664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSGPIWGLLPTLEKNVYELPSWIKVLNPGSQFTFVYVQEGPQAKVSVLSYH >DRNTG_31873.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21113844:21116433:1 gene:DRNTG_31873 transcript:DRNTG_31873.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTRQDEAERGITIKSTGISLYYEMTDDSLKNFKGERMGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVGGEEAYQTFQRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDEHKMMERLWGENYFDPSTKKWTTKSTGCPTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTMKSDEKELTGKVLMKRVMQTWLPASTALLEMMIFHLPSPAKAQKYRVENLYEGPLDDIYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVSTGLKVRIMGPNFITGQKKDLYVKSVQRTVIWMGKRQESVEDVPCGNTVALVGLDQFITKNATLTNEKEVEAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIAVSDPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPMEDGLAEAIDDGRIGPRDDPKVRSKILAEEFGWDKDLAKKIWCFGPETTGPNLVVDMCKGVQYLSEIKDSVVAGFQWASKEGALAEENMRAICFEICDVVLHADAIHRGGGQVIPTARRAIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSGTLRAATSGQAFPQCVFDHWDMMSSDPLEPGSQAANLVSVIRKRKALKEQMTPLSEYEDKL >DRNTG_31873.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21112567:21116433:1 gene:DRNTG_31873 transcript:DRNTG_31873.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRRIMDLKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRQDEAERGITIKSTGISLYYEMTDDSLKNFKGERMGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVGGEEAYQTFQRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDEHKMMERLWGENYFDPSTKKWTTKSTGCPTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTMKSDEKELTGKVLMKRVMQTWLPASTALLEMMIFHLPSPAKAQKYRVENLYEGPLDDIYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVSTGLKVRIMGPNFITGQKKDLYVKSVQRTVIWMGKRQESVEDVPCGNTVALVGLDQFITKNATLTNEKEVEAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIAVSDPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPMEDGLAEAIDDGRIGPRDDPKVRSKILAEEFGWDKDLAKKIWCFGPETTGPNLVVDMCKGVQYLSEIKDSVVAGFQWASKEGALAEENMRAICFEICDVVLHADAIHRGGGQVIPTARRAIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSGTLRAATSGQAFPQCVFDHWDMMSSDPLEPGSQAANLVSVIRKRKALKEQMTPLSEYEDKL >DRNTG_31873.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21113500:21116433:1 gene:DRNTG_31873 transcript:DRNTG_31873.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRRIMDLKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRQDEAERGITIKSTGISLYYEMTDDSLKNFKGERMGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVGGEEAYQTFQRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDEHKMMERLWGENYFDPSTKKWTTKSTGCPTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTMKSDEKELTGKVLMKRVMQTWLPASTALLEMMIFHLPSPAKAQKYRVENLYEGPLDDIYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVSTGLKVRIMGPNFITGQKKDLYVKSVQRTVIWMGKRQESVEDVPCGNTVALVGLDQFITKNATLTNEKEVEAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIAVSDPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPMEDGLAEAIDDGRIGPRDDPKVRSKILAEEFGWDKDLAKKIWCFGPETTGPNLVVDMCKGVQYLSEIKDSVVAGFQWASKEGALAEENMRAICFEICDVVLHADAIHRGGGQVIPTARRAIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSGTLRAATSGQAFPQCVFDHWDMMSSDPLEPGSQAANLVSVIRKRKALKEQMTPLSEYEDKL >DRNTG_33040.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27023027:27035272:1 gene:DRNTG_33040 transcript:DRNTG_33040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKPLEWYCQPVENGVWAMVVENAFGAYTPCGIDSLLICISHLALFGACFYRIWRTKKDATVSWFCLRSPYYNYLLGLLALYCTAEPLFRLVTGTSFVNLDGQTSLAPFEIVSSVIEAATWCSMLIMIGLETKIYILEFRWYIRFVVIYVLIGQTAVFNLVLSVREYYDKRIFYLYTSELICQLVFGILLLVYVPNLDPYPGYTPIRNEVVSDNTAYEPLPGGEQICPERHANLFSRIFFEWVTPLMKQGYKRPITEKDVWKLDSWDETETLNKKFQKCWQEESQRQKPWLLRALHQSLGGRFWLGGLFKIGNDASQFVGPIILDLLLESMQQGDPSWNGYIYAFSIFAGVTLGVLCEAQYFQNVMRVGFRLRSTLVAAVFRKSLRLTHEGRRKFASGKITNLMTTDAEALQQICQQLHSLWSAPFRITIAVILLYKQLGVASLIGSLMLVLMFPIQTYVISKMRELTKEALQRTDTRIGLMNEVLAAMDTVKCYAWEESFQSKVQSIRNDELSWFRRAQLLGAWNFFFLNSIPVIVTVISFGVFSLLGGDLTPAKAFTSLSLFAVLRFPLFMLPNLITQVVNANVSLNRLEELFLTEERILLPNPPLDPALPAISIKDGFFVWDSKVYFHVLFVCQIYTMNLFWSFENRLS >DRNTG_33040.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27023027:27035272:1 gene:DRNTG_33040 transcript:DRNTG_33040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKPLEWYCQPVENGVWAMVVENAFGAYTPCGIDSLLICISHLALFGACFYRIWRTKKDATVSWFCLRSPYYNYLLGLLALYCTAEPLFRLVTGTSFVNLDGQTSLAPFEIVSSVIEAATWCSMLIMIGLETKIYILEFRWYIRFVVIYVLIGQTAVFNLVLSVREYYDKRIFYLYTSELICQLVFGILLLVYVPNLDPYPGYTPIRNEVVSDNTAYEPLPGGEQICPERHANLFSRIFFEWVTPLMKQGYKRPITEKDVWKLDSWDETETLNKKFQKCWQEESQRQKPWLLRALHQSLGGRFWLGGLFKIGNDASQFVGPIILDLLLESMQQGDPSWNGYIYAFSIFAGVTLGVLCEAQYFQNVMRVGFRLRSTLVAAVFRKSLRLTHEGRRKFASGKITNLMTTDAEALQQICQQLHSLWSAPFRITIAVILLYKQLGVASLIGSLMLVLMFPIQTYVISKMRELTKEALQRTDTRIGLMNEVLAAMDTVKCYAWEESFQSKVQSIRNDELSWFRRAQLLGAWNFFFLNSIPVIVTVISFGVFSLLGGDLTPAKAFTSLSLFAVLRFPLFMLPNLITQVVNANVSLNRLEELFLTEERILLPNPPLDPALPAISIKDGFFVWDSKVYFHVLFVCQIYTMNLFWSFENRLS >DRNTG_33040.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27033039:27035272:1 gene:DRNTG_33040 transcript:DRNTG_33040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLMFPIQTYVISKMRELTKEALQRTDTRIGLMNEVLAAMDTVKCYAWEESFQSKVQSIRNDELSWFRRAQLLGAWNFFFLNSIPVIVTVISFGVFSLLGGDLTPAKAFTSLSLFAVLRFPLFMLPNLITQVVNANVSLNRLEELFLTEERILLPNPPLDPALPAISIKDGFFVWDSKVYFHVLFVCQIYTMNLFWSFENRLS >DRNTG_33040.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27023027:27032659:1 gene:DRNTG_33040 transcript:DRNTG_33040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKPLEWYCQPVENGVWAMVVENAFGAYTPCGIDSLLICISHLALFGACFYRIWRTKKDATVSWFCLRSPYYNYLLGLLALYCTAEPLFRLVTGTSFVNLDGQTSLAPFEIVSSVIEAATWCSMLIMIGLETKIYILEFRWYIRFVVIYVLIGQTAVFNLVLSVREYYDKRIFYLYTSELICQLVFGILLLVYVPNLDPYPGYTPIRNEVVSDNTAYEPLPGGEQICPERHANLFSRIFFEWVTPLMKQGYKRPITEKDVWKLDSWDETETLNKKFQKCWQEESQRQKPWLLRALHQSLGGRFWLGGLFKIGNDASQFVGPIILDLLLESMQQGDPSWNGYIYAFSIFAGVTLGVLCEAQYFQNVMRVGFRLRSTLVAAVFRKSLRLTHEGRRKFASGKITNLMTTDAEALQAFLSVLIFCCQH >DRNTG_13162.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:15749409:15756177:1 gene:DRNTG_13162 transcript:DRNTG_13162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAPLMNQPHAAFRANLSLQRCRRLSLCSLLPPSSSFSFSCSRPFSSSATSRIFTVAPNLLFRCFVLSRFSPVSGGWSIFNAGNLRSSHHQFVRHATAAAAEIQVPTSEKTSKGRVYHETYGCQMNINDMEIVLSIMKNAGYEEIVSDPENAELIFINTCAIRDNAEQKVWQRLNYFWFLKREWKSNVATGRSQSKHPPKIVVLGCMAERLKDKILDADKMVDVVCGPDAYRDLPRLLEAVDYGQKGINTLLSLEETYADISPVRIASNSVTAFVSIMRGCNNMCSFCIVPFTRGRERSRPVESIVREVGELWQQGVKEVMLLGQNVNSYNDASGVQDVVPGSNWQLSEGFSSMCKVKTMGLRFSDLLDQLSTEFPEMRFRYTSPHPKDFPDDLLFIMRERYNICKSIHLPAQTGSTSVLERMRRGYTREAYLDLVHKIRDIIPDVGISSDFICGFCGETEEEHADTLSLVKAVGYDMAYMFAYSMRERTHAHRNYVDDVPENIKQRRLMELIDVFRESTGQRYDLQVGTTQLILVEGPNKRAPETELIGKTDRGHRVSFVNVPLRHSFDDDGERNPIVGDFVEVYILKSTRASLFGEAKKRTRLSLFQQVSPASGDTIKV >DRNTG_17145.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16964106:16967626:-1 gene:DRNTG_17145 transcript:DRNTG_17145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLNLSKISSKLVHYDPHWLLSLIFGLTTLPRFVINFDLLMFSRFILGFLGCRRCQLLDSVRTLVGLSITLHGPLLEHYWLECILLTRSQVSNPSLRERNDLELSAQISILPSIRSRMEAICTSAEQAAFCWAYFGRPLERLWGDLRNVDLEEIPIYVHMRLRFNRREIAVVLILETHGPFYPCIFYSSSMFHDNSSRGSASIALNDADGYHNLDI >DRNTG_05939.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5686573:5688202:1 gene:DRNTG_05939 transcript:DRNTG_05939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFSLGSLAVFRVAIGRLRVQFDSSKLTLPSNVEVGSSAPADVAHGIKAAVVFKLVEHPKEPVKRDQPVKCPLLEPFISNVSINSISCLNCLNFLDSILLQSPWHH >DRNTG_03723.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12166380:12168079:1 gene:DRNTG_03723 transcript:DRNTG_03723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTELHSPNSFHPILKSNHHVDGNRIISRDKLLKQSRSTGFGHLPEFMNMSLGQHDREYVKKIMMKHEEIFKQQVHELHRLYKVQKILMAEMNIKKMKLHSITNGSATTSETSHSSNWSYRLQSPSTVPCYGQPSTAQMASDLRRPAIQCDALTEAVADNELEECDVELTLSIGCNSSKTKKAQCLQKDNKLMNSPQATPSKTFGEDCSDPSVTTDRESLEDSPWIFQALSLKRT >DRNTG_03723.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12166380:12168079:1 gene:DRNTG_03723 transcript:DRNTG_03723.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMNIKKMKLHSITNGSATTSETSHSSNWSYRLQSPSTVPCYGQPSTAQMASDLRRPAIQCDALTEAVADNELEECDVELTLSIGCNSSKTKKAQCLQKDNKLMNSPQATPSKTFGEDCSDPSVTTDRESLEDSPWIFQALSLKRT >DRNTG_24623.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31123829:31127225:1 gene:DRNTG_24623 transcript:DRNTG_24623.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEYVKGGELFDKIALNDKLSENEARKLFQQLIDAVSYCHEKGVYHRDLKPENLLLDEEGNLKISDFGLSALPQQFGNDGLLHTTCGSPNYVAPEVIANKGYDGAKSDIWSCGVILYVMLTGCLPFDDRNLAVLYRKIFKGETDIPHWLSSGAQNLLRRILDPNTSTRINVDEIKAHCWFKRNYIPAIPNDDDEDFSSDDEAFSIKEPAEIDDHGNIYTHINAFQLIGMSSCLDLSGFFEKEDVSERMVRFTSEYSSTELFERIEDIVTKMGFHVQRGHGKIKVMQQYLAATAEVFELNSSLYVVELRKSHGDSSLYHQLCEKLEAALGVCDRTQIAATQPLMLKGGMPVSA >DRNTG_19771.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17680313:17683155:-1 gene:DRNTG_19771 transcript:DRNTG_19771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFSSRASVPFAGAFFTPSGLSGTALIQTLARLSHDLSISSPLQRRNSRSLLRCIKILSTFFESLLESELSPSSSSSADLCFKELYVLVYRAKALLHCCSHSGRLWLLIQSHRVSGYFHDLCREIYTLLDILPLGDFKNLAGETREQIELLRRQLRESKLFFDPHEESLRRMVFSFLDGFENGCVPDQGELREAFIQRLGIRSASACRAEVDFLEERIHVDDREDDDADPATLSAMAALARYSRFALFGFQEDEVDRNGRTLKKGVVSRELAEQSLSIPKDFCCPVSLDLMRDPVIISSGQTYDRESISRWLEDGHCTCPNSGQTLNHTSLVPNRALRSLISRWCAANSIAYDSPGDRQRDRSRRSVEQSSSWSYQSNSGPSGSPSLQWFR >DRNTG_10927.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:61191:64306:1 gene:DRNTG_10927 transcript:DRNTG_10927.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g40405 [Source:Projected from Arabidopsis thaliana (AT5G40405) UniProtKB/Swiss-Prot;Acc:Q9FND7] MKSRYGIEPWLEHYGCVVDMYGRAGRLKDAISFIDAMPVEPHAGAWGALLNACRLHNNIELGEYAMKKMMQLELRNHGAYVSLSNIYAESRNWHGVSDVRELMKAKGVRKEPGCSVIEVDGQLHEFFVGNKSHPKYINIETTMKEMMSKLRSAGYVSRTNQVLFDIEEEEKEDALCWHSEKLAIAFGLMSLRDGVNIRIVKNLRVCWDCHETSKFVSKVFDREIVMRDRNRFHHFKDGVCSCAGENSRFFLCSLNSAESMKFTG >DRNTG_33657.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2097974:2099029:-1 gene:DRNTG_33657 transcript:DRNTG_33657.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSHTLVSNSDSDSNYATILSAPPKRRAGRTKFRETRHPIYKGVRRRGRGEGRWVCEVREPKKKSRIWLGTYATAEMAARAHDVAAIALRGRSACLNFADSAWRLPVPDSASPKAIRAAAAMAAEAFGPGKGLGLKEVAVASSPSDEGEGVVEAVAAIEEGFDFEWLDYLGMGLQEGYYYESLAEGLLVDPPVLTGLDDVDFSADVDLWSYSD >DRNTG_33657.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2097974:2125462:-1 gene:DRNTG_33657 transcript:DRNTG_33657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHTSIDSSDSDCNYATIFSTPPKRRAGRTKFRETRHPIYKGVRRRGRGEGRWVCEVREPKKKSRIWLGTYATAEMAARAHDVAAIALRGRSACLNFADSAWRLPVPDSASPKAIRAAAAMAAEAFGPGKGLGLKEVAVASSPSDEGEGVVEAVAAIEEGFDFEWLDYLGMGLQEGYYYESLAEGLLVDPPVLTGLDDVDFSADVDLWSYSD >DRNTG_11462.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18521820:18527335:1 gene:DRNTG_11462 transcript:DRNTG_11462.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETEDEVIEINAKMAILFVIAASTFLVLLYFFMAPWFNWLLIIMFCIGGTQGMHACLVTAIQRICKGFQGLTINLPICGEVLVLSIVILPICIAFAATWALNRKAPIAWVGQDILGVCLMATVLQMVRLPNIKVASLLLTCAFCYDIFWVFISPLIFKTSVMITVARGVKNGGESIPMVFKIPRYGDPWGGDNILGHGDILFPGLLVVFSYRFDVLKKKDGVNGYFPWLITGYGFGLFLTYFVLYIMDGHGQPALLYLVPCTLGTIIVLGLVRKELGDLWNYGRDKSGSSVA >DRNTG_11462.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18526989:18527335:1 gene:DRNTG_11462 transcript:DRNTG_11462.9 gene_biotype:protein_coding transcript_biotype:protein_coding SDFCSSAEIKPRSTNTEIDDEFSPESHRL >DRNTG_11462.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18526610:18526905:1 gene:DRNTG_11462 transcript:DRNTG_11462.10 gene_biotype:protein_coding transcript_biotype:protein_coding RFDVLKKKDGVNGYFPWLITGYGFGLFLTYFVLYIMDGHGQPALLYLVPCTL >DRNTG_11462.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18510512:18510898:1 gene:DRNTG_11462 transcript:DRNTG_11462.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRTKIHQPLHLSLYMSVSQSLSLFPRHLLLPPHSGKTERRREIQGEGE >DRNTG_11462.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18510512:18525114:1 gene:DRNTG_11462 transcript:DRNTG_11462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSPPVSLCLFMLFSFSQFADAVDACNNTVQKVMIKRWVNGVEMDYLVGSSAKFGATLPVDDAKVQKLRAILAKPSTCCVKSSSKLMNSIAIAKRGDCEFTYKASIAESGGAAGLIVINDYDGLQDMVCADNQTSLNIRIPVIMIPKSSGEDILNSLADGKTVEALIYAPERPIVTPSIIVLWSIAVGTLTCASLWSGYTTREQERYNQLSPKSQEKGPNMEEETEDEVIEINAKMAILFVIAASTFLVLLYFFMAPWFNWLLIIMFCIGGTQGMHACLVTAIQRICKGFQGLTINLPICGEVLVLSIVILPICIAFAATWALNRKAPIAWVGQDILTQKASRNLL >DRNTG_11462.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18510512:18518881:1 gene:DRNTG_11462 transcript:DRNTG_11462.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRTKIHQPLHLSLYMSVSQSLSLFPRHLLLPPHSGKTERRREIQGEGE >DRNTG_11462.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18526820:18527335:1 gene:DRNTG_11462 transcript:DRNTG_11462.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGQPALLYLVPCTLGTIIVLGLVRKELGDLWNYGRDKSGSSVA >DRNTG_11462.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18525718:18527335:1 gene:DRNTG_11462 transcript:DRNTG_11462.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKIPRYGDPWGGDNILGHGDILFPGLLVVFSYRFDVLKKKDGVNGYFPWLITGYGFGLFLTYFVLYIMDGHGQPALLYLVPCTLGTIIVLGLVRKELGDLWNYGRDKSGSSVA >DRNTG_11462.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18518688:18520529:1 gene:DRNTG_11462 transcript:DRNTG_11462.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRWVNGVEMDYLVGSSAKFGATLPVDDAKVQKLRAILAKPSTCCVKSSSKLMNSIAIAKRGDCEFTYKASIAESGGAAGLIVINDYD >DRNTG_11462.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18520668:18527335:1 gene:DRNTG_11462 transcript:DRNTG_11462.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVCADNQTSLNIRIPVIMIPKSSGEDILNSLADGKTVEALIYAPERPIVTPSIIVLWSIAVGTLTCASLWSGYTTREQERYNQLSPKSQEKGPNMEEETEDEVIEINAKMAILFVIAASTFLVLLYFFMAPWFNWLLIIMFCIGGTQGMHACLVTAIQRICKGFQGLTINLPICGEVLVLSIVILPICIAFAATWALNRKAPIAWVGQDILGVCLMATVLQMVRLPNIKVASLLLTCAFCYDIFWVFISPLIFKTSVMITVARGVKNGGESIPMVFKIPRYGDPWGGDNILGHGDILFPGLLVVFSYRFDVLKKKDGVNGYFPWLITGYGFGLFLTYFVLYIMDGHGQPALLYLVPCTLGTIIVLGLVRKELGDLWNYGRDKSGSSVA >DRNTG_12086.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14104260:14105308:1 gene:DRNTG_12086 transcript:DRNTG_12086.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRELHVMTRGNPSTLIEEDSELERILRRKVKEPIQEQSNLADLEVEESENMAEQNEQQRTLSDYTRPSVWIL >DRNTG_11110.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30589124:30592226:1 gene:DRNTG_11110 transcript:DRNTG_11110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYR4 [Source:Projected from Arabidopsis thaliana (AT4G22930) UniProtKB/TrEMBL;Acc:A0A178V399] MELQLTRPDDWHLHLRDGQLLQSVVHHSAKHFGRAIVMPNLKPPVTTTATAVAYRESILKALPAGSAFNPLMTLYLTDNTSPEEIKLARQSGVVYGVKLYPAGATTNSQDGVTDIFGRCLPVLEEMIEHNMPLLVHGEVTDPVVDTFDREKVFIETVLGPLVERLPNLRVVMEHITTSDAVRFVESCREGFVAATVTPQHLLLNRNALFQGGLRPHNYCLPVLKRETHRQAIVSAVTSGSKRFFLGTDSAPHERQKKECSCGCAGIYSAPVALSLYAKVFEEAGALDKLEAFSSFNGPDFYGLPRNSSKIILRKNPWRVPDSYSFASGEIIPMFAGETLDWLPFNN >DRNTG_11110.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30589124:30592226:1 gene:DRNTG_11110 transcript:DRNTG_11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYR4 [Source:Projected from Arabidopsis thaliana (AT4G22930) UniProtKB/TrEMBL;Acc:A0A178V399] MELQLTRPDDWHLHLRDGQLLQSVVHHSAKHFGRAIVMPNLKPPVTTTATAVAYRESILKALPAGSAFNPLMTLYLTDNTSPEEIKLARQSGVVYGVKLYPAGATTNSQDGVTDIFGRCLPVLEEMIEHNMPLLVHGEVTDPVVDTFDREKVFIETVLGPLVERLPNLRVVMEHITTSDAVRFVESCREGFVAATVTPQHLLLNRNALFQGGLRPHNYCLPVLKRETHRQAIVSAVTSGSKRFFLGTDSAPHERQKKECSCGCAGIYSAPVALSLYAKVFEEAGALDKLEAFSSFNGPDFYGLPRNSSKIILRKNPWRVPDSYSFASGEIIPMFAGETLDWLPFNN >DRNTG_34931.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002209.1:7181:8004:1 gene:DRNTG_34931 transcript:DRNTG_34931.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKRVLSKRSRHDAASSGVPSFALPQHRDFSRIVASSPLPFAGNDCTFTEHSSSNNWGSLFIIYLLWIIHHVLLI >DRNTG_04873.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13614370:13621623:-1 gene:DRNTG_04873 transcript:DRNTG_04873.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQISVKRICSFLFTVVENAPSETVRSNCTLALGDLAVRFPNLLEPWTENIYARLRDPSVSVRKNAVLVLSHLILNDMMKVKGYINEMAMRIEDEEGRISNLARLFFHELSKKGSNPIYNLLPDILGRLSDQKNMKKDSFRNIMQFLINSIKKDKQMEALVEKLCNRFSGVSDKTQWECIAYCLSQLTYTEKGMKKLMESFKTYEHVLLEDSVVDHFKNIISKSKKFAKPELKSCIDEFEEKLNKSHMERKEQEEVANNAYKHQQKIEILEHKDINERSIEDGTGEVINTAEEATGNTTEAIQLDANGMNSEESCGCSILTECDDGDTEFQSPKASHGISKVKPKRKKGMIKGETGRRSTRSSSQSGRR >DRNTG_04873.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13614370:13623895:-1 gene:DRNTG_04873 transcript:DRNTG_04873.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISVKRICSFLFTVVENAPSETVRSNCTLALGDLAVRFPNLLEPWTENIYARLRDPSVSVRKNAVLVLSHLILNDMMKVKGYINEMAMRIEDEEGRISNLARLFFHELSKKGSNPIYNLLPDILGRLSDQKNMKKDSFRNIMQFLINSIKKDKQMEALVEKLCNRFSGVSDKTQWECIAYCLSQLTYTEKGMKKLMESFKTYEHVLLEDSVVDHFKNIISKSKKFAKPELKSCIDEFEEKLNKSHMERKEQEEVANNAYKHQQKIEILEHKDINERSIEDGTGEVINTAEEATGNTTEAIQLDANGMNSEESCGCSILTECDDGDTEFQSPKASHGISKVKPKRKKGMIKGETGRRSTRSSSQSGRR >DRNTG_30220.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:110175:114979:-1 gene:DRNTG_30220 transcript:DRNTG_30220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAKFTGFMAGIHGNDNMSSFYDMGYYQKIGEGSNMSVDSLASLQTSNGGSVPMSMENSSVGSNDSRTGILQHPGLRTVPVINYSLGYSVHPGRVSHALNNDALAKALMDPRYPTETLQNYDEWAIDLRKLNMGMAFAQGAFGKLYKGIYNGEDVAIKLLERPENDPERAQLMEQQFAQEVMMLANLRHQNIVRFIGACRKPVVWCIVTEYAKGGSVRQFLMKRQNRSVPLKLAVKQALDVARGMAYVHGLGLIHRDLKSDNLLIFADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYNQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNRGVRPVIPTDCLPVLSKIMTRCWDVNPDVRPPFTEIVSMLENAETEIMTNVRKARFRCCVCQPMTTD >DRNTG_30220.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:110175:114979:-1 gene:DRNTG_30220 transcript:DRNTG_30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAKFTGFMAGIHGNDNMSSFYDMGYYQKIGEGSNMSVDSLASLQTSNGGSVPMSMENSSVGSNDSRTGILQHPGLRTVPVINYSLGYSVHPGRVSHALNNDALAKALMDPRYPTETLQNYDEWAIDLRKLNMGMAFAQGAFGKLYKGIYNGEDVAIKLLERPENDPERAQLMEQQFAQEVMMLANLRHQNIVRFIGACRKPVVWCIVTEYAKGGSVRQFLMKRQNRSVPLKLAVKQALDVARGMAYVHGLGLIHRDLKSDNLLIFADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYNQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNRGVRPVIPTDCLPVLSKIMTRCWDVNPDVRPPFTEIVSMLENAETEIMTNVRKARFRCCVCQPMTTD >DRNTG_28272.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2861608:2862187:-1 gene:DRNTG_28272 transcript:DRNTG_28272.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:K(+) efflux antiporter 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G04850) UniProtKB/Swiss-Prot;Acc:Q9M0Z3] MMTSDKMQSSGIEVDGRQSEDDITVDQADTSESEDGVTFCRLDITNNYSSIINQDAGGNEKTVFDTSLPYSSTNEDS >DRNTG_28272.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2861671:2863103:-1 gene:DRNTG_28272 transcript:DRNTG_28272.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:K(+) efflux antiporter 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G04850) UniProtKB/Swiss-Prot;Acc:Q9M0Z3] MGFPVLYGDGSRPAVLQSAGISSPKAVMVMYAGKKRTVEAVQRLRLAFPGIPIYARAQDVPHLLDLKKAGATDAILENAETSLQLGSKLLRGLGAMSDDVTFWSKLVRDSMELQAQEVLIRNEDQDIDVMKPLQVRAGDLVRTEDARSTTSTNEPSLSLKRPNIHQIMMTSDKMQSSGIEVDGRQSEDDITVDQADTSESEDGVTFCRLDITNNYSSIINQDAGGNEKTVFDTSLPYSSTNEDS >DRNTG_28272.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2861671:2862903:-1 gene:DRNTG_28272 transcript:DRNTG_28272.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:K(+) efflux antiporter 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G04850) UniProtKB/Swiss-Prot;Acc:Q9M0Z3] MGFPVLYGDGSRPAVLQSAGISSPKAVMVMYAGKKRTVEAVQRLRLAFPGIPIYARAQDVPHLLDLKKAGATDAILENAETSLQLGSKLLRGLGAMSDDVTFWSKLVRDSMELQAQEVLIRNEDQDIDVMKPLQVRAGDLVRTEDARSTTSTNEPSLSLKRPNIHQIMMTSDKMQSSGIEVDGRQSEDDITVDQADTSESEDGVTFCRLDITNNYSSIINQDAGGNEKTVFDTSLPYSSTNEDS >DRNTG_28272.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2861671:2869898:-1 gene:DRNTG_28272 transcript:DRNTG_28272.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K(+) efflux antiporter 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G04850) UniProtKB/Swiss-Prot;Acc:Q9M0Z3] MADCLCFKGSGLKCQASLLTAQNHISATHTKHFLSFSHKQAVLLSPAVSLHKRQLGYLSGCGGHSNNGQRRYINKRRDSRLSGFRINSELSISSAVDVINDLGFDTLTFLGVTVVIVPAFRIIKASPILGFCCAGIALNQFGLIRNLTDVKVLSEWGILFLLFEMGLELSLARLRALAKFAFGLGLVQVILSTFAFTAFELPPNAAVGTKILEYLFHSRPDLVNIRSIDEAVVIGAALSLSSSAFVLQILAEKGELPTRFGSATLGILLLQDIAVVPLLVILPVLESQNFVEESIWPTLAAESLKALGGLGLLSLGGKYLLRRIFEVVAESRSSEAFVALCLLTVAGTSLLTQKLGFSDTLGAFLAGAILAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDMQLLFREWPNVLSLLAGLIAIKTLIITAIGPRFGLTLQESVRIGFLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPLLNEIGRKAAELIDNKVSVIENAPETINYDATEPIIILGFGKMGQVLGNFLSTPVAYGVDAETIGWPYVAFDLNPAVVKKARKMGFPVLYGDGSRPAVLQSAGISSPKAVMVMYAGKKRTVEAVQRLRLAFPGIPIYARAQDVPHLLDLKKAGATDAILENAETSLQLGSKLLRGLGAMSDDVTFWSKLVRDSMELQAQEVLIRNEDQDIDVMKPLQVRAGDLVRTEDARSTTSTNEPSLSLKRPNIHQIMMTSDKMQSSGIEVDGRQSEDDITVDQADTSESEDGVTFCRLDITNNYSSIINQDAGGNEKTVFDTSLPYSSTNEDS >DRNTG_28272.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2861671:2869303:-1 gene:DRNTG_28272 transcript:DRNTG_28272.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:K(+) efflux antiporter 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G04850) UniProtKB/Swiss-Prot;Acc:Q9M0Z3] MILQPSQTKLNVIYLFYLLKVILSTFAFTAFELPPNAAVGTKILEYLFHSRPDLVNIRSIDEAVVIGAALSLSSSAFVLQILAEKGELPTRFGSATLGILLLQDIAVVPLLVILPVLESQNFVEESIWPTLAAESLKALGGLGLLSLGGKYLLRRIFEVVAESRSSEAFVALCLLTVAGTSLLTQKLGFSDTLGAFLAGAILAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDMQLLFREWPNVLSLLAGLIAIKTLIITAIGPRFGLTLQESVRIGFLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPLLNEIGRKAAELIDNKVSVIEQNAPETINYDATEPIIILGFGKMGQVLGNFLSTPVAYGVDAETIGWPYVAFDLNPAVVKKARKMGFPVLYGDGSRPAVLQSAGISSPKAVMVMYAGKKRTVEAVQRLRLAFPGIPIYARAQDVPHLLDLKKAGATDAILENAETSLQLGSKLLRGLGAMSDDVTFWSKLVRDSMELQAQEVLIRNEDQDIDVMKPLQVRAGDLVRTEDARSTTSTNEPSLSLKRPNIHQIMMTSDKMQSSGIEVDGRQSEDDITVDQADTSESEDGVTFCRLDITNNYSSIINQDAGGNEKTVFDTSLPYSSTNEDS >DRNTG_28272.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2861671:2862679:-1 gene:DRNTG_28272 transcript:DRNTG_28272.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:K(+) efflux antiporter 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G04850) UniProtKB/Swiss-Prot;Acc:Q9M0Z3] MSDDVTFWSKLVRDSMELQAQEVLIRNEDQDIDVMKPLQVRAGDLVRTEDARSTTSTNEPSLSLKRPNIHQIMMTSDKMQSSGIEVDGRQSEDDITVDQADTSESEDGVTFCRLDITNNYSSIINQDAGGNEKTVFDTSLPYSSTNEDS >DRNTG_28272.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2861671:2864862:-1 gene:DRNTG_28272 transcript:DRNTG_28272.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:K(+) efflux antiporter 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G04850) UniProtKB/Swiss-Prot;Acc:Q9M0Z3] MQLLFREWPNVLSLLAGLIAIKTLIITAIGPRFGLTLQESVRIGFLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPLLNEIGRKAAELIDNKVSVIENAPETINYDATEPIIILGFGKMGQVLGNFLSTPVAYGVDAETIGWPYVAFDLNPAVVKKARKMGFPVLYGDGSRPAVLQSAGISSPKAVMVMYAGKKRTVEAVQRLRLAFPGIPIYARAQDVPHLLDLKKAGATDAILENAETSLQLGSKLLRGLGAMSDDVTFWSKLVRDSMELQAQEVLIRNEDQDIDVMKPLQVRAGDLVRTEDARSTTSTNEPSLSLKRPNIHQIMMTSDKMQSSGIEVDGRQSEDDITVDQADTSESEDGVTFCRLDITNNYSSIINQDAGGNEKTVFDTSLPYSSTNEDS >DRNTG_28272.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2861671:2864306:-1 gene:DRNTG_28272 transcript:DRNTG_28272.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:K(+) efflux antiporter 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G04850) UniProtKB/Swiss-Prot;Acc:Q9M0Z3] MQLLFREWPNVLSLLAGLIAIKTLIITAIGPRFGLTLQESVRIGFLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPLLNEIGRKAAELIDNKVSVIENAPETINYDATEPIIILGFGKMGQVLGNFLSTPVAYGVDAETIGWPYVAFDLNPAVVKKARKMGFPVLYGDGSRPAVLQSAGISSPKAVMVMYAGKKRTVEAVQRLRLAFPGIPIYARAQDVPHLLDLKKAGATDAILENAETSLQLGSKLLRGLGAMSDDVTFWSKLVRDSMELQAQEVLIRNEDQDIDVMKPLQVRAGDLVRTEDARSTTSTNEPSLSLKRPNIHQIMMTSDKMQSSGIEVDGRQSEDDITVDQADTSESEDGVTFCRLDITNNYSSIINQDAGGNEKTVFDTSLPYSSTNEDS >DRNTG_23631.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21123357:21127514:-1 gene:DRNTG_23631 transcript:DRNTG_23631.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPTTIARNAGFSQFGTSDRGQEEDTTNDEV >DRNTG_23631.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21123357:21127514:-1 gene:DRNTG_23631 transcript:DRNTG_23631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPTTIARNAGFSQFGTSDRGQEEDTTNDEV >DRNTG_04946.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3850319:3851953:-1 gene:DRNTG_04946 transcript:DRNTG_04946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSSLAVILFSPRNRNPNPNPNPKFSPLSFPKSMASSHLHLRHRVFTPISATAASPQTVVMDFDLEKPREECGVVGIAGHPEAARLCSIALHALQHRGQEGAGIAASDFSTIRYLTGLGLVSEVFNDSRSLLSLPGSSAIGHVRYSTAGAASELQNVQPLRASYRFGQLAVAHNGNLVNYPSLRASLEDQGSIFKTSSDTEAIIHLIATSKSRSFLSCLAEACSALRGAYSLLFLTADKLLAVRDPHGFRPLVLGRIPRDNGSPPAIVFASETCALDLIGAQYEREVEPGEVVIVDTQTLDISTACLIPSKPRKACVFELIYFSLPQSIVFGHPVYQARCSFGATLAAESPAPGADVVIPVPDSGFFAARGFAERSGLPFHQGLIRSHYVGRTFIQPSQEIRDLGVKLKLSPIRGILQGKSVVVVDDSLVRGTTSKKIVNLLRDAGAREVHMRIASPPIIGSCYYGVDTARPEELVSNQMDVEGVRKEIGADSLAFLSLDSLNVLLGDEAPTFCNACFTRDYPVPPAELVEAKAKELLCIN >DRNTG_00811.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12032037:12035283:-1 gene:DRNTG_00811 transcript:DRNTG_00811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQILEVEFIIFFSFLRMVLTGYDYRFCKCLIILLLCINFSHELLLFEALREGLDEEMARDPRVCVMGEDVGHYGGSYKVTKGLATKYGDLRVLDTPIAENSFTGMGIGAAMTGLRPVIEGMNMGFQLKS >DRNTG_21569.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8956662:8960971:-1 gene:DRNTG_21569 transcript:DRNTG_21569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSADGGRAGPSPVTTPTGKRQRKGSRKRAGSRNSSFDAKLEQLHRLPGRMYSNGSSGIASLFTQQGRKGTNQDAMIVWENFGSRSDTVFCGVFDGHGPYGHIVARNVRDFLPLKLSAHWEVHMGNEEYRDSSMSNAGSMNSDEIASVILEEELTGSVDLEEKERHPEIFTTLKASFLKAFKIMDKELKLHSNIDCFCSGTTAVTLVKQGQDLVIGNVGDSRAVLGTRDHNDCLLAVQLTVDLKPNLPREAERIKRCKGRVFALRDEPEVARVWLPHNDSPGLAMARAFGDFCLKDFGLISVPEISYRRLTERDEFIVLATDGIWDVLSNKEVVNIVASAPTRSIAAHSLVESAVRAWRFKYPTSKIDDCAVVCLFLDSDTSISASNCKTSELGDDLLDMTEVINDKEAMVGAAGLDRSSTVRDNPEIQAESRVTNLQLRRQARHENPNALEGDEWSALEGVSRANSLLTLPRVVAGGKQSPQGKIKQMSPSDAC >DRNTG_02377.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7781574:7782463:-1 gene:DRNTG_02377 transcript:DRNTG_02377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLSQGGNDGMHKCDGDNFVRKLRMTYGMTTHGKKFDKSFKCQAFVDASNVMNSRFPTACMDADNVENHMHTLKQKYQDIKKLMNLSERQSKDKEYLNKPIHFFEELHLVAGDDHATGDYARTICDQFGGAPIEDESVPPPNSSLDGEPIDT >DRNTG_04279.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9068753:9069949:1 gene:DRNTG_04279 transcript:DRNTG_04279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYDASQRQIECYARAYILRLIGCVLMQDMSQNRVHLKWLPLLRDFTEAGRYSWGSACLATLYRSLCRASNKDMKNISGSMILLQSWAWYKISSISPGTRAIVSFPLVRRWAHIEVDDDSRINKHNVKIYRQPLWTTFTSLICFKVVEWHQTNRVTKQFGFAQGLPFDSVCIGLTHDHDLRSRIDIDWALMHRR >DRNTG_05107.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2073443:2074925:-1 gene:DRNTG_05107 transcript:DRNTG_05107.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRFNREAAAASLLLLKKKEQGLQGDLQNGSTETIEISQCMQLQLNEIQTINCACCGISEDCTITYIILVREQHCGEWICGLCSEATKELRRRNSGISMQEAMESQKFICNQFNKNIRLNPELSFAGTMRDIARRSFQRRTSEDHLTSSKVSRSVSCGARFHN >DRNTG_24232.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19829195:19831415:-1 gene:DRNTG_24232 transcript:DRNTG_24232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIKSKFLFFLCLLAFALFGASNAADTKKTVGVFELKKGNFTVKVTNFGATIMSVILPDSQGNLADIVLGYDTPEEYANGTFFFGALVGRVANRIANAQFTLDNKTYHLPANDGQNSLHGGIKGFSHLVWTVKESVGGEHPYITFYRRSVDGEQGYPGDLDVFVTYKLSGDYEFTVDMTAKPVNKATPVNLAQHTYWNLAGEGSGTILSNTVQISASHITPVDSQLIPTGELLPVKGTSFDFEEPMNVGSRIGQVGGGYDINYALNSPVQCNGLRKVAVVTDCKTGRTMELSSNQVGVQFYTSNFLTNWKGKNGHQYPKYSGIALETQGFPNSVNQLSFPSQIVTPGEIYKHIMVYSFSF >DRNTG_25584.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29774887:29783446:-1 gene:DRNTG_25584 transcript:DRNTG_25584.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanyl-tRNA synthetase [Source:Projected from Arabidopsis thaliana (AT1G50200) TAIR;Acc:AT1G50200] MEWPARRVRDTFISYFESRSHTNWPSSPVVPLDDPTLLFANAGMNQFKPIFLGTANPSTGLGKLIRAANTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKTEAIAWAWELLTVVYKLPTDRLYATYFGGDEKAGLAPDNEAKDLWLKYLPPGHVLPFGCKDNFWEMGDTGPCGPCTEIHFDRLGNRDAASLVNNDDPTCIEIWNLVFIQFNRESDGTLKPLPSKHVDTGLGFERLTSVLQKKMSNYDTDVFLPIFEAIQQATGARPYSGKVGADDVDKIDMAYRVVADHIRTLSFAIADGSRPGNEGREYVLRRILRRAVRYGREVLKAQEGFFSGLVGVVVEVMGDVFPELKQHEKKIRDIIAEEEASFGRTLVKGIEKFKKAAQDVQGNKLSGQDAFVLWDTFGFPMDLTQLMAEERGLTVDVEGFNIAMEEAREKARSARNKLLGDSIVMEADATSELRRRGVAATDDSYKFTWYKDHKSVVKAIYTGSEFLETVSPGADVGIVLESTSFYAEQGGQIYDIGSLEGSFGCFQVDNVQVYAGFIVHIGSFSRGTVALSVGDEVICKVDFGRRALIAPNHTCTHMLNFALREVLGDHVDQKGSIVLPEKLRFDFSHGKPIHPEDLRKIESIVNQQIKDELDVFATETKLADAKLINGLRAVFGEVYPDPVRVVSIGRKVEEILTNPDSKEWLSISTELCGGTHISNTREAKAFALLSEEGIAKGVRRVTAVTTELAFKALELAASLDSEIKDASKSEGAILEKKVASLKSKTDAAAIPAALKADLRAKISQLEDQLRKAKKKIGEENIHKSNKGCNGRSRCRCFTIEGILPNPCQCGSRHHCSSRSRAQGRGSEGIADYDIQHG >DRNTG_03012.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6812926:6816759:1 gene:DRNTG_03012 transcript:DRNTG_03012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVDNRQFTNHSPIRGCSFPALIPHLSNHYSTSDYYSDSDSDSDSDAETNLKSLLLDVEPSIRDPRDEGTADQWIQRNASLIRLTGKHPFNSEPPLARLMHYGFITPVPLHYVRNHGAVPKADWKSWTVEVCGLVKRPARITMDELVREFKPMEIPVTLACAGNRRKEQNMVRQTVGFNWGPAAISNSVWRGARLCDVLRRCGVMGRKNEALNVCFEGAENLPGGGGSKYGTSIRREVAMDPSRDIMLAYMQNGELLSPDHGFPVRIIIPGCIGGRMVKWLKRIVVTTKESESYYHYHDNRVLPSHVDAELANAEAWWYKPEYIINELNINSVITTPGHDEILPINSFTTQRGYTMKGYAYSGGGKKVTRVEITLDSGETWLVCTVDHPEKPNKYGRYWCWCFWSVEVEVLDLLGAKEIAVRAWDETLNTQPEKLIWNVMGMMNNCWFKVKVNVCRPHKGEIGLVFEHPTQPGNQTGGWMARQKQLESSEGVQPLKKSVSSPFMNTSAKEFTMSDVRKHSSKDSAWIVVHGHVYDCTAFLKDHPGGEDSILINAGTDCTEEFEAIHSDKAKSMLDTYRIGELVTGYISDTSVHGATNLSHLAPIREASRAPSVALSNPRDKVPCRLVSKTSISRDVRLFRFNFPSSDQVLGLPVGKHIFVCANIDGKLCMRAYTPTSTVDEVGYFELLIKIYYKGEHPKFPNGGLMSQYLDSLPIGSSIEIKGPVGHIEYTGRGNFVVNGKQKFARRLAMIAGGTGITPMYQVIQAVLQDKQDDRTEMHLVYANRTEDDILLREELDRWAREYPEQFKVWYVVEAAKAKEEWKYSLGFVTENILREHVPAGDSGDSLALACGPPPMIQFAVLPNLEKLKYDTSESLLIF >DRNTG_22607.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9378886:9381660:1 gene:DRNTG_22607 transcript:DRNTG_22607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRHLPCLFKFFFFLSKHVQDLSEVHPLQLSHPNEILLEVLPNAYPEEKSNNLNTSHSDRTACSSRDSK >DRNTG_03600.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:236224:239282:-1 gene:DRNTG_03600 transcript:DRNTG_03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 2 homolog 3 [Source:Projected from Arabidopsis thaliana (AT1G03950) UniProtKB/Swiss-Prot;Acc:Q941D5] MNLFAKKTDPKDALRASKREMTNATRGIEREIGALQLEEKKLLAEIKRTAKTGNEAATKILARQLIRLRQQIANLQGSRAQMRGIATHTQAMHANTAVAAGMKGATKAMGAMNKQMAPAKQLKIMQDFQKQSAQMDMTSEMMSDAIDDALDNEDAEDETEDLTNQVLDEIGVDVSSQLSSAPKGRIAGKNAQSSESSEVEDLERRLAALRG >DRNTG_13578.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000621.1:82168:82434:1 gene:DRNTG_13578 transcript:DRNTG_13578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSFLKSYKWFLKSCFEEHTKMQAMQTQLRSQFCSMLMSMNLRQTTWFLQLVDSEKTSDGLVLSPDWCSIHSQHIPLPQPSLVVAVL >DRNTG_15416.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17792730:17795680:1 gene:DRNTG_15416 transcript:DRNTG_15416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHHSTTRNRSKGVKIKQIVQVALLLGVALWLVYQIKHSYDEDQKKISTGVGDLNFGRKGNPGTENSVSVDSNGSDTGEQNERKGLDNDTNEHGGVNTKDEISFTDADPDVNTAKEVSNKGSEESQEDSSLNEEKKHVDSSDKSSGDEIRKDKVDGKDVLQLPSQMDDDTDKVEDGTGGTNSNAPIKVNPGNQREQNSDSGKNVGENGDNAKSESGESSNASLDAQTSEGYAIKSQEAAENANSVSDLQNNASSTEDEVTNDSKQDSEDENSVSDVQNNASPTKDEVTNDSKLDAENANSVSDPQNSASPTEDEATNRDNGGQQVGVSDKQNTDNQTAEVGNTDNTKPEDDVDSAKPNPENNRVMPTNFEASIKDSFETQGDNAQAETTDNNSSEETKAGRPDEDSSSDAQNNLEVSVTENTEVMNSQDGGDKNADMTSNEENAEENKIPEPESETKSDPEDSISSQTAHGDANSEMKDNGEIESQLSNSNDLSTANSNAEAMQGDGSSSSSSSSPEVSQAAKLKTQRATQKNRKKQRRHKQKE >DRNTG_02356.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000111.1:41739:42848:-1 gene:DRNTG_02356 transcript:DRNTG_02356.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKKPGRGDTTLSMSCFDKITRWTVVGLQGALLSHLVQPVYLSTITVGNSSCDACREFYFEHLEKAFCSRMATLPKKVSSSFEVLKPCIYWSSVPLKEFQQPHGDDPNLTCG >DRNTG_02356.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000111.1:40192:42848:-1 gene:DRNTG_02356 transcript:DRNTG_02356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKKPGRGDTTLSMSCFDKITRWTVVGLQGALLSHLVQPVYLSTITVGNSSCDACREFYFEHLEKAFCSRMATLPKKVSSSFEVLKPCIYWSSVPLKEFQQPHGDDPNLTCGYSICWNRSGLHEVILGTTGRKQGTSTKGALSRSTESSLCKRRLLEVFMSLKHKLPFGSQAEEILYSELKGMAYEYQSALKVLKESPPFITWHPKVSDLEAFSSGKHP >DRNTG_20604.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2262834:2265915:-1 gene:DRNTG_20604 transcript:DRNTG_20604.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASKVLDDLHYNNSFFARVGEVSNAELNRMELELLALLDFGVMVNYWPVGELGSATVRRDMLNFSPDEKEKQPEVMPALQQTAGSVERGSHPGAGSVVAQQKVVTWEIIKDGDPGMQDMRSSSTSGAVSQKVKAMIQASVERGSHVIGGSDVLISNRNLVVEPRFHIAGETAPAADVVHDPVDQRVARGRTRWSLGG >DRNTG_18128.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:505054:506675:-1 gene:DRNTG_18128 transcript:DRNTG_18128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRKMDQKSIVGRTEGLQGDCRRTVSAPPGWPVAAGGEDGGFRH >DRNTG_02211.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1254632:1255592:-1 gene:DRNTG_02211 transcript:DRNTG_02211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPPARIGVGERDGEKVATTDRGWTRDMDRMVEGFGLDERDGEMAAGANRGGQKRWREGHHHRSGWMREMEKRAERIGVDERDGEKVDTAQGGQEG >DRNTG_24321.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:275771:277339:-1 gene:DRNTG_24321 transcript:DRNTG_24321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSELESYDTVSGKHPLNPDLTIDDIDCNATVDANQIDRDIGDVENSEECGDGFGSYEIIDSNVEEVIISRTSESEICRDISIC >DRNTG_27307.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:178129:181020:-1 gene:DRNTG_27307 transcript:DRNTG_27307.10 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDADPACRDGYKVSAVDGSEQEGVDKGDDDGEGDGKRSSLHPPAVNCVMPGERPKSLGRKVTWNDVNGNKLVEVLEFYPSDTSDSEDEQDDCVCTIIWIIRLLLSGGEQSSHK >DRNTG_27307.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:177846:181259:-1 gene:DRNTG_27307 transcript:DRNTG_27307.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDADPACRDGYKVSAVDGSEQEGVDKGDDDGEGDGKRSSLHPPAVNCVMPGERPKSLGRKVTWNDVNGNKLVEVLEFYPSDTSDSEDEQDDCVCTIIWIIRLLLSGGEQSSHK >DRNTG_27307.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:177846:181135:-1 gene:DRNTG_27307 transcript:DRNTG_27307.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDADPACRDGYKVSAVDGSEQEGVDKGDDDGEGDGKRSSLHPPAVNCVMPGERPKSLGRKVTWNDVNGNKLVEVLEFYPSDTSDSEDEQDDCVCTIIWIIRLLLSGGEQSSHK >DRNTG_27307.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:177846:181135:-1 gene:DRNTG_27307 transcript:DRNTG_27307.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDADPACRDGYKVSAVDGSEQEGVDKGDDDGEGDGKRSSLHPPAVNCVMPGERPKSLGRKVTWNDVNGNKLVEVLEFYPRLVFLTISSTVLDSLTVSLCLFFCLVLY >DRNTG_27307.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:177846:181020:-1 gene:DRNTG_27307 transcript:DRNTG_27307.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDADPACRDGYKVSAVDGSEQEGVDKGDDDGEGDGKRSSLHPPAVNCVMPGERPKSLGRKVTWNDVNGNKLVEVLEFYPSDTSDSEDEQDDCVCTIIWIIRLLLSGGEQSSHK >DRNTG_27307.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:177674:181135:-1 gene:DRNTG_27307 transcript:DRNTG_27307.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDADPACRDGYKVSAVDGSEQEGVDKGDDDGEGDGKRSSLHPPAVNCVMPGERPKSLGRKVTWNDVNGNKLVEVLEFYPSDTSDSEDEQDDCVCTIIWIIRLLLSGGEQSSHK >DRNTG_27307.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:177674:181259:-1 gene:DRNTG_27307 transcript:DRNTG_27307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDADPACRDGYKVSAVDGSEQEGVDKGDDDGEGDGKRSSLHPPAVNCVMPGERPKSLGRKVTWNDVNGNKLVEVLEFYPSDTSDSEDEQDDCVCTIIWIIRLLLSGGEQSSHK >DRNTG_27307.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:177674:181020:-1 gene:DRNTG_27307 transcript:DRNTG_27307.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDADPACRDGYKVSAVDGSEQEGVDKGDDDGEGDGKRSSLHPPAVNCVMPGERPKSLGRKVTWNDVNGNKLVEVLEFYPSDTSDSEDEQDDCVCTIIWIIRLLLSGGEQSSHK >DRNTG_27307.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:177674:181020:-1 gene:DRNTG_27307 transcript:DRNTG_27307.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDADPACRDGYKVSAVDGSEQEGVDKGDDDGEGDGKRSSLHPPAVNCVMPGERPKSLGRKVTWNDVNGNKLVEVLEFYPSDTSDSEDEQDDCVCTIM >DRNTG_27307.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:178129:181135:-1 gene:DRNTG_27307 transcript:DRNTG_27307.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDADPACRDGYKVSAVDGSEQEGVDKGDDDGEGDGKRSSLHPPAVNCVMPGERPKSLGRKVTWNDVNGNKLVEVLEFYPSDTSDSEDEQDDCVCTIIWIIRLLLSGGEQSSHK >DRNTG_03309.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29001745:29003017:1 gene:DRNTG_03309 transcript:DRNTG_03309.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLILMKSTPILHQLRIEEKLLRSSSENWCIINDGTSDPTIVMGVSGKVAELVNVEPVIRDGIPVIRRFSGGGTVIVDVGTVFVTLICSKGAVPGLQPFPRPIMDWSGGLYGRVFEGFADFHLRENDYAFGNRKFGGNAQSITKDRWIHHTSFLWDYDVKNMEYLKQPMRAPKYRLVCISWYAS >DRNTG_03309.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29001980:29003354:1 gene:DRNTG_03309 transcript:DRNTG_03309.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSGGLYGRVFEGFADFHLRENDYAFGNRKFGGNAQSITKDRWIHHTSFLWDYDVKNMEYLKQPMRAPKYRLVCISWKDTT >DRNTG_03309.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29001745:29003354:1 gene:DRNTG_03309 transcript:DRNTG_03309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLILMKSTPILHQLRIEEKLLRSSSENWCIINDGTSDPTIVMGVSGKVAELVNVEPVIRDGIPVIRRFSGGGTVIVDVGTVFVTLICSKGAVPGLQPFPRPIMDWSGGLYGRVFEGFADFHLRENDYAFGNRKFGGNAQSITKDRWIHHTSFLWDYDVKNMEYLKQPMRAPKYRLERHHLDFLCRMKDYLPCRSTFIEKTIAALSSHFTVKPVDK >DRNTG_12392.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6489326:6520451:1 gene:DRNTG_12392 transcript:DRNTG_12392.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTVITSTPSNVQHILKAHFPNYPKGPSTISALHDLLADGIFNADGDHWILQRKTASHHFNTNSIRSFLTTTLQFQTTTHLLPILSAAAVSGDALDLQDTLERFSFDNVCKLAFNVDPSLLAGDSVEGARFARAFGTAVAVSVNRFAQPRFLWRLRRLLNLGDERKLKEAMKIVNEFATRVVEERMMKGKTGGGDDLLSKFIQDDNANNSDQLLRDIIISFVLAGRDTTSSALTWFFWVVSSRPEIRRAIRDEISSVRAKHGSEPGQGI >DRNTG_12392.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6489326:6520451:1 gene:DRNTG_12392 transcript:DRNTG_12392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVFLLLIILLPIFFSTILLFRKPRKPSINGGSTTVTFKDLLKNGHRFLDWTTELLLSSSIHTITMPSTVITSTPSNVQHILKAHFPNYPKGPSNISVLHDLLADGIFNADGDHWILQRKTASHHFNTNSIRSFLTTTLQFQTTTHLLPILSAAAVSGDALDLQDTLERFSFDNVCKLAFNVDPSLLAGDSVEGARFARAFGTAVAVSVNRFAQPRFLWRLRRLLNLGDERKLKEAMKIVNEFATRVVEERMMKGKTGGGDDLLSKFIQDDNANNSDQLLRDIIISFVLAGRDTTSSALTWFFWVVSSRPEIRRAIRDEISSVRAKHGSEPGQGI >DRNTG_12392.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6489326:6520451:1 gene:DRNTG_12392 transcript:DRNTG_12392.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVFLLLIILLPIFFSTILLFRKPRKPSINGGSTTVTFKDLLKNGHRFLDWTTELLLSSSIHTITMPSTVITSTPSNVQHILKAHFPNYPKGPSNISVLHDLLADGIFNADGDHWILQRKTASHHFNTNSIRSFLTTTLQFQTTTHDLLADGIFNADGDHWILQRKTASHHFNTNSIRSFLTTTLQFQTTTHLLPILSAAAVSGDALDLQDTLERFSFDNVCKLAFNVDPSLLAGDSVEGARFARAFGTAVAVSVNRFAQPRFLWRLRRLLNLGDERKLKEAMKIVNEFATRVVEERMMKGKTGGGDDLLSKFIQDDNANNSDQLLRDIIISFVLAGRDTTSSALTWFFWVVSSRPEIRRAIRDEISSVRAKHGSEPGQGI >DRNTG_12392.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6489326:6491033:1 gene:DRNTG_12392 transcript:DRNTG_12392.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVFLLLIILLPIFFSTILLFRKPRKPSINGGSTTVTFKDLLKNGHRFLDWTTELLLSSSIHTITMPSTVITSTPSNVQHILKAHFPNYPKGPSNISVLHDLLADGIFNADGDHWILQRKTASHHFNTNSIRSFLTTTLQFQTTTHLLPILSAAAVSGDALDLQDTLERFSFDNVCKLAFNVDPSLLAGDSVEGARFARAFKTAVAVSVNRFAQPRFLWRLRRLLNLGDERKLKEAMKIVNEFATRVVEERMMKGKAGGGDDLLSKFIQDDNANNSDQLLRDIIISFVLAGRDSTSSALTWFFWVVSSRPEIRRAIRDEISSVRAKHGSEPGQAFKLEELREMEYLHAALSESLRLHPPVALNPRACLEDDTLPDGTRVKKGWSVMYSTYAMGRMKSIWGEDCMEFRPERWVVDGVFQPRSPYEFPVFHAGPRMCLGKEMAYVQMKAVAASVLERFEVEVAPGEEKERVHGYTIVLRMKGGLPVLIKNRDLSSSE >DRNTG_12392.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6489326:6491524:1 gene:DRNTG_12392 transcript:DRNTG_12392.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVFLLLIILLPIFFSTILLFRKPRKPSINGGSTTVTFKDLLKNGHRFLDWTTELLLSSSIHTITMPSTVITSTPSNVQHILKAHFPNYPKGPSNISVLHDLLADGIFNADGDHWILQRKTASHHFNTNSIRSFLTTTLQFQTTTHLLPILSAAAVSGDALDLQDTLERFSFDNVCKLAFNVDPSLLAGDSVEGARFARAFKTAVAVSVNRFAQPRFLWRLRRLLNLGDERKLKEAMKIVNEFATRVVEERMMKGKAGGGDDLLSKFIQDDNANNSDQLLRDIIISFVLAGRDSTSSALTWFFWVVSSRPEIRRAIRDEISSVRAKHGSEPGQAFKLEELREMEYLHAALSESLRLHPPVALNPRACLEDDTLPDGTRVKKGWSVMYSTYAMGRMKSIWGEDCMEFRPERWVVDGVFQPRSPYEFPVFHAGPRMCLGKEMAYVQMKAVAASVLERFEVEVAPGEEKERVHGYTIVLRMKGGLPGKKRYGRQLNSDGEL >DRNTG_24408.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001301.1:113864:114702:-1 gene:DRNTG_24408 transcript:DRNTG_24408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCDVRRFHKVVPEEKNVYYSRDSGRKVSFTSNFDLYQSPVTNWRDLVFCVMALDPLAPEELPIAISVVFELLGEAMSSASPHSRHKQALRP >DRNTG_22237.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1251205:1253703:-1 gene:DRNTG_22237 transcript:DRNTG_22237.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMANHEEEARPLLSGTPAVADEKLPKPTPPVAASAPLVKANPAPWTADGLPVSHGSVIGEPAARAQWDSSLFACLGRNDEFCSSDLEVCLLGSFAPCVLYGSNAERLGGGPGSFANNCLPYTGLYMLGNMFFGWNCLAPWFSYPRRTALRRKFNLEGSCEAFSRSFGCCHGMLQDEVRREQLESACDLATHLLCHPCSLCQEGRELRRRMPHPGFNGRPILVMMPPTEQAMGRGA >DRNTG_22237.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1251123:1253627:-1 gene:DRNTG_22237 transcript:DRNTG_22237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMANHEEEARPLLSGTPAVADEKLPKPTPPVAASAPLVKANPAPWTADGLPVSHGSVIGEPAARAQWDSSLFACLGRNDEFCSSDLEVCLLGSFAPCVLYGSNAERLGGGPGSFANNCLPYTGLYMLGNMFFGWNCLAPWFSYPRRTALRRKFNLEGSCEAFSRSFGCCHGMLQDEVRREQLESACDLATHLLCHPCSLCQEGRELRRRMPHPGFNGRPILVMMPPTEQAMGRGA >DRNTG_16925.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22000175:22001978:1 gene:DRNTG_16925 transcript:DRNTG_16925.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRNLYEFYRRMKYCVAQKNPRLMPDFEQAYLSLISASRGCTSVQRIVAELIPRYASYCPTALEAAAKVSINMYNWSLAIIIRGEDVDGVAYQTAKACIFGLVDICSTASHEAPTSSVIQGICSAVFLNVLTFFTSSFEEKDIFEIGGKQLMKLQESMESFSDLKQE >DRNTG_18295.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2631003:2633102:-1 gene:DRNTG_18295 transcript:DRNTG_18295.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTLVFYKGRAPNGRKTDWIMHEYRLQSNEHVLNQASSQVYNLNLSS >DRNTG_18295.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2631003:2633102:-1 gene:DRNTG_18295 transcript:DRNTG_18295.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVESCVPPGFRFHPTEEELVGYYLTRKVASQKIDLEVITEVDLYRIEPWDLQDRCKHGYEEQTEWYFFSHKDKKYPSGTRTNRATAAGFWKATGRDKAVLSKSQLIGMRKTLVFYKGRAPNGRKTDWIMHEYRLQSNEHVLNQASSQVYNLNLSS >DRNTG_18295.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2631003:2633102:-1 gene:DRNTG_18295 transcript:DRNTG_18295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVESCVPPGFRFHPTEEELVGYYLTRKVASQKIDLEVITEVDLYRIEPWDLQDRCKHGYEEQTEWYFFSHKDKKYPSGTRTNRATAAGFWKATGRDKAVLSKSQLIGMRKTLVFYKGRAPNGRKTDWIMHEYRLQSNEHVLNQAKGWVVCRAFKKQIPIQRPCFNTYIPSYHHARDDDPYGYGMVPEITRPATDFIDAYSGGNFHEQPFDSKHNNMNHVLDLPHLDSPSLTSSLTPNRLEEVHVDQMNEQRDDQQLIDWKVLDKLLASQLSHDTASSSNSNVPLYNPVDYNGDDQNQRESFFPSF >DRNTG_18295.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2631003:2633102:-1 gene:DRNTG_18295 transcript:DRNTG_18295.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVESCVPPGFRFHPTEEELVGYYLTRKVASQKIDLEVITEVDLYRIEPWDLQDRCKHGYEEQTEWYFFSHKDKKYPSGTRTNRATAAGFWKATGRDKAVLSKSQLIGMRKTLVFYKGRAPNGRKTDWIMHEYRLQSNEHVLNQAKGWVVCRAFKKQIPIQRPCFNTYIPSYHHARDDDPYGYGMVPEITRPATDFIDAYSGGNFHEQPFDSKHNNMNHVLDLPHLDSPSLTSSLTPNRLEEVHVDQMNEQRDDQQLIDWKVLDKLLASQLSHDTASSSNSNVPLYNPVDYNGDDQNQRESFFPSF >DRNTG_26886.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21428290:21429577:-1 gene:DRNTG_26886 transcript:DRNTG_26886.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYGLVPNHSFFEGLTSCLLALLPEKFYEKVEEGSIVIKKSKSFSFCKEGVMVDGESSPIESDLVILATGFRGDDKLKHLFTSPYFQNIIVGPSTSTLPLYRECINPRVPQMAIIGYSESLSNLFTSEMRAKWLAHFLDGGFTLPNIKSHGG >DRNTG_34395.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:14960922:14961395:-1 gene:DRNTG_34395 transcript:DRNTG_34395.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDGASLSTSLVEEETDPTGSGGSEEMDYSQVSDLYSIGAEVQVTEDSSSSDNSSEAEDERVEKCDLGKYGRLKSSIEEPPELELKPLPEHLEYAFLGDGSKLP >DRNTG_12690.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5616832:5623245:-1 gene:DRNTG_12690 transcript:DRNTG_12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNPDKAKCRRPSSSSLNFSSAQSFPTPASLSKAPPITADLSNPNIVLIYPSRIHASILLGVTFHSRCHTLIQILIPLSGRRSISAALRFQYKERHWDLKQLVGSGGMPSSHSATCIFLTLAFQVLNQIVYGLPSEHPLADTRPLRELVGHTPTQVSSVDYH >DRNTG_01566.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000088.1:41685:45066:1 gene:DRNTG_01566 transcript:DRNTG_01566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSFLRKKVGMGASVEAYPIPDEGILGQRIMAPRSKKQANKRPRESSLEPESMSFALPEHQVRYERLSRLRFGYTRFLDTSILRDLQQGDELTDEVEDLISAGGWRQLLTIREPAIQKFALEVLSSFEFDRFYASFNSLDTIQNVISELACVHWGQCTTWELRLYHGHGKGASALACVSFATYRNITPKDLAPSNTTLGFRGVLF >DRNTG_26883.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21461145:21464791:-1 gene:DRNTG_26883 transcript:DRNTG_26883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLESYAQHFDLLRYIRFGAKVVGMEFIGVDEEEMDCWELWAGTGEAFSGGGRRGRWRLTVQLDKEQCMEEYEFDFVILSVGRFSDVPNIPSFPENKGPEAFDGQVIHSMNYSKLDKDAAIQLVKGKRVTVVGFLKSAIDITYECAIANGVEYPCTVICRTPRWIVPDYFAWGIPIFYFYANRFSELLFHKPGEGILLSFLATFLSPLRWAFSKFTESYYKWAVPMKKYGMVPKHSFLSSNYILFDCNTA >DRNTG_00368.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18592619:18593273:1 gene:DRNTG_00368 transcript:DRNTG_00368.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminodeoxychorismate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28880) UniProtKB/Swiss-Prot;Acc:Q8LPN3] MIVDLLRNDIGRVCEPGSVHVPSLMELQSYATVHTLVSTIQGKRKSDITPVQCVKAAFPGGSMTGAPKLRSMELLDSLESCSRGVYSGCIGFFSYNQTFDLNIVIRTIVIHKGEASIGAGGAIVALSNPEDEHAEMMLKAKAPTNVVQEFINELDFHEEISR >DRNTG_00368.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18586222:18593187:1 gene:DRNTG_00368 transcript:DRNTG_00368.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminodeoxychorismate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28880) UniProtKB/Swiss-Prot;Acc:Q8LPN3] MDFKSPLSGNSIDDLNKGDKKHLRLEWKKINCLLNQVGGPENIFCKLFGDKCGKDAFWLDSSSTDQKRARFSFMGGKGGPMWKQITFRLSDKSTKTAKAGGCLSIQDCYGAVKKWFLKDGFFDFLDKELQSFQYDKEDYAGLPFDFCGGYIGFIGYNLKVECGASSNSHQSTTPDACLFFADNLIVIDHHNGDVYVLSIHDEHHLDSKRGAKSWLVETERRLLKLTTKATEPPNKPKLPTRSSCQHEANFVVDKSRHQYIKDVQKCLEFIRDGESYELCLTTQMRKKVHNINSLNLYLKLRDRNPAPYAAWLNFTQEDLCICCSSPERFLQLDGHGTLEAKPIKGTIARGRTPEEDEILKLQLQYSEKDQAENLMIVDLLRNDIGRVCEPGSVHVPSLMELQSYATVHTLVSTIQGKRKSDITPVQCVKAAFPGGSMTGAPKLRSMELLDSLESCSRGVYSGCIGFFSYNQTFDLNIVIRTIVIHKGEASIGAGGAIVALSNPEDEHAEMMLKAKAPTNVVQEFINELDFHEEISR >DRNTG_00368.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18591113:18593273:1 gene:DRNTG_00368 transcript:DRNTG_00368.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminodeoxychorismate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28880) UniProtKB/Swiss-Prot;Acc:Q8LPN3] MCRYNLKVECGASSNSHQSTTPDACLFFADNLIVIDHHNGDVYVLSIHDEHHLDSKRGAKSWLVETERRLLKLTTKATEPPNKPKLPTRSSCQHEANFVVDKSRHQYIKDVQKCLEFIRDGESYELCLTTQMRKKVHNINSLNLYLKLRDRNPAPYAAWLNFTQEDLCICCSSPERFLQLDGHGTLEAKPIKGTIARGRTPEEDEILKLQLQYSEKDQAENLMIVDLLRNDIGRVCEPGSVHVPSLMELQSYATVHTLVSTIQGKRKSDITPVQCVKAAFPGGSMTGAPKLRSMELLDSLESCSRGVYSGCIGFFSYNQTFDLNIVIRTIVIHKGEASIGAGGAIVALSNPEDEHAEMMLKAKAPTNVVQEFINELDFHEEISR >DRNTG_00368.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18586222:18586858:1 gene:DRNTG_00368 transcript:DRNTG_00368.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminodeoxychorismate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28880) UniProtKB/Swiss-Prot;Acc:Q8LPN3] MATSFVIRSSFSPSILRSGAASSPPRSPASMCLRRRDSWEDTPVRTLLIDNYDSYTYNIFQALSVVNRVPPVVVRNDEWTWEYARYCLYDEKAFDNIVISPGPGSPTCSVDIGICPQILLECKDVPVLGVCLGHQ >DRNTG_00368.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18589053:18593187:1 gene:DRNTG_00368 transcript:DRNTG_00368.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminodeoxychorismate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28880) UniProtKB/Swiss-Prot;Acc:Q8LPN3] MDFKSPLSGNSIDDLNKGDKKHLRLEWKKINCLLNQVGGPENIFCKLFGDKCGKDAFWLDSSSTDQKRARFSFMGGKGGPMWKQITFRLSDKSTKTAKAGGCLSIQDCYGAVKKWFLKDGFFDFLDKELQSFQYDKEDYAGLPFDFCGGYIGFIGYNLKVECGASSNSHQSTTPDACLFFADNLIVIDHHNGDVYVLSIHDEHHLDSKRGAKSWLVETERRLLKLTTKATEPPNKPKLPTRSSCQHEANFVVDKSRHQYIKDVQKCLEFIRDGESYELCLTTQMRKKVHNINSLNLYLKLRDRNPAPYAAWLNFTQEDLCICCSSPERFLQLDGHGTLEAKPIKGTIARGRTPEEDEILKLQLQYSEKDQAENLMIVDLLRNDIGRVCEPGSVHVPSLMELQSYATVHTLVSTIQGKRKSDITPVQCVKAAFPGGSMTGAPKLRSMELLDSLESCSRGVYSGCIGFFSYNQTFDLNIVIRTIVIHKGEASIGAGGAIVALSNPEDEHAEMMLKAKAPTNVVQEFINELDFHEEISR >DRNTG_00368.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18586222:18593187:1 gene:DRNTG_00368 transcript:DRNTG_00368.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminodeoxychorismate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28880) UniProtKB/Swiss-Prot;Acc:Q8LPN3] MATSFVIRSSFSPSILRSGAASSPPRSPASMCLRRRDSWEDTPVRTLLIDNYDSYTYNIFQALSVVNRVPPVVVRNDEWTWEYARYCLYDEKAFDNIVISPGPGSPTCSVDIGICPQILLECKDVPVLGVCLGHQALGFVHGARIVHAPEPVHGRLSEIEHTGCCLFSNIPSGRRSGFRVVRYHSLIIDPESLPSELIPTAWTSSLATLSFLEAQESCMKHHPLHSPDFASAVMEGLELSRHATLEDCKCSKILMGVMHHTWPHYGLQFHPESVATSHGRQIFQNFKKITVDYGLRSSQHYGRKVHACGKSRLFQVFHPSLSAKEPNNGKPLNDNLMDFKSPLSGNSIDDLNKGDKKHLRLEWKKINCLLNQVGGPENIFCKLFGDKCGKDAFWLDSSSTDQKRARFSFMGGKGGPMWKQITFRLSDKSTKTAKAGGCLSIQDCYGAVKKWFLKDGFFDFLDKELQSFQYDKEDYAGLPFDFCGGYIGFIGYNLKVECGASSNSHQSTTPDACLFFADNLIVIDHHNGDVYVLSIHDEHHLDSKRGAKSWLVETERRLLKLTTKATEPPNKPKLPTRSSCQHEANFVVDKSRHQYIKDVQKCLEFIRDGESYELCLTTQMRKKVHNINSLNLYLKLRDRNPAPYAAWLNFTQEDLCICCSSPERFLQLDGHGTLEAKPIKGTIARGRTPEEDEILKLQLQYSEKDQAENLMIVDLLRNDIGRVCEPGSVHVPSLMELQSYATVHTLVSTIQGKRKSDITPVQCVKAAFPGGSMTGAPKLRSMELLDSLESCSRGVYSGCIGFFSYNQTFDLNIVIRTIVIHKGEASIGAGGAIVALSNPEDEHAEMMLKAKAPTNVVQEFINELDFHEEISR >DRNTG_00368.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18586222:18593187:1 gene:DRNTG_00368 transcript:DRNTG_00368.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminodeoxychorismate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28880) UniProtKB/Swiss-Prot;Acc:Q8LPN3] MDFKSPLSGNSIDDLNKGDKKHLRLEWKKINCLLNQVGGPENIFCKLFGDKCGKDAFWLDSSSTDQKRARFSFMGGKGGPMWKQITFRLSDKSTKTAKAGGCLSIQDCYGAVKKWFLKDGFFDFLDKELQSFQYDKEDYAGLPFDFCGGYIGFIGYNLKVECGASSNSHQSTTPDACLFFADNLIVIDHHNGDVYVLSIHDEHHLDSKRGAKSWLVETERRLLKLTTKATEPPNKPKLPTRSSCQHEANFVVDKSRHQYIKDVQKCLEFIRDGESYELCLTTQMRKKVHNINSLNLYLKLRDRNPAPYAAWLNFTQEDLCICCSSPERFLQLDGHGTLEAKPIKGTIARGRTPEEDEILKLQLQYSEKDQAENLMIVDLLRNDIGRVCEPGSVHVPSLMELQSYATVHTLVSTIQGKRKSDITPVQCVKAAFPGGSMTGAPKLRSMELLDSLESCSRGVYSGCIGFFSYNQTFDLNIVIRTIVIHKGEASIGAGGAIVALSNPEDEHAEMMLKAKAPTNVVQEFINELDFHEEISR >DRNTG_00368.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18590027:18593273:1 gene:DRNTG_00368 transcript:DRNTG_00368.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminodeoxychorismate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28880) UniProtKB/Swiss-Prot;Acc:Q8LPN3] MRKKVHNINSLNLYLKLRDRNPAPYAAWLNFTQEDLCICCSSPERFLQLDGHGTLEAKPIKGTIARGRTPEEDEILKLQLQYSEKDQAENLMIVDLLRNDIGRVCEPGSVHVPSLMELQSYATVHTLVSTIQGKRKSDITPVQCVKAAFPGGSMTGAPKLRSMELLDSLESCSRGVYSGCIGFFSYNQTFDLNIVIRTIVIHKGEASIGAGGAIVALSNPEDEHAEMMLKAKAPTNVVQEFINELDFHEEISR >DRNTG_12268.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24826570:24831381:-1 gene:DRNTG_12268 transcript:DRNTG_12268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSSPIATLPPKQSASDSPPPMASSPSTSQSNVISAPPPSSPPLMSTAPTPSPPPLPTSVSPSSPPPLSPPAPPLAMPPPPISAPPPTPTLSSPLPPTLPSSPPPSPPISHTSPNVAPPPSPPSSAPVPGGSPRTISPPPSAPISPPLPDGMPPAVTPPSPPISPALPNGTPATTIPTPSSPIAPLPSTAIHPRSPAVPKSPPWALVVSPRTSSPPPPFPELLPSRSQAPPSNPRLPKSTVNADPPPSAVNSSRGANPLVSAVPSPPATSSPLPTVPVGDRFNPRSPVPNRSARSRPNVIINPGSNNSVGGTEMKSGMATAIVVVTGLLVLCLVGTALWFVRKKRKSVSEEYGGYLMPSLNMVNAESYYSRSPTAPLVYNAGIACSLPELDLGNSKSLFTYEELYGFTGGFSNQNLLGEGGFGCVYKGCLPDGREVAVKQLKVGGAQGEREFQAEVEIISRIHHRHLVSLVGYCIAERERLLVYDYVPNNTLYYHLHGEGRPVMNWATRVKVAAGAAHGLAYLHEDCHPRIIHRDIKSSNILLGNNFEAQVSDFGLAKLAMDANTQVTTRVVGTFGYLAPEYASSGKLTDKSDVYSFGVVLLELITGRKPVDTSQPIGDESLVEWARPLLTQALDTGSFQELPDPRLEGKYNENEMLRMIEAAAACIRHSTAMRPRMGQVVRALDSLAEFDIRNGIIPGRSELFALGLDSSEVELFQNMVSGSQKF >DRNTG_12268.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24826570:24828469:-1 gene:DRNTG_12268 transcript:DRNTG_12268.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDANTQVTTRVVGTFGYLAPEYASSGKLTDKSDVYSFGVVLLELITGRKPVDTSQPIGDESLVEWARPLLTQALDTGSFQELPDPRLEGKYNENEMLRMIEAAAACIRHSTAMRPRMGQVVRALDSLAEFDIRNGIIPGRSELFALGLDSSEVELFQNMVSGSQKF >DRNTG_12268.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24826570:24831381:-1 gene:DRNTG_12268 transcript:DRNTG_12268.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSSPIATLPPKQSASDSPPPMASSPSTSQSNVISAPPPSSPPLMSTAPTPSPPPLPTSVSPSSPPPLSPPAPPLAMPPPPISAPPPTPTLSSPLPPTLPSSPPPSPPISHTSPNVAPPPSPPSSAPVPGGSPRTISPPPSAPISPPLPDGMPPAVTPPSPPISPALPNGTPATTIPTPSSPIAPLPSTAIHPRSPAVPKSPPWALVVSPRTSSPPPPFPELLPSRSQAPPSNPRLPKSTVNADPPPSAVNSSRGANPLVSAVPSPPATSSPLPTVPVGDRFNPRSPVPNRSARSRPNVIINPGSNNSVGGTEMKSGMATAIVVVTGLLVLCLVGTALWFVRKKRKSVSEEYGGYLMPSLNMVNAESYYSRSPTAPLVYNAGIACSLPELDLGNSKSLFTYEELYGFTGGFSNQNLLGEGGFGCVYKGCLPDGREVAVKQLKVGGAQGEREFQAEVEIISRIHHRHLVSLVGYCIAERERLLVYDYVPNNTLYYHLHGEGRPVMNWATRVKVAAGAAHGLAYLHEDCHPRIIHRDIKSSNILLGNNFEAQVSDFGLAKLAMDANTQVTTRVVGTFGYLAPEYASSGKLTDKSDVYSFGVVLLELITGRKPVDTSQPIGDESLVEWARPLLTQALDTGSFQELPDPRLEGKYNENEMLRMIEAAAACIRHSTAMRPRMGQVVRALDSLAEFDIRNGIIPGRSELFALGLDSSEVELFQNMVSGSQKF >DRNTG_12268.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24826570:24831381:-1 gene:DRNTG_12268 transcript:DRNTG_12268.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFPLTTCYLVQARKVEMWSEKDGEKMRRTTLYSLLNEESYYSRSPTAPLVYNAGIACSLPELDLGNSKSLFTYEELYGFTGGFSNQNLLGEGGFGCVYKGCLPDGREVAVKQLKVGGAQGEREFQAEVEIISRIHHRHLVSLVGYCIAERERLLVYDYVPNNTLYYHLHGEGRPVMNWATRVKVAAGAAHGLAYLHEDCHPRIIHRDIKSSNILLGNNFEAQVSDFGLAKLAMDANTQVTTRVVGTFGYLAPEYASSGKLTDKSDVYSFGVVLLELITGRKPVDTSQPIGDESLVEWARPLLTQALDTGSFQELPDPRLEGKYNENEMLRMIEAAAACIRHSTAMRPRMGQVVRALDSLAEFDIRNGIIPGRSELFALGLDSSEVELFQNMVSGSQKF >DRNTG_12268.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24826570:24831381:-1 gene:DRNTG_12268 transcript:DRNTG_12268.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSSPIATLPPKQSASDSPPPMASSPSTSQSNVISAPPPSSPPLMSTAPTPSPPPLPTSVSPSSPPPLSPPAPPLAMPPPPISAPPPTPTLSSPLPPTLPSSPPPSPPISHTSPNVAPPPSPPSSAPVPGGSPRTISPPPSAPISPPLPDGMPPAVTPPSPPISPALPNGTPATTIPTPSSPIAPLPSTAIHPRSPAVPKSPPWALVVSPRTSSPPPPFPELLPSRSQAPPSNPRLPKSTVNADPPPSAVNSSRGANPLVSAVPSPPATSSPLPTVPVGDRFNPRSPVPNRSARSRPNVIINPGSNNSVGGTEMKSGMATAIVVVTGLLVLCLVGTALWFVRKKRKSVSEEYGGYLMPSLNMVNAESYYSRSPTAPLVYNAGIACSLPELDLGNSKSLFTYEELYGFTGGFSNQNLLGEGGFGCVYKGCLPDGREVAVKQLKVGGAQGEREFQAEVEIISRIHHRHLVSLVGYCIAERERLLVYDYVPNNTLYYHLHGEGRPVMNWATRVKVAAGAAHGLAYLHEDCHPRIIHRDIKSSNILLGNNFEAQVSDFGLAKLAMDANTQVTTRVVGTFGYLAPEYASSGKLTDKSDVYSFGVVLLELITGRKPVDTSQPIGDESLVEWARPLLTQALDTGSFQELPDPRLEGKYNENEMLRMIEAAAACIRHSTAMRPRMGQVVRALDSLAEFDIRNGIIPGRSELFALGLDSSEVELFQNMVSGSQKF >DRNTG_12268.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24826570:24829390:-1 gene:DRNTG_12268 transcript:DRNTG_12268.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNWATRVKVAAGAAHGLAYLHEDCHPRIIHRDIKSSNILLGNNFEAQVSDFGLAKLAMDANTQVTTRVVGTFGYLAPEYASSGKLTDKSDVYSFGVVLLELITGRKPVDTSQPIGDESLVEWARPLLTQALDTGSFQELPDPRLEGKYNENEMLRMIEAAAACIRHSTAMRPRMGQVVRALDSLAEFDIRNGIIPGRSELFALGLDSSEVELFQNMVSGSQKF >DRNTG_32485.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001845.1:61794:64679:1 gene:DRNTG_32485 transcript:DRNTG_32485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNVAPYGGWMYIMEPKELREIKNDLDALNMAMVVNSNKEVCKFIRSEQDVSDQDGSSGVNTRGSRMSKNIDDKVDNVKEKESNEVEDVEEEEIDLEDTLIGMDGKRKNDGEDSDLHDSDYNFSDESEEEPMEAIETIRDMVHMEKEPHEHTRR >DRNTG_32898.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1085701:1086560:-1 gene:DRNTG_32898 transcript:DRNTG_32898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTKISPRTCKQPCPIKSTSATVPKKHSATVSQTQPATIRIALQCRPSHCFHRSTLGGAPMRLVSSSAHGSAKSSRSACPIC >DRNTG_29234.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3313316:3316202:1 gene:DRNTG_29234 transcript:DRNTG_29234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSLLITAILAIVAAKTLKEYLKIRHSNIPSANMGWPLIGETLSFVKAHPAFTLGDYLQHHIAKYGTVFWANIFGKWLIHSVDPELNRFVVNNEMKMFKQGWPRSLSRLIGNNALTISLGDAHKRRRAEVLNYLSGSRLESTFLRDMEQIASAHMESWRNRDMVIATDETRKYAFYMIAKKALSMTPGSPETEKLMEALNDIYMGIGLIYVNFPGTRFRKALQSRAYVQEVIRQKLEQRRLKDQEDDDFLNYLIKDGTYSTEEMGDLVQNFIFGGNEVIGRTLAAAIYYLGTCPQACDQLREERLQVIKSKQQSGDSSLTLSDYRGMEFTQCVVNETLRLGTVSRFLHKVATANIQYKGYVIPRGCTVIANISAMHLDPTEFKDPETFNPWRWLTPNGVKKSRSFMPFSGGARHCIGAELAKLELMVFIHQLVMKYEWEFAQNDPPMATPRLEFPNCLPIKIRAISPKL >DRNTG_07568.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21879180:21881403:-1 gene:DRNTG_07568 transcript:DRNTG_07568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGYSPRVISITVLLFLFLMISSGTVMVVEGRTCESQSHKFKGTCLRESNCANVCKTEGFHGGKCRGFRRRCFCTKHC >DRNTG_07568.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21880122:21881403:-1 gene:DRNTG_07568 transcript:DRNTG_07568.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGYSPRVISITVLLFLFLMISSGTVMVVEGRTCESQSHKFKGTCLRESNCANVCKTEGFHGGKCRGFRRRCFCTKHC >DRNTG_07568.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21880082:21881403:-1 gene:DRNTG_07568 transcript:DRNTG_07568.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGYSPRVISITVLLFLFLMISSGTVMVVEGRTCESQSHKFKGTCLRESNCANVCKTEGFHGGKCRGFRRRCFCTKHC >DRNTG_07568.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21879041:21879699:-1 gene:DRNTG_07568 transcript:DRNTG_07568.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCSSSNSSMSNFMEDSTTTTTSSSSYSSLHSLSCDKPEEEEEGHLFHMSSLMALLPLKRGLSKHFQGKSKSFTSLGNARSLEDLVKPERKRAYKKKKITKKPSRQRSSRVL >DRNTG_07947.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1068994:1071682:1 gene:DRNTG_07947 transcript:DRNTG_07947.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLPSSSNILPPSIYRYSGIWGEAIKLTIINMALKQWLCFSVFLCICIGIGVAQVPASAPSQPPPVANPPTTLPAPVAQPLPPSSTPPPQAATTPLPPPTSPPPLPPPPTLPPPLPAPTPPPPLPPPPSLPPVAPPLPPPPALPPVIPAPVPAPTVAVPAVAPSPPVEAPAPAPAKGKKKHKRRKHKKKHAPAPAPVVLSPPAPVAPEPSEDLSSPAPQPDLNGGVAIYEMGKMFGRSVRAILVTLVLIAVMS >DRNTG_07947.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1069132:1071682:1 gene:DRNTG_07947 transcript:DRNTG_07947.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLPSSSNILPPSIYRYSGIWGEAIKLTIINMALKQWLCFSVFLCICIGIGVAQVPASAPSQPPPVANPPTTLPAPVAQPLPPSSTPPPQAATTPLPPPTSPPPLPPPPTLPPPLPAPTPPPPLPPPPSLPPVAPPLPPPPALPPVIPAPVPAPTVAVPAVAPSPPVEAPAPAPAKGKKKHKRRKHKKKHAPAPAPVVLSPPAPVAPEPSEDLSSPAPQPDLNGGVAIYEMGKMFGRSVRAILVTLVLIAVMS >DRNTG_07947.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1069215:1070344:1 gene:DRNTG_07947 transcript:DRNTG_07947.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALKQWLCFSVFLCICIGIGVAQVPASAPSQPPPVANPPTTLPAPVAQPLPPSSTPPPQAATTPLPPPTSPPPLPPPPTLPPPLPAPTPPPPLPPPPSLPPVAPPLPPPPALPPVIPAPVPAPTVAVPAVAPSPPVEAPAPAPAKGKKKHKRRKHKKKHAPAPAPVVLSPPAPVAPEPSEDLSSPAPQPDLNGGVAIYEMGKMFGRSVRAILVTLVLIAVMS >DRNTG_07947.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1069132:1070344:1 gene:DRNTG_07947 transcript:DRNTG_07947.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLPSSSNILPPSIYRYSGIWGEAIKLTIINMALKQWLCFSVFLCICIGIGVAQVPASAPSQPPPVANPPTTLPAPVAQPLPPSSTPPPQAATTPLPPPTSPPPLPPPPTLPPPLPAPTPPPPLPPPPSLPPVAPPLPPPPALPPVIPAPVPAPTVAVPAVAPSPPVEAPAPAPAKGKKKHKRRKHKKKHAPAPAPVVLSPPAPVAPEPSEDLSSPAPQPDLNGGVAIYEMGKMFGRSVRAILVTLVLIAVMS >DRNTG_07947.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1069215:1071682:1 gene:DRNTG_07947 transcript:DRNTG_07947.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALKQWLCFSVFLCICIGIGVAQVPASAPSQPPPVANPPTTLPAPVAQPLPPSSTPPPQAATTPLPPPTSPPPLPPPPTLPPPLPAPTPPPPLPPPPSLPPVAPPLPPPPALPPVIPAPVPAPTVAVPAVAPSPPVEAPAPAPAKGKKKHKRRKHKKKHAPAPAPVVLSPPAPVAPEPSEDLSSPAPQPDLNGGVAIYEMGKMFGRSVRAILVTLVLIAVMS >DRNTG_08609.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27936126:27942399:-1 gene:DRNTG_08609 transcript:DRNTG_08609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKNKNQVILPPELPPDIPEDEFEVSDEDVAFVKENPAYTGFLTNLDTKAIDKHVVRVADHEEDELEALYEKRNLKKALRKANEEVAGLQVDRVDALPIKTLDGELRYRTVKESVPESIPHEKESGKVDDNKGVAKLTKAERRQLLKKTKKEAKKQVKEEPKVSDAKGPLHSEVLATVEKELSAEELFTKKKIRLAEVGMSLLENPEANIKSLKEMLQICDDEDPNIVKLGLLSLLAVFKDIIPGYRIRLPTEKEMQMPVSKDVKKTRFFESTLLRSYKTYLLKLTDLVKRPSFQHVAIQCLCSLLEAVPHFNFRESLLASVVTNISSMDDVVRKVCCDAVRALFVNEGKHGGEATLEAVRLIANLVKVHDCQLHPNTIEVFLSLVFDEDLGKFEHTEDKKPMPRKMKGRDKNKDSNQVVVNEHKKAKQELMAKTRQEVIADFKAVSFVLDAKERLRMQTETLSAVFETYFRVLKRSIETSSSRAENNASLSSGGSCEEHPLLAPCLNGLGKFSHLIDLDFMGDLMGCLKKLSGYKNHYDGFSLQNSLSVSERLQCCIVAFRVMRSNLDALNVDLHDFFVQLYNLLLEYRPDRDNGEILAEALKTLLWEGKQHDMQRAAAFIKRLATFSLFYGSAEAMAALITLKHLLQKNSKCRNLLENDAGGGSLSGLIVKYQADATDPNLSGALASVLWELSLLAKHYHPSISSMAASVASMASLDATQSQIFLSTASPLQGFTDLSIERELFNFKSAGTPASLNRKRKRGRDSVLMNRNKVENMDTMVDEVEVKRKLEDHYTVLRDIAENEVLRAELNHTITSIQLYEEYKMHEKQVGTKINKKNKVRKRL >DRNTG_08609.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27936126:27942399:-1 gene:DRNTG_08609 transcript:DRNTG_08609.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQLRHWMESCVIEQAVKESVPESIPHEKESGKVDDNKGVAKLTKAERRQLLKKTKKEAKKQVKEEPKVSDAKGPLHSEVLATVEKELSAEELFTKKKIRLAEVGMSLLENPEANIKSLKEMLQICDDEDPNIVKLGLLSLLAVFKDIIPGYRIRLPTEKEMQMPVSKDVKKTRFFESTLLRSYKTYLLKLTDLVKRPSFQHVAIQCLCSLLEAVPHFNFRESLLASVVTNISSMDDVVRKVCCDAVRALFVNEGKHGGEATLEAVRLIANLVKVHDCQLHPNTIEVFLSLVFDEDLGKFEHTEDKKPMPRKMKGRDKNKDSNQVVVNEHKKAKQELMAKTRQEVIADFKAVSFVLDAKERLRMQTETLSAVFETYFRVLKRSIETSSSRAENNASLSSGGSCEEHPLLAPCLNGLGKFSHLIDLDFMGDLMGCLKKLSGYKNHYDGFSLQNSLSVSERLQCCIVAFRVMRSNLDALNVDLHDFFVQLYNLLLEYRPDRDNGEILAEALKTLLWEGKQHDMQRAAAFIKRLATFSLFYGSAEAMAALITLKHLLQKNSKCRNLLENDAGGGSLSGLIVKYQADATDPNLSGALASVLWELSLLAKHYHPSISSMAASVASMASLDATQSQIFLSTASPLQGFTDLSIERELFNFKSAGTPASLNRKRKRGRDSVLMNRNKVENMDTMVDEVEVKRKLEDHYTVLRDIAENEVLRAELNHTITSIQLYEEYKMHEKQVGTKINKKNKVRKRL >DRNTG_08609.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27936126:27939640:-1 gene:DRNTG_08609 transcript:DRNTG_08609.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKMKGRDKNKDSNQVVVNEHKKAKQELMAKTRQEVIADFKAVSFVLDAKERLRMQTETLSAVFETYFRVLKRSIETSSSRAENNASLSSGGSCEEHPLLAPCLNGLGKFSHLIDLDFMGDLMGCLKKLSGYKNHYDGFSLQNSLSVSERLQCCIVAFRVMRSNLDALNVDLHDFFVQLYNLLLEYRPDRDNGEILAEALKTLLWEGKQHDMQRAAAFIKRLATFSLFYGSAEAMAALITLKHLLQKNSKCRNLLENDAGGGSLSGLIVKYQADATDPNLSGALASVLWELSLLAKHYHPSISSMAASVASMASLDATQSQIFLSTASPLQGFTDLSIERELFNFKSAGTPASLNRKRKRGRDSVLMNRNKVENMDTMVDEVEVKRKLEDHYTVLRDIAENEVLRAELNHTITSIQLYEEYKMHEKQVGTKINKKNKVRKRL >DRNTG_04497.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7462151:7465788:-1 gene:DRNTG_04497 transcript:DRNTG_04497.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWTRKKRPSISPSLRSMMTRMMRVASD >DRNTG_04497.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7462189:7465788:-1 gene:DRNTG_04497 transcript:DRNTG_04497.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTSSQHTGGSISHTSHAKQLRLKLGHDPLPYELFKVTHTKNRTSDLIDTRAQTIKLMEQASQTQEGHSEPPVVDEVTIYYEAVGGEKNTAYME >DRNTG_04497.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7462189:7465788:-1 gene:DRNTG_04497 transcript:DRNTG_04497.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWTRKKRPSISPSLRSMMTRMMRVASD >DRNTG_04497.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7462189:7465788:-1 gene:DRNTG_04497 transcript:DRNTG_04497.6 gene_biotype:protein_coding transcript_biotype:protein_coding MWTRKKRPSISPSLRSMMTRMMRVASD >DRNTG_04497.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7462151:7465788:-1 gene:DRNTG_04497 transcript:DRNTG_04497.2 gene_biotype:protein_coding transcript_biotype:protein_coding IVIQLQDNNKELQQSSREMKEERDQYREEMMHQIKDMMTHFETEMLHRTQFTNLDSQPLTDNDHHHHLDDI >DRNTG_04497.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7462151:7465788:-1 gene:DRNTG_04497 transcript:DRNTG_04497.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVIQLQDNNKELQQSSREMKEERDQYREEMMHQIKDMMTHFETEMLHRTQFTNLDSQPLTDNDHHHHLDDI >DRNTG_20184.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1417216:1417926:1 gene:DRNTG_20184 transcript:DRNTG_20184.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEQKAPYQSDEEVQQEGEPDPVSMTGIMREVMLLLRAQRQQHISGGGRDLPAEFERQAPPQFSGATDPTVADYWISQMERTFRSIQCPDRDKVRLATIMLRDSAARWYENELRLKGESSFRTWKQFKEAFNTKYFPMSQRAQMERQFLNLKQGSLSVGDYESEFDRLSQFASTLVSDESTRSRRFIDGLRAHIRRAIAPFLDKTYTEIVDIAKNLEIIWQETQDQARHEH >DRNTG_20184.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1415999:1417926:1 gene:DRNTG_20184 transcript:DRNTG_20184.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGRGRPRRGPASPPPEPMQEEQKAPYQSDEEVQQEGEPDPVSMTGIMREVMLLLRAQRQQHISGGGRDLPAEFERQAPPQFSGATDPTVADYWISQMERTFRSIQCPDRDKVRLATIMLRDSAARWYENELRLKGESSFRTWKQFKEAFNTKYFPMSQRAQMERQFLNLKQGSLSVGDYESEFDRLSQFASTLVSDESTRSRRFIDGLRAHIRRAIAPFLDKTYTEIVDIAKNLEIIWQETQDQARHEH >DRNTG_20184.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1417216:1418215:1 gene:DRNTG_20184 transcript:DRNTG_20184.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEQKAPYQSDEEVQQEGEPDPVSMTGIMREVMLLLRAQRQQHISGGGRDLPAEFERQAPPQFSGATDPTVADYWISQMERTFRSIQCPDRDKVRLATIMLRDSAARWYENELRLKGESSFRTWKQFKEAFNTKYFPMSQRAQMERQFLNLKQGSLSVGDYESEFDRLSQFASTLVSDESTRSRRFIDGLRAHIRRAIAPFLDKTYTEIVDIAKNLEIIWQETQDQARHEHPRHHHNPRKSQSSGSSSGNTREKCRSQPYSRPPSSSSGSGGRGSSGSVAQDVQCPTCGGRHTRASVGSRGDMLSVWQ >DRNTG_00299.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2065307:2067057:1 gene:DRNTG_00299 transcript:DRNTG_00299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEMKPLRTFAATVKSFKSHARQEPCRITNLLFILSLFLSLSILFLFKSHYCSSPFGKSQTLMSVQVPVKVVNESDDPPLIEDEDEDQEDNNRALINTKSEKTKPICYETSSRSDTCEVEGDVRVQASTNTIFFHPCTSKPQQWRIKPYTRKGDPSALKHVKEWTLKSVMNVEELPQCTKTFTVPAMLFSSQGFTGNFFHDFTDVLIPLFINSYQFHGEVQFLVSDFMSWWVNKFSLILKQLSKHGIVNLEGEEKAVLCYRRLIAGPSFHKELGIDSMKSPNGYSILDFKKLLRQAFGLKRDTVVITKKPKLLIISRKKSREFLNEKEIAQLATSLGFDVVIGEGRWDITVSKFANLVNSADVMLGVHGAGLTNMVFLPKDAVVIQVIPFGGLEKVARRSFEAPTMDMKIKYLDYVIQVNESSLIDQYPKDHPVLKDPMSVHKQGWIALSSLYLVKQNIRIDLQRFRNTLLEAFKLVSNNSSMIHTQ >DRNTG_25167.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13816884:13817553:-1 gene:DRNTG_25167 transcript:DRNTG_25167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDTVLPVLVIMFIVFCLMRYGDQAAEHRERESSENTMFSGAEPPPSGASVNLSEISFMVSRKASHSRLVPFLGHCLDDKKKKRSEEEGLQSWDWITRSKIAIGVAEAFCFLHHECVPPLFHRDIQASSIHLDDKFELCLGNLSEVSPQKGDGHQEVLTRLLQFSQISEASSSGFPSSICAYNVYCFKKSIA >DRNTG_14726.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:69686:72483:-1 gene:DRNTG_14726 transcript:DRNTG_14726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLSDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELREAFRVFDKDQNGFISGAELRHVMTNLGEKLTDEEVNEMIREADVDGDGQINYEEFVKVMMAK >DRNTG_05770.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3597875:3605499:-1 gene:DRNTG_05770 transcript:DRNTG_05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGLDTMVGEHGTQLSGGQKQRIAIARSILKNPKILLLDEATSALDAESERIVQEALVRVLVDRTTIIIAHRLGTVKNADTIAVVYRGKIVEQGSHANLINRPEGAYSQLIRLQENQKQDKEESYKYSENMVLSNGTVTSIVRSESHRSTRRSTSRGFTLISQNNNREDDSEKPLEKDNNGIRKQVSIKRLASLNKPEAPILALASITGAIKGLTMPVFGFLLSSAIQTFYEPPHELRKHSSLWALRFLAMGFICLVVSPVDSFLFGIAGGKLVKRIRSLSFERVVHQEISWFDEPSHSSGAIGERLFADAMIVSHIVGQSLEQMVQISSMVIAGLVIAMIANWKLAFTVIALLPLICLQGYAKMKFSQGFSADAKVKYEEASQVANDAVGNIRTVASFCAETRVIKAYKKKCEGPLRNGVRQGIISGLGFGFSFAVLYVGYTICFYAGARFMHNGSATFDQVFKVFYAITMAASGVLQSSSYSIKTNKAKDAAASIFEILDHKSKIDSSTDDGVTLAYVRGDIVFQHVSFKYPTRPEVQIFKDLCLTISFGKTVALVGESGSGKSTVIAMLERFYDPDSGMILLDGVKLQDLKIGWLRQQMGLVSQEPVLFNDTIRANIAYGKQGQVSEEEIIAVAEVGQRT >DRNTG_14443.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11143184:11143525:1 gene:DRNTG_14443 transcript:DRNTG_14443.1 gene_biotype:protein_coding transcript_biotype:protein_coding QEASSRHDPAVAASVHAPEVQGATPVPASVPTPEALEAFRSHWATKGRQPTYQEYQDFLDYWRIFGRQAQTTPVPTPAPEPAPPQAPVVHSIPGEASGPSQALVLSKLLKEAR >DRNTG_15633.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18032297:18033327:-1 gene:DRNTG_15633 transcript:DRNTG_15633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFNKVFSPTATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPSTSSKADWGVNYRALNDLFHLSRNRKGTYMYEVGVQMVEIYNEQVRDLLASDGSQR >DRNTG_17423.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5952983:5954053:1 gene:DRNTG_17423 transcript:DRNTG_17423.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKGMKKALTPYFELTNAVRIGDLELFKTVAEKFSTTFSSDRTHNLIVRLRHNVIRTGLRNISIAYSRISLADVARKLRLDSENPVADAESIVAKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQFAFNSRIAFCLNMHNEAVRALRFPPNSHKEKESAEKRRERQQQEQELAKHIAEEDDDDF >DRNTG_17423.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5948979:5954053:1 gene:DRNTG_17423 transcript:DRNTG_17423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDVEMKEAQQQPPPPSNSVSSAAAPFTLQHLKEIASLIESGAYLKEVRWIVRAVRLTIMLRRKLRASIVSAFLGFALTPGSDVHSKLSSFIPKEEEHDMDVDTATSGAQGLGKHPLPELEMYCYMLVLIFLIDQKKYNEAKACSSASIARLKNINRRTVDVLASRLYFYYSYSYELTDSLAEIRGKLLELHRMATLRRDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPVAARGFRIQCNKWAIIVRLLLGEIPERTVFMQKGMKKALTPYFELTNAVRIGDLELFKTVAEKFSTTFSSDRTHNLIVRLRHNVIRTGLRNISIAYSRISLADVARKLRLDSENPVADAESIVAKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQFAFNSRIAFCLNMHNEAVRALRFPPNSHKEKESAEKRRERQQQEQELAKHIAEEDDDDF >DRNTG_11362.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000504.1:1993:2911:-1 gene:DRNTG_11362 transcript:DRNTG_11362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKRSTSSIGIVERTEYDPNRSSRIALVRWIEGVLLRRQRKCNTIEEFAPPRKILEPTTATIFCHFFRSLPCPGKWIKER >DRNTG_10280.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20225107:20227985:1 gene:DRNTG_10280 transcript:DRNTG_10280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQTPSRNQRARGFRVKHALQIFLLTAICIWLLYQIKHSHDKKREMEDSDFKTSNKIAGNEDGRFNFGRKDLPRAEQIESVNDTQVEEEKEETEEEDEQEPKQEEALDEDERGIGDDAIDEKDHYRGDEEVEEEEKDVKDEEADESDNVRDFQEAREENYRKDDVAGSVHRETQTTDSDTGDDESIDKAGMKEEEKLNLADETKNVMDNNNTANSGEVDNSKVSVSGVDMAHNTSTSNDNIAGVGMSENGNTTAENQSLNVTVVEKKESEDTNHSTLMIAGSANNETEIKNNLTTSTESSNHTEPQISDEQAVTRNKSEQGDAVTDDASPQNTTVMLEPNTIDKIEPNTTDKIEPNTTDKTEPMKNDSTNVGNVTTEQTEKPDGTSTSITNDIGDSSTEGVVSNNSTDHVITEEEKDAPIDLSTLAESVGQNLRNGDDEAVE >DRNTG_10280.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20225178:20227985:1 gene:DRNTG_10280 transcript:DRNTG_10280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQTPSRNQRARGFRVKHALQIFLLTAICIWLLYQIKHSHDKKREMEDSDFKTSNKIAGNEDGRFNFGRKDLPRAEQIESVNDTQVEEEKEETEEEDEQEPKQEEALDEDERGIGDDAIDEKDHYRGDEEVEEEEKDVKDEEADESDNVRDFQEAREENYRKDDVAGSVHRETQTTDSDTGDDESIDKAGMKEEEKLNLADETKNVMDNNNTANSGEVDNSKVSVSGVDMAHNTSTSNDNIAGVGMSENGNTTAENQSLNVTVVEKKESEDTNHSTLMIAGSANNETEIKNNLTTSTESSNHTEPQISDEQAVTRNKSEQGDAVTDDASPQNTTVMLEPNTIDKIEPNTTDKIEPNTTDKTEPMKNDSTNVGNVTTEQTEKPDGTSTSITNDIGDSSTEGVVSNNSTDHVITEEEKDAPIDLSTLAESVGQNLRNGDDEAVE >DRNTG_10280.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20225178:20227985:1 gene:DRNTG_10280 transcript:DRNTG_10280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQTPSRNQRARGFRVKHALQIFLLTAICIWLLYQIKHSHDKKREMEDSDFKTSNKIAGNEDGRFNFGRKDLPRAEQIESVNDTQVEEEKEETEEEDEQEPKQEEALDEDERGIGDDAIDEKDHYRGDEEVEEEEKDVKDEEADESDNVRDFQEAREENYRKDDVAGSVHRETQTTDSDTGDDESIDKAGMKEEEKLNLADETKNVMDNNNTANSGEVDNSKVSVSGVDMAHNTSTSNDNIAGVGMSENGNTTAENQSLNVTVVEKKESEDTNHSTLMIAGSANNETEIKNNLTTSTESSNHTEPQISDEQAVTRNKSEQGDAVTDDASPQNTTVMLEPNTIDKIEPNTTDKIEPNTTDKTEPMKNDSTNVGNVTTEQTEKPDGTSTSITNDIGDSSTEGVVSNNSTDHVITEEEKDAPIDLSTLAESVGQNLRNGDDEAVE >DRNTG_10280.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20225178:20228190:1 gene:DRNTG_10280 transcript:DRNTG_10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQTPSRNQRARGFRVKHALQIFLLTAICIWLLYQIKHSHDKKREMEDSDFKTSNKIAGNEDGRFNFGRKDLPRAEQIESVNDTQVEEEKEETEEEDEQEPKQEEALDEDERGIGDDAIDEKDHYRGDEEVEEEEKDVKDEEADESDNVRDFQEAREENYRKDDVAGSVHRETQTTDSDTGDDESIDKAGMKEEEKLNLADETKNVMDNNNTANSGEVDNSKVSVSGVDMAHNTSTSNDNIAGVGMSENGNTTAENQSLNVTVVEKKESEDTNHSTLMIAGSANNETEIKNNLTTSTESSNHTEPQISDEQAVTRNKSEQGDAVTDDASPQNTTVMLEPNTIDKIEPNTTDKIEPNTTDKTEPMKNDSTNVGNVTTEQTEKPDGTSTSITNDIGDSSTEGVVSNNSTDHVITEEEKDAPIDLSTLAESVGQNLRNGDDEAVE >DRNTG_18048.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14277:15296:-1 gene:DRNTG_18048 transcript:DRNTG_18048.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEINSRNLSLSTKDPYKKNQHIKQSPPWANPKPKKGFTHILSQLQRKIERVKVLQNTA >DRNTG_16883.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29911033:29912572:1 gene:DRNTG_16883 transcript:DRNTG_16883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDNTNKRNWRRGSCIGKGSFGAVYLAAGDGDSPVFAVKTVACSTLSSCKQSTAMAALENEIQIVRSISSPYIVSYLGDDVTKEPKLGGECRNLHLEYMVGGTVAKRSDRIDESEIKAYTRCVVRGLHYLHTVAGVVHGDVKGENVLVGAVAGVAKLADFGSSRRINGEKDEEVVVGGTPLWMAPEVARGERQRVESDVWSLGCMVIEMVSGGRPWGKGWGEGEEVGKVMYRIGYGEEVPEMPAGMSVEGRDFVGKCLRREVGERWSCEELLKHGFLREGDRVPDGPSPRSVFEWGSVLEFEEGRSGWSSEDEEDEEVVIGLVRERVRELAEEGEGGGWEMMISEEGWEVVRGGEGECGGSDSTPKQDKW >DRNTG_15908.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:11695724:11697238:1 gene:DRNTG_15908 transcript:DRNTG_15908.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVVAESKYHVLAVDDSLIDRKLIERLLKTSSYQVTTVESGSKALEFLGLNDEQSNNNSTASVSPNNEIEVNLIITDYCMPGMTGYDLLKRVKESSSLKDIPVVIMSSENVPSRINRCLEEGAEEFILKPVKLSDMKKLIPHIIKGKLKEEQQTQQQGINSNKRKAIDETLSADNRPRTRFSNSTTNGLTVV >DRNTG_04150.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000198.1:5949:6857:-1 gene:DRNTG_04150 transcript:DRNTG_04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding YPLLGCHPLSKPSNNEEGNSTASTEKVSPTPIDNDSHLSKYRAPMTTERKSEREKQKIERDYN >DRNTG_18297.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2618356:2620693:1 gene:DRNTG_18297 transcript:DRNTG_18297.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2739 [Source:Projected from Arabidopsis thaliana (AT4G14590) UniProtKB/TrEMBL;Acc:O23315] MELPQLLQCKPFECENPLGISLREAFVLLQSQLKPPFSLKIPNPSEYSQLNLAIVYGILTQPQLAKTHLTHLHAIVTDGYCFFTSTLIKLVNDSFPRLLGTPRTQLLWLTSKLVDVSAVKIEALLVLLLRWIIGGDFSEPNLWLCAELLKMFLDKWEWLSNDPLVLTSALFAYLRLLADHYRLAGGVKLEALKRMEIDFCVRVLRECFGLCLKIGRDLVRLLQDVVYIPELKELWKDLLFNPDVFRVSGFSDISQLYCVRTPKHYFLLRINPEMETELRFLLSFVKWGSQKRYQVWFAKKHFSLPGSETVMVDIVRFICCAHHPSNEIIQSSVIPRWAIIGWLLKCCRRNYFQANLKLALFFDWLFYDEKHDNIMNIEPAILLILNSVPKYVDITHTLLDFLFLLVDNYDFNRREMIARCVSTSFSLLLQKGVVHSFEPLTSCCLLAPPIHQRLAIFIAPKSTLNSFAPQVITEGEVL >DRNTG_18297.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2618356:2620693:1 gene:DRNTG_18297 transcript:DRNTG_18297.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2739 [Source:Projected from Arabidopsis thaliana (AT4G14590) UniProtKB/TrEMBL;Acc:O23315] MFLDKWEWLSNDPLVLTSALFAYLRLLADHYRLAGGVKLEALKRMEIDFCVRVLRECFGLCLKIGRDLVRLLQDVVYIPELKELWKDLLFNPDVFRVSGFSDISQLYCVRTPKHYFLLRINPEMETELRFLLSFVKWGSQKRYQVWFAKKHFSLPGSETVMVDIVRFICCAHHPSNEIIQSSVIPRWAIIGWLLKCCRRNYFQANLKLALFFDWLFYDEKHDNIMNIEPAILLILNSVPKYVDITHTLLDFLFLLVDNYDFNRREMIARCVSTSFSLLLQKGVVHSFEPLTSCCLLAPPIHQRLAIFIAPKSTLNSFAPQVITEGEVL >DRNTG_18297.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2618356:2620693:1 gene:DRNTG_18297 transcript:DRNTG_18297.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2739 [Source:Projected from Arabidopsis thaliana (AT4G14590) UniProtKB/TrEMBL;Acc:O23315] MELPQLLQCKPFECENPLGISLREAFVLLQSQLKPPFSLKIPNPSEYSQLNLAIVYGILTQPQLAKTHLTHLHAIVTDGYCFFTSTLIKLVNDSFPRLLGTPRTQLLWLTSKLVDVSAVKIEALLVLLLRWIIGGDFSEPNLWLCAELLKMFLDKWEWLSNDPLVLTSALFAYLRLLADHYRLAGGVKLEALKRMEIDFCVRVLRECFGLCLKIGRDLVRLLQDVVYIPELKELWKDLLFNPDVFRVSGFSDISQLYCVRTPKHYFLLRINPEMETELRFLLSFVKWGSQKRYQVWFAKKHFSLPGSETVMVDIVRFICCAHHPSNEIIQSSVIPRWAIIGWLLKCCRRNYFQANLKLALFFDWLFYDEKHDNIMNIEPAILLILNSVPKYVDITHTLLDFLFLLVDNYDFNRREMIARCVSTSFSLLLQKGVVHSFEPLTSCCLLAPPIHQRLAIFIAPKSTLNSFAPQVITEGEVGK >DRNTG_10777.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000460.1:73198:77743:-1 gene:DRNTG_10777 transcript:DRNTG_10777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERIPPATFFQYPPSGIHSSPHHTIRPSSASSFDRERYLAELLAERQKLGPFAQVLPFCSRLLNQEILRTSMSPNQNFAETERIDHGSPLRLASHSAAGGQMDLEVWPGAQAQENGYLQRMRALQASSIGWNGAPGVTTSPVVKKVVRLDVPIDKFPSYNFVGRLLGPRGNSLKRVEATTQCRVYIRGQGSVKDAIKEEELRGKPGYEHLNEPLHVLVEAELPADIIDNQLNQAVGILEDLLKPMDESLDYYKKQQLRELAMLNGSLREESPGMSPSMSPSASPFNSTGMKRPKTGR >DRNTG_29116.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13699865:13700326:-1 gene:DRNTG_29116 transcript:DRNTG_29116.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPKSRLLMELNFMLAYGAAEPSILLLQEFKLLEILLPFHAAYLANQTKSQPTRSSIMLM >DRNTG_29116.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13695930:13704269:-1 gene:DRNTG_29116 transcript:DRNTG_29116.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARISRRKEPFFSPPLLSRIYNLCPYLKRLKHTCSKEGEVVRLQDITWRSIARERSYDPATWKTLDSSSAGIVNSDISSPTWTVLKILQRKGFDAYLVGGCVRDLLLKRKPKDFDIVTTASLKQIKKNFHHSRVVGRRFQICHVQIRDCTVEVSSFNTTGRHVKERETTHSSQIPTNCNEKDFIRWKNCMERDFTINGLFYDPFNRVIYDYIDGIKDLKTYAHCYSCPFIIHRRFRKNITWS >DRNTG_29116.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13695930:13704269:-1 gene:DRNTG_29116 transcript:DRNTG_29116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARISRRKEPFFSPPLLSRIYNLCPYLKRLKHTCSKEGEVVRLQDITWRSIARERSYDPATWKTLDSSSAGIVNSDISSPTWTVLKILQRKGFDAYLVGGCVRDLLLKRKPKDFDIVTTASLKQIKKNFHHSRVVGRRFQICHVQIRDCTVEVSSFNTTGRHVKERETTHSSQIPTNCNEKDFIRWKNCMERDFTINGLFYDPFNRVIYDYIDGIKDLKTCKMHTVIPAHLSFTEDSARILRGLRIAARLGLRFSCEITAAIHELSSSIMTLPKSRLLMELNFMLAYGAAEPSILLLQEFKLLEILLPFHAAYLANQTKSQPTRSSIMLMDIFSNLDKVLSADRPSDSTLW >DRNTG_29116.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13700019:13700965:-1 gene:DRNTG_29116 transcript:DRNTG_29116.5 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFTINGLFYDPFNRVIYDYIDGIKDLKTCKMHTVIPAHLSFTEDSARILRGLRIAARLGLRFSCEITAAIHELSSSIMTLPKSRLLMELNFMLAYGAAEPSILLLQEFKLLEILLPFH >DRNTG_29116.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13695930:13700662:-1 gene:DRNTG_29116 transcript:DRNTG_29116.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELNFMLAYGAAEPSILLLQEFKLLEILLPFHAAYLANQTKSQPTRSSIMLMDIFSNLDKVLSADRPSDSTLW >DRNTG_29116.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13701084:13703993:-1 gene:DRNTG_29116 transcript:DRNTG_29116.4 gene_biotype:protein_coding transcript_biotype:protein_coding RLKHTCSKEGEVVRLQDITWRSIARERSYDPATWKTLDSSSAGIVNSDISSPTWTVLKILQRKGFDAYLVGGCVRDLLLKRKPKDFDIVTTASLKQIKKNFHHSRVVGRRFQICHVQIRDCTVE >DRNTG_01640.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:16782023:16787561:-1 gene:DRNTG_01640 transcript:DRNTG_01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQRSSSAPMDPIPLAMISEVATEEEEATTTRVEAIGNNTD >DRNTG_05092.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1806853:1808817:1 gene:DRNTG_05092 transcript:DRNTG_05092.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVFQSIHGAERGEPAALLLSPHRPLWLSSSDGTPSGSQFTFFLTAPLQAFCQLTGLADLDADVYKNADSLISSALSEWEVILCTSVSLDQVWSQVLPDPLLRRLIVRFIFCRTVLSLFSVPGKSKDCLPECLPNLPESVSPDSSETQSHILRLAESLEVIHHFNFLDSLR >DRNTG_05092.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1801287:1808817:1 gene:DRNTG_05092 transcript:DRNTG_05092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSDGGGGGGGASPEEALHKYRELLGSAERKFARVRDLPPYSRGPHLVHYHRKVFRAYTMLWRFQQERRRELVEAGFRRPEIGEIASRIGQLYYSQYLRTSEARFLLESYVFYEAILSRGYFQSGGGRKGFASDVGLRFKELRFHARFLIVAMLLNRGEVVRNLVERFKSLVVDSRVSFPGTNFKEWKQMLQEIVRFLKADTSFANPRPLRYNVLFDSHPSSVPYITRLQAKARRVLALQEALLMTYHRNEVKFTELTIDTFRMLQCLEWEPSGSFYQPHTDEPSDNSNFSDQNGVSGLIDINLAADMTDPNLPPNPRKAILYRPTVSHLISVLAMICEDLSLDRILLIYISASGRSDQNTAYLKDKPGTSLDSLKLTTSSEVSCKQNGLLRRTMLSDGQNSNNCYGSCLSFGSRGSRGLDNLYPDDLIPFTRIPLFMIIDSDNSQAFKAVHSWCGEGRTCRFASFPS >DRNTG_19197.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000987.1:8654:10334:-1 gene:DRNTG_19197 transcript:DRNTG_19197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAEERLLDLVGAMEDLKAMRNRVLREIELQEEKGKQRTQKVQAWLDKVVDMDQCTNQLVIDFSRCCVTGCCSLNIYSRLNVSRKATKLKKEIDELMKEKNHLSVLAKKRPSKPIIDMPISTTNVGIMTGSNLRVIRDYLGDKTFGIIGIYGMGGIGKTTLLKEIERSIYDWNMGFDYVIHAKASYELHVEDLRDCIAEQLSLCGPS >DRNTG_19197.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000987.1:8654:9134:-1 gene:DRNTG_19197 transcript:DRNTG_19197.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQCTNQLVIDFSRCCVTGCCSLNIYSRLNVSRKATKLKKEIDELMKEKNHLSVLAKKRPSKPIIDMPISTTNVGIMTGSNLRVIRDYLGDKTFGIIGIYGMGGIGKTTLLKEIERSIYDWNMGFDYVIHAKASYELHVEDLRDCIAEQLSLCGPS >DRNTG_05837.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31638786:31640100:-1 gene:DRNTG_05837 transcript:DRNTG_05837.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDSLTMLKQWRASTTKVSRTTIASTILQEDDDGDGDDEGPFIDLEFSVPDEDSCKDSDDDATAAALCDLETSSLVFSSSESNSKPQFAVSLLKSATKFRVFMLGSKKPKTSASSPKHQLNNDNNDNKKMFVRFKVEEVPLVSLFTRDTSSKNNNSNSESTAAAAAAATDDKKFAKEVLQKYLSKIKKLRFSGQVGSVSSVSKPGSDGDPPPFGLKTVNRRLGKSRSASATVAAVRSPPRRRDDSLLQQQDGIQSAIAHCKRSFNAEKAAELPLVRSNSDPGNGRQETCKAETKVDLH >DRNTG_05837.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31638397:31640740:-1 gene:DRNTG_05837 transcript:DRNTG_05837.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDSLTMLKQWRASTTKVSRTTIASTILQEDDDGDGDDEGPFIDLEFSVPDEDSCKDSDDDATAAALCDLETSSLVFSSSESNSKPQFAVSLLKSATKFRVFMLGSKKPKTSASSPKHQLNNDNNDNKKMFVRFKVEEVPLVSLFTRDTSSKNNNSNSESTAAAAAAATDDKKFAKEVLQKYLSKIKKLRFSGQVGSVSSVSKPGSDGDPPPFGLKTVNRRLGKSRSASATVAAVRSPPRRRDDSLLQQQDGIQSAIAHCKRSFNAEKAAELPLVRSNSDPGNGRQETCKAETKVDLH >DRNTG_05837.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31638682:31640163:-1 gene:DRNTG_05837 transcript:DRNTG_05837.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDSLTMLKQWRASTTKVSRTTIASTILQEDDDGDGDDEGPFIDLEFSVPDEDSCKDSDDDATAAALCDLETSSLVFSSSESNSKPQFAVSLLKSATKFRVFMLGSKKPKTSASSPKHQLNNDNNDNKKMFVRFKVEEVPLVSLFTRDTSSKNNNSNSESTAAAAAAATDDKKFAKEVLQKYLSKIKKLRFSGQVGSVSSVSKPGSDGDPPPFGLKTVNRRLGKSRSASATVAAVRSPPRRRDDSLLQQQDGIQSAIAHCKRSFNAEKAAELPLVRSNSDPGNGRQETCKAETKVDLH >DRNTG_05837.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31638682:31640100:-1 gene:DRNTG_05837 transcript:DRNTG_05837.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDSLTMLKQWRASTTKVSRTTIASTILQEDDDGDGDDEGPFIDLEFSVPDEDSCKDSDDDATAAALCDLETSSLVFSSSESNSKPQFAVSLLKSATKFRVFMLGSKKPKTSASSPKHQLNNDNNDNKKMFVRFKVEEVPLVSLFTRDTSSKNNNSNSESTAAAAAAATDDKKFAKEVLQKYLSKIKKLRFSGQVGSVSSVSKPGSDGDPPPFGLKTVNRRLGKSRSASATVAAVRSPPRRRDDSLLQQQDGIQSAIAHCKRSFNAEKAAELPLVRSNSDPGNGRQETCKAETKVDLH >DRNTG_05837.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31638786:31640740:-1 gene:DRNTG_05837 transcript:DRNTG_05837.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDSLTMLKQWRASTTKVSRTTIASTILQEDDDGDGDDEGPFIDLEFSVPDEDSCKDSDDDATAAALCDLETSSLVFSSSESNSKPQFAVSLLKSATKFRVFMLGSKKPKTSASSPKHQLNNDNNDNKKMFVRFKVEEVPLVSLFTRDTSSKNNNSNSESTAAAAAAATDDKKFAKEVLQKYLSKIKKLRFSGQVGSVSSVSKPGSDGDPPPFGLKTVNRRLGKSRSASATVAAVRSPPRRRDDSLLQQQDGIQSAIAHCKRSFNAEKAAELPLVRSNSDPGNGRQETCKAETKVDLH >DRNTG_05837.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31638786:31640163:-1 gene:DRNTG_05837 transcript:DRNTG_05837.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDSLTMLKQWRASTTKVSRTTIASTILQEDDDGDGDDEGPFIDLEFSVPDEDSCKDSDDDATAAALCDLETSSLVFSSSESNSKPQFAVSLLKSATKFRVFMLGSKKPKTSASSPKHQLNNDNNDNKKMFVRFKVEEVPLVSLFTRDTSSKNNNSNSESTAAAAAAATDDKKFAKEVLQKYLSKIKKLRFSGQVGSVSSVSKPGSDGDPPPFGLKTVNRRLGKSRSASATVAAVRSPPRRRDDSLLQQQDGIQSAIAHCKRSFNAEKAAELPLVRSNSDPGNGRQETCKAETKVDLH >DRNTG_05837.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31638786:31640275:-1 gene:DRNTG_05837 transcript:DRNTG_05837.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDSLTMLKQWRASTTKVSRTTIASTILQEDDDGDGDDEGPFIDLEFSVPDEDSCKDSDDDATAAALCDLETSSLVFSSSESNSKPQFAVSLLKSATKFRVFMLGSKKPKTSASSPKHQLNNDNNDNKKMFVRFKVEEVPLVSLFTRDTSSKNNNSNSESTAAAAAAATDDKKFAKEVLQKYLSKIKKLRFSGQVGSVSSVSKPGSDGDPPPFGLKTVNRRLGKSRSASATVAAVRSPPRRRDDSLLQQQDGIQSAIAHCKRSFNAEKAAELPLVRSNSDPGNGRQETCKAETKVDLH >DRNTG_05837.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31638682:31640275:-1 gene:DRNTG_05837 transcript:DRNTG_05837.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDSLTMLKQWRASTTKVSRTTIASTILQEDDDGDGDDEGPFIDLEFSVPDEDSCKDSDDDATAAALCDLETSSLVFSSSESNSKPQFAVSLLKSATKFRVFMLGSKKPKTSASSPKHQLNNDNNDNKKMFVRFKVEEVPLVSLFTRDTSSKNNNSNSESTAAAAAAATDDKKFAKEVLQKYLSKIKKLRFSGQVGSVSSVSKPGSDGDPPPFGLKTVNRRLGKSRSASATVAAVRSPPRRRDDSLLQQQDGIQSAIAHCKRSFNAEKAAELPLVRSNSDPGNGRQETCKAETKVDLH >DRNTG_05837.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31638397:31640163:-1 gene:DRNTG_05837 transcript:DRNTG_05837.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDSLTMLKQWRASTTKVSRTTIASTILQEDDDGDGDDEGPFIDLEFSVPDEDSCKDSDDDATAAALCDLETSSLVFSSSESNSKPQFAVSLLKSATKFRVFMLGSKKPKTSASSPKHQLNNDNNDNKKMFVRFKVEEVPLVSLFTRDTSSKNNNSNSESTAAAAAAATDDKKFAKEVLQKYLSKIKKLRFSGQVGSVSSVSKPGSDGDPPPFGLKTVNRRLGKSRSASATVAAVRSPPRRRDDSLLQQQDGIQSAIAHCKRSFNAEKAAELPLVRSNSDPGNGRQETCKAETKVDLH >DRNTG_05837.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31638786:31640381:-1 gene:DRNTG_05837 transcript:DRNTG_05837.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDSLTMLKQWRASTTKVSRTTIASTILQEDDDGDGDDEGPFIDLEFSVPDEDSCKDSDDDATAAALCDLETSSLVFSSSESNSKPQFAVSLLKSATKFRVFMLGSKKPKTSASSPKHQLNNDNNDNKKMFVRFKVEEVPLVSLFTRDTSSKNNNSNSESTAAAAAAATDDKKFAKEVLQKYLSKIKKLRFSGQVGSVSSVSKPGSDGDPPPFGLKTVNRRLGKSRSASATVAAVRSPPRRRDDSLLQQQDGIQSAIAHCKRSFNAEKAAELPLVRSNSDPGNGRQETCKAETKVDLH >DRNTG_16120.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1473545:1477016:1 gene:DRNTG_16120 transcript:DRNTG_16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG family molecular chaperone regulator 4 [Source:Projected from Arabidopsis thaliana (AT3G51780) UniProtKB/Swiss-Prot;Acc:Q8RX71] MRSSSSKQQGVGPENGSNGAVVWEMRPGGMLVQRREDGAGVAGPLIKVRVLYGTAQHEISVPAQSTFGELKRHLSQVVGLEPEEQRLLFRGKEKDNEERMHMAGVKDMSKLVLLEDPASRERKLDEMKKNERIARACEAVVQIRSEVDKHVEKVSGLQASVYAGKKVEEKEFLVLTELLMVQLLKLDGVEAEGEAKVQRKIEVRRIQSYVEILDALKARNSNPINSSKTVSVSTQWETFDSGFGSLTAPPPMPSSTRMTEDWEQFD >DRNTG_06817.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:15638997:15640280:-1 gene:DRNTG_06817 transcript:DRNTG_06817.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWFLPSLASGKYFHRSTASLGPCQSGLSSPFRSARKTRR >DRNTG_18329.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1723851:1728047:1 gene:DRNTG_18329 transcript:DRNTG_18329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMATTHSLPLQERVPLNNTLQRASDLTILFLLISLLYCRLISLHFHGFVWLLSFICESWFTFVWILDTNAKWNPVTYKTYPHNLLKRLDELPAVDIFVTTADPKLEPPIITVNTVLSLLAVEYPVEKLACYVSDDAASAATFYSLVQASKFAKLWVPFCKKYDVRVRAPSVYFSTQCPPSVPSALPSDFRNDWNHVKDEYEKLCQRIENACKEKHDGVPKTDEFADFSDVERGNHPSIVKIIWENNKDANAMEDGFPHLIYVSREKRPRHSHHFKAGAMNVLTRVSGVMTNAPLILNVDCDMFANNPEVFLHGMCLLFGFPHEVHSGYVQTPQQFYGGLKDDPFGNQLVVLQHKVALGIAGLQGPLYGGTGCFHRRKIIYGSSPDLPHAHKYDVLSYKEPKQVFGDCRELLDSAAHIRSATMKTSAKLIDLSTKIETAKQVASCTYEFNTCWGKEIGWVYGAVAEDIITGLKIQSMGWESKCMTLDPAPFLGIAPAGGPASLTQFKRWATGLLQFLLGPYNPLLAIIYKSLRFRQCLAYLFFIVWPLRSLFELCYTLLPASCFLTNTSFLPKASEPAIMIPLGLMVSYNVGTLLEYFECGLSIRAWWNNQRMQRIYALTAWLFGFIGGVLKTMGLSETIFELTRKNQNSGESNIDPRRFTFDSSPMFVPGTAVVMVNMVALVVGLVRMMVNGEDVEEGGGDGPGLGELVCSLWVLLSFWSFVKGLFGKGCYVIPWVIVFKAAALVLLFLQIFAKWS >DRNTG_02988.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9061590:9063202:-1 gene:DRNTG_02988 transcript:DRNTG_02988.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESSAYYNNSKKSDNICNRASRTIVRLRCNAKTLLILFLILPVLLFAVFLHGHKVTAFLRPLWKSPPKPFNRIPHYHHPNVSMAKLCGLHGWRVRDTPRRVFDAVLFNNELDILSIRWNELHPYVSEFVLLESNSTFTGIVKPLFFAQNRARFAFAESRLTYGTVPGRFIKGENPFVEESYQRVALDQLIRLAGISDDDLLIMSDTDEIPSAHTINLLRWCDDIPEKLHLQLRNYLYSFEFHLDDRSWRGSVHRYRAGKTRYAHYRQGDDLLADAGWHCSFCFRYINDFVFKMKAYSHADRVRFQYFLNPKRIQDKICQGEDLFDMLPEEYTFKELIGKLGSIPHSYSAIDLPGHLVQNVEEFKYLLPGNCIRESG >DRNTG_31752.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8287117:8290108:-1 gene:DRNTG_31752 transcript:DRNTG_31752.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSHRGSLASSMISMSLELLFYVQKLECSSVTAFAPLQMDVPNSNTRRLGVLFSGPYITRLNLGMGLRDTIRGAEKTIIPAPLELETMRLMGILQQDVAMIRAIQIANHIEFMARFDVIQQLLERDTKLPFEMRPRPSQAPLASPSSPPSATFDLAPASAVEQRPDDTDT >DRNTG_34429.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21222997:21223821:1 gene:DRNTG_34429 transcript:DRNTG_34429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRGASILILRTITFIFLIISIVILTTDSIDLGDTKGTFKDVHAYRYVLSVAVIGCAYTLLQLPFATYYAFEEKHMIRDDLLLGFFHFADLVAALLFATGVGAGFGLTVEAKIYLGKDFKELDDFLDKILISTGFLLGATITLAIIVLLKK >DRNTG_31947.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21535007:21536846:1 gene:DRNTG_31947 transcript:DRNTG_31947.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLQAAILSHKSVGGFVSHCGWNSTLEAISNGVPMITWPQIADQFLNERLVVKFLRMGIAIGVKKPMFYFGEDEISVSSSDVERAVRGLMGDGQEAQEREDKGYRD >DRNTG_31947.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21535007:21536807:1 gene:DRNTG_31947 transcript:DRNTG_31947.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLQAAILSHKSVGGFVSHCGWNSTLEAISNGVPMITWPQIADQFLNERLVVKFLRMGIAIGVKKPMFYFGEDEISVSSSDVERAVRGLMGDGQEAQEREDKGYRD >DRNTG_31947.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21534548:21536846:1 gene:DRNTG_31947 transcript:DRNTG_31947.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLQAAILSHKSVGGFVSHCGWNSTLEAISNGVPMITWPQIADQFLNERLVVKFLRMGIAIGVKKPMFYFGEDEISVSSSDVERAVRGLMGDGQEAQEREDKGYRD >DRNTG_31947.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21534548:21536807:1 gene:DRNTG_31947 transcript:DRNTG_31947.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLQAAILSHKSVGGFVSHCGWNSTLEAISNGVPMITWPQIADQFLNERLVVKFLRMGIAIGVKKPMFYFGEDEISVSSSDVERAVRGLMGDGQEAQEREDKGYRD >DRNTG_12897.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15452749:15453695:1 gene:DRNTG_12897 transcript:DRNTG_12897.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDSKRRMLNAFGDAGGARSESPRKRFISERVHCYEISSGQINPRPLRS >DRNTG_28791.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21734658:21738732:-1 gene:DRNTG_28791 transcript:DRNTG_28791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNASRSHPRHSQSCFQDVDGARIILAFRFSMPSFLCFSSFLFSLLEPFPAFASEFFVQWDPPSITAGSPSNWYQKDKNNEFDDVIEGLQEETDWARLAADLSRRTKALYPVQNHSQPLNYNFDKHIAWSMEDEFCGSVGISGMILVRSMHVQKLVVLIGSLFSSGAATARFPLDVIITQMGSKLSNIKESLQSMCRRVKEKSRHGDPADRLLVHFKTARSTRSLKSTVYRTVEANTTVSGPVSE >DRNTG_35018.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6796534:6802847:1 gene:DRNTG_35018 transcript:DRNTG_35018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVFKLARWFGGAQGLSHSSIPACELVARSSPMKPFIEEVKAIYNINVYLFT >DRNTG_02806.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:968411:972764:1 gene:DRNTG_02806 transcript:DRNTG_02806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKIFFCALILKLAFSASDQFTYNGFKGVNLSLDGLAGITYDGILRLTNYTMHGMGHAFYSVPLRFKQSQVDNVVSFSTTFVFAISLEHPMLGSAGHPGFMGIFSAAAWNTATGNGGLGFVLLDSNATVCCAGSVSQMFTLVRLIYGMLFITWKTKLVGVMHILSFVCANFCKSQIIPELKSSLTSGIALRLLWLVTASDRHICLSFTEVWRNPNGS >DRNTG_27840.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4685177:4690152:-1 gene:DRNTG_27840 transcript:DRNTG_27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRINTSTLHLPCGSISCFLALYYLIGMYTCIPIKIQ >DRNTG_19860.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20049941:20082624:-1 gene:DRNTG_19860 transcript:DRNTG_19860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKILSAHFHAYIQAMGKLQLDIATASDLIGVEARSTGLSLSREPLKNGSAVFALGDRINILKEIDQPALIPHTAEVNSQRYPYEVLFRSLHKLLIDTAASEYLFCDDFFGEESIFYEIFAGPFAVLDEHFNVVLPNCYDAIGLMLMIRIVHQHQLVMFRRRIPCLDSYLDKVCYI >DRNTG_19860.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20049941:20082624:-1 gene:DRNTG_19860 transcript:DRNTG_19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKILSAHFHAYIQAMGKLQLDIATASDLIGVEARSTGLSLSREPLKNGSAVFALGDRINILKEIDQPALIPHTAEVNSQRYPYEVLFRSLHKLLIDTAASEYLFCDDFFGEESIFYEIFAGPFAVLDEHFNVVLPNCYDAIGLMLMIRIVHQHQLVMFRRRIPCLDSYLDKVCYI >DRNTG_06408.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29676723:29681391:-1 gene:DRNTG_06408 transcript:DRNTG_06408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASSAAYLVWEDLMAVLPNYGSPRPAKKLLQGLSGYAAPGRIMAIMGPSGSGKSTLLDSLAGRLGRNVVLTGKVLLNGKKRRLDYGVVAYVTQENVLLGTLTVRETITYSAHLRLPTTMRKKEVQAVVERILDEMGLQECADRPIGNWHLRGISGGEQKRLSIALEILTRPRLLFLDEPTSGLDSASAFFVIQTLRQMARDGNKTIISSIHQPSGEVFALFDDLYLLSGGEAVYFGDAKLATEFFAEVGFPCPSRRNPSDHFLRCINSDFDLVNATLRGSLKHLAETESSSDPLSKLGTSEIKAILIDRYKSSDYALMARQRIQEISKMEGLTVGSEKGSHATWLKQLTTLTRRSFVNMSRDIGYYWGRIVIYIVVSLCVGSVYYNVGTSYTAILGRASCGGFVSGFMTFMSIGGFPSFVEEMKVFSRERQNGHYGVAVFILSNFLSSFPFLAAVSIASGTITYFMVRFHKGFSHYAYFTISLYGGVAVIESIMMIVASLVPNFLMGIITGAGIIGIMMMTAGFFRLLPDLPKPVWRYPISYISYGSWALQGNYKNDLLGLEFDPLVPGDKKLSGEYIIKHLFGISLARSKWFDLSIVFVLLIAYRILFFLILKFKERASPVLRSIYARTTIHHIMKRPSFQKRKSSFSSRNPPHHPMSSQEGLSSPLP >DRNTG_07334.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23450096:23451670:1 gene:DRNTG_07334 transcript:DRNTG_07334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRFFRLNRPGRSGSDNLAIMSQHSDTISAATRITARRTQWPEFLPMPWRRSPNAKARQLTDKAVRCLLVWLKDAIYDAEDILDEAKTHELLIQRKAELSRRPRSKVRELFSLDYNPLLFKLQLGKKLRNVNERINELNKEMYKFKLRVVENNSKPLGNRPQTYSYVHESQVVIGRDKDKEKLVQMLIRDSFDEKVVVVSVVDAQGRAPLARGLRDEELRR >DRNTG_23202.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5585193:5585832:-1 gene:DRNTG_23202 transcript:DRNTG_23202.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRECGFGCGCGSDCGNRATQLGVRIRVKVVKDPKKRVGDCMRISSL >DRNTG_23202.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5582495:5585887:-1 gene:DRNTG_23202 transcript:DRNTG_23202.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKRIREWAELVFPWLPPAVLAAAATACKLFNTIAASITTRRSADATRGLERYPIPFSNPIDSQPYSYFLYSRSAILRSPSSQPWGGAAGEPLSDPSSFSDLASVAIGSGSGCQCRVCDPEGCPCWITDSDSGVGMGLLRECGFGCGCGSDCGNRATQLGVRIRVKVVKDPKKRVGDCMRISSL >DRNTG_23202.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5582495:5585832:-1 gene:DRNTG_23202 transcript:DRNTG_23202.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSFICEYAGEYLTTEEARKRQKSYDELATSKMKFSPALIVVREHLPSGKACLRVNIDATKVGNVARFINHSCDGGNLISALVRNSGSMLPRLCFFAAIDLMQDQELTFSYGDVTRVKVDAFPCFCGTDACFGVLPSEET >DRNTG_23202.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5582383:5585887:-1 gene:DRNTG_23202 transcript:DRNTG_23202.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKRIREWAELVFPWLPPAVLAAAATACKLFNTIAASITTRRSADATRGLERYPIPFSNPIDSQPYSYFLYSRSAILRSPSSQPWGGAAGEPLSDPSSFSDLASVAIGSGSGCQCRVCDPEGCPCWITDSDSGVGMGLLRECGFGCGCGSDCGNRATQLGVRIRVKVVKDPKKRVGDCMRISSL >DRNTG_23202.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5582383:5585832:-1 gene:DRNTG_23202 transcript:DRNTG_23202.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSFICEYAGEYLTTEEARKRQKSYDELATSKMKFSPALIVVREHLPSGKACLRVNIDATKVGNVARFINHSCDGGNLISALVRNSGSMLPRLCFFAAIDLMQDQELTFSYGDVTRVKVDAFPCFCGTDACFGVLPSEET >DRNTG_23202.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5582495:5585972:-1 gene:DRNTG_23202 transcript:DRNTG_23202.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKRIREWAELVFPWLPPAVLAAAATACKLFNTIAASITTRRSADATRGLERYPIPFSNPIDSQPYSYFLYSRSAILRSPSSQPWGGAAGEPLSDPSSFSDLASVAIGSGSGCQCRVCDPEGCPCWITDSDSGVGMGLLRECGFGCGCGSDCGNRATQLGVRIRVKVVKDPKKRVGDCMRISSL >DRNTG_24658.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7073057:7074162:-1 gene:DRNTG_24658 transcript:DRNTG_24658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWRREMIMGCIHSAKKETMTRWHPGIPPGIPLSRKSSQQEEEEEEEEEGIKGKANMDYNSLQIALLAYQMEACPGLSGQDYHLDLKISIVQAMVLPKS >DRNTG_03734.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18217680:18218370:-1 gene:DRNTG_03734 transcript:DRNTG_03734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWKFHMVVSIFTGGTETPWRTQKYNLGEKEKKARPRYGSVFTVDKCYSWSTIAAVLHHRHYSKITVASTIAPVEFSSLEL >DRNTG_23675.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10336741:10339428:1 gene:DRNTG_23675 transcript:DRNTG_23675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIIRGNNFTFTQNKRVTTSSRTGREIYGRDDEIQLLIEFLKGPNVNDSISVAPIVGMGGIGKTTMAQFVFNNREIENHFDSKVWLCVSDHFDRFRITKEMIDIISPTVQCCSTTSLDSLERELQRHLIEKKFLLVLDDVWTDEWQQLLTPLESAQAQAIKIIMTCRDPTVLRSIDKGNQIILEGLCDQDYWSFFVNCAFAEKNLDNYSKELHNIGKCIMNKLKGSPLAAKTVGKLLGSSLTEKHWNDILESDLWKLENSAHDIMPALALSYYHLPQHLQQCFIFCSVFPKKHLYFMDDLVCMWIANGYIYESESSPKTMNDIGKMYCHELQAMCFFDADSSTWFRMHDLMHDLAQLVSHGEICIYKSGKDKMISKNARHLYAQGLVNLGLICKTNNLRTLVLESASDMSTFLNHEAFKRIRVLVVLDANMEEFPHVIFHLKHLQYLDLKETSIKSIPESLCKLYQLRVLKLLPPHTLPSLFHNLINLQSWGMSGYRLFDDERAPVFHVKKERGYMIAQLKNMTELTGTLSIAGLENIDNMKEAMKAKLKEKHHINNLRLYWKDKMDGCKHDIQEEVLEGLQPHPNLEELEIEGYMGSKTPSWLMTLTLQKLRELYLIKCINWACLPAALGLLPSLEKLHFYYMENITVECDDSVTEMFPSLQYLELYKTTISFKGKLISSSSLTTPGHYKLFPRLQYLNVTECDAVNGLNWPIYSALERLCIRNCPGLDDQLPGCLYGLSSLTTLDLTGTKIKTFPAEVMATLHALEQLCLADCNELLSLEGLQALPSLRKLFVSQCPQFGSWCLEEMTGLDEIAIVSCQDLESLPAWLHRPPLLKQLSIY >DRNTG_13258.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13332594:13339712:1 gene:DRNTG_13258 transcript:DRNTG_13258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSPSRKALSKIACNRLQKELVEWQVNPPTGFKHKVTDNLQRWVIEVVGAPGTLYAGETYQLQVDFPEHYPMEAPQVIFLHPAPLHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSTVKQRPADNDRYVKNCRNGRSPKETRWWFHDDKV >DRNTG_18930.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12497034:12498600:1 gene:DRNTG_18930 transcript:DRNTG_18930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSKGQTCSSKLLLGGGEQWTGTKTGCPSGEKMRRTSPCISLSLKRLVLILFKNKKKNAFIDFFKRACWLGFVLSKQEFSPSCDQHVGSLNHFLAHLMH >DRNTG_18930.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12496618:12498452:1 gene:DRNTG_18930 transcript:DRNTG_18930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSKGQTCSSKLLLGGGEQWTGTKTGCPSGEKMRRTSPCISLSLKRLVLILFKNKKKNAFIDFFKRACWLGFVLSKQ >DRNTG_18930.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12496618:12498600:1 gene:DRNTG_18930 transcript:DRNTG_18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSKGQTCSSKLLLGGGEQWTGTKTGCPSGEKMRRTSPCISLSLKRLVLILFKNKKKNAFIDFFKRACWLGFVLSKQEFSPSCDQHVGSLNHFLAHLMH >DRNTG_18930.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12497034:12498452:1 gene:DRNTG_18930 transcript:DRNTG_18930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSKGQTCSSKLLLGGGEQWTGTKTGCPSGEKMRRTSPCISLSLKRLVLILFKNKKKNAFIDFFKRACWLGFVLSKQ >DRNTG_16930.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:11066905:11069770:1 gene:DRNTG_16930 transcript:DRNTG_16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKNSFFPLNMVKKNATTAELRYQPYDRKGGRPPKSYKTSFGKNLYKIYAELKKLQSDKLKPREFYQKKKQLVLKARKTIFDRIALQNDQVSQLQPSQGQASVSYSKFDRKKRSEDEYMSDGDIEIHAVRMITEARGDPTAEQEQPGPVTRSRRRAPDSQSWMTPFLSTSGITTFQELISQAKKLERTSLRVLSNFQTSRNDKSKKAEGVKYIATTFNVDKGKSTIESYKPEQAKPLSLGIKWKFPRAPQGLPVKQTKKKNKKKKQKHEQSKHKKSIIEQYIESLEEYEQKERVLITLKEYFPEEVKELLEELDEENDETMQVETCRVISGGEYPIYNQNDDEDSFSKSEELYDRHGRPDISKKQKKKMTF >DRNTG_17929.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25243099:25243720:-1 gene:DRNTG_17929 transcript:DRNTG_17929.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYSKTFTPSIQSLSTEPLLSTSIAIYIDPLGKKLILNNFSSADGYFLFIHATLNRCTQTAIDLRTHSSQAHFLRTSYHFRVHPEFRPTHFLEVSSSVQQLKILIRYVRTPQYSSHSTLLEYTSC >DRNTG_13127.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000592.1:5718:8315:1 gene:DRNTG_13127 transcript:DRNTG_13127.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGDNGKDMHENDLVMPGFRFHPTEEELIEFYLRRKVEGKRFNVELITFLDLYRYDPWELPALAAIGEKEWYFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIRTESSRNIGLKKTLVFYSGKAPKGIRSSWIMNEYRLPPNVTDQQQKAEISLCRVYKRPGLEDHHQIPASISSKPSSSKTTFFAEKKQINFTTLGNQDSSSSEAEKISEQPTPISNNIYTSSSSLVVPSSSSTQTMEEDGSTPPSTNHALLAYTSPPLASTSIDELNKILNYQQNYSMINPNNYLTLPIHPQSLPFNSIIPNSIQNISDKLWDWNPVPEPDRDYTSFN >DRNTG_29570.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2247175:2248619:1 gene:DRNTG_29570 transcript:DRNTG_29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGNKNRGKENRNEIKNKTKNISKYK >DRNTG_13195.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2472198:2474035:1 gene:DRNTG_13195 transcript:DRNTG_13195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVSRAATLQKIISMLETARSLSKNDCQWLFALCVSVDNPLHAETSASLRCLLRKCMSLLAEKSEFDDEVVMLNMLATIAGKYFGQSGK >DRNTG_13195.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2472198:2472874:1 gene:DRNTG_13195 transcript:DRNTG_13195.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLRRVRWEANQIPKVKVAKLKPNKISNEQTNYMPNIPEIA >DRNTG_13195.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2473397:2474035:1 gene:DRNTG_13195 transcript:DRNTG_13195.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVSRAATLQKIISMLETARSLSKNDCQWLFALCVSVDNPLHAETSASLRCLLRKCMSLLAEKSEFDDEVVMLNMLATIAGKYFGQSGK >DRNTG_09827.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000414.1:87609:91450:-1 gene:DRNTG_09827 transcript:DRNTG_09827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTRECHVMDIRSEQYSWEDIIGEEMKRFDPKNEEERRKKKSIYRIPAFLKLMKSSTVLTPLVVSFGPYHHDEENLKLLEGYKQMALLHFLHRNKKPLGHFMNAIKEVVEDLKAHYEFLEEKWNDEAEFIKLMMIDGCFMLEVLRFDSESPETHHTANDPIFSDHASQHKLPYIKRDMLMLENQLPLLAIKVLIHAEKADQRNSPMTDREINNKVFKFLKMEDMIDKTSTLGLHILDLYRKGMIKTSIDDSTKSPQNKKEELLISNSQGKGTDLDDHIHKTATIKGDASMPTAMKLHESGVRFKKSSTDKINDICFDKGIFKLPHLIIDDSTESIFLNLMAFEHLHVGLDDEVTSYVCFMDELSDSAIDVHRLCSDKIIHNAVGSDQVAAEILNSLTKEVIHDPTRKFGEIRTKVKEYSERRFNKWLASLLHIYFQTPWTTIGLIAAVVLLILTFVQTFYAVAAYHFPEKPT >DRNTG_17680.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5277826:5282097:1 gene:DRNTG_17680 transcript:DRNTG_17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLRGVSIAFLVREKEIHKEVARNMANFDMANFQECMRNFAAQTGGFVRDGCQQYLENPTAPEPNTCAACGCHRNFHRMVIIRNHVHGPNNEAGNNEQNNVLQVENPNERLPRTRFSDYQRHRMNQYAGQLHWIMPRSAVGNAELASFCAEIGITRHSFQIWMNNQRNRR >DRNTG_25210.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20146742:20147424:1 gene:DRNTG_25210 transcript:DRNTG_25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQGKTEEHGRDEVLPNRLRKLNLSKPVG >DRNTG_09551.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22676813:22677175:-1 gene:DRNTG_09551 transcript:DRNTG_09551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKRARINPVKVKVIVTRFVKTDALDFKSVVQSLTGKDSMAAEETVPLPIRPAVVKGEKRQVVDQDHVAGDYNEEQEQVWNIGEHLLIKPNFVLEEFEDKCFLETTPLEKMYELWSCFA >DRNTG_16352.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7776521:7777101:1 gene:DRNTG_16352 transcript:DRNTG_16352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRIPIHLGHIIPEYIQHHGQYARLGAVFSGPDITRLVLDIGLLNAICGAEKTSTPAPLSLETMRLMPQLALMETEATPVAEDPPPTKALPRHLSCGRGLLKPLRHHHHHLHQHPSIYHPH >DRNTG_11346.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23726728:23729717:1 gene:DRNTG_11346 transcript:DRNTG_11346.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEGVIGIVLYAKWYEPFRNITADHIAAKRALTFSLDWFLDPVIFGDYPPDMKAFFSSRLPEFTDQEKKMLQLKIDFIGLNHYTTIYAQDCKFSCEVATSDGNAMVITTTERNGQSIGEPTPMPYFYVVPDGLQKLVMYIKERYNNTPIYVTENGYAQCSNNSVLKQALLNDTDRVDFLQSYLTSLTKAIRQGADVRGYFVWSLIDNFEWTFGYGMRFGLYHVDYSTQKRTPKFSAKWYRRFLTGPVMFHNKNLETLAFE >DRNTG_11346.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23726728:23729717:1 gene:DRNTG_11346 transcript:DRNTG_11346.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGRFGQINELGVAFYNKLINSLLSKGIQPFVTLSHYDIPQELEDRYGAWLSSEVQQDFGYYAEICFREFGDRVKHWVTLNEPNLWPKFGYMLGTYPPGRCTRPFGNCDFGDSTIEPYITAHNMILSHAIAISNYKKNYQMKQEGVIGIVLYAKWYEPFRNITADHIAAKRALTFSLDWFLDPVIFGDYPPDMKAFFSSRLPEFTDQEKKMLQLKIDFIGLNHYTTIYAQDCKFSCEVATSDGNAMVITTTERNGQSIGEPTPMPYFYVVPDGLQKLVMYIKERYNNTPIYVTENGYAQCSNNSVLKQALLNDTDRVDFLQSYLTSLTKAIRQGADVRGYFVWSLIDNFEWTFGYGMRFGLYHVDYSTQKRTPKFSAKWYRRFLTGPVMFHNKNLETLAFE >DRNTG_11346.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23726728:23729717:1 gene:DRNTG_11346 transcript:DRNTG_11346.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTLTLASSLVLFLHFISSIASIDRSQFPNSFLFGVATSSYQIEGAALEDNKGASNWDVFTHLPGKIDDGSNGDVADDHYCLYEDDVELMHSLGVNSYRFSISWSRILPRGRFGQINELGVAFYNKLINSLLSKGIQPFVTLSHYDIPQELEDRYGAWLSSEVQQDFGYYAEICFREFGDRVKHWVTLNEPNLWPKFGYMLGTYPPGRCTRPFGNCDFGDSTIEPYITAHNMILSHAIAISNYKKNYQMKQEGVIGIVLYAKWYEPFRNITADHIAAKRALTFSLDWFLDPVIFGDYPPDMKAFFSSRLPEFTDQEKKMLQLKIDFIGLNHYTTIYAQDCKFSCEVATSDGNAMVITTTERNGQSIGEPTPMPYFYVVPDGLQKLVMYIKERYNNTPIYVTENGYAQCSNNSVLKQALLNDTDRVDFLQSYLTSLTKAIRQGADVRGYFVWSLIDNFEWTFGYGMRFGLYHVDYSTQKRTPKFSAKWYRRFLTGPVMFHNKNLETLAFE >DRNTG_08049.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30314978:30317168:-1 gene:DRNTG_08049 transcript:DRNTG_08049.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine--glyoxylate aminotransferase [Source:Projected from Arabidopsis thaliana (AT2G13360) UniProtKB/Swiss-Prot;Acc:Q56YA5] MDYVYGPGRNHLFVPGPVNIPEPVIRAMNRNNEDYRSPAIPALTKTLLEDVKKIFKTTSGTPFLIPTTGTGAWESALTNTLSPGDKIISFLIGQFSLLWIDQQQRLNFDVDVIESDWGQGANLDVLESKLAADRNHTIKAICIVHNETATGVTNNLSTVRKLLDEYHHPALFLVDGVSSICALDFRMDEWGIDVALTGSQKALSLPTGIGLVCASPKALEATKTAKSVRVFFDWNDYLKFYKLGTYWPYTPSIQLLYGFRAALDLIFEEGLDNVIARHTRLGKATRLAVEAWGLKNCTQKEEWFSDTVTAVVIPPNIDSSEIVRRAWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLSGVEMVLKDVGYPVKLGSGVAAAAAFLQNNTPLIPSRI >DRNTG_08049.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30309043:30317168:-1 gene:DRNTG_08049 transcript:DRNTG_08049.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine--glyoxylate aminotransferase [Source:Projected from Arabidopsis thaliana (AT2G13360) UniProtKB/Swiss-Prot;Acc:Q56YA5] MDYVYGPGRNHLFVPGPVNIPEPVIRAMNRNNEDYRSPAIPALTKTLLEDVKKIFKTTSGTPFLIPTTGTGAWESALTNTLSPGDKIISFLIGQFSLLWIDQQQRLNFDVDVIESDWGQGANLDVLESKLAADRNHTIKAICIVHNETATGVTNNLSTVRKLLDEYHHPALFLVDGVSSICALDFRMDEWGIDVALTGSQKALSLPTGIGLVCASPKALEATKTAKSVRVFFDWNDYLKFYKLGTYWPYTPSIQLLYGFRAALDLIFEEGLDNVIARHTRLGKATRLAVEAWGLKNCTQKEEWFSDTVTAVVIPPNIDSSEIVRRAWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLSGVEMVLKDVGYPVKLGSGVAAAAAFLQNNTPLIPSRI >DRNTG_18620.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2902097:2910275:-1 gene:DRNTG_18620 transcript:DRNTG_18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVKAKGFGNEEEPDASEGVIGEFIPHDGSHYPLEIIEVSHEDYANKYGPTSGDKIKLGDTELYAEIERDFAVYGDECVFGAGKVVRDGMGQAAGYPTSACLDTVITNAVIIDYTGIYKADIGIKDGYIIAIGKAGNPDIMDGVSGDMIVGVTTFPHMQHWL >DRNTG_18620.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2902097:2903193:-1 gene:DRNTG_18620 transcript:DRNTG_18620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVKAKGFGNEEEPDASEGVIGEFIPHDGSHYPLEIIEVSHEDYANKYGPTSGDKIKLGDTELYAEIERDFAVYGDECVFGAGKVVRDGMGQAAGYPTSACLDTVITNAVIIDYTGIYKADIGIKDGYIIAIGKAGNPDIMDGVSGDMIVGVTTFPHMQHWL >DRNTG_18620.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2902097:2909923:-1 gene:DRNTG_18620 transcript:DRNTG_18620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVKAKGFGNEEEPDASEGVIGEFIPHDGSHYPLEIIEVSHEDYANKYGPTSGDKIKLGDTELYAEIERDFAVYGDECVFGAGKVVRDGMGQAAGYPTSACLDTVITNAVIIDYTGIYKADIGIKDGYIIAIGKAGNPDIMDGVSGDMIVGVSTEVIAGEGMIVTAGGIDCH >DRNTG_21807.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17532993:17533845:-1 gene:DRNTG_21807 transcript:DRNTG_21807.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNCHSADAQKISPRTSRSLKSDDLDHCAD >DRNTG_03164.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18587576:18588051:-1 gene:DRNTG_03164 transcript:DRNTG_03164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPNIAHPKTIASSVEKSLSLNTSHCAMRKTLISVKPKTLRITSTFHSVAHETKAQRSSSLTTSLYCDRKELMECGGRINHTGGERGCSAISRLTL >DRNTG_02454.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19403709:19408484:1 gene:DRNTG_02454 transcript:DRNTG_02454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKPQALLIQSKKKKSPTRISLLTIIICNLVVILVVLSLYGTYKHWHRRLSSQPEIGLDEFEHVKNSGEPKKYDLPKYAVLNTSKGQITMELYKDSFPEVVDRFIDLCQKGFFRGMPFHRVIKNYVIQGGDFQRLGAAEDWTLKVKPNKEHAISPKHEAFMLGTTKSVSDSKGFELFITTAPIPDLNDKLIVFGSVVKGEDVVQEIEEVDTDEHYRPKSNIGIIDVILKQEL >DRNTG_16108.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1572384:1572789:-1 gene:DRNTG_16108 transcript:DRNTG_16108.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEAIKKISDYISQLRRVGKGHGVWHFDQMLLHEEEAVVA >DRNTG_05544.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16643418:16651592:1 gene:DRNTG_05544 transcript:DRNTG_05544.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRKQWHEGACTPIQISWRHPSRCDRTYDAALITIDRPGSRHILQRDNELHRRRSLTALHRLSNFERHAPRPHDGPRSESVRRRGPRVTIDERFDVLSEGAVEIRRDPVGGGRKSEEIVEIRCDPSLLRPLHRSHMLVDRHGFEGRSLDLGFDRRR >DRNTG_05544.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16643418:16651592:1 gene:DRNTG_05544 transcript:DRNTG_05544.14 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRKQWHEGACTPIQISWRHPSRCDRTYDAALITIDRPGSRHILQRDNELHRRRSLTALHRLSNFERHAPRPHDGPRSESVRRRGPRVTIDERFDVLSEGAVEIRRDPVGGGRKSEEIVEIRCDPSLLRPLHRSHMLVDRHGFEGRSLDLGFDRRR >DRNTG_05544.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16643418:16651592:1 gene:DRNTG_05544 transcript:DRNTG_05544.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRKQWHEGACTPIQISWRHPSRCDRTYDAALITIDRPGSRHILQRDNELHRRRSLTALHRLSNFERHAPRPHDGPRSESVRRRGPRVTIDERFDVLSEGAVEIRRDPVGGGRKSEEIVEIRCDPSLLRPLHRSHMLVDRHGFEGRSLDLGFDRRR >DRNTG_05544.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16643418:16651592:1 gene:DRNTG_05544 transcript:DRNTG_05544.10 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRKQWHEGACTPIQISWRHPSRCDRTYDAALITIDRPGSRHILQRDNELHRRRSLTALHRLSNFERHAPRPHDGPRSESVRRRGPRVTIDERFDVLSEGAVEIRRDPVGGGRKSEEIVEIRCDPSLLRPLHRSHMLVDRHGFEGRSLDLGFDRRR >DRNTG_05544.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16643418:16651592:1 gene:DRNTG_05544 transcript:DRNTG_05544.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRKQWHEGACTPIQISWRHPSRCDRTYDAALITIDRPGSRHILQRDNELHRRRSLTALHRLSNFERHAPRPHDGPRSESVRRRGPRVTIDERFDVLSEGAVEIRRDPVGGGRKSEEIVEIRCDPSLLRPLHRSHMLVDRHGFEGRSLDLGFDRRR >DRNTG_05544.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16643418:16651592:1 gene:DRNTG_05544 transcript:DRNTG_05544.11 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRKQWHEGACTPIQISWRHPSRCDRTYDAALITIDRPGSRHILQRDNELHRRRSLTALHRLSNFERHAPRPHDGPRSESVRRRGPRVTIDERFDVLSEGAVEIRRDPVGGGRKSEEIVEIRCDPSLLRPLHRSHMLVDRHGFEGRSLDLGFDRRR >DRNTG_05544.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16643418:16651592:1 gene:DRNTG_05544 transcript:DRNTG_05544.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRKQWHEGACTPIQISWRHPSRCDRTYDAALITIDRPGSRHILQRDNELHRRRSLTALHRLSNFERHAPRPHDGPRSESVRRRGPRVTIDERFDVLSEGAVEIRRDPVGGGRKSEEIVEIRCDPSLLRPLHRSHMLVDRHGFEGRSLDLGFDRRR >DRNTG_05544.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16643418:16651592:1 gene:DRNTG_05544 transcript:DRNTG_05544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRKQWHEGACTPIQISWRHPSRCDRTYDAALITIDRPGSRHILQRDNELHRRRSLTALHRLSNFERHAPRPHDGPRSESVRRRGPRVTIDERFDVLSEGAVEIRRDPVGGGRKSEEIVEIRCDPSLLRPLHRSHMLVDRHGFEGRSLDLGFDRRR >DRNTG_17643.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4149290:4152206:-1 gene:DRNTG_17643 transcript:DRNTG_17643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTTILQQDKQHLQAVFQDPPRSTTPDYDGRKKRVLKR >DRNTG_26631.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:395086:396676:-1 gene:DRNTG_26631 transcript:DRNTG_26631.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSGVRPDCYTFPFLLKACEVICVGCSIHALVLKLGLEANLHAENSLLGFYSRCCCVDDAQKVFDEMAERDVVSYTALVSGYSKVGDVKSAMEIFDLMPERDVVSWGAMISGFAQNGFPEEALCLFQEMQAVGVPISEVALVSSISACASLGLRSLGLWLHAFIIRRGIAIGVFTGTALVDMYGKCGDLGCASQVFQSMNEKSVATWNSMIGGLAMNGSVTKALSLIEEMVGRGIEPNEVTLSNVLSACRHGGLVNEGRHYFDKWSREYGILLNLDHYGCMVDLLGRSGCLDEAYRLIQSMPMEPNEVIWGALLGACKIHGNLILAEEALKRLVELDPANGGNYVLLSNMYAELGRWKDVERVRAMMRDETVVKTRGCSSIDLDSVAHEFSAGEESYPGVL >DRNTG_26631.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:395177:396676:-1 gene:DRNTG_26631 transcript:DRNTG_26631.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSGVRPDCYTFPFLLKACEVICVGCSIHALVLKLGLEANLHAENSLLGFYSRCCCVDDAQKVFDEMAERDVVSYTALVSGYSKVGDVKSAMEIFDLMPERDVVSWGAMISGFAQNGFPEEALCLFQEMQAVGVPISEVALVSSISACASLGLRSLGLWLHAFIIRRGIAIGVFTGTALVDMYGKCGDLGCASQVFQSMNEKSVATWNSMIGGLAMNGSVTKALSLIEEMVGRGIEPNEVTLSNVLSACRHGGLVNEGRHYFDKWSREYGILLNLDHYGCMVDLLGRSGCLDEAYRLIQSMPMEPNEVIWGALLGACKIHGNLILAEEALKRLVELDPANGGNYVLLSNMYAELGRWKDVERVRAMMRDETVVKTRGCSSIDLDSVAHEFSAGEESYPGVL >DRNTG_26631.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:395086:396900:-1 gene:DRNTG_26631 transcript:DRNTG_26631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGTPHLTASQALPPPSRHLVFPPNPTKSPTLSLLNTATLPSHLPQLHARLIKTNTFHNNFYLSKLLSLYIHHNLLDDALLLLHRAKKPNALVWNTLLKAYSDHGLFSDALLLFRLMLQSGVRPDCYTFPFLLKACEVICVGCSIHALVLKLGLEANLHAENSLLGFYSRCCCVDDAQKVFDEMAERDVVSYTALVSGYSKVGDVKSAMEIFDLMPERDVVSWGAMISGFAQNGFPEEALCLFQEMQAVGVPISEVALVSSISACASLGLRSLGLWLHAFIIRRGIAIGVFTGTALVDMYGKCGDLGCASQVFQSMNEKSVATWNSMIGGLAMNGSVTKALSLIEEMVGRGIEPNEVTLSNVLSACRHGGLVNEGRHYFDKWSREYGILLNLDHYGCMVDLLGRSGCLDEAYRLIQSMPMEPNEVIWGALLGACKIHGNLILAEEALKRLVELDPANGGNYVLLSNMYAELGRWKDVERVRAMMRDETVVKTRGCSSIDLDSVAHEFSAGEESYPGVL >DRNTG_26631.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:395031:396676:-1 gene:DRNTG_26631 transcript:DRNTG_26631.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSGVRPDCYTFPFLLKACEVICVGCSIHALVLKLGLEANLHAENSLLGFYSRCCCVDDAQKVFDEMAERDVVSYTALVSGYSKVGDVKSAMEIFDLMPERDVVSWGAMISGFAQNGFPEEALCLFQEMQAVGVPISEVALVSSISACASLGLRSLGLWLHAFIIRRGIAIGVFTGTALVDMYGKCGDLGCASQVFQSMNEKSVATWNSMIGGLAMNGSVTKALSLIEEMVGRGIEPNEVTLSNVLSACRHGGLVNEGRHYFDKWSREYGILLNLDHYGCMVDLLGRSGCLDEAYRLIQSMPMEPNEVIWGALLGACKIHGNLILAEEALKRLVELDPANGGNYVLLSNMYAELGRWKDVERVRAMMRDETVVKTRGCSSIDLDSVAHEFSAGEESYPGVL >DRNTG_26631.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:395177:396900:-1 gene:DRNTG_26631 transcript:DRNTG_26631.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGTPHLTASQALPPPSRHLVFPPNPTKSPTLSLLNTATLPSHLPQLHARLIKTNTFHNNFYLSKLLSLYIHHNLLDDALLLLHRAKKPNALVWNTLLKAYSDHGLFSDALLLFRLMLQSGVRPDCYTFPFLLKACEVICVGCSIHALVLKLGLEANLHAENSLLGFYSRCCCVDDAQKVFDEMAERDVVSYTALVSGYSKVGDVKSAMEIFDLMPERDVVSWGAMISGFAQNGFPEEALCLFQEMQAVGVPISEVALVSSISACASLGLRSLGLWLHAFIIRRGIAIGVFTGTALVDMYGKCGDLGCASQVFQSMNEKSVATWNSMIGGLAMNGSVTKALSLIEEMVGRGIEPNEVTLSNVLSACRHGGLVNEGRHYFDKWSREYGILLNLDHYGCMVDLLGRSGCLDEAYRLIQSMPMEPNEVIWGALLGACKIHGNLILAEEALKRLVELDPANGGNYVLLSNMYAELGRWKDVERVRAMMRDETVVKTRGCSSIDLDSVAHEFSAGEESYPGVL >DRNTG_00471.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30735444:30738055:-1 gene:DRNTG_00471 transcript:DRNTG_00471.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMFRFFIKKLCGPAFQHPSSRNPTFRCICCFSTSITEGTIPKPPSAVEFNYVGFSSEETLRASKPLNESTLPQQPELILGFLKRSGFDDIQIKNLVSRHPKWLLLDEETLQPKFKALQDLGFSGPDLAGFIIANPFGIFRDFEHNLLPKIEFWIDLLGSFEALIKLLKNNRRFLKRSLEKTIIPNVMMLRECGIPDIRIRSVVKHRPSFILQKPDRFKTLAAHVEKMGVPRSSGMFFWALSSLQSTSVARFNARLKLMKSLGWSKEDFNTAFCKNPLFLTPSLKVMKEKMEFLVNEVGCEPSYVANHPVLLSLSLEKRLIPRHWVLQMLKLRGLGNRKSLTTVMCCSDKKFINDFVLCHLKEIPELLGICSIGRKKKDGLDVLVQKLSVLA >DRNTG_27811.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27266965:27272240:-1 gene:DRNTG_27811 transcript:DRNTG_27811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLTGEQIQVSHLHFLEDGIAVFIQMVYHMGFVELPLLDHHCPHMVKEAEEIRTLGIIKIIIIQCLMGLFPIMGVHLIVTLHHAGLLLHKDMTSVNSPHQLEGQGLKLPCLSTIMKGVFLAGVSSKSNSFGSASPFSEYGHWVSTSKQPIIYPSRNLSGRRSFMSKPVYPLAFRNPVSDGEATEPLDGSIGGKTGHLNSNSTSTNSSLSPDLKFHKILTELQKMEASPEPGASSRRDGFRWSNASSYYDFGFEGDAIDVMEHISEKPSRSPYDSARYQKCGLCERFLWQKSPWSSSRIVKNGDLPITGVLPCGHVFHAECLEETTPKAQVHEPPCAVCFER >DRNTG_27811.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27266965:27272240:-1 gene:DRNTG_27811 transcript:DRNTG_27811.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLTGEQIQVSHLHFLEDGIAVFIQMVYHMGFVELPLLDHHCPHMVKEAEEIRTLGIIKIIIIQCLMGLFPIMGVHLIVTLHHAGLLLHKDMTSVNSPHQLEGQGLKLPCLSTIMKGVFLAGVSSKSNSFGSASPFSEYGHWVSTSKQPIIYPSRNLSGRRSFMSKPVYPLAFRNPVSDGEATEPLDGSIGGKTGHLNSNSTSTNSSLSPDLKFHKILTELQKMEASPEPGASSRRDGFRWSNASSYYDFGFEGDAIDVMEHISEKPSRSPYDSARYQKCGLCERFLWQKSPWSSSRIVKNGDLPITGVLPCGHVFHAECLEETTPKAQVHEPPCAVCFER >DRNTG_15809.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15328708:15330963:1 gene:DRNTG_15809 transcript:DRNTG_15809.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWHFRLGHLSFHYIKLLFTKVFINIYIFIYLFICGTCKLAKHHHVSFPLQTYKPSKPFSIVHSDAWRAS >DRNTG_31810.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18689670:18690788:-1 gene:DRNTG_31810 transcript:DRNTG_31810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:Projected from Arabidopsis thaliana (AT4G35190) UniProtKB/TrEMBL;Acc:A0A178UWQ5] METVKSRFKRVCVFCGSSSGKRTCYKDAATELGKELVARKVDLVYGGGSVGLMGLVSEAVHQGGGHVLGIIPKTLMNKEITGETVGEVKAVSSMHQRKAEMASHSDAFIALPGGYGTLEELLEVITWAQLGIHNKPVGLLNVEGYYNSLLAFIDKAVEDGFIQPFQRQIIVSAPTAKDLVQKLE >DRNTG_31810.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18689341:18690788:-1 gene:DRNTG_31810 transcript:DRNTG_31810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:Projected from Arabidopsis thaliana (AT4G35190) UniProtKB/TrEMBL;Acc:A0A178UWQ5] METVKSRFKRVCVFCGSSSGKRTCYKDAATELGKELVARKVDLVYGGGSVGLMGLVSEAVHQGGGHVLGIIPKTLMNKEITGETVGEVKAVSSMHQRKAEMASHSDAFIALPGGYGTLEELLEVITWAQLGIHNKPVGLLNVEGYYNSLLAFIDKAVEDGFIQPFQRQIIVSAPTAKDLVQKLEEYVPVQDAVVAKLCWEMEQVGYNSSLHSEISR >DRNTG_06345.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24147943:24149297:1 gene:DRNTG_06345 transcript:DRNTG_06345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLRIETGEETGLGLGFGKWDSRSR >DRNTG_20279.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5122819:5125072:-1 gene:DRNTG_20279 transcript:DRNTG_20279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGGGGFAISYPLARALAKVLDSCLNRYGHLYGSDDRVYACLVELGIELSFEPGFHQVDLRGNLFGLLSAHPLTPLVSLHHVDAIDPIFPSMNRSEALKHLFEAVKVDSGRVLQQTVCYDQLKKHTISVSWGYAIQVFQGNQHLPDILSMQQTFTPWKRNRNPASSLYMFNTREPPKDACKRPAVFFLQSVSPEIGTIESNYSRSSPIDKNCSQSMSSLQQIRVSSQKLNTESLQGLRRHCCDVFLHSSAKIMDIKIRKCEDDELIAMTL >DRNTG_21534.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1444094:1447096:-1 gene:DRNTG_21534 transcript:DRNTG_21534.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPGPFLWGVMNYLTPFDAMRIPYVCNHWRYIRKPCK >DRNTG_21534.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1444094:1447096:-1 gene:DRNTG_21534 transcript:DRNTG_21534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPGPFLWGVMNYLTPFDAMRIPYVCNHWRYIRKPCK >DRNTG_21534.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1444094:1447096:-1 gene:DRNTG_21534 transcript:DRNTG_21534.2 gene_biotype:protein_coding transcript_biotype:protein_coding ILSILIIKKKSQHETETEEEVDVVIEEEEDRDWSHIPGPFLWGVMNYLTPFDAMRIPYVCNHWRYIRKPCK >DRNTG_21534.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1446244:1447096:-1 gene:DRNTG_21534 transcript:DRNTG_21534.4 gene_biotype:protein_coding transcript_biotype:protein_coding YRAINDSSRSPNTKPELIDRDGKAQWASSSTMAPTRCDLTLELFVLNLLLLFS >DRNTG_15217.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23299178:23301759:1 gene:DRNTG_15217 transcript:DRNTG_15217.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVGSSCSKLWRLYSSASTSLEAIDPARRVCKILMACPKVGIEQTLDDSGIRVSPELAEQILKTFENAGMLAYRFFDWAGKQRHFSHTVRAYHTMIGSLAKIRQYQIMWDLVNAMRHQGVLNIETFCIIMRKYARAQKVEEAIYTFNIMEKYDVAPNLAAFNSLLSALCKSKNVRKAQEVFDRMGDRFVPDAKTFSILLEGWGRAPNLPKMREVFDEMTAKGCEPDIVTYGIMVDSLCKAGRVGEALDVLREMEFGGCPPTSFIYSVLIHTYGTEKRLEDAVSTFFEMQRNGVIPDVAVYNALITGFCKANRFDDAFKVLGEMEDKGVTPNSRTCNVILNSLISLGKNDEAYRVFRHMIKLCEPDSDTYTMMIKMFCESDKLEKALKVWKYMALKQFIPSMHTFSVLINGLCEKGEVNKACAFLEEMIEKGIRPPGSTFGKLRQLLLKEGREDVLEFLVDKMNVLIKEPLCD >DRNTG_15217.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23298734:23301759:1 gene:DRNTG_15217 transcript:DRNTG_15217.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVGSSCSKLWRLYSSASTSLEAIDPARRVCKILMACPKVGIEQTLDDSGIRVSPELAEQILKTFENAGMLAYRFFDWAGKQRHFSHTVRAYHTMIGSLAKIRQYQIMWDLVNAMRHQGVLNIETFCIIMRKYARAQKVEEAIYTFNIMEKYDVAPNLAAFNSLLSALCKSKNVRKAQEVFDRMGDRFVPDAKTFSILLEGWGRAPNLPKMREVFDEMTAKGCEPDIVTYGIMVDSLCKAGRVGEALDVLREMEFGGCPPTSFIYSVLIHTYGTEKRLEDAVSTFFEMQRNGVIPDVAVYNALITGFCKANRFDDAFKVLGEMEDKGVTPNSRTCNVILNSLISLGKNDEAYRVFRHMIKLCEPDSDTYTMMIKMFCESDKLEKALKVWKYMALKQFIPSMHTFSVLINGLCEKGEVNKACAFLEEMIEKGIRPPGSTFGKLRQLLLKEGREDVLEFLVDKMNVLIKEPLCD >DRNTG_15217.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23298734:23301759:1 gene:DRNTG_15217 transcript:DRNTG_15217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVGSSCSKLWRLYSSASTSLEAIDPARRVCKILMACPKVGIEQTLDDSGIRVSPELAEQILKTFENAGMLAYRFFDWAGKQRHFSHTVRAYHTMIGSLAKIRQYQIMWDLVNAMRHQGVLNIETFCIIMRKYARAQKVEEAIYTFNIMEKYDVAPNLAAFNSLLSALCKSKNVRKAQEVFDRMGDRFVPDAKTFSILLEGWGRAPNLPKMREVFDEMTAKGCEPDIVTYGIMVDSLCKAGRVGEALDVLREMEFGGCPPTSFIYSVLIHTYGTEKRLEDAVSTFFEMQRNGVIPDVAVYNALITGFCKANRFDDAFKVLGEMEDKGVTPNSRTCNVILNSLISLGKNDEAYRVFRHMIKLCEPDSDTYTMMIKMFCESDKLEKALKVWKYMALKQFIPSMHTFSVLINGLCEKGEVNKACAFLEEMIEKGIRPPGSTFGKLRQLLLKEGREDVLEFLVDKMNVLIKEPLCD >DRNTG_14702.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20883291:20888395:1 gene:DRNTG_14702 transcript:DRNTG_14702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNQEIEWLEAQKINISEDLVAAAKQQLEFLAAVDRRRCLYDGPVLERAIHRYKFCWLPLLAKCTESAAVDKPLVVPLDCEWIWHCHRLNPVQYKKDCAEFYGKMLDNKHAVSSLQAKGKSRDQTMDMWTKLFPEEPFDLNFTSTLSEININKDSEDGKNITYDLVSAVKRQSSFYYQVSRPSMHDDRFLVGAAARYKGFLHLIKRNQERSLKQFCVPTYDVDLMWHSHQLHPLSYCKDMVKLLGKVLEHDDTDSDRGKGKKLDTGFTETTKQWEDSYGLRYWRAGAMYKGSLPSPLPLLPDLSKHESGSKWKSRGHKKCVNLPNVMVVEVLMEIVGIRNLPSTKKGNLFVTFSKKQPDMFLDGVSNLTIFSETGQKQVAGFQCEATGHLVLALVSDSTSNLGRTAKTIGTISISLERLLDSDSKLFVDDWFELRSHSGHNDTKPIGIHVAASFTVPIPAPHVLYKAKSNFLSVNTCFFPLPGKVQQFSHWTRFIHDNGNEIISLKMRNSRKELGKDDWKDKREIIGMTKFSRKPQILAKYAENIWSFKDSGFSIDVEKKISQDGHIFEFKGDEQIKLFLGRKLEYEPKNNNKQNDQEFITVVEFSAEHPYGKALALLSLKSGPLRINEDWFALPAMLLAFILSNKFKKEGYTALVSNGESVDMGADRQVSEIKPLNYSYESELGALVTKTSTAMAVENGGGCGSVCSGNCGGCGGGGCRGMAKGSGCGGCGGGGCAGMTKSSGGGCGGSCKGMAKSSGCGGCGGGCGGGVAFLNACGDARAVGPAMVSYEVTTVGA >DRNTG_17221.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2740386:2742248:1 gene:DRNTG_17221 transcript:DRNTG_17221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLPVFRTPGSRMFRSSSLFCMEVSAIVPIIQKVMMFSDQHPNVMVTIVYELLMGFFYVIGVVIYVVRILERWMPRMSDLAIVMASKALVPKSFDSPAKHVRGSMSCESASFKDSILTNRGTKQWPGGQRGKRKRRMNSRLVGYVKPESVQGGNNVSGGMKEHKGVAARKLEKMVANLTGTLTDISHVCKVFCLYYGPAYASKLQCDTSCDRPYEHKLWS >DRNTG_02845.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19973772:19975172:1 gene:DRNTG_02845 transcript:DRNTG_02845.1 gene_biotype:protein_coding transcript_biotype:protein_coding EDERPSQRRARTRERGWRTTAPMAVPIFFTNTK >DRNTG_14420.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:17980217:17981574:1 gene:DRNTG_14420 transcript:DRNTG_14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQSKKQADKQPREPSPEPESMSFTIPEHLVRFERLSSLRFGQTRIPDISTLREIQLVEAMADKIKGMLVVGRWDCLLSIRDPAIRTLMLEVLASFEFDRSYSSFGSIGTIQFRALGHHHSLSVTQFPILMELYDAAYTETEEYEQLPTDYPGSLTPQHAYTALCG >DRNTG_04127.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30162580:30164979:1 gene:DRNTG_04127 transcript:DRNTG_04127.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPETSSSSPETKTKTRRPEDEQEREREKMVRVSNNVVGCLNLITLLLSIPIVAGGIWLTSRASTDCEKFLEKPVIFLGVFLLVVSLAGLVGAWCRISLLLWLYLVVMFLLIVVVFCFTIFAFVITNKGAGEVVSGRGYKEYRLGDYSNWLQKRVDAEKNWLKIRSCIQESKVCRRMEERNQTLNEFLRDDLSPLQSGCCKPPTACNFIYRSATVWDKPSGFESNISDCNSWQSDPAILCYDCQSCKAGVLANIKHDWKTIAVVNIIFLIILIAVYSVGCCAFRNNREENAYPRWKGHP >DRNTG_23195.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5657901:5659488:1 gene:DRNTG_23195 transcript:DRNTG_23195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPILFLLNLSFLLLLSLFFLSSIHFFPANGCHDFLSTSRCPINNNHAPCVPQGYIDYLYFFCSLSKLLHPYLVYLLLFLWLLVLFYILGTTASLYFCSSLEGLSKLLRLSPTIAGVTLLSLGNGASDVFASIVSFSGSGAEEVGLSSVIGGAFFVSSVVVGVISITMNSKNHHSVFIEKPGFFRNISFLIIASLSLVLIIFIGQINVWSSLCFASVYIVYVFVVSTAHCCKPSKPQEQDLIEPFINNTDEEQQEEEEEEDGAHSSSSSSSSSCDNHKMNKILYILELPLYLPRRLTIPDVSEERWSKPMAIATVFFSPLLIAALYDSEERLTLMTVGALVGIVLGITVVETTESSRPPNKYLLLWLCGEFLMSVIWTYMTSRELVSLLVSVGYILGVNPSMLGVTVLAWGNSAGDLMANVALALNGGEDGVQVAISGCYAGPVFNVLVGLGVSFLFSCIAVYPASFEVPKSSSFA >DRNTG_06388.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21095559:21105936:-1 gene:DRNTG_06388 transcript:DRNTG_06388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAKLSLQSSKFEKELLDTFTAVKKSTDAVVTDGSPATADHRCVDILQELRRIPVTMKDLVNTQLALDKLLKALVKYLSGDEPSESSSTEVDKLRQLENEREALEDANLKLKIMQSEVRKLHKRDKQNMRLILINNKLRDVSYEIQDLEDDLEYMELQQKVEEINLQDETQMNDLVNKMRNIVKQINCIDSEMNTEIKVDELLKQIALNGVYDPWGGQHQVKENQGRVTTSSINERKIYGRDGDIKRLTALLTKPINAVGNNISVVSIRGMGGIGKTALAQCVFNSQEIEDYFDSKVWVCVSDNYDRFRIIKEIIDSLSIDDDNTNLAYDTTSNLDLLETKLRKKIRGKKFLLVLDDVWSIEWQQLLGSLKSAQMELVKVLVTCRDPKVLGSLDGINRIVLEDRVGGMCAFLSHEAFKRIRVLVVFDPNMQEFPDTIANLKYLQYLDLAKTNIKSIPESLCGLYRLRVLKLPLLHTLPSLFHNLINLQEWDLLQTDNKIYLRRKLVYPVKKGGNYMITQLRNMNELRGVLSIEGLENIDNMKETVKAKLKEKHHIEELSLRWTNTVDGCKLEVQEEVLEALQPHPDLNYLFIEGYMGSKSPSWLMTLALRKLREIELNKCRNWASLPSALGLLPSLEKLILVGIENITIEIDDSMTVMFPSLRILVFRKATVSFQGMSSSSSSTTVKRCKLFPCLKALTIDECDGLNGLPWQMLSSLDNLRIYASPGLQSQVPGCLQSLNSLTLLEIKGLKIENTDIVAQQQQGVLLPNLRGIEIKSCGNIAFLLAVLLHVPSLERLSISKCSSVSLAALGQLSFLTYISLEEVEVTVVDITPVFPSLHSFELKKASMIIHNMPSSSVATKNHNCFPCLKSLKIVGCDELNVLQWPMLSALTHLYITNSPWVDDQFPGCLYGLSALTQLELTGLKVKTFPAEVMATLHALKYLRLEECNELISVDGLQALSCLTKLCITKCPQFTTWWFMEQITEQGVFHPNLFAISIDSCENLESLPAWLHCLPFLKSLIIINCPKIHSLPEGGLPSSLELLWIKECDFGLMERCQQEGSPDWLMIQHIRQRTYA >DRNTG_15126.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18296607:18309916:-1 gene:DRNTG_15126 transcript:DRNTG_15126.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 5 [Source:Projected from Arabidopsis thaliana (AT2G42810) UniProtKB/Swiss-Prot;Acc:Q84XU2] MGETAVLDAERAEEIKLLANEAFKANKFSQAIELYGQAIELNGLNAVYWANRAFAHTKLEEYGSAVQDATKAIEIDPKYSKGYYRRGAAYLAMGKFKEALKDFQQVKRISPNDPDATKKLKECEKAVQKLRFEEAIAVHESEKRSIADAIDFRSIDVEPQYIGARIEGETVTLDFLKKMMDDFKNQKCLHKRYAYQIVLQTREMLRAMPSLVDITVSDGDHFTVCGDVHGQYFDLLNIFEINGFPSQENPYLFNGDFVDRGSFSLEVILTLFAFKCMCPSAIYLARGNHESKSMNKIYGFEGEVRSKLSDTFVELFAEVFCCLPLAHVINNKVFVVHGGLFSVDGVKLSQIREIDRFCEPPEEGLMCELLWSDPQPQLGRGPSKRGVGLSFGADVTKRFLQENNLGSTLSSFVF >DRNTG_15126.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18296607:18303032:-1 gene:DRNTG_15126 transcript:DRNTG_15126.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 5 [Source:Projected from Arabidopsis thaliana (AT2G42810) UniProtKB/Swiss-Prot;Acc:Q84XU2] MCASIYCITQVKRISPNDPDATKKLKECEKAVQKLRFEEAIAVHESEKRSIADAIDFRSIDVEPQYIGARIEGETVTLDFLKKMMDDFKNQKCLHKRYAYQIVLQTREMLRAMPSLVDITVSDGDHFTVCGDVHGQYFDLLNIFEINGFPSQENPYLFNGDFVDRGSFSLEVILTLFAFKCMCPSAIYLARGNHESKSMNKIYGFEGEVRSKLSDTFVELFAEVFCCLPLAHVINNKVFVVHGGLFSVDGVKLSQIREIDRFCEPPEEGLMCELLWSDPQPQLGRGPSKRGVGLSFGADVTKRFLQENNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFKAPELKPDIVTFSAVPHPDVKPMAYANNFLRMFS >DRNTG_20838.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5296902:5302075:-1 gene:DRNTG_20838 transcript:DRNTG_20838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSALSLLLSCRLPSVSMPNFSFRFKRSLNANQRLGIWGKCSIRGPLLSKRLNLVCVRAGEKDLVGSATAEEIVTELDEPPSIESDLEERESSVATILANFTNDFDPYHAAGTPLYQTATFKQGCKWGGESPFPVRTRPGGAGNGGKPLPASRSGAGKAFPAPRGFRPAPHGDP >DRNTG_14890.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3296824:3301427:-1 gene:DRNTG_14890 transcript:DRNTG_14890.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 10 [Source:Projected from Arabidopsis thaliana (AT2G17020) UniProtKB/Swiss-Prot;Acc:Q9SDA8] MTMLTELLESTVASRQPPHHVKPSIFPSLQKLCLSVDYITDELVTSISTGLVSLTHLDLQDSPIIEPATEMDLTNAGLPQINAHGKLKHLSLIRSQEFCFTYFRRVNDLGLLLMSDTCASLESICLGGFCRATDTGFRALLHSCSRLRKLRVSHGSQLTDLMFHDISATSLSLTHVSLRWCNLLTNLGITGLSSNTNLSVLDLRDCRKLGDDGLKALSSLSKLHILQLDSTDITDIGLSYLSSGTCPLISLSLRGCKRLTDKCISFIFGGTFGRFLQVLDLSRLPNLSDNGILSLAKSRVPILELRIRECPRIGDTSVMALASMQIEGGSYGSSLKVLDLFESGGITARAIQWFKKPYFPSLRWLGVTGCLNRDMVDALARSRPFLHVACLGEELGPGYRDVSAGWYRHEEDEMDEFEQWLLDGEDGIDDEDMLE >DRNTG_14890.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3293403:3304077:-1 gene:DRNTG_14890 transcript:DRNTG_14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 10 [Source:Projected from Arabidopsis thaliana (AT2G17020) UniProtKB/Swiss-Prot;Acc:Q9SDA8] MAEPEHLCPRDRTLPMSLDLLPSALLATILSRLDLRSLRFAAATCSSFRSAADHVLSFMPSFHFIEIAPTLDVLRPLMPPNPYLRSLKMDCRRLDDSAIRFLARASLHELCLHNCERLSGKLLSEIGSKCRDLRFLSLSSLAERRRLLILFSDLKELLRGCSALESLSLVFDISAFSHPEFAQVWAGASLKLTSLEIGYIPMTMLTELLESTVASRQPPHHVKPSIFPSLQKLCLSVDYITDELVTSISTGLVSLTHLDLQDSPIIEPATEMDLTNAGLPQINAHGKLKHLSLIRSQEFCFTYFRRVNDLGLLLMSDTCASLESICLGGFCRATDTGFRALLHSCSRLRKLRVSHGSQLTDLMFHDISATSLSLTHVSLRWCNLLTNLGITGLSSNTNLSVLDLRDCRKLGDDGLKALSSLSKLHILQLDSTDITDIGLSYLSSGTCPLISLSLRGCKRLTDKCISFIFGGTFGRFLQVLDLSRLPNLSDNGILSLAKSRVPILELRIRECPRIGDTSVMALASMQIEGGSYGSSLKVLDLFESGGITARAIQWFKKPYFPSLRWLGVTGCLNRDMVDALARSRPFLHVACLGEELGPGYRDVSAGWYRHEEDEMDEFEQWLLDGEDGIDDEDMLE >DRNTG_14890.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3293403:3301427:-1 gene:DRNTG_14890 transcript:DRNTG_14890.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 10 [Source:Projected from Arabidopsis thaliana (AT2G17020) UniProtKB/Swiss-Prot;Acc:Q9SDA8] MTMLTELLESTVASRQPPHHVKPSIFPSLQKLCLSVDYITDELVTSISTGLVSLTHLDLQDSPIIEPATEMDLTNAGLPQINAHGKLKHLSLIRSQEFCFTYFRRVNDLGLLLMSDTCASLESICLGGFCRATDTGFRALLHSCSRLRKLRVSHGSQLTDLMFHDISATSLSLTHVSLRWCNLLTNLGITGLSSNTNLSVLDLRDCRKLGDDGLKALSSLSKLHILQLDSTDITDIGLSYLSSGTCPLISLSLRGCKRLTDKCISFIFGGTFGRFLQVLDLSRLPNLSDNGILSLAKSRVPILELRIRECPRIGDTSVMALASMQIEGGSYGSSLKVLDLFESGGITARAIQWFKKPYFPSLRWLGVTGCLNRDMVDALARSRPFLHVACLGEELGPGYRDVSAGWYRHEEDEMDEFEQWLLDGEDGIDDEDMLE >DRNTG_14890.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3302268:3304077:-1 gene:DRNTG_14890 transcript:DRNTG_14890.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 10 [Source:Projected from Arabidopsis thaliana (AT2G17020) UniProtKB/Swiss-Prot;Acc:Q9SDA8] MAEPEHLCPRDRTLPMSLDLLPSALLATILSRLDLRSLRFAAATCSSFRSAADHVLSFMPSFHFIEIAPTLDVLRPLMPPNPYLRSLKMDCRRLDDSAIRFLARASLHELCLHNCERLSGKLLSEIGSKCRDLRFLSLSSLAERRRLLILFSDLKELLRGCSALEVKAYALL >DRNTG_23847.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001280.1:28954:34160:-1 gene:DRNTG_23847 transcript:DRNTG_23847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHMNTASTCPIPLVSPLLDTWGMSFYQPQKDLTEVCGPGLPLTAISLSLPYYIIITILVQGDFMSQTFQATNNSCVEQFHGGYVGEARHSNWTNPHLMTHLRGRCPNSRDAEMVNFDVQGHQRNLLGENAAIPPHPAPIPNFHHPVGLVPAQNVQMQSTGHHTHIPGSPYQLPFHNLYPGLVNPSLDALNSSYRFLPFPSSSELIYRTSWQQLPTAVEVNQRNLRILSPEDAAVAEFSEIYGIEDAIDRHRDMRLDIDNMTYEELLALEERIGDVNTGLTEQSILNNLKTSMHTPQLASSQSDQLSNFTPENETCPICQVEFEENERLGTLDCGHKYHADCVKQWLLVKNICPICKTSALDTDKRDK >DRNTG_23847.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001280.1:28954:31397:-1 gene:DRNTG_23847 transcript:DRNTG_23847.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLIGMSFSSGRCPNSRDAEMVNFDVQGHQRNLLGENAAIPPHPAPIPNFHHPVGLVPAQNVQMQSTGHHTHIPGSPYQLPFHNLYPGLVNPSLDALNSSYRFLPFPSSSELIYRTSWQQLPTAVEVNQRNLRILSPEDAAVAEFSEIYGIEDAIDRHRDMRLDIDNMTYEELLALEERIGDVNTGLTEQSILNNLKTSMHTPQLASSQSDQLSNFTPENETCPICQVEFEENERLGTLDCGHKYHADCVKQWLLVKNICPICKTSALDTDKRDK >DRNTG_17156.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22769749:22770870:1 gene:DRNTG_17156 transcript:DRNTG_17156.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQFDSKTSAGSGMVRTREEKRREGSKQEDAMTQSTIAGTAAGGTRAKLFVSDATASSILDSEKP >DRNTG_17156.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22768935:22769671:1 gene:DRNTG_17156 transcript:DRNTG_17156.5 gene_biotype:protein_coding transcript_biotype:protein_coding HSETHKNEHKPGASMLPQLDECHTPQASHTVI >DRNTG_17156.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22768935:22770574:1 gene:DRNTG_17156 transcript:DRNTG_17156.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSLQIKPRFLTSDSRQNGNRIVPISSQE >DRNTG_17156.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22767622:22770870:1 gene:DRNTG_17156 transcript:DRNTG_17156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFDSKTSAGSGMVRTREEKRREGSKQEDAMTQSTIAGTAAGGTRAKLFVSDATASSILDSEKP >DRNTG_17156.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22768935:22770236:1 gene:DRNTG_17156 transcript:DRNTG_17156.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSLQIKPRFLTSDSRQNGNRIVPISSQE >DRNTG_17156.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22770663:22770870:1 gene:DRNTG_17156 transcript:DRNTG_17156.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTREEKRREGSKQEDAMTQSTIAGTAAGGTRAKLFVSDATASSILDSEKP >DRNTG_17156.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22768935:22769402:1 gene:DRNTG_17156 transcript:DRNTG_17156.6 gene_biotype:protein_coding transcript_biotype:protein_coding HSETHKNEHKPGASMLPQLDECHTPQASHTVI >DRNTG_31559.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:577232:577975:1 gene:DRNTG_31559 transcript:DRNTG_31559.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGIFGRIMKRHMYNPSRVKLLSKHMYPEEVFNDVNPDRAFTLWRFRSMYVEGNDVQKFQYSKVENYKNSTAKEQPKQIISSQGSEMIADPLDCIFGDLESGKEISHSNNVSKRSRRRLRGHKRAHRHYHHHHREANQM >DRNTG_31559.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:575660:577975:1 gene:DRNTG_31559 transcript:DRNTG_31559.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGMWRFNHSLDACVDKILGRDWDHMKLGLAGIIMKRHMYNPSRVKLLSKHMYPEEVFNDVNPDRAFTLWRFRSMYVEGNDVQKFQYSKVENYKNSTAKEQPKQIISSQGSEMIADPLDCIFGDLESGKEISHSNNVSKRSRRRLRGHKRAHRHYHHHHREANQM >DRNTG_26668.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1040270:1042035:-1 gene:DRNTG_26668 transcript:DRNTG_26668.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKVANQLGASPSTMAELVRRSGRQLEEATAEDLLFPSPTHPSSFDIGIVEAILENFLAQQRRQLGHEERERMASAMARVAQVFDSYLQAIAHDPRLSVTKLIELAESLPEIARQDHDELYHAIDTYLKVPKHLQN >DRNTG_34803.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1392095:1396265:-1 gene:DRNTG_34803 transcript:DRNTG_34803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIEDIVAAAGEPPGLRLPVVAGVGLKPRRKTMARRIGDGLNSSPSSQIPGTQSDSEYMAGQLSAFGYAVSDNPDEADLWLINTCTVKSPSQSAMDTLISKCKNVNKPLVVAGCVPQGSRDLKELEGVSVIGVQQIDRVVEVVEETLKGHEVRLLSRKTLPALDLPKVRRNKFIEILPINVGCLGACTYCKTKHARGHLGSYTVNGLVERVRTVITEGVKEIWLSSEDTGAYGRDIGANLPILLKAIVAELPSDRSTMLRIGMTNPPFILEHLEEIAEVLRHPCVYSFLHVPVQSGSDSVLGAMNREYTVTDFRTVVDTLNSLVPGMQFATDIICGFPGETDEDFKQTVDLIKEYQFPQVHISQFYPRPGTPAARMKKVPSTIVKRRSRELTSVFESFSPYQGMEGQIESIWITEIATDGIHLVGHTKGYIQVLVIAPDTMLGTSTKVKITSVGRWSVFGDVLEFPKQTNKDNFLGNPTVETPSYPDSMRPAGMFKRSKLVLMHDADLWWTK >DRNTG_16873.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14570460:14572225:-1 gene:DRNTG_16873 transcript:DRNTG_16873.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLPLSILLLCFFFFFFIQAQFCFSAVVFAVQKHRRQSLLTAETSCDIFTGSWVQDESYPLYYFSSCPVIDPQFNCQLFGRPDSNYLHYRWKPATCELPRFDGIDFLTRMRGKTVMFVGDSLGRNQWESLICMLHAQAEASPTQFIKGDPLSIFNFVEYEVSISFYRAPYLVDIVMFQGRRILQLDEISVNGEAWKDADVLLFNSGHWWTHKGSLQGWDYMGDDGVYYLDMDRLVAFQRGMSTWANWVDLNIDRTRTRVFFQSISPTHYNPTEWNDPVSKNCYGETAPVNGFNYSGVYPVQTRVLEGVVKAMRYPAHILDITSLSELRKDGHPSIYNGDLSPDQRANPDQSADCSHWCLPGLPDTWNQLFYTTLLF >DRNTG_22669.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20429182:20429661:1 gene:DRNTG_22669 transcript:DRNTG_22669.4 gene_biotype:protein_coding transcript_biotype:protein_coding YDESLHSSSSWFPGFARVFGGLSPPD >DRNTG_22669.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20429508:20432047:1 gene:DRNTG_22669 transcript:DRNTG_22669.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKHGFYAGATSFLSSENYYETYSDSQSALIRALCLEGKVGVALRLNDEMRRHNVVLDLFTHNFLMNGLCKMGDLSTASWLLEDMLCVGVTPNSVTYNTLINGFCQSDNIDTALNLVSLMTQNGVTPNVVTCNILVHALCHKGLLDNAKKLLTELVDEQEATDIVTSTILMDGCCRKGDMVQALEHWDGMFRNGVDVDHIAFNVLIKGFCLIHDIKLAYRYLCEMLKKGFFPDHVTFNTLINGLSKERSMDEACDIQNKMALSCIVPDEITYKSIIQGFYLEGDVSKAFQYLNEMLMHKMVPEPRIWNLVINGYGRYGDVDSACLVRDMMEELGVSPNTFTYNGLIYAYVKRGNIYDAILLKQVMLASGIFPDVVTYNLLISGAYNIGSVYFARQLLREMLYRGCQPDIFTYTEFMRVLCRKGNVQEAEGVFYKVQKSGLSVDHVPFHILIKAYCKIGRPMEAFLFYQKMIRKGLMGCYSMYYSLFIALMKKGFCQEAMQVYGHLDRLRPQGCEKTEVLVV >DRNTG_22669.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20429182:20432047:1 gene:DRNTG_22669 transcript:DRNTG_22669.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKHGFYAGATSFLSSENYYETYSDSQSALIRALCLEGKVGVALRLNDEMRRHNVVLDLFTHNFLMNGLCKMGDLSTASWLLEDMLCVGVTPNSVTYNTLINGFCQSDNIDTALNLVSLMTQNGVTPNVVTCNILVHALCHKGLLDNAKKLLTELVDEQEATDIVTSTILMDGCCRKGDMVQALEHWDGMFRNGVDVDHIAFNVLIKGFCLIHDIKLAYRYLCEMLKKGFFPDHVTFNTLINGLSKERSMDEACDIQNKMALSCIVPDEITYKSIIQGFYLEGDVSKAFQYLNEMLMHKMVPEPRIWNLVINGYGRYGDVDSACLVRDMMEELGVSPNTFTYNGLIYAYVKRGNIYDAILLKQVMLASGIFPDVVTYNLLISGAYNIGSVYFARQLLREMLYRGCQPDIFTYTEFMRVLCRKGNVQEAEGVFYKVQKSGLSVDHVPFHILIKAYCKIGRPMEAFLFYQKMIRKGLMGCYSMYYSLFIALMKKGFCQEAMQVYGHLDRLRPQGCEKTEVLVV >DRNTG_22669.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20429182:20432047:1 gene:DRNTG_22669 transcript:DRNTG_22669.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAAGEEFSLVIRLMNFDLKSIPLARSIGDFFSRVFSRAFDRRDRKRKSGIMVESLVLTDEEPFQIEVQEWFSKRNQYHDSGDGGEQHAVFNVLDNVLVCAMERLKKLRESITMAGKHGFYAGATSFLSSENYYETYSDSQSALIRALCLEGKVGVALRLNDEMRRHNVVLDLFTHNFLMNGLCKMGDLSTASWLLEDMLCVGVTPNSVTYNTLINGFCQSDNIDTALNLVSLMTQNGVTPNVVTCNILVHALCHKGLLDNAKKLLTELVDEQEATDIVTSTILMDGCCRKGDMVQALEHWDGMFRNGVDVDHIAFNVLIKGFCLIHDIKLAYRYLCEMLKKGFFPDHVTFNTLINGLSKERSMDEACDIQNKMALSCIVPDEITYKSIIQGFYLEGDVSKAFQYLNEMLMHKMVPEPRIWNLVINGYGRYGDVDSACLVRDMMEELGVSPNTFTYNGLIYAYVKRGNIYDAILLKQVMLASGIFPDVVTYNLLISGAYNIGSVYFARQLLREMLYRGCQPDIFTYTEFMRVLCRKGNVQEAEGVFYKVQKSGLSVDHVPFHILIKAYCKIGRPMEAFLFYQKMIRKGLMGCYSMYYSLFIALMKKGFCQEAMQVYGHLDRLRPQGCEKTEVLVV >DRNTG_15573.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:522828:525353:-1 gene:DRNTG_15573 transcript:DRNTG_15573.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EML3 [Source:Projected from Arabidopsis thaliana (AT5G13020) UniProtKB/TrEMBL;Acc:A0A178UIH0] MEYDPIDSSGTDDDLPPSHQNRLARGVRVSGNGRAVGAVAYPRVQNDMETQIHRLEQEAYSSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLTRVNADDIIRRIRLWRQSGGGLQSGLLNNAQPVHESIPSPTVSASTQETKKFPVNSFTIYGCTIPCIAFATSCCFHAAIII >DRNTG_11246.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21855877:21857006:-1 gene:DRNTG_11246 transcript:DRNTG_11246.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMEETRSMSSSNDGALLPIIKGKRTKRHRGTPVAAPAGSSASSSEFSGSTTEEEEDMANCLILLAQGGRAEVKPEKFTSRKFTDGKAGFCVYECKTCNKCFPSFQALGGHRASHKKPKLIASITATTTTSPVVITADEKKPVKEEETSMNNSFFKPVMPALRSRIHECSICGSEFSSGQALGGHMRRHRPLDTPEQVVVPEVKRERTLLSLDLNLPAPSEDSPAINFPFASKQSIAFSLSAALVDCHY >DRNTG_21127.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2536219:2542638:-1 gene:DRNTG_21127 transcript:DRNTG_21127.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEELNANFPKKLSPCDVEALKKCLEENKGDQLKCQSHIEAFKSSCSLKKPSSSQSLHST >DRNTG_21127.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2536189:2542638:-1 gene:DRNTG_21127 transcript:DRNTG_21127.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELNANFPKKLSPCDVEALKKCLEENKGDQLKCQSHIEAFKSSCSLKKPSSSQSLHST >DRNTG_21127.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2536103:2542638:-1 gene:DRNTG_21127 transcript:DRNTG_21127.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELNANFPKKLSPCDVEALKKCLEENKGDQLKCQSHIEAFKSSCSLKKPSSSQSLHST >DRNTG_12726.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000565.1:17248:17923:1 gene:DRNTG_12726 transcript:DRNTG_12726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVFGILLLAKKWISKRNQQEEQEGISSSSSFSYDVTSFHKLSFDQHEIVEALIDKNIVGHGGSGTVYKIELSNGEWVAVKKLWTRKAKDGSSDRLSFDRELRSEVETLGSIRHKNIVKLYCCFSSLDSNLLVYEYMPNGNLWDALHNEWNFLDWPTRH >DRNTG_05099.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1985059:1989762:1 gene:DRNTG_05099 transcript:DRNTG_05099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKASSQNHANLKQQMPNQPAQFPSAFDQVQSAHKEAYTAYLQAHTLYLQAQSTYWQAQYLGTLQSSSSSSSQLPPSPPLPPLPPSMSMTPQLSGFGLTSSSNQGPPQQMLNSKPLLSSQLSSPLCSMSMPTQTSASNQVPFSFPLQQKLQHNARNLTENGGKLGLNRMAATTLRPMSTVQPQFSGLMTSASNQDQQMLNLQAQFVDLQAQFKQLSSQPPPAPPPPMSSVSTQTLSSNQVPCAIQ >DRNTG_11828.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:253742:257881:1 gene:DRNTG_11828 transcript:DRNTG_11828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGASSSAASSSSSSSGLPPPPPPPKILLAKPPSAPRLARDDDSSAAASRSRNPYPSSLNFLSADSWDLLPDRVLPFLTENTDFTVVGVIGPPGVGKSTILNELYGFETSSPGMPLPFVVQSDESKAMARHCTMGMELRVSAERLILIDSQPVFSPSVLLDMMKPDGLSTIPVLNGESLPADLAHELIGIQLGVFLASVCNVLLVVSEGIHDYSMWKLMLTVDLLKHGLPDPSLLTPGHFQGCSSGLDKENKADVQGGTEDFLAALVFIHTKLRVEDLSPPNISLLRNALTQYFKSSTFIMSDNGSSSERQTDSSGPHVAKFGKDFRGPDLFFLPLKLREDSQKIQFESYSSMLGKLRDQLLSMHGRPFAKNIAERDWLRSSAKIWDLVKKSPAIADYSKILQKSGLFRK >DRNTG_11828.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:253742:257881:1 gene:DRNTG_11828 transcript:DRNTG_11828.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANGASSSAASSSSSSSGLPPPPPPPKILLAKPPSAPRLARDDDSSAAASRSRNPYPSSLNFLSADSWDLLPDRVLPFLTENTDFTVVGVIGPPGVGKSTILNELYGFETSSPGMPLPFVVQSDESKAMARHCTMGMELRVSAERLILIDSQPVFSPSVLLDMMKPDGLSTIPVLNGESLPADLAHELIGIQELF >DRNTG_02308.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:730890:734680:1 gene:DRNTG_02308 transcript:DRNTG_02308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGRRGGGGVEMYYGGCNSGYSGGIGSPSSPVSSDGMGAGQVENVVRMEAGGKGGRKRAADGAVEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLKEENARLREEERQVMELRKQLLIQTMADQSRSTAHKPVPTLRQCKSCYW >DRNTG_02308.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:732739:734680:1 gene:DRNTG_02308 transcript:DRNTG_02308.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGRRGGGGVEMYYGGCNSGYSGGIGSPSSPVSSDGMGAGQVENVVRMEAGGKGGRKRAADGAVEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLKEENARLREEERQVMELRKQLLIQTMADQSRSTAHKPVPTLRQCKSCYW >DRNTG_22309.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001238.1:46964:47621:1 gene:DRNTG_22309 transcript:DRNTG_22309.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPEKLLPRISSRMGIQRLCFGPYNYQQLQEIILTRLEGIDAFEEQAIEFASRKVVISTFY >DRNTG_22309.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001238.1:40448:47621:1 gene:DRNTG_22309 transcript:DRNTG_22309.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPSKSRVKPSPPAPQTPASGPRRSLRLSSTPRTPVHCYDPSSPRKSAKKSKRSLLKTPAFCPITPNLPESKRRRRSGPDSNPSKKKIYFKRVVFDGVGFEIGDAVYVKRREDAESDGDEPEVEECRICFEVGNFVMVECDDCLGGFHLGCLKPPLKKVPEGDWICGYCEARKMGKVVELPKPPAWKKIKRTAKEKLLSSDLWAARIESLWKEPDGTYWLRCRWYMIPEETAAGRQPHNLRRELYRTNDTANIEMDSVIRHCFVMNPKDFFEANDEGDDVFYCEYEYDVHWHSFKRISEIDNSEECDEGAGNDDEWKLSKDSDSQTDEDSEKEEDTAQGTSYRKYQTHQLAANSRRGRIFGLQRIGAKRIPEHVRCHKQTELERAKAMLVLAILPKSLPCRTKEMEEITAFVKGAICDDQCLGRCLYIHGVPGTGKTMSVLSVMRNLSSEVEAGTMRPYSFIEINGLKLASPENIYKVVYEALSGHRVGWKKALHHLNERFSEGIKVGRQENQPCVLLLDELDLLLTRNQSVLYNVFDWPTRPHSKLVIIGIANTMNLPEKLLPRISSRMGIQRLCFGPYNYQQLQEIILTRLEGIDAFEEQAIEFASRKVVISTFY >DRNTG_22309.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001238.1:40448:55695:1 gene:DRNTG_22309 transcript:DRNTG_22309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPSKSRVKPSPPAPQTPASGPRRSLRLSSTPRTPVHCYDPSSPRKSAKKSKRSLLKTPAFCPITPNLPESKRRRRSGPDSNPSKKKIYFKRVVFDGVGFEIGDAVYVKRREDAESDGDEPEVEECRICFEVGNFVMVECDDCLGGFHLGCLKPPLKKVPEGDWICGYCEARKMGKVVELPKPPAWKKIKRTAKEKLLSSDLWAARIESLWKEPDGTYWLRCRWYMIPEETAAGRQPHNLRRELYRTNDTANIEMDSVIRHCFVMNPKDFFEANDEGDDVFYCEYEYDVHWHSFKRISEIDNSEECDEGAGNDDEWKLSKDSDSQTDEDSEKEEDTAQGTSYRKYQTHQLAANSRRGRIFGLQRIGAKRIPEHVRCHKQTELERAKAMLVLAILPKSLPCRTKEMEEITAFVKGAICDDQCLGRCLYIHGVPGTGKTMSVLSVMRNLSSEVEAGTMRPYSFIEINGLKLASPENIYKVVYEALSGHRVGWKKALHHLNERFSEGIKVGRQENQPCVLLLDELDLLLTRNQSVLYNVFDWPTRPHSKLVIIGIANTMNLPEKLLPRISSRMGIQRLCFGPYNYQQLQEIILTRLEGIDAFEEQAIEFASRKVAAMSGDARRALEICRRAADLADYRQKQLSSCTKVTSPDSSAGKCLVGMADVESAIQEVFQAPHIRIMKTSSRLSKILLVSMVHELYKSGLSEITFDKLAATVSSLCSSNREDIPGWDTLLKVGCKLGECRIILCEEGTKHKLQKLQLNFPSDDVAFALKDCPEVPWLSKYL >DRNTG_28574.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22979832:22986201:-1 gene:DRNTG_28574 transcript:DRNTG_28574.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLPLYKDPAQPVETRVQDLLSRMSLREKAAQMAQIERSVATPHSLNRLAVGSVLSAGGSAPREQASAADWADMIDEMQSWAVSSRLGIPIIYGSDAVHGHNNLFGATIFPHNVALGATRDSELARKIGVATAVEVRASGIHYTFAPCVAVCRDPRWGRCYECYSEDPEIVRKMTSIVSGLQGTPPEDHPVGYPFVAGRNSVIACAKHFVGDGGTDKGINEGNAICTFDELERIHISPYLNCLSQGVSTVMVSYSKWNGSPLHANRFLVNDILKGKLGFKGFVISDCQGIDRLSKPHGSNYRYCISASINAGIDMIMVPFRYGQFVEDLIFLVETGEIPMSRINDAVERILRVKFVAGLFEHPFSDRSLLHTVGCKEHRELAREAVRKSLVLLKNGKDSNRPFLPLDKKARKILVAGTHADDIGYQCGGWTITWHGNSGKITTGTTILEAIKETVGENTEVIYEERPSATTFVDQDFTFGIVVVGEVAYAEFLGDRSNLDIPLNGGSTISLVASRVPTLVILISGRPLVLEPYLLDEIDALVAAWLPGTEASGITDVLFGDHEFEGLLPVTWFRTVAQLPLNAGNNLYDPLFPLGFGLKMGLDLQTED >DRNTG_23951.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001283.1:18050:20483:1 gene:DRNTG_23951 transcript:DRNTG_23951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRLPLEATWACVWPCGSGARAWIFSARPWEIVLSQVICGQGQYEPGVSKAKCLSRPAYRYLHAIMSRSVNGCGDSIGVLNRQELLYLYSMVERVLIHLGHILADYIRHQGQYARLGAIFSGPYITRLVLGMGLLDWIRGAEKTSVPTPLGLETMRLMGMVRRVRTGVFALVLPAPEIAEDEGDEAGVSQPVPEPQPASMETEAPPTAEEPPQCEWSEPRPPQFLQHPPSLTPAPEDPLYASTSAAAAEPESDSDT >DRNTG_11801.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:425636:431360:-1 gene:DRNTG_11801 transcript:DRNTG_11801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGDCSFLYMRISNVYIVIVVSSNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQGGVRSPFSSKPSEKPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKESQLKSRPSKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRLEVNVKVKSVFSGKMFALGVVIKVPVPKQTAKTSFQVTSGRAKYNAATDCIIWKIRKFPGQTESTMSAEVELITSMAEKKPWTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYSTVEWVRYITKAGSYEIRC >DRNTG_11801.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:425636:431360:-1 gene:DRNTG_11801 transcript:DRNTG_11801.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAFRMHIMQTKELGTCPVRQIGDCSFLYMRISNVYIVIVVSSNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQGGVRSPFSSKPSEKPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKESQLKSRPSKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRLEVNVKVKSVFSGKMFALGVVIKVPVPKQTAKTSFQVTSGRAKYNAATDCIIWKIRKFPGQTESTMSAEVELITSMAEKKPWTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYSTVEWVRYITKAGSYEIRC >DRNTG_05352.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:15852:21445:1 gene:DRNTG_05352 transcript:DRNTG_05352.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57680) UniProtKB/Swiss-Prot;Acc:F4J3G5] MEALKALSPAILHRHPSRRRMAGPLPFRPPVRFLIRSERISLAEEGAHGGDRAWARALGRGAIGVAAAAAAVISVFGSDSSALAESLTVAFPVSRAREVNAVQRTLVETWGLIRESFIDPTFNHQDWDLKLQQTMVEMFPLKSADAAYSKISGMLATLGDPFTRIISPKEYQNFRIGSDGNLQGVGLFINAEPSSGHLVVLSCVEGSPAARAGIREGDELIEIDGESLVGVDGETAAQKLRGRAGTTVTVKLYCGAQHGTDSGVREVKLPREVIKLSPIFSTIISHKLGDGHEVKTGYVRLSAFSQTAAIEMEKAVQDMEEQGVQSYILDLRNNPGGLVKAGLEVARIWLDGNETLVNTIDREGNMSPINMSNGHAITHDPLVVLVNEGSASASEILAGALHDNGRAILIGHKTFGKGKIQSVTELHDGSALFITVAKYVSPALHDIDQVGITPDVQCTPEMLTSPKSSASRDSSSASSLEADSCILVAEHELEIQESKGTAS >DRNTG_35068.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19660102:19662111:-1 gene:DRNTG_35068 transcript:DRNTG_35068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMEESSGEIPNNGLNQDGAHFPGAVKKLAYGFDGFGNYFSKDWDLYKKDESSTGREFYWYHVELPKGNQKLALSAQHLITALSPPLKLQEILTLTSNGPFCGYVDGALFFRVNSSGPVGSGFTLKIAAKVTENSIISVSLGRVPRLGFAPTRESLLSEIPSVASPGLCGNEGKTVSGGTVIQEHVLEFLLTMNHSKEADNPVPKTVSNLLVHIIDTHVDHIQDIVTKLEMELDEVELELDKGSSMLKKQMLDDRRFPKMHLNLQRLLQVVSHGEQVFPRVKEKCAAKTWFANEDIFCLEELIGRLRRLKENLGFIVNRVTAVQTGLDSWQAEQINRKLYYLSFLSIIFLPLSVVTGVFGMNVGGVPWTGQRDPDVIDGFRNVMMLCAVILALLLFCFGFPSFYARASAWRTQRVLKRSRSLDRRSFLRRNLLGGERRGGDYLPI >DRNTG_03161.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000141.1:43627:48833:-1 gene:DRNTG_03161 transcript:DRNTG_03161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYDDAFTHIKEGVQGHVPFSTCIPLLARLFEQVGEWMGCQHKGPQPTGATVLVFYGTELADPSWPHTSRVPEASRVVCQDQSYFLRPLHHQAHHGYESRGHHSGAEKIIVPSSLGLEMMRLMGMIRRYRDGVYVLNIPPLEIA >DRNTG_32451.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21386803:21389070:1 gene:DRNTG_32451 transcript:DRNTG_32451.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDIQDLLMKSTLDSIFKVGFGVELGTLSGSNKEGRNFAKAFDDASKTILKRFFDVSWKIKRFLNVGEEAFMKKNIKYIDDFVYMLIKKKMEQSANHKDDVMIKEDILSRFLMERKKDPSTMSDQYLRDIILNFVIAGRDTTAGTLSWFFYMLCKHPDVQEKVAQEIRETTNTKDKMPFSKFFLALTEETLNNMQYLHAALSETLRLYPAVPLDVKECSSDDTLPDGFAVKKGDFVNYQPYAMGRMKFLWGDDAEDFRPERWFNDEGVFQPQNPSKFTAFQAGPRICLGKDFAYRQMKIFAVSLLCFFRFKLWDENKSVNYRTMLTLQIDGGLQLCAMHR >DRNTG_32451.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21386803:21389070:1 gene:DRNTG_32451 transcript:DRNTG_32451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFAEPQSISVAILIALLLLPTLYAFKLILRPSFAKKWGRRNYPPVAGTIFHQFMNLNRLQDFQTDISHKYKTFRMLTPSCNFVYTVDPVNVEYILKTNFANYGKGKAFHDVTKDLLGDGIFAVDGEKWRQQRKIASYEFSARVLRDYSSAVFRDTAAKLVEIILVAVNSEQMIDIQDLLMKSTLDSIFKVGFGVELGTLSGSNKEGRNFAKAFDDASKTILKRFFDVSWKIKRFLNVGEEAFMKKNIKYIDDFVYMLIKKKMEQSANHKDDVMIKEDILSRFLMERKKDPSTMSDQYLRDIILNFVIAGRDTTAGTLSWFFYMLCKHPDVQEKVAQEIRETTNTKDKMPFSKFFLALTEETLNNMQYLHAALSETLRLYPAVPLDVKECSSDDTLPDGFAVKKGDFVNYQPYAMGRMKFLWGDDAEDFRPERWFNDEGVFQPQNPSKFTAFQAGPRICLGKDFAYRQMKIFAVSLLCFFRFKLWDENKSVNYRTMLTLQIDGGLQLCAMHR >DRNTG_02312.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:709556:710954:-1 gene:DRNTG_02312 transcript:DRNTG_02312.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTVEPLIVGRVIGEVLDCFNPSVKLNVIYNANKQVCNGHEFYPSAVVAKPRVEVQGGDMRSFFTLIMTDPDVPGPSDPYLREHLHWIVSNIPGTTDASFGKEVVSYENPRPNIGIHRYVFVLFKQMKRQTLITPESRDNFNTRRFAEENELGLPVAAVYFNAQRETAARRR >DRNTG_20118.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22601146:22609080:1 gene:DRNTG_20118 transcript:DRNTG_20118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEVRLDSAVFQLTPTRTRCDLVIISNGKTEKVASGLLNPFLAHLKAAQDQIVKGGYSITLEPDPNKDAEWFTKGTIERFVRFVSTPEVLERVNTIESEIVQIEEAITVQGNESVGAAAVENHQAKPTDSIEGSRSANNVADAEKAIVLFKPGSHAPDSNGSIAQEENSKVHLLRVLETRKTVLQKEQGMAFARAVAAGFDMDHMLQLISFAECFGATRMMEACIRFMDLWKVKHESGQWLEIEAAEALSSRSEFSSLNVSGIILSGDINKQREFGEGWPISNGDLGAESNGKDGLNASEVNSGQNKDRRSPDDHHTPSGPHDYYQGQFQHPLFPQWPIHSAPGAPMFQPYPVQSMPYYQNYPGGAPFFQPPYPPMDDPRYTTSHRMGLKRSSMDSKDSTTEPEAWEVGASGARSKDFSDRNLSEDEKEMYHARGSRKRSGQSGKKKGSGKTATRTSKRYDTSESESESASDSETEEDSEHDKSPRRKHKHSSRSKKKNSQTKSVDVSDIQDKDEVAAGQGADSGSWQVFQSFLMRDEEKTSNVDRGMFSGEQEPPMRRKESKVSDDPFLPPERDADNVQDLKVVDGITSRLKQISSTDELLLSTGRGYTQNNPDPQFEEIEGGRGRRTSAHDEFMVYGPEKQLTNKSFSDPLAETEFEHAVHVEKQKQATYNATDESFIVPFRSDIPEELLVDNRPPLEMDSELPYVIQGSEDSSSKPKDQFYYEPHDLSLMPEREMENESVGYDPAIDYDMEIHIEDAAKVNTSNHEDDQSSTKEEPMKSNKEKKPRAGQDVTDKRKMDLMRKGRLLKPNPLADAQARAEKLRSYKADLQKLKKEKEEEAIKRLEALKLERQRRIAARSGSVPSQSTSTPQQTKARPTAKVSPGSYKGSKFNDIEASSSPMAKFPGRTTSLGSNDSHITTTKTSKPNLGTPVVGNELSRSVSSLPDLNKESDGLGLEVKAASRVAKRLSDPKFSNNSHASPKKSVSSDRTPKKTASDEPNKKMPAIMHSDKTKSATLPELKIKTSKSPSESVQKVSANKEAGQKVTGTKNSLTSQTNGAKVTNGKTSKLSNGDECPIVDKAIMMLENESSPTPAILPSEETVCTKDESDGIGTVELASEFATIHTSSVLNGEIDPGNHKVMHDNAEDESKKSLEVDVTDKTYQPPYARATSLENPAIGNLLDETVPVVDQQMMSVGFEITKAHVPADILNSTPEDQIHDIFEKPRSKEPSKGLRKLFKFGKKNHTSASGEHNLESDCSSVDDCTVLSAVAADVNGSKNHISQDDAFVGGSSSTLKVSRPFSILSPFRTKTSEKKVVA >DRNTG_13841.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16895067:16897882:-1 gene:DRNTG_13841 transcript:DRNTG_13841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAQSPKPHHIVAMPYPGRGHINPLLNLAGLLSTHGLLVTVVLTEEWLSLLSPPPSAIQFRSIPNVIPSEHGRANDFNGFLDAVNTKLEKPFERLLEDLDPPPAAILADTYLSWMVAVGQRRRLPVYSLWTMPAALFSLFYEFEDIFGGDCAAVTEEIDKKLEQHVKGLNLGDVSSVIRSTTPKKWTLESFFWARGAKGIIFTSVYELEPHIIDSLKSKLSCTVYTVGPCIPYLTLKDKPGNSDYMQWLDSQPINSVLYLSLGSFLSVSVAQMDEIVTGLHESGIRFLVVARGDTSRVQAKMGSTGLVVQWCDQLKVLCHPSIGGFFTHCGWNSTIECVFAGKPMLAFPLFWDQPLNCKLIANVWKVGLNVKEEIEDGNLVGCKAIAKVAKKLMDVEGIECKEMRKKAVELSEAVHRAVEEGGSSYRGISAFVEDVSGTV >DRNTG_32556.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20037833:20038198:-1 gene:DRNTG_32556 transcript:DRNTG_32556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYGTIPTSSAPESGHTMDFISRAKERGYSALATRRPWREMVHRHAFNLPPSLGEAYLRIRTNIAYFSMNYAIIVLVVVFVSLLWHPISLIVFLLTMAAWLFLYFLR >DRNTG_00086.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21180050:21182548:-1 gene:DRNTG_00086 transcript:DRNTG_00086.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKKVVDIGGYMEDPKPCQSEDAMALLAELDTYGAHNVRPPLSENEKRKLAETTIKAYSTVRRGVRQLMRKYTVKACGYCTEVHVGPWGHNAKLCGAFKHQWRDGKHGWQDATLDEVIPPNYVWHVQDPKGPPLTSKLKKFYGKAPAVVELCVQAGAQIPDAYRPMMRIDIVVPDSEEAKLVA >DRNTG_00086.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21180050:21182548:-1 gene:DRNTG_00086 transcript:DRNTG_00086.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKKVVDIGGYMEDPKPCQSEDAMALLAELDTYGAHNVRPPLSENEKRKLAETTIKAYSTVRRGVRQLMRKYTVKACGYCTEVHVGPWGHNAKLCGAFKHQWRDGKHGWQDATLDEVIPPNYVWHVQDPKGPPLTSKLKKFYGKAPAVVELCVQAGAQIPDAYRPMMRIDIVVPDSEEAKLVA >DRNTG_00086.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21180050:21182548:-1 gene:DRNTG_00086 transcript:DRNTG_00086.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKKVVDIGGYMEDPKPCQSEDAMALLAELDTYGAHNVRPPLSENEKRKLAETTIKAYSTVRRGVRQLMRKYTVKACGYCTEVHVGPWGHNAKLCGAFKHQWRDGKHGWQDATLDEVIPPNYVWHVQDPKGPPLTSKLKKFYGKAPAVVELCVQAGAQIPDAYRPMMRIDIVVPDSEEAKLVA >DRNTG_23568.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18249828:18250485:1 gene:DRNTG_23568 transcript:DRNTG_23568.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERERERERGSVTSLAASDLDLDAFSGGFHSKNQGIGETSFD >DRNTG_23568.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18247862:18250485:1 gene:DRNTG_23568 transcript:DRNTG_23568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERERERGSVTSLAASDLDLDAFSGGFHSKNQGIGETSFD >DRNTG_13994.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27773093:27775375:1 gene:DRNTG_13994 transcript:DRNTG_13994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVLSWSPATHATLPTSFSSIYGRYRMASSLPLHPPASKIFVRNLSYCTSEATLVKTFSKFGQIVEVKLARHEDTKRSKGYAFIQYCYQDDAVLAIEQMDQQILDGRVIYAEIAKPLHGGFSAYPKPSGPPPKQASEANGTNV >DRNTG_18145.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:588164:590606:-1 gene:DRNTG_18145 transcript:DRNTG_18145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEIQLHTPTPPPPPPPQQQSPPPSTSPATKPKTKTLTLLPLVFIIYFQVSGGPYGAEDTVHAAGPLLSLVGFLLLPFLCCLPEALITSELTSAFSSSNSGFVLWAFQAFNSPFTASFTGFLKLLSSTINSATFPNLCSDYLSSTFPSLASGVHRSLFITILILLLSFINFTGLDVVGYFAIAIGIISLLPFVLMTFIATPAVVPARWTAVRKKIDWGLYLNTLFWNLNYWDNASTLAGEVQNPQRTFPAAMLFAGVLTCVSYFVPLLAVTGALSVSDDRWSDGFFAEAAGMIGGKWLKIWTQAGAVLSAIGLYEAQLSSNTFQLLGMAELGLLPKALSKRSKWFNTPWLSILISTLITLSISFLSFSHIIASANFLYNLAMLIEFAAFIRLRIKFPELKRPYKVPMGTAGVIGMCAVPSVILIFLMVVSGWRVWLLSAGLMFMAVGLYFCMVFCRSKECFKFSTDTSLEVNSVEGRKSQPC >DRNTG_17482.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6782752:6784180:-1 gene:DRNTG_17482 transcript:DRNTG_17482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSATVQSYMAIFRPQVGAKNHLATLSSLHLLPCQRVAGATLVRCQAEPEKPAVETETPTPQTVLKTATPTATSPTPKPTAKVSTKFSDVLAFSGPAPERINGRLAMIGFVSALAVEAARGQDLVEQLMNGGLPWFLGTAVLFSVASLVPLFKGVTVQSRSGDLMSSDAELWNGRLAMLGLVALAFTEYLKGGPLV >DRNTG_12067.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15629390:15629784:-1 gene:DRNTG_12067 transcript:DRNTG_12067.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTYGVPVPRSMGSCGEGSPVAPHLQRWGAGGSILI >DRNTG_30389.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18321668:18324924:-1 gene:DRNTG_30389 transcript:DRNTG_30389.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECYAWPYKYVVFYFHGMGNNKAYRVSLKGNDGMKSTAEALGKRKEFREIGLSKSSKDLMGKAYTRKDARKDHPEELTPVVIHGGVQHDESGNERPACMLHNEVGGCQYPMFYFHPMRNNKVYKVALNVNDGIKVEVVAMCI >DRNTG_18082.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:277484:278754:-1 gene:DRNTG_18082 transcript:DRNTG_18082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGAVLRQSTVVTGEGWLSGRRGMVRKGIVSVPGRRFVDDGHLRYYVSCGGKKKDEKKKRAKLVKGLEKDLSALYSIGFGVEHEHGLTGEIQNKMFSEAAEVLLKQLKQLRVEDEEMKRKRKEEKAVKKAAKKMMMMKEKEDDDSESSCSSGSDCCSATMELKNLETLMPRNESNNSISNLVIEEKQAGLMNKIEVCMGGKCKRSGAMQLLEEIDKKVGVEGAVVGCKCMGKCRDGPNVRVLKKDGGEEEILKQGRNPLYLGVGLEDVGAIVSNFFVEKKDLALSSA >DRNTG_05102.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2036790:2037478:-1 gene:DRNTG_05102 transcript:DRNTG_05102.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYAAMAEIIHADGLPHHPGSHVQRLPPRLFDVCLGRARAAAGATVIAAATADDAAHCTVTRHYRVHQKGGETSTNSIASIFARSQGLAHMANLDENARLLDFTEKLEAACVESKKMTKDPDLLIYGSKVTRDQYLNT >DRNTG_01678.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23823260:23824583:-1 gene:DRNTG_01678 transcript:DRNTG_01678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKDSPLSPFYQPSVMSEDRFRAMIGRSISRARYISSIISGTSYSDDDSDHGYSGGGGGGVYTSIASSPSSSGEPSAAPSSAPGPSSNGQSKVIPNDGDYLMELGIGTPPVKIVAIADTGSDLVWIQCKPCDSCYNQTDPIFDSTKSSTFDNSVSCNDTICLAILPSSSCGDNLLCEYMYGYGGGSITFGNLARETFTFSSGSNNASSSIPGIGFGCSHSSEGQFTPNVDGLIGLGGGAASLVRQLDSSIHGKFSYCLVPYAENTTSILNMGDKAVVNGPGVVTIPMTSGNYTFYMISLNSITIGNDTIPYTPDDTTNIIVDSGTTITYIPDFALTKVIDAVSKTINLNKTKDPQNYLSLCYSHSVKDPPYPFPNITFNFDNQLGHDQVSVVLTATQTFIQSTDDVICLAMDYSGKSDNIAIFGNVAQQNMHVGYDLHANV >DRNTG_30980.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18717538:18719215:1 gene:DRNTG_30980 transcript:DRNTG_30980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRLRYNDRHIISTFAPVSSSDYFSSHGLSPGQESLASGSSSSDISRKLRLLGAVSFFSLSENRASSTRPGLLSVGLEKSERAVQCDQTNRKAIVFHKADKISNDAQHYVRWLMDKYKGCNKLKLPIQRLCKIINLKPPLDKEIVEILEFIVDQEGVGFIESLLKILLSIGKSPADLGRVGSLKNLIKHDVSLVFIVNTLMSELDEHFQLKVNAWDKNG >DRNTG_33112.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32804302:32807043:1 gene:DRNTG_33112 transcript:DRNTG_33112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKISAVIFDLDGTLLDTERATRGIMAEFLARYGKEPDAEKEEKRLGKMHKESAAGIVVDYDLPFTADEYSAAIMPMYQQRWPQAKALPGVSRLVNHLHRHGVPLALASNSMKQHIELKISHQHGWKECFSVILGGNEVKNGKPAPDIFLEASKRLGVDISECLVIEDSLVGVRAAKASGAKVVAVPSLQCQEEYQKLANCVLNSLIEFRPELWGLPAFEDWVQSALPIDPLYMSGMISKAFQQDGFTVINTITGSDSYLSIPDQVLGVFFGWEKIETIGTFKVVMSIGWDLSSSNAVRAIKQHLIGHINNYSSKEKFHFLIVGFIRKLHNEDNLSDVLKITEEDSRIAQEALDLPMFSKFKSCKLIQEEDSREETCVGD >DRNTG_07939.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1164977:1166773:1 gene:DRNTG_07939 transcript:DRNTG_07939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNGSNGVDDHKANKGSGLASVLALGTANPPDVFYQDAFPDFYFRITNNEHRVELKEKFKRICEKSMIKKRHFFLTEEILKQKPNLCSYMEENSLDTRQEIVVEEVPKLGAKAAVKALEEWGRPLSEITHLIFCSSSGVDMPGADFRLIKLLGLPLSTKRVMLYCLGCYAGGTVIRIAKDLAENNQNARVLVVCSEMTVSRFRGTDDVHIDSLIANAIFGDGSAAMVVGANPIPGVETPFFEVVSTDQFIIPDSEKALHCHLREVGMTFHLLNDVPITISKDMEKSLLKVFEPLGIPISDWNSLFWITHTGGRAILDRIQEKLGLNPEKLKLTRHVMSEYGNMASCCVFFVMDEMRKRSMAEELPTAGEGLEWGVLHGFGPGLTVETIVLRAPPLKANGLVSDENH >DRNTG_16952.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:194043:196755:1 gene:DRNTG_16952 transcript:DRNTG_16952.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTMEEIADDVAIAAVDKINDSVVNKIMNPMERAADSTTSKMDTIPEEQELANIVSPIDVVVVATVEKVVDSIVNEIIVTVEPTADSAASKADTIAQQQEACKDMSPLDAAVMPASKEDDAGAKHRQPSTTVSHDDPKTAVDEGQGNAAEMATREKINANQKLEKVWKVFIQKKKKYVGQSCLNKNEQELIRIFLNCPMDNTVVWKNDYVSTIRSKLFDLLEGKEMVADDVMNAFSATAKYLLVYDTKTPRQKQGSVHCAVYVMRFIEQLLVDEKLQLPQTDVPYLRLKYVSRIPKEGRAADITAKGECSKMGS >DRNTG_03821.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28015270:28016302:1 gene:DRNTG_03821 transcript:DRNTG_03821.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSLLIFKDQAYSDYLHGIQDTKLHRLDKVVNVSECMKPQKQEPSLSLEAESNHAIDETGFKRTDTRVSLTCRLVLKVHKNLFKF >DRNTG_03821.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28013806:28016302:1 gene:DRNTG_03821 transcript:DRNTG_03821.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEFADDKPSDQQELKIKDYVVGDLPTLIYIPNFIADSEQSQLLHHIYEVPTSKWKNLKNRRLQNWGGVVHEKGLLPQELPAWLKNVTGRIRQCTGLFPSELNHVLINEYLPDQGIMPHQDGPAYFPVVAILSLKSPVVIDFTPHPRLRECASKESSGEELTIQSKAEEAECDERHDGLLNTPKDSISPCSLLLMPCSLLIFKDQAYSDYLHGIQDTKLHRLDKVVNVSECMKPQKQEPSLSLEAESNHAIDETGFKRTDTRVSLTCRLVLKVHKNLFKF >DRNTG_34028.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002076.1:13229:18421:1 gene:DRNTG_34028 transcript:DRNTG_34028.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADKSTGDIASDQYHKYKEDVKLMSDLGLEVYKFSISWSRLIPNGRGEINPKGLEYYNNLINELLGKGIQPHVTLYHLDLPQALEDEYNGWLSPRIMDDFTAYADVCFREFGDRVTHWTTMAEVNIMSLGSYDNGDFPPSRCSYPFGVTNCTAGNSSTEPYIATHNALLTHASIFHLYKTKYQAFQRGWIGMNVYTLWYTPYSDSKADIQATKRVRDFMLGW >DRNTG_34028.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002076.1:12797:20974:1 gene:DRNTG_34028 transcript:DRNTG_34028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLLSLFLISLHLWLCSAQCSPPNYTRNDFPSDFAFGAGTSAYQVEGAAAEDGRSPSIWDTHTHAGKMADKSTGDIASDQYHKYKEDVKLMSDLGLEVYKFSISWSRLIPNGRGEINPKGLEYYNNLINELLGKGIQPHVTLYHLDLPQALEDEYNGWLSPRIMDDFTAYADVCFREFGDRVTHWTTMAEVNIMSLGSYDNGDFPPSRCSYPFGVTNCTAGNSSTEPYIATHNALLTHASIFHLYKTKYQAFQRGWIGMNVYTLWYTPYSDSKADIQATKRVRDFMLGWIVDPLVFGDYPKTMKKIVGSRLPVFTKSQSEYLKGSFDFIGLNHYTSLFVVDNSAEALAMPIRDYNADMLATLIVWKNETPSDKYIPSSTPYRPYGLRKLLEYFKQKYKNPPIYIQENGCGLGMEDTMNDTYRIDYLNGYIGSTFEA >DRNTG_34028.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002076.1:12797:17153:1 gene:DRNTG_34028 transcript:DRNTG_34028.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLLSLFLISLHLWLCSAQCSPPNYTRNDFPSDFAFGAGTSAYQVEGAAAEDGRSPSIWDTHTHAGKMADKSTGDIASDQYHKYKEDVKLMSDLGLEVYKFSISWSRLIPNGRGEINPKGLEYYNNLINELLGKGIQPHVTLYHLDLPQALEDEYNGWLSPRIMDDFTAYADVCFREFGDRVTHWTTMAEVNIMSLGSYDNGDFPPSRCSYPFGVTNCTAGNSSTEPYIATHNALLTHASIFHLYKTKYQV >DRNTG_34028.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002076.1:13074:20974:1 gene:DRNTG_34028 transcript:DRNTG_34028.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADKSTGDIASDQYHKYKEDVKLMSDLGLEVYKFSISWSRLIPNGRGEINPKGLEYYNNLINELLGKGIQPHVTLYHLDLPQALEDEYNGWLSPRIMDDFTAYADVCFREFGDRVTHWTTMAEVNIMSLGSYDNGDFPPSRCSYPFGVTNCTAGNSSTEPYIATHNALLTHASIFHLYKTKYQAFQRGWIGMNVYTLWYTPYSDSKADIQATKRVRDFMLGWIVDPLVFGDYPKTMKKIVGSRLPVFTKSQSEYLKGSFDFIGLNHYTSLFVVDNSAEALAMPIRDYNADMLATLIVWKNETPSDKYIPSSTPYRPYGLRKLLEYFKQKYKNPPIYIQENGKALFLLLIQMKINSLTLWVNFMMRTKLL >DRNTG_34028.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002076.1:12797:13140:1 gene:DRNTG_34028 transcript:DRNTG_34028.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLLSLFLISLHLWLCSAQCSPPNYTRNDFPSDFAFGAGTSAYQVEGAAAEDGRSPSIWDTHTHA >DRNTG_34028.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002076.1:12797:14203:1 gene:DRNTG_34028 transcript:DRNTG_34028.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLLSLFLISLHLWLCSAQCSPPNYTRNDFPSDFAFGAGTSAYQVEGAAAEDGRSPSIWDTHTHAGKMADKSTGDIASDQYHKYKEDVKLMSDLGLEVYKFSISWSRLIPNGRGEINPKGLEYYNNLINELLGKGIQPHVTLYHLDLPQALEDEYNGWLSPRIM >DRNTG_34028.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002076.1:12797:20974:1 gene:DRNTG_34028 transcript:DRNTG_34028.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLLSLFLISLHLWLCSAQCSPPNYTRNDFPSDFAFGAGTSAYQVEGAAAEDGRSPSIWDTHTHAGKMADKSTGDIASDQYHKYKEDVKLMSDLGLEVYKFSISWSRLIPNGRGEINPKGLEYYNNLINELLGKGIQPHVTLYHLDLPQALEDEYNGWLSPRIMDDFTAYADVCFREFGDRVTHWTTMAEVNIMSLGSYDNGDFPPSRCSYPFGVTNCTAGNSSTEPYIATHNALLTHASIFHLYKTKYQAFQRGWIGMNVYTLWYTPYSDSKADIQATKRVRDFMLGWIVDPLVFGDYPKTMKKIVGSRLPVFTKSQSEYLKGSFDFIGLNHYTSLFVVDNSAEALAMPIRDYNADMLATLIGFPPHLYIIFFFF >DRNTG_24740.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2284704:2286500:-1 gene:DRNTG_24740 transcript:DRNTG_24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPHMYKNGPQVEGKMGHSMEDYYVAQFKEVDGHEAVLFASFDGHSGNDVATYLNDDAEMIILASDGLMEGNVYNQEAVDTGHH >DRNTG_32728.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001904.1:2276:4368:1 gene:DRNTG_32728 transcript:DRNTG_32728.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEDAKTKRILLAACAVLMVSIVFILHTFNEDAESGEEDTDIEESDKIAKLGHEDDESGEEDTDTDKNDSIAKFAEALKHVAKAIRSNYVHWTQELMEKLLQLENEGYDEMILERAFDALYKNEVKARSFMVRPRDWKLEWMRKFVDGEVQN >DRNTG_31898.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11282096:11285271:1 gene:DRNTG_31898 transcript:DRNTG_31898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTPPHNFSDLELRASYSSTGSLP >DRNTG_05221.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6630531:6633855:1 gene:DRNTG_05221 transcript:DRNTG_05221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIGRCGAQTAKASSRDSPSQGLLEGHVEVCFLDIIDLACSCLARAHHGMIQKLPSRAYLTSLAWHTLFPQRSPWDQGHS >DRNTG_34781.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13470204:13475298:1 gene:DRNTG_34781 transcript:DRNTG_34781.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEVGKIGVYGMGGVGKTTIMTQVYNQLRTMDDFEIVIWVTVSSSFNEKELQNRIAEGLDCQLSSSADLMSRAQVLHEAFRRRRNFVIILDDIWERVSLQNVGIPEPDGSNRSKVVWTTRSMNVCNSMESEKEIKVGGLTDEEAWSLFKEKVGAEDVIMSTEILPIAKQVAKECGGLPLALITVGRALRKTYQPSVWRNALQQLKTSRADQIHGMGEEVFGSLKFSYNWLSSDKIHACFLYCALYPEDYIILVDELIEYWRAEGLIDEEGSIQTEKDKGHAYLQELKDACMIENIEENNKYVRMHDLIRDLAINITRDNPKQPLFMVKAGLGLMELPKEEEWVESLQRVSLMRNDIKAFRGQPNCPRLSTLLLHHKPSLIPSGITFSDTFFKHMHGLKVLNLSNTGIKSLPDSISLLVNLQTLILTSCSELECLPSLAKLQKLRLLALGGLESLKELPHGLENLVKLRHLDISNGGWGGFRSKALLKMPCLEILYMHKGLLGFGGYVVDNSTVDQEIISLKKLTRFSADFNDVLTFNSYISRANEFDLLKIFDRLLFTVSYIYDGHNVENESMDKVMLPSATNYLEIAGRNFVQLSDFFGFDELRRLIYCRIQKCKKMLWIGGDGEIVLPSLKRLHLTELHSFKGLCKEKAHEKTFKNLTHLMIEYCHKLKYLIPIDLLVNNLQNLEMISIVGCHEMEDIISGEASADMTALPKLKMLSLRCLPSLSSICQGKLVCDLLSDIDLIHCPKLKRLPFLISNKTIVSAKITGSQEWWEALAWEGPQLKEHLQPFFDESDEMDEYARRLRNIIPTMEQMFKIARLNFQDEKDEKDFLSSSIFYLERCFGIYSY >DRNTG_22721.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31543708:31546837:1 gene:DRNTG_22721 transcript:DRNTG_22721.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNATLMNIADNPTNVQLPGMYNKQENARVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCTGIFRTDNVPKEDIIKLVDTFPGQSIDFFGALRARVYDDEVRKWIAEMGVEKVGKRLVNSREGPPTFEQPKMTLEKLLEYGNMLVQEQENVKRVQLADKYLSEAALGDANEDSIKTGSFYGKAAQQVRVPVPEGCTDPAAANFDPTARSDDGSCKY >DRNTG_09582.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23581033:23581761:1 gene:DRNTG_09582 transcript:DRNTG_09582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLFSRWSLKGKTALVTGGTKGIGKAVVEELATLGALVHTCSRNETELKAILQVWTDKGLHVTGSVCDVTSRAQREKLIADVSSNFTGKLDILVSNAGTGVPKPTVEFTEEEAAFIWTTNYGSCFNICQLAHPLLKASGCASIVIVSSVAGIVASPLGTPYSSTKGDDR >DRNTG_30488.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:647551:651798:1 gene:DRNTG_30488 transcript:DRNTG_30488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRACLRPLERCFMGRRGGGGGGGDGLLWHTDLKPHACGDFSIAVVQANHALEDQGQVVASPSATYVGVYDGHGGPDASRFVRSRLFPHLHQFASEQGGLSADVIKKAFHATEEEFLHLVKRSWLSRPQIASAGSCCLVGAIADGVLYVANLGDSRAVLGSRGPDGRSVVAERLSNDHNVALEEVRRELKQLHPDDSHIVVHNRGVWRIKGIIQVSRSIGDIYLKKPELSRDPLFQQFVSPVPLKRPVMTSEPSIRVRNLRPQDLFLIFASDGLWEQLSDEAVVDIVFKNPRAGIAKRLVRAALDEAAKKREMRYNDLKRIEKGIRRHFHDDITVIVIYLDHHRQGLSTKLKRDAIDYTNAPIDIFTHNSDRTEQPLWPSI >DRNTG_11310.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1645765:1647351:1 gene:DRNTG_11310 transcript:DRNTG_11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATCKNLATIVLIMWCCVTWGSATVYTVGDSNGWNTGVDYSLWANGQTFVVGDTLEFNYASGLHNVDEVSSSDYQSCSTNNVINSDSSGHTRVFLSSSGRHHFICGVPGYCNQGMKLAVSVEDATNTPSTWNSYSPAGVLPPAALHHFFFVAFASWSLIKLLAHVF >DRNTG_35439.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002248.1:12628:17681:1 gene:DRNTG_35439 transcript:DRNTG_35439.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb2444 [Source:Projected from Arabidopsis thaliana (AT2G18510) UniProtKB/TrEMBL;Acc:A0A178VZK5] MTTRIAPGVGANLLGQHSAERNQDATTYVGNLDPQVSEELLWELFVQAGPVVNVYVPKDRVTNLHQGYGFVEFRSEEDADYAIKILNMIKLYGKPIRVNKASQDKKSLDVGANLFVGNLDPDVDEKLLYDTFSAFGVIVTNPKIMRDPETGNSRGFGFISYDSFETSDAAIEAMNGQYLCNRQITVSYAYKKDTKGERHGTPAERVLAASNPTTQRNRPHTLFASGPPTLPSGPQANGANIGAPVPRPFLTTPVPPGQIPPVRPPPPQAGQFPPPMHIPGAPPSWPGQPQQPLPSLGPPVVPAPQMQQQFRPPPMRPPANIPPPPPQNIMMGAPPPHSGMGVPPPMWRPAPPPPQQLGVRPFPPPQMSMPPPPPPANSTL >DRNTG_24375.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17118189:17120014:1 gene:DRNTG_24375 transcript:DRNTG_24375.1 gene_biotype:protein_coding transcript_biotype:protein_coding DTALFILKHLYRDVPEEPDSPGQSEAGCGSRKQNGPAGLYFDRDDADEDLPLTFSDHLMIREFSRKAKKAMTSG >DRNTG_21273.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4885161:4888350:-1 gene:DRNTG_21273 transcript:DRNTG_21273.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNRLLRTSFLGSLSRMSTTAAPEGGGRFVPELAVPKMGAGPRRDHRERGVQWVFLGCPGVGKGTYASRLSQLLGVPHIATGDLVREELSSSGSLAQQLSAIVNQGHLVSDEIIIELLSKRLQNGASNGESGFILDGFPRTIRQAVSIYLYDFLGIESDSFTCLFVL >DRNTG_21273.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4885161:4888350:-1 gene:DRNTG_21273 transcript:DRNTG_21273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNRLLRTSFLGSLSRMSTTAAPEGGGRFVPELAVPKMGAGPRRDHRERGVQWVFLGCPGVGKGTYASRLSQLLGVPHIATGDLVREELSSSGSLAQQLSAIVNQGHLVSDEIIIELLSKRLQNGASNGESGFILDGFPRTIRQAEILEGVTDIDLVINLKLREDVLLKKCLGRRICSQCGGNFNVASIDIKGENGRPGINMAPLLPPPNCAAKLITRSDDTEEVVKERLRVYNDTSLPVEEFYRKRGKLLEFELPGGIPESWPKLLRALNLEDHDDKQSAAA >DRNTG_22991.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1775439:1780715:-1 gene:DRNTG_22991 transcript:DRNTG_22991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSILLWDLDKEQLVTQIQPSSESTISSLSASQVHGGQFAAGFVDGSVRLFDIRTPEMLVCATRPHTQRVVGVGFQPGLDPAKIVSASQAGDIQFLDIRNDTTSYLTIDAHRGSLTALAVHRHAPVIASGSAKQIVKVFSLEGEQLSIIRYYPTFMAQRIGSVSCLTFHPYRVLLAAGAADAFVSIYADDSSQVR >DRNTG_02008.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000099.1:78360:78696:-1 gene:DRNTG_02008 transcript:DRNTG_02008.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSGKGEVIKGEKLKLKTKKRSQKRIRTTMKEKKEKKEKKT >DRNTG_02008.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000099.1:78360:82356:-1 gene:DRNTG_02008 transcript:DRNTG_02008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSGKGEVIKGEKLKLKTKKRSQKRIRTTMKEKKEKKEKKT >DRNTG_22898.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1321368:1327089:-1 gene:DRNTG_22898 transcript:DRNTG_22898.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP21-1 [Source:Projected from Arabidopsis thaliana (AT4G34960) UniProtKB/Swiss-Prot;Acc:O49605] MNLKSLTVIAFLGLIFVFTFFSFRRREALVSISEEVTGEVAHEVTHRVYLDVTIDGQQAGRIVVGLYGNVVPKTAGEKGKGSSGKNLHYKGIPFHRIISGFMIQGGDIIRKDGKGKVSIYGGTFPDENFIVKHSHAGIVSMANSGPNTNGCQFFITTVKASWLDGEHVAFGKVIQGMDTVYAIEGGAGTYNGKPRKKVLIADSGEIPKSKWDEEM >DRNTG_07273.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10515888:10516346:1 gene:DRNTG_07273 transcript:DRNTG_07273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAQYTEFMARFDVLQQILERDIASSFVLRSMTPQAPSTSTAPSSPTLAPVDPPCASSPVAIAAPEPDRDTDI >DRNTG_09370.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15084944:15088625:-1 gene:DRNTG_09370 transcript:DRNTG_09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNLVIGRCYLTTVVEILAELKDNMTPRHWEIIRRTPFAAFTELEAIYQERALLDSLLQRYDGRTNKFRIGESLLSFRPQDVALVLGLRCDGDAVIFQKKKTRSAFKGRYLSKTYERHRDSIKSILVQLVRQRGEEDNFVKLLMVYLMGTVLFSNTSCSVPNWIVDYVDDLPAMGRYAWAQATHKWLMEYIPQAAARVQDRCAGKKTNTGYIKGCSVALNVWFYELTRTGKKVRFGKIPRMLCYSKSTYRKQATVETSLSSLDGKEPTTRTRIPRRREALPYPGSNAITPYHDNERSPIVAAPTMAAPPISGEPHLRH >DRNTG_34222.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2032366:2032593:1 gene:DRNTG_34222 transcript:DRNTG_34222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSSSSESELPFPVFSNPNPDSDFYSSSSSSKKKKKKKRAQKQRSFGPAMLENDEWRWKRGGEV >DRNTG_26912.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2970947:2973151:1 gene:DRNTG_26912 transcript:DRNTG_26912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNQTLLPQKCSSFSSSIREEKLQKTGRSLSLGSITDIIETISDDIKKQELKDTEEPPPPQLPFDLFSISEDVDKFLHSSSSSSEEDKDKQKQDIPELIIQRFTELLEKELAKYDNIDIKWSDNDIEGIQLLDAINRLSKLTSLLSSTFSANLKSRNAINLTGGLLHHIMTFLEVEFYTLLEDSRTKLVPTVSTTSGQKPKRPPSFRASIEHDRCVLPTATATATATAAAAESNNNNNNTNQETSTENVERLKRIASEMIAAGYDAECVQVFTIARSNSLDASICNAGFEKISIDEVQRMQWEPLEASIATWIKAFRQAFTVNFPHELELCGAVFSDQRDIGRAVFRNLTRGIIVQFLDFAAAVAMTKKSAEKLFKVLDMYETLRDAAKQMDELLSVAMPSEKEEDAELAMLSPLDLKSEMASAWSRLGEAAVANFCDLENSIKAEAGKTPVPGGAVHPVTRYVINYLTYAFEYNETLEQVFHEHKKSEKPSSMHDVDGDDGHSNNNNNNNHSTINNNNNSSTATNNEDETRNEGDKQSPFAVQVMEVMDLLHQNVEAKSKLYKDQSLSNIFLMNNGRYVMQKVKGSEEIKKLLGDTWCRKRSAEMRQYHKNYQRETWGRVLACFKDEGLQVNGKVMKPVLKERFKSFNGMLEEILKTQSVWVVSDEQLQSELRVSVSAVVVPAYRSFLGRFQQYFSPGRQTEKYIKYAPEDLETFIDDLFDGNAASMVRRRT >DRNTG_35305.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22696451:22699930:1 gene:DRNTG_35305 transcript:DRNTG_35305.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:jasmonate-zim-domain protein 10 [Source:Projected from Arabidopsis thaliana (AT5G13220) TAIR;Acc:AT5G13220] MEEGPVELDFFALEKKEPIPMASRSSVRGLQAAISRMNPNILRSVIASASIPSSPPASESPAGTARLTIFYNGTVAVFDVPHDKAEMIIRMAGEGNAAGVLEDGDLPHARRISLRRFLEKRKERLTAAVPYASKLGAQSLDHLTY >DRNTG_21110.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2656519:2666006:-1 gene:DRNTG_21110 transcript:DRNTG_21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIDPFYISEEDPDYQIEEEEEDDDDFDEEDYELDINPSELVSHAPENLVFEALMEEENADEEDVVEVCGPGGGTIRGSSGGVEMADGFDPASSSSAVCPVCYEPWTSEGPHRVCCILCGHVYGRSCLEKWVERCGSYKAKCPQCSRQFRKKDIINLFAPVIGVQHQNLYKVISVA >DRNTG_21110.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2656519:2661331:-1 gene:DRNTG_21110 transcript:DRNTG_21110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVFDLRQTERCAASLEGLSRHPIHTIHSIVHNDNTRKVITASAPGPCIWNVGGIGERPSLIPGMENQGICISLACSSSTDELVASYRPKVEVSNDTASSSQTSSSPSQPISGCGKVGTHVLIKRLNGTQYFRHRDGFGYVSELRMQKSAIISTECSNPLFAYADESTRGVCLSELPSFQVVNRLYAASYPHS >DRNTG_21110.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2656519:2658701:-1 gene:DRNTG_21110 transcript:DRNTG_21110.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVFDLRQTERCAASLEGLSRHPIHTIHSIVHNDNTRKVITASAPGPCIWNVGGIGERPSLIPGMENQGICISLACSSSTDELVASYRPKVEVSNDTASSSQTSSSPSQPISGCGKVGTHVLIKRLNGTQYFRHRDGFGYVSELRMQKSAIISTECSNPLFAYADESTRGVCLSELPSFQVVNRLYAASYPHS >DRNTG_21110.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2661265:2665949:-1 gene:DRNTG_21110 transcript:DRNTG_21110.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATIDPFYISEEDPDYQIEEEEEDDDDFDEEDYELDINPSELVSHAPENLVFEALMEEENADEEDVVEVCGPGGGTIRGSSGGVEMADGFDPASSSSAVCPVCYEPWTSEGPHRVCCILCGHVYGRSCLEKWVERCGSYKAKCPQCSRQFRKKDIINLFAPVIGVQHQNLYKELCALREKNELLISEKAQLMEELKNEKKKACLQHSKSGSAQRRLFDYFSHQCDLPAQFFSEHNILPHNPGGSDNFQFSFVLKNEWIVDGAHVLGIDAASEIVFVSGKTTSFGGEHLLSKISVLAPSEIDKIQLPPNTGAVRDLCILPSSLALIASLGKKLSLFSTRSNQVVLKYDLP >DRNTG_21110.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2656519:2661845:-1 gene:DRNTG_21110 transcript:DRNTG_21110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVFDLRQTERCAASLEGLSRHPIHTIHSIVHNDNTRKVITASAPGPCIWNVGGIGERPSLIPGMENQGICISLACSSSTDELVASYRPKVEVSNDTASSSQTSSSPSQPISGCGKVGTHVLIKRLNGTQYFRHRDGFGYVSELRMQKSAIISTECSNPLFAYADESTRGVCLSELPSFQVVNRLYAASYPHS >DRNTG_21110.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2656519:2658874:-1 gene:DRNTG_21110 transcript:DRNTG_21110.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVFDLRQTERCAASLEGLSRHPIHTIHSIVHNDNTRKVITASAPGPCIWNVGGIGERPSLIPGMENQGICISLACSSSTDELVASYRPKVEVSNDTASSSQTSSSPSQPISGCGKVGTHVLIKRLNGTQYFRHRDGFGYVSELRMQKSAIISTECSNPLFAYADESTRGVCLSELPSFQVVNRLYAASYPHS >DRNTG_21110.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2656519:2665949:-1 gene:DRNTG_21110 transcript:DRNTG_21110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIDPFYISEEDPDYQIEEEEEDDDDFDEEDYELDINPSELVSHAPENLVFEALMEEENADEEDVVEVCGPGGGTIRGSSGGVEMADGFDPASSSSAVCPVCYEPWTSEGPHRVCCILCGHVYGRSCLEKWVERCGSYKAKCPQCSRQFRKKDIINLFAPVIGVQHQNLYKELCALREKNELLISEKAQLMEELKNEKKKACLQHSKSGSAQRRLFDYFSHQCDLPAQFFSEHNILPHNPGGSDNFQFSFVLKNEWIVDGAHVLGIDAASEIVFVSGKTTSFGGEHLLSKISVLAPSEIDKIQLPPNTGAVRDLCILPSSLALIASLGKKLSLFSTRSNQVVLKYDLPVPVWSCSYDANDPNYVYAGLQNGMVFVFDLRQTERCAASLEGLSRHPIHTIHSIVHNDNTRKVITASAPGPCIWNVGGIGERPSLIPGMENQGICISLACSSSTDELVASYRPKVEVSNDTASSSQTSSSPSQPISGCGKVGTHVLIKRLNGTQYFRHRDGFGYVSELRMQKSAIISTECSNPLFAYADESTRGVCLSELPSFQVVNRLYAASYPHS >DRNTG_15444.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:266797:291421:1 gene:DRNTG_15444 transcript:DRNTG_15444.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKKSQHHHQKSGSRSAPKSAPKLQISAENESRLRRLLLNTQRPAPAPPPPAETESKAQKGKRLRGVYDKLSLEGFTEDQIERALSALSEGATFENALDWLCLNIPGNELPLKFSSGGSTSAPEGAARAIKVLSTARADWVPQQRPQSHVAEELPGVSVRVKGQGDELSLELGKSGQADWIRQYMEQEEEEESSQEEKDVDLGSRAASIVKEYHHARSEALLAKQRGDKKNRDYYGAIISKLKQEMSSLGLSHDILLSELQEQDTNSTSANMSNKSLPCEPFELKQMDDCSWSDLTQPDSEPIVNTDLDENFYSDGRVCAANLFENDTSEKVSQENEEPVDLELDNLFSEDPSSSGALPHEILKQQKKEKLPQLMYGGTLLIIDDIWKKGDLGMIPKALLQKLCQRLGWKAPKYAKLSEKDGQFLYSLSILRTAVGRGKSHMAGGLITLRLPDQCEPFGSVEDAQNKVAAFALSRLFPNLPLSQMLSEPYSSFVTKWEENILPTDLEESEHNRRSGFVDSLLRDAHQPMVSLDASLEFEKSLDACNVKQADGNSYPESTSLDRTGEFNHQQTESVFLEKELKNKIKLPKYKEMMKARATLPIANLKNQILHLLKENDVIVISGETGCGKTTQVPQYILDDMIGSGRGGFCNIVCTQPRRIAAISVAERVSNERCEPSPGSDGSLVGFQVRLDSARNEMTKLLFCTTGILLRKLAGDKYLTGISHVIVDEVHERTLLGDFLLIVLRHLMQRRKLKVILMSATVDATMFSRYFGNCPVICAEGRTFPVSTYFLEDVYEALNYSLPSDSPCIRKTHGFHKSEGCWQFCRSP >DRNTG_15444.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:266797:291421:1 gene:DRNTG_15444 transcript:DRNTG_15444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLIILFLQILPASGKLMDSTRAKDVGSSVGHHRGKRNIVLSSWGDESLLLEEYLNPCYMPGSYESYSERTRQNLKNLKEDVIDYDLLEDLISYIDDNHPPGAILVFLPGVGEINSLIDRLTASFQFGGIASDWILPLHSSLSSADQRKVFLSPPENIRKVIIATDIAETSITIDDVTYVVDTGKHKENRYNPQKKMTSMFEDWISQANAKQRRGRAGRVKPGVCFCLYTRHRFESLMRLFQVPEMSRMPLTELCLQIKSLSLGCIKSFLMEAIEPPHEEAISSAIDLLYKVGAFEGDEELSPLGYHLAKLPVDVLIGKMVLYGAIFGCVSPILSIAAFLSYKFPFIYPKDEKQNVERAKSMLLGDNTDNKNALQQSNTQSDHLLLAVTYDKWAKILYQNGAKAAYQFCRSFFLNSSVMYMIRDMRIQFGSLLADIGLIKFPKLLLADEKRKDKLDSWLADLSQPFNIYSCHPSIIKSIICAGLYPNVAATLEGTNGAVLGSNKLLSRNLPMKDQVIWFDGTREVHIHPSSINHSVKGFHHPFLVFLEKVETSKVFLRDTSIISPYSLLLYGGTISIQHQTGLIVIDGWLKLTAPAQTAVLFKELRLMLHAVLKELIRKPEMVTMGNNEVVKSISHLLLEEEKV >DRNTG_26299.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21283128:21286830:-1 gene:DRNTG_26299 transcript:DRNTG_26299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEGVCMERTTSMASPDLSLHISQPTTNTSLDTTSTSAAGDRELWRRIESLKPHEASTELSLSSSTPSTQTSWRRQTSLPIHHENLPHTHRPGYDGMPFITTTTTTTTSLETSMPIKGIPIYNNSSSFPFIAHQISSWPSSSSSSSSSSSSSANLDPMSSFLHPIGGGGGAMMGSSYHKLIAGPARFNCLSPPSDPMKSQFSHHHHNHHHHQYGMGALEASHNMMRSRFMPKLPAKRSVRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPAASSGKSDGSGEEDFMSGGGSSSGDLNFRRFIDNQSSDSTMQAQDVDSGTRWSNSSRGTWLTSSNDMEGLIRPTFSSQLEETDDHIRSKDSPVLNQEVKNPSLEFTLGRPDWHSREHD >DRNTG_26299.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21283128:21286830:-1 gene:DRNTG_26299 transcript:DRNTG_26299.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEGVCMERTTSMASPDLSLHISQPTTNTSLDTTSTSAAGDRELWRRIESLKPHEASTELSLSSSTPSTQTSWRRQTSLPIHHENLPHTHRPGYDGMPFITTTTTTTTSLETSMPIKGIPIYNNSSSFPFIAHQISSWPSSSSSSSSSSSSSANLDPMSSFLHPIGGGGGAMMGSSYHKLIAGPARFNCLSPPSDPMKSQFSHHHHNHHHHQYGMGALEASHNMMRSRFMPKLPAKRSVRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPAASSGKSDGSGEEDFMSGGGSSSGDLNFRRFIDNQSSDSTMQAQDVDSGTRWSNSSSRGTWLTSSNDMEGLIRPTFSSQLEETDDHIRSKDSPVLNQEVKNPSLEFTLGRPDWHSREHD >DRNTG_26299.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21283128:21286830:-1 gene:DRNTG_26299 transcript:DRNTG_26299.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEGVCMERTTSMASPDLSLHISQPTTNTSLDTTSTSAAGDRELWRRIESLKPHEASTELSLSSSTPSTQTSWRRQTSLPIHHENLPHTHRPGYDGMPFITTTTTTTTSLETSMPIKGIPIYNNSSSFPFIAHQISSWPSSSSSSSSSSSSSANLDPMSSFLHPIGGGGGAMMGSSYHKLIAGPARFNCLSPPSDPMKSQFSHHHHNHHHHQYGMGALEASHNMMRSRFMPKLPAKRSVRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQVLFTIIFFFFFFVFLLFMFFIFILFSLFLSVFALLSLSVNGGAQ >DRNTG_31262.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4383217:4383433:1 gene:DRNTG_31262 transcript:DRNTG_31262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSYGTDPEKIQASYSRNPPVIDQGDLGNSYYQNDSMNSRLIPLDGCSNSEA >DRNTG_02568.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19476043:19477092:1 gene:DRNTG_02568 transcript:DRNTG_02568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFYFDHHAIGIPVNSVANEESACVVPRTDSYLSTQFKRNTLNSVINHIIKLSKRADSYAQGIRDHVSLGPKISETVKGKLRLGTRILQARGLERVFRKSFNVGEDEKLIKAFQCHLSTSAGPIAGLLYISTEKIAFHSDMSFRFTSSKGNTLKSYYKVLIPLGRIKRANPSENGSRPNQKYIQIVTEDEFEFWFMGFLSYQRSFKYLQHAITEVHMSL >DRNTG_10075.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26514665:26516088:1 gene:DRNTG_10075 transcript:DRNTG_10075.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Presenilin [Source:Projected from Arabidopsis thaliana (AT1G08700) UniProtKB/TrEMBL;Acc:Q0V7S4] MVDPDISINGGGTIIDSLGIEIVGVMSPVSICMLLVVLLISGLSPPPSSSPPVTAATLVYLESPSDPPSQKLVGALLNAAVFIALVTIATFLIVLLYYYKFTSFLKNYMRFSAFLVLASMGGSIAASLLRRFSIPLDAVTFLLFLFNFSAVGVLSVFSPAVPILLRQAYMVVLGVIVAAWFTNLPEWTTWTLLLALAMYDLVAVLTPKGPLKLLVDLASSRDEELPALVYEARPTIFRSPNPPVAAAPLFASSIELQPLPDTPSDERSVADHAVVEIEHASDETSPLVGNADVGRMRESVSGQHSSEIEILETSPLVVNEDVGRRTNGAQGGHASGPEMFDIMRGLRLGLGDFVFYSVLVGRAAMYDLMTVYACYLAIICGLGCTLILLSVCRRALPALPISITLGVGFYFLTRLLLEPFVVGASSKLVMF >DRNTG_34298.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002117.1:889:17589:1 gene:DRNTG_34298 transcript:DRNTG_34298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQVGVAKERIKRMGEEDNFWASGVTGPCGPCSEIYYDFHPERGYSNVDLGDDTRFIEFYNLVFMQYNRKDDGSLEPLKQKNIDTGMGLERVARILQKVPNNYETDLMFPIIEKASKLAKVSYANADDDTKTNLKIIGDHMRAVVFLISDGVFPSNIGRGYVVRRLIRRVVRIGRLLGIKGDGDQNPEGAFSPVLAESVIQLSAQIDPHVKTRTPRILEELEREELRFVQTLERGEKLLDEFLSNALSFSPENGDRPQLSGKDAFLLYDTYGFPIEITTEVAEERGVSVDMNGFDVEMEKQRQQSQAAHNVVKLSVGSDSELASNIPDTEFLGYETLFASATIKGLLVDGNSVQEVSEGKDVEIMLNRTPFYAESGGQIGDNGFLYSFEDEDGTQKTIVKITDVQKCFGNVFVHKGMIKEGKIAVGLEVDAAVNAKLRQGAKVHHTATHLLQAALKSVIGQEISQAGSLVAFDRLRFDFNFHRPLLEHELIVIEELINGWVADATHLQTKVMALTDAKRAGAIAMFGEKYGEQVCHFSVTFLCTFDFHCFLLKKNCLLPMIKNDTTHKYTHFRFIYMLR >DRNTG_31009.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30412363:30413094:1 gene:DRNTG_31009 transcript:DRNTG_31009.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLKHTPPISQGQHVRVDQNRPKFLRLSLPKGSLQQWKKMHYSKQCPQSSLNL >DRNTG_15690.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000783.1:79008:82400:-1 gene:DRNTG_15690 transcript:DRNTG_15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEELAVKVIPKAKMTTAIAIEDVRREVRILSALSGHKNLVHFYDACEDEDNVYIVMELCKGGELLNRILSRGGKYSEEDAKVVIVQILSVVAFCHLQGVVHRDLKPENFLFTSKDENSDLKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEGDMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPNFEEAPWPTLSAQAKDFVKRLLNKDCRKRMTAAQALSHPWLENHHDIKIPIDIVIYKHVKAYICSSSLRKAALR >DRNTG_22708.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1433777:1436253:-1 gene:DRNTG_22708 transcript:DRNTG_22708.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSEADVARFAEVSGDRNPVHFDPDFALSAAGFSGGRIVHGMLVASLFPSIIASHFPGAIYVSQTLQFKLPVYIDDEVVAKVQALSVREHKQRFIVKFTTKCFTNIEQLVIDGEATAILPTLAHNR >DRNTG_22708.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1433777:1436253:-1 gene:DRNTG_22708 transcript:DRNTG_22708.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSEADVARFAEVSGDRNPVHFDPDFALSAAGFSGGRIVHGMLVASLFPSIIASHFPGAIYVSQTLQFKLPVYIDDEVVAKVQALSVREHKQRFIVKFTTKCFTNIEQLVIDGEATAILPTLAHNR >DRNTG_22708.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1433777:1436253:-1 gene:DRNTG_22708 transcript:DRNTG_22708.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSEADVARFAEVSGDRNPVHFDPDFALSAAGFSGGRIVHGMLVASLFPSIIASHFPGAIYVSQTLQFKLPVYIDDEVVAKVQALSVREHKQRFIVKFTTKCFTNIEQLVIDGEATAILPTLAHNR >DRNTG_14608.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:10319031:10324585:-1 gene:DRNTG_14608 transcript:DRNTG_14608.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAKEGSLEKRIHSRIAFFVESSTSEKKCLAQAKKRLIHFISLANDLRFTGITKTTISLFPFFGRLGGVEIARTECGKYGKTSRNVFNQKIDYAPTEVSTRYGISGVKVRISYSQNKKERAISETAGRLSYRAIEVVRRAIIRQFHHVMSGQFRRNGKIWVRVLAYLPITGKPTEVRMGRGKGNPTVGLLVCPRDKSHLKSVEMPFLGEPFPCILLFYWLQSILNRRKA >DRNTG_15410.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4834757:4838011:1 gene:DRNTG_15410 transcript:DRNTG_15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLEFARMLRRMAAIKSHLDKGGDPEKLMEVNLRQNGQADVEEDYLLLDLDSVCLQADIPANASYVLSGLDTPHPVLTIANHLKLIGEYQETIGTCYVFSERENHISETNFPKDTAIGNSTQVPAKEVKLITGLHGVLKFRLASEDESEHANRSHVENDKNGCCIPSEKEKHSF >DRNTG_15410.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4834757:4837348:1 gene:DRNTG_15410 transcript:DRNTG_15410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNLRQNGQADVEEDYLLLDLDSVCLQADIPANASYVLSGLDTPHPVLTIANHLKLIGEYQETIGTCYVFSERENHISETNFPKDTAIGNSTQVPAKEVKLITGLHGVLKFRLASEDESEHANRSHVENDKNGCCIPSEKEKHSF >DRNTG_15410.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4834757:4837348:1 gene:DRNTG_15410 transcript:DRNTG_15410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNLRQNGQADVEEDYLLLDLDSVCLQADIPANASYVLSGLDTPHPVLTIANHLKLIGEYQETIGTCYVFSERENHISETNFPKDTAIGNSTQVPAKEVKLITGLHGVLKFRLASEDESEHANRSHVENDKNGCCIPSEKEKHSF >DRNTG_13750.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5599751:5610278:-1 gene:DRNTG_13750 transcript:DRNTG_13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRRIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNIRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISERKVSTSIEALCRGYPSEFASYFHYCRSLRFDDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQITPPRAVGPAAGTSSGLAPAITNADRPTGAEEGRTSGWSATDPSRRRVSAPAISSGSLPKQKNPVGNESTATKDVMLSSSTFLGRPSGSSRRAAVSSSREVMASSEADMSRSRTTDASPGTFPKVSGTQRTSPGGSAELRRPSSSAGRPASNIRNYESTIKGIESLNFDNDERTHY >DRNTG_12267.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24839566:24840793:1 gene:DRNTG_12267 transcript:DRNTG_12267.7 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPDLHGFQIFTRSTLQVKSKSKFVSFVGSYLKEEWKYINENAAPPSDLPISERIWKNLPRRIEKRKITVPQQKNEYDCGLFVLYFMERFIADAPERLRRKDHNMFGRKWFKPEDASSLRKRIHCLLAEEFEKARLDSHRSDSPVPASSSVREDEE >DRNTG_12267.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24839041:24840853:1 gene:DRNTG_12267 transcript:DRNTG_12267.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHWSLVIICIPPKDEETGPTILHLDSLGLHSSNSVFDIVDSYLKEEWKYINENAAPPSDLPISERIWKNLPRRIEKRKITVIL >DRNTG_12267.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24839566:24840853:1 gene:DRNTG_12267 transcript:DRNTG_12267.6 gene_biotype:protein_coding transcript_biotype:protein_coding MERFIADAPERLRRKDHNMFGRKWFKPEDASSLRKRIHCLLAEEFEKARLDSHRSDSPVPASSSVREDEE >DRNTG_12267.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24837770:24840793:1 gene:DRNTG_12267 transcript:DRNTG_12267.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESKIYYPSRNDPEAVELSYSAIKCLEPESYLSSPIMNFYIQYLQGPSSPVATLRSEYHFFNTYFYSKLEEALSFKGDRSASFLRLRRWWKGVNIFQKAYIFLPIHGDMHWSLVIICIPPKDEETGPTILHLDSLGLHSSNSVFDIVDSYLKEEWKYINENAAPPSDLPISERIWKNLPRRIEKRKITVPQQKNEYDCGLFVLYFMERFIADAPERLRRKDHNMFGRKWFKPEDASSLRKRIHCLLAEEFEKARLDSHRSDSPVPASSSVREDEE >DRNTG_12267.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24832766:24840793:1 gene:DRNTG_12267 transcript:DRNTG_12267.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLISYYQTDISCDKDLKSKRQDESKSSRASGAHLHQDVKPAKKVSGTAKPTSGQKSTDSSAGEDKVTSCIVGPKGINNSNISYHGGGNITSGFFKRRRASEIGVSSDLKLKKAHHLVLLDDEDVQPTELAQGDSPDERMESKIYYPSRNDPEAVELSYSAIKCLEPESYLSSPIMNFYIQYLQGPSSPVATLRSEYHFFNTYFYSKLEEALSFKGDRSASFLRLRRWWKGVNIFQKAYIFLPIHGDMHWSLVIICIPPKDEETGPTILHLDSLGLHSSNSVFDIVDSYLKEEWKYINENAAPPSDLPISERIWKNLPRRIEKRKITVPQQKNEYDCGLFVLYFMERFIADAPERLRRKDHNMFGRKWFKPEDASSLRKRIHCLLAEEFEKARLDSHRSDSPVPASSSVREDEE >DRNTG_12267.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24832766:24840793:1 gene:DRNTG_12267 transcript:DRNTG_12267.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNFYIQYLQGPSSPVATLRSEYHFFNTYFYSKLEEALSFKGDRSASFLRLRRWWKGVNIFQKAYIFLPIHGDMHWSLVIICIPPKDEETGPTILHLDSLGLHSSNSVFDIVDSYLKEEWKYINENAAPPSDLPISERIWKNLPRRIEKRKITVPQQKNEYDCGLFVLYFMERFIADAPERLRRKDHNMFGRKWFKPEDASSLRKRIHCLLAEEFEKARLDSHRSDSPVPASSSVREDEE >DRNTG_12267.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24832766:24840793:1 gene:DRNTG_12267 transcript:DRNTG_12267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPLELDWSEIMRRREDGPTPEVEVVPGDGGAEGGGEAAAMAELSDHQLSDKIQRISKMLSARFHYRLPDRGAKLLSNFKQMQAELDRRKLVNHQKKENENSEASSLTKTGEPSNSVRVSSSSDTTSSHLQSSFASSFLNKLEDKTDISCDKDLKSKRQDESKSSRASGAHLHQDVKPAKKVSGTAKPTSGQKSTDSSAGEDKVTSCIVGPKGINNSNISYHGGGNITSGFFKRRRASEIGVSSDLKLKKAHHLVLLDDEDVQPTELAQGDSPDERMESKIYYPSRNDPEAVELSYSAIKCLEPESYLSSPIMNFYIQYLQGPSSPVATLRSEYHFFNTYFYSKLEEALSFKGDRSASFLRLRRWWKGVNIFQKAYIFLPIHGDMHWSLVIICIPPKDEETGPTILHLDSLGLHSSNSVFDIVDSYLKEEWKYINENAAPPSDLPISERIWKNLPRRIEKRKITVPQQKNEYDCGLFVLYFMERFIADAPERLRRKDHNMFGRKWFKPEDASSLRKRIHCLLAEEFEKARLDSHRSDSPVPASSSVREDEE >DRNTG_15936.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:837614:850968:1 gene:DRNTG_15936 transcript:DRNTG_15936.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPNSRNSRTAHPQVHGKHREKYFSTYDREHLSGQHNHKILGHKQKGPENYVRKRSRHEHISRTPGRSDWDDGRWQWDDTPRRDGRDSRSISYKPNRLSPSPMLAGASPDARLVSPWLGGNTPRSAASPWDHIAPSPVPIRASGSRRRASESSSGQRMHRPTSSSVTIWEDNGVDKNNLALEQTYNITDEMRQEWDYNADRAWYDREEINTMFDAVSSSIFLGDDASYRKEEAELAKKLTRKDGSLMTLAQSKKLSQLTVDNAQWEDRQLLRSGAVRGTEVQTEFENEDEHRVILLVHDTKPPFLDGRAVYTKQVEPVMPIKDPTSDMAIISRKGSALVRDIHEKQSMNKSRQRFWELAGSKLGDILGVEKTAEQVDADTVKVGEHGEVDFKEDAKFAQHMKQKGEAVSEFAKSRSIEQQRQYLPIFSVREELLQVVRENQVIVVVGETGSGKTTQLTQYLLEDGYTRSGIVGCTQPRRVAAMSVAKRVSEEMDTELGDKVGYAIRFEDVTGPNTLIKYMTDGVLLRETLKDSDLDKYRVVIMDEAHERSLSTDVLFGILKKVVARRRDFKLIVTSATLNAQKFSNFFGSVPIFHIPGRTFPVNILYSKTPCEDYVEAAVKQAMTIHITSPPGDILIFMTGQDEIEATCYALAERMEQLITSTSKAVPKLSILPIYSQLPADLQAKIFQKAEDGARKCIVATNIAETSLTVDGILYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLYTESAYQNEMLPNPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGSLTDLGWKMVEFPLDPPLAKMLLMGEQLECINEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYQQWKSNQYRGDWCNDHFLHVKGLKKAREVRSQLLDILKSLHIPLTSCGMDWDVVRKAICSAYFHNAARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPDYVVYHELILTTKEYMQCVTAVEPQWLPELGPYVLLY >DRNTG_15936.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:843321:850968:1 gene:DRNTG_15936 transcript:DRNTG_15936.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPTSSSVTIWEDNGVDKNNLALEQTYNITDEMRQEWDYNADRAWYDREEINTMFDAVSSSIFLGDDASYRKEEAELAKKLTRKDGSLMTLAQSKKLSQLTVDNAQWEDRQLLRSGAVRGTEVQTEFENEDEHRVILLVHDTKPPFLDGRAVYTKQVEPVMPIKDPTSDMAIISRKGSALVRDIHEKQSMNKSRQRFWELAGSKLGDILGVEKTAEQVDADTVKVGEHGEVDFKEDAKFAQHMKQKGEAVSEFAKSRSIEQQRQYLPIFSVREELLQVVRENQVIVVVGETGSGKTTQLTQYLLEDGYTRSGIVGCTQPRRVAAMSVAKRVSEEMDTELGDKVGYAIRFEDVTGPNTLIKYMTDGVLLRETLKDSDLDKYRVVIMDEAHERSLSTDVLFGILKKVVARRRDFKLIVTSATLNAQKFSNFFGSVPIFHIPGRTFPVNILYSKTPCEDYVEAAVKQAMTIHITSPPGDILIFMTGQDEIEATCYALAERMEQLITSTSKAVPKLSILPIYSQLPADLQAKIFQKAEDGARKCIVATNIAETSLTVDGILYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLYTESAYQNEMLPNPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGSLTDLGWKMVEFPLDPPLAKMLLMGEQLECINEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYQQWKSNQYRGDWCNDHFLHVKGLKKAREVRSQLLDILKSLHIPLTSCGMDWDVVRKAICSAYFHNAARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPDYVVYHELILTTKEYMQCVTAVEPQWLPELGPYVLLY >DRNTG_15936.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:837614:850968:1 gene:DRNTG_15936 transcript:DRNTG_15936.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASPWDHIAPSPVPIRASGSRRRASESSSGQRMHRPTSSSVTIWEDNGVDKNNLALEQTYNITDEMRQEWDYNADRAWYDREEINTMFDAVSSSIFLGDDASYRKEEAELAKKLTRKDGSLMTLAQSKKLSQLTVDNAQWEDRQLLRSGAVRGTEVQTEFENEDEHRVILLVHDTKPPFLDGRAVYTKQVEPVMPIKDPTSDMAIISRKGSALVRDIHEKQSMNKSRQRFWELAGSKLGDILGVEKTAEQVDADTVKVGEHGEVDFKEDAKFAQHMKQKGEAVSEFAKSRSIEQQRQYLPIFSVREELLQVVRENQVIVVVGETGSGKTTQLTQYLLEDGYTRSGIVGCTQPRRVAAMSVAKRVSEEMDTELGDKVGYAIRFEDVTGPNTLIKYMTDGVLLRETLKDSDLDKYRVVIMDEAHERSLSTDVLFGILKKVVARRRDFKLIVTSATLNAQKFSNFFGSVPIFHIPGRTFPVNILYSKTPCEDYVEAAVKQAMTIHITSPPGDILIFMTGQDEIEATCYALAERMEQLITSTSKAVPKLSILPIYSQLPADLQAKIFQKAEDGARKCIVATNIAETSLTVDGILYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLYTESAYQNEMLPNPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGSLTDLGWKMVEFPLDPPLAKMLLMGEQLECINEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYQQWKSNQYRGDWCNDHFLHVKGLKKAREVRSQLLDILKSLHIPLTSCGMDWDVVRKAICSAYFHNAARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPDYVVYHELILTTKEYMQCVTAVEPQWLPELGPYVLLY >DRNTG_15936.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:837614:850968:1 gene:DRNTG_15936 transcript:DRNTG_15936.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHASPWDHIAPSPVPIRASGSRRRASESSSGQRMHRPTSSSVTIWEDNGVDKNNLALEQTYNITDEMRQEWDYNADRAWYDREEINTMFDAVSSSIFLGDDASYRKEEAELAKKLTRKDGSLMTLAQSKKLSQLTVDNAQWEDRQLLRSGAVRGTEVQTEFENEDEHRVILLVHDTKPPFLDGRAVYTKQVEPVMPIKDPTSDMAIISRKGSALVRDIHEKQSMNKSRQRFWELAGSKLGDILGVEKTAEQVDADTVKVGEHGEVDFKEDAKFAQHMKQKGEAVSEFAKSRSIEQQRQYLPIFSVREELLQVVRENQVIVVVGETGSGKTTQLTQYLLEDGYTRSGIVGCTQPRRVAAMSVAKRVSEEMDTELGDKVGYAIRFEDVTGPNTLIKYMTDGVLLRETLKDSDLDKYRVVIMDEAHERSLSTDVLFGILKKVVARRRDFKLIVTSATLNAQKFSNFFGSVPIFHIPGRTFPVNILYSKTPCEDYVEAAVKQAMTIHITSPPGDILIFMTGQDEIEATCYALAERMEQLITSTSKAVPKLSILPIYSQLPADLQAKIFQKAEDGARKCIVATNIAETSLTVDGILYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLYTESAYQNEMLPNPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGSLTDLGWKMVEFPLDPPLAKMLLMGEQLECINEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYQQWKSNQYRGDWCNDHFLHVKGLKKAREVRSQLLDILKSLHIPLTSCGMDWDVVRKAICSAYFHNAARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPDYVVYHELILTTKEYMQCVTAVEPQWLPELGPYVLLY >DRNTG_14167.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10038740:10043185:1 gene:DRNTG_14167 transcript:DRNTG_14167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVVNPEFTPCQEVRRAIDKVYFCKKPEVTMWGSRWPKLRLRIP >DRNTG_26988.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19304949:19308992:1 gene:DRNTG_26988 transcript:DRNTG_26988.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQGELHKQLSSENILVMDENSVSASSHSQRFSGDLEPSRGSLSDASLDHARSPDSSSDVAVDEADETVKNLTEKLRSALLSIKAKEDLVKQHAKVAEEAVLGWEKAETEVAVLRQQLDSVSQKKSVLEDRVEHLDGALKECVRQLRQSREEQEQKINEALIRKSSDWESEKFELENRLVELQAQLERKNAEASAFNTGLQSKLEAAEKENSILKVELLTLSEDLQLRMLERDLSTRAAETASKQHLESIKKVARLEAECLRLRAGARKTPSDHKLNSYSGYVESLTDSQSDSGERLLAVDNEQSCSDSWASALIAELDQFKNDKVNVKNFAVSSAEIDLMDDFLEMERLAAILPDAECGSSSLDLEADSERAVTRDCQYKHELEPLQLQIKELAEKVEKTEMAKAALEKVLIETQTQLDISQNQLIVAEDSLAELQKQLNAANSLMDAMEVKRKELESQLELANLDARNLRENVILLQEKAEATSTMSAGLGTGETKRDELESQLDLAYSEIRMLQEKVIMLEVEVAERTALAGEFTDKFQYLEAVEVAQNHTGVSAQVSAARC >DRNTG_26988.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19304065:19308992:1 gene:DRNTG_26988 transcript:DRNTG_26988.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQGELHKQLSSENILVMDENSVSASSHSQRFSGDLEPSRGSLSDASLDHARSPDSSSDVAVDEADETVKNLTEKLRSALLSIKAKEDLVKQHAKVAEEAVLGWEKAETEVAVLRQQLDSVSQKKSVLEDRVEHLDGALKECVRQLRQSREEQEQKINEALIRKSSDWESEKFELENRLVELQAQLERKNAEASAFNTGLQSKLEAAEKENSILKVELLTLSEDLQLRMLERDLSTRAAETASKQHLESIKKVARLEAECLRLRAGARKTPSDHKLNSYSGYVESLTDSQSDSGERLLAVDNEQSCSDSWASALIAELDQFKNDKVNVKNFAVSSAEIDLMDDFLEMERLAAILPDAECGSSSLDLEADSERAVTRDCQYKHELEPLQLQIKELAEKVEKTEMAKAALEKVLIETQTQLDISQNQLIVAEDSLAELQKQLNAANSLMDAMEVKRKELESQLELANLDARNLRENVILLQEKAEATSTMSAGLGTGETKRDELESQLDLAYSEIRMLQEKVIMLEVEVAERTALAGEFTDKFQYLEAVEVAQNHTGVSAQVSAARC >DRNTG_26988.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19304065:19308992:1 gene:DRNTG_26988 transcript:DRNTG_26988.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQGELHKQLSSENILVMDENSVSASSHSQRFSGDLEPSRGSLSDASLDHARSPDSSSDVAVDEADETVKNLTEKLRSALLSIKAKEDLVKQHAKVAEEAVLGWEKAETEVAVLRQQLDSVSQKKSVLEDRVEHLDGALKECVRQLRQSREEQEQKINEALIRKSSDWESEKFELENRLVELQAQLERKNAEASAFNTGLQSKLEAAEKENSILKVELLTLSEDLQLRMLERDLSTRAAETASKQHLESIKKVARLEAECLRLRAGARKTPSDHKLNSYSGYVESLTDSQSDSGERLLAVDNEQSCSDSWASALIAELDQFKNDKVNVKNFAVSSAEIDLMDDFLEMERLAAILPDAECGSSSLDLEADSERAVTRDCQYKHELEPLQLQIKELAEKVEKTEMAKAALEKVLIETQTQLDISQNQLIVAEDSLAELQKQLNAANSLMDAMEVKRKELESQLELANLDARNLRENVILLQEKAEATSTMSAGLGTGETKRDELESQLDLAYSEIRMLQEKVIMLEVEVAERTALAGEFTDKFQYLEAVEVAQNHTGVSAQVSAARC >DRNTG_23968.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30847377:30852188:-1 gene:DRNTG_23968 transcript:DRNTG_23968.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSEELFDLEAKRKEEDSWFPCRISLSPHVGTLDILVGFKDYSLEDTIFNKEDALTSLRFRSSPLQGVECLQVKEGECVLAMQKLYCRSLFFDAVILQTFRVKHSSRIHCRCSFEIKWLNSELKGTTTTVPSNSVMKLSEKDIASHPVVIEFSNFSGPTNDFKVPSLLSCQQETNYDVGICGLLEKQIEEITRLADESKQSSEGMIFGVKGASIGSPANKYLSSTKNQRMLTRRTRSQDNQQVAAEVEKHSQHKPCLTPLAARAALASFVQALQQIPELAICQIEKDVNTNPCSEDPKKQIDYSVQDAKLSNSCASSRRQTRSSVSKEIQDSIDKSQKGSSNENISKPSNTKRLTRSRLLIENRNSNNFPEQEQSNLTENTEDACVEDLVTPEDIKSKKKRCTTALPGEYVNAYHPLLSKS >DRNTG_23968.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30847377:30850285:-1 gene:DRNTG_23968 transcript:DRNTG_23968.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSCNPFKCINTINLLPCFHVQSYTLAPMGITFFACIVTTHDFPSHFHSQWTCGTTMQVNIKTSLEPSETQKLERNTMALLLYHLLLFSLIFNLTTSDDPIGQYCDNSFTNKKLNQSINTVLTDLSTKASIGGFATSSSGQGLSLVYGLAQCRGDVSKDDCSACLTNASIALPKLCPSEADARLWYDYCFIRYNTKNFIGDSDTSFAIILYNVENVTDSEGFDEEVGSLMRKVRALAVKPGNGEFGRGTSVFNPFITIYALAQCTKDLQPLTCAQCLSSAVEKFPDYCTHRKGCRVLYSTCIVRYEIYPFFFPPGLSHAGNDHHYLMTSLHA >DRNTG_23968.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30847377:30852188:-1 gene:DRNTG_23968 transcript:DRNTG_23968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSEELFDLEAKRKEEDSWFPCRISLSPHVGTLDILVGFKDYSLEDTIFNKEDALTSLRFRSSPLQGVECLQVKEGECVLAMQKLYCRSLFFDAVILQTFRVKHSSRIHCRCSFEIKWLNSELKGTTTTVPSNSVMKLSEKDIASHPVVIEFSNFSGPTNDFKVPSLLSCQQETNYDVGICGLLEKQIEEITRLADESKQSSEGMIFGVKGASIGSPANKYLSSTKNQRMLTRRTRSQDNQQVAAEVEKHSQHKPCLTPLAARAALASFVQALQQIPELAICQIEKDVNTNPCSEDPKKQIDYSVQDAKLSNSCASSRRQTRSSVSKEIQDSIDKSQKGSSNENISKPSNTKRLTRSRLLIENRNSNNFPEQEQSNLTENTEDACVEDLVTPEDIKSKKKRCTTALPGELPSGPNITQVGNSSNKQKAKLPRLSFQRLTRSQNKKLQAF >DRNTG_18388.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20983161:20985469:-1 gene:DRNTG_18388 transcript:DRNTG_18388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAAFSLDHLSPPPSEQLCYVHCNFCDTVLAVSVPCSSLFKTVTVRCGHCTNLLSVNMRGLLLPTANQLHLVHHNLLDETQCPPPPPLPPSSILLDQQNIRNTNTMPPMKRTDEDLPLPPTPVVNRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDITHREAFSAAAKNWAHFPHIHFGMMPDQALKKSSVRQQEGDDVMLRDGLYAAAAAANMGVTPF >DRNTG_06621.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:455487:456491:1 gene:DRNTG_06621 transcript:DRNTG_06621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLCSRRVGMEECLVLLKKWMKRWRAQLKKDLHCTTLRQPSKKRILLQENL >DRNTG_17611.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4566914:4572251:-1 gene:DRNTG_17611 transcript:DRNTG_17611.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein 4 [Source:Projected from Arabidopsis thaliana (AT1G32050) UniProtKB/Swiss-Prot;Acc:Q9C6X2] MARRDDPNPFEEDNVNPFAANSAPKSRLHQAAERLGFGSKHDATVDIPLDTMNDPRKKEREIATWEADLRRREEDIKRREDALTRAGVPTDDKNWPPFFPIIHHDIAKEIPVHAQRLQYLAFASWLGIVLCLAFNVIAVTVCWIRGGGVKIFFLAIIYALLGCPLSYVLWYRPLYRAMRTDSAFKFGWFFLFYLLHIGFCIVAAIAPPIVFHGKSLTGILAAIDTFSDHVLVGIFYLIGFGLFCLETLLSLWVLQKVYMYFRGQK >DRNTG_22203.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1407865:1409337:-1 gene:DRNTG_22203 transcript:DRNTG_22203.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCCSSPASGSNGGKTAGVREKGQRRRLASAVPCGKRTDFGYDKDFESRYAVGKLLGHGQFGYTFVATDKSNGDRVAVKRIDKSKMLLPIAVDDVRREVKILQALQGHENVVHFYNAFEDDSFVYIVMELCEGGELLDRILAKKDSRYSEKDAAIVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTKEDSPLKATDFGLSDFIKP >DRNTG_22203.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1405841:1409337:-1 gene:DRNTG_22203 transcript:DRNTG_22203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCCSSPASGSNGGKTAGVREKGQRRRLASAVPCGKRTDFGYDKDFESRYAVGKLLGHGQFGYTFVATDKSNGDRVAVKRIDKSKMLLPIAVDDVRREVKILQALQGHENVVHFYNAFEDDSFVYIVMELCEGGELLDRILAKKDSRYSEKDAAIVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTKEDSPLKATDFGLSDFIKPDKKFNDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRRKPWPTISVSAKDFVQKLLVKDPSSEINCCSSFITSMGEGRRRCIRDTH >DRNTG_14784.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30000357:30001587:-1 gene:DRNTG_14784 transcript:DRNTG_14784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPAEHQIPTFPPPESDSEESWVWSQIKAEAHRDADSEPALASFLYATILAHTSLARSLSFHLANKLCSSTLLSTLLYDLFLQTFSSFPSLLSAAVADLLATRHRDPACVSFSHCLLNYKGFLALQAHRVAHSLWSESRRPLALALHSRVADVFSVDIHPAARLGKGLLLDHATGVVIGETAVVGNNVSILHHVTLGGTGKAGGDRHPKIGDGVLIGAGATILGNVRIGEGAKIGAGSLVLIDVPPRTTAVGNPARLIGGKEAPSKLEDVPGESMDHTSFISEWSDYII >DRNTG_29944.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21348879:21351906:-1 gene:DRNTG_29944 transcript:DRNTG_29944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGAKKRKEENKQHMVKLFRLIIACNVIYVLVRMLIFHSTFTWKHWIGLFVTSFAYGISYQQLANMAKPTYSNNGELVDGGFDMSTGGVCGYLHDVIYITSFVQVTSIISGKFWWVYLVVRP >DRNTG_10984.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13492876:13493350:1 gene:DRNTG_10984 transcript:DRNTG_10984.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQVFGRIRVIGVGIQGVDEEDGVEADVGDGDDREVTEAELGNANGVEDYGWGLCLCEDDWLVDCGGQKVGCVKLVA >DRNTG_22264.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:970592:972836:1 gene:DRNTG_22264 transcript:DRNTG_22264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGERKGLKLFGVRIGEVRMGSGVDEEEEEVMRKSSSMGNLAAAEPAAESGAGDHGYLSDGGLIQSSRRRVGQERKRGVPWTEEEHRTFLAGLEKLGKGDWRGISKNFVTTRTPTQVASHAQKYFLRQSNPNKKKRRSSLFDVVMNDKTQSTANETTPTMPMNSFDEVKELSNPHLVGTSTSTTTQIASRGSECPTALPLVMNSNVIPMFSTADPVVRASGSTEGQAMPALSFVPTHYMKDMSVAPSHDPMATICAPDFLKLSLSHPHCSQPATGTSSLIETSDLELSIAPPQPHTLSKLSSQASSSV >DRNTG_04074.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2287205:2290999:1 gene:DRNTG_04074 transcript:DRNTG_04074.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLCLLEWQGTRGTEWGQGRRRRRGSTGAGPSPAKMPVRISKRGRKSARRERRRSLSPRVLRSQIGRDRSQAVRERERTKMKRENRETYESGEKA >DRNTG_04074.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2289400:2290999:1 gene:DRNTG_04074 transcript:DRNTG_04074.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLCLLEWQGTRGTEWGQGRRRRRGSTGAGPSPAKMPVRISKRGRKSARRERRRSLSPRVLRSQIGRDRSQAVRERERTKMKRENRETYESGEKA >DRNTG_27692.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21712002:21716548:-1 gene:DRNTG_27692 transcript:DRNTG_27692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMEDRDKHVYMAKLAEQAERYDEMVEYMKKVARMDIELTSEERNLLSVGYKNVIGARRASWRILSSVEQKEEAKGNEANVRRIMDYMKRIEDELTKICNDILSIIAIHLLPSSTAGESIVFFYKMKGDYYRYLSEFKTGEQRKEAADQSLKAYQAATSTAMTELPSTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAITELDSLNEESYKDSTLIMQLLKDNLTLWTSDLPEEGGEQSKGTSIDMEQD >DRNTG_00374.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18527744:18530949:-1 gene:DRNTG_00374 transcript:DRNTG_00374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENPIATGEVKLGPAKAMDGGAGAGNPQGMPMYAHERQESDGEEEHDGVAEVEAMDADHPTDLANLSDQIGLIVPPVASNQLTLSFQGEVYVFDSVSPDKVQAVLLLLGGREIAGGLGSMPPSSHPYKRSNFPQRVASLMRFREKRKERNFDKKIRYTVRKEVALRMQRNKGQFTSSKSKPEDATTAVTTWDSTQRWSADDRPQASAVCHHCGISAKATPMMRRGPDGPRTLCNACGLMWANKGTLRDLSKNPAPSAQHSFSEAKEVNGNSEAVGEHQPLAINSNGHNAI >DRNTG_12487.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3116486:3119635:1 gene:DRNTG_12487 transcript:DRNTG_12487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQCCENPPTLNPAAGAGSVLEDFGGLRAYVTGDSESNCAIILASDIYGFESPNLRKIGDDVGASGFFVVVPDFFYGDPFVLDDDAERTRPIWLQAHAPEKGAEDAKSVIVALKNKGITSIGVAGFCWGAKVVVELAKSSEIQAGVLLHPSFVSVDDIKDVKSPIAILGAEFDHLSPPQLVKQYEEILSQNSGIDSFVKIFPGVAHGWAVRWQVDDDFAIKSAEEAHKDMLDWFLKHIK >DRNTG_23916.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3138845:3141510:-1 gene:DRNTG_23916 transcript:DRNTG_23916.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLFALGFVIFVFLAVLVRIVRFALADADLTLLSKGGPKRSEVEGKVAWITGGSKGIGKELARQFVGLGAKVIISARNLTDLGNVKAEILGRYPNAEVEILPLDVMSDEEILREAVKKAESFFSSAGVYYMVHNAGDDPPPKRAAEITWEEALTTIKTNVLGTINLTRLIVPFMIKRGRGHFVVIGSTAGKCPAPSQSVYSASKFALNGYFHTLRSELIQKGINVTVVCPGPIASKAVAYGNNDAEEPMPTDRCVHLTIAAATHNLKEVWISNQPVLLVMYLTQYMPMLGYWFMDKIGSNRVEASQGDSNTSLMTMLFGKTEEKTGKSAPLLQ >DRNTG_23916.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3138845:3141510:-1 gene:DRNTG_23916 transcript:DRNTG_23916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLFALGFVIFVFLAVLVRIVRFALADADLTLLSKGGPKRSEVEGKVAWITGGSKGIGKELARQFVGLGAKVIISARNLTDLGNVKAEILGRYPNAEVEILPLDVMSDEEILREAVKKAESFFSSAGVYYMVHNAGDDPPPKRAAEITWEEALTTIKTNVLGTINLTRLIVPFMIKRGRGHFVVIGSTAGKCPAPSQSVYSASKFALNGYFHTLRSELIQKGINVTVVCPGPIASKAVAYGNNDAEEPMPTDRCVHLTIAAATHNLKEVWISNQPVLLVMYLTQYMPMLGYWFMDKIGSNRVEASQGDSNTSLMTMLFGKTEEKTGKSAPLLQ >DRNTG_01853.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21277617:21278765:1 gene:DRNTG_01853 transcript:DRNTG_01853.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQGNGGVRRAEIDTSAPFRSVKEAVLLFGEKVLAGEVYANKLNEIRATTCSKENGSSRFGSVVAELEETKQSLEIAKEQHQMMESSITSLRVELEKTKLELNQLKSKESEKQVIHSSEIDDVIKFEEESREFLLDSPQTSDGNEFQKRRYVKFAEPPSLAKVLNINKSVLERQFSHVDREIPRKKNKKKPLMSLIRVFSKKKGSP >DRNTG_19229.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18956658:18960246:-1 gene:DRNTG_19229 transcript:DRNTG_19229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPAKPARRFLARLLILGVIAFVVPFAYVVTIRGGGGCSGESCFFSSSDSLTVSAGGSAAYVRHTDGGVVRDLWTSREWRKAVDFYSSSFQTLAAEGFISPASKALCVGSASGHEVLALKEIGVSNTVGISRTRSPPLVVSGNLYSQPFKNASFDFIFSANHIELSPRPVDLAVEISRTLKPEGFIVIHTASAGDAYSLRSLLDLFPSCRLVRSHEIDGPLSSMKLREIILQKQPGSEIDNGDSVHECSVVPDHKLRILASAEPLIQEEPLKPWITLKKNIKNVKYLPSMVDINFKRRYVYIDIGARSYGSSIGSWFKKQYPKQNRTFEIYAIEADRAFHEEYAKKKGVSLLPFAAWVRNETLTFEVNHELDQKAEKKSLGRGMGRIRPSVGDSANGLASNDLHSIHGFDFAEWLKSTVSEKDFVVVKMDIEGTEFDLVPRLFETGAICLIDELFLECHYNRWQRCCPGERSPKYKNTYGQCLELFSSLRESGVLVHQWW >DRNTG_23337.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6127248:6131598:1 gene:DRNTG_23337 transcript:DRNTG_23337.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVEGTTVNLGLWDTAGQEDYNRLRPLSYRAADVFVLAFSLVSRASYENVLKKWVPELQHYAPGVPVVLVGTKLDLREDKHYLADHPGMLPVTTAQGEELRKQIGATYYIECSSKTQQNVKAVFDAAIRVVIQPPSKQKEKKKKPRRGCSLLNVLCGRKLVCLK >DRNTG_33725.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1278276:1281971:1 gene:DRNTG_33725 transcript:DRNTG_33725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQALRDLNVLPPSELDKKPDGSVKGKVCIGNIVENHEKKTKVPTTVSDSLLNDAGLGNNVIEAGNLEVEYIDSENLTDLQDVDACLSTLSARLDSKEWVSICEALNNVRQLSLYHKERMMEMLGSVIPLIVKSLKNPRSAVCKTAIMTSADIFKVYGDVIIDSVDPLLVQLLLKSSQDKRFVCEAAVTALIAMTTWVSPSLLLPKLQPYLKNKNPRIRAKASMCFSRSVPRLGIEGIKSYGIDKLVQTAASQLSDQLPESREAARVLALELQSVYEKSQGSPTEDSKEVENADSWEVFCQAKLPPLSAQAIIRVTSTPKEALVAGC >DRNTG_25817.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:998815:1000640:1 gene:DRNTG_25817 transcript:DRNTG_25817.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSLHSIPSAITLYIFILIASINADNLIEETCKQVPDLKDLCVQTLTSDPKSKTSNDAHGLTSVAIGLTAKEAAVAGDQITRLAEQVKAHEEELLQCLADCEEEYEDAVQQLEQCRVSMDEKEYHEVVMFVEAALNDVKNCEEACKNVEEKEKKELIEEKNNKVIYGISDHPPQVEQTSPEDAMMQTQIQ >DRNTG_17258.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5698691:5702490:-1 gene:DRNTG_17258 transcript:DRNTG_17258.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFEAYERQYCEISASLSRKCTSAAQLDGEKKKQKVSEIKSGIEDAENLIRKMDLEARSLQPSVKAALLAKMREYKSDLNNLKSELKKLTSPNLNQATREELLEAGMADTLAVSADQRGRLLMSTERLNQSSDRIREGRRTMLETEELGVSILQDLHQQRQSLLHANNTLHGVDDNIGKSRKILSAMSKRMDRNKWMIGCVIAVLVVAITLILYFKLAH >DRNTG_17258.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5698691:5702490:-1 gene:DRNTG_17258 transcript:DRNTG_17258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFEAYERQYCEISASLSRKCTSAAQLDGEKKKQKVSEIKSGIEDAENLIRKMDLEARSLQPSVKAALLAKMREYKSDLNNLKSELKKLTSPNLNQATREELLEAGMADTLAVSADQRGRLLMSTERLNQSSDRIREGRRTMLETEELGVSILQDLHQQRQSLLHANNTLHGVDDNIGKSRKILSAMSKRMDRNKWMIGCVIAVLVVAITLILYFKLAH >DRNTG_12944.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14928313:14929994:1 gene:DRNTG_12944 transcript:DRNTG_12944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLITFSSTFFFILLPLLPLLTFLLALSCFSTKKPTSQALKPYLLIGHVLNSSRTVTDTLTGQRDHPFRPYPHHGFQNPFLAHGIITANPLNVEHLIKTNFVNYPEGQFTTTMLSDFLGQGIFSSDDEQWKHQRKPASIEFNKKSLKDAVVDTVQWGIENRLLPLLRRAEGRDEVLDLQDILECFGFDTTCKLAFNEDPACLSIEKEEEEKMIMSKKAKKAFGDAQRLTMVRAMNVFPFTWTTMKKLDIGYEKKL >DRNTG_06434.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29884266:29886666:-1 gene:DRNTG_06434 transcript:DRNTG_06434.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEYMDSGDLFCYITVRGRLKEDEARIIFQQIICGVEFCHLNMVAHRDLKPENLLLDCNGTVKLADFGLSNTMTDGHFLKTTCGSPEYAAPEIISKQFYAGSDVDVWSCGVVLYALLCGRLPFEAENLSYLCTKIKSGIYTLPAHLSLEAKDLISRILVVDPITRITIPEIRQHPWFRLHLPWFLSVRAEEIKERSKLINKDTFEEVVGLGFSHSLLMESLKNRLQNEATVTYYLLLDRRLRSCNACIRYGFNSEKEFKTANTSLQQQTYIENVGVSPRSNVPYQTTWTVGLQTLTHPHELMLDALSVLRRLNVSWKRIGSYNMKCRWLPPERKVSLDMNHIPFEATISDTGVELKSQNAVKFEMQLYKGPENRYLLDLQRVSGPPLLFLEICAKFLSQIRVL >DRNTG_06434.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29884266:29887022:-1 gene:DRNTG_06434 transcript:DRNTG_06434.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPAKKKKSSQVLMPQYYLERTLGIGSFGKVKLARHVSTGLKVAIKILNLQKLSASDAEKVRREVKILAAFSHPHIVRLYEVIEIRSKVYVVMEYMDSGDLFCYITVRGRLKEDEARIIFQQIICGVEFCHLNMVAHRDLKPENLLLDCNGTVKLADFGLSNTMTDGHFLKTTCGSPEYAAPEIISKQFYAGSDVDVWSCGVVLYALLCGRLPFEAENLSYLCTKIKSGIYTLPAHLSLEAKDLISRILVVDPITRITIPEIRQHPWFRLHLPWFLSVRAEEIKERSKLINKDTFEEVVGLGFSHSLLMESLKNRLQNEATVTYYLLLDRRLRSCNACIRYGFNSEKEFKTANTSLQQQTYIENVGVSPRSNVPYQTTWTVGLQTLTHPHELMLDALSVLRRLNVSWKRIGSYNMKCRWLPPERKVSLDMNHIPFEATISDTGVELKSQNAVKFEMQLYDLTALQGSREPIPP >DRNTG_06434.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29884266:29887365:-1 gene:DRNTG_06434 transcript:DRNTG_06434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPAKKKKSSQVLMPQYYLERTLGIGSFGKVKLARHVSTGLKVAIKILNLQKLSASDAEKVRREVKILAAFSHPHIVRLYEVIEIRSKVYVVMEYMDSGDLFCYITVRGRLKEDEARIIFQQIICGVEFCHLNMVAHRDLKPENLLLDCNGTVKLADFGLSNTMTDGHFLKTTCGSPEYAAPEIISKQFYAGSDVDVWSCGVVLYALLCGRLPFEAENLSYLCTKIKSGIYTLPAHLSLEAKDLISRILVVDPITRITIPEIRQHPWFRLHLPWFLSVRAEEIKERSKLINKDTFEEVVGLGFSHSLLMESLKNRLQNEATVTYYLLLDRRLRSCNACIRYGFNSEKEFKTANTSLQQQTYIENVGVSPRSNVPYQTTWTVGLQTLTHPHELMLDALSVLRRLNVSWKRIGSYNMKCRWLPPERKVSLDMNHIPFEATISDTGVELKSQNAVKFEMQLYKGPENRYLLDLQRVSGPPLLFLEICAKFLSQIRVL >DRNTG_06434.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29884266:29887273:-1 gene:DRNTG_06434 transcript:DRNTG_06434.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPAKKKKSSQVLMPQYYLERTLGIGSFGKVKLARHVSTGLKVAIKILNLQKLSASDAEKVRREVKILAAFSHPHIVRLYEVIEIRSKVYVVMEYMDSGDLFCYITVRGRLKEDEARIIFQQIICGVEFCHLNMVAHRDLKPENLLLDCNGTVKLADFGLSNTMTDGHFLKTTCGSPEYAAPEIISKQFYAGSDVDVWSCGVVLYALLCGRLPFEAENLSYLCTKIKSGIYTLPAHLSLEAKDLISRILVVDPITRITIPEIRQHPWFRLHLPWFLSVRAEEIKERSKLINKDTFEEVVGLGFSHSLLMESLKNRLQNEATVTYYLLLDRRLRSCNACIRYGFNSEKEFKTANTSLQQQTYIENVGVSPRSNVPYQTTWTVGLQTLTHPHELMLDALSVLRRLNVSWKRIGSYNMKCRWLPPERKVSLDMNHIPFEATISDTGVELKSQNAVKFEMQLYKGPENRYLLDLQRVSGPPLLFLEICAKFLSQIRVL >DRNTG_03069.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12265473:12266810:1 gene:DRNTG_03069 transcript:DRNTG_03069.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor 7 [Source:Projected from Arabidopsis thaliana (AT3G20310) UniProtKB/Swiss-Prot;Acc:Q9LDE4] MRKARAVAAAAAAAAAATAAAEVRSELKETRYRGVRKRPWGRFAAEIRDPWKKTRVWLGTFDSAEDAARAYDAAARALRGPKARTNFPSPPSPVAAPPPPPFPHPQFTSPPPPPRPTSSSLSSTVESFSGPRRSTPLLRPPSSLHPRAPPTDAAADDCHSDCGSSSSVIDDGDAAASSSLRQPLPFRFDLNLLPPPDDDLQATVLRL >DRNTG_03069.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12265473:12266485:1 gene:DRNTG_03069 transcript:DRNTG_03069.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor 7 [Source:Projected from Arabidopsis thaliana (AT3G20310) UniProtKB/Swiss-Prot;Acc:Q9LDE4] MRKARAVAAAAAAAAAATAAAEVRSELKETRYRGVRKRPWGRFAAEIRDPWKKTRVWLGTFDSAEDAARAYDAAARALRGPKARTNFPSPPSPVAAPPPPPFPHPQFTSPPPPPRPTSSSLSSTVESFSGPRRSTPLLRPPSSLHPRAPPTDAAADDCHSDCGSSSSVIDDGDAAASSSLRQPLPFRFDLNLLPPPDDDLQATVLRL >DRNTG_03069.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12264181:12266416:1 gene:DRNTG_03069 transcript:DRNTG_03069.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor 7 [Source:Projected from Arabidopsis thaliana (AT3G20310) UniProtKB/Swiss-Prot;Acc:Q9LDE4] MRKARAVAAAAAAAAAATAAAEVRSELKETRYRGVRKRPWGRFAAEIRDPWKKTRVWLGTFDSAEDAARAYDAAARALRGPKARTNFPSPPSPVAAPPPPPFPHPQFTSPPPPPRPTSSSLSSTVESFSGPRRSTPLLRPPSSLHPRAPPTDAAADDCHSDCGSSSSVIDDGDAAASSSLRQPLPFRFDLNLLPPPDDDLQATVLRL >DRNTG_03069.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12265473:12266416:1 gene:DRNTG_03069 transcript:DRNTG_03069.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor 7 [Source:Projected from Arabidopsis thaliana (AT3G20310) UniProtKB/Swiss-Prot;Acc:Q9LDE4] MRKARAVAAAAAAAAAATAAAEVRSELKETRYRGVRKRPWGRFAAEIRDPWKKTRVWLGTFDSAEDAARAYDAAARALRGPKARTNFPSPPSPVAAPPPPPFPHPQFTSPPPPPRPTSSSLSSTVESFSGPRRSTPLLRPPSSLHPRAPPTDAAADDCHSDCGSSSSVIDDGDAAASSSLRQPLPFRFDLNLLPPPDDDLQATVLRL >DRNTG_03069.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12264181:12266485:1 gene:DRNTG_03069 transcript:DRNTG_03069.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor 7 [Source:Projected from Arabidopsis thaliana (AT3G20310) UniProtKB/Swiss-Prot;Acc:Q9LDE4] MPATARVDDGCDPTRAQSITEYPTPLHSSPPSRPHVTSRYRHRHRQYYRHRHCHTNPLTPSPTPHLSMPKQIPNGNPTPPSTLTPNFTLPLKENHHFYFPSFSKKQGHSCIFTFTKTKAQKKFKNTKKGERLVEESNQLRLVFEHIANIERKTKKQKRKKKNRERDRRKKPYLITALLDLRSPPSDPDRTATMRKARAVAAAAAAAAAATAAAEVRSELKETRYRGVRKRPWGRFAAEIRDPWKKTRVWLGTFDSAEDAARAYDAAARALRGPKARTNFPSPPSPVAAPPPPPFPHPQFTSPPPPPRPTSSSLSSTVESFSGPRRSTPLLRPPSSLHPRAPPTDAAADDCHSDCGSSSSVIDDGDAAASSSLRQPLPFRFDLNLLPPPDDDLQATVLRL >DRNTG_20687.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001140.1:6926:9504:-1 gene:DRNTG_20687 transcript:DRNTG_20687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKTHPEGIINFVKLVLFALIMWYASDPCGAIWNYTRESGKCLYPISVLIVFHSPFFSADLETEIPEGSVYGRYTDPFTGRDLGTYKVLKGIFWASSS >DRNTG_03922.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15040472:15045318:1 gene:DRNTG_03922 transcript:DRNTG_03922.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbB MGLPWYRVHTVVLNDPGRLLSVHIMHTALVSGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWSISGGSITNPGIWSYEGVAGAHIVFSGLCFLAAIWHWVYWDLEIFCDERTGKPSLDLPKIFGIHLFLSGLACFGFGAFHVTGLYGPGIWVSDPYGLTGKVQSINPAWGVEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVGAGLAENLSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPIFRDKEGRELFVRRMPTFFETFPVVLVDVDGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYSDPTTVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHASFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGTFQKIGDPTTRRQAV >DRNTG_27240.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20087889:20088961:1 gene:DRNTG_27240 transcript:DRNTG_27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKAGVKKGPWTPEEDIMLVSYIQDHGPGNWRSIPTNTGLKRCSKSCRLRWTNYLRPGIKRGSFSDQEEKLIVHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLNKVPNHGIDKGKWERSLQTDIKMAKQALLDALSLDEAPNCELNSVTTYNNSSSSSSSSSSTSTTATKTYAANADNISRLLEGWMKEKSGVSTQSSTTSANTMAMDSPSSGGTVTFEAGLMPYSFLETWLFDENVSEKEEQDAFLDVSLVDNSEFF >DRNTG_12074.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000533.1:20422:21041:-1 gene:DRNTG_12074 transcript:DRNTG_12074.1 gene_biotype:protein_coding transcript_biotype:protein_coding LINISPKERLGKQDEKGHNYKHLHPAKYLN >DRNTG_13204.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2537333:2538519:-1 gene:DRNTG_13204 transcript:DRNTG_13204.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRSKALILFPLFITILCLSFPATATSSSDVDFLYLTLTWPGTLCTSEKCCMPTTGEPALDFMVEDIKTYNNQTGEIVKNCKKTCQFYVNMMKDYINELYAHWSDLSCPSNNGLKNWKKTWCTYGQCSNLNQHDYIQTALNISYHVNLLEVLDVNRIVPSSSTRYKLQDIQNALRVNYGLSTHIECVNTWWPIWQPRKSLLSKINFCISKDGKSIISCPFNTETTCHDEVWFYPFTSNMLHPCTPDSAGLIKMPTEQYSAV >DRNTG_34482.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18836447:18839506:-1 gene:DRNTG_34482 transcript:DRNTG_34482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVDIILSSRAGQSRAD >DRNTG_13252.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13767702:13769092:1 gene:DRNTG_13252 transcript:DRNTG_13252.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRRLPSGRTVEPHTAVLDLSPQAQENERNRIEVMIRRRSSSKKRKPSPAK >DRNTG_06091.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000278.1:35320:35896:1 gene:DRNTG_06091 transcript:DRNTG_06091.1 gene_biotype:protein_coding transcript_biotype:protein_coding QKHSKKNKKKKKKKPNFQGLKPTSHTVQPPSCKISS >DRNTG_35367.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:28:6627:7892:-1 gene:DRNTG_35367 transcript:DRNTG_35367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVHVYVYKEEGVFIEFIENMPKMQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGPRAKTNFPVDPNGPNRSSVLSASLIAKLDRCCGTLSEELKGKEEEEVKCLDDECIEEMIQELIYYGSVEISSSTSSSASFSSV >DRNTG_26743.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17012323:17018976:1 gene:DRNTG_26743 transcript:DRNTG_26743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPGSEALGWRNAMLTIVLPLDSTQMPGNQGCESKAPGLSWVMMMGASTTGAASGARRRRGWRKNRVGFGLVGKRRRKMGNDLLGDLKDLGYDIKKSVNLFLMDNGGSLKIVKDDVEILDLVDQLTRNLMVDIYVDCSDVKHGVELPDSLFPCPIGDQTCLAVTKLSDTSVLCPEHDSGLGDDDDEEEEEEILVDVPVVGSDADDERDQVRVKVTKYVQLKKAVHEDVDENDNDIGDGCMAGDTTHGEGQITRPNATQYGKVVGFESDYIESSYPGSFEDTSEESDADDAIMHRSIRKYYNPDVPLPDFFLDLRFANLKHFKSELVDFSTRKGFEFKYMKNDALWTTKNRRVTSHVVAKRFGDLISEVMKYKPYDHKANVFSFSIVLWELLTRKGLRPTIPKHTHPKLTELLEKCWQLVMSQMTSAKRSHPVGFSRFSEEISKITWLVFTLLLTGISMPTRFSGKGLWP >DRNTG_22775.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3988716:3989422:1 gene:DRNTG_22775 transcript:DRNTG_22775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDALLQRSTTSPHSEASSSSSLTWLTVKVKPGTFFFFTAWIIIMALFEYFMLPESNGILMKEITHTTWKNHWYSKRFMVEDECELSKMEKGISP >DRNTG_06572.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:493198:495250:-1 gene:DRNTG_06572 transcript:DRNTG_06572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISIVGKICAEAEKVFNQDLYFKKTVKYVGEPMTHLESIASSAVRAAIKVKASVIIVFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLRWSFTGAFEARQSLIVRGLFPMLADPRHPAESTNATNESVLKVALDHGKASGVIKSHDRVVVCQKVGDASVVKIIELED >DRNTG_17147.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17376530:17472233:-1 gene:DRNTG_17147 transcript:DRNTG_17147.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEYAVTIGEYDPLVLARGVLLDDSVHLRIAHKYGAPAPTPGATSLPDFDMRLRGI >DRNTG_02170.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29269721:29271048:1 gene:DRNTG_02170 transcript:DRNTG_02170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWEEIIKLNKEYKVCRDKPFPLFLKIHDLVASSTANGQYASHTGGSVRADDLVVNLDEGSGSSDSPVLHVDKDTIPSHSTGKKVSYNNASSMTKRKQLDHPNPSVSKKKSSDHTVEALNRLISLSDRRSKIMEQ >DRNTG_02170.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29269721:29271990:1 gene:DRNTG_02170 transcript:DRNTG_02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQFLMEGTGQGSQPVSGGNPEHVGSYQDVREVASEE >DRNTG_11588.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:12908863:12912013:1 gene:DRNTG_11588 transcript:DRNTG_11588.2 gene_biotype:protein_coding transcript_biotype:protein_coding HPLPPHSAAPDHPQLPEPPRRQRVSSLCHTLAGDVDWAAWEPDTAVLLCEEEGGGGCCRADSGGCINLHCYCAVGDGWSCASTPIHGDLSCGGCWPFSELSVLLWSAFRLVLVCLGGFRHHWWAICASSGYVVNICSCYTKQRFARDDFLQCGGCGCHYGTLSETS >DRNTG_11588.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:12908863:12912013:1 gene:DRNTG_11588 transcript:DRNTG_11588.1 gene_biotype:protein_coding transcript_biotype:protein_coding HPLPPHSAAPDHPQLPEPPRRQRVSSLCHTLAGDVDWAAWEPDTAVLLCEEEGGGGCCRADSGGCINLHCYCAVGDGWSCASTPIHGDLSCGGCWPFSELSVLLWSAFRLVLVCLGGFRHHWWAICASSGYVVNICSCYTKQRFARDDFLQCGGCGCHYGTLSETS >DRNTG_14465.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000693.1:50327:52076:1 gene:DRNTG_14465 transcript:DRNTG_14465.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYHPHITYYGGRMHYSSGMISSYLPRFPYYPVYMAVWRPYAPRMKRESRVFKCFSLPIWAAATTLFWGLSAIFPRRFLGETSIHHHQEGEEQTRRCWSEDLDA >DRNTG_18186.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4708388:4708969:1 gene:DRNTG_18186 transcript:DRNTG_18186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIKSSSSTNLVTKSSIINVDKTKPASTRAQFMASHNAARQVVGVPPLVWDTGLTTFARVYANQRRKDCSLIHSPGYAYGENIFWGKGRRWSATDVVASWVAEKQWYHYNNNSCSGPDCTHYTQIVWRTTERVGCAKIVCDSGDTFAICEYYPPGNYIGARPYAQPEQKP >DRNTG_14560.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17629275:17632140:-1 gene:DRNTG_14560 transcript:DRNTG_14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-galactose/UDP-glucose transporter 7 [Source:Projected from Arabidopsis thaliana (AT4G31600) UniProtKB/Swiss-Prot;Acc:Q94B65] MDSPPEAGSTPFLSLFAALSYGIASMAMVFINKAVLMQYSDSMTLLTLQQLATSLLIHFGRVMGHMKFKTVNFATAKKLLPVSVFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLVAGFFSGKGRPPIQVSLSVVFTAVGVIIAALGDFSFDLFGYGMALTSVFFQTMYLVLVEKSGAEDGHSSVELMFYNAILSLPFLLFLIIATGEFPHSLSVLYEKAHSLPFLVILILSLVMAIVLNFTMFLCTIVNSALTTTIVGVLKGVGSTTLGFIFLGGVQIHALNVTGLVINTAGGVWYSFAKYQQKKRMPRKVISDTESHNK >DRNTG_14560.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17629275:17632140:-1 gene:DRNTG_14560 transcript:DRNTG_14560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-galactose/UDP-glucose transporter 7 [Source:Projected from Arabidopsis thaliana (AT4G31600) UniProtKB/Swiss-Prot;Acc:Q94B65] MDSPPEAGSTPFLSLFAALSYGIASMAMVFINKAVLMQYSDSMTLLTLQQLATSLLIHFGRVMGHMKFKTVNFATAKKLLPVSVFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLVAGFFSGKGRPPIQVSLSVVFTAVGVIIAALGDFSFDLFGYGMALTSVFFQVVPDSLFLNAFP >DRNTG_34704.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:14371209:14373613:-1 gene:DRNTG_34704 transcript:DRNTG_34704.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVPKHSLKTQKGSKKNLKNEITPPFHHSDRSTSDSFPDSSGLSDEYRALRRKYLLLEEESFSLGKELGDVEIEVKTLEDEKYALLDQLVVLEGLIDPSELQAKVGL >DRNTG_34704.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:14371209:14373613:-1 gene:DRNTG_34704 transcript:DRNTG_34704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPQIVTGKEAPQQRSRKKKALSQVASASQMKEVPKHSLKTQKGSKKNLKNEITPPFHHSDRSTSDSFPDSSGLSDEYRALRRKYLLLEEESFSLGKELGDVEIEVKTLEDEKYALLDQLVVLEGLIDPSELQAKVGL >DRNTG_34704.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:14371209:14373613:-1 gene:DRNTG_34704 transcript:DRNTG_34704.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPQIVTGKEAPQQRSRKKKALSQVASASQMKEVPKHSLKTQKGSKKNLKNEITPPFHHSDRSTSDSFPDSSGLSDEYRALRRKYLLLEEESFSLGKELGDVEIEVKTLEDEKYALLDQLVVLEGLIDPSELQAKVGL >DRNTG_07249.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000329.1:24966:25865:-1 gene:DRNTG_07249 transcript:DRNTG_07249.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLNNVKSGILRLFKSSSYFYILAQSCINKIRLLTR >DRNTG_07249.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000329.1:23939:25865:-1 gene:DRNTG_07249 transcript:DRNTG_07249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLNNVKSGILRLFKSSSYFYILAQSCINKIRLLTR >DRNTG_07249.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000329.1:23939:25865:-1 gene:DRNTG_07249 transcript:DRNTG_07249.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLNNVKSGILRLFKSSSYFYILAQSCINKIRLLTR >DRNTG_25977.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001342.1:72110:73801:1 gene:DRNTG_25977 transcript:DRNTG_25977.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monothiol glutaredoxin-S14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54900) UniProtKB/Swiss-Prot;Acc:Q84Y95] MASIAASIQPLKTSAFSSPLRVLAFSRPSLNPLLSRSYGMAGVAPISQPRRFPSKPPGSSLSARCFSALSPELKSTLDKVVKSHKVILFMKGTKDFPQCGFSSTVVQILNSLNVPFETLNILENEILRQGLKEYSNWPTFPQLYIDGEFFGGCDITVEAYKNGQLQEQLEKAICS >DRNTG_25977.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001342.1:72159:73835:1 gene:DRNTG_25977 transcript:DRNTG_25977.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monothiol glutaredoxin-S14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54900) UniProtKB/Swiss-Prot;Acc:Q84Y95] MASIAASIQPLKTSAFSSPLRVLAFSRPSLNPLLSRSYGMAGVAPISQPRRFPSKPPGSSLSARCFSALSPELKSTLDKVVKSHKVILFMKGTKDFPQCGFSSTVVQILNSLNVPFETLNILENEILRQGLKEYSNWPTFPQLYIDGEFFGGCDITVEAYKNGQLQEQLEKAICS >DRNTG_25977.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001342.1:72159:73835:1 gene:DRNTG_25977 transcript:DRNTG_25977.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monothiol glutaredoxin-S14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54900) UniProtKB/Swiss-Prot;Acc:Q84Y95] MASIAASIQPLKTSAFSSPLRVLAFSRPSLNPLLSRSYGMAGVAPISQPRRFPSKPPGSSLSARCFSALSPELKSTLDKVVKSHKVILFMKGTKDFPQCGFSSTVVQILNSLNVPFETLNILENEILRQGLKEYSNWPTFPQLYIDGEFFGGCDITVEAYKNGQLQEQLEKAICS >DRNTG_25977.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001342.1:72159:73801:1 gene:DRNTG_25977 transcript:DRNTG_25977.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monothiol glutaredoxin-S14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54900) UniProtKB/Swiss-Prot;Acc:Q84Y95] MASIAASIQPLKTSAFSSPLRVLAFSRPSLNPLLSRSYGMAGVAPISQPRRFPSKPPGSSLSARCFSALSPELKSTLDKVVKSHKVILFMKGTKDFPQCGFSSTVVQILNSLNVPFETLNILENEILRQGLKEYSNWPTFPQLYIDGEFFGGCDITVEAYKNGQLQEQLEKAICS >DRNTG_25977.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001342.1:72159:73894:1 gene:DRNTG_25977 transcript:DRNTG_25977.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monothiol glutaredoxin-S14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54900) UniProtKB/Swiss-Prot;Acc:Q84Y95] MASIAASIQPLKTSAFSSPLRVLAFSRPSLNPLLSRSYGMAGVAPISQPRRFPSKPPGSSLSARCFSALSPELKSTLDKVVKSHKVILFMKGTKDFPQCGFSSTVVQILNSLNVPFETLNILENEILRQGLKEYSNWPTFPQLYIDGEFFGGCDITVEAYKNGQLQEQLEKAICS >DRNTG_25977.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001342.1:72159:73801:1 gene:DRNTG_25977 transcript:DRNTG_25977.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monothiol glutaredoxin-S14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54900) UniProtKB/Swiss-Prot;Acc:Q84Y95] MASIAASIQPLKTSAFSSPLRVLAFSRPSLNPLLSRSYGMAGVAPISQPRRFPSKPPGSSLSARCFSALSPELKSTLDKVVKSHKVILFMKGTKDFPQCGFSSTVVQILNSLNVPFETLNILENEILRQGLKEYSNWPTFPQLYIDGEFFGGCDITVEAYKNGQLQEQLEKAICS >DRNTG_25977.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001342.1:72159:74255:1 gene:DRNTG_25977 transcript:DRNTG_25977.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monothiol glutaredoxin-S14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54900) UniProtKB/Swiss-Prot;Acc:Q84Y95] MASIAASIQPLKTSAFSSPLRVLAFSRPSLNPLLSRSYGMAGVAPISQPRRFPSKPPGSSLSARCFSALSPELKSTLDKVVKSHKVILFMKGTKDFPQCGFSSTVVQILNSLNVPFETLNILENEILRQGLKEYSNWPTFPQLYIDGEFFGGCDITVEAYKNGQLQEQLEKAICS >DRNTG_25870.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9002455:9005315:-1 gene:DRNTG_25870 transcript:DRNTG_25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFKLAEMKPEMVESLVVSGSVIAMTDSISETGLERLGFSSSAELLLPESVKGLKALLKVAAYKKLWFPDCLYRDYLKVMFKNRKERAELLEGLVVSNKDAVVPALPQRILLLWGENDNIFNIHLANKMKEQLGEKATVQGIEKAGHLVHLERPFAYNQCLKEYLALIYPETIQN >DRNTG_25385.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24338643:24341700:-1 gene:DRNTG_25385 transcript:DRNTG_25385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLKSLNLGVNDFSEDAIPESIGSLCNLDTLDLSRWNISKRFAEFGGVFSGCLRNSLTHLHLSSASLKGIIPDWIGDIKNLQVLDLSNNFLYGSVPSSLARLSSLQEMILVSNELNGTVPEDIGKLSELVNLNLGENHLNVVLSETHFTKLEKLEILFLSYNPLVFNVSSHWNPPFILKTLRISSCSLGTQFPTWLQTQHELHALDMSDVGIADTAPIWFWNLTTKLFSLDLSHNQIEGSIPKTSSFRDSFSIDLSSNRFSGTLPEFGSITRILDLSNNTFSGHIPASIGERMPDMFYLSASMNKLSGRVPSSLCQMKGMGAVDLSKNQLSGELPDCWLNSSALQILDFSNNNVIGGIPESICDMPSLTSLHLSNNNLSGEFPVSLKKCSGLTALDLSHNSFTGSIPTWIGESLSSLDILSLKSNIFLDHIPPEISQLTSLQILDLSSNNLSGPLPRTLGNFSVMQVPQKIGKGLRNVQDYKESLLLILKGREDEYNIKLLSLLKVIDFSGNHLSGDIPEDLTSLYGLQALNLSGNYLEGEIPNKLGRLQQLETLDLSRNELTGSIPWSFSNLSFLNHLNVSYNHLTGRVPSGNQMNTFTDPSIYIGNDLCGFPLGVECAKDGERNREGPSEEEDDEEDDVMLWWYIGSTSGFAVGFWSVWGVLIFKKSWRYGWFYYTNIVCGMIYVAVVLSFARIKKKMMMLMIFRGREG >DRNTG_27073.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001379.1:19745:24633:1 gene:DRNTG_27073 transcript:DRNTG_27073.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIYGKLDIHPQHFQASKATDDCLPEKHQMTDYSLRSYSHSWVGRRVMGAASVLNLFSISRLPWVYNSNDDDKIVITKAELESLRSEISDSEERESHLKAQLEHVDELLKSARLSGYLCMRTRWTELPGEPPIIDDADVDDWLPRFVVLQGSSVFYYLKSTGLLPSFMKDDQEERHAFYILTCHGLRFECSSISKVQVESWLAALQKDCNLESDSTVNKQV >DRNTG_27073.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001379.1:19745:23812:1 gene:DRNTG_27073 transcript:DRNTG_27073.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIYGKLDIHPQHFQASKATDDCLPEKHQMTDYSLRSYSHSWVGRRVMGAASVLNLFSISRLPWVYNSNDDDKIVITKAELESLRSEISDSEERESHLKAQLEHVDELLKSARLSGYLCMRTRWTELPGEPPIIDDADVDDWLPRFVVLQGSSVFYYLKSTGLLPSFMKDDQEERHAFYILTCHGLRFECSSISKVQV >DRNTG_22966.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16384056:16385582:1 gene:DRNTG_22966 transcript:DRNTG_22966.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHPIHQANKTGPFGNLTKDEFYRLHRVKHEETFMTNNKNMRIFTQSWQPTSQETQVIGLVAMIHGYSSESSWIFQLTAVAIAKLGFHVFALDLRGHGYSDGRRGHISDINPIIQDCIQYFDAARSAHSHLPAFLYGESLGGAIATLIAINQKHTWKGLILNGPMFGVSAKFKPIWPLEKFLPAAAMVAPRWRVVLTKSLVEKSYKEKWKRELVRRSPEAQKSEHPPAKTALEMLKVCERIGKRCKELEVALLVVHGRDDSVCDLSAVEKVFSMAGSQDKRLEVVDGMWHQMIGDTLEIVEFGFEVIFNWLVERARRFTS >DRNTG_08616.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5703302:5707921:-1 gene:DRNTG_08616 transcript:DRNTG_08616.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTLTRETLRPHRSHISANTNSQEVTRPAYNETSVCTFECSQRLHNMRINLNPSRNAHLIACPSSTAGES >DRNTG_11814.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:332534:334173:-1 gene:DRNTG_11814 transcript:DRNTG_11814.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWELTVATAYFLGLKRTYKLALRIQRRLVGPNHPNIRLFLYRRTRSVFDMAVKVHKNVQERDLELGRSIGSRILRWLDRMKPSAQIRPPKSILDTSTNSDKAKHMMNSAEPPQQTSRLDIKTKEQESNNRLNFTPLINMQKKITPMTTTMIQQPIRPASMSDQYRRISYKMPGVFRKDIALWMMHNQ >DRNTG_34740.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002186.1:57260:57968:1 gene:DRNTG_34740 transcript:DRNTG_34740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAVDQKGENLPVNNHQVADLEEMNIQGQHLVSPLAASEHSDNIDRSSPPNVNSGENEKVQFL >DRNTG_34740.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002186.1:57260:57968:1 gene:DRNTG_34740 transcript:DRNTG_34740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAVDQKGENLPVNNHQVADLEEMNIQGQHLVSPLAASEHSDNIDRSSPPNVNSGENEKILENSWLKIKRVEDEDT >DRNTG_08057.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18144968:18148569:1 gene:DRNTG_08057 transcript:DRNTG_08057.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:Projected from Arabidopsis thaliana (AT3G60820) UniProtKB/TrEMBL;Acc:A0A178V6R9] MSRRGDWVYENNGGTCVAIAGADYCVVAADTRLSTGYSIYTRDYSKICKLADKCVLASSGFQGDIKALQKNLSARHLIYQHQHNKQMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDNEGKGCVFTYDAVGSYERVGYSSQGSGSTLIMPVLDNQLKSPSPLLLPAKDSVTPLSEMEAVDLVKDVFASATERDIYTGDKLEIVIINASGIRHEFMELRKD >DRNTG_32288.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001817.1:20116:22976:-1 gene:DRNTG_32288 transcript:DRNTG_32288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPAPYEGLFDQEEDYKEVMMLGSTEEVQSTPGILKKVHRKHFKGVGDSKGVGYVRDPKKLDESLKEANVDHNAPILEEILLRLKREDISRVRDARVCGNLPVFEFAQPFGGAQGQSHSSILTYAHRTRSPSTYPSLKKQSDPRHKHVPVYVTSMKSGFGSIFWHSTIAAHHLEEGYWESFRRHQTGEVYPRPDKGSLAMSRGLSTRPSIRLSRGRDQRAREDYRTGAPRLGDYEAHVHDTMI >DRNTG_09993.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21581288:21581791:1 gene:DRNTG_09993 transcript:DRNTG_09993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDNFLTGSLPIEVGRLRNIRTLLLSHNKLYGEIPTTISGCVSLEVLELDDNMIQGTIPPSLSNIRGLQILNLSNNSLSGSIPQSFGSMKGLQELLLSHNNLSGSIPKVMEDMTGLIYLDLSYNNLQGEIPKKGIFSNASAISVTGNPGLCGGLSQIHLT >DRNTG_24618.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31096180:31097838:-1 gene:DRNTG_24618 transcript:DRNTG_24618.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVRRSSFMRWPSWQEAWNGRRSYRSGLESSSRGGLPEHLDETSKPCSTFDVLIATVWQRRTRAICLPPDALTKLSFAANFLHLLTPALPAEGGYYGNCFYVLKAKAPSEMVANASIVELVKIIKETKGKLAEGFAKWVKGEDDDDEGDSDCYDTLNATDWRHLGFNDVDYGWGKAARIVPFEHTDFMPFCVLGLPPAKENSVRLMTYCVLNEHYAAFRDQMISLA >DRNTG_24618.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31096180:31097838:-1 gene:DRNTG_24618 transcript:DRNTG_24618.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSVSKLSQGLVVPAEATPGGRLALSDLDQTPLLRCLVPSLHLFKHGPWDAAKVIRTALSKALVFYYPLAGKLVEDDETGELHVDCTGNGVWFIEASANCSLEDVNYLEHPFSISIQASEMVANASIVELVKIIKETKGKLAEGFAKWVKGEDDDDEGDSDCYDTLNATDWRHLGFNDVDYGWGKAARIVPFEHTDFMPFCVLGLPPAKENSVRLMTYCVLNEHYAAFRDQMISLA >DRNTG_24618.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31096180:31097838:-1 gene:DRNTG_24618 transcript:DRNTG_24618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRTCVQVTQFTCGGFVIGIRFSHTIADGKGAAQFFHAVAELARGMERPSIIPVWSREFVKRRIARAPAAGAPSNSPSKRLEYMIIDVPTEHINKLKQDYLDETSKPCSTFDVLIATVWQRRTRAICLPPDALTKLSFAANFLHLLTPALPAEGGYYGNCFYVLKAKAPSEMVANASIVELVKIIKETKGKLAEGFAKWVKGEDDDDEGDSDCYDTLNATDWRHLGFNDVDYGWGKAARIVPFEHTDFMPFCVLGLPPAKENSVRLMTYCVLNEHYAAFRDQMISLA >DRNTG_32483.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001845.1:29731:34066:-1 gene:DRNTG_32483 transcript:DRNTG_32483.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEVSDTYLTIFCFIIILTYWLCTSDREIERDEFKKVMALMRTYNRQGACHKNGLRLGLKVDKSVENGGLVEHFFGKDGNGRLQHDKFVQLLRDLHDEIVRLEFAHYDFNSRGTIPAKDFALSMVASADINHINKFLDRADELDQYPDIKSMRVTFEEFKAFAELRRRLKPLTLAIFSYGKINGLLTKQDFQRAASQVCGTPISDNVVNIIFHVFDTNRDGNLSSEEFLRVLHRRETDMAQPATTGLTGFLSCWFSCSKPRDLDQMAMQS >DRNTG_32483.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001845.1:29731:34066:-1 gene:DRNTG_32483 transcript:DRNTG_32483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSFSSILRRSPIQRLCLLRSVSAGAAAGGVEKDRSLPDGGLPPLAKAVAGAFAVGASAFGVFLLASPSSESASSLEDPGIASLERPRGFWNTIAEKKPKFLLGESYRRRVFFNYEKRIRLLSSPEKIFEYFASFQSPEGEVFMLPADLMRAVVPVFPPSESHAIREGYLRGERKPGELHCAPSKFFMLFDTNNDGLISFPEYIFFVTLLSIPESSFSAAFKMFDIDNSGEIERDEFKKVMALMRTYNRQGACHKNGLRLGLKVDKSVENGGLVEHFFGKDGNGRLQHDKFVQLLRDLHDEIVRLEFAHYDFNSRGTIPAKDFALSMVASADINHINKFLDRADELDQYPDIKSMRVTFEEFKAFAELRRRLKPLTLAIFSYGKINGLLTKQDFQRAASQVCGTPISDNVVNIIFHVFDTNRDGNLSSEEFLRVLHRRETDMAQPATTGLTGFLSCWFSCSKPRDLDQMAMQS >DRNTG_32483.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001845.1:29731:34066:-1 gene:DRNTG_32483 transcript:DRNTG_32483.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALMRTYNRQGACHKNGLRLGLKVDKSVENGGLVEHFFGKDGNGRLQHDKFVQLLRDLHDEIVRLEFAHYDFNSRGTIPAKDFALSMVASADINHINKFLDRADELDQYPDIKSMRVTFEEFKAFAELRRRLKPLTLAIFSYGKINGLLTKQDFQRAASQVCGTPISDNVVNIIFHVFDTNRDGNLSSEEFLRVLHRRETDMAQPATTGLTGFLSCWFSCSKPRDLDQMAMQS >DRNTG_32483.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001845.1:29731:34066:-1 gene:DRNTG_32483 transcript:DRNTG_32483.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSFSSILRRSPIQRLCLLRSVSAGAAAGGVEKDRSLPDGGLPPLAKAVAGAFAVGASAFGVFLLASPSSESASSLEDPGIASLERPRGFWNTIAEKKPKFLLGESYRRRVFFNYEKRIRLLSSPEKIFEYFASFQSPEGEVFMLPADLMRAVVPVFPPSESHAIREGYLRGERKPGELHCAPSKFFMLFDTNNDGLISFPEYIFFVTLLSIPESSFSAAFKMFDIDNSGEIERDEFKKVMALMRTYNRQGACHKNGLRLGLKVDKSVENGGLVEHFFGKDGNGRLQHDKFVQLLRDLHDEIVRLEFAHYDFNSRGTIPAKDFALSMVASADINHINKFLDRADELDQYPDIKSMRVTFEEFKAFAELRRRLKPLTLAIFSYGKINGLLTKQDFQRAASQVCGTPISDNVVNIIFHVFDTNRDGNLSSEEFLRVLHRRETDMAQPATTGLTGFLSCWFSCSKPRDLDQMAMQS >DRNTG_32483.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001845.1:29731:32801:-1 gene:DRNTG_32483 transcript:DRNTG_32483.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEVSDTYLTIFCFIIILTYWLCTSDREIERDEFKKVMALMRTYNRQGACHKNGLRLGLKVDKSVENGGLVEHFFGKDGNGRLQHDKFVQLLRDLHDEIVRLEFAHYDFNSRGTIPAKDFALSMVASADINHINKFLDRADELDQYPDIKSMRVTFEEFKAFAELRRRLKPLTLAIFSYGKINGLLTKQDFQRAASQVCGTPISDNVVNIIFHVFDTNRDGNLSSEEFLRVLHRRETDMAQPATTGLTGFLSCWFSCSKPRDLDQMAMQS >DRNTG_32483.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001845.1:29731:34066:-1 gene:DRNTG_32483 transcript:DRNTG_32483.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALMRTYNRQGACHKNGLRLGLKVDKSVENGGLVEHFFGKDGNGRLQHDKFVQLLRDLHDEIVRLEFAHYDFNSRGTIPAKDFALSMVASADINHINKFLDRADELDQYPDIKSMRVTFEEFKAFAELRRRLKPLTLAIFSYGKINGLLTKQDFQRAASQVCGTPISDNVVNIIFHVFDTNRDGNLSSEEFLRVLHRRETDMAQPATTGLTGFLSCWFSCSKPRDLDQMAMQS >DRNTG_32483.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001845.1:29731:32801:-1 gene:DRNTG_32483 transcript:DRNTG_32483.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLPADLMRAVVPVFPPSESHAIREGYLRGERKPGELHCAPSKFFMLFDTNNDGLISFPEYIFFVTLLSIPESSFSAAFKMFDIDNSGEIERDEFKKVMALMRTYNRQGACHKNGLRLGLKVDKSVENGGLVEHFFGKDGNGRLQHDKFVQLLRDLHDEIVRLEFAHYDFNSRGTIPAKDFALSMVASADINHINKFLDRADELDQYPDIKSMRVTFEEFKAFAELRRRLKPLTLAIFSYGKINGLLTKQDFQRAASQVCGTPISDNVVNIIFHVFDTNRDGNLSSEEFLRVLHRRETDMAQPATTGLTGFLSCWFSCSKPRDLDQMAMQS >DRNTG_32483.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001845.1:29731:32801:-1 gene:DRNTG_32483 transcript:DRNTG_32483.7 gene_biotype:protein_coding transcript_biotype:protein_coding MALMRTYNRQGACHKNGLRLGLKVDKSVENGGLVEHFFGKDGNGRLQHDKFVQLLRDLHDEIVRLEFAHYDFNSRGTIPAKDFALSMVASADINHINKFLDRADELDQYPDIKSMRVTFEEFKAFAELRRRLKPLTLAIFSYGKINGLLTKQDFQRAASQVCGTPISDNVVNIIFHVFDTNRDGNLSSEEFLRVLHRRETDMAQPATTGLTGFLSCWFSCSKPRDLDQMAMQS >DRNTG_30286.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13685485:13689000:-1 gene:DRNTG_30286 transcript:DRNTG_30286.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 9 [Source:Projected from Arabidopsis thaliana (AT1G01140) TAIR;Acc:AT1G01140] MSRRGEMRARVGRYELGRKIGEGSFAKVKFARHMDTGAAYAIKILDKDHLLRHMMVEQVMASKTKIYLVLEYVDKGELFDKIAGNKLLKEDEARRYFQHLINALDFCHSRGVYHRDLKPENLLLDSHGILKVTDFGLSTFTPKLRGDGLLHTACGTPNYVAPEVLNNKGYNGASADVWSCGVILFVLLSGYLPFDDLNRMKLYKKICKAEYSCPSHFSSGAKKLIKSILDPNPSSRITILEILENDWFKKGYKPADFKLYEEISLDDVDAVFNDSEEHLVTEKKEKPESMNAFEFISRSQGFEFGKFV >DRNTG_07612.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000340.1:39510:41367:1 gene:DRNTG_07612 transcript:DRNTG_07612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEEEPNDVAYQRSLFTRDHRPRLLYITGTSFITIARKAIRRAEEFNGPLGNLTRTLALMISPIINPLEHHCLLILSSLDDRILATEDITMQAFPLSVCLFTKFDELAILLNSLPEMFDQVFDQIAMLIHKFPLMDWTLDQLIHVLQTLASTVEKLIPKLQSSMSDTENYNSSQEREIVVDINYDEQLNVDESKKLSEEESVEDARRAQKMEEDIRDVENTCNEIIAALEKMGKVEEDINANVRGQPPPPPPPPKFKLFAGYRVKKTRKLAKDIAEVKDDPILELFDEGWLKR >DRNTG_02531.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8175423:8175753:1 gene:DRNTG_02531 transcript:DRNTG_02531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRSLLHHRHHLHLFQAHHCRRHLHLHFCFEIIEVSAKHVNVHLKITDSYYQLLKVLHYNVLVLFSKARPTSRAQICLGLL >DRNTG_14086.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10396616:10398189:-1 gene:DRNTG_14086 transcript:DRNTG_14086.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGNQNQQQGFEMPRYVVKKVLAKPQQEGVGAIVRRSIGRSELRSLDPFLMLDEFSVSPPAGFPDHPHRGFETVTYMLQGAFTHQDFSGHKGTINAGDLQWMTAGRGIIHSEMPAGEGVHKGLQLWINLSSKDKMIEPRYQELQSKDISRVEKDGVEVRIIAGESFGVRSPVYTRTPTMYLDFTMKPGSQLQQQIPGTWNAFVYVIEGEGVFGVPNSSAASSIATSHYVLVLSHGDGLSVYNKGTKALRFVLIGGQPLNEPVVQYGPFVMNTQDEIEQTIEDYHLCRNGFEKAMQWKSQ >DRNTG_31358.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:16110850:16116910:-1 gene:DRNTG_31358 transcript:DRNTG_31358.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLELLEEKHRERIFGPHKKILHWIENVKAATNPNFEEVHAMLYKTKEKIHGKMSDDAMKSGP >DRNTG_31358.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:16110850:16117803:-1 gene:DRNTG_31358 transcript:DRNTG_31358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKVYADRMSQPSRAIIMFCKMNKIDFEEVKVHLATGQHRNPEYREINPMQQVPAIVHGSLKLFESHAILRYLACAFQGVPDHWYPSDLSNRALIDAILDWHHSNLRRGAAALVFDTILAPIFGRPLNPQAAEEAEKILDSSLSKIESLWLPGNAKFLLGNAQPSIADLSFVCEIMQLELLEEKHRERIFGPHKKILHWIENVKAATNPNFEEVHAMLYKTKEKIHGKMSDDAMKSGP >DRNTG_16117.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1497973:1504255:-1 gene:DRNTG_16117 transcript:DRNTG_16117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQKRAGGAEVEELLTAAGGETLSKKKKGEGEVSRAAASSSSSAPVEKNEMDGGPMDCDKIGDKAVLNGGKDGGVPQEIDEDLHSRQLAVYGRETMRKLIKSNVLISGLSGLGAEIAKNLVLAGVKSVTLHDEQTVQLRDLSSNFFLSDDDVGKNRALACVQKLQELNNAVLVSTLSELSAPKLSEFQAVVFTDVSLDKAIEYDDFCHKHEPPIAFIKTEVRGLFGSVFCDFGPEFTVVDVDGEEPHSGIVAAISNDSTALVTCVDDERLEFQDGDLVLFTEVQGMTELNDGRPRKIKNARPFSFSLEEDTSSYGVYERGGIVTQVKQPKVLKFKPLRDALSDPGEFLLSDFSKFDRPQLLHLAFQALDKFRCELGRFPVAGSSEDAEKLIAFTADLNEKIEGGGLSETDLKLLRNFASGSAAVLNPMCAMFGGIVGQEVVKACSGKFHPLYQFVYFDSIESLPVEPLEPSDLEPLSSRYDDQIIVFGRKLQKKLEETKAFIVGAGALGCEFLKNLALMGVCCSSKGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAASAINSSLHVEALQNRASPETENVFNDAFWENLDVVINALDNVNARIYMDMRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPNEVNTFLSNPSEYASAMRNAGDAQARDLVERVLECLVEKERCETFEDCVTWARLKFEDYFANRVKQLTYTFPEDSATSSGTPFWSAPKRFPRPLQFLSGDPSHLNFVTSGAILRAETFGIPIPDWVKNPKMMADVVDKVIVPDFQPKHGVKIVTDEKATNLSAASVDDVAVINSLIKKLESCVTNLPTGFRMNPIQFEKDDDTNYHMDFIAGLANMRARNYSIPEIDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLAGSHKVEDYRNTFANLALPLFSMAEPVPPKVIKHQDMSWTVWDRWAVKGNLTLRELLKWLKGQRPQCIQHFMWHFLTLQQHVPQAQRSTRQEGRGCREGSCQGGGAIISTTSRYCCGL >DRNTG_29004.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22342030:22344446:-1 gene:DRNTG_29004 transcript:DRNTG_29004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLAPIHVSSADPLPHLSIKDTPIETSSAQYILQQYTAASGGLRLQGSIRNAYAMGRVSMVASEFETATGIVKNRRASSEAESGGFVLWQMAPDMWYVELAVGSSKVHAGCNGKLVWRHTPWLGAHAAKGPVRPLRRALQGLDPLTTASMFANARCIGEKVVDGEDCFILKLCTDPETLKARSEGPAEIIRHVLFGYFSQKTGLLIHIEDSHLTRIQSAGGEAVYWETTINSFIEDYRPVEGLMIAHSGHSVITLFRFGEMAMSHTKTRMEEEWSIDEVAFNVPGLSMDCFIPPADIKGGPISESCELPHKDGTKTVTISTYRAKIAAVEKPNDCI >DRNTG_02882.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:839126:842160:-1 gene:DRNTG_02882 transcript:DRNTG_02882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTDAYKLTGPCCFSPNSRYLAVAVDYRLVIRDVHSLKVVQLYTCVDKIGYVEWALDSEYILCGLYKRPMVQAWSLTQPEWTCKIDEGPAGIAYARWSPDSRHILTTSEFQLRLTVWSLVNTACVHVQCPKHASKGVSFTQDGKFAAVCTRRDCKDYVNLLSCHTWEIMGAFTVDTVDLAGIEWSPDDSAIVVWDSLLEYKVLIYSPDGRCLFKYQAYESGLGVKTVAWSPCGQFLAVGSYDQAVRALNHLTWKNFAEFMHVSTIRSSCGAAVYKEVDEPFQLDMSGLCLNDDFPHCMQGNGPESAADGNSRVKYKLMDLPINLPSQKAAMDKPNPKQGIGLLSWSNDSQYLFTRSDNMPAVLWIWDIRRLELTAVLIQKEPIRAAAWDPTCPRVVLCTGSSHLYMWSPSGSCCINVPLPNFAITDLKWNSDGSCLLLKDRETFCCAAVVPMLPGDITDDDSSDG >DRNTG_30804.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19719535:19720798:-1 gene:DRNTG_30804 transcript:DRNTG_30804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLFMVDYGNIDSSPITDSASNARRSHTISAVAPQSS >DRNTG_11095.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30698774:30702025:1 gene:DRNTG_11095 transcript:DRNTG_11095.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALDAAAESLDALIAEMAISTSDYNQHIDVKTFAHKAMAMIMNMDRKVKKARLQELIYQQLASIGIPVSMHCLTLLLADEYTANSLARSSLPPPEYSSRLTDDSYIHIALLTNNVLAAAVVVSSTLASSTSQENLVFHIVTDKNTYTAMHTWFSLNPVFPAIIEVKGLHQFDWPANVNALVMETVQEIHHSSLVHHQLARVNEEFGRLQTLNPSAFSLLNYLRIHLPEVKHGMFKLY >DRNTG_11095.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30698774:30702025:1 gene:DRNTG_11095 transcript:DRNTG_11095.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKSKDKVGRRFSSRSVLPLVLAAGLILLLLFICFSYFVLEAGASICLSIGCLRWRIGHAFLGSGDPSEELVRELRKAFVGDEDGKDGPLVDQMALDAAAESLDALIAEMAISTSDYNQHIDVKTFAHKAMAMIMNMDRKVKKARLQELIYQQLASIGIPVSMHCLTLLLADEYTANSLARSSLPPPEYSSRLTDDSYIHIALLTNNVLAAAVVVSSTLASSTSQENLVFHIVTDKNTYTAMHTWFSLNPVFPAIIEVKGLHQFDWPANVNALVMETVQEIHHSSLVHHQLARVNEEFGRLQTLNPSAFSLLNYLRIHLPEVKHGMFKLY >DRNTG_11095.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30698774:30702025:1 gene:DRNTG_11095 transcript:DRNTG_11095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKSKDKVGRRFSSRSVLPLVLAAGLILLLLFICFSYFVLEAGASICLSIGCLRWRIGHAFLGSGDPSEELVRELRKAFVGDEDGKDGPLVDQMALDAAAESLDALIAEMAISTSDYNQHIDVKTFAHKAMAMIMNMDRKVKKARLQELIYQQLASIGIPVSMHCLTLLLADEYTANSLARSSLPPPEYSSRLTDDSYIHIALLTNNVLAAAVVVSSTLASSTSQENLVFHIVTDKNTYTAMHTWFSLNPVFPAIIEVKGLHQFDWPANVNALVMETVQEIHHSSLVHHQLARVNEEFGRLQTLNPSAFSLLNYLRIHLPELFPKLRRVIFLDDDVVVRQDFTELWNSDLNGNVNGAVTAAVINDKGYQQCLGKQYQDYLNFSNPIISSPLLGLESQKCAWLGGMNVFDLEAWRQTDITKTYQHWLKLNRESGFHLWRMGAQPPALIAFSGQVQLIDPSWHLSGLGRRLPYPELLESSSVLHYSGPRKPWLGTGIPELLVFWRTYLNHSNDFLSNCKVME >DRNTG_11095.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30698774:30702025:1 gene:DRNTG_11095 transcript:DRNTG_11095.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKSKDKVGRRFSSRSVLPLVLAAGLILLLLFICFSYFVLEAGASICLSIGCLRWRIGHAFLGSGDPSEELVRELRKAFVGDEDGKDGPLVDQMALDAAAESLDALIAEMAISTSDYNQHIDVKTFAHKAMAMIMNMDRKVKKARLQELIYQQLASIGIPVSMHCLTLLLADEYTANSLARSSLPPPEYSSRLTDDSYIHIALLTNNVLAAAVVVSSTLASSTSQENLVFHIVTDKNTYTAMHTWFSLNPVFPAIIEVKGLHQFDWPANVNALVMETVQEIHHSSLVHHQLARVNEEFGRLQTLNPSAFSLLNYLRIHLPELFPKLRRVIFLDDDVVVRQDFTELWNSDLNGNVNGAVTAAVINDKGYQQCLGKQYQDYLNFSNPIISSPLLGLESQKCAWLGGMNVFDLEAWRQTDITKTYQHWLKLNRESGFHLWRMGAQPPALIAFSGQVQLIDPSWHLSGLGRRLPYPELLESSSVLHYSGPRKPWLGTGIPELLVFWRTYLNHSNDFLSNCKVME >DRNTG_11095.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30698774:30702025:1 gene:DRNTG_11095 transcript:DRNTG_11095.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDRKVKKARLQELIYQQLASIGIPVSMHCLTLLLADEYTANSLARSSLPPPEYSSRLTDDSYIHIALLTNNVLAAAVVVSSTLASSTSQENLVFHIVTDKNTYTAMHTWFSLNPVFPAIIEVKGLHQFDWPANVNALVMETVQEIHHSSLVHHQLARVNEEFGRLQTLNPSAFSLLNYLRIHLPEVKHGMFKLY >DRNTG_11095.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30698774:30702025:1 gene:DRNTG_11095 transcript:DRNTG_11095.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKSKDKVGRRFSSRSVLPLVLAAGLILLLLFICFSYFVLEAGASICLSIGCLRWRIGHAFLGSGDPSEELVRELRKAFVGDEDGKDGPLVDQMALDAAAESLDALIAEMAISTSDYNQHIDVKTFAHKAMAMIMNMDRKVKKARLQELIYQQLASIGIPVSMHCLTLLLADEYTANSLARSSLPPPEYSSRLTDDSYIHIALLTNNVLAAAVVVSSTLASSTSQENLVFHIVTDKNTYTAMHTWFSLNPVFPAIIEVKGLHQFDWPANVNALVMETVQEIHHSSLVHHQLARVNEEFGRLQTLNPSAFSLLNYLRIHLPEVKHGMFKLY >DRNTG_23755.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21098107:21105318:-1 gene:DRNTG_23755 transcript:DRNTG_23755.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLDYPFPGPSESFVDPRAFPAHEEVLAFLEGFGRDFGVLEMVRFGAEVVRVWLARDGGEWSVEWRNDDGSVAEERFEAVVVANGHYSVPRIADIP >DRNTG_23755.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21098107:21165806:-1 gene:DRNTG_23755 transcript:DRNTG_23755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVKTAVIGAGPGGLVAARELRRQGHEVTVFEKSTSVGGTWVYDPTTDSDRVAVHGSLYRSLRTNLPRQLMGFLDYPFPGPSESFVDPRAFPAHEEVLAFLEGFGRDFGVLEMVRFGAEVVRVWLARDGGEWSVEWRNDDGSVAEERFEAVVVANGHYSVPRIADIP >DRNTG_33989.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32031251:32039816:1 gene:DRNTG_33989 transcript:DRNTG_33989.3 gene_biotype:protein_coding transcript_biotype:protein_coding MITHTLGSSIPVLLQLDAAAEALQSQSTRFPVFQSVYDYWKEKREQWQKPILRRLQPPPAVNDTNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLDQAKTLVEALIKREEKKREVVENEVALQRIQIKYQHEAQLVEDGLALSGFPLACKFGSSEDDPMDSDDAINGRSRPHPTSIQQSRFTNYRRIIFPTGRMTRDLKRRHLPNGWLQKRDPLEPVLLFTKPLDPEKLTAAGIIPPPDPTFENGSVAPPFRFQGRIGRGGRIIFDRVPIGTGSHVPPNTQPLQPNG >DRNTG_33989.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32031251:32039816:1 gene:DRNTG_33989 transcript:DRNTG_33989.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITHTLGSSIPVLLQLDAAAEALQSQSTRFPVFQSVYDYWKEKREQWQKPILRRLQPPPAVNDTNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLDQAKTLVEALIKREEKKREVVENEVALQRIQIKYQHEAQLVEDGLALSGFPLACKFGSSEDDPMDSDDAINGRSRPHPTSIQQSRFTNYRRIIFPTGRMTRDLKRRHLPNGWLQKRDPLEPVLLFTKPLDPEKLTAAGIIPPPDPTFENGSVAPPFRFQGRIGRGGRIIFDRVPIGTGSHVPPNTQPLQPNG >DRNTG_33989.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32031251:32039816:1 gene:DRNTG_33989 transcript:DRNTG_33989.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSFRPRPLDIHKKLPIVKSVKEFEDDEAPVTGASTRNSQLLRLAAETEIEVQQNNSKKNAQEIPTPQCSIVDTYERDYLQSFSQPASYLRGRGARAEIGEFVEYDLDDEDEDWLLEYNNDKKILAPEKFEAFLFKLEVLDHKAREKAGMITHTLGSSIPVLLQLDAAAEALQSQSTRFPVFQSVYDYWKEKREQWQKPILRRLQPPPAVNDTNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLDQAKTLVEALIKREEKKREVVENEVALQRIQIKYQHEAQLVEDGLALSGFPLACKFGSSEDDPMDSDDAINGRSRPHPTSIQQSRFTNYRRIIFPTGRMTRDLKRRHLPNGWLQKRDPLEPVLLFTKPLDPEKLTAAGIIPPPDPTFENGSVAPPFRFQGRIGRGGRIIFDRVPIGTGSHVPPNTQPLQPNG >DRNTG_00509.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30501536:30503625:1 gene:DRNTG_00509 transcript:DRNTG_00509.10 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGGTGARRPNFPLQLLEKKETIITTTTTASDPCFSSTPTPSEPSKKPPPKRSSTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISMRSSGSSLSAPSHLRSSPACIFSPSTTSATAHYFGQPLRSRSHDHWDPLQSEVPSSTSMLSFHPDTTSDAANARKRRWEQESHNIQQHHEQQQQQQQQMASYNTTQSNSSSSSSHGQHVPATLWMGSNPSGHFPGGDSMWSFPSMGPGSGTTTMFRGSMSSGLQFMNFHTPMALLPIQQLGLGSGVGGSEGHMGILAALNAAYRPPGAAAAAAAAGDGNGGGAGGSSQQAHGGGDRHDTMSTSDS >DRNTG_00509.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30501536:30504263:1 gene:DRNTG_00509 transcript:DRNTG_00509.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGGTGARRPNFPLQLLEKKETIITTTTTASDPCFSSTPTPSEPSKKPPPKRSSTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISMRSSGSSLSAPSHLRSSPACIFSPSTTSATAHYFGQPLRSRSHDHWDPLQSEVPSSTSMLSFHPDTTSDAANARKRRWEQESHNIQQHHEQQQQQQQQMASYNTTQSNSSSSSSHGQHVPATLWMGSNPSGHFPGGDSMWSFPSMGPGSGTTTMFRGSMSSGLQFMNFHTPMALLPIQQLGLGSGVGGSEGHMGILAALNAAYRPPGAAAAAAAAGDGNGGGAGGSSQQAHGGGDRHDTMSTSDS >DRNTG_00509.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30501384:30504263:1 gene:DRNTG_00509 transcript:DRNTG_00509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGGTGARRPNFPLQLLEKKETIITTTTTASDPCFSSTPTPSEPSKKPPPKRSSTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISMRSSGSSLSAPSHLRSSPACIFSPSTTSATAHYFGQPLRSRSHDHWDPLQSEVPSSTSMLSFHPDTTSDAANARKRRWEQESHNIQQHHEQQQQQQQQMASYNTTQSNSSSSSSHGQHVPATLWMGSNPSGHFPGGDSMWSFPSMGPGSGTTTMFRGSMSSGLQFMNFHTPMALLPIQQLGLGSGVGGSEGHMGILAALNAAYRPPGAAAAAAAAGDGNGGGAGGSSQQAHGGGDRHDTMSTSDS >DRNTG_00509.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30501384:30503625:1 gene:DRNTG_00509 transcript:DRNTG_00509.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGGTGARRPNFPLQLLEKKETIITTTTTASDPCFSSTPTPSEPSKKPPPKRSSTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISMRSSGSSLSAPSHLRSSPACIFSPSTTSATAHYFGQPLRSRSHDHWDPLQSEVPSSTSMLSFHPDTTSDAANARKRRWEQESHNIQQHHEQQQQQQQQMASYNTTQSNSSSSSSHGQHVPATLWMGSNPSGHFPGGDSMWSFPSMGPGSGTTTMFRGSMSSGLQFMNFHTPMALLPIQQLGLGSGVGGSEGHMGILAALNAAYRPPGAAAAAAAAGDGNGGGAGGSSQQAHGGGDRHDTMSTSDS >DRNTG_00509.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30501384:30504263:1 gene:DRNTG_00509 transcript:DRNTG_00509.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGGTGARRPNFPLQLLEKKETIITTTTTASDPCFSSTPTPSEPSKKPPPKRSSTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISMRSSGSSLSAPSHLRSSPACIFSPSTTSATAHYFGQPLRSRSHDHWDPLQSEVPSSTSMLSFHPDTTSDAANARKRRWEQESHNIQQHHEQQQQQQQQMASYNTTQSNSSSSSSHGQHVPATLWMGSNPSGHFPGGDSMWSFPSMGPGSGTTTMFRGSMSSGLQFMNFHTPMALLPIQQLGLGSGVGGSEGHMGILAALNAAYRPPGAAAAAAAAGDGNGGGAGGSSQQAHGGGDRHDTMSTSDS >DRNTG_00509.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30501384:30503625:1 gene:DRNTG_00509 transcript:DRNTG_00509.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGGTGARRPNFPLQLLEKKETIITTTTTASDPCFSSTPTPSEPSKKPPPKRSSTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISMRSSGSSLSAPSHLRSSPACIFSPSTTSATAHYFGQPLRSRSHDHWDPLQSEVPSSTSMLSFHPDTTSDAANARKRRWEQESHNIQQHHEQQQQQQQQMASYNTTQSNSSSSSSHGQHVPATLWMGSNPSGHFPGGDSMWSFPSMGPGSGTTTMFRGSMSSGLQFMNFHTPMALLPIQQLGLGSGVGGSEGHMGILAALNAAYRPPGAAAAAAAAGDGNGGGAGGSSQQAHGGGDRHDTMSTSDS >DRNTG_00509.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30501444:30503625:1 gene:DRNTG_00509 transcript:DRNTG_00509.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGGTGARRPNFPLQLLEKKETIITTTTTASDPCFSSTPTPSEPSKKPPPKRSSTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISMRSSGSSLSAPSHLRSSPACIFSPSTTSATAHYFGQPLRSRSHDHWDPLQSEVPSSTSMLSFHPDTTSDAANARKRRWEQESHNIQQHHEQQQQQQQQMASYNTTQSNSSSSSSHGQHVPATLWMGSNPSGHFPGGDSMWSFPSMGPGSGTTTMFRGSMSSGLQFMNFHTPMALLPIQQLGLGSGVGGSEGHMGILAALNAAYRPPGAAAAAAAAGDGNGGGAGGSSQQAHGGGDRHDTMSTSDS >DRNTG_00509.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30501444:30504263:1 gene:DRNTG_00509 transcript:DRNTG_00509.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGGTGARRPNFPLQLLEKKETIITTTTTASDPCFSSTPTPSEPSKKPPPKRSSTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISMRSSGSSLSAPSHLRSSPACIFSPSTTSATAHYFGQPLRSRSHDHWDPLQSEVPSSTSMLSFHPDTTSDAANARKRRWEQESHNIQQHHEQQQQQQQQMASYNTTQSNSSSSSSHGQHVPATLWMGSNPSGHFPGGDSMWSFPSMGPGSGTTTMFRGSMSSGLQFMNFHTPMALLPIQQLGLGSGVGGSEGHMGILAALNAAYRPPGAAAAAAAAGDGNGGGAGGSSQQAHGGGDRHDTMSTSDS >DRNTG_00509.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30501444:30503625:1 gene:DRNTG_00509 transcript:DRNTG_00509.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGGTGARRPNFPLQLLEKKETIITTTTTASDPCFSSTPTPSEPSKKPPPKRSSTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISMRSSGSSLSAPSHLRSSPACIFSPSTTSATAHYFGQPLRSRSHDHWDPLQSEVPSSTSMLSFHPDTTSDAANARKRRWEQESHNIQQHHEQQQQQQQQMASYNTTQSNSSSSSSHGQHVPATLWMGSNPSGHFPGGDSMWSFPSMGPGSGTTTMFRGSMSSGLQFMNFHTPMALLPIQQLGLGSGVGGSEGHMGILAALNAAYRPPGAAAAAAAAGDGNGGGAGGSSQQAHGGGDRHDTMSTSDS >DRNTG_00509.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30501444:30504263:1 gene:DRNTG_00509 transcript:DRNTG_00509.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGGTGARRPNFPLQLLEKKETIITTTTTASDPCFSSTPTPSEPSKKPPPKRSSTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISMRSSGSSLSAPSHLRSSPACIFSPSTTSATAHYFGQPLRSRSHDHWDPLQSEVPSSTSMLSFHPDTTSDAANARKRRWEQESHNIQQHHEQQQQQQQQMASYNTTQSNSSSSSSHGQHVPATLWMGSNPSGHFPGGDSMWSFPSMGPGSGTTTMFRGSMSSGLQFMNFHTPMALLPIQQLGLGSGVGGSEGHMGILAALNAAYRPPGAAAAAAAAGDGNGGGAGGSSQQAHGGGDRHDTMSTSDS >DRNTG_03434.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3200814:3201230:-1 gene:DRNTG_03434 transcript:DRNTG_03434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLLKVPSFKRMISSPRSNDVCSDFDACSTSFVADKGHFNVYTSEGKRFMVPLAYLDNNIFKELLRISEEEFGLPGDGPITLPCDAASMEYVLSLLRRGVSKEMEMQLLSSIFISCQSACSMLAVEQPQQVAVCSF >DRNTG_29035.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18270845:18275552:-1 gene:DRNTG_29035 transcript:DRNTG_29035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLIICGPRPSSEQQRNPSSSSQALISLRETSEEDEDEKFRFLWGFQNPNPRIEDQLLRIEMEVIKAVLLGILFVVAQLSYVIASPVTAPAFLWSSNGHGLSSHGVKEVINYQAISPKDLAKSVLSEGGWSNFMCSMENHQRAVDIAVVFVGGKLQSSDISKTKFKDQALLDVLKLSFGGSNFSMAFPYVALSEGNDKLEHSLFSGFIENCGHELAVNQIAYLESCSVDGKDLKKLQDLQSLQDFFDSRMEKGKDAQADLVVFCSGGSEEVDQTKSEGEILSELVNFLDKSGASYSILYASDPKKSLQYPPHWAINRFLAESTSGKSSNTTTCDGVCQIKSSLLEGFFVGIVLLIILISGLCCMMGIDTPTRFETPQDS >DRNTG_00947.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21163998:21167925:-1 gene:DRNTG_00947 transcript:DRNTG_00947.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 62, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G18890) UniProtKB/Swiss-Prot;Acc:Q8H0U5] MKLLALHSSPIPIHGISGRNSIEKSIFFSGCSLGIPANKRCTDARKSSASLDFRVRAVGSVGSSQKTANVNEPDKIKTDKDVVFVAGATGRVGSRTVRELLKLGFQVRAGVRSAQRAQTLVESVRQMKLDDSSGSKPVEKLEIIECDLENQGGIGAAIGNASIVICCIGASEKEVFDITGPYRIDYKATENLINAATVAKVDHFILVSSLGTNKIGFPAAILNLFWGVLIWKRKAEEALMASGLPYTIVRPGGMERPTDAFKETHNLTLSPDDTLFGGLVSNLQVAELMACMAKNTRLSYCKVVEAIAETTAPLTPMEELLAKIPSKRELPPEPPIPAPKPEDVVVQSEASKPRPLSPYSMYDDLKPPTSPTPTPSSSLDLQEKEQAKFTPQKHQPLSPYTVYEDLKPPTSPTPTPSIASSLKDINVDGVVSLGSNSGKGMQI >DRNTG_00947.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21163998:21167925:-1 gene:DRNTG_00947 transcript:DRNTG_00947.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 62, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G18890) UniProtKB/Swiss-Prot;Acc:Q8H0U5] MKLLALHSSPIPIHGISGRNSIEKSIFFSGCSLGIPANKRCTDARKSSASLDFRVRAVGSVGSSQKTANVNEPDKIKTDKDVVFVAGATGRVGSRTVRELLKLGFQVRAGVRSAQRAQTLVESVRQMKLDDSSGSKHQL >DRNTG_00437.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21867915:21872409:-1 gene:DRNTG_00437 transcript:DRNTG_00437.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSRATPWNARRGGAPRRARRALPPGAAATAVLFAYCSLSHQMSHWNDHKDECPRLEQQMRRVDVLNDFPFSFTVASTILEDSRCSFLDSIGLHRTGIWSFECCCGMQSDDSRIGGKYGEWSLPSILCPCTEPRGPASTCLSSWKDYYQWRCLPLDSPVAILLHWPITIYHCFRLSSVQTLTSGVRDKLHIHYLGPDKELYQLAVFGELKALFPGIQLHIELVGPAVPQFRDGEETSLCDYLHCSDEGCPCKSSYKELSGGGCKGNSSVVSLKLRKGFYHDKVRDILKDSYPHLIVAPNAGVAAFSSWLPTIELIKEMGVPAVFTDYCEEAANLAACCISNVTNRPLKLPIQINPFRQPLVMEDSALYLPCYSNCFIFGI >DRNTG_00437.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21867915:21872409:-1 gene:DRNTG_00437 transcript:DRNTG_00437.2 gene_biotype:protein_coding transcript_biotype:protein_coding GSRATPWNARRGGAPRRARRALPPGAAATAVLFAYCSLSHQMSHWNDHKDECPRLEQQMRRVDVLNDFPFSFTVASTILDSRCSFLDSIGLHRTGIWSFECCCGMQSDDSRIGGKYGEWSLPSILCPCTEPRGPASTCLSSWKDYYQWRCLPLDSPVAILLHWDLIKSFINLLFLES >DRNTG_01123.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:15057766:15058328:1 gene:DRNTG_01123 transcript:DRNTG_01123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCSSRMIRSVCQDFHTTQYMGPYGGWQKKVFWLDFFLADFGRQLGRFWGDLGKEKKGKEARRSFKPKVQDSQGKKATSFKGRSTTI >DRNTG_04084.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5490235:5491203:-1 gene:DRNTG_04084 transcript:DRNTG_04084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSISSAKLILLQPSPNNKQLPIWTTLSLPSHHRVWLIAFISFLTFASLLTLLTTTSTSSHSPPNPNLSISSAVSTSSSSSSVTPLPSHIFDTLLHYALTANSSSRMDDGDLRAIAAVLRRRAPCNLLIFGLGQETLLWRALNHGGRTVFLDENEYYAAHFEERHPGLEAYDVSYITKVKELPELLAAARSQIKTECRPVQNLLFSDCRLAINDLPNQLYDMAWDVILVDGPRGYHSSSPGRMSAIFTAAVMARSQGAGSAEVLVHDYEREVERVCSEEFLCAENMVGHTDQLAHFVIPRNSAAAAAGEFCVNRTAVPAGSL >DRNTG_27259.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:771565:773452:1 gene:DRNTG_27259 transcript:DRNTG_27259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVHLLLMTITLFTISAISSSSPMATKDYILSTLGHTHDSITFARFAQRYGKRYESVEEINKRFGLFMDNLNIIRSTNKKGLSYKLGINKFTDMSWEEFRSNKLGAAQNCSATLKGNHKLTDAPIPQTRDWRKEGIVSPVKDQGECGSCWTFSATGALEAAHCQATGKNITLSEQQLVDCAQAFNNNGCQGGLPSQAFQYVKYNGGLDTEKSYPYKETDGTCSYKSENIGVKVINTVNITMDAEDELKHAVGVVRPVSIAFEVVQSFMSYKSGVYSSDTCGSSPMDVNHAVLAVGYGVEKGVPYWLIKNSWGTEWGMDGYFKMELGKNMCGVATCASYPVVSA >DRNTG_15058.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5526820:5529110:-1 gene:DRNTG_15058 transcript:DRNTG_15058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDASPLAALLAKASPSFRWTFGPISTLHIPKSASISALLPPISPSPPLSAILSVLHLLML >DRNTG_11897.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21493955:21499591:1 gene:DRNTG_11897 transcript:DRNTG_11897.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] iron-sulfur protein 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G37510) UniProtKB/Swiss-Prot;Acc:Q9FGI6] MGFVLRALQRSRPVIGGRVSAFRWITSTPDLHKPEGAAAAEPAAPEPEIPPRTPVGGARVQLSNPDDAIEVFVDGYPVKIPKGMTVLQACEVAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTNTPVAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGSDRGRFTEMKRSVVDKNLGPLVKTVMTRCIQCTRCVRFASEIAGVQDLGMLGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTESIDVTDAVGSNIRIDSRGPEVMRILPRLNEDINEEWISDKTRFCYDGLKRQRLNDPMIRGPDGRFKSVSWRDALAVVAEVIHQVKPDEIVGVAGKLSDAESMMALKDFVNRMGSNSVLCEGNGPNPQADLRSSYLMNTSIAGLEKADVFLLVGTQPRVEAPMVNARIRKTVKANQAKVAYIGPPTDINYDHQHIGTGAETLVELVEGRHPFSSTLLSAKNPVIIVGAGIFERDDKDAVFSAVETIAKNAKVVRPDWNGLNVLLLSAAQAAALDLGLVSSPNDTIPSAKFLYLMGADDISLEKVPDDAFVVYQGHHGDKSVYRANVILPASAFSEKEGTYENTEGCTQWTVPAVPTVGDARDDWKIVRALSEVAGVRLPYDSLTAIRARIKTVAPNLLQVDEREPATMSFELKPPVTQKISSDPFKPAIENFYMTDSITRASKIMAQCSASLLKK >DRNTG_19305.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:621945:627775:-1 gene:DRNTG_19305 transcript:DRNTG_19305.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKAVAIDDDEYSFPDDPSEKASEGPEKENRGVAGKKNKKGGGGGGKAQRNKVVEDEEADENEKEKEKEKEDDDDEPINVVFSGKKKSSKSKKVGGSSSSASAFSSFNLIAEDEGNDEVEETRVVSRFDIGNHVENEGDEIRDPDGEVDRFGGGEDGASKKKKKKKQKGGRSAQEEEDLDKLLAELGEGPVPSDPAPAPVPVPAPIVDDVDESKDQLGGGVDEAGSKKQQKKKKKKGGRTAQEEEDLDKLLAELGEGPAPTPQPLAPPVVAVEESVSAPPEQGEVEAEEKDQEVDGVGTAASKKKKKKKKDDKKAAPAVAEAKEEKEEEVKGKGPAKKLPKHVREMQEALARRKEAEERQKKEEEERLRKEEEERKRLEEEERLKEEAKRRKKEKEKELREKKRQEGKLLTGKQKEERRRLEAMRNQFLAQRDVPLGEVVGETKKRPKYETKKSKANQAKALVTGKVEENQKEASEPDVELAEDDSVIEEEIQSQVEEPEDKVEDKLVEEVGEPMEEEEEEEEEEDEDEWDAKSLDDLVVKLPATSPFAEEDKDDEEDAPVTTKKSLERVASISSATNDSQAKNSAPAKPAVKKVVASRAPSKNEDVDGSEVDRATERTMQVKGKKEPASSEKKSGNDLRSPICCILGHVDTGKTKLLDCIRRTNVQEGEAGGITQQIGATYFPTEYIRERTKELKADATLKVPGLLVIDTPGHESFTNLRSRGSSLCDIAILVVDIMHGLEQQTIESLNLLKSRNTEFIVALNKVDRLYGWKATPNAPIIKSIKQQSKDVQNEFNMRLTQIVTQLKEQGLNTALYYKNKDMGETFNIVPTSAISGEGIPDLLLLLVQWSQKTMAERLTYVDEVQCTVLEVKVIEGLGTTIDVVLVNGVLHEGDQIVVCGMQGPIVTNIRTLLTPAPMKELRVKGQYIHHKELKAAQGVKIAAQGLEHAIAGTALYVVGPNDDVEDVKESVMQDMRKVMSRIDKSGEGVFVQASTLGSLEALTEFLRSPAVNIPFSDFSIGPVHKKDVMKASVMLERKKEFATILAFDVKVMPDARELAEETGVKIFVADIIYHLFDQFKAYIDNLREEKKKESAEEAVFPCVLKIMRDCIFNKKDPIILGVDVLEGILKVGTPICVPSRDFIDIGKIASIEINHKQVDVATKGQKVAIKIVSNSPEEQQKMFGRHFEIDDELVSHISRRSIDILKSDYRDDLSMEEWKLVVRLKQIFKIP >DRNTG_20132.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7570333:7571025:-1 gene:DRNTG_20132 transcript:DRNTG_20132.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLEKTLTRFVQSLNTRFESVKATLLNHSASLHNLENQVGQITKFLSERPHGSLLSNTKTNLREHVKAITLRSGREVDGRLPSEKLNEHALEVIEVEVGTNKEKEVTPPPFKPRIPYPSRLKNDQGDEQYKKFLSLFKQLHINITFAKTLAQMLTPISEFFYPSFPQLERGLWLGFGEVLARDLEWFYGSNIASALEDG >DRNTG_23734.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001275.1:26439:29108:-1 gene:DRNTG_23734 transcript:DRNTG_23734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAETVSTASLLRWHDRPADYYEGQFKWECRVLLDIHQCASSLYIYDRCCWILSALISLAASRVSCVNKFVLSLTVTRRWDALERQHHVHLCVEELVFLLRNLLLSNPLIPLGKSKAVAEYEAELKDLANFVPEIVGGEESLCSKFEARLNLSIREKMAVTGNQSFKEVVQLALRAKKLVIKAQSNRCQDKLAVRRVLHLQVAVSEERQLEMLPNAGTAIDFIRVHAASNADATSVGRQATLSQHVRS >DRNTG_25612.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22077275:22083964:1 gene:DRNTG_25612 transcript:DRNTG_25612.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLEPLDALLAVLSRAFCSPLAIFIQIQGCVICLTLAIGWCGAAYVRNREIRRMKKDANGGNYFSFICQDINDLEHSAQVNLPRVSVIMPLKGFGEHNLQNWRSQITSLYGGPLEFLFVVESVDDPAYHVVSGLISKFKDSVEARIIVAGISTICSQKIHNQLAGIENMHKDSKYVLFLDDDVRLHPGSIGALTAEMQKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGKTFFLWGGCMMMHANDFREDLYGVVSGLRDGGYSDDMTLAAIAGEHKRLITSPPVAVFPHPLASDLSFSR >DRNTG_25612.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22077275:22083964:1 gene:DRNTG_25612 transcript:DRNTG_25612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLEPLDALLAVLSRAFCSPLAIFIQIQGCVICLTLAIGWCGAAYVRNREIRRMKKDANGGNYFSFICQDINDLEHSAQVNLPRVSVIMPLKGFGEHNLQNWRSQITSLYGGPLEFLFVVESVDDPAYHVVSGLISKFKDSVEARIIVAGISTICSQKIHNQLAGIENMHKDSKYVLFLDDDVRLHPGSIGALTAEMQKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGKTFFLWGGCMMMHANDFREDLYGVVSGLRDGGYSDDMTLAAIAGEHKRLITSPPVAVFPHPLASDLSFSRYWNYLRKQTFVLESYISNVNWLMNRALFSSHCYLSWGFVWPYFMALTHFLAALRSLYSDRLSRELKVSSCGLTLASCLVVCTVIELLSMWNLTKVEIQLCNMLSPEGPRVSLKSYNWGLIFLAMLVDNFLYPISAIRSHFSQYINWSGVRYYLREGKISKV >DRNTG_21792.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:16938779:16942026:1 gene:DRNTG_21792 transcript:DRNTG_21792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEEYGKRHDKYQSTLSEKYGDNTSDHPKFDPEAWASSIGGKIATHTHVYGFGTMVNSKALFDATSSTVASTTNSVYGPSTSTPHMDVSLNDDRIVSLEQKLESLTDDVSQVKNAVGEAELRSNTKNHNHLRPNHMLPSQNFQNHRLPRTTRPDEQKMISAMEGE >DRNTG_09313.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:943897:945705:-1 gene:DRNTG_09313 transcript:DRNTG_09313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSRLTILLILTVLAKISCASSASSSSGSIHDLLRSHGLPGGLLPKSVDSFVMDPATGLLEATLDSPCYAKYDGLVYFDRVVRGNLSFGELRGVVGLSQEELFLWLPVKEIRVSDPGSGVILFDIGMAHKQLSMSLFEEPPDCRPDGTLILGGGQGVLSSKEKASQY >DRNTG_09313.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:944135:945705:-1 gene:DRNTG_09313 transcript:DRNTG_09313.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSRLTILLILTVLAKISCASSASSSSGSIHDLLRSHGLPGGLLPKSVDSFVMDPATGLLEATLDSPCYAKYDGLVYFDRVVRGNLSFGELRGVVGLSQEELFLWLPVKEIRVSDPGSGVILFDIGMAHKQLSMSLFEEPPDCRPDGTLILGGGQGVLSSKEKASQY >DRNTG_26559.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11993233:11996220:-1 gene:DRNTG_26559 transcript:DRNTG_26559.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLQVMTRGNPSILIEGDPELERTLRRKGKEPVQEQPNPADLEEMFNPDPYEGLFDQEESNEEEMMLGSNGKETSTPGILKNVLRKMKRARRRHQKCHKTIGDVH >DRNTG_26655.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:205226:207637:1 gene:DRNTG_26655 transcript:DRNTG_26655.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPTAFLPLLLVLYLLSHTISVSFASDSITTNQSLSGNQKIISKGGNFVLGFFTKVNSSSKFYIGIWYNKISVVTPVWVANRATPVSDPNRCVFQIFKDGNLVLLNEANSLIWSTNTTSTSNSSTVAVLQDDGNLVLKDGHNSTEVFWQTFENPTDTLLPGGKISFNKLTGVKQRLIAWKNTDDPAPGSYSYEMDPQGANQYVMQWNRSETYWNTGVWDGRMFPGIPEMNGMSSNHDYNLTYVETDKEKYVAYFVQASDIISRVILDANGQLKMLTWVNITQEWTQFWFAPKAQCQVTALCGPFGSCNEQRLPYCSCIKGFSEKSPKDWDLNDHSGGCFRNTNLQCDANNNSMYVGEQDKFLEMSSVRLPDNGKPFQAESVDACELTCLNNCSCTAYSYGSNCTLWHGDLLNLQDQYTGSDGGTLYIRLAASELPSHHKKGKVLWIVAGGVAAFLFCLVLVFMLVVWTRRRRKLIASASEVVMGGLTIFKYSELQRLTKNFSDKLGSWWLLALCLKERYQIQLL >DRNTG_26316.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21384999:21394685:-1 gene:DRNTG_26316 transcript:DRNTG_26316.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMFKCSNGGAEVFWPVDTPDEPNSPNLGDGSEPLGLSNDMVLDLGPPWKPMMWSDLLTTIQSLLPQKAWISISPDLYATFWGLTLYDIYIPRNRYESEIDKQHAAIKALEELSDNSNMAITKRKKDKERIQDLLDRLISERQKHEQHVTLVRQRLSREKDKWLCNCLDTSKTNMEFFQRCIFPRCVFSMTDAVYCAMFVHTLHSLGTPFFNTANHIDVLICKTIQPMICSCTEYEAGRLGRFLYETLKMAYHWKSDESIYERECGNMPGFAVYIKLPNSQRVTFGQFVRMHYKWSGKLTRLLIQCLESAEYMEIRNALIVLTKISSVFPVTRKSGLNLEKRVVKIKSDEREDLKVLATGVSAALAARKSSWVSDEEFSMGHVDIRPATTAKSLAGNLGNSSNGSILGVSQNETSGTRNVTAGNQSVRAKSVDGRSDRPENGTAMKSDSIQQKTRGAANGSEGGMPNSSIPSGTSKASVVKNSDEVAKASLEDASLKVSSKSESETKAQLKRSVHGSTGKQLKQELVKEDGKTGKMSGRVSNQVSF >DRNTG_06220.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15123416:15124831:1 gene:DRNTG_06220 transcript:DRNTG_06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMLLLQAQLSTERVLVVEIMCKDSKLCKKKGVTVVLTDLDLNKKNIQTDFILSRKAFMALVRPGKVPKLMTLGTVNIEYKSELKVEDSSQKPNNLAVNFLYQGGQTDILTVDVAQVGTSYWKFMTRSAYSAIWNTSNAPAGALQFLVVVIGCFEAAWIFTREALPANWKIGSVYESGVQIIEIAQEECSTCDTKEWK >DRNTG_31699.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2162952:2164729:1 gene:DRNTG_31699 transcript:DRNTG_31699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLSPNAFVHQGTNKTLRDEQIYNSYQNPTSQLTVHHEWPGLSHHHSFWIIDPFILSSMAIQSHFHPRPSDILLVTNPKFGTTWLKALSYATLHRHSFFDHPLFTNTPHQCVPLLERLFSHRAIPNLSVLLLEPNPNFFSMPSAVSPLPHSSARLLFTARPSLPSAPRLFCVFSPPRACAGPRSSLLCQVSYLQKSDVMHNDKNYKAWSSTLRVLLLGLHLWGTWSSGLRMILAQLLSFVSHANFLFVWQSVILLRPRRSGITCIHFIFPPVRRCATPCYRLLPPLTRIPLPTTAPLLSLVPLLLSSPGLGDPVHPISQLSESGCSTPQRQSIRCHYCHAPGHVRAECRKLQLA >DRNTG_07496.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3853741:3858280:1 gene:DRNTG_07496 transcript:DRNTG_07496.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRSVFQSSSSCLWSSINPSSSTPPSLFSSSSSSSSSSLSHHSSLPSTFLPFSQLLLGGGEQMVDEEERYFVYGLHDDHDDDHDHQVFGIKGVKSYGDHEEEEDEIIHATTTTTTTTTTSSCSQALQSLSSPKSCVTTLDFSKRVHDSECNSREGGVALKKAKVQSSSSSSSTSSQASLKVRKEKLGDRVAALHQLVSPFGKTDTASVLQEAIGYIRFLHSQIEALTSPYIATASSHSISNEESRRDLKSRGLCLVPVSITPQIGSENGADFWAPSCCGSSV >DRNTG_07496.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3853741:3858280:1 gene:DRNTG_07496 transcript:DRNTG_07496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRSVFQSSSSCLWSSINPSSSTPPSLFSSSSSSSSSSLSHHSSLPSTFLPFSQLLLGGGEQMVDEEERYFVYGLHDDHDDDHDHQVFGIKGVKSYGDHEEEEDEIIHATTTTTTTTTTSSCSQALQSLSSPKSCVTTLDFSKRVHDSECNSREGGVALKKAKVQSSSSSSSTSSQASLKVRKEKLGDRVAALHQLVSPFGKTDTASVLQEAIGYIRFLHSQIEALTSPYIATASSHSVQGGRNCIFIEDYEQISNEESRRDLKSRGLCLVPVSITPQIGSENGADFWAPSCCGSSV >DRNTG_19202.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2213733:2224157:1 gene:DRNTG_19202 transcript:DRNTG_19202.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heteroglycan glucosidase 1 [Source:Projected from Arabidopsis thaliana (AT3G23640) UniProtKB/TrEMBL;Acc:A0A1I9LTS6] MTEPTSGKMVFEPILEDGVFRFDCSGDDRGAAFPSLSFADPKVRETPIMVHKRPEFVPEFQCLHGRQIVTIQLPDGTSFYGTGEVSGQLERTGTRIFTWNTDAWGYGSGTTSLYQSHPWVLAVFSDGRSLGFLADTTRRCEVDLRQNSTIKFTAAAVYPVISFGPFDSPAEVLKSLSHAIGTVFMPPKWSLGYHQCRWSYDSDQKVLKTARTFREKGIPCDVIWMDIDYMDGFRCFTFDQDHFPDPKSMVKDLHAIGFKAIWMLDPGIKHEEGYFVYDKGSKSDVWIQKADGKPFVGEVWPGPCVFPDFTNEKTRSWWANSVKDFVSNGVDGIWNDMNEPAVFKSVTKTMPESNIHRGDSEFGGCQNHSHYHNVYGMLMARSTFEGMKMASGQKRPFVLTRAGFIGSQRYAATWTGDNLANWDNLHMSIPMVLQLSISGQPLSGPDIGGFAGNATPRLFGRWMGVGAMFPFSRGHSEAGTVDHEPWSFGEECEEVCRLALLRRYRLLPHIYTLFYIAHTKGTPVAAPVFFADPQDSSLRAVENSFLLGPLLICASTAPDQGSHELSHVLPKGIWMKFDFCDSHPDLPTMYLQGGSIIPVGLPLQHVYEANPIDELSLFIALDNFGRAHGVLFEDDGDGYEYTQGGFLLTYYVAELRSSIITVKVSNTEGSWRRPTRNLHVYILLGGGAMMDAVGVDGEEIQIAMPSKSEVNDLVLASENEYKTRLEQAKCLSDADEHSGQKGIELSRTPVDLKNGDWSLKVVPWIGGRIISMKHMPSGTQWLHSRVEVDGYEEYSGVEYRSAGCSEEYEVVGRNLEQSGVEESLSLEGNIGGGLVLQRKISIMKDNPKILIIDSGIVARSVGAGSGGFSRLVCLRVHPTFTLLHPTEVFVRFNSIDGSKHEIWPDTAEQYFEGNLRPNGEWMLVDKCSGLSLINRFDINQVNKCLVHWGCGTVNLELWSEERPVSKDTPLKISHTYQVMEISKL >DRNTG_20476.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1910353:1911379:1 gene:DRNTG_20476 transcript:DRNTG_20476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIVGDLLVAADGCLSSIRRHFFPDFKLRYSGYSAWRGVLDCSGQEHAEIISNLRKAYPEFGQCLYFDLADRTHCVLYELKNKRINWIWYINMPEPELKQGSSVTMKVNDEMIENMHEEAEKVWVPELARVMRETKGSFSSM >DRNTG_12415.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12319310:12321390:1 gene:DRNTG_12415 transcript:DRNTG_12415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNETDHKVFKEKIASLEFSCIPTGGGNYPRPAPSLESATGVYTRPLVPSRENALLTLTDLTRACGKYPRLRACTPQGARTPLWLPWTSEKNIKLPTRPCGNSPRAWAFTGLNSQGRPHAPVFSRDGGSNLQSFARACGNYPHSCVVHKVIHRGESTSLCILGKIYPTLQEGTRPCGNYPRACASPFEALGPQRRDWE >DRNTG_19299.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:592583:598025:1 gene:DRNTG_19299 transcript:DRNTG_19299.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKPLPGKKTSSATAGTTTAAGDARFLHEIEALSKALSLDPKSHRRSLPSSSSSRSVSAGRSHPSKPSKKSSSSSSSSSSIWNWKPFRALSHIGRHRFDCLFTLHVHSIDAIPQSLAGAGLAVNWRRTTDPSASAACTRTARAFHSVAEFDETLTHICSVYASRGSGGSAKYEARHVLIYVAVNDAPGLDLGRHLVDLTRILPLSLEELAEEKASGRWSTSFKLSGKAKGAVLNVSLGYSFVRNQSKPEPEKKVPEILNASVNQQNSMGAVRDDDDHDPSPMEDVKVLHAVLPSSHSDVSGHADVHKELVAKLESLEVDFIVDQEKDSPELKVCTLPAFEKEMEKEEVDEPPEFMVVEQGVELAERELECHEVDIAQVAVKPEKEVDGALSGEQSTGESKCALLPEGLMIEDLESSVLDNLSALESEDCLVTPSSKELSYNEAKSNYKSGGPRSKSLSLDDATDSVAAEFLTLLGIEHSPFGVSSDSDPESPRERLWKQFKMESLADGDGIFGLDIGVGKELGFEEYSEDIDLSSIVLEAETELQKATQAMDSKSRAKMMEDAETEALMRQWGLNEMAFQHSPPGSRSGFGSPISLPPEKPIELPPLGEGLGPFLQTKDGGFLRSMSPSLFRNAKNNGGLVMQVSSPLVVPAEMGSGIMDILQNLASVGIEKLSMQASKLMPLEDITGKTMHQVAWEAAPTLEWGERQDLLQCMEPEVSVGNGRARKKGKGLDLASSVMGEAESEYVSLEDLAPLAMDKIEALSIEGLRIQSGMSDEEAPSNIRAQSIGEISALQGKDAKNNLSLGLEGAGGLQLLDVRDNGDEVDGLMGLSITLDEWMKLDSGIVEEDQISDRTSKILAAHHANPMELVTGVSKGERKGGKRSGKRWGLLGNNFTVALMVQLRDPLRNYEPVGTPMLALIQVERIFVPPKPKIYGNVSDKGNSEIDDELETKPEAVKEDKKEEEETIPQFKITEVHVAGLKTEPAKKKVWGTPSQQQSGSRWLLASGMGKSNKHPFMKSKTVTKTSQATTTVQPGESLWSISSRVHGTGAKWKELAALNPHIRNPNVIFPNETIRLR >DRNTG_19299.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:592583:598025:1 gene:DRNTG_19299 transcript:DRNTG_19299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKPLPGKKTSSATAGTTTAAGDARFLHEIEALSKALSLDPKSHRRSLPSSSSSRSVSAGRSHPSKPSKKSSSSSSSSSSIWNWKPFRALSHIGRHRFDCLFTLHVHSIDAIPQSLAGAGLAVNWRRTTDPSASAACTRTARAFHSVAEFDETLTHICSVYASRGSGGSAKYEARHVLIYVAVNDAPGLDLGRHLVDLTRILPLSLEELAEEKASGRWSTSFKLSGKAKGAVLNVSLGYSFVRNQSKPEPEKKVPEILNASVNQQNSMGAVRDDDDHDPSPMEDVKVLHAVLPSSHSDVSGHADVHKELVAKLESLEVDFIVDQEKDSPELKVCTLPAFEKEMEKEEVDEPPEFMVVEQGVELAERELECHEVDIAQVAVKPEKEVDGALSGEQSTGESKCALLPEGLMIEDLESSVLDNLSALESEDCLVTPSSKELSYNEAKSNYKSGGPRSKSLSLDDATDSVAAEFLTLLGIEHSPFGVSSDSDPESPRERLWKQFKMESLADGDGIFGLDIGVGKELGFEEYSEDIDLSSIVLEAETELQKATQAMDSKSRAKMMEDAETEALMRQWGLNEMAFQHSPPGSRSGFGSPISLPPEKPIELPPLGEGLGPFLQTKDGGFLRSMSPSLFRNAKNNGGLVMQVSSPLVVPAEMGSGIMDILQNLASVGIEKLSMQASKLMPLEDITGKTMHQVAWEAAPTLEWGERQDLLQCMEPEVSVGNGRARKKGKGLDLASSVMGEAESEYVSLEDLAPLAMDKIEALSIEGLRIQSGMSDEEAPSNIRAQSIGEISALQGKDAKNNLSLGLEGAGGLQLLDVRDNGDEVDGLMGLSITLDEWMKLDSGIVEEDQISDRTSKILAAHHANPMELVTGVSKGERKGGKRSGKRWGLLGNNFTVALMVQLRDPLRNYEPVGTPMLALIQVERIFVPPKPKIYGNVSDKGNSEIDDELETKPEAVKEDKKEEEETIPQFKITEVHVAGLKTEPAKKKVWGTPSQQQSGSRWLLASGMGKSNKHPFMKSKTVTKTSQATTTVQPGESLWSISSRVHGTGAKWKELAALNPHIRNPNVIFPNETIRLR >DRNTG_19299.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:592583:598025:1 gene:DRNTG_19299 transcript:DRNTG_19299.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKPLPGKKTSSATAGTTTAAGDARFLHEIEALSKALSLDPKSHRRSLPSSSSSRSVSAGRSHPSKPSKKSSSSSSSSSSIWNWKPFRALSHIGRHRFDCLFTLHVHSIDAIPQSLAGAGLAVNWRRTTDPSASAACTRTARAFHSVAEFDETLTHICSVYASRGSGGSAKYEARHVLIYVAVNDAPGLDLGRHLVDLTRILPLSLEELAEEKASGRWSTSFKLSGKAKGAVLNVSLGYSFVRNQSKPEPEKKVPEILNASVNQQNSMGAVRDDDDHDPSPMEDVKVLHAVLPSSHSDVSGHADVHKELVAKLESLEVDFIVDQEKDSPELKVCTLPAFEKEMEKEEVDEPPEFMVVEQGVELAERELECHEVDIAQVAVKPEKEVDGALSGEQSTGESKCALLPEGLMIEDLESSVLDNLSALESEDCLVTPSSKELSYNEAKSNYKSGGPRSKSLSLDDATDSVAAEFLTLLGIEHSPFGVSSDSDPESPRERLWKQFKMESLADGDGIFGLDIGVGKELGFEEYSEDIDLSSIVLEAETELQKATQAMDSKSRAKMMEDAETEALMRQWGLNEMAFQHSPPGSRSGFGSPISLPPEKPIELPPLGEGLGPFLQTKDGGFLRSMSPSLFRNAKNNGGLVMQVSSPLVVPAEMGSGIMDILQNLASVGIEKLSMQASKLMPLEDITGKTMHQVAWEAAPTLEWGERQDLLQCMEPEVSVGNGRARKKGKGLDLASSVMGEAESEYVSLEDLAPLAMDKIEALSIEGLRIQSGMSDEEAPSNIRAQSIGEISALQGKDAKNNLSLGLEGAGGLQLLDVRDNGDEVDGLMGLSITLDEWMKLDSGIVEEDQISDRTSKILAAHHANPMELVTGVSKGERKGGKRSGKRWGLLGNNFTVALMVQLRDPLRNYEPVGTPMLALIQVERIFVPPKPKIYGNVSDKGNSEIDDELETKPEAVKEDKKEEEETIPQFKITEVHVAGLKTEPAKKKVWGTPSQQQSGSRWLLASGMGKSNKHPFMKSKTVTKTSQATTTVQPGESLWSISSRVHGTGAKWKELAALNPHIRNPNVIFPNETIRLR >DRNTG_19299.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:592583:598025:1 gene:DRNTG_19299 transcript:DRNTG_19299.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKPLPGKKTSSATAGTTTAAGDARFLHEIEALSKALSLDPKSHRRSLPSSSSSRSVSAGRSHPSKPSKKSSSSSSSSSSIWNWKPFRALSHIGRHRFDCLFTLHVHSIDAIPQSLAGAGLAVNWRRTTDPSASAACTRTARAFHSVAEFDETLTHICSVYASRGSGGSAKYEARHVLIYVAVNDAPGLDLGRHLVDLTRILPLSLEELAEEKASGRWSTSFKLSGKAKGAVLNVSLGYSFVRNQSKPEPEKKVPEILNASVNQQNSMGAVRDDDDHDPSPMEDVKVLHAVLPSSHSDVSGHADVHKELVAKLESLEVDFIVDQEKDSPELKVCTLPAFEKEMEKEEVDEPPEFMVVEQGVELAERELECHEVDIAQVAVKPEKEVDGALSGEQSTGESKCALLPEGLMIEDLESSVLDNLSALESEDCLVTPSSKELSYNEAKSNYKSGGPRSKSLSLDDATDSVAAEFLTLLGIEHSPFGVSSDSDPESPRERLWKQFKMESLADGDGIFGLDIGVGKELGFEEYSEDIDLSSIVLEAETELQKATQAMDSKSRAKMMEDAETEALMRQWGLNEMAFQHSPPGSRSGFGSPISLPPEKPIELPPLGEGLGPFLQTKDGGFLRSMSPSLFRNAKNNGGLVMQVSSPLVVPAEMGSGIMDILQNLASVGIEKLSMQASKLMPLEDITGKTMHQVAWEAAPTLEWGERQDLLQCMEPEVSVGNGRARKKGKGLDLASSVMGEAESEYVSLEDLAPLAMDKIEALSIEGLRIQSGMSDEEAPSNIRAQSIGEISALQGKDAKNNLSLGLEGAGGLQLLDVRDNGDEVDGLMGLSITLDEWMKLDSGIVEEDQISDRTSKILAAHHANPMELVTGVSKGERKGGKRSGKRWGLLGNNFTVALMVQLRDPLRNYEPVGTPMLALIQVERIFVPPKPKIYGNVSDKGNSEIDDELETKPEAVKEDKKEEEETIPQFKITEVHVAGLKTEPAKKKVWGTPSQQQSGSRWLLASGMGKSNKHPFMKSKTVTKTSQATTTVQPGESLWSISSRVHGTGAKWKELAALNPHIRNPNVIFPNETIRLR >DRNTG_05055.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3585559:3590310:1 gene:DRNTG_05055 transcript:DRNTG_05055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNRDPSGRRSGAANIFIKNLDKSIDNKTLFDTFSVFGNILTCKVATDSSGQSKGYGFVQYEEEEAAQNAIERLNGMLLNDKKVYVGPFLRKQEREGVVDNAKFNNVFVKNLSEYTTEDDLKMIFGQYGEITSAVVMKEGDGKSKCFGFVNFDNPDDAAQAVQELNGKKFDDKEWFVGKALKKSERETELKGQLDQNTIEVVDKYQGLNLYLKNLDDSIGDSTLKELFSDFGTVTSCKVMRDPNGKSKGTGFVSFSSPEEASRALAQMQGKQIGGKFLYVALAQRKEDRRAMLQSQFSQMHPVAVPPPVTSGMLIYPPGAPGLGQQHFFGQGPRVPYQAGYGYQEQPFPGSAPMPGFFSPMGLPVRQGQRTGGRRAGGGHTRHTQQHMPLIQPQMLTRGGHGYRHPTRRNPSDGPMRGFAGGMRSVHNIVGGLPIHNGGMPPSIPVGALTPLLANASPEQQRTILGENLYPFVDYLEHNHAAKVTGMLLEMDQVEILHLLESPEVLKAKVSEAMEILRNVRQQQQTSSPADQFAAVTE >DRNTG_06305.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2194092:2195444:-1 gene:DRNTG_06305 transcript:DRNTG_06305.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAATFHIIIQSGSRRESSSSSSSSSSTEKKE >DRNTG_21899.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19650594:19651989:1 gene:DRNTG_21899 transcript:DRNTG_21899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFTECYHCHVLKNIDLVYGGGSIGLMGLISHTVFDGGRNVIGVILKALMAREIIGVTIGEVKPVVDMHYRKAKMASYADVFIALPKGYGTFKELFEVISWAQLGIHNKPEYSYLNLPVAMDDNVEHST >DRNTG_18026.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6895659:6896187:1 gene:DRNTG_18026 transcript:DRNTG_18026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVLNQEYTMTHNDRYGHSSHPSSILNPAVIKPWHHELTNSNKRSDNSLTTAIVKCITAVVKIHNAQRPCQDVTWSTSNNLLIRGITTLVRWCFFHIQ >DRNTG_24646.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8031028:8031973:1 gene:DRNTG_24646 transcript:DRNTG_24646.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRISPFSSPVVLVKKKDGSWHLCVDYRAVNDKNIKAKFPIPLIEELLDELHEADYFLKLDLRSRYHQI >DRNTG_24646.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8029457:8031973:1 gene:DRNTG_24646 transcript:DRNTG_24646.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYHCEQYFEYDETAEGMKVKVATIHLEGKTLQWHQNFMHNRLRKELPGLEEYIEAISARFGDDLHADPMVELKNLKQSSTVQVYLDKFDELMTKVFLSEDYTVSCFLGGLREKV >DRNTG_24646.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8028228:8031973:1 gene:DRNTG_24646 transcript:DRNTG_24646.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHCEQYFEYDETAEGMKVKVATIHLEGKTLQWHQNFMHNRLRKELPGLEEYIEAISARFGDDLHADPMVELKNLKQSSTVQVYLDKFDELMTKVFLSEDYTVSCFLGGLREKV >DRNTG_24646.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8028228:8031973:1 gene:DRNTG_24646 transcript:DRNTG_24646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHCEQYFEYDETAEGMKVKVATIHLEGKTLQWHQNFMHNRLRKELPGLEEYIEAISARFGDDLHADPMVELKNLKQSSTVQVYLDKFDELMTKVFLSEDYTVSCFLGGLREKV >DRNTG_28750.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31155192:31166065:1 gene:DRNTG_28750 transcript:DRNTG_28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSLPSLSRAPSPRDAILKRLAQRGVPQEYLDQCQPGLVAFVRENKSLLPEIVCCILPSVRDMSVAWRKDKPETAIEQRRAKLKALFDESLLWMQWLMFEGKPHTFLKNLAEKSSGQRAVCGAVWGQKELAYKCRTCEYDPTCAICVPCFQNGDHRGHDYSILYTNGGCCDCGDATAWKREGFCSMHKGSEQIQPLPNEMVHFIGPVLYELFLLWKDRILSVEYLRLPLSDDNHANVHGAHEFSLAIIEMLLGFCNSSEGLLSFVAKQMVTCVGLLDVLLMGERFLDKLVVMKLLELLLKFIVEPLFKYEFAKAFMRYYQRSVREIIKENTPWMLEDYPLLSPLSVQIFTVATLVPRLVREINLLDILLSCLGEIFRSCVGEDDRLQATKWENLYEFTIRLIEDICYVLNHEEVLQYVSRERVDILRSWIRLLSLLQGMDSQKRVRSVHIEEENENLHHPFLLVHYLGKVHDLLVKGFFAVVVSKEREDDIFFPYVSHGLEDDHTLCHAKRGRISQESFVGSLSSGNVMDHDKNAEDMSERYNYISVPSCGIWLIVECLKAVESWVSPVTANRSNPFSMDAMCSGGYKVTNLRKKNFRIKKGSSSNRVYRTCLSREVMDVDQAPVNHATLGSPPMQVMTTENREAVSRCGSLLDITGTSKTLYEDGCMSELSDDNSMLTDYIKESETPDVLSFGNWPDIVYDVSSQEISFHIPLHRLLSKLFQKAMTNCYAGMLEMTKGVSLLPSCVYHHEFFGQVLGGFYPHEFSAFLMEHPLRLRVFCAQVRAGMWRKNGDAAILSSECYRSSIWLDQGLELDLFLMQCCASVAPPELFVKRIQERFGLSDYTSLNLTKHDEFEAVLVQEMLILIIQIVKERRFCGLSTFGYLCRELIHKLAAGDATHSQLLKTIPREFSKSEQLRKALDMLAMHSNPSGMKQGKYSLRELYWKELDLYHPRWNSRELQIAEERYGHFCNVSALNVQLPQWSEIYCPLITVSTIATSRAALQIIRAVLYYALFADVSSANRAPDTIVITALHLLALALDICGKSNQVSAGNSEHIDCQSSTNAYCYSKYLLLVLSFACEEFDVGPSDGPPFWKNQSMLSLLVSLMRKYREGKNKQYTETRQCNISSLIETLLKKFVQLSAACKAEIRKLEPELIYDISQQHSNTAMENSALDSGFQEQKSKIRERQAAILEKMRAEQSKFIASMAGSSIDDLEFLESNQEASMADDHYVQEEDKQMCSFCRDPDSQRPLCYLIHFQTSKLTSFVNRGPLSWEEEDDKIADAHLIGKDVLDILSGADSTSAVHSTHTTDLEFAHDSKGAQPPNDANGAVTKLPLKMVEFDIYQSVERLIHGEKSNPDFLDGNSLHSCSTEVLDKGKSAEFSLVGEYLSSLSRGASKQHQSSMYNLLGRSNTLLNSPNPANVHNGVGSGDFDGIYISSCGHVVHQECHERYLLSLKQRSIRRLGIEGGEIVDPDMGELLCPVCRRFANSILPAYPVSANKAQRQIMPSTSSAAPIVISSTCNDKVLLVQLALTLLQSTAKLVGHDRFLRQYCGNLGGTTELVLEPAVHKLCLLQYPHSFGSLTASGRLRPSLILWNTLTYSLMCLEIASREKINPHSVDPNSCLKSLYNELHSSSGSILSSLLCISQNSRSMNDLEVLLRFRAIQLFSGSICSDVSDEINLLNEGSHASLPEQTNHGETFPDTQFWKQAVDPILAYDPFASLMMVLFSLPLPCLSSTEFFMALVHLFYVVSVIQAMITCYSNCYFDVSCFGDWILNDVCEVIGRSELALKYFFSDCIDSSLYPKDMIR >DRNTG_28750.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31160459:31166065:1 gene:DRNTG_28750 transcript:DRNTG_28750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLVSLMRKYREGKNKQYTETRQCNISSLIETLLKKFVQLSAACKAEIRKLEPELIYDISQQHSNTAMENSALDSGFQEQKSKIRERQAAILEKMRAEQSKFIASMAGSSIDDLEFLESNQEASMADDHYVQEEDKQMCSFCRDPDSQRPLCYLIHFQTSKLTSFVNRGPLSWEEEDDKIADAHLIGKDVLDILSGADSTSAVHSTHTTDLEFAHDSKGAQPPNDANGAVTKLPLKMVEFDIYQSVERLIHGEKSNPDFLDGNSLHSCSTEVLDKGKSAEFSLVGEYLSSLSRGASKQHQSSMYNLLGRSNTLLNSPNPANVHNGVGSGDFDGIYISSCGHVVHQECHERYLLSLKQRSIRRLGIEGGEIVDPDMGELLCPVCRRFANSILPAYPVSANKAQRQIMPSTSSAAPIVISSTCNDKVLLVQLALTLLQSTAKLVGHDRFLRQYCGNLGGTTELVLEPAVHKLCLLQYPHSFGSLTASGRLRPSLILWNTLTYSLMCLEIASREKINPHSVDPNSCLKSLYNELHSSSGSILSSLLCISQNSRSMNDLEVLLRFRAIQLFSGSICSDVSDEINLLNEGSHASLPEQTNHGETFPDTQFWKQAVDPILAYDPFASLMMVLFSLPLPCLSSTEFFMALVHLFYVVSVIQAMITCYSNCYFDVSCFGDWILNDVCEVIGRSELALKYFFSDCIDSSLYPKDMIR >DRNTG_09257.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:463262:463571:1 gene:DRNTG_09257 transcript:DRNTG_09257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHYPAGSSPRSLQSRHEMPLGTDQRAVNTPRLSPTPTSENLIHQY >DRNTG_19672.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3809871:3811377:1 gene:DRNTG_19672 transcript:DRNTG_19672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPHSCCDCLPLKNLSFFSRLDSHEVLVELKERWWCHKYWLSMGLFPKHTPITKEVTVFLVQFEHLLKLKFGQSHFLDLSALGEIQRGAELAEEVDELLRGGETSIKGKELVEK >DRNTG_18462.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4965185:4967115:1 gene:DRNTG_18462 transcript:DRNTG_18462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMALTSWESVLAEICERWGLDVSHVSVKFITPDEYKMAYSIENNVDFQWMCHVHLIFKCSVVDLVVEKDDVALSNPTENEFFSLNSDSTSAPDQPHGEPDGVGCLPSSSDHSEVLSLDIGQCFEGVEHFRDALRNFVIKRNFDFKFIKNEKHRMTVECAAVGCQWHLHASKEYNKNTFRIKTMNLSHTYSIVDNETDANCTWFISKLGDALYEEGDYHKIITFVLDRSKGLVNAIARVFPSSPHVDCLRHLEANFMKANVRLGKTLREECWSIYFHIAWASTAKDFDDTVNELQATSPEVHHWLINKSDMAHCSNYLFRGERWGFKLMRMLCNLREQANKWETYLCPDIHSKVEILVEDSQNLHVGRCVDDRYKVIDQCSYFVDLAIRTCSCHSDYFTVDNYKLAYKEAIFPIPDDDKPTKENRELRLRPPVTRRQPGRHRRKRIES >DRNTG_33945.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:939578:940243:1 gene:DRNTG_33945 transcript:DRNTG_33945.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPIIREPGRKGNLRIKFEVKFPSRLAPEQRAGIKRILGG >DRNTG_33945.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:937744:940243:1 gene:DRNTG_33945 transcript:DRNTG_33945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYNVLKVNRSATDEDLKKAYRRLAMTWHPDKNPGNKVEAEAKFKQISEAYEVLSDPQKRSVYDQYGEEGLKGMPAPGSAASAANDFIFNPRNAEDIFAEFFGSSPFGFGSMGRAKSMRFQGDGGSIFGSFGGTENVFRSYNEGVGTGMGGHLRKAPPVESKLPCSLEELYTGSTRKMKISRNVLDASGRLVPESEILTIDIKPGWKKGTKITFPDKGNEQVNLLPADLVFVIDEKPHEVFKRDGNDLIVNQKISLAEALGGTLVELTALDGRELSIPVNDVVSPGFELVVAKEGMPIIREPGRKGNLRIKFEVKFPSRLAPEQRAGIKRILGG >DRNTG_33945.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:938407:940243:1 gene:DRNTG_33945 transcript:DRNTG_33945.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPGSAASAANDFIFNPRNAEDIFAEFFGSSPFGFGSMGRAKSMRFQGDGGSIFGSFGGTENVFRSYNEGVGTGMGGHLRKAPPVESKLPCSLEELYTGSTRKMKISRNVLDASGRLVPESEILTIDIKPGWKKGTKITFPDKGNEQVNLLPADLVFVIDEKPHEVFKRDGNDLIVNQKISLAEALGGTLVELTALDGRELSIPVNDVVSPGFELVVAKEGMPIIREPGRKGNLRIKFEVKFPSRLAPEQRAGIKRILGG >DRNTG_06093.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4785440:4788827:1 gene:DRNTG_06093 transcript:DRNTG_06093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGFVGILGHSQVFNCFGQHFCLHFDEFLLGVAPVYMAFLRFMDDANEAKNFTYSLEVGGNGRKMIWHGVPRSIRDGHRKVRASYDGLVIHRDMALFFFCFSSAATGGN >DRNTG_06093.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4785440:4788646:1 gene:DRNTG_06093 transcript:DRNTG_06093.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFNCFGQHFCLHFDEFLLGVAPVYMAFLRFMDDANEAKNFTYSLEVGGNGRKMIWHGVPRSIRDGHRKVRASYDGLVIHRDMALFFFCFSSAATGGN >DRNTG_06093.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4785440:4788646:1 gene:DRNTG_06093 transcript:DRNTG_06093.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFNCFGQHFCLHFDEFLLGVAPVYMAFLRFMDDANEAKNFTYSLEVGGNGRKMIWHGVPRSIRDGHRKVRASYDGLVIHRDMALFFFCFSSAATGGN >DRNTG_06093.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4785440:4787558:1 gene:DRNTG_06093 transcript:DRNTG_06093.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGSKIFMKISESQSTDSESSTARDEFENINHGKVSTNSGVKVCISSTTGLDKLLQCPVCTNSMYPPIHQLHCASSY >DRNTG_06093.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4785440:4787182:1 gene:DRNTG_06093 transcript:DRNTG_06093.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGSKIFMKISESQSTDSESSTARDEFENINHGKVSTNSGVKVCISSTTGLDKLLQCPVCTNSMYPPIHQLHCASSY >DRNTG_06093.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4785440:4788701:1 gene:DRNTG_06093 transcript:DRNTG_06093.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELGFVGILGHSQVFNCFGQHFCLHFDEFLLGVAPVYMAFLRFMDDANEAKNFTYSLEVGGNGRKMIWHGVPRSIRDGHRKVRASYDGLVIHRDMALFFFCFSSAATGGN >DRNTG_06093.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4785440:4788701:1 gene:DRNTG_06093 transcript:DRNTG_06093.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFNCFGQHFCLHFDEFLLGVAPVYMAFLRFMDDANEAKNFTYSLEVGGNGRKMIWHGVPRSIRDGHRKVRASYDGLVIHRDMALFFFCFSSAATGGN >DRNTG_06093.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4786641:4787558:1 gene:DRNTG_06093 transcript:DRNTG_06093.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGSKIFMKISESQSTDSESSTARDEFENINHGKVSTNSGVKVCISSTTGLDKLLQCPVCTNSMYPPIHQLHCASSY >DRNTG_19253.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:311717:314418:1 gene:DRNTG_19253 transcript:DRNTG_19253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCNRSEGFGMSKKRKSDASRLDESDRTMYSTFRSAANSLSFLYTQAMHHQKLSFQAGQRHALEKLYQWILRQHEEGSRVSVADIVAHLQNEIEYGGEDITSPRSPPQQQLFQTVPHYTNTNLQMASGFLGQQQAGPAPRTNTVSDQAKNSVFSNALYSPIHRNLQTYHHAQGGEYYPNEIAPSSNGTRNHEPNSSGQNRETNDTSMDMHSD >DRNTG_19253.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:311717:314324:1 gene:DRNTG_19253 transcript:DRNTG_19253.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVCNRSEGFGMSKKRKSDASRLDESDRTMYSTFRSAANSLSFLYTQAMHHQKLSFQAGQRHALEKLYQWILRQHEEGSRVSVADIVAHLQNEIEYGGEDITSPRSPPQQQLFQTVPHYTNTNLQMASGFLGQQQAGPAPRTNTVSDQAKNSVFSNALYSPIHRNLQTYHHAQGGEYYPNEIAPSSNGTRNHEPNSSGQNRETNDTSMDMHSD >DRNTG_19253.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:311717:314324:1 gene:DRNTG_19253 transcript:DRNTG_19253.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCMIVNSLHPEVLLSVPILQNEIEYGGEDITSPRSPPQQQLFQTVPHYTNTNLQMASGFLGQQQAGPAPRTNTVSDQAKNSVFSNALYSPIHRNLQTYHHAQGGEYYPNEIAPSSNGTRNHEPNSSGQNRETNDTSMDMHSD >DRNTG_19253.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:311717:312008:1 gene:DRNTG_19253 transcript:DRNTG_19253.12 gene_biotype:protein_coding transcript_biotype:protein_coding MVCNRSEGFGMSKKRKSDASRLDESDRTMYSTFRSAANSLSFLYTQAMHHQKLSFQAGQRHAFGFLILLFPLIKFFFAPFLSSIVS >DRNTG_19253.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:312110:314324:1 gene:DRNTG_19253 transcript:DRNTG_19253.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFLGQQQAGPAPRTNTVSDQAKNSVFSNALYSPIHRNLQTYHHAQGGEYYPNEIAPSSNGTRNHEPNSSGQNRETNDTSMDMHSD >DRNTG_19253.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:312327:314418:1 gene:DRNTG_19253 transcript:DRNTG_19253.9 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFLGQQQAGPAPRTNTVSDQAKNSVFSNALYSPIHRNLQTYHHAQGGEYYPNEIAPSSNGTRNHEPNSSGQNRETNDTSMDMHSD >DRNTG_19253.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:311717:314324:1 gene:DRNTG_19253 transcript:DRNTG_19253.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCNRSEGFGMSKKRKSDASRLDESDRTMYSTFRSAANSLSFLYTQAMHHQKLSFQAGQRHAFGFLILLFPLIKFFFAPFLSSIVSDLFLFLFFVDVVVFFFGRLFS >DRNTG_19253.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:312327:314324:1 gene:DRNTG_19253 transcript:DRNTG_19253.11 gene_biotype:protein_coding transcript_biotype:protein_coding MCMIVNSLHPEVLLSVPILQNEIEYGGEDITSPRSPPQQQLFQTVPHYTNTNLQMASGFLGQQQAGPAPRTNTVSDQAKNSVFSNALYSPIHRNLQTYHHAQGGEYYPNEIAPSSNGTRNHEPNSSGQNRETNDTSMDMHSD >DRNTG_19253.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:312327:314324:1 gene:DRNTG_19253 transcript:DRNTG_19253.10 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFLGQQQAGPAPRTNTVSDQAKNSVFSNALYSPIHRNLQTYHHAQGGEYYPNEIAPSSNGTRNHEPNSSGQNRETNDTSMDMHSD >DRNTG_19253.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:311717:314324:1 gene:DRNTG_19253 transcript:DRNTG_19253.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVCNRSEGFGMSKKRKSDASRLDESDRTMYSTFRSAANSLSFLYTQAMHHQKLSFQAGQRHAFGFLILLFPLIKFFFAPFLSSIVSDLFLFLFFVDVVVFFFGRLFS >DRNTG_19253.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:311717:314324:1 gene:DRNTG_19253 transcript:DRNTG_19253.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVCNRSEGFGMSKKRKSDASRLDESDRTMYSTFRSAANSLSFLYTQAMHHQKLSFQAGQRHALEKLYQWILRQHEEGSRVSVADIVAHLQNEIEYGGEDITSPRSPPQQQLFQTVPHYTNTNLQMASGFLGQQQAGPAPRTNTVSDQAKNSVFSNALYSPIHRNLQTYHHAQGGEYYPNEIAPSSNGTRNHEPNSSGQNRETNDTSMDMHSD >DRNTG_19253.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:312110:314418:1 gene:DRNTG_19253 transcript:DRNTG_19253.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFLGQQQAGPAPRTNTVSDQAKNSVFSNALYSPIHRNLQTYHHAQGGEYYPNEIAPSSNGTRNHEPNSSGQNRETNDTSMDMHSD >DRNTG_12661.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1214614:1216944:-1 gene:DRNTG_12661 transcript:DRNTG_12661.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRARGDCCKCCIKLQLGLGLTALILYLTYRPIRPRFFITTFSVTSGNLTASYRLEIENKNRQIAVLHDPITLKLSLPADNLTAVGAPIAAFHQGHQKTADFDRSLTIHNGTWFSAVANASAVFHVSVSSAFRYKVFSWKSRHHSVNIGGDVAVDKEGRKTAEKGIRLSSASPPPVAAFLVVLPAAHLVLLFKY >DRNTG_12661.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1214614:1216944:-1 gene:DRNTG_12661 transcript:DRNTG_12661.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRARGDCCKCCIKLQLGLGLTALILYLTYRPIRPRFFITTFSVTSGNLTASYRLEIENKNRQIAVLHDPITLKLSLPADNLTAVGAPIAAFHQGHQKTADFDRSLTIHNGTWFSAVANASAVFHVSVSSAFRYKVFSWKSRHHSVNIGGDVAVDKEGRKTAEKGIRLSSASPPPVAAFLVVLPAAHLVLLFKY >DRNTG_12661.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1214614:1216944:-1 gene:DRNTG_12661 transcript:DRNTG_12661.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRARGDCCKCCIKLQLGLGLTALILYLTYRPIRPRFFITTFSVTSGNLTASYRLEIENKNRQIAVLHDPITLKLSLPADNLTAVGAPIAAFHQGHQKTADFDRSLTIHNGTWFSAVANASAVFHVSVSSAFRYKVFSWKSRHHSVNIGGDVAVDKEGRKTAEKGIRLSSASPPPVAAFLVVLPAAHLVLLFKY >DRNTG_12661.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1214614:1216944:-1 gene:DRNTG_12661 transcript:DRNTG_12661.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRARGDCCKCCIKLQLGLGLTALILYLTYRPIRPRFFITTFSVTSGNLTASYRLEIENKNRQIAVLHDPITLKLSLPADNLTAVGAPIAAFHQGHQKTADFDRSLTIHNGTWFSAVANASAVFHVSVSSAFRYKVFSWKSRHHSVNIGGDVAVDKEGRKTAEKGIRLSSASPPPVAAFLVVLPAAHLVLLFKY >DRNTG_01891.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21037400:21038565:1 gene:DRNTG_01891 transcript:DRNTG_01891.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLQCIILPRHQRRRCRASTGYLGVRRRPSGRYAAEIRNPSTKKRHWLGTFDTPEEAAVAYDMSSITFRGIKKAQTNFCYEFLTMPSPPRPSPPPSPLPLEKEKEYCSEDNLEINDDHDHLVDRDDDDWINITTILQSFCQSNALPSSLVLSLLSPSLVLFIPHRKRVGTTNPSPCCERWRSKFSCPHLHFLPSVMQLDSSARSPLSSLQDSSTPLLTSSTHPFPCRGRWRIE >DRNTG_32489.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12213352:12213876:-1 gene:DRNTG_32489 transcript:DRNTG_32489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTNNTDNAAASCTIFLGEGTQVKLPYASVYTGMAGAEIKFGTVSEYVNFVPSPPSSPTEMETSPQEKDTLTSREGEFCLIPRLGE >DRNTG_19545.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8676903:8682870:-1 gene:DRNTG_19545 transcript:DRNTG_19545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADERMKAMKEVGATNIKECKGMEREWITKDVWDALIDNEWGTDAWQSKSGKAKANRLTEKEGSITKHTGGSRPFAVHGIKLAEKIGREVGYSEIFQATHKRKGGEGEFIDNKSRVVNYVYCGVQEGLQCRCYLRPPVTTDENHRREGNLGVLDERGSSHKRLRTTIWK >DRNTG_32558.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20030822:20031962:1 gene:DRNTG_32558 transcript:DRNTG_32558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLPNMMNMQMDIRQIFTELIPTDLSKPSSSSSSSLRSLSIGSPEYSPLLLNKPLTTHFDDNFRDTATKQATPPSYLLTMQASGFNQYRQTWFPNQSSEDDEMTKAMLAVISSSSSSSHPPPPPPPKLNQPVGAFRLYKPSLAPRTDPKNTTWHSQKMIKMAIALSKRINLIKFKSAAVLQDQRPTSNQLHHMISERKRREKLNESFLALRNLLPPGFKKDKASVLANTKDYLNTLKAEISELKQKNEILEKEALVC >DRNTG_04763.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3763935:3765355:1 gene:DRNTG_04763 transcript:DRNTG_04763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYLTKVLHEQLKTAAQTVNYWFEVTTILPILGGFIADAYLGMFSTIPFSVFIYIARVI >DRNTG_04113.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30112654:30118559:1 gene:DRNTG_04113 transcript:DRNTG_04113.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 11 [Source:Projected from Arabidopsis thaliana (AT4G30790) UniProtKB/Swiss-Prot;Acc:Q9SUG7] MSLGSTVTEEYIPTLIVHLAENGHSFEFQCDESTTVELIQRSINNLNGIQISDQILFSSDAVLETHQTLGYYKLPRDDSEVFLFKRSSLLPTAPCPPGETIEVPVAKVPPAPSPAKNPHPLDDASDPAVKALASYERMFRHHFQVANAMYGSAQNKLEICKRFLREQQVQERALDTVRGNLAQTFKKLEVRYTEFFRCYSQQNRCHSEVLANLGKDIERLRTVKLHPVIQTSSRKCLLDFLKGDKLEESCNGCMKSHRNFEGKVSESRMDLMGLGRSVDSVLACMRFTGSKDLEVAIKEHEEILNQQNTIMQTLSKDVTEVKNLADEYLNCQVSASPRSHIAVSNLGPKYNAHETDLLPKVRNCDHAMLELLDKCKNKKNYMNNQVHMCMQKVQSVQSTIKSMINKLHVFQEAMGQQDKDFENLKFVNGLYHAYRACLSEVVRRKSSLKLYMGQAGQLAERLAMEREAEIKRREEFLKSWGKYLPQETIMAMGLFGSPSPCNVNIAPYDTNLLEIDIADVDRLAPVSAAGSLSKHERNPLPKGSSAGSSQSSNLSKFREVPVDNKVKSDSVEFLGDCESVDIAGTSKMEVENAWLKAELATAIALICSYDAEYGYSSIDDVNRDDILKSVNQKTAEALHLKDEYGKQLQAMLELKQAQCISYEKRIQELEQRLSDEYMQGQKLSASKDASECILSALKTDGLKSQLSGDAESHMREASTEPMEDASFTSASSEAKLDQVTGQSVKPREGGDENMTDLSITSNMQPVDSARNFMDSSMMEPSRDEHQVDLENNQLCEADRVEKMMTTQLTVSQTNKSSDEASSGMVPHETGGQTGSVSKASDEFVLELQNTLSKMSKQCNETESKLKAAMEEISSRTRELEISKNLLDESQMNCAHLENRLHEAREEAHTHLCAAERRASEYSALRATAVKMRGLFERFRVCVTASVPVASFADSLRSLSLSLASSANDDEDDNNAEFRECIRVLADKVSIIMQNRSELHDCFSRAEAARSHILKELDEKKELVQNLRVKLQLERQANKEKISFGHLELHELAVFVLNSAGHYEAINRNHSSYFLSPESVALFTEHNPARPNYIIGQVVHIERLTVRPAASPRMEHGDQMDMSSAESSNRLSPRFASNPYNLPIGCEYYLVTVAMLPDTIHSSP >DRNTG_31515.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14137772:14138366:1 gene:DRNTG_31515 transcript:DRNTG_31515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSFLRKKVGRGASIEAYPIPDEGILGQRVEDFPQDHRHDYRGGASPFPSDVIDSTSAPLVLCGHNRVNGLRDELPLGLSCACNGVKR >DRNTG_26101.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20793923:20795449:1 gene:DRNTG_26101 transcript:DRNTG_26101.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQINMSKLKSHQEKKKSRNKIQNPHQSTLTRVVVLLAVGFEHRECKIFPSEEQCDTFNFLHCHIDEILEDTSTPFEFSISVRSKFFSSEFNLSSSVNTLTFDTSISLGL >DRNTG_12839.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21199417:21203886:-1 gene:DRNTG_12839 transcript:DRNTG_12839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVSLIIFSTRGKLYEFCSSSSMMKTLERYEKCNHGAPEVNVVTRETQSCQQEYLKLKARVEALQRSQRNLLGEDLGPLSSKELEQLEHQLDSSLRLIRSTRTQHMLDQLADLQRREQMLCEANKTLKRRLDESNQANQQQVWESDAHAVGFVRQAPQPPADAAGFFHPLECEPTLHIGYQPHEQIQIAATPGSSISTFMPGWLA >DRNTG_16830.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18039884:18040444:-1 gene:DRNTG_16830 transcript:DRNTG_16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRILPLSSISISGQSCPNYSPPNQPEYNSKLSCKAVNCVCQPIRTIQPP >DRNTG_24750.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2237704:2238273:1 gene:DRNTG_24750 transcript:DRNTG_24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLERYCRFATEIDRTVIFVSLAQIKKCLNGDGDDLTENVYNSELLKLKKLLEPIVKRFKDEEARPQATRELVQCIVDNTLAVESWPTHERDA >DRNTG_34614.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:17814:30450:1 gene:DRNTG_34614 transcript:DRNTG_34614.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKYCEAFSSMVEGKNEELSTAELSGGARIHYIFQSIFVKSLEEVDPCSDVTDEDIRMAIQNATGPRSSLFVPEVPFEFLVRRQIGRLLDPSLQCAKFIYDELIKMSHCCLASELQRYPFLRKKMDEVIWNFLREGLQPAETMITHIIEMEMDYINTSHPKFIGGSKAVEIAQQQVKLSRVSASLPRPKDIVDSDKLQASEKSQKSRAILGRSAANGIVSDQGVRPAADSEKSGATGNVTGSSWGISSLFGSTESRAPPRESSGNKAYNNTVHTVEHSFSAIQLKEPPVVLKMSENQTEQEAVEVAITKLLLKSYYDIVRKNIEDSVPKAIMHFLVNHTKRELHNVFIRKLYRENLFEDMLREPDDVAARRKRIRDTLRILQQANRTLEELPLEAESVEKGYSLENDPTGLPKVHGLPSSFYASTEFSASYNSSPNHPKSRRPAHSGEQTPMFYPNSDTNGGGHHLGPGLYPTVES >DRNTG_34614.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:17814:30450:1 gene:DRNTG_34614 transcript:DRNTG_34614.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQPAVASPAQFPPAGPIGSSVIPIVNKLQDIFSQLGSSSTIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGTDICTRRPLVLQLVHWPRRADAAEGDEWGEFLHLPGKRFYDFSDIRREIQAETDREAGGNKGVSDKQIRLKIFSPNVLNIALVDLPGITKVPVGDQPSDIESRIRTMIASYIKHETCIILAVSPANADLSNSDALQMARTVDPDGSRTIGVITKLDIMDRGTDARNFLMGKVIPLRLGYVGVVNRSQQDINQNRSIKEALANEESFFHTHPVYHGLSHCCGIPQLAKKLNQILVQHIRAVLPSLKSRINSQLVAVAKEHATYGDVAESKAGQGVRLLNMLTKYCEAFSSMVEGKNEELSTAELSGGARIHYIFQSIFVKSLEEVDPCSDVTDEDIRMAIQNATGPRSSLFVPEVPFEFLVRRQIGRLLDPSLQCAKFIYDELIKMSHCCLASELQRYPFLRKKMDEVIWNFLREGLQPAETMITHIIEMEMDYINTSHPKFIGGSKAVEIAQQQVKLSRVSASLPRPKDIVDSDKLQASEKSQKSRAILGRSAANGIVSDQGVRPAADSEKSGATGNVTGSSWGISSLFGSTESRAPPRESSGNKAYNNTVHTVEHSFSAIQLKEPPVVLKMSENQTEQEAVEVAITKLLLKSYYDIVRKNIEDSVPKAIMHFLVNHTKRELHNVFIRKLYRENLFEDMLREPDDVAARRKRIRDTLRILQQANRTLEELPLEAESVEKGYSLENDPTGLPKVHGLPSSFYASTEFSASYNSSPNHPKSRRPAHSGEQTPMFYPNSDTNGGGHHLGPGLYPTVES >DRNTG_14392.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:540224:541721:1 gene:DRNTG_14392 transcript:DRNTG_14392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLYHSLLRLSLANEIKVSIAFSPQCLSYGASASPLKKRKLSSLSVPSLGGHQFSPVPSSTFSFAPLASPPEVPELPTPPPPPPLSPEVPAMPPVSYMVPPPSPPPCSASPGAEEGPKTALWCVAKPAVPSEKLQEAIDFACGEGADCEEIQPNGRCYFPDNVVAHASYAFNSYWQKWKHNGGTCGFDGTALLIDSDPSFLECHFELS >DRNTG_21355.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001220.1:4331:5280:1 gene:DRNTG_21355 transcript:DRNTG_21355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPKKPRKVMKNAKKNHADNDDVFAGEIMDDEIDAYHKQRDRRYEEVEARHREAEEESPLEDLLICGAFELYDRSYDRVSPKNKCRMEHFKTRDFFKEPFPEAKKDNNSAHSFAVEATYINQNFSQQVLDCDDNKVAFEEPNPFAADGEEFASVAYRYRRWKLDDDLHLVTPCEVHTVTEVRELKNNANKLA >DRNTG_30314.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001561.1:1227:2301:-1 gene:DRNTG_30314 transcript:DRNTG_30314.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLELFPCDHEAHDKTEYDGLQPLQCPPNLKHLDIDNCGVRYVLSFEMETMAILADPFPKLEKLRLKNCLEMSELISPCTSLQAPCFFQGLRELNIVSCSRLTHLFSYKQAKSMVQLEKLFITNCAALEAVVFSMENKEEASASTGTHVVDQESYKNSPFPNLGMLDLIDLPQLTAFHQPAALPVEWLCLWAYTIDRCPKLQEPLEEQIQSLWAREEEKSGDAKGEEEE >DRNTG_25837.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:648000:649003:1 gene:DRNTG_25837 transcript:DRNTG_25837.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPPPIHPTISPYTSLSMTAAAPAATTTNEYLQ >DRNTG_06900.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:13957518:13958414:1 gene:DRNTG_06900 transcript:DRNTG_06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWCEMYSNVAESFNAWIKEARYLPVTSMVDSIRVGSSTDDTYEVIDNNNNVVSLQSRSCSCRRWEVHGLPCKHACVAIMQTDMNVYSYVADYFTVEWYHRAYVNPISPVPDGDKPFDDNRSLRLRPQISKKWPGRPRRKRIESQAFDVRELHCSRCYKAAHNRRSCNAVIADLPL >DRNTG_01914.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20865074:20867111:-1 gene:DRNTG_01914 transcript:DRNTG_01914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDHRPPSAFSSPILRVDGDEDDDEEEEEVKHELNPSSSPSHDPDLAPNPQHRPFVDPSPHISSQFYTFNRDSHSLMVHCILEGRIASADEIRAATPRPVLRSWRSVWKDRNEDTAYLTAWKRIQDKLHAGVGGMLFFKNNPTQRVSHVEQWQDIVVASHADADLRHLGLKETVDRIKQSWTVGAKFYGIPESFIRVCLAACPLCSSPSLVSGGARSKRRRFEYTESLDVPARDVPRQLQQLAAKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGEPSASASSSKKARVLKREPYASKRCGCGFRIRAIVPITNYNEKEKTFVYQEEGTAVFKMYAVHTGHEPGPLDGNARIIHRLVGSKGGFDQDPEVYGVREEMEPESFSGLLCKDDGGDPHFVVLQQVQQIRVQTGVLEDKVTKMPQELLGSLSRELSDILHRIRSLAGQQHSDDALVIGDDETGHWVNDHNHHLDGQGSVFVNGNSLMQEDETDFDQALGDIVPWERMSAECQERKILMSESCKAEKWLMKEDCSDFDEKNILNCVEEEDAKLIKPLRHDDTIISDPNLVGMQVDGFYHNNAKWYDSPDGLDPGGESVGGGFRH >DRNTG_02679.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19855101:19856735:1 gene:DRNTG_02679 transcript:DRNTG_02679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRIRLVLCGLFMVLMMCCIGIQADQLQVDFYQKTCPQAENIVREEVFKALSKIIELAAGLVRMHFHDCFVRGCDGSVLIDSTPGNTAEKDSPINNPSLRGFEVIDSAKTRLEAQCKGVVSCADILAFAARDSLAFTEMIIPYEVPAGRRDGRVSLSSETFANLPGPNFNVSQLTQSFQKQNLTQKDMVTLSGAHTIGRSHCGSFQNRLYNFNSTVSQDPSLDANYAAELKSQCPQGSTNTSLVVPMDPTSQNTVDSNYFKNILKNRGLFISDQTLISDTATAPLVRANAVFGGIWKAKFYDAMVKMGRIGVLTGTDGEIRLNCRVIN >DRNTG_06783.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19867595:19871172:1 gene:DRNTG_06783 transcript:DRNTG_06783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVKLGSKADAFQRRGQAWFCTSGLPSDVTIEIGEMSFHLHKFPLISKSGLLDKLIREKTDVGEEGCVIHLHDVPGGVKAFELVVKFCYSMKFELTASNVVYLRCAAEHLQMTEEIAEDNLISQTEVFFNQVVLRSWKDSIKALQSCDDVLLFAEDLHIVKRCIESLAVKAFTDPNLFGWPMMEHGARQSPGGSLLWNGISTGARPRNSSSDWWYEDVSLLSFPMYKRLISVMESRGIRQEIIAGSITYYTKKYLPGLNRRQSVSETSSQLPSLTMTLVLSEEEQKNLVEEINRLLPVQKGITSTKFLFGLLRTAMILSASPSCISNLERMIGMQLDQATIEDLLIPNFSSSMETLYDIDCIHRILEHFLAMDQATGGASPCVVDDEHLGSPSLTPITTVAKLIDGFLAEVAPDVNLKLPKFQSLAAAVPEYARPLDDGLYRAIDIYLKAHPWLTETEREQLCRVMDCQKLSLEACTHAAQNERLPLRVIVQVLFFEQLQLRTSIAGCFLVSDNLDGSRPLRSGALPTSGEGGGWATAIRDNQVLKVGMDSMRLRVSELEKECSTMKQEIEKLTQGKTGWSDLPKKFGLKINSQMCSAQGDSVNNEKNQTGKVEKQRVKLPRKHKKQISSDESS >DRNTG_17454.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:8064606:8078664:1 gene:DRNTG_17454 transcript:DRNTG_17454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFALILPSELLDPGIIKKYRVKPDAETLDIITTTAKQKEIVIVMKIYWGNPRERIIEAIDKFPLSSLVIGNRGLGKIKRFPHNSK >DRNTG_08149.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000367.1:86124:89374:-1 gene:DRNTG_08149 transcript:DRNTG_08149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTGYHSVPVASPPTVTTPSSAVTPLISGEILVQFIGRRRNTRRRRMPLFLRP >DRNTG_08149.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000367.1:89090:89374:-1 gene:DRNTG_08149 transcript:DRNTG_08149.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTGYHSVPVASPPTVTTPSSAVTPLISGEILVQFIGRRRNTRRRRMPLFLRP >DRNTG_08851.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27855415:27860551:-1 gene:DRNTG_08851 transcript:DRNTG_08851.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:high chlorophyll fluorescence phenotype 173 [Source:Projected from Arabidopsis thaliana (AT1G16720) TAIR;Acc:AT1G16720] MAALPVGGAGVSAQTKLNCPRFLILSSSSNPSGQRLRRHTVSALPSNNNNESEETARTGEEEKQGVSLTLDDVNPVGLGRKSRQIFDEVWRKFSGLGQISRTTTDERLLDTVLIRGPMCEFTVPEAQDTTVLVVGATSRIGRIVIRKLMLRGYKVKALVRNDDPEVVDMLPRSVTIMVGDVGEPSSLRAAVAGCNKIIYCATARSTITGDLNRVDNQGVRNVAKAFQDYNNELAQLRAGKSSKSKLLLAKFKSEKSLEGWEVRQGTYFQDVVAAKYDGGMNAKFEFTESGDTVFSGYVFTRGGYVELSKRLSLPLGSTLDRYDGLVLSLGGNGRSYVLILQAGPLADTSQSKLYFTRISTKVGFCRVRVPFSSFRPVRPDDPPLDPFLVHTLTIRFEPRRQRPAEGPSGNNQDPRSFKLILEYIKALPTGQETDFILVSCTGSGIEPNRRDQVLKAKKAGEDALRRSGLGYTIVRPGPLKIYVP >DRNTG_08851.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27855415:27860551:-1 gene:DRNTG_08851 transcript:DRNTG_08851.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:high chlorophyll fluorescence phenotype 173 [Source:Projected from Arabidopsis thaliana (AT1G16720) TAIR;Acc:AT1G16720] MAALPVGGAGVSAQTKLNCPRFLILSSSSNPSGQRLRRHTVSALPSNNNNESEETARTGEEEKQGVSLTLDDVNPVGLGRKSRQIFDEVWRKFSGLGQISRTTTDERLLDTVLIRGPMCEFTVPEAQDTTVLVVGATSRIGRIVIRKLMLRGYKVKALVRNDDPEVVDMLPRSVTIMVGDVGEPSSLRAAVAGCNKIIYCATARSTITGDLNRVDNQGVRNVAKAFQDYNNELAQLRAGKSSKSKLLLAKFKSEKSLEGWEVRQGTYFQDVVAAKYDGGMNAKFEFTESGDTVFSGYVFTRGGYVELSKRLSLPLGSTLDRYDGLVLSLGGNGRSYVLILQAGPLADTSQSKLYFTRISTKVGFCRVRVPFSSFRPVRPDDPPLDPFLVHTLTIRFEPRRQRPAEGPSGNNQDPRSFKLILEYIKALPTGQETDFILVSCTGSGIEPNRRDQVLKAKKAGEDALRRSGLGYTIVRPGPLKEEPGGQRALIFDQGNRISQGISCADVADICVKSLHDSTARNKSFDVCYEYVAKGRNELYELVAHLPDKANNYLTPALSVLEKNS >DRNTG_27974.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1149399:1152191:1 gene:DRNTG_27974 transcript:DRNTG_27974.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAQTHSEPSNWRRNTSSNPKTSTATIKTIAKKTNNLSVKFQDLYSFPVEGNIDDVNVLNEVRERVRQQGKEWWALEASKGANWYLQPQLSLSGEGLTVPSKISAIASTITSAMTLKKLIRKGIPPVLRPKVWLSVSGATKKRSTVPLSYYNDLLVAIEGKVTPATMQIDHDLPRTFPCHPWLDTPEGKAALRRVLVAYSFRDSDVGYCQGLNYIAALLLLVMKTEEDAFWMLAVLLENVLVNDCYTDNLSGCHVEQRVFRDLLAKKCPRISAHLEAMEFDVSLVATEWFLCLFSKSLPSETTLRVWDVLFNEGAKVLFHVALAIFKMKEEELLRTHQIGDVIAIIQSTTHHLFDPDELLTVAFDKIGSMTANTITKQRKEAGTSSNGRARSKASPAQFFEDR >DRNTG_27974.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1149399:1152191:1 gene:DRNTG_27974 transcript:DRNTG_27974.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAQTHSEPSNWRRNTSSNPKTSTATIKTIAKKTNNLSVKFQDLYSFPVEGNIDDVNVLNEVRERVRQQGKEWWALEASKGANWYLQPQLSLSGEGLTVPSKISAIASTITSAMTLKKLIRKGIPPVLRPKVWLSVSGATKKRSTVPLSYYNDLLVAIEGKVTPATMQIDHDLPRTFPCHPWLDTPEGKAALRRVLVAYSFRDSDVGYCQGLNYIAALLLLVMKTEEDAFWMLAVLLENVLVNDCYTDNLSGCHVEQRVFRDLLAKKCPRISAHLEAMEFDVSLVATEWFLCLFSKSLPSETTLRVWDVLFNEGAKVLFHVALAIFKMKEEELLRTHQIGDVIAIIQSTTHHLFDPDELLTVAFDKIGSMTANTITKQRKEAGTSSNGRARSKASPAQFFEDR >DRNTG_27974.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1149399:1152191:1 gene:DRNTG_27974 transcript:DRNTG_27974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAQTHSEPSNWRRNTSSNPKTSTATIKTIAKKTNNLSVKFQDLYSFPVEGNIDDVNVLNEVRERVRQQGKEWWALEASKGANWYLQPQLSLSGEGLTVPSKISAIASTITSAMTLKKLIRKGIPPVLRPKVWLSVSGATKKRSTVPLSYYNDLLVAIEGKVTPATMQIDHDLPRTFPCHPWLDTPEGKAALRRVLVAYSFRDSDVGYCQGLNYIAALLLLVMKTEEDAFWMLAVLLENVLVNDCYTDNLSGCHVEQRVFRDLLAKKCPRISAHLEAMEFDVSLVATEWFLCLFSKSLPSETTLRVWDVLFNEGAKVLFHVALAIFKMKEEELLRTHQIGDVIAIIQSTTHHLFDPDELLTVAFDKIGSMTANTITKQRKEAGTSSNGRARSKASPAQFFEDR >DRNTG_27974.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1149399:1152191:1 gene:DRNTG_27974 transcript:DRNTG_27974.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAQTHSEPSNWRRNTSSNPKTSTATIKTIAKKTNNLSVKFQDLYSFPVEGNIDDVNVLNEVRERVRQQGKEWWALEASKGANWYLQPQLSLSGEGLTVPSKISAIASTITSAMTLKKLIRKGIPPVLRPKVWLSVSGATKKRSTVPLSYYNDLLVAIEGKVTPATMQIDHDLPRTFPCHPWLDTPEGKAALRRVLVAYSFRDSDVGYCQGLNYIAALLLLVMKTEEDAFWMLAVLLENVLVNDCYTDNLSGCHVEQRVFRDLLAKKCPRISAHLEAMEFDVSLVATEWFLCLFSKSLPSEV >DRNTG_27974.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1149399:1152191:1 gene:DRNTG_27974 transcript:DRNTG_27974.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAQTHSEPSNWRRNTSSNPKTSTATIKTIAKKTNNLSVKFQDLYSFPVEGNIDDVNVLNEVRERVRQQGKEWWALEASKGANWYLQPQLSLSGEGLTVPSKISAIASTITSAMTLKKLIRKGIPPVLRPKVWLSVSGATKKRSTVPLSYYNDLLVAIEGKVTPATMQIDHDLPRTFPCHPWLDTPEGKAALRRVLVAYSFRDSDVGYCQGLNYIAALLLLVMKTEEDAFWMLAVLLENVLVNDCYTDNLSGCHVEQRVFRDLLAKKCPRISAHLEAMEFDVSLVATEWFLCLFSKSLPSEV >DRNTG_33176.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23477097:23484641:-1 gene:DRNTG_33176 transcript:DRNTG_33176.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 28 [Source:Projected from Arabidopsis thaliana (AT4G25450) UniProtKB/Swiss-Prot;Acc:Q8LPQ6] MAAATHLPLSSLLHSSRRRELNPRSLSFIGSSPTFLRFPRSRPQLQHPGFSSGRSRAAYVTAPASDPDSIDKGPNLELPVSPTVSTSPAAISWAVIWPLLARHKLRIVMAIISLVGCTSCTLSMPLLSGKFFEILVGRRPEPLWILLSKIAVLYVLEPIFTIIFVINMTAMWEKVMASLRGQIFRRILVQKVEFFDRFKVGELTGLLTSDLGSLKDVVSENVSRDRGLRALSEVIGTICILFSLSIQLAPLLGLLMLSVSILAAIFKRSTIPVFKSHGMAQARISDFASETFSAIRTVRSFVGEKKQISLFEKLVFAYESSGMKLGTLKSANESVTRIVVYISLLALYCLGGSKVKAGELSVGIMASFIGYTFTLTFAVQGGINTLGDLRGTFAAVERINSVISASEIDEALAFGLDKELGHSDLGLLNKDNYSEKISVLNSHYMSALRSATNGCSLAWSGDICLEDVCFSYPLRSDVEVLSGLNLTLKCGKVTALVGPSGSGKSTIVQLLARFYEPTRGRITVAGEDLRIFDKRDWARAVSLVNQEPVLFSVSVGENIAYGLPDDNISKEDVIEAAKAANAHEFIISLPQGYDTPVGERGGLLSGGQRQRIAIARAILKNAPILILDEATSALDAVSERLVQEALNHLMKGRTTLVIAHRLSTVQNADQIALCANGKIAELGTHSELLARGGQYASLVGTQRLAFE >DRNTG_20732.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17815264:17822885:-1 gene:DRNTG_20732 transcript:DRNTG_20732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRTGSPVYFRQGSGGSSSTGSSSPSMSPSHHRSSSLSGLSGVRRNQNVAARAAAARLAQVMASQSAAGDDEDDDDGDGDVPISVSSFRLPMSRPSPAAGSNGFGGAGVGVGPGSSLLGRTTRSPSPALGRNFMEHTSSVRSSSTGRPSVSVHSTQMVPPSRTSLRTQPPIQHLEPPADRRKERRFPADMGLLRSRESGDQREASELKDELDMLQEENENIHEKLRIAEQRCEEAEARARELEKQVANLGEGVSLEARLLNRQEAALRQREAALKAAELTRGGRDEEIFAIQQEVESAKAEAETVREQLREAQSEAISLRSMTQRMILTQEEMEEVVLKRCWLARYWGLAVQHAIYPEIAVAKHEYWSSLAPLPVEVVLSAGQKAKEDSWKKEDDSARINKLARDLSDMTGEGNIESMLLVEKGLRELASLKIEDAVILALGQHRRPNYVRVSTSDLKSPGDPKYMEAFELNQAESEDVFFKQAWLTYFWRRAKAHSVEEDIAEDRLQFWISRSGQSLASHDAVDVERGLMELRKLGIEQLLWETSRREIDQNLSTEDASEN >DRNTG_19736.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001049.1:112229:113517:-1 gene:DRNTG_19736 transcript:DRNTG_19736.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSLNLQSNLHSLKRTFSNGRLRKPRSSSSIVALHQNGSLRSHGTPGLRVAFQGSTGAYSEFAAKTACPECATVPCRSFADAIAAVERDRADRAIVPVESTMEGTALRNYDLLLRHDLRIVQEINLFVHYCLLAMPGVSTAELRRVISHPMALAHCGRALERLGLDREAVEDTAGAVKMLLSEQMLDTAAIASPRAAAIYGLDVLAGGLQDESWNVTRFLLLSKLPEPLPPSSSGKMKTSMVVAHRGGSMTVLLKILSAFSSRDISLTKLEVNNPTMEGKGPVIILDVRSPAMLKTFPHVLYVDFEGSIEDEKVKEAIDEISSFSVFVRVLGCYAADPHVYDLY >DRNTG_02517.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7935671:7995827:-1 gene:DRNTG_02517 transcript:DRNTG_02517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHKWHCMRRLLSRHTKSRRKATDLFGQCLEEMRKMDADVRGSYSEDLHHLSVNDLALIMFLDGCFIIHVLLKFNEVDEYWENISNMVTLGKNNDNDDGQEEEEDIAAGHEEDMVVLDIMGEKKIDLRVVGVWNIWGNMLHDLVNVENQIPFTIIQALFSKLKTPGDEDIDLVEIARQLLSCIHPSPYQNFATIPPPPVHHLLHLFHSTFVPSDDCLKINSSNLQKQKIIDVDWIPSATELQLAGVKFVKKKGCATNFLDISFKNGIIEIPQVELDDNTNTLFRNLIAFEQCYYPHTKNYITAYAFFMDYMINAPKDV >DRNTG_02517.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7935671:7937276:-1 gene:DRNTG_02517 transcript:DRNTG_02517.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFKISQEGATEVTEEVQDDLQWIKRMANVLNGCQEKTLGNWPCTIFKVPENIRQCDNKGAYDPSIVTIGPYHYNKFKNRTVQAMQAHKWHCMRRLLSRHTKSRRKATDLFGQCLEEMRKMDADVRGSYSEDLHHLSVNDLALIMFLDGCFIIHVLLKFNEVDEYWENISNMVTLGKNNDNDDGQEEEEDIAAGHEEDMVVLDIMGEKKIDLRVVGVWNIWGNMLHDLVNVENQIPFTIIQALFSKLKTPGDEDIDLVEIARQLLSCIHPSPYQNFATIPPPPVHHLLHLFHSTFVPSDDCLKINSSNLQKQKIIDVDWIPSATELQLAGVKFVKKKGCATNFLDISFKNGIIEIPQVELDDNTNTLFRNLIAFEQCYYPHTKNYITAYAFFMDYMINAPKDV >DRNTG_27682.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001404.1:28223:28923:-1 gene:DRNTG_27682 transcript:DRNTG_27682.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTSSSWRPQRVCEHLKTGFEDAARKSHSHRGLHESID >DRNTG_27682.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001404.1:27145:28826:-1 gene:DRNTG_27682 transcript:DRNTG_27682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGSRSENWLDFGTFVAVSLSCIFGLAISFFGFAARKAISATAFTVTGVVNKFLTVAINVLIWDKHASPFGLICLLFTLVGGVLYQQSVTVMGGPPPQHDPAASKLGNNMDNSDIEDNKQGMSISGKGLQRLNFTALFFYRRWQYVVA >DRNTG_09791.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4336680:4340818:1 gene:DRNTG_09791 transcript:DRNTG_09791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFFNQIHGRGTPSNPPPQQTMPFNPNHFPHLPIPCPSPAFPNPQNPQPVNMAAQFGAMQQNMHNALQNQQVLALVQQNLNQIVGLLNGQICPPNPALVPPFSSGIVNHQGGLGVGSSSFGSGGASGVLPGKNHEMQSFSGVHGGGRSGVREFSGASRMSGSPMSGSPMKGQHGRGRMMPQNGGRYQPQVRPVKDGESGILNTESSSNNNFTKTFHRSGEREATQMRFQKSQFHHGIYAKGGVRPFAKNGGQGQQNWKERNHQFNKGSKQAPIECKRPIPINYTENEIKAWREARRKNFPTNANIAKKLAGNVKNVEDADGDDAKLRRQQLKEILAQQAKLGVEVAEVPSSYLSDSENLVSNSKADRKDFRHGRKSWNARGRLQRNDNKRRNNHYQDKPCGKRPKFANDGTSKNPSKIREPTLLQKLLSKEIKKDKSKLLQVFRFMVMNSFFEHWPEKPLEFPVITIKDPDSENVAAVETDTLLNGLESTPASGKNENERIDELDTAVDDVESGPIDGCESDGDNGGSASSSEGEDNQNENLYEKLEEGEITE >DRNTG_19375.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22292213:22292942:-1 gene:DRNTG_19375 transcript:DRNTG_19375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASPVRSSHGDGRELETVGFTCDGALLEDINFDELFMGFDEEDILPDLEVDPAEIFAEFSASGEEDSANTAPNPSASSELEVPVVQEVPEVISNSKVKDHHDVVKPAAGTTCKSNRKFSSSSSSSPTKGSQGKRKVK >DRNTG_18981.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22733880:22742329:1 gene:DRNTG_18981 transcript:DRNTG_18981.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTESSVSMLSDLGVGLSGEELGKELGMLLREQRRQEASASDRERELNICRGGSAPPTVEGSLAAIAGIKNANGLLSEEELLSDPAYLSYYYSHVNRNPRLPPPAISKEDWRFSRRFQAGGSSFGGIGDKRKSSRTDEEVGGSLFSLQPGFNVQKEESDVEKNGLSSGEWLDGGGDGLIGLSGLGLGRHQSFTDILQEELGQGTFLGPPSRPASRSAFGSINESLSNADNQIGLLPEASSIDRLQPGGSIQSFAGYQQNGGQASHNFPSVLGSSISRSTTPDAHLLGRTSSPSLSSVGTTKFGMLNKNTDAESSYNGMASSNIGEADDLVAALSGMSLSSAGIDDDSVALSKLQKEIDDQQKYLFDLQDNQEHIDQHHFFKRSDSGHLGMPSVPQAMRSPRPDLCKNTGVDGPVDLHRLNGSSPHYLKIPSATSVASPGGSPAHYQNSDNTNPAFGSFGLSGYPNNQALSARVSNHIAASNLPPLFEKSAAAAAAASALSPGLDSRVVGGVFPSGQGLNGAIDLPSFNRLGNHATSALHMGPIDPLYRQYLRTAEYAAQVAANSGDPSATNSYADLLGLQKSIPWVIASTTKTIWYAICWWI >DRNTG_18981.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22733880:22742329:1 gene:DRNTG_18981 transcript:DRNTG_18981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTESSVSMLSDLGVGLSGEELGKELGMLLREQRRQEASASDRERELNICRGGSAPPTVEGSLAAIAGIKNANGLLSEEELLSDPAYLSYYYSHVNRNPRLPPPAISKEDWRFSRRFQAGGSSFGGIGDKRKSSRTDEEVGGSLFSLQPGFNVQKEESDVEKNGLSSGEWLDGGGDGLIGLSGLGLGRHQSFTDILQEELGQGTFLGPPSRPASRSAFGSINESLSNADNQIGLLPEASSIDRLQPGGSIQSFAGYQQNGGQASHNFPSVLGSSISRSTTPDAHLLGRTSSPSLSSVGTTKFGMLNKNTDAESSYNGMASSNIGEADDLVAALSGMSLSSAGIDDDSVALSKLQKEIDDQQKYLFDLQDNQEHIDQHHFFKRSDSGHLGMPSVPQAMRSPRPDLCKNTGVDGPVDLHRLNGSSPHYLKIPSATSVASPGGSPAHYQNSDNTNPAFGSFGLSGYPNNQALSARVSNHIAASNLPPLFEKSAAAAAAASALSPGLDSRVVGGVFPSGQGLNGAIDLPSFNRLGNHATSALHMGPIDPLYRQYLRTAEYAAQVAANSGDPSATNSYADLLGLQKSIPWVIASTTKTIWYAICWWI >DRNTG_29090.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20940295:20945001:-1 gene:DRNTG_29090 transcript:DRNTG_29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAQTMADQDVLAVLKTIQAQLQSQAVDFQSLKQYVQQVEGRMDNLRDQFLGSTEQMDPMLSPSTPVHLTVATRLHGLCFNCDQNISLPSLT >DRNTG_31658.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:928656:932145:1 gene:DRNTG_31658 transcript:DRNTG_31658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQVNHPSVAQKLACQFQLSSGFSQDVLSHNHNIYSPSLYTRCFASGKYVNRGLQTSLIQPYRGTCDLSMVPSVSPVFAHAPAEKGFTSFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGITDCFARTIKDEGFASLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGDLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLAGYDKLQLIVFGKKYGSGGA >DRNTG_04792.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2444676:2446553:-1 gene:DRNTG_04792 transcript:DRNTG_04792.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIEQELNRRQLLYSLLTPVMNLYVQGLDKGKGLYFLFVKSETKTPGGLTARPVLTSYYKSEHFRTRPFDPFNVYTSPISAILCPDAFQSMYSQMLCGLYDRCQVLRVGAVFASGLLRAIRFLQLHWQELAHDIATGTLSDKITDITVRESLAGIIHPDTELSKFISDQCSINNDWSKIIPRIWPNTKYLDVIVTGAMAQYIPTLDYYSGGLPTACTMYASSECYFGLNLRPVSDPSQVSYTIMPNMAYFEFIPTNSSNKAELIDLADVKIGEEYELVVTTYAGLYRYMVGDILHCTGYHNSAPQFKFIRRKNVLLSIESDKTDEAELQAAVSRASTILQKHCDTSIIEYTSYADTKEIPGHYVIYWELLVKKEGRWPEKEVMEKCCLEMEEGMNAVYRQSRVADGSIGPLEIRVVKQGTFEELMDYAIARGASINQYKVPRCVSFPPIMELLDSRVVSSYFSTVCPKWTPNSSGK >DRNTG_04792.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2444676:2446957:-1 gene:DRNTG_04792 transcript:DRNTG_04792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLLGPPPCNSDAEKLKFIEDMTMNTDKVQAKVLSEILTQNFNTEYLKRFDITPDTDRLAFKSKVPVVTYDDLKPDIQRIANGDRSPILSSHPISEFLTSSGTSAGERKLMPTIEQELNRRQLLYSLLTPVMNLYVQGLDKGKGLYFLFVKSETKTPGGLTARPVLTSYYKSEHFRTRPFDPFNVYTSPISAILCPDAFQSMYSQMLCGLYDRCQVLRVGAVFASGLLRAIRFLQLHWQELAHDIATGTLSDKITDITVRESLAGIIHPDTELSKFISDQCSINNDWSKIIPRIWPNTKYLDVIVTGAMAQYIPTLDYYSGGLPTACTMYASSECYFGLNLRPVSDPSQVSYTIMPNMAYFEFIPTNSSNKAELIDLADVKIGEEYELVVTTYAGLYRYMVGDILHCTGYHNSAPQFKFIRRKNVLLSIESDKTDEAELQAAVSRASTILQKHCDTSIIEYTSYADTKEIPGHYVIYWELLVKKEGRWPEKEVMEKCCLEMEEGMNAVYRQSRVADGSIGPLEIRVVKQGTFEELMDYAIARGASINQYKVPRCVSFPPIMELLDSRVVSSYFSTVCPKWTPNSSGK >DRNTG_33890.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11695667:11697006:1 gene:DRNTG_33890 transcript:DRNTG_33890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKEREVAKNEEKHLLMPKIKLEENINEIDDAMVDQISAVDEDCSQGMKKWLIEHKQRRPGLKILQQRIDEFIIAHEEEQEQ >DRNTG_19015.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22602828:22603454:-1 gene:DRNTG_19015 transcript:DRNTG_19015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSELLKFSIEFSSITTMESSEMDKKQEDEEESEEKKQRVSYYTACSSTSSSSSSSSFISLQVKQEDKHEEDHDEAGYQTPTSPRHKIPTTLKCPPPPKKPPTTLRAKRKPRRVLPHHQIKVFFIQELVNIEPRRKKARAHITFG >DRNTG_26346.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18293602:18294577:-1 gene:DRNTG_26346 transcript:DRNTG_26346.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYMHRMKIVHRDLKSANCLVNKYWTVKICDFGLSRLMTDVPMRDESSAGTPEWMAPELIRNEPITEKCDIFSLGVIMWELCTLSKPWEGISAVKVVYEVANKGTHLEVPEGPIGKLISECWAEPDMRPSCQEILTRLVDCEYSLLM >DRNTG_26346.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18293402:18294577:-1 gene:DRNTG_26346 transcript:DRNTG_26346.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYMHRMKIVHRDLKSANCLVNKYWTVKICDFGLSRLMTDVPMRDESSAGTPEWMAPELIRNEPITEKCDIFSLGVIMWELCTLSKPWEGISAVKVVYEVANKGTHLEVPEGPIGKLISECWAEPDMRPSCQEILTRLVDCEYSLLM >DRNTG_26346.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18293602:18294976:-1 gene:DRNTG_26346 transcript:DRNTG_26346.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMHRMKIVHRDLKSANCLVNKYWTVKICDFGLSRLMTDVPMRDESSAGTPEWMAPELIRNEPITEKCDIFSLGVIMWELCTLSKPWEGISAVKVVYEVANKGTHLEVPEGPIGKLISECWAEPDMRPSCQEILTRLVDCEYSLLM >DRNTG_12030.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:821520:822971:1 gene:DRNTG_12030 transcript:DRNTG_12030.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVGEERKANLPGPPSMAFPAVPQTLTPFVSDPEAGFESSAPSGTATPFLSSGPVSGPRPLGVSQVTMQTFPSRPMAGYEMSEYRMPSPPPAGPSSTPMPSYLAQGASSYQQLSTPPVLPTAQAVQPLRGPPLGAPPFPAPTGSFRPQTQISSVPIGAPPQIGNSLPPRANMPLPTSESSLAPQKPFFQSPWPGNPNAFPSGNVPQPPLESQFPSPRPVSQSPLQAYSAPYMPLPMSETSLPPHKPFSQSPWPGNPNAFPNGNVPQPPLESQFPSPRPVSQPPLQAYSAPYERLPMSETSLPPHKPFSQSPWPGNSNAFPSGNVPQPPLESQFTSPRPVSQPPLQAYSAPYVSSQAPPLNAHQGSYVPPAPLGFNSREQMQYSGTGPQLGGTIQGLLEDFQSLSVGSSPCMLDQGIDPESLPRPLDVDQELISILEKYPLNCHPRYLRLTTHAIPSSQSLLSRWHLPLGAVVHPLAEAPDG >DRNTG_12030.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:826145:826837:1 gene:DRNTG_12030 transcript:DRNTG_12030.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLREYRNLYVVQHRLGGRLIYPESLKYLALYILSLCKTLALRGGYVEASLDEHCAAGYSLMILPIGGMLKLLYPTLVRIDEILLKAPDNSELLNELKPLPLTKESLDAGGLYLYNDGFSLILWCGRMLSADIVKNILGIDFSTSPDLSK >DRNTG_12030.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:824434:827827:1 gene:DRNTG_12030 transcript:DRNTG_12030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVSDLEDIFLPMHDDLLVNLADSRTAIDNLLDSLPSMFQHTVDVESALGPALMAAHMIMRQLGGKLLVFQNTLPSLGVGRLRLRGNDLCIHGTDKEHTLRVPEEPFYKQMAAEFTKNHIAVDIYAFSDKYSDIASLGSLAKYTGGQVYYYPSFQVTIHGQKLRYELTRNLTRETAWEAVMRIRCGKGLRFTTYHGHFMVRSTDFLDLPAVDCDKAFAMQFSLDETLITEQTIYFQVALLYTSSSGERRIRVHTAAAPVVSDLGEMYRQADSGAIVSMMSKLAIEKTLSGKLEDARQFLQLKLMRSLREYRNLYVVQHRLGGRLIYPESLKYLALYILSLCKTLALRGGYVEASLDEHCAAGYSLMILPIGGMLKLLYPTLVRIDEILLKAPDNSELLNELKPLPLTKESLDAGGLYLYNDGFSLILWCGRMLSADIVKNILGIDFSTSPDLSKVTLFEHDNEVARKLTSMLTKIRKNHHSNYQLCHIVRQDEQPRETSLLLSNLVEDQTAGTFSYRDWMLQMFLQTQQIS >DRNTG_12030.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:825300:826837:1 gene:DRNTG_12030 transcript:DRNTG_12030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSTDFLDLPAVDCDKAFAMQFSLDETLITEQTIYFQVALLYTSSSGERRIRVHTAAAPVVSDLGEMYRQADSGAIVSMMSKLAIEKTLSGKLEDARQFLQLKLMRSLREYRNLYVVQHRLGGRLIYPESLKYLALYILSLCKTLALRGGYVEASLDEHCAAGYSLMILPIGGMLKLLYPTLVRIDEILLKAPDNSELLNELKPLPLTKESLDAGGLYLYNDGFSLILWCGRMLSADIVKNILGIDFSTSPDLSK >DRNTG_12030.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:825300:826476:1 gene:DRNTG_12030 transcript:DRNTG_12030.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSTDFLDLPAVDCDKAFAMQFSLDETLITEQTIYFQVALLYTSSSGERRIRVHTAAAPVVSDLGEMYRQADSGAIVSMMSKLAIEKTLSGKLEDARQFLQLKLMRSLREYRNLYVVQHRLGGRLIYPESLKYLALYILSLCKTLALRGGYVEASLDEHCAAGYSLMILPIGGMLKLLYPTLVRIDEILLK >DRNTG_12030.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:823862:827827:1 gene:DRNTG_12030 transcript:DRNTG_12030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVSDLEDIFLPMHDDLLVNLADSRTAIDNLLDSLPSMFQHTVDVESALGPALMAAHMIMRQLGGKLLVFQNTLPSLGVGRLRLRGNDLCIHGTDKEHTLRVPEEPFYKQMAAEFTKNHIAVDIYAFSDKYSDIASLGSLAKYTGGQVYYYPSFQVTIHGQKLRYELTRNLTRETAWEAVMRIRCGKGLRFTTYHGHFMVRSTDFLDLPAVDCDKAFAMQFSLDETLITEQTIYFQVALLYTSSSGERRIRVHTAAAPVVSDLGEMYRQADSGAIVSMMSKLAIEKTLSGKLEDARQFLQLKLMRSLREYRNLYVVQHRLGGRLIYPESLKYLALYILSLCKTLALRGGYVEASLDEHCAAGYSLMILPIGGMLKLLYPTLVRIDEILLKAPDNSELLNELKPLPLTKESLDAGGLYLYNDGFSLILWCGRMLSADIVKNILGIDFSTSPDLSKVTLFEHDNEVARKLTSMLTKIRKNHHSNYQLCHIVRQDEQPRETSLLLSNLVEDQTAGTFSYRDWMLQMFLQTQQIS >DRNTG_12030.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:821520:827827:1 gene:DRNTG_12030 transcript:DRNTG_12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVGEERKANLPGPPSMAFPAVPQTLTPFVSDPEAGFESSAPSGTATPFLSSGPVSGPRPLGVSQVTMQTFPSRPMAGYEMSEYRMPSPPPAGPSSTPMPSYLAQGASSYQQLSTPPVLPTAQAVQPLRGPPLGAPPFPAPTGSFRPQTQISSVPIGAPPQIGNSLPPRANMPLPTSESSLAPQKPFFQSPWPGNPNAFPSGNVPQPPLESQFPSPRPVSQSPLQAYSAPYMPLPMSETSLPPHKPFSQSPWPGNPNAFPNGNVPQPPLESQFPSPRPVSQPPLQAYSAPYERLPMSETSLPPHKPFSQSPWPGNSNAFPSGNVPQPPLESQFTSPRPVSQPPLQAYSAPYVSSQAPPLNAHQGSYVPPAPLGFNSREQMQYSGTGPQLGGTIQGLLEDFQSLSVGSSPCMLDQGIDPESLPRPLDVDQELISILEKYPLNCHPRYLRLTTHAIPSSQSLLSRWHLPLGAVVHPLAEAPDGEEVQVVNVGCASVVRCRRCQTYVNPYVTFMDAGRKWWCNLCSLLNDVPIEYFCPLDASGRRCDVDQRPELSKGSVEYAAPAEYMRRPPIPPIYFFLIDVSVTAVQNGFLETIATTIKSCLDGFPGSPRTLIAFLTFDSSLLCPNHR >DRNTG_18149.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:629378:640650:-1 gene:DRNTG_18149 transcript:DRNTG_18149.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHORT ROOT IN SALT MEDIUM 1 [Source:Projected from Arabidopsis thaliana (AT2G03150) UniProtKB/Swiss-Prot;Acc:F4IS91] MYPSKGSSSYGQQLYNTQQGYAQLAGTSYSGTPVGGSDVAGQHALASHQQSLLVAPQESDLSSYRTHSSQASQYGSPYAAAYASSTLGTQQAGGFSAKVPGSSSLQGRTSYASALADSSKFSSGALGSSLAMSNEGYVSASSLGYTQKGDQFPAAKGSDYTMDRRLYIDHQGAYIGRDLQNDSSRRYTDSLPISHLSKSEIHDHADQASLIRQQQGLNAQSLQAGADARQADYFAATAGPVRHGLQEFNSYSGRVDVNPRSSSILGSSPYVGQHSSSILGGASRRNVDEHTYPQGSSTAGYGVSLPPGRDYVAGKGLHGPSVESDYQGSVLSRGHSSIGVSMINERKDDRDGLRREFEIREEERRRELMRERERERDRERERERERERDREREKERERIRILERRERERERDRERERERREKERERERKREADARRVRTPPKAAREHRASSSAANERSVQRVTPRREMLHRHGSPVKEKRREYVCKVHPFCLVDAERDYLSLSKRYPKLSISPEFSKVMLLWPKKTLNISLYTPVSFEHDFVEVDNKDAEKEIPSVDVLPKFECSNITWNAKVILMSGVSSGALEGLCSEKTPVDRAIHMKNILKFAVLKKEQSFLAIGGPWSASIDGGDPSVDESSLIRTAIRCVKEIIQLDLHNCVQWNRFLEIHYHRIGKDGFFSHKEITVLFVPNLSNCLPSVDIWRTQWLAYKKDIAEREQPLSLKQEKKSSKENLQGDQKANKSKNVDNSGRKVKTEDTQGKVEEKEGLEGVILDKTPDMKTPAEKKGKGPAVDEKQIEKKNLDVEVGDGKNNGSQSQDGALTTSVTDVQKTTKKKVIRKIVKGKTIAKKSPVGGKMDVKHEVEKQGNQETAIHENEISVDNVNANTSVLKKTVKNDSAAKSPQKDDMAVDTSTMQTEIKADIESELQNDKAMGQKPEGTAVTQETVVKMAGKKKVIRRVVKRKVAKKELKDGNSIAIELEKVDNVTPEKVVEGDGLKIRDGKKDDLVVIKSENEITEVPKLATGEKCKASEAKAKSEKEDIKQSIGGDKRPRAGKKDSNSSSNSKNVEGKDSKKDGQNNDRKDEKEKKSNDTKQDAKQKTSKEIKGKKSEEPPKHPGFFLRMKRTRGSKLRSVSLSLDGLLEYTEKDTQESTFELSLFAETLNEMLQYEMGCRLLGFLEKLRKKFVMKIHQRKRERDEKTENGSEKEKSPLKRLKIDKESPQENEPKSSIQGAPDLNADEKVGDDATAVSADTSKMENRTDGDDNEDDGDEDIEDEDPEEFFEDEQEVDGADDIPQEDVAQDENSNLEGKLEKTDTIQDTNKKAEDPKNSGGNGKVDNKIGSPKKEDKEVCSKNKLDLEKHDFVDKELLQAFRFFDQNQVGYIKVEDLRCILHNLGKFLTYKDVKELVQSALIESNSAARDNRIIYRKLVRLRDI >DRNTG_18149.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:629378:640650:-1 gene:DRNTG_18149 transcript:DRNTG_18149.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHORT ROOT IN SALT MEDIUM 1 [Source:Projected from Arabidopsis thaliana (AT2G03150) UniProtKB/Swiss-Prot;Acc:F4IS91] MLLWPKKTLNISLYTPVSFEHDFVEVDNKDAEKEIPSVDVLPKFECSNITWNAKVILMSGVSSGALEGLCSEKTPVDRAIHMKNILKFAVLKKEQSFLAIGGPWSASIDGGDPSVDESSLIRTAIRCVKEIIQLDLHNCVQWNRFLEIHYHRIGKDGFFSHKEITVLFVPNLSNCLPSVDIWRTQWLAYKKDIAEREQPLSLKQEKKSSKENLQGDQKANKSKNVDNSGRKVKTEDTQGKVEEKEGLEGVILDKTPDMKTPAEKKGKGPAVDEKQIEKKNLDVEVGDGKNNGSQSQDGALTTSVTDVQKTTKKKVIRKIVKGKTIAKKSPVGGKMDVKHEVEKQGNQETAIHENEISVDNVNANTSVLKKTVKNDSAAKSPQKDDMAVDTSTMQTEIKADIESELQNDKAMGQKPEGTAVTQETVVKMAGKKKVIRRVVKRKVAKKELKDGNSIAIELEKVDNVTPEKVVEGDGLKIRDGKKDDLVVIKSENEITEVPKLATGEKCKASEAKAKSEKEDIKQSIGGDKRPRAGKKDSNSSSNSKNVEGKDSKKDGQNNDRKDEKEKKSNDTKQDAKQKTSKEIKGKKSEEPPKHPGFFLRMKRTRGSKLRSVSLSLDGLLEYTEKDTQESTFELSLFAETLNEMLQYEMGCRLLGFLEKLRKKFVMKIHQRKRERDEKTENGSEKEKSPLKRLKIDKESPQENEPKSSIQGAPDLNADEKVGDDATAVSADTSKMENRTDGDDNEDDGDEDIEDEDPEEFFEDEQEVDGADDIPQEDVAQDENSNLEGKLEKTDTIQDTNKKAEDPKNSGGNGKVDNKIGSPKKEDKEVCSKNKLDLEKHDFVDKELLQAFRFFDQNQVGYIKVEDLRCILHNLGKFLTYKDVKELVQSALIESNSAARDNRIIYRKLVRLRDI >DRNTG_04273.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9201631:9211320:-1 gene:DRNTG_04273 transcript:DRNTG_04273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGGPSLRILCPKKRLVFCRDPAIYWLIGSPLFFPRFTIISSFRCLHSLPGDPLSPDFSREADDLKTLLLRGFDLIGVLIVSDGQLEENSSRAIATSLKMRNFLFNSQEEYDMIGATSDLESGEIHFFFSETTKHKSIQALSNIIYEASPENYIWDTGCLLRCELEFKMPVFIPVSRVSDADDILSSSITAVAAKFKDPNVAYLIEMPNVTAEESPLSVVLHGSGLDFNLSKSMPSTEGSNMQSQICADFCSKDNCISSPNGGESADTIQVTIFYKQTRRIASSAAPSAQYYPVSEPTKAGSISFKLDVLCYASKDFPLAEAVSKLLVPGLTDQLLIMKSAIMPKLLSQHPQIRPYHFFPSGLLHPITALYELTYGENEEKQGDIRKSLHSRLGLPLDRPLLRIVNALTFGINGSEEGNLKKVGSLLLRDVHTEIAGSGVSGGFTFLIDGSYEYYHYLHGGIDDNGWGCAYRSLQTIISWFRLQHYTSVEVPSHRAIQQALVEIGDKEPSFVGSREWIGAIELSFVLDKLLGITCKIMNVRSGSELPEKCRELAIHFETQGTPVMIGGGVLAYTLLGVDFNEANGDCAFLILDPHYTGNDNLKKIISGGWCGWKKAVDSKGRHFFLADKFYNLLLPQRPNMV >DRNTG_04273.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9201631:9211320:-1 gene:DRNTG_04273 transcript:DRNTG_04273.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAIMPKLLSQHPQIRPYHFFPSGLLHPITALYELTYGENEEKQGDIRKSLHSRLGLPLDRPLLRIVNALTFGINGSEEGNLKKVGSLLLRDVHTEIAGSGVSGGFTFLIDGSYEYYHYLHGGIDDNGWGCAYRSLQTIISWFRLQHYTSVEVPSHRAIQQALVEIGDKEPSFVGSREWIGAIELSFVLDKLLGITCKIMNVRSGSELPEKCRELAIHFETQGTPVMIGGGVLAYTLLGVDFNEANGDCAFLILDPHYTGNDNLKKIISGGWCGWKKAVDSKGRHFFLADKFYNLLLPQRPNMV >DRNTG_07140.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9296240:9300888:-1 gene:DRNTG_07140 transcript:DRNTG_07140.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKPMMIMQGVIGLFVVQDRRPHIESENFPEFTRVFQGYSTYKADTIIKTELHSRYAMLMKKKIIINEVGATYIGDMRKYVKMSSRYHVWSSFQDEDGEHSGKYSNTIKNTLLLYITWDNTIKSCIEVGLNFRLGFM >DRNTG_07140.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9290721:9293882:-1 gene:DRNTG_07140 transcript:DRNTG_07140.6 gene_biotype:protein_coding transcript_biotype:protein_coding ILITNNSFHLRFLSFNTKWTLQVHPYTFSNENIFLHFNFHEDAYAQFDYWIKAIGVDGLFTDFTGSLHRHQEWTTSVLGKDDKNSITLLHKIALLITSQEGVI >DRNTG_07140.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9290721:9305359:-1 gene:DRNTG_07140 transcript:DRNTG_07140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIELVSVITTIAPKISGLQEALPKAIIGLLPFDGGVAVYAKHRGSLGNVRKCVLVNPVRTKELTNICVPGKDENVRLSPPRLMSLEEAIGYVASDELIEVTQKAVRLRKWYLDVNKRKMMRCRLKE >DRNTG_07140.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9296240:9305359:-1 gene:DRNTG_07140 transcript:DRNTG_07140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKPMMIMQGVIGLFVVQDRRPHIESENFPEFTRVFQGYSTYKADTIIKTELHSRYAMLMKKKIIINEVGATYIGDMRKYVKMSSRYHVWSSFQDEDGEHSGKYSNTIKNTLLLYITWDNTIKSCIEVGLNFRLGFM >DRNTG_07140.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9290721:9305359:-1 gene:DRNTG_07140 transcript:DRNTG_07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTVYAKHRGSLGNVRKCVLVNPVRTKELTNICVPGKDENVRLSPPRLMSLEEAIGYVASDELIEVTQKAVRLRKWYLDVNKRKMMRCRLKE >DRNTG_01455.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8183054:8183549:1 gene:DRNTG_01455 transcript:DRNTG_01455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRIPDVGSLRKVQLAHDISDEVEELLSVGSWRKFRAFGQQHSMSVTQFSVRLGLYDEGFTEMEEYEDLPIDISGGLTPQKAY >DRNTG_28848.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001451.1:37370:47894:1 gene:DRNTG_28848 transcript:DRNTG_28848.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWRDIRALTFNYSGLCMYSNISTNVAIYRRRKAIDAYTPPRERGRRTLLCALGKNTPLSREDSLSVLHAHVEIPHGRGSLLGSHRGKRKLLCILWLEESSFVEIHMRM >DRNTG_26837.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1922736:1923664:-1 gene:DRNTG_26837 transcript:DRNTG_26837.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIADLKLSVDNMEKERDFYFAKLRDIEILCQRLSSS >DRNTG_06326.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20076996:20078275:1 gene:DRNTG_06326 transcript:DRNTG_06326.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPGFASAKPANGGGIPPPPSIKPRPAYRPPAPSKAPRRRGRLCSCCLWLTFLISALILPRSHRRRHLLPYIPTPTAPPSPSPPLRLSAFNLTTSNQLATSLDLSITTRNPNRKLVFSYDPISISASSDGLPIGDGSVLGFVQGTKNTTVLKTTIATSGKRVDSSAASDLKKKSSVPIEIDLETKVIVKIGALKTKKITIRVTCGGINAAVPKSKGKKAKPAPEFSPDASCDVKMRIKIWKWTV >DRNTG_06326.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20076801:20078099:1 gene:DRNTG_06326 transcript:DRNTG_06326.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPGFASAKPANGGGIPPPPSIKPRPAYRPPAPSKAPRRRGRLCSCCLWLTFLISALILPRSHRRRHLLPYIPTPTAPPSPSPPLRLSAFNLTTSNQLATSLDLSITTRNPNRKLVFSYDPISISASSDGLPIGDGSVLGFVQGTKNTTVLKTTIATSGKRVDSSAASDLKKKSSVPIEIDLETKVIVKIGALKTKKITIRVTCGGINAAVPKSKGKKAKPAPEFSPDASCDVKMRIKIWKWTV >DRNTG_06326.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20076801:20078275:1 gene:DRNTG_06326 transcript:DRNTG_06326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPGFASAKPANGGGIPPPPSIKPRPAYRPPAPSKAPRRRGRLCSCCLWLTFLISALILPRSHRRRHLLPYIPTPTAPPSPSPPLRLSAFNLTTSNQLATSLDLSITTRNPNRKLVFSYDPISISASSDGLPIGDGSVLGFVQGTKNTTVLKTTIATSGKRVDSSAASDLKKKSSVPIEIDLETKVIVKIGALKTKKITIRVTCGGINAAVPKSKGKKAKPAPEFSPDASCDVKMRIKIWKWTV >DRNTG_06326.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20077632:20078275:1 gene:DRNTG_06326 transcript:DRNTG_06326.5 gene_biotype:protein_coding transcript_biotype:protein_coding PISISASSDGLPIGDGSVLGFVQGTKNTTVLKTTIATSGKRVDSSAASDLKKKSSVPIEIDLETKVIVKIGALKTKKITIRVTCGGINAAVPKSKGKKAKPAPEFSPDASCDVKMRIKIWKWTV >DRNTG_06326.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20076996:20078099:1 gene:DRNTG_06326 transcript:DRNTG_06326.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPGFASAKPANGGGIPPPPSIKPRPAYRPPAPSKAPRRRGRLCSCCLWLTFLISALILPRSHRRRHLLPYIPTPTAPPSPSPPLRLSAFNLTTSNQLATSLDLSITTRNPNRKLVFSYDPISISASSDGLPIGDGSVLGFVQGTKNTTVLKTTIATSGKRVDSSAASDLKKKSSVPIEIDLETKVIVKIGALKTKKITIRVTCGGINAAVPKSKGKKAKPAPEFSPDASCDVKMRIKIWKWTV >DRNTG_17505.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19136066:19139222:1 gene:DRNTG_17505 transcript:DRNTG_17505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKPTTCDAAYQIISGELMLDGNPRLNLASFVTTWMEPECDKLMMEAINKNYVDMDEYPVTTELQNRCVNMIA >DRNTG_29352.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1605430:1609189:-1 gene:DRNTG_29352 transcript:DRNTG_29352.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATAAPRDPVRPPLFPSEKDNAALPRRPRTKEITSRYLTTPSSSSSSSTSSSSSSRRFPSPLVTPRSTPRPATQKRSHSVDRARPSPTPTAELSVAARALCTTTRSLSVSFQGESFFYQTSKSKASSPSPARKVTPDRRRPTGSSATPVKPPDQLENSKPFDHRRWPAANARHSNHLARSLDCSLEQREPIMAAVRLLNQFDDSARRVSFDGGDLSASSDTDSVSSGSNSGAPEARLTPSSRGISVPARFWQETNSRLRRLPQAATILAPPPKLIAFKKSVGDSPLSSPRSMSSPLHGPARSSSPSKLSSSPSSRGAASPLKTRNGVAMGLNAARQQPCNAPSILSFSAEVRRAKKGENRIEEAHLLRLFDNRELQWRWVNARAGVALSLHRLTAEKNLYDAWITISDLRDSVTIKRIKLHMLRQKMKLISILKGQTTYLEEWLEMERDHSSSLSGTIEALRASTLRLPIVSGAR >DRNTG_29352.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1604251:1609189:-1 gene:DRNTG_29352 transcript:DRNTG_29352.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATAAPRDPVRPPLFPSEKDNAALPRRPRTKEITSRYLTTPSSSSSSSTSSSSSSRRFPSPLVTPRSTPRPATQKRSHSVDRARPSPTPTAELSVAARALCTTTRSLSVSFQGESFFYQTSKSKASSPSPARKVTPDRRRPTGSSATPVKPPDQLENSKPFDHRRWPAANARHSNHLARSLDCSLEQREPIMAAVRLLNQFDDSARRVSFDGGDLSASSDTDSVSSGSNSGAPEARLTPSSRGISVPARFWQETNSRLRRLPQAATILAPPPKLIAFKKSVGDSPLSSPRSMSSPLHGPARSSSPSKLSSSPSSRGAASPLKTRNGVAMGLNAARQQPCNAPSILSFSAEVRRAKKGENRIEEAHLLRLFDNRELQWRWVNARAGVALSLHRLTAEKNLYDAWITISDLRDSVTIKRIKLHMLRQKMKLISILKGQTTYLEEWLEMERDHSSSLSGTIEALRASTLRLPIVSGARADILEVKHAVSSAVDVMQSMGSSICSLLSKVEGASYLVSELAKVAAQERGLLNQSRDLLSTVAAMDVKQCSLRGHILQLQCRPGKSPP >DRNTG_29352.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1603905:1609189:-1 gene:DRNTG_29352 transcript:DRNTG_29352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATAAPRDPVRPPLFPSEKDNAALPRRPRTKEITSRYLTTPSSSSSSSTSSSSSSRRFPSPLVTPRSTPRPATQKRSHSVDRARPSPTPTAELSVAARALCTTTRSLSVSFQGESFFYQTSKSKASSPSPARKVTPDRRRPTGSSATPVKPPDQLENSKPFDHRRWPAANARHSNHLARSLDCSLEQREPIMAAVRLLNQFDDSARRVSFDGGDLSASSDTDSVSSGSNSGAPEARLTPSSRGISVPARFWQETNSRLRRLPQAATILAPPPKLIAFKKSVGDSPLSSPRSMSSPLHGPARSSSPSKLSSSPSSRGAASPLKTRNGVAMGLNAARQQPCNAPSILSFSAEVRRAKKGENRIEEAHLLRLFDNRELQWRWVNARAGVALSLHRLTAEKNLYDAWITISDLRDSVTIKRIKLHMLRQKMKLISILKGQTTYLEEWLEMERDHSSSLSGTIEALRASTLRLPIVSGARADILEVKHAVSSAVDVMQSMGSSICSLLSKVEGASYLVSELAKVAAQERGLLNQSRDLLSTVAAMDVKQCSLRGHILQLQCRPGKSPP >DRNTG_18450.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11285580:11297039:-1 gene:DRNTG_18450 transcript:DRNTG_18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRGGSSATGGASISTSVGRGSRAVENSR >DRNTG_22771.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4016514:4018028:-1 gene:DRNTG_22771 transcript:DRNTG_22771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLSMLVLFLFLGLGSSMGEVYKVGDSAGWTILGNVNYTAWASSKKFKVGDVLMFEYDSQFHNVLEVSKDDYHACNNGSPLTTYSTGNDSITIKRRGHHFFLCGFPGHCAAGQKLDVRIPKLRSSGATASSPAAAASSPASSLVVPSVPPPSPSIGTSSPSPRPNSAIPAFTNFSSRVTLIVTFVVAMFYVFTC >DRNTG_28191.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001428.1:876:1349:1 gene:DRNTG_28191 transcript:DRNTG_28191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVMVLSLLVLLFSNLCLPVFSMATASNIESQGKALLQWKATLETQELLNTWTSKTSPCNWTGITCRNDGHLMPTITRVQLEQLGLERKLEILNFSALPSLRVLNLSNNHIHGYIPASISALSKLAILDLSNNKLTSVIPSEFGNLTRLKTLWIFEN >DRNTG_22280.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21846115:21850998:1 gene:DRNTG_22280 transcript:DRNTG_22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRLRISTETSNPPKTPFRSERTRRRSSQKEELKIKSKGLWNRGLGFCHWSSPEKNCIFIQFYF >DRNTG_22280.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21850784:21850998:1 gene:DRNTG_22280 transcript:DRNTG_22280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERRLRISTETSNPPKTPFRSERTRRRSSQKEELKIKSKGLWNRGLGFCHWSSPEKNCIFIQFYF >DRNTG_09553.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22665256:22668216:-1 gene:DRNTG_09553 transcript:DRNTG_09553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPLSPLLKSFFTPTLVLRFPAKPPKTLFFFTPRSASSSPMASSVKKVLVPIANGTEPMEAVITIDVLRRSGAEVVVASVGNDHGVDACWGVKLVADALVSDCKDQSFDLISLPGGMPGSANFRDCGVLESLVKKHVENGRLYAAVCAAPAVALGSWGLLKGLKVTCYPAMMDKLPSDAITVNSRVALDGRAVTSQGAGTTMEFSVALVEQLYGKDKADEVAGPLVMRPNHGAEFTMAEFNPVEWKCNKTPRILVPIANGTEEMEAVMIIDILRRANADVIVASIEDKLEIIASRKVKLVADMLLDEALKIEFDLIVLPGGLGGAQAFSNSEKLVGLLKKQAEANKLYAAVCASPALVLEPHGLLKGKKATAFPAMCNKLSDQSACENRVVVDGNLVTSRGPGTSMEVCTGHCREAIWS >DRNTG_24915.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19373137:19373519:1 gene:DRNTG_24915 transcript:DRNTG_24915.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLHMESPLMKNNEQAFVEMNSNKHP >DRNTG_24915.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19373809:19376654:1 gene:DRNTG_24915 transcript:DRNTG_24915.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKEFSALSKIDAAGRNNLADSNSPSSKPGEEPISNKISHCARIPSIPPKISPEHVKA >DRNTG_24915.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19371872:19376654:1 gene:DRNTG_24915 transcript:DRNTG_24915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKEFSALSKIDAAGRNNLADSNSPSSKPGEEPISNKISHCARIPSIPPKISPEHVKA >DRNTG_24915.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19373137:19374931:1 gene:DRNTG_24915 transcript:DRNTG_24915.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYKEFSALSKIDAAGRNNLADSNSPSSKPGEEPICSQHMA >DRNTG_17734.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4122478:4126637:-1 gene:DRNTG_17734 transcript:DRNTG_17734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEERKGGNMARELAQRDQFPVGMRVLAVDDDPTCLKMLEGLLRRCQYHVTITGQAVMALKLLRENKDKFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMMSANGETSAVMKGITHGACDYLLKPVRLEELKNIWQHVVRRRKIDPKDHTGFDNADDCEKNSVANAESGQCAVSSGSSDRNGRINRKRKDQSEDDEDDLDENGNENEDPSSQKKPRVVWSVELHRKFVAAVNQLGIEKAVPKRILDLMNVERLTRENVASHLQKYRLYLKRLSAVASQQANMVAALGGRDPSYLPMGSLNGFGNYHALVGSGQLPGLTTFQPNGILNRPNYTTGFGLRNLAPSGMVQFSRTQSDVSSSLTDLGKIQCVNLPGNPHGNVLQGMPASLGLDQLQQHKVSQDANKCISGGFSGTGLPGVNSTNSFITVSNAPLLLQSQQQHAQSSAVSNQFSINVPSLSSDLFDTTVGSSHLCDISRCNDTWQDAVPLTRHPNNSSSVVAPFSNGGLTQNTMAENVTSMVSHMDGNHHDASSSGVTAVPLHDSLVGTDMQFQASTLGGNMMITSAGSLEDSKYLNFRSLSKPKQEYENQNLDHTHKLNLLAGSPLSSSLQNPSVISLPENQNLNNELCGAKTKMSMIDQTNPGALFFPQNRISKPAADVLLKEEYISDFSGLQGGFSTKDCILDDIVNAAMKPDRDDFALIDGTMRCEMFSLDACF >DRNTG_29154.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5284367:5287226:1 gene:DRNTG_29154 transcript:DRNTG_29154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLASRWLLMRFGQLQAVNLESVEPALRADTEITTSQREDAAEVFDNREAILAAVPSYNQQYIKVPKVLNKE >DRNTG_18055.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:78389:82181:1 gene:DRNTG_18055 transcript:DRNTG_18055.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIHVTRGANISLELFFMHGMSISIRGENKMNKLNLLFGLVALFSGLAVGSCIQGDRTPRASEILANVGEDNDEAGLLMVPLTLIQSASGKGAVCLDGSLPAYHFNPGFGSGANSWLVNLEGGGWCNDIRTCVYRKTSRHGSSNYMEKEIPFTGIMSNDPAMNPDFYNWNRVKVRYCDGASFKGEGYDKNASLHFRGQRIYDAAMEELLSKGMRDADQALLSGCSAGGLAVIQHCDEFHGLFSAITKVKCLADAGLFLDAYVFIILKKNSLYEETVEI >DRNTG_18055.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:78389:82181:1 gene:DRNTG_18055 transcript:DRNTG_18055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIHVTRGANISLELFFMHGMSISIRGENKMNKLNLLFGLVALFSGLAVGSCIQGDRTPRASEILANVGEDNDEAGLLMVPLTLIQSASGKGAVCLDGSLPAYHFNPGFGSGANSWLVNLEGGGWCNDIRTCVYRKTSRHGSSNYMEKEIPFTGIMSNDPAMNPDFYNWNRVKVRYCDGASFKGEGYDKNASLHFRGQRIYDAAMEELLSKGMRDADQALLSGCSAGGLAVIQHCDEFHGLFSAITKVKCLADAGLFLDAVDVAGGRTLRNFYEGVVDLQGAVVNLPKSCTSQLEGALCFFPQNILNNIQTPIFLLNTGYDVWQIQESLAPKSADPTGYWKYCKKNYTTCDAKQIQFLQGFRDQMLNTANFISSAKQNGLFINSCFAHCQTERQDTWYGDNSPQIGNQTVAKAVGDWYFDREEVKAVDCAYPCDSTCHNLIFRGS >DRNTG_32510.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001848.1:57231:57886:-1 gene:DRNTG_32510 transcript:DRNTG_32510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKQGDIVFPLREMQKGQAFLRRPWIQKEDTAGVSQRRRAKRRRRRRTQTKAMDSEKVCSKVEAGETKRELPDCLLLMMYEPKLSMEVSKETWVCSTDFLQWRPKEV >DRNTG_32510.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001848.1:57193:57886:-1 gene:DRNTG_32510 transcript:DRNTG_32510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKQGDIVFPLREMQKGQAFLRRPWIQKEDTAGVSQRRRAKRRRRRRTQTKAMDSEKVCSKVEAGETKRELPDCLLLMMYEPKLSMEVSKETWVCSTDFLQWRPKEVVPAAPAPPLKNRP >DRNTG_13929.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19631404:19635750:-1 gene:DRNTG_13929 transcript:DRNTG_13929.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQSGASSSRLTKEWVANHYKWIVWKLASLERCYPAQANGKYLTVSNVLDELKYRYEREVNYGHRSAIKKILDGDASAASEVVLCISSIQSYSDSHNCKMDEIAEPHDILKLGSSDAPESYHAAKIELSDGWYALDAQLDTSLVKQLIHGKLFVGQKLRIVGAHLCGWVAPISPLEVHRTVSLVLSINGTYRAHWADHLGFCKGIGAPLAFSCIKSAGGKVPRTLVGITRIYPVLYKERLQDGGSLVRSERMEKEVLRLYDQRRSSIVEDVVSKHQDFIVNCDDSEEGAKIFKILQTAAEPEVLMADMTSEQLSSFTEYQAKHEANRQSEIHKKIEKALEDAGLGAREVTPFMRVRVVGLTSKGSSKKVRPKEGLVTIWNPTEKQKQDLVEGQIYCVRGLMPLHSVSEVIYLQGRGSSTLWELLAPEEHEKFEPFFTPRKPVSLSNLGDVPLASEFDIAAVVVHVGEVCLSGRQKKQWVFMTDGSWGSSDSEFEGPYSCLLAVNFCGPILNDDSSSLISHNLSGTVVGFFNLVKRARDQMNHLWVAEASENSSYSVYSSLSTTSHLYKTGECAQKWAKISCSTIQKLRERVLCIIQGH >DRNTG_13929.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19633119:19639166:-1 gene:DRNTG_13929 transcript:DRNTG_13929.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLFLKVSAELREGGGGGCANGAPIFRTASGKSVSVRQSSIRKAESVLGETGIPDRGALMDQNSSVCDGGFPKFCTGSGKSVTVRQSSIRKAAVVLEGAPMVQNSDVCDAGFPMFRTGLGKSVTVRQSSIRKAAAVLEGENLDIDEILKPSECGYNNNSAPIFQNGLGKSILLKQSSIKKAMSVLKNVGSFETDLGLENRINDSCSFSNSLFQTGSGKTVNISSVGLLRAATLLGVEENHASSYGFASDMISFNSGEPKSENVDPEVLVDENLRANANNGPEVCKKSDSAKPTIKFHTAGGRYISVSTDALQRAKSLLGDANFGGLQDDMEGNKPLNTFLKDDKAFDNISWNKENLTFASFFPNAEICKTNRRMSPGVQPFSSHKPGVVAFDGVHACEHVTKMLETKSIDGPPLRKLESISIRRSSILDDAFGTITASRTNGESLADISNTTGTEHTSRNCRTSERKRLRRRSSISPFKRPRNSSFTTPLKCNSSAHASGPSVRLPSKDCSCRKGISTRYPVQFKRKTIKDFFGRPPFHTNLTGHLPDEVKHISADTAEKYRFHDAFSLGEIGPREFHAMLLQSGASSSRLTKEWVANHYKWIVWKLASLERCYPAQANGKYLTVSNVLDELKYRYEREVNYGHRSAIKKILDGDASAASEVVLCISSIQSYSDSHNCKMDEIAEPHDILKLGSSDAPESYHAAKIELSDGWYALDAQLDTSLVKQLIHGKLFVGQKLRIVGAHLCGWVAPISPLEVHRTVSLVLSINGTYRAHWADHLGFCKGIGAPLAFSCIKSAGGKVPRTLVGITRIYPVLYKERLQDGGSLVRSERMEKEVLRLYDQRRSSIVEDVVSKHQDFIVNCDDSEEGAKIFKILQTAAEPEVLMADMTSEQLSSFTEYQAKHE >DRNTG_13929.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19631404:19632248:-1 gene:DRNTG_13929 transcript:DRNTG_13929.4 gene_biotype:protein_coding transcript_biotype:protein_coding SEFDIAAVVVHVGEVCLSGRQKKQWVFMTDGSWGSSDSEFEGPYSCLLAVNFCGPILNDDSSSLISHNLSGTVVGFFNLVKRARDQMNHLWVAEASENSSYSVYSSLSTTSHLYKTGECAQKWAKISCSTIQKLRERVLCIIQGH >DRNTG_13929.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19629661:19639166:-1 gene:DRNTG_13929 transcript:DRNTG_13929.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLFLKVSAELREGGGGGCANGAPIFRTASGKSVSVRQSSIRKAESVLGETGIPDRGALMDQNSSVCDGGFPKFCTGSGKSVTVRQSSIRKAAVVLEGAPMVQNSDVCDAGFPMFRTGLGKSVTVRQSSIRKAAAVLEGENLDIDEILKPSECGYNNNSAPIFQNGLGKSILLKQSSIKKAMSVLKNVGSFETDLGLENRINDSCSFSNSLFQTGSGKTVNISSVGLLRAATLLGVEENHASSYGFASDMISFNSGEPKSENVDPEVLVDENLRANANNGPEVCKKSDSAKPTIKFHTAGGRYISVSTDALQRAKSLLGDANFGGLQDDMEGNKPLNTFLKDDKAFDNISWNKENLTFASFFPNAEICKTNRRMSPGVQPFSSHKPGVVAFDGVHACEHVTKMLETKSIDGPPLRKLESISIRRSSILDDAFGTITASRTNGESLADISNTTGTEHTSRNCRTSERKRLRRRSSISPFKRPRNSSFTTPLKCNSSAHASGPSVRLPSKDCSCRKGISTRYPVQFKRKTIKDFFGRPPFHTNLTGHLPDEVKHISADTAEKYRFHDAFSLGEIGPREFHAMLLQSGASSSRLTKEWVANHYKWIVWKLASLERCYPAQANGKYLTVSNVLDELKYRYEREVNYGHRSAIKKILDGDASAASEVVLCISSIQSYSDSHNCKMDEIAEPHDILKLGSSDAPESYHAAKIELSDGWYALDAQLDTSLVKQLIHGKLFVGQKLRIVGAHLCGWVAPISPLEVHRTVSLVLSINGTYRAHWADHLGFCKGIGAPLAFSCIKSAGGKVPRTLVGITRIYPVLYKERLQDGGSLVRSERMEKEVLRLYDQRRSSIVEDVVSKHQDFIVNCDDSEEGAKIFKILQTAAEPEVLMADMTSEQLSSFTEYQAKHEANRQSEIHKKIEKALEDAGLGAREVTPFMRVRVVGLTSKGSSKKVRPKEGLVTIWNPTEKQKQDLVEGQIYCVRGLMPLHSVSEVIYLQGRGSSTLWELLAPEEHEKFEPFFTPRKPVSLSNLGDVPLASEFDIAAVVVHVGEVCLSGRQKKQWVFMTDGSWGSSDSEFEGPYSCLLAVNFCGPILNDDSSSLISHNLSGTVVGFFNLVKRARDQMNHLWVAEASENSSYSVYSSLSTTSHLYKTGECAQKWAKISCSTIQKLRERVLCIIQGH >DRNTG_01252.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1835927:1837753:-1 gene:DRNTG_01252 transcript:DRNTG_01252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPFVEGGEKCLEILSLSYNDLPYYLKSCFLFFGCFKEDEEIPAKTIIRLWSAEDFLPKKNGKTMEEFGFNYMEELAQRCLIQVTKERYDDSAKYCRIHDLLRDMCILEAKENRFLEIYQNDTADCAARRLIIFNEIETLNYSNSKLRGLFYSHGDIFNPPDFKALNGQLGRFKLLRVLCLRVKGISKFPSEIKSLIHLRYLHTTNIKKVPSWIGHLCNLQTFILHCLDFEKISDSLWTIGNLRHVQLPRSLADPNMGNNVPKNLQTLEGVNAGSWIGNALPKLTNLCELSINKVSNDQADALSSSLHKLGRLASFSIRGIEIPTDIITAFSNQHCLKKLDLCGSLNPKQLPHNDVFPQQLVELYLEHSKLEQDPMATLGKLPCLKYLQLGCDAYIGKQMICSATGFPQLLSLEFSCLNELEEWKIEEKAMSCLKSLWISRCGRLKMIPEGLKECAT >DRNTG_01216.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1279725:1283288:1 gene:DRNTG_01216 transcript:DRNTG_01216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKITMISSSTLFHLLLLFSLFFSWFPNAKPQEIEDEKEFSYIPGSPNGPERWGELHPEWEKCSNGMMQSPIDLTYQRVRLDPSLGMLNRNYKATNATLKNRGHDIMLEWVGDAGFLSIKGTVYFLKQVHWHSPSEHAINGIRYALEAHMVHQSQDLKIAVIGIIYTIGSPDQFLKKLENNIRQLTNTGVLQVNAGVVDPKHVRIEGNQYYRYMGSLTTPPCSENVTWTIIKKIKTVSQEQVDLLREAVHDDAMNNARPLQPINDRYIKLYKSFSSKLEAM >DRNTG_01216.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1281707:1283288:1 gene:DRNTG_01216 transcript:DRNTG_01216.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSPIDLTYQRVRLDPSLGMLNRNYKATNATLKNRGHDIMLEWVGDAGFLSIKGTVYFLKQVHWHSPSEHAINGIRYALEAHMVHQSQDLKIAVIGIIYTIGSPDQFLKKLENNIRQLTNTGVLQVNAGVVDPKHVRIEGNQYYRYMGSLTTPPCSENVTWTIIKKIKTVSQEQVDLLREAVHDDAMNNARPLQPINDRYIKLYKSFSSKLEAM >DRNTG_01216.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1281374:1283288:1 gene:DRNTG_01216 transcript:DRNTG_01216.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKITMISSSTLFHLLLLLSLFFSWFPNAKPQEIEDEKEFSYIPGSPNGPERWGELHPEWEKCSNGMMQSPIDLTYQRVRLDPSLGMLNRNYKATNATLKNRGHDIMLEWVGDAGFLSIKGTVYFLKQVHWHSPSEHAINGIRYALEAHMVHQSQDLKIAVIGIIYTIGSPDQFLKKLENNIRQLTNTGVLQVNAGVVDPKHVRIEGNQYYRYMGSLTTPPCSENVTWTIIKKIKTVSQEQVDLLREAVHDDAMNNARPLQPINDRYIKLYKSFSSKLEAM >DRNTG_34253.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28124666:28127979:-1 gene:DRNTG_34253 transcript:DRNTG_34253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLWPSSDEKDLNPFLDLYSSVGWSSLVCQSDFFTLFFPEKAAKLASTVLDELIKEVKIKPRPITFAAFSGGSKSCLYKVHQLVEGKSEGQLKDDYQLLRDCICGQIYDSGPVDFTSDLGSHFLHPKVSQASRIVSWIAKALVSGMDTLFMNRFEAQRVEYWKTLYSSVGIGPILILFSEDDELAPFQVVYDFAQHLLELGGDVRLVKWKSSPHVGHYKHHTDEYKYAVFKLLNDATMIFSQRRHQLNGETLGTRIFGNNMSDLGTEAMGSNETLRQVAVSPSDHLSLSGLMGFDDTEMPDQTHHRQKSDSPRRQNSPGASPNGVLGQILFEACIPKNIEGWDIKPGMSLNKRQTFPSSRRYNPFNPIKCIRRSRL >DRNTG_34253.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28124666:28127979:-1 gene:DRNTG_34253 transcript:DRNTG_34253.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLWPSSDEKDLNPFLDLYSSVGWSSLVCQSDFFTLFFPEKAAKLASTVLDELIKEVKIKPRPITFAAFSGGSKSCLYKVHQLVEGKSEGQLKDDYQLLRDCICGQIYDSGPVDFTSDLGSHFLHPKVSQASRIVSWIAKALVSGMDTLFMNRFEAQRVEYWKTLYSSVVSLSFYE >DRNTG_22271.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001236.1:1010:1520:1 gene:DRNTG_22271 transcript:DRNTG_22271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSTALTSRSVCKKVSGRTFTGTDPARCILGQKKGPLDE >DRNTG_28589.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7886978:7889525:1 gene:DRNTG_28589 transcript:DRNTG_28589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAEDDLEPLFDYSRVQPQGIMCLDDDDELDSSPIPTVADRKRTADQTDKKKEKDCKVVDVVQVNQKKDEDEEDWLPPPPRKIPNSGSGFIEDKTLQELRLQKQELESFAQSAEVVLREVLESAKRNMHHGEKTVVVLDAEQPSKPQVERPKIVISIQDRKGKKQYKIYMDDKFERLFKMYAEKSKLNMEGLVFSFDGEKISPQATPKNLGMEDGDMIEVNAKAH >DRNTG_28038.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26187801:26189209:-1 gene:DRNTG_28038 transcript:DRNTG_28038.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRRLPSGRTVEPHTAVSDLSPLSPSKSECHNAILSNSNSRNQAYDA >DRNTG_13545.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20961709:20963583:-1 gene:DRNTG_13545 transcript:DRNTG_13545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLISFERVLECFSSTTSCTSCLCLSSSVEDEDSEGMALMKIHAEQIAKLQDVDNGCKTLAFHLEPKTVVLRVLMHCGGCAKKVEKHISKMEGVSSCEVDLESNKVVVIGDITPFEVLNSVSKVKFAELWVSPP >DRNTG_05440.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12058221:12058528:-1 gene:DRNTG_05440 transcript:DRNTG_05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRTRGRNKALGHLTKDQHLDKSTLHEGHQPEDGKPEEKGVGLAVKLRVKEYSSREYVLYIINTNSFIVQWVIFIL >DRNTG_33173.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23461317:23464939:-1 gene:DRNTG_33173 transcript:DRNTG_33173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTKLVAERSSKYLEEALYKRLFRGGSSPKSVSNEVTDFLKSRKLVYKWEVGVTLRKLRSRKRFRPALKLSETMAKRGMNLTVSDHAIRLDLVAKARGITSAEEYFINLPEPAKNNLAYGALLNCYCKELMTGKAEALMEKMKELRFASSPMVYNSLMTLYTKTNRHDKIPSIIQEMKADDVMPDCFTYNVWMRALAAMKDISGVERVIEEMKRDGRVTADWTTYSNLASIYVDAGMFQKAEGALKELEKRNDGRDLSAYQFLITLYGRTGNLVEVYRIWRSLKLAHPRMANISYLNMIQVLVNLKDLSGAEICFKEWESKCSTYDIRVVNVLLRAYTKEDMFEKAEKLKKHAKMKGARLNAKTWEILMEYHLNKGDMKSVIYCADRGMKKGKTYNRIWVPPPNVVKSIMQYFEEKKNVNGAEKFIEVLKSVQSELSTELLEALIRTYAIAGKKSPGIRLRLKMENATVNEATEKLLDQICVE >DRNTG_22496.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2570051:2571314:1 gene:DRNTG_22496 transcript:DRNTG_22496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMAAAVLGADTAPFESLIAQLMSTANDQRSHAEALFNHCRDRHPDVLSLKLAHLLHSSPHLELRAMAAILLRKLLTPDSDPSSSSYLWPRVTPSTQSSLKTILLAAVQREDSKSISKKLCDTVSELASALLPDNAWPELLPFMFSAVTSLDSPRLAESSLLIFSQLAQYIGETLLPHLATLHSVLLASLSHPSSPDVRIAALNAAINLVQCLPSAQERDQFQDLLPAMMRTLTEALNSGQEATAQEALELLIELAGTEPRFLRRQLTDVVGGMLQIAEADGLEEGTRHLAVEFVITLAEARERAPGMMRRLPQFVGRLFAVLMKMLLTIEDEPAWHSAEPDDEDAGETSNYSVGQECLDRLSIALGGNTIVPVASELLPAYLAAPEWQKHHAALITLAQIAEGCSK >DRNTG_14267.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:947980:950520:-1 gene:DRNTG_14267 transcript:DRNTG_14267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGFGTGGGGGSGGSGVSELQQFMVEGGCPSSSSTSIFSISTSNTNLHPHHHHHHHHHHPHPHHHHPPLQPPPHFSHFQSIPITQQLFHQAHHPFQLFSHSHHPELGLDLESGPENSNSATVQPGNGAPPTSFLTAAMSFKLGVNDNSSREDEGILHGDDASDSHVHPWQREEESTIKEPFWRPLDIDYINNKRSTTNEKQQQQQHLQESSSKYYKKTNKEVNEQQQQQGNNNGSNYKLFSELEAIYKPGNNNVLGGGDAGGGSSSANNQTGSGSALTGDENPLMTLVAPGPISEQRISTINQISDTSTGEEAAPMKKHIKSGRRKRKRRQQQQLSSLTSFFENMVKQLMDHQEGLHRKFLEVIEKRDQDRMVREETWRKEEAAQNSRETSMRAQERALASSRETAIISFLEKITGETLNLPTKSQFQSSKSYHHSQNEDNNAEHAYDPLDLSQEFMINNNNNNNNNNNNVDVNNNQIHMNSSRWPKAEVHALIRVRSSLEMRFQEPGLKGPLWEEVSSIMASLGYCRSSKRCKEKWENINKYFRKTKESGKKRPMHSKTCPYFHQLDQLYSKSLNNNNNNNNNNNNNNNNNKLSSSTSNSDRRQE >DRNTG_18111.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:413285:413799:1 gene:DRNTG_18111 transcript:DRNTG_18111.1 gene_biotype:protein_coding transcript_biotype:protein_coding QHTCTHHSQQQLPFPQPQQPPSPQQSPSHMSSPSSHTGSCQHSHSCHS >DRNTG_30523.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21432022:21433889:1 gene:DRNTG_30523 transcript:DRNTG_30523.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVVKSSESWAKSIPAENVQALANETSMNPEMEIPLRYIRPDLDHHQDNINKIIVDEVVPIIDMKRLLDPNLSSQESSKLHYACMEWGFFQVVNHGVDDEVIEKMKVDLEEFFKLPLKEKEVFAPLPGGLQGYGQKLVLNEEKLEWQDMMFLITQPVLARNFRFWPTSPPTFRSTLDKYSKELKSVSMSLFAAIAKNLGLNPQVLHDVFKEQQRMRMNYYPPCPKANQVLGINPHTDAGALTILLQVSDVQGLQIKKNGQWLPVQPLPHALVVNIGDALEIMTNGKYASVEHRVMVNNKKERLSIAAYHSPSFDKIIGPLPEIVQGGKEYYKTMKYEEYMEFHFLAKVEGKNHMDFMKLNN >DRNTG_26030.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1715579:1718884:-1 gene:DRNTG_26030 transcript:DRNTG_26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSKRDRPVTLSKTKKKGKAHKEAIVSSIKKALEDYSSVYVFTFENMRNQRFKEFREQMKSSSRFFLGANKVMQFSLGRSAADEGKPGIHKLSKFLQGDSGLFFTNLGRDEVQRLYEGYEEHDFARTGALATETVELKEGPLEQFTHEMEPFLRKQGLPVRLNKGVIELVSDFVVCEEGKPLSPESARTLRLLGIKMATFRLHLVCRWSPDDFEVYREGLDLSDIESS >DRNTG_07817.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18396328:18408820:1 gene:DRNTG_07817 transcript:DRNTG_07817.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRSVGLEIVRNITRTKSSQQSGHVGTYVTTGVATANFERYFRKIQKEDRAEDAINNHTSLPAVLKNVKVFRNFSAFASLVTTSIATPRETVCEEVTETDLLHGYELMLNKLDKMFIQNKQLAKGLTECQEKLNNAGKHINNMNKGKAKIEEIKVWRIFEDQTWKI >DRNTG_04965.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3958401:3961365:-1 gene:DRNTG_04965 transcript:DRNTG_04965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGNGGDVVGVFFMATLVLWAVSVAYEIVFNKRRELVAIVVGFGFYQAANWAIRFFVSRDPLFVNTSVSLLHSTITSVSVVPVLVNQLVIRGLDNTFVHVQLVNGTWLGAYSALCVSCGYFAYDQWDMLRYRLYTGWIPSILLHHLILLVCFTLALYRKVAINYLILTLVCELHSIFLHVRKVRRMAGLRDAKSRVVRVEWMLNWAMFILARVVCHILITYKLIVDAPKFEKGIVLPLALFGMAGMNLLNVFLGLDLFKAFKRERNQQPHRD >DRNTG_04965.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3958401:3959741:-1 gene:DRNTG_04965 transcript:DRNTG_04965.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYRLYTGWIPSILLHHLILLVCFTLALYRKVAINYLILTLVCELHSIFLHVRKVRRMAGLRDAKSRVVRVEWMLNWAMFILARVVCHILITYKLIVDAPKFEKGIVLPLALFGMAGMNLLNVFLGLDLFKAFKRERNQQPHRD >DRNTG_03358.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18078870:18085376:-1 gene:DRNTG_03358 transcript:DRNTG_03358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLQSVVMKKKKKKKKKKKDKAEKVMRIARRPHSQPMLSDPDRPGRFNQKTQKPAMWPARVYPIEPDAPESAPINLPVTSVRLVVIDSPRSPPAYSCFLPHVTSEGLPLPRDVPIKAHATISPKIVTEDLPIFFPNLAQVWSSK >DRNTG_22027.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2511443:2515750:-1 gene:DRNTG_22027 transcript:DRNTG_22027.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERGVDILVATPGRLVDLLERAKVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQTDMPQRGMRQTMLFSATFPREIQRLASDFLSNYIFLAVGRVGSSTDLIVQRVEYVSDSDKRSHLMDLLHAQRANGAHGKQALTLVFVETKRGADSLEHWLCLNSFPATTIHGDRTQQEREMALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKTGLATAFFNENNSSLARPLLELMQEANQAVPDWLSRFAVRSSCGGGGGRNRRSGGGARFGGRDFRRDGSHNRGGGSDYYTGAGGSAGYGTASAGYGGNYGGAGVVSAWD >DRNTG_22027.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2511443:2515996:-1 gene:DRNTG_22027 transcript:DRNTG_22027.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERGVDILVATPGRLVDLLERAKVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQTDMPQRGMRQTMLFSATFPREIQRLASDFLSNYIFLAVGRVGSSTDLIVQRVEYVSDSDKRSHLMDLLHAQRANGAHGKQALTLVFVETKRGADSLEHWLCLNSFPATTIHGDRTQQEREMALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKTGLATAFFNENNSSLARPLLELMQEANQAVPDWLSRFAVRSSCGGGGGRNRRSGGGARFGGRDFRRDGSHNRGGGSDYYTGAGGSAGYGTASAGYGGNYGGAGVVSAWD >DRNTG_22027.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2511443:2515996:-1 gene:DRNTG_22027 transcript:DRNTG_22027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGVDILVATPGRLVDLLERAKVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQTDMPQRGMRQTMLFSATFPREIQRLASDFLSNYIFLAVGRVGSSTDLIVQRVEYVSDSDKRSHLMDLLHAQRANGAHGKQALTLVFVETKRGADSLEHWLCLNSFPATTIHGDRTQQEREMALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKTGLATAFFNENNSSLARPLLELMQEANQAVPDWLSRFAVRSSCGGGGGRNRRSGGGARFGGRDFRRDGSHNRGGGSDYYTGAGGSAGYGTASAGYGGNYGGAGVVSAWD >DRNTG_22388.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16911792:16912378:-1 gene:DRNTG_22388 transcript:DRNTG_22388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCTPENGFFPDLSTTSKADIIFFCSPNNPTGAVASRDQLTQLVQFARDNGSIIVYDSAYGMYISDDSPRSIFEIPGAKEVSFKFRIIG >DRNTG_05731.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9321065:9324217:-1 gene:DRNTG_05731 transcript:DRNTG_05731.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDTIIQAPHSFNPFNVRHIPFYGTYYHINISIGITMTDKFAVT >DRNTG_05731.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9323844:9324217:-1 gene:DRNTG_05731 transcript:DRNTG_05731.3 gene_biotype:protein_coding transcript_biotype:protein_coding IDTIIQAPHSFNPFNVRHIPFYGTYYHINISIGITMTDKFAVT >DRNTG_05731.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9323273:9324217:-1 gene:DRNTG_05731 transcript:DRNTG_05731.2 gene_biotype:protein_coding transcript_biotype:protein_coding IDTIIQAPHSFNPFNVRHIPFYGTYYHINISIGITMTDKFAVT >DRNTG_34160.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5362446:5363933:-1 gene:DRNTG_34160 transcript:DRNTG_34160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLDDGELWLPSDIVPDLGLRRHPKPTIIPDPLHDRLAALALLDPTRYKTPSAHPNPLLGSREPSLRTRFNPAEIPSRSSFIYNGIVFSPSPRPVYQFNQVDSFGARRARVTQRQPERFFPARFNGPGRERTAGTGVFLPRVVNNEFRKKSSMKSGEQQQQKQQPIRNAVGGRQGMSFQPPASEMCLPQDWTY >DRNTG_32419.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17795939:17799102:1 gene:DRNTG_32419 transcript:DRNTG_32419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSLFSSHLLIMKTTKLLLLFSLLMIRNSNSQQLEVEALLQLKQNLNDPQNHLINWKTSPSPCHFSGITCNSTSGQVTSLSLPNMKLSGKLSPYIATLQSLTVLNFGENSISGPLPSELMNCINLQLLNLSSNGFNGHLPDFSSLKNLQVLDLSSNAFSGGFPPWLGNLTALVYLGLASNKFDESEIPEIIGKLKYLKVLFLAQCNLVGQIPVSIFELTELETLDLSQNQLTGDFPVSISNLLNLHKLELYQNKLTGSIPSELANLVQLHEFDVSQNQMSGKLPVELANLKNLTVFQLFRNNFSGELPAGFGDFQHLIGLSLYENSFSGELPKNLGRFSPLISIDISENNFSGRFPPFLCESNKLQYLLALQNNFSGQFPGTYSTCKSLVRFRISQNQFEGMIPNSLWGLPFAAIIDVADNGFSGDIHADIGISTSLIQLYVNNNKLSGELPMEIGMLSQLQKLYASNNSFSGRIPRQIGNLSSLISLHLEQNEFSGPIPSELGYCTKLAEINIARNLLNGGIPETFSLLNSLNSLNVSRNFLTGTIPESLQTLKLTSIDFSDNKLSGMVPPGLLMIAGEEAFSGNAELCFSETSGSCNTSHRHKSKMSKALMLLLIMLAAMVLFSVLVFRTFKSFMFETRSKEKDQEKITEKDQVWKMESFNTEDIKAEEIFFLDDKNLIGSGRTGKVYRSELKNKGNVAVKQLFNGTQISTPELDMLKNIKHKNILKLYACLTKGGSNFLVFEYIPRGNLHQALRRKTKTGQPELDWSIRYKIALSAAKAIMYLHHDCSPAILHKNIKSSNILLDDQYEAKIAVKLELSDFSGTNCYMAPELAYSIKPSEKSDVYSFGVVLLELITGYSPVEPKFGKGKDIVYWVSTQINSENVAEILDSSLSENAIEDMIKVLKVAMLCTTKLPAVRPLMTGVVRMLIDAEPCCMLWN >DRNTG_31595.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:618760:623403:1 gene:DRNTG_31595 transcript:DRNTG_31595.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLAQFVFNHIEIEDHFDIKAWIWVSDHFDRFRIIKQIVDSFCIGNAISSTVPCGNTNSLDLLERELRRHLTGKKILLVLDDIWSDEWQRLLTPLQSAQAQAVKIIVTCREPMVLRNKDKENQINLGGIDGGEYWSLFLSCAFGGNNPINYSQKLHDIGKHIVEKLMGSPLAAKTVGKLLGCCLTEEHWNDVLENDLWKLKTDAHDIMPALALSYYHLPLHLQLCFAFCSVLPKHGHVYETDEVICMWIANGYVPESGRSSKTMNDIGKEYYHELQEMGFFDADSIFVTMHDLMHDLAGLVSHGETCIYESDSDKQISENVRHLQANESYLGLVHGTNNLRTLILYGTNGIPTFPIHGAFNKIRVLMIYDNIMEEFPDAIPHLKHLQYLTLWEK >DRNTG_31595.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:619547:622621:1 gene:DRNTG_31595 transcript:DRNTG_31595.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLAQFVFNHIEIEDHFDIKAWIWVSDHFDRFRIIKQIVDSFCIGNAISSTVPCGNTNSLDLLERELRRHLTGKKILLVLDDIWSDEWQRLLTPLQSAQAQAVKIIVTCREPMVLRNKDKENQINLGGIDGGEYWSLFLSCAFGGNNPINYSQKLHDIGKHIVEKLMGSPLAAKTVGKLLGCCLTEEHWNDVLENDLWKLKTDAHDIMPALALSYYHLPLHLQLCFAFCSVLPKHGHVYETDEVICMWIANGYVPESGRSSKTMNDIGKEYYHELQEMGFFDADSIFVTMHDLMHDLAGLVSHGETCIYESDSDKQISENVRHLQANESYLGLVHGTNNLRTLILYGTNGIPTFPIHGAFNKIRVLMIYDNIMEEFPDAIPHLKHLQYLTLWEK >DRNTG_18694.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000971.1:31747:32082:1 gene:DRNTG_18694 transcript:DRNTG_18694.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKLPRPHFLIDHQHSIIFEVIRTQIHPLPGN >DRNTG_20518.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001124.1:35316:38836:1 gene:DRNTG_20518 transcript:DRNTG_20518.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDWYWSVILEVMKAVGELVSLSQEFKTNKTFISTLVRLRKEVDLSLGMRRYMVLITDDKVGLTKYRRDLGCFVLKEEGSEESFGEPSLRKNDLGSFLDGKGKKLDVESDSRRMGLVERSSHPGQGSSVSQTVKMGGDLHSNKDGTAEKLASGMADTGGAPLLVQMSVERSSHIESLERSRQDKMAAVELSSCATNEKAPLRLLSRGVSDHRILHGRTEGCLMFNSEETGNDGRSLGCGSRRRGVKKWVVRRGSSAGSDVDCYSNVGTENKNDSKGGFFHFEDPIVLRFGPRPDLDLGPYSLEGERGLDPIFLGELDHGLGRKSDVGLGCAGGPSRLGSVDPEDGGPREGTDGQVEPTLLGPAGHGKEAKYKPDVAPPEGYQWIYLTDQWVLIPSSMPLEVDKGVEGKDHVSEIADLDEEYGSDDSVTEFERSLKEMMSDLKVGNSSTTTASALGTRKRRNHFVETFLKVYVYKALLISDWTNAQGLGSPAKHFLVKDFLNLHFVDVCCLQESKLSSISAMTWRELGGPRLDKFDYLPSVGTYGGIIIGWNSVILMGSVTTRGIFSISVDFVSKRDNFLWRCTSIYGPNARHLKAVFWEEIQGCCPSQGVLWVICGDFNAIFSLEDKRGGPPSFCDIRDATMFLNDMNLFEPPAKGRRFTWSNGQVNPTCVKLDRFIVNRYWVEHFPCLFQNCLPRLGSDHVPIRLEVGMHYFNPRPFRFELAWTSVEGFASLISSWWASCDPQGCGAFVLAKKL >DRNTG_26078.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4150985:4151533:1 gene:DRNTG_26078 transcript:DRNTG_26078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAFPALDMTTSTSNSTALDTASTQGHIDIVNLLLETGANLVKIARSNGKTALHSAARMGHVEVVKSILRKDPSIGLRIDKKGQTALHMAVKGHNNQMVLELLNPDPSVISLEDNKGNTALHIATRKG >DRNTG_34909.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20691920:20694883:1 gene:DRNTG_34909 transcript:DRNTG_34909.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSTRGRLYEYSNDNIKSTIERYKKAIADNSNSGCIIEVNSQQYYQQEATKLRHQIQILQNANRHLAGEGLSSLTIKELKQLESRLERGIARIRSKKHELLFAEIEYMQKR >DRNTG_34909.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20691920:20694236:1 gene:DRNTG_34909 transcript:DRNTG_34909.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSTRGRLYEYSNDNIKSTIERYKKAIADNSNSGCIIEVNSQQYYQQEATKLRHQIQILQNANR >DRNTG_34909.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20691071:20695822:1 gene:DRNTG_34909 transcript:DRNTG_34909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGGNQDLRGEGREEMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSTRGRLYEYSNDNIKSTIERYKKAIADNSNSAILPARSNKVTSPNTDSTECKQALGRRRFKFFNHKGTQAT >DRNTG_34909.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20695449:20695822:1 gene:DRNTG_34909 transcript:DRNTG_34909.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAAAHYSHQQDQTALHLGYETKDDPAA >DRNTG_35459.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3685857:3686324:-1 gene:DRNTG_35459 transcript:DRNTG_35459.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLNVSERREEARQFRFHRRLIIVLIPKPLPATGPIVGHPKPAPSLLQAQPKREQRPRIPSAGAGQGSSRALAGVELEADTGGARIGSSVGGH >DRNTG_05531.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16871593:16875692:-1 gene:DRNTG_05531 transcript:DRNTG_05531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRTIDESYFDDDWEVTVPNTGSTVVLIGKTGNGKSATGNSILGRKAFKSQAAPSGVTTSCELQQTVFQDGRILNVIDTPGLFDISPESRSMGEDITKFLELAKDGIHAVLLVLSVRSRFSQEEEAALTKLRAVFGERIIKYMIVLFTGGDDLEGNDDTLEDYLARDCPEPLQQIIQLCENRVVLFDNRSRDPSKKAQQLHELLTLVDSIIMSNNRRPYSNELFAEIKKEALRMQEALKDIESLEGHNESEINGLKEQNNKSYEEQMRQISEMVDQKMNYHIESIYKLLEEEQNARREVERISQELLAAERKERVEEGNRSKEEIRKLKEELQRARMESANIDIRKEFLNKCAIL >DRNTG_22232.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1285357:1288563:1 gene:DRNTG_22232 transcript:DRNTG_22232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRNADNGSAPPATASKQPKPPPNHVDSASVSQRLQKELMHLMMSGDFGVSAFPEAENIFSWIGTIEGGKGTCYEGLSYKLSLRFPLDYPFKPPQVKFETPCFHPNVDQYGNICLDILQDMWSSAYDCRTVLLSIQSLLGEPNTESPLNTYAATLWSNQEDYKKMVHKHYVDGGETLES >DRNTG_20106.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:299679:300114:1 gene:DRNTG_20106 transcript:DRNTG_20106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSLNLLSLKLKEFAKARDWKEYHTPRNLLLAMVAEVGELSEIFMWRGEVGRGLHDWDEAEKEHLGEELADVLLYLIQLSDACEVDLGGAALNKISKN >DRNTG_28375.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2337363:2343420:1 gene:DRNTG_28375 transcript:DRNTG_28375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFYQIISLGRRWALVHFGKVKVAEHALTGHKVAVKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRLYEVIETHSDIYVVMEYVKSGELFDYIVEKGRLQEEEARHFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKRNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGRLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSAGARDLIPRMLIVDPMKRMTIPEIRQHPWFQAHLPRYLAVPPPDTMQQAKKIDEDILQEVVKMGFDKNHIIESLRSRTQDEATVTYYLLLDNRFRTASGYLGAEFQETMESGFPRLHQYESVTSAISHRLGGYVDPQGTGMRSHIPVERKWALGLQSRAHPREIMTEVLKALQELNVCWKKIGHYNMKCRWYPCFPGQGEGMHDNNLNNNHCFGDESAIVESDDVAARSSNVVKFELQLYKTREEKYLLDLQRVNGPQLLFLDLCAAFLAQLRVL >DRNTG_17110.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000874.1:48356:53657:-1 gene:DRNTG_17110 transcript:DRNTG_17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSHFQLTSLGFTTTALSSLPRFPPSHEQSNKNIINVQKCSYMISNMKAQHSDYSSSSSSSSVTQRRSANFQPTIWTNDYLQSLKDNNFMEEKFTSRIEKLKDATKQLLHENKDIIHQLKLIDTFVRRSHGGEVHH >DRNTG_05210.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000234.1:3630:6959:-1 gene:DRNTG_05210 transcript:DRNTG_05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPYVTIFHWDTPQGLESKYGGFLSRNIVDDYRDFAEVCFKEFGDRVKHWITFNEPYSYCSRAYDIGTFAPGRCSPWAGNCTAGDSGREPYIACHNILLAHGVAVKVYKEKYQVKQKGLIGITLVTNWFIPYSNSKADILAQQRSLDFMFGWFMDPLAYGNYPSSMRMTVGNRLPRFTKEEVEIVKGSYDFIGLNYYTTWYSQSVPPINSLVNTSYSSDYQTNQSVTGVRLGKPLGPTAASGWLFIYPPGIRNLLLYIKDKYNNPLIYITENGVDDNNIKDLPLKDALEDNVRVSFYYGHLRYLQSAIKKGANVKAYFAWSFLDDFEWDAGFTVRFGLGYIDYNDNLKRYPKKSALWFRQFLKK >DRNTG_05473.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23201296:23203143:1 gene:DRNTG_05473 transcript:DRNTG_05473.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATSREAEEVKVLGLTVSPFVVRVRIALNLKGVQYEFLEEQFGNKSELLIKSNPVYKKMPVLIHEGRPICESMIIVEYVDQVWADDYVSHSILPSLPYDRAVARFWAHYIDDKWFPKLVVILKGGDTEEAHAEAAEEVKAGLKLMEEVLEKHSKGKPFFGGDAIGHVDIAFGSYWTWILAAEKISGFKLFQQEQTPLLFAWSQNFCLDVAVKDVLPDVDKLVEHAKKFSAWMIQNAAAENN >DRNTG_05473.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23201296:23203174:1 gene:DRNTG_05473 transcript:DRNTG_05473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSREAEEVKVLGLTVSPFVVRVRIALNLKGVQYEFLEEQFGNKSELLIKSNPVYKKMPVLIHEGRPICESMIIVEYVDQVWADDYVSHSILPSLPYDRAVARFWAHYIDDKWFPKLVVILKGGDTEEAHAEAAEEVKAGLKLMEEVLEKHSKGKPFFGGDAIGHVDIAFGSYWTWILAAEKISGFKLFQQEQTPLLFAWSQNFCLDVAVKDVLPDVDKLVEHAKKFSAWMIQNAAAENN >DRNTG_05473.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23201194:23203062:1 gene:DRNTG_05473 transcript:DRNTG_05473.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSREAEEVKVLGLTVSPFVVRVRIALNLKGVQYEFLEEQFGNKSELLIKSNPVYKKMPVLIHEGRPICESMIIVEYVDQVWADDYVSHSILPSLPYDRAVARFWAHYIDDKWFPKLVVILKGGDTEEAHAEAAEEVKAGLKLMEEVLEKHSKGKPFFGGDAIGHVDIAFGSYWTWILAAEKISGFKLFQQEQTPLLFAWSQNFCLDVAVKDVLPDVDKLVEHAKKFSAWMIQNA >DRNTG_05473.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23201296:23203062:1 gene:DRNTG_05473 transcript:DRNTG_05473.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATSREAEEVKVLGLTVSPFVVRVRIALNLKGVQYEFLEEQFGNKSELLIKSNPVYKKMPVLIHEGRPICESMIIVEYVDQVWADDYVSHSILPSLPYDRAVARFWAHYIDDKWFPKLVVILKGGDTEEAHAEAAEEVKAGLKLMEEVLEKHSKGKPFFGGDAIGHVDIAFGSYWTWILAAEKISGFKLFQQEQTPLLFAWSQNFCLDVAVKDVLPDVDKLVEHAKKFSAWMIQNA >DRNTG_09137.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26846812:26851281:1 gene:DRNTG_09137 transcript:DRNTG_09137.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g11860 [Source:Projected from Arabidopsis thaliana (AT5G11860) UniProtKB/TrEMBL;Acc:Q9LYI7] MKNSFRYLIYIHCYFSGRILSSKSNVESEKDLNSMHTLSSDNTDNICLINGNTLHPKLSDDRTSQCVLETIFSPSFHLPKDGSSGVPGKADFVNFFMGKDQVGNLVQTELECANSCDEEFSNLGKLSPEVSAIYLAMQHSKLECVDEPTQESMSTDMCVEYEDDDFDDFDPYFFIKNLPDLSAVVPTFRPMLLPRQTRSCPSTTLVLDLDETLVHSSLEPCEDADFTFPVNFNLKEHTVYVRCRPHLRDFMERVASLFEIIIFTASQSIYAEQLLNVLDPKRKLFRHRVYRESCVYVEGNYLKDLSVLGRDLSRVIIIDNSPQAFGFQIDNGIPIESWFEDRSDQELILLLPFLESLVGVEDVRPLIAKKFNLRQKVAAATCLGVDFK >DRNTG_04937.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8071674:8074011:-1 gene:DRNTG_04937 transcript:DRNTG_04937.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLYLALCLGSPNYRIASREDSPVFVFFSTYASQLPLIVSFSSSSSRTKSSSPHRLVTLKIWGQCLQRRRPKALRGPTSPLLLPRRSHSLTRSSPIPLHRIVEFFIGPHRSTTLFRPSSSLLPCVSSSRPHL >DRNTG_05053.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:260008:260479:1 gene:DRNTG_05053 transcript:DRNTG_05053.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDDNNIKDLPLKDALEDNVRVSFYYGHLRYLQSAIKKGANVKAYFAWSFLDDFEWDAGFTVRFGLGYIDYNDNLKRYPKKSALWFRQFLKK >DRNTG_04777.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15474762:15484573:-1 gene:DRNTG_04777 transcript:DRNTG_04777.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37630) UniProtKB/TrEMBL;Acc:F4K790] MSETDEKRLAGEIARLLDECRLSHAVHSRKLKELSALRSSSSSSSRVRFFPSFARALSPLFDFPRRTPGSERTVRFVSAFAAHRNVRDPAICDAFLEEFLRFLLIAAGATHRSARFRACQIISEIIMLLPDDAEVSDEVWDDVIESMKQRLEDKVPGIRAFAVRALSRFANDVDNADIVNLFLETLPQESSVDVRKTIVLSLPPTNATSVAIVESTLDVSESVRRAAYCVLAIKFPMQSLSIKLRTVIIQRGLSDRSSLVRKECLKMLKEEWLAKYCNGDAIALLRFLDVETYETVGESVMEALLKDGVVKVQGQSIRQCLTTANTSEDHNSFQLMEAELALYWKAVCKHLQAEAQAKGLDAAATTGTEAEVYASEASVSNDLLDCVLPATVSDFVGLAKAHLSAGPNYRFASRQLLLLGAMLDFSDTSNRKVASVFVHELLMRSLEYEIDDDGNKVIIGDGINLGGDKDWARAVSELAKKVHASSGEFEAVVTGAIEELARPCRERTADFMQWMHCLAVTGLLLETTELLRNLQGKAIGASELLHSLLLPGAKHIHVDVQRVATRCLCLYGLLESRPSEELVKQLRLSFIIGPSSVSIMAAKALVDLATWHGPNELDKSISIGPEQSSDVKTGFNLVNVSNLKEDVNIGLIDLLFSGLDKDDSFLNTESEDQENVRSILAEGFAKFLLLSENYPSMSISLHPLILQRLITLYFSDDTKELQRLKQCLSVFFDHYSALSYSHKKCVSRAFIPVMQSMWPGIYGNSGGSPVLISKMRKRAVQVSRFMLQMMQTPLFAKEHEDVRSNVESSRSPDLMQPSSEFESGEEGLAIRIATEVANCPEKKIAAGKSYIQALCKTVGSLQFRTSEQEAVKCMRGLLNSMIASVLSDKELVKELNHMAAHLKSIDEHPDQELSQERADAIFSALELDVNLKMDMSVMNQPTPAPRPARTTAARRRVKREDSSDDDDDDDEHSPLPSMPVAPGVVSARSQSQRASKTAAMSRMSTRTSNALSDTDGEGGSEVTSEESSDESRGANAV >DRNTG_02901.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23578823:23580256:1 gene:DRNTG_02901 transcript:DRNTG_02901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESDNDWDLFAVVRSCRRTQPPAPTRDAFSFFCSPFPPEVPSVFPSPVKEEVVLGCGTCFPDLAGGSSRDGALLEELCKPFFPKPILQHHPIPSPSPSPAQIRPRMAQIPRSKRRKNQQKRVVCHVPADGLASDMWAWRKYGQKPIKGSPYPRGYYRCSSSKGCQARKQVERSREDPGVLIITYTGEHNHPVPTHRNSLAGSTRSKLSPAAGAGAGGDAAPVSPPTSVVGHSPTTPITSSMEEETELLVEDMEVMGDDDLVFMGLNEVVDGGDMAAEDDEVSRFFQDQSFPPPLPWLGNDPNNNTAFQDQSFPPPLPWFGNDPNNNSNNNTATATAAAGGV >DRNTG_15252.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:16963277:16963922:1 gene:DRNTG_15252 transcript:DRNTG_15252.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTKHKPYPNNPNYNLRQQGNAKEKSRKKHTLLLTQRSRPTQSLKPGSNLTKHKDNESNLSPSKSSHINHIQTKDKFKSILR >DRNTG_24334.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:336680:336977:-1 gene:DRNTG_24334 transcript:DRNTG_24334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFYHGLNQTELALRHMSRTLLLLSLSSGPDHPDVAATFINVAMMYQDIGKMDTALRYLQEALKKNERLLGSEHIQTAVCYHALAIAFNCMRAYKL >DRNTG_03480.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:847986:851762:-1 gene:DRNTG_03480 transcript:DRNTG_03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EX2 [Source:Projected from Arabidopsis thaliana (AT1G27510) UniProtKB/TrEMBL;Acc:A0A178WE62] MALPRACGARTSPPAPILQSRPFISSHLTFSGTFSRRAISRSYKHPQSLLCRCSSSSSSSSSSSAATDGCSDWDWNRWSRHFSETDQAENFSSVLKFQLEDAIEKEDFLEAAKLKRAIAEATSKDVVAEVMSELNNAIEEERYHDASRLCRIAGTGLVGWWVGWSMDSDDPFGRIVRIAPALGRFVARSYYPRQLITGSPGTPLFEIFLVKNDDGSYITQVVYLQSVKGNSMVSTLASGTTDGSPMADSNSSTLESNLVNEGAVEKNSDDDKPQDTTEKSNDDAKVKDANEEGLKSVIDFFKERIPGFKVKVNVSGSEDVKVNADSLEQLMQEDVVKNASPEVSEDEVSSVDDFQREDSAGDDTDSNEENKNTAMKVIIGGVLHNKEDVLSKSYIRLPAKINDMEKDLFTLHIPSRSSNSDAGERRSAKIKIAAIAAQAASDLMPPDVAKGLLSADKVSSKVSRDLREVVKLAVSQAQRRNKLPRTTIFNRITTDNDSLDPFDGLYVGSFGPYGIEVVQLRRKFGHWNDTDETSNGSDVEFFEYVEAVKLTGDLNVPAGQVTFRAKIGKGSRLANRGMFPDELGVVASYKGQGRIAEPGFKNPQWVEGQLLQLNGKGLGPHIRGMELGFLYVVPEHSFLVLFDRLRLPD >DRNTG_11626.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000513.1:46614:47183:-1 gene:DRNTG_11626 transcript:DRNTG_11626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIMNGNLVVLIAVILVSHSWMITNIEGHAYLGKEKVTRLHFYFHDTLNGDHPTTVPVAYPIGTVISPSNLAPSNAVYVADDPLTDGPDPKSTVVGHAQGFYVFEMQDKVVLIFVMDIGFTSGEFNGSSITLFSRNPVLETDREMAVVGGRGKFRLARGFAKLHTYSFNLTGAGAVVEYDVTVFHYEI >DRNTG_28129.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19539273:19543961:1 gene:DRNTG_28129 transcript:DRNTG_28129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLTQSQSHQIPKSLLISDVNTPPQVGV >DRNTG_28129.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19541887:19543961:1 gene:DRNTG_28129 transcript:DRNTG_28129.2 gene_biotype:protein_coding transcript_biotype:protein_coding NSTQGSQPQRIGTDKSQLQKKPQNNPLNLSLIFSSTLAGELPEKVAFGRRNSQQKSGLKKENEEKNEALERKACLTNNSSLIM >DRNTG_28129.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19543373:19543961:1 gene:DRNTG_28129 transcript:DRNTG_28129.4 gene_biotype:protein_coding transcript_biotype:protein_coding DSRTKYNSQSKAPTSTLAGELPEKVAFGRRNSQQKSGLKKENEEKNEALERKACLTNNSSLIM >DRNTG_28129.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19542881:19543961:1 gene:DRNTG_28129 transcript:DRNTG_28129.3 gene_biotype:protein_coding transcript_biotype:protein_coding KKNTSTLAGELPEKVAFGRRNSQQKSGLKKENEEKNEALERKACLTNNSSLIM >DRNTG_05744.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7260584:7261234:1 gene:DRNTG_05744 transcript:DRNTG_05744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKLSFTSLFYSTNIPWTSCKQARTKSFRAAGESCNGDINVSKMRDLECSESIDSFFTNTSEELESFSTVSEGSEMEMVLRGLRSERRLFFEPRWASSIMEDVRVNATDTPFEGSIAMTLESEDPYNDFRTSMEEMVVAYGVGELEDLLGWYLKVNGKETHGFILGAFIDLALMLSSPLSCYCPCSFTSSCSSTSSFEIVKLEEEEEGDTSTGSY >DRNTG_13010.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26686183:26686875:1 gene:DRNTG_13010 transcript:DRNTG_13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIYPKGKGRIHPSPAPPSNGAGDAMAILRILPATILTMIAVLSNEDKEVMAYLVARSVSGAGLGEERPRRKGVHPPALDCGCFVCYTSFWSRWDRSPQREVIHQAIEAFEEHLAASERAAGGSARSRRKDRREKGKKGKGKEIKTVEDEKRVVEEHVNVGDGDGVGSTSVEEGKETEMEDGVGEVEEGMESTAAMAVVPVVAGDKRKDWADVMGSVVSRLWSLWSPGD >DRNTG_14635.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2295417:2296284:1 gene:DRNTG_14635 transcript:DRNTG_14635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKTIPAKQIPKNKPDALSAPVLCDLVGNGWEEGGEGEEHRKKKRGRERKEGLE >DRNTG_29526.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2149288:2152646:1 gene:DRNTG_29526 transcript:DRNTG_29526.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERPWKIQNLSLDLDEFERYTDKIKIDRISKLPDALLLQILSFLQVQDVMRVCCVSTRWKHLWKSVPSIDFTEAKIRTTGEFIRAVNKALSNRGGLQIREFRVCVDSDLCQINNVDYWITFVVSHNVEVLYLDCTPDRPVSVNKDKLCLIPQSLYRCQYLHTLTLKFCQMDLPSIFCLASLRKLHLELVEFTNEDLEVLLSNCPSLKYLTLKNCSKYTHLRVFAKNSHNENLNIHEDGLNSETDLLVSAPNLLTLNFSGQSKRERFFGENLKSLVSVNFNFSSTYRHIWDPEDCFLFGQFIDHFCYVKSLKLSNWCILNLSIRAILNLGTSVSNMVSNTVNEATLATRFNKCELAGLVYVLKNFINLGVLILNVVEDVETKFEDILKDEGFYETTYWKPDELSVVGLQQHLRTVAIHGILGSKSFSSTAELVPTEDILDRRRTEFEFVRFLLKNLKELETMFITPTEGLNTFSDSKKLDILFQLSSRLLSLPRSSPNVQVIIRPIQHTGIGINRTQLRL >DRNTG_29526.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2149288:2152646:1 gene:DRNTG_29526 transcript:DRNTG_29526.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPWKIQNLSLDLDEFERYTDKIKIDRISKLPDALLLQILSFLQVQDVMRVCCVSTRWKHLWKSVPSIDFTEAKIRTTGEFIRAVNKALSNRGGLQIREFRVCVDSDLCQINNVDYWITFVVSHNVEVLYLDCTPDRPVSVNKDKLCLIPQSLYRCQYLHTLTLKFCQMDLPSIFCLASLRKLHLELVEFTNEDLEVLLSNCPSLKYLTLKNCSKYTHLRVFAKNSHNENLNIHEDGLNSETDLLVSAPNLLTLNFSGQSKRERFFGENLKSLVSVNFNFSSTYRHIWDPEDCFLFGQFIDHFCYVKSLKLSNWCILVC >DRNTG_29526.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2149288:2152646:1 gene:DRNTG_29526 transcript:DRNTG_29526.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERPWKIQNLSLDLDEFERYTDKIKIDRISKLPDALLLQILSFLQVQDVMRVCCVSTRWKHLWKSVPSIDFTEAKIRTTGEFIRAVNKALSNRGGLQIREFRMDLPSIFCLASLRKLHLELVEFTNEDLEVLLSNCPSLKYLTLKNCSKYTHLRVFAKNSHNENLNIHEDGLNSETDLLVSAPNLLTLNFSGQSKRERFFGENLKSLVSVNFNFSSTYRHIWDPEDCFLFGQFIDHFCYVKSLKLSNWCILVC >DRNTG_26447.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:396773:401285:1 gene:DRNTG_26447 transcript:DRNTG_26447.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQFACFTCPLFLEALRALWQASFPDTDLSGLVSEQWKDMGWQGPNPSTDFRGCGFVSLENLLFFARTYPASYNRILFKQEGMRSAWEYPFAVAGINVSFMLIQMLDLYSAKPKSLPGVNFLKILSEDEAAFDVLYCIAFQMMDAQWLAMRASYLQFKEVIHATRIQLERELSLDDIHRIRDLPAYNLLYK >DRNTG_26447.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:393989:395208:1 gene:DRNTG_26447 transcript:DRNTG_26447.3 gene_biotype:protein_coding transcript_biotype:protein_coding GRRGPCVKAKFEGWREGWIGGACFSLASYPTKIGSSTPDPLSLPTSSTGTCSTARRSV >DRNTG_26447.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:393989:401285:1 gene:DRNTG_26447 transcript:DRNTG_26447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPRSWLGGIFYRSANKRNAGGEKPLDYVLTPLQEQRLQRLQERLNVPFDETRPDHQEALRALWQASFPDTDLSGLVSEQWKDMGWQGPNPSTDFRGCGFVSLENLLFFARTYPASYNRILFKQEGMRSAWEYPFAVAGINVSFMLIQMLDLYSAKPKSLPGVNFLKILSEDEAAFDVLYCIAFQMMDAQWLAMRASYLQFKEVIHATRIQLERELSLDDIHRIRDLPAYNLLYK >DRNTG_26905.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:11787355:11787624:1 gene:DRNTG_26905 transcript:DRNTG_26905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFIVEKCSDFALIIPNTVFRVTWSGKKGRGAWADFWLGPVKPNGWVLQGNQVRRGSKRSYTPGGA >DRNTG_05832.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31662345:31664333:1 gene:DRNTG_05832 transcript:DRNTG_05832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSEQWRELWPIGSIFSAPKLIPAPSPQLSPVLFNPSSSPIPLLSDRSLAVSTPPSPSPSSLLAYLRCCSSPFTLLLFFPCGDNSDSIAYVSVSFRDPRRPQVGELFFQTDGFKHPFHRISSISVVPVLPDLDWCCPEDNSDVAVEGFLIATTLYSVNWFRIEIRVLESGAERPVLVPVAKQGFGISVVHACWNPHFQEESAVLLENGDLCWFNLNTRRGGRVRVMVDEEDPGEWLGCVYGGQPWILIVGCSKAVVVVDLRAEKGTKSMVLAKAGLESSVDNRILSFCKAGFSDFHVSLVTQRRLLLFDVKQLTMVPLLMWNHFLDHPSHVSMFRLSELGPSEEHQWALESGFATIVGSFRNDSCSLFCYALSESQGVIGNTSFDARGLPTGLALSGKQCGSGDELFKELLASEQLPDGMEWQEMRDRVAGFFILPSDIFKVESRDDGVSGFSLIRLMTSGKLEMQRYNASSDLSSYSSARGNNDPVEIIDSSSCCESSEGIEVSTRYSFLKLQNFHLCLNGNLSNTSSMHGPQPNKKVPDQLDIKKDVNTCSQPVASQEAVDVITICTNETALSNQLTCNGNSDNPRTVKKEPSTMDDHFESSREVGLEILDMGSDQLEIELVTKVLQPDEDKICKCLKRQLSKWLEGSEPHEDFSSWLK >DRNTG_30191.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6517200:6517695:1 gene:DRNTG_30191 transcript:DRNTG_30191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQESPEKTFRRRHLERWLPVVFNLLTMLPLEVDPPHSCSPRQEMLEFLPLLPFSSSGSSVIPLVNDVSMPNSSPIASPKSPGLLL >DRNTG_22961.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1037951:1044024:-1 gene:DRNTG_22961 transcript:DRNTG_22961.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYTAECGRLVTGESNQVLDVSPAEAAGKMGEVQRFHIEDGSSFKLIQGYASEESSEEDERKHPADVTLARVSSTNEVGISGSHQVLAKESVYCKNSFQQSSSSGSMHNSSPDPRSAIIQGFSGQDEKYAPERTGIVNPPQKNDNNAGDSLGQKPESAKVVKKDDFPLEVDEFGRLVRKAESDTDSDGKQYGKVRGKRRRQKRSRSPQENRWRQRSCSPRRGNKRRRSRRSRSRSKSPSTRQEKAQPRECFNYIRGRCFRGGSCRFSHSGSVRDRSRQQEFGDRPQETADHNASNDGSYSESSNQIKLPVRKLDADKWISAPGEEAKDAESCQERSLAGIKSVRDEAMGDGLRQTLVTVDEPRSACVPEQRSHEPCVLQELQERNAYSIEEAKKIQPAVQSSQPQQYDCLPIQSSPAGNNRSLHDETPEPDSSDVRASHHSQAGSACGKTIESQTAQSCPNQSSMDQLHPIQPQPPQDLTPSRFLASSVPLPSQQSLSAHAELPKLHHLNDPSAPFSTHHSENLMPPATSSDSHYVSNDKFTSLRPPMPSDYHSHRGPVNSAWSNVTPLPPPPPPPSHVHGLPPRPSLPAGGYSSQMQPHIFPPANEFSTTSTVKSNSPGEVIHPQGTDHHQSFHSAGSSHYLPPVDDAWGRPSTVGLQQNQPPNREEQFSHHGAPEVSLDAQGDPRIGLQSFPPEDRGTFSGLGLTSSTSFPPVNIIQPQPRIFFGDHGPPVFSREEFLNPVRSSLYSHYPAEHNENHPSNADFHPKLDPSFQRFPSVFHEKILPPHLHDPLMPKISRSTHYNPFASTFDQPHTSLKIGSSVSMQERDAAYKTRYDLSFSSGHFLAGELGSRMTATLGDSSIHTYKQETSVELLSSVQKQSVKDPAAGDPYDPLSDSIEPSSTMFRVSNHAHEQNSVIDNLSSLPRVANMEEDGRQNAGLAPMHKLDVDELGEVATEAEGGAVENGSPQPGYDRDWSSGFPTGAQNAAAGEIEIDQIQSPGTSKKSKDSRSMKLFKVTLADFVKEVLKPSWRQGNMSKEAFKTIVKKTVDKVSGAMAGHQIPKSQAKINHYIESSRKKLTKLVMGYVEKYVKA >DRNTG_22961.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1037951:1049926:-1 gene:DRNTG_22961 transcript:DRNTG_22961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQGNFTFRPAPPAPLPPYPQVSPVPGAPFHQAPPAPATAPPPPLIHQSLPCPPPPQAPIGPLPVAYQHSLLAPQPTHLSTSLPVNMSQHHMNPPQIIHGHTPIVPPFPHVSSSYPPTMPNQNVHYAMQPMTSSRVPPPPLASSQGQILYRPPQLPSPGIQHLSTPPPPLPPNFGPVTHSNLTFFSQNPVGDAHAPSSLLPPPPPPPSSPPPLPPSPPPPSSPRANVLPIVSEPLPKASPEKPLQCDVGDGLLESACLTDDKSKVEKRLSLVGEEASGSFPSTPPRPADAETVKIIDVLCQFIAKVGPSFEIEAREKEANNPRFSFLFGGEPGSAAAIGHEYFQWMKRKYCTRPSNEQVSASFSDNSFNKGAILPADSDMDMEDDVSQPEVDQKLGRLNKASARESVAAGSVVPIGDESNSAPKCAREGLKQEIAIPNNPSCSGTSLVLQRDQDEDNSPFIEDLSPVRMAPYTAECGRLVTGESNQVLDVSPAEAAGKMGEVQRFHIEDGSSFKLIQGYASEESSEEDERKHPADVTLARVSSTNEVGISGSHQVLAKESVYCKNSFQQSSSSGSMHNSSPDPRSAIIQGFSGQDEKYAPERTGIVNPPQKNDNNAGDSLGQKPESAKVVKKDDFPLEVDEFGRLVRKAESDTDSDGKQYGKVRGKRRRQKRSRSPQENRWRQRSCSPRRGNKRRRSRRSRSRSKSPSTRQEKAQPRECFNYIRGRCFRGGSCRFSHSGSVRDRSRQQEFGDRPQETADHNASNDGSYSESSNQIKLPVRKLDADKWISAPGEEAKDAESCQERSLAGIKSVRDEAMGDGLRQTLVTVDEPRSACVPEQRSHEPCVLQELQERNAYSIEEAKKIQPAVQSSQPQQYDCLPIQSSPAGNNRSLHDETPEPDSSDVRASHHSQAGSACGKTIESQTAQSCPNQSSMDQLHPIQPQPPQDLTPSRFLASSVPLPSQQSLSAHAELPKLHHLNDPSAPFSTHHSENLMPPATSSDSHYVSNDKFTSLRPPMPSDYHSHRGPVNSAWSNVTPLPPPPPPPSHVHGLPPRPSLPAGGYSSQMQPHIFPPANEFSTTSTVKSNSPGEVIHPQGTDHHQSFHSAGSSHYLPPVDDAWGRPSTVGLQQNQPPNREEQFSHHGAPEVSLDAQGDPRIGLQSFPPEDRGTFSGLGLTSSTSFPPVNIIQPQPRIFFGDHGPPVFSREEFLNPVRSSLYSHYPAEHNENHPSNADFHPKLDPSFQRFPSVFHEKILPPHLHDPLMPKISRSTHYNPFASTFDQPHTSLKIGSSVSMQERDAAYKTRYDLSFSSGHFLAGELGSRMTATLGDSSIHTYKQETSVELLSSVQKQSVKDPAAGDPYDPLSDSIEPSSTMFRVSNHAHEQNSVIDNLSSLPRVANMEEDGRQNAGLAPMHKLDVDELGEVATEAEGGAVENGSPQPGYDRDWSSGFPTGAQNAAAGEIEIDQIQSPGTSKKSKDSRSMKLFKVTLADFVKEVLKPSWRQGNMSKEAFKTIVKKTVDKVSGAMAGHQIPKSQAKINHYIESSRKKLTKLVMGYVEKYVKA >DRNTG_22961.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1037951:1049926:-1 gene:DRNTG_22961 transcript:DRNTG_22961.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQGNFTFRPAPPAPLPPYPQVSPVPGAPFHQAPPAPATAPPPPLIHQSLPCPPPPQAPIGPLPVAYQHSLLAPQPTHLSTSLPVNMSQHHMNPPQIIHGHTPIVPPFPHVSSSYPPTMPNQNVHYAMQPMTSSRVPPPPLASSQGQILYRPPQLPSPGIQHLSTPPPPLPPNFGPVTHSNLTFFSQNPVGDAHAPSSLLPPPPPPPSSPPPLPPSPPPPSSPRANVLPIVSEPLPKASPEKPLQCDVGDGLLESACLTDDKSKVEKRLSLVGEEASGSFPSTPPRPADAETVKIIDVLCQFIAKVGPSFEIEAREKEANNPRFSFLFGGEPGSAAAIGHEYFQWMKRKYCTRPSNEQVSASFSDNSFNKGAILPADSDMDMEDDVSQPEVDQKLGRLNKASARESVAAGSVVPIGDESNSAPKCAREGLKQEIAIPNNPSCSGTSLVLQRDQDEDNSPFIEDLSPVRMAPYTAECGRLVTGESNQVLDVSPAEAAGKMGEVQRFHIEDGSSFKLIQGYASEESSEEDERKHPADVTLARVSSTNEVGISGSHQVLAKESVYCKNSFQQSSSSGSMHNSSPDPRSAIIQGFSGQDEKYAPERTGIVNPPQKNDNNAGDSLGQKPESAKVVKKDDFPLEVDEFGRLVRKAESDTDSDGKQYGKVRGKRRRQKRSRSPQENRWRQRSCSPRRGNKRRRSRRSRSRSKSPSTRQEKAQPRECFNYIRGRCFRGGSCRFSHSGSVRDRSRQQEFGDRPQETADHNASNDGSYSESSNQIKLPVRKLDADKWISAPGEEAKDAESCQERSLAGIKSVRDEAMGDGLRQTLVTVDEPRSACVPEQRSHEPCVLQELQERNAYSIEEAKKIQPAVQSSQPQQYDCLPIQSSPAGNNRSLHDETPEPDSSDVRASHHSQAGSACGKTIESQTAQSCPNQSSMDQLHPIQPQPPQDLTPSRFLASSVPLPSQQSLSAHAELPKLHHLNDPSAPFSTHHSENLMPPATSSDSHYVSNDKFTSLRPPMPSDYHSHRGPVNSAWSNVTPLPPPPPPPSHVHGLPPRPSLPAGGYSSQMQPHIFPPANEFSTTSTVKSNSPGEVIHPQGTDHHQSFHSAGSSHYLPPVDDAWGRPSTVGLQQNQPPNREEQFSHHGAPEVSLDAQGDPRIGLQSFPPEDRGTFSGLGLTSSTSFPPVNIIQPQPRIFFGDHGPPVFSREEFLNPVRSSLYSHYPAEHNENHPSNADFHPKLDPSFQRFPSVFHEKILPPHLHDPLMPKISRSTHYNPFASTFDQPHTSLKIGSSVSMQERDAAYKTRYDLSFSSGHFLAGELGSRMTATLGDSSIHTYKQETSVELLSSVQKQSVKDPAAGDPYDPLSDSIEPSSTMFRVSNHAHEQNSVIDNLSSLPRVANMEEDGRQNAGLAPMHKLDVDELGEVATEAEGGAVENGSPQPGYDRDWSSGFPTGAQNAAAGEIEIDQIQSPGTSKKSKDSRSMKLFKVTLADFVKEVLKPSWRQGNMSKEAFKTIVKKTVDKVSGAMAGHQIPKSQAKINHYIESSRKKLTKLVMGYVEKYVKA >DRNTG_06680.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3206747:3213682:1 gene:DRNTG_06680 transcript:DRNTG_06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPSTTIDGPFFAEVDMGGDSSSTTVRATIVQASTVFYDTPATLDKAEKLIADAATYGAQLVVFPEAFIGGYPRGSTFGVTIGSRSAKGKEDFRRYHAAAIDVPGPEVDRLAAMAGKHKIFLVMGVIEREGYTLYCTVLFFDPQGQYLGKHRKLMPTALERVIWGFGDGSTIPVYETPLGKIGALICWENRMPLLRTALYGKGIEIYCAPTADARDVWQASMTHIALEGGCFVLSANQFCRRKDYPPPPDYMFAGMDDEPLPESVVCAGGSVIISPSGVVLAGPNYEGEALISADLDLGEIVRAKFDFDVVGHYSRPEVLSLTVRDHSLKPVSFASAENKPESTRKS >DRNTG_10269.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20128259:20128834:1 gene:DRNTG_10269 transcript:DRNTG_10269.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTGKRPIEPIYGEGKDIVNWVAEQLASEGSVVKLVDKKIPEWAKDEALKVLRIAVLCTMKLPAMRPSMRTVVHLLEEVAVGRVVIRLDFKNGSDNKAESRFSVEDKGDEFLSSM >DRNTG_11500.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29539663:29543078:1 gene:DRNTG_11500 transcript:DRNTG_11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLGTRKCLNPNQFRRRETQVSSSQLFVDSDHKTQHILQSPSLHSFPQIPPAGHIPEYPKSISNCLSQSNPSSILRTNSVGVILVLGNVI >DRNTG_35382.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1713635:1715640:-1 gene:DRNTG_35382 transcript:DRNTG_35382.6 gene_biotype:protein_coding transcript_biotype:protein_coding GSRRYLSLIAPTSAAARSGVRWRVENPPCLPPQHSTLSSYSKPSASSNTPMMMKTLVS >DRNTG_35382.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1713598:1715797:-1 gene:DRNTG_35382 transcript:DRNTG_35382.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNDLSPAHYAIIGPTPILSSFSTPPLFLPFSSAGSRRYLSLIAPTSAAARSGVRWRVENPPCLPPQHSTLSSYSKPSASSNTPMMMKTLVS >DRNTG_35382.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1713511:1713935:-1 gene:DRNTG_35382 transcript:DRNTG_35382.7 gene_biotype:protein_coding transcript_biotype:protein_coding SSNNNSVKKNSALLAKTKNTDEIHHQSHTHV >DRNTG_35382.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1715425:1715640:-1 gene:DRNTG_35382 transcript:DRNTG_35382.9 gene_biotype:protein_coding transcript_biotype:protein_coding GSRRYLSLIAPTSAAARSGVRWRVENPPCLPPQHSTLSSYSKPSASSNTPMMMKTLVS >DRNTG_35382.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1713511:1715640:-1 gene:DRNTG_35382 transcript:DRNTG_35382.4 gene_biotype:protein_coding transcript_biotype:protein_coding GSRRYLSLIAPTSAAARSGVRWRVENPPCLPPQHSTLSSYSKPSASSNTPMMMKTLVS >DRNTG_35382.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1713635:1713935:-1 gene:DRNTG_35382 transcript:DRNTG_35382.8 gene_biotype:protein_coding transcript_biotype:protein_coding SSNNNSVKKNSALLAKTKNTDEIHHQSHTHV >DRNTG_35382.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1713598:1715640:-1 gene:DRNTG_35382 transcript:DRNTG_35382.5 gene_biotype:protein_coding transcript_biotype:protein_coding GSRRYLSLIAPTSAAARSGVRWRVENPPCLPPQHSTLSSYSKPSASSNTPMMMKTLVS >DRNTG_35382.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1713635:1715797:-1 gene:DRNTG_35382 transcript:DRNTG_35382.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNDLSPAHYAIIGPTPILSSFSTPPLFLPFSSAGSRRYLSLIAPTSAAARSGVRWRVENPPCLPPQHSTLSSYSKPSASSNTPMMMKTLVS >DRNTG_35382.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1713511:1715797:-1 gene:DRNTG_35382 transcript:DRNTG_35382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNDLSPAHYAIIGPTPILSSFSTPPLFLPFSSAGSRRYLSLIAPTSAAARSGVRWRVENPPCLPPQHSTLSSYSKPSASSNTPMMMKTLVS >DRNTG_19032.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22537012:22539692:-1 gene:DRNTG_19032 transcript:DRNTG_19032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGVCGKRLGFEEIFGSPSSAPPSKRSRCSLYESPVHSPDLGFGSDDKLSILLRMFPSLDREVVETTLNSHGHRMDDAIKSLHALCVGGETSIKETVSLDQDLTSDGHVVAGNKITPMSDTKVEEVNHCNLNFDPQNASSWVDVFVHEMMNASDWNDVRSRATQMLEAFEKSVITNSVSSYEQEVTSLKEQLQSLLRDNQILKKAVAIQHERSLEQDEKLKEMQHLKHVLSKYHEQVQALERNNYTLRIHLQRAQESSSIPGRFHPDVF >DRNTG_28486.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8540893:8549759:1 gene:DRNTG_28486 transcript:DRNTG_28486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNFQCSMPFDSQCSVGVSAIPRVRIPAENWISKNSLQKIVDGRSSPLGLGQRDAPCFYRFKCLANSHNIGSFPNNDPFLHLHPEVSVLRGEGDNTTMFDPRKERPGSNATENIGDFPLPKNCNEAKIKVIGVGGGGSNAVNRMIESSMEGVEFWIVNTDVQAMRMSPVHPKNRLQIGQELTRGLGAGGNPDIGMNAANESKERIQEAVAGADMVFVTAGMGGGTGTGGAPIIAGIAKSMGILTVGIVTTPFSFEGRRRTVQAQEGIATLRSNADTLIVIPNDKLLTAVSPNTPVTEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRAIMADAGSSLMGIGAATGKSRARDAALNAIQSPLLDIGIERATGIVWNITGGNDLTLHEVNAAAEVIYDLVDPSVNLIFGAVIDQSFSGQVSITLIATGFKRQEETEGRPSKGAQSGRADGRVFSSFTEGSTVEIPEFLRKKGRPRFPRA >DRNTG_32823.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27721287:27724008:1 gene:DRNTG_32823 transcript:DRNTG_32823.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGTSFRAPPFFTPPPLRTPPSHPFFASSRTCLVTFALRSSLHESEVFGEDVMRMFAKERQLNGDFVSKVSDMLWWKEMLKFEASETINVEGDKEQNDSVNVVDDEKLGGFLKLTRTKEWVSGDNIAPVNRKMAAKDFKNDSERQKKLNLLRYEALKRELLLLTAAIGTACSVYCFIQFSFEAALSYGVGVLFSCLYLQLLYYHSDNMTRDDVPEIFKQKKLKKIGIRSEDLKNTLEKTLNGCTMALSSPRLVIPAAIYGLWALSHNFVNDYFDFELVPAMFGFFAYKAAALVQVYRDNEDLVMVFPDKEDESINT >DRNTG_24776.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7764941:7765261:-1 gene:DRNTG_24776 transcript:DRNTG_24776.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCLNSLAPTFPPIADLAAVNKQFPSASTSPPCSSLNPTATAAGPSLGFFHSTPRAWIRLRWRRCWSSSPRTPSHGAGSGDAAATGTGSRSDHPSGAGDGDGVNASA >DRNTG_33351.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001989.1:8245:10058:1 gene:DRNTG_33351 transcript:DRNTG_33351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLIAGRLPGRTANDIKNHWNTHLSKKINSKEKSWNRKTIAKGITSIKPREKQGEFKIIKPQPWTIPVNWSWLKDQPVHRGQLQDKSGINIADPLNNNVSREESATIIPEKLDDVFLGIDDMTVGEMGNNFEIGNIGGNGDEQFFEQEDAGWTDFLLDMDI >DRNTG_05555.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18806889:18808000:1 gene:DRNTG_05555 transcript:DRNTG_05555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNMCSVYTIGIQFYLVFIDEKGVEAGTTYENLGLPLEWFGAMEWMYPTWSLCKEALQKNLGLAVRSQCPLIAFIARLDYQKGTVLILSAIPKLLLDDVQFIMLGSGDLLPKSLMRQTEYCYGDYFRGCVRYTKPMAHQITAN >DRNTG_20522.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001125.1:41592:42134:1 gene:DRNTG_20522 transcript:DRNTG_20522.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLTLSSPVSISSSQHHHRRDTTSLISWVLSLLRRMASGVILSHKRMQKLVLVLVLVLWMSLR >DRNTG_20522.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001125.1:41592:43171:1 gene:DRNTG_20522 transcript:DRNTG_20522.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKHSGRRRSSSTPPANQCSPMNLVSLCNPFNMELPTIWFKGIGELGCNGVFLFVVFNGPLLSLVLYPCLVSCCISQGLIK >DRNTG_29198.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3140364:3140738:1 gene:DRNTG_29198 transcript:DRNTG_29198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKRLGKWRFMKATQCIPKLRGAGEKTPAKPTSLMDRLREAVFKILMISAASRSGRRRQQRSLNQAIPQQPADSCRSQAVEDCIEFVKKSAASAGDEAVKSSGCDEDKVAVVCLGFSPSMQVC >DRNTG_27933.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001419.1:11545:12512:1 gene:DRNTG_27933 transcript:DRNTG_27933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYGKLLTFATVRGAAHMVPYAQPGRALQLFKSFVKGQRLPNITQTSF >DRNTG_22224.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16548086:16558765:1 gene:DRNTG_22224 transcript:DRNTG_22224.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNQQQQSISNFPRQNMERVGHVIVRPLVVDDNFELKPNFIQRVNNMSEDAVSLRLPIFVERKGLQLTVVLLSDDEEYKCYC >DRNTG_31168.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13316060:13317212:-1 gene:DRNTG_31168 transcript:DRNTG_31168.1 gene_biotype:protein_coding transcript_biotype:protein_coding PNVAWDGDHHATCESSALNQCDVGDHVCVEDASRQLNFDKDGDLVLSRRRRNHNKRCLNYRVTIQHNITSSLPLVGLQVWKAALVLVDFVLHKSFMSSDFVDMIAVELGAGT >DRNTG_19286.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:544224:545861:1 gene:DRNTG_19286 transcript:DRNTG_19286.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARRVAGRCLVRRLLSNRHGHPQPLPSTTRYISSLSGSIFPNYSFPRIPSDHFRHGNGHQARFISVEALQPSDTFPRRHNSATPEDKIRMAEFCDHSSLDSLIDATVPKSIRISSMTFPKFDAGLTESQMISHMQYLASKNKLFKSFIGMGYYGTLVPAVILRNIMENPGWYTQYTPYQAEIAQGRLESLLNFQTMISDLTALPMSNASLLDEGTAAAEAMAMCNNIQRAKKKTFLIASNCHPQTIDVCRTRAEGFDLKVVVKDLKDFDYRSNDVCGVLVQYPGTEGEILDYEEFIKNAHAHGAKVVMATDLLALTVLKPPGELGADIVVGSAQRFGVPMGYGGPHAAFLATSQEYKRMMPGRIIGVSMDSTGKPALRMAMQTREQHIRRDKATSNICTAQ >DRNTG_24051.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26542848:26543854:-1 gene:DRNTG_24051 transcript:DRNTG_24051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMHLGFAVFMIGIIVISKRWVLRSFDDIEWVEDPSSKKITFKPGTGLLDASLMSAFEIGIMPVLLAVLQHGQCNSS >DRNTG_03405.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20871070:20874884:-1 gene:DRNTG_03405 transcript:DRNTG_03405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALGENAPDSIPFVKWRNDFSRAFQHHLDRSTPHPVGRWLGTLAVASIYVLRAYFVQGFYIVSYGLGIYVLNLLIGFLSPMVDPELEVLDGASLPTRGSDEFKPFIRRLPEFKFWYAITKAFCVAFVMTFFSIFDVPVFWPILLFYWIVLFVLTMKRQILHMVKYKYVPFDIGKQKYGRKKTAARSSGYSD >DRNTG_34965.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3040143:3042527:1 gene:DRNTG_34965 transcript:DRNTG_34965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEGDDSVTEIYPSLQSVDSEQATLSFEGTSATTAATIASSSSSSLTTTWHCKWFPRLENLHVYKCDRVSGFPWPMLAALDKLETLNIEGLKIETSDIEAQQHQYLLPNMRDIQIRCCQNMAFLRAVLLGVSSLESLFIGNCTSVRLPALGHLSSLGSISLQRVEVTVDDITPVFLSLHTLKLEQASMIFQNISLVDDITPVFQSLHTLELRKASMIFENISSSPSSSSSVMTQNHNHFPKLTSLTLYQSKVNGLHLFSALEHLKIIYGHVLDGLPLPNLQYMRIQFCEDMETLPVWLPRLPLLNHLYIGGCSKFRSWGTEEEIKEDGLSLPNLQIMEIHSCKNLETLPAWLPHLLLLKKLIISSCPKFRCWDTEEEIIEDKLPLPNLQYMRIELCKDLETLPVWLPRLPLLNELTIRMCPKFKSWGIEEEIIENGLLLPNLRELDVDSCEDL >DRNTG_07145.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:13124704:13125047:-1 gene:DRNTG_07145 transcript:DRNTG_07145.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSLSIFFLKKHSTSQTNMKHKHKHKHKYIK >DRNTG_10926.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:44047:48578:-1 gene:DRNTG_10926 transcript:DRNTG_10926.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSLCCIQVDQSRVAIRETFGKFDDVLEPGCHCLPWCFGKKVAGYLSLRVQQLDVRCETKTKDNVFVTVVASIQYRALADRASDAFYKLSNTREQIQSYVFDVIRASVPKLNLDDVFEQKNEIAKAVEEELEKAMAAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGASSKSNSVFIPHGPGAVKDIASQIRDGLLQANSMSQ >DRNTG_10926.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:44047:48578:-1 gene:DRNTG_10926 transcript:DRNTG_10926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSLCCIQVDQSRVAIRETFGKFDDVLEPGCHCLPWCFGKKVAGYLSLRVQQLDVRCETKTKDNVFVTVVASIQYRALADRASDAFYKLSNTREQIQSYVFDVIRASVPKLNLDDVFEQKNEIAKAVEEELEKAMAAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGASSKSNSVFIPHGPGAVKDIASQIRDGLLQANSMSQ >DRNTG_10926.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:44047:48578:-1 gene:DRNTG_10926 transcript:DRNTG_10926.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSLCCIQVDQSRVAIRETFGKFDDVLEPGCHCLPWCFGKKVAGYLSLRVQQLDVRCETKTKDNVFVTVVASIQYRALADRASDAFYKLSNTREQIQSYVFDVIRASVPKLNLDDVFEQKNEIAKAVEEELEKAMAAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGASSKSNSVFIPHGPGAVKDIASQIRDGLLQANSMSQ >DRNTG_27181.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:636805:640698:1 gene:DRNTG_27181 transcript:DRNTG_27181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLAVNVDDAMDDIVRQFKGVSDGLRRRVVGSPLSNITSSPIAEKTLTLSWNEEDIKGRSPSSSNVGTSHSLSDDEVPNEGLPSAITGWHSDNELKSKSFPPRIVKQGEKSVNLGLQRGHQSDKFDSAALNKFLSTRSSEVSDLFDDLDGIPPEWTPPNVSVPLLNLVDKVFLLNRRGWLRRQVFWMSKQILQLMMEDAIDDWILRQINLLRSEEIIAQGIRWVQNVLWPNGTFFIKLESGQAKSGDVHLGQKGVPTSSKRSGVGATGSSSFEAQLEAARRASDVKKMILGGAPTTLVSLIGHKQYRRSAKDVYFFLQSSICLKQLAYSMLELVLLSLFPELRDLVTDIHNKAQYQQPL >DRNTG_01855.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21251221:21253191:1 gene:DRNTG_01855 transcript:DRNTG_01855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINSSTPKFNIEAHKTQKKDNDKNMSMKKNKNKKVMKKKVISPPSPPRPHLRDHQVISSWEQLKSILSCKAIVMASQVHDPSKEKPTVSNSNYAKLRSSCGPSICAFRDVVHGNTRVGHRSDTDRHSGSSSPGASRRHETAPLTRSSGGSCSSSSKAGGMPLRRLSGCYECHAISIDSASRRYPRPRTTLSACSECGEVFTKSESLELHQIIRHAVSELGPEDSGRQIVEIIFKSSWHRRALPACKIERILKVRNSPRTVARFEEYRAAVKSRALNPKKHPRCAADGNELLRFHPAILSCALGSGSSLCSSPACGVCGIIRHGFAREACGVRTTASSGRAHELGHAVGGVGSKAMMVCRVIAGRVRRAGDEEDAGAGGSGYDSLAGADANLEDLSVGNPRAILPCFVVIYRLVE >DRNTG_27255.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:787904:792353:1 gene:DRNTG_27255 transcript:DRNTG_27255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFLNLAKKRKKKDKPEKQRPDREDDSQKPTMESLDRSQDAVTKIQKKKKKKQKVEREHGEKKKERKNKDVITDERFASMHYDPRFQRMPKKEAKVVVDSRFSSMFTDENFAKSSAPVDKRGRKRKGKGVNPLLHYYLQEEEPKVKEEDERESSEEEFEKPESVDHESSSTGEDDDDDDEYSVDSEICRYLTANLEGAPQIENETHRLAVMNMDWDHIKAVDIYVVMNSCLPKGGEILSVAVYPSEFGLKCMEIEAVHGPSALIDSNEGESDDEAEIDNERLRVYELNRLRYYYAVVVCDSSATANHIYKTLDGTELLKTSNVFDLRFIPDSMEFKHPPREITIEAPTSYKEPEFHTRALQHSKVKLSWEEDEPQRTKLLRRKCNPNQLDEFKDYLASTDEDSDEDDEEHGAGGNGEAKRLLEIEKFRALLQSKSGNGSDSDSDKDDGKDMEITFNTGLEDLSKRILEKRDKKSETVWETVLRKRKEKKAARKKRSKYSSDDESSDFDAQETDEQPDDFFMEEELMDVDANASEKHVKVSKKGGKNKQGRKEQTPELAKEREASKAELELLFAEDQGADSAPKGYNLKPKKKVKGKKGKKEELIDDKLPTIDYSEDPRFSAMLNNPMFALDPTDPQYKRSAPYARQKLQKQSKVDMKDSIVEEVPIRKEDEVSLPSSRKKEYEFSATVRSLKRNIGTFKKQR >DRNTG_28863.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22172164:22179476:-1 gene:DRNTG_28863 transcript:DRNTG_28863.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPAPSFSSPTSSYVDPSISTTNLAPRLLAATPCRATSPFPRFSARTYRPSDSPLCRCSRGEIPEEPVRRGWDSLIKDVVKSAVKRWEDYVNSSKSSASKDRMDVESEETAGKGEEEEVEWDWERWEKHFAEVEEQENLVAALKSQLRVAVAREDYEEAAKLKAAIMATTKKDTVATAISELKRAVVEERYGDAAFFRDYAGCGLVGWWSGTSTNAAEPSGRIIHISAEHGRYVARSYTSRQLSTARPGSPLFEVFFTVNGGEYKQQAVYLKRNDRTEDLLLKTSNKQKISNPNPSDSSTEEQGDIYVEDITAVEEKDDDADMVDGINGIQNVLRDMIPGVKVKVLKVVSPGKVDRDLIAKVIEQIMEEEGEDNEELESIDADKAKSESDNEEVIDSEDALIGTDDLQPGLPVKLVIGTIMRKFSADLSPIDLIRVPAALERKDRRSFSFSIQQNDTQLGTSGKEESLRGKASLKSDKRSSDLIMSDLAKVLLSKEKIPMKVLKDVGELISSAIKQDQNQPFSGSMLFNRIEISSSADPLSGLYVGAHGIYASEILHLKRKFGQWQENDRNTDLEFYEYVEAVKLTGSLSLPAGQIVFRAKVGKRYQLPHKGIIPEEFGVVARYKGQGRIADPGFQNARWVDGELVILDGKFIKGGVVVGFVYWAPEYHFLVFFNRLKLPET >DRNTG_28863.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22172164:22178266:-1 gene:DRNTG_28863 transcript:DRNTG_28863.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLILFLAFVNRQLSTARPGSPLFEVFFTVNGGEYKQQAVYLKRNDRTEDLLLKTSNKQKISNPNPSDSSTEEQGDIYVEDITAVEEKDDDADMVDGINGIQNVLRDMIPGVKVKVLKVVSPGKVDRDLIAKVIEQIMEEEGEDNEELESIDADKAKSESDNEEVIDSEDALIGTDDLQPGLPVKLVIGTIMRKFSADLSPIDLIRVPAALERKDRRSFSFSIQQNDTQLGTSGKEESLRGKASLKSDKRSSDLIMSDLAKVLLSKEKIPMKVLKDVGELISSAIKQDQNQPFSGSMLFNRIEISSSADPLSGLYVGAHGIYASEILHLKRKFGQWQENDRNTDLEFYEYVEAVKLTGSLSLPAGQIVFRAKVGKRYQLPHKGIIPEEFGVVARYKGQGRIADPGFQNARWVDGELVILDGKFIKGGVVVGFVYWAPEYHFLVFFNRLKLPET >DRNTG_27442.21 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28716841:28722575:1 gene:DRNTG_27442 transcript:DRNTG_27442.21 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTVSRSRSPSYRRRRSPSPARYSSSRRSRRDRSRSPYSYRKKSLSPSPRRRKSRSPSPRRRKSRSPSPRRYKRQRSRSSSKSPVHTSRSPSIGLLERKNAIEKLKKDEEEKKRRQKEAELKLLEEETAKRIEEAIRKKVEESLNSEELKLEIQRRIDEGRKKLFDEVAAQLEKEKEAALIEARQKAEQERREKEELDKMLEENRRRVEEAQRREALEQQKKEEERYRELEQIQRQKEEAMRRKKMEEEQERANQMKLLGKNKSRPKLSFALGLK >DRNTG_27442.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28716841:28722727:1 gene:DRNTG_27442 transcript:DRNTG_27442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTVSRSRSPSYRRRRSPSPARYSSSRRSRRDRSRSPYSYRKKSLSPSPRRRKSRSPSPRRRKSRSPSPRRYKRQRSRSSSKSPVHTSRSPSIGLLERKNAIEKLKKDEEEKKRRQKEAELKLLEEETAKRIEEAIRKKVEESLNSEELKLEIQRRIDEGRKKLFDEVAAQLEKEKEAALIEARQKAEQERREKEELDKMLEENRRRVEEAQRREALEQQKKEEERYRELEQIQRQKEEAMRRKKMEEEQERANQMKLLGKNKSRPKLSFALGLK >DRNTG_27442.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28716841:28722575:1 gene:DRNTG_27442 transcript:DRNTG_27442.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLEENRRRVEEAQRREALEQQKKEEERYRELEQIQRQKEEAMRRKKMEEEQERANQMKLLGKNKSRPKLSFALGLK >DRNTG_27442.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28716841:28722575:1 gene:DRNTG_27442 transcript:DRNTG_27442.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLEENRRRVEEAQRREALEQQKKEEERYRELEQIQRQKEEAMRRKKMEEEQERANQMKLLGKNKSRPKLSFALGLK >DRNTG_27442.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28716841:28722575:1 gene:DRNTG_27442 transcript:DRNTG_27442.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLEENRRRVEEAQRREALEQQKKEEERYRELEQIQRQKEEAMRRKKMEEEQERANQMKLLGKNKSRPKLSFALGLK >DRNTG_27442.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28716841:28722727:1 gene:DRNTG_27442 transcript:DRNTG_27442.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTVSRSRSPSYRRRRSPSPARYSSSRRSRRDRSRSPYSYRKKSLSPSPRRRKSRSPSPRRRKSRSPSPRRYKRQRSRSSSKSPVHTSRSPSIGLLERKNAIEKLKKDEEEKKRRQKEAELKLLEEETAKRIEEAIRKKVEESLNSEELKLEIQRRIDEGRKKLFDEVAAQLEKEKEAALIEARQKAEQERREKEELDKMLEENRRRVEEAQRREALEQQKKEEERYRELEQIQRQKEEAMRRKKMEEEQERANQMKLLGKNKSRPKLSFALGLK >DRNTG_27442.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28716841:28722575:1 gene:DRNTG_27442 transcript:DRNTG_27442.12 gene_biotype:protein_coding transcript_biotype:protein_coding MLEENRRRVEEAQRREALEQQKKEEERYRELEQIQRQKEEAMRRKKMEEEQERANQMKLLGKNKSRPKLSFALGLK >DRNTG_27442.20.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28716841:28722575:1 gene:DRNTG_27442 transcript:DRNTG_27442.20 gene_biotype:protein_coding transcript_biotype:protein_coding MLEENRRRVEEAQRREALEQQKKEEERYRELEQIQRQKEEAMRRKKMEEEQERANQMKLLGKNKSRPKLSFALGLK >DRNTG_27442.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28716841:28722613:1 gene:DRNTG_27442 transcript:DRNTG_27442.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLEENRRRVEEAQRREALEQQKKEEERYRELEQIQRQKEEAMRRKKMEEEQERANQMKLLGKNKSRPKLSFALGLK >DRNTG_27442.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28716841:28722575:1 gene:DRNTG_27442 transcript:DRNTG_27442.15 gene_biotype:protein_coding transcript_biotype:protein_coding MLEENRRRVEEAQRREALEQQKKEEERYRELEQIQRQKEEAMRRKKMEEEQERANQMKLLGKNKSRPKLSFALGLK >DRNTG_27442.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28716841:28722663:1 gene:DRNTG_27442 transcript:DRNTG_27442.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTVSRSRSPSYRRRRSPSPARYSSSRRSRRDRSRSPYSYRKKSLSPSPRRRKSRSPSPRRRKSRSPSPRRYKRQRSRSSSKSPVHTSRSPSIGLLERKNAIEKLKKDEEEKKRRQKEAELKLLEEETAKRIEEAIRKKVEESLNSEELKLEIQRRIDEGRKKLFDEVAAQLEKEKEAALIEARQKAEQERREKEELDKMLEENRRRVEEAQRREALEQQKKEEERYRELEQIQRQKEEAMRRKKMEEEQERANQMKLLGKNKSRPKLSFALGLK >DRNTG_27442.16.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28716841:28722575:1 gene:DRNTG_27442 transcript:DRNTG_27442.16 gene_biotype:protein_coding transcript_biotype:protein_coding MLEENRRRVEEAQRREALEQQKKEEERYRELEQIQRQKEEAMRRKKMEEEQERANQMKLLGKNKSRPKLSFALGLK >DRNTG_27442.23.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28716841:28722575:1 gene:DRNTG_27442 transcript:DRNTG_27442.23 gene_biotype:protein_coding transcript_biotype:protein_coding MLEENRRRVEEAQRREALEQQKKEEERYRELEQIQRQKEEAMRRKKMEEEQERANQMKLLGKNKSRPKLSFALGLK >DRNTG_27442.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28716841:28722575:1 gene:DRNTG_27442 transcript:DRNTG_27442.11 gene_biotype:protein_coding transcript_biotype:protein_coding MLEENRRRVEEAQRREALEQQKKEEERYRELEQIQRQKEEAMRRKKMEEEQERANQMKLLGKNKSRPKLSFALGLK >DRNTG_27442.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28716841:28722613:1 gene:DRNTG_27442 transcript:DRNTG_27442.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTVSRSRSPSYRRRRSPSPARYSSSRRSRRDRSRSPYSYRKKSLSPSPRRRKSRSPSPRRRKSRSPSPRRYKRQRSRSSSKSPVHTSRSPSIGLLERKNAIEKLKKDEEEKKRRQKEAELKLLEEETAKRIEEAIRKKVEESLNSEELKLEIQRRIDEGRKKLFDEVAAQLEKEKEAALIEARQKAEQERREKEELDKMLEENRRRVEEAQRREALEQQKKEEERYRELEQIQRQKEEAMRRKKMEEEQERANQMKLLGKNKSRPKLSFALGLK >DRNTG_27442.22.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28716841:28722575:1 gene:DRNTG_27442 transcript:DRNTG_27442.22 gene_biotype:protein_coding transcript_biotype:protein_coding MLEENRRRVEEAQRREALEQQKKEEERYRELEQIQRQKEEAMRRKKMEEEQERANQMKLLGKNKSRPKLSFALGLK >DRNTG_27442.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28716841:28722575:1 gene:DRNTG_27442 transcript:DRNTG_27442.13 gene_biotype:protein_coding transcript_biotype:protein_coding MLEENRRRVEEAQRREALEQQKKEEERYRELEQIQRQKEEAMRRKKMEEEQERANQMKLLGKNKSRPKLSFALGLK >DRNTG_27442.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28716841:28722575:1 gene:DRNTG_27442 transcript:DRNTG_27442.14 gene_biotype:protein_coding transcript_biotype:protein_coding MLEENRRRVEEAQRREALEQQKKEEERYRELEQIQRQKEEAMRRKKMEEEQERANQMKLLGKNKSRPKLSFALGLK >DRNTG_27442.17.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28716841:28722575:1 gene:DRNTG_27442 transcript:DRNTG_27442.17 gene_biotype:protein_coding transcript_biotype:protein_coding MLEENRRRVEEAQRREALEQQKKEEERYRELEQIQRQKEEAMRRKKMEEEQERANQMKLLGKNKSRPKLSFALGLK >DRNTG_27442.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28716841:28722575:1 gene:DRNTG_27442 transcript:DRNTG_27442.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLEENRRRVEEAQRREALEQQKKEEERYRELEQIQRQKEEAMRRKKMEEEQERANQMKLLGKNKSRPKLSFALGLK >DRNTG_27442.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28716841:28722575:1 gene:DRNTG_27442 transcript:DRNTG_27442.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLEENRRRVEEAQRREALEQQKKEEERYRELEQIQRQKEEAMRRKKMEEEQERANQMKLLGKNKSRPKLSFALGLK >DRNTG_27442.18.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28716841:28722575:1 gene:DRNTG_27442 transcript:DRNTG_27442.18 gene_biotype:protein_coding transcript_biotype:protein_coding MLEENRRRVEEAQRREALEQQKKEEERYRELEQIQRQKEEAMRRKKMEEEQERANQMKLLGKNKSRPKLSFALGLK >DRNTG_27442.19.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28716841:28722575:1 gene:DRNTG_27442 transcript:DRNTG_27442.19 gene_biotype:protein_coding transcript_biotype:protein_coding MLEENRRRVEEAQRREALEQQKKEEERYRELEQIQRQKEEAMRRKKMEEEQERANQMKLLGKNKSRPKLSFALGLK >DRNTG_11096.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30692925:30696127:1 gene:DRNTG_11096 transcript:DRNTG_11096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYKPKNILITGAAGFIASHVANRLIRNYPEYKIVVLDKLDYCSNLKNLIPSRSSPNFKFVKGDIASADLVNYLLITENIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGQALPIHGDGSNVRSYLYCEDVADAFEVVLHKGEVGHVYNIGTKKERRVIDVAKDICKLFSLDPDNVIKFVENRPFNDQRYFLDDQKLKNLGWSEKTTWEEGLRKTMEWFINNPDWWGDVSGALLPHPRMLMMAGVEQQYDAPEDIESKVSKLTNSPSQNKMVVPTLRANVGSPRKSPLKFLIYGRTGWIGGLLGKICEKQGIPYEYGKGRLEERSQLIMDIQNIKPTHVFNAAGVTGRPNVDWCESHKPETIRTNVVGTLTLADVCREHGLLMINYATGCIFEYDAEHPEGSGIGFKEEDTPNFAGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLSNPRNFITKISRYDKVVNIPNSMTILDELLPLSIEMAKRNCRGIWNFTNPGVVSHNEILEMYKKYIDPSFEWSNFTLEEQAKVIVAARSNNEMDASKLKNEFPELLAIKESLIKYVFEPNKKVAA >DRNTG_01729.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23538428:23541899:-1 gene:DRNTG_01729 transcript:DRNTG_01729.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREPPRTPADDDAEALAIAWHDSSPALPPPDEDRPIRVYADGIYDLFHFGHARALEQAKKLFPNTHLLVGCCNDEITYMFKGKTVMTEAERYESLRHCKWVDEVIPDAPWVLNQEFIDKHKIDYVAHDALPYADASGAGNDVYEFVKAIGKFKETKRTDGVSTSDIIMRILKDYNEYVTRNLARGYSRKDLGVSYVKEKQLRVNMGITKLRAKVKEHQEKLHTVAKIAGTHHSEWVENADRWVAGFLEKFEEGCHIMETAIKDRIQERLKKQLSKEMGSNLLEEPEAHGDI >DRNTG_17271.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5319170:5320935:1 gene:DRNTG_17271 transcript:DRNTG_17271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERITSEVVSCSETNPNPQTEVVFPNVSEANEQHCSHSICISLPASPSKFIAERAKKVLFTDDIDENTRFDAKKPKLAAIHSQSIQSRLTYQINSHLQKSENQPFLLRIPISCFGICLGVGSQVNLWKTLATSPSTRFLHVSLNVNFALWYMSLALVATVSVLYILKIVFYFKAVQREYYHPIKVNFFFAPWIALLLIAIGAPPSIEVKLPSLLWYVLMAPLLCLELKIYGQWMAGGQRRLSKVANPSNHIAIIGNFVGALLGASMGLKEGPLFFFAVGLAHYTVLFVTLYQRLPTTQTLPKELHPMFFLFVGAPSVACVAWENIIEDFYIGAKIIYFITMFLYFSLAVRINFFRGFRFSLAWWAYTFPMTSASISTIRYATKVKNPFTQSMSIALSSISTVIVVTLILLTIFHGLVLRDLFPNDMSITVAEQLSNTSGKQTGDIEECLSGDGDDV >DRNTG_25841.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:612414:613708:1 gene:DRNTG_25841 transcript:DRNTG_25841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWGFYMLDWWERYLFNILILVLLWFICYNGCRFVTQIYNSNFSAEFMVGKKFSTAGFVPT >DRNTG_23120.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:227867:231545:1 gene:DRNTG_23120 transcript:DRNTG_23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRDIFVQSGRTETGPGGGVQGEAVKDSLSTSPSPSPSPTPSPPDAPVMGSVVGRMAVGSGGLGSQGPGMGMEIGMGMGTMECGVGVVGEEDLSRKKRGRPRKYGPEGMSLALSPNWSSSPLSPGSDFAAKRSRGRPPGSGKRQLLAALGEWFAYSAGGNFTPHIVTIAAGEDVAARIFSFSQKGPRAICILSANGAISNVTLRQPGSSGGTLTYEGRFEILSLSGSFAITDNSGVRSRTGGLSVSLAGPDGRVVGGGVAGQLLAASPIQVVVGSFMPNTLKEHKKKPNPEPSAFSPVPTSAGVLTTARPISQANPDEECETPTSTLPSQDRGSEVDNNMSSTPNLNSSSFQPVSWHELQLSSEQKPSPDINISLPGE >DRNTG_14016.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27641896:27643881:-1 gene:DRNTG_14016 transcript:DRNTG_14016.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCFSPLSLALSSSPIPKSSVKRRQWKPIIKALKEWREYEDAVRDKDLARALRFLKSMEALQLQSSPSPSRPSSVNSVTTYSPGFAGSERDWEVLDTCLNADDMRLVGSAYSFLQDRGFLPNFGKCKNIVIDGTRNVTPTVLKKSTGLEASKLSPEKWGLSGSSRAVLIAFLGGVSFLLSQGIDIRPNLAVILTLATVDAIFLGGSCLAQISCIWPPYRRRVLVHEAGHLLTAYLMGCPIRGVILDPIVAMQMGIQGQ >DRNTG_14016.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27641896:27642414:-1 gene:DRNTG_14016 transcript:DRNTG_14016.3 gene_biotype:protein_coding transcript_biotype:protein_coding ASKLSPEKWGLSGSSRAVLIAFLGGVSFLLSQGIDIRPNLAVILTLATVDAIFLGGSCLAQISCIWPPYRRRVLVHEAGHLLTAYLMGCPIRGVILDPIVAMQMGIQGQ >DRNTG_14016.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27640058:27643881:-1 gene:DRNTG_14016 transcript:DRNTG_14016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCFSPLSLALSSSPIPKSSVKRRQWKPIIKALKEWREYEDAVRDKDLARALRFLKSMEALQLQSSPSPSRPSSVNSVTTYSPGFAGSERDWEVLDTCLNADDMRLVGSAYSFLQDRGFLPNFGKCKNIVIDGTRNVTPTVLKKSTGLEASKLSPEKWGLSGSSRAVLIAFLGGVSFLLSQGIDIRPNLAVILTLATVDAIFLGGSCLAQISCIWPPYRRRVLVHEAGHLLTAYLMGCPIRGVILDPIVAMQMGIQGQAGTQFWDEKLENELAEGRLSGTAFDRYCIVLFAGIAAEALVYGEAEGGENDENLFRSICLLLQPPLSVAEMSNQARWSVLQSYNLLKWHKQAHKAAVKALESGHSLSIVIRRIEEALLSSS >DRNTG_05397.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:25037797:25053914:1 gene:DRNTG_05397 transcript:DRNTG_05397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNTIKEIQCKSNESIKKHPRWSCRWSCGESSTRRPKIPSSGIGYASIEAPLPTFFLMESRCRSRKTSPKPWPIPFETLAEVLLQVGEKMEKRIPKSGLKSALNRAGIGRPCGNST >DRNTG_06606.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:563954:565913:1 gene:DRNTG_06606 transcript:DRNTG_06606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWKQCSPTIDEATTPRSWKQSSTTSSISTVRSQYRQHHRANKLGNSLITSHKATCARAKFHRNRAFQEIQHRVLLFLLQCPVRAPRFTSTDFLLEKKHNTINVRSISLPTHSFSSLLRNDNLFFTRFPRANKLRNSLITSHKATYARAKFHRNRAFQEIPHRVLLFLLQCPVMAPRSTSTDFLLEKKHNTISVRSISLPTHSFSSLLRNDNLFFTRFPTLFLILFLSRRTTIGRTHSFICLPISSGWIS >DRNTG_32312.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001821.1:106585:109306:-1 gene:DRNTG_32312 transcript:DRNTG_32312.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLRADEISNIIRERIEQYNIGVKIVNTGTVLQVGDGIARIHGLDEVMAGELVEFEEGTVGIALNLESNNVGVVLMGDGLMIQEGSSVKATGRIAQIPVSEAYLGRVINALAKPIDGRGEISASESRLIESPAPGIISRRSVYEPLQTGLIAIDAMIPIGRGQRELIIGDRQTGKTAVATDTILNQKGQNVICVYVAIGQKASSVAQVVTTFQERGAMEYTIVVAETADSPATLQYLAPYTGAALAEYFMHREQHT >DRNTG_23477.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2835453:2844379:-1 gene:DRNTG_23477 transcript:DRNTG_23477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLTARDVKRGTEAVEKLKDSGFSDVVFHQLDVSDTASISTLADFIKTQFGKLDILVIDLNHDFF >DRNTG_32676.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1191431:1192171:1 gene:DRNTG_32676 transcript:DRNTG_32676.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLMCTATDMAVLCGDDREVCYSKYGSYPLKGKYCHEMALRILLASIESHANRYKRHIVPVLSVYMDFYIRVFVRIFTSGSAMKETPLKLSYVYQCVGCDSFHLQCLGRTFTKNNNVRYA >DRNTG_32676.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1189967:1191380:1 gene:DRNTG_32676 transcript:DRNTG_32676.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIVGTAFKLPRKPSKSNLSFFSSAQNSERSNLALEIEGCRVIKEGEAQVLLDDSNGVFCNKAQVNNRDMSIAVLRTFIAKRKEEHASKFCRENVNDPLKSHQELKEPMVLEALAASGLRAIRYAQEVEGISQVIALDSDKASVDACKRNIQFNGSVASSKVEVHLVDARVHMLTHPKEFDVVCNSSNSCIPL >DRNTG_32676.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1189967:1192109:1 gene:DRNTG_32676 transcript:DRNTG_32676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIVGTAFKLPRKPSKSNLSFFSSAQNSERSNLALEIEGCRVIKEGEAQVLLDDSNGVFCNKAQVNNRDMSIAVLRTFIAKRKEEHASKFCRENVNDPLKSHQELKEPMVLEALAASGLRAIRYAQEVEGISQVIALDSDKASVDACKRNIQFNGSVASSKVEVHLVDARVHMLTHPKEFDVVDIDPYGSPSIFLDSAVQSVADGGMLMCTATDMAVLCGDDREVCYSKYGSYPLKGKYCHEMALRILLASIESHANRYKRHIVPVLSVYMDFYIRVFVRIFTSGSAMKETPLKLSYVYQCVGCDSFHLQCLGRTFTKVHFLFSTHFT >DRNTG_35050.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20262763:20265788:-1 gene:DRNTG_35050 transcript:DRNTG_35050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTLITSPTTDHQPTSSIPCKKRRNLPGTPDPEAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRSSKEMKKKVYICPEITCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVHSDWKAHSKICGTREYKCDCGTIFSRRDSFITHRAFCDALQEESNEKFSKELQDISPTWLPLPQAQSACMSATALLQKAAQMGAIMSTNSNNTPPFQEQMASHTFTQASSFHGSSPFEEPFGGIYGFWERREKD >DRNTG_30821.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19721137:19724386:1 gene:DRNTG_30821 transcript:DRNTG_30821.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSVSPTRYKVAYPPRRKLATEFADKLKETFFSDDPLRPYKDQTRARKVGIVFQYLFPILEWSKGYNLSKFKGDLIAGLTIASLCIPQDIGYAKLANLDPKYGLYSSFVPPLIYAVMGSSRDIAIGPVAVVSLLLGTLLKDEIDPVKDNEAYVRLAFTATFFTGITQAALGFFRLGFLIDFLSHAAIVGFMAGAAITIALQQLKGFLGIKNFTQKTDIISVMQSVWGSVHHGWNWQTVLIGASFLAFLLLSKYIGKKKRSLFWIPAIAPLISVIVATFFVYITRADENGVQIVKHIQRGINPSSVHKIYFDGRMMLKGFKIGVIAGLIGLTEAIAIGRTFAGMKDYQLDGNKEMVALGTMNVIGSMTSCYVTTGSFSRSAVNYMAGCHTTVSNIVMSLIVLLTLEVITPLFKYTPNAILSSIIISAVIGLIDYEAAILIWKIDKFDFLACMGAFFGVIFKSVEIGLLIAIAISFAKILLQVTRPRTALLGNLPQTTIYRNIDQYPEATRVSGVLIVRVDSAIYFSNSNYIKERILRWLRDEEELLKSNNQQRIEHLIVEMSPMIDIDTSGIHALEDLFKSLQKRNIQLILANPGTVVIEKLESSKLTNLIGQDNIFLTVSEAVMSVAPKAMEEV >DRNTG_08705.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18060083:18063613:1 gene:DRNTG_08705 transcript:DRNTG_08705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSLDSYLFDENQKLKWEKLHEIAIGTAKGIRYLHEECQKKIVHYDIKPGNVLLDTNFSPKVADFGLARLCDRDNSHISLTGGRGTPGYAAPELWMPYPVTHKCDVYSYGMLLFEILGRRRNLILSQAESQEWFPRWIWHKFEGGELDGVMTNCRIEHSNRDKAERMCKVALWCVQYQPDTRPSMNSVVRMLEGEEEIIAPTNPFQYMMSFDGSSSQWSESRGYSTSTTTATNESEANSLIHQNQQ >DRNTG_08705.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18061071:18063613:1 gene:DRNTG_08705 transcript:DRNTG_08705.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQARNTSMQTTSVSVVKDETNINMVTIERFLADIVKERPVRFTTQNIIDFTQNFEQKLGSGGFGIVYKGQLPNGVQIAVKVLHKTQDKRAEEQFMAEIGTIGRTYHINLVQLYGFCFDDTLKALIYEYMEKGSLDSYLFDENQKLKWEKLHEIAIGTAKGIRYLHEECQKKIVHYDIKPGNVLLDTNFSPKVADFGLARLCDRDNSHISLTGGRGTPGYAAPELWMPYPVTHKCDVYSYGMLLFEILGRRRNLILSQAESQEWFPRWIWHKFEGGELDGVMTNCRIEHSNRDKAERMCKVALWCVQYQPDTRPSMNSVVRMLEGEEEIIAPTNPFQYMMSFDGSSSQWSESRGYSTSTTTATNESEANSLIHQNQQ >DRNTG_33491.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002008.1:1160:1678:1 gene:DRNTG_33491 transcript:DRNTG_33491.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEFRRFAPNVPINLVGTKLDPHDDRGYLADHLGVVAITPAQGEELRKQIGASAYIECNFKTQQNVKVVFDTAIKVVLQPP >DRNTG_11581.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:12499135:12504791:1 gene:DRNTG_11581 transcript:DRNTG_11581.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-amylase 2 [Source:Projected from Arabidopsis thaliana (AT5G45300) TAIR;Acc:AT5G45300] MVLYTWFLLCGKPHELLQAPFPVRSAESPLSATSLKNCHAKASLDHQASVFRIEESLSPASIDSVVVAESGIIKNEKYVNPSPINSLECVEADQLMRDSVEHEGGFVGTPYIPVYVMFPTGIVNSYCQLIDPEAIRQELRHLKSLNIDGVVVDCWWGIVEGWSPHKYQWSGYRDLFNIVNEFKLKLQVVMAFHECGGNGTGDMPISLPKWVLEIGKDNQDIFFTDREGRRNTECLSWGIDKERVLRGRTGIEVYFDFMRSFRMEFDDLFGDGLIAAVEIGLGASGELKYPSFPERMGWRYPGIGEFQCYDKYLQQNLRRAAKLRGHTFWAKGPDNAGQYNSRPHETGFFCDRGDYDSYYGRFFLRWYAQTLIDHADQVLSLANLAFEGTQLVIKIPSIYWWYKTTSHAAELTAGFYNPTNQDGYSPIFDMLKKHSVTLKFVCPGTQSSAQEHEAFADSEGLSWQVLNSAWDRDLTVCGQNSLPCYDREMFVRILDTAKPKNNPDHRHLAFFTFQHLSPIFMQREMCLLELDNFIKSMHGELTAYVEA >DRNTG_11581.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:12499237:12504791:1 gene:DRNTG_11581 transcript:DRNTG_11581.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-amylase 2 [Source:Projected from Arabidopsis thaliana (AT5G45300) TAIR;Acc:AT5G45300] MLAGLRQYGNFPLPARADMNDVLAALAREAGWAVDPDGTTYRTSPVALVPTPPPPPPPGQLAPFPVRSAESPLSATSLKNCHAKASLDHQASVFRIEESLSPASIDSVVVAESGIIKNEKYVNPSPINSLECVEADQLMRDSVEHEGGFVGTPYIPVYVMFPTGIVNSYCQLIDPEAIRQELRHLKSLNIDGVVVDCWWGIVEGWSPHKYQWSGYRDLFNIVNEFKLKLQVVMAFHECGGNGTGDMPISLPKWVLEIGKDNQDIFFTDREGRRNTECLSWGIDKERVLRGRTGIEVYFDFMRSFRMEFDDLFGDGLIAAVEIGLGASGELKYPSFPERMGWRYPGIGEFQCYDKYLQQNLRRAAKLRGHTFWAKGPDNAGQYNSRPHETGFFCDRGDYDSYYGRFFLRWYAQTLIDHADQVLSLANLAFEGTQLVIKIPSIYWWYKTTSHAAELTAGFYNPTNQDGYSPIFDMLKKHSVTLKFVCPGTQSSAQEHEAFADSEGLSWQVLNSAWDRDLTVCGQNSLPCYDREMFVRILDTAKPKNNPDHRHLAFFTFQHLSPIFMQREMCLLELDNFIKSMHGELTAYVEA >DRNTG_17449.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2106205:2114095:-1 gene:DRNTG_17449 transcript:DRNTG_17449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVCSPLSYPPTVHHLNSLLFACILAGNHLEASRIFRDFPVQYNIMTNLEIYNTIIKSFCEAGYSRSVFSVLDEMLRGATLIAAVIYFTLMHYLCLGGDFDAVLEVCKESMKRVLKSTRDWILPCFKMMKMLVCGLASKYKVEEAREIIEKVKEKFSSKADMWKEVVRRRVYLPPRLQDFYIINEYFAGWPLRLMCISCLSFLQDNVVKTRSGVFWKAVSSLVREGTSFAKVVSPPSDQKSSEVVQRMPIDGPVDAKDNWVTVQPHRSKHSPNPADASKGSLSPPESFLPVAGSKRPRSPPSCNHCLRSTHKTSECRHRITCKRCGGAGHLAANCRVELPSPPRQRRVRPKAKESFSSAEDTSHASRYLDGDSLSKPRWQRSHLSISLSQETTKLRRELNKIVVIDIISGQTNEELLLEFLPGALNTPRVDAVHDFKGNSYLATLCSEAEAIKASKIGELSFSSKLGPCVLSIKPWSAEIGSVGSASGKAQVLLIWNLPLHAWTWTVLVELLKPVGELVAIPQPSKPHKSFISVLVRCRNRVLLPHEIILSFGMRKFIVLITDNHLPFPTFRRDLDNLDKGKETYIDGNPDCDISVLAPPLQRGAQVWRPRSQLRPTRLVSSAPGVGNSSSELARPESFAPGASNLIDVACPVSSAPGASGCRRIEPDSQISDRRRTDGPLDQGVSTGPLSLHALVRPLHASPEITCAVDHPLVEVSRKQADISGEGNSEGRGVTPGVEAEMAFSQLPRDEDSADPTKILGSIEVVGHRSSGPDVCEEDIAHFVPLKNIGPPLLGPTPAENEKNFVNIKTAQVGPNPLAHAMPANHEDQLPSQSSTEENSGNLDSFTDPAHPPISFTRPPNFDWVFIHGFWTLVPAKFSKELTTRSLTDDESALQENMETWGDRNIVDDNIHVPATQLSEDSGKEGDFIDSQEMEDSESDFEEKIKRLLHSGQEADAGHGTRRSERRKKPSSRWNEEAGFIPQPPRSTKKKGGTSSTPKGTALTPLLINDWTDLQLATYCNACGISFDSLHHRDKCLSHLRMLESNRSTTLNAEARPSSVGPAARPN >DRNTG_02007.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000099.1:62192:65433:-1 gene:DRNTG_02007 transcript:DRNTG_02007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRRSRAPWRGFQGQGVEHDRRPLLSP >DRNTG_28900.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:594207:599340:-1 gene:DRNTG_28900 transcript:DRNTG_28900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKADPSLFVNDGSFMEKFKQLQQEQLDQMAKEPKNSAASTTVPYPPKSGLIMGKRPLDVKATESKKPSNSSSNVKLAFSLKQKSKIAVAPVKLCGDEEEEGEDLGLTLSEQSGKKQKLEESTESSMEQKDVASIPSDPAVKKVADKLASFVAKNGRQFEHITRQRNPGDTPFKFLFDTSCMDYKYYEQRLLEEERILAQAKDSNSSRAANAGSSTPRASNSHRSPVQQPSNYQTPASALYECNNDPVSSHASAGKSSIYDGESSGRPPSDRIAMMEFYMNKAAQEERKRQPRQSKDEMPPPASLQGPMKKGHHMGDYIPQEELEKFMANCNDAAAQKAAKEAAEKAKIQADNVGHKLLSKMGWKEGEGLGSDKHGRADPVMAGNVKLNNLGIGAQHPGEVTEEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >DRNTG_28900.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:594207:599340:-1 gene:DRNTG_28900 transcript:DRNTG_28900.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKADPSLFVNDGSFMEKFKQLQQEQLDQMAKEPKNSAASTTVPYPPKSGLIMGKRPLDVKATESKKPSNSSSNVKLAFSLKQKSKIAVAPVKLCGDEEEEGEDLGLTLSEQSGKKQKLEESTESSMEQKDVASIPSDPAVKKVADKLASFVAKNGRQFEHITRQRNPGDTPFKFLFDTSCMDYKYYEQRLLEEERILAQAKDSNSSRAANAGSSTPRASNSHRSPVQQPSNYQTPASALYECNNDPVSSHASAGKSSIYDGESSGRPPSDRIAMMEFYMNKAAQEERKRQPRQSKDEMPPPASLQGPMKKGHHMGDYIPQEELEKFMANCNDAAAQKAAKEAAEKAKIQADNVGHKLLSKMGWKEGEGLGSDKHGRADPVMAGNVKLNNLGIGAQHPGEVTEEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >DRNTG_28900.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:594207:599340:-1 gene:DRNTG_28900 transcript:DRNTG_28900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDYKYYEQRLLEEERILAQAKDSNSSRAANAGSSTPRASNSHRSPVQQPSNYQTPASALYECNNDPVSSHASAGKSSIYDGESSGRPPSDRIAMMEFYMNKAAQEERKRQPRQSKDEMPPPASLQGPMKKGHHMGDYIPQEELEKFMANCNDAAAQKAAKEAAEKAKIQADNVGHKLLSKMGWKEGEGLGSDKHGRADPVMAGNVKLNNLGIGAQHPGEVTEEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >DRNTG_28900.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:594207:599340:-1 gene:DRNTG_28900 transcript:DRNTG_28900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYKYYEQRLLEEERILAQAKDSNSSRAANAGSSTPRASNSHRSPVQQPSNYQTPASALYECNNDPVSSHASAGKSSIYDGESSGRPPSDRIAMMEFYMNKAAQEERKRQPRQSKDEMPPPASLQGPMKKGHHMGDYIPQEELEKFMANCNDAAAQKAAKEAAEKAKIQADNVGHKLLSKMGWKEGEGLGSDKHGRADPVMAGNVKLNNLGIGAQHPGEVTEEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >DRNTG_28900.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:594207:599340:-1 gene:DRNTG_28900 transcript:DRNTG_28900.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKADPSLFVNDGSFMEKFKQLQQEQLDQMAKEPKNSAASTTVPYPPKSGLIMGKRPLDVKATESKKPSNSSSNVKLAFSLKQKSKIAVAPVKLCGDEEEEGEDLGLTLSEQSGKKQKLEESTESSMEQKDVAASIPSDPAVKKVADKLASFVAKNGRQFEHITRQRNPGDTPFKFLFDTSCMDYKYYEQRLLEEERILAQAKDSNSSRAANAGSSTPRASNSHRSPVQQPSNYQTPASALYECNNDPVSSHASAGKSSIYDGESSGRPPSDRIAMMEFYMNKAAQEERKRQPRQSKDEMPPPASLQGPMKKGHHMGDYIPQEELEKFMANCNDAAAQKAAKEAAEKAKIQADNVGHKLLSKMGWKEGEGLGSDKHGRADPVMAGNVKLNNLGIGAQHPGEVTEEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >DRNTG_28900.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:594207:599340:-1 gene:DRNTG_28900 transcript:DRNTG_28900.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDYKYYEQRLLEEERILAQAKDSNSSRAANAGSSTPRASNSHRSPVQQPSNYQTPASALYECNNDPVSSHASAGKSSIYDGESSGRPPSDRIAMMEFYMNKAAQEERKRQPRQSKDEMPPPASLQGPMKKGHHMGDYIPQEELEKFMANCNDAAAQKAAKEAAEKAKIQADNVGHKLLSKMGWKEGEGLGSDKHGRADPVMAGNVKLNNLGIGAQHPGEVTEEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >DRNTG_28900.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:594207:599340:-1 gene:DRNTG_28900 transcript:DRNTG_28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKADPSLFVNDGSFMEKFKQLQQEQLDQMAKEPKNSAASTTVPYPPKSGLIMGKRPLDVKATESKKPSNSSSNVKLAFSLKQKSKIAVAPVKLCGDEEEEGEDLGLTLSEQSGKKQKLEESTESSMEQKDVAASIPSDPAVKKVADKLASFVAKNGRQFEHITRQRNPGDTPFKFLFDTSCMDYKYYEQRLLEEERILAQAKDSNSSRAANAGSSTPRASNSHRSPVQQPSNYQTPASALYECNNDPVSSHASAGKSSIYDGESSGRPPSDRIAMMEFYMNKAAQEERKRQPRQSKDEMPPPASLQGPMKKGHHMGDYIPQEELEKFMANCNDAAAQKAAKEAAEKAKIQADNVGHKLLSKMGWKEGEGLGSDKHGRADPVMAGNVKLNNLGIGAQHPGEVTEEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >DRNTG_28534.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6341998:6349162:-1 gene:DRNTG_28534 transcript:DRNTG_28534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHEDEDSEHGEVFLDEDDVVQVIPVDDEELPDRDDDLDSDVDHEEADDSMHTFTGHSDELYAVACSPTDATLVATGGKDDKGFLWRIGSADLNLELQGHKDTVSTLGFSYDGRYLASGSFDGIIQIWDTSLGNLKCTLEGPQGSVEWIKWHPRGYLILAGSEDSNVWMWNAEKNAYLNMFSGHSSAVTCGDFTPDGKSICTGSDDQSLRIWNPKTGQSIHVVRGHPYHTDGLTCMSITSDSAFAITGAKDSSVHMVNITTGKVISSLLAHSDSIECISLSSSYPWAATGSIDQKLIIWDLQRSSPRCTCDHEEGVTCLVWLGTTQYIATGCVDGKVRIWNSLSGDCAQTFNGHLDVVQALAVSADGNSLVSVSNDHTARVFGISEFR >DRNTG_24373.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17070700:17077887:1 gene:DRNTG_24373 transcript:DRNTG_24373.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTIEKVQSPLTFDSAFGTSLLDEEEENIGQFLYLEGIDYIMWNTYDVHFYSSFALLQLFPKLELSIQRDFAAAVMMHDPEKRKVFGGEWVPRKVLGSVPHDLGLGDPWCELNAYVLHNTSRWKDLNPKFVLQVYRDIVATGDIKFARAVWPSVYVAMAYMDQFDKDNDGMIENEGFPDQTYDIWSVFGVSAYTGGIWVAALQASSAMARIVGDQASETYFWNRYQKAKTVYEKLWNGSYFNYDSSNSEASSYIQADQLAGQWYSRACGLQPIVDETRAQIALKKVFDFNVLKVKEGKVGAVNGIKPDGNLDDAFLQAKEIWTGVTYAVAASMIQEGMRESAFKTAQGIYEAGWSQEGFGYSFQIPESWTVNGEYRSLNYMRPLGIWAMQWALDSPKKHFQMEPRSDYSNEDDAEGHATFSRVANFLRLPEERAEKSNLRVIFDIICEKLWSR >DRNTG_24373.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17070700:17073029:1 gene:DRNTG_24373 transcript:DRNTG_24373.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLENGFDVRNEDCMPTGQIDPGQPPKKTWNRQIVAQAKNLTEVPLSLKDWFMMGPFFLELCWYYVEQRAKAEAVIYNPFKKPGANSSNGVPLGGVGVGSIGRSYNGYFQQWQLFPGKCEEQPVLANQFSVSSIFSL >DRNTG_24373.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17070700:17073029:1 gene:DRNTG_24373 transcript:DRNTG_24373.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVSGFKKQDCLGFNMLENGFDVRNEDCMPTGQIDPGQPPKKTWNRQIVAQAKNLTEVPLSLKDWFMMGPFFLELCWYYVEQRAKAEAVIYNPFKKPGANSSNGVPLGGVGVGSIGRSYNGYFQQWQLFPGKCEEQPVLANQFSVSSIFSL >DRNTG_24373.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17073194:17077887:1 gene:DRNTG_24373 transcript:DRNTG_24373.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTIEKVQSPLTFDSAFGTSLLDEEEENIGQFLYLEGIDYIMWNTYDVHFYSSFALLQLFPKLELSIQRDFAAAVMMHDPEKRKVFGGEWVPRKVLGSVPHDLGLGDPWCELNAYVLHNTSRWKDLNPKFVLQVYRDIVATGDIKFARAVWPSVYVAMAYMDQFDKDNDGMIENEGFPDQTYDIWSVFGVSAYTGGIWVAALQASSAMARIVGDQASETYFWNRYQKAKTVYEKLWNGSYFNYDSSNSEASSYIQADQLAGQWYSRACGLQPIVDETRAQIALKKVFDFNVLKVKEGKVGAVNGIKPDGNLDDAFLQAKEIWTGVTYAVAASMIQEGMRESAFKTAQGIYEAGWSQEGFGYSFQIPESWTVNGEYRSLNYMRPLGIWAMQWALDSPKKHFQMEPRSDYSNEDDAEGHATFSRVANFLRLPEERAEKSNLRVIFDIICEKLWSR >DRNTG_24373.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17070700:17073029:1 gene:DRNTG_24373 transcript:DRNTG_24373.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLENGFDVRNEDCMPTGQIDPGQPPKKTWNRQIVAQAKNLTEVPLSLKDWFMMGPFFLELCWYYVEQRAKAEAVIYNPFKKPGANSSNGVPLGGVGVGSIGRSYNGYFQQWQLFPGKCEEQPVLANQFSVSSIFSL >DRNTG_12892.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000582.1:13325:16234:-1 gene:DRNTG_12892 transcript:DRNTG_12892.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSIDIVEKFLRNQQTLVPTRYSYTDIITMTSHFKEKLGQGGFGSVFKGRLSWDKLVAVKMLTNSKHNAGEDFINEVSTIGMVHHINVVKLIGFCSDGMQRALIYEYMPNGSLDKFIFSSNNGPNHKFSLDKLIEIALGVARGLDYLHKGCDMQILHFDIKSHNILLDHNFNPKLSDFGLAKQYPKNNNLVSVSVARGTIGYIAPELISRSFGVISHKCDVYSFGMLLLEMTGGRKNADLKAENTSQVYYPSWIYDKLVNHTVDHDMVEIDASFVIDEREKKLCIIGLWCIQIRPSDRPSMNKVIEMLEGDVDSLQMPPKPFFSEPTQIPSKVSCLSTDDGELTTISEDANEINY >DRNTG_12892.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000582.1:13325:16234:-1 gene:DRNTG_12892 transcript:DRNTG_12892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCRFIAMFPNNDNINWWYPYSGQESVDIFKFLAKGFTFHRILSRSTSIRYCLRKSLRETRQDITDHRNSIKQRILSIVHGMESNFLRCLEIDGSWKNYYLVISTIYIVVVVLQIAKTLTVIAVLGRCVFAPLTVCAFLFNKLYQMMSSIDIVEKFLRNQQTLVPTRYSYTDIITMTSHFKEKLGQGGFGSVFKGRLSWDKLVAVKMLTNSKHNAGEDFINEVSTIGMVHHINVVKLIGFCSDGMQRALIYEYMPNGSLDKFIFSSNNGPNHKFSLDKLIEIALGVARGLDYLHKGCDMQILHFDIKSHNILLDHNFNPKLSDFGLAKQYPKNNNLVSVSVARGTIGYIAPELISRSFGVISHKCDVYSFGMLLLEMTGGRKNADLKAENTSQVYYPSWIYDKLVNHTVDHDMVEIDASFVIDEREKKLCIIGLWCIQIRPSDRPSMNKVIEMLEGDVDSLQMPPKPFFSEPTQIPSKVSCLSTDDGELTTISEDANEINY >DRNTG_12892.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000582.1:13325:16234:-1 gene:DRNTG_12892 transcript:DRNTG_12892.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSIDIVEKFLRNQQTLVPTRYSYTDIITMTSHFKEKLGQGGFGSVFKGRLSWDKLVAVKMLTNSKHNAGEDFINEVSTIGMVHHINVVKLIGFCSDGMQRALIYEYMPNGSLDKFIFSSNNGPNHKFSLDKLIEIALGVARGLDYLHKGCDMQILHFDIKSHNILLDHNFNPKLSDFGLAKQYPKNNNLVSVSVARGTIGYIAPELISRSFGVISHKCDVYSFGMLLLEMTGGRKNADLKAENTSQVYYPSWIYDKLVNHTVDHDMVEIDASFVIDEREKKLCIIGLWCIQIRPSDRPSMNKVIEMLEGDVDSLQMPPKPFFSEPTQIPSKVSCLSTDDGELTTISEDANEINY >DRNTG_09769.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20237683:20240383:1 gene:DRNTG_09769 transcript:DRNTG_09769.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIADMSSGSGSSKKARTPNNNGRQIASCLVDGCMSDLSKCREYHRRHKVCETHSKTQMVIVSGKEQRFCQQCSRFHLLTEFDEVKRSCRKRLDGHNRRRRKPQPDSLNSATFFTNHQGGRFTSYSPMYPMTAQSPAWPGIIKIENKPLYPNQQQLSFISKQEELPNSFSISFKDGKKFPFLQYGNTEDSVSTRQNSGFKAFPDGIARRALSLLSSPTQAAAMNFGQMVAGDYIPFGQPLISNLPYSGLAQYSSAQASSSVSPPPGLSCSGITDNHGSISLVSDSTNTDLHCHTDLPFWQ >DRNTG_09769.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20237683:20240383:1 gene:DRNTG_09769 transcript:DRNTG_09769.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDLKMPSWDFVEVEQCAGPNIGSLDCSVDLKLGGLCDDWNKKKNKKDSQINMAEIADMSSGSGSSKKARTPNNNGRQIASCLVDGCMSDLSKCREYHRRHKVCETHSKTQMVIVSGKEQRFCQQCSRFHLLTEFDEVKRSCRKRLDGHNRRRRKPQPDSLNSATFFTNHQGGRFTSYSPMYPMTAQSPAWPGIIKIENKPLYPNQQQLSFISKQEELPNSFSISFKDGKKFPFLQYGNTEDSVSTRQNSGFKAFPDGIARRALSLLSSPTQAAAMNFGQMVAGDYIPFGQPLISNLPYSGLAQYSSAQASSSVSPPPGLSCSGITDNHGSISLVSDSTNTDLHCHTDLPFWQ >DRNTG_09769.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20237683:20240383:1 gene:DRNTG_09769 transcript:DRNTG_09769.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWDFVEVEQCAGPNIGSLDCSVDLKLGGLCDDWNKKKNKKDSQINMAEIADMSSGSGSSKKARTPNNNGRQIASCLVDGCMSDLSKCREYHRRHKVCETHSKTQMVIVSGKEQRFCQQCSRFHLLTEFDEVKRSCRKRLDGHNRRRRKPQPDSLNSATFFTNHQGGRFTSYSPMYPMTAQSPAWPGIIKIENKPLYPNQQQLSFISKQEELPNSFSISFKDGKKFPFLQYGNTEDSVSTRQNSGFKAFPDGIARRALSLLSSPTQAAAMNFGQMVAGDYIPFGQPLISNLPYSGLAQYSSAQASSSVSPPPGLSCSGITDNHGSISLVSDSTNTDLHCHTDLPFWQ >DRNTG_09769.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20237683:20240383:1 gene:DRNTG_09769 transcript:DRNTG_09769.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIADMSSGSGSSKKARTPNNNGRQIASCLVDGCMSDLSKCREYHRRHKVCETHSKTQMVIVSGKEQRFCQQCSRFHLLTEFDEVKRSCRKRLDGHNRRRRKPQPDSLNSATFFTNHQGYMKSIVEHCDMI >DRNTG_14906.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20524507:20528834:1 gene:DRNTG_14906 transcript:DRNTG_14906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRERAKSIQSISLVLVLISAVFVPVLSIDDKCGACNAVAGEIELGLLREKPRNHLDMRHRLDSKGQREGKVIDYKVSELRVVELLDGLCEKMLDYTLEKVDSGKKEWIKVKDWDSLKADKPKARAYSKDLSSYCGRLLEETEDELTEQIKQGSVQPEDVSKILCQDLSKHCRNVSDSTSKDDHKEL >DRNTG_24967.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6589097:6592648:1 gene:DRNTG_24967 transcript:DRNTG_24967.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHFHLFILLLLLLFVCGSSAEHGRGRRYDTGILSRGAFPKGYVFGTAASAYQVEGMARKDGRGPSIWDKFVHIPGEIANNATADVSVDEYHRYKEDVNIMKEMNFDAYRFSISWSRIFPNGVGKVNLKGVAYYNRLIGYMLKQGITPYANLYHYDLPAALEEKYKGLLSPQVVEDFANYADFCFKTFGDRVKNWFTFNEPRVVAALGYDDGKFAPGRCTNCSAGGNSATEPYIVAHHLILSHAAAAKRYREKYQAEQKGRIGILLDFVWYEPLSDSKADEAAAQRSRDFHLGWFLHPIIYGEYPKSMQMIVKERLPKFSPEEVKMVKGSTDYVGINQYTAYYMYAPQVPLPTAVRYQLDWNAGFAYERNGVPIGPRAHSEWLYIVPWGMYKAVTYVKEHYGNPTVILSENGMDDPGNVTLRVGLHDTTRLNYYRSYITELKKAIDDGANVIGYFAWSLLDNFEWKSGYTSRFGLVYIDYKNLRRYPKMSAYWFRQWLNKN >DRNTG_08702.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18052301:18054148:1 gene:DRNTG_08702 transcript:DRNTG_08702.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSLDGYLFDENQKLKWEKLHAIAIGTAKGIRYLHEECQKKIVHYDIKPGNVLLDANFSPKVADFGLARLCDRDNSHVTVTGGGGTPGYAAPELWMPYPVTHKCDVYSYGMLLFEILGRRRNLILGQAESQEWFPRWIWDKFEGGELESVMLNCGIEHNDRDKAERMCKVALWCVQYQPDARPSMNSVVRMLEGEEEIIAPKNPFPYMMPSQWSESRGDSVSTATTTNESE >DRNTG_08702.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18052027:18054148:1 gene:DRNTG_08702 transcript:DRNTG_08702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSLDGYLFDENQKLKWEKLHAIAIGTAKGIRYLHEECQKKIVHYDIKPGNVLLDANFSPKVADFGLARLCDRDNSHVTVTGGGGTPGYAAPELWMPYPVTHKCDVYSYGMLLFEILGRRRNLILGQAESQEWFPRWIWDKFEGGELESVMLNCGIEHNDRDKAERMCKVALWCVQYQPDARPSMNSVVRMLEGEEEIIAPKNPFPYMMPSQWSESRGDSVSTATTTNESE >DRNTG_27716.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3691545:3695271:-1 gene:DRNTG_27716 transcript:DRNTG_27716.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNELDGADQPNNSSSDKSREAGLSIEKICYDKCENIASISVQTTEESVQLLGTSAKPTRRRLEEVKREKRDKRAVNPGQQDDEVIIKPENAAVERSKAVDSAFLGKYSIWRREFDSESSDSTVRLIRDQMIMARVYSSIAKSKNKLGLYLELLRQLKESQRALGDASTDSEIRHSVSQRIKEMGQFLIKARDQLFECKAVTRDLRSMLQSADEQVRGLKKQSTFLSQLVAKTIPNGIHCLSMRLSIDYYLLPPEKRKFPRSENLENPNLYHYALFSDNVLAASVVVNSTIMNAKDPQKHVFHLVTDKLNFGAMNMWFLLNPPGEATIHVENVDDFKWLNSSYCPVLRQLESSAMKEYYFRANHPTTISAGSSNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTGLWSVDLKGNVNGAVETCGESFHRFDKYLNFSNPHISQNFDPNACGWAYGMNLFDLKVWKKKDITGIYHKWQTLVSFQLSIAVFSFHISAGCILLFCIKFFILLFCL >DRNTG_27716.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3691545:3696901:-1 gene:DRNTG_27716 transcript:DRNTG_27716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGNFLLVFALATLIFVVAAWDVGTRITLDQHGIRNSSIKALIATASASGYDKGASTVEFVKENYSSSQMTNELDGADQPNNSSSDKSREAGLSIEKICYDKCENIASISVQTTEESVQLLGTSAKPTRRRLEEVKREKRDKRAVNPGQQDDEVIIKPENAAVERSKAVDSAFLGKYSIWRREFDSESSDSTVRLIRDQMIMARVYSSIAKSKNKLGLYLELLRQLKESQRALGDASTDSEIRHSVSQRIKEMGQFLIKARDQLFECKAVTRDLRSMLQSADEQVRGLKKQSTFLSQLVAKTIPNGIHCLSMRLSIDYYLLPPEKRKFPRSENLENPNLYHYALFSDNVLAASVVVNSTIMNAKDPQKHVFHLVTDKLNFGAMNMWFLLNPPGEATIHVENVDDFKWLNSSYCPVLRQLESSAMKEYYFRANHPTTISAGSSNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTGLWSVDLKGNVNGAVETCGESFHRFDKYLNFSNPHISQNFDPNACGWAYGMNLFDLKVWKKKDITGIYHKWQTLNANRSLWKLGTLPPGLITFYGLTYPLNKSWHVLGLGYNPSIDPAKIKKAAVIHYNGNMKPWLELAMARYRPYWSKYITYNDPYVQTCKLTSVYSQS >DRNTG_19243.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:256463:258396:-1 gene:DRNTG_19243 transcript:DRNTG_19243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMMMRVYAMMMFISFFFCSGLMQVSFAQTTTANSRMVDGKAIDQGIAYVLMMSSMKVYAMIFITFFLSGLMQASLAQATTASSRMVDGKAIDQGIAYVLMLVALLVTYLVH >DRNTG_16996.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000863.1:44708:48912:-1 gene:DRNTG_16996 transcript:DRNTG_16996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASSNPQTQDQDAAIPPKTADALPPPNPNPTDALPAPPLVCLARFAGDSMAGAFLGSIFGYGSGLLKRKGFKGSFAEAGSSAKSFAVFSGVHSLVVCFLKRLRGKDDVINAGVAGCCTGLALSFPGAPQTLLQSCLTLGVFSCIMDGMNKQQTALAQTISMGKISVKDSQGVLPPFTLPLPHDIKEGFAAFCKSLQKHKGASCQ >DRNTG_00555.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30152662:30153708:-1 gene:DRNTG_00555 transcript:DRNTG_00555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDMRLKDFPSFIRTTNRDDIMLNFDGGEAQNAYKAWGVIINTYYELEKDVIDAMKLMFPHLYTIGPLFRFASQIDDEKMKSIGSNLWKEDTTCIDWLDKQKVGSVVYVNFGSITVMTKEQLGEFAWGLANSKHPFLWVIRPDLVAGEKAMLPEGFIEETKGRGVMASWCPQEQVLSHPSLGVFLTHSGWNSTLESICNGVPMICWPFFAEQPTNCRYVCREWGVGIEIDGNVRRDEVEELVREMMEGEKGKEMRLKVKEWKEKSEDTVKYGGSSYESINKLVNDLMIN >DRNTG_11187.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2076343:2080121:-1 gene:DRNTG_11187 transcript:DRNTG_11187.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALITIPSSSFYFTTASKQEFSFINNGKRLSLVGIQRRTCSPLVCKKSSNNGDSKKSKVEPQVLLGRKSFPSGFTFGASSSAYQVEGGASEGGRGPCIWDTFALQHPEKIQDRSSGSVACDSFRKYEEDVKLLKDAGMDAYRFSISWSRILPKGSIKGGINHEGIAYYNNLINELIQNGIKPFVTIFHWDVPQALEDEYGGFLNRRILDDFKDYCEVCFREFGDRVKHWITLNEPWTFSSYGYDTGVFAPGRSSISGNCSLGDSAREPYIVSHNLILAHALAVKLYREKFQDTQKGEVGITLVSHWMTPITNSVQNEQTAERALKFMFGWYMDPLVHGDYPFIMKALVRERLPCFTEEESEMIKGSYDFIGINYYTARYAYSLPLSSNDRPISYNADSYVDLRVSKLDGGLIGEPSGSNWLFVYPDGIRDLLLYTKNKYNDPVIYITENGTSELDSETLSLQEALDDKKRVNYYALHLSKVEEAIRLGVNVKGYFAWSLMDNYEWADGYTMRFGLTYIDYKDGSKRYPKASLQWFTKFLKS >DRNTG_11187.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2076343:2080121:-1 gene:DRNTG_11187 transcript:DRNTG_11187.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALITIPSSSFYFTTASKQEFSFINNGKRLSLVGIQRRTCSPLVCKKSSNNGDSKKSKVEPQVLLGRKSFPSGFTFGASSSAYQVEGGASEGGRGPCIWDTFALQHPEKIQDRSSGSVACDSFRKYEEDVKLLKDAGMDAYRFSISWSRILPKGSIKGGINHEGIAYYNNLINELIQNGIKPFVTIFHWDVPQALEDEYGGFLNRRILDDFKDYCEVCFREFGDRVKHWITLNEPWTFSSYGYDTGVFAPGRSSISGNCSLGDSAREPYIVSHNLILAHALAVKLYREKFQDTQKGEVGITLVSHWMTPITNSVQNEQTAERALKFMFGWYMDPLVHGDYPFIMKALVRERLPCFTEEESEMIKGSYDFIGINYYTARYAYSLPLSSNDRPISYNADSYVDLRVSKLDGGLIGEPVHIHQLAYKLFIHISSLKLY >DRNTG_11187.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2076343:2080121:-1 gene:DRNTG_11187 transcript:DRNTG_11187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLVHGDYPFIMKALVRERLPCFTEEESEMIKGSYDFIGINYYTARYAYSLPLSSNDRPISYNADSYVDLRGITCFPYHFFILIYLFIVLHLT >DRNTG_11187.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2076343:2080121:-1 gene:DRNTG_11187 transcript:DRNTG_11187.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLVHGDYPFIMKALVRERLPCFTEEESEMIKGSYDFIGINYYTARYAYSLPLSSNDRPISYNADSYVDLRGITCFPYHFFILIYLFIVLHLT >DRNTG_03148.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21365330:21365769:-1 gene:DRNTG_03148 transcript:DRNTG_03148.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSPKTGLADRSAASNGAGPHWVQSLRFDLVRVNQENDNPSGS >DRNTG_04211.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20832592:20834556:-1 gene:DRNTG_04211 transcript:DRNTG_04211.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myo-inositol oxygenase 1 [Source:Projected from Arabidopsis thaliana (AT1G14520) TAIR;Acc:AT1G14520] MTIVIEQPLNEAGREEKSLVSGDFVLDGGFVVPQINSFGQTFRDYEAESERKKTVEEFYKNNHINQCYEFVKKMREDYGKLNRVEMSIWECCELLNEFVDESDPDLDEPQIEHLLQTAEAIRKDYPDEDWLHLTGLIHDLGKVLLHKKFGELPQWAVVGDSFPVGCAFDESNVHHKYFKQNPDFGNPKYNTKLGVYSEGCGLGNVLMSWGHDDYMYLVAKENKTTLPSAGLFIIRYHSFYPLHKHGAYSYLMNEEDKENLQWLHIFNKYDLYSKSKVRIDVEKVKPYYLSLIEKYFPAKLRW >DRNTG_03859.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3651253:3656942:1 gene:DRNTG_03859 transcript:DRNTG_03859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTISAGPTTVFLIGSHTNLALLLMTNPQLKKNIEHIYVMGGGVRAKNPTGCCPKNSTSLCMPQQCGDRGNIFTSFHSNPYAEYNMFGDPFAAYQVFHSGIPITLVPLDATNTIPVNKKFFMAFERSQNTYEAQYCFQSLKFTRDTWVGDQFYTSFFMWDSFTSGVAISTMLNAHKSNGENEFAEMHYLNITVITSNKPYGAHDGSNPFFDGRDIPKFNLKKKGVHSGHVQTGVQDPFCIVKGKDKGKCQDGYTKEITGPEAVRVLVAHKAKPNRDAQSPLDREYFISFLTDLNLPQQSGRFNFTTEYPYYREVFYKPTFSDRKLGKPVIFDMDMSAGDFLTLIYLLKVPVELINLKGILVSGNGWANAATIDIIYDVLHMMGRDDIPVGLGNLTAQGTSPLSCTYVKAIPLGHGGFIDSDTLYGLGHTLPRSPRRYTADNSVKHGAPRDTDHPERRQALAFEVWQSVTKAVYPKEKITILTNGPLTNLANILLSDKNATSVIQEVFIVGGHIPKDNEQNGNIFTIPSNKYAEFNMFLDPLAAKTVLESDLKITLIPLNAQRKVTSYPTMLNRLELVYKTPEAKFAHKLLSLLHNLQRINKLYHHMDIFLGEILGAVVMVQQDKLNPKLQIQRISVSVGNIAKDGQLVIDKKHGKLVSILDGVDSEAYYEQYTDLLGAKTQSAVIGSFNEQKSLWSQPPNGTQAKQEHRIFLR >DRNTG_03859.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3653123:3656942:1 gene:DRNTG_03859 transcript:DRNTG_03859.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFERSQNTYEAQYCFQSLKFTRDTWVGDQFYTSFFMWDSFTSGVAISTMLNAHKSNGENEFAEMHYLNITVITSNKPYGAHDGSNPFFDGRDIPKFNLKKKGVHSGHVQTGVQDPFCIVKGKDKGKCQDGYTKEITGPEAVRVLVAHKAKPNRDAQSPLDREYFISFLTDLNLPQQSGRFNFTTEYPYYREVFYKPTFSDRKLGKPVIFDMDMSAGDFLTLIYLLKVPVELINLKGILVSGNGWANAATIDIIYDVLHMMGRDDIPVGLGNLTAQGTSPLSCTYVKAIPLGHGGFIDSDTLYGLGHTLPRSPRRYTADNSVKHGAPRDTDHPERRQALAFEVWQSVTKAVYPKEKITILTNGPLTNLANILLSDKNATSVIQEVFIVGGHIPKDNEQNGNIFTIPSNKYAEFNMFLDPLAAKTVLESDLKITLIPLNAQRKVTSYPTMLNRLELVYKTPEAKFAHKLLSLLHNLQRINKLYHHMDIFLGEILGAVVMVQQDKLNPKLQIQRISVSVGNIAKDGQLVIDKKHGKLVSILDGVDSEAYYEQYTDLLGAKTQSAVIGSFNEQKSLWSQPPNGTQAKQEHRIFLR >DRNTG_03859.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3652701:3653049:1 gene:DRNTG_03859 transcript:DRNTG_03859.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTISAGPTTVFLIGSHTNLALLLMTNPQLKKNIEHIYVMGGGVRAKNPTGCCPKNSTSLCMPQQCGDRGNIFTSFHSNPYAEYNMFGDPFAAYQ >DRNTG_04018.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29253149:29254578:1 gene:DRNTG_04018 transcript:DRNTG_04018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYSSSSLTFAIVSFAYLLLLGSSSAQLSTNFYSSSCPNLCSTVKPVLQSAINKDKRMGASILRLFFHDCFTNGCDGSLLLDDTSSFTGEKTANANLNSARGFDVIDNIKTAVEKACPGVVSCADILAIAARDSVVLLGGPNWSVKLGRRDARTASKSVANNNIPQPTQSLSALISKFSAQGLSAKEMVALVGGHTIGQARCTSFRGHIYNDTDIDSSFAKLRQANCPRASGSGDNNLAPLDLQTPTAFDNYYYKNLVNKKGLLHSDQQMFDGGSTDSQVRSYSNNQQAFFSDFVAAMIKMGDIKPLTGSTGEIRKNCRKIN >DRNTG_08315.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000377.1:5782:8917:1 gene:DRNTG_08315 transcript:DRNTG_08315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINTRMVDGVHRPKSETKYTQEELLVMKTQDIGYVLQKLQSEKKKIDLLSSALHLLDNQPPNKHVHFAEDREEAREIKSRPSQNSKPVFEDVPKRIKKKMAASYKELEERKKRVQELEKLYNDMSLQKELQKPGRKRKLREDEVLDPSSRPVYKWRAERKR >DRNTG_12711.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13935704:13948775:1 gene:DRNTG_12711 transcript:DRNTG_12711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVERQRTNAQDTGPSLYAESTFESESESEPEQESMSHRPYLEARFEEFRTEVYQQLQVLEHGHRDLVALLSRIESNTANILTFLRSSSSSVGTAFAATTCVASSFPSYL >DRNTG_11564.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8000824:8002806:-1 gene:DRNTG_11564 transcript:DRNTG_11564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGAANSDDAFYRYKMPKMITKIEGRGNGIKTNVVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGVSLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEIIITKTQMLNLKCAACGFISDVDMRDKLTTFILKNPPEVKKGTKDKKALRRAEKERLKEGEAADEEQKKLKKEVKKKGAVASKNGTSSKATTAPSKKKAGAGSDEDHHSSSPNGSQAGDNDNDDDGDDVQWQTDTSLEAARQRIQEQLSAVTAEMVMLSTDEAKQEKKLPPKAEKEHPTNGNAESKVSEADNSVQANNYDNLLAEIKGNLKKGSSPSQLKTALSSLPGSPVEVMNALFEALFDGAGKGFAKEVTKKKNYLTAFVQDEESQMLLLGAVESFCQKASADAVKEVALALKVLYDIDALEEDSIIQWYEAGLVGDNKNLPVWKNVKPFVHWLQSAESESEEE >DRNTG_32253.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3352523:3353858:1 gene:DRNTG_32253 transcript:DRNTG_32253.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTRAVVLVLLSAAGALFLLRLLPSPPPSCSCPPSLSSSSSSSVPHEDPISPSSSDLQWLKSLIKLNSLADPPSSPSGWHSLRKGINPRSRAQQLEDLVHFKGISHYEDANASSHTPLSCPPELLVEEHHSNYGEPWAGGRDVFEFLASTTSLSPSAHVLEIGCGTLRVGLHFIRHLLPSHFHCLERDDLSLHAALRYELPSHGLLHKRPLDLEGR >DRNTG_32253.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3352575:3353858:1 gene:DRNTG_32253 transcript:DRNTG_32253.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTRAVVLVLLSAAGALFLLRLLPSPPPSCSCPPSLSSSSSSSVPHEDPISPSSSDLQWLKSLIKLNSLADPPSSPSGWHSLRKGINPRSRAQQLEDLVHFKGISHYEDANASSHTPLSCPPELLVEEHHSNYGEPWAGGRDVFEFLASTTSLSPSAHVLEIGCGTLRVGLHFIRHLLPSHFHCLERDDLSLHAALRYELPSHGLLHKRPLDLEGR >DRNTG_32253.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3352523:3353895:1 gene:DRNTG_32253 transcript:DRNTG_32253.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTRAVVLVLLSAAGALFLLRLLPSPPPSCSCPPSLSSSSSSSVPHEDPISPSSSDLQWLKSLIKLNSLADPPSSPSGWHSLRKGINPRSRAQQLEDLVHFKGISHYEDANASSHTPLSCPPELLVEEHHSNYGEPWAGGRDVFEFLASTTSLSPSAHVLEIGCGTLRVGLHFIRHLLPSHFHCLERDDLSLHAALRYELPSHGLLHKRPLDLEGR >DRNTG_32253.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3352575:3354035:1 gene:DRNTG_32253 transcript:DRNTG_32253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTRAVVLVLLSAAGALFLLRLLPSPPPSCSCPPSLSSSSSSSVPHEDPISPSSSDLQWLKSLIKLNSLADPPSSPSGWHSLRKGINPRSRAQQLEDLVHFKGISHYEDANASSHTPLSCPPELLVEEHHSNYGEPWAGGRDVFEFLASTTSLSPSAHVLEIGCGTLRVGLHFIRHLLPSHFHCLERDDLSLHAALRYELPSHGLLHKRPLDLEGR >DRNTG_32253.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3352575:3353895:1 gene:DRNTG_32253 transcript:DRNTG_32253.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTRAVVLVLLSAAGALFLLRLLPSPPPSCSCPPSLSSSSSSSVPHEDPISPSSSDLQWLKSLIKLNSLADPPSSPSGWHSLRKGINPRSRAQQLEDLVHFKGISHYEDANASSHTPLSCPPELLVEEHHSNYGEPWAGGRDVFEFLASTTSLSPSAHVLEIGCGTLRVGLHFIRHLLPSHFHCLERDDLSLHAALRYELPSHGLLHKRPLDLEGR >DRNTG_31850.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001754.1:58384:60796:-1 gene:DRNTG_31850 transcript:DRNTG_31850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSCFASPLHHQKSKKATQQPDGATHSYSKVISQDKGLKTSTASVDSKAKIEFKNASSSSAELITIPSSFESCQKSGDLQSGSCVEGSSSEFILMGGLKKSVSVGNVLDKERNFSYDDITEDEARSPERIMDRHIDSSRHTDSAESNEHGDFAAAHNQHEFLFSVGVMKHLDGDIPDHSCEHSANHSIESPHLSADTPTGLVKSRSLTNLRVDSDSSTDAAFASRIDVYHVQKSF >DRNTG_31850.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001754.1:58384:61327:-1 gene:DRNTG_31850 transcript:DRNTG_31850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSCFASPLHHQKSKKATQQPDGATHSYSKVISQDKGLKTSTASVDSKAKIEFKNASSSSAELITIPSSFESCQKSGDLQSGSCVEGSSSEFILMGGLKKSVSVGNVLDKERNFSYDDITEDEARSPERIMDRHIDSSRHTDSAESNEHGDFAAAHNQHEFLFSVGVMKHLDGDIPDHSCEHSANHSIESPHLSADTPTGLVKSRSLTNLRVDSDSSTDAAFASRIDVYHVQKSF >DRNTG_31850.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001754.1:58384:59549:-1 gene:DRNTG_31850 transcript:DRNTG_31850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSCFASPLHHQKSKKATQQPDGATHSYSKVISQDKGLKTSTASVDSKAKIEFKNASSSSAELITIPSSFESCQKSGDLQSGSCVEGSSSEFILMGGLKKSVSVGNVLDKERNFSYDDITEDEARSPERIMDRHIDSSRHTDSAESNEHGDFAAAHNQHEFLFSVGVMKHLDGDIPDHSCEHSANHSIESPHLSADTPTGLVKSRSLTNLRVDSDSSTDAAFASRIDVYHVQKSF >DRNTG_31850.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001754.1:58384:60254:-1 gene:DRNTG_31850 transcript:DRNTG_31850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSCFASPLHHQKSKKATQQPDGATHSYSKVISQDKGLKTSTASVDSKAKIEFKNASSSSAELITIPSSFESCQKSGDLQSGSCVEGSSSEFILMGGLKKSVSVGNVLDKERNFSYDDITEDEARSPERIMDRHIDSSRHTDSAESNEHGDFAAAHNQHEFLFSVGVMKHLDGDIPDHSCEHSANHSIESPHLSADTPTGLVKSRSLTNLRVDSDSSTDAAFASRIDVYHVQKSF >DRNTG_27671.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001403.1:37340:42479:1 gene:DRNTG_27671 transcript:DRNTG_27671.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:La-related protein 6A [Source:Projected from Arabidopsis thaliana (AT5G46250) UniProtKB/Swiss-Prot;Acc:Q94A38] MKKDKAGFVPIALIASFRKMKKLVQDLCLIEAALRTSTELVVSSDGKRVKRSKPLSIAEINDAKARTILVENLPQDYSTANMQKIFGNVGKINEITICDPHLVEESANNKKVKAVSSKLHALIEYETVETAKSAVATLNDEKNWRTGMRVEILLERMRKYGLIQKDHKETLPEKKTRAEEPNTDAAYVDGRSSKATYDNVGMANKGEEEHLPSEKAGRRGRYKSRGRGQLPQNSNKDANDHGSSLTSEIVSKPLPGPKDARWNQRVYNGARQTDCLALQSIRM >DRNTG_03942.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000187.1:66766:71385:-1 gene:DRNTG_03942 transcript:DRNTG_03942.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRGVDIVRFLLRDMYREMFNSDPYEDLFDQEEDYEEVMMLGATEEVPSTPGILKKVLRKMKRARRHHRKYSKSIGDIREPKKLDESLLGKPQGCAAAPVGRAHQRGYFPHARARVVRVEGVFFRERTGACVRPCGSLVMRHTGVDMAPRVRKNEVKRLRVIPIRANTHGFLKSL >DRNTG_05554.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17076474:17078706:1 gene:DRNTG_05554 transcript:DRNTG_05554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSLCVTQAMQHELAQKWGIKATVLYNQPPEFSILLQLRRNMRLGNNIYHQDNIRDCVSFVPLAVQTAKN >DRNTG_15635.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28612454:28612870:1 gene:DRNTG_15635 transcript:DRNTG_15635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIETTQPPRQDTPTHVEQVLATQRRANSVGQEKKKKKGGCRED >DRNTG_32350.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001828.1:61736:62433:1 gene:DRNTG_32350 transcript:DRNTG_32350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNNKILWKKEESQKLKQTHHPKSISSLIGHQLLPPPPPPPPPPSPAPLPPPPHHSH >DRNTG_27642.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18720138:18721336:-1 gene:DRNTG_27642 transcript:DRNTG_27642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTNLRSLHLRNNGFYGDLPLSLKMANKLVTLDIGENKLSGNIPTWLGENLSSLAVLRLRSNLLEGVIPEQLSKLSSLQILDLAHNNLSGCIPHFFGDFKAMASTNHNQWWSLLPIVSDVYFAYSYWGNSNSPNIFGYSESLLITAKGLQIEYQKILSLVTSMDLSNNKLSCELPEELTKLHGLHFLNLSGNLFSGKIPDNISDMKQLESLDLSKNNLSGSHSIKHIYFELYGSFKLVPQQFVRQNSIRQGNFRLSIHQPIIGTIISVDHLFRIVLMKHILQVQVRKKETKISQRCYGFM >DRNTG_16514.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000822.1:39292:40151:-1 gene:DRNTG_16514 transcript:DRNTG_16514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERRNTLELEQIINSPWMARISLGRSIMDGWRRRGEVRRRHQYDLDGVGEERFRDNGGSASALVMGLGEKEELGPRSSNKRGRM >DRNTG_16095.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25489972:25491591:-1 gene:DRNTG_16095 transcript:DRNTG_16095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALSPDQPGGDSRATKILFGRYELGRLLGCGAFAKVYHARNLSTGQSVAIKVISKSRVLRSGLTLNLRREILALRRLRHPHVIRLHEVLASRSRIFFIMDLARGGELFTFIANSHRLSEPIARLLFHQLISALSFCHSRGVFHRDLKPENLLLADDSTTNPFLKISDFGLSACLAPSTPNPLLSTVCGTPAYVAPEVLSRNPYSGAAVDLWSCGVILFVLIAGYLPFNDPNLMNLYRKIRRGEVRFPRWVSPDLRRLISRLLDINPQTRLTLDGVLTDPWFRKDLDEQKLIAMARFRQDIEDRIAKIERDDPRDLNAFDLIASSPSLDLSGLFAGSRVERERFVVQEEAAAVLDRVEAVGRGEGLVVRRRARGSAGRAGAAVEGQEGNLVAWVEAHRLMPGLVVVEVEVTSGDDWDLPVGRWTGRFWRKSLTEPATEPDRSDPD >DRNTG_04899.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11187513:11188626:-1 gene:DRNTG_04899 transcript:DRNTG_04899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISSQLHSWCSNQLHLGSYHRAEDTRVDKLILQDQLRWNIDLLRVLFEENDVNAILQIPLSIRDMPDYIGWQFTATSLYSVNSGYRLALQLQSLSPPPSSNIWNDYWSLDIPPKIQNFLLHACKYILPTRPNLHHRTIIQELHCLLYNSWVEHHFSCSNYLPLCQGNMVPCLILFRRAKCSYFLSMVVLAKPLLINC >DRNTG_04899.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11187513:11188493:-1 gene:DRNTG_04899 transcript:DRNTG_04899.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDYIGWQFTATSLYSVNSGYRLALQLQSLSPPPSSNIWNDYWSLDIPPKIQNFLLHACKYILPTRPNLHHRTIIQELHCLLYNSWVEHHFSCSNYLPLCQGNMVPCLILFRRAKCSYFLSMVVLAKPLLINC >DRNTG_28690.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21285699:21287235:-1 gene:DRNTG_28690 transcript:DRNTG_28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSFSTPTMNNNNNNKLSLLLPTLLLLHLSFPKISAYIGVNGGIGVWINGRGGGISTTTPPPTLSTPTPSTSTPSNKEYTALQTWKAAITEDPNGVISTWVGPNPCSYKGVFCSNLPGGLSTITGIDLNHAHLKGTLVPALSLLSNLNILHLNSNSFTGTVPDTFQDLQSLTELDLSNNLFSGPFPTQALYIPNLIYLDLRFNSFSGPIPGELFDKQLDAIFLNNNQFEGEIPMNLWTSPASVITLANNKLSGSIPDSFGYSVIKEVLFLNNKLTGCIPDGLGQLQDIEVLDLSFNSLTGHVPSSVSCLTGIEVLNIGHNQLSGTVPDFCDLRSLMNLTVAFNFFSGFSEDCGRSLLRVVGFDFSRNCIPGGGMQRPPMECLGLPGDGGALSCLRLPSTGPVSCPGVMVSIGVGGVGGIGVSFSSSSPSSSSPPSSIP >DRNTG_05955.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20157391:20166824:1 gene:DRNTG_05955 transcript:DRNTG_05955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLACKYEEISVPIVEDFILIFDRAYTRSEVLEMEKLIVSTLQFNMSVPTPYPFMRRFLQAAEFDKKGAVALEKVALTDEYFKPTFLNMDVDWDNISFYEFHNNFG >DRNTG_05955.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20157391:20163983:1 gene:DRNTG_05955 transcript:DRNTG_05955.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLACKYEEISVPIVEDFILIFDRAYTRSEVLEMEKLIVSTLQFNMSVPTPYPFMRRFLQAAEFDKKVSFISFLPIDIEHTFFHLFGNLIC >DRNTG_05955.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20162428:20163983:1 gene:DRNTG_05955 transcript:DRNTG_05955.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLLACKYEEISVPIVEDFILIFDRAYTRSEVLEMEKLIVSTLQFNMSVPTPYPFMRRFLQAAEFDKKVSFISFLPIDIEHTFFHLFGNLIC >DRNTG_05955.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20162428:20165780:1 gene:DRNTG_05955 transcript:DRNTG_05955.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLLACKYEEISVPIVEDFILIFDRAYTRSEVLEMEKLIVSTLQFNMSVPTPYPFMRRFLQAAEFDKKGAVALEKVALTDEYFKPTFLNMDVDWDNISFYEFHNNFG >DRNTG_05955.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20162428:20163983:1 gene:DRNTG_05955 transcript:DRNTG_05955.11 gene_biotype:protein_coding transcript_biotype:protein_coding MLLACKYEEISVPIVEDFILIFDRAYTRSEVLEMEKLIVSTLQFNMSVPTPYPFMRRFLQAAEFDKKLSVLSEDSDIGRGLVSCILLTQRSNLCEFAIILNLEIKFLHIILVTDLFLLYAESVRD >DRNTG_05955.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20157391:20163983:1 gene:DRNTG_05955 transcript:DRNTG_05955.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLACKYEEISVPIVEDFILIFDRAYTRSEVLEMEKLIVSTLQFNMSVPTPYPFMRRFLQAAEFDKKLSVLSEDSDIGRGLVSCILLTQRSNL >DRNTG_05955.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20157391:20163983:1 gene:DRNTG_05955 transcript:DRNTG_05955.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLACKYEEISVPIVEDFILIFDRAYTRSEVLEMEKLIVSTLQFNMSVPTPYPFMRRFLQAAEFDKKLSVLSEDSDIGRGLVSCILLTQRSNLCEFAIILNLEIKFLHIILVTDLFLLYAESVRD >DRNTG_05955.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20157391:20163983:1 gene:DRNTG_05955 transcript:DRNTG_05955.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLACKYEEISVPIVEDFILIFDRAYTRSEVLEMEKLIVSTLQFNMSVPTPYPFMRRFLQAAEFDKKIYVLSSIKCLSFVLRCWLPLPFIQLSVLSEDSDIGRGLVSCILLTQRSNLCEFAIILNLEIKFLHIILVTDLFLLYAESVRD >DRNTG_05955.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20157391:20163983:1 gene:DRNTG_05955 transcript:DRNTG_05955.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLLACKYEEISVPIVEDFILIFDRAYTRSEVLEMEKLIVSTLQFNMSVPTPYPFMRRFLQAAEFDKKVSFISFLPIDIEHTFFHLFGNLIC >DRNTG_05955.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20157391:20163983:1 gene:DRNTG_05955 transcript:DRNTG_05955.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLLACKYEEISVPIVEDFILIFDRAYTRSEVLEMEKLIVSTLQFNMSVPTPYPFMRRFLQAAEFDKKVSFISFLPIDIEHTFFHLFGNLIC >DRNTG_05955.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20162428:20163983:1 gene:DRNTG_05955 transcript:DRNTG_05955.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLLACKYEEISVPIVEDFILIFDRAYTRSEVLEMEKLIVSTLQFNMSVPTPYPFMRRFLQAAEFDKKVSFISFLPIDIEHTFFHLFGNLIC >DRNTG_25033.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8730892:8732883:1 gene:DRNTG_25033 transcript:DRNTG_25033.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHQPQEHERKKAEPLIRRKSKSTQFFFQGNRFGRIRKTRKKAWNMRRKKEM >DRNTG_25033.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8730892:8732883:1 gene:DRNTG_25033 transcript:DRNTG_25033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHQPQEHERKKAEPLIRRKSKSTQFFFQGNRFGRIRKTRKKAWNMRRKKEM >DRNTG_27950.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14260198:14260950:1 gene:DRNTG_27950 transcript:DRNTG_27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVSEAAEHPPAKDYTDPPPAPLLDMGEFALWSFYRALIAEFIATLLFLYITVATVIGYKDQSANDACGGVGVLGIAWAFGGMIFVLVYTVFSATDPKRSARDSHVPVRTFHH >DRNTG_27775.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24357455:24359295:-1 gene:DRNTG_27775 transcript:DRNTG_27775.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPQRQLLNQDPMTRKPRKTPLRSKSDPKSTLKRIRIVFSDPDATDSSSDEDSVPEPRIKQTRRVLREIPISPFSTPLPDSSSCSKPRKTLATLRAKNTGSSTSTGSSKHKGVRYRPWGKWAAEIRDPIRGVRVWLGTYATEEEAALAYQTASRRIEAEKRGFVASDAFSGGASSDSGLSDPETDPNPNAFSVPSPSSVLDVSTDGFPIDGQDHPVKEADANVMPVTDFFSKDESEMLLSDFGLESEPDPLMGLVAVEDFVVLGDLPVLTLWDDRTNGSDPIALEFADLDDWMNFDP >DRNTG_27775.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24357095:24359295:-1 gene:DRNTG_27775 transcript:DRNTG_27775.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPQRQLLNQDPMTRKPRKTPLRSKSDPKSTLKRIRIVFSDPDATDSSSDEDSVPEPRIKQTRRVLREIPISPFSTPLPDSSSCSKPRKTLATLRAKNTGSSTSTGSSKHKGVRYRPWGKWAAEIRDPIRGVRVWLGTYATEEEAALAYQTASRRIEAEKRGFVASDAFSGGASSDSGLSDPETDPNPNAFSVPSPSSVLDVSTDGFPIDGQDHPVKEADANVMPVTDFFSKDESEMLLSDFGLESEPDPLMGLVAVEDFVVLGDLPVLTLWDDRTNGSDPIALEFADLDDWMNFDP >DRNTG_27775.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24357455:24359585:-1 gene:DRNTG_27775 transcript:DRNTG_27775.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPQRQLLNQDPMTRKPRKTPLRSKSDPKSTLKRIRIVFSDPDATDSSSDEDSVPEPRIKQTRRVLREIPISPFSTPLPDSSSCSKPRKTLATLRAKNTGSSTSTGSSKHKGVRYRPWGKWAAEIRDPIRGVRVWLGTYATEEEAALAYQTASRRIEAEKRGFVASDAFSGGASSDSGLSDPETDPNPNAFSVPSPSSVLDVSTDGFPIDGQDHPVKEADANVMPVTDFFSKDESEMLLSDFGLESEPDPLMGLVAVEDFVVLGDLPVLTLWDDRTNGSDPIALEFADLDDWMNFDP >DRNTG_27775.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24357095:24359585:-1 gene:DRNTG_27775 transcript:DRNTG_27775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPQRQLLNQDPMTRKPRKTPLRSKSDPKSTLKRIRIVFSDPDATDSSSDEDSVPEPRIKQTRRVLREIPISPFSTPLPDSSSCSKPRKTLATLRAKNTGSSTSTGSSKHKGVRYRPWGKWAAEIRDPIRGVRVWLGTYATEEEAALAYQTASRRIEAEKRGFVASDAFSGGASSDSGLSDPETDPNPNAFSVPSPSSVLDVSTDGFPIDGQDHPVKEADANVMPVTDFFSKDESEMLLSDFGLESEPDPLMGLVAVEDFVVLGDLPVLTLWDDRTNGSDPIALEFADLDDWMNFDP >DRNTG_20537.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17833883:17834266:1 gene:DRNTG_20537 transcript:DRNTG_20537.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQMAHHQQPNSGWHSPVPYLFAGLAAMLGLIAFALLILACSYWKLSGFPESQETDTGDQQKESDNNNKVISNQFELKHVVVIMAGEEKPTFLATPMSSRASSFEDRISEENHKKSSSYEESHEHS >DRNTG_12407.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12358674:12359944:-1 gene:DRNTG_12407 transcript:DRNTG_12407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIRTPMRISIEELSPFEEVTGGRAATPVGRAHGRGYFPHARLFVFRGNECFPESAQRRTSSPVRLSYGDARDYCFPSPSYPPFVREHSYIVSRPRIVNLEGFCLVFRPFSKLSHLNSTAHFERLSRLRFGQTRSLDMCILRDIRQGDEFADEVGDLVSVGGWRQLLSIREPAIRELRLEVLSSFEFDRSYARFDDLDVVQFRALGHHYSLSITQFSVRLGLYEEVFIDTKDYSQLPLIILEA >DRNTG_32961.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30835902:30839809:1 gene:DRNTG_32961 transcript:DRNTG_32961.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prefoldin subunit 3 [Source:Projected from Arabidopsis thaliana (AT5G49510) UniProtKB/Swiss-Prot;Acc:P57741] MAAAPASSSSVLTERRGIPAASFVEDVQTYLTQSGLDVNSSLSFLQERLHQYKIVEMKLLAQQRDLQAKIPDIEKCLAIVDALQAKKVTDEALVADFEVSEGIYSRARIENTDSVCLWLGANVMLEYSYEEANALLKKNLENAKASLEVLVADLQFLRDQVTITQVTIARVYNWDVHQRRIRQAQTAKET >DRNTG_00421.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21958079:21959577:-1 gene:DRNTG_00421 transcript:DRNTG_00421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSKPTEKRIAVVTGANKGIGLEIVRQLANNGIMVLLTARDEKRGTEAVEKLINSGVSDVMFHQLDVSDSSSVVSLAQFIKTKFGKLDILINNAGVGGNILAPPDQYAESKDRSEEFQVARLFKMISASTETFDGAKECLEINYYGTKRVTEQLIQLLQLSDSPRIVNLSSIFGKPERYIYILSNMHVFQRMSTNN >DRNTG_00421.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21958079:21959577:-1 gene:DRNTG_00421 transcript:DRNTG_00421.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSKPTEKRIAVVTGANKGIGLEIVRQLANNGIMVLLTARDEKRGTEAVEKLINSGVSDVMFHQLDVSDSSSVVSLAQFIKTKFGKLDILVIK >DRNTG_33296.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23780115:23782384:-1 gene:DRNTG_33296 transcript:DRNTG_33296.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase zeta processivity subunit [Source:Projected from Arabidopsis thaliana (AT1G16590) UniProtKB/Swiss-Prot;Acc:Q94FL5] MAWLLTFLQGLVERVAVIFYDEKQAPIEKFVFKLAVNQTYHSKVEENDLEFALRAFLIKLTVAEPLTKTLPAGTRWEVTSYFRTLPQESSTKNAHLWIPTDTKQWLQPPRITPIKSMSSEPLKVQMYLEHASPSEPKNFEE >DRNTG_33296.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23780115:23782384:-1 gene:DRNTG_33296 transcript:DRNTG_33296.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase zeta processivity subunit [Source:Projected from Arabidopsis thaliana (AT1G16590) UniProtKB/Swiss-Prot;Acc:Q94FL5] MDRKNTIPQEETSRILVEFLEVAVTSIVFLKGVYPPGAFERRRYMNVVVKKAKHPELSSYIHSVTSCLQSFIQKGLVERVAVIFYDEKQAPIEKFVFKLAVNQTYHSKVEENDLEFALRAFLIKLTVAEPLTKTLPAGTRWEVTSYFRTLPQESSTKNAHLWIPTDTKQWLQPPRITPIKSMSSEPLKVQMYLEHASPSEPKNFEE >DRNTG_33296.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23780115:23782384:-1 gene:DRNTG_33296 transcript:DRNTG_33296.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase zeta processivity subunit [Source:Projected from Arabidopsis thaliana (AT1G16590) UniProtKB/Swiss-Prot;Acc:Q94FL5] MCDKKGAFERRRYMNVVVKKAKHPELSSYIHSVTSCLQSFIQKGLVERVAVIFYDEKQAPIEKFVFKLAVNQTYHSKVEENDLEFALRAFLIKLTVAEPLTKTLPAGTRWEVTSYFRTLPQESSTKNAHLWIPTDTKQWLQPPRITPIKSMSSEPLKVQMYLEHASPSEPKNFE >DRNTG_33296.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23780115:23782384:-1 gene:DRNTG_33296 transcript:DRNTG_33296.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase zeta processivity subunit [Source:Projected from Arabidopsis thaliana (AT1G16590) UniProtKB/Swiss-Prot;Acc:Q94FL5] MDRKNTIPQEETSRILVEFLEVAVTSIVFLKGVYPPGAFERRRYMNVVVKKAKHPELSSYIHSVTSCLQSFIQKGLVERVAVIFYDEKQAPIEKFVFKLAVNQTYHSKVEENDLEFALRAFLIKLTVAEPLTKTLPAGTRWEVTSYFRTLPQESSTKNAHLWIPTDTKQWLQPPRITPIKSMSSEPLKVQMYLEHASPSEPKNFE >DRNTG_00073.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21825978:21827137:-1 gene:DRNTG_00073 transcript:DRNTG_00073.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKRKRKRKRKRGTQQKCKACEKTVYPMDRLMADEHHGPQVSAISLQWRAYSTANLILSSSSRRQGTSTRASS >DRNTG_23011.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3076950:3078710:1 gene:DRNTG_23011 transcript:DRNTG_23011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYYYTSDDEPYSTRSLFGRRKPLHDIFGGRKVADIVLWRNKQLSGGILGVVTLIWFLFEVVEYHFLTLLCHISIASMLLLFIWSNGAALFNKAPPKVPEMILSERAFKDVALIIHAKLNRFLSFLHDISGGKDLRLFLLTLVSLWMVSVIGSCCSSLSLLYFGILCIMTIPVLYEKYESDVDRIVYKGSYDLKRMYSTFDQKVLNKIPRGPVKEKKF >DRNTG_26180.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29167971:29171048:1 gene:DRNTG_26180 transcript:DRNTG_26180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDDFANGSVSQSKPSAYFGPPQTSNLTEVTDVPYIRPLLQPLRLRALGKLKPMDIKRLSFHMSPTFSDQNSQLAKGANRRDEPKLDHSQSNLKSCNDGDKGSTCSEGNASGFPSVFSTNSSSSSPLSPPSMQLSAPPLLQAPPTPPSSPMTPSCMLLKERSMSMKLSFDLPIIVEPALDSVTKHAGNVNGGSEPSEASMLSSPSMYFTMTQSETPKLDTSTTLLPPPSKPQLAPPAPPPPPIKGDVPSPPPTPTTPLPSSVPPPPMASPKGFAPPPPPPIGVAKALRAKKANTKLKRSTTMGTLYRLLKGKVEGSSLDGKTSNAKKSQVGASSGANKGQGMADALAEMTKRSAYFRQIEEDVEKHSMSIMEMKSAIESFKTKDMLELVNFRQDIEKRLECLADETQVLARFDSFPYKKLETVRMASALFLKLEAIVTNLKNWKMVGPISQQLDKVESYFNKMKEEVDAIERGREEESKVFLANNIEFDFGVLIRIKELMVDLSSSCVEMVLKENKEAKDMIVTRSKSNGLSKVLWRAFQLAFRVYNFAGGQDDRADRLTTELAHEIETCPLF >DRNTG_26180.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29169755:29171048:1 gene:DRNTG_26180 transcript:DRNTG_26180.6 gene_biotype:protein_coding transcript_biotype:protein_coding NGKCLVSEIGGDSHESKELEDGGSYFSAA >DRNTG_26180.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29167775:29169666:1 gene:DRNTG_26180 transcript:DRNTG_26180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDDFANGSVSQSKPSAYFGPPQTSNLTEVTDVPYIRPLLQPLRLRALGKLKPMDIKRLSFHMSPTFSDQNSQLAKGANRRDEPKLDHSQSNLKSCNDGDKGSTCSEGNASGFPSVFSTNSSSSSPLSPPSMQLSAPPLLQAPPTPPSSPMTPSCMLLKERSMSMKLSFDLPIIVEPALDSVTKHAGNVNGGSEPSEASMLSSPSMYFTMTQSETPKLDTSTTLLPPPSKPQLAPPAPPPPPIKGDVPSPPPTPTTPLPSSVPPPPMASPKGFAPPPPPPIGVAKALRAKKANTKLKRSTTMGTLYRLLKGKVEGSSLDGKTSNAKKSQVGASSGANKGQGMADALAEMTKRSAYFRQIEEDVEKHSMSIMEMKSAIESFKTKDMLELVNFRQDIEKRLECLADETQV >DRNTG_26180.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29169485:29171048:1 gene:DRNTG_26180 transcript:DRNTG_26180.5 gene_biotype:protein_coding transcript_biotype:protein_coding NGKCLVSEIGGDSHESKELEDGGSYFSAA >DRNTG_26180.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29166684:29171048:1 gene:DRNTG_26180 transcript:DRNTG_26180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDDFANGSVSQSKPSAYFGPPQTSNLTEVTDVPYIRPLLQPLRLRALGKLKPMDIKRLSFHMSPTFSDQNSQLAKGANRRDEPKLDHSQSNLKSCNDGDKGSTCSEGNASGFPSVFSTNSSSSSPLSPPSMQLSAPPLLQAPPTPPSSPMTPSCMLLKERSMSMKLSFDLPIIVEPALDSVTKHAGNVNGGSEPSEASMLSSPSMYFTMTQSETPKLDTSTTLLPPPSKPQLAPPAPPPPPIKGDVPSPPPTPTTPLPSSVPPPPMASPKGFAPPPPPPIGVAKALRAKKANTKLKRSTTMGTLYRLLKGKVEGSSLDGKTSNAKKSQVGASSGANKGQGMADALAEMTKRSAYFRQIEEDVEKHSMSIMEMKSAIESFKTKDMLELVNFRQDIEKRLECLADETQVLARFDSFPYKKLETVRMASALFLKLEAIVTNLKNWKMVGPISQQLDKVESYFNKMKEEVDAIERGREEESKVFLANNIEFDFGVLIRIKELMVDLSSSCVEMVLKENKEAKDMIVTRSKSNGLSKVLWRAFQLAFRVYNFAGGQDDRADRLTTELAHEIETCPLF >DRNTG_26180.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29166684:29171048:1 gene:DRNTG_26180 transcript:DRNTG_26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLAPATSRICPSIQETKGLQKDLVDDLLLARLLKNKILSFRDILDLPPWHGSSAFHELIKDTLEGMQKLYPKCSSCVDTSRVDHNSIYQLLAQFYQALKHVGELWAKDSSKSEKLKFEDIDLGTLSLEQIGKKVLERLDCASSLARELFDLMEKDDFANGSVSQSKPSAYFGPPQTSNLTEVTDVPYIRPLLQPLRLRALGKLKPMDIKRLSFHMSPTFSDQNSQLAKGANRRDEPKLDHSQSNLKSCNDGDKGSTCSEGNASGFPSVFSTNSSSSSPLSPPSMQLSAPPLLQAPPTPPSSPMTPSCMLLKERSMSMKLSFDLPIIVEPALDSVTKHAGNVNGGSEPSEASMLSSPSMYFTMTQSETPKLDTSTTLLPPPSKPQLAPPAPPPPPIKGDVPSPPPTPTTPLPSSVPPPPMASPKGFAPPPPPPIGVAKALRAKKANTKLKRSTTMGTLYRLLKGKVEGSSLDGKTSNAKKSQVGASSGANKGQGMADALAEMTKRSAYFRQIEEDVEKHSMSIMEMKSAIESFKTKDMLELVNFRQDIEKRLECLADETQVLARFDSFPYKKLETVRMASALFLKLEAIVTNLKNWKMVGPISQQLDKVESYFNKMKEEVDAIERGREEESKVFLANNIEFDFGVLIRIKELMVDLSSSCVEMVLKENKEAKDMIVTRSKSNGLSKVLWRAFQLAFRVYNFAGGQDDRADRLTTELAHEIETCPLF >DRNTG_09616.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:22061363:22063670:-1 gene:DRNTG_09616 transcript:DRNTG_09616.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVKGALEAKKPVGGFKIAKEAGEWTTSNFHPYLPPETYLTCRFFSARKHGLVDAAVRNKPSDMTAVVAFPGGIGTLDEIFEILALIQLERIGSNLPVPFLLLNYDSFYSKLLDFFDDCEKWGTVARGEVASLWKVCDGNLEALEYLADFYSLPQSKRDFNIGDSVQPGMAS >DRNTG_09616.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:22061363:22063670:-1 gene:DRNTG_09616 transcript:DRNTG_09616.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVKGALEAKKPVGGFKIAKEAGEWTTSNFHPYLPPETYLTCRFFSARKHGLVDAAVRNKPSDMTAVVAFPGGIGTLDEIFEILALIQLERIGSNLPVPFLLLNYDSFYSKLLDFFDDCEKWGTVARGEVASLWKVCDGNLEALEYLADFYSLPQSKRDFNIGDSVQPGMAS >DRNTG_09616.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:22061363:22063670:-1 gene:DRNTG_09616 transcript:DRNTG_09616.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVKGALEAKKPVGGFKIAKEAGEWTTSNFHPYLPPETYLTCRFFSARKHGLVDAAVRNKPSDMTAVVAFPGGIGTLDEIFEILALIQLERIGSNLPVPFLLLNYDSFYSKLLDFFDDCEKWGTVARGEVASLWKVCDGNLEALEYLADFYSLPQSKRDFNIGDSVQPGMAS >DRNTG_09616.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:22061363:22063670:-1 gene:DRNTG_09616 transcript:DRNTG_09616.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISMLSPSPCGNPCRRRQLVMKQNNCCLFGQASLGLRGRRGSPHHHHHQQQHHHHTSSMCRALNTHDFPDWSDRSSPLQVRKEIERCYQLIHRLGRGAVYLGSSRVNTGHPHYLQALELAREIAKLLNCTTWTGVGPGLMDAAVKGALEAKKPVGGFKIAKEAGEWTTSNFHPYLPPETYLTCRFFSARKHGLVDAAVRNKPSDMTAVVAFPGGIGTLDEIFEILALIQLERIGSNLPVPFLLLNYDSFYSKLLDFFDDCEKWGTVARGEVASLWKVCDGNLEALEYLADFYSLPQSKRDFNIGDSVQPGMAS >DRNTG_09616.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:22061363:22063670:-1 gene:DRNTG_09616 transcript:DRNTG_09616.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVKGALEAKKPVGGFKIAKEAGEWTTSNFHPYLPPETYLTCRFFSARKHGLVDAAVRNKPSDMTAVVAFPGGIGTLDEIFEILALIQLERIGSNLPVPFLLLNYDSFYSKLLDFFDDCEKWGTVARGEVASLWKVCDGNLEALEYLADFYSLPQSKRDFNIGDSVQPGMAS >DRNTG_09616.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:22061363:22063670:-1 gene:DRNTG_09616 transcript:DRNTG_09616.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVKGALEAKKPVGGFKIAKEAGEWTTSNFHPYLPPETYLTCRFFSARKHGLVDAAVRNKPSDMTAVVAFPGGIGTLDEIFEILALIQLERIGSNLPVPFLLLNYDSFYSKLLDFFDDCEKWGTVARGEVASLWKVCDGNLEALEYLADFYSLPQSKRDFNIGDSVQPGMAS >DRNTG_00283.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1984862:1987184:-1 gene:DRNTG_00283 transcript:DRNTG_00283.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPSFTACLPLLLLLLAITGATAGSNEAEEWFFSHCPRSAKCGDLEVRFPLRLNTSPAICGLEGLLISCSADQAHLTLRPSLSFKVTAIDYSYQLITIDTIGFWPPCPLRDLRSTNLSNEFFSVDGIGISLINCSKEFKFDAQKDSIARPGDCFNGSDRFVYVVGEWVSLDLLPSDCVVIATGGEIGYGLNNSYSFLPFQSFVDAYFASSKTRLQWSYSEMSSRCSLCERNGNHCGLDHASNSTFCFSSGNTHSSLVKLIAGLAAGVLVIVMILGFTFFFISRKAKRRRELRMKVEMFLASYKTTKPARYTYAGIKKITKRFKYKLGEGGFGSVYKGELPNGIPVAVKMLEETKSEGDDFINEVGTIGRIHHINIVHLLGFCSEGTRRALVYEFMPNESLEKYIFSRDANGNRLFGMDKLLGIAIGIARGVEYLHQGCDQRILHFDIKPHNILLDYDFNPKISDFGLAKLCSRDQSIVTMTAIRGTMGYIAPEIYSRNFGTVSYKSDVYSFGMLLLEMVGGRKNIDPLVDNQSAIYLPEWVYEQLIGGHSFRVAIEMMNDEEEIVRKLVIVALWCIQWSPNDRPTMTRVVQMLIGSLESLEIPPRPFVSSSDQDEDETSFKGL >DRNTG_00283.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1984862:1987184:-1 gene:DRNTG_00283 transcript:DRNTG_00283.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILGFTFFFISRKAKRRRELRMKVEMFLASYKTTKPARYTYAGIKKITKRFKYKLGEGGFGSVYKGELPNGIPVAVKMLEETKSEGDDFINEVGTIGRIHHINIVHLLGFCSEGTRRALVYEFMPNESLEKYIFSRDANGNRLFGMDKLLGIAIGIARGVEYLHQGCDQRILHFDIKPHNILLDYDFNPKISDFGLAKLCSRDQSIVTMTAIRGTMGYIAPEIYSRNFGTVSYKSDVYSFGMLLLEMVGGRKNIDPLVDNQSAIYLPEWVYEQLIGGHSFRVAIEMMNDEEEIVRKLVIVALWCIQWSPNDRPTMTRVVQMLIGSLESLEIPPRPFVSSSDQDEDETSFKGL >DRNTG_00283.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1984862:1987184:-1 gene:DRNTG_00283 transcript:DRNTG_00283.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILGFTFFFISRKAKRRRELRMKVEMFLASYKTTKPARYTYAGIKKITKRFKYKLGEGGFGSVYKGELPNGIPVAVKMLEETKSEGDDFINEVGTIGRIHHINIVHLLGFCSEGTRRALVYEFMPNESLEKYIFSRDANGNRLFGMDKLLGIAIGIARGVEYLHQGCDQRILHFDIKPHNILLDYDFNPKISDFGLAKLCSRDQSIVTMTAIRGTMGYIAPEIYSRNFGTVSYKSDVYSFGMLLLEMVGGRKNIDPLVDNQSAIYLPEWVYEQLIGGHSFRVAIEMMNDEEEIVRKLVIVALWCIQWSPNDRPTMTRVVQMLIGSLESLEIPPRPFVSSSDQDEDETSFKGL >DRNTG_21990.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5455904:5456771:1 gene:DRNTG_21990 transcript:DRNTG_21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMEKEIEVERNYIELSSTSTWSQSGDAYTLLIDLPDFQKEQIRISIDDPSNTLSITCERPIPGDPWWRFTGDFPVPEECDINGMKANYENGVLCLIFPKVIHEEEDEGQEDSEVEIMKKSKHEVGKKVQVIMGMNNSTRQLILNVFAALLVLVVLWMSLSHKMRNNNNLEVAEE >DRNTG_09658.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27743341:27743664:-1 gene:DRNTG_09658 transcript:DRNTG_09658.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSLFVDCYQFRRALEQYSILREFSIKYIKSDRSRVTARCADYKCSWRVHASIVREQGVFQVKTMQDEHSCCSINKCGNQ >DRNTG_02633.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21421160:21422290:-1 gene:DRNTG_02633 transcript:DRNTG_02633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRLDPLLKELLNIAISRPGGAATGTDEELRKQQIMELEVLAKWIELVKQIELKLAELKSNKGGQ >DRNTG_01568.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000088.1:58016:58600:1 gene:DRNTG_01568 transcript:DRNTG_01568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVPIHLGHVVADYMRYHAQNGRVGVLFVGSYITRLILGMGLGDALRDTDQTVIPSPLGLDTLRMMGVVRGYGSGSYILATTTTESAEGGRDAAEGSVLTATKTSRCLGTLSTAYDRIERLENTLLTSRSPAHPSSSSPPPLASLDLAPAVVEDLERGIDT >DRNTG_06702.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3587435:3588144:-1 gene:DRNTG_06702 transcript:DRNTG_06702.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLIKAHPSTPSTPCFKISSPPPPPAAAAVLSWRSSIPTTTRRRRRRRCSRIQACFNPFEDQPILKEALKEPVAFMGGVFAGLLRLDLNEEPLREWVARTVEASGMDVEKGGGEDGLGLDGEEDAPQEIEIE >DRNTG_06702.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3583624:3588144:-1 gene:DRNTG_06702 transcript:DRNTG_06702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLIKAHPSTPSTPCFKISSPPPPPAAAAVLSWRSSIPTTTRRRRRRRCSRIQACFNPFEDQPILKEALKEPVAFMGGVFAGLLRLDLNEEPLREWVARTVEASGMDVEKGGGEDGLGLDGEEDAPQEIEIE >DRNTG_16188.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12258894:12261057:1 gene:DRNTG_16188 transcript:DRNTG_16188.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPKFNRNPRLLPGGPSLRGSTGGSLLLFTSLPDYKNSSRNPSFREGHTFLQPSRRARWSG >DRNTG_23990.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5950115:5958686:1 gene:DRNTG_23990 transcript:DRNTG_23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQYMLQCFAIVFDLNSFPIPYFHRGNANGHTFTSWITCFFNGVHVGGALVLCA >DRNTG_29831.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23209082:23212916:-1 gene:DRNTG_29831 transcript:DRNTG_29831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKTTWGPTSGWPNQPSESKGPIKRTNTPPISLIREGSTKTKLTKTSTTTQPSIKPGPNPTKKGKPLHSISTCWTPKFSNSKTLTK >DRNTG_28813.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28703195:28703987:-1 gene:DRNTG_28813 transcript:DRNTG_28813.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSEEMGEGSTTHPCLFIQSFIKSFLHCLGLDMTSNHAEEGTTEVDIQRASRKPHKPRPNPGRGGQINSTHDQ >DRNTG_28813.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28703753:28709666:-1 gene:DRNTG_28813 transcript:DRNTG_28813.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSEEMGEGSTTHPCLFIQSFIKSFLHCLGLDMTSNHAVLFCFLFFYMH >DRNTG_28813.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28703195:28705184:-1 gene:DRNTG_28813 transcript:DRNTG_28813.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSCQQCPRRPVFLTASKQLKIHEEGTTEVDIQRASRKPHKPRPNPGRGGQINSTHDQ >DRNTG_28813.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28703195:28709666:-1 gene:DRNTG_28813 transcript:DRNTG_28813.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSIAAVLVPLFGNPSLSPLECHLKSSEIQRKWRIGASSAVPGLDLASLETAIAKKDSDAVKETLDQLSQVGWAKKWSSQPYVSRRTTSLRELTTIGIKNAENLAIPSVRNDAAFLFTVVGTTSFVAVLAGQLPGDWGFFVPYLIGSISLIVLAVGSISPGLLQAAIGGFSSIFPDYQERIARHEAAHFLVAYLIGLPILGYSLDIGKEHVNLIDEGLQKMIYGGQLDNKELDRLAVVSMAGLAAEGLKYDKVVGQSADLFTLQRFINRSKPQISKEQQQNLTRWAVLFAASLLKNNTVTHEALMSAMSKKASVLDCIKAIENS >DRNTG_28813.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28703030:28709666:-1 gene:DRNTG_28813 transcript:DRNTG_28813.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSEEMGEGSTTHPCLFIQSFIKSFLHCLGLDMTSNHAEEGTTEVDIQRASRKPHKPRPNPGRGGQINSTHDQ >DRNTG_32990.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19898670:19900933:1 gene:DRNTG_32990 transcript:DRNTG_32990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II 10 kDa polypeptide, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G79040) UniProtKB/Swiss-Prot;Acc:P27202] MATSVMSALALKPSPVIGRSQLKGIPSLVRPSSRLNVQASVKKIKTSQPYGPGGGMDLPGGLDASGRKQKGKGVYQFADKYGANVDGYSPIYSPDEWSPSGDVYVGGTTGLLIWAVTLAGLLAGGALLVYNTSALAQ >DRNTG_00836.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11888390:11890324:-1 gene:DRNTG_00836 transcript:DRNTG_00836.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQKEMGDLCLDLESTVLSTTTDSPNDSASSSFSFYQSSSPPISLRDITPSFKISINKLSYSLQHKSVKPLNKIKSKPVQVINSVSFTATSSEVLAVVGPSGAGKSTLLRILSGRIMRSEFDTEAISVSGKLVKSPEQLRKISGFVTQEDNLLPLLTVKETLMFSAMFRLKDMSLKEKEEKVVGIMQELGLHHIANSYIGDEDTRGISGGERKRVSIGVDVIHNPPILLLDEPTSGLDSTSAMNVIELLASMAKTRRQALILTIHQPSYRMLRYISNFLILSNGTVAHCGTLASLEQTISQLGHKIPRQLNPLEYSMEIIKQLEDYSVKHKASIDKSEHWSASDCAIQVLRGNGQMKMKTEYCPRRDEITALSWRFWKIIYRTKQLFVARTMQAIVGGFGLGSVYLNMKMDRNGVSERLGLFAFSLSFLLSSTVEALPIFLQERKVLMRESSRKMYRLSSYMLANTIVFVPFLLAVAILFAVPVYWLVGLNPSASAFMFFILMVWMIVLMASSLVLFLSSISPDFILGNSLICVFLGIFFLFSGYFIPKDSIPKYWIFMYYVSLYRYPLDSLIINEYWNIRDKCFAWEDDNSSKCSLNGGDVLMARGLYSDTRWMNLGIMFGFFLIYRLLCWLVLVWKASKTML >DRNTG_17874.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28336925:28338653:1 gene:DRNTG_17874 transcript:DRNTG_17874.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFQAPVLKSESNPKAHIHRHLSQEARGCGYLVLWLDCDREGENICFEVIECTGIPETECGVRIFRARFSSVTEKDILNALSNPVKPNKDEALAVDARQEIDLKVGVAFTRFQTRYFQGKYGNLDSRVIS >DRNTG_17874.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28334683:28338653:1 gene:DRNTG_17874 transcript:DRNTG_17874.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFQAPVLKSESNPKAHIHRHLSQEARGCGYLVLWLDCDREGENICFEVIECTGIPETECGVRIFRARFSSVTEKDILNALSNPVKPNKDEALAVDARQEIDLKVGVAFTRFQTRYFQGKYGNLDSRVIS >DRNTG_12868.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18341976:18342382:-1 gene:DRNTG_12868 transcript:DRNTG_12868.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRSTNHGAEPSPDPSGRSMARSALRNRNANLDLFS >DRNTG_05864.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31460123:31463483:1 gene:DRNTG_05864 transcript:DRNTG_05864.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQPRILPRFFFISTSTPTRLYPFFRPLAFNSTLIPSVSATPDLSISIPPSFSDDAQSISDDPQLHLPLEKLFIPPDVQLPKGPFVSDGRVLKGSNIVLGPYARDAQVSTAEFVKSSKKTEDCPADGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPGR >DRNTG_05864.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31460123:31463483:1 gene:DRNTG_05864 transcript:DRNTG_05864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQPRILPRFFFISTSTPTRLYPFFRPLAFNSTLIPSVSATPDLSISIPPSFSDDAQSISDDPQLHLPLEKLFIPPDVQLPKGPFVSDGRVLKGSNIVLGPYARDAQVSTAEFVKSSKKTEDCPADGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPGKTQCINHFRINDSWYLVDLPGYGYAAAPQEVRIDWDGFTKDYFLKRESLVSVFLLIDASIPAKQIDLEYASWLGQNQIPMTLVFTKCDKRKKKKNGGKRPEENVEDFQNLINEFFKSTPPWIMTSSVTNQGRDEILLHMAQLRNYWLKH >DRNTG_07350.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000332.1:15157:17471:-1 gene:DRNTG_07350 transcript:DRNTG_07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVRRRHKKCPKANGMCKNGVRRVPLYPKKTKGHVNVPVTGPVKIHARVEFPHGRVKHLEEFLCWTEMSQGRVGTPVGQAHRCGKFPQACVDAFRDKVCYPESTQRHVSAPMKLSCGVTRAWSPFMDLSALKEIQRDDDMANEIDELFAMGSWRRLLSIRELAICTLTLEFSIHMFLYDDMYTDTEEYNRLPTNYPSAMTSQRAYRALCGHG >DRNTG_02548.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8007363:8008000:1 gene:DRNTG_02548 transcript:DRNTG_02548.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLSKTLANTTRNPSRSPLSSWGKDGEKNTKIGAESALNRAGIRRLHGRGYFTRPCGISTRA >DRNTG_04265.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9387157:9396405:-1 gene:DRNTG_04265 transcript:DRNTG_04265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRGQGGGGQMRGRGVMGAKGMVGAAGVVGGAAGGPYGQGLAGPGLAGPAGGLMHPQGMMGAGFDPTFMGRGGPYAGFAAPGFPGMMPSFQAVNAVGLPGVAPHVNPAFFGRGMSANGMGMMGGGTGMDGQSVGMWGDASMGGWTGEEHGRRTRESSYDGDDVASDYGYGEVNHERGGRSNTSREKERGSERDWSGNSERRNRDEREQDWDRSDRDRYKEEKDGYRDHRHRDRDRDNDDDWDRGQSSSRSRSKSRMMQEEDYRSRSRDADYGKRRRGSE >DRNTG_06545.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10299983:10302250:-1 gene:DRNTG_06545 transcript:DRNTG_06545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHVHSIFKCGVVDLVVETDNVPLRNPNENEFYSFVGRNSDSASAPVQSHGNPDGVGCLPSSSDHSEVLSLDIGQRFDGVEHFRDVL >DRNTG_35004.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24123463:24126385:-1 gene:DRNTG_35004 transcript:DRNTG_35004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRAVNPSRRLADNGSLPFSGALHQKPRTSPLLPIGLVVLGAFLLIAYSYGGLGGFGGARDVSRVGEDVSCTSEVIQAIPFLKKAYGDSMQKVLHVGPETCSVVSKLLKEEGIEAWGIEPYDLEDPDSSCKSLVRKGVVRVADIKFLLPYRPKSFSLVLVSDALDYLSPKYLNKTLPDLARVSSEGLVIFAGYPGQQRAKASELSKFGKPAKLRSSTWWIRYFVQTSLEENEAAAKKFEQAVNKRSYKPSCQVFHLSSSQ >DRNTG_08906.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27481961:27491654:-1 gene:DRNTG_08906 transcript:DRNTG_08906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASLRHLLQLILFAVVFFSVESQYIAYNTSQGIVPGKLNVHIVAHTHDDVGWLKTIDQYYVGSNNSIQGACVQNVLDSMVTALLEDKNRKFIYVEQAFFQRWWRRQSDAIKKIVKELLSSGQLEFINGGMCMHDEAAVHYIDMIDQTTLGHRFLKEEFGQTPRIGWQIDPFGHAAVQAYLLSAEVGFDALYFSRIDYQDREKRKDQKSLEVVWRGSKSLGSSADVFTGIFPKNYEPPPGEFYFEVNDASPVVQDDPLLFDYNVEERVNDFVAAAIAQANITRTNHIMFTMGTDFKYQYANSWFRQLDKFIHYVNKDGRVNALYSTPSIYTDAKYAAKESWPLKTDDFFPYADNPNAYWTGYFSSRPALKGYVRMMSGYYLAARQLEFFIGRNSSGPTTDSLADALAIAQHHDAVSGTEKQHVANDYATRLAIGYAEAEKLVSSSLACLMESVQNSVCNPKMKLEQCLLLNVSYCPQSEADLSDDKSLVVLVYNSLGWKREDVVRIPVSSEYVVVHDSEGREVVSQILPLVNASAAIRNQYVKAYLGISPKVNSLHWLAFPVSIPPLGFNTYVVSSSKRTGSNAVMSTLYSPQENKKSNIEVGPGNMKLLFNTDEGRLTQYSNGRSLVNVPLEQSFSYYPGDDGSGKDPQASGAYIFRPNGTTSIKPKAQVPLKIFKGPILDEVHQQINPWIYQITRVYKGKEHVEFEFAIGPISVDDGIGKEVVTKLTSTMMTNKIFFTDSSGRDFLKRIRDYRSDWQLQVNQPVAGNYYPINLGIYLEDKSTEFSVLVDRSTGGSSIQDGQIELMLHRRLLHDDGRGVAEALNETVCVNDKCEGLTVVGKFYVSIDPVGEGAKWRRTTGQEIYSPLLLAFSEQKGDKGKSSHVPKFTPMKSSYSLPYNVALLTLEALEDGTTLLRLGHLYEVDEDKELSKMASVDLKKMFSGKKISKITEMNLSANQEKDAMLKKRLKWKVEGSAEDQKMAIRGRAVDLSDLVVELGPMEIRTFKIDFEFDSFLAFGDR >DRNTG_23299.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1229301:1231880:1 gene:DRNTG_23299 transcript:DRNTG_23299.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 7 [Source:Projected from Arabidopsis thaliana (AT1G55300) UniProtKB/Swiss-Prot;Acc:B9DG24] MEEQFILRVPPSVSERIERLLNDSSASSSSEDASLDLSFTEDGRSGTFQIGNEKFSASLLDLPTVVESYKTYDDNVLIKTADIGQIIMVREEGDPAPEGIECKHGLTPPMRDARKRRFRREPDLNPELVQRVEKDLLNIMSGGTVENHIHGGERVKANPAPAPKPDAIPVEAAVNNGEAERSDSDDSLEPEEN >DRNTG_23299.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1229301:1231880:1 gene:DRNTG_23299 transcript:DRNTG_23299.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 7 [Source:Projected from Arabidopsis thaliana (AT1G55300) UniProtKB/Swiss-Prot;Acc:B9DG24] MEEQFILRVPPSVSERIERLLNDSSASSSSEDASLDLSFTEDGRSGTFQIGNEKFSASLLDLPTVVESYKTYDDNVLIKTADIGQIIMVREEGDPAPEGIECKHGLTPPMRDARKRRFRREPDLNPELVQRVEKDLLNIMSGGTVENHIHGGERVKANPAPAPKPDAIPVEAAVNNGEAERSDSDDSLEPEEN >DRNTG_23299.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1229301:1231880:1 gene:DRNTG_23299 transcript:DRNTG_23299.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 7 [Source:Projected from Arabidopsis thaliana (AT1G55300) UniProtKB/Swiss-Prot;Acc:B9DG24] MEEQFILRVPPSVSERIERLLNDSSASSSSEDASLDLSFTEDGRSGTFQIGNEKFSASLLDLPTVVESYKTYDDNVLIKTADIGQIIMVREEGDPAPEGIECKHGLTPPMRDARKRRFRREPDLNPELVQRVEKDLLNIMSGGTVENHIHGGERVKANPAPAPKPDAIPVEAAVNNGEAERSDSDDSLEPEEN >DRNTG_31405.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001699.1:16437:19427:1 gene:DRNTG_31405 transcript:DRNTG_31405.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRMSTILPISIPNQAIRRLLRLMQSMQHLARHPRHATTVPTTAPRSKNLANNPNQNPQDLHLSLASISMHLHHLLLMLHLHRQQPLPPLHLLLFPSLLLSPSYPPPLPLLLFPIFQPPQPPLLLLVLRCHLPLPSPHSDFPNLRYPRRHDPHLSPLPLALPHRLPFRTLIIGVDLDLDLVAVGGDC >DRNTG_31405.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001699.1:16437:19427:1 gene:DRNTG_31405 transcript:DRNTG_31405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRMSTILPISIPNQAIRRLLRLMQSMQHLARHPRHATTVPTTAPRSKNLANNPNQNPQDLHLSLASISMHLHHLLLMLHLHRQQPLPPLHLLLFPSLLLSPSYPPPLPLLLFPIFQPPQPPLLLLVLRCHLPLPSPHSDFPNLRYPRRHDPHLSPLPLALPHRLPFRTLIIGVDLDLDLVAVGGDC >DRNTG_35235.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18053619:18054493:1 gene:DRNTG_35235 transcript:DRNTG_35235.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHYPFPSPFSPPPFLFHSQHHHQHQQEDAEESEDLKRALVRATMELESTRAAAQDQLRRLESQTLHLSSLLNTTTRERDEARSLLLLLLNNPQHQPLPHWR >DRNTG_27952.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14295179:14296404:-1 gene:DRNTG_27952 transcript:DRNTG_27952.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVWKDINFPTLHERPMTPPILPIDHPRLRTSITSTTSHPFRGVILQDFLAGAFKSPIPPSLPPPPPPPPPPRPPPPTILSLNSAMDSGSFPFPLRPPRRSSDSGDRRHKRMIKNRESAARPTLMSWNLRLLILLKKMQNSENNMMR >DRNTG_19451.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000998.1:226262:229325:1 gene:DRNTG_19451 transcript:DRNTG_19451.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSATATHLLRSPPPNPLSRSLPHRRPPSLLRSPSAAGTNGVGAVGSYKTPKPQRDLLREWVSENDGFVRVLPIYVGGFSLLAVLLNRSFSDIPPVADASSSQSRADILSLALAVTNILAGLVWLSIRPKNISPVVLRGVQCRRLNPLISERAVLEMLWAWDSFSCDLLQIFSCCV >DRNTG_19451.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000998.1:226262:229325:1 gene:DRNTG_19451 transcript:DRNTG_19451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSATATHLLRSPPPNPLSRSLPHRRPPSLLRSPSAAGTNGVGAVGSYKTPKPQRDLLREWVSENDGFVRVLPIYVGGFSLLAVLLNRSFSDIPPVADASSSQSRADILSLALAVTNILAGLVWLSIRPKNISPVSLTCCRLFFVVYNVGG >DRNTG_17703.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3967660:3968463:1 gene:DRNTG_17703 transcript:DRNTG_17703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDMGSAMQYLQGKGLCLMPISLASAISSATARTTSSLIPSSLNHINSNNNNGGVGAGINGGDGPSSPSMSVLTVQSAMGAGSDTMKDAASVSKP >DRNTG_17703.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3967660:3968302:1 gene:DRNTG_17703 transcript:DRNTG_17703.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDMGSAMQYLQGKGLCLMPISLASAISSATARTTSSLIPSSLNHINSNNNNGGVGAGINGGDGPSSPSMSVLTVQSAMGAGSDTMKDAASVSKP >DRNTG_27480.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26479463:26484311:1 gene:DRNTG_27480 transcript:DRNTG_27480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPTERKEAIFELGFDSVSWKMKLFHCGSLLTDSMNKRPCYRNFVTLAIFLSMAFLVGGPFLVSDYKQKLLGWGMTDSFRSKKGNLCESQCKPSGSEPLPIGIVSSTTNLEMRPLWDSAQSSQRKGSEKGAKSLLAIAVGIKQKEIVNQIVKKFSPSNFTVMLFHYDGVVDEWRDLHWSDNALHVSAINQTKWWFAKRFLHPDIVAEYKYIFLWDEDLGVDHFHPGRYLSIVEREGLEISQPALDTSKSEVHHRITARRRKGDAHRRMYKFNGGGRCYENSTAPPCTGWVEMMAPVFSRAAWRCAWHMIQNDLIHAWGLDYKLGYCAQGDRTKKVGVVDSEYIVHRGIPTLGGSFAYKTNEETPGPLTQSEIYSSGMGVSF >DRNTG_27480.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26479463:26484311:1 gene:DRNTG_27480 transcript:DRNTG_27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFHCGSLLTDSMNKRPCYRNFVTLAIFLSMAFLVGGPFLVSDYKQKLLGWGMTDSFRSKKGNLCESQCKPSGSEPLPIGIVSSTTNLEMRPLWDSAQSSQRKGSEKGAKSLLAIAVGIKQKEIVNQIVKKFSPSNFTVMLFHYDGVVDEWRDLHWSDNALHVSAINQTKWWFAKRFLHPDIVAEYKYIFLWDEDLGVDHFHPGRYLSIVEREGLEISQPALDTSKSEVHHRITARRRKGDAHRRMYKFNGGGRCYENSTAPPCTGWVEMMAPVFSRAAWRCAWHMIQNDLIHAWGLDYKLGYCAQGDRTKKVGVVDSEYIVHRGIPTLGGSFAYKTNEETPGPLTQSEIYSSGMGLRSRSSDSEDRSALHSRSSATDDRSAVRTRSYAELIIFKRRWDNAIANDSCWTDPYPEPAEKNKKPAL >DRNTG_27480.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26479463:26484311:1 gene:DRNTG_27480 transcript:DRNTG_27480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPTERKEAIFELGFDSVSWKMKLFHCGSLLTDSMNKRPCYRNFVTLAIFLSMAFLVGGPFLVSDYKQKLLGWGMTDSFRSKKGNLCESQCKPSGSEPLPIGIVSSTTNLEMRPLWDSAQSSQRKGSEKGAKSLLAIAVGIKQKEIVNQIVKKFSPSNFTVMLFHYDGVVDEWRDLHWSDNALHVSAINQTKWWFAKRFLHPDIVAEYKYIFLWDEDLGVDHFHPGRYLSIVEREGLEISQPALDTSKSEVHHRITARRRKGDAHRRMYKFNGGGRCYENSTAPPCTGWVEMMAPVFSRAAWRCAWHMIQNDLIHAWGLDYKLGYCAQGDRTKKVGVVDSEYIVHRGIPTLGGSFAYKTNEETPGPLTQSEIYSSGMGLRSRSSDSEDRSALHSRSSATDDRSAVRTRSYAELIIFKRRWDNAIANDSCWTDPYPEPAEKNKKPAL >DRNTG_27480.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26479463:26484311:1 gene:DRNTG_27480 transcript:DRNTG_27480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTERKEAIFELGFDSVSWKMKLFHCGSLLTDSMNKRPCYRNFVTLAIFLSMAFLVGGPFLVSDYKQKLLGWGMTDSFRSKKGNLCESQCKPSGSEPLPIGIVSSTTNLEMRPLWDSAQSSQRKGSEKGAKSLLAIAVGIKQKEIVNQIVKKFSPSNFTVMLFHYDGVVDEWRDLHWSDNALHVSAINQTKWWFAKRFLHPDIVAEYKYIFLWDEDLGVDHFHPGRYLSIVEREGLEISQPALDTSKSEVHHRITARRRKGDAHRRMYKFNGGGRCYENSTAPPCTGWVEMMAPVFSRAAWRCAWHMIQNDLIHAWGLDYKLGYCAQGDRTKKVGVVDSEYIVHRGIPTLGGSFAYKTNEETPGPLTQSEIYSSGMGLRSRSSDSEDRSALHSRSSATDDRSAVNI >DRNTG_17654.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16948481:16948901:1 gene:DRNTG_17654 transcript:DRNTG_17654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGTGDKEESCHNGYQERAREESGQNEYQARGSEAAGSGGVKWPGARKEEAA >DRNTG_21165.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2173895:2175287:-1 gene:DRNTG_21165 transcript:DRNTG_21165.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWTEDSSVDKQKQRSEIEREEISPVLSERKVETLESLIRAEVKMNNFRISEGDQMLVSARTRLRASNVLMHLITCGSISVKDHHSRFSRMKFTPTVLRGSGMLGELDCLSDNPRLMGLRLEDKEYFSGSLIETNRHREEGVADVSALKRSSSFNADR >DRNTG_21165.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2172416:2175287:-1 gene:DRNTG_21165 transcript:DRNTG_21165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKYLLEVSPERARPWSEPLPKLQHERKVPVVYYLCRNRHLEHPHFIEVPLSSPDGLYLKDVIERLNVLRGKGMGGMYAWSCKRSYKTGFVWHDLEEDDLVFPASGNEYVLKGSEILDQSHGSDQGFNRGSFVKLECSQPVRRNAELKPPPRRLHSSSSSSTGCSDDGAGSSVELQVCNPSIAQDVATQTKEGRKKETCDRGMWTEDSSVDKQKQRSEIEREEISPVLSERKVETLESLIRAEVKMNNFRISEGDQMLVSARTRLRASNVLMHLITCGSISVKDHHSRFSRMKFTPTVLRGSGMLGELDCLSDNPRLMGLRLEDKEYFSGSLIETNRHREEGVADVSALKRSSSFNADRHCTSPDSKKEKELRTDSSRSKCLPKTIKIMSNKQSRNATMRSPTSEAPRTSSAMLDSSKSSPLCSSKAGSSRIITDASSQKGSSMRLESFKEHNDKVIKIEES >DRNTG_21165.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2172416:2175287:-1 gene:DRNTG_21165 transcript:DRNTG_21165.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTEDSSVDKQKQRSEIEREEISPVLSERKVETLESLIRAEVKMNNFRISEGDQMLVSARTRLRASNVLMHLITCGSISVKDHHSRFSRMKFTPTVLRGSGMLGELDCLSDNPRLMGLRLEDKEYFSGSLIETNRHREEGVADVSALKRSSSFNADRHCTSPDSKKEKELRTDSSRSKCLPKTIKIMSNKQSRNATMRSPTSEAPRTSSAMLDSSKSSPLCSSKAGSSRIITDASSQKGSSMRLESFKEHNDKVIKIEENLLLELGL >DRNTG_05039.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:161381:161839:1 gene:DRNTG_05039 transcript:DRNTG_05039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLQCIILPRHQRRRCRASTGYLGVRKRPSGRYAAEIRNPSTKKRHWLGTFDTPEEAAVAYDMSSITFRGIEKAQTNFCYKFLTMSSPSPPSPPPSPLSSEKEKKYCSEDNLEINDDHDHLV >DRNTG_31205.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21601614:21602261:-1 gene:DRNTG_31205 transcript:DRNTG_31205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLFILFFFFFFFILFISFSKADPLQDFCVADLTLPQSPAGYSCKNVSQVTVDDFVFTGFRNPRNNSNTNMASLTPAFVAQWPALNGLGIAAVYAELAPGGQVPIHSHPGGTELIVVIEGTVIAGFISSSNKAYTKKVDTFEAMIFPQGLLHFQVNTGSVKAKYVVSFSSSNPGVQTTSMSLFGNDLPSEILEKVSSIDVVEVKKLKAMFGGTN >DRNTG_02732.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19751157:19753378:1 gene:DRNTG_02732 transcript:DRNTG_02732.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGFIIQQNQVEENMSNLTSASGEASNSSNQQQSSFVSPNPNPAKKKRSLPGNPDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTSKEVRKKVYICPEVSCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARSITGVNPMINHNHPHHPHHQLLFSNNNLMSISSHETQELTLKREREQQHLMNMRGDITPWLGGQHQQQQHQQQQQQQEYSHENQSQNQGQVSLQTSYQTSVPSPHMSATALLQKAAQMGATMSRPSIHGQMAASSSTSTTTTSTLFGLGLSSNQGNGGSSGGTTLLQEMMLNPIAQASGFDGSFEDAFGGFLVTKKEEKKRNEEVVGVVGLGLGGGGGGGVVGTNEGLTRDFLGLRGRALSQRDILNMAGLDSCMSSSSYEHHHHHPHPQPHPHHP >DRNTG_02732.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19751157:19780241:1 gene:DRNTG_02732 transcript:DRNTG_02732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGFIIQQNQVEENMSNLTSASGEASNSSNQQQSSFVSPNPNPAKKKRSLPGNPDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTSKEVRKKVYICPEVSCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARSITGVNPMINHNHPHHPHHQLLFSNNNLMSISSHETQELTLKREREQQHLMNMRGDITPWLGGQHQQQQHQQQQQQQEYSHENQSQNQGQVSLQTSYQTSVPSPHMSATALLQKAAQMGATMSRPSIHGQMAASSSTSTTTTSTLFGLGLSSNQGNGGSSGGTTLLQEMMLNPIAQASGFDGSFEDAFGGFLVTKKEEKKRNEEVVGVVGLGLGGGGGGGEVGGEGGGEGSLEKGVGNVDSAGEEIKGSVSGSSSAGKIFVGGVAWETTEAGFREHFEKYGEITDSVIMLDKHTKKPRGFGFVTFADSAVIDNVLEDEHVIDGRTVEVKRTVPREDMPSKGGPKTKKIFVGGIPPSLTEDQLKEHFSSYGEVVEHQIMLDHSSGRSRGFGFVTFENEEAVEKIISEGKMHDLGGKQVEIKKAEPKKPGGDSGLNGRGGGYGAGAGAGAGAGAVLVVCMATMEVAAHMGMVLAAAAIGLLAATMVVQELLGLMVEAMAMEGALVMVQDTVLVLVGQCMAAVDMAAGMDMADRADMVDRTVVVMVVVVVVVVVTVVAGDMGIDTTPMVDEVSQSSCQSPFL >DRNTG_02732.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19774597:19780241:1 gene:DRNTG_02732 transcript:DRNTG_02732.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKHTKKPRGFGFVTFADSAVIDNVLEDEHVIDGRTVEVKRTVPREDMPSKGGPKTKKIFVGGIPPSLTEDQLKEHFSSYGEVVEHQIMLDHSSGRSRGFGFVTFENEEAVEKIISEGKMHDLGGKQVEIKKAEPKKPGGDSGLNGRGGGYGAGAGAGAGAGAVLVVCMATMEVAAHMGMVLAAAAIGLLAATMVVQELLGLMVEAMAMEGALVMVQDTVLVLVGQCMAAVDMAAGMDMADRADMVDRTVVVMVVVVVVVVVTVVAGDMGIDTTPMVDEVSQSSCQSPFL >DRNTG_02732.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19774597:19774957:1 gene:DRNTG_02732 transcript:DRNTG_02732.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMDAADKNQSVVNEEEEEEEEEEEVEEVEEVEEEVEEEIEEEIE >DRNTG_26584.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20113600:20115170:-1 gene:DRNTG_26584 transcript:DRNTG_26584.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLMVVGLMNDRKSAPLFIYLFCSVVCLQLLSMAGRGSGQGRPQPGAERGPTPQSRGSIPRRQFHGSRRGSSGRFSPAGPSQPPAPELHQAMPMAPSQGSAFSIPSHEQQFQQLSIHGEASSSHGISKSMRFPQRPGIGITGSKCVVKANHFFAELPNKDLHQYDVSITPEVKRREVNRAVMRQLVNLYRMSQLGGRLPAYDGQKSLFTAGPLPFSSKSFDIVLNEEEDGG >DRNTG_26584.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20113600:20115170:-1 gene:DRNTG_26584 transcript:DRNTG_26584.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLEEKSANFQLLLSMAGRGSGQGRPQPGAERGPTPQSRGSIPRRQFHGSRRGSSGRFSPAGPSQPPAPELHQAMPMAPSQGSAFSIPSHEQQFQQLSIHGEASSSHGISKSMRFPQRPGIGITGSKCVVKANHFFAELPNKDLHQYDVSITPEVKRREVNRAVMRQLVNLYRMSQLGGRLPAYDGQKSLFTAGPLPFSSKSFDIVLNEEEDGG >DRNTG_24906.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:474206:474894:1 gene:DRNTG_24906 transcript:DRNTG_24906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVLGDMNQAQISPTVIYCDNRSAISIAKNPILHGRTKHIETRFHFIRGLVNDGLIELIHCRTEEQAADVFTKPLSTQKHEYFRGVLGVRSF >DRNTG_17487.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6627916:6628702:1 gene:DRNTG_17487 transcript:DRNTG_17487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPEMEVKALCEQAQAILVEEWNVEPISCPITVREPWMAFCLEPCGAMKVGLNRFVKISKVDGFGLDTEIVFKKKPSLAPMTATEK >DRNTG_09076.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5929500:5932910:1 gene:DRNTG_09076 transcript:DRNTG_09076.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKELFLLLLCFEALRVGFSETDQQDVNALRSLMNQWQNTPPTWGQSDDPCGTPWEGVVCKNSRVIALRLSTMGIKGTLSDDLSQLSELQSLDLSFNNDLIGTLTPNIGKLKKLTTLILSGCGFTGKIPQELGTLPHLSFLALSSNKFTGAIPASLGSLSNLYWLDLADNQFSGQLPVSSGTSPGLDLLTNTKHFHFNKNRLSGTIPASLFNSNMKLIHLILDGNNFSGSIPLTIGLVQTLEVLRLGKNRFNGTVPSSISNLTSLNELDLANNNLTGAMPDLTGMNKLVYVDLSNNTFIPSEAPEWFSTIQSLVTLVISSGGLRGVVPRKLFSFPQLQQVILENNAFNGTLEITNISPQLQTVDFQNNEITSVILNSNYNGTLILVGNPVCSTNITVFCQLQKQQLSP >DRNTG_14282.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:829430:833730:1 gene:DRNTG_14282 transcript:DRNTG_14282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLSPVDGFMDVKEGVDEMIKYLANEPSVGLFFVQQHAQTSMPYLLGVKDKVMEKIHEVTLHTEDIEDSIHVVNSMSECGLSIANDMIQDINRSLLIMSTSQPKRGLIQNPLSGFYTDRSSSNWATDSDAYAGSNQDDSKSGRNYISTFFNSAKQKVAGVKWSPPNKGPFESVKFEPNADSHTSNKITTSATDCGTNQNLVNVDVEELPLSSNFINDKHEEQESSSRHLRDDDGRTRSVG >DRNTG_13145.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:6087368:6089178:1 gene:DRNTG_13145 transcript:DRNTG_13145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQATESSTVVIEHAGGRVERMYFPVSASEVMKRNPGHHVALITFFFSKSDQNGEESGVRVTRIKLLKPNDTLLLGHVYRLVSSQEVAMAVRARKYEKMMKKEQMERQRKVEEDMKDKVAEQEKDRQRNIQTITTKTRQWKPSLQSISEIAS >DRNTG_18365.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7974708:7976535:-1 gene:DRNTG_18365 transcript:DRNTG_18365.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYGATCSAYRQQLIWKQRHRRSRRGCCCVCYLSLTLVIVALILLGAIVGGVFYVLYHPHRPTFYVSSLRLSTLNLTSNHLNSRINLSVTARNPNRKLVFIYDPITIAASSSGVDIGDGILHGVHARHQEHHHLENRPHELLSELGHLYSLRCEEEDNDSAGDRSGNQGQSQDRKPENEEDGGSASPTGRRGPPISAALQVLISLSLSLSLLTNSL >DRNTG_18365.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7974708:7975693:-1 gene:DRNTG_18365 transcript:DRNTG_18365.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYGATCSAYRQQLIWKQRHRRSRRGCCCVCYLSLTLVIVALILLGAIVGGVFYVLYHPHRPTFYVSSLRLSTLNLTSNHLNSRINLSVTARNPNRKLVFIYDPITIAASSSGVDIGDGILHGVHARHQEHHHLENRPHELLSELGHLYSLRCEEEDNDSAGDRSGNQGQSQDRKPENEEDGGSASPTGRRGPPISAALQVLISLSLSLSLLTNSL >DRNTG_18365.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7974708:7981702:-1 gene:DRNTG_18365 transcript:DRNTG_18365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGATCSAYRQQLIWKQRHRRSRRGCCCVCYLSLTLVIVALILLGAIVGGVFYVLYHPHRPTFYVSSLRLSTLNLTSNHLNSRINLSVTARNPNRKLVFIYDPITIAASSSGVDIGDGILHGVHARHQEHHHLENRPHELLSELGHLYSLRCEEEDNDSAGDRSGNQGQSQDRKPENEEDGGSASPTGRRGPPISAALQVLISLSLSLSLLTNSL >DRNTG_32135.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001787.1:92439:93804:-1 gene:DRNTG_32135 transcript:DRNTG_32135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWPYTNLRLWGTSAWQYETLRVFSGWTEKPQRHGDAPGHAAFIPHGSTWACGISTGMAPRTKKADGKRPREPTPEPKSMEFAIPEHQAREVQLADDMADEVEELLSVGSWCRLLLIRNPAIYLLTLEVLTSFEFDHSYPHFDSIDAIQFRAFGQHHCMSITQFSIKLGLYDEAFTETEDYDGLPTDFLISEWLRQQYWRPE >DRNTG_05127.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30576711:30576850:-1 gene:DRNTG_05127 transcript:DRNTG_05127.4 gene_biotype:protein_coding transcript_biotype:protein_coding CHYSQNGKFSYGYASSAGKRSSMEDFYETRIDGVDGELVGLFGVFD >DRNTG_05127.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30575280:30577440:-1 gene:DRNTG_05127 transcript:DRNTG_05127.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLNTVVPAASASQVNGSSASGGGLSQNGKFSYGYASSAGKRSSMEDFYETRIDGVDGELVGLFGVFDGHGGVRAAEYVKQNLFSNLIKHPKFITDTKSAIADAYNHTDSEFLKSENNQNQNRDAGSTASTAILVGDRLLVANVGDSRVVISRGGTAIAVSRDHKPDQTEERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQFVVADPDIQEEVIDGSLEFLILASDGLWDVVTNEEAVAMVKPIEDPEQAARTLMQEAYQRGSADNITCVVVRFLVNKNNNSHHESGHRNNVKQENNTMTHRELESGSYRQRNSGHN >DRNTG_05127.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30575280:30576850:-1 gene:DRNTG_05127 transcript:DRNTG_05127.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFYETRIDGVDGELVGLFGVFDGHGGVRAAEYVKQNLFSNLIKHPKFITDTKSAIADAYNHTDSEFLKSENNQNQNRDAGSTASTAILVGDRLLVANVGDSRVVISRGGTAIAVSRDHKPDQTEERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQFVVADPDIQEEVIDGSLEFLILASDGLWDVVTNEEAVAMVKPIEDPEQAARTLMQEAYQRGSADNITCVVVRFLVNKNNNSHHESGHRNNVKQENNTMTHRELESGSYRQRNSGHN >DRNTG_05127.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30575280:30576024:-1 gene:DRNTG_05127 transcript:DRNTG_05127.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPIEDPEQAARTLMQEAYQRGSADNITCVVVRFLVNKNNNSHHESGHRNNVKQENNTMTHRELESGSYRQRNSGHN >DRNTG_33377.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20905414:20909254:-1 gene:DRNTG_33377 transcript:DRNTG_33377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRPSPNGKSKWRRNPSSDEGSDSDYDLEIFQKSKADGKKGFRFPRISTETGVEMEEETDQGDARIRRKKMMSSAALRNIDIKKERWRLRSFEEEDKISDQIQAIRDELKKKEAFGSDLRRPEVKEESLLTQKRFDECNISPLTVKALAAAGYSQLTVVQEAAIPVCLEGKDVLVKARTGTGKTAAFLFSRQLAAISISECRQ >DRNTG_33377.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20908365:20909254:-1 gene:DRNTG_33377 transcript:DRNTG_33377.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRPSPNGKSKWRRNPSSDEGSDSDYDLEIFQKSKADGKKGFRFPRISTETGVEMEEETDQGDARIRRKKMMSSAALRNIDIKKERWRLRSFEEEDKISDQIQAIRDELKKKEAFGSDLRRPEVKEESLLTQKRFDECNISPLTVKALAAAGYSQLTVVQEAAIPVCLEGLLLVCFILSCLLLYTLN >DRNTG_17776.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000910.1:81087:81540:-1 gene:DRNTG_17776 transcript:DRNTG_17776.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISEQNKKNRAVNGTSSFVIYRGGSVSTAVHRLRLIEELGREPTPKECFIRTHGKKDGTLE >DRNTG_30290.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16911622:16915712:-1 gene:DRNTG_30290 transcript:DRNTG_30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMISRGFKIAVSVAAIYAAQNSLYNVEGGHRAIVFNRIQGIKDEVYPEGTHFRIPWFERTTIFDVRARPHFVESTSGSRDLQMVKIGLRVLTRPIPDQLPTIYRTLGKNYDKRVLPSIIHETLKAVVAQYNASQLITQREAVSREIRRVLTERARNFNIELDDVSITTLSFGKEFTLAIEAKQVAAQDAERAKFIVEKAEQDKRSAIIRAQGEAKSAQLIGQAIANNPAFLVLRQIEAAREIAQTIANSSNRVYLKSDDLLLNLQQLDFENQKKK >DRNTG_08690.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17981672:17985490:1 gene:DRNTG_08690 transcript:DRNTG_08690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPFSGFVLDPSKCRLLTIEERRDLIHELAKWPESAPEKLQKWSRRDLLEILCAEMGKERKYTGLTKQKLIEYIFRIVSEKKPGEHADDVESTPHPPPSNQQTQSKRQRKSDHPSRLPVGANNLTANNESEAFNVVRYCANLACRATINIKDSFCKRCSCCLCHKYDDNKDPSLWLVCSPETPSREDACGMSCHLECVLKHEKSGISQSDQCAKLDGSYYCAYCGKVNDLLGCWRKQLMIAKDARRVDVLCYRVYLSHKLLNMTEKYQSLHDTVDEALKKLEAEVGPLNGLLNMARGIVNRLPVGAEVQKLCARAVVSLDSLQSANLPPDSQIQKPGLMLPDFIKVEDVSSTSVTVVLGSEDRLPSSQELISYVLWHRKADADYPVEPDCTIYEPQRRFVVTGLTPGNEYVFKVIAFGNTRELDKWEIRVKSHRLPKENSSSGLSNSNPSSEGDESNNTIAYTDLNKSPDSCFGYSEKPEVLDLEKLPEDVCKVEEQSKNLNAAEPEGPLRFSVSASASALDEDPNSTIQTESQRDSDQTSDVPKSDNESNAPIGNEIVVAPYQQTESSLPATPRWMDTLKEGSGRLTKPEKEPLAGSSSKKRAGVKCEEVCPKDGLLEGEYQYCVKVIRWLECEGHIETNFRVKFLTWFSLRATAQERKIVSVYVDTLIDDPGSLAGQLVDSFSEAVCSKRPLHAPTGFCMQLWH >DRNTG_08690.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17981672:17985490:1 gene:DRNTG_08690 transcript:DRNTG_08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPFSGFVLDPSKCRLLTIEERRDLIHELAKWPESAPEKLQKWSRRDLLEILCAEMGKERKYTGLTKQKLIEYIFRIVSEKKPGEHADDVESTPHPPPSNQQTQSKRQRKSDHPSRLPVGANNLTANNESEAFNVVRYCANLACRATINIKDSFCKRCSCCLCHKYDDNKDPSLWLVCSPETPSREDACGMSCHLECVLKHEKSGISQSDQCAKLDGSYYCAYCGKVNDLLGCWRKQLMIAKDARRVDVLCYRVYLSHKLLNMTEKYQSLHDTVDEALKKLEAEVGPLNGLLNMARGIVNRLPVGAEVQKLCARAVVSLDSLQSANLPPDSQIQKPGLMLPDFIKVEDVSSTSVTVVLGSEDRLPSSQELISYVLWHRKADADYPVEPDCTIYEPQRRFVVTGLTPGNEYVFKVIAFGNTRELDKWEIRVKSHRLPKENSSSGLSNSNPSSEGDESNNTIAYTDLNKSPDSCFGYSEKPEVLDLEKLPEDVCKVEEQSKNLNAAEPEGPLRFSVSASASALDEDPNSTIQTESQRDSDQTSDVPKSDNESNAPIGNEIVVAPYQQTESSLPATPRWMDTLKEGSGRLTKPEKEPLAGSSSKKRAGVKCEEVCPKDGLLEGEYQYCVKVIRWLECEGHIETNFRVKFLTWFSLRATAQERKIVSVYVDTLIDDPGSLAGQLVDSFSEAVCSKRPLHAPTGFCMQLWH >DRNTG_08690.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17981672:17985490:1 gene:DRNTG_08690 transcript:DRNTG_08690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPFSGFVLDPSKCRLLTIEERRDLIHELAKWPESAPEKLQKWSRRDLLEILCAEMGKERKYTGLTKQKLIEYIFRIVSEKKPGEHADDVESTPHPPPSNQQTQSKRQRKSDHPSRLPVGANNLTANNESEAFNVVRYCANLACRATINIKDSFCKRCSCCLCHKYDDNKDPSLWLVCSPETPSREDACGMSCHLECVLKHEKSGISQSDQCAKLDGSYYCAYCGKVNDLLGCWRKQLMIAKDARRVDVLCYRVYLSHKLLNMTEKYQSLHDTVDEALKKLEAEVGPLNGLLNMARGIVNRLPVGAEVQKLCARAVVSLDSLQSANLPPDSQIQKPGLMLPDFIKVEDVSSTSVTVVLGSEDRLPSSQELISYVLWHRKADADYPVEPDCTIYEPQRRFVVTGLTPGNEYVFKVIAFGNTRELDKWEIRVKSHRLPKENSSSGLSNSNPSSEGDESNNTIAYTDLNKSPDSCFGYSEKPEVLDLEKLPEDVCKVEEQSKNLNAAEPEGPLRFSVSASASALDEDPNSTIQTESQRDSDQTSDVPKSDNESNAPIGNEIVVAPYQQTESSLPATPRWMDTLKEGSGRLTKPEKEPLAGSSSKKRAGVKCEEVCPKDGLLEGEYQYCVKVIRWLECEGHIETNFRVKFLTWFSLRATAQERKIVSVYVDTLIDDPGSLAGQLVDSFSEAVCSKRPLHAPTGFCMQLWH >DRNTG_20583.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3294836:3295770:1 gene:DRNTG_20583 transcript:DRNTG_20583.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPDISVNAPLIDRIAEFPLASSEDPFFSRKISSVQGSTSTPLNCGDRSITKDKCTIQIFRGEGDNGSDSSGRNSKANDVSSRGSSESRLRRFDTSSYQQRAEALEGLLEFSAQLLQQERFDELGVLLRPFGPEKVSPRETAIWLAKSFQRDNNLTEIKSFRCYGLETEMLELQILGTHLVL >DRNTG_20583.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3293254:3295770:1 gene:DRNTG_20583 transcript:DRNTG_20583.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTALKPAFKAFDMQALINKINKSIVAPLPSSYSGAFRGLIKSMLRKNPEHRPSAAELLKHQHLQPYIFQVSLNSGHLSKSPPVKQPAGNLIKKIRFHGDDEDSICKEKEKAKDFSKERLFKTEQACNRAGLYLLYPEYKRTTRLCEKRGKGLVFRRQSSSRVQY >DRNTG_20583.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3291017:3295770:1 gene:DRNTG_20583 transcript:DRNTG_20583.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIFNLVSFRYVLKKIRLARQTDRCRRSAHQEMELIKNVQHPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKANRSLFSEEKLCKWLVQLLMALDYLHNNHILHRDVKCSNIFLTRDQNIRLGDFGLAKMLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTALKPAFKAFDMQALINKINKSIVAPLPSSYSGAFRGLIKSMLRKNPEHRPSAAELLKHQHLQPYIFQVSLNSGHLSKSPPVKQPAGNLIKKIRFHGDDEDSICKEKEKAKDFSKERLFKTEQACNRAGLYLLYPEYKRTTRLCEKRGKGLVFRRQSSSRVQY >DRNTG_20583.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3290433:3295770:1 gene:DRNTG_20583 transcript:DRNTG_20583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIKNVQHPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKANRSLFSEEKLCKWLVQLLMALDYLHNNHILHRDVKCSNIFLTRDQNIRLGDFGLAKMLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTALKPAFKAFDMQALINKINKSIVAPLPSSYSGAFRGLIKSMLRKNPEHRPSAAELLKHQHLQPYIFQVSLNSGHLSKSPPVKQPAGNLIKKIRFHGDDEDSICKEKEKAKDFSKERLFKTEQACNRAGLYLLYPEYKRTTRLCEKRGKGLVFRRQSSSRVQY >DRNTG_20583.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3293431:3295770:1 gene:DRNTG_20583 transcript:DRNTG_20583.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQALINKINKSIVAPLPSSYSGAFRGLIKSMLRKNPEHRPSAAELLKHQHLQPYIFQVSLNSGHLSKSPPVKQPAGNLIKKIRFHGDDEDSICKEKEKAKDFSKERLFKTEQACNRAGLYLLYPEYKRTTRLCEKRGKGLVFRRQSSSRVQY >DRNTG_29105.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10470252:10473158:-1 gene:DRNTG_29105 transcript:DRNTG_29105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSPDNLKGFILALLSSAFIGASFIIKKKGLRRAAAASGVRAGFGGYSYLLEPLWWTGMITMIVGEVANFVAYAFAPAILVTPLGALSIIVSAVLAHFILKEKLHHLGVLGCVMCIAGSVVIVIHAPQEKPITSVHEIWSMATQTAFLLYVASVIVLVFVLIFHFAPQYGHTNVLIYVGICSLMGSLSVMSVKALGTSLKLTFEGNNQLIYPQTWFFMVVVLTCVITQMNYLNKALDTFNTAIVSPIYYVMFTTLTILASVIMFKDWDGQGVGSIISEICGFIVVLSGTILLHSTKDYDRGTPRSIYAPLSPSLSTRLCTSNGESMKHVEEDMVSAEDVCLRRQELY >DRNTG_15454.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1543458:1546194:1 gene:DRNTG_15454 transcript:DRNTG_15454.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CH1 [Source:Projected from Arabidopsis thaliana (AT1G44446) UniProtKB/TrEMBL;Acc:A0A178W6L1] MTSVAALTLLPISICRPSSRSYSKKGCQNKDAFRVWAVFGEEGGGLEKKGNWGAIFNVEDPRARVHPSKGKILDVNQALEVARFDIQYLDWRARQDVLTIMLLHDKVVEVLNPLAREYKSIGTMKKDLAGLQDELARAHRQVHISEARVSTALDKLAYMETLVNDRLLQERNSNESSSDSALSPPSTSSISTEVSRVKSHRRGLNVSGPVQPYHPSLKNFWYPVAFSSDLKEDTMIPIDCFEEPWVIFRGRDGNPGCVQNTCAHRACPLHLGSVNEGRIQCPYHGWEYSTDGKCEKMPSTRMLDVRIRSLPCFEQEGMVWIWPGDAPPSPSLPSLLPPPGFQIHAEIVMELPIEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPASGLQGYWDPYPIDMEFRPAMHCTLYNWNLKAGKTGGAEH >DRNTG_25158.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23837620:23838980:1 gene:DRNTG_25158 transcript:DRNTG_25158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLICATTNIIGRVMLSQRVFESVDEELGKFKDVLKELMTGAAMFNIGDFVPGIGWMDLPRRRREWGARTSLILFWLAIELRDDDGEKLSDVNIRALLSVPGTDTSTIIVEWALAELIKNPTILKQLQSEMDAIIGQERILQEDDVSNLPYLQAVCKEALRLHASTPLSIPHYSFESCEVEGYHIPTDTRLLINIWAIGRDPDIWENPLEFVPERFLPCGKAVNIEPHGTDFEMITFGAGRRICVGKQAGMVFVQYLVGVLVQGFNWQMPKGEEIDLKESPGLVIPKAVSIKAFVTPRLASKAYF >DRNTG_10266.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20080049:20080630:1 gene:DRNTG_10266 transcript:DRNTG_10266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSLVVNETIGTLAGGRYYCGDTVAAVVLGMRTNAAYLESEHAVPKWQSAHHRQGEMVINIEWGNFQSIHLPITDFDVCVDNESPNP >DRNTG_21411.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:677061:690500:1 gene:DRNTG_21411 transcript:DRNTG_21411.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSEALKYNNYDADPMLCACGVSIATQFTQIDGRVLSAPRKELFWAVEGW >DRNTG_21411.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:677061:678693:1 gene:DRNTG_21411 transcript:DRNTG_21411.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVRYYHLHNPFPGPWKRKCLADFGIVTQCMAPTRVDDQYITNVLLKINAELGGMNSFLQVEQSPSMPLISNSPMIILGMDVSHGSPGQSDAPSIAAVFL >DRNTG_21411.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:677061:690500:1 gene:DRNTG_21411 transcript:DRNTG_21411.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSEALKYNNYDADPMLCACGVSIATQFTQIDGRVLSAPRKELFWAVEGW >DRNTG_21411.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:677061:690500:1 gene:DRNTG_21411 transcript:DRNTG_21411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSEALKYNNYDADPMLCACGVSIATQFTQIDGRVLSAPRKELFWAVEGW >DRNTG_21411.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:677061:678693:1 gene:DRNTG_21411 transcript:DRNTG_21411.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVRYYHLHNPFPGPWKRKCLADFGIVTQCMAPTRVDDQYITNVLLKINAELGGMNSFLQVEQSPSMPLISNSPMIILGMDVSHGSPGQSDAPSIAAVFL >DRNTG_06488.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000288.1:63705:64877:1 gene:DRNTG_06488 transcript:DRNTG_06488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPKEKNLLVDLRLRSIAKKLQRTFRECCQGELNGYDLSLLDVGAKSPPRVTYEALEIHFLSSFTSSPRKESKIEQKMP >DRNTG_03813.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000180.1:48551:49247:-1 gene:DRNTG_03813 transcript:DRNTG_03813.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSTFPHFWRRRRLGFGEALARLCRGLMAFDTAFPSEESYWGSFHRHRSGDVCPRVNGLRDDLPLGLSCECNGVKR >DRNTG_19858.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19656077:19660529:-1 gene:DRNTG_19858 transcript:DRNTG_19858.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENVKYVKDLKFPGSPEDLIDNGRETTEFDESRESSISRLEPAEQRQVPMAAISSLCKLCGTYEDDDRRFLVCGNPLCPYKYYHISCLKSNRVTIHKQSSRCWYCPSCLCRVCLSDKDDDMIVMCDGCDEAYHTYCMRPPCTAIPSGKWYCVACHVVRARQGMRRYERRILQQHANSDVWKAIGANKSVDMLLRAAEKLSSEESLAAGKKDK >DRNTG_19858.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19655416:19657597:-1 gene:DRNTG_19858 transcript:DRNTG_19858.9 gene_biotype:protein_coding transcript_biotype:protein_coding MENVKYVKDLKFPGSPEDLIDNGRETTEFDESRESSISRLEPAEQRQVPMAAISSLCKLCGTYEDDDRRFLVCGNPLCPYKYYHISCLKSNRVTIHKQSSRCWYCPSCLCRVCLSDKDDDMIVMCDGCDEAYHTYCMRPPCTAIPSGKWYCVACHVVRARQGMRRYERRILQQHANSDVWKAIGANKSVDMLLRAAEKLSSEESLAAGKKDK >DRNTG_19858.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19655521:19660529:-1 gene:DRNTG_19858 transcript:DRNTG_19858.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENVKYVKDLKFPGSPEDLIDNGRETTEFDESRESSISRLEPAEQRQVPMAAISSLCKLCGTYEDDDRRFLVCGNPLCPYKYYHISCLKSNRVTIHKQSSRCWYCPSCLCRVCLSDKDDDMIVMCDGCDEAYHTYCMRPPCTAIPSGKWYCVACHVVRARQGMRRYERRILQQHANSDVWKAIGANKSVDMLLRAAEKLSSEESLAAGKKDK >DRNTG_19858.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19660323:19660529:-1 gene:DRNTG_19858 transcript:DRNTG_19858.13 gene_biotype:protein_coding transcript_biotype:protein_coding MESFEGVKLNGGEHSQVSSREGLITYKRKRSRPTALSLGDNASGLAEEK >DRNTG_19858.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19655521:19657597:-1 gene:DRNTG_19858 transcript:DRNTG_19858.10 gene_biotype:protein_coding transcript_biotype:protein_coding MENVKYVKDLKFPGSPEDLIDNGRETTEFDESRESSISRLEPAEQRQVPMAAISSLCKLCGTYEDDDRRFLVCGNPLCPYKYYHISCLKSNRVTIHKQSSRCWYCPSCLCRVCLSDKDDDMIVMCDGCDEAYHTYCMRPPCTAIPSGKWYCVACHVVRARQGMRRYERRILQQHANSDVWKAIGANKSVDMLLRAAEKLSSEESLAAGKKDK >DRNTG_19858.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19656077:19659760:-1 gene:DRNTG_19858 transcript:DRNTG_19858.6 gene_biotype:protein_coding transcript_biotype:protein_coding MENVKYVKDLKFPGSPEDLIDNGRETTEFDESRESSISRLEPAEQRQVPMAAISSLCKLCGTYEDDDRRFLVCGNPLCPYKYYHISCLKSNRVTIHKQSSRCWYCPSCLCRVCLSDKDDDMIVMCDGCDEAYHTYCMRPPCTAIPSGKWYCVACHVVRARQGMRRYERRILQQHANSDVWKAIGANKSVDMLLRAAEKLSSEESLAAGKKDK >DRNTG_19858.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19658772:19660529:-1 gene:DRNTG_19858 transcript:DRNTG_19858.11 gene_biotype:protein_coding transcript_biotype:protein_coding MESFEGVKLNGGEHSQVSSREGLITYKRKRSRPTALSLGDNASGLAEEKVLCMLKRKRKSKDIGWEHGVMIGGNRFHWQCKWCGVSRNSGGVSRLKKHIAGAGDTIKCMKVPENIAKAMKAHLLDKGMLKRQTRFRRNGANRNSSGFSLSEDSGDENPGPSEMDVQARSDPQLSCGAAQRSVPENIKLEAGIHKHSMDSPHETVLPAGMHNKRGSSKVNRHWRNILETMLHYQDGSGGGVIWSCIRDALASTSFPSNRNFKMNGVTDDTTQQK >DRNTG_19858.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19655521:19660529:-1 gene:DRNTG_19858 transcript:DRNTG_19858.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENVKYVKDLKFPGSPEDLIDNGRETTEFDESRESSISRLEPAEQRQVPMAAISSLCKLCGTYEDDDRRFLVCGNPLCPYKYYHISCLKSNRVTIHKQSSRCWYCPSCLCRVCLSDKDDDMIVMCDGCDEAYHTYCMRPPCTAIPSGKWYCVACHVVRARQGMRRYERRILQQHANSDVWKAIGANKSVDMLLRAAEKLSSEESLAAGKKDK >DRNTG_19858.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19656077:19659760:-1 gene:DRNTG_19858 transcript:DRNTG_19858.5 gene_biotype:protein_coding transcript_biotype:protein_coding MENVKYVKDLKFPGSPEDLIDNGRETTEFDESRESSISRLEPAEQRQVPMAAISSLCKLCGTYEDDDRRFLVCGNPLCPYKYYHISCLKSNRVTIHKQSSRCWYCPSCLCRVCLSDKDDDMIVMCDGCDEAYHTYCMRPPCTAIPSGKWYCVACHVVRARQGMRRYERRILQQHANSDVWKAIGANKSVDMLLRAAEKLSSEESLAAGKKDK >DRNTG_19858.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19655521:19660529:-1 gene:DRNTG_19858 transcript:DRNTG_19858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVKYVKDLKFPGSPEDLIDNGRETTEFDESRESSISRLEPAEQRQVPMAAISSLCKLCGTYEDDDRRFLVCGNPLCPYKYYHISCLKSNRVTIHKQSSRCWYCPSCLCRVCLSDKDDDMIVMCDGCDEAYHTYCMRPPCTAIPSGKWYCVACHVVRARQGMRRYERRILQQHANSDVWKAIGANKSVDMLLRAAEKLSSEESLAAGKKDK >DRNTG_19858.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19656077:19659760:-1 gene:DRNTG_19858 transcript:DRNTG_19858.7 gene_biotype:protein_coding transcript_biotype:protein_coding MENVKYVKDLKFPGSPEDLIDNGRETTEFDESRESSISRLEPAEQRQVPMAAISSLCKLCGTYEDDDRRFLVCGNPLCPYKYYHISCLKSNRVTIHKQSSRCWYCPSCLCRVCLSDKDDDMIVMCDGCDEAYHTYCMRPPCTAIPSGKWYCVACHVVRARQGMRRYERRILQQHANSDVWKAIGANKSVDMLLRAAEKLSSEESLAAGKKDK >DRNTG_19858.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19657716:19660529:-1 gene:DRNTG_19858 transcript:DRNTG_19858.8 gene_biotype:protein_coding transcript_biotype:protein_coding MESFEGVKLNGGEHSQVSSREGLITYKRKRSRPTALSLGDNASGLAEEKVLCMLKRKRKSKDIGWEHGVMIGGNRFHWQCKWCGVSRNSGGVSRLKKHIAGAGDTIKCMKVPENIAKAMKAHLLDKGMLKRQTRFRRNGANRNSSGFSLSEDSGDENPGPSEMDVQARSDPQLSCGAAQRSVPENIKLEAGIHKHSMDSPHETVLPAGMHNKRGSSKVNRHWRNILETMLHYQDGSGGGVIWSCIRDALASTSFPSNRNFKMNGVTDDTTQQKDESEGCFAAQGQCQRLEKSGDVLSQVSETSTHYLHTHNRTLKCANVFREILLSEKFALLCDLLQGNFHDVKLNGVFDLSMINSRMGSGYYEQFPEFFDQDIQLVWRKLQKIGDNMVSLASCLSGISRTLYKKQVGEDLACENAEQKFEAS >DRNTG_24892.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:339562:341954:-1 gene:DRNTG_24892 transcript:DRNTG_24892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVMDQNQQQQQPYKHYCRICKKGFGCGRALGGHMRAHGITDEPTNHADTDDDSPPACNDWDDDNTKPNTYKRMYALRANLNRRVCENCGKEFVSWKSFLAHGRCSSDDDSEGDSMGSNGEEDLVGCAAWSKGKRSRRCRTSEEEDLANCLVMLSAARVEPILVAEAEESCASASREEDVNRGLIPVSLGDKCKAPAAPAVPTGVFECKACKKVFNSHQALGGHRASHKKVKGCFAAKLEDLEEATARDEEVVITATITNENSNFGNDAMAMAMSVVPLDQHEPLRKKSKVHECSICHRVFASGQALGGHKRCHWITSNAGDSKPHATVPASSQLQLSPMFDSSKPLDLNVPASADETIGSPLRLEVPAAAYVQAMVDQRNNNINESSNHLTVDHDESYSKVKLAKLSELKDINMGGESAPWLQVGIGSSTNEK >DRNTG_24892.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:340356:341954:-1 gene:DRNTG_24892 transcript:DRNTG_24892.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVMDQNQQQQQPYKHYCRICKKGFGCGRALGGHMRAHGITDEPTNHADTDDDSPPACNDWDDDNTKPNTYKRMYALRANLNRRVCENCGKEFVSWKSFLAHGRCSSDDDSEGDSMGSNGEEDLVGCAAWSKGKRSRRCRTSEEEDLANCLVMLSAARVEPILVAEAEESCASASREEDVNRGLIPVSLGDKCKAPAAPAVPTGVFECKACKKVFNSHQALGGHRASHKKVKGCFAAKLEDLEEATARDEEVVITATITNENSNFGNDAMAMAMSVVPLDQHEPLRKKSKVHECSICHRVFASGQALGGHKRCHWITSNAGDSKPHATVPASSQLQLSPMFDSSKPLDLNVPASADETIGSPLRLEVPAAAYVQAMVDQRNNNINESSNHLTVDHDESYSKVKLAKLSELKDINMGGESAPWLQVGIGSSTNEVSSDHP >DRNTG_22581.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17398342:17402428:1 gene:DRNTG_22581 transcript:DRNTG_22581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVSGRRLASLSWRPAPAASFVSSQNLIGGANPASNDNSKPIGPGFIRELGLLGAIRGYASESLAPRPHDLGLADLPATVAAVKNPSSKIVYDEYNHERYPAGDPSKRAFAYFVLTGGRFVYASLLRLLVLKFVLSMSASKDVLALASLEVDLSSIEPGSTVTVKWRGKPVFIRRRTEDDIKLANSVDLSTLRDPQEDSARVKNPEWLVVVGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPFNLEVPTYTFLEENKLLVG >DRNTG_06772.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000304.1:14179:16322:1 gene:DRNTG_06772 transcript:DRNTG_06772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFVTLLFLLFSLALLSVFWLLPSASLRRLKSNGFLGPSPNFPFGNLMEMRQTSKTSSSSTTTTTTTTIGNHDIHSVVLPYFARWKKLYGKVFVYWLGTEPFLYVAEPEFLKQIVSGVLSKSWGKPSVFRQDRRPMFGNGLVMVDGDYWQHHRHIITPAFSPANLNGMVSLMVESTTSMIDEWSKRVRSGESEIDVEKYIIRNAAEIIAKTSFGISEDEGKIVFEKLQAMQTMLFKSQRFVGVPFSKLLSPMKSYEAWKLGKEIDHLLLSIIKSRKENELAYGTSTTHQDLLGILLARNQENTGRERKLTARELVDECKTFFFGGHETTALALTWTLFLLALYPQWQDILRDEIIEVFNGDHHSLDSTILSKLTKMGWVLNEVLRLYSPAPNVTRQAIKDIKVGNKMVIPKGTNMWIDVVAMHHDEELWGDDVNEFRPERFKESNNGGCKHRMGYLPFGFGGRLCVGRNLTMMEYKIVLTLLLSKFSFKVSPSYLHCPRYMLSLRPSHGVPLILEPIQ >DRNTG_00671.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16018838:16020652:-1 gene:DRNTG_00671 transcript:DRNTG_00671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLISKCLVKASPPPKHPAGQKCHLATWDLAKLSSNYIQKGHLYAYVPATISIDNIIHNLKTSLSTTLHHFYPLAGRFSTQYELDSDGKVTGTFVFIDCNNEGAEFVHAEAKSISVEDVLAPNSDVPTFIQSFFQLDGASNYDGHSFSLVAVQLTVLADGIFLACSFNHAAADGTSFWHFMNTWAEISRTKTTVPSRPPVLGRYFMDGIKPPLKLPVSYESDFIDRFSPPPLREKIFHLTSEAIAKLKAKANEESGTNNISSYQSISALIWRCISRARRLPADKLTTCRVSIQNRTRLQPPLSPNYFGNSYNVLSMTATAGELLGNGIGWAAGRIHEAVVAHKDDTIRGIMRNWMESPVFSKLSLVDESTVAISSSPRFDMYGCEFGWGKAVALRSGSANKVDGKVMYYPGWEGGGSVDLEVCLLPKFMTALESDPEFKDVVSPAVPLHLP >DRNTG_24243.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19901216:19903951:1 gene:DRNTG_24243 transcript:DRNTG_24243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLLFPNPHSHLLFRRAAVSSTTTTPEPPRAVVIGAGLAGLSAAVHLHSAGLPFLLLEASDAVGGRVRTDRHAGFLLDRGFQILLTAYPELRRLLNLPALQPQPFFPGALVFHSGRFHRLADPFRLPLAALPTLPNPIGSIPDKLLVGLTRLRAASIPHDVLLSAPETSISDRLRSSGFSPSIIDRFFRPFLAGIFFDHDLSTTSRLFDFVFRCLALGDNALPANGIAAIPSQLAARLPTSSLRLQSRVSSINPGRPPRVSLHSGDAIAAEHGVILAVEQPEAQKLLPSVHVNRVKNKPARSTVCIYFSANRAPISEPILILNGSGEGIVNNMFFPTNVAPSYGPEGKVLVSVSLVGEYNQRSDEDLTVEVVKELGGWFGSEEVGSWEHLRTYRIGFAQPDQTPPTDLTGKDPRVADGVYVCGDHWASATFDGALVSGRRAAEALIADLQR >DRNTG_07597.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15138335:15138742:-1 gene:DRNTG_07597 transcript:DRNTG_07597.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTIPMAPTKKNTPEISKEREMRGGGGTAAGILRGID >DRNTG_07597.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15138335:15142058:-1 gene:DRNTG_07597 transcript:DRNTG_07597.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVGWITWKSLWWWTSILLVNRFNCKPAASGEMPLFHVHLEDFLGQLGPRNSTKLSAHSFCSSFLGLSFLSNATTYSARITGSALVRARASGSEGNQVLRSAARRSADPNPVCTPTKPSSFGRSSNPAPPPTLDLDRKLLSVSSALPHRNTETCTVRGGRRSTTMAKTIPMAPTKKNTPEISKEREMRGGGGTAAGILRGID >DRNTG_07597.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15138335:15142058:-1 gene:DRNTG_07597 transcript:DRNTG_07597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVGWITWKSLWWWTSILLVNRFNCKPAASGEMPLFHVHLEDFLGQLGPRNSTKLSAHSFCSSFLGLSFLSNATTYSARITGSALVRARASGSEGNQVLRSAARRSADPNPVCTPTKPSSFGRSSNPAPPPTLDLDRKLLSVSSALPHRNTETCTVRGGRRSTTMAKTIPMAPTKKNTPEISKEREMRGGGGTAAGILRGID >DRNTG_07597.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15138335:15142058:-1 gene:DRNTG_07597 transcript:DRNTG_07597.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNILGPRNSTKLSAHSFCSSFLGLSFLSNATTYSARITGSALVRARASGSEGNQVLRSAARRSADPNPVCTPTKPSSFGRSSNPAPPPTLDLDRKLLSVSSALPHRNTETCTVRGGRRSTTMAKTIPMAPTKKNTPEISKEREMRGGGGTAAGILRGID >DRNTG_07597.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15138335:15142058:-1 gene:DRNTG_07597 transcript:DRNTG_07597.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNILGPRNSTKLSAHSFCSSFLGLSFLSNATTYSARITGSALVRARASGSEGNQVLRSAARRSADPNPVCTPTKPSSFGRSSNPAPPPTLDLDRKLLSVSSALPHRNTETCTVRGGRRSTTMAKTIPMAPTKKNTPEISKEREMRGGGGTAAGILRGID >DRNTG_07597.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15138335:15142058:-1 gene:DRNTG_07597 transcript:DRNTG_07597.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSLSFFIFMHNTYEFGPRNSTKLSAHSFCSSFLGLSFLSNATTYSARITGSALVRARASGSEGNQVLRSAARRSADPNPVCTPTKPSSFGRSSNPAPPPTLDLDRKLLSVSSALPHRNTETCTVRGGRRSTTMAKTIPMAPTKKNTPEISKEREMRGGGGTAAGILRGID >DRNTG_31507.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14201105:14202235:1 gene:DRNTG_31507 transcript:DRNTG_31507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRDARVCANLPVFELAQPFGGAQGQLHSSIPTYTHRTRSPSTYPSLKKQSDPQNKRVPVYVTSMKSGFGSIFLAEYCGRKKVGRGASIEAYPIPDEGILGRRVEDSPQDHRHDQRGGASLFPSDVIDSTSVPRVLCGHNRVNGLRDDPPLGLSCPCNGVKH >DRNTG_04161.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:449642:453198:1 gene:DRNTG_04161 transcript:DRNTG_04161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISTGASTHSWHPVMSADTTEPRYWWNWRFFLCAIWVLVSMIAASILIWKYEGHDGERSQRGAENQRSPVGTLYADETWRPCLKQLHPAWLLAFRVLAFSLLSALLAIYVVVDGRGVFYYYTHDIYIYASYLPWDIFQLGSLLSVYGCYQYVNKVGGAKVNHTRLDHEQGECIAHTDVGNSNARSMKKCSVSQEEHCDREIAGFWGYLFQIIYQTNAGAVMLTDCVFWLVLFPFLTMKKYDLNFFLVGMHSVNAVFLLADTFLNQLRFPWFRISYFLLWTSIYVIFQWIVHACVPVWWPYPFLDLSSNHAPLWYLLLAVLHAPCYAIFSFVIKLKHFLLSKWFPQSYQCLK >DRNTG_15326.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15550836:15555896:-1 gene:DRNTG_15326 transcript:DRNTG_15326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHAVFAGDPDIISDFVLSPNTAIVGANYFTYTRLQSLFEADPPEAFTVSKVMKVEFPVLDGQSVSYAVLQFPSGAVNPPHTHPRGAEVLFLVKGSLQVGFVDTANKLYTQTLQPGDMFVFPTGLIHYQICGHNPAIVISAFRSANAGTVSIPATVFATGIDENILSKSFKTDIPTIEMLKFNIGKSLK >DRNTG_22455.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7210941:7219315:-1 gene:DRNTG_22455 transcript:DRNTG_22455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDRREEEQDPSQQSTDATAVWPFLRFDSPPRKIYHFFQQFRNGVENPSNYNNFLKGVKWSPDGTCFLTSCDDNTVQLFHLPEEASYIDQLASDYQDSYHSSLVINEAEMVYDYCWYPYMCSSDPTSSVFVATTRDHPIHLWDATSGQLRCTYRAYNEMDEITAALSVSFSSSGTKLFAGYNKMLRVFDVHRPGRDFQQFSLHKGKDGPSGLM >DRNTG_22455.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7214641:7216832:-1 gene:DRNTG_22455 transcript:DRNTG_22455.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDRREEEQDPSQQSTDATAVWPFLRFDSPPRKIYHFFQQFRNGVENPSNYNNFLKGVKWSPDGTCFLTSCDDNTVQLFHLPEEASYIDQLASDYQDSYHSSLVINEAEMVYDYCWYPYMCSSDPTSSVFVATTRDHPIHLWDATSGQLRCTYRAYNEMDEITAALSVSFSSSGTKLFAGYNKMLRVFDVHRPGRDFQQFSLHKGKDGPSG >DRNTG_22455.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7217736:7219315:-1 gene:DRNTG_22455 transcript:DRNTG_22455.4 gene_biotype:protein_coding transcript_biotype:protein_coding QVREHSHHFLRPPIIARRALCFRAESQGIERKKLHNSKGLHYLNQCCCDELDNVDDSKEYLETRRTMGIVRISSDEQACVFASFQFGRKILINGHYLLVSQEMHCFLMIFSMCVNVILGTILFEQK >DRNTG_22455.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7214641:7219315:-1 gene:DRNTG_22455 transcript:DRNTG_22455.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDRREEEQDPSQQSTDATAVWPFLRFDSPPRKIYHFFQQFRNGVENPSNYNNFLKGVKWSPDGTCFLTSCDDNTVQLFHLPEEASYIDQLASDYQDSYHSSLVINEAEMVYDYCWYPYMCSSDPTSSVFVATTRDHPIHLWDATSGQLRCTYRAYNEMDEITAALSVSFSSSGTKLFAGYNKMLRVFDVHRPGRDFQQFSLHKGKDGPSG >DRNTG_31851.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001755.1:10148:11721:1 gene:DRNTG_31851 transcript:DRNTG_31851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDKLHLLFFPLMSPGHFIPMVDMARLFSSFPNVHCSFITTPANPITISAVNVITIPFPDPSITGLAVGQENLSTVPTSGFTTFTTALFHFRDPITTLLHDLRPDALISDSLFPWTAAVARDLHIPRIIFHGAGAFPLYVSSKVLSQFPIQTPSFSIAGQPHEIHLHKDGLPELFSNFDMLRQLGEAEFTSYGVVINTFYEMEPSYVDYYKINTKAWCVGPLSEFGREGRVEEDHEVLSWLDNQPEGSVIYVCFGSLCHFTAAELREIAVGLEKSGERFVWVVRKEFEEDEVKEEWLPEGFEKRVEGRGMMIRGWVPQVKVLRRAAVGWFVTHCGWNSLQEGVVAGVGLVTWPLFHEQFVNQELAVEVMGVGVRMWDGFRRRRGEEVVVTAEEIAGVVKKVMGGGEEVEKVKRKAKEYGEKGRKAVEESGSTFEDVRRLVEDLEARRRERMVAGG >DRNTG_22713.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1486172:1491205:1 gene:DRNTG_22713 transcript:DRNTG_22713.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVAAIFAFVSFTLLLSVISLPAHIREIKVFKHEESNRHSSAGVFLLGHLLASIPFLFLITISSALVFYFLVGLQSEFSLLMYFVLNMFTSLLANEGLLMLVACIWLESFAAIITTVFIHVIMMLVGGYFRLRDGLPEPIWYYPFSYISFHTYAVQGLLENEYIGTSFAVGTSEGYIWCASSSWFVWHFFVKGCKVVQLTHTVSDGGRLSNGLVLLASLKCEETHEWIAGKSWDEMKERAMKCRQLFELEICVLLCKLQKMCMFSSFEC >DRNTG_22713.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1486879:1491205:1 gene:DRNTG_22713 transcript:DRNTG_22713.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVAAIFAFVSFTLLLSVISLPAHIREIKVFKHEESNRHSSAGVFLLGHLLASIPFLFLITISSALVFYFLVGLQSEFSLLMYFVLNMFTSLLANEGLLMLVACIWLESFAAIITTVFIHVIMMLVGGYFRLRDGLPEPIWYYPFSYISFHTYAVQGLLENEYIGTSFAVGTSEGYIWCASSSWFVWHFFVKGCKVVQLTHTVSDGGRLSNGLVLLASLKCEETHEWIAGKSWDEMKERAMKCRQLFELEICVLLCKLQKMCMFSSFEC >DRNTG_22713.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1486172:1491205:1 gene:DRNTG_22713 transcript:DRNTG_22713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVAAIFAFVSFTLLLSVISLPAHIREIKVFKHEESNRHSSAGVFLLGHLLASIPFLFLITISSALVFYFLVGLQSEFSLLMYFVLNMFTSLLANEGLLMLVACIWLESFAAIITTVFIHVIMMLVGGYFRLRDGLPEPIWYYPFSYISFHTYAVQGLLENEYIGTSFAVGTSEGYIWCASSSWFVWHFFVKGCKVVQLTHTVSDGGRLSNGLVLLASLKCEETHEWIAGKSWDEMKERAMKCRQLFELEICVLLCKLQKMCMFSSFEC >DRNTG_33191.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001971.1:886:1229:1 gene:DRNTG_33191 transcript:DRNTG_33191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGRGLAPPLEELRDCSRGLASSSSLHHQP >DRNTG_22646.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20962308:20974764:-1 gene:DRNTG_22646 transcript:DRNTG_22646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSAIWKAPRILYALEMRTRCQDSREKRRWWPEKSVERRGPLKDSTMRWRVRGELGQEYRKGWNCLGLEFLDVSATFDHQRIMAFVFSENLKVFINTSFLLLLCFFFTSQIRSPSASFDLTSYSIPKGDGCVGLQRLKDPYAKCLYLKTHLPCVSQGYVNYLYIFHCVCGGHPALGYAILALWLLILFYLLGNTASQYFCSSVESLSRELKLSPTIAGVTLLSLGNGSPDVFASIVSFRAGSGEVGLSSVLGGAFFVSCVVVGIINICAASLRSEIRIDRSSFIRDVCFFIAVLSSLLGILIAGKITIWGAMAFTSLYFIYVSIISATHFCGDKYEELVVPILDAKELDEPVSIAKEATESEEQEQERPSSNCFGFNERATSYVAWFLYLIDMPLYLPRRITIPDITEERWSRPFAVASASIAPLLIATLWNTKRGVGMGTKEGLTIYLYGALIGLVLGLMALHTTKKASPPKKCLFPWLAGGFLMSVLWTYIIAEELVGLLVSLGYVFGISPSILGLTVLAWGNSIGDLIANVAMAMNGGQDGAQIAISGCYAGPIFNTLAGLGLSLVVSAWTVHPAPFVIPVGPPLFEILGFMIGGLLWALVILPRKEMKLDRVLGIGLLAIYLCFLSLRLSQSLGLVVQEGVKTVLRNGSGAWILRNVLLQGEERGKIPSRLAVQGGAGIPHGGVTKGDSCPAKNFGPRNPRPMGSGDGDGDPIPCSAPSRPTSIPRESRVKPEDLTGRTYARKDARKDHPKESMRRAYACKDSCNGSTMKLMVQRLLADPSSPAPYGHVPMPISNKLRKNEGKSPGFGDNFWRRFGSIITEKKIVAVKLTLTAFAEGSWEFSDDQPLARLRRRTTGVHTGEGKGNEEQKQRSGRRVKRKGGDYDAIEAPSTFIDHIKPCEAKLQEELQLVS >DRNTG_12542.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21097613:21101810:1 gene:DRNTG_12542 transcript:DRNTG_12542.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 2 [Source:Projected from Arabidopsis thaliana (AT2G39780) UniProtKB/Swiss-Prot;Acc:P42814] MAMAAAGTPLLSLFFFLISASLLLPGLQGSADRGVLGLSQREFDYLALALQWPGTYCQSTHYCCPSNGCCRPYALTQFTIHGLWPDYDDGTWPACCSSSEFDVKKISSLIPTLEKYWPSLSCSSASLCHGGKGLFWGHEYEKHGTCSYPVIQDEYSYFSKALDLYFKYNITDILSRAGFLATNSETYFVKDIVAALEKAIGTSPQLVCKRGSLQELRICFYKDFTPRDCGILSSVLHSSSLARSSCPKAD >DRNTG_12542.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21097613:21101810:1 gene:DRNTG_12542 transcript:DRNTG_12542.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 2 [Source:Projected from Arabidopsis thaliana (AT2G39780) UniProtKB/Swiss-Prot;Acc:P42814] MAMAAAGTPLLSLFFFLISASLLLPGLQGSADRGVLGLSQREFDYLALALQWPGTYCQSTHYCCPSNGCCRPYALTQFTIHGLWPDYDDGTWPACCSSSEFDVKKISSLIPTLEKYWPSLSCSSASLCHGGKGLFWGHEVRIVSRSSCHPTIEFSFINDKYLIFSLLLWCMCWISNLCSMVMPL >DRNTG_12542.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21097613:21101810:1 gene:DRNTG_12542 transcript:DRNTG_12542.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 2 [Source:Projected from Arabidopsis thaliana (AT2G39780) UniProtKB/Swiss-Prot;Acc:P42814] MAMAAAGTPLLSLFFFLISASLLLPGLQGSADRGVLGLSQREFDYLALALQWPGTYCQSTHYCCPSNGCCRPYALTQFTIHGLWPDYDDGTWPACCSSSEFDVKKISSLIPTLEKYWPSLSCSSASLCHGGKGLFWGHEDIEPVQYEKHGTCSYPVIQDEYSYFSKALDLYFKYNITDILSRAGFLATNSETYFVKDIVAALEKAIGTSPQLVCKRGSLQELRICFYKDFTPRDCGILSSVLHSSSLARSSCPKAD >DRNTG_12542.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21097613:21101810:1 gene:DRNTG_12542 transcript:DRNTG_12542.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 2 [Source:Projected from Arabidopsis thaliana (AT2G39780) UniProtKB/Swiss-Prot;Acc:P42814] MAMAAAGTPLLSLFFFLISASLLLPGLQGSADRGVLGLSQREFDYLALALQWPGTYCQSTHYCCPSNGCCRPYALTQFTIHGLWPDYDDGTWPACCSSSEFDVKKISSLIPTLEKYWPSLSCSSASLCHGGKGLFWGHEVRIVSRSSCHPTIEFSFINDKYLIFSLLLWCMCWISNLCSMKSMGHALIQ >DRNTG_12542.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21097613:21101810:1 gene:DRNTG_12542 transcript:DRNTG_12542.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 2 [Source:Projected from Arabidopsis thaliana (AT2G39780) UniProtKB/Swiss-Prot;Acc:P42814] MAMAAAGTPLLSLFFFLISASLLLPGLQGSADRGVLGLSQREFDYLALALQWPGTYCQSTHYCCPSNGCCRPYALTQFTIHGLWPDYDDGTWPACCSSSEFDVKKISSLIPTLEKYWPSLSCSSASLCHGGKGLFWGHEYGNATLTLSNR >DRNTG_29594.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1184595:1195691:-1 gene:DRNTG_29594 transcript:DRNTG_29594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNPLTLVGDDLELEQTLRRRDTPTSPRVAALTSCTGVGRTCFDPIALFLLMVYPSVEQVDFCRANREVVIGETSRKFV >DRNTG_23692.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:22148308:22148479:-1 gene:DRNTG_23692 transcript:DRNTG_23692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMRSSLSQYTTSMRSSLSRYTTHVEANL >DRNTG_21137.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2441985:2447979:-1 gene:DRNTG_21137 transcript:DRNTG_21137.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRRFTQVASSSDDEDDKPKRQTDGADDRSRKRRARALRDEDEDDEKQPSKARGGGGDGEDEEEEEEAVQEDAKPIGDPVRVSGKGRKKKNHYASFEYDGNVFQLEDSVLLSPEDKGQKPYVAIIKDITQDIDGNMMVTGQWFYRPEEAEKKGGGSWQTRDTRELFYSFHFDDVPAESVMHKCVVHFIPLHKQLPLRSQFPGFIVQRVYDAVQKRLWKLTDKDYEDTKQNEIDVLVQKTRDRMGELPDIETTEETSAQQDEQPSLKSKWNLRRKSIHPIDVSRDDISTKSDQSLKAETPGSCTSDVSEYHAILAKRKALTGDPYRDKWLEKLLQGIKLVCNSKDDAGVVDRQKSDGDHGKPKVTSNDGVVPSVPGGTPKISALDAESLPDAAIEAVTTLEKVSYEALGSDFQKYNQKMRKLDFNLKNNALLARRLLNKELEPSVIINMSPNELKDGLTAQEKATKEPEKSDQMQMTDARCSRCMEKKVGVADIIQAGGLGDRYQLECIACGHTWYASRDAISSLTIDTPTVVGNVGTAPWATAKFENVAKKLVSPRESQKPGADYFHKSTTAYMPVLETQKSFNRPKNESKPPAADDAQ >DRNTG_21137.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2441573:2447979:-1 gene:DRNTG_21137 transcript:DRNTG_21137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRRFTQVASSSDDEDDKPKRQTDGADDRSRKRRARALRDEDEDDEKQPSKARGGGGDGEDEEEEEEAVQEDAKPIGDPVRVSGKGRKKKNHYASFEYDGNVFQLEDSVLLSPEDKGQKPYVAIIKDITQDIDGNMMVTGQWFYRPEEAEKKGGGSWQTRDTRELFYSFHFDDVPAESVMHKCVVHFIPLHKQLPLRSQFPGFIVQRVYDAVQKRLWKLTDKDYEDTKQNEIDVLVQKTRDRMGELPDIETTEETSAQQDEQPSLKSKWNLRRKSIHPIDVSRDDISTKSDQSLKAETPGSCTSDVSEYHAILAKRKALTGDPYRDKWLEKLLQGIKLVCNSKDDAGVVDRQKSDGDHGKPKVTSNDGVVPSVPGGTPKISALDAESLPDAAIEAVTTLEKVSYEALGSDFQKYNQKMRKLDFNLKNNALLARRLLNKELEPSVIINMSPNELKDGLTAQEKATKEPEKSDQMQMTDARCSRCMEKKVGVADIIQAGGLGDRYQLECIACGHTWYASRDAISSLTIDTPTVVGNVGTAPWATAKFENVAKKLVSPRESQKPGADYFHKSTTAYMPVLETQKSFNRPKNESKPPAADDAQ >DRNTG_18760.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1297774:1299913:1 gene:DRNTG_18760 transcript:DRNTG_18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRIGALACTOSYLDIACYLGLYCEROL 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G27695) UniProtKB/Swiss-Prot;Acc:Q8VY77] MVLTNFTGNGVGFGFGIGCGFGVGWGFGGMPLHAFGLGIGGGCGVGLGLGWGFGSSFGCQYRNSKVRFRGIEFDSKVINEKPDQDVHTSK >DRNTG_01736.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4175491:4181584:1 gene:DRNTG_01736 transcript:DRNTG_01736.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSFSSASSDKPHHHGLNLSFESLASSVLSRLPFPKPSSPPVPSSTSVTVPLVFPFNSFYQDEGRGRSSPSPAIRNLASSVRIDGLSSGSKGVGPAFVGQVFSMLDPSGNGLMAVTTHFDIPFLTKRAPAWVKKMLANVTRSEKNGPVFRFFMDLTDAVTYVKKLNVPTGMVGACRLDVAYEHFKEKPHMFQFVPNEKQVKAANKLLKAIPQRSGKKKLDGVPVFTAENLNIAIATNDGIKWYTPYFFDKSLLDNILEASIDQHFHTLIQTRHSQRRRDVIDDSLTSEMFEENGDSLFEPPEVQEVLDEMGHSSIPLSIISKAAEIQLLDVVDKVLLGNKWLRKATGIQPKFPYMVDSFEERSAASLAKAALSTSSSNNSEGVDITQSNLGVSNLKSSDGNQGDRRQSSSHTDFRFPFGNWLPNPLSLMNRKKQKPEIDRKSKTRARGSNTDNVIQTPPNPLLPKITMVGISMGDGQASISTLKKTMENLTRELETSQKSAHIDEDKDPLFVANVGDYSSITRMNSS >DRNTG_35314.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22808353:22813220:-1 gene:DRNTG_35314 transcript:DRNTG_35314.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLRPSSSAADLLSLAVHSLSISGLLLKPDPQTTIFSGNLFSNHLHQFSSLFCITGRNEHFLMPTNPVAPDRIPGGSSSGSAVAVASSSVDFAL >DRNTG_09270.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21665969:21666983:-1 gene:DRNTG_09270 transcript:DRNTG_09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIAAFNKAMEIGSGISLAMTMKAMIELDVLEVMAVAGPGALLSPEEIASKIQTSNPDAHEVLDRMLRYLATHKVVTCEVVVGEEDGKNKRRYGLGPAHGMTFYEHVDNTPHMSELFNQAMFNHTTVLMKKMLENYKGFESVHVLVDVGGGHGGILSLIISKYPHIKAINFDLPHVVSKAKPIQG >DRNTG_02649.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22865062:22868449:-1 gene:DRNTG_02649 transcript:DRNTG_02649.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSSGCLFFSSSPATLLLRPALPRLSLPTRRPPRPRQHISLHRRATHQGKPPGTNPAAASSQSSPATHETLTDELKWEEALPSCLQRESLPRHVAIIMDGNSRWARDKGLPVSAGHEAGYRSLKEIIRLSCLWGIRALTVFAFSSENWFRPKAEVDFLMTLFQGVLTENFDIFVREGIKVRIIGDSAKLPKPLQQLAKEIQDVTVNKTRFELIVAVSYSGRQDIVLACQKIAQKVKDRLLEPDEITESLFAQELETNHSRDFPYPDLLIRTSGELRLSNFLLWQSAYSELYFTKSNWPDFGEADYIEALQSFQRRQRRFGQRIS >DRNTG_02649.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22865062:22868449:-1 gene:DRNTG_02649 transcript:DRNTG_02649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSSGCLFFSSSPATLLLRPALPRLSLPTRRPPRPRQHISLHRRATHQGKPPGTNPAAASSQSSPATHETLTDELKWEEALPSCLQRESLPRHVAIIMDGNSRWARDKGLPVSAGHEAGYRSLKEIIRLSCLWGIRALTVFAFSSENWFRPKAEVDFLMTLFQGVLTENFDIFVREGIKVRIIGDSAKLPKPLQQLAKEIQDVTVNKTRFELIVAVSYSGRQDIVLACQKIAQKVKDRLLEPDEITESLFAQELETNHSRDFPYPDLLIRTSGELRLSNFLLWQSAYSELYFTKSNWPDFGEADYIEALQSFQRRQRRFGQRIS >DRNTG_02649.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22865062:22868449:-1 gene:DRNTG_02649 transcript:DRNTG_02649.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSSGCLFFSSSPATLLLRPALPRLSLPTRRPPRPRQHISLHRRATHQGKPPGTNPAAASSQSSPATHETLTDELKWEEALPSCLQRESLPRHVAIIMDGNSRWARDKGLPVSAGHEAGYRSLKEIIRLSCLWGIRALTVFAFSSENWFRPKAEVDFLMTLFQGVLTENFDIFVREGIKVRIIGDSAKLPKPLQQLAKEIQDVTVNKTRFELIVAVSYSGRQDIVLACQKIAQKVKDRLLEPDEITESLFAQELETNHSRDFPYPDLLIRTSGELRLSNFLLWQSAYSELYFTKSNWPDFGEADYIEALQSFQRRQRRFGQRIS >DRNTG_05740.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7165367:7165807:1 gene:DRNTG_05740 transcript:DRNTG_05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMPVGRSIRHSKGFGSRTYSRKCDGKDHPEEFM >DRNTG_00964.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21021728:21027562:-1 gene:DRNTG_00964 transcript:DRNTG_00964.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLITLRPLTVKLASPPLLLLGHTTFALMANGEGEREDGQHNNNLPDKGSVEQMFERMEVPKWTEQLTPRSFVVSLGLGFLVTYLSMKMTLQLGESLSFSLFLGPLAFVMLKFLTTIMDHMAILKVPFTRQENAVVHACLLACTSITLNGTKQKMALLKAFIAAISWRELNWLFSASAMCGIASMPVLGFNALFLGFHFNFNTAAMAVGMLNELSVTIPMLLGAIFSWGWFWPYIVSKEGDWYKAGQPFISMTGQSGYVVSVTIAIALGDGIFHMGIVVIQVCYEFYIRHKQKHLILPFSLWSGPEIPSMSYDDRRRTNLFVQDRIPIQVALGGYVLFATISAIVIPVLFPSLHSFQIAAAYILAPLLSFSNAFCTGLTDWTLASAFTKFTIFFFGAWTSSDKPGSVIASLAASGIVTAVVNTASDLMTDFQTGYMTMTSPRSMFVAQIIGTAMGCIMAPICYMFFAPYSPGLLSGESLYPAGYASTYRVMAESSIKGFDFLPKNSVALATCFFFGTIAVNSLREVAKGMKWGIYRFIPSLMPMAISFYGGPSFSFDMVLGSIIACMWKRCSKSHAKLFVGVVASGFIAGDAFSQLLDSILYRFRLTAPYCMRFLTRADAASVAAFLAAVP >DRNTG_07564.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21827356:21828287:-1 gene:DRNTG_07564 transcript:DRNTG_07564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVILRFVNKQGQVVERFLVIQHVADTSAFSLKVTLDELLARHGLSISKLRGQGYDEPSNMRGEFNGLKTLILKERSFSATASVERSFSAMNIIKTDLHNKIGDEWLNDMMICYIKRQVFETIDDEAILVRFQNMQSRRIQLPLH >DRNTG_05619.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20644459:20644917:1 gene:DRNTG_05619 transcript:DRNTG_05619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFHKITCLFYGTTYPTANLYFPQVFLVQHTLKEALTDNNSFMRIMGSHMYAKFDKYWLKYSVILSIAIILDPRYKIQFVDWAY >DRNTG_34805.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1401224:1401450:1 gene:DRNTG_34805 transcript:DRNTG_34805.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLISLMLSQTARWISEICVPSSLFSVAVSTFARRGISLLMMCLVVVIRRR >DRNTG_34805.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1400697:1403074:1 gene:DRNTG_34805 transcript:DRNTG_34805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKIYSWRKWENQVYPSPNDNLQARRSKMMKRQSTFVFHHASHPWSKSRILIWMLCFIRQFKGSITKSDYMALRLGFITVSESLNLVCFFFFFDIYGSICSTSCLPLFNTTKLNDVLLFLY >DRNTG_34805.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1401224:1402262:1 gene:DRNTG_34805 transcript:DRNTG_34805.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKIYSWRKWENQVYPSPNDNLQARRSKMMKRQSTFVFHHASHPWSKSRILIWMVRS >DRNTG_29985.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7507948:7512877:-1 gene:DRNTG_29985 transcript:DRNTG_29985.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTEFFTEYGEASQYQIQEVIGKGSYGVVGAAIDTHTGEKVAIKRISDVFEHVSDATRILREIKLLRLLHHPDIVEIKHIMLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRALKYIHTANVFHRDLKPKNILANADCKLKICDFGLARASFNDSPSAVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPIFPGKNVVHQLDIMTDLLGTPSAESIARIRNEKAKRYLNNMRKKPPIPFKQKFPDVDPLALNVLERLLAFDPKDRPTAEEALADPYFHGLACKDLEPSTQPISKIEFEFERRNLTKDDVRELIYREILEYHPRMLQEYLRGSDQAGFMYPSGVDRFKRQFAHLEEHYGKGERKTPLQRQHASLPRERVCMPNDENKIADRDDDFEKRSAANVGRTILESPPRSKQGEGFEHKQPGENGVNGANYSAQRSLLKSASISASKCVIVKQRLDSKDDAISEHADDTLDGITRKAARLGVYEN >DRNTG_29985.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7507864:7512877:-1 gene:DRNTG_29985 transcript:DRNTG_29985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTEFFTEYGEASQYQIQEVIGKGSYGVVGAAIDTHTGEKVAIKRISDVFEHVSDATRILREIKLLRLLHHPDIVEIKHIMLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRALKYIHTANVFHRDLKPKNILANADCKLKICDFGLARASFNDSPSAVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPIFPGKNVVHQLDIMTDLLGTPSAESIARIRNEKAKRYLNNMRKKPPIPFKQKFPDVDPLALNVLERLLAFDPKDRPTAEEVSFIFSSVC >DRNTG_34485.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19030825:19033259:1 gene:DRNTG_34485 transcript:DRNTG_34485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPRGSTPQSARTKDLPPNFRSLGSRRREGLAGSLEANQWEMEQGA >DRNTG_11290.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1369738:1371222:-1 gene:DRNTG_11290 transcript:DRNTG_11290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELNKHHDIEHPPSHDHHHQQQQQQQHQQKHLSRIILSFTLLFLGAGGPFLLRVYFIHGGSRKWFSSWLQMGGWPVLLLPLSFSYLRQYKQTKKVSFCMSPKLTLGCSLLGLFSGLNSYLFTFSSAYLPVSTASILFSTQLAFTAFFSFFIVRQRFTACLVNAIVLLMMGSVALGLRAGGDRPEGESNGEYWMGFVMTVGAAALNGLMMPLT >DRNTG_11290.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1368571:1371222:-1 gene:DRNTG_11290 transcript:DRNTG_11290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELNKHHDIEHPPSHDHHHQQQQQQQHQQKHLSRIILSFTLLFLGAGGPFLLRVYFIHGGSRKWFSSWLQMGGWPVLLLPLSFSYLRQYKQTKKVSFCMSPKLTLGCSLLGLFSGLNSYLFTFSSAYLPVSTASILFSTQLAFTAFFSFFIVRQRFTACLVNAIVLLMMGSVALGLRAGGDRPEGESNGEYWMGFVMTVGAAALNGLMMPLT >DRNTG_11290.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1368571:1371222:-1 gene:DRNTG_11290 transcript:DRNTG_11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNKHHDIEHPPSHDHHHQQQQQQQHQQKHLSRIILSFTLLFLGAGGPFLLRVYFIHGGSRKWFSSWLQMGGWPVLLLPLSFSYLRQYKQTKKVSFCMSPKLTLGCSLLGLFSGLNSYLFTFSSAYLPVSTASILFSTQLAFTAFFSFFIVRQRFTACLVNAIVLLMMGSVALGLRAGGDRPEGESNGEYWMGFVMTVGAAALNGLMMPLT >DRNTG_12702.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000561.1:1885:2811:-1 gene:DRNTG_12702 transcript:DRNTG_12702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELGQKVSFDSKRAMVNELRRSFEEGKTMGYEWRVAQLKAILRMMDEREADFMAALHSDLSKPYFESFLHEVPAAITTYPSTAHIVSEPLGVVLVISTWNY >DRNTG_26336.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1300913:1305791:1 gene:DRNTG_26336 transcript:DRNTG_26336.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclase associated protein 1 [Source:Projected from Arabidopsis thaliana (AT4G34490) TAIR;Acc:AT4G34490] MSLPISHVEESWQMAEFYNNKVLVEYRNKDPDHVEWAKALKELYLPGLRDYVKGFYPLGPVWASAGVAVSTSSTSAGMSKPPSTKAPAPPPPPSASLFSSETASSRPKEGMSAVFQEISSGKSVAGGLRKVTDDMKTKNRTDRTSIVGASEKEPRASSSAFSKAGPPKLELQMGRKWAVENQIGKKHLVIDDCDSKQSVYIFGCKDSVLQVKGKVNNITVDKCSKMGIVFTDVVAACEIVNCNGVEVQCQGSAPTISIDNTAGCQLYLSKGSLEASITTAKSSEINVLVPGASSDDDWVEHALPQQFVHTFKDGHFVTSPVSHSGG >DRNTG_13277.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2643793:2644101:-1 gene:DRNTG_13277 transcript:DRNTG_13277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSPSSDIVEANKIIARDLETVIRHLGHSPISDEDLSLILAVILTDDGCSLLESITPKPSDPGDPHDVFAIFDTDDDGWISAKELLGVFVALSDDGCTIE >DRNTG_01560.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:9020258:9021709:-1 gene:DRNTG_01560 transcript:DRNTG_01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYKKGYSILQRISAASYVNLGEEKVDSSDSINFKRGSVDIVTFAGPKLGKLEALWIGVESGSWRMDGLHVAVINGPLSFGRSIDEVKKARVNGMQYKFEVDNMPLGEGGVSVANMRPVLSAEFFSDNILRISSLNFSQCSRLETVTHSNEESMQEYKDLKLSLLIYDTLLITAGFMVTSTAISSEAGYAFLVGGMAGLLYLLLLQRLVDGLPIDSTTDATFYNFRQTPQRLQKPLFSFALILIISLSVVKYGIAGASVSLTPMELLLGAAGFLTCKIAVVLAAFRPFNFRAEAEKTFDSLNIVSMAPSGPPSSSSLPPRTRSGSPGQTLSTPTPYSPQ >DRNTG_02000.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13456113:13457051:1 gene:DRNTG_02000 transcript:DRNTG_02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISELPCLKNFTNYYNFFTVIVNERSRVQVSDITIHVQHPLWEFYVKIISCSSLKVYMEEGYPQQASKATVTGGLPYPLVFYFVTCRLCQKKGIKVIKVFDLALQAAVNVGLHIRHMFISNPYTCRILTLNHPPSSRRLNSWIPSINQLFTTVGCPDLHIIPKTWASFQVSSSCW >DRNTG_05831.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31662318:31665936:-1 gene:DRNTG_05831 transcript:DRNTG_05831.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIKLKPLTPSSLDSTLNISDGNIKNPATLSLISCHSIPSGSCSLANNSLKSSSPEPHCLPDNARPVGSPRASNEVLPITP >DRNTG_05831.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31662318:31665936:-1 gene:DRNTG_05831 transcript:DRNTG_05831.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIKLKPLTPSSLDSTLNISDGNIKNPATLSLISCHSIPSGSCSLANNSLKSSSPEPHCLPDNARPVGSPRASNEVLPITP >DRNTG_05831.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31662318:31665936:-1 gene:DRNTG_05831 transcript:DRNTG_05831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIKLKPLTPSSLDSTLNISDGNIKNPATLSLISCHSIPSGSCSLANNSLKSSSPEPHCLPDNARPVGSPRASNEVLPITP >DRNTG_18178.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29121674:29123157:-1 gene:DRNTG_18178 transcript:DRNTG_18178.2 gene_biotype:protein_coding transcript_biotype:protein_coding VFSAYGYVHKIATFEKGACFQALIQFSNSDIASEVKTALNGRCIPRYLLPQHVTSCHLRISYSAHTNLNIKFQSSRSRDYTNPYLPLKGHSVIEGTSQSAVGPYGNKRVPESNVLLAFIKNMEYAVTIDVIHT >DRNTG_18178.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29120487:29123157:-1 gene:DRNTG_18178 transcript:DRNTG_18178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAVTIDVIHTVFSAYGTVQKIVMFENNNGMHALVQYPDVITAATAKLYLEGHCIYDGGYCKLHLSYSRHTNLTIMVNSDKSRDYTIPETRVVAAPPAFTGWQPSAPAAPVFDAVQMPDYDPSRLIIPASSSTYPGQSLMPHSGPAYPATGAYSSASAASLHASRLISLYGMHPGDSGPSGSSSSQPHVYYQ >DRNTG_09848.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19857780:19857880:1 gene:DRNTG_09848 transcript:DRNTG_09848.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDLVPDMLVVPTGTDLHDHPLVMNGSVFLQ >DRNTG_09716.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:714839:718075:1 gene:DRNTG_09716 transcript:DRNTG_09716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSQSEGKVWGLCRMPFWQSSGAGAAGGGSSSNNSSVSLTHHQHPTSHGSGRGSLGNGLVMQQKGSGSSSVSSVARSLLPTRRRLRLDPATKLYFPYEPGKQVQSAVRIKNTSKSHVAFKFQTTAPKSCFMRPPGAVLSPGESIIATGTMIHFFFLFLAFCLFSHVFTSFEVFQVC >DRNTG_02477.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2185472:2188000:-1 gene:DRNTG_02477 transcript:DRNTG_02477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLDEKETTAVFEKLFKFTGPNLKHIVERPATEGPEDGGGRYCFRLHKNRVFYVSESLVRRATNISRDRLASIGTCIGKFTKGGSFRLTVQSLDLLAAHARHKVWLKPTSEQSFLFGNPVLKGGLGRITENTKAGDGVVVFSMSDLPLGFGSCCKVSAGLQESRSEIYCCQSSV >DRNTG_13847.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9605387:9715265:1 gene:DRNTG_13847 transcript:DRNTG_13847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWIAREYLEQFRADPTWKIAGIIQAVKTNQEVDISRLKAYREKCIAIRLIDGDEQTQMARLYDYRSDQGPMIPPASVNKRI >DRNTG_03952.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:9993139:9995094:-1 gene:DRNTG_03952 transcript:DRNTG_03952.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRSKKQADKRPRESSPEPEGMRFAIPEHQVRYERLSKLRFGQTRFLDTTILRDLQQGDKLANEVEDLVSAGGLRQLLTIRELAI >DRNTG_24652.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8070873:8073131:-1 gene:DRNTG_24652 transcript:DRNTG_24652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLTLPCACLPKRSIRTLSSSAKELLRSSSSKTPLSEPPPDALPTQSLSPAPPAHFAQFLKTHAQASPSSLLRRILHHPRLRPYDLHLFNPSSSSSSFSLDHSTYELMARSLAASNRLDSLLLLLRHPCPCSDSGIFSCSRAEPIFRLSLLALLRASRLNDAESLFHTLKKSLDSSRPPADLYNILINGFSKHSLYDKALQWYHTMLKDRVKPDTYTFNILISCCCRTRSFDNALTWFKAMRAQGCDPNVVTFNTLISGFFKEKRYKEGIGVAREILDLGHSFSVATCEILVKGLCGEGKEEEACELMKEFVKRGAVPDGFEWLELIERLCRKDKAGIALKVFDEMWERGRGVSAVACSALMEGLRSVGRNEEACGVMERMMEKGMVPDLVTCNCVLEGLCDAGKTKDANRLRVLAMRKGLGNLMV >DRNTG_01297.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1910266:1911255:-1 gene:DRNTG_01297 transcript:DRNTG_01297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSIAGTTVIPPMNALEILRETIRVLRADPSAFTAILAFLICPVSAALLSNALVPTAAVTALSRRLALLALRSGLPLTHSIRHLSHHLSSSVLSSLFCFPLLLSLLLLARSSISFSVASIYAFRPILLAEFVALLRRIWRRLFLTYLCVCAAISGCVALFLAILVLVCNAFSVLGYPPEIIAYPAMLVFLTFSMAYAHTIIVCNLATVISVLEDLSGPLALLRSVHLIKGQTQAGLLMFLGSTIGMAFVEGLFEHRVKTLSYGDGSSRIWEGPLLIVMYSFVVLVDSMMSAVFYFTCRSSRMELLNGNVHGLEEVEKVLDQPMAIID >DRNTG_17011.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3775057:3776507:1 gene:DRNTG_17011 transcript:DRNTG_17011.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP721A1 [Source:Projected from Arabidopsis thaliana (AT1G75130) UniProtKB/TrEMBL;Acc:A0A178WDX2] MLGPSYFSTKCHRITSESRMSYCDRFVPTKRNRRRWSLSRKINESLENLIQVRGRECENSQNLLGLMLSANKNEGEEQIGIKEIIDECKTFYFAGKETTANLLTWVILLLAMHQDWQSKTREEVICVCGSENLPNAEDLSKLKLLGIVLKETLRLYPPAVALNKLTSRNVKLGKLNVPAGTQIYIPTIAIHRDADTWGADANDFNPSRFSKANAHYTGLFFPFGQGPIICVGQNLALVEAKVAVAMILQKFKFSVSPSYVHAPMLLLTLQPQFGAQVLFKRI >DRNTG_17011.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3773843:3776507:1 gene:DRNTG_17011 transcript:DRNTG_17011.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP721A1 [Source:Projected from Arabidopsis thaliana (AT1G75130) UniProtKB/TrEMBL;Acc:A0A178WDX2] MLEKWVKNGENSYEFEVDVHKDFHNFTADVISQVAFGSSYDDGKQIFQWQEELMLLVSVSIRSLYIPALRFVPTKRNRRRWSLSRKINESLENLIQVRGRECENSQNLLGLMLSANKNEGEEQIGIKEIIDECKTFYFAGKETTANLLTWVILLLAMHQDWQSKTREEVICVCGSENLPNAEDLSKLKLLGIVLKETLRLYPPAVALNKLTSRNVKLGKLNVPAGTQIYIPTIAIHRDADTWGADANDFNPSRFSKANAHYTGLFFPFGQGPIICVGQNLALVEAKVAVAMILQKFKFSVSPSYVHAPMLLLTLQPQFGAQVLFKRI >DRNTG_17011.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3773342:3776507:1 gene:DRNTG_17011 transcript:DRNTG_17011.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP721A1 [Source:Projected from Arabidopsis thaliana (AT1G75130) UniProtKB/TrEMBL;Acc:A0A178WDX2] MGSAPQDRLPCLSYGASQACTLKMLEKWVKNGENSYEFEVDVHKDFHNFTADVISQVAFGSSYDDGKQIFQWQEELMLLVSVSIRSLYIPALRFVPTKRNRRRWSLSRKINESLENLIQVRGRECENSQNLLGLMLSANKNEGEEQIGIKEIIDECKTFYFAGKETTANLLTWVILLLAMHQDWQSKTREEVICVCGSENLPNAEDLSKLKLLGIVLKETLRLYPPAVALNKLTSRNVKLGKLNVPAGTQIYIPTIAIHRDADTWGADANDFNPSRFSKANAHYTGLFFPFGQGPIICVGQNLALVEAKVAVAMILQKFKFSVSPSYVHAPMLLLTLQPQFGAQVLFKRI >DRNTG_05552.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12723261:12723998:1 gene:DRNTG_05552 transcript:DRNTG_05552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSWKAGGHNEQLEFEMYGSGAEESRRDTP >DRNTG_00810.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12035922:12036679:1 gene:DRNTG_00810 transcript:DRNTG_00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAEPIQAESYQKHWSCKQRRRRRQAVSPCA >DRNTG_14075.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13338935:13342144:1 gene:DRNTG_14075 transcript:DRNTG_14075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHNDEVVRDSRYPTGPYVLELYTMEYMMNLRCGVLLFRCAGDLFAEPYNPHRFGRQFGYDQHTPAFIDIPRRTPSSFYIPMHDREGRLILVYAQKWLRTVEGFFQQKHSLLIQDDFRDKRTINRERGQSSKGHRFINESIFIDDIDLTIDPVVPSKRQRTEDQINAQDLTVGFGENIGVGDDVGMEDNIGVEENIKIEEALPNPLQAIQEDESISSLGQTPSASFIKDAPSSMESDGGNTHVESVPCDSKNTNIENTPQNSGNVNVDTTPPGMQNEEVQEIAKDVHTPTEELESDGSNANVGITNLPEQAQVLRLRDEMINNIKSLNYHNYQDIINKVKESKLLFQPFNVDLNNLDPFIEKIARCASYAKEAAEDPNVQACIRSQQAKACVHERTLALEHLNNLKTDWTNDINANKEEYQKLAKREYQLQAELCEIQGTMKTLGRKIVDSTDSI >DRNTG_32843.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001922.1:64090:65650:-1 gene:DRNTG_32843 transcript:DRNTG_32843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEELREIMAQLGIRTVNEMVGRSDMLEVDEEVIKSNEKLENIDLTLLLRPAATIRPDAAQHCIQKQDHGLDMALDQMLLYMVQREGKHILMEWLQRDSVFVILVQKQL >DRNTG_02970.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22433930:22434158:-1 gene:DRNTG_02970 transcript:DRNTG_02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVASKLGFQILSFSPNPSPFIRPKSIKPYPRSNRKSPPSISSSVVEQQDISFTDSESCLIEALLGVQGRGRA >DRNTG_21173.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001205.1:26794:31773:-1 gene:DRNTG_21173 transcript:DRNTG_21173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESKERIPQINSKPFEDSSGDVPDDAKRGEDNDADANSSTNVNVVEKNQDENSDDASKSGTFNDANGKVEGGDATTDGGQSDNEDKKMDQNSDETPSDEQNKNNEVKNDEQSQSNDENRSEQDEKPDGEKSQNENDQVQQGKGGQEQDSEAGQSSDDSKSENQGKDQGSNEVFPDGAQSELLNETTTQNGAWATQAAESRNEKEVQSSLSNGQFSWKLCNITAGADYIPCLDNEKAIKKLPSTKHYEHRERHCPEEGPTCLVPLPEGYQKPIEWPTSRDKIWYSNVPRTKLAVIKGHQNWVKVSGEYLTFPGGGTQFKHGALHYVDFIQEARPDIAWGKRSRVILDVGCGVASFGGYLFDRDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPGRVFDVVHCARCRVPWHIEGGKLLLELNRLLRPGGYFVWSATPVYQNLTEDVEIWKAMSALMKSMCWEMVSKTKDTLNQVGLAIFKKPSNNECYDKRAENNPPVCGDADDANAAWNIPLQACMHKIPVDTAARGSQWPQEWPSRLEETPYWLDSTKVGVYGKPAPEDFQADYKHWKRVVSKSYLNGMGINWSSVRNVMDMRSVYGGFAAALRDMKVWVMNIVSIDSPDTLPIIYERGLFGVYHDWCESFSTYPRTYDLLHADHLFSKTKKKCKLSAVIVEVDRLLRPEGKLIVRDDTATISEVENMVKSLHWEIRLTYSKENEGLLCVQKTMWRPSESESNL >DRNTG_26203.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001349.1:74512:75996:1 gene:DRNTG_26203 transcript:DRNTG_26203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPPPIPRSGEGFSPVPRPVGWLFRKSPPHRGGSPRETRDSPPHLHPINEPSLNTTKLAS >DRNTG_06763.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21589348:21591560:1 gene:DRNTG_06763 transcript:DRNTG_06763.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor PIF7 [Source:Projected from Arabidopsis thaliana (AT5G61270) UniProtKB/Swiss-Prot;Acc:Q570R7] MNQCVPRSNSKDQANIINLPFSMPGFSERDVRMNQEVKELTWRNGKPQLGTLESIIEQATAGLAGAVAQQPSFVSWLTGEDAGEMNLGSREGSCSGDGERDKKKACRWSSPENTWEVCGGLSSSLSPENISSGDGCDSFCRSKSSSQGVEEIEKKKMKKNKKVMRRSKAATIHNQSERKRRDMINEKMRALQKLVPNSKKTDKASILDEVINYLKQLQNQVKMMTCISHMMMMMPNLQMSMMAQVAQMTQMGLGLGFMDLGSFVRPGTVPMSLPPLHHPLSFPPFTVAGEQMLQASSCSLSSNFFSAFMQQRMNMEAYKKMATMYEQLNQQQNKANPEN >DRNTG_06763.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21589348:21591560:1 gene:DRNTG_06763 transcript:DRNTG_06763.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor PIF7 [Source:Projected from Arabidopsis thaliana (AT5G61270) UniProtKB/Swiss-Prot;Acc:Q570R7] MNQCVPRSNSKDQANIINLPFSMPGFSERDVRMNQEVKELTWRNGKPQLGTLESIIEQATAGLAGAVAQQPSFVSWLTGEDAGEMNLGSREGSCSGDGERDKKKACRWSSPENTWEVCGGLSSSLSPENISSGDGCDSFCRSKSSSQGVEEIEKKKMKKNKKVMRRSKAATIHNQSERKRRDMINEKMRALQKLVPNSKKTDKASILDEVINYLKQLQNQVKMMTCISHMMMMMPNLQMSMMAQVAQMTQMGLGLGFMDLGSFVRPGTVPMSLPPLHHPLSFPPFTVAGEQMLQASSCSLSSNFFSAFMQQQRMNMEAYKKMATMYEQLNQQQNKANPEN >DRNTG_01447.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8219443:8225282:-1 gene:DRNTG_01447 transcript:DRNTG_01447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSNSLGVFSVASPSPLHFLEHLLQYPRGRCYFFSRSKHHYLFITLLLVEQTFIWIRVKHFSIHVPEEKSNNLNTSHSH >DRNTG_12510.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6746777:6747350:1 gene:DRNTG_12510 transcript:DRNTG_12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVCGNDQATGSFARTGSQSSRSLGVRMEMPSTPPTLDSDDQPQGLDDWDFTQSQPPPAETPTTSTSKAKEVNKGSKRIRREELEVMQKISIGLDRLASAAETNKGVQLSKRLYDEVMTLIGYYNKSDLGLAYDHLNAQNNLATAFINKDHDLRCFWMDGFLRQLGRDGGV >DRNTG_26987.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19332552:19333493:1 gene:DRNTG_26987 transcript:DRNTG_26987.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDAEKKRRLKALKKRSNCLSQTSL >DRNTG_03884.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3874059:3880912:1 gene:DRNTG_03884 transcript:DRNTG_03884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWAATTTATATETAEVPEGFAREAILAWYRGEFAAANAIIDALCRHIAQISDDSSEYDAVFAAIHRRRMNWIPVLHMQKYFSIADVAAELHRVSERRRPSEDPLKDEVPISEKEVEKSMAEVSPVEESGDDSSIDSKVPEDGVGGGASDRGSHCGKTCLDANQIRSDPEVSATLPERIKVSKGFVSKEQVKGRMVNIVKGLKLYKDILTDSELSKLSEFVNELRLAGQRGDLSGETFISFNKQMKGSKREIIQLGVPLFQPTKDEAANNMEPIPQVLQTVIDQLVQWRLLPGSKKPTSCIIDFFDEDESSQPYFKPPHLDNPISTLLLSDTTMAFGRVLTSDPQGNYKGSFTLPVQRGSLLVMRGNSADMARHVVCPSSNKRVCITFIKVKPPNNQTCSDGSLVNEVSQPGGIIGYGSNIGGSFATWGPVIMLEPPKTPNGINIVPGSNGTGVFLPWSPGPKKFVRHLPPRIQKRRLPYLPTIMV >DRNTG_21601.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2728656:2729052:1 gene:DRNTG_21601 transcript:DRNTG_21601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYEWEKGYTERFGLNYVDYNSLERTPKNSAKWFSKFLQPKPQN >DRNTG_20307.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5553946:5555077:1 gene:DRNTG_20307 transcript:DRNTG_20307.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEVIKHEQRFDYDRVPAVLELCYQAGVEIPEEYLSNSRLESTKGDETIATPLSSEELHSMAQRTLDAWESLRLGVQKLLLVYPAKVCEHCSEVHVGPSGHKARLCGIFKYESWRAAHKWKRAEVDDLVPPKFVWHRRPHDPQVLLDSGRGYYGHAPAVVELCSKAGARVPKKYFCMMKVYGLTHS >DRNTG_20307.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5553054:5553339:1 gene:DRNTG_20307 transcript:DRNTG_20307.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMVFRQMVGQYLFKEYNPSPIHLRFYSSKIDWDKLRPMILKRIRNRAKGYPVRGMIPVVNDVLKARALLIEGVSSLLKVIPIKSCK >DRNTG_20307.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5552001:5555077:1 gene:DRNTG_20307 transcript:DRNTG_20307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVFRQMVGQYLFKEYNPSPIHLRFYSSKIDWDKLRPMILKRIRNRAKGYPVRGMIPVVNDVLKARALLIEGVSSLLKVIPIKSCKFCPEVHIGDTGHQIKTCHGFKRIIKNQVHQWINGSLNDILVPVESFHLRSMSQEVIKHEQRFDYDRVPAVLELCYQAGVEIPEEYLSNSRLESTKGDETIATPLSSEELHSMAQRTLDAWESLRLGVQKLLLVYPAKVCEHCSEVHVGPSGHKARLCGIFKYESWRAAHKWKRAEVDDLVPPKFVWHRRPHDPQVLLDSGRGYYGHAPAVVELCSKAGARVPKKYFCMMKVYGLTHS >DRNTG_20307.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5553054:5555077:1 gene:DRNTG_20307 transcript:DRNTG_20307.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVFRQMVGQYLFKEYNPSPIHLRFYSSKIDWDKLRPMILKRIRNRAKGYPVRGMIPVVNDVLKARALLIEGVSSLLKVIPIKSCKFCPEVHIGDTGHQIKTCHGFKRIIKNQVHQWINGSLNDILVPVESFHLRSMSQEVIKHEQRFDYDRVPAVLELCYQAGVEIPEEYLSNSRLESTKGDETIATPLSSEELHSMAQRTLDAWESLRLGVQKLLLVYPAKVCEHCSEVHVGPSGHKARLCGIFKYESWRAAHKWKRAEVDDLVPPKFVWHRRPHDPQVLLDSGRGYYGHAPAVVELCSKAGARVPKKYFCMMKVYGLTHS >DRNTG_19249.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:295247:295811:-1 gene:DRNTG_19249 transcript:DRNTG_19249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSICLHALVVLGISLLMFTKGSHAQDSLSSIKTSSSLATYAKTIDQGIGYVLMLAALIITYLIH >DRNTG_35264.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3350707:3351878:1 gene:DRNTG_35264 transcript:DRNTG_35264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFFLPPRAVIPLHDHPGMTVFTKILFGSMHIKSYDWIDPIHESTQAGLAKVVMDSVFTAPSKSSILYPNAGGNIHCFTAITSCVFLDVLGPPFLDGRDCSYYKEHPSSSSSS >DRNTG_05527.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000249.1:3757:5063:1 gene:DRNTG_05527 transcript:DRNTG_05527.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCGKVNKDFSIVQMHGETSEFINVQVHGTTTPSSLTELRLSTEESHKSVPPATTNTSSGANIGIEHPMAQANTDTLHKVNLEQPSGGTRLKIPKLPTRGVKKFTNTSNKDTQNGSNVEQKKAKDTARVGGAEKRRRVWLPPGSGTSAAVNVSCELENVSKDQDFLEAMNDSFNETFKSAIEQFDEIKTLVNCQSTHRTSINRSKKTISPRKPSSSPRKPSPSMTLKSSLSSENP >DRNTG_18895.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2175216:2177967:1 gene:DRNTG_18895 transcript:DRNTG_18895.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLVITLIFLLTGVLASILVRLCFSRGPSTNLLHLTLVITATICCWLMWAIVYLAQMKPLIVPILSEGE >DRNTG_22399.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16783550:16785685:1 gene:DRNTG_22399 transcript:DRNTG_22399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRLHLATSSAIKLRSFCKCLHSISCLSKGSSLDAQKSSPENEWVRLLKPFDLAELRESLVGITPRQVSRFLELPLDVSTCLDIFNWAGAQKGYSHSFDVYFVLIRKLGEAREFKMIELLLRKSIEEGIVLRECLFIVIMKCYGSSGFPGNAVRVLDEMRAVFGCEPTFKSYNVALGILVGAGCHRMAANLFYKMLHGGVRLTTFSFSIVIKALCFMNEVESACSLLPGMTKHGCVPDAIVYQTLIHALCKHNRVKEALKLLEEMLVIGCSVDVDTFNDVIHGLCKFGRMHDAGKLINRMQLRGCKPNALTYGVFLQGLCKNGQVDEARIHLSRVPNSNVVLFNTVIGGYLNEGRFEEAKYLYDNMIRNGCCPDFYTYSIIINGLCKAGRLGSARQVLSKMESQFCKPNIVTYTILIDAFCKVGRWEEIEVILEEMSLKGLSLNTVTYNCLVSGLCKDRNIKEAMELIKRMKMEGCKPDIFTYNSLLYGLCKNDQIKEAFQLYESLLAEGVVANNVTYNSMIHALLNKGKWQDAMDLAKEMVFHGCSLDIVSYNGLIKALCKDGEVEKAMEMFENMTEKGIKPSNFSYNILINGLCKLRRVQDALKLSQEMLQRGLTPDIVTYNSIINGMCKMGWMHAALNLLEKLHHEDVLPDTITYNILISWHCKASMLDDANGLLNRAINSGIWPNAHTWNIMVNNFVREPGFLIPE >DRNTG_09678.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1350408:1351387:-1 gene:DRNTG_09678 transcript:DRNTG_09678.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNIVNQNGISTQPKFIFPVAALLSGIVGGLLGIGGGLLINPVLLQIGVPPQTTAATTCFMVLFSSSMSSAQYLILGMKGVKQALVYAQLCIVGSALGLIMMERVVIKSGRASLIVFMVSIVMALSTISITSFGAIDVLE >DRNTG_09678.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1350408:1352368:-1 gene:DRNTG_09678 transcript:DRNTG_09678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPFGHLSLEEILNHVAEQMESMQGMSSKDIKTVIAWILCFLAASISSAGGLGGGSLFLPILKLVAGLQIRTAATFSAFMVTGGSLTTVIYNLFSGTSLIDYEITLLSQPCLLLGVSVGVFFNIMFPEWLITVLFAAFLAFSTFKTCSAGVRHWKNETEEIRRRDCGDDEVSLEVATAMDVEIVCATVGTPWAKLVILVFVWLSFFGLHVLLADNDGQNNIVNQNGISTQPKFIFPVAALLSGIVGGLLGIGGGLLINPVLLQIGVPPQTTAATTCFMVLFSSSMSSAQYLILGMKGVKQALVYAQLCIVGSALGLIMMERVVIKSGRASLIVFMVSIVMALSTISITSFGAIDVLE >DRNTG_25928.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001339.1:40069:44109:-1 gene:DRNTG_25928 transcript:DRNTG_25928.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEIEGNSIILKVLQVIWMQTNPKGYPCLGIHWAGLITFERGLEVSWGSMDSESQQRGREQNKRYWTIEEDKALIDALVELSVNPIWRAENGFRGGYLLQLEKMIKDKIPQTMLKAVPNIESRVKLLRTKTTTIADILCVSGFDWNYERSTIMCEKSAYDEYVKAHKESAGLYGKSFPFFNYLVADFTKDRAYGNAKADIGDEAR >DRNTG_10164.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000425.1:68635:75990:1 gene:DRNTG_10164 transcript:DRNTG_10164.1 gene_biotype:protein_coding transcript_biotype:protein_coding SHAPVYSRDGRELFCRDPHGRAEITHAYVFVTGSSTGVSPHPCVFSGKISKSLTGSMPTKSPLITFFQAKRDVES >DRNTG_03683.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000170.1:60718:61552:1 gene:DRNTG_03683 transcript:DRNTG_03683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERASQRFARACGNYPRPCDFYKVTHKGEFKPLCAIGIICQTLEDFTRPGGNYPWACENCMVVHKGSRRPLCLLWMSSQYKFTGVQKFHTPVRFLWMP >DRNTG_13610.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000625.1:57973:82694:1 gene:DRNTG_13610 transcript:DRNTG_13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTIPEHQARFERMSRLRFEQTRFLDTNILRDLWQGDEFNDEIKDLASLSLYEEVFTDYPGALTPQKAYRALCGQGQYEPVMSKATCLSRPAYRYLHAIMSRSVNGRGRDSWGRENKYTCTPEPGDDEIYGQGLQGSHGGLCSGFIGPKIGKEEGDDAEASQPAPEPQSAPMETEPTPVTEEPPPCACLHHLKPMIILRGSSALWGMIQIEQIIERDVGSSYVIWLRTPQAPSASLVSPSAIPAPIDPPCVSAIGRVSFITYWNNIPKDLAPSNANSPSQPSWCVTHSKGLPTRILNPSVTLREYSYNQAFNIGTQIKH >DRNTG_26245.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1835908:1836479:1 gene:DRNTG_26245 transcript:DRNTG_26245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTLSHELEISLPADEIWSVYRGLELAELVVKLLPTVIYKMDLVEGTPLVQSYKEKFTKIDDEKRIKEAYVVEGGYLELGFISYMVRFEVIEKSEADSIIMSTIEYEVDEDFASKASLISTAPLAIIHETVGKYLIEKKSNA >DRNTG_09579.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21550091:21550927:-1 gene:DRNTG_09579 transcript:DRNTG_09579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLDPHLMTSMTTSTILQSPKDSNKHNSKTFSDVEEKKEDEECKTPTSKESKIPLVPTTCPPAPRKPRTIIRCKRRLSELDFFVVPQPDIECFFLPQPKKFRHLPLRERN >DRNTG_09579.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21550326:21550927:-1 gene:DRNTG_09579 transcript:DRNTG_09579.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLDPHLMTSMTTSTILQSPKDSNKHNSKTFSDVEEKKEDEECKTPTSKESKIPLVPTTCPPAPRKPRTIIRCKRRLSELDFFVVPQPDIECFFLPQPKKFRHLPLSN >DRNTG_01548.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:8306157:8311914:-1 gene:DRNTG_01548 transcript:DRNTG_01548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERARCSTNLSNDSDDPPASTPPAASRSSSNASSGPSYNGNIGINDKRNTARIWSMTTARLILRSTVHRLGSHDGDLEYFVCVYDHLHGLCWLAHLSNDHEGKQRGEAADESSSSSSSSSSSYSLLNLNYLSQFC >DRNTG_11464.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18532949:18537323:-1 gene:DRNTG_11464 transcript:DRNTG_11464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGNERRKSLFSRIFKTKSLIPRSDTKKHKKVTPEGCLSVYVGAGEERFIIRTESVNHPLFKALLDDAEMEYGYTAEGPLRLPCDVEVFQHILKEMEQDMVPSPSLSCTFPAGSYARYQLLSPSKPMVMGRQWQIEAPTTAAMAELRLKEALAGPGAGASAAKIVVAEAAAMRKEHATLFISIFRAWTRTMCSKRR >DRNTG_32358.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7211184:7214717:1 gene:DRNTG_32358 transcript:DRNTG_32358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSIRRDLWLTLVKEVILLHQFISSFKITYPTQAWEMQARTGLGVFRLHAARELLRISPPSPTSFLIFSLFDELPKGDYVLEELSNSLKQMDSVHPCSAASILKSLNMTHPIEVSKTSVEAKECISEQTSSQADSFATLDMTVNQAREEAKEVRVAKATVESMKEEGIADSLLILAELLSPLRDLLPWLQKILTWKRPAITVFVIAVSLIVIYEEWVGITLAVCVISLVGMMIRARRERISNNRQEIVVSTSSDKTTVESIVAAQHSLRSVHDMVKNMNITLLKIRAILESRAPKQANQVMLSLTALAMVLVVIPFKFILMLSVITTFTATLNAGKSTSSSQGQGHRRFREWWESIPIVPVRTINT >DRNTG_22002.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:8866400:8867051:1 gene:DRNTG_22002 transcript:DRNTG_22002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGNSSILIERDPELVRTLRRKRERTCQSAQFNGIANEDPNSHIENFLEVCDILKINRVTDNAIKLRAFPFSLKGRAKQWIHSLPRASITTWEKMVETFLGQYFPPRKSAKLRNEMSSFVQSELESLFETWERFKELLRKCLQHGFPEWMIV >DRNTG_08623.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12399649:12401607:1 gene:DRNTG_08623 transcript:DRNTG_08623.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARKNWATFSGQIGSEPNGHSCADMAPERFGSSWRRRFH >DRNTG_00307.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2116248:2119381:-1 gene:DRNTG_00307 transcript:DRNTG_00307.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESPLIPNSEKKSDGDGEDGGHVVGEVKKQLFLAGPLIASSLLQNILQVISTMFVGHLGELPLSGASMAFSFAGVSAFGLLMGMGTALDTLCGQSYGAKQYHMLGVHMQRAMLVLTLVGIPLAVIWAYTGEILAALGQDPEISAQAGIYARWMIPVLFAYGLLQCLTRFLQTQNNVVPMMISSGITTLLHLLICWLLVFKSGLGHKGAALAMSISYWLNVILLVLYVKFSPACKKTWTGFSSEALRDIFNFIKLAIPSAVMVCLEFWSFEMLVLLSGLLPNPKLETSVLSISLNTAAMVFMIPFGLSSAISTRVSNELGAGHPKAARLAICVVVALAVTEGLIVGATMTLARNLWGHAYSDEDEVVKYVAIMMPILAISNFVDGIQAVLAGTARGCGWQNIGALVNLGAYYIFGIPSAILLAFVFKIGGKGLWIGIISGLFVQLVSYSTITICTDWEKEAKKARERVYSSTLPIDQVF >DRNTG_00307.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2116248:2129360:-1 gene:DRNTG_00307 transcript:DRNTG_00307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPLIPNSEKKSDGDGEDGGHVVGEVKKQLFLAGPLIASSLLQNILQVISTMFVGHLGELPLSGASMAFSFAGVSAFGLLMGMGTALDTLCGQSYGAKQYHMLGVHMQRAMLVLTLVGIPLAVIWAYTGEILAALGQDPEISAQAGIYARWMIPVLFAYGLLQCLTRFLQTQNNVVPMMISSGITTLLHLLICWLLVFKSGLGHKGAALAMSISYWLNVILLVLYVKFSPACKKTWTGFSSEALRDIFNFIKLAIPSAVMVCLEFWSFEMLVLLSGLLPNPKLETSVLSISLNTAAMVFMIPFGLSSAISTRVSNELGAGHPKAARLAICVVVALAVTEGLIVGATMTLARNLWGHAYSDEDEVVKYVAIMMPILAISNFVDGIQAVLAGTARGCGWQNIGALVNLGAYYIFGIPSAILLAFVFKIGGKGLWIGIISGLFVQLVSYSTITICTDWEKEAKKARERVYSSTLPIDQVF >DRNTG_00307.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2116248:2129360:-1 gene:DRNTG_00307 transcript:DRNTG_00307.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPLIPNSEKKSDGDGEDGGHVVGEVKKQLFLAGPLIASSLLQNILQVISTMFVGHLGELPLSGASMAFSFAGVSAFGLLMGMGTALDTLCGQSYGAKQYHMLGVHMQRAMLVLTLVGIPLAVIWAYTGEILAALGQDPEISAQAGIYARWMIPVLFAYGLLQCLTRFLQTQNNVVPMMISSGITTLLHLLICWLLVFKSGLGHKGAALAMSISYWLNVILLVLYVKFSPACKKTWTGFSSEALRDIFNFIKLAIPSAVMVCLEFWSFEMLVLLSGLLPNPKLETSVLSISLNTAAMVFMIPFGLSSAISTRVSNELGAGHPKAARLAICVVVALAVTEGLIVGATMTLARNLWGHAYSDEDEVVKYVAIMMPILAISNFVDGIQAVLAGTARGCGWQNIGALVNLGAYYIFGIPSAILLAFVFKIGGKGLWIGIISGLFVQLVSYSTITICTDWEKEAKKARERVYSSTLPIDQVF >DRNTG_00307.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2126594:2129360:-1 gene:DRNTG_00307 transcript:DRNTG_00307.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESPLMPSSEKKSDGDGVDGGQVVAEVKKQLFLAGPLIASSLLQNILQVISIMFVGHLGELPLSGASMATSFAGVSAFGLLMGMGSALDTLCGQSFGAKQYSMMGVHMQRAMLVLTLVSVPLAVIWTYTGEILASLGQDPEISAQAGIYARWMIPVLFAYGLLQCLTRFLQTQNNVVPLMISSGITTLLHLLICWLLVFKSGLGHKGAALAMSISYWLNVILLVLYVKFSPACKKTWTGFSSEALHDILNFIKLAIPSACMVCLEYWSFEMIVLLSGLLPNPTLETSVLSISLNTASLVFMIPFGLGSAVSTRVSNELGAGRPNAARLAACVVVALAVTEGLIVGATMTLARNLWGHAYSDEEEVVKYVAIMMPILAISNFMDGIQCVLSGTARGCGWQKIGAFVNLGAYYIAGIPSAILLAFVFKIGGKGLWMGILCGLFVQVVLLSTITLCTDWEKEASKARERVHSSTVPLNHVS >DRNTG_18333.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1670064:1673757:-1 gene:DRNTG_18333 transcript:DRNTG_18333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISKKNRHEICKYLFQEGVLYAKKDYNLEKHPLIDVPNLQVIKLMQSFKSREYVRETFAWMHYYWYLTNDGIEYLRTFLNLPSEIVPATLKKSTRAPSRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGGGGEFGGEKGGAPPEFQPSFRGAGSRPGFGRGGGGGGGGFGGAGAPALE >DRNTG_34346.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21163542:21170096:-1 gene:DRNTG_34346 transcript:DRNTG_34346.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVFLATGELTPLSDVYSFGVILLHLLTGRRMLGIVREVQEALEKGFLHEIIDPSAGNWPFSHAKQLAQIGLKCCEMNLKNRPDLASEVWSSLNMMMKPSLMTVPSLSFLSDSEDIQIPSYFICPILQDVMKDPRIAADGFTYEAKALNGWFNSGHDTSPMTNLKLPHCNLIPNHALRLAIREWLQQQA >DRNTG_34346.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21163542:21167026:-1 gene:DRNTG_34346 transcript:DRNTG_34346.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVFLATGELTPLSDVYSFGVILLHLLTGRRMLGIVREVQEALEKGFLHEIIDPSAGNWPFSHAKQLAQIGLKCCEMNLKNRPDLASEVWSSLNMMMKPSLMTVPSLSFLSDSEDIQIPSYFICPILQDVMKDPRIAADGFTYEAKALNGWFNSGHDTSPMTNLKLPHCNLIPNHALRLAIREWLQQQA >DRNTG_34346.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21163542:21170096:-1 gene:DRNTG_34346 transcript:DRNTG_34346.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVFLATGELTPLSDVYSFGVILLHLLTGRRMLGIVREVQEALEKGFLHEIIDPSAGNWPFSHAKQLAQIGLKCCEMNLKNRPDLASEVWSSLNMMMKPSLMTVPSLSFLSDSEDIQIPSYFICPILQDVMKDPRIAADGFTYEAKALNGWFNSGHDTSPMTNLKLPHCNLIPNHALRLAIREWLQQQA >DRNTG_34346.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21163542:21170096:-1 gene:DRNTG_34346 transcript:DRNTG_34346.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFSARRRAPAAVEIEEVTVVGQGGDEAVEKKVFVAVEKELKQGKYKLQWAIQNFSAEVVIVLVHVHRPPQWIPIMGAMFPVSQLKEQQVRAYRQLEREKTNESLDEYMQVCQQLKVRAEKLVIEMDDIGKGLVEVVRQHRVTNLVMGAAADKHYSKKLKALRSKTAIRVKQEAHPTCMIWFVCKGNLIYTREGSGDGFGIAESPMSRPVNKAYQQLSSLPQGPGESVAWFANPTKELFGHRPSSGDLYSGGETFSASPCLSPTQISVTLSMERTEGGKIDPWETKSRRGSTNSQGSSSSTSDEVLCNPDSLLPFNEEDNEDGSLVLQSVPESDEDLQFFSASNELEDEVASAEVYDKLQRVLREAENSEREAYNERCRRRKAEVEVGHAVRLAKSSELLYAREVRRKIEIEEELDRIHLEFGTFKNQQDVICEELQKLNEQNSALELQVVDTDDIVKNIEGKLSVIQYSLHSLHENNKVLQGERDNVVRKVRELRRKNEQKLISDGAVNFPYFSCLELQEATRDFDVALKVGEDEFENVYKGWLRNAAVIIKILNPQGTEVLPDFLRELNVLCRLRHPNIVALLGACPEAFTLVYEFLPNGSLEDRLVSKRNTPFTWQARTRIATEICSALIFLHSSKPYPVVHGDLKPSNILLDANYVSKLSDTCISHLVGNYVTANSTINTRIYSHSHLKDTFTYMDPVFLATGELTPLSDVYSFGVILLHLLTGRRMLGIVREVQEALEKGFLHEIIDPSAGNWPFSHAKQLAQIGLKCCEMNLKNRPDLASEVWSSLNMMMKPSLMTVPSLSFLSDSEDIQIPSYFICPILQDVMKDPRIAADGFTYEAKALNGWFNSGHDTSPMTNLKLPHCNLIPNHALRLAIREWLQQQA >DRNTG_07259.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22930774:22931671:1 gene:DRNTG_07259 transcript:DRNTG_07259.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFQLLATLCLLSAIAAIALASPKPQFTIQGRVYCDTCRAGFETTATEYIKGAKVKMECKRYDNDEVSYEAEAVTDGSGTYSITINNDHQDEICEVVLLESPLAGCNEIVVGRNRARVVLTQNSGMSSGVRYANSLGFYKEDPLPLCGELLQMFALDD >DRNTG_07259.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22930774:22931625:1 gene:DRNTG_07259 transcript:DRNTG_07259.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFQLLATLCLLSAIAAIALASPKPQFTIQGRVYCDTCRAGFETTATEYIKGAKVKMECKRYDNDEVSYEAEAVTDGSGTYSITINNDHQDEICEVVLLESPLAGCNEIVVGRNRARVVLTQNSGMSSGVRYANSLGFYKEDPLPLCGELLQMFALDD >DRNTG_07259.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22930734:22931671:1 gene:DRNTG_07259 transcript:DRNTG_07259.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFQLLATLCLLSAIAAIALASPKPQFTIQGRVYCDTCRAGFETTATEYIKGAKVKMECKRYDNDEVSYEAEAVTDGSGTYSITINNDHQDEICEVVLLESPLAGCNEIVVGRNRARVVLTQNSGMSSGVRYANSLGFYKEDPLPLCGELLQMFALDD >DRNTG_07259.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22930734:22931625:1 gene:DRNTG_07259 transcript:DRNTG_07259.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFQLLATLCLLSAIAAIALASPKPQFTIQGRVYCDTCRAGFETTATEYIKGAKVKMECKRYDNDEVSYEAEAVTDGSGTYSITINNDHQDEICEVVLLESPLAGCNEIVVGRNRARVVLTQNSGMSSGVRYANSLGFYKEDPLPLCGELLQMFALDD >DRNTG_07259.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22930603:22931671:1 gene:DRNTG_07259 transcript:DRNTG_07259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFQLLATLCLLSAIAAIALASPKPQFTIQGRVYCDTCRAGFETTATEYIKGAKVKMECKRYDNDEVSYEAEAVTDGSGTYSITINNDHQDEICEVVLLESPLAGCNEIVVGRNRARVVLTQNSGMSSGVRYANSLGFYKEDPLPLCGELLQMFALDD >DRNTG_07259.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22930603:22931625:1 gene:DRNTG_07259 transcript:DRNTG_07259.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFQLLATLCLLSAIAAIALASPKPQFTIQGRVYCDTCRAGFETTATEYIKGAKVKMECKRYDNDEVSYEAEAVTDGSGTYSITINNDHQDEICEVVLLESPLAGCNEIVVGRNRARVVLTQNSGMSSGVRYANSLGFYKEDPLPLCGELLQMFALDD >DRNTG_14837.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000744.1:8933:9752:1 gene:DRNTG_14837 transcript:DRNTG_14837.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSWARGVCIPGGNPDVAGTWHNLLWCNVLKDHEWNARVHRQDWFKESHQGFKESNLASQCTHRSIKFVVDWGNSHQKEAQGMGKISSGFMQEQLSMDYVYDFMLHLLIKYSKLLKYKPVVPPTAVETMACSRQGLEKQFMLDSMAKSPSDRGPCAMSPPYDPGHLQDFLTMKANATKKIEELQKKNFFLQH >DRNTG_13955.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000646.1:67845:69430:1 gene:DRNTG_13955 transcript:DRNTG_13955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQSVMKGQSVKRQTMNTMRCMQMLVRVQSQIRTRRLQMMESRNIQQHQMMGKNERDIENSLNKWNFGHQLEAEGHEGWEDSTLTKDEIDVRMRRKVEAVIKRERALAYAYSHQLLKVTPMSAQAVLSDIKSGGFPWWWSWLERQVGTTQTPTSRPTTGQATTPKISTRSLAEAYPRPSSRSKQAITGNANIDASTPRSSKPIKQKYTPNSSNRWQIQGFKDDDSLTSCPAFTVPNYMVPTVSAKAKVRDGPPATPEGKRRFSFGLTQSIGSIRWSKGSSVLSTKDSESKRMSGRHRPMHSIGNLSMDSTISLPVGVGGRSFR >DRNTG_08770.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18451521:18454206:-1 gene:DRNTG_08770 transcript:DRNTG_08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKRKSFAEATMSPATIAVISLFALLIRVLVSIGPHSGEASPPKYGDYEAQRHWMEITLHTPTSEWYRNTSSNDLSYWGLDYPPLSAYQSFAHGLIINSSLPSSLALLSSRGFESPTSKRMMRWTVILSDLMVFFPAAIWFVLVHNRRRNQEDGSAWWLLSMILLNPALILIDHGHFQYNCISLGLTLGAVAAVISDKDVIGAIMFTLAINHKQMSMYFAPAFFSYLLGKCLRCRNPILEVMKLGFVVLATFALVWWPYLYSLEAILAVLARLAPFERGIYEDYVANFWCSTSVIIKWKRLFAIQPLKILSFMLTVSSFIPSLIHQIKSPSDRGFLYAMLNSSFSFYLFSYQVHEKSILLPLLPASLLAQQEPLFFGWLMYYALLSMYPLLCRDKLILQYIATLALFYLIYCSPDRRNATKGYKLSLPMKTLMALLLLSSFVLHLIYLIMKPPEKYPFLFEALMMFLCFSQFVMLTLYTNIKQWMLSDYSPQTMSKKNL >DRNTG_03964.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:19844123:19846132:-1 gene:DRNTG_03964 transcript:DRNTG_03964.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase subunit 7 [Source:Projected from Arabidopsis thaliana (ATMG00510) UniProtKB/TrEMBL;Acc:G1C2X4] MHASFIRPGGVAQDLPLGLCRDIDSSTQQFASRIDELEEMSTGNRIWKQRLVDIGTVTAQQAKDWGFSGVMLRGLKILCEALETTYPGLGTVNGFASGLWARRNRMSSPGWSAVRQNRHRLEEVSKSEGRAVGHVTCTEKVADWAFSWIKADQLAEFVARIGRPGTSNENRAAPYDVHDQSDPDVPVGTRGDRYDRYCIRIEEMRQSVRIIVQCPNQMPSGMIKADDRKLRLGTVVGLGVKHPGVGASHWARN >DRNTG_19112.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29721145:29724738:1 gene:DRNTG_19112 transcript:DRNTG_19112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSAGGDASPAPASPATKKPERPPSTFSVTCNLLSQYLKERKTAGFGDLGLGIGGSDRVRTTPTTMSLMPGVNISGNEDGEGGNEGGKGNALRNVDLELFPQRTGFASSEEAAGKTAGEVQEKSQLTIFYGGKVLVFDDFSEDKAREIVNFASRACEALLKQSVVSPVSHVDHPSGASQHNAASFPSTSGCCPPVAEQPSSLAQASGSEMPIARRASLHRFLEKRKDRVIAKAPYQLNAAPPPPAEATGAAKPEESLRWHGFKP >DRNTG_07320.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3270474:3271917:1 gene:DRNTG_07320 transcript:DRNTG_07320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFTAYVGFNEICSPKKGEYVFISAASGAVGQLVGQLAKLMGCYVVGTAGSDEKVELLKTKFGFDDAFNYKKEKSLRAALRRCFPKGIDIYFENVGGAMLDAVLLNMRDKGRISACGMISQYNLEKLEGVHFLVRVITKQLTMKGFNVADYFDLYPKFLELIIPYIKNGEITYLEDKAEGIENAPSALVGLFTGKNIGKQLVVVAEE >DRNTG_07320.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3305148:3306575:1 gene:DRNTG_07320 transcript:DRNTG_07320.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYVVGTAGSDEKVELLKTKFGFDDAFNYKKEKSLRAALRRCFPKGIDIYFENVGGAMLDAVLLNMRNKGRISACGMISQYNLEKLEGVHFLVKVITKQLTMKGFNVADYFDLYPKFLELIMPYIKNGEITYLEDKAEGIENAPAALVGLFTGKNVGKQLVVLAEE >DRNTG_07320.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3305148:3306575:1 gene:DRNTG_07320 transcript:DRNTG_07320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGDEKGVVEGNKKVLLKKYVNGFVQESDMEVVTDETILLELPHEGSKDTVLVKNLYLSCDPFMRALMSKMSESHYIEAFVPGNVIKGFGVSRVLESGHPDFKEGEYVWGITGWEEYSLITDTEKIFKIPFTDVPLSYYTGLLGLTGFTAYVGFNEICSPKKGEYVFISAASGAVGQLVGQLAKLMGCYVVGTAGSDEKVELLKTKFGFDDAFNYKKEKSLRAALRRCFPKGIDIYFENVGGAMLDAVLLNMRNKGRISACGMISQYNLEKLEGVHFLVKVITKQLTMKGFNVADYFDLYPKFLELIMPYIKNGEITYLEDKAEGIENAPAALVGLFTGKNVGKQLVVLAEE >DRNTG_07320.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3270474:3306575:1 gene:DRNTG_07320 transcript:DRNTG_07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGDEKGVVKGNKKVLLKKYVNGFVQESDMEVVTDETILLELPHEGSKDTVLVKNLYLSCDPFMRALMSKMSESHYIEAFVPGNVIKGFGVSRVLESGHPDFKEGEYVWGITGWEEYSLITDTEKIFKIPFTDVPLSYYTGLLGLTGFTAYVGFNEICSPKKGEYVFISAASGAVGQLVGQLAKLMGCYVVGTAGSDEKVELLKTKFGFDDAFNYKKEKSLRAALRRCFPKGIDIYFENVGGAMLDAVLLNMRNKGRISACGMISQYNLEKLEGVHFLVKVITKQLTMKGFNVADYFDLYPKFLELIMPYIKNGEITYLEDKAEGIENAPAALVGLFTGKNVGKQLVVLAEE >DRNTG_07320.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3270474:3271917:1 gene:DRNTG_07320 transcript:DRNTG_07320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGDEKGVVKGNKKVLLKKYVNGFVQESDMEVVTDETILLELPHEGSKDTVLVKNLYLSCDPFMRALMSKMSESHYIEAFVPGNVIKGFGVSRVLESGHPDFKEGEYVWGITGWEEYSLITDTEKIFKIPFTDVPLSYYTGLLGMTGFTAYVGFNEICSPKKGEYVFISAASGAVGQLVGQLAKLMGCYVVGTAGSDEKVELLKTKFGFDDAFNYKKEKSLRAALRRCFPKGIDIYFENVGGAMLDAVLLNMRDKGRISACGMISQYNLEKLEGVHFLVRVITKQLTMKGFNVADYFDLYPKFLELIIPYIKNGEITYLEDKAEGIENAPSALVGLFTGKNIGKQLVVVAEE >DRNTG_21022.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:112565:118293:-1 gene:DRNTG_21022 transcript:DRNTG_21022.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSCFHVDGMMLLKQLEVILERDTLINEIGFVHPSQLATLDRSPDATHYDETAFWNKDHKLAISTEILPHLYRAVMHEYMNVKKRLEGLIKQSIGKYSLESPTFGSDLDHLLESEILKHTMALLILNSDFGSAWNSRKMVIVRKVQLSLLMDELRLCTLILSYSPKSQCTWSHRRWVIEIIGEKFQIMHEIVGQDSELVKQIAEKSKMNYRAWSHLCWLIPYMKRTQVIDELNKSKKWSELHTADNCCFHFRRRLLFKMLEDISAWEDDESCINQKTEIYFLWKEELGWNESLIRRYIGREALWLHRRFLSQCYINYFTIDQETRNSNGEDFHGGHSTLDDFLDKELELLLHSLDVTDNEFEDTQVQAQHATAYIIWISKQVPPHTHEKLQSRLQEMDLKPIMIKLCADKPHLCVSPLLQ >DRNTG_21022.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:112565:119386:-1 gene:DRNTG_21022 transcript:DRNTG_21022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSCFHVDGMMLLKQLEVILERDTLINEIGFVHPSQLATLDRSPDATHYDETAFWNKDHKLAISTEILPHLYRAVMHEYMNVKKRLEGLIKQSIGKYSLESPTFGSDLDHLLESEILKHTMALLILNSDFGSAWNSRKMVIVRKVQLSLLMDELRLCTLILSYSPKSQCTWSHRRWVIEIIGEKFQIMHEIVGQDSELVKQIAEKSKMNYRAWSHLCWLIPYMKRTQVIDELNKSKKWSELHTADNCCFHFRRRLLFKMLEDISAWEDDESCINQKTEIYFLWKEELGWNESLIRRYIGREALWLHRRFLSQCYINYFTIDQETRNSNGEDFHGGHSTLDDFLDKELELLLHSLDVTDNEFEDTQVQAQHATAYIIWISKQVPPHTHEKLQSRLQEMDLKPIMIKLCADKPHLCVSPLLQ >DRNTG_18778.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000972.1:48706:49797:1 gene:DRNTG_18778 transcript:DRNTG_18778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLLFFLAKNMEYLASNQEKKIKKYK >DRNTG_08608.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27927357:27934404:-1 gene:DRNTG_08608 transcript:DRNTG_08608.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPMVERRKPLVLYSNRVILDSLLNAAKTVDGVLPEARARASANYDLEPVCLTAGILRFADNGSGAAAEFNEDSFLVGVSMSTLKKLAVTSGSLVIVKNHKFNVQRIVKILVLGHPTYSKFNESSEQSSSSSFASPQTNVLPSFTYSSKKFGLLDEGVAYVTPLLAFNLGLHVSCLGGQESLKFLLDGEGHEEGMLMNSSFFNIELTPSPYLPRYASHLRVSFVKVPECRVLASLKGSSEIDANDRQDMIDMALNEFFKADRLLAKGDLFCIRINWRCGSGICVACNQNGTTNSSSNVIYFKVTAMEPISEPILRVNNKQTALVLSGSTSSRIPPDSFVGIPKEIPLHQDTVKLLASIIAPALFPTAILPKLWVTVFLHGPSGCGKRTVVRYVARCFGLHVVEFSCYDFMSSTERKTSVALANAFKAASRYSPSILLLRHLDGFGNLSSNEGSLMDQVSIASEFASTVREFTRPSSEAGFLSLDKESNSTPNISEVEKLIQHRVLLIATASSPEGLQPPIMRCFTHEISMSSLNETQRATMLSQSLQGASNIHDQNANNEFIKDIIGQTSGFMPRDIQALVADASSSYIHKVIDNVQVEQDEGITKTEATEDNISDESAREKLGIEDFLKALERSKKRNASALGTPKVPNVKWEDVGGLEDVKKAIWDTVQLPLLYKDLFSSGLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYIGESEKNVRDIFQKARSARPCVIFFDELDSLAPARGASGDSGGVMDRVVSQMLAEIDGLNDSTQDLFIIGASNRPDLIDPALLRPGRFDKLLYVGVNSDASYRERVLRALTRKFNLHENVSLLSVAEKCSPNMTGADMYALCADAWFQAAKRKAAHQHSDSSSTDERHDAVIVEMDDFMKVLVDLTPSLSMTELRKYEHLRDQFEGASSK >DRNTG_08608.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27927357:27933273:-1 gene:DRNTG_08608 transcript:DRNTG_08608.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMNSSFFNIELTPSPYLPRYASHLRVSFVKVPECRVLASLKGSSEIDANDRQDMIDMALNEFFKADRLLAKGDLFCIRINWRCGSGICVACNQNGTTNSSSNVIYFKVTAMEPISEPILRVNNKQTALVLSGSTSSRIPPDSFVGIPKEIPLHQDTVKLLASIIAPALFPTAILPKLWVTVFLHGPSGCGKRTVVRYVARCFGLHVVEFSCYDFMSSTERKTSVALANAFKAASRYSPSILLLRHLDGFGNLSSNEGSLMDQVSIASEFASTVREFTRPSSEAGFLSLDKESNSTPNISEVEKLIQHRVLLIATASSPEGLQPPIMRCFTHEISMSSLNETQRATMLSQSLQGASNIHDQNANNEFIKDIIGQTSGFMPRDIQALVADASSSYIHKVIDNVQVEQDEGITKTEATEDNISDESAREKLGIEDFLKALERSKKRNASALGTPKVPNVKWEDVGGLEDVKKAIWDTVQLPLLYKDLFSSGLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYIGESEKNVRDIFQKARSARPCVIFFDELDSLAPARGASGDSGGVMDRVVSQMLAEIDGLNDSTQDLFIIGASNRPDLIDPALLRPGRFDKLLYVGVNSDASYRERVLRALTRKFNLHENVSLLSVAEKCSPNMTGADMYALCADAWFQAAKRKAAHQHSDSSSTDERHDAVIVEMDDFMKVLVDLTPSLSMTELRKYEHLRDQFEGASSK >DRNTG_08608.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27927357:27928535:-1 gene:DRNTG_08608 transcript:DRNTG_08608.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGADMYALCADAWFQAAKRKAAHQHSDSSSTDERHDAVIVEMDDFMKVLVDLTPSLSMTELRKYEHLRDQFEGASSK >DRNTG_31834.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2075415:2078001:-1 gene:DRNTG_31834 transcript:DRNTG_31834.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLQNPSARALFSGATNLYRSIKMSSQPVNPKAYPLADAHLTNTIMDLVQQAANYKQLKKGANEATKTLNRGISEFIVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKSQIQQLKDAIEKLLI >DRNTG_22155.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3839519:3843255:-1 gene:DRNTG_22155 transcript:DRNTG_22155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMKMKRRALWTTTRMKRETARPARRIGTRTQTTGAGTGLQSERRRAAEEAEEERSFMGQ >DRNTG_08618.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5644783:5646364:1 gene:DRNTG_08618 transcript:DRNTG_08618.1 gene_biotype:protein_coding transcript_biotype:protein_coding CCSVLGESSRSSKKSKGNQLRKQDPRLPDRV >DRNTG_14160.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14422784:14429429:1 gene:DRNTG_14160 transcript:DRNTG_14160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPKAELSTPSSSSSSSSSSASLQNTKEDETLKTVPFPSAGDRAPNHEDDLRSFLLPEAHHLPVTPPSAIEANFTCYFALDFLKPGHDQYIYRHANGLCVIGLAPTHVALKEEGGVVRVDFNVGKSDRSEMKVTGKRKRNAKHFESNTALCKVFTNDAALKDLSWK >DRNTG_14160.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14422784:14427453:1 gene:DRNTG_14160 transcript:DRNTG_14160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPKAELSTPSSSSSSSSSSASLQNTKEDETLKTVPFPSAGDRAPNHEDDLRSFLLPEAHHLPVTPPSAIEANFTCYFALDFLKPGHDQYIYRHANGLCVIGLAPTHVALKEEGGVVRVDFNVGKSDRSEMKVTGKRKRNAKHFESNTALCKVFTNGNFFLARCCVKGSLLEVNDRLIKQPDLLNTSGYNRC >DRNTG_14160.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14422784:14429429:1 gene:DRNTG_14160 transcript:DRNTG_14160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPKAELSTPSSSSSSSSSSASLQNTKEDETLKTVPFPSAGDRAPNHEDDLRSFLLPEAHHLPVTPPSAIEANFTCYFALDFLKPGHDQYIYRHANGLCVIGLAPTHVALKEEGGVVRVDFNVGKSDRSEMKVTGKRKRNAKHFESNTALCKVFTNGNFFLARCCVKGSLLEVNDRLIKQPDLLNTSQADREGYLAIIMPKPADWLKIKDSLLNYEDYKKLRGII >DRNTG_14160.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14422784:14429429:1 gene:DRNTG_14160 transcript:DRNTG_14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPKAELSTPSSSSSSSSSSASLQNTKEDETLKTVPFPSAGDRAPNHEDDLRSFLLPEAHHLPVTPPSAIEANFTCYFALDFLKPGHDQYIYRHANGLCVIGLAPTHVALKEEGGVVRVDFNVGKSDRSEMKVTGKRKRNAKHFESNTALCKVFTNGNFFLARCCVKGSLLEVNDRLIKQPDLLNTSADREGYLAIIMPKPADWLKIKDSLLNYEDYKKLRGII >DRNTG_02311.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:722287:726262:1 gene:DRNTG_02311 transcript:DRNTG_02311.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIKKVSAASARAHTRKQKQGSSPSLPPGMFKKISLVVLVGLAAWFYNVIQPPAPAICGTPNGPLVTSSRIKLRDGRHLAYLEAGVPKAKAAHKIIYIHGFASCKHDLLPVSPDLAEELGIYLVGFDRAGYGESDPDPKKSVKSTALDIEQLADQLSLGPKFYVIGFSMGGELTWGCLKYISHRLAGAALIAPVGNYWWPGFPSNVSKEAYSMQLAPDKWAVGVAHYAPWLTYWWNTQNLFPSSSVIAGRIEIFSAEDLKVLSKFQGRPYTAYVTQQGLFESLHRDMIVGFGSWEFDPLDLDDIFAGKEGLVHLWHGTEDWIVPVILSQYVSQKHPWIKYHEVPGAGHLFPLADGMADAIVKALVQGKN >DRNTG_20209.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001100.1:34256:36619:-1 gene:DRNTG_20209 transcript:DRNTG_20209.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G54320) TAIR;Acc:AT3G54320] MKEYEDMQKMSKEEYLASLRRRSSGFSRGVSKYRGVARHHQNGRWEARIGRVLGNKYLYLGTFNTQEEAAQAYDIAALEYRGLNAVTNFDLSYYITDLQPQPSMPQQPLPICTPSSIQLPEFKLEEQNQNSSSFQESLGITSQQEHQPLPLSDHPVVDHSNTELLPWNICMETSHVSIDHSSDLSYFFDDAIFEGDIEHLFADIGSAETEDGSTKLHS >DRNTG_20209.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001100.1:34256:35119:-1 gene:DRNTG_20209 transcript:DRNTG_20209.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G54320) TAIR;Acc:AT3G54320] MHADTQEEAAQAYDIAALEYRGLNAVTNFDLSYYITDLQPQPSMPQQPLPICTPSSIQLPEFKLEEQNQNSSSFQESLGITSQQEHQPLPLSDHPVVDHSNTELLPWNICMETSHVSIDHSSDLSYFFDDAIFEGDIEHLFADIGSAETEDGSTKLHS >DRNTG_20209.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001100.1:34256:37242:-1 gene:DRNTG_20209 transcript:DRNTG_20209.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G54320) TAIR;Acc:AT3G54320] MKESPPSSSSSSSTSSLSFTDALCYQKPKTKGSKKSSINGGSVKRSSVYRGVTRHRWTGRFEAHLWDKHTWNPIQNKKGRQGYLGAYEDEEAAAHTYDLAALKYWGTDTILNFPVETYMKEYEDMQKMSKEEYLASLRRRSSGFSRGVSKYRGVARHHQNGRWEARIGRVLGNKYLYLGTFNTQEEAAQAYDIAALEYRGLNAVTNFDLSYYITDLQPQPSMPQQPLPICTPSSIQLPEFKLEEQNQNSSSFQESLGITSQQEHQPLPLSDHPVVDHSNTELLPWNICMETSHVSIDHSSDLSYFFDDAIFEGDIEHLFADIGSAETEDGSTKLHS >DRNTG_05785.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11829853:11830181:-1 gene:DRNTG_05785 transcript:DRNTG_05785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWSSLFVSKGPLVKPRAPPHRISADESSSNSYKSWRKYGQKDAEIGLNRGFK >DRNTG_17846.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8311185:8319196:1 gene:DRNTG_17846 transcript:DRNTG_17846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCDHGLLKHDCVLMKHDPSPVLYEISKTGVHANASSPSTGVANGPFNGHRIKEPIPGIDFTAIFHTQVHQGTIALHNADFIYFQTTRGIEYHIGWATGPSSAASSTYPLMMLLPLNLHLLFVPLDRGHHRCPALLLAILNKLLAHLWRSVQLARESPAILTFWPGTVAEQCSESTVVALFTAGLEKRNSENPHGRVEIIHGRVEIPHGRVKNPQARVVVRFEPYLKSIQARFQYSFLHLSPNLREGFG >DRNTG_26500.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:701433:706226:1 gene:DRNTG_26500 transcript:DRNTG_26500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLLAIYGRGLAFEKNRTGYILQPKQKSCSTQVRNQGALVGAGLRCLLQRFVLPFKVPRRGHSLMPRYKFKKTNVYKRNFVENLSIHLIDNSCRTNYVKLAWRRLSHLSSFSSRRSFTPLSMAAYAVSLAFTRFKLVPGIVALSIGELTCAQRAYADGGCLSLRDDFYMKVQDGRMFLSSVVHSIIEGVVLFFRISFLAILFSPALAMAPFVDMFGIKFRKTWLHLVHHTLEAAGPAFIKWGQWAATRPDLFPNDLCTELAKLHSKAPSHSFAYSKRSIEKAFNRKLTDIFENFEETPVASGSIAQVHRASLRFLYPNQRLKNPIEVAVKVRHPGVGESIRRDFVIINLVAKISRFIPALNWLRLDESVRQFAVFMMSQVDLAREAVHLCRFIYNFRKSKNVSFPKPLYPLIHPAVLVETFEKGESVSAYLDNSRTNNHIKRGLARIGTDALLKMLLVCFLFLSDYLHHFY >DRNTG_26500.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:701433:706226:1 gene:DRNTG_26500 transcript:DRNTG_26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLLAIYGRGLAFEKNRTGYILQPKQKSCSTQVRNQGALVGAGLRCLLQRFVLPFKVPRRGHSLMPRYKFKKTNVYKRNFVENLSIHLIDNSCRTNYVKLAWRRLSHLSSFSSRRSFTPLSMAAYAVSLAFTRFKLVPGIVALSIGELTCAQRAYADGGCLSLRDDFYMKVQDGRMFLSSVVHSIIEGVVLFFRISFLAILFSPALAMAPFVDMFGIKFRKTWLHLVHHTLEAAGPAFIKWGQWAATRPDLFPNDLCTELAKLHSKAPSHSFAYSKRSIEKAFNRKLTDIFENFEETPVASGSIAQVHRASLRFLYPNQRLKNPIEVAVKVRHPGVGESIRRDFVIINLVAKISRFIPALNWLRLDESVRQFAVFMMSQVDLAREAVHLCRFIYNFRKSKNVSFPKPLYPLIHPAVLVETFEKGESVSAYLDNSRTNNHIKRGLARIGTDALLKMLLVDNFVHADMHPGNILVRIPQKRRSTRRLFRAKPHVILLDVGMTVELCNSDQLNLLELFKAVALRDGRTAAECTLRLSKRQNCPNPQAFIEDLDRMFTFWGTPQGDIFHPVECIQQLLEQVRQHKVNIDGNICTVMVTIMVLEGWQQKLDPNFDIMRTLKTLLIDDGSARPIDCLFS >DRNTG_06409.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29692536:29702841:1 gene:DRNTG_06409 transcript:DRNTG_06409.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Villin, villin/gelsolin superfamily protein, Actin binding protein, Regulation of plant architectur [Source: Projected from Oryza sativa (Os03g0356700)] MSGTTKNLDPAFQGVGQRVGTEIWRIENFQPVPLAKSDYGKFYSGDSYIVLQTTAGKGGAYLYDIHFWLGKDTSQDEAGTAAIKTVELDAVLGGRAVQHRELQGHESDKFLSYFKPCIIPLEGGVASGFKAPEEEKFETRLYVCRGKRVVRLKQVPFARSSLNHDDVFILDTENKIYQFNGANSNIQERAKSLEVIQFLKDKYHEGMCEVAIIDDGKLVAESDSGEFWVLFGGFAPIGKKAVGEDDITLEVTPGQLYSINDGQLKLEESIISKAMLENNKCYLLDCGAELFVWVGRVTQVEDRKAASKAAEEFIISQNRPKTTRITQVIQGFETRSFKSNFESWPAGTGSGTSSGEDGRGKVAALLKQQGVDVKGAAKGSPVNEDIPPLLEGNGKTEVWRINGSAKTPLPKEEAGKFYSGDCYIVLYTYHSGEKKEEYFLSCWLGKDSIQDDQMMAIRLANTMCNSLKGRPVLGRILQGKEPPQFIAIFQPMIVLKGGISSGYKKFIAEKNLNDETYTSDGIALIQISGTSIHNNKAVQVDPVATSLSSTDCFLLQSGNSLFTWHGNSSTFEQQQWAAKVAEFLKPGATLKHAKEGTESSAFWFALGGKQSFTSKKVPQDMVRDPHLYTFLINKGLFFLEIFMPHICFKSVFLSFVFIWFFCYNFFPKFDSN >DRNTG_06409.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29692536:29702841:1 gene:DRNTG_06409 transcript:DRNTG_06409.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Villin, villin/gelsolin superfamily protein, Actin binding protein, Regulation of plant architectur [Source: Projected from Oryza sativa (Os03g0356700)] MSGTTKNLDPAFQGVGQRVGTEIWRIENFQPVPLAKSDYGKFYSGDSYIVLQTTAGKGGAYLYDIHFWLGKDTSQDEAGTAAIKTVELDAVLGGRAVQHRELQGHESDKFLSYFKPCIIPLEGGVASGFKAPEEEKFETRLYVCRGKRVVRLKQVPFARSSLNHDDVFILDTENKIYQFNGANSNIQERAKSLEVIQFLKDKYHEGMCEVAIIDDGKLVAESDSGEFWVLFGGFAPIGKKAVGEDDITLEVTPGQLYSINDGQLKLEESIISKAMLENNKCYLLDCGAELFVWVGRVTQVEDRKAASKAAEEFIISQNRPKTTRITQVIQGFETRSFKSNFESWPAGTGSGTSSGEDGRGKVAALLKQQGVDVKGAAKGSPVNEDIPPLLEGNGKTEVWRINGSAKTPLPKEEAGKFYSGDCYIVLYTYHSGEKKEEYFLSCWLGKDSIQDDQMMAIRLANTMCNSLKGRPVLGRILQGKEPPQFIAIFQPMIVLKGGISSGYKKFIAEKNLNDETYTSDGIALIQISGTSIHNNKAVQVDPVATSLSSTDCFLLQSGNSLFTWHGNSSTFEQQQWAAKVAEFLKPGATLKHAKEGTESSAFWFALGGKQSFTSKKVPQDMVRDPHLYTFLINKGKLEVTEVFNFSQDDLLTEDLLILDTHAEVFVWVGQSVDSKEKQKAFEFGQKYIDLAVALEGLSPDVPLYRVLEGNEPCFFTTYFSWDGTKSIVQGNSFQKKLAFLFGTAVHAAESRDKSNNSNNGGPTQRASALAALSSAFNPSGSAQSSEKSNYSNQGGPTQRASALAALSSAFNPSSGTKAAAPKPSWSGQGSQRAAAVAALSGVLTAEQKMGTSDGSGARISRTSSVDTNMDETVKTDDANSEEADPLEISSAVDGGESISESNGADSDAKQDSLVEENGDGKTFSYERLKSKSSKPVTGIDYKRREAYLSDEEFQTVLRMTKEAFYQQPKWKQDMQKRKADLF >DRNTG_06409.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29692536:29702841:1 gene:DRNTG_06409 transcript:DRNTG_06409.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Villin, villin/gelsolin superfamily protein, Actin binding protein, Regulation of plant architectur [Source: Projected from Oryza sativa (Os03g0356700)] MSGTTKNLDPAFQGVGQRVGTEIWRIENFQPVPLAKSDYGKFYSGDSYIVLQTTAGKGGAYLYDIHFWLGKDTSQDEAGTAAIKTVELDAVLGGRAVQHRELQGHESDKFLSYFKPCIIPLEGGVASGFKAPEEEKFETRLYVCRGKRVVRLKQVPFARSSLNHDDVFILDTENKIYQFNGANSNIQERAKSLEVIQFLKDKYHEGMCEVAIIDDGKLVAESDSGEFWVLFGGFAPIGKKAVGEDDITLEVTPGQLYSINDGQLKLEESIISKAMLENNKCYLLDCGAELFVWVGRVTQVEDRKAASKAAEEFIISQNRPKTTRITQVIQGFETRSFKSNFESWPAGTGSGTSSGEDGRGKVAALLKQQGVDVKGAAKGSPVNEDIPPLLEGNGKTEVWRINGSAKTPLPKEEAGKFYSGDCYIVLYTYHSGEKKEEYFLSCWLGKDSIQDDQMMAIRLANTMCNSLKGRPVLGRILQGKEPPQFIAIFQPMIVLKGGISSGYKKFIAEKNLNDETYTSDGIALIQISGTSIHNNKAVQVDPVATSLSSTDCFLLQSGNSLFTWHGNSSTFEQQQWAAKVAEFLKPGATLKHAKEGTESSAFWFALGGKQSFTSKKVPQDMVRDPHLYTFLINKGLFFLEIFMPHICFKSVFLSFVFIWFFCYNFFPKFDSN >DRNTG_06409.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29692536:29702841:1 gene:DRNTG_06409 transcript:DRNTG_06409.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Villin, villin/gelsolin superfamily protein, Actin binding protein, Regulation of plant architectur [Source: Projected from Oryza sativa (Os03g0356700)] MSGTTKNLDPAFQGVGQRVGTEIWRIENFQPVPLAKSDYGKFYSGDSYIVLQTTAGKGGAYLYDIHFWLGKDTSQDEAGTAAIKTVELDAVLGGRAVQHRELQGHESDKFLSYFKPCIIPLEGGVASGFKAPEEEKFETRLYVCRGKRVVRLKQVPFARSSLNHDDVFILDTENKIYQFNGANSNIQERAKSLEVIQFLKDKYHEGMCEVAIIDDGKLVAESDSGEFWVLFGGFAPIGKKAVGEDDITLEVTPGQLYSINDGQLKLEESIISKAMLENNKCYLLDCGAELFVWVGRVTQVEDRKAASKAAEEFIISQNRPKTTRITQVIQGFETRSFKSNFESWPAGTGSGTSSGEDGRGKVAALLKQQGVDVKGAAKGSPVNEDIPPLLEGNGKTEVWRINGSAKTPLPKEEAGKFYSGDCYIVLYTYHSGEKKEEYFLSCWLGKDSIQDDQMMAIRLANTMCNSLKGRPVLGRILQGKEPPQFIAIFQPMIVLKGGISSGYKKFIAEKNLNDETYTSDGIALIQISGTSIHNNKAVQVDPVATSLSSTDCFLLQSGNSLFTWHGNSSTFEQQQWAAKVAEFLKPGATLKHAKEGTESSAFWFALGGKQSFTSKKVPQDMVRDPHLYTFLINKGLFFLEIFMPHICFKSVFLSFVFIWFFCYNFFPKFDSN >DRNTG_30230.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:143675:145511:-1 gene:DRNTG_30230 transcript:DRNTG_30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLAQTLVSFPHSRPPWLHSCRSRVCPWLCASVPKWMRAERQIDDVEFAKYIHVLCRERKPDSALQLVSDMKHEGLMPGCDSLSALLLCCAQNNCFAQAQALWDEIINSSLVPSFETVSGLIDAYARMERFEEINRVLRETASRGFSFCPDIYSLAISCFGKSGRVGMMEATIKEMVSRGFKVDSAAGNAFVKYYSMFGSLAEMETAYGQLKRSRILIEEEAIRAVSSAYIRERKFYRLGEFLRDVGLRRRNAGNLLWNLLLLSYAANFKMKSLQREFIRMVDAGFQPDLTTFNIRALAFSKMSMFWDLHISIEHMKHEKVTPDLVTFGCIVDAYLDRRLGRNLSFALNKMDVESFPLISTDFMVFEVMGKGDFHSSSEALLESMRIREWTYSKLIAIYLKKKYRRNKWPVLMTTALYIELQT >DRNTG_11777.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:604728:608203:-1 gene:DRNTG_11777 transcript:DRNTG_11777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLKLSEPQIRKPSISPSIMTPLSPPSPSPLPLLPHHHHHRRRRLLLLLLLLSFPILYLLFYSSSSSLLFDLLSALALSSAALLVFSVSLPLFPSIPSLRLLLSRSSAASLLPIHRSRHRRRSSPPPVLWSIGSEKPSRDSSDAKRHSSGSFVQAYSNGDVYEGEVHKGKCSGSGVYYYYMSGRYEGNWVDDKYDGYGVETWARGSRYRGQYRQGLRHGFGVYRFYTGDVYAGEWSNGQSHGVGVHTCEDGSRYVGEFKWGVKHGLGHYHFRNGDTYAGEYFADKMHGFGVYSFANGHRYEGAWHEGRRQGLGMYTFRNGETQSGHWQNGVLDTLSTQNSLPGSPIAVNHSRVLNTVQEARRAAGKAYDVPRVDDRVNKAVAAANQSANAARVAAVKAVQKRVPNNGDDFPTPIV >DRNTG_01970.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13018486:13020495:-1 gene:DRNTG_01970 transcript:DRNTG_01970.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMPSSFFRSSKVNSGDVSRVSTIYQ >DRNTG_01970.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13014131:13019670:-1 gene:DRNTG_01970 transcript:DRNTG_01970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMPSSFFRSSKVNSGDVSRVSTIYQ >DRNTG_01970.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13014131:13020495:-1 gene:DRNTG_01970 transcript:DRNTG_01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMPSSFFRSSKVNSGDVSRVSTIYQ >DRNTG_01970.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13018486:13019670:-1 gene:DRNTG_01970 transcript:DRNTG_01970.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMPSSFFRSSKVNSGDVSRVSTIYQ >DRNTG_01970.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13014131:13019670:-1 gene:DRNTG_01970 transcript:DRNTG_01970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMPSSFFRSSKVNSGDVSRVSTIYQ >DRNTG_01970.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13018486:13019670:-1 gene:DRNTG_01970 transcript:DRNTG_01970.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMPSSFFRSSKVNSGDVSRVSTIYQ >DRNTG_20637.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20503552:20510454:1 gene:DRNTG_20637 transcript:DRNTG_20637.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNNQMNSNGAGEEAPMEANQEMHDEPKDIRGIDLERQGILEEDETKINDSAKYVKDFPKGDIAQPQQPPLLGPIVHWERFLPVRSLNVLLVESDDSTRQLVSALLRNCSYEVTAAADAFQAWRILEDLTNRVDLILTEVNIPGLSGIGLLCKIMSHRMCKNIPVIMMSSNDSVGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIQHQKSIKSKSPVDSDDNTDSNDEDDNGSFGQNLRDGSDNSSWTKHAVEDDSPQQMSPSDPLADPYDSTCAQVIHSKQGMPKSTNQGCQEQREAADKNSSGKDLEKGAPQNPDGERIPYSTEKASSVTGASMERLPECNPKVEMNENVMFENVPGRESSNASCGPVTPVAGLIGGIADNCESRLANRLPDTPDGFSKISKCKDKANEHSKELPTLELSLKRLRSSGDVDDHNILRHSDLSAFSRYNSPNSQTPRGRGASSSNPHDTSEEIKSESTYSMLNTVALKQGSNGSSNNNDMGSTTKDAFTKLPAHKEKIASTAAAKTIYPSTLHHTPHRPSSNQPPIQEKVDDVAAQAMAGQVRGVQHEIQVQHHHHHYHHHHHHLHSRQEQQPVPPSDRDDISLNNMVTTAPQCGSSNMFPGSMEINAMNYSLNGSASGSNHMSNGHNGNSKAVNAGVKNMDCDAGVAANGEAGGTSLVDQNRFSQREVALNKFRQKRKERNFGKKVRYQSRKRLAEQRPRVRGQFVRRTTHEHTSREGSS >DRNTG_20637.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20503552:20510454:1 gene:DRNTG_20637 transcript:DRNTG_20637.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRMCKNIPVIMMSSNDSVGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIQHQKSIKSKSPVDSDDNTDSNDEDDNGSFGQNLRDGSDNVSGTQSSWTKHAVEDDSPQQMSPSDPLADPYDSTCAQVIHSKQGMPKSTNQGCQEQREAADKNSSGKDLEKGAPQNPDGERIPYSTEKASSVTGASMERLPECNPKVEMNENVMFENVPGRESSNASCGPVTPVAGLIGGIADNCESRLANRLPDTPDGFSKISKCKDKANEHSKELPTLELSLKRLRSSGDVDDHNILRHSDLSAFSRYNSPNSQTPRGRGASSSNPHDTSEEIKSESTYSMLNTVALKQGSNGSSNNNDMGSTTKDAFTKLPAHKEKIASTAAAKTIYPSTLHHTPHRPSSNQPPIQEKVDDVAAQAMAGQVRGVQHEIQVQHHHHHYHHHHHHLHSRQEQQPVPPSDRDDISLNNMVTTAPQCGSSNMFPGSMEINAMNYSLNGSASGSNHMSNGHNGNSKAVNAGVKNMDCDAGVAANGEAGGTSLVDQNRFSQREVALNKFRQKRKERNFGKKVRYQSRKRLAEQRPRVRGQFVRRTTHEHTSREGSS >DRNTG_20637.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20503552:20510454:1 gene:DRNTG_20637 transcript:DRNTG_20637.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYGCNGPCLVVQCLLRNYYINCWLGNCFLLYLIYIFFLAVTAAADAFQAWRILEDLTNRVDLILTEVNIPGLSGIGLLCKIMSHRMCKNIPVIMMSSNDSVGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIQHQKSIKSKSPVDSDDNTDSNDEDDNGSFGQNLRDGSDNVSGTQSSWTKHAVEDDSPQQMSPSDPLADPYDSTCAQVIHSKQGMPKSTNQGCQEQREAADKNSSGKDLEKGAPQNPDGERIPYSTEKASSVTGASMERLPECNPKVEMNENVMFENVPGRESSNASCGPVTPVAGLIGGIADNCESRLANRLPDTPDGFSKISKCKDKANEHSKELPTLELSLKRLRSSGDVDDHNILRHSDLSAFSRYNSPNSQTPRGRGASSSNPHDTSEEIKSESTYSMLNTVALKQGSNGSSNNNDMGSTTKDAFTKLPAHKEKIASTAAAKTIYPSTLHHTPHRPSSNQPPIQEKVDDVAAQAMAGQVRGVQHEIQVQHHHHHYHHHHHHLHSRQEQQPVPPSDRDDISLNNMVTTAPQCGSSNMFPGSMEINAMNYSLNGSASGSNHMSNGHNGNSKAVNAGVKNMDCDAGVAANGEAGGTSLVDQNRFSQREVALNKFRQKRKERNFGKKVRYQSRKRLAEQRPRVRGQFVRRTTHEHTSREGSS >DRNTG_20637.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20503552:20510454:1 gene:DRNTG_20637 transcript:DRNTG_20637.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNNQMNSNGAGEEAPMEANQEMHDEPKDIRGIDLERQGILEEDETKINDSAKYVKDFPKGDIAQPQQPPLLGPIVHWERFLPVRSLNVLLVESDDSTRQLVSALLRNCSYEVTAAADAFQAWRILEDLTNRVDLILTEVNIPGLSGIGLLCKIMSHRMCKNIPVIMMSSNDSVGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIQHQKSIKSKSPVDSDDNTDSNDEDDNGSFGQNLRDGSDNVSGTQSSWTKHAVEDDSPQQMSPSDPLADPYDSTCAQVIHSKQGMPKSTNQGCQEQREAADKNSSGKDLEKGAPQNPDGERIPYSTEKASSVTGASMERLPECNPKVEMNENVMFENVPGRESSNASCGPVTPVAGLIGGIADNCESRLANRLPDTPDGFSKISKCKDKANEHSKELPTLELSLKRLRSSGDVDDHNILRHSDLSAFSRYNSPNSQTPRGRGASSSNPHDTSEEIKSESTYSMLNTVALKQGSNGSSNNNDMGSTTKDAFTKLPAHKEKIASTAAAKTIYPSTLHHTPHRPSSNQPPIQEKVDDVAAQAMAGQVRGVQHEIQVQHHHHHYHHHHHHLHSRQEQQPVPPSDRDDISLNNMVTTAPQCGSSNMFPGSMEINAMNYSLNGSASGSNHMSNGHNGNSKAVNAGVKNMDCDAGVAANGEAGGTSLVDQNRFSQREVALNKFRQKRKERNFGKKVRYQSRKRLAEQRPRVRGQFVRRTTHEHTSREGSS >DRNTG_20637.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20503552:20510454:1 gene:DRNTG_20637 transcript:DRNTG_20637.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRMCKNIPVIMMSSNDSVGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIQHQKSIKSKSPVDSDDNTDSNDEDDNGSFGQNLRDGSDNVSGTQSSWTKHAVEDDSPQQMSPSDPLADPYDSTCAQVIHSKQGMPKSTNQGCQEQREAADKNSSGKDLEKGAPQNPDGERIPYSTEKASSVTGASMERLPECNPKVEMNENVMFENVPGRESSNASCGPVTPVAGLIGGIADNCESRLANRLPDTPDGFSKISKCKDKANEHSKELPTLELSLKRLRSSGDVDDHNILRHSDLSAFSRYNSPNSQTPRGRGASSSNPHDTSEEIKSESTYSMLNTVALKQGSNGSSNNNDMGSTTKDAFTKLPAHKEKIASTAAAKTIYPSTLHHTPHRPSSNQPPIQEKVDDVAAQAMAGQVRGVQHEIQVQHHHHHYHHHHHHLHSRQEQQPVPPSDRDDISLNNMVTTAPQCGSSNMFPGSMEINAMNYSLNGSASGSNHMSNGHNGNSKAVNAGVKNMDCDAGVAANGEAGGTSLVDQNRFSQREVALNKFRQKRKERNFGKKVRYQSRKRLAEQRPRVRGQFVRRTTHEHTSREGSS >DRNTG_20637.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20503552:20510454:1 gene:DRNTG_20637 transcript:DRNTG_20637.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRMCKNIPVIMMSSNDSVGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIQHQKSIKSKSPVDSDDNTDSNDEDDNGSFGQNLRDGSDNVSGTQSSWTKHAVEDDSPQQMSPSDPLADPYDSTCAQVIHSKQGMPKSTNQGCQEQREAADKNSSGKDLEKGAPQNPDGERIPYSTEKASSVTGASMERLPECNPKVEMNENVMFENVPGRESSNASCGPVTPVAGLIGGIADNCESRLANRLPDTPDGFSKISKCKDKANEHSKELPTLELSLKRLRSSGDVDDHNILRHSDLSAFSRYNSPNSQTPRGRGASSSNPHDTSEEIKSESTYSMLNTVALKQGSNGSSNNNDMGSTTKDAFTKLPAHKEKIASTAAAKTIYPSTLHHTPHRPSSNQPPIQEKVDDVAAQAMAGQVRGVQHEIQVQHHHHHYHHHHHHLHSRQEQQPVPPSDRDDISLNNMVTTAPQCGSSNMFPGSMEINAMNYSLNGSASGSNHMSNGHNGNSKAVNAGVKNMDCDAGVAANGEAGGTSLVDQNRFSQREVALNKFRQKRKERNFGKKVRYQSRKRLAEQRPRVRGQFVRRTTHEHTSREGSS >DRNTG_29272.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28609583:28612994:1 gene:DRNTG_29272 transcript:DRNTG_29272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETPFLPRERLLKHQQHFQNIHKHTYLKGPLDKVTSVAIPLALTVSSLYLIGRGIYNMSYGIGKKE >DRNTG_05482.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23290838:23291549:-1 gene:DRNTG_05482 transcript:DRNTG_05482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIVQLDIQKSGEPYFFMLFQTLGQFGDSNSCNDIGDGHTIFSKEQAFLLLLISNCLSERPNDLTISDSFAPSVLRVLRKLLVP >DRNTG_07975.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2265257:2266909:-1 gene:DRNTG_07975 transcript:DRNTG_07975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLRKLCRSKIFHSCSQNQIIRCSFCSSTTITQSKLPLKPHSFVEEYLLSTLGFSPEKATSASEQLNHLKSLENPESVLSFLKSYGFEDSEIKKLIFWYPNWLCFDVETTLKPKFEGLQSLGFSGPELTQLIFSNPVILRCSFEGNVRPKIEFWRGIFGSFELMSKSLRAKHCLLNFSLEQRVLPNLEFLREFGISDERITLIVQRHPRFLTQKLVKLKELAERVEGMGIRRDSRLFVWALNTLLKISKEKFDGKLEVLKSLGWSEADFLSAFQKNPIFLTVSETMLKKKIDFLVNEAGFKPSELVQSPILLMFSLEKRLIPRYHVMQVLKSKRLNKGKYSLLTIMSYSEKMFVKNFLLFHKKDAPNCMVGTCPSTRGADILILS >DRNTG_00009.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21512601:21515189:1 gene:DRNTG_00009 transcript:DRNTG_00009.11 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSEESSDLSDSEVDEYEARSYLNMKTGNTKVKRSSGIYRCPFCVGKKKLVYSYKDLLQHAIGVGSSNRSGKVKANHRAMAKYMKTDLSEAVPLIPHLVNEEKPPLPIPKQDNQFVWPWNGIIVNIPTEWKDGQLVGDSASRLKESLSRFNPVRVVTLWDAKGHTGTAIVDFGRDWAGFKDAMAFEGHLESQNLGKKDWCEAGTKSSNIYGWVARADDYVAIDPIGKHLHKKGELKTVVDLAEEESRKMEKLVAYMKDQLEAKSRQLKELELKYQEVDTCCQKMVDKLYLTHQAYNEEIQLNQWLAQENSIQSSDSNVNKKVALPASPAQYQAE >DRNTG_00009.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21512601:21515304:1 gene:DRNTG_00009 transcript:DRNTG_00009.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSEESSDLSDSEVDEYEARSYLNMKTGNTKVKRSSGIYRCPFCVGKKKLVYSYKDLLQHAIGVGSSNRSGKVKANHRAMAKYMKTDLSEAVPLIPHLVNEEKPPLPIPKQDNQFVWPWNGIIVNIPTEWKDGQLVGDSASRLKESLSRFNPVRVVTLWDAKGHTGTAIVDFGRDWAGFKDAMAFEGHLESQNLGKKDWCEAGTKSSNIYGWVARADDYVAIDPIGKHLHKKGELKTVVDLAEEESRKMEKLVAYMKDQLEAKSRQLKELELKYQEVDTCCQKMVDKLYLTHQAYNEEIQLNQWLAQENSIQSSDSNVNKKVALPASPAQYQAE >DRNTG_00009.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21512601:21515785:1 gene:DRNTG_00009 transcript:DRNTG_00009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSEESSDLSDSEVDEYEARSYLNMKTGNTKVKRSSGIYRCPFCVGKKKLVYSYKDLLQHAIGVGSSNRSGKVKANHRAMAKYMKTDLSEAVPLIPHLVNEEKPPLPIPKQDNQFVWPWNGIIVNIPTEWKDGQLVGDSASRLKESLSRFNPVRVVTLWDAKGHTGTAIVDFGRDWAGFKDAMAFEGHLESQNLGKKDWCEAGTKSSNIYGWVARADDYVAIDPIGKHLHKKGELKTVVDLAEEESRKMEKLVAYMKDQLEAKSRQLKELELKYQEVDTCCQKMVDKLYLTHQAYNEEIQLNQWLAQENSIQSSDSNVNKKVALPASPAQYQAE >DRNTG_00009.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21512588:21515189:1 gene:DRNTG_00009 transcript:DRNTG_00009.10 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSEESSDLSDSEVDEYEARSYLNMKTGNTKVKRSSGIYRCPFCVGKKKLVYSYKDLLQHAIGVGSSNRSGKVKANHRAMAKYMKTDLSEAVPLIPHLVNEEKPPLPIPKQDNQFVWPWNGIIVNIPTEWKDGQLVGDSASRLKESLSRFNPVRVVTLWDAKGHTGTAIVDFGRDWAGFKDAMAFEGHLESQNLGKKDWCEAGTKSSNIYGWVARADDYVAIDPIGKHLHKKGELKTVVDLAEEESRKMEKLVAYMKDQLEAKSRQLKELELKYQEVDTCCQKMVDKLYLTHQAYNEEIQLNQWLAQENSIQSSDSNVNKKVALPASPAQYQAE >DRNTG_00009.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21512601:21515543:1 gene:DRNTG_00009 transcript:DRNTG_00009.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSEESSDLSDSEVDEYEARSYLNMKTGNTKVKRSSGIYRCPFCVGKKKLVYSYKDLLQHAIGVGSSNRSGKVKANHRAMAKYMKTDLSEAVPLIPHLVNEEKPPLPIPKQDNQFVWPWNGIIVNIPTEWKDGQLVGDSASRLKESLSRFNPVRVVTLWDAKGHTGTAIVDFGRDWAGFKDAMAFEGHLESQNLGKKDWCEAGTKSSNIYGWVARADDYVAIDPIGKHLHKKGELKTVVDLAEEESRKMEKLVAYMKDQLEAKSRQLKELELKYQEVDTCCQKMVDKLYLTHQAYNEEIQLNQWLAQENSIQSSDSNVNKKVALPASPAQYQAE >DRNTG_00009.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21512601:21515390:1 gene:DRNTG_00009 transcript:DRNTG_00009.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSEESSDLSDSEVDEYEARSYLNMKTGNTKVKRSSGIYRCPFCVGKKKLVYSYKDLLQHAIGVGSSNRSGKVKANHRAMAKYMKTDLSEAVPLIPHLVNEEKPPLPIPKQDNQFVWPWNGIIVNIPTEWKDGQLVGDSASRLKESLSRFNPVRVVTLWDAKGHTGTAIVDFGRDWAGFKDAMAFEGHLESQNLGKKDWCEAGTKSSNIYGWVARADDYVAIDPIGKHLHKKGELKTVVDLAEEESRKMEKLVAYMKDQLEAKSRQLKELELKYQEVDTCCQKMVDKLYLTHQAYNEEIQLNQWLAQENSIQSSDSNVNKKVALPASPAQYQAE >DRNTG_00009.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21512588:21515543:1 gene:DRNTG_00009 transcript:DRNTG_00009.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSEESSDLSDSEVDEYEARSYLNMKTGNTKVKRSSGIYRCPFCVGKKKLVYSYKDLLQHAIGVGSSNRSGKVKANHRAMAKYMKTDLSEAVPLIPHLVNEEKPPLPIPKQDNQFVWPWNGIIVNIPTEWKDGQLVGDSASRLKESLSRFNPVRVVTLWDAKGHTGTAIVDFGRDWAGFKDAMAFEGHLESQNLGKKDWCEAGTKSSNIYGWVARADDYVAIDPIGKHLHKKGELKTVVDLAEEESRKMEKLVAYMKDQLEAKSRQLKELELKYQEVDTCCQKMVDKLYLTHQAYNEEIQLNQWLAQENSIQSSDSNVNKKVALPASPAQYQAE >DRNTG_00009.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21512601:21515390:1 gene:DRNTG_00009 transcript:DRNTG_00009.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSEESSDLSDSEVDEYEARSYLNMKTGNTKVKRSSGIYRCPFCVGKKKLVYSYKDLLQHAIGVGSSNRSGKVKANHRAMAKYMKTDLSEAVPLIPHLVNEEKPPLPIPKQDNQFVWPWNGIIVNIPTEWKDGQLVGDSASRLKESLSRFNPVRVVTLWDAKGHTGTAIVDFGRDWAGFKDAMAFEGHLESQNLGKKDWCEAGTKSSNIYGWVARADDYVAIDPIGKHLHKKGELKTVVDLAEEESRKMEKLVAYMKDQLEAKSRQLKELELKYQEVDTCCQKMVDKLYLTHQAYNEEIQLNQWLAQENSIQSSDSNVNKKVALPASPAQYQAE >DRNTG_00009.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21512601:21515543:1 gene:DRNTG_00009 transcript:DRNTG_00009.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSEESSDLSDSEVDEYEARSYLNMKTGNTKVKRSSGIYRCPFCVGKKKLVYSYKDLLQHAIGVGSSNRSGKVKANHRAMAKYMKTDLSEAVPLIPHLVNEEKPPLPIPKQDNQFVWPWNGIIVNIPTEWKDGQLVGDSASRLKESLSRFNPVRVVTLWDAKGHTGTAIVDFGRDWAGFKDAMAFEGHLESQNLGKKDWCEAGTKSSNIYGWVARADDYVAIDPIGKHLHKKGELKTVVDLAEEESRKMEKLVAYMKDQLEAKSRQLKELELKYQEVDTCCQKMVDKLYLTHQAYNEEIQLNQWLAQENSIQSSDSNVNKKVALPASPAQYQAE >DRNTG_00009.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21512588:21515304:1 gene:DRNTG_00009 transcript:DRNTG_00009.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSEESSDLSDSEVDEYEARSYLNMKTGNTKVKRSSGIYRCPFCVGKKKLVYSYKDLLQHAIGVGSSNRSGKVKANHRAMAKYMKTDLSEAVPLIPHLVNEEKPPLPIPKQDNQFVWPWNGIIVNIPTEWKDGQLVGDSASRLKESLSRFNPVRVVTLWDAKGHTGTAIVDFGRDWAGFKDAMAFEGHLESQNLGKKDWCEAGTKSSNIYGWVARADDYVAIDPIGKHLHKKGELKTVVDLAEEESRKMEKLVAYMKDQLEAKSRQLKELELKYQEVDTCCQKMVDKLYLTHQAYNEEIQLNQWLAQENSIQSSDSNVNKKVALPASPAQYQAE >DRNTG_00009.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21512601:21515304:1 gene:DRNTG_00009 transcript:DRNTG_00009.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSEESSDLSDSEVDEYEARSYLNMKTGNTKVKRSSGIYRCPFCVGKKKLVYSYKDLLQHAIGVGSSNRSGKVKANHRAMAKYMKTDLSEAVPLIPHLVNEEKPPLPIPKQDNQFVWPWNGIIVNIPTEWKDGQLVGDSASRLKESLSRFNPVRVVTLWDAKGHTGTAIVDFGRDWAGFKDAMAFEGHLESQNLGKKDWCEAGTKSSNIYGWVARADDYVAIDPIGKHLHKKGELKTVVDLAEEESRKMEKLVAYMKDQLEAKSRQLKELELKYQEVDTCCQKMVDKLYLTHQAYNEEIQLNQWLAQENSIQSSDSNVNKKVALPASPAQYQAE >DRNTG_09294.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:868972:870726:-1 gene:DRNTG_09294 transcript:DRNTG_09294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLKLSLVCLSIVTLDVGAGILAIKAEKAQSQEANPCEAKSKTLAYKLGLGAAILMLVAFIITDTFTNRHRFRQQSEPARKPASMRVASVTYVLAWAIFILGIALMGAAASTGDPKRRLPCSFSKHHLLAIGGVLCFIEAVVCVTYHLAANTGDKNS >DRNTG_21868.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19255013:19255856:1 gene:DRNTG_21868 transcript:DRNTG_21868.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDLQPHQYFHVFLIFWVTFLFLNCFDQKMTLDEYEKIREEKRKALLVMKTELRKVDFDKEFESMERLSIKKGNDEIFIKLGTDGCKQKKRECRS >DRNTG_21868.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19251622:19255856:1 gene:DRNTG_21868 transcript:DRNTG_21868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNFFDILGDDDNDDPSQLIASQKVKVSAKKLANAVAAASAGVVAKLPSKPLPPAQAVREAWSGGVPGRGGAGCGGPASGRGARGGRNGPNREFGFAGGYGGGEDGDAVKPFEREKNFDGGHGAYVGRRQSSRGGRRGGYGNGEAGGDSEPLQEEFMSVGVELDVGRR >DRNTG_11729.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3770822:3773098:-1 gene:DRNTG_11729 transcript:DRNTG_11729.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHGCAENIHARAPDTQGQTHAPVASLDIREKISSLTYARVEISHGRGHSHAQLTGAAARPCVFSGWTEPPVEFRTGVRKLPTPLAIVRPTSLAHVRLQQSWGVFGGVS >DRNTG_00903.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21633769:21634311:1 gene:DRNTG_00903 transcript:DRNTG_00903.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQIDPAFVQAAEHRPKSTISDAGGIPLIDLSPLLHHHIPSDPSDPAIADEISNLIAQIGEACKDWGFFQVVNHGVEVELLEKVEAVTKEFFALPAEEKRKVKRGEVNPMGYYDAEHTKNVRDWKEVFDFSVTEWETKLLRLENHWPENLPEMRSVFQPK >DRNTG_00903.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21625419:21634311:1 gene:DRNTG_00903 transcript:DRNTG_00903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQIDPAFVQAAEHRPKSTISDAGSIPLIDLSPLLHHHIPSDPSDPAIADEISNLIAQIGEACKDWGFFQVVNHGVEVELLEKVEAVTKEFFALPAEEKRKVKRGEVNPMGYYDAEHTKNVRDWKEVFDFSVTEWETKLLRLENHWPENLPEMRSVFQPK >DRNTG_18544.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6663384:6667668:1 gene:DRNTG_18544 transcript:DRNTG_18544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLETLCGQAYGAQQYEELGIQAYRAILSLFIVCIPISFIWGSMGKLLIFIGQDPLISEEAGKYALWLIPALFAYAITQTMTKFLQSQSLIFPMLLSSIAALCFHIPVCWFMVFKSGMGNVGAAFSISISYWLNVLMLGLYIKCSDSCKATRIPFSLKAFKGINEFLRFAVPSAVMICLEWWSFELLILLSGLLPNPELETSVLSICLNSIAFLYTIPYGLGAATSTRISNEVGA >DRNTG_18544.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6663384:6667668:1 gene:DRNTG_18544 transcript:DRNTG_18544.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLETLCGQAYGAQQYEELGIQAYRAILSLFIVCIPISFIWGSMGKLLIFIGQDPLISEEAGKYALWLIPALFAYAITQTMTKFLQSQSLIFPMLLSSIAALCFHIPVCWFMVFKSGMGNVGAAFSISISYWLNVLMLGLYIKCSDSCKATRIPFSLKAFKGINEFLRFAVPSAVMICLEWWSFELLILLSGLLPNPELETSVLSIW >DRNTG_08945.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27279984:27285638:-1 gene:DRNTG_08945 transcript:DRNTG_08945.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFNRQIFVDKLAKLNPSQQSIESILQCVFFFKIFLLYI >DRNTG_08945.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27279984:27283369:-1 gene:DRNTG_08945 transcript:DRNTG_08945.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFNRQIFVDKLAKLNPSQQSIETLSHWCIFHRNNAKELVETWDSEFHCSPLDKHIPFLYLANDILQNSRRRGSEFVNEYWKVLPNALNYVCENGNEDGRKAALRLIDIWEERKVFGSRGQVLKEEVLGRNLDKNYRNGKPNITKLVRCLALIP >DRNTG_08945.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27279984:27285638:-1 gene:DRNTG_08945 transcript:DRNTG_08945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFNRQIFVDKLAKLNPSQQSIETLSHWCIFHRNNAKELVETWDSEFHCSPLDKHIPFLYLANDILQNSRRRGSEFVNEYWKVLPNALNYVCENGNEDGRKAALRLIDIWEERKVFGSRGQVLKEEVLGRNLDKNYRNGKPNITKLKLGNGDILEKLISSYEQVGDGSVLEDVLFAKYQNSVSYLERVEKEIASNPDFGRLNRSGILEEMQTHLDTLEEYTNKLAASELSRITLLSHLREAILEQEGKIEQAHDHIQASESKSEQLNIISNKLQDCKICRASEQNVKEAPAALNVSANLIPDVPASSGGQGIPPCTQQMATIDVTSQTDEQRKSAAAAMAAKLTAATDSADLLRMVFSSLASESHGKFANEDLSSENKKLRIDNGAVTPYVPEPVSSPYALSDPLHRQPLPLQRPLQLQPPLQLQLQPPLRPLSAPDFTQNSGASATTVQYSYAPTVLQNPAMMTNYQMTMFSPAAPNPFGFAANFPTSDGSGGNLSQPAETAATSPIPQ >DRNTG_11890.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15007564:15027373:-1 gene:DRNTG_11890 transcript:DRNTG_11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLYTDSESEETAIEDDTDIDDAAFDNPRCGICMDVVIDRGVLDCCQDWFCFACIDNWATITNLCPFCKIEFQLITCLPVYDTVGRIDSEDHSFSRDDEWLIQGKNNALSFPSYYINEEAVVCLDGDGCKIRSGFTTSEDELSVDTSIACDSCDIWYHAFCVGFNSSCPTDSSWLCPRCVSKQGAQKPDTCIMQNVVSHSEPRSVDLGWSVDYNFAGKVSVSVADTGDTDIVVSMVEEKPRALASGNLSLTDCLDVDRSKSIAINMTNIADGSDNVEIGLQADKSGCDELVPFSINCIDQTMSDTIAFHKDHNNSEIVLDVSSKAGSGPADNLKDEFPVVPSIHDALLDMKPSHHKLESTIVSLASQNPSSTSINPKRCGFLGPKILEEKFKLDNTIDICKIPKPCSTETDNDLSLNISVDNVHPDPSVASSTSVDFAKDDVLSDIGEDAYGLMPQHNFVDGSLAEEDMMKTLPDAIDADFLRIPDTKREREMEEKIEIEHPMKKSRSDGKHQMLLLEKEVHKFALDFTKKDLSPTTVKDGSLKHTLGNDGKALDIMSLVQENKHRASDVSSYTDSTEKLMQKRDGAAGLRVKKIMRSVGDNKESSVLIQKLREEIREAVQDKTPNIIDKVKILNGELLTAFRAALARPQNEVVDKTGLSHVAIRKPFLQKGKTRENLTKKIYQTSTGRRKKAWDRDCEVEFWKRRCMIAKPQKVETLQSVLELLRKASSSSLEDSEIDQDPHKQTTDSILSRVYLADASVFPRKNDIKPLSVLEGYQGIDSHNQESNISGKGSQHVCATNMTNNGIGISDCHLRGKVPSTDKGEKKLSAPNPKKEAPCQKGKSNGTREAPTTIVDSSSSKESALNSNELSRQSCVAKSDKKKWALEVLARKNASFNADASKGNQEDRSPLNGNYPLLTQLPADMMPLPAPSRFNKVPLSVRQAQLNRIAEHYLRRTNLSQMRRTAVTELAVADAVNVEKDIVERSNSKLVYFNLCSQVLSQRKTKPQNSENISHGPIATSTHDTDFRVEVMCSNSVLTEGVKVEEALKLAGLDDTPPSSPSRPGTDTEAEGTGLAKVRQEDFTDPYDVHSHPVCILDDEGSIISSRTMDAGPVHPEDLESRIKLVPSSVNCAKSSSKCAAQNFQTSKQESITTDQHDLRVVEASSDTSTMLACQSDTHLEVRVPVLQEPSHMDFNRDPSFEECEENRVSLDKKLSVEVTGGPSNAMETDAAVQLPFCCDIENPEKSNKEYLIVEIHTENNFAEGSPSHSLISEKAPTDEIATVHSHADSAKSISRKVEAYIKEHIRPLCKSGIITVQQYRWAVTKTTEKVMKFHYKAKNANFLIKEGAKVKKLAEDYVEAAQQKEWT >DRNTG_11890.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15007564:15025126:-1 gene:DRNTG_11890 transcript:DRNTG_11890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLYTDSESEETAIEDDTDIDDAAFDNPRCGICMDVVIDRGVLDCCQDWFCFACIDNWATITNLCPFCKIEFQLITCLPVYDTVGRIDSEDHSFSRDDEWLIQGKNNALSFPSYYINEEAVVCLDGDGCKIRSGFTTSEDELSVDTSIACDSCDIWYHAFCVGFNSSCPTDSSWLCPRCVSKQGAQKPDTCIMQNVVSHSEPRSVDLGWSVDYNFAGKVSVSVADTGDTDIVVSMVEEKPRALASGNLSLTDCLDVDRSKSIAINMTNIADGSDNVEIGLQADKSGCDELVPFSINCIDQTMSDTIAFHKDHNNSEIVLDVSSKAGSGPADNLKDEFPVVPSIHDALLDMKPSHHKLESTIVSLASQNPSSTSINPKRCGFLGPKILEEKFKLDNTIDICKIPKPCSTETDNDLSLNISVDNVHPDPSVASSTSVDFAKDDVLSDIGEDAYGLMPQHNFVDGSLAEEDMMKTLPDAIDADFLRIPDTKREREMEEKIEIEHPMKKSRSDGKHQMLLLEKEVHKFALDFTKKDLSPTTVKDGSLKHTLGNDGKALDIMSLVQENKHRASDVSSYTDSTEKLMQKRDGAAGLRVKKIMRSVGDNKESSVLIQKLREEIREAVQDKTPNIIDKVKILNGELLTAFRAALARPQNEVVDKTGLSHVAIRKPFLQKGKTRENLTKKIYQTSTGRRKKAWDRDCEVEFWKRRCMIAKPQKVETLQSVLELLRKASSSSLEDSEIDQDPHKQTTDSILSRVYLADASVFPRKNDIKPLSVLEGYQGIDSHNQESNISGKGSQHVCATNMTNNGIGISDCHLRGKVPSTDKGEKKLSAPNPKKEAPCQKGKSNGTREAPTTIVDSSSSKESALNSNELSRQSCVAKSDKKKWALEVLARKNASFNADASKGNQEDRSPLNGNYPLLTQLPADMMPLPAPSRFNKVPLSVRQAQLNRIAEHYLRRTNLSQMRRTAVTELAVADAVNVEKDIVERSNSKLVYFNLCSQVLSQRKTKPQNSENISHGPIATSTHDTDFRVEVMCSNSVLTEGVKVEEALKLAGLDDTPPSSPSRPGTDTEAEGTGLAKVRQEDFTDPYDVHSHPVCILDDEGSIISSRTMDAGPVHPEDLESRIKLVPSSVNCAKSSSKCAAQNFQTSKQESITTDQHDLRVVEASSDTSTMLACQSDTHLEVRVPVLQEPSHMDFNRDPSFEECEENRVSLDKKLSVEVTGGPSNAMETDAAVQLPFCCDIENPEKSNKEYLIVEIHTENNFAEGSPSHSLISEKAPTDEIATVHSHADSAKSISRKVEAYIKEHIRPLCKSGIITVQQYRWAVTKTTEKVMKFHYKAKNANFLIKEGAKVKKLAEDYVEAAQQKEWT >DRNTG_11890.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15007564:15019460:-1 gene:DRNTG_11890 transcript:DRNTG_11890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQNVVSHSEPRSVDLGWSVDYNFAGKVSVSVADTGDTDIVVSMVEEKPRALASGNLSLTDCLDVDRSKSIAINMTNIADGSDNVEIGLQADKSGCDELVPFSINCIDQTMSDTIAFHKDHNNSEIVLDVSSKAGSGPADNLKDEFPVVPSIHDALLDMKPSHHKLESTIVSLASQNPSSTSINPKRCGFLGPKILEEKFKLDNTIDICKIPKPCSTETDNDLSLNISVDNVHPDPSVASSTSVDFAKDDVLSDIGEDAYGLMPQHNFVDGSLAEEDMMKTLPDAIDADFLRIPDTKREREMEEKIEIEHPMKKSRSDGKHQMLLLEKEVHKFALDFTKKDLSPTTVKDGSLKHTLGNDGKALDIMSLVQENKHRASDVSSYTDSTEKLMQKRDGAAGLRVKKIMRSVGDNKESSVLIQKLREEIREAVQDKTPNIIDKVKILNGELLTAFRAALARPQNEVVDKTGLSHVAIRKPFLQKGKTRENLTKKIYQTSTGRRKKAWDRDCEVEFWKRRCMIAKPQKVETLQSVLELLRKASSSSLEDSEIDQDPHKQTTDSILSRVYLADASVFPRKNDIKPLSVLEGYQGIDSHNQESNISGKGSQHVCATNMTNNGIGISDCHLRGKVPSTDKGEKKLSAPNPKKEAPCQKGKSNGTREAPTTIVDSSSSKESALNSNELSRQSCVAKSDKKKWALEVLARKNASFNADASKGNQEDRSPLNGNYPLLTQLPADMMPLPAPSRFNKVPLSVRQAQLNRIAEHYLRRTNLSQMRRTAVTELAVADAVNVEKDIVERSNSKLVYFNLCSQVLSQRKTKPQNSENISHGPIATSTHDTDFRVEVMCSNSVLTEGVKVEEALKLAGLDDTPPSSPSRPGTDTEAEGTGLAKVRQEDFTDPYDVHSHPVCILDDEGSIISSRTMDAGPVHPEDLESRIKLVPSSVNCAKSSSKCAAQNFQTSKQESITTDQHDLRVVEASSDTSTMLACQSDTHLEVRVPVLQEPSHMDFNRDPSFEECEENRVSLDKKLSVEVTGGPSNAMETDAAVQLPFCCDIENPEKSNKEYLIVEIHTENNFAEGSPSHSLISEKAPTDEIATVHSHADSAKSISRKVEAYIKEHIRPLCKSGIITVQQYRWAVTKTTEKVMKFHYKAKNANFLIKEGAKVKKLAEDYVEAAQQKEWT >DRNTG_11890.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15007564:15020352:-1 gene:DRNTG_11890 transcript:DRNTG_11890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCRDDEWLIQGKNNALSFPSYYINEEAVVCLDGDGCKIRSGFTTSEDELSVDTSIACDSCDIWYHAFCVGFNSSCPTDSSWLCPRCVSKQGAQKPDTCIMQNVVSHSEPRSVDLGWSVDYNFAGKVSVSVADTGDTDIVVSMVEEKPRALASGNLSLTDCLDVDRSKSIAINMTNIADGSDNVEIGLQADKSGCDELVPFSINCIDQTMSDTIAFHKDHNNSEIVLDVSSKAGSGPADNLKDEFPVVPSIHDALLDMKPSHHKLESTIVSLASQNPSSTSINPKRCGFLGPKILEEKFKLDNTIDICKIPKPCSTETDNDLSLNISVDNVHPDPSVASSTSVDFAKDDVLSDIGEDAYGLMPQHNFVDGSLAEEDMMKTLPDAIDADFLRIPDTKREREMEEKIEIEHPMKKSRSDGKHQMLLLEKEVHKFALDFTKKDLSPTTVKDGSLKHTLGNDGKALDIMSLVQENKHRASDVSSYTDSTEKLMQKRDGAAGLRVKKIMRSVGDNKESSVLIQKLREEIREAVQDKTPNIIDKVKILNGELLTAFRAALARPQNEVVDKTGLSHVAIRKPFLQKGKTRENLTKKIYQTSTGRRKKAWDRDCEVEFWKRRCMIAKPQKVETLQSVLELLRKASSSSLEDSEIDQDPHKQTTDSILSRVYLADASVFPRKNDIKPLSVLEGYQGIDSHNQESNISGKGSQHVCATNMTNNGIGISDCHLRGKVPSTDKGEKKLSAPNPKKEAPCQKGKSNGTREAPTTIVDSSSSKESALNSNELSRQSCVAKSDKKKWALEVLARKNASFNADASKGNQEDRSPLNGNYPLLTQLPADMMPLPAPSRFNKVPLSVRQAQLNRIAEHYLRRTNLSQMRRTAVTELAVADAVNVEKDIVERSNSKLVYFNLCSQVLSQRKTKPQNSENISHGPIATSTHDTDFRVEVMCSNSVLTEGVKVEEALKLAGLDDTPPSSPSRPGTDTEAEGTGLAKVRQEDFTDPYDVHSHPVCILDDEGSIISSRTMDAGPVHPEDLESRIKLVPSSVNCAKSSSKCAAQNFQTSKQESITTDQHDLRVVEASSDTSTMLACQSDTHLEVRVPVLQEPSHMDFNRDPSFEECEENRVSLDKKLSVEVTGGPSNAMETDAAVQLPFCCDIENPEKSNKEYLIVEIHTENNFAEGSPSHSLISEKAPTDEIATVHSHADSAKSISRKVEAYIKEHIRPLCKSGIITVQQYRWAVTKTTEKVMKFHYKAKNANFLIKEGAKVKKLAEDYVEAAQQKEWT >DRNTG_11890.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15007564:15019270:-1 gene:DRNTG_11890 transcript:DRNTG_11890.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQNVVSHSEPRSVDLGWSVDYNFAGKVSVSVADTGDTDIVVSMVEEKPRALASGNLSLTDCLDVDRSKSIAINMTNIADGSDNVEIGLQADKSGCDELVPFSINCIDQTMSDTIAFHKDHNNSEIVLDVSSKAGSGPADNLKDEFPVVPSIHDALLDMKPSHHKLESTIVSLASQNPSSTSINPKRCGFLGPKILEEKFKLDNTIDICKIPKPCSTETDNDLSLNISVDNVHPDPSVASSTSVDFAKDDVLSDIGEDAYGLMPQHNFVDGSLAEEDMMKTLPDAIDADFLRIPDTKREREMEEKIEIEHPMKKSRSDGKHQMLLLEKEVHKFALDFTKKDLSPTTVKDGSLKHTLGNDGKALDIMSLVQENKHRASDVSSYTDSTEKLMQKRDGAAGLRVKKIMRSVGDNKESSVLIQKLREEIREAVQDKTPNIIDKVKILNGELLTAFRAALARPQNEVVDKTGLSHVAIRKPFLQKGKTRENLTKKIYQTSTGRRKKAWDRDCEVEFWKRRCMIAKPQKVETLQSVLELLRKASSSSLEDSEIDQDPHKQTTDSILSRVYLADASVFPRKNDIKPLSVLEGYQGIDSHNQESNISGKGSQHVCATNMTNNGIGISDCHLRGKVPSTDKGEKKLSAPNPKKEAPCQKGKSNGTREAPTTIVDSSSSKESALNSNELSRQSCVAKSDKKKWALEVLARKNASFNADASKGNQEDRSPLNGNYPLLTQLPADMMPLPAPSRFNKVPLSVRQAQLNRIAEHYLRRTNLSQMRRTAVTELAVADAVNVEKDIVERSNSKLVYFNLCSQVLSQRKTKPQNSENISHGPIATSTHDTDFRVEVMCSNSVLTEGVKVEEALKLAGLDDTPPSSPSRPGTDTEAEGTGLAKVRQEDFTDPYDVHSHPVCILDDEGSIISSRTMDAGPVHPEDLESRIKLVPSSVNCAKSSSKCAAQNFQTSKQESITTDQHDLRVVEASSDTSTMLACQSDTHLEVRVPVLQEPSHMDFNRDPSFEECEENRVSLDKKLSVEVTGGPSNAMETDAAVQLPFCCDIENPEKSNKEYLIVEIHTENNFAEGSPSHSLISEKAPTDEIATVHSHADSAKSISRKVEAYIKEHIRPLCKSGIITVQQYRWAVTKTTEKVMKFHYKAKNANFLIKEGAKVKKLAEDYVEAAQQKEWT >DRNTG_16630.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31470748:31471605:-1 gene:DRNTG_16630 transcript:DRNTG_16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATGGFRQKTQLDNLQQEMDVLIATPGRLIYLLQEGFLLLT >DRNTG_34682.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28770663:28773257:-1 gene:DRNTG_34682 transcript:DRNTG_34682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPTTAANLQDYARLASERIRAARVSKPALRSLDLSARVSIPSKRRGMEESQGRLPLSEVVADCVKRWFQDTLKEARNGDTSMQVLVGQMYHSGYGIPRNEQKAKAWLTKASRYRSSVWKVGDKRPGYNASDSDSDKEDGAKS >DRNTG_18258.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23924684:23927961:1 gene:DRNTG_18258 transcript:DRNTG_18258.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIQPTRFFLLRFQILNLPLFTRTLPNNPRFARPILHGLCSLGFVVRAVIKFCCNGERTNFGEKHFWPIPFACVSRRDFNHQNVARKR >DRNTG_18258.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23919930:23927961:1 gene:DRNTG_18258 transcript:DRNTG_18258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIQPTRFFLLRFQILNLPLFTRTLPNNPRFARPILHGLCSLGFVVRAVIKFCCNGERTNFGEKHFWPIPFACVSRRDFNHQNVARKR >DRNTG_11026.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:813051:818468:-1 gene:DRNTG_11026 transcript:DRNTG_11026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVNSLEEVGYESGKNLFGAPYDFRYGLAAEGHPCHIGNQYLQDLKKLIESAFVSNGKKPVIIVSHSLGGLFVLQLLNRSPLSWRHKYIKHFISVSAPWGGTVLQMLTFASGYTLGVPIVDPLLVRGEQRSSESNLWLMPSPKVFDRKPLVITEKKSYMASDIPEFLMDIGFGEGVCPYKTRIVPLYYSLKAPGVPVTCIVGTGVETPEMFVYGNEGFDVQPRIFYGDGDGSVNIESLLALESLWSGLEGQHMLKVINVSGVSHMSLVKEKVAVNEILAQVQSINSLLLTSFA >DRNTG_09237.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:941756:945755:-1 gene:DRNTG_09237 transcript:DRNTG_09237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQIDLALRYMDLTLKSGYMVSMNVFTDCVRCCVTAGRLDVLASIIEKCKTTEQNKSLCPPWNLCNYIADVALQADHSKLAFFALEFLARWIARGENARPPVLLSVDEGLVVSAFGTSGRTYNSTLLDAAWSILRRSLRQKRAPNPETYLSKIYSHASLGQLQRAFSTLSEFENAYGNSGEAEEELFSPFTSLYPLVVACCKNGFSTLDSVYVQLENLSNADPPYKSVAALNCVILGCANIWDLDRAYETFEAIGGKLGMAPDIHSYNALICAFGKLKKTSEASKVFEHLVSLGVKPNATTFALLVDAHLINRDQKAALSVIDDMVKSGLAPSKETLKKVRRRCSREMDFDSDEKVQSLARQFNYRMGGEFRREMLYNLEYSTEY >DRNTG_17901.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17232119:17234583:-1 gene:DRNTG_17901 transcript:DRNTG_17901.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-like protein 18 [Source:Projected from Arabidopsis thaliana (AT1G08500) UniProtKB/TrEMBL;Acc:O82083] MEKISISILMLISCFVLAMRFEGVHGYKNYTVGDSHGWYDNLKDPKVNYQKWAAGKNFSLGDYLIFNTDKNHTVVQTYNLTIYKHCDYNNADSDDTIDWSAGEPEVSTEAVTMAVPLVKEGMNYFFSGNYDGEQCKHGQHFKINVTHGQGLPESLKNPVPDSPAPNSADNSDNVPDTVIPSNFDNPINGGDVKAASGAAGRNVRIGLVVFVFFWYSCCKYVWVIS >DRNTG_12475.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000553.1:9579:10541:1 gene:DRNTG_12475 transcript:DRNTG_12475.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSSWPKQEVAISKTRELKKLCESTLSSMFDGRPVNIIGEINTLLSSSVSA >DRNTG_12649.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1262429:1266608:1 gene:DRNTG_12649 transcript:DRNTG_12649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVADKPSSGYALGPPWSFKGRALYQLHLVRAETARPFIPKEFKLVQFFGYTLGGFFLAHYDESPAGMFDELVVIAGIVWNPPTSCAWAARVLVNSHDACSHGQKEIGLPSRVAMFSKRARMMTETAKNKHNGFLDIFGLAAALPTLREHCEIRVSEINDSSLTQLCSISMPAVVPKSKPSGVWMGPVIRMSLPSFSGQTLHNPHLLKYSCQIQCRVRAVEAAKVSGPPKRGANEDSDDVNINTDNLSMKKHEEDETWRRSISVLLSKPILALEFNLLKMQVEAPTVVVPSSRKNE >DRNTG_12649.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1262429:1266608:1 gene:DRNTG_12649 transcript:DRNTG_12649.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDVADKPSSGYALGPPWSFKGRALYQLHLVRAETARPFIPKEFKLVQFFGYTLGGFFLAHYDESPAGMFDELVVIAGIVWNPPTSCAWAARVLVNSHDACSHGQKEIGLPSRVAMFSKRARMMTETAKNKHNGFLDIFGLAAALPTLREHCEIRVSEINDSSLTQLCSISMPAVGERII >DRNTG_12649.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1262429:1266608:1 gene:DRNTG_12649 transcript:DRNTG_12649.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVADKPSSGYALGPPWSFKGRALYQLHLVRAETARPFIPKEFKLVQFFGYTLGGFFLAHYDESPAGMFDELVVIAGIVWNPPTSCA >DRNTG_12649.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1262429:1266608:1 gene:DRNTG_12649 transcript:DRNTG_12649.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDVADKPSSGYALGPPWSFKGRALYQLHLVRAETARPFIPKEFKLVQFFGYTLGGFFLAHYDESPAGMFDELVVIAGIVWNPPTSCA >DRNTG_12649.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1262429:1266608:1 gene:DRNTG_12649 transcript:DRNTG_12649.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVADKPSSGYALGPPWSFKGRALYQLHLVRAETARPFIPKEFKLVQFFGYTLGGFFLAHYDESPAGMFDELVVIAGIVWNPPTSCAWAARVLVNSHDACSHGQKEIGLPSRVAMFSKRARMMTETAKNKHNGFLDIFGLAAALPTLREHCEIRVSEINDSSLTQLCSISMPAVGERII >DRNTG_12649.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1262429:1266608:1 gene:DRNTG_12649 transcript:DRNTG_12649.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVADKPSSGYALGPPWSFKGRALYQLHLVRAETARPFIPKEFKLVQFFGYTLGGFFLAHYDESPAGMFDELVVIAGIVWNPPTSCAWAARVLVNSHDACSHGQKEIGLPSRVAMFSKRARMMTETAKNKHNGFLDIFGLAAALPTLREHCEIRVSEINDSSLTQLCSISMPAVGERII >DRNTG_12649.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1262429:1266608:1 gene:DRNTG_12649 transcript:DRNTG_12649.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDVADKPSSGYALGPPWSFKGRALYQLHLVRAETARPFIPKEFKLVQFFGYTLGGFFLAHYDESPAGMFDELVVIAGIVWNPPTSCAWAARVLVNSHDACSHGQKEIGLPSRVAMFSKQRARMMTETAKNKHNGFLDIFGLAAALPTLREHCEIRVSEINDSSLTQLCSISMPAVVPKSKPSGVWMGPVIRMSLPSFSGQTLHNPHLLKYSCQIQCRVRAVEAAKVSGPPKRGANEDSDDVNINTDNLSMKKHEEDETWRRSISVLLSKPILALEFNLLKMQVEAPTVVVPSSRKNE >DRNTG_31536.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18259345:18260577:1 gene:DRNTG_31536 transcript:DRNTG_31536.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGDALRGAERTFMPTPLAFEIIRIMGLVWRCGSGVYIIATATPETTMSGGDTTEGSRQIPRPLAAQGPRAYDRIKRLESDVDHFNMIQRLLMGRPLVPPPPPRASPSPLAPAPFDLAPPVEPEDDADT >DRNTG_19728.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001049.1:16081:18782:1 gene:DRNTG_19728 transcript:DRNTG_19728.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMAFFEGLMKQVMERQEAMQQRFLETIEKREQDRMIREEAWRRQEMARLNREHELMAQERAMAASRDAAVIAFLQKISGQTIQLPQPSTTTAVVTPPPAPTPPPAPAPPPPQHNIEIIRQPQPQPPPQPPVSSSELVLVPEPSHEMAVTGGGGFETVASSRWPKAEVHALIQLRSGLDSKYVEAGPKGPLWEEISLEMQRLGYNRSSKRCKEKWENINKYFKKVKESNKKRPEDAKTCPYFHQLDALYRKKHGGSSSNLRSITVEPETTSTQQQERPLAIMAPPPVATATPPPPPPPQQQQQQQQQEQEVAQQDQSEVKNGSSSSNQDTSNGGHHQSSFFEEGTSGGNGSGSGSSMMKKKTL >DRNTG_19728.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001049.1:14690:18782:1 gene:DRNTG_19728 transcript:DRNTG_19728.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAFFEGLMKQVMERQEAMQQRFLETIEKREQDRMIREEAWRRQEMARLNREHELMAQERAMAASRDAAVIAFLQKISGQTIQLPQPSTTTAVVTPPPAPTPPPAPAPPPPQHNIEIIRQPQPQPPPQPPVSSSELVLVPEPSHEMAVTGGGGFETVASSRWPKAEVHALIQLRSGLDSKYVEAGPKGPLWEEISLEMQRLGYNRSSKRCKEKWENINKYFKKVKESNKKRPEDAKTCPYFHQLDALYRKKHGGSSSNLRSITVEPETTSTQQQERPLAIMAPPPVATATPPPPPPPQQQQQQQQQEQEVAQQDQSEVKNGSSSSNQDTSNGGHHQSSFFEEGTSGGNGSGSGSSMMKKKTL >DRNTG_19728.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001049.1:14690:18782:1 gene:DRNTG_19728 transcript:DRNTG_19728.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAFFEGLMKQVMERQEAMQQRFLETIEKREQDRMIREEAWRRQEMARLNREHELMAQERAMAASRDAAVIAFLQKISGQTIQLPQPSTTTAVVTPPPAPTPPPAPAPPPPQHNIEIIRQPQPQPPPQPPVSSSELVLVPEPSHEMAVTGGGGFETVASSRWPKAEVHALIQLRSGLDSKYVEAGPKGPLWEEISLEMQRLGYNRSSKRCKEKWENINKYFKKVKESNKKRPEDAKTCPYFHQLDALYRKKHGGSSSNLRSITVEPETTSTQQQERPLAIMAPPPVATATPPPPPPPQQQQQQQQQEQEVAQQDQSEVKNGSSSSNQDTSNGGHHQSSFFEEGTSGGNGSGSGSSMMKKPEDIMKELMEQQNHQGVMDETEKLDEPDSDNMEQDDEDEDEDEDEEDRKMQYKIQFQRPNVGGGGGGGGSGGDSGNGTSTKTAAAAAAAATAAGSFLAMVQ >DRNTG_33688.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1265076:1285723:1 gene:DRNTG_33688 transcript:DRNTG_33688.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIVPDKATNTLSIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGENLGRGTKITLFLKEDQLEYLEERRLKDLVKKHSEFISYPISLWIEKTTEKEISDDEDEEDKKDEEGKVEDVDEEKEEKEKKTKKIKEVSHEWSLVNKQKPIWMRKPEEITKEEYGAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTRKKPNNIKLYVRRVFIMDNCEELMPEYLSFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNKAKLAELLRYHSTKSGEEMTSLKDYVTRMKDGQNDIYYITGESKKAVENSPFLEKLKRKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKKKETLVQKFEGLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLVLLLFETSLLTSGFSLDDPNTFGNRIHRMLKLGLSIDDDDSTADADTDMPPLEEAEAEESKMEEVD >DRNTG_33688.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1281820:1285723:1 gene:DRNTG_33688 transcript:DRNTG_33688.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIVPDKATNTLSIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGENLGRGTKITLFLKEDQLEYLEERRLKDLVKKHSEFISYPISLWIEKTTEKEISDDEDEEDKKDEEGKVEDVDEEKEEKEKKTKKIKEVSHEWSLVNKQKPIWMRKPEEITKEEYGAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTRKKPNNIKLYVRRVFIMDNCEELMPEYLSFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNKAKLAELLRYHSTKSGEEMTSLKDYVTRMKDGQNDIYYITGESKKAVENSPFLEKLKRKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKKKETLVQKFEGLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLVLLLFETSLLTSGFSLDDPNTFGNRIHRMLKLGLSIDDDDSTADADTDMPPLEEAEAEESKMEEVD >DRNTG_33688.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1265076:1268548:1 gene:DRNTG_33688 transcript:DRNTG_33688.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADTETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIVPDKATNTLSIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGENLGRGTKITLFLKEDQLEYLEERRLKDLVKKHSEFISYPISLWIEKTTEKEISDDEDEEDKKDEEGKVEDVDEEKEEKEKKTKKIKEVSHEWSLVNKQKPIWMRKPEEITKEEYGAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTRKKPNNIKLYVRRVFIMDNCEELMPEYLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCIELFFEIAENKEDYNKFYDSFSKNLKLGIHEDSQNKAKLAELLRYHSTKSGEEMTSLKDYVTRMKDGQNDIYYITGESKKAVENSPFLEKLKRKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKKKETLAQKFEGLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLVLLLFETSLLTSGFSLDDPNTFGNRIHRMLKLGLSIDEDDSTADADTDMPPLEEAEAEESKMEEVD >DRNTG_11664.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:206604:209585:1 gene:DRNTG_11664 transcript:DRNTG_11664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHGSKAEISFGGRLASSAIAACFAELCTIPLDTAKVRLQLQKKGASGDGFAIPKYRGMLGTVATIAREEGMAALWKGIVPGLHRQCIFGGLRIGLYEPVRNFYVGDNFVGDVPLSKKILAGLTTGALGIIVANPTDLVKVRLQAEGKLPPGVPKRYSGALSAYSTIVRQEGLAALWTGVGPNIARNAIINAAELASYDQIKETILKLPGFSDNVFTHLLAGLGAGFFAVCIGSPVDVVKSRMMGDSAYKNTLDCFVKTFKNDGPLAFYKGFIPNFGRLGSWNVIMFLTLEQVKKIFVRETPN >DRNTG_05854.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31537609:31539419:-1 gene:DRNTG_05854 transcript:DRNTG_05854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDVVLTQNTPSRSHQFNPSNSTRLQLPRHRYPTPLLDQFLMAAKETEYKAHIVLVPLMAQGHIIPMIDIARLLAHRPGVLTTFVTTPLNAARSRPAISTILSSSLPVRFLELPFPCSAAGLPDGCENIDLIPFPDTEDIDLLRPAFLLVKNFFFGTALLRDPLLSLLRQQHPSPTCIIADVCLPWLSDVAEALRIPRFIFHGQCCFSLACYLSIHLNGLDRTITDETQRFLVPGLPQPIHVNKTEAPGFFSMPGWEKLRKDTIDAELSSDGIVLNTFNSLEGEYIEYYEKTLGKKALAIGPASLANRDVSSMAMRGNKTAIDEQQCLNWLDSKDPRSVIFVSFGSLALVKPLQLMEIGYGLEASGSPFIWVIKECEKWPVMEQWVAELKERTGDRSLIIIGWAPQVVILSHVAVSGFLTHCGWNSVLEAVSAGVVMATWPRNSDQFLNEKLVVEVLRIGVRVGGKPPSYVAMSKWNVVERDVVEKVVRSLMDKGKEGEERRERARELGVKARMAMEEGGSSWVDLSRLVDLASVHVVNK >DRNTG_33214.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:424658:425960:-1 gene:DRNTG_33214 transcript:DRNTG_33214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFRGFRISLGLQVKELNAWKQTKQGYCSRAFRMDQQLVECREIRKPFLMNSMLVRMFSSEVSVSEQMNLIKQLRERTSAPIKDVKSSLVACKWDIEAAQKDLRKRGVVLASKKSSRTAEEGLLAIAQTEKKAAVIELNCETDFVARNDVFQYLASSLARLAFVI >DRNTG_30329.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19904120:19912478:-1 gene:DRNTG_30329 transcript:DRNTG_30329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGETLAPAAAAAVQEQIVQDGNRVGSVKQQLSSLFEASLRATFPELNIEPMVAACTAKFGDYQCNNAMGIWSKLKGASAEFKNPKSVGQGIISNLPPSEMIESTSVAGPGFVNIGLSSTWMAQSIQNMLSNGIGTWAPLLPASRVLVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSNAEVFRRNHVGDWGTQFGMLIQHLFETFPNWEDIGEQAIGDLQEFYKASKKRFDEDDLFKERAQEAVVRLQSGEDKYRDAWKRICEISRREFDLVYERLGVHLEVKGESFYNPYIPGVLEELSSKGLIEESEGARVIFIEGQQIPLIVVKRDGGYNYASTDLAALWYRLNVEKADWIIYVTDVGQSLHFTMFFSAAKRAGWLPDSNIKAFPKTSHVGFGLVLGSDGKRFRTRSSEVVRLVELLDEAKNRSKAELLKRLEESGKLAEWTDEELEKTAEAIGYGAVKYADLKNNRLTNYTFSFDQMLNDKGNTAVYLLYAHARICSIIRKSGKEIEDLKKTGRIVLGHADERILGLHLIRFAENVEEACTNLLPNVLCEYLYDLSDKFSRFYASCQVVGSAEETSRLLLCEATAVVMRKCFHLLGIQPVYKI >DRNTG_30329.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19904120:19912478:-1 gene:DRNTG_30329 transcript:DRNTG_30329.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGETLAPAAAAAVQEQIVQDGNRVGSVKQQLSSLFEASLRATFPELNIEPMVAACTAKFGDYQCNNAMGIWSKLKGASAEFKNPKSVGQGIISNLPPSEMIESTSVAGPGFVNIGLSSTWMAQSIQNMLSNGIGTWAPLLPASRVLVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSNAEVFRRNHVGDWGTQFGMLIQHLFETFPNWEDIGEQAIGDLQEFYKASKKRFDEDDLFKERAQEAVVRLQSGEDKYRDAWKRICEISRREFDLVYERLGVHLEVKGESFYNPYIPGVLEELSSKGLIEESEGARVIFIEGQQIPLIVVKRDGGYNYASTDLAALWYRLNVEKADWIIYVTDVGQSLHFTMFFSAAKRAGWLPDSNIKAFPKTSHVGFGLVLGSDGKRFRTRSSEVVRLVELLDEAKNRSKAELLKRLEESGKLAEWTDEELEKTAEAIGYGAVKYADLKNNRLTNYTFSFDQMLNDKGNTAVYLLYAHARICSIIRKSGKEIEDLKKTGRIVLGHADERILGLHLIRFAENVEEACTNLLPNVLCEYLYDLSDKFSRFYASCQVVGSAEETSRLLLCEATAVVMRKCFHLLGIQPVYKI >DRNTG_30329.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19904120:19912080:-1 gene:DRNTG_30329 transcript:DRNTG_30329.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGETLAPAAAAAVQEQIVQDGNRVGSVKQQLSSLFEASLRATFPELNIEPMVAACTAKFGDYQCNNAMGIWSKLKGASAEFKNPKSVGQGIISNLPPSEMIESTSVAGPGFVNIGLSSTWMAQSIQNMLSNGIGTWAPLLPASRVLVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSNAEVFRRNHVGDWGTQFGMLIQHLFETFPNWEDIGEQAIGDLQEFYKASKKRFDEDDLFKERAQEAVVRLQSGEDKYRDAWKRICEISRREFDLVYERLGVHLEVKGESFYNPYIPGVLEELSSKGLIEESEGARVIFIEGQQIPLIVVKRDGGYNYASTDLAALWYRLNVEKADWIIYVTDVGQSLHFTMFFSAAKRAGWLPDSNIKAFPKTSHVGFGLVLGSDGKRFRTRSSEVVRLVELLDEAKNRSKAELLKRLEESGKLAEWTDEELEKTAEAIGYGAVKYADLKNNRLTNYTFSFDQMLNDKGNTAVYLLYAHARICSIIRKSGKEIEDLKKTGRIVLGHADERILGLHLIRFAENVEEACTNLLPNVLCEYLYDLSDKFSRFYASCQVVGSAEETSRLLLCEATAVVMRKCFHLLGIQPVYKI >DRNTG_30329.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19904120:19912080:-1 gene:DRNTG_30329 transcript:DRNTG_30329.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTPAVLSISSSSCRSHPLFSSGCFGAVTRRFGSVGKRTLLPRAMSGETLAPAAAAAVQEQIVQDGNRVGSVKQQLSSLFEASLRATFPELNIEPMVAACTAKFGDYQCNNAMGIWSKLKGASAEFKNPKSVGQGIISNLPPSEMIESTSVAGPGFVNIGLSSTWMAQSIQNMLSNGIGTWAPLLPASRVLVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSNAEVFRRNHVGDWGTQFGMLIQHLFETFPNWEDIGEQAIGDLQEFYKASKKRFDEDDLFKERAQEAVVRLQSGEDKYRDAWKRICEISRREFDLVYERLGVHLEVKGESFYNPYIPGVLEELSSKGLIEESEGARVIFIEGQQIPLIVVKRDGGYNYASTDLAALWYRLNVEKADWIIYVTDVGQSLHFTMFFSAAKRAGWLPDSNIKAFPKTSHVGFGLVLGSDGKRFRTRSSEVVRLVELLDEAKNRSKAELLKRLEESGKLAEWTDEELEKTAEAIGYGAVKYADLKNNRLTNYTFSFDQMLNDKGNTAVYLLYAHARICSIIRKSGKEIEDLKKTGRIVLGHADERILGLHLIRFAENVEEACTNLLPNVLCEYLYDLSDKFSRFYASCQVVGSAEETSRLLLCEATAVVMRKCFHLLGIQPVYKI >DRNTG_34170.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002093.1:19525:21172:-1 gene:DRNTG_34170 transcript:DRNTG_34170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHSGRKSYHLESVKATLAAAWERATLENGFGEVLRVRHRHSFGRRLVGELPSRRILYRTRESLDDE >DRNTG_34082.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002085.1:24831:32375:1 gene:DRNTG_34082 transcript:DRNTG_34082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVRGSSKLELAQYREVAAFVQFVSDLDAATQALQNRGNPVQSFSRGAAISSPCTSDHRRHLLEVESHTYVDSVRKGTNSHDWALLTERREKGVLSSKNSHFTLVRQAIEQLLKFMIAPRRTPIWRLATPTAVSSTYVSLAAFSFWSSQLATAALVELHGKLLCYDLLDSTGLA >DRNTG_06424.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29834236:29837224:-1 gene:DRNTG_06424 transcript:DRNTG_06424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPEIHGVVVEKVEEGRVAHPQPRVDPFLVEALQNPRHRLTVLRMELDIQRFMQNPEQYQFEFQHFPTSYLRCAAHRVAQYYGLQTLLLDNGLDGSGNRILAMKIPESRFPSVHLSDIPTKQAETENIGRVNIAIKARPNKASSGGGVDLEAKKSQVRTVEERKEEYDKARARIFSCFSSPEVEGSCMVAAEDGNIYESADEQENCRTWADEGEKTSPKDGASRVAIFRDKEKDRNDPDYDRSYDRYARSFSFSPTFGVGACNVVQSPLMPYEAGFPQVPRVQSSMSFSQSNPVRGPFCAFGCNQTSRDAVYMQWPSTAMFYAHSYEHFRHAVFQAPFYQQPLSFDGKPQQ >DRNTG_11076.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11238878:11243899:-1 gene:DRNTG_11076 transcript:DRNTG_11076.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKMKRARRCHKKCPKPIGDVHEGNKGDKHLSEPVVLLRHPEKMYGHVEFSHAGGSLLGAHPEKPQGRRFVLVNDLGRTSAPVNWPCTSPGPWGISAWACEKIRVFSGWTEKPQVRGNAPVDTTYEIVDEYMQEMLNPDLYKGLFDQEEDDEEVMMLGSTEEVLSTPGILKKILRKMKRDRRHHRKCSKAIEDVREPKKLDEPLLGGLRQLLTIRKPVIQELALEVLASFEFERSYVSFDSLDAIQFRALGHHHSLSNTQFSVLLGLYKDVFTETEEYS >DRNTG_34150.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:31076933:31078304:-1 gene:DRNTG_34150 transcript:DRNTG_34150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGSDSMAAGRDGRVSTSSPLASTGILHGSPLSDDSSQHSDSGILLRESTSNGVIGYTRSKPLDNAIYLQCVLSMSALAKDPSARIASLGQKTLSIIGIEQVVTRAVKVGAINQHGDSSASSTLAGITRSSSWFDMNGGKLLLYFIICFHDLPEFSVQLV >DRNTG_34150.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:31076933:31078304:-1 gene:DRNTG_34150 transcript:DRNTG_34150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGSDSMAAGRDGRVSTSSPLASTGILHGSPLSDDSSQHSDSGILLRESTSNGVIGYTRSKPLDNAIYLQCVLSMSALAKDPSARIASLGQKTLSIIGIEQVVTRAVKVGAINQHGDSSASSTLAGITRSSSWFDMNGGHLPMTFRTPPVSPPRQNYLTGLRRVCSLEFRPHQLNSPDTGLADPLLSSGGSCVANERSLLPQSTIYNWSCGHFSRPLLTVADDNEEIIARREERERNALDRIAKCQHSSVSKIGNQIASWDTKFEMGTKAALLLPFSPVVIAADENE >DRNTG_04409.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8698527:8700140:1 gene:DRNTG_04409 transcript:DRNTG_04409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGILPKPYRGQPARRSPTSAKWMPILPLRSLSKNSEEEERNRDELIQGRKELSQGEDQHRYRFPRRRNLKSSSRGVGVHGVALDLGALISSSFDQNPAGRRPAAMAFGSGREGG >DRNTG_04409.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8698527:8699109:1 gene:DRNTG_04409 transcript:DRNTG_04409.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGILPKPYRGQPARRSPTSAKWMPILPLRSLSKNSEEEERNRDELIQGRKELSQGEDQHRYRFPRRRNLKSSSRGVGVHGVALDLGALISSSFDQNPAGRRPAAMAFGSGMILGF >DRNTG_21441.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1837814:1840056:-1 gene:DRNTG_21441 transcript:DRNTG_21441.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSTWSRSLSQRFNPAGISLFAGVAAKHPHLALPHISVPDIRWIDWAELERLGFRGVVFDKDNTITAPYSLSLWPSLSHSFQQCRASFPGKIAVFSNSAGLRQYDPDGLEARALEDAIEGIHVIRHEVKKPSGTAEDIEKYFGCSASLLVMVGDRHFTDVVYGNINGFLTICTEPLTLSGETFIVKEVRKLENLLVNRWSQQGLKPLRHNLLSEIKQCVKPPSL >DRNTG_21441.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1833762:1840056:-1 gene:DRNTG_21441 transcript:DRNTG_21441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSTWSRSLSQRFNPAGISLFAGVAAKHPHLALPHISVPDIRWIDWAELERLGFRGVVFDKDNTITAPYSLSLWPSLSHSFQQCRASFPGKIAVFSNSAGLRQYDPDGLEARALEDAIEGIHVIRHEVKKPSGTAEDIEKYFGCSASLLVMVGDRHFTDVVYGNINGFLTICTEPLTLSGETFIVKEVRKLENLLVNRWSQQGLKPLRHNLLSEIKQCVKPPSFAEYSVSDLKTEQMDRKREQKDKKRLRF >DRNTG_21441.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1833762:1840056:-1 gene:DRNTG_21441 transcript:DRNTG_21441.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDAAKDYAAGFVAGVATVIVGHPFDTVKVKLQAYNTKTQVKEYKNAWQCTSRILRTEGARGLYRGATSSFIGMAFESSLLFGLYSQTKKMLYREKLKAVSHSFK >DRNTG_21441.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1833762:1837980:-1 gene:DRNTG_21441 transcript:DRNTG_21441.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDAAKDYAAGFVAGVATVIVGHPFDTVKVKLQAYNTKTQVKEYKNAWQCTSRILRTEGARGLYRGATSSFIGMAFESSLLFGLYSQTKKMLYRFLSKTMNQYVISFRVLQIHENLILTNILALRLNSYSMFAGRNSKQSATASSNNSLCCLWRSYN >DRNTG_21441.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1834071:1840056:-1 gene:DRNTG_21441 transcript:DRNTG_21441.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSTWSRSLSQRFNPAGISLFAGVAAKHPHLALPHISVPDIRWIDWAELERLGFRGVVFDKDNTITAPYSLSLWPSLSHSFQQCRASFPGKIAVFSNSAGLRQYDPDGLEARALEDAIEGIHVIRHEVKKPSGTAEDIEKYFGCSASLLVMVGDRHFTDVVYGNINGFLTICTEPLTLSGETFIVKEVRKLENLLVNRWSQQGLKPLRHNLLSEIKQCVKPPSFAEYSVSDLKTEQMDRKREQKDKKRLRF >DRNTG_21441.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1834071:1834697:-1 gene:DRNTG_21441 transcript:DRNTG_21441.7 gene_biotype:protein_coding transcript_biotype:protein_coding QFWLAVLPLDVAKTIIQTSPDTNSSRNPFQTLKSIYRRVGFRGLYAGLGPTLARAFPANATAMVTWELTAKLLGVQRH >DRNTG_21441.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1833762:1840056:-1 gene:DRNTG_21441 transcript:DRNTG_21441.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDAAKDYAAGFVAGVATVIVGHPFDTVKVKLQAYNTKTQVKEYKNAWQCTSRILRTEGARGLYRGATSSFIGMAFESSLLFGLYSQTKKMLYREKLKAVSHSFK >DRNTG_17820.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15770293:15773443:1 gene:DRNTG_17820 transcript:DRNTG_17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGRLAPSDPPAPTPPQPKPNPTRPFKFLLVAIAALIFLSVSAILIGVLVRTKANHSDPNSNLNRSPTQAISRTCELTRYPTLCVSSLLDFPGALQAGERDLVHITLNMTFQRVAHALYGASAIAGTNMDALSRAAYDDCMELLDASLDQLSQSLRVVSPTAAAPRLRGASDEDVLTWLSAALTNQDTCNEGLDVVTNKSNVKDQMQGYLRDVAELVSNCLAIFSVASRNQDFSGIPIQNKRRLMGAQTDQEEFFPEWVKAGDRVLLQVPASSITADFVVSKDGNGTYKSIADAVKAAPEYSTRRIVVYVKAGTYFENIKVGRKKTNIMFIGDGKGKTIVSGSRSVAQLYTTFHTATFASTGAGFIMRDMTIQNTAGPGEHQAVALRVGADHAVVYHCNIIGYQDTLYVHSQRQFFRECDIYGTVDFICGNAAVVLQNCSLWARKPMQMQKNTITAQNRKDPNQNTGISIHACRIVATSDLAAERGNYPTYLGRPWKLYSRTVYMMSYIGDHVHPAGWLEWNSTFALNTLYYGEYMNYGPGAAVGKRVTWPGYRVITVAAEAMKFTVGQFISGSSWLPPTGVSFLAGLSL >DRNTG_17820.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15770293:15773443:1 gene:DRNTG_17820 transcript:DRNTG_17820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGRLAPSDPPAPTPPQPKPNPTRPFKFLLVAIAALIFLSVSAILIGVLVRTKANHSDPNSNLNRSPTQAISRTCELTRYPTLCVSSLLDFPGALQAGERDLVHITLNMTFQRVAHALYGASAIAGTNMDALSRAAYDDCMELLDASLDQLSQSLRVVSPTAAAPRLRGASDEDVLTWLSAALTNQDTCNEGLDVVTNKSNVKDQMQGYLRDVAELVSNCLAIFSVASRNQDFSGIPIQNKRRLMGAQTDQEEFFPEWVKAGDRVLLQVPASSITADFVVSKDGNGTYKSIADAVKAAPEYSTRRIVVYVKAGTYFENIKVGRKKTNIMFIGDGKGKTIVSGSRSVAQLYTTFHTATFASTGAGFIMRDMTIQNTAGPGEHQAVALRVGADHAVVYHCNIIGYQDTLYVHSQRQFFRECDIYGTVDFICGNAAVVLQNCSLWARKPMQMQKNTITAQNRKDPNQNTGISIHACRIVATSDLAAERGNYPTYLGRPWKLYSRTVYMMSYIGDHVHPAGWLEWNSTFALNTLYYGEYMNYGPGAAVGKRVTWPGYRVITVAAEAMKFTVGQFISGSSWLPPTGVSFLAGTVEAFGSICPSLTIWNI >DRNTG_17820.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15770293:15772352:1 gene:DRNTG_17820 transcript:DRNTG_17820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGRLAPSDPPAPTPPQPKPNPTRPFKFLLVAIAALIFLSVSAILIGVLVRTKANHSDPNSNLNRSPTQAISRTCELTRYPTLCVSSLLDFPGALQAGERDLVHITLNMTFQRVAHALYGASAIAGTNMDALSRAAYDDCMELLDASLDQLSQSLRVVSPTAAAPRLRGASDEDVLTWLSAALTNQDTCNEGLDVVTNKSNVKDQMQGYLRDVAELVSNCLAIFSVASRNQDFSGIPIQNKRRLMGAQTDQEEFFPEWVKAGDRVLLQVPASSITADFVVSKDGNGTYKSIADAVKAAPEYSTRRIVVYVKAGTYFENIKVGRKKTNIMFIGDGKGKTIVSGSRSVAQLYTTFHTATFASTGAGFIMRDMTIQNTAGPGEHQAVALRVGADHAVVYHCNIIGYQDTLYVHSQRQFFRECDIYGTVDFICGNAAVVLQNCSLWARKPMQMQKNTITAQNRKDPNQNTGISIHACRIVATSDLAAERGNYPTYLGRPWKLYSRTVYMMSYIGDHVHPAGWLEWNSTFALNTLYYGEYMNYGPGAAVGKRVTWPGYRVITVAAEAMKFTVGQFISGSSWLPPTGVSFLAGLSL >DRNTG_28406.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24640398:24642134:-1 gene:DRNTG_28406 transcript:DRNTG_28406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFKVSRTGSRYRHHPPPPDLPAAEDAGCVPSSPEELEGKVGLLGLDNGLADHSVSLVKCKKNSDEQELLVSFSLNLFPDGFSIGKP >DRNTG_03006.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:6454718:6455388:-1 gene:DRNTG_03006 transcript:DRNTG_03006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMGYGLHVLDGVVLMVTGGNPSIYCSKSVDGFHEPTCIEVAWNTVKPVMPFQVIVEQRDRCVKCLAE >DRNTG_00247.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25951415:25958437:-1 gene:DRNTG_00247 transcript:DRNTG_00247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIGKLRNLDAYPKINEDFYSRTLSGGVITIVSTVVMILLFLSEIRLYLYAPTETQLIVDTSRGERFHVHFDVTFPSLACSLLSVDTMDISGEQHYDIRHDITKRRLDHLGNVIESKKDGVGAPKIERPLQKHGGRLDHNEAYCGSCYGAEASDDDCCNSCEEVREAYRKKGWGLSNADLIDQCKREGYVQKVKEEEGEGCNINGFLDVNKVAGNFHFAPGKSFHGANIFLQDLVAFQTENYNITHKINKLSFGDEFPGVVNPLDGVEWTQPTTSGMYQYFIKVVPTIYTDVMGRRIHSNQFSVTEHFREGNVYPRPPPGVYFIYDFSPIKVIFTEGNTSLLHFLTNICAIVGGVFTVSGIIDAFVYHGHRAIKKKIELGKYR >DRNTG_34958.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1888560:1889075:-1 gene:DRNTG_34958 transcript:DRNTG_34958.4 gene_biotype:protein_coding transcript_biotype:protein_coding SQLPESLGGSCACSDQGGCFRSNKGPWNDPVIVKLARNADATFVREIRGLYQMVNGK >DRNTG_34958.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1888049:1889427:-1 gene:DRNTG_34958 transcript:DRNTG_34958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLNEMFIINAGHSFKLFWNTLKGFLDPKTVFENSCNREQISEQITRSH >DRNTG_34958.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1888560:1889427:-1 gene:DRNTG_34958 transcript:DRNTG_34958.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLNEMFIINAGHSFKLFWNTLKGFLDPKTVFENSCNREQISEQITRSH >DRNTG_32744.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18559214:18559634:-1 gene:DRNTG_32744 transcript:DRNTG_32744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRAMISVCCVACVARILAISARASATSVRITPQHSRASQNDHGLDMVRKDTWPWTPPAHSPDHIMLYKHSAGLKLQDNLSVNENTPQCL >DRNTG_01430.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000080.1:6764:7309:1 gene:DRNTG_01430 transcript:DRNTG_01430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDLNIYGRVRRRGRRREKRLMRGRSLSSGSRAMRRSMMAIASGSRLVFTLSSSSDRSALMSSGSEVRWSLSAQTFSSSVRDSGRASD >DRNTG_01430.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000080.1:6500:7309:1 gene:DRNTG_01430 transcript:DRNTG_01430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAARASLRGRRRRATRARAAAAATEGMRVLRGLSWGRGLRVARRRGEGLGRRDRRGEGGGIREGGTKR >DRNTG_01430.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000080.1:6217:7309:1 gene:DRNTG_01430 transcript:DRNTG_01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAARASLRGRRRRATRARAAAAATEGMRVLRGLSWGRGLRVARRRGEGLGRRDRRGEGGGIREGGTKR >DRNTG_17155.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:24899700:24901175:-1 gene:DRNTG_17155 transcript:DRNTG_17155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTSGSIWKKVSGRAFVGTDPARFITHGNPSILIEGDSKLECTLRRKGKEPVQEQSNLADFEVEGSDNMAEQNEQQRTLFNYPRS >DRNTG_18461.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4943631:4955352:-1 gene:DRNTG_18461 transcript:DRNTG_18461.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHNGRKVGRLEFFKATHTKKDGSHMNVETEQIMVKANEKLVECETVDEDMQTVETEILTQVIGKERCGRVRGLGLGPTLKTYYGGTTSRTSASSAQSSEFVERFNQMEQQMQQFKEEREHERAQREEERAQECARYNALLGFLLTRFPRVTILGVDPAGSTSQSQNQPSGDE >DRNTG_12372.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1813437:1822204:1 gene:DRNTG_12372 transcript:DRNTG_12372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDAIRRVEKAIIPSLLGIETMRLMVMVWRYGQGVYVMIMPPSETTEDDIAKGPQPALEPQLEEVQIEASPIVQETSLVCIFTPTRAHD >DRNTG_21647.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:161370:162756:-1 gene:DRNTG_21647 transcript:DRNTG_21647.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKLGVKKGPWTAAEDKKLISFILNNGQCCWRAVPKLAGLSRCGKSCRLRWTNYLRPDLKRGLLTESEEQLVIDLHARLGNRWSKIAAKLPGRTDNEIKNHWNTHIKKKLLKMGIDPVTHEPLNKSCSSSPSAPVETKTDDSRSQLIQVNERPDMLQSPSENNSSSNINGDDQDSLPLPSYIWEEGDKPLIDESWSFTSNQEDFNSVIGLPTWEENCEWLLDYQDFSITDDFGLNSS >DRNTG_21647.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:161370:162885:-1 gene:DRNTG_21647 transcript:DRNTG_21647.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKLGVKKGPWTAAEDKKLISFILNNGQCCWRAVPKLAGLSRCGKSCRLRWTNYLRPDLKRGLLTESEEQLVIDLHARLGNRWSKIAAKLPGRTDNEIKNHWNTHIKKKLLKMGIDPVTHEPLNKSCSSSPSAPVETKTDDSRSQLIQVNERPDMLQSPSENNSSSNINGDDQDSLPLPSYIWEEGDKPLIDESWSFTSNQEDFNSVIGLPTWEENCEWLLDYQDFSITDDFGLNSS >DRNTG_21647.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:161370:162569:-1 gene:DRNTG_21647 transcript:DRNTG_21647.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKLGVKKGPWTAAEDKKLISFILNNGQCCWRAVPKLAGLSRCGKSCRLRWTNYLRPDLKRGLLTESEEQLVIDLHARLGNRWSKIAAKLPGRTDNEIKNHWNTHIKKKLLKMGIDPVTHEPLNKSCSSSPSAPVETKTDDSRSQLIQVNERPDMLQSPSENNSSSNINGDDQDSLPLPSYIWEEGDKPLIDESWSFTSNQEDFNSVIGLPTWEENCEWLLDYQDFSITDDFGLNSS >DRNTG_21647.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:161239:162885:-1 gene:DRNTG_21647 transcript:DRNTG_21647.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKLGVKKGPWTAAEDKKLISFILNNGQCCWRAVPKLAGLSRCGKSCRLRWTNYLRPDLKRGLLTESEEQLVIDLHARLGNRWSKIAAKLPGRTDNEIKNHWNTHIKKKLLKMGIDPVTHEPLNKSCSSSPSAPVETKTDDSRSQLIQVNERPDMLQSPSENNSSSNINGDDQDSLPLPSYIWEEGDKPLIDESWSFTSNQEDFNSVIGLPTWEENCEWLLDYQDFSITDDFGLNSS >DRNTG_21647.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:160850:162756:-1 gene:DRNTG_21647 transcript:DRNTG_21647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKLGVKKGPWTAAEDKKLISFILNNGQCCWRAVPKLAGLSRCGKSCRLRWTNYLRPDLKRGLLTESEEQLVIDLHARLGNRWSKIAAKLPGRTDNEIKNHWNTHIKKKLLKMGIDPVTHEPLNKSCSSSPSAPVETKTDDSRSQLIQVNERPDMLQSPSENNSSSNINGDDQDSLPLPSYIWEEGDKPLIDESWSFTSNQEDFNSVIGLPTWEENCEWLLDYQDFSITDDFGLNSS >DRNTG_27494.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17227573:17227780:-1 gene:DRNTG_27494 transcript:DRNTG_27494.1 gene_biotype:protein_coding transcript_biotype:protein_coding PGEEDEKDEKKGSESRCWCSSEGKRCSSQTSCTSCSHELHIPQRNTLPLVLPTILAFPLLHF >DRNTG_04980.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4090005:4097195:-1 gene:DRNTG_04980 transcript:DRNTG_04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGENWMKAIVSSPNQSGVGGQQSGSPKQYLASTTGNVEMAEGSSSPPLDLCKNGVTKVLPFEFRALEVCLESACRCLESETLTLEQEAYPALDELTSKISTLNLERVRQIKSRLVAISGRVQKVRDELEHLLDDDMDMDDMYLTDKLVPQPVGETSSRVELDNDVLEVSEDRDEDIKDDTESSHESLCGYKPNIEDLEMLLEAYFVQIDGTLNKLSTLREYVDDTEDYINIMLDEKQNQLLQMGVMLSTATLVITAGVVVVGVFGINIHIDIFTAPYPKFLEVALGTVGSCIIVYILAIVWGKKSGLLQ >DRNTG_11601.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19376234:19382276:-1 gene:DRNTG_11601 transcript:DRNTG_11601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGKVRVGKYELGRTLGEGTFGKVKFARNARTGENVAIKILDKEKIIRHKMVNQIKREIATMKLIRHPNVIRMHEVMASKTTIYLVLEFVTGGELFDKIASLGRFREVEARKYFQQLINAVDYCHSRGVFHRDLKPENLLLDSSGILKVSDFGLSALPQQVREDGLLHTTCGTPNYVAPEVINDKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLASLYKKIFKADFTCPSWFSTSAKKLIKRILDPNPETRITISEVLENDWFKKGYQPPSFEMANVNLDDVDALFNESEDAGNFVLEKRKERPSIMNAFELISTSQGLNLGTLFEKQGFKRVTRFASKLPPSEILSKIEAAATPLGFDVEKHKYKLKLQGQKTGRKGHLFIATEVFEVAPLLNIVELRKSNGDTLEFNKFYKNLSTDLKDVLIVTGEANGAEDN >DRNTG_11601.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19376234:19377916:-1 gene:DRNTG_11601 transcript:DRNTG_11601.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFELISTSQGLNLGTLFEKQGFKRVTRFASKLPPSEILSKIEAAATPLGFDVEKHKYKLKLQGQKTGRKGHLFIATEVFEVAPLLNIVELRKSNGDTLEFNKFYKNLSTDLKDVLIVTGEANGAEDN >DRNTG_19353.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5518950:5520181:1 gene:DRNTG_19353 transcript:DRNTG_19353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLCYGENNYQKQATIETSLSSLKGKEFFELIPANADEVIFVWGNRRVDAIAPEPLARRQDERLTSSVPAKHRSPTSSPTRARIPRRQGSLPLPRPIATPPDHDNDSPPDRLSPLDRGAPPPTALGDDVTATLLQACKILMTEFPQLVARVEVLEGQSQSIVPSLQTNEAPGTNEALEFDDDDCIGMAIPRRPLLKRYAKKRKTIMPMCPPSDDDETIATPSRLMLLLIDKIIDCVINEIPVTVEPTANSTASKMDTIPEEQEPTKGVSLVDAVAMVAVEKIVDSVVNEIMAMIEPAADSAASKGDTIPQ >DRNTG_18469.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7905965:7911321:1 gene:DRNTG_18469 transcript:DRNTG_18469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCTCNPLQGKKGHHHHSSHNPPHDLARTCNVYVRV >DRNTG_24045.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26577153:26579965:1 gene:DRNTG_24045 transcript:DRNTG_24045.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQMHVAAERGADLVELRLDHLHNFNPRSDLQFLIKDRPLPVITTFRTKWEGDEYEGDEILRFEALRLAMEFGSDYVDIELQAAEEFVESISTKKPENFKLIVSSHNYQNTPSPEELGDLVSRIQAVGADIVKIATTAMDISDVARLFRVLVHCQVPVIGMVMGERGLVSRLLSSKFGMYLTFCALDEGKESAPGQPTISELLNLYRIKQTRADTTVLGLISKPVGHSKSPLLHNAALKSAGVNAVYVPFLVDDLPSFLKAFSCPDFAGISVGIPHKVTAVTCCDEVDPIAKCIGAVNTIVRRSSDGKLVGYNTDYVGSISAIEDGIRGLIGNQTIELPLAGRLFVVIGAGGAGKALAYGAKEKGTRVIIANRTYDKAKELANLVGADALPLTDLESFHPEKGMVLANTTSLGMQPNVNETPLAKSCLGRYDLVFDAVYTPKETRLLTFKRSKRDWSYHCSWSGNVH >DRNTG_24045.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26579306:26579715:1 gene:DRNTG_24045 transcript:DRNTG_24045.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLANTTSLGMQPNVNETPLAKSCLGRYDLVFDAVYTPKETRLLTFKRSKRDWSYHCSWSGNVH >DRNTG_24045.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26577153:26577356:1 gene:DRNTG_24045 transcript:DRNTG_24045.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQMHVAAERGADLVELRLDHLHNFNPRSDLQFLIKDRPLPVITTFRFAS >DRNTG_24045.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26579085:26579965:1 gene:DRNTG_24045 transcript:DRNTG_24045.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRQAMKQFDLFTGMPAPEEMMRDFVMKNI >DRNTG_22413.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22726570:22727344:-1 gene:DRNTG_22413 transcript:DRNTG_22413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQSRSAEYSPYKDVHLCETYMEMTQDPIIVQDATNRKGSEEIKRMYSTSGKFKSEWCKDIMNPAKRMLLDDPNYKKGFKFDHVWHIVRNFEKFKDNVITSRQINRKHGFDYVSSESENPTPELQAPESLGLSQFSLNLDNSVGGSPSECPIRQKKAKLKKKMDDEVASSISRLKDDNSKIMEMLEKTNADRQMFLEM >DRNTG_20035.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13341005:13341490:-1 gene:DRNTG_20035 transcript:DRNTG_20035.2 gene_biotype:protein_coding transcript_biotype:protein_coding KPGHQRSSDAGCGISSENFQRKEGRRRKRRKEGDEIGENKDREEGFRPKDAFIPFISHSYRRSLTAIVTILYGRTIGAAVTTLLTN >DRNTG_20035.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13335943:13341490:-1 gene:DRNTG_20035 transcript:DRNTG_20035.1 gene_biotype:protein_coding transcript_biotype:protein_coding KPGHQRSSDAGCGISSENFQRKEGRRRKRRKEGDEIGENKDREEGFRPKDAFIPFISHSYRRSLTAIVTICPYRHFVKHLIWQDNRGCCNNLANQLIPSNYNHISSYY >DRNTG_00381.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18474465:18484331:-1 gene:DRNTG_00381 transcript:DRNTG_00381.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMMADKALVRRLSACETMGSATTICSDKTGTLTMNQMTVMEAHVGGVRLDTSHGVEQVSSILTSLLVEGIALNTTGSVFEPESGGVSEITGSPTEKAILSWGCQLGMKFVEIRSKIAVLSVFPFNSEKKRGGVAVQSMSEVHVHWKGAAELILASCTSWLDADGLPQELTSDKVDEFKKSIETMAAGSLRCVAFAYRRYDPVDNPSQELREGSDLPEDKLTLLGIVGIKDPCRDGVKEAVEICIKAGVKVRMVTGDNLQTAKAIAMECGILDPNSSVAEPTIIEGKTFRALSEKLREEVAEQISVMGRSSPNDKLLLVQALKKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISAGEVPLNAVQLLWVNIIMDTLGALALATEPPTDQLMKRSPVGRKEPLITNIMWRNLIIQALYQVIILLVLNFGGKSILHLKHETRVHANKVKNTFIFNTFVLCQIFNEFNARKPDEKNVFAGMTKNRLFMGIVGITTILQILIIEFLGKFTSTVRLNWKMWLVSVAIASVSWPLAVFGKMIPVPKIPLAVYLRKTFCCKKSQAEDGSNSPKN >DRNTG_00381.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18474465:18484331:-1 gene:DRNTG_00381 transcript:DRNTG_00381.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMMADKALVRRLSACETMGSATTICSDKTGTLTMNQMTVMEAHVGGVRLDTSHGVEQVSSILTSLLVEGIALNTTGSVFEPESGGVSEITGSPTEKAILSWGCQLGMKFVEIRSKIAVLSVFPFNSEKKRGGVAVQSMSEVHVHWKGAAELILASCTSWLDADGLPQELTSDKVDEFKKSIETMAAGSLRCVAFAYRRYDPVDNPSQELREGSDLPEDKLTLLGIVGIKDPCRDGVKEAVEICIKAGVKVRMVTGDNLQTAKAIAMECGILDPNSSVAEPTIIEGKTFRALSEKLREEVAEQISVMGRSSPNDKLLLVQALKKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISAGEVPLNAVQLLWVNIIMDTLGALALATEPPTDQLMKRSPVGRKEPLITNIMWRNLIIQALYQVIILLVLNFGGKSILHLKHETRVHANKVKNTFIFNTFVLCQIFNEFNARKPDEKNVFAGMTKNRLFMGIVGITTILQILIIEFLGKFTSTVRLNWKMWLVSVAIASVSWPLAVFGKMIPVPKIPLAVYLRKTFCCKKSQEDGSNSPKN >DRNTG_25125.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20184412:20189037:-1 gene:DRNTG_25125 transcript:DRNTG_25125.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAERSEVSAELDFLDQQNLILNLENKTLKQRLDSLQQEQLIKCFQHEMLEREVARLRSLYLQQQQPQQPPLTHVRNNSRDLDSQFANLSLKHKEANSSRDSSTGPLRI >DRNTG_25125.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20184412:20189037:-1 gene:DRNTG_25125 transcript:DRNTG_25125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKERQTLGTLGALGKQSFLPPKSPYPTIPPSYADYGASSHSVGSKGMLKPREGHRHHQRTTSESFLIDEQPSWLDDLLNEPDTPVKRGGHRRSSSDSFAYLDVGSAYSHVDTLAPEVYNKHRPLTSAPSWGSEDFDSMNDMQHGLYYPEASSFLSSQNRVWDSVLNTVNHPTNHPSPRDRSLHQGPSCAVKEPDTLTQNASDKQSQVDSSSEVKCSLDRKEVSQAKNLQAEVDPKRVKQQFAQRSRVRKLQYIAELERHVQALQAKRSEVSAELDFLDQQNLILNLENKTLKQRLDSLQQEQLIKCFQHEMLEREVARLRSLYLQQQQPQQPPLTHVRNNSRDLDSQFANLSLKHKEANSSRDSSTGPLRI >DRNTG_18682.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2069302:2070381:1 gene:DRNTG_18682 transcript:DRNTG_18682.1 gene_biotype:protein_coding transcript_biotype:protein_coding QIEGAWNEGGRGPSIWDTFAQQHPEKIEDGSNGNIAVDSYH >DRNTG_08928.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27364855:27367419:1 gene:DRNTG_08928 transcript:DRNTG_08928.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATARWRSLAISVPLLVVFLVVSLALASSDVPFMVAHKKVELNRLKSGVERVSVSIDVYNQGSATAYDVSLNDDTWPQDTFELISGTTTKTWERLDAGSTVSHSFVLESKVKGTFHGTPAVIKFRVPTKSTLQEAYSTPILPLDILAERPPEKKFEWVSKFHVIPLSVIVFGSSAISSILINFILVFFFAKSC >DRNTG_08928.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27364855:27367419:1 gene:DRNTG_08928 transcript:DRNTG_08928.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATARWRSLAISVPLLVVFLVVSLALASSDVPFMVAHKKVELNRLKSGVERVSVSIDVYNQGSATAYDVSLNDDTWPQDTFELISGTTTKTWERLDAGSTVSHSFVLESKVKGTFHGTPAVIKFRVPTKSTLQEAYSTPILPLDILAERPPEKKFEWVKAHS >DRNTG_08928.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27364855:27367419:1 gene:DRNTG_08928 transcript:DRNTG_08928.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARWRSLAISVPLLVVFLVVSLALASSDVPFMVAHKKVELNRLKSGVERVSVSIDVYNQGSATAYDVSLNDDTWPQDTFELISGTTTKTWERLDAGSTVSHSFVLESKVKGTFHGTPAVIKFRVPTKSTLQEAYSTPILPLDILAERPPEKKFEWAKRLIAKYGSLVSVLLLVGTFIYMIVSPSSKSSAAKGSKKKR >DRNTG_03441.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3162836:3163430:-1 gene:DRNTG_03441 transcript:DRNTG_03441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLLKVPSLKRMISSPRADKLSDFNECSTSYVAEKGHFFVYTSEGKRFMIPLAYLTNNIFKELLRISEEEFGLPCDGPITLPCDAASMEYVLSLLRRGVSKEIEMQLLSSIFVSRQSACSMLAVEQPQQVAVCSF >DRNTG_31722.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:204668:209374:1 gene:DRNTG_31722 transcript:DRNTG_31722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMETIGEERSVSLSEKQELEEKKEKKSGEKKIPFWKLFSYADSVDYVLMVVGLIGAVGNGVAVPLMTFIFGDVIDAFGKNSNDNTHGVLTDVSKVVLRFVYLGLGNGVASFLQVACWTITGERQACRIRNLYLQGLLRQDIAYFDKETNTGEIVAKMSSDTQLIQDAMGEKVGLFIQSVSSFFGGFAVALIRGWELALVMLCTIPLIVIFAAIMSTVITKMAVHGQETTSEAAAVAEQTISSMRTVASFTGEELVVKKYKRSLKSAYKSSVIEGLAAGIGLGATYAVIYFGYALGIWFGSKMVLRKGYTGGDVINVIFAIALGSMSLGQASPCTTAFAAGQAAAFKMFDTMTRQPEIDAYSSDGRTLDDIRGDIELRDVCFCYPARPDEQILIRLSISVASGTTVALVGESGCGKSTVISLIERFYDPQSGEVLIDRINLKEFKLRWIRSKISLVSQEPVLIASTIRDNITYGKDDATIEEVTVAAELANALEFISKLPQGLDTMVGDNGIQLSGGQKQRVAIARAILKDPRILLLDEATSALDVKSEQMVQEALERMMANRTTILVSHRLTTVRNADMIAVMHRGSIVEKGSHSELLENKNGAYSRLVNLQEVNQASEQNLPTDIDDVSMADEDRPRQVIRNRSSFSELVSFSESFISSSGDKKPENSKSDATSNQYQEVPLHRLARINKREIPVMVIGAAAAIVSGLILPVFGTILASIIRTFYEPPRKLKHDSVFWSLMLVVLGSISVVSIPVRSYFFGVAGSKLIKNIRLMCFHKIVHMEISWFDNPKNSAGVIASRLSADAAAVRGLVGDALALIMQNAATFISGLAISFVSCWQLSLVTLAMIPLMGLNGWVQMKLLKGYNTNVKAMHEEASRVASDAVRSIRTVASFSAEEKVMKLYKKKCEGPTNAGIKQGLITGVGLGFSFILLFASYAVSFYVGARLVADGKNTSTDIFRVFFAISFAAIGISQSSSLLPDSAKAKSAAASVFAILDHSSKIDSSSNTGMTLELVEGNIIFQHVGFRYPTRPDILVFQDLCLTVESGETVALVGESGSGKSTVISLLQRFYDPDSGQIMLDGIGIHELQLKWLRQQMSLVSQEPVLFNDTIRANIAYGKEEATEAEILAAAILANADQFISGLQQGYDTIVGERGVQLSGGQKQRIAIARAIIREPKILLLDEATSALDAQAEQTVQDALDRVMMNRTLIIVAHRLSTIRGADSIAVIKGGSIIETGTHDALMNITGDCYASLVSLH >DRNTG_16574.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12864203:12867211:-1 gene:DRNTG_16574 transcript:DRNTG_16574.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTVVWKNDSVSTTRSSLFNLLKGKEMVADDVMDAFVCILQKSMSIVPYRYKKRASITRPLALFMSKQGDDVHESTMAMIGDAACNVNEVDIVILPIIMSGHFHVVVLDNDKQEYMHYSSCQSEEYDKNVLEMRNLFDLCIDMELGESATAKFPLVHDTETL >DRNTG_06519.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16343989:16346026:-1 gene:DRNTG_06519 transcript:DRNTG_06519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHAKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >DRNTG_25493.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1698796:1702830:1 gene:DRNTG_25493 transcript:DRNTG_25493.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLLALMENANFLMSVQQTKIVLLVCTASPAHLVSQALAASVPQFQTHSSFCYAYLTTHNSYAIDNEPSHTPFPRITFSNQEDSVTEQLNMGARALMLDAYDFDGDVWFCHSFGGICFEITAFSAAIDTMREIETFLSMNPTEIVTLILEDYVQAPNGLTKVFNESGLLNYWFPVSEMPRNGEDWPLISDMVAKNHRLIVFTSMASKQETEGIAYQWNYMVENQYGNGGMYSGLCSNRVESAPLNDKNKSLVFINYFPTLPNNYEACEQNSAELMNMLQTCYHKAGNRWANFLAVDFYKRSNGGGAFQATDMVNGKHLCGCDDIHTCLPNSTSRACTAMRSNGRKFFIYEK >DRNTG_25493.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1698796:1702830:1 gene:DRNTG_25493 transcript:DRNTG_25493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRMGARALMLDAYDFDGDVWFCHSFGGICFEITAFSAAIDTMREIETFLSMNPTEIVTLILEDYVQAPNGLTKVFNESGLLNYWFPVSEMPRNGEDWPLISDMVAKNHRLIVFTSMASKQETEGIAYQWNYMVENQYGNGGMYSGLCSNRVESAPLNDKNKSLVFINYFPTLPNNYEACEQNSAELMNMLQTCYHKAGNRWANFLAVDFYKRSNGGGAFQATDMVNGKHLCGCDDIHTCLPNSTSRACTAMRSNGRKFFIYEK >DRNTG_25493.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1698796:1702830:1 gene:DRNTG_25493 transcript:DRNTG_25493.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRMGARALMLDAYDFDGDVWFCHSFGGICFEITAFSAAIDTMREIETFLSMNPTEIVTLILEDYVQAPNGLTKVFNESGLLNYWFPVSEMPRNGEDWPLISDMVAKNHRLIVFTSMASKQETEGIAYQWNYMVENQYGNGGMYSGLCSNRVESAPLNDKNKSLVFINYFPTLPNNYEACEQNSAELMNMLQTCYHKAGNRWANFLAVDFYKRSNGGGAFQATDMVNGKHLCGCDDIHTCLPNSTSRACTAMRSNGRKFFIYEK >DRNTG_25493.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1698796:1702830:1 gene:DRNTG_25493 transcript:DRNTG_25493.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFRMGARALMLDAYDFDGDVWFCHSFGGICFEITAFSAAIDTMREIETFLSMNPTEIVTLILEDYVQAPNGLTKVFNESGLLNYWFPVSEMPRNGEDWPLISDMVAKNHRLIVFTSMASKQETEGIAYQWNYMVENQYGNGGMYSGLCSNRVESAPLNDKNKSLVFINYFPTLPNNYEACEQNSAELMNMLQTCYHKAGNRWANFLAVDFYKRSNGGGAFQATDMVNGKHLCGCDDIHTCLPNSTSRACTAMRSNGRKFFIYEK >DRNTG_32080.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:48956:55791:1 gene:DRNTG_32080 transcript:DRNTG_32080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDMENGSLSPYPDRPRRFPSMRSKSHTPLVFRIFMGINVRVLFFLLLLGVGAIFYVGIRTSPIIVFVLSVCTISLFFAVYLTKWVLAKDEGPPEMAEISDAIRDGAEGFFRTQYGTISKMAMFLSLVIFSIYFFRSTTPQQEASGLGRSACAYVTVAAFLLGALCSGIAGYVGMWVSVRANVRVSSAARRSAREALQVAVRAGGFSAIVVVGMAVVGVAILYATFYVWLGVGSPNAMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEDPTGFILFPLVVHSFDLVVSSIGIISIRGTRESGVKIGIEDPMAILQKGYSVTIFFAVLAFGLSTRWLLYTEQAPSAWLNFALCGLVGIITAYAFVWITKYYTDYKHEPVRLLAMSSSTGHGTNIIAGISLGLESTALPVLVISIAIISAFWLGHTSGLLDESGNPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVASFAQIPFKQVDIAIPEVFVGGLLGSMLIFLFSAWACAAVGRTAQEVVNEVRRQFIERPGIMEYKEKPDYGRCVAIVASASLQEMIKPGALAIVSPILIGFLFRVLGNVTDQPLLGAKVVASMLMFATVAGILMALFLNTAGGAWDNAKKFIETGALGGKGSEPHKAAVTGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPVFL >DRNTG_24466.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18781710:18784163:1 gene:DRNTG_24466 transcript:DRNTG_24466.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQISLSPSSLRPSPSSSSPASSSGLVRAFFASPDQPRALRGVVSKRQNGGAKANRIVTVRSSLEIAGPTVGQVTEVCKDTFWPLVKASGSKIVVLDMYTQWCGPCKVIAPKFKELSEKYLDVVFLKLDCNQENKTLAKELGIRVVPTFKILKDGNIVKEVTGAKFDDLVFAIDTVKSSC >DRNTG_26273.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15579236:15579931:-1 gene:DRNTG_26273 transcript:DRNTG_26273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECVPTSLHSSEHIHLEGHKGSHTRAFRFMHIRMRAPPTCISLKKEVIHEPAEKSEKERIHTGVWKLSTAVWKFRTGACTVHARGVGRLQPYLKPIQPRFWLLERFYGSDIARRSEEGYWESFCRHRSGEVYHRPDKGSLTTSRGLSTRPSTRPSRGFLYRFIAFTFDFFDCT >DRNTG_01437.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:50852:52730:1 gene:DRNTG_01437 transcript:DRNTG_01437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLEEKVPSTPGIMKKVLQKMKHANRHHKKCPKANGNVQEQSKGDEPLCDNTLDNNPSTFKRLCSSCFQVMGLSAEPGDFSKSSKEDTRQCGISTWACVSTKSSSREDTGTHVYPSRRPCQGHTPKTEGLTSAPVSDPVTNTQAYGPRSKKQADKRLCGDFTPSLST >DRNTG_15845.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25142409:25145122:-1 gene:DRNTG_15845 transcript:DRNTG_15845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRNSAEMFKHLEKQNEALMETYRVMSHELHKLQVEEEMLMRKLYELMSAEGLIRKKKEDNKSNKVLSITSQED >DRNTG_28102.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1509169:1513290:1 gene:DRNTG_28102 transcript:DRNTG_28102.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRGISAEEETLVDSFCAITSSSRDEARFFLESHNWALEQAIHSFYEDQQDPGDPLGTDEEDYLAAVAAEAQPPHQNPLPPPSAPIAAMSGSSKDKKPSGSRPGIRTLADLNRQSGPGSDSDSDGPQEYYTGGEKSGMLVQDPTKGGNHDVNSIFDQARQMGATQGPFEQHPSSSSRSFTGTGRLLTGETVPSVPPPPENILHNIYFWTNGFSVNDGPLRRFDDPENAAFLESIKRSDCPKELEPADRRSSVHVNLIRREENYPEPVRRQTPFQGVGRTLGGGSSTDSAPASASPTTTPSSPPPVGLSVDESLPSTAIQLRLADGTRMIARFNTHHTISDIRAFIDASRPGATRTYQLQTVDFPPKQLTDMTQTIEQAGLANSVVIQKR >DRNTG_05094.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1874986:1876294:-1 gene:DRNTG_05094 transcript:DRNTG_05094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFPRFLARVEALEGWSQSTTLSLQRNEAPGTDEASEFDDDDIIGVAIPIRPHSKRLAKKRRTIMPLSPPPDNDETIATPSAADDVTESIAVDDMAVTVKEIVDDVVITAVEKIVYSVVNEIPDLVEPAVESAPSKMDTIHEEQEQAKGVSPIDAVAVATVEKIVDSVVNESIEIVEPTADNTVSKPDTIPQQQEACKDMTAVDAVVIPASKEDVAGAKHRQGSTTKLIRIFLNCPTDSTVVWKNDAVSTTRDKLYTLLEGKEMVTDDVMDAFVCIIQKSLSKVPYPYKKRASITRALALFMSKQDDAHETTMAMIRDVARKLHNVKIVILPIIMNGHFHVIVLDNEK >DRNTG_27294.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:131038:135553:1 gene:DRNTG_27294 transcript:DRNTG_27294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAAAFTGPITALQIGTTFAGQYYHFLQQQPFLVHQFYTDASTVVRIDGATGETAIGVMQIHSLVMSLQLSGIEIKSTHSLDSWAGGVLVMVSGSVLTKDYNARRKFVQTFFLAPQEKGYFVLNDIFEFIHDEQILQHPTTLAHDHFVANLDAAKPIAEPVSDYMMRGEVQAHDFDTPVQVEENATVDKYSISEPQKQVSECLDRVGETAAEDLVVSSPIVMNTTRDPTPASATEPVDGPPRQTYASIVRVAKSPLGNSVSQPASLNKATSVASGWHQTSPSSSQLSHPIVPMGYQKSNLEAVEDDPGLEDEGDAKSLYVGNLPPSVSVLDLEREFMNFGKVKHNGIAVRNRKDSTGYYAFVEFEDALGVQNALKASPIQINGQKVHFEERKSSSNASRAGRRGRGRGGYQSEGPRGRFNGRGFNRASPHENGREYNNRSRGNGFYSRFPQQERGILGIHHVKTSHSQVADS >DRNTG_14005.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27702954:27706437:-1 gene:DRNTG_14005 transcript:DRNTG_14005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSLPSSQPVVGIASSAAQIAYVAAPPYQTAAMVSAGAPTAIGALSPPTQPATAFPTSPSHLSNQHQLALQQIQQFHHQQQQQQQQQLQAFWANQILEIEQTNDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFAKACEMFILELTLRSWIHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPRDELKDDGLGIPRATLPAVGAPADPLPYYYVPAQHQMAVPGMIMGKPVDQTTAPMYAAQPPARPMAYMWQPALVQSFPHQQSAQPQHQPDA >DRNTG_12686.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:14754812:14758298:1 gene:DRNTG_12686 transcript:DRNTG_12686.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYLQPHHQQGNEQGNKRSGLDDNTTVYQ >DRNTG_12686.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:14754812:14755649:1 gene:DRNTG_12686 transcript:DRNTG_12686.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYLQPHHQQGNEQGNKRSGLDDNTTVYQ >DRNTG_25054.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6321613:6322391:-1 gene:DRNTG_25054 transcript:DRNTG_25054.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKSQSGIVNKKTPFGILQLCVGMNTIHQIGMKLENLETKIIKCLRNAVSSQSCISSGSDIKFDLSRVACQEGIKQLCESTANKVIFHDLSPVLWDNLYVGGPASSRIKPLLKELETTLGIISDNVNIRVHDHALTALMKTSFDAFLLVLLAGGPSRAFSHEDYNILEDDFKD >DRNTG_31237.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001676.1:67809:70363:1 gene:DRNTG_31237 transcript:DRNTG_31237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQGNPLQIVEGDSKPERTLRRRGKEPVQELSIRAEVESQESDNMALIDMDGGEFTLRVGDDKLTYRLVEAMRHSPNFDDTLHFLDTTNEIIDEYVQ >DRNTG_21698.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:947557:951285:-1 gene:DRNTG_21698 transcript:DRNTG_21698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQQREDTAPLVAVDEELGSPSEVKPLKNHARDAHILSIAFLFIFSAYQAAQNLESTVNTEGDLGTTSMGILYISFTLFSLVASPIVRGLGSKKALVLGTSGYLLFIASNLKPSWYTMVPASVYLGFSASIIWVGQGTYLTSAARSHANDSHLHEGTVIGDFNGEFWGMFASTQVIGNLISLALLKNGKEGGSVNGTSLLFTVFLGCMILGIILMLFLSRRDDKEEGLPTQQQSFGSLLKSIIAPLMEIRVLLLIPLIGYSGLQQAFIWSEFTKHVVTPALGVSGVGGAMALYGAADATCSLVAGRLTSGISSITLIVSSGAILQTFGLLWLLFGTSTTTGTIGFVYPLLMAALWGVGDGVFNTQLNALVGILFKHETESAFAQMKVWQSASTAVVCFLTPYITFQAMLILMLVALFVAVSGFFYLVIFVEKSFFQGH >DRNTG_06052.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11415704:11416150:-1 gene:DRNTG_06052 transcript:DRNTG_06052.2 gene_biotype:protein_coding transcript_biotype:protein_coding NLLFGRCCSVKGKRYFDCPPQHGALLRPDKVKVPNASSAQ >DRNTG_06052.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11415336:11416150:-1 gene:DRNTG_06052 transcript:DRNTG_06052.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLLFGRCCSVKGKRYFDCPPQHGALLRPDKVKVPNASSAQ >DRNTG_17613.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4556799:4558031:1 gene:DRNTG_17613 transcript:DRNTG_17613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFCNPFGSACYRLMSPLPEHGNLWKYGNVFQTCSGSVSPREFWQPQQAPDVWRTLECSLEELYTGSIRQEMITRNVEIDHGKILTETKSLSIHVLPGWKRGTVITFKGEGDAPLNQVPADVYFFVDEMPHPIYKREGHDLVVQQHISLTQSLAGTTINLTTLDNRNLLIPLTDTVITPNYELVIEDEGMPMARQKGKKGCLRIRFEVQFPSKLTPNQETDLKNIFEKVD >DRNTG_17294.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31783693:31785535:-1 gene:DRNTG_17294 transcript:DRNTG_17294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQKSLTLLSFLLTTLTLATLSITSNAGGIAVYWGQNGNEGSLADTCSSGNYNYVIIAFLVTFGNGQTPVLNLAGHCDPTNGGCAGFSADIKACQGQGIKVFLSLGGASGSYTLVSSDDAQQVADYLWNNFLGGSSSSRPLGDAVLDGIDFDIEGGTTQYWDVLAQKLSQYSQQGNKVYLSAAPQCPYPDAYVGTALGTGLFDYVWVQFYNNPPCQYSGGDTNLINSWNTWTSNVQATSFFVGLPASTDAAGSGYMTSDQLINEVLPSIKSSDKYGGVMLWNRYYDLSSGYSSAIKGSV >DRNTG_21722.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:646474:658404:1 gene:DRNTG_21722 transcript:DRNTG_21722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAALWRPQEVGLQEICGILEQHISPAADQARVWQQLQQYNQFPDFNNYLAFILAHAEGKSVEIRQAAGLLLKNNLRSAFNSLSPTYQQYIKSELLPCLGAADKTIRSTVGTVISVVVQLGRVQSWPELLQALAHCLDSNDLNHMEGAMDALYKICEDIPEELDVDVPGLPERPINVFMPRLLQFFHSPHAVLRKLSLGSINQFIVMMPTGLLASMEQYLQGLFHLAHDPSADVRKLVCAALVQLIEVRPSFLEQQLRNVIEFILQANKDPDDEVSLEACEFWSAYCDGSVSPDGLREFLPRLIPVLLSNMAYADDDESLIDAEEDESFPDRDQDLKPRFHSSRFHGSENGEDDDEDIINVWNLRKCSAAALDVIANVFGDEILPSLMPLIQSNLANTSDTTWKEREAAVLAIGAIAEGCFDGLRPHLSEIVAFLIPLLDDKFPLIRSITCWTLSRYSKFIVKGIGSQEGHERFDKVLMGLLRRILDSNKRVQEASCSAFATLEEEAAEELAPRLEIILQHLLCAYGKYQRRNLRIVYDAIGTLADAVGAELNQPKYLDILMPPLIAKWQQLSNSDKDLFPLLECFTSIAQALGPGFSQFAEPVFQRCINIIQAQQLAKVDPAAAGVQYDKEFIVCSLDLLSGLAEGLGGGIESLVAQSNLRDLLLQCCMDDAADIRQSALALLGDLARVCPVHLNPRLPDFLHVAAKQLNPSAVKDAVSVANNACWAIGELAIKVRREVSPVVLTVSSCLIPILKNAEGLNKSLLENSAITLGRLSWACPELLAPHMEHFMQPWCTALSMIRDDYEKEDAFRGLCAMVNINPSGAMSSLAYMCKAIASWHEIRSEDLHNEVCLVLNGYKQMLGNGQWEQFLLTLEPSVLHRLSRYQDFERQ >DRNTG_06832.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:8338636:8339270:-1 gene:DRNTG_06832 transcript:DRNTG_06832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGSSAAAAGDEVHGGSTSSGKGDGGAVDAGGVLGCRTNDEPTFHSKICCNVSKCAMNSIYCTPCAALISAISARASTTYVHITPKALSSLSKRSWARDGVCSLSPENQSINLPPNPMNHSHQSHCLQAQGRRSLPNWHTSPDVKCTQR >DRNTG_34016.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31599248:31599539:1 gene:DRNTG_34016 transcript:DRNTG_34016.1 gene_biotype:protein_coding transcript_biotype:protein_coding QTNTLRALQWRPNRSSLRPWRSSWSPRTTRNPSSPPALSLALPRSLVVLATTSSFNCGKETNTSQAIASPCENSSSRTSSARFSTYAASSSLSTSSF >DRNTG_20891.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25076937:25077426:1 gene:DRNTG_20891 transcript:DRNTG_20891.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISLRKGNTRLPPEVNRVLYVRNLPFNISSEEMYDIFGKYGAIRQIRLGTNKDTRGTAFVVYEDIYDAKTAVDHLSGFNVANRYLIVLYYQQAKMSKKQDTKKKEEEIMKMQEKYGLSSKDK >DRNTG_00486.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30643175:30646381:1 gene:DRNTG_00486 transcript:DRNTG_00486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTVGLSSRSIGLQRREWDFSRCLVERKRVPALSLSVRSWNGAPIRMNNDYTHPKHKVYCSTKRTGAGASGSEDFHNSVDEALILKRKSEDVAPYLCGRCLYLVGMMGSGKTTVGKILSEVLGYSFFDSDKLVEQAVGMSSVAQIFKEHSEAFFRDNESEVLKDLSSMRRLVVATGGGAVIRPINWRYMKQGITVWLDVPLEALAKRIAAVGTDSRPLLHEEPGDPYTKAFTRLTTLAEQRGEAYANADARVCLEMIASKQGHSDVCTLTPIAIAIEALIKIEAFLNEKAPITKRAFLQG >DRNTG_29340.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29793522:29797422:1 gene:DRNTG_29340 transcript:DRNTG_29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIARKKSFDAVARDLKLDSSQLGSYLDESHGILRVYRYPLCPDVGNYFGMDTHTDSSVWSILNQDSVGGLQILHGNQWLHVPPVPGSIIVNVGDMLQAISNDKYKSVQHRVLANGDKERMSLCYFAFPREGGVIKSSNYRPFTYQDFNAQVQQDVKDFGFKVGLERFRIKSQG >DRNTG_20587.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3249335:3256201:-1 gene:DRNTG_20587 transcript:DRNTG_20587.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP88 [Source:Projected from Arabidopsis thaliana (AT5G05680) UniProtKB/Swiss-Prot;Acc:Q9FFK6] MEPRRRRETIEWMPLPKHPAFSRHLAEDDSEKDDSSNVRAKSKNLAAWHASSSLFYLWDPVARCVHRLSLRFSSDDPAIVEAAFPSEKLVPDNQLKSDVHHLALNVDGSFLLLSGLDVLSFMNLHDRSYADNDTIVCRTLLVGSKIYFGHNNGLQTLQASWHPYSSAHLGVLSSDSVFRLFDLLSNIEQPEQEYYLEAVEPGKCHNVGSICPVAFSFGGQHLWERFSVFVLFSDGSIYVLCPVVPFGSFCSLAFLEEIYKDISSFGLKSLNAKVVGNSSLAISWLEITFPDLANRPIDEANVSVSRAQPYAPPDASLSLQGPLVSISHSVDHDTSKVSSLGDIAKAVGFLYSSVGKDSVLVIAWSDGHIQIDALADEIQPLWNVGSSPHLRVDPRGSIVAVAMICEPAKRVPSKVDLCQPNDETCVSNSNTGDTIWLGHPPLLRLAIIDLALPKYAFDSCAPTLFSDPLVSDRFYCVHAGGVDLITLYFLPFSYAAPEADKVVKAPSVCPILTACLTKSSAPILWGFVIVDDSDGLSQIVGITSSYECIMLETKKFNDGLPLHFDTHAESVGSEEDITKEIISKELLSGPKVILVPPSLRSVAADSIEGRSTLHHYIKLLHENYVEYAHKVYVELKEHGDHLKTIISDQQNHVNEAMQKLSTLESKETSINDRISRASHMYEFIEQRLQSFKKLPGSNKKPLSKAELDFQSQLDRFAELELDALQSSIDALNARLKRCVQSSPVNLTSAPRRTVGSGRNYASDSQLSQLKSSLEKLSIMNNEIADKVKIIELELESRGK >DRNTG_31580.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28270349:28276033:-1 gene:DRNTG_31580 transcript:DRNTG_31580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G10670) UniProtKB/Swiss-Prot;Acc:Q9CAF5] MALAFSSPAPRFAVSPSIPHTSPSNSFLITVPTPHRLRRCLRRGVKAAVAVDSPSAEGGGLPVESPNVLLEVNDLRAVIKDTDKEILKGVNLTINEGEIHTIMGRNGSGKSTFAKVLVGHPDYEVTKGDIVFKGENLLEMDPEERSHAGLFMSFQSPVEIPGVSNMDFLRMAYNARKKTEISPIEFFHFLLPKLEAVKMDPRFLDRNVNEGFSGGEKKRNEILQLAVLEADLAILDEIDSGLDVDALQDVAKALLGLMTPKNSILMITHYPTLLDLINKPGFVHIMQDGVIKRTGDRSLAKLVEKGGYAEISNA >DRNTG_11950.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5192628:5203565:-1 gene:DRNTG_11950 transcript:DRNTG_11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELVDSPSNAGNKLSKHKMPLPRTSPCTHMPRCLYARYQPPYSPHDLAKSLRLGGFTPQGCYSSSGSLP >DRNTG_16662.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000834.1:9568:10824:-1 gene:DRNTG_16662 transcript:DRNTG_16662.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHSGRKSYHLESVKATLAADLERATLEDVLQGNIVASTVAARPRNQRNRESTRACGNYPRPCGNSTGACDIIHARAVARFQPYLKPISAPISVFFSPSFPQLVSGLRLGFRGVMAKVLEKFYGSDIMIPLGRRLVGELRSRGILYRTKESLDNE >DRNTG_17830.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15996022:15996252:1 gene:DRNTG_17830 transcript:DRNTG_17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRRQSTRTRTPTSSPSLPSKAAALAPLELLRLLTIPPSIRSLPLPPSSSHYPPPPPISPPTSPSLPTTLAIP >DRNTG_04340.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31146266:31147463:-1 gene:DRNTG_04340 transcript:DRNTG_04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLARILRSRTLRNPILNPNPSFCFSSLQPPKPQTLSSIFATPSPSKKSANPTAANAEQKNKKKKTKSSGVSPPSNPLLVSRVMAVKGFPKDIPTEMLLLVKRFHDEGLLQNANFMADDGEFDPLKIPRNCNSRNFLKVAAEKFGLAHQEIAKWLSGSDLKKVAIFGCPSVDRGTVFAAKRMRSFFSIQEDVTCRACVLKNACQFVNKKVGRVEKIVLADVMRLLTMYALDSIPQQLQVPEDLKLSAGKLLKDVVNLSD >DRNTG_29442.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:2480776:2481380:1 gene:DRNTG_29442 transcript:DRNTG_29442.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRISSVPSGTSLVKTISKSNTPYET >DRNTG_18286.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1790914:1794067:-1 gene:DRNTG_18286 transcript:DRNTG_18286.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSEMEFTKLLDKPKLHIERQRSLDELSVANGTLRAVDSYESMYPPSAARRSAPGTPMSPAPNAFEPHFMVADAWDALRRSLVFFRGQPVGTLAAYDHASEEALNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTLHLQGWEKKIDRFKLGEGVMPASFKVRHDPIKKTDHLVADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAEAPECQKGMRLILSLCLSEGFDTFPTLLCADGCSMIDRRMGVYGYPIEIQALFFMALRCAFLMLKHDAEGNEFVERIVKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFIGNLSPAMMDFRWFAHGNCIAILSSLATPDQSMAIMDLLEARWEELIGEMPLKITYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWMLTAACIKTGRPQIARRAIEQAETRLMKDNWPEYYDGKLGKYIGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDKAMKPLMKRSTSWTV >DRNTG_18286.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1790914:1792170:-1 gene:DRNTG_18286 transcript:DRNTG_18286.6 gene_biotype:protein_coding transcript_biotype:protein_coding MALRCAFLMLKHDAEGNEFVERIVKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFIGNLSPAMMDFRWFAHGNCIAILSSLATPDQSMAIMDLLEARWEELIGEMPLKITYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWMLTAACIKTGRPQIARRAIEQAETRLMKDNWPEYYDGKLGKYIGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDKAMKPLMKRSTSWTV >DRNTG_18286.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1790914:1794067:-1 gene:DRNTG_18286 transcript:DRNTG_18286.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSEMEFTKLLDKPKLHIERQRSLDELSVANGTLRAVDSYESMYPPSAARRSAPGTPMSPAPNAFEPHFMVADAWDALRRSLVFFRGQPVGTLAAYDHASEEALNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTLHLQGWEKKIDRFKLGEGVMPASFKVRHDPIKKTDHLVADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAEAPECQKGMRLILSLCLSEGFDTFPTLLCADGCSMIDRRMGVYGYPIEIQALFFMALRCAFLMLKHDAEGNEFVERIVKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFIGNLSPAMMDFRWFAHGNCIAILSSLATPDQSMAIMDLLEARWEELIGEMPLKITYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWMLTAACIKTGRPQIARRAIEQAETRLMKDNWPEYYDGKLGKYIGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDKAMKPLMKRSTSWTV >DRNTG_18286.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1790914:1794067:-1 gene:DRNTG_18286 transcript:DRNTG_18286.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSEMEFTKLLDKPKLHIERQRSLDELSVANGTLRAVDSYESMYPPSAARRSAPGTPMSPAPNAFEPHFMVADAWDALRRSLVFFRGQPVGTLAAYDHASEEALNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTLHLQGWEKKIDRFKLGEGVMPASFKVRHDPIKKTDHLVADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAEAPECQKGMRLILSLCLSEGFDTFPTLLCADGCSMIDRRMGVYGYPIEIQALFFMALRCAFLMLKHDAEGNEFVERIVKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFIGNLSPAMMDFRWFAHGNCIAILSSLATPDQSMAIMDLLEARWEELIGEMPLKITYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWMLTAACIKTGRPQIARRAIEQAETRLMKDNWPEYYDGKLGKYIGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDKAMKPLMKRSTSWTV >DRNTG_18286.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1790914:1794067:-1 gene:DRNTG_18286 transcript:DRNTG_18286.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSEMEFTKLLDKPKLHIERQRSLDELSVANGTLRAVDSYESMYPPSAARRSAPGTPMSPAPNAFEPHFMVADAWDALRRSLVFFRGQPVGTLAAYDHASEEALNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTLHLQGWEKKIDRFKLGEGVMPASFKVRHDPIKKTDHLVADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAEAPECQKGMRLILSLCLSEGFDTFPTLLCADGCSMIDRRMGVYGYPIEIQALFFMALRCAFLMLKHDAEGNEFVERIVKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFIGNLSPAMMDFRWFAHGNCIAILSSLATPDQSMAIMDLLEARWEELIGEMPLKITYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWMLTAACIKTGRPQIARRAIEQAETRLMKDNWPEYYDGKLGKYIGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDKAMKPLMKRSTSWTV >DRNTG_18286.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1790914:1792975:-1 gene:DRNTG_18286 transcript:DRNTG_18286.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEPDIVKNFLLKTLHLQGWEKKIDRFKLGEGVMPASFKVRHDPIKKTDHLVADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAEAPECQKGMRLILSLCLSEGFDTFPTLLCADGCSMIDRRMGVYGYPIEIQALFFMALRCAFLMLKHDAEGNEFVERIVKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFIGNLSPAMMDFRWFAHGNCIAILSSLATPDQSMAIMDLLEARWEELIGEMPLKITYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWMLTAACIKTGRPQIARRAIEQAETRLMKDNWPEYYDGKLGKYIGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDKAMKPLMKRSTSWTV >DRNTG_23177.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1863776:1869826:-1 gene:DRNTG_23177 transcript:DRNTG_23177.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin candidate 2 [Source:Projected from Arabidopsis thaliana (AT1G18190) UniProtKB/Swiss-Prot;Acc:B0F9L7] MAIGPSFSVLPSPSTPLLARACDRERRRLIRVLRDLGFSASGVEKGISKPGITADLVPADGNLKSVPISEPDSSSDSTLRVIDATGKHQEEGNSVDVGQEDDSGGVGSSSEVVRISGTQDMSARSPSESGEGSDVESDSGSTSDSEEENRRREEMRKRREQMIMKKAAAAAAMAIQEREDVVAKLEGEKQSLEKILEDRERKQAQEATELQTSMIETLEAVEIEKQKHNSTRMEALARLAKLETTNVELAKSLATTQWNLEVEVNNVAKLRQEVEMKELAQEEYKRRLSVVHQGYPSNQIESSKRDEIEQEILDAEYSFICDKIAKFKEKAKKLEENIELTKSEMVHPTEIEVELKKRLSQLTDHLIQKQTQVEALSAEKATLLFRIEATSRMLDENGVSTHAPDAANNSGTGFADSFSRVDLESGTLLPSNTASKPALHDKIRSGRQQLQSVIYQLDAIFVSGTIFLKRYPIAKSLSILYLFFLHLWVMYILMSHSQVSESASHGALLSLDSINRTSGS >DRNTG_23177.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1865564:1869826:-1 gene:DRNTG_23177 transcript:DRNTG_23177.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin candidate 2 [Source:Projected from Arabidopsis thaliana (AT1G18190) UniProtKB/Swiss-Prot;Acc:B0F9L7] MAIGPSFSVLPSPSTPLLARACDRERRRLIRVLRDLGFSASGVEKGISKPGITADLVPADGNLKSVPISEPDSSSDSTLRVIDATGKHQEEGNSVDVGQEDDSGGVGSSSEVVRISGTQDMSARSPSESGEGSDVESDSGSTSDSEEENRRREEMRKRREQMIMKKAAAAAAMAIQEREDVVAKLEGEKQSLEKILEDRERKQAQEATELQTSMIETLEAVEIEKQKHNSTRMEALARLAKLETTNVELAKSLATTQWNLEVEVNNVAKLRQEVEMKELAQEEYKRRLSVVHQGYPSNQSEHPSLLLAHL >DRNTG_17814.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000915.1:2535:3031:1 gene:DRNTG_17814 transcript:DRNTG_17814.1 gene_biotype:protein_coding transcript_biotype:protein_coding DQWALNNIIYMPGNTTNSHQNHRGNSQ >DRNTG_18201.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1212781:1215932:1 gene:DRNTG_18201 transcript:DRNTG_18201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDKLWDDTVAGPRPENGLGKLRKTASLSPRPSSSKGAREWNRLRRKSSSDGQESGGANAVMGTRTTSSSHEV >DRNTG_29167.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:10750100:10758151:-1 gene:DRNTG_29167 transcript:DRNTG_29167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSSSFFPSFSGLLSPGSAPQTWTSCAKLGNQF >DRNTG_04787.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2488636:2491052:-1 gene:DRNTG_04787 transcript:DRNTG_04787.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPKKSHAANRLTDDILINILIRLPPKSLCKFMVISKAWHFLISDAYFRALLPPTMAGLFYHFRYLDPNNGYYKINNDYISIPSCNHGFMDTTLSFLPYLGNFQILDSCNGLLFCRLRGSPSSDIFVCNPVARSWTLLTNIKLPHFNSLFLVFDPKFSLHFKLVRIQTNRGSSCLELEKFSSQTGSWEKFQVPTEPDISFLRHRRRVYLNGIIHMVAGRKHMVAIDLDSMVCRRIEMPVITPLIRNGLLGNSTGSLHYADKRNNKEMNIWMLKDYESGEWVLKHSVVLEVGFNVVAFHPEMDIVFLRMLNKIMSYKMSNGELEEVCEMRQRSMQWFFVFSPFFGEDVLENKFGP >DRNTG_04787.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2488636:2491052:-1 gene:DRNTG_04787 transcript:DRNTG_04787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPKKSHAANRLTDDILINILIRLPPKSLCKFMVISKAWHFLISDAYFRALLPPTMAGLFYHFRYLDPNNGYYKINNDYISIPSCNHGFMDTTLSFLPYLGNFQILDSCNGLLFCRLRGSPSSDIFVCNPVARSWTLLTNIKLPHFNSLFLVFDPKFSLHFKLVRIQTNRGSSCLELEKFSSQTGSWEKFQVPTEPDISFLRHRRRVYLNGIIHMVAGRKHMVAIDLDSMVCRRIEMPVITPLIRNGLLGNSTGSLHYADKRNNKEMNIWMLKDYESGEWVLKHSVVLEVGFNVVAFHPEMDIVFLRMLNKIMSYKMSNGELEEVCEMRQRSMQWFFVFSPFFGEDVLENKFGP >DRNTG_04653.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30528289:30530662:-1 gene:DRNTG_04653 transcript:DRNTG_04653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEPWTARLLPSRQFGFIVLTTSAGIMDHEEARKKNVGGKVLGFFY >DRNTG_18327.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1742827:1745464:-1 gene:DRNTG_18327 transcript:DRNTG_18327.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVQALIAAVASFFIVSFLIGVLLLLCRRPSKPPSLAAVSPAFRPGPTLPVASATFDPSISHISMTELAIATKNFSADAIIGDGGFGFVYKAQLTSGATVAVKRLSADAFHGPREFCAEMETLGRIRHRNLARLLGYCISGPDRLLIYEFLPGGSLDHWLHQSDSAESGPGRLSWTDRVAILRGVATGLAFLHEECKPMIIHRDIKASNVLLDAELGARIADFGLARRVDSARSHVSTQVAGTMGYMAPEYREGNTAATWMGDVYSFGILMFEVATGKRPNWPIKGEDGKDVSFVKWAKGMVEAGRGWEILDPWMEKEGVRCDDVDGFLWIAHRSTDESCRKRPSMVEVVSLLNQLPCHSFKISI >DRNTG_10238.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:186466:187809:1 gene:DRNTG_10238 transcript:DRNTG_10238.4 gene_biotype:protein_coding transcript_biotype:protein_coding MILCYCELRDLPRAQFLFDSIIKLGTLPSLASYGALLRLLCVKEQLSHALSLFFCMGGYGVLPPESSYHVLIPRLCSKGYLNEACFLFDVMLADGIRPSLSLLKSLAYGFCKGQRMLEAERVCRLMKSHGFFLDRSLCAAMIHGYCRERRINLAYDLFKELIVCEPDVYLFNTMIYELLKFNVVDGGWELFRAMVRCGLKPNVVTFNMMISRYCKNSNVDFALRLLDTMKSYGLTPNLHHYTAVMTALCKAKRFEEVDKLFDCATELFNQMNRDGCIADNVTFDTLIKGYSIAERGMEALSLFPVMRKRGFFPSKFSCHRLLDCFCQSHASDLAFRLFEEMVSHGYTPQHDKYNKLLLMLLEEESLQAAYKTFDMMLKRGKTPDNETKKQLLNICYKHGEYDLAFKIDKNIPVYE >DRNTG_10238.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:186185:187809:1 gene:DRNTG_10238 transcript:DRNTG_10238.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTHSDHCLSLLASLHRRGLPSVALSVRYASSSGLGLPVDPARLLRRGFPSVALYVRYASSSGLGLPVDPARLLRRGFPSEVLSVRYTSSSISDPFLLDSMILCYCELRDLPRAQFLFDSIIKLGTLPSLASYGALLRLLCVKEQLSHALSLFFCMGGYGVLPPESSYHVLIPRLCSKGYLNEACFLFDVMLADGIRPSLSLLKSLAYGFCKGQRMLEAERVCRLMKSHGFFLDRSLCAAMIHGYCRERRINLAYDLFKELIVCEPDVYLFNTMIYELLKFNVVDGGWELFRAMVRCGLKPNVVTFNMMISRYCKNSNVDFALRLLDTMKSYGLTPNLHHYTAVMTALCKAKRFEEVDKLFDCATELFNQMNRDGCIADNVTFDTLIKGYSIAERGMEALSLFPVMRKRGFFPSKFSCHRLLDCFCQSHASDLAFRLFEEMVSHGYTPQHDKYNKLLLMLLEEESLQAAYKTFDMMLKRGKTPDNETKKQLLNICYKHGEYDLAFKIDKNIPVYE >DRNTG_10238.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:186185:187910:1 gene:DRNTG_10238 transcript:DRNTG_10238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTHSDHCLSLLASLHRRGLPSVALSVRYASSSGLGLPVDPARLLRRGFPSVALYVRYASSSGLGLPVDPARLLRRGFPSEVLSVRYTSSSISDPFLLDSMILCYCELRDLPRAQFLFDSIIKLGTLPSLASYGALLRLLCVKEQLSHALSLFFCMGGYGVLPPESSYHVLIPRLCSKGYLNEACFLFDVMLADGIRPSLSLLKSLAYGFCKGQRMLEAERVCRLMKSHGFFLDRSLCAAMIHGYCRERRINLAYDLFKELIVCEPDVYLFNTMIYELLKFNVVDGGWELFRAMVRCGLKPNVVTFNMMISRYCKNSNVDFALRLLDTMKSYGLTPNLHHYTAVMTALCKAKRFEEVDKLFDCATELFNQMNRDGCIADNVTFDTLIKGYSIAERGMEALSLFPVMRKRGFFPSKFSCHRLLDCFCQSHASDLAFRLFEEMVSHGYTPQHDKYNKLLLMLLEEESLQAAYKTFDMMLKRGKTPDNETKKQLLNICYKHGEYDLAFKIDKNIPVYE >DRNTG_10238.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:186466:187910:1 gene:DRNTG_10238 transcript:DRNTG_10238.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILCYCELRDLPRAQFLFDSIIKLGTLPSLASYGALLRLLCVKEQLSHALSLFFCMGGYGVLPPESSYHVLIPRLCSKGYLNEACFLFDVMLADGIRPSLSLLKSLAYGFCKGQRMLEAERVCRLMKSHGFFLDRSLCAAMIHGYCRERRINLAYDLFKELIVCEPDVYLFNTMIYELLKFNVVDGGWELFRAMVRCGLKPNVVTFNMMISRYCKNSNVDFALRLLDTMKSYGLTPNLHHYTAVMTALCKAKRFEEVDKLFDCATELFNQMNRDGCIADNVTFDTLIKGYSIAERGMEALSLFPVMRKRGFFPSKFSCHRLLDCFCQSHASDLAFRLFEEMVSHGYTPQHDKYNKLLLMLLEEESLQAAYKTFDMMLKRGKTPDNETKKQLLNICYKHGEYDLAFKIDKNIPVYE >DRNTG_34442.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:12379906:12381510:-1 gene:DRNTG_34442 transcript:DRNTG_34442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSNRENSLSVSQPRAEIPHGRGSLLGNSQGQTHAPVSSRDGGEILYREPHGNANITHARRVVRPRMKERSQRGLQCTDFRENLAKVQTRRHRSMPDTVVEHRSNKVASTVVALFTDSRENRSSEDPHGRAEIIHARVEILHGRVKYPRPEKVGRGAFIEAYPIPDEGILER >DRNTG_19799.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1664085:1668041:1 gene:DRNTG_19799 transcript:DRNTG_19799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFGSSIVYHGRQFVNARREDGSNVLHCAIMGNNAELALEIAQQFSDLIFGRNFRAVTPLHLIATIPQAFRSATQLGFVESLIYACFPLNYESSSKIYSKGITEGDEEKQKMTVPNTTLKDGELLDDETTCCMIKARKLFHNIMEFPAVRWLRLFLIKILKKMFTMVEEIQEQKKNHACVLNLIAYLAKDDKYWDFLEKGKKPQAQGDEKIESIDGHELMDFPHDLATPKSDSIVPSNSQEKKEDENISKEKELVLLSPELEGLISKLSTTLEKIENQKEDETKEDLKPISRWNESPLTLGAKMGLHEFVEKILKVCPESAKSLDTDGRNVLQVAIQHGQVKIVNIIETMVRGSNPLLPSRLLWDIDPKTKNNILHFAAEEKKTVPGDPCALQMQLELGWFEMVKNGKEQLTKMGKTCSVLVVAVMFASSFSIPGDKDSNHNPVFIHKTTFKVFSHAYWIGLSCAATALVLFLSLLTSSYREQDFRRSLPTKYFFANISFFLALVALLVAFSCNMYLSIYGGGASSYKGLGAFHL >DRNTG_17096.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17393923:17394388:-1 gene:DRNTG_17096 transcript:DRNTG_17096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHALATAHGNRSHPWSRPLAHDQVLTFSLTLADGWSSRPSCPRSLATHEHSPHSHLRDHPVAAGILGHVYLANLPMPSFLGILSHAVRLPSVVITFLDVIGNAYSAHLTETSFPIHLLVTADFPTRLSIKEDFP >DRNTG_34771.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3047306:3047839:-1 gene:DRNTG_34771 transcript:DRNTG_34771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQHKQVLDKYNKKASDSCALKTIK >DRNTG_28064.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21257456:21258117:1 gene:DRNTG_28064 transcript:DRNTG_28064.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFVGDHQILGMETRLKKMARKCSHCGNNGHNSRTCSSSSNNGSSIGLKLFGVQLLQMASSSSYSSSPSTSSSSSSALHMKKSFSMDCLGPSSSCYHVCSSSSPSSSSSSSLVSIEEATDHHCKVSNGYLSDGLLGRGAQERKKGESFLLINYYIYTHTHIFLVLYVSFMLFFLF >DRNTG_28064.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21257456:21258811:1 gene:DRNTG_28064 transcript:DRNTG_28064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFVGDHQILGMETRLKKMARKCSHCGNNGHNSRTCSSSSNNGSSIGLKLFGVQLLQMASSSSYSSSPSTSSSSSSALHMKKSFSMDCLGPSSSCYHVCSSSSPSSSSSSSLVSIEEATDHHCKVSNGYLSDGLLGRGAQERKKGVPWTEEEHRTFLAGLEKLGKGD >DRNTG_25521.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2926519:2926870:-1 gene:DRNTG_25521 transcript:DRNTG_25521.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGMREGAKWRPEESGHGAGESAGVVRKWMDDYKKDKK >DRNTG_15900.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5996777:5999407:-1 gene:DRNTG_15900 transcript:DRNTG_15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFPSSHSPELGGETSSKRKEIADQCVRLHARRYVLR >DRNTG_17932.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25218027:25218481:1 gene:DRNTG_17932 transcript:DRNTG_17932.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLILFLSVFLLSFSSLYLSINAARSEHEIKLLYEGWLVENHKNYNDNIFKDNLKYIDEHNAGNHSYTLTLNVFR >DRNTG_17932.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25218027:25241923:1 gene:DRNTG_17932 transcript:DRNTG_17932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLILFLSVFLLSFSSLYLSINAARSEHEIKLLYEGWLVENHKNYNDLFEKEKRYSIFKDNLKYIDEHNSGNHSYALGLTVFSDLTNDEYRSTYLGFLPPGINMGLKKSNRYLFNGHESLPDSIDWRKKGAVTPVKNQRQCSKYLISIYTHTEYTFIRIFSTFKFQGRFLPIV >DRNTG_02217.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1214744:1216690:-1 gene:DRNTG_02217 transcript:DRNTG_02217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYSTVLLPFLLCFLLNICSLVEGMSSVSSSSLSGNQINTMFNLYDTLSAILSPKSSLETNYDRNPCSWTSWVTCSTSSSSSSLQVTGINLSGHDLSTETTKNTSFFSLLCQIDSLQYLSLSNNMFDSLPDSFLSNCSARSRLKFLDISFNKLSGQLPNLSQFTGLVSLDLSRNNLSGKVDRQLHGLVLLKSLNLYFNSITGNLPMNLSLALEELILSYNKFEGSIPREMFRHANLSVLDLSQNQLSGSITDGIEGLLKLEELLLSGNYLAGELPMIKTLSWFAADNNYFNGSIPSGITTNLQFLDLSYNNLTGVLPNNFLSHGSLEHLDLTANSLEGHIPQISGNCLTKLNQLKLGQNKLNGSIPPAIGELSGLMYLELNDNQFEGDIPVQWQKCKNLSRLNLAGNKLHGTLPKDFGSLGELKVLRLQMNNLDGSIPDEIYKLVNLHILDLSLNSFTGGISPLISSLKNISFLNMNGNKLGGRIPDSVGNIGPYLIELQIANNKFKGSIPQMSSNSQMTTLNLSSNLYMGPLPESLTELSSLKVLDLSNNKFTGGIPASFTTMQSLTTLDLSNNQLSGILPNFTKWVNVITTGNDIQNVTYPTQSKTINLSVVLVVAICSCVGFSLFFGVITFLSLEVPIRKRNVI >DRNTG_09348.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:614460:614900:1 gene:DRNTG_09348 transcript:DRNTG_09348.2 gene_biotype:protein_coding transcript_biotype:protein_coding FSFGPLGSPRKLLCIAHDHGEGCGKPNPVLEAHSRRFERGIIYGPPSPTWNQNTGQARASPPGII >DRNTG_09348.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:614273:614900:1 gene:DRNTG_09348 transcript:DRNTG_09348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSFPGSPRKLLCIAHDHGEGCGKPNPVLEAHSRRFERGIIYGPPSPTWNQNTGQARASPPGII >DRNTG_17662.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16771316:16773486:1 gene:DRNTG_17662 transcript:DRNTG_17662.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFGVRGIPDPHGDPTLRGWGFPESLTHGGGDGGQSVPVS >DRNTG_20840.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5319551:5324363:-1 gene:DRNTG_20840 transcript:DRNTG_20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSTRWPLVLERLDLVSVKAKGKHLTGSVVVKEIPTGLEEPPIESDLLFALPTDYLDDREPSVATILANFTNDFDSYHATSTSLYRTATFKLLSATEFGSYDYTRSGNPTLDILQSISSPILCQPLKLGVGVNSLISLPYFMSHASIPAEEMEAKGLTKDLVWISVGIEDVGDLIAALDDSFTSAPK >DRNTG_13756.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1000170:1007772:1 gene:DRNTG_13756 transcript:DRNTG_13756.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 5 [Source:Projected from Arabidopsis thaliana (AT5G56290) UniProtKB/Swiss-Prot;Acc:Q9FMA3] MPHLADKWISEFSQQREDNNPESWANSFEQAHGANGWASEFEREQAQMTMFGQAGGANMANMAAMEQSRMLAHTLAQNTDPKFQNSKFLQFVSKMSRGELIVDENQIKPIAGDVSGGWADEFQTQHGAHPSTWAGEFQTEYNANSNTWANQFEREGLANGANKWANEFASERVLRGGDEWVNEFSNLRIEDWGEEFEQQFSGGVAGEWADSFDNFMNEQRKQSDTSRGIYEFSDMNPYVGRPNPLKDGQDLFRKGLLSEAVLALEAEVLKNPENAEGWRLLGITHAENDDDQQAIASMMRAQQVDPANLEVLLALGVSYTNELEREEALNYLYKWLQNHPKYGSLAPAELANSLYYGDIIRLFNEAAQLAPEDADVHIVLGVLYNLTRDYDKAITAFETALKLKPRDYSLWNKLGATQANSSLGTDAIYAYQQALDLKPNYVRAWANMGISYANQGMYLESIQYYVRALAMNPKAETAWQYLRTSLDLASRHDMFSACDSRNLELLQKEFPL >DRNTG_19939.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:19009446:19009752:1 gene:DRNTG_19939 transcript:DRNTG_19939.2 gene_biotype:protein_coding transcript_biotype:protein_coding RSLVEELAKVNPIHLNHNEKLAFWINLYNALVMHAYLAYGVPKSDVKLFSLMQK >DRNTG_19939.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:19010027:19010122:1 gene:DRNTG_19939 transcript:DRNTG_19939.3 gene_biotype:protein_coding transcript_biotype:protein_coding AAYTVGGCSFSAACIEHVILKMKALAYKPQT >DRNTG_19939.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:19008913:19011221:1 gene:DRNTG_19939 transcript:DRNTG_19939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCMKNIFISLAESSLHPSKSFSSERFSSSSSPSGHLSSISFWSLSEPSTLFSWANSPQVDLQCETELIAKGNAFDPYKAHGKLNWTDIGNYGVAIEVSWMSVGKKQLEYAAGALRRFRSLVEELAKVNPIHLNHNEKLAFWINLYNALVMHAYLAYGVPKSDVKLFSLMQKAAYTVGGCSFSAACIEHVILKMKALAYKPQTALLLALQKLKLSEEQRKCSIEAPEPLAVFALSCGLYSSPAVRIYTANNVREELEEAQRDFIQASVGLSSKGKLLIPKMLHCFARGFVDEANLATWISRFLPQQQANLIEQCMSQRRNGFLGSRNCAVLPYDSRFRYLFLPDILPAKIFP >DRNTG_25336.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6428385:6437162:-1 gene:DRNTG_25336 transcript:DRNTG_25336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLEVVLPTKRSPLLRASPGSGGRAFFHRPRSRVARFLLSEKVDYFQWICSIGAFFFVVVLFQAFLPGSVVERSGGGSGRDSGNEDLGLLKGIGELDFGEGIRFVPTKLMARIEKEGRAESEALSQRPGRRVGLRKPLLALVFADLWPDATQLQMVSVAVALKEIGYEIEVHTYEGGPALDVWKAIGTPVFTLPHDRESQMAVDWLNYNGIIVNSLEARPVFSCLLQEPFKSIPVIWNVHESSLALRLSVYAANDQTQLVNDWKQVFSRATVVVFPTYLYPLIYSVVDAGNFFVIPGYPEEARGANHSVVLHEGNNLRSKMGYGSDDFLIAIVGSQFSYSGLWLEHALVLHALSSVLYQFSYGDSSNLKVAILSDNSTSTYKMALEKIAPDFGYPRGSVQHIILNGDFNNFLGAVDLVIYGSFLEEQSFPAVLKQAMCLGKLVVAPDLGIIRKYVNDSVHGYFFPKENIGTLSQIFLQVIENGQLSRQARDVASAGRELSRNLMVSESIEGYASLLEKVLKFPSEIFFPRTVDAIPLKLKEAWQWHLFENIAVVNASRTFRDNGIVSKIEERWNHTFKEKMINSSSQVDEAFLSISWADEKLIMMANARKRLEDEELKDRTDQPHGTWEDVYRSVKRADRAKNELHERDDRELERTGQPLCIYEPYFGEGTWPFLHNTSLYRGIGLSSKGRRPGADDIDASSRLPLLGNAYYRDALGEYGAFFALSNRIDRVHKNAWIGFQSWRVVARKGGLSRKAEVALLEDIQARKHGDTLYFWVRMDEDSRNPLRQDFWTFCDALNAGNCRYAVSETLQKMYGIHHDLEFLPQMPVDGDSWSVMHSWAMPTRSFLEFVMFSRMFVDALDAQIHDEHHQSGRCYLSLSKDRHCYSRVLELLVNVWAYHSARRMIYVNPASGEMQEHHKLKNRRGQMWIKWFSFSTLKSMDEDLAEEADSDQPNRRWLWPSTGEVFWQGIYERERNMRHQQKERRKRQSRDKIQRIKKRARQKTLGKFIKPPPEAPDVSNTTHNL >DRNTG_27850.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001412.1:59774:60085:-1 gene:DRNTG_27850 transcript:DRNTG_27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEKIPQTTLKTVPNIESRVKLFRNKTTVIADILGISGFVWNHERCTIECDKSAYDEYVK >DRNTG_08568.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000387.1:54950:56938:1 gene:DRNTG_08568 transcript:DRNTG_08568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWAKNRRKPGHSTVVNLIMACGLNAARVNDGHNEVLIEPVYHPNEVLIEPILESDCEGYMRDFWGTFERLLLGLLGHRHHTSLRPSGHLPAMILVGNEHTSSPRGRGA >DRNTG_32412.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1829041:1859877:-1 gene:DRNTG_32412 transcript:DRNTG_32412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFATQLVKRLTKVIEEKAIMVLGVKNELQELQRKMERITCVLKDADRRRIQDETVKLWVNELKDWMYDAEDIIDLCMIQGMGLLQDDHNSPAESSTTASTRVRCCNFSMLSCVRSVPFRYEIADKIKNLNVKLEKISKDKDNFNFIISSKSSDDAYVMNDASYRQSSFLPESDIVGWDIIDATNSLVELLVSQHQQKCRLFGIVGMGGIGKTTLAQLIYNDSKINDDFVLHSWICVSKFYTSRTDLLKELIRNVGGTSGEATTTAELQKILCDVLYGKSLFVVLDDVWDADVWINLIKIPVERTTTKCRVVITTRDRNTAIKMGAIHIHNVNKLPLNFGWELLCKKVFTNNDESEIRRMKDIGMKIVEKCDGLPLAIKVIAGVLITTEQK >DRNTG_32412.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1830614:1859877:-1 gene:DRNTG_32412 transcript:DRNTG_32412.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFATQLVKRLTKVIEEKAIMVLGVKNELQELQRKMERITCVLKDADRRRIQDETVKLWVNELKDWMYDAEDIIDLCMIQGMGLLQDDHNSPAESSTTASTRVRCCNFSMLSCVRSVPFRYEIADKIKNLNVKLEKISKDKDNFNFIISSKSSDDAYVMNDASYRQSSFLPESDIVGWDIIDATNSLVELLVSQHQQKCRLFGIVGMGGIGKTTLAQLIYNDSKINDDFVLHSWICVSKFYTSRTDLLKELIRNVGGTSGEATTTAELQKILCDVLYGKSLFVVLDDVWDADVWINLIKIPVERTTTKCRVVITTRDRNTAIKMGAIHIHNVNKLPLNFGWELLCKKVFTNNDESEIRRMKDIGMKIVEKCDGLPLAIKVIAGVLITTEQK >DRNTG_04043.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11577240:11579159:-1 gene:DRNTG_04043 transcript:DRNTG_04043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRTTAMSPGWKEARKGKSGCRRGGLVLLRG >DRNTG_04043.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11577240:11577625:-1 gene:DRNTG_04043 transcript:DRNTG_04043.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRTTAMSPGWKEARKGKSGCRRGGLVLLRG >DRNTG_04043.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11577240:11578415:-1 gene:DRNTG_04043 transcript:DRNTG_04043.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRTTAMSPGWKEARKGKSGCRRGGLVLLRG >DRNTG_23387.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001263.1:6132:8021:-1 gene:DRNTG_23387 transcript:DRNTG_23387.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRASQPALEHRPNTDRGEASLVAEDPPQVGMFSPSRAHDHFGGLESAVRGDHGKRLARGLLRPLQHHHHHLHEHPSI >DRNTG_01450.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8204357:8205990:-1 gene:DRNTG_01450 transcript:DRNTG_01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGFGEILIGVWRGSTALTSCSFWKKVRGRAFVGTDPPVEESVEDYIARIQGWNYELDSVIDQFESSALASRSDYFEENLESPRSV >DRNTG_01420.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000079.1:13896:28667:-1 gene:DRNTG_01420 transcript:DRNTG_01420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease J [Source:Projected from Arabidopsis thaliana (AT5G63420) UniProtKB/Swiss-Prot;Acc:Q84W56] MVMRITLVIPALDAHTPIFASSFTMELIKKRLKEFGIFVPSRLKLLKIRKRFLAGPFEVEPIRVTHSIPDCCGLVLRCSDGTIFHTGDWKIDESPLDGKVFDRAALEELSKEGVTLMMSDSTNVLSPGRSISESVVRDALLRHISAAKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAFRDGKAPMDPSTLLKVEDIDGYSPRDLLIVTTGSQAEPRAALNLASFGGSHSLKLNKDDVILYSAKVIPGNETRVMKMLNRISELGSTIVMGKNEGLHTSGHGYRGELEEVLKIVKPQHFLPVHGELLFLKEHELLGKSTGIRHTAVIKNGEMLGVSHLRNRRVLSNGFTPLGKEELQLMYSDGDKAFGTSQELCVDERLRIASDGVIIVSMEIMRQQAVNGSEACLKGKVRITTRCLWLDKGKLLDALYKGAHAALSSCPVTCPLAHMERIVSEVLRKIVRKYSGKRPEVVAVAVENSTAVLGEELRTRLSGKSRGSVELSSSGSSNVKFGDLISDGMDSMRNVVKEDTEVESDVEDVFGDDDSTDSDIEAASLHPSTASRDLIELLKGRLAGEVSKAEIGPISEENPQHSENSEDCSDQMLNLAQSQQGGQKSSRRNKWKPEEIKRLIKKRAELDSRFQTTKARMVLWDEVSADLLSHGINRSSSQCKSLWASLVQKYEASKIDEKSKKSWPYFTAMDKILACTGAAK >DRNTG_01420.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000079.1:13896:28667:-1 gene:DRNTG_01420 transcript:DRNTG_01420.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease J [Source:Projected from Arabidopsis thaliana (AT5G63420) UniProtKB/Swiss-Prot;Acc:Q84W56] MKMLNRISELGSTIVMGKNEGLHTSGHGYRGELEEVLKIVKPQHFLPVHGELLFLKEHELLGKSTGIRHTAVIKNGEMLGVSHLRNRRVLSNGFTPLGKEELQLMYSDGDKAFGTSQELCVDERLRIASDGVIIVSMEIMRQQAVNGSEACLKGKVRITTRCLWLDKGKLLDALYKGAHAALSSCPVTCPLAHMERIVSEVLRKIVRKYSGKRPEVVAVAVENSTAVLGEELRTRLSGKSRGSVELSSSGSSNVKFGDLISDGMDSMRNVVKEDTEESDVEDVFGDDDSTDSDIEAASLHPSTASRDLIELLKGRLAGEVSKAEIGPISEENPQHSENSEDCSDQMLNLAQSQQGGQKSSRRNKWKPEEIKRLIKKRAELDSRFQTTKARMVLWDEVSADLLSHGINRSSSQCKSLWASLVQKYEASKIDEKSKKSWPYFTAMDKILACTGAAK >DRNTG_01420.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000079.1:13896:28667:-1 gene:DRNTG_01420 transcript:DRNTG_01420.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease J [Source:Projected from Arabidopsis thaliana (AT5G63420) UniProtKB/Swiss-Prot;Acc:Q84W56] MKMLNRISELGSTIVMGKNEGLHTSGHGYRGELEEVLKIVKPQHFLPVHGELLFLKEHELLGKSTGIRHTAVIKNGEMLGVSHLRNRRVLSNGFTPLGKEELQLMYSDGDKAFGTSQELCVDERLRIASDGVIIVSMEIMRQQAVNGSEACLKGKVRITTRCLWLDKGKLLDALYKGAHAALSSCPVTCPLAHMERIVSEVLRKIVRKYSGKRPEVVAVAVENSTAVLGEELRTRLSGKSRGSVELSSSGSSNVKFGDLISDGMDSMRNVVKEDTEVESDVEDVFGDDDSTDSDIEAASLHPSTASRDLIELLKGRLAGEVSKAEIGPISEENPQHSENSEDCSDQMLNLAQSQQGGQKSSRRNKWKPEEIKRLIKKRAELDSRFQTTKARMVLWDEVSADLLSHGINRSSSQCKSLWASLVQKYEASKIDEKSKKSWPYFTAMDKILACTGAAK >DRNTG_01420.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000079.1:13896:28667:-1 gene:DRNTG_01420 transcript:DRNTG_01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease J [Source:Projected from Arabidopsis thaliana (AT5G63420) UniProtKB/Swiss-Prot;Acc:Q84W56] MGSLRTFPSSCPCRLAQRPRIRIKTRVFASLGTSSSVSDGRKSKVPRRRSGRSDGPRKSMEDSVQRKMEEFYEGSDGPPLRVLPIGGLGEIGMNCMLVGNYDRYIMIDAGVMFPDYDELGVQKVIPDTTFIKRWSHKIEALVITHGHEDHIGALPWVIPALDAHTPIFASSFTMELIKKRLKEFGIFVPSRLKLLKIRKRFLAGPFEVEPIRVTHSIPDCCGLVLRCSDGTIFHTGDWKIDESPLDGKVFDRAALEELSKEGVTLMMSDSTNVLSPGRSISESVVRDALLRHISAAKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAFRDGKAPMDPSTLLKVEDIDGYSPRDLLIVTTGSQAEPRAALNLASFGGSHSLKLNKDDVILYSAKVIPGNETRVMKMLNRISELGSTIVMGKNEGLHTSGHGYRGELEEVLKIVKPQHFLPVHGELLFLKEHELLGKSTGIRHTAVIKNGEMLGVSHLRNRRVLSNGFTPLGKEELQLMYSDGDKAFGTSQELCVDERLRIASDGVIIVSMEIMRQQAVNGSEACLKGKVRITTRCLWLDKGKLLDALYKGAHAALSSCPVTCPLAHMERIVSEVLRKIVRKYSGKRPEVVAVAVENSTAVLGEELRTRLSGKSRGSVELSSSGSSNVKFGDLISDGMDSMRNVVKEDTEVESDVEDVFGDDDSTDSDIEAASLHPSTASRDLIELLKGRLAGEVSKAEIGPISEENPQHSENSEDCSDQMLNLAQSQQGGQKSSRRNKWKPEEIKRLIKKRAELDSRFQTTKARMVLWDEVSADLLSHGINRSSSQCKSLWASLVQKYEASKIDEKSKKSWPYFTAMDKILACTGAAK >DRNTG_01420.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000079.1:13896:28667:-1 gene:DRNTG_01420 transcript:DRNTG_01420.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease J [Source:Projected from Arabidopsis thaliana (AT5G63420) UniProtKB/Swiss-Prot;Acc:Q84W56] MMSDSTNVLSPGRSISESVVRDALLRHISAAKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAFRDGKAPMDPSTLLKVEDIDGYSPRDLLIVTTGSQAEPRAALNLASFGGSHSLKLNKDDVILYSAKVIPGNETRVMKMLNRISELGSTIVMGKNEGLHTSGHGYRGELEEVLKIVKPQHFLPVHGELLFLKEHELLGKSTGIRHTAVIKNGEMLGVSHLRNRRVLSNGFTPLGKEELQLMYSDGDKAFGTSQELCVDERLRIASDGVIIVSMEIMRQQAVNGSEACLKGKVRITTRCLWLDKGKLLDALYKGAHAALSSCPVTCPLAHMERIVSEVLRKIVRKYSGKRPEVVAVAVENSTAVLGEELRTRLSGKSRGSVELSSSGSSNVKFGDLISDGMDSMRNVVKEDTEESDVEDVFGDDDSTDSDIEAASLHPSTASRDLIELLKGRLAGEVSKAEIGPISEENPQHSENSEDCSDQMLNLAQSQQGGQKSSRRNKWKPEEIKRLIKKRAELDSRFQTTKARMVLWDEVSADLLSHGINRSSSQCKSLWASLVQKYEASKIDEKSKKSWPYFTAMDKILACTGAAK >DRNTG_01420.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000079.1:13896:28667:-1 gene:DRNTG_01420 transcript:DRNTG_01420.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease J [Source:Projected from Arabidopsis thaliana (AT5G63420) UniProtKB/Swiss-Prot;Acc:Q84W56] MMSDSTNVLSPGRSISESVVRDALLRHISAAKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAFRDGKAPMDPSTLLKVEDIDGYSPRDLLIVTTGSQAEPRAALNLASFGGSHSLKLNKDDVILYSAKVIPGNETRVMKMLNRISELGSTIVMGKNEGLHTSGHGYRGELEEVLKIVKPQHFLPVHGELLFLKEHELLGKSTGIRHTAVIKNGEMLGVSHLRNRRVLSNGFTPLGKEELQLMYSDGDKAFGTSQELCVDERLRIASDGVIIVSMEIMRQQAVNGSEACLKGKVRITTRCLWLDKGKLLDALYKGAHAALSSCPVTCPLAHMERIVSEVLRKIVRKYSGKRPEVVAVAVENSTAVLGEELRTRLSGKSRGSVELSSSGSSNVKFGDLISDGMDSMRNVVKEDTEVESDVEDVFGDDDSTDSDIEAASLHPSTASRDLIELLKGRLAGEVSKAEIGPISEENPQHSENSEDCSDQMLNLAQSQQGGQKSSRRNKWKPEEIKRLIKKRAELDSRFQTTKARMVLWDEVSADLLSHGINRSSSQCKSLWASLVQKYEASKIDEKSKKSWPYFTAMDKILACTGAAK >DRNTG_01420.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000079.1:13803:27512:-1 gene:DRNTG_01420 transcript:DRNTG_01420.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease J [Source:Projected from Arabidopsis thaliana (AT5G63420) UniProtKB/Swiss-Prot;Acc:Q84W56] MEDSVQRKMEEFYEGSDGPPLRVLPIGGLGEIGMNCMLVGNYDRYIMIDAGVMFPDYDELGVQKVIPDTTFIKRWSHKIEALVITHGHEDHIGALPWVIPALDAHTPIFASSFTMELIKKRLKEFGIFVPSRLKLLKIRKRFLAGPFEVEPIRVTHSIPDCCGLVLRCSDGTIFHTGDWKIDESPLDGKVFDRAALEELSKEGVTLMMSDSTNVLSPGRSISESVVRDALLRHISAAKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAFRDGKAPMDPSTLVCHLLRVPLYVLFVWIFRRTSHILKSLWILNSVVKDISVLQSNFFRILLH >DRNTG_01420.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000079.1:13896:28667:-1 gene:DRNTG_01420 transcript:DRNTG_01420.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease J [Source:Projected from Arabidopsis thaliana (AT5G63420) UniProtKB/Swiss-Prot;Acc:Q84W56] MKMLNRISELGSTIVMGKNEGLHTSGHGYRGELEEVLKIVKPQHFLPVHGELLFLKEHELLGKSTGIRHTAVIKNGEMLGVSHLRNRRVLSNGFTPLGKEELQLMYSDGDKAFGTSQELCVDERLRIASDGVIIVSMEIMRQQAVNGSEACLKGKVRITTRCLWLDKGKLLDALYKGAHAALSSCPVTCPLAHMERIVSEVLRKIVRKYSGKRPEVVAVAVENSTAVLGEELRTRLSGKSRGSVELSSSGSSNVKFGDLISDGMDSMRNVVKEDTEVESDVEDVFGDDDSTDSDIEAASLHPSTASRDLIELLKGRLAGEVSKAEIGPISEENPQHSENSEDCSDQMLNLAQSQQGGQKSSRRNKWKPEEIKRLIKKRAELDSRFQTTKARMVLWDEVSADLLSHGINRSSSQCKSLWASLVQKYEASKIDEKSKKSWPYFTAMDKILACTGAAK >DRNTG_26836.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1922768:1924490:-1 gene:DRNTG_26836 transcript:DRNTG_26836.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNENYNPVERRNKVGKERSLKVSHKSSKSLQANSLTVRGSIDGPKQGKVTNAEHSAAQIQELSEKIADLKLSVDNMEKERDFYFAKLRDIEILCQRPELEHLPMTKAIRKILYAADAQDSPLAEAQELLDNPVEDDAEAEETQ >DRNTG_26836.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1922768:1926112:-1 gene:DRNTG_26836 transcript:DRNTG_26836.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSAANIGMMDGAYFVGRNEILTWINATLQLSLSRVEEAASGAVQCQMMDMVHPGVVPMHKVNFDAKSEYDMIQNYKLLQEVFNKLKIEKHIEVNKLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYNPVERRNKVGKERSLKVSHKSSKSLQANSLTVRGSIDGPKQGKVTNAEHSAAQIQELSEKIADLKLSVDNMEKERDFYFAKLRDIEILCQRPELEHLPMTKAIRKILYAADAQDSPLAEAQELLDNPVEDDAEAEETQ >DRNTG_30402.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:106123:106975:-1 gene:DRNTG_30402 transcript:DRNTG_30402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASYHQVVSGYSYSRHHQGYSGHHGGYSRQYGGRRKEASETESSESEAEAADCFVRPQQNKGRRRCYDESDDEDSETEDESDNDLYVKGNNKYPRSRSHQYHKNKYESDEEEEDDDDDDEEEEYCSKQRQKCNGCSRKTSARRKYDEEREEAARMFGRLALSRNGDRQEKNSSCSCDNNRKIMIVKDMHVHMSHNRIRNFAWNKKEKISKGRH >DRNTG_35199.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20993190:20994163:-1 gene:DRNTG_35199 transcript:DRNTG_35199.6 gene_biotype:protein_coding transcript_biotype:protein_coding MESWWSRITSAVIPRGIVSVPGSLLAVLLGYSFSSQFIPVEPYLVPYLTSVKGFSNFQITVDIYPISVYAQLVFTLLLAPACFYLSHKAVIIL >DRNTG_35199.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20981898:21026944:-1 gene:DRNTG_35199 transcript:DRNTG_35199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVQNYGTNLFDAVDPKSKYNGQILAISQAAGSLGAYCAIYIEDFASKSGLSIYVIGSTVMGLICTCMGIIPNIWTDYFLYVLISGIYQTLSCLLSVQCGRLLSNGQFILLFSVNNFAGLLIETLLQAAVEVSGLSIFAQFISFAIFFFVATIAFVGVSFINFRQRKLTAFSNLDSEAERLIAK >DRNTG_35199.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20981898:20994163:-1 gene:DRNTG_35199 transcript:DRNTG_35199.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESWWSRITSAVIPRGIVSVPGSLLAVLLGYSFSSQFIPVEPYLVPYLTSVKGFSNFQITVDIYPISVYAQLVFTLLLAPACFYLSHKAVIILGAFGLLATYVIIWVGQSLLSMQIMQLTYGFGMSARLVFSSYIFHLVLEEEYQIMTSLTTTTSLLSFMLASELSQFLALAGVSYDMFFAISLTALGVCCAMTFFLPKGPSLDSLSSLTSLGENEGWILILKQTWGDQNLRILSLWWAIAFAGMSLVQNYGTNLFDAVDPKSKYNGQILAISQAAGSLGAYCAIYIEDFASKSGLSIYVIGSTVMGLICTCMGIIPNIWTDYFLYVLISGIYQTLSCLLSVQCGRLLSNGQFILLFSVNNFAGLLIETLLQAAVEVSGLSIFAQFISFAIFFFVATIAFVGVSFINFRQRKLTAFSNLDSEAERLIAK >DRNTG_35199.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20981898:20992200:-1 gene:DRNTG_35199 transcript:DRNTG_35199.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVQNYGTNLFDAVDPKSKYNGQILAISQAAGSLGAYCAIYIEDFASKSGLSIYVIGSTVMGLICTCMGIIPNIWTDYFLYVLISGIYQTLSCLLSVQCGRLLSNGQFILLFSVNNFAGLLIETLLQAAVEVSGLSIFAQFISFAIFFFVATIAFVGVSFINFRQRKLTAFSNLDSEAERLIAK >DRNTG_35199.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20981898:21026944:-1 gene:DRNTG_35199 transcript:DRNTG_35199.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIMQLTYGFGMSARLVFSSYIFHLVLEEEYQIMTSLTTTTSLLSFMLASELSQFLALAGVSYDMFFAISLTALGVCCAMTFFLPKGPSLDSLSSLTSLGENEQGWILILKQTWGDQNLRILSLWWAIAFAGMSLVQNYGTNLFDAVDPKSKYNGQILAISQAAGSLGAYCAIYIEDFASKSGLSIYVIGSTVMGLICTCMGIIPNIWTDYFLYVLISGIYQTLSCLLSVQCGRLLSNGQFILLFSVNNFAGLLIETLLQAAVEVSGLSIFAQFISFAIFFFVATIAFVGVSFINFRQRKLTAFSNLDSEAERLIAK >DRNTG_35199.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20981898:20994163:-1 gene:DRNTG_35199 transcript:DRNTG_35199.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQIMQLTYGFGMSARLVFSSYIFHLVLEEEYQIMTSLTTTTSLLSFMLASELSQFLALAGVSYDMFFAISLTALGVCCAMTFFLPKGPSLDSLSSLTSLGENEGWILILKQTWGDQNLRILSLWWAIAFAGMSLVQNYGTNLFDAVDPKSKYNGQILAISQAAGSLGAYCAIYIEDFASKSGLSIYVIGSTVMGLICTCMGIIPNIWTDYFLYVLISGIYQTLSCLLSVQCGRLLSNGQFILLFSVNNFAGLLIETLLQAAVEVSGLSIFAQFISFAIFFFVATIAFVGVSFINFRQRKLTAFSNLDSEAERLIAK >DRNTG_26648.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:283812:284247:-1 gene:DRNTG_26648 transcript:DRNTG_26648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQDLHPTSWFAVAWYPIYTIPDKGTNLRAAFLTYHSFGHLVLRHIQSDALGGNAFCAVAPALGMQTYNAKV >DRNTG_04011.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29210029:29210658:-1 gene:DRNTG_04011 transcript:DRNTG_04011.2 gene_biotype:protein_coding transcript_biotype:protein_coding CFFRVQVDDEVEYNDGPPEPEYETDDSHAEDNPLNEYPDEELLQDGGNEIKDLLNCWSDIDSQSKDIIDYDGDADWK >DRNTG_04011.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29210029:29211573:-1 gene:DRNTG_04011 transcript:DRNTG_04011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMTCKLWIMMQRKMRSDSFTGYPLVQVDDEVEYNDGPPEPEYETDDSHAEDNPLNEYPDEELLQDGGNEIKDLLNCWSDIDSQSKDIIDYDGDADWK >DRNTG_34139.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21856387:21857268:1 gene:DRNTG_34139 transcript:DRNTG_34139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSSSISTLSSSTSSFSDLEPSLSQTLTSSHSSSTSSKKKNMVLKGGVISAGAPSPRSVFCYDSLSDDEPRHFLDSCFLCNKVLSGHKDIFMYEGDKPFCSEDCRQKQMDFDEKKEQEEKMKLKMKSFYSSSSSSSSSSSSSSSIKSFQRSSLIVNDQESTATATTATTNESFWT >DRNTG_02600.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21278133:21280940:1 gene:DRNTG_02600 transcript:DRNTG_02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKALQEGDSLVDLESGMNAALIDQECHQNAHQGKKKLIRVHSGFRSIERSTQVEEPLISGCNSCRSSFDGRREDDYDDDHHHLLKLRFETDGPLEKKVVKAEDMGKKKVLKKPPKPPRPPKSLSLVASDEKLVQEISILKRARIERIKALKRKKNAKAKSSSSNLLAMIVTVIFCLIIVWQAM >DRNTG_27325.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28317521:28326605:-1 gene:DRNTG_27325 transcript:DRNTG_27325.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKIKIKKIDNATARQVTFSKRRRGLFKKAQELAILCDADVGLIVFSASGKLFEYASLSMCEILHKHSIHLGNTLKRGNQTLDSLTGNSRYADLKKEYDDKNRRLRQIRGEDLQGLTIEELTNLERTIDGGLTRVMEKKGRRIMEQLSSLQQKEMQLLEKNKRLKEKMEELRMVEKQALTDHGSVNGFHEESQYSSESVPQHHDLTADTSLKLGLS >DRNTG_27325.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28317521:28326605:-1 gene:DRNTG_27325 transcript:DRNTG_27325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKIKIKKIDNATARQVTFSKRRRGLFKKAQELAILCDADVGLIVFSASGKLFEYASLSMCEILHKHSIHLGNTLKRGNQTLDSLTGNSRYADLKKEYDDKNRRLRQIRGEDLQGLTIEELTNLERTIDGGLTRVMEKKGRRIMEQLSSLQQKEMQLLEKNKRLKEKMEELRMVEKQALTDHGSVNGFHEESQYSSESVPQHHDLTADTSLKLGLS >DRNTG_09393.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000398.1:13260:16305:1 gene:DRNTG_09393 transcript:DRNTG_09393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLRNTTLFLRFHLLFPFIIFFCLFSFSLASSIDGDKNELNQWRRTIFEEPSATVKKGSFILAADRTYRQDPTNGYKYYTGGWNISNEHYWTSVASTAYPLFIAALLWFVVFGLVLLLICCCCCCCRRKSYSYSRIAYALSLILLIIFTISAMVGCAVLYTGQGKFNKSTSSTLDYVVGQSNLTVENLSNFSSNLAAAKKVSIEQILLPAEAQVKIDMVQKKLKSAADELASRTKDNSRTIRTVLQTVRLDLIILSSVMLGLAFLGFFFSVLGLQWLVSVLVILGWILVTVTFISTGVFLLLHNVVADTCDAMDEWVLHPQAHTALDDILPCVDAATANEFLYQSKEVTSQLVNVVNQVVSNISNSNIPPFLGPPLYYNQSGPLLPLVCNPYKTDMTNRTCSTDEVELLNAAKVWQHYTCKTSKVDGGDICVTTGRITPEMYNQLTAAITLSQGLNHYAPFLADLQDCTFVRDTFTSISEKECPGLEKFSKWIYTGLLMVSIAVMLSLVFWLVYARERRHRKFGKQGFYQNSHVPFRNVP >DRNTG_02872.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:8728686:8729447:-1 gene:DRNTG_02872 transcript:DRNTG_02872.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGGSGLTSPTSDVLFLRSGGKWPLLPLQYFLHISPSRGQTVLFLVGILRQRLDLSSRSDWISPLVA >DRNTG_13027.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1755876:1756206:1 gene:DRNTG_13027 transcript:DRNTG_13027.1 gene_biotype:protein_coding transcript_biotype:protein_coding NKNTQSAKVPTTQTSKISDLPGNQVNRRDPRRNPKPNVDDDETRVSRGPLYKKRSNRLESVL >DRNTG_04992.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4255614:4257848:-1 gene:DRNTG_04992 transcript:DRNTG_04992.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTNRPPISLRRLIKFMDGKENTLHLVRMEVN >DRNTG_04992.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4255614:4257848:-1 gene:DRNTG_04992 transcript:DRNTG_04992.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLAAGGRSKRVKRTVPRSDNVYLKLLVSFIGFLCGGRGASSMPRC >DRNTG_04992.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4255614:4257848:-1 gene:DRNTG_04992 transcript:DRNTG_04992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLAAGGRSKRVKRTVPRSDNVYLKLLVSFIGFLCGGRGASSMPRC >DRNTG_18497.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1869642:1870485:1 gene:DRNTG_18497 transcript:DRNTG_18497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNQHKAYNSRQTSQCNTQSMRDKISTKSKMRACFTQIAIEKKPLHS >DRNTG_17314.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31903454:31905007:-1 gene:DRNTG_17314 transcript:DRNTG_17314.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDRVLLRLMAFVALIIGIVSPAVRAQAPAPAPTSDGTTIDQAVAYALMILALALTYLIH >DRNTG_04977.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4070223:4075349:1 gene:DRNTG_04977 transcript:DRNTG_04977.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVMLQDPSDTVRKAFLSKIHKLLKEHAIPSRYACAFAIASSDCLEDVRTDSMKHLAQFIKDYNKEAQIHHNTCLQETDGGPMTNCPEYIIVFLIHILAHDPGFPPENCDNEDVYAEFCGPLIVALRLLINLDVIHSSKRNGNGSLSYILGILRAIKKAEDAVNPQFTSKLHTLSSISLFMINALGSHHKFSLETPRLILLPSTLYKVCRSPSSTKGFCCNESFIDENFVRRMLSTVDAQAAIPVTRNCGTAQEHAIILDHSKSSSNQPEMQNITSLAKSNGRKDNCINRQRIHKAAKQKNMSRAKHGQIYSTISTTEEQLPESFAIHDSTTVAPDQGKGQLSSSCDSASTKPSLLDSQNLSQDAEIRDWMPQPATCSRLADQTKKISKNHVDCYPISMVHQDKGGSLVGHRIRLWSPLDKCFSSGTVSSYDSQNNSHKINYDNGNVELLHLENEKWKVVSDDEPPQDKEVSNINRLDWADEENILPTSDSEAVGELQSKYSTMWLISSSGSLDVLDAFQEDSPRPLNILERKIGRGRKEMTSCDGDAKRRSKRIMETTSRKNSESTIVDLDDANNAARRRRLRKA >DRNTG_04977.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4060419:4075304:1 gene:DRNTG_04977 transcript:DRNTG_04977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKEQTILEVAKCLSQRHLNKDSLVKLLKQAESALSGFGQALSPREVVRQLRESLVKNDLLRHKDKDVKLLIAACVSEIIRLLAPEPPFSDKLFEGIFRLFISIFSDLADTASPYFTRRARVLKVVADVKCCLLMLDIGCMDLVLEMFRVFFSAVREDHQPSLFQSMVSIMSDLLEENSPQSLLDVILRNLLKDSKGAPSRLAISVIQNCAGKLEPSIRTFLTSCILKSDAPQSELKQFYHDIILEIYRCAPQTLFLVIPNLTQELITDQVDVRLEAVRLVSKLLALSKLRLNEECHLVFLEFLKRFSDKSAEVRSVAIECAKACYIANPTGNEAQEIISAVIGRLLDFDEKVRLQAVMTICDLAISNLSCFPSEVVLQAIERLRDKKVSVRKATMQKLLELYRAYCDKCSKGVVMLHDSYEQIPSRILVLCFDKDCKEFRPQNMEVVLSEDLFPDCLSVMERTKHWVAFFSFFTPHHIKALNSILFQKQRLQEEMLLYLSLREKEKDSSSDEVHKRILASFSKMSNYFPDSFIAKECFQKLHQMKDNNIFKALFRLVDNPTTYATAISMRDSFLRMIGAKHPNYEYFRLLSTKCSQSIFSADHVVNILEDVQSWKSGQNKYVRSALDLLLAISAIYPSLFRGSEKCLLNLFLEETVIPTEKLLQILAKVGRHVSINMSDIYPFLERICLEGTRLESKFAVSVIASLADSSDKQTFSNLCTKLVCSLLDGSNIPTILQSLGCISKCSSSTFELYEDQIRDFILQKLFYSMEVGSPEQTSYAGDSVCSLSCKLKVYGIKALVKSFLPNHVAQARHQLKAFLDFISNIIQKKGSIDGIAMSEIDKAHLRLAAAKSILRLAARWDLHVSPSIFCSTIMLTKDPSDTVRKAFLSKIHKLLKEHAIPSRYACAFAIASSDCLEDVRTDSMKHLAQFIKDYNKEAQIHHNTCLQETDGGPMTNCPEYIIVFLIHILAHDPGFPPENCDNEDVYAEFCGPLIVALRLLINLDVIHSSKRNGNGSLSYILGILRAIKKAEDAVNPQFTSKLHTLSSISLFMINALGSHHKFSLETPRLILLPSTLYKVCRSPSSTKGFCCNESFIDENFVRRMLSTVDAQAAIPVTRNCGTAQEHAIILDHSKSSSNQPEMQNITSLAKSNGRKDNCINRQRIHKAAKQKNMSRAKHGQIYSTISTTEEQLPESFAIHDSTTVAPDQGKGQLSSSCDSASTKPSLLDSQNLSQDAEIRDWMPQPATCSRLADQTKKISKNHVDCYPISMVHQDKGGSLVGHRIRLWSPLDKCFSSGTVSSYDSQNNSHKINYDNGNVELLHLENEKWKVVSDDEPPQDKEVSNINRLDWADEENILPTSDSEAVGELQSKYSTMWLISSSGSLDVLDAFQEDSPRPLNILERKIGRGRKEMTSCDGDAKRRSKRIMETTSRKNSESTIVDLDDANNAARRRRLRKA >DRNTG_04977.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4060419:4075304:1 gene:DRNTG_04977 transcript:DRNTG_04977.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKEQTILEVAKCLSQRHLNKDSLVKLLKQAESALSGFGQALSPREVVRQLRESLVKNDLLRHKDKDVKLLIAACVSEIIRLLAPEPPFSDKLFEGIFRLFISIFSDLADTASPYFTRRARVLKVVADVKCCLLMLDIGCMDLVLEMFRVFFSAVREDHQPSLFQSMVSIMSDLLEENSPQSLLDVILRNLLKDSKGAPSRLAISVIQNCAGKLEPSIRTFLTSCILKSDAPQSELKQFYHDIILEIYRCAPQTLFLVIPNLTQELITDQVDVRLEAVRLVSKLLALSKLRLNEECHLVFLEFLKRFSDKSAEVRSVAIECAKACYIANPTGNEAQEIISAVIGRLLDFDEKVRLQAVMTICDLAISNLSCFPSEVVLQAIERLRDKKVSVRKATMQKLLELYRAYCDKCSKGVVMLHDSYEQIPSRILVLCFDKDCKEFRPQNMEVVLSEDLFPDCLSVMERTKHWVAFFSFFTPHHIKALNSILFQKQRLQEEMLLYLSLREKEKDSSSDEVHKRILASFSKMSNYFPDSFIAKECFQKLHQMKDNNIFKALFRLVDNPTTYATAISMRDSFLRMIGAKHPNYEYFRLLSTKCSQSIFSADHVVNILEDVQSWKSGQNKYVRSALDLLLAISAIYPSLFRGSEKCLLNLFLEETVIPTEKLLQILAKVGRHVSINMSDIYPFLERICLEGTRLESKFAVSVIASLADSSDKQTFSNLCTKLVCSLLDGSNIPTILQSLGCISKCSSSTFELYEDQIRDFILQKLFYSMEVGSPEQTSYAGDSVCSLSCKLKVYGIKALVKSFLPNHVAQARHQLKAFLDFISNIIQKKGSIDGIAMSEIDKAHLRLAAAKSILRLAARWDLHVSPSIFCSTIMLTKDPSDTVRKAFLSKIHKLLKEHAIPSRYACAFAIASSDCLEDVRTDSMKHLAQFIKDYNKEAQIHHNTCLQETDGGPMTNCPEYIIVFLIHILAHDPGFPPENCDNEDVYAEFCGPLIVALRLLINLDVIHSSKRNGNGSLSYILGILRAIKKAEDAVNPQFTSKLHTLSSISLFMINALGSHHKFSLETPRLILLPSTLYKVCRSPSSTKGFCCNESFIDENFVRRMLSTVDAQAAIPVTRNCGTAQEHAIILDHSKSSSNQPEMQNITSLAKSNGRKDNCINRQRIHKAAKQKNMSRAKHGQIYSTISTTEEQLPESFAIHDSTTVAPDQGKGQLSSSCDSASTKPSLLDSQNLSQDAEIRDWMPQPATCSRLADQTKKISKNHVDCYPISMVHQDKGGSLVGHRIRLWSPLDKCFSSGTVSSYDSQNNSHKINYDNGNVELLHLENEKWKVVSDDEPPQDKEVSNINRLDWADEENILPTSDSEAVGELQSKYSTMLISSSGSLDVLDAFQEDSPRPLNILERKIGRGRKEMTSCDGDAKRRSKRIMETTSRKNSESTIVDLDDANNAARRRRLRKA >DRNTG_04977.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4060419:4062183:1 gene:DRNTG_04977 transcript:DRNTG_04977.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKEQTILEVAKCLSQRHLNKDSLVKLLKQAESALSGFGQALSPREVVRQLRESLVKNDLLRHKDKDVKLLIAACVSEIIRLLAPEPPFSDKLFEGIFRLFISIFSDLADTASPYFTRRARVLKVVADVKCCLLMLDIGCMDLVLEMFRVFFSAVREDHQPSLFQSMVSIMSDLLEENSPQSLLDVILRNLLKDSK >DRNTG_04977.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4068615:4075304:1 gene:DRNTG_04977 transcript:DRNTG_04977.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVMLQDPSDTVRKAFLSKIHKLLKEHAIPSRYACAFAIASSDCLEDVRTDSMKHLAQFIKDYNKEAQIHHNTCLQETDGGPMTNCPEYIIVFLIHILAHDPGFPPENCDNEDVYAEFCGPLIVALRLLINLDVIHSSKRNGNGSLSYILGILRAIKKAEDAVNPQFTSKLHTLSSISLFMINALGSHHKFSLETPRLILLPSTLYKVCRSPSSTKGFCCNESFIDENFVRRMLSTVDAQAAIPVTRNCGTAQEHAIILDHSKSSSNQPEMQNITSLAKSNGRKDNCINRQRIHKAAKQKNMSRAKHGQIYSTISTTEEQLPESFAIHDSTTVAPDQGKGQLSSSCDSASTKPSLLDSQNLSQDAEIRDWMPQPATCSRLADQTKKISKNHVDCYPISMVHQDKGGSLVGHRIRLWSPLDKCFSSGTVSSYDSQNNSHKINYDNGNVELLHLENEKWKVVSDDEPPQDKEVSNINRLDWADEENILPTSDSEAVGELQSKYSTMLISSSGSLDVLDAFQEDSPRPLNILERKIGRGRKEMTSCDGDAKRRSKRIMETTSRKNSESTIVDLDDANNAARRRRLRKA >DRNTG_04977.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4062619:4075304:1 gene:DRNTG_04977 transcript:DRNTG_04977.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTICDLAISNLSCFPSEVVLQAIERLRDKKVSVRKATMQKLLELYRAYCDKCSKGVVMLHDSYEQIPSRILVLCFDKDCKEFRPQNMEVVLSEDLFPDCLSVMERTKHWVAFFSFFTPHHIKALNSILFQKQRLQEEMLLYLSLREKEKDSSSDEVHKRILASFSKMSNYFPDSFIAKECFQKLHQMKDNNIFKALFRLVDNPTTYATAISMRDSFLRMIGAKHPNYEYFRLLSTKCSQSIFSADHVVNILEDVQSWKSGQNKYVRSALDLLLAISAIYPSLFRGSEKCLLNLFLEETVIPTEKLLQILAKVGRHVSINMSDIYPFLERICLEGTRLESKFAVSVIASLADSSDKQTFSNLCTKLVCSLLDGSNIPTILQSLGCISKCSSSTFELYEDQIRDFILQKLFYSMEVGSPEQTSYAGDSVCSLSCKLKVYGIKALVKSFLPNHVAQARHQLKAFLDFISNIIQKKGSIDGIAMSEIDKAHLRLAAAKSILRLAARWDLHVSPSIFCSTIMLTKDPSDTVRKAFLSKIHKLLKEHAIPSRYACAFAIASSDCLEDVRTDSMKHLAQFIKDYNKEAQIHHNTCLQETDGGPMTNCPEYIIVFLIHILAHDPGFPPENCDNEDVYAEFCGPLIVALRLLINLDVIHSSKRNGNGSLSYILGILRAIKKAEDAVNPQFTSKLHTLSSISLFMINALGSHHKFSLETPRLILLPSTLYKVCRSPSSTKARFLAALPLSFVYDIHSLIVFQ >DRNTG_04977.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4060419:4075304:1 gene:DRNTG_04977 transcript:DRNTG_04977.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKEQTILEVAKCLSQRHLNKDSLVKLLKQAESALSGFGQALSPREVVRQLRESLVKNDLLRHKDKDVKLLIAACVSEIIRLLAPEPPFSDKLFEGIFRLFISIFSDLADTASPYFTRRARVLKVVADVKCCLLMLDIGCMDLVLEMFRVFFSAVREDHQPSLFQSMVSIMSDLLEENSPQSLLDVILRNLLKDSKGAPSRLAISVIQNCAGKLEPSIRTFLTSCILKSDAPQSELKQFYHDIILEIYRCAPQTLFLVIPNLTQELITDQVDVRLEAVRLVSKLLALSKLRLNEECHLVFLEFLKRFSDKSAEVRSVAIECAKACYIANPTGNEAQEIISAVIGRLLDFDEKVRLQAVMTICDLAISNLSCFPSEVVLQAIERLRDKKVSVRKATMQKLLELYRAYCDKCSKGVVMLHDSYEQIPSRILVLCFDKDCKEFRPQNMEVVLSEDLFPDCLSVMERTKHWVAFFSFFTPHHIKALNSILFQKQRLQEEMLLYLSLREKEKDSSSDEVHKRILASFSKMSNYFPDSFIAKECFQKLHQMKDNNIFKALFRLVDNPTTYATAISMRDSFLRMIGAKHPNYEYFRLLSTKCSQSIFSADHVVNILEDVQSWKSGQNKYVRSALDLLLAISAIYPSLFRGSEKCLLNLFLEETVIPTEKLLQILAKVGRHVSINMSDIYPFLERICLEGTRLESKFAVSVIASLADSSDKQTFSNLCTKLVCSLLDGSNIPTILQSLGCISKCSSSTFELYEDQIRDFILQKLFYSMEVGSPEQTSYAGDSVCSLSCKLKVYGIKALVKSFLPNHVAQARHQLKAFLDFISNIIQKKGSIDGIAMSEIDKAHLRLAAAKSILRLAARWDLHVSPSIFCSTIMLTKVLTSSYQICMVKVVDENNQNIFSIGCLSAFPICYCCYLTNIGMHCIVSSTD >DRNTG_25265.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19366694:19367261:-1 gene:DRNTG_25265 transcript:DRNTG_25265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKSNLLFALTFIFISSHISTTQVDAQSLLLGKEKVTYLHFFLHDIISGSNPTAVLVAKP >DRNTG_19459.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000998.1:354154:355291:1 gene:DRNTG_19459 transcript:DRNTG_19459.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLQLSFLFSSWLSTLMLLWMLLLVHLVLQQPNGCFACVEQERIALLDIKSAFTDPLSFFMSWNKSFDCCSWYGVHCSPTTKHVRHLNLASAWGNDNSNTLNVSLFLPFWELQHLTLTLNGFNSCIPSDCFGRLEKLNNLEYLDLSKNYFDSKALSSLAALASLKALSLRGLTTEFFINSPLNAHKQSQLESELFINVSEASSKLSKLKYLHPSDNLLNGSIIPYLGQYSLDVFNILFNAVYRNPAL >DRNTG_05714.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1839308:1842318:-1 gene:DRNTG_05714 transcript:DRNTG_05714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIKRVPTVVSNYQEDSGEPLGCGRNCLGKCCLPVSKLPLFAFGSETLRAGSVPDDEKPPADFFLNTLLIGQWEDRMSRGLFRYDVTACETKVIPGEQGFIAQLNEGRHLKKRPTEFRVDRVLQPFDPKKFNFTKVGQEEVLFRFEASKDGKIQFLEKAFVEENYSPSVVAINVSPIEYGHVLLIPRVLECLPQRIDLDSLLLALHMAEEAASPYFRLGYNSLGAFATINHLHFQAYYLSVPFPVEKALTRRILIAKGLEKNSVKISKLVNYPVRGLVYEGGDNLQDLANVVATSCIWLQDNNVPFNILISDSGRRIFIFPQCYAEKQALGEVAQELLDTQVNPAVWEISGHMVLKRKEDYNNASEDYAWRLLAEVSLSDDRFEEVKAYIFEAAGLVESKENEAEANENDGATYQQSQPIPAAQIPVDV >DRNTG_20887.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001172.1:34378:36211:-1 gene:DRNTG_20887 transcript:DRNTG_20887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLLGLQFLELSSNNFTGTIELDLFHNLQNLKYLDLSNNNLSVSDGTGDSSLLFPSLAELMLESCNLVTIPAFLKHKNNMKCLSLSNNKIGGTIPEWIWSIGDICYLNLS >DRNTG_14977.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24060966:24062794:-1 gene:DRNTG_14977 transcript:DRNTG_14977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGFRDAAKMRTKKLVFEEVFYACDAKTLERLKELSSRRRVIEQSINQNSNITEAIAREMSGGSSCPYEQDLQKLERYLPLLINLVFYTESVKTKTLKLQKRVTDFKIRWSSPLSASCIMRIGSPKFYSIDNLRFELGMSLFLYGATLRERAFETLLTDLKETSTLFRKAAGVYRYLAEVILPPLQVHLAKDRPPEVTSSLSTVMSLICLADAQAATVKRAEEKGNSPSLLSKLHLGVNFILHEANSVLALSEEEGNDVSAKFKELLPINITLHELRSRRYLAEHLQHESHGTAVAVLRHAVAKAKKVRNSNSNSWCRVISQEISDADKKLKKLEYDNETVYHEKIPSADSLPVLESKIIVDALPYEPQTIESSELYFQI >DRNTG_32396.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30247825:30248513:1 gene:DRNTG_32396 transcript:DRNTG_32396.2 gene_biotype:protein_coding transcript_biotype:protein_coding TFDRSLEKAILYAVGNTLVCDSLEEAKILSWSGERYKVVTVDGILLTKSGTMTGGISGGMEARSNKWDDSTIEALKRKKEQWESEMEELGSPRELQIKESELSERITSLEKKIHYSNIEEVTTIAYIAFLREFYKLSQSFCM >DRNTG_32396.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30247633:30248513:1 gene:DRNTG_32396 transcript:DRNTG_32396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEISHFVSLDNFTFDRSLEKAILYAVGNTLVCDSLEEAKILSWSGERYKVVTVDGILLTKSGTMTGGISGGMEARSNKWDDSTIEALKRKKEQWESEMEELGSPRELQIKESELSERITSLEKKIHYSNIEEVTTIAYIAFLREFYKLSQSFCM >DRNTG_24539.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2386955:2395719:-1 gene:DRNTG_24539 transcript:DRNTG_24539.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component SEC10a [Source:Projected from Arabidopsis thaliana (AT5G12370) UniProtKB/Swiss-Prot;Acc:X5JA13] MASPKSSSNSTLPLILDIDDFKGDFSFDALFGSLVNELLPSFQEEDGVSHEGIDGLPNGHGRMPSDVLKGGQGQSTPLFPEVDTLLALFKDSCKELIDLRHQVDARLQNLKEEVAVQDSKHRKTLAQLEKGVDGLFASFARLDTRISSVGQTAAKIGDHLQSADSQRETATRTIELIKYLMEFNSSRGDLMELSSLFLDDSRVAEAASVAQKLRAFAEEDVGRHGINMPSAVGAANASRGLEVAVANLQDYCNELENRLLARFDTASQKRELSTMAECAKILSQFNRGTSAMQHYVTTRPMFIDVEVMNADTKLVLGDQGSQASTDNIKHGLTTFYKEITETVRKEAATIMAVFPSPNDVMSILVHRVLEQRVAAVLEKLLVKPPLGNLPPVEEGGALLYLRNLAVAYEKTKELAKELQTVGCGELDVEGLTESLFLAHKDEYPEYEQASLTQLFDLKKEELLTEVQQQSDLTGTISRSKGAPSSYPQQQISVTIVAEFVRWNEEAITRCTLFSSQPATLASNVNSIFTCLLDQVSKYLIEGLQRAIYSLNEVASLREKYVLGPLVTRRVAAAAASGAEAAAAAGENSFRSFMIAVQRCASSVAILQQYFSNSIARLLLPVDGAHAAACEEMATTVSSIEGTAQNGLILCIETVMAEVERLLSSEQKATDFRTPDDGNAPDHRPTNACTRVVAYLSRVLEVAFTALEGLNKQSFLTELGNRFQKGLLNHWQKFTFSASGGLRLKRDITEYGEFVRSFNAPSIDEKFELLGIMANVFIVAPESLASLFEGTPNIKKDALRFIQLREDFKSAKIASRLGSIMSEA >DRNTG_24539.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2386955:2395719:-1 gene:DRNTG_24539 transcript:DRNTG_24539.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component SEC10a [Source:Projected from Arabidopsis thaliana (AT5G12370) UniProtKB/Swiss-Prot;Acc:X5JA13] MASPKSSSNSTLPLILDIDDFKGDFSFDALFGSLVNELLPSFQEEDGVSHEGIDGLPNGHGRMPSDVLKGGQGQSTPLFPEVDTLLALFKDSCKELIDLRHQVDARLQNLKEEVAVQDSKHRKTLAQLEKGVDGLFASFARLDTRISSVGQTAAKIGDHLQSADSQRETATRTIELIKYLMEFNSSRGDLMELSSLFLDDSRVAEAASVAQKLRAFAEEDVGRHGINMPSAVGAANASRGLEVAVANLQDYCNELENRLLARFDTASQKRELSTMAECAKILSQFNRGTSAMQHYVTTRPMFIDVEVMNADTKLVLGDQGSQASTDNIKHGLTTFYKEITETVRKEAATIMAVFPSPNDVMSILVHRVLEQRVAAVLEKLLVKPPLGNLPPVEEGGALLYLRNLAVAYEKTKELAKELQTVGCGELDVEGLTESLFLAHKDEYPEYEQASLTQLFDLKKEELLTEVQQQSDLTGTISRSKGAPSSYPQQQISVTIVAEFVRWNEEAITRCTLFSSQPATLASNVNSIFTCLLDQVSKYLIEGLQRAIYSLNEVASLREKYVLGPLVTRRVAAAAASGAEAAAAAGENSFRSFMIAVQRCASSVAILQQYFSNSIARLLLPVDGAHAAACEEMATTVSSIEGTAQNGLILCIETVMAEVERLLSSEQKATDFRTPDDGNAPDHRPTNACTRVVAYLSRVLEVAFTALEGLNKQSFLTELGNRFQKGLLNHWQKFTFSASGGLRLKRDITEYGEFVRSFNAPSIDEKFELLGIMANVFIVAPESLASLFEGTPNIKKDALRFIQLREDFKSAKIASRLGSIMSEA >DRNTG_18226.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2728083:2732443:1 gene:DRNTG_18226 transcript:DRNTG_18226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATAIVAGLSMGRKFSCTPSLSTDTIDKVLSTQGYGLPQLSGASSKNSTVAKMSSNLHSGIPVNKRLPAPKALAQHEDTSLSLTTKANCFSNYDHVEESDPDLSSWHDLIVFQKSMLEKQWKLSFNQTAITAAPEENSKKRVIIGSGVSARQRRRSTRSSLNQNDQMIRSNKRKQIHSIISPELLQTHLNGYVKGKVSEDLLPHDEVAQLSKKIKLGLMLEKHKARLKKRLGFEPSDNELASSLRISPTKLRLMLLECSLARERLAMKNIRLVMSIAQKYNNVGPDMADLVQGGLIGLLRGIEKFDPSKGFKISTYVYWWIRQGVLKVLFLNSKTVRLPRYLCERLISIRNAKVKLERQGITPSVDSLADYLNMSERKVENATQADRMMISLEKEAFPSLNGRPGKTLHSYVADNNLENNPWQGFEDEYLKDEVNRLLRTTLSKREQDIVRLYHGVGRDSHTWEDIGKHYGLSRERVRQVGLVAFQKLKLAAKKQRMEALLVKH >DRNTG_18226.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2728083:2732443:1 gene:DRNTG_18226 transcript:DRNTG_18226.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMATAIVAGLSMGASSKNSTVAKMSSNLHSGIPVNKRLPAPKALAQHEDTSLSLTTKANCFSNYDHVEESDPDLSSWHDLIVFQKSMLEKQWKLSFNQTAITAAPEENSKKRVIIGSGVSARQRRRSTRSSLNQNDQMIRSNKRKQIHSIISPELLQTHLNGYVKGKVSEDLLPHDEVAQLSKKIKLGLMLEKHKARLKKRLGFEPSDNELASSLRISPTKLRLMLLECSLARERLAMKNIRLVMSIAQKYNNVGPDMADLVQGGLIGLLRGIEKFDPSKGFKISTYVYWWIRQGVLKVLFLNSKTVRLPRYLCERLISIRNAKVKLERQGITPSVDSLADYLNMSERKVENATQADRMMISLEKEAFPSLNGRPGKTLHSYVADNNLENNPWQGFEDEYLKDEVNRLLRTTLSKREQDIVRLYHGVGRDSHTWEDIGKHYGLSRERVRQVGLVAFQKLKLAAKKQRMEALLVKH >DRNTG_18226.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2730733:2732443:1 gene:DRNTG_18226 transcript:DRNTG_18226.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSERKVENATQADRMMISLEKEAFPSLNGRPGKTLHSYVADNNLENNPWQGFEDEYLKDEVNRLLRTTLSKREQDIVRLYHGVGRDSHTWEDIGKHYGLSRERVRQVGLVAFQKLKLAAKKQRMEALLVKH >DRNTG_18226.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2728083:2732443:1 gene:DRNTG_18226 transcript:DRNTG_18226.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMATAIVAGLSMGRKFSCTPSLSTDTIDKVLSTQGYGLPQLSGASSKNSTVAKMSSNLHSGIPVNKRLPAPKALAQHEDTSLSLTTKANCFSNYDHVEESDPDLSSWHDLIVFQKSMLEKQWKLSFNQTAITAAPEENSKKRVIIGSGVSARQRRRSTRSSLNQNDQMIRSNKRKQIHSIISPELLQTHLNGYVKGKVSEDLLPHDEVAQLSKKIKLGLMLEKHKARLKKRLGFEPSDNELASSLRISPTKLRLMLLECSLARERLAMKNIRLVMSIAQKYNNVGPDMADLVQGGLIGLLRGIEKFDPSKGFKISTYVYWWIRQGVLKVLFLNSKTVRLPRYLCERLISIRNAKVKLERQGITPSVDSLADYLNMSERKVENATQADRMMISLEKEAFPSLNGRPGKTLHSYVADNNLENNPWQGFEDEYLKDEVNRLLRTTLSKREQDIVRLYHGVGRDSHTWEDIGKHYGLSRERVRQVGLVAFQKLKLAAKKQRMEALLVKH >DRNTG_18226.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2728083:2732443:1 gene:DRNTG_18226 transcript:DRNTG_18226.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMATAIVAGLSMGASSKNSTVAKMSSNLHSGIPVNKRLPAPKALAQHEDTSLSLTTKANCFSNYDHVEESDPDLSSWHDLIVFQKSMLEKQWKLSFNQTAITAAPEENSKKRVIIGSGVSARQRRRSTRSSLNQNDQMIRSNKRKQIHSIISPELLQTHLNGYVKGKVSEDLLPHDEVAQLSKKIKLGLMLEKHKARLKKRLGFEPSDNELASSLRISPTKLRLMLLECSLARERLAMKNIRLVMSIAQKYNNVGPDMADLVQGGLIGLLRGIEKFDPSKGFKISTYVYWWIRQGVLKVLFLNSKTVRLPRYLCERLISIRNAKVKLERQGITPSVDSLADYLNMSERKVENATQADRMMISLEKEAFPSLNGRPGKTLHSYVADNNLENNPWQGFEDEYLKDEVNRLLRTTLSKREQDIVRLYHGVGRDSHTWEDIGKHYGLSRERVRQVGLVAFQKLKLAAKKQRMEALLVKH >DRNTG_18226.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2728083:2732443:1 gene:DRNTG_18226 transcript:DRNTG_18226.9 gene_biotype:protein_coding transcript_biotype:protein_coding MMATAIVAGLSMGASSKNSTVAKMSSNLHSGIPVNKRLPAPKALAQHEDTSLSLTTKANCFSNYDHVEESDPDLSSWHDLIVFQKSMLEKQWKLSFNQTAITAAPEENSKKRVIIGSGVSARQRRRSTRSSLNQNDQMIRSNKRKQIHSIISPELLQTHLNGYVKGKVSEDLLPHDEVAQLSKKIKLGLMLEKHKARLKKRLGFEPSDNELASSLRISPTKLRLMLLECSLARERLAMKNIRLVMSIAQKYNNVGPDMADLVQGGLIGLLRGIEKFDPSKGFKISTYVYWWIRQGVLKVLFLNSKTVRLPRYLCERLISIRNAKVKLERQGITPSVDSLADYLNMSERKVENATQADRMMISLEKEAFPSLNGRPGKTLHSYVADNNLENNPWQGFEDEYLKDEVNRLLRTTLSKREQDIVRLYHGVGRDSHTWEDIGKHYGLSRERVRQVGLVAFQKLKLAAKKQRMEALLVKH >DRNTG_18226.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2728083:2732443:1 gene:DRNTG_18226 transcript:DRNTG_18226.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMATAIVAGLSMGRKFSCTPSLSTDTIDKVLSTQGYGLPQLSGASSKNSTVAKMSSNLHSGIPVNKRLPAPKALAQHEDTSLSLTTKANCFSNYDHVEESDPDLSSWHDLIVFQKSMLEKQWKLSFNQTAITAAPEENSKKRVIIGSGVSARQRRRSTRSSLNQNDQMIRSNKRKQIHSIISPELLQTHLNGYVKGKVSEDLLPHDEVAQLSKKIKLGLMLEKHKARLKKRLGFEPSDNELASSLRISPTKLRLMLLECSLARERLAMKNIRLVMSIAQKYNNVGPDMADLVQGGLIGLLRGIEKFDPSKGFKISTYVYWWIRQGVLKVLFLNSKTVRLPRYLCERLISIRNAKVKLERQGITPSVDSLADYLNMSERKVENATQADRMMISLEKEAFPSLNGRPGKTLHSYVADNNLENNPWQGFEDEYLKDEVNRLLRTTLSKREQDIVRLYHGVGRDSHTWEDIGKHYGLSRERVRQVGLVAFQKLKLAAKKQRMEALLVKH >DRNTG_18226.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2728083:2732443:1 gene:DRNTG_18226 transcript:DRNTG_18226.8 gene_biotype:protein_coding transcript_biotype:protein_coding MMATAIVAGLSMGRKFSCTPSLSTDTIDKVLSTQGYGLPQLSGASSKNSTVAKMSSNLHSGIPVNKRLPAPKALAQHEDTSLSLTTKANCFSNYDHVEESDPDLSSWHDLIVFQKSMLEKQWKLSFNQTAITAAPEENSKKRVIIGSGVSARQRRRSTRSSLNQNDQMIRSNKRKQIHSIISPELLQTHLNGYVKGKVSEDLLPHDEVAQLSKKIKLGLMLEKHKARLKKRLGFEPSDNELASSLRISPTKLRLMLLECSLARERLAMKNIRLVMSIAQKYNNVGPDMADLVQGGLIGLLRGIEKFDPSKGFKISTYVYWWIRQGVLKVLFLNSKTVRLPRYLCERLISIRNAKVKLERQGITPSVDSLADYLNMSERKVENATQADRMMISLEKEAFPSLNGRPGKTLHSYVADNNLENNPWQGFEDEYLKDEVNRLLRTTLSKREQDIVRLYHGVGRDSHTWEDIGKHYGLSRERVRQVGLVAFQKLKLAAKKQRMEALLVKH >DRNTG_18226.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2728083:2732443:1 gene:DRNTG_18226 transcript:DRNTG_18226.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMATAIVAGLSMGRKFSCTPSLSTDTIDKVLSTQGYGLPQLSGASSKNSTVAKMSSNLHSGIPVNKRLPAPKALAQHEDTSLSLTTKANCFSNYDHVEESDPDLSSWHDLIVFQKSMLEKQWKLSFNQTAITAAPEENSKKRVIIGSGVSARQRRRSTRSSLNQNDQMIRSNKRKQIHSIISPELLQTHLNGYVKGKVSEDLLPHDEVAQLSKKIKLGLMLEKHKARLKKRLGFEPSDNELASSLRISPTKLRLMLLECSLARERLAMKNIRLVMSIAQKYNNVGPDMADLVQGGLIGLLRGIEKFDPSKGFKISTYVYWWIRQGVLKVLFLNSKTVRLPRYLCERLISIRNAKVKLERQGITPSVDSLADYLNMSERKVENATQADRMMISLEKEAFPSLNGRPGKTLHSYVADNNLENNPWQGFEDEYLKDEVNRLLRTTLSKREQDIVRLYHGVGRDSHTWEDIGKHYGLSRERVRQVGLVAFQKLKLAAKKQRMEALLVKH >DRNTG_18226.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2728083:2732443:1 gene:DRNTG_18226 transcript:DRNTG_18226.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMATAIVAGLSMGASSKNSTVAKMSSNLHSGIPVNKRLPAPKALAQHEDTSLSLTTKANCFSNYDHVEESDPDLSSWHDLIVFQKSMLEKQWKLSFNQTAITAAPEENSKKRVIIGSGVSARQRRRSTRSSLNQNDQMIRSNKRKQIHSIISPELLQTHLNGYVKGKVSEDLLPHDEVAQLSKKIKLGLMLEKHKARLKKRLGFEPSDNELASSLRISPTKLRLMLLECSLARERLAMKNIRLVMSIAQKYNNVGPDMADLVQGGLIGLLRGIEKFDPSKGFKISTYVYWWIRQGVLKVLFLNSKTVRLPRYLCERLISIRNAKVKLERQGITPSVDSLADYLNMSERKVENATQADRMMISLEKEAFPSLNGRPGKTLHSYVADNNLENNPWQGFEDEYLKDEVNRLLRTTLSKREQDIVRLYHGVGRDSHTWEDIGKHYGLSRERVRQVGLVAFQKLKLAAKKQRMEALLVKH >DRNTG_07714.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000346.1:50705:52028:-1 gene:DRNTG_07714 transcript:DRNTG_07714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESLGLTVEDFPQDHRPRLSRGAFPFPSDMIDSTSVPRVLCGHNRVNGLRDEPLLGPSCACNGVKR >DRNTG_03818.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28040162:28040499:1 gene:DRNTG_03818 transcript:DRNTG_03818.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYNHGPRSPLPSIAEPVPPSSPDSSWGI >DRNTG_34681.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28776251:28777977:1 gene:DRNTG_34681 transcript:DRNTG_34681.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFGVQLESHFWSGRVNRRFVPISKILKPVINECVTPVTCYWSLALILRGDEELTLVFKELQPPVKMLIPVWKALCKATTDKESSMSI >DRNTG_34681.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28776251:28777563:1 gene:DRNTG_34681 transcript:DRNTG_34681.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFGVQLESHFWSGRVNRRFVPISKILKPVINECVTPVTCYWSLALILRGDEELTLVFK >DRNTG_34681.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28776251:28777977:1 gene:DRNTG_34681 transcript:DRNTG_34681.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFGVQLESHFWSGRVNRRFVPISKILKPVINECVTPVTCYWSLALILRGDEELTLVFKELQPPVKMLIPVWKALCKATTDKESSMSI >DRNTG_34681.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28774211:28777977:1 gene:DRNTG_34681 transcript:DRNTG_34681.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFGVQLESHFWSGRVNRRFVPISKILKPVINECVTPVTCYWSLALILRGDEELTLVFKELQPPVKMLIPVWKALCKATTDKESSMSI >DRNTG_34681.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28774211:28777977:1 gene:DRNTG_34681 transcript:DRNTG_34681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRALRSGHGLLQAIRYSLPSSPLALNLPKSAISSLSEQSVFEELCELLPINLKPLNCRPIAREPVLETDLSRVSDGLLSPAEKLRGVFLQKLTGVSVVESALSSTGVDLNLEIFADVVDKGSLGGAPMVVLFDWALKQPRVSKCVEIYNVILKALGRRKFFTFVDEVLIRMKADEIKPNSETLEILIDSFVSARRVSKAMELFGKLEEIGSKCDTESLTIIMRSLCHRSHIRVANSLFNKMKGRIPYDSVVYNEIIGGWARFGRVDKVETFWATMVDDGFNPDKVSFAHLLEALGRAGRIGDAVDVFEQMKREVCCRDTAHYNAMISNYISVRDLDECLKYYKRMPVDGCLPDRDTYDNLISAFIKVRRVADALELFDEMLGQGIYPSTGMVTSFIKPLCSFGPPHAAMVIYKKSRKAGCKISLKTYKLLLFRLSRFGKCGTVLKVWEEMQDSGHASDKEVYEYIVDGLCNIGRVDSAVLVVEESLRKGFCLSKFVYSKLNNKLLEMNKVETAYKLFLKVKDARGLANSQRFWRARGWHF >DRNTG_34681.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28774211:28775883:1 gene:DRNTG_34681 transcript:DRNTG_34681.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALRALRSGHGLLQAIRYSLPSSPLALNLPKSAISSLSEQSVFEELCELLPINLKPLNCRPIAREPVLETDLSRVSDGLLSPAEKLRGVFLQKLTGVSVVESALSSTGVDLNLEIFADVVDKGSLGGAPMVVLFDWALKQPRVSKCVEIYNVILKALGRRKFFTFVDEVLIRMKADEIKPNSETLEILIDSFVSARRVSKAMELFGKLEEIGSKCDTESLTIIMRSLCHRSHIRVANSLFNKMKGRIPYDSVVYNEIIGGWARFGRVDKVETFWATMVDDGFNPDKVSFAHLLEALGRAGRIGDAVDVFEQMKREVCCRDTAHYNAMISNYISVRDLDECLKYYKRMPVDGCLPDRDTYDNLISAFIKVRRVADALELFDEMLGQGIYPSTGMVTSFIKPLCSFGPPHAAMVIYKKSRKAGCKISLKTYKLLLFRLSRFGKCGTVLKVWEEMQDSGHASDKEVYEYIVDGLCNIGRVDSAVLVVEESLRKGFCLSKFVYSKLNNKLLEMNKVETAYKLFLKVKDARGLANSQRFWRARGWHF >DRNTG_21356.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001220.1:5317:10813:1 gene:DRNTG_21356 transcript:DRNTG_21356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGYVSRVHPRDHYNHAILSMIGYKLRDFAFQINLNVANMWGIVKSIVDLCMKLNEGNMFWKLELAEKPGDWEETQPICGLREVPVVHKVTGAQVFSFGYKKDHKEASEKEEKIRKGGWRLER >DRNTG_02859.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20910791:20911694:-1 gene:DRNTG_02859 transcript:DRNTG_02859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVLAFLYQFGNFQTPGINLAGHCDPTSSGCVSIGNDIKPCQSQGIKVFLSLGGASGSYTLVSTQDAQQVADYLWNNFLGGSSSSRPLGDAVLDDIDFDIEGGTTQHWDELAQMLFDYSQQGQKGFSWSICQNRGENKVESLTNQLPSPTC >DRNTG_06790.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19773351:19775432:1 gene:DRNTG_06790 transcript:DRNTG_06790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQTGIQIERYTQKNRQKINQHKDGK >DRNTG_06790.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19773351:19781683:1 gene:DRNTG_06790 transcript:DRNTG_06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSHNKAPAFESISHRHSLGFHSSPSEPPYPCEASTCGIDRHSQGMSHVLDLQRWRRASGPWRRVASTTGFE >DRNTG_06790.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19773351:19781683:1 gene:DRNTG_06790 transcript:DRNTG_06790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSHNKAPAFESISHRHSLGFHSSPSEPPYPCEAST >DRNTG_06790.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19773351:19781683:1 gene:DRNTG_06790 transcript:DRNTG_06790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSHNKAPAFESISHRHSLGFHSSPSEPPYPCEASTFRDGGEPVVLGEE >DRNTG_06790.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19773086:19773656:1 gene:DRNTG_06790 transcript:DRNTG_06790.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSNRDGPSKRRRQCHRPDYSPTKIWRDQ >DRNTG_21479.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2721854:2726119:-1 gene:DRNTG_21479 transcript:DRNTG_21479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWDEVDGSDEWQRGIFYFLSAAYSLVSLVALVQLIRIQLRVPEYGWTTQKLFHLMNFIVNGLRAILFGVYHSVFLLKPKVLEMALLDLPGLLFFSTYTLLVLFWAEIYHQARSLPTDKLRPAYLFINGAVYTLQICIWAYVEIDQKPVAIEVAKLFFAVVSLFAATGFLIYGGRLFFMLRRFPIESRGRQKKLNEVGFVTVICSTCFLIRCLVVAVSAFDNDADVDVLYHPVLNLIYYMLVEILPSALVLFILRKLPPKRVSDQYHPIN >DRNTG_21479.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2722085:2726119:-1 gene:DRNTG_21479 transcript:DRNTG_21479.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWDEVDGSDEWQRGIFYFLSAAYSLVSLVALVQLIRIQLRVPEYGWTTQKLFHLMNFIVNGLRAILFGVYHSVFLLKPKVLEMALLDLPGLLFFSTYTLLVLFWAEIYHQARSLPTDKLRPAYLFINGAVYTLQICIWAYVEIDQKPVAIEVAKLFFAVVSLFAATGFLIYGGRLFFMLRRFPIESRGRQKKLNEVGFVTVICSTCFLIRCLVVAVSAFDNDADVDVLYHPVLNLIYYMLVEILPSALVLFILRKLPPKRVSDQYHPIN >DRNTG_16605.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31293942:31310188:-1 gene:DRNTG_16605 transcript:DRNTG_16605.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRFAGCNGVDKVAFYRTAYAVIYKLIRARSACAGGLFWQLLLPGMENLSDGYEIILSDCPSIANIISRHSRLISNLNGPSLRGLTSTYQ >DRNTG_25396.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24454004:24457631:1 gene:DRNTG_25396 transcript:DRNTG_25396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGRRPEPLDFFIWTVQDVGMWLEEINLGSYQQAFEENGVNGEYLESLSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQEVRRPWWAPSCLSAVFVKVAKRNRQSRVVSLKLEA >DRNTG_16044.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25891829:25892659:1 gene:DRNTG_16044 transcript:DRNTG_16044.4 gene_biotype:protein_coding transcript_biotype:protein_coding AWKSNANKKSTKSFNLGPINNDHFDGISSQTSEPAK >DRNTG_16044.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25891829:25892659:1 gene:DRNTG_16044 transcript:DRNTG_16044.2 gene_biotype:protein_coding transcript_biotype:protein_coding QPTSSFSFNEDQRKQTSKAKKRNMKPLPQKRANTFAASSFVPAMTMRSSLPY >DRNTG_16044.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25891829:25892659:1 gene:DRNTG_16044 transcript:DRNTG_16044.1 gene_biotype:protein_coding transcript_biotype:protein_coding QPTSSFSFNEDQRKQTSKAKKRNMKPLPQKRANTFAASSFVPAMTMRSSLPY >DRNTG_30701.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1783011:1788230:-1 gene:DRNTG_30701 transcript:DRNTG_30701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVCVNMAGDGESLAKCCEPRRLRIEHAGETSNCGEKRVASAEPPAAEKRTRTVAPVVAFGSVSLCGLSREMEDAVSVRPAFCRLAGGELLHFFAVFDGHGGSHVSAMCRERMHELVAEEIGRAGTKAANEEEWKGGGGESVREDGRGGTGGVRLWETWATAVRLREIGVRVRRRGVDSRCRHRLSKPDLSRQLRRLSCRPLPLRPRPPPLRRPQAGQAGRAGAH >DRNTG_26773.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18380232:18380630:-1 gene:DRNTG_26773 transcript:DRNTG_26773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILSPIRFFRKSLDWFRISFGPKGAMEKGIHAVNWRCITDKKSEGGLGHRNLYYAKTSLMAKNVFKYLNLDNILWVAIARIKSAFTLKHNLWIKSFNLVQTSILFDP >DRNTG_35437.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13959664:13961101:-1 gene:DRNTG_35437 transcript:DRNTG_35437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPKNLSKNLPLPLKTLAVASSQAWERMENRMLKPGLNRGLNRAGIRGLVSVAWRQGPVILGLPQLNRLALGTCSEL >DRNTG_14883.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2935720:2940309:-1 gene:DRNTG_14883 transcript:DRNTG_14883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAKMPNRLSTDEMSVDLEAALRFSNGVSQAPPVNKLTAREMESLIAISDTFLPSIQLNSANYSTSLQTFFSTSASMLGTPEVVGVYLSGKLQHVMLGLLRLGLWLLSTWYGTLILCGYKSMSSRFPYFHKFSQLELRRREEIMKSWSSSYIYLLRQLFVGVKFIVMLAHFTQLDENNENPTWKAIGYCGPDPDHVDRVKQSNESEQAQVDGLGPLHRTLVHLDSPIETLSKALAQARLSITSRPNPLPTIRCDVVVVGSGSGGGVVAGVLAQAGYKVVVLEKGHYHARNKLSLIEGPSLDQMYERNGLLATADMGVTILAGSTVGGGSAINWSASIRTPDKVMGEWREKLGLELFGSEAYEHALDVVCKRMGVHPDVEEESLNNAVLRRGCKELGYPVKNIPRNAPSDHHCGWCCFGCKDGRKKGTLETWLVDMVESGNGVIIPGSKAIRVIYENRGREKKVATGVVFEFENGWSGEKEKCMVEAKVTVVACGALNTPVLLKKSGLRNKNIGKHLHLHPVVMGWGYFPEKEARWDVDKNSYEGAIMTAMHTVVRDGYGAIIQTPSLHPGLFSVVTPWLSGEDFKWRMTRFARTAHLFTLARDRGEGEVDESGSIRYRMDGS >DRNTG_23650.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5138961:5139539:-1 gene:DRNTG_23650 transcript:DRNTG_23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGTVGSNDPTVPRKTITLKSLHLNQNETDPFPPPAPTHQRRLHRLHHRRPSSPPTLPPSRLHLLH >DRNTG_23720.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:779412:781948:1 gene:DRNTG_23720 transcript:DRNTG_23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycolipid transfer protein 1 [Source:Projected from Arabidopsis thaliana (AT2G33470) UniProtKB/Swiss-Prot;Acc:O22797] MEGTTVFTPSLEGMKHVKSEGGEMLTKPFLDVCKLVLPVIEKFGAAMTLVKSDIGGNISRLEAKYNSDPSKFELLYSMVHVEVESKTAKASSSCTNGLLWLTRAMDFLVELFRNLVEHHDWTMSQACTDSYAKTLKKWHGWLASSSFTLAMKLAPDRNKFMEVIGGSGDLNADMMKFCSTFSPFLEENHKFLASVGLDDLKAS >DRNTG_19982.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:846861:857999:-1 gene:DRNTG_19982 transcript:DRNTG_19982.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFRAVGEIARGLEKPVIEPIWCREIIPGPPPTTKPAAQPSFSSAIPNLHLEFSTLDVQLDKMKEEFLKETGRTCSDFDVTVAALLQCRTRAINPDHAETLDFHLICPSNARPLLHELIPGYEGYYGNCTYRALVTAPASKIMRASVTDIVGWILDAKEEISEKFWKWLDGEHSDKSIIASASNYETIVVTDMDKLGSKDVNYGWGPPVQSGMLRYSDHMVFCVVDSSLKIEGGVRITGRLVREEHLQAFRDEINKVCN >DRNTG_19982.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:846861:848088:-1 gene:DRNTG_19982 transcript:DRNTG_19982.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEFLKETGRTCSDFDVTVAALLQCRTRAINPDHAETLDFHLICPSNARPLLHELIPGYEGYYGNCTYRALVTAPASKIMRASVTDIVGWILDAKEEISEKFWKWLDGEHSDKSIIASASNYETIVVTDMDKLGSKDVNYGWGPPVQSGMLRYSDHMVFCVVDSSLKIEGGVRITGRLVREEHLQAFRDEINKVCN >DRNTG_19982.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:826158:857999:-1 gene:DRNTG_19982 transcript:DRNTG_19982.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTEFTCGGFVVCFGYNHAVFDGFGIMNFFRAVGEIARGLEKPVIEPIWCREIIPGPPPTTKPAAQPSFSSAIPNLHLEFS >DRNTG_14926.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27167772:27169365:1 gene:DRNTG_14926 transcript:DRNTG_14926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWMKPSVDMEKLSYEIFSILETKFLFGCDDAKLIPISGTSPETPSKPSGGSGKVRILSIDGGGASDGLFAAVSLARLETFLCRLSGDPSARIADFFDLAAGSGPGGVLTALLFSRGSDGRPLFSADEALEFLAENQRKISNTSKKGIFGKRSGIFGRIFEDRTVREALKPMLIPCHDLETGAGMMFSRADAVEMDGYDFFMRDVCAATCAGDQAIGMRSVDGRSKISAVGAGVENPTAAAITHVLNNKQEFPRANGVEDLLVLSLGGGRRASPPSKAKLAKIAADGAADMVDQAVAMAFGHNRAMNYVRIEANGLKAGKTREAKVVAAEVALTERNVELVLFRGSKLLEQTNAERLELFAGELIREHERRKWSTEPTVLIK >DRNTG_24496.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18978545:18985112:-1 gene:DRNTG_24496 transcript:DRNTG_24496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLGVAGRSVFLRKPNESMRLITTTVIGIVFGFFIGISFPTANITRLHFPSSILSYIEDKNSGIKTQAYLNHAWSSANSHSKNDSNLESNDILKIYVPSNPRGAERLPPDIVVSESDFYLRRLWGVPSEDLVIKQKYLVTFTVGYGQKENINAAVKKFSENFTILLFHYDGRASEWDEFEWSKRAIHISVRKQAKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFNAEEYVKLVKKHGLEISQPGLEPNKGLTWQMTKRRGDREVHKETEEKPGWCTDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVVPSLGNQGQAEKGKAPWEGVRERCRKEWGMFQTRLADAENAYYKEKGITPPNSTKV >DRNTG_29206.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3174864:3175316:-1 gene:DRNTG_29206 transcript:DRNTG_29206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGVVNTTWDHIIPPESSPNQSILRLNAHLQWEPAHEPLHADIDVLKTCGVGPGMAFANAVRSGGCEGEIGLVPCAIGGTTISEWERGQGLYE >DRNTG_19205.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5089562:5094505:-1 gene:DRNTG_19205 transcript:DRNTG_19205.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKKRYAEKALMKKTLAMHEESSTRRKVDDKVHDGAIPAYLLDRDTTTRAKVLSNTIKQKRKEKAGKWEVPLPKVRPVAEDEMFRVIRSGKRKSKQWKRMVTKVTFVGPGFTRKPPKYERFIRPMGLRFTKAHVTHPELKCTFNLEIIDIKKNPNGSMYTSLGVVTKGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >DRNTG_19205.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5089562:5094505:-1 gene:DRNTG_19205 transcript:DRNTG_19205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGDYIDLHRKRHGYRPDHFERKRKKEAREVHKRSAFAQKALGIKGKMFAKKRYAEKALMKKTLAMHEESSTRRKVDDKVHDGAIPAYLLDRDTTTRAKVLSNTIKQKRKEKAGKWEVPLPKVRPVAEDEMFRVIRSGKRKSKQWKRMVTKVTFVGPGFTRKPPKYERFIRPMGLRFTKAHVTHPELKCTFNLEIIDIKKNPNGSMYTSLGVVTKGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >DRNTG_32413.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1857791:1859848:-1 gene:DRNTG_32413 transcript:DRNTG_32413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFATQLVKRLTKVIEEKAIMVLGVKNELQELQRKMERITCVLKDADRRRIQDETVKLWVNELKDWMYDAEDIIDLCMIQGMGLLQDDHNSPAESSTTASTRVRCCNFSMLSCVRSVPFRYEIADKIKNLNVKLEKISKDKDNFNFIISSKSSDDAYVMNDASYRQSSFLPESDIVGWDIIDATNSLVELLVSQHQQKCRLFGIVGMGGIGKTTLAQLIYNDSKINDDFVLHSWICVSKFYTSRTDLLKELIRNVGGTSGEATTTAELQKILCDVLYGKSLFVVLDDVWDADVWINLIKIPVERTTTKCRVVITTRDRNTAIKMGAIHIHNVNKLPLNFGWELLCKKVFTNNDESEIRRMKDIGMKIVEKCDGLPLAIKVIAGVLITTEQK >DRNTG_34659.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002173.1:661:1967:1 gene:DRNTG_34659 transcript:DRNTG_34659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQSKKQADKRPCEPSLKPESMGFAIPDHQVRFERLSKLKFAQSCFPDTSILREIQQGDDLADEVEELMSVGSWERLLLIREPALRALTLEFLVSLGLYGEAYIDTKEYDRLPTDYPSSLTPQSTYSTLCDEEQYEPGVFKATCLSQPAYRYLHAILSRSVNRRGDYTGVLGRPVHYQTHSRHGFVGHYPWAEQTIIPSPLGLDTMRLMGLVRRHKPGVYILATPALEIAKGEHDATEGSQPALEPQPEPMVTEAPLAAQEPPPVRMFSPSQAYDRFERLESVVGVLWTEMVEASPAPVDSQAPNTSSTAAAKPEHNTDA >DRNTG_18798.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:377466:379249:1 gene:DRNTG_18798 transcript:DRNTG_18798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPPSKKKKKGHAPEAQFRRALDTCSRNGDLSGALDLYRAAISNGTHLISYHFNNILHLCSSSLETLPSPDKPSAISSGFEIFNCMIAHGTLPTEATITSMARIAAHRIEGGGDLAFDLVKTLKEKYGASPKLRTYGPALFWFCKNLDADKAYDVENHMVLNGISPEEPEIAALLDVSARLGREEKVYEYLLKLRGSVACVSRSTAEVLERWFGSESAREVGRLGWDVSAVRDAITVNGGGWHGLGWLGKGKWRVSWGSVGFDGRCSCCSETLACVDITQKETEMFSDSVASLAMSRETWSNFTNF >DRNTG_17077.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17159955:17167464:1 gene:DRNTG_17077 transcript:DRNTG_17077.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MAVASITNPPAMKIVTYNVNGLRQRVSQHGSLRSLLNSLDADIICFQETKLSRQDLSVDLTMAEGYEGFVSCTRTSTKGRSGYSGVATFCRVNSVFSSDEVALPLDAEEGFTGLLEQSGNGRTIMRDVLLDMPMVVEGEEVTKEELLKVDSEGRCLITDHGHFVIFNLYGPRAVDDDNERLRFKLIFFMMLQKRWEFLLSQGKRIIVLGDLNIAPAAIDRCDAEPGFERNKFRKWLRSLLKESGGPFFDVFRGKHPNRTEAYTCFSARIGAEEFNYGSRIDHILVAGSCLHPADDSDDHNFFGCHVEECDIMAQFKRGNLDNTSKWKGGRATKLEGSDHIPVYAILTDVPNLHVHNTPSLAVRYIPEVRGWQPTIVSFLTKREDFSITKYPGMSESVATINAVECGVTGLNVGATSRQHGYGPGFIGDETEKNLPKLKTEEVNSHAHCSPTVKKKARHNTRSQLTLRSFFQQSNSIASHRGCADTNTSSSSPGLLDAGMNKDNSSQTTEVVDRYPTGNAEDGACEVSESGIDTLVENQSDISGNLSFEKEKANIAALEWQRIQQKMKMSIPLCKGHKEPCVARCVKKEGPNIGRRFYVCARATGPASNPEANCGYFEWASVKYKRKER >DRNTG_17077.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17159955:17167464:1 gene:DRNTG_17077 transcript:DRNTG_17077.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MAQFKRGNLDNTSKWKGGRATKLEGSDHIPVYAILTDVPNLHVHNTPSLAVRYIPEVRGWQPTIVSFLTKREDFSITKYPGMSESVATINAVECGVTGLNVGATSRQHGYGPGFIGDETEKNLPKLKTEEVNSHAHCSPTVKKKARHNTRSQLTLRSFFQQSNSIASHRGCADTNTSSSSPGLLDAGMNKDNSSQTTEVVDRYPTGNAEDGACEVSESGIDTLVENQSDISGNLSFEKEKANIAALEWQRIQQKMKMSIPLCKGHKEPCVARCVKKEGPNIGRRFYVCARATGPASNPEANCGYFEWASVKYKRKER >DRNTG_17077.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17165655:17167536:1 gene:DRNTG_17077 transcript:DRNTG_17077.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MNKDNSSQTTEVVDRYPTGNAEDGACEVSESGIDTLVENQSDISGNLSFEKEKANIAALEWQRIQQKMKMSIPLCKGHKEPCVARCVKKEGPNIGRRFYVCARATGPASNPEANCGYFEWASVKYKRKER >DRNTG_17077.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17165269:17167667:1 gene:DRNTG_17077 transcript:DRNTG_17077.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MSESVATINAVECGVTGLNVGATSRQHGYGPGFIGDETEKNLPKLKTEEVNSHAHCSPTVKKKARHNTRSQLTLRSFFQQSNSIASHRGCADTNTSSSSPGLLDAGMNKDNSSQTTEVVDRYPTGNAEDGACEVSESGIDTLVENQSDISGNLSFEKEKANIAALEWQRIQQKMKMSIPLCKGHKEPCVARCVKKEGPNIGRRFYVCARATGPASNPEANCGYFEWASVKYKRKER >DRNTG_17077.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17159955:17161157:1 gene:DRNTG_17077 transcript:DRNTG_17077.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MAVASITNPPAMKIVTYNVNGLRQRVSQHGSLRSLLNSLDADIICFQETKLSRQDLSVDLTMAEGYEGFVSCTRTSTKGRSGYSGVATFCRVNSVFSSDEVALPLDAEEGFTGLLEQSGNGRTIMRDVLLDMPMVVEGEEVTKEELLKVDSEGRCLITDHGHFVIFNLYGPRAVDDDNERLRFKLIFFMMLQKRWEFLLSQGKRIIVLGDLNIAPAAIDRCDAEPGFERNK >DRNTG_17077.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17165269:17167536:1 gene:DRNTG_17077 transcript:DRNTG_17077.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MSESVATINAVECGVTGLNVGATSRQHGYGPGFIGDETEKNLPKLKTEEVNSHAHCSPTVKKKARHNTRSQLTLRSFFQQSNSIASHRGCADTNTSSSSPGLLDAGMNKDNSSQTTEVVDRYPTGNAEDGACEVSESGIDTLVENQSDISGNLSFEKEKANIAALEWQRIQQKMKMSIPLCKGHKEPCVARCVKKEGPNIGRRFYVCARATGPASNPEANCGYFEWASVKYKRKER >DRNTG_17077.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17159955:17167464:1 gene:DRNTG_17077 transcript:DRNTG_17077.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MSESVATINAVECGVTGLNVGATSRQHGYGPGFIGDETEKNLPKLKTEEVNSHAHCSPTVKKKARHNTRSQLTLRSFFQQSNSIASHRGCADTNTSSSSPGLLDAGMNKDNSSQTTEVVDRYPTGNAEDGACEVSESGIDTLVENQSDISGNLSFEKEKANIAALEWQRIQQKMKMSIPLCKGHKEPCVARCVKKEGPNIGRRFYVCARATGPASNPEANCGYFEWASVKYKRKER >DRNTG_17077.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17159955:17161462:1 gene:DRNTG_17077 transcript:DRNTG_17077.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MAVASITNPPAMKIVTYNVNGLRQRVSQHGSLRSLLNSLDADIICFQETKLSRQDLSVDLTMAEGYEGFVSCTRTSTKGRSGYSGVATFCRVNSVFSSDEVALPLDAEEGFTGLLEQSGNGRTIMRDVLLDMPMVVEGEEVTKEELLKVDSEGRCLITDHGHFVIFNLYGPRAVDDDNERLRFKLIFFMMLQMGISLEPGKKNYCAW >DRNTG_17077.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17159955:17167464:1 gene:DRNTG_17077 transcript:DRNTG_17077.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MAVASITNPPAMKIVTYNVNGLRQRVSQHGSLRSLLNSLDADIICFQETKLSRQDLSVDLTMAEGYEGFVSCTRTSTKGRSGYSGVATFCRVNSVFSSDEVALPLDAEEGFTGLLEQSGNGRTIMRDVLLDMPMVVEGEEVTKEELLKVDSEGRCLITDHGHFVIFNLYGPRAVDDDNERLRFKLIFFMMLQKRWEFLLSQGKRIIVLGDLNIAPAAIDRCDAEPGFERNKFRKWLRSLLKESGGPFFDVFRGKHPNRTEAYTCFSARIGAEEFNYGSRIDHILVAGSCLHPADDSDDHNFFGCHVEECDIMAQFKRGNLDNTSK >DRNTG_17077.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17165269:17167464:1 gene:DRNTG_17077 transcript:DRNTG_17077.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MSESVATINAVECGVTGLNVGATSRQHGYGPGFIGDETEKNLPKLKTEEVNSHAHCSPTVKKKARHNTRSQLTLRSFFQQSNSIASHRGCADTNTSSSSPGLLDAGMNKDNSSQTTEVVDRYPTGNAEDGACEVSESGIDTLVENQSDISGNLSFEKEKANIAALEWQRIQQKMKMSIPLCKGHKEPCVARCVKKEGPNIGRRFYVCARATGPASNPEANCGYFEWASVKYKRKER >DRNTG_17077.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17163992:17167464:1 gene:DRNTG_17077 transcript:DRNTG_17077.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MLFTLAYIYRWKGGRATKLEGSDHIPVYAILTDVPNLHVHNTPSLAVRYIPEVRGWQPTIVSFLTKREDFSITKYPGMSESVATINAVECGVTGLNVGATSRQHGYGPGFIGDETEKNLPKLKTEEVNSHAHCSPTVKKKARHNTRSQLTLRSFFQQSNSIASHRGCADTNTSSSSPGLLDAGMNKDNSSQTTEVVDRYPTGNAEDGACEVSESGIDTLVENQSDISGNLSFEKEKANIAALEWQRIQQKMKMSIPLCKGHKEPCVARCVKKEGPNIGRRFYVCARATGPASNPEANCGYFEWASVKYKRKER >DRNTG_17077.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17159955:17167464:1 gene:DRNTG_17077 transcript:DRNTG_17077.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MLFTLAYIYRWKGGRATKLEGSDHIPVYAILTDVPNLHVHNTPSLAVRYIPEVRGWQPTIVSFLTKREDFSITKYPGMSESVATINAVECGVTGLNVGATSRQHGYGPGFIGDETEKNLPKLKTEEVNSHAHCSPTVKKKARHNTRSQLTLRSFFQQSNSIASHRGCADTNTSSSSPGLLDAGMNKDNSSQTTEVVDRYPTGNAEDGACEVSESGIDTLVENQSDISGNLSFEKEKANIAALEWQRIQQKMKMSIPLCKGHKEPCVARCVKKEGPNIGRRFYVCARATGPASNPEANCGYFEWASVKYKRKER >DRNTG_17077.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17159955:17167464:1 gene:DRNTG_17077 transcript:DRNTG_17077.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MAVASITNPPAMKIVTYNVNGLRQRVSQHGSLRSLLNSLDADIICFQETKLSRQDLSVDLTMAEGYEGFVSCTRTSTKGRSGYSGVATFCRVNSVFSSDEVALPLDAEEGFTGLLEQSGNGRTIMRDVLLDMPMVVEGEEVTKEELLKVDSEGRCLITDHGHFVIFNLYGPRAVDDDNERLRFKLIFFMMLQKRWEFLLSQGKRIIVLGDLNIAPAAIDRCDAEPGFERNKFRKWLRSLLKESGGPFFDVFRGKHPNRTEAYTCFSARIGAEEFNYGSRIDHILVAGSCLHPADDSDDHNFFGCHVEECDIMAQFKRGNLDNTSK >DRNTG_11914.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:443080:451077:-1 gene:DRNTG_11914 transcript:DRNTG_11914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGDAAGIRGATNPMVTPLLTDLYQFTMAYAYWKAGKHLERAVFDLYFRKNPFGGEYTVFAGLEECIRLIANFKFKEEEISFLRSVMPTSEDGFFDYLRSINCSDVEVYAISEGSVVFPKVPLMRVEGPVAVVQLLETPFVNLVNYASLVTTNASRHRFVAGKSKTLLEFGLRRAQGPDGGMSASKYCYIGGFDATSNAAAGRLFGIPLRGTHSHAFISSFMSPDQVVVNGLSSQDGSSACEDFVALVRSWLSKLQMVESLRGIFGETNQSELAAFISYASAFPNNFLALVDTYDVMRSGVPNFCAVALALNDLGYKASGIRLDSGDLAYLSVEARKFFRAIEKVFGVPGFGAMVITASNDLNEETLDALNKQGHEVDAFGIGTYLVTCYTQAALGCVFKLVEINNQPRIKLSEDVTKVSIPCKKRCYRLYGREGYPLVDIMARDNESPPKVGERVLCRHPFIESKRAYVVPQHVEELLKCYWPGNSSKAREELPSLNKIRARCIQQLEQMRPDHMRRLNPTPYKVSVSAKLYDFIHYLWLNEAPVGELQ >DRNTG_32775.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2480196:2481408:-1 gene:DRNTG_32775 transcript:DRNTG_32775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELQSTLFVEERHKKKFPLWFKKFVTSLRNQGLQEVSDGLFSLACGPDRRVRKYTGCIVNGVRFHTKERDFHLRSQNSGVMVEGMHEEKEIDFYGVLTDIIQLDYIKGCEVVMFKCNWYDVDGRKRRIHKEGNLVSINVNKCWYENDPFILAIQAKQVFYLDDIKLGKNWKVVQKFHHRHLYDIPEIEQIEVSEMFDMDDNIDQENEFEENDRTIHVDDFGRQSLHRDDVAPDVIDFDDASMENFGQQSQRGLIDIDEEELGCNDDDDVDDVDNVDDEDDDDSDVDPMFH >DRNTG_03417.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3305024:3307250:1 gene:DRNTG_03417 transcript:DRNTG_03417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSGEKMPVTDEQAPENPKVIEEAKLTEKGEVEKESDKDVKGENEKKTNPEEVNEDQASKAEGDKDDEEKLECKSTSSKSDSDSKSEDSKSSDDSKSADSKSDDSKSSDDSKSDDSKSANESKSLKTEKKKKRITKKKLKKTSKAEGAKKKEIASEATSKDQTSAMEGDNINEEKDDEEKLECKSNSSKSDSDSKSGDSGSGDDSKSESEAKSPKKASEDNEGDKKKKKKVLKKKLKEKVNKESEEKENEPKDQTVKQEGGEPSDTKEKKSILEKIMGKLPGYN >DRNTG_27773.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24361911:24363495:-1 gene:DRNTG_27773 transcript:DRNTG_27773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDALSAKRGREETDESFESPEPKRLRESLFLDIFEDDGDSGERDPAIQDLATVMKSLEEEIGLPSTVPPPKSLSPVVEVDPGQPDLGFLLEASDDELGLPPTVLSSSEDGGEVDAAAETAVAEEDRGFGAQIWGFDDEIFDNGLAFGGGRMEDEDAVWYDGGLFDYSDEQSRPSDLPDVSWRSESLPAV >DRNTG_11354.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23751285:23753769:-1 gene:DRNTG_11354 transcript:DRNTG_11354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVINHSSLLLLFFFSTLFIIPINSQLLSSIIHCSDTSGDRNTYIIHVETANATKFLAREARERYYRSFLQPLSSSGEQLMLYSYMHAINGFAARLTEAEVKTMMKMKGFVHAQKDQEYNLHTTHSPDFLGLQQDHCFWKESNYGKGVIIGVLDTGVMPNHQSFTGEDMPLPPAKWKGICDFDIPVCNNKLVGARGFLRGCRNSPVDDNGHGMHTASIAAGNFVANASVLGQAKGNASGIAPKAHLAIYKVCYKHCHGSDILAAIDQAISDGVDVLSISIGGRPDPFYDDHMAIGALAAVEKGLFVSSSAGNMGPKESSVENNAPWMLTVGASTMDRSIRVTIKLGNGEEINGESAYQPDNFPFTMLPLIFPGEKGVVRAKTCSDGSLDGINVRGKIVLCETGGGVNNSIEKGMVVKNAGGIAMILMNQGKQMLTVKARAHVLPAAQISYGDALRIKKYMKASPVATAGILFKGTVYGTPVSPSIASFSGRGPSVINNGVMKPDIVGPGLNILAAWPSPADCGAMPTFNMLSGTSMAAPHLAGVAALLKASHPDWSPAMIKSAIMTTADELRRDGKPISDETGNSTNYFATGAGHVNPSKANDPGLVYDIDIDDYISYLCGLGYSDRQVSAVARRYIECLSRKAITAEELNYPTFTVSVASESQKTVTRTVKNVGENNAVYTVQVDAPEGVKVDVYPEKIEFSEINQTVVYDVYFTAGDTSDRIGSVSEGQLRWVSGKHVVKSAITVSFV >DRNTG_11001.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18827258:18828929:-1 gene:DRNTG_11001 transcript:DRNTG_11001.8 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSETYTCVTSHVGGNSVKKRVYLDDGSSGMDGNNHKSSLAVVFETPAATAVRVPPPPSLEMDFLSRCYLCRKRLHGLDIFMYRGDMAFCSEECRCHQILNDEIKEKCGPETRNPFACSESPCSTPFLFAAGVAAA >DRNTG_11001.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18827258:18828840:-1 gene:DRNTG_11001 transcript:DRNTG_11001.9 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSETYTCVTSHVGGNSVKKRVYLDDGSSGMDGNNHKSSLAVVFETPAATAVRVPPPPSLEMDFLSRCYLCRKRLHGLDIFMYRGDMAFCSEECRCHQILNDEIKEKCGPETRNPFACSESPCSTPFLFAAGVAAA >DRNTG_11001.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18827258:18829089:-1 gene:DRNTG_11001 transcript:DRNTG_11001.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSETYTCVTSHVGGNSVKKRVYLDDGSSGMDGNNHKSSLAVVFETPAATAVRVPPPPSLEMDFLSRCYLCRKRLHGLDIFMYRGDMAFCSEECRCHQILNDEIKEKCGPETRNPFACSESPCSTPFLFAAGVAAA >DRNTG_11001.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18826564:18828840:-1 gene:DRNTG_11001 transcript:DRNTG_11001.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSETYTCVTSHVGGNSVKKRVYLDDGSSGMDGNNHKSSLAVVFETPAATAVRVPPPPSLEMDFLSRCYLCRKRLHGLDIFMYRGDMAFCSEECRCHQILNDEIKEKCGPETRNPFACSESPCSTPFLFAAGVAAA >DRNTG_11001.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18827058:18828840:-1 gene:DRNTG_11001 transcript:DRNTG_11001.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSETYTCVTSHVGGNSVKKRVYLDDGSSGMDGNNHKSSLAVVFETPAATAVRVPPPPSLEMDFLSRCYLCRKRLHGLDIFMYRGDMAFCSEECRCHQILNDEIKEKCGPETRNPFACSESPCSTPFLFAAGVAAA >DRNTG_11001.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18827058:18828929:-1 gene:DRNTG_11001 transcript:DRNTG_11001.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSETYTCVTSHVGGNSVKKRVYLDDGSSGMDGNNHKSSLAVVFETPAATAVRVPPPPSLEMDFLSRCYLCRKRLHGLDIFMYRGDMAFCSEECRCHQILNDEIKEKCGPETRNPFACSESPCSTPFLFAAGVAAA >DRNTG_11001.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18826564:18828929:-1 gene:DRNTG_11001 transcript:DRNTG_11001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSETYTCVTSHVGGNSVKKRVYLDDGSSGMDGNNHKSSLAVVFETPAATAVRVPPPPSLEMDFLSRCYLCRKRLHGLDIFMYRGDMAFCSEECRCHQILNDEIKEKCGPETRNPFACSESPCSTPFLFAAGVAAA >DRNTG_11001.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18827176:18829089:-1 gene:DRNTG_11001 transcript:DRNTG_11001.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSETYTCVTSHVGGNSVKKRVYLDDGSSGMDGNNHKSSLAVVFETPAATAVRVPPPPSLEMDFLSRCYLCRKRLHGLDIFMYRGDMAFCSEECRCHQILNDEIKEKCGPETRNPFACSESPCSTPFLFAAGVAAA >DRNTG_11001.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18827176:18828929:-1 gene:DRNTG_11001 transcript:DRNTG_11001.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSETYTCVTSHVGGNSVKKRVYLDDGSSGMDGNNHKSSLAVVFETPAATAVRVPPPPSLEMDFLSRCYLCRKRLHGLDIFMYRGDMAFCSEECRCHQILNDEIKEKCGPETRNPFACSESPCSTPFLFAAGVAAA >DRNTG_16463.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000818.1:5167:8684:1 gene:DRNTG_16463 transcript:DRNTG_16463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAMTDLLFSCLTQPQTGKIDLSSFNQGGGPLPCSSGSSTPFFFHYRLLDSDRPMPPLFLNEMDSTYAWVVFSRRSRQSSHSQGSRGGSGHFEIFASQAYACVKRQELDATSAKMHFHRPRR >DRNTG_04467.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19314639:19315985:-1 gene:DRNTG_04467 transcript:DRNTG_04467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLIEERLPGSLLSNAEVNTKESLMGVSLRNGHGRRSIGITMFINGEGGSNHSLQATNEESIPSNSMVEVGDHQKKEATSLTSRF >DRNTG_04301.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14461547:14462933:1 gene:DRNTG_04301 transcript:DRNTG_04301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFERYSADLSIRAKVDAILDWHHSN >DRNTG_23350.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6002801:6006384:-1 gene:DRNTG_23350 transcript:DRNTG_23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan endotransglucosylase/hydrolase protein 33 [Source:Projected from Arabidopsis thaliana (AT1G10550) UniProtKB/Swiss-Prot;Acc:Q8LC45] MTMSLKYNFFLLILISFCFSITTHSLQHDLTPPNNLQHLTNLFPHLSFNKSFSYFFGSQNIQILDNGSYINLILNKVSGSGFKSLDMYYYGFFSASIKLPSTYSAGVVVAFYMSNSEVYPKKHDEIDIELLGHEKRKEWVLQTNVYGDGSVSTGREEKFYLWFDPSQQFHEYTIIWNPHHIVFMVDNIPVREVNHSEAMSTAYPSKPMSMYSTIWDGSDWATHGGKKPVNYNFAPFIASFKEMEMEGCVWNQSNSSNYNCSNNNGDHSVPMGINPIEGEQFVKLSEEQKMGMKWVRDKFMFYSYCKDLNRFKILPPECK >DRNTG_35147.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1257076:1258766:-1 gene:DRNTG_35147 transcript:DRNTG_35147.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMVEDHSSMYLIDSKMLLSVPFYLAFVLGIMLELCTMPCLRMCGYILQVSKWLLSKGQMLTMGTYDTLLLAFDMDGRLDETEIIWNMILQTHSRSISKRLFSRIISLYEHHHMPEKILEVFTDMEELGVRPDDDTVYRVGRAFEISGQSHKKKMLMKKYRKRWRYLYFNGERVRVPADEESL >DRNTG_35147.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1257076:1258766:-1 gene:DRNTG_35147 transcript:DRNTG_35147.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMVEDHSSMYLIDSKMLLSVPFYLAFVLGIMLELCTMPCLRMCGYILQVSKWLLSKGQMLTMGTYDTLLLAFDMDGRLDETEIIWNMILQTHSRSISKRLFSRIISLYEHHHMPEKILEVFTDMEELGVRPDDDTVYRVGRAFEISGQSHKKKMLMKKYRKRWRYLYFNGERVRVPADEESL >DRNTG_35147.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1257076:1258766:-1 gene:DRNTG_35147 transcript:DRNTG_35147.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMGTYDTLLLAFDMDGRLDETEIIWNMILQTHSRSISKRLFSRIISLYEHHHMPEKILEVFTDMEELGVRPDDDTVYRVGRAFEISGQSHKKKMLMKKYRKRWRYLYFNGERVRVPADEESL >DRNTG_18155.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:672006:674754:-1 gene:DRNTG_18155 transcript:DRNTG_18155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSWADEVEREEEEAKVKRKANPFGDARPREVVLEEKGVDWRKLDLERDLHSILRKDEKLSKVNAHIRTASINKREQFPLAQRCEIKNTKHVSHANAKSCVQPGPPNTFVPPLKYPPKNIATLMQQIRKMDHNSKSNEYKPRNRYASKYPIPGQNAKDAKKMVLECKDMVRGQRRVVLVDLNQHNNTEARRDTFDTFVMDHRRKNFKCVSHSTSSVADKNGKEFIEDTCDTFGSVIHSTTSLADKVVKDSETMCSKKRGIHSASSVSVLRKRREGKHARRR >DRNTG_34457.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18267422:18273927:-1 gene:DRNTG_34457 transcript:DRNTG_34457.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLEPNLTTDAADSVCEEGEIYVAQCSDGVNMRQKEVLAECSDSATEMCSEEVMVPSESCADSSLAVETLPSFQQNPGVILLPYKEIEATAEETNREASCSLKPENFNCVDNLAKETSIQSTSVAGCEQTEEVFDGLGDLFNEPEVTSDIRTETGMDEDVEMTFGTGNSTESNQDEIDNSDSPVSVISCLALFTKPEILSDEHAWHCEHCSESLQGQKVVRNNCKRRAVASLAEPSKSQVNRAQVSNKRTSSSGEANCLDPAERHALDNGKVTSTSEDAVSHHERLEPNINVNITTEPHYLVAGSDSLTCKFVKAENEKSLVLLDPASDDQAQCPDVTMNDEGLNKLGHVSNYTSHAFAHEKVTLYSGYHENGSCSINDSNCVKCDGHDNATVSSSSPEHTQTATQSACENDHFGEVDQMAKKCAQSMVEKCSEDGSRDDDVKHESKKVKRDATKRFMIHRCPQILTIHLKRFSQDARGRLSKLRGHIPFQETLDLKPYMDPRSDKTEKCSYRLVGVVEHSGGMSGGHYVAYVRGERSKGKDLKSSGPAWHYASDAYIRGVSLNEVLQSDAYILFYERVEN >DRNTG_34457.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18267422:18273730:-1 gene:DRNTG_34457 transcript:DRNTG_34457.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLEPNLTTDAADSVCEEGEIYVAQCSDGVNMRQKEVLAECSDSATEMCSEEVMVPSESCADSSLAVETLPSFQQNPGVILLPYKEIEATAEETNREASCSLKPENFNCVDNLAKETSIQSTSVAGCEQTEEVFDGLGDLFNEPEVTSDIRTETGMDEDVEMTFGTGNSTESNQDEIDNSDSPVSVISCLALFTKPEILSDEHAWHCEHCSESLQGQKVVRNNCKRRAVASLAEPSKSQVNRAQVSNKRTSSSGEANCLDPAERHALDNGKVTSTSEDAVSHHERLEPNINVNITTEPHYLVAGSDSLTCKFVKAENEKSLVLLDPASDDQAQCPDVTMNDEGLNKLGHVSNYTSHAFAHEKVTLYSGYHENGSCSINDSNCVKCDGHDNATVSSSSPEHTQTATQSACENDHFGEVDQMAKKCAQSMVEKCSEDGSRDDDVKHESKKVKRDATKRFMIHRCPQILTIHLKRFSQDARGRLSKLRGHIPFQETLDLKPYMDPRSDKTEKCSYRLVGVVEHSGGMSGGHYVAYVRGERSKGKDLKSSGPAWHYASDAYIRGVSLNEVLQSDAYILFYERVEN >DRNTG_03834.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23457225:23458638:1 gene:DRNTG_03834 transcript:DRNTG_03834.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSRGSRQILERDVASSFVLRPRTPQPNSTSPAPPSPIPAPVDPPYVSPPPAVAKQPTERDTGI >DRNTG_25627.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21955709:21959102:1 gene:DRNTG_25627 transcript:DRNTG_25627.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGNVDGIDWEYSGNCEQALLYGSLCCRPKNISNNIAKLVKHSGRYVFINPEKDILYSVQGFHRHAYQHLKLVSGQKQGILQLFPRFQLITQEEKLITTGGSDKLDTVSTTSMKLCKDSSARSSHLHFPYSLESLLQLVADQNKSVVLAVSGDNYKDMLMNWVCRLRHLLVTNFIVCALDIETYKFSILQGLPVFMDTLAPSNISFDDCHFGTECFQRVTKVKSRIVLQILKLGYNVLLSDVDVYWFENPLPFLYSFGSATLVAQSDEYNETVPINLPRRLNSGFYFVNSDPSTIAAMEKVVKHASISDLSEQPSFYDVLCGEGGLYRIGDNQCLEPSTNLTVHFLDRNRFPNGAYKGLWEKHNVTSACVKQGCIVLHNNWISGRKRKLERQVRSGLWVYDTSSRMCVQSWQRVHFTSYF >DRNTG_25627.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21958193:21959102:1 gene:DRNTG_25627 transcript:DRNTG_25627.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVVKHASISDLSEQPSFYDVLCGEGGLYRIGDNQCLEPSTNLTVHFLDRNRFPNGAYKGLWEKHNVTSACVKQGCIVLHNNWISGRKRKLERQVRSGLWVYDTSSRMCVQSWQRVHFTSYF >DRNTG_25627.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21953675:21959102:1 gene:DRNTG_25627 transcript:DRNTG_25627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGNVDGIDWEYSGNCEQALLYGSLCCRPKNISNNIAKLVKHSGRYVFINPEKDILYSVQGFHRHAYQHLKLVSGQKQGILQLFPRFQLITQEEKLITTGGSDKLDTVSTTSMKLCKDSSARSSHLHFPYSLESLLQLVADQNKSVVLAVSGDNYKDMLMNWVCRLRHLLVTNFIVCALDIETYKFSILQGLPVFMDTLAPSNISFDDCHFGTECFQRVTKVKSRIVLQILKLGYNVLLSDVDVYWFENPLPFLYSFGSATLVAQSDEYNETVPINLPRRLNSGFYFVNSDPSTIAAMEKVVKHASISDLSEQPSFYDVLCGEGGLYRIGDNQCLEPSTNLTVHFLDRNRFPNGAYKGLWEKHNVTSACVKQGCIVLHNNWISGRKRKLERQVRSGLWVYDTSSRMCVQSWQRVHFTSYF >DRNTG_05496.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30252283:30254468:-1 gene:DRNTG_05496 transcript:DRNTG_05496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTSKWIGSLIGLKKPEKYHNMGRTGEWKRWKLWRSSSPTSDTASDATSVTTVAEVARAPMRDFKEWAAIKIQTAFRGFLARRALRALKGVVRLQALVRGQQVRKQAAVTLRCMQALVRVQARVRARHARMSAEGQAVLEMLQARHNSMDDALKQAEEGWCDSQGTLEEVREKLEKRNEGAKKRERTMAYALSQQQRRASKTCTSVRNEVEENYWGWNWLERWMAAKPWENRLTEKSSSQIDQSGDMFCLKNLDFETPCSVNIKKNNVSTRISAIPSSMDEESVASMSKFNRRPNYMNCTKSMKAKQRTRSRQCSMDLSFSGLVSSAKLLNGMQWNEKLTRSLNN >DRNTG_05496.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30252283:30253923:-1 gene:DRNTG_05496 transcript:DRNTG_05496.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQALVRVQARVRARHARMSAEGQAVLEMLQARHNSMDDALKQAEEGWCDSQGTLEEVREKLEKRNEGAKKRERTMAYALSQQQRRASKTCTSVRNEVEENYWGWNWLERWMAAKPWENRLTEKSSSQIDQSGDMFCLKNLDFETPCSVNIKKNNVSTRISAIPSSMDEESVASMSKFNRRPNYMNCTKSMKAKQRTRSRQCSMDLSFSGLVSSAKLLNGMQWNEKLTRSLNN >DRNTG_18361.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:17343970:17396115:1 gene:DRNTG_18361 transcript:DRNTG_18361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRGVEILRFLPRPCMVHKVTHKGESMPLCALGKIRPTLQEFTRRCGNYPRPCNGRMVIHRGSR >DRNTG_17171.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14755987:14806401:-1 gene:DRNTG_17171 transcript:DRNTG_17171.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPNFGLGYLPFHKQHPCCSSGHFLQSEFVELSDSPIAYDWWKAQFCNAPSNLGLVEQSVQEFFTQYMEGEEAPPMETYKSSPIQVEPENVLNGAVRVVQHDKEDWERPESHNLSETDLPKGSDSESSDLEEAYAIEDSADSGDSSGEESRISEHIDFGKYGRLKSSIEEPPELELKPLPEYLEKPPR >DRNTG_07383.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20575200:20580474:-1 gene:DRNTG_07383 transcript:DRNTG_07383.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLTGYWPSNSRVCGFWFLPMEWQFSCDKCREIIFSNPSSYKLCVNHADLQNFILEPSGPCKPIFVGLSSIGSMGYLTNPRAFLLVLKAVVEITNHKIVLFSAGYEPLDALIRSLTGSSSEQEQLKGSEDGISLFNNRLFCFSGCIPYSWIFPKCAVAIHHGGSGSTAAALHAGIPQILCPFLLDQFYWAERLCWLGVAPTPLQKQHLVPDSDDATKHSACCGCPIQGRHNCLIP >DRNTG_07383.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20575200:20580474:-1 gene:DRNTG_07383 transcript:DRNTG_07383.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESRRARALFMAFGTKGDVLPIAAIAAALARDQLQYQVLLITHLAHRSISDRLEGIGVSFVPISSPPVVPAAVLDDSESLSFSTRKRVIRDEHRKECLLCDGESFWRWPKLGGKDGAWLNCFKFAVLLLLLMLFLIVPHHHLSTDSDRNFHFSTSIFKKLLLTRFAGMM >DRNTG_07383.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20575200:20580474:-1 gene:DRNTG_07383 transcript:DRNTG_07383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRVCLSLRARGSFGMNIGKSVCFAMERVFGDGPSLEGDFIVINLFALEGWSLAELFQVRCVVAAPYVVPYSAPSSFEHRFRQELPLLYKYFQEAPANKVCWNDVMHWMWPLFTEEWGSWRSDCLNLSPFPFTDPVTNLPMWHVREESPLLLYGFSKEIVECPGTVWNCLLLLIFNLFLINLCRSTSLLLILLSDNMNLMHCHKY >DRNTG_07383.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20575200:20580474:-1 gene:DRNTG_07383 transcript:DRNTG_07383.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWHVREESPLLLYGFSKEIVECPGYWPSNSRVCGFWFLPMEWQFSCDKCREIIFSNPSSYKLCVNHADLQNFILEPSGPCKPIFVGLSSIGSMGYLTNPRAFLLVLKAVVEITNHKIVLFSAGYEPLDALIRSLTGSSSEQEQLKGSEDGISLFNNRLFCFSGCIPYSWIFPKCAVAIHHGGSGSTAAALHAGIPQILCPFLLDQFYWAERLCWLGVAPTPLQKQHLVPDSDDATKHSACCGCPIQGRHNCLIP >DRNTG_07383.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20575200:20580474:-1 gene:DRNTG_07383 transcript:DRNTG_07383.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILRVCLSLRARGSFGMNIGKSVCFAMERVFGDGPSLEGDFIVINLFALEGWSLAELFQVRCVVAAPYVVPYSAPSSFEHRFRQELPLLYKYFQEAPANKVCWNDVMHWMWPLFTEEWGSWRSDCLNLSPFPFTDPVTNLPMWHVREESPLLLYGFSKEIVECPGYWPSNSRVCGFWFLPMEWQFSCDKCREIIFSNPSSYKLCVNHADLQNFILEPSGPCKPIFVGLSSIGSMGYLTNPRAFLLVLKAVVEITNHKIVLFSAGYEPLDALIRSLTGSSSEQEQLKGSEDGISLFNNRLFCFSGCIPYSWIFPKCAVAIHHGGSGSTAAALHAGIPQILCPFLLDQFYWAERLCWLGVAPTPLQKQHLVPDSDDATKHSACCGCPIQGRHNCLIP >DRNTG_07383.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20575200:20580474:-1 gene:DRNTG_07383 transcript:DRNTG_07383.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLTNPRAFLLVLKAVVEITNHKIVLFSAGYEPLDALIRSLTGSSSEQEQLKGSEDGISLFNNRLFCFSGCIPYSWIFPKCAVAIHHGGSGSTAAALHAGIPQILCPFLLDQFYWAERLCWLGVAPTPLQKQHLVPDSDDATKHSACCGCPIQGRHNCLIP >DRNTG_07383.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20575200:20580294:-1 gene:DRNTG_07383 transcript:DRNTG_07383.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLTNPRAFLLVLKAVVEITNHKIVLFSAGYEPLDALIRSLTGSSSEQEQLKGSEDGISLFNNRLFCFSGCIPYSWIFPKCAVAIHHGGSGSTAAALHAGIPQILCPFLLDQFYWAERLCWLGVAPTPLQKQHLVPDSDDATKHSACCGCPIQGRHNCLIP >DRNTG_07383.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20579915:20580474:-1 gene:DRNTG_07383 transcript:DRNTG_07383.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESRRARALFMAFGTKGDVLPIAAIAAALARDQLQYQVLLITHLAHRSISDRLEGIGVSFVPISSPPVVPAAVLDDSESLSFSTRKRVIRDEHRKECLLCDGESFWRWPKLGG >DRNTG_06319.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6944651:6946102:-1 gene:DRNTG_06319 transcript:DRNTG_06319.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSETSPLDQTHSSLKKQKNSSSSSSSSHCLTLLITLATLSIQLLLLLLHSSRRSTEPLPQDCNSGLVYVYDLPPYFNKDLIDDCDNLTPWRSLCYQLSNSGFGPKTTDLAGTIPDHLLSSWHTTDQFAAELIYHYRILSHPCRTTNPSHATAFYIPFYAGLAVGKHLWSPNSTAQDRDRDCSLLLNWLAKQESWNQSNGQDHFIVFGRITWDFRRAKDSDWGSSFLFMPAMRHVTRLLLERSPWDKRDVGIPYPTGFHPTTPGEVRDWQSFVLSRNRSTLFSFAGSARAKFTDDFRGLLMKECEQAEEQCRSVSCMADGQCIGGGGGSALSLFLNSAFCLQPRGDSFTRRSMFDCIVAGAIPVMFWRRSAYEQYEWYLPMGEEKEKEWSVFVDRRKVRRGVVRVKEVLEGIEEEKVRRMREKVVELIPKLVYSAGSGYMEDAVDVALNGLFRRVEERRRSRSREEGKPGGGGTFAWFGAA >DRNTG_01150.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000071.1:53953:54361:1 gene:DRNTG_01150 transcript:DRNTG_01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIKIPPSVATFNALLKALCSSGSLDDAVGVFR >DRNTG_06402.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7517717:7523593:-1 gene:DRNTG_06402 transcript:DRNTG_06402.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NBR1 homolog [Source:Projected from Arabidopsis thaliana (AT4G24690) UniProtKB/Swiss-Prot;Acc:Q9SB64] MSYQMNSPFLFPPLQPQGMEILANDWNLVIKVNYHDTLKRFGAHVSGKRLDHDMTRLKTKIIDLFKFGPDDNFTLTYFDEDGDTVTLDTDDELHDAAISQRLNPLRINVIMKSHADGKSEPASSTPSNSPNIQTKQLQANVITEALNSLPEPLRSTLSKLSEDLLSKATSSSPAVAEFVEYFSKLGLSNVNQFSQGTSGESSGADVNASKIDKPSNDSPAGHAFSSPPNAVSHITESSHGKHGSGNVEKGAGAKLSTSADINRDNVPQNQHALGDPFVDDLLSSIWTSANMEPGREPGQVLYDGKSAGGSQPAIPAARILEPTSSSDRLRTLEPSFQPANVASKGFIGGANSQFHPGTTSTTPVGLNPCPNRINIPSHGFLPSWLNPKAHPYRRGHSYIDSAFRTFHRGVQCDNCGMHPIMGPRYKSNVKEDYDLCSICFAQIGNDADYTKIDRVPYRSPRLFKESYNPHSRSRSSSHGFHGCGSRSSRSKLDSRFIQDVTVLDGTIMSPSTPFTKIWRLRNNGTIPWFVGTQLVWIGGDRLGNQTSVELEIPGEGYPVDAELDVAVDFTAPSSPGRYISYWRMASPSGQKFGQRVWLLIQVDNSRPSSSSSYHADINLNLPPENNYGNGARVNIDVNAHPADAVSAGPDVTNMNTVEDFAPFFIQIPVQNVEPAPAAAELLGTSDEPTQPMPKASSPISYPIIEPPPQPMPKTPSPISYPIIEFPSPSSSPPPVHVGSSSKLDADDNPVENTLLKELEEMGFKQIDLNKEVLRLNEYDLEQSVDDLCGFSEWDPLLKELKFMGFSDAETNRKLLIKNGGSIKRVVLDLISEEKAE >DRNTG_35426.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20328766:20332932:1 gene:DRNTG_35426 transcript:DRNTG_35426.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEDSQVRLKRRMGGHETGEGTLVMILGTLLQAWRNGRMKSSAHRVLLKMKKKKVRRMTLAFFWCFEDEKVIMAPSDVVGEGKEWIYRPFVCKEYVEFRQSSVQEV >DRNTG_14642.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000731.1:20244:22143:-1 gene:DRNTG_14642 transcript:DRNTG_14642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLPLWSSLNEIMAPRSKKQADQRLCESSSESEDMSFTIPEHRGDEFADEVEDLVSIGWLEALLTIREPDHTRARYWRSCHHLISIDPIRALTRLMPFGSEYLDTTIA >DRNTG_13098.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10172684:10179891:1 gene:DRNTG_13098 transcript:DRNTG_13098.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTPASEQRISRQLSSVLSSAVLEWFLILLLFIHALFSYLVTIFARSCKLKTPCLFCSRLDHILGNEKSGFYMRLICNSHKSEISSWAFCHVHQKFADVHEMCDGCLLSPATKKSSCTGTYKKLINKLGVGLDDKEDTYLASHPVTDGDHSHSKSHGEDGVQVPLLKKDTASAKTRWCSCCSEPFTDSPHSLLKSNLFGSEVPGISLSSSAGCSQPTHRSDPIKSREMPLGNGKIYNTENDGFARHPHVGYSELKISSDTESEALLSDDDDRNALIHPNEVPEEASCQTQLVTVDANPNNSTVTLSVDITTEKLIQPDPALLEPLISVTEPHHLNEHREISSPLSGSTVHDLDEISWSRVEVTEKPLVTSGSVAQTVPVEVSHAKSTFVPALSSGEFSKAVNSNELNSGKSQILNNLSPTLHVPMDLNDAYKLAVGGKGSLPSPSFTEIITGRDSTRVHEDLKVLLSQISASRGFEFPWNDVSPSPRLQGLGDESIILQNITKRLSIERNESGVESLDGSIVSEIEGESPIDRLKRQIELDRKSMSMLYKELEEERNASAIAANQAMAMITRLQEEKAGMQMEALQYQRLMEEQAEYDQEALQKTNELLTQREKELQDLESELECYRKRFSGEPLSDKFMETSSHLEADEKSLTNNALLEESTHHKKSIRDIMVSLDPCSTLSDPLKDPLLDFEDEKIHITECLKKLERKLQLFSSGSHAYEDDACDEKCELVNGLNHEQENIVSKDNTSNGHYSVEETGSCDPDYPQWDDMAPNGAHVMDNVHVKRSASQFSSKENHKETLLLCDVVQDAGCFPLAGEESGSAALRTEILQLNERLMELEADRNFLEHAINSVRNGNDGVQFIREIACYLRDLRKTGITTRDHSVS >DRNTG_13098.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10172684:10179891:1 gene:DRNTG_13098 transcript:DRNTG_13098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTPASEQRISRQLSSVLSSAVLEWFLILLLFIHALFSYLVTIFARSCKLKTPCLFCSRLDHILGNEKSGFYMRLICNSHKSEISSWAFCHVHQKFADVHEMCDGCLLSPATKKSSCTGTYKKLINKLGVGLDDKEDTYLASHPVTDGDHSHSKSHGEDGVQVPLLKKDTASAKTRWCSCCSEPFTDSPHSLLKSNLFGSEVPGISLSSSAGCSQPTHRSDPIKSREMPLGNGKIYNTENDGFARHPHVGYSELKISSDTESEALLSDDDDRNALIHPNEVPEEASCQTQLVTVDANPNNSTVTLSVDITTEKLIQPDPALLEPLISVTEPHHLNEHREISSPLSGSTVHDLDEISWSRVEVTEKPLVTSGSVAQTVPVEVSHAKSTFVPALSSGEFSKAVNSNELNSGKSQILNNLSPTLHVPMDLNDAYKLAVGGKGSLPSPSFTEIITGRDSTRVHEDLKVLLSQISASRGFEFPWNDVSPSPRLQGLGDESIILQNITKRLSIERNESGVESLDGSIVSEIEGESPIDRLKRQIELDRKSMSMLYKELEEERNASAIAANQAMAMITRLQEEKAGMQMEALQYQRLMEEQAEYDQEALQKTNELLTQREKELQDLESELECYRKRFSGEPLSDKFMETSSHLEADEKSLTNNALLEESTHHKKSIRDIMVSLDPCSTLSDPLKDPLLDFEDEKIHITECLKKLERKLQLFSSGSHAYEDDACDEKCELVNGLNHEQENIVSKDNTSNGHYSVEETGSCDPDYPQWDDMAPNGAHVMDNVHVKRSASQFSSKENHKETLLLCDVVQDAGCFPLAGEESGSAALRTEILQLNERLMELEADRNFLEHAINSVRNGNDGVQFIREIACYLRDLRKTGITTRDHSVS >DRNTG_15608.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10317786:10320627:-1 gene:DRNTG_15608 transcript:DRNTG_15608.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLHSSKKSSSPYNTRSLSSEPSTSRIGGSSTTTTTTTTTGILSNVSSSTFGQSTGSGVSLDEAFPEGRILDLPNLRIFTFSELRNATKNFKPEMVLGEGGFGTVFKGWVDEKTLNPVKSGQGMVVAVKKLNSESLQGLEEWKSEVNFLGRLSHPNLVKLLGYCLEEKELLLVYEFMPKGSLENHLFRRGATFDPLSWNLRLKIAIGAARGLAFLHSSERQIIYRDLKASNILLDMNYNPKLSDFGLAKNGPSAGDSHVTTRVMGTYGYAAPEYVATGM >DRNTG_15608.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10317786:10320627:-1 gene:DRNTG_15608 transcript:DRNTG_15608.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLHSSKKSSSPYNTRSLSSEPSTSRIGGSSTTTTTTTTTGILSNVSSSTFGQSTGSGVSLDEAFPEGRILDLPNLRIFTFSELRNATKNFKPEMVLGEGGFGTVFKGWVDEKTLNPVKSGQGMVVAVKKLNSESLQGLEEWKSEVNFLGRLSHPNLVKLLGYCLEEKELLLVYEFMPKGSLENHLFRRGATFDPLSWNLRLKIAIGAARGLAFLHSSERQIIYRDLKASNILLDMNYNPKLSDFGLAKNGPSAGDSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLELLSGQRALDPNRPAGQQNLVDYAKPSLSDRRKLARLMDPRLEGQYPSKAAFQVAQLTLRCLAGEPKNRPPMKEVADTLEQIEALKGRSKGTHGTPPPQQMHHRSPVHHRSPLHPNHNVVGQAVRSSHHAPRLR >DRNTG_27452.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12916210:12917401:-1 gene:DRNTG_27452 transcript:DRNTG_27452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSPYSICHLVISASLRVSTSERSGGLHSVTPPSSRVGAGRSFGRIPLKTVRAGLPACGSRHSRWPSPAFIRKSSSEIETARPRKLIRV >DRNTG_32668.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18507938:18508442:-1 gene:DRNTG_32668 transcript:DRNTG_32668.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPKEFYLRKKKLLSESQASVFNRLTLPKKQVYRKLKGAIITEGSEHPSEPEHSLTRLRKKTTTGTSSLPEDDLNQIMQEREKAMSQEGFPISPRRDNNSSDKEDVNLVFISNRDPVFAKIKKLLYQYEEEHISMM >DRNTG_05111.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2109271:2110363:-1 gene:DRNTG_05111 transcript:DRNTG_05111.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVESLMMMVASIVPDFLMGIITGAGIQGVMMLNGGFFRLPNDLPKPVWKYPMYYIAFHKYANQGFYKNEFLGLSFPNGKVGGVISGDEILRDVWEVEMGYSKWVDLGVLFGMVVLYRVLFLAIIKFGEKVKPKVKMVLARFASNSTRVIDPPLSSF >DRNTG_05111.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2109271:2113617:-1 gene:DRNTG_05111 transcript:DRNTG_05111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITQKKERAETTIKEMGLKDAADTRIGGWMSKGISGGQKRRVSICMEILTRPKLLFLDEPTSGLDSAASFHVMSRIAGLAQREGITVLTAIHQPSSEVFALFHNLCLLSGGKTVYFGPAYMTNEFFERSGFPCPSQRNPSDHFLRTINKDFDEEIQEVQDDEITQTTTTSAAKATEILVKSYNSSNTFQEVTQRIALINEKGNGELIKRRSQASFLTQSFVLIRRSFVNMYRDLGYYWLRFAIYIALCLCVGTIYHDIGHDYGSIQARGSMLMFVAAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVLTFVIGNTISSIPYLAIISIIPGPIAYYLVGLQNSFQHFAYFSLVLFTCMMLVESLMMMVASIVPDFLMGIITGAGIQGVMMLNGGFFRLPNDLPKPVWKYPMYYIAFHKYANQGFYKNEFLGLSFPNGKVGGVISGDEILRDVWEVEMGYSKWVDLGVLFGMVVLYRVLFLAIIKFGEKVKPKVKMVLARFASNSTRVIDPPLSSF >DRNTG_16710.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17862900:17863388:-1 gene:DRNTG_16710 transcript:DRNTG_16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQASEKESSTRLPSRPIAKPINLVLWTVGIRWD >DRNTG_06690.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3353277:3366067:1 gene:DRNTG_06690 transcript:DRNTG_06690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMNVVHISHEDQDNVFAMLAAVLWLGNISFTVIDNENHVEVVADEGAHTVAKLIGCDISDLKVALATRKMKVGKDIIVQKLTLSQAIDTRDALAKSIYASLFEWLVDQINKSLEVGKRRTGRSISILDIYGFESFNKNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVEFEDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDLTFANKLKQHLNANTCFRGERGKAFSISHYAGEVVYDTSGFLEKNRDLLHMDSIQLLASCKCPLPQMFACKMLVHTENPVGNQYRSSGADSQKLSVATKFKGQLFQLMQRLESTTPHFIRCIKPNNSQLPATYEQALVLQQLRCCGVLEVVRISRSGFPTRMSHQKFAKRYGFLLLENVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGSLEDTRNRTLHGILRVQSCFRGHKSRCYAKERRKAIVTLQAFIRGEKTRRLYSAMVEKHRAAAVLQRHIKCQIARRKFVDVRNASVVIQSVIRGFLVRKCSGDVDLLNSTMKLEGTKGDQVVVKASVLAELQRRILKAEAALREKEEENDILHQRLQQYENRWSEYEQKMRSMEEVWQKQMRSLQSSLSIAKKSLAIDDADRRSDASVEQSWDSSGGNQNNGNHSGLRGREENGGRSMSAGLSVINRLAEEFEQRSQVFADDAKFLVEVKSGQAEASLNPERELRRLKQLFDVWKKDFSVRLRETKVVINKLASEEANPEKVKKKWWGRLNSSKIM >DRNTG_06690.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3353277:3366067:1 gene:DRNTG_06690 transcript:DRNTG_06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGTGTPGSPSTREKAKLGLKKKSGVKTRKPVTIQSVKSLPLDFRFTSGSPAGKGGDRSESDHLAAVVEEEDVGNMDSPYSSNSGSLEVETKVGGPELEVLVDLPARSRLPAISSSRIESRWSDTSSYGAKKKVQAWCQLSNGDWALGKVESTTGTESVLSFPEGKVLKFNTESLLPANPDILDGVDDLMQLSYLHEPSVLYNLEYRYARDMIYTKAGPVLVAINPFKEVLLYGKNYIEGYRRKSMDSPHVYAIADTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILETNPILEAFGNAKTSRNDNSSRFGKLIEIHFSSTGKISGASIQTFLLEKSRVVQCAVGERSYHIFYQLCAGAPSSLREKLNLKKAVEYKYLKQSNCFSIAGVDDAERFRAVMEAMNVVHISHEDQDNVFAMLAAVLWLGNISFTVIDNENHVEVVADEGAHTVAKLIGCDISDLKVALATRKMKVGKDIIVQKLTLSQAIDTRDALAKSIYASLFEWLVDQINKSLEVGKRRTGRSISILDIYGFESFNKNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVEFEDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDLTFANKLKQHLNANTCFRGERGKAFSISHYAGEVVYDTSGFLEKNRDLLHMDSIQLLASCKCPLPQMFACKMLVHTENPVGNQYRSSGADSQKLSVATKFKGQLFQLMQRLESTTPHFIRCIKPNNSQLPATYEQALVLQQLRCCGVLEVVRISRSGFPTRMSHQKFAKRYGFLLLENVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGSLEDTRNRTLHGILRVQSCFRGHKSRCYAKERRKAIVTLQAFIRGEKTRRLYSAMVEKHRAAAVLQRHIKCQIARRKFVDVRNASVVIQSVIRGFLVRKCSGDVDLLNSTMKLEGTKGDQVVVKASVLAELQRRILKAEAALREKEEENDILHQRLQQYENRWSEYEQKMRSMEEVWQKQMRSLQSSLSIAKKSLAIDDADRRSDASVEQSWDSSGGNQNNGNHSGLRGREENGGRSMSAGLSVINRLAEEFEQRSQVFADDAKFLVEVKSGQAEASLNPERELRRLKQLFDVWKKDFSVRLRETKVVINKLASEEANPEKVKKKWWGRLNSSKIM >DRNTG_06690.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3353277:3366067:1 gene:DRNTG_06690 transcript:DRNTG_06690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGTGTPGSPSTREKAKLGLKKKSGVKTRKPVTIQSVKSLPLDFRFTSGSPAGKGGDRSESDHLAAVVEEEDVGNMDSPYSSNSGSLEVETKVGGPELEVLVDLPARSRLPAISSSRIESRWSDTSSYGAKKVQAWCQLSNGDWALGKVESTTGTESVLSFPEGKVLKFNTESLLPANPDILDGVDDLMQLSYLHEPSVLYNLEYRYARDMIYTKAGPVLVAINPFKEVLLYGKNYIEGYRRKSMDSPHVYAIADTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILETNPILEAFGNAKTSRNDNSSRFGKLIEIHFSSTGKISGASIQTCKSRVVQCAVGERSYHIFYQLCAGAPSSLREKLNLKKAVEYKYLKQSNCFSIAGVDDAERFRAVMEAMNVVHISHEDQDNVFAMLAAVLWLGNISFTVIDNENHVEVVADEGAHTVAKLIGCDISDLKVALATRKMKVGKDIIVQKLTLSQAIDTRDALAKSIYASLFEWLVDQINKSLEVGKRRTGRSISILDIYGFESFNKNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVEFEDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDLTFANKLKQHLNANTCFRGERGKAFSISHYAGEVVYDTSGFLEKNRDLLHMDSIQLLASCKCPLPQMFACKMLVHTENPVGNQYRSSGADSQKLSVATKFKGQLFQLMQRLESTTPHFIRCIKPNNSQLPATYEQALVLQQLRCCGVLEVVRISRSGFPTRMSHQKFAKRYGFLLLENVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGSLEDTRNRTLHGILRVQSCFRGHKSRCYAKERRKAIVTLQAFIRGEKTRRLYSAMVEKHRAAAVLQRHIKCQIARRKFVDVRNASVVIQSVIRGFLVRKCSGDVDLLNSTMKLEGTKGDQVVVKASVLAELQRRILKAEAALREKEEENDILHQRLQQYENRWSEYEQKMRSMEEVWQKQMRSLQSSLSIAKKSLAIDDADRRSDASVEQSWDSSGGNQNNGNHSGLRGREENGGRSMSAGLSVINRLAEEFEQRSQVFADDAKFLVEVKSGQAEASLNPERELRRLKQLFDVWKKDFSVRLRETKVVINKLASEEANPEKVKKKWWGRLNSSKIM >DRNTG_00479.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30684657:30687711:-1 gene:DRNTG_00479 transcript:DRNTG_00479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKSVSRHEFVSPARRFLSSYLRDRYGFIPPPSLVPSDPNPSSPADSGWKKKKKKKKPPYKPPSSLDLAGRKPVHSDLPFDFRFSYTESNHLTKPIGLREPKYSPFGPGALDRTWTGVCAPAVDPTVHSVDEGVPEVDEEEVKERRERILGEPLTLAERSFLIDKCQKHKTKRQVNLGRDGLTHNMLNDIHNNWKHSEAVRIKCLGVPTVDMKNVCTQLEEKTEGLIIHRHGGQIILYRGRHYNPKKRPVIPLMLWKPHEPVYPRLIKTVIDGLTVEETKEMRKRGLAIPALTKLAKNGYYASLVPMVRDAFLTDELVRIDCKGLPKSDYKKIGVKLRDLVPCVPVTFDKEQIVVWRGKNYSSATQENSEVKSFPQIAESDVDADNSNCSPDQSSDDNQFSHTSDGSEPDQSTDSE >DRNTG_18612.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22281832:22283008:1 gene:DRNTG_18612 transcript:DRNTG_18612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVGAHGMAFATAMAVSGTVILLALCRPRSLTDASTQNPQSKKLGLRPCINSSSSGESSQMMKNKQKNKKKKRVRFAEDVVVEFEAEGSSEDEFGFDCNYNHNYNYGEEEYGVAQEQEEKEEGIVEKMPANRVALYNGILQARMHRIACSY >DRNTG_14070.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8331017:8332840:1 gene:DRNTG_14070 transcript:DRNTG_14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHALQTNLRFILTSKSSNTFRAFGGGEKMATMLLLRSPTAINPRIPLLRSSRFGAPSRLSLQGMGKRRGTVCLTAPLSLQTLQWVSAVSAGVLMFAKGTVIQKSFLVPLFALQSTHWCYLMD >DRNTG_14070.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8331017:8332840:1 gene:DRNTG_14070 transcript:DRNTG_14070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHALQTNLRFILTSKSSNTFRAFGGGEKMATMLLLRSPTAINPRIPLLRSSRFGAPSRLSLQGMGKRRGTVCLTAPLSLQTLQWVSAVSAGVLMFAKGTVIQKSFLVPLFALQSTHWCYLMD >DRNTG_05101.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2000891:2002662:-1 gene:DRNTG_05101 transcript:DRNTG_05101.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRISSSAIHSPNHFVRKTKHKTSQKVAREFNEIPKIISTVMNLNQSTLSLP >DRNTG_05101.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2000891:2001868:-1 gene:DRNTG_05101 transcript:DRNTG_05101.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRISSSAIHSPNHFVRKTKHKTSQKVAREFNEIPKIISTVMNLNQSTLSLP >DRNTG_10063.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4565011:4567246:1 gene:DRNTG_10063 transcript:DRNTG_10063.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLDEQTTDDINNTIESLTQLRNEEKLSTKEGEKEAYPVEEIQDIPTSLEDTGTVVCSNGWGYMTRSHLHALISGKLMVPDSVIDVFVLMLLDSLKKFPHEFNRPATICRPMAFALSQQEYSIDGLDKMMSPALEDYARVKLVLIPVALNKHYHLIVLEKDKKEYFHYPSVHSPVYDQEANAMREDSVNYLVYLMHFIEQLLHGEELSVLHSDVAHLQLEYDVRILLDGITR >DRNTG_21482.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2792919:2799359:-1 gene:DRNTG_21482 transcript:DRNTG_21482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFSVLVILASLYSAVFSDIQGDALYDLKLKLNATANQLTDWNQNQVNPCTWNSVICDSNNNVIQVTLSSMGFTGVLSPRVGELNYLNVLSLPGNTITGGIPEQFGNLSSLTNLDLESNRLSGEIPPSLGKLTKLQILILSGNNLSGNIPNSLSNLTSLNDIRLADNNLTGQIPNRLFQVTRYNFTGNNLNCGASFQYPCVSKMSDQGESNHSKIGLVLGIIGGVIGLLIISVIFIICKGKKKGCRPEIFVDVAGEDDRRIAFGQLKRFAWRELQIATDSFSEKNILGQGGFGKVYKGVLGDNTKIAVKRLTDYESPGGESAFLREVEMISVAVHRNLLRLIGFCTTPSERLLVYPFMQNLSVAYRLRDFKLGEPVLDWLTRKRIALGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKRLDAIVDCNLNKNYDAQELEMMIQVALLCTQASPEDRPTMSEVVRMLEGEGLAERWEEWQQVEVARRQEYERMQMRFDWGEDSIYNQDAIELSGGR >DRNTG_30907.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10131346:10133724:1 gene:DRNTG_30907 transcript:DRNTG_30907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFLWSSLVFSKGPLFRRRAHLTESMPTKAPPIIFFQMKRGVESVELLQRLDKAYQNTSRRPLKRWRQVGEKAKSTRVSGSTGVGMGDGGVGDAKGVRGLISNGDVSCHSNNCSRMARRDITSTWFVGPVSTRTSVKSL >DRNTG_08684.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4902479:4904349:1 gene:DRNTG_08684 transcript:DRNTG_08684.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELWTSMGFLMGIFALAQSILHSFLPPEFRLSPDKLSRRLFRYFSSYCYYDITELDGVSPNELYNAVQLYLSNTASVSATRVSLTRPPNSSTFTFNLSNNDYLHDTFNGAAVSWEHHVTQRQSQSFSWRPVSDEKRGFTLKINKKDKPFIIPAYFNYIMDSAAELRRKNKDRLLYTNSRGGGSIDSGGRGWESVPFKHPSTFETLAMDPALKDEIIADLREFSEGKAFYERTGRAWKRGYLLHGPPGTGKSSMIAAMANHLGYDIYDLELTEVQTNSNLRKLLMKTTSKSIIVIEDIDCSLELTNRSSGEKKEKPPAQKPLPSGAAESGEEAQVRSVTLSGLLNFTDGLWSCCGSERIFVFTTNHAERLDPALLRSGRMDMHIFMGYCSFPALKILVKNYLGEREEEEEEEERVWMELEEVIVKVEITPADVSEVLIKNRRRGRRVALEELVKVVKGRVEERKVVDGGEEVVEEEKRALESPKDDGDGGTEMNVDDDAKEGKELTV >DRNTG_31360.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28398057:28402469:-1 gene:DRNTG_31360 transcript:DRNTG_31360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHQGCVNALAWNSKGSLLISGSDDTCLNIWSYTNGESCAKY >DRNTG_31360.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28398057:28401836:-1 gene:DRNTG_31360 transcript:DRNTG_31360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVQSIDTGHNANIFCTKFVPETSDELVVSGAADAEVRLFNLSRVIGDAVEPSGVFQCHSRRVKKLAVEVGNPNVVWSASEDGTLRQYDFREGVSCPPVGSTNQECRHVLLDLRCGAKKSLADPPGHCLHLKSCDISSTRPHQILVGGSDAFGRLYDRRMLPPLSSSQTKMKLPPCVTYFCPVHLAERVSLPFSSGIPYLEGQV >DRNTG_31360.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28398057:28402469:-1 gene:DRNTG_31360 transcript:DRNTG_31360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHQGCVNALAWNSKGSLLISGSDDTCLNIWSYTNGESCAKY >DRNTG_01094.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000064.1:67257:74502:1 gene:DRNTG_01094 transcript:DRNTG_01094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGPGSGLGNRVKWSGYHAITDLAQAINFTVSLFIDANVWLPSTGVKYTAGLTF >DRNTG_01094.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000064.1:67257:69781:1 gene:DRNTG_01094 transcript:DRNTG_01094.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHPSLTSPFARAFQSGESCGPGK >DRNTG_01094.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000064.1:67257:74502:1 gene:DRNTG_01094 transcript:DRNTG_01094.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGPGSGLGNRVKWSGYHAITDLAQAINFTVSLFIDANVWLPSTGVKYTAGLTF >DRNTG_01094.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000064.1:69738:74502:1 gene:DRNTG_01094 transcript:DRNTG_01094.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGPGSGLGNRVKWSGYHAITDLAQAINFTVSLFIDANVWLPSTGVKYTAGLTF >DRNTG_01094.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000064.1:73579:74502:1 gene:DRNTG_01094 transcript:DRNTG_01094.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGPGSGLGNRVKWSGYHAITDLAQAINFTVSLFIDANVWLPSTGVKYTAGLTF >DRNTG_01094.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000064.1:67257:74502:1 gene:DRNTG_01094 transcript:DRNTG_01094.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGPGSGLGNRVKWSGYHAITDLAQAINFTVSLFIDANVWLPSTGVKYTAGLTF >DRNTG_15839.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25114354:25114813:-1 gene:DRNTG_15839 transcript:DRNTG_15839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKISGVKTRELLLWLSVVEMYIEDPSFGKITFKTGTGLSDSFPVSAFELE >DRNTG_09279.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5531225:5531874:-1 gene:DRNTG_09279 transcript:DRNTG_09279.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKAADPEAQGGGGDGDDLRVGLLKSNGDGNKLVIDEMLRRHAGEMGRWQMRHFLLTTLAWSLEAFHTMVMIFADREPAWRCSGLGFCPPDPCGLGPGDWGWIDGSGVSTVAEWGLVCGEKYKVGLVQSAFFLGCMIGTLSS >DRNTG_09279.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5527948:5528476:-1 gene:DRNTG_09279 transcript:DRNTG_09279.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFLLTALLLNWLGRKPLCASTMFLSGVSCVIGSLLSNSGTMKVPRLVCGLIGIFGMAATYNLLFIYTSELFPTVVRSAALGRCLTSCTDGCHTCSYGGGFRGHDSICGIWVLWSTWWFACVSLAGDHE >DRNTG_09279.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5527948:5531874:-1 gene:DRNTG_09279 transcript:DRNTG_09279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKAADPEAQGGGGDGDDLRVGLLKSNGDGNKLVIDEMLRRHAGEMGRWQMRHFLLTTLAWSLEAFHTMVMIFADREPAWRCSGLGFCPPDPCGLGPGDWGWIDGSGVSTVAEWGLVCGEKYKVGLVQSAFFLGCMIGAGLFGHLSDSFLGHKGSLTAVCILNTIFGLLTSMSPNYWVYFILRLLTGFSTGGVGLCAFVLATEPIRAFQTCHCRHVNLLLLLWRHCPTLRHSLYLQIMAHSLYSHISSIPSLPLLPSFPSYQNHQGGILFAGG >DRNTG_09279.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5527845:5529081:-1 gene:DRNTG_09279 transcript:DRNTG_09279.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFLLTALLLNWLGRKPLCASTMFLSGVSCVIGSLLSNSGTMKVPRLVCGLIGIFGMAATYNLLFIYTSELFPTVVRSAALGRCLTSCTDGCHTCSYGGGFRGHDSICGIWVLWSTWWFACVSLAGDHE >DRNTG_09279.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5527845:5528476:-1 gene:DRNTG_09279 transcript:DRNTG_09279.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFLLTALLLNWLGRKPLCASTMFLSGVSCVIGSLLSNSGTMKVPRLVCGLIGIFGMAATYNLLFIYTSELFPTVVRSAALGRCLTSCTDGCHTCSYGGGFRGHDSICGIWVLWSTWWFACVSLAGDHE >DRNTG_31813.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001746.1:21928:25036:1 gene:DRNTG_31813 transcript:DRNTG_31813.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLQKLVVSYCDWGGSSRGIRAFMESNLPTLKEKNPQLDVVTELIRGQHPHLKAFYKNHNQRVVCVKNLTPEEILLHATRLRNALGRKVIKLRTRHVTKHPSVQGTWTTALKF >DRNTG_23293.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1267163:1272041:1 gene:DRNTG_23293 transcript:DRNTG_23293.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSLGASTNTILPNLLSGFKKIKCSRLDITFKVNSAGVQTSFGLRGIQLSPDQVHGTKFSWKSLRPAYPIVVNCGISSAKNGIEVGALEEEKSTRDQLIPFSPEVESLLNVICDTTSIAEFGLNLSGFRLYVKRDINDKMLNLAASSPPIQISSSNELLDQNGFVSTTSLTLSKPKPSPGSFQQILDSSHDEGLMILQSPKVGFFRRSRTIKGKKAPPSCKEKQQVKEGQVICYVEQLGGEIPIESDVSGEVIKIFRRDGEPVGYGDALIAILPSFPGIKKLQ >DRNTG_21589.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19144708:19146183:-1 gene:DRNTG_21589 transcript:DRNTG_21589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFYPLPVSSVMSQQRVKRMPISTFTSASGDNSTSTTCAICLDDYNVGEKLRVLPCRHKFHAGCVDSWLTAWRSFCPICKLDAKTRTKNPPASENTPLLSAPVASPLSSSLPSFCPSVTGALPIQIVILP >DRNTG_13816.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28372820:28381304:1 gene:DRNTG_13816 transcript:DRNTG_13816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRGIFHLLKKKSLHQSSTSSFLPSYMLKPDQGAAGSAGVKSLRALAFLGVGVSGLLSFATIASADEAEHGLACPSYPWPHKGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLIDGAVEYEDGTPATESQMGKDVVAFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLKWSVLKSRKLVVDAVN >DRNTG_13816.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28376767:28381304:1 gene:DRNTG_13816 transcript:DRNTG_13816.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRGIFHLLKKKSLHQSSTSSFLPSYMLKPDQGAAGSAGVKSLRALAFLGVGVSGLLSFATIASADEAEHGLACPSYPWPHKGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLIDGAVEYEDGTPATESQMGKDVVAFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLKWSVLKSRKLVVDAVN >DRNTG_04779.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15451914:15460187:-1 gene:DRNTG_04779 transcript:DRNTG_04779.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDNIIRGRNARGRDISRGRGRGMFPGRGGTFNRPGGPLRQGPLRVNAQPSPYKIAKSFSRAKDAIWRHDLFTDTMAAAGLGKIETGTKLYISNLDYGVSNEDIKELFSEVGNLMRCVVHYDRNGRPTGSAEVVYVRRSDAMAAFKRYNNVQLDGKPMKIEEIGPNIGLPVTARVKVVGPSNGRGRRTVVMTPKVGQGSSRPFPRMSGWSRGAYRGRGRGNGSWRGRGGRGFGRGLERKQPVEKSAAQLDKELDSYHADAMNTS >DRNTG_04779.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15451914:15460187:-1 gene:DRNTG_04779 transcript:DRNTG_04779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLGKIETGTKLYISNLDYGVSNEDIKELFSEVGNLMRCVVHYDRNGRPTGSAEVVYVRRSDAMAAFKRYNNVQLDGKPMKIEEIGPNIGLPVTARVKVVGPSNGRGRRTVVMTPKVGQGSSRPFPRMSGWSRGAYRGRGRGNGSWRGRGGRGFGRGLERKQPVEKSAAQLDKELDSYHADAMNTS >DRNTG_08396.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4681966:4691055:-1 gene:DRNTG_08396 transcript:DRNTG_08396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGHDEIASPSYNPPGKHKSPSYDDSFRNAQRVADHYSARSNQTLEEREASPIIHLKKLNNWIKSVLIQLYAQKGDAVLDFACGKGGDLIKWEKAQIGYYVGVDIAEGSARLDKYLEDDGPYDICSCQFALHYSWSTEARARRALANISALLRPGGIFVGTMPDANVIIKKLREADGLSFGNNVYWICFDDDFSEKKFRSSSPFGIRYQFHLEDAVDCPEWIVPFPVFKSLAEEYDLELVFVKNSHEFVREYLKKPVYTELMRRLGALGDGNQDQTTLSQEEWDVAYLYLAYVLRKRGQPGSHRRSNNINKGKMLIKDEDIEFIRSDM >DRNTG_11059.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000483.1:12799:16635:-1 gene:DRNTG_11059 transcript:DRNTG_11059.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRGVEIARFLLRDMYRLQVMTRGNPSILIEEDLELEHTTDELVDEYMKKCSTRIHMKVYSTEEVTCTPGIWKKVLRKMKRARRRHRKYSKTIGDAQELRRLDEQLLGMATKLKKAVAKRPRKLSHEPEIMEFTLPAHKARFERLEKLKFGQTRIPDVGLLIKVQLADDMADEVKKLLLVGNWHKLLNINDPAIHALTLEVLSSFEFDRS >DRNTG_18369.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000946.1:9027:9375:-1 gene:DRNTG_18369 transcript:DRNTG_18369.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILRDFDTSDNQITGPIPHSIRNLTKLETFHLFSNSINGSIPYEIGNLVNLRDFDTSDNQITGPIPHNIRNLTKLETFHLFNNSINGSIPSEIG >DRNTG_21466.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2610495:2618685:1 gene:DRNTG_21466 transcript:DRNTG_21466.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGEDDEENDVNAQLDASVVDSGSGSEQLPKLTSENSDPSQELHSGNVKGGNSDYVYDPASGYYYSNTLGYYYDPASCLYCSATTGKWYSFDEQSGEYIECQSNDVSTETEVAQC >DRNTG_09042.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31368458:31370867:1 gene:DRNTG_09042 transcript:DRNTG_09042.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNGGSSMMAPSPPESGMGGMEHMGGGGMMHMTFFWGKRVQILFTGWPGDQGIGMYLLSLLCVLLVAALVELLSGVSRRVASSMPITGLSLTALHALRIGLAYLVMLAVMSFNVGVLIAAIVGHALGFLFTGSGLFKWSRPTVDPAGGDAFSSTKA >DRNTG_07467.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26842241:26843518:1 gene:DRNTG_07467 transcript:DRNTG_07467.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLTKEQKKIQNLKEQKRQYRFLLKIKEDMECVIQKAEMIY >DRNTG_05147.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30698525:30701369:1 gene:DRNTG_05147 transcript:DRNTG_05147.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SSR16 [Source:Projected from Arabidopsis thaliana (AT4G34620) UniProtKB/TrEMBL;Acc:A0A178UZA3] MAVKIRLARLGCRNHPFYRLVVADSRAMRDGKHLEVLGFYDPLKEHDNPKKMALKYDRAKYWLSVGAQPTEPAQRILLKAGLVQPPTPVIMNKKGGPQPPTA >DRNTG_05147.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30698525:30701369:1 gene:DRNTG_05147 transcript:DRNTG_05147.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SSR16 [Source:Projected from Arabidopsis thaliana (AT4G34620) UniProtKB/TrEMBL;Acc:A0A178UZA3] MAVKIRLARLGCRNHPFYRLVVADSRAMRDGKHLEVLGFYDPLKEHDNPKKMALKYDRAKYWLSVGAQPTEPAQRILLKAGLVQPPTPVIMNKKGGPQPPTA >DRNTG_26032.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1650013:1707031:1 gene:DRNTG_26032 transcript:DRNTG_26032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISSSSSLKPILLSFPLLLTLLLLSQSSHHHHHHHHHLFLTSFLFLLLLLTLYFLSRPRPIYLLNYSCFKPTPTCRVPFSTYMEHARQMPFFDDRSVRFQTLILERSGLGEETCLPPPHHYIPPTPSLSSSLHETHTVLFSAIDHLLKLTSIHPHLIDILVINCSLFYPIPSLSSIIINHYNLRTDIRSFNLSGMGCSAGLISVDLARDVLQVHPNSLALVLSTEIITPNYYAGKERAMLLPNCLFRMGASAVLLSNRSCDASIAKYKLLHVVRTHKGSDDKAHSCVFQEQDSEGNLGINLSKDLMAIAGDTLKSNITSIGPLVLPASEQLLFLFTFIARKLINPKLKPYIPDFKQAFEHFCIHAGGRAVIDELQKNLQLSAEHVEASRMTLHRFGNTSSSSLWYELSYIESKGRMRRGDRVWMIGFGSGFKCNSAVWKCLQTVKTPVEGPWSECIHRYPVHIPEVVKLL >DRNTG_16372.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000810.1:62656:70233:-1 gene:DRNTG_16372 transcript:DRNTG_16372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQDLGPSHETEIDSASEPEPELKESRPSLEASFKEFRTEQLPVFKKVVEVYASSRYLEAYASYRYHEYCALTRFRPKSCFPAEALRRTVKLGETIVRLEHLACTHKNDAICPKVPKEVKQEMNAYLKKGEIAKQVAQKRFDDMVDSGSHFGALFVKAGREPSQALQATCQAVKSSVWLSNFLDERQATR >DRNTG_01095.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000064.1:88711:99521:1 gene:DRNTG_01095 transcript:DRNTG_01095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQRAQALRRILESPGVFHGPACFNALSAKLVEKAGFKCCFTSGFSISAARLGLPDVGLISYGEMLDQGYNITQAVSIPVIADGDNGYGNEMNVKRSVKGFIQAGFAGVFLEDQVLPKACGHTRGRKVVSREEAVMHIKAALDAREESGSDIVIIARTDARQAISLEEALWRSRAFADAGADVLFIDALASVEEMKAFCDAVPHLPKMVNMLEGGGKTPILSPTELEKIGFKLVCYPLSLIGVSIRAMEDALVAIKGGNVPPPGQLPTFEKIKDTVGFNDYYKEEERYRVGSSPNSS >DRNTG_19751.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19374176:19374951:1 gene:DRNTG_19751 transcript:DRNTG_19751.7 gene_biotype:protein_coding transcript_biotype:protein_coding MALATTRPRKTLQEEMMAVGVKGSFNAIIAADDVYRGKPDPEMFVYASQLLSFIPERCIVFGNSNLTVEAAHDARMKCVAVASKQPVYELSAADLVVRRLDELSVVDLKNLADIDSLEFVSEEPELVEDVSPATVSVASDDLFW >DRNTG_19751.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19374176:19375004:1 gene:DRNTG_19751 transcript:DRNTG_19751.6 gene_biotype:protein_coding transcript_biotype:protein_coding MALATTRPRKTLQEEMMAVGVKGSFNAIIAADDVYRGKPDPEMFVYASQLLSFIPERCIVFGNSNLTVEAAHDARMKCVAVASKQPVYELSAADLVVRRLDELSVVDLKNLADIDSLEFVSEEPELVEDVSPATVSVASDDLFW >DRNTG_19751.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19374038:19374951:1 gene:DRNTG_19751 transcript:DRNTG_19751.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEQAIAEVLCWSRDPFELRRLAARKEEISRSLGGAFHQIRSGSQEFIGTLVNHSIPMALATTRPRKTLQEEMMAVGVKGSFNAIIAADDVYRGKPDPEMFVYASQLLSFIPERCIVFGNSNLTVEAAHDARMKCVAVASKQPVYELSAADLVVRRLDELSVVDLKNLADIDSLEFVSEEPELVEDVSPATVSVASDDLFW >DRNTG_19751.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19374176:19375052:1 gene:DRNTG_19751 transcript:DRNTG_19751.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALATTRPRKTLQEEMMAVGVKGSFNAIIAADDVYRGKPDPEMFVYASQLLSFIPERCIVFGNSNLTVEAAHDARMKCVAVASKQPVYELSAADLVVRRLDELSVVDLKNLADIDSLEFVSEEPELVEDVSPATVSVASDDLFW >DRNTG_19751.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19374038:19375052:1 gene:DRNTG_19751 transcript:DRNTG_19751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEQAIAEVLCWSRDPFELRRLAARKEEISRSLGGAFHQIRSGSQEFIGTLVNHSIPMALATTRPRKTLQEEMMAVGVKGSFNAIIAADDVYRGKPDPEMFVYASQLLSFIPERCIVFGNSNLTVEAAHDARMKCVAVASKQPVYELSAADLVVRRLDELSVVDLKNLADIDSLEFVSEEPELVEDVSPATVSVASDDLFW >DRNTG_19751.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19373985:19374951:1 gene:DRNTG_19751 transcript:DRNTG_19751.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEQAIAEVLCWSRDPFELRRLAARKEEISRSLGGAFHQIRSGSQEFIGTLVNHSIPMALATTRPRKTLQEEMMAVGVKGSFNAIIAADDVYRGKPDPEMFVYASQLLSFIPERCIVFGNSNLTVEAAHDARMKCVAVASKQPVYELSAADLVVRRLDELSVVDLKNLADIDSLEFVSEEPELVEDVSPATVSVASDDLFW >DRNTG_19751.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19374038:19375004:1 gene:DRNTG_19751 transcript:DRNTG_19751.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEQAIAEVLCWSRDPFELRRLAARKEEISRSLGGAFHQIRSGSQEFIGTLVNHSIPMALATTRPRKTLQEEMMAVGVKGSFNAIIAADDVYRGKPDPEMFVYASQLLSFIPERCIVFGNSNLTVEAAHDARMKCVAVASKQPVYELSAADLVVRRLDELSVVDLKNLADIDSLEFVSEEPELVEDVSPATVSVASDDLFW >DRNTG_09103.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10453996:10460228:-1 gene:DRNTG_09103 transcript:DRNTG_09103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQKIKVANPIVEMDGDEMTRVFWKSIKDKLILPFLDLDIKYYDLGLLHRDATDDKVTIESAEATLKYNVAIKCATITPDEARVKEFNLKSMWKSPNGTIRNILNGTVFREPILCKNIPRLVPGWTKPICIGRHAFGDQYRATDAVIKGPGKLKLVFEGKEETVDLEVFNFTGAGGVALSMYNTDESIHAFAAASMSTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAEWKTKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWSRGLAHRAKLDENARLLDFTEKLEAACVGCVESGKMTKDLALLIHGSKVTRDQYLNTEEFIDAVAAELTARLQ >DRNTG_25323.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20428640:20430108:-1 gene:DRNTG_25323 transcript:DRNTG_25323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPTWRVKLGRRDSTTANKQLAEQNIPKAFDDLDALITSFSRQGLSVRDMVALSGAHTIGQAQCATFRDRIYKENNIDTGFAKTRRRSCPENTGRNNSLAPLDQATPNLFDNKYYKNLIQKKGLLHSDQVLFNGDSTDAIVTSYSKNIDVFFRDFASAMVKMGDINPLTGSSGEIRKVCSVVN >DRNTG_27258.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:775866:777683:1 gene:DRNTG_27258 transcript:DRNTG_27258.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSAAGAIEAAKNKLENQTVTVSVRQLVDCSTADGGCEGGRTDSAFLYVARNGGINSDPSYPYTGTEGTCSFDPNHIAAKVTGVEWVHYGDEEDLEKVVGLIGPVSVGIQAEGDFLDYKGGIYRTTSCGDPGKLNHAMLVVGYGLDYFDDQPYWILKNSWGDGWGVGGYLFMEKGTNMCGELIIYDEQIVIQIIIFKYLD >DRNTG_27258.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:775434:777683:1 gene:DRNTG_27258 transcript:DRNTG_27258.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSAAGAIEAAKNKLENQTVTVSVRQLVDCSTADGGCEGGRTDSAFLYVARNGGINSDPSYPYTGTEGTCSFDPNHIAAKVTGVEWVHYGDEEDLEKVVGLIGPVSVGIQAEGDFLDYKGGIYRTTSCGDPGKLNHAMLVVGYGLDYFDDQPYWILKNSWGDGWGVGGYLFMEKGTNMCGVAYVANFPTVE >DRNTG_27258.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:775434:775992:1 gene:DRNTG_27258 transcript:DRNTG_27258.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRMFHLLLLIIIVRSIACSSSSTRPSTIKSLILATLGRSNDSLTFADFAQSYEKQYKSAEEIHKRFGIFMDNFHRIRSTNKKGLRFTVGING >DRNTG_27258.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:775434:777683:1 gene:DRNTG_27258 transcript:DRNTG_27258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRMFHLLLLIIIVRSIACSSSSTRPSTIKSLILATLGRSNDSLTFADFAQSYEKQYKSAEEIHKRFGIFMDNFHRIRSTNKKGLRFTVGINEFTDMSFDEFKSKRLIEWNTSVPLANRTRIYNLTDAVAPLMKDWRNDGIISPVRQQGDCFACWAFSAAGAIEAAKNKLENQTVTVSVRQLVDCSTADGGCEGGRTDSAFLYVARNGGINSDPSYPYTGTEGTCSFDPNHIAAKVTGVEWVHYGDEEDLEKVVGLIGPVSVGIQAEGDFLDYKGGIYRTTSCGDPGKLNHAMLVVGYGLDYFDDQPYWILKNSWGDGWGVGGYLFMEKGTNMCGVAYVANFPTVE >DRNTG_34835.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28999158:29000400:1 gene:DRNTG_34835 transcript:DRNTG_34835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPFPEPSSLSSSPLPTNSFHCDGLHELLFAAHILPFSSSTTTTTTTSDPPLLTPVVPNDVQLTPARTITTRKRPVRKDRHSKIYTAQGPRDRRMRLSLEVARRFFDLQDMLGFDKPSKTVQWLLNKSKSAIRDLTAVVNPGGGFMFSSGSSSSSQSSTSECEDKFTATTMTNEVNVKPQKIKRSVVQQTKKTMFNPVLAKESRAKARTRARERTQEKKRLMLGTSASTIEESGSHDLKSSLELAADADEPIQNFDYHQNIITCNDMVSSFPDHWELQQFSGIYW >DRNTG_24274.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10744068:10744529:1 gene:DRNTG_24274 transcript:DRNTG_24274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLQGLGYVVFMIMVVVMAGEVSGNWRMMGDNDDCGGTFGILITECQKYVGIPGPKTDPSSGCCDAIQKADVPCVCAHITPEVEKIISMEKVTYVAEKCGRALPPGTKCGRIDLDVGYTVPPNI >DRNTG_34242.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28068443:28070959:-1 gene:DRNTG_34242 transcript:DRNTG_34242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVEELSSRLFRVRRTVMQMLRDRGYLVVDRDLNMTKAEFIQKFGESVNRDDLIFNKAKKNDPSDQIYVFFPNDAKVGVKHIKKYVELMKAENVPRAILVVQQNLTPFARSSLQDISQKFHLEVFQEGELLVNIKDHSLVPEHQVLSSEEKATLLQRYTVKETQLPRIQVTDPVARYYGMTRGQVVKIIRPSETAGRYVTYRYVV >DRNTG_19673.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3809871:3814261:-1 gene:DRNTG_19673 transcript:DRNTG_19673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAHTTHGGAHQPPQPTQLLSGSLQVHSSPRNVTART >DRNTG_17127.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20949817:20984765:1 gene:DRNTG_17127 transcript:DRNTG_17127.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRVTAPNRELPPRNEQFLSDFEQLQGQFADREQLRVVTESVLISLIIQCSGHAPQSEFLLFALMSLCNIGYMKWDTFLPALLCTVSNAESSVGQGNPTFTGMPSTSLAASAVIPSASSVTTNFHVSNPASPLGPMHVIGSPSQSASEQAIGGTLSPVRASDIAASGQHSALRVNQLPRSTAISYLRQLVCKIILTGLESNLKPITHAEIFIHMINWLVNWDQRQQGFEEAESLKALSLERPLHEWLHICLDVIWMLVEEDKCRVPFYELLRSGLQFIDNIPDDEALFSIILEIHRRRDLVATHMQMLDQHLHCPSFATHRFLSQVYPSIPGESLANLRHSPITYPSILGEPLHGEDLAFSIQRGSLDWERALRCLRHALRATPSPEWWRRSLLIVPSYRPQAPQSSIPGAVFSPEMVCEAVIDRTVELLKSTNSETQCWQDWLVFADMFFFLMKSGSIDFLDFINKLASRVTASDQQILWSNHVTWLLAQIIRIDVVMNILSSDPKKVETIKKIISFHKEDKSPDSNNISAQSIILDFISSSQTLRIWSFNTTIREYLNSDQLQKGKQIDEWWKQVTKGERMMDLTNLDEKSMGMFWVLSFTMAQPACEAIMNWLTSSGAQDLLQGPNVQSGDRMMMLRETYPLPISLLSGLSINLCMKLAYQLEETIFLGQAIPSIAMVETYVRLLLIAPHSLFRLHFTTLSQRSPSIMSKPGATILLLEILNYRLLPLYRYHSKSKALMYDVTKIISMIKGKRGEHRLFRLAENLCMNLILSIRDFFLVKKELKGPTEFSETLNRITIISLAITIKTRGIAEVEHMLYLQPLLEQILATSQHTWSEKTLRHFPPLIRESLTGRMDKRGQSIQAWQKAEQTVINQCTQLLSPSADPTICLDLS >DRNTG_02463.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19466069:19468562:-1 gene:DRNTG_02463 transcript:DRNTG_02463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLVCGEVSDLCIGKPALRPLPSTATVGDALLALRSRCGGELCVSFWSADKKTIAGRVSVVDVVCYLCSESNIADPARALADPVSVLLPKDSGLVCRLEPNSCILDALDAILEGIQTLVVPIRRRKLSAGGGEEFCWLTQEDLVRHFLNSIAVISSVAARPVASLNVVRSDFVAVRYRDPALSALPLLRRAISTQTSVAVVTDDGKLIGEISPSTLANCDEGVAAALAALSAGDLMAYIDCSPAPPVSAILAVKSKLKEKNLTGMLELLDEEFSQTLVMPLSSSSDEDEDTSTMNRRKPRRMRSGSYSARMGRRSEEAIVCHPESSLVAVMVQALAHRVSYVWVVDEEYTLVGIVTFPDILKVLREQFTDSF >DRNTG_06007.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2528821:2532527:-1 gene:DRNTG_06007 transcript:DRNTG_06007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISEPPSWPDPNPNPNPNSALDPDPPSFLSPLDDEHRPQSPEQSVQDDGDDGGDGTDRGGLDNQPFIESPNPSPNPSLYAVPTPSALTLLHLSFNQDHGCFAAGTDRGFRIYNCDPFREIFRRDFDEGGIGVVEMLFRCNILALVGGGSRPHYPPSKVMIWDDHQSRCIGELSFRSEVRAVRLRRDRIIVVLDHKIFVYNFADLKLVHQIETVPNPKGLCAVSQQQGSLVLVCPGGQKGQVRVEHYGARKTKFIMAHDSRIACFALSQDGQLIATASTKGTLIRVFSTADGTLLQEVRRGADRAEIHSLAFSANMQWLVVSSDKGTVHVFSLKVNLGSTGSEKARSSPEPNSQGVLPKYFHSEWSVAQFRLHEGSQYIVAFGHQKNTIVILGMDGSFYRCEYDPVAGGEMKQLECYNFLKTEEAF >DRNTG_17575.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000904.1:10508:11856:-1 gene:DRNTG_17575 transcript:DRNTG_17575.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRRRSCLASGGAATPTTVINAWDLMDGLDEPLSSSPSPNSKKPLHLSRSFTFHHRHSSKPLWEPVSEEPSKRPCVVLYTTSLRGIRRTHEDCCSVRAILKGLRVAVDERDVSMDSSFRHELQSLIGRNKPFSLPQVFVKGRCIGGAEEVRQLHEAGELVKFLEGVRRQDPCFICAGCGGVRFVPCGVCSGSRKVFDEEGRMMRRCGDCNENGLVRCSNCYSM >DRNTG_17575.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000904.1:10324:11856:-1 gene:DRNTG_17575 transcript:DRNTG_17575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRRRSCLASGGAATPTTVINAWDLMDGLDEPLSSSPSPNSKKPLHLSRSFTFHHRHSSKPLWEPVSEEPSKRPCVVLYTTSLRGIRRTHEDCCSVRAILKGLRVAVDERDVSMDSSFRHELQSLIGRNKPFSLPQVFVKGRCIGGAEEVRQLHEAGELVKFLEGVRRQDPCFICAGCGGVRFVPCGVCSGSRKVFDEEGRMMRRCGDCNENGLVRCSNCYSM >DRNTG_17575.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000904.1:10562:11856:-1 gene:DRNTG_17575 transcript:DRNTG_17575.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRRRSCLASGGAATPTTVINAWDLMDGLDEPLSSSPSPNSKKPLHLSRSFTFHHRHSSKPLWEPVSEEPSKRPCVVLYTTSLRGIRRTHEDCCSVRAILKGLRVAVDERDVSMDSSFRHELQSLIGRNKPFSLPQVFVKGRCIGGAEEVRQLHEAGELVKFLEGVRRQDPCFICAGCGGVRFVPCGVCSGSRKVFDEEGRMMRRCGDCNENGLVRCSNCYSM >DRNTG_17575.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000904.1:10508:11897:-1 gene:DRNTG_17575 transcript:DRNTG_17575.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRRRSCLASGGAATPTTVINAWDLMDGLDEPLSSSPSPNSKKPLHLSRSFTFHHRHSSKPLWEPVSEEPSKRPCVVLYTTSLRGIRRTHEDCCSVRAILKGLRVAVDERDVSMDSSFRHELQSLIGRNKPFSLPQVFVKGRCIGGAEEVRQLHEAGELVKFLEGVRRQDPCFICAGCGGVRFVPCGVCSGSRKVFDEEGRMMRRCGDCNENGLVRCSNCYSM >DRNTG_22580.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14392746:14395501:-1 gene:DRNTG_22580 transcript:DRNTG_22580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKQKKRVKRAKTKCMKLNCSKTPTKSSSYIDIDIGTTKDENIKINTPPSSPSKLNVFIPKTPDDFEPHSLSMLESLPLDILVKILCYLHHDELRAVFHVSRKIQRAVVLARQSYFNYTTPDRSRQEMLLTKTPLPNEHWPFLSKDEGGNEAKKIIPQTPKAPKPAPRNHKSNSMETKQVAAILFQEPSNPPNNAPQKKVPRLVCKPINSSRVLLYEDELCQAVAQNKLK >DRNTG_22580.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14392746:14395907:-1 gene:DRNTG_22580 transcript:DRNTG_22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKQKKRVKRAKTKCMKLNCSKTPTKSSSYIDIDIGTTKDENIKINTPPSSPSKLNVFIPKTPDDFEPHSLSMLESLPLDILVKILCYLHHDELRAVFHVSRKIQRAVVLARQSYFNYTTPDRSRQEMLLTKTPLPNEHWPFLSKDEGGNEAKKIIPQTPKAPKPAPRNHKSNSMETKQVAAILFQEPSNPPNNAPQKKVPRLVCKPINSSRVLLYEDELCQAVAQNKLK >DRNTG_23639.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7020552:7026545:1 gene:DRNTG_23639 transcript:DRNTG_23639.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA-decapping enzyme-like protein [Source:Projected from Arabidopsis thaliana (AT1G08370) UniProtKB/Swiss-Prot;Acc:Q9SJF3] MAQNWKLMPNLDHQSTKVLNLTVLQRIDPFVEEILMTAAHVTFYEFNIELNQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTDNLVEDLLGDFEYDVQVPYLLYRNASQEVNGIWFYNAHDCEAVGNLFSRILNAYSKVPPKSKVSSTKSSEFEELEAVPSLSVMEGPLEPPTSSTVTSVSEIADDSFVNFFSAAMNVGIAPNTAIPEQPHQPSATVSLPSRTTSVTPFPLPTPQPNPPLPTVSTPLAPLLDTLEPTSNTTVSATNLVKPSFFTPSSSSSALMMPPISVSMPTAPPLHPPVTMQRPYGTPLLQPFPPPTPPPSLTPASNNTPAITREKVRDALMKLVQSDQFVDIVYREIVNAHYS >DRNTG_25758.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21410755:21411162:1 gene:DRNTG_25758 transcript:DRNTG_25758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPHHRLIIASSAHSSSPCPKPDHHVQTRASLQP >DRNTG_07990.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27408278:27408741:-1 gene:DRNTG_07990 transcript:DRNTG_07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMEPSPIISFASTHCRGRHGELAFRCFAGDQDDRPDAWKDDRHTLHGGNLGIEKSFINCIRVITFAFG >DRNTG_02930.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4170937:4175479:-1 gene:DRNTG_02930 transcript:DRNTG_02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPSLELVGQERAQAHILVPHTQLVVSMNTRDLSMIIASELQDIYMERYNIEPRHMQQLRRRYGVYGDMATTYDDAQTVLQHCAGVEQRNRARLFHRRQMETSQSPGNLVDGTDSPPPWLSGKRTSQNLGNLVELPTSRVWVLWTASLKEVLGGEFEIGGDLGGNFRRRVDLELARSSLHFRWNKHHQSVQVTGTHLSLEERYAHCPEAMERPSQEEQLLCIGHHSVTVKKQWRAYVTVKRQWRGLLEESSHHALDITVSFKRIFKSLQQSIRFRDYQEAMERLS >DRNTG_27183.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:627754:628959:-1 gene:DRNTG_27183 transcript:DRNTG_27183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSSSSSSSSSSSSSFSGRPPPHRELQGPRPSPLRLHKDSHKIKKSLADEVLPKQPVIIYMASPKIIHTNPSDFMSLVQRLTGTSSTSILSPATHLALEQPPKHQHHHHHHQQQEQHDSISATTSDHISASETAVSVYPGILSPVPASLPPISPGFFSPSVDPNMLSFLHELSPVFHGNRSYVENTFLINKSQPIHFSYTHHAFSWCLGYFQSVF >DRNTG_33104.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001953.1:7478:8711:1 gene:DRNTG_33104 transcript:DRNTG_33104.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNEETLVERVVGRRLDPVTGKIYHLKYSPPENEEIAARLTLRFDDTEERVKLRLQTHHQNVEAVLSIQGPHVQG >DRNTG_06017.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12153957:12154563:-1 gene:DRNTG_06017 transcript:DRNTG_06017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNSRNGGRIYHAGGERGRSPSMVVMMVLWRVLYSSQGILCPA >DRNTG_28269.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2836254:2842737:1 gene:DRNTG_28269 transcript:DRNTG_28269.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDCGYKAQYMDGQREKFLRLEESSPMSYASDRSRTTKCGFNIDGLGRQPNHSSKSFKHGVKKGSEGLKSIGRSLRFGVSRAVFPEDLKVSEKKIFDPQYKFLVMWNRLFVVSCILAVSVDPLFFYLPVYDDNARCLGIDHKLAIASTTIRTIIDAFYLIRVALQFRTAYIAPSSRVFGRGELVIDPAQIAKRYMRSQFIIDFLALLPLPQIVIWRFLHNSNGSDVLATKNALLFIVLLQYIPRFFRLFPLTSELKRTSGVFAETAWAGAAYYLLWYILISHMVGAFWYLLAVERKDDCWHSACHSNNSICQPDYLYCGNSGLQGYDNWNKSSETVLQTNCAADGDNPPFNYGIYSNALKSGIVSSKKFISKYCYSMWWGLQNLSTLGQGLQTSTYPGEVIFSIALAILGLVLFALLIGNMQTYLQSLTIRLEEMRIKRRDSEQWMHHRLLPQDLRERVRRYDQYKWLETRGVDEENLVQSLPKDLKRDIKRHLCLALVKRVPLFEDMDERLLDAICERLKPTLYTENTYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRGILKEGDFCGDELLTWALDPKSGANLPSSTRTVKALTEVEAFALIADELKFVAGQFRRLHSRQVQHTFRFYSQHWRTWAACFIQAAWRRYTKRKIAELRRKEEEAALGDTAAGVSSSLGTTIFVSRFAANALRGVHKLRNTKSAIELVKLQKPPEPDFSADAD >DRNTG_28269.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2836254:2842737:1 gene:DRNTG_28269 transcript:DRNTG_28269.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDCGYKAQYMDGQREKFLRLEESSPMSYASDRSRTTKCGFNIDGLGRQPNHSSKSFKHGVKKGSEGLKSIGRSLRFGVSRAVFPEDLKVSEKKIFDPQYKFLVMWNRLFVVSCILAVSVDPLFFYLPVYDDNARCLGIDHKLAIASTTIRTIIDAFYLIRVALQFRTAYIAPSSRVFGRGELVIDPAQIAKRYMRSQFIIDFLALLPLPQIVIWRFLHNSNGSDVLATKNALLFIVLLQYIPRFFRLFPLTSELKRTSGVFAETAWAGAAYYLLWYILISHMVGAFWYLLAVERKDDCWHSACHSNNSICQPDYLYCGNSGLQGYDNWNKSSETVLQTNCAADGDNPPFNYGIYSNALKSGIVSSKKFISKYCYSMWWGLQNLSTLGQGLQTSTYPGEVIFSIALAILGLVLFALLIGNMQTYLQSLTIRLEEMRIKRRDSEQWMHHRLLPQDLRERVRRYDQYKWLETRGVDEENLVQSLPKDLKRDIKRHLCLALVKRVPLFEDMDERLLDAICERLKPTLYTENTYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRGILKEGDFCGDELLTWALDPKSGANLPSSTRTVKALTEVEAFALIADELKFVAGQFRRLHSRQVQHTFRFYSQHWRTWAACFIQAAWRRYTKRKIAELRRKEEEAALGDTAAGVSSSLGTTIFVSRFAANALRGVHKLRNTKSAIELVKLQKPPEPDFSADAD >DRNTG_32839.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001922.1:1:21723:-1 gene:DRNTG_32839 transcript:DRNTG_32839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLLMMIKIVSLEEKLESLTGDVSQMKNAIGKTFGARRMKIKSKSYIIK >DRNTG_32445.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18936057:18936184:1 gene:DRNTG_32445 transcript:DRNTG_32445.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATSEGGGGREEAWEEARRGEASVESACGGCRGDAICLGL >DRNTG_34762.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:8314145:8317287:-1 gene:DRNTG_34762 transcript:DRNTG_34762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYVKDASRSLTLQRHMADADLEIAHQPILAIEQKKENDDQERPNSEAASVPHEPPTVEPPPAEALVTDLLSLDDFGKCADALEEKNAFALAIVTDGNSTNAMVASDLASDTSGWELALVGAASSTESSAIEVKLACEIDQLTLDSLYDDAIARSANQNGSYHMGQVAPNPFETLPYPQDPFYASNSVAPSANVQMSAMAKQQSLIMQQQQQQPMGLEGSNPFGNPFAGQEAGLQSYLNHSPQTGFM >DRNTG_34762.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:8314145:8317151:-1 gene:DRNTG_34762 transcript:DRNTG_34762.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYVKDASRSLTLQRHMADADLEIAHQPILAIEQKKENDDQERPNSEAASVPHEPPTVEPPPAEALVTDLLSLDDFGKCADALEEKNAFALAIVTDGNSTNAMVASDLASDTSGWELALVGAASSTESSAIEVKLACEIDQLTLDSLYDDAIARSANQNGSYHMGQVAPNPFETLPYPQDPFYASNSVAPSANVQMSAMAKQQSLIMQQQQQQPMGLEGSNPFGNPFAGQEAGLQSYLNHSPQTGFM >DRNTG_34762.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:8314145:8316877:-1 gene:DRNTG_34762 transcript:DRNTG_34762.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYVKDASRSLTLQRHMADADLEIAHQPILAIEQKKENDDQERPNSEAASVPHEPPTVEPPPAEALVTDLLSLDDFGKCADALEEKNAFALAIVTDGNSTNAMVASDLASDTSGWELALVGAASSTESSAIEVKLACEIDQLTLDSLYDDAIARSANQNGSYHMGQVAPNPFETLPYPQDPFYASNSVAPSANVQMSAMAKQQSLIMQQQQQQPMGLEGSNPFGNPFAGQEAGLQSYLNHSPQTGFM >DRNTG_34762.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:8314145:8314769:-1 gene:DRNTG_34762 transcript:DRNTG_34762.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVAPNPFETLPYPQDPFYASNSVAPSANVQMSAMAKQQSLIMQQQQQQPMGLEGSNPFGNPFAGQEAGLQSYLNHSPQTGFM >DRNTG_29726.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:570988:573375:-1 gene:DRNTG_29726 transcript:DRNTG_29726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALEEALPFRQGISRFPRSKSKSFTYPTDAVSPASLAQRHTEPENACTRKRKNIFLFTDMLGEVCNSNLLQKMDGGTPKKPTNAERSTALVNHSPSSSSGSNSSNSEDKHEPCQLLIPCHPTGSLATSPGTDTSPLSPLPKTFTLSSKSFSRTNLSACSSPVS >DRNTG_05576.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000256.1:33984:34319:-1 gene:DRNTG_05576 transcript:DRNTG_05576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEHSPVSSALHSLPQRHDQHQRAMDMSMTHLQYHLPDFTQLLTGPISDVQTH >DRNTG_21329.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9123842:9124300:1 gene:DRNTG_21329 transcript:DRNTG_21329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSELRKAGQNISGNGPARPAGKIMPSKFPARAAITNKPQPKVTNGSTLERKPSAKPQSTTQNNFAAHRKVPQVADKVLTTKKQPEVSLKHQ >DRNTG_05605.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000260.1:1317:3911:1 gene:DRNTG_05605 transcript:DRNTG_05605.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGSTEEVTSTPGIVKKVLQKMKRARRRHRKRSKTVGDGRGLAPVGNLVNHARAWVISISPCETLQRSSLHPEKTQGRAVAPRSHRGVRLPLWVSGTGVDIFRTPVREQSESKEHFPERAQGCAHAPVVLLKEPTIQ >DRNTG_07725.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2503923:2507308:-1 gene:DRNTG_07725 transcript:DRNTG_07725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVPYSDPNPNPSSNLPWSEMFRSASLRRPPDSLPPSPPKPPRPPPANPHQPSSNEDRQTITLDSQARLALYIAMAHAGLALTILLLYGLYKLLHDFIRPLQWALLCSIPLREAQLAVVDFWAEPLQQGIVPTLLAAPSALFRASTLSLSDLRSAILRRRAPSPSGFPRLVRWLASFWLFTLAFERFGPFSIPFLLLAGPTASAAARHPSIASRRPKPSSSFFTSKILAHMKTIVAIGLIFWMIFGCLAGGIFFSYKIGVEGKDAVMSLKSHVQKSNYAERIGFKQWMDDNDVPGLVDRYSASLYETVWEHVDSLAAQYNLTEFANGFRHFLITPSNSGPSTALSSSPPHPYTLKFQSLRTRVKNREWTEIYSELDAIFRELLITREDLVEKAKGLAFKGIEISKQVLASSTSVLGGSASLVFSVFLLVVSGAAEVLNFLSQLMVFLWVLYYLITSESGGATEQVVGMLPVSKQMRDRFVEVIDKAISSVLLATAKISFYQGCLTWLLFRFCSVHFLYVSTVLAFISPLLPILPPWLSSIPAVAQFFMEGRYIWAFVIAAVHLMLMDYGSSVIQVDIPGHNAYLTGLSILGGLTLFTNALEGAIMGPLIMTVVIALKNLYAEFVLVDNEETCS >DRNTG_02934.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4107077:4110230:-1 gene:DRNTG_02934 transcript:DRNTG_02934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGDIMINEFDSNENGTIEFGEFLNLIALKMKETNDFEEELKEVFNVFDKDQNGYISPTELKNVMMNLGRN >DRNTG_10856.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32080830:32089249:-1 gene:DRNTG_10856 transcript:DRNTG_10856.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFSFIWQPTLHKPSMMAHIVRVLPELKTFRMHYANCSTYNADFDGDEMNVHLPQDEVSRAEAFGIVDANKQYIVPTSGDPIRGLIQDHIISAVLLTRKDMFLTRDEYNQLLYACCVPIAASSSQVSKFGEKVTVLCSGNEIQPLFPAILKPKPLWTGKQVISSILSYVTRGRKPFTVEKDGRIPKEYFGKDLTEHKLFILNNELIHGMIDKAQFGKHGLVHTVHEFYGADTAGILLSMFSRLFTIFLQIHGFTCGVDDLLISEDLDLRRKDILETSEKESEQVHLQFTNNNSVGSTDLQKEIEKVIRTNIEPETARLDRMMSSKMNAITSEANNALFPTGLVKSFPANCLSLMTVTGAKGGLVNMTQISSLLGQQELEGKRVPRMVSGKTLPCFPPWDISSRAGGFISDRFLTGLRPQEYYFHCMAGREGLVDTAIKTSRSGYLQRCLVKNLECLKVSYDYTVRDADGSVVQFMYGEDGVDVLKTSFLTEFGVLASNKSILLDRPSDQDLYKSNAFIRELPEALKEKATTFIHNLSKKGLHKIEKKELLKLLKVKYFSSLADPGEPVGVVAAQSIGEPSTQMTLNTFHLAGRGDMNVTLGIPRLQEILMTAKEDIRTPIMTCPLFHHIEKEDAEDLAATLRKITVADIVENMEVFTVPLYIHNHKASIMYRLKMKLHHPDLLNRKLFHSDDYRTKFGVTLDAYEQTLRVSFVKALEVAIFRHLDLVYKIGDISDVSRKDESNNDEQEDADESESKAGQTKDNDDAGDGSDAGDEIAEDQGADAEKRKRQVCDEMEYEDDAEKVSSPRASEDHDEEAASGLEDDQAEAEDEDYVIGGKLVNSDTDDEDNVSGGKPLNSDADDEDDVTGGKLLNSEADLEALGSPSNFEVPVSETVEDVSTEKRKTRQRPDREAKRVIRTKYHKLKFELLIVFQEEDPHILLAEVAQQAAKKVLLKDVKNIDRCTVIERKKPTDPYSLQTAGVNFSVFWNLNEHLNLAQVQSNDIHAMLTTYGIEAAKSTIINEVKGVFGAYGIHVNHRHLSLIADFMTLPGWYRSMNRLGMDKFCTSPFGKMTFETATKFIVQAALHGEVDNLEAPSASVSLGQPMKMGTGCFDLLQNLHV >DRNTG_10856.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32080830:32093634:-1 gene:DRNTG_10856 transcript:DRNTG_10856.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQISSLLGQQELEGKRVPRMVSGKTLPCFPPWDISSRAGGFISDRFLTGLRPQEYYFHCMAGREGLVDTAIKTSRSGYLQRCLVKNLECLKVSYDYTVRDADGSVVQFMYGEDGVDVLKTSFLTEFGVLASNKSILLDRPSDQDLYKSNAFIRELPEALKEKATTFIHNLSKKGLHKIEKKELLKLLKVKYFSSLADPGEPVGVVAAQSIGEPSTQMTLNTFHLAGRGDMNVTLGIPRLQEILMTAKEDIRTPIMTCPLFHHIEKEDAEDLAATLRKITVADIVENMEVFTVPLYIHNHKASIMYRLKMKLHHPDLLNRKLFHSDDYRTKFGVTLDAYEQTLRVSFVKALEVAIFRHLDLVYKIGDISDVSRKDESNNDEQEDADESESKAGQTKDNDDAGDGSDAGDEIAEDQGADAEKRKRQVCDEMEYEDDAEKVSSPRASEDHDEEAASGLEDDQAEAEDEDYVIGGKLVNSDTDDEDNVSGGKPLNSDADDEDDVTGGKLLNSEADLEALGSPSNFEVPVSETVEDVSTEKRKTRQRPDREAKRVIRTKYHKLKFELLIVFQEEDPHILLAEVAQQAAKKVLLKDVKNIDRCTVIERKKPTDPYSLQTAGVNFSVFWNLNEHLNLAQVQSNDIHAMLTTYGIEAAKSTIINEVKGVFGAYGIHVNHRHLSLIADFMTLPGWYRSMNRLGMDKFCTSPFGKMTFETATKFIVQAALHGEVDNLEAPSASVSLGQPMKMGTGCFDLLQNLHV >DRNTG_10856.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32080830:32089738:-1 gene:DRNTG_10856 transcript:DRNTG_10856.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLQVTRNHRMAIARKLPTSRASAQTGKGPETDFEGKIVNVHLRNGDVVLVNRQPTLHKPSMMAHIVRVLPELKTFRMHYANCSTYNADFDGDEMNVHLPQDEVSRAEAFGIVDANKQYIVPTSGDPIRGLIQDHIISAVLLTRKDMFLTRDEYNQLLYACCVPIAASSSQVSKFGEKVTVLCSGNEIQPLFPAILKPKPLWTGKQVISSILSYVTRGRKPFTVEKDGRIPKEYFGKDLTEHKLFILNNELIHGMIDKAQFGKHGLVHTVHEFYGADTAGILLSMFSRLFTIFLQIHGFTCGVDDLLISEDLDLRRKDILETSEKESEQVHLQFTNNNSVGSTDLQKEIEKVIRTNIEPETARLDRMMSSKMNAITSEANNALFPTGLVKSFPANCLSLMTVTGAKGGLVNMTQISSLLGQQELEGKRVPRMVSGKTLPCFPPWDISSRAGGFISDRFLTGLRPQEYYFHCMAGREGLVDTAIKTSRSGYLQRCLVKNLECLKVSYDYTVRDADGSVVQFMYGEDGVDVLKTSFLTEFGVLASNKSILLDRPSDQDLYKSNAFIRELPEALKEKATTFIHNLSKKGLHKIEKKELLKLLKVKYFSSLADPGEPVGVVAAQSIGEPSTQMTLNTFHLAGRGDMNVTLGIPRLQEILMTAKEDIRTPIMTCPLFHHIEKEDAEDLAATLRKITVADIVENMEVFTVPLYIHNHKASIMYRLKMKLHHPDLLNRKLFHSDDYRTKFGVTLDAYEQTLRVSFVKALEVAIFRHLDLVYKIGDISDVSRKDESNNDEQEDADESESKAGQTKDNDDAGDGSDAGDEIAEDQGADAEKRKRQVCDEMEYEDDAEKVSSPRASEDHDEEAASGLEDDQAEAEDEDYVIGGKLVNSDTDDEDNVSGGKPLNSDADDEDDVTGGKLLNSEADLEALGSPSNFEVPVSETVEDVSTEKRKTRQRPDREAKRVIRTKYHKLKFELLIVFQEEDPHILLAEVAQQAAKKVLLKDVKNIDRCTVIERKKPTDPYSLQTAGVNFSVFWNLNEHLNLAQVQSNDIHAMLTTYGIEAAKSTIINEVKGVFGAYGIHVNHRHLSLIADFMTLPGWYRSMNRLGMDKFCTSPFGKMTFETATKFIVQAALHGEVDNLEAPSASVSLGQPMKMGTGCFDLLQNLHV >DRNTG_10856.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32080830:32088584:-1 gene:DRNTG_10856 transcript:DRNTG_10856.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRDEYNQLLYACCVPIAASSSQVSKFGEKVTVLCSGNEIQPLFPAILKPKPLWTGKQVISSILSYVTRGRKPFTVEKDGRIPKEYFGKDLTEHKLFILNNELIHGMIDKAQFGKHGLVHTVHEFYGADTAGILLSMFSRLFTIFLQIHGFTCGVDDLLISEDLDLRRKDILETSEKESEQVHLQFTNNNSVGSTDLQKEIEKVIRTNIEPETARLDRMMSSKMNAITSEANNALFPTGLVKSFPANCLSLMTVTGAKGGLVNMTQISSLLGQQELEGKRVPRMVSGKTLPCFPPWDISSRAGGFISDRFLTGLRPQEYYFHCMAGREGLVDTAIKTSRSGYLQRCLVKNLECLKVSYDYTVRDADGSVVQFMYGEDGVDVLKTSFLTEFGVLASNKSILLDRPSDQDLYKSNAFIRELPEALKEKATTFIHNLSKKGLHKIEKKELLKLLKVKYFSSLADPGEPVGVVAAQSIGEPSTQMTLNTFHLAGRGDMNVTLGIPRLQEILMTAKEDIRTPIMTCPLFHHIEKEDAEDLAATLRKITVADIVENMEVFTVPLYIHNHKASIMYRLKMKLHHPDLLNRKLFHSDDYRTKFGVTLDAYEQTLRVSFVKALEVAIFRHLDLVYKIGDISDVSRKDESNNDEQEDADESESKAGQTKDNDDAGDGSDAGDEIAEDQGADAEKRKRQVCDEMEYEDDAEKVSSPRASEDHDEEAASGLEDDQAEAEDEDYVIGGKLVNSDTDDEDNVSGGKPLNSDADDEDDVTGGKLLNSEADLEALGSPSNFEVPVSETVEDVSTEKRKTRQRPDREAKRVIRTKYHKLKFELLIVFQEEDPHILLAEVAQQAAKKVLLKDVKNIDRCTVIERKKPTDPYSLQTAGVNFSVFWNLNEHLNLAQVQSNDIHAMLTTYGIEAAKSTIINEVKGVFGAYGIHVNHRHLSLIADFMTLPGWYRSMNRLGMDKFCTSPFGKMTFETATKFIVQAALHGEVDNLEAPSASVSLGQPMKMGTGCFDLLQNLHV >DRNTG_10856.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32080830:32096672:-1 gene:DRNTG_10856 transcript:DRNTG_10856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGRSDEASSDFVDSVRFTFYTPEEVRRISVKKITKPDLFDAKDAPIVDGLYDPALGPLDKIDSCKTCGQLSVRCPGHYGHIDFGKPLYHPLLFANLKGLLQVTCFHCHRFRFKEERVEKYALQLQLIIKGNPIVAKNFDEQPVSAKEIKKKLAALDMDSKNLTQQKWTSLQQSEALLVLSKFLAKNPKRCQNCKKRNPTITSPIFGWFNKTISEEAIRANFIIDSNLSLASTEVDEPKCLLDQETQDEDSFSEGGVSLIDEELPVPAKKRRNTKLKSKDLLPQDFAKLFESSGRKHLLPSEVEFILEALWEKEKLFCRLLCDIQEGGLNSSSEKAHTMFLLKALLVPPNKFRPPAGDGTGKVLEHPQNVLLIKVQEANITLCKLIAESPDNPNIVRKWMDLQQTVNVLFDSSKALGKSDRETSGIRQLLEKKSGILRQKMMGKRVNHACRTVISPDPYLAVNEIGIPPNFALRLTYPVRVTPWNVDELRAAVINGADLHPGATHYKDKDRMYKLQVTRNHRMAIARKLPTSRASAQTGKGPETDFEGKIVNVHLRNGDVVLVNRQPTLHKPSMMAHIVRVLPELKTFRMHYANCSTYNADFDGDEMNVHLPQDEVSRAEAFGIVDANKQYIVPTSGDPIRGLIQDHIISAVLLTRKDMFLTRDEYNQLLYACCVPIAASSSQVSKFGEKVTVLCSGNEIQPLFPAILKPKPLWTGKQVISSILSYVTRGRKPFTVEKDGRIPKEYFGKDLTEHKLFILNNELIHGMIDKAQFGKHGLVHTVHEFYGADTAGILLSMFSRLFTIFLQIHGFTCGVDDLLISEDLDLRRKDILETSEKESEQVHLQFTNNNSVGSTDLQKEIEKVIRTNIEPETARLDRMMSSKMNAITSEANNALFPTGLVKSFPANCLSLMTVTGAKGGLVNMTQISSLLGQQELEGKRVPRMVSGKTLPCFPPWDISSRAGGFISDRFLTGLRPQEYYFHCMAGREGLVDTAIKTSRSGYLQRCLVKNLECLKVSYDYTVRDADGSVVQFMYGEDGVDVLKTSFLTEFGVLASNKSILLDRPSDQDLYKSNAFIRELPEALKEKATTFIHNLSKKGLHKIEKKELLKLLKVKYFSSLADPGEPVGVVAAQSIGEPSTQMTLNTFHLAGRGDMNVTLGIPRLQEILMTAKEDIRTPIMTCPLFHHIEKEDAEDLAATLRKITVADIVENMEVFTVPLYIHNHKASIMYRLKMKLHHPDLLNRKLFHSDDYRTKFGVTLDAYEQTLRVSFVKALEVAIFRHLDLVYKIGDISDVSRKDESNNDEQEDADESESKAGQTKDNDDAGDGSDAGDEIAEDQGADAEKRKRQVCDEMEYEDDAEKVSSPRASEDHDEEAASGLEDDQAEAEDEDYVIGGKLVNSDTDDEDNVSGGKPLNSDADDEDDVTGGKLLNSEADLEALGSPSNFEVPVSETVEDVSTEKRKTRQRPDREAKRVIRTKYHKLKFELLIVFQEEDPHILLAEVAQQAAKKVLLKDVKNIDRCTVIERKKPTDPYSLQTAGVNFSVFWNLNEHLNLAQVQSNDIHAMLTTYGIEAAKSTIINEVKGVFGAYGIHVNHRHLSLIADFMTLPGWYRSMNRLGMDKFCTSPFGKMTFETATKFIVQAALHGEVDNLEAPSASVSLGQPMKMGTGCFDLLQNLHV >DRNTG_10856.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32080830:32084009:-1 gene:DRNTG_10856 transcript:DRNTG_10856.7 gene_biotype:protein_coding transcript_biotype:protein_coding MYGEDGVDVLKTSFLTEFGVLASNKSILLDRPSDQDLYKSNAFIRELPEALKEKATTFIHNLSKKGLHKIEKKELLKLLKVKYFSSLADPGEPVGVVAAQSIGEPSTQMTLNTFHLAGRGDMNVTLGIPRLQEILMTAKEDIRTPIMTCPLFHHIEKEDAEDLAATLRKITVADIVENMEVFTVPLYIHNHKASIMYRLKMKLHHPDLLNRKLFHSDDYRTKFGVTLDAYEQTLRVSFVKALEVAIFRHLDLVYKIGDISDVSRKDESNNDEQEDADESESKAGQTKDNDDAGDGSDAGDEIAEDQGADAEKRKRQVCDEMEYEDDAEKVSSPRASEDHDEEAASGLEDDQAEAEDEDYVIGGKLVNSDTDDEDNVSGGKPLNSDADDEDDVTGGKLLNSEADLEALGSPSNFEVPVSETVEDVSTEKRKTRQRPDREAKRVIRTKYHKLKFELLIVFQEEDPHILLAEVAQQAAKKVLLKDVKNIDRCTVIERKKPTDPYSLQTAGVNFSVFWNLNEHLNLAQVQSNDIHAMLTTYGIEAAKSTIINEVKGVFGAYGIHVNHRHLSLIADFMTLPGWYRSMNRLGMDKFCTSPFGKMTFETATKFIVQAALHGEVDNLEAPSASVSLGQPMKMGTGCFDLLQNLHV >DRNTG_10856.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32080830:32088860:-1 gene:DRNTG_10856 transcript:DRNTG_10856.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNVHLPQDEVSRAEAFGIVDANKQYIVPTSGDPIRGLIQDHIISAVLLTRKDMFLTRDEYNQLLYACCVPIAASSSQVSKFGEKVTVLCSGNEIQPLFPAILKPKPLWTGKQVISSILSYVTRGRKPFTVEKDGRIPKEYFGKDLTEHKLFILNNELIHGMIDKAQFGKHGLVHTVHEFYGADTAGILLSMFSRLFTIFLQIHGFTCGVDDLLISEDLDLRRKDILETSEKESEQVHLQFTNNNSVGSTDLQKEIEKVIRTNIEPETARLDRMMSSKMNAITSEANNALFPTGLVKSFPANCLSLMTVTGAKGGLVNMTQISSLLGQQELEGKRVPRMVSGKTLPCFPPWDISSRAGGFISDRFLTGLRPQEYYFHCMAGREGLVDTAIKTSRSGYLQRCLVKNLECLKVSYDYTVRDADGSVVQFMYGEDGVDVLKTSFLTEFGVLASNKSILLDRPSDQDLYKSNAFIRELPEALKEKATTFIHNLSKKGLHKIEKKELLKLLKVKYFSSLADPGEPVGVVAAQSIGEPSTQMTLNTFHLAGRGDMNVTLGIPRLQEILMTAKEDIRTPIMTCPLFHHIEKEDAEDLAATLRKITVADIVENMEVFTVPLYIHNHKASIMYRLKMKLHHPDLLNRKLFHSDDYRTKFGVTLDAYEQTLRVSFVKALEVAIFRHLDLVYKIGDISDVSRKDESNNDEQEDADESESKAGQTKDNDDAGDGSDAGDEIAEDQGADAEKRKRQVCDEMEYEDDAEKVSSPRASEDHDEEAASGLEDDQAEAEDEDYVIGGKLVNSDTDDEDNVSGGKPLNSDADDEDDVTGGKLLNSEADLEALGSPSNFEVPVSETVEDVSTEKRKTRQRPDREAKRVIRTKYHKLKFELLIVFQEEDPHILLAEVAQQAAKKVLLKDVKNIDRCTVIERKKPTDPYSLQTAGVNFSVFWNLNEHLNLAQVQSNDIHAMLTTYGIEAAKSTIINEVKGVFGAYGIHVNHRHLSLIADFMTLPGWYRSMNRLGMDKFCTSPFGKMTFETATKFIVQAALHGEVDNLEAPSASVSLGQPMKMGTGCFDLLQNLHV >DRNTG_28556.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001441.1:6687:7735:1 gene:DRNTG_28556 transcript:DRNTG_28556.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSLIPQNNLGTMVEMMIMSQELDHDHNDDDNVSLASSLSSFSEDHDDASSSSSSSSSSSSSEPMFQMSSLMDQLPIKRGLSKHFQGKSQSFTSLANVKCLEDLVKPERKEHYFSCKRKKLKGSKSYGNGLDNYQKALSPKECSRVITKKSFSSKGSLASSILTSNNARRHGFPVNKPPVHPQRTASFV >DRNTG_28556.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001441.1:6687:7694:1 gene:DRNTG_28556 transcript:DRNTG_28556.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSLIPQNNLGTMVEMMIMSQELDHDHNDDDNVSLASSLSSFSEDHDDASSSSSSSSSSSSSEPMFQMSSLMDQLPIKRGLSKHFQGKSQSFTSLANVKCLEDLVKPERKEHYFSCKRKKLKGSKSYGNGLDNYQKALSPKECSRVITKKSFSSKGSLASSILTSNNARRHGFPVNKPPVHPQRTASFV >DRNTG_28556.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001441.1:6687:7887:1 gene:DRNTG_28556 transcript:DRNTG_28556.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSLIPQNNLGTMVEMMIMSQELDHDHNDDDNVSLASSLSSFSEDHDDASSSSSSSSSSSSSEPMFQMSSLMDQLPIKRGLSKHFQGKSQSFTSLANVKCLEDLVKPERKEHYFSCKRKKLKGSKSYGNGLDNYQKALSPKECSRVITKKSFSSKGSLASSILTSNNARRHGFPVNKPPVHPQRTASFV >DRNTG_28556.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001441.1:6535:7735:1 gene:DRNTG_28556 transcript:DRNTG_28556.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSLIPQNNLGTMVEMMIMSQELDHDHNDDDNVSLASSLSSFSEDHDDASSSSSSSSSSSSSEPMFQMSSLMDQLPIKRGLSKHFQGKSQSFTSLANVKCLEDLVKPERKEHYFSCKRKKLKGSKSYGNGLDNYQKALSPKECSRVITKKSFSSKGSLASSILTSNNARRHGFPVNKPPVHPQRTASFV >DRNTG_28556.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001441.1:6535:7887:1 gene:DRNTG_28556 transcript:DRNTG_28556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSLIPQNNLGTMVEMMIMSQELDHDHNDDDNVSLASSLSSFSEDHDDASSSSSSSSSSSSSEPMFQMSSLMDQLPIKRGLSKHFQGKSQSFTSLANVKCLEDLVKPERKEHYFSCKRKKLKGSKSYGNGLDNYQKALSPKECSRVITKKSFSSKGSLASSILTSNNARRHGFPVNKPPVHPQRTASFV >DRNTG_08659.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16838524:16841920:1 gene:DRNTG_08659 transcript:DRNTG_08659.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNApolymerase 14 kDa subunit [Source:Projected from Arabidopsis thaliana (AT2G29540) UniProtKB/TrEMBL;Acc:A8MRK9] MEHGSLTDLSSSTFSLIDEDHTLANSVRFTLNQDPRVQFSGYSIPHPSDNKVNIRVQTTGDPAKDVLKDALQNLMLMCKHVRITFDDTVNDFRATEKVEHMDINSNR >DRNTG_02682.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19807103:19809551:1 gene:DRNTG_02682 transcript:DRNTG_02682.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACALTSPALADSRVPAARSKSPIAIGLPSLPPLPNVASQGTSRISGFSPKRLAQNVVAMATGEAPAQVATQLPEFVKTIQEAWDQVEDKYAVSSLAFAAAVGLWGSAGMISAIDRLPVVPGVLELVGIGYSGWFAYQNLVYKPDREALLVKIKCLYRDIIGSS >DRNTG_02682.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19807011:19809501:1 gene:DRNTG_02682 transcript:DRNTG_02682.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACALTSPALADSRVPAARSKSPIAIGLPSLPPLPNVASQGTSRISGFSPKRLAQNVVAMATGEAPAQVATQLPEFVKTIQEAWDQVEDKYAVSSLAFAAAVGLWGSAGMISAIDRLPVVPGVLELVGIGYSGWFAYQNLVYKPDREALLVKIKCLYRDIIGSS >DRNTG_02682.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19807103:19809551:1 gene:DRNTG_02682 transcript:DRNTG_02682.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACALTSPALADSRVPAARSKSPIAIGLPSLPPLPNVASQGTSRISAKRLAQNVVAMATGEAPAQVATQLPEFVKTIQEAWDQVEDKYAVSSLAFAAAVGLWGSAGMISAIDRLPVVPGVLELVGIGYSGWFAYQNLVYKPDREALLVKIKCLYRDIIGSS >DRNTG_02682.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19807103:19809501:1 gene:DRNTG_02682 transcript:DRNTG_02682.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACALTSPALADSRVPAARSKSPIAIGLPSLPPLPNVASQGTSRISGFSPKRLAQNVVAMATGEAPAQVATQLPEFVKTIQEAWDQVEDKYAVSSLAFAAAVGLWGSAGMISAIDRLPVVPGVLELVGIGYSGWFAYQNLVYKPDREALLVKIKCLYRDIIGSS >DRNTG_02682.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19807011:19809551:1 gene:DRNTG_02682 transcript:DRNTG_02682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACALTSPALADSRVPAARSKSPIAIGLPSLPPLPNVASQGTSRISAKRLAQNVVAMATGEAPAQVATQLPEFVKTIQEAWDQVEDKYAVSSLAFAAAVGLWGSAGMISAIDRLPVVPGVLELVGIGYSGWFAYQNLVYKPDREALLVKIKCLYRDIIGSS >DRNTG_02682.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19807103:19809501:1 gene:DRNTG_02682 transcript:DRNTG_02682.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACALTSPALADSRVPAARSKSPIAIGLPSLPPLPNVASQGTSRISAKRLAQNVVAMATGEAPAQVATQLPEFVKTIQEAWDQVEDKYAVSSLAFAAAVGLWGSAGMISAIDRLPVVPGVLELVGIGYSGWFAYQNLVYKPDREALLVKIKCLYRDIIGSS >DRNTG_22029.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2500571:2502610:-1 gene:DRNTG_22029 transcript:DRNTG_22029.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTADQPPPLHIVVFPWLAFGHMIPLLELSKSLAKRGHQISYISTPRNISRLPKLPPNLSSFIHFIPLTLPKVSGLPDNAEATSDLPPEKVQFLKLALDGLQQPFANFLKEYSSSKLKRKLPDWIILDFATHWAPSLASHFSIPCIYFSVFSTSSLVFAGPLSEFSLSSASRTSPEHFTVLPNWIPFPSNLSYSLHGARQLLNYIFSNNASGVPDVARLSSTVHGCKLVALRSCMELEPECLRLLPLLYNKPVIPVSLLPPSSNPTTSNDHEILKWLNKQKPNSVVYIAFGSEFTLSIELLHELASGLEMSELHFVWALRKPAEFEGEVLPEGFEERTRERGVVTLGWVPQLDVLGHSAVGGFLSHCGWSSVIEALWFGLPMVLLPLFVDHDINAKVVEGKGFGVEVKRNKEDGTFDGEAVASALRLVMVDDEGQEIRTKAKQVRDHVFGDMEHHEKYVDDFVKHLRDHRDV >DRNTG_22029.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2481435:2502610:-1 gene:DRNTG_22029 transcript:DRNTG_22029.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTADQPPPLHIVVFPWLAFGHMIPLLELSKSLAKRGHQISYISTPRNISRLPKLPPNLSSFIHFIPLTLPKVSGLPDNAEATSDLPPEKVQFLKLALDGLQQPFANFLKEYSSSKLKRKLPDWIILDFATHWAPSLASHFSIPCIYFSVFSTSSLVFAGPLSEFSLSSASRTSPEHFTVLPNWIPFPSNLSYSLHGARQLLNYIFSNNASGVPDVARLSSTVHGCKLVALRSCMELEPECLRLLPLLYNKPVIPVSLLPPSSNPTTSNDHEILKWLNKQKPNSVVYIAFGSEFTLSIELLHELASGLEMSELHFVWALRKPAEFEGEVLPEGFEERTRERGVVTLGWVPQLDVLGHSAVGGFLSHCGWSSVIEALWFGLPMVLLPLFVDHDINAKVVEGKGFGVEVKRNKEDGTFDGEAVASALRLVMVDDEGQEIRTKAKQVRDHVFGDMEHHEKYLDDFVKHLRDHR >DRNTG_11052.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20022830:20026433:1 gene:DRNTG_11052 transcript:DRNTG_11052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATVGERPPQSEKKLRGRAMPAKAIMVLCMLSFFFGLLFSGSMWVTPLQKKEEAANALIDPKLSLITHRFGEGDQRDIIGEVAKTHQAIQSLDKTISALEMELAVARTSQTATSSSSLDGSLSTNKVLKKAFVVIGINTAFSSKKRRESVRETWMPRGSKLKRLEQEKGIVIRFVIGHSATPGGVLDRAIDGEDTETKDFLRLDHVEGYHELSMKTKIYFSTVVAIWDADFYVKVDDDVHVNLGMLITTLARYRTKPRVYIGCMKSGPVLSQKGARYHEPEFWKFGEEGNRYFRHATGQIYAISKDLAAYISINAPILHRYANEDVSLGSWFIGLDVEHVNDKSMCCGTPPDCEWKTQAGNVCIASFDWSCSGICKSVERMKDVHNSCGEGDGAIWNVLT >DRNTG_15585.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1559347:1559646:-1 gene:DRNTG_15585 transcript:DRNTG_15585.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSTSISPAPERTPLLPNNASSPHSSFRLPSINASLAEFPRIQVDAGPTSSATTSWFCSLYSNSQIPSLSGSNNSLPYISRSSTDLSRILFQRSNMCYPS >DRNTG_08117.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11858519:11860330:1 gene:DRNTG_08117 transcript:DRNTG_08117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQDKVMIKLQSSDGMEYEVEEHTAEQSKFLQGLMMSPEARENGITISDVNGTILAKVMDYCKKHAEIADKVELDSWDSAFINVENHILYDLIMAANSLLISSLLHLCGKKFAELIKGHTADEIRETFGIQNDFTPEEAEALRMENLWEF >DRNTG_15929.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:895269:897112:1 gene:DRNTG_15929 transcript:DRNTG_15929.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVLKQHGTRLSDINLASRKAEEAASRRYEAARWLRKTVGVVGAKDLPEEPSEEEFRLGLRNGLILCNALNKIQPGKVPKVVVTPGDSVHLPDGAALSAYQYFENVRNFLVAVQEMGFPLFEASDLEQGGKSTRIVNCVLALKSYGEWKQVGGNGSWKYGGNLKPGSSGKCSMRRNSEPFVNSLSRNQSMDEKFQELEHNILGESNEMTTSNSLNMLVHEVLSDKKPDEIPLLVESVLCKLMEELQHHITNRNEMVQVPSKDQADGNRSFLKQKVSSELVSVSSESK >DRNTG_31933.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2860086:2861126:1 gene:DRNTG_31933 transcript:DRNTG_31933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEACKDVASIASSSWDFSCDFEVDNGSEEHATIIREALAVDQELQPDKVRRHMSVSDGKLIVRFEAVEARFFLENALSYLLKLKTEKMTQKKFAIKKMKLLLESRASIFNQLVESRGQAHKKLKGAQCTMPASLTIVSMEKKNR >DRNTG_00389.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17912451:17913830:-1 gene:DRNTG_00389 transcript:DRNTG_00389.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSRSLSQLKGMKTLEVLDMIEPLRGFKDGYLMSLNLGTPPQVVQVFMDTGSDLTWVPCGNFSFECMQCDDFMNNKLVSSFYPSLSSSSFRDTCTSPLCTNIHSSDNTFDPCAIAGCSLTTIIKSTCPRPCPSFSYTYGGGGLVIGSLNRDTLRVHGSGGDLKEVSSFCFGCVSSAFKEPLGLVGFGKGLLSFPSQVGFVHKGFSHCFLGFKLSNNPNFTSPLIIGDLATTSRDGVHFTPMLSNPIYPNLYYIGLESITVITNGNGNTSSSSSSSSSSSSSSSSSSSSLQLQVPLNLKSFDSGGNGGMLIDSGTTYTHLPEPLYSQLLSELSSKISYKRCSDIEATSGFDLCFKVPVSDEVAGAMLPDISLRFLNDVELVLPKESCYYAISAPKEKMVVKCLLFQRMDQDDGEGYGPAGVFGSFQQQNVEVVYDLEKERIGFLPVDCASSAASHGLIH >DRNTG_11961.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000523.1:25439:28772:1 gene:DRNTG_11961 transcript:DRNTG_11961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSWDLRLCHGHNQGASALARVSFTTRRNITPKDLAPSNATLGIMAPRSKKQSDKRPRESSLEPEGMSFAIPEHQGFEGYWPKFWRGSMAPTSSFLRKKVGRGASVEAYPIPDEGILRRRVEDFP >DRNTG_27758.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24447563:24448982:-1 gene:DRNTG_27758 transcript:DRNTG_27758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLILLFIVFLISIFARAPTGEAWGKQGHFMTCKIAEKYLTEKTSKAVLDLLPEIANGELASVCAWADEVRFRYRWSSHLHYINTPDCTYKFSRDCHDNKGVKDRCVVGAINNYTEQLRSYGDSSSGYNLTESLMFLVHFVGDVHQPLHVGLEADEGGNTIIIHWYRRKTNLHHVWDSNIIETALKDFYRNDQSSMIEAISKNITDSDADSWDNCRNKRVTCANNYALESIHLSCNYAYKDVEENATLGDDYFFSRLPVVEKRIAQGGVRLAAILNQIFDTSLVEII >DRNTG_11400.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14018759:14023917:-1 gene:DRNTG_11400 transcript:DRNTG_11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAHCSSRLLLSSTPPRPLRHRHLPISPLGFNNISFSLRSLRNRDSVLSSAFRRTLHRATAEVHESGGNAAVDVLTNVKHLLLPITDRNPYLSEGTRQAAANTTSLAKKYGADITVVVIDDNSKESNPEHDTLLSNIRWHLSEGGFQEFGLMERLGEGKKPTAIIGEVADDLNLDLVVLSMEAIHSKHVDANLLAEFVPCPVLLLPL >DRNTG_31042.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30570624:30573182:-1 gene:DRNTG_31042 transcript:DRNTG_31042.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSDLSAFYLCSFVGYQDTLYVHSLRQFYRECDIYGTVDFIFGDASVIFEFCNLYARKPGPKQKNIFTAQAREDPNENTGIVLHKCKIAAAEDLIPVQSSVLSYLGRPWREYSRTVIIRSNIGSLIDPAGWLEWNGTFALSTLYYAECENRGPGSNTTDRVKWPGYRVINSTEASQFSVANFIKGGEWLGITTFPFMLGL >DRNTG_31042.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30570624:30573182:-1 gene:DRNTG_31042 transcript:DRNTG_31042.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSDLSAFYLCSFVGYQDTLYVHSLRQFYRECDIYGTVDFIFGDASVIFEFCNLYARKPGPKQKNIFTAQAREDPNENTGIVLHKCKIAAAEDLIPVQSSVLSYLGRPWREYSRTVIIRSNIGSLIDPAGWLEWNGTFALSTLYYAECENRGPGSNTTDRVKWPGYRVINSTEASQFSVANFIKGGEWLGITTFPFMLGL >DRNTG_31042.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30570624:30574330:-1 gene:DRNTG_31042 transcript:DRNTG_31042.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIINGSSCRRRRRASKLIFSAIPFSCLLLLLFFLFSSSSSSIRKPFSTTTNPHLHLHHHSHFETINTAKAHCHGTLYQDLCVSSLSSIPNLASKTIPQIISSLINRTTSEVYDSSRNCSNFLRRRHLDLRQQVALTDCLELFDDTIDAFRTVLSDLRINASSHKDDIESFLSSAIANQFTCLDGFSHVLDGSLRPKIERKLRHISHLVSNSLAMVRRISKRKKRREALEGYGRIVRGFPEWIKSGDRKLLQSAGNTTNANIVVAKDGTGNFTTIGDAIAAAPNKSKTRFIIYIKAGAYFENVEVNKSKTNLMFIGDGIGKTVIKANRSVAQNFTTFRSATLGMHLFFFYFFSLVLRYSETKVRTL >DRNTG_31042.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30570624:30572419:-1 gene:DRNTG_31042 transcript:DRNTG_31042.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLFLHQLVVCHLDFPLSHMLSIRQQTLLPPSILLFPLYDFGISTIFHQPQSWIQPLSEKQIQDQDNKEIKTITYTHP >DRNTG_07031.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3472113:3473739:-1 gene:DRNTG_07031 transcript:DRNTG_07031.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGINDKAEELSSLALSTKCVKMSKSSPRTRRVLSTPVRRSERLKGTSANVTERQLCLYGTPDEVTGREWCIVPARKRLFLGRCGSTGRGTIYNSVLGICCHFCRQKTLCCEDDCKHCRDGDIDQPCMGKTECSSCHSSNGVLCRACLKSRYGEELEDVRTNKNWMCPHCIEEKGINPFWICNSSICLKKRKMAPTGIAIYHAREMGYESVAHLLMYKLKMKLSQQ >DRNTG_18156.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:682868:684743:1 gene:DRNTG_18156 transcript:DRNTG_18156.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKESYGLDTDPLTDYVISCGQTEAFAATVFAIIDRGDEVLLFDPAYEAYETSINLAGGVPVYVPLDPPNWSLNMDKFMRSFTTRTKAVVLNSPHNPTGKVFTEEEFEVIAGACCKMNCFAITDEVYEYITYDDQKHICLASLPGMQERTIVTPSLS >DRNTG_18156.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:682284:682633:1 gene:DRNTG_18156 transcript:DRNTG_18156.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLSQVGRKLKPSPIQELSHLAQRCGAINLAEGFPDFPAPFPVKNAAISAIDANLNQSRHSLTQLLNLLVHFFNSF >DRNTG_18156.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:682284:684456:1 gene:DRNTG_18156 transcript:DRNTG_18156.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKESYGLDTDPLTDYVISCGQTEAFAATVFAIIDRGDEVLLFDPAYEAYETSINLAGGVPVYVPLDPPNWSLNMDKFMRSFTTRTKAVVLNR >DRNTG_18156.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:682284:683461:1 gene:DRNTG_18156 transcript:DRNTG_18156.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKESYGLDTDPLTDYVISCGQTEAFAATVFAIIDRGDEVLLFDPAYEAYETSINLAGGVP >DRNTG_18156.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:682284:684456:1 gene:DRNTG_18156 transcript:DRNTG_18156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLSQVGRKLKPSPIQELSHLAQRCGAINLAEGFPDFPAPFPVKNAAISAIDANLNQSSHVQGVCDLLARKMKESYGLDTDPLTDYVISCGQTEAFAATVFAIIDRGDEVLLFDPAYEAYETSINLAGGVPVYVPLDPPNWSLNMDKFMRSFTTRTKAVVLNSPHNPTGKVFTEEEFEVIAGACCKMNCFAITDEV >DRNTG_08652.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2051478:2053797:-1 gene:DRNTG_08652 transcript:DRNTG_08652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPTPPVPFTPPNGTTQSQLVCSGCRNLLLYPVGATSVCCAVCSVVTNVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLALEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASPSSEQKLSG >DRNTG_08652.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2051478:2053797:-1 gene:DRNTG_08652 transcript:DRNTG_08652.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPTPPVPFTPPNGTTQSQLVCSGCRNLLLYPVGATSVCCAVCSVVTNVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLALEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASPSSEQKLSG >DRNTG_08652.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2051478:2053797:-1 gene:DRNTG_08652 transcript:DRNTG_08652.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPTPPVPFTPPNGTTQSQLVCSGCRNLLLYPVGATSVCCAVCSVVTNVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLALEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASPSSEQKLSG >DRNTG_14680.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000738.1:2474:8631:-1 gene:DRNTG_14680 transcript:DRNTG_14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNAPVRNFHTGVDNFHTPVWILCFSGFSAGCEQCCYSRYYNVATALWIKMLQNPCLVLKDGSNDSLE >DRNTG_13364.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2302195:2303146:-1 gene:DRNTG_13364 transcript:DRNTG_13364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGKSCRLRWINYLRPDLKREELSEAEEDQIIELHSRFLVTGGR >DRNTG_31006.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30409473:30409941:-1 gene:DRNTG_31006 transcript:DRNTG_31006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVPAESFCNGNGCLDFKFNNLRTMKLVEICGEIPELDFIKFVLANAPVLETLNIRVITDPHEDARIFKEIMRFRRASTQAEIICLD >DRNTG_26922.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2432807:2435339:-1 gene:DRNTG_26922 transcript:DRNTG_26922.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIPSLTIPISSPSSFACSFINKTTPSLSIPRRNRRGHSKSSSSRTTTCNMNQENNYKLDRRDVLIGLGGLYGTTAGLILTGNASGAPIQAADPTKCGPADLPAGATPTDCCPPAEKVIDFVLPPSSDPLRVRRAAHLVDKDYIAKYTKAVELMKALPADDPRNFTQQANIHCAYCNGSFDQIGFPNVEIQVHDSWVFFPWHRFYLYFHEKILGKLIGDESFALPFWNWDSPAGYQIPAMYTNTKSSLYDKLRDAKHQPPALVDLNFNGTDLDLTQEQRTKRNLALMYRQVVTGGKTAELFMGSPYRAGDAPAPGAGTLENAPHGTVHVWTGDRTQPNTEDMGTLYSSARDPIFYAHHSNVDRMWNVKTLKCHG >DRNTG_26922.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2432807:2435223:-1 gene:DRNTG_26922 transcript:DRNTG_26922.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIPSLTIPISSPSSFACSFINKTTPSLSIPRRNRRGHSKSSSSRTTTCNMNQENNYKLDRRDVLIGLGGLYGTTAGLILTGNASGAPIQAADPTKCGPADLPAGATPTDCCPPAEKVIDFVLPPSSDPLRVRRAAHLVDKDYIAKYTKAVELMKALPADDPRNFTQQANIHCAYCNGSFDQIGFPNVEIQVHDSWVFFPWHRFYLYFHEKILGKLIGDESFALPFWNWDSPAGYQIPAMYTNTKSSLYDKLRDAKHQPPALVDLNFNGTDLDLTQEQRTKRNLALMYRQVVTGGKTAELFMGSPYRAGDAPAPGAGTLENAPHGTVHVWTGDRTQPNTEDMGTLYSSARDPIFYAHHSNVDRMWNVWKSLGGKRKDFTDSDWLNTSFLFYNENAELVKVKIKDTLDPSKLRFTYQDVEVPWLKARPTPAVATKEKATVKSRALKVFKGPPTFPVTLDSPVSVTVKRPKPGRSQKEKEDEEEVLVVEGLEFDRDLYVKFDVYVNTPEEEGVAPGSSEFAGSFVNVPRKHQPSKGPKTLKTNFKIGITDLLEELECEGDESVVVTLVAKSAKGKIKVGGVKIVFSS >DRNTG_26922.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2432807:2435223:-1 gene:DRNTG_26922 transcript:DRNTG_26922.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIPSLTIPISSPSSFACSFINKTTPSLSIPRRNRRGHSKSSSSRTTTCNMNQENNYKLDRRDVLIGLGGLYGTTAGLILTGNASGAPIQAADPTKCGPADLPAGATPTDCCPPAEKVIDFVLPPSSDPLRVRRAAHLVDKDYIAKYTKAVELMKALPADDPRNFTQQANIHCAYCNGSFDQIGFPNVEIQVHDSWVFFPWHRFYLYFHEKILGKLIGDESFALPFWNWDSPAGYQIPAMYTNTKSSLYDKLRDAKHQPPALVDLNFNGTDLDLTQEQRTKRNLALMYRQVVTGGKTAELFMGSPYRAGDAPAPGAGTLENAPHGTVHVWTGDRTQPNTEDMGTLYSSARDPIFYAHHSNVDRMWNVKTLKCHG >DRNTG_26922.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2432807:2435339:-1 gene:DRNTG_26922 transcript:DRNTG_26922.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIPSLTIPISSPSSFACSFINKTTPSLSIPRRNRRGHSKSSSSRTTTCNMNQENNYKLDRRDVLIGLGGLYGTTAGLILTGNASGAPIQAADPTKCGPADLPAGATPTDCCPPAEKVIDFVLPPSSDPLRVRRAAHLVDKDYIAKYTKAVELMKALPADDPRNFTQQANIHCAYCNGSFDQIGFPNVEIQVHDSWVFFPWHRFYLYFHEKILGKLIGDESFALPFWNWDSPAGYQIPAMYTNTKSSLYDKLRDAKHQPPALVDLNFNGTDLDLTQEQRTKRNLALMYRQVVTGGKTAELFMGSPYRAGDAPAPGAGTLENAPHGTVHVWTGDRTQPNTEDMGTLYSSARDPIFYAHHSNVDRMWNVWKSLGGKRKDFTDSDWLNTSFLFYNENAELVKVKIKDTLDPSKLRFTYQDVEVPWLKARPTPAVATKEKATVKSRALKVFKGPPTFPVTLDSPVSVTVKRPKPGRSQKEKEDEEEVLVVEGLEFDRDLYVKFDVYVNTPEEEGVAPGSSEFAGSFVNVPRKHQPSKGPKTLKTNFKIGITDLLEELECEGDESVVVTLVAKSAKGKIKVGGVKIVFSS >DRNTG_26922.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2432721:2434987:-1 gene:DRNTG_26922 transcript:DRNTG_26922.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIPSLTIPISSPSSFACSFINKTTPSLSIPRRNRRGHSKSSSSRTTTCNMNQENNYKLDRRDVLIGLGGLYGTTAGLILTGNASGAPIQAADPTKCGPADLPAGATPTDCCPPAEKVIDFVLPPSSDPLRVRRAAHLVDKDYIAKYTKAVELMKALPADDPRNFTQQANIHCAYCNGSFDQIGFPNVEIQVHDSWVFFPWHRFYLYFHEKILGKLIGDESFALPFWNWDSPAGYQIPAMYTNTKSSLYDKLRDAKHQPPALVDLNFNGTDLDLTQEQRTKRNLALMYRQVVTGGKTAELFMGSPYRAGDAPAPGAGTLENAPHGTVHVWTGDRTQPNTEDMGTLYSSARDPIFYAHHSNVDRMWNVWKSLGGKRKDFTDSDWLNTSFLFYNENAELVKVKIKDTLDPSKLRFTYQDVEVPWLKARPTPAVATKEKATVKSRALKVFKGPPTFPVTLDSPVSVTVKRPKPGRSQKEKEDEEEVLVVEGLEFDRDLYVKFDVYVNTPEEEGVAPGSSEFAGSFVNVPRKHQPSKGPKTLKTNFKIGITDLLEELECEGDESVVVTLVAKSAKGKIKVGGVKIVFSS >DRNTG_26922.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2432807:2435247:-1 gene:DRNTG_26922 transcript:DRNTG_26922.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIPSLTIPISSPSSFACSFINKTTPSLSIPRRNRRGHSKSSSSRTTTCNMNQENNYKLDRRDVLIGLGGLYGTTAGLILTGNASGAPIQAADPTKCGPADLPAGATPTDCCPPAEKVIDFVLPPSSDPLRVRRAAHLVDKDYIAKYTKAVELMKALPADDPRNFTQQANIHCAYCNGSFDQIGFPNVEIQVHDSWVFFPWHRFYLYFHEKILGKLIGDESFALPFWNWDSPAGYQIPAMYTNTKSSLYDKLRDAKHQPPALVDLNFNGTDLDLTQEQRTKRNLALMYRQVVTGGKTAELFMGSPYRAGDAPAPGAGTLENAPHGTVHVWTGDRTQPNTEDMGTLYSSARDPIFYAHHSNVDRMWNVKTLKCHG >DRNTG_26922.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2432807:2435247:-1 gene:DRNTG_26922 transcript:DRNTG_26922.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIPSLTIPISSPSSFACSFINKTTPSLSIPRRNRRGHSKSSSSRTTTCNMNQENNYKLDRRDVLIGLGGLYGTTAGLILTGNASGAPIQAADPTKCGPADLPAGATPTDCCPPAEKVIDFVLPPSSDPLRVRRAAHLVDKDYIAKYTKAVELMKALPADDPRNFTQQANIHCAYCNGSFDQIGFPNVEIQVHDSWVFFPWHRFYLYFHEKILGKLIGDESFALPFWNWDSPAGYQIPAMYTNTKSSLYDKLRDAKHQPPALVDLNFNGTDLDLTQEQRTKRNLALMYRQVVTGGKTAELFMGSPYRAGDAPAPGAGTLENAPHGTVHVWTGDRTQPNTEDMGTLYSSARDPIFYAHHSNVDRMWNVWKSLGGKRKDFTDSDWLNTSFLFYNENAELVKVKIKDTLDPSKLRFTYQDVEVPWLKARPTPAVATKEKATVKSRALKVFKGPPTFPVTLDSPVSVTVKRPKPGRSQKEKEDEEEVLVVEGLEFDRDLYVKFDVYVNTPEEEGVAPGSSEFAGSFVNVPRKHQPSKGPKTLKTNFKIGITDLLEELECEGDESVVVTLVAKSAKGKIKVGGVKIVFSS >DRNTG_26922.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2432807:2434987:-1 gene:DRNTG_26922 transcript:DRNTG_26922.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIPSLTIPISSPSSFACSFINKTTPSLSIPRRNRRGHSKSSSSRTTTCNMNQENNYKLDRRDVLIGLGGLYGTTAGLILTGNASGAPIQAADPTKCGPADLPAGATPTDCCPPAEKVIDFVLPPSSDPLRVRRAAHLVDKDYIAKYTKAVELMKALPADDPRNFTQQANIHCAYCNGSFDQIGFPNVEIQVHDSWVFFPWHRFYLYFHEKILGKLIGDESFALPFWNWDSPAGYQIPAMYTNTKSSLYDKLRDAKHQPPALVDLNFNGTDLDLTQEQRTKRNLALMYRQVVTGGKTAELFMGSPYRAGDAPAPGAGTLENAPHGTVHVWTGDRTQPNTEDMGTLYSSARDPIFYAHHSNVDRMWNVWKSLGGKRKDFTDSDWLNTSFLFYNENAELVKVKIKDTLDPSKLRFTYQDVEVPWLKARPTPAVATKEKATVKSRALKVFKGPPTFPVTLDSPVSVTVKRPKPGRSQKEKEDEEEVLVVEGLEFDRDLYVKFDVYVNTPEEEGVAPGSSEFAGSFVNVPRKHQPSKGPKTLKTNFKIGITDLLEELECEGDESVVVTLVAKSAKGKIKVGGVKIVFSS >DRNTG_26922.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2432721:2435247:-1 gene:DRNTG_26922 transcript:DRNTG_26922.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIPSLTIPISSPSSFACSFINKTTPSLSIPRRNRRGHSKSSSSRTTTCNMNQENNYKLDRRDVLIGLGGLYGTTAGLILTGNASGAPIQAADPTKCGPADLPAGATPTDCCPPAEKVIDFVLPPSSDPLRVRRAAHLVDKDYIAKYTKAVELMKALPADDPRNFTQQANIHCAYCNGSFDQIGFPNVEIQVHDSWVFFPWHRFYLYFHEKILGKLIGDESFALPFWNWDSPAGYQIPAMYTNTKSSLYDKLRDAKHQPPALVDLNFNGTDLDLTQEQRTKRNLALMYRQVVTGGKTAELFMGSPYRAGDAPAPGAGTLENAPHGTVHVWTGDRTQPNTEDMGTLYSSARDPIFYAHHSNVDRMWNVWKSLGGKRKDFTDSDWLNTSFLFYNENAELVKVKIKDTLDPSKLRFTYQDVEVPWLKARPTPAVATKEKATVKSRALKVFKGPPTFPVTLDSPVSVTVKRPKPGRSQKEKEDEEEVLVVEGLEFDRDLYVKFDVYVNTPEEEGVAPGSSEFAGSFVNVPRKHQPSKGPKTLKTNFKIGITDLLEELECEGDESVVVTLVAKSAKGKIKVGGVKIVFSS >DRNTG_26922.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2432721:2435223:-1 gene:DRNTG_26922 transcript:DRNTG_26922.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIPSLTIPISSPSSFACSFINKTTPSLSIPRRNRRGHSKSSSSRTTTCNMNQENNYKLDRRDVLIGLGGLYGTTAGLILTGNASGAPIQAADPTKCGPADLPAGATPTDCCPPAEKVIDFVLPPSSDPLRVRRAAHLVDKDYIAKYTKAVELMKALPADDPRNFTQQANIHCAYCNGSFDQIGFPNVEIQVHDSWVFFPWHRFYLYFHEKILGKLIGDESFALPFWNWDSPAGYQIPAMYTNTKSSLYDKLRDAKHQPPALVDLNFNGTDLDLTQEQRTKRNLALMYRQVVTGGKTAELFMGSPYRAGDAPAPGAGTLENAPHGTVHVWTGDRTQPNTEDMGTLYSSARDPIFYAHHSNVDRMWNVWKSLGGKRKDFTDSDWLNTSFLFYNENAELVKVKIKDTLDPSKLRFTYQDVEVPWLKARPTPAVATKEKATVKSRALKVFKGPPTFPVTLDSPVSVTVKRPKPGRSQKEKEDEEEVLVVEGLEFDRDLYVKFDVYVNTPEEEGVAPGSSEFAGSFVNVPRKHQPSKGPKTLKTNFKIGITDLLEELECEGDESVVVTLVAKSAKGKIKVGGVKIVFSS >DRNTG_13510.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21947674:21948979:-1 gene:DRNTG_13510 transcript:DRNTG_13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEMGENSSSCSVNQEKGKRLPMPPPPPPPPPPTIAQHPLDPKKLRRLLNNRESSLRSRMKTEQRLKDAEQGVQSYTDQVNTMPIGIENAKNQIELLRKENHDLKTKIFTFNSNRGSKIVEEVMIKKNIEEVNQLLHSKDLND >DRNTG_09360.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:14974439:14980585:1 gene:DRNTG_09360 transcript:DRNTG_09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGQHSPPYPPLRKSWSQIASSLTPSLDNSPLHNEQLLSKLKASTTSFVCLDKDAISRGRMTFSMPFTENYSENLLLLNRSKLPFSLSDLIWERYLSPIFRTGETLETIASNFGNLIKVDEFISTLARSKYARVCIKIDVSKPLYRGFWIEDDLHRVFVVVMYERLPTFCYHCDLIGHGIKGSTPVSHDTDQHMDISDPINIPGANRGSTRGRGGGAHAGLVTPRTAAKPISNDNESHITCGGAQFVRRGWFSSGHVTHFTPASDISTAQPNSPLVVNPTAPLPFNPSTQAISPKSSRGDASLKLAVNSTSFCTARDVGKPAVPTRSTSPPPILRSSIQDTHFNPSFPGHVHRSLVSQIFSALDSSEMEEDSGDEEDFLEEEDVEMGISARDTSTRVFRLIRKFTPLIVCLVETQANADRLDRFCSKIPRHCEWAAILAEVIQEHSSGVNNIEAVILNTENEISTIEMSDSDPNLHIILVEHYAKLSVLQRQCGIKCAQRACLQWINDGDKNTLFFHAISRIGKGCLSTYAFLHSIHVSPDNPCIFCGIHRETTDHLLCHCSRIHAVWDQLNAREIFLLLSMMAFLLVIVAKAIAHVREFSIGSMDPIRRKLIINNFTYADGHFLFIYAISNHNSQEMMDNPSDELFALEVAL >DRNTG_32517.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4808147:4813125:1 gene:DRNTG_32517 transcript:DRNTG_32517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLCSSIFRRSAAIGRARSTRCISTTSASRGGVRWNPTLMVAAGIAAIGGSGLGIWLLPSPSFAESNSPQINDSGAQEKRPKYLIGDSYRKRVFFNYEKRIRLRSPPEKIFEYFASFRSSEGEVFMYPADLMRAVVPVFPPSESNLVRDGHLRGEQHPGDLNCAPSKFFMLFDTNNDGLISFSEYIFFITLLSIPESSFSVAFKMFDLDHNGEIDREEFKKVMALMRSYNRQGTSHRDGLRIGLKVGTPVENGGLLEYFFGKDGNGRLQHDKFVQFLRDLHEEIVHLEFQHYDYHSSGTISSVDFALSMVASADINHVSKFLDRVDELNSNVLLKEMRISFEEFKAFAELRKKLQPLALAIFCFGKVNGLLTKQDFQRAASHVCGISVTDNVVDIIFHIFDANRDGSLSAEEFLTALQRRETDIRQPSATGVMSLFSCCLNCAKTCTVSQVPI >DRNTG_32517.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4811130:4812282:1 gene:DRNTG_32517 transcript:DRNTG_32517.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALMRSYNRQGTSHRDGLRIGLKVGTPVENGGLLEYFFGKDGNGRLQHDKFVQFLRDLHEEIVHLEFQHYDYHSSGTISSVDFALSMVASADINHVSKFLDRVDELNSNVLLKEMRISFEEFKAFAELRKKLQPLALAIFCFGKVNGLLTKQDFQRAASHV >DRNTG_32517.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4808555:4813125:1 gene:DRNTG_32517 transcript:DRNTG_32517.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFKYIFSVYVIVLLGNYIDSYRKRVFFNYEKRIRLRSPPEKIFEYFASFRSSEGEVFMYPADLMRAVVPVFPPSESNLVRDGHLRGEQHPGDLNCAPSKFFMLFDTNNDGLISFSEYIFFITLLSIPESSFSVAFKMFDLDHNGEIDREEFKKVMALMRSYNRQGTSHRDGLRIGLKVGTPVENGGLLEYFFGKDGNGRLQHDKFVQFLRDLHEEIVHLEFQHYDYHSSGTISSVDFALSMVASADINHVSKFLDRVDELNSNVLLKEMRISFEEFKAFAELRKKLQPLALAIFCFGKVNGLLTKQDFQRAASHVCGISVTDNVVDIIFHIFDANRDGSLSAEEFLTALQRRETDIRQPSATGVMSLFSCCLNCAKTCTVSQVPI >DRNTG_32517.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4812101:4813125:1 gene:DRNTG_32517 transcript:DRNTG_32517.6 gene_biotype:protein_coding transcript_biotype:protein_coding EFKAFAELRKKLQPLALAIFCFGKVNGLLTKQDFQRAASHVCGISVTDNVVDIIFHIFDANRDGSLSAEEFLTALQRRETDIRQPSATGVMSLFSCCLNCAKTCTVSQVPI >DRNTG_32517.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4811797:4813125:1 gene:DRNTG_32517 transcript:DRNTG_32517.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSVQIVHLEFQHYDYHSSGTISSVDFALSMVASADINHVSKFLDRVDELNSNVLLKEMRISFEEFKAFAELRKKLQPLALAIFCFGKVNGLLTKQDFQRAASHVCGISVTDNVVDIIFHIFDANRDGSLSAEEFLTALQRRETDIRQPSATGVMSLFSCCLNCAKTCTVSQVPI >DRNTG_32517.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4809609:4812007:1 gene:DRNTG_32517 transcript:DRNTG_32517.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCTVLSKIFEYFASFRSSEGEVFMYPADLMRAVVPVFPPSESNLVRDGHLRGEQHPGDLNCAPSKFFMLFDTNNDGLISFSEYIFFITLLSIPESSFSVAFKMFDLDHNGEIDREEFKKVMALMRSYNRQGTSHRDGLRIGLKVGTPVENGGLLEYFFGKDGNGRLQHDKFVQFLRDLHEEIVHLEFQHYDYHSSGTISSVDFALSMVASADINHVSKFLDRVDELNSNVLLKEMRISFE >DRNTG_20360.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2691051:2693890:1 gene:DRNTG_20360 transcript:DRNTG_20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSQSQSSFKIILGSSSIARKKILAEMGYKFEVMTADIDEKSIRREIPEELVTVLAEAKADAIISRLNTKDYKEKDVETTLLITSDIVAVYEGTIREKPTSEEEARQFLRSYSQGHVSTVGSVLVTNLKTGIKHGGIDKAEVYFHDIPDEIIQNLIDERVVFNVAGGLLLEHPLILPFVDTLIGASDSVMGLPKALTEKLIQEALSYNAD >DRNTG_22158.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3830593:3832424:1 gene:DRNTG_22158 transcript:DRNTG_22158.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGEDQFSALDEGDPFGFELGVKEGNERKRRFSEEQIKSLEMMFETQTKLEPRKKLQMARELGLQPRQVAIWFQNKRARWKSKQLEREYSSMRLEYDKLLSNFENLKKEKQVLVKQLQKLTESLEKQREESSNEGESDNGDNKGKEAAVKQSRGSDAGFVCPVVQEQQVEDTKMERLNYVNQDEIELVGAPASTSLTSTEQECCFDCTSLPDQQWWEFWPMTE >DRNTG_22158.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3830464:3831858:1 gene:DRNTG_22158 transcript:DRNTG_22158.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESGEDQFSALDEGDPFGFELGVKEGNERKRRFSEEQIKSLEMMFETQTKLEPRKKLQMARELGLQPRQVAIWFQNKRARWKSKQLEREYSSMRLEYDKLLSNFENLKKEKQVLVKQLLSMFVNSCRS >DRNTG_22158.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3830464:3832424:1 gene:DRNTG_22158 transcript:DRNTG_22158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGEDQFSALDEGDPFGFELGVKEGNERKRRFSEEQIKSLEMMFETQTKLEPRKKLQMARELGLQPRQVAIWFQNKRARWKSKQLEREYSSMRLEYDKLLSNFENLKKEKQVLVKQLQKLTESLEKQREESSNEGESDNGDNKGKEAAVKQSRGSDAGFVCPVVQEQQVEDTKMERLNYVNQDEIELVGAPASTSLTSTEQECCFDCTSLPDQQWWEFWPMTE >DRNTG_22158.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3830464:3831858:1 gene:DRNTG_22158 transcript:DRNTG_22158.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESGEDQFSALDEGDPFGFELGVKEGNERKRRFSEEQIKSLEMMFETQTKLEPRKKLQMARELGLQPRQVAIWFQNKRARWKSKQLEREYSSMRLEYDKLLSNFENLKKEKQVLVKQLQKLTESLEKQREESSNEGESDNGDNKGKEAAVKQSRGSDAGFVCPVVQEQQVEDTKMERLNYVNQDEIELVGAPASTSLTSTEQECCFDCTSLPDQQWWEFWPMTE >DRNTG_22158.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3830593:3831858:1 gene:DRNTG_22158 transcript:DRNTG_22158.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESGEDQFSALDEGDPFGFELGVKEGNERKRRFSEEQIKSLEMMFETQTKLEPRKKLQMARELGLQPRQVAIWFQNKRARWKSKQLEREYSSMRLEYDKLLSNFENLKKEKQVLVKQLQKLTESLEKQREESSNEGESDNGDNKGKEAAVKQSRGSDAGFVCPVVQEQQVEDTKMERLNYVNQDEIELVGAPASTSLTSTEQECCFDCTSLPDQQWWEFWPMTE >DRNTG_22158.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3830593:3831858:1 gene:DRNTG_22158 transcript:DRNTG_22158.6 gene_biotype:protein_coding transcript_biotype:protein_coding MESGEDQFSALDEGDPFGFELGVKEGNERKRRFSEEQIKSLEMMFETQTKLEPRKKLQMARELGLQPRQVAIWFQNKRARWKSKQLEREYSSMRLEYDKLLSNFENLKKEKQVLVKQLLSMFVNSCRS >DRNTG_23587.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:25337200:25340062:1 gene:DRNTG_23587 transcript:DRNTG_23587.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLDIGQRFDNVEHFGVVLRNFAIKRNFDFKFIKNEKHRVTVECTADGCHWRLHASKEYNKNTFKMKTINPSHTCGGGIGSASHPKASKKWTWFRKEHSQVVLDGSHISSYALLLWFKLMRMLCNRREQANKWETYLCPDIHTKETIFPIRDDNKPSDGNRELRLRPPVMRRHPGRPRRKRIESQVFDVRELHCNRCHGSGHNRRSCNETVTD >DRNTG_30948.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2455048:2456269:-1 gene:DRNTG_30948 transcript:DRNTG_30948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERSTNLDWYKGPTLLEALDMVQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGILKPGMVVTFGPTGLTTEVKSVEMHHESLPEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSW >DRNTG_05729.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9286588:9288154:1 gene:DRNTG_05729 transcript:DRNTG_05729.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKRVASKRPRHDDVPTGDLHFTQPQHQARYINLKTKPFGITRTIEWGDLETIGLADKVLSLISHNGWDKVFAIEEVAYREITLEVLSTIEVVRPHGVTFRALGVTRTMSDLQFGLYLGLYDKEFINLSSSRELPIDFPAWMTHTRFWNLISGFRPNESKKASRLLKPEHRYIQGLFSRGIAGRNDSTVEITRADLLMLYSTTERYPINLGRLCADLLVRQGTYARLGAIFAGPYVTRLVRGMGLIARIAGMQVVGGTTPLGLATLCAMGLVDQRGDGYILIRHSVTGERVVDPPSQSEPEPREDASVELRLQ >DRNTG_02609.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21321525:21323392:-1 gene:DRNTG_02609 transcript:DRNTG_02609.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVLDPGDKILDCPPTFTMYEFDAAVNGALVVKVPRLPDFSLDVPRIIEVAGQEKPKCIFLTSPNNPDGSVISDADLLQILKLPILVVLDEAYIEFSNLKSKMDWVKKHENLIVLRTFSKRAGLAGLRVGYGAFPRSIIEYLWRAKQPYNVSVAAEVSACAALQNPDYLERVKNSLVQERERLYKLLKEVPFLKPFPSYSNFILCEVTCGKDAKKLKEDLAQMGVMIRHYDKKELKGYVRVSVGKPEHTDALMECLKFL >DRNTG_02609.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21323718:21324628:-1 gene:DRNTG_02609 transcript:DRNTG_02609.3 gene_biotype:protein_coding transcript_biotype:protein_coding PRSFGKHFNGSDRNLQRLLYFDRDSPRWIRVWKAKIWLLYGFRGAGGTVGWVPESGHWRFLHPFSSEEIVALSIDFAI >DRNTG_02609.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21321525:21324628:-1 gene:DRNTG_02609 transcript:DRNTG_02609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIGISNACSTSIVIARGGLGSGRRKFGCCMASAVPVEQLDGSQNPVTGDSFIRFHLRKLSPYQSILPFEVLSTRLGRKPENIIKLDANENPYGPPPEVAEALGNLKFPYIYPDPESRRLRAALAEDSGLESDYILAGCGADELIDLIMRCVLDPGDKILDCPPTFTMYEFDAAVNGALVVKVPRLPDFSLDVPRIIEVAGQEKPKCIFLTSPNNPDGSVISDADLLQILKLPILVVLDEAYIEFSNLKSKMDWVKKHENLIVLRTFSKRAGLAGLRVGYGAFPRSIIEYLWRAKQPYNVSVAAEVSACAALQNPDYLERVKNSLVQERERLYKLLKEVPFLKPFPSYSNFILCEVTCGKDAKKLKEDLAQMGVMIRHYDKKELKGYVRVSVGKPEHTDALMECLKFL >DRNTG_02740.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4524549:4530632:-1 gene:DRNTG_02740 transcript:DRNTG_02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHDGRYDGNGDGYGDYGASERSGGGSGFEEFGDPKSQYDSHEHERGSSRSRDREREKEKDKDRDRDRDKERDRERDRERDRGRDKEKDRDRERDRDRHHREKSERREHGRERSDDRDRRHSRDYDRHRDFEREREGRRRHRSRSKSKGRSDHRSRSRSRSRSKSKRISGFDMAPPAAAMMPGVAATGQIAGAPTAIPGMFPNMFPLATGQFPALSVMPVQAMTQQATRHARRVYVGGLSPTANEQSVATFFSQVMSAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNA >DRNTG_02740.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4524549:4530632:-1 gene:DRNTG_02740 transcript:DRNTG_02740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPNMFPLATGQFPALSVMPVQAMTQQATRHARRVYVGGLSPTANEQSVATFFSQVMSAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNA >DRNTG_20555.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3480826:3484903:-1 gene:DRNTG_20555 transcript:DRNTG_20555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVMRLASQRAVVIFSVSTCCMCHTMKRFFCELGVNPYIYELDEDPKGKEIERALSKLLGRSPPVPVVFIGGDLIGPTDKVMTLHLTGGLIPLLHDVGRKSRSRERQPVEPWKKMKAKERKKTKERELGMKSKTKEGVRLNLIKRSDQVPARDVG >DRNTG_23984.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30924425:30928079:1 gene:DRNTG_23984 transcript:DRNTG_23984.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRLSCTRSSREHEFFSAVQSGDVELVELCLRRDPAMFNQTTIYDRLSSLHIAAANGRLEVLSIILERSVNPDLLNRHKQTPLMLAAMHGKLACVQRLLQAGANILMFDSLHGRTCLHFAAYYGHYDCLQAILDAAHSTPVADSWGFARFVNVRDGNGATPLHLASRHKRPECVHILLDNGALVCASTGGYGCPGSTPLHLAARGGSLDCVRALLAWGADRLQRDSSGRIPFMVALKRNHGACAALLNPASAEPLVWPSPLKFISELEPEAKLLLEAALMEANKEREKNILKGTAYSLPSPLNSEDGMDDNVSEMSESELCCICFDQVCTIEVQDCGHQMCAHCTLALCCHNKPNPSSLCMSTPVCPFCRSNIARLVVVKTKAEDDSEKDAPSKIRKLRRSCNHSEGSSSFKGLTAFGSFGMMSGRGSGRRASTSEIMDKP >DRNTG_16103.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25424602:25428112:1 gene:DRNTG_16103 transcript:DRNTG_16103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGREASITQQDSITYSAQNGNEEYSFGIHGAKELREIKNDQDALNIAIAVDSSKKVSVFFRSGHCVSNQGSSSKFSAKDSIIREAIDDKAANLKEEESEEEEDLEDTIIGMEGKRKNNGEDSDLHDSNYNFSDELEEDPVKDIEIVRDMVVVEKEPQENTGGVHIELDYADFGDLNSCSSTDEDELVSKKPKYTEFNEECDMKNPQFKIGMKFRSFKQFKDAIKNYGIKNRYVMAFKPNSNKRCKAIYKRGCPFCLWVSPMVKNGSTIQIKSGQLQHECSRDRHNMHVNAA >DRNTG_22787.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3940763:3941664:1 gene:DRNTG_22787 transcript:DRNTG_22787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKICELVESCTTIIWIASALHAAVNFGQYPYAGYLPNRPTISRRLMPEPGSKEYEELERNPDGVLLKTITSQLQTILGVSLIEILSRHSSDEVYLGQRDTKEWTSDGKALEAFREFGERLVEIENKIINMNEDESLKNRNGPVEMPYTLLYPNTSDFTRVGGLTGRGVPNSVSI >DRNTG_23651.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5185142:5186814:-1 gene:DRNTG_23651 transcript:DRNTG_23651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMEVERSAHDFYEAITPLWNQMLAFIDTICLRCAVELGVPDVMHNHGGPMTLSELIQALSISTSRAQFLRRIMRVLVNSGFFSIKVNELDTSNEENVLYDLTATSRLLITGSTNCLAPLVLLRTGLQSVMAGQAMTSWIKDSDENIETPFHVAHGGKGLFKFASERPQFNALFNEAMACDSRVFMGQVVKEWGDVLFGGLRSLVDVGGGTGGASVVIARAFPEMKCSVLDLAHVVDVQPENELVEFVKGDMFVHVPPADAVLLKWVLHDWSDEDCVKILKNCKMSVSREVNKGKVIIIDTMLQSNLNNFNTTRTQHLWDVYIMTMTFGKERNKKEWKAIFDKAGFSEFKIICELGVHSVIEVYP >DRNTG_09797.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26836721:26839572:-1 gene:DRNTG_09797 transcript:DRNTG_09797.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAMDSWMKEFNEASKLADDISTMISERKSLLPSGPETQRHTSAIRRKLTILGTRLDTLDSLLSDLPTKRAITEREVHKREDLLSNLKSKTKQMASTLNMSNFVDREDLLGPSNRSVEEINRIAGLDNTGIVGLQRQIMKEQDEGLEELGETVLSTKHIALAVNEELNLHTRLIETLDQQVDVTDSRLQRVQKRLAILNRRTTGCCSCMRLLYSVVAIVILIIIASALMKYL >DRNTG_30535.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21353481:21356759:1 gene:DRNTG_30535 transcript:DRNTG_30535.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTSIQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDSVYYVKDRFLRYYEFSSQKEVQVLPIRRPGSVSLNQGPRTLSYSPTENAVLICFDVDGGSYELYIVPKDTSGRSDFVQDAKKGSGGSAVFVARNRFAVLDKGSNQALVKNLKNEIVKKSPLPIATDAIFYAGTGNLLCRAEDKVVIFDLQQRIILGELQTPSVKYIVWSSDMENVALLSKHAIVIATKKLVHKCTLHETIRVKSGAWDENGVFIYSTLTHIKYCLPNGDNGIIKTLDVPIYITKVSGSSIYCLDREGKSQLVIIDATEYIFKLSLLRKRYDHVMSMIRNSQLCGQAVIAYLQQKGFPEVALHFVKDERTRFNLAIESGNIQIAVASAKEIDEKDHWYRLGIEALRQGNTSIVEYAYQRTKNFERLSFLYLITGNTEKLSKMLKIAEIKNDVMGQFHNAMYLGDIQERVKILEKSGHLPLAYVTAATHGLTEVADRLAAELGDNIPSIPEEKARSLMLPPPPLMCGGDWPLLRVMRGIFEGGLDNVGRGGLEDEEDEEANAADWGDEVLDIVDVEGVIQNGNIGDVEDGEADEENDEEGGWDLEDLELPPDVETPKATAHARSNLFVTPTAGMPVSQIWTQKSSLAGDHVAAGNFDTAMRLLSRQLGIKNFAPLKPMFMDLFMGSHTYLQALASAPVLSTALEKGWTESSTPNVRSPPALVFKLSQLDEKLKAAYKSTTEGKFPDALRQFLNILHTIPLIVVDSRREVDEVKELIEITKEYVLGLKMEVKRKETKDNLVRQQELAAYFTNCKLQKIHARLVLTSAMTICFKAGNYATASNFARMLLENSPTEPQAKKARQVLQACGDKKDTQQLNYDFRNPFVVCGATLVPIYRGQKDMSCPYCGARFVPAIEGRLCAVCELAVVGSDASGLLCCPAQIR >DRNTG_01431.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000080.1:10003:11120:1 gene:DRNTG_01431 transcript:DRNTG_01431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEALAGESVIWKNAEVETIGLKSDEVEDDVAKSSTVGKMSKRERSNNYLGDLFVENSLHILHLSTRLEQQSDPTVTLNTLNSLIAEVSWLTDESIESIASHTSASLNELGLRDQELNKKLYRTQVSMRVFNFVFSSIVIWCAAVACPVANIAVEPLLQSFDALSDELMRMNPCKEKKKVLQTIYFLNHSTIAKVGVIKNVVHGLKVFVAEHKDLEGVEKVVHAALAQVELAELELLELSQKLILN >DRNTG_17530.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28973381:28976221:-1 gene:DRNTG_17530 transcript:DRNTG_17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQCSGRDPARVSILSSDNGREFSDKLVSFDLSPSTTLKLHKGDITLWSIDGSTDAIVNAAEELMLGGGGVDGAIHRAAGPQLVEACREVPEVRPGVRCPTGEARITPAFLLPVSHVIHTVGPIYGKHDQPEVLLANAYRNSLKIAKENKIQYIAFPAISCGVYRYPHNEASRVAISTIKECSDGLKEVHFVLFSDTTYSVWLESAYELLK >DRNTG_12956.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14722902:14728330:-1 gene:DRNTG_12956 transcript:DRNTG_12956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIREPSLKLNNLIAAWETFISVGRPKGRGLELTGQCPGQVFFPGKEWPDGRLPEQYPKEDFMAQEIFSHFLTVGRGASSSSSTVPPKLPPFDHVPRPYKGMLRDEIL >DRNTG_15259.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4382938:4385322:1 gene:DRNTG_15259 transcript:DRNTG_15259.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKELTEDQVAKMREAFSLFDTDGDGKIAASELGILMRSLGGNPTQAQLKEIIAVEGLTGPFDFPRFLDIMRRHFRLEPFDRQLRDAFKVLDKEGTGYISVSDLRHVLTSIGEKLEPAEFDEWIREVDVSPDGNIRYEDFIVRMVAK >DRNTG_15259.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4382938:4385648:1 gene:DRNTG_15259 transcript:DRNTG_15259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKELTEDQVAKMREAFSLFDTDGDGKIAASELGILMRSLGGNPTQAQLKEIIAVEGLTGPFDFPRFLDIMRRHFRLEPFDRQLRDAFKVLDKEGTGYISVSDLRHVLTSIGEKLEPAEFDEWIREVDVSPDGNIRYEDFIVRMVAK >DRNTG_15259.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4382938:4385608:1 gene:DRNTG_15259 transcript:DRNTG_15259.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKELTEDQVAKMREAFSLFDTDGDGKIAASELGILMRSLGGNPTQAQLKEIIAVEGLTGPFDFPRFLDIMRRHFRLEPFDRQLRDAFKVLDKEGTGYISVSDLRHVLTSIGEKLEPAEFDEWIREVDVSPDGNIRYEDFIVRMVAK >DRNTG_22706.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1422835:1428239:1 gene:DRNTG_22706 transcript:DRNTG_22706.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMEPYLSPGEDSNDENMWTIEIADGSSTLDGEVGKRLNQMVPIPHVPRINGEIPSVDEATSDHQRLLDRLQLYELIELKVQGDGNCQFRALSDQFYRSPEHHKFVRQQVVNQLKSHPEIYEGYVPMAYDEYLEKMSKSGEWGDHVTLQAAADSYGVKMVIFTSFKDTCYIEILPINQKSKRVIFLSFWAEVHYNSIYPDGEQPTTEGRKKKRSGGTLEILSIRLAFQ >DRNTG_22706.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1422835:1428239:1 gene:DRNTG_22706 transcript:DRNTG_22706.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMYEQDPDLLRWGLHLLHGDPLFNIGCCEIPIESVDSFESGVHASESNFGTDHTNVENDEILAHALQEELSQIASREASGSSLAVDELSQASVLAQDWLDFSARYTHSGTESVSVAMDGMEPYLSPGEDSNDENMWTIEIADGSSTLDGEVGKRLNQMVPIPHVPRINGEIPSVDEATSDHQRLLDRLQLYELIELKVQGDGNCQFRALSDQFYRSPEHHKFVRQQVVNQLKSHPEIYEGYVPMAYDEYLEKMSKSGEWGDHVTLQAAADSYGVKMVIFTSFKDTCYIEILPINQKSKRVIFLSFWAEVHYNSIYPDGEQPTTEGRKKKRSGGTLEILSIRLAFQ >DRNTG_22706.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1422835:1428239:1 gene:DRNTG_22706 transcript:DRNTG_22706.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTRICFGGVCIFFMEELSQIASREASGSSLAVDELSQASVLAQDWLDFSARYTHSGTESVSVAMDGMEPYLSPGEDSNDENMWTIEIADGSSTLDGEVGKRLNQMVPIPHVPRINGEIPSVDEATSDHQRLLDRLQLYELIELKVQGDGNCQFRALSDQFYRSPEHHKFVRQQVVNQLKSHPEIYEGYVPMAYDEYLEKMSKSGEWGDHVTLQAAADSYGVKMVIFTSFKDTCYIEILPINQKSKRVIFLSFWAEVHYNSIYPDGEQPTTEGRKKKRSGGTLEILSIRLAFQ >DRNTG_22706.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1422835:1428239:1 gene:DRNTG_22706 transcript:DRNTG_22706.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTRICFGGVCIFFMEELSQIASREASGSSLAVDELSQASVLAQDWLDFSARYTHSGTESVSVAMDGMEPYLSPGEDSNDENMWTIEIADGSSTLDGEVGKRLNQMVPIPHVPRINGEIPSVDEATSDHQRLLDRLQLYELIELKVQGDGNCQFRALSDQFYRSPEHHKFVRQQVVNQLKSHPEIYEGYVPMAYDEYLEKMSKSGEWGDHVTLQAAADSYGVKMVIFTSFKDTCYIEILPINQKSKRVIFLSFWAEVHYNSIYPDGEQPTTEGRKKKRSGGTLEILSIRLAFQ >DRNTG_22706.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1422835:1428239:1 gene:DRNTG_22706 transcript:DRNTG_22706.4 gene_biotype:protein_coding transcript_biotype:protein_coding NILRYATSKLFSENYIFNLNSVQFRALSDQFYRSPEHHKFVRQQVVNQLKSHPEIYEGYVPMAYDEYLEKMSKSGEWGDHVTLQAAADSVF >DRNTG_06897.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13927040:13927329:1 gene:DRNTG_06897 transcript:DRNTG_06897.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCGLGRGRGERRVREREGGGAVEAGGGFVLGVGGDAAEEKKTEWGGVEEEMAGGGDGENIEESGVAGAAG >DRNTG_25907.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19865963:19882288:-1 gene:DRNTG_25907 transcript:DRNTG_25907.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGIPKPGDALSGELWEVKKERIRRSSIYGKLPGWDLRSIIVKSGDDCRQEHLAVQLVAHFYDIYQEAGLPLWLRPYEVLVTSSYTALIETIPDTASLHSLKSRFPNISSLREFFVAKYEENSPNFKLAQRNFVESMAGYSILCYLLQVKDRHNGNLLLDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGTPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGPRTIQNLRKRFHLNLTEEQCVSLVLSLISSSLDAWRTRQYDYYQRVLNGIL >DRNTG_25907.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19865963:19882288:-1 gene:DRNTG_25907 transcript:DRNTG_25907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLGLGRAWGSDRQPREIAARPGGTLSSAAGENGWLVRFFDSAFFCEWIAVSYLYKHPHPGVRDYLCNRMYALPLTGIEAYLFQISYMLVHLPSPSLDKFVIDTCAKSLRIALKVHWFLMAELEDSDDSEGISRIQEKCQIAATLMGEWPPLIRPAANESPTPKNPVLNRLLSSKQRLLSLTSSPEGSPSDENSKILKKLVPGPKLRDTLLFRKSMEKEKEEEESDKDGFFKRFLRDSKEKEEDDGDKEGFFKRFLRDSKDREDEDGDKDGFFRRLLSKEKEGEEGDKDGFFRKFLKDSKDEEEELAGSSSDGFFKRLFRDKEEKLGGEDDEIHEKEGFLKRIFKDKNEIQEEKCNGSVVDEEKEGFFKRLFKDKNEEKKEDEVERGRGGHEDDEKDGFFRRIFKDKNEDRRASGHSMNEEDDKCGGSVEESEKEGFFRRMFKDKNDERKDGVHDRIEEDDKRNGSIDEAEKDGFFRRIFKEKHDEKRDGRDKNEESSRCNESLEEEETSEILSFRRLFRVHPEEAKTVVINENGINSGTIESSPGTENFFRRLFRDRDQSIEDSELFGLKKHKEKRPGSPRERNEKSHAKPPLPTNIIAQIRKGTYHASLEFVQTLCDTSYGLVDIFPIEDRKSALRESLVEMNSHLADAQNNGVVCFPMGKGMYRVVHIPEDEAVLLNSREKAPYLICVEVLKGEAPSSTKEPTDGQKLSRAGIPLANGDAQLPKPPPWAYPLWIQQDMYQDTTSRMLRSTSEAIDRAMAQLWEAKVKFVHVNFSIEKKSVDRPKGWKGNPNVNGVNSLMSNADHDPEWVHVSLSAVPGVNMEDVDEQEPPRRKDHRRVPSTIAMEEVKAAAAKGQAPPGLPLKLAGQDSSDVKPKLMDGGIPKPGDALSGELWEVKKERIRRSSIYGKLPGWDLRSIIVKSGDDCRQEHLAVQLVAHFYDIYQEAGLPLWLRPYEVLVTSSYTALIETIPDTASLHSLKSRFPNISSLREFFVAKYEENSPNFKLAQRNFVESMAGYSILCYLLQVKDRHNGNLLLDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGTPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGPRTIQNLRKRFHLNLTEEQCVSLVLSLISSSLDAWRTRQYDYYQRVLNGIL >DRNTG_10817.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5217510:5225701:-1 gene:DRNTG_10817 transcript:DRNTG_10817.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPQSQSQCCENPPTLNPSSGHGSVVENLGGLKAYTAGSPESKLAVLLVSDVYGFEAPNLRKLADKVAAAAGYFVVVPDFLHGDPYVPEKVPFPTWLQAHGAAKGFEEAKHIVEALKSTGTSAIGAAGFCWGAKVVAELAKSEDIKAAVMLHPSFVTVEDIKEIKVSLAVLGAEIDRTSPPSLLKEFEKILSTKSEVDSFVKIFPGVAHGWTVRYDVHDEAVVKSAEEAHQNMLEWFSKYLK >DRNTG_31554.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20605829:20614790:1 gene:DRNTG_31554 transcript:DRNTG_31554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPSPSPPRYGISMSETSGSPSSTPSRSPQLPITLLPARKKHPPKSAKIFRAIRSIVRSFPIISPGCRFHANIPRGNTSHDSHIQGATCTTGTLFGHRKARVTLAIQENPRSIPWLLLKLGIPTTKLLQEVTSSLRIALECEKLVGSKTKILDEPVWTAFINGRKIGYAARREPTDTHLSIMQLLHAISMGAGVLPDELTDPVDGELTYMRAHFDRIIGNRDSETFYMFNPDSKSGPELSIFFVRI >DRNTG_31554.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20605829:20614790:1 gene:DRNTG_31554 transcript:DRNTG_31554.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPSPSPPRYGISMSETSGSPSSTPSRSPQLPITLLPARKKHPPKSAKIFRAIRSIVRSFPIISPGCRFHANIPRGNTSHDSHIQGATCTTGTLFGHRKARVTLAIQENPRSIPWLLLKLGIPTTKLLQEVTSSLRIALECEKLVGSKTKILDEPVWTAFINGRKIGYAARREPTDTHLSIMQLLHAISMGAGVLPDELTDPVDGELTYMRAHFDRIIGNRDSETFYMFNPDSKSGPELSIFFVRI >DRNTG_11032.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:855142:856517:1 gene:DRNTG_11032 transcript:DRNTG_11032.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERLKGMNRDRIRLEGMVRPAAVSVEEAKKVLRAWEMESVRSRLRGMASSRVSYSEFLRICGESAGFDRGLEIARDLDESGAVIILGGLVFLRPDEVAKAIERVLPVKNESENKELKEMEKKKAEMEEKAEAEVRRELWAGLGLLVMQTVGFMRLTFWELTWDVMEPICFFFTSTYFMAGYAFFLSTSKEPSFQAIFQSRFEAKMERLMKLHHFDVKRFNHLRMVVSSSSSFDSH >DRNTG_11032.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:855142:856560:1 gene:DRNTG_11032 transcript:DRNTG_11032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLKGMNRDRIRLEGMVRPAAVSVEEAKKVLRAWEMESVRSRLRGMASSRVSYSEFLRICGESAGFDRGLEIARDLDESGAVIILGGLVFLRPDEVAKAIERVLPVKNESENKELKEMEKKKAEMEEKAEAEVRRELWAGLGLLVMQTVGFMRLTFWELTWDVMEPICFFFTSTYFMAGYAFFLSTSKEPSFQAIFQSRFEAKMERLMKLHHFDVKRFNHLRMVVSSSSSFDSHHADNPCC >DRNTG_23629.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30224794:30226153:-1 gene:DRNTG_23629 transcript:DRNTG_23629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNQGNTLPAPATRAAMLVRINTLLQGYSGIRFEILEAITSFLNSNVTPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPDGKKIDATKAFKACWNLQ >DRNTG_21584.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19178273:19180414:-1 gene:DRNTG_21584 transcript:DRNTG_21584.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTILPPREAHVQPRPNEASEAENEPLRAHRLQHWRRCSSLRCHIPTEEDSFSITFLHHSAHACQEQ >DRNTG_08834.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27946492:27950547:1 gene:DRNTG_08834 transcript:DRNTG_08834.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair and meiosis protein (Mre11) [Source:Projected from Arabidopsis thaliana (AT5G54260) TAIR;Acc:AT5G54260] MTINPQRFGQKYVGKVANPQDILIFSKAAKKRQSLEEKIDDHEKLRPEELNQQNIEALVAESNLKMEILPVNDLDIALQDFVNKDDKMAFYSCVQYNLDETRKKLNSEAEKLKIEEEDLIVKVGECIQERVKERSLHSKEHLRRMSDSQTLQ >DRNTG_08834.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27944371:27953423:1 gene:DRNTG_08834 transcript:DRNTG_08834.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair and meiosis protein (Mre11) [Source:Projected from Arabidopsis thaliana (AT5G54260) TAIR;Acc:AT5G54260] MRPETQEECPVADWFNILVLHQNRIKTNPKNAINEHFLPRFLDFIVWGHEHECLVDPQEVMGMGFHITQPGSSVATSLIEGEAKPKHVLLLEIKGSQYRPTKIPLKSVRPFEYAEVVLKDESDIDPNDQASVLEHLDKVVRNLIEKSNKRSVNRSELKLPLVRIKVDYSGFMTINPQRFGQKYVGKVANPQDILIFSKAAKKRQSLEEKIDDHEKLRPEELNQQNIEALVAESNLKMEILPVNDLDIALQDFVNKDDKMAFYSCVQYNLDETRKKLNSEAEKLKIEEEDLIVKVGECIQERVKERSLHSKEHLRRMSDSQTLQGNKGKGSATFSATNSFSDDEDTREMLSTSKSVGQSRKEPSRMSRGSNDATGIGRSGISKRGRGRGSSNLKQMTLDATIITRRSQRSTSASASAAVQSIAEDGLNSPSSEETEKFDVNEVVESSEPEESFQVKGRKRAAPRGRGRGSTTSAKRGRKSNSSSMHSMLMNIDDNDDDDDDDDLPGKQKKVQPRVAKNYGALRKR >DRNTG_08834.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27946659:27953423:1 gene:DRNTG_08834 transcript:DRNTG_08834.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair and meiosis protein (Mre11) [Source:Projected from Arabidopsis thaliana (AT5G54260) TAIR;Acc:AT5G54260] MEILPVNDLDIALQDFVNKDDKMAFYSCVQYNLDETRKKLNSEAEKLKIEEEDLIVKVGECIQACNPSNGSYLCGML >DRNTG_08834.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27945503:27946575:1 gene:DRNTG_08834 transcript:DRNTG_08834.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair and meiosis protein (Mre11) [Source:Projected from Arabidopsis thaliana (AT5G54260) TAIR;Acc:AT5G54260] GSQYRPTKIPLKSVRPFEYAEVVLKDESDIDPNDQASVLEHLDKVVRNLIEKSNKRSVNRSELKLPLVRIKVDYSGFMTINPQRFGQKYVGKVA >DRNTG_08834.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27950637:27952016:1 gene:DRNTG_08834 transcript:DRNTG_08834.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair and meiosis protein (Mre11) [Source:Projected from Arabidopsis thaliana (AT5G54260) TAIR;Acc:AT5G54260] MLSTSKSVGQSRKEPSRMSRGSNDATGIGRSGISKRGRGRGSSNLKQMTLDATIITRRSQRSTSASASAAVQSIAEDGLNSPSSEETEKFDVNEVVESSEPEESFQVKGRKRAAPRGRGRGSTTSAKRGRKSNSSSMHSMLMNIDDNDDDDDDDDLPGKQKKVQPRVC >DRNTG_08834.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27941967:27953423:1 gene:DRNTG_08834 transcript:DRNTG_08834.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair and meiosis protein (Mre11) [Source:Projected from Arabidopsis thaliana (AT5G54260) TAIR;Acc:AT5G54260] MAESAMEDAGDTLRVLIATDCHLGYMEKDEIRRFDSFQAFEEICSIAEEKKVDFLLLGGDLFHENKPSRFTLVKAIEILRRYCLNDQPVQFQVVSDQTMNFLNAFGHVNYEDPHFNVGLPVFTIHGNHDDPAGVDNLSSIDILSACNLVNYFGKMVLGGSGVGQITLYPILIKKGATSVALYGLGNIRDERLNRMFQTPHAIQWMRPETQEECPVADWFNILVLHQNRIKTNPKNAINEHFLPRFLDFIVWGHEHECLVDPQEVMGMGFHITQPGSSVATSLIEGEAKPKHVLLLEIKGSQYRPTKIPLKSVRPFEYAEVVLKDESDIDPNDQASVLEHLDKVVRNLIEKSNKRSVNRSELKLPLVRIKVDYSGFMTINPQRFGQKYVGKVANPQDILIFSKAAKKRQSLEEKIDDHEKLRPEELNQQNIEALVAESNLKMEILPVNDLDIALQDFVNKDDKMAFYSCVQYNLDETRKKLNSEAEKLKIEEEDLIVKVGECIQACNPSNGSYLCGML >DRNTG_08834.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27941366:27953423:1 gene:DRNTG_08834 transcript:DRNTG_08834.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair and meiosis protein (Mre11) [Source:Projected from Arabidopsis thaliana (AT5G54260) TAIR;Acc:AT5G54260] MAESAMEDAGDTLRVLIATDCHLGYMEKDEIRRFDSFQAFEEICSIAEEKKVDFLLLGGDLFHENKPSRFTLVKAIEILRRYCLNDQPVQFQVVSDQTMNFLNAFGHVNYEDPHFNVGLPVFTIHGNHDDPAGVDNLSSIDILSACNLVNYFGKMVLGGSGVGQITLYPILIKKGATSVALYGLGNIRDERLNRMFQTPHAIQWMRPETQEECPVADWFNILVLHQNRIKTNPKNAINEHFLPRFLDFIVWGHEHECLVDPQEVMGMGFHITQPGSSVATSLIEGEAKPKHVLLLEIKGSQYRPTKIPLKSVRPFEYAEVVLKDESDIDPNDQASVLEHLDKVVRNLIEKSNKRSVNRSELKLPLVRIKVDYSGFMTINPQRFGQKYVGKVANPQDILIFSKAAKKRQSLEEKIDDHEKLRPEELNQQNIEALVAESNLKMEILPVNDLDIALQDFVNKDDKMAFYSCVQYNLDETRKKLNSEAEKLKIEEEDLIVKVGECIQERVKERSLHSKEHLRRMSDSQTLQGNKGKGSATFSATNSFSDDEDTREMLSTSKSVGQSRKEPSRMSRGSNDATGIGRSGISKRGRGRGSSNLKQMTLDATIITRRSQRSTSASASAAVQSIAEDGLNSPSSEETEKFDVNEVVESSEPEESFQVKGRKRAAPRGRGRGSTTSAKRGRKSNSSSMHSMLMNIDDNDDDDDDDDLPGKQKKVQPRVAKNYGALRKR >DRNTG_32606.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001868.1:9299:10434:1 gene:DRNTG_32606 transcript:DRNTG_32606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLLWLKRIWNAWNLQAFMLASLSLQIILIFSGSLRKRNSSWWVSLILWSAYLLADWVATFALGILSKTQDDHDCKSAEHSLKQNAELLAFWSPFLLLHLGGPDTITAFSLEDNELWMRHLLGLVFQVCVALYAFMKSLPDTRLIVPAFLMFLAGIINYGERSWSLMCASVDGLRKSMVTPPDPGPNYVKFMEEYSCMSAAGLHVDIVVENESEPNPLALDTEEEDIKDVELVSKAHHFFNIFKRLTVDLILTFHDRNESLSFFLKRSPDQAFKLIEFELSFIYEELFTKATALHTVAGPFLRLLTFSSIFSSLLSSSSPKSMVTWRPM >DRNTG_25345.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24087070:24090000:1 gene:DRNTG_25345 transcript:DRNTG_25345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTARSIVGEKVILVPYMKEHVPRYHQWMQDPDLLAATASDPLTLDEEYQMHYSWTQDPKKHTFIVLDKDLLRGEFIEGDPHDEAMVGDVNIYMNDPDDLQLAEIEIMIAELESRGKGLGKESILMMMSFAIQNYGIHTFRAKIGETNKSSLNLFQKLGFQDVSYSDAFKEVTLELPVTELSLGELPASTGISHVIKDLHNQQLVDER >DRNTG_33201.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:348085:352951:-1 gene:DRNTG_33201 transcript:DRNTG_33201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKIGLPPKPSMRGGNWVVDASHCQGCASQFTFINRKHHCRRCGGLFCNSCTQQRMVLRGQGDSPVRICAPCKNLEEAARFELRHGHRNRASKGSSKQTSKHEEVLNELLRTEGAQSLLSRPESHTDVISDLLRTTSSPSASTHAESAASVNGGLSMRSSSVNVENHSLDDIGSSSVEELRQRAVDEKKKYKILRGEGKSEEALQAFKRGKELERQAAALEIQLRKNRRMASKASTLSSVSVTDKSDESEEPGSKKKKVSSQMGKAVKDDLAAELKELGWTDADIHDADKKPVKPSLEGELSKLLSELPRKASQGKGAGSIDRTQVTAHKRQALIFKREGKLAEAKEELKKAKILEKQIEEQELLGGAEDSDDELSVLINSMDDDDKQDDLLLDVGHDPSVDFHQLLGVGDDLAGDNNFEVTDDDMNDPELAAALQSFGWTEEDDRAHGNVLQSAPLDQEALMNKILALKREALSHKRAGNVPEAMNLLKKAKQLEKDLEDMQPDIQSFPSESTQKSATYQVSGETSIAASENLNAKLPPKNRLAIQRELLAIKKNALALRREGRIEEAEEELKKGKALENQLEEIETASKKPDVKASKKNVESNRRLEDGPGTLDLEEAGVDAEVTEQDMHDPALLSVLKNLGWEDEDAEATSRPIKTSKQIKDVSDRPSVVPVKTFKNKAEIQRELLALKRKALALRRQGKTEEADEELEKAKVLEKQMEEMETSHIAGSTQVESHGIGLSEKYSRKI >DRNTG_33201.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:348085:352176:-1 gene:DRNTG_33201 transcript:DRNTG_33201.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRGQGDSPVRICAPCKNLEEAARFELRHGHRNRASKGSSKQTSKHEEVLNELLRTEGAQSLLSRPESHTDVISDLLRTTSSPSASTHAESAASVNGGLSMRSSSVNVENHSLDDIGSSSVEELRQRAVDEKKKYKILRGEGKSEEALQAFKRGKELERQAAALEIQLRKNRRMASKASTLSSVSVTDKSDESEEPGSKKKKVSSQMGKAVKDDLAAELKELGWTDADIHDADKKPVKPSLEGELSKLLSELPRKASQGKGAGSIDRTQVTAHKRQALIFKREGKLAEAKEELKKAKILEKQIEEQELLGGAEDSDDELSVLINSMDDDDKQDDLLLDVGHDPSVDFHQLLGVGDDLAGDNNFEVTDDDMNDPELAAALQSFGWTEEDDRAHGNVLQSAPLDQEALMNKILALKREALSHKRAGNVPEAMNLLKKAKQLEKDLEDMQPDIQSFPSESTQKSATYQVSGETSIAASENLNAKLPPKNRLAIQRELLAIKKNALALRREGRIEEAEEELKKGKALENQLEEIETASKKPDVKASKKNVESNRRLEDGPGTLDLEEAGVDAEVTEQDMHDPALLSVLKNLGWEDEDAEATSRPIKTSKQIKDVSDRPSVVPVKTFKNKAEIQRELLALKRKALALRRQGKTEEADEELEKAKVLEKQMEEMETSHIAGSTQVESHGIGLSEKYSRKI >DRNTG_33201.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:348085:352951:-1 gene:DRNTG_33201 transcript:DRNTG_33201.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKIGLPPKPSMRGGNWVVDASHCQGCASQFTFINRKHHCRRCGGLFCNSCTQQRMVLRGQGDSPVRICAPCKNLEEAARFELRHGHRNRASKGSSKQTSKHEEVLNELLRTEGAQSLLSRPESHTDVISDLLRTTSSPSASTHAESAASVNGGLSMRSSSVNVENHSLDDIGSSSVEELRQRAVDEKKKYKILRGEGKSEEALQAFKRGKELERQAAALEIQLRKNRRMASKASTLSSVSVTDKSDESEEPGSKKKKVSSQMGKAVKDDLAAELKELGWTDADIHDADKKPVKPSLEGELSKLLSELPRKASQGKGAGSIDRTQVTAHKRQALIFKREGKLAEAKEELKKAKILEKQIEEQELLGGAEDSDDELSVLINSMDDDDKQDDLLLDVGHDPSVDFHQLLGVGDDLAGDNNFEVTDDDMNDPELAAALQSFGWTEEDDRAHGNVLQSAPLDQEALMNKILALKREALSHKRAGNVPEAMNLLKKAKQLEKDLEDMQPDIQSFPSESTQKSATYQVSGETSIAASENLNAKLPPKNRLAIQRELLAIKKNALALRREGRIEEAEEELKKGKALENQLEEIETASKKPDVKASKKNVESNRRLEDGPGTLDLEEAGVDAEVTEQDMHDPALLSVLKNLGWEDEDAEATSRPIKTSKQIKDVSDRPSVVPVKTFKNKAEIQRELLALKRKALALRRQGKTEEADEELEKAKVLEKQMEEMETSHIAGSTQVESHGIGLSEKYSRKI >DRNTG_33201.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:348085:352951:-1 gene:DRNTG_33201 transcript:DRNTG_33201.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKIGLPPKPSMRGGNWVVDASHCQGCASQFTFINRKHHCRRCGGLFCNSCTQQRMVLRGQGDSPVRICAPCKNLEEAARFELRHGHRNRASKGSSKQTSKHEEVLNELLRTEGAQSLLSRPESHTDVISDLLRTTSSPSASTHAESAASVNGGLSMRSSSVNVENHSLDDIGSSSVEELRQRAVDEKKKYKILRGEGKSEEALQAFKRGKELERQAAALEIQLRKNRRMASKASTLSSVSVTDKSDESEEPGSKKKKVSSQMGKAVKDDLAAELKELGWTDADIHDADKKPVKPSLEGELSKLLSELPRKASQGKGAGSIDRTQVTAHKRQALIFKREGKLAEAKEELKKAKILEKQIEEQELLGGAEDSDDELSVLINSMDDDDKQDDLLLDVGHDPSVDFHQLLGVGDDLAGDNNFEVTDDDMNDPELAAALQSFGWTEEDDRAHGNVLQSAPLDQEALMNKILALKREALSHKRAGNVPEAMNLLKKAKQLEKDLEDMQPDIQSFPSESTQKSATYQVSGETSIAASENLNAKLPPKNRLAIQRELLAIKKNALALRREGRIEEAEEELKKGKALENQLEEIETASKKPDVKASKKNVESNRRLEDGPGTLDLEEAGVDAEVTEQDMHDPALLSVLKNLGWEDEDAEATSRPIKTSKQIKDVSDRPSVVPVKTFKNKAEIQRELLALKRKALALRRQGKTEEADEELEKAKVLEKQMEEMETSHIAGSTQVESHGIGLSEKYSRKI >DRNTG_33201.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:348085:352951:-1 gene:DRNTG_33201 transcript:DRNTG_33201.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKIGLPPKPSMRGGNWVVDASHCQGCASQFTFINRKHHCRRCGGLFCNSCTQQRMVLRGQGDSPVRICAPCKNLEEAARFELRHGHRNRASKGSSKQTSKHEEVLNELLRTEGAQSLLSRPESHTDVISDLLRTTSSPSASTHAESAASVNGGLSMRSSSVNVENHSLDDIGSSSVEELRQRAVDEKKKYKILRGEGKSEEALQAFKRGKELERQAAALEIQLRKNRRMASKASTLSSVSVTDKSDESEEPGSKKKKVSSQMGKAVKDDLAAELKELGWTDADIHDADKKPVKPSLEGELSKLLSELPRKASQGKGAGSIDRTQVTAHKRQALIFKREGKLAEAKEELKKAKILEKQIEEQELLGGAEDSDDELSVLINSMDDDDKQDDLLLDVGHDPSVDFHQLLGVGDDLAGDNNFEVTDDDMNDPELAAALQSFGWTEEDDRAHGNVLQSAPLDQEALMNKILALKREALSHKRAGNVPEAMNLLKKAKQLEKDLEDMQPDIQSFPSESTQKSATYQVSGETSIAASENLNAKLPPKNRLAIQRELLAIKKNALALRREGRIEEAEEELKKGKALENQLEEIETASKKPDVKASKKNVESNRRLEDGPGTLDLEEAGVDAEVTEQDMHDPALLSVLKNLGWEDEDAEATSRPIKTSKQIKDVSDRPSVVPVKTFKNKAEIQRELLALKRKALALRRQGKTEEADEELEKAKVLEKQMEEMETSHIAGSTQVESHGIGLSEKYSRKI >DRNTG_06192.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25208662:25213490:1 gene:DRNTG_06192 transcript:DRNTG_06192.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM4 [Source:Projected from Arabidopsis thaliana (AT2G16440) UniProtKB/Swiss-Prot;Acc:Q0WVF5] MHGKLVDSGKPGDRVEITGVYRAMSVRVGPTQRTVKSLFKTYIDCLHLKKTDKSRLHIEDPMECDDNSSTKRTASEDDSLDYEDKVEKLKELAKQPDIYDRLTRSLAPNIWELDDVKRGLLCQLFGGSAVKLQVGTSCRGDINILLVGDPGTSKSQLLQYMHKLSPRGIYTSGRGSSAVGLTAYVTKDPETGETVLESGALVLSDRGVCCIDEFDKMSENARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPSGSRYNPRLSVIDNIHLPPTLLSRFDLIYLILDKPDEQTDRRLAKHIVALHFENPESIVHDVLDLPTLTAYISYARKHIHPQLSEEAAEKLVCGYVEMRKRGNFPGSGKKVITATARQIESLIRLSEALARMRFSEWVNGKDVDEAFRLLEVAMQQSATDHATGTIDMDLITTGISASERMRRENLLTATRNLVMDKMQLGGPSTRLTELLEELRKQNSFEVHLNDLRNALSTLMSEGIVSLHGDSVKRI >DRNTG_06192.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25206653:25213490:1 gene:DRNTG_06192 transcript:DRNTG_06192.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM4 [Source:Projected from Arabidopsis thaliana (AT2G16440) UniProtKB/Swiss-Prot;Acc:Q0WVF5] MASSDYSSPYGPSSSPDGHSSPIPNTDSSPPIPPSTNGGRRRRRRTPTPNPNSYQTPTRSSIPPTSPLSNPFSTPRRRGTPSTPLSASDAGDEFSDPADPTPVFVWGTNISVPDVNAAILRFLRNFRGPHDDPDSLEQGKYMRAIDRIIQSEAGESVDVDAHDVFDYDPDLYAKMVRYPLEVLVIFELVLMDMVARLDPSYQKHIQPRIFNLKSSVSMRNLNPSDIEKMVSVKGMIIRCSSIIPVVKEAVFRCLICGYYSDPVLLDRGRINEPTRCGRQECLALNSMTLVHNRCSFTDKQIVKLQETPDEIPEGGTPHTVSIIMHGKLVDSGKPGDRVEITGVYRAMSVRVGPTQRTVKSLFKTYIDCLHLKKTDKSRLHIEDPMECDDNSSTKRTASEDDSLDYEDKVEKLKELAKQPDIYDRLTRSLAPNIWELDDVKRGLLCQLFGGSAVKLQVGTSCRGDINILLVGDPGTSKSQLLQYMHKLSPRGIYTSGRGSSAVGLTAYVTKDPETGETVLESGALVLSDRGVCCIDEFDKMSENARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPSGSRYNPRLSVIDNIHLPPTLLSRFDLIYLILDKPDEQTDRRLAKHIVALHFENPESIVHDVLDLPTLTAYISYARKHIHPQLSEEAAEKLVCGYVEMRKRGNFPGSGKKVITATARQIESLIRLSEALARMRFSEWVNGKDVDEAFRLLEVAMQQSATDHATGTIDMDLITTGISASERMRRENLLTATRNLVMDKMQLGGPSTRLTELLEELRKQNSFEVHLNDLRNALSTLMSEGIVSLHGDSVKRI >DRNTG_22391.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16877847:16880044:1 gene:DRNTG_22391 transcript:DRNTG_22391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNRQKKVRKERRREREIEREGKENEKREKLGQQSNIL >DRNTG_29682.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3919960:3932258:-1 gene:DRNTG_29682 transcript:DRNTG_29682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEWATVQHLDLRHVGRELKPRQPHAAAFHPSQAIVAVAIGNFIIEFDAITGNKISSIDIGAHVVVMAYSPINDHTVIAILEDCTVRACDFDTEQTLVLHSPEKRSEHVSSDTEVHLALTTLQPVVFFGFHRRMSVTAVGTIEGGRPPTKIKTDLKKPIVNLACHPRLPVLYVAYADGLIRAYNIQTYAVHYTLQLDNTIKLIGAGAFAFHPTLEWIFVGDRRGTLLAWDVSTERPNMIGITQAGSHPITSVAWLPMLRLLVTLSKDGTLQVWKTRVGSNPNRPMQANFFEHAALENIDITQILSAQDGEAVYPLPKIKSLAVHPKFNLAVLLFADMASGANPQNKATYTREGRKQLFTVLQSARGSTAAVLKEKLSSLGSSGILADHQLQAQLQENQLKGQNQLTISDIARKAFLHSHFLEGHAKGGPISRLPLITISDPSHQLRDFPVCQPFHLELNFFNKENRVLQYPIRAFYLDGFNLMAYNLSSGADNLYKKLYSSIPGNVECLPKSILYSSKQHLFLVVFELSGATGVVHEVVLYWEQTDQQSVNNKGSSLKGRDAALMGPNENQYAILDEDKTSLSMYVLPGGGRQEADINNVQAALDAETFAEKEVVNQGPLHFSFETEVDRIFSSPLESTILYAIAGNHIGLAKLVQGYRLSGDDGLYISTKTEGKKFIKLKPNENVLQVHWHETLRGRVAGILTTHRVLITSADLDILSGSSTKFDKGLPSYRSLLWVGPTLLFSSATAVSILGWDSRVRTIISISMPYSVLVGALNDRLLFVNPTEINPRQKKGVEIRSCLVGLLEPLLIGFATMQQHFEQKLDLSEVLYQITSRFDSLRITPRSLDILAKGSPVCGHLAVSLSQAGPQFTQVLRCIYAIKALRFSTALSVLKDEFLRSREYPHCPPTSHLFHRFRQLGYACIKYGQFDSAKETFEVIADYESVLDLFICHLNPSAMRRLAQKLEEAATDSELRRYCERILRIRSTGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPTSQKDVPQWELSGEVMPYMKTNEGGIPAIIADHIGVYLGVIRGRGNMVEVSETSLVKAFRAGSSEVKVPSLPESLSNPSKPPANGGSKGDSIAETLSRQLAGAPAPSDEQAKAEAEFKRTLYGVVDGSSSDEDDAKSTTRKIQIRIRDKPSAPAVDVNKIKEATKQFKLGDGLGPPMRTKSLSGGPQDISLLSDPTPATTSAAASATVADMFGTNTPLLAPPAQSNPMVMGMGVSAGPIPEDFFQNTISSIQVAASLAPPGTYLSKVSQAPQGPQVMEGSKSAPTSNVMPDIGLPDGGVPPQASQQAAVPPVTVGLPGGGVPPQSQAPAPQTAFTSQPVDLSLLEVPGSTAATGASKAQPPPPSPPTAVRPGQVPRGAAASVCFKTALAHLEQNQLPDALSCLDEGFLALAKDQSRGADIKAQATICAQYKIAVALLQEIARLQKVTGASALSAKDEMARLSRHLGSLPLLAKHRINCIRTAIKRNMEVQNYAYAKVMLDLLLSKAPPSKQDELRSLIDMCVQRGLTNKSIDPLEDPSQFCAATLSRLSTIGHDVCDLCGAKFSALSTPGCIICGMGSIKRSDALAGPVPSPFG >DRNTG_12699.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4326417:4330275:1 gene:DRNTG_12699 transcript:DRNTG_12699.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAQASIVVEQEKKSELAVKDEPKDANEDSFGGLTKVDECLEDVEVDVLESVDGDDLELFQGEDLDPDATEHSSSFGDTLSGSDNELCSSSGDMEVDSGMRAMEQQTLSNGFQRLFRKKKLSDHWRNFIRPLTWRCEWIELRMSELYSQAQKYDKELVKYLHKKQLRSTMVELDGSVSRAVPLACLNCQKQAMKRRRRKRNEDTVDISSYMSHHNLFSYYENKRSDMNCYSIADDCGDPVDQKNISRDAFETLNEWLMLGGKDTTQEQILLNIEAVHARIRKVKVRLEDLMKANNQELPLSQEFVGPGNLSNSVARSLYSSPGHNEDALLHETPHTPKNHVPEYESDVVWPGSTVSSYGDDIVENTMDLFSAAHSDQHFVDSCKDSIDEVLIHNQAAEEDQQNFDVGNAKEQSPEQVKERSRVFLIPGRECKPSSFW >DRNTG_12699.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4326569:4330275:1 gene:DRNTG_12699 transcript:DRNTG_12699.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAQASIVVEQEKKSELAVKDEPKDANEDSFGGLTKVDECLEDVEVDVLESVDGDDLELFQGEDLDPDATEHSSSFGDTLSGSDNELCSSSGDMEVDSGMRAMEQQTLSNGFQRLFRKKKLSDHWRNFIRPLTWRCEWIELRMSELYSQAQKYDKELVKYLHKKQLRSTMVELDGSVSRAVPLACLNCQKQAMKRRRRKRNEDTVDISSYMSHHNLFSYYENKRSDMNCYSIADDCGDPVDQKNISRDAFETLNEWLMLGGKDTTQEQILLNIEAVHARIRKVKVRLEDLMKANNQELPLSQEFVGPGNLSNSVARSLYSSPGHNEDALLHETPHTPKNHVPEYESDVVWPGSTVSSYGDDIVENTMDLFSAAHSDQHFVDSCKDSIDEVLIHNQAAEEDQQNFDVGNAKEQSPEQVKERSRVFLIPGRECKPSSFW >DRNTG_12699.18.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4330417:4330658:1 gene:DRNTG_12699 transcript:DRNTG_12699.18 gene_biotype:protein_coding transcript_biotype:protein_coding ASSTLFIIFLSLKFSVSYQDHTSQTSKNIHTNIPSLSSKKTRNLY >DRNTG_12699.17.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4330417:4330716:1 gene:DRNTG_12699 transcript:DRNTG_12699.17 gene_biotype:protein_coding transcript_biotype:protein_coding ASSTLFIIFLSLKFSVSYQDHTSQTSKNIHTNIPSLSSKKTRNLY >DRNTG_12699.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4328065:4330658:1 gene:DRNTG_12699 transcript:DRNTG_12699.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSELYSQAQKYDKELVKYLHKKQLRSTMVELDGSVSRAVPLACLNCQKQAMKRRRRKRNEDTVDISSYMSHHNLFSYYENKRSDMNCYSIADDCGDPVDQKNISRDAFETLNEWLMLGGKDTTQEQILLNIEAVHARIRKVKVRLEDLMKANNQELPLSQEFVGPGNLSNSVARSLYSSPGHNEDALLHETPHTPKNHVPEYESDVVWPGSTVSSYGDDIVENTMDLFSAAHSDQHFVDSCKDSIDEVLIHNQAAEEDQQNFDVGNAKEQSPEQVKERSRVFLIPGRECKPSSFW >DRNTG_12699.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4330417:4330759:1 gene:DRNTG_12699 transcript:DRNTG_12699.15 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPINLRPHRENPLPRRRRHRHRPHP >DRNTG_12699.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4326569:4330658:1 gene:DRNTG_12699 transcript:DRNTG_12699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAQASIVVEQEKKSELAVKDEPKDANEDSFGGLTKVDECLEDVEVDVLESVDGDDLELFQGEDLDPDATEHSSSFGDTLSGSDNELCSSSGDMEVDSGMRAMEQQTLSNGFQRLFRKKKLSDHWRNFIRPLTWRCEWIELRMSELYSQAQKYDKELVKYLHKKQLRSTMVELDGSVSRAVPLACLNCQKQAMKRRRRKRNEDTVDISSYMSHHNLFSYYENKRSDMNCYSIADDCGDPVDQKNISRDAFETLNEWLMLGGKDTTQEQILLNIEAVHARIRKVKVRLEDLMKANNQELPLSQEFVGPGNLSNSVARSLYSSPGHNEDALLHETPHTPKNHVPEYESDVVWPGSTVSSYGDDIVENTMDLFSAAHSDQHFVDSCKDSIDEVLIHNQAAEEDQQNFDVGNAKEQSPEQVKERSRVFLIPGRECKPSSFW >DRNTG_12699.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4328869:4330275:1 gene:DRNTG_12699 transcript:DRNTG_12699.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGKDTTQEQILLNIEAVHARIRKVKVRLEDLMKANNQELPLSQEFVGPGNLSNSVARSLYSSPGHNEDALLHETPHTPKNHVPEYESDVVWPGSTVSSYGDDIVENTMDLFSAAHSDQHFVDSCKDSIDEVLIHNQAAEEDQQNFDVGNAKEQSPEQVKERSRVFLIPGRECKPSSFW >DRNTG_34307.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:12649943:12651275:-1 gene:DRNTG_34307 transcript:DRNTG_34307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQQKRKKWTEEEERSLIEKYSEMQSSGTLRRLPTREKRFRPIADHVNASHHALDPSSFPFVWSWKDVSTKAQNMRNQYLLVKQKLLPSSPSSGDPSDLDALSLWPNFLLYRQVFGDAPLKPADPADADPGLGFGSEIRGEDEFSPVPVVKRRKKKGWGAVAAMVARMGEWEERMEERELKREKERRRRAAADEMAARRRAEEEREWEERREKRREEWRKRMEETMARHRAEMEQVHARVLHDQQAIISQLLAFISPDSGAHHHHHHHHHSAFLSQMMNGMVAGENRDGGDGQEDQFIVDE >DRNTG_03125.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21564455:21573855:1 gene:DRNTG_03125 transcript:DRNTG_03125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIQRLYEACKASFSANGPASPEALENVRTMLDKIKPSDVGLDHEAQLVKGWKGPANGINGKKGGNGSNQCLPPIKYLHLHECDSFSIGIFCMPASSVIPLHNHPGMTVLSKLLYGTVHVKSYDWIDIDRSFSPSEARPARLVRDGDMSAPCGTTVLGPTTGGNIHCFKALTPCALFDILSPPYSSEDGRHCSYFRKSSKKDQPADIVEAVLATGVKPSELAWLEEYQPPDSFVIRRGSYKGRPIRV >DRNTG_24165.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1382738:1395163:1 gene:DRNTG_24165 transcript:DRNTG_24165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLRAVDFCYQKRSSFGSVGYSGASTIVVTCQNLFFEVVNLVCYLFCTSETCVLFVYFGMVDSDQVKSEALHIVEPNPIRCGRSVSGPGLLGLGVANVNKARIGHQPAPVDLPHGLVEFLKGVDDFHRPVWILWNSLFQFPVNSTCYNNLLQCLL >DRNTG_22594.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17537333:17537897:1 gene:DRNTG_22594 transcript:DRNTG_22594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYSSLWNADDWATRGGLEKTDWSKAPFIASYRGFHVDGCEASVEAKFCATQGMRWWDQKEFQDLDGYQYRRLQWVRSKYTIYNYCTDRTRYPTMPPECKRDHDV >DRNTG_16449.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17192945:17194336:1 gene:DRNTG_16449 transcript:DRNTG_16449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRWFKKGFRHVRFYIENDQFFSFDDLGDPPSPPSSEKSESESESEPDSSSPAFKQGLCLPRPASLNAFDIISFSQGFNLSGLFEERVEECRFVSGEPVSKIISKLEEIAKVVSFTVRKKDYSVSLEGTREGEKGPLAIAAEIYELTPELVVVEVKKKGGDKGEYEEFCNHELKPVLQKLVHVAAHRDDIVSDTG >DRNTG_31161.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13328689:13332218:-1 gene:DRNTG_31161 transcript:DRNTG_31161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDYNDRSFTFITPIWIEISRVNGHPYVIQGVEDERLQNSVVSFLIFELMMEVQAIFRLVCGEVNDHQLLWQCMCSSKMVMFGADVASLLCEWKVMANMEHSNDVD >DRNTG_31174.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17744305:17749612:-1 gene:DRNTG_31174 transcript:DRNTG_31174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSLEDAEKNVEKDGNLQDSENKATNDASMENEDEVGKEEDKKDSTTVNKENIVTREDLKEIFNRFGTVKFVDFRMGEESGYIRFEDSDSAVKARATAVLVESGLTVKNCTVTLEALTGEAEKEYWDLLRGNQERFRGNKGGRGRGRGNKGGRPFDGKRNRHADSPASRPSKVPKV >DRNTG_05088.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:6139378:6145433:-1 gene:DRNTG_05088 transcript:DRNTG_05088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILRQLLFGVVKRWERGSEKVIKKEKELEIEVIQSLARERVPTPYVEKRTPPPELFEESLDDPHIEETTLLVTPTVIEENTSKKHWREVTFPKKGKRKPSPKEVVKEMYPYGVGLTAEGSLWPKPVTYSRKVAIKVTFPEPYSLRAGGGGPEDVQVRQLELALLLLNYSFPPFSVGEEQRPFIIVAQVTEGKNMTFDKFCLWSLRVLMPCMPTWFRSCRFAAICRRPGSTESGSGRDAYEEQTYRDSTLKRRLSFTDFSLLSVLYNTDFISSPQYKQLLIDFPPDHTYFISRGRSYELGLTKDSSLTQLTLRLGDYRWYYPLGMDTLRLMGMIVHHGSRWVLTHSQIEQLLAWSEDLNEESDNEFDSESST >DRNTG_32964.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30821082:30821973:1 gene:DRNTG_32964 transcript:DRNTG_32964.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYASCGRIEFGRRLFDLSVEKDEVCWNSMISGYAECGLLDHARLLFDEMPERGIVSWNAMINGYVKVGDIGSARELFDRMPGRNADSWNTLIAGYAKCGFVDMSRKLFDEMLEKNVVSWSAMITALVQGGFPKEALDLYE >DRNTG_22740.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31605589:31605837:-1 gene:DRNTG_22740 transcript:DRNTG_22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYMDEKWKLSKKGSCRRSSSGRRSVESGAFLKRSASALESRGSSQRSFTSRCASLVKEQRAKFYIMRRCVTMLICWRDYP >DRNTG_33995.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5226122:5227469:1 gene:DRNTG_33995 transcript:DRNTG_33995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNGIIKLILSKPEGRIIGLEYNGIDNLLEFIKSSTNTGGYWDLNWNTLGSKKDVIVDLIDGKEFEVIVNNVNQVELSFRTIWNPSLNSKAPLNIDKRFIVLRGSSGFYSYAIFEHQSDWPGFVLQETRIAFKLNQDKFRSMAISDYRQRDMPLPEDRNRARSIALAYPEAVRITKPIDSKCKGEVDDKYQYSADNEDSKVHGWICPDPPTGFWLITPSNEFRTGGPTKQDLTSHVGPT >DRNTG_35275.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002236.1:24436:24794:1 gene:DRNTG_35275 transcript:DRNTG_35275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLLMVAMEEEGLRQIVKVSMVASVEEVFGLSYSYSYLWRVYSHLENSWRYLALS >DRNTG_06274.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6476:10445:1 gene:DRNTG_06274 transcript:DRNTG_06274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACLSTQSPAAAAAGTPPDGVASSRCVFGSKEEKRRKLKQKREKENGGLFERRLVAGSGVGREMSEEELHDTPGRMFLNGASEVACLFTQQGKKGTNQDAMIVWENFASRSDTIFCGVFDGHGPFGHMVAKKVRDSLPLKLCEQWRANSSSINSPQQNGSAHGSMNSVQIASIDDQWGESFDVDENGKLPEAFSSLKQSFLKAFKLMDIELKLHPSIDCFCSGTTAVTVLKQGHNLVIGNVGDSRAVMGTRDKDNKLVPVQLTVDLKPNLPREAARIQQCRGRVFALQDEPEVARVWLPNNDSPGLAMARAFGDFCLKDYGLISVPDISYRELTEKDEFVVLATDGIWDVLSNKDVVDIVSAAPSRSTAARALVDCAVRTWRLKFPTSKIDDCATVVLFLDVPFSSDQPEGCTSENPQIDSAPADSSISSLTKEETSNEEDVQVSVTSEANASASTLGNPQNACNTNEIMPEPKEPKLEKAPDRCQSTRSLADCISTAEEEEWTALEGVTRVNSLLNLPRFLVSDDKSPNRKTPKWKKWL >DRNTG_17377.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6721211:6724699:-1 gene:DRNTG_17377 transcript:DRNTG_17377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIENSTPEMVVGGRNSRVVEKKAPLLPSKKEEEGGFGEFSSASFAGGVFNLSTTIVGAGIMSLPATMKILGLIPGMAMIVFVAFLTDASIEMLMRFSRAGKTNSYGGVMGDAFGRFGMVLLQICVVVNNVGVMIVYMIIIGDVLSGTSSSGVHHSGVLEGWFGAHWWTGRTSVLTATTLLVFAPLACFKRVDSLQYTSALSVALAVVFVVITAGIAIVKLAAGGVALPRLFPDLPNLISIGKLFTAVPVLVTAYICHYNVHTIDNELDDNTQIKPIVRISLLLCSTVYIATSFFGFLLFGEFTLDDVLANFDSDLGIPYSNVFSDVVRVSYAVHLMLVFPIIFHALRLNLDGLVFPAARPLSSDNRRFALLSVILLSVIFLLANFIPSIWDAFQFTGATAAVCIGFIFPAAITLKNNHGIASKWDKILSVIMIVLAVFSNAVAIYSNAHTLFTKSDKATTTLGSVSRFAFI >DRNTG_27479.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26472487:26473359:1 gene:DRNTG_27479 transcript:DRNTG_27479.2 gene_biotype:protein_coding transcript_biotype:protein_coding ISSMNVHHQHHHQQQQQQQSAEYTGATILSFNGNTLIDNRPIMNPLELFYGDSYLKLTGRSSLQNTSPNQVLFNFHLREYCEWLDRSDIVSPVCITNTSVLHWSSSDNLNNFTDTEASRRSIHRMLINIPFQTESKELWKLAIALFSRLIVNKMISKESCRHVQVEIDVKVVALTRRLVAPNVHRRTVERLVHRREAGRFMGTPIVTSLTALIWSDIFDAVSGQSSCTFRLITQF >DRNTG_27479.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26470275:26473359:1 gene:DRNTG_27479 transcript:DRNTG_27479.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLSLSLSEGFLHFTSDILHECSSSTSSSAAAAAAVCRVHWSNNFELQWKHSHRQQTNNEPFGALLWRQLLEAHWTFISAKYISKPSTLQLPSPRILRMARPL >DRNTG_34809.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1423869:1425707:1 gene:DRNTG_34809 transcript:DRNTG_34809.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:APC2 [Source:Projected from Arabidopsis thaliana (AT2G04660) UniProtKB/TrEMBL;Acc:A0A178VYA9] MFTQGILAESVGTASNDHVFTIADGMIDANRNGITNGNSEELSMADEEGDNSVASVEEQLQKEMTIYEKFIIGMLTNFGSMALDRIHNTLKMFCVAEPAYDKSLQQLQSFLSGLVSEEKLEMKDGLYFLKK >DRNTG_34809.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1422818:1423412:1 gene:DRNTG_34809 transcript:DRNTG_34809.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:APC2 [Source:Projected from Arabidopsis thaliana (AT2G04660) UniProtKB/TrEMBL;Acc:A0A178VYA9] MQFTVAPIHAVIITKFQDQTSWTSKNLAAAIGIPVETLNRRISFWVGK >DRNTG_34809.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1424493:1425707:1 gene:DRNTG_34809 transcript:DRNTG_34809.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:APC2 [Source:Projected from Arabidopsis thaliana (AT2G04660) UniProtKB/TrEMBL;Acc:A0A178VYA9] MFCVAEPAYDKSLQQLQSFLSGLVSEEKLEMKDGLYFLKK >DRNTG_34809.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1419660:1422455:1 gene:DRNTG_34809 transcript:DRNTG_34809.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:APC2 [Source:Projected from Arabidopsis thaliana (AT2G04660) UniProtKB/TrEMBL;Acc:A0A178VYA9] MYFKKKLEELSTVIAGVSDDVCESQSEMDIDDNYSKKNISKDHKLVKDIGKVVRDLRSLGFMSMSEDAYASAIFVLLKSQVNDLAGDEYRNPVLESIKEWIQAVPLQFLHALQLYLGDSVDYDNGSSGLKSPLASPVSSFPGVNMPSELLVRWKLRLEYFAYETLQDLRIGKLFEIIVDYPDSSPAIEDLKQCLEYTGQHSKLVDSFISSLRYRLLTAGASTNDILHQYVSTIKALRTIDPTGVFLETVGEPIRDYLKGRKDTIKCIVTMLTDGNPSGSGNSGDSLLEELNRDVENQEGADNDDNINSDDKQAWINAECWEPDPAEVDPTKGSNNRRKIDILAMIVSLIGSKDQLVNEYRVMLAEKLLNKLDYDFDSEIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNANIKASIPQTSQTEQGENDVSLNLLDATIISTNFWPPIQ >DRNTG_34809.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1418485:1425707:1 gene:DRNTG_34809 transcript:DRNTG_34809.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:APC2 [Source:Projected from Arabidopsis thaliana (AT2G04660) UniProtKB/TrEMBL;Acc:A0A178VYA9] MFWQHFEAFHSSSTSEMPNVHIKEDWPQTVLIKALEEICLEKCYQEKCLLILVHSFQSYEENLSLETGDLNLCESNLMCRYQLMVSAVLLATLPSYFPEILRMYFKKKLEELSTVIAGVSDDVCESQSEMDIDDNYSKKNISKDHKLVKDIGKVVRDLRSLGFMSMSEDAYASAIFVLLKSQVNDLAGDEYRNPVLESIKEWIQAVPLQFLHALQLYLGDSVDYDNGSSGLKSPLASPVSSFPGVNMPSELLVRWKLRLEYFAYETLQDLRIGKLFEIIVDYPDSSPAIEDLKQCLEYTGQHSKLVDSFISSLRYRLLTAGASTNDILHQYVSTIKALRTIDPTGVFLETVGEPIRDYLKGRKDTIKCIVTMLTDGNPSGSGNSGDSLLEELNRDVENQEGADNDDNINSDDKQAWINAECWEPDPAEVDPTKGSNNRRKIDILAMIVSLIGSKDQLVNEYRVMLAEKLLNKLDYDFDSEIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNANIKASIPQTSQTEQGENDVSLNLLDATIISTNFWPPIQAESLNIPTSIDQLLSDYAKRFHDIKTPRKLLWKKNLGAVKLELQFEDQNMQFTVAPIHAVIITKFQDQTSWTSKNLAAAIGIPVETLNRRISFWVGNPCRICRNCK >DRNTG_34809.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1417688:1425707:1 gene:DRNTG_34809 transcript:DRNTG_34809.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APC2 [Source:Projected from Arabidopsis thaliana (AT2G04660) UniProtKB/TrEMBL;Acc:A0A178VYA9] MAFDLYALDSLGSDEIDEILNSWAGFCVCTDAIIRGNGDLSAGSKLAPLVASLCKHGLRSLVEDHFLQALEETFKTNAVLMFWQHFEAFHSSSTSEMPNVHIKEDWPQTVLIKALEEICLEKCYQEKCLLILVHSFQSYEENLSLETGDLNLCESNLMCRYQLMVSAVLLATLPSYFPEILRMYFKKKLEELSTVIAGVSDDVCESQSEMDIDDNYSKKNISKDHKLVKDIGKVVRDLRSLGFMSMSEDAYASAIFVLLKSQVNDLAGDEYRNPVLESIKEWIQAVPLQFLHALQLYLGDSVDYDNGSSGLKSPLASPVSSFPGVNMPSELLVRWKLRLEYFAYETLQDLRIGKLFEIIVDYPDSSPAIEDLKQCLEYTGQHSKLVDSFISSLRYRLLTAGASTNDILHQYVSTIKALRTIDPTGVFLETVGEPIRDYLKGRKDTIKCIVTMLTDGNPSGSGNSGDSLLEELNRDVENQEGADNDDNINSDDKQAWINAECWEPDPAEVDPTKGSNNRRKIDILAMIVSLIGSKDQLVNEYRVMLAEKLLNKLDYDFDSEIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNANIKASIPQTSQTEQGENDVSLNLLDATIISTNFWPPIQAESLNIPTSIDQLLSDYAKRFHDIKTPRKLLWKKNLGAVKLELQFEDQNMQFTVAPIHAVIITKFQDQTSWTSKNLAAAIGIPVETLNRRISFWVGNPCRICRNCK >DRNTG_34809.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1421799:1422339:1 gene:DRNTG_34809 transcript:DRNTG_34809.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:APC2 [Source:Projected from Arabidopsis thaliana (AT2G04660) UniProtKB/TrEMBL;Acc:A0A178VYA9] MIVSLIGSKDQLVNEYRVMLAEKLLNKLDYDFDSEIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNANIKASIPQTSQTGTLRLAKYFLPLSFLLIFK >DRNTG_34809.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1422165:1425707:1 gene:DRNTG_34809 transcript:DRNTG_34809.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:APC2 [Source:Projected from Arabidopsis thaliana (AT2G04660) UniProtKB/TrEMBL;Acc:A0A178VYA9] MQKCEIMLNDLIDSKRTNANIKASIPQTSQTEQGENDVSLNLLDATIISTNFWPPIQAESLNIPTSIDQLLSDYAKRFHDIKTPRKLLWKKNLGAVKLELQFEDQNMQFTVAPIHAVIITKFQDQTSWTSKNLAAAIGIPVETLNRRISFWVGNPCRICRNCK >DRNTG_34809.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1422377:1425707:1 gene:DRNTG_34809 transcript:DRNTG_34809.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:APC2 [Source:Projected from Arabidopsis thaliana (AT2G04660) UniProtKB/TrEMBL;Acc:A0A178VYA9] MIDANRNGITNGNSEELSMADEEGDNSVASVEEQLQKEMTIYEKFIIGMLTNFGSMALDRIHNTLKMFCVAEPAYDKSLQQLQSFLSGLVSEEKLEMKDGLYFLKK >DRNTG_27650.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:12005007:12006863:1 gene:DRNTG_27650 transcript:DRNTG_27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALFSSLITSPPSCKLALGFLIEVLSTFSPDLITSSLQALILGIPIKRVLSKRSRHDAASSGVPSFALPQHRARYNLLKSKPFGIIHTIDRNDLEALGLAETVAELINHDGWDRIVSINDPAYRELTLEVLSTIELARPSGITFQAFGTMHAITDDQLGCFLGLYDVGFVNTPACQSLPTDFPATMTHTRFWNIISGRRTNESRKASRLHNPTHRYVHALLSRSIGGRGDSTGVITRSDLLMLYSIIEHYPIHLGHLFAELFVHQGTYTRLGSIFAGPYITRLIRGMGLIGHTRDLHVVGGFSPLGMATLFSMGMVEKRSDTYALIRYSPTGERITTSPPQSDSEPEDAPPSVELRLQEIQGELHSLRQGHQETTETLRQLARDMREVLSFVRASSSSSGTTSGATTSLAPAASLDEHHPA >DRNTG_31943.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001764.1:19000:20626:1 gene:DRNTG_31943 transcript:DRNTG_31943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSSRFVHAVTSIEEARDMSKVTLDELSGSIQAHEARFNQFTERPERYEAFVMQGGSRGGGTNWRGRGRFGGFGGRGRASDNSRHGDQRANMQVQHNSGEYSRGGSRAFGRFGRGQRQFGRGFSRGGLQNVQCFKCKKYGHYQSHCWAAQDRSVGEAGSSSNVANSGDYGHLFMVHDGQGMENASIWLLDSGTSSHMTGRRELFHSLDE >DRNTG_09729.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32236108:32238310:-1 gene:DRNTG_09729 transcript:DRNTG_09729.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSRP2 [Source:Projected from Arabidopsis thaliana (AT3G52150) UniProtKB/TrEMBL;Acc:A0A178VFQ5] MVAISSLFTPNLVQSPTNLLRIPKSSRSIPALASFQSSRPLDSCSFACRPRMRTMRILAVSTEAPPSARRLYVGNIPRTVTNEQLSKIFEEHGAVEKAEVMHDKYSGRSRRFAFVTMSTVEDANAAIEKLNETEIGGRKIKVNITEKPLATIDLSISQDDESKFIDSPYKVYVGNLAKTVTTELLTKFFSEKGRALSAKVSRVPGTSKSSGFGFVSFSAEEDVEAAISSCNNVLLEGQRIRVNKA >DRNTG_21487.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10375597:10376453:1 gene:DRNTG_21487 transcript:DRNTG_21487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASRLTQAWYCTKVIESSGSSSSSSISSSSSSVEPSSSPLSSSIIFMASVDLFKALENGNPLPIWRIYMMVSSTSNLLINLGNPQLHIIPRTWMCVAYSLATHGFNMHTLTLFHQGRDL >DRNTG_04647.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19204938:19205540:1 gene:DRNTG_04647 transcript:DRNTG_04647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPQASTSSSGVAGVPKECSHPRAPRGRGGQAKHFSGLIGCFSSPRGRVGKPRARVGVFWSRPGRHAAAWANRAGWPTHAGAWAGRAPAWGHAAERLASPRGRVGWPRARVGARPNGWPCPRGRVGRPRARVGA >DRNTG_24677.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23030708:23031845:1 gene:DRNTG_24677 transcript:DRNTG_24677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWPQNPPTFTDALDQYTEEIQRVANIAFESIGKSLKLDKLSDNFKDCQQSIRINYYPPCPHASNVVRLAPHTDSVGLTVLLQVNEVDGLQFKKNGVWLPINPPPGALIVNCGDIIEIMSNGKYKSLEHRAVVNSEQERFSIGTFHGPSVEAHFLQRHLGKVNQFTIRLLASRIIIGWCLLI >DRNTG_16515.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000822.1:41772:42165:-1 gene:DRNTG_16515 transcript:DRNTG_16515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTWFSEVNLLGQGGFGYVHRGELPNGKEIAVKQLKSGSGQGEREFQAELEIISRVHHRHLVSLVGYCISGRQRVL >DRNTG_33930.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22024188:22026624:1 gene:DRNTG_33930 transcript:DRNTG_33930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAIVVREIGGPEVMKWEDVELKEPKEGEIRVKNTAIGVNFIDVYFRKGGYKFPLPFVLGREAVGVVSAVGPGVTGRKVGDVVAYAGDPMGSYTEEQILPDKVVVPVPPSIDHKVVASVMLKGMTVHVLLRRCFKVEQGHTILVHAAAGGVGSLLCQWANALGATVIGTVSSEEKAAQASEDGCHHPIIYTKEDFVERVNEITSGKGVNVVYDSVGKDTFKGSLQCLASRGYLVSFGQSSGAPDPFPISDLASKALFLTRPSLMHYTATQDELLAAAEDVFANLESGVLRVRVNHTYPLSEAARAHADLEARKTSGSIVLIPDN >DRNTG_30588.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3661268:3756367:-1 gene:DRNTG_30588 transcript:DRNTG_30588.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHYLLO, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68890) UniProtKB/Swiss-Prot;Acc:Q15KI9] MTCANINFVWASLIVEECVRLGLTYFCIAPGSRSSPLAVSACRHPQTTCISCFDERSLSYHAVGYARGSGTPAVVVTSSGTAVSNLLPAVVEASHSFVPLVLLTADRPPELLDVGANQAIDQINHFGKFVRFFFSLPPPNEEVPARMVLTTMDSAVYHATQTPYGPVHVNCHFREPLDDKPRAWSSDYLRGLNCWMSNSEAFTKYIKMRPTCGCYGHGQIAEVLQAIQSAKNGILLYGDIQTEDDIWASLLLAKHLAWPVVTDILSGLRLRRQVSSFPGIEDCFLFIDHLDHTLLSDIVKRLVKPDVVIQIGSRITSKRIGKMLESCSLHTYVLVDKHPNRSDPSHIVTHRIQSGIPEFVDVLTRINFSRKNRKWGVLLKELDMMVSNEISLQISSECSLTEPYVAHVITKYLQDDDDALFIGNSMAIRDVDMYGNGQGKSYCSDVQLISNLELLVHFIQVTGNRGASGIDGLLSTAVGFAVGSNKKVLCMVGDVSFLHDTNGLNILNQCKKRKPMTILVINNHGGAIFSLLPIATTTEPSVLDRYFYTSHDILIGQLCAAHGVKHLRARTKVELQHALQSSRKEQRDCLIEVESCIEDNANYHSVMRASVSEAVDQACHVLSKFVTFDHINDRPSICKITKMEYSVYRIKLCAPPTSSLVNDSGSSFNREGLILSLELDDGSLGFGEVAPLEIHQEDMEDVEEQVRFLLNIIKGVEISCLFSLLKGSISCWIWRCLGVQPSSIFPSVRCGLEMAILNALAARCGCSLSDVLSGYKSASLGSLKSVRSELIDDVIVKKSARVHISALVDCKGTPKNVAHVVSQLVEEGFTTIKLKVARRESPIEDAIVIQEIREVVGYQIHIRVDANQMWTYKEALQFASLVKDFDLAYIEEPVCLESDIIKFCEETGIPVALDETVDNIRGDIFKQLEKFVHSGVVALVIKPSAVGGFENAAMIARWAQLHDKMAVISSAFESGLSLSAYIQFAYYLEQQNAAICSMRNKKCQSTISHGLGTYRWLKQDISNKPLIICAHPDGDTIEAAVEDAQFYLQNFQINHKVIQRAYLAEQVRSYHLDVNTEEFSYSTKFQEVGLATNNKAVIFLHGFLGTSEDWIPVMRPLSATTRCISIDLPGHGESNLQSKQGFMISLDLVTEILHKLICDVTTGGVVLVGYSMGARIALHMALKYKEKIHGAVIISGSPGLRDEAARRVRAAQDDARARNLLAFGLQRFLETWYSGKLWTSLRSHPHFQQILSSRVRHDDIQTLAKSLRGLSIGRQRSLWEDLKDSSKPLLLIVGETDTKFREISECMLNEINNSRDVHQVGDDEPLCDMLIVPNSGHAVHLENPLPVINAIRKFITRLS >DRNTG_30588.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3717009:3756367:-1 gene:DRNTG_30588 transcript:DRNTG_30588.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHYLLO, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68890) UniProtKB/Swiss-Prot;Acc:Q15KI9] MLRADLFLHSKPSILAVPSLSSRRSLRRPRLLLLRRRSKPIFQVYSGLEVFVDEVQEMEELDSLVEISETRTLPSALSYRNGVEKIREEVENLKANPPSSASGVLRLQVAVPPSTKALSWLYSQQRSLVVFPQFYLSRKQSAHSSCGLDLINLLPQVSGIGAAICFRGSSHTQRGCNFVARLIAEEIERHD >DRNTG_30588.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3661268:3678522:-1 gene:DRNTG_30588 transcript:DRNTG_30588.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHYLLO, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68890) UniProtKB/Swiss-Prot;Acc:Q15KI9] MEDVEEQVRFLLNIIKGVEISCLFSLLKGSISCWIWRCLGVQPSSIFPSVRCGLEMAILNALAARCGCSLSDVLSGYKSASLGSLKSVRSELIDDVIVKKSARVHISALVDCKGTPKNVAHVVSQLVEEGFTTIKLKVARRESPIEDAIVIQEIREVVGYQIHIRVDANQMWTYKEALQFASLVKDFDLAYIEEPVCLESDIIKFCEETGIPVALDETVDNIRGDIFKQLEKFVHSGVVALVIKPSAVGGFENAAMIARWAQLHDKMAVISSAFESGLSLSAYIQFAYYLEQQNAAICSMRNKKCQSTISHGLGTYRWLKQDISNKPLIICAHPDGDTIEAAVEDAQFYLQNFQINHKVIQRAYLAEQVRSYHLDVNTEEFSYSTKFQEVGLATNNKAVIFLHGFLGTSEDWIPVMRPLSATTRCISIDLPGHGESNLQSKQGFMISLDLVTEILHKLICDVTTGGVVLVGYSMGARIALHMALKYKEKIHGAVIISGSPGLRDEAARRVRAAQDDARARNLLAFGLQRFLETWYSGKLWTSLRSHPHFQQILSSRVRHDDIQTLAKSLRGLSIGRQRSLWEDLKDSSKPLLLIVGETDTKFREISECMLNEINNSRDVHQVGDDEPLCDMLIVPNSGHAVHLENPLPVINAIRKFITRLS >DRNTG_30588.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3661268:3672701:-1 gene:DRNTG_30588 transcript:DRNTG_30588.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHYLLO, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68890) UniProtKB/Swiss-Prot;Acc:Q15KI9] MAILNALAARCGCSLSDVLSGYKSASLGSLKSVRSELIDDVIVKKSARVHISALVDCKGTPKNVAHVVSQLVEEGFTTIKLKVARRESPIEDAIVIQEIREVVGYQIHIRVDANQMWTYKEALQFASLVKDFDLAYIEEPVCLESDIIKFCEETGIPVALDETVDNIRGDIFKQLEKFVHSGVVALVIKPSAVGGFENAAMIARWAQLHDKMAVISSAFESGLSLSAYIQFAYYLEQQNAAICSMRNKKCQSTISHGLGTYRWLKQDISNKPLIICAHPDGDTIEAAVEDAQFYLQNFQINHKVIQRAYLAEQVRSYHLDVNTEEFSYSTKFQEVGLATNNKAVIFLHGFLGTSEDWIPVMRPLSATTRCISIDLPGHGESNLQSKQGFMISLDLVTEILHKLICDVTTGGVVLVGYSMGARIALHMALKYKEKIHGAVIISGSPGLRDEAARRVRAAQDDARARNLLAFGLQRFLETWYSGKLWTSLRSHPHFQQILSSRVRHDDIQTLAKSLRGLSIGRQRSLWEDLKDSSKPLLLIVGETDTKFREISECMLNEINNSRDVHQVGDDEPLCDMLIVPNSGHAVHLENPLPVINAIRKFITRLS >DRNTG_30588.20.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3661161:3661563:-1 gene:DRNTG_30588 transcript:DRNTG_30588.20 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHYLLO, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68890) UniProtKB/Swiss-Prot;Acc:Q15KI9] MLNEINNSRDVHQVGDDEPLCDMLIVPNSGHAVHLENPLPVINAIRKFITRLS >DRNTG_30588.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3694857:3715716:-1 gene:DRNTG_30588 transcript:DRNTG_30588.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHYLLO, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68890) UniProtKB/Swiss-Prot;Acc:Q15KI9] MTCANINFVWASLIVEECVRLGLTYFCIAPGSRSSPLAVSACRHPQTTCISCFDERSLSYHAVGYARGSGTPAVVVTSSGTAVSNLLPAVVEASHSFVPLVLLTADRPPELLDVGANQAIDQINHFGKFVRFFFSLPPPNEEVPARMVLTTMDSAVYHATQTPYGPVHVNCHFREPLDDKPRAWSSDYLRGLNCWMSNSEAFTKYIKMRPTCGCYGHGQIAEVLQAIQSAKNGILLYGDIQTEDDIWASLLLAKHLAWPVVTDILSGLRLRRQVSSFPGIEDCFLFIDHLDHTLLSDIVKRLVKPDVVIQIGSRITSKRIGKMLESCSLHTYVLVDKHPNRSDPSHIVTHRIQSGIPEFVDVLTRINFSRKNRKWGVLLKELDMMVSNEISLQISSECSLTEPYVAHVITKYLQDDDDALFIGNSMAIRDVDMYGNGQGKSYCSDVQLISNLELLVHFIQVTGNRGASGIDGLLSTAVGFAVGSNKKNFRAS >DRNTG_30588.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3672041:3687720:-1 gene:DRNTG_30588 transcript:DRNTG_30588.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHYLLO, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68890) UniProtKB/Swiss-Prot;Acc:Q15KI9] MLCSCTKKRKPMTILVINNHGGAIFSLLPIATTTEPSVLDRYFYTSHDILIGQLCAAHGVKHLRARTKVELQHALQSSRKEQRDCLIEVESCIEDNANYHSVMRASVSEAVDQACHVLSKFVTFDHINDRPSICKITKMEYSVYRIKLCAPPTSSLVNDSGSSFNREGLILSLELDDGSLGFGE >DRNTG_30588.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3661268:3689941:-1 gene:DRNTG_30588 transcript:DRNTG_30588.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHYLLO, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68890) UniProtKB/Swiss-Prot;Acc:Q15KI9] MLKCFDYQVLCMVGDVSFLHDTNGLNILNQCKKRKPMTILVINNHGGAIFSLLPIATTTEPSVLDRYFYTSHDILIGQLCAAHGVKHLRARTKVELQHALQSSRKEQRDCLIEVESCIEDNANYHSVMRASVSEAVDQACHVLSKFVTFDHINDRPSICKITKMEYSVYRIKLCAPPTSSLVNDSGSSFNREGLILSLELDDGSLGFGEVAPLEIHQEDMEDVEEQVRFLLNIIKGVEISCLFSLLKGSISCWIWRCLGVQPSSIFPSVRCGLEMAILNALAARCGCSLSDVLSGYKSASLGSLKSVRSELIDDVIVKKSARVHISALVDCKGTPKNVAHVVSQLVEEGFTTIKLKVARRESPIEDAIVIQEIREVVGYQIHIRVDANQMWTYKEALQFASLVKDFDLAYIEEPVCLESDIIKFCEETGIPVALDETVDNIRGDIFKQLEKFVHSGVVALVIKPSAVGGFENAAMIARWAQLHDKMAVISSAFESGLSLSAYIQFAYYLEQQNAAICSMRNKKCQSTISHGLGTYRWLKQDISNKPLIICAHPDGDTIEAAVEDAQFYLQNFQINHKVIQRAYLAEQVRSYHLDVNTEEFSYSTKFQEVGLATNNKAVIFLHGFLGTSEDWIPVMRPLSATTRCISIDLPGHGESNLQSKQGFMISLDLVTEILHKLICDVTTGGVVLVGYSMGARIALHMALKYKEKIHGAVIISGSPGLRDEAARRVRAAQDDARARNLLAFGLQRFLETWYSGKLWTSLRSHPHFQQILSSRVRHDDIQTLAKSLRGLSIGRQRSLWEDLKDSSKPLLLIVGETDTKFREISECMLNEINNSRDVHQVGDDEPLCDMLIVPNSGHAVHLENPLPVINAIRKFITRLS >DRNTG_30588.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3661268:3695926:-1 gene:DRNTG_30588 transcript:DRNTG_30588.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHYLLO, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68890) UniProtKB/Swiss-Prot;Acc:Q15KI9] MAIRDVDMYGNGQGKSYCSDVQLISNLELLVHFIQVTGNRGASGIDGLLSTAVGFAVGSNKKVLCMVGDVSFLHDTNGLNILNQCKKRKPMTILVINNHGGAIFSLLPIATTTEPSVLDRYFYTSHDILIGQLCAAHGVKHLRARTKVELQHALQSSRKEQRDCLIEVESCIEDNANYHSVMRASVSEAVDQACHVLSKFVTFDHINDRPSICKITKMEYSVYRIKLCAPPTSSLVNDSGSSFNREGLILSLELDDGSLGFGEVAPLEIHQEDMEDVEEQVRFLLNIIKGVEISCLFSLLKGSISCWIWRCLGVQPSSIFPSVRCGLEMAILNALAARCGCSLSDVLSGYKSASLGSLKSVRSELIDDVIVKKSARVHISALVDCKGTPKNVAHVVSQLVEEGFTTIKLKVARRESPIEDAIVIQEIREVVGYQIHIRVDANQMWTYKEALQFASLVKDFDLAYIEEPVCLESDIIKFCEETGIPVALDETVDNIRGDIFKQLEKFVHSGVVALVIKPSAVGGFENAAMIARWAQLHDKMAVISSAFESGLSLSAYIQFAYYLEQQNAAICSMRNKKCQSTISHGLGTYRWLKQDISNKPLIICAHPDGDTIEAAVEDAQFYLQNFQINHKVIQRAYLAEQVRSYHLDVNTEEFSYSTKFQEVGLATNNKAVIFLHGFLGTSEDWIPVMRPLSATTRCISIDLPGHGESNLQSKQGFMISLDLVTEILHKLICDVTTGGVVLVGYSMGARIALHMALKYKEKIHGAVIISGSPGLRDEAARRVRAAQDDARARNLLAFGLQRFLETWYSGKLWTSLRSHPHFQQILSSRVRHDDIQTLAKSLRGLSIGRQRSLWEDLKDSSKPLLLIVGETDTKFREISECMLNEINNSRDVHQVGDDEPLCDMLIVPNSGHAVHLENPLPVINAIRKFITRLS >DRNTG_30588.17.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3661268:3663037:-1 gene:DRNTG_30588 transcript:DRNTG_30588.17 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHYLLO, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68890) UniProtKB/Swiss-Prot;Acc:Q15KI9] MLNEINNSRDVHQVGDDEPLCDMLIVPNSGHAVHLENPLPVINAIRKFITRLS >DRNTG_30588.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3661268:3672211:-1 gene:DRNTG_30588 transcript:DRNTG_30588.14 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHYLLO, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68890) UniProtKB/Swiss-Prot;Acc:Q15KI9] MIARWAQLHDKMAVISSAFESGLSLSAYIQFAYYLEQQNAAICSMRNKKCQSTISHGLGTYRWLKQDISNKPLIICAHPDGDTIEAAVEDAQFYLQNFQINHKVIQRAYLAEQVRSYHLDVNTEEFSYSTKFQEVGLATNNKAVIFLHGFLGTSEDWIPVMRPLSATTRCISIDLPGHGESNLQSKQGFMISLDLVTEILHKLICDVTTGGVVLVGYSMGARIALHMALKYKEKIHGAVIISGSPGLRDEAARRVRAAQDDARARNLLAFGLQRFLETWYSGKLWTSLRSHPHFQQILSSRVRHDDIQTLAKSLRGLSIGRQRSLWEDLKDSSKPLLLIVGETDTKFREISECMLNEINNSRDVHQVGDDEPLCDMLIVPNSGHAVHLENPLPVINAIRKFITRLS >DRNTG_30588.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3695402:3697700:-1 gene:DRNTG_30588 transcript:DRNTG_30588.16 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHYLLO, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68890) UniProtKB/Swiss-Prot;Acc:Q15KI9] MVLTTMDSAVYHATQTPYGPVHVNCHFREPLDDKPRAWSSDYLRGLNCWMSNSEAFTKYIKMRPTCGCYGHGQIAEVLQAIQSAKNGILLYGDIQTEDDIWASLLLAKHLAWPVVTDILSGLRLRRQVSSFPGIEDCFLFIDHLDHTLLSDIVKRLVKPDVVIQIGSRITSKRIGKMLESCSLHTYVLVDKHPNRSDPSHIVTHRIQSGIPEFVDVLTRINFSRKNRKWGVLLKELDMMVSNEISLQISSECSLTEPYVAHVITKYLQDDDDALFIGNSMAIRDVDMYGNGQGKSYCSDVQLISNLELLVHFIQVTGNRGASGIDGLLSTAVGFAVGSNKKV >DRNTG_30588.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3696502:3756367:-1 gene:DRNTG_30588 transcript:DRNTG_30588.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHYLLO, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68890) UniProtKB/Swiss-Prot;Acc:Q15KI9] MLRADLFLHSKPSILAVPSLSSRRSLRRPRLLLLRRRSKPIFQVYSGLEVFVDEVQEMEELDSLVEISETRTLPSALSYRNGVEKIREEVENLKANPPSSASGVLRLQVAVPPSTKALSWLYSQQRSLVVFPQFYLSRKQSAHSSCGLDLINLLPQVSGIGAAICFRGSSHTQRGCNFVARYLSVDSPLIKAYGSIGINSKRESLKEDKSIMFCFFIPQIELSELGSYSLLASTIVWDGHLSYTFGKALQSLELYLNQVIHEILPFSKHSDSWFNQVLGWSSHAKDIKDGQLVSLTAESLAQSIACGSCFEQEDFSNYYHSLRLSETLSISNRAFTGYLGTNCSIMTCANINFVWASLIVEECVRLGLTYFCIAPGSRSSPLAVSACRHPQTTCISCFDERSLSYHAVGYARGSGTPAVVVTSSGTAVSNLLPAVVEASHSFVPLVLLTADRPPELLDVGANQAIDQINHFGKFVRFFFSLPPPNEEVPARMVLTTMDSAVYHATQTPYGPVHVNCHFREPLDDKPRAWSSDYLRGLNCWMSNSEAFTKYIKMRPTCGCYGHGQIAEVLQAIQSAKNGILLYGDIQTEDDIWASLLLAKHLAWPVVTDILSGLRLRRQVSSFPGIEDCFLFIDHLDHTLLSDIVKRLVKPDVVIQIGSRITSKRIGKMLESCSLHTYVLVDKHPNRSDPSHIVTHRIQSGIPEFVDVLTRINFSRKNRKWGVLLKELDMMVRCI >DRNTG_30588.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3661268:3678770:-1 gene:DRNTG_30588 transcript:DRNTG_30588.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHYLLO, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68890) UniProtKB/Swiss-Prot;Acc:Q15KI9] MRASVSEAVDQACHVLSKFVTFDHINDRPSICKITKMEYSVYRIKLCAPPTSSLVNDSGSSFNREGLILSLELDDGSLGFGEVAPLEIHQEDMEDVEEQVRFLLNIIKGVEISCLFSLLKGSISCWIWRCLGVQPSSIFPSVRCGLEMAILNALAARCGCSLSDVLSGYKSASLGSLKSVRSELIDDVIVKKSARVHISALVDCKGTPKNVAHVVSQLVEEGFTTIKLKVARRESPIEDAIVIQEIREVVGYQIHIRVDANQMWTYKEALQFASLVKDFDLAYIEEPVCLESDIIKFCEETGIPVALDETVDNIRGDIFKQLEKFVHSGVVALVIKPSAVGGFENAAMIARWAQLHDKMAVISSAFESGLSLSAYIQFAYYLEQQNAAICSMRNKKCQSTISHGLGTYRWLKQDISNKPLIICAHPDGDTIEAAVEDAQFYLQNFQINHKVIQRAYLAEQVRSYHLDVNTEEFSYSTKFQEVGLATNNKAVIFLHGFLGTSEDWIPVMRPLSATTRCISIDLPGHGESNLQSKQGFMISLDLVTEILHKLICDVTTGGVVLVGYSMGARIALHMALKYKEKIHGAVIISGSPGLRDEAARRVRAAQDDARARNLLAFGLQRFLETWYSGKLWTSLRSHPHFQQILSSRVRHDDIQTLAKSLRGLSIGRQRSLWEDLKDSSKPLLLIVGETDTKFREISECMLNEINNSRDVHQVGDDEPLCDMLIVPNSGHAVHLENPLPVINAIRKFITRLS >DRNTG_30588.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3661268:3691395:-1 gene:DRNTG_30588 transcript:DRNTG_30588.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHYLLO, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68890) UniProtKB/Swiss-Prot;Acc:Q15KI9] MVCRSNRIEEKSMMALNRAGRGRWTEHLEQVDALHHYLGISIVAIVLCMVGDVSFLHDTNGLNILNQCKKRKPMTILVINNHGGAIFSLLPIATTTEPSVLDRYFYTSHDILIGQLCAAHGVKHLRARTKVELQHALQSSRKEQRDCLIEVESCIEDNANYHSVMRASVSEAVDQACHVLSKFVTFDHINDRPSICKITKMEYSVYRIKLCAPPTSSLVNDSGSSFNREGLILSLELDDGSLGFGEVAPLEIHQEDMEDVEEQVRFLLNIIKGVEISCLFSLLKGSISCWIWRCLGVQPSSIFPSVRCGLEMAILNALAARCGCSLSDVLSGYKSASLGSLKSVRSELIDDVIVKKSARVHISALVDCKGTPKNVAHVVSQLVEEGFTTIKLKVARRESPIEDAIVIQEIREVVGYQIHIRVDANQMWTYKEALQFASLVKDFDLAYIEEPVCLESDIIKFCEETGIPVALDETVDNIRGDIFKQLEKFVHSGVVALVIKPSAVGGFENAAMIARWAQLHDKMAVISSAFESGLSLSAYIQFAYYLEQQNAAICSMRNKKCQSTISHGLGTYRWLKQDISNKPLIICAHPDGDTIEAAVEDAQFYLQNFQINHKVIQRAYLAEQVRSYHLDVNTEEFSYSTKFQEVGLATNNKAVIFLHGFLGTSEDWIPVMRPLSATTRCISIDLPGHGESNLQSKQGFMISLDLVTEILHKLICDVTTGGVVLVGYSMGARIALHMALKYKEKIHGAVIISGSPGLRDEAARRVRAAQDDARARNLLAFGLQRFLETWYSGKLWTSLRSHPHFQQILSSRVRHDDIQTLAKSLRGLSIGRQRSLWEDLKDSSKPLLLIVGETDTKFREISECMLNEINNSRDVHQVGDDEPLCDMLIVPNSGHAVHLENPLPVINAIRKFITRLS >DRNTG_30588.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3672041:3673101:-1 gene:DRNTG_30588 transcript:DRNTG_30588.19 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHYLLO, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68890) UniProtKB/Swiss-Prot;Acc:Q15KI9] MVHDEISKQVAPLEIHQEDMEDVEEQVRFLLNIIKGVEISCLFSLLKGSISCWIWRCLGVQPSSIFPSVRCGLEMAILNALAARCGCSLSDVLSGYKSASLGSLKSVRSELIDDVIVKKSARVHISALVDCKGTPKNVAHVVSQLVEEGFTTIKLKVARRESPIEDAIVIQEIREVVGYQIHIRVDANQMWTYKEALQFASLVKDFDLAYIE >DRNTG_30588.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3661268:3691395:-1 gene:DRNTG_30588 transcript:DRNTG_30588.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHYLLO, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68890) UniProtKB/Swiss-Prot;Acc:Q15KI9] MVGDVSFLHDTNGLNILNQCKKRKPMTILVINNHGGAIFSLLPIATTTEPSVLDRYFYTSHDILIGQLCAAHGVKHLRARTKVELQHALQSSRKEQRDCLIEVESCIEDNANYHSVMRASVSEAVDQACHVLSKFVTFDHINDRPSICKITKMEYSVYRIKLCAPPTSSLVNDSGSSFNREGLILSLELDDGSLGFGEVAPLEIHQEDMEDVEEQVRFLLNIIKGVEISCLFSLLKGSISCWIWRCLGVQPSSIFPSVRCGLEMAILNALAARCGCSLSDVLSGYKSASLGSLKSVRSELIDDVIVKKSARVHISALVDCKGTPKNVAHVVSQLVEEGFTTIKLKVARRESPIEDAIVIQEIREVVGYQIHIRVDANQMWTYKEALQFASLVKDFDLAYIEEPVCLESDIIKFCEETGIPVALDETVDNIRGDIFKQLEKFVHSGVVALVIKPSAVGGFENAAMIARWAQLHDKMAVISSAFESGLSLSAYIQFAYYLEQQNAAICSMRNKKCQSTISHGLGTYRWLKQDISNKPLIICAHPDGDTIEAAVEDAQFYLQNFQINHKVIQRAYLAEQVRSYHLDVNTEEFSYSTKFQEVGLATNNKAVIFLHGFLGTSEDWIPVMRPLSATTRCISIDLPGHGESNLQSKQGFMISLDLVTEILHKLICDVTTGGVVLVGYSMGARIALHMALKYKEKIHGAVIISGSPGLRDEAARRVRAAQDDARARNLLAFGLQRFLETWYSGKLWTSLRSHPHFQQILSSRVRHDDIQTLAKSLRGLSIGRQRSLWEDLKDSSKPLLLIVGETDTKFREISECMLNEINNSRDVHQVGDDEPLCDMLIVPNSGHAVHLENPLPVINAIRKFITRLS >DRNTG_30588.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3661268:3671476:-1 gene:DRNTG_30588 transcript:DRNTG_30588.15 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHYLLO, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68890) UniProtKB/Swiss-Prot;Acc:Q15KI9] MIARWAQLHDKMAVISSAFESGLSLSAYIQFAYYLEQQNAAICSMRNKKCQSTISHGLGTYRWLKQDISNKPLIICAHPDGDTIEAAVEDAQFYLQNFQINHKVIQRAYLAEQVRSYHLDVNTEEFSYSTKFQEVGLATNNKAVIFLHGFLGTSEDWIPVMRPLSATTRCISIDLPGHGESNLQSKQGFMISLDLVTEILHKLICDVTTGGVVLVGYSMGARIALHMALKYKEKIHGAVIISGSPGLRDEAARRVRAAQDDARARNLLAFGLQRFLETWYSGKLWTSLRSHPHFQQILSSRVRHDDIQTLAKSLRGLSIGRQRSLWEDLKDSSKPLLLIVGETDTKFREISECMLNEINNSRDVHQVGDDEPLCDMLIVPNSGHAVHLENPLPVINAIRKFITRLS >DRNTG_10093.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20725822:20729044:1 gene:DRNTG_10093 transcript:DRNTG_10093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWMILLWVIAFFLLIAILIMVVYQLMCLADLEFDYINPYDSASRINYLVVPEFILQGIISLLFYLVWTVVDVSVFCAYSLL >DRNTG_14541.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18771107:18774089:-1 gene:DRNTG_14541 transcript:DRNTG_14541.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLDPYEYLFDQEVDNEEVMMLGSMEEVASTPGIMKMVLQKIKRLDAMPPETPQGCSECVYMFRNFLQSLQSFLRHPEESSAAEIHTGVWKFRSPVVLHCELVQRRHRGVGVDAPLRALLHPEKTQGCATFPMSWTCECPRPCGIFAWACETLSDSSRLNKEATGAYVCPCGALTCNRADFTPRDPHERGSKGVSVLERLHLSWHILNS >DRNTG_22513.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3403164:3403643:1 gene:DRNTG_22513 transcript:DRNTG_22513.3 gene_biotype:protein_coding transcript_biotype:protein_coding FEVPPEKADEVQPKRPPKPPVVKKPPAPPQTPPADDMPSTSA >DRNTG_22513.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3399026:3403643:1 gene:DRNTG_22513 transcript:DRNTG_22513.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPSDWSPPCGKCCTKKYSSLLQIPWRVFCKKGCGTDAETWEECVEQCEGICYKDPVLKDQQWSAYIDRSPGDESYSRDCFRACVSGCGFKFEVPPEKADEVQPKRPPKPPVVKKPPAPPQTPPADDMPSTSA >DRNTG_20479.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2041429:2043587:1 gene:DRNTG_20479 transcript:DRNTG_20479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTMTKQTTINDDSNNNNNTTTTPPHVVLVPLMAQGQTIPMLDMARLLANRGVHVTFITTPVNASRIKPIIARVHESNLPMNFIELPFPCAEAGLPLGCENFDLVPSRELFPNFFDAIRLLAHPLEQRLRDLVPRPTCMINDMWNPWTANVARSLNIRRLVYHGPSCVFIYCSYVFRLHKIFDTVTDEFEEITVPGLTDDGDDVGQSFKVSKAHLSAWLNSPGLKLRDEVLLAEETADGVVINTFDDVEPMFVEAYKKVAGKDVWTVGPLCLYDKDDDLSARIVRGNKAVVDPEKLFRWLDSMEENSVLYVSFGTLTRIKVGEILEIGSGLEASGIPFLWVIKDVEKSPVVEGWLEGFEKRMSLRSFVIKGWAPQAAILSHKSVGGFFSHCGWNSTSEAVSNGVPMITWPQFADQFLNERLVVEFLRIAIAIGVKKPIFYYGENEIPVKRDDVERAVRSLMGDGEEAEERRIRAREIKEKAIKAMDAGGSSYENITMLVEYIKLESCKGTQGATS >DRNTG_10633.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:259764:262914:1 gene:DRNTG_10633 transcript:DRNTG_10633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVMEYGNIALKKKELGFRDSLIHGEKFGRFVNNNSKCLRTNVSFISSVLTSEDVKQERVVFKTPLFDYQKADPKNVASIILGGGAGTRLFPLTSRRAKPAVPIGGCYRLIDIPMSNCINSDIKKIFIMTQFNSASLNRHIHRTYNFGNGINFGDGFVEVLAATQRGGEAGMNWFQGTADAVRQFIWVFEDVKNKNIEHILILSGDHLYRMNYMDFVQKHIDTGADITVSCVPMNESRASDFGLMKIDETGRIIQFSEKPKDVKLEAMRVDTSVLGLSQHEALRYPYIASMGVYVFRRDVLLKLLRWRYPTSNDFGSEIIPAAVNEHNVQAYLFNDYWEDIGTINSFFNANLALTEQSPKFQFYDPTTPFFTSPRFLPPTKVEKCRIVNAIVSHGCFLNKCSVEHSIIGVRSRLDFGVELKDTLMMGADYYQTEDEIASLIAENKVPIGIGKNTKIMNCIIDMNAKIGKDVVITNKDGVEEADRPDEGFYIRSGITIIQKNATIKDGTII >DRNTG_04914.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:9423662:9432112:1 gene:DRNTG_04914 transcript:DRNTG_04914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPKDPKTKNKGKGQAIETSNIRSTRKSAYRPPLKVTSAIQSTMEPFVMTSSMGTPGNQASTNNDPPLEPIVNETLELDTVWSTESWQNKSKKALTNRLTQKEGSITKHTSDSRSFLSTQKLMEEELQRPIQYPELFERTHKRSKGSGDFVDNKSKVVSEKYQSTLSEKYGDNTSDRPRV >DRNTG_05692.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:194248:196499:-1 gene:DRNTG_05692 transcript:DRNTG_05692.12 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPRQRGENSDDNQFSFSGPMATRGSDEFETWAERIKSDYGYFGKSAKMKQVGAWAKRNHVNPDEGEFAWMAKEDDEDVPSPTLWEKEGGSPASKSGEAYPILGHSIRSQMMARYRKEMIDLVRDAPENAYELSLRDIVEIPRPVKSAELEEKKGEKEKEKEKEMETKKETKKKGGRRMARSESLDTGLLLKMFVPATMGRRKSFGVNGTCSKVSPKPVIADAEKGGGPEKGPDSEWWKKTEINESSGSSSSGSSGSGRGRGSSSSGRSGSTGSNNSSGNRNINNTKNSNTGRRKKNGCLPFLHIFKSKNRRT >DRNTG_05692.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:194353:196499:-1 gene:DRNTG_05692 transcript:DRNTG_05692.15 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPRQRGENSDDNQFSFSGPMATRGSDEFETWAERIKSDYGYFGKSAKMKQVGAWAKRNHVNPDEGEFAWMAKEDDEDVPSPTLWEKEGGSPASKSGEAYPILGHSIRSQMMARYRKEMIDLVRDAPENAYELSLRDIVEIPRPVKSAELEEKKGEKEKEKEKEMETKKETKKKGGRRMARSESLDTGLLLKMFVPATMGRRKSFGVNGTCSKVSPKPVIADAEKGGGPEKGPDSEWWKKTEINESSGSSSSGSSGSGRGRGSSSSGRSGSTGSNNSSGNRNINNTKNSNTGRRKKNGCLPFLHIFKSKNRRT >DRNTG_05692.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:194408:196765:-1 gene:DRNTG_05692 transcript:DRNTG_05692.9 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPRQRGENSDDNQFSFSGPMATRGSDEFETWAERIKSDYGYFGKSAKMKQVGAWAKRNHVNPDEGEFAWMAKEDDEDVPSPTLWEKEGGSPASKSGEAYPILGHSIRSQMMARYRKEMIDLVRDAPENAYELSLRDIVEIPRPVKSAELEEKKGEKEKEKEKEMETKKETKKKGGRRMARSESLDTGLLLKMFVPATMGRRKSFGVNGTCSKVSPKPVIADAEKGGGPEKGPDSEWWKKTEINESSGSSSSGSSGSGRGRGSSSSGRSGSTGSNNSSGNRNINNTKNSNTGRRKKNGCLPFLHIFKSKNRRT >DRNTG_05692.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:194248:196609:-1 gene:DRNTG_05692 transcript:DRNTG_05692.8 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPRQRGENSDDNQFSFSGPMATRGSDEFETWAERIKSDYGYFGKSAKMKQVGAWAKRNHVNPDEGEFAWMAKEDDEDVPSPTLWEKEGGSPASKSGEAYPILGHSIRSQMMARYRKEMIDLVRDAPENAYELSLRDIVEIPRPVKSAELEEKKGEKEKEKEKEMETKKETKKKGGRRMARSESLDTGLLLKMFVPATMGRRKSFGVNGTCSKVSPKPVIADAEKGGGPEKGPDSEWWKKTEINESSGSSSSGSSGSGRGRGSSSSGRSGSTGSNNSSGNRNINNTKNSNTGRRKKNGCLPFLHIFKSKNRRT >DRNTG_05692.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:193954:196765:-1 gene:DRNTG_05692 transcript:DRNTG_05692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPRQRGENSDDNQFSFSGPMATRGSDEFETWAERIKSDYGYFGKSAKMKQVGAWAKRNHVNPDEGEFAWMAKEDDEDVPSPTLWEKEGGSPASKSGEAYPILGHSIRSQMMARYRKEMIDLVRDAPENAYELSLRDIVEIPRPVKSAELEEKKGEKEKEKEKEMETKKETKKKGGRRMARSESLDTGLLLKMFVPATMGRRKSFGVNGTCSKVSPKPVIADAEKGGGPEKGPDSEWWKKTEINESSGSSSSGSSGSGRGRGSSSSGRSGSTGSNNSSGNRNINNTKNSNTGRRKKNGCLPFLHIFKSKNRRT >DRNTG_05692.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:194353:196523:-1 gene:DRNTG_05692 transcript:DRNTG_05692.14 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPRQRGENSDDNQFSFSGPMATRGSDEFETWAERIKSDYGYFGKSAKMKQVGAWAKRNHVNPDEGEFAWMAKEDDEDVPSPTLWEKEGGSPASKSGEAYPILGHSIRSQMMARYRKEMIDLVRDAPENAYELSLRDIVEIPRPVKSAELEEKKGEKEKEKEKEMETKKETKKKGGRRMARSESLDTGLLLKMFVPATMGRRKSFGVNGTCSKVSPKPVIADAEKGGGPEKGPDSEWWKKTEINESSGSSSSGSSGSGRGRGSSSSGRSGSTGSNNSSGNRNINNTKNSNTGRRKKNGCLPFLHIFKSKNRRT >DRNTG_05692.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:193954:196499:-1 gene:DRNTG_05692 transcript:DRNTG_05692.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPRQRGENSDDNQFSFSGPMATRGSDEFETWAERIKSDYGYFGKSAKMKQVGAWAKRNHVNPDEGEFAWMAKEDDEDVPSPTLWEKEGGSPASKSGEAYPILGHSIRSQMMARYRKEMIDLVRDAPENAYELSLRDIVEIPRPVKSAELEEKKGEKEKEKEKEMETKKETKKKGGRRMARSESLDTGLLLKMFVPATMGRRKSFGVNGTCSKVSPKPVIADAEKGGGPEKGPDSEWWKKTEINESSGSSSSGSSGSGRGRGSSSSGRSGSTGSNNSSGNRNINNTKNSNTGRRKKNGCLPFLHIFKSKNRRT >DRNTG_05692.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:194248:196523:-1 gene:DRNTG_05692 transcript:DRNTG_05692.10 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPRQRGENSDDNQFSFSGPMATRGSDEFETWAERIKSDYGYFGKSAKMKQVGAWAKRNHVNPDEGEFAWMAKEDDEDVPSPTLWEKEGGSPASKSGEAYPILGHSIRSQMMARYRKEMIDLVRDAPENAYELSLRDIVEIPRPVKSAELEEKKGEKEKEKEKEMETKKETKKKGGRRMARSESLDTGLLLKMFVPATMGRRKSFGVNGTCSKVSPKPVIADAEKGGGPEKGPDSEWWKKTEINESSGSSSSGSSGSGRGRGSSSSGRSGSTGSNNSSGNRNINNTKNSNTGRRKKNGCLPFLHIFKSKNRRT >DRNTG_05692.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:194101:196499:-1 gene:DRNTG_05692 transcript:DRNTG_05692.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPRQRGENSDDNQFSFSGPMATRGSDEFETWAERIKSDYGYFGKSAKMKQVGAWAKRNHVNPDEGEFAWMAKEDDEDVPSPTLWEKEGGSPASKSGEAYPILGHSIRSQMMARYRKEMIDLVRDAPENAYELSLRDIVEIPRPVKSAELEEKKGEKEKEKEKEMETKKETKKKGGRRMARSESLDTGLLLKMFVPATMGRRKSFGVNGTCSKVSPKPVIADAEKGGGPEKGPDSEWWKKTEINESSGSSSSGSSGSGRGRGSSSSGRSGSTGSNNSSGNRNINNTKNSNTGRRKKNGCLPFLHIFKSKNRRT >DRNTG_05692.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:194101:196523:-1 gene:DRNTG_05692 transcript:DRNTG_05692.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPRQRGENSDDNQFSFSGPMATRGSDEFETWAERIKSDYGYFGKSAKMKQVGAWAKRNHVNPDEGEFAWMAKEDDEDVPSPTLWEKEGGSPASKSGEAYPILGHSIRSQMMARYRKEMIDLVRDAPENAYELSLRDIVEIPRPVKSAELEEKKGEKEKEKEKEMETKKETKKKGGRRMARSESLDTGLLLKMFVPATMGRRKSFGVNGTCSKVSPKPVIADAEKGGGPEKGPDSEWWKKTEINESSGSSSSGSSGSGRGRGSSSSGRSGSTGSNNSSGNRNINNTKNSNTGRRKKNGCLPFLHIFKSKNRRT >DRNTG_05692.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:194408:196499:-1 gene:DRNTG_05692 transcript:DRNTG_05692.17 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPRQRGENSDDNQFSFSGPMATRGSDEFETWAERIKSDYGYFGKSAKMKQVGAWAKRNHVNPDEGEFAWMAKEDDEDVPSPTLWEKEGGSPASKSGEAYPILGHSIRSQMMARYRKEMIDLVRDAPENAYELSLRDIVEIPRPVKSAELEEKKGEKEKEKEKEMETKKETKKKGGRRMARSESLDTGLLLKMFVPATMGRRKSFGVNGTCSKVSPKPVIADAEKGGGPEKGPDSEWWKKTEINESSGSSSSGSSGSGRGRGSSSSGRSGSTGSNNSSGNRNINNTKNSNTGRRKKNGCLPFLHIFKSKNRRT >DRNTG_05692.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:194353:196609:-1 gene:DRNTG_05692 transcript:DRNTG_05692.11 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPRQRGENSDDNQFSFSGPMATRGSDEFETWAERIKSDYGYFGKSAKMKQVGAWAKRNHVNPDEGEFAWMAKEDDEDVPSPTLWEKEGGSPASKSGEAYPILGHSIRSQMMARYRKEMIDLVRDAPENAYELSLRDIVEIPRPVKSAELEEKKGEKEKEKEKEMETKKETKKKGGRRMARSESLDTGLLLKMFVPATMGRRKSFGVNGTCSKVSPKPVIADAEKGGGPEKGPDSEWWKKTEINESSGSSSSGSSGSGRGRGSSSSGRSGSTGSNNSSGNRNINNTKNSNTGRRKKNGCLPFLHIFKSKNRRT >DRNTG_05692.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:194408:196523:-1 gene:DRNTG_05692 transcript:DRNTG_05692.16 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPRQRGENSDDNQFSFSGPMATRGSDEFETWAERIKSDYGYFGKSAKMKQVGAWAKRNHVNPDEGEFAWMAKEDDEDVPSPTLWEKEGGSPASKSGEAYPILGHSIRSQMMARYRKEMIDLVRDAPENAYELSLRDIVEIPRPVKSAELEEKKGEKEKEKEKEMETKKETKKKGGRRMARSESLDTGLLLKMFVPATMGRRKSFGVNGTCSKVSPKPVIADAEKGGGPEKGPDSEWWKKTEINESSGSSSSGSSGSGRGRGSSSSGRSGSTGSNNSSGNRNINNTKNSNTGRRKKNGCLPFLHIFKSKNRRT >DRNTG_05692.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:193954:196523:-1 gene:DRNTG_05692 transcript:DRNTG_05692.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPRQRGENSDDNQFSFSGPMATRGSDEFETWAERIKSDYGYFGKSAKMKQVGAWAKRNHVNPDEGEFAWMAKEDDEDVPSPTLWEKEGGSPASKSGEAYPILGHSIRSQMMARYRKEMIDLVRDAPENAYELSLRDIVEIPRPVKSAELEEKKGEKEKEKEKEMETKKETKKKGGRRMARSESLDTGLLLKMFVPATMGRRKSFGVNGTCSKVSPKPVIADAEKGGGPEKGPDSEWWKKTEINESSGSSSSGSSGSGRGRGSSSSGRSGSTGSNNSSGNRNINNTKNSNTGRRKKNGCLPFLHIFKSKNRRT >DRNTG_05692.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:194408:196609:-1 gene:DRNTG_05692 transcript:DRNTG_05692.13 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPRQRGENSDDNQFSFSGPMATRGSDEFETWAERIKSDYGYFGKSAKMKQVGAWAKRNHVNPDEGEFAWMAKEDDEDVPSPTLWEKEGGSPASKSGEAYPILGHSIRSQMMARYRKEMIDLVRDAPENAYELSLRDIVEIPRPVKSAELEEKKGEKEKEKEKEMETKKETKKKGGRRMARSESLDTGLLLKMFVPATMGRRKSFGVNGTCSKVSPKPVIADAEKGGGPEKGPDSEWWKKTEINESSGSSSSGSSGSGRGRGSSSSGRSGSTGSNNSSGNRNINNTKNSNTGRRKKNGCLPFLHIFKSKNRRT >DRNTG_05692.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:194248:196765:-1 gene:DRNTG_05692 transcript:DRNTG_05692.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPRQRGENSDDNQFSFSGPMATRGSDEFETWAERIKSDYGYFGKSAKMKQVGAWAKRNHVNPDEGEFAWMAKEDDEDVPSPTLWEKEGGSPASKSGEAYPILGHSIRSQMMARYRKEMIDLVRDAPENAYELSLRDIVEIPRPVKSAELEEKKGEKEKEKEKEMETKKETKKKGGRRMARSESLDTGLLLKMFVPATMGRRKSFGVNGTCSKVSPKPVIADAEKGGGPEKGPDSEWWKKTEINESSGSSSSGSSGSGRGRGSSSSGRSGSTGSNNSSGNRNINNTKNSNTGRRKKNGCLPFLHIFKSKNRRT >DRNTG_05692.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:194101:196609:-1 gene:DRNTG_05692 transcript:DRNTG_05692.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPRQRGENSDDNQFSFSGPMATRGSDEFETWAERIKSDYGYFGKSAKMKQVGAWAKRNHVNPDEGEFAWMAKEDDEDVPSPTLWEKEGGSPASKSGEAYPILGHSIRSQMMARYRKEMIDLVRDAPENAYELSLRDIVEIPRPVKSAELEEKKGEKEKEKEKEMETKKETKKKGGRRMARSESLDTGLLLKMFVPATMGRRKSFGVNGTCSKVSPKPVIADAEKGGGPEKGPDSEWWKKTEINESSGSSSSGSSGSGRGRGSSSSGRSGSTGSNNSSGNRNINNTKNSNTGRRKKNGCLPFLHIFKSKNRRT >DRNTG_27976.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1156973:1158278:-1 gene:DRNTG_27976 transcript:DRNTG_27976.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATMLVVTTLLIVSAPLTMAKTVETLPPAPSPGPEFVNLTELLTNAGPFHTFLKYLTQTQVLQTFQDQANNTVQGITIFVPKDSAFSSLKKPSLSNITQDQLKTLLLYHAFPKYYSFTDFKNLSNSNPVSTFAGGQNTLNVTDASGLIRVKFRVVESENQ >DRNTG_27976.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1156973:1158129:-1 gene:DRNTG_27976 transcript:DRNTG_27976.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALATMLVVTTLLIVSAPLTMAKTVETLPPAPSPGPEFVNLTELLTNAGPFHTFLKYLTQTQVLQTFQDQANNTVQGITIFVPKDSAFSSLKKPSLSNITQDQLKTLLLYHAFPKYYSFTDFKNLSNSNPVSTFAGGQNTLNVTDASGLIRVKFRVVESENQ >DRNTG_30109.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31099879:31111800:1 gene:DRNTG_30109 transcript:DRNTG_30109.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase-activating protein REN1 [Source:Projected from Arabidopsis thaliana (AT4G24580) UniProtKB/Swiss-Prot;Acc:F4JQZ3] MGQSGILRTDVADSIEASFEQWRDRRPGKSLVVGRPILLALEDIDGSPSFLEKALRYIEQYGIKVEGILRQSADVEEVERRVQEYEQGKNEFSADEDAHVIGDCIKHVLRELPSSPVPASCCTALVEAYRTDRRSRVDALRAAIYGSFPEPNLHLLQRILKMMRIVASHKSENRMTLSALAACMAPLLLRALLHGDCEVDDDFKMGGDGSLQLLQAAAAANHAQAIVIILLEEYERIFNEDLSLEGSLSSEVYTDSEDDDFEDEESTDDDILEDDGYHDADIDVEADIDDDSKHSSNEKHSRSGSEVACGLSDDKDSDDHNMGRTFSGDKDHPSVLSAAALNAADTSLLKKDAEKPLPIASATVIQRDELLNVERSNSSSAAALTSESRLATGSNGNCMSTMHKSVSHILPSSVSKFNEDLIEPSATSRKPTVWGRMAARKNLSMESIEYSSEDDEVAIQKLENSKTDLQNKITKEVKGNAILQASLEKRKEALHERRWALEQDVEKLREQLQKERDLKESLESGLMNIRRGQLPITAIVDCKTKADLEEIALTEADIINLKHKVSDLRGQLSNQMKLGYASLCESCSQQLYRMHQLDGADKQKEIASTAVVREMDNVSQTEHSSSGRDPQNGQALRSGISESPSLKEITAGTNDLHKNSSIKDENVLSRANCKDANTKTLGSPSSSTMQPTQKQHLDTNKNSSVPKGSNFASFSDEPAISHKVVSKRTSSKYDPQADSYYEKKSVIGMDTQKPRNEMANPPPMKPETNAVTLTSTSLVSNDDQSTAESLPVSHNILSAGSFSSGHNAKKVDEDSGSLPNRKDPQKQQSSATNLDNLKSFGLSNVPSSGDPTSLEVSSKKYSSRGEVNLL >DRNTG_30109.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31094444:31102118:1 gene:DRNTG_30109 transcript:DRNTG_30109.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase-activating protein REN1 [Source:Projected from Arabidopsis thaliana (AT4G24580) UniProtKB/Swiss-Prot;Acc:F4JQZ3] MGQSGILRTDVADSIEASFEQWRDRRPGKSLVVGRPILLALEDIDGSPSFLEKALRYIEQYGIKVEGILRQSADVEEVERRVQEYEQGKNEFSADEDAHVIGDCIKHVLRELPSSPVPASCCTALVEAYSKSEHY >DRNTG_30109.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31102017:31111800:1 gene:DRNTG_30109 transcript:DRNTG_30109.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase-activating protein REN1 [Source:Projected from Arabidopsis thaliana (AT4G24580) UniProtKB/Swiss-Prot;Acc:F4JQZ3] MMRIVASHKSENRMTLSALAACMAPLLLRALLHGDCEVDDDFKMGGDGSLQLLQAAAAANHAQAIVIILLEEYERIFNEDLSLEGSLSSEVYTDSEDDDFEDEESTDDDILEDDGYHDADIDVEADIDDDSKHSSNEKHSRSGSEVACGLSDDKDSDDHNMGRTFSGDKDHPSVLSAAALNAADTSLLKKDAEKPLPIASATVIQRDELLNVERSNSSSAAALTSESRLATGSNGNCMSTMHKSVSHILPSSVSKFNEDLIEPSATSRKPTVWGRMAARKNLSMESIEYSSEDDEVAIQKLENSKTDLQNKITKEVKGNAILQASLEKRKEALHERRWALEQDVEKLREQLQKERDLKESLESGLMNIRRGQLPITAIVDCKTKADLEEIALTEADIINLKHKVSDLRGQLSNQMKLGYASLCESCSQQLYRMHQLDGADKQKEIASTAVVREMDNVSQTEHSSSGRDPQNGQALRSGISESPSLKEITAGTNDLHKNSSIKDENVLSRANCKDANTKTLGSPSSSTMQPTQKQHLDTNKNSSVPKGSNFASFSDEPAISHKVVSKRTSSKYDPQADSYYEKKSVIGMDTQKPRNEMANPPPMKPETNAVTLTSTSLVSNDDQSTAESLPVSHNILSAGSFSSGHNAKKVDEDSGSLPNRKDPQKQQSSATNLDNLKSFGLSNVPSSGDPTSLEVSSKKYSSRGEVNLL >DRNTG_30109.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31098441:31111800:1 gene:DRNTG_30109 transcript:DRNTG_30109.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase-activating protein REN1 [Source:Projected from Arabidopsis thaliana (AT4G24580) UniProtKB/Swiss-Prot;Acc:F4JQZ3] MGQSGILRTDVADSIEASFEQWRDRRPGKSLVVGRPILLALEDIDGSPSFLEKALRYIEQYGIKVEGILRQSADVEEVERRVQEYEQGKNEFSADEDAHVIGDCIKHVLRELPSSPVPASCCTALVEAYRTDRRSRVDALRAAIYGSFPEPNLHLLQRILKMMRIVASHKSENRMTLSALAACMAPLLLRALLHGDCEVDDDFKMGGDGSLQLLQAAAAANHAQAIVIILLEEYERIFNEDLSLEGSLSSEVYTDSEDDDFEDEESTDDDILEDDGYHDADIDVEADIDDDSKHSSNEKHSRSGSEVACGLSDDKDSDDHNMGRTFSGDKDHPSVLSAAALNAADTSLLKKDAEKPLPIASATVIQRDELLNVERSNSSSAAALTSESRLATGSNGNCMSTMHKSVSHILPSSVSKFNEDLIEPSATSRKPTVWGRMAARKNLSMESIEYSSEDDEVAIQKLENSKTDLQNKITKEVKGNAILQASLEKRKEALHERRWALEQDVEKLREQLQKERDLKESLESGLMNIRRGQLPITAIVDCKTKADLEEIALTEADIINLKHKVSDLRGQLSNQMKLGYASLCESCSQQLYRMHQLDGADKQKEIASTAVVREMDNVSQTEHSSSGRDPQNGQALRSGISESPSLKEITAGTNDLHKNSSIKDENVLSRANCKDANTKTLGSPSSSTMQPTQKQHLDTNKNSSVPKGSNFASFSDEPAISHKVVSKRTSSKYDPQADSYYEKKSVIGMDTQKPRNEMANPPPMKPETNAVTLTSTSLVSNDDQSTAESLPVSHNILSAGSFSSGHNAKKVDEDSGSLPNRKDPQKQQSSATNLDNLKSFGLSNVPSSGDPTSLEVSSKKYSSRGEVNLL >DRNTG_30109.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31098441:31111800:1 gene:DRNTG_30109 transcript:DRNTG_30109.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase-activating protein REN1 [Source:Projected from Arabidopsis thaliana (AT4G24580) UniProtKB/Swiss-Prot;Acc:F4JQZ3] MGQSGILRTDVADSIEASFEQWRDRRPGKSLVVGRPILLALEDIDGSPSFLEKALRYIEQYGIKVEGILRQSADVEEVERRVQEYEQGKNEFSADEDAHVIGDCIKHVLRELPSSPVPASCCTALVEAYRTDRRSRVDALRAAIYGSFPEPNLHLLQRILKMMRIVASHKSENRMTLSALAACMAPLLLRALLHGDCEVDDDFKMGGDGSLQLLQAAAAANHAQAIVIILLEEYERIFNEDLSLEGSLSSEVYTDSEDDDFEDEESTDDDILEDDGYHDADIDVEADIDDDSKHSSNEKHSRSGSEVACGLSDDKDSDDHNMGRTFSGDKDHPSVLSAAALNAADTSLLKKDAEKPLPIASATVIQRDELLNVERSNSSSAAALTSESRLATGSNGNCMSTMHKSVSHILPSSVSKFNEDLIEPSATSRKPTVWGRMAARKNLSMESIEYSSEDDEVAIQKLENSKTDLQNKITKEVKGNAILQASLEKRKEALHERRWALEQDVEKLREQLQKERDLKESLESGLMNIRRGQLPITAIVDCKTKADLEEIALTEADIINLKHKVSDLRGQLSNQMKLGYASLCESCSQQLYRMHQLDGADKQKEIASTAVVREMDNVSQTEHSSSGRDPQNGQALRSGISESPSLKEITAGTNDLHKNSSIKDENVLSRANCKDANTKTLGSPSSSTMQPTQKQHLDTNKNSSVPKGSNFASFSDEPAISHKVVSKRTSSKYDPQADSYYEKKSVIGMDTQKPRNEMANPPPMKPETNAVTLTSTSLVSNDDQSTAESLPVSHNILSAGSFSSGHNAKKVDEDSGSLPNRKDPQKQQSSATNLDNLKSFGLSNVPSSGDPTSLEVSSKKYSSRGEEIASVTSSALTKLTSRLQFLKERRVQLVNELQNLDANRTSAPEGPLPTSSR >DRNTG_30109.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31094444:31111800:1 gene:DRNTG_30109 transcript:DRNTG_30109.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase-activating protein REN1 [Source:Projected from Arabidopsis thaliana (AT4G24580) UniProtKB/Swiss-Prot;Acc:F4JQZ3] MASSHVNDNAPQCKTCRCGEGDANIWTTKTPENLEKQVNKCPNCQVIKSGPLLVSSRGIGWTSWKKRWFVLTRTSLVFFRSDPNVPPPKGSEPNLTLGGIDLTNSGSVVVKPDKKLLTVLFPDGRDGRTFTLKAETLEDLNEWKTALENALAQAPSAALAMGQSGILRTDVADSIEASFEQWRDRRPGKSLVVGRPILLALEDIDGSPSFLEKALRYIEQYGIKVEGILRQSADVEEVERRVQEYEQGKNEFSADEDAHVIGDCIKHVLRELPSSPVPASCCTALVEAYRTDRRSRVDALRAAIYGSFPEPNLHLLQRILKMMRIVASHKSENRMTLSALAACMAPLLLRALLHGDCEVDDDFKMGGDGSLQLLQAAAAANHAQAIVIILLEEYERIFNEDLSLEGSLSSEVYTDSEDDDFEDEESTDDDILEDDGYHDADIDVEADIDDDSKHSSNEKHSRSGSEVACGLSDDKDSDDHNMGRTFSGDKDHPSVLSAAALNAADTSLLKKDAEKPLPIASATVIQRDELLNVERSNSSSAAALTSESRLATGSNGNCMSTMHKSVSHILPSSVSKFNEDLIEPSATSRKPTVWGRMAARKNLSMESIEYSSEDDEVAIQKLENSKTDLQNKITKEVKGNAILQASLEKRKEALHERRWALEQDVEKLREQLQKERDLKESLESGLMNIRRGQLPITAIVDCKTKADLEEIALTEADIINLKHKVSDLRGQLSNQMKLGYASLCESCSQQLYRMHQLDGADKQKEIASTAVVREMDNVSQTEHSSSGRDPQNGQALRSGISESPSLKEITAGTNDLHKNSSIKDENVLSRANCKDANTKTLGSPSSSTMQPTQKQHLDTNKNSSVPKGSNFASFSDEPAISHKVVSKRTSSKYDPQADSYYEKKSVIGMDTQKPRNEMANPPPMKPETNAVTLTSTSLVSNDDQSTAESLPVSHNILSAGSFSSGHNAKKVDEDSGSLPNRKDPQKQQSSATNLDNLKSFGLSNVPSSGDPTSLEVSSKKYSSRGEVNLL >DRNTG_02731.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19741794:19745162:1 gene:DRNTG_02731 transcript:DRNTG_02731.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFNVILTSLPVISLGVFEQDVSSEICLQFPALYQQGPRNLFFDWYRIIGWMVNGVYSSVIIFFLTVHIIYNQAFRAGGQTADMAAIGTTMFTCIIWAVNMQIALTMSHFTWIQHLFVWGSVSTWYLFLLAYGMSSPLISGNAYQILMEALAPAPIYWASTLLVAITCNLPYFAHISFQRSFNPMDHHVIQEIKYYKKDVEDQHMWKRERSRARQETKIGFTARVEANIRQLKGKLQKRVSSLSVHTVS >DRNTG_27293.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:126131:130046:-1 gene:DRNTG_27293 transcript:DRNTG_27293.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFAFYRFLAFSRIWNVGHKLQANQRHSLTSFGIPLSCRTFTQYRVPRGGSYSVTLYKTKENFQRSSYSQNFSVVSTSSSIWHHAQGTWKNLSNICSHRGVVSPSLSKIACATSLACTRCHMVPSVLALIAGGIAFNREAFADGEYFPKRNTLFMRAEDSHVYLSSFIFAAIEYFILFLRSIYLAILFSPVIMMAPFADSFGPQYRNTWVHLVHRTLEKAGPAFIKWGQWAATRPDLFPNDLCTELAKLHTEAPAHSFAYTKKTIEKAFNCKISDFFENFEEEPVASGSVAQVHRASLRCKHPGQKVKQVDVAVKVRHPGVGEAIRRDFTIINIVAKISNFIPTLKWLRLDESVQQFAVFMMSQVDLAREAAHLNRFIYNFRRWKDVSFPKPLYPLVNPAVLVETFEKGECVSYYVDELEGNDRIKSALAHIGTHALLKMLLVDNFIHADMHPGNILVRLSQSKRPSKRLFKSKPHVVFLDVGMTAELSNSDRVNLLDFFKAVAVRDGRTAAECTLRLSKRQNCPNPKAFIEEVEKSFTFWGTTEGDLVHPAECMQQLLEQVRRHRVNIDGNVCTVMVTTLVLEGWQRKLDPDYNVMQTLQTLLFRSDWAESLIYTIEGLMAP >DRNTG_27293.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:126131:130046:-1 gene:DRNTG_27293 transcript:DRNTG_27293.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLAFSRIWNVGHKLQANQRHSLTSFGIPLSCRTFTQYRVPRGGSYSVTLYKTKENFQRSSYSQNFSVVSTSSSIWHHAQGTWKNLSNICSHRGVVSPSLSKIACATSLACTRCHMVPSVLALIAGGIAFNREAFADGEYFPKRNTLFMRAEDSHVYLSSFIFAAIEYFILFLRSIYLAILFSPVIMMAPFADSFGPQYRNTWVHLVHRTLEKAGPAFIKWGQWAATRPDLFPNDLCTELAKLHTEAPAHSFAYTKKTIEKAFNCKISDFFENFEEEPVASGSVAQVHRASLRCKHPGQKVKQVDVAVKVRHPGVGEAIRRDFTIINIVAKISNFIPTLKWLRLDESVQQFAVFMMSQVDLAREAAHLNRFIYNFRRWKDVSFPKPLYPLVNPAVLVETFEKGECVSYYVDELEGNDRIKSALAHIGTHALLKMLLVDNFIHADMHPGNILVRLSQSKRPSKRLFKSKPHVVFLDVGMTAELSNSDRVNLLDFFKAVAVRDGRTAAECTLRLSKRQNCPNPKAFIEEVEKSFTFWGTTEGDLVHPAECMQQLLEQVRRHRVNIDGNVCTVMVTTLVLEGWQRKLDPDYNVMQTLQTLLFRSDWAESLIYTIEGLMAP >DRNTG_08829.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27968357:27969252:1 gene:DRNTG_08829 transcript:DRNTG_08829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLEIQKEYGYVVLVVVLYAILNFWMGFQVGKARRKYKVFYPTLYALESENKDAKLFNCIQRGHQNSLEMMPVFFLMTVLGGIQHPLIAAGLGLLYVIARFFYFKGYSTGVPDNRLKIGGLNFLALLGLIICAASFGINLVLREPLATIP >DRNTG_03210.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000146.1:163024:164222:-1 gene:DRNTG_03210 transcript:DRNTG_03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTNPTNEENLTNPVNAIQLNDIATEDPNPTNASCDIANNGPNDTISTPTISQASNDPFDAIANGGIFIKPYAYTT >DRNTG_06618.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:472298:473909:1 gene:DRNTG_06618 transcript:DRNTG_06618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEHHAHCHACMHAKTDSKKNKDEHSLRSFGTS >DRNTG_06618.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:472957:473909:1 gene:DRNTG_06618 transcript:DRNTG_06618.3 gene_biotype:protein_coding transcript_biotype:protein_coding HSFTVSLSSCFSTSIHGLEFNQKERHRERGSKTTSAQENH >DRNTG_06618.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:472298:473909:1 gene:DRNTG_06618 transcript:DRNTG_06618.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDDQVSEESMKQAQPAPSATVSSALPFSFFFPPNHSTSSQMTYGVEELYSQLSVMPLRSDGSLCIREALSRSQQEDLFSGINGYPHQNTEQQTLQREGMFSGLAGQEIFQQPLGEELVAEAGISSLKNLATQGLGYEDLHYLSLSMNPVPQSSYSIASQYLSPPASAPQFMALNSTKKKGTGKGVQKQPVHRKTIDSFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQ >DRNTG_22674.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20400228:20402633:-1 gene:DRNTG_22674 transcript:DRNTG_22674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLELTAGKNKKRKATEDAQLLSVFSLNELNEDLLERILAWLPASSFFRLRSVCKRWNSIASSATFQIACSQIPFRDPWFLMVDQELNQSVVFDATEWKWRNINHPYLMQDNLYSKPIPVASCGGLVCFRTISGDFIVSNPVTGVSRELPPVTLSSGSQTLHAIAMNSSPKDQSYRIVLVFGELSKLAAKVFDAAKGSWEDEVMLVRKVGNSSETEITGDEPLYFLSKSGDVVATNMQRSPSKQYSSVLINDDGEVVYFLSHTGTVIACNLADKTFIELPRLLPVYSEYSIDLVEYQGEMAVVILSEFLESASLRVWRFSKDDRSWQQVAVMPPRMSHEFYGKKADINCVSSADKIFICINSSEFSRHVVCDIVTNKWVELSPVLRKRESQGVYVCLILRAKGGGVGMNFKRVDLCA >DRNTG_04482.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000215.1:125332:131072:1 gene:DRNTG_04482 transcript:DRNTG_04482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQEREGLVYIAKLAEQAERYEAMVESMKKVAKLGVDLTVEERNLLSVGYKNVIGARRASWRILSSIEQKEDAKGNDNHVRLIREYRQKVESELANICSDIMTVIDEHLIPSSSAGESSVFFYKMKGDYYRYLAEFKSGTERKEVADLSLKAYQAAFSTAESDLPPTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAISELDTLNEESYKDSTLIMQLLRDNLTLWTSDIPEDGEDVVKESTAKVGAVEDVEMQ >DRNTG_21823.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1805341:1806682:-1 gene:DRNTG_21823 transcript:DRNTG_21823.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARSGQHIGLQYESWHKVPKTLKDELFKFIELRFSLQISREYVLKSLGKKWRDYKHDLKTKHFKREESLQANKDKHSSATIRWQWEQLVDFWYSKKGEDSEKLGVASRKQQKYTHTCGSKSFARKEKEMEVTTGKKVGRLEFFRATHTKKDGSHMNEETRQIMESANEKLVGYQTIDEDMQMVETQILTQVIG >DRNTG_21823.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1805684:1806682:-1 gene:DRNTG_21823 transcript:DRNTG_21823.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLARSGQHIGLQYESWHKVPKTLKDELFKFIELRFSLQISREYVLKSLGKKWRDYKHDLKTKHFKREESLQANKDKHSSATIRWQWEQLVDFWYSKKGEDSEKLGVASRKQQKYTHTCGSKSFARKEKEM >DRNTG_21823.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1805519:1806415:-1 gene:DRNTG_21823 transcript:DRNTG_21823.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLARSGQHIGLQYESWHKVPKTLKDELFKFIELRFSLQISREYVLKSLGKKWRDYKHDLKTKHFKREESLQANKDKHSSATIRWQWEQLVDFWYSKKGEDSEKLGVASRKQQKYTHTCGSKSFARKEKEMEVTTGKKVGRLEFFRATHTKKDGSHMNEETRQIM >DRNTG_21823.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1805519:1806682:-1 gene:DRNTG_21823 transcript:DRNTG_21823.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLARSGQHIGLQYESWHKVPKTLKDELFKFIELRFSLQISREYVLKSLGKKWRDYKHDLKTKHFKREESLQANKDKHSSATIRWQWEQLVDFWYSKKGEDSEKLGVASRKQQKYTHTCGSKSFARKEKEMEVTTGKKVGRLEFFRATHTKKDGSHMNEETRQIM >DRNTG_25550.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3060743:3062390:-1 gene:DRNTG_25550 transcript:DRNTG_25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEKSVVMGIVWSLVDVKLLITTILILCSIATFLQLLPSTSSFLSCLSSQPPQPLNFTIPKPPQETLTSSSGVLKRSFNPIGSAAYLFIQMGAYRGGANSFAVVGLGSKPLHVFSKPTFTCVWTPTKDSTINSSKTTVGSKILPDWGYGRVYTVVVVNCSFPEDINVDGSGGQLTIHTTTGAQGDDKVESFVALEESPGSFNVTELTAAPPKYDILYCGSSLYGNLSPQRVREWMAYHARLFGPRAHFVFHDAGGVHPAVLDVLRPWMELGLITLHDIREQEKFDGYYHNQFLVVNDCLHRYRHAAKWMFFFDIDEFLYVEPKATLRSLLDSLSGYTQFTIEQMPMSSELCLSSDSGKTSRYVWLLSCSVLWFFNVNELKGWLWFAGCGGLRSWCTGM >DRNTG_25306.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20228993:20253886:1 gene:DRNTG_25306 transcript:DRNTG_25306.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRATKLNAAVIPLEAAEVRSEVPVKVDKIYTTTVVSVETLVGPKGEIGEVCHIVLDHGGSFSFKEGQYLLVHFQSIKRYFSIASCSRGDIFDDKTLSLC >DRNTG_25306.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20228993:20231394:1 gene:DRNTG_25306 transcript:DRNTG_25306.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDEERKMHSKHIMVATATGVAPFRSNIQGIFLSNILGNTDNIWLISGADNYNSLLYDKEFIQVQNNNSDRFRYQRALNNSVDDVIYQSGDEIFKLLETGAYIYIAGSNTMLPGIKATFAKIAQERGVDWDEMLRELQSTNHWRVEVY >DRNTG_25306.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20228993:20255238:1 gene:DRNTG_25306 transcript:DRNTG_25306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDEERKMHSKHIMVATATGVAPFRSNIQGIFLSNILGNTDNIWLISGADNYNSLLYDKEFIQVQNNNSDRFRYQRALNNSVDDVIYQSGDEIFKLLETGAYIYISLDQIPCYLELRQHSPRLLKKEVWIGMKC >DRNTG_12698.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4314798:4319005:-1 gene:DRNTG_12698 transcript:DRNTG_12698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKCFQFKCTPPVLEPVDIAPGTTLIHSLKRVLRVPSVCLKRFLTTKVDRCVTGLVAQQQTVGPLQIPLADVSVIAQTYTDLIGGACAIREQPIKGLINPKSMARLAVGEALTNLVWAKVTSLGDVKASGNWMYAAKLDGEGVAMYDAATALSEAMIELGIVIDGGKDSLSMTTHAGGQVVKAPGNLVISAYVTCPDITLTVTPDLKLGDNGVLLHIDLAKGRRRLGGSALLQSFDQIGNDCPDLDDVSYLKKVFNVVQELLGEKLISAGHDISDGGFLVCILEMAIAGNCGVELNLSFGLVIEVSSKNVDVVKQKLEAAGVSSEIIGNVTASQMIDLSVYDAVQLKEEMPHLRDLWEETSFHLEGFQRLASCVELEKKGLKSRHTPLWELSFTPKFTDEVFMSATLKPKVAIIREEGSNGDREMSAACYATGFEPWDITMSDLLSGKITLDNFRGIIFVGGFSYADVLDSAKGWSASIRFNQPLLQQFQKFYNRLDTFSLDVCNGC >DRNTG_12698.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4314725:4318887:-1 gene:DRNTG_12698 transcript:DRNTG_12698.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKCFQFKCTPPVLEPVDIAPGTTLIHSLKRVLRVPSVCLKRFLTTKVDRCVTGLVAQQQTVGPLQIPLADVSVIAQTYTDLIGGACAIREQPIKGLINPKSMARLAVGEALTNLVWAKVTSLGDVKASGNWMYAAKLDGEGVAMYDAATALSEAMIELGIVIDGGKDSLSMTTHAGGQVVKAPGNLVISAYVTCPDITLTVTPDLKLGDNGVLLHIDLAKGRRRLGGSALLQSFDQIGNDCPDLDDVSYLKKVFNVVQELLGEKLISAGHDISDGGFLVCILEMAIAGNCGVELNLSFGLVIEVSSKNVDVVKQKLEAAGVSSEIIGNVTASQMIDLSVYDAVQLKEEMPHLRDLWEETSFHLEGFQRLASCVELEKKGLKSRHTPLWELSFTPKFTDEVFMSATLKPKVAIIREEGSNGDREMSAACYATGFEPWDITMSDLLSGKITLDNFRGIIFVGGFSYADVLDSAKGWSASIRFNQPLLQQFQKFYNRLDTFSLDVCNGC >DRNTG_12698.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4314725:4318710:-1 gene:DRNTG_12698 transcript:DRNTG_12698.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKCFQFKCTPPVLEPVDIAPGTTLIHSLKRVLRVPSVCLKRFLTTKVDRCVTGLVAQQQTVGPLQIPLADVSVIAQTYTDLIGGACAIREQPIKGLINPKSMARLAVGEALTNLVWAKVTSLGDVKASGNWMYAAKLDGEGVAMYDAATALSEAMIELGIVIDGGKDSLSMTTHAGGQVVKAPGNLVISAYVTCPDITLTVTPDLKLGDNGVLLHIDLAKGRRRLGGSALLQSFDQIGNDCPDLDDVSYLKKVFNVVQELLGEKLISAGHDISDGGFLVCILEMAIAGNCGVELNLSFGLVIEVSSKNVDVVKQKLEAAGVSSEIIGNVTASQMIDLSVYDAVQLKEEMPHLRDLWEETSFHLEGFQRLASCVELEKKGLKSRHTPLWELSFTPKFTDEVFMSATLKPKVAIIREEGSNGDREMSAACYATGFEPWDITMSDLLSGKITLDNFRGIIFVGGFSYADVLDSAKGWSASIRFNQPLLQQFQKFYNRLDTFSLDVCNGC >DRNTG_12698.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4314725:4317556:-1 gene:DRNTG_12698 transcript:DRNTG_12698.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKCFQFKCTPPVLEPVDIAPGTTLIHSLKRVLRVPSVCLKRFLTTKVDRCVTGLVAQQQTVGPLQIPLADVSVIAQTYTDLIGGACAIREQPIKGLINPKSMARLAVGEALTNLVWAKVTSLGDVKASGNWMYAAKLDGEGVAMYDAATALSEAMIELGIVIDGGKDSLSMTTHAGGQVVKAPGNLVISAYVTCPDITLTVTPDLKLGDNGVLLHIDLAKGRRRLGGSALLQSFDQIGNDCPDLDDVSYLKKVFNVVQELLGEKLISAGHDISDGGFLVCILEMAIAGNCGVELNLSFGLVIEVSSKNVDVVKQKLEAAGVSSEIIGNVTASQMIDLSVYDAVQLKEEMPHLRDLWEETSFHLEGFQRLASCVELEKKGLKSRHTPLWELSFTPKFTDEVFMSATLKPKVAIIREEGSNGDREMSAACYATGFEPWDITMSDLLSGKITLDNFRGIIFVGGFSYADVLDSAKGWSASIRFNQPLLQQFQKFYNRLDTFSLDVCNGC >DRNTG_12698.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4314798:4318887:-1 gene:DRNTG_12698 transcript:DRNTG_12698.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKCFQFKCTPPVLEPVDIAPGTTLIHSLKRVLRVPSVCLKRFLTTKVDRCVTGLVAQQQTVGPLQIPLADVSVIAQTYTDLIGGACAIREQPIKGLINPKSMARLAVGEALTNLVWAKVTSLGDVKASGNWMYAAKLDGEGVAMYDAATALSEAMIELGIVIDGGKDSLSMTTHAGGQVVKAPGNLVISAYVTCPDITLTVTPDLKLGDNGVLLHIDLAKGRRRLGGSALLQSFDQIGNDCPDLDDVSYLKKVFNVVQELLGEKLISAGHDISDGGFLVCILEMAIAGNCGVELNLSFGLVIEVSSKNVDVVKQKLEAAGVSSEIIGNVTASQMIDLSVYDAVQLKEEMPHLRDLWEETSFHLEGFQRLASCVELEKKGLKSRHTPLWELSFTPKFTDEVFMSATLKPKVAIIREEGSNGDREMSAACYATGFEPWDITMSDLLSGKITLDNFRGIIFVGGFSYADVLDSAKGWSASIRFNQPLLQQFQKFYNRLDTFSLDVCNGC >DRNTG_04704.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3901487:3903148:1 gene:DRNTG_04704 transcript:DRNTG_04704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNREEGRNTTLFDYRDSFWFGFYDALVLPTSQVKKKIPSLVALKT >DRNTG_00846.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11446022:11450201:1 gene:DRNTG_00846 transcript:DRNTG_00846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTIRLSMRNLFTTAKSWVVGACMKRETTPTTNIRLGSCMREARLSLILPLLILSLYNNAASTQASTLALYNKCKQTVWPGIQPSAGKPILARGGFRLLPNQAYSIRLPPNWSGRIWGRQDCFFDPATNRGRCATGDCGGSLYCNGAGGVPPATLAEITLGAQDFYDVSLVDGYNLAISMTPFRGKGKCGYAGCVSDLNEVCPAGLAVRSGRDNRVVACRSACSAFGSPKYCCTGSFGSPQQCKPTAYSKLFKAACPRAYSYAYDDPTSIVTCTGGSYLVTFCPHH >DRNTG_18284.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1802238:1804554:-1 gene:DRNTG_18284 transcript:DRNTG_18284.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP8 [Source:Projected from Arabidopsis thaliana (AT1G58100) UniProtKB/Swiss-Prot;Acc:Q9C518] MDQTDHVRCDAIPSNSTTSSTSSKTNSGAGAGAGATPLQLVPLQTNSLMPSIPTTGSKKTTTTSTTTATTTVKRSSKDRHTKVDGRGRRIRMPAACAARVFQLTRELGHKSDGETIEWLLQQAEPAIVAATGTGTIPANFSTLNVSLRSSGSSVSAPASRVAQQQQPHSFHALALSHHYEESPDAAAALLGFQLPHHQHHQMLGSGDQIEGLGAGAGGNGSESTDNYLRKRFREDLFKGEQQQQHPESSQREESGGGSGAGPSSPTTTTAKVVRKGGGLQLHQRPSQEPNVLPAAAMWAVAAAPAPTSAAFWMLPVSASSNTPSMAGAAAGATEQPSLWAFPSGTTPYRTAIPTGAGGSTIQAPLQFMSRINIPSALELQSGRLPLGSMLLQQHNPGAGAGAGAGQAASQHLGLGMAETNLGMLAALNAYNRGGALSMSSEHHQHHLDTRHHHQHAQHHHHHQQQQQEGGGGGGGGAEGGGGGGGEDHQTNSQ >DRNTG_18284.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1802053:1804265:-1 gene:DRNTG_18284 transcript:DRNTG_18284.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP8 [Source:Projected from Arabidopsis thaliana (AT1G58100) UniProtKB/Swiss-Prot;Acc:Q9C518] MDQTDHVRCDAIPSNSTTSSTSSKTNSGAGAGAGATPLQLVPLQTNSLMPSIPTTGSKKTTTTSTTTATTTVKRSSKDRHTKVDGRGRRIRMPAACAARVFQLTRELGHKSDGETIEWLLQQAEPAIVAATGTGTIPANFSTLNVSLRSSGSSVSAPASRVAQQQQPHSFHALALSHHYEESPDAAAALLGFQLPHHQHHQMLGSGDQIEGLGAGAGGNGSESTDNYLRKRFREDLFKGEQQQQHPESSQREESGGGSGAGPSSPTTTTAKVVRKGGGLQLHQRPSQEPNVLPAAAMWAVAAAPAPTSAAFWMLPVSASSNTPSMAGAAAGATEQPSLWAFPSGTTPYRTAIPTGAGGSTIQAPLQFMSRINIPSALELQSGRLPLGSMLLQQHNPGAGAGAGAGQAASQHLGLGMAETNLGMLAALNAYNRGGALSMSSEHHQHHLDTRHHHQHAQHHHHHQQQQQEGGGGGGGGAEGGGGGGGEDHQTNSQ >DRNTG_18284.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1802172:1804742:-1 gene:DRNTG_18284 transcript:DRNTG_18284.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP8 [Source:Projected from Arabidopsis thaliana (AT1G58100) UniProtKB/Swiss-Prot;Acc:Q9C518] MDQTDHVRCDAIPSNSTTSSTSSKTNSGAGAGAGATPLQLVPLQTNSLMPSIPTTGSKKTTTTSTTTATTTVKRSSKDRHTKVDGRGRRIRMPAACAARVFQLTRELGHKSDGETIEWLLQQAEPAIVAATGTGTIPANFSTLNVSLRSSGSSVSAPASRVAQQQQPHSFHALALSHHYEESPDAAAALLGFQLPHHQHHQMLGSGDQIEGLGAGAGGNGSESTDNYLRKRFREDLFKGEQQQQHPESSQREESGGGSGAGPSSPTTTTAKVVRKGGGLQLHQRPSQEPNVLPAAAMWAVAAAPAPTSAAFWMLPVSASSNTPSMAGAAAGATEQPSLWAFPSGTTPYRTAIPTGAGGSTIQAPLQFMSRINIPSALELQSGRLPLGSMLLQQHNPGAGAGAGAGQAASQHLGLGMAETNLGMLAALNAYNRGGALSMSSEHHQHHLDTRHHHQHAQHHHHHQQQQQEGGGGGGGGAEGGGGGGGEDHQTNSQ >DRNTG_18284.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1802172:1804265:-1 gene:DRNTG_18284 transcript:DRNTG_18284.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP8 [Source:Projected from Arabidopsis thaliana (AT1G58100) UniProtKB/Swiss-Prot;Acc:Q9C518] MDQTDHVRCDAIPSNSTTSSTSSKTNSGAGAGAGATPLQLVPLQTNSLMPSIPTTGSKKTTTTSTTTATTTVKRSSKDRHTKVDGRGRRIRMPAACAARVFQLTRELGHKSDGETIEWLLQQAEPAIVAATGTGTIPANFSTLNVSLRSSGSSVSAPASRVAQQQQPHSFHALALSHHYEESPDAAAALLGFQLPHHQHHQMLGSGDQIEGLGAGAGGNGSESTDNYLRKRFREDLFKGEQQQQHPESSQREESGGGSGAGPSSPTTTTAKVVRKGGGLQLHQRPSQEPNVLPAAAMWAVAAAPAPTSAAFWMLPVSASSNTPSMAGAAAGATEQPSLWAFPSGTTPYRTAIPTGAGGSTIQAPLQFMSRINIPSALELQSGRLPLGSMLLQQHNPGAGAGAGAGQAASQHLGLGMAETNLGMLAALNAYNRGGALSMSSEHHQHHLDTRHHHQHAQHHHHHQQQQQEGGGGGGGGAEGGGGGGGEDHQTNSQ >DRNTG_18284.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1802053:1804071:-1 gene:DRNTG_18284 transcript:DRNTG_18284.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP8 [Source:Projected from Arabidopsis thaliana (AT1G58100) UniProtKB/Swiss-Prot;Acc:Q9C518] MDQTDHVRCDAIPSNSTTSSTSSKTNSGAGAGAGATPLQLVPLQTNSLMPSIPTTGSKKTTTTSTTTATTTVKRSSKDRHTKVDGRGRRIRMPAACAARVFQLTRELGHKSDGETIEWLLQQAEPAIVAATGTGTIPANFSTLNVSLRSSGSSVSAPASRVAQQQQPHSFHALALSHHYEESPDAAAALLGFQLPHHQHHQMLGSGDQIEGLGAGAGGNGSESTDNYLRKRFREDLFKGEQQQQHPESSQREESGGGSGAGPSSPTTTTAKVVRKGGGLQLHQRPSQEPNVLPAAAMWAVAAAPAPTSAAFWMLPVSASSNTPSMAGAAAGATEQPSLWAFPSGTTPYRTAIPTGAGGSTIQAPLQFMSRINIPSALELQSGRLPLGSMLLQQHNPGAGAGAGAGQAASQHLGLGMAETNLGMLAALNAYNRGGALSMSSEHHQHHLDTRHHHQHAQHHHHHQQQQQEGGGGGGGGAEGGGGGGGEDHQTNSQ >DRNTG_18284.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1802238:1804265:-1 gene:DRNTG_18284 transcript:DRNTG_18284.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP8 [Source:Projected from Arabidopsis thaliana (AT1G58100) UniProtKB/Swiss-Prot;Acc:Q9C518] MDQTDHVRCDAIPSNSTTSSTSSKTNSGAGAGAGATPLQLVPLQTNSLMPSIPTTGSKKTTTTSTTTATTTVKRSSKDRHTKVDGRGRRIRMPAACAARVFQLTRELGHKSDGETIEWLLQQAEPAIVAATGTGTIPANFSTLNVSLRSSGSSVSAPASRVAQQQQPHSFHALALSHHYEESPDAAAALLGFQLPHHQHHQMLGSGDQIEGLGAGAGGNGSESTDNYLRKRFREDLFKGEQQQQHPESSQREESGGGSGAGPSSPTTTTAKVVRKGGGLQLHQRPSQEPNVLPAAAMWAVAAAPAPTSAAFWMLPVSASSNTPSMAGAAAGATEQPSLWAFPSGTTPYRTAIPTGAGGSTIQAPLQFMSRINIPSALELQSGRLPLGSMLLQQHNPGAGAGAGAGQAASQHLGLGMAETNLGMLAALNAYNRGGALSMSSEHHQHHLDTRHHHQHAQHHHHHQQQQQEGGGGGGGGAEGGGGGGGEDHQTNSQ >DRNTG_18284.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1802053:1804554:-1 gene:DRNTG_18284 transcript:DRNTG_18284.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP8 [Source:Projected from Arabidopsis thaliana (AT1G58100) UniProtKB/Swiss-Prot;Acc:Q9C518] MDQTDHVRCDAIPSNSTTSSTSSKTNSGAGAGAGATPLQLVPLQTNSLMPSIPTTGSKKTTTTSTTTATTTVKRSSKDRHTKVDGRGRRIRMPAACAARVFQLTRELGHKSDGETIEWLLQQAEPAIVAATGTGTIPANFSTLNVSLRSSGSSVSAPASRVAQQQQPHSFHALALSHHYEESPDAAAALLGFQLPHHQHHQMLGSGDQIEGLGAGAGGNGSESTDNYLRKRFREDLFKGEQQQQHPESSQREESGGGSGAGPSSPTTTTAKVVRKGGGLQLHQRPSQEPNVLPAAAMWAVAAAPAPTSAAFWMLPVSASSNTPSMAGAAAGATEQPSLWAFPSGTTPYRTAIPTGAGGSTIQAPLQFMSRINIPSALELQSGRLPLGSMLLQQHNPGAGAGAGAGQAASQHLGLGMAETNLGMLAALNAYNRGGALSMSSEHHQHHLDTRHHHQHAQHHHHHQQQQQEGGGGGGGGAEGGGGGGGEDHQTNSQ >DRNTG_18284.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1802238:1804742:-1 gene:DRNTG_18284 transcript:DRNTG_18284.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP8 [Source:Projected from Arabidopsis thaliana (AT1G58100) UniProtKB/Swiss-Prot;Acc:Q9C518] MDQTDHVRCDAIPSNSTTSSTSSKTNSGAGAGAGATPLQLVPLQTNSLMPSIPTTGSKKTTTTSTTTATTTVKRSSKDRHTKVDGRGRRIRMPAACAARVFQLTRELGHKSDGETIEWLLQQAEPAIVAATGTGTIPANFSTLNVSLRSSGSSVSAPASRVAQQQQPHSFHALALSHHYEESPDAAAALLGFQLPHHQHHQMLGSGDQIEGLGAGAGGNGSESTDNYLRKRFREDLFKGEQQQQHPESSQREESGGGSGAGPSSPTTTTAKVVRKGGGLQLHQRPSQEPNVLPAAAMWAVAAAPAPTSAAFWMLPVSASSNTPSMAGAAAGATEQPSLWAFPSGTTPYRTAIPTGAGGSTIQAPLQFMSRINIPSALELQSGRLPLGSMLLQQHNPGAGAGAGAGQAASQHLGLGMAETNLGMLAALNAYNRGGALSMSSEHHQHHLDTRHHHQHAQHHHHHQQQQQEGGGGGGGGAEGGGGGGGEDHQTNSQ >DRNTG_18284.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1801587:1804071:-1 gene:DRNTG_18284 transcript:DRNTG_18284.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP8 [Source:Projected from Arabidopsis thaliana (AT1G58100) UniProtKB/Swiss-Prot;Acc:Q9C518] MDQTDHVRCDAIPSNSTTSSTSSKTNSGAGAGAGATPLQLVPLQTNSLMPSIPTTGSKKTTTTSTTTATTTVKRSSKDRHTKVDGRGRRIRMPAACAARVFQLTRELGHKSDGETIEWLLQQAEPAIVAATGTGTIPANFSTLNVSLRSSGSSVSAPASRVAQQQQPHSFHALALSHHYEESPDAAAALLGFQLPHHQHHQMLGSGDQIEGLGAGAGGNGSESTDNYLRKRFREDLFKGEQQQQHPESSQREESGGGSGAGPSSPTTTTAKVVRKGGGLQLHQRPSQEPNVLPAAAMWAVAAAPAPTSAAFWMLPVSASSNTPSMAGAAAGATEQPSLWAFPSGTTPYRTAIPTGAGGSTIQAPLQFMSRINIPSALELQSGRLPLGSMLLQQHNPGAGAGAGAGQAASQHLGLGMAETNLGMLAALNAYNRGGALSMSSEHHQHHLDTRHHHQHAQHHHHHQQQQQEGGGGGGGGAEGGGGGGGEDHQTNSQ >DRNTG_18284.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1802053:1804554:-1 gene:DRNTG_18284 transcript:DRNTG_18284.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP8 [Source:Projected from Arabidopsis thaliana (AT1G58100) UniProtKB/Swiss-Prot;Acc:Q9C518] MDQTDHVRCDAIPSNSTTSSTSSKTNSGAGAGAGATPLQLVPLQTNSLMPSIPTTGSKKTTTTSTTTATTTVKRSSKDRHTKVDGRGRRIRMPAACAARVFQLTRELGHKSDGETIEWLLQQAEPAIVAATGTGTIPANFSTLNVSLRSSGSSVSAPASRVAQQQQPHSFHALALSHHYEESPDAAAALLGFQLPHHQHHQMLGSGDQIEGLGAGAGGNGSESTDNYLRKRFREDLFKGEQQQQHPESSQREESGGGSGAGPSSPTTTTAKVVRKGGGLQLHQRPSQEPNVLPAAAMWAVAAAPAPTSAAFWMLPVSASSNTPSMAGAAAGATEQPSLWAFPSGTTPYRTAIPTGAGGSTIQAPLQFMSRINIPSALELQSGRLPLGSMLLQQHNPGAGAGAGAGQAASQHLGLGMAETNLGMLAALNAYNRGGALSMSSEHHQHHLDTRHHHQHAQHHHHHQQQQQEGGGGGGGGAEGGGGGGGEDHQTNSQ >DRNTG_18284.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1802172:1804071:-1 gene:DRNTG_18284 transcript:DRNTG_18284.15 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP8 [Source:Projected from Arabidopsis thaliana (AT1G58100) UniProtKB/Swiss-Prot;Acc:Q9C518] MDQTDHVRCDAIPSNSTTSSTSSKTNSGAGAGAGATPLQLVPLQTNSLMPSIPTTGSKKTTTTSTTTATTTVKRSSKDRHTKVDGRGRRIRMPAACAARVFQLTRELGHKSDGETIEWLLQQAEPAIVAATGTGTIPANFSTLNVSLRSSGSSVSAPASRVAQQQQPHSFHALALSHHYEESPDAAAALLGFQLPHHQHHQMLGSGDQIEGLGAGAGGNGSESTDNYLRKRFREDLFKGEQQQQHPESSQREESGGGSGAGPSSPTTTTAKVVRKGGGLQLHQRPSQEPNVLPAAAMWAVAAAPAPTSAAFWMLPVSASSNTPSMAGAAAGATEQPSLWAFPSGTTPYRTAIPTGAGGSTIQAPLQFMSRINIPSALELQSGRLPLGSMLLQQHNPGAGAGAGAGQAASQHLGLGMAETNLGMLAALNAYNRGGALSMSSEHHQHHLDTRHHHQHAQHHHHHQQQQQEGGGGGGGGAEGGGGGGGEDHQTNSQ >DRNTG_18284.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1802053:1804140:-1 gene:DRNTG_18284 transcript:DRNTG_18284.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP8 [Source:Projected from Arabidopsis thaliana (AT1G58100) UniProtKB/Swiss-Prot;Acc:Q9C518] MDQTDHVRCDAIPSNSTTSSTSSKTNSGAGAGAGATPLQLVPLQTNSLMPSIPTTGSKKTTTTSTTTATTTVKRSSKDRHTKVDGRGRRIRMPAACAARVFQLTRELGHKSDGETIEWLLQQAEPAIVAATGTGTIPANFSTLNVSLRSSGSSVSAPASRVAQQQQPHSFHALALSHHYEESPDAAAALLGFQLPHHQHHQMLGSGDQIEGLGAGAGGNGSESTDNYLRKRFREDLFKGEQQQQHPESSQREESGGGSGAGPSSPTTTTAKVVRKGGGLQLHQRPSQEPNVLPAAAMWAVAAAPAPTSAAFWMLPVSASSNTPSMAGAAAGATEQPSLWAFPSGTTPYRTAIPTGAGGSTIQAPLQFMSRINIPSALELQSGRLPLGSMLLQQHNPGAGAGAGAGQAASQHLGLGMAETNLGMLAALNAYNRGGALSMSSEHHQHHLDTRHHHQHAQHHHHHQQQQQEGGGGGGGGAEGGGGGGGEDHQTNSQ >DRNTG_18284.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1802172:1804140:-1 gene:DRNTG_18284 transcript:DRNTG_18284.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP8 [Source:Projected from Arabidopsis thaliana (AT1G58100) UniProtKB/Swiss-Prot;Acc:Q9C518] MDQTDHVRCDAIPSNSTTSSTSSKTNSGAGAGAGATPLQLVPLQTNSLMPSIPTTGSKKTTTTSTTTATTTVKRSSKDRHTKVDGRGRRIRMPAACAARVFQLTRELGHKSDGETIEWLLQQAEPAIVAATGTGTIPANFSTLNVSLRSSGSSVSAPASRVAQQQQPHSFHALALSHHYEESPDAAAALLGFQLPHHQHHQMLGSGDQIEGLGAGAGGNGSESTDNYLRKRFREDLFKGEQQQQHPESSQREESGGGSGAGPSSPTTTTAKVVRKGGGLQLHQRPSQEPNVLPAAAMWAVAAAPAPTSAAFWMLPVSASSNTPSMAGAAAGATEQPSLWAFPSGTTPYRTAIPTGAGGSTIQAPLQFMSRINIPSALELQSGRLPLGSMLLQQHNPGAGAGAGAGQAASQHLGLGMAETNLGMLAALNAYNRGGALSMSSEHHQHHLDTRHHHQHAQHHHHHQQQQQEGGGGGGGGAEGGGGGGGEDHQTNSQ >DRNTG_18284.16.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1802238:1804071:-1 gene:DRNTG_18284 transcript:DRNTG_18284.16 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP8 [Source:Projected from Arabidopsis thaliana (AT1G58100) UniProtKB/Swiss-Prot;Acc:Q9C518] MDQTDHVRCDAIPSNSTTSSTSSKTNSGAGAGAGATPLQLVPLQTNSLMPSIPTTGSKKTTTTSTTTATTTVKRSSKDRHTKVDGRGRRIRMPAACAARVFQLTRELGHKSDGETIEWLLQQAEPAIVAATGTGTIPANFSTLNVSLRSSGSSVSAPASRVAQQQQPHSFHALALSHHYEESPDAAAALLGFQLPHHQHHQMLGSGDQIEGLGAGAGGNGSESTDNYLRKRFREDLFKGEQQQQHPESSQREESGGGSGAGPSSPTTTTAKVVRKGGGLQLHQRPSQEPNVLPAAAMWAVAAAPAPTSAAFWMLPVSASSNTPSMAGAAAGATEQPSLWAFPSGTTPYRTAIPTGAGGSTIQAPLQFMSRINIPSALELQSGRLPLGSMLLQQHNPGAGAGAGAGQAASQHLGLGMAETNLGMLAALNAYNRGGALSMSSEHHQHHLDTRHHHQHAQHHHHHQQQQQEGGGGGGGGAEGGGGGGGEDHQTNSQ >DRNTG_18284.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1802238:1804140:-1 gene:DRNTG_18284 transcript:DRNTG_18284.14 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP8 [Source:Projected from Arabidopsis thaliana (AT1G58100) UniProtKB/Swiss-Prot;Acc:Q9C518] MDQTDHVRCDAIPSNSTTSSTSSKTNSGAGAGAGATPLQLVPLQTNSLMPSIPTTGSKKTTTTSTTTATTTVKRSSKDRHTKVDGRGRRIRMPAACAARVFQLTRELGHKSDGETIEWLLQQAEPAIVAATGTGTIPANFSTLNVSLRSSGSSVSAPASRVAQQQQPHSFHALALSHHYEESPDAAAALLGFQLPHHQHHQMLGSGDQIEGLGAGAGGNGSESTDNYLRKRFREDLFKGEQQQQHPESSQREESGGGSGAGPSSPTTTTAKVVRKGGGLQLHQRPSQEPNVLPAAAMWAVAAAPAPTSAAFWMLPVSASSNTPSMAGAAAGATEQPSLWAFPSGTTPYRTAIPTGAGGSTIQAPLQFMSRINIPSALELQSGRLPLGSMLLQQHNPGAGAGAGAGQAASQHLGLGMAETNLGMLAALNAYNRGGALSMSSEHHQHHLDTRHHHQHAQHHHHHQQQQQEGGGGGGGGAEGGGGGGGEDHQTNSQ >DRNTG_18284.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1802172:1804742:-1 gene:DRNTG_18284 transcript:DRNTG_18284.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP8 [Source:Projected from Arabidopsis thaliana (AT1G58100) UniProtKB/Swiss-Prot;Acc:Q9C518] MDQTDHVRCDAIPSNSTTSSTSSKTNSGAGAGAGATPLQLVPLQTNSLMPSIPTTGSKKTTTTSTTTATTTVKRSSKDRHTKVDGRGRRIRMPAACAARVFQLTRELGHKSDGETIEWLLQQAEPAIVAATGTGTIPANFSTLNVSLRSSGSSVSAPASRVAQQQQPHSFHALALSHHYEESPDAAAALLGFQLPHHQHHQMLGSGDQIEGLGAGAGGNGSESTDNYLRKRFREDLFKGEQQQQHPESSQREESGGGSGAGPSSPTTTTAKVVRKGGGLQLHQRPSQEPNVLPAAAMWAVAAAPAPTSAAFWMLPVSASSNTPSMAGAAAGATEQPSLWAFPSGTTPYRTAIPTGAGGSTIQAPLQFMSRINIPSALELQSGRLPLGSMLLQQHNPGAGAGAGAGQAASQHLGLGMAETNLGMLAALNAYNRGGALSMSSEHHQHHLDTRHHHQHAQHHHHHQQQQQEGGGGGGGGAEGGGGGGGEDHQTNSQ >DRNTG_31046.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30592011:30592514:-1 gene:DRNTG_31046 transcript:DRNTG_31046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTLFYINEYPLVVADLLSQFYTTLHTMDANTGGDQIRRPSMALRSKVTMMAAVAFTVAVLLTIFFHLYLRLYALRRRRRPFFFRRAEARGLDPEALKAIPVVAQSELETSAECAVCLSEIADGDKARVLPTCRHVFHVDCVDVWFSSHATCPLCRSIVAVPSSSI >DRNTG_06355.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24329800:24334746:1 gene:DRNTG_06355 transcript:DRNTG_06355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLSPWDTKALHLLCFHLPLRRNPHMRGNTQMNQAYGKDHDNAHQISHFS >DRNTG_29646.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2835513:2848301:1 gene:DRNTG_29646 transcript:DRNTG_29646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRKKMVTDNVMDVFVCIIQKSMTTVPYPYMKRASITRPLALFMSKQEGANETTLTMMGDATCNLYDVDIVILSIIINGHFHLVVLDNDKQEYSHYTSAESEEYERDAAEMRKRICTGYHKLAICDMSKFYWQDLQSCCTGQSLHNDHRHNDQHEDFGFPQQVSLPLNVYPGISRCPRNMKVYGPALLPQCLPKSDIGFHKIGLQVSKTPVALESAIFNLPSSFSPSHITSVGLLSRGILPFSGPRDNPTEEVAPSDEAPDQAIG >DRNTG_21451.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19376811:19377478:1 gene:DRNTG_21451 transcript:DRNTG_21451.2 gene_biotype:protein_coding transcript_biotype:protein_coding SSSSVQDFKSHDSHQLPTAGCVWPGASSRAVEEGFKLVAGRVAWPLRGDGRPPRGAGSGVFRPHKENHRTLRGG >DRNTG_21451.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19376811:19380173:1 gene:DRNTG_21451 transcript:DRNTG_21451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVKMPLKLNIIFLQTLHQQQRSPTMSTIFGVLPSPALASFSSRGPVKYNGNIVKTDVTAPGVNILSAWPVEVGPFPSGLKTKTFNFLSGTSMAAPHVSGIVALIMSKLKNENKRKWSTSEVQSTLITTGNTFNLDGEPIFDKATLHNNANILQRGAGQVNAMNAMDPGLVYNIELDNYVAYLCEIFSNNSQKMQIFTKNNTQCTRSISGEQLNY >DRNTG_12352.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20824824:20828594:1 gene:DRNTG_12352 transcript:DRNTG_12352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVGFDLGNENCAVVVARQQCGIDVVLNDESKCETPAVVCFDEKQRFIGTLGAVQSMMNPKNSVSQIKRLIGRKFSDPELQRDLQSLPYLVTEGPDGFPLIHVKYLGEQRTFTPTQVLGMVLSHLKGIAKKNYKELVAFCCIGIPMYFNELQRRAVLDAVSVAGGGLITMQLIHETTATALAYGIYKTDLSENDQLNVAFVDVGHASMQVCIAGFKKGQLTILSQAYDRSLGGRDFDDALFKHFAHEFRYRYKIDVYQSVRASLRLRVACEKLKKTLSAYTEGILNIEWLMDGKDVKVFIKREEFEQISMPILERVKAPLEKALLDAGLTVQNIHSVEVVGSGSRVPAIIRILAEFFSKEPRHTMNASECVARGCALQCGILTLKFKVREFLVYEDLPFSIAMSWKGAAPDAQNGAPDQQQTIIVFPKGNKISDAKDLTFFRSSTFSVDFLYTDVADQQIPAKISTYTIGPFQPTKGEPAKLRVTVVLTDGVVSVDSATMLEEEEVEIPVSATKKPLKEYTKMDVDESSIGETDVSMQDFKPQADSSGVGSENGATDSADKPVQMDTDAKVEVHKRKAKEINVSVSEIVHGGMTYLELLKAEHEESKMASLDRALEKSKY >DRNTG_19465.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:19648675:19649357:-1 gene:DRNTG_19465 transcript:DRNTG_19465.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYAPVLFKTIGFEDDASLMSAVITGLVNVFATYVSIVTVDRFGRKMLFLQGGIQMLICQIVVGTMIAIMFGTSGAADVSMGYAPWVVVFICIYVAGFAWSWGPLGWLVPSEIFSLEIRSAGQSITVSVNMFFTFIIGQIFLTMLCHMKFGLFYFFGGWVVIMTIFIALFLPETKNVPIEEMILVWKRHWFWGKFISDDDVHVANDMVTIA >DRNTG_23632.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001272.1:13663:15126:-1 gene:DRNTG_23632 transcript:DRNTG_23632.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNIEDLPPDPMEYPKLETLILSDNEGLSNIPEIFFQHMGSLMVLDLSSIGMKSLPKSFSCLTNLRVLNLGKCYSLKDISHINGLKMLEILILDGAPVSIAPEGLGWAQNLRVINLGISVSFPPFLDNYFSKELPKFRRLERLFMNKFVGSFQELTSLRHLTHLFIYQVADMDDSSSHELVSPGSWPDRLLEFNICFLQNEPRYYWSLSNYRRVLQLMGTKPLAGWAKRLLAKTINLVLVEFQGTELISISSNIPSLAFSSLEHLRVVNWPNLTNLLGDELLLLHDQIPLNQLKQMTISNCPRLTNLTPSRLCQRSMQKLEELSIKDCPIMLELFPCDHKAHGMTELLLRLKSFTLQGLQRLQNVLQPFQCLPKLEGLTIRDCGMRYALSFETETVAMADPFPTLEVLDIKNCRGMREMISPHTSLQAPCFFQGLFSLKIGSCTRLTHLFSYKQAISMQHLSKLHIQNCAALKAVVISTEKKEEAY >DRNTG_09099.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6345339:6346598:1 gene:DRNTG_09099 transcript:DRNTG_09099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKGLTSENMRRLKLKGIKIVQSDSTANNASGCDVGGATTSNTCSSNPSNHVGSTRSAPLPSVTPHSSIPSSSDDSNLNDQVATSNTCTRLDNLQPINEASELDSVDNQGQQRKRGRTTIKELWTLPPQERIFVSSNQLGQPVGPEAQLLAAFLGMLTRSGQHIGLQYESWHKVPKH >DRNTG_16564.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8320454:8322278:-1 gene:DRNTG_16564 transcript:DRNTG_16564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPIPYPVPLNCALPVPAIARVMPTPSETAPSVEGQRSTGKTPMASTPSIEAQRSTRNTLIHSYNYITREHKVDMDEPSWINTVRTKLNEVRPRTHASRPWTIFKVPDNIRRNETKTYDPFIASIGPYHYHASQRGTTLAMQNHKWRCVRWLLSRHQSRQQATVLLDKCLLAMKALDVEVRSCYSEDVYYLDPHKLASIMLLDGCFIIRLLLKQVTQKAEKVIKHEEKEEEKDKKVEDEQNIELDVECEEEIEGPLIGMQWIWNLVLYDLLKLENQIPFFVIETLFDLLKTPADESIDLPKLALQLFSGFGLSSAATNTKSVHHLLHLFHQSLIPSGDHNQLYLAQTQTQMVNEWILNATKLRLAGIKFAKKNTASSFLDLSYKNGAIEIPQLFLYDYNNTYTLFRNLVGFEQCYPGAKSYISSYTNFMNCIIDGPKDVHLLELKGILINQLRPQDSVVKLFNQLCDHIQCSSDDNYLHDLLIEVKMYTESRWHKWTSGLMERLFQ >DRNTG_01902.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20963759:20966467:-1 gene:DRNTG_01902 transcript:DRNTG_01902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFEPYRAIGYITSGIPFSVQRLGTETFVTVSVGKAWQIYNCGKLNLVLAGPQLPKKIRALASHREYTFAAFGTDIGVFKRAHQVATWSRHEEKVNFLLFFGEHVLSLDVKGNVFIWAFKGSEDSLVPVGHIQLEEKFSPSCIMHPDTYLNKVIIGSQEGSLQLWNISTKNKLYEFKGWDSPVHCCVASPALDVIAVGCSDGTIHVHNVRYDEELVSFTHSSRGAVTALSFRTDGQPLLASGGSSGIISIWNLEKRRLQSVIRDAHDNSIISLHFFVNEPVLMSSAADN >DRNTG_14330.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12683117:12684136:1 gene:DRNTG_14330 transcript:DRNTG_14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKGNWIKCQQKRAMPRMFPLHSVMLTHSQGLPGTFLGSSVWSTVMKHLWFSITSTFTKGYPMRVNNSSIKSPLAWDFWRHRYDRVMPVSSLEVSFYTEFLLDQHWAENFRGYDTSVSIPCQA >DRNTG_32714.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18119900:18120815:-1 gene:DRNTG_32714 transcript:DRNTG_32714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICPNSTTSLYINNMKKRTLNHNKKKKKKKKKKKKKVYRRMEEIKVKDSWAQAECILHHVGMCPKTQRGCPMSLFKKTSLTRAKH >DRNTG_32160.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30960023:30962492:1 gene:DRNTG_32160 transcript:DRNTG_32160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVKSEEQQNETSNSQDKTLKKPDKILPCPRCNSLETKFCYFNNYNVNQPRHFCKKCQRYWTAGGTMRNVPVGAGRRKNKNTASHYRQMAMNGAALQTIQSHMPESIHHPPLKANGTVLSFGSGAPLCESMANVLNLAEKTIKPCDRNGFHHMEELPCVENVEEHSSGSSVTASKNSSDEGMSANPPETITGNRQQIPISVPCFSGTPWPYPWNSSPGFFSSSFPLSFYPMAAYWGCTVPGNWGVPWVSSMATSPNSSPNSLTLGKHSREGNVLKHCNSDKVDSSIQPDHEKRFWIPTTLRMDDPEEAAKSCIWASMGIQNDKAKPISEGGLFKAFQTKADMKNSTVGGSQVLHANPAAMSRSLNFQESS >DRNTG_17322.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31955919:31958365:1 gene:DRNTG_17322 transcript:DRNTG_17322.5 gene_biotype:protein_coding transcript_biotype:protein_coding MANEEMVDWEALPGAQGERNGSTGKQLLKFLAAVLLVGFCFHFLFTEFILWEPDSTKGCTLFQGEWILDNEGPAYTNETCHFIEPYQNCMRNERPDTGYLYWRWKPKNCDLARFDADKFLNAMRNKSWALIGDSIFRNHMQSLICLLSKIDEPVDIYHEMNYKTRTWYFSSYNFTLAVIWAPFLVRYDTFEGHNTLAEPNLELHLDILDTKWTTEYHKYDYVVISGGQWFYKSAIMWENNRVIGCHNCPDDNLKELGVTEPYRKALRLAFHFVSTNDHKPFVVFRTWTPDHFEYGKWYNGGVCNRTQPYKEGEYDGDPTDHAMRRAEIEEFEHAAKIGVKNGMQMKLLDTYHLSLLRPDGHPGPYRTYPPINDKNKKVQNDCLHWCLPGPIDTWNELLMKMVIDDDDNLGHASA >DRNTG_17322.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31955962:31958365:1 gene:DRNTG_17322 transcript:DRNTG_17322.7 gene_biotype:protein_coding transcript_biotype:protein_coding MANEEMVDWEALPGAQGERNGSTGKQLLKFLAAVLLVGFCFHFLFTEFILWEPDSTKGCTLFQGEWILDNEGPAYTNETCHFIEPYQNCMRNERPDTGYLYWRWKPKNCDLARFDADKFLNAMRNKSWALIGDSIFRNHMQSLICLLSKIDEPVDIYHEMNYKTRTWYFSSYNFTLAVIWAPFLVRYDTFEGHNTLAEPNLELHLDILDTKWTTEYHKYDYVVISGGQWFYKSAIMWENNRVIGCHNCPDDNLKELGVTEPYRKALRLAFHFVSTNDHKPFVVFRTWTPDHFEYGKWYNGGVCNRTQPYKEGEYDGDPTDHAMRRAEIEEFEHAAKIGVKNGMQMKLLDTYHLSLLRPDGHPGPYRTYPPINDKNKKVQNDCLHWCLPGPIDTWNELLMKMVIDDDDNLGHASA >DRNTG_17322.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31955686:31958365:1 gene:DRNTG_17322 transcript:DRNTG_17322.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEEMVDWEALPGAQGERNGSTGKQLLKFLAAVLLVGFCFHFLFTEFILWEPDSTKAGCTLFQGEWILDNEGPAYTNETCHFIEPYQNCMRNERPDTGYLYWRWKPKNCDLARFDADKFLNAMRNKSWALIGDSIFRNHMQSLICLLSKIDEPVDIYHEMNYKTRTWYFSSYNFTLAVIWAPFLVRYDTFEGHNTLAEPNLELHLDILDTKWTTEYHKYDYVVISGGQWFYKSAIMWENNRVIGCHNCPDDNLKELGVTEPYRKALRLAFHFVSTNDHKPFVVFRTWTPDHFEYGKWYNGGVCNRTQPYKEGEYDGDPTDHAMRRAEIEEFEHAAKIGVKNGMQMKLLDTYHLSLLRPDGHPGPYRTYPPINDKNKKVQNDCLHWCLPGPIDTWNELLMKMVIDDDDNLGHASA >DRNTG_17322.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31955962:31958365:1 gene:DRNTG_17322 transcript:DRNTG_17322.6 gene_biotype:protein_coding transcript_biotype:protein_coding MANEEMVDWEALPGAQGERNGSTGKQLLKFLAAVLLVGFCFHFLFTEFILWEPDSTKAGCTLFQGEWILDNEGPAYTNETCHFIEPYQNCMRNERPDTGYLYWRWKPKNCDLARFDADKFLNAMRNKSWALIGDSIFRNHMQSLICLLSKIDEPVDIYHEMNYKTRTWYFSSYNFTLAVIWAPFLVRYDTFEGHNTLAEPNLELHLDILDTKWTTEYHKYDYVVISGGQWFYKSAIMWENNRVIGCHNCPDDNLKELGVTEPYRKALRLAFHFVSTNDHKPFVVFRTWTPDHFEYGKWYNGGVCNRTQPYKEGEYDGDPTDHAMRRAEIEEFEHAAKIGVKNGMQMKLLDTYHLSLLRPDGHPGPYRTYPPINDKNKKVQNDCLHWCLPGPIDTWNELLMKMVIDDDDNLGHASA >DRNTG_17322.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31955919:31958365:1 gene:DRNTG_17322 transcript:DRNTG_17322.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANEEMVDWEALPGAQGERNGSTGKQLLKFLAAVLLVGFCFHFLFTEFILWEPDSTKAGCTLFQGEWILDNEGPAYTNETCHFIEPYQNCMRNERPDTGYLYWRWKPKNCDLARFDADKFLNAMRNKSWALIGDSIFRNHMQSLICLLSKIDEPVDIYHEMNYKTRTWYFSSYNFTLAVIWAPFLVRYDTFEGHNTLAEPNLELHLDILDTKWTTEYHKYDYVVISGGQWFYKSAIMWENNRVIGCHNCPDDNLKELGVTEPYRKALRLAFHFVSTNDHKPFVVFRTWTPDHFEYGKWYNGGVCNRTQPYKEGEYDGDPTDHAMRRAEIEEFEHAAKIGVKNGMQMKLLDTYHLSLLRPDGHPGPYRTYPPINDKNKKVQNDCLHWCLPGPIDTWNELLMKMVIDDDDNLGHASA >DRNTG_17322.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31955842:31958365:1 gene:DRNTG_17322 transcript:DRNTG_17322.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANEEMVDWEALPGAQGERNGSTGKQLLKFLAAVLLVGFCFHFLFTEFILWEPDSTKAGCTLFQGEWILDNEGPAYTNETCHFIEPYQNCMRNERPDTGYLYWRWKPKNCDLARFDADKFLNAMRNKSWALIGDSIFRNHMQSLICLLSKIDEPVDIYHEMNYKTRTWYFSSYNFTLAVIWAPFLVRYDTFEGHNTLAEPNLELHLDILDTKWTTEYHKYDYVVISGGQWFYKSAIMWENNRVIGCHNCPDDNLKELGVTEPYRKALRLAFHFVSTNDHKPFVVFRTWTPDHFEYGKWYNGGVCNRTQPYKEGEYDGDPTDHAMRRAEIEEFEHAAKIGVKNGMQMKLLDTYHLSLLRPDGHPGPYRTYPPINDKNKKVQNDCLHWCLPGPIDTWNELLMKMVIDDDDNLGHASA >DRNTG_17322.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31955842:31958365:1 gene:DRNTG_17322 transcript:DRNTG_17322.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANEEMVDWEALPGAQGERNGSTGKQLLKFLAAVLLVGFCFHFLFTEFILWEPDSTKGCTLFQGEWILDNEGPAYTNETCHFIEPYQNCMRNERPDTGYLYWRWKPKNCDLARFDADKFLNAMRNKSWALIGDSIFRNHMQSLICLLSKIDEPVDIYHEMNYKTRTWYFSSYNFTLAVIWAPFLVRYDTFEGHNTLAEPNLELHLDILDTKWTTEYHKYDYVVISGGQWFYKSAIMWENNRVIGCHNCPDDNLKELGVTEPYRKALRLAFHFVSTNDHKPFVVFRTWTPDHFEYGKWYNGGVCNRTQPYKEGEYDGDPTDHAMRRAEIEEFEHAAKIGVKNGMQMKLLDTYHLSLLRPDGHPGPYRTYPPINDKNKKVQNDCLHWCLPGPIDTWNELLMKMVIDDDDNLGHASA >DRNTG_17579.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3546062:3547984:-1 gene:DRNTG_17579 transcript:DRNTG_17579.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSFAGSGGVRPWPSATSVSYSGKRIQKEMMEFNANPPTDCSAGPKGDNLYHWVSTILGPSGNPGSQFHACLPLLNCCCELELDLILGLA >DRNTG_17579.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3545616:3547984:-1 gene:DRNTG_17579 transcript:DRNTG_17579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSFAGSGGVRPWPSATSVSYSGKRIQKEMMEFNANPPTDCSAGPKGDNLYHWVSTILGPSGSPYEDGVFFLDIAFPADYPFKPPKVVFMTRIYHCNIDAAAM >DRNTG_17964.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20386164:20388270:-1 gene:DRNTG_17964 transcript:DRNTG_17964.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SBTI1.1 [Source:Projected from Arabidopsis thaliana (AT1G01900) UniProtKB/TrEMBL;Acc:A0A178W900] MASDVIIGVIDSGIWPEHESFQGNHFSPIPKNWKGKCEAGTNFSSNNCNRKLIGATAFWKGYEASGGFINETTEFKSVRDSEGHGTHTASTAAGNLISGASLFGNAKGSMTGMRYTARVAAYKACWSTGCANSDVLAAVDRAVADGVNVLSLSLGGGPQDYYADSIAIATFGAIQKGVFVSCSGGNSGPSAFTVSNAAPWITTVAASYIDRSFPTLVTLGDGRTFKGASLYVGKPTKQLPLVYGSNAGGEDAEYCQSGTLTRKLVQGKMVLCDRGFNGRAEKGENVKFNGGLAMLLLNSEDDGEELLADTHVLPGSSLGATASAAIKKYISSSKNPTAMIKFLGTVYGDPAPISAAFSSRGPNIMSEDIIKPDVTAPGMNILAAWPPSLSPTLLASDKRHVSFNIISGTSMSCPHVSGLAALLKSVHFNWSPAAIKSALMTTAYTVNNRNSSIIDISSGSPATPFDFGSGHVDPERASNPGLVYDITPNNYLEYLCSLNYTSKQMSAFARRTYRCSKRSVRPAAQLNYPSFSVLLSSDKPNSVSTHTRIVTNVGAARCKYTVQVRQPNKVTVNVVPKVLDFSKIGQKLSYKVTFVGNGRKGQSGYSFGHLIWTCNEFTVRSPIAVAWQ >DRNTG_22921.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:12162376:12163359:-1 gene:DRNTG_22921 transcript:DRNTG_22921.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGETWRSFSQTHTQSCENDEGDN >DRNTG_22625.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17882978:17889635:-1 gene:DRNTG_22625 transcript:DRNTG_22625.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIVGCGDQTVKLFVKGADNAMLNVIDKTLDLHKIRETETHLHKYSSLGLRTLVIGIRELSTVEFEEWQLAYNNASALLSERAESLRAVALKIECSLHILGACGIEDKLQQGVPEAIESLRQAGIKVWVLTGDKQETAISIGYSCKLLTDDMTQIIINSDSKESCKSTLEDAISMCEKVIATSLETENMKGHMESQRVPVALIIDGPTLVFILETELEEELYKLATACDVVLCCRVAPLQKAGIVSLMKKRTTDMSLSIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFSMGQFRFLVPLLLVHGHWNYQRMAYMILYNYYRNAVYVFMLYWYMFFSDVSLYNPINDANGLLYSAIYTALPTIIVGIYDQDLSSRTLLKYPKLYKAGLQDESYNFKLFCLCMADTIWQSLVLVFAPFFTYGRLLDNSTLGDVWILAVVVLVNVHLAMDIIRWNWTMHAIIWGLTILTFCCVIAIDTSPISPGYNAALHTLGSKTFWLLLLMIIALAFLPRFLVKTYSEYFRPSDIRIAREIEKFGNPNEVRSQVAENPSTDHRQ >DRNTG_22625.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17882978:17889635:-1 gene:DRNTG_22625 transcript:DRNTG_22625.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIVGCGDQTVKLFVKGADNAMLNVIDKTLDLHKIRETETHLHKYSSLGLRTLVIGIRELSTVEFEEWQLAYNNASALLSERAESLRAVALKIECSLHILGACGIEDKLQQGVPEAIESLRQAGIKVWVLTGDKQETAISIGYSCKLLTDDMTQIIINSDSKESCKSTLEDAISMCEKVIATSLETENMKGHMESQRVPVALIIDGPTLVFILETELEEELYKLATACDVVLCCRVAPLQKAGIVSLMKKRTTDMSLSIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFSMGQFRFLVPLLLVHGHWNYQRMAYMILYNYYRNAVYVFMLYWYMFFSDVSLYNPINDANGLLYSAIYTALPTIIVGIYDQDLSSRTLLKYPKLYKAGLQDESYNFKLFCLCMADTIWQSLVLVFAPFFTYGRLLDNSTLGDVWILAVVVLVNVHLAMDIIRWNWTMHAIIWGLTILTFCCVIAIDTSPISPGYK >DRNTG_22625.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17882978:17889635:-1 gene:DRNTG_22625 transcript:DRNTG_22625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLNIYGFHATIEIDGRKIPLGPNNVVLRGCEIKNTRWIIGVAVYTGMETKVMLNNSGAPSKRSRLETRMNREILMLAGIMVVLCTVVATCTGVWLHQNRDNLDTLPFYRKRDFSGRTEKDYLYSGIGLEILFAFVKSIFSFQNFIPIALYISMEIARVMQSYMMTKDKNMYHEATDTKFQCRALNINEDLGQIKYVFSDKTGTLTENKMVFQCASIDGVDYSCAEEPVAGQVAPHPTVVDGQVWRPKMVVNTDPQLMHLLETGKGTEEWMHVYYFFIVLAACNTVVPQVSETSEQTVKLIEYQGESPDEQALVYAAAAYGFVLIERSSGHIVIDVLGERQRFTLLGLHEFDSDRKRMSVIVGCGDQTVKLFVKGADNAMLNVIDKTLDLHKIRETETHLHKYSSLGLRTLVIGIRELSTVEFEEWQLAYNNASALLSERAESLRAVALKIECSLHILGACGIEDKLQQGVPEAIESLRQAGIKVWVLTGDKQETAISIGYSCKLLTDDMTQIIINSDSKESCKSTLEDAISMCEKVIATSLETENMKGHMESQRVPVALIIDGPTLVFILETELEEELYKLATACDVVLCCRVAPLQKAGIVSLMKKRTTDMSLSIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFSMGQFRFLVPLLLVHGHWNYQRMAYMILYNYYRNAVYVFMLYWYMFFSDVSLYNPINDANGLLYSAIYTALPTIIVGIYDQDLSSRTLLKYPKLYKAGLQDESYNFKLFCLCMADTIWQSLVLVFAPFFTYGRLLDNSTLGDVWILAVVVLVNVHLAMDIIRWNWTMHAIIWGLTILTFCCVIAIDTSPISPGYNAALHTLGSKTFWLLLLMIIALAFLPRFLVKTYSEYFRPSDIRIAREIEKFGNPNEVRSQVAENPSTDHRQ >DRNTG_02689.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19757912:19767482:-1 gene:DRNTG_02689 transcript:DRNTG_02689.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA GLABRA 1 [Source:Projected from Arabidopsis thaliana (AT5G24520) UniProtKB/Swiss-Prot;Acc:Q9XGN1] MEKNPSSQESNAFTYESPHPIYAMAFSSTPSPSPRLALGSFIEDPNNRVDVLVFDEDSLSFRPLPALSFDHPYPATKLLFHPKPPSPLLASSGDFLRLWHLPSDSSSPTELRSVLNNSKSAEYSAPLTSFDWNDAEPRRIGTCSIDTTCTVWDIERCAVETQLIAHDKEVYDIAWGEVGVFASVSADGSVRIFDLRDKEHSTIVYESPRPDTPLLRLAWNKMDLRYMAATLMDSNRVVVLDIRSPAMPVAELQRHTAAVNAVAWAPQNPRYLCSAGDDGQALIWELPAAAAATTATTSEGIDPVLVYPAGAEINQLQWSAAHPDWIGIAFANKVQLLKV >DRNTG_02689.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19757867:19767482:-1 gene:DRNTG_02689 transcript:DRNTG_02689.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA GLABRA 1 [Source:Projected from Arabidopsis thaliana (AT5G24520) UniProtKB/Swiss-Prot;Acc:Q9XGN1] MEKNPSSQESNAFTYESPHPIYAMAFSSTPSPSPRLALGSFIEDPNNRVDVLVFDEDSLSFRPLPALSFDHPYPATKLLFHPKPPSPLLASSGDFLRLWHLPSDSSSPTELRSVLNNSKSAEYSAPLTSFDWNDAEPRRIGTCSIDTTCTVWDIERCAVETQLIAHDKEVYDIAWGEVGVFASVSADGSVRIFDLRDKEHSTIVYESPRPDTPLLRLAWNKMDLRYMAATLMDSNRVVVLDIRSPAMPVAELQRHTAAVNAVAWAPQNPRYLCSAGDDGQALIWELPAAAAATTATTSEGIDPVLVYPAGAEINQLQWSAAHPDWIGIAFANKVQLLKV >DRNTG_02689.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19757912:19767521:-1 gene:DRNTG_02689 transcript:DRNTG_02689.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA GLABRA 1 [Source:Projected from Arabidopsis thaliana (AT5G24520) UniProtKB/Swiss-Prot;Acc:Q9XGN1] MEKNPSSQESNAFTYESPHPIYAMAFSSTPSPSPRLALGSFIEDPNNRVDVLVFDEDSLSFRPLPALSFDHPYPATKLLFHPKPPSPLLASSGDFLRLWHLPSDSSSPTELRSVLNNSKSAEYSAPLTSFDWNDAEPRRIGTCSIDTTCTVWDIERCAVETQLIAHDKEVYDIAWGEVGVFASVSADGSVRIFDLRDKEHSTIVYESPRPDTPLLRLAWNKMDLRYMAATLMDSNRVVVLDIRSPAMPVAELQRHTAAVNAVAWAPQNPRYLCSAGDDGQALIWELPAAAAATTATTSEGIDPVLVYPAGAEINQLQWSAAHPDWIGIAFANKVQLLKV >DRNTG_09916.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1632919:1634182:1 gene:DRNTG_09916 transcript:DRNTG_09916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLAIHRERKTGREPSDGFHEALQQICELVARRSQRREEEGGDAIGEVPAQQPTSQEGQEPWDPPWSLNLSSQNVVLKSPSL >DRNTG_06914.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1608547:1609044:-1 gene:DRNTG_06914 transcript:DRNTG_06914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGVNGNDGAGMDSGHARLHELGYKQELKRDLGVLSNFAFSFSIISVLTGITTLYNTGLKYGGPIVMTYGWFVAAFFTMSVGLSMAEICSSFPTSGGLYYWSARLSGKKWAPFAS >DRNTG_14405.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:17441169:17445191:-1 gene:DRNTG_14405 transcript:DRNTG_14405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLLVMMHACFPKRSSPEDGRKTSRLCGGGGPRGQEEVPPNTRQDP >DRNTG_34152.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:31080254:31089453:-1 gene:DRNTG_34152 transcript:DRNTG_34152.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMALGDLMASRFSQSSSPSVSNHLDEFSGREHSGDVGSAAVQRREQEAGVVTGVNPVEAATTSMAYLPQTVVFCDFRHEGFEDCVPLGPSESGLVSKWRPKDRMKTGCVALVLCLNIGVDPPDVIKISPCARMECWIDPFTMAAPKALETIGKALHTQYERWQPRARYKVQLDPAVEEVKKLCNSCRKYARSERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPISDLDSWLKTPSIYVFDCSAAGMILSAFIERQEWGSSSAAGSSSKDCILLAACEAHETLPQSAEFPADVFTSCLTTPIKMALRWFCTRSLLRDTLDHSLIDKIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRAANCSPISYPLLPSTHQHHMWDAWDMAAEICLAKLPQLIEDPNAEFQPSPFFTEQLTAFEVWLDHGSEHKKLPEQLPIVLQVLLSQSHRFRALVLLGRFLDMGPWAVDL >DRNTG_34152.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:31080046:31089453:-1 gene:DRNTG_34152 transcript:DRNTG_34152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALGDLMASRFSQSSSPSVSNHLDEFSGREHSGDVGSAAVQRREQEAGVVTGVNPVEAATTSMAYLPQTVVFCDFRHEGFEDCVPLGPSESGLVSKWRPKDRMKTGCVALVLCLNIGVDPPDVIKISPCARMECWIDPFTMAAPKALETIGKALHTQYERWQPRARYKVQLDPAVEEVKKLCNSCRKYARSERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPISDLDSWLKTPSIYVFDCSAAGMILSAFIERQEWGSSSAAGSSSKDCILLAACEAHETLPQSAEFPADVFTSCLTTPIKMALRWFCTRSLLRDTLDHSLIDKIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRAANCSPISYPLLPSTHQHHMWDAWDMAAEICLAKLPQLIEDPNAEFQPSPFFTEQLTAFEVWLDHGSEHKKLPEQLPIVLQVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTTAMELRQILVFIWT >DRNTG_25931.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001339.1:92326:100514:-1 gene:DRNTG_25931 transcript:DRNTG_25931.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKPTENGKEMAVINPAEDTKHTQPEDENHLSDDPENGTNSDETTDQDCPSPLKPAFRRKSGLHIFFMDIRNVLKLDEIGLEIARIAVPAALALAADPLASLVDTAFIGRLGPVEIAAVGVSIAIFNQVSKVAIYPLVSVTTSFVAEEDAICNEITVKEENKDLEKAAAPNSETKELPVPNDSEKTGCYSSCFSSKCTNPFGTGRERRYIPSVSSALVVGGVLGLIQAIFLILAAKLVLNIMGVKSGSPMLAPACRYLTLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDLANIILDPILIFVLRMGVSGAAIAHVFSQYLITFILLCKLVKQVDVVPPSLKALQFSRFLRCGFLLLARVIAVTFCVTLAASLAAHHGPIPMAAFQISLQLWLATSLLSDGLAVAGQAIIASSFAKGDYNKVVAATSRVLQLSVVVGIALAVLLGVGMEFGSGIFTKDQEVIKLIHKGLPFVAATQPINSLAFVFDGVNFGASDYTYSAYSMVMVAIISIPSLIFLSSSSYAYIGIWTALTIYMSLRTFAGVWRMGAARGPWTFLRRGSSSQR >DRNTG_22537.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3614477:3622515:-1 gene:DRNTG_22537 transcript:DRNTG_22537.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGSSSGIGRSGSRRPRFPRIPLTHPSSSSTQPNSSSSAPACTAFDLAYFQAYSDIGIHEEMLKDRVRMETYQDAISRYRHLIEGKIVMDVGSGTGILAIFCAQAGAKKVYAVEASDIAEKAKEIVRANNLAEKVIVLHQRVEDVEISGKVDVIISEWMGYMLVYESMLSSVIFARDKWLKRGGLILPSHATLYMAPVTNSIKYQQCVDFWNNVYGIDMSAMVQLARQCAFEEPSVETIQGENVLTWPFVVKSVNCYTVVAQELECISAEYRFSSMMDAPLHGFAFWFDVEFNGPAITPVQGSQYPLQSSLLDLSTNESANETTNDSNRQRKIKSNETIVLSTAPEDAPTHWQQTLLYFYYPTEVKKDQIIEGSIKLSQNRENARFINILLKCSCGGNTLVKESVLR >DRNTG_22537.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3621934:3622515:-1 gene:DRNTG_22537 transcript:DRNTG_22537.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGSSSGIGRSGSRRPRFPRIPLTHPSSSSTQPNSSSSAPACTAFDLAYFQAYSDIGIHEEMLKDRVRMETYQDAISRYRHLIEGKIVMDVGSGTGILAIFCAQAGAKK >DRNTG_22537.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3614477:3620926:-1 gene:DRNTG_22537 transcript:DRNTG_22537.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGYMLVYESMLSSVIFARDKWLKRGGLILPSHATLYMAPVTNSIKYQQCVDFWNNVYGIDMSAMVQLARQCAFEEPSVETIQGENVLTWPFVVKSVNCYTVVAQELECISAEYRFSSMMDAPLHGFAFWFDVEFNGPAITPVQGSQYPLQSSLLDLSTNESANETTNDSNRQRKIKSNETIVLSTAPEDAPTHWQQTLLYFYYPTEVKKDQIIEGSIKLSQNRENARFINILLKCSCGGNTLVKESVLR >DRNTG_22537.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3614477:3622515:-1 gene:DRNTG_22537 transcript:DRNTG_22537.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGSSSGIGRSGSRRPRFPRIPLTHPSSSSTQPNSSSSAPACTAFDLAYFQAYSDIGIHEEMLKDRVRMETYQDAISRYRHLIEGKIVMDVGSGTGILAIFCAQAGAKKVYAVEASDIAEKAKEIVRANNLAEKVIVLHQRVEDVEISGKVDVIISEWMGYMLVYESMLSSVIFARDKWLKRGGLILPSHATLYMAPVTNSIKYQQCVDFWNNVYGIDMSAMVQLARQCAFEEPSVETIQGENVLTWPFVVKSVNCYTVVAQELECISAEYRFSSMMDAPLHGFAFWFDVEFNGPAITPVQGSQYPLQSSLLDLSTNESANETTNDSNRQRKIKSNETIVLSTAPEDAPTHWQQTLLYFYYPTEVKKDQIIEGSIKLSQNRENARFINILLKCSCGGNTLVKESVLR >DRNTG_10715.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5146915:5151577:-1 gene:DRNTG_10715 transcript:DRNTG_10715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLQPQIILLKEGTDTSQGKAQVVSNINACTAVADAVRTTLGPRGMDKLIHDDKGNTTISNDGATIMKLLDIVHPAAKILVDIAKSQDSEVGDGTTTVVLLAGEFLKEAKPFIEDGVYPQNLIRSYRTASYLAIEKIKEIAVSIEGKSMEEKKSLLAKCAATSLSSKLIGGEKEFFASMVVDAVMAIGDDDRLNLIGMKKVPGGHMRDSFLVNGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEIRLTDPMQYQSIVDAEWNIIYDKLDKCVKSGAKIILSRLAIGDLATQYFADRDIFCAGRVAEEDLRRVAAATGGTVQTSVNNIVDEVLGSCEVFEEKQVGNERFNIFSGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVPGGGAIDMEISRFLRMHARSIAGKSQLFINSYAKALEIIPRQLCDNAGFDATDVLNKLRQKHALGTGANYGVDINTGGIADSFANFVWEPAVVKINAINAATEAACLILSVDETVKNPKSESAQGEAAASAMAGRGRGGAAFRGRGGRGMRRR >DRNTG_19940.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:19011594:19013054:1 gene:DRNTG_19940 transcript:DRNTG_19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding WYGTQATSSDHSRHHPCLSSSADGGNIIVDHRGGGGGGGEAMMAAHHQGSHLLRLITRKEDGNIFPPSKV >DRNTG_19940.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:19012328:19013054:1 gene:DRNTG_19940 transcript:DRNTG_19940.2 gene_biotype:protein_coding transcript_biotype:protein_coding WYGTQATSSDHSRHHPCLSSSADGGNIIVDHRGGGGGGGEAMMAAHHQGSHLLRLITRKEDGNIFPPSKV >DRNTG_13680.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22748537:22756954:1 gene:DRNTG_13680 transcript:DRNTG_13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRFNSQQKARILRLIVKANGEQALLASNNGGSSGEESERPPFNLNLAVVLAGFAFEAYASPPKNVGWREIDAADCQTVFLSERFLREVYDGQLFVKIKRGMDFPAMDPWGTSDPYVVLQLDSQVAKTKVKWGTKEPIWDEEFTLNIKVAQEKFMQVAAWDANLVTPHKRMGNAGVNLASLCDGDAHEVMVELECIGGGGKVYLEVKYKSYDDIIKEKEWWRIPFVSDFFMKANLASALQMAFGSESVNARQFVESAFGQLKSFNYSHLPKFPSSSNGNVGEGSEGPVGLITGTNQFMQQESNQKSSECDPSIEQENTSPTSSVDPNRNGPFRSDESTQGDKYFWKMFADIISQNVLQKLGFSLPEIPKWDGFDLLNKMNAHSQKIAEEGYIESGLATPGSKNDDVNSEETTSASNENVSSPSDIKKASRDILNQTDAIFGALMVLTSALSQQKKDGSSFLGVNKDNASAIESGNKNEISMNEKGDVAEERFVFQNQEAEQMRELFSSAESAMEAWAMLATSLGCTSFIKSEFEKICFLDNNSTDTQATIWRDSLQRRLVVAFRGTEQARWKDLRTDLMLLPAGLNPERLGGDFKQEMQVHSGFLSAYDSVRNRILTLIKASIGSFAEDDPKSSLKWQVYVTGHSLGGALATLLALELSSTQMAKSGLISVTMYNFGSPRVGNKRFAEVYNQKVKDSWRVVNHRDIIPTVPRLMGYCHVAQPVYLAAGDLREALENMEFMGDGYQVDVIGESTPDVLVSEFMKGEKQLIEKVMQTEISLLRSIRDGTALMQHMEDFYYVTLLESVRSNYKRANSSQLDEGGYSSA >DRNTG_13680.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22748537:22756954:1 gene:DRNTG_13680 transcript:DRNTG_13680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPAMDPWGTSDPYVVLQLDSQVAKTKVKWGTKEPIWDEEFTLNIKVAQEKFMQVAAWDANLVTPHKRMGNAGVNLASLCDGDAHEVMVELECIGGGGKVYLEVKYKSYDDIIKEKEWWRIPFVSDFFMKANLASALQMAFGSESVNARQFVESAFGQLKSFNYSHLPKFPSSSNGNVGEGSEGPVGLITGTNQFMQQESNQKSSECDPSIEQENTSPTSSVDPNRNGPFRSDESTQGDKYFWKMFADIISQNVLQKLGFSLPEIPKWDGFDLLNKMNAHSQKIAEEGYIESGLATPGSKNDDVNSEETTSASNENVSSPSDIKKASRDILNQTDAIFGALMVLTSALSQQKKDGSSFLGVNKDNASAIESGNKNEISMNEKGDVAEERFVFQNQEAEQMRELFSSAESAMEAWAMLATSLGCTSFIKSEFEKICFLDNNSTDTQATIWRDSLQRRLVVAFRGTEQARWKDLRTDLMLLPAGLNPERLGGDFKQEMQVHSGFLSAYDSVRNRILTLIKASIGSLR >DRNTG_17931.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25240534:25242635:1 gene:DRNTG_17931 transcript:DRNTG_17931.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLILFLSFLFLSFSTNIAYRSEHEIKLLYEGWLVENHKNYNDLFEKEKRYSIFKDNLKYIDEHNSGNHSYALGLTVFSDLTNDEYRSTYLGFLPPGINMGLKKSNRYLFNGHESLPDSIDWRKKGAVTPVKNQRQCNSCWAFTAIASIEGVNKIVTGKLISLSEQELLDCYQKNCDPGNTNEAFEFIIKNGGIDTEEDYPYKSHYVGCNLNKLKRKVVTIDDYEVVPQNSEDSLKKAVAHQPVSAAIDANSDAFQFYQKGVLTGNCGTDIDHGVTIVGYGSENGKDYWLIKNSYGPNWGDSGYVKIQRNSHQPEGKCGIAMYPSYPIKTKPKDNDNLLEEKAVEDTHADWPKTDAESKIAIE >DRNTG_07574.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21991382:21994093:-1 gene:DRNTG_07574 transcript:DRNTG_07574.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHICRRSVGSGGHDMHQNHEEIRENFHEVLSSKRDHMFNPACDYLKKMDNSWEINNPHTLNNLEKQLNSYNNGDLMEPQRLTNLSDLVSNWSIAPPDPHLDHQIASSPCFQYIKHGIPNSLANNYPSITGVFGDRNSCYLPYMHEIKMENRQHDIGSSEMFSGYHIGVSNTDVPWSGSRNTASDFISFKPVEIRDSKIFVKSSDSSTRGSGRSTGTTTTTTTTTTTTTSEGKKKRSDDNSETLLKKTKHENSNSSSSLKLQVPKVKLADRITALQQIVSPFGKTDTASVLMEAINYIKFLHEQIQLLSDPYMKSNANKDHNAWGGIDQRKDKADQKFDLRSRGLCVVPVSCTPEIYKENNGPDYWTPTYRGCLYR >DRNTG_07574.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21991382:21994093:-1 gene:DRNTG_07574 transcript:DRNTG_07574.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESLEASVASSSSTPSWWPELHANPISSWQTLNQWQPQSHHSTSSCDEEISASSASFANAAGHSSLSMDSPPAGDLSQEPVAMENQLWSQVLLRSVGSGGHDMHQNHEEIRENFHEVLSSKRDHMFNPACDYLKKMDNSWEINNPHTLNNLEKQLNSYNNGDLMEPQRLTNLSDLVSNWSIAPPDPHLDHQIASSPCFQYIKHGIPNSLANNYPSITGVFGDRNSCYLPYMHEIKMENRQHDIGSSEMFSGYHIGVSNTDVPWSGSRNTASDFISFKPVEIRDSKIFVKSSDSSTRGSGRSTGTTTTTTTTTTTTTSEGKKKRSDDNSETLLKKTKHENSNSSSSLKLQVPKVKLADRITALQQIVSPFGKTDTASVLMEAINYIKFLHEQIQLLSDPYMKSNANKDHNAWGGIDQRKDKADQKFDLRSRGLCVVPVSCTPEIYKENNGPDYWTPTYRGCLYR >DRNTG_01379.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28589965:28590968:-1 gene:DRNTG_01379 transcript:DRNTG_01379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNRHFIPSTYPHCPRTLKMANMLVLEVLMFASVGMVFSQAPSMAPPPPDCSSALTNLVECLSFVEYGSKLEKPQGHCCAALKKVVKDDVSCLCEAFKQSPSNGVKLNMTKAFGLPHACGISTPSLSNCKIDVAGSPGSAPAPSPSSPGASSANSPASAPGTHSMAPFQAPSIFLFIIYVVVPLFSIIA >DRNTG_03254.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20275746:20278129:-1 gene:DRNTG_03254 transcript:DRNTG_03254.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRGIEPPFSSGHEDDEGSSVIESSFSRIVALEPSSDPKSVETRDSEKSTKEDDEDDWDRGEAAEASSVPSRDEGEVPSVASEVSEDAALPRRHGRGFFLYQKSCLFSEQKDVPSHFGEDSDPNIDSDEQNQMRTNKFGTRHVIVLYDFPQSTRTTDLEKLLERFKEHGFAIWWVDDTTALAVFRTPEIE >DRNTG_03254.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20275722:20276306:-1 gene:DRNTG_03254 transcript:DRNTG_03254.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDENWNDPFDHQRRKNSDDDHQSI >DRNTG_03254.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20276961:20278129:-1 gene:DRNTG_03254 transcript:DRNTG_03254.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRGIEPPFSSGHEDDEGSSVIESSFSRIVALEPSSDPKSVETRDSEKSTKEDDEDDWDRGEAAEASSVPSRDEGEVPSVASEVSEDAALPRRHGRGFFLYQKSCLFSEQKDVPSHFGEDSDPNIDSDEQNQMRTNKFGTRHVIVLYDFPQSTRTTDLEKLLERFKEHGFAIWWVDDTTALAVFRTPEIGTLNFTVSVPSQQIPVGI >DRNTG_03254.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20275746:20278129:-1 gene:DRNTG_03254 transcript:DRNTG_03254.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSLFFPFFHWCVCWDPRVWVLMHLFAGVVVSGAMEEGRGIEPPFSSGHEDDEGSSVIESSFSRIVALEPSSDPKSVETRDSEKSTKEDDEDDWDRGEAAEASSVPSRDEGEVPSVASEVSEDAALPRRHGRGFFLYQKSCLFSEQKDVPSHFGEDSDPNIDSDEQNQMRTNKFGTRHVIVLYDFPQSTRTTDLEKLLERFKEHGFAIWWVDDTTALAVFRTPEIE >DRNTG_03254.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20275746:20276306:-1 gene:DRNTG_03254 transcript:DRNTG_03254.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDENWNDPFDHQRRKNSDDDHQSI >DRNTG_03254.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20275746:20278129:-1 gene:DRNTG_03254 transcript:DRNTG_03254.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGSDPKSVETRDSEKSTKEDDEDDWDRGEAAEASSVPSRDEGEVPSVASEVSEDAALPRRHGRGFFLYQKSCLFSEQKDVPSHFGEDSDPNIDSDEQNQMRTNKFGTRHVIVLYDFPQSTRTTDLEKLLERFKEHGFAIWWVDDTTALAVFRTPEIE >DRNTG_02565.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19479671:19480594:-1 gene:DRNTG_02565 transcript:DRNTG_02565.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKSRTGAKAPSLKHKASRGARLEHAISMKLLGTRYH >DRNTG_15737.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20355699:20358031:-1 gene:DRNTG_15737 transcript:DRNTG_15737.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-glucose pyrophosphorylase small subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G05610) TAIR;Acc:AT1G05610] MCVLHSSTLCFHGFSRSFRRLTICNSSNSSHNSTRLIPPLNQSVVALILGDGPESKLYPLTKRRSEAAIPIGARYRLIDIAISNCINSDITKIYTLTQTNSTSLNSHVSRAYSNIGVLGKEGFIDVLAACQNLENHCWYKGNVDMVRKWLWLLEKHPAIEFLILPGHHLYNMDYRELIKTHRDSEADITVSVSSTYQINSSSSSYGFLILDNESRILGFKEESSMDIGGRNMGIYVFKKDSMVKILKEYLPKANDFENEVIKGAISMGMKVQAYMFDGKWEDMNSIESFYHASMEINLIGQDFPIYTMPLCLPPTQISHANISQSIIGDGCIINIEVQNKQFDHWYEDVYR >DRNTG_15737.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20355699:20358031:-1 gene:DRNTG_15737 transcript:DRNTG_15737.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-glucose pyrophosphorylase small subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G05610) TAIR;Acc:AT1G05610] MVRKWLWLLEKHPAIEFLILPGHHLYNMDYRELIKTHRDSEADITVSVSSTYQINSSSSSYGFLILDNESRILGFKEESSMDIGGRNMGIYVFKKDSMVKILKEYLPKANDFENEVIKGAISMGMKVQAYMFDGKWEDMNSIESFYHASMEINLIGQDFPIYTMPLCLPPTQISHANISQSIIGDGCIINRCKISNSIIGMRTFIADGVVIEDSFIMGGDFHHTDLKNQKELGSCTPIGIGEQTLIRKAIIDKNACIGKNVKICNSNGVQESEREVEGYIISGGIVTVLKNAVIPDNSII >DRNTG_15737.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20355699:20356711:-1 gene:DRNTG_15737 transcript:DRNTG_15737.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-glucose pyrophosphorylase small subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G05610) TAIR;Acc:AT1G05610] MRTFIADGVVIEDSFIMGGDFHHTDLKNQKELGSCTPIGIGEQTLIRKAIIDKNACIGKNVKICNSNGVQESEREVEGYIISGGIVTVLKNAVIPDNSII >DRNTG_15737.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20355699:20358031:-1 gene:DRNTG_15737 transcript:DRNTG_15737.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-glucose pyrophosphorylase small subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G05610) TAIR;Acc:AT1G05610] MVRKWLWLLEKHPAIEFLILPGHHLYNMDYRELIKTHRDSEADITVSVSSTYQINSSSSSYGFLILDNESRILGFKEESSMDIGGRNMGIYVFKKDSMVKILKEYLPKANDFENEVIKGAISMGMKVQAYMFDGKWEDMNSIESFYHASMEINLIGQDFPIYTMPLCLPPTQISHANISQSIIGDGCIINIEVQNKQFDHWYEDVYR >DRNTG_11785.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:542636:544700:-1 gene:DRNTG_11785 transcript:DRNTG_11785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHDHIQEVQLQIQGGANDHQEQPCNNHNNNNKEPSPKHTFKFKINHWKWWLTVLLNIIFILSGQTSSTLLGRLYFDKGGKSLWMSTLVQSVGFPVLIIYIFIYNITTTYNKKHPLIISSSTAFPSIIFIYIMLGIIIAGDNLMYSYGLLYLPVSTYSLICASQLAFNALFSYFINSQKLTPFIFNSVILLTFSAALLGVRSDSGEASEYNKYPLGFVLTVGASATYSLILSLIQLTFQKVLKKESFTIVVELQICTSVVASLVSVIGLLASGEWRGLKEEMEGFEEGKVAYVMVLVWIGIGWQVAAVGLIGLVFVVSSLFSNMISTLSLPLVPIFAVIFFHDRMDGVKIMAMLIAVWGFLSYIYQHYLDDLETKNKKQPCSSFSSSNDGDNGSDVIHDRVV >DRNTG_22180.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:930114:934156:1 gene:DRNTG_22180 transcript:DRNTG_22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENLENGQGDHHFTKDGTVDLKGNPVLRSKRGGWKACSFIVVYEVFERMAYYGISSNLFIYLTKRLHQGVVEAANNVTNWAGTVFLVPLVGAYIADAYLGRYWTFVAGSVIYVMGMCLLVIAVSIPSLRPPPCATTCKPATTMQLAIFFSGLYILALGNGGTKPNISTMGADQFDDFDPIERTHKLSFFNWWMFSIFLGTLFANTVLVYLQDNVGWSLGYGIPLLGLAVSVCIFLFGTSFYRHKVPQGSPFTRMAKVVVAALKKWRVVVPSDPKDLHELDLEEYAKKSKTRIDSTDSLRFLNRAAVKNGKTSPWILCAVTQVEETKQIFRMLPIIITMFIPCTMIAQVNTLFIKQGTTLNRHIGPHFQIPPASLAAFLTISMLVSVVIYDRFFVNFMRNITKNPRGITLLQRIGVGVFIQSLIFVIAAFTERWRLSVARKHGLEKGGQVPLSIFIILPQFVIMGISDAFLVVGKLEFFYDQAPESMKSLGTACSLTAYGVGNFLSSVLLSTVTEITRRNDNAWVMDNLNASHLDYYYALLAVLSFVNFLVFLLVSKFYSYKAEDFNKA >DRNTG_27354.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6691117:6695299:-1 gene:DRNTG_27354 transcript:DRNTG_27354.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNADSPVQTQVALAVPNHSISSEHHGSRSSEAKHVARRRVFVQTETGCVLGIELDRGDNVHTVKRRLQLALNVPTEESSLTYGDRVLKNDLSAIRNDSPLLLRRNLIQRSSSSPCLSPTAKDLLQRDRSGPIEILGCSNSCSMKQLVKDAVKAIKNGVDPLPVHSGLGGAYYFRNSRGERVAIVKPTDEEPFAPNNPKGFVGKTLGQPGLKRSVRVGETGYREVAAYLLDYDHFANVPPTVLVKITHSIFHVNEGVSCSKNKPHDENSSAMSKIASFQQFIPHDFDASDHGTSCFPVAAVHRIGILDIRIFNTDRHAGNLLVKKLRGGDGRFGGQMDLIPIDHGLCLPENLEDPYFEWIHWPQASIPFSDDELKYIEDLDPSRDSDMLRSELPMIREACLRVLVLCTIFLKEAAAFGLCLAEIGEMMSREFKGGLEEEPSELEILCIESKRLVTEHSVFISEAESGGEDDIQFEIDCGEEDELTMQVGVQPSSHSRNPFSRLQILEEEDEDGDGDGDEDDTELSPLRSVLPRNVSKLSMSLKDVSLAEKHNQLKQQGWFSKGGKTSTKTNYSYGVKHPNGNRSANEQLPASQSFVKLADMSDDQWGAFLEKFQELLPGAFRDRKSRVAGQRQRQRLGTSCQF >DRNTG_27354.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6690992:6695299:-1 gene:DRNTG_27354 transcript:DRNTG_27354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNADSPVQTQVALAVPNHSISSEHHGSRSSEAKHVARRRVFVQTETGCVLGIELDRGDNVHTVKRRLQLALNVPTEESSLTYGDRVLKNDLSAIRNDSPLLLRRNLIQRSSSSPCLSPTAKDLLQRDRSGPIEILGCSNSCSMKQLVKDAVKAIKNGVDPLPVHSGLGGAYYFRNSRGERVAIVKPTDEEPFAPNNPKGFVGKTLGQPGLKRSVRVGETGYREVAAYLLDYDHFANVPPTVLVKITHSIFHVNEGVSCSKNKPHDENSSAMSKIASFQQFIPHDFDASDHGTSCFPVAAVHRIGILDIRIFNTDRHAGNLLVKKLRGGDGRFGGQMDLIPIDHGLCLPENLEDPYFEWIHWPQASIPFSDDELKYIEDLDPSRDSDMLRSELPMIREACLRVLVLCTIFLKEAAAFGLCLAEIGEMMSREFKGGLEEEPSELEILCIESKRLVTEHSVFISEAESGGEDDIQFEIDCGEEDELTMQVGVQPSSHSRNPFSRLQILEEEDEDGDGDGDEDDTELSPLRSVLPRNVSKLSMSLKDVSLAEKHNQLKQQGWFSKGGKTSTKTNYSYGVKHPNGNRSANEQLPASQSFVKLADMSDDQWGAFLEKFQELLPGAFRDRKSRVAGQRQRQRLGTSCQF >DRNTG_27354.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6691117:6695299:-1 gene:DRNTG_27354 transcript:DRNTG_27354.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNADSPVQTQVALAVPNHSISSEHHGSRSSEAKHVARRRVFVQTETGCVLGIELDRGDNVHTVKRRLQLALNVPTEESSLTYGDRVLKNDLSAIRNDSPLLLRRNLIQRSSSSPCLSPTAKDLLQRDRSGPIEILGCSNSCSMKQLVKDAVKAIKNGVDPLPVHSGLGGAYYFRNSRGERVAIVKPTDEEPFAPNNPKGFVGKTLGQPGLKRSVRVGETGYREVAAYLLDYDHFANVPPTVLVKITHSIFHVNEGVSCSKNKPHDENSSAMSKIASFQQFIPHDFDASDHGTSCFPVAAVHRIGILDIRIFNTDRHAGNLLVKKLRGGDGRFGGQMDLIPIDHGLCLPENLEDPYFEWIHWPQASIPFSDDELKYIEDLDPSRDSDMLRSELPMIREACLRVLVLCTIFLKEAAAFGLCLAEIGEMMSREFKGGLEEEPSELEILCIESKRLVTEHSVFISEAESGGEDDIQFEIDCGEEDELTMQVGVQPSSHSRNPFSRLQILEEEDEDGDGDGDEDDTELSPLRSVLPRNVSKLSMSLKDVSLAEKHNQLKQQGWFSKGGKTSTKTNYSYGVKHPNGNRSANEQLPASQSFVKLADMSDDQWGAFLEKFQELLPGAFRDRKSRVAGQRQRQRLGTSCQF >DRNTG_35242.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3515275:3515982:-1 gene:DRNTG_35242 transcript:DRNTG_35242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLATLRPFPTTFSSKPFPIPPNLHPLLRLPRRRPPRIHQISAYKVTLEHEGATRTLEVEEDETILGRVLDEGLNVPHDCKLGVCMTCPARLLSGSVDQSEGMLSDDVVERGYALLCVSYPRSDCHIRTIPEDELLDLQLATAND >DRNTG_03440.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3170095:3170469:1 gene:DRNTG_03440 transcript:DRNTG_03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSPRADKLTDFNECSTSSVAEKGHFFVYTSEGKRFMVPLAYVTNNFFKELWNISEEEFGLPGDGHIILPCDEASMEYVLSMLRRGVSEEVERALLSCIFISCQYTCSAFAVETTQQLAVCYC >DRNTG_33715.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1197362:1197961:1 gene:DRNTG_33715 transcript:DRNTG_33715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTHHHHHLQTSKQRGGRNLNSYFG >DRNTG_15021.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23795407:23802358:1 gene:DRNTG_15021 transcript:DRNTG_15021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVERATSDMLIGPDWAMNIEICDILNRDPGQAKDVVKGVKKRLGNKNSKVQLLTLTLLETLIKNCGDVVHMHVAEKDILHEMVKMVKKKHPDFHVKEKILMLIDTWQEAFGGPRARYPQYYAAYQELLRAGAVFPHRSESSAPIFTPPQTQPLSNYPQSVRSPDYQHEAPEASAGSDFPILSLTEIQNARGIMDVLAEMLNALDPGNREGLRQEVIVDLVEQCRSYKQRVVQLVNTTSDEDLLGQGLALNDDLQRVLAKHDAIAAGIAVRPEKPKTLQALVDDDNSAATNHVGHPPPDQRSPTSTSTNNQPPLQQLLLPAPPTSNGSAKPPARVDPNMDLLSGDDYNTPPAENPLALVPVNAPLTNLSASDQNVLALADMFAEASNAKTSNNPNSTFSSNPAFPEPQVFPAATPQLQQSQPGLYPNGGSQNSGTLHYQPAANGHGTQLNHNKPVWNGEVSQGFNPQQQTFGYGPDDQGGALPPPPWETQTTQSNDVDGLQPQPSPQFGVTQPQLVQGSQFGVMPPQPIQGTQFGGMPYQPMQGTPFGGMQPQPVQGSQFGGMYPSPMQNNQLGLYPSPMQNNQPVGLYSQPILGVQIPGMHQTPMQMQMQMQLTGYAYGQQPKTQFYDPRQSAYPYSNPNDVSQRMYGLSVQDNGQYMNMNSSYQMSASSSLYTQQSNKPAKPEDKLFGDLVNMAKSKQSKPPVNKVGSS >DRNTG_03666.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13653291:13658071:-1 gene:DRNTG_03666 transcript:DRNTG_03666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVQADTHPKSRVKATLYLGSESYSVVSDTGLISRELASVKAESMSILTGFITKHNVPNDVPDEPLEGSDDEESEEPKNPSKKSKKQK >DRNTG_01939.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32483444:32487704:-1 gene:DRNTG_01939 transcript:DRNTG_01939.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor 60 [Source:Projected from Arabidopsis thaliana (AT1G42990) UniProtKB/Swiss-Prot;Acc:Q9C7S0] MAEVDGVEDFDLEALLRDFDEVPSLDLSDISLFDFPSPEQQSLSPADSNSSWAEELEKFLLENDDGGAETPEVHEGFENNFFSDILGSNDGNSDDEKEEVSGLNDGKSDEDEKKDGASGLDDGESDDDEKKDRVSGLDGGKSEEIEKKDESFVVVDEIEDDSIIKKRRRQMRNRDSAMKSRERKKIYVKDLEMKSRYLESECRRLRYAFQCCVAENLALRQCLQNGRAFGAPAARQESAVLLMESLLLGSLFWLMSIVCLFLAPSLSSLNLKGASRPESGPVLEVQKIENKGAEYDFGYHLIILRRRYRGMRSRMKSSYYYSCSYGVVNGPSLPSVLDF >DRNTG_08002.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30037202:30037585:1 gene:DRNTG_08002 transcript:DRNTG_08002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTQKETCYYQRRKSHTQVSPTGDEVGLILRFVGKDSNEQNAGLGRGRCAPSDLPGIQTRSGEGYLRN >DRNTG_13892.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2449062:2451671:-1 gene:DRNTG_13892 transcript:DRNTG_13892.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLSFIALVLACLHLVPNSQQLLVSQSQVLQQLRKQLENPKQLDVWNATKDLCFPPFSSALTVSCEGESVTELKIVGDKLAKLSKFDGYAVQGHTLSESFSVDSFITTLSRLASLRVVILVSLGIWGPLPDKIHRLGSLEVLDLSSNFLYGSIPPKISAMVRLQTLTLDGNFFNETVPDWFDMLPNITVLSLQRNELKGTLPHSIGRANSLSDLALSKNKISGEIPDLSGLTKLAVLDLRDNELDSGLLDIPKGLITILLSNNSLSGEIPKEFGKLSWLQHLDLSFNDLEGTPPSSLFSLPNISYLNLASNSFSGSFPSSLTCGSQLGFVDVSTNRLTGRIAILLEF >DRNTG_13892.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2448672:2451671:-1 gene:DRNTG_13892 transcript:DRNTG_13892.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLSFIALVLACLHLVPNSQQLLVSQSQVLQQLRKQLENPKQLDVWNATKDLCFPPFSSALTVSCEGESVTELKIVGDKLAKLSKFDGYAVQGHTLSESFSVDSFITTLSRLASLRVVILVSLGIWGPLPDKIHRLGSLEVLDLSSNFLYGSIPPKISAMVRLQTLTLDGNFFNETVPDWFDMLPNITVLSLQRNELKGTLPHSIGRANSLSDLALSKNKISGEIPDLSGLTKLAVLDLRDNELDSGLLDIPKGLITILLSNNSLSGEIPKEFGKLSWLQHLDLSFNDLEGTPPSSLFSLPNISYLNLASNSFSGSFPSSLTCGSQLGFVDVSTNRLTGRIAILLEF >DRNTG_13892.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2447923:2452006:-1 gene:DRNTG_13892 transcript:DRNTG_13892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLSFIALVLACLHLVPNSQQLLVSQSQVLQQLRKQLENPKQLDVWNATKDLCFPPFSSALTVSCEGESVTELKIVGDKLAKLSKFDGYAVQGHTLSESFSVDSFITTLSRLASLRVVILVSLGIWGPLPDKIHRLGSLEVLDLSSNFLYGSIPPKISAMVRLQTLTLDGNFFNETVPDWFDMLPNITVLSLQRNELKGTLPHSIGRANSLSDLALSKNKISGEIPDLSGLTKLAVLDLRDNELDSGLLDIPKGLITILLSNNSLSGEIPKEFGKLSWLQHLDLSFNDLEGTPPSSLFSLPNISYLNLASNSFSGSFPSSLTCGSQLGFVDVSTNRLTGRIAILLEF >DRNTG_28048.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3294168:3296267:-1 gene:DRNTG_28048 transcript:DRNTG_28048.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEALDICMRSITRKRAGTMLYLPKFIDGAEMGSLICGHNFHAECIKKWLDDKKICPYCRGICCR >DRNTG_19680.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4633828:4641398:-1 gene:DRNTG_19680 transcript:DRNTG_19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDWINSYLEAILDSGPGIVADKASLLLRERGRFSPTRYFVEEVITGFDETDLYKSWVRAAATRGPQERNTRLENMCWRIWNLARKKKQIESEEVQRMSKRRLERERGRREATADMSEDLSEGEKGDTVSDLSAHGGSTKNRMPRISSIDAIEAWASQQKDKKLYIVLISIHGLIRGENMELGRDSDTGGQVKYVVELARALGSMPGVYRVDLLTRQILAPDVDWTYGEPTEMLTSRSFDGFAHEMGESSGAYIVRIPFGPRDKYIPKELLWPHIQEFVDGALSHIMQMSKVLGEQIGGGQPVWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKLEQLLRQGRQTREEINATYKIMRRIEAEELSLDSSDIVITSTRQEIEEQWQLYNGFDVMLERKLRARIKRGVSCHGRFMPRMCVIPPGMEFHHIVAHDGDTDGDVDGNEDNPASPDPPIWSEIMRFFSNPRKPMILALARPDPKKNLITLVKAFGECRPLRELANLTLIMGNREVIDEMSGTNAVVLTSILKMIDKYDLYGQVAYPKHHKQSDVPDIYRLAAKTKGVFINPAFIEPFGLTLIEAAAYGLPIVATKNGGPVDIHRVLDNGLLVDPHDQNAIADALLKLVADKNLWAQCRQNGLKNIHLFSWPEHCKNYLSRIASCKPRHPQWQRSHAFGNSEPESPGDSWRDIKDISLNLKLSIDGERAIENATLDNIVDSEESSAERNTKLERNFDEGIKRSCKICK >DRNTG_16819.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1503646:1511610:1 gene:DRNTG_16819 transcript:DRNTG_16819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRQPARSLAPLSFVSTFSSNSNPSWLLLQSPFPKPKHIHHKIKHRLSIAAMASKSNEGTSTAAEGDVYSREAKGSGTTARGRRLLRVREEKRKREYDRIHNYPAWAKVLENACKDDEELRAVLGDSIGNPELMRKRIEERVRKKGRNFHKSKTGSVLSFKVSFRDFNPMGSYMWFELFGAPTDRDVDLLGSVIQAWYVLGRLGAFNSTNLQLGNVCMDYNPLYDSEKAAKVMPSSFHDIGDVEFQDNWGRVWVDLGTSDFFAIDVLLNSLTALSSEYLGIQQVIFGGRRMGDWEDGMTNSEYGYKNFQI >DRNTG_32888.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:697060:697300:-1 gene:DRNTG_32888 transcript:DRNTG_32888.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLKNIDLVYGGGSIGLMGLISQTVFDGCRNVIGVIPKALMGREA >DRNTG_14159.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14382540:14402070:1 gene:DRNTG_14159 transcript:DRNTG_14159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRGVEIVRCLLGDMDLTPGPKDVEIEDDPIIESESNDEDDEFDEIESARDAPALVTSAPNVLPRPFTPSVANAYAGSSSSSASTPCASGGVTLNGEPTMHARDTPTLSMDCFPLDIDESRRPRIKLMNGIHGPRHGIARNLKLGVRKLPQTNSLRLQDLDLQLRLGRDPCPFELSEVTHTNKGTSVLIDARAQSIKDHYLELVEQVSQTQEGHDEIPIVDETTLYYEAVGGGKKSRVYGIRSQACIFYLHSSSSLSTGSSFEALHAEVRDLRQTLSQVQDREERLQRTLSQVQVHERGFNRHFTN >DRNTG_23630.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16136007:16138152:-1 gene:DRNTG_23630 transcript:DRNTG_23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDYLTQPFFLLSSFFVLLLSIKLVLFSNTKSVKLPPSPWKLPFIGNLHQIGLLPHQSLHKLSKKYGPLMLLKLGQVPTLVVSSSQMAKEILKTRDLNFANRPTLRAAEILLYGSLDIGFSPYGEHWRQMRKVCMINFFSMKKVQSFHATREEEVAHLMDKIASQTFSNPSEPLNMSQVLYFFSNDMLCKAMLGKISKKVDRNKIFHEMIEENILLLSGFNLVDYFPSLGWLNSLLGLDKRAKRNFSKWDVVLNQIIREHAIIDEKVKNDGFVDILLSLQKNPPTDFSFSDDHIKALLLDMFAAGTETTYIVLEWSFAELIKNPEIMNKLQNEIRNFTHGKSMVQKEDICEMKYLKAVIKEILRLHPPVPLLLPRESIEACQIEGYEVQRKTRVIINYWAIARDPKVWDSPEEFKPERFISNDIDFKGQNYEFIPFGAGRRICPGMHFAVSTVELALANLIYRFDWKFPRSVDGEEIDMTETPGLTTRMKQNLYLIPNSWM >DRNTG_25691.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30308753:30310900:1 gene:DRNTG_25691 transcript:DRNTG_25691.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTSCGSPSYVAPEVIAHNNYEGAAADMWSCGVILFELLAGHLPFEDRSLTNLYRKVLLSSSNQIRNFCDIDDDLMFFRQITRAEYTCPAWFTDEQRRLISRILDPLAIRRATVAELLEDEWFRVDYTPSPVTENEENVNLDISPVVSDKTDEITDQTVREKSNNFINAFQLIAMSNDLDLSGLFEKQENSNQKTKFGSTHSVDETIEKIEVAAKGACLSVERINNSKIKLHQRKKLARCKSFFTVSAEVRALDHA >DRNTG_25691.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30308753:30310900:1 gene:DRNTG_25691 transcript:DRNTG_25691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTSCGSPSYVAPEVIAHNNYEGAAADMWSCGVILFELLAGHLPFEDRSLTNLYRKITRAEYTCPAWFTDEQRRLISRILDPLAIRRATVAELLEDEWFRVDYTPSPVTENEENVNLDISPVVSDKTDEITDQTVREKSNNFINAFQLIAMSNDLDLSGLFEKQENSNQKTKFGSTHSVDETIEKIEVAAKGACLSVERINNSKIKLHQRKKLARCKSFFTVSAEVTEVTPTNCVVEISKSAGELLVYNEFCRSLSNLLKENTDGSSDLQLSEACAEQN >DRNTG_10517.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31019438:31021538:-1 gene:DRNTG_10517 transcript:DRNTG_10517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETSHLLNIQIVFLKETNHYSLLISSLAAKNGIYIDLVKDVRDAFEECRLVKINCEGMHASDYKKIGAKLMVCDHVINMNLISLFSFWKTFPVL >DRNTG_13369.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2329843:2334490:1 gene:DRNTG_13369 transcript:DRNTG_13369.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSEKRRPIETMLGVIANAAIPTQQPMIMADSSGGEEHEIKAPKKRAETWVQEETRSLIGFRREMDGLFNTSKSNKHLWEQISAKMRDKGYDRSATMCTDKWRNLLKEFKKAKHRDRGSGSSKMSYYKELDELLKERSRNGNYKSPANSKVDSYLQFSDKGLEDAGIPFGPVEATGRSELNLERRLEHDPHTLAITASDPVAANGVPPWNWRDNSANGNTPADGGDNQASYGGRVILVKWGEYARKIGIDGTADAIKEAIKSAFGLRTRRAFWLEDEDEVVRSLDRDMPLGTYTLHLDEGVTIKVCVYDDTDRIPVRTEDKTLYTEEDFRDFLSRRCWAGLRELSGFRTVDIFDDLRSDCIYQGVRSD >DRNTG_13369.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2329843:2334490:1 gene:DRNTG_13369 transcript:DRNTG_13369.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSEKRRPIETMLGVIANAAIPTQQPMIMADSSGGEEHEIKAPKKRAETWVQEETRSLIGFRREMDGLFNTSKSNKHLWEQISAKMRDKGYDRSATMCTDKWRNLLKEFKKAKHRDRGSGSSKMSYYKELDELLKERSRNGNYKSPANSKVDSYLQFSDKGLEDAGIPFGPVEATGRSELNLERRLEHDPHTLAITASDPVAANGVPPWNWRDNSANGGDNQASYGGRVILVKWGEYARKIGIDGTADAIKEAIKSAFGLRTRRAFWLEDEDEVVRSLDRDMPLGTYTLHLDEGVTIKVCVYDDTDRIPVRTEDKTLYTEEDFRDFLSRRCWAGLRELSGFRTVDIFDDLRSDCIYQGVRSD >DRNTG_13369.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2329843:2333817:1 gene:DRNTG_13369 transcript:DRNTG_13369.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSEKRRPIETMLGVIANAAIPTQQPMIMADSSGGEEHEIKAPKKRAETWVQEETRSLIGFRREMDGLFNTSKSNKHLWEQISAKMRDKGYDRSATMCTDKWRNLLKEFKKAKHRDRGSGSSKMSYYKELDELLKERSRNGNYKSPANSKVDSYLQFSDKGLEDAGIPFGPVEATGRSELNLERRLEHDPHTLAITASDPVAANGVPPWNWRDNSANGGDNQASYGGRVILVKWGEYARKIGIDGTADAIKEAIKSAFGLRTRRAFWLEDEDEVVRSLDRDMPLGTYTLHLDEVSCAQFNVAEVLTSICH >DRNTG_13369.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2329843:2333842:1 gene:DRNTG_13369 transcript:DRNTG_13369.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSEKRRPIETMLGVIANAAIPTQQPMIMADSSGGEEHEIKAPKKRAETWVQEETRSLIGFRREMDGLFNTSKSNKHLWEQISAKMRDKGYDRSATMCTDKWRNLLKEFKKAKHRDRGSGSSKMSYYKELDELLKERSRNGNYKSPANSKVDSYLQFSDKGLEDAGIPFGPVEATGRSELNLERRLEHDPHTLAITASDPVAANGVPPWNWRDNSANGGDNQASYGGRVILVKWGEYARKIGIDGTADAIKEAIKSAFGLRTRRAFWLEDEDEVVRSLDRDMPLGTYTLHLDEVSCAQFNVAEVLTSICH >DRNTG_13369.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2329843:2334554:1 gene:DRNTG_13369 transcript:DRNTG_13369.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSEKRRPIETMLGVIANAAIPTQQPMIMADSSGGEEHEIKAPKKRAETWVQEETRSLIGFRREMDGLFNTSKSNKHLWEQISAKMRDKGYDRSATMCTDKWRNLLKEFKKAKHRDRGSGSSKMSYYKELDELLKERSRNGNYKSPANSKVDSYLQFSDKGLEDAGIPFGPVEATGRSELNLERRLEHDPHTLAITASDPVAANGVPPWNWRDNSANGGDNQASYGGRVILVKWGEYARKIGIDGTADAIKEAIKSAFGLRTRRAFWLEDEDEVVRSLDRDMPLGTYTLHLDEGVTIKVCVYDDTDRIPVRTEDKTLYTEEDFRDFLSRRCWAGLRELSGFRTVDIFDDLRSDCIYQGVRSD >DRNTG_18042.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6250780:6257797:-1 gene:DRNTG_18042 transcript:DRNTG_18042.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGFPAGGLTLTILPARMVIILPVRKSRLRLFYSVVELTWASLVHPSDLA >DRNTG_17230.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2644130:2645206:-1 gene:DRNTG_17230 transcript:DRNTG_17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMGRWEEAQGDSSECTSGCQSGWTMYLDHSFDKQHHLPFLKPSSHHLQEQVQAEEQEEEVEEEEEEEEEEEDLSMVSDASSGPPHHHGEEECHHHCYFFSDGKRRRVEGEKQQQVSQKQDLSSFLDDTASSPLLTFSKTSFNSSMSLEFSSCFSATHFNRKSSPFIHSEKTSGCGGKEEGGKNKWC >DRNTG_03890.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3921877:3923094:-1 gene:DRNTG_03890 transcript:DRNTG_03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAVRAHLKGWKFIFLNHVKVLCELPESYETYKRQQYRWHSGPMQLFRLCLPNIITSKVTTSETPN >DRNTG_27080.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2936921:2937856:-1 gene:DRNTG_27080 transcript:DRNTG_27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMLSTPPRSPPSLPPPSPSSSPTSKFFIRSFPTFNFNSYSTSIISQINHHLTTILPTHNYPQSLHNSMQNSVLNLNLNLNLNSNDHHFLPILTIASCELVGGDRNTAIPTACAMEIAHAASHLSENQFSRSSSKYDQGMAVLTGEALFSRSLECVARFTPLDLVQDLIVQQVVSEISECFGSIGVAGGKSMNVSCEEEMVWMLKRKFGSMTECSAVCGGLIGGAGEDVLKSLRKYGMAVGIWKQVISEIKLGFDKERIDLKLLRVVGMERAMEMEEEFMKEAMRELEKLEEEYGERVVPLHCMLEMKDN >DRNTG_09765.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7944359:7944794:1 gene:DRNTG_09765 transcript:DRNTG_09765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAANRKHVLNFVCNTLYPLNFPNCAHVGKSGLTKSESSPPLKPHLMLGNGKTH >DRNTG_02029.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13091431:13093046:1 gene:DRNTG_02029 transcript:DRNTG_02029.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPDRVLRCRHVSIVEPPPEIVSLLREAEFYHEAQIRNFRIDATLVSTLVERWRTETHTFHLTCCETTITLEDVALLLGLPINGHEVIGQTSGLGSAVCAELLGVVPSVEQRKGQSITLTWLEETFGMLPYDASQHQIEYYARAYILRLIGCVLMPDMSQNRFHLKWLPLLRDFTEAGRYSWGAACLVTPYRWAHIEVDDDSRSNKHNVKIYRQLLDRPLWTAFTSLICFEVVEWHQTDRVTKQFGFAQGVPLNLVCIGLTHDHDLRGRIDTDWALMHKRWIGYWRDRASRCLSLHILAGNNAMSVEYYDWYTTNTILFLSTDQDLLDPRTRANTLPAPLRAFPTVVVDVSPPSPPARRRRQHNSRAKNDEAHIP >DRNTG_30543.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:12210917:12214383:1 gene:DRNTG_30543 transcript:DRNTG_30543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKEKEEFEQARQVSPGHDEAMSTIEEVGQIEYIGAGNEKQEVEYHFEILDCVTEDCAFFLQVCHQVPKSFDRIRLTLYGNILLAHRQHQKTTSMLEASNS >DRNTG_27575.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6625117:6628481:-1 gene:DRNTG_27575 transcript:DRNTG_27575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEPLLIPSSGRRKLSSSSMLCPLPEDGEISIPIPISIPCPSSPSSPSSLKDRLIFGAAATSRSPLAPDEEVKLERDKNDESFVPWCSTKTNLHRSRTAPAMSTINDVAGDHPDTDLPPPRRPAIIRQAFLFLFLYLAVGVVVYTIQRSHFSADETHPVVDALYFCIVTMCTIGYGDITPRTPSAKFFSITFVLVGFGFIDILLSGMVSYVLDLQESLLLGAVRKANHNSYIVDVKKGRMRIRLKVGLALGVVVLCIGVGTGVLRFVERLDWLDAFYLSVMSVTTVGYGDRAFKSMPGRLFAAIWLLVSTLAVARAFLYLAEARVDKRHRMMAKWVLGRHMTVAEFLAADIDHNGYVTKSEFVIYKLKEMGKISEKDVMQVCDKFDRLDTGNCGKITLSDLIESHH >DRNTG_19330.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5346577:5354518:1 gene:DRNTG_19330 transcript:DRNTG_19330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHISWDKTGGTQLFSVPTPGFLPHVHPAGVLIKRPVPWNYYQNAVTSSYSMAWWDWERWEKEIDWMALQGINLPLAFNGQEAIWQKVFQKFNISNSDLEDFFGGPAFLAWSRMGNMHGWGGPLPQTWLDDQLALQKKILSRMFEFGMSPVLPAFSGNVPSALKSKFPSAKITRLGNWFTVDSNPKWCCTYLLDATDPLFVEVGKAFIEQQFKEYGRTSHIYNCDTFDENTPPIDEPEYISSLGAAIFKGMQSGDKDAIWLMQVCIFLIFVVVIVLCET >DRNTG_19330.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5344461:5354518:1 gene:DRNTG_19330 transcript:DRNTG_19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPTSLLLSLLFSALCLVHSSPLIHFSHLSHLLDVHERERPSASVQEAAARGLLNRLLPSHSSSFDFQVIDKETCGGDACFIISNHPSFSEKGHPEILIRGGSGVELSAGLHWYLKSWCMAHISWDKTGGTQLFSVPTPGFLPHVHPAGVLIKRPVPWNYYQNAVTSSYSMAWWDWERWEKEIDWMALQGINLPLAFNGQEAIWQKVFQKFNISNSDLEDFFGGPAFLAWSRMGNMHGWGGPLPQTWLDDQLALQKKILSRMFEFGMSPVLPAFSGNVPSALKSKFPSAKITRLGNWFTVDSNPKWCCTYLLDATDPLFVEVGKAFIEQQFKEYGRTSHIYNCDTFDENTPPIDEPEYISSLGAAIFKGMQSGDKDAIWLMQVCIFLIFVVVIVLCET >DRNTG_08807.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28120886:28124702:1 gene:DRNTG_08807 transcript:DRNTG_08807.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT3G25900) UniProtKB/Swiss-Prot;Acc:Q9SDL7] MITDSCPLVAASIGSYGAYLADGSEYSGIYGDVNLEKLKDFHRRRVQVLVDADPDILAFETIPNKLEAKAIAELLDEENIQIPSWICFSSVDGERAPSGESFKDCLDILNKSEKVSIVGINCSSPFFIERLIIEFKKLTRKPIAVYPNSGEVWDGRAKRWLATI >DRNTG_08807.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28124081:28124702:1 gene:DRNTG_08807 transcript:DRNTG_08807.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT3G25900) UniProtKB/Swiss-Prot;Acc:Q9SDL7] MYLFCDNGQPSECLGHEKFEDLAKKWKEAGARLIGGCCRTNPATIQAISSVLKPRT >DRNTG_08807.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28124081:28124723:1 gene:DRNTG_08807 transcript:DRNTG_08807.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT3G25900) UniProtKB/Swiss-Prot;Acc:Q9SDL7] MYLFCDNGQPSECLGHEKFEDLAKKWKEAGARLIGGCCRTNPATIQAISSVLKPRT >DRNTG_08807.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28120886:28124702:1 gene:DRNTG_08807 transcript:DRNTG_08807.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT3G25900) UniProtKB/Swiss-Prot;Acc:Q9SDL7] MITDSCPLVAASIGSYGAYLADGSEYSGIYGDVNLEKLKDFHRRRVQVLVDADPDILAFETIPNKLEAKAIAELLDEENIQIPSWICFSSVDGERAPSGESFKDCLDILNKSEKVSIVGINCSSPFFIERLIIEFKKLTRKPIAVYPNSGEVWDGRAKRWLPSECLGHEKFEDLAKKWKEAGARLIGGCCRTNPATIQAISSVLKPRT >DRNTG_08807.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28120886:28124005:1 gene:DRNTG_08807 transcript:DRNTG_08807.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT3G25900) UniProtKB/Swiss-Prot;Acc:Q9SDL7] MITDSCPLVAASIGSYGAYLADGSEYSGIYGDVNLEKLKDFHRRRVQVLVDADPDILAFETIPNKLEAKAIAELLDEENIQIPSWICFSSVDGERAPSGESFKDCLDILNKSEKVSIVGINCSSPFFIERLIIEFKKLTRKPIAVYPNSGEVWDGRAKRWLASNTYLGLLFLVYESCLLLQCMAFHLHNHEAYEDLSLCYWLV >DRNTG_29906.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001515.1:48473:53242:1 gene:DRNTG_29906 transcript:DRNTG_29906.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSTLRKPSLFSFPFTRLLLLPSLSSSSSSFSSCKRRSSSPFLSSPLKPPLPKKIASTISVHGFSWADPFHWMSNTSDPDLLRYLHQENSYAQAFMADTQELQRTLLAEMKNRMPPKISTPPERWGKWLYSQHVPD >DRNTG_29906.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001515.1:48473:51768:1 gene:DRNTG_29906 transcript:DRNTG_29906.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSTLRKPSLFSFPFTRLLLLPSLSSSSSSFSSCKRRSSSPFLSSPLKPPLPKKIASTISVHGFSWADPFHWMSNTSDPDLLRYLHQENSYAQAFMADTQELQRTLLAEMKNRMPPKISTPPERWGKWLYSQHVPD >DRNTG_29906.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001515.1:48473:51083:1 gene:DRNTG_29906 transcript:DRNTG_29906.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSTLRKPSLFSFPFTRLLLLPSLSSSSSSFSSCKRRSSSPFLSSPLKPPLPKKIASTISVHGFSWADPFHWMSNTSDPDLLRYLHQENSYAQAFMADTQELQRTLLAEMKNRMPPKISTPPERWGKWLYSQHVPD >DRNTG_29906.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001515.1:48473:48999:1 gene:DRNTG_29906 transcript:DRNTG_29906.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSTLRKPSLFSFPFTRLLLLPSLSSSSSSFSSCKRRSSSPFLSSPLKPPLPKKIASTISVHGFSWADPFHWMSNTSDPDLLRYLHQENSYAQAFMADTQELQRTLLAEMKNRMPPKISTPPERWGKWFPLCSFSFIFSFVWILDRRYVLFCGMELHGFDAGAKFG >DRNTG_29906.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001515.1:48473:54931:1 gene:DRNTG_29906 transcript:DRNTG_29906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSTLRKPSLFSFPFTRLLLLPSLSSSSSSFSSCKRRSSSPFLSSPLKPPLPKKIASTISVHGFSWADPFHWMSNTSDPDLLRYLHQENSYAQAFMADTQELQRTLLAEMKNRMPPKISTPPERWGKWLYSQHVPD >DRNTG_29906.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001515.1:48473:53242:1 gene:DRNTG_29906 transcript:DRNTG_29906.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSTLRKPSLFSFPFTRLLLLPSLSSSSSSFSSCKRRSSSPFLSSPLKPPLPKKIASTISVHGFSWADPFHWMSNTSDPDLLRYLHQENSYAQAFMADTQELQRTLLAEMKNRMPPKISTPPERWGKWLYSQHVPD >DRNTG_29906.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001515.1:48473:54931:1 gene:DRNTG_29906 transcript:DRNTG_29906.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSTLRKPSLFSFPFTRLLLLPSLSSSSSSFSSCKRRSSSPFLSSPLKPPLPKKIASTISVHGFSWADPFHWMSNTSDPDLLRYLHQENSYAQAFMADTQELQRTLLAEMKNRMPPKISTPPERWGKWLYSQHVPD >DRNTG_01683.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23788373:23790684:1 gene:DRNTG_01683 transcript:DRNTG_01683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERGYRRSPEQCKCKWKNLVNRYKGKETSDPENGKQCPFFEELHAVFQERARSMQQLLHESESGPPQAKKRLKRPSGDRSSDELSEDDEDDEDTDDERLSRSRKKKGDKGQQQQQQRAADKSKASNSVHDILQDFLQQQQRMEAQWKAMVDRRTKERQMLENEWRQSMQTLERERMMLEQAWREREEQRRIREETRAEKRDVLLTTLLNKLIQEGL >DRNTG_29404.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1907545:1908309:1 gene:DRNTG_29404 transcript:DRNTG_29404.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRTPRSVIKGQRFDYDHFDVNTEDEQSPPSHQSLSSPRHIDQLLEETIVEDNQNGIRRSWGRGRAKTLSSVQSHNGRGILSQAPQSGASLGMQLESIGKQTPQGPRMPDGTRGFTMGRGKPLNTPVLAATDARSLQL >DRNTG_08539.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17405624:17413251:1 gene:DRNTG_08539 transcript:DRNTG_08539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVERLRVRSEKRPLYNDGESDDDFVAPPKPKPEDQKPERILREDAKEGACQSCGESGESKDLTGCATCTYAFHLKCVLPPRKTFPSDSWSCPECVSPLNEVEKILDCEMRPPTSDETDASKTGSKPKYAKHYLVKWKGLSYLHCTWVQEKEFLKAGKANPRLKTKLKSFSKQMDGMDNSEDDWIAIRPEWTTVDRIIASRKIGEEREYFVKWKELPYDECYWENESDISAFKPEIERFNMIQSRGRKKLSNKNKVSNRDSNELKHNQKEFQQYEQTPQFLSGALHPYQLEGLNFLRYSWSKSTHVILADEMGLGKTIQSIAFLASLFEEKLTPHLVVAPLSTLRNWEREFATWAPQMNVVMYFGSAQARAVIRQYEFFFPKDKKNQKKKKTVKGDDKKKQSRVKFDVLLTSYEMINMDSVSLKPIQWECMIVDEGHRLKNKDSKLFLQLQLYSTNHRVLLTGTPLQNNLDELFMLMHFLD >DRNTG_28061.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23107270:23114134:-1 gene:DRNTG_28061 transcript:DRNTG_28061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGKYKSLEHRAVVISEQERFSIGTFHGPRADAQIGPLPAETPGKSEPVYYRMMFAHKDDYEKNTLDYDGKPIIRSKLIQGSAPVKNPVVSSSSRPPEPLGNLQPTDKSSDGLSLVKESRSSRRADS >DRNTG_27972.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001421.1:11308:12283:1 gene:DRNTG_27972 transcript:DRNTG_27972.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFKEKLGQGGFGSVFKGRLPWDRLVAIKMLTNSKYNTGEDFINEVSTIGRIHHINVVKLIGFCSDRLQRALVYEYMPNGSLDKFIFSSKNGPNHKFSLDKLIDIALEVARGLDYLHKGCDMQILHFDIKLHNILLDHNFNLKLSDFGLAKLYPKNNSLVSHSVARGTIGYISPELISRSFGVVSHKCDVYRFDTIENNAVEMDTSIAIHEREKKLCIIGLWCIQIKPSDWPSKCKVIEMLEGDVSSLQMPPKPFFSEPTQIPSKISYLNTDDGELATISEYIDNLN >DRNTG_29163.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5225298:5227637:-1 gene:DRNTG_29163 transcript:DRNTG_29163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKYAIAGSMNDFLNTVSLLYAQYLTQNTPEPSFDLKNVGILLFLIGITGNFYHHYLLSKLRKKNEKGYKIPSGGLFSVVICPHYLFEIIDFLGIALISQTLYSFIWFSGALVYLMGRSYSTRKWYLSKFENFPGDVKALIPFVF >DRNTG_07113.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22240926:22243085:-1 gene:DRNTG_07113 transcript:DRNTG_07113.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMGFTITELVEAQAQVWNLLLSYLKSMCLKCSLELGIADALKKQGKPMELSELSFALSIPPSKFDCFRRFMTTLVHLELFAEQQDDSGATKYILTPASYLLVKDETMNITPFLTLILDPIMCDSSHVFMPWFKSHKETPFEFYFGKGFWDFVGEKPEFSKMFNEGMASDSRLVSEVVMMNCKDVFNGLKSIVDVGGGKGSMAKSITHAFPGIKCTVFDLPHVIDSLEEDRQPGVEYVGGDMFVSVPHANAVLLKWILHDWDNEECIKILQRCKEAIPSIADGGKIIIIDIVVGASRNKHPYAVETQLLFDLLMMNITSGRERNECEWRKIFLSAGFIDYKITHFLGLRSIIELYH >DRNTG_27967.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3454651:3457662:-1 gene:DRNTG_27967 transcript:DRNTG_27967.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSQTSFNDDSTASSLSSGDGASGDRYHRAYLDLLNAYESSADRASSLPRLKDALRSFKPGDWIEFVGGTARADYVVPETTTLLLVGPRASGKTSLVNRISRVFEDDDFLPDRAQVSYNPDISQGTFFLQEYMIPRNSKSFCIFDSRSLSMVPCENFKLLEKWMTHGVSHGEMVLRDSDDASTRKRMKTTAARFGVCQSRSVNFVIFVANALSVLKSMDENGREYLNLLIETFNHPFLSFRDSKPVVVVTHGDGLSLASRARVSAYLGEVLGIPPTKQIFDIPDTGDSVSELAMMDMLRYSLEHADRNLPLKFKTLVSLEGKKMLEWTVSRSQTIVDILSCICICILIFHLVLSFQAKRR >DRNTG_31916.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18788510:18788743:1 gene:DRNTG_31916 transcript:DRNTG_31916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEYLIFVGHIRVMIMRFGDMLVMKVEDDQEVLIAI >DRNTG_04153.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:399965:400390:-1 gene:DRNTG_04153 transcript:DRNTG_04153.1 gene_biotype:protein_coding transcript_biotype:protein_coding WYQSRQPKPNSPSHPPHRTINQKSPPSWRIACYIYTHICHNYNTYVCMRSGHT >DRNTG_02681.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19833073:19836830:1 gene:DRNTG_02681 transcript:DRNTG_02681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLHLFLLFSIPLSSPCSDLCADLQIPSPFHLNASCGPPIDAFLLSCPPNSTSPFLSLASALLRVIDFRPSGTLLLDYSSNSSSSSCDRWYSDLDASAVFSRSSFFAITAYNVLRLYDCEDSSVCKTGCEEINGGRCERNGTSFGCCYPLSDGSVWKTGDGFGVFSEFGCRGFSSWVVGRGQAAQRGIEVEWAVPRGYSDGEVCSVGATVVNATAVPGGVRCSCNAGMVGDGFKHGTGCSKSSNSDGRDGCKGKHSRKLVTILAVVLGCGLLLTATVAFLWFVLRQSSKGKRWDLDPACLPKILGNACRTRLFTYEELKEATKGFEDLKVVAAVDGTVYTGVLDDGSLVAVQKVRCETQQNLRQVLERIELLSQISHRNIAQIIGCSIGSGYTLLLVHEFFSSRTLEELLQQGKGNGLNWYNRISIATEIASAVAYLQYEISPPIYIQDLNSHDIFVEINYSVKVASFKFLSSVGASSDANVIANFGLILLELVVGSKCGDMLGIVLPKIEDRKFREIVDPCLGYAEELPVQREQIETMACLALKCLSSRENEGVCIVGIAKELMNILNGFPGSSSRMRPSLEETFSNSSLLQMISMSPDSLHAP >DRNTG_02681.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19833073:19836356:1 gene:DRNTG_02681 transcript:DRNTG_02681.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLHLFLLFSIPLSSPCSDLCADLQIPSPFHLNASCGPPIDAFLLSCPPNSTSPFLSLASALLRVIDFRPSGTLLLDYSSNSSSSSCDRWYSDLDASAVFSRSSFFAITAYNVLRLYDCEDSSVCKTGCEEINGGRCERNGTSFGCCYPLSDGSVWKTGDGFGVFSEFGCRGFSSWVVGRGQAAQRGIEVEWAVPRGYSDGEVCSVGATVVNATAVPGGVRCSCNAGMVGDGFKHGTGCSKSSNSDGRDGCKGKHSRKLVTILAVVLGCGLLLTATVAFLWFVLRQSSKGKRWDLDPACLPKILGNACRTRLFTYEELKEATKGFEDLKVVAAVDGTVYTGVLDDGSLVAVQKVRCETQQNLRQVLERIELLSQISHRNIAQIIGCSIGSGYTLLLVHEFFSSRTLEELLQQGKGNGLNWYNRISIATEIASAVAYLQYEISPPIYIQDLNSHDIFVEINYSVKVASFKFLSSVGASSDANVIANFGLILLELVVGSKCGDMLGIVLPKIEDRKFREIVDPCLGYAEELPVQREQIETMACLALKCLSSRENEGVCIVGIAKELMNILNGFPGSSSRMRPSLEETFSNSSLLQMISMSPDSLHAP >DRNTG_12696.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4305930:4307720:-1 gene:DRNTG_12696 transcript:DRNTG_12696.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFICREQQWDGDLVEAKYIKVLDDNLSIIRLRFGYASKPLFKNREFVVYERRQEMDDGTLVVAVASLPKEIAAGLHPKGNNSIRGLLLQSGWVVEKLDDHSCLVTYVVQLDPAGWLPKCLVNRFNTKLVMIIDNLKKLAQACPINSEI >DRNTG_12696.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4305930:4307720:-1 gene:DRNTG_12696 transcript:DRNTG_12696.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSTSSTSCGSGSSSSISSGSNSPPASSRSWLISEDSLRRYVNYASESCIQELLSASVSSRVGGDDGWKVLATEDNVEISKRCSGSLHVFRSRWLLRSVSLEQFMTVANAIDAAKQWDGDLVEAKYIKVLDDNLSIIRLRFGYASKPLFKNREFVVYERRQEMDDGTLVVAVASLPKEIAAGLHPKGNNSIRGLLLQSGWVVEKLDDHSCLVTYVVQLDPAGWLPKCLVNRFNTKLVMIIDNLKKLAQACPINSEI >DRNTG_31996.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:578212:587155:-1 gene:DRNTG_31996 transcript:DRNTG_31996.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid lumenal protein TL20.3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G12250) UniProtKB/Swiss-Prot;Acc:Q8H1Q1] MALTLAFSRSIHCPPAIQSRPCSPRVRCSTPRVFACCSSGQMKWQKALVSTLAATAISFCGTGLLPAVADLNKFEAELRGEFGIGSAAQFGSADLRKAVHVNENFRRANFTSADMRESDFSGCTFNGAYLEKAVAYKANFTGADLSDTLMDRMVLNDANFTNAVLVRSVLTRSDLGGAIIEGADFSDAVLDLPQKQALCKYASGTNPTTGESTRKSLGCGNSRRSAYGSPSSPLLSAPPEKLLDRDGFCDQSTGLCDAN >DRNTG_16681.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16384546:16385782:1 gene:DRNTG_16681 transcript:DRNTG_16681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPYTRLSLIWQAAKNGISAPNVVSYNIVLKGRCQKYGFENARHLLDEMRKRRVRPSVVSYNILIGFMSRNGCLDGAMRLKEEMVSKGTHPNAVTFALLMEGLCREGKFNEAKKMMFDMEYQGCKTRLVNYGVLMSDCGRRGDLDGMNKVFVEMTRRRLRPDVVTYNILINYLCAHGRVYDAYKVFVEMQLKGCEPSAATYRMMVDGFCIARDFDSGLRVLSTMLCGKHCVKEESFEALVVGLCEGGKMDDACFVLEAMEKRRLVLGFQGWSALV >DRNTG_23445.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:813147:820025:-1 gene:DRNTG_23445 transcript:DRNTG_23445.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal domain (Ctd) phosphatase-like 2 [Source:Projected from Arabidopsis thaliana (AT5G01270) UniProtKB/TrEMBL;Acc:F4K802] MTRVGIKSVVYHGDSCLGDVEVFPAKAKNFQPFPNKEIRIDRLSPPSERCLPLAVIHTISPFSLKCKIQAKSATEQSPLTHLYLSCFQELKTAVVSVGNEELHLIAMPSKVEKVPSFWCCAVQAGLYASCMAMLNLRCLAIVFDLDETLIVANTMKSFEDKIEVLSRRIDDEDDPVRVSGMSAELKRYLEDKELLRQYIEGDSVLDGGKPITIQNEEVPALPGGHSSLIRPIIRLQERNIVLTRINPEIRDTSVLVRLRPAWDDLKSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEANLICSKQLLDRVVCVKSGHRKSLLQVFQDRIFHPKMAMVIDDRMKVWDDKDQLRVHVVPPFAPYYAPQAEMANAVPVLCVARNVACNVRGGFFKEFDENLYQRVVELCYENEMADLPQAPDVSHYLFSEDSGAILNNNRDIPLSEATNGAEVEPSLKIASSGNQQPEQHQLQSSISSFSGDELTVNQESTDSRDMHTGATSYITALQEIARICGWKVEFKSVMRNHKDLQFSVEVLISTQKIGVGSGRTRKEAKMQAAENSLRNLEDDYLAFASSDRRVVYGGLGKLSLGGENGFLKDTDVAPASEFSEKEDPPFNSKTEDFVELDVLNKLSAVLSCVKELCMEGQSLVFQDQGLVSTMSKGHYCFQAEIDGKILGKGVGLSIEEAKLKAAEETLKILKASGDLSTQKHLHSSRSRPTPSPSPPLSQQQPPLPNEKTSL >DRNTG_22738.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31596417:31597738:-1 gene:DRNTG_22738 transcript:DRNTG_22738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIKYSYTTLFTLSMILLIFQASAHEGHDHGDSEEDDSHDKLHGRSLILVKVWCLIILLVSTFAGGVSPYFLRWNESFLLLGTQFAGGIFLGTSLMHFLADSTENFGDLTTKNYPFSFMLASFGYVLTMLGDCIIHAVTQSSGREAKVQIEEGSSINTDQVENPHLGFVRTTSIGDTILLILALCFHSVFEGIAVGVSDTKSDAWRNLWTISLHKIFAAIAMGIALLRMLPKRPLLMTAAYSMAFAISSPIGVGIGIAIDATTQGKVADWIYAISMGIACGVFIYVAINHLIAKGFKPLEPCYFDKPFFKFFAVLAGVGVMAVVMIWD >DRNTG_13289.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2792889:2794256:1 gene:DRNTG_13289 transcript:DRNTG_13289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRCLGALYDRVHTKDPTWKLGGFIIDDPAADVLMIRGTGDMKLFEAFMEDFVDCSSFMDYFKAVWFPRIGAWVDVLKALPLATTEVFAAIECCHHLLKLRLLNEKDSNIYQRADWLVDKLGIKVPDSDVIVDKKYAKVISRKREGKVHTILNPSCEFAICDCQWSRMGNLCKHVIKSTKVFRDKGLAAPSTSLFEFNQALTSILRRPPHDYLSRDHAIALVVCIQSQLNGSFDLEKGRTTSSTLVQTAANSELSTSDEPIDADTNMIHENHSVSENVCGDGRSGIDSFPSEDSVLCGANVVVDNLIHSSE >DRNTG_03908.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14692043:14693328:-1 gene:DRNTG_03908 transcript:DRNTG_03908.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPTFLVCVAMKTQIMFRKKKNTLNFLKRGHMGAGDAQVAYGGHSTRSSSSPSLMHGEEDQHRERKSVSARPQGCCATVKVVTGSKRR >DRNTG_00803.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2395583:2396396:1 gene:DRNTG_00803 transcript:DRNTG_00803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETQEEAQEETGWKLVHADVFRPPTNSDLLCVYVGTGVQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLLWVFMGILAGYSSARLYKMFKGTEWKKVTLKTAFMFPGIVFGVFFILNALIWGEKSSGAVPFTTMSALVLLWFGISVPLVFVGSYVGFKKPVIEDPVRTNKIPRQIPEQAWYMNPIFSMLIGGILPFGAVFIELFFILTSIWLNQ >DRNTG_27017.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26928860:26934308:-1 gene:DRNTG_27017 transcript:DRNTG_27017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTQISANNSRYSSQTQSSAGKSDSHVNKQKTSIRGIVAELLSCVNPDKPLVSLGVGDASVYPCFHRGQDAIKILSDSNSSGEFISYAPSFGLPSVRRAVAEYLSWEVRQGIKEEDVYLMVGGTQAIQVCTAVLATGRGANLLIPRPGFPLYEAKCYLSGIEPRFYDLLPEKNWELDPSQLRALADHNTVGIVLISPNNPCGVAYSSSHLLQIAETARDLNIPIIADEVYGHMVFGGGKFVPMASFAHIAPVITIGSLSKRWMVPGWRIGWLAFVDPYGSLKQVKMATETLMNIFCGPPCTIQAAVPSMLSASSEEFNNNVMNILESSANTLFTKIEQIEALNGYSRPQASMFMMVEVKTDLLDGIENDMDFARALMKEESVLVLPGSVLGLKNWVRIFFGVPTELAREAGERIASFCKRRLLVN >DRNTG_13737.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5526526:5527859:-1 gene:DRNTG_13737 transcript:DRNTG_13737.1 gene_biotype:protein_coding transcript_biotype:protein_coding LASGIINQACAFGTIHVYAPKPWPSTCTAQPRCLCCRCSTPHKHSEKECRNRVRRSRESRESTSGKEVLPIGASGEGTRRYR >DRNTG_20985.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13216675:13217277:-1 gene:DRNTG_20985 transcript:DRNTG_20985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVHKRPLECKRDEPGRRRTRDTSKIARLH >DRNTG_16177.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000798.1:10292:11611:1 gene:DRNTG_16177 transcript:DRNTG_16177.1 gene_biotype:protein_coding transcript_biotype:protein_coding GYPRVTKAPPMDTDHSPTEEEAPQLLEQLSTEARNQLARLEMEVAVLGGDMTTTMSLLRILIKYNTSSRTSSTPSVTSPPEEN >DRNTG_19589.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001023.1:31739:32552:-1 gene:DRNTG_19589 transcript:DRNTG_19589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSLNLSTLLILFLTIFSTTPLLACGTCPTRPTRPKTPRHPKNPKPPITHSSGAP >DRNTG_05858.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31499240:31500455:1 gene:DRNTG_05858 transcript:DRNTG_05858.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGNDGMKVEAVAVCHLDTAKWNSKHLAFQVLKVKPGSVPVCHFLPEDHVVWSVSK >DRNTG_05858.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31499126:31500455:1 gene:DRNTG_05858 transcript:DRNTG_05858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGNDGMKVEAVAVCHLDTAKWNSKHLAFQVLKVKPGSVPVCHFLPEDHVVWSVSK >DRNTG_04690.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30444344:30446354:1 gene:DRNTG_04690 transcript:DRNTG_04690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDSGKTLKFQYSWPKTKTVMRKWLNIRTKPDEFHSDYITTTTTTTSNSMVVQQARRKSCSDKEDSISHLHANGWLVETCENLKPPLYGPNPPTLSSSSSSSSLSNENLRMFVGTWNVAGRAPNAGLDLRDWFMSSSSPPPDIYILGFQEIVPLNAGNVLGAENKGPASTWLSLIRQALNNPKKEPVFSPNYGTATEQPRTSFSDLLSLDDDLEWYGDERNIEPCTNLNDASSEEGSSDWCQTGSPCTGRETGYYLAASKQMVGIFLCVWVRSGMMPHVRDLKVSCVGRGIMGYMGNKGSISISMTIRSTAFCFVCTHLASGEKDGDEVRRNSDVSEILKRTRFKPSRRFSKSSMVSPETISEHDKVIWLGDLNYRLTTSCSDTHELLKRNDWQALLEKDQVRYEYERKR >DRNTG_04690.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30445145:30447657:1 gene:DRNTG_04690 transcript:DRNTG_04690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGTWNVAGRAPNAGLDLRDWFMSSSSPPPDIYILGFQEIVPLNAGNVLGAENKGPASTWLSLIRQALNNPKKEPVFSPNYGTATEQPRTSFSDLLSLDDDLEWYGDERNIEPCTNLNDASSEEGSSDWCQTGSPCTGRETGYYLAASKQMVGIFLCVWVRSGMMPHVRDLKVSCVGRGIMGYMGNKGSISISMTIRSTAFCFVCTHLASGEKDGDEVRRNSDVSEILKRTRFKPSRRFSKSSMVSPETISEHDKVIWLGDLNYRLTTSCSDTHELLKRNDWQALLEKDQLRREQDAGRVFNGWEEGKIYFPPTYKYRANSDTYVVSTAKSGEKRRNPAWCDRILWRGDGMKQMWYVRGESRFSDHRPVSSLFSVKLDGGDHVAVGEGVKDGTISYNHLNTTNASGASCGKIQAEEQLLKFTARSQSCLEAPRF >DRNTG_04690.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30444921:30446582:1 gene:DRNTG_04690 transcript:DRNTG_04690.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGTWNVAGRAPNAGLDLRDWFMSSSSPPPDIYILGFQEIVPLNAGNVLGAENKGPASTWLSLIRQALNNPKKEPVFSPNYGTATEQPRTSFSDLLSLDDDLEWYGDERNIEPCTNLNDASSEEGSSDWCQTGSPCTGRETGYYLAASKQMVGIFLCVWVRSGMMPHVRDLKVSCVGRGIMGYMGNKGSISISMTIRSTAFCFVCTHLASGEKDGDEVRRNSDVSEILKRTRFKPSRRFSKSSMVSPETISEHDKVIWLGDLNYRLTTSCSDTHELLKRNDWQALLEKDQLRREQDAGRVFNGWEEGKIYFPPTYKYRANSDTYVVSTAKSGEKRRNPAW >DRNTG_04690.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30444344:30447657:1 gene:DRNTG_04690 transcript:DRNTG_04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDSGKTLKFQYSWPKTKTVMRKWLNIRTKPDEFHSDYITTTTTTTKGSSMVVQQARRKSCSDKEDSISHLHANGWLVETCENLKPPLYGPNPPTLSSSSSSSSLSNENLRMFVGTWNVAGRAPNAGLDLRDWFMSSSSPPPDIYILGFQEIVPLNAGNVLGAENKGPASTWLSLIRQALNNPKKEPVFSPNYGTATEQPRTSFSDLLSLDDDLEWYGDERNIEPCTNLNDASSEEGSSDWCQTGSPCTGRETGYYLAASKQMVGIFLCVWVRSGMMPHVRDLKVSCVGRGIMGYMGNKGSISISMTIRSTAFCFVCTHLASGEKDGDEVRRNSDVSEILKRTRFKPSRRFSKSSMVSPETISEHDKVIWLGDLNYRLTTSCSDTHELLKRNDWQALLEKDQLRREQDAGRVFNGWEEGKIYFPPTYKYRANSDTYVVSTAKSGEKRRNPAWCDRILWRGDGMKQMWYVRGESRFSDHRPVSSLFSVKLDGGDHVAVGEGVKDGTISYNHLNTTNASGASCGKIQAEEQLLKFTARSQSCLEAPRF >DRNTG_04690.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30444921:30445829:1 gene:DRNTG_04690 transcript:DRNTG_04690.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGTWNVAGRAPNAGLDLRDWFMSSSSPPPDIYILGFQEIVPLNAGNVLGAENKGPASTWLSLIRQALNNPKKEPVFSPNYGTATEQPRTSFSDLLSLDDDLEWYGDERNIEPCTNLNDASSEEGSSDWCQTGSPCTGRETGYYLAASKQMVGIFLCVWVRSGMMPHVRDLKVSCVGRGIMGYMGNK >DRNTG_04690.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30447127:30447657:1 gene:DRNTG_04690 transcript:DRNTG_04690.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEHPVGKSRRRSSYLSSPREVRAAWKPLDSDYLNTLFIYQNKKNLFNRGRAEGRERKK >DRNTG_04690.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30445908:30447657:1 gene:DRNTG_04690 transcript:DRNTG_04690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRSTAFCFVCTHLASGEKDGDEVRRNSDVSEILKRTRFKPSRRFSKSSMVSPETISEHDKVIWLGDLNYRLTTSCSDTHELLKRNDWQALLEKDQLRREQDAGRVFNGWEEGKIYFPPTYKYRANSDTYVVSTAKSGEKRRNPAWCDRILWRGDGMKQMWYVRGESRFSDHRPVSSLFSVKLDGGDHVAVGEGVKDGTISYNHLNTTNASGASCGKIQAEEQLLKFTARSQSCLEAPRF >DRNTG_09092.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6186907:6192699:1 gene:DRNTG_09092 transcript:DRNTG_09092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYIEEKEIIYRSKLPNIYIPNHLPLHTYCFENLSQFSSHPCIINGTTEEIYDYSDIDLISRKVAGGLHKLGITKGNVIMLLLQNTPEFAFAFFAASRLGAISTTANPFFTPAEIHKQALASGARLIITESCYVTKLQSLVQEHNFKVIVMDEPVPEGCLSFSSLMCTDENQIPEITIDSDDVVTLPFSSGTTGLPKGAMLTHKALVTSVAMQVEGENPNLYFHSEDVILCVLPLFHVYSMNFVLLCGIRSGATILIMKKFDVVKLMELVQKYKVTIAPFVPPILIEIAKSPVVDNYDLSSIRMVISGAAPMGKELQDKLRSKIPNAKFGQGYGMTEVGPISMCLAFAKEPFEVKPGSCGTVVRNAELKIVDPETRLSLPRNQRGEICIRSDHIMKGYLNDQEATENTIDKEGWLHTGDIGYVDDDDEIFIVDRLKELIKYKGFQVAPAELESMLITLPDIISAAVVPMKDELAGEVPVAFVVRSEGSEISEDQIKQYISKQVVFYKRIHRVFFVESIPKGPSGKIIRKELRTKLAAGIPNGI >DRNTG_18561.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16452173:16458884:-1 gene:DRNTG_18561 transcript:DRNTG_18561.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAHGRGYFPHAHADAFRGNECFPKNGQGRAAPMKVSCGGTRAWARFERLSRLGFGQSRFVDLSVVSEVQGGEELAREIGALMDVGSWRRLLSIREPIIRTLTLEVLASFEFGQSYGRDVIQFRAFVRHFTMSVTEFFSLYGLV >DRNTG_01473.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13464966:13467003:1 gene:DRNTG_01473 transcript:DRNTG_01473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTGVMPDHPSFEDDQEMPPPPVRWKGACEFHQPKGCNKKVIDARSFVTDPTRETPSDQYGHGNYTSSVVAGRLVKDLYEDGQGSASGFAIGIAPLAHIAMYKVCNMDGCDDGNILNGINAAIKDGVDIILISIGSSEDSLYNDPISIGAFRAAQKGILVSKSTGNFGPSLRMIIAPPPWVLIVAASNIDQRIGAIVKLGDGAEFKGKSLTQKEKELIMVPLVYPGSTGDLNANLCTYDNFGVM >DRNTG_29880.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2011810:2013618:-1 gene:DRNTG_29880 transcript:DRNTG_29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding CDLTVIFVLGNEVVSYESPRPIAGIHRLVFVLFRQDVRQTIYAPGWRQNFNTRDFSALYNLGSPVAAMYFNCQRENGCGGRRRVESTSFI >DRNTG_22618.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17833746:17837245:1 gene:DRNTG_22618 transcript:DRNTG_22618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFRSLLQSSVIAAKRALAWNVEDLMPPTEKLVFDFNSKESLKRWHLYSDSEYGGLSSASLEIADSGSGLSGIFSGNLSSDLAEGSTWRMNRSGFCGMRSKKLNDFIDLDAYDTIALKLKGDGRCYISTIYTENWVNAPGQEEDNSWQAFIYVPSNNWQIAKIPLDRYLPTWRGNVIDAQMEMNPSRIVGMSLSVNAEGGVPGAKCGHGDFRLEIDWIKGLRTSDNFIG >DRNTG_33240.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:9758020:9759701:1 gene:DRNTG_33240 transcript:DRNTG_33240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNMATFPPHQQPAGVLSIWPSSEDHEIVSMEVGDQFSDSEYFKDALRNFAIKRNFNFTFIKNDKQRVTVNCAAKGCEWAIDIQCDMLRDHSVYLSYKQAWLGKEVTKEVLHGSDKQLPIWSIKGKWMEKEVWECGKEFCGQNINDIIYNGWLEGGGGGDSEPGGGGSGGGGEAIV >DRNTG_18070.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:216859:220822:-1 gene:DRNTG_18070 transcript:DRNTG_18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSEVHRTATRKELENSQSRMTNYSSDLSSFMPDDEFVELLWENGQLVVQCQSNRPKKSFFTSNASSQTGKAHDKNGNVKMGRFSSMDQVVDELSPVVPSVVGLNSQDDDDNNNINGSWMNYPIEDPLVQSDYCSEFLSEFSGVDLNSVATNAKGNSNVVATTTTERITSFGFGRASQNIQVGNASRGITGGSDPPSRIRKSQLFQLPQQCQSAIPNSKPKEATEYHNHGSTSSHQGSSADGGGVLLKTWSQKQQDTANTKVPQASSGSRGGAGLMNFSHFSRAASLFKANLQGSDRLRSNKKASTAGSSKPLESSLINSNSGFKSISGAIQGKPASALLHDVAQRSSTKNIQDVASVQQAEAHKNHGKVVIASPKPSDQSKGQSTGPSGKQETEKAPEAPVASSVCSGNSVGAASNDPIYGAAKRKCREGEESEYQSDDVEDDSVGLKISDSTGCKSAKRSRAAEVHNLSERRRRDRINERMRALQELIPNCNKVDKASMLDEAIEYLKTLQLQVQIMSMGAGMCMPPMMMPPAMQHLRAAPMYSPMGLGMSMGMGMGYGMGMFDMNGSPSLHGLPGGPASLQMFGIPGKGLPIPTPQLPQYTPYSTLPIRPDTMAERSGVVAGTTSPVSAAPPVVETAPSSSSKEIPHLNVNCEAPHQTKADDSLIPSSSIQALKDQTVVSQMSDQTLHVSGKGSTNSIRKNESGAP >DRNTG_20152.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1598376:1598890:1 gene:DRNTG_20152 transcript:DRNTG_20152.1 gene_biotype:protein_coding transcript_biotype:protein_coding HHFNSFSSIIFACIFNNVKRNSTLLQYKEYKINLKKGKRKLKKIRNDKRNIGIKKRR >DRNTG_07792.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1220124:1222429:-1 gene:DRNTG_07792 transcript:DRNTG_07792.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNWSPGLSLYLDSGFGEHLTSSLKKYIKILLKVNMEGPYGSEWPVEEKIKRREMVAPQARYIFVRSSSPNATLNDKLDNNEEKVISGHWIGDGDPVVAFVHYRFVIEEEVPVLYVFELQLESLVQRKGLGKFLMQLLELIAHKSYGSLPFCMMDKTIIIRNLVSCRIAWEL >DRNTG_07792.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1220124:1222429:-1 gene:DRNTG_07792 transcript:DRNTG_07792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNRLTNSKERILRRKEVLEKKKAIDDIIKAASAVKDQLASFLPFRQYDRHGLSLYLDSGFGEHLTSSLKKYIKILLKVNMEGPYGSEWPVEEKIKRREMVAPQARYIFVRSSSPNATLNDKLDNNEEKVISGHWIGDGDPVVAFVHYRFVIEEEVPVLYVFELQLESLVQRKGLGKFLMQLLELIAHKNRMGAVILTVQKANTSAFDFYINKLRQFIIYLKP >DRNTG_07792.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1220124:1222429:-1 gene:DRNTG_07792 transcript:DRNTG_07792.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNRLTNSKERILRRKEVLEKKKAIDDIIKAASAVKDQLASFLPFRQYDRHGLSLYLDSGFGEHLTSSLKKYIKILLKVNMEGPYGSEWPVEEKIKRREMVAPQARYIFVRSSSPNATLNDKLDNNEEKVISGHWIGDGDPVVAFVHYRFVIEEEVPVLYVFELQLESLVQRKGLGKFLMQLLELIAHKNRMGAVILTVQKV >DRNTG_07792.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1220124:1222429:-1 gene:DRNTG_07792 transcript:DRNTG_07792.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLNWSPGLSLYLDSGFGEHLTSSLKKYIKILLKVNMEGPYGSEWPVEEKIKRREMVAPQARYIFVRSSSPNATLNDKLDNNEEKVISGHWIGDGDPVVAFVHYRFVIEEEVPVLYVFELQLESLVQRKGLGKFLMQLLELIAHKNRMGAVILTVQKANTSAFDFYINKLRYSISSISPSRVNPLIGAEASYEILCKTFDAEAKAKLEE >DRNTG_18615.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22312286:22314666:-1 gene:DRNTG_18615 transcript:DRNTG_18615.1 gene_biotype:protein_coding transcript_biotype:protein_coding EENIKTTKAERKLDKLKRLKKKKTKKSRIEASLIKHLHKPF >DRNTG_04330.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31226493:31228041:-1 gene:DRNTG_04330 transcript:DRNTG_04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPITPLLFLLLHLLSTTSTTTTTTPLPTPSPISSPSTLDPKQLSALHALGLSTTLDPCSNPSPHNNATSCDSSRPFRHLLSLQLSNCSSDLSDLPISALRSLSTLTSLSFSNCPLPSPRLLPSSLSLSLHSFSCSSSLHHLSSLFLSRLHNLSYLSVLNVPISGSGVAIITSHMPHLISLTLSHTNLSSILPHHWHPLHLSHIDLSSNQLKGHIPSSLSLLSSLKSLNLTSNSLHGLLPDSLADLISLRNISLSHNSFSGPIPDSLSSLSSLVHLDLSSNQLNGTLPTFFPAMKNLKYLNLENNNFHGVIPYNASFIKRLQVFKVGGNSNLCYNHSLLSSKLELGIARCDRYGLPVSPPPDKSSDYSGDDEDDGGGSGDRRRSDGGHHSGPSKLVLGVAIALSCLVFSVVFIVCLSKVCGCR >DRNTG_04330.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31226644:31227838:-1 gene:DRNTG_04330 transcript:DRNTG_04330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLISLTLSHTNLSSILPHHWHPLHLSHIDLSSNQLKGHIPSSLSLLSSLKSLNLTSNSLHGLLPDSLADLISLRNISLSHNSFSGPIPDSLSSLSSLVHLDLSSNQLNGTLPTFFPAMKNLKYLNLENNNFHGVIPYNASFIKRLQVFKVGGNSNLCYNHSLLSSKLELGIARCDRYGLPVSPPPDKSSDYSGDDEDDGGGSGDRRRSDGGHHSGPSKLVLGVAIALSCLVFSVVFIVCLSKVCGCR >DRNTG_04330.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31226493:31227838:-1 gene:DRNTG_04330 transcript:DRNTG_04330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLISLTLSHTNLSSILPHHWHPLHLSHIDLSSNQLKGHIPSSLSLLSSLKSLNLTSNSLHGLLPDSLADLISLRNISLSHNSFSGPIPDSLSSLSSLVHLDLSSNQLNGTLPTFFPAMKNLKYLNLENNNFHGVIPYNASFIKRLQVFKVGGNSNLCYNHSLLSSKLELGIARCDRYGLPVSPPPDKSSDYSGDDEDDGGGSGDRRRSDGGHHSGPSKLVLGVAIALSCLVFSVVFIVCLSKVCGCR >DRNTG_31558.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:738589:748146:-1 gene:DRNTG_31558 transcript:DRNTG_31558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPQVHESNKVPNRANRSPGGLCDVIGDEFGIKMAFLRRGDTVAQYYRSLDGASFGGIIIFLGQEMARVWRPRPHHLEPELEEGKKGGNPSISQRGEQELGGSTSRASLLGAAWLPSPPFFQTLPTGRCSFNLIFLALPHHCSCDPISLRSIEEVKKEKEKEKRSFSRSEKSHPNEVVYINKLIEDYEEMAIVCGNDQAMESFARTGSQNYRSLSARMEMPSTPLTLDSDNQPQGLDDWNFTQSQPPPAETPTTSTSKTKEVNKGSKHIRREELEVIQKISTGLDELASATEMDKGVQFE >DRNTG_22478.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2655441:2656773:1 gene:DRNTG_22478 transcript:DRNTG_22478.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKKQGGDVWSSVLQKQKQQYPKHTLHDVAYNEMIWGAALESKHTVSLISPVVTRLRPGAGFSMAGHNSEGKQGQLDTY >DRNTG_22478.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2655441:2656773:1 gene:DRNTG_22478 transcript:DRNTG_22478.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKKQGGDVWSSVLQKQKQQYPKHTLHDVAYNEMIWGAALESKHTVSLISPVVTRLRPGAGFSMAGHNSEGKQGQLDTY >DRNTG_22478.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2655441:2656773:1 gene:DRNTG_22478 transcript:DRNTG_22478.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKKQGGDVWSSVLQKQKQQYPKHTLHDVAYNEMIWGAALESKHTVSLISPVVTRLRPGAGFSMAGHNSEGKQGQLDTY >DRNTG_29207.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3176648:3187177:1 gene:DRNTG_29207 transcript:DRNTG_29207.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MRL1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G34830) UniProtKB/Swiss-Prot;Acc:Q0WLC6] MRAEPKPIDPDHVTVGALMRTCIQAGQVERAHEVYKMLHEYNIKGTPVVYTIAVSSCSQTGDLDFALSVYDDMKKKGVMPDEMFFSTLIDVAGHAGKAEAAFEILQDAKSKGVQIGIMSYSSLMGACCNGKNWQKALELYEDIKAVQLLPTVSTLNALLTSLCDGGQLLKSIEVLDELRDAGVQPNEITYSILIVACEKKDEAELGFMLLSKAKEDRILPNLIICRCLTGLCLRSFKKAYSIGEPVISFDGGRPHIDNEWTSRAIMAYRETIAAGVIPTIEVFSQVLGCLQFPRDSAAKERFFQNLGVSVDAPRSSLYSLLDGFGEYDTRSFSVLEEATSLGVIPRGSFKDGPIVVDARKFQIHIVEVYILTILKGLKHRLAAGAKLPNIIILLPTEKTRIESANEERTINLAGRVGQAVCSLLRRLELPYIGDESYGKIRINGLILRRWFKPKLMDLSYTGRPFGINSLPTRLAKGITEQQRNIRNFNNLSIEHYG >DRNTG_29207.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3176648:3187177:1 gene:DRNTG_29207 transcript:DRNTG_29207.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MRL1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G34830) UniProtKB/Swiss-Prot;Acc:Q0WLC6] MRAEPKPIDPDHVTVGALMRTCIQAGQVERAHEVYKMLHEYNIKGTPVVYTIAVSSCSQTGDLDFALSVYDDMKKKGVMPDEMFFSTLIDVAGHAGKAEAAFEILQDAKSKGVQIGIMSYSSLMGACCNGKNWQKALELYEDIKAVQLLPTVSTLNALLTSLCDGGQLLKSIEVLDELRDAGVQPNEITYSILIVACEKKDEAELGFMLLSKAKEDRILPNLIICRCLTGLCLRSFKKAYSIGEPVISFDGGRPHIDNEWTSRAIMAYRETIAAGVIPTIEVFSQVLGCLQFPRDSAAKERFFQNLGVSVDAPRSSLYSLLDGFGEYDTRSFSVLEEATSLGVIPRGSFKDGPIVVDARKFQIHIVEVYILTILKGLKHRLAAGAKLPNIIILLPTEKTRIESANEERTINLAGRVGQAVCSLLRRLELPYIGDESYGKIRINGLILRRWFKPKLMDLSYTGRPFGINSLPTRLAKGITEQQRNIRNFNNLSIEHYG >DRNTG_29207.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3176648:3187177:1 gene:DRNTG_29207 transcript:DRNTG_29207.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MRL1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G34830) UniProtKB/Swiss-Prot;Acc:Q0WLC6] MKEPSLRIPSIQTDAGLADIPLTKEFGGLSVMPDGFGVIEQEHCLFHIPEIQQGRQQDDMAVSNNPFMKMGNKPGGNITLENGDRGSNADSRLEPTSAVVNNNRPHMQLTSDFTASSARKQPDVSMFKDFTMEFSKFTLQDGNVSHSQLRSSQKRADVLVKASISSADYSEAPVPVACTKEVSMNKAKHVTSTRGFTKDTRKRLTDKSHNKKPGFPHSNGSLVKDALDLPAYLRAYTSLLRESRLRDCLDLLESVDRKSLLDMDKINPVKFLNVCKKQKALKEAFRFVKLIEKPTLSTFNMLLSVCASSQDFEGAFQVMLLVKEAGLKPDCKLYTTLISTCAKCGKVDAMFEVFHEMVNAGVEPNVNTYGALIDGCARAGQVPKAFGAYGIMRSKRVQPDRVIFNALITACGQSGAVDRAFDVLAEMRAEPKPIDPDHVTVGALMRTCIQAGQVERAHEVYKMLHEYNIKGTPVVYTIAVSSCSQTGDLDFALSVYDDMKKKGVMPDEMFFSTLIDVAGHAGKAEAAFEILQDAKSKGVQIGIMSYSSLMGACCNGKNWQKALELYEDIKAVQLLPTVSTLNALLTSLCDGGQLLKSIEVLDELRDAGVQPNEITYSILIVACEKKDEAELGFMLLSKAKEDRILPNLIICRCLTGLCLRSFKKAYSIGEPVISFDGGRPHIDNEWTSRAIMAYRETIAAGVIPTIEVFSQVLGCLQFPRDSAAKERFFQNLGVSVDAPRSSLYSLLDGFGEYDTRSFSVLEEATSLGVIPRGSFKDGPIVVDARKFQIHIVEVYILTILKGLKHRLAAGAKLPNIIILLPTEKTRIESANEERTINLAGRVGQAVCSLLRRLELPYIGDESYGKIRINGLILRRWFKPKLMDLSYTGRPFGINSLPTRLAKGITEQQRNIRNFNNLSIEHYG >DRNTG_32906.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1148054:1149102:-1 gene:DRNTG_32906 transcript:DRNTG_32906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKLGVKKGPWTAEEDKKLINFILNNGQCCWRAVPKLAGLSRCGKSCRLRWTNYLRPDLKRGLFTDSEEQLVIDLHARLGNRWSKIAAKLPGRTDNEIKNHWNTHIKKKLMKMGIDPVTHEPLHEKKDGKEERVEVKLEEEKEKKRLENSSMNDENLMESFLWGSNDNYNSNNVDVIGESMWSFPNNELEDFNSVIGMSPLEETCEWLFDYQGFGVLGDGLGI >DRNTG_14812.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29797172:29797794:1 gene:DRNTG_14812 transcript:DRNTG_14812.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKIGSLSRQGPSQPSKESRRRQCLVVISLSANFIYLSSL >DRNTG_14812.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29796608:29797794:1 gene:DRNTG_14812 transcript:DRNTG_14812.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMPIGRKINLKAYDSYTMLSSAVEELFRELLAGICDSSKEKKAFKGLLDGSGDYTLVYEDNEGDKMLVGDVPWDMFVSTAKRLQLLKTSDLSALSSKSVSRNWIR >DRNTG_14812.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29796949:29797794:1 gene:DRNTG_14812 transcript:DRNTG_14812.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGDVPWDMFVSTAKRLQLLKTSDLSALSSKSVSRNWIR >DRNTG_10736.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000455.1:43101:45182:-1 gene:DRNTG_10736 transcript:DRNTG_10736.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSGLSWGVLAISSSSTNVDAEITTIGQRYDSAQHFKEALYDLVIKRNFDFHFIKNDTQRVTVRCTESSCQWRVHASREGNLPTFRIKTANGTHTYGGGIGTTSHPKASKKWGLVNTVMKVFPSSPHAYCLRHLQANFYKTSSGLGKALKDECWSLIVKVAYAYTSAEFKLMNMMYDRRERCEKWETHLCPEIHKVLEETVEESRSLVVGRSDGATYADPIFPITDDDKPMDTSRVLCIRPSIKKKRPVVAIILDTIGQLVMP >DRNTG_19410.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6169097:6172680:1 gene:DRNTG_19410 transcript:DRNTG_19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLIPFIISSFFACSPYTTPHNPWSSIVHSKRGKNNSPSIWACSNIISTSSAYLLPAAILPPPLPLEISSAHHGNPSRCRSMLWCCKPIALPNSATTDERSVPFSTRDGGIRSMELM >DRNTG_13003.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26649108:26650263:1 gene:DRNTG_13003 transcript:DRNTG_13003.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMPVPLSDEPLLAPNPDRFSMFPITYPKIWEMYKKAEASFWTAEEVDLSQDLGHWNSLTADERHFITHVLAFFAASDGIVLENLAGRFMKEVQVAEARAFYGFQIAIENIHSEMYSLLLETYVKDAVEKSRLFRAIDTVPCVARKADWALRWIDGSESFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLSFSNELISRDEGLHCDFACLLYELLVNKLPEDRVKGIVADAVEIEREFVCDALPCALVGMNCELMSQYIEFVADRLLVSLGCSKLYGATNPFDWMELISLQGKTNFFEKKVGEYQKASVMSNLNGFSDAHVFRLDEDF >DRNTG_13003.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26649021:26650263:1 gene:DRNTG_13003 transcript:DRNTG_13003.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMPVPLSDEPLLAPNPDRFSMFPITYPKIWEMYKKAEASFWTAEEVDLSQDLGHWNSLTADERHFITHVLAFFAASDGIVLENLAGRFMKEVQVAEARAFYGFQIAIENIHSEMYSLLLETYVKDAVEKSRLFRAIDTVPCVARKADWALRWIDGSESFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLSFSNELISRDEGLHCDFACLLYELLVNKLPEDRVKGIVADAVEIEREFVCDALPCALVGMNCELMSQYIEFVADRLLVSLGCSKLYGATNPFDWMELISLQGKTNFFEKKVGEYQKASVMSNLNGFSDAHVFRLDEDF >DRNTG_13003.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26649021:26650323:1 gene:DRNTG_13003 transcript:DRNTG_13003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMPVPLSDEPLLAPNPDRFSMFPITYPKIWEMYKKAEASFWTAEEVDLSQDLGHWNSLTADERHFITHVLAFFAASDGIVLENLAGRFMKEVQVAEARAFYGFQIAIENIHSEMYSLLLETYVKDAVEKSRLFRAIDTVPCVARKADWALRWIDGSESFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLSFSNELISRDEGLHCDFACLLYELLVNKLPEDRVKGIVADAVEIEREFVCDALPCALVGMNCELMSQYIEFVADRLLVSLGCSKLYGATNPFDWMELISLQGKTNFFEKKVGEYQKASVMSNLNGFSDAHVFRLDEDF >DRNTG_11630.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000513.1:57833:58159:-1 gene:DRNTG_11630 transcript:DRNTG_11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNLVVVIITITMVSHACHAYLAKEKVTKLHFFFHDTFSGDHPTTVQVAHPQGTVIKPDNSVNFGAVYVLDDPLTEGPDLNSKVIGHAQGLILRVCRAEEARARRCF >DRNTG_30391.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18383301:18386319:1 gene:DRNTG_30391 transcript:DRNTG_30391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAVERREEPPAGNGKGSGNGAVGVPVGRSSYGDRRLRLNPNTEHKPERYDDLQTDLDPSIFTSLERHLPPSMLEVPRDVKVQFMKEILARYLPDGERSRVQRHKEYKQRIMSGYQVCHLF >DRNTG_20621.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20596260:20599317:1 gene:DRNTG_20621 transcript:DRNTG_20621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDANKTPPVDSTSGTPDQSSISLAVADRVRGTPSMALFLARNPIHRSSAFKCSMAAELDPGESCGRLGLTVCYDLRFPELYQLLRFQHDAHVYILTVNSHIDVCFDCELLVTGEAHWEILLHARAIETQCYVAAAQAGKHNEKRESYGDSLIIDPWGRIIARLTDRLSTGIAIVDIDLSNIDSVRTRMPISEGLLMQHIVMRSVDAIDVN >DRNTG_16688.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16304612:16305813:1 gene:DRNTG_16688 transcript:DRNTG_16688.9 gene_biotype:protein_coding transcript_biotype:protein_coding MYARVAKWDDSAGEEAFHKAKTRYWAEINGLPCDIPLPDPDAYIDVIDYDSVIDPLLVEDLYKLPPSVDSEEDLTCGWDSFLFADRPVPATGWGDEEDQVPAINNKTEHYSTVPSYDGYHENVPFYSGQETNNEGYGNFADRQNSVNNAVNFDYGNRQHDRAGKDNTSAGGKDDSSGNGWNNSCGYSENQNNLPRRVDGKRRDGGGRFNSRHANSRYHARDNQGNNGWRASRGRGRTNYAYKQSTPDPERTDLLVWF >DRNTG_16688.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16303223:16305813:1 gene:DRNTG_16688 transcript:DRNTG_16688.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRPGPTFRPPRSHPKQQQQQQHVPSEAWQPSVPLWEKKFCTSVCLVPWEKICETQRVLPMYARVAKWDDSAGEEAFHKAKTRYWAEINGLPCDIPLPDPDAYIDVIDYDSVIDPLLVEDLYKLPPSVDSEEDLTCGWDSFLFADRPVPATGWGDEEDQVPAINNKTEHYSTVPSYDGYHENVPFYSGQETNNEGYGNFADRQNSVNNAVNFDYGNRQHDRAGKDNTSAGGKDDSSGNGWNNSCGYSENQNNLPRRVDGKRRDGGGRFNSRHANSRYHARDNQGNNGWRASRGRGRTNYAYKQSTPDPERTDLLVWF >DRNTG_16688.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16302997:16305718:1 gene:DRNTG_16688 transcript:DRNTG_16688.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMGGEKRRSWQQGGHMRGRPGPTFRPPRSHPKQQQQQQHVPSAWQPSVPLWEKKFCTSVCLVPWEKICETQRVLPMYARVAKWDDSAGEEAFHKAKTRYWAEINGLPCDIPLPDPDAYIDVIDYDSVIDPLLVEDLYKLPPSVDSEEDLTCGWDSFLFADRPVPATGWGDEEDQVPAINNKTEHYSTVPSYDGYHENVPFYSGQETNNEGYGNFADRQNSVNNAVNFDYGNRQHDRAGKDNTSAGGKDDSSGNGWNNSCGYSENQNNLPRRVDGKRRDGGGRFNSRHANSRYHARDNQGNNGWRASRGRGRTNYAYKQSTPDPERTDLLVWF >DRNTG_16688.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16303084:16305718:1 gene:DRNTG_16688 transcript:DRNTG_16688.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMGGEKRRSWQQGGHMRGRPGPTFRPPRSHPKQQQQQQHVPSEAWQPSVPLWEKKFCTSVCLVPWEKICETQRVLPMYARVAKWDDSAGEEAFHKAKTRYWAEINGLPCDIPLPDPDAYIDVIDYDSVIDPLLVEDLYKLPPSVDSEEDLTCGWDSFLFADRPVPATGWGDEEDQVPAINNKTEHYSTVPSYDGYHENVPFYSGQETNNEGYGNFADRQNSVNNAVNFDYGNRQHDRAGKDNTSAGGKDDSSGNGWNNSCGYSENQNNLPRRVDGKRRDGGGRFNSRHANSRYHARDNQGNNGWRASRGRGRTNYAYKQSTPDPERTDLLVWF >DRNTG_16688.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16303084:16305813:1 gene:DRNTG_16688 transcript:DRNTG_16688.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMGGEKRRSWQQGGHMRGRPGPTFRPPRSHPKQQQQQQHVPSEAWQPSVPLWEKKFCTSVCLVPWEKICETQRVLPMYARVAKWDDSAGEEAFHKAKTRYWAEINGLPCDIPLPDPDAYIDVIDYDSVIDPLLVEDLYKLPPSVDSEEDLTCGWDSFLFADRPVPATGWGDEEDQVPAINNKTEHYSTVPSYDGYHENVPFYSGQETNNEGYGNFADRQNSVNNAVNFDYGNRQHDRAGKDNTSAGGKDDSSGNGWNNSCGYSENQNNLPRRVDGKRRDGGGRFNSRHANSRYHARDNQGNNGWRASRGRGRTNYAYKQSTPDPERTDLLVWF >DRNTG_16688.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16304612:16305718:1 gene:DRNTG_16688 transcript:DRNTG_16688.10 gene_biotype:protein_coding transcript_biotype:protein_coding MYARVAKWDDSAGEEAFHKAKTRYWAEINGLPCDIPLPDPDAYIDVIDYDSVIDPLLVEDLYKLPPSVDSEEDLTCGWDSFLFADRPVPATGWGDEEDQVPAINNKTEHYSTVPSYDGYHENVPFYSGQETNNEGYGNFADRQNSVNNAVNFDYGNRQHDRAGKDNTSAGGKDDSSGNGWNNSCGYSENQNNLPRRVDGKRRDGGGRFNSRHANSRYHARDNQGNNGWRASRGRGRTNYAYKQSTPDPERTDLLVWF >DRNTG_16688.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16302997:16305718:1 gene:DRNTG_16688 transcript:DRNTG_16688.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMGGEKRRSWQQGGHMRGRPGPTFRPPRSHPKQQQQQQHVPSEAWQPSVPLWEKKFCTSVCLVPWEKICETQRVLPMYARVAKWDDSAGEEAFHKAKTRYWAEINGLPCDIPLPDPDAYIDVIDYDSVIDPLLVEDLYKLPPSVDSEEDLTCGWDSFLFADRPVPATGWGDEEDQVPAINNKTEHYSTVPSYDGYHENVPFYSGQETNNEGYGNFADRQNSVNNAVNFDYGNRQHDRAGKDNTSAGGKDDSSGNGWNNSCGYSENQNNLPRRVDGKRRDGGGRFNSRHANSRYHARDNQGNNGWRASRGRGRTNYAYKQSTPDPERTDLLVWF >DRNTG_16688.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16303223:16306051:1 gene:DRNTG_16688 transcript:DRNTG_16688.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRPGPTFRPPRSHPKQQQQQQHVPSEAWQPSVPLWEKKFCTSVCLVPWEKICETQRVLPMYARVAKWDDSAGEEAFHKAKTRYWAEINGLPCDIPLPDPDAYIDVIDYDSVIDPLLVEDLYKLPPSVDSEEDLTCGWDSFLFADRPVPATGWGDEEDQVPAINNKTEHYSTVPSYDGYHENVPFYSGQETNNEGYGNFADRQNSVNNAVNFDYGNRQHDRAGKDNTSAGGKDDSSGNGWNNSCGYSENQNNLPRRVDGKRRDGGGRFNSRHANSRYHARDNQGNNGWRASRGRGRTNYAYKQSTPDPERTDLLVWF >DRNTG_16688.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16302997:16305813:1 gene:DRNTG_16688 transcript:DRNTG_16688.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMGGEKRRSWQQGGHMRGRPGPTFRPPRSHPKQQQQQQHVPSEAWQPSVPLWEKKFCTSVCLVPWEKICETQRVLPMYARVAKWDDSAGEEAFHKAKTRYWAEINGLPCDIPLPDPDAYIDVIDYDSVIDPLLVEDLYKLPPSVDSEEDLTCGWDSFLFADRPVPATGWGDEEDQVPAINNKTEHYSTVPSYDGYHENVPFYSGQETNNEGYGNFADRQNSVNNAVNFDYGNRQHDRAGKDNTSAGGKDDSSGNGWNNSCGYSENQNNLPRRVDGKRRDGGGRFNSRHANSRYHARDNQGNNGWRASRGRGRTNYAYKQSTPDPERTDLLVWF >DRNTG_16688.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16303223:16305718:1 gene:DRNTG_16688 transcript:DRNTG_16688.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRPGPTFRPPRSHPKQQQQQQHVPSEAWQPSVPLWEKKFCTSVCLVPWEKICETQRVLPMYARVAKWDDSAGEEAFHKAKTRYWAEINGLPCDIPLPDPDAYIDVIDYDSVIDPLLVEDLYKLPPSVDSEEDLTCGWDSFLFADRPVPATGWGDEEDQVPAINNKTEHYSTVPSYDGYHENVPFYSGQETNNEGYGNFADRQNSVNNAVNFDYGNRQHDRAGKDNTSAGGKDDSSGNGWNNSCGYSENQNNLPRRVDGKRRDGGGRFNSRHANSRYHARDNQGNNGWRASRGRGRTNYAYKQSTPDPERTDLLVWF >DRNTG_11931.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:949484:956462:1 gene:DRNTG_11931 transcript:DRNTG_11931.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVPWDVLFGKTHNGAVTVAGDAFHPMTPDLAQGGCAALEDSVVLARCLATSVGSVAKDLERYVKERRWRVAGLITGAFVSGWVQQSGSGWSWWLVKLFRDNVFYRFVFPRLVNVVNYDCGVLPEKKEKSS >DRNTG_08519.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28793784:28798678:-1 gene:DRNTG_08519 transcript:DRNTG_08519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAEEKYLLVRSIGEECIQEEELRNLLEKKPNPICYDGFEPSGRMHIAQGVMKAINVNKLTSAGCTVKIWIADWFAQLNSKMGGDLKKIQTVGRYLIEIWKAVGMNLENVEFLWSSEEINSRAHEYWPLVMDIARRNTLPRIVRCSQIMGRSEQDDLTAAQIFYPCMQCADVFFLKADICQLGMDQRKVNVLAREYCDAIKRKNKPIILSHHMLPGLQQGQEKMSKSDPSSSIYTEDEEAEVNVKIKKAYCPPQVVEGNPCLEYIKYIVLPWFGSFEVERQPENGGNKTYASMEELIVDYESGMLHPADVKPALSKALNRILQPVRDHFKNNKEAKELLKAVKGYRVTR >DRNTG_33402.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1510965:1511688:-1 gene:DRNTG_33402 transcript:DRNTG_33402.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEEMVPWEEIWRCRKHPSRLRPGICALCLRDRLLLLCPDCSHVRPCKCAPSASSSSSSFSSFCSVDLLRSGSVSGIGAIGRVSNLIESEPALPRSRSVAIPFTRSRSVAEDKQPVRKGWMSVFWPFWRSAGRAPSEAPPEKLSRSRTVGVGEAASKSKGWHFPSPMKAFRHRKTAKVLQEQRSPLCRG >DRNTG_33402.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1510593:1511688:-1 gene:DRNTG_33402 transcript:DRNTG_33402.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEEMVPWEEIWRCRKHPSRLRPGICALCLRDRLLLLCPDCSHVRPCKCAPSASSSSSSFSSFCSVDLLRSGSVSGIGAIGRVSNLIESEPALPRSRSVAIPFTRSRSVAEDKQPVRKGWMSVFWPFWRSAGRAPSEAPPEKLSRSRTVGVGEAASKSKGWHFPSPMKAFRHRKTAKVLQEQRSPLCRG >DRNTG_33402.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1510593:1511688:-1 gene:DRNTG_33402 transcript:DRNTG_33402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEEMVPWEEIWRCRKHPSRLRPGICALCLRDRLLLLCPDCSHVRPCKCAPSASSSSSSFSSFCSVDLLRSGSVSGIGAIGRVSNLIESEPALPRSRSVAIPFTRSRSVAEDKQPVRKGWMSVFWPFWRSAGRAPSEAPPEKLSRSRTVGVGEAASKSKGWHFPSPMKAFRHRKTAKVLQEQRSPLCRG >DRNTG_20405.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29106341:29107656:-1 gene:DRNTG_20405 transcript:DRNTG_20405.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITTTRNTEIHGCESLPGSELQSRVHQVPRTRAR >DRNTG_19949.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11432603:11437031:1 gene:DRNTG_19949 transcript:DRNTG_19949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAVIPNPIRVLARNLFRPNPPTIALQLGDLKLGPKLNLGTALIVGGPTMSYSYCYMIPVRKRRFVAEFIPNRVAEAPRLQMGLKYVYHTSPHLSVCLSPSKQT >DRNTG_02125.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28681024:28682516:1 gene:DRNTG_02125 transcript:DRNTG_02125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQEETINTISQHQVFPSKPITTTTSLPLTFFDLVYLHAGSVHRLFFFSFPHSTSHFLSSSLPTLLSNLSHSLHLFPPLSSHLSLSSTPLQLISTPTDSTPFTISEFTGDPNKFHHLISDHPKPRSLFKPLLPLLLSTDPNKRPLMALQVTIFPFHGLCLAMAIDHVVGDGASFNHFRKSFATGSLFGPPPVFDRTLIPDPSNKLYSTYLNFYLKYQPQSYLTSPQADEDNYIATFTLRREHIEKLKLKQIHCTTFVVSCAYVWVCMAKANAWPGERTSHFFFAFDCRSRLRPPLSSTYFGNCLLGCFIELKVKELVGEDGVTAAAKAIKAGIEDLEERGVLSDAEGMMEKVRALPKDLTLVVAGSPRFRVYETEFEGWGKPVKVDTVLKSKSGALCISESRDGEGGVEFGLVLSLPLMHQFTSCFDDGLRFI >DRNTG_26960.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30205463:30206230:1 gene:DRNTG_26960 transcript:DRNTG_26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGCMNSVSCMDAQAPVRATYVNLYKWPESDAEFVKSVTKEKKDRGNATHNQVRLSRTDSGRRRLTQSPGVVDSYSCRQMYLRSYTFSKKETVPEKTKKCLAKVKERASLFAKDTSSNASVSSYGSRKSNTNTKKGNNKKKKNKKMKKKKGCVAMVKRIKDASCSAFFSLFRRLLSCTTTVDVIDNRPSQ >DRNTG_09507.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21691075:21692555:-1 gene:DRNTG_09507 transcript:DRNTG_09507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDPLLKDLVEKKLVFRNNVACLTAELKDLRRRLASQEGNFARETQTRKIAEARARSMEDEIGRLHICLGEKDWELQASRSATKQYLQELDDLRSKLFSVQATAGASVVAAKTAMDQCLLLQKELDARDATLREHETRVHELGKRVDLLQKNLEAREFSQIQLKDDFLRLEKEIMHAVASNAAQLDCDLRKVMELVSSNKTETMDALLNAKDGEIARWRDELKFLSENQKLRSMELELQLEKHQVTDQELKKRVLKL >DRNTG_31964.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8590759:8594564:1 gene:DRNTG_31964 transcript:DRNTG_31964.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSLFLFLLFFFFFGFFISISSAFSSSPSLIISKNTISELKNHTGISDFRLINRRFLQDCPDPNPFLAINVSSASGLADEENVTVTVSGVVIPDELDWIAMISPSNIDVSACPLSTIYYKQTGDLSDLPLLCHYPVKGQYLSNDPSYLKCKRKECKESGLDGKCLVHTCSGSISFHVINIRTDIEFVFFTGGFDIPCILKRTQPISFSNPSSPLHAHLSSIESTGTSMRVTWVSGDKHPQNVKYKNGNSAKSKVSTFSNSDMCSGVPESPAKDFGWHDPGFIHSAVMTGLQPSQTYSYKYGSDSVGWSDEIQFTTPPAAGSDELKFLAFGDMGKAPLDPSLEHYIQPGSLSVIKAMA >DRNTG_31964.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8590759:8593648:1 gene:DRNTG_31964 transcript:DRNTG_31964.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSLFLFLLFFFFFGFFISISSAFSSSPSLIISKNTISELKNHTGISDFRLINRRFLQDCPDPNPFLAINVSSASGLADEENVTVTVSGVVIPDELDWIAMISPSNIDVSACPLSTIYYKQTGDLSDLPLLCHYPVKGQYLSNDPSYLKCKRKECKESGLDGKCLVHTCSGSISFHVINIRTDIEFVFFTGGFDIPCILKRTQPISFSNPSSPLHAHLSSIESTGTSMRVTWVSGDKHPQNVKYKNGNSAKSKVSTFSNSDMCS >DRNTG_05428.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:4979031:4979724:1 gene:DRNTG_05428 transcript:DRNTG_05428.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRCQSSRTSPKPWPIPLETLAEALSQVGEKMEKRIPKSGLNRL >DRNTG_10382.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22952863:22955482:-1 gene:DRNTG_10382 transcript:DRNTG_10382.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSEAEEFHGLFLVTTTAGIFILLHLGGQINPREIPKIESLLGHHLLHISFTEHGPSNRGTITASITSIILDIIDSLRVRCGDYGFHRRGGGAETAVLECHRYSIAVLRTSAGTSDLPWLLEEPLQRLHEGLGGAGGGHRRRPNREREREREREVN >DRNTG_20276.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5112556:5113996:1 gene:DRNTG_20276 transcript:DRNTG_20276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEEGGVSSKVLKVDSEEAWDSFITKQHTQGIPVFVHFTAAWCVPSIAMNAFFEELAIKYQNIIMFLLVDVE >DRNTG_20276.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5113604:5113996:1 gene:DRNTG_20276 transcript:DRNTG_20276.2 gene_biotype:protein_coding transcript_biotype:protein_coding LIICGEVFVHFTAAWCVPSIAMNAFFEELAIKYQNIIMFLLVDVE >DRNTG_17186.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:468088:469472:1 gene:DRNTG_17186 transcript:DRNTG_17186.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEIPHSNKFQEKENLRPAFVWLALFVLLISSSWAVYHFQFQSLPTPIDAEQAGEQGFSEASALEHVKFLTSLGPHSVGSDALDVGVQYVLAEANKIQQTVNGKVNVQVDYFERIMAQIASLEDYLMEKHLCIRI >DRNTG_16212.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30847047:30849149:-1 gene:DRNTG_16212 transcript:DRNTG_16212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTLKHVFSYALLLLACRQLVTNYLLPYNNQLQHPVIPLIYPLFIISAVLFFYLKPRQKKINTPPGPFSLPIFGNWLQVGNDLNHRFLAKLALKYGNVFLLKLGARNLVVISDPKLATEVLHTQGVEFGSRPRNVVFDIFTGNGQDMVFTSYGDHWRKMRRIMTLPFFTNKVVQQYKDMWCEEMDLVLKDLRSNKVAMQQGMLIRRRLQLMLYNIMYRMMFDCRFESENDPMFLQATKFNSERSRLAQSFEYNYGDFIPILRPLLRGYLNKCKDLQGRRLAFFNNYFVEKRRKVLSESGSNRNNKKLACAIDHILEAEKNGEISSQNVIYIVENINVAAIETTLWSMEWAIAELVNHPQVQDRVRKEMTEVLQDEEISESNLEKLPYLQAVVKETLRLHSPIPLLVPHMNLEEARLGGCTVPRGSKVVVNAWWLANNPEWWNKPAEFRPERFLEEECGVDATVGGKVDFRFVPFGMGRRSCPGIILALPILGLILGKLVRNFEMITPPGVDKVDVSEKGGQFSLHIAKHSLVAFRPVN >DRNTG_16212.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30847047:30849149:-1 gene:DRNTG_16212 transcript:DRNTG_16212.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTLKHVFSYALLLLACRQLVTNYLLPYNNQLQHPVIPLIYPLFIISAVLFFYLKPRQKKINTPPGPFSLPIFGNWLQVGNDLNHRFLAKLALKYGNVFLLKLGARNLVVISDPKLATEVLHTQGVEFGSRPRNVVFDIFTGNGQDMVFTSYGDHWRKMRRIMTLPFFTNKVVQQYKDMWCEEMDLVLKDLRSNKVAMQQGMLIRRRLQLMLYNIMYRMMFDCRFESENDPMFLQATKFNSERSRLAQSFEYNYGDFIPILRPLLRGYLNKCKDLQGRRLAFFNNYFVEKRRYTIPIPIPIPIPIPIMFVQC >DRNTG_22755.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4120727:4123592:1 gene:DRNTG_22755 transcript:DRNTG_22755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFGSSTTPFLQPPPRPPPASEPYYGIPAPIYDPSPPTYILLPVFPRRRRRPCRWCGSLLSSSCLLSLAFVSVLLAGSLFFLWPSDPEISVARLRLNRIHVFPQPIVRLDISIGLEIKVRNRDFFSLDYDSVVASIGYRGRRLGSVISKGGHLVARGVSYVDAELHLDGIRVLNDVFYLIEDLARGSIPLDTVTEIEGQLHLFSLDVPIQGRISCAVHVNPENQTIIHQGCYPE >DRNTG_06030.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32713364:32716736:-1 gene:DRNTG_06030 transcript:DRNTG_06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEHLSDRKTLMSVRELAKGITYTEPIPTGWKPPLAIRRMPPFKSDALRKQYHILVEGDDVPPPIKNFRDMRFPEPILKMLKLKGIVQPTPIQVQGLPAILSGRDMIGIAFTGSGKTLVFVLPLIMVAVQEEVMMPIRPGEGPFGLIVCPSRELARQTFEVVEQFLKPLVEEGGYPEVRAMLCIGGVDMKTQLDVVKQGVHIVVATPGRLKDLLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREIFDHFKDQRQTLLFSATMPKKIQNFARSALVKPVTVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTPPPVLIFCENKADVDDIHEYLLLKGVEAVAIHGGKDQEEREDAIKFFKSGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLGELNDPMEDGDAITDVSGVKGCAYCGGLGHRIRDCPKLEHQKTMAIAGSRRDYFGSGGYRGEM >DRNTG_20582.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3296342:3299023:1 gene:DRNTG_20582 transcript:DRNTG_20582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRPLVTVQALEGDMTTDASSSIPLPDVLKAPIRPDVVRFVHAGLSSNHRQPYAVSKRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRRVNITQRRLAVASALAASTIPSLVAARGHRIESVPELPLVISDSSESIEKTTSALKILKLVGASPDAEKAKQSNSIRSGKGKMRNRHYVSRKGPLVVYGTEGSKIVKAFRNIPGVDVANVERLNLLKLAPGGHLGRFIIWTKSAFEKLDSVFGMLDKPSEKKKGWVLPQPKMANADLGRIINSDEVQSVVRPIDKTVKRPSLKKNPLKNLNAMLKLNPYAKTARRMALLAEAQRVKVKKEKLDKKRTQLSKEDAAAIKVAGKAWYKTMVSDSDYTEFDNFTKWLGVTSN >DRNTG_18775.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1392044:1394317:-1 gene:DRNTG_18775 transcript:DRNTG_18775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGSSSAELEEEFYGAASGWVEARSSCDHLSSLSSDLSHIPPPDSPCSRCHHPAENWVCLSCKDVLCSRFINKHMVGHHQETGHCLALSFSDLSVWCFACEAYLDVQKIWQLRDVYETAHLLKFGQRPPFITIEFEQLNNTQNEGSSPGS >DRNTG_25430.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24685890:24687103:1 gene:DRNTG_25430 transcript:DRNTG_25430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAATVVIQHGDGKVERVYWSISAGDVMAANPGHYVALIITSNSNNNGDSSSSRSNNNSTVKHLKLLRPEDTLHIGHVYRLVSFEEVMKEFASKKHARLSKLLISLNKEKSSRKDGRRRRAAGAGAGSGDGIVTVRVKLCLLILIIW >DRNTG_25430.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24685890:24687103:1 gene:DRNTG_25430 transcript:DRNTG_25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAATVVIQHGDGKVERVYWSISAGDVMAANPGHYVALIITSNSNNNGDSSSSRSNNNSTVKHLKLLRPEDTLHIGHVYRLVSFEEVMKEFASKKHARLSKLLISLNKEKSSRKDGRRRRAAGAGAGSGDGIVTDVGDARAHDETEAEAEAEAQAQSSSENANDGRPLLRHSQWRPALQSIAENSGI >DRNTG_24075.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26431009:26432805:-1 gene:DRNTG_24075 transcript:DRNTG_24075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILLGNPSGALILDPLNAPKNVTENVPGDVSMKAKEETKTPVPLEIPQHKEEGGGGDEEEVALATNNVANYDGMVAQDMLMDAKEEEVQISQVEEGIQREKSMLRRFRGEASYQVQHQEDSQKKKLSRAQDTILKTMIKVMEECNVQGFVYGIVTENDKAASACSDSLRKWWKERVRFDRNAPLAIDKYKETIGAMDDQVDGHGRGDQSLREYYLDQLQDATLGALISALIQHCYPPQRKFPLDNGVAPPWWPTGKEAWWPPKNLPENMELPPYKKPHDLKKMYKVAVLTAVIKHLMPDIEKIKTLVWQSKCLQDKMSAKENNIWHEIIKEELKLYLQQNPDAVIPPPPETSIDPLAQEQYDVSEDEDDDGGHLRAGRQGGGKLRRWLPREACGARFAYTCENQQCPHHDPNNGFITLNARNNHQLVCIHGGVTFAGIPITSFMSNMGFNSSRHEVLLEVIPPLHHHLQMGSSVTRTRVEVGSSSNAIQEEEEFGQPLISNSGRRACLFEEDLLNRHGRSANYDFCPQSHDIHQSHTVDMKHENESKLLDFIGGSGLSLGLATVGSSSTTAPLLPVQTEEQHQQHLQHQEQKQEPV >DRNTG_16829.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18036697:18038857:-1 gene:DRNTG_16829 transcript:DRNTG_16829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNLFDQGYLDEQFYELENLEDDVSPNFVEEVVNTYLKHSSRLMANIEHALEKNPGDFHKLDSYMQQFKGSSSSIGAAKLKDECLKFQEKCEQKNIEGCMRSFQKVKSEHGILKQKLEAYFQLLRQVGPSERATSSN >DRNTG_21661.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:11172558:11172959:1 gene:DRNTG_21661 transcript:DRNTG_21661.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRPPKKRSKEPLEEKSSITTKLSRKGRVFKCGVCYVEDHNKRCCPNKNDVVSSYLIKNS >DRNTG_10521.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30992943:30996556:1 gene:DRNTG_10521 transcript:DRNTG_10521.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKEHLKRLAGIDLQILSAQITESTDIAELVNAEPWLSSNKLVVKPDMLFGKRGKSGLVALNLDMAQVAQFVKERLGVEVEMGGCKAPITTFIVEPFVPHEQEYYLSILSERLGCTISFSECGGIEIEENWDKVKTIFLPTEKPLTSEACAPLIATLPLEVREKIGDFIRGVFAVFQDLDFSFLEMNPFTLVNGEPYPLDMRGELDDTAAFKNFKKWGSVEFPLPFGRVMTPTEAFIHGLDEKTTASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPKEEEVLQYARVVLDCATADPDGRKRALLIGGGIANFTDVAATFNGIIRALREKAAKLKASRMYIYVRRGGPNYQTGLAKMRALGQELGVPLEVYGPEATMTGICKEAIDCIMSAA >DRNTG_13314.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23019755:23022731:-1 gene:DRNTG_13314 transcript:DRNTG_13314.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKFHCQDNLAMLQSCQCSIGSCLLLRLT >DRNTG_11012.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29355641:29356106:-1 gene:DRNTG_11012 transcript:DRNTG_11012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGADQLSNVDDQNVFFNWYFIVFYMAGVIGNTVIIYIEDSISWELGYGICSAVNALAVLFMLLGVKYYRRTGTKENPFTAIVRVIVAGIRKRKLALPAETETVTYYHRPSEKADQ >DRNTG_23677.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10283666:10291081:-1 gene:DRNTG_23677 transcript:DRNTG_23677.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative potassium transporter 12 [Source:Projected from Arabidopsis thaliana (AT1G60160) UniProtKB/Swiss-Prot;Acc:O80739] MLAGGTFALYSLICRYAKVSLLPNQQQADEDISSFRLKIPTPELERALNIKDKLEKNSLWKTLLLLLVLTGTSMIIGDGILTPSMSVMSAVSGLQGAVPGFNTDAVVLVSILILICLFSIQRFGTGKVGFLFAPALGLWFFSLGSIGIYNMLKYDLSVLRAFNPAYIFQFFKRNGVKAWSALGGVVLCITGAEAMFADLGHFSVISIQIAFTCVVFPCLLLAYMGQAAYLMKSPSSVERIFYDSVPDIFFWPVFVIATLAAMIASQAMISATFSCIKQSMALGCFPRLKIVHTSKRFMGQIYIPVVNWFLMIMCIAVVASFRSTTDIANAYGIAEVGVMLVSTTLVTVVMLLIWQTNLFLALCFPVIFGTIELIYLSAVLTKIMEGGWLPLVFASCFLCVMYTWNYGSVLKYKSEMREKISMDFILELGSSLGTVRVPGIGLVYNELVQGIPSLFGQFLINLPAIHSTIVFVCIKYVPVPKVPQEERFLFRRVCQKDFHMFRCIARYGYKDVRKEDPHIFEQRLVDSLEKYLRREAQELALEVSSVELSLDNMSASSSDRIVQHGISELQVPLLSDQRSEVASSSISVPDCHLSTLPSSAVPSDEDPSLEYELSALREAMESGFTYLLAHADVRARKESVFLKKLVINYFYAFLRRNCRASFSVPHMNIIEVGMTYMV >DRNTG_23677.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10283666:10291081:-1 gene:DRNTG_23677 transcript:DRNTG_23677.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative potassium transporter 12 [Source:Projected from Arabidopsis thaliana (AT1G60160) UniProtKB/Swiss-Prot;Acc:O80739] MVFLLHQCLVCWFLHNSPSTTIYLCYQIRTPLLYLVIPPSPLPIKLFFNFLAVMSAVSGLQGAVPGFNTDAVVLVSILILICLFSIQRFGTGKVGFLFAPALGLWFFSLGSIGIYNMLKYDLSVLRAFNPAYIFQFFKRNGVKAWSALGGVVLCITGAEAMFADLGHFSVISIQIAFTCVVFPCLLLAYMGQAAYLMKSPSSVERIFYDSVPDIFFWPVFVIATLAAMIASQAMISATFSCIKQSMALGCFPRLKIVHTSKRFMGQIYIPVVNWFLMIMCIAVVASFRSTTDIANAYGIAEVGVMLVSTTLVTVVMLLIWQTNLFLALCFPVIFGTIELIYLSAVLTKIMEGGWLPLVFASCFLCVMYTWNYGSVLKYKSEMREKISMDFILELGSSLGTVRVPGIGLVYNELVQGIPSLFGQFLINLPAIHSTIVFVCIKYVPVPKVPQEERFLFRRVCQKDFHMFRCIARYGYKDVRKEDPHIFEQRLVDSLEKYLRREAQELALEVSSVELSLDNMSASSSDRIVQHGISELQVPLLSDQRSEVASSSISVPDCHLSTLPSSAVPSDEDPSLEYELSALREAMESGFTYLLAHADVRARKESVFLKKLVINYFYAFLRRNCRASFSVPHMNIIEVGMTYMV >DRNTG_23677.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10283666:10294200:-1 gene:DRNTG_23677 transcript:DRNTG_23677.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative potassium transporter 12 [Source:Projected from Arabidopsis thaliana (AT1G60160) UniProtKB/Swiss-Prot;Acc:O80739] MHEGSPSGGSFRRRLSKKPRRVDSLDVEAMGIAASHKHGPKDLPLWGVFAMAFQTLGVVYGDMGTSPLYVFSDVFAKVPIKSGDDVLGALSLVMYTIALIPFAKYVFIVLKANDNGEGGTFALYSLICRYAKVSLLPNQQQADEDISSFRLKIPTPELERALNIKDKLEKNSLWKTLLLLLVLTGTSMIIGDGILTPSMSVMSAVSGLQGAVPGFNTDAVVLVSILILICLFSIQRFGTGKVGFLFAPALGLWFFSLGSIGIYNMLKYDLSVLRAFNPAYIFQFFKRNGVKAWSALGGVVLCITGAEAMFADLGHFSVISIQIAFTCVVFPCLLLAYMGQAAYLMKSPSSVERIFYDSVPDIFFWPVFVIATLAAMIASQAMISATFSCIKQSMALGCFPRLKIVHTSKRFMGQIYIPVVNWFLMIMCIAVVASFRSTTDIANAYGIAEVGVMLVSTTLVTVVMLLIWQTNLFLALCFPVIFGTIELIYLSAVLTKIMEGGWLPLVFASCFLCVMYTWNYGSVLKYKSEMREKISMDFILELGSSLGTVRVPGIGLVYNELVQGIPSLFGQFLINLPAIHSTIVFVCIKYVPVPKVPQEERFLFRRVCQKDFHMFRCIARYGYKDVRKEDPHIFEQRLVDSLEKYLRREAQELALEVSSVELSLDNMSASSSDRIVQHGISELQVPLLSDQRSEVASSSISVPDCHLSTLPSSAVPSDEDPSLEYELSALREAMESGFTYLLAHADVRARKESVFLKKLVINYFYAFLRRNCRASFSVPHMNIIEVGMTYMV >DRNTG_23677.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10283666:10294200:-1 gene:DRNTG_23677 transcript:DRNTG_23677.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative potassium transporter 12 [Source:Projected from Arabidopsis thaliana (AT1G60160) UniProtKB/Swiss-Prot;Acc:O80739] MVFLLHQCLVCWFLHNSPSTTIYLCYQIRTPLLYLVIPPSPLPIKLFFNFLAVMSAVSGLQGAVPGFNTDAVVLVSILILICLFSIQRFGTGKVGFLFAPALGLWFFSLGSIGIYNMLKYDLSVLRAFNPAYIFQFFKRNGVKAWSALGGVVLCITGAEAMFADLGHFSVISIQIAFTCVVFPCLLLAYMGQAAYLMKSPSSVERIFYDSVPDIFFWPVFVIATLAAMIASQAMISATFSCIKQSMALGCFPRLKIVHTSKRFMGQIYIPVVNWFLMIMCIAVVASFRSTTDIANAYGIAEVGVMLVSTTLVTVVMLLIWQTNLFLALCFPVIFGTIELIYLSAVLTKIMEGGWLPLVFASCFLCVMYTWNYGSVLKYKSEMREKISMDFILELGSSLGTVRVPGIGLVYNELVQGIPSLFGQFLINLPAIHSTIVFVCIKYVPVPKVPQEERFLFRRVCQKDFHMFRCIARYGYKDVRKEDPHIFEQRLVDSLEKYLRREAQELALEVSSVELSLDNMSASSSDRIVQHGISELQVPLLSDQRSEVASSSISVPDCHLSTLPSSAVPSDEDPSLEYELSALREAMESGFTYLLAHADVRARKESVFLKKLVINYFYAFLRRNCRASFSVPHMNIIEVGMTYMV >DRNTG_19246.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:267649:273039:-1 gene:DRNTG_19246 transcript:DRNTG_19246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPQTPGLLMVVILRKLPLSSQPLLGSSGKLGCCSQPMDDSTRDDLFALSVTLCATADKHYTINHICASEEFRAKPEYLTERTYARKDAHKDHPEELTIKAYAHKGSRKGQYSEVYGSALISLTARAMSSIRVYALLFISFFLSGLMQISHAQETTPYWRVEGKTMDQGIAYVLMLVALLVTYLVH >DRNTG_15534.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2987070:2990395:1 gene:DRNTG_15534 transcript:DRNTG_15534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVVPNGHFKKHWQNYVKTWFNQPARKTRRRTARQKKAVKIFPRPTAGPLRPIVQCQTLKYNMKPRAGRGFTLEELKAAGIPKKLAPTIGIAVDHRGRTASWRVFNPMSRG >DRNTG_15534.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2987070:2990395:1 gene:DRNTG_15534 transcript:DRNTG_15534.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVVPNGHFKKHWQNYVKTWFNQPARKTRRRTARQKKAVKIFPRPTAGPLRPIVQCQTLKYNMKPRAGRGFTLEELKAAGIPKKLAPTIGIAVDHRGRTASWRVFNPMSRG >DRNTG_15725.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4299843:4304328:1 gene:DRNTG_15725 transcript:DRNTG_15725.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUR10 [Source:Projected from Arabidopsis thaliana (AT5G17420) UniProtKB/TrEMBL;Acc:A0A384LP57] MEASAGLVAGSHNRNELVLIRGHEEPKPIRALNGQVCEICGDEVGMTVDGDLFVACDECGFPVCRPCYEYERREGTQMCPQCKTRYKRLKGSPRVEGDEDEEDIDDIEHEFKIEDEEQNKREHHQQQQLQNRHITEAMLYGKMSYGRGPENGDSSPPQFPPIITARSRPVSGELPLMSNGHNYGDFSSTLHKRVHPYPVSEPEVGSARWDEKKEMGWRDRMDEWKSKQGLLGGDPDDMDPDMPIMDEARQPLSRKVPIASSKINPYRMIIIIRLVVLGFFLRYRILNPVPDAIGLWLTSIICEIWFAVSWILDQFPKWFPIDRETYLDRLSLRYEREGEPSMLAPVDLFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGASMLTFEALAETSEFARKWVPFCKKFSIEPRAPEMYFSLKVDYLKDKVQPTFVKERRAMKREYEEFKVRINALVAKATKVPPEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGHDSEGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNAPFMLNLDCDHYINNSKAIREAMCFLMDPQVGKKVCYVQFPQRFDGIDKNDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYNPPKGPKRPKMVTCDCCPCFGSRKKHTKHGDGQFTAEPAMEDDDKEMLMSQMNFEKRFGQSAAFVTSTLMEEGGVPPSSSPAALLKEAIHVISCGYEDKTEWGLELGWIYGSITEDILTGFKMHCRGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEIFFSVDTVQCGMVTKKAISNGLRGSPMSTPPSTLLPHFLSLPTAPSLPCVCSPENLSCQRLVHLQVCSSLASLSQYLPPEF >DRNTG_21747.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13461403:13463863:-1 gene:DRNTG_21747 transcript:DRNTG_21747.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLSSFFFFCSCFLFNGSLAQIKIPAKFDGFAYKDVDLKMEKSIMVEAFFDPLCPDSRDAWHPLKQALDHYSQVYLTVHPFPLPYHNNAFAACQLLHIANKMNASSTYPLLDLFFKFQDNYYNGPTYNMSKSSIINNMANLAVTTIGKDKLPEVLSAFKDDDINTATRISFKYGCSRGVTGTPFFFVNGFALQDSGATLNYTDWRNIIDPLVEKQ >DRNTG_02437.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000115.1:140281:141088:1 gene:DRNTG_02437 transcript:DRNTG_02437.1 gene_biotype:protein_coding transcript_biotype:protein_coding YRCYSNHATVLSSAWERFSSKPHRYKHGRASARASPARPCYGH >DRNTG_14428.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000680.1:37533:37903:1 gene:DRNTG_14428 transcript:DRNTG_14428.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGEVSFFSRRTGSEALCRGEGTGKETVLQKDIGVPNASPRPMRCT >DRNTG_14558.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17625448:17627287:-1 gene:DRNTG_14558 transcript:DRNTG_14558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLYLMLISNPILISSSKQSLILRYQPSTDEIDSLYLLLIPSAMQGNPEVGLVQARWSYVNKDENLLTRLQNINLCFHFEIEQQVNGIFLNFFSFNGTAGVWRIKALEDSGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLK >DRNTG_00570.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30065469:30070812:1 gene:DRNTG_00570 transcript:DRNTG_00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELLYGTKLHHLLQMALLHSESEKSQLAFVTFKDSQGADTAMLLSGATIADLPVTITQVMDYRLPPGYYKQPIIERELPPAGSAVRKAENVVSSMLAKGFSLGKDALKRAKSFDEKHHLVHNASVTVASINQKIGLSEKIGIGTSMVSEKVREVDEHFQVSEITRSAYAAAEETVSNASSVLMSNQYVSTGASWVSNVFGMVAKAAGDVSMMTKEKVDKAEIEKEIIPY >DRNTG_05018.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5610099:5611232:-1 gene:DRNTG_05018 transcript:DRNTG_05018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTIRPPYGRMPNTKRLASKRRRTTGPSSTPDEPVIKMPHHQERYDRLKTKPFGTLCYLDWGLVENLGIANQVVNALKSKKEAKIGYKDTMLGVLVQFKDQDTRGVYKHGDIARPLKDMSMKKSFITYHMDVCPDMWPQERSVRIAFCQKKVARILEEVFGDIGGVSITNFDRSSLGHSIEGIFGDLASEKDSSRR >DRNTG_29262.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20988979:20990917:1 gene:DRNTG_29262 transcript:DRNTG_29262.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQNIHTALTGVELHHRIKVSSTHSLSILSTSTPPSTGQFRKGYDTQVIKPMLSFLRATNSTFMVNAYPFFGCNADTLDYALFRGNTRVFDENTGLVYTNMLDGQLDAVYSAMKLLGFTDIEIVISETGWPSVGDESEAGVDIESARDYNAMLLQHVTSGVGTPLMPNRTFETYIFSLFNEDLKPGPISERNFGLFHPDMTPVYNIGILRSEGELPMPVRSTVPPEVPDQGQMKQWCIPKLNADIKALQENIDFVCSQGLDCNPILPGGICFSPDITRAHAAYAMNEYFQAFGRNSYNCDFGQTGEITTTDPSYGSCKFN >DRNTG_29262.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20988979:20991031:1 gene:DRNTG_29262 transcript:DRNTG_29262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNIHTALTGVELHHRIKVSSTHSLSILSTSTPPSTGQFRKGYDTQVIKPMLSFLRATNSTFMVNAYPFFGCNADTLDYALFRGNTRVFDENTGLVYTNMLDGQLDAVYSAMKLLGFTDIEIVISETGWPSVGDESEAGVDIESARDYNAMLLQHVTSGVGTPLMPNRTFETYIFSLFNEDLKPGPISERNFGLFHPDMTPVYNIGILRSEGELPMPVRSTVPPEVPDQGQMKQWCIPKLNADIKALQENIDFVCSQGLDCNPILPGGICFSPDITRAHAAYAMNEYFQAFGRNSYNCDFGQTGEITTTDPSNFAVLCLMIYFTIDSCLIEIVGISLQVMEAANSINREALP >DRNTG_29262.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20988979:20990917:1 gene:DRNTG_29262 transcript:DRNTG_29262.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTISAFTFLFAFFFIQVHGAAIGVNYGRLANNLPPPPQVARFLAQTTTITGVKLFDADPFVLQAFASTNLSINLAIPNELIPKLTNLSFAQHWVRVTILPHIPVTNITRILVGNEVLSTANKSLISSLVPAMQNIHTALTGVELHHRIKVSSTHSLSILSTSTPPSTGQFRKGYDTQVIKPMLSFLRATNSTFMVNAYPFFGCNADTLDYALFRGNTRVFDENTGLVYTNMLDGQLDAVYSAMKLLGFTDIEIVISETGWPSVGDESEAGVDIESARDYNAMLLQHVTSGVGTPLMPNRTFETYIFSLFNEDLKPGPISERNFGLFHPDMTPVYNIGILRSEGELPMPVRSTVPPEVPDQGQMKQWCIPKLNADIKALQENIDFVCSQGLDCNPILPGGICFSPDITRAHAAYAMNEYFQAFGRNSYNCDFGQTGEITTTDPSYGSCKFN >DRNTG_29262.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20988979:20990917:1 gene:DRNTG_29262 transcript:DRNTG_29262.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTISAFTFLFAFFFIQAVHGAAIGVNYGRLANNLPPPPQVARFLAQTTTITGVKLFDADPFVLQAFASTNLSINLAIPNELIPKLTNLSFAQHWVRVTILPHIPVTNITRILVGNEVLSTANKSLISSLVPAMQNIHTALTGVELHHRIKVSSTHSLSILSTSTPPSTGQFRKGYDTQVIKPMLSFLRATNSTFMVNAYPFFGCNADTLDYALFRGNTRVFDENTGLVYTNMLDGQLDAVYSAMKLLGFTDIEIVISETGWPSVGDESEAGVDIESARDYNAMLLQHVTSGVGTPLMPNRTFETYIFSLFNEDLKPGPISERNFGLFHPDMTPVYNIGILRSEGELPMPVRSTVPPEVPDQGQMKQWCIPKLNADIKALQENIDFVCSQGLDCNPILPGGICFSPDITRAHAAYAMNEYFQAFGRNSYNCDFGQTGEITTTDPSYGSCKFN >DRNTG_15232.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23371915:23375460:1 gene:DRNTG_15232 transcript:DRNTG_15232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAQPDLSLQISPPSTKPSSNWSRRDHPHEDLPFGFWKTTTTTSSTTLLLHDSNPNTTSIFDLTLSNNTPPPPPPPPPHPVPTLPSLPSLPSSMSNTTIHPSSYYSEPFHHSDLSSMRPIRGIPLYQHPSSFPFLHHHHHHHQLQQQQQQQQQQQQQQQQYHLCDSSSSTARPRYISRFPAKRSVRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDRPPASSGQSDGFENGSAGEVSDDNLVELHQNTRPELSVQQGRSNAPNGTISGGLWSNSSSRGAWLIDKQVVTLPSFEKDAESKSYELISELNTSCLSETSSKKLNLEFTLGTPH >DRNTG_30799.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6102936:6103435:-1 gene:DRNTG_30799 transcript:DRNTG_30799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAHWEEGVTSAGAMVERVSECCQCSTRSPCAVTTPCQIVTDDEIDISKWPSEEDGGFGIARIIGRRSTQPPPSVL >DRNTG_07740.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2630433:2632519:-1 gene:DRNTG_07740 transcript:DRNTG_07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWFSGSKTCIWTSKFRFKRCSWPNLLNFIPFLTTEHPHSSLTRRRLLYFPLQPLLLFRFINHHKDLRKERNGDGGEDHRHSSGVEPGRRLRHLN >DRNTG_14912.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20479001:20482017:1 gene:DRNTG_14912 transcript:DRNTG_14912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPNHHHHHHHHHHRARISMLIIGDPEARRRLLQAILLLAAVALPCTLLYLSTTPSIRWSLLSNPWRPIPSSSIKDLRGVLSSAAMADNKTVILTTVNSAWISPGSVLDLFMESFKLGNETSELLDHLVVVAMDKKGYVRCMEVHKHCFALTTEGVDFSEQKNFMSGDYLKMMWRRLEFLGTILDFGFDFIFSDTDIMWFRNPLPHFYEDGDFQIACDHFVGNPKALNNRPNGGFMYVKSNNKTISFFKYWFKSKERYPKDNEQDVFNLIKKNAFTRGLGMKMRFLDTAYFGGFCEPSRDFNKVCTMHANCCIGLRRKIHDLGLMLNDWRRYMSMNPKERQSRKMSWSVPEEL >DRNTG_34066.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002082.1:29756:37233:-1 gene:DRNTG_34066 transcript:DRNTG_34066.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFTMNLLFYFAVSAEKLQALLLAESVMSVLGEHWLLEQSKLYDVHDTLPVDKNLLLVLESARVEVAVILNELAYLKYEASDSSTASAEVMRLKRQNLAISYSLIEKIIKLISNVSDSEGLPIKESTITKAINGLNETINLVLDFLQDSKDHGQRRGDDLLASVRLVGSYLAETPFACKEKAQGLLEFMFSVEGEDESSPFHSICFLLPMLCQITMEIDGCKVLASFGGHTTVIECLVKMILQHGASVEDTDLALLACETIINFLIKRKDLAIKLGGSQLVHLLRALALWTEGWNDPSIVMMAAGICTLAFDSTSEGFLLKYPDFDSNILESLAQLIARSLKQEELSNPTIGQLDLHQIIQDGLKFLFYLFYYII >DRNTG_34066.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002082.1:29756:46857:-1 gene:DRNTG_34066 transcript:DRNTG_34066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSGPALSDCLKLLRGERDEQRLAGLLLATKFCNGEDKDSVLKVYGAVGDRFLDRLLMTGMGKGEAGAKVVEDREAYLKLAITVLAAFCRVPEIASSKEMVSKVPVVVEIISKLQDPLILEECYEFLLLVAGASENGIIIFYKTGVLEMLAPHIAGLNDGSRALELVMKLLQIVLNKLPGGSLDSECISGLSSLVVSIARQFGVLHNALKFDALHLLTFLLSSKSTLLHGALVSIPGWSAYIRAGILEILQNRVVSAEKLQALLLAESVMSVLGEHWLLEQSKLYDVHDTLPVDKNLLLVLESARVEVAVILNELAYLKYEASDSSTASAEVMRLKRQNLAISYSLIEKIIKLISNVSDSEGLPIKESTITKAINGLNETINLVLDFLQDSKDHGQRRGDDLLASVRLVGSYLAETPFACKEKAQGLLEFMFSVEGEDESSPFHSICFLLPMLCQITMEIDGCKVLASFGGHTTVIECLVKMILQHGASVEDTDLALLACETIINFLIKRKDLAIKLGGSQLVHLLRALALWTEGWNDPSIVMMAAGICTLAFDSTSEGFLLKYPDFDSNILESLAQLIARSLKQEELSNPTIGQLDLHQIIQDGYSQWVDQFPHVRNVVEQALST >DRNTG_13203.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2508953:2510287:-1 gene:DRNTG_13203 transcript:DRNTG_13203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTMAAKSRSKTISLLALFIGILCLYFPVTTTAVVAESGAAVDLLYLTLVWPGTLCRTGKCCMPTKGKPAIDFLIEDLKTMDQYGQIIQNSKPKCSFSINQMSSLIPDLYSNWCNLSCPCNDGFTNWKKTWCNYGRCSELNQTSYIMTALNLTASANLLEVFQVNGIVPSSSDSYKLGDIYIALMANLGLSTQVECVTITKGASNSTLLSKINFCVSADGQNFINCPFDIKQTCDRELWFYPFTRKQLKKCRKDYVSSGGLIKMATEKHLAM >DRNTG_17474.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6892451:6893467:-1 gene:DRNTG_17474 transcript:DRNTG_17474.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFAFHHCHPTLPEMKSTKTKEIRARKP >DRNTG_17474.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6892312:6893467:-1 gene:DRNTG_17474 transcript:DRNTG_17474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFAFHHCHPTLPEMKSTKTKEIRARKP >DRNTG_25346.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24103782:24105280:1 gene:DRNTG_25346 transcript:DRNTG_25346.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGAITARYLKVFANPAWFYLHVACQCSAYIVGVAGWGTGLKLGSESSGVTYHSHRNIGIILFCLATVQVFALLLRPNKDHKYRIYWNAYHYLVGYAVIVLSIINIFKGFDILDPAKGWKNAYIAIIATLGGIALVLEAVTWVVVLKRKDRISTDKSSLNGANAIHSNGNMQSQNHQGV >DRNTG_12313.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29598450:29600421:-1 gene:DRNTG_12313 transcript:DRNTG_12313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKKVFIVILVITLMKEHHHQVEAVGGGFIRTRGLHFVLNGNPFFANGFNAYWLMSFASDPAQRSKVSSAFQQASIHGLTVARTWAFSDGGGGSTALQYSPGSYNEQMFQGMDFVVSEARKYGLKLILSLVNNYDNFGGKKQYVQWARNQGQYIQSDDEFFTNPLVKSFYKNHVKTVLSRINSITGVAYKDDPTIFAWELMNEPRCPSDLSGRSIQAWITEMAAHVKSIDSNHLLEAGLEGFYGQSSSRMLQSNPGFQIGTDFISNNLISGIDFATVHSYPDQWMTSANDQTQLSFLNNWLDIHIQDARNVLRKPLLLTEFGKSWKDPGYSNSQRDALFSIVYSKIYYSARTGGATAGGLFWQLLAQGMDSYRDGYEIILNENTSTNNIITSQCRKLRYLGKLFTRARNIERLKRAKAIRNNQWRASDKGKGAGN >DRNTG_23716.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:764110:765559:1 gene:DRNTG_23716 transcript:DRNTG_23716.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFYHEAPPSKVELKKPPPTRAKSPKLGRRSSCGDAVNVSPADNNAGLCSRSNRHSLDAHKECVKKSSHHVKKEDAEIKETENSEDVEQNNDAVDC >DRNTG_23716.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:762794:765559:1 gene:DRNTG_23716 transcript:DRNTG_23716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNKDVVECLSAKTSGSPQFAESPVEKKKVEFKEPNNDQENPDSPTKSSSRPVNGITVRLNYTIPQPFDLATERRAVGACNGHKAPNVNNLESSNSPKKSQPNSPDKSRKSLQPDHAKHSEDDTCSIASERITKPRTTVPTAPVFRSSERAEKRKEFNSWLEEKQQALELERLESEQKLKEEQDAAIKELRKTLVFRANPMPNFYHEAPPSKVELKKPPPTRAKSPKLGRRSSCGDAVNVSPADNNAGLCSRSNRHSLDAHKECVKKSSHHVKKEDAEIKETENSEDVEQNNDAVDC >DRNTG_10341.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:12800591:12805375:-1 gene:DRNTG_10341 transcript:DRNTG_10341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSATFFIPWVLALQIVFQSGRRQDRTAQCLGCTGTVWTAQCPHRTFVDEWHAMRVVNALKSKKEAKIGYKDTMLGVLVQFKDQDTREVHKHGDVCQLPRRFKSIHYLEGHKGNHIFIFLLFVKIARPLKDTSMKKSFIAYDMDVCLKRRVFGSRFVKSTTTIPL >DRNTG_19704.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001043.1:11220:13523:1 gene:DRNTG_19704 transcript:DRNTG_19704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKLGLTSLFYKTKDPRSFSSWHWPSCKQARTNSFRIGDEAVHQGDNKSMDSVERVIHGLRSADRLFFEPGGTSSIMEDARAAMRVPFEGSHAVAIESDDPYHDFRASMEEMVVAHGVKGWGWLEELLGCHFDLLHLRPLKRFHLSFSKSFPSPPSPSSQALSSLILEVISISSISRPL >DRNTG_11273.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2710352:2718609:-1 gene:DRNTG_11273 transcript:DRNTG_11273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPTQLKMEKTYALEMALLVQVVPLPLWAPVVAREQAVNPLLPLPLPGLSQSIGGCSYSSVSVNASSYSPSRIENCVMLMLWLEIFGSVLPIPSPSSSPSSVDNIITGKTAVAITIKTPSSSRSCCRCHRWWIPSTDCREPHLHPHLQVKLSSRTHYHQSHLLINPMGWRAALHSIRPPLTPLSLSLKGGRRRGRPPFCRQTSR >DRNTG_11951.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5170384:5176762:-1 gene:DRNTG_11951 transcript:DRNTG_11951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGICSKRSAVDKSPSDSTLNVDGLRDHESVNYESNMKVKTSMMKPPEKETMKKRFTDQPFSFSDRMMAGCENTPTGVATEMREPQLSRSLSQKSKPTKVSEVSSLLGKASTLGLGKAVEVLDTLGSSVTSLTPNVGFGSGTSTKGNKIAILAFEVANTIVKGANLMQSLSKENIKHLKEVVLPSEGVQHLVSKDMDELLRIAASDKREELKIFSGEIVRFGNRCKDPQWHSLSRYFDKLGSELTSQKQLKEEAPVVMQQLLTLVQFTAELYHELHALDRFEQDYNRKQQEDENQNASQRGENLQILRQELKSQKKNVKSLKKKSLWSKNLEEVMEKLVDIVHFLHLEIRDAFVTADGDKPVKGSFNTHQRLGSAGLSLHYANIITQIDTLVSRSCSIPPNSRDTLYQGLPPSVKGALRSRVISFQAQDELTIPLIKSEMEKTLRWLVPIANNTTKAHHGFGWVGEWANTGTDPNQKPAGQTDLLTIETLHHADKARTEAYILDLVVLLHHLISLSKSSNGGFRSPIKSPVRSPSQNSATLSSPMNNSKPTTPSSTLTQEDKEMLRDVNFRKLTPGISKSQEFDISKIRIYENSRLTKSNSHSPSSESNKELLPVRRPSMLPVIDFDIDRIKALDAIDRVDTLRKQ >DRNTG_14021.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27617970:27623590:-1 gene:DRNTG_14021 transcript:DRNTG_14021.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMRQSDNRGNCSGSTQSEESALDMERTICNHSNLPSSSPSLQPFTSGGQHSESNAAYFSWPNSTLLHGAAEGRANYFGNLQKGVLPGHLDRLPTGQRATTLLDLMTIRAFHSKILRRYSLGTAIGFRIQKGELTKIPAILVFVARKVHRQWLSNIQCLPSALEGPGGVWCDVDVVEFSYYGAPAPTPKEQLYNELVDGLRGSDPCIGSGSQVASLETYGTLGAIVKSRSGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDLWYGIFAGTNPETFVRADGAFIPFADDFDISNVTTLVMGVGEIGDVKLIDLQSPINSLIGRQVVKVGRSSGKTTGTVMAYALEYNDEKGICFFTDFLVVGESQQTFDLEGDSGSLIILTGQDGEKPQPIGIIWGGTANRGRLKLKSGHGPENWTSGVDLGRLLDLLELDLITTSAGLEEALQEQRIASAGVDSTVGESSPTLPKEKPEEAYESLGLKIQQLPVDDASGSGLIPPFTHAEFHVDNLVATNNVEEHQFIPSFIQSPLHRNHDDNSELKSLSALRNSSDEDLCFSLQLGDREPKRQRTEPTFSNGSPK >DRNTG_14021.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27617970:27623663:-1 gene:DRNTG_14021 transcript:DRNTG_14021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRQSDNRGNCSGSTQSEESALDMERTICNHSNLPSSSPSLQPFTSGGQHSESNAAYFSWPNSTLLHGAAEGRANYFGNLQKGVLPGHLDRLPTGQRATTLLDLMTIRAFHSKILRRYSLGTAIGFRIQKGELTKIPAILVFVARKVHRQWLSNIQCLPSALEGPGGVWCDVDVVEFSYYGAPAPTPKEQLYNELVDGLRGSDPCIGSGSQVASLETYGTLGAIVKSRSGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDLWYGIFAGTNPETFVRADGAFIPFADDFDISNVTTLVMGVGEIGDVKLIDLQSPINSLIGRQVVKVGRSSGKTTGTVMAYALEYNDEKGICFFTDFLVVGESQQTFDLEGDSGSLIILTGQDGEKPQPIGIIWGGTANRGRLKLKSGHGPENWTSGVDLGRLLDLLELDLITTSAGLEEALQEQRIASAGVDSTVGESSPTLPKEKPEEAYESLGLKIQQLPVDDASGSGLIPPFTHAEFHVDNLVATNNVEEHQFIPSFIQSPLHRNHDDNSELKSLSALRNSSDEDLCFSLQLGDREPKRQRTEPTFSNGSPK >DRNTG_14021.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27617970:27623590:-1 gene:DRNTG_14021 transcript:DRNTG_14021.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRQSDNRGNCSGSTQSEESALDMERTICNHSNLPSSSPSLQPFTSGGQHSESNAAYFSWPNSTLLHGAAEGRANYFGNLQKGVLPGHLDRLPTGQRATTLLDLMTIRAFHSKILRRYSLGTAIGFRIQKGELTKIPAILVFVARKVHRQWLSNIQCLPSALEGPGGVWCDVDVVEFSYYGAPAPTPKEQLYNELVDGLRGSDPCIGSGSQVASLETYGTLGAIVKSRSGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDLWYGIFAGTNPETFVRADGAFIPFADDFDISNVTTLVMGVGEIGDVKLIDLQSPINSLIGRQVVKVGRSSGKTTGTVMAYALEYNDEKGICFFTDFLVVGESQQTFDLEGDSGSLIILTGQDGEKPQPIGIIWGGTANRGRLKLKSGHGPENWTSGVDLGRLLDLLELDLITTSAGLEEALQEQRIASAGVDSTVGESSPTLPKEKPEEAYESLGLKIQQLPVDDASGSGLIPPFTHAEFHVDNLVATNNVEEHQFIPSFIQSPLHRNHDDNSELKSLSALRNSSDEDLCFSLQLGDREPKRQRTEPTFSNGSPK >DRNTG_04538.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18380114:18380708:-1 gene:DRNTG_04538 transcript:DRNTG_04538.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNSRNSGRIYHVRGERGRSHKVTNKNSQL >DRNTG_10782.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000460.1:109340:110913:1 gene:DRNTG_10782 transcript:DRNTG_10782.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKESTNDRTDEYGGSLENRCRFAIEVIEAIVNEIGADRVGIKLSPFAHSMETWDSNPEALALYMVNALNKFGILYCHMAEPRMEIIDAKQQIPRGLLNMRKAFKGTFIAAGEYDRDDGNKAIAEGYTDLVAYGRLFLANPDLPKRFELNAPLNNYNFFTFCTQDPVVGYTDYPFLDESSA >DRNTG_10782.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000460.1:118911:120520:1 gene:DRNTG_10782 transcript:DRNTG_10782.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRKLSMIFRLAARNAIEAGFDGIEIHGAHGYLLEQFMKDSANDRTDEYGGSLENRCRFAIEVIEAIVNEIGADRVGIRLSPFADYMEAWDSNPEALGLYMVQALNKFGILYCHMVEPRMAIIDGRRQIPHRLLNLRKAFNGTFIAAGGYDRDEGNKVVAEGYTDLIAFGRLFLANPDLPKRFELDAQLNKYNRFTFYTPDPVVGYTDYPFLNEPSA >DRNTG_10782.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000460.1:109340:110913:1 gene:DRNTG_10782 transcript:DRNTG_10782.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNICFTYFRVVLAPLTRTRSYGNVPQPHAILYYSQRASKGGFLIAEATGVSDTAQGYPETPGIWTKEQVEAWKPIVKAVHDKGGVFFCQLWHVGRVSNYGYQPNGQAPISSTDRPILAQTYPNGTVEEYSPPRRLRTDEIPQIINDFRLAARNAIEAGFDGIEIHGAHGYLLEQFMKESTNDRTDEYGGSLENRCRFAIEVIEAIVNEIGADRVGIKLSPFAHSMETWDSNPEALALYMVNALNKFGILYCHMAEPRMEIIDAKQQIPRGLLNMRKAFKGTFIAAGEYDRDDGNKAIAEGYTDLVAYGRLFLANPDLPKRFELNAPLNNYNFFTFCTQDPVVGYTDYPFLDESSA >DRNTG_10782.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000460.1:108964:120520:1 gene:DRNTG_10782 transcript:DRNTG_10782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEIPLLTPYKMGKFDLSHRVVLAPLTRTRSYGNVPQPHAILYYSQRASKGGFLIAEATGVSDTAQGYPETPGIWTKEQVEAWKPIVKAVHDKGGVFFCQLWHVGRVSNYGYQPNGQAPISSTDRPILAQTYPNGTVEEYSPPRRLRTDEIPQIINDFRLAARNAIEAGFDGIEIHGAHGYLLEQFMKDSANDRTDEYGGSLENRCRFAIEVIEAIVNEIGADRVGIRLSPFADYMEAWDSNPEALGLYMVQALNKFGILYCHMVEPRMAIIDGRRQIPHRLLNLRKAFNGTFIAAGGYDRDEGNKVVAEGYTDLIAFGRLFLANPDLPKRFELDAQLNKYNRFTFYTPDPVVGYTDYPFLNEPSA >DRNTG_02200.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1314450:1315722:1 gene:DRNTG_02200 transcript:DRNTG_02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRDQEDDEERRHLSGGGIVHPAWPTVDGPLGLSPEESVAYARRFFRWGFALLPWLWAVNCLYFWPVLRVRPSDLPASSPLALLRPYVLRSAIGFTIFTVFLSIWALIFMIGGKSLFGSVWDDIVMYNVADKLGLTGWY >DRNTG_04116.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30136238:30136883:-1 gene:DRNTG_04116 transcript:DRNTG_04116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTAEQLQAFNGSDPVEKPIYVAIRGKVYDVTSGRNFYGPGGPYAVFAGREASRALAKMSKNEEDVSGDLDGITEKEIGVLEDWERKFQAKYPIIGRLSS >DRNTG_04116.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30136238:30136777:-1 gene:DRNTG_04116 transcript:DRNTG_04116.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTAEQLQAFNGSDPVEKPIYVAIRGKVYDVTSGRNFYGPGGPYAVFAGREASRALAKMSKNEEDVSGDLDGITEKEIGVLEDWERKFQAKYPIIGRLSS >DRNTG_08177.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000369.1:33001:39416:1 gene:DRNTG_08177 transcript:DRNTG_08177.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKLMVQDLHSITPNFFLEVSGAVIHPLSYQQARNFRFNCGLVYVAESGYMLSRASVPRHAIIKKLAGEDISKLDDLISVLAKLTKGSRVPLEYVSYTDRYRNKSVLVTVDRQEWYAQPLIYTRNDSTGLWTARPAILADSLLLTSSIRRTPFETEFTTTTLADVSSHLEDKHQYGNIGCADGYARIQADEEIAVEQSHLCEDANTNKKRRREEEDSNIEGSSASNGTMPELKERRFECPSNLENADLSDSRVATATNASLTATNSSPAEHVIEPALVMFEVHVPPSCMLDGVHSAHFFGTGVIVHHSENMGLAVVDRNTVAVSACDVMLSFAAFPIEIPGEVVFLHPVHNYALVAYDPSALGAGASIVRAAELLPEPALRRGDSVYLVGLSRSLQATSRRSTVTNPCAAINIGSADSPRYRATNMEVIELDTDFGNTFSGVLTDEYGRVQALWASFSTQLKYGCSSLEDHQFVRGIPIYAISEVLDKIINGASGPTLLINGIKRPMPLVRILEVELYPTLLSKARSFGLNDSWVQALAKKDPIRRQVLRVKGCLAGSKAETLLEQGDMILAINKEPITCFRDIEKACQDLDKCIDGLNMTIFRQGHEMELVVGTDIRDGNGTTRMVNWCGCIIQDPHPAVRSLGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEVNGKLTPDLETFVNVVKELEHGEFVQ >DRNTG_08177.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000369.1:33597:35276:1 gene:DRNTG_08177 transcript:DRNTG_08177.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKLMVQDLHSITPNFFLEVSGAVIHPLSYQQARNFRFNCGLVYVAESGYMLSRASVPRHAIIKKLAGEDISKLDDLISVLAKLTKGSRVPLEYVSYTDRYRNKSVLVTVDRQEWYAQPLIYTRNDSTGLWTARPAILADSLLLTSSIRRTPFETEFTTTTLADVSSHLEDKHQYGNIGCADGYARIQADEEIAVEQSHLCEDANTNKKRRREEEDSNIEGSSASNGTMPELKERRFECPSNLENADLSDSRVATATNASLTATNSSPAEHVIEPALVMFE >DRNTG_08177.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000369.1:35552:39416:1 gene:DRNTG_08177 transcript:DRNTG_08177.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGVHSAHFFGTGVIVHHSENMGLAVVDRNTVAVSACDVMLSFAAFPIEIPGEVVFLHPVHNYALVAYDPSALGAGASIVRAAELLPEPALRRGDSVYLVGLSRSLQATSRRSTVTNPCAAINIGSADSPRYRATNMEVIELDTDFGNTFSGVLTDEYGRVQALWASFSTQLKYGCSSLEDHQFVRGIPIYAISEVLDKIINGASGPTLLINGIKRPMPLVRILEVELYPTLLSKARSFGLNDSWVQALAKKDPIRRQVLRVKGCLAGSKAETLLEQGDMILAINKEPITCFRDIEKACQDLDKCIDGLNMTIFRQGHEMELVVGTDIRDGNGTTRMVNWCGCIIQDPHPAVRSLGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEVNGKLTPDLETFVNVVKELEHGEFVQ >DRNTG_08177.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000369.1:28505:32896:1 gene:DRNTG_08177 transcript:DRNTG_08177.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGETEEVLDRLGSEAEESGMKSDLAMEIDDALPTEGVATAEDWRRTLSKVVPSVVVLRTNGSRAFDTESAGAGYATGFIVDKTRGIILTNRHVVKPGPVVAEAMFVNREEIPVHPMYRDPVHDFGFFRYDPKAIKFLSYEEIPLAPEAACVGLEIRVVGNDSGEKVSILAGTLARLDREAPHYKKDGYNDFNTFYMQAASGTKGGSSGSPVIDCQGRAVALNAGSKSSSASAFFLPLERVVRALYLIQKSWDAYGSISEAVTIPRGTLQVTFLHKGFDETRRLGLQSTTEKMVRHVSPIGETGMLVVDSV >DRNTG_08177.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000369.1:34135:39416:1 gene:DRNTG_08177 transcript:DRNTG_08177.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRASVPRHAIIKKLAGEDISKLDDLISVLAKLTKGSRVPLEYVSYTDRYRNKSVLVTVDRQEWYAQPLIYTRNDSTGLWTARPAILADSLLLTSSIRRTPFETEFTTTTLADVSSHLEDKHQYGNIGCADGYARIQADEEIAVEQSHLCEDANTNKKRRREEEDSNIEGSSASNGTMPELKERRFECPSNLENADLSDSRVATATNASLTATNSSPAEHVIEPALVMFEVHVPPSCMLDGVHSAHFFGTGVIVHHSENMGLAVVDRNTVAVSACDVMLSFAAFPIEIPGEVVFLHPVHNYALVAYDPSALGAGASIVRAAELLPEPALRRGDSVYLVGLSRSLQATSRRSTVTNPCAAINIGSADSPRYRATNMEVIELDTDFGNTFSGVLTDEYGRVQALWASFSTQLKYGCSSLEDHQFVRGIPIYAISEVLDKIINGASGPTLLINGIKRPMPLVRILEVELYPTLLSKARSFGLNDSWVQALAKKDPIRRQVLRVKGCLAGSKAETLLEQGDMILAINKEPITCFRDIEKACQDLDKCIDGLNMTIFRQGHEMELVVGTDIRDGNGTTRMVNWCGCIIQDPHPAVRSLGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEVNGKLTPDLETFVNVVKELEHGEFVQ >DRNTG_08177.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000369.1:28505:38659:1 gene:DRNTG_08177 transcript:DRNTG_08177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETEEVLDRLGSEAEESGMKSDLAMEIDDALPTEGVATAEDWRRTLSKVVPSVVVLRTNGSRAFDTESAGAGYATGFIVDKTRGIILTNRHVVKPGPVVAEAMFVNREEIPVHPMYRDPVHDFGFFRYDPKAIKFLSYEEIPLAPEAACVGLEIRVVGNDSGEKVSILAGTLARLDREAPHYKKDGYNDFNTFYMQAASGTKGGSSGSPVIDCQGRAVALNAGSKSSSASAFFLPLERVVRALYLIQKSWDAYGSISEAVTIPRGTLQVTFLHKGFDETRRLGLQSTTEKMVRHVSPIGETGMLVVDSVVPGGPAYKLLEPGDVLVRVNDGVVTQFLKLETILDDSIGREIELEIERGGALMAVKLMVQDLHSITPNFFLEVSGAVIHPLSYQQARNFRFNCGLVYVAESGYMLSRASVPRHAIIKKLAGEDISKLDDLISVLAKLTKGSRVPLEYVSYTDRYRNKSVLVTVDRQEWYAQPLIYTRNDSTGLWTARPAILADSLLLTSSIRRTPFETEFTTTTLADVSSHLEDKHQYGNIGCADGYARIQADEEIAVEQSHLCEDANTNKKRRREEEDSNIEGSSASNGTMPELKERRFECPSNLENADLSDSRVATATNASLTATNSSPAEHVIEPALVMFEVHVPPSCMLDGVHSAHFFGTGVIVHHSENMGLAVVDRNTVAVSACDVMLSFAAFPIEIPGEVVFLHPVHNYALVAYDPSALGAGASIVRAAELLPEPALRRGDSVYLVGLSRSLQATSRRSTVTNPCAAINIGSADSPRYRATNMEVIELDTDFGNTFSGVLTDEYGRVQALWASFSTQLKYGCSSLEDHQFVRGIPIYAISEVLDKIINGASGPTLLINGIKRPMPLVRILEVELYPTLLSKARSFGLNDSWVQALAKKDPIRRQVLRVKGCLAGSKAETLLEQGDMILAINKEPITCFRDIEKACQDLDKCIDGLNMTIFRQGHEMELVVGTDIRDGNGTTRMVNWCGCIIQDPHPAVRSLGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEVNGKLTPDLETFVNVVKVLNAFTFW >DRNTG_00888.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21771962:21775286:1 gene:DRNTG_00888 transcript:DRNTG_00888.1 gene_biotype:protein_coding transcript_biotype:protein_coding DQSNGRRRCPHPSHCNVDNRNEEKM >DRNTG_03000.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5070398:5083359:1 gene:DRNTG_03000 transcript:DRNTG_03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGDEALAMEIDNTANAERINPKFSINVLQLLKSAQMQHGLRHRDYARYRRYCTARLRRLYKSLKFTHGRGKFTRRAITESTVTEVRHALTHSWFLHVVLYMAERAWSHAMEKRQLPDGPNGRQRIYLLGRLRKAVKWATLFAQLCAVRGDSRTSLEAEAYASYMKGTLLFEQDKNWETALVNFKNARAVYEELGKYGSIENQILCRERVEELEPSIRYCLHKVGKSSIQTSEFLGIGEMDGPALDLFRAKLETVMAEERSQQAASMTEFCWHGHRFPISNSKTRVFILKAQELEKDLNGPVVGSLSAEKRLGIFDKIFSAYHDARSCIRNDLAAAGNAENVKDDLNGLDKAVSAVLGQRTIERNQLLVSIAKSKFTKNRDDKGEKITKPEELVRLYDLLIQNSTDLSDLVSSGRDRKPEEVAFVEELSLKILVFRAERCFYLAKSYSSAGKRAEAYVLFCHARSLADTAIQKLQLANNADEALVKDLKALSYNCRSNSCIEHATGIMEEEKIPERLSKGVSTISLTGQQKKEEKFLVDLLDVYESAISEANSKAPPHIERFPPPFQSVPCNPIILDNVFSSIEFPSLENRMKKDKKGLFGRLWR >DRNTG_03000.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5072165:5083359:1 gene:DRNTG_03000 transcript:DRNTG_03000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTSNRFLHVVLYMAERAWSHAMEKRQLPDGPNGRQRIYLLGRLRKAVKWATLFAQLCAVRGDSRTSLEAEAYASYMKGTLLFEQDKNWETALVNFKNARAVYEELGKYGSIENQILCRERVEELEPSIRYCLHKVGKSSIQTSEFLGIGEMDGPALDLFRAKLETVMAEERSQQAASMTEFCWHGHRFPISNSKTRVFILKAQELEKDLNGPVVGSLSAEKRLGIFDKIFSAYHDARSCIRNDLAAAGNAENVKDDLNGLDKAVSAVLGQRTIERNQLLVSIAKSKFTKNRDDKGEKITKPEELVRLYDLLIQNSTDLSDLVSSGRDRKPEEVAFVEELSLKILVFRAERCFYLAKSYSSAGKRAEAYVLFCHARSLADTAIQKLQLANNADEALVKDLKALSYNCRSNSCIEHATGIMEEEKIPERLSKGVSTISLTGQQKKEEKFLVDLLDVYESAISEANSKAPPHIERFPPPFQSVPCNPIILDNVFSSIEFPSLENRMKKDKKGLFGRLWR >DRNTG_03000.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5070398:5083359:1 gene:DRNTG_03000 transcript:DRNTG_03000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGDEALAMEIDNTANAERINPKFSINVLQLLKSAQMQHGLRHRDYARYRRYCTARLRRLYKSLKFTHGRGKFTRRAITESTVTEVRFLHVVLYMAERAWSHAMEKRQLPDGPNGRQRIYLLGRLRKAVKWATLFAQLCAVRGDSRTSLEAEAYASYMKGTLLFEQDKNWETALVNFKNARAVYEELGKYGSIENQILCRERVEELEPSIRYCLHKVGKSSIQTSEFLGIGEMDGPALDLFRAKLETVMAEERSQQAASMTEFCWHGHRFPISNSKTRVFILKAQELEKDLNGPVVGSLSAEKRLGIFDKIFSAYHDARSCIRNDLAAAGNAENVKDDLNGLDKAVSAVLGQRTIERNQLLVSIAKSKFTKNRDDKGEKITKPEELVRLYDLLIQNSTDLSDLVSSGRDRKPEEVAFVEELSLKILVFRAERCFYLAKSYSSAGKRAEAYVLFCHARSLADTAIQKLQLANNADEALVKDLKALSYNCRSNSCIEHATGIMEEEKIPERLSKGVSTISLTGQQKKEEKFLVDLLDVYESAISEANSKAPPHIERFPPPFQSVPCNPIILDNVFSSIEFPSLENRMKKDKKGLFGRLWR >DRNTG_03000.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5070398:5071492:1 gene:DRNTG_03000 transcript:DRNTG_03000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGDEALAMEIDNTANAERINPKFSINVLQLLKSAQMQHGLRHRDYARYRRYCTARLRRLYKSLKFTHGRGKFTRRAITESTVTEVRHALTHSWFDFS >DRNTG_12789.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2175645:2179565:-1 gene:DRNTG_12789 transcript:DRNTG_12789.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFSVFLGFLLALLPFMVESAGPINDDVLGLIVFKADVVDPGSKLGSWNEDDDDPCPWFGILCDPVSKRVTEVSLSGLSLSGKVGRGLLQLQSLRKLSLSSNNFSGTLNPSLTKLPSLQYIDLSNNSLSGSIPDEFFSQCGSLRALSLAGNAFSGVIPASVGSCSTLVSLNLSFNKLSGSLPGGLWSPNELRALDLSGNDLVGEIPKGMDRLFNLRMISLRGNRLSGPLQEDIGRCSLLKSIDFSENSLSGSLPETMRSLSMCSSLSLSSNLFSGEVPDWIGELKSLQHLDLSNNGFSGKFPDSIGNLWLLKEANFSGNFFSGSLPQSMSGCRSLSSVDFSRNSITGSLPSWIFQQHLEKILVSGNKLSGPLQVSVNSYQNLSVLDLSANSFSGWIPAEIGSIQGLQFLNLSHNSLVGSIPRNIGGLKMVEVLDLSANQINGSIPPEIVGAVSLKELWLKSNSLTGGIPSQIGNCSHLTSLILSHNNLTGSIPPTLLNLTDIQTIDLSLNNLSGSLPKQLSDLPRLSSFNISHNLFSGDLPAGNFFNTIPPSSVSGNPGLCGAVVNISCPGVLPKPIVLNPNSSSSNASPDSALSPENLAHKKIILSISALIAIGAAALIALGVITITVLNLRVRSTSASRSAAALTLSDGYYSQSPSTDANSGKLVMFSGEDPDFSSGAHAILNKDCELGRGGFGAVYKTMLRDGRPVAIKKLTVSSLVKSQEDFEREVKKLGKMRHDNLVTLEGYYWTPSLQLLIYEYVSGGSLYKHLHETSPMNPLSWQERFDIILGIARSLAYLHRHNVIHYNLKSSNVLLDGTGEAKVADYGLAKLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVFGFGVLVLEIVTGKRPVEYMEDDVVVLCDVVRGALEGGCVEECVDGRLHGKFPPEEAVPVIKLGLICTSQVPSSRPDMSEVVNILELIRCPQETLDSPGDDELNQI >DRNTG_12789.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2175552:2179565:-1 gene:DRNTG_12789 transcript:DRNTG_12789.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFSVFLGFLLALLPFMVESAGPINDDVLGLIVFKADVVDPGSKLGSWNEDDDDPCPWFGILCDPVSKRVTEVSLSGLSLSGKVGRGLLQLQSLRKLSLSSNNFSGTLNPSLTKLPSLQYIDLSNNSLSGSIPDEFFSQCGSLRALSLAGNAFSGVIPASVGSCSTLVSLNLSFNKLSGSLPGGLWSPNELRALDLSGNDLVGEIPKGMDRLFNLRMISLRGNRLSGPLQEDIGRCSLLKSIDFSENSLSGSLPETMRSLSMCSSLSLSSNLFSGEVPDWIGELKSLQHLDLSNNGFSGKFPDSIGNLWLLKEANFSGNFFSGSLPQSMSGCRSLSSVDFSRNSITGSLPSWIFQQHLEKILVSGNKLSGPLQVSVNSYQNLSVLDLSANSFSGWIPAEIGSIQGLQFLNLSHNSLVGSIPRNIGGLKMVEVLDLSANQINGSIPPEIVGAVSLKELWLKSNSLTGGIPSQIGNCSHLTSLILSHNNLTGSIPPTLLNLTDIQTIDLSLNNLSGSLPKQLSDLPRLSSFNISHNLFSGDLPAGNFFNTIPPSSVSGNPGLCGAVVNISCPGVLPKPIVLNPNSSSSNASPDSALSPENLAHKKIILSISALIAIGAAALIALGVITITVLNLRVRSTSASRSAAALTLSDGYYSQSPSTDANSGKLVMFSGEDPDFSSGAHAILNKDCELGRGGFGAVYKTMLRDGRPVAIKKLTVSSLVKSQEDFEREVKKLGKMRHDNLVTLEGYYWTPSLQLLIYEYVSGGSLYKHLHETSPMNPLSWQERFDIILGIARSLAYLHRHNVIHYNLKSSNVLLDGTGEAKVADYGLAKLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVFGFGVLVLEIVTGKRPVEYMEDDVVVLCDVVRGALEGGCVEECVDGRLHGKFPPEEAVPVIKLGLICTSQVPSSRPDMSEVVNILELIRCPQETLDSPGDDELNQI >DRNTG_12789.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2175552:2179502:-1 gene:DRNTG_12789 transcript:DRNTG_12789.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFSVFLGFLLALLPFMVESAGPINDDVLGLIVFKADVVDPGSKLGSWNEDDDDPCPWFGILCDPVSKRVTEVSLSGLSLSGKVGRGLLQLQSLRKLSLSSNNFSGTLNPSLTKLPSLQYIDLSNNSLSGSIPDEFFSQCGSLRALSLAGNAFSGVIPASVGSCSTLVSLNLSFNKLSGSLPGGLWSPNELRALDLSGNDLVGEIPKGMDRLFNLRMISLRGNRLSGPLQEDIGRCSLLKSIDFSENSLSGSLPETMRSLSMCSSLSLSSNLFSGEVPDWIGELKSLQHLDLSNNGFSGKFPDSIGNLWLLKEANFSGNFFSGSLPQSMSGCRSLSSVDFSRNSITGSLPSWIFQQHLEKILVSGNKLSGPLQVSVNSYQNLSVLDLSANSFSGWIPAEIGSIQGLQFLNLSHNSLVGSIPRNIGGLKMVEVLDLSANQINGSIPPEIVGAVSLKELWLKSNSLTGGIPSQIGNCSHLTSLILSHNNLTGSIPPTLLNLTDIQTIDLSLNNLSGSLPKQLSDLPRLSSFNISHNLFSGDLPAGNFFNTIPPSSVSGNPGLCGAVVNISCPGVLPKPIVLNPNSSSSNASPDSALSPENLAHKKIILSISALIAIGAAALIALGVITITVLNLRVRSTSASRSAAALTLSDGYYSQSPSTDANSGKLVMFSGEDPDFSSGAHAILNKDCELGRGGFGAVYKTMLRDGRPVAIKKLTVSSLVKSQEDFEREVKKLGKMRHDNLVTLEGYYWTPSLQLLIYEYVSGGSLYKHLHETSPMNPLSWQERFDIILGIARSLAYLHRHNVIHYNLKSSNVLLDGTGEAKVADYGLAKLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVFGFGVLVLEIVTGKRPVEYMEDDVVVLCDVVRGALEGGCVEECVDGRLHGKFPPEEAVPVIKLGLICTSQVPSSRPDMSEVVNILELIRCPQETLDSPGDDELNQI >DRNTG_12789.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2175552:2179381:-1 gene:DRNTG_12789 transcript:DRNTG_12789.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFSVFLGFLLALLPFMVESAGPINDDVLGLIVFKADVVDPGSKLGSWNEDDDDPCPWFGILCDPVSKRVTEVSLSGLSLSGKVGRGLLQLQSLRKLSLSSNNFSGTLNPSLTKLPSLQYIDLSNNSLSGSIPDEFFSQCGSLRALSLAGNAFSGVIPASVGSCSTLVSLNLSFNKLSGSLPGGLWSPNELRALDLSGNDLVGEIPKGMDRLFNLRMISLRGNRLSGPLQEDIGRCSLLKSIDFSENSLSGSLPETMRSLSMCSSLSLSSNLFSGEVPDWIGELKSLQHLDLSNNGFSGKFPDSIGNLWLLKEANFSGNFFSGSLPQSMSGCRSLSSVDFSRNSITGSLPSWIFQQHLEKILVSGNKLSGPLQVSVNSYQNLSVLDLSANSFSGWIPAEIGSIQGLQFLNLSHNSLVGSIPRNIGGLKMVEVLDLSANQINGSIPPEIVGAVSLKELWLKSNSLTGGIPSQIGNCSHLTSLILSHNNLTGSIPPTLLNLTDIQTIDLSLNNLSGSLPKQLSDLPRLSSFNISHNLFSGDLPAGNFFNTIPPSSVSGNPGLCGAVVNISCPGVLPKPIVLNPNSSSSNASPDSALSPENLAHKKIILSISALIAIGAAALIALGVITITVLNLRVRSTSASRSAAALTLSDGYYSQSPSTDANSGKLVMFSGEDPDFSSGAHAILNKDCELGRGGFGAVYKTMLRDGRPVAIKKLTVSSLVKSQEDFEREVKKLGKMRHDNLVTLEGYYWTPSLQLLIYEYVSGGSLYKHLHETSPMNPLSWQERFDIILGIARSLAYLHRHNVIHYNLKSSNVLLDGTGEAKVADYGLAKLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVFGFGVLVLEIVTGKRPVEYMEDDVVVLCDVVRGALEGGCVEECVDGRLHGKFPPEEAVPVIKLGLICTSQVPSSRPDMSEVVNILELIRCPQETLDSPGDDELNQI >DRNTG_12789.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2175645:2179502:-1 gene:DRNTG_12789 transcript:DRNTG_12789.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFSVFLGFLLALLPFMVESAGPINDDVLGLIVFKADVVDPGSKLGSWNEDDDDPCPWFGILCDPVSKRVTEVSLSGLSLSGKVGRGLLQLQSLRKLSLSSNNFSGTLNPSLTKLPSLQYIDLSNNSLSGSIPDEFFSQCGSLRALSLAGNAFSGVIPASVGSCSTLVSLNLSFNKLSGSLPGGLWSPNELRALDLSGNDLVGEIPKGMDRLFNLRMISLRGNRLSGPLQEDIGRCSLLKSIDFSENSLSGSLPETMRSLSMCSSLSLSSNLFSGEVPDWIGELKSLQHLDLSNNGFSGKFPDSIGNLWLLKEANFSGNFFSGSLPQSMSGCRSLSSVDFSRNSITGSLPSWIFQQHLEKILVSGNKLSGPLQVSVNSYQNLSVLDLSANSFSGWIPAEIGSIQGLQFLNLSHNSLVGSIPRNIGGLKMVEVLDLSANQINGSIPPEIVGAVSLKELWLKSNSLTGGIPSQIGNCSHLTSLILSHNNLTGSIPPTLLNLTDIQTIDLSLNNLSGSLPKQLSDLPRLSSFNISHNLFSGDLPAGNFFNTIPPSSVSGNPGLCGAVVNISCPGVLPKPIVLNPNSSSSNASPDSALSPENLAHKKIILSISALIAIGAAALIALGVITITVLNLRVRSTSASRSAAALTLSDGYYSQSPSTDANSGKLVMFSGEDPDFSSGAHAILNKDCELGRGGFGAVYKTMLRDGRPVAIKKLTVSSLVKSQEDFEREVKKLGKMRHDNLVTLEGYYWTPSLQLLIYEYVSGGSLYKHLHETSPMNPLSWQERFDIILGIARSLAYLHRHNVIHYNLKSSNVLLDGTGEAKVADYGLAKLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVFGFGVLVLEIVTGKRPVEYMEDDVVVLCDVVRGALEGGCVEECVDGRLHGKFPPEEAVPVIKLGLICTSQVPSSRPDMSEVVNILELIRCPQETLDSPGDDELNQI >DRNTG_12789.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2175645:2179381:-1 gene:DRNTG_12789 transcript:DRNTG_12789.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFSVFLGFLLALLPFMVESAGPINDDVLGLIVFKADVVDPGSKLGSWNEDDDDPCPWFGILCDPVSKRVTEVSLSGLSLSGKVGRGLLQLQSLRKLSLSSNNFSGTLNPSLTKLPSLQYIDLSNNSLSGSIPDEFFSQCGSLRALSLAGNAFSGVIPASVGSCSTLVSLNLSFNKLSGSLPGGLWSPNELRALDLSGNDLVGEIPKGMDRLFNLRMISLRGNRLSGPLQEDIGRCSLLKSIDFSENSLSGSLPETMRSLSMCSSLSLSSNLFSGEVPDWIGELKSLQHLDLSNNGFSGKFPDSIGNLWLLKEANFSGNFFSGSLPQSMSGCRSLSSVDFSRNSITGSLPSWIFQQHLEKILVSGNKLSGPLQVSVNSYQNLSVLDLSANSFSGWIPAEIGSIQGLQFLNLSHNSLVGSIPRNIGGLKMVEVLDLSANQINGSIPPEIVGAVSLKELWLKSNSLTGGIPSQIGNCSHLTSLILSHNNLTGSIPPTLLNLTDIQTIDLSLNNLSGSLPKQLSDLPRLSSFNISHNLFSGDLPAGNFFNTIPPSSVSGNPGLCGAVVNISCPGVLPKPIVLNPNSSSSNASPDSALSPENLAHKKIILSISALIAIGAAALIALGVITITVLNLRVRSTSASRSAAALTLSDGYYSQSPSTDANSGKLVMFSGEDPDFSSGAHAILNKDCELGRGGFGAVYKTMLRDGRPVAIKKLTVSSLVKSQEDFEREVKKLGKMRHDNLVTLEGYYWTPSLQLLIYEYVSGGSLYKHLHETSPMNPLSWQERFDIILGIARSLAYLHRHNVIHYNLKSSNVLLDGTGEAKVADYGLAKLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVFGFGVLVLEIVTGKRPVEYMEDDVVVLCDVVRGALEGGCVEECVDGRLHGKFPPEEAVPVIKLGLICTSQVPSSRPDMSEVVNILELIRCPQETLDSPGDDELNQI >DRNTG_11139.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30388235:30390647:-1 gene:DRNTG_11139 transcript:DRNTG_11139.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAALSFTKPTTAAMAPLVRCRTRRAGTEGKMASQSNGYKSNKKVWRNEDDGNAAMVASRDRVTGFGKGEKKMAPKWQCSRGCGACCKLDKGPSFPSPEEIFIDDPNNLQLYKSLIGPDGWCIHYESSTRTCSIYEERPFFCRVEPDVFQKLYGVDPKRFNREACSSCRDTISSIYGSASEELKLFNQNLWNS >DRNTG_11139.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30389433:30390647:-1 gene:DRNTG_11139 transcript:DRNTG_11139.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMAALSFTKPTTAAMAPLVRCRTRRAGTEGKMASQSNGYKSNKKVWRNEDDGNAAMVASRDRVTGFGKGEKKMAPKWQCSRGCGACCKLDKGPSFPSPEEIFIDDPNNLQLYKSLIGPDGWCIHYESSTRTCSIYEERPFFCRVEPDVFQKLYGVDPKRFNREACSSCRDTISSIYGSASEELKLFNQNLWNS >DRNTG_11139.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30388235:30390647:-1 gene:DRNTG_11139 transcript:DRNTG_11139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAALSFTKPTTAAMAPLVRCRTRRAGTEGKMASQSNGYKSNKKVWRNEDDGNAAMVASRDRVTGFGKGEKKMAPKWQCSRGCGACCKLDKGPSFPSPEEIFIDDPNNLQLYKSLIGPDGWCIHYESSTRTCSIYEERPFFCRVEPDVFQKLYGVDPKRFNREACSSCRDTISSIYGSASEELKLFNQNLWNS >DRNTG_11139.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30388202:30390203:-1 gene:DRNTG_11139 transcript:DRNTG_11139.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVFTMRAPPGHAQSTKNVPSSAGWSLMSFRSCMALIRRGLTERPAALAGIPSHLFMGQLLKN >DRNTG_10068.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000421.1:14336:16685:-1 gene:DRNTG_10068 transcript:DRNTG_10068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSFIFTIFQLLISSSYITSLAQQEARQQNELVPAMFIFGDSLIDNGNNNNLPSLAKANYFPYGIDFSGGPSGRFSNGYTIVDELADLLGLPLIPPYSEASGVNIFHGVNYASAAAGILDETGGNFVGRIPFTQQIRNFVSTLDQITSNLGADAVANSIAHCLFFVGFGSNDYLNNYLMPNYNTRNQYNAIQYADLLVNQYNRQLMSLYNLGARKFMIAGVGAIGCTPNILAQNLQNQCSSEVNNLVMPFNGRVKTMVNNLNANLPGAKFIYIDIFGMFSDILNNPGAYGFRVTNRGCCGIGRNRGQITCLPYQRPCMNRDQYVFWDAFHPTAKVNVILAREAFNGNNNVVFPLNVQQLATLDVDQN >DRNTG_29660.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:649176:652842:1 gene:DRNTG_29660 transcript:DRNTG_29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASLTLGRSGAEVDEESVVDRTRYSATHRPLRSCRSSPGCLYV >DRNTG_29309.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29632789:29635132:1 gene:DRNTG_29309 transcript:DRNTG_29309.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIPILLSLLLSAILSRSSPDHFILHRPITTTNTSSPQEYFDPSFPSILLPAGAGDGDPSCSHAVLSHSFAHSFGSPPISAHLPPCNSSWSTAILDLTISSSGEQYDRIAAIWINGAEILRTSTAEPTPSGVFWSIRKDITRYAPLLRHPTGALISVMLENIIDETLTGVYNLNLSIHFYSNDTDDSDLLSLSSPPLHHSLFKDQPDLILPISNDNNSSGFWFRIENETDLHYKTFVIPSNTYRAILEVFISSHGDDEFWYSNPPNIYIDKNNLTTTRGNGAFRNVFITIDDKLAGTIMPFPVIFTGGINPLFWSPIVAIGAFDLPSYHLDITPFLGVLLDGEPHELGFGVTNATSFWLIDANLHLWLDPVSDQVEAELIHHQAPPLAFSADAEFKKLNGTFKLGAETKVHVSGWVNSSHGNLTIDVEQKMKYKSLIFFRNNGDFKEVLMKGKLKTDVKIKDKLNVVLQRKAFKAKYPLYLVTYTLKDENSTSYTVKTNLSHSFNEKKNLIVADNVVHSSSVVDTQNGFGWMRVEGHSVLDGMANTEQSYRSQDSGGCRLRKIGAKDGEILYDNSTNSCAFVW >DRNTG_29309.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29632789:29634862:1 gene:DRNTG_29309 transcript:DRNTG_29309.13 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIPILLSLLLSAILSRSSPDHFILHRPITTTNTSSPQEYFDPSFPSILLPAGAGDGDPSCSHAVLSHSFAHSFGSPPISAHLPPCNSSWSTAILDLTISSSGEQYDRIAAIWINGAEILRTSTAEPTPSGVFWSIRKDITRYAPLLRHPTGALISVMLENIIDETLTGVYNLNLSIHFYSNDTDDSDLLSLSSPPLHHSLFKDQPDLILPISNDNNSSGFWFRIENETDLHYKTFVIPSNTYRAILEVFISSHGDDEFWYSNPPNIYIDKNNLTTTRGNGAFRNVFITIDDKLAGTIMPFPVIFTGGINPLFWSPIVAIGAFDLPSYHLDITPFLGVLLDGEPHELGFGVTNATSFWLIDANLHLWLDPVSDQVEAELIHHQAPPLAFSADAEFKKLNGTFKLGAETKVHVSGWVNSSHGNLTIDVEQKMKYKSLIFFRNNGDFKEVLMKGKLKTDVKIKDKLNVVLQRKAFKAKYPLYLVTYTLKDENSTSYTVKTNLSHSFNEKKNLIVADNVVHSSSVVDTQNGFGWMRVEGHSVLDGMANTEQSYRSQDSGGCRLRKIGAKDGEILYDNSTNSCAFVW >DRNTG_29309.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29632702:29634942:1 gene:DRNTG_29309 transcript:DRNTG_29309.8 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIPILLSLLLSAILSRSSPDHFILHRPITTTNTSSPQEYFDPSFPSILLPAGAGDGDPSCSHAVLSHSFAHSFGSPPISAHLPPCNSSWSTAILDLTISSSGEQYDRIAAIWINGAEILRTSTAEPTPSGVFWSIRKDITRYAPLLRHPTGALISVMLENIIDETLTGVYNLNLSIHFYSNDTDDSDLLSLSSPPLHHSLFKDQPDLILPISNDNNSSGFWFRIENETDLHYKTFVIPSNTYRAILEVFISSHGDDEFWYSNPPNIYIDKNNLTTTRGNGAFRNVFITIDDKLAGTIMPFPVIFTGGINPLFWSPIVAIGAFDLPSYHLDITPFLGVLLDGEPHELGFGVTNATSFWLIDANLHLWLDPVSDQVEAELIHHQAPPLAFSADAEFKKLNGTFKLGAETKVHVSGWVNSSHGNLTIDVEQKMKYKSLIFFRNNGDFKEVLMKGKLKTDVKIKDKLNVVLQRKAFKAKYPLYLVTYTLKDENSTSYTVKTNLSHSFNEKKNLIVADNVVHSSSVVDTQNGFGWMRVEGHSVLDGMANTEQSYRSQDSGGCRLRKIGAKDGEILYDNSTNSCAFVW >DRNTG_29309.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29632789:29634798:1 gene:DRNTG_29309 transcript:DRNTG_29309.14 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIPILLSLLLSAILSRSSPDHFILHRPITTTNTSSPQEYFDPSFPSILLPAGAGDGDPSCSHAVLSHSFAHSFGSPPISAHLPPCNSSWSTAILDLTISSSGEQYDRIAAIWINGAEILRTSTAEPTPSGVFWSIRKDITRYAPLLRHPTGALISVMLENIIDETLTGVYNLNLSIHFYSNDTDDSDLLSLSSPPLHHSLFKDQPDLILPISNDNNSSGFWFRIENETDLHYKTFVIPSNTYRAILEVFISSHGDDEFWYSNPPNIYIDKNNLTTTRGNGAFRNVFITIDDKLAGTIMPFPVIFTGGINPLFWSPIVAIGAFDLPSYHLDITPFLGVLLDGEPHELGFGVTNATSFWLIDANLHLWLDPVSDQVEAELIHHQAPPLAFSADAEFKKLNGTFKLGAETKVHVSGWVNSSHGNLTIDVEQKMKYKSLIFFRNNGDFKEVLMKGKLKTDVKIKDKLNVVLQRKAFKAKYPLYLVTYTLKDENSTSYTVKTNLSHSFNEKKNLIVADNVVHSSSVVDTQNGFGWMRVEGHSVLDGMANTEQSYRSQDSGGCRLRKIGAKDGEILYDNSTNSCAFVW >DRNTG_29309.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29632611:29634862:1 gene:DRNTG_29309 transcript:DRNTG_29309.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIPILLSLLLSAILSRSSPDHFILHRPITTTNTSSPQEYFDPSFPSILLPAGAGDGDPSCSHAVLSHSFAHSFGSPPISAHLPPCNSSWSTAILDLTISSSGEQYDRIAAIWINGAEILRTSTAEPTPSGVFWSIRKDITRYAPLLRHPTGALISVMLENIIDETLTGVYNLNLSIHFYSNDTDDSDLLSLSSPPLHHSLFKDQPDLILPISNDNNSSGFWFRIENETDLHYKTFVIPSNTYRAILEVFISSHGDDEFWYSNPPNIYIDKNNLTTTRGNGAFRNVFITIDDKLAGTIMPFPVIFTGGINPLFWSPIVAIGAFDLPSYHLDITPFLGVLLDGEPHELGFGVTNATSFWLIDANLHLWLDPVSDQVEAELIHHQAPPLAFSADAEFKKLNGTFKLGAETKVHVSGWVNSSHGNLTIDVEQKMKYKSLIFFRNNGDFKEVLMKGKLKTDVKIKDKLNVVLQRKAFKAKYPLYLVTYTLKDENSTSYTVKTNLSHSFNEKKNLIVADNVVHSSSVVDTQNGFGWMRVEGHSVLDGMANTEQSYRSQDSGGCRLRKIGAKDGEILYDNSTNSCAFVW >DRNTG_29309.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29632611:29635132:1 gene:DRNTG_29309 transcript:DRNTG_29309.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIPILLSLLLSAILSRSSPDHFILHRPITTTNTSSPQEYFDPSFPSILLPAGAGDGDPSCSHAVLSHSFAHSFGSPPISAHLPPCNSSWSTAILDLTISSSGEQYDRIAAIWINGAEILRTSTAEPTPSGVFWSIRKDITRYAPLLRHPTGALISVMLENIIDETLTGVYNLNLSIHFYSNDTDDSDLLSLSSPPLHHSLFKDQPDLILPISNDNNSSGFWFRIENETDLHYKTFVIPSNTYRAILEVFISSHGDDEFWYSNPPNIYIDKNNLTTTRGNGAFRNVFITIDDKLAGTIMPFPVIFTGGINPLFWSPIVAIGAFDLPSYHLDITPFLGVLLDGEPHELGFGVTNATSFWLIDANLHLWLDPVSDQVEAELIHHQAPPLAFSADAEFKKLNGTFKLGAETKVHVSGWVNSSHGNLTIDVEQKMKYKSLIFFRNNGDFKEVLMKGKLKTDVKIKDKLNVVLQRKAFKAKYPLYLVTYTLKDENSTSYTVKTNLSHSFNEKKNLIVADNVVHSSSVVDTQNGFGWMRVEGHSVLDGMANTEQSYRSQDSGGCRLRKIGAKDGEILYDNSTNSCAFVW >DRNTG_29309.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29632789:29634942:1 gene:DRNTG_29309 transcript:DRNTG_29309.10 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIPILLSLLLSAILSRSSPDHFILHRPITTTNTSSPQEYFDPSFPSILLPAGAGDGDPSCSHAVLSHSFAHSFGSPPISAHLPPCNSSWSTAILDLTISSSGEQYDRIAAIWINGAEILRTSTAEPTPSGVFWSIRKDITRYAPLLRHPTGALISVMLENIIDETLTGVYNLNLSIHFYSNDTDDSDLLSLSSPPLHHSLFKDQPDLILPISNDNNSSGFWFRIENETDLHYKTFVIPSNTYRAILEVFISSHGDDEFWYSNPPNIYIDKNNLTTTRGNGAFRNVFITIDDKLAGTIMPFPVIFTGGINPLFWSPIVAIGAFDLPSYHLDITPFLGVLLDGEPHELGFGVTNATSFWLIDANLHLWLDPVSDQVEAELIHHQAPPLAFSADAEFKKLNGTFKLGAETKVHVSGWVNSSHGNLTIDVEQKMKYKSLIFFRNNGDFKEVLMKGKLKTDVKIKDKLNVVLQRKAFKAKYPLYLVTYTLKDENSTSYTVKTNLSHSFNEKKNLIVADNVVHSSSVVDTQNGFGWMRVEGHSVLDGMANTEQSYRSQDSGGCRLRKIGAKDGEILYDNSTNSCAFVW >DRNTG_29309.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29632651:29635132:1 gene:DRNTG_29309 transcript:DRNTG_29309.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIPILLSLLLSAILSRSSPDHFILHRPITTTNTSSPQEYFDPSFPSILLPAGAGDGDPSCSHAVLSHSFAHSFGSPPISAHLPPCNSSWSTAILDLTISSSGEQYDRIAAIWINGAEILRTSTAEPTPSGVFWSIRKDITRYAPLLRHPTGALISVMLENIIDETLTGVYNLNLSIHFYSNDTDDSDLLSLSSPPLHHSLFKDQPDLILPISNDNNSSGFWFRIENETDLHYKTFVIPSNTYRAILEVFISSHGDDEFWYSNPPNIYIDKNNLTTTRGNGAFRNVFITIDDKLAGTIMPFPVIFTGGINPLFWSPIVAIGAFDLPSYHLDITPFLGVLLDGEPHELGFGVTNATSFWLIDANLHLWLDPVSDQVEAELIHHQAPPLAFSADAEFKKLNGTFKLGAETKVHVSGWVNSSHGNLTIDVEQKMKYKSLIFFRNNGDFKEVLMKGKLKTDVKIKDKLNVVLQRKAFKAKYPLYLVTYTLKDENSTSYTVKTNLSHSFNEKKNLIVADNVVHSSSVVDTQNGFGWMRVEGHSVLDGMANTEQSYRSQDSGGCRLRKIGAKDGEILYDNSTNSCAFVW >DRNTG_29309.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29632702:29634798:1 gene:DRNTG_29309 transcript:DRNTG_29309.12 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIPILLSLLLSAILSRSSPDHFILHRPITTTNTSSPQEYFDPSFPSILLPAGAGDGDPSCSHAVLSHSFAHSFGSPPISAHLPPCNSSWSTAILDLTISSSGEQYDRIAAIWINGAEILRTSTAEPTPSGVFWSIRKDITRYAPLLRHPTGALISVMLENIIDETLTGVYNLNLSIHFYSNDTDDSDLLSLSSPPLHHSLFKDQPDLILPISNDNNSSGFWFRIENETDLHYKTFVIPSNTYRAILEVFISSHGDDEFWYSNPPNIYIDKNNLTTTRGNGAFRNVFITIDDKLAGTIMPFPVIFTGGINPLFWSPIVAIGAFDLPSYHLDITPFLGVLLDGEPHELGFGVTNATSFWLIDANLHLWLDPVSDQVEAELIHHQAPPLAFSADAEFKKLNGTFKLGAETKVHVSGWVNSSHGNLTIDVEQKMKYKSLIFFRNNGDFKEVLMKGKLKTDVKIKDKLNVVLQRKAFKAKYPLYLVTYTLKDENSTSYTVKTNLSHSFNEKKNLIVADNVVHSSSVVDTQNGFGWMRVEGHSVLDGMANTEQSYRSQDSGGCRLRKIGAKDGEILYDNSTNSCAFVW >DRNTG_29309.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29632651:29634862:1 gene:DRNTG_29309 transcript:DRNTG_29309.9 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIPILLSLLLSAILSRSSPDHFILHRPITTTNTSSPQEYFDPSFPSILLPAGAGDGDPSCSHAVLSHSFAHSFGSPPISAHLPPCNSSWSTAILDLTISSSGEQYDRIAAIWINGAEILRTSTAEPTPSGVFWSIRKDITRYAPLLRHPTGALISVMLENIIDETLTGVYNLNLSIHFYSNDTDDSDLLSLSSPPLHHSLFKDQPDLILPISNDNNSSGFWFRIENETDLHYKTFVIPSNTYRAILEVFISSHGDDEFWYSNPPNIYIDKNNLTTTRGNGAFRNVFITIDDKLAGTIMPFPVIFTGGINPLFWSPIVAIGAFDLPSYHLDITPFLGVLLDGEPHELGFGVTNATSFWLIDANLHLWLDPVSDQVEAELIHHQAPPLAFSADAEFKKLNGTFKLGAETKVHVSGWVNSSHGNLTIDVEQKMKYKSLIFFRNNGDFKEVLMKGKLKTDVKIKDKLNVVLQRKAFKAKYPLYLVTYTLKDENSTSYTVKTNLSHSFNEKKNLIVADNVVHSSSVVDTQNGFGWMRVEGHSVLDGMANTEQSYRSQDSGGCRLRKIGAKDGEILYDNSTNSCAFVW >DRNTG_29309.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29635278:29635929:1 gene:DRNTG_29309 transcript:DRNTG_29309.15 gene_biotype:protein_coding transcript_biotype:protein_coding SIPTFLFKGVIYNHNIRVFVIYNITLKLKNYNNPDVERGKLPSMLITSSHNYPLTKMINYYYSHEQNYISYYLISYPLIRLQSQLRKL >DRNTG_29309.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29632651:29634798:1 gene:DRNTG_29309 transcript:DRNTG_29309.11 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIPILLSLLLSAILSRSSPDHFILHRPITTTNTSSPQEYFDPSFPSILLPAGAGDGDPSCSHAVLSHSFAHSFGSPPISAHLPPCNSSWSTAILDLTISSSGEQYDRIAAIWINGAEILRTSTAEPTPSGVFWSIRKDITRYAPLLRHPTGALISVMLENIIDETLTGVYNLNLSIHFYSNDTDDSDLLSLSSPPLHHSLFKDQPDLILPISNDNNSSGFWFRIENETDLHYKTFVIPSNTYRAILEVFISSHGDDEFWYSNPPNIYIDKNNLTTTRGNGAFRNVFITIDDKLAGTIMPFPVIFTGGINPLFWSPIVAIGAFDLPSYHLDITPFLGVLLDGEPHELGFGVTNATSFWLIDANLHLWLDPVSDQVEAELIHHQAPPLAFSADAEFKKLNGTFKLGAETKVHVSGWVNSSHGNLTIDVEQKMKYKSLIFFRNNGDFKEVLMKGKLKTDVKIKDKLNVVLQRKAFKAKYPLYLVTYTLKDENSTSYTVKTNLSHSFNEKKNLIVADNVVHSSSVVDTQNGFGWMRVEGHSVLDGMANTEQSYRSQDSGGCRLRKIGAKDGEILYDNSTNSCAFVW >DRNTG_29309.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29632651:29634942:1 gene:DRNTG_29309 transcript:DRNTG_29309.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIPILLSLLLSAILSRSSPDHFILHRPITTTNTSSPQEYFDPSFPSILLPAGAGDGDPSCSHAVLSHSFAHSFGSPPISAHLPPCNSSWSTAILDLTISSSGEQYDRIAAIWINGAEILRTSTAEPTPSGVFWSIRKDITRYAPLLRHPTGALISVMLENIIDETLTGVYNLNLSIHFYSNDTDDSDLLSLSSPPLHHSLFKDQPDLILPISNDNNSSGFWFRIENETDLHYKTFVIPSNTYRAILEVFISSHGDDEFWYSNPPNIYIDKNNLTTTRGNGAFRNVFITIDDKLAGTIMPFPVIFTGGINPLFWSPIVAIGAFDLPSYHLDITPFLGVLLDGEPHELGFGVTNATSFWLIDANLHLWLDPVSDQVEAELIHHQAPPLAFSADAEFKKLNGTFKLGAETKVHVSGWVNSSHGNLTIDVEQKMKYKSLIFFRNNGDFKEVLMKGKLKTDVKIKDKLNVVLQRKAFKAKYPLYLVTYTLKDENSTSYTVKTNLSHSFNEKKNLIVADNVVHSSSVVDTQNGFGWMRVEGHSVLDGMANTEQSYRSQDSGGCRLRKIGAKDGEILYDNSTNSCAFVW >DRNTG_29309.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29632611:29634942:1 gene:DRNTG_29309 transcript:DRNTG_29309.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIPILLSLLLSAILSRSSPDHFILHRPITTTNTSSPQEYFDPSFPSILLPAGAGDGDPSCSHAVLSHSFAHSFGSPPISAHLPPCNSSWSTAILDLTISSSGEQYDRIAAIWINGAEILRTSTAEPTPSGVFWSIRKDITRYAPLLRHPTGALISVMLENIIDETLTGVYNLNLSIHFYSNDTDDSDLLSLSSPPLHHSLFKDQPDLILPISNDNNSSGFWFRIENETDLHYKTFVIPSNTYRAILEVFISSHGDDEFWYSNPPNIYIDKNNLTTTRGNGAFRNVFITIDDKLAGTIMPFPVIFTGGINPLFWSPIVAIGAFDLPSYHLDITPFLGVLLDGEPHELGFGVTNATSFWLIDANLHLWLDPVSDQVEAELIHHQAPPLAFSADAEFKKLNGTFKLGAETKVHVSGWVNSSHGNLTIDVEQKMKYKSLIFFRNNGDFKEVLMKGKLKTDVKIKDKLNVVLQRKAFKAKYPLYLVTYTLKDENSTSYTVKTNLSHSFNEKKNLIVADNVVHSSSVVDTQNGFGWMRVEGHSVLDGMANTEQSYRSQDSGGCRLRKIGAKDGEILYDNSTNSCAFVW >DRNTG_29309.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29632651:29635609:1 gene:DRNTG_29309 transcript:DRNTG_29309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIPILLSLLLSAILSRSSPDHFILHRPITTTNTSSPQEYFDPSFPSILLPAGAGDGDPSCSHAVLSHSFAHSFGSPPISAHLPPCNSSWSTAILDLTISSSGEQYDRIAAIWINGAEILRTSTAEPTPSGVFWSIRKDITRYAPLLRHPTGALISVMLENIIDETLTGVYNLNLSIHFYSNDTDDSDLLSLSSPPLHHSLFKDQPDLILPISNDNNSSGFWFRIENETDLHYKTFVIPSNTYRAILEVFISSHGDDEFWYSNPPNIYIDKNNLTTTRGNGAFRNVFITIDDKLAGTIMPFPVIFTGGINPLFWSPIVAIGAFDLPSYHLDITPFLGVLLDGEPHELGFGVTNATSFWLIDANLHLWLDPVSDQVEAELIHHQAPPLAFSADAEFKKLNGTFKLGAETKVHVSGWVNSSHGNLTIDVEQKMKYKSLIFFRNNGDFKEVLMKGKLKTDVKIKDKLNVVLQRKAFKAKYPLYLVTYTLKDENSTSYTVKTNLSHSFNEKKNLIVADNVVHSSSVVDTQNGFGWMRVEGHSVLDGMANTEQSYRSQDSGGCRLRKIGAKDGEILYDNSTNSCAFVW >DRNTG_25619.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22014158:22021591:1 gene:DRNTG_25619 transcript:DRNTG_25619.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKREKEEWDVVASVAADDLRCRGTDEKELRCGNEEWESRSLCEVHCVEMVADSSKEGMQVKEKRKPGRPRKEKSSASMVEAEGSNGAKKENENAGKRKRNESERPRRKSVRVDKQDGASTDDQFSPKANVLSDFNKTFNPEENENAGKRKRNKPGRPRKKSVRVDKQDGASTDDQFSPTANVLSDSNKTFNPEELRGKILTGDAARMCHQCQSKYKEKIVWCLTCKKKRYCVPCIERWYPDLTIAEFEKKCPYCCNNCNCKGCLRMIRVAKPPEKVIEKSQRVCYLYYVIQSLLPWLKAFRGEQMKEKEIEARIKGQSSAETKVEQAPCDPDERVYCDNCQTSILDYHRSCPDCSFDLCLRCCQELRNGCMPGGNGRLIYKYKTKGKDYLHGGPPQTISKRIASMVNASDDENNEGRLNALREWKANSNGSIPCPLKEVGGCGNSVLELKCIFGDSNLQNLEEKAASIVAQQKPAMLPDLCTQCPCFTATGELNSGSTTLRKAACRKDSNDNYLFCPKAIDLQSGDIEHFQKHWAKGEPVIVRDVLELTHGLSWEPMVMWRALRERAESKQAPEKFAVQAIDCLDWCEVEINIATFFRGYSEGRNHLNNWPEMLKLKDWPPSSSFEERLPRHGAEFITSLPFKEYTDPRCGILNLATKLDEGVLKPDMGPKTYIAYGFADELGRGDSVTKLHCDMSDAVNILTHTSEFTPLPHTLAAIPEYKKKHIVQNKQEESLMEPIYIDCSERSVVSSEELLQQQSCSEIQPTENDNPKHKVNYDIHENVAVEASVGLAKNASGEALDSGNSNSQEKVALENPKHKVNFDIHENVAVEASVGLAKNASGEGLDSGNSNSQEKVAQENPIPQKRKRGRPSRKEQQYKAANSAPSDNSGEVQLDTGLRNQAINITDDFQKSSESEEMKKMKDGMVCNVSKLGHKVDENEPKQVVGGALWDIFRREDAKKLQEYLKKHSKEFRHMFCCRIEEVAHPIHDQTFYLTKEHKRKLKEEYGIEPWTFEQNLGEAVIIPAGCPHQVRNLKSCIKVALDFVSPENFNECIKLSEEFRLLPKDHLAKVDKLEVKKMALHALMHDIRDFEKCTSSEANDENEVKPQAEEQPESSIPPRKNKKANK >DRNTG_25619.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22014158:22021591:1 gene:DRNTG_25619 transcript:DRNTG_25619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKREKEEWDVVASVAADDLRCRGTDEKELRCGNEEWESRSLCEVHCVEMVADSSKEGMQVKEKRKPGRPRKEKSSASMVEAEGSNGAKKENENAGKRKRNESERPRRKSVRVDKQDGASTDDQFSPKANVLSDFNKTFNPEENENAGKRKRNKPGRPRKNSVRVDKQDGASTDDQFSPIANVLSDSNKTFNPEENENAGKRKRNKPGRPRKKSVRVDKQDGASTDDQFSPTANVLSDSNKTFNPEELRGKILTGDAARMCHQCQSKYKEKIVWCLTCKKKRYCVPCIERWYPDLTIAEFEKKCPYCCNNCNCKGCLRMIRVAKPPEKVIEKSQRVCYLYYVIQSLLPWLKAFRGEQMKEKEIEARIKGQSSAETKVEQAPCDPDERVYCDNCQTSILDYHRSCPDCSFDLCLRCCQELRNGCMPGGNGRLIYKYKTKGKDYLHGGPPQTISKRIASMVNASDDENNEGRLNALREWKANSNGSIPCPLKEVGGCGNSVLELKCIFGDSNLQNLEEKAASIVAQQKPAMLPDLCTQCPCFTATGELNSGSTTLRKAACRKDSNDNYLFCPKAIDLQSGDIEHFQKHWAKGEPVIVRDVLELTHGLSWEPMVMWRALRERAESKQAPEKFAVQAIDCLDWCEVEINIATFFRGYSEGRNHLNNWPEMLKLKDWPPSSSFEERLPRHGAEFITSLPFKEYTDPRCGILNLATKLDEGVLKPDMGPKTYIAYGFADELGRGDSVTKLHCDMSDAVNILTHTSEFTPLPHTLAAIPEYKKKHIVQNKQEESLMEPIYIDCSERSVVSSEELLQQQSCSEIQPTENDNPKHKVNYDIHENVAVEASVGLAKNASGEALDSGNSNSQEKVALENPKHKVNFDIHENVAVEASVGLAKNASGEGLDSGNSNSQEKVAQENPIPQKRKRGRPSRKEQQYKAANSAPSDNSGEVQLDTGLRNQAINITDDFQKSSESEEMKKMKDGMVCNVSKLGHKVDENEPKQVVGGALWDIFRREDAKKLQEYLKKHSKEFRHMFCCRIEEVAHPIHDQTFYLTKEHKRKLKEEYGIEPWTFEQNLGEAVIIPAGCPHQVRNLKSCIKVALDFVSPENFNECIKLSEEFRLLPKDHLAKVDKLEVKKMALHALMHDIRDFEKCTSSEANDENEVKPQAEEQPESSIPPRKNKKANK >DRNTG_25619.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22019431:22021591:1 gene:DRNTG_25619 transcript:DRNTG_25619.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIYIDCSERSVVSSEELLQQQSCSEIQPTENDNPKHKVNYDIHENVAVEASVGLAKNASGEALDSGNSNSQEKVALENPKHKVNFDIHENVAVEASVGLAKNASGEGLDSGNSNSQEKVAQENPIPQKRKRGRPSRKEQQYKAANSAPSDNSGEVQLDTGLRNQAINITDDFQKSSESEEMKKMKDGMVCNVSKLGHKVDENEPKQVVGGALWDIFRREDAKKLQEYLKKHSKEFRHMFCCRIEEVAHPIHDQTFYLTKEHKRKLKEEYGIEPWTFEQNLGEAVIIPAGCPHQVRNLKSCIKVALDFVSPENFNECIKLSEEFRLLPKDHLAKVDKLEVKKMALHALMHDIRDFEKCTSSEANDENEVKPQAEEQPESSIPPRKNKKANK >DRNTG_25619.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22017664:22021591:1 gene:DRNTG_25619 transcript:DRNTG_25619.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGNGRLIYKYKTKGKDYLHGGPPQTISKRIASMVNASDDENNEGRLNALREWKANSNGSIPCPLKEVGGCGNSVLELKCIFGDSNLQNLEEKAASIVAQQKPAMLPDLCTQCPCFTATGELNSGSTTLRKAACRKDSNDNYLFCPKAIDLQSGDIEHFQKHWAKGEPVIVRDVLELTHGLSWEPMVMWRALRERAESKQAPEKFAVQAIDCLDWCEVEINIATFFRGYSEGRNHLNNWPEMLKLKDWPPSSSFEERLPRHGAEFITSLPFKEYTDPRCGILNLATKLDEGVLKPDMGPKTYIAYGFADELGRGDSVTKLHCDMSDAVNILTHTSEFTPLPHTLAAIPEYKKKHIVQNKQEESLMEPIYIDCSERSVVSSEELLQQQSCSEIQPTENDNPKHKVNYDIHENVAVEASVGLAKNASGEALDSGNSNSQEKVALENPKHKVNFDIHENVAVEASVGLAKNASGEGLDSGNSNSQEKVAQENPIPQKRKRGRPSRKEQQYKAANSAPSDNSGEVQLDTGLRNQAINITDDFQKSSESEEMKKMKDGMVCNVSKLGHKVDENEPKQVVGGALWDIFRREDAKKLQEYLKKHSKEFRHMFCCRIEEVAHPIHDQTFYLTKEHKRKLKEEYGIEPWTFEQNLGEAVIIPAGCPHQVRNLKSCIKVALDFVSPENFNECIKLSEEFRLLPKDHLAKVDKLEVKKMALHALMHDIRDFEKCTSSEANDENEVKPQAEEQPESSIPPRKNKKANK >DRNTG_25619.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22017056:22019030:1 gene:DRNTG_25619 transcript:DRNTG_25619.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVAKPPEKVIEKSQRVCYLYYVIQSLLPWLKAFRGEQMKEKEIEARIKGQSSAETKVEQAPCDPDERVYCDNCQTSILDYHRSCPDCSFDLCLRCCQELRNGCMPGGNGRLIYKYKTKGKDYLHGGPPQTISKRIASMVNASDDENNEGRLNALREWKANSNGSIPCPLKEVGGCGNSVLELKCIFGDSNLQNLEEKAASIVAQQKPAMLPDLCTQCPCFTATGELNSGSTTLRKAACRKDSNDNYLFCPKAIDLQSGDIEHFQKHWAKGEPVIVRDVLELTHGLSWEPMVMWRALRERAESKQAPEKFAVQAIDCLDWCEVEINIATFFRGYSEGRNHLNNWPEMLKLKDWPPSSSFEERLPRHGAEFITSLPFKEYTDPRCGILNLATKLDEGVLKPDMGPKTYIAYGFADELGRGDSVTKLHCDMSDA >DRNTG_10083.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1564004:1564441:-1 gene:DRNTG_10083 transcript:DRNTG_10083.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVCALVRKLGDLIVQEAINLHGVRGEVEWLERELRRMQCFLKDADAKKNKGDDERVKNWVTEMRDLAFEAEDIIDTFMYLKLRRQQKQPGCIGFMKRYVLQTPLYLFICL >DRNTG_10083.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1560796:1563392:-1 gene:DRNTG_10083 transcript:DRNTG_10083.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFDDEKKKIVQELVDINNTNRSVISIVGMGGLGKTTLAKSVYNDLEVKRSFDVFAWVIISQQYTILEILKGVLSEKLDTSSEDTIQTLSVKVCEKLNKGKYLVVLDDVWKDVVWNELLKVFPDVNNGSRVIITARSSNVINIADPTTKLHNLRCLYENEALELFLRKVFPRQDIETCCPIYLVDYAHQLVQRCKGLPLALVVLGGLVSTKPQTQDAWQKVVESMKGQFVEGGERCLEILAWSYNDLPYYL >DRNTG_10083.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1560451:1564441:-1 gene:DRNTG_10083 transcript:DRNTG_10083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVCALVRKLGDLIVQEAINLHGVRGEVEWLERELRRMQCFLKDADAKKNKGDDERVKNWVTEMRDLAFEAEDIIDTFMYLKLRRQQKQPGCIGFMKRYVFILDELVNRHKIHVDVEVIKTKLQELCQSRLLYGIVNIGETIGTTSHHRSQHVIPILPQLSDDIDMVGFDDEKKKIVQELVDINNTNRSVISIVGMGGLGKTTLAKSVYNDLEVKRSFDVFAWVIISQQYTILEILKGVLSEKLDTSSEDTIQTLSVKVCEKLNKGKYLVVLDDVWKDVVWNELLKVFPDVNNGSRVIITARSSNVINIADPTTKLHNLRCLYENEALELFLRKVFPRQDIETCCPIYLVDYAHQLVQRCKGLPLALVVLGGLVSTKPQTQDAWQKVVESMKGQFVEGGERCLEILAWSYNDLPYYL >DRNTG_25253.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19300976:19307620:1 gene:DRNTG_25253 transcript:DRNTG_25253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSNMNFHQGLIPSSFYNSHLVSFQSGTVNSSTGMVPGGMNSSGAISSSTGMLLSGNPTMMGNGSSVILPRTASGNNILFDPLPGLKHDTGLAVDWSLEEQAILREGLDKYANEPNIMKYIKIAAMLREKTVRDVALRCRWMTKKENGKRRKPEECYMGKKIKDRKEKMVDSFPKVNISSIPSGNMLGYAHLMQRMTHNRFLREGPAIDGATKNLLDENSRVLSQISSNLETFKLQDNINLFYRMRNNVATILTSMSKMPGIMSQMPPLPVSINDDLVNVIIPGANQAYFFRTRSASS >DRNTG_19746.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19340717:19341227:1 gene:DRNTG_19746 transcript:DRNTG_19746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREEDEHEVRQRLLGRTEHLLLRADWHRKEGAIWQDPKDAMLRYLSWSRRMQMKKSSFGPSVGECYYSRTTGTKTR >DRNTG_17780.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000910.1:92336:96749:-1 gene:DRNTG_17780 transcript:DRNTG_17780.3 gene_biotype:protein_coding transcript_biotype:protein_coding METLQAYGGLSNHFDKRIWVTVSQENSLMELLRKMFEEVRQIEKEKLEKMTENDFIDMLNDSLRAQRFLIVLDDIWREDVWNQMQRSFPDVNNGSRVLITTRFLNVAKGADPRSTPYQLPLLNDDESMKLLLKKAKPYEDVEANFTNELLDIGLRLMDKCGGLSLALVVLGGLLSIKDKTPVVWRRVLETMDWAAEGRQCQEILALSYEDLPYHMKSCFLYLGAYPKDYEISGNELIWQWIAEGFIPQEERKTMEDTGEAILEELIQRSLIHVNMRKNNGSVKKCGVHDLLLDFTRSTAKKDIFLTVCSNENDQPTYLTSSRHVAFHNINDTKINEISRVYTMHGLRTLMAFGLRYLSIDSPIFRFKLLRVLDLTESIRLPKQIKLLIHLRYLRLGDVNYLPSSIGNFQSLETVLLSHGREIPITLWKMKTLRHVQVLRCNPPQSLELKNLLTLENVAFGSYKTIN >DRNTG_17780.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000910.1:92336:101988:-1 gene:DRNTG_17780 transcript:DRNTG_17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTENDLIDMLNNSLRTERFLIVLDDIWLEDVWNQMQRSFPDVNNGSRVLITTRFLNVAKGADPRSTPYQLPLLNDDESMKLLLKKAFPYEDVEANFTNELLDIGLRLMHKCGGLPLALVVLGGLLSIKDKTPVVWRRVLETMDWAAEGRQCQEILALSYEDLPYHMKSCFLYLGAYPKDYEISGNELIWQWIAEGFIPQEERKTMEDTGEAILEELIQRSLIHVNMRKNNGSVKKCGVHDLLLDFTRSTAKKDIFLTVCSNENDQPTYLTSSRHVAFHNINDTKINEISRVYTMHGLRTLMAFGLRYLSIDSPIFRFKLLRVLDLTESIRLPKQIKLLIHLRYLRLGDVNYLPSSIGNFQSLETVLLSHGREIPITLWKMKTLRHVQVLRCNPPQSLELKNLLTLENVAFGSYKTIN >DRNTG_17780.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000910.1:95181:96749:-1 gene:DRNTG_17780 transcript:DRNTG_17780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAVSQVVTRLAGLLSQEFWLLYGLRDEVEWMERELRWIKCFLKDADAKGKRDERVKNWVNEVIQVAYQAEDAIDTFLIKVNHQSNGWLSRIKYW >DRNTG_17780.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000910.1:92336:96749:-1 gene:DRNTG_17780 transcript:DRNTG_17780.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLQAYGGLSNHFDKRIWVTVSQENSLMELLRKMFEEVRQIEKEKLEKMTENDFIDMLNDSLRAQRFLIVLDDIWREDVWNQMQRSFPDVNNGSRVLITTRFLNVAKGADPRSTPYQLPLLNDDESMKLLLKKAKPYEDVEANFTNELLDIGLRLMDKCGGLSLALVVLGGLLSIKDKTPVVWRRVLETMDWAAEGRQCQEILALSYEDLPYHMKSCFLYLGAYPKDYEISGNELIWQWIAEGFIPQEERKTMEDTGEAILEELIQRSLIHVNMRKNNGSVKKCGVHDLLLDFTRSTAKKDIFLTVCSNENDQPTYLTSSRHVAFHNINDTKINEISRVYTMHGLRTLMAFGLRYLSIDSPIFRFKLLRVLDLTESIRLPKQIKLLIHLRYLRLGDVNYLPSSIGNFQSLETVLLSHGREIPITLWKMKTLRHVQVLRCNPPQSLELKNLLTLENVAFGSYKTIN >DRNTG_09638.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21924717:21927436:-1 gene:DRNTG_09638 transcript:DRNTG_09638.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATE1 [Source:Projected from Arabidopsis thaliana (AT1G13690) UniProtKB/TrEMBL;Acc:A0A178WKP3] MMNNAQVQKNTLYVGGLAEEVNEAILHSAFIPFGDIKDVKTPLDQATQKHRSFGFVTFLEREDAAAAMDNMDGAELYGRVLTVNYALPERIKGGEQGWAAQPIWADADTWFERQQQEEEMQRLQAEQRAAMQAAEELHRKKLAEEREGEKEEETDTKADPMALAEAKALEQSS >DRNTG_34211.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11015644:11022816:-1 gene:DRNTG_34211 transcript:DRNTG_34211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFFFKWIKSNFIRAGDTLIIIDQTKIAAIGGVFKDSDGLFLLGYAAPMCNATSSVAEFVYAKEGLKIALNNNWVDLVIEGDAKNVIDHLKLKAVIKAKEDSKQAKEIDVIASKFTNLQKNHIC >DRNTG_11574.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8132439:8134954:1 gene:DRNTG_11574 transcript:DRNTG_11574.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLDRRYIDIVLVPSGLVIMFGYHLFLLYRILKLPHTTVVGYENHNKLAWVERMMQATPDETGIALQVISSSLSASTNLASLSIALSSLIGTWIGSTTKVFMSEVIYGDTSTATASVKYISLIICFLAAFTCFIHSARYFVHATFLLSTLNSNVPVEYVQTAVIRGGNFWAMGLRALYFATTLLLWIFGPIPMFICSVFMVVILAFLDTNSTPLHRFSFSSSSPSLNQRLKKIHGAFGDLSDIHKHPLYTVNTSPFISLA >DRNTG_11574.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8134170:8134954:1 gene:DRNTG_11574 transcript:DRNTG_11574.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVIYGDTSTATASVKYISLIICFLAAFTCFIHSARYFVHATFLLSTLNSNVPVEYVQTAVIRGGNFWAMGLRALYFATTLLLWIFGPIPMFICSVFMVVILAFLDTNSTPLHRFSFSSSSPSLNQRLKKIHGAFGDLSDIHKHPLYTVNTSPFISLA >DRNTG_06258.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8256980:8257833:1 gene:DRNTG_06258 transcript:DRNTG_06258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITHLLPSSFNGLRPLKPTDQYSSLLLKPSTSITTKLNSKSLPIKPVIKSALSAPIVISLSTGLSLFLGRFVFFAFQRENVAKQVPGQNGKTHFEAGDERAKEFSSLLKSNDPVGFNIVDVLAWGSIGHIVAYYILATSSNGYDPNFF >DRNTG_28632.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28351089:28354040:1 gene:DRNTG_28632 transcript:DRNTG_28632.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSLETYASNAPVTGERKLNLELQKQLPQPYLARALVAVDKDHPNGTEGKDHHNMSVLQQHAAFFDRNKDGIIYPWETYQGFRAAGFGFFTSFTSAILINLLLSYRTQPGWLPSLLLSIHIKNIHRGKHGSDTETYDTEGRFDPSKFDAIFR >DRNTG_24366.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2894300:2895645:-1 gene:DRNTG_24366 transcript:DRNTG_24366.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Auxin-inducible protein [Source: Projected from Oryza sativa (Os11g0247000)] MNLETKNTEQRFREGLIDIQAHWDHIMEVRARRGASKGVRRITETKKTPQQQQVNSNRKSHHHASYFSVESFVLLLCLTASLVILPLVLPPLPPPPVMLLLVPIGILLVLVILAFMPSDIRNIASSYL >DRNTG_24366.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2894300:2895645:-1 gene:DRNTG_24366 transcript:DRNTG_24366.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Auxin-inducible protein [Source: Projected from Oryza sativa (Os11g0247000)] MNLETKNTEQRFREGLIDIQAHWDHIMEVRARRGASKGVRRITETKKTPQQQQVNSNRKSHHHASYFSVESFVLLLCLTASLVILPLVLPPLPPPPVMLLLVPIGILLVLVILAFMPSDIRNIASSYL >DRNTG_16500.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29791421:29791885:1 gene:DRNTG_16500 transcript:DRNTG_16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding TRIHRPGTNNCLKIRRKTEALFLSFIYQATFLGFGDARRIDRREKSGANTSSIKWENATKKEF >DRNTG_31243.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001678.1:4888:17189:1 gene:DRNTG_31243 transcript:DRNTG_31243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYNMKEMTINLEKEDMNPFFSRFASNVDLRVLAPLPLSLQLAPCYPRRHDRVWTVSSLRTPFYIVDIHEDKSYPSSLAARIKVHELRGKSKADLLTQLKDLKVELALLLVAKKSGEVVNCAGSDSDLAEAEGGALGLDVVKKIESLGSQSGKISKRVTISDYGQVAGSRRKSPAEIVVAFLKSRVILEDVVGEVAIVTTRARAVMAEVGAGVPE >DRNTG_00003.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21459612:21471461:-1 gene:DRNTG_00003 transcript:DRNTG_00003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSSPPEKRRALSHHDAILQKLDQHGVPQEYLDQSQAGLVAFVGENKSLLPEIVSCILPSDVDAYAICRSFKEESVGGQRQAQIKLLVSESLLWLQWLMFEEEPCACLKNLAQNSSGQRAVCGTVWKKNDLAYRCRTCEHDPTCAICVPCFQNGDHKGHDYSIMYSGGGCCDCGDVTAWKREGFCSRHKGSEQIQPLPDDLASSIGPVLDALFSSWKDKMISMECHRPKNEDDRTEVHAMTHELSLAIIEMLLNFCNCSESLLSFVSKRMFACTGLLDALLMGERFLDKKVVKRLHELLLKLLGEPLFKYEFAKVFIRYYQIGVKEFIDACTDSELKQYPLLSTFSVQIFTVPTLTPRLVREANLIGVLLRCLEDLFLSCVGEDGRLQAVKWANLYETTIRLVEDTRYVLSHEEVSQYIAKEVVDMSRAWIRLLSLVQGMDAQKRQTAVHTEEENDIIHTPFMLGHYLGNVHTLLAKGALSLVETRESKDESMTASTVRGLEDDDDGDDDGVYLAKVGRFSEGSSAGQLRGRIIPSHEIDTEGISEMHKHLSIPSSGVRLIFECLKAIEVWLEPENRKYSNSIDATGCSGYNVMNLSKKIFGIKKCSNSNRVYRRQMSRERVDGDRASFHDENHERFHFFSPVQVMTTETREALNQNNSSLDVNDMSGAFSRDACLSRKLNENSMEIDSVRDAETVGLLSMAQWPDIVFDVSSQEISFHIPLHRLLSVLLRKALKCYAGMMRMPKAASSIPSDVHHREFFRQALGGFHPYGFSAFVMEHPLRLRVFSAQVRAGMWRKNGDAAIMSSEWYRSVRWLEQGLESDLFLLQCCAALSPPELFVKRIQERFGLSDYTSLHHAEHNEYEPVLVQEMLALIIQIVKERRFCGFSTFENLCRELIYKLAIGDATHSQLVKALPRDLSKSDQLQKAIDILAVYSKPSGMKQGKYSLREEYWKDLDLYHPRWNSRELQVAEERYLRFRNVSALNVQLPRWSDIFYPMSTISRIATSKAVLQIIQAVFYYAFLADGSSANHTPDSVLITALHLLSLALDICEKSNIKCTEIDVNLSLIDDQSGPGAPCCFKNSFPILTYALEAFDVDAIDAAVIRRKRNVLSLLVLLMRKYKEQSSKSYAETRQCDISSLIENLLRKFAHLSAECNAELRELEPGLAHTIFQQASDKAVKNVASDSGFEERKAKMRERQAAILEKMKAEQSKFIASLNDTSDDLDALASNKEAFVPDVDHDREETKPICSFCRDPDSQSPLCYLILLQRSRLTSFVERGPLSWEDDDKSAKGVHLVNQSISSILADAPFGSPVHSIHTATAESAFDTEPAELDAIREFFGGQLPRNKSFQLPIAPEAISTLELVEYDIYQSIRRIIHGSESHSESVGCDYKYLISHATEDLKKSKSVKLSVLGEYVAALSRETSKQHQSSIYSLLQLGNISSNSAITATAYSGMEPGHCNGIHISSCGHAVHQECRERYLLSLKQRPIRRLGIEGHIVNPDMGELLCPVCRRFANSILPISLVSGSTVQRPVMPLSSSPASLQVSSTSADMLHLPLALTLLQSTSKMVGEGRFLKFYSGKLSESIEPSLEHAIRKLCMLQYPHSYGSLISSGRLSHSLMLWNTLTYSLISTEIASRGKNTHKHGSKSCLVALCEELHSSNGSILSLLLSISQNSCNVNSLEMLLRFRAVQLFASSICSGVSGDINLSSTDKRRGTYCCIPEHTDKGENFPDIQFWKRAADPILSSDPFSSLMLVLFSLPVPFISSSDFFVALVHLFYVITVIQALVTCYSNHCFDRSPFGDWVSNNVGKIIGESEFAQKQSLAKYLDSSCHPKDMIRRLTLPYLRRCALLWKLMNSSALSSSSFESYHTWEGLDFSANSDSVVDTNCLSIELNELGELEKMFRICSLDMVLQDELVRTLTSRWCQHFAQKFKACKHEHIFYATPAVPFRLMQLPRLYQDLLQRYIKQQCSHCKSIPDEPALCLICGKLCSPSWKNCCRANRCLEHAIVCGAGVGVFLLVRKTTILLQRSARQAFWPSLYLDAYGEEDLDMLRGRPLYLNEERYKGLAYLVASHGLDHTSEILRQTTISLLV >DRNTG_35109.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8769761:8774129:1 gene:DRNTG_35109 transcript:DRNTG_35109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLVDNNCLNLPTETSSSTSSANLSPCCKSLHILMSRNRVCLKWPFLNLLLSLRCHNPRPCASTGDSHRADARPCALLG >DRNTG_11054.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20030463:20034961:-1 gene:DRNTG_11054 transcript:DRNTG_11054.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKNLLRYSRVVQGLEKYVMLKLFNRVFAASPEDAEADLRISKKILLLQHFVKPDHLDLPGNFQIEASWLNAAKELKKINAFRSPYEKLQCIMACCHAISNMLLEVSKSANSSPVGADVFLPILIYATIKANPPQLHSNLKFIQLFRRQSKLVSEIEFYLTSMISTELFIINIDARSLSMEETEFQKSMESAKLAIDGPSSEPIAEVETYKDIISTMHCDKEIILDEGKYPFMETEAEDLTLSEVQDLLCSYKQLVKRYTKLSNTLHKLSSHEFQLLNSPVEHLICSDSLDKKGEISIHFWG >DRNTG_35156.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1288191:1289182:-1 gene:DRNTG_35156 transcript:DRNTG_35156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDVADKRRIEGPIEFSKEDIPESLEVRPRVSEDLGKKADNF >DRNTG_33335.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3445398:3447855:-1 gene:DRNTG_33335 transcript:DRNTG_33335.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKHRSISGISPPSPIISSPDSNPLLLLAAAVAGAAATLSLFKPSHSCPLRRRHRFILPMNPTANAPKPLTFSSPDSLSSWLRTRVPVAFLDSWGVSPGSKTIHNLFRELSLGESTLVPQDHPDPPLRCVHVATVKIHNQRGAILVESHQLLSDGTVRTRGRPPSEKMLPGELVEEAAVRAVKEEIGPMEVKIRPGTYEIRVEERVSASYPGLPARYVLHSVEAEIVGLPEEGEFSTEEAGEFEEEKTVSVKRHFWKWVDFDEALLDFGA >DRNTG_33335.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3445301:3447855:-1 gene:DRNTG_33335 transcript:DRNTG_33335.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKHRSISGISPPSPIISSPDSNPLLLLAAAVAGAAATLSLFKPSHSCPLRRRHRFILPMNPTANAPKPLTFSSPDSLSSWLRTRVPVAFLDSWGVSPGSKTIHNLFRELSLGESTLVPQDHPDPPLRCVHVATVKIHNQRGAILVESHQLLSDGTVRTRGRPPSEKMLPGELVEEAAVRAVKEEIGPMEVKIRPGTYEIRVEERVSASYPGLPARYVLHSVEAEIVGLPEEGEFSTEEAGEFEEEKTVSVKRHFWKWVDFDEALLDFGA >DRNTG_33335.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3446945:3447855:-1 gene:DRNTG_33335 transcript:DRNTG_33335.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKHRSISGISPPSPIISSPDSNPLLLLAAAVAGAAATLSLFKPSHSCPLRRRHRFILPMNPTANAPKPLTFSSPDSLSSWLRTRVPVAFLDSWGVSPGSKTIHNLFRELSLGESTLVPQDHPDPPLRCVHVATVKIHNQRGAILVESHQLLSDGTVRTRGRPPSEKMLPGELVEEAAVRAVKEEIGPMEVKIRPGTYEIRVEERVSASYPGLPARYVLHSVEAEIVGLPEEGEFSTEEAGEFEEEKTVSVKRHFWKWVDFDEALLDFGA >DRNTG_33335.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3445360:3447855:-1 gene:DRNTG_33335 transcript:DRNTG_33335.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKHRSISGISPPSPIISSPDSNPLLLLAAAVAGAAATLSLFKPSHSCPLRRRHRFILPMNPTANAPKPLTFSSPDSLSSWLRTRVPVAFLDSWGVSPGSKTIHNLFRELSLGESTLVPQDHPDPPLRCVHVATVKIHNQRGAILVESHQLLSDGTVRTRGRPPSEKMLPGELVEEAAVRAVKEEIGPMEVKIRPGTYEIRVEERVSASYPGLPARYVLHSVEAEIVGLPEEGEFSTEEAGEFEEEKTVSVKRHFWKWVDFDEALLDFGA >DRNTG_33335.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3445262:3447855:-1 gene:DRNTG_33335 transcript:DRNTG_33335.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHRSISGISPPSPIISSPDSNPLLLLAAAVAGAAATLSLFKPSHSCPLRRRHRFILPMNPTANAPKPLTFSSPDSLSSWLRTRVPVAFLDSWGVSPGSKTIHNLFRELSLGESTLVPQDHPDPPLRCVHVATVKIHNQRGAILVESHQLLSDGTVRTRGRPPSEKMLPGELVEEAAVRAVKEEIGPMEVKIRPGTYEIRVEERVSASYPGLPARYVLHSVEAEIVGLPEEGEFSTEEAGEFEEEKTVSVKRHFWKWVDFDEALLDFGA >DRNTG_33335.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3446728:3447855:-1 gene:DRNTG_33335 transcript:DRNTG_33335.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKHRSISGISPPSPIISSPDSNPLLLLAAAVAGAAATLSLFKPSHSCPLRRRHRFILPMNPTANAPKPLTFSSPDSLSSWLRTRVPVAFLDSWGVSPGSKTIHNLFRELSLGESTLVPQDHPDPPLRCVHVATVKIHNQRGAILVESHQLLSDGTVRTRGRPPSEKMLPGELVEEAAVRAVKEEIGPMEVKIRPGTYEIRVEERVSASYPGLPARYVLHSVEAEIVGLPEEGEFSTEEAGEFEEEKTVSVKRHFWKWVDFDEALLDFGA >DRNTG_33335.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3445301:3448043:-1 gene:DRNTG_33335 transcript:DRNTG_33335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTINIKKTTVYIHTFIVWRYSTKYSRSMKHRSISGISPPSPIISSPDSNPLLLLAAAVAGAAATLSLFKPSHSCPLRRRHRFILPMNPTANAPKPLTFSSPDSLSSWLRTRVPVAFLDSWGVSPGSKTIHNLFRELSLGESTLVPQDHPDPPLRCVHVATVKIHNQRGAILVESHQLLSDGTVRTRGRPPSEKMLPGELVEEAAVRAVKEEIGPMEVKIRPGTYEIRVEERVSASYPGLPARYVLHSVEAEIVGLPEEGEFSTEEAGEFEEEKTVSVKRHFWKWVDFDEALLDFGA >DRNTG_07184.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:12311901:12322012:-1 gene:DRNTG_07184 transcript:DRNTG_07184.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRFKIGFSCENVENHYKTFKAHYVEIKRQESSAGSAGMARPKQSFLTQL >DRNTG_07184.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:12311901:12313054:-1 gene:DRNTG_07184 transcript:DRNTG_07184.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRFKIGFSCENVENHYKTFKAHYVEIKRQESSAGSAGMARPKQSFLTQL >DRNTG_03502.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000164.1:30015:30721:1 gene:DRNTG_03502 transcript:DRNTG_03502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKEGKAIIIRRKKDMKARILVERKKERENKPVKVGTMASQFTSSLGHFQSVGEHRDLSFYT >DRNTG_32635.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001881.1:74061:78521:1 gene:DRNTG_32635 transcript:DRNTG_32635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSIIFFFLGMRLYVYVPPQGSIFSGIVQVFVASFKKRSLKLPSPHDLKLQEALLYNPPVKNDRVIKLPLSSQFSFLNKAAIKSEDDEIKVEDNSPRNPWKLCSIQQIEEVKCLIRIIPIWFSGIICFLAMAQQWTFTILQSLTMDRHLGPHFLIPAGTIASISLISLTIFIPIYDQIFVPLARNITQNR >DRNTG_32635.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001881.1:74457:78521:1 gene:DRNTG_32635 transcript:DRNTG_32635.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSIIFFFLGMRLYVYVPPQGSIFSGIVQVFVASFKKRSLKLPSPHDLKLQEALLYNPPVKNDRVIKLPLSSQFSFLNKAAIKSEDDEIKVEDNSPRNPWKLCSIQQIEEVKCLIRIIPIWFSGIICFLAMAQQWTFTILQSLTMDRHLGPHFLIPAGTIASISLISLTIFIPIYDQIFVPLARNITQNR >DRNTG_14071.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8333298:8335643:-1 gene:DRNTG_14071 transcript:DRNTG_14071.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQSSSKLPRRDVITPIPTVPVINPTTPTVSTPPYNPYTSPANPTTPIMTPITNPLTPTLPTPTSSTPSSSSGQSWCVASSTASQTALQVALDYACGYGGADCSAIQQGGSCFSPDTLHDHASYAFNNYYQKNPIPTSCDFGGTAIITNTDPSSSSCQYPSTSTSSSILNTTNPTGSTVFGSVPPSSSRATTLSTAAPLFLTAMCLMMPLISSNAYK >DRNTG_14071.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8333298:8335405:-1 gene:DRNTG_14071 transcript:DRNTG_14071.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRARGIQYLLIFLSGLLLCSGTLDESHNPSSSPALNHKEKEISEGSTEASSSRMLLQSSSKLPRRDVITPIPTVPVINPTTPTVSTPPYNPYTSPANPTTPIMTPITNPLTPTLPTPTSSTPSSSSGQSWCVASSTASQTALQVALDYACGYGGADCSAIQQGGSCFSPDTLHDHASYAFNNYYQKNPIPTSCDFGGTAIITNTDPSSSSCQYPSTSTSSSILNTTNPTGSTVFGSVPPSSSRATTLSTAAPLFLTAMCLMMPLISSNAYK >DRNTG_03179.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5787871:5802084:1 gene:DRNTG_03179 transcript:DRNTG_03179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEMGLIVDGCRFWWLDINNSTMGLREIINDADALAMAMTVNHTKVINLYAKVSSSDSGAVKVNVVRNKKAGNASTENVDKIIEKDQLGNVEESEAGNVEGGNATDEEADDDKELEEGSELHDSEYSFNSQEEELGASQMTNTCS >DRNTG_06774.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17527432:17527798:1 gene:DRNTG_06774 transcript:DRNTG_06774.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAACRTMRNPIKSYGKACLFISSPMRKAACANAEEWLPLPTLLLVAAFSLTNTWKSESELQLKIVFHSNLGHAEQD >DRNTG_26821.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11346508:11348985:1 gene:DRNTG_26821 transcript:DRNTG_26821.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGSTGCKVSDLTWSESTLPLHLSKSCRRSCFQSSELPRKQSGVSDLRSQTLDLKLEGLSWRSGEDAK >DRNTG_34404.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25970676:25972817:-1 gene:DRNTG_34404 transcript:DRNTG_34404.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:Projected from Arabidopsis thaliana (AT3G07360) UniProtKB/TrEMBL;Acc:A0A178VJC7] MAKSGERPPRENASKQLRSELRRLMLAITDDDDVRCEIFNEAFKTLVALKEMKFNGGNDKPQQHRQVPEHFLCPISSKIMRDPVVLSSGQEWLNSGNLTCPQTQKALSNTTLIPNNLVRGMIGEWCCEHVNTIPRHEFHEEGLITRSERYVFIKLVEKISYSSVTEQREAARELRLLTKHNRSFRALICEYPDAIARLLSVLSVPELSEDNEVQEDIVTAILNLSINDGNKKIVGKNPLVIPFLIEALETGTMETRSNSAAALFTLSAVDSNKSMIGELGAMRPLLDLLEHGSMTAKKDAASAIFSLCTTVENRVMAVREGAVALVLKNIMDQCLVDESLAILAMLSSNQEAAEELRMSVGVPCLLKVIKANSCPRNEENAVVALFSICMNDRTKLLEVGEEENLSGAISQLAQNGTSRARRKAYGILEKLRRAMYITH >DRNTG_34404.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25970676:25972029:-1 gene:DRNTG_34404 transcript:DRNTG_34404.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:Projected from Arabidopsis thaliana (AT3G07360) UniProtKB/TrEMBL;Acc:A0A178VJC7] MIGEWCCEHVNTIPRHEFHEEGLITRSERYVFIKLVEKISYSSVTEQREAARELRLLTKHNRSFRALICEYPDAIARLLSVLSVPELSEDNEVQEDIVTAILNLSINDGNKKIVGKNPLVIPFLIEALETGTMETRSNSAAALFTLSAVDSNKSMIGELGAMRPLLDLLEHGSMTAKKDAASAIFSLCTTVENRVMAVREGAVALVLKNIMDQCLVDESLAILAMLSSNQEAAEELRMSVGVPCLLKVIKANSCPRNEENAVVALFSICMNDRTKLLEVGEEENLSGAISQLAQNGTSRARRKAYGILEKLRRAMYITH >DRNTG_28209.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6401101:6407013:-1 gene:DRNTG_28209 transcript:DRNTG_28209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVGGTVPLEPAHIRVIGLMVARGRPTCYQTTSESRQRQTEHIELDPEGAPAPTPGATSLPDFDMRLRRLEGEVWAMRQEQRKIHGQLYHIIEGQCRLEADLHHFITSYYGSSLHTVATSSTAMPPPPAPDWHKEAMENKKISFGRKREEKQDFSYYPHTTQDGGRII >DRNTG_25548.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3055879:3058399:-1 gene:DRNTG_25548 transcript:DRNTG_25548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEKDGDGENGGKRARIGVAIEG >DRNTG_30952.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2436333:2437247:-1 gene:DRNTG_30952 transcript:DRNTG_30952.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSKMLLGKRQRRPEMPRTLSITEFIPEVGVLQTTCANLKDEKIVITDESEGAQDWLSSRHVTNLSTMRGRNRRNSADFVVVDTAPFLKNCGLCRRRLSPGKDIFMYRGEIAFCSLECREQQMKHDERKEKWSLASMKRNIINPSSLITGTFEPSS >DRNTG_30952.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2436406:2437247:-1 gene:DRNTG_30952 transcript:DRNTG_30952.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSKMLLGKRQRRPEMPRTLSITEFIPEVGVLQTTCANLKDEKIVITDESEGAQDWLSSRHVTNLSTMRGRNRRNSADFVVVDTAPFLKNCGLCRRRLSPGKDIFMYRGEIAFCSLECREQQMKHDERKEKWSLASMKRNIINPSSLITGTFEPSS >DRNTG_30952.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2436379:2437188:-1 gene:DRNTG_30952 transcript:DRNTG_30952.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSKMLLGKRQRRPEMPRTLSITEFIPEVGVLQTTCANLKDEKIVITDESEGAQDWLSSRHVTNLSTMRGRNRRNSADFVVVDTAPFLKNCGLCRRRLSPGKDIFMYRGEIAFCSLECREQQMKHDERKEKWSLASMKRNIINPSSLITGTFEPSS >DRNTG_30952.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2436333:2437188:-1 gene:DRNTG_30952 transcript:DRNTG_30952.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSKMLLGKRQRRPEMPRTLSITEFIPEVGVLQTTCANLKDEKIVITDESEGAQDWLSSRHVTNLSTMRGRNRRNSADFVVVDTAPFLKNCGLCRRRLSPGKDIFMYRGEIAFCSLECREQQMKHDERKEKWSLASMKRNIINPSSLITGTFEPSS >DRNTG_30952.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2436406:2437188:-1 gene:DRNTG_30952 transcript:DRNTG_30952.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSKMLLGKRQRRPEMPRTLSITEFIPEVGVLQTTCANLKDEKIVITDESEGAQDWLSSRHVTNLSTMRGRNRRNSADFVVVDTAPFLKNCGLCRRRLSPGKDIFMYRGEIAFCSLECREQQMKHDERKEKWSLASMKRNIINPSSLITGTFEPSS >DRNTG_30952.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2436805:2437188:-1 gene:DRNTG_30952 transcript:DRNTG_30952.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSKMLLGKRQRRPEMPRTLSITEFIPEVGVLQTTCANLKDEKIVITDESEGAQDWLSSRHVTNLSTMRGRNRRNSADFVVVDTAPFLKNCGLCRRRLSPGKDIFMYR >DRNTG_30952.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2436379:2437247:-1 gene:DRNTG_30952 transcript:DRNTG_30952.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSKMLLGKRQRRPEMPRTLSITEFIPEVGVLQTTCANLKDEKIVITDESEGAQDWLSSRHVTNLSTMRGRNRRNSADFVVVDTAPFLKNCGLCRRRLSPGKDIFMYRGEIAFCSLECREQQMKHDERKEKWSLASMKRNIINPSSLITGTFEPSS >DRNTG_30952.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2436406:2437320:-1 gene:DRNTG_30952 transcript:DRNTG_30952.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSKMLLGKRQRRPEMPRTLSITEFIPEVGVLQTTCANLKDEKIVITDESEGAQDWLSSRHVTNLSTMRGRNRRNSADFVVVDTAPFLKNCGLCRRRLSPGKDIFMYRGEIAFCSLECREQQMKHDERKEKWSLASMKRNIINPSSLITGTFEPSS >DRNTG_19856.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19633988:19635064:-1 gene:DRNTG_19856 transcript:DRNTG_19856.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEWRTRIKFPQAHNNTLLSIIQQTNTKKKNREETRRIGVSTSSFKEGGIKGQWASI >DRNTG_19856.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19633988:19636318:-1 gene:DRNTG_19856 transcript:DRNTG_19856.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVNGLQSSKKKEVIQSLSSPPREHQANEGKSGDKMHKRV >DRNTG_10321.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13784083:13785005:-1 gene:DRNTG_10321 transcript:DRNTG_10321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFLNCRMDSTVVWKNDAVSTTRDKLYTLLEWKEIVTDDVMDAFVCIIQKSLSKVPYPYKKRASITRLLALFMSKQDDAHETTMAMIGDAVRNFHEVQIVILPIIMNGHFHVLVLDNDKQEYRHYSSCSGYDKDALDMRNLFDICIDMEFGESATSKYPLVHDMETPRKKQGRVDCAIYVMRFTEQLLWGEKLRLPQTNVPYLRLKYVSRILKEGRTAGVHEKGG >DRNTG_10818.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5183351:5188959:-1 gene:DRNTG_10818 transcript:DRNTG_10818.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PALE CRESS, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G48120) UniProtKB/Swiss-Prot;Acc:Q39089] MASCLPMRLPFLSSFSRPRPRCHRAPPSFPAFKSKRPLCRALHSNEEEFVIGEDDQAASLPKEFYDDEWQARQRKKTAEWHAYRRKEEEKERMKDDEYREIGSRLKGYPEEEVHKARRLVSSFIRSAEEVEQKIEEAAEKGELTELVLMVIWNRLDLARRDDEKDVIRSLDLLYRRIETEILKRESTPAMRLLNDLLNLHDGFDDDSWLKKCRKCMVDTFPYEDPFSMLVPSGFDVAEHQGRIGLPPEDDDVILRADFIREVDELLQEVRAEQNIVQLPDGFDAEAVAMRLKQQEKQRTISQVEALLELAVTLKW >DRNTG_11868.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15450009:15453321:1 gene:DRNTG_11868 transcript:DRNTG_11868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLHASERVEAELKDIGLKINYHEKHLEYLNAQMRTTSESIFDLQVKLGNYNKSHVHEETSRHEAEIITVKHTIGQIFRQGNTAAGIICKLKLCNVLQASNLPWIKDVVGVVATLGRVKDDNLSRLLSEYLGLETMMAVVCKTHEGIKALEKYDSDPSLHYLGASIGRQIYPRFLAISLENLRAYQGKFLADDPQKRLDLLKPILPDGKCPQGFLGYAVNMIDLDHNHLSFLTSNGHGLRETLFYSLFFRLQVYKSRADMECAMPFIIDGAISLDGGIIRSNGQFYLGCRKDEIVRFPTSSLKENVTMEVLESNAQLELLKWNQEKLAKDIRREEVLLKQAKDSFHSKSEELKKILNAAQQYILKDSMDN >DRNTG_34416.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002151.1:24635:36251:-1 gene:DRNTG_34416 transcript:DRNTG_34416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRHPNVVLFMGDVTRFPNLSIVLEFLPRCAAVCRRPGSIELGSGRDKNIPTSTF >DRNTG_12572.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:790776:793980:-1 gene:DRNTG_12572 transcript:DRNTG_12572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMQRQPWEKLEGKVVMVTGASSGIGRELCLHLARAGCLIVAAARRTDRLRSLCDQINGAASAEDDQEPKPVRSVAVELDVSGKSAEIEASVQRAWDAFGRIDALVNNAGIRGEVHSPLDWTEEDWNGNITTNLTGLWLVSKHVCIRMRDAKQKGSVINISSIGGLHRGQLPGGAAYSASKGGVIMLTKVMALELGEYNIRVNAVAPGLFKSEITQGLMQKEWLNKVAEKVVPLRTFGTSDPALTGLVRYLIHDSSEYVTGNTFIVDAGATLPGVPIFSSL >DRNTG_00500.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30549440:30551206:-1 gene:DRNTG_00500 transcript:DRNTG_00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGSYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFHAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSILLDNEAIYDICRRLIGIDRPSYTNLNRLISQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPFGDLAKVQRAVCMISNSTSVADVFSRIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESGEGDEDEDCGEEY >DRNTG_00500.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30549528:30551206:-1 gene:DRNTG_00500 transcript:DRNTG_00500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGSYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFHAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSILLDNEAIYDICRRLIGIDRPSYTNLNRLISQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPFGDLAKVQRAVCMISNSTSVADVFSRIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESGEGDEDEDCGEEY >DRNTG_25514.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001330.1:1182:1785:-1 gene:DRNTG_25514 transcript:DRNTG_25514.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFYVRNSDGKIIGTEEEKERVVKNLRAGIERRAEKGLRLEICAEDRHGLLADVTRVLRENGLSITMAEVMRMEEKVVKSVFYVDDVAGCNLVSDKVIEMVKERMGNGSLKVGEVVKKTLGRRKVEEGGGVGLVYLGSFVRRNLYNLGLIRSCS >DRNTG_25514.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001330.1:1182:2653:-1 gene:DRNTG_25514 transcript:DRNTG_25514.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFHLTDHLSDKLSDDPHLLSLIHHSLLTAATSDHLSHPTSPPPLPNTSLTALELTAGDRPGLLSDLFAVLADLDCSIAAVKTWTFNGCMASLLFIHNVDFDQNPTKLNVIITRLRHVINGDVQPTSTAVSHSDRRLHQLLLPEQPSQSTPLTVSVTIQNLVKRDYSVINIQCRDRPKLLFDVVCVLTDMNYVVFHGTVSTDGDKAHQEFYVRNSDGKIIGTEEEKERVVKNLRAGIERRAEKGLRLEICAEDRHGLLADVTRVLRENGLSITMAEVMRMEEKVVKSVFYVDDVAGCNLVSDKVIEMVKERMGNGSLKVGEVVKKTLGRRKVEEGGGVGLVYLGSFVRRNLYNLGLIRSCS >DRNTG_25514.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001330.1:1182:2972:-1 gene:DRNTG_25514 transcript:DRNTG_25514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPGSLDEYQKLVTRMNTPRVVIDNKVSPSTTIVKLHSARKHGVLLEAIQALSDLNLSITKAYISSDASWFMDVFHLTDHLSDKLSDDPHLLSLIHHSLLTAATSDHLSHPTSPPPLPNTSLTALELTAGDRPGLLSDLFAVLADLDCSIAAVKTWTFNGCMASLLFIHNVDFDQNPTKLNVIITRLRHVINGDVQPTSTAVSHSDRRLHQLLLPEQPSQSTPLTVSVTIQNLVKRDYSVINIQCRDRPKLLFDVVCVLTDMNYVVFHGTVSTDGDKAHQEFYVRNSDGKIIGTEEEKERVVKNLRAGIERRAEKGLRLEICAEDRHGLLADVTRVLRENGLSITMAEVMRMEEKVVKSVFYVDDVAGCNLVSDKVIEMVKERMGNGSLKVGEVVKKTLGRRKVEEGGGVGLVYLGSFVRRNLYNLGLIRSCS >DRNTG_18184.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4717580:4718581:-1 gene:DRNTG_18184 transcript:DRNTG_18184.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFELMNLKILRVNSNKLAFLPFSASHMTNLRILDAHLNCLRSLPDGLENLINLEVLNISQNFQFLQTIPYSIGLLMSLTELDISYNKITVLPDSISCLTKLKKFSAEGNQLVCPPMSVVAKGVDAVRGYMGARMKKAFKSNGRASKSWFGRLVKCGAFDGQMVHQNEGLLAPEFEREYHSFDGTPSRLIGKFSPLRLFSPKRFSSPQR >DRNTG_18184.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4717580:4720118:-1 gene:DRNTG_18184 transcript:DRNTG_18184.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMERSRKRREGMEKEEKGEMKVDLSGMSLSSFPSTSLNLASITQLDLSNNNLQSIPESLTARLLNLIVLDVHSNQLNSIPNSIGCLSKLKSLDVSGNLLESLPITIENCRALEELNVNFNKLTRLPDTMGFELMNLKILRVNSNKLAFLPFSASHMTNLRILDAHLNCLRSLPDGLENLINLEVLNISQNFQFLQTIPYSIGLLMSLTELDISYNKITVLPDSISCLTKLKKFSAEGNQLVCPPMSVVAKGVDAVRGYMGARMKKAFKSNGRASKSWFGRLVKCGAFDGQMVHQNEGLLAPEFEREYHSFDGTPSRLIGKFSPLRLFSPKRFSSPQR >DRNTG_18184.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4717580:4719263:-1 gene:DRNTG_18184 transcript:DRNTG_18184.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFELMNLKILRVNSNKLAFLPFSASHMTNLRILDAHLNCLRSLPDGLENLINLEVLNISQNFQFLQTIPYSIGLLMSLTELDISYNKITVLPDSISCLTKLKKFSAEGNQLVCPPMSVVAKGVDAVRGYMGARMKKAFKSNGRASKSWFGRLVKCGAFDGQMVHQNEGLLAPEFEREYHSFDGTPSRLIGKFSPLRLFSPKRFSSPQR >DRNTG_01059.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3667397:3672191:1 gene:DRNTG_01059 transcript:DRNTG_01059.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWLDYNHHGNSKHSASLIAATIWYLWKARCDFIFRQKNLNYHQIAYNAINHTKEYMSHTSNFKILSFYLHNRPRIVEMGVFSSAAWNEGTGKGGVGFFFLDAYSNIVCAGCFPMECSRRVEMEAIALNFALQKIGEMNSRCLNVYNSSRELWEVIQRNENLLQWRSSNTVNETRHRLSSLLNPQIDLIQHSWNRFAALLASQGIKARHLSLFYQGMEKPKWIMKMVSHL >DRNTG_01059.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3667397:3672317:1 gene:DRNTG_01059 transcript:DRNTG_01059.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWLDYNHHGNSKHSASLIAATIWYLWKARCDFIFRQKNLNYHQIAYNAINHTKEYMSHTSNFKILSFYLHNRPRIVEMGVFSSAAWNEGTGKGGVGFFFLDAYSNIVCAGCFPMECSRRVEMEAIALNFALQKIGEMNSRCLNVYNSSRELWEVIQRNENLLQWRSSNTVNETRHRLSSLLNPQIDLIQHSWNRFAALLASQGIKARHLSLFYQGMEKPKWIMKMVSHLGLTF >DRNTG_01059.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3667139:3672191:1 gene:DRNTG_01059 transcript:DRNTG_01059.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLDYNHHGNSKHSASLIAATIWYLWKARCDFIFRQKNLNYHQIAYNAINHTKEYMSHTSNFKILSFYLHNRPRIVEMGVFSSAAWNEGTGKGGVGFFFLDAYSNIVCAGCFPMECSRRVEMEAIALNFALQKIGEMNSRCLNVYNSSRELWEVIQRNENLLQWRSSNTVNETRHRLSSLLNPQIDLIQHSWNRFAALLASQGIKARHLSLFYQGMEKPKWIMKMVSHL >DRNTG_01059.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3667139:3672191:1 gene:DRNTG_01059 transcript:DRNTG_01059.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWLDYNHHGNSKHSASLIAATIWYLWKARCDFIFRQKNLNYHQIAYNAINHTKEYMSHTSNFKILSFYLHNRPRIVEMGVFSSAAWNEGTGKGGVGFFFLDAYSNIVCAGCFPMECSRRVEMEAIALNFALQKIGEMNSRCLNVYNSSRELWEVIQRNENLLQWRSSNTVNETRHRLSSLLNPQIDLIQHSWNRFAALLASQGIKARHLSLFYQGMEKPKWIMKMVSHL >DRNTG_01059.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3666392:3672191:1 gene:DRNTG_01059 transcript:DRNTG_01059.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLDYNHHGNSKHSASLIAATIWYLWKARCDFIFRQKNLNYHQIAYNAINHTKEYMSHTSNFKILSFYLHNRPRIVEMGVFSSAAWNEGTGKGGVGFFFLDAYSNIVCAGCFPMECSRRVEMEAIALNFALQKIGEMNSRCLNVYNSSRELWEVIQRNENLLQWRSSNTVNETRHRLSSLLNPQIDLIQHSWNRFAALLASQGIKARHLSLFYQGMEKPKWIMKMVSHL >DRNTG_08636.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000389.1:27377:47109:-1 gene:DRNTG_08636 transcript:DRNTG_08636.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSYSGRTVAEHCSNTAASTIAALFIAGRETREIENPHGRVKIIHARVEIRTGACIVHARGVARFQPYLKPIQPRFWYSFLHLFPTCERASVRVSRGIDQGFGEVLRLRHRDSIRKKVGRGASIEVYPIPDEGILGRRVEDFPQDHRHDHRGVPRVVKFQQTSRAPIRAHGAREAHRRMTAA >DRNTG_20487.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21744477:21747051:1 gene:DRNTG_20487 transcript:DRNTG_20487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSGYTSIDKQNLSGSVPAASGPDHVVNFADSNLQTFPPSETQGKISAVFRPPTDADDTFSKPAPGSSNQSQQPTGWMHAFTVGAYRPYFDVDTSDVLERIRDSLFPFKGSFTEKTSDNPDLYGPFWICTTLIFVAAAIGTFVTYLAHKLQNKDWDYDINLVTWSAGLFYGYVTLVPLGLYVILKYFSAPSGLVQLWCLYGYSLFVFIPASCLSVVPIEIFRWVIAGVAGFMSACFVALNLRTHIKSAGERWFLIVAGIFLLQLGLAVILKLYFFTITV >DRNTG_31334.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4113091:4116845:1 gene:DRNTG_31334 transcript:DRNTG_31334.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:Projected from Arabidopsis thaliana (AT5G07250) UniProtKB/TrEMBL;Acc:A0A178UBV1] MASTGGKQRGNYGNSAASAGPYYFEEEERVWKPWVVPLFVIANVAVFIGTMYVNNCPSNPTPFGSCVARFLHRFSFQPLRQNPLLGPSSSTLDKMGALEWNKVVEQHEGWRLITCIWLHAGVIHLLANMLSLIFIGIRLEQQFGFLRIGVIYLVSGFGGSVLSSLFVRNSISVGASGALFGLLGAMLSELITNWTIYSNKAAALLTLVVIIVINLAVGILPHVDNFAHIGGFLTGFLVGFVLLIRPQFGWMERHNLPPESQVKSKHKMYQYVLWVAAMLLLIAGFVVGLVMLFRGEDGNEHCHWCHYVSCVPTSKWNCSN >DRNTG_03431.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3209066:3209871:-1 gene:DRNTG_03431 transcript:DRNTG_03431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSTLSIHLYVFKVKCESLTHSSLRCTQMDRYPGPNMSMMARKLLKVPSLKRMISSPRADTHSDFNACSTSTVAEKGHFNVYTSEGKRFMVPLAYLDNSIFKELLRISEEEFGLPCDGPITLPCDAASMEYVLSLLRRGVSKEIEMQLLSSIFISCQSTCSLLALENPQQFAVCSF >DRNTG_19811.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17157473:17157701:1 gene:DRNTG_19811 transcript:DRNTG_19811.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTKLVVLGFILLLAIGLSSAARTLSEGYTSGGGGGGGGEGGGGGGGEGGGGSGYGSGSGYGS >DRNTG_19811.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17158012:17158291:1 gene:DRNTG_19811 transcript:DRNTG_19811.4 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGRGSGSGSGYGEGGGYGSGGGHGHL >DRNTG_19811.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17157473:17158404:1 gene:DRNTG_19811 transcript:DRNTG_19811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTKLVVLGFILLLAIGLSSAARTLSEGYTSGGGGGGGGEGGGGGGGEGGGGSGYGSGSGYGSGGGEGGGGYGKGGGGGGGGGQGGGGGSGSGSGSGYGSGSGSGYGSGGGGGKGGGGGGGSGGGGGGGSGGGHGGGSGYGSGSGYGQGGGGGHGGGGGGGGGHGGGGGGGRGSGSGSGYGEGGGYGSGGGHGHL >DRNTG_19811.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17157400:17157701:1 gene:DRNTG_19811 transcript:DRNTG_19811.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTKLVVLGFILLLAIGLSSAARTLSEGYTSGGGGGGGGEGGGGGGGEGGGGSGYGSGSGYGS >DRNTG_19811.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17157319:17157701:1 gene:DRNTG_19811 transcript:DRNTG_19811.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTKLVVLGFILLLAIGLSSAARTLSEGYTSGGGGGGGGEGGGGGGGEGGGGSGYGSGSGYGS >DRNTG_16161.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14856998:14861816:-1 gene:DRNTG_16161 transcript:DRNTG_16161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLERMLKPKDAMTLEYQNEIKMEDLAWRGTSSPNKIGMIKNCISKFKRNIHCLVETRVNSS >DRNTG_09823.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000414.1:34907:35455:-1 gene:DRNTG_09823 transcript:DRNTG_09823.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQCELLINTGASNGPMLTVVKLHESLIQFKPSENYKMTSISFDKGILKLPHLIIDDSTESSLLNVMAFKHLHIGLEDVVTSYVCFMGELIESPADLQLLHSKKIIHMAVGSDQVAAALLNSLSKDIIHDSKGYIEKVRQKVKEYSGKEWRRWLANLLDLYFDTPWKTLRWCLFHMIWNFM >DRNTG_19671.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3794302:3794610:1 gene:DRNTG_19671 transcript:DRNTG_19671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWRSLYSSKGAFVRPRIHLAGSVSMTALPLTFFQTECDVKAVEPLPIPRAGIGNSHALWIHRTGLWN >DRNTG_29188.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3091715:3092050:-1 gene:DRNTG_29188 transcript:DRNTG_29188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRWWLSRAVQSRLLLLRRLPAPEVPADVPVGYVAVQVQGNGPRRRFVVRAAQLNHPAFRELLLLAEEEYGFAHAGPLTLPCDHSVLENAIRRISSSETPDPEDPPLPIG >DRNTG_06775.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17537746:17538938:1 gene:DRNTG_06775 transcript:DRNTG_06775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLLLFRHSQCISIKSHIYWAIVTLIFKVLTIQVLSSRPMLLDILPQPQASFPSSNAISPIQNSSQTSTANTIVNLCQLNHYCSNNNNSSVRQIQSSSDTVQGNQLVSGTSVVQCTDSVNPTSVASSSTQWKAEPSHDISMPS >DRNTG_15365.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26803388:26803928:1 gene:DRNTG_15365 transcript:DRNTG_15365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLPFPSFNLTELTRNFNGKGLSQEEMINLAGAHTIGRSHCTSFESRLYNFSSTVSQDPSLDPTYAEQLKKQFPNGSTNPSIVVPMDPDTPTIFDSNYYKLILANRGLFTSDQTLISTPETMEQVLVNSYTPLYFQLKFRDAFL >DRNTG_32737.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30875637:30877125:1 gene:DRNTG_32737 transcript:DRNTG_32737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEYAMRGYLTDKADVYSFGVVMLETVTGLSNTSYRPKEDCVYLLDWAYILQEQGKLIELVDKSLGPNYSEEEAVMMLNLALTCTNSSPSLRPTMAAVVNIIEGKKPVSVLSMMDTGSNSNLSTWFEAFEILSDNNSQLVSSSTYHEPWMEPSVNTNVEEEEDTWISSTSRIISDYSH >DRNTG_32737.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30876596:30877125:1 gene:DRNTG_32737 transcript:DRNTG_32737.2 gene_biotype:protein_coding transcript_biotype:protein_coding AYILQEQGKLIELVDKSLGPNYSEEEAVMMLNLALTCTNSSPSLRPTMAAVVNIIEGKKPVSVLSMMDTGSNSNLSTWFEAFEILSDNNSQLVSSSTYHEPWMEPSVNTNVEEEEDTWISSTSRIISDYSH >DRNTG_06266.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:40923:43552:1 gene:DRNTG_06266 transcript:DRNTG_06266.13 gene_biotype:protein_coding transcript_biotype:protein_coding MCAISGTSGSSGSGSVRSEQIDKRTLDMVRAGLHCSLRMSRQMLPLLSMFG >DRNTG_06266.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:112380:115201:1 gene:DRNTG_06266 transcript:DRNTG_06266.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCAISGTNGSSGSGSVRSEQIDKRTLDMVRAGLHCSLRMSRQMLPLLSMFG >DRNTG_06266.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:68142:115201:1 gene:DRNTG_06266 transcript:DRNTG_06266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLVENELKQKAHHSRSGGDMLVPLSKDGGATAK >DRNTG_06266.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:40923:43552:1 gene:DRNTG_06266 transcript:DRNTG_06266.8 gene_biotype:protein_coding transcript_biotype:protein_coding MCAISGTSGSSGSGSVRSEQIDKRTLDMVRAGLHCSLRMSRQMLPLLSMFG >DRNTG_06266.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:40923:70827:1 gene:DRNTG_06266 transcript:DRNTG_06266.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCAISGTSGSSGSGSVRSEQIDKRTLDMVRAGLHCSLRMSRQMLPLLSMFG >DRNTG_06266.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:40923:70827:1 gene:DRNTG_06266 transcript:DRNTG_06266.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCAISGTSGSSGSGSVRSEQIDKRTLDMVRAGLHCSLRMSRQMLPLLSMFG >DRNTG_06266.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:40923:43552:1 gene:DRNTG_06266 transcript:DRNTG_06266.9 gene_biotype:protein_coding transcript_biotype:protein_coding MCAISGTSGSSGSGSVRSEQIDKRTLDMVRAGLHCSLRMSRQMLPLLSMFG >DRNTG_06266.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:40923:43552:1 gene:DRNTG_06266 transcript:DRNTG_06266.12 gene_biotype:protein_coding transcript_biotype:protein_coding MCAISGTSGSSGSGSVRSEQIDKRTLDMVRAGLHCSLRMSRQMLPLLSMFG >DRNTG_06266.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:68142:70827:1 gene:DRNTG_06266 transcript:DRNTG_06266.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLVENELKQKAHHSRSGGDMLVQLSK >DRNTG_06266.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:112380:115201:1 gene:DRNTG_06266 transcript:DRNTG_06266.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCAISGTNGSSGSGSVRSEQIDKRTLDMVRAGLHCSLRMSRQMLPLLSMFG >DRNTG_06266.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:40729:41208:1 gene:DRNTG_06266 transcript:DRNTG_06266.14 gene_biotype:protein_coding transcript_biotype:protein_coding MCAISGTSGSSGSGSVRSEQIDKRTFKNHTEQLKQNHGIFILIKHNSMANFN >DRNTG_06266.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:112380:115201:1 gene:DRNTG_06266 transcript:DRNTG_06266.6 gene_biotype:protein_coding transcript_biotype:protein_coding MCAISGTNGSSGSGSVRSEQIDKRTLDMVRAGLHCSLRMSRQMLPLLSMFG >DRNTG_15361.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26784863:26788135:-1 gene:DRNTG_15361 transcript:DRNTG_15361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKCEDKQQHHHQQQQRVSKLEGSLLELRDLMDSGEGPAKLGRIVE >DRNTG_15293.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4630551:4634531:-1 gene:DRNTG_15293 transcript:DRNTG_15293.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRIKILFTSICHTDLSAWLGHNEAQRAFPRILGHEASGIVESVGEGVEDLKTGDHVVPIFNGECGGCAYCKCEQTNLCTKFRVNPMKRVMDADGQPRFWMASKDGEERRPVYHFLNVSSFAEYTVVDSACAVRIQPAAPLHKMCLLSCCISTGVGAVWNTANVHEGSKVAIFGLGAVGLAVAEGARLRGASQIIGVDINPDKMIKGREMGVTDFINPANCKNPINEAIKEMTKGGVDFSFECAGNLDVLREAFTSTHDGWGLTVILGIHPTPRLLPLHPMELFDGRRMVGCVFGDFKGKSHLPEFVEKYMKGDATYKLNLDGFITHKLPFEKINEAFRLLQQGKSLRCLLHL >DRNTG_15293.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4630551:4634379:-1 gene:DRNTG_15293 transcript:DRNTG_15293.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVNGFQGSNNGYSNGFHASDTTGKIITCKAAVVWGPGEPFVIEEVQVDPPQKMEVRIKILFTSICHTDLSAWLGHNEAQRAFPRILGHEASGIVESVGEGVEDLKTGDHVVPIFNGECGGCAYCKCEQTNLCTKFRVNPMKRVMDADGQPRFWMASKDGEERRPVYHFLNVSSFAEYTVVDSACAVRIQPAAPLHKMCLLSCCISTGVGAVWNTANVHEGSKVAIFGLGAVGLAVAEGARLRGASQIIGVDINPDKMIKGREMGVTDFINPANCKNPINEAIKEMTKGGVDFSFECAGNLDVLREAFTSTHDGWGLTVILGIHPTPRLLPLHPMELFDGRRMVGCVFGDFKGKSHLPEFVEKYMKGDATYKLNLDGFITHKLPFEKINEAFRLLQQGKSLRCLLHL >DRNTG_15293.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4630551:4634784:-1 gene:DRNTG_15293 transcript:DRNTG_15293.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVMDADGQPRFWMASKDGEERRPVYHFLNVSSFAEYTVVDSACAVRIQPAAPLHKMCLLSCCISTGVGAVWNTANVHEGSKVAIFGLGAVGLAVAEGARLRGASQIIGVDINPDKMIKGREMGVTDFINPANCKNPINEAIKEMTKGGVDFSFECAGNLDVLREAFTSTHDGWGLTVILGIHPTPRLLPLHPMELFDGRRMVGCVFGDFKGKSHLPEFVEKYMKGDATYKLNLDGFITHKLPFEKINEAFRLLQQGKSLRCLLHL >DRNTG_32013.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18437146:18442587:1 gene:DRNTG_32013 transcript:DRNTG_32013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAESGNRGGVNSNVVSESNGFDSISMVSTSSCSPALGVNFIEHRVSKMDTLAGVAIKYGVEVADIKRMNGLVTDLQMYALKTLHIPLPGRHPPSPILKDDSASNGKQTSNQHNDGILDSFQSLKLKPPTHRKVSVAMSTLQGYYNLTPSRKAVHSEGTEMAVYKSKTGRALFSEDDPLPKQSPASDPLMNHHHKTRSLVNGFLPENGKVADQAAVISVGESSDSERANSEKSVRRRQKADTDPFSWVPRVLFEDSSVVLSGKTAKGTASKPKIGSQTDNDIIRPNAISAGDSSMANAFSAVRKSSSTSSLQDSENGSSVWSPWNLKPDMIAKPILDGLPNPMNIWRNKAALD >DRNTG_18666.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15856024:15857015:-1 gene:DRNTG_18666 transcript:DRNTG_18666.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKTSEPMTSLYSQDEEQAMIISSLVQVICGDTSLAVTIPDFFHLRPCLTCGINGCLGCDLFLDTTSSNVTAATDDQKQQKRKRKEKKNKYRGVRQRPWGKWAAEIRDPWRAVRKWLGTFDTAEDAARAYDLAAIQFRGPRAKLNFPFPDQFNGNVVFDRTASANTSMSSCTGANSEEEFQYQRQYNQQYEQQRNQKEELAVSFWDGLQDLVQLDEEEPL >DRNTG_18666.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15856024:15876282:-1 gene:DRNTG_18666 transcript:DRNTG_18666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNTSELMTSLYSQDEEQAMIISSLVQVICGDTSPAIIIPEFFHLQPCLICGIDGCLGCDLFSDATNTTSSCSNANAATDEQKQQKKKRREKKKNKYRGVRQRPWGRWAAEIRDPWRAVRKWLGTFDTAEDAARAYDLAAIQFRGPRAKLNFPFPDQFNGNVVFDRTASANTSMSSCTGANSEEEFQYQRQYNQQYEQQRNQKEELAVSFWDGLQDLVQLDEEEPL >DRNTG_26919.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2455883:2460466:1 gene:DRNTG_26919 transcript:DRNTG_26919.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSPTRSVSRNLSLSRLRGGAAKKSNGGSHRDGARITGCCSCA >DRNTG_26919.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2455883:2456400:1 gene:DRNTG_26919 transcript:DRNTG_26919.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSPTRSVSRNLSLSRLRGGAAKKSNGGSHRDGARITGCFAKDVMDSKAFFSRWMMY >DRNTG_20287.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5221939:5224481:1 gene:DRNTG_20287 transcript:DRNTG_20287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNNVNDSEVLEEMNNMIFKNLGYVTNNVLVTTRYESVITHESIYKHKLPLLSEEDGWALMCKLLFNDGEKGNMQHFEQIGKKMVNKCHGLPLSIKTIARILNAKEKNISEWENVLENIIVSLEPSETLPKAIYLFPFENLSPYIKQCFIFCAFFPKDYILEKNIVTQQWIVVGLVKKPSISLIAEEMGENILMTEDVANDYYMELLESNILQPAAECLYYDGEAMCRMDKNLHSFGRHLAKNYGYFQGDVETLGKISSSSSSSDSAPKLHHLVITNHALLDVFPNIVKRQTSVRTLIFTSKLEIIKLPKDLCQKLKLLRILDISGSDCEVLPKSLFKLVHLHHLNLSCLPIKTLPDTLGNLINLQYLILRYCGSLLDLPEGITRLHKLKSINLHQTPLMGMPIGISKLSQLTSLIGFVASDSTSFCRLEEIRRLKELRTINIVNLEVVDVPYSEIFPIHIHLTDVTLSCGGEDQLYEEGEKEKVQKFFEVLSPWSKLIQHIKINGYFGLEFPRWIMNLPFNKLKRLDLLKCKYCKKLPPLYQFPLLEHLRVEDAWSIKHIKLDIEPWRNFPRLRSLILQDMPEWEEWTWEPSHRSHFVMPVLESLEIVNCPKLKSLPQGLAYHAKSLATLTIYKAHSLEKVEGFTSVKIATFFSNHSLYIISGFPTTCNFEIDDCPKLDVTLLPQTSSTDAC >DRNTG_19121.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29656524:29660282:-1 gene:DRNTG_19121 transcript:DRNTG_19121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGTGAGYDRHITIFSPEGRLYQVEYAFKAVKASGITSIGVRGKDSVCVVTQKKVPDKLLDQTSVSHLFPITKYLGLLATGMTADARSLVHQARNEAAEFRFKWGYEMPVDVLAKWIADKSQVYTQHAYMRPLGVVAMVLGIDEENGPQLFKCDPAGHFFGHKATSAGLKEQEAINFLEKKMKNDPAFSYEETVQTAISALQSVLQEDFKATEIEVGVVRKDAPQFRVLSTEEIDEHLTAISERD >DRNTG_07582.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22157412:22159572:-1 gene:DRNTG_07582 transcript:DRNTG_07582.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SET DOMAIN GROUP 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) UniProtKB/Swiss-Prot;Acc:Q6NQJ8] MQEIDLKSQLQSFRAWLWASATISSRTLHVPWDSAGCLCPVGDLFNYAAPKEEYSSDAASLSEHNDTEGALDAKQPDSYSVRLTDGGYEAETSSYCFYAKRYYRKGEQVLLSYGTYTNLELLEHYGFLLDTNPNDKAFIELDADVCRISSWPKDSLYIQPDGSPSFALLCALRLWATPANHRKAVKHMFYTGSMLSVENELFIMKWLAKKCQQLLGRMPTTMDEDNLLLRRINMMLDQPSCVEGVEAYSCRELESFLQVNCLVNQATESQLSVKAKRSLERFKLAIKWRLSHKTMLLNCVSHCKRISDRLSSQH >DRNTG_07582.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22157349:22158276:-1 gene:DRNTG_07582 transcript:DRNTG_07582.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SET DOMAIN GROUP 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) UniProtKB/Swiss-Prot;Acc:Q6NQJ8] MFYTGSMLSVENELFIMKWLAKKCQQLLGRMPTTMDEDNLLLRRINMMLDQPSCVEGVEAYSCRELESFLQVNCLVNQATESQLSVKAKRSLERFKLAIKWRLSHKTMLLNCVSHCKRISDRLSSQH >DRNTG_07582.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22157412:22158276:-1 gene:DRNTG_07582 transcript:DRNTG_07582.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SET DOMAIN GROUP 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) UniProtKB/Swiss-Prot;Acc:Q6NQJ8] MFYTGSMLSVENELFIMKWLAKKCQQLLGRMPTTMDEDNLLLRRINMMLDQPSCVEGVEAYSCRELESFLQVNCLVNQATESQLSVKAKRSLERFKLAIKWRLSHKTMLLNCVSHCKRISDRLSSQH >DRNTG_07582.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22157412:22161153:-1 gene:DRNTG_07582 transcript:DRNTG_07582.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SET DOMAIN GROUP 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) UniProtKB/Swiss-Prot;Acc:Q6NQJ8] MQEIDLKSQLQSFRAWLWASATISSRTLHVPWDSAGCLCPVGDLFNYAAPKEEYSSDAASLSEHNDTEGALDAKQPDSYSVRLTDGGYEAETSSYCFYAKRYYRKGEQVLLSYGTYTNLELLEHYGFLLDTNPNDKAFIELDADVCRISSWPKDSLYIQPDGSPSFALLCALRLWATPANHRKAVKHMFYTGSMLSVENELFIMKWLAKKCQQLLGRMPTTMDEDNLLLRRINMMLDQPSCVEGVEAYSCRELESFLQVNCLVNQATESQLSVKAKRSLERFKLAIKWRLSHKTMLLNCVSHCKRISDRLSSQH >DRNTG_07582.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22157412:22161153:-1 gene:DRNTG_07582 transcript:DRNTG_07582.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SET DOMAIN GROUP 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) UniProtKB/Swiss-Prot;Acc:Q6NQJ8] MQEIDLKSQLQSFRAWLWASATISSRTLHVPWDSAGCLCPVGDLFNYAAPKEEYSSDAASLSEHNDTEGALDAKQPDSYSVRLTDGGYEAETSSYCFYAKRYYRKGEQVLLSYGTYTNLELLEHYGFLLDTNPNDKAFIELDADVCRISSWPKDSLYIQPDGSPSFALLCALRLWATPANHRKAVKHMFYTGSMLSVENELFIMKWLAKKCQQLLGRMPTTMDEDNLLLRRINMMLDQPSCVEGVEAYSCRELESFLQVNCLVNQATESQLSVKAKRSLERFKLAIKWRLSHKTMLLNCVSHCKRISDRLSSQH >DRNTG_07582.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22157412:22161153:-1 gene:DRNTG_07582 transcript:DRNTG_07582.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SET DOMAIN GROUP 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) UniProtKB/Swiss-Prot;Acc:Q6NQJ8] MEESSELEALLRWAAMVGISDSPSSSAPPSRTSCLGHSLAVASFPDAGGRGLAAVRDLKKGELVLRVPRSALLTRERVVEADEKLSDCIRRHPCLSSTQILSVCLLVEVGKGKKSWWYPYLVQLPRHYDTLSNFTQFEIQALQIEDAKWVSDKAVSNARSEWKEAVLVMQEIDLKSQLQSFRAWLWASATISSRTLHVPWDSAGCLCPVGDLFNYAAPKEEYSSDAASLSEHNDTEGALDAKQPDSYSVRLTDGGYEAETSSYCFYAKRYYRKGEQVLLSYGTYTNLELLEHYGFLLDTNPNDKAFIELDADVCRISSWPKDSLYIQPDGSPSFALLCALRLWATPANHRKAVKHMFYTGSMLSVENELFIMKWLAKKCQQLLGRMPTTMDEDNLLLRRINMMLDQPSCVEGVEAYSCRELESFLQVNCLVNQATESQLSVKAKRSLERFKLAIKWRLSHKTMLLNCVSHCKRISDRLSSQH >DRNTG_07582.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22157412:22161153:-1 gene:DRNTG_07582 transcript:DRNTG_07582.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SET DOMAIN GROUP 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) UniProtKB/Swiss-Prot;Acc:Q6NQJ8] MQEIDLKSQLQSFRAWLWASATISSRTLHVPWDSAGCLCPVGDLFNYAAPKEEYSSDAASLSEHNDTEGALDAKQPDSYSVRLTDGGYEAETSSYCFYAKRYYRKGEQVLLSYGTYTNLELLEHYGFLLDTNPNDKAFIELDADVCRISSWPKDSLYIQPDGSPSFALLCALRLWATPANHRKAVKHMFYTGSMLSVENELFIMKWLAKKCQQLLGRMPTTMDEDNLLLRRINMMLDQPSCVEGVEAYSCRELESFLQVNCLVNQATESQLSVKAKRSLERFKLAIKWRLSHKTMLLNCVSHCKRISDRLSSQH >DRNTG_13877.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000645.1:3781:5217:-1 gene:DRNTG_13877 transcript:DRNTG_13877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPSPSQSPTTFITHLHIKKPSSLYANQWSISTLECQKVQKALETHGFFLVKYHDDQEQEQDECSLLHETFSSLNQLFNLPRETKLKNSSLPPYYTYFRTTVYETLAVVNSFSSSGQLLSFTQLMWPHGNQKFYETMRRMSGKLIELSKIILCMLCKSYGVIGRTELDHSAADHEATMDPLFRMMKYSAPLSNNNNDGDGDGDGDNDEDEEPILRLTPHTDQNFVTVLCQNEVDGLMCKSRDGEWMKVNPSPGSFIVLAGDALRVWSNGRIHAPLHKVMMKGKKDRLSFGMFVGPKGDKVVEVFDEFVDEEEGRPAIFKPFIFLDYLNFSLENVCRAETMLDSFECINSA >DRNTG_13877.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000645.1:3781:5217:-1 gene:DRNTG_13877 transcript:DRNTG_13877.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMSGKLIELSKIILCMLCKSYGVIGRTELDHSAADHEATMDPLFRMMKYSAPLSNNNNDGDGDGDGDNDEDEEPILRLTPHTDQNFVTVLCQNEVDGLMCKSRDGEWMKVNPSPGSFIVLAGDALRVWSNGRIHAPLHKVMMKGKKDRLSFGMFVGPKGDKVVEVFDEFVDEEEGRPAIFKPFIFLDYLNFSLENVCRAETMLDSFECINSA >DRNTG_00548.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30206418:30211328:-1 gene:DRNTG_00548 transcript:DRNTG_00548.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPGQLNLDESPSWGSRSVDCFEKLEQIGEGTYGQVYMAKEIKTGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVIQLKEIVTSPGPEKDEQGKPDGNKYKGSIYMVFEYMDHDLTGLADRPGMRFAVPQIKCYMKQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSSDHNGNLTNRVITLWYRPPELLLGTTKYGPAVDMWSVGCIFAELLHGKPILPGKNEPEQLTKIFELCGTPDEINWPGVSKLPWYNNFKPSRPMKRRVREVFKHFDRHALDLLERMLTLDPNQRISAKDALDAEYFWNDPLPCDPKSLPKYESSHEFQTKKKRQQQRQQEETAKRQKLQHPQPHARLPPIQQPGQVHSQIRPGPSQPIHNAPPPQMAAGPGHHYGKPRGPSGGPSRYPQGGGNPSGGYNPNRGGQGGGYTSGPYPPPGRGPPPYAGSGMPGTGGPRGGSAGGYGVGAPNYPQGGPYGANNQGRGPNMMGGGRNQQQYGGWQ >DRNTG_00548.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30206418:30211328:-1 gene:DRNTG_00548 transcript:DRNTG_00548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPGQLNLDESPSWGSRSVDCFEKLEQIGEGTYGQVYMAKEIKTGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVIQLKEIVTSPGPEKDEQGKPDGNKYKGSIYMVFEYMDHDLTGLADRPGMRFAVPQIKCYMKQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSSDHNGNLTNRVITLWYRPPELLLGTTKYGPAVDMWSVGCIFAELLHGKPILPGKNEPEQLTKIFELCGTPDEINWPGVSKLPWYNNFKPSRPMKRRVREVFKHFDRHALDLLERMLTLDPNQRISAKDALDAEYFWNDPLPCDPKSLPKYESSHEFQTKKKRQQQRQQEETAKRQKLQHPQPHARLPPIQQPGQVHSQIRPGPSQPIHNAPPPQMAAGPGHHYGKPRGPSGGPSRYPQGGGNPSGGYNPNRGGQGGGYTSGPYPPPGRGPPPYAGSGMPGTGGPRGGSAGGYGVGAPNYPQGGPYGANNQGRGPNMMGGGRNQQQYGGWQ >DRNTG_32594.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001867.1:65307:65566:-1 gene:DRNTG_32594 transcript:DRNTG_32594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDLANNQLSGKISMSFGQVESLQQLMLYNNSLHGNDGEETKETTEEKKRWLTWPL >DRNTG_34006.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10126360:10130024:-1 gene:DRNTG_34006 transcript:DRNTG_34006.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic cation/carnitine transporter 4 [Source:Projected from Arabidopsis thaliana (AT3G20660) UniProtKB/Swiss-Prot;Acc:Q9LHQ6] MNSKAADPEAQGGGGDDLRVGLLKSNGDGNKLVIDEMLRRHAGEMGRWQMRHFLLTTLAWSLEAFHTMVMIFADREPAWRCSGLGFCPPDPCGLGPGDWGWIDGSGVSTVAEWGLVCGEKYKVGLVQSAFFLGCMIGAGLFGHLSDSFLGRKGSLTAVCILNTIFGLLTSMSPNYWVYFILRLLTGFSTGGVGLCAFVLATEPIGPSKRAIAGMSTFYFFSGGIALLSGTAYIFKSWRTLYIATSLPSLLFLFAIIPFISESPRWYLVRRRINDAMSVMRAIAQTNGKHIPDGVTLILDDDNDDDVCDEKLEPSNQTQAISGSLVDVIRSPITRNRLFLSIAINFLTSVVYYGLSLNVVNLKTNLYLSVFLNAVSEMPAFLLTALLLNWLGRKPLCASTMFLSGVSCVIGSLLSNSGTMKVPRLVCGLIGIFGMAATYNLLFIYTSELFPTVVRSAALGRCLTSCTDG >DRNTG_34006.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10126360:10127778:-1 gene:DRNTG_34006 transcript:DRNTG_34006.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic cation/carnitine transporter 4 [Source:Projected from Arabidopsis thaliana (AT3G20660) UniProtKB/Swiss-Prot;Acc:Q9LHQ6] MSPNYWVYFILRLLTGFSTGGVGLCAFVLATEPIGPSKRAIAGMSTFYFFSGGIALLSGTAYIFKSWRTLYIATSLPSLLFLFAIIPFISESPRWYLVRRRINDAMSVMRAIAQTNGKHIPDGVTLILDDDNDDDVCDEKLEPSNQTQAISGSLVDVIRSPITRNRLFLSIAINFLTSVVYYGLSLNVVNLKTNLYLSVFLNAVSEMPAFLLTALLLNWLGRKPLCASTMFLSGVSCVIGSLLSNSGTMKVPRLVCGLIGIFGMAATYNLLFIYTSELFPTVVRSAALGRCLTSCTDG >DRNTG_28803.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28748125:28749976:1 gene:DRNTG_28803 transcript:DRNTG_28803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGLSSCTDSTWWLFALPAFISSEAGFSGNALLLLSLFIAFFSTILLAWAFSPGGPAWSNGRSRRGPISIPGPRGLPILGSLLTLTRGLPHRSLAHLSRLHSATSLMAFSIGSTPAVVSSSPSTARELLTHPSLSDRPLKQSARELMFARAIGFAPSGSYWRLLRRIAASHLFSPRRVAAHELGRQFDCSVMLTSISAEQASCGSVLLRPFLQAAALNNIMGSVFGTRFDLTLETGDTKASHLQSLVREGFDLLGAFNWSDHLPWLTTFFDPSNIKQRCAILVPRVRSFVKDIIDDHKRSKPTAGDRTQVTDNADFVDVLLSLQGDEKLHEDDMIAILWEMIFRGTDTTALLTEWAMAELVLNPSVQARLRAEIDSVVGPDRVVTDADVARMPYLQAVVKEALRVHPPGPLLSWARLASEDVHLSNGMVVPAGTTTMVNMWAITHDPNVWAQPEEFKPERFLDADVDVRGGDLRLAPFGAGRRVCPGKNLGIVTVGLWIARLIHVYEWVPDQSAPVDLTEVLKLSCEMKTPLTAVALARN >DRNTG_13015.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26719463:26722055:1 gene:DRNTG_13015 transcript:DRNTG_13015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLGKKRVLITSNGDEISKGIVFHLAKWGCRLVLMGDESCLRSMVAEISSSLKEIEPIEVVGLNFEDDDEAVFDAAVDKAWNALGALDAFVNCYLYEGKICEPLLLTEREFKKTVKINYMAPWFLLKSVAKRMRDSKLGGSIVFLTTILGSERGLYQGAAAAGSCMAAVEQLTRISAMEIGKYNIRVNSISRGLHLGDAYPTSIGRDKAERSTEHVMPLQRWLNPKNDLASTVIYLICDDSRYMTGTSIFVDGAQSLVRPRMKSFL >DRNTG_13015.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26719463:26722055:1 gene:DRNTG_13015 transcript:DRNTG_13015.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERLGKKRVLITSNGDEISKGIVFHLAKWGCRLVLMGDESCLRSMVAEISSSLKEIEPIEVVGLNFEDDDEAVFDAAVDKAWNALGALDAFVNCYLYEGKICEPLLLTEREFKKTVKINYMAPWFLLKSVAKRMRDSKLGGSIVFLTTILGSERGLYQGAAAAGSCMAAVEQLTRISAMEIGKYNIRVNSISRGLHLGDAYPTSIGRDKAERSTEHVMPLQRWLNPKNDLASTVIYLICDDSRYMTGTSIFVDGAQSLVRPRMKSFL >DRNTG_31461.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1877718:1878362:-1 gene:DRNTG_31461 transcript:DRNTG_31461.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGTLKRCLVDTFYGTELGFRASSEVRAEIFELVTQLETLNPTPAPIEAAELLDGDW >DRNTG_31461.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1877654:1878362:-1 gene:DRNTG_31461 transcript:DRNTG_31461.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGTLKRCLVDTFYGTELGFRASSEVRAEIFELVTQLETLNPTPAPIEAAELLDGDWDSTVSFHDVFSLNNQMLLSFL >DRNTG_28348.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:248675:253677:1 gene:DRNTG_28348 transcript:DRNTG_28348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKSSHSSPVLADSVPVSKSRLGLSNNLVPYSPPPTAYPPSLYLSIPRRKAITGKFDEVRESAWLDAMVASSPPRKKLNKDFGHESQDESDTAYCIWLMKFPSALSSFDQITSSANGKNIALFLDYDGTLSPIVDNPDLAVMSPAMRAAVHSVAKNFPTAIISGRSRDKVYEFVGLKELYYAGSHGMDIVGPVRECFSLDDHPNCIRSTDTQGNEVNLFQPANEFLPMIDEVFKSLVEITKGINGAKVENNKFCVSVHYRLVNEKSWSEVACCVENVLKGYPRLRLTHGRKVLEVRPVIDWNKGKAVEFLLESLGLSNSDDVLPIYVGDDRTDEDAFKVLREGNRGFGILVSSNPREETNAFYSLRDPCEVMSFLKSLVKWKKSTALRG >DRNTG_34863.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21967316:21968591:-1 gene:DRNTG_34863 transcript:DRNTG_34863.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVLRGVTCTFTGGTKTGIVGRTGSGKSTLIQTLFRIIDPTVGQIFIDNIDISTIGLHDLRSRLSIIPQDPTMFGGTIRSNLDPLEEYTDDQIWEALDCCQLGDEVRKKELKLDSPVTENGENWSVGQRQLVCLGRVLLKKSKILVLDEATASVDTATDSLIQKTIRHQFADSTVITIAHRITSVLDSDMVLLLDHGLIAEHDSPAKLLEDKSSLFAKLVSEYTIRSSSSYDN >DRNTG_34863.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21967316:21973025:-1 gene:DRNTG_34863 transcript:DRNTG_34863.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFQSSVHVFLAKLQSFRFEILRPVFLHGFFGFCHVFVLLALLLLWLRRKFKGANFNRRLSRNSRSLYHKIAVFTCLFLGLLNLFFCVYDYFWFEFDVWNDGHWVTLLDLAGRTLAWFAVSSSLHSEFIHAGRKKFSLLLRLWCGLFLILSLSLLVIDFAYFRRAGVLETYDLVLDSGSLLFGLILNYVLFSWKRNEDEEEEKEEDEENTHLREPLLDANTQNTTADIGNVSLFANAGLLSILTFSWMGPLLAVGHKKTLDLEDVPKLADYDTVHGVFPIFKRRLESYVTTDDNAGGKNSNKITTFKLAKALIFSVWEQFLLTGLYALVYTGATYVGPYLIDFFVQYLNGDRKFANEGYYLVAAFIVAKLLECLSQRHWFFRLQEAGIRMRSSLVAIIYRKGLALSSSSRQSRTSGEIINIMSVDAERISLFSWYMHDLWMVPIQVALALLILYASLGLASFAALGATIVVMLLNVPLGKMQEKYQEKLMESKDIRMKATSEILRNMRILKLQGWEMKFLSKIVDLRKNETNWLKKYVYTSALTTFVFWGAPTFVAVVTFGACMIMGIPLESGKVLSALATFRVLQEPIYNLPDTISMVIQTKVSLDRISSFLCLQDLEPDVVHRLPPGSSEVAIEVTNGCFSWELTSENPTLKDLNFQVLQGMRVAVCGTVGSGKSSLLSCILGEVPKVSGTVKLCGTTAYVAQSPWIQSGKIQDNILFGQEMDREKYDSILEACSLKKDLEILPFGDQTVIGERGINLSGGQKQRVQIARALYHDADIFLFDDPFSAVDAHTGSHLFKECLLGLLRSKTVVYVTHQVEFLPSADLILVMKDGRITQAGKYNDILSSGTDFMELVGAHQDALASLDSVELATDTPTNGTGDSSCSKDNDKHAEDEQKGKTDEAVDQKGQLVQDEEREKGSVSFSVYWKYITMAYKGAFVPLILLAQILFQVLQIGSNYWMAWAAPTSADVEPPVSSSMLIYVFVALAIGSSFCILVRAVLLVTAGYKTATLMFDKMHNCIFRAPMSFFDSTPTGRILNRASTDQSEVDTGIPLQLGSFAFAIIQLLGIIAVMSQVGWQIILIFIPVIGVSFWYQRYYIDSARELARLVGVCKAPIIQHFAESLSGSTTVRSFNQESRFEGTNFQLVDKFSRPKFHNAGAMEWLCFRLDMLSSITFAFSLLFLISVPVGFIDPGLAGLAVTYGLNLNMLQAWVIWNLCNLENKIISVERIFQYMSIPSEPPLTTDSESITLDCKWPSEGEIILNDLQVRYAPHMPFVLRGVTCTFTGGTKTGIVGRTGSGKSTLIQTLFRIIDPTVGQIFIDNIDISTIGLHDLRSRLSIIPQDPTMFGGTIRSNLDPLEEYTDDQIWEALDCCQLGDEVRKKELKLDSPVTENGENWSVGQRQLVCLGRVLLKKSKILVLDEATASVDTATDSLIQKTIRHQFADSTVITIAHRITSVLDSDMVLLLDHGLIAEHDSPAKLLEDKSSLFAKLVSEYTIRSSSSYDN >DRNTG_21854.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6337671:6345050:1 gene:DRNTG_21854 transcript:DRNTG_21854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGALDLASGLGGKIKKQEVQSAVQQYEKYHAHFGADEETRKANYADMVNKFNDLATSFYEYGWGESFHFANRWKGESFRESIKRHEHYLALQLGLKKGMKVLDVGCGIGGPLREIARFSSTSITGVNNNEYQISRGTELNRLGGLSDSCNFVKADFMKMPFADNTFDAIYTIEATCYAPDAVACYKEIYRVLKPGQCFAAYEWGMTDNFDHNNQIHQSIKAEIELGNGLPGIRTINQCLKALKLVGFEIILEKDLAADSPVPWYEPLDTSRFSLSSFRLTLLGRFITKAMINALEFVGLAPEGSGRVSSFLEKGTEAFLAGGRMEIFTPMYFFLVRKPVSNS >DRNTG_21854.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6337671:6344617:1 gene:DRNTG_21854 transcript:DRNTG_21854.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGALDLASGLGGKIKKQEVQSAVQQYEKYHAHFGADEETRKANYADMVNKFNDLATSFYEYGWGESFHFANRWKGESFRESIKRHEHYLALQLGLKKGMKVLDVGCGIGGPLREIARFSSTSITGVNNNEYQISRGTELNRLGGLSDSCNFVKADFMKMPFADNTFDAIYTIEATCYAPDAVACYKEIYRVLKPGQCFAAYEWGMTDNFDHNNQIHQSIKAEIELGNGLPGIRTINQCLKALKLVGFEIILEKDLAADSPVPWYEPLDTSRFSLSSFRLTLLGRFITKAMINALEFVGLAPEGSGRVSSFLEKGTEAFLAGGRCVNVFRSSYVFFKDF >DRNTG_21854.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6337671:6345050:1 gene:DRNTG_21854 transcript:DRNTG_21854.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGALDLASGLGGKIKKQEVQSAVQQYEKYHAHFGADEETRKANYADMVNKFNDLATSFYEYGWGESFHFANRWKGESFRESIKRHEHYLALQLGLKKGMKVLDVGCGIGGPLREIARFSSTSITGVNNNEYQISRGTELNRLGGLSDSCNFVKADFMKMPFADNTFDAIYTIEATCYAPDAVACYKEIYRVLKPGQCFAAYEWGMTDNFDHNNQIHQSIKAEIELGNGLPGIRTINQCLKALKLVGFEIILEKDLAADSPVPWYEPLDTSRFSLSSFRLTLLGRFITKAMINALEFVGLAPEGSGRVSSFLEKGTEAFLAGGRMEIFTPMYFFLVRKPVSNS >DRNTG_30800.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1574633:1576047:1 gene:DRNTG_30800 transcript:DRNTG_30800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHPRCNVYIFGLILWELVTLRMPWSGLNSMQVVGFVGFQYLRLEIPKVVDPLVAKIIWHCLQKDPNLRPSFADLTTALKSLQWLVVPAYQDAQNPPLAQEKPANTTP >DRNTG_30800.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1574633:1576047:1 gene:DRNTG_30800 transcript:DRNTG_30800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDLLHSEPSKEKCNVYIFGLILWELVTLRMPWSGLNSMQVVGFVGFQYLRLEIPKVVDPLVAKIIWHCLQKDPNLRPSFADLTTALKSLQWLVVPAYQDAQNPPLAQEKPANTTP >DRNTG_30800.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1574633:1576047:1 gene:DRNTG_30800 transcript:DRNTG_30800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDLLHSEPSKEKCNVYIFGLILWELVTLRMPWSGLNSMQVVGFVGFQYLRLEIPKVVDPLVAKIIWHCLQKDPNLRPSFADLTTALKSLQWLVVPAYQDAQNPPLAQEKPANTTP >DRNTG_18575.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000964.1:26411:27026:1 gene:DRNTG_18575 transcript:DRNTG_18575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHPLAHSLYYGRKELLECGGLVDRPRLLQRSPVKPRAHLAGSVPTKAPPIALFRRKCGVKGRQTSPKPYQSLSKS >DRNTG_29325.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29703906:29707154:1 gene:DRNTG_29325 transcript:DRNTG_29325.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWGLRSCRGFITEVQREARASREDVQAIIDSQREFRHLNLFRKGLSLDGFFRFLFAEENSPISHSLGVHQDMTAPLSHYFIYTSHNSYLTGNQLSSASSDVPIIKALKRGVKVIELDMWPNEKRDNVDIYHGRTLTTPVELLRCLMSIKEHAFTASPYPVIITLEDHLTPDLQAKVAKVTCMECH >DRNTG_29325.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29703906:29707154:1 gene:DRNTG_29325 transcript:DRNTG_29325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWGLRSCRGFITEVQREARASREDVQAIIDSQREFRHLNLFRKGLSLDGFFRFLFAEENSPISHSLGVHQDMTAPLSHYFIYTSHNSYLTGNQLSSASSDVPIIKALKRGVKVIELDMWPNEKRDNVDIYHGRTLTTPVELLRCLMSIKEHAFTASPYPVIITLEDHLTPDLQAKVAKMLTETFGEMLFTPETEFLEEFPSPESLKMRILISTKPPKEYLDSKTGKDKDHETQKGPDDESSWGAEVSDSKLDLHTYSKTESEHTVEDEDPDEGERKPEYKRLIGIAARKKKGSMTESLAVDHKVTRMSLSEQTFDKATENHGTELVRYTQSMMTATYVQRS >DRNTG_28274.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2887050:2887862:1 gene:DRNTG_28274 transcript:DRNTG_28274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMHTYPPSNSCSDIHMSVPGTTRQPLGRMNQVLVKS >DRNTG_17625.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4400237:4403789:1 gene:DRNTG_17625 transcript:DRNTG_17625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNLMDKVSAFGERLKISGAEVGRKMSSGMSSMSFKMKELFQGQSQEDKLVGEATAETLDAPNWSTNLEICDLLNSEKINSVEFIRAIKSRIMLKDSQVQYLALVLLETCVKNCEKAFAEVASERVLDEMVKLIDDPQTVVNNRNKALVLIEAWGESGNELRYLPVYEETYKSLKSRGVRFPGRDDESLAPIFTPPRSVSEVEANFDGSQFLQGPSDVMLDSFSAENIKEAFDVARNSIELLSTVLSSSPQQEASQDDLTTTLVQQCHQSQLTIQRIIQAAGDDEAVLFEALNVNDELQKALSKYEQFKKPPVVQSEPEPAMIPVAVEPEDSPRISREEALVRKPAGSRAKSGGDDDMMNDLDEMIFGNKQGNTSNDRQQNKQDDKNDLLTF >DRNTG_17625.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4400237:4403789:1 gene:DRNTG_17625 transcript:DRNTG_17625.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNLMDKVSAFGERLKISGAEVGRKMSSGMSSMSFKMKELFQGQSQEDKLVGEATAETLDAPNWSTNLEICDLLNSEKINSVEFIRAIKSRIMLKDSQVQYLALVLLETCVKNCEKAFAEVASERVLDEMVKLIDDPQTVVNNRNKALVLIEAWGESGNELRYLPVYEETYKSLKSRGVRFPGRDDESLAPIFTPPRSVSEVEANFDGSQFLQGPSDVMLDSFSAENIKEAFDVARNSIELLSTVLSSSPQQEASQDDLTTTLVQQCHQSQLTIQRIIQAAGDDEAVLFEALNVNDELQKALSKYEQFKKPPVVQSEPEPAMIPVAVEPEDSPRISREEALVRKPAGSRAKSGGDDDMMNDLDEMIFGNKQGNTSNDRQQNKQDDKNDLLTF >DRNTG_17625.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4400237:4403089:1 gene:DRNTG_17625 transcript:DRNTG_17625.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNLMDKVSAFGERLKISGAEVGRKMSSGMSSMSFKMKELFQGQSQEDKLVGEATAETLDAPNWSTNLEICDLLNSEKINSVEFIRAIKSRIMLKDSQVQYLALVLLETCVKNCEKAFAEVASERVLDEMVKLIDDPQTVVNNRNKALVLIEAWGESGNELRYLPVYEETYKSLKSRGVRFPGRDDESLAPIFTPPRSVSEVEANFDGSQFLQGPSDVMLDSFSAENIKEAFDVARNSIELLSTVLSSSPQQEASQDDLTTTLVQQCHQSQLTIQRIIQAAGDDEAVLFEALNVNDELQKALSKYEQFKKPPVVQSEPEPAMIPVAVEPEDSPRISREEALVRKPAGSRAKSGGDDDMMNDLDEMIFGNKQGNTSNDRQQNKQDDKNDLLTF >DRNTG_17625.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4400237:4403240:1 gene:DRNTG_17625 transcript:DRNTG_17625.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNLMDKVSAFGERLKISGAEVGRKMSSGMSSMSFKMKELFQGQSQEDKLVGEATAETLDAPNWSTNLEICDLLNSEKINSVEFIRAIKSRIMLKDSQVQYLALVLLETCVKNCEKAFAEVASERVLDEMVKLIDDPQTVVNNRNKALVLIEAWGESGNELRYLPVYEETYKSLKSRGVRFPGRDDESLAPIFTPPRSVSEVEANFDGSQFLQGPSDVMLDSFSAENIKEAFDVARNSIELLSTVLSSSPQQEASQDDLTTTLVQQCHQSQLTIQRIIQAAGDDEAVLFEALNVNDELQKALSKYEQFKKPPVVQSEPEPAMIPVAVEPEDSPRISREEALVRKPAGSRAKSGGDDDMMNDLDEMIFGNKQGNTSNDRQQNKQDDKNDLLTF >DRNTG_18348.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14788600:14793484:1 gene:DRNTG_18348 transcript:DRNTG_18348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAAEASFLVTNRARSLLSADSAVALPSRALVSNKGIEKNQGNAGERRPPSSPDETARKGDKPERSETKERPRFTAAMGRGLRSAVRAGSAEEEISLSMRALPERERE >DRNTG_25712.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001334.1:43003:47028:-1 gene:DRNTG_25712 transcript:DRNTG_25712.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRANTERPQGSLPSNTEQNPWEHLKAVTLRSGRWLEARAEDGSSAKEDGVTILEDPAVAEPVRMPNKRVASKRPRHDDVPTGDLHFTQPQHLARYINLKN >DRNTG_10155.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:641265:641672:1 gene:DRNTG_10155 transcript:DRNTG_10155.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNKSFNKDNKEVDERRQHLNADLEDYSTKPLLLNKSTLLSIRTSPKADIYYMSARSLASSGTPPYGSSSY >DRNTG_23166.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001260.1:24733:25473:1 gene:DRNTG_23166 transcript:DRNTG_23166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHENKKDYMPSEQEETPFPSQTLEQMEAMAKGEKAGRKVRRLTSEKKRGRVSRMA >DRNTG_14666.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5753622:5758411:-1 gene:DRNTG_14666 transcript:DRNTG_14666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRAGGKLLIPKRAFSSSLEAIEKPQKDGKTLNLFSAINQALHIALDTDPRAYVFGEDIGFGGVFRCTTGLADRFGRNRVFNTPLCEQGIAGFAIGLAAMGNRAIAEIQFADYIFPAFDQIVNEAAKFRYRSGNQFNCGGLTIRTPYGAVGHGGHYHSQSPESFFCHVPGLKVVIPRSPRQAKGLLLACIRDPNPVVFFEPKWLYRLSVEEVPEEDYMLPLSQAEVIRQGNDITIVGWGAQLQILEQACDAAAKEGISCELIDLRTLIPWDKETVEASVSKTGRLLVSHEAPVTGGFGAEISASIVERCFLRLEAPVTRVCGLDTPFPLVYEPFYMPTKNKVLDAIKATVNY >DRNTG_23099.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19773159:19782433:1 gene:DRNTG_23099 transcript:DRNTG_23099.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCYGKNVAVAQDAGGGGGGGGGANVGGDVAGVQSPLPASAVVNGTATTPSRKGSAAATPGRSSAAASPWPSPYPAGVSPSPARSTPRRFFRRPFPPPSPAKHIKASLLKRLGPPKPPEGPIPEDVVGETERPLDKSFGYGKNFGAKYELGKEVGRGHFGHTCSAVAKKGEMKGQLVAVKIISKAKMTTPISIEDVRREVKILKALSGHTNLVKFYDAFEDTLNVYIIMELCEGGELLDRILSRGGRYKEEDAKSIVVQILSVVSFCHLQGVVHRDLKPENFLFSTSDENAPMKLIDFGLSDFIRPGFSCWLVLVGYSV >DRNTG_23099.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19773159:19782433:1 gene:DRNTG_23099 transcript:DRNTG_23099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCYGKNVAVAQDAGGGGGGGGGANVGGDVAGVQSPLPASAVVNGTATTPSRKGSAAATPGRSSAAASPWPSPYPAGVSPSPARSTPRRFFRRPFPPPSPAKHIKASLLKRLGPPKPPEGPIPEDVVGETERPLDKSFGYGKNFGAKYELGKEVGRGHFGHTCSAVAKKGEMKGQLVAVKIISKAKMTTPISIEDVRREVKILKALSGHTNLVKFYDAFEDTLNVYIIMELCEGGELLDRILSRGGRYKEEDAKSIVVQILSVVSFCHLQGVVHRDLKPENFLFSTSDENAPMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSMEADIWSIGVITYILLCGSRPFWARTESGIFRTVLRADPNFDDSPWPTVSPKAKDFVKRLLNKDYRKRMTAAQALTHPWLRDDQRQIPLDFLIYKLVKSYLRATPLRRAALKALSKALTEDELLYLRSQYNLLEPSKDGRVSLENFRTALMQNATDAMKESRVPDILKALEPLAYRRMDFEEFCAAAVSPYQLEALEDWEQIASTAFEYFEQEGNRVVSVEELAQEMNLAPASYSMVTDWIRQSDGKLSFLGYTKFLHGVTIRSSNTRHR >DRNTG_00165.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30976046:30977082:-1 gene:DRNTG_00165 transcript:DRNTG_00165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANVILGKALREECWSIYFHNARASTAKEFDDTVNKLHATSPEGHHWLINKSEMPNWSDYLFRGEHWDDMYSNVTESFNACIKEARHLPVTKMVDSIRFKLMRMLYNRREQANKRETYLCPDIHSKEAIFPIPDYDKPTDGNRELRLRSPLMRRQPGRPKRKRIESQVFEVRELHCCRCHGSGHNRKSCNETVTD >DRNTG_12827.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32886590:32888936:1 gene:DRNTG_12827 transcript:DRNTG_12827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAPSSVLTDTPNLFTDHEPADEGDDWVVVKKQRIIFLVPPPSPSVLPRSIPENSHQIDILKKRRRRRSSGKKHKTPTEEVLKDDTQMEHEVHNSTVVQLKDKPEVHESHQIPVANVLPAPTGAMENSNVHHKSRKLRLRSACRSTIGAANVVNIRVRASNFEKKIRRLGGLRKWLVSQGLTRFSTVFDREKFSLYQLVTLTMSKLKDVGVDAVGPRRKLIHAIDSLCQPYFIKPLQVR >DRNTG_05734.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9379197:9393632:1 gene:DRNTG_05734 transcript:DRNTG_05734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGSGYQYHGHGASQKDKCEGTPAASRPRRKTKNPPSPSSFIRATVDGKTMVLSKFFPLPANASDVTVISRKEADRAARARIRNGLLQAPPPNKPPRRRNKSPPSPPPSPPSASSYYIRAIVNGETKVLSPYFPLPANATSVEIIPKKRKDDTTTTTTTTTTTNNNKKSKVSPQLTAAEKKSDAYKRVDANNTWVPPRSPYNLLQENHYFDPWRVLIICMLLNKTSGKQ >DRNTG_34533.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1009580:1012038:-1 gene:DRNTG_34533 transcript:DRNTG_34533.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTAIQYKALAEARAFFVFGDSLVDSGNNNFLATTARADSPPYGIDFPTRRATGRFSNGLNIPDIISEYLGAEPTLPYLAPRAPRERLLVGANFASAGIGILNDTGIQFLNIIRITKQLQYFQQYQQRLSSLVGPEQTQQLVNQALVLITLGGNDFVNNYYLIPYSVRSREFSLPDYVPLHCL >DRNTG_02263.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:987698:989492:-1 gene:DRNTG_02263 transcript:DRNTG_02263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFWKIRSIEKMWFLVYETLVVLENQVTRNMNPISQIRGYKEDETWLEELRNDNSCGSPLNEMELSLDAMTTTINQLLLETEH >DRNTG_17610.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4643477:4651273:1 gene:DRNTG_17610 transcript:DRNTG_17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVIIITVCKLKIALKSGFKRNITNIIGLAPVENPQVKTRSLRRSAAIKLLDLRTFISITLPVYDSAVALAISTDRPSKRRHGRMADVGYPFRRREENLNWKWEDFDEDEDRAYPHLLGRKGVSWRHKRSGVSTRSSTSEANLRVKEVMSSFSTSSIFSSMLYPPMVPRGGNFVSTSVVEPYNNVLSTQSLVEHTDVAVLIDNKAIYDIC >DRNTG_19357.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5595356:5598911:-1 gene:DRNTG_19357 transcript:DRNTG_19357.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSLIQDEEDVFFDSYDYIHPPSDSASSEEGMVGSECMETNLRALQYEPWTKELMSIHERRRNFISGMGFDEFTSIKLGVSPRPEETVFNRMPEHNDLDRILSSYPEDHDCFIPQNDYCASLSTELYAVRKMEEKRNVTFDSRISKSRRWWRSFSMCKYDVSIKDGKMSPDTNQIKVQFHSKRCKGFEALHLAQEIQAHKGIIRTMKFNSSGSYLASGGEDCIVRIWQVEFDVLINCQNANEVKGDVLKFTRKVSDSSAHVAIAEKEFKIDEVAFQEFHGHSSDILDLCWSKSDYLLSSSKDKTVRLWKVGSTGCLRVFQHKNYEQ >DRNTG_19357.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5595334:5598911:-1 gene:DRNTG_19357 transcript:DRNTG_19357.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSLIQDEEDVFFDSYDYIHPPSDSASSEEGMVGSECMETNLRALQYEPWTKELMSIHERRRNFISGMGFDEFTSIKLGVSPRPEETVFNRMPEHNDLDRILSSYPEDHDCFIPQNDYCASLSTELYAVRKMEEKRNVTFDSRISKSRRWWRSFSMCKYDVSIKDGKMSPDTNQIKVQFHSKRCKGFEALHLAQEIQAHKGIIRTMKFNSSGSYLASGGEDCIVRIWQVEFDVLINCQNANEVKGDVLKFTRKVSDSSAHVAIAEKEFKIDEVAFQEFHGHSSDILDLCWSKSDYLLSSSKDKTVRLWKVGSTGCLRVFQHKNYVTCIQFNPTNDQYFVSGSIDGKIRIWGITENHVVEWVDNRDIVTAVCYQPNGEVLF >DRNTG_19357.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5595356:5598911:-1 gene:DRNTG_19357 transcript:DRNTG_19357.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSLIQDEEDVFFDSYDYIHPPSDSASSEEGMVGSECMETNLRALQYEPWTKELMSIHERRRNFISGMGFDEFTSIKLGVSPRPEETVFNRMPEHNDLDRILSSYPEDHDCFIPQNDYCASLSTELYAVRKMEEKRNVTFDSRISKSRRWWRSFSMCKYDVSIKDGKMSPDTNQIKVQFHSKRCKGFEALHLAQEIQAHKGIIRTMKFNSSGSYLASGGEDCIVRIWQVEFDVLINCQNANEVKGDVLKFTRKVSDSSAHVAIAEKEFKIDEVAFQEFHGHSSDILDLCWSKSDYLLSSSKDKTVRLWKVGSTGCLRVFQHKNYVTCIQFNPTNDQYFVSGSIDGKIRIWGITENHVVEWVDNRDIVTAVCYQPNGEGIVVGSIEGNCQIYSYSGDNLQLGRKLCAQSRKKLGKRITGLQFAPDNSQNLMITSADSTVHILDGVDAKKFHVSVSARLKARGKSTASFTSNGKYIVSVNKDSQVYLWNYEKSKSLSSRGIKLTCPCNTFHSEGVSVALPWPGMHLSYENMTKSYAQTSLEQKHVDQDQFSFGACFFPDVQSKSVTWPEEMLQDENQNHQHNGFKKPSNLVILIASYDGVIKTLLNRRWPAC >DRNTG_14769.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20964537:20978452:-1 gene:DRNTG_14769 transcript:DRNTG_14769.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMASLWYPDHDTPMDDNRELRLSPPIMIRQPGHPRWKRIESQSSEVPKLRCRSCNETWTAN >DRNTG_26603.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19938725:19942740:-1 gene:DRNTG_26603 transcript:DRNTG_26603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAATADAAPPPSAMGSTARKRKLKRGKSQKSNKKRKPISMASKKPKKPSQKMLKLFRKRARDYHSDEEEDLDETGDKKILEESSGEEEEAHEDSDDSGHDDEFSGSSDDEGDGAQQGITKFTEGCRAFRTAFRKIMKKHLSNDPLGPILSANKKLVAEKLAEEVEEQKVKGEAKKEKRLAGEKGHVMPANFLDAKEKFLISVATKGVVKLFNAVNKAQNPQKGLNPSRAKDAKELAKRRKQAFLSEIQKPSTQSYDNTSKLNSSKFSIPTNKEDNEESGWAPLRDTYMLTSSKLKDWDKMADPASVDEPESRHMDSSSDED >DRNTG_18300.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2601843:2603155:1 gene:DRNTG_18300 transcript:DRNTG_18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II 22 kDa protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G44575) UniProtKB/Swiss-Prot;Acc:Q9XF91] MAQSMLMSSLGGHLLNSKKQPLLHSLRPTPFSHLILHPSSSSSSSSPSPCYAPTLAVFKSRTKAPPAKKPSKEKLKVEDGIFGTSGGIGFTKQNELFVGRVAMIGFAASLLGEAITGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGRFVDDPAPPTGLDKAVIPPGKSFRSALGLKEGGPLFGFTKSNELFVGRLAQLGIAFSLIGEIITGKGALGQLNIETGVPINDIEPLVLFNVAFFFFAALNPGTGQFVTDEEDE >DRNTG_35145.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1248859:1251084:-1 gene:DRNTG_35145 transcript:DRNTG_35145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAELRSNSTKISLPNPNLFQNQRGSSSLSGTLRGTLGSLDGACIEKLLLHCACALESSDVTLAQQVMWVLNNIASSNGDPNQRLTSWFLRAFIFRASRLCPTAMSFQSNTTSLTSSRPMSVTEFAGYVDLTPWHRFGFCASNGAIYKAIEGHSKVHILDLSITHCMQWPTLIDALAKRPEGAPFLRITVPSTRPPVPPLLNVSIEEVGLRLANFARSRDVPFEFNALKCSDSNAPNAFENLISSFYQELTVLNQLSPSSIVLREDETLIVNCQSWLRYLPEESQEANSCKPSTKDGFLQLIRGLNPHIITVTDEYCDLDSPSLAARTMACFNYLWIPFDTLETFLPKDSPQRMDYEADIGQKIENIIGFEGAQRIERPESGARLARRMKKANFSSVSFSEKTVKEVKFILDEHASGWGMKKEEDMLVLTWKGHSSVFTTAWVPNGFPKAKRAKLEELMRKIKRSLEFVATREVELNAPPEHISSTGEV >DRNTG_31865.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:10674:11733:1 gene:DRNTG_31865 transcript:DRNTG_31865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVRRRKSSGELGDDLLSRFISESSSYSDEFLRDIIVSFVLAGRDTTSATLTWFFYLISIHPEVKTKLLDELRAVRARGAREGELTVEQVKGLNYMHAALSETLRLYPPVPLQTRACAESDVWPDGTKVKKGKTVMYSAYAMGKSEKIWGSDWEEFRPERWMDEGEFRAVNAFKFPVFHAGPRMCLGKEMAYVQMKTVVAAVMERFEIEVVDEVKKKREVEFTMILRMKGGLPVRVRRKTMMMNDDGTVEI >DRNTG_26772.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18387050:18391237:1 gene:DRNTG_26772 transcript:DRNTG_26772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSARWRNEKNRVKAVFKFQFHVTQVPLVGWETMVVSLVPLDVGKPSLKSDKAEVVNGECQWLKPVFETARLVQDPKSMKFNEKFYQFLVSSPGSTKAGLLGETSINLADYAQIFKPYSVSLPLKGSNSGAILHLTVQRIEGEDVERRRETEENGDTIIKQPRKTLQSQLSNGEIDGTSSVKENLFTNGELRKSSSFESASGSDSSSGQYTPKGNGTGNGISLAPLNPINSSAEWSLNSALDGNMDELAHTSGDNSWRERLHDPNVDLEKLRSDAIALVRQLDVSELELQTLRKQVVKESKRGQELSFEIGGLKDERDAARRECEEFKASLKRLSFMNTPKVSKVDDEDLRSVLEEIKEELSHEKSLNANLRFQLEKTQESNSELILAVRELDELLEQKEKEIGGDNSIEVVHIARADEDFSENGNIVLHSKSYKHKKELPKTMSQHDDEDQYALEVLVKGDAGVTISLEQQIIDLKSELELYAKEREEMEMQMEQIALDYEIMKQENHDLSSKLEQIQLREQLRMQYECSAHLAIINDLESHVAGLEKELAKQAEQFRADLETVTQAKIKQEQRAIQAEEAMRKIRWRNANTAERLQEEFRVLSSQLSSTFDANEKLATKAMKEASELQLEKCHLEELLEKANDELELVHEQHRAELEEQSKLLDMKTNEANELLSELENKSAALENQKKLIEEMRKAYSEEIERLLEEKNDLAEQVQQKEDLVAEIERLTLAVEESERMLKDRKLEKEELEREISSVREEMNKSLEELSNLRSLSDEKETMIQTLNCEVETLQVNSSGMKHSLLEDELEKEKLRKQISHLVDDLQKKDDMIARFEKKLKENNARHAVTDAAAKTSIRNKNSRSNMTPQSSKEVSALREKVRILQEEMKLKNAELEETKNLFIKKEKDLNDKIEELGRNREGSNCEGMINKDNLNDEQEECICEDKENALKFEQENKADGEKLKIEQGYIAEILSEVAALKQRNESMEAELKEMQERYSDISLKFAEVEGERQQLVMRIRSLKNSMKN >DRNTG_24998.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27197275:27199702:1 gene:DRNTG_24998 transcript:DRNTG_24998.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCYDVPEWEDDVFARLISRMNPPSVVIDNEACDETTVIRVDSFKKPGILLQVIQALIDLNLMITKAYVSSDGCWFMDVFNVTDRDGNKVWDKEIHALIETSVETDAWGSVGVMPSKEHTSIELIGTDRPGLLSEVCAVLTDLKCNVVKAEVWSHNTRVAAVVHVTDESTGLAIEDLDRLSTIRELLCNVLKVNSDSRTAKMTVSMGVTHAERRLHQMMFDDRDYERADKVEEGDETSRPQVAVLDCAEKDYSVVILRSKDRPKLLFDTICTLTDMNYIVFHGTVNTGNSDAYQEYYIRHVGGLPISSEGERQRVIQCLKAAIERRASEGLELELRTEDRVGLLSDITRVFRENGLCIKRAEITTDDGKAVDTFYVSDMSGNNVEAKTINNIRKQLSQTILRVKKNPLQPAKPPEEASSTGFLFGNFFKGCSFHSFRLIRSYS >DRNTG_14961.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26694768:26695650:1 gene:DRNTG_14961 transcript:DRNTG_14961.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDTSLEPLRPAPRFPAVSPPLPAHSPPQPKRCQCSTDLGFSFPCFYLNVVFVFTSCLYFYNSNVLVV >DRNTG_34944.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2272056:2284453:-1 gene:DRNTG_34944 transcript:DRNTG_34944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILCVVQRWSRRLATMLPWLVIPLMALWALSQLLPPHLRFEITSPRVACLSVLLVTAFWYEILMPQLSVWRARRSARIRERRRAEALELHKLRKTATRCCRNCLTPYRDQNPAGGRFMCFCCGHVSKRPVLDLPGGVAPSSGIHGWICGQDWAAEGNGNWSSPVPRYRAGNSDGGDDRCMTEKSYCGALIFVCKLMSCFFVSVRWILRKIFRGGSLSEDADDGDNKGLLRRRENVGNCQESRSEKSRRKAEEKRQARLEKEMLEQEERKQREEVARLVEERRKLRDEILEAERIHSRGPGHDGDREKRKESDKKRQERRKDKDKDKGSSKSNSDVEECERRASRENEKKHEFDKKSENERKETIKTMADKYKLQALEAGNVTKTATGSRHKYFDRVKGSFLSSSRGFNGSYLFGRNAQSAAVAKVSKPSTGYMDHTQSSSIRKDTQFASHVAGKSTLTPDDRISKSSFNKAVGSEVRAQQAPAPKKSWHHLFTCSSAVSPCNDMNNTNYLNPNGLSEAQSSQMTDQRALHRHSVDNQIKPMQQLPFSIYPAVSGSIGDDRSCRLSPSDPIYNGQEVNSTLEEAEIFGEPCYVPDPSVLLGPVSDSLDIFPPDLVFGYDAQNKLEEPPYLESISTLADVSKPSPIESPFSRSRISEHAAIGQPPQTRKAKSEANSPNEQNTWQLWGTPSAQDVLGLVPRPSSRFSPLGLKKSNQDDMVHPLANSPMISQAAVKNHSLPSNHSSLNAHGANYQNDGTFNPFGHALNENEIWTQESLFKPMPVNEESHMLPLNIMDKSARNEMGYPNLNCTETGLPFDLPSANRWSKKDQAPGNSIPAQHTESLFLAGPDVQSVWDFNQKGKNISTIPSSYK >DRNTG_16869.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000855.1:1142:3366:1 gene:DRNTG_16869 transcript:DRNTG_16869.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPPHSHIDDRLRRKFPLSIVLVGVGDGPWEMMKEFDDNIPDRSFDNFQFVNFTEIMSKDVPQNRKETEFALSALMEIPSQYKATIELGILGHCVEKPPKRISLPSPIRTHDAKLNTALQETSPSSDRVCIVCLTNRKDVAFECGHQ >DRNTG_16869.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000855.1:2601:4866:1 gene:DRNTG_16869 transcript:DRNTG_16869.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEFDDNIPDRSFDNFQFVNFTEIMSKDVPQNRKETEFALSALMEIPSQYKATIELGILGHCVEKPPKRISLPSPIRTHDAKLNTALQETSPSSDRVCIVCLTNRKDVAFECGHQILENSWLKIKRVEDEDT >DRNTG_16869.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000855.1:2601:3366:1 gene:DRNTG_16869 transcript:DRNTG_16869.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEFDDNIPDRSFDNFQFVNFTEIMSKDVPQNRKETEFALSALMEIPSQYKATIELGILGHCVEKPPKRISLPSPIRTHDAKLNTALQETSPSSDRVCIVCLTNRKDVAFECGHQ >DRNTG_16869.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000855.1:2376:2945:1 gene:DRNTG_16869 transcript:DRNTG_16869.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEFDDNIPDRSFDNFQFVNFTEIMSKDVPQNRKETEFALSALMEIPSQYKATIELGILG >DRNTG_16869.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000855.1:1142:4476:1 gene:DRNTG_16869 transcript:DRNTG_16869.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPPHSHIDDRLRRKFPLSIVLVGVGDGPWEMMKEFDDNIPDRSFDNFQFVNFTEIMSKDVPQNRKETEFALSALMEIPSQYKATIELGILGHCVEKPPKRISLPSPIRTHDAKLNTALQETSPSSDRVCIVCLTNRKDVAFECGHQETHGSRIKKFEDYGATRSKWN >DRNTG_29618.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19990764:19998839:-1 gene:DRNTG_29618 transcript:DRNTG_29618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKLGDTTRLNTDEPSVERHFEGVEVLEWNKQLTARVFIVCLFIGSFMTILLMKLNIVTAFILTGTIPPYLLSLFILKTWTIILDTIGILKNPITRQETVVMHGCLVGYFSIVYYGNFYFFIKFYTSISPGQIAIGLICPQIVSISYLIGGFISWGIIWPIFKSKEGIWYPLGKAQTLHGLYGYKILLTTAVLLGEGLFHVSVILFKGFHDTYLKKKQKGFIVPLHEHHHRHNTDASMMANLSYDDRLRTKMFLKGHIPTPITIGGFLLTGTISAVAIPFIFPQVEPIHIAVAYIIAPVLNFCNAYGVGVSDFSFAPGYGRFAIFLFGSWIGLSQGGVLGSLVASSVVVAVMLPATDLIQNLKLAYMTLTSPRSLLIGQAIGTAMGCVFGPIVFYYYYNLPDFGQPDSEYPIYGGKTYRALAIAATQGSEGLPKHALLFAVIFFFISLALNIVKELSKSMNLGLHPYIPYPVAMAIPFYLSANFSFDVGVGSLILYVWNRVDRRSAGLNVPTVASGLFAGDAFWSLVAALLYNQAPSSSSSGKPSPPMASGNDEMERGVLEDGYDSDEEGSMDQTLEAAEVPEWTEQLTPRALIISLILGVMFNFIIMRMSLTIGIIPSLNISAGLLAFFMLKSWSQAFEKLCFSRPFTRQENTIIQTCVIACVSVVQSGGFGSYLFAMSNNVAGNFSEANDVKNIRVLGIGSTIAFMFLVSIVGLFIVAPIAKVMIVGQKLYYPSGVAIAHLINSFQTSQGALIARKQVWVLFKSLVGSFLWAGFKWMYSAGSFCGFSNFPVFGMTAFDNKFYFDCSASNIGMGMICPISINLSLLLGGVISHAFLWPHIKSKEGKWFPAGLGLQDVHGIFGYKVFISLAMVVGDGLFHLVHVLIRTSHNLYNNKRQENFILSESKKLSATNSNTDLPVVEVSQEEHRRTTVFLQDHIPSPIAIGGYIFCAIISIIGIPFIFHQLKPYHVITVYLIAPIFAFCNAYGAGLTNLSLGPSYGKLLIFIMAGWVGMSSGGVIAALASSGIIMPIVNYSSDLLQDYKTGYLTLSSPKAMLVSQIFGSALGCVLGPLLFSFFQQDPTLGDEDSDYPVPYAKMYRALALVGMEGLHALPDNCLSLCILFFFLAVIINAAKELTKKLNLELQHYVPSVTGMAITFYLGPYVPISMCTGSLVTYLWGSVNKEYARTFTPALASGLMCGESLWLLPTLLMDLNRVHSPMCMKFFSSGTYAVMVSDPKVTARWVSLWVPVYLLNTGVGWGFENPSSSDLGSGMGAGEGMTKPVSDPTCCYPYFGGVENKAPPIKDYVNNTVAHGL >DRNTG_15057.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5535151:5535533:-1 gene:DRNTG_15057 transcript:DRNTG_15057.1 gene_biotype:protein_coding transcript_biotype:protein_coding GQLSSQEQKTIDAIVKASEFPLSIILVGVGDGPWGMMKEFDDNIPNRLFDNFQVI >DRNTG_09330.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1037920:1038330:-1 gene:DRNTG_09330 transcript:DRNTG_09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding RNTDQSQSTNNNYFPVWAARKLNEGDVLSLLDENFTQDRNMEELSRVCKVACWCIQENEAERPSMGVVVLMLEGVVEVNLAPVPSLLPS >DRNTG_24590.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001313.1:2093:4259:-1 gene:DRNTG_24590 transcript:DRNTG_24590.5 gene_biotype:protein_coding transcript_biotype:protein_coding TIVGSLAEEDKDHIKACRKPRILCLHGFWTSGEIMRRQVIGKWFRLDF >DRNTG_24590.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001313.1:2093:4259:-1 gene:DRNTG_24590 transcript:DRNTG_24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIVGSLAEEDKDHIKACRKPRILCLHGFWTSGEIMRRQVIGKWFRLDF >DRNTG_24590.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001313.1:2093:4259:-1 gene:DRNTG_24590 transcript:DRNTG_24590.2 gene_biotype:protein_coding transcript_biotype:protein_coding TIVGSLAEEDKDHIKACRKPRILCLHGFWTSGEIMRRQVIGKWFRLDF >DRNTG_24590.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001313.1:2093:4259:-1 gene:DRNTG_24590 transcript:DRNTG_24590.4 gene_biotype:protein_coding transcript_biotype:protein_coding TIVGSLAEEDKDHIKACRKPRILCLHGFWTSGEIMRRQVIGKWFRLDF >DRNTG_24590.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001313.1:2093:4259:-1 gene:DRNTG_24590 transcript:DRNTG_24590.3 gene_biotype:protein_coding transcript_biotype:protein_coding EVIVLYFDLNVDFVRITCIILDECFEFIDDMLIKHGPIDGLMGFSQPVFEFFLFLDKLGFEIC >DRNTG_07643.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000344.1:145607:153176:1 gene:DRNTG_07643 transcript:DRNTG_07643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSILRNRIHPLESLECYSVICGVRDYVHTEEYAQLLVDYCPGLTPARAFRIMCGNYQFVLGHSKASLLNHLSYMHIHAILNRQDHIFRYDLNIQIPGLAKRTNLKIILAKDKKYYLTAKNIYGKDLEALAMNEDK >DRNTG_00732.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:4870687:4871133:1 gene:DRNTG_00732 transcript:DRNTG_00732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAFPAKFCKGVRSYWRRRKYIKLESSGSKTAGVRVVRLGDGTHHQSTHRRFWRLKRVIKLKIKAWSPFRLMAKLRDAYIDAMLRLAGKGSGLTISAGPEPLWDRRIPRSRTVKPARTEFEQRLIFEIYRSLVVSGEIAPKPELTD >DRNTG_15556.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2863307:2867305:1 gene:DRNTG_15556 transcript:DRNTG_15556.1 gene_biotype:protein_coding transcript_biotype:protein_coding FANWRSNLDNQVKTYKDELLDLKKVLNSDLQQLTADFKELKDTLQKQQDDVTASLKNLGLHDTADHAKESGDQKVKDNSEKAEALPDKTEDSNS >DRNTG_11846.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:151265:154879:-1 gene:DRNTG_11846 transcript:DRNTG_11846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSLPASSSENPNSDLLKEIRSHEIAISELNSLAPSRNVYQKNGGLFFRKSIKTAIQSEQKQLETAKQLLQKMDVDLK >DRNTG_11846.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:152255:154879:-1 gene:DRNTG_11846 transcript:DRNTG_11846.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSLSFQIRSHEIAISELNSLAPSRNVYQKNGGLFFRKSIKTAIQSEQKQLETAKQLLQKMDVDLK >DRNTG_11846.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:152255:154879:-1 gene:DRNTG_11846 transcript:DRNTG_11846.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSLPASSSENPNSDLLKEIRSHEIAISELNSLAPSRNVYQKNGGLFFRKSIKTAIQSEQKQLETAKQLLQKMDVDLK >DRNTG_09027.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31285675:31287941:1 gene:DRNTG_09027 transcript:DRNTG_09027.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNFKNLDEFWAFYVSQHSKAATRRLHFLGTLSGLLCLLSSLYSGRWIILLLAPIIGYSLAWYSHFFIEGNVPTTFGHPFWSFLCDLKMFALMLSGRMDKEIKRLGKRPLL >DRNTG_09027.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31285675:31286334:1 gene:DRNTG_09027 transcript:DRNTG_09027.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNFKNLDEFWAFYVSQHSKAATRRLHFLGTLSGLLCLLSSLYSGRWIILLLAPIIGYSLAWYSHFFIEGNVPTTFGHPFWSFLCDLKMFALMLSGRMDKEIKRLGKRPLL >DRNTG_09027.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31285481:31286369:1 gene:DRNTG_09027 transcript:DRNTG_09027.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNFKNLDEFWAFYVSQHSKAATRRLHFLGTLSGLLCLLSSLYSGRWIILLLAPIIGYSLAWYSHFFIEGNVPTTFGHPFWSFLCDLKMFALMLSGRMDKEIKRLGKRPLL >DRNTG_09027.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31285675:31287496:1 gene:DRNTG_09027 transcript:DRNTG_09027.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNFKNLDEFWAFYVSQHSKAATRRLHFLGTLSGLLCLLSSLYSGRWIILLLAPIIGYSLAWYSHFFIEGNVPTTFGHPFWSFLCDLKMFALMLSGRMDKEIKRLGKRPLL >DRNTG_09027.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31285675:31286369:1 gene:DRNTG_09027 transcript:DRNTG_09027.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNFKNLDEFWAFYVSQHSKAATRRLHFLGTLSGLLCLLSSLYSGRWIILLLAPIIGYSLAWYSHFFIEGNVPTTFGHPFWSFLCDLKMFALMLSGRMDKEIKRLGKRPLL >DRNTG_09027.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31285675:31287941:1 gene:DRNTG_09027 transcript:DRNTG_09027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNFKNLDEFWAFYVSQHSKAATRRLHFLGTLSGLLCLLSSLYSGRWIILLLAPIIGYSLAWYSHFFIEGNVPTTFGHPFWSFLCDLKMFALMLSGRMDKEIKRLGKRPLL >DRNTG_00322.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2243493:2245814:1 gene:DRNTG_00322 transcript:DRNTG_00322.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSVDGAGDGPGKKRKRVPGSSDESQSSSSGLVKGNNSENHEAMVKSDERMSKDVENEEESEEGGRDQGASNGN >DRNTG_34256.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28136264:28136473:1 gene:DRNTG_34256 transcript:DRNTG_34256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDENGDTSETLFLARKAGLSAGWRGFSISHELVDGDALVFQLIKSTTFK >DRNTG_12044.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1847258:1847556:1 gene:DRNTG_12044 transcript:DRNTG_12044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHSGIIMKRILEKYRGFDDVKVLVDVGGGVG >DRNTG_01960.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32632916:32637257:1 gene:DRNTG_01960 transcript:DRNTG_01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIIKSTHRSSYSLTSPKRRSDLVHNQSVMRRWEKSWTS >DRNTG_01834.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:17404377:17404983:1 gene:DRNTG_01834 transcript:DRNTG_01834.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSRVIWKKVIGRAFVGIDPARCILGRTKDPLRRVEDSPKDHRHDHRGGASPFPSDVIDSTSVPRVLCGHNRVNGLWDESPLGLSYAFNGVKR >DRNTG_30697.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1810050:1815666:-1 gene:DRNTG_30697 transcript:DRNTG_30697.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSSRRAEMDRTPIYLYCPPTSEPVSSANVTSSVSTGLLVNTNLDTSIPDTYRAPPSPLPYDVDLACPRTLLASFENCGNKPDHMQPADLLPPREPVCGDVSEGSDISKSLKGSDCKSGTDDGCATVKVIEDEPSKFCDPVSLEVNEEEDDCPICLEEYNFENPQTITKCEHHFHLACILEWMERSDTCPVCDKITMLDQAFFE >DRNTG_30697.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1810050:1815666:-1 gene:DRNTG_30697 transcript:DRNTG_30697.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSSRRAEMDRTPIYLYCPPTSEPVSSANVTSSVSTGLLVNTNLDTSIPDTYRAPPSPLPYDVDLACPRTLLASFENCGNKPDHMQPADLLPPREPVCGDVSEGSDISKSLKGSDCKSGTDDGCATVKVIEDEPSKFCDPVSLEVNEEEDDCPICLEEYNFENPQTITKCEHHFHLACILEWMERSDTCPVCDKITMLDQAFFE >DRNTG_30697.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1809999:1815666:-1 gene:DRNTG_30697 transcript:DRNTG_30697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPADLLPPREPVCGDVSEGSDISKSLKGSDCKSGTDDGCATVKVIEDEPSKFCDPVSLEVNEEEDDCPICLEEYNFENPQTITKCEHHFHLACILEWMERSDTCPVCDKITMLDQAFFE >DRNTG_30697.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1810050:1815666:-1 gene:DRNTG_30697 transcript:DRNTG_30697.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQPADLLPPREPVCGDVSEGSDISKSLKGSDCKSGTDDGCATVKVIEDEPSKFCDPVSLEVNEEEDDCPICLEEYNFENPQTITKCEHHFHLACILEWMERSDTCPVCDKITMLDQAFFE >DRNTG_30697.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1810050:1815666:-1 gene:DRNTG_30697 transcript:DRNTG_30697.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSSRRAEMDRTPIYLYCPPTSEPVSSANVTSSVSTGLLVNTNLDTSIPDTYRAPPSPLPYDVDLACPRTLLASFENCGNKPDHMQPADLLPPREPVCGDVSEGSDISKSLKGSDCKSGTDDGCATVKVIEDEPSKFCDPVSLEVNEEEDDCPICLEEYNFENPQTITKCEHHFHLACILEWMERSDTCPVCDKITMLDQAFFE >DRNTG_30697.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1810050:1815666:-1 gene:DRNTG_30697 transcript:DRNTG_30697.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQPADLLPPREPVCGDVSEGSDISKSLKGSDCKSGTDDGCATVKVIEDEPSKFCDPVSLEVNEEEDDCPICLEEYNFENPQTITKCEHHFHLACILEWMERSDTCPVCDKITMLDQAFFE >DRNTG_32090.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22320151:22324230:-1 gene:DRNTG_32090 transcript:DRNTG_32090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:purple acid phosphatase 23 [Source:Projected from Arabidopsis thaliana (AT4G13700) TAIR;Acc:AT4G13700] MSRAKLLLLLLVSSFSILESKLVPTTLDGPFAPVTRRFDPSLRLGSDDVPMDDPRLAKRVTSIHPEQIALAASFSPSSMWISWITGDAQIGADVTPLDPASIRSEVWYGEESGKYEFMQRGNSLVYSQLYPFKGLLNYTSAIIHHVKLDGLKPGKRYYYKCGDSVLKAMSEEHTFMTLPSSGPDLYPRRIGVVGDLGLTSNSTTTIDHLAMNDPSIILMVGDLTYANQYLTTGGQGASCFSCAFPDAPIRETYQPRWDGWGRFMEPLTSKIPMMVIEGNHEIEPQIEGMTFASYLARFAVPSNESGSNSNFYYSFNAGGVHFIMLGAYVDYNQTGAQYAWLRKDLEQVDRKVNPWIVAAWHPPWYNSYSSHYQEFECMRQEMEELLYSFGVDIVFSGHVHAYERMNRVFNYTLDPCGPVYITVGDGGNIERVDVEHADDPGKCPSQGDNKPEFGGLCHLNFTSGPAKGRFCWEQQPEWSAYRESSFGHGILEVMNSTYALWTWHRNQDIYGENSEGDQIYIVRRPELCLAKGVVSSEVLHQSHGSLQVPLLWKCKFYLEVMLLCVWLLVKAPGLWM >DRNTG_05783.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000269.1:180545:189323:-1 gene:DRNTG_05783 transcript:DRNTG_05783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRFLGFRDDRTGKLHWVNWALNPRQVMKMRPSVVLNQYQIKLRFVIWMTE >DRNTG_23655.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5243503:5260209:1 gene:DRNTG_23655 transcript:DRNTG_23655.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLLVRATLRRQYYRISKNLDQKHFLSQNSSLNRAVIGGPFKLLIRIIVLSLSLILGKLDPHLFCLHFPPRCWARGGQEDGQCHRASCIVHLKVETASQS >DRNTG_07272.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10513069:10515787:1 gene:DRNTG_07272 transcript:DRNTG_07272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKAVRFPRPVKKAVAKHPRGPAPEPEVMEFTLPEHRAHFERKVQLGDDMADEVEKLLSVGSWHKILNIHDPAIRSLTLEVLALFEFDRSYAHFDSVSAIQFRAFGQHHSMSVTQFFTRLGLYDEDYTETEESVNGHGDSTGVINKQELLYLYSMVRNQPVHLGHILAEYLKRQG >DRNTG_20313.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5661478:5663194:1 gene:DRNTG_20313 transcript:DRNTG_20313.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 like protein [Source:Projected from Arabidopsis thaliana (AT1G11600) UniProtKB/TrEMBL;Acc:Q9SAB7] MDLLDLLLLLSIPILTTLWWRHCSKPNSSANLPPGPPGWPIVGNLFQVLLQRRPFMYIVRDLRTKYGPIFTMHMGQRTLIIVTSSELIHQALVQKGPLFASRPADSPTRLLFSSGKCTVNSAEYGPLWRALRRNFVGELVSPSRVRSFSWIRNWAITNHLNRLHSEQNTQGFIHMMSNCRLTICSILVCVCFGAKVSEDLVKEIEAVLKDVMLMTTLKLPDFLPALTPLFRSQLKQAKDLRKRQMNCLLPLVRARKAFVESNGSPNSPSMFEMVSGVGEAYIDSLFTLEPPGRGKLGEDELVTLCSEVMSAGTDTSATALEWAMAHLVLDQEAQERVYKEVVSMVGYDKEKEITESDVEGLKYLVAVVKETLRRHPPSHFVLSHAPTRETELGGYRIPAGVNVEFYTAWVTEDPTAWTDPGEWWPERFLEGGEGWEVDVTGTRGVHMMPFGVGRRICPAASLGMLHVQLLLARMVREFRWVPVAGEKPDMKETFAFTVVMKEPLKAVAIERA >DRNTG_20313.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5661478:5663274:1 gene:DRNTG_20313 transcript:DRNTG_20313.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 like protein [Source:Projected from Arabidopsis thaliana (AT1G11600) UniProtKB/TrEMBL;Acc:Q9SAB7] MDLLDLLLLLSIPILTTLWWRHCSKPNSSANLPPGPPGWPIVGNLFQVLLQRRPFMYIVRDLRTKYGPIFTMHMGQRTLIIVTSSELIHQALVQKGPLFASRPADSPTRLLFSSGKCTVNSAEYGPLWRALRRNFVGELVSPSRVRSFSWIRNWAITNHLNRLHSEQNTQGFIHMMSNCRLTICSILVCVCFGAKVSEDLVKEIEAVLKDVMLMTTLKLPDFLPALTPLFRSQLKQAKDLRKRQMNCLLPLVRARKAFVESNGSPNSPSMFEMVSGVGEAYIDSLFTLEPPGRGKLGEDELVTLCSEVMSAGTDTSATALEWAMAHLVLDQEAQERVYKEVVSMVGYDKEKEITESDVEGLKYLVAVVKETLRRHPPSHFVLSHAPTRETELGGYRIPAGVNVEFYTAWVTEDPTAWTDPGEWWPERFLEGGEGWEVDVTGTRGVHMMPFGVGRRICPAASLGMLHVQLLLARMVREFRWVPVAGEKPDMKETFAFTVVMKEPLKAVAIERA >DRNTG_20313.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5661349:5663274:1 gene:DRNTG_20313 transcript:DRNTG_20313.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 like protein [Source:Projected from Arabidopsis thaliana (AT1G11600) UniProtKB/TrEMBL;Acc:Q9SAB7] MDLLDLLLLLSIPILTTLWWRHCSKPNSSANLPPGPPGWPIVGNLFQVLLQRRPFMYIVRDLRTKYGPIFTMHMGQRTLIIVTSSELIHQALVQKGPLFASRPADSPTRLLFSSGKCTVNSAEYGPLWRALRRNFVGELVSPSRVRSFSWIRNWAITNHLNRLHSEQNTQGFIHMMSNCRLTICSILVCVCFGAKVSEDLVKEIEAVLKDVMLMTTLKLPDFLPALTPLFRSQLKQAKDLRKRQMNCLLPLVRARKAFVESNGSPNSPSMFEMVSGVGEAYIDSLFTLEPPGRGKLGEDELVTLCSEVMSAGTDTSATALEWAMAHLVLDQEAQERVYKEVVSMVGYDKEKEITESDVEGLKYLVAVVKETLRRHPPSHFVLSHAPTRETELGGYRIPAGVNVEFYTAWVTEDPTAWTDPGEWWPERFLEGGEGWEVDVTGTRGVHMMPFGVGRRICPAASLGMLHVQLLLARMVREFRWVPVAGEKPDMKETFAFTVVMKEPLKAVAIERA >DRNTG_20313.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5661349:5663194:1 gene:DRNTG_20313 transcript:DRNTG_20313.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 like protein [Source:Projected from Arabidopsis thaliana (AT1G11600) UniProtKB/TrEMBL;Acc:Q9SAB7] MDLLDLLLLLSIPILTTLWWRHCSKPNSSANLPPGPPGWPIVGNLFQVLLQRRPFMYIVRDLRTKYGPIFTMHMGQRTLIIVTSSELIHQALVQKGPLFASRPADSPTRLLFSSGKCTVNSAEYGPLWRALRRNFVGELVSPSRVRSFSWIRNWAITNHLNRLHSEQNTQGFIHMMSNCRLTICSILVCVCFGAKVSEDLVKEIEAVLKDVMLMTTLKLPDFLPALTPLFRSQLKQAKDLRKRQMNCLLPLVRARKAFVESNGSPNSPSMFEMVSGVGEAYIDSLFTLEPPGRGKLGEDELVTLCSEVMSAGTDTSATALEWAMAHLVLDQEAQERVYKEVVSMVGYDKEKEITESDVEGLKYLVAVVKETLRRHPPSHFVLSHAPTRETELGGYRIPAGVNVEFYTAWVTEDPTAWTDPGEWWPERFLEGGEGWEVDVTGTRGVHMMPFGVGRRICPAASLGMLHVQLLLARMVREFRWVPVAGEKPDMKETFAFTVVMKEPLKAVAIERA >DRNTG_00541.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30268208:30269168:1 gene:DRNTG_00541 transcript:DRNTG_00541.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKSNGNRVPGMLCRSEAATAVCVPRERSVIVPQRAKRSTLVHHEHARLGYDLRYSRLKDSRSFTSGDHRRAVTLPMVTKRQNLQVKPSSTSNDGQLFQVVVMKVSIHCQGCAGKVKKHISKMEGVTSFSIDLESKRVTVMGHVSPDGVLESISKVKKAEFWSC >DRNTG_23318.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1108695:1112258:-1 gene:DRNTG_23318 transcript:DRNTG_23318.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYAQQAIVTNEFLGQSWCHFLSNSTESLGVSVMKLYGIFPEKKWYWIGLAALVGYTLLFNVLYPLALTCLTYGASGKAVQTISSEDQQTSTEETRSGAHSQVATEDTEQRRRGMVLPFAPLSITFQDIHYSVDMPLELKAQGVQEDRLMLIKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYMDGTITVSGYPKKQQTFARVSGYCEQNDIHSPNVTVYESLLFSAWLRLPATTDSAMRKMFIEEVMELVELSSIQGALVGLPGVSGLSTERRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFETFDELFLMKRGGEEIYVGPIGHQSCHLINYFQGIRGVNKMDQGYNPSTWALEVTTISQEERLGVNFSEIYKNSDHFRRIKALIEELSKPTEGSEDLYFQTKYPQSFRVQCSVCFWKHLLSYWRNPPYMGFQIIFTIVTALVTGSMFWDMASKKKNSQDISNAMGSMYLAIIFLGAQNSLSVIPVLAIERLIYYRERAAGMYSSFPYAFGLLAVELLCLWIQSIAYVVILYPMMGMEWTAAKFFWFLFFTYFTLMYFTFFGMMSAALTPNEQIGAMLATFLIGTWMLFAGFLLPRKRIRGWWIWSYWACPLAWSLYGMVASQFGDVNDVLQHSGETVAEFVERYYGFKHDWLGWVAVAHIGFGALFAFIYAFSIKVLNFQKR >DRNTG_23318.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1108695:1109586:-1 gene:DRNTG_23318 transcript:DRNTG_23318.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMGMEWTAAKFFWFLFFTYFTLMYFTFFGMMSAALTPNEQIGAMLATFLIGTWMLFAGFLLPRKRIRGWWIWSYWACPLAWSLYGMVASQFGDVNDVLQHSGETVAEFVERYYGFKHDWLGWVAVAHIGFGALFAFIYAFSIKVLNFQKR >DRNTG_23318.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1108695:1113699:-1 gene:DRNTG_23318 transcript:DRNTG_23318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGPARALLMDEISTGLDSSTTYQIVNSIKQSIHILNETAVISLLQPAPETYNLFDDVILLSEGQIAYHGPREHVLNFFESMGFKCPDRKSVPDFLQEVTSRKDQKQYWMMQNEIPYRFIPVNEFADAFQKFHVGLKLREELGVPFDKSKSHPAALATSTYGVSRMELLKACTQREFLLIKRNLVAYILATIKISITAFFTMTLFLRTEMHRDSQTDGLIFMGVLFFTIFMAFVNGFQELAMTTAKLPVFYKQKDLLFYTAWAYTLPSWILKIPFILVEATIWVFMSYYVVGFDPNVGRLFKQFLLVIWVIQTANSLFRVIGAVTGDPIIGTKFAGLIIVAIMATGGFLLPRGQVKKWWKWLYWSSPIMYAQQAIVTNEFLGQSWCHFLSNSTESLGVSVMKLYGIFPEKKWYWIGLAALVGYTLLFNVLYPLALTCLTYGASGKAVQTISSEDQQTSTEETRSGAHSQVATEDTEQRRRGMVLPFAPLSITFQDIHYSVDMPLELKAQGVQEDRLMLIKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYMDGTITVSGYPKKQQTFARVSGYCEQNDIHSPNVTVYESLLFSAWLRLPATTDSAMRKMFIEEVMELVELSSIQGALVGLPGVSGLSTERRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFETFDELFLMKRGGEEIYVGPIGHQSCHLINYFQGIRGVNKMDQGYNPSTWALEVTTISQEERLGVNFSEIYKNSDHFRRIKALIEELSKPTEGSEDLYFQTKYPQSFRVQCSVCFWKHLLSYWRNPPYMGFQIIFTIVTALVTGSMFWDMASKKKNSQDISNAMGSMYLAIIFLGAQNSLSVIPVLAIERLIYYRERAAGMYSSFPYAFGLLAVELLCLWIQSIAYVVILYPMMGMEWTAAKFFWFLFFTYFTLMYFTFFGMMSAALTPNEQIGAMLATFLIGTWMLFAGFLLPRKRIRGWWIWSYWACPLAWSLYGMVASQFGDVNDVLQHSGETVAEFVERYYGFKHDWLGWVAVAHIGFGALFAFIYAFSIKVLNFQKR >DRNTG_23318.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1113391:1113699:-1 gene:DRNTG_23318 transcript:DRNTG_23318.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGPARALLMDEISTGLDSSTTYQIVNSIKQSIHILNETAVISLLQPAPETYNLFDDVILLSEGQIAYHGPREHVLNFFESMGFKCPDRKSVPDFLQE >DRNTG_23318.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1112365:1113863:-1 gene:DRNTG_23318 transcript:DRNTG_23318.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGISGGQRRRVTTGEMLVGPARALLMDEISTGLDSSTTYQIVNSIKQSIHILNETAVISLLQPAPETYNLFDDVILLSEGQIAYHGPREHVLNFFESMGFKCPDRKSVPDFLQEVTSRKDQKQYWMMQNEIPYRFIPVNEFADAFQKFHVGLKLREELGVPFDKSKSHPAALATSTYGVSRMELLKACTQREFLLIKRNLVAYILATIKISITAFFTMTLFLRTEMHRDSQTDGLIFMGVLFFTIFMAFVNGFQELAMTTAKLPVFYKQKDLLFYTAWAYTLPSWILKIPFILVEATIWVFMSYYVVGFDPNVGRLFKQFLLVIWVIQTANSLFRVIGAVTGDPIIGTKFAGLIIVAIMATGGFLLPR >DRNTG_23318.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1108695:1112929:-1 gene:DRNTG_23318 transcript:DRNTG_23318.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFLRTEMHRDSQTDGLIFMGVLFFTIFMAFVNGFQELAMTTAKLPVFYKQKDLLFYTAWAYTLPSWILKIPFILVEATIWVFMSYYVVGFDPNVGRLFKQFLLVIWVIQTANSLFRVIGAVTGDPIIGTKFAGLIIVAIMATGGFLLPRGQVKKWWKWLYWSSPIMYAQQAIVTNEFLGQSWCHFLSNSTESLGVSVMKLYGIFPEKKWYWIGLAALVGYTLLFNVLYPLALTCLTYGASGKAVQTISSEDQQTSTEETRSGAHSQVATEDTEQRRRGMVLPFAPLSITFQDIHYSVDMPLELKAQGVQEDRLMLIKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYMDGTITVSGYPKKQQTFARVSGYCEQNDIHSPNVTVYESLLFSAWLRLPATTDSAMRKMFIEEVMELVELSSIQGALVGLPGVSGLSTERRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFETFDELFLMKRGGEEIYVGPIGHQSCHLINYFQGIRGVNKMDQGYNPSTWALEVTTISQEERLGVNFSEIYKNSDHFRRIKALIEELSKPTEGSEDLYFQTKYPQSFRVQCSVCFWKHLLSYWRNPPYMGFQIIFTIVTALVTGSMFWDMASKKKNSQDISNAMGSMYLAIIFLGAQNSLSVIPVLAIERLIYYRERAAGMYSSFPYAFGLLAVELLCLWIQSIAYVVILYPMMGMEWTAAKFFWFLFFTYFTLMYFTFFGMMSAALTPNEQIGAMLATFLIGTWMLFAGFLLPRKRIRGWWIWSYWACPLAWSLYGMVASQFGDVNDVLQHSGETVAEFVERYYGFKHDWLGWVAVAHIGFGALFAFIYAFSIKVLNFQKR >DRNTG_32196.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001796.1:50401:51587:-1 gene:DRNTG_32196 transcript:DRNTG_32196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNSSMPTFYFLGLQSISVAGTKLSISPTVFSNPGTLIDSGTVI >DRNTG_30234.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:160023:168948:-1 gene:DRNTG_30234 transcript:DRNTG_30234.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDMEENTNLGKRKQPDEDSQNKSGEASSVNPTSSPKHRSVSRTCVHEVAVPKDYTSSKDESIYGTLEDPSYNGKMAKSYMFELDPFQSIAVACLERNESVLVSAHTSAGKTAVAEYAIAMSFRDKQRVIYTSPLKALSNQKYRELSQEFSDVGLMTGDVTLSPNASCLVMTTEILRGMLYRGSEVIKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICNLHKQPCHVVYTDFRPTPLQHYVFPMGGAGLYLVVDENEQFKEGNFAKLQETFTKHRGNAYANRSGGGKASGRIAKGGAASSGSDIYKIVKMIMERKFQPVIVFSFSRRECEQHAMSMSKLDFNNQEEKEVVEQVFQNAVLCLSEEDRSLPAIELMLPLLQRGIAVHHSGLLPIIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDSHRYIGSGEYIQMSGRAGRRGKDERGICIIMVDEKMEMNVLKDMVLGKPAPLVSTFRLSYYSILNLMSRAEGQFTAEHVIKNSFHQFQYEKALPDMGERISKLEKEVALLDSSGEADLAEYHKLGLEIAQLEKKMMSELTRPERALYFLVPGRLVKVRDGGTDWGWGVVVNVVKKSPPAVGSMPPALATARTGSYIVDTLLHCSPELNENGSRSKPCPPRPGEKGEMHVVPVPLPLLSGLSSIRIAIPPDLRPAEARQTVLLAVQELGKRYPQGLPKLNPVKDMGIDDPEFISLMDEIEDVERKLLAHPLHKSGQSEQQFKWFQSKADMNHEIQQLKAKMRDSQLQKFRNELKNRSRVLKMLGHIDADGVVQLKGRAACLIDTGDELLVTELMFNGTFNDLDHHQVAALASCFIPGDKSNEQIHLRIELAKPLQQLQDSARRIAEIQRECKLDINVDEYVESTVRPFLMDVIYCWSKGSTFAEVIEMTDIFEGSIIRHARRLDEFLNQLRAAAHAVGEADLEKKFEAGSESLRRGIMFSNSLYL >DRNTG_30234.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:160023:168948:-1 gene:DRNTG_30234 transcript:DRNTG_30234.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDMEENTNLGKRKQPDEDSQNKSGEASSVNPTSSPKHRSVSRTCVHEVAVPKDYTSSKDESIYGTLEDPSYNGKMAKSYMFELDPFQSIAVACLERNESVLVSAHTSAGKTAVAEYAIAMSFRDKQRVIYTSPLKALSNQKYRELSQEFSDVGLMTGDVTLSPNASCLVMTTEILRGMLYRGSEVIKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICNLHKQPCHVVYTDFRPTPLQHYVFPMGGAGLYLVVDENEQFKEGNFAKLQETFTKHRGNAYANRSGGGKASGRIAKGGAASSGSDIYKIVKMIMERKFQPVIVFSFSRRECEQHAMSMSKLDFNNQEEKEVVEQVFQNAVLCLSEEDRSLPAIELMLPLLQRGIAVHHSGLLPIIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDSHRYIGSGEYIQMSGRAGRRGKDERGICIIMVDEKMEMNVLKDMVLGKPAPLVSTFRLSYYSILNLMSRAEGQFTAEHVIKNSFHQFQYEKALPDMGERISKLEKEVALLDSSGEADLAEYHKLGLEIAQLEKKMMSELTRPERALYFLVPGRLVKVRDGGTDWGWGVVVNVVKKSPPAVGSMPPALATARTGSYIVDTLLHCSPELNENGSRSKPCPPRPGEKGEMHVVS >DRNTG_30234.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:160023:168948:-1 gene:DRNTG_30234 transcript:DRNTG_30234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDMEENTNLGKRKQPDEDSQNKSGEASSVNPTSSPKHRSVSRTCVHEVAVPKDYTSSKDESIYGTLEDPSYNGKMAKSYMFELDPFQSIAVACLERNESVLVSAHTSAGKTAVAEYAIAMSFRDKQRVIYTSPLKALSNQKYRELSQEFSDVGLMTGDVTLSPNASCLVMTTEILRGMLYRGSEVIKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICNLHKQPCHVVYTDFRPTPLQHYVFPMGGAGLYLVVDENEQFKEGNFAKLQETFTKHRGNAYANRSGGGKASGRIAKGGAASSGSDIYKIVKMIMERKFQPVIVFSFSRRECEQHAMSMSKLDFNNQEEKEVVEQVFQNAVLCLSEEDRSLPAIELMLPLLQRGIAVHHSGLLPIIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDSHRYIGSGEYIQMSGRAGRRGKDERGICIIMVDEKMEMNVLKDMVLGKPAPLVSTFRLSYYSILNLMSRAEGQFTAEHVIKNSFHQFQYEKALPDMGERISKLEKEVALLDSSGEADLAEYHKLGLEIAQLEKKMMSELTRPERALYFLVPGRLVKVRDGGTDWGWGVVVNVVKKSPPAVGSMPPALATARTGSYIVDTLLHCSPELNENGSRSKPCPPRPGEKGEMHVVPVPLPLLSGLSSIRIAIPPDLRPAEARQTVLLAVQELGKRYPQGLPKLNPVKDMGIDDPEFISLMDEIEDVERKLLAHPLHKSGQSEQQFKWFQSKADMNHEIQQLKAKMRDSQLQKFRNELKNRSRVLKMLGHIDADGVVQLKGRAACLIDTGDELLVTELMFNGTFNDLDHHQVAALASCFIPGDKSNEQIHLRIELAKPLQQLQDSARRIAEIQRECKLDINVDEYVESTVRPFLMDVIYCWSKGSTFAEVIEMTDIFEGSIIRHARRLDEFLNQLRAAAHAVGEADLEKKFEAGSESLRRGIMFSNSLYL >DRNTG_30234.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:160023:168948:-1 gene:DRNTG_30234 transcript:DRNTG_30234.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLDMEENTNLGKRKQPDEDSQNKSGEASSVNPTSSPKHRSVSRTCVHEVAVPKDYTSSKDESIYGTLEDPSYNGKMAKSYMFELDPFQSIAVACLERNESVLVSAHTSAGKTAVAEYAIAMSFRDKQRVIYTSPLKALSNQKYRELSQEFSDVGLMTGDVTLSPNASCLVMTTEILRGMLYRGSEVIKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICNLHKQPCHVVYTDFRPTPLQHYVFPMGGAGLYLVVDENEQFKEGNFAKLQETFTKHRGNAYANRSGGGKASGRIAKGGAASSGSDIYKIVKMIMERKFQPVIVFSFSRRECEQHAMSMSKLDFNNQEEKEVVEQVFQNAVLCLSEEDRSLPAIELMLPLLQRGIAVHHSGLLPIIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDSHRYIGSGEYIQMSGRAGRRGKDERGICIIMVDEKMEMNVLKDMVLGKPAPLVSTFRLSYYSILNLMSRAEGQFTAEHVIKNSFHQFQYEKALPDMGERISKLEKEVALLDSSGEADLAEYHKLGLEIAQLEKKMMSELTRPERALYFLVPGRLVKVRDGGTDWGWGVVVNVVKKSPPAVGSMPPALATARTGSYIVDTLLHCSPELNENGSRSKPCPPRPGEKGEMHVVS >DRNTG_30234.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:160023:168016:-1 gene:DRNTG_30234 transcript:DRNTG_30234.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLDMEENTNLGKRKQPDEDSQNKSGEASSVNPTSSPKHRSVSRTCVHEVAVPKDYTSSKDESIYGTLEDPSYNGKMAKSYMFELDPFQSIAVACLERNESVLVSAHTSAGKTAVAEYAIAMSFRDKQRVIYTSPLKALSNQKYRELSQEFSDVGLMTGDVTLSPNASCLVMTTEILRGMLYRGSEVIKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICNLHKQPCHVVYTDFRPTPLQHYVFPMGGAGLYLVVDENEQFKEGNFAKLQETFTKHRGNAYANRSGGGKASGRIAKGGAASSGSDIYKIVKMIMERKFQPVIVFSFSRRECEQHAMSMSKLDFNNQEEKEVVEQVFQNAVLCLSEEDRSLPAIELMLPLLQRGIAVHHSGLLPIIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDSHRYIGSGEYIQMSGRAGRRGKDERGICIIMVDEKMEMNVLKDMVLGKPAPLVSTFRLSYYSILNLMSRAEGQFTAEHVIKNSFHQFQYEKALPDMGERISKLEKEVALLDSSGEADLAEYHKLGLEIAQLEKKMMSELTRPERALYFLVPGRLVKVRDGGTDWGWGVVVNVVKKSPPAVGSMPPALATARTGSYIVDTLLHCSPELNENGSRSKPCPPRPGEKGEMHVVPVPLPLLSGLSSIRIAIPPDLRPAEARQTVLLAVQELGKRYPQGLPKLNPVKDMGIDDPEFISLMDEIEDVERKLLAHPLHKSGQSEQQFKWFQSKADMNHEIQQLKAKMRDSQLQKFRNELKNRSRVLKMLGHIDADGVVQLKGRAACLIDTGDELLVTELMFNGTFNDLDHHQVAALASCFIPGDKSNEQIHLRIELAKPLQQLQDSARRIAEIQRECKLDINVDEYVESTVRPFLMDVIYCWSKGSTFAEVIEMTDIFEGSIIRHARRLDEFLNQLRAAAHAVGEADLEKKFEAGSESLRRGIMFSNSLYL >DRNTG_21333.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9062719:9070698:-1 gene:DRNTG_21333 transcript:DRNTG_21333.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine N-methyltransferase 1.6 [Source:Projected from Arabidopsis thaliana (AT4G16570) UniProtKB/Swiss-Prot;Acc:Q944R7] MRIPATVDGKVHAVVSWWVLQLDYEGSILYSTSPSWINSFNAEKPSSCISGQRDWYDHWRQCVWFIPRGGISVCKDEHVLLQAFHDDTSVSYSLKVDGQTNFNPYKAEDFNLMLLPERIATYGNKEWRMAVLTAVKSALHGRSSPLCVVADDSLFLTILTASLSKNSLVTSVFPGLQEKGALYVQTVADANGFSMDCVRFVCKRASHLLKDNINQRKIDLLLGEPFYYGSEGMLPWHNLRFWKERTSLDPILADDVFIMPGKGILKVCAMSLPDLWRSRRSLKYIEDFDHTIVNETLGACGDLPSLQEGPCLPYFIWQCGEAKELSEIYSVMEFNFYDPIQACSEGKKIVFSKHGVCHGFVLWIDWVIDEKNSAFISTGPVSRYWKQGVKLLSKPVTVTAVDDFAEIEASFDPSSGELTVRSSFLKL >DRNTG_21333.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9062719:9070698:-1 gene:DRNTG_21333 transcript:DRNTG_21333.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine N-methyltransferase 1.6 [Source:Projected from Arabidopsis thaliana (AT4G16570) UniProtKB/Swiss-Prot;Acc:Q944R7] MHSILFKPSLVPSPLLSRLCLPPLPVIFVSDLLRRTMASSARSFQLRLNPLTGDSEWVVIDEEEEEDLVQHEQSKSLLATTSYLDMLNDGCRNRAFRLAIEKTITGPCHVLDIGAGTGLLSMMAARAMGGQGEVSACESYLPMGKLMRRVLRANGMDKNVRVFHKRSDELRVGVELNSRADVLVSEILDSELLGEGLIPTLQQAHDMLLVDNPKLVPFRVTTYGQLVESDFLWKMSDLCNNEVSISDGVHLVPAGLEGILGIKPQQHAMHCDALLEEIRLLSEPFKVFEFDFWKRPDSHGEVVMRIPATVDGKVHAVVSWWVLQLDYEGSILYSTSPSWINSFNAEKPSSCISGQRDWYDHWRQCVWFIPRGGISVCKDEHVLLQAFHDDTSVSYSLKVDGQTNFNPYKAEDFNLMLLPERIATYGNKEWRMAVLTAVKSALHGRSSPLCVVADDSLFLTILTASLSKNSLVTSVFPGLQEKGALYVQTVADANGFSMDCVRFVCKRASHLLKDNINQRKIDLLLGEPFYYGSEGMLPWHNLRFWKERTSLDPILADDVFIMPGKGILKVCAMSLPDLWRSRRSLKYIEDFDHTIVNETLGACGDLPSLQEGPCLPYFIWQCGEAKELSEIYSVMEFNFYDPIQACSEGKKIVFSKHGVCHGFVLWIDWVIDEKNSAFISTGPVSRYWKQGVKLLSKPVTVTAVDDFAEIEASFDPSSGELTVRSSFLKL >DRNTG_29794.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19164427:19169185:1 gene:DRNTG_29794 transcript:DRNTG_29794.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSIHVPEEKSNNLNTSHSHRVAMSSRDS >DRNTG_10691.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13878647:13884422:1 gene:DRNTG_10691 transcript:DRNTG_10691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRASNGGRSSTFFSVLLARIRTSDLQILGADAVVDHLRSQFPDYARLKLQPFSHHVQQALQAVRRQSPRSPSSDASPASLGRRRPRPKADILDLEEAHLRRRRRLDEQSSSSTSSSVSPSSSSSDDDDDDDDDDDDAFGEKLSPKFDLTKSMLRDSYVKQASVGKKKPQAKEDDNVEIERPAVSKEACSLELNGREGGSTVETAVAERSDGGDVVSRDEGPKFRDLGGMKGVLEDLMNEVIVPFCHPQLPKLLGVRPISGILLHGPPGCGKTMLAHAIANETGVPFYKISATEVVSGVSGASEENIRDLFKKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDESHQTLESMDADSDSETSGKKPGYVLVIGATNTPDAIDQALRRPGRFDREIYLGVPDESTRMEILNVLTRRLKLEGKFNISKIARSTPGFVGADLAALANKAGNLAMKRVIDTRKSEFCCEAKEQINEDWWRQPWAPEEMESLSITMEDFEEAVKMVQPSSRREGFSSIPNVTWDDVGGLDSLRKEFDRYIVRPIKHPEHYVEFGVNMEAGFLLYGPPGCGKTLIAKAVANEAGTNFIHIKGPELLNKYVGESELKVRTLFSRARTCAPCILFFDEIDALTTKRGKEGGWVVERLLNQLLIELDGADQRQGVYVIGATNRVEVMDPAVLRPGRLGKLLYVPLPTADERALILKALARKKPIAADVDFVAIAHREECNNLTGADLSALMNVAATAAVEEKAVI >DRNTG_10691.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13883973:13884422:1 gene:DRNTG_10691 transcript:DRNTG_10691.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAVLRPGRLGKLLYVPLPTADERALILKALARKKPIAADVDFVAIAHREECNNLTGADLSALMNVAATAAVEEKAVI >DRNTG_10691.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13878647:13883896:1 gene:DRNTG_10691 transcript:DRNTG_10691.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRASNGGRSSTFFSVLLARIRTSDLQILGADAVVDHLRSQFPDYARLKLQPFSHHVQQALQAVRRQSPRSPSSDASPASLGRRRPRPKADILDLEEAHLRRRRRLDEQSSSSTSSSVSPSSSSSDDDDDDDDDDDDAFGEKLSPKFDLTKSMLRDSYVKQASVGKKKPQAKEDDNVEIERPAVSKEACSLELNGREGGSTVETAVAERSDGGDVVSRDEGPKFRDLGGMKGVLEDLMNEVIVPFCHPQLPKLLGVRPISGILLHGPPGCGKTMLAHAIANETGVPFYKISATEVVSGVSGASEENIRDLFKKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDESHQTLESMDADSDSETSGKKPGYVLVIGATNTPDAIDQALRRPGRFDREIYLGVPDESTRMEILNVLTRRLKLEGKFNISKIARSTPGFVGADLAALANKAGNLAMKRVIDTRKSEFCCEAKEQINEDWWRQPWAPEEMESLSITMEDFEEAVKMVQPSSRREGFSSIPNVTWDDVGGLDSLRKEFDRYIVRPIKHPEHYVEFGVNMEAGFLLYGPPGCGKTLIAKAVANEAGTNFIHIKGPELLNKYVGESELKVRTLFSRARTCAPCILFFDEIDALTTKRGKEGGWVVERLLNQLLIELDGADQRQGVYVIGATNR >DRNTG_30090.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22871450:22876042:1 gene:DRNTG_30090 transcript:DRNTG_30090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHRGTRAKTLATCLPLLLLLFVLISFAVAEDVERSALIQFKASVSADPGGVLALWSGSDHCSWPGVLCDSGSRVFALNISAKAESCPNSGSFRRSCSDPRRRLAGKLSPEVGNLSGLRVLVLAFQSFEGEIPDAIWGLENLEVINLEGNLFSGSLPSSFPPRLQVLKLGSNRIRGEIPLSLLNCFNLETLDLSGNQLNGMMLRNFSNFPKLRELYLSFNRLSGKIPDDIGTGCQNLEHLDLSGNQLIGSIPHSLGYCTNLQSLLLFSNLLDGAIPSEIGNLQKLRALDVSRNSLSSPVPPELGNCTELSVLVLSNLFDPMPGREDSSVLDEFNAIQGEIPESVTSLRNLRIFWAPRTMLGGVIPRSWGVCRSLEMVHFGESFFSGGIPSAFRQCENLRFLNLSSNKLTGWLDDELPVPCMDVFDVSGNNLSGSIPKFTQCSSTRLPSDELTSVYLSFFEYRTDQQISLPTSASAGGFTVYHNFGRNNFTGSLTSLPVATERFGGQVAAYAFLADGNEINGSMTNAMFEKCKDLSALVLNFSNNMISGVIPEEIGSLCRSLVVLDGAGNRITGTIPGSFSLLDRLVDLDLSRNQLQGQIPASLGQLKSLEHLSLAQNNLSGQIPSGFDELHSLKELDLSSNSVGGEIPGGLSNLNNLRVLLLDSNKLSGKIPSGLTNVTLFGKFNVSYNNLSGPLPFNSSMMSCNSVMGNPLLQHQPCSGISLSVPPLDVQGRANADQSSAYSPPASSPSNSGNKGFSSIEIASITSASAIVSVLIALVILYVYTRKCAPRSSVQSSGRKVTIFTDIGVPVTYEAVVRATGNFNASNCIGSGGFGATYKAEIAPGVLVAIKRLAVGRIQGVQQFHAEIKTLGRWRHPNLVTLIGYHLGEAEMFLIYNYLPGGNLERFIHERSKRPVDWRMLHKIALDVARALAYLHDHCVPRILHRDVKPSNILLDNDFTAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVAWASMLLRQGRAHEFFTEGLWDVGPRDDLVEALQLGVMCTVESLAIRPTMKQVVQRLKQLQPPMLCKR >DRNTG_30090.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22871450:22876042:1 gene:DRNTG_30090 transcript:DRNTG_30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHRGTRAKTLATCLPLLLLLFVLISFAVAEDVERSALIQFKASVSADPGGVLALWSGSDHCSWPGVLCDSGSRVFALNISAKAESCPNSGSFRRSCSDPRRRLAGKLSPEVGNLSGLRVLVLAFQSFEGEIPDAIWGLENLEVINLEGNLFSGSLPSSFPPRLQVLKLGSNRIRGEIPLSLLNCFNLETLDLSGNQLNGMMLRNFSNFPKLRELYLSFNRLSGKIPDDIGTGCQNLEHLDLSGNQLIGSIPHSLGYCTNLQSLLLFSNLLDGAIPSEIGNLQKLRALDVSRNSLSSPVPPELGNCTELSVLVLSNLFDPMPGREDSSVLDEFNAIQGEIPESVTSLRNLRIFWAPRTMLGGVIPRSWGVCRSLEMVHFGESFFSGGIPSAFRQCENLRFLNLSSNKLTGWLDDELPVPCMDVFDVSGNNLSGSIPKFTQCSSTRLPSDELTSVYLSFFEYRTDQQISLPTSASAGGFTVYHNFGRNNFTGSLTSLPVATERFGGQVAAYAFLADGNEINGSMTNAMFEKCKDLSALVLNFSNNMISGVIPEEIGSLCRSLVVLDGAGNRITGTIPGSFSLLDRLVDLDLSRNQLQGQIPASLGQLKSLEHLSLAQNNLSGQIPSGFDELHSLKELDLSSNSVGGEIPGGLSNLNNLRVLLLDSNKLSGKIPSGLTNVTLFGKFNVSYNNLSGPLPFNSSMMSCNSVMGNPLLQHQPCSGISLSVPPLDVQGRANADQSSAYSPPASSPSNSGNKGFSSIEIASITSASAIVSVLIALVILYVYTRKCAPRSSVQSSGRKVTIFTDIGVPVTYEAVVRATGNFNASNCIGSGGFGATYKAEIAPGVLVAIKRLAVGRIQGVQQFHAEIKTLGRWRHPNLVTLIGYHLGEAEMFLIYNYLPGGNLERFIHERSKRPVDWRMLHKIALDVARALAYLHDHCVPRILHRDVKPSNILLDNDFTAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVAWASMLLRQGRAHEFFTEGLWDVGPRDDLVEALQLGVMCTVESLAIRPTMKQVVQRLKQLQPPMLCKR >DRNTG_06643.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1657006:1663452:-1 gene:DRNTG_06643 transcript:DRNTG_06643.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial outer membrane protein porin 4 [Source:Projected from Arabidopsis thaliana (AT5G57490) UniProtKB/Swiss-Prot;Acc:Q9FKM2] MGNGPAPFSDIGKKAKDLLTKDYNFDQKFTFALLGNAGLGLTATGVKMDEVFIGDINTQYKSGKTTVDVKVDTNSNVSTTVSFNEFVPGAKTSFSFKMPDQKSGKLDVQYLHHHAAITTSIGLTPTPLLELSAAIGNKEVSIGGEIGFDSASACITKYNTGIGYNKQDFSASLILADKGQTLRASYIHVVNPTNGATVAAELVHRFNTYGNSFCIGSSHSVDPLTLLKTRFSNNGKVSVLCQHEWRPKSLITLSAEYDSKVYRTPTKLGLALALKP >DRNTG_06643.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1657006:1660542:-1 gene:DRNTG_06643 transcript:DRNTG_06643.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial outer membrane protein porin 4 [Source:Projected from Arabidopsis thaliana (AT5G57490) UniProtKB/Swiss-Prot;Acc:Q9FKM2] MDEVFIGDINTQYKSGKTTVDVKVDTNSNVSTTVSFNEFVPGAKTSFSFKMPDQKSGKLDVQYLHHHAAITTSIGLTPTPLLELSAAIGNKEVSIGGEIGFDSASACITKYNTGIGYNKQDFSASLILADKGQTLRASYIHVVNPTNGATVAAELVHRFNTYGNSFCIGSSHSVDPLTLLKTRFSNNGKVSVLCQHEWRPKSLITLSAEYDSKVYRTPTKLGLALALKP >DRNTG_33663.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2132731:2138312:1 gene:DRNTG_33663 transcript:DRNTG_33663.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G01860) UniProtKB/TrEMBL;Acc:A0A178WMV6] MLNSSVNEELVNVAGVKDGDLVLDIGPGTGSLTNVLIKAGASVIAIEKDPHMVVLVKERFGSTDQLMVLQEDVTKCHIRSRLSSFIESKNSTGHELKRAKVVANIPFNISTDVVKQLLPMGDIISDVVLLLQDEAALRLTDVSLRKTEHRPVNIFVNFYSDPEYKFKVERSNFFPQPNVDAAVVRFKLKLISDYPPVISIKSFFSMVNSAFNGKRKMLRRSLQHICSALEIEAALMNVGLPTTSRPEELTLDDFVKLHNEIAKV >DRNTG_14393.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:541975:543209:-1 gene:DRNTG_14393 transcript:DRNTG_14393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMTMSFEESDIMAQLLGNTHDFNLAMPSMFWSNEFSDNFWPQTSNGVFDVAYSVPDCAFDGLSVPVNSVDTTMECFFVEDEQTMMATAPPVHVVPSQPLLGDDLRDSGLKRKFDAAVTEKDDDVSNASSKKKPRVTTVKRSTKKARKNSQTKDEDDNNASPNGHSSSCSSSDDELNGRGGMSSSTKDSEALNLSGKTRASRGSATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDDMWMYAPIAYNGMDIGLDLNLLPQKQ >DRNTG_27803.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27181449:27182122:-1 gene:DRNTG_27803 transcript:DRNTG_27803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKMKPLLHLFLFLLFISLILTQGCVALRNNEYSQMVLQKEIMRKEMMMYDDDDDEKFVKKRMDFETHDYPGSGANNRHDPRGPGRD >DRNTG_25490.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1692704:1692948:-1 gene:DRNTG_25490 transcript:DRNTG_25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQIGNEEKHSKDCQRSQLSGRSPCCR >DRNTG_31700.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2168541:2168852:1 gene:DRNTG_31700 transcript:DRNTG_31700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFLKYQDMMADQVNHVRKLGEFMGCPFFENEERDEVVEGSIVKLCNFDNLSNLEVNKNNDLSSEEMKIFMVPSSVYFWKRKGRGLGESYDHGDSGGVGFHY >DRNTG_19310.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:633804:639222:-1 gene:DRNTG_19310 transcript:DRNTG_19310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMAPAVALPFRVGNSLCENSMEITRLKLMTDTASLMAADPNHTVEEAGAVVVVAMEEGGEGDDFEVRTLPASDDDEVLSVGPEPETELSAGSSGSIASDGNINIASVPEELILDAVCEIVAPGSLDVVQGIGDVEDIIARQSAVVKMVTVAAGGSSALELGIQGSQICGTGCRSVFLMDCVPLWGIISICGRRPEMEDAVVAAPRFFEIPIRMLTADLSEEVFNPLTAHFFGVYDGHGGAQVANYCRERIHLALVEELRNVITGSGGTAGSDWQKKWEKAFVNCFLKVDDEIGGKVSRCIVEMAADTYEEGSSLSSGGTSEPVAPETVGSTAVVAVICSSHIIISNCGDSRAVLCRGKLPVPLSVDHKPNREDEYARIEAEGGKVIQWNGYRVFGVLAMSRSIGDRYLKPWIIPEPEVTIIPRAKEDECLILASDGLWDVMSNEEACDAARRRILLWHKKNGVKSSSTRSDGEVDPAAQAAAEYLSKLALQKGSKDNISIIVVDLKPQRKFKSKMAT >DRNTG_19310.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:633804:639222:-1 gene:DRNTG_19310 transcript:DRNTG_19310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMAPAVALPFRVGNSLCENSMEITRLKLMTDTASLMAADPNHTVEEAGAVVVVAMEEGGEGDDFEVRTLPASDDDEVLSVGPEPETELSAGSSGSIASDGNINIASVPEELILDAVCEIVAPGSLDVVQGIGDVEDIIARQSAVVKMVTVAAGGSSALELGIQGSQICGTGCRSVFLMDCVPLWGIISICGRRPEMEDAVVAAPRFFEIPIRMLTADLSEEVFNPLTAHFFGVYDGHGGAQVANYCRERIHLALVEELRNVITGSGGTAGSDWQKKWEKAFVNCFLKVDDEIGGKVSRCIVEMAADTYEEGSSLSSGGTSEPVAPETVGSTAVVAVICSSHIIISNCGDSRAVLCRGKLPVPLSVDHKPNREDEYARIEAEGGKVIQWNGYRVFGVLAMSRSIGDRYLKPWIIPEPEVTIIPRAKEDECLILASDGLWDVMSNEEACDAARRRILLWHKKNGVKSSSTRSDGEVDPAAQAAAEYLSKLALQKGSKDNISIIVVDLKPQRKFKSKMAT >DRNTG_19310.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:633804:639222:-1 gene:DRNTG_19310 transcript:DRNTG_19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMAPAVALPFRVGNSLCENSMEITRLKLMTDTASLMAADPNHTVEEAGAVVVVAMEEGGEGDDFEVRTLPASDDDEVLSVGPEPETELSAGSSGSIASDGNINIASVPEELILDAVCEIVAPGSLDVVQGIGDVEDIIARQSAVVKMVTVAAGGSSALELGIQGSQICGTGCRSVFLMDCVPLWGIISICGRRPEMEDAVVAAPRFFEIPIRMLTADLSEEVFNPLTAHFFGVYDGHGGAQVANYCRERIHLALVEELRNVITGSGGTAGSDWQKKWEKAFVNCFLKVDDEIGGKVSRCIVEMAADTYEEGSSLSSGGTSEPVAPETVGSTAVVAVICSSHIIISNCGDSRAVLCRGKLPVPLSVDHKPNREDEYARIEAEGGKVIQWNGYRVFGVLAMSRSIGDRYLKPWIIPEPEVTIIPRAKEDECLILASDGLWDVMSNEEACDAARRRILLWHKKNGVKSSSTRSDGEVDPAAQAAAEYLSKLALQKGSKDNISIIVVDLKPQRKFKSKMAT >DRNTG_19310.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:633804:639222:-1 gene:DRNTG_19310 transcript:DRNTG_19310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMAPAVALPFRVGNSLCENSMEITRLKLMTDTASLMAADPNHTVEEAGAVVVVAMEEGGEGDDFEVRTLPASDDDEVLSVGPEPETELSAGSSGSIASDGNINIASVPEELILDAVCEIVAPGSLDVVQGIGDVEDIIARQSAVVKMVTVAAGGSSALELGIQGSQICGTGCRSVFLMDCVPLWGIISICGRRPEMEDAVVAAPRFFEIPIRMLTADLSEEVFNPLTAHFFGVYDGHGGAQVANYCRERIHLALVEELRNVITGSGGTAGSDWQKKWEKAFVNCFLKVDDEIGGKVSRCIVEMAADTYEEGSSLSSGGTSEPVAPETVGSTAVVAVICSSHIIISNCGDSRAVLCRGKLPVPLSVDHKPNREDEYARIEAEGGKVIQWNGYRVFGVLAMSRSIGDRYLKPWIIPEPEVTIIPRAKEDECLILASDGLWDVMSNEEACDAARRRILLWHKKNGVKSSSTRSDGEVDPAAQAAAEYLSKLALQKGSKDNISIIVVDLKPQRKFKSKMAT >DRNTG_14341.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16051057:16055485:-1 gene:DRNTG_14341 transcript:DRNTG_14341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDSKGRKRSPTFDSLALLASLFYWFGSCYQDDFFMPIKGPRDVITMFVPR >DRNTG_25405.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24523980:24524557:-1 gene:DRNTG_25405 transcript:DRNTG_25405.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTQESEFFSEQDGQPKAPFPNSWRGKNGLYATGFTKRGLLGASIDAQRIAEDVARQWNFKTWHFSLEF >DRNTG_12835.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32970599:32972727:1 gene:DRNTG_12835 transcript:DRNTG_12835.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMIWVVVLPVLLVWVWKTWEKLWLTPRRLERELRRQGLSGTHYRIFYGDSKDFVRLSNDARSRPLPLHCHDIGPRVLPLYHNIIKDHGKISFTWFGGSPRVSLMDPELVREVLSKKFGHFEKPKSTPFTKYIFQGLVIHEGEKWAKHRRILNPAFHLEKLKLMVPAFSSSCVELIRRWNKMIPDEGYMELDVSPEVQNFTQEVISKTAFGSSYEEGRRIFQLLTEKLQLILPAFHSVYIPGYRYICLFSINLPHLYITLRLVFLFSSCA >DRNTG_12835.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32970599:32972727:1 gene:DRNTG_12835 transcript:DRNTG_12835.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMIWVVVLPVLLVWVWKTWEKLWLTPRRLERELRRQGLSGTHYRIFYGDSKDFVRLSNDARSRPLPLHCHDIGPRVLPLYHNIIKDHGKISFTWFGGSPRVSLMDPELVREVLSKKFGHFEKPKSTPFTKYIFQGLVIHEGEKWAKHRRILNPAFHLEKLKLMVPAFSSSCVELIRRWNKMIPDEGYMELDVSPEVQNFTQEVISKTAFGSSYEEGRRIFQLLTEKLQLILPAFHSVYIPGYRFLPTPLNQKASQLDEDIARILRGMIEKREKAIRMGEGSNDDLLGLLLESNMKENEEYGSKFFINKEMSIEDVIEECKLFYLAGQETTSVLLTWTMILLSMYPNWQDKAREEVLQVFGKNTPDMDGLSRLKIVTMILYEVLRLYTPVANLLRKLCKTMEIGGITYPAGVIFFLPILFIHHDTEFWGEDAKEFKPERFAEGISKASKVAGAFFPFSVGPRVCLGQNFALIEAKIGLSMILQHFCFELSSSYIHAPHNVFTVQPQHGAQLKLHKL >DRNTG_12835.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32970599:32972727:1 gene:DRNTG_12835 transcript:DRNTG_12835.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKREKAIRMGEGSNDDLLGLLLESNMKENEEYGSKFFINKEMSIEDVIEECKLFYLAGQETTSVLLTWTMILLSMYPNWQDKAREEVLQVFGKNTPDMDGLSRLKIVTMILYEVLRLYTPVANLLRKLCKTMEIGGITYPAGVIFFLPILFIHHDTEFWGEDAKEFKPERFAEGISKASKVAGAFFPFSVGPRVCLGQNFALIEAKIGLSMILQHFCFELSSSYIHAPHNVFTVQPQHGAQLKLHKL >DRNTG_12835.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32970599:32972727:1 gene:DRNTG_12835 transcript:DRNTG_12835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMIWVVVLPVLLVWVWKTWEKLWLTPRRLERELRRQGLSGTHYRIFYGDSKDFVRLSNDARSRPLPLHCHDIGPRVLPLYHNIIKDHGKISFTWFGGSPRVSLMDPELVREVLSKKFGHFEKPKSTPFTKYIFQGLVIHEGEKWAKHRRILNPAFHLEKLKLMVPAFSSSCVELIRRWNKMIPDEGYMELDVSPEVQNFTQEVISKTAFGSSYEEGRRIFQLLTEKLQLILPAFHSVYIPGYRFLPTPLNQKASQLDEDIARILRGMIEKREKAIRMGEGSNDDLLGLLLESNMKENEEYGSKFFINKEMSIEDVIEECKLFYLAGQETTSVLLTWTMILLSMYPNWQDKAREEVLQVFGKNTPDMDGLSRLKIVNP >DRNTG_12835.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32970599:32972727:1 gene:DRNTG_12835 transcript:DRNTG_12835.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMIWVVVLPVLLVWVWKTWEKLWLTPRRLERELRRQGLSGTHYRIFYGDSKDFVRLSNDARSRPLPLHCHDIGPRVLPLYHNIIKDHGKISFTWFGGSPRVSLMDPELVREVLSKKFGHFEKPKSTPFTKYIFQGLVIHEGEKWAKHRRILNPAFHLEKLKLMVPAFSSSCVELIRRWNKMIPDEGYMELDVSPEVQNFTQEVISKTAFGSSYEEGRRIFQLLTEKLQLILPAFHSVYIPGYRYICLFSINLPHLYITLRLVFLFSSCA >DRNTG_30810.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20868166:20868779:1 gene:DRNTG_30810 transcript:DRNTG_30810.2 gene_biotype:protein_coding transcript_biotype:protein_coding SFSSAPSIRESKASKQLHQQWTMHSSSTTIKHYSCHTS >DRNTG_30810.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20864889:20868779:1 gene:DRNTG_30810 transcript:DRNTG_30810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFSHVPPGFRFHPTDEELVDYYLRKKVASRRIDLDVIKEVDLYKIEPWDLQEKCKIGADDQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKPIYSRNNLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGPPQAKGWVVCRVFKKRVAAIRKVNEHESPSWYDEHVSFMPELESPKRLLGTHHQPDMQQLHHNLYTCKQELEMHYHLHAHHDPFLQLPQLESPKLPNNFINNGPCTLLQQPSSTIPVTHHDQIISLFSNNTNIEHHHHQDVDQVTT >DRNTG_05682.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:138346:141425:1 gene:DRNTG_05682 transcript:DRNTG_05682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQLGTAFVPTSTSYLRRRSPSVATPPRRASPRVSAKIREIFMPALSSTMTEGKIVSWVKAEGDQLSKGDSVVVVESDKADMDVETFYDGYLAAIIVDEGSSAPVGSAIALLAETVEEIALAKSQASSSSPSAPAPASPPPPPPEAVPETLASPPPPKSAPVSASSTHPASESGQRIVATPYARKLAKDLKVELGSVVGTGPMGRVVAKDVEAAAAASPVLSTPVKSVSDSAPVKTPAIELGSVVPFTTMQKAVSRNMVETLSVPTFRVGYTITTDALDNLYKKIKSKGVTMTALLAKATAMALTKHPVVNSCCRDGKSFTYNSSINIAVAVAMDGGLITPVLQDADKLDIYSLSRKWKELVDKARAKQLQTHEYSLGTFTLSNLGMFGVDRFDAILPTGTGAIMAVGASQPTVVATKDGRIGMKNQMQVNITADHRVIYGADLAAFLQTLAKIIEDPKDLTF >DRNTG_28039.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26178142:26181428:-1 gene:DRNTG_28039 transcript:DRNTG_28039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCRASIRLLMINLPRTKVLGPDLAFSPLAYIQITRFKCGGMSVGLSWAHILGDAISATNSINMWGQFLTKNKSPTDQNIPKIQPKSINNLSAKLTPATPNRLIKQVPPVEDHWICPTNHHQMATFSFQISSPSLSSFESLSALIWKCLAKIRQGREPHLVTVCRSTSAEKKTGFVLSNDQFITSVHCESSLSKIDVSELAQLIAEARVDKKKEIEELIDSESGEPSFIFYGANLTFVDLENVEFYGLEIKGQKPVHVSFKIDGVGDEGLVLVLPAGDGEGKNVTVILPEDEILKLREVLEIEWNVVVW >DRNTG_12203.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10576520:10591424:1 gene:DRNTG_12203 transcript:DRNTG_12203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSYNINSSHVWSFADTKLVCWRITTNDKSKAFSLARNVLIFPGKKALKTYRYLGRPFEASRTLFKVVYSLCGLYTGSTRQKGSQANLRPHTARTGLVVRFTGKCALAYQADTSGWVGRSDLEIGVTVETPRSIGDSVGIRRKCTITGADLNHFLDLGHGDHYKVEELVALEEEKEKSEEGEKLGNHTKFLVEETKEKKNVVAEEIGRDELGKNLEVAMYIDSRRPLPLQGRAFPTDSVVKPEGEPKEPIATEGGDENGQSPLEMRGEAQGGVHFTVSEGKSGSEGSATSLKWGGSDITSRTSVFICQKLHPNLRPLTFPVLSVRLVAVNSTRAPPSYSRFLPRVTLVGHPLPRDVPTKAHATISPKMDTKDLPIFFPNLAQVWSS >DRNTG_19899.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18248478:18249021:-1 gene:DRNTG_19899 transcript:DRNTG_19899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYHNFICPTTTTTTSFALARVLRGVHTGEVIVGRENQNFSSFIIANELMARRNDRVAGKKKPKQAADLSQQSTSIISEAPDFLT >DRNTG_08815.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28054066:28061019:1 gene:DRNTG_08815 transcript:DRNTG_08815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLVAKAAAMALVQHPVVQENCKDGKSFTYSSIIKIAVAVAIDGLLVTWFFWMQMEYL >DRNTG_10511.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4277424:4278364:-1 gene:DRNTG_10511 transcript:DRNTG_10511.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:22.0 kDa heat shock protein [Source:Projected from Arabidopsis thaliana (AT4G10250) UniProtKB/Swiss-Prot;Acc:Q38806] MKQALGIVLAISALVPFLAAPASASLIAPFLNRHDALLSDPFSDPFRVLEHIPFGLDRDDVLTVSPARADWKETPDAHLITIDVPGLKKDELKIEIMENRVLRISGERKREEEKKEDQWHCVERLHGRFWRQFRLPENVDLDSISAKLDDGVLHVTLKKLAPEKIKGPRLVDIAAKTSTAELEGQDEEQSKKVEL >DRNTG_03732.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18195945:18199476:-1 gene:DRNTG_03732 transcript:DRNTG_03732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHAAAYQLASLTCVPYRRVEVENLEAAGKPRKNPSKYGSAKSERSVRVLSGEEGVWE >DRNTG_14601.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7121012:7124907:1 gene:DRNTG_14601 transcript:DRNTG_14601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMDIVGKSKEDVSLPKATMFKIIKEMLPPDVRVARDAQDLIVECCVEFINLVSSESNEVCSREDKRTIAPEHVLKALEVLGFGEYIEEVYAAYEQHRQETLDSPKGGKFSGNEMTEEEALAEQQRMFAEARARMNNGLNLQQKQLDSEHASDS >DRNTG_28197.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19675943:19679417:-1 gene:DRNTG_28197 transcript:DRNTG_28197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLSKLRDETRTRLGFLIKASWRHRRGISRILGVWMDSDREEEEASSVFLVSERLQRGTMATENGEQLGTLIAGMELCEGLMGLHSDGIACGCLGDSCFGFDEFGHCVVDLDGILKTGERIQKGLRMKKGLDLDELGAFVAPEVLVQMPDRNCSSGDAFDGSIACAADVWSLSCTLIAFFGGSLLEGYSSLLLRVTDDNFVQLFVSWYEAWSEQVSSKLEALLHEKKYEALLGMIKLCLNFHPEDRPHVSDIWHCIKDLFNGLHVNDQASSYTEILVAKDKSSHCLVLRELFVGSEGDAGLLLAQDSSGILEAVSASEGLLASKRNCNEEHLPQENANGEHLVERLHGGGAKSISLHGHNDSVTGLAIGGGFLFSSSFDKTINVWSLQDFSHVQTLRAHAHRVMAILVVESGEPLCISGDSGSGICIWRIGTSLTQEPLKKWYEHNDWRYSGIHALAVSGTGYLYSGSGDKSIKAWSLQDYSLLCTMNAHKSTVSALAVAGGILYSGSWDGTIRLWWITDHSPLITLGEDAPENLNPVLSLCVHCNILASSYENGNLKIWRNETLVKTMQIQNGSLFALDIDTKWLFTGGWNKIIDIQELSENDLEVDIKPLASINCDSVITCLLHWHGKLFVGLGNKEIKVYCAG >DRNTG_31406.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001699.1:18481:19414:-1 gene:DRNTG_31406 transcript:DRNTG_31406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKKWTEEEESTLLSEYSSLRSSGALARLRTREKKFQPIADRVNAAHHLLDPSSFPFLWSWRDVSVKIQNMRHQFVNVKLKIQSSSLLLLLRLGPWPSTLAQFALLSRRLRRR >DRNTG_30400.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:98988:103374:1 gene:DRNTG_30400 transcript:DRNTG_30400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDAIPDSSTVHTAVPEETSSLESIPKQLPPDIKQIRRSDRPKKPSGRWNEEAGFVPLPPRSSKKKIPEDPREAADSHHQSLNEASQKIKRSLNFLSARGPNPVGDPD >DRNTG_29612.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19902171:19913227:1 gene:DRNTG_29612 transcript:DRNTG_29612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGRRKSGQRAKVAGELSLGDLVLAKVKGFPAWPAKISRPEDWKRSPDPKKYFVQFFGTSEIAFVAPGDVQVFTNESKSKLIARCQGKTVKYFASAVEEISKAFEELQKKGMSDPAEDSEGTAVGIVSSLTVGVEDIEHTEHHEKAHLDDQEESCDDKRIDNIEFLSKDPHNLENRPSNQQETISATTKSCGGGKEFSSISYKKRKKALNSGTCMPNEEKKLPKLTSVSSSVKEENPTSPYCGLDDQNSMDVCPEKKVEKLLPKRSAASGSQSLCNTEGGHVDLIDNEPLALIFDCKNAGNGQKAVEDNGHVRSKAILESKGEAVKVLKAHNNHKLQKQLKEYSTEGGKQSDASFDGVKKSPVTVSRADADVAKNKIGQKKSKKNLSRKDFSKDASKVNTHNEDGPVPDGSSSSGEKNVKQSQVLKNKRRKNSATDAPRSAKRLKHMEAEGGKAGKLVRSGAAQSSSESRADKEVLRTKKSMGSSKRQNALPSRKGIPNVGNGKSGEPSLPPSKRSRMVEATSKVSAKSSVGAERNYDGEEDGLVSNHDTSPVTCIRSRRRVVRLDDDEEEGEEQRTPIHQESKILVISDSNVTTTAKILSLQPGSSKGSPLNAGQSTFENPSSTNNEKPSGVKTSPAEVGIDSSSDLGKVKVIKEEKAAGLQISPCPSKPECKPSSKVSWPTIISPKSAVSPVGKAKLNEDKPVKSELKASSTAGKKVQPSLLKSSCHPSESSNSSQNQTMLLKKGLSSSLEKTKAMLKSSTKMTMATESRLETAKAEAITLFDDAKFSDSATSMKHLIAAAQAKRKQAHLQGLHFDNVLSKVVSTPVICGRSPGLVFAVDTLASGNSVQTETQDIYASVPFNSPPKTVCQTSLTNHGEESEDRSSPEERPRGESLNGDTEAAVARDALEGMLETLSRTKESIGRATRLAIECAKYGLASEVVELLIRKLENEPSFHRRVDLFFLVDSITQCSHSQKGIASASYIPTVQAALPRLLGAAAPSGAGARENRRQCLKVLRLWLERKIMPESLLRQYMDDIEAPNDDANAGLSLRRLARTERSVDDPIREMEGMFVDEYGSNATFQLPGLLGAHVFEDEDDIPNSLYKVSMNDLPAESGIASEETDTCAFTPSDRNHHILEDVDGELEMEDVSASSKDEKGVSGNEPLSLDQWHQTERSSESIQNMLPPLPVDPPLPLDSPPPPPPLPPSPPPSPPPPPPPLSPSPPPPPPTLPPPPSVPPPPGPSSPPVSLLYHPKPQEYCRTPTASQTVQMTGNLAVPGHCAAAPNSDVLLQQQPIYGATGAINSQPMAGFTSMPFDYGHNDMYLAPQASHPNPQFQPGNGSFHQRPCPSLPPVQAPPNQPHPSSQTPANHFSYVNPMVQQNGPQPYNPYTPSLNNGRRHYNIDEQMRMHSSDFSPDNQHGAWVPSVRGPSCSGAPYAHDGFYRSNMERPPPNSAGYQIPLHTPVPPSGPSVPGYVLPQRLPSRPDVPAVNCWRPA >DRNTG_25704.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30416131:30417686:1 gene:DRNTG_25704 transcript:DRNTG_25704.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVILSDGKLKTCLVPIAGFLNHSICPHIIHYGRVDSATKSLKFPLSRPCKKGEQCYLSYGNFPGSHFVTFYGFLPKGDNPYDVIPLDIDAPHAEETHSASDWTTHMVRGTWLSKSKEPPSCGLPSPLLYHLRSIIEDDGNQLPPSPSTRVEIERAVIETIISIFNPMMESLSHTDDFDREISNWDVKLALDYKDLQRRIISSVLASSTIALQMLS >DRNTG_25704.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30415979:30417686:1 gene:DRNTG_25704 transcript:DRNTG_25704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVILSDGKLKTCLVPIAGFLNHSICPHIIHYGRVDSATKSLKFPLSRPCKKGEQCYLSYGNFPGSHFVTFYGFLPKGDNPYDVIPLDIDAPHAEETHSASDWTTHMVRGTWLSKSKEPPSCGLPSPLLYHLRSIIEDDGNQLPPSPSTRVEIERAVIETIISIFNPMMESLSHTDDFDREISNWDVKLALDYKDLQRRIISSVLASSTIALQMLS >DRNTG_24339.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:360610:363513:1 gene:DRNTG_24339 transcript:DRNTG_24339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSYAELNQRIDYVFKVVLIGDSAVGKSQLLARFSRDEFSLDSKATIGVEFQTRTLAIDHKTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHITRWLEELRGHADKNIVIMLVGNKCDLGTLRAVPTEDAKEFAQRENLFFMETSALESTNVESAFLTVLTEIYRIVSKKSLVANDEPESVGSSSLLKGTKIVVPGQEPPGAAKSACCMST >DRNTG_26674.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1060946:1062137:-1 gene:DRNTG_26674 transcript:DRNTG_26674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMLSQLRIPSKFNTIKGTTRRKRREEEEGDKLKIVKGITGSIGPGEILALMGPSGSGKTTLLKILAARLDGDIQGNITYNDTPYSPALKRRH >DRNTG_30193.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6519220:6520348:-1 gene:DRNTG_30193 transcript:DRNTG_30193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLELISHYSWDKLFSIEEITFKELSLEVLINIEIVKEYPFTHQSNHITFRAFGKKRHVSEDDIGLPLTNPACQYIHTLLTRSIGDKTDSTGVVTRHDLIMLYSILERRPIHMGHLVAETLSHQGKFVCLGAIFAGPYITQLIHGMGLIEHTRSMTVVGSIAPFGISTLVSIEIVEKRGI >DRNTG_22061.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20137441:20138310:1 gene:DRNTG_22061 transcript:DRNTG_22061.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFFKPSYNNRPCIIPTKQTQERPKSKSKGSRTIIRVAFTSDTT >DRNTG_19038.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22505597:22506260:1 gene:DRNTG_19038 transcript:DRNTG_19038.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAEKKTVAEKAPAEKRPKAEKRIPSKDSGDKKKKRIKKGVETYKMYIFKVLKQVHPDIGISSKAMVIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTSVRLVLPGELSKHAVSEGTKAVTKFTSS >DRNTG_19038.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22505343:22506336:1 gene:DRNTG_19038 transcript:DRNTG_19038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAEKKTVAEKAPAEKRPKAEKRIPSKDSGDKKKKRIKKGVETYKMYIFKVLKQVHPDIGISSKAMVIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTSVRLVLPGELSKHAVSEGTKAVTKFTSS >DRNTG_19038.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22505597:22506336:1 gene:DRNTG_19038 transcript:DRNTG_19038.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAEKKTVAEKAPAEKRPKAEKRIPSKDSGDKKKKRIKKGVETYKMYIFKVLKQVHPDIGISSKAMVIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTSVRLVLPGELSKHAVSEGTKAVTKFTSS >DRNTG_21771.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3001024:3007472:1 gene:DRNTG_21771 transcript:DRNTG_21771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGEVGSYSLAEKDREVSEVVTVELPAPVGWKKTFTPKKGGTPTRNEVVFIAPTGEEIKNKKLLAQYLRSHPGNPPASEFDWGTGDTPRRSARISEKAKATWSAEGDSPKKRERKSSSKKGNKDKNDAGKGVPMEAPTLEDASKIAEDKEPADVEMKDAGKSVEAAKEVGEAMDAANDVGNSGITAAAEQHSAEKPNNYAEKGVPEENATKHSNEPEGAEKKTEENDKLNNEPDGGNKVKSVIPEPKTGEGNNNAEKEKKAETKLQPDPKQDVSEGNHLNDGKLGELPQNGSTSSNKQEIPKEAHSTNYDDEHRQPQASAISC >DRNTG_12243.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24981643:24983445:-1 gene:DRNTG_12243 transcript:DRNTG_12243.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:Projected from Arabidopsis thaliana (AT2G18280) UniProtKB/TrEMBL;Acc:B9DGB6] MSFKSIVRELKEMRDGFGSMSRRSSAAEGRVGPSRSGARLHWHQARHQRHAPWDDVQESRWASLPPELLLDVIQRIEASELSWPARRYVVSCASVCRSWRHITKEIVQTPEQCGRMTFPISLKQPGPRDSPIQCFIRRERATATYRLYLGLSPALQGENDKLLLAARKVRRATSTEFVISLSSDDFSRASSTYIGKLRHVSFYFLSEF >DRNTG_12243.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24981643:24983445:-1 gene:DRNTG_12243 transcript:DRNTG_12243.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:Projected from Arabidopsis thaliana (AT2G18280) UniProtKB/TrEMBL;Acc:B9DGB6] MSFKSIVRELKEMRDGFGSMSRRSSAAEGRVGPSRSGARLHWHQARHQRHAPWDDVQESRWASLPPELLLDVIQRIEASELSWPARRYVVSCASVCRSWRHITKEIVQTPEQCGRMTFPISLKQPGPRDSPIQCFIRRERATATYRLYLGLSPALQGENDKLLLAARKVRRATSTEFVISLSSDDFSRASSTYIGKLRSNFLGTKFTVYDSQPQHDAPMVSTNRSSRRIHSKQVSPRVPAGNYNVTTIAYELNVLRTRGPRRMQCTMHSIPVSSIQEGGTAPTPTAFINSLDEHFSSLAVSKGKDPIIEFSSTTLGEPPVPVQTSGEPLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVAAVDASHQVPPAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFTICLSSFDTKPACE >DRNTG_28953.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2232747:2235964:1 gene:DRNTG_28953 transcript:DRNTG_28953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERVDVEMHEVRSNGSREHENGVHLTWEDLTVMASNSKGVHRIILDGVTGYAQPGEILAIMGPSGCGKSTLLDSLAGRLGSGMIQTGNILVNGRRQTLAFGTSAYVTQEDVLMTTLTVKEAVYYSAQLQLPQSMSLSEKKERAEMTIKEMGLQEVIDTKIGGRTSTGISGGQKRRVSICIEVLTRPHLLFLDEPTSGLDSAASYHVMKRIIHLAQHERITVLASIHQPSSEVFELFDNLCLLSSGKTVYFGRTNFAAEFFARNGFPCPPMRNPSDHFLRTINKDFDEETEIECGEKLVATTEVIDILVDSFKKSDTCRETKRRVEHISHMEGEIVKRGSQASFMTQCSVLTKRSCVNMHRDLGYYWLRLVIYLVLCLCIGTMYFNIDDSYASIQARGSMLMFVAGFLTFMSIGGFPSFVEDMKIFGKERLNGHYGVTAFIIGNTLSSIPYIGIVSIIPATIAYFLVGLQRSAEHYFYFVAVLYVCMILVESMMMIVASIVPDYLMGIITGAGIQGVMMLSGGFFQLRNKIPKPIWRYPIYYIGFHTYANEGYFKNEFLGMNFKRMNGGKMEIVSGEDVMKDIWEMNVSYSKWVDLGVLIGMVVFYRVLFLIIIKSVEKIKPIVRGYVARRATNQARLVMVLPSNMSPLGATP >DRNTG_11437.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1099469:1102540:1 gene:DRNTG_11437 transcript:DRNTG_11437.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTARLVLALGAETKLDTVPGSAEYAIPFSTLEDACKVDNKLKLLERVRFGNDSSPIRVAIVGCGYCGVELAATISERLKDKGIVQAINVEDTICPTATPGNREAALKVLSSRNIQLYLGYFVSCIKEVADFNDSKESGQNKFILELQPAKRGMESQTLEADLVLWTVGSKPLVSQLEPFDQYPVLPLNGRGQAETDETLRVRGHPRVFAIGDSAALRDSNGQLLPATAQVAFQQADFAGWNLWAAINDRPLLPFRFQNLGEMMTLGTNDAAITPSFIEGLTLDGPIGHAARKLAYLWRLPTDEHKVKVGISWLTKSAIDSIALLQTSLTKAIAGS >DRNTG_11437.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1095608:1102540:1 gene:DRNTG_11437 transcript:DRNTG_11437.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTARLVLALGAETKLDTVPGSAEYAIPFSTLEDACKVDNKLKLLERVRFGNDSSPIRVAIVGCGYCGVELAATISERLKDKGIVQAINVEDTICPTATPGNREAALKVLSSRNIQLYLGYFVSCIKEVADFNDSKESGQNKFILELQPAKRGMESQTLEADLVLWTVGSKPLVSQLEPFDQYPVLPLNGRGQAETDETLRVRGHPRVFAIGDSAALRDSNGQLLPATAQVAFQQADFAGWNLWAAINDRPLLPFRFQNLGEMMTLGTNDAAITPSFIEGLTLDGPIGHAARKLAYLWRLPTDEHKVKVGISWLTKSAIDSIALLQTSLTKAIAGS >DRNTG_11437.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1095608:1102540:1 gene:DRNTG_11437 transcript:DRNTG_11437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTQQALQLLAGGPTMIGRSPKTLLSSASARVSSNHFRGGPKCWDKLLLDYARKCTTELSVFTRGPTRFFSSITVGGRRANQFIRYASTGESAIVYHAWQDNRKPRVCILGGGFGGLYTALRLESLVWPDDKKPQVLLVDQSDHFVFKPMLYELLTGEVDPWEIAPSFVDLLRNTSIQFIKDKVKLLQPTDHLRRFGENDTGDLSSAGSVHLESGIIIEYDWLVLALGAETKLDTVPGSAEYAIPFSTLEDACKVDNKLKLLERVRFGNDSSPIRVAIVGCGYCGVELAATISERLKDKGIVQAINVEDTICPTATPGNREAALKVLSSRNIQLYLGYFVSCIKEVADFNDSKESGQNKFILELQPAKRGMESQTLEADLVLWTVGSKPLVSQLEPFDQYPVLPLNGRGQAETDETLRVRGHPRVFAIGDSAALRDSNGQLLPATAQVAFQQADFAGWNLWAAINDRPLLPFRFQNLGEMMTLGTNDAAITPSFIEGLTLDGPIGHAARKLAYLWRLPTDEHKVKVGISWLTKSAIDSIALLQTSLTKAIAGS >DRNTG_11437.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1101151:1102540:1 gene:DRNTG_11437 transcript:DRNTG_11437.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESQTLEADLVLWTVGSKPLVSQLEPFDQYPVLPLNGRGQAETDETLRVRGHPRVFAIGDSAALRDSNGQLLPATAQVAFQQADFAGWNLWAAINDRPLLPFRFQNLGEMMTLGTNDAAITPSFIEGLTLDGPIGHAARKLAYLWRLPTDEHKVKVGISWLTKSAIDSIALLQTSLTKAIAGS >DRNTG_11437.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1095608:1102540:1 gene:DRNTG_11437 transcript:DRNTG_11437.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTARLVLALGAETKLDTVPGSAEYAIPFSTLEDACKVDNKLKLLERVRFGNDSSPIRVAIVGCGYCGVELAATISERLKDKGIVQAINVEDTICPTATPGNREAALKVLSSRNIQLYLGYFVSCIKEVADFNDSKESGQNKFILELQPAKRGMESQTLEADLVLWTVGSKPLVSQLEPFDQYPVLPLNGRGQAETDETLRVRGHPRVFAIGDSAALRDSNGQLLPATAQVAFQQADFAGWNLWAAINDRPLLPFRFQNLGEMMTLGTNDAAITPSFIEGLTLDGPIGHAARKLAYLWRLPTDEHKVKVGISWLTKSAIDSIALLQTSLTKAIAGS >DRNTG_12428.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20221888:20222506:-1 gene:DRNTG_12428 transcript:DRNTG_12428.3 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQAGQCGNQIGGKFWEVVCDEHGIDATGNYVGGSSLLLERVNVYYNEASGGRYVPRAVLMDLEPGTMDALRTGPYGQIFQA >DRNTG_12428.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20219121:20222506:-1 gene:DRNTG_12428 transcript:DRNTG_12428.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSMIFCFRTLKLTNPSFGDLNHLISTTMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFTPLTSRGSQQYRALTIPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKRSRRANDQCPKNKNTSYFVEWIPNNVKSSVCDVPPKGMSMSATFMGNSTSIQEMFRRVAEQFTVMFRRKGFPALVHWRRHG >DRNTG_12428.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20219121:20220095:-1 gene:DRNTG_12428 transcript:DRNTG_12428.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVGDLNHLISTTMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFTPLTSRGSQQYRALTIPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKRSRRANDQCPKNKNTSYFVEWIPNNVKSSVCDVPPKGMSMSATFMGNSTSIQEMFRRVAEQFTVMFRRKGFPALVHWRRHG >DRNTG_17286.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:11910116:11913035:1 gene:DRNTG_17286 transcript:DRNTG_17286.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLKLKGIKTVQSDHAAKNASGCDAGASKSAPSPDNTPHSLIPLVNVDSTRSAPLLDVTPHSSTPSSSDDSNLNDEIGTNSTCIRLDNFHPINESSDLNSVDNEGQQRKIGRTTIKELWTLPPQERILVSSNQLGQPIGPEAQLLAAFLGMLARSGQHIVLQYESWHKVPKTLKDELLKFIEEVSTGRKVGRIELFRATHTKKDGSHMNAETKQIMFPGVSILGINNIGSSSQSQSGIVLFKGSLVKPRAHLAESVPTKAPPITIFQENRGVETGEPLQKPCKNPSKP >DRNTG_00043.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21673353:21673927:1 gene:DRNTG_00043 transcript:DRNTG_00043.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRQRSLNRLPARTLTNQPAESSTSAYLNDPVASFQDTNASYCCPPYLKFSPNLFHSA >DRNTG_00043.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21672637:21673927:1 gene:DRNTG_00043 transcript:DRNTG_00043.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVLHIGPETCSVISNLLKEDDIEAWGVEPYDLEDADTSCKILVRKGIVRVADIKFPLPYRAKSFSLVIVSDALDYLSPKYLNKTLPDLARVSTDGLVIFAGNPGQRRAKVSELAKFGKPAKLRSSSWWIKYFVQTGLEENESATKKFEQAASKDSYQPTCGVFHLSLSQ >DRNTG_00043.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21671745:21673927:1 gene:DRNTG_00043 transcript:DRNTG_00043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRAVNPSRRLADSGTLPFSGAFPQKSRTSPLLSIGLLLLGGFLLIAYSYRGSGGSGSVKAASRIGEVLSCTSEVQQAIPILKKAYGDSMRKVLHIGPETCSVISNLLKEDDIEAWGVEPYDLEDADTSCKILVRKGIVRVADIKFPLPYRAKSFSLVIVSDALDYLSPKYLNKTLPDLARVSTDGLVIFAGNPGQRRAKVSELAKFGKPAKLRSSSWWIKYFVQTGLEENESATKKFEQAASKDSYQPTCGVFHLSLSQ >DRNTG_00043.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21671745:21673927:1 gene:DRNTG_00043 transcript:DRNTG_00043.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRAVNPSRRLADSGTLPFSGAFPQKSRTSPLLSIGLLLLGGFLLIAYSYRGSGGSGSVKAASRIGEVLSCTSEVQQAIPILKKAYGDSMRKVLHIGPETCSVISNLLKEDDIEAWGVEPYDLEDADTSCKILVRKGIVRVADIKFPLPYRAKSFSLVIVSDALDYLSPKYLNKTLPDLARVSTDGLVIFAGNPGQRRAKVSELAKFGKPAKLRSSSWWIKYFVQTGLEENESATKKFEQAASKDSYQPTCGVFHLSLSQ >DRNTG_00043.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21672456:21673927:1 gene:DRNTG_00043 transcript:DRNTG_00043.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVLHIGPETCSVISNLLKEDDIEAWGVEPYDLEDADTSCKILVRKGIVRVADIKFPLPYRAKSFSLVIVSDALDYLSPKYLNKTLPDLARVSTDGLVIFAGNPGQRRAKVSELAKFGKPAKLRSSSWWIKYFVQTGLEENESATKKFEQAASKDSYQPTCGVFHLSLSQ >DRNTG_00043.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21672255:21673927:1 gene:DRNTG_00043 transcript:DRNTG_00043.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVLHIGPETCSVISNLLKEDDIEAWGVEPYDLEDADTSCKILVRKGIVRVADIKFPLPYRAKSFSLVIVSDALDYLSPKYLNKTLPDLARVSTDGLVIFAGNPGQRRAKVSELAKFGKPAKLRSSSWWIKYFVQTGLEENESATKKFEQAASKDSYQPTCGVFHLSLSQ >DRNTG_00043.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21671745:21673013:1 gene:DRNTG_00043 transcript:DRNTG_00043.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRAVNPSRRLADSGTLPFSGAFPQKSRTSPLLSIGLLLLGGFLLIAYSYRGSGGSGSVKAASRIGEVLSCTSEVQQAIPILKKAYGDSMRKVLHIGPETCSVISNLLKEDDIEAWGVEPYDLEDADTSCKILVRKGIVRVADIKFPLPYRAKSFSLVIVSDALDYLSPKYLNKTLPDLARVSTDGLVIFAG >DRNTG_19267.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:412205:412833:-1 gene:DRNTG_19267 transcript:DRNTG_19267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCDFGIAPRLNHYACLIDLLCRMGMVEKAEKIIETMPFAADSVIWTSLLSGSRVNCNVDVAEVAATKLFAEDPTDRMPCFHLMSIYGSAGRWDNVENVRNRISRFRSTKQSGCSWIETLS >DRNTG_31918.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18984526:18985612:-1 gene:DRNTG_31918 transcript:DRNTG_31918.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASNIFILIFIIVLHVFLASVTRYPANLGILLFLQLIQFYIKKMLITAKNQHRIEKRSEFCLQKIELCKENPANKISSHLLLVGGSQLRTYSHVGQEIVRLNTPSPLGPTPSNGSGQISNPGPMAPHSPPPPYSHNRREIPRPNTPPPPGPTPCNNGPVPYSPPTGPMALHPPPPPNSYSGQHIGRPNAPPPPTPTPSPHHPPVNNP >DRNTG_10425.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6906402:6907229:-1 gene:DRNTG_10425 transcript:DRNTG_10425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATASAKKMTLPVPVKIQKTNSTEEANSSDFQKEIGQSITVSISRSRSRTLSSTPNSRRSKKPGSLAAMDFGDWDNLRREAYRLERDLDVRLSSYAKLGMGYANARAQVSKSHWKSMEMEIENLLERLLDVNEVMIRCVHATTPSFL >DRNTG_13906.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1919200:1921452:-1 gene:DRNTG_13906 transcript:DRNTG_13906.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAGQPPPSLAAGDLRPSPNKASTKPTHSPTHLAPYPPTFSCKKFGAWRQDELHEHVWFSILSNEIKMKKKKKNERNFLYCVLLPKD >DRNTG_00899.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21670329:21671572:-1 gene:DRNTG_00899 transcript:DRNTG_00899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKFQGINKTSLVVVVVVGKSRLKILLGLGLGLLKGVEVRRVREGEGQRRGLGEKSCSREEGSFGLEGIDLRDEEEEEEGEGEGEREGLVFRQPPPMGTLRRAPPLVHEDTGGFHGGGCDDGGCLWMEEGKVREKEKEKEREKEKEKEKEKEKG >DRNTG_22128.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17398741:17417332:-1 gene:DRNTG_22128 transcript:DRNTG_22128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSFKYWDDCVDPEDMQALWMDADVRKEWLDAGEKMGQRIHLSRDPDGETYLTQTEMRAVAEIIVDRHFKSQLDVDMLRALAEIGSDRRLLAEGYDRKTKETRLGIMQVVPQTAEWLFREMGYRNYKIDGNQSLLFRPFINIYFAGAYIIWLSSHDGKERSEEYVVRAYKGGIKKATHKSTAQYFKQYLSVKQSLPPKSGQQMPANRSFATNSSLKAGDSWKYWDSIVSPEDMEELWRHPDVLKEWTASGERRGKVRFSQDPEKRPYLSRVEVKAVAEIIISRCFNSRGITPTALAAVAELCSMRFVHGVRAHTGLMGIDYPTASWLYKDIGYNTYKVSSVNDLYNPFASIYFGAAYLVWLSEYEGRRRSHQFIVQAYVGGPENVNLQETGPIWQKYQEILSSYEADKKGQGSCIIL >DRNTG_22128.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17398741:17415098:-1 gene:DRNTG_22128 transcript:DRNTG_22128.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSFKYWDDCVDPEDMQALWMDADVRKEWLDAGEKMGQRIHLSRDPDGETYLTQTEMRAVAEIIVDRHFKSQLDVDMLRALAEIGSDRRLLAEGYDRKTKETRLGIMQVVPQTAEWLFREMGYRNYKIDGNQSLLFRPFINIYFAGAYIIWLSSHDGKERSEEYVVRAYKGGIKKATHKSTAQYFKQYLSVKQSLPPKSGQQMPANRSFATNSSLKAGDSWKYWDSIVSPEDMEELWRHPDVLKEWTASGERRGKVRFSQDPEKRPYLSRVEVKAVAEIIISRCFNSRGITPTALAAVAELCSMRFVHGVRAHTGLMGIDYPTASWLYKDIGYNTYKVSSVNDLYNPFASIYFGAAYLVWLSEYEGRRRSHQFIVQAYVGGPENVNLQETGPIWQKYQEILSSYEADKKGQGSCIIL >DRNTG_23786.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8766706:8772782:1 gene:DRNTG_23786 transcript:DRNTG_23786.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEHQVQQQGAKRHGCMEFRESNHQQQKADPLKSMTLCACYAPSSNLDLLKLV >DRNTG_23786.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8766706:8772782:1 gene:DRNTG_23786 transcript:DRNTG_23786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEHQVQQQGAKRHGCMEFRESNHQQQKADPLKSMTLCACYAPSSNLDLLKLV >DRNTG_09206.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4640552:4643994:1 gene:DRNTG_09206 transcript:DRNTG_09206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIGDAVRNLHEVQIVILPIIMNTHFHVIVLDNVKQEYMHYSSCVGYDKDALDMRNLFDNCVDMEFGE >DRNTG_15220.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23314936:23318157:1 gene:DRNTG_15220 transcript:DRNTG_15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMTVKVSNVSLGASEQDLKEFFSFSGDIEYVEMKSGDEWSQVAYVTFKDSQGAETAALLSGATIVDLSVIIEPAADYQLPPAASAPSLPRDAKPATESALQKAEDVVSGMLAKGFILGKDAVNKAKSFDEKHQFTSTATAKVASFDKKIGLSEKVTMGASAVNEKVKEMDQKFQVSEKTKSAFAAAEQKMSSAGSAILKNRYVFTGASWVTGAFNKVTKAATDVGSKTKEKVLSEEQKGLEDEFSQVHLSDSKKPAAPGDEHPSKPAPAQGLIL >DRNTG_28819.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4181899:4183018:-1 gene:DRNTG_28819 transcript:DRNTG_28819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNPNPSARALGLAHHLSRRHRLTNENRQPLAQEFVNLDRSIYVVLIWMAQIDGHSKLLKSRRAAELSVSPAPPPSPPTSTLPPASSPKNFFFSPLFLPPHPQLHSLPIILYFHGGGFCIESTTSLSSATTVLILSVDYRRAPEHLLPTAYSNSWQTLQWLTSSPQEEWLTTYADFTRFFLTGDSAGANIVHQLALRATREKLCGGPHGSPKLRGAMLIHPYF >DRNTG_34650.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002169.1:31046:32973:-1 gene:DRNTG_34650 transcript:DRNTG_34650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSDRVRLNVGGELFETTTTTLSVAGRDSMLGALIDSHWNRPPSSGANSAPEYFLDRNPACFSVLLDLLRSGELHLPPSLPERLLLREASFYGLLPLVRAARWGPLDGNRLRYSTSISGTAPGDGISISASPSGGCCVAHGPILHVYDWTFVEQPPITLDYQRINSAAFLDPSHILLTARGMALFSSSSSELLHRFHVRHENQLRSFTAGALSFNHTDSKIFASCKGRYNEYGIGVWDQNSGLQTDFFYELPDCPVGDSDSLQWLDSPKCLISATLFPKTEHCYIALLDFREKNVVWSWTDTVSDDKRVLDVVAMEDNHSVCVVNQYDDLGFLDLRMNGGGVRWSSRSKLTKVKGRRNVNEEELCYPRLASHGGQLFLAMNDTVSVFSGPEFVHTSTLRKSEGGAICDFSIGGDRLFALHSEENVFDVWETPPASSPML >DRNTG_12658.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1231682:1233177:-1 gene:DRNTG_12658 transcript:DRNTG_12658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNPPLMSTYKKLLSSPLDPCNDLGVSSGEECQLPVIDLELLNTENAEFCKTDIAVAAADWGFFQVVNHGIPSDLLDRIQSEQVKLFQQPFDKKSLDFSANSYRWGSPAATSVHQLSWSEAYHIPTEPISCIFQTHRQGNNRRACSIAIKVGKFTGRDTGREIGT >DRNTG_33267.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23919208:23924162:-1 gene:DRNTG_33267 transcript:DRNTG_33267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSAWAKPGAWAAAVEEEEKEKGYDSADSSKNTSEFPSLAAALATKTSKKKKAQPVSLAEFTTGQAVSYGSGNRSVSRGLSSDELLQLPTGPRERTAEELERTSSRGFGYSSYGNRGGSRDEANPRWGSGSGSSRVSDEPRKNGFGGGGGGGGSNRDLGPSRADEVDDWGAGKRSIAAPERRRESGFFDSQSRADESESWVSNKTAPQLSNSRRINGSGFDGPRERRAGFDAYGKGETNDRADSDTWGKKKEETSSGGRPRIVLQPRTLPLVNESSGDPPVKGKGENPFGAARPREEVLAEKGQDWKKIDEKLELTKIREAPQEGKTFGRKGSRNGNGALEDRAERSWRKTENETMTAPARAEEAEEQLPEN >DRNTG_33267.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23919261:23924162:-1 gene:DRNTG_33267 transcript:DRNTG_33267.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSAWAKPGAWAAAVEEEEKEKGYDSADSSKNTSEFPSLAAALATKTSKKKKAQPVSLAEFTTGQAVSYGSGNRSVSRGLSSDELLQLPTGPRERTAEELERTSSRGFGYSSYGNRGGSRDEANPRWGSGSGSSRVSDEPRKNGFGGGGGGGGSNRDLGPSRADEVDDWGAGKRSIAAPERRRESGFFDSQSRADESESWVSNKTAPQLSNSRRINGSGFDGPRERRAGFDAYGKGETNDRADSDTWGKKKEETSSGGRPRIVLQPRTLPLVNESSGDPPVKGKGENPFGAARPREEVLAEKGQDWKKIDEKLELTKIREAPQEGKTFGRKGSRNGNGALEDRAERSWRKTENETMTAPARAEEAEEQLPEN >DRNTG_20490.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2613967:2617106:1 gene:DRNTG_20490 transcript:DRNTG_20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFDGYERQYCEISASLSKKCTSAGLLDGEQKKQKVSEIKSGLDDAEALIRKMDLEARSLQPTVKAMLLAKLREYKSDLNNLKSELKRITSANSNNKTREDLLELGMADTLAASTDQRGRLLMSTERINQSSERIKESRRTMLETEELGVSILQDLHQQRQSLLHAHNTLHGVDDNIGKSRKILGAMSRRMDRNKWIIGTVIVVLVLAILLILYFKLSH >DRNTG_16864.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15812179:15814164:-1 gene:DRNTG_16864 transcript:DRNTG_16864.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLAVAIMRKCAPESLMEVALRKDARINVSTAPEVGLYLDECLFTSYNQKWKDSHEDMPMEAYAEQAEEFKMKHIFPHIASMEIKEGAVFCLGM >DRNTG_16864.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15812179:15814164:-1 gene:DRNTG_16864 transcript:DRNTG_16864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKNPGARTIEGDLEEALFHSGAVPDHHRSQSRRYDWARVARTEKGVSVAGQIIHDRFYVRLAGLRRPLQLSSRSSNTDLWVQARDGLV >DRNTG_16864.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15813024:15814164:-1 gene:DRNTG_16864 transcript:DRNTG_16864.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQKNPGARTIEGDLEEALFHSGAVPDHHRSQSRRYDWARVARTEKGVSVAGQIIHDRFYVRLAGLRRPLQLSSRSSNTDLWVQARDGLV >DRNTG_13244.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2965869:2966193:1 gene:DRNTG_13244 transcript:DRNTG_13244.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFDTILNVIVINVVNDNTEDEEDEEDERARRRRLSAQQRPSPQTHQPQQQQQQQQQHQLFSQNPFECLFDNF >DRNTG_13244.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2963934:2966193:1 gene:DRNTG_13244 transcript:DRNTG_13244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFDTILNVIVINVVNDNTEDEEDEEDERARRRRLSAQQRPSPQTHQPQQQQQQQQQHQLFSQNPFECLFDNF >DRNTG_33490.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11637503:11642160:1 gene:DRNTG_33490 transcript:DRNTG_33490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFERVLKYIDIGIGEGATLLTGGKPCGEKGYYIELTIFTDVKNDMKIAQDEIFGPVMSLIKFKTIEEAIEKANSTKYGLTAGIVTKDLNTANRVSRSIRSGVIWINCYFAFDRDCPYGGYKMSGFGRDLGLNALDKYLQV >DRNTG_33490.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11640884:11642160:1 gene:DRNTG_33490 transcript:DRNTG_33490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFERVLKYIDIGIGEGATLLTGGKPCGEKGYYIELTIFTDVKNDMKIAQDEIFGPVMSLIKFKTIEEAIEKANSTKYGLTAGIVTKDLNTANRVSRSIRSGVIWINCYFAFDRDCPYGGYKMSGFGRDLGLNALDKYLQV >DRNTG_30121.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31018854:31021712:-1 gene:DRNTG_30121 transcript:DRNTG_30121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASVMYHVSPITATLLRSEPLKCCSCGRRHLLAASSSLISFPSTPTDVIEKIHARRPDWYEEFFARAMDQDMRSYEAQISGYKAKLFPHLIENSKHVLELGVGTGPNFKYYARADKDLNVIGVDPNKKMEKYARKAAAAAGLSPAGFSFIQGVGEALPAADNSMDAVIGTIVLCSVEDVYQSLREVKRVLKPGGLYLFIEHVAAPDGTLLRLAQTALDPLQQLLSDGCHLTRETGKQISEAGFSNLILNMTFLSNVSLISPHVYGIAFK >DRNTG_29065.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:7727991:7731988:-1 gene:DRNTG_29065 transcript:DRNTG_29065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSPPARARPVRPRASRVPMRAHGAREPLSPRAQAPREGFHAAARRATRPRNMPRASIRAVYSPYRPSDDPELLPDKRSISSSC >DRNTG_28499.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:15211262:15216915:-1 gene:DRNTG_28499 transcript:DRNTG_28499.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRANVPLADVDKVRCIIRNIIRDWTIEGQRERDQCYKPILKELDRLFPSRSKERPPSCLVPGAGLGRLALEISCLGFVSQGNEFSYYMMICSSFILNHTQAAGEWTTYPWIHSNCNSLSDIDQLRPVSFPDIHPASAGITEGFSMCGGDFVEVYNDESQKGTWDAVVTCFFLDTAHNIVEYIEIISNIIKDGGVWINMGPLLYHFADAYGPDDEMSVELSLEDVKKVAFHYGFELEVETTIETTYSANPRSMMQNRYFAPLWTMKKKQKP >DRNTG_28499.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:15211262:15216915:-1 gene:DRNTG_28499 transcript:DRNTG_28499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTLDGKEENNLVNDATRSNGKEGTHIESCCNEYVDRPLTDDHCKEPCDCHQSASCCDSLTNANVSSPPRDWLDMSSRANVPLADVDKVRCIIRNIIRDWTIEGQRERDQCYKPILKELDRLFPSRSKERPPSCLVPGAGLGRLALEISCLGFVSQGNEFSYYMMICSSFILNHTQAAGEWTTYPWIHSNCNSLSDIDQLRPVSFPDIHPASAGITEGFSMCGGDFVEVYNDESQKGTWDAVVTCFFLDTAHNIVEYIEIISNIIKDGGVWINMGPLLYHFADAYGPDDEMSVELSLEDVKKVAFHYGFELEVETTIETTYSANPRSMMQNRYFAPLWTMKKKQKP >DRNTG_25897.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17148398:17149716:1 gene:DRNTG_25897 transcript:DRNTG_25897.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDNADVNEASGVGEKDDNKSDDPGGAGAGDDKQRNGQQECHSIISKKNQESPQERHHEPFPDLGDNRHDLMKGEIFGGVDASDGVDNGGGGEQGENQDAEKVHTLEEEVRGPLRGEPGQNPILRGAAIGGVLQLVLVPADLGEEDRGYIDVEEKYKRKGEDEGEGDLGEDAAP >DRNTG_22870.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4298141:4299033:1 gene:DRNTG_22870 transcript:DRNTG_22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSLHRFGPDLYLWSPPQTERDGYWDSSSTGAVAGADLGSGSTPLSRSKPDVTVCKGGSCRFDSVQAAVDSAPVNRTERFVIYIREGVYNETVRVPFEKPNLAFVGDGMGKTVLTGSLNADMVGVSTYNTA >DRNTG_29036.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18270580:18275499:1 gene:DRNTG_29036 transcript:DRNTG_29036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRVLRSLKSCGSVNSHHTAQSRY >DRNTG_17095.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17388872:17391263:-1 gene:DRNTG_17095 transcript:DRNTG_17095.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGHAHTTSKLTHGHSTSSLSSLSAPSSTNFGAPSLTSSSSLTAAKKRKEVMSVKVFAVVMMIFTAGSHQTTG >DRNTG_17095.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17388872:17390914:-1 gene:DRNTG_17095 transcript:DRNTG_17095.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHGHAHTTSKLTHGHSTSSLSSLSAPSSTNFGAPSLTSSSSLTAAKKRKEVMSVKVFAVVMMIFTAGSHQTTG >DRNTG_17095.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17388872:17391263:-1 gene:DRNTG_17095 transcript:DRNTG_17095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKFLQTLQFSSLSTLASCLRAPHLCFCISLIGKPCMHGHAHTTSKLTHGHSTSSLSSLSAPSSTNFGAPSLTSSSSLTAAKKRKEVMSVKVFAVVMMIFTAGSHQTTG >DRNTG_17095.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17388872:17389289:-1 gene:DRNTG_17095 transcript:DRNTG_17095.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHGHAHTTSKLTHGHSTSSLSSLSAPSSTNFGAPSLTSSSSLTAAKKRKEVMSVKVFAVVMMIFTAGSHQTTG >DRNTG_06264.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:36499:67128:1 gene:DRNTG_06264 transcript:DRNTG_06264.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSIVSTVVGKLGELLIKEVNFLQGVAEELTSLQSEFQWFQAFLKDADATIQGGNERAKTWVNQVRDVAYDAEDIIDTYIFKIHQHRRGSHGCLFSSLMTTYACHPSRLTILHNLGNEIVKVKRRAEEISANRSKYGIDSVGATTSSCSLTSNETRLPLSWKQTPVVEEVDVIGFDEDVKKLVQLLLVEDQGTQDTQRRRPVISIVGMGGLGKTTLAKKVFSNPTIKQHFACHAWVYVSQAYTDRELVESIAKQLMVVGEMRMNKLTDEELKKMVSDHLKEKKYLVVTDDVWTRRAWDNIKEMLPVEMVNGSKVLLTTRNREVALHADRQSPPFDLKLLGEEESWELFCKKAIPTKCSKNCPPKLETIGRQMVEKCGGLPLAIVVLGGLAMRKEQSEEEWRKLLKSVSWQLREGEDQISKILAHSYHHLPYYMKPCFLYFAMFPEDSLINAKDLMLKWIAEGFIESRGEETMEEVAEEYLEELVHRSLIQVAERNSLGGIDYCRIHDLLLDLAISEAKGTNFLLVTKTISNNNNEGNIITLQKTRRLALHDKHSLDIAQQYPTDSTRSLRTVTLFGSDPWNSNLVSLCDKFLTAIVRHFVLGGLLYDYVDHNHPGLFMSFQIPQILISMKLIRVIDLRNLQIIVPDAIGELIHLRYLNVRVVRSKPLPSSIGELTNLQTLQIRNIYFTTELPSEIWKSNLRHLKCYPCSIKGQPSVNNLANLQTLSSIKAGKWLYKGLDKMTNLRNLSIDDINKSHGKPLSDFLGKLNNLIELELMAKSPSYEHEIPTSILTASHHKHLRCVSLEAKLERLPDVNTQCLLTNLIKLTLKFSFLVEDPLVTLGKLDNLQVLVLYNDAFVGREMVCLEKGFPQLKRLEFGDLDSLEEWKIEDEAMPRLRKLVIHRCGKLVMLPHGLGRITSLQELEVWYMPVAFTQRLKENDGEDWDKVRHVPSVKIH >DRNTG_06264.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:108083:111297:1 gene:DRNTG_06264 transcript:DRNTG_06264.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLAKKVFSNPTIKQHFACHAWVYVSQAYTDRELVESIAKQLMVVDEVRMNKLKDDELKKMVYEHLKERKYLVVTDDVWTRRAWDNIKEVLPAEMLNGSKVLLTTRNREVALHADRQIPPFDLKLLGEEESWELFCKKAIPTKCSKHCPPKLETIGRQMVEKCGGLPLAIVVLGGLAMRKEQSEEEWRKLLKSVSWQLREGEDQISKILSLSYHNLPYYMKPCFLYFAMFPEDSLIDAEDLMLKWIAEGFIEARGEETMEEVAEEYLEELVHRSLIQVVVRNLWGVITACRIHDLLLDLAISEAKGTNFLLVTETNNNNNNNEGSIITLQKTRRLALHGDESWDIGQQYPTDSTRSLRTVTLFGSDVWRSNLVSLCDKFLTAIVRHFVLGGVLYDYVDHNHPGLFMSFQIPQILISMKLIRVIDLRNLEIIVPDAIGELIHLRYLNVRVVRSKPLPSSIGELTNLQTLEFGNKYSNIELPSEIWKSNLRHLKCVRWSFSIKGQPSVNSLSNLRTLSSIEAGKWLYKGLDKMTNLRNLSIGDINNSHGKPLIDFLGKLNNLIELKLMAKYPSYEHQIPTSILTASHHKHLRCVYLKAKLERLPDVNTQCLLTNLIKLILSNSFLVEDPLVTLGKLDNLQVLELYNDAFVGKEMVCLEKGFPQLKVLVFLNLESLEEWKIDDVAMPRLRKLVIWNCEKLVMLPHGLGRITSLQELEVRYMPVAFSQRLKENDGEDWDKVRHVPSVNIH >DRNTG_06264.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:63832:67128:1 gene:DRNTG_06264 transcript:DRNTG_06264.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLLSKEATRGAKTWVNQVRDVAYDAEDIIDTYIFKIHQHRRGSHGCLFSSLMTTYACHPSRLTILHDLGNEIVKVKRRAEEISANRSKYGIDSVGATTSSCSLTSNETRLPLSWKQTPVVEEVDVVGFDEDVKKLVQLLLVEDEGTQDTQRRRHVISIVGMGGLGKTTLAKKVFSNPTIKQHFACHAWVYVSQAYTDRELVESIAKQLMVVGEVRMNKLTDEELKKMVSDHLKERKYLVVTDDVWTRRAWDNIKEVLPAEMLNGSKVLLTTRNREVALHADRQIPPFDLKLLGEEESWELFCKKAIPTKCSKHCPPKLETIGRQMVEKCGGLPLAIVVLGGLAMRKEQSEEEWRKLLKSVSWQLREGEDQISKILSLSYHNLPYYMKPCFLYFAMFPEDSLIDAKALMLKWIAEGFIEARDEETMEEVAEEYLEELVRRSLIQVGARNPWGVITYCRIHDLLLDLAISEAKGTNFLLVTKTISNNNNERNIITLQKTRRLALHDKESLDIAQQYPTDSTRSLLTLFGSNPWNSNLDSLCDKFLTAIARHFVLGGVLYDYVDHNHPGLFMSFQIPQILISMKLIRVIDLHNLEIIVPDAIGELIHLRYLNVCVVRSKPLPSSVGELTNLQTLQIRNIYFTLELPSEIWKSNLRHLKCYSCSIKGQPSVNNLANLQTLSSIKAGKWLYKGLDKMTNLRNLSIDDINKSHGKPLSDFLGKLNNLIELELMAKSPSYEHEIPTSILTASHHKHLRCVSLEAKLERLPDVNTQCLLTNLIKLTLKFSFLVEDPLVTLGKLDNLQVLVLYNDAFVGREMVCLEKGFPQLKRLEFGDLDSLEEWKIEDEAMPRLRKLVIHRCGKLVMLPHGLGRITSLQELEVWYMPVAFTQRLKENDGEDWDKVRHVPSVKIH >DRNTG_06264.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:135540:138470:1 gene:DRNTG_06264 transcript:DRNTG_06264.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYACHPSRLTILHDLGNEIVKVKRRAEEISANRSKYGIDSVGATTSSCSLTSNETRLPLSWKQTPVVEEVDVVGFDEDVKKLVQLLLVEDEGTQDTQRRRPVISIVGMGGLGKTTLAKKVFSNPTIKQHFACHAWVYVSQAYTDRELVESIAKQLMVVGEVRMNKLTDEELKKMVSDHLKEKKYLVVTDDVWTRRAWDNIKEMLPAEMVNGSKVLLTTRNREVALHADRQIPPFDLKLLGEEESWELFCKKAIPTKCSKHCPPKLETIGRQMVEKCGGLPLAIVVLGGLAMRKEQSEEEWRKLLKSVSWQLREGEDQISKILSLSYHNLPYYMKPCFLYFAMFPEDSLIDAKALMLKWIAEGFIETRDEETMEEVAEEYLEELVRRSLIQVGARNPWGVITYCRIHDLLLDLAISEAKGTNFLLVTKTISNNNNERNIITLQKTRRLALHDKESLDIAQQYPTDSTRSLLTLFGSNPWNSNLDSLCDKFLTAIARHFCAWGVLYDYVDHNHPGLFMSFQIPQILISMKLIRVIDLHNLEIIVPDAIGELIHLRYLNVCVVRSKPLPSSVGELTNLQTLQIRNIYFTLELPSEIWKSNLRHLKCYSCSIKGQPSVNNLANLQTLSSIKAGKWLHKGLDKMTNLRNLSIDDINKSHGKPLSDFLGKLNNLIELELMAKSPSYEHEIPTSILTASHHKHLRCVSLEAKLERLPDVNTQCLLTNLIKLTLKFSFLVEDPLVTLGKLDNLQVLVLYNDAFVGREMVCLEKGFPQLKRLEFGDLDSLEEWKIEDEAMPRLRKLVIHRCGKLVMLPHGLGRITGLQELEVWHMPVAFTQRLKENDGEDWDKVRHVPSVKIY >DRNTG_06264.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:63832:138470:1 gene:DRNTG_06264 transcript:DRNTG_06264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLLSKEATRGAKTWVNQVRDVAYDAEDIIDTYIFKIHQHRRGSHGCLFSSLMTTYACHPSRLTILHDLGNEIVKVKRRAEEISANRSKYGIDSVGATTSSCSLTSNETRLPLSWKQTPVVEEVDVVGFDEDVKKLVQLLLVEDEGTQDTQRRRHVISIVGMGGLGKTTLAKKVFSNPTIKQHFACHAWVYVSQAYTDRELVESIAKQLMVVGEVRMNKLTDEELKKMVSDHLKERKYLVVTDDVWTRRAWDNIKEVLPAEMLNGSKVLLTTRNREVALHADRQIPPFDLKLLGEEESWELFCKKAIPTKCSKHCPPKLETIGRQMVEKCGGLPLAIVVLGGLAMRKEQSEEEWRKLLKSVSWQLREGEDQISKILSLSYHNLPYYMKPCFLYFAMFPEDSLIDAKALMLKWIAEGFIEARDEETMEEVAEEYLEELVRRSLIQVGARNPWGVITYCRIHDLLLDLAISEAKGTNFLLVTKTISNNNNERNIITLQKTRRLALHDKESLDIAQQYPTDSTRSLLTLFGSNPWNSNLDSLCDKFLTAIARHFVLGGVLYDYVDHNHPGLFMSFQIPQILISMKLIRVIDLHNLEIIVPDAIGELIHLRYLNVCVVRSKPLPSSVGELTNLQTLQIRNIYFTLELPSEIWKSNLRHLKCYSCSIKGQPSVNNLANLQTLSSIKAGKWLYKGLDKMTNLRNLSIDDINKSHGKPLSDFLGKLNNLIELELMAKSPSYEHEIPTSILTASHHKHLRCVYLKAKLERLPDVNTQCLLTNLIKLILSNSFLVEDPLVTLGKLDNLQVLVLYNDAFVGREMVCLEKGFPQLKRLEFGDLDSLEEWKIEDEAMPRLRKLVIHRCGKLVMLPHGLGRITGLQELEVWHMPVAFTQRLKENDGEDWDKVRHVPSVKIY >DRNTG_21683.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1037353:1040189:1 gene:DRNTG_21683 transcript:DRNTG_21683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLKNLEVEFPIIDANFQLFCASNGIFSVEDFLVHDIYALTALADREANSEVLKQGIAQVHSIIDKLHQPWFNGLELFADVNQNIHFLSTGCEGIDKLLGGGLRQGQLTEIVGPSSSGKTQVIIYGYLLEC >DRNTG_02363.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12882813:12885993:1 gene:DRNTG_02363 transcript:DRNTG_02363.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRRSFKSQSSYKRSSSRNPSFDQEQHQEQQPILFDHRDEFVVRVDGTTTNNNTNNNTNTNTTSGDFLIDPGGTAGGFEFDKQWRETSMDIDLEMDEIKKPTMNTASRELRVSFRDPSRMSSSSSEDVAPSSADTGDAEVLRCTGNSSFRRTSTLLRAKTRSRLMDPPPGESMRKSGWIPKSGQLKSGMLGKIDDDDDDPFMDDDLPDEMKRENWSILTILQWISLFIIVIAFFCSLFIRPLQQQTVWDLHLWKWTLLVLVLFCGRLVSGWFIRFIVLCFEYSFMLRKRVLYFVYGVRRAVQNCLWLGLVLLAWHYLFDDKVARETRNTTLPYVTKVLLCLLIANAFRLVKTLLVKVLASSFHVSTYFDRIQESLFNQYVIEALSGPPLIELQSIKEDEDRTLAEMQKLQRAGATIPNDLRAAVLPSRSGRVIGGGPSGRFSAQRTIGAKSVRFSGVVSRKDFSRQLQVQQPQEGITIEQLHKLNQKNVSAWNMKRLMRMVRHGTLTTLDEQILQTGTGAEDESATEIRSENEAKVAAKKIFNNVARPSSKYIYLVDLMRFIGEDEALKTMSLFEGAQETKKITRKALKNWVINAFRERRALSLTLNDTKTAVNKLHQMANVVVAIIVLGIWLLILGIATTHFFVLISSQALVAVFMFGNTLKMVFEAIIFLFVMHPFDVGDRCEVEGVQMIVEEMNILTTVFLRYDNQKIIYPNTLLATKPISNIYRSPDMGDAIDFCVHVSTPVHKLAIMKEKIEG >DRNTG_02363.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12882813:12887816:1 gene:DRNTG_02363 transcript:DRNTG_02363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRRSFKSQSSYKRSSSRNPSFDQEQHQEQQPILFDHRDEFVVRVDGTTTNNNTNNNTNTNTTSGDFLIDPGGTAGGFEFDKQWRETSMDIDLEMDEIKKPTMNTASRELRVSFRDPSRMSSSSSEDVAPSSADTGDAEVLRCTGNSSFRRTSTLLRAKTRSRLMDPPPGESMRKSGWIPKSGQLKSGMLGKIDDDDDDPFMDDDLPDEMKRENWSILTILQWISLFIIVIAFFCSLFIRPLQQQTVWDLHLWKWTLLVLVLFCGRLVSGWFIRFIVLCFEYSFMLRKRVLYFVYGVRRAVQNCLWLGLVLLAWHYLFDDKVARETRNTTLPYVTKVLLCLLIANAFRLVKTLLVKVLASSFHVSTYFDRIQESLFNQYVIEALSGPPLIELQSIKEDEDRTLAEMQKLQRAGATIPNDLRAAVLPSRSGRVIGGGPSGRFSAQRTIGAKSVRFSGVVSRKDFSRQLQVQQPQEGITIEQLHKLNQKNVSAWNMKRLMRMVRHGTLTTLDEQILQTGTGAEDESATEIRSENEAKVAAKKIFNNVARPSSKYIYLVDLMRFIGEDEALKTMSLFEGAQETKKITRKALKNWVINAFRERRALSLTLNDTKTAVNKLHQMANVVVAIIVLGIWLLILGIATTHFFVLISSQALVAVFMFGNTLKMVFEAIIFLFVMHPFDVGDRCEVEGVQMIVEEMNILTTVFLRYDNQKIIYPNTLLATKPISNIYRSPDMGDAIDFCVHVSTPVHKLAIMKEKIEGYIQSKKEHWYPTPTVMLKDVDDMNRLKVAVWLRHRMNHQDMGLRWQRREMVLQEMIKVLRELDIEFRMLPLDVNVRDMPALTSTRLPSTWKTFG >DRNTG_20330.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001113.1:46121:48502:1 gene:DRNTG_20330 transcript:DRNTG_20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRARLTLSDQLSIFDSSKLRDLLKNRENDSTHRRSVTLAAVLASEKNPSAVAGTRRLLDILRDEEGSSDAPPVQPRDLPPEPFPDTAPAPAPAPAPVRVSLMALLEQGDRLGGGREGEEDEEVVGVEVEKEKEKERGATQCCVCMLRDKGRRSSLAGIRFAGFARVNSGSAVELPALQWLHS >DRNTG_20330.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001113.1:46121:46787:1 gene:DRNTG_20330 transcript:DRNTG_20330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRARLTLSDQLSIFDSSKLRDLLKNRENDSTHRRSVTLAAVLASEKNPSAVAGTRRLLDILRDEEGSSDAPPVQPRDLPPEPFPDTAPAPAPAPAPVRVSLMALLEQGDRLGGGREGEEDEEVVGVEVEKEKEKERGATQCCVCML >DRNTG_20330.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001113.1:46121:48502:1 gene:DRNTG_20330 transcript:DRNTG_20330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRARLTLSDQLSIFDSSKLRDLLKNRENDSTHRRSVTLAAVLASEKNPSAVAGTRRLLDILRDEEGSSDAPPVQPRDLPPEPFPDTAPAPAPAPAPVRVSLMALLEQGDRLGGGREGEEDEEVVGVEVEKEKEKERGATQCCVCMLRDKGRRSSLAGIRFAGFARVNSGSAVELPALQWLHS >DRNTG_29473.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:854520:855589:-1 gene:DRNTG_29473 transcript:DRNTG_29473.1 gene_biotype:protein_coding transcript_biotype:protein_coding PGSGKTTLLLALSGKLDSSLKVSGQVRYNGQDLDGFYVHRTSAYISQTDNHIGELTVRETLDFAARCQ >DRNTG_02390.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:6844357:6848985:-1 gene:DRNTG_02390 transcript:DRNTG_02390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSDDPVCNFFRKDLTNKRIRKRKINDDDDDDHGSEDQQQQQPQSMEKAKKPLRDNKLYFSSSNTDGASGKDSSLFRFESSNEIQVHHDSRATATLETETDFSRDARAIRERVLKQADEALKGKSKGKGDEKVYKGIHGYTDYKAGFRREQTVAGEKAGGAHGPLRASAHIRSSARFDYQPDICKDYKETGYCGFGDSCKFMHDRGDYKSGWQLEKEWEESEKARKKGLAMGGGDGGDVDADADDDDEDDDELPFACYICRQPFQDPVVTKCKHYFCEHCALKHHSRNKKCFVCNKPTLGIFNTAHEIKKKIAEQKENFSH >DRNTG_02390.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:6847491:6848985:-1 gene:DRNTG_02390 transcript:DRNTG_02390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSDDPVCNFFRKDLTNKRIRKRKINDDDDDDHGSEDQQQQQPQSMEKAKKPLRDNKLYFSSSNTDGASGKDSSLFRFESSNEIQVHHDSRATATLETETDFSRDARAIRERVLKQADEALKGKSKGKGDEKVYKGIHGYTDYKAGFRREQTVAGEKAGGAHGPLRASAHIRSSARFDYQPDICKDYKETGYCGFGDSCKFMHDRGDYKSGWQLEKEWEESEKARKKGLAMGGGDGGDVDADADDDDEDDDELPFACYICRQPFQDPVVTKCKHYFCEHCALKHHSRNKKCFVCNKPTLGIFNTAHEIKKKIAEQKENFSH >DRNTG_02390.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:6844357:6848985:-1 gene:DRNTG_02390 transcript:DRNTG_02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSDDPVCNFFRKDLTNKRIRKRKINDDDDDDHGSEDQQQQQPQSMEKAKKPLRDNKLYFSSSNTDGASGKDSSLFRFESSNEIQVHHDSRATATLETETDFSRDARAIRERVLKQADEALKGKSKGKGDEKVYKGIHGYTDYKAGFRREQTVAGEKAGGAHGPLRASAHIRSSARFDYQPDICKDYKETGYCGFGDSCKFMHDRGDYKSGWQLEKEWEESEKARKKGLAMGGGDGGDVDADADDDDEDDDELPFACYICRQPFQDPVVTKCKHYFCEHCALKHHSRNKKCFVCNKPTLGIFNTAHEIKKKIAEQKENFSH >DRNTG_32977.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20993463:20996054:1 gene:DRNTG_32977 transcript:DRNTG_32977.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCFCFQALELLKASFESKTVLTDVFLAEANGEANVLCRLIELGLHFLCTMAVACSSQKSGFLGGLWINKTMTLKLVVHTKANRVLFAEADKQVVDFLFGLLALPLGSIVKVLGKDQMVGSIGCIYSSLENLDSTYIQPDQDKNILLNPQLQQQKQCLNNLLLPSPAPAKLKAFYGCHNIRSKIQCRNYVSEVCGIDCPSCSGTMERVFEVFAS >DRNTG_32977.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20993463:20996054:1 gene:DRNTG_32977 transcript:DRNTG_32977.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCFCFQALELLKASFESKTVLTDVFLAEANGEANVLCRLIELGLHFLCTMAVACSSQKSGFLGGLWINKTMTLKLVVHTKANRVLFAEADKQVVDFLFGLLALPLGSIVKVLGKDQMVGSIGCIYSSLENLDSTYIQPDQDKNILLNPQLQQQKQCLNNLLLPSPAPAKLKAFYGCHNIRSKIQCRNYVSEVCGIDCPSCSGTMERVFEVFAS >DRNTG_32977.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20993463:20996054:1 gene:DRNTG_32977 transcript:DRNTG_32977.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKTMALKLLIDTKANRVLFAEAGKEVVDFLFSLLALPLGSIVKLVSKDQMVGSIGSIYSSLENLDSTYMQPNQDKDILLSPHLQQQKQRQNHLCLPSPAPAKVKAYYGCPNFHHKVSCYDLVSEVRGISCPSCGRRMERVLKCLQSEPGMKTGNGEAEDGYVKGVVTYTVMDDLSVMPMSSIASITLLNKFNAKIILTH >DRNTG_32977.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20993463:20996054:1 gene:DRNTG_32977 transcript:DRNTG_32977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKTMALKLLIDTKANRVLFAEAGKEVVDFLFSLLALPLGSIVKLVSKDQMVGSIGSIYSSLENLDSTYMQPNQDKDILLSPHLQQQKQRQNHLCLPSPAPAKVKAYYGCPNFHHKVSCYDLVSEVRGISCPSCGRRMERVLKCLQSEPGMKTGNGEAEDGYVKGVVTYTVMDDLSVMPMSSIASITLLNKFNAKTVNVFEEKYVSLGIQEVVFQLKEKFYSYTYFNTLIFHVLLFSGFGVTEGLL >DRNTG_34405.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25976987:25977841:-1 gene:DRNTG_34405 transcript:DRNTG_34405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFVRRAKRVSDPLAEKVRARIRGDDGTQEHDDAPDPSCFSGLVHAFLDTYDAGPEENSFSGDDDDDEDSGEDESCVAHVAGMVSELVNSSDGLRRRVISDVCDAAKVFDGMPPALYRRAVVGRLREWGYNAAVCTTRWESAGGLTAGSYEYVDVVVGEKVRYIVDLDFKAEFEIARATVEYEKVVTELPKVMVAEPEELRRVVRVVADAARRSMKRNRLYVPPWRKGRYFVAKWTGPYNRTVNAGGMTAAAAAVGVEDGVEIKCRAVGFGAGVVIPATARTR >DRNTG_33896.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5598465:5599552:-1 gene:DRNTG_33896 transcript:DRNTG_33896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGESQSVKVGYSGNAPPRIQESIKVGDEEPLKQQRPPLQTLAVSPLKSRTKDEKDWGKTAIYKLQIVSVTCPHAPAWTVGISTRAREQ >DRNTG_10854.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32073817:32074764:1 gene:DRNTG_10854 transcript:DRNTG_10854.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQGSETKTPTNPDILYESIHRLRFLLSTLNRRNRRETKQQKPRSKSPLSDRNSSQNPRSQEFNKKKPLDPPLFSTLYSFLLLHSISMNHTFSNP >DRNTG_33069.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:372083:373774:1 gene:DRNTG_33069 transcript:DRNTG_33069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEIVNPKAYPLADAHLTNTIMDLVQQAANYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKSQIQQLKDAIEKLLI >DRNTG_35365.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:28:373:3262:-1 gene:DRNTG_35365 transcript:DRNTG_35365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPKPWEGTPPPVIGKAGRYTVFITPPPTPRPSSNSPKPPTSSPRSIPRPRPVNAAAFAVKDPTSPPPPPVQVPPQQFEKPASQKGSASSFGFFWDAIAKVQDVHSSLDEYLADWFGLNQSKYQWALNDYYESKGLDKDDSKRKELATKDQAQ >DRNTG_32364.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7239726:7241696:-1 gene:DRNTG_32364 transcript:DRNTG_32364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTWTYIIAEELVSLMVSIGTILNISSSVLGLTVLAWGNSLGDLVSNVAVAMNGGQNGVQVAISGCFAGPIFNILIGLSISFFLKSWNEFPSCVEIPLDLSLLQTFGFMFGSFVMVPCYFAKERDEA >DRNTG_32364.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7239671:7241520:-1 gene:DRNTG_32364 transcript:DRNTG_32364.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTWTYIIAEELVSLMVSIGTILNISSSVLGLTVLAWGNSLGDLVSNVAVAMNGGQNGVQVAISGCFAGPIFNILIGLSISFFLKSWNEFPSCVEIPLDLSLLQTFGFMFGSFVMVPCYFAKERDEA >DRNTG_32364.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7239726:7241520:-1 gene:DRNTG_32364 transcript:DRNTG_32364.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTWTYIIAEELVSLMVSIGTILNISSSVLGLTVLAWGNSLGDLVSNVAVAMNGGQNGVQVAISGCFAGPIFNILIGLSISFFLKSWNEFPSCVEIPLDLSLLQTFGFMFGSFVMVPCYFAKERDEA >DRNTG_32364.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7239778:7241520:-1 gene:DRNTG_32364 transcript:DRNTG_32364.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTWTYIIAEELVSLMVSIGTILNISSSVLGLTVLAWGNSLGDLVSNVAVAMNGGQNGVQVAISGCFAGPIFNILIGLSISFFLKSWNEFPSCVEIPLDLSLLQTFGFMFGSFVMVPCYFAKERDEA >DRNTG_31934.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2865161:2866088:1 gene:DRNTG_31934 transcript:DRNTG_31934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDMITKFSNPSITTSQIKALEQELKKTYKLFKGFSELSGFGWDYERHIVSAPDDVWAPLLERNMDARKWHSKPFPYFTALQEVYEEDYEDISQSPVHTTSPSVFTPNDSRQPSPIHETKDDDIMQVEPPSSQPRNPQNQSSSNEILPGIRDQDGQRRKRERKGKRPLRFIIQYGQILCISRAQE >DRNTG_30562.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:824663:827334:1 gene:DRNTG_30562 transcript:DRNTG_30562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRREFSVFSQQIFTASCLFFLQRLGLSPDDLRLLLLR >DRNTG_04521.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19223854:19226627:1 gene:DRNTG_04521 transcript:DRNTG_04521.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDVGRLKMARRKDSRKYAFACATFASLNSVLLGYDVGVMSGAILFIQKDLHINEVQQEVLVGCLSVVSLLGSLVGGRTSDVIGRKWTMGLAAIVFQTGAAVMVFAPSFAILMLGRLLTGIGIGFGLMIAAVYIAEISPAAARGTLTSFLEISINIGILLGYVSNYAFSSLSYHINWRIMLGVGIIPSVFIAFALFVIPESPRWLIMKNRVDEARSVLLKINGDEAEANKKLAEIEEAAGVLNAENNNENKEVWWEILRPSPSLRRMLIAGCGIQFFQQITGIDATVYYSPTIFKDAGIKSYKELLAATVGVGFTKTMFILVAILLVDKVGRKPLLYVSTIGMTTCLFILGLSLTLMHGSGLISPKVGIGIAILAVCGNVAFFSVGIGPMCWVLSSEIYPLRYRAQASALGAVGSRVSSGLISMSFLSLSHAITVGGTFFIFSAISAISVVFVYCCVPETKGKSLEEIQMLFQKEREVQRGEVELSNAEHLVQNK >DRNTG_04521.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19223892:19226683:1 gene:DRNTG_04521 transcript:DRNTG_04521.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDVGRLKMARRKDSRKYAFACATFASLNSVLLGYDVGVMSGAILFIQKDLHINEVQQEVLVGCLSVVSLLGSLVGGRTSDVIGRKWTMGLAAIVFQTGAAVMVFAPSFAILMLGRLLTGIGIGFGLMIAAVYIAEISPAAARGTLTSFLEISINIGILLGYVSNYAFSSLSYHINWRIMLGVGIIPSVFIAFALFVIPESPRWLIMKNRVDEARSVLLKINGDEAEANKKLAEIEEAAGVLNAENNNENKEVWWEILRPSPSLRRMLIAGCGIQFFQQITGIDATVYYSPTIFKDAGIKSYKELLAATVGVGFTKTMFILVAILLVDKVGRKPLLYVSTIGMTTCLFILGLSLTLMHGSGLISPKVGIGIAILAVCGNVAFFSVGIGPMCWVLSSEIYPLRYRAQASALGAVGSRVSSGLISMSFLSLSHAITVGGTFFIFSAISAISVVFVYCCVPETKGKSLEEIQMLFQKEREVQRGEVELSNAEHLVQNK >DRNTG_29093.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20962263:20966934:-1 gene:DRNTG_29093 transcript:DRNTG_29093.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLLASSQNGNAKLSYGDKMQSVRSSRAAPVWLETGEAVASMYSESREEARDFARLRNACFDQARQAYLIGNKALAKELSEKGQLYNIQMKAAHGKAREAIYRQRNPISSDIQSYGRSQERLIDLHGLHVSEAIHVLKHELSTLRSAARAVGRQAQVMICVGTGHHTKGSRTPARLPVAVEQYLLEENLHYTQPQPGLLRVVIY >DRNTG_29093.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20962263:20966934:-1 gene:DRNTG_29093 transcript:DRNTG_29093.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYSESREEARDFARLRNACFDQARQAYLIGNKALAKELSEKGQLYNIQMKAAHGKAREAIYRQRNPISSDIQSYGRSQERLIDLHGLHVSEAIHVLKHELSTLRSAARAVGRQAQVMICVGTGHHTKGSRTPARLPVAVEQYLLEENLHYTQPQPGLLRVVIY >DRNTG_29093.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20962263:20966934:-1 gene:DRNTG_29093 transcript:DRNTG_29093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLLASSQNGNAKLSYGDKMQSVRSSRAAPVWLETGEAVASMYSESREEARDFARLRNACFDQARQAYLIGNKALAKELSEKGQLYNIQMKAAHGKAREAIYRQRNPISSDIQSYGRSQERLIDLHGLHVSEAIHVLKHELSTLRSAARAVGRQAQVMICVGTGHHTKGSRTPARLPVAVEQYLLEENLHYTQPQPGLLRVVIY >DRNTG_03866.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3691754:3692881:-1 gene:DRNTG_03866 transcript:DRNTG_03866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGREGGDSVMEVPLLERDSSTASSSSLWVVVASTAVAVAGSFVFGCSVGFSSPAQSGIMRDLHLS >DRNTG_05170.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:15380816:15383200:-1 gene:DRNTG_05170 transcript:DRNTG_05170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFINKYLKTNSKVTKKHQSEMSSGCISADAPQACIRGCCLALVETSHHNKRLSNAKTVNTTTTTTTTKASRSDFVTTTVTLLMSNTFFTNHESLPTISDAFSNFISTYPQYTNTEQADHIRDYEYYHLSSHTCLDYTGHCLFSHAQLLPTASSIHAPVRVPSFAISYKSASLKSQVLYGDQESAMESSIRKRIMRFLNIAEDEYSMVCVANRTSAFKILAEYYPFHTNKRLLTVYDYESEAVNVMIESAQKKGAKIVSASFSWPNLRINTGKLKKLVIKKKKMKNGLMVFPLQSRMTGARYPYLWMSLAQQNGWHVVLDASALGPKDMDTLGLSLIQPEFIICSFFKVFGENPSGFAALFIKKTITSVLETSVVGRSIGIVRIIPTKAGSQISEEFSGNESEYSQVPSSLSGPESSHAHCDLKQKQVEVFEIGETSEIQGSKPREEEDDDEIVELESDDYVQESSVNKTCGNERTPYSEIELRGLDHADSIGLPLITGRLRYIVNWLVNALMKLRHPNSDRGQPLVQLYGPRIKFDRAPAVAFNVFDWKGEKVDPTLVQKLADRSSISLSCGFLQNIWFSDKYEEDKNVLLERRVCEVTIAGNKRRETIDLGITVVNASLGFLSNFEDAYRLWAFVAKFLDADFVEKERWRYMALNQKMIEV >DRNTG_05170.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:15380816:15383305:-1 gene:DRNTG_05170 transcript:DRNTG_05170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFINKYLKTNSKVTKKHQSEMSSGCISADAPQACIRGCCLALVETSHHNKRLSNAKTVNTTTTTTTTKASRSDFVTTTVTLLMSNTFFTNHESLPTISDAFSNFISTYPQYTNTEQADHIRDYEYYHLSSHTCLDYTGHCLFSHAQLLPTASSIHAPVRVPSFAISYKSASLKSQVLYGDQESAMESSIRKRIMRFLNIAEDEYSMVCVANRTSAFKILAEYYPFHTNKRLLTVYDYESEAVNVMIESAQKKGAKIVSASFSWPNLRINTGKLKKLVIKKKKMKNGLMVFPLQSRMTGARYPYLWMSLAQQNGWHVVLDASALGPKDMDTLGLSLIQPEFIICSFFKVFGENPSGFAALFIKKTITSVLETSVVGRSIGIVRIIPTKAGSQISEEFSGNESEYSQVPSSLSGPESSHAHCDLKQKQVEVFEIGETSEIQGSKPREEEDDDEIVELESDDYVQESSVNKTCGNERTPYSEIELRGLDHADSIGLPLITGRLRYIVNWLVNALMKLRHPNSDRGQPLVQLYGPRIKFDRAPAVAFNVFDWKGEKVDPTLVQKLADRSSISLSCGFLQNIWFSDKYEEDKNVLLERRVCEVTIAGNKRRETIDLGITVVNASLGFLSNFEDAYRLWAFVAKFLDADFVEKERWRYMALNQKMIEV >DRNTG_05170.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:15380816:15383086:-1 gene:DRNTG_05170 transcript:DRNTG_05170.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFINKYLKTNSKVTKKHQSEMSSGCISADAPQACIRGCCLALVETSHHNKRLSNAKTVNTTTTTTTTKASRSDFVTTTVTLLMSNTFFTNHESLPTISDAFSNFISTYPQYTNTEQADHIRDYEYYHLSSHTCLDYTGHCLFSHAQLLPTASSIHAPVRVPSFAISYKSASLKSQVLYGDQESAMESSIRKRIMRFLNIAEDEYSMVCVANRTSAFKILAEYYPFHTNKRLLTVYDYESEAVNVMIESAQKKGAKIVSASFSWPNLRINTGKLKKLVIKKKKMKNGLMVFPLQSRMTGARYPYLWMSLAQQNGWHVVLDASALGPKDMDTLGLSLIQPEFIICSFFKVFGENPSGFAALFIKKTITSVLETSVVGRSIGIVRIIPTKAGSQISEEFSGNESEYSQVPSSLSGPESSHAHCDLKQKQVEVFEIGETSEIQGSKPREEEDDDEIVELESDDYVQESSVNKTCGNERTPYSEIELRGLDHADSIGLPLITGRLRYIVNWLVNALMKLRHPNSDRGQPLVQLYGPRIKFDRAPAVAFNVFDWKGEKVDPTLVQKLADRSSISLSCGFLQNIWFSDKYEEDKNVLLERRVCEVTIAGNKRRETIDLGITVVNASLGFLSNFEDAYRLWAFVAKFLDADFVEKERWRYMALNQKMIEV >DRNTG_05170.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:15380630:15383086:-1 gene:DRNTG_05170 transcript:DRNTG_05170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFINKYLKTNSKVTKKHQSEMSSGCISADAPQACIRGCCLALVETSHHNKRLSNAKTVNTTTTTTTTKASRSDFVTTTVTLLMSNTFFTNHESLPTISDAFSNFISTYPQYTNTEQADHIRDYEYYHLSSHTCLDYTGHCLFSHAQLLPTASSIHAPVRVPSFAISYKSASLKSQVLYGDQESAMESSIRKRIMRFLNIAEDEYSMVCVANRTSAFKILAEYYPFHTNKRLLTVYDYESEAVNVMIESAQKKGAKIVSASFSWPNLRINTGKLKKLVIKKKKMKNGLMVFPLQSRMTGARYPYLWMSLAQQNGWHVVLDASALGPKDMDTLGLSLIQPEFIICSFFKVFGENPSGFAALFIKKTITSVLETSVVGRSIGIVRIIPTKAGSQISEEFSGNESEYSQVPSSLSGPESSHAHCDLKQKQVEVFEIGETSEIQGSKPREEEDDDEIVELESDDYVQESSVNKTCGNERTPYSEIELRGLDHADSIGLPLITGRLRYIVNWLVNALMKLRHPNSDRGQPLVQLYGPRIKFDRAPAVAFNVFDWKGEKVDPTLVQKLADRSSISLSCGFLQNIWFSDKYEEDKNVLLERRVCEVTIAGNKRRETIDLGITVVNASLGFLSNFEDAYRLWAFVAKFLDADFVEKERWRYMALNQKMIEV >DRNTG_05170.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:15380903:15383086:-1 gene:DRNTG_05170 transcript:DRNTG_05170.7 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFINKYLKTNSKVTKKHQSEMSSGCISADAPQACIRGCCLALVETSHHNKRLSNAKTVNTTTTTTTTKASRSDFVTTTVTLLMSNTFFTNHESLPTISDAFSNFISTYPQYTNTEQADHIRDYEYYHLSSHTCLDYTGHCLFSHAQLLPTASSIHAPVRVPSFAISYKSASLKSQVLYGDQESAMESSIRKRIMRFLNIAEDEYSMVCVANRTSAFKILAEYYPFHTNKRLLTVYDYESEAVNVMIESAQKKGAKIVSASFSWPNLRINTGKLKKLVIKKKKMKNGLMVFPLQSRMTGARYPYLWMSLAQQNGWHVVLDASALGPKDMDTLGLSLIQPEFIICSFFKVFGENPSGFAALFIKKTITSVLETSVVGRSIGIVRIIPTKAGSQISEEFSGNESEYSQVPSSLSGPESSHAHCDLKQKQVEVFEIGETSEIQGSKPREEEDDDEIVELESDDYVQESSVNKTCGNERTPYSEIELRGLDHADSIGLPLITGRLRYIVNWLVNALMKLRHPNSDRGQPLVQLYGPRIKFDRAPAVAFNVFDWKGEKVDPTLVQKLADRSSISLSCGFLQNIWFSDKYEEDKNVLLERRVCEVTIAGNKRRETIDLGITVVNASLGFLSNFEDAYRLWAFVAKFLDADFVEKERWRYMALNQKMIEV >DRNTG_05170.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:15380903:15383200:-1 gene:DRNTG_05170 transcript:DRNTG_05170.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFINKYLKTNSKVTKKHQSEMSSGCISADAPQACIRGCCLALVETSHHNKRLSNAKTVNTTTTTTTTKASRSDFVTTTVTLLMSNTFFTNHESLPTISDAFSNFISTYPQYTNTEQADHIRDYEYYHLSSHTCLDYTGHCLFSHAQLLPTASSIHAPVRVPSFAISYKSASLKSQVLYGDQESAMESSIRKRIMRFLNIAEDEYSMVCVANRTSAFKILAEYYPFHTNKRLLTVYDYESEAVNVMIESAQKKGAKIVSASFSWPNLRINTGKLKKLVIKKKKMKNGLMVFPLQSRMTGARYPYLWMSLAQQNGWHVVLDASALGPKDMDTLGLSLIQPEFIICSFFKVFGENPSGFAALFIKKTITSVLETSVVGRSIGIVRIIPTKAGSQISEEFSGNESEYSQVPSSLSGPESSHAHCDLKQKQVEVFEIGETSEIQGSKPREEEDDDEIVELESDDYVQESSVNKTCGNERTPYSEIELRGLDHADSIGLPLITGRLRYIVNWLVNALMKLRHPNSDRGQPLVQLYGPRIKFDRAPAVAFNVFDWKGEKVDPTLVQKLADRSSISLSCGFLQNIWFSDKYEEDKNVLLERRVCEVTIAGNKRRETIDLGITVVNASLGFLSNFEDAYRLWAFVAKFLDADFVEKERWRYMALNQKMIEV >DRNTG_05170.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:15380630:15383200:-1 gene:DRNTG_05170 transcript:DRNTG_05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFINKYLKTNSKVTKKHQSEMSSGCISADAPQACIRGCCLALVETSHHNKRLSNAKTVNTTTTTTTTKASRSDFVTTTVTLLMSNTFFTNHESLPTISDAFSNFISTYPQYTNTEQADHIRDYEYYHLSSHTCLDYTGHCLFSHAQLLPTASSIHAPVRVPSFAISYKSASLKSQVLYGDQESAMESSIRKRIMRFLNIAEDEYSMVCVANRTSAFKILAEYYPFHTNKRLLTVYDYESEAVNVMIESAQKKGAKIVSASFSWPNLRINTGKLKKLVIKKKKMKNGLMVFPLQSRMTGARYPYLWMSLAQQNGWHVVLDASALGPKDMDTLGLSLIQPEFIICSFFKVFGENPSGFAALFIKKTITSVLETSVVGRSIGIVRIIPTKAGSQISEEFSGNESEYSQVPSSLSGPESSHAHCDLKQKQVEVFEIGETSEIQGSKPREEEDDDEIVELESDDYVQESSVNKTCGNERTPYSEIELRGLDHADSIGLPLITGRLRYIVNWLVNALMKLRHPNSDRGQPLVQLYGPRIKFDRAPAVAFNVFDWKGEKVDPTLVQKLADRSSISLSCGFLQNIWFSDKYEEDKNVLLERRVCEVTIAGNKRRETIDLGITVVNASLGFLSNFEDAYRLWAFVAKFLDADFVEKERWRYMALNQKMIEV >DRNTG_12353.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20829664:20834981:1 gene:DRNTG_12353 transcript:DRNTG_12353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDLGNESCIVAVARQRGIDVVLNDESKRETPAIVCFGEKQRFIGTSGASSSLMNPKNSVSQIKRLVGRKFSDPELQRDLQSLPYLVTEGPDGFPLIHARYLGEQRAFTPTQVLAMVLSNLKGIAESNLNAAVVDCCIGIPVYFTDLQRRAVVDAATIAGLHPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVCIAGFKKGQLKILSHAYDRSLGGRDFDDALFKHFAAKFRDEYKIDVYQNARACLRLRAACEKLKKMLSANPEGPLHIECLMDEKDVKGFIKRDEFEQISMPILERVKAPLEKALLDAGLTVENIHSVEVVGSGSRVPAIIRILTEFFSKEPRRTMNASECVARGCALQCAILSPTFKVREFQVHENFPFPIALSWKGAAPDAQNDAPDQHQNTVVFPKGNQIPSIKALTFFRSSTFSVDVMYTDVADQQIPAKISTYTIGPFQSTKGERAKLKVKVRLNLHGIVSVESATMLEEEEVEIPVSATKEPPKEDTKMDADDTSTGETDANMQDSKPPADGSGVGSENGATDSADKPVQMETDAKAEVSKKKVKKTNVSVSEIVYGGMAATDLQKAVEKEFEMALQDRVMEETKDKKNAVEAYVYDMRNKLHDKYQDFVQEAEKEAFATKLQEVEDWLYEDGEDETKGVYIAKLDELKKQGDPIEERYKEYTERGPTTEQLAYCFNSYREAALSKDPKFDHIEVAEKQKVINECSEAEAWLREKKQQQDALPKFAAPVLLSADIKRKAEALDRFCRPIMTKPKPAPAKPQTPPPPAAAETQANEPPVVDEQMGEQTTDAPAPAAPTEPMETDKPDGGNPSA >DRNTG_08352.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4058544:4064546:1 gene:DRNTG_08352 transcript:DRNTG_08352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRHHQQWKHFPPWCDTEGCVLRFTVLSSWESVIVEICAAKRVGNRRVVVRCCKGEEEKKAKRRSFLSLEEAGLVEISGLSTHERFLCRLTISSLNLLRVISEQEGVSIEELNAGRVCDWFLKDKLKREQDIGSAVLQWDDSEFQI >DRNTG_06568.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:478683:480961:1 gene:DRNTG_06568 transcript:DRNTG_06568.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDNAIHENIFLSDLSYLTDEHGDIYFEVNLDEDLLQTLTADEKLVQVIIGLDDLDMLPEMEAYGPSDTDLVIEEITSDDDDDDDDDDDDDDDDDDNDDDDEEDFVSILEDEDGMIPSEALGDWANLGTMRASHPMHFANKIAEVVSGVQLDWMDQPSASIVMRGLLRPAFVEEQKFFRKHPPGSAINGSEKHQSRTKLEKDQGLQSGTTFYKLEMVNIQLVSAYGNQACCSIC >DRNTG_06568.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:478907:480961:1 gene:DRNTG_06568 transcript:DRNTG_06568.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIDNAIHENIFLSDLSYLTDEHGDIYFEVNLDEDLLQTLTADEKLVQVIIGLDDLDMLPEMEAYGPSDTDLVIEEITSDDDDDDDDDDDDDDDDDDNDDDDEEDFVSILEDEDGMIPSEALGDWANLGTMRASHPMHFANKIAEVVSGVQLDWMDQPSASIVMRGLLRPAFVEEQKFFRKHPPGSAINGSEKHQSRTKLEKDQGLQSGTTFYKLEMVNIQLVSAYGNQACCSIC >DRNTG_06568.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:481754:483542:1 gene:DRNTG_06568 transcript:DRNTG_06568.4 gene_biotype:protein_coding transcript_biotype:protein_coding WTYTIQKNSDIHINIIFLQSTVKIQDFQDAQPDVIAHSAANIISRLKAGGEKITEAFKAICWTHKGIKVEEISLSGVDSLGFDLRVCAGTQVQTLRFFFQRTG >DRNTG_06568.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:478683:483542:1 gene:DRNTG_06568 transcript:DRNTG_06568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDNAIHENIFLSDLSYLTDEHGDIYFEVNLDEDLLQTLTADEKLVQVIIGLDDLDMLPEMEAYGPSDTDLVIEEITSDDDDDDDDDDDDDDDDDDNDDDDEEDFVSILEDEDGMIPSEALGDWANLGTMRASHPMHFANKIAEVVSGVQLDWMDQPSASIVMRGLLRPAFVEEQKFFRKHPPGSAINGSEKHQSRTKLEKDQGLQSGTTFYKLEMVNIQLVSAYGNQSTVKIQDFQDAQPDVIAHSAANIISRLKAGGEKITEAFKAICWTHKGIKVEEISLSGVDSLGFDLRVCAGTQVQTLRFFFQRTG >DRNTG_09748.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2088950:2100203:-1 gene:DRNTG_09748 transcript:DRNTG_09748.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDGSKVDYDYCIDKCNARSKVKLEEALLTIKRSLNFVDLAFAIARRKQPVRSHGHLSDLYLGFSLLDITDSSSKLDVKSSIHHLTDTQKALDILFLVEFCDERSKVLRPYMPTGPQLGGCVAVCQCTGPGEPGSGRDRLGVVVMSGTDLSPLTCEKPGSVRAGSLLLPRPRPLPLGEKPRPLPLPLFECSEVAPKLNSWRHDAHQNLESWKRPGAAVPYVQQLESRRLKLTQLEQKLQRARQQGIFISSSGDQAHSMSNNVI >DRNTG_31172.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17730139:17734094:1 gene:DRNTG_31172 transcript:DRNTG_31172.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:La1 [Source:Projected from Arabidopsis thaliana (AT4G32720) UniProtKB/TrEMBL;Acc:A0A178V700] MRNHLGLGNVKPEEVPEETVQAVAETLRKSVALKVSEDGKKIGRSTELLKPEEVIEQVDSRTIAVSPLPYDVKLEDVEAFFGKLAKINSVRLPRHVSEKKHFCGTALVEFSVEEDAKKVLEDNLVYAGIELEIKPKKEFDAVREKQQEEYEQSRSLKKDGSDGSYPKGLIVAFKLKSIPGEGSTEENCQEKANESVEVPERETENVTASATAEGEPMASHSLEDAEKNVEKDGNLQDSENKATNDASMENEDEVGKEEDKKNSTTVNKENIVTREDLK >DRNTG_18831.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2681954:2683042:1 gene:DRNTG_18831 transcript:DRNTG_18831.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKISDFGLARNFGDRETIIKTRKVVGTYGYMAPEYALDGVFSIKSDVFSFGVLILEIISGQRNRIFLSSPHLYLLGKAWRLWNEGKVLDLLDPLIGNSFSMTQVMRCINIGLLCVQEKPEDRPIMSSVVIMLGNDDAPLLEPKEPGFKAIFSTKHDADLNQNELHTFNDITLTEQTGR >DRNTG_18831.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2679106:2683042:1 gene:DRNTG_18831 transcript:DRNTG_18831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPTVMATLGTHLVFFLVIFHDSMATDTLNPNQPLHDGQVIVSAKETFALGFFSPGESKNRYVGIWYHKLSGGQATTVVWVANRRGPLSGTNGSLELNINGTLTINSMMFLPMSTVSLTNPVAQLLDDGNFVIREANSSEFSWQSFDYPTDTFLSGMKLGWNLRIGLNRNLTSWKSKDDPSPGNNVLSIDLERIPQVNLWSGSTKKWRSGPWTGIKFSNLEEQPRTYGLSFGFVNNKDEVYYMFNTTTSTQIMCRSIVDQSGMTKSFVWIESTGTWNNMMNYPMNECQEYSRCGPYGVCDIDVWPICRCLQGFKSKSMQEWPLMNASSGCDRLTTLDCKSSSSDGFMTVTLAALPETSNAMVYTNISQDECRGRCLNNCSCTAYVTANISSAGFGCVIWVTELIDLRMSTHPTQDVFVRLAAADLASISNKASKKSQSKSMVWIIVFSMVALIIPLIYFCSWGKKKMVHKDVRGNGEFELAQLQWSTLMEATHNFAKTNILGKGGFGLVYKGKLAEGSEIAVKRLSRNSTQGIDEFENEVTFIAKLQHRNLVRLLGYCIKEDEKILVYEYMPNGSLDAFLFDKEKGDHLDWQTRFHIIEGIARGLLYLHQDSRLRIIHRDLKASNILLDIEMNPKISDFGLARNFGDRETIIKTRKVVGTYGYMAPEYALDGVFSIKSDVFSFGVLILEIISGQRNRIFLSSPHLYLLGKAWRLWNEGKVLDLLDPLIGNSFSMTQVMRCINIGLLCVQEKPEDRPIMSSVVIMLGNDDAPLLEPKEPGFKAIFSTKHDADLNQNELHTFNDITLTEQTGR >DRNTG_18831.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2681091:2683042:1 gene:DRNTG_18831 transcript:DRNTG_18831.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWIIVFSMVALIIPLIYFCSWGKKKMVHKDVRGNGEFELAQLQWSTLMEATHNFAKTNILGKGGFGLVYKGKLAEGSEIAVKRLSRNSTQGIDEFENEVTFIAKLQHRNLVRLLGYCIKEDEKILVYEYMPNGSLDAFLFDKEKGDHLDWQTRFHIIEGIARGLLYLHQDSRLRIIHRDLKASNILLDIEMNPKISDFGLARNFGDRETIIKTRKVVGTYGYMAPEYALDGVFSIKSDVFSFGVLILEIISGQRNRIFLSSPHLYLLGKAWRLWNEGKVLDLLDPLIGNSFSMTQVMRCINIGLLCVQEKPEDRPIMSSVVIMLGNDDAPLLEPKEPGFKAIFSTKHDADLNQNELHTFNDITLTEQTGR >DRNTG_33631.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002032.1:19524:25736:1 gene:DRNTG_33631 transcript:DRNTG_33631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSPLLEILFREPEGFSIWNGPPFSDGEPNVKLARVPCTAAKYSENGSRLMVIKNSSTVSIYDCHSLTETKSFEFPSLLAATISPLGTYLQTFQKFSSPQEKNVVLWDIQTGSPVYQLSQKNMSKTTWPSLQFNSDESVVCRMATNEIQFFDAIDFSKGVVYRLRIPGVFAIELSRVPGSHIAAFVPESKGIPASVQIFSCNKDSQSSPVARRSFFRCSTVQLHWNYGSNGLLIVAQSDVDKTNKSYYGESKLNYLTTDGSYEGLVDLRKEGPVHDVQWSSSGLEFAVVYGFMPAKATIYDRRCKPILELGTGPYNTIRWNPKGKFLCLAGFGNLPGDMAFWDYSEKKLLGTTRAEWSVTSEWSPDGRFFMTATTAPRLQIDNGIKIFHHNGSLYFKKMFDKLYQVDWKPEAPEKFGDITDLAKSVSSLNIDEGKKQGQDPKLSQPTNNNSTPPSQKPAAYRPPHAKSAAAVQEQLFGGRASTEELSKNALRNKKRREKRKEKAAGGSTASDE >DRNTG_33631.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002032.1:19524:25736:1 gene:DRNTG_33631 transcript:DRNTG_33631.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSPLLEILFREPEGFSIWNGPPFSDGEPNVKLARVPCTAAKYSENGSRLMVIKNSSTVSIYDCHSLTETKSFEFPSLLAATISPLGTYLQTFQKFSSPQEKNVVLWDIQTGSPVYQLSQKNMSKTTWPSLQFNSDESVVCRMATNEIQFFDAIDFSKGVVYRLRIPGVFAIELSRVPGSHIAAFVPESKGIPASVQIFSCNKDSQSSPVARRSFFRCSTVQLHWNYGSNGLLIVAQSDVDKTNKSYYGESKLNYLTTDGSYEGLVDLRKEGPVHDVQWSSSGLEFAVVYGFMPAKATIYDRRCKPILELGTGPYNTIRWNPKGKCILLMP >DRNTG_35127.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1138125:1143407:-1 gene:DRNTG_35127 transcript:DRNTG_35127.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLHQLVFVLVIFHFSMATDTLYPNQSLQDGQTLVSAKETFALGFFSPGSSKNRYVGIWYNKLQEHTVVWVANRGSPLASTNGTMELNNNGTLTINSMIFLPMPSVNLTTPVAQLLDNGNFVIREAINSSEYAWQSFDYPTDTLLPGMKLGWDLTTGLNRNLIAWRSNDDPSAGPYAVSMDPRGDPQLIAWSGSVKSWRSGPWNGIEFSNIPEMSNYKGFQFYFVNNKDEIYYMYDMTDPTIVTRLMVNQSGMVERFMWIESASSWYLFWYAPKSQCDQFSPCGPNGLCDLNGWPRCSCIQGFTPKSPQAWALSDASLGCVRRTELDCRNKSDGFLTISHTSLPETSMALVDMNISSLDECRATCLTNCSCTAYASADIRAGGTGCIIWVTDLIGLGRRYPNTGQDFFVRLAAADLASMSSKSSKKKIVVLITVCSVAILFLSLIGICAWRMKMRKASVAQNHEEEELSKEDELELPQIQWNTLMAATANFTAENILGEGGFGPVYKPEDRPTMSSVVVMLSNNDTPLPNPKPPGFTPIVSARPDPTQNPPDV >DRNTG_27063.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2736806:2737866:-1 gene:DRNTG_27063 transcript:DRNTG_27063.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIAMKGDESELGDHRGVIHHASPPLPPVPSSKSRLHNFSFPTGSWGSTKAFRFTKPASGSTPPAAAVAASTAAPEEEASFPPGRPWNLRTRRAACSAPMEKDGEEVKNTESRSPPRMEKVGSGSLRTVRLRSEGGGEKAQKGGEMRRLIVPLSKEEIEEDYYAFKGSKPPRRPKKRPRVVQRQLESLYPAFWLSEVTLDMYKISD >DRNTG_07205.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27856943:27863355:1 gene:DRNTG_07205 transcript:DRNTG_07205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSRCSQISRSRLLATSLSPQFLIMQSPRKGRTSLCKLEDLIPPLLLRFVLEFGEAWRELEGPYGEQIYQHQGHEYLDNFEPNRGHGEGLERRLILNNFSNHDGSFLFSSLSWNLATQVVAILLLTLGWLLNSRIYNHLSI >DRNTG_21460.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26781832:26782900:-1 gene:DRNTG_21460 transcript:DRNTG_21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWKPYGVFHLSDMPNAYLLIRCETETTKQQLLFGGPWTVNGLTLQLVPWQPYFEPAHTKLTRAIVWLQLHNLPVELWDGESLETITEPIGKLLKVDEFTLSLSRARYARVCLELDLARPLKRGFWLEDGIFKVFVVILYEHLPTFCYLCGMVGHGSTGCSSRLGSSPED >DRNTG_08792.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4284834:4285483:-1 gene:DRNTG_08792 transcript:DRNTG_08792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEITGVTIGEVKPVVDMHHRKAEMTRNSDAFIAMPGGYGTLEELFEVITWAQLGIYKKPVGLLDIDGYYNSLLYFIDQAVEEGIINPSA >DRNTG_23776.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14230813:14231329:-1 gene:DRNTG_23776 transcript:DRNTG_23776.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVRLALKREDPAEEERRTKKTNEEKIRRRRRQKKKKKRRRTKNGKKREGRGKKKTKPPGPVLTYQLPLSQRNHCCCRCCVVAAVVVVPSLPPLLAINSKPRSHSHLLVTATMKKPVLPPNKALKGGCAILLKFL >DRNTG_18886.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2237679:2238257:1 gene:DRNTG_18886 transcript:DRNTG_18886.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSTPPLLPTPPSQTHSASTSTVSLSPADQQQLLVMLRQFQQSHPGDISTGQARSAQVTPPTPGSSCPLWLLDSGASFHMTHDATHLHHSHPSSLHTRVRIADGTLLPVSSTGHLSTSAFSVPSVSHVPHLSMNLMSVSQLTDYDCQVIFDRTSCRVQDHSGAVIGAGRRHSGVYALDSLRLP >DRNTG_07688.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23192651:23198282:1 gene:DRNTG_07688 transcript:DRNTG_07688.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASINASSMIKYEASRRSLRPPSPTSAFLEASHTNSISCTAILEAS >DRNTG_26753.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8010736:8014136:-1 gene:DRNTG_26753 transcript:DRNTG_26753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPISHSLPSLTHGFVPKTLVLKRTPSFIVSRRSDYYEPQKVTSRPPPPEDSLSAASQPSRVYVGYSIYKGKAALTIEPRPPEFSPLDSGAFKVSKEGFALMQFAPAVGTRQYDWSRKQVFSLSVTEIGTLMSLGAKDSCEFFHDPFKGRSDEGKVRKLLKAEPLPDGTGHFFNLSVQNRLLNVDESIYIPISKAEFTILNSSFNFIIPYLLGWHAFANTIKPEDSIQTNNAISRSGVELEWGR >DRNTG_19227.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18963868:18966279:1 gene:DRNTG_19227 transcript:DRNTG_19227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKHGDWQDFDKYEEYRYLVAISVLAFLYSAAQLGRQVHRFSSGKDLLSPRTSVFVDFGGDQIIAYLLISATSAAIPMTNRMRQGADNLFTDASSAAISMAFFAFVALALSAMLSGYKLSKQTYI >DRNTG_00015.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21545566:21548834:1 gene:DRNTG_00015 transcript:DRNTG_00015.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDQGLQKLAAMTSGFQYDDFFTNQYSLNGRRLEQPNSLYTHQNFGANSYVHVPVIQNSPPAAVSSTMVAARDSSEDSEIFSDIALTYISRMLMEEDIDEKVNMYQQQAALRATEKPFYDILGQKYPYPPSPDQPPLYSNHYLESPDDSINSHHYANPQHGIGNNSNGVMDHRYTYDSVCYPHMQLRPVSVDSLSSTPSIGSSCGSLDYSTQSSASNVAEVAEEPQVSSGSWVSNLFVDSQPAWHFRKGVEEAKKFLPRDDKLVVDLEANGGSPYPVSKKKGSDRLNGNEIKTEEEGDHVSSGSRCRKNPNSEDLALQEGRSNKQSAVYSEEALRSDMFDLVLLCHKGMPRKGGVQVLREALQNETSKNLQSSQSGSGKGRGKKQNKKEVVDLRTLLIHCAQAVAADDCRTANELLKQIRNHSSPFGDGTQRLAQCFADGLQARLAGTGSQIYQSLVAKRTTATDILKAYQLYLAACPFKKITHFLSNQTILNVSEKASRVHIIDFGIYFGFQWPCLIQRLAARPGGPPKLRITGIDVPQPGFRPTERIEETGRRLADYAHGFGVPFDYNSISSKWETITVEDLKLDKDEVLIINCLYRFRNLVDETVVVDSPRNKVLNTIRKANPDVFIHGIVNGSYSAPFFVTRFREALFHFSALFDMLDTNVPREDAQRLLIERDLFGREALNVISCEGSERVERPETYKQWQVRNLRAGFEQLPLDPDIMERAKDRVKSNYHKDFVIDEDCRWLLQGWKGRIIYAVSTWRPKWS >DRNTG_00015.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21545566:21548834:1 gene:DRNTG_00015 transcript:DRNTG_00015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDQGLQKLAAMTSGFQYDDFFTNQYSLNGRRLEQPNSLYTHQNFGANSYVHVPVIQNSPPAAVSSTMVAARDSSEDSEIFSDIALTYISRMLMEEDIDEKVNMYQQQAALRATEKPFYDILGQKYPYPPSPDQPPLYSNHYLESPDDSINSHHYANPQHGIGNNSNGVMDHRYTYDSVCYPHMQLRPVSVDSLSSTPSIGSSCGSLDYSTQSSASNVAEVAEEPQVSSGSWVSNLFVDSQPAWHFRKGVEEAKKFLPRDDKLVVDLEANGGSPYPVSKKKGSDRLNGNEIKTEEEGDHVSSGSRCRKNPNSEDLALQEGRSNKQSAVYSEEALRSDMFDLVLLCHKGMPRKGGVQVLREALQNETSKNLQSSQSGSGKGRGKKQNKKEVVDLRTLLIHCAQAVAADDCRTANELLKQIRNHSSPFGDGTQRLAQCFADGLQARLAGTGSQIYQSLVAKRTTATDILKAYQLYLAACPFKKITHFLSNQTILNVSEKASRVHIIDFGIYFGFQWPCLIQRLAARPGGPPKLRITGIDVPQPGFRPTERIEETGRRLADYAHGFGVPFDYNSISSKWETITVEDLKLDKDEVLIINCLYRFRNLVDETVVVDSPRNKVLNTIRKANPDVFIHGIVNGSYSAPFFVTRFREALFHFSALFDMLDTNVPREDAQRLLIERDLFGREALNVISCEGSERVERPETYKQWQVRNLRAGFEQLPLDPDIMERAKDRVKSNYHKDFVIDEDCRWLLQGWKGRIIYAVSTWRPKWS >DRNTG_00015.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21545566:21548834:1 gene:DRNTG_00015 transcript:DRNTG_00015.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDQGLQKLAAMTSGFQYDDFFTNQYSLNGRSPPAAVSSTMVAARDSSEDSEIFSDIALTYISRMLMEEDIDEKVNMYQQQAALRATEKPFYDILGQKYPYPPSPDQPPLYSNHYLESPDDSINSHHYANPQHGIGNNSNGVMDHRYTYDSVCYPHMQLRPVSVDSLSSTPSIGSSCGSLDYSTQSSASNVAEVAEEPQVSSGSWVSNLFVDSQPAWHFRKGVEEAKKFLPRDDKLVVDLEANGGSPYPVSKKKGSDRLNGNEIKTEEEGDHVSSGSRCRKNPNSEDLALQEGRSNKQSAVYSEEALRSDMFDLVLLCHKGMPRKGGVQVLREALQNETSKNLQSSQSGSGKGRGKKQNKKEVVDLRTLLIHCAQAVAADDCRTANELLKQIRNHSSPFGDGTQRLAQCFADGLQARLAGTGSQIYQSLVAKRTTATDILKAYQLYLAACPFKKITHFLSNQTILNVSEKASRVHIIDFGIYFGFQWPCLIQRLAARPGGPPKLRITGIDVPQPGFRPTERIEETGRRLADYAHGFGVPFDYNSISSKWETITVEDLKLDKDEVLIINCLYRFRNLVDETVVVDSPRNKVLNTIRKANPDVFIHGIVNGSYSAPFFVTRFREALFHFSALFDMLDTNVPREDAQRLLIERDLFGREALNVISCEGSERVERPETYKQWQVRNLRAGFEQLPLDPDIMERAKDRVKSNYHKDFVIDEDCRWLLQGWKGRIIYAVSTWRPKWS >DRNTG_04337.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31161425:31165908:1 gene:DRNTG_04337 transcript:DRNTG_04337.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSYTNLLDLAAGNFSALSVGGGGTPRARRLPRVMTVPGIVSELDDEERSVSSDVPSSLAQDRIIIVSNQLPVRARRRPDGRGWSLSWDEESLLLQLRDGLPEDMEVLYVGSLRTDSPVDPSEHDDLSQTLLDRFKCVPAFLPQDLLEKFYHGFCKQRLWPLFHYLLPFPGPHHGGAGRFDRGLWEAYVLANKIYAQKVIEIISPEDDYVWVHDYHLLALPSFLRRRFLRLRMGFFLHSPFPSSEIYRTLPVREEILRSLLNCDLIGFHTFDYARHFLSCCSRMLGLEYQSRRGYIGLEFFGRTVGIKIMPVGVHMGQLESALGFPDREWRVAELKRQFEGKTVLLGVDDTDIFKGINLKLLAFEQMLKLHPKWQGRAVLVQIANPARGRGRDLDEIQVEIEDTCKRINEQFGNDGYRPVVYINHDVSFSERIAYYTIAECVVVTAVRDGMNLIPYEYIVCRQGISGSEASQDSGSSVKKSMLVVSEFIGCSPSLSGAIRINPWNTESTAEAMNEAISMSEAEKQLRHEKHYRYVSTHDVAYWSRSFMQDMERTCKDHFRRRCWGIGLGFGFRVVALDPNFRKLNTDVIASAYQKAKSRAILLDYDGTMMPQTSINKTPSEEVISIINKLCSDSKNIVFIVSGRGRDSLGKWFSPCKKLGIAAEHGYFLRWSGEDEWETYGQTNDFGWIQMAEPVMKLYTESTDGSSIETKESALVWHHQDADPDFGSSQAKEMLDHLESVLANEPVVVKSGQFIVEVKPQGVSKGLVAEKILSSMFEHGKQADFVLCIGDDRSDEEMFEGINGLVNKHLVAPNTSLFACTVGQKPSKAKYYLDDTSDVISMLQGLAEASEESSSPVFSDESELSPSSEVQTERLYV >DRNTG_18894.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2178157:2179684:-1 gene:DRNTG_18894 transcript:DRNTG_18894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPEPVMAVAAPPTTMVALENSKPIPTLAIAIPVVTVAVAPPTAIAMIPMTPPPPEGMVTVAVAAQETTTVIEKSTPALPERQRLTPFLLKTYQLVDDPAFNDVISWNTEGSAFVVRRPVEFARDLLPKFFKHCNFSSFIRQLNTYVSF >DRNTG_10685.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13910278:13912647:-1 gene:DRNTG_10685 transcript:DRNTG_10685.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component SEC15B [Source:Projected from Arabidopsis thaliana (AT4G02350) UniProtKB/Swiss-Prot;Acc:F4JHH5] MRQKLVPDLSPDPEKQDLILLSTAISNGDDLAPFVRRAFAAGRPDTLLHSLRTFSRSKDSEIQDLCRSHFHDFIAAVDNLRSLLSAVDSLKTSISDSDHVLQSVAGPLLSSLDAYIEARRISSNLSAALSSTRLCIRALSLCSKANSHLSSGNLYPALRAIDELERDLLHLIPSSTLQRMLHRRIPAIRAYAERAATRAFSDWMVQIRVAARGLGQLAIGRASAARQRDEDLRAKQRLAEEQSRSALVRSSCSYTLLPDDDDDLSSSSEVVVDLTPLYRAFHIHQTLRLGDRFKKYYFENRKLQLTSDFQVSSMTPFLESHQTFFAQIAGFFIVEDKILRTSGGLVSRADVDALWETAVAKMVSVLEDQFSRMQTANHLLLIKDYVSLLGVTLRRYHYIVDPLLEVLAKHRDKYHELLLSDCRKLIAEAIAADKFEPMLMKKEYEYSMNVLSFQIQTSDIVPAFPYVAPFSSTVPDCCRIVRSFIEDSVSFMSHGGQLDFYALVKKYLDRLLGDVLDGALLKLVVSSSLGVSQAMQVAANMAVMERACDFFFRHAAQLSGIPLRIAERGRREFPLKKSRDAVEGLLLTLLRGKIDEFMLNSDTIAWMADEPPLSGNEYANELIIYLETLVSTAQQILPVQVLRRVLQGVLAHISEKIVGLLLSDSVKRFNGNAVTGMDADLRLFESFAESQAYLFADSEDMGGNDLKQALTEARQLVNLLMSNNPENFMNPVIREKNYNKLDYKKVVTISEKFRDSSDRLFGTFGTRGARQNPKKKSLDALIKRLRDVS >DRNTG_15298.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4663937:4665761:-1 gene:DRNTG_15298 transcript:DRNTG_15298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKISLRVVLLLVAFVALGSAEIRSTKLRSDARPIIPFDDFGFTHAGTVEISVSELAFSDQALPHASLSQLGFFLSTRDAWVHVIQQLQNGDISCALQSHLIKPVFLLTSLPSPSDNSFSSSFNLSEPGQFTLLFANCLPNLRVSMSVKSSMFNFDPKSGRRAYLSVGLASLPRIYFLFFLVYTGLAVLWVFFLRRNRSTTLRIHHFMLALLVLKAINLLCEAEDKSYIERTGSAHGWDVLFYIFSFLKGITLFTLLVLIGTGWSFVKPYLQGKEKKVLMVVIPLQILANAAQVELDETGKFSPGWVTWRQVFLLVDVICCCAVLFPIVWSIKNLREAARTDGKAAVNLMKLMLFRQYYVVVICYIYFTRVIVYALSTITSYRYAWTSVVVAEFANLAFYVYTGYKFRPEAHNPYFVVDDEEEEAAAEALKLDDEFEL >DRNTG_26514.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2344129:2345031:1 gene:DRNTG_26514 transcript:DRNTG_26514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPKYAYPYPSQGYYQGPPVMAPPQYYAAPPPRRSSGFLEGCLAALCCCCLIDECCCDPSIIFVT >DRNTG_17631.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:9500564:9501881:1 gene:DRNTG_17631 transcript:DRNTG_17631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNQCLWLALFIFAVSVSGAISRAITDVPMSVRHEQWMAKYNRVYKDAAEKAHRFEIFKNNVEYIESVNNAGDRKYKLGINQFTDLTNQEFKATHTGFRPSKITISARKATGSFRYENVTAAPASVDWRTKGVVTPIKDQGQCGCCWAFSAVAAMEGITKISTGKLVSLSEQELVDCDTNEDEGCNGGLMDDAFQFIINNGGLTTESNYPYKASDGTCNTNKESSHAASISGFEDVPANSESSLLKAVIKQPVSVAIDAGEDDFQHYSSGVFTGECGTDLDHGVTLIGYGKTSDGTKYWLVKNSWGKSWGEKGYIRMERDVDAAEGLCGIAMQASYPTA >DRNTG_34994.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24072610:24074096:1 gene:DRNTG_34994 transcript:DRNTG_34994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASYITGQTISVDGGFTVNGFFNMHMA >DRNTG_34994.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24073386:24074096:1 gene:DRNTG_34994 transcript:DRNTG_34994.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNGECAGALNQLARNLACEWAKDNIRTNSVTPWFTKTPLVQFVLENEETLKYIQERTPLKRVAEPEDVSSLVAFLCMPAASYITGQTISVDGGFTVNGFFNMHMA >DRNTG_14647.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1200237:1201017:1 gene:DRNTG_14647 transcript:DRNTG_14647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTIEVETSIPASRMFKAAVLEWHNLGPKIVPEHIKSIDHIHGDGSAGSIRQINFTPVLPFPFAKERLDSIDHNNFEVKNTTIEGGDVGTKLECMSTHSKFTPTSSGGCIVKVTTTFKVLPGVKPGDEEAKAKEGITKAIKATEAYLLSHPTICA >DRNTG_26272.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15568826:15572265:1 gene:DRNTG_26272 transcript:DRNTG_26272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLKERALTGALAPAKGIRELSLGLLAVALVAARAPAGHPSMRVKKVAYKKARRDPSHPPNEPKFKNEEHKTRYALLSRKGFGTIQRIDWDVLKLLGLDGIILELISHSGWDKLFSIEEPTYKELTLEVLSITEVGKHCPFTHQPSSISFRAFGKKHRVTEDHLGVLLGLYTKAYTLTPRLKDLSHDFPYPVTSEKYWASMATCWKTRKVSQMSNPVHRYIHALLTRALLSVTPPHGTPCCALGPFSLDHTSHE >DRNTG_21224.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32740923:32742599:1 gene:DRNTG_21224 transcript:DRNTG_21224.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKCSSSSSSSSSIPSHEDQETNNNDNCFNTSLSVCFL >DRNTG_21224.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32740923:32742599:1 gene:DRNTG_21224 transcript:DRNTG_21224.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKCSSSSSSSSSIPSHEDQETNNNDNCFNTSLSELRALSSQLLHAADQCETAFLKSKHKKKLLEHTQSYLCQAVVTVIDHIGTVSFNLEQTLYDTIEVSETELRIDSLNHRLFSCQQFAVSLSLTSFRLSAEFLRHYPKYTSKYVKNCSIHHNRDRLAVAGSFLNDTKMKAKERLGKTETRLPAIPFTEHSPRLSKPFNALYGTQAMEAFKMGLCDHKKESVQKSPFLSVLRRNIQKKMKNSVAKDDVLIL >DRNTG_21224.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32740923:32742599:1 gene:DRNTG_21224 transcript:DRNTG_21224.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKCSSSSSSSSSIPSHEDQETNNNDNCFNTSLSVCFL >DRNTG_18354.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:17041294:17042972:-1 gene:DRNTG_18354 transcript:DRNTG_18354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKVEIIESCMVAPCEETPKHRLQLSNLDAFAPRDHMPTFFLYKPNNGDPNFFSVQILKKALSKVLVTFYPLAGELVFDEDGRPVVDCNTKGVLFSAASTSCTLDGFGDFRPSSTLDRLFIPSVTNPKRSCILLLFQLTFFKCGGVCLGCASHHSVIDGVTLFNFINTWSDIARGSNMIISAPPFLDCTVLRARSPPIVSFDHIEYTNCNFLEDKFGQECETTILTLSKAQLNTLKHGSHGERNLSTYKAVAVHLWSTACKARELADGQETRLYMWANARNRLKPPLSKGYLGNAVLKISTQLQVGDLVSKPFEFGIAKIDETINSVNDEYIRSLVDLWEKHKGEKEKIKGSRSYRTVDFLVVSWLSLPTYEADFGWGKPWFMGKASMKYAGQAYVMRGGPGNNGGVSIAIALESENMPRFKKMVHMPLEAKI >DRNTG_16321.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1561738:1562443:-1 gene:DRNTG_16321 transcript:DRNTG_16321.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTVFLQTWEHWTRGTISEILDPSLCDQWPRSEALRCIQIGLLCVQEDPANRPTMSMVVLMLNSYSVSLQSPSKPAFCVGLSGGMDSDAFQKGTNVSTDRFEGSVPMTPNEVTISEMEPR >DRNTG_09322.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1015876:1016207:1 gene:DRNTG_09322 transcript:DRNTG_09322.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVLMLASEDAAIPKPKQPGFVLRKGYSEGDSSSSKPEFQTGNDLTFTMSIG >DRNTG_25917.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19941118:19941454:1 gene:DRNTG_25917 transcript:DRNTG_25917.1 gene_biotype:protein_coding transcript_biotype:protein_coding KHISAQQSHHHHHQLIKINNQKTYSAGGKKPIREKPRSEN >DRNTG_15301.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4669331:4688061:1 gene:DRNTG_15301 transcript:DRNTG_15301.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKDSSFLQLHSIKTHSHNQHKMHTKTVQKCKLETTMLLSQLLNFITTTFIILVFSTTATAANLSLPHPLISFLESLPQSSQRLLLWNNTLPPCQWPGVSCSSNSTSITSIDVSSLGLSGQLSSSAPHLCRITTLREIILSYNNFSGPIPPSLFHCPSLTSLRLGFNSLSGPIPPDVLLATHLTELVLSNNFLSGSIPKQLLRLPHLQLLNLHSNNLTGPLPDFPPSCSVSKLNLNKNILSGPLPPTLSNCVNLTEFLVSSNKLSGIITSDAFVGLRSLQCLFMENNDFTGELPRSLLGLTGLTVLVLSKNQFNGTIPEAIGHLQSLTEIFLWGNNLTGPIPRSVGTLALLKTLELSSNQLVGPLPSELGNCSSLVELLLQFNLIGGPIPPEISNLKKLEKLYLFDNELEGIIPPQIGNMTSLIDLQLYNNSLSFRIPAEVVYLRNLRYMSLAFNKLSGEVPGELGRNLSYGLVKLDLTGNDFHGLIPPYLCEGG >DRNTG_15301.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4669331:4703604:1 gene:DRNTG_15301 transcript:DRNTG_15301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKDSSFLQLHSIKTHSHNQHKMHTKTVQKCKLETTMLLSQLLNFITTTFIILVFSTTATAANLSLPHPLISFLESLPQSSQRLLLWNNTLPPCQWPGVSCSSNSTSITSIDVSSLGLSGQLSSSAPHLCRITTLREIILSYNNFSGPIPPSLFHCPSLTSLRLGFNSLSGPIPPDVLLATHLTELVLSNNFLSGSIPKQLLRLPHLQLLNLHSNNLTGPLPDFPPSCSVSKLNLNKNILSGPLPPTLSNCVNLTEFLVSSNKLSGIITSDAFVGLRSLQCLFMENNDFTGELPRSLLGLTGLTVLVLSKNQFNGTIPEAIGHLQSLTEIFLWGNNLTGPIPRSVGTLALLKTLELSSNQLVGPLPSELGNCSSLVELLLQFNLIGGPIPPEISNLKKLEKLYLFDNELEGIIPPQIGNMTSLIDLQLYNNSLSFRIPAEVVYLRNLRYMSLAFNKLSGEVPGELGRNLSYGLVKLDLTGNDFHGLIPPYLCEGGKLYALVIGINRFNGSFPTSIAGCSSLWRFTSKNNLLHGSIPDNMPANPGISYMDLSNNFFDGHIPSILGSWTNLSMLNISNNLLSGSIPPELGNLKKLGKLSLSANKLNGSIPSELGNCTELLELVLSNNLLSGRIPAEIVVLDKLRNLLLSGNKFSGGIPDFFTPTQDLFRIAAW >DRNTG_15301.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4669331:4673271:1 gene:DRNTG_15301 transcript:DRNTG_15301.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKDSSFLQLHSIKTHSHNQHKMHTKTVQKCKLETTMLLSQLLNFITTTFIILVFSTTATAANLSLPHPLISFLESLPQSSQRLLLWNNTLPPCQWPGVSCSSNSTSITSIDVSSLGLSGQLSSSAPHLCRITTLREIILSYNNFSGPIPPSLFHCPSLTSLRLGFNSLSGPIPPDVLLATHLTELVLSNNFLSGSIPKQLLRLPHLQLLNLHSNNLTGPLPDFPPSCSVSKLNLNKNILSGPLPPTLSNCVNLTEFLVSSNKLSGIITSDAFVGLRSLQCLFMENNDFTGELPRSLLGLTGLTVLVLSKNQFNGTIPEAIGHLQSLTEIFLWGNNLTGPIPRSVGTLALLKTLELSSNQLVGPLPSELGNCSSLVELLLQFNLIGGPIPPEISNLKKLEKLYLFDNELEGIIPPQIGNMTSLIDLQLYNNSLSFRIPAEVVYLRNLRYMSLAFNKLSGEVPGELGRNLSYGLVKLDLTGNDFHGLIPPYLCEGG >DRNTG_34314.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4368024:4371962:-1 gene:DRNTG_34314 transcript:DRNTG_34314.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGEINEEFELNEVRDNIVSSVGSRLSLFSKEFGFGRYKWETSSALKLPKDCYRGFVIHPNGRLYRVWVNMIFLWSIYSTFFTPLEFGFFRGLPLHLTDLESVQIVFLADVVLQFFVAYLDPHTYKMVHDRNSIALRYAKGSFLLDFLGCFPWDFIYKVTGRREVIRCMIWIRLYRARNITHFFKRMEKDIRINYLFTRIVKLITVELYCTHTAACIFYYLATTIPPAEEGYTWIGSLTMGDYKYINFREIDFWTRYITSLYFAIVTMATVGYGDIHAVNTREMIFIMIYISFDMILGAYLIGNMTALIVKGSKTERFRDKMTDLIKYMNRNNLGKDIRSQVKNHLRLQYESTYTKSRILEDIPVAIRSKISQNLYIETVQGVPLFKGCSDEFLNQIVMKLNEEFFLPGEVIIEQGSAVDQIYIVSHGELEEVVIGEDGTEESIADLVPYDVFGEVAVLCNIPQPYTVRVSELCKLLRMEKQSLTSILQLYFKDSRQILSNLLKGKETDLRIKQLESDITYLIAKQEAELALSVNSAAYHGDLYHLKGLINAGADPSKTDYDGRTALHMAASKGYEDIVRFLAQRGANINSIDKFGNSPLLEAVKGGHDRVAALLVENGAILDIQDAGSYLCKIVIGSKIEVLRRLLENGVDPNSKNYDQRTPLHVAAAEGLHLLAKILIEFGADVLSMDRWGNTPIDEGQKCGSKPLMKILEAARATHL >DRNTG_16198.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12710443:12715865:-1 gene:DRNTG_16198 transcript:DRNTG_16198.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGSEEAKVERKTVEKNRRIHMKHLCFKLSKLIPKEDKKSSMQASTASNDLDQAACYIKKLKERIENLKQKKNATIKTEELNNENNGAKMIELNRLPLIEIKELGSVLEVVLISELEKPLHFSQGHFRS >DRNTG_16198.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12710443:12715865:-1 gene:DRNTG_16198 transcript:DRNTG_16198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGSEEAKVERKTVEKNRRIHMKHLCFKLSKLIPKEDKKSSMQASTASNDLDQAACYIKKLKERIENLKQKKNATIKTEELNNENNGAKMIELNRLPLIEIKELGSVLEVVLISELEKPLHFSQGHFRS >DRNTG_16198.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12710443:12715865:-1 gene:DRNTG_16198 transcript:DRNTG_16198.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGSEEAKVERKTVEKNRRIHMKHLCFKLSKLIPKEDKKSSMQASTASNDLDQAACYIKKLKERIENLKQKKNATIKTEELNNENNGAKMIELNRLPLIEIKELGSVLEVVLISELEKPLHFSQGHFRS >DRNTG_29643.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2930787:2946801:1 gene:DRNTG_29643 transcript:DRNTG_29643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKQQLRELGSKLDSPPASKDALIKLLKQAAKYLSELDQSQWSSMSDFMKPFLNAVAKKEYLNHQDRDVKVLVATCICEITRITAPEAPYSDDVLKDIFNLIVGTLSGLSDINSPSFGRRVLILETLARYRSCVVMLDLECHDLINEMFNTFFAVVSDDHPENVLTSMQTIMVLILEESEDIQENLLNTILSVLGRKRKDISMAARKLSMKVIELCTVKLEPGIKQFLVSALSGDSSYLKTQIEYHEVIYDLYQCAPKILHSIIPYLTGELLTDKLDIRQKAVNLLGDLFALPGCPISEPFQPLFSEFLKRLTDRVVDVRVSVIEHVKNCLLSNPSRPEASQIISALSDRLMDYDENVRKHVVAAICDVACHSLKGFPTETVKLVADRLRDKSLAVKGYAMERLAELYKLYCLKSVDDSISRDGFEWIPGKIVRCLYDKDLRSETIEQILCGSLFPPEMATKDMVEHWTAVFSGLDKVEVKALDQLLMQKQRLQQELQSYLSLRQRYQEGGTVDIQKKISACIRSMSRLFNDPVKAEESFQILNQLKDMNIWKLLKTLLDPCTTFRHAWTVRDDLLKILGEKHPLYDFMGILSIKCSYLFFNQEYVKEILSMASQQNSSGNRKLLASSMNLLAMIGCFSPLLLAGSEEALVNLLKEDNDITKEGIAHVLAKAGGTIRENLGSTSSAVDLLLERLCLEGSRKQAKYSVQALAAITQDDGLKSLSVLYKRLVDSLEEKTHLPSILQSLGCIAQTAMPVFETREDEIIEYIRSKILEQSNVITAGSKDKPDWNEIGEICLLKIFSIKTLVKSYLPHKDAHLRPGIEKLLEILKNILAFGEVSKDIKSNDVDKAHMRLASAKAVLRLSRQWEHKIPVDVFYLTLSISEDPYTESRRIFFSKVNQYIKERHLDPKYACAFLLNMTEWNSTEVKEVKHNLLELIQICRQVKARQLSRQTDVSSVLPYPEHILVYLVHALVHHPSFPNMNDCKEIHAFDHIYRRLHLFLSVLLLGDESGKSGGGNVNQKKESTTAVTTIFHSIKCSEDVVDPEKSKVSHVLCELGLSIVKSLIHDEMVTSETSTISLPPSLYKLSESNGETSLDDKEFEWLYGASAMAHYEALNTPNKEQAGSDSGKNEMALEDSDREGNELPLSKMMKILKSQGSKKKKIVKKNTLTPDTKLPESEFDVLGMVREINLDSREQARTMETEDLTSPEYYNCGPKSTKNKDKVVLSPQKRKGNKAVIALSVPTPKRKRSASVHRSHSKSPKVLNDNRKFPYLQPIIMDEKPSISSKKRLSVEKDLGGSIASDVSPFSSGRKEPEISDLKPGVSNNLKKPTTQMEEDKKTSSSKSKKRKRRSIAGLAKCSYSVDENDELVGSRIKVWWPLDRKFYEGMVQSYDPGKKKHTILYDDGDIEVLDLDKERWEPLSNGCSPRKLPKLENLLSDKELSGKKPKGKSKTIGTQNKNSVKKIKRKTTKKEPVGKNRNMLSGGDSSADSVVSGSSGNDSDLSNAHPNSISEVDDANSDEPEEQTVDEQVSEPEENTKQMSDDEPDNPMEEEKPDSSHSADKEDSEDDDDDQPLGAWKQRAGKAG >DRNTG_16239.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5929116:5929919:1 gene:DRNTG_16239 transcript:DRNTG_16239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPKKRPKNTLMRWRLPNPSSPRSFGS >DRNTG_34847.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28931482:28931813:-1 gene:DRNTG_34847 transcript:DRNTG_34847.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAEHIPRRSESEPLSPTRVVGQRRNGEVYEVILYFGIIDILQDYDISKKLEHAYKSLQVDPASISAVDPKLYSKRFREFLSRIFMEDE >DRNTG_34847.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28931482:28933098:-1 gene:DRNTG_34847 transcript:DRNTG_34847.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLFCSEYRIHRRFDLKGSSHGRTTDKAEEEIDETTTLKDLDLNFVFRLHKYWFEELLRQIDRDCEFLEAERIMDYSLLVGVHFRDDASASKMGLSRFNVSPKSSGKTNSLLDGDMVEFCLSESEQDWPNGRNTLIRLGANMPATAEHIPRRSESEPLSPTRVVGQRRNGEVYEVILYFGIIDILQDYDISKKLEHAYKSLQVDPASISAVDPKLYSKRFREFLSRIFMEDE >DRNTG_34847.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28931482:28933833:-1 gene:DRNTG_34847 transcript:DRNTG_34847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLFCSEYRIHRRFDLKGSSHGRTTDKAEEEIDETTTLKDLDLNFVFRLHKYWFEELLRQIDRDCEFLEAERIMDYSLLVGVHFRDDASASKMGLSRFNVSPKSSGKTNSLLDGDMVEFCLSESEQDWPNGRNTLIRLGANMPATAEHIPRRSESEPLSPTRVVGQRRNGEVYEVILYFGIIDILQDYDISKKLEHAYKSLQVDPASISAVDPKLYSKRFREFLSRIFMEDE >DRNTG_13221.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2733845:2735202:-1 gene:DRNTG_13221 transcript:DRNTG_13221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISNKYSHSEITLHTSKKDCWLVIHGKVYDVTTFLEDHPGGEDVLLHASASGDATQSFEDVGHSSAATSLMEGYLIGVVEGYNGGSGGAPKAREGGAMRARAMQERGPPSSNFLDYILPLFILAVAFGAWYYLTHYAHDKATY >DRNTG_02110.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10766801:10771822:-1 gene:DRNTG_02110 transcript:DRNTG_02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEFLREEKVTHSSACPADGNHSEVESWKHDAGAQVENLEESRKHDAGARFVLKSKGSWLHCGYHLTTSIVAPALLSLPFAFSSLGWVGGVVCLIVGALVTFYSYNLLSLVLEHYAKRGHRQLRFRDLTNDILGEKWGRYYVGPIQFMVCFGAVIGAALLGGQSMKSIYLVSRPYGSMELYVFVVIFGMFMLILAQIPSFHSLRHINLISLLLCLAYSACATGGSIYVGHSAQAPPKDYSVVGDSQDRVFGAFNAIAIIATTYGNGIIPEIQATAAPPVTGKMFKGLCLCYTIVIVTFFNVAVSGYWAFGNKADSTIFSNFIKDNGHTLVPKWFFLMSNIFVLLQLSAVGVVYLQPTNEVLEGFFANPEKNQYSARNVIPRLVFRSLSIIIATTIAAMLPFFGDINAVIGAFGFLPLDFVVPFIFYNITFKPSTRGAIFWVNTIIAIVFSVFSIIGSISAVRQVVLDAKNYKLFANL >DRNTG_07759.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19423308:19424617:1 gene:DRNTG_07759 transcript:DRNTG_07759.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLSMIEGFDQESLSFLSKVTSSSGLGEETYFPPSLRHLPPRTDHKNCIQEAHMLFFPILQDLFSKTRISPQEIDILVLNCSAFCSSPSLSSIIVNRFAMRDNVKTFNLSGMGCSAGVISIDIARTLLQLNRGSFALIISTEVLSTGWYSGKDQRKLLLNCVFRSGSAAVLLTNKKP >DRNTG_34398.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25934704:25936308:-1 gene:DRNTG_34398 transcript:DRNTG_34398.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDQEAQKHRYVVKKVLAKPQHEGAGAIVRRSIGRYELRNLDPFLLLDELSASPPAGFPDHPHRGFETVTYMLQGAVTHQDFSGNKGTIKAGDLQWMTAGRGIVHSEMPAGEGVHRGLQLWINLSSKDKMMEPRYQELQSKDIREVEKDGVHVRIIAGEAFGVRSPIYTQTPTMFLDFTVKPGAQLDQHIPDTWTAFAYILEGEAVFGIPSKPKCSSSSVTSHHAVVLSLGDVVTVRNASDKTLRFVLIGGQPLNEPVVQYGPFVMNTEEEIQKTIEDYHLFRNGFENAKHWKI >DRNTG_22965.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16385685:16394746:-1 gene:DRNTG_22965 transcript:DRNTG_22965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYGNGGIWDFEAKILVDGDGGVILGLDGGTTSTVCVCMPAAMPVVAGCLPEPLPILSRAIAGCTNHNSIGENAARETLEKVMAQALSDAHANRSVVRAVCLAVSGVNHPSDQERIQDWLRAIFPSHVKLLVENDAVAAMASGTMGKLHGCVLIAGTGTIAYGYNEDGRKARAAGAGPILGDWGRWTYADPSWARIAALVPVVVSSAENGDEVANKILHHSALELADSVKAVVQRLSLCGEDGKGSFPLVMVGGVLEGNKGWDIGKEVVKHISKVYPGVQPIQPKVEPAVGAALLAWNCFMEELTN >DRNTG_11316.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:9344439:9346752:1 gene:DRNTG_11316 transcript:DRNTG_11316.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPRLRSPLATTPPVVSRLMVNQEKTDTRAKWNENHRAHLVKLLGDYNVPAYSSQNGWTKEAWNRILRDMVTKFRNLKCTVVQVKALEQELKKIYKLLKGFTELSGFGWDYEKKYGRSH >DRNTG_22798.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3845788:3852740:1 gene:DRNTG_22798 transcript:DRNTG_22798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFFSTPSIHSSRLLLHGFRINGVSSSLPLSRTLHCSHVAMASTASQSSQTVESGDAGGGSDVFQLIHAHQAAAARLSPIEEARTLLNYSVRGVLSSFSKDHEGYPSGSMVDFACDDNGSPILAVSSLAVHSKNLLCNPKCSLLIAKDPQDRTDVVITLHGDAIPVSEKDREAVRSVYLRKLPDAFWVDFGDFHFIQIKPKFVRYVTGVATALLGSGEFGAEEFKAAKVDPIFQFSAPITSHMNKDHPEDTKAIVEYSTSVKVDSAYMLDVDSLGFNVKAGFQGSTLKLRIPFPRRAEDRKDVKTLIVEMLQAARASS >DRNTG_19648.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3062654:3066648:-1 gene:DRNTG_19648 transcript:DRNTG_19648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGRSMLYSLLLFAVTFSLLEMYRGKLASSELLTIAGGFISSLLFVLLLTFIGNYQESYGARTGWGAVFLAEFVALVVASTVHRVCITTCCLFI >DRNTG_04106.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3522435:3523940:-1 gene:DRNTG_04106 transcript:DRNTG_04106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLFFIFILVTISLALLINQRETRRRLPPSPPRIPVLGNLLWITKPFAQLEPTIHHLRAKYGPIFTLYVGSRPVIFIIDGKQVHQSLIENGEVFADRPRPLSSSDLNTNLRSINNTPYGSLWRLLRRSLVSDVIQPCKAANSSTHVQRMALDILINGLKNEAKANGGVVIPVNIIEHCVSFLMTGLCFGVTLEEKVVDHIKNLQKELFSLVDKHFALNLLPKMALLLFWRRLGRLKQLLRAQEELLIPIIRARKQRDHNSHVISYVDSLLELRVPNGVGGTRELSEEDIVSFCSEFLDASIWSISSALEWILASLVKHQDIQEKLKKEIRGVVGDEKRQVDVDELQRMPYLKAVIFEGLRRHPPAHFVIPHRVKKDVLMDQHLIPKDTVVNFVVTSIGLDERVWEEPLEFRPERFMAGGEGEGVDVQCGKSEIKMMPFGAGRRMCPGSDLAMLQIQYLVANLINVMELKQVEGMEVDLSEEAEILIPMKYPFHARIKVTL >DRNTG_34941.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16977665:16983245:1 gene:DRNTG_34941 transcript:DRNTG_34941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAQSPKPHHIVAMPFPGRGLINPLLNLSCHLSTHGLLVTVVLTEEWLGLLSTSPTPPHPVVQFRSIPNVIPSEHGRAADYNGFLNAVNSKLEEPFERLLEDLDPPPAAILADTHLLWMVSVGERRRLPVYSLWTMPAAFFSLFYGFEDIFGGDCTVASEEIDKILEQHVKGLNLGDVSFVICSATIKKWVLDTLFWARGAKGVVFASIYELEPHIIDSLKSKLSCPVYTVGPCIPYLTLKDKPCNSDYMQWLDSQPINSVLYLSLGSFLSVSVAQMDEIVTGLHESGIRFLMVARGDTSHVQAKMGSTGLVVQWCDQLKVLCHPSIGGFFTHCGWNSTLECLFAGKPMLTFPLFLDQPLNCKLIANVWKVGLNVKEEIGDGCLVGGKVIAKFAKRLMDVEGMEGKEMRKKAVELSEVVHRAVEEGGSSYCSISAFVEDVCSSF >DRNTG_29274.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28617781:28625017:1 gene:DRNTG_29274 transcript:DRNTG_29274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLVNDGNFYEAQQMYKTYSARYAAAQRYSEALDILEAGALIQLNHGQVTCGSELANIFVETLVKGTFAFSEEILGRLRKIYEAFPKIPVPQHLDDDDDMQKLSEALVAAKIRVEGCSSFLRAAIKWSMEFGSGRSGCPELHDMLAEYIYSESPELDMAKVSAHFVRGNNPGKFVDILMNFMGKCYPGEDDIAIARAILMYLSQGNLLDANYIMDELKKQSRSKNIEFPVSDLIQLIVYLLRTLERDALPLFRILRQKYKSSIDREPLFEELLDEIAEKFYGVQRRSGLQGMFGDFFKMMGGDAAMQL >DRNTG_29274.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28617781:28625017:1 gene:DRNTG_29274 transcript:DRNTG_29274.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLVNDGNFYEAQQMYKTYSARYAAAQRYSEALDILEAGALIQLNHGQVTCGSELANIFVETLVKGTFAFSEEILGRLRKIYEAFPKIPVPQHLDDDDDMQKLSEALVAAKIRVEGCSSFLRAAIKWSMEFGSGRSGCPELHDMLAEYIYSESPELDMAKVSAHFVRGNNPGKFVDILMNFMGKCYPGEDDIAIARAILMYLSQGNLLDANYIMDELKKQSRSKNIEFPVSDLIQLIVYLLRTLERDALPLFRILRQKYKSSIDREPLFEEVSTLLTKND >DRNTG_02555.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5151047:5157999:-1 gene:DRNTG_02555 transcript:DRNTG_02555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPLLLCLHYSCSSTKVQSGPRNVKGKTMAVPKECLRSDIKGKCPGTTCPGHGGVTPTENH >DRNTG_31686.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18476220:18480802:1 gene:DRNTG_31686 transcript:DRNTG_31686.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVAFRPELFLSLQSSSSKRGGVVRSQRVSMASTIPSSKVENQKKPYKPPREVHVQVTHSMPPQKIEIFKSLEDWADDNILVHLKPVEKCWQPQDFLPDPSSEGFYEQVNELRERAKEIPDDYYVCLVGDMVTEEALPTYQTMLNTLDGVRDETGASLTSWATWTRAWTAEENRHGDLLNKYLYLCGRVDMKPIEKTIQYLIGSGMDPMTENSPYLGFIYTSFQERATFISHGNTARHAKEYGDVNLAQICGIIASDEKRHETAYTKIVEKLFEIDPDGTILAFADMMRKKISMPAHLMYDGQDDSLFQNFSSVAQRLGVYTAKDYANILEFLVDRWKVDQLTGLSGDSSKAQDYICTLAPRIRRLGERAHGKAKQASTKSFSWIHGREVQL >DRNTG_26888.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21412671:21417791:1 gene:DRNTG_26888 transcript:DRNTG_26888.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Choline transporter protein 1 [Source:Projected from Arabidopsis thaliana (AT3G15380) UniProtKB/Swiss-Prot;Acc:Q94AN2] MGGPLGAIIGRYPTESDGDGDMAGGGIIRHNRKCRDLVFLVIFIAFWVAMIVNSSFGFNQGNPLRLTYGLDYKGNVCGDKHADPDLRELEVRYWMNPNQVYESGLKSSQFKLADARSICLMECPVPSDDGLNWICDYPEGDIRLTVDDWIDRDYDYYEFLTPEMRNSSLQLQGPCYPVIYPSVNVLWSCQFIARASNVSLRHWQQMGGANIQEDIIIDKTIHKAINSPSAVLKRYVADIGKAWPVLIVCGGILPLFLAVFWLLMIRHFVSGMTWITVILFNALVISVTMLYYAKAGWIGNDAVSVVIGVSDPYVNISGRELNHLRAIAVLMTVVMIVAFLSSIAIVRRILMATSVLKVAAKVIGEVQALIIFPILPYAILAIFYMFWLSAALHLFSSGQISRNDCNANCCSFDLKANKVNCDNCCGYSIHYTPHIAAAILFHLFGCYWATQFIIASSSTVIAGSVASYYWARGEISEIPFLPVFSSLKRLMRYSLGSVALGSLVVSIVESVRFILESIRRRLKHTDTTNLSRIGKMSSFSSNCCLGCIDWTIRSVNRNAYIMIAITGKSFCKASAIATGFDHE >DRNTG_34438.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21254936:21257816:1 gene:DRNTG_34438 transcript:DRNTG_34438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVVFPELSFPMDISSFSQIDPFHWLLDLSSMAGEAYHSVKELCIFLLNPNSLPAGKALAVYAQPPSGPFLFCGALHGSRPSALLRLPWPDPADVAATPAGSSAKIGVSIEDLAALPAVADAGKEEAAARVALKVGENLFNFMQSFCGVDGNRLVVPMDILDQWFKKFQERSRKDPTYLKAFGL >DRNTG_00071.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21817715:21819280:-1 gene:DRNTG_00071 transcript:DRNTG_00071.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELRLVQGSLLKKVLEAIKELVTDANFDCSATGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNLSMGMNLNNVSKMLRCAGNDDIITLKADDGSDTVTFMFESPNQDKIADFEMKLMDIDSEHLGIPEAEYHAIVKMPSAEFARICKDLSSIGDTVVISVTKEGVKFSTRGDIGNANIVCRQNTTVDKPEEATIIEMQEPVSLTFALRYMNSFTKATPLSNTVTISLSSELPVVVEYKVADMGYIRFYLAPKIEDDEDMKPEV >DRNTG_19763.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6193287:6194636:1 gene:DRNTG_19763 transcript:DRNTG_19763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKIHCSEGMHLSNQQKGSSDGIDRNDNLESLESSEMFTMRHTSSNDSSSIVLTELFATGASPLGGELVPNGCENQDESLHMELLDDKSEDLYLIVKKLENLLIDQQKEFLELKKKHDMAVAETLKELHPALQSKALNGCRLKIPVYNIAYIEDEGHPANP >DRNTG_03251.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000149.1:28270:29615:1 gene:DRNTG_03251 transcript:DRNTG_03251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDVPKNLQTLVGLNAGPWIENTLPKLTNLCELSINEVPNKHVDALASSLQKLGRLASFSIINYPYSRNAIRLDNIITAFSNQHCLKKLYLKGCLKSEQLPDNDEFPQQLVELHLSYVGLKQDPMATLEKLTRLKHLRLDRAYRGEKMKCSATGFPQLLSFRISCLDKLEKWKIEENAMPCLKSLGIYDCSRLKMIPEGLKNVPLRQLKLSDMPEEFKTRMKENTGEDWYKIQHVPNISIK >DRNTG_25437.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24742636:24745879:-1 gene:DRNTG_25437 transcript:DRNTG_25437.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLFKTLKFHRPFLSSIARNPARCSPFSPFSSASSRPLASESQDKERGGWARLFLCLPGAITFGLGTWQLFRRQEKMEMLDYRKNRLEMEPILWNKLKSCSGSDLDDSLEFRKVLCEGVFDEEKSVYIGPRSRSISGVTENGYYVITPLFPTPNQSDSCLCL >DRNTG_25437.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24742636:24745879:-1 gene:DRNTG_25437 transcript:DRNTG_25437.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLFKTLKFHRPFLSSIARNPARCSPFSPFSSASSRPLASESQDKERGGWARLFLCLPGAITFGLGTWQLFRRQEKMEMLDYRKNRLEMEPILWNKLKSCSGSDLDDSLEFRKVLCEGVFDEEKSVYIGPRSRSISGVTENGYYVITPLFPTPNQSDSCLCL >DRNTG_25437.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24742636:24745879:-1 gene:DRNTG_25437 transcript:DRNTG_25437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLFKTLKFHRPFLSSIARNPARCSPFSPFSSASSRPLASESQDKERGGWARLFLCLPGAITFGLGTWQLFRRQEKMEMLDYRKNRLEMEPILWNKLKSCSGSDLDDSLEFRKVLCEGVFDEEKSVYIGPRSRSISGVTENGYYVITPLFPTPNQSDSVQLPVLVNRGWVPRGWRDKDAHNSQDFEKAKIEKKSDFENIEEAPWWKFWSKKHTISKNEEPVVTPIRVIGVVRGSEKPSIFVPANDPNSGQWFYVDVPMIAHASGLPEDTLYIEDINENVNPTHPYPLPKDVNSLINHSVMPRDHLNYVITWYSLSAAVTYMAVKRIRPKKIRQ >DRNTG_04411.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000206.1:15943:17423:-1 gene:DRNTG_04411 transcript:DRNTG_04411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFNKGQWARRGNKKTQGNSMYANDGSLLNFVCW >DRNTG_10939.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:128838:133801:1 gene:DRNTG_10939 transcript:DRNTG_10939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEISNGISKKEMKIPEIKFTKLFINGSFLDSYSGKTFETIDPRNGEVIAKISEGNKEDVDLAVKAARQAFDHGKWPRMSGYERGRIMMKFADLIEQHKEELAALDSIDAGKLFAAGVHGDIPHSLNLLRYYAGAADKIHGETLKLAGEYQGYTLKEPIGVVGHIIPWNFPTTMFFLKVSPALAAGCTMIVKPAEQTPLSALFYAHLAKEAGIPDGVLNVVNGFGHTAGAAISSHMDVDAVSFTGSTEVGRLIMEAAAKSNLKSVSLELGGKSPLIIFDDADLDMAVSLASLAIFYNKGEICVAGSRVFVQEKIYDAFVKKAAENAKNWVVGDPFDPRVQQGPQVDKQQFEKVLSYIEHGKREGATLLTGGKPCCGKGYYIEPTIFTDVKEEMMIAKDEIFGPVMSLMKFKTIEEAIEKANATKYGLAAGIVTKDLNIANKVSRSVRAGSIWINCYFAFDADAPFGGYKLSGFGRDLGLNALDKYLQVKSVVTPLYNSPWL >DRNTG_16568.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19484767:19486583:-1 gene:DRNTG_16568 transcript:DRNTG_16568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYDLDITPFLGMLLDGRPHEFSFGVHDSQPYWLVGANLHVWVDVWSDVTQAGLIDYNAPPLKVNRNAAWRNQDGQSEIDAEGLLRFIGWVSSSKGNLTTVVRQKIKFKSQVEVQNRGAVKQVEMINKGRMTVGLMKGNQGLARVQLMVDAPMQVQTSSVNTASGAVFQKTRLYHQLQEIVELSEGQAVSVATLTDRQDAEGSALLHEGEAVWGNGATRSSYKFRDDTTCYIRAVNTAGGLVQFDTTSGSCLKLGEEGKRSAS >DRNTG_09251.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:417670:418780:-1 gene:DRNTG_09251 transcript:DRNTG_09251.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDLPLWNLRYTLPVKKQLPRSHNSSSSSSSFYEEKERNLP >DRNTG_09251.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:417670:420151:-1 gene:DRNTG_09251 transcript:DRNTG_09251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDLPLWNLRYTLPVKKQLPRSHNSSSSSSSFYEEKERNLP >DRNTG_09251.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:418206:420151:-1 gene:DRNTG_09251 transcript:DRNTG_09251.2 gene_biotype:protein_coding transcript_biotype:protein_coding FSLFLETSASRRRSPPGSRDLAGGGEPDEGLAGGDDGRFGGVASVQASHI >DRNTG_05812.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19065188:19070143:1 gene:DRNTG_05812 transcript:DRNTG_05812.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFIKRAPPQIVDAMRQTVTNMIGTLPPQFFAVTVTTVAENLAQLMYSVMMTGYMFRNAQYRLELQQSLEQAALLDTKEVKDETVYAPGTQKKVIGEVIRWNKTSGPETMDAVKYIELLEAEIEELKSQVIRKTANGQNDLLDYLKTLEPQNLKELTSSAGEDVVFAMNSFIKRLLAVSDPAQLKHSQH >DRNTG_27602.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16607790:16608431:1 gene:DRNTG_27602 transcript:DRNTG_27602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTTKPPVKFARRTSSGRVVSLSRDDDIDISLSGEFTASTSGGPNDYMNYTVLMPPTPDNQPYFASSGASTSKPDDHPLPPYGQNAKRRGGGGGGEDGSTSGAKMERMMSVMNSTKSMLLRSQTGDFDHNRWLFETKGTYGIGNAFWPQDNGNFAEEGDGVCMTDFLDKPWKPLTRKVRIPTGILSPYRYVYGYIYIYLYILLFTLCNTKNK >DRNTG_01172.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29116952:29117562:1 gene:DRNTG_01172 transcript:DRNTG_01172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVEALCCSSLSSLSVLLLLLFVSGQKLHLVQGEKTWCVAKPSADDATLLANLNYACSQVDCSVLQKSCSCFYPDNLISHASIVMNLYYQCKGRNNWNCYFNNSALIVITDPSYGNCAYA >DRNTG_20136.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:21541292:21541906:-1 gene:DRNTG_20136 transcript:DRNTG_20136.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEVKIEVVPPPQVPVDGDMANDWRKKEEDATPEDHHVSPDVEYWLFTSNENAIIINAHALEIPGSPSESKLSISDNKTGESEIYHLVVLP >DRNTG_09785.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23390574:23391306:-1 gene:DRNTG_09785 transcript:DRNTG_09785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAWKHGSDGQGILSRVSSSIANSPVVYRSKKAAGEAATVGKKLLWSTGRAAWIAGTTFLVLIVPLIIEMDREQQLNELELQQASILGTPPPK >DRNTG_09785.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23390707:23391306:-1 gene:DRNTG_09785 transcript:DRNTG_09785.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAWKHGSDGQGILSRVSSSIANSPVVYRSKKAAGEAATVGKKLLWSTGRAAWIAGTTFLVLIVPLIIEMDREQQLNELELQQASILGTPPPK >DRNTG_09785.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23390759:23391306:-1 gene:DRNTG_09785 transcript:DRNTG_09785.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAWKHGSDGQGILSRVSSSIANSPVVYRSKKAAGEAATVGKKLLWSTGRAAWIAGTTFLVLIVPLIIEMDREQQLNELELQQASILGTPPPK >DRNTG_22736.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31590448:31593093:1 gene:DRNTG_22736 transcript:DRNTG_22736.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGSRGDIESGLRDFIPGRRAIRWHSAARPVHSNSMTFLVAVLLLFMILNSHQLSPNFLLWLALGVFLMATSLRMYATCQQMQAQAQAHAAAASGLLGHTELRLHVPPSIAFAPRGRLQGLRLQLALLDREFDDLDYDTLRALDSDNSPEVPSMSEEEINALPVHKYKAQLHQGSSSVPKSNGTSGQQGTSYSVALTEKRLDNNKPDGNIKAPEDELTCSVCLEQVNVGELVRSLPCLHQFHSNCIDPWLRQRGTCPVCKYKVSSGWQDRETGEPDASYMV >DRNTG_20284.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5194103:5202481:1 gene:DRNTG_20284 transcript:DRNTG_20284.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLFFSRSSASDGEDAKNLSRIMVGGLFLRDTFSHPPCTLIQPSMQAVPVESLQVPEFGENFCPPIYPLGEELWQLNMGVPLICLHSLQIKPSPAPPSFASQTVIDCQPLMISLQEESCFRISSFLADGIVVNPGAVLPDFSVNSFIFNLKEFDLFVPIDAGKNADLVGSGNNYSSSQFYGARLHVEDLYFAQSPSIKCKLLNLEKDPACFSLWEYQPIDASQRKWTTQASHLSLSLETSSGLEMQKSSMDWSAGLWRCIELQEICFEAAMVTADGNPLLDVPPPEGIVRIGVACQQFSSNASVEQLFFVLDIYDYFGRVTEKIRKAGKSKTNRHKIKESIGQKLMKKIPSDTAVSLSVNKLHLRFLESSSTIGQEVPLVHFAGGDLFLKVSHRTLGGAFAVSTTLRWEYVHIDCVDGERLSFHINGTDAFGVQNLAAAENGHPQMRAIFWIDNPRKNKLGPDPFLDITTVHVMPYNVQDVECHSLNISAKIAGVRLGGGMNYTESLLHQFGILGPDGGPGDGLSKGLKKLSSGPLAKLFRTSSLMEAETEDNGSFEGSGMLKLGMPDDVDVSFELKDWLFALEGTGEMRDGLLPVNGNDFSREEKCWHTTFKSLKVKAKNSQMSNMNGGRLCKTRKYPVESIMIGIEGLQALKPCSKNGTQKADMKENYHANKHAMGVETTGGEGVDVEACLVISDEENHEETSWVIENIRFIVRKPIEAVVTKEELEHLVLLCKSEVDSMGRITAGFLRLLKLEESIGLATLDQLSNLGSGSLDRVFSPGKLSRRSSIGSVSFTPSNAIGESPKQSLESTLESLEAEISESQAKCSVLISELSQIDNSKLAEIKQLNQKLESMQTLLTRLRSLV >DRNTG_20284.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5193200:5202481:1 gene:DRNTG_20284 transcript:DRNTG_20284.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFTDACLGFSNNGRTKHDDDGAKRLFFGGERFLEGISGQAYITVQRTEYNAPLGLEVRLHVTEAVCPALSEPGLRALLRFLTGLYVCLNRGDVDPKCSTEAAGRSVVSIIIDHIFFCIKDADFRLELLMQSLFFSRSSASDGEDAKNLSRIMVGGLFLRDTFSHPPCTLIQPSMQAVPVESLQVPEFGENFCPPIYPLGEELWQLNMGVPLICLHSLQIKPSPAPPSFASQTVIDCQPLMISLQEESCFRISSFLADGIVVNPGAVLPDFSVNSFIFNLKEFDLFVPIDAGKNADLVGSGNNYSSSQFYGARLHVEDLYFAQSPSIKCKLLNLEKDPACFSLWEYQPIDASQRKWTTQASHLSLSLETSSGLEMQKSSMDWSAGLWRCIELQEICFEAAMVTADGNPLLDVPPPEGIVRIGVACQQFSSNASVEQLFFVLDIYDYFGRVTEKIRKAGKSKTNRHKIKESIGQKLMKKIPSDTAVSLSVNKLHLRFLESSSTIGQEVPLVHFAGGDLFLKVSHRTLGGAFAVSTTLRWEYVHIDCVDGERLSFHINGTDAFGVQNLAAAENGHPQMRAIFWIDNPRKNKLGPDPFLDITTVHVMPYNVQDVECHSLNISAKIAGVRLGGGMNYTESLLHQFGILGPDGGPGDGLSKGLKKLSSGPLAKLFRTSSLMEAETEDNGSFEGSGMLKLGMPDDVDVSFELKDWLFALEGTGEMRDGLLPVNGNDFSREEKCWHTTFKSLKVKAKNSQMSNMNGGRLCKTRKYPVESIMIGIEGLQALKPCSKNGTQKADMKENYHANKHAMGVETTGGEGVDVEACLVISDEENHEETSWVIENIRFIVRKPIEAVVTKEELEHLVLLCKSEVDSMGRITAGFLRLLKLEESIGLATLDQLSNLGSGSLDRVFSPGKLSRRSSIGSVSFTPSNAIGESPKQSLESTLESLEAEISESQAKCSVLISELSQIDNSKLAEIKQLNQKLESMQTLLTRLRSLV >DRNTG_20284.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5190630:5202481:1 gene:DRNTG_20284 transcript:DRNTG_20284.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESIIARALEYTLKYWLKSFSRDQFKLQGRTAQLSNLDINGDVLHASLGLPPALNVATARVGKLEITYLTCKWSQLWCKLISLISFSRRMWILRILGARAVPNPQRVHRRGADMDLPIRLQME >DRNTG_20284.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5190630:5202481:1 gene:DRNTG_20284 transcript:DRNTG_20284.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIIARALEYTLKYWLKSFSRDQFKLQGRTAQLSNLDINGDVLHASLGLPPALNVATARVGKLEITLPSVSYVQVEPIVVQIDKLDLVLEENVDSENSRSSSSAQSSTSSSKGSGYGFADKIADGMTIEVGIVNLMLETRGGAGRQGGAIWAPPLASITMRNLLLYTTNESWQVVNLKEARDFSNNKKFIYVFKKLEWGSLSVDLLPHPDMFTDACLGFSNNGRTKHDDDGAKRLFFGGERFLEGISGQAYITVQRTEYNAPLGLEVRLHVTEAVCPALSEPGLRALLRFLTGLYVCLNRGDVDPKCSTEAAGRSVVSIIIDHIFFCIKDADFRLELLMQSLFFSRSSASDGEDAKNLSRIMVGGLFLRDTFSHPPCTLIQPSMQAVPVESLQVPEFGENFCPPIYPLGEELWQLNMGVPLICLHSLQIKPSPAPPSFASQTVIDCQPLMISLQEESCFRISSFLADGIVVNPGAVLPDFSVNSFIFNLKEFDLFVPIDAGKNADLVGSGNNYSSSQFYGARLHVEDLYFAQSPSIKCKLLNLEKDPACFSLWEYQPIDASQRKWTTQASHLSLSLETSSGLEMQKSSMDWSAGLWRCIELQEICFEAAMVTADGNPLLDVPPPEGIVRIGVACQQFSSNASVEQLFFVLDIYDYFGRVTEKIRKAGKSKTNRHKIKESIGQKLMKKIPSDTAVSLSVNKLHLRFLESSSTIGQEVPLVHFAGGDLFLKVSHRTLGGAFAVSTTLRWEYVHIDCVDGERLSFHINGTDAFGVQNLAAAENGHPQMRAIFWIDNPRKNKLGPDPFLDITTVHVMPYNVQDVECHSLNISAKIAGVRLGGGMNYTESLLHQFGILGPDGGPGDGLSKGLKKLSSGPLAKLFRTSSLMEAETEDNGSFEGSGMLKLGMPDDVDVSFELKDWLFALEGTGEMRDGLLPVNGNDFSREEKCWHTTFKSLKVKAKNSQMSNMNGGRLCKTRKYPVESIMIGIEGLQALKPCSKNGTQKADMKENYHANKHAMGVETTGGEGVDVEACLVISDEENHEETSWVIENIRFIVRKPIEAVVTKEELEHLVLLCKSEVDSMGRITAGFLRLLKLEESIGLATLDQLSNLGSGSLDRVFSPGKLSRRSSIGSVSFTPSNAIGESPKQSLESTLESLEAEISESQAKCSVLISELSQIDNSKLAEIKQLNQKLESMQTLLTRLRSLV >DRNTG_21285.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27326160:27330492:-1 gene:DRNTG_21285 transcript:DRNTG_21285.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLALEAILCSRNERVLGFAADTTNKLVLTLELSICKYDIWELVVCLSRCLSKCQSQVVNSCVVAMDQILKSPGVMRWKKNEETWKVIKENNIIGNLVIVFKDDVDVDQSYEYFMHIASLFKTIILKCPSARYYVWKCSELIMKLGSICSHPNPSAAAAALELFATLALCGDVAMELLGNKQIVSKAIQSMEPSQPGLVRVQALNVCQSLMVQMNPLSCHYAFFFIISMIYIESLFLIFLQRSAKGCHMLINVYCEPVVQGIISALSEPSTYVQSAVRMVAFHVALIACWSGDHHLCFWKLGIDKVLFDILLGDCSMININQNPLPTEMLFSKIYECHVGVRAYIWEILGWLAIYCEDKFLFKTDQRFWYLKVLISCACSAVKVVMHRRHVKLSSSDNFEFEPIRATLQMLCSPSKYISSQAKHHLSECLQSDGHEHLEFLLESLTLIATGDVSLISDSFQTTVNIVSFGCYSTLSEYEILILEKRGVYIISAIINRCLNGDTKLSRSAIPSHIDRYPYIKACCWSDATDWEGENVILFHCLHALARLLNVLGRCNHQKNSVGKVHCALCEISEAQFLVENLQYVLSKNFSPGTKSYAAYVLSFFNFYGFPSKLGKRLERTLGENELADVQFVFSNGQVLNAHSVIVLARCPRLLPFEKVLNHKKPGDVSTERHVFELKPSKFTREVGISEEVDAMAFKKILEYVYSGMIQVEVEDVGPVKKIAKFCGLKSLLYMLQRGLPKWGLDTPICDLTQALKPACQAFTDISLEGKATEGVAWNCSICQLFNASYVRTQSSTIIQL >DRNTG_21285.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27326160:27331296:-1 gene:DRNTG_21285 transcript:DRNTG_21285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSKAGRGGRRGNPLLSDHVIKVRQRLHEALALGIKVDDNKQRKWQCSDTEIQLHVLKAMGNFIGCVSPHALEHSIPKDCISDMFLALEAILCSRNERVLGFAADTTNKLVLTLELSICKYDIWELVVCLSRCLSKCQSQVVNSCVVAMDQILKSPGVMRWKKNEETWKVIKENNIIGNLVIVFKDDVDVDQSYEYFMHIASLFKTIILKCPSARYYVWKCSELIMKLGSICSHPNPSAAAAALELFATLALCGDVAMELLGNKQIVSKAIQSMEPSQPGLVRVQALNVCQSLMRSAKGCHMLINVYCEPVVQGIISALSEPSTYVQSAVRMVAFHVALIACWSGDHHLCFWKLGIDKVLFDILLGDCSMININQNPLPTEMLFSKIYECHVGVRAYIWEILGWLAIYCEDKFLFKTDQRFWYLKVLISCACSAVKVVMHRRHVKLSSSDNFEFEPIRATLQMLCSPSKYISSQAKHHLSECLQSDGHEHLEFLLESLTLIATGDVSLISDSFQTTVNIVSFGCYSTLSEYEILILEKRGVYIISAIINRCLNGDTKLSRSAIPSHIDRYPYIKACCWSDATDWEGENVILFHCLHALARLLNVLGRCNHQKNSVGKVHCALCEISEAQFLVENLQYVLSKNFSPGTKSYAAYVLSFFNFYGFPSKLGKRLERTLGENELADVQFVFSNGQVLNAHSVIVLARCPRLLPFEKVLNHKKPGDVSTERHVFELKPSKFTREVGISEEVDAMAFKKILEYVYSGMIQVEVEDVGPVKKIAKFCGLKSLLYMLQRGLPKWGLDTPICDLTQALKPACQAFTDISLEGKATEGVAWNCSICQLFNASYVRTQSSTIIQL >DRNTG_21285.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27327785:27330492:-1 gene:DRNTG_21285 transcript:DRNTG_21285.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLALEAILCSRNERVLGFAADTTNKLVLTLELSICKYDIWELVVCLSRCLSKCQSQVVNSCVVAMDQILKSPGVMRWKKNEETWKVIKENNIIGNLVIVFKDDVDVDQSYEYFMHIASLFKTIILKCPSARYYVWKCSELIMKLGSICSHPNPSAAAAALELFATLALCGDVAMELLGNKQIVSKAIQSMEPSQPGLVRVQALNVCQSLMRSAKGCHMLINVYCEPVVQGIISALSEPSTYVQSAVRMVAFHVALIACWSGDHHLCFWKLGIDKVLFDILLGDCSMININQNPLPTEMLFSKIYECHVGVRAYIWEILGWLAIYCEDKFLFKTDQRFWYLKVLISCACSAVKVVMHRRHVKLSSSDNFEFEPIRATLQMLCSPSKYISSQAKHHLSECLQSDGHEHLEFLLESLTLIATGDVSLISDSFQTTVNIVSFGCYSTLSEYEILILEKRGVYIISAIINRCLNGDTKLSRSAIPSHIDRYPYIKACCWSDATDWEGENVILFHCLHALARLLNVLGRCNHQKNSVGKVHCALCEISEAQFLVENLQYVLSKNFSPGTKSYAAYVLSFFNFYGFPSKLGKRLERTLGENELADVQFVFSNGQVLNAHSVIVLARCPRLLPFEKVLNHKKPGDVSTERHVFELKPSKFTREVGISEEVDAMAFKKILEYVYSGMIQVEVEDVGPVKKIAKFCGLKSLLYMLQRGLPKWGLDTPICDLTQALKPACQAFT >DRNTG_30480.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21759852:21761240:-1 gene:DRNTG_30480 transcript:DRNTG_30480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNEREKKLFDSGSLAPETVLACPPTAEGWLLGMIKEGSSVWEKIVNEAARTIPGRENGGNCDIKNLSRGSKVYLPVFFDGANLSTGDMHFSQGDGEVSFCGAIEMSGFL >DRNTG_05061.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3657534:3663531:1 gene:DRNTG_05061 transcript:DRNTG_05061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWLQHFKELAHQFEQWIHEQPKEQLYAAIVVVVLTFLIFIIYRMLNHGQRNTIVLTGLSGGGKTILFYQLRDGTPHQGTVTSMEPNSGTFVLHSELEKKGKFKPIHLVDVPGHSRLRPILDDFLAQAAGIIFVVDAVEFLPNCRAAAEYLYDILTKSTVVKRKVPVLILCNKTDKITAHSKEFIRKQLEKEIDKLRTTRTAVSSADVTNEYTLGVPSEVFTFSQCHNKVAVADASGLAGEVSQVEQFIREQVKP >DRNTG_01917.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20835035:20842236:-1 gene:DRNTG_01917 transcript:DRNTG_01917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDPSSSIPFISSISIPLLTAIIGAVVALLFVTDYLRRKRSEVATIAQHLDAKVDDQKPSRPPPSKKSHPRSHHHAAADKDQIKRHHPLDVNTLKGHGDDVTALCFSPDGLNLATVCGDGVVRIFRIDDASSKSFKLLRLNLPAGAHPTAIAFSEGETSVVVAAQTLSGSSLYMYADVSAPKQQVKIPHPEIKWEHHKVHDRSSVLTLVGASATFGSGDGSTILASCSEGTDIKIWHGKSGKELGTVDTNQLKNNMATISPNGRFLAAAAFTADVKVWEIVYSKDGSVKEVLKVMQLKGHKSAVTCLCFTPSSEQIITASKDGSIRVWNINVRYHLDEDPKTLKVFSIPLHDAKGSTLHYDHFRISPDGKILAATSGSILQWLCAETGKVLDTADKSHEGVITGIAWAPQLIPAGGKPALVLATAAVDKKVKLWLTPELHSS >DRNTG_30267.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5107018:5116834:1 gene:DRNTG_30267 transcript:DRNTG_30267.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA 9 [Source:Projected from Arabidopsis thaliana (AT3G28430) UniProtKB/Swiss-Prot;Acc:Q8W4P9] MWFSFWRSRNRFSLDELRYLTDQLQKVQIVNEVNKDFVVEALRSIAELVTYGDQHDPSFFEFFMEKQVMGEFVRILKISRPAKVALQLLQTMSIMIQNLRKEHAIYYIFSNEHINFLITYSFDFKNEELLSHYISFLRAISGKLNRNTISLLVKTRNDEVVSFPLYTEAIRFAFHDESMVRTAVRALTLNVYHVGDESVNKYVSSGPLSYYFSSLVNYFREQCIKLDGLVSEAAKNPDSSELTSGIHTSVDEIEDNLYYCSDVISSGVPELGRLITESILHLLVFPLLLPAMRKQHTVSQISLTTSLYLLCCILHIVKTKELASVICATLFYPSEAFVTKPEVIENGRASESNTSHEDQQQCLGSFSVKREAEDSGVTTLDSPNSSGHTMLHSSQHNLRVSPITLRELLLSYIISGDDIQVLGSLSLLATLLQTKELDESMLDGLGILPQRKQHKKLLLQALVGESSGEEQLFSSENNTTKDSISTELDRYLQRLKDQYHLPLCCGKLGISPQMQRYQVLDALVSLFCRSGTSAETLWVGGWLLRQLLPHGEEEFTSHHLTQLKDSHKQSTAHLFGEIKGIWSDLLLTVLKDEWRNCKRE >DRNTG_30267.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5107018:5116834:1 gene:DRNTG_30267 transcript:DRNTG_30267.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA 9 [Source:Projected from Arabidopsis thaliana (AT3G28430) UniProtKB/Swiss-Prot;Acc:Q8W4P9] MWFSFWRSRNRFSLDELRYLTDQLQKVQIVNEVNKDFVVEALRSIAELVTYGDQHDPSFFEFFMEKQVMGEFVRILKISRPAKVALQLLQTMSIMIQNLRKEHAIYYIFSNEHINFLITYSFDFKNEELLSHYISFLRAISGKLNRNTISLLVKTRNDEVVSFPLYTEAIRFAFHDESMVRTAVRALTLNVYHVGDESVNKYVSSGPLSYYFSSLVNYFREQCIKLDGLVSEAAKNPDSSELTSGIHTSVDEIEDNLYYCSDVISSGVPELGRLITESILHLLVFPLLLPAMRKQHTVSQISLTTSLYLLCCILHIVKTKELASVICATLFYPSEAFVTKPEVIENGRASESNTSHEDQQQCLGSFSVKREAEDSGVTTLDSPNSSGHTMLHSSQHNLRVSPITLRELLLSYIISGDDIQVLGSLSLLATLLQTKELDESMLDGLGILPQRKQHKKLLLQALVGESSGEEQLFSSENNTTKDSISTELDRYLQRLKDQYHLPLCCGKLGISPQMQRYQVLDALVSLFCRSGTSAETLWVGGWLLRQLLPHGEEEFTSHHLTQLKDSHKQSTAHLFGEIKGIWSDLLLTVLKDEWRNCKRAIEAPSSRKDPRVILSPSRVVSSGGESSLVAGERMCELVKVFVLQRQLLIFSLGGSLPDQPTVDSSVSTPAHCRATTAGLDVIVPKPGNEITLVDAIPCRIAFERGKERHFWFLAVSREMSGWLLLAEELPLKKQCGVVRVTAPLAGSNPRIDDKHLKWLHLRIRPSTLPSLDPSKYDGFGKGKAKALVDGRWTLAFRDEQSCKFAESMIIEELHQLSSEVEKRLTPLLDDDFSRKPLDHSNALFGDTADNIQ >DRNTG_07893.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2447921:2448689:-1 gene:DRNTG_07893 transcript:DRNTG_07893.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPRTRELLSLPTLAIVPARPLHRCGHLPMRAAAFNAAISFRAARRRQVVSLRLPFPPARALSSTSESLQLDKEGCESEHEIGSGNSGEGPRTAGWISEASGEKEMRALSTPSLEVIELEELPEQWRRARIAWLCKELPAHKPATLIRILNGQRKWITQQDTTYIVAHCMRIREFEAGFRVCATSIHAMPPVCLFASVGSRCLESISLVYIFYFF >DRNTG_07893.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2447488:2448689:-1 gene:DRNTG_07893 transcript:DRNTG_07893.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPRTRELLSLPTLAIVPARPLHRCGHLPMRAAAFNAAISFRAARRRQVVSLRLPFPPARALSSTSESLQLDKEGCESEHEIGSGNSGEGPRTAGWISEASGEKEMRALSTPSLEVIELEELPEQWRRARIAWLCKELPAHKPATLIRILNGQRKWITQQDTTYIVAHCMRIREFEAGFRVYKWMVQQHWFHFDFALATKLADHLGKDRKFAKCREMFDAIISHGRVPSESTFHILTVAYLSAPVQGCLDEVCTIYNR >DRNTG_22945.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9326080:9327790:1 gene:DRNTG_22945 transcript:DRNTG_22945.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 36 [Source:Projected from Arabidopsis thaliana (AT2G17040) UniProtKB/TrEMBL;Acc:F4IME8] MENMERNVSELDLPGFRFQPTEEELLDFYLRKVVLGKKLHFDIIGTLNLYRYDPWELPGLAKIGEREWYFFVPRDRRHANGGRPNRTTERGFWKATGSDRPIRSAVDPKRLIGLKKTLVFYNGRAPRGCKTDWVMNEYRLPDHCGSSSNSSSFHSPKEDIVLCKIYRKATSLKELEQRAAMEADARASQSSVSVTDTLSSSDQEVQIQKPLMVDEKDNVVKVAHVNEDDEHVSLAQDRLVNLPDIQVPRYNGMEWMQDPFLTQLRSPWVEQWSPLANMLNF >DRNTG_16367.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7662184:7665331:1 gene:DRNTG_16367 transcript:DRNTG_16367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSLDFDDTLYFLDTTDEHVDKYMQEMFNLDPYEGLFDQEEGYEDVMMLGSTDEVPSTTGILKKVLRRMKRAKRQHQKCSKVVGDVHEPEKLDEPLIEKTHGRVDFPHARECVLRAHPEKAQGRAAIPVNDHATVTRPWVISAWACGFLQSWPDFHESTEGRGLALVGNLVNHARAWVISTRPCETLQRSSLHPEKTQGHAVAPMNWVCECPRPCGISVRAGITLGIFLGCPEKPQGCASSPVGRMHGRGYFPHAHARAVRVKEVFSRERTGAWMRPCGFFVMKCTGVDNYHTPTMAPRSKKQADKRPRESSTEPEGIRFAIPEHQVRYERLLKLRFGQTRFLDTTIL >DRNTG_09212.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4615691:4619683:1 gene:DRNTG_09212 transcript:DRNTG_09212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDTNIAFPERAFAAASAAVLSAILTNPLDVAKTRLQAQAAGVPYHHSQQYHQIGKHLSSPDLKKYNGTLDVFFKVVREAGFARLWRGTNASLALAVPTVGIYLPCYDVFRNWVEEFTDRNYPNLTPYAPLVAGSVARSLACIACSPIELARTRMQAFKEVRTGRKLPGISITLLGVLSPLRSKNKVLNWKEYRVLWTGVGAQLARDVPFSAICWSTLEPIRRRLLRLVVDEESNAVTILGSNFSAGFVAGTLAAAVTCPLDVAKTRRQIEKDPEKALNTTTRQTIVKVWRSEGLKGLFTGLGPRVARAGPSVGIVVSFYEVVKYVLHQRQEDL >DRNTG_09212.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4615691:4618744:1 gene:DRNTG_09212 transcript:DRNTG_09212.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDTNIAFPERAFAAASAAVLSAILTNPLDVAKTRLQAQAAGVPYHHSQQYHQIGKHLSSPDLKKYNGTLDVFFKVVREAGFARLWRGTNASLALAVPTVGIYLPCYDVFRNWVEEFTDRNYPNLTPYAPLVAGSVARSLACIACSPIELARTRMQAFKEVRTGRKLPGISITLLGVLSPLRSKNKVLNWKEYRVLWTGVGAQLARDVPFSAICWSTLEPIRRRLLRLVVDEESNAVTILGSNFSAGFVAGTLAAAVTCPLDVAKTRRQIEKDPEKALNTTTRQTIVKVWR >DRNTG_23653.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5220088:5221965:-1 gene:DRNTG_23653 transcript:DRNTG_23653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAADRLSFQWILHDWSDDECIKILKKCKEAIPNRGKVIIIEAVVEHDVDSNETTKTQYLLDIHMMTFTTGKERNENEWKSIFLQAGFPSYQIICDLGVHCVIEVYP >DRNTG_19297.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:585394:589177:1 gene:DRNTG_19297 transcript:DRNTG_19297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEASLDNGEAFRKVRMTIAERSKRVAETKEKTKKILSKQAVKIAKQAEEHERFITKVTHLLSVLGFGAFCFILGARPQDVPYVYCLFYVTFVPLRWIYYRYKKWHYYLLDFCYYANTIFLITLLFFPKHEKLFMVCFSFAEGPLAWALIIWRCSLVFSSADKIVSVLIHLLPGIVFFTIRWWNPETLAAMHPVGKAKSSWPYVEEKSYLWTWLFAVPLVAYTLWQLLYFLIVNVLRRQRLLRDPEVMTSYRELSKKAQKANNIWWRLSGLLGDQNRQMMYILLQALFTVATMALTVPIFLSYEMHVIFQVLKVSATVWNGGNFLLDVMPRQVVLKEKKKLEMQPIIPERPEQPSTAVDSSLNDHNASDEGYSS >DRNTG_28524.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6425947:6429885:1 gene:DRNTG_28524 transcript:DRNTG_28524.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESTNSKSNSLQSICYQRGSLRLLDQRRLPLETVYLDIRSATDGWNAIKDMVVRGAPAIAIAAALSLAVETFDLGFSGTSTDAASFLTKKLEYLVSSRPTAVNLSDAATKLQKLVLKAAETTDEPKAVFEAYIDAAERMLTDDVNDNKAIGFHGARFLQSQLKDSESLSILTHCNTGSLATAGYGTALGVIRSVHAEGLLAQAFCTETRPFNQERVQTHSI >DRNTG_28524.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6425947:6429885:1 gene:DRNTG_28524 transcript:DRNTG_28524.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTNSKSNSLQSICYQRGSLRLLDQRRLPLETVYLDIRSATDGWNAIKDMVVRGAPAIAIAAALSLAVETFDLGFSGTSTDAASFLTKKLEYLVSSRPTAVNLSDAATKLQKLVLKAAETTDEPKAVFEAYIDAAERMLTDDVNDNKAIGFHGARFLQSQLKDSESLSILTHCNTGSLATAGYGTALGVIRSVHAEGLLAQAFCTETRPFNQESICPFLFYSLLCL >DRNTG_28524.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6425947:6429885:1 gene:DRNTG_28524 transcript:DRNTG_28524.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTNSKSNSLQSICYQRGSLRLLDQRRLPLETVYLDIRSATDGWNAIKDMVVRGAPAIAIAAALSLAVETFDLGFSGTSTDAASFLTKKLEYLVSSRPTAVNLSDAATKLQKLVLKAAETTDEPKAVFEAYIDAAERMLTDDVNDNKAIGFHGARFLQSQLKDSESLSILTHCNTGSLATAGYGTALGVIRSVHAEGLLAQAFCTETRPFNQGSRLTAFELVHDMIPATLITDSAAAALMKSGHVNAVIVGADRVAANGDTANKIGTYSLAISASFHKIPFYVAAPVTSIDLSLPSGEDIIVEERSPKELLNADGGLGKQVAAPGIFVWNPAFDVTPANLITGIITEKGVITKVSADAFDIKGFVEKVK >DRNTG_21828.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5956041:5957171:-1 gene:DRNTG_21828 transcript:DRNTG_21828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSYLVFFISFLFFSYMDNPNIICWNCRGISSKATSDRVRFLIRSHRPKIICLVETRANADRTYRFCSKISKNWEWAAILADGFSGGLTPLDRAIKETESTIKLLEETVDFDPESNCSLSELYSRFASLQFQNSARWAQRAHLLWLKDG >DRNTG_20328.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5803092:5810419:-1 gene:DRNTG_20328 transcript:DRNTG_20328.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFYAGKVCVFDGVPPDKARVIMQLAASREEDYEPNQPGLPVQSNRIVPYRLPLRSGPPPAPPPPQLSCNAGRLASLVDDRERVTAREIELPECPSSRRASLQRYLEKRKDRCKGKRVPGGLCPSMDLMYLSQRLKTHVPNEHSGRSDMGSPNQPRPPSTPGRCSSIETQSQKLHISFDLNDDGSGN >DRNTG_20328.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5803092:5810419:-1 gene:DRNTG_20328 transcript:DRNTG_20328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNACESTARSVLDKPLCELTEEDIAQLTREDCRRYLREKGMRRPSWNKSQAIQQVISLKALLEPQPTDAAADPGPPIRPKPPPPVVTPQKEPIIESPSPYRRRDPIQPALTPTDTPPRPQLAGREEITPEPGFHSSRVAPHVPPGQMTIFYAGKVCVFDGVPPDKARVIMQLAASREEDYEPNQPGLPVQSNRIVPYRLPLRSGPPPAPPPPQLSCNAGRLASLVDDRERVTAREIELPECPSSRRASLQRYLEKRKDRCKGKRVPGGLCPSMDLMYLSQRLKTHVPNEHSGRSDMGSPNQPRPPSTPGRCSSIETQSQKLHISFDLNDDGSGN >DRNTG_01995.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13403222:13405680:1 gene:DRNTG_01995 transcript:DRNTG_01995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSSHLRDMNPILCPIELLISRRVAFSQGLGQSHVHHGHANSHSHGPCLGMLHGSTQKAHKLS >DRNTG_21016.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:73336:74263:-1 gene:DRNTG_21016 transcript:DRNTG_21016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLIIIIIIIFIFSYKLIFSGGNKPRVPPSPPALPIIGNLLQLTSLPHRSFHALSQTYGPLMLVHIGQVPTLIVSSPDTAFEVLKTHDLAFANRPFSKPFFKLTYDGCNISFSQYGDYWRQTKKLAVTHLLNQKRVRSYHSLRQHQASLMIQKIAGSKEEEVVNVSEIVYEYSKEVVSRAVAGKLGNVEKLREMEEDSSVLLGGFQVCDMFPAMGWLSALMGLDGKLERIAKKLDVLLSEIVEEHVQRRRHGGAGEEEEEDFVDLLLALKEGGDGDFAIADENIKAITM >DRNTG_28516.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9162473:9162939:-1 gene:DRNTG_28516 transcript:DRNTG_28516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWLRRTKEKVEKAIKMQNIEISNSDNGEGSSQQAITSSEVTIHNPPLAKCKGNGKRKKEFWEKNHKKNKSKVVDDGLKGLALNGQELLGQAMRLDLANESVSYPPTNG >DRNTG_17867.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2999207:3005725:1 gene:DRNTG_17867 transcript:DRNTG_17867.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWDQLGELATVAQLTGLDAVKLIGMIVKAAATARMHRKNCRQFAQHLKLIGNLLEQLKVSELKKYPETREPLEQLEDALRRSYVLVDSCQNRSYLYLLAMGWSLVYQFRKAQSEIDRYLRIVPLISLVDNARVRERLEYIERDECEYTLDEEDKRVQEVILDPNPSKNHTMVLKKSLSCNYPNLSLNKALQKENEMLQLELQRSQANMDVSQCEVIQHLLGMTETVGCSLSEKDSDLKSSKKIEPEYLDSNYDKVYSYNGNYKSKHSEPYMDSRNASPVSSHGHAEWHSDLLDCCSEPSLCLKTFFYPCGTFSKIATTANNKHISSAEACNDIMAYSLILSCCCYTCCVRRNLRKKLNIKGGSCDDFLSHLMCCCCSLVQEWREVEIRGAYGVEKTKTSPPPSQYMES >DRNTG_17665.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16720827:16721546:1 gene:DRNTG_17665 transcript:DRNTG_17665.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNITRVSKHLPLDQLSFTQRQTKPRESKAAAGV >DRNTG_29453.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:503989:506093:1 gene:DRNTG_29453 transcript:DRNTG_29453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEFMSGLYRLITAIIVLLVTIFVIKILRRIWSKGDNKKLFPPMAGTIFHQLLNFSTVHDYHTKISRKYKTFRLFTPFGNHTYTVDPKNIEYILRTNFKNYGKGEYNYQNCKELFGDGIFAVDGDKWRHQRKLASYEFTTKVLRDFSGVVFKSNAAKLAQIVHRGASSNQTLEIQDLFMRSTMDSIFNVGFGVELDSLGGPDAGNTFTKAFDNSSEYIIRRYFNPFWKIMRFMNIGPEAELKEGIKLIDDFVYKIIHTRMEHESTQRQ >DRNTG_20500.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16406319:16410997:1 gene:DRNTG_20500 transcript:DRNTG_20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWCLDVNSMWTPRSALSTSQEGGATHHHHSRGKI >DRNTG_00990.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6721167:6724723:1 gene:DRNTG_00990 transcript:DRNTG_00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHFHLFILLLLLLFVCGSSAEHGRGRRYDTGILSRGAFPKGYVFGTAASAYQVEGMARKDGRGPSIWDKFVHIPGEIANNATADVSVDEYHRYKEDVNIMKEMNFDAYRFSISWSRIFPNGVGKVNLKGVAYYNRLIDYMLKQGITPYANLYHYDLPASLEEKYKGLLSPQVV >DRNTG_00990.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6721167:6724683:1 gene:DRNTG_00990 transcript:DRNTG_00990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQMIVKERLPKFSPEEVKMVKGSTDYVGINQYTAYYMYAPQVPLPTAVRYQLDWNAEFAYERNGVPIGPRAHSEWLYIVPWGMYKAVTYVKEHYGNPTVILSENGMDDPGNVTLRVGLHDTTRLNYYRSYITELKKAIDDGANVIGYFAWSLLDNFEWQSGYTSRFGLVYIDYKNLRRYPKMSAYWFRQWLNKN >DRNTG_00990.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6721167:6724683:1 gene:DRNTG_00990 transcript:DRNTG_00990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHFHLFILLLLLLFVCGSSAEHGRGRRYDTGILSRGAFPKGYVFGTAASAYQVEGMARKDGRGPSIWDKFVHIPGEIANNATADVSVDEYHRYKEDVNIMKEMNFDAYRFSISWSRIFPNGVGKVNLKGVAYYNRLIDYMLKQGITPYANLYHYDLPASLEEKYKGLLSPQVVEDFANYADFCFKTFGDRVKNWFTFNEPRVVAALGYDDGEFAAGRCTNCSAGGNSATEPYIVAHHLILSHAAAAKRYREKYQAEQKGRIGILLDFVWYEPLSDSKADEAAAQRSRDFHLGWFLHPIIYGEYPKSMQMIVKERLPKFSPEEVKMVKGSTDYVGINQYTAYYMYAPQVPLPTAVRYQLDWNAEFAYERNGVPIGPRAHSEWLYIVPWGMYKAVTYVKEHYGNPTVILSENGMDDPGNVTLRVGLHDTTRLNYYRSYITELKKAIDDGANVIGYFAWSLLDNFEWQSGYTSRFGLVYIDYKNLRRYPKMSAYWFRQWLNKN >DRNTG_28223.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11866198:11866619:-1 gene:DRNTG_28223 transcript:DRNTG_28223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTLENLQSKINTLKSNKPTQLIWKKLEIKPPISSLLQIRGCIMIEHEGYNLPHRLLELIHQQDTQISSQPRKSTSIKTPKYHHNQGHPHPSRHQNIITTRALKLKVIKT >DRNTG_26123.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:8300626:8302724:1 gene:DRNTG_26123 transcript:DRNTG_26123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKRVQKISAEPAEFFKSSRENALECGIFTRSWGCIASSSREGTGEWTYPCERPCDYHTAVQNYRTGVRCFSINVGIFPESTQGRGLAPMGNLVTNVRACIAHRGMWLPLSVGRTGVGMAPRSKKVVGKHPREPTPEPESMEFTLPEHKTRFEQLAKLKFGQTRIPDVSSMREVQLADDMADEVKELLSVGGWRKLLSLRDPAIRPLTLEVLASFEFDRSYTDFDSVGTIQFRAFGQHHDMSLTQFSVTLGLYDEEFIKTEEYLHAILSRSVNGHDDSTGVLSKQELLYLYAMVRKEPIHMGHIVVEYLRHQGHYPRLGVIFSGPYITRLILGMRIRDMIRGAEKTIIPTPINLETIRLMGMITKHPRGVFVLNMPTGSEETAAKGS >DRNTG_10372.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:7991234:7992905:1 gene:DRNTG_10372 transcript:DRNTG_10372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSSLFKAATSARFGNLLALCFSHLFSLEALATRVWRSFGKVFQRGFMVFNTMFLWKIVIGGALIGINLARWCHGCGDGGCLLTDEEDPYMSDRTSDMFLDIWRKTTSHQVITQLE >DRNTG_16885.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29915366:29924974:-1 gene:DRNTG_16885 transcript:DRNTG_16885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASDVQTLYSMLLSSLSPEVSERSPAESALSQCESRPGFCSCLLEIIASRDLGCREDVRLLASVYFKNSINRYWRNRRDSVGITNDEKTHLRKKLLLHLREENSQIAMQLAVLVSKIARIDYPKEWPELFTSLAHQLQSSDILASQRIFMVLFRTLKELSTKRLTADQRIFSEIASNLFEYTWNLWQSDAQTILQSFSNLSQGISVNSTAEHQNILLLTCERWLLCSKIIRQLIVCGHPSDVTSAQEVRQVKEVCPVLLNAIQSFLPYYATFLEGQQKLWDFTRRSCVKLMKILISIQLRHPYSFGDNHVLPAVLDFCLNKITNLEPTTLSFEQFLIQCMVLVKSILQCKEYRPQLTGCVINESGESLEQRKKSSTMAVIDILRTVLPNERVMFLCNILVRRFFVYTAKDLDEWYQNPESFHHEQDMIQWTEKLRPCAEALYIVLFENNRDLLAPVVVSMLHEAMSGSPPSQVDITPEMLLKDAAYTAAGHVYYELSNFLNFTEWFKTTLSVELSNDHPNMRIIHRKIAVILGQWVSEIKGDTGKLVYHSLIRLLQDNDIAVRLAACRSLCYLVQDSNFSEQDFFELLPTCWGLCFKLVENAQEFDSKVQVLNLISVLIEHVGDKIIPFASQLSEFFHKMWEESVGESLLQIQLLAALRNFVCSLGYQSPVCYNVLLPILQRGIDVNNPDALNLLEDSVLLWESTLSHASSVVPQLLDFFPYLVAILERSFDHLEVGVSIIEGYIIFGGPEFLNRHASSLVKLLDGIVGNVNDKGLLSLFPVIDILIQVNTPGHLLLNFFFPDILLLL >DRNTG_14233.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:910465:911304:1 gene:DRNTG_14233 transcript:DRNTG_14233.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIAYLDQQLRLGHGAEATPCLGGGDPGPELVAASQDFGGVIRALPAVVLRPGSAEDVAAAIRMALRSDHLTIAARGNGHSVAGQALAPGGIVLDMRSMGRIELVWSDDGQPCADVGGGVLWGELLEWGVRVHGMAPRSWTDYLGLTVGGTLSNAGISGQAFRHGPQIANVAELEVVTGDAERVVCSPSHRSDLFFAALGGLGQFGIITRARIPLYRAPPLVGTLTFR >DRNTG_14233.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:910306:911304:1 gene:DRNTG_14233 transcript:DRNTG_14233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIAYLDQQLRLGHGAEATPCLGGGDPGPELVAASQDFGGVIRALPAVVLRPGSAEDVAAAIRMALRSDHLTIAARGNGHSVAGQALAPGGIVLDMRSMGRIELVWSDDGQPCADVGGGVLWGELLEWGVRVHGMAPRSWTDYLGLTVGGTLSNAGISGQAFRHGPQIANVAELEVVTGDAERVVCSPSHRSDLFFAALGGLGQFGIITRARIPLYRAPPLVGTLTFR >DRNTG_30110.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31087225:31093348:1 gene:DRNTG_30110 transcript:DRNTG_30110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription and DNA repair factor IIH subunit TFB4 [Source:Projected from Arabidopsis thaliana (AT1G18340) UniProtKB/Swiss-Prot;Acc:Q8LF41] MASSAPPPKLYTDDVSLIVIILDTNPFFWGTLSNTTASNFSFSKFLNHMIPFVNSLLLLNQLNHVVLIAAGVTSCGYIYDSATAVASDGGQKVPAVCSEILSRLEEFVAKDQKLGNGDLRTVGGVMSSLFSGALSLALCYIQRVFRSGMQHPQPRILCLQGSPDGPEQYVAVMNAIFSAQRSMVPIDSCVVGMQHSAFLQQASYITGGVYLKPQQLDGLFEYFTAVFATDLQSRSFLQLPRPVGVDFRASCFCHKKTIDMGYVCSVCLSIFCKPHKKCSTCGSEISHSQTEQLDRKRKLSEV >DRNTG_30110.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31087225:31093348:1 gene:DRNTG_30110 transcript:DRNTG_30110.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription and DNA repair factor IIH subunit TFB4 [Source:Projected from Arabidopsis thaliana (AT1G18340) UniProtKB/Swiss-Prot;Acc:Q8LF41] MASSAPPPKLYTDDVSLIVIILDTNPFFWGTLSNTTASNFSFSKFLNHMIPFVNSLLLLNQLNHVVLIAAGVTSCGYIYDSATAVASDGGQKVPAVCSEILSRLEEFVAKDQKLGNGDLRTVGGVMSSLFSGALSLALCCIL >DRNTG_30110.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31087225:31093348:1 gene:DRNTG_30110 transcript:DRNTG_30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription and DNA repair factor IIH subunit TFB4 [Source:Projected from Arabidopsis thaliana (AT1G18340) UniProtKB/Swiss-Prot;Acc:Q8LF41] MASSAPPPKLYTDDVSLIVIILDTNPFFWGTLSNTTASNFSFSKFLNHMIPFVNSLLLLNQLNHVVLIAAGVTSCGYIYDSATAVASDGGQKVPAVCSEILSRLEEFVAKDQKLGNGDLRTVGGVMSSLFSGALSLALCYIQRVFRSGMQHPQPRILCLQGSPDGPEQYVAVMNAIFSAQRSMVPIDSCVVGMQHSAFLQQASYITGGVYLKPQQLDGLFEYFTAVFATDLQSRSFLQLPRPVGVDFRASCFCHKKTIDMGYVCSVCLSIFCKPHKKCSTCGSEISHSQTEQLDRKRKLSEV >DRNTG_30110.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31090463:31093348:1 gene:DRNTG_30110 transcript:DRNTG_30110.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription and DNA repair factor IIH subunit TFB4 [Source:Projected from Arabidopsis thaliana (AT1G18340) UniProtKB/Swiss-Prot;Acc:Q8LF41] MGYVCSVCLSIFCKPHKKCSTCGSEISHSQTEQLDRKRKLSEV >DRNTG_03393.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20945109:20946736:-1 gene:DRNTG_03393 transcript:DRNTG_03393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQCTSNKHFVLVHGACHGAWSWYKLVTLLEKEGHKVTAFDLLASGRQPFGIAKVQSFKHYVQPLMEVMSSLAHKEKVILVGHSLGGVAISLAMEAFPEKIAAAVYVAGIAPGIDFPASEFFDKFFVRNSVESLLDTQVGFDDGPENPPTSLWFGSKLIASRMYQLCPPEDIKLGSMLLRPTKLFLRELANKSLLTKERFGSVERVYIICKEDDMLQDLQEWMIEKASIKQVMEIEASDHMPMLCQPQMLLNCFLDIAFKYV >DRNTG_20757.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001146.1:48446:58594:1 gene:DRNTG_20757 transcript:DRNTG_20757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRGRAARAVTTPIMSVLELSFAAWPLPTAALSCSSDCAVAALSPSSRLGALNAPAELGRELQSWLSKV >DRNTG_10006.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3162739:3168378:-1 gene:DRNTG_10006 transcript:DRNTG_10006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKEAAPPVGLRRSPSLSAHRRRRTTGENPRFSEEEEEKRVFAVWADLHRCMGIVIEIHGYQGVMSSYVAARFRLMRMLCNRREHSKVEILVEAKILIDELGSTCKCLMSVKTLLDSIVPCLLLAASRLQSTGRLQGLHTATSSLQAKKNFETMVENEEELRAKEPKDLYIIYFFFFFFFFFFFFFLFGLFDLSSVVILWIVFVVLLDTMGERRVLMFTALTSWESVLAEICERWGLDVSRVRVKFITPDGYKTSCLIETDVDFQRMCHIHSIFKCSVVDLVVEKDDVALSNPTENEFFSFVSRNSDSASAPGQPHGELDALRNFAIKWNFDFKFIKNEKHRVTVECAAIGCEWRLHASKEYNKNTLRIKTMNPSHTCGGGVGSTSHMKASKKWVSARVIQKLKDRPLYKAIDIQKDMLQEHFFDTWRLYHPKAHHWLINKSDRHIGRIICSEANAGVRCIQMSRNRSMLGLKKLGICRWRYIHVEDRRNLRVGRCVDNRYEVIDQCSGYFTVDNYKLAYKEAILPILDDDKPMDENRELRLRPHVMRRQLGRPRSCNETVAD >DRNTG_07251.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000329.1:90987:101015:-1 gene:DRNTG_07251 transcript:DRNTG_07251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSEIASHQVVLATKPQKNIDGSHVESDYVESDELNSCSSTNEDELVSSKPRYSEFNEECEMKNPQFKIDMKYRSFKQFKEAIKNYGIKNRAYPT >DRNTG_14305.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000667.1:63126:66347:-1 gene:DRNTG_14305 transcript:DRNTG_14305.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGNKTIISSIHQPNGEVFALFDDLYHLSGREAVYFGDAKLATEFFAEAGLPYPSRRNPSDHFLRCINSDFDLVNATLRGSLKHLAISMRRFLSPVTHQPAPYSCHYPVYRCIKMAIVHDIAEAIVGDITPSDGVPKEEKTEEIKELWTEYENNS >DRNTG_18256.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4238490:4240812:-1 gene:DRNTG_18256 transcript:DRNTG_18256.2 gene_biotype:protein_coding transcript_biotype:protein_coding KSHQYFLGSFDPTLLLHGQQYLEIYKPFPSNCCIQNKVVIAGLHDKGKAAIIEVQTTSYDKNSGEALCMNRNTIYLRGAGGFSKSSKPYSYANYQPTRFLRLRFQILNLPLFTRTLPNNPRHVIFLCCDVYCICYLLAINTYYHF >DRNTG_18256.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4234171:4240812:-1 gene:DRNTG_18256 transcript:DRNTG_18256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKNAGFSRPILHGLCSLGIAVRAVIKFCCNGEPTWVKSIFGRFLLHVYPGETLITEMWLQGTK >DRNTG_18256.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4234171:4234981:-1 gene:DRNTG_18256 transcript:DRNTG_18256.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKNAGFSRPILHGLCSLGIAVRAVIKFCCNGEPTWVKSIFGRFLLHVYPGETLITEMWLQGTK >DRNTG_18059.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:119914:121576:1 gene:DRNTG_18059 transcript:DRNTG_18059.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVDMRDMLCYELLLTQEEIYMNAGTPSIVGDELLCTADSWGQEIEISSFSTKQASPPYLHEGIRIPKANFGESTLECLNYMKRYFQIFYMVTESPVFIIIFFIWRRHHHFPPPLVHAIEFQIENSESTSILTMNLRTSFWKERNFQWKLAQEDISCRPNNWRKLDQMNDSSYLDEVNESGPQEVAWDHFEK >DRNTG_02185.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1367521:1370561:-1 gene:DRNTG_02185 transcript:DRNTG_02185.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDSIGSLSWRSSDHHRGKSRISVHEIHEPSLVIENGCWASLPPELLRDVIKRLEASECTWPSRKNVVACAAVCRTWRETCKEIVKSPEFCGKLTFPVSLKQSGPREGTIQCFIKRDKAKHTYHLFLCLSPAVLAENGKFLLSAKRNRRTTCTEYIISMDADNISRSSNSYIGKLRSNFLGTKFIIYDTQPPYNGAAATHPGRTSRRFYSKKVSPKVPSGSFNIAQVTYELNVLGTRGPRRMHCVMYSIPTSSLDVGGIVPGQPEQLLPPSLEDSFRSMSFSKSSIFDRSMDFNSARFSEIAGASGADGEEEAKMKPLVLRNKAPRWHEQLQCWCLNFRGRVTIASVKNFQLIAATQPTAGAPTPAQPAPTEHDKIILQFGKVAKDMFTMDYRYPLNAFQAFAICLSSFDTKLACE >DRNTG_02185.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1367521:1370561:-1 gene:DRNTG_02185 transcript:DRNTG_02185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDSIGSLSWRSSDHHRGKSRISVHEIHEPSLVIENGCWASLPPELLRDVIKRLEASECTWPSRKNVVACAAVCRTWRETCKEIVKSPEFCGKLTFPVSLKQSGPREGTIQCFIKRDKAKHTYHLFLCLSPAVLAENGKFLLSAKRNRRTTCTEYIISMDADNISRSSNSYIGKLRSNFLGTKFIIYDTQPPYNGAAATHPGRTSRRFYSKKVSPKVPSGSFNIAQVTYELNVLGTRGPRRMHCVMYSIPTSSLDVGGIVPGQPEQLLPPSLEDSFRSMSFSKSSIFDRSMDFNSARFSEIAGASGADGEEEAKMKPLVLRNKAPRWHEQLQCWCLNFRGRVTIASVKNFQLIAATQPTAGAPTPAQPAPTEHDKIILQFGKVAKDMFTMDYRYPLNAFQAFAICLSSFDTKLACE >DRNTG_27403.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001396.1:193223:194096:1 gene:DRNTG_27403 transcript:DRNTG_27403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGNQDHRRHSHHHNHHHHSLQYSHHHQTTLGCRRHSHSTPVCHRSSQVHRSRRGSLRSTSHHGDPSCIFFHSCGLVEYHILQATSPHLLLLCFLFPQFVVLDP >DRNTG_23449.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:777760:780273:-1 gene:DRNTG_23449 transcript:DRNTG_23449.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSDVREAVSLHGNTPSIPPPLCSLCRHKAPLFGKPPRQFTYQELEEATHGFSDENLLADGWSGRVHRGMLQDGRAVAVKQLKTTGRNNLRGVEEFLTEVEMLSRAQHRNVVMLVGFCIEENVRVLVYEYICNGSLDFHLYGQEKAPLDWHARVKIAMGVARGLRYLHEDCRVGFVVHRDIRPNNILLTHDLDPLFGDLSITRRQTEYSPCVGTNVPQTFGHLAPEYIEYGIETEKADVYAFGVVLLELITGCRAMDSSRPKGQQFLAQWARPLLSQAAMDGQSCTVMVNRYLDPRLNQGQVGLLSQPLRAMTCAASLCLRREPETRPSMSKVLRVLEGNALVDAHVSVDSIGSRSGRISGDHFPGDGTRIQTGGSMSLRFPNEVVSGALCADQAWPLFS >DRNTG_23449.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:777760:778955:-1 gene:DRNTG_23449 transcript:DRNTG_23449.4 gene_biotype:protein_coding transcript_biotype:protein_coding IHICLCRHLAPEYIEYGIETEKADVYAFGVVLLELITGCRAMDSSRPKGQQFLAQWARPLLSQAAMDGQSCTVMVNRYLDPRLNQGQVGLLSQPLRAMTCAASLCLRREPETRPSMSKVTHIISNSLYIDIVLFDSQPLS >DRNTG_23449.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:777760:782595:-1 gene:DRNTG_23449 transcript:DRNTG_23449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSQGGGRESVVLVAVRAEGELSKTALAWALTHIVRPGDLVTLLAVLPGLHDSPGKGVWRRMLRGFPKLNAATDARRRERRCQISASCSQMALQIDGQSEINVRIKVVGSEEEISPGGAGVVAAEANRIGADWVVLDKQLKQEEKHCMEQLQCNIVAMKGSHARVLRLNLGETQRPCLNISSSSSALNLEPSEIYPYNKIIKNSLSNSSTGSSSMYVCGNNPLFSEVQTKKLMISRGSSSLNDLYASDSDGSRRASPRYANPSLEFNNRDAGHTDSCRANPNNNHHHSGSGHDGVYWIPEYISEDAPIVQRCKSSERNNKPPTTVRNLKGEFSEYDRKSLLQKLKLHRYLAKERMHVSDVREAVSLHGNTPSIPPPLCSLCRHKAPLFGKPPRQFTYQELEEATHGFSDENLLADGWSGRVHRGMLQDGRAVAVKQLKTTGRNNLRGVEEFLTEVEMLSRAQHRNVVMLVGFCIEENVRVLVYEYICNGSLDFHLYGQEKAPLDWHARVKIAMGVARGLRYLHEDCRVGFVVHRDIRPNNILLTHDLDPLFGDLSITRRQTEYSPCVGTNVPQTFGHLAPEYIEYGIETEKADVYAFGVVLLELITGCRAMDSSRPKGQQFLAQWARPLLSQAAMDGQSCTVMVNRYLDPRLNQGQVGLLSQPLRAMTCAASLCLRREPETRPSMSKVLRVLEGNALVDAHVSVDSIGSRSGRISGDHFPGDGTRIQTGGSMSLRFPNEVVSGALCADQAWPLFS >DRNTG_23449.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:777760:780273:-1 gene:DRNTG_23449 transcript:DRNTG_23449.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVARGLRYLHEDCRVGFVVHRDIRPNNILLTHDLDPLFGDLSITRRQTEYSPCVGTNVPQTFGHLAPEYIEYGIETEKADVYAFGVVLLELITGCRAMDSSRPKGQQFLAQWARPLLSQAAMDGQSCTVMVNRYLDPRLNQGQVGLLSQPLRAMTCAASLCLRREPETRPSMSKVLRVLEGNALVDAHVSVDSIGSRSGRISGDHFPGDGTRIQTGGSMSLRFPNEVVSGALCADQAWPLFS >DRNTG_28784.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21696300:21696959:1 gene:DRNTG_28784 transcript:DRNTG_28784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEEKVRVFRTDMPKNIQIYATELAQKALDLHKHSDCYSIARHIKQELDEAYGEAWICVAGGDFGSCITCLSGSFILFQVEGLEFLIFKDGKDGHESKEGAVGVKA >DRNTG_02233.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1121901:1122392:1 gene:DRNTG_02233 transcript:DRNTG_02233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIALGDAEIFGGSNQGAIKKINSHLLPVASSHRCFIRPRSRGMRPRRSLPSPDRMSPAAMR >DRNTG_31216.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21691639:21693394:-1 gene:DRNTG_31216 transcript:DRNTG_31216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKIYKVLYNVTDLGMRCICFSFQSRGILCRHVLLSALSFQDVVEIPSKHILERWR >DRNTG_31216.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21692221:21693394:-1 gene:DRNTG_31216 transcript:DRNTG_31216.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKIYKVLYNVTDLGMRCICFSFQSRGILCRHVLLSALSFQDVVEIPSKHILERWR >DRNTG_03374.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30125298:30133397:1 gene:DRNTG_03374 transcript:DRNTG_03374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPGEGGGTPSIARRQGFRSLKLVNFAMDEPLPTEPVGVEYGVLENGLSYYVRSNPKPRMRAALALAVKVGSVLEDEEERGVAHIVEHLAFNATTKYTNHDIVKFLESIGAEFGACQNALTSSDETIYELLVPVDKPGLLSQAISVLAEFSSEIRVAAEDLEKERGAVLEEYRGGRNAAGRMQDAHWILMFEGSKYAERLPIGLEKVIRTVTPETVKQFYKKWYHLSNMAVVAVGDFNDTQSVVDSIKIHFGQKVPSSIIPVIPDFPVPSHNEPRFSCFVESEAAGSAVMISCKFPASDMITVKDYRDSLAEAMFHCALNQRFFKIARRKDPPYFSCSSAADALVQPVKAYIMSSSCREKGTVDALESMLMELARVRLHGFSDREISIVRALMMSEIESAYLERDQMQSTSLRDEYLQHFFRKEPIVGIEYEAQLQKTILAHISPAEVSKFAANFCTSCSCVIKIVEPRACARLEDLKAAVSKVNALEGDRHISPWDDEHVPEEIVGEKPLPGSIIEQTEHTSIETTELLLSNGMRICYKRTNFLDDQVIFTGFAYGGLSELSEDEYFSCSMGSTISGEIGVFGYKPSVLMDMLAGKRAEVSTKVGAYMRTFSGDCSPSDLETAFQLVYQLFTTNVEPRDEEVKIVMQMAEEAILAQERDPYNTFANRVRELNYGNSYFFRPIRISDLKKVDPIKACEYFNNCFKDPSTFTVVLVGNIDPAVSLPLILQYLGGIPKSSEPILNYNRDDLKGLPFNFPGKIIREVVRSPMVEAQCSVQLAFPVVLKNTAMMEEIHFIGFLSKLLETKIMQVLRFKHGQIYSVGVSVFLGGNTPSRTGDVRGDISVNFSCDPDISWKLVDLALEEMHYLQDQGPSDEDITTILEIEQRAHENGLQENYYWMDRILRSYQSRAYFGDISASFEVQDEGRTKVRNSLTPYTAQSALQRLLPFPCRKQYTVVILMPQVSRLKLLMPLSLFKSSGFSRDAKILAAAAGAVLVGFSLWRYLRSSLNS >DRNTG_09202.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4772749:4773901:1 gene:DRNTG_09202 transcript:DRNTG_09202.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSRRWHFSAHNFTLSVIWSPFLVKAENFDHENGASSSIIDLHLDILDEKWISQYQNFNYILISGGPWFLRPAIYWENNKIIGCHSCQDKNNLSEISMEYSFRKALQLVFNFFAVSDHKPFILYRTYPVAHFENAEWNRGGTCNRTVPYKEGEFMGTDLEHLMRNVELDEFKNAAVNVARLKLLDTYKLSLLRPDGHSGPYRTFHPFDNKNNSVTIQNDCLHWCLPGPIDSWNELVMELVLNRS >DRNTG_09202.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4770084:4773901:1 gene:DRNTG_09202 transcript:DRNTG_09202.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGTLMVDWDRWPLHQKRGNHAFVKLFLFVFLLGFSFRVIFFFFSDSFASLPLSQPADVKDGRESLRDSQPVEDGVALEHRVEDSQKDKCDLFKGEWISNPSGPAYTNESCLFIESPQNCMKNGRPDTGYLYWRWKPYGCEVPQFDAKKFLDVMKDKSWAMIGDSILRNHVQSLICLLVKVEVPIEVYHDKMFRSRRWHFSAHNFTLSVIWSPFLVKAENFDHENGASSSIIDLHLDILDEKWISQYQNFNYILISGGPWFLRPAIYWENNKIIGCHSCQDKNNLSEISMEYSFRKALQLVFNFFAVSDHKPFILYRTYPVAHFENAEWNRGGTCNRTVPYKEGEFMGTDLEHLMRNVELDEFKNAAVNVARLKLLDTYKLSLLRPDGHSGPYRTFHPFDNKNNSVTIQNDCLHWCLPGPIDSWNELVMELVLNRS >DRNTG_09202.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4771795:4772077:1 gene:DRNTG_09202 transcript:DRNTG_09202.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGRPDTGYLYWRWKPYGCEVPQFDAKKFLDVMKDKSWAMIGDSILRNHVQSLICLLVKV >DRNTG_20216.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21054741:21056915:1 gene:DRNTG_20216 transcript:DRNTG_20216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSLWEVESEKRRTSSSVNEQGEDSHRCKKKKKKKKKKKKKKDDSTTMIVRHGPIIEFPIANQNVKDLHQIEQVKIMILLISLSSAKPQERMSVLREKLIEPSSLERWAVVNFSAHCDVQSMIRDFIKYGNLKGIPFDVFDENPSMRHGLPIA >DRNTG_09786.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23393666:23396910:-1 gene:DRNTG_09786 transcript:DRNTG_09786.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLAVDSPLQEGSRSSRGPAPPLAARGNPVSRPPAWMMRQAGRYMAAYRKLAEKHPSFRERSETVDLIVEISLQPWRAFGPDGVIIFSDILTPLPAFGVPFEIEEVKGPIIQSPICSEEGLKALHPIDFEKLNFVGDSLKILRQEVGDSAAVLGFVGAPWTIATYIVEGGTTRTYTTIKRMCHTAPHVLRALLSHLTRAISEYIIFQIKSGAQCIQIFDSWGGQLPPTVWEQWSKPYIEEIVSAVKKKYPEIPLVLYINGNGGLLERMTGIGVDVIGLDWTVDMADGREAAWQ >DRNTG_09786.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23393666:23396910:-1 gene:DRNTG_09786 transcript:DRNTG_09786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSTIPCGSSFLQVGFARENGSGLGRRFPPPRRKPLLPRASAASDPLLVQAARGNPVSRPPAWMMRQAGRYMAAYRKLAEKHPSFRERSETVDLIVEISLQPWRAFGPDGVIIFSDILTPLPAFGVPFEIEEVKGPIIQSPICSEEGLKALHPIDFEKLNFVGDSLKILRQEVGDSAAVLGFVGAPWTIATYIVEGGTTRTYTTIKRMCHTAPHVLRALLSHLTRAISEYIIFQIKSGAQCIQIFDSWGGQLPPTVWEQWSKPYIEEIVSAVKKKYPEIPLVLYINGNGGLLERMTGIGVDVIGLDWTVDMADGREAAWQ >DRNTG_34755.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002187.1:23260:24626:-1 gene:DRNTG_34755 transcript:DRNTG_34755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLLNHITMYGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGTFSEQEENLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCIKKKLKQRGIDPVTHKPLLSETEDGTAAGGEDHNKPTAATNINDKPKLLSPASAMTTTCTTSYLPPLELMNHNQSAPSVFLWFNSQQQQQQQQELNCNTGSFMSATPSMGGTKSVMHGVQYWEGSHSSNSSASSGIELQSNGSLIESGGIFSWSDLTSDSEAQIHLDGEPEDLKWSEYLQGSFPVSAALRGHGG >DRNTG_25608.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:159677:161760:-1 gene:DRNTG_25608 transcript:DRNTG_25608.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATAB2 [Source:Projected from Arabidopsis thaliana (AT3G08010) UniProtKB/TrEMBL;Acc:A0A178V658] MAASLGFKFRSLLFEIPTLQFRPVFLLSSSLPTSLSIRSFSHSLRLHRPPYSSLSESSSISTPPLEDSISSPPLEDEDLDPMAEVCFLDPEVDPESIQEWELDLCSRPILDERGKKLWELVVCDATLSLQFTRYFPNNVINSITLRDAIASISNSLGLPLPDKIRFFRSQMQTIITRSCNELGIKPVPSKRVRAYP >DRNTG_25608.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:159677:161760:-1 gene:DRNTG_25608 transcript:DRNTG_25608.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATAB2 [Source:Projected from Arabidopsis thaliana (AT3G08010) UniProtKB/TrEMBL;Acc:A0A178V658] MAASLGFKFRSLLFEIPTLQFRPVFLLSSSLPTSLSIRSFSHSLRLHRPPYSSLSESSSISTPPLEDSISSPPLEDEDLDPMAEVCFLDPEVDPESIQEWELDLCSRPILDERGKKLWELVVCDATLSLQFTRYFPNNVINSITLRDAIASISNSLGLPLPDKIRFFRSQMQTIITRSCNELGIKPVPSKRVRAYP >DRNTG_25608.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:159677:161760:-1 gene:DRNTG_25608 transcript:DRNTG_25608.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATAB2 [Source:Projected from Arabidopsis thaliana (AT3G08010) UniProtKB/TrEMBL;Acc:A0A178V658] MAASLGFKFRSLLFEIPTLQFRPVFLLSSSLPTSLSIRSFSHSLRLHRPPYSSLSESSSISTPPLEDSISSPPLEDEDLDPMAEVCFLDPEVDPESIQEWELDLCSRPILDERGKKLWELVVCDATLSLQFTRYFPNNVINSITLRDAIASISNSLGLPLPDKIRFFRSQMQTIITRSCNELGIKPVPSKRVRCGYFLFSLSLSLCPSRDCNTSILPISAAVFVYGSFYVTVHIPDAVVGRTLRDCIHSSSRFSERIKATPSFRQSISYGTS >DRNTG_25608.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:159677:161760:-1 gene:DRNTG_25608 transcript:DRNTG_25608.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATAB2 [Source:Projected from Arabidopsis thaliana (AT3G08010) UniProtKB/TrEMBL;Acc:A0A178V658] MAASLGFKFRSLLFEIPTLQFRPVFLLSSSLPTSLSIRSFSHSLRLHRPPYSSLSESSSISTPPLEDSISSPPLEDEDLDPMAEVCFLDPEVDPESIQEWELDLCSRPILDERGKKLWELVVCDATLSLQFTRYFPNNVINSITLRDAIASISNSLGLPLPDKIRFFRSQMQTIITRSCNELGIKPVPSKRCISLMLWLEERYETVYTRHPGFQKGSKPLLALDNPFPTVLPENLFGDQWAFVQLPFSGKYIYLLSLPAKFFNRTYVFYIINFLSVIQVMTHFSLVPIANRKCIVLVVRVCLLWTRPYECLRAHVIN >DRNTG_25608.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:159677:161760:-1 gene:DRNTG_25608 transcript:DRNTG_25608.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATAB2 [Source:Projected from Arabidopsis thaliana (AT3G08010) UniProtKB/TrEMBL;Acc:A0A178V658] MAASLGFKFRSLLFEIPTLQFRPVFLLSSSLPTSLSIRSFSHSLRLHRPPYSSLSESSSISTPPLEDSISSPPLEDEDLDPMAEVCFLDPEVDPESIQEWELDLCSRPILDERGKKLWELVVCDATLSLQFTRYFPNNVINSITLRDAIASISNSLGLPLPDKIRFFRSQMQTIITRSCNELGIKPVPSKRCISLMLWLEERYETVYTRHPGFQKGSKPLLALDNPFPTVLPENLFGDQWAFVQLPFSAVKDELSNLRKRNAFGGSLDLDLLGIEIGERTLVPGVAVASARAKPLAAWMNGLEVCSMEADAGRACLILSVGVSTRYVYATYKRSPATTQEAEAWEAAKKACGGLHFLAIQDDLDSDSCVGFWLLLDLPPPPV >DRNTG_25608.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:159677:161760:-1 gene:DRNTG_25608 transcript:DRNTG_25608.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATAB2 [Source:Projected from Arabidopsis thaliana (AT3G08010) UniProtKB/TrEMBL;Acc:A0A178V658] MAASLGFKFRSLLFEIPTLQFRPVFLLSSSLPTSLSIRSFSHSLRLHRPPYSSLSESSSISTPPLEDSISSPPLEDEDLDPMAEVCFLDPEVDPESIQEWELDLCSRPILDERGKKLWELVVCDATLSLQFTRYFPNNVINSITLRDAIASISNSLGLPLPDKIRFFRSQMQTIITRSCNELGIKPVPSKRCISLMLWLEERYETVYTRHPGFQKGSKPLLALDNPFPTVLPENLFGDQWAFVQLPFSGKYIYLLSLPAKFFNRTYVFYIINFLSVIQVMTHFSLVPIANRKCIVLVVRVCLLWTRPYECLRAHVIN >DRNTG_25608.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:159677:161760:-1 gene:DRNTG_25608 transcript:DRNTG_25608.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATAB2 [Source:Projected from Arabidopsis thaliana (AT3G08010) UniProtKB/TrEMBL;Acc:A0A178V658] MAASLGFKFRSLLFEIPTLQFRPVFLLSSSLPTSLSIRSFSHSLRLHRPPYSSLSESSSISTPPLEDSISSPPLEDEDLDPMAEVCFLDPEVDPESIQEWELDLCSRPILDERGKKLWELVVCDATLSLQFTRYFPNNVINSITLRDAIASISNSLGLPLPDKIRFFRSQMQTIITRSCNELGIKPVPSKRCISLMLWLEERYETVYTRHPGFQKGSKPLLALDNPFPTVLPENLFGDQWAFVQLPFSAVKDELSNLRKRNAFGGSLDLDLLGIEIGERTLVPGVAVASARAKPLAAWMNGLEVCSMEADAGRACLILSVGVSTRYVYATYKRSPATTQEAEAWEAAKKACGGLHFLAIQDDLDSDSCVGFWLLLDLPPPPV >DRNTG_13560.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29443062:29445635:-1 gene:DRNTG_13560 transcript:DRNTG_13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKEQDDQSHEPDVAHDHESQTTPKQGGWITFPFIIGNVFGMSLIFSGAMGNFIVYLIKYYNFKSVDAAQLFNIINGSSSFSPLLGAIISDSFFGCLPVITFSTVASLFSMILLTLTAGIKAFRPTNSHTAASSGQLALLYTALALLVVGTGGARFNTMTMGADQLSNVDDQNVFFNWYFIVFYMAGVIGNTVIIYIEDSISWELGYGICSAVNALAILFMLLGVKYYRRTGTKENPFTAIVRVIVAGIRKRKLALPAETETVTYYHRPSEKADQPPSQTFRRDYCYLGFF >DRNTG_21723.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:661538:665220:1 gene:DRNTG_21723 transcript:DRNTG_21723.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRVEVIESRRCSRLFVGSSTPGRSPNNRKSNTKMALTSPAHQQSSASGGLGPFTGLVICVTGLSKEARNQVMAATERLGGQYSASLHPHCTHLVVQISYFSLSFIGF >DRNTG_21723.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:661538:665220:1 gene:DRNTG_21723 transcript:DRNTG_21723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRVEVIESRRCSRLFVGSSTPGRSPNNRKSNTKMALTSPAHQQSSASGGLGPFTGLVICVTGLSKEARNQVMAATERLGGQYSASLHPHCTHLVVQSFDGRKFEHALKHGSRNGLLIVSLGWFVDSVRRNVRLSESLYSVRSVEENGLPLRELSRLVGYTSAENSCLPVVDFGNTKPSNTALQAHLQTPRKEVNSTEPVLSKACIYIDPNISSEMNKKVVDAAAREGANFLNHWFAGCHATHVVCEGLSVQRYMGHASNLITPVWILKTVQEKHLQRLVHLSCDLARQISLLLENAQINHPGQDMDRLATITNTTSPTCQGTKDSLIERQKIIDMAKQGVRSRRNRRMQLCQVPLHPFTPSSILDSICWSVSEPTTSACIYTESSETEESSIKHSPVFLDARDDDNDDSRNSNTSFDNFSRPLRESDKREVIFKSHFLTILFPVDRFGELGPSARTFFSDSGFTCIQVLDMIYNFYQENMSADEIAAAIHTDSRHADRLRSMYASKESIDQDVVPFKRIDFLGSRRNLESLKRAGGENNGNVYELLIRA >DRNTG_21723.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:661538:665220:1 gene:DRNTG_21723 transcript:DRNTG_21723.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRVEVIESRRCSRLFVGSSTPGRSPNNRKSNTKMALTSPAHQQSSASGGLGPFTGLVICVTGLSKEARNQVMAATERLGGQYSASLHPHCTHLVVQSFDGRKFEHALKHGSRNGLLIVSLGWFVDSVRRNGER >DRNTG_21723.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:661538:665220:1 gene:DRNTG_21723 transcript:DRNTG_21723.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRVEVIESRRCSRLFVGSSTPGRSPNNRKSNTKMALTSPAHQQSSASGGLGPFTGLVICVTGLSKEARNQVMAATERLGGQYSASLHPHCTHLVVQSFDGRKFEHALKHGSRNGLLIVSLGWFVDSVRRNGER >DRNTG_21723.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:661538:665220:1 gene:DRNTG_21723 transcript:DRNTG_21723.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRVEVIESRRCSRLFVGSSTPGRSPNNRKSNTKMALTSPAHQQSSASGGLGPFTGLVICVTGLSKEARNQVMAATERLGGQYSASLHPHCTHLVVQISYFSLSFIGF >DRNTG_31940.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19433471:19447523:-1 gene:DRNTG_31940 transcript:DRNTG_31940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLTSLSITLFGSWILYFFSVFQTHEETTRLYDKSFPFFNDFAPVFIKNRAYGNNKEPTKPPSPLSSNSSTSRTSKHWKWKGLEGNISVKQIFENFHNFIDMVSLEFKILAEVVAYNAKLKKKIERREEKADLDRKGEKKEKPEPDLWIGRPAPDLDGGRSSATRLERHIWCAMVRSSSDDRRRKTAGDGAKAGGHQLLESYEEEFPLKVRDDIDMAINNQVMGTHVLRCVRGQQESGLHNMATSQHQDIQKSHHYIGKDAVDANIVRLEVLKNIDLVYGGGSAGLIGLISQDVFNGGSHVLGVIPKTLMVKKVVANMHQRKAEMARNSDAFIALPGGHGTLEELFEVITRAQIGIHNKPIGMLNVDGYYGSLLSLNQMHDIHHLCFKC >DRNTG_03754.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000177.1:16942:84741:1 gene:DRNTG_03754 transcript:DRNTG_03754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDFIMRRHEFEQTRARFLHASLVYSLDDVFTFVRAEETRLQVSITGGVSALAAPRLSSVSSFSSTRPPAPSVSSRTSSSTRPKRSMVCHYCGMFGHLERECQKKQRGLPRTIPSPPLLPLPHSNQQVHSVQGSPAPPSPATSFSPKRNTIVKFHMLSCIIAPIKKTRGTVLLLI >DRNTG_27406.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001396.1:209007:215825:1 gene:DRNTG_27406 transcript:DRNTG_27406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDIKKSLTQTPDGSPGRPKSDHGTHNSETSSSQNNKVNKVGKSSLEKSVAMKSSSLASTSRQSDKKLAGNDIEKSQPNRSVGEGFHDDKSLRSSMVHRKANQLPIQKGIEESSKIKLETKDAKEPTLDKSHDEPQSSDDSDDYVPLRLKFSSSGTAQKSSVCSSTQAKRPLDSPQPKPQKAAEASISRSQPKKESWDLEHEKLLVSKAQLKPVAGTSSKKLSDSGDEPLRATKGLNSSMPSLSSSEKLKSNIKMDPYESEDEKPLSSKFKSQSSGCASTQKLSDSYEEKPSKSSLKQNGSNKKDSASESKPKVVNKRPLGDGDATSDASTKKAKLSGTPNSIKSKREVADDDDDMTISQRTKKQVSSDIKQSVKKEINKVKSSSFKKDGKSKQAIKDSKYSKSLKVPPGSGGGQKWTTLEHNGVIFPPPYKPHGIKMLYNGKTVDLTPEQEEVATMFAVMKDTDYATKPKFIENFMNDWRPILGRNHVIKKYELCDFTPIYEWHLREKEKKKQMTSQEKKDLKEEKMKQEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIRPRDITINIGKGAPVPECPIPGERWKEVKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARKLKDYIKNIRVNYTKDFTSKDPTKRQISVATYLIDKLALRAGNEKDDDEADTVGCCTLKVENVTLVPPNKLQFDFLGKDSIRYFNTVEVELPVYKAIGDFQTAKKSNGEKKGDGDDLFDLLDTSKLNAHLKELMPGLTAKVFRTYNASITLDDMLNRETKDGTVPEKIAVYQHANKEVAIICNHQRSVSKSHDAQMSKLNDKIEDLKSQRDELDVDLSRAKKGKPPLKGADGKQKKNLGPEVLAKKLAQIDAKIEKMELDKKIKEDLKTVALGTSKINYLDPRISVAWCKRHEVPIEKIFNKSLLAKFAWAMDVEPGFRF >DRNTG_30114.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31056931:31059631:1 gene:DRNTG_30114 transcript:DRNTG_30114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSLHLPSIFPKALTISSRVSALPLFSLVSSRPVKVLPLVLQCPKTRHIAIPRVALSSDFEEKLDEDADEQGGEVASFSPELKLFVGNLPFTVDSSELAGLFESVGSVEMVEVIYDKLTGKSRGFGFVTMSSVGEVEAAEKQFNGYELGGRPLRVSAGPPPRKDDFPSRGSRSISSFNSPNKVYVGNLSWGVDKSTLESLFSEQGKVMDARVVYCRESGRSRGFGFVTYSTAEEVDNAISALNGFDLDGRNIRVMVAEDKPKQQF >DRNTG_21254.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:86226:89047:-1 gene:DRNTG_21254 transcript:DRNTG_21254.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRHSSGRKGLQISAEFLIGRCKKLVSGHSGQHVLPGQRNIMSACNSLQGSSESGARTVISMEGNILTRIQLGYGCRSLESCKRNFLSRRNQEPLDNVVYKCFGYLGAEMSWKKNALLVSRVKNFRSWCRALYSSGTATTVRIDGAPSVEHLENSSESSDQKLLGDRTLKLLSGSCYLPHPDKEETGGEDAHFICVDKHAIGVADGVGGWAHHGVDAGQYARELMSNVVMAIKKELKGSVDPAKVLEMAYLSTKAKGSSTACIIALTDQGIHAVNLGDSGFIVIRDGCTIFRSPVQQHDFNFTYQLESDNGSDLPSSAQ >DRNTG_21254.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:85422:89047:-1 gene:DRNTG_21254 transcript:DRNTG_21254.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRHSSGRKGLQISAEFLIGRCKKLVSGHSGQHVLPGQRNIMSACNSLQGSSESGARTVISMEGNILTRIQLGYGCRSLESCKRNFLSRRNQEPLDNVVYKCFGYLGAEMSWKKNALLVSRVKNFRSWCRALYSSGTATTVRIDGAPSVEHLENSSESSDQKLLGDRTLKLLSGSCYLPHPDKEETGGEDAHFICVDKHAIGVADGVGGWAHHGVDAGQYARELMSNVVMAIKKELKGSVDPAKVLEMAYLSTKAKGSSTACIIALTDQGIHAVNLGDSGFIVIRDGCTIFRSPVQQHDFNFTYQLESDNGSDLPSSAQVFTFPVSSGDVIVAGTDGLFDNLYNNEITAVIVHAVRAGLEPQVTAQKIAALARQRAQDKNRQTPFSTAAQDAGFRYYGGKLDDITVIVSYVIASIS >DRNTG_21254.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:85422:85995:-1 gene:DRNTG_21254 transcript:DRNTG_21254.4 gene_biotype:protein_coding transcript_biotype:protein_coding QVFTFPVSSGDVIVAGTDGLFDNLYNNEITAVIVHAVRAGLEPQVTAQKIAALARQRAQDKNRQTPFSTAAQDAGFRYYGGKLDDITVIVSYVIASIS >DRNTG_21254.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:85422:89047:-1 gene:DRNTG_21254 transcript:DRNTG_21254.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRHSSGRKGLQISAEFLIGRCKKLVSGHSGQHVLPGQRNIMSACNSLQGSSESGARTVISMEGNILTRIQLGYGCRSLESCKRNFLSRRNQEPLDNVVYKCFGYLGAEMSWKKNALLVSRVKNFRSWCRALYSSGTATTVRIDGAPSVEHLENSSESSDQKLLGDRTLKLLSGSCYLPHPDKEETGGEDAHFICVDKHAIGVADGVGGWAHHGVDAGQYARELMSNVVMAIKKELKGSVDPAKVLEMAYLSTKAKGSSTACIIALTDQGIHAVNLGDSGFIVIRDGCTIFRSPVQQHDFNFTYQLESDNGSDLPSSAQVFTFPVSSGDVIVAGTDGLFDNLYNNEITAVIVHAVRAGLEPQVTAQKIAALARQRAQDKNRQTPFSTAAQDAGFRYYGGKLDDITVIVSYVIASIS >DRNTG_10651.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:330879:331867:1 gene:DRNTG_10651 transcript:DRNTG_10651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIKSFDGDGQSAEESRKPTSLDR >DRNTG_30268.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5118775:5122494:-1 gene:DRNTG_30268 transcript:DRNTG_30268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENPGSAGEVKPPPAGAIQYDSAMDASGEHQEVQMYGRERQEMNGEEHDGVAEVEGMDADHPTDLSNLGDHIGLIVPPIGSNQLTLSFQGEVYVFDSVSPEKVQAVLLLLGGREIAGGLGSLPSSSHSYKRSNFPQRVASLMRFREKRKERNFDKKIRYTVRKEVALRMQRNKGQFTSSKSKTEDVTSTTSWDTSQRWGADDRPQAAVACHHCGINAKSTPMMRRGPNGPRTLCNACGLMWANKGTLRDLSKNPTPPPPLSLPELKEGNGTSEARVEQQPSVITANGHNMS >DRNTG_30268.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5118775:5122494:-1 gene:DRNTG_30268 transcript:DRNTG_30268.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSENPGSAGEVKPPPAGAIQYDSAMDASGEHQEVQMYGRERQEMNGEEHDGVAEVEGMDADHPTDLSNLGDHIGLIVPPIGSNQLTLSFQGEVYVFDSVSPEKVQAVLLLLGGREIAGGLGSLPSSSHSYKRSNFPQRVASLMRFREKRKERNFDKKIRYTVRKEVALRLELFR >DRNTG_10495.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000441.1:65346:79216:-1 gene:DRNTG_10495 transcript:DRNTG_10495.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKKPADTDASDRSNDRMSPMKDKPLVPIAVAGCSSGEGLPYAPEDWPNPGDIWRWRVGNRKATSGHWVDRFLYAPKSLQQTTFSSRVSVEQYIKKQFPNEDVEKFFASFLWRIPAADYAPRKESSGSEDCKAGNKMCSMQTKEKTDAFVVMPCNICCGERNFCRNCCCILCCKSIDWAYEGYSYIRCEASVDEKFICGHVAHLDCARRCYLGGTVKGSINLDVEYYCRRCDNRTDLMEHMTKLFKTCQSVNSYEDIEKMLNLALSLLRESQHILAKNLRTSMELVLAKLKRGFSLEEIWKSEDIALTFTSVTICP >DRNTG_10495.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000441.1:65346:79216:-1 gene:DRNTG_10495 transcript:DRNTG_10495.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKKPADTDASDRSNDRMSPMKDKPLVPIAVAGCSSGEGLPYAPEDWPNPGDIWRWRVGNRKATSGHWVDRFLYAPKSLQQTTFSSRVSVEQYIKKQFPNEDVEKFFASFLWRIPAADYAPRKESSGSEDCKAGNKMCSMQTKEKTDAFVVMPCNICCGERNFCRNCCCILCCKSIDWAYEGYSYIRCEASVDEKFICGHVAHLDCARRCYLGGTVKGSINLDVEYYCRRCDNRTDLMEHMTKLFKTCQSVNSYEDIEKMLNLALSLLRESQHILAKNLRTSMELVLAKLKRGFSLEEIWKSEDIALTFTSVTICP >DRNTG_10495.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000441.1:65346:79216:-1 gene:DRNTG_10495 transcript:DRNTG_10495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKKPADTDASDRSNDRMSPMKDKPLVPIAVAGCSSGEGLPYAPEDWPNPGDIWRWRVGNRKATSGHWVDRFLYAPKSLQQTTFSSRVSVEQYIKKQFPNEDVEKFFASFLWRIPAADYAPRKESSGSEDCKAGNKMCSMQTKEKTDAFVVMPCNICCGERNFCRNCCCILCCKSIDWAYEGYSYIRCEASVDEKFICGHVAHLDCARRCYLGGTVKGSINLDVEYYCRRCDNRTDLMEHMTKLFKTCQSVNSYEDIEKMLNLALSLLRESQHILAKNLRTSMELVLAKLKRGFSLEEIWKSEDIALTFTSGRRNIANNGNEITTFESLDIGRDNKERDGL >DRNTG_30533.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21360707:21361067:-1 gene:DRNTG_30533 transcript:DRNTG_30533.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRRVLMEVEAPGLLRYFIGAAIMIAGVVLPLVYMMFRNKRSSAPSSFSKQT >DRNTG_27873.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10385373:10386820:1 gene:DRNTG_27873 transcript:DRNTG_27873.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKATIIWCDNMSSIAIAKNPMFHSRTKHIDIRFHYIRSLVADGIITLKHCSTSEQLTDLLTKPLPAQHHQELKFKMGVEEIQIQIKRGIC >DRNTG_33141.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19412222:19422097:-1 gene:DRNTG_33141 transcript:DRNTG_33141.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTNLRSHIQELGKCIVAILLDISSKDIDLLNAFCTSVLKDCLDIFQQGEYLPGFSVNVQRIADFFLLLDELALPKGETWPLCCLAGPMVANSFQAIKSLDSPDAVKLLSTLVKIFGPSTIVSYLNIHHGEQDINSSANEGVTESKAITFLHIFRNEFVPWCLNGQKRSCSSKLDLLIDLIQDEYLSDQWCSIIGYATHVENYSMDGINTSIIDNNIEILSFLIEKVRKRIDNKKPGVEGKKGSLSEHWQHKLLDKAAVVVACHSCLSPSHAHFLRAVLGGSTENDQSCFLSGEAIKCMFSGTLRCLVELLHMSSFEWARTSCSLLFCAEFDESIQMLDSSFSDKLEMARAALQVLEGGIFCLRTLDEDCRLVPSLLAALFIIDWECIMASEGALDVEFLGTDVDTGSPISGNVSFDHQQEWVIDKLAFGKELHAFRCGITSSFWGSLSACRRLQIGSILAQVLRFCIFETNGFRANRTSFLCGKWALDMLNIVCQDNNELQNILDQLLCEDRSWPLWVKPLHNDAKMPAIVQLQETPLASNELRHHNFVVFVDKLICSLGFSRVIGGFNTETPIFSEVVSTEPIPSSVSYSRAWLAAEVLCTWHWPGGSTLTSFLPSLSKFAENLASPGENIVFSILKLLLDGSLVSGASCEWISFNAWTLSYDEVENIQDPFLRSLMSLLWTLIMKDKVWGECESLAILTHVLDKLYASTPVDRACLRILPYVLCVIIPRLLSESCISHEPCKDASLISLKEDLLHKNILSWLETALSFPPFACGETGPDVLEWIQVVVSCFPLSVSGGMGELTVEFSNDVYPEKTLLLNLFRRQQCDDDASLISSTASADDGTFSSLPVQMTLAKLTAVSIGYCWQEFAVGDWNFVLAQSLKWMKLLVLSMEEAAENIDDVATKYTANKKSELFLKELDAAIQAIDSLQISICTTALVIYSVFSKLFEVEQACMNEVLQSLLHFKDEMMEGILRIFFATAVTEAIAGSLGEEASSIIALSRSSYRHFWELVAVSVRKSPSHVRIKAMQSMELWGLSKGPVSSLYAILFSPKPNSSLQLAAYSLLTTEPVCQLSIFKHKDSEGIVTTDEGTVMPHNFESTSEESYDLRDEVSCLIQQPAAQLLQMDLLSPDRVNVFLAWALLLSNLHSLPTSSSARQTLIQYIQDFVTSTILDCIFQHIPLKVGSNNMKKKEAELPVEVSKAANFAKQVITSSSMLSSVESLWPIGSEQMASLAGSIYGLMIHVLPAYVRNWFTSLRDRSLSSSIEFFTKTWCSPTLLSDELSQVKEAVIADENFSVAVNKSSYEIIATYKKEETGMDLVIRLSSSYPLRPVDVECTRSLGISEVKQRKWLLSLSAFVRSQNGAIAEAIRIWKSNFDKEFQGVEECPICYSIIHTANHSLPRLACKTCKHKFHSACLYKWFSTSHKSTCPLCQTPF >DRNTG_33141.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19412222:19426494:-1 gene:DRNTG_33141 transcript:DRNTG_33141.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTNLRSHIQELGKCIVAILLDISSKDIDLLNAFCTSVLKDCLDIFQQGEYLPGFSVNVQRIADFFLLLDELALPKGETWPLCCLAGPMVANSFQAIKSLDSPDAVKLLSTLVKIFGPSTIVSYLNIHHGEQDINSSANEGVTESKAITFLHIFRNEFVPWCLNGQKRSCSSKLDLLIDLIQDEYLSDQWCSIIGYATHVENYSMDGINTSIIDNNIEILSFLIEKVRKRIDNKKPGVEGKKGSLSEHWQHKLLDKAAVVVACHSCLSPSHAHFLRAVLGGSTENDQSCFLSGEAIKCMFSGTLRCLVELLHMSSFEWARTSCSLLFCAEFDESIQMLDSSFSDKLEMARAALQVLEGGIFCLRTLDEDCRLVPSLLAALFIIDWECIMASEGALDVEFLGTDVDTGSPISGNVSFDHQQEWVIDKLAFGKELHAFRCGITSSFWGSLSACRRLQIGSILAQVLRFCIFETNGFRANRTSFLCGKWALDMLNIVCQDNNELQNILDQLLCEDRSWPLWVKPLHNDAKMPAIVQLQETPLASNELRHHNFVVFVDKLICSLGFSRVIGGFNTETPIFSEVVSTEPIPSSVSYSRAWLAAEVLCTWHWPGGSTLTSFLPSLSKFAENLASPGENIVFSILKLLLDGSLVSGASCEWISFNAWTLSYDEVENIQDPFLRSLMSLLWTLIMKDKVWGECESLAILTHVLDKLYASTPVDRACLRILPYVLCVIIPRLLSESCISHEPCKDASLISLKEDLLHKNILSWLETALSFPPFACGETGPDVLEWIQVVVSCFPLSVSGGMGELTVEFSNDVYPEKTLLLNLFRRQQCDDDASLISSTASADDGTFSSLPVQMTLAKLTAVSIGYCWQEFAVGDWNFVLAQSLKWMKLLVLSMEEAAENIDDVATKYTANKKSELFLKELDAAIQAIDSLQISICTTALVIYSVFSKLFEVEQACMNEVLQSLLHFKDEMMEGILRIFFATAVTEAIAGSLGEEASSIIALSRSSYRHFWELVAVSVRKSPSHVRIKAMQSMELWGLSKGPVSSLYAILFSPKPNSSLQLAAYSLLTTEPVCQLSIFKHKDSEGIVTTDEGTVMPHNFESTSEESYDLRDEVSCLIQQPAAQLLQMDLLSPDRVNVFLAWALLLSNLHSLPTSSSARQTLIQYIQDFVTSTILDCIFQHIPLKVGSNNMKKKEAELPVEVSKAANFAKQVITSSSMLSSVESLWPIGSEQMASLAGSIYGLMIHVLPAYVRNWFTSLRDRSLSSSIEFFTKTWCSPTLLSDELSQVKEAVIADENFSVAVNKSSYEIIATYKKEETGMDLVIRLSSSYPLRPVDVECTRSLGISEVKQRKWLLSLSAFVRSQNGAIAEAIRIWKSNFDKEFQGVEECPICYSIIHTANHSLPRLACKTCKHKFHSACLYKWFSTSHKSTCPLCQTPF >DRNTG_33141.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19412222:19426494:-1 gene:DRNTG_33141 transcript:DRNTG_33141.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSGQPLTHKFSKHCYLFVNITFFRKGLAPHLKSLMGPWWFSQFDPIPEVSQAARRSLEVVFPAQEKRLEALALCISEIFLYLDENLKLTPQSMSDKATPLDEMEEIHKRVIASSLVAAAGLTDVLLGTTQNDELKNADADQRLNSKARAKLLSHAEQMFSSHKYFLEFLKSKNPDVRSATYSILASFIKHIPQVFNEGNMKTLSAAILGAFNEKDASCHSTMWDMILLFSRNIPEGWSHCNVEKVVLNRFWHFLSGGCYGSQQISYPVLVLFLDSIPSKAAIGEQFVFKFFKHLWEGRNTVQALAADGLALFKAFKECFLWVLYHPTRYSVGEDGFSLPSCLLNDVLVGLLWHDYIALVAPKNQQQTSSEQSACSTESSTSVPHERSTIMLNTNLRSHIQELGKCIVAILLDISSKDIDLLNAFCTSVLKDCLDIFQQGEYLPGFSVNVQRIADFFLLLDELALPKGETWPLCCLAGPMVANSFQAIKSLDSPDAVKLLSTLVKIFGPSTIVSYLNIHHGEQDINSSANEGVTESKAITFLHIFRNEFVPWCLNGQKRSCSSKLDLLIDLIQDEYLSDQWCSIIGYATHVENYSMDGINTSIIDNNIEILSFLIEKVRKRIDNKKPGVEGKKGSLSEHWQHKLLDKAAVVVACHSCLSPSHAHFLRAVLGGSTENDQSCFLSGEAIKCMFSGTLRCLVELLHMSSFEWARTSCSLLFCAEFDESIQMLDSSFSDKLEMARAALQVLEGGIFCLRTLDEDCRLVPSLLAALFIIDWECIMASEGALDVEFLGTDVDTGSPISGNVSFDHQQEWVIDKLAFGKELHAFRCGITSSFWGSLSACRRLQIGSILAQVLRFCIFETNGFRANRTSFLCGKWALDMLNIVCQDNNELQNILDQLLCEDRSWPLWVKPLHNDAKMPAIVQLQETPLASNELRHHNFVVFVDKLICSLGFSRVIGGFNTETPIFSEVVSTEPIPSSVSYSRAWLAAEVLCTWHWPGGSTLTSFLPSLSKFAENLASPGENIVFSILKLLLDGSLVSGASCEWISFNAWTLSYDEVENIQDPFLRSLMSLLWTLIMKDKVWGECESLAILTHVLDKLYASTPVDRACLRILPYVLCVIIPRLLSESCISHEPCKDASLISLKEDLLHKNILSWLETALSFPPFACGETGPDVLEWIQVVVSCFPLSVSGGMGELTVEFSNDVYPEKTLLLNLFRRQQCDDDASLISSTASADDGTFSSLPVQMTLAKLTAVSIGYCWQEFAVGDWNFVLAQSLKWMKLLVLSMEEAAENIDDVATKYTANKKSELFLKELDAAIQAIDSLQISICTTALVIYSVFSKLFEVEQACMNEVLQSLLHFKDEMMEGILRIFFATAVTEAIAGSLGEEASSIIALSRSSYRHFWELVAVSVRKSPSHVRIKAMQSMELWGLSKGPVSSLYAILFSPKPNSSLQLAAYSLLTTEPVCQLSIFKHKDSEGIVTTDEGTVMPHNFESTSEESYDLRDEVSCLIQQPAAQLLQMDLLSPDRVNVFLAWALLLSNLHSLPTSSSARQTLIQYIQDFVTSTILDCIFQHIPLKVGSNNMKKKEAELPVEVSKAANFAKQVITSSSMLSSVESLWPIGSEQMASLAGSIYGLMIHVLPAYVRNWFTSLRDRSLSSSIEFFTKTWCSPTLLSDELSQVKEAVIADENFSVAVNKSSYEIIATYKKEETGMDLVIRLSSSYPLRPVDVECTRSLGISEVKQRKWLLSLSAFVRSQNGAIAEAIRIWKSNFDKEFQGVEECPICYSIIHTANHSLPRLACKTCKHKFHSACLYKWFSTSHKSTCPLCQTPF >DRNTG_33141.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19412222:19426494:-1 gene:DRNTG_33141 transcript:DRNTG_33141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKGDGTRSKSRPSSSSLAASLLPPGASTVGFGGYLGSSKIDTSSSSSVEGGAPSLDVDAEVALHLKRLGRKDPTTKLKALTSLSSLFKQRPGEEIVQIVPRWTFEYRRLLLDYNQEVRRATHETMTSLVTILRKGLAPHLKSLMGPWWFSQFDPIPEVSQAARRSLEVVFPAQEKRLEALALCISEIFLYLDENLKLTPQSMSDKATPLDEMEEIHKRVIASSLVAAAGLTDVLLGTTQNDELKNADADQRLNSKARAKLLSHAEQMFSSHKYFLEFLKSKNPDVRSATYSILASFIKHIPQVFNEGNMKTLSAAILGAFNEKDASCHSTMWDMILLFSRNIPEGWSHCNVEKVVLNRFWHFLSGGCYGSQQISYPVLVLFLDSIPSKAAIGEQFVFKFFKHLWEGRNTVQALAADGLALFKAFKECFLWVLYHPTRYSVGEDGFSLPSCLLNDVLVGLLWHDYIALVAPKNQQQTSSEQSACSTESSTSVPHERSTIMLNTNLRSHIQELGKCIVAILLDISSKDIDLLNAFCTSVLKDCLDIFQQGEYLPGFSVNVQRIADFFLLLDELALPKGETWPLCCLAGPMVANSFQAIKSLDSPDAVKLLSTLVKIFGPSTIVSYLNIHHGEQDINSSANEGVTESKAITFLHIFRNEFVPWCLNGQKRSCSSKLDLLIDLIQDEYLSDQWCSIIGYATHVENYSMDGINTSIIDNNIEILSFLIEKVRKRIDNKKPGVEGKKGSLSEHWQHKLLDKAAVVVACHSCLSPSHAHFLRAVLGGSTENDQSCFLSGEAIKCMFSGTLRCLVELLHMSSFEWARTSCSLLFCAEFDESIQMLDSSFSDKLEMARAALQVLEGGIFCLRTLDEDCRLVPSLLAALFIIDWECIMASEGALDVEFLGTDVDTGSPISGNVSFDHQQEWVIDKLAFGKELHAFRCGITSSFWGSLSACRRLQIGSILAQVLRFCIFETNGFRANRTSFLCGKWALDMLNIVCQDNNELQNILDQLLCEDRSWPLWVKPLHNDAKMPAIVQLQETPLASNELRHHNFVVFVDKLICSLGFSRVIGGFNTETPIFSEVVSTEPIPSSVSYSRAWLAAEVLCTWHWPGGSTLTSFLPSLSKFAENLASPGENIVFSILKLLLDGSLVSGASCEWISFNAWTLSYDEVENIQDPFLRSLMSLLWTLIMKDKVWGECESLAILTHVLDKLYASTPVDRACLRILPYVLCVIIPRLLSESCISHEPCKDASLISLKEDLLHKNILSWLETALSFPPFACGETGPDVLEWIQVVVSCFPLSVSGGMGELTVEFSNDVYPEKTLLLNLFRRQQCDDDASLISSTASADDGTFSSLPVQMTLAKLTAVSIGYCWQEFAVGDWNFVLAQSLKWMKLLVLSMEEAAENIDDVATKYTANKKSELFLKELDAAIQAIDSLQISICTTALVIYSVFSKLFEVEQACMNEVLQSLLHFKDEMMEGILRIFFATAVTEAIAGSLGEEASSIIALSRSSYRHFWELVAVSVRKSPSHVRIKAMQSMELWGLSKGPVSSLYAILFSPKPNSSLQLAAYSLLTTEPVCQLSIFKHKDSEGIVTTDEGTVMPHNFESTSEESYDLRDEVSCLIQQPAAQLLQMDLLSPDRVNVFLAWALLLSNLHSLPTSSSARQTLIQYIQDFVTSTILDCIFQHIPLKVGSNNMKKKEAELPVEVSKAANFAKQVITSSSMLSSVESLWPIGSEQMASLAGSIYGLMIHVLPAYVRNWFTSLRDRSLSSSIEFFTKTWCSPTLLSDELSQVKEAVIADENFSVAVNKSSYEIIATYKKEETGMDLVIRLSSSYPLRPVDVECTRSLGISEVKQRKWLLSLSAFVRSQNGAIAEAIRIWKSNFDKEFQGVEECPICYSIIHTANHSLPRLACKTCKHKFHSACLYKWFSTSHKSTCPLCQTPF >DRNTG_27087.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17465436:17466914:-1 gene:DRNTG_27087 transcript:DRNTG_27087.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISYVYHVLSYHLICFFPPLFKFLIIAILNCFYQLQRNGLTHLGFPSDERKLEIFEKLEERSKGHNEKDAHASKRKGESDDEGLAEGEAEEESSEDDYNQNVDFDDDEDDLNMEEEADEDFYE >DRNTG_14473.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000693.1:91586:93615:-1 gene:DRNTG_14473 transcript:DRNTG_14473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLEKHLTRFIQSANTRFESVESTIRNHTASLHNLENQTNPREHVKVITLRSGREVEGRLPSEKLKEHAPEVIENWTNYPESTQGRGLASVGDLVNYTRAWVISTRPCETLQRSSLHTEKTQGRVATPMSREATGACGCPCGSIARAWVFSTCPCKSSQSRRSVFPRAHRGVRAPLWLSCNESHGRGYFPHARVDAQNAKGCEFFLKKISFSFSSLPLFVWKHSHISLRLHSTNLVGLLHGFPAGSSFSHSISSKKQADKRPRESSPEPDGMSFAIPEHQLLKIREPAIREFAKEVLSSFEFDRSYASLDSLDTIQFRVFGHHHSLSLTQFSVRLGLHEEAFTVTEEYAQLPTDYPGTLTPQRAYRVLCGQGQYEPGVSKATCLSQPAYRYLHAIMSRSVNGRGDSTGVLSRHELLYLYSMVQRVLIHLGTSWQSTSDIRGTMLD >DRNTG_07495.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3859549:3864932:1 gene:DRNTG_07495 transcript:DRNTG_07495.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tocopherol cyclase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G32770) UniProtKB/Swiss-Prot;Acc:Q94FY7] MESILLPSLRFHSSSPLPLRRQSRLHPPPPSVSGAVRASASIDPVYKPTPPDRPLRTPHSGYHFDGTTRVFFEGWYFKVSIPECRQSFCFMYSVESPAFPSGMSTLDRVIHGPRFTGVGAQILGADDKYICQFTDLSKNFWGSRHELMLGNTFVRADNATPPSGEVPPQEFRSRVLEGFQVTPIWHQGCICDDGRSNYVQTVKTARWEYSTHPVYGWGDVNSKQKSTAGWLAVFPVFEPHWQICMAGGLSTGWIEWDGERYEFENAPSYSEKNWGAGFPRKWFWVQCNVFEGAQGDVALTCAGGLRKLSGLADSYENVALIGIHYQGIFYEFVPWTGTLNWEIAPWGHWKLSALNEKHMVEIEATTKESGSPLRAPTAEAGFVTACKDTCTADLRLQLWERRYDGSKGKIILDATSNMAAVEVGGGPWYQTWNGTASSPEVISRALRVPVDMESLFPVPFFRPPGL >DRNTG_13091.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2550608:2559649:1 gene:DRNTG_13091 transcript:DRNTG_13091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPSQNHQRRRWSGTVALLVAIVVLAEISFLGRLDMGKNAAAVHKWTTSLYFSFDDDAVVNDGAGDLISLPSSLPIPVEVERLSCEEWLEREDNVSYSRDFSKDPVIISGMDQDWSSCSVGCKFGYSANKIPDAAFGLPSDPATASVLRSMESSHYYPENNIELARRRGYKVIMTTSLSSDVPVGYFSWAEYDIMAPVQPKTEDALAAAFISNCGARNFRLQALEMLEELKIKIDSYGSCHRNHDGRVDKVKALKRYKFSLAFENSNEEDYVTEKFFQSLVAGNIPVVVGAPNILDFAPSPESVLHIKQLSDVALVAKTMKHLAANPDAYNQSLRWKFEGPSDSFKALVDMAAVHSSCRLCIHLATKIHEEEEKTTRFENRPCKCTNKVGTTAYHLYVRERGRFGMESIFLRSDMLTLEALKSVVLAKFKSLNHTPIWKGERPVSIRGTDFKVHRIYPIGLTQRQALYTFKFDGDADLGKYITSHPCAKFEVIFV >DRNTG_30495.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:689274:696648:1 gene:DRNTG_30495 transcript:DRNTG_30495.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase [Source:Projected from Arabidopsis thaliana (AT2G03120) UniProtKB/Swiss-Prot;Acc:O81062] MSKEHAMRFPLVGSAMLLSLFLLFKFFSKDLVNAVLTCYFFVLGIVAFSATLLPMIKRFLPRQWNENAIVWHLPYFQSASVEFTKSQVVAAIPGTFFCAWYASQKHWLANNILGIAFCIQGIEMLSLGSFKIGAILLGGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTSNPERPFSMLGLGDIVIPGIFVALALRFDVSRGKPNRYFNSAFAGYTAGLVLTIFVMNWFQAAQPALLYIVPGVIGFVAVHCLWNGEVKELLEYDESKNAIDSSSCSTAEDDDKPIKKE >DRNTG_30495.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:689274:696648:1 gene:DRNTG_30495 transcript:DRNTG_30495.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase [Source:Projected from Arabidopsis thaliana (AT2G03120) UniProtKB/Swiss-Prot;Acc:O81062] MSKEHAMRFPLVGSAMLLSLFLLFKFFSKDLVNAVLTCYFFVLGIVAFSATLLPMIKRFLPRQWNENAIVWHLPYFQSASVEFTKSQVVAAIPGTFFCAWYASQKHWLANNILGIAFCIQGIEMLSLGSFKIGAILLGGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTSNPERPFSMLGLGDIVIPGIFVALALRFDVSRGKPNRYFNSAFAGYTAGLVLTIFVMNWFQAAQPALLYIVPGVIGFVAVHCLWNGEVKELLEYDESKNAIDSSSCSTAEDDDKPIKKE >DRNTG_30495.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:689274:696648:1 gene:DRNTG_30495 transcript:DRNTG_30495.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase [Source:Projected from Arabidopsis thaliana (AT2G03120) UniProtKB/Swiss-Prot;Acc:O81062] MSKEHAMRFPLVGSAMLLSLFLLFKFFSKDLVNAVLTCYFFVLGIVAFSATLLPMIKRFLPRQWNENAIVWHLPYFQSASVEFTKSQVVAAIPGTFFCAWYASQKHWLANNILGIAFCIQGIEMLSLGSFKIGAILLGGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTSNPERPFSMLGLGDIVIPGIFVALALRFDVSRGKPNRYFNSAFAGYTAGLVLTIFVMNWFQAAQPALLYIVPGVIGFVAVHCLWNGEVKELLEYDESKNAIDSSSCSTAEDDDKPIKKE >DRNTG_10669.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5357152:5359627:1 gene:DRNTG_10669 transcript:DRNTG_10669.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHIVALVGPQCSIIANIISHVANELKVPLLSFAATNPTFSSLQYLYFILTTLSDAFQMQAVSDFVNYYQWRQVIAIFMDDEYGCNGVADLANKLTERRSRDGRSSHLNLQLQAMAIFNGGEILLEKIKKINIEGVTSLIQFDQDRNRIHPAYDIVNVIYSELKIIGIVPVMYTWASTVPLLFTSRGVNPLWEKQWFHLSKVEGQGDFFRRSSSYIASLTSILTLKQLSTQIRGIESLIATGYPIGYQMGSFVESYMMEELGIPMSSLRGLGSPKALELGHENGGVAPVCR >DRNTG_10965.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1055651:1057696:1 gene:DRNTG_10965 transcript:DRNTG_10965.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGVRSRTRDLFARPFRKKGYIPLTTYLRTYKIGDYVDIKVNGAVHKGMPHKFYHGRTGKVWNVTKRAIGVEVNKQVGNRIIRKRIHVRVEHVIPSRCTEEFRLRKKKNDELKAEAKARGEKISTKRRPEGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >DRNTG_10965.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1055651:1058656:1 gene:DRNTG_10965 transcript:DRNTG_10965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGVRSRTRDLFARPFRKKGYIPLTTYLRTYKIGDYVDIKVNGAVHKGMPHKFYHGRTGKVWNVTKRAIGVEVNKQVGNRIIRKRIHVRVEHVIPSRCTEEFRLRKKKNDELKAEAKARGEKISTKRRPEGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >DRNTG_10965.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1055651:1057738:1 gene:DRNTG_10965 transcript:DRNTG_10965.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGVRSRTRDLFARPFRKKGYIPLTTYLRTYKIGDYVDIKVNGAVHKGMPHKFYHGRTGKVWNVTKRAIGVEVNKQVGNRIIRKRIHVRVEHVIPSRCTEEFRLRKKKNDELKAEAKARGEKISTKRRPEGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >DRNTG_27137.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19042544:19043449:-1 gene:DRNTG_27137 transcript:DRNTG_27137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELESGAQAPERRYLKSYRTTLLLAYQSFGVVYGDLSTSPIYVYKSTFSGKLQLHEQD >DRNTG_05992.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19634266:19636279:-1 gene:DRNTG_05992 transcript:DRNTG_05992.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISMVVHRNLLHLCGFCMTPKERLLVYPFMANGSVASCLSGTSNFFHYLVHTEYVDIRNCVIYFLSPSH >DRNTG_05992.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19632525:19636279:-1 gene:DRNTG_05992 transcript:DRNTG_05992.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISMVVHRNLLHLCGFCMTPKERLLVYPFMANGSVASCLSGGFMVKDREG >DRNTG_05992.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19632525:19636279:-1 gene:DRNTG_05992 transcript:DRNTG_05992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISMVVHRNLLHLCGFCMTPKERLLVYPFMANGSVASCLSGGFMVKDREG >DRNTG_14433.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000681.1:15460:17727:1 gene:DRNTG_14433 transcript:DRNTG_14433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRPSQTPHLTMSSARIGRARGAALEPKGGAEPRLRSTE >DRNTG_14433.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000681.1:15460:16112:1 gene:DRNTG_14433 transcript:DRNTG_14433.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRPSQTPHLTMSSARIGRARGAALEPKGGAEPRLRSTE >DRNTG_32407.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12939317:12939736:-1 gene:DRNTG_32407 transcript:DRNTG_32407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKESIKVGEEASPKPERPPLQTIAVSPLKNRTKDVKGKMTIYKHKPRLSHASKHLRGFSTCACELQEFPRGHVKSVSGIVLLQ >DRNTG_04442.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10374051:10375133:1 gene:DRNTG_04442 transcript:DRNTG_04442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSHSHEAIRLSDYESESEYEEPEETIEETLQSDLGAYTLGSETQTRFSVDDVGAKFQALKLKYSSKASISSTLILVAKLRFFMVLKPRDEVLCLIIKCYEVGLGGVNQNLPVWNNEKFFAECLQKAEFESQEK >DRNTG_27312.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:195963:201601:1 gene:DRNTG_27312 transcript:DRNTG_27312.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSAT1 [Source:Projected from Arabidopsis thaliana (AT1G04010) UniProtKB/TrEMBL;Acc:A0A178W9Q7] MAERRGGDEWHRSPRLIVLLLALLLMFREGDGSSSEPFYKKLSGIIIPGFASTQLRAWSVLDCPFSPLDFNPLDSVWLDTTKLLSAVNCWLKCMVLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKEWLRWCIEFGIDANAIIAVPYDWRLSASMLEERDLYFHKLKVTFETALKLRGGPSLVLAHSLGNNVFRHFLEWLKLEIAPKHYVQWLDEHIHAYFAVGAPLLGATESVKATLSGVTFGLPIAEGTARLMYNSFGSSLWLMPFSKHCKSDNIYWKQFFEGRGSRHHKPHCDDTEFRSNYSGWPTDIINIEAWMPIHLLLK >DRNTG_27312.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:195963:201601:1 gene:DRNTG_27312 transcript:DRNTG_27312.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSAT1 [Source:Projected from Arabidopsis thaliana (AT1G04010) UniProtKB/TrEMBL;Acc:A0A178W9Q7] MAERRGGDEWHRSPRLIVLLLALLLMFREGDGSSSEPFYKKLSGIIIPGFASTQLRAWSVLDCPFSPLDFNPLDSVWLDTTKLLSAVNCWLKCMVLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKEWLRWCIEFGIDANAIIAVPYDWRLSASMLEERDLYFHKLKVTFETALKLRGGPSLVLAHSLGNNVFRHFLEWLKLEIAPKHYVQWLDEHIHAYFAVGAPLLGATESVKATLSGVTFGLPIAEGTARLMYNSFGSSLWLMPFSKHCKSDNIYWKQFFEGRGSRHHKPHCDDTEFRSNYSGWPTDIINIEAWMPIHLLLK >DRNTG_27312.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:197619:201601:1 gene:DRNTG_27312 transcript:DRNTG_27312.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSAT1 [Source:Projected from Arabidopsis thaliana (AT1G04010) UniProtKB/TrEMBL;Acc:A0A178W9Q7] MYNSFGSSLWLMPFSKHCKSDNIYWKQFFEGRGSRHHKPHCDDTEFRSNYSGWPTDIINIEVPSMRGMDAYPSVAEVAEDLASNMECGRPVQMSFSAREVSDGTFFKAIEDFDPDSKRLLHQLQKYYQGDPVLNPHTPWERPPLKNIFCIYGIDSKTEVGYYFAPSGKPYPDNWIITDIVYELEGTLLSRSGNTVNGNPSAVSGDETVPYHSLSWCKTWLGSKVNITRAPQAEHDGSDVHVRLNVDHHPGEDILANMTRAPRVKYITYFEDAESIPGRRTAVWELDKGLRIIFILLVLLMV >DRNTG_27312.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:195963:201601:1 gene:DRNTG_27312 transcript:DRNTG_27312.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSAT1 [Source:Projected from Arabidopsis thaliana (AT1G04010) UniProtKB/TrEMBL;Acc:A0A178W9Q7] MAERRGGDEWHRSPRLIVLLLALLLMFREGDGSSSEPFYKKLSGIIIPGFASTQLRAWSVLDCPFSPLDFNPLDSVWLDTTKLLSAVNCWLKCMVLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKEWLRWCIEFGIDANAIIAVPYDWRLSASMLEERDLYFHKLKVTFETALKLRGGPSLVLAHSLGNNVFRHFLEWLKLEIAPKHYVQWLDEHIHAYFAVGAPLLGATESVKATLSGVTFGLPIAEGTARLMYNSFGSSLWLMPFSKHCKSDNIYWKQFFEGRGSRHHKPHCDDTEFRSNYSGWPTDIINIEVPSMRGMDAYPSVAEVAEDLASNMECGRPVQMSFSAREVSDGTFFKAIEDFDPDSKRLLHQLQKYYQGDPVLNPHTPWERPPLKNIFCIYGIDSKTEVGYYFAPSGKPYPDNWIITDIVYELEGTLLSRSGNTVNGNPSAVSGDETVPYHSLSWCKTWLGSKVNITRAPQAEHDGSDVHVRLNVDHHPGEDILANMTRAPRVKYITYFEDAESIPGRRTAVWELDKANHRNIVRLPVFMRELWLQMWHDIHPDAKSKFVTKERRGPMRDVDCYWDYGKARCAWPEHCEYRYVFGDVHLGQSCRLRNSSSDLLLHYL >DRNTG_27312.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:195963:201601:1 gene:DRNTG_27312 transcript:DRNTG_27312.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSAT1 [Source:Projected from Arabidopsis thaliana (AT1G04010) UniProtKB/TrEMBL;Acc:A0A178W9Q7] MCSGSMNIYMPISQLVCLFFLPRGAPLLGATESVKATLSGVTFGLPIAEGTARLMYNSFGSSLWLMPFSKHCKSDNIYWKQFFEGRGSRHHKPHCDDTEFRSNYSGWPTDIINIEVPSMRGMDAYPSVAEVAEDLASNMECGRPVQMSFSAREVSDGTFFKAIEDFDPDSKRLLHQLQKYYQGDPVLNPHTPWERPPLKNIFCIYGIDSKTEVGYYFAPSGKPYPDNWIITDIVYELEGTLLSRSGNTVNGNPSAVSGDETVPYHSLSWCKTWLGSKVNITRAPQAEHDGSDVHVRLNVDHHPGEDILANMTRAPRVKYITYFEDAESIPGRRTAVWELDKANHRNIVRLPVFMRELWLQMWHDIHPDAKSKFVTKERRGPMRDVDCYWDYGKARCAWPEHCEYRYVFGDVHLGQSCRLRNSSSDLLLHYL >DRNTG_27312.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:195963:201601:1 gene:DRNTG_27312 transcript:DRNTG_27312.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSAT1 [Source:Projected from Arabidopsis thaliana (AT1G04010) UniProtKB/TrEMBL;Acc:A0A178W9Q7] MAERRGGDEWHRSPRLIVLLLALLLMFREGDGSSSEPFYKKLSGIIIPGFASTQLRAWSVLDCPFSPLDFNPLDSVWLDTTKLLSAVNCWLKCMVLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKEWLRWCIEFGIDANAIIAVPYDWRLSASMLEERDLYFHKLKVTFETALKLRGGPSLVLAHSLGNNVFRHFLEWLKLEIAPKHYVQWLDEHIHAYFAVGVLVFSSSRCSPSWCY >DRNTG_11395.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14145137:14145988:-1 gene:DRNTG_11395 transcript:DRNTG_11395.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGPSPQPAHLFLFLQKASHLISVRSREERERERESLRPWAEEEITRTMERISGPRCGA >DRNTG_06676.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3160788:3161465:1 gene:DRNTG_06676 transcript:DRNTG_06676.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSSIEEHITNCSTDTLDAGHRPKKQMLEQFDFHLSTILANQCH >DRNTG_27235.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1496616:1501820:1 gene:DRNTG_27235 transcript:DRNTG_27235.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAKSLEGCVNGYLQCVEYERVPSKILTYQASDDPLYSGYRSAVQSTSQEDTLLGFAIWEPPHGRYKMLKYPWKNYVKVSGALRHCAFMVMAMHGCILSEIQAPPERRRVFSNEMQRVGSEGAKVLRELGNRVKTMTRLSSPNILFEVHEAAEDLQRKIDRKSYLLVNSQHWEIGKRPEGIEHVDGVNIGNRFLATKSLSETVIDFRAINNLSKSWDVQNSVNTGLDLSTQTAGSPEALLTKQISWPGRQISILDNIPDEEEPSTYESASALSLATFTSLLIEFVARLQNLVDAFEELSEKAKFKEPEQELIVQNSGFWTKVRNCFGWRD >DRNTG_12824.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32877172:32878875:1 gene:DRNTG_12824 transcript:DRNTG_12824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMLASFMVLLLPSLAASSVHNNHTNIQQNPLKLNSQLSFQIIFHAFLLWASLGFLMPVGTLVIRMSNTVKCGKKLKALYYCHVILQITAVFLALAAVILSIIHFENFFNNTHQRIGLALYVLIVIQPLVGFFRPQRGVKFRRLWYFVHWILGNGVCIVGIINIYIGLHAFHARASKNVRLWTILFTVEVCIIAFIYLLQDRWNYMQKQGVILGNEQITPTDHSPQNIQKDHEAEIP >DRNTG_12824.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32877172:32878875:1 gene:DRNTG_12824 transcript:DRNTG_12824.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFVRKEMSVMLASFMVLLLPSLAASSVHNNHTNIQQNPLKLNSQLSFQIIFHAFLLWASLGFLMPVGTLVIRMSNTVKCGKKLKALYYCHVILQITAVFLALAAVILSIIHFENFFNNTHQRIGLALYVLIVIQPLVGFFRPQRGVKFRRLWYFVHWILGNGVCIVGIINIYIGLHAFHARASKNVRLWTILFTVEVCIIAFIYLLQDRWNYMQKQGVILGNEQITPTDHSPQNIQKDHEAEIP >DRNTG_12969.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30509698:30517665:-1 gene:DRNTG_12969 transcript:DRNTG_12969.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHVSGIVALIMSKLKNDNKRQWSTSEIQSALITTANTFDLDGKPIFDEATLNDRANILQRGAGQVNVAKAMDPGLVYNIEPDDYVAYLCGIFSNNSQDVQIFTKNNTQNCTRSISGEQLNYPSIGIQMASRSASTTISRTLTNVGDAREIYNATFIEPPFVRIYLSHYQLSFTRLEQKFTYSITLTMNGSHPGLGVIGEGELSWVSSKHNVTSPIYIAF >DRNTG_12969.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30509698:30512209:-1 gene:DRNTG_12969 transcript:DRNTG_12969.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIKDGCPSLLVFVFFLSLNIVLLVHGQLLPIVSDRRENTSQIQTFIVHVLKPEGSNFLGGEGLENWHKSFLPNTTLDTGEPRLLFSYKEAISGFAARLTPEEVRDMEKMDGFLRANPSRTLHLQTTYTHDFLNLSTLFGAWSTSNSFYGEGIIIGVLDTGIHMPHPSFDDTGMPPRPAGWNVSCYLQTPCNDKVIAAQSFDTANSTTPATDIDQGHGTHVAGIAAGNFVDNAEVLDQALGRAAGMAPRAFISVYKVCWKPGGCGSAGVLAAIDKAMQDGVHILQMSFGARPPNLPTSFTEDDVAVATFSAMQKGIFPCTAAGNNGPDPKTLSHAAPWDMVVGATTTDRRIRATVTLGNGTQFHGESAYQPNMVTNQFYPLVFPGSNGQHSQLYCFNNSLNGTDVRDKIVMCNIGGLDNIEKGKVVRNAGGAGMILMNFERLGYTTFSDAHHLPVSHVSYKDAIQIKDYIISNSTPTAKITFGGTIFDIHPSPALAYFSSRGPAKYNGNIVKPDVTAPGVNILSAWPLEVGPFPSGLKIKTFNFESGTSMATPHVSGIVALIMSKLKNDNKRQWSTSEIQSALITTANTFDLDGKPIFDEATLNDRANILQRGAGQVNVAKAMDPGLVYNIEPDDYVAYLCGIFSNNSQDVQIFTKNNTQNCTRSISGEQLNYPSIGIQMASRSASTTISRTLTNVGDAREIYNATFIEPPFVRIYLSHYQLSFTRLEQKFTYSITLTMNGSHPGLGVIGEGELSWVSSKHNVTSPIYIAF >DRNTG_12969.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30509698:30518477:-1 gene:DRNTG_12969 transcript:DRNTG_12969.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAFISVYKVCWKPGGCGSAGVLAAIDKAMQDGVHILQMSFGARPPNLPTSFTEDDVAVATFSAMQKGIFPCTAAGNNGPDPKTLSHAAPWDMVVGATTTDRRIRATVTLGNGTQFHGESAYQPNMVTNQFYPLVFPGSNGQHSQLYCFNNSLNGTDVRDKIVMCNIGGLDNIEKGKVVRNAGGAGMILMNFERLGYTTFSDAHHLPVSHVSYKDAIQIKDYIISNSTPTAKITFGGTIFDIHPSPALAYFSSRGPAKYNGNIVKPDVTAPGVNILSAWPLEVGPFPSGLKIKTFNFESGTSMATPHVSGIVALIMSKLKNDNKRQWSTSEIQSALITTANTFDLDGKPIFDEATLNDRANILQRGAGQVNVAKAMDPGLVYNIEPDDYVAYLCGIFSNNSQDVQIFTKNNTQNCTRSISGEQLNYPSIGIQMASRSASTTISRTLTNVGDAREIYNATFIEPPFVRIYLSHYQLSFTRLEQKFTYSITLTMNGSHPGLGVIGEGELSWVSSKHNVTSPIYIAF >DRNTG_19625.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001033.1:22871:24312:1 gene:DRNTG_19625 transcript:DRNTG_19625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFQEFKQRNKNERKHQRSHLLPSTSTATLAVCSTPAVSSAARHFQRRV >DRNTG_24168.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001290.1:1:3334:-1 gene:DRNTG_24168 transcript:DRNTG_24168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVMLRSSPQWNETAFLITYDEHGGFYDHIPTRLQYAQSR >DRNTG_14421.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:18001222:18003694:1 gene:DRNTG_14421 transcript:DRNTG_14421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTVPPREEEEEGEEEGMKKERERRRKKKDLGGLKEEGTWCLISVAQAWEGVPCLARNPRETRGVSSCYYFG >DRNTG_35400.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1593138:1598490:-1 gene:DRNTG_35400 transcript:DRNTG_35400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAGGMAPPPMNQQHMAQPQQQWGMMAPPPPPQYQQPPPPMWGQQPPQIPPTQQQQQQQQYPAQYQAPQAQYQAPAAQPASSDEVRSLWIGDLQHWMDEAYLQSCFYQALQNGELLSVKIIRNKQSGQSEGYGFLEFVSHAIAEYFLQTYNGQMMLNVDQNYRLNWASLGAGDRRDNSAEYTIFVGDLASDVTDHMLQEIFKNAYSSVKGAKVVTDRVTGRSKGYGFVRFGDLNEQTRAMTEMNGVYCSTRAMRIGPAASKKSGDTQQQYGTKASYQTTQGSESESDPNNTTIFVGGLDLNVSEDLLRQTFSNYGELVHVKIPAGKRCGFVQFANRANAEEALKVLHGTLLGGQNIRLSWGRSPNKQPQQDQNQWNGSNYYGYPQGYDTYGYAPPPAQDPNMYGYSAYQGYGGYQQQQ >DRNTG_00963.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21030194:21030733:1 gene:DRNTG_00963 transcript:DRNTG_00963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTTTIVFMALLLFFSFSQAIRHDPSLKDVQKSLAYGKGCEGVEEEECLERRTLVAHTDYIYTQKEPRH >DRNTG_18554.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18979887:18980879:1 gene:DRNTG_18554 transcript:DRNTG_18554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKDAGGAKHRQPLTTVPHDDPKTAVDEGQGNATAMATRDMIFANKKLDEVRKVFIPKKKKYVGQSRLNKYEHELIRIFLNCPMENTVVWKNDSLSTTRSRLFTLLEGTEMVSDDVMDAFVYIIQKSLSIVPYPYMKRGSITQPLALFMSKQDDAHKTTIAMIGDATRNLHDVEIIILPIIMNGHFHVVVLNNNKQEYKHYSSCQSEEYDKYALEMRRLFDICIDMEFGESATAKYPLVHDIETPRQK >DRNTG_10764.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29635891:29640341:1 gene:DRNTG_10764 transcript:DRNTG_10764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFEVIKESWDVLMQVAATKNGLLQLSKTFRSCKKLQSVYSVRDWLWTAFVYTAMVDYPTPANFMASLPAHPVKQMCRIIDGFPKGANKLDKVFAAASVYYNHSGDVKCFDFEGSSDPHGLSGWNWQACTEMVMPMNCSNESMFPPSSYDYKQTEASCNFKYGVEPRPHWITTEYGGHRIEKVLKRFGSNIIFSNGLRDPWSRGGVLKNISPSIIALVTKQGAHHVDFRAATKDDPKWLIEQKGKMK >DRNTG_10764.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29635891:29640341:1 gene:DRNTG_10764 transcript:DRNTG_10764.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFEVIKESWDVLMQVAATKNGLLQLSKTFRSCKKLQSVYSVRDWLWTAFVYTAMVDYPTPANFMASLPAHPVKQMCRIIDGFPKGANKLDKVFAAASVYYNHSGDVKCFDFEGSSDPHGLSGWNWQACTEMVMPMNCSNESMFPPSSYDYKQTEASCNFKYGVEPRPHWITTEYGGHRIEKVLKRFGSNIIFSNGLRDPWSRGGVLKNISPSIIALVTKQGAHHVDFRAATKDDPKWLIEQKGKMK >DRNTG_19932.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18970348:18970921:1 gene:DRNTG_19932 transcript:DRNTG_19932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQHRPYNQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNKGVRPVIPSDCLPVLSEIMSRCWDANPNVRPPFTEVVRMLEHAEMEIMTTVRKARFRCCISQPMTMD >DRNTG_02758.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:149053:151605:-1 gene:DRNTG_02758 transcript:DRNTG_02758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVGGEAMAVWSSCSQVKNGCVEWVEKYFKDCVCNLSSEISFGFGLVSLFCWAIAEIPQIISNYHTKSGHGVSLALLLTWVIGDVFNLVGCLLEPVTLPTQFYTALLYTLTTVVLLVQTIYYDYFLRWWKYRGFADNSENEEEKRVPLNPKSQVDSSEPVAAIPSARSSPRMDIYYTSARSLASSPTPPYGSSSYLVAPRSGPSATLGHDSSSDEETTLPAHRARSKTKRNFSRSVGYGTVLATSANLPFQAQSLMVKHLVFSGTTLLQETGLQEIESNSFGLLLGWIMAAIYMGGRLPQIYLNIKRGSVEGLSPLMFLFALIANASYVGSILVRSMEWERIKANAPWLLDAIVCVLLDLFIIIQFGYYKVICRRSTCSKAAPTLA >DRNTG_27172.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:733902:737134:1 gene:DRNTG_27172 transcript:DRNTG_27172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCTVQQALTPEAAYIVKQAVNLARRRGHAQVTPLHVANAMLSSTTSLLRAACLQSKSHPLQCKALELCFNVALNRLPASSSSGPMLGPHHHHQPPSLSNALVAAFKRAQAHQRRGSIETQQQPLLAVKIELEQLIISILDDPSVSRVMREAGFSSTQVKSNVEQAVSLDVSSSPTSSNPNPNPNKPKDISSSSTTITATTTTTTSSSSLLTASPVRSEDVGAVIQSLVSKRRTSVVFVGECLTITEGVVRGVMDRVEKQDVPETLRNLQFITLPLFSFANMCRDEVEKKMVELQCVVRACCAGRGVVLYLGDLKWAADYREKTGRSTTSSYTYCPVEHVTMEIGRLVCGGINNYINGVSGGHEARFWLMGIATYQTYMKCRAGQLPLETLWGLQPLIVPAGSLGLSLNYDSCNSQNQQMKSKKNGDAASFWTLVDDGAGDQLNCCTDYSVKFESTDSQSRSRSRSQSRADISSTSLPSWLQQYKEESKRSETTTTTTTTTTNDQDCNNVQVFKWINSTSSSHKLHRQHPSEMTIHFASASLSSSSISSHGHHYSLQKTNPHHQIWSSETMNECLELNSTSFPHVSITIPNNPNSASSSDTMEMDQLSGKFKELNAGNLKTLCNALERKVSWQKGIIPDIASTILQCRSGMMRRDRSRMHKEETWLFFQGGDEEGKIRIARELASLVFDSSTNLVTISLSNFSSTRSGSSDDLRNKRSRSQESHSYLEKFFDSVRYNPHRVFLLEDIEQVDYNSQMGIKTVIETGKVQSSCGDDVCVSDAIVILSCVSFDSRSRACSPPVRHKSDSEEEKDDDCEKDVVGSSICLDLNLCAGDEEDGGDSFLDDVGLLESVDRSCFFQLPEDL >DRNTG_27172.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:735972:737134:1 gene:DRNTG_27172 transcript:DRNTG_27172.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHFASASLSSSSISSHGHHYSLQKTNPHHQIWSSETMNECLELNSTSFPHVSITIPNNPNSASSSDTMEMDQLSGKFKELNAGNLKTLCNALERKVSWQKGIIPDIASTILQCRSGMMRRDRSRMHKEETWLFFQGGDEEGKIRIARELASLVFDSSTNLVTISLSNFSSTRSGSSDDLRNKRSRSQESHSYLEKFFDSVRYNPHRVFLLEDIEQVDYNSQMGIKTVIETGKVQSSCGDDVCVSDAIVILSCVSFDSRSRACSPPVRHKSDSEEEKDDDCEKDVVGSSICLDLNLCAGDEEDGGDSFLDDVGLLESVDRSCFFQLPEDL >DRNTG_10866.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19881822:19884876:-1 gene:DRNTG_10866 transcript:DRNTG_10866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQLLFFLLSLCLSLSSVYNASAYNPTTAYFLNCGSSTNITFSDESRTFTTDSPFLISSSTSNTVSVTDPINQSNSLYNTARLFTQTSSYNFPIKTTGTYVLRLHFFPFITKSYNLINSSNFNVSSPQTQTFLLGSFSPSKSNTSTIKEYYLWLDTDGLILTFTPNSPSLAFISAIELFTAPLSLINDTEPTTVSTTGQNFNIGDLSRQSLETLYRVNVGGPLITPTNDTLWRTWIPDDQFLYSISSSSKEDSTSTDNIKYGLDTREVAPPFVYSTVREMNISSITRVANSNFNFNLTWTFKVPAGYKYFIRMHFCDIVSNQPLDLIFDVYIGDASAYPNLQLGSLTHLSLDAAYYLDFITDEDIVENSGLLNVSVGRSSKSTPDTANAIMNGLEIMKINNSVGSLNGSYNSFASNSVKRSTHVGITVIIVSVVAAALVIIIIAITVIILTRKKSKPAPAPSPLTPKANTTAPVSWSPYRNDSIDKSSSGATPRMKVELELYISLSDIKLATNNFDEALLIGHGGFGNVYKGVLRDGTEVAVKRAMRGSRQGYPEFQNEISLLSRIRHRHLVSLVGYCDEMGEMILVYEFMEKGTLKSYLYGSPVLPFLTWKQRLQICIGAGRGLHYLHTAHSQVIIHRDVKSTNILLGEDFLAKVSDFGLSKLGPSLGETHVSTGVKGSFGYLDPEYFKMQKLTTKSDVYSFGVVLFEVLCARPVIDPMLGRDELNLAEWALLCQRKGQLEKIIDQRIAGQINPKSLKKFGETAERCLAEYGVDRPTIGDVLWNLEYALQLQETELRREPHEDSGTVDPPIHSVDLARRVPSISATIDEDDLIGMSSTQLDANPSKVFSQLINGEGR >DRNTG_08255.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17678036:17685369:-1 gene:DRNTG_08255 transcript:DRNTG_08255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALTMVNSVDSSREIYVLVRILNSGPVETNNVPKEIEREEEFSASDTDLEDLVICFDRNRNKNDEDLEFHDSNYDFSSESYRDEVYTNQTKRAMVVVAQEPPRSVHEPDIESDYADIDKFQSCSSTNEDELISKRPKRKKLGEETKGFTNGRVSKKDVTIKYSICGVVGHNKRFHGGVKEKNSSTLNKQDGQRSRASQYNPMDDIDRQVLHEHFTMCLFGSISFYSQTGRKTKFWEKKIKEGKEEGFHMSVVILRSHRQELLQGFTPARAPLRLSSTHTSKGLWFSHTITLTHLLQEFFECSVHVVLRLMILHKIEHRQYPPVARDHLIF >DRNTG_22321.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:479949:495267:-1 gene:DRNTG_22321 transcript:DRNTG_22321.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNCCMLCYFPDYIDVISVVLWPPSASPLLQLMPIYGEASNHSDCNIDNPDLVGNATAQSRMEYAQKIILHAGDALFIPEGWFHQVDSDDLTIAVNFWWKSFMMSNMSEHMEAYYLRRILSRLLEREKDKMLCKSPFASLRCKKMAEIATDFPTGGDESNSVVQRGNINGNAEQQGVTLEQLEPFTSVVLHELISLVHNTLNSSGQNQVKESHLEALDVTVENNCTHARTENSVLLEDNPVTSIFWTIEPLVLRKVFLVMVHYFPRTLEALVLHMLSPTGAEVLTRKFDEMDQQTTKEEQKEFYQKFYSVFDDQHAAMDAILNGKEIFSFQAYKNVLNLHLGVSDLGVNDDAKIS >DRNTG_22321.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:479949:495267:-1 gene:DRNTG_22321 transcript:DRNTG_22321.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEEPLRIRRYEQPPSSEEFSSLIEASNVPAVFVGVSRDWKAFSRWNPSNGGLDYLEEQVGSATVEAMLSTSAPVFYGDLRRHERVPLSFSTFLTSCKLYLHHGDSSRNNSAFMALSDPDKTQSTLLDSPDKIYLAQVPILLIESKERCPLENLMEDIRMPIFLKAKQMSAINLWMNRARSKSSTHYDPHHNLLCIIAGCKQVVLWPPSASPLLQLMPIYGEASNHSDCNIDNPDLVGNATAQSRMEYAQKIILHAGDALFIPEGWFHQVDSDDLTIAVNFWWKSFMMSNMSEHMEAYYLRRILSRLLEREKDKMLCKSPFASLRCKKMAEIATDFPTGGDESNSVVQRGNINGNAEQQGVTLEQLEPFTSVVLHELISLVHNTLNSSGQNQVKESHLEALDVTVENNCTHARTENSVLLEDNPVTSIFWTIEPLVLRKVFLVMVHYFPRTLEALVLHMLSPTGAEVLTRKFDEMDQQTTKEEQKEFYQKFYSVFDDQHAAMDAILNGKEIFSFQAYKNVLNLHLGVSDLGVNDDAKIS >DRNTG_22321.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:479949:495267:-1 gene:DRNTG_22321 transcript:DRNTG_22321.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNCCMLCYFPDYIDVISVVLWPPSASPLLQLMPIYGEASNHSDCNIDNPDLVGNATAQSRMEYAQKIILHAGDALFIPEGWFHQVDSDDLTIAVNFWWKSFMMSNMSEHMEAYYLRRILSRLLEREKDRIRCCARAPLLV >DRNTG_22321.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:479703:491269:-1 gene:DRNTG_22321 transcript:DRNTG_22321.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAQKIILHAGDALFIPEGWFHQVDSDDLTIAVNFWWKSFMMSNMSEHMEAYYLRRILSRLLEREKDRIRCCARAPLLV >DRNTG_22321.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:479949:495267:-1 gene:DRNTG_22321 transcript:DRNTG_22321.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEEPLRIRRYEQPPSSEEFSSLIEASNVPAVFVGVSRDWKAFSRWNPSNGGLDYLEEQVGSATVEAMLSTSAPVFYGDLRRHERVPLSFSTFLTSCKLYLHHGDSSRNNSAFMALSDPDKTQSTLLDSPDKIYLAQVPILLIESKERCPLENLMEDIRMPIFLKAKQMSAINLWMNRARSKSSTHYDPHHNLLCIIAGCKQVVLWPPSASPLLQLMPIYGEASNHSDCNIDNPDLVGNATAQSRMEYAQKIILHAGDALFIPEGWFHQVDSDDLTIAVNFWWKSFMMSNMSEHMEAYYLRRILSRLLEREKDRIRCCARAPLLV >DRNTG_22321.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:479949:495267:-1 gene:DRNTG_22321 transcript:DRNTG_22321.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNCCMLCYFPDYIDVISVVLWPPSASPLLQLMPIYGEASNHSDCNIDNPDLVGNATAQSRMEYAQKIILHAGDALFIPEGWFHQVDSDDLTIAVNFWWKSFMMSNMSEHMEAYYLRRILSRLLEREKDKMLCKSPFASLRCKKMAEIATDFPTGGDESNSVVQRGNINGNAEQQGVTLEQLEPFTSVVLHELISLVHNTLNSSGQNQVKESHLEALDVTVENNCTHARTENSVLLEDNPVTSIFWTIEPLVLRKVFLVMVHYFPRTLEALVLHMLSPTGAEVLTRKFDEMDQQTTKEEQKEFYQKFYSVFDDQHAAMDAILNGKEIFSFQAYKNVLNLHLGVSDLGVNDDAKIS >DRNTG_22321.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:479949:495267:-1 gene:DRNTG_22321 transcript:DRNTG_22321.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAINLWMNRARSKSSTHYDPHHNLLCIIAGCKQVVLWPPSASPLLQLMPIYGEASNHSDCNIDNPDLVGNATAQSRMEYAQKIILHAGDALFIPEGWFHQVDSDDLTIAVNFWWKSFMMSNMSEHMEAYYLRRILSRLLEREKDKMLCKSPFASLRCKKMAEIATDFPTGGDESNSVVQRGNINGNAEQQGVTLEQLEPFTSVVLHELISLVHNTLNSSGQNQVKESHLEALDVTVENNCTHARTENSVLLEDNPVTSIFWTIEPLVLRKVFLVMVHYFPRTLEALVLHMLSPTGAEVLTRKFDEMDQQTTKEEQKEFYQKFYSVFDDQHAAMDAILNGKEIFSFQAYKNVLNLHLGVSDLGVNDDAKIS >DRNTG_22321.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:479949:493074:-1 gene:DRNTG_22321 transcript:DRNTG_22321.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIRMPIFLKAKQMSAINLWMNRARSKSSTHYDPHHNLLCIIAGCKQVVLWPPSASPLLQLMPIYGEASNHSDCNIDNPDLVGNATAQSRMEYAQKIILHAGDALFIPEGWFHQVDSDDLTIAVNFWWKSFMMSNMSEHMEAYYLRRILSRLLEREKDKMLCKSPFASLRCKKMAEIATDFPTGGDESNSVVQRGNINGNAEQQGVTLEQLEPFTSVVLHELISLVHNTLNSSGQNQVKESHLEALDVTVENNCTHARTENSVLLEDNPVTSIFWTIEPLVLRKVFLVMVHYFPRTLEALVLHMLSPTGAEVLTRKFDEMDQQTTKEEQKEFYQKFYSVFDDQHAAMDAILNGKEIFSFQAYKNVLNLHLGVSDLGVNDDAKIS >DRNTG_22321.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:479949:495267:-1 gene:DRNTG_22321 transcript:DRNTG_22321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAINLWMNRARSKSSTHYDPHHNLLCIIAGCKQVVLWPPSASPLLQLMPIYGEASNHSDCNIDNPDLVGNATAQSRMEYAQKIILHAGDALFIPEGWFHQVDSDDLTIAVNFWWKSFMMSNMSEHMEAYYLRRILSRLLEREKDRIRCCARAPLLV >DRNTG_00091.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23366231:23366910:1 gene:DRNTG_00091 transcript:DRNTG_00091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDCNAVLYDSNEPIWASQTWGRGCSCYITLQPDGNLVIL >DRNTG_28868.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22155065:22158655:1 gene:DRNTG_28868 transcript:DRNTG_28868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVLESLAFPSSRPLSRSSFATMETMGPFFSTLASRKPGFLSGFKGLAITNHGSRRSLMRARNRRSSGCGAIVCERPASTTEVPDVTKATWQPLVLDSDTPVLVVFWATWCGPCRMIHPVISKISKDYEGKLKCFKLNTDENPDITTQYGIRSIPTMILFKNGEKKDTVIGAVQESTLVTCIGRFL >DRNTG_28868.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22155285:22158605:1 gene:DRNTG_28868 transcript:DRNTG_28868.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVLESLAFPSSRPLSRSSFATMETMGPFFSTLASRKPGFLSGFKGLAITNHGSRRSLMRARNRRSSGCGAIVCERPASTTEVPDVTKATWQPLVLDSDTPVLVVFWATWCGPCRMIHPVISKISKDYEGKLKCFKLNTDENPDITTQYGIRSIPTMILFKNGEKKDTVIGAVQESTLVTCIGRFL >DRNTG_28868.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22155285:22158571:1 gene:DRNTG_28868 transcript:DRNTG_28868.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVLESLAFPSSRPLSRSSFATMETMGPFFSTLASRKPGFLSGFKGLAITNHGSRRSLMRARNRRSSGCGAIVCERPASTTEVPDVTKATWQPLVLDSDTPVLVVFWATWCGPCRMIHPVISKISKDYEGKLKCFKLNTDENPDITTQYGIRSIPTMILFKNGEKKDTVIGAVQESTLVTCIGRFL >DRNTG_28868.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22155065:22158571:1 gene:DRNTG_28868 transcript:DRNTG_28868.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVLESLAFPSSRPLSRSSFATMETMGPFFSTLASRKPGFLSGFKGLAITNHGSRRSLMRARNRRSSGCGAIVCERPASTTEVPDVTKATWQPLVLDSDTPVLVVFWATWCGPCRMIHPVISKISKDYEGKLKCFKLNTDENPDITTQYGIRSIPTMILFKNGEKKDTVIGAVQESTLVTCIGRFL >DRNTG_25750.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21554151:21561327:-1 gene:DRNTG_25750 transcript:DRNTG_25750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNKDGISSHPFDSGDNSRPKSREMMLAESGSKLKEEQQKDTVELSDASPVLKSISDVRHSSESHNETVTMVFHPDPSINQSYASSIKRKQSAGGDDSSSSEWEHVLDEEFTLL >DRNTG_25750.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21554151:21561327:-1 gene:DRNTG_25750 transcript:DRNTG_25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFGRHAPQPSPPAYSGLSAFAPPFNLGYANSDHPYPSSPAASSTPIPPSPCVGPYHSYYPPPPSSSGASMTSFGADFGGAGLNRWDESYYGNYGSNSAWMDHQPNLDSLMFGKDSLARKGHYGSECSGLLDEKHPSIFEKHVKPAYSGTLLFGQTGMTEGMPKQPDPFAVPDRGNAFDFHSSNRGLMDEFGSLSAASILYEPPATSSSNHLFVPPPTAIYSSEYNSIPRNEHSTVHDRTLFDLNDDYTHQHTGQGFSLFHAFTCGNALNSEMSRAPNDVKEPTCIPGYLTPVQRDCSSDNESVTGKSMQCAVDISDLRARNLDSSDSLNSNSASAEPVSSMQTFLEALDHHNLAVDSPCWKGASGSQQSPFSVGKVLETGFVIKESERSNELQHSKNHFLNMVHSEAMPSAKREGNLISNENNKHSLCSLVDLSLLFDLPSEHQKANNVCKAKCSGLDNAHEQGFKAGGICGNESISQGHCEPNDTNGKSVDHEISHVANESSISERLIDTEKDFTDSVKASACGFDSCVGAQTVIAPKFSLPGCVAETFGSSDEPGNFSSSDNNVQLFLKTMHSLSEVLLNTDCIDSNELKEHDYKLLQVIIWNLEHFSLKSKKEKSEGSSNISGVDTDAPDVALSKLDGMIMSNNRTRCNIPGEMHNSGCGLDDNLLDKSVPTSGTTASIADYIGHALDKINTESPLDQEENLQTKFYKKLWIDAEIASCAIKYELQLLRMNAEIENHKYKSKESLAASYLSAKPTEFPRTLFRDSLHVLDEVEGTSNNVKESLSHDASELEDNIDGCCDINSHEAFPSTIAGEIDSSIFARFRILKERLDKPISDNVEDCDAAGTVDIAMQNKDGISSHPFDSGDNSRPKSREMMLAESGSKLKEEQQKDTVELSDASPVLKSISDVRHSSESHNETVTMVFHPDPSINQSYASSIKRKQSAGGDDSSSSEWEHVLDEEFTLL >DRNTG_15046.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5682107:5682813:-1 gene:DRNTG_15046 transcript:DRNTG_15046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKEKRPISRMTGVERQCKHAKSLSSPQSSINKKPIKVSTSIFIALLKN >DRNTG_29241.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21462080:21463394:1 gene:DRNTG_29241 transcript:DRNTG_29241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRMERRWWLMALFLIFMLLFFGVEFCSAERPIKDSGSGGNGGVAKFLHAFQSFFDSGDSSYTHVWPDMEFGWRVVLGSTIGFLGAALGSIGGVGGGGIFVPMLTLIIGFDPKTSTAISKCMIMGAAGSTVYYNLRLRHPTMDLPIIDYDLALLFQPMLMLGISIGVAFNVIFPDWMVTVLLIIL >DRNTG_01021.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18114705:18118160:1 gene:DRNTG_01021 transcript:DRNTG_01021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEMITALRVAAKRKSVKISNPVKATSTIVPTEMTRRERRGLPRRVHMRRSCAEFLEASGSFGAVSRKEVRILRNSGGGGDAAASGEVTPETNRRRRVYKVSRSPMLLISTERR >DRNTG_34554.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1375957:1378388:1 gene:DRNTG_34554 transcript:DRNTG_34554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPSRSRQAWVPRFSNPNPDSRHGHGSQSQSSSDPSSIPQLVQEIQDKLSRGAVECMICYDNVRRSAPIWSCSSCYSLFHLHCIRKWARSPTSGDHSSASGSASAAASWRCPGCQSIQAIKPEDLSYLCFCGSRRDPPNDLYLTAHSCGEPCRKPLDRGDPGENEYLDPCPHVCVLPCHPGPCPPCKAFAPRRPCPCGKKTVVRRCSDRTSPLTCGQVCGRLLSCGRHRCEKICHTGACSPCRVLITASCFCSKKSEVVLCGDMAMKGEVMDSDGFFSCDSMCGKMLACENHDCRESCHPGPCGDCELLPEKINTCHCGKTPLSKERESCLDPIPTCSQVCSKLLPCGVHRCGETCHAGDCPPCLAKVEQKCRCGSSTRTVECFRMLEETNKFVCEKPCGKKKGCGRHRCSEKCCPLSKPSGQLAAYDWDPHLCSISCGKRLQCGQHSCQLLCHSGHCPPCLETIFTDLTCACGKTSIPPPLPCGTPSPSCPSSLFGASALWALSSSHMPFRRLPSVLCACSEGMHWWAFASEEYTLWFQRYPMQSALWED >DRNTG_30651.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:25222195:25232969:1 gene:DRNTG_30651 transcript:DRNTG_30651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVKSQPNPRSKNNDPSPRRIVDRSPVVVIVCNSSPILLFLSLLHQIFPCC >DRNTG_20173.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4923109:4929098:1 gene:DRNTG_20173 transcript:DRNTG_20173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEGTKSLTCFILVINLLMYAIVLALAAWALNYGINETPGAVKGLSVPATIFPIYYPIGNLATGFFVILSLLAGLVGIASSLSGLYNVLEWKPSSLLSAAASSLTAWALTLLAMGFACKEISIGYRPANLRAMETLTIILSGTQLICIGAIHAGLSAANNPVNHGRHFSHVPRRTFQKPPSQQGQSTTTHGLKGFLKFFCPNLV >DRNTG_20173.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4923109:4927584:1 gene:DRNTG_20173 transcript:DRNTG_20173.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEGTKSLTCFILVINLLMYAIVLALAAWALNYGINETPGAVKGLSVPATIFPIYYPIGNLATGFFVILSLLAGLVGIASSLSGLYNVLEWKPSSLLSAAASSLTAWALTLLAMG >DRNTG_34596.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23169372:23173793:-1 gene:DRNTG_34596 transcript:DRNTG_34596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTYYSNQMVMLMNGESAHISVFRNDIHSFSFLVSDRRAAYHLHKYLLEQHVVVDVEAEKLLNKDNDLRFKLEEQTVVTHLFLHMAKRISSSTSETLLDKAIEAYASRRASRRGGDGLPRGIHLHGRWRSLRFNRKQQTEI >DRNTG_06291.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22621856:22622972:-1 gene:DRNTG_06291 transcript:DRNTG_06291.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKDGSITKHIGGSIPFMVHAERMEKQLNCKPTYGELFNHTHKHEKGQGYFVDHKSKNVSKSYTSSMSQKYGSDEANYLEFDPKVWCDAIGGQGTARTHFYGFGIRQHGKNFVFTSINAGDASYSAYSHLNHEHEQTLVEVDNLHEEVMLVKDRIINLEDKVEKRASDTADIKKYLEQIMDIFNLACMFTNASIGPSQPTSQDKDTTRPPL >DRNTG_04729.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8220552:8221300:1 gene:DRNTG_04729 transcript:DRNTG_04729.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASRERKMLQIKQSLICYLSLALQLNQHT >DRNTG_21319.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9491988:9497744:1 gene:DRNTG_21319 transcript:DRNTG_21319.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFGPLALLSLSPICPCRTLPSSSSFSSKSLVFSRNSSNFVPPILAFHCSRSVSLCFVPSITRASTSDGHAGVENDAKLGESSENLVVLDDQKRGSQLKKRVVFGLGIGLSAGAVVLAGGWVFTLALAAVVFVGAREYFELVRSRGIADGMTPPPRYVSRACSVICACMPILTLYLGHMDVSVPSSAFLVAIALVLQRGSPRFAQLSSAMFGLFYCGYLPCFWIKLRCGLEVPALNTKIGNDWPMLLGGRVHWTVGLVVTLISISSIIAADTFAFLGGKAFGRTPLTNISPKKTLEGAFAGLSGCILISIMLSKILCWPTSLLSATVFGILNFVGSLFGDLIESMIKRDAGVKDSGSLIPGHGGILDRVDSYVFTGALSYSFVKTLLPLFGV >DRNTG_21319.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9491988:9497744:1 gene:DRNTG_21319 transcript:DRNTG_21319.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDRYLGHMDVSVPSSAFLVAIALVLQRGSPRFAQLSSAMFGLFYCGYLPCFWIKLRCGLEVPALNTKIGNDWPMLLGGRVHWTVGLVVTLISISSIIAADTFAFLGGKAFGRTPLTNISPKKTLEGAFAGLSGCILISIMLSKILCWPTSLLSATVFGILNFVGSLFGDLIESMIKRDAGVKDSGSLIPGHGGILDRVDSYVFTGALSYSFVKTLLPLFGV >DRNTG_21760.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23149152:23152072:-1 gene:DRNTG_21760 transcript:DRNTG_21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSDPRFFTSSSRKHDFRGSPGLTSQSDISQSSNYNKRSLSTSGKKFPSTKAFQNSVRGAARTFIMWLVPACGKSGEEAALHDLNIPENDVSSTSISRISGSRSSPANFHYSGPYGTKTSYSTSDLSQETTTFSIAEIYKATGNFSADNIIGQGGTGQVYKGKLRDGTLIAVKRAKRNMSDKRLSKEFKNEMQTLSKVEHLNLVRFLGFLEQGDERLIVVEYVGNGTLREHLDGTWRSYHLPNDQATKAIAFYLKTRNSIDKSKQFIDT >DRNTG_21760.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23149152:23151915:-1 gene:DRNTG_21760 transcript:DRNTG_21760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSDPRFFTSSSRKHDFRGSPGLTSQSDISQSSNYNKRSLSTSGKKFPSTKAFQNSVRGAARTFIMWLVPACGKSGEEAALHDLNIPENDVSSTSISRISGSRSSPANFHYSGPYGTKTSYSTSDLSQETTTFSIAEIYKATGNFSADNIIGQGGTGQVYKGKLRDGTLIAVKRAKRNMSDKRLSKEFKNEMQTLSKVEHLNLVRFLGFLEQGDERLIVVEYVGNGTLREHLDGSRGNGLEIAQRLEIAIDVCHAITYLHAYSDHPIIHRDIKASNILLTETLRAKVADFGFARLGPEDPDATHISTQIKGTAGYVDPEYLRTYQLTEKSDVYSFGVLLVELVTGRHPIERHRDLKDRFTTRWVNSNPLQSSRNLASMHNAHCFKCFLSRRRCRNSNKGIQYWRWIQG >DRNTG_21760.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23149152:23151915:-1 gene:DRNTG_21760 transcript:DRNTG_21760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSDPRFFTSSSRKHDFRGSPGLTSQSDISQSSNYNKRSLSTSGKKFPSTKAFQNSVRGAARTFIMWLVPACGKSGEEAALHDLNIPENDVSSTSISRISGSRSSPANFHYSGPYGTKTSYSTSDLSQETTTFSIAEIYKATGNFSADNIIGQGGTGQVYKGKLRDGTLIAVKRAKRNMSDKRLSKEFKNEMQTLSKVEHLNLVRFLGFLEQGDERLIVVEYVGNGTLREHLDGSRGNGLEIAQRLEIAIDVCHAITYLHAYSDHPIIHRDIKASNILLTETLRAKVADFGFARLGPEDPDATHISTQIKGTAGYVDPEYLRTYQLTEKSDVYSFGVLLVELVTGRHPIERHRDLKDRFTTRWALQKFKQGDTVLAMDPRMRRNPAAIMAVEKMMALGRECLAPTRKARPSMKQCGEILWRIRKDYREMQMKASPSPSPSATASASASASHWATPQQSTHPPQSPLISSINKKNQTINLS >DRNTG_18063.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:159912:164023:-1 gene:DRNTG_18063 transcript:DRNTG_18063.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVDGFSDLVAGWWAGPNPRGCGAFVLSKKLAWLRDQLRRWSKESFGSIKLRKLALLHDLEMIDIIKESRVMLISPRRDSGLNVSFYLIYDNDAVPKIDFEMTLDMEGCKVFLEVLMKVAKLGADL >DRNTG_06834.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:8328762:8329926:-1 gene:DRNTG_06834 transcript:DRNTG_06834.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERSQCGAQCTDFGGNLAKNKISTNLPIIEEVSDPRRERVPVCVTPMKVWIREAIQGFEGYWPRFWRGSTAPTSSFLTKNVGRGASIEAYPIPDKGILGRRVEDFPQDHQHDY >DRNTG_02646.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22908718:22911181:-1 gene:DRNTG_02646 transcript:DRNTG_02646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPTPILDIQGCMGVSHGAKEGIDVDVVFSAEIIKVDADLAAVVLDSSL >DRNTG_23356.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5960748:5961116:1 gene:DRNTG_23356 transcript:DRNTG_23356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGCSIADYCYINNTKEKYFIYILKNNSIFPFMLLMDVSGQMKAMMWMEGIQKWTYFFSVPEAQCDVTNFCGPFGSCNEQSQQHCSCVRGFSQRSPKDWASRDYSGVCVRDTPLQSCNGTS >DRNTG_29621.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001489.1:12827:13496:1 gene:DRNTG_29621 transcript:DRNTG_29621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSKNISMVRDMLFSKEDNKTPLQSMVLIDTLQHMGLDHLFKEEIGSTLSSIYDNFAHQTHHGHNLFESSLFFRLFREHGHSVSPKMLKKFIDKNGEFKLALSKDIKGLMSLYEASHLNIGEDILRKGKEFSSKHLWDSIDC >DRNTG_06503.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4446530:4447565:1 gene:DRNTG_06503 transcript:DRNTG_06503.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVSTAQRSVLMLLGDYAEDYEVMVPFQALQAFGVAVDAVCPGKASGEVCRTAIQQLEPYYQTYTEYQGHNFVLNATFDEINAKDYDGLLIPGGRAPEYLAMDEKVLSLVREFMEAKKPIIAVCHGQLILAAAGVVGGRKCTAYPAVKSALVAAGAHWVEHTTLPRFVVDGNLITAVSYMDHPWLIRSFVHALGGSISGADKKILFLCGVCFCI >DRNTG_22999.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1739692:1741910:1 gene:DRNTG_22999 transcript:DRNTG_22999.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPETLDEEEFGQNSTSTTFDESLIDPTDELELLEKSDEAQMLLFQFPKQFSLPKVSAGDAKGKSVIREEDDTEIKGHNARKGCTLKELPAGFMGKLLVYRSGAVKLKLGESLFDVSPGSDCGFAQEVTAIRTDMKQCCVLGELSKRAIVTPDVDSLFDSMEHTGHS >DRNTG_22999.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1738171:1741910:1 gene:DRNTG_22999 transcript:DRNTG_22999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESNASSPAMKPMKFAPKIVPRKVAKAAIPKSEPVDTKNDVIDKELLSKLSSVKNDGPGRRMPNNDRKFAFAMGNDSTKARPFGKPRLGYDGDVYNASGSKKEKEYIEPWDYSHSYYPVTLPLRRPYMGDPETLDEEEFGQNSTSTTFDESLIDPTDELELLEKSDEAQMLLFQFPKQFSLPKVSAGDAKGKSVIREEDDTEIKGHNARKGCTLKELPAGFMGKLLVYRSGAVKLKLGESLFDVSPGSDCGFAQEVTAIRTDMKQCCVLGELSKRAIVTPDVDSLFDSMEHTGHS >DRNTG_03914.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14794607:14803582:-1 gene:DRNTG_03914 transcript:DRNTG_03914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSCHSIGEEETLAEIFAIVLDLLKRNWSDFMELCCTLYHLDKCRLVVVSAAVSKQGKHAAEVPLIATYHKNQAKFSGNVLIGLLFELTVLKALEIRLEHDQSELVTLCCVGPGEQLSYMLVRELCGWSMMQCQARELHSISDCLGMNVGIAFHYAFTVSSIFLISPNLGVLLLRFPISPNLVATLRESCVLLGFASRARKSYRGKRYMIFSTRPRLRKSKILATMSFFFFFFFFGSGLP >DRNTG_11288.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1355998:1357763:-1 gene:DRNTG_11288 transcript:DRNTG_11288.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAALWRRARLGFQPDLLQNPRFFSSGLSRTDAAATADEDEARGSLAVSGDDLRSRIFRLRHPKRSATAALERWVSEGRQVSASELRLIARDLKRSQRYKHALEISEWMKTHQEFELSDSDYAMRIDLITKVFGVNAAEEFFEGLPSIAKSCDVYTALLHSYAAAKLVDKAENHFERIMGLNFVLNAVTFNEMMTLYISTGQLDKVPLVVDELKRQNVSPDLFTYNLWISASAGALDIGGVRKILDEMAEDPSSNDGWIKYMKLTDIYVTAGHLVSSDNSLVEAEKTISQREWITYDFLIILFAGLGNTERIGEIWRSLQKTSQKMTSRNYLCILSSYLVLGQTKEAEGIIDQWKESKSLDFDVSSCKRLYDAFVKAGCSDEAETLHKLIRLRNFEF >DRNTG_11288.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1355998:1357144:-1 gene:DRNTG_11288 transcript:DRNTG_11288.9 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHQEFELSDSDYAMRIDLITKVFGVNAAEEFFEGLPSIAKSCDVYTALLHSYAAAKLVDKAENHFERIMGLNFVLNAVTFNEMMTLYISTGQLDKVPLVVDELKRQNVSPDLFTYNLWISASAGALDIGGVRKILDEMAEDPSSNDGWIKYMKLTDIYVTAGHLVSSDNSLVEAEKTISQREWITYDFLIILFAGLGNTERIGEIWRSLQKTSQKMTSRNYLCILSSYLVLGQTKEAEGIIDQWKESKSLDFDVSSCKRLYDAFVKAGCSDEAETLHKLIRLRNFEF >DRNTG_11288.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1355848:1357763:-1 gene:DRNTG_11288 transcript:DRNTG_11288.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAALWRRARLGFQPDLLQNPRFFSSGLSRTDAAATADEDEARGSLAVSGDDLRSRIFRLRHPKRSATAALERWVSEGRQVSASELRLIARDLKRSQRYKHALEISEWMKTHQEFELSDSDYAMRIDLITKVFGVNAAEEFFEGLPSIAKSCDVYTALLHSYAAAKLVDKAENHFERIMGLNFVLNAVTFNEMMTLYISTGQLDKVPLVVDELKRQNVSPDLFTYNLWISASAGALDIGGVRKILDEMAEDPSSNDGWIKYMKLTDIYVTAGHLVSSDNSLVEAEKTISQREWITYDFLIILFAGLGNTERIGEIWRSLQKTSQKMTSRNYLCILSSYLVLGQTKEAEGIIDQWKESKSLDFDVSSCKRLYDAFVKAGCSDEAETLHKLIRLRNFEF >DRNTG_11288.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1355848:1357144:-1 gene:DRNTG_11288 transcript:DRNTG_11288.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHQEFELSDSDYAMRIDLITKVFGVNAAEEFFEGLPSIAKSCDVYTALLHSYAAAKLVDKAENHFERIMGLNFVLNAVTFNEMMTLYISTGQLDKVPLVVDELKRQNVSPDLFTYNLWISASAGALDIGGVRKILDEMAEDPSSNDGWIKYMKLTDIYVTAGHLVSSDNSLVEAEKTISQREWITYDFLIILFAGLGNTERIGEIWRSLQKTSQKMTSRNYLCILSSYLVLGQTKEAEGIIDQWKESKSLDFDVSSCKRLYDAFVKAGCSDEAETLHKLIRLRNFEF >DRNTG_11288.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1352421:1357763:-1 gene:DRNTG_11288 transcript:DRNTG_11288.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAALWRRARLGFQPDLLQNPRFFSSGLSRTDAAATADEDEARGSLAVSGDDLRSRIFRLRHPKRSATAALERWVSEGRQVSASELRLIARDLKRSQRYKHALEIFTWMESLSTFNISATDHATKLELITKVQTVAEAEMYFEKIRDSASRKAACFPLLHSYVKERDLEKAETFMAKLQSLGLLVNPHLFNEMMKLYMATGYFEKVFSVIQHMKRNKFPLNVLSYNLWMNACAKLSGVALVEMVYKEMVTDKNVEVGWSSYCTLANFYTTSGFYDKALTALRMAEQKLSMKNRLAYSFIMTNYSALNNRDGVLRLWESSKRVPGRITCVNYICVMLCLLKVGDFEEVEKIFRSWERECRKYDIRVSNVLLGAYVRNGRMDKAEALHHHTLERGGVPNYKTWEILMEGWVKTKQMDKAVEAMKKGFCLLKFCVWRPAAETVMAIMNYFEEQGSVENAKEYVKVLRRLRLMTLPLYKSFLRVHIQAGRAAPDIPKMMERDRIEMDEESTALIECMCKINSAEVP >DRNTG_11288.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1352421:1357763:-1 gene:DRNTG_11288 transcript:DRNTG_11288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALWRRARLGFQPDLLQNPRFFSSGLSRTDAAATADEDEARGSLAVSGDDLRSRIFRLRHPKRSATAALERWVSEGRQVSASELRLIARDLKRSQRYKHALEIFTWMESLSTFNISATDHATKLELITKVQTVAEAEMYFEKIRDSASRKAACFPLLHSYVKERDLEKAETFMAKLQSLGLLVNPHLFNEMMKLYMATGYFEKVFSVIQHMKRNKFPLNVLSYNLWMNACAKLSGVALVEMVYKEMVTDKNVEVGWSSYCTLANFYTTSGFYDKALTALRMAEQKLSMKNRLAYSFIMTNYSALNNRDGVLRLWESSKRVPGRITCVNYICVMLCLLKVGDFEEVEKIFRSWERECRKYDIRVSNVLLGAYVRNGRMDKAEALHHHTLERGGVPNYKTWEILMEGWVKTKQMDKAVEAMKKGFCLLKFCVWRPAAETVMAIMNYFEEQGSVENAKEYVKVLRRLRLMTLPLYKSFLRVHIQAGRAAPDIPKMMERDRIEMDEESTALIECMCKINSAEVP >DRNTG_11288.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1352421:1357763:-1 gene:DRNTG_11288 transcript:DRNTG_11288.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAALWRRARLGFQPDLLQNPRFFSSGLSRTDAAATADEDEARGSLAVSGDDLRSRIFRLRHPKRSATAALERWVSEGRQVSASELRLIARDLKRSQRYKHALEIFTWMESLSTFNISATDHATKLELITKVQTVAEAEMYFEKIRDSASRKAACFPLLHSYVKERDLEKAETFMAKLQSLGLLVNPHLFNEMMKLYMATGYFEKVFSVIQHMKRNKFPLNVLSYNLWMNACAKLSGVALVEMVYKEMVTDKNVEVGWSSYCTLANFYTTSGFYDKALTALRMAEQKLSMKNRLAYSFIMTNYSALNNRDGVLRLWESSKRVPGRITCVNYICVMLCLLKVGDFEEVEKIFRSWERECRKYDIRVSNVLLGAYVRNGRMDKAEALHHHTLERGGVPNYKTWEILMEGWVKTKQMDKAVEAMKKGFCLLKFCVWRPAAETVMAIMNYFEEQGSVENAKEYVKVLRRLRLMTLPLYKSFLRVHIQAGRAAPDIPKMMERDRIEMDEESTALIECMCKINSAEVP >DRNTG_11288.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1357317:1357763:-1 gene:DRNTG_11288 transcript:DRNTG_11288.10 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLPPMKMRPVDHWLSLATISGAGSFVSGIPSGAPRRLSRDGYPKGVRYRPPSSGLSPGT >DRNTG_11288.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1352421:1357763:-1 gene:DRNTG_11288 transcript:DRNTG_11288.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALWRRARLGFQPDLLQNPRFFSSGLSRTDAAATADEDEARGSLAVSGDDLRSRIFRLRHPKRSATAALERWVSEGRQVSASELRLIARDLKRSQRYKHALEIFTWMESLSTFNISATDHATKLELITKVQTVAEAEMYFEKIRDSASRKAACFPLLHSYVKERDLEKAETFMAKLQSLGLLVNPHLFNEMMKLYMATGYFEKVFSVIQHMKRNKFPLNVLSYNLWMNACAKLSGVALVEMVYKEMVTDKNVEVGWSSYCTLANFYTTSGFYDKALTALRMAEQKLSMKNRLAYSFIMTNYSALNNRDGVLRLWESSKRVPGRITCVNYICVMLCLLKVGDFEEVEKIFRSWERECRKYDIRVSNVLLGAYVRNGRMDKAEALHHHTLERGGVPNYKTWEILMEGWVKTKQMDKAVEAMKKGFCLLKFCVWRPAAETVMAIMNYFEEQGSVENAKEYVKVLRRLRLMTLPLYKSFLRVHIQAGRAAPDIPKMMERDRIEMDEESTALIECMCKINSAEVP >DRNTG_11288.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1352421:1357763:-1 gene:DRNTG_11288 transcript:DRNTG_11288.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALWRRARLGFQPDLLQNPRFFSSGLSRTDAAATADEDEARGSLAVSGDDLRSRIFRLRHPKRSATAALERWVSEGRQVSASELRLIARDLKRSQRYKHALEIFTWMESLSTFNISATDHATKLELITKVQTVAEAEMYFEKIRDSASRKAACFPLLHSYVKERDLEKAETFMAKLQSLGLLVNPHLFNEMMKLYMATGYFEKVFSVIQHMKRNKFPLNVLSYNLWMNACAKLSGVALVEMVYKEMVTDKNVEVGWSSYCTLANFYTTSGFYDKALTALRMAEQKLSMKNRLAYSFIMTNYSALNNRDGVLRLWESSKRVPGRITCVNYICVMLCLLKVGDFEEVEKIFRSWERECRKYDIRVSNVLLGAYVRNGRMDKAEALHHHTLERGGVPNYKTWEILMEGWVKTKQMDKAVEAMKKGFCLLKFCVWRPAAETVMAIMNYFEEQGSVENAKEYVKVLRRLRLMTLPLYKSFLRVHIQAGRAAPDIPKMMERDRIEMDEESTALIECMCKINSAEVP >DRNTG_20458.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4620022:4621272:1 gene:DRNTG_20458 transcript:DRNTG_20458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGIGINDTGGAKDMEVDGDGEEDGGDGKVVEEEEERGGEKGEDGEWEDEVQVLGRDH >DRNTG_25479.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1635805:1638789:-1 gene:DRNTG_25479 transcript:DRNTG_25479.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAVEEIERVLSRSHGYSRDLLQRFGSGVCFEEPPETARGESDEIELSLGLSLGGCLGVEVKEKKLVRSSSVAAVSMFPREQEFMVARPIERACSLPTEVEEEQRKRKEMQSLKRLEAKRKRLERRSSRSVAVAGRLRSGEGLDEDMEKLEAELGGVVNGVVPARLFGPISQGSIGSQGSSSSGASDFEARTLQGLNQRNLRNAQEGDDLLRRNAQPNGVSEMERSMMEEMPCVSTRGDGPNGRRVEGFLYKYRKGEEVRIVCVCHGSFLTPAEFVKHAGGGDVAHPLRHIVVNPSPSAFS >DRNTG_25479.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1635527:1638789:-1 gene:DRNTG_25479 transcript:DRNTG_25479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAVEEIERVLSRSHGYSRDLLQRFGSGVCFEEPPETARGESDEIELSLGLSLGGCLGVEVKEKKLVRSSSVAAVSMFPREQEFMVARPIERACSLPTEVEEEQRKRKEMQSLKRLEAKRKRLERRSSRSVAVAGRLRSGEGLDEDMEKLEAELGGVVNGVVPARLFGPISQGSIGSQGSSSSGASDFEARTLQGLNQRNLRNAQEGDDLLRRNAQPNGVSEMERSMMEEMPCVSTRGDGPNGRRVEGFLYKYRKGEEVRIVCVCHGSFLTPAEFVKHAGGGDVAHPLRHIVVNPSPSAFS >DRNTG_25479.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1635691:1638478:-1 gene:DRNTG_25479 transcript:DRNTG_25479.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAVEEIERVLSRSHGYSRDLLQRFGSGVCFEEPPETARGESDEIELSLGLSLGGCLGVEVKEKKLVRSSSVAAVSMFPREQEFMVARPIERACSLPTEVEEEQRKRKEMQSLKRLEAKRKRLERRSSRSVAVAGRLRSGEGLDEDMEKLEAELGGVVNGVVPARLFGPISQGSIGSQGSSSSGASDFEARTLQGLNQRNLRNAQEGDDLLRRNAQPNGVSEMERSMMEEMPCVSTRGDGPNGRRVEGFLYKYRKGEEVRIVCVCHGSFLTPAEFVKHAGGGDVAHPLRHIVVNPSPSAFS >DRNTG_25479.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1635691:1638640:-1 gene:DRNTG_25479 transcript:DRNTG_25479.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAVEEIERVLSRSHGYSRDLLQRFGSGVCFEEPPETARGESDEIELSLGLSLGGCLGVEVKEKKLVRSSSVAAVSMFPREQEFMVARPIERACSLPTEVEEEQRKRKEMQSLKRLEAKRKRLERRSSRSVAVAGRLRSGEGLDEDMEKLEAELGGVVNGVVPARLFGPISQGSIGSQGSSSSGASDFEARTLQGLNQRNLRNAQEGDDLLRRNAQPNGVSEMERSMMEEMPCVSTRGDGPNGRRVEGFLYKYRKGEEVRIVCVCHGSFLTPAEFVKHAGGGDVAHPLRHIVVNPSPSAFS >DRNTG_25479.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1635527:1638640:-1 gene:DRNTG_25479 transcript:DRNTG_25479.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAVEEIERVLSRSHGYSRDLLQRFGSGVCFEEPPETARGESDEIELSLGLSLGGCLGVEVKEKKLVRSSSVAAVSMFPREQEFMVARPIERACSLPTEVEEEQRKRKEMQSLKRLEAKRKRLERRSSRSVAVAGRLRSGEGLDEDMEKLEAELGGVVNGVVPARLFGPISQGSIGSQGSSSSGASDFEARTLQGLNQRNLRNAQEGDDLLRRNAQPNGVSEMERSMMEEMPCVSTRGDGPNGRRVEGFLYKYRKGEEVRIVCVCHGSFLTPAEFVKHAGGGDVAHPLRHIVVNPSPSAFS >DRNTG_25479.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1635527:1638478:-1 gene:DRNTG_25479 transcript:DRNTG_25479.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAVEEIERVLSRSHGYSRDLLQRFGSGVCFEEPPETARGESDEIELSLGLSLGGCLGVEVKEKKLVRSSSVAAVSMFPREQEFMVARPIERACSLPTEVEEEQRKRKEMQSLKRLEAKRKRLERRSSRSVAVAGRLRSGEGLDEDMEKLEAELGGVVNGVVPARLFGPISQGSIGSQGSSSSGASDFEARTLQGLNQRNLRNAQEGDDLLRRNAQPNGVSEMERSMMEEMPCVSTRGDGPNGRRVEGFLYKYRKGEEVRIVCVCHGSFLTPAEFVKHAGGGDVAHPLRHIVVNPSPSAFS >DRNTG_25479.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1635805:1638640:-1 gene:DRNTG_25479 transcript:DRNTG_25479.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAVEEIERVLSRSHGYSRDLLQRFGSGVCFEEPPETARGESDEIELSLGLSLGGCLGVEVKEKKLVRSSSVAAVSMFPREQEFMVARPIERACSLPTEVEEEQRKRKEMQSLKRLEAKRKRLERRSSRSVAVAGRLRSGEGLDEDMEKLEAELGGVVNGVVPARLFGPISQGSIGSQGSSSSGASDFEARTLQGLNQRNLRNAQEGDDLLRRNAQPNGVSEMERSMMEEMPCVSTRGDGPNGRRVEGFLYKYRKGEEVRIVCVCHGSFLTPAEFVKHAGGGDVAHPLRHIVVNPSPSAFS >DRNTG_25479.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1635805:1638478:-1 gene:DRNTG_25479 transcript:DRNTG_25479.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAVEEIERVLSRSHGYSRDLLQRFGSGVCFEEPPETARGESDEIELSLGLSLGGCLGVEVKEKKLVRSSSVAAVSMFPREQEFMVARPIERACSLPTEVEEEQRKRKEMQSLKRLEAKRKRLERRSSRSVAVAGRLRSGEGLDEDMEKLEAELGGVVNGVVPARLFGPISQGSIGSQGSSSSGASDFEARTLQGLNQRNLRNAQEGDDLLRRNAQPNGVSEMERSMMEEMPCVSTRGDGPNGRRVEGFLYKYRKGEEVRIVCVCHGSFLTPAEFVKHAGGGDVAHPLRHIVVNPSPSAFS >DRNTG_25479.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1635691:1638789:-1 gene:DRNTG_25479 transcript:DRNTG_25479.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAVEEIERVLSRSHGYSRDLLQRFGSGVCFEEPPETARGESDEIELSLGLSLGGCLGVEVKEKKLVRSSSVAAVSMFPREQEFMVARPIERACSLPTEVEEEQRKRKEMQSLKRLEAKRKRLERRSSRSVAVAGRLRSGEGLDEDMEKLEAELGGVVNGVVPARLFGPISQGSIGSQGSSSSGASDFEARTLQGLNQRNLRNAQEGDDLLRRNAQPNGVSEMERSMMEEMPCVSTRGDGPNGRRVEGFLYKYRKGEEVRIVCVCHGSFLTPAEFVKHAGGGDVAHPLRHIVVNPSPSAFS >DRNTG_18858.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2463242:2466214:1 gene:DRNTG_18858 transcript:DRNTG_18858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSSDAVVGAEEAQPSRPSTYKGRSCKGCLYYSSLLKSDSRKPICVGISRSLPHVPSYIVGESEVEATKDGRNLSDFKYACVGYSVFLDNKDNPDEKQENRSELPFCAGIELLIDRRTTTTTDHVPAHASNNEDGTVRSPPRPYKPAHSAGEEFFGRFTRNAGLVASGVMKNLNRVGNYIKDYFDDMYPYRRRPK >DRNTG_17414.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3859704:3862347:1 gene:DRNTG_17414 transcript:DRNTG_17414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMNNRPRFPSFFSSSSLLFLLSSCSYSLFPS >DRNTG_17414.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3861309:3862347:1 gene:DRNTG_17414 transcript:DRNTG_17414.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSDSLVSDSNTAGQVRSRLGRGYQGRNEGDDRGVAHSARVLGGVDGGVAAAAAAAAAAGRRPRIQE >DRNTG_07754.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19478276:19478550:-1 gene:DRNTG_07754 transcript:DRNTG_07754.1 gene_biotype:protein_coding transcript_biotype:protein_coding KITDKILNKSTTEGLQPNPNTRRKKYSTTYKEVLTQ >DRNTG_27083.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17349826:17355572:1 gene:DRNTG_27083 transcript:DRNTG_27083.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIPSSTLPLFPNTTNTTILAFYPRTTRRFKPLLASSNPETGSPAEQEPTEVPDPVKLAFTKAEAYKNSKLQSSIPKPNPAPEAPDPVQLAMEKAKEYKKSKAAAAAGSGSGVDVPVEKPKIGDIGIQEMNSEDAREDFLERNSSNKEELKISSVDFLGLDFSEKKNYKGRRPGLAPVAEPLFNVDFPEVEIIVGDASKVQRTTPQKLNIGEEKEDTSNIYKPKVSTWGVFPRPGNISKTF >DRNTG_27083.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17349826:17365194:1 gene:DRNTG_27083 transcript:DRNTG_27083.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIPSSTLPLFPNTTNTTILAFYPRTTRRFKPLLASSNPETGSPAEQEPTEVPDPVKLAFTKAEAYKNSKLQSSIPKPNPAPEAPDPVQLAMEKAKEYKKSKAAAAAGSGSGVDVPVEKPKIGDIGIQEMNSEDAREDFLERNSSNKEELKISSVDFLGLDFSEKKNYKGRRPGLAPVAEPLFNVDFPEVEIIVGDASKVQRTTPQKLNIGEEKEDTSNIYKPKVSTWGVFPRPGNISKTF >DRNTG_27083.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17349826:17365194:1 gene:DRNTG_27083 transcript:DRNTG_27083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIPSSTLPLFPNTTNTTILAFYPRTTRRFKPLLASSNPETGSPAEQEPTEVPDPVKLAFTKAEAYKNSKLQSSIPKPNPAPEAPDPVQLAMEKAKEYKKSKAAAAAGSGSGVDVPVEKPKIGDIGIQEMNSEDAREDFLERNSSNKEELKISSVDFLGLDFSEKKNYKGRRPGLAPVAEPLFNVDFPEVEIIVGDASKVQRTTPQKLNIGEEKEDTSNIYKPKVSTWGVFPRPGNISKTFGGGRVIRPGDQLETAEDKIAKEKQTKELIAAYRKKMGFTIDAQTKLECEKALRDGDSLMDSGKLQEALPYYEKIMKSVIFQSELHGLAALQWSICQDSLRRPNEARSMYEILQSHPNVQVSKKARQFVFSFQAMEMMKVKSSFVLRKTGYENYFDAFVEDKAKYSATEENQNEIALMTEGLPYIIFLLSPILLVFLVAVRKSFQF >DRNTG_27083.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17349826:17350417:1 gene:DRNTG_27083 transcript:DRNTG_27083.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIPSSTLPLFPNTTNTTILAFYPRTTRRFKPLLASSNPETGSPAEQEPTEVPDPVKLAFTKAEAYKNSKLQSSIPKPNPAPEAPDPVQLAMEKAKEYKKSKAAAAAGSGSGVDVPVEKPKIGDIGIV >DRNTG_28165.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:486936:490867:1 gene:DRNTG_28165 transcript:DRNTG_28165.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPGSLQHLGDNMLPFLLQVGTSEDLPNELSHKRGGTSEDSPIYFDVLGVYMVVFPQHLATMIQISVIVLALLILAISLLMGGISNAISFGLACLSIVLMWIFSLSFSAIVAFILPQISFSPIPYIANPWMILGLFGAPALLGAFAGQQIGFYLLQTFLQISFSNRMQKLHSSTEDIKNEAERWLFKSGLVQWFVILVLGHYFEVQSTYLALIWFVSPAVAYGLMEATLTPSQPPKQLNDATLIMGLTLPVVISSGIPIMLIGTLIGNMVRFDRNPGNTSELGGNLIVAIVVAAVVCLFLVYLLSYIHNSGAKGHLILFSSALLGLSLAAVYTGVVPAYTDDISRTLNVVHVVEATGSNGSQNIQSYISLFSSTPGKLTDIVENLEGEEFSCGNKTLDLATFSVAYGCLSYQNTEEGWSKSEIPILKIKSDKKDDIRKTRVLLDTKLSHRWVLAINTEYISDFSFAVGSNKLVPNDEKSSVNGWHIIQFAGGKNSPTKFYLNLVWLNTSKTHEQDENSVPLLKLRTDLNRTTPKTASVLGKLPSWFSLFGKSTAPYTFSFVSSLPVNFENNLRADT >DRNTG_28165.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:485725:490867:1 gene:DRNTG_28165 transcript:DRNTG_28165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELARGLSQSAHDFKHPVIFFFNTGEEEGLTGAHSFITQHPWRTTIRYAIDLEAMGIGGKSSIFQSGPESSFPIENFAKVAKYPSGQIITQDLFSSGILNSGTDFQVYKEIGGLSGLDFAFTDRTAVYHTKNDKLKMLKPGSLQHLGDNMLPFLLQVGTSEDLPNELSHKRGGTSEDSPIYFDVLGVYMVVFPQHLATMIQISVIVLALLILAISLLMGGISNAISFGLACLSIVLMWIFSLSFSAIVAFILPQISFSPIPYIANPWMILGLFGAPALLGAFAGQQIGFYLLQTFLQISFSNRMQKLHSSTEDIKNEAERWLFKSGLVQWFVILVLGHYFEVQSTYLALIWFVSPAVAYGLMEATLTPSQPPKQLNDATLIMGLTLPVVISSGIPIMLIGTLIGNMVRFDRNPGNTSELGGNLIVAIVVAAVVCLFLVYLLSYIHNSGAKGHLILFSSALLGLSLAAVYTGVVPAYTDDISRTLNVVHVVEATGSNGSQNIQSYISLFSSTPGKLTDIVENLEGEEFSCGNKTLDLATFSVAYGCLSYQNTEEGWSKSEIPILKIKSDKKDDIRKTRVLLDTKLSHRWVLAINTEYISDFSFAVGSNKLVPNDEKSSVNGWHIIQFAGGKNSPTKFYLNLVWLNTSKTHEQDENSVPLLKLRTDLNRTTPKTASVLGKLPSWFSLFGKSTAPYTFSFVSSLPVNFENNLRADT >DRNTG_35029.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7953374:7956631:-1 gene:DRNTG_35029 transcript:DRNTG_35029.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYCDSGRCGLFGRGGLILFDVSGVIVSYHFMDLLPVVVIGVIGGVLGGLYNHLLHKVLRVYSIINEKGRMAKLLLSLSVSLFTSVCLYTLPFLASCSPCDPSIDAACPTTGRSGNFKQFNCPDGYYNDLASLLHATNDDAVRNIFSTSTPTEFRPLSLLIFFLLYCILGLFTFGIAVPSGLFLPIILMGSAYGRLLALAIGSFTSIDQGLYAVLGAAALMAGSMRMTVSLCVIFLELTNNLSLLPITMLVLLISKTVGDGFNPSIYEIILELKGLPFLDAKPEPWMRNLTVGDLAAAKPNLVCLQGIEKVSKVVEVSEVYPP >DRNTG_35029.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7953374:7956631:-1 gene:DRNTG_35029 transcript:DRNTG_35029.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYCDSGRCGLFGRGGLILFDVSGVIVSYHFMDLLPVVVIGVIGGVLGGLYNHLLHKVLRVYSIINEKGRMAKLLLSLSVSLFTSVCLYTLPFLASCSPCDPSIDAACPTTGRSGNFKQFNCPDGYYNDLASLLHATNDDAVRNIFSTSTPTEFRPLSLLIFFLLYCILGLFTFGIAVPSGLFLPIILMGSAYGRLLALAIGSFTSIDQGLYAVLGAAALMAGSMRMTVSLCVIFLELTNNLSLLPITMLVLLISKTVGDGFNPSIYEIILELKGLPFLDAKPEPWMRNLTVGDLAAAKPNLVCLQGIEKVSKVVEVSEVYPP >DRNTG_34831.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16895972:16897405:1 gene:DRNTG_34831 transcript:DRNTG_34831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAYLLPTLTLLLLLSLLQSLTESTTFTFTNKCANTVWPGALSNSGSAPLETTGFELTPGSSRAIQASTGWSGRFFARTGCNFDSTNHGSCATADCGSNEIECNGAGAAPPATLAEFTLAGPSSSKDFYDVSLVDGYNVAMLVEPSGGCSATGCAVDLNRRCPAELRVGQGEAQACRSACEAFGTPEYCCKGEYANPSTCRPSVYSEMFKSACPRSYSYAFDDPTSTFTCSSPADYTITFCPDSTPRHKSTKDAATAAAATTTTSEPKTKGVMLEGEGGGESWLASLAIGSGAPSITRGFWIYQVSLTAASLVLVLFCFFL >DRNTG_22584.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17417028:17422699:1 gene:DRNTG_22584 transcript:DRNTG_22584.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKRFNDHWLKVVNKDLSTAFNIWRDKKWKREEWSKTLKQELTEKMKLRGKEGTKLVILVEKQMENGNSDPCMDSDLINQEKVSSLDIPELTRSSLVSSRFVKKRNPLEGDAKSQDQAVVCQGTTKPAEDSSVIQKFLGKSNPPDSTMEQKVSASFPDDMWQSVTTQDSCYQAAEENHRYPSASGISLGQLQPTAQVIDLERDALEHDTRDDDTSSLCSYAKKKHDELLQPFPNGPGTMPSYILRQFQEQRGLVEQRQAREKELYIHQIMNKNVYSNGEFPGRTHIASTEVQDFSELPSSTNGGMASQSWFSDDHLPSNGWPGYELSNNGQNLGDALSADGSLFSVLSECRKLPSRPSYAETTSSERFIQAGNFSGAENIRGFPARQPNSSTPSDADEVSPALDNVSWNFSHQNKMPWKH >DRNTG_22584.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17415329:17416676:1 gene:DRNTG_22584 transcript:DRNTG_22584.11 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAGQQKKRLTSSNLHDQYRGKKKKQKQQLDPSDYVLNFRSHVQLEWDDSRNRVIGKREQVGVTWTDMAPFIDSPHPHYSGLADVLSVPPTIFDLENLMEVLSYEVWAKFLSESDRKLLTQFLPSGTSGEQVLKPLLMGDNLHFGNPFLKWQVNI >DRNTG_22584.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17415329:17416676:1 gene:DRNTG_22584 transcript:DRNTG_22584.10 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAGQQKKRLTSSNLHDQYRGKKKKQKQQLDPSDYVLNFRSHVQLEWDDSRNRVIGKREQVGVTWTDMAPFIDSPHPHYSGLADVLSVPPTIFDLENLMEVLSYEVWAKFLSESDRKLLTQFLPSGTSGEQVLKPLLMGDNLHFGNPFLKWQVNI >DRNTG_22584.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17417028:17422699:1 gene:DRNTG_22584 transcript:DRNTG_22584.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKRFNDHWLKVVNKDLSTAFNIWRDKKWKREEWSKTLKQELTEKMKLRGKEGTKLVILVEKQMENGNSDPCMDSDLINQEKVSSLDIPELTRSSLVSSRFVKKRNPLEGDAKSQDQAVVCQGTTKPAEDSSVIQKFLGKSNPPDSTMEQKVSASFPDDMWQSVTTQDSCYQAAEENHRYPSASGISLGQLQPTAQVIDLERDALEHDTRDDDTSSLCSYAKKKHDELLQPFPNGPGTMPSYILRQFQEQRGLVEQRQAREKELYIHQIMNKNVYSNGEFPGRTHIASTEVQDFSELPSSTNGGMASQSWFSDDHLPSNGWPGYELSNNGQNLGDALSADGSLFSVLSECRKLPSRPSYAETTSSERFIQAGNFSGAENIRGFPARQPNSSTPSDADEVSPALDNVSWNFSHQNKMPWKH >DRNTG_22584.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17417028:17422699:1 gene:DRNTG_22584 transcript:DRNTG_22584.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKRFNDHWLKVVNKDLSTAFNIWRDKKWKREEWSKTLKQELTEKMKLRGKEGTKLVILVEKQMENGNSDPCMDSDLINQEKVSSLDIPELTRSSLVSSRFVKKRNPLEGDAKSQDQAVVCQGTTKPAEDSSVIQKFLGKSNPPDSTMEQKVSASFPDDMWQSVTTQDSCYQAAEENHRYPSASGISLGQLQPTAQVIDLERDALEHDTRDDDTSSLCSYAKKKHDELLQPFPNGPGTMPSYILRQFQEQRGLVEQRQAREKELYIHQIMNKNVYSNGEFPGRTHIASTEVQDFSELPSSTNGGMASQSWFSDDHLPSNGWPGYELSNNGQNLGDALSADGSLFSVLSECRKLPSRPSYAETTSSERFIQAGNFSGAENIRGFPARQPNSSTPSDADEVSPALDNVSWNFSHQNKMPWKH >DRNTG_22584.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17415329:17416859:1 gene:DRNTG_22584 transcript:DRNTG_22584.8 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAGQQKKRLTSSNLHDQYRGKKKKQKQQLDPSDYVLNFRSHVQLEWDDSRNRVIGKREQVGVTWTDMAPFIDSPHPHYSGLADVLSVPPTIFDLENLMEVLSYEVWAKFLSESDRKLLTQFLPSGTSGEQVLKPLLMGDNLHFGNPFLKWQVNI >DRNTG_22584.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17417028:17422699:1 gene:DRNTG_22584 transcript:DRNTG_22584.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKRFNDHWLKVVNKDLSTAFNIWRDKKWKREEWSKTLKQELTEKMKLRGKEGTKLVILVEKQMENGNSDPCMDSDLINQEKVSSLDIPELTRSSLVSSRFVKKRNPLEGDAKSQDQAVVCQGTTKPAEDSSVIQKFLGKSNPPDSTMEQKVSASFPDDMWQSVTTQDSCYQAAEENHRYPSASGISLGQLQPTAQVIDLERDALEHDTRDDDTSSLCSYAKKKHDELLQPFPNGPGTMPSYILRQFQEQRGLVEQRQAREKELYIHQIMNKNVYSNGEFPGRTHIASTEVQDFSELPSSTNGGMASQSWFSDDHLPSNGWPGYELSNNGQNLGDALSADGSLFSVLSECRKLPSRPSYAETTSSERFIQAGNFSGAENIRGFPARQPNSSTPSDADEVSPALDNVSWNFSHQNKMPWKH >DRNTG_22584.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17415329:17422699:1 gene:DRNTG_22584 transcript:DRNTG_22584.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKRFNDHWLKVVNKDLSTAFNIWRDKKWKREEWSKTLKQELTEKMKLRGKEGTKLVILVEKQMENGNSDPCMDSDLINQEKVSSLDIPELTRSSLVSSRFVKKRNPLEGDAKSQDQAVVCQGTTKPAEDSSVIQKFLGKSNPPDSTMEQKVSASFPDDMWQSVTTQDSCYQAAEENHRYPSASGISLGQLQPTAQVIDLERDALEHDTRDDDTSSLCSYAKKKHDELLQPFPNGPGTMPSYILRQFQEQRGLVEQRQAREKELYIHQIMNKNVYSNGEFPGRTHIASTEVQDFSELPSSTNGGMASQSWFSDDHLPSNGWPGYELSNNGQNLGDALSADGSLFSVLSECRKLPSRPSYAETTSSERFIQAGNFSGAENIRGFPARQPNSSTPSDADEVSPALDNVSWNFSHQNKMPWKH >DRNTG_22584.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17415134:17416676:1 gene:DRNTG_22584 transcript:DRNTG_22584.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAGQQKKRLTSSNLHDQYRGKKKKQKQQLDPSDYVLNFRSHVQLEWDDSRNRVIGKREQVGVTWTDMAPFIDSPHPHYSGLADVLSVPPTIFDLENLMEVLSYEVWAKFLSESDRKLLTQFLPSGTSGEQVLKPLLMGDNLHFGNPFLKWQVNI >DRNTG_22584.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17415329:17416859:1 gene:DRNTG_22584 transcript:DRNTG_22584.9 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAGQQKKRLTSSNLHDQYRGKKKKQKQQLDPSDYVLNFRSHVQLEWDDSRNRVIGKREQVGVTWTDMAPFIDSPHPHYSGLADVLSVPPTIFDLENLMEVLSYEVWAKFLSESDRKLLTQFLPSGTSGEQVLKPLLMGDNLHFGNPFLKWQVNI >DRNTG_22584.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17415329:17422699:1 gene:DRNTG_22584 transcript:DRNTG_22584.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKRFNDHWLKVVNKDLSTAFNIWRDKKWKREEWSKTLKQELTEKMKLRGKEGTKLVILVEKQMENGNSDPCMDSDLINQEKVSSLDIPELTRSSLVSSRFVKKRNPLEGDAKSQDQAVVCQGTTKPAEDSSVIQKFLGKSNPPDSTMEQKVSASFPDDMWQSVTTQDSCYQAAEENHRYPSASGISLGQLQPTAQVIDLERDALEHDTRDDDTSSLCSYAKKKHDELLQPFPNGPGTMPSYILRQFQEQRGLVEQRQAREKELYIHQIMNKNVYSNGEFPGRTHIASTEVQDFSELPSSTNGGMASQSWFSDDHLPSNGWPGYELSNNGQNLGDALSADGSLFSVLSECRKLPSRPSYAETTSSERFIQAGNFSGAENIRGFPARQPNSSTPSDADEVSPALDNVSWNFSHQNKMPWKH >DRNTG_16470.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2577032:2579664:1 gene:DRNTG_16470 transcript:DRNTG_16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSNPHQREREASASSHASSLRSGSGRGGITGARASDRPSRIHGGEYLHPFADEDLSSSYRSPRIYQQAGGVDSPAMAFINQLSPELPSTSSNSGFTNPSYNDLMNRFSSMDLRAMHEPSSSSSNRGLVQESINRRFSYPSDFSNAGAGYQPRRSVPENFNGFYHASPSQASYANEFSPPPSDFLVNARFSSDRNQISEVRRRIFEYQNRNMMNTQSRYSRFYPEEHPSIQAPLQHSSLVLDDLIALTGPRSPTTPNCQLEFLNLMEIKDDIHYLAKDRNYNQFFVKKLEGKNPQDVSIIFDGMFHHLPDLMLDCHANLVVQTLMEVINNEQRTKLLVSLITDRTALLRASQHVYGTKAVQKLIEWLDSKEQIDMMIFFLKPFCFDFVKDPHSCHVVSQCLKKFSESNNQFILDVFLRSCVPLANNQSGCCVFQDCLRYFTGQNRINLIEKVTANGLALSQDKYGNYVVQVALEFGITSASASLASQLTGHYADLSTDKCSSNVVEKCLIFFSQEDRNKIVRELLQVPQFEQLLQHPYANYVIQAALVNTEGSIHASLVQAILPHVESLRTNPHSKGIVSKLLPKT >DRNTG_16470.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2578971:2579664:1 gene:DRNTG_16470 transcript:DRNTG_16470.2 gene_biotype:protein_coding transcript_biotype:protein_coding NYVVQVALEFGITSASASLASQLTGHYADLSTDKCSSNVVEKCLIFFSQEDRNKIVRELLQVPQFEQLLQHPYANYVIQAALVNTEGSIHASLVQAILPHVESLRTNPHSKGIVSKLLPKT >DRNTG_21080.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20064717:20065712:-1 gene:DRNTG_21080 transcript:DRNTG_21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQQCTGDHGEIQEMFSLSIKTTERETKLCARGHWRPAEDSKLRELVAHYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINKMAFSEEEEEKLMAAHRFYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSSSHRKKKLNHNETKVHGMRNINGNHGFQQHEHCYPLMTTVVQHQQQQQQQQQQTSYQSSIITNESSSCEATTSSPHFIDFLGVGHV >DRNTG_12931.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1560050:1561144:-1 gene:DRNTG_12931 transcript:DRNTG_12931.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wee1-like protein kinase [Source:Projected from Arabidopsis thaliana (AT1G02970) UniProtKB/Swiss-Prot;Acc:Q8L4H0] MPPPCVKNPYLKPTLANDLELFGDRRSKLAGFSPTIGGDGLSRYRTDFHEIEQIGLGNFSRVFKVLKRIDGCLYAVKRNTHPFHNDMERMRALMEVQALAAL >DRNTG_12931.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1558467:1562096:-1 gene:DRNTG_12931 transcript:DRNTG_12931.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wee1-like protein kinase [Source:Projected from Arabidopsis thaliana (AT1G02970) UniProtKB/Swiss-Prot;Acc:Q8L4H0] MMSQKIEAKGQRRGSPAVAMAAEKTSPAGNLGLQLKQVTLLPFEQPSRLQKPIEATENSLPLGPDAEDGDCILSQDFFCTPDYITPDGQQILSDFEFNKEHLACPKSPEKSIRTKRHRKENSPCKTLAPSLLCPQQTIELQNGLLGSDTTEEANSSRYEKPKKPNYVSQSAVALRCRVMPPPCVKNPYLKPTLANDLELFGDRRSKLAGFSPTIGGDGLSRYRTDFHEIEQIGLGNFSRVFKVLKRIDGCLYAVKRNTHPFHNDMERMRALMEVQALAALGSHENIVGYYTSWFENDQLYIQMELCDQSLYINGGYVPRGAEVLQALYQIAKALQFIHEHGIAHMDVKPENIYIKNGIYKLGDFGCATLMDRSLRIEDGDSRYMPLEMLNDKYEHLDKVDIFSLGATIYELVKGSPLPDSGPQFSNLREGKISLLPGYSLQFQILLKAMMDPDPERRPSAKEIIENSIFEKTCRNTN >DRNTG_12931.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1561697:1562096:-1 gene:DRNTG_12931 transcript:DRNTG_12931.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wee1-like protein kinase [Source:Projected from Arabidopsis thaliana (AT1G02970) UniProtKB/Swiss-Prot;Acc:Q8L4H0] MMSQKIEAKGQRRGSPAVAMAAEKTSPAGNLGLQLKQVTLLPFEQPSRLQKPIEATENSLPLGPDAEDGDCILSQDFFCTPDYITPDGQQILSDFEFNK >DRNTG_12931.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1558467:1559474:-1 gene:DRNTG_12931 transcript:DRNTG_12931.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wee1-like protein kinase [Source:Projected from Arabidopsis thaliana (AT1G02970) UniProtKB/Swiss-Prot;Acc:Q8L4H0] MDVKPENIYIKNGIYKLGDFGCATLMDRSLRIEDGDSRYMPLEMLNDKYEHLDKVDIFSLGATIYELVKGSPLPDSGPQFSNLREGKISLLPGYSLQFQILLKAMMDPDPERRPSAKEIIENSIFEKTCRNTN >DRNTG_31725.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:193918:196172:1 gene:DRNTG_31725 transcript:DRNTG_31725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAHDLLPEPHPEISPLNMPLMMQVTEFKCGGFTVGIISVHTLADGPRRRPVHHRPERACSRPPQPNHQTQQQPFNHSKPSKTPTRSSSFLQEPQPQLLHL >DRNTG_06850.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3973835:3976783:1 gene:DRNTG_06850 transcript:DRNTG_06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRKSTCRLVEDLEKAFHEGQINGENIKDRVANHSESNPEIPLHR >DRNTG_06850.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3976177:3976783:1 gene:DRNTG_06850 transcript:DRNTG_06850.2 gene_biotype:protein_coding transcript_biotype:protein_coding SRKSTCRLVEDLEKAFHEGQINGENIKDRVANHSESNPEIPLHR >DRNTG_01166.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29056559:29103984:-1 gene:DRNTG_01166 transcript:DRNTG_01166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMALSSPSLAGKAVKVAPTASEIFGEGRVSMRKTGGRPRPVSGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLVHAQSILAIWACQVILMGAVEGYRIAGGPLGEITDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >DRNTG_01166.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29056559:29060191:-1 gene:DRNTG_01166 transcript:DRNTG_01166.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMALSSPSLAGKAVKVAPTASEIFGEGRVSMRKTGGRPRPVSGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLVHAQSILAIWACQVILMGAVEGYRIAGGPLGEITDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >DRNTG_09831.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000414.1:146132:148522:1 gene:DRNTG_09831 transcript:DRNTG_09831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVGQQSWITKIEELVKTFNPEKEEERWKKMSIYQIPEFSKELKEKAFTPQVASFGPYHYGKHNLKLIEDYKQKVLLHFLKRAGKPLRDFVKAMEDTVEDLQPCYQGLQDTQWKDKYKFVRLMISDGCFMLEILRLNPDKPGDSGYTDHDPIFSVHGAHHKLPYIKRDMLMLENQIPLLVLKVLLQVEAGQTTKPLLSDKEINRLVFKFCGQGGRCHVETLGLHVLDVCRQSMIQRPHGSRIAPPYYEGEPMFTAAQLRESGVRFKKSGTNYITDISFDKGILTLPSIVVDDITECMLLNLMAFEHLHVGVGNEITSYVCFMNELVDTAMDVHLLHANHIIHSALGSNKAVAELFNSITKDIILDPNSDLGIVREEVNRYCKKRHVKWPALALAFATVLLVPAILHIVYKL >DRNTG_03446.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10067137:10076195:1 gene:DRNTG_03446 transcript:DRNTG_03446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSVSSRMAGSLVDNNCPHPPTEMRSLTSSANLSSCSKSLNMLVSLNS >DRNTG_21259.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:127048:128964:1 gene:DRNTG_21259 transcript:DRNTG_21259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLENSVPGGEVLDNMAGNIDDTPFEDDLIDPDYDLPSGDEDFQQVINEQITKNKGEPEISDDELTEQESDGEGQSRHVEFNEERELYNPRLRVGLGPKYACGGMKYKGNICPKIQKKLDKMKEEGQYYTPDFSGGPKVQIIGGGGSFVMDKVEQTCTCRRWQLTGIPCPHAICAIWGNNQQPEEYVHACYFVSTYMKVYDHYINHTNNEDLWPEVTNGSKVIPPPIGKRQRGRKAIARRKEPEEIEAAMKATQSNSAASGKGM >DRNTG_33831.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11513255:11513993:-1 gene:DRNTG_33831 transcript:DRNTG_33831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNVAESFNAWIKEARHLPVTKMVDSIRYKLMRMLCNRREQANKWETYLCPDIHSKVEIIVEDSRNLRVGRCVDDRYEVIDQCSNSVDLAIRTCSCRRWQVYGIPCKHACAAIMQTDTNVHRFISGYFTVDNYKLAYKEAIFPIPDDDRPSDGNCELRL >DRNTG_01041.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18635184:18637152:-1 gene:DRNTG_01041 transcript:DRNTG_01041.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNLSKPSTPPAEARPSEQQLSPAISEPSATPDTPVKKPMQLEATGAPNNENLSSGEVDHRGSVNSKDDTTPSSPS >DRNTG_01041.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18635184:18639945:-1 gene:DRNTG_01041 transcript:DRNTG_01041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIVKIYYSKPVVPDVSRVLACLAEKQVKFESILMNKDGNAPLEYPNLQTSALHYGPGFEDGDTKLFESRAICRYISEKYAEQGNKFLLGKDVLERVSIEQWLKTEESRFDPPSKILIFHLAFRVDKPLMEQNERKLAKVLDVYEQRLSESRYLAGNEFSLADLSHLPNSHFLAKSKKWGYLFKSRKNVRKWWERISERPSWIGVVDDLMDVEELVDGKRKPTTTFIISSFQKENPQTKSQTIILQPEVAQEAKIVEIIEPSTTTPPPTKSPKIQLGMQTQKQAPQPKPIHQPSAQEPPKQQPLIDSADLKRGKKVSPSKSTKPIDTGSVVVEPPMTPSAPVQPPQFEEGFRPKTVTPLVETGTSDQQLSSAISQPAPTDAQPTTVTRPVAVEPSVTTPTTASKPKKAERDISKPSTTSAEVEQALKEPTGAPSPQSEEGFRPKTVTPFGETETSDQQLSSAISQPAPTDAYLTTVSRPDAVEPPVITPTIASPPKQAERNLSKPSTPLAAVEPPLKEPTGAPSPQREEGLHPKTETPLAETGPSKQQLSPAIFGTCSDGCTANPCHPTSRR >DRNTG_01041.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18635184:18637152:-1 gene:DRNTG_01041 transcript:DRNTG_01041.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNLSKPSTPPAEARPSEQQLSPAISEPSATPVDLSADTPVKKPMQLEATGAPNNENLSSGEVDHRGSVNSKDDTTPSSPS >DRNTG_01041.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18635184:18639945:-1 gene:DRNTG_01041 transcript:DRNTG_01041.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADIVKIYYSKPVVPDVSRVLACLAEKQVKFESILMNKDGNAPLEYPNLQTSALHYGPGFEDGDTKLFESRAICRYISEKYAEQGNKFLLGKDVLERVSIEQWLKTEESRFDPPSKILIFHLAFRVDKPLMEQNERKLAKVLDVYEQRLSESRYLAGNEFSLADLSHLPNSHFLAKSKKWGYLFKSRKNVRKWWERISERPSWIGVVDDLMDVEELVDGKRKPTTTFIISSFQKENPQTKSQTIILQPEVAQEAKIVEIIEPSTTTPPPTKSPKIQLGMQTQKQAPQPKPIHQPSAQEPPKQQPLIDSADLKRGKKVSPSKSTKPIDTGSVVVEPPMTPSAPVQPPQFEEGFRPKTVTPLVETGTSDQQLSSAISQPAPTDAQPTTVTRPVVVEPSETTQTTASKSKQAEHDVSKPSTTPAAVEQKLKEPTGAPSPQSEEGFRPKIVTPLDETGTSDQQLSSAISQSAPTDAQPTTATRPVAGEPLMTTPTTASKTKQAEHDVSKPSSTAAAVEQKFKEPTGAPSPQFEEGFHPKKVTPLDETGTSDQQFSSAISQPAPTDAQPTTATRPVAVEPSVTTPTTASKPKKAERDISKPSTTSAEVEQALKEPTGAPSPQSEEGFRPKTVTPFGETETSDQQLSSAISQPAPTDAYLTTVSRPDAVEPPVITPTIASPPKQAERNLSKPSTPLAAVEPPLKEPTGAPSPQREEGLHPKTETPLAETGPSKQQLSPAIFGTCSDGCTANPCHPTSRR >DRNTG_08800.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1110471:1115704:-1 gene:DRNTG_08800 transcript:DRNTG_08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRVVNIDFVGNSMRPQGNIYSNIYNSDTTDELVDEYMQEMFNPDPYEGLFDQEESNKEVMMLGSTEEVTSTLGILKKVLQKMKRARRRHRKCSKIVGDIHEPRKLDEPLLEKTHGVVEFPHARGCILRAHPEKAQWHAAAPVDDHAKIAWLWEISARACEVLQSWADFPENTQGRGLAPVGDLVNLTRGRAAAPVSWTCECPRPCGISARACGRRDIFLRCPGKPQGRASAAVGRGHGRGYFSHARVRSFLASESFSRERTGPCIRPSLCLSRPAYKYLHAIMSRSVNGRGDSNGVLSRQELLSAGHGSLGFNSWAEKTSVPAPLSLETMRLMGMVRRVRTGVFALVLPAPEIAEDAGDEAGASQPAPGVGRRSMETEAPPVAEEPPLVRMFSPSRANDRFERLENAIRVVRAEVAEIRAREPLDTQSS >DRNTG_19257.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:326707:327274:1 gene:DRNTG_19257 transcript:DRNTG_19257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIPCLKGCGFFGDPEQRNLCSQCYKDEILASVRATIQDQINREMAMMNLKAEPLVPLPPIKTKIVTKTTKRCESCKKKIGLTGFICKCGGEFCSTHRLPEAHHCSFDFKGFGRKAIAKDNPVVKADKVYKI >DRNTG_25044.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8904763:8905555:1 gene:DRNTG_25044 transcript:DRNTG_25044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHWSNYLFRGERWGEMYSNVAESFNAWIKEARHLSLTNIVDSIRWQVYGIPCKHACAAIMQTDTNVYRFISGYFTVDNYKLAYKEAIFPIPDDDKPTDGNRELCLRSPVTRRQPGHPRKEDRVTSL >DRNTG_13041.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000588.1:25786:26889:1 gene:DRNTG_13041 transcript:DRNTG_13041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYNFIFLATLLLALITNFMSSSADTATFYTPPYNPAACGFEVKGVMIAAGSHEIFDDGRACGHLFKVKCIAGTNNGVPHPCRGSGTVVVKLVDLCPSGCQGTIDLSQEAFAKTADPDAGKIEVSIESL >DRNTG_26803.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20315741:20319543:1 gene:DRNTG_26803 transcript:DRNTG_26803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQARRYHKKTQERSVSDERSCGNMLDNSPSCAKAQGSYSIGLRSSGTIVAATVILL >DRNTG_18759.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1297645:1302253:-1 gene:DRNTG_18759 transcript:DRNTG_18759.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILIGLFIDDFAIKFNATKPNLGISVLTTEGAAEAPAKTKAYTTTAPYAKTKCMQRHPSKPPSDSKTTANAKPKSDTISSEVREHHPF >DRNTG_18759.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1297645:1300099:-1 gene:DRNTG_18759 transcript:DRNTG_18759.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNILIGLFIDDFAIKFNATKPNLGISVLTTEGAAEAPAKTKAYTTTAPYAKTKCMQRHPSKPPSDSKTTANAKPKSDTISSEVREHHPF >DRNTG_14380.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:437919:442266:1 gene:DRNTG_14380 transcript:DRNTG_14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYNLFILFLSLTFFITTTTSSRLLTPSPSSQIQRLPYTPGPIHTNGQNFTKVCDPARFTIIGLRMEDFAYCNGSLPYSVRVKDLIGRMTISEKFKNLNDLAPGAPRIGLPHYNWWSEALHGVASSQNGTFFGDIVKGATVFPTPILTAASFNAPLWNTIGKVVSTEARAMYNLGHAGLTFWSPNINVARDPRWGRTLETPGEDPYVTGLYAVNYVRGLQDVEGSVTVQDPNTRSLKVAACCKHYTAYDLDSWMKGMIVRQEYDARVTEQDMVETFNRPFETCVREGDSSSIMCSFNKVNGVPVCADARLMSQTFRKEWNLHGYIVADCDSVEVIAERQRWLHDSPEAAIAETLKAGLDLDCGWGPFHFYQDYGESAIRQGRIRETDVDNALTNLYTVLMRLGFFDGNEKFQNLGLPDICSKKHTDLSAEAARQGTVLLKNINNKILPFDSNKFKNIALIGPHANATEAMRGNYAGDPCNYITPLEAFQAEVQVDVQKGCSTVACTDVDLNPVIDAVKNADASVLFFGLDLSEEREEFDKNGLQLPAGQVEIIKKAAEASKGPVVLVIMSGTCVDLSFAEKNPKIGAILWVGYPGEQGGRAIADVVFGRYNPAGRLPLTWYNSDYVDQLPMTSMQLRPNDQLGYPGRTYKFYNGPLQYPFGHGLSYTTFNYTLKSSTTSISTTLSPLQSCKQLTLKPKVTPPPCPAINIDESLCTDNINFTVIVTNTGKLDGDHVLLVYSKPPLMVDDAPIKQLVGFQRVFVKAGQSLEVKFSLNACKALGLVEKTAYKVLPSGEHTIVVGDGHSAASFPVTVNFKH >DRNTG_04019.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29257890:29258468:-1 gene:DRNTG_04019 transcript:DRNTG_04019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTSTEPPKENLQINQDTKFFNKLLSKETSMANPSFRVYYGVATGAVPFLWESQPGTPKNSIHTPSLPPLTPPPSYHSKLQTKKSNHSKKHSSKYNTLISSILPRLSLRKSHASSSPSPSLSSSSLSFSSSSLSPSRSHRSRSSSLDNDDDSVDGSPAVTGRLRGCYSMVLMKNALLSIVGYGSSQSISTA >DRNTG_00837.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11724021:11841370:1 gene:DRNTG_00837 transcript:DRNTG_00837.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKDIMLGVLVQFNDQDTRGVYKRGDIARPHKDTSMKKSFIAYHMDVCPDMWPQEKSDWIAFCEKKGCEAEYEGNRPMWIIMNLFWRKPC >DRNTG_28850.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001451.1:80634:81941:-1 gene:DRNTG_28850 transcript:DRNTG_28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECDCPCARFIFRSIFFMIPGVGSSFSSSPSIISSSFSSSWSRNPSYGSGFN >DRNTG_34055.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26900686:26903216:1 gene:DRNTG_34055 transcript:DRNTG_34055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDNKTSGDRRDDFSTTMTKKWGRKRRRK >DRNTG_29706.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001499.1:2370:2673:-1 gene:DRNTG_29706 transcript:DRNTG_29706.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGWHTIWVKNGNHGYDEIRAAIKEAKAVKDRPTLIKVTTTIGFGSPNKANSYSVHGSALGAKEVDATRQNLTWPF >DRNTG_21648.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:165161:167316:-1 gene:DRNTG_21648 transcript:DRNTG_21648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVASFVAIALLAAALPASTTAQSQTPSCVSNLIPCAPYLNSTTTPPAKCCEPLKEAVKNELPCLCSLFTNQDLLKSFNIDINQALQIPKHCNITQDQSICKTSASPGKAAAPASTNATSGPPANKNTKNDNKASQGLPSIGFTAVMSLLLLWLSFNA >DRNTG_29824.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23229278:23235905:1 gene:DRNTG_29824 transcript:DRNTG_29824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVDPVIERLDQKGCIRYRLSRAATKYQDGKHFRDLSKLNRDPARILYVSGHALESSLQQENCVPCKPWKLENDDTALLDLIPFLEYVALHRPADIRTVLASYQGHDIPSEFIERSKEHQRRMQEQKQHGRFWRR >DRNTG_09939.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18334674:18335324:1 gene:DRNTG_09939 transcript:DRNTG_09939.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVVGMLVSKNKPTNIPETPAFLNHRSPTNSNAVVSKDLSSSTSLSISVLQDVSANAHSQPENHET >DRNTG_09939.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18334362:18335324:1 gene:DRNTG_09939 transcript:DRNTG_09939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVVGMLVSKNKPTNIPETPAFLNHRSPTNSNAVVSKDLSSSTSLSISVLQDVSANAHSQPENHET >DRNTG_20543.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001128.1:55310:55867:1 gene:DRNTG_20543 transcript:DRNTG_20543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGTYGYMAPELAYVMRVTEKCDVYSFGIVALEMMHGTHPGDLLSNLSLSMLVKDILDPRLQLHIVDQVTTNQVLLVILIAMQCINIDPQARPSMEQVSQRLSSSKSLPSSFDNYPFQALTLDQLINIAQTHIDDQAQE >DRNTG_15475.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16465833:16473217:-1 gene:DRNTG_15475 transcript:DRNTG_15475.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-mannose transporter (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G13650) UniProtKB/TrEMBL;Acc:A0A1B0VP09] MGSRVPPLGIPIDASDTVLERLVLEETGKAVLSGERSSLLGREQNGGASPGSSPINVARREIFSRSISGSKSLSNDDIDLEDGKAAKDRDKNSRNYKSLKIQNQAILSGLAYCLSSCSMILVNKFVLSSYGFNAGISLMLYQNFVSVIIVSILSLFGVISTEPLTWRLIKVWLPVNVIFVGMLITSMFSLKYINVAMVTVLKNVTNVITAIGEVYLFKKQHDNKVWAALFLMIISAVSGGITDLSFHAVGYAWQIVNCFLTASYSLTLRRVMDTAKQVTKSGNLNEFSMVLLNNSLSLPLGVLLVILFNEVDYLTRTPLLKMPMFWLVMTLSGVLGLAISFTSMWFLHQTGATTYSLVGSLNKIPLSIAGILLFKVPTSPENFFSILFGLLAGVFFAKAKLRERSQS >DRNTG_12635.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1324588:1326500:-1 gene:DRNTG_12635 transcript:DRNTG_12635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKPGFFLGLVWVEILLLWPLSIANVYGILSGKRWAATTSLMTGVSAGTSMAALMGELIGSGRASNKLLQMYFPFVFFAIFAVIRGLMPLFEDDAAPEDSNNSKKRD >DRNTG_13311.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22997983:22998559:-1 gene:DRNTG_13311 transcript:DRNTG_13311.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSDSRKIKARRKRSPNEGPHGKVTFLLQAAGEVQTILR >DRNTG_04841.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30983891:30984516:1 gene:DRNTG_04841 transcript:DRNTG_04841.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCLLVHVLLVFLFSSCGTCSMGLSGTLPRCQDKCGNVIIPYPFGLSDSCHLPGFDITCDHTYNPPKPFISTGNIEIFNITDETLTVDFLIAGGDDCEYGNTYTHSWTRLGGDQHPYTFSQARNMFVAIGCDTLAVFYDGSNPNSSISGCVSMCSDMKSIDNGTCSGNGCCQNSIPKGLKRFDVKLDTIS >DRNTG_04841.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30983891:31082264:1 gene:DRNTG_04841 transcript:DRNTG_04841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCLLVHVLLVFLFSSCGTCSMGLSGTLPGCQDKCGNVNIPYPFGLSDSCQLPGFHITCNHTYNPPKPFISTSTGNIEIFNIMDGTLTVDLLVAAGDDCESSNTSDGVLTLLDGDQLPYSLSQTRNMFTVIGCNTIAYLYDYNGTSISGCASDCNNLLNTNNVDGTCSGHNGCCQIHITNSFKSCDVMVSKNNETLNQTIINCSKAFLVAKDHFKYNASYIKSFNQTKVPVVLDWAIGNRTCDVAESSNDYACRDNTRCVNSTDGLGYRCSCLEGNQGNPYLPGGCQ >DRNTG_04841.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30983891:31082264:1 gene:DRNTG_04841 transcript:DRNTG_04841.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCLLVHVLLVFLFSSCGTCSMGLSGTLPRCQDKCGNVIIPYPFGLSDSCHLPGFDITCDHTYNPPKPFISTSTGNIEIFNIMDGTLTVDLLVAAGDDCESSNTSDGVLTLLDGDQLPYSLSQTRNMFTVIGCNTIAYLYDYNGTSISGCASDCNNLLNTNNVDGTCSGHNGCCQIHITNSFKSCDVMVSKNNETLNQTIINCSKAFLVAKDHFKYNASYIKSFNQTKVPVVLDWAIGNRTCDVAESSNDYACRDNTRCVNSTDGLGYRCSCLEGNQGNPYLPGGCQ >DRNTG_18025.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6903191:6903853:-1 gene:DRNTG_18025 transcript:DRNTG_18025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNANTRPSVPIHGGACLLVPFDLCHDAHGQLISNWISEDQCISPFMLSSQEGEFFASGEPSTKKRRISLIKKEKSSRTISDSVFCIVDMRKRDLDLRHLKYTGMRQSRGDSHFYHLLVCRTGVIFTQYAVLGDDVVIADVTLELAQYGDR >DRNTG_08535.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1029621:1033048:1 gene:DRNTG_08535 transcript:DRNTG_08535.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYHLPNHHDSIWNLYFALVHLLIGDVFHWFYTNDNFSHFPGPDTSSFNNSVADHQVGCFGDCGYNFS >DRNTG_08535.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1029621:1033885:1 gene:DRNTG_08535 transcript:DRNTG_08535.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSWRVRCGNEEAPEYLPIAEYFTIHMYYGLGEFLSLNEARFGGYVDFCDADFISRLELLQMAREMKLDVIRCSFWWRETTGEGVRMVEMRNDLDVIKMAKSVGGSRNINVYVKGTTQIGVDCGDGLQNAANIDVDEGEDVEDNLPDSPEHASVFGKLLVDEEQIADAIGHADADADVDAAADAVGHACGDADVNAAADVDVDVDADDDVNKSDIEDSEYSFHSEEDTEDNAPSEDEVPTAGTEMQEEV >DRNTG_08535.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1029621:1033048:1 gene:DRNTG_08535 transcript:DRNTG_08535.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLYHLPNHHDSIWNLYFALVHLLIGDVFHWFYTNDNFSHFPGPDTSSFNNSVADHQAVLETVAIISHDKSKKNDSTETNSTRSFQIKDVFSLENEGADDMTTLIDRKDNMFVMSNRKSKYPMLQVDLRLISDLVVVIVSATIGGITFSCLGQPRLSRRHSSASASNSPSLIPVSPNFLFLLRKPRPHPLSPFSSNDPTPTPTPTPQPCDPTPAPTSLAVP >DRNTG_31909.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18751421:18753201:1 gene:DRNTG_31909 transcript:DRNTG_31909.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEAPSAVQEPPPMCMFSTSRAYGHFERLASVVSPAPLSSVHAPIDPRSISSPPAASVEEEPERDTDT >DRNTG_09241.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000397.1:1720:2156:1 gene:DRNTG_09241 transcript:DRNTG_09241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITQCLEGHGRHNPCHSHPVGPLKNTHMTDAAYQSVI >DRNTG_26190.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29084411:29088561:1 gene:DRNTG_26190 transcript:DRNTG_26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRHEVRRDVIRDVTKYLPLQDYIRFGAIHPSWSAVAKARYRLPGIPLLIANDDNPRGNPRLFNVFSQKSYPLDLPDGYHCCGSSRGWLLIMDLSLKMCLWNPFSKTQIELPTFLSSESECRRYESLVRDTKQADDPTDVILRERLVARVVLSADPERCSDFVIMVIGFCASELKFWRLGDSSWTHIPNVWFLDVIWYNGAFHGLSTQKEVFMVCLTPKLELKKVAYYRNPFPCNVRYLVDCMGDLLIVERNTNQTYTMHTYTSSFLVYKLDKKRMVFKKVKSIGDHALFLGRNPTIAIPANKFPGCLSDSIYFTDNDPFIYRIYRYEDIG >DRNTG_28891.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:648800:649726:-1 gene:DRNTG_28891 transcript:DRNTG_28891.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSRVLLAILLGLLVLNLIEPSHESFEDQEASQGAVGKSLLATIDCGVACSGRCRLASRQNLCMRACGTCCARCNCVPPGTSGNTDQCPCYANMTTHGGRKKCP >DRNTG_22060.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20143557:20147992:1 gene:DRNTG_22060 transcript:DRNTG_22060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKRAKIHILLLCFLALLHQSQGQANSYNCSDDRTIYPCRAYAFYRAAAEQDLAAVADLFGVSRLMISRASNLSLAAAISLGDPLLIPLTCTCGGATSNLSYAPTTYQILSGDTFYAVSTYKFHNLTAYPAVEAVNPTLIPTQLQIGVDVTLPIFCQCPRKSSPALITYVFQPSDSYRSIASNFGSDVPSLVSMNGPETKIKHFTTVLVPISRIPPPMMLNRSSVLLPPPPRSTDDHGVVVGLAIGFTIMVVLWVLLLSSLAWKWRAWQGQA >DRNTG_22060.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20132899:20147992:1 gene:DRNTG_22060 transcript:DRNTG_22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCTPKLLWIPEHCMHMKIPRFTIAQCGCLLVDLHKAFNGRRGWKHANLRRMNSKRAKIHILLLCFLALLHQSQGQANSYNCSDDRTIYPCRAYAFYRAAAEQDLAAVADLFGVSRLMISRASNLSLAAAISLGDPLLIPLTCTCGGATSNLSYAPTTYQILSGDTFYAVSTYKFHNLTAYPAVEAVNPTLIPTQLQIGVDVTLPIFCQCPRKSSPALITYVFQPSDSYRSIASNFGSDVPSLVSMNGPETKIKHFTTVLVPISRIPPPMMLNRSSVLLPPPPRSTDDHGVVVGLAIGFTIMVVLWVLLLSSLAWKWRAWQGQA >DRNTG_22060.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20140238:20147992:1 gene:DRNTG_22060 transcript:DRNTG_22060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTIESSLISDQEDNHAIQLSSTFKLLVDLHKAFNGRRGWKHANLRRMNSKRAKIHILLLCFLALLHQSQGQANSYNCSDDRTIYPCRAYAFYRAAAEQDLAAVADLFGVSRLMISRASNLSLAAAISLGDPLLIPLTCTCGGATSNLSYAPTTYQILSGDTFYAVSTYKFHNLTAYPAVEAVNPTLIPTQLQIGVDVTLPIFCQCPRKSSPALITYVFQPSDSYRSIASNFGSDVPSLVSMNGPETKIKHFTTVLVPISRIPPPMMLNRSSVLLPPPPRSTDDHGVVVGLAIGFTIMVVLWVLLLSSLAWKWRAWQGQA >DRNTG_14523.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:24190019:24196242:1 gene:DRNTG_14523 transcript:DRNTG_14523.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNTSNKSMKTTTNGIRKLPQTIEVGRQAKLGGNASTDAAMGAASLVVYKLPKKEDLAKTELLPLIFLPQNV >DRNTG_22451.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17977915:17978178:-1 gene:DRNTG_22451 transcript:DRNTG_22451.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREVAGKRQGGGSPCAACKLLRRRCAEDCVFAPYFPADQPHKFASVHKVFGASNVNKLLQ >DRNTG_22451.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17976087:17978178:-1 gene:DRNTG_22451 transcript:DRNTG_22451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVAGKRQGGGSPCAACKLLRRRCAEDCVFAPYFPADQPHKFASVHKVFGASNVNKLLQELPVHHRSDAVSSLVYEANARVRDPVYGCVGAISSLQQQVDALQTQLAIAQAEMLHIRMSHTAYLARLGLTPAMSGSGGSSSGTSSPKLMEINEKPTYGLEMVVDQSTLDEPLWPC >DRNTG_22441.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23430273:23432001:-1 gene:DRNTG_22441 transcript:DRNTG_22441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTKLALLKHAMGFVIQNLGPSDRLSVVSFSSTASRLFGLRLMSESGKQHALQAVNSLTARGGTNIVDGLTKAAKVIEERKDKVPVCSIVLLSDGHDNYNVAPSSVGVELSNNNSQSNLVSFLPPSFRGITGHQLPVHAFGFGADHDARMLHLISETSGGTFSFIESENVLQDAFAQCIGGLLSVVVQDMTLVVDCIHPGIQLKDIKSGNYENHVASHMRTGSISVGQLYADEERNFLLSVNVPPDQNQSTLLLKIGCSYKDAVSKRVICLESVEVWLPRPQAVIVTESQTILMSMEVDRQRNRLCAAEAMGEAMAVAEQGEMSEAVSILENCRLMILESLAAQSGDQMCMALDAELKDMQERMASTQRYHSSGRAYMYSGLSSHLRQTATTRGDSTDLNTTICNYQTPSMAEMVSRSQQLSFPHQTTTTTSPVWPSS >DRNTG_07712.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23043645:23045434:1 gene:DRNTG_07712 transcript:DRNTG_07712.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLIEDIRRAQRAEGPATILAIGTATPAHCVYQAEYPDYYFRITNSNHLPDLKEKFKRMCEKSMIKKRYMHLTEELLKENPNICAYMAPSLDARQDMVVVEVPKLGKEAATKAIKEWGQPKSRITHLVFCTTSGVDMPGADYQLTKLLGLRPSVKRLMMYQQGCFAGGTVLRLAKDLAENNRGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAAMIIGADPDTSIERPLFEMVSAAQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIEKSLAEAFEPLGISDWNSIFWIAHPGGPAILDQVEMKLGLDPKKMKATRHVLSEYGNMSSACVLFILDEMRKRSAEEGKITTGEGLEWGVLFGFGPGLTVETVVLHSLPIH >DRNTG_33198.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:337830:340756:1 gene:DRNTG_33198 transcript:DRNTG_33198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFDGVGHVLLSISIVLGSILVVESGIGVNWGTLSSHRLSPSVVVDLLKENKIGKVKIFDADPNVLRALMGSGIEVMIGIPNEMLGTLSSSPAVADLWVSQNVSRYAVKGGVNIRYIAVGNEPFLSNYQGQFQSFVLPAMVNLQQSLAKVNLAGYVKLVVPCNADAYEASLPSQGSFRPELTQIMTQIVSFLNSNGSPFIVNIYPFLSLYQSSDFPQDYAFFDGSTHPVVDGSNIYYNAFDGNFDTLVAALNKIGYGQMPIVIGEVGWPTDGAFSANLSAARAFNQGLINHVLSNKGTPLRPGVPPLDIYLFSLLDEEQKSILPGNFERHWGIFSFDGQAKYPLNLGLGNGILKNAKDVEYLPSRWCVANPSQDLGSASNHMKLACSMADCTTLLYGGSCNAIGEKGNISYAFNSYYQLQKQDARSCDFDGLGMVTFLDPSIGDCHFLVGVTDNNCSCVGCGILCGLWIMTLWVVIYLRIFESP >DRNTG_21765.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3028516:3030653:-1 gene:DRNTG_21765 transcript:DRNTG_21765.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPLFFSFSLLLSLPLVLLLAPRLLSPKTLPPIPAANELQDLALFRRATLSSSNLSSSDLHSTRPKIAFLFLTNSDLSFSPLWELFFSSHSSLFNVYVHADPSTHLLLPQTPSFRHRFIPSQPTSRSSPTLISAARRLLAAALLDDPLNSFFALLSQHCIPLNPFPSLYHSLLSQRPLKSFIEILADEPSLHARYVARGDLTMLPEVPFPQFRVGSQFFVLTRRHALLVVRDRRLWKKFRLPCLKSRESSCYPEEHYFPTLLSMRDPAGCSGYTLTRVNWTESVDGHPHLYGPGEVSADLIRTLRKSNSSYEYMFARKFSPSCLEPLMELANTVILKD >DRNTG_21765.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3028058:3030580:-1 gene:DRNTG_21765 transcript:DRNTG_21765.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPLFFSFSLLLSLPLVLLLAPRLLSPKTLPPIPAANELQDLALFRRATLSSSNLSSSDLHSTRPKIAFLFLTNSDLSFSPLWELFFSSHSSLFNVYVHADPSTHLLLPQTPSFRHRFIPSQPTSRSSPTLISAARRLLAAALLDDPLNSFFALLSQHCIPLNPFPSLYHSLLSQRPLKSFIEILADEPSLHARYVARGDLTMLPEVPFPQFRVGSQFFVLTRRHALLVVRDRRLWKKFRLPCLKSRESSCYPEEHYFPTLLSMRDPAGCSGYTLTRVNWTESVDGHPHLYGPGEVSADLIRTLRKSNSSYEYMFARKFSPSCLEPLMELANTVILKD >DRNTG_21765.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3028058:3030653:-1 gene:DRNTG_21765 transcript:DRNTG_21765.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPLFFSFSLLLSLPLVLLLAPRLLSPKTLPPIPAANELQDLALFRRATLSSSNLSSSDLHSTRPKIAFLFLTNSDLSFSPLWELFFSSHSSLFNVYVHADPSTHLLLPQTPSFRHRFIPSQPTSRSSPTLISAARRLLAAALLDDPLNSFFALLSQHCIPLNPFPSLYHSLLSQRPLKSFIEILADEPSLHARYVARGDLTMLPEVPFPQFRVGSQFFVLTRRHALLVVRDRRLWKKFRLPCLKSRESSCYPEEHYFPTLLSMRDPAGCSGYTLTRVNWTESVDGHPHLYGPGEVSADLIRTLRKSNSSYEYMFARKFSPSCLEPLMELANTVILKD >DRNTG_21765.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3027906:3030580:-1 gene:DRNTG_21765 transcript:DRNTG_21765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPLFFSFSLLLSLPLVLLLAPRLLSPKTLPPIPAANELQDLALFRRATLSSSNLSSSDLHSTRPKIAFLFLTNSDLSFSPLWELFFSSHSSLFNVYVHADPSTHLLLPQTPSFRHRFIPSQPTSRSSPTLISAARRLLAAALLDDPLNSFFALLSQHCIPLNPFPSLYHSLLSQRPLKSFIEILADEPSLHARYVARGDLTMLPEVPFPQFRVGSQFFVLTRRHALLVVRDRRLWKKFRLPCLKSRESSCYPEEHYFPTLLSMRDPAGCSGYTLTRVNWTESVDGHPHLYGPGEVSADLIRTLRKSNSSYEYMFARKFSPSCLEPLMELANTVILKD >DRNTG_21765.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3028435:3030580:-1 gene:DRNTG_21765 transcript:DRNTG_21765.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPLFFSFSLLLSLPLVLLLAPRLLSPKTLPPIPAANELQDLALFRRATLSSSNLSSSDLHSTRPKIAFLFLTNSDLSFSPLWELFFSSHSSLFNVYVHADPSTHLLLPQTPSFRHRFIPSQPTSRSSPTLISAARRLLAAALLDDPLNSFFALLSQHCIPLNPFPSLYHSLLSQRPLKSFIEILADEPSLHARYVARGDLTMLPEVPFPQFRVGSQFFVLTRRHALLVVRDRRLWKKFRLPCLKSRESSCYPEEHYFPTLLSMRDPAGCSGYTLTRVNWTESVDGHPHLYGPGEVSADLIRTLRKSNSSYEYMFARKFSPSCLEPLMELANTVILKD >DRNTG_21765.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3028516:3030580:-1 gene:DRNTG_21765 transcript:DRNTG_21765.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPLFFSFSLLLSLPLVLLLAPRLLSPKTLPPIPAANELQDLALFRRATLSSSNLSSSDLHSTRPKIAFLFLTNSDLSFSPLWELFFSSHSSLFNVYVHADPSTHLLLPQTPSFRHRFIPSQPTSRSSPTLISAARRLLAAALLDDPLNSFFALLSQHCIPLNPFPSLYHSLLSQRPLKSFIEILADEPSLHARYVARGDLTMLPEVPFPQFRVGSQFFVLTRRHALLVVRDRRLWKKFRLPCLKSRESSCYPEEHYFPTLLSMRDPAGCSGYTLTRVNWTESVDGHPHLYGPGEVSADLIRTLRKSNSSYEYMFARKFSPSCLEPLMELANTVILKD >DRNTG_13935.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19588758:19593696:1 gene:DRNTG_13935 transcript:DRNTG_13935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFTSLTISSRSLRRAGLTLPTPMVSRCWGPSSLNGMVARGYGTSLPQRTLLICMLRGWLNWLKALGFDGWLLNIEVNLNLDQISNLKEFVSHLTSVMHSSVPGSLVIWYDAVTMDGRLIWQNRLNEKNKPFFDACDGIFVNYSWEENYPKLSAEVAGERRYDVYMGIDVFGRNTFGGGEWNTNVALDVLKDDDVSAAVFAPGWVYETKQKPDFQTAQNRWWGLIEKSWGVLQTYPKQLPFYSNFDQGHGLHFFIEGAQVGSSPWNNISDQGFQPLLDNFTDQTQTTMQVFINFKDIAYSGGGSITFTGSLNQNASYSTRLFHGQLPCGDQPLYISYSVKSDGKSLLGIAFGFSSNTNTKTSILLANDTQTFPLVQLEKNYGRIMSSQIKSIAEVSDSKWVILESSIQMKGYTLTDIRVVSYIKRVTGTGEQATDQPYDASLGHISIRNTSGNMEFPSADAWIVEGQNISWASPGASGIRTVSLKLIWKLKAGQTSSFVKYNIYVEKQSKDADGDANKDYLGIARVNAFYISDLEVANGVTSLRFIVQVCGLDGSSQELLLSPYFLLVVQGQ >DRNTG_10615.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:146203:147317:1 gene:DRNTG_10615 transcript:DRNTG_10615.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAVMIAVIGWFLSPFIAKGMESMLTSSEDDNEENKQPSLGTDQTTTAQNQHTGLPTETHIVSRMSTDVIQASIQPTENELQTLINYQIQLLSSSYSSESIRTTQNQHSISSEETLINSQKSIHQLSSSSSSRRIQPTQNQHSISQHTIINSQKSIRQLSLPPLSRSLRRTQNQHSISPNTLINSRESIRQLSSSSSSKSIRTTENQHSISFQTLIDSQIRQLSSSYASGRLRTTQNQHSISSETLKLYVFNIYVYMIYLYILSSNQYKGCGPQKRCPK >DRNTG_10615.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:146252:147229:1 gene:DRNTG_10615 transcript:DRNTG_10615.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAVMIAVIGWFLSPFIAKGMESMLTSSEDDNEENKQPSLGTDQTTTAQNQHTGLPTETHIVSRMSTDVIQASIQPTENELQTLINYQIQLLSSSYSSESIRTTQNQHSISSEETLINSQKSIHQLSSSSSSRRIQPTQNQHSISQHTIINSQKSIRQLSLPPLSRSLRRTQNQHSISPNTLINSRESIRQLSSSSSSKSIRTTENQHSISFQTLIDSQIRQLSSSYASGRLRTTQNQHSISSETLKLYVFNIYVYMIYLYILSSNQYKGCGPQKRCPK >DRNTG_10615.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:146163:147317:1 gene:DRNTG_10615 transcript:DRNTG_10615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAVMIAVIGWFLSPFIAKGMESMLTSSEDDNEENKQPSLGTDQTTTAQNQHTGLPTETHIVSRMSTDVIQASIQPTENELQTLINYQIQLLSSSYSSESIRTTQNQHSISSEETLINSQKSIHQLSSSSSSRRIQPTQNQHSISQHTIINSQKSIRQLSLPPLSRSLRRTQNQHSISPNTLINSRESIRQLSSSSSSKSIRTTENQHSISFQTLIDSQIRQLSSSYASGRLRTTQNQHSISSETLKLYVFNIYVYMIYLYILSSNQYKGCGPQKRCPK >DRNTG_10615.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:146252:147317:1 gene:DRNTG_10615 transcript:DRNTG_10615.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAVMIAVIGWFLSPFIAKGMESMLTSSEDDNEENKQPSLGTDQTTTAQNQHTGLPTETHIVSRMSTDVIQASIQPTENELQTLINYQIQLLSSSYSSESIRTTQNQHSISSEETLINSQKSIHQLSSSSSSRRIQPTQNQHSISQHTIINSQKSIRQLSLPPLSRSLRRTQNQHSISPNTLINSRESIRQLSSSSSSKSIRTTENQHSISFQTLIDSQIRQLSSSYASGRLRTTQNQHSISSETLKLYVFNIYVYMIYLYILSSNQYKGCGPQKRCPK >DRNTG_10615.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:146163:147229:1 gene:DRNTG_10615 transcript:DRNTG_10615.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAVMIAVIGWFLSPFIAKGMESMLTSSEDDNEENKQPSLGTDQTTTAQNQHTGLPTETHIVSRMSTDVIQASIQPTENELQTLINYQIQLLSSSYSSESIRTTQNQHSISSEETLINSQKSIHQLSSSSSSRRIQPTQNQHSISQHTIINSQKSIRQLSLPPLSRSLRRTQNQHSISPNTLINSRESIRQLSSSSSSKSIRTTENQHSISFQTLIDSQIRQLSSSYASGRLRTTQNQHSISSETLKLYVFNIYVYMIYLYILSSNQYKGCGPQKRCPK >DRNTG_10615.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:146163:147127:1 gene:DRNTG_10615 transcript:DRNTG_10615.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAVMIAVIGWFLSPFIAKGMESMLTSSEDDNEENKQPSLGTDQTTTAQNQHTGLPTETHIVSRMSTDVIQASIQPTENELQTLINYQIQLLSSSYSSESIRTTQNQHSISSEETLINSQKSIHQLSSSSSSRRIQPTQNQHSISQHTIINSQKSIRQLSLPPLSRSLRRTQNQHSISPNTLINSRESIRQLSSSSSSKSIRTTENQHSISFQTLIDSQIRQLSSSYASGRLRTTQNQHSISSETLKLYVFNIYVYMIYLYILSSNQYKGCG >DRNTG_10615.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:146203:147229:1 gene:DRNTG_10615 transcript:DRNTG_10615.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAVMIAVIGWFLSPFIAKGMESMLTSSEDDNEENKQPSLGTDQTTTAQNQHTGLPTETHIVSRMSTDVIQASIQPTENELQTLINYQIQLLSSSYSSESIRTTQNQHSISSEETLINSQKSIHQLSSSSSSRRIQPTQNQHSISQHTIINSQKSIRQLSLPPLSRSLRRTQNQHSISPNTLINSRESIRQLSSSSSSKSIRTTENQHSISFQTLIDSQIRQLSSSYASGRLRTTQNQHSISSETLKLYVFNIYVYMIYLYILSSNQYKGCGPQKRCPK >DRNTG_10615.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:146252:147127:1 gene:DRNTG_10615 transcript:DRNTG_10615.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAVMIAVIGWFLSPFIAKGMESMLTSSEDDNEENKQPSLGTDQTTTAQNQHTGLPTETHIVSRMSTDVIQASIQPTENELQTLINYQIQLLSSSYSSESIRTTQNQHSISSEETLINSQKSIHQLSSSSSSRRIQPTQNQHSISQHTIINSQKSIRQLSLPPLSRSLRRTQNQHSISPNTLINSRESIRQLSSSSSSKSIRTTENQHSISFQTLIDSQIRQLSSSYASGRLRTTQNQHSISSETLKLYVFNIYVYMIYLYILSSNQYKGCG >DRNTG_10615.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:146203:147127:1 gene:DRNTG_10615 transcript:DRNTG_10615.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAVMIAVIGWFLSPFIAKGMESMLTSSEDDNEENKQPSLGTDQTTTAQNQHTGLPTETHIVSRMSTDVIQASIQPTENELQTLINYQIQLLSSSYSSESIRTTQNQHSISSEETLINSQKSIHQLSSSSSSRRIQPTQNQHSISQHTIINSQKSIRQLSLPPLSRSLRRTQNQHSISPNTLINSRESIRQLSSSSSSKSIRTTENQHSISFQTLIDSQIRQLSSSYASGRLRTTQNQHSISSETLKLYVFNIYVYMIYLYILSSNQYKGCG >DRNTG_07584.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22165141:22167195:1 gene:DRNTG_07584 transcript:DRNTG_07584.1 gene_biotype:protein_coding transcript_biotype:protein_coding SHAFAKAFINKPIENYEGLRIICGEDNATGSYATPLYIDFAEKTAGEDVDIDNDNAESHVDNVNSDGDGDGNFVPPITSSHVISSTYRS >DRNTG_25528.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2951584:2955163:-1 gene:DRNTG_25528 transcript:DRNTG_25528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSTGVLTLCTNGTLIITSMQHNSTTILWSSAPTSMILNNPVAQLLDDGNFVVRDKDQANHVAWQSFDHPTDTLFPRMKLGLRLNHWFEPQLDIVEEPQQPFSCGLLHHYGHPW >DRNTG_11628.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000513.1:50863:51549:-1 gene:DRNTG_11628 transcript:DRNTG_11628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNERMNNVIILHLLLLFVLTIVSQASHAHYSLGKEKVTHFHFFFRERPSGDHPTTVLVAKPKDTIMNASNPLPFGAVYVLDVPLTEGLDPNSKVVGQAQGLAVSVGQDKLMVAFMVDCGFTSGEFNGSSISAFSRNPILETNHREIAIVGGRGKFRMARGFAELHTVTATANVVVVEYNVTIFHYE >DRNTG_08390.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4607706:4609630:-1 gene:DRNTG_08390 transcript:DRNTG_08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFFSAKSSCLSYSSCLLLIISTIIYMFIKSRSSSKPRKLPPGPIKLPFIGNLHQLSEGPLPLHRILHHLAAKYGPVMHLNFGNTPTVIISSPEIAFEIYKTHDLVFSNRPATAIAKKFSRDGLSIAFCNYGEQWRQMRKLASLELFSMKRVNSFSWARKAETDILVQTIRNYCFSKKQTVNLSEMFLCLNNNIIGQLAFSKRFSSEGECNRSKHHDLITEIIHLFGAFFIEDFFPWLSWMDVITGMQAKSNAIFKKLDEFLEREISEHRLSSDGDNSRHEEDFVDVLLELQRNSNLGFTITRDQIKVILMDIFVAGTETSALLLEWVMSELIKNPRVIMKANDEVRKVVGNKGRVEEDDLKRLEYLGFVINETLRLHPPVGLLVPRESAEDCIISGYDVPKKTTVIVNAWALGRDPKIWENPDIFYPERFDGSPINYKGNHMQFIPFGAGRRVCPGIQLANATIMTALANILYHFNWKLPNAMSGEDIDMTEVSGFNNRKKSPLILMATPK >DRNTG_14716.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3691102:3696340:-1 gene:DRNTG_14716 transcript:DRNTG_14716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLNRINLIEGTKIYATRTLLFLHDDDTLKPLAIELSLPESPSKVYTPAQNGIEGAIWQLAKAFATVNDSGVHQLISHWLNTHAVMEPFVIATNRQLSVVHPIYKLLYPHYRDTMNINALARQTLINAGGILESTVFPGKYAMEMSAVVYKSWNFMEQALPADLIKRGVAVEDPSNPNNVQLLIKDYPYAVDGLAIWSAIHTWVTEYCTIYYPDDATLQADVQIQAWWKEIREVGHGDKQHETWWPSMKLASELIHTCTTVIWIASALHASVNFGQYPYAGYLPNRPTVSRRFMPEPGSPEYEMLATDPDKVFLKTITSELQTLLGISLIEILSKHASDEVYLGKRDSEEWTNDEKALEAFRRFGTRLEEIEKEIGARNEDPNLKNRNGPVKMPYSLLYPTSDPGLTAKGVPNSVSI >DRNTG_10363.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4943463:4943930:-1 gene:DRNTG_10363 transcript:DRNTG_10363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMGWDNNLKMIVMGEAEYRDYVQIHPQDEPYLNKTIEDHDLLEAICGNDQATGRYAVQFGNTIGTQMDYNTEPEAVPPTEQYNEFSFGETSAHGNTSPLIHNPGNNSDPTSATSP >DRNTG_24012.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16804471:16812093:1 gene:DRNTG_24012 transcript:DRNTG_24012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPFSDGPALTAVRSASRRRTSVRSSLDADDFINLLHGSDPVKVELNRLENEVRDKDRELGEAQAEIKALKLSERAREKAVEELTEELAKVDEKLKLTESLLESKNLEIKRINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEADLKLARQEIANLQNDNRALDRLTKSKEAALLDAEKSVQIALAKASMVDDLLNKNQELMKQVEICQEENKILDKLHRQKVAEVEKLGQTVRELEEAVLAGGAAANAVRDYQRKVQEMNDEMKILDRELARAKVTANRVAVVVANEWKDANEKVMPVRQWLEERRFMQGEMQQLRDKLSVAERTARSEAQLKEKYQLRLKVLEEGLRMSYGTNRVNVEGRSVSNGPSRRQSLGGAESISKLSCNGILSRRSPSFQLRSSSSGTSTILKHAKGSSKSFDGGSRSLAGSRMALNGIGYSLDESFDETRDVEPNSNHKETPDEKTNECPSVDSNDCVSGLLYDMLQKEVITLRKACLEKDQSLKDKDDAIEMLAKKVDTLNKAMDVEAKKMRREVAAMEKEVTAMRGEKGQEGRTKKLVNTKGSVTTQLPTGRNATRNGTARNYQ >DRNTG_20510.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16614729:16618330:-1 gene:DRNTG_20510 transcript:DRNTG_20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional protein FolD 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G00620) UniProtKB/Swiss-Prot;Acc:O65271] MVSMLFSDCSTSATARLVPLQRRISVLTSQLRSQVSLPWRPVSLRLVHSSGLRFQSPCTVASAMSTDTAAKIIDGKSVAKQIRDEIAGEIVKLKDATGVVPGLAVVLVGSRKDSQTYVRNKKKACEAVGITSYEANLPEDSTEEEVIKQISVFNNDPSVHGILVQLPLPRHMNEENILNAVGIEKDVDGFHPLNIGRLAMQGREPLFVPCTPKGCIELLHRYDVEIKGKRAVVIGRSNIVGMPAALLLQRANATVSIVHSYTKNPEEITRQADIVITAVGVANLVRGSWIKPGAVVIDVGINPVDDAESPKGYRLVGDVCFEEVSKVASAITPVPGGVGPMTIAMLLSNTLSSAKRIHNFQ >DRNTG_20510.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16614729:16618330:-1 gene:DRNTG_20510 transcript:DRNTG_20510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional protein FolD 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G00620) UniProtKB/Swiss-Prot;Acc:O65271] MVSMLFSDCSTSATARLVPLQRRISVLTSQLRSQVSLPWRPVSLRLVHSSGLRFQSPCTVASAMSTDTAAKIIDGKSVAKQIRDEIAGEIVKLKDATGVVPGLAVVLVGSRKDSQTYVRNKKKACEAVGITSYEANLPEDSTEEEVIKQISVFNNDPSVHGILVQLPLPRHMNEENILNAVGIEKDVDGFHPLNIGRLAMQGREPLFVPCTPKGCIELLHRYDVEIKGKRAVVIGRSNIVGMPAALLLQRANATVSIVHSYTKNPEEITRQADIVITAVGVANLVRGSWIKPGAVVIDVGINPVDVRNSLSLEPSIATSTFLFLIWSYYINCSS >DRNTG_03936.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2621176:2622339:-1 gene:DRNTG_03936 transcript:DRNTG_03936.2 gene_biotype:protein_coding transcript_biotype:protein_coding QIDEVIGQAQTTKSVLGTQKAIFGDVQGKLKQLGEKFPVIR >DRNTG_03936.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2620895:2622339:-1 gene:DRNTG_03936 transcript:DRNTG_03936.1 gene_biotype:protein_coding transcript_biotype:protein_coding QIDEVIGQAQTTKSVLGTQKAIFGDVQGKLKQLGEKFPVIR >DRNTG_32327.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24567583:24577796:1 gene:DRNTG_32327 transcript:DRNTG_32327.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGEDGDHSLSSALLPLLPNSSENSSQVCRHGFFMGFSRSVVVVEVKRQLWLAGPLIAVSLLQYFLQVISLMFVGHLGELALSGASMATSFANVSGFSLLLGMGSALDTLCGQAYGAKQYRSLGVHMQRAMIVLLFVSIPLSFLWAFTSEILMILGQNAEISGEAGLYACWLIPSLFAYGLLQCQVRFLQTQNIIWPMLICSGITTLFHILVCWILVYNSGLGNKGASCATSISYWINVFLLAMYVKFSKSCEHTWVGLTREAMCGIINFIRLAVPSAFMICLEYWSFEMVVLLSGLLPNPKLETSVLSISLNTMWMVYMIPTGLGSAVSIRVSNELGAGNSQAARLAVLAVFIIAITEGLIVALLTILVRDVWGYLYSSEQQVVSYVSAMMPVLAASDFMDGIQCALSGAARGCGWQKICSFINLGAYYVVGIPSAILFAFVLHAGGQGLWFGIISALIVQLSVLFVIILRTNWDQEAMKAINRVKDSAINAEAKY >DRNTG_00297.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2050129:2053968:-1 gene:DRNTG_00297 transcript:DRNTG_00297.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit delta [Source:Projected from Arabidopsis thaliana (AT3G18190) UniProtKB/Swiss-Prot;Acc:Q9LV21] MAAVVPAPPSSSSKTESYVDTKRRDDVRQANILAARAVADAVRTSLGPRGMDKMICSPSGDVIITNDGATILSRMSLLQPAAKMLAELSHSQDAAAGDGTTSVVVLAGSLLRRSLSLLSAGIHPTIVSDSLHKLSLKALEILQSMAIPVELSDRESLVKSAATSLNSKVVSQYSSLLAPLAVDAVLTVVDPANPSLVDLRDVKIVKKLGGTVDDTELVRGLVFDKKVSHAAGGPTRVENAKIAVIQFQISPPKTDIEQSIVVSDYTQMDRILREERNYILGMVKKIKATGCNVLLIQKSILRDAVTDLSLHYLAKAKILVVKDVERDEIEFITKTLNCLPIANIEHFREEKLGFADCVEEVSVGEGKIVKITGIKDMGRTMTVLVRGSNQLVIDEAERSLHDALCVVRCLVNKRFLIAGGGAPEIEMSRQLGAWAKELKGMESYCVKEFAEALEVIPYTLAENAGLNPISIVTELRNRHAQGEINTGINVRKGQITNILEENVIQPLLVTTSAVTLSTECVRMILKIDDIVTVR >DRNTG_10982.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000481.1:11965:13535:1 gene:DRNTG_10982 transcript:DRNTG_10982.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKTLCNACGVRYKSGRLVPEYRPAASPTFVVSEHSNSHRKVLQLRRQKEQGAAPARAGGGRQCRRR >DRNTG_10982.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000481.1:12066:13535:1 gene:DRNTG_10982 transcript:DRNTG_10982.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKTLCNACGVRYKSGRLVPEYRPAASPTFVVSEHSNSHRKVLQLRRQKEQGAAPARAGGGRQCRRR >DRNTG_10982.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000481.1:11965:13323:1 gene:DRNTG_10982 transcript:DRNTG_10982.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKTLCNACGVRYKSGRLVPEYRPAASPTFVVSEHSNSHRKVLQLRRQKEQGAAPARAGGGRQCRRR >DRNTG_10982.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000481.1:12066:13323:1 gene:DRNTG_10982 transcript:DRNTG_10982.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKTLCNACGVRYKSGRLVPEYRPAASPTFVVSEHSNSHRKVLQLRRQKEQGAAPARAGGGRQCRRR >DRNTG_10982.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000481.1:12066:12877:1 gene:DRNTG_10982 transcript:DRNTG_10982.6 gene_biotype:protein_coding transcript_biotype:protein_coding MELPESFIGSYHRGVVGGQLGPEKQGDHFVVEDLLDFSNEDEDEEEAFIGTDVYETIAGNSADSSSVSVITAVDSCNSSFSGPDASFPSEFRFSGDLSEPAFDELAELEWITNLGEETFSSEDIEKLHLISGVKSSSSGTVSAPSSATGTPARGRMRSKRSRAANVSWSSRLPILSSSSSELEPIAVAAPPPPLPQPKPVKKK >DRNTG_10982.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000481.1:11965:12877:1 gene:DRNTG_10982 transcript:DRNTG_10982.5 gene_biotype:protein_coding transcript_biotype:protein_coding MELPESFIGSYHRGVVGGQLGPEKQGDHFVVEDLLDFSNEDEDEEEAFIGTDVYETIAGNSADSSSVSVITAVDSCNSSFSGPDASFPSEFRFSGDLSEPAFDELAELEWITNLGEETFSSEDIEKLHLISGVKSSSSGTVSAPSSATGTPARGRMRSKRSRAANVSWSSRLPILSSSSSELEPIAVAAPPPPLPQPKPVKKK >DRNTG_13667.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17714670:17716002:1 gene:DRNTG_13667 transcript:DRNTG_13667.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSRVVTLGISHKKPLVNGSVHLLIRINSGLTTPPPIRPDRGTPHCSVGLKSVIEPVVSPRDALTGRQFSSPWKMPCHQAQESERKKVE >DRNTG_33891.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11691297:11693597:1 gene:DRNTG_33891 transcript:DRNTG_33891.1 gene_biotype:protein_coding transcript_biotype:protein_coding WTRSLERSSKKAAKLKLKRRNLINEADPDKSTEVSEGL >DRNTG_07929.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1285390:1286142:1 gene:DRNTG_07929 transcript:DRNTG_07929.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIQATQRPEKPLGQAAILALATANPSNVVDQSTFTDYYFRMTKNEDDLALKEKFKRVSAKTTVRKRHVHLTEEILKENPNMCEYKAPSMDARQEIIISAVPNLARDAAEKALSEWGQPRDEITHMIFCTMSGSDMP >DRNTG_07929.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1285538:1286905:1 gene:DRNTG_07929 transcript:DRNTG_07929.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIQATQRPEKPLGQAAILALATANPSNVVDQSTFTDYYFRMTKNEDDLALKEKFKRVSAKTTVRKRHVHLTEEILKENPNMCEYKAPSMDARQEIIISAVPNLARDAAEKALSEWGQPRDEITHMIFCTMSGSDMPGADYRLLKLLNLKTNIKRLMFYHLGCYAGGTALRVAKDIAENNKNARVLVVCAELNVDFFRGPDVTDFFNSCAQAIFGDGAAALVIGADPVIPVEKPLYEIVSATQVILPDSEHAVQGRFREVGLTIHSTAQLPDIIAKNLEPSLVEAFEPLGISDWNELFWLAHPGGPGVLDRVAEELRLGPNKLDDSRHVLREYGNMSSATVLFILNEMRHRSVAEKKGTTGDGLEFGVLYGFGPGLTVEMVVLRSVPI >DRNTG_07929.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1286248:1286905:1 gene:DRNTG_07929 transcript:DRNTG_07929.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATVLFILNEMRHRSVAEKKGTTGDGLEFGVLYGFGPGLTVEMVVLRSVPI >DRNTG_12555.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21006007:21009048:-1 gene:DRNTG_12555 transcript:DRNTG_12555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQSFRIAALEKLQSFREKKPKDNICKRGDTQLHLAARAGNTALAERTISECKESQLKDLVSKQNQDGETALYVAAESGHVEVVCEILKVSDMQAAAIKANNSFDALHIAAKQSHAGVIKELLSSFPPLAMTTNIGNSTALDTAAAQGHIDVVNLLLETDASLAKIARNNGKTVLHSAARMGHVEVVKTLLSKDPGLGMRTDKKGQAPIHMAVKGYNVEMIMELLKPDPSSVINLEDNKGNTPLHIATRKGRPEVLRALLSVESIDVNAVNRAGETALGIAERISNEEAATILRAAGAVVVKHTAPVTAKQLKQTVSDIKHGVQSQLRQTRQTGLRVQKIRKRLQKLHISGLNNAINSNTVVAVLIATVAFAAIFTVPGQYVEDPTDGYTLGEAYIATDPAFTVFLVFDSLALFISLAVVVVQTSLIVIQQKAKTLMVFVMNKLMWISCLFISIAFVALTFIVVGRNGWWLSWCTLAIGTSIMLTTMGSMCYCIIIHRIEEKNLRNITRTSRSRSKSFTLSVASDSEILNNEYKKIYAI >DRNTG_12555.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21006261:21009126:-1 gene:DRNTG_12555 transcript:DRNTG_12555.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQSFRIAALEKLQSFREKKPKDNICKRGDTQLHLAARAGNTALAERTISECKESQLKDLVSKQNQDGETALYVAAESGHVEVVCEILKVSDMQAAAIKANNSFDALHIAAKQSHAGVIKELLSSFPPLAMTTNIGNSTALDTAAAQGHIDVVNLLLETDASLAKIARNNGKTVLHSAARMGHVEVVKTLLSKDPGLGMRTDKKGQAPIHMAVKGYNVEMIMELLKPDPSSVINLEDNKGNTPLHIATRKGRPEVLRALLSVESIDVNAVNRAGETALGIAERISNEEAATILRAAGAVVVKHTAPVTAKQLKQTVSDIKHGVQSQLRQTRQTGLRVQKIRKRLQKLHISGLNNAINSNTVVAVLIATVAFAAIFTVPGQYVEDPTDGYTLGEAYIATDPAFTVFLVFDSLALFISLAVVVVQTSLIVIQQKAKTLMVFVMNKLMWISCLFISIAFVALTFIVVGRNGWWLSWCTLAIGTSIMLTTMGSMCYCIIIHRIEEKNLRNITRTSRSRSKSFTLSVASDSEILNNEYKKIYAI >DRNTG_07859.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000349.1:30088:30565:1 gene:DRNTG_07859 transcript:DRNTG_07859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGDARRRSLRERTTRREEAMGSR >DRNTG_33741.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32345563:32347158:1 gene:DRNTG_33741 transcript:DRNTG_33741.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDIQLDNYLLLSLSLLQFQPIPSKPNKVSSCSKSPISTPP >DRNTG_03064.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12293161:12295671:-1 gene:DRNTG_03064 transcript:DRNTG_03064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRRRRRRRRTIRRRRRRQSTRTRTPTSNPSPSSKTTFLAPLELEEEEEEEEEEEEEAGTMRRRRRRQSTRTRTLASNPSPMSKTTVLAPLELLLLLTIPPSIQSLPPPPSPFPCPRPPPISPPTSPSSLTMVAIP >DRNTG_27112.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18919906:18924702:-1 gene:DRNTG_27112 transcript:DRNTG_27112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSLLLLLLFAAAFLQFKADAYSHGVLVKHLSSVLKWTTRAASQKTTHSDGDHLEFESGYLVETVVEGNKLGIVPHAIRVSPEGELFIVDSDNSNIVRVTPPLSQYSRARLVAGSFQGYSGHVDGKPSDARFGHPRGVTMDDKGNVYVADTSNLAIRKIGEAGVTTIAGGKSNVAGYSDGPSEDAKFSNDFDVVYVKSTCSLLVVDRGNAALRQISLNQEDCDFQYTSISVSDIVMVIGAVVVGYASCLLQHGFGPSSSAKNQASENEALDVNNAKKPTVVVESLKEDLDAGWPSLGRLFTDLLKFAMEAVGNLFLSFIPHRLKFGRSKGLTPLKDRLLMPEDEAETPLVQKQQSSPAVAETLHTPKVNTDSTLKPQKSSKPPKFKDPSLSSKHRSSKRQEFAEFYGSAEAPQIGSKNQKDRVRHRHRDKSGEVVFGAVANEPKPVEMRSADFGDAKFEHYNIRSKYGADSSYRF >DRNTG_35049.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20256013:20262268:1 gene:DRNTG_35049 transcript:DRNTG_35049.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGLAQRQGPLVPVLQHTQDRRKTFSLIEIERATNKFDDSRIIGEGGFGRVYQGTFQDGTRVAVKVLKRDDQQGGREFLAEVEMLSRLHHRNLVKLIGICTEEHLRCLVYELIPNGSVESHLHGVDKESAPLDWNARMKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTALEEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPPGQENLVSWARPLLTSKEGLETIIDLSLGTDVPFDSVAKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNEGDEYGASGSCSQEVLSAHETELRISAGLGLEAERVLSASDVFSTSARFTREGSGSFRRHSSSGPLRPGRGRQFWQRLRGLSKGSMSDHAVALRYVSGPEEGIGQWP >DRNTG_35049.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20256013:20262268:1 gene:DRNTG_35049 transcript:DRNTG_35049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGLAQRQGPLVPVLQHTQDRRKTFSLIEIERATNKFDDSRIIGEGGFGRVYQGTFQDGTRVAVKVLKRDDQQGGREFLAEVEMLSRLHHRNLVKLIGICTEEHLRCLVYELIPNGSVESHLHGVDKESAPLDWNARMKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTALEEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPPGQENLVSWARPLLTSKEGLETIIDLSLGTDVPFDSVAKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNEGDEYGASGSCSQEVLSAHETELRISAGLGLEAERVLSASDVFSTSARFTREGSGSFRRHSSSGPLRPGRGRQFWQRLRGLSKGSMSDHAVALRYVSGPEEGIGQWP >DRNTG_03966.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:19822552:19825437:1 gene:DRNTG_03966 transcript:DRNTG_03966.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISPSLSLRQCPDHYAFCAGRNLPDIETSPNSGYLMGDSDVMLKKFDYIWYRPPILSPVLSRTGNCFRVY >DRNTG_24787.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31977424:31982999:-1 gene:DRNTG_24787 transcript:DRNTG_24787.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g14620/T5E21_15 [Source:Projected from Arabidopsis thaliana (AT1G14620) UniProtKB/TrEMBL;Acc:Q8L7U3] MLRSVARAGPWLRSLRFDRGFCSSETENIVAAVLFERLPVVIPKIDPVVYAFQEFSFRWGQQYRRKYPDDVLAKADARGKGDYQIDYVPAPRITEADKTNDRKSLQRALDRRLYLLLYGRPYGAADTKPTWHFPEKVYDNEETLRKNQHWNL >DRNTG_04761.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3758509:3759337:-1 gene:DRNTG_04761 transcript:DRNTG_04761.2 gene_biotype:protein_coding transcript_biotype:protein_coding IRVRRRRCCSSSPRTSSKADLSSCIITMACGSSNPNGAGDGFSPSATALKP >DRNTG_04761.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3758509:3781505:-1 gene:DRNTG_04761 transcript:DRNTG_04761.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRVRRRRCCSSSPRTSSKADLSSCIITMACGSSNPNGAGDGFSPSATALKP >DRNTG_30429.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001575.1:20456:21382:-1 gene:DRNTG_30429 transcript:DRNTG_30429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKANKKWDETGLKVFVPKKKNGVSQSRLNKYEQELMRILLNCRMDSTVVWKNDAVSTSRDKLYTLLEGKEMVTDDVMDVFVCIIQKSLSKVPYPYKKRASITRPLELFISKQGDAHETTMAMIGDAVGNLHEVQIVILPIIMNGHFHVIVLDNDKQEYMHYSSCPGYEKDGLDMQNLFDTCVDMEFGESATAKYPLVHDKETPSQK >DRNTG_00385.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18454713:18456412:-1 gene:DRNTG_00385 transcript:DRNTG_00385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEKRKKREEEKEKEEHELDLSGMSLSSFPNTSLNLACITKLDLSNNNLQSIPESIIARMLNLVVLDLHSNQLHVLPNSIGCLLKLKVLNVSSNMLESLPKMIENCRALVELDANFNKLTNLPEAIGFELTKLEKLSVNSNKLIFLPFSTSHLTGLQHLDVHLNCLRSLPDDLENLINLKTLNISQNFHFLHSLPYSIGLLTSLTELNISYNSISTLPNSFACLTNLTKFMAEGNPLVCPPMEVVIKGVDEVRDYMNAKMSEGFKVVGGKGKKIRPSTSSSTTTTRRKGSWLKKVVRCGAFDGRMVNMNEGLLITPEHEMKNASSKSTPARLAALLSPLRVFSPKS >DRNTG_00385.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18454713:18455545:-1 gene:DRNTG_00385 transcript:DRNTG_00385.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGNPLVCPPMEVVIKGVDEVRDYMNAKMSEGFKVVGGKGKKIRPSTSSSTTTTRRKGSWLKKVVRCGAFDGRMVNMNEGLLITPEHEMKNASSKSTPARLAALLSPLRVFSPKS >DRNTG_08329.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19843803:19844189:1 gene:DRNTG_08329 transcript:DRNTG_08329.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Em-like protein GEA6 [Source:Projected from Arabidopsis thaliana (AT2G40170) UniProtKB/Swiss-Prot;Acc:Q02973] MSSEQERRELDERAKKGETVVPGGTGGKSLEAQEHLAEGRSRGGQTRREQLGKEGYQEMGKKGGLSTTEESGKERAEREGIEIDESKFRTT >DRNTG_25919.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19943443:19943972:1 gene:DRNTG_25919 transcript:DRNTG_25919.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMISMIQSWRREKSENCAEYNDRKNDLQRSKLAV >DRNTG_18477.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:10377963:10390331:-1 gene:DRNTG_18477 transcript:DRNTG_18477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRSGWRPPGPPRTWLQQQPRFPLPEWLKCVSDSDPDLFITDQSGRRHKGCLFFATDVLSVFSGKTPLQAFEAFFTSFRDSFSDLFGSVITDVMIGLGPDGELQYPSIPTKDTRLKIAGLGVEEFQCYDKYMLADIKHHAEKSVTTTRSCECSRRTRAALWCREWDPERIRDSFSTSMNADVETFLSQHPPAGTLSIAIIENDDHYAEVITVISDRSKGLVNVVVRVFLSSPHGYCLRHLEANFMKVNGRLRKSLKEQFIPIILSSLSILLHLSSHFLQNHSSFANPIISIPPAQTQKTNSSLTSLLSDLQDIEATEEVKTRFSPLSLHEHLPSRWPEIHGSNNWSNLLNPIDPLLRSELIRYGEFSQACYDTFDFNPFSLYCGTSRYSMDNFFSSLNMDSCGYESELGNWIGCIAVSSNATIALLGRRDIVIAWRGTITKLKWVADLMSFLCPVSPKAIPCPDSSIEVESGFIDLYTDKDTSCRFCKYSALEQVLAEMKKLIDHYAVKNGEEVSILVTGHSLGSDLATLRAYDLVETGVNEGKTVCVFSFSRPRVGNRAFKERFEGLGVKALRVVNVHDTVPKVPGFLFNENVPALLQGMVSDSYNQGRLKAYKGLKPKHKTDLN >DRNTG_29695.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3801532:3803834:1 gene:DRNTG_29695 transcript:DRNTG_29695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHISLANNRNQQHEAGSSTMKSEQNRISTAVAGSSTAVGG >DRNTG_35388.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1686332:1686996:-1 gene:DRNTG_35388 transcript:DRNTG_35388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEEFQEDEVWSAVKEVSPKSSTSSKASSNTSNNVVVVVQRVSHQSAPVNIPYHLAKVNDDVVNKNEDDDDDDDDEEEDSEKVPPHEWLAKKLARSQISSFSVCEGAGRTLKGRDLSRVRNAVLTRTGFLE >DRNTG_08125.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3088591:3091034:1 gene:DRNTG_08125 transcript:DRNTG_08125.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDPSEHQRSNLVRNDNNDENNSTHVNETMQTSTAWHLNVEDVDPSVIDELPLEIQREVRGWLRLPKHVQATKRGSDITQYFLSAKK >DRNTG_08125.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3088591:3091034:1 gene:DRNTG_08125 transcript:DRNTG_08125.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDPSEHQRSNLVRNDNNDENNSTHVNETMQTSTAWHLNVEDVDPSVIDELPLEIQREVRGWLRLPKHVQATKRGSDITQYFLSAKK >DRNTG_08125.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3089801:3092695:1 gene:DRNTG_08125 transcript:DRNTG_08125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDPSEHQRSNLVRNDNNDENNSTHVNETMQTSTAWHLNVEDVDPSVIDELPLEIQREVRGWLRLPKHVQATKRGSDITQYFLSAKK >DRNTG_08125.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3089801:3091034:1 gene:DRNTG_08125 transcript:DRNTG_08125.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDPSEHQRSNLVRNDNNDENNSTHVNETMQTSTAWHLNVEDVDPSVIDELPLEIQREVRGWLRLPKHVQATKRGSDITQYFLSAKK >DRNTG_14991.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23970389:23991719:1 gene:DRNTG_14991 transcript:DRNTG_14991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVNMEHIKKGYGSHPSINPLGIVPIGPNIDFSAAHDRARFAP >DRNTG_14991.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23990700:23991719:1 gene:DRNTG_14991 transcript:DRNTG_14991.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVNMEHIKKHYYGSHPSINPFGIVPIGSNIDFSAPHDRARFAP >DRNTG_11671.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:247407:249565:-1 gene:DRNTG_11671 transcript:DRNTG_11671.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRRGVEVLPPSSACFGNSNWLLSQSRSGIWTPDENKLFEDALAKVDSNIPDRWEQVAAEIPGKTVDDIISHYQDLEHDVRYIEAGMIPAPGYGSSSFTLDWDTSFGFDGFKHTYGVGAKRSGAARLSDQERKKGVPWTEEEHRRFLMGLKKYGKGDWRNISRNFVTSRTPTQVASHAQKYFIRLSSGGKDKRRSSIHDITTVNLPDEAPASPSHASNSAIAPGTPDKYSVMAGSTKSTVGQSPSQMHGNPFSQLPYGLAHSELKQVQNSTKNNFNSMIANHSNMFQMLSTHLHPHG >DRNTG_11671.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:247407:249565:-1 gene:DRNTG_11671 transcript:DRNTG_11671.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRRGVEVLPPSSACFGNSNWLLSQSRSGIWTPDENKLFEDALAKVDSNIPDRWEQVAAEIPGKTVDDIISHYQDLEHDVRYIEAGMIPAPGYGSSSFTLDWDTSFGFDGFKHTYGVGAKRSGAARLSDQERKKGVPWTEEEHRRFLMGLKKYGKGDWRNISRNFVTSRTPTQVASHAQKYFIRLSSGGKDKRRSSIHDITTVNLPDEAPASPSHASNSAIAPGTPDKYSVMAGSTKSTVGQSPSQMHGNPFSQLPYGLAHSELKQVQNSTKNNFNSMIANHSNMFQMLSTHLHPHG >DRNTG_11671.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:247407:249565:-1 gene:DRNTG_11671 transcript:DRNTG_11671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRRGVEVLPPSSACFGNSNWLLSQSRSGIWTPDENKLFEDALAKVDSNIPDRWEQVAAEIPGKTVDDIISHYQDLEHDVRYIEAGMIPAPGYGSSSFTLDWDTSFGFDGFKHTYGVGAKRSGAARLSDQERKKGVPWTEEEHRRFLMGLKKYGKGDWRNISRNFVTSRTPTQVASHAQKYFIRLSSGGKDKRRSSIHDITTVNLPDEAPASPSHASNSAIAPGTPDKYSVMAGSTKSTVGQSPSQMHGNPFSQLPYGLAHSELKQVQNSTKNNFNSMIANHSNMFQMLSTHLHPHG >DRNTG_06081.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8415139:8421432:-1 gene:DRNTG_06081 transcript:DRNTG_06081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVHGVFTACVLVSLLLLPLAIPSSADGLVKIGLKKKPIDDSSRLAARLTLQEGKRLNGHRYGLRNGLRDGNTDTDIISLKNYMNAQYFGEIGVGTPPQTFTVIFDTGSSNLWVPSAKCYFSVACLFHSKYRSSRSSTYKEDGKSAEIHYGTGAISGFFSQDHVKLGDLVVKDQPFIEATREPSITFMMAKFDGILGLGFKEISVGDAEPVWYNMVKQGLIKEPVFSFWFNRHAGEGEGGEIVFGGVDPNHYKGEHTYVPVTQKGYWQFNMEDVLIGGQTTGFCSGGCSAIADSGTSLIAGPTTVITEINQKIGAAGVVSQECKAVVAQYGEQILNLLLAEAQPAKICSQIGLCAFDGTQGVSIGIESVVDDDGGKLSAGHNDAMCTACEMAVVWMQNQLRQNQTQEQILSYVNELCDRLPSPMGESSVDCSSVPSMPTVSFTIGGKTFNLGPEQYILKVGEGSMAQCISGFTALDVPPPRGPLWILGDIFMGAYHTVFDYGNLQVGFAEAA >DRNTG_12314.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29599932:29600343:-1 gene:DRNTG_12314 transcript:DRNTG_12314.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKKVFIVILVITLMKEHHHQVEAVGGGFIRTRGLHFVLNGNPFFANGFNAYWLMSFASDPAQRSKVSSAFQQASIHGLTVARTWAFSDGGGGSTALQYSPGSYNEQMFQVSN >DRNTG_09591.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23673456:23676736:1 gene:DRNTG_09591 transcript:DRNTG_09591.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGLVQNSLSQQQWLKQMQPAMSVPGSASYPLQQQPQQQQQQQQQRQQQGFLPQQLSSSQLHQKTLGLNHQQLAQLVQQQQQQLGTHHQQQQQQQQQQQQHLLQQQHQQQFQQQQLQQLQQFQQQQQQQQQQPQQSPRMPGPALQNSSTLTGAQADMTTSGTTTPGGSSSHGTDTSSQLLGKRKIQDLVSQVDALGKVDPEVEDLLLEIADQFIESVTTFACTLAKHRKSSTLELKDLLLHLGLQLTYLTCCYNSLFVNLIC >DRNTG_09591.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23673456:23676736:1 gene:DRNTG_09591 transcript:DRNTG_09591.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGLVQNSLSQQQWLKQMQPAMSVPGSASYPLQQQPQQQQQQQQQRQQQGFLPQQLSSSQLHQKTLGLNHQQLAQLVQQQQQQLGTHHQQQQQQQQQQQQHLLQQQHQQQFQQQQLQQLQQFQQQQQQQQQQPQQSPRMPGPALQNSSTLTGAQADMTTSGTTTPGGSSSHGTDTSSQLLGKRKIQDLVSQVDALGKVDPEVEDLLLEIADQFIESVTTFACTLAKHRKSSTLELKDLLLHLGLQLTYLTCCYNSLFVNLIC >DRNTG_09591.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23673456:23676736:1 gene:DRNTG_09591 transcript:DRNTG_09591.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGLVQNSLSQQQWLKQMQPAMSVPGSASYPLQQQPQQQQQQQQQRQQQGFLPQQLSSSQLHQKTLGLNHQQLAQLVQQQQQQLGTHHQQQQQQQQQQQQHLLQQQHQQQFQQQQLQQLQQFQQQQQQQQQQPQQSPRMPGPALQNSSTLTGAQADMTTSGTTTPGGSSSHGTDTSSQLLGKRKIQDLVSQVDALGKVDPEVEDLLLEIADQFIESVTTFACTLAKHRKSSTLELKDLLLHLGLQLTYLTCCYNSLFVNLIC >DRNTG_32406.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3825006:3826229:1 gene:DRNTG_32406 transcript:DRNTG_32406.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTEKSSSPLSLFPFYHSKKKPLKPSSKPFDETLIRRLESLLPIPTSPAPAPTSAIPLSWLSRSADLLALTLNDACAIISDPSLSGSDLNALSSHLDSSVALLDACNAATAEIDRILRSRLHLRLALHHLASVPPRLRRARELISEWDRSPRGGFKASVHLSEAPRGKISVVRRAIYAVEAVSSLVVGSIAAVIGGGETKDLDRIYVSEDSYPWAPAFNKVLEAVKERAKSGVAGEVAAMAVAVRRLTTVIDGEEEEGLVERVAEAVKETEKAMEKMVEGMDRLTAAINGVFTATMSTRNAALRSYRIGPQKCK >DRNTG_32406.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3825062:3826229:1 gene:DRNTG_32406 transcript:DRNTG_32406.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTEKSSSPLSLFPFYHSKKKPLKPSSKPFDETLIRRLESLLPIPTSPAPAPTSAIPLSWLSRSADLLALTLNDACAIISDPSLSGSDLNALSSHLDSSVALLDACNAATAEIDRILRSRLHLRLALHHLASVPPRLRRARELISEWDRSPRGGFKASVHLSEAPRGKISVVRRAIYAVEAVSSLVVGSIAAVIGGGETKDLDRIYVSEDSYPWAPAFNKVLEAVKERAKSGVAGEVAAMAVAVRRLTTVIDGEEEEGLVERVAEAVKETEKAMEKMVEGMDRLTAAINGVFTATMSTRNAALRSYRIGPQKCK >DRNTG_32406.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3824895:3826229:1 gene:DRNTG_32406 transcript:DRNTG_32406.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAFLLFSRDISVFRTPNPSLLPRNAFPHSQLLIPNTTSNFIHSFSFLILLSHSSIFTLTPIPIPMSLTEKSSSPLSLFPFYHSKKKPLKPSSKPFDETLIRRLESLLPIPTSPAPAPTSAIPLSWLSRSADLLALTLNDACAIISDPSLSGSDLNALSSHLDSSVALLDACNAATAEIDRILRSRLHLRLALHHLASVPPRLRRARELISEWDRSPRGGFKASVHLSEAPRGKISVVRRAIYAVEAVSSLVVGSIAAVIGGGETKDLDRIYVSEDSYPWAPAFNKVLEAVKERAKSGVAGEVAAMAVAVRRLTTVIDGEEEEGLVERVAEAVKETEKAMEKMVEGMDRLTAAINGVFTATMSTRNAALRSYRIGPQKCK >DRNTG_32406.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3825062:3826569:1 gene:DRNTG_32406 transcript:DRNTG_32406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTEKSSSPLSLFPFYHSKKKPLKPSSKPFDETLIRRLESLLPIPTSPAPAPTSAIPLSWLSRSADLLALTLNDACAIISDPSLSGSDLNALSSHLDSSVALLDACNAATAEIDRILRSRLHLRLALHHLASVPPRLRRARELISEWDRSPRGGFKASVHLSEAPRGKISVVRRAIYAVEAVSSLVVGSIAAVIGGGETKDLDRIYVSEDSYPWAPAFNKVLEAVKERAKSGVAGEVAAMAVAVRRLTTVIDGEEEEGLVERVAEAVKETEKAMEKMVEGMDRLTAAINGVFTATMSTRNAALRSYRIGPQKCK >DRNTG_03109.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14116789:14122426:-1 gene:DRNTG_03109 transcript:DRNTG_03109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFLLMWEILGPGIAGAVFGAGWWFWVDAVVCSSVQVSFLHYLPGIFATLAALMFNCVNKDDISYDYSPYGESEWRIKLWLFLAYVISFVSLAGAVGLLVQDALVKEGPSAWTGVAGVLQCVFVLISGLIYWTCHSED >DRNTG_03592.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:19883640:19885308:1 gene:DRNTG_03592 transcript:DRNTG_03592.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTEEIGRIEYIGGENENQEVEYHFEILDCVTEDCACERENFQGDLLVSCSSQVDNTLEEANPKFWSTVVGRHDIFGHGLAPRDHVFSCRYATKDRKAL >DRNTG_25697.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30351615:30358071:-1 gene:DRNTG_25697 transcript:DRNTG_25697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSLSATTPFLSPAAADRRSGSSASSFEMTTPARPPRTVFLGVDVGTGSARAGLFDTKGRLLGTHSSPIQIWKEGDCVEQSSTDIWHAVCAAVKEACSLANVAAEEVVGLGFAATCSLVAVDADGSPVSVSWSGDARRNIIVWMDHRAVNQAERINANNSPVLQYCGGSVSPEMQAPKLLWVKENLQESWSMVFRWMDLSDWLAYRATGDDTRSLCTTVCKWTYLGHAHMQQVNDKGSRNMEACGWDDVFWEEIGLGDLIDGHHAKIGRSVAFPGHPMGSGLTPTAAKELGLLAGTPVGTSLIDAHAGGVGVMESVPNSGSQDNNTLPDNGAIGNRMVLVCGTSTCHMAVSESKLFIPGVWGPFWSAMVPEYWLTEGGQSATGALLDHLVENHKAAPLLSNRAAAQHISLFELLNRMLESMVHEVKVPFLSALTENIHILPDFHGNRSPIADPKSKGTICGLTLDSSEKQLALLYLAAIQGIAYGTRHIVEHCNSHGHKINTLLACGGLAKNPLYLQEHANIVGCSIILPKENESVLLGSAILGAVASKQYPSLHDAMKALNAAGQVIHPSKDPKVKKYHDAKYQIFRSLYEQQLSYRSIMAEALS >DRNTG_25697.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30351615:30357180:-1 gene:DRNTG_25697 transcript:DRNTG_25697.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRAVNQAERINANNSPVLQYCGGSVSPEMQAPKLLWVKENLQESWSMVFRWMDLSDWLAYRATGDDTRSLCTTVCKWTYLGHAHMQQVNDKGSRNMEACGWDDVFWEEIGLGDLIDGHHAKIGRSVAFPGHPMGSGLTPTAAKELGLLAGTPVGTSLIDAHAGGVGVMESVPNSGSQDNNTLPDNGAIGNRMVLVCGTSTCHMAVSESKLFIPGVWGPFWSAMVPEYWLTEGGQSATGALLDHLVENHKAAPLLSNRAAAQHISLFELLNRMLESMVHEVKVPFLSALTENIHILPDFHGNRSPIADPKSKGTICGLTLDSSEKQLALLYLAAIQGIAYGTRHIVEHCNSHGHKINTLLACGGLAKNPLYLQEHANIVGCSIILPKENESVLLGSAILGAVASKQYPSLHDAMKALNAAGQVIHPSKDPKVKKYHDAKYQIFRSLYEQQLSYRSIMAEALS >DRNTG_30868.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001638.1:47252:52250:1 gene:DRNTG_30868 transcript:DRNTG_30868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFFFIKVTPSFTGFVSSSFSRLYSQDTLSSFPLPAQPPRASSLSPKASSPTPRSSSPTPRASASSLTPRASSPPPRPSASSLTRRLRRCLLRIRSGGLNMSQLDFTLGLMNVDYTIKSHPNEAVYINKPIEDYEKMAIVCGNDQATGSFARTGSQSSRSLGVRMEMPSTPPTLDSDDQPQGLDDWDFTQSEPPPAETPTTSTSRVKEVNKGSKRIRREELEVMQKVSIGLDRLASAAETDKGVQLSKRLYDEVMTLIGYYNKSDLGLAYDHLNAQNNLATAFINKDHDPRCFWMDGFLR >DRNTG_28908.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17924591:17925584:1 gene:DRNTG_28908 transcript:DRNTG_28908.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIPGSILGGIEAVRPGSIPALKIIQSSNMSLYFLSPSSSSCPLEYHPAAPKQALSSRSSRIWCVLNNDKQIPSSSSSPVIVDQRDMLLSLGGLYRATTGMNARANPIMPPPIIDKAACKIAVEPNIKDQEFLKYCPPYQGDMTLVVTDYTFPKTTLRVCRPIQEVANGSEYIEKFKTTIKKMKELPATNPLNFIQQSKIYCTYCNEAYPQNGDVNATISVHSSWIFLLWH >DRNTG_00767.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:12882422:12884014:1 gene:DRNTG_00767 transcript:DRNTG_00767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELIENNREGAEIFSGSDLCKKKCAELLEELHLPRGLLPLPDLLEVGRNKATGFVWLRQPKATINHFKKIGKTVSYATEVTAFVEDRRMTRMTGVKSKELLIWFSISDMLVADPEGKKIAFKTASGLGRAFPFSAFEEEGGRSEKLWIPIQVQAPTMGMEEGPPSMDSDFKSLFNVDGSLGLQ >DRNTG_27275.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22466200:22470055:-1 gene:DRNTG_27275 transcript:DRNTG_27275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLIVMERKIRAYLELPPDKNDKIPLGQKESRHPGGNVELARQYPPCGASMAQNIDHHANQARQMNWRGSSISADEVAASTLLPSGAQLGIPKASGLHSDPAQGGSLNSLHCQPIGFSHQVGIGSIQNSTCGIYNNNTNRQSQSCVNPFQASASAIPPITYPMQPQKQEEQRQLMLSQLVKQSLPYYRSHLTQQSNRQQQQLQPQMFSELGQQQAMNLRGCHVGPFHQITEGNALKTKQDSGNCQKQFSTVQHNDALQLQGVDSTHASSPQKLQASSLKISQPSVGNDWIKLLPALLDDRISSQPVDSLLIMKSPSIPSMSVLGPEQQQLSEILSPSNAGHNGQQQMTRVSVQPEIHAPGTAMSNSSMTVEYVGSDSDQVKETTDKSSKRKRSTELLINAVQSAAPEVLDSSIKDIASVFDLGILSSSAPGNRPKSAVGENLVGRTKSFMSAQDYEAEVWSSRKKIRRDTSSVPFLDVPLTCGQQLEFAAPSTSECQANLVTALVQNEIKEINKRLIDIAVSVSKEEVARIAAQAVEGMVVSFAFTAVSISPNLISKLASQRMTPIMSLRLLVPLDYPKSSPVPLDKLLDEQSKESGDLSSKTMSKFNKSLQLVSQPISLSKMAKLWDASIRELMLEYAHQYGGGSFSSRVASWQTCLHH >DRNTG_05215.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000234.1:23063:25105:1 gene:DRNTG_05215 transcript:DRNTG_05215.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLPDFDGELAKELNDADSSGEVLRYVGVVDVIDQNGVVELRRYKKDHPFSQLSGSDNIIAFTTTRYKNQPLIVRGPGAGAEVTAGGVFSDILRLASYLGAPS >DRNTG_05215.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000234.1:23063:25105:1 gene:DRNTG_05215 transcript:DRNTG_05215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITGSKKMIFSDTGIDEIDLSQWKEIMGEKAEEADLKKFVKVVHENHFFPNTVLVDCTADSNIANHYYDWLCKGIHVITPNKKANSGPLDPYLKLRALQRQSYTHYFYEATVGAGLPIISTAWTPRNW >DRNTG_30353.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001565.1:4165:5632:1 gene:DRNTG_30353 transcript:DRNTG_30353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSCPHRKEDDAGAKHRQLSTTMPHDDPKTAVDEGQGNAAEMTTRKKINANKKLEEVRKVFITKKKKYVGQSRLNKYEQELIRIFLNCPMDNTVVWKNDSVSLTRSRLSDLLEGKEMVADDVMDTFLCIIQNSLMIVPYRYKKRASITRPLALFMSMQEDAHDTTMAMIRDVARNVHDVKIVILPIIMNGYFHVIILDNGKQEYMHYS >DRNTG_18691.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18159307:18161751:1 gene:DRNTG_18691 transcript:DRNTG_18691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTLTDKNISFHTPVLDHPQSNILQRSKDNSSNHRYQLKYTINQ >DRNTG_09041.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31368344:31370911:1 gene:DRNTG_09041 transcript:DRNTG_09041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNGGSSMMAPSPPESGMGGMEHMGGGGMMHMTFFWGKRVQILFTGWPGDQGIGMYLLSLLCVLLVAALVELLSGVSRRVASSMPITGLSLTALHALRIGLAYLVMLAVMSFNVGVLIAAIVGHALGFLFTGSGLFKWSRPTVDPAGGDAFSSTKA >DRNTG_09041.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31368344:31370911:1 gene:DRNTG_09041 transcript:DRNTG_09041.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNGGSSMMAPSPPESGMGGMEHMGGGGMMHMTFFWGKRVQILFTGWPGDQGIGMYLLSLLCVLLVAALVELLSGVSRRVASSMPITGLSLTALHALRIGLAYLVMLAVMSFNVGVLIAAIVGHALGFLFTGSGLFKWSRPTVDPAGGDAFSSTKA >DRNTG_30144.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3271153:3278898:1 gene:DRNTG_30144 transcript:DRNTG_30144.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PTST homolog 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G27070) UniProtKB/Swiss-Prot;Acc:Q9LFY0] MGLRGGEGERASGGGDGGGEHEKGLESGWEPANEPLRDSGEECFALASSSGRLIDMENEEDTGIKGILCRLEKERNLTFGLGPREKEINGSVSWRSGEHHQEHAAASKGRGRLEPSNYHQSSNSNFGDSHPQSQLPAQTTEQKQSTPDEWATWNLKRLNSLSEFEAAEIVPDDKKTVNHSFLSDGFINGKAVDSLEESGSGRNEPKSDGNGRHQNQIRMQLRQLESELTSVLHLLRSGNGGGYSSKEYSLEDMHKLSDAWEFQETEIMNARNKLRSIRAKIAVLEGKIALEIIETQKINEDKQRRLDAAKRSIQLLQTICIIWANCASEVFLAGSFDGWAGKRKMEKSTAGMFYLYLKLYPGRYEIKFIVDGAWQVDPLRPIVNNNGHENNLLIIT >DRNTG_30144.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3271153:3278898:1 gene:DRNTG_30144 transcript:DRNTG_30144.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PTST homolog 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G27070) UniProtKB/Swiss-Prot;Acc:Q9LFY0] MGLRGGEGERASGGGDGGGEHEKGLESGWEPANEPLRDSGEECFALASSSGRLIDMENEEDTGIKGILCRLEKERNLTFGLGPREKEINGSVSWRSGEHHQEHAASKGRGRLEPSNYHQSSNSNFGDSHPQSQLPAQTTEQKQSTPDEWATWNLKRLNSLSEFEAAEIVPDDKKTVNHSFLSDGFINGKAVDSLEESGSGRNEPKSDGNGRHQNQIRMQLRQLESELTSVLHLLRSGNGGGYSSKEYSLEDMHKLSDAWEFQETEIMNARNKLRSIRAKIAVLEGKIALEIIETQKINEDKQRRLDAAKRSIQLLQTICIIWANCASEVFLAGSFDGWAGKRKMEKSTAGMFYLYLKLYPGRYEIKFIVDGAWQVDPLRPIVNNNGHENNLLIIT >DRNTG_30144.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3271153:3278898:1 gene:DRNTG_30144 transcript:DRNTG_30144.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PTST homolog 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G27070) UniProtKB/Swiss-Prot;Acc:Q9LFY0] MGLRGGEGERASGGGDGGGEHEKGLESGWEPANEPLRDSGEECFALASSSGRLIDMENEEDTGIKGILCRLEKERNLTFGLGPREKEINGSVSWRSGEHHQEHAASKGRGRLEPSNYHQSSNSNFGDSHPQSQLPAQTTEQKQSTPDEWATWNLKRLNSLSEFEAAEIVPDDKKTVNHSFLSDGFINGKAVDSLEESGSGRNEPKSDGNGRHQNQIRMQLRQLESELTSVLHLLRSGNGGGYSSKEYSLEDMHKLSDAWEFQETEIMNARNKLRSIRAKIAVLEGKIALEIIETQKINEDKQRRLDAAKRSIQLLQTICIIWANCASEVFLAGSFDGWAGKRKMEKSTAGMFYLYLKLYPGRYEIKFIVDGAWQVDPLRPIVNNNGHENNLLIIT >DRNTG_30144.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3271153:3278898:1 gene:DRNTG_30144 transcript:DRNTG_30144.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PTST homolog 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G27070) UniProtKB/Swiss-Prot;Acc:Q9LFY0] MGLRGGEGERASGGGDGGGEHEKGLESGWEPANEPLRDSGEECFALASSSGRLIDMENEEDTGIKGILCRLEKERNLTFGLGPREKEINGSVSWRSGEHHQEHAASKGRGRLEPSNYHQSSNSNFGDSHPQSQLPAQTTEQKQSTPDEWATWNLKRLNSLSEFEAAEIVPDDKKTVNHSFLSDGFINGKAVDSLEESGSGRNEPKSDGNGRHQNQIRMQLRQLESELTSVLHLLRSGNGGGYSSKEYSLEDMHKLSDAWEFQETEIMNARNKLRSIRAKIAVLEGKIALEIIETQKINEDKQRRLDAAKRSIQLLQTICIIWANCASEVFLAGSFDGWAGKRKMEKSTAGMFYLYLKLYPGRYEIKFIVDGAWQVDPLRPIVNNNGHENNLLIIT >DRNTG_30144.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3271153:3278898:1 gene:DRNTG_30144 transcript:DRNTG_30144.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PTST homolog 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G27070) UniProtKB/Swiss-Prot;Acc:Q9LFY0] MGLRGGEGERASGGGDGGGEHEKGLESGWEPANEPLRDSGEECFALASSSGRLIDMENEEDTGIKGILCRLEKERNLTFGLGPREKEINGSVSWRSGEHHQEHAAASKGRGRLEPSNYHQSSNSNFGDSHPQSQLPAQTTEQKQSTPDEWATWNLKRLNSLSEFEAAEIVPDDKKTVNHSFLSDGFINGKAVDSLEESGSGRNEPKSDGNGRHQNQIRMQLRQLESELTSVLHLLRSGNGGGYSSKEYSLEDMHKLSDAWEFQETEIMNARNKLRSIRAKIAVLEGKIALEIIETQKINEDKQRRLDAAKRSIQLLQTICIIWANCASEVFLAGSFDGWAGKRKMEKSTAGMFYLYLKLYPGRYEIKFIVDGAWQVDPLRPIVNNNGHENNLLIIT >DRNTG_30144.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3271153:3278898:1 gene:DRNTG_30144 transcript:DRNTG_30144.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PTST homolog 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G27070) UniProtKB/Swiss-Prot;Acc:Q9LFY0] MGLRGGEGERASGGGDGGGEHEKGLESGWEPANEPLRDSGEECFALASSSGRLIDMENEEDTGIKGILCRLEKERNLTFGLGPREKEINGSVSWRSGEHHQEHAAASKGRGRLEPSNYHQSSNSNFGDSHPQSQLPAQTTEQKQSTPDEWATWNLKRLNSLSEFEAAEIVPDDKKTVNHSFLSDGFINGKAVDSLEESGSGRNEPKSDGNGRHQNQIRMQLRQLESELTSVLHLLRSGNGGGYSSKEYSLEDMHKLSDAWEFQETEIMNARNKLRSIRAKIAVLEGKIALEIIETQKINEDKQRRLDAAKRSIQLLQTICIIWANCASEVFLAGSFDGWAGKRKMEKSTAGMFYLYLKLYPGRYEIKFIVDGAWQVDPLRPIVNNNGHENNLLIIT >DRNTG_17664.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16743959:16746516:-1 gene:DRNTG_17664 transcript:DRNTG_17664.1 gene_biotype:protein_coding transcript_biotype:protein_coding AEWRRVGLPRRSSGGYTRPPKNSRPPPQLGFWNVDVPSWEKQFFINECPVTWEQLSYVKQSMSLYKNVQNWDDSAASEAFQNAKFRYWATINNCQSNIPLPDPDIYIDKVNYDVVIDPEIVADLYIEPEQLTPAESSFDYMNVPIVPTGWDDTGVPLPTNGLTAEHLSRIGIISTGWDEAKNDSGQDAKPFNAWNATSQEVTYDYSSKRNTGDCWGDANVNNRRWENEWKFEPHGNSNNDMGKFGRNRDGGGWFGSRKTASRFKTDNNLTNRGWRNFRGKQSRIESIDDSELTVYAGRPTNLLRKSTPCGPVN >DRNTG_23336.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6132258:6135687:-1 gene:DRNTG_23336 transcript:DRNTG_23336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLEVDVELKSSADKFWGGITATDELFIKIFPEQYKSIEILEGDGNNVGTVRLIKFNEGMPIVKFSKEKIEVADEANKLVTYSVMEGDILSYYKTFRATLQVIPKGDGSLVKWSVLYDKTNEEVPEPDFVKETAIHTFKGLDEYLLKN >DRNTG_12666.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1187041:1190734:-1 gene:DRNTG_12666 transcript:DRNTG_12666.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNLYQAVTQGNVQRLKSLAEKEPKLLLSRTPHENMALHIAAKLGHKEVADEIISRDNTLLSMQNKDDDTPLHIAVRTTHTDVASLLINFTKNYPAGIELGEKPFRQINNKGNTVLHDAVSSNSIQIVKELLEADPELRHTLNKKNESPLHIAALKGLSEIVDEFLLKFGCEVPAEKLDTGTPLHQAVLGGHISKFH >DRNTG_12666.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1174540:1190734:-1 gene:DRNTG_12666 transcript:DRNTG_12666.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNLYQAVTQGNVQRLKSLAEKEPKLLLSRTPHENMALHIAAKLGHKEVADEIISRDNTLLSMQNKDDDTPLHIAVRTTHTDVASLLINFTKNYPAGIELGEKPFRQINNKGNTVLHDAVSSNSIQIVKELLEADPELRHTLNKKNESPLHIAALKGLSEIVDEFLLKFGCEVPAEKLDTGTPLHQAVLGGHISKFH >DRNTG_12666.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1174540:1190734:-1 gene:DRNTG_12666 transcript:DRNTG_12666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNLYQAVTQGNVQRLKSLAEKEPKLLLSRTPHENMALHIAAKLGHKEVADEIISRDNTLLSMQNKDDDTPLHIAVRTTHTDVASLLINFTKNYPAGIELGEKPFRQINNKGNTVLHDAVSSNSIQIVKELLEADPELRHTLNKKNESPLHIAALKGLSEIVDEFLLKFGCEVPAEKLDTGTPLHQAVLGGHISKFH >DRNTG_17856.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2862195:2863007:1 gene:DRNTG_17856 transcript:DRNTG_17856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHFSLVFFSFLTISIPILFKSPLVEAEDYAVGDSDGWTTGINYLEWSEKYNFTVGDTLVFKYVLNQHNVYQVTETTFRSCDASSGVISIHLSGNDRIELTEPTKYWFICNTKGHCKGGMRFGINVTATSGETPPPPSGETPPLPSDGSSSPPPPAPVTAPGGNAAAHGREMRWWLCAPVVLFDLVYW >DRNTG_00958.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21098027:21098889:1 gene:DRNTG_00958 transcript:DRNTG_00958.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLALANIVLMKPSKWLYKKSMKLTRGQACKSIQPNKWKEHKRNKVEQLQQVFQYLDNDKDGKISAEEIQRFFAGVGEEVPVKVAKAAIDGLDSGGDGKLEFEDFVALMEMKRNGSSDGDGDGDDDEVLKMAFEVFEGRGEGIRGRITAEGLQKVLRRVGEEKSLRECKAMITAYDLDGNGEIDFHEFHCMMTLL >DRNTG_00958.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21097963:21099212:1 gene:DRNTG_00958 transcript:DRNTG_00958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALANIVLMKPSKWLYKKSMKLTRGQACKSIQPNKWKEHKRNKVEQLQQVFQYLDNDKDGKISAEEIQRFFAGVGEEVPVKVAKAAIDGLDSGGDGKLEFEDFVALMEMKRNGSSDGDGDGDDDEVLKMAFEVFEGRGEGIRGRITAEGLQKVLRRVGEEKSLRECKAMITAYDLDGNGEIDFHEFHCMMTLL >DRNTG_07364.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000335.1:49462:54508:1 gene:DRNTG_07364 transcript:DRNTG_07364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIKMSQKSPLKKPVTVSVAQATGIGMSESASLKQALRRLCISQASEMAAMKRLSKRIGPSGTSEAGTIQRLYASVVVQSSESGLQLNDDKGDIVEISMMPEKNSMNLSGKIGQTCQVRMMEPSNKSAVSAPCSPHLMMPVNVSRTRIKDIIAQEEVVTSSSPEDAKLKGKRNPKTSFIVSENRPKSIKPGGNSRLTKPTFRSKTTCNRKGSKESASAPGTPTRCPAINKAGGISGKNKPACGKESISQVSSSTSLNSKLDLKAEQSGMSKADFTPEVAGVKAISVKVNDNSRLREKGECSQSSKSSLGDYSCSTSITDESFQSSSSANGCRPHMSKDTRWVAIRQILLQHGSISLKNFKLLKKLGCGDIGTVYLAELSGTDCLFALKVMDIEFLLKRQKILRAQTEKEILEILDHPFLPSLYAHFTTENLACLVMEYCPGGDLHVLRQKQPGRSFSEPAARFYVAEVLLALEYLHMLGVIYRDLKPENILVRDDGHIMLSDFDLSLRCSVCPTLLTSTSLGTEEAAVKKVSGPCSENACIDPLCLRPSWAPGSCFTPRLASSTLKSELVAQSCQLPQLVVEPTDARSNSFVGTHEYLAPEIIRGDGHGSAVDWWTLGIFLYELLFGRTPFKGAGNEETLANAVSQSLRFPESPAVSFHARDLIRGLLSKEPENRLGFLKGAAEIKQHPFFDGLNWALIRCAVPPEVPGSFDPGTPQTAMRKKKEGKCLDFRANGDDVEFELF >DRNTG_33292.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23797664:23802125:-1 gene:DRNTG_33292 transcript:DRNTG_33292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPENLQTAREVEAIVRDNGAIPATIAILDGVPCIGLDDEQLERLAKLGKKAQKTARRDIPHIVAAHGNGATTVSATMFFASKVGIHVFVTGGIGGVHRHGEQTMDISSDLTELGRTPVAVVSAGVKSILDIPRTLEFLETQGVTVAAYKTHEFPAFFTESSGCKIPCRLDSPEDGARLIHANLNLGLGSGILIAVPIPKDHSASGYTIESAIQKALEEANHKNVIGNAVTPFLLARVNELTGGASLAANIALVKNNVLVGAKIAAVLANLKRGFVRSAL >DRNTG_33292.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23797664:23802125:-1 gene:DRNTG_33292 transcript:DRNTG_33292.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPENLQTAREVEAIVRDNGAIPATIAILDGVPCIGLDDEQLERLAKLGKKAQKTARRDIPHIVAAHGNGATTVSATMFFASKVGIHVFVTGGIGGVHRHGEQTMDISSDLTELGRTPVAVVSAGVKSILDIPRTLEFLETQGVTVAAYKTHEFPAFFTESSGCKIPCRLDSPEDGARLIHANLNLGLGSGILIAVPIPKDHSASGYTIESAIQKALEEAKYSLPIFIHAQQIHYIFHV >DRNTG_00485.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30659095:30659774:-1 gene:DRNTG_00485 transcript:DRNTG_00485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIRCKMENGVLHVEITKKQTPRAAAEQR >DRNTG_08923.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27378054:27379158:-1 gene:DRNTG_08923 transcript:DRNTG_08923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGSEPQALAPSDPPDHSAKRKPDPDCAVESEANRKSKLLKPLEGEDNGEEEEEANKKEKGVITAVDKGKGKMVVEDEQVDGDDEDSSSDDVSNGGVDGGDEDGSDFDDDPLAEVDLDNILPSRTRRRVPPPPGAYLVVDQDEDEEDDEDEDGEE >DRNTG_03007.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:6431080:6436361:1 gene:DRNTG_03007 transcript:DRNTG_03007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQHLRHDYFGGSRPRRGHNEGLGRFIDHSMLGLLQYCYSTTAFKVLVVVRFPAVSMASLLRWRGRPIDYYEGQFRWECRVLTGYSSGSHCHQAVNRWVNIKGMSTLTALNLATAAVKPCGVPRVEWRSIPPRDYRGGYCVLWTRGSGRDISYASCKAAVGGFGMAAHEDVVV >DRNTG_10622.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:190332:195968:1 gene:DRNTG_10622 transcript:DRNTG_10622.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-T1-3 [Source:Projected from Arabidopsis thaliana (AT1G27630) UniProtKB/Swiss-Prot;Acc:Q8LBC0] MVNGAPKTSGGWYFSRAEIENNSPSRRDGIDLKKESELRQSYCSLIQDLGMRLGAPQLTIATAVIFCHRFYLYQSHAKNDWRTIATACLFHAAKVEDTPISLNKVVTAAYEMIYRKDPDAAQQIRQKDVLDKQKSLVILGERMLLANIGFDFNVQHPYRPLVRALNKFEILEKNVRQVAWNYVNDWLRTTLCLQYKPHYIAAGSLYIAAKIHNVKLPSGKDYAWWNEFDVTPKQLNAVVQEMTDILGYKRKSLPTCPTEKPAEISLAKKKGDSSSPESCVLSRPGSLTRNSSNDSDGQLEMINQNHPSSCNPDGKQVYVGAEIKAEVQARGPDASESVADSEKSRKGMEKANLEPIQVCDAVEIKSEVQARGPDASESVADSETSGKGMDKVNLGPDQVGVAAEMNTEVPARGSDASQSAADTDTSGKVMNKSNSGPVQVVNGLHTLNMDKILAMRKKRKIEKENEKRAAAIKSMGEDDWIERELEKGILSSSQSVGKKHKVA >DRNTG_10622.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:190332:195968:1 gene:DRNTG_10622 transcript:DRNTG_10622.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-T1-3 [Source:Projected from Arabidopsis thaliana (AT1G27630) UniProtKB/Swiss-Prot;Acc:Q8LBC0] MVNGAPKTSGGWYFSRAEIENNSPSRRDGIDLKKESELRQSYCSLIQDLGMRLGAPQLTIATAVIFCHRFYLYQSHAKNDWRTIATACLFHAAKVEDTPISLNKVVTAAYEMIYRKDPDAAQQIRQKDVLDKQKSLVILGERMLLANIGFDFNVQHPYRPLVRALNKFEILEKNVRQVAWNYVNDWLRTTLCLQYKPHYIAAGSLYIAAKIHNVKLPSGKDYAWWNEFDVTPKQLNAVVQEMTDILGYKRKSLPTCPTEKPAEISLAKKKGDSSSPESCVLSRPGSLTRNSSNDSDGQLEMINQNHPSSCNPDGKQVYVGAEIKAEVQARGPDASESVADSEKSRKGMEKANLEPIQVCDAVEIKSEVQARGPDASESVADSETSGKGMDKVNLGPDQVGVAAEMNTEVPARGSDASQSAADTDTSGKVMNKSNSGPVQVVNGLHTLNMDKILAMRKKRKIEKENEKRAAAIKSMGEDDWIERELEKGILSSSQSVGKKHKVA >DRNTG_10622.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:190332:195968:1 gene:DRNTG_10622 transcript:DRNTG_10622.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-T1-3 [Source:Projected from Arabidopsis thaliana (AT1G27630) UniProtKB/Swiss-Prot;Acc:Q8LBC0] MIYRKDPDAAQQIRQKDVLDKQKSLVILGERMLLANIGFDFNVQHPYRPLVRALNKFEILEKNVRQVAWNYVNDWLRTTLCLQYKPHYIAAGSLYIAAKIHNVKLPSGKDYAWWNEFDVTPKQLNG >DRNTG_10622.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:191880:195968:1 gene:DRNTG_10622 transcript:DRNTG_10622.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-T1-3 [Source:Projected from Arabidopsis thaliana (AT1G27630) UniProtKB/Swiss-Prot;Acc:Q8LBC0] MIYRKDPDAAQQIRQKDVLDKQKSLVILGERMLLANIGFDFNVQHPYRPLVRALNKFEILEKNVRQVAWNYVNDWLRTTLCLQYKPHYIAAGSLYIAAKIHNVKLPSGKDYAWWNEFDVTPKQLNG >DRNTG_10622.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:190332:195968:1 gene:DRNTG_10622 transcript:DRNTG_10622.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-T1-3 [Source:Projected from Arabidopsis thaliana (AT1G27630) UniProtKB/Swiss-Prot;Acc:Q8LBC0] MIYRKDPDAAQQIRQKDVLDKQKSLVILGERMLLANIGFDFNVQHPYRPLVRALNKFEILEKNVRQVAWNYVNDWLRTTLCLQYKPHYIAAGSLYIAAKIHNVKLPSGKDYAWWNEFDVTPKQLNAVVQEMTDILGYKRKSLPTCPTEKPAEISLAKKKGDSSSPESCVLSRPGSLTRNSSNDSDGQLEMINQNHPSSCNPDGKQVYVGAEIKAEVQARGPDASESVADSEKSRKGMEKANLEPIQVCDAVEIKSEVQARGPDASESVADSETSGKGMDKVNLGPDQVGVAAEMNTEVPARGSDASQSAADTDTSGKVMNKSNSGPVQVVNGLHTLNMDKILAMRKKRKIEKENEKRAAAIKSMGEDDWIERELEKGILSSSQSVGKKHKVA >DRNTG_10622.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:191880:195968:1 gene:DRNTG_10622 transcript:DRNTG_10622.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-T1-3 [Source:Projected from Arabidopsis thaliana (AT1G27630) UniProtKB/Swiss-Prot;Acc:Q8LBC0] MVNGAPKTSGGWYFSRAEIENNSPSRRDGIDLKKESELRQSYCSLIQDLGMRLGAPQLTIATAVIFCHRFYLYQSHAKNDWRTIATACLFHAAKVEDTPISLNKVVTAAYEMIYRKDPDAAQQIRQKDVLDKQKSLVILGERMLLANIGFDFNVQHPYRPLVRALNKFEILEKNVRQVAWNYVNDWLRTTLCLQYKPHYIAAGSLYIAAKIHNVKLPSGKDYAWWNEFDVTPKQLNG >DRNTG_18722.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1107145:1109002:1 gene:DRNTG_18722 transcript:DRNTG_18722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMVFSSSSSTTISTLCSHKLTHTKRTSQLCHKNPIFPSPSHLRLLHKSIPLAASASILLLCSSPANAGFLSGFSGLESIPGPQLPQFDFLTKFNEENQKKYAEFDERFKSSPVLKDLLEKSKLNKQRNKREIQDKYCIRGAEWGVGDCSTQGMTEEERDEFIAMLKKKSGGD >DRNTG_34743.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16607367:16608853:1 gene:DRNTG_34743 transcript:DRNTG_34743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDSKLKEFIEKYGTGGNWIALPHKAGLKRCGKSCRLRWLNYLRPNIKHGEFSPDEDRIICTLFANIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLGFTPSKRKQLQLQQQHQQQHQQQQIYQPCFEISTSTISSTYGGKEAAQQVLSLDHAVQEQYQMKSSTGSSILMFGGETSCSSSDGSCTQISYDYNSMGNNINGGEPIGFEQQCFYDEKMMVGIVGGGGGGGPMDYGYEEIRELLLNGQNGCNDNMCFATAAGTEGTNRGTGNYKIQEKVMYYH >DRNTG_27619.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3606425:3611480:1 gene:DRNTG_27619 transcript:DRNTG_27619.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHVNTLSHFLGLLLSSRSRSTELTQSFTNGGNGRCFDDCRPIPPSSSPPSSDSPPFE >DRNTG_27619.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3606425:3611480:1 gene:DRNTG_27619 transcript:DRNTG_27619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHVNTLSHFLGLLLSSRSRSTELTQSFTNGGNGRCFDDCRPIPPSSSPPSSDSPPFE >DRNTG_26266.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7407147:7409374:-1 gene:DRNTG_26266 transcript:DRNTG_26266.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypoxanthine phosphoribosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G71750) UniProtKB/TrEMBL;Acc:F4IA25] MALDADIKTVLWTEADIATRVAELASQISDDFLGSSSSLVAVGVATGAFLFLADVVRRIKLPIEVDFIRAESYGAGTESSGALRISCDLKIDVAGKHVILVEDIVDTGNTLLCLIAHLESKGASSVSVCALLNKAARRKVELKLVGEGKFYCGFECPDYFVVGYGMDFAELYRNLPYVGVLKPEMYK >DRNTG_02296.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:789819:790640:-1 gene:DRNTG_02296 transcript:DRNTG_02296.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETLVRGGSGRVACETVIAGAPPDTTAAVMAEDPDFPPESFTIPFGDEIEWVDLNAVYERDDSTKGNTNPKAQHSNVTATTDHRISNSKRFSGNLKPKAPIIALPNKIQHSGYLGRSSRRPASGRTFIKKGRRAGEAEREPGSPKVSCFGKVRSERERQRGTIPQRGGGRGFWMSFFCCAGGDRGSSAEFLEEKHSASSWRKPSSLPTTMAAAAPAPGLAGMKRFESGRRSASWGGDEDGHVEWSGPFDEDGFRIRRSVGSIDDANREADT >DRNTG_28314.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29388947:29390403:1 gene:DRNTG_28314 transcript:DRNTG_28314.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELAGAPGWSQLSRDLIEVIFSKLPLRSLVRSAAVCRHWRTVVTDPSFPSPHRRRPWFFIYGHNNVSPHLNQAFAFDPDDSAAAWVPLRLPLCPPDYFSGSGGFFLATTSSSSLLVSPLPRSHLFHPTPPLSFPRSNPLVSVFAPGPKLLVVGGARLIGGLVDIEAPLATEIFDPSTDPSSWQLCPPLPHDFRSGNSSQWLSSALVSGRHFFVHGIYSSLISSFDLHLRSWSPVRLLRPPGVLFSFLLPSRSSTLLLAALATNPDASPSLFIWSVDSTSLAFDRIGAMPPEMLSQLFDGGADDDSRFASLKCVGLDGLVYVFNEDHSKAYPAAYCQVDGSTCTWRLVPSLPFPVNRFHKVIAFCSPVPLASLFPNFSLI >DRNTG_31165.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9740692:9740934:-1 gene:DRNTG_31165 transcript:DRNTG_31165.1 gene_biotype:protein_coding transcript_biotype:protein_coding KIWSRRSSISPEFVDCSVLIYNGKTPVRCKITEGKVGHKFGEFAFTRRRRPSRTNKGKGKGKKGIK >DRNTG_22482.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2628907:2630973:-1 gene:DRNTG_22482 transcript:DRNTG_22482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGENGVQVADSSSSSNKTAQNLVACLYQAQLSGHSCIITVTWSKSLMGQALSVAIDDATNQCICKVDIKPWLFSKRKGSKSFGGDNAKADIYWDLSAAKFGSGPEPLENFYVAVTFDFEMVLLIGDLTKEAYRKTNACPPPSNAVFIAKREHVFGKKLYCTKAQFCDNGQVHDIIIDCETLGLKDPCLEIRIDKKRVMQVKRLSWKFRGNQTIVVDGLPVEVFWDVHNWLFGMPTGNAVFMFQTCLSTNKLLPVSCSQVIRESQLQGLAFSLILHAWRNE >DRNTG_22482.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2628907:2630973:-1 gene:DRNTG_22482 transcript:DRNTG_22482.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGENGVQVADSSSSSNKTAQNLVACLYQAQLSGHSCIITVTWSKSLMGQALSVAIDDATNQCICKVDIKPWLFSKRKGSKSFGGDNAKADIYWDLSAAKFGSGPEPLENFYVAVTFDFEMVLLIGDLTKEAYRKTNACPPPSNAVFIAKREHVFGKKLYCTKAQFCDNGQVHDIIIDCETLGLKDPCLEIRIDKKRVMQVKRLSWKFRGNQTIVVDGLPVEVFWDVHNWLFGMPTGNAVFMFQTCLSTNKLLPVSCSQVIRESQLQGLAFSLILHAWRNE >DRNTG_03386.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17850805:17857145:-1 gene:DRNTG_03386 transcript:DRNTG_03386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNLVIGRCYLIPVVETLAELKVHMTPRHWEIIRRTPFAAFIELEAVFQERALLDSLLQRYDGRTNKFRIGESLLSFRPEDAALVLGLCCDGEAIVFQKKKTRSAFEESIDAIIESVTVDDMPVMVEKIIDDVAIAAVDKIFDSVVNKIPVTVEPAADSAASKVDTISEKQEPAKGVSPIHAVTVVVAEKSVDFVVNEIMATVELAADSAALKADTIPQQQELCKDMSLVDVVVMSASKDDAAGTEHRQPSTTVPYDDPKTAVDEGQGNATKKATRDMIHANQQYEEVEKDFIPKKKKIPWDNCASTNSSRS >DRNTG_12360.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20865269:20868750:-1 gene:DRNTG_12360 transcript:DRNTG_12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sulfate transporter 3.4 [Source:Projected from Arabidopsis thaliana (AT3G15990) UniProtKB/Swiss-Prot;Acc:Q9LW86] MGVTSNKVESLPNTTTTTPISVLTTQPLEIHKVSLPPNKTTFQSIKQSFSEFFFPDDPLHQFKDQSPFKKLILALQYLFPIFHWAPEYNLKLFKSDVISGLTIASLAIPQGISYAKLANLPPIIGLYSSFVPPLIYAVLGSSRDLAVGPVSIASLVMGYMLRDQVSPDQDPVLYLQLAFTATFFAGVFQASLGFLRLGFVVDFLSKPTLIGFMGGAAIIVSLQQLKGLLGIIHFTNKMSFVSVMESVFGKTSEWAWQTILMGFFFLVLLLIARHISMRRPKLFWISAAAPLTSVILSTTISFIIKAQNHGIQTIGHLQKGLNPPSANLLCFHGNYLSLAIKTGIVTGILSLTEGIAVGRTFASLKNYQVDGNKEMMAIGIMNMAGSCSSCYVTTGSFSRSAVNYNAGSQTAVSNIVMASAVMFTMLFLMPLFYYTPNVILSAIIISAVIGLIDFQTAVKLWKVDKLDFLACMCAFFGVLFISVQMGLLIAVGISMFRILIQVTRPNTVILGNIPGTQSYRNMGQYGEARRVPYFLILSIESPIYFTNCMYLQERIMRWVREEEERIIRMKDCELKCIVLDMAAVTALDTSGMEVLSEMKKALDKRSLQLVLANPVANVIERLHRSAKTWEVFGSDSIYMDVEEAVASVSSLLKYQP >DRNTG_26774.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18370318:18372832:-1 gene:DRNTG_26774 transcript:DRNTG_26774.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACYB-2 [Source:Projected from Arabidopsis thaliana (AT4G25570) UniProtKB/TrEMBL;Acc:A0A178UZ09] MVIGFLILGSEAIMSYKGLPFNKEVNKKIHLTLHLIALVLGIWGISAAFKFHNESGIDNLYSLHSWVGLGTICLYGIQWIFGFVTYFYPGGSQALRREALPWHALFGMFVYILALAAAQLGFLEKLTFIESAGVLKYGAEAFLVNFTALVVILLGAAVVISAAIPGPSEDPHSYSSISDA >DRNTG_10026.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2945696:2961226:-1 gene:DRNTG_10026 transcript:DRNTG_10026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETMVVIIGAGPSGLATAACLTTHSIPYILLERDPCLASLWRNRAYDRVTLHLAKQYCQLPHAPYPASTPTFIPKQHFIEYLEGYAAKFRIQPRFGIEVESAWFEEGEGKWRVMARKGKEGEVVVELKARFMVVASGENDEAVVPEIEGLDGFVGDLVHSNRYRSGSVYKGKSVLVVGAGNSGMEIAFDLYSFGAFPSIVVRSP >DRNTG_10026.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2945696:2946322:-1 gene:DRNTG_10026 transcript:DRNTG_10026.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTVVIVGAGSAGLATAACLTTHSIPYILLERDHCLASLWRNRAYDRVTLHLAKQYCQLPHAPYPASTPTFMPKRHFIEYLEGYAAKFRIQPRFGIEVESAWFEEGEGKWRVMARKGKEGEVVVELKARFMVVASGENDEAVVPEIEGLDGFVGDLVHSNRYRSGSVYKGKSVLVVGAGNSGMEIAFDLYSFGAFPSIVVRSP >DRNTG_10026.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2945696:2947065:-1 gene:DRNTG_10026 transcript:DRNTG_10026.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTVVIVGAGSAGLATAACLTTHSIPYILLERDHCLASLWRNRAYDRVTLHLAKQYCQLPHAPYPASTPTFMPKRHFIEYLEGYAAKFRIQPRFGIEVESAWFEEGEGKWRVMARKGKEGEVVVELKARFMVVASGENDEAVVPEIEGLDGFVGDLVHSNRYRSGSVYKGKSVLVVGAGNSGMEIAFDLYSFGAFPSIVVRSP >DRNTG_00554.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30152687:30154490:-1 gene:DRNTG_00554 transcript:DRNTG_00554.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSCSSSSSPPKPHAVLIPQPAQGHITPMLQLAKVLHSKGFHITFVNSEYNHKRFLKSRGSNSLQGFHDFHFEAIPDGLPPSDDHQDVTQDIAQLCISTTKHSLAPFRDLLLKLNSNHDAPPVTCVVADGVMSFAQRVAQNLGILSLVFWTTSACGFMGYLHFAELISKGFTPLKDESYLSNGYLETSIGWIPGMKDMRLKDFPSFIRTTNRDDIMLNFDGGEAQNAYKAWGVIINTYYELEKDVIDAMKLMFPHLYTIGPLFRFASQIDDEKMKSIGSNLWKEDTTCIDWLDKQKVGSVVYVNFGSITVMTKEQLGEFAWGLANSKHPFLWVIRPDLVAGEKAMLPEGFIEETKGRGVMASWCPQEQVLSHPSLGVFLTHSGWNSTLESICNGVPMICWPFFAEQPTNCRYVCREWGVGIEIDGNVRRDEVEELVREMMEGEKGKEMRLKVKEWKEKSEDTVKYGGSSYESINKLVNDLMIN >DRNTG_00554.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30152620:30156388:-1 gene:DRNTG_00554 transcript:DRNTG_00554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPQRPHAVIIPYPAQGHITPMLHLAKLLHLHGFFITYVNSIYNHQRLLRSRPSSQFLHPLNDFRFEAIPDGLPPSDDTDITQDIPQLCLSTRDNCGSPLMDLVMKLNDSDGVPLVTCIIADVFMSFTLKVGEKLGIPVLLCVTMSACGFMAYLHFFELIQRGYTPLKDESYLSNGYLETSIGWIPGMKDMRLKDFPSFIRTTNRDDIMLNFDGGEAQNAYKAWGVIINTYYELEKDVIDAMKLMFPHLYTIGPLFRFASQIDDEKMKSIGSNLWKEDTTCIDWLDKQKVGSVVYVNFGSITVMTKEQLGEFAWGLANSKHPFLWVIRPDLVAGEKAMLPEGFIEETKGRGVMASWCPQEQVLSHPSLGVFLTHSGWNSTLESICNGVPMICWPFFAEQPTNCRYVCREWGVGIEIDGNVRRDEVEELVREMMEGEKGKEMRLKVKEWKEKSEDTVKYGGSSYESINKLVNDLMIN >DRNTG_00554.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30152687:30154399:-1 gene:DRNTG_00554 transcript:DRNTG_00554.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSCSSSSSPPKPHAVLIPQPAQGHITPMLQLAKVLHSKGFHITFVNSEYNHKRFLKSRGSNSLQGFHDFHFEAIPDGLPPSDDHQDVTQDIAQLCISTTKHSLAPFRDLLLKLNSNHDAPPVTCVVADGVMSFAQRVAQNLGILSLVFWTTSACGFMGYLHFAELISKGFTPLKDESYLSNGYLETSIGWIPGMKDMRLKDFPSFIRTTNRDDIMLNFDGGEAQNAYKAWGVIINTYYELEKDVIDAMKLMFPHLYTIGPLFRFASQIDDEKMKSIGSNLWKEDTTCIDWLDKQKVGSVVYVNFGSITVMTKEQLGEFAWGLANSKHPFLWVIRPDLVAGEKAMLPEGFIEETKGRGVMASWCPQEQVLSHPSLGVFLTHSGWNSTLESICNGVPMICWPFFAEQPTNCRYVCREWGVGIEIDGNVRRDEVEELVREMMEGEKGKEMRLKVKEWKEKSEDTVKYGGSSYESINKLVNDLMIN >DRNTG_00554.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30152687:30156388:-1 gene:DRNTG_00554 transcript:DRNTG_00554.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPQRPHAVIIPYPAQGHITPMLHLAKLLHLHGFFITYVNSIYNHQRLLRSRPSSQFLHPLNDFRFEAIPDGLPPSDDTDITQDIPQLCLSTRDNCGSPLMDLVMKLNDSDGVPLVTCIIADVFMSFTLKVGEKLGIPVLLCVTMSACGFMAYLHFFELIQRGYTPLKDESYLSNGYLETSIGWIPGMKDMRLKDFPSFIRTTNRDDIMLNFDGGEAQNAYKAWGVIINTYYELEKDVIDAMKLMFPHLYTIGPLFRFASQIDDEKMKSIGSNLWKEDTTCIDWLDKQKVGSVVYVNFGSITVMTKEQLGEFAWGLANSKHPFLWVIRPDLVAGEKAMLPEGFIEETKGRGVMASWCPQEQVLSHPSLGVFLTHSGWNSTLESICNGVPMICWPFFAEQPTNCRYVCREWGVGIEIDGNVRRDEVEELVREMMEGEKGKEMRLKVKEWKEKSEDTVKYGGSSYESINKLVNDLMIN >DRNTG_35269.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3332964:3337208:-1 gene:DRNTG_35269 transcript:DRNTG_35269.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTLFLIVYILLSSSFLEHHHLHPILVQGFHLSLIAPEPFHLSHNLRLVPLQRGFRLSHHGGGAAEEGADITGFVFMVLIRKGNLAAVVCAALSFFVLLIRRQGGGQDDNEKGFNMIR >DRNTG_04386.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1203465:1203899:1 gene:DRNTG_04386 transcript:DRNTG_04386.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTDDLSKHFHSHTAPTDHPTTLEQHHSVHLFANMTHTFNVSSSKGFLELGIITYLQAFAFNFVCNFIK >DRNTG_12128.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4472876:4478767:-1 gene:DRNTG_12128 transcript:DRNTG_12128.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVDQAYYGWSHDELLDSDRVDSQEVSVSQMLDHGSISFGRFAVESLAWAKRSAFTYNERQEELEKVKNPGLVAQKKAYFEEYYKKLRALKAMQDNQQTELTLEYGGDGSISSQTGEDEETMGQSEHYGNAAANLHDVLAKGTMIAPLEKDHLSRKASQTGHLDPESTLPCHDLFARNLKAAGQAMHSSNNIQWQHMDTDSYVGESLCGGTEVIVQHDIIEFEVEKKYQDSVSGIRSNGAAQGTVVSIVEPVKGIQNTAASPTNYKLGDIEDASADNHAPKKNKSIIRASANSISSSTVKELTVSARNCLKLKNRIKPEKDNALQRQKHPIHKTTGKVERNIGSCTSTSRRVSKNIKSTVAVTHRTLTEVRSNGTIPRPFSLATERRAATFENKLDERGLVTKLPNRLTTSLNHAKGVISAQGSFNKTATSSGVRSKGQENKRDQEVKDKTLACGKQSFNGRCTFVLGQQMARSVDLPARNIPNWSGGIDHKFSIAFGRNLRKEIKEDLRSREPSGSGTKIIKEDLRSREPSGSGTKIMSSHNENPRTGNIKAGHCLTKPDKKKLPIGNASVDVKKPKQPMPRWR >DRNTG_12128.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4472876:4481165:-1 gene:DRNTG_12128 transcript:DRNTG_12128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVDQAYYGWSHDELLDSDRVDSQEVSVSQMLDHGSISFGRFAVESLAWAKRSAFTYNERQEELEKVKNPGLVAQKKAYFEEYYKKLRALKAMQDNQQTELTLEYGGDGSISSQTGEDEETMGQSEHYGNAAANLHDVLAKGTMIAPLEKDHLSRKASQTGHLDPESTLPCHDLFARNLKAAGQAMHSSNNIQWQHMDTDSYVGESLCGGTEVIVQHDIIEFEVEKKYQDSVSGIRSNGAAQGTVVSIVEPVKGIQNTAASPTNYKLGDIEDASADNHAPKKNKSIIRASANSISSSTVKELTVSARNCLKLKNRIKPEKDNALQRQKHPIHKTTGKVERNIGSCTSTSRRVSKNIKSTVAVTHRTLTEVRSNGTIPRPFSLATERRAATFENKLDERGLVTKLPNRLTTSLNHAKGVISAQGSFNKTATSSGVRSKGQENKRDQEVKDKTLACGKQSFNGRCTFVLGQQMARSVDLPARNIPNWSGGIDHKFSIAFGRNLRKEIKEDLRSREPSGSGTKIIKEDLRSREPSGSGTKIMSSHNENPRTGNIKAGHCLTKPDKKKLPIGNASVDVKKPKQPMPRWR >DRNTG_12128.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4472876:4478767:-1 gene:DRNTG_12128 transcript:DRNTG_12128.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVDQAYYGWSHDELLDSDRVDSQEVSVSQMLDHGSISFGRFAVESLAWAKRSAFTYNERQEELEKVKNPGLVAQKKAYFEEYYKKLRALKAMQDNQQTELTLEYGGDGSISSQTGEDEETMGQSEHYGNAAANLHDVLAKGTMIAPLEKDHLSRKASQTGHLDPESTLPCHDLFARNLKAAGQAMHSSNNIQWQHMDTDSYVGESLCGGTEVIVQHDIIEFEVEKKYQDSVSGIRSNGAAQGTVVSIVEPVKGIQNTAASPTNYKLGDIEDASADNHAPKKNKSIIRASANSISSSTVKELTVSARNCLKLKNRIKPEKDNALQRQKHPIHKTTGKVERNIGSCTSTSRRVSKNIKSTVAVTHRTLTEVRSNGTIPRPFSLATERRAATFENKLDERGLVTKLPNRLTTSLNHAKGVISAQGSFNKTATSSGVRSKGQENKRDQEVKDKTLACGKQSFNGRCTFVLGQQMARSVDLPARNIPNWSGGIDHKFSIAFGRNLRKEIKEDLRSREPSGSGTKIIKEDLRSREPSGSGTKIMSSHNENPRTGNIKAGHCLTKPDKKKLPIGNASVDVKKPKQPMPRWR >DRNTG_12128.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4472876:4478944:-1 gene:DRNTG_12128 transcript:DRNTG_12128.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVDQAYYGWSHDELLDSDRVDSQEVSVSQMLDHGSISFGRFAVESLAWAKRSAFTYNERQEELEKVKNPGLVAQKKAYFEEYYKKLRALKAMQDNQQTELTLEYGGDGSISSQTGEDEETMGQSEHYGNAAANLHDVLAKGTMIAPLEKDHLSRKASQTGHLDPESTLPCHDLFARNLKAAGQAMHSSNNIQWQHMDTDSYVGESLCGGTEVIVQHDIIEFEVEKKYQDSVSGIRSNGAAQGTVVSIVEPVKGIQNTAASPTNYKLGDIEDASADNHAPKKNKSIIRASANSISSSTVKELTVSARNCLKLKNRIKPEKDNALQRQKHPIHKTTGKVERNIGSCTSTSRRVSKNIKSTVAVTHRTLTEVRSNGTIPRPFSLATERRAATFENKLDERGLVTKLPNRLTTSLNHAKGVISAQGSFNKTATSSGVRSKGQENKRDQEVKDKTLACGKQSFNGRCTFVLGQQMARSVDLPARNIPNWSGGIDHKFSIAFGRNLRKEIKEDLRSREPSGSGTKIIKEDLRSREPSGSGTKIMSSHNENPRTGNIKAGHCLTKPDKKKLPIGNASVDVKKPKQPMPRWR >DRNTG_12128.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4472876:4478944:-1 gene:DRNTG_12128 transcript:DRNTG_12128.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVDQAYYGWSHDELLDSDRVDSQEVSVSQMLDHGSISFGRFAVESLAWAKRSAFTYNERQEELEKVKNPGLVAQKKAYFEEYYKKLRALKAMQDNQQTELTLEYGGDGSISSQTGEDEETMGQSEHYGNAAANLHDVLAKGTMIAPLEKDHLSRKASQTGHLDPESTLPCHDLFARNLKAAGQAMHSSNNIQWQHMDTDSYVGESLCGGTEVIVQHDIIEFEVEKKYQDSVSGIRSNGAAQGTVVSIVEPVKGIQNTAASPTNYKLGDIEDASADNHAPKKNKSIIRASANSISSSTVKELTVSARNCLKLKNRIKPEKDNALQRQKHPIHKTTGKVERNIGSCTSTSRRVSKNIKSTVAVTHRTLTEVRSNGTIPRPFSLATERRAATFENKLDERGLVTKLPNRLTTSLNHAKGVISAQGSFNKTATSSGVRSKGQENKRDQEVKDKTLACGKQSFNGRCTFVLGQQMARSVDLPARNIPNWSGGIDHKFSIAFGRNLRKEIKEDLRSREPSGSGTKIIKEDLRSREPSGSGTKIMSSHNENPRTGNIKAGHCLTKPDKKKLPIGNASVDVKKPKQPMPRWR >DRNTG_27057.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2689714:2693672:1 gene:DRNTG_27057 transcript:DRNTG_27057.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCNPVCTGGYHAELHTDAVVKQCEIVDVIEIIGSKKMTPYERPLYLKNGDVVLCRIRVNNSIRVENFSVDHHLGRFTIHSAG >DRNTG_27057.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2689714:2693870:1 gene:DRNTG_27057 transcript:DRNTG_27057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNPVCTGGYHAELHTDAVVKQCEIVDVIEIIGSKKMTPYERPLYLKNGDVVLCRIRVNNSIRVENFSVDHHLGRFTIHSAGKTTALGILVELPPVGRLAFPYPENYYHGTRP >DRNTG_27721.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:14192041:14199026:1 gene:DRNTG_27721 transcript:DRNTG_27721.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSHVFLEDNFIIKHKHPRKDFNGADFQQCLLKGTNRIWLKQLEESRVRHANRLHINARIKKGQKHEYPWLNDIDPNLESGHLRYLSHFKSLTEKPKPVTLSFEKPLVDLEKKIIDVRKMANETGLDFSGQISLLESKYQQALKDLYTHLTPIQRLNIARHPNRLTFLDHVLNITDKEQIRIQFSYYMLLHAVQWVELHGDRAGYDDPSIVTGIGSIDGSKVIHVYSYRKALRMMRYADHHGFPIITFIDTPGAFADLKSEELGQVCYTMLVFAWRGNSSQFEGNVWSKGSNCGDCYW >DRNTG_18855.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2491576:2492620:1 gene:DRNTG_18855 transcript:DRNTG_18855.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCTAESATIFCCADEAALCSACDRRVHTANKLAGKHRRLSLSSSLQSPPLCDVCQEKRGFIFCQEDRAILCKDCDEQIHCANELTKKHNRFLLSSALRLSSTSMPELTTTSSASTETSNITTKANNNDNNNDNNNNNNNSSSISEYLIKTLPGWRVEDLLEETMDDYFLMEETVEEELPIWQALQVPQAPQSEFSTHVFNLQQMKTVSFDNFQFMAKSGRPEKRSSDDVFRVPQIPTLNVEKHKRSRTLNANSSMP >DRNTG_18855.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2491576:2492748:1 gene:DRNTG_18855 transcript:DRNTG_18855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCTAESATIFCCADEAALCSACDRRVHTANKLAGKHRRLSLSSSLQSPPLCDVCQEKRGFIFCQEDRAILCKDCDEQIHCANELTKKHNRFLLSSALRLSSTSMPELTTTSSASTETSNITTKANNNDNNNDNNNNNNNSSSISEYLIKTLPGWRVEDLLEETMDDYFLMEETVEEELPIWQALQVPQAPQSEFSTHVFNLQQMKTVSFDNFQFMAKSGRPEKRSSDDVFRVPQIPTLNVEKHKRSRTLNANSSMPPSSTSSCCSSFWY >DRNTG_09407.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5787924:5790339:1 gene:DRNTG_09407 transcript:DRNTG_09407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMCLSSSYEGKEESDENIVCRVEEGGLCCNNGEEKEEEEEEGIKSLVSLYSQQGKKGPNQDCAILYQGYGMEGVFCGVFDGHGRNGHMVSKLVRDYLPSILINQRDALMLADNTGVLTDSDDDSSVDDEDYSSPSPAWFDEWKQACINGFKAMDKELTFQPNLDCSYSGTTAVTIIKKGNDLIIANLGDSRAVLGTISDDGFLKAVQLTTDLKPDLPEEAERIRKKNGRVFALRDEPRNYRVWLPNDNFPGLAMARAFGDLQLKNYGIIAVPQVSYHLLTSRDQFIVLATDGVWDVLTNDQVVAIVWSTSRKEEASKAVVDAAVRAWRRKYPASRADDCTAVCLFLQDLQI >DRNTG_31314.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3979706:3982124:1 gene:DRNTG_31314 transcript:DRNTG_31314.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCPTCGMLLQIEPPNNGRSMRFYCPTCPYVCPISGKIVKKERLVKREMEPIFSGDKAMEFAPKTQATCPRCHHGEAYFRQMQIRSADEPMTTFYTCCNNKCKHDWRED >DRNTG_35386.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1691212:1692465:-1 gene:DRNTG_35386 transcript:DRNTG_35386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAPSWADQWGSGGIGDSSEQYHENKEMNGKNNNSKMANMKAAASVGLGKAKVAASVGANKVKSGTSLGIKWVKNQCQKKSSK >DRNTG_08118.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11844358:11846072:1 gene:DRNTG_08118 transcript:DRNTG_08118.2 gene_biotype:protein_coding transcript_biotype:protein_coding TILFVLDTIVTPRSRLTRPGAQTNGRRPTRREPHRPARPQNLIQDR >DRNTG_08118.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11844358:11847133:1 gene:DRNTG_08118 transcript:DRNTG_08118.1 gene_biotype:protein_coding transcript_biotype:protein_coding TILFVLDTIVTPRSRLTRPGAQTNGRRPTRREPHRPARPQNLIQDR >DRNTG_01487.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13936606:13938164:-1 gene:DRNTG_01487 transcript:DRNTG_01487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMKTYLLNSKQTKLGKDEDNISH >DRNTG_18570.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14215648:14216144:1 gene:DRNTG_18570 transcript:DRNTG_18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGFRSISGRVLQQITAAVTVHSRPKIAETENPHGHVEIIHGRVEIPHGRVYRTRPWSRPIPALFKDDSAPILIFFSPSFPQLARGLRLGFRGILAKVLERFYGSDIVITLGRRLVGELRSMRILYRTKESLDDE >DRNTG_03167.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19563751:19565880:1 gene:DRNTG_03167 transcript:DRNTG_03167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKVLVYLSRQDGLKEADKITRLPGQPNDVKFDQYAGYVTVDPQVGRALFYYFVETPKKSFGKNKKPLVLWLNGGPGCSSIGNGAMSELGPFFVKSDSKTLYENPHAWNNIANMLFLESPTGVGFSYSNVTSDYKNNGDKRTARDSYTFLINWLERFPEYKTSDFFIIGESYAGHFIPQLANLILENNIKYKNFTTIKLKGIAIGNAYIETIENEQGLFDYLWLRSVIQNDTYYRIKSVCNITGQDYNGEDVCIKALEAADIEKGRISLYNIYAPICNSLQSDKNSLQQGNILKKGADPCSDHNVESYMNLPEVQTAFHANTTKLSHAWTQCSDLFKHDDWKDRPDSVLPEIKQAIDSKLRVCGDFDAIVSVTATQYSLQNLGLPIETTWGPWYHKEQVGGYFMVYKGLTYAIVNGAGHMVPADQPERALELFRVFLEGKQLNTSSPA >DRNTG_33144.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19388904:19389658:-1 gene:DRNTG_33144 transcript:DRNTG_33144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLLTGASTHEPFEFVPLHVQALESTVLVEGCDKTRSVFWVHAWTVSTDGVITQVREYFNTCLTVTQAKKHCLLPVWRSRLPDRAGKSLPGLVLAI >DRNTG_13226.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2767844:2768273:-1 gene:DRNTG_13226 transcript:DRNTG_13226.1 gene_biotype:protein_coding transcript_biotype:protein_coding RFFRFRRNLNTPIRDAIASTFILTTITVIVRTTEVNRRCPPLPDDGVSDDRANSTGNADDETHHNSISEALHDLSCSCRGGRCTGMTSSF >DRNTG_14643.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000731.1:22109:23183:1 gene:DRNTG_14643 transcript:DRNTG_14643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHGREEIMHARTPDQQGKMHAPVTALDIRENLPRPCSLHKVAHRCEFTTLCALGII >DRNTG_20819.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6710857:6722451:1 gene:DRNTG_20819 transcript:DRNTG_20819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLKSGKRIGNARLVNGRYYLSSNSSVIRSVGLSSISTNNQIMLLHYQLGHQSFVYMKHLFSSLFKNNSLSFQCDV >DRNTG_32387.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30294024:30297291:-1 gene:DRNTG_32387 transcript:DRNTG_32387.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLCQKVIKDDPLNWNTTAETMTGSHLDEVKRMVEEFRKPVVRLEGANLKVSQVAAVAAAAAAAAIDDDDDDDGVVVKVELSEEARARVKASSDWVMNNEGVITGFGASSHRRTNQATALQQELIRFLNAGIFSTGQEPNNMMPSAVTRAAMLVRINSLLQGYSGIRFEILEAITRLINTNITPCLPLRGSITASGDLIPLSYIVGLLIGRPNSRAIGPDGRTIDASKAFELAGISSGFFELQPKEGIALVNGTTVASGLASMVLYDANLLAILSEIISAMFCEVMLGNPEYTDHLIHKLKHSPGQIEAAAIMEHILEGSSYMKINQLLQNPKKDRYALRTSPQWVGPQIEVIRAATKSIEREINSVNDNPLIDVSRNKVLFGGNFQGTPIGVSMDNTRLALAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGLKGGEIAMASYCSELQALANPVTNHVESAEQHNQDVNSLGLISARKTAEAIEILKLMSSTFLVGLCQAIDLRHLEENFKKTIMSTVSQVAKKVGVANEEKDLIKVINKEHVFSYIDDPCNNSYPLMQKLRQVLVEHAIKNISTWENEKIVLFEEELKLVLPKEAESTRIAFENGNFAVNNRIKECRSYPLYRFVREELNTELLTGENTTTTTATTPGEDFSKVFNAISSGKIIDPLLECLKDWNGVPMPLC >DRNTG_32387.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30294024:30296158:-1 gene:DRNTG_32387 transcript:DRNTG_32387.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSAVTRAAMLVRINSLLQGYSGIRFEILEAITRLINTNITPCLPLRGSITASGDLIPLSYIVGLLIGRPNSRAIGPDGRTIDASKAFELAGISSGFFELQPKEGIALVNGTTVASGLASMVLYDANLLAILSEIISAMFCEVMLGNPEYTDHLIHKLKHSPGQIEAAAIMEHILEGSSYMKINQLLQNPKKDRYALRTSPQWVGPQIEVIRAATKSIEREINSVNDNPLIDVSRNKVLFGGNFQGTPIGVSMDNTRLALAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGLKGGEIAMASYCSELQALANPVTNHVESAEQHNQDVNSLGLISARKTAEAIEILKLMSSTFLVGLCQAIDLRHLEENFKKTIMSTVSQVAKKVGVANEEKDLIKVINKEHVFSYIDDPCNNSYPLMQKLRQVLVEHAIKNISTWENEKIVLFEEELKLVLPKEAESTRIAFENGNFAVNNRIKECRSYPLYRFVREELNTELLTGENTTTTTATTPGEDFSKVFNAISSGKIIDPLLECLKDWNGVPMPLC >DRNTG_32387.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30293501:30297291:-1 gene:DRNTG_32387 transcript:DRNTG_32387.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLCQKVIKDDPLNWNTTAETMTGSHLDEVKRMVEEFRKPVVRLEGANLKVSQVAAVAAAAAAAAIDDDDDDDGVVVKVELSEEARARVKASSDWVMNNEGVITGFGASSHRRTNQATALQQELIRFLNAGIFSTGQEPNNMMPSAVTRAAMLVRINSLLQGYSGIRFEILEAITRLINTNITPCLPLRGSITASGDLIPLSYIVGLLIGRPNSRAIGPDGRTIDASKAFELAGISSGFFELQPKEGIALVNGTTVASGLASMVLYDANLLAILSEIISAMFCEVMLGNPEYTDHLIHKLKHSPGQIEAAAIMEHILEGSSYMKINQLLQNPKKDRYALRTSPQWVGPQIEVIRAATKSIEREINSVNDNPLIDVSRNKVLFGGNFQGTPIGVSMDNTRLALAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGLKGGEIAMASYCSELQALANPVTNHVESAEQHNQDVNSLGLISARKTAEAIEILKLMSSTFLVGLCQAIDLRHLEENFKKTIMSTVSQVAKKVGVANEEKDLIKVINKEHVFSYIDDPCNNSYPLMQKLRQVLVEHAIKNISTWENEKIVLFEEELKLVLPKEAESTRIAFENGNFAVNNRIKECRSYPLYRFVREELNTELLTGENTTTTTATTPGEDFSKVFNAISSGKIIDPLLECLKDWNGVPMPLC >DRNTG_24989.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28154679:28155005:1 gene:DRNTG_24989 transcript:DRNTG_24989.1 gene_biotype:protein_coding transcript_biotype:protein_coding FGERRAGGSAFLLLDALLQLAEEELRPPGKGGGLGGEGGRRASPTTGSTDPAGDRGGGRPPAPPLPPPLHLITQIW >DRNTG_32133.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001787.1:88480:89982:-1 gene:DRNTG_32133 transcript:DRNTG_32133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPHAHMEIPQACGKNPQGRADSRCQPSICLSSLRSQ >DRNTG_07313.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3336332:3337229:-1 gene:DRNTG_07313 transcript:DRNTG_07313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEEETRRGWDETERQREEDAAGGGAKEEVVGQEEGFVGVVV >DRNTG_27596.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:2215686:2225175:1 gene:DRNTG_27596 transcript:DRNTG_27596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEGLRRLPSLSPSIRSPRHPLHHRSRLHYACSPHHEPMIILRGLMALCGCFGPRLPRFARYRLRSM >DRNTG_10868.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19895543:19900574:1 gene:DRNTG_10868 transcript:DRNTG_10868.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIYWDVLVKQQMRVVLPYMIGSPRPFISLKNAINCLFGKHELSLSRLRAQGYDGALNMRGEFYGLKALILRENLYASYVHCFSYQLQLVIIIIAKDNRIVRDFCFNILL >DRNTG_10868.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19895543:19900574:1 gene:DRNTG_10868 transcript:DRNTG_10868.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYWDVLVKQQMRVVLPYMIGSPRPFISLKNAINCLFGKHELSLSRLRAQGYDGALNMRGEFYGLKALILRENLYASYVHCFSYQLQLVIIIIAKDNRIVRDFCFNILL >DRNTG_10868.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19899176:19900574:1 gene:DRNTG_10868 transcript:DRNTG_10868.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIYWDVLVKQQMRVVLPYMIGSPRPFISLKNAINCLFGKHELSLSRLRAQGYDGALNMRGEFYGLKALILRENLYASYVHCFSYQLQLVIIIIAKDNRIVRDFCFNILL >DRNTG_10868.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19895543:19900574:1 gene:DRNTG_10868 transcript:DRNTG_10868.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIYWDVLVKQQMRVVLPYMIGSPRPFISLKNAINCLFGKHELSLSRLRAQGYDGALNMRGEFYGLKALILRENLYASYVHCFSYQLQLVIIIIAKDNRIVRDFCFNILL >DRNTG_10868.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19895543:19900574:1 gene:DRNTG_10868 transcript:DRNTG_10868.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIYWDVLVKQQMRVVLPYMIGSPRPFISLKNAINCLFGKHELSLSRLRAQGYDGALNMRGEFYGLKALILRENLYASYVHCFSYQLQLVIIIIAKDNRIVRDFCFNILL >DRNTG_10868.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19895214:19900574:1 gene:DRNTG_10868 transcript:DRNTG_10868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYWDVLVKQQMRVVLPYMIGSPRPFISLKNAINCLFGKHELSLSRLRAQGYDGALNMRGEFYGLKALILRENLYASYVHCFSYQLQLVIIIIAKDNRIVRDFCFNILL >DRNTG_28201.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19715256:19717656:-1 gene:DRNTG_28201 transcript:DRNTG_28201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPILTPLLLLLSIILLIPTTRSTTTRSTTTTTTIVFTTLGRSRYNFDIFSTPLSSPPSSFQLTDGISVNFNGFFLPDSSLLFVSERNSSFNLYLLPPPSSSPHHRSTLQLLLSSPLLPSNPSFIDRPILFNDNLIYVSTHIPSSIPRQSWSSIYSTHLPTSTTLRLTPPNIADFSPSLSPSGLWTAVSSSGSHPWSGQVQDLFTDIYIFKTLDGSSRTLLIKHGAWPSWSSDGSTLFFHRSSSDGWWSIYCATLLFPSSSSPPFVSSITRVTPPGFHAFTPATSLSDPSTLAIATRRSTSHYRHIELIHLHNGVAVSYHEITRLISPNSHHFNPFISPDGSQLGYHRCRGRGDNGDPSLLLENINSPDPQSFSLFRIDGSFPSFSPSGDRIAYVGLPGLFVVNSDGSSPPLKIFDGNAFATAWDWKRPGVIYTSYGQDFATESTRVDIISVTLNEDDENGAPVIKKLTENGENNAFPSPSPDGESLVFRSGRSGHKNLYIMDSKLGENARLQRLTEGPWTDTMCNWSPDGDWIAFSSDRDNPGNGSFAIYMIHPNGTGLRKVVQSGNGGRANHPWFSPDSKRLAFTSDFAGVSAEPVANPHHYQPYGDIFTAAIDGSDIRRLTHNSYEDGTPTWAPISLEPANVPENLGAGDKCSFDDCHWLNVDNQVLPSAVC >DRNTG_28201.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19715256:19717487:-1 gene:DRNTG_28201 transcript:DRNTG_28201.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPILTPLLLLLSIILLIPTTRSTTTRSTTTTTTIVFTTLGRSRYNFDIFSTPLSSPPSSFQLTDGISVNFNGFFLPDSSLLFVSERNSSFNLYLLPPPSSSPHHRSTLQLLLSSPLLPSNPSFIDRPILFNDNLIYVSTHIPSSIPRQSWSSIYSTHLPTSTTLRLTPPNIADFSPSLSPSGLWTAVSSSGSHPWSGQVQDLFTDIYIFKTLDGSSRTLLIKHGAWPSWSSDGSTLFFHRSSSDGWWSIYCATLLFPSSSSPPFVSSITRVTPPGFHAFTPATSLSDPSTLAIATRRSTSHYRHIELIHLHNGVAVSYHEITRLISPNSHHFNPFISPDGSQLGYHRCRGRGDNGDPSLLLENINSPDPQSFSLFRIDGSFPSFSPSGDRIAYVGLPGLFVVNSDGSSPPLKIFDGNAFATAWDWKRPGVIYTSYGQDFATESTRVDIISVTLNEDDENGAPVIKKLTENGENNAFPSPSPDGESLVFRSGRSGHKNLYIMDSKLGENARLQRLTEGPWTDTMCNWSPDGDWIAFSSDRDNPGNGSFAIYMIHPNGTGLRKVVQSGNGGRANHPWFSPDSKRLAFTSDFAGVSAEPVANPHHYQPYGDIFTAAIDGSDIRRLTHNSYEDGTPTWAPISLEPANVPENLGAGDKCSFDDCHWLNVDNQVLPSAVC >DRNTG_28201.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19715320:19717530:-1 gene:DRNTG_28201 transcript:DRNTG_28201.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPILTPLLLLLSIILLIPTTRSTTTRSTTTTTTIVFTTLGRSRYNFDIFSTPLSSPPSSFQLTDGISVNFNGFFLPDSSLLFVSERNSSFNLYLLPPPSSSPHHRSTLQLLLSSPLLPSNPSFIDRPILFNDNLIYVSTHIPSSIPRQSWSSIYSTHLPTSTTLRLTPPNIADFSPSLSPSGLWTAVSSSGSHPWSGQVQDLFTDIYIFKTLDGSSRTLLIKHGAWPSWSSDGSTLFFHRSSSDGWWSIYCATLLFPSSSSPPFVSSITRVTPPGFHAFTPATSLSDPSTLAIATRRSTSHYRHIELIHLHNGVAVSYHEITRLISPNSHHFNPFISPDGSQLGYHRCRGRGDNGDPSLLLENINSPDPQSFSLFRIDGSFPSFSPSGDRIAYVGLPGLFVVNSDGSSPPLKIFDGNAFATAWDWKRPGVIYTSYGQDFATESTRVDIISVTLNEDDENGAPVIKKLTENGENNAFPSPSPDGESLVFRSGRSGHKNLYIMDSKLGENARLQRLTEGPWTDTMCNWSPDGDWIAFSSDRDNPGNGSFAIYMIHPNGTGLRKVVQSGNGGRANHPWFSPDSKRLAFTSDFAGVSAEPVANPHHYQPYGDIFTAAIDGSDIRRLTHNSYEDGTPTWAPISLEPANVPENLGAGDKCSFDDCHWLNVDNQVLPSAVC >DRNTG_28201.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19715256:19717530:-1 gene:DRNTG_28201 transcript:DRNTG_28201.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPILTPLLLLLSIILLIPTTRSTTTRSTTTTTTIVFTTLGRSRYNFDIFSTPLSSPPSSFQLTDGISVNFNGFFLPDSSLLFVSERNSSFNLYLLPPPSSSPHHRSTLQLLLSSPLLPSNPSFIDRPILFNDNLIYVSTHIPSSIPRQSWSSIYSTHLPTSTTLRLTPPNIADFSPSLSPSGLWTAVSSSGSHPWSGQVQDLFTDIYIFKTLDGSSRTLLIKHGAWPSWSSDGSTLFFHRSSSDGWWSIYCATLLFPSSSSPPFVSSITRVTPPGFHAFTPATSLSDPSTLAIATRRSTSHYRHIELIHLHNGVAVSYHEITRLISPNSHHFNPFISPDGSQLGYHRCRGRGDNGDPSLLLENINSPDPQSFSLFRIDGSFPSFSPSGDRIAYVGLPGLFVVNSDGSSPPLKIFDGNAFATAWDWKRPGVIYTSYGQDFATESTRVDIISVTLNEDDENGAPVIKKLTENGENNAFPSPSPDGESLVFRSGRSGHKNLYIMDSKLGENARLQRLTEGPWTDTMCNWSPDGDWIAFSSDRDNPGNGSFAIYMIHPNGTGLRKVVQSGNGGRANHPWFSPDSKRLAFTSDFAGVSAEPVANPHHYQPYGDIFTAAIDGSDIRRLTHNSYEDGTPTWAPISLEPANVPENLGAGDKCSFDDCHWLNVDNQVLPSAVC >DRNTG_02861.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:13155668:13164069:-1 gene:DRNTG_02861 transcript:DRNTG_02861.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLFSSLFAQTISSCSPWLPYAPYQKRKNY >DRNTG_13426.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10352535:10356459:-1 gene:DRNTG_13426 transcript:DRNTG_13426.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAASSKRALLAEDAPWRATPGGSKPVPRIHQNPVLRLRQNPSSSYALAVMKHPDPIGGGFATEAKLEAAGPECVVPGQATPVKLLGLKVWPIDINLKFMEPVGRELQSIGKFMDSAVNLMNASFQDR >DRNTG_04488.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8953386:8956021:-1 gene:DRNTG_04488 transcript:DRNTG_04488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIWTEELISQSALSCRIVFLWRDPMDTFVPMCHFLDRWIGSSAEHSNTTQGLDLSKAFQMFSQGTSAFGPFWDHVLGYWKESLRSPEMVFFFRYEEMMEDPVSHLRRLAQFMGCPFSMEEERDGVVEDIVKLCSFDNLREVEVNKDNKGSFEEKKPPACIFFFQEGKSGGLG >DRNTG_12495.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2413340:2414714:-1 gene:DRNTG_12495 transcript:DRNTG_12495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPVAALTVLGLAGGVIPQVLDKGINPLLDKLKSSTEGERDIIVDLKKQASVAIHELDSINALVKELEVYVNSVDGYARFPLEGEHDDEEEKVVKMTIAMYDIKTKAERLKTGVEDLKKEVNLRRENLRTAVTTILMAVKNNKYECVSCV >DRNTG_05190.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22620828:22629603:1 gene:DRNTG_05190 transcript:DRNTG_05190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 3 [Source:Projected from Arabidopsis thaliana (AT3G04260) UniProtKB/TrEMBL;Acc:F4J3M2] MLEALEAMAEDKQPIPPRAMILSRKYRSLVSSWIEPMQEEADIGKEIDYIARYIEEGGLTGDRKRWVPRQGKTPLDPDAAGFAYSNPIENSFKQRCLEEVKIYHRKLLQTLRNEGPSILGDVSEDDVIRVVERLKKIIKGPNNNVLKPKAASKMVVSELKEELEAQGLPIDGTRQVLYQRVQKARRINRSRGRPLWVPPVEEEEEEVDEELDELISRIKLEDGNTEFWKSRFLGEGLNNVPNNQTEVENSDSSDMLDDDGDEGEDASKETEDDEVDEEEEVEQQEEEVEQTENQAVDSIKDKEAQRLKPLQMIGVQLLKDFDPTATSSSKSRRRTPRVSPEEDEDDDWFPLDPYEAFKEMRERRIFDVSDMYTIADAWGWTWEKELKKRVPRKWSQEWEAELAIKVMHKVIELDGKPTIEDCAMVLRAAIRAPLPSAFLVILRTTHGLGYIFGSRLYDEVITLCLDLGELDAAVAIVADMETSGITVPDETLDKVLSAKQNGDSNPEDSS >DRNTG_05190.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22620828:22629603:1 gene:DRNTG_05190 transcript:DRNTG_05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 3 [Source:Projected from Arabidopsis thaliana (AT3G04260) UniProtKB/TrEMBL;Acc:F4J3M2] MALLLFSTPKFPSFTPPSSPRPLQRVLTVRAVSTSGRKSRKKKQSRDEESPSSFSPYTSAAVSAMEKGLRFTFMEELMLRARNRDSAGVSSVIYDMIAAGLTPGPRSFHGLIVSHALDGDEEGAMHSLRRELSAGLRPLHETFVALVRLFGSKGLAVRGMEILAAMEKLKFDIRKAWLVLVEELVRHRYLAEANSVFLKGAQGGLKATDEIYDLLIEEDCKAGDHSNALTIAYEMESAGRMATTFHFNCLLSVQATCGIPEIAFTTFENMEYGGEDFMKPDTDTYNWVIQAYTRAESYDRVQDVAELLGMMVEDHKRLQPNVKTYAVLVECFTKYCVVNEAIRHFRALKNFPGGTTVLHNEGNFGDPLSLYLRALCREGRIVEMLEALEAMAEDKQPIPPRAMILSRKYRSLVSSWIEPMQEEADIGKEIDYIARYIEEGGLTGDRKRWVPRQGKTPLDPDAAGFAYSNPIENSFKQRCLEEVKIYHRKLLQTLRNEGPSILGDVSEDDVIRVVERLKKIIKGPNNNVLKPKAASKMVVSELKEELEAQGLPIDGTRQVLYQRVQKARRINRSRGRPLWVPPVEEEEEEVDEELDELISRIKLEDGNTEFWKSRFLGEGLNNVPNNQTEVENSDSSDMLDDDGDEGEDASKETEDDEVDEEEEVEQQEEEVEQTENQAVDSIKDKEAQRLKPLQMIGVQLLKDFDPTATSSSKSRRRTPRVSPEEDEDDDWFPLDPYEAFKEMRERRIFDVSDMYTIADAWGWTWEKELKKRVPRKWSQEWEAELAIKVMHKVIELDGKPTIEDCAMVLRAAIRAPLPSAFLVILRTTHGLGYIFGSRLYDEVITLCLDLGELDAAVAIVADMETSGITVPDETLDKVLSAKQNGDSNPEDSS >DRNTG_33217.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:433909:437275:1 gene:DRNTG_33217 transcript:DRNTG_33217.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDKNVVSWNAMIDGCVKNEFQEEALELFRLMLVSPVKRNETTLTSVLVACERIAEIMQIHALALRLGFESDTSLTNALVSMYSRSGYLASAYLVFKELVIKDVVSWTSMILAYSNHGCGEYALQVFAQMLRQGEKPDGITFVGVLSACSHIGLVGKGRRIFNSMSLAYKVEPKAEHYSCLVDLLGRFGYIEEAKSVVSTMPPSECDEAVLGALLGACRVHNKIEIASGIGEQLIQLEQSGSGSYVLLANVYASCGLWTDVGRVRKLMKEKNVKKVPGYSVIEVNMRSHLFYAGDQFHPQAKELYEMLQLVLLPQMKDMHAHSLLTESNGTKTH >DRNTG_33217.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:433212:437275:1 gene:DRNTG_33217 transcript:DRNTG_33217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERTVVVESAMIDGYAKVGRIDDARVLFDQMPVRNVYSWTSLVSGYCRVGRVDDARKLFEQMPDKNVVSWTTMFLGYARNGLISDARCVFDQMPEKNVVSWTAMVKVYVDNGRLAEARELFDEMPYRNIYSWNVMILGYLDGNRASEAIELFWLMPQKNMVSWTTMVTGLARNGLIERARGFFDQMLEKDAAAWNAMITAYVDNGFVAEAREVFDRMPDKNVVSWNAMIDGCVKNEFQEEALELFRLMLVSPVKRNETTLTSVLVACERIAEIMQIHALALRLGFESDTSLTNALVSMYSRSGYLASAYLVFKELVIKDVVSWTSMILAYSNHGCGEYALQVFAQMLRQGEKPDGITFVGVLSACSHIGLVGKGRRIFNSMSLAYKVEPKAEHYSCLVDLLGRFGYIEEAKSVVSTMPPSECDEAVLGALLGACRVHNKIEIASGIGEQLIQLEQSGSGSYVLLANVYASCGLWTDVGRVRKLMKEKNVKKVPGYSVIEVNMRSHLFYAGDQFHPQAKELYEMLQLVLLPQMKDMHAHSLLTESNGTKTH >DRNTG_20554.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3476352:3480480:1 gene:DRNTG_20554 transcript:DRNTG_20554.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRIWFMVMGLMFLFVVSESRELKNNMQEDHNHTYNHTLAQILVEYASAVYVADLTELFTWTCSRCNDMTKGFEMVELIVDVQNCLQAFVGVAHDLNAIVISFRGTQGNSVQNWIEDLFWKQLDLNYPQMPDAMVHHGFYSAYHNTSLGPGILNAVQRTRDVFGDIQVMVTGHSMGGAMASFCALDLTLNHGIHNVQLITFGQPRIGNAIFSSYFNKHVPHAIRVTNGHDIVPHLPPYYYYFPSKTYHHFAREVWLNNIGFGRQIYTIEKICDDSGEDPTCSRSVSGNSVSDHLTYYGIMLKADTWGSCKIIMSKTMDEYQTDPAGNIILSRIPIFDQILKKRVQDDGGINSR >DRNTG_20554.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3476352:3480480:1 gene:DRNTG_20554 transcript:DRNTG_20554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRIWFMVMGLMFLFVVSESRELKNNMQEDHNHTYNHTLAQILVEYASAVYVADLTELFTWTCSRCNDMTKGFEMVELIVDVQNCLQAFVGVAHDLNAIVISFRGTQGNSVQNWIEDLFWKQLDLNYPQMPDAMVHHGFYSAYHNTSLGPGILNAVQRTRDVFGDIQVMVTGHSMGGAMASFCALDLTLNHGIHNVQLITFGQPRIGNAIFSSYFNKHVPHAIRVTNGHDIVPHLPPYYYYFPSKTYHHFAREVWLNNIGFGRQIYTIEKICDDSGEDPTCSRSVSGNSVSDHLTYYGIMLKADTWGSCKIIMSKTMDEYQTDPAGNIILSRIPIFDQILKKRVQDDGGINSR >DRNTG_05268.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19690509:19693311:-1 gene:DRNTG_05268 transcript:DRNTG_05268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSSVLCVGGEGRKVGNAAAGDGRASAFGRSSRQRSRFG >DRNTG_29808.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23305416:23308290:-1 gene:DRNTG_29808 transcript:DRNTG_29808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACMKKYLKHNWYTLADGEWCVASENATDGPLQEALDWVCSPKDGDTNCHAIQETGPCYLPNTVRDHASYAFNSYWQLHRGEDGSCNFNGLANETSTDPSQGREELNRMGCSTIIGVMVMALLVLQLHSTTLADGKWCVASANATDGPLQEALDWACSPNGGAANCSDIQETGTCYIPNTVKDHASYAFNSYWQLHRDEDDSCNFNGLAIETSTDPST >DRNTG_08521.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28787951:28789126:1 gene:DRNTG_08521 transcript:DRNTG_08521.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALIVGIFFGVETLSGVLAGSLVSGVQVRSFSILISSLPFMTSDLFIHCADCYLCFKHWWCMGQRK >DRNTG_24909.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:479251:480886:-1 gene:DRNTG_24909 transcript:DRNTG_24909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNNMPSRIIGKESPNLIRSLPVDSVQVLASSLTTSDHIPTRYIRPEAESEPVIIPGDAEEDVPVIDFHKLLDHELSKAESSKLHLACQNWGFFQLINHGVSEEVIQKMMFVIEEFFKLPSDEKMLVKQRPGQVDGYGQLFVISEEQKLDWADILVYRTWPLHLRKIGLWPTNPSSFRDALDEYSMEVKRLANCLLGLMATNLGVDPPEITANLENGSQSVRINCYPPCPEDNKVLGLSPHSDASILTLVLQVNDVPGLQIKRNDKWLPVKPLPGAFVANVGDALEIISNGKYKSIEHRAVTNTQKERFSIAVFHGPERNGTVGPHPELVLQGEPLYKSMDFESYMKLYLTSKLDGKSFLGRLKLNK >DRNTG_16816.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1527533:1543450:-1 gene:DRNTG_16816 transcript:DRNTG_16816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVIFLLLHLVDILEELQIVTKKSFWELWKLSTELQKIPALERAIYQFSLKKGLFSLAASQVDAVIMDAIEWWSTYGSQTPENRLNCTRADKLVFIYSNIRLQSIFNETYVNGPFRKWDIDPDNAFLENSSVRLQDMRWTGLDSEFDDENHEILPGENEEMQEDHAHSSKVSEEGCIKEFARHDVPGTYFSGRSPFPALDVFIESIASIGNILGKIRSWYWFSAYNLMIYSMSRSRYCERIGREHKSNHVMYIVDFRVAGYYQKCYDPDCRGYRSPLRPLPSDITLNCMGVLDSIHPENYEMMDVNFDSQVAEVNMEQSSKDNSVSTTESTKKDTGWWQKAMQYADCIENWESLPEFDKLDDDDNEDLGWWVNVEEIVSQVEETVVDTENLPKIRAITEVAEICPGAQDMSLSIILRLIDNVICEAEDVLDELEEVVKQLEQVSYFADDCISRLNDIGEDRFDAYARVVKTSHLYEEVFGRDKEKKHVTKLLTTHPMPTSNRRPISDADEDEQNYEILPIIGVEGVGKTVFAKSVITTSMIQLPSKQARPDTKNLDELQKLLSELIREKKFLLVLDDVWYDEKSTELANKVRWMRVLAPLRHDHPGSRILVTSTMKLVAKMFTCTTDPVVLGGLEEDA >DRNTG_29483.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2647478:2649317:1 gene:DRNTG_29483 transcript:DRNTG_29483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARILSFNPFLLEEKEKEKKIRKMAFGLPLLCSQVPSLSSTFSSASFKPNKLSKFQMALSLPSKPKKIERKLHKGGDNRTKYYMTKEKLEIFKCLEGWAEENMLTFLKRVEDSWQPQDFLPDASSESFKDEVQDLKSRAEAVPDECYVCLVGNMITEEALPTYQSILNRFTGVEDETGASMTSWARWTRSWTAEENRHGDLLNKYLYLTGRLNMKQIEKTIHYLISSGMDIQAENSPYMGFIYTSFQERATFISHGNTARLAKCSWRCNTC >DRNTG_33557.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28495096:28495766:1 gene:DRNTG_33557 transcript:DRNTG_33557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKTLSISHSIAGGRYVEGRRSRDVDYYANVPMDTPSPSIPALNDPIQSLSTPEIEIKGRYFAQVGPPCNQPYILQHQSSGSERRQR >DRNTG_03705.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:12956206:12957049:1 gene:DRNTG_03705 transcript:DRNTG_03705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDSIHGAKKTSVPAPLSLETMRLMGMVRRVRTGVFALVLPAPEIAGDEGDEAGASQPAPEPQPSTMETEAPPVAEEPPLVCMFSPSRANDHFERLENAIGVVRAEVAQIRTTQATQYTEFMAHFDVFQQILERDVASSFVLRPRTPQAPSVPPATPSSTQEPVGTPCASLAAAAAQDPESSTDT >DRNTG_27542.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1660835:1663760:1 gene:DRNTG_27542 transcript:DRNTG_27542.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g47590 [Source:Projected from Arabidopsis thaliana (AT2G47590) UniProtKB/TrEMBL;Acc:Q058P5] MASSSSSSMDLQTLEQEEQQQQQPQTLQSPPIASLSLSLSPLLLLPSSKPSLSPFSSLKLPSQLSSVSLHLSSFSLSSKPFSSSSSSSSSISTLRSPLPLLPRRSSDPSHPVAGRRCSIVWFRCDLRIHDNDALTSASNDSLSILPIYLFDPRDFGKSSSGFDRTGPYRAAFLRDSVADLRRSLQSRGSDLVVRVGRPETILPELARAVGADAVYAHREVSNDEVRTEEKVEKVMQDEGVEMKLFWGSTLYHIDDLPFELDQMPLNYGGFTERVRGLSVRKTIEALDQIKGLPSRGDVDPGEIPSLTELGLNPPPVIAQDGKPVNATLVGGEI >DRNTG_32052.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3854879:3865541:-1 gene:DRNTG_32052 transcript:DRNTG_32052.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAC >DRNTG_32052.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3854879:3861824:-1 gene:DRNTG_32052 transcript:DRNTG_32052.10 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAC >DRNTG_32052.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3854879:3861824:-1 gene:DRNTG_32052 transcript:DRNTG_32052.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAC >DRNTG_32052.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3854879:3861824:-1 gene:DRNTG_32052 transcript:DRNTG_32052.11 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLTRRHADLC >DRNTG_32052.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3854879:3865541:-1 gene:DRNTG_32052 transcript:DRNTG_32052.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAC >DRNTG_32052.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3854879:3865541:-1 gene:DRNTG_32052 transcript:DRNTG_32052.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLTRRHADLC >DRNTG_32052.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3854879:3861824:-1 gene:DRNTG_32052 transcript:DRNTG_32052.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLTRRHADLC >DRNTG_32052.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3854879:3861824:-1 gene:DRNTG_32052 transcript:DRNTG_32052.9 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAC >DRNTG_32052.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3854879:3861824:-1 gene:DRNTG_32052 transcript:DRNTG_32052.12 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVENSDTIDNVKAKIQDKEGIPLDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAC >DRNTG_32052.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3859768:3865541:-1 gene:DRNTG_32052 transcript:DRNTG_32052.13 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAC >DRNTG_32052.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3854879:3861824:-1 gene:DRNTG_32052 transcript:DRNTG_32052.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAC >DRNTG_32052.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3854879:3861824:-1 gene:DRNTG_32052 transcript:DRNTG_32052.8 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLTRRHADLC >DRNTG_32052.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3854879:3865541:-1 gene:DRNTG_32052 transcript:DRNTG_32052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVENSDTIDNVKAKIQDKEGIPLDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAC >DRNTG_24613.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31075192:31075553:1 gene:DRNTG_24613 transcript:DRNTG_24613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPWKPCEEEEDEEDVGSQILPVYY >DRNTG_32773.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18955256:18967132:-1 gene:DRNTG_32773 transcript:DRNTG_32773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKMGELGGLLPHFTLMSVCSDGYSVELPKQCPLMEEVNFATWRGNVHFTHQYERSSIGIFFIGCFNNSFQDHCGHEPSEKQLRYETVSSLKNAFLTPEFKVSSLSLFGDLLLHLEDFDEDEDRAYPHLLGRKGVSWRHKRSDQELNRSPLENRVLRLCTPTGSRSCHGGSDGLHGYAPSLEYAVNDLAVLDPNIESCIRGVLLTSTAIKVQPSHPLAVVGASV >DRNTG_25000.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27206118:27206745:-1 gene:DRNTG_25000 transcript:DRNTG_25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVSHAYLNFTGEPRAIGRPPATTQLSSSTARIARGFTGSTLLSP >DRNTG_22925.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9821672:9823639:1 gene:DRNTG_22925 transcript:DRNTG_22925.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTAVALRAVASALSLIAFSLMASDKTQGWAGDSFDRYVEFKYLVSVNVIVFVYSGIQLCLHLHLVIKKKSIIHPPINLYFDLALDQALAYLLMSASSSAATRNNDWVTSFGNDPFTKRASSSIAMSFLAFFPLAFSSLISAHYLFTWYP >DRNTG_21917.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1686754:1689119:1 gene:DRNTG_21917 transcript:DRNTG_21917.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranylgeranyl diphosphate reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74470) UniProtKB/Swiss-Prot;Acc:Q9CA67] MASISSGAGAATLRRDFLGSALESRPKHAIPLGRSIIRASKSSPKLPNRRLRVAVIGGGPAGGAAAETLAKGGIETVLIERKLDNCKPCGGAIPLCMVGEFGLPLDIIDRRVTKMKMISPSNVAVDIGRTLKPNEYIGMVRREVLDAYLRDRATASGAEVINGLFLQLDTPQRPNEQYKIHYTHYDGSTLGGQRKTMEVDAVIGADGANSRVAKSIGAGDYEYAIAFQERVKIPDDKMEYYQERAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKSQIKQFQAATRLRAKDKIEGGKIIRVEAHPIPEHPRPRRVLDRVALVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVEGSENGARLIDESDLRKYLAKFDKMYWPTYKVLDILQKVFYRSNPAREAFVEMCADEYVQKMTFDSYLYKTVVPGSPLDDLKLAINTIGSLVRANALKREMAKLTL >DRNTG_04453.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23994132:23999571:1 gene:DRNTG_04453 transcript:DRNTG_04453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKNFTPTPSPKLLSFLVMMCARFPQGSSPEDGRKTLRMRGGGGPRGQEELPFHLPTNALL >DRNTG_30530.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21372007:21374421:-1 gene:DRNTG_30530 transcript:DRNTG_30530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVLASATELLASHCIEIAEQAGAEHDLVASAVRSAVDVRTPGDLMTLTAAAATGGKFRMLKCCYSEC >DRNTG_30530.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21372007:21374421:-1 gene:DRNTG_30530 transcript:DRNTG_30530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVLASATELLASHCIEIAEQAGAEHDLVASAVRSAVDVRTPGDLMTLTAAAATALRGAATLKMRVQREARSNAAVIPYEKSQLSSPDIWCKEGDLLKRTGNGTLRWKRASVYINKKSQVIVKLKSKHLGGALSKKKKTVVYAVYDEVPARLAPVKRTAEDGCSFALKTAQGLLIFECENQICKQKWVEGVQNLLQQVYEISRGTEQVEKSFEFLNLGERDLMYG >DRNTG_35492.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23519862:23524935:-1 gene:DRNTG_35492 transcript:DRNTG_35492.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFVQDGAVGSFSKCDAKVRVISDSSSAALSVANILWTTSSRAISHDSCPVTVYIASSIRSSVGDTLGLEASHGCAAVDIESSSLILCGRTFSDTNAVKKALGALTAPIISARGGIPLCGRLLVAGDSVILLFGLDDILERCSDLCEALLSSDAGVVWSSHGIAPFFQSGALARPSLLKKPACIIFATSDSTGALPLLSKLSPGQAAFHFLAGYQDGKFLPVYDKGPSPIDPLVLAKVLYSQLKDNGIPSFLINISHGGKHIPCGELIKLVKSTLSSGLSESKPGATSGSKVRDLKGKYKSFLSGKFQELPKEFSF >DRNTG_35492.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23519862:23520694:-1 gene:DRNTG_35492 transcript:DRNTG_35492.3 gene_biotype:protein_coding transcript_biotype:protein_coding STGALPLLSKLSPGQAAFHFLAGYQDGKFLPVYDKGPSPIDPLVLAKVLYSQLKDNGIPSFLINISHGGKHIPCGELIKLVKSTLSSGLSESKPGATSGSKVRDLKGKYKSFLSGKFQELPKEFSF >DRNTG_35492.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23519862:23524935:-1 gene:DRNTG_35492 transcript:DRNTG_35492.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTALKNQLSRAAAISTASSSFNLSGDRSILFSRFYGGSEPLAEKVEAVATPRDASGVSYGMGRALAVRGVVVKDKVYHNLKFTELQNLGASTVEHLCGLPFHVRGNAVGGAPEISKAQFKKILNHVTSHLSSMSSLFVQDGAVGSFSKCDAKVRVISDSSSAALSVANILWTTSSRAISHDSCPVTVYIASSIRSSVGDTLGLEASHGCAAVDIESSSLILCGRTFSDTNAVKKALGALTAPIISARGGIPLCGR >DRNTG_02956.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22599299:22604824:-1 gene:DRNTG_02956 transcript:DRNTG_02956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERCGTKRRSTASGRSGMDLQTNCKTDDLITEAQANNGVFIWDLINLLYFRVKEHISQPFLHNWDRITIEVRFNYNLRCKTFFCAHNYKGLIHENKEELKSKLKELLRKKSDLFNSEKPGQFKVGQDSRSVA >DRNTG_05124.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30564831:30566508:-1 gene:DRNTG_05124 transcript:DRNTG_05124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKMKMVSLIILVISFFSLSVSTYANFNNGVDITWGGGRGKIEEGGELLTLSLDKTSGSGFQSKYEYLFGRIDMKIKLVPGNSAGTVTAYYLSSLGATHDEIDFEFLGNVSGEPYTLHTNVFSQGKGNREQQFYLWFDPRLDFHTYTVVWNPQEILFYVDGTPIRVFKNNEVHGIPYPKAQPMKLYSSLWAAEDWATRGGLVKTDWSKAPFVAAYRGFSADACIASAGHSTCSSSPPKSSWWTQSLDPAGAQKLKWVHDKYMIYDYCKDNRRAPPPECFL >DRNTG_31789.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14916051:14950884:-1 gene:DRNTG_31789 transcript:DRNTG_31789.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLHFRWSRPCRASTTKSWSLTLLSLGSCFLIPLGGGTAAQLMLQSWPSLQLESWEIDGILIDIAREYFGLSGLERPTEAGGFLSVHIGDALSQSATVPGGFAGIIVDLFCDGKILPQLKEVSTWLDINKKLMPNGRIMVNCGGAHAEVSNGGERPTNTSVSNGSWLQNSTIKALCQAFPNELSWKRMAEQESENYLALTGPPPDLNTWSAVLPSPLSSNVKHWKPCKLA >DRNTG_31789.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14947379:14950884:-1 gene:DRNTG_31789 transcript:DRNTG_31789.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLHFRWSRPCRASTTKSWSLTLLSLGSCFLIPLGGGTAAQLMLQSWPSLQLESWEIDGIVKILLIGFLFLFS >DRNTG_07015.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3239744:3240089:1 gene:DRNTG_07015 transcript:DRNTG_07015.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLPSVHQTSPSTSPLLFRRSSSTAMDPTPFTMRSELAAEKEEATTTRVKAIGNSTD >DRNTG_07015.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3239744:3240152:1 gene:DRNTG_07015 transcript:DRNTG_07015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLPSVHQTSPSTSPLLFRRSSSTAMDPTPFTMRSELAAEKEEATTTRVKAIGNSTD >DRNTG_01115.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:14385162:14392911:-1 gene:DRNTG_01115 transcript:DRNTG_01115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLNDTSTKMTIFNMLSNMSELHPQTGWHRMIYHAMLGSFPHNHQMHQHTPHGVGEYGSSSGDEYDSDIYTKNSERYGGTMTDGELHADMQFKTKDDAIIVIKHYCFQKSVDYIVLESDPTRYSGRCSDSIFNKMQLIWCRMSLEDEMAPPQYGGVAGSYHIDLRARWCNCLPAVKGVSYGAVKWQPCPWLKSKHWRAFSLHVKSPFGEKLKSNLKKLPMSEPFHFFFYTIALVTFQLSCICSISMETFCVVARYKGEGRMLQFTILSSWETVMGDISERWALDGSQVRVKFVTPDSYKTLCPIESNVDFQRMCHIHHTFNKTVVDIIIEDANGSMDNNSGSLIPS >DRNTG_22742.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31612661:31615153:-1 gene:DRNTG_22742 transcript:DRNTG_22742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKPSIDATMLLVICIVLVLPEFSYAAIKNYQFDIGLQNVTRLCHTKSMLTVNGQFPGPTIYAREGDRVIVKVVNHAANNVTIHWHGIRQLQNPWADGPAYITQCPIQTNQSYVYDFTLVGQRGTLLWHAHISWLRATIYGPIIILPKFGVPYPFPKPYEEITIILGEWFNADIEAVISQALQTGAGPNISDAYTINGLPGPLYNCSKDTYKLKVKPGTTYLLRMINAALNDELFFSIANHKLTVVEVDALYVKPFTTDIILITPGQTTNVLLTTKPYVPSSSFLMAASPYNTGQGTFDNTTTAGILEYQSPLNNNKNLPLMRPILPGLNDTSFVANFSRRLRSLANFQYPANVPQTVDKKFYFTVGLGTSPCPKNQTCQGPNGTKFAASVNNESFVLPTSTSILQAYFFKQSKGVYTTDFPDNPPFPFNYTGTPPNNTFVDNSTKVKVLPFNTAVEVVLQDTSIQGVESHPLHLHGFNFFIVGQGFGNYNPNTDPSKFNLVDPVERNTAGVPSGGWVAVRFRADNPGVWFMHCHLDVHTSWGLKMVWIVLDGDLPSQKLLPPPYDLPKC >DRNTG_20958.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1234178:1235865:-1 gene:DRNTG_20958 transcript:DRNTG_20958.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIISASIPRKGLGFMENEKGRRALMPEKKKRSLRVESKNEVRLL >DRNTG_20958.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1234178:1236563:-1 gene:DRNTG_20958 transcript:DRNTG_20958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIISASIPRKGLGFMENEKGRRALMPEKKKRSLRVESKNEVRLL >DRNTG_20958.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1234178:1235865:-1 gene:DRNTG_20958 transcript:DRNTG_20958.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIISASIPRKGLGFMENEKGRRALMPEKKKRSLRVESKNEVRLL >DRNTG_24059.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26496814:26502019:-1 gene:DRNTG_24059 transcript:DRNTG_24059.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQNGSAKASASGNAYRIDLENFSKRLKAFYGHWQEHKSDLWAGSDVIAIATPPPSDDLRYLKSSALNIWLLGYEFPETIMVFMNRQIHFLCSQKKANLLETLKKSSKEAVGADAVIHVKAKNDDGAGLMEEILHAIRTQSKPDSAVIGYIAKEAPEGKLLEIWSEKLNGSGSQLRDVTNGFSELFAVKDESELTCVKKAAYLTSSVMKNFVVPKLEKIIDEENKVSHSSLMDDTEKVILDPLKVKVKLKAENVDICYPPIFQSGGEFDLRPSASSTDDNLYYDSTSVILCAIGSRYNSYCSNVARTFLIDANAKQSKAYEVLLKAHDAAIAAMKPGNKVSSAYQAATAVIEKEAAELLPHLTKTAGTGIGLEFRESGLSLNLKNDRVIKAGMIFNISLGFQNLQAGTKNPKTDKFSLLLADTVVVGGKSPEVLTQGCSKAIKDVAYSFNEDVEEDERPKLKPELNGEPLLSKATLRSDLQEMSKEELRRQHQAELARQKNEETARRLAGGGPAAGDGRGPVKASSDLIAYKNVNDIPYSKELVIQVDQKNEAILLPIYGSMVPFHVATVKSVTSHQDNRTCTIRIIFNVPGTPFTPHDANSLKYQGAIYLKEITFRSKDPRHSSEVVQQIKTLRRQVTSRESERAERATLVTQEKLQLATNRMKSMRLPDLWIRPAFGGRGRKLTGTLEAHVNGFRYSTSRPDERVEIMYGNIKHAFFQPAEREMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTLGGGRRSALDPDEIEEEQRERDRKNRINADFQNFVSKVHDHWSQPQFKGLDLEFDQPLRELGFHGVPHKSSAFIVPTSTCLVELIETPFLVITLAEIEIVNLERVGLGQKNFDMAIVFKDFKRDVLRIDSIPSSSLDGIKEWLDTTDLKYYESRLNLNWRPILKTITDDPEKFIEDGGWEFLNLEASDSDSENSVESDQGYEPSDAEPESESEDEDNDSESLVESDEDEEDDSQDSEEEKGKTWEELEREATNADREKGDESDSEEERRKRKAKPFGKSRAPEPRGASFSKRPKLR >DRNTG_29088.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20929853:20930452:-1 gene:DRNTG_29088 transcript:DRNTG_29088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILNWMQKKFNGKPDNKRSFDPVSISAPSYDRKEEFSDWPQALLAIGTFGNKEISEKQETTQHDDESSEDELHEDETSQDLQDFTLE >DRNTG_33178.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23509761:23510372:-1 gene:DRNTG_33178 transcript:DRNTG_33178.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF024 [Source:Projected from Arabidopsis thaliana (AT2G36450) UniProtKB/Swiss-Prot;Acc:Q9SJR0] MADDHSHVSQSHVYEFHQQQQQQESTIVCQQPFTQSVSSSSSSSSSHVGRGSSDHRKYRGIRCRSRKWVSEIREPRKANRIWLGTYPTPEMAAVAYDVACHALKGKDAVLNFPECIGSWPTPVSLSPADIRAAAAAAAAVMRPEIGENGKDVVEFVDEEELFDMPLLLMNMAEGMLMSPPRLSPSCSDDSPHVSESESLWSYP >DRNTG_29798.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18248849:18251439:-1 gene:DRNTG_29798 transcript:DRNTG_29798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYNVSIHLRHVVVDILRHHGQFERIGVLFNGPYVTRLILGISILDAIRGAEMTVVLSLLRIDTIRMMALVYRCGPGTYILATPVPEIVEGRRDVVEGSRQVPEPQFAPIGTGAPLTAQETLWRPLPIFPPSQAHDRFERLENAVRELQSKLAEVRTLQVAQYAGLMARLDIVLQLLESSPFIRRPRTPPGCETEYSRKKPMWIINAPFVENLEKFQTRRHKSGSKCGNVCQTPRIQVSITISRGTRAVTFKHSGLCMYRKISTNMSVIEEAKQSTM >DRNTG_20071.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1275820:1277680:-1 gene:DRNTG_20071 transcript:DRNTG_20071.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHSDHCFSFFASLLRRGLPSVALSVRYASSSSVGLPMDPARLLRTFLSSCRFTKASQVFLSFTSLSISDPSLLDSMILYYCKLRDLPQAQSHFNVEVLTTRDLIDRILFLFFKNTPTLTSMPERQSLRKKSGANPLETPGACTSLGGTSGDEAALTWALEPPVHNHYSQLPEMCPQPRIELSPLGESSIGDPCTNRPEGRCQRGKVDQLPYSTIPVAAQHHSCKDPAPFFLPTTCEPHVAETPSIFVVAASQRHKAVTHLQSNPQHLNPLYSSKLCPV >DRNTG_19720.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001046.1:8851:9302:-1 gene:DRNTG_19720 transcript:DRNTG_19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYECEAFIPYVEHYFSQFFTLICVFLCYFYADLAITVVITVHGKSEKSERQRIHTGVWKLSTPVWKFRDGHVHRPRPWSCPIPLYLKSDSAPDFFVFFSPSFPNLSRGLRLGVSRVLAKVFGEVLRL >DRNTG_22343.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29914604:29916892:1 gene:DRNTG_22343 transcript:DRNTG_22343.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILESHGHPDTFSYNSLLSGLLKSNHFSTALQFLPRMRLHSCPPDIITYNILLSHLCNSSNNNLLRLAMNVLNQMIDDHCQPSVVTYTILIQASIKRGGIEEALKVLSEMSSKGLQPDNYTWNAVIKGLCKQGLVDSAYDLVSKLWPCDHNYNTVSHDQYQQQVPDVVSYNILLRAFLDERRWKDAERLMNEMMMVRGRQPNTVTYSVLINALCQEGLVEKAREVLFDMISRGLKPNTYSYDPLVSAYCKQGKLDLAIEFMDHMVSNGCLPDIVNFNTIIAALCKNGNSDQALLVLEKLRESGCTPNSSTYNAVIGGLWNSGKKAKALEMVSEMLENGIDPDEVTYNVIISCLCRDGMVDEAAEMMKDMERCGLNPSVITYNAVILGFSKAHRNGYGYRCFCRDG >DRNTG_14191.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20285793:20288684:-1 gene:DRNTG_14191 transcript:DRNTG_14191.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase-activating protein 2 [Source:Projected from Arabidopsis thaliana (AT4G03100) UniProtKB/Swiss-Prot;Acc:F4JI46] MRSFPTAIEQMEIGWPTNVQHVAHVTFDRFHGFLGLPVEFELEIPCRVPSASASVFGVSAESMQCSYDSKGNSVPTILLLMQERLYSQGGLKAEGIFRINPENSQEEEVRDQLNKGTVPDDIDVHCLAGLIKAWFRELPEGVLDGLSPEQVLQCNTEEECVDLVRQLLPTQVALLNWAVDLMADVVEEEELNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLILKVLREREETASEEDYSSYSSSPVSNQQDDYDDEDCGSQKEMDTNVVNDAQATTNNQMDVNMNTSSEITERTLDCFIVDYRNTSDEGDDCMRDIEDCFLKQLEWKEDQTTPLSCSDFNASSCFSSESKVCSSITSYETESESSVTRDVTMISKSLMEQIEEEKEGKGAELN >DRNTG_24577.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7808797:7820721:1 gene:DRNTG_24577 transcript:DRNTG_24577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGQTSHPPLTHPPPPSWAQMKKYLMEKWVMIHDLQILDLPNGFLLIRCASHDDLQHLLTEGPWTLNGLTLQLTPWQPFFEPVFAKLSIAAVWVQLHNLLAELWDGESLDTITAHLGNLLKVDELTISLSRSKFTRRLPMFCYTCGIIGHGTNSCSHATITGEDQTSPPLRVPWRPAVRPIQTEDVAAMRMEMDSTVVDPQELINPINSTPDPEFGSWMLVSRWRGHARGRGAVPRPDPASVGATDEENSVLSIPKAFYPEDTLVPRDPNVELSNSLTPRSNSLDVSPEKWAHVPMQAKVPSAPVVLKSSSFSSTPVALDFLNTTPPITRSWSPPPIIRCSISNPSNLDSFFSKNLHSMAIDQVISALDGGNSEGSGQEDDDLDDSTDAMIDDEGLGDSMTHVQYQEEVLQRNLETRANVDRADHFCSKISRNWEWATLLAEGFSGGIIVLWAKALDALCSSPRTFQPPLIWNGSGPYSDKCFS >DRNTG_24875.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32728007:32732305:1 gene:DRNTG_24875 transcript:DRNTG_24875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSMKHRNYREDSSNANKNSCGNQPYVIGGN >DRNTG_08441.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21334844:21339193:-1 gene:DRNTG_08441 transcript:DRNTG_08441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNNSSQNASSLQRSGSGWRSKSIKDPTNRTHIVASLSRSGSAWRSCSTKDVFSRSVHYQQEEDDDELALTWAALDKLPTYDRVRTGILTGVNSPVTVENLGHQDRKNLMDRLLRVAQDDNEQFLLKLKDRLDCVGIENPTIEVRFENLDVRSEVYVGDRGVPTVFNFFRNKLEELLHFLHVLPSGKRPITILNDISGIIKPRRMTLLLGPPGSGKTTLLLALAGKLDSNLKVSGKISYNGHGMDEFVPQRTSAYISQHDVHIGEMTVRETLAFSAKCQGVGTRYEMLTELSRREKAANIKPDPDMDMFMKATSLEGQESVVTEYILKILGLDICADTMIGDAMLRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTFQIVNSLRQSIHILEGTALIALLQPAPETFNLFDDIILLSEGIIVYQGPREQAIAFFEYMGFKCPDRKGIADFLQEVISRKDQQQYWFREKEPYRFISAKQFRDAFYKYYIGRALKDELQTTFDRSKNHPAALATSTYGVRKIKLLKACFEREFLLMKRNAFVYIYKLFQLVLMASIAMTVFLRTNMHHDSVEDGVIFMGALFMGLSDSSFQWIFRTSNEHCKASCFL >DRNTG_08441.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21334844:21337704:-1 gene:DRNTG_08441 transcript:DRNTG_08441.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHNIKKWWIWGYWSSPLMYAQNAIAVNEFLGKSWKQDNDTKSLGVQVLESRGLFPHAKWFWIGVGALLAYIMIFNVIFTAALTYLKPLGNGKRVVSEDNCINLDDNMQQSSKGSVLVHPSKGGCTGDGDTRKKSVVLPFTPFSIAFSDIKYSVDMPPEMKAQGVKENRLVLLNGVNGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTNGLIEGDIFISGYPKKTRNIRSHFRIL >DRNTG_00886.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21783839:21785243:-1 gene:DRNTG_00886 transcript:DRNTG_00886.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVETSTTAHPPVQPPPPLRPPVAGGGPVHPPLEQLHHLHFCIHSNPSWHEAIILGFQHYIVMLGTAVMLATVLVPQMGGNHGDKARTIQTMLFITGVNTLLQTFIGTRLPTVMSPSFAFIIPVMSIIRDFALRPFDDEHERFVHTMRAIQGALIISSCLNIVIGYTKAWGNYSRFFSPVVIVPVVCVVGLGQFERGFPQ >DRNTG_00886.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21781976:21785243:-1 gene:DRNTG_00886 transcript:DRNTG_00886.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETSTTAHPPVQPPPPLRPPVAGGGPVHPPLEQLHHLHFCIHSNPSWHEAIILGFQHYIVMLGTAVMLATVLVPQMGGNHGDKARTIQTMLFITGVNTLLQTFIGTRLPTVMSPSFAFIIPVMSIIRDFALRPFDDEHERFVHTMRAIQGALIISSCLNIVIGYTKAWGNYSRFFSPVVIVPVVCVVGLGQFERGFPQVGKCVEIGLPMLIILVIIQQYMKNIHDRTQLMFERFALLLCIVVVWSFAAILTVGGAYNNVPEKTKIHCRTDRTYLMSSAPWIKIPYPFQWGAPIFSASHVFGMMGATLVAAVESSGTYYAAARLAGATPPPAHVLSRSIGLQGVGMLLEGIFGAAAGSTASVENAGLLGLTRVGSRRVVQISSGFMIFFSIFGKFGAFFASIPFPIFAAIYCVLFGIVAAVGISFIQFSNNNSMRNLYIIGLSLFLGISVPQYFKEFTASAGHGPVKTNAGWFDEILNTVFSSAPTVAMIVATVLDNTLEVVNTDADRGLAWWLPFQNRKGDVRNEEFYSFPIKINEIIPTRYL >DRNTG_00886.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21782728:21785243:-1 gene:DRNTG_00886 transcript:DRNTG_00886.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETSTTAHPPVQPPPPLRPPVAGGGPVHPPLEQLHHLHFCIHSNPSWHEAIILGFQHYIVMLGTAVMLATVLVPQMGGNHGDKARTIQTMLFITGVNTLLQTFIGTRLPTVMSPSFAFIIPVMSIIRDFALRPFDDEHERFVHTMRAIQGALIISSCLNIVIGYTKAWGNYSRFFSPVVIVPVVCVVGLGQFERGFPQVGKCVEIGLPMLIILVIIQQYMKNIHDRTQLMFERFALLLCIVVVWSFAAILTVGGAYNNVPEKTKIHCRTDRTYLMSSAPW >DRNTG_18018.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24527044:24534064:1 gene:DRNTG_18018 transcript:DRNTG_18018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDPDPEESMDKRNEASTKGLQFNSHHCGSEGSGIAIKQDHELKEEMNVYPSHMEPNNSFHRISYTPLNHAYVRPCYHQEFWTMPNTYSDVHKVPQSQMGTFENNFYQMNRDYFFPVENRFQYVPLKMFTQGYQRDLQFQEFEYFVVIDFEATCDKEKKPSPQEIIEFPSVIVNSVTGHLEDFFQTYVRPAYHQHLTDFCKELTGIQQIQVDTGVPLNVALGMHDRWLEGNGIKHKRFAVVTWGDWDCRTMLESECRFKRIPKPPYFNRWINLKVPFQDMFGRVRCNLKEAV >DRNTG_00777.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8885339:8893904:-1 gene:DRNTG_00777 transcript:DRNTG_00777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSTLPRTMLNEASIMETLKDRMVSNARKMEQFMAEFDSGIRLKFSRRQFPHLFQWHSQRNVLGVDPSQMKKMKPKFVLNQSHQLNASLHNLENQVGQIAKSLSERPKGSLQSNTETKPREHVKAITLRSGRTEMMIIPARLGIERIRQMGMLRSYEPGLHLLIIPDPKIAEGVAVEGSSLAPEPQQELMETNTPPPAKEAPPGCYRPRLQRFERTGHTAHRGDGTP >DRNTG_31720.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:212048:217007:-1 gene:DRNTG_31720 transcript:DRNTG_31720.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNVGGKLFETTPATIGTAGQAAAGRYSDPLFIDRDPELFSLLLSTLRSNRLPFTASRFTKRALIDESIYYGVESRLRSSMAPPPFVGIDAGLATTIIPASHAFPTAIAAGSHDGALWIAHGGQISSYDWSLTHFGTIRTHLDDITSIRRLSPEVAVIGSLDSPGLHFYEISSGHHRGSTHWSDPSDLRLYKARVTAVVSDADMTHPIFAAFEAPHKENCITAVDRVTLQVVAQFGRLSGSSSKVASAGKLAHLPDKSLVFSSAVSSGAFGYSGYMRLWDPRTGAMVWETCEPGGSGGRRFGDAFADADVGVEEGAIYKVCWKSGDVAMADMRRLGDDPWVYFEGPWVAEPGRR >DRNTG_31720.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:212048:217007:-1 gene:DRNTG_31720 transcript:DRNTG_31720.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNVGGKLFETTPATIGTAGQAAAGRYSDPLFIDRDPELFSLLLSTLRSNRLPFTASRFTKRALIDESIYYGVESRLRSSMAPPPFVGIDAGLATTIIPASHAFPTAIAAGSHDGALWIAHGGQISSYDWSLTHFGTIRTHLDDITSIRRLSPEVAVIGSLDSPGLHFYEISSGHHRGSTHWSDPSDLRLYKARVTAVVSDADMTHPIFAAFEAPHKENCITAVDRVTLQVVAQFGRLSGSSSKVASAGKLAHLPDKSLVFSSAVSSGAFGYSGYMRLWDPRTGAMVWETCEPGGSGGRRFGDAFADADVGVEEGAIYKVCWKSGDVAMADMRRLGDDPWVYFEGPWVAEPGRR >DRNTG_31720.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:211574:217007:-1 gene:DRNTG_31720 transcript:DRNTG_31720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNVGGKLFETTPATIGTAGQAAAGRYSDPLFIDRDPELFSLLLSTLRSNRLPFTASRFTKRALIDESIYYGVESRLRSSMAPPPFVGIDAGLATTIIPASHAFPTAIAAGSHDGALWIAHGGQISSYDWSLTHFGTIRTHLDDITSIRRLSPEVAVIGSLDSPGLHFYEISSGHHRGSTHWSDPSDLRLYKARVTAVVSDADMTHPIFAAFEAPHKENCITAVDRVTLQVVAQFGRLSGSSSKVASAGKLAHLPDKSLVFSSAVSSGAFGYSGYMRLWDPRTGAMVWETCEPGGSGGRRFGDAFADADVGVEEGAIYKVCWKSGDVAMADMRRLGDDPWVYFEGPWVAEPGRR >DRNTG_31720.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:212048:217007:-1 gene:DRNTG_31720 transcript:DRNTG_31720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNVGGKLFETTPATIGTAGQAAAGRYSDPLFIDRDPELFSLLLSTLRSNRLPFTASRFTKRALIDESIYYGVESRLRSSMAPPPFVGIDAGLATTIIPASHAFPTAIAAGSHDGALWIAHGGQISSYDWSLTHFGTIRTHLDDITSIRRLSPEVAVIGSLDSPGLHFYEISSGHHRGSTHWSDPSDLRLYKARVTAVVSDADMTHPIFAAFEAPHKENCITAVDRVTLQVVAQFGRLSGSSSKVASAGKLAHLPDKSLVFSSAVSSGAFGYSGYMRLWDPRTGAMVWETCEPGGSGGRRFGDAFADADVGVEEGAIYKVCWKSGDVAMADMRRLGDDPWVYFEGPWVAEPGRR >DRNTG_31720.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:212048:217007:-1 gene:DRNTG_31720 transcript:DRNTG_31720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNVGGKLFETTPATIGTAGQAAAGRYSDPLFIDRDPELFSLLLSTLRSNRLPFTASRFTKRALIDESIYYGVESRLRSSMAPPPFVGIDAGLATTIIPASHAFPTAIAAGSHDGALWIAHGGQISSYDWSLTHFGTIRTHLDDITSIRRLSPEVAVIGSLDSPGLHFYEISSGHHRGSTHWSDPSDLRLYKARVTAVVSDADMTHPIFAAFEAPHKENCITAVDRVTLQVVAQFGRLSGSSSKVASAGKLAHLPDKSLVFSSAVSSGAFGYSGYMRLWDPRTGAMVWETCEPGGSGGRRFGDAFADADVGVEEGAIYKVCWKSGDVAMADMRRLGDDPWVYFEGPWVAEPGRR >DRNTG_31720.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:212048:217007:-1 gene:DRNTG_31720 transcript:DRNTG_31720.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNVGGKLFETTPATIGTAGQAAAGRYSDPLFIDRDPELFSLLLSTLRSNRLPFTASRFTKRALIDESIYYGVESRLRSSMAPPPFVGIDAGLATTIIPASHAFPTAIAAGSHDGALWIAHGGQISSYDWSLTHFGTIRTHLDDITSIRRLSPEVAVIGSLDSPGLHFYEISSGHHRGSTHWSDPSDLRLYKARVTAVVSDADMTHPIFAAFEAPHKENCITAVDRVTLQVVAQFGRLSGSSSKVASAGKLAHLPDKSLVFSSAVSSGAFGYSGYMRLWDPRTGAMVWETCEPGGSGGRRFGDAFADADVGVEEGAIYKVCWKSGDVAMADMRRLGDDPWVYFEGPWVAEPGRR >DRNTG_31720.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:212048:217007:-1 gene:DRNTG_31720 transcript:DRNTG_31720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNVGGKLFETTPATIGTAGQAAAGRYSDPLFIDRDPELFSLLLSTLRSNRLPFTASRFTKRALIDESIYYGVESRLRSSMAPPPFVGIDAGLATTIIPASHAFPTAIAAGSHDGALWIAHGGQISSYDWSLTHFGTIRTHLDDITSIRRLSPEVAVIGSLDSPGLHFYEISSGHHRGSTHWSDPSDLRLYKARVTAVVSDADMTHPIFAAFEAPHKENCITAVDRVTLQVVAQFGRLSGSSSKVASAGKLAHLPDKSLVFSSAVSSGAFGYSGYMRLWDPRTGAMVWETCEPGGSGGRRFGDAFADADVGVEEGAIYKVCWKSGDVAMADMRRLGDDPWVYFEGPWVAEPGRR >DRNTG_32841.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001922.1:30327:37763:1 gene:DRNTG_32841 transcript:DRNTG_32841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNGAGRGFDLEKILELNGEGENGSPKSIPLCCKKAIAAMPESEAKCHATVVSGWFSESRLYSDKMGKLLYYNNPMWPGEAHSLKVEKILYQGKSDYQEILVFESLTYGKVLALDGIVQLTEKDECAYQEMMAHLPLCSIPSPKTVLVVGGGDGGVLREISRHSSVEIIDICEIDDLVIDVCKKFFPDLSVGFDDPRVRLHVGDAVQFLRNAPEGMYDAIIVDSSDPIGPAQQLIEKPFFETIAKALRPGGVLCNQAESLWLHTHLIQDMLSVCHQTFKGSVHYAWTSVPTYPSGVIGFILCSTDGPPINFLSPINPIEKQKGALNSRRELRFYNSEIHRAAFALPSFARRELRSLIRA >DRNTG_32841.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001922.1:30327:37763:1 gene:DRNTG_32841 transcript:DRNTG_32841.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNGAGRGFDLEKILELNGEGENGSPKSIPLCCKKAIAAMPESEAKCHATVVSGWFSESRLYSDKMGKLLYYNNPMWPGEAHSLKVEKILYQGKSDYQEILVFESLTYGKVLALDGIVQLTEKDECAYQEMMAHLPLCSIPSPKTVLVVGGGDGGVLREISRHSSVEIIDICEIDDLVIDVCKKFFPDLSVGFDDPRVRLHVGDAVQFLRNAPEGMYDAIIVDSSDPIGPAQQLIEKPFFETIAKALRPGGVLCNQAESLWLHTHLIQDMLSVCHQTFKGSVHYAWTSVPTYPSGVIGFILCSTDGPPINFLSPINPIEKQKGALNSRRELRFYNSEIHRAAFALPSFARRELRSLIRA >DRNTG_22408.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22658692:22668663:-1 gene:DRNTG_22408 transcript:DRNTG_22408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLSLFVFLAILVVSAFPPCYVQGIISCIETERIALLSIKAGIIQSDDQSLFSSWTGHDCCKWQGVSCNHESRHVIKLNLPQHPSNISYNFLMPRSKLNSSLIQLHHLKHLDLSMNNFNDSPIPEFIGSFANLEYLNLSNAKFSGAVPHTFGNLSCLRYLDFNLTSLVGLDLSACALYGKLPVTVVENAEQWHHGGLPEYVGKQSRLWELDLSNNDINGSLPKVMGNLCKLQTLDLTSNMISGGIDDLVYGLSKCRKNKYGSTLESWEGLGWGLEDAKIVQMTCVTHAPLMMIFEDACHVLSSPMMLVDVLLRNLSESIGILKKHHLQVTQISRNMAVVGCSAKESP >DRNTG_28047.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3296584:3298792:1 gene:DRNTG_28047 transcript:DRNTG_28047.1 gene_biotype:protein_coding transcript_biotype:protein_coding FNRKGGPFSFSCPQREPAQTTNNINQHNHFGKTPVKRKSKKTQEGKKKGDSGDCKDLRTRKMKSLGHKSSHCDAKFGE >DRNTG_30591.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:2548144:2549748:1 gene:DRNTG_30591 transcript:DRNTG_30591.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMFKVSGLSIRFLPTGELVFLKLNKLSLAQLHLNSWRFLRRQMGSCLPAKRFLERFLS >DRNTG_10242.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:8094634:8096137:-1 gene:DRNTG_10242 transcript:DRNTG_10242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVGDVAHLGAPILRAIGLLEKNGGTYRLAKDLTTRESNQLEPDESESDYDEPPTHVTPGTSFPSDFDSHFKTMEDCIHAIRYEQCEM >DRNTG_33673.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18489556:18497704:1 gene:DRNTG_33673 transcript:DRNTG_33673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSLIFSTSPLRSCSPPSPSPTTSLAPLSVVSAFLSSLRTSPSLPSLWWRRWGRMTLLWSLGRKFYVRGIVEHAMDGKD >DRNTG_20163.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4741521:4741980:-1 gene:DRNTG_20163 transcript:DRNTG_20163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWGLDISRVRVKFITPDGHKTVCLMRHVHSIFKCTVVDLVVETDDVASYPTENEFCSFVVNKETDDVALYPTGNNSFFHVTFGVVDNETNACDEKATWAF >DRNTG_33540.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8237813:8242106:-1 gene:DRNTG_33540 transcript:DRNTG_33540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETTARFPDLINIIKEFCKPAVSTFIIDAEIVGVDRKIGNKLMSFQELSSRERGSKNSSISMDSIKVDICIFVFDIMFFNGERLLAYPLRQRRNYLKDLFLEEKLGYLEYAKEFTVESDEAGRSDESTLSRITSFFQDACSSSCEGIMAKSLDVDAGYTASKRSDAWLKVKRDYIEGVGDSLDLVPIGGWHGNGRKAGWYSPFLMACYNPDAEEYQSVCRVMTGFTDSFYKEMKEFFSGEKILSKKPPYYQTDESPDLWFSPELVWEIRGADLTISPVHHAAMGLVHPSRGISVRLPRYVCSVLDRKPEDCSTAEDIACMFNSQTRKMNVNNDVKRD >DRNTG_17274.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5245114:5250223:1 gene:DRNTG_17274 transcript:DRNTG_17274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSGKSGFDLTLSNGFELNFRVKSGQKYLADTMLRLDSGNSSLYSHSNIHGMKRKWDDFSRAVHAFPALSLGRSPSTSESSRRSSATECTMSPTKEMEEESSLELGLNFTLHLGNDSILSPKRPAIPSPRVQETHPACNLQLSLSTGPSSSVITSITPDSTQHLNNLDAPVMATRRILADDGSTSGRWKSGGLIQPLLMFEAATKTPAKASTVQSIPDLPAAPASGANPPLQRNLNTKSCQFPGCMKGARGASGRCIAHGGGRRCLKPGCNKGAEGKTVFCKAHGGGRRCSELGCTKSAEGRTERCIAHGGGRRCKNEGCPRAARGKSGLCIRHGGGKRCQQEGCPKSAEGHSGLCISHGGGRRCQFSECKKGAQGSTMFCKAHGGGKRCTYPLCNKGAEGSTPFCKGHGGGKRCSFPGGCTKSVHGGTQFCVAHGGGKRCAFPDCTKSARGRTSHCVRHGGGKRCKSEGCGKSAQGSTDFCKAHGGGKRCSWGQPGSEYGASGPACDRFARGKEGMCVTHRDAYRALIQDSRVHGAATLVHNLVPVAKPEKMKGVATPEMFLNVGNTGENLISWSSLEQSKFKAPMFTPQPMLPSLPEGRVRGGSLMALLASNAELGSHYGNHTEASTSEQGGTLYCMTHKWV >DRNTG_17274.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5245114:5250223:1 gene:DRNTG_17274 transcript:DRNTG_17274.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSGKSGFDLTLSNGFELNFRVKSGQKYLADTMLRLDSGNSSLYSHSNIHGMKRKWDDFSRAVHAFPALSLGRSPSTSESSRRSSATECTMSPTKEMEEESSLELGLNFTLHLGNDSILSPKRPAIPSPRVQETHPACNLQLSLSTGPSSSVITSITPDSTQHLNNLDAPVMATRRILADDGSTSGRWKSGGLIQPLLMFEAATKTPAKASTVQSIPDLPAAPASGANPPLQRNLNTKSCQFPGCMKGARGASGRCIAHGGGRRCLKPGCNKGAEGKTVFCKAHGGGRRCSELGCTKSAEGRTERCIAHGGGRRCKNEGCPRAARGKSGLCIRHGGGKRCQQEGCPKSAEGHSGLCISHGGGRRCQFSECKKGAQGSTMFCKAHGGGKRCTYPLCNKGAEGSTPFCKGHGGGKRCSFPGGCTKSVHGGTQFCVAHGGGKRCAFPDCTKSARGRTSHCVRHGGGKRCKSEGCGKSAQGSTDFCKAHGGGKRCSWGQPGSEYGASGPACDRFARGKEGMCVTHRDAYRALIQDSRVHGAATLVHNLVPVAKPEKMKGVATPEMFLNVGNTGENLISWSSLEQSKFKAPMFTPQPMLPSLPEGRVRGGSLMALLASNAELGSHYGNHTEASTSEQGGTLYCMTHKWV >DRNTG_03178.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4555702:4558253:-1 gene:DRNTG_03178 transcript:DRNTG_03178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSSTTRDKLYTLLEGKEMVTDDMMDAFVCIIQKSLSKVPYPYKKRASITRPLALFMSKQDDAYETTMAMIRDAVRSLHEVQIVILPIIMNGHFHVVVLDNDKQEYMHYSSCAGESIRHGCRYAVRYRAITLG >DRNTG_03059.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12358042:12364660:1 gene:DRNTG_03059 transcript:DRNTG_03059.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIVGAKGCSLSSAAVYSVSRGLSKVAIDPAALEKLSQQKNQPPKPVSDTAVVQGFILTFEESRAALAVLLNKFVVSECHVRPVIPLLIQKSFDLAAGFETIDFGSSQAFLASLCRLNEKRCDEIGVTGEEIAVLENSCAASIAVCALLDCCASALVRVSDAVAALSSEAARVNVDLFDLAVSGDGFSMKDETDVAADMKVFLSGSKLAGKVDSGPFSEIPAVHGSLREAVRLLHGRTRVELNASVKSKKVMGSGIHGKEKAYVASVLPLAMAIQSMSEASYGRAELVVASVVGEELRSKVGEVFQKECRCIDALKYDFNSITTASYNFALVLHQVYDLLVKFREILAWEAALALFAIEVDESVEKPAVVPLESSKSEKKSEKKKKKTLGKGTSIVRQLLKERLSLEADASLENVMNLVRVAHDLAGSFDPKDSELDTLIRKLKEIVESNEGRRLPKIPKGTRDFGKEQMAIRERAFSVIVGVFKKHGAVALDTPVFELRETLMGKYGEDSKLIYDLADQGGELCSLRYDLTVPFARYLAMNNISALKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGQYELMEPDFEVVRVLTELLNELSIGDYEIKLNHRKLLDGMLEICGIPSEKFRTVCSSIDKLDKQTFEHVKKELVEDKGLTSEIAERIGAFVKKRGPPLEILSELTSEGSQFLGNSEAVVALDELKILFTALDKSKCLNKVVFDLSLARGLDYYTGVIFEAVFKGTTQVGSIAAGGRYDNLVGMFSGKVVPAVGVSLGIERVFTIMEQLEKDQNQVIRATETQVLVAILGKDLTLAAELVSELWDAKIKAEFRLTKRVMNHITRAKQSGIPWMVIVGESELQQGVVKLKNIEASEEEVVRRDKIVEELQRRLGIN >DRNTG_03059.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12358042:12366023:1 gene:DRNTG_03059 transcript:DRNTG_03059.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLNWLLHLLLVKNYGRREILAWEAALALFAIEVDESVEKPAVVPLESSKSEKKSEKKKKKTLGKGTSIVRQLLKERLSLEADASLENVMNLVRVAHDLAGSFDPKDSELDTLIRKLKEIVESNEGRRLPKIPKGTRDFGKEQMAIRERAFSVIVGVFKKHGAVALDTPVFELRETLMGKYGEDSKLIYDLADQGGELCSLRYDLTVPFARYLAMNNISALKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGQYELMEPDFEVVRVLTELLNELSIGDYEIKLNHRKLLDGMLEICGIPSEKFRTVCSSIDKLDKQTFEHVKKELVEDKGLTSEIAERIGAFVKKRGPPLEILSELTSEGSQFLGNSEAVVALDELKILFTALDKSKCLNKVVFDLSLARGLDYYTGVIFEAVFKGTTQVGSIAAGGRYDNLVGMFSGKVVPAVGVSLGIERVFTIMEQLEKDQNQVIRATETQVLVAILGKDLTLAAELVSELWDAKIKAEFRLTKRVMNHITRAKQSGIPWMVIVGESELQQGVVKLKNIEASEEEVVRRDKIVEELQRRLGIN >DRNTG_03059.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12358042:12364660:1 gene:DRNTG_03059 transcript:DRNTG_03059.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIVGAKGCSLSSAAVYSVSRGLSKVAIDPAALEKLSQQKNQPPKPVSDTAVVQGFILTFEESRAALAVLLNKFVVSECHVRPVIPLLIQKSFDLAAGFETIDFGSSQAFLASLCRLNEKRCDEIGVTGEEIAVLENSCAASIAVCALLDCCASALVRVSDAVAALSSEAARVNVDLFDLAVSGDGFSMKDETDVAADMKVFLSGSKLAGKVDSGPFSEIPAVHGSLREAVRLLHGRTRVELNASVKSKKVMGSGIHGKEKAYVASVLPLAMAIQSMSEASYGRAELVVASVVGEELRSKVGEVFQKECRCIDALKYDFNSITTASYNFALVLHQVYDLLVKFREILAWEAALALFAIEVDESVEKPAVVPLESSKSEKKSEKKKKKTLGKGTSIVRQLLKERLSLEADASLENVMNLVRVAHDLAGSFDPKDSELDTLIRKLKEIVESNEGRRLPKIPKGTRDFGKEQMAIRERAFSVIVGVFKKHGAVALDTPVFELRETLMGKYGEDSKLIYDLADQGGELCSLRYDLTVPFARYLAMNNISALKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGQYELMEPDFEVVRVLTELLNELSIGDYEIKLNHRKLLDGMLEICGIPSEKFRTVCSSIDKLDKQTFEHVKKELVEDKGLTSEIAERIGAFVKKRGPPLEILSELTSEGSQFLGNSEAVVALDELKILFTALDKSKCLNKVVFDLSLARGLDYYTGVIFEAVFKGTTQVGSIAAGGRYDNLVGMFSGKVVPAVGVSLGIERVFTIMEQLEKDQNQVIRATETQVLVAILGKDLTLAAELVSELWDAKIKAEFRLTKRVMNHITRAKQSGIPWMVIVGESELQQGVVKLKNIEASEEEVVRRDKIVEELQRRLGIN >DRNTG_03059.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12358042:12364660:1 gene:DRNTG_03059 transcript:DRNTG_03059.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIVGAKGCSLSSAAVYSVSRGLSKVAIDPAALEKLSQQKNQPPKPVSDTAVVQGFILTFEESRAALAVLLNKFVVSECHVRPVIPLLIQKSFDLAAGFETIDFGSSQAFLASLCRLNEKRCDEIGVTGEEIAVLENSCAASIAVCALLDCCASALVRVSDAVAALSSEAARVNVDLFDLAVSGDGFSMKDETDVAADMKVFLSGSKLAGKVDSGPFSEIPAVHGSLREAVRLLHGRTRVELNASVKSKKVMGSGIHGKEKAYVASVLPLAMAIQSMSEASYGRAELVVASVVGEELRSKVGEVFQKECRCIDALKYDFNSITTASYNFALVLHQVYDLLVKFREILAWEAALALFAIEVDESVEKPAVVPLESSKSEKKSEKKKKKTLGKGTSIVRQLLKERLSLEADASLENVMNLVRVAHDLAGSFDPKDSELDTLIRKLKEIVESNEGRRLPKIPKGTRDFGKEQMAIRERAFSVIVGVFKKHGAVALDTPVFELRETLMGKYGEDSKLIYDLADQGGELCSLRYDLTVPFARYLAMNNISALKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGQYELMEPDFEVVRVLTELLNELSIGDYEIKLNHRKLLDGMLEICGIPSEKFRTVCSSIDKLDKQTFEHVKKELVEDKGLTSEIAERIGAFVKKRGPPLEILSELTSEGSQFLGNSEAVVALDELKILFTALDKSKCLNKVVFDLSLARGLDYYTGVIFEAVFKGTTQVGSIAAGGRYDNLVGMFSGKVVPAVGVSLGIERVFTIMEQLEKDQNQVIRATETQVLVAILGKDLTLAAELVSELWDAKIKAEFRLTKRVMNHITRAKQSGIPWMVIVGESELQQGVVKLKNIEASEEEVVRRDKIVEELQRRLGIN >DRNTG_03059.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12358042:12363459:1 gene:DRNTG_03059 transcript:DRNTG_03059.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIVGAKGCSLSSAAVYSVSRGLSKVAIDPAALEKLSQQKNQPPKPVSDTAVVQGFILTFEESRAALAVLLNKFVVSECHVRPVIPLLIQKSFDLAAGFETIDFGSSQAFLASLCRLNEKRCDEIGVTGEEIAVLENSCAASIAVCALLDCCASALVRVSDAVAALSSEAARVNVDLFDLAVSGDGFSMKDETDVAADMKVFLSGSKLAGKVDSGPFSEIPAVHGSLREAVRLLHGRTRVELNASVKSKKVMGSGIHGKEKAYVASVLPLAMAIQSMSEASYGRAELVVASVVGEELRSKVGEVFQKECRCIDALKYDFNSITTASYNFALVLHQVYDLLVKFREILAWEAALALFAIEVDESVEKPAVVPLESSKSEKKSEKKKKKTLGKGTSIVRQLLKERLSLEADASLENVMNLVRVAHDLAGSFDPKDSELDTLIRKLKEIVESNEGRRLPKIPKGTRDFGKEQMAIRERAFSVIVGVFKKHGAVALDTPVFELRETLMGKYGEDSKLIYDLADQGGELCSLRYDLTVPFARYLAMNNISALKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGQYELMEPDFEVVRVLTELLNELSIGDYEIKLNHRKLLDGMLEICGIPSEKFRTVCSSIDKLDKQTFEHVKKELVEDKGLTSEIAERIGAFVKKRGPPLEILSELTSEGSQFLGNSEAVVALDELKILFTALDKSKCLNKVVFDLSLARGLDYYTGVIFEAVFKGTTQVGSIAAGGRYDNLVGMFSGKVVPAVGVSLGIERVFTIMEQLEKDQNQVIRATETQVLVAILGKDLTLAAELVSELWDAKIKAEFRLTKRVMNHITRAKQSGIPWMVIVGESELQQGVVKLKNIEASEEEVVRRDKIVEELQRRLGIN >DRNTG_20115.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22611175:22611494:-1 gene:DRNTG_20115 transcript:DRNTG_20115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKKVKPATGESVILASDGIWQVMGIQEAGHLILSREDAQGASQVLVNEALRRNCKSIPSCIVIRFL >DRNTG_06082.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8443034:8447527:1 gene:DRNTG_06082 transcript:DRNTG_06082.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pterin-4-alpha-carbinolamine dehydratase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G29810) UniProtKB/Swiss-Prot;Acc:Q6QJ72] MDDLTAKKCVPCNSKEIRSMSEQSANELLPQVQGWILITDNGTMKLHRSWKVKTFIKGLEFFQIIADVAEAEGHHPDLHLVGWNNVKIDIWTHSVGGLTENDFHSCCKDKQSQC >DRNTG_06082.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8443034:8447527:1 gene:DRNTG_06082 transcript:DRNTG_06082.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pterin-4-alpha-carbinolamine dehydratase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G29810) UniProtKB/Swiss-Prot;Acc:Q6QJ72] MNRPVRMLLQLQAHRLSPTHVRLASLASSSFNVAKSLTVIHGWIHQNHVKVSPFKKFSSGFSSCSAFQDLTAKKCVPCNSKEIRSMSEQSANELLPQVQGWILITDNGTMKLHRSWKVKTFIKGLEFFQIIADVAEAEGHHPDLHLVGWNNVKIDIWTHSVGGLTENDFHSCCKDKQSQC >DRNTG_14538.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28241962:28248482:-1 gene:DRNTG_14538 transcript:DRNTG_14538.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen-III synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G26540) UniProtKB/Swiss-Prot;Acc:O48721] MVMVMAMFSISIQSLPSLVLSCSSSSSSKHTSSRSLVSFWKPQACFSTTSQKPKVVVTREKGKNAKLIDALGKHNVNCLEVPLIKHAPGPDSDRLSALLRESTFDWIVITSPEAAIVFLEAWKAAGTPKVQLGVVGTGTASTFHDISQSLNQYLEIRFTPSKGMQYAESMFDIIPTYCFWTSIIYVMSVTIGISVVIRKLLLYSVVLGSIFVVVIPFTVDCSTLFSIKCSE >DRNTG_14538.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28241962:28248482:-1 gene:DRNTG_14538 transcript:DRNTG_14538.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen-III synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G26540) UniProtKB/Swiss-Prot;Acc:O48721] MVMVMAMFSISIQSLPSLVLSCSSSSSSKHTSSRSLVSFWKPQACFSTTSQKPKVVVTREKGKNAKLIDALGKHNVNCLEVPLIKHAPGPDSDRLSALLRESTFDWIVITSPEAAIVFLEAWKAAGTPKVQLGVVGTGTASTFHDISQSLNQYLEIRFTPSKATGKVLASELPKVGSKSCTVLYPASVKAGSDIEKGLSDRGFHVTRLNTYNTVAIQEVDAMVLKQALSTPVVAVASPSAIRAWNNLISNLGNWDNSVACIGETTAVAAKKFGLKNVYYPANPGLEGWVDSILEALRAHDRLQKDLAS >DRNTG_14538.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28241962:28248482:-1 gene:DRNTG_14538 transcript:DRNTG_14538.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen-III synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G26540) UniProtKB/Swiss-Prot;Acc:O48721] MVMVMAMFSISIQSLPSLVLSCSSSSSSKHTSSRSLVSFWKPQACFSTTSQKPKVVVTREKGKNAKLIDALGKHNVNCLEVPLIKHAPGPDSDRLSALLRESTFDWIVITSPEAAIVFLEAWKAAGTPKVQLGVVGTGTASTFHDISQSLNQYLEIRFTPSKGIKSYKEYTIGMQYVPSIQQTCYTKGVKVICN >DRNTG_00271.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1668239:1669363:1 gene:DRNTG_00271 transcript:DRNTG_00271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDINGDVDHHRDWSSFPWIAMINFIANDLNAVDHVRFRTVCSHWRRHTQERQKAPFVILVDLDNQDDTIKALSFFDIVGKVIIPLRPLASQRVANSYYLGSSRGWIFVGQYTAAQNGNQEQLKIALLNPFTDDIINLPMLNNHPRGRVFLLNSPRNLQINRDYFNVVYYVDIDNNGQPTAQLNVIELRQENQWMTFLLDQRPNDVIVWAGHLCGNYLGVLYLINPETQQLVNHVNLLLPGLLPVLSSDPALFLRFFEDLHGRLHLLFTRSYRTSSYCFVQVSVTPLQDHVNVLHFTTPGYYCPLSTRCLHISEDFSVVSRYGLRFVCIDDYYPCRLLLRLSRFWNDGQNQWEPVGWITPDLLDQGALLSFVFLS >DRNTG_07603.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2472484:2476628:-1 gene:DRNTG_07603 transcript:DRNTG_07603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFTTAHQPQNPDSDNDEQHHDAPDTNVNPAEDTDVNPAEVMALSEDGWNNITEQHQEGTAPFRQYTLHELMAATDGFSGQNFLSKGGGEIPNNTYRGRLPGGQRIAVKRFTLLAWPDEAQFREMAIRGGRLRHRRLVNLIGYYCDADERLLVAEFMPNVSLATHLSNSRNRTMEWSMRLRVACYIAEALEYCINEARTLYFDLNPNKVLLDEAGSPCLSCFGLAKNHRKGRCYRTNISYTPPECVSGMVTPESMIYSFGILLQDLLSGKKIPRDEALELVLGKKISIILDSRLNGEYSIEEATVLMKLAYQCLEHNPMDRPTIGDVIATLAQVQNNAGGPSNAIPGTEGQDA >DRNTG_07603.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2476174:2476628:-1 gene:DRNTG_07603 transcript:DRNTG_07603.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFTTAHQPQNPDSDNDEQHHDAPDTNVNPAEDTDVNPAEVMALSEDGWNNITEQHQEGTAPFRQYTLHELMAATDGFSGQNFLSKGGGEIPNNTYRGRLPGGQRIAVKRFTLLAWPDEAQFR >DRNTG_26385.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18003276:18004422:-1 gene:DRNTG_26385 transcript:DRNTG_26385.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein IAA33 [Source:Projected from Arabidopsis thaliana (AT5G57420) UniProtKB/Swiss-Prot;Acc:Q9FKM7] MNGLESQQEAFKRRWNEKRGGIHSTSSPFPLYRQNNSTSSASAMPCTSRKLLKLSGQDDDLSASVVPPVTVVLEGRSICHRIHLHKHAGYESLAAALRRMFVDTETEERLVEGLNLSNAVPGYIVAYEDMEDDLLLVGDLNWKDFVRVAKRIRIIPVKSTRQKQLVGRDQ >DRNTG_26385.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18003410:18004724:-1 gene:DRNTG_26385 transcript:DRNTG_26385.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein IAA33 [Source:Projected from Arabidopsis thaliana (AT5G57420) UniProtKB/Swiss-Prot;Acc:Q9FKM7] MNGLESQQEAFKRRWNEKRGGIHSTSSPFPLYRQNNSTSSASAMPCTSRKLLKLSGQDDDLSASVVPPVTVVLEGRSICHRIHLHKHAGYESLAAALRRMFVDTETEERLVEGLNLSNAVPGYIVAYEDMEDDLLLVGDLNWKDFVRVAKRIRIIPVKSTRQKQLVGRDQ >DRNTG_26385.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18003276:18004276:-1 gene:DRNTG_26385 transcript:DRNTG_26385.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein IAA33 [Source:Projected from Arabidopsis thaliana (AT5G57420) UniProtKB/Swiss-Prot;Acc:Q9FKM7] MNGLESQQEAFKRRWNEKRGGIHSTSSPFPLYRQNNSTSSASAMPCTSRKLLKLSGQDDDLSASVVPPVTVVLEGRSICHRIHLHKHAGYESLAAALRRMFVDTETEERLVEGLNLSNAVPGYIVAYEDMEDDLLLVGDLNWKDFVRVAKRIRIIPVKSTRQKQLVGRDQ >DRNTG_23369.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5819619:5822751:-1 gene:DRNTG_23369 transcript:DRNTG_23369.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPSRCSQISRSRLPATSLLPQFLIMQSPRKGRTMSSSCHARSPTPSTLAMHKISSHAIAKVKRKTRIVHILEPEIIKIEEANFREIVQSLTGIPNKNKKVVEAVGEGEVIRMMKKEKKVIGEDDGKCIGESCEALFDGVFLQGFFDELERFLMCDS >DRNTG_06458.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3358952:3359337:-1 gene:DRNTG_06458 transcript:DRNTG_06458.3 gene_biotype:protein_coding transcript_biotype:protein_coding ALVKSKYEQSLESVRRFIAISEKELELYYRHVALYGDPSTRNSDLLYADQARVLCNQGTDTSDRVEIETNHSISDDIITDGSDSEVNSDDEGIETDSHSISDDISTYISDLEEANSEDEVPQA >DRNTG_06458.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3358952:3361154:-1 gene:DRNTG_06458 transcript:DRNTG_06458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVEKLKKYEVPKVQGPIPKPEVLTGEERFFMKKMAQKRSNYVPVGRRGVFGGVILNMHLHWKKHETVKVICKPCKPGQVREYANEIARLSGGIPIQVIGDDTIIFYRGKNYVQPEVMSPIDTLSKKKALVKSKYEQSLESVRRFIAISEKELELYYRHVALYGDPSTRNSDLLYADQARVLCNQGTDTSDRVEIETNHSISDDIITDGSDSEVNSDDEGIETDSHSISDDISTYISDLEEANSEDEVPQA >DRNTG_06458.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3358952:3359886:-1 gene:DRNTG_06458 transcript:DRNTG_06458.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVEKLKKYEVPKVQGPIPKPEVLTGEERFFMKKMAQKRSNYVPVGRRGVFGGVILNMHLHWKKHETVKVICKPCKPGQVREYANEIARLSGGIPIQVIGDDTIIFYRGKNYVQPEVMSPIDTLSKKKALVKSKYEQSLESVRRFIAISEKELELYYRHVALYGDPSTRNSDLLYADQARVLCNQGTDTSDRVEIETNHSISDDIITDGSDSEVNSDDEGIETDSHSISDDISTYISDLEEANSEDEVPQA >DRNTG_24690.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19195511:19197484:1 gene:DRNTG_24690 transcript:DRNTG_24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELWVFILITISFILTLILLLFKPSITINNHEIKKKLPPGPLRIPVIGSLIWLRRTVADIEPVLRDLHSKHGPIFTIHIGSRPFIFVFDRSLTHKLLIEHGSIFSDRPNPPLATRFLSMNQHNISSSSYGPLWRLLRRNLISEILHPNRVKLFSHGRDWVLRLLITKLKLESESSPSSGVVTAMDSFSFAMFCLLVLMCFGEKLDEKAIKEIGFAQRDLLLYTSKLSVFSIAPGLTKYLFRHRWSKALEKRRRQKEIFTPLIRARKEHKIKNLGRHGDQDDDNDQERFVYSYVDSLLDIKLQEDGGRELSDDELVVLCSEFLNAGTDTTSTALQWIMANVVKYPEVQKKVMEEIESVVGVKSGELIKEEDLQKMPYVKAVVMEGLRRHPPAHLVLSHTSTEEFTVEGYVIPKGALINFGVAEMGWDEKVWEKPMEFRPERFLSQGVDVTGSREIKMMPFGVGRRICPGWGIGRVAFGVFCAQFD >DRNTG_08339.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22489765:22490283:-1 gene:DRNTG_08339 transcript:DRNTG_08339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLLANINLSMQACNPSGFLNGKSGSCNTDLNAECCKSGETYPQFTCSPPVTDTTPATMTINSFAEGGDGGAESACDGQFHSDNELVVALSSGWFDNGSRCNENIRINAKGKSVLAKVVDECDSVNGCDEEHDFQPPCRNNIVDASPAVWEALGITGEDVGELGITWADA >DRNTG_35020.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2306514:2308235:-1 gene:DRNTG_35020 transcript:DRNTG_35020.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSLQDALFHPSDALTWDHRFRIILDVAQALAFLHLECDPPVIHGDIKPSNVLLGADFSAKISDFGLARFKTEGDLGVEMFSQELWKSQELASLEADFAFAPPPKKAATGVARANDKGKEPALAPVPPATAAVVPCNEESVNLEHSNGEWGKDWWWKQDGSGELSSKEYVHEWIGSQICVGWEDEENGEQKSSPLNSQGEAFFNNCEAKHEKKLSNGGVDKKTKKVREWWKEEYFAEISKKGKHDEGFGFGLRSMRWFRNASCRGGGGAGSSCSSNGNGYVKNMEVSFRNGWRRKRSRSAGSELFSGEMFSRELSSTTSMRGTVCYIAPESGNSMEKGDVYSFGVLILVILSGRRPLHVLSSPMKLEKANLVSWCRHLAQSGNVLDLVDERLKDLYDKEQASLCINLALLCLQRSPESRPDSGDIVRILKGEMDVPVVPFEFSPSPKLNSRSRRRTPTR >DRNTG_35020.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2306468:2308235:-1 gene:DRNTG_35020 transcript:DRNTG_35020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSLQDALFHPSDALTWDHRFRIILDVAQALAFLHLECDPPVIHGDIKPSNVLLGADFSAKISDFGLARFKTEGDLGVEMFSQELWKSQELASLEADFAFAPPPKKAATGVARANDKGKEPALAPVPPATAAVVPCNEESVNLEHSNGEWGKDWWWKQDGSGELSSKEYVHEWIGSQICVGWEDEENGEQKSSPLNSQGEAFFNNCEAKHEKKLSNGGVDKKTKKVREWWKEEYFAEISKKGKHDEGFGFGLRSMRWFRNASCRGGGGAGSSCSSNGNGYVKNMEVSFRNGWRRKRSRSAGSELFSGEMFSRELSSTTSMRGTVCYIAPESGNSMEKGDVYSFGVLILVILSGRRPLHVLSSPMKLEKANLVSWCRHLAQSGNVLDLVDERLKDLYDKEQASLCINLALLCLQRSPESRPDSGDIVRILKGEMDVPVVPFEFSPSPKLNSRSRRRTPTR >DRNTG_35020.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2306255:2308630:-1 gene:DRNTG_35020 transcript:DRNTG_35020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSLQDALFHPSDALTWDHRFRIILDVAQALAFLHLECDPPVIHGDIKPSNVLLGADFSAKISDFGLARFKTEGDLGVEMFSQELWKSQELASLEADFAFAPPPKKAATGVARANDKGKEPALAPVPPATAAVVPCNEESVNLEHSNGEWGKDWWWKQDGSGELSSKEYVHEWIGSQICVGWEDEENGEQKSSPLNSQGEAFFNNCEAKHEKKLSNGGVDKKTKKVREWWKEEYFAEISKKGKHDEGFGFGLRSMRWFRNASCRGGGGAGSSCSSNGNGYVKNMEVSFRNGWRRKRSRSAGSELFSGEMFSRELSSTTSMRGTVCYIAPESGNSMEKGDVYSFGVLILVILSGRRPLHVLSSPMKLEKANLVSWCRHLAQSGNVLDLVDERLKDLYDKEQASLCINLALLCLQRSPESRPDSGDIVRILKGEMDVPVVPFEFSPSPKLNSRSRRRTPTR >DRNTG_35020.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2306255:2308235:-1 gene:DRNTG_35020 transcript:DRNTG_35020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSLQDALFHPSDALTWDHRFRIILDVAQALAFLHLECDPPVIHGDIKPSNVLLGADFSAKISDFGLARFKTEGDLGVEMFSQELWKSQELASLEADFAFAPPPKKAATGVARANDKGKEPALAPVPPATAAVVPCNEESVNLEHSNGEWGKDWWWKQDGSGELSSKEYVHEWIGSQICVGWEDEENGEQKSSPLNSQGEAFFNNCEAKHEKKLSNGGVDKKTKKVREWWKEEYFAEISKKGKHDEGFGFGLRSMRWFRNASCRGGGGAGSSCSSNGNGYVKNMEVSFRNGWRRKRSRSAGSELFSGEMFSRELSSTTSMRGTVCYIAPESGNSMEKGDVYSFGVLILVILSGRRPLHVLSSPMKLEKANLVSWCRHLAQSGNVLDLVDERLKDLYDKEQASLCINLALLCLQRSPESRPDSGDIVRILKGEMDVPVVPFEFSPSPKLNSRSRRRTPTR >DRNTG_35020.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2306468:2308630:-1 gene:DRNTG_35020 transcript:DRNTG_35020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSLQDALFHPSDALTWDHRFRIILDVAQALAFLHLECDPPVIHGDIKPSNVLLGADFSAKISDFGLARFKTEGDLGVEMFSQELWKSQELASLEADFAFAPPPKKAATGVARANDKGKEPALAPVPPATAAVVPCNEESVNLEHSNGEWGKDWWWKQDGSGELSSKEYVHEWIGSQICVGWEDEENGEQKSSPLNSQGEAFFNNCEAKHEKKLSNGGVDKKTKKVREWWKEEYFAEISKKGKHDEGFGFGLRSMRWFRNASCRGGGGAGSSCSSNGNGYVKNMEVSFRNGWRRKRSRSAGSELFSGEMFSRELSSTTSMRGTVCYIAPESGNSMEKGDVYSFGVLILVILSGRRPLHVLSSPMKLEKANLVSWCRHLAQSGNVLDLVDERLKDLYDKEQASLCINLALLCLQRSPESRPDSGDIVRILKGEMDVPVVPFEFSPSPKLNSRSRRRTPTR >DRNTG_35020.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2306514:2308630:-1 gene:DRNTG_35020 transcript:DRNTG_35020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSLQDALFHPSDALTWDHRFRIILDVAQALAFLHLECDPPVIHGDIKPSNVLLGADFSAKISDFGLARFKTEGDLGVEMFSQELWKSQELASLEADFAFAPPPKKAATGVARANDKGKEPALAPVPPATAAVVPCNEESVNLEHSNGEWGKDWWWKQDGSGELSSKEYVHEWIGSQICVGWEDEENGEQKSSPLNSQGEAFFNNCEAKHEKKLSNGGVDKKTKKVREWWKEEYFAEISKKGKHDEGFGFGLRSMRWFRNASCRGGGGAGSSCSSNGNGYVKNMEVSFRNGWRRKRSRSAGSELFSGEMFSRELSSTTSMRGTVCYIAPESGNSMEKGDVYSFGVLILVILSGRRPLHVLSSPMKLEKANLVSWCRHLAQSGNVLDLVDERLKDLYDKEQASLCINLALLCLQRSPESRPDSGDIVRILKGEMDVPVVPFEFSPSPKLNSRSRRRTPTR >DRNTG_07763.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19401735:19405203:1 gene:DRNTG_07763 transcript:DRNTG_07763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFSSSSRSSVEALGGTPVVLNVYDLTPLNNYMYWFGLGIFHSGIEIHGLEYGFGAHDFPVTGVFEVEPKNCPGFVYRRSITLGCISLSPSETHTFMEQLASDYHGDTYHLISKNCNHFTNDVSSKLIGKPIPGWVNRLARLGAMCNCLLPESLQLPAVKQIHDYHAFSEDGSESFSIITADETDQDRCLLSSSSGAEVTFVKESLK >DRNTG_10360.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000435.1:25407:26179:-1 gene:DRNTG_10360 transcript:DRNTG_10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLFPELVMANADKEIFLRAIRQGDAIAPEPLARRQDDRPSSSRLPRRCSTNDSPTHA >DRNTG_22071.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20337760:20344763:1 gene:DRNTG_22071 transcript:DRNTG_22071.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:15-cis-phytoene desaturase, chloroplastic/chromoplastic [Source:Projected from Arabidopsis thaliana (AT4G14210) UniProtKB/Swiss-Prot;Acc:Q07356] MNVIGSFSCVSLNGVIQRRNAWDVSTSQRANPNIDSAHDNLQSFRSSDFTGCRLRVQSSWLRRSRGDQNVFPLQVVCMDYPRPELENTTNFLEAAQLSASFRSCPRPNKPLQIVIAGAGLAGLSTAKYLADAGHKPILLESRDVLGGKVAAWKDQDGDWYETGLHIFFGAYPNMQNLFGELGINDRLQWKEHSMIFAMPNKPGEFSRFDFPEVLPAPLNGIWAILKNNEMLTWPEKVQFAIGLLPAMVGGQAYVEAQDGLTVAEWMKKQGVPKRVSDEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVNHIESLGGEVRLNSRLKTIELNSDGTVKHLVLGDGNIITGDVYVVATPVDILKLLLPQEWKEVSYFNKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSSLLSVYADMSVTCKEYYDPNRSMLELVFAPAAEWISCSDLEIIDATMQELAKLFPDEIAADQSKAKILKYHIVKTPRSVYKTVPNCEPCRPSQRSPIEGFYLAGDYTKQKYLASMEGAVLSGKLCAQAIVQDYDLLASRAQKKGGT >DRNTG_22071.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20337760:20344763:1 gene:DRNTG_22071 transcript:DRNTG_22071.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:15-cis-phytoene desaturase, chloroplastic/chromoplastic [Source:Projected from Arabidopsis thaliana (AT4G14210) UniProtKB/Swiss-Prot;Acc:Q07356] MNVIGSFSCVSLNGVIQRRNAWDVSTSQRANPNIDSAHDNLQSFRSSDFTGCRLRVQSSWLRRSRGDQNVFPLQVVCMDYPRPELENTTNFLEAAQLSASFRSCPRPNKPLQIVIAGAGLAGLSTAKYLADAGHKPILLESRDVLGGKVAAWKDQDGDWYETGLHIFFGAYPNMQNLFGELGINDRLQWKEHSMIFAMPNKPGEFSRFDFPEVLPAPLNGIWAILKNNEMLTWPEKVQFAIGLLPAMVGGQAYVEAQDGLTVAEWMKKQGVPKRVSDEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVNHIESLGGEVRLNSRLKTIELNSDGTVKHLVLGDGNIITGDVYVVATPVDILKLLLPQEWKEVSYFNKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSSLLSVYADMSVTCKEYYDPNRSMLELVFAPAAEWISCSDLEIIDATMQELAKLFPDEIAADQSKAKILKYHIVKTPRSVYKTVPNCEPCRPSQRSPIEGFYLAGDYTKQKYLASMEGAVLSGKLCAQAIVQDYDLLASRAQKKGGT >DRNTG_20666.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20284688:20286698:1 gene:DRNTG_20666 transcript:DRNTG_20666.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNTFSYLQQVMDELWFSQNILLGKTRTASSTPLPAHLPTNSSSESLPGNSSTSQVFNTS >DRNTG_20666.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20284688:20286698:1 gene:DRNTG_20666 transcript:DRNTG_20666.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLINLLNITFKASKNQRMNTKREGIMQREETEPLK >DRNTG_20666.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20283887:20286698:1 gene:DRNTG_20666 transcript:DRNTG_20666.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFHFPGDRNCKGSCSGGSTEEKNPSNPRENDSRNSICRKSILLCQLLKKWWAYTVGRYLSC >DRNTG_29327.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29706796:29707218:1 gene:DRNTG_29327 transcript:DRNTG_29327.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTRIIEDNWTPVWNEKFEFPLTVPELALLRIEVHEYDMSEKDDFGGQTCLPVWEIRPGIRTVPLCDRKGEPYKSVKLLMRFEIILYQS >DRNTG_17228.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2669400:2670805:-1 gene:DRNTG_17228 transcript:DRNTG_17228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPATPSSSSYCPFQTSMASSSSSFSSKKGRLNWYDPFLFTISIIFAFILFWTIYCFLIPNPNPNAFPISTTTIPHTTTTTTTKTKNNSTSTQTIIGPSFYDNPSISYTITSKITNWDTQRKEWLLSNPTLSKPLFMLTGSQSSPCRNPVGDHLLLKFYKNKADYCRLHNIDLFYNTVLLHPLMVTYWAKIPLIRAAMIAHPEAEWFWWVDSDAAITDMDFSLPLHNWVSLNAGVFLIRNCQWSLDFMDAWASMGPQTPNYESWGKTLKAEFSDKLFSDSDDQSALVYLLLHEKRKWGDKIYLENEFYFEGYWLEIVGKFNKIEDKYLEIEMRSPELRKRKAEKVAVDANAAVRERYLREMKMRFGREGWRRPFITHFTGCQPCSGDHNKMYSGENCWEGMLRALHFADDQVLKNYGFKHEKVVGNESATAATVRPLPFGFPVTG >DRNTG_31303.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1216775:1217143:1 gene:DRNTG_31303 transcript:DRNTG_31303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRTKIRQKSITDIHRGLVFGIHCLFLQHAISWVFSLLSSCQSRRGSHWRKYPVRMLLAARRR >DRNTG_02175.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1434224:1437848:1 gene:DRNTG_02175 transcript:DRNTG_02175.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor VIP1 [Source:Projected from Arabidopsis thaliana (AT1G43700) UniProtKB/Swiss-Prot;Acc:Q9MA75] MDTSARFGAIPAPPGPRGAGHRRAHSETFLRVPDDILFDADDFGIELDFPSLSDDGPVPADAGRSESSSGGSRPSGGVHSRSLSVDAAFFDGLGIPASSASLERKQGHHRRSGSMDGATSPFEGDSASASDYAKKAMAADKLAELALIDPKRAKRILANRQSAARSKERKIRYTSELERKVQTLQTEATTLSAQLTLLQRDTTGLTAENRELKLRLQAMEQQAHLRDALNDALREEVQRLKIATGQMANMNGTTMNRGLQQSAAPYFPHQQQLHNQSGHHNQQLQGSHQSPNGQALSDQSLHDSMDFM >DRNTG_02175.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1434097:1437703:1 gene:DRNTG_02175 transcript:DRNTG_02175.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor VIP1 [Source:Projected from Arabidopsis thaliana (AT1G43700) UniProtKB/Swiss-Prot;Acc:Q9MA75] MDTSARFGAIPAPPGPRGAGHRRAHSETFLRVPDDILFDADDFGIELDFPSLSDDGPVPADAGRSESSSGGSRPSGGVHSRSLSVDAAFFDGLGIPASSASLERKQGHHRRSGSMDGATSPFEGDSASASDYAKKAMAADKLAELALIDPKRAKRILANRQSAARSKERKIRYTSELERKVQTLQTEATTLSAQLTLLQRDTTGLTAENRELKLRLQAMEQQAHLRDALNDALREEVQRLKIATGQMANMNGTTMNRGLQQSAAPYFPHQQQLHNQSGHHNQQLQGSHQSPNGQALSDQSLHDSMDFM >DRNTG_07445.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000338.1:65551:68798:1 gene:DRNTG_07445 transcript:DRNTG_07445.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAALGLAGNAVGILLPKLQETFSSILKVRDNARFIKDELESMNAFLVMNAAMGQYTDDDLELNVWMSQVRGIAYDIEDWAEEFTWRLCQPHWHGIGSCFPDVVRFTKDLVARVKIANNVHGLKGRVLEVGERSKRYGLRGRTTQEPTSSSIIAPVARMQHDPRLGAHLTDDAMLVGMDGPRNTLMDWLIPGDGSILRIISVVGMGGLGKTTLVKKLYENRQVMEHFHRSAWITVSQTFSLKVLLRDMINQLLGSQVFSAEMTEGQLVQQLRDELMKLNTSYVIVLDDVWSLNAWLSFFPALPDNSLGSRIIVTTRNLDVASFCSQESGHVYHLKPLCPENSWLLFCKKAFPRHYSNCPPTFTHLSKEILGKCDGLPLAIVTIGGVLASKPLLESECQKLHDHLGTSIMSHQGLDAMTRILSFSYYDLPYYLKPLFLYLAIFPEDYQIRRKRLLRRWIAEGLVHATRDMSTEEVAEWYFKELMDRSMILSSIINGDTTVHSCHIHDIMLEFTLNMSEKDNLVSIITRKQQPPAPAPAPQTQDVREARHLALHQHSLPANIHKNKKLEHVRSLTVFSLKV >DRNTG_22635.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20723055:20724608:-1 gene:DRNTG_22635 transcript:DRNTG_22635.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDF5 [Source:Projected from Arabidopsis thaliana (AT1G55370) UniProtKB/TrEMBL;Acc:A0A178W3N4] MASTGHFLSNFTLPPLSTQSKNLNVSQTHLVYKSQSCRNKISMSNRSSIASSTANTVSFDVDYYEKEFSGHGVSFESINNSCVIKMELENGSKASLMLPSGLITSYKPLMWHGGTVEVLHTKVSQKDAGEIVIQGGVSMNFNCAGDAGFRWSPSIWSLHDVRGSSDKYIQVELKSSDSKEMVDFIYLVTLEEALLGSELTITNSSSSSIQMIGSLMSHLTVSSPEATFAVGLQGSSYFCKQPLISNFSIIPPPQNEENVFPDTENEEDDDCASMAGDFSRIYTTAPRQFSVMDRVIQ >DRNTG_22635.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20723055:20724608:-1 gene:DRNTG_22635 transcript:DRNTG_22635.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDF5 [Source:Projected from Arabidopsis thaliana (AT1G55370) UniProtKB/TrEMBL;Acc:A0A178W3N4] MASTGHFLSNFTLPPLSTQSKNLNVSQTHLVYKSQSCRNKISMSNRSSIASSTANTVSFDVDYYEKEFSGHGVSFESINNSCVIKMELENGSKASLMLPSGLITSYKPLMWHGGTVEVLHTKVSQKDAGEIVIQGGVSMNFNCAGDAGFRWSPSIWSLHDVRGSSDKYIQVELKSSDSKEMVDFIYLVTLEEALLGSELTITNSSSSSIQMIGSLMSHLTVSSPEATFAVGLQGSSYFCKQPLISNFSIIPPPQNEENVFPDTENEEDDDCASMAGDFSRIYTTAPRQFSVMDRGRRNSVIMQRTGFDELYVYSPGSEHEMYGKYSYVCIGPSATLKPVNVRPGAAWGGAQYLCNPNL >DRNTG_14447.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17243341:17244790:1 gene:DRNTG_14447 transcript:DRNTG_14447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWIAVAYLAPVAAATALFLIYPIGQGSFSDGVAGVFGGSYSVLCMVLW >DRNTG_25034.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8751923:8753076:-1 gene:DRNTG_25034 transcript:DRNTG_25034.4 gene_biotype:protein_coding transcript_biotype:protein_coding MITRCHIDTGDDAICPKSSTGPVYNLTATDSWIRTKSCAIKFGSASFFDFSKHYYNNITIFESHRGLGMQIRDGGNVSDVTFSNMKISTRYYDPLWWGRAEPIYITSCARDSSSKAGFISNINFVNISSVSENGVFLSGSKHALLSNLNFINIELVYKRWSNYSGGLYDYRPGCQGLVKHNTAGMMMEYISGLQIKNVSMRWFGSGFKGWNDPLEFKASSVDKISFHDWSSDVL >DRNTG_25034.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8752576:8754120:-1 gene:DRNTG_25034 transcript:DRNTG_25034.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLLLFLLLTIIIAVTQSNGSHVSVTTFGAIGDGHHYDTTSIQHAIDACASSGGGRVRFPAGGKYLTATIFLRSGVVLEIEKGAQILGGPRQEDYPKESARWYVVLAEKVKGAGITGGGEINGQGWKFIVRPDPRKNIMVSWNSTGDCLGDECRPRLVGFIDSTDVRVWNVTLNQPAYWCLHLVRCSNSVVEDVRIYGDFNTPNNDGIDIEDSNHTMITRCHIDTGDDAICPKSSTGPVYNLTATDSWIRTKSCAIKFGSASFFDFSKHYYNNITIFESHRGLGMQIRDGGFSSQLN >DRNTG_25034.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8752576:8753985:-1 gene:DRNTG_25034 transcript:DRNTG_25034.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLLLFLLLTIIIAVTQSNGSHVSVTTFGAIGDGHHYDTTSIQHAIDACASSGGGRVRFPAGGKYLTATIFLRSGVVLEIEKGAQILGGPRQEDYPKESARWYVVLAEKVKGAGITGGGEINGQGWKFIVRPDPRKNIMVSWNSTGDCLGDECRPRLVGFIDSTDVRVWNVTLNQPAYWCLHLVRCSNSVVEDVRIYGDFNTPNNDGIDIEDSNHTMITRCHIDTGDDAICPKSSTGPVYNLTATDSWIRTKSCAIKFGSASFFDFSKHYYNNITIFESHRGLGMQIRDGGFSSQLN >DRNTG_25034.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8751923:8753985:-1 gene:DRNTG_25034 transcript:DRNTG_25034.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLLLFLLLTIIIAVTQSNGSHVSVTTFGAIGDGHHYDTTSIQHAIDACASSGGGRVRFPAGGKYLTATIFLRSGVVLEIEKGAQILGGPRQEDYPKESARWYVVLAEKVKGAGITGGGEINGQGWKFIVRPDPRKNIMVSWNSTGDCLGDECRPRLVGFIDSTDVRVWNVTLNQPAYWCLHLVRCSNSVVEDVRIYGDFNTPNNDGIDIEDSNHTMITRCHIDTGDDAICPKSSTGPVYNLTATDSWIRTKSCAIKFGSASFFDFSKHYYNNITIFESHRGLGMQIRDGGNVSDVTFSNMKISTRYYDPLWWGRAEPIYITSCARDSSSKAGFISNINFVNISSVSENGVFLSGSKHALLSNLNFINIELVYKRWSNYSGGLYDYRPGCQGLVKHNTAGMMMEYISGLQIKNVSMRWFGSGFKGWNDPLEFKASSVDKISFHDWSSDVL >DRNTG_25034.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8751923:8752484:-1 gene:DRNTG_25034 transcript:DRNTG_25034.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKISTRYYDPLWWGRAEPIYITSCARDSSSKAGFISNINFVNISSVSENGVFLSGSKHALLSNLNFINIELVYKRWSNYSGGLYDYRPGCQGLVKHNTAGMMMEYISGLQIKNVSMRWFGSGFKGWNDPLEFKASSVDKISFHDWSSDVL >DRNTG_06733.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000296.1:24333:25913:1 gene:DRNTG_06733 transcript:DRNTG_06733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTDAEFKAMDTAPFNARPSFQSMVNMIAESSNISTELPRTIDALSENGTMNEEKNSEIEVETDIEVLEVEEASDVASIPFEQIVEVPPQWEIEEEKGEDSIMAKETCEEAEETPSTIFEDATCLNIDFPIHPSILGKCKNKITGIVFEDVGTKLRSSLNPPMLGLDNSQPKIFFLSGRSKLCGPLKFITAGVEKKIMDKMLKPLINPPMQSLTSSQPNLFPWRPKQHLWIVQGNLTLVEEENLGRRLKPSQGPTHTNFE >DRNTG_28010.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20762241:20763631:1 gene:DRNTG_28010 transcript:DRNTG_28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPLELYYIAKGNGEFSRMCNEVSEKRRIGSKLSIPHIAGVIMLGSLRKFGETVEKCLVDCSVDRLAMVDVMWILEYVLQLQDADQSGFTDTDSITRIDELPTQVQNISNSESVTVSVQMICLMFQLAEFFHTVNRHQQPLNDVSRKIKRSLNFLSAREFTSSDDHDIGTALE >DRNTG_27055.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2668219:2672420:-1 gene:DRNTG_27055 transcript:DRNTG_27055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIPKPQRLRCSVQNYNWGRTGEESTVAVLFSRNNPIDPEKPYAEFWIGTHPSGPSSLLSLGSSDSRPVLLKSWILENPSAVLGDKVSEKWHGDLPFLFKVLSVAKALSIQAHPDKELAKVLHEMNPSVYKDSNHKPEMAIALTEFKALCGFVGIEELKDVLTSVPEIRDMIGDEHIGKYMSIKETDPYDETKVVLQSIYTKLMSTSEEAVSKSVSKLKHRLNIESKVRMLTEKEELALQLEQQYPNDIGVISSFFFNFVKLIPGEALHIGANEPHAYISGECVECMATSDNVVRAGLTPKYKDVQTLCSMLAYKQASI >DRNTG_27055.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2668219:2672420:-1 gene:DRNTG_27055 transcript:DRNTG_27055.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSVYKDSNHKPEMAIALTEFKALCGFVGIEELKDVLTSVPEIRDMIGDEHIGKYMSIKETDPYDETKVVLQSIYTKLMSTSEEAVSKSVSKLKHRLNIESKVRMLTEKEELALQLEQQYPNDIGVISSFFFNFVKLIPGEALHIGANEPHAYISGECVECMATSDNVVRAGLTPKYKDVQTLCSMLAYKQGYPEILLGTPLNNNVSRYIPPFDEFEVDHISLSPGESVSCPAVLGPSIFVVVTGEGSIQMCCATDEDKITVGDVYFVPAKNEIKLTSGGTGETQVYRAGVNSRLFNFVPAKSEGMDPSIHRNTSISH >DRNTG_00517.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30465659:30469747:-1 gene:DRNTG_00517 transcript:DRNTG_00517.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASGLVFRPPRCFTARNFAPFCVSRSGLLLRCCAAGEQALGASISKPRIPRLVGMGSKIVGCGSAVPNLLISNDDLAQIVETSDEWISVRTGIRNRRVLTGDETLTGLAVEAAKGALQMAEVESDDVDLVIMCTSTPDDLFGGGGQVQRELGCKNAWTFDITAACSGFVVGLITATRFIKGGGYQNILVIGADALSKYVDWTDRGLRQ >DRNTG_00517.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30467661:30469747:-1 gene:DRNTG_00517 transcript:DRNTG_00517.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASASGLVFRPPRCFTARNFAPFCVSRSGLLLRCCAAGEQALGASISKPRIPRLVGMGSKIVGCGSAVPNLLISNDDLAQIVETSDEWISVRTGIRNRRVLTGDETLTGLAVEAAKGALQMAEVESDDVDLVIMCTSTPDDLFGGGGQVCSILHFSCMILLILMQVNIPRSMYCIVPLFCTDG >DRNTG_00517.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30465659:30469747:-1 gene:DRNTG_00517 transcript:DRNTG_00517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASGLVFRPPRCFTARNFAPFCVSRSGLLLRCCAAGEQALGASISKPRIPRLVGMGSKIVGCGSAVPNLLISNDDLAQIVETSDEWISVRTGIRNRRVLTGDETLTGLAVEAAKGALQMAEVESDDVDLVIMCTSTPDDLFGGGGQVQRELGCKNAWTFDITAACSGFVVGLITATRFIKGGGYQNILVIGADALSKYVDWTDRGTCILFGDAAGAVLVQACASEEDGLLGFNLHSDGHGQRHLNAIAKDDKNELTSNMNGAPLFPPRKSSYSCIQMNGKEVFRFAVRCVPQAIEAALEEAGIASSSIDWLLLHQANQRIIDAVATRLQIPSDRVIANLANYGNTSAASIPLALAEAVRGGRVKAGDTIATAGFGAGLTWGSAIIRWG >DRNTG_32532.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20210488:20212160:-1 gene:DRNTG_32532 transcript:DRNTG_32532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSFHALPPSKRFKLLNSQQPSSDSLPSKQPIESPLPFNTFSSSCCLPAKKRVWAPLPLSPIKHQDEVHANGEADDEEDDDDDDGIVCSVCQSTDGEPLNPIVICDGCELMVHASCYGNPLLKSIPEGDWFCMQCEEKNKSCSTPSCCLCPVKQGALKPTVDGNWAHIVCALLVPEVFFKDSEGRDGIDCSLVPLGRKELGCYVCESVDGCAVECSEPKCGLGFHVSCGLEKGLCFEFKEAKCGAVVAAFCQDHTQLWEKQQLTGKFRIVPRKI >DRNTG_28224.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11874683:11878189:1 gene:DRNTG_28224 transcript:DRNTG_28224.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WHAT'S THIS FACTOR 9, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G39120) UniProtKB/Swiss-Prot;Acc:Q9ZUZ6] MILTRKPKRSLNVHPQILLFYQNYVDVKMKWKKDLYFDTIPIINKSKELRPLLALKDIIAADPTGAIPVSTVSKRARSLEISGRVASFLRKHPAIFQEFVGPKYNLPWFKLTQEAIDLDLEESSVFEACWPKIVDRLRRLVLMSREKVLPLSIVQGMLWFLGLPEDYLKREQENPSGYFQIVGLGDGEEGLKAVVDPRETVLSALQRNTLGQLGGSAEELPSVLPFPLFPSKGLRLKRKIESWLDGFQNLEYVSPYEDFSSLDPSSDVSEKRVVGVLHELLSLFVDCSAERRKLLCLKKQLGLPQKFHKVFERYPHVFYLLLKNKTCTVVLKEPYCAGSATAIEKHPMFSIRKKYVKLMNKSQEILRNRRSGKPMKQHSDDDVDGNVDDSATEEDSEELFRVAEETMGN >DRNTG_28224.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11874683:11877977:1 gene:DRNTG_28224 transcript:DRNTG_28224.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WHAT'S THIS FACTOR 9, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G39120) UniProtKB/Swiss-Prot;Acc:Q9ZUZ6] MILTRKPKRSLNVHPQILLFYQNYVDVKMKWKKDLYFDTIPIINKSKELRPLLALKDIIAADPTGAIPVSTVSKRARSLEISGRVASFLRKHPAIFQEFVGPKYNLPWFKLTQEAIDLDLEESSVFEACWPKIVDRLRRLVLMSREKVLPLSIVQGMLWFLGLPEDYLKREQENPSGYFQIVGLGDGEEGLKAVVDPRETVLSALQRNTLGQLGGSAEELPSVLPFPLFPSKGLRLKRKIESWLDGFQNLEYVSPYEDFSSLDPSSDVSEKRVVGVLHELLSLFVDCSAERRKLLCLKKQLGLPQKFHKVFERYPHVFYLLLKNKTCTVVLKEPYCAGSATAIEKHPMFSIRKKYVKLMNKSQEILRNRRSGKPMKQHSDDDVDGNVDDSATEEDSEELFRVAEETMGN >DRNTG_28224.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11874683:11877432:1 gene:DRNTG_28224 transcript:DRNTG_28224.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WHAT'S THIS FACTOR 9, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G39120) UniProtKB/Swiss-Prot;Acc:Q9ZUZ6] MILTRKPKRSLNVHPQILLFYQNYVDVKMKWKKDLYFDTIPIINKSKELRPLLALKDIIAADPTGAIPVSTVSKRARSLEISGRVASFLRKHPAIFQEFVGPKYNLPWFKLTQEAIDLDLEESSVFEACWPKIVDRLRRLVLMSREKVLPLSIVQGMLWFLGLPEDYLKREQENPSGYFQIVGLGDGEEGLKAVVDPRETVLSALQRNTLGQLGGSAEELPSVLPFPLFPSKGLRLKRKIESWLDGFQNLEYVSPYEDFSSLDPSSDVSEKRVVGVLHELLSLFVDCSAERRKLLCLKKQLGLPQKFHKVFERYPHVFYLLLKNKTCTVVLKEPYCAGSATAIEKHPMFSIRKKYVKLMNKSQEILRNRRSGKPMKQHSDDDVDGNVDDSATEEDSEELFRVAEETMGN >DRNTG_28224.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11876663:11877977:1 gene:DRNTG_28224 transcript:DRNTG_28224.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WHAT'S THIS FACTOR 9, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G39120) UniProtKB/Swiss-Prot;Acc:Q9ZUZ6] MANGQVDDGYSDVEDPSPLISNHTR >DRNTG_28224.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11874063:11877977:1 gene:DRNTG_28224 transcript:DRNTG_28224.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WHAT'S THIS FACTOR 9, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G39120) UniProtKB/Swiss-Prot;Acc:Q9ZUZ6] MILTRKPKRSLNVHPQILLFYQNYVDVKMKWKKDLYFDTIPIINKSKELRPLLALKDIIAADPTGAIPVSTVSKRARSLEISGRVASFLRKHPAIFQEFVGPKYNLPWFKLTQEAIDLDLEESSVFEACWPKIVDRLRRLVLMSREKVLPLSIVQGMLWFLGLPEDYLKREQENPSGYFQIVGLGDGEEGLKAVVDPRETVLSALQRNTLGQLGGSAEELPSVLPFPLFPSKGLRLKRKIESWLDGFQNLEYVSPYEDFSSLDPSSDVSEKRVVGVLHELLSLFVDCSAERRKLLCLKKQLGLPQKFHKVFERYPHVFYLLLKNKTCTVVLKEPYCAGSATAIEKHPMFSIRKKYVKLMNKSQEILRNRRSGKPMKQHSDDDVDGNVDDSATEEDSEELFRVAEETMGN >DRNTG_28224.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11874063:11877432:1 gene:DRNTG_28224 transcript:DRNTG_28224.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WHAT'S THIS FACTOR 9, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G39120) UniProtKB/Swiss-Prot;Acc:Q9ZUZ6] MILTRKPKRSLNVHPQILLFYQNYVDVKMKWKKDLYFDTIPIINKSKELRPLLALKDIIAADPTGAIPVSTVSKRARSLEISGRVASFLRKHPAIFQEFVGPKYNLPWFKLTQEAIDLDLEESSVFEACWPKIVDRLRRLVLMSREKVLPLSIVQGMLWFLGLPEDYLKREQENPSGYFQIVGLGDGEEGLKAVVDPRETVLSALQRNTLGQLGGSAEELPSVLPFPLFPSKGLRLKRKIESWLDGFQNLEYVSPYEDFSSLDPSSDVSEKRVVGVLHELLSLFVDCSAERRKLLCLKKQLGLPQKFHKVFERYPHVFYLLLKNKTCTVVLKEPYCAGSATAIEKHPMFSIRKKYVKLMNKSQEILRNRRSGKPMKQHSDDDVDGNVDDSATEEDSEELFRVAEETMGN >DRNTG_28224.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11874683:11878044:1 gene:DRNTG_28224 transcript:DRNTG_28224.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WHAT'S THIS FACTOR 9, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G39120) UniProtKB/Swiss-Prot;Acc:Q9ZUZ6] MILTRKPKRSLNVHPQILLFYQNYVDVKMKWKKDLYFDTIPIINKSKELRPLLALKDIIAADPTGAIPVSTVSKRARSLEISGRVASFLRKHPAIFQEFVGPKYNLPWFKLTQEAIDLDLEESSVFEACWPKIVDRLRRLVLMSREKVLPLSIVQGMLWFLGLPEDYLKREQENPSGYFQIVGLGDGEEGLKAVVDPRETVLSALQRNTLGQLGGSAEELPSVLPFPLFPSKGLRLKRKIESWLDGFQNLEYVSPYEDFSSLDPSSDVSEKRVVGVLHELLSLFVDCSAERRKLLCLKKQLGLPQKFHKVFERYPHVFYLLLKNKTCTVVLKEPYCAGSATAIEKHPMFSIRKKYVKLMNKSQEILRNRRSGKPMKQHSDDDVDGNVDDSATEEDSEELFRVAEETMGN >DRNTG_08356.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4071120:4073262:-1 gene:DRNTG_08356 transcript:DRNTG_08356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKTLMGERITRVSPSTPTSTSSTNFKYASHMPLPSLSLVLLSLYFSRPFIFHCKELRMM >DRNTG_17159.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21782527:21784251:1 gene:DRNTG_17159 transcript:DRNTG_17159.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQTTTINDYSINNTTTTTTTTTTPSHVVLVPLMAQGHIIPMLDMARLLAERGVLVTFITTPVNASRIKPIIVRVHESNLPINFIELPFPCAEAGLPLGCENLDLVPSKDLQLNFLQAIQLLALPFEQRLKNLVPRPTCMINDMWNPWAANVARSLNIRRLVFHGPSCAFIYCSYVFQLHKIYETVTDEFEEITVPGLTDVFGQSFKVCRTHAPGWSNAPGFEKLREKVLQGEETADGVVMNTFDDVEPMFVEAYKVVVGKDVWTVGPLCLYDKVDDLSARIVRGNKTAVDQEKLLCWLDSMEENSVLYVSFGSLTQMNVGQILEIGSGLEASEFPFIWVIKDVEKCPKVEKWLEGFEKRMSLRSFVIKGWAPQTVILSHKSVGGFVSHCGWNSTLEALSNGVPMIAWPQFADQFLNGRLVVELLRIGIAIGVKKPVFYYDEDEIRVRRDDVERAVRSLMGDGEEAEERRIRAREIKEKAIKAMEEGGSSYENITKLVEYIKHY >DRNTG_17159.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21782527:21784157:1 gene:DRNTG_17159 transcript:DRNTG_17159.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQTTTINDYSINNTTTTTTTTTTPSHVVLVPLMAQGHIIPMLDMARLLAERGVLVTFITTPVNASRIKPIIVRVHESNLPINFIELPFPCAEAGLPLGCENLDLVPSKDLQLNFLQAIQLLALPFEQRLKNLVPRPTCMINDMWNPWAANVARSLNIRRLVFHGPSCAFIYCSYVFQLHKIYETVTDEFEEITVPGLTDVFGQSFKVCRTHAPGWSNAPGFEKLREKVLQGEETADGVVMNTFDDVEPMFVEAYKVVVGKDVWTVGPLCLYDKVDDLSARIVRGNKTAVDQEKLLCWLDSMEENSVLYVSFGSLTQMNVGQILEIGSGLEASEFPFIWVIKDVEKCPKVEKWLEGFEKRMSLRSFVIKGWAPQTVILSHKSVGGFVSHCGWNSTLEALSNGVPMIAWPQFADQFLNGRLVVELLRIGIAIGVKKPVFYYDEDEIRVRRDDVERAVRSLMGDGEEAEERRIRAREIKEKAIKAMEEGGSSYENITKLVEYIKHY >DRNTG_17159.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21782284:21784251:1 gene:DRNTG_17159 transcript:DRNTG_17159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQTTTINDYSINNTTTTTTTTTTPSHVVLVPLMAQGHIIPMLDMARLLAERGVLVTFITTPVNASRIKPIIVRVHESNLPINFIELPFPCAEAGLPLGCENLDLVPSKDLQLNFLQAIQLLALPFEQRLKNLVPRPTCMINDMWNPWAANVARSLNIRRLVFHGPSCAFIYCSYVFQLHKIYETVTDEFEEITVPGLTDVFGQSFKVCRTHAPGWSNAPGFEKLREKVLQGEETADGVVMNTFDDVEPMFVEAYKVVVGKDVWTVGPLCLYDKVDDLSARIVRGNKTAVDQEKLLCWLDSMEENSVLYVSFGSLTQMNVGQILEIGSGLEASEFPFIWVIKDVEKCPKVEKWLEGFEKRMSLRSFVIKGWAPQTVILSHKSVGGFVSHCGWNSTLEALSNGVPMIAWPQFADQFLNGRLVVELLRIGIAIGVKKPVFYYDEDEIRVRRDDVERAVRSLMGDGEEAEERRIRAREIKEKAIKAMEEGGSSYENITKLVEYIKHY >DRNTG_17159.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21782527:21784085:1 gene:DRNTG_17159 transcript:DRNTG_17159.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQTTTINDYSINNTTTTTTTTTTPSHVVLVPLMAQGHIIPMLDMARLLAERGVLVTFITTPVNASRIKPIIVRVHESNLPINFIELPFPCAEAGLPLGCENLDLVPSKDLQLNFLQAIQLLALPFEQRLKNLVPRPTCMINDMWNPWAANVARSLNIRRLVFHGPSCAFIYCSYVFQLHKIYETVTDEFEEITVPGLTDVFGQSFKVCRTHAPGWSNAPGFEKLREKVLQGEETADGVVMNTFDDVEPMFVEAYKVVVGKDVWTVGPLCLYDKVDDLSARIVRGNKTAVDQEKLLCWLDSMEENSVLYVSFGSLTQMNVGQILEIGSGLEASEFPFIWVIKDVEKCPKVEKWLEGFEKRMSLRSFVIKGWAPQTVILSHKSVGGFVSHCGWNSTLEALSNGVPMIAWPQFADQFLNGRLVVELLRIGIAIGVKKPVFYYDEDEIRVRRDDVERAVRSLMGDGEEAEERRIRAREIKEKAIKAMEEGGSSYENITKLVEYI >DRNTG_17159.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21782284:21784157:1 gene:DRNTG_17159 transcript:DRNTG_17159.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQTTTINDYSINNTTTTTTTTTTPSHVVLVPLMAQGHIIPMLDMARLLAERGVLVTFITTPVNASRIKPIIVRVHESNLPINFIELPFPCAEAGLPLGCENLDLVPSKDLQLNFLQAIQLLALPFEQRLKNLVPRPTCMINDMWNPWAANVARSLNIRRLVFHGPSCAFIYCSYVFQLHKIYETVTDEFEEITVPGLTDVFGQSFKVCRTHAPGWSNAPGFEKLREKVLQGEETADGVVMNTFDDVEPMFVEAYKVVVGKDVWTVGPLCLYDKVDDLSARIVRGNKTAVDQEKLLCWLDSMEENSVLYVSFGSLTQMNVGQILEIGSGLEASEFPFIWVIKDVEKCPKVEKWLEGFEKRMSLRSFVIKGWAPQTVILSHKSVGGFVSHCGWNSTLEALSNGVPMIAWPQFADQFLNGRLVVELLRIGIAIGVKKPVFYYDEDEIRVRRDDVERAVRSLMGDGEEAEERRIRAREIKEKAIKAMEEGGSSYENITKLVEYIKHY >DRNTG_17159.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21782284:21784085:1 gene:DRNTG_17159 transcript:DRNTG_17159.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQTTTINDYSINNTTTTTTTTTTPSHVVLVPLMAQGHIIPMLDMARLLAERGVLVTFITTPVNASRIKPIIVRVHESNLPINFIELPFPCAEAGLPLGCENLDLVPSKDLQLNFLQAIQLLALPFEQRLKNLVPRPTCMINDMWNPWAANVARSLNIRRLVFHGPSCAFIYCSYVFQLHKIYETVTDEFEEITVPGLTDVFGQSFKVCRTHAPGWSNAPGFEKLREKVLQGEETADGVVMNTFDDVEPMFVEAYKVVVGKDVWTVGPLCLYDKVDDLSARIVRGNKTAVDQEKLLCWLDSMEENSVLYVSFGSLTQMNVGQILEIGSGLEASEFPFIWVIKDVEKCPKVEKWLEGFEKRMSLRSFVIKGWAPQTVILSHKSVGGFVSHCGWNSTLEALSNGVPMIAWPQFADQFLNGRLVVELLRIGIAIGVKKPVFYYDEDEIRVRRDDVERAVRSLMGDGEEAEERRIRAREIKEKAIKAMEEGGSSYENITKLVEYI >DRNTG_23689.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:21616832:21617090:1 gene:DRNTG_23689 transcript:DRNTG_23689.2 gene_biotype:protein_coding transcript_biotype:protein_coding ALDPSSSSAEEKHSGRRTKGQDNDVSKAATLGNPGHKGLDP >DRNTG_23689.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:21615214:21617090:1 gene:DRNTG_23689 transcript:DRNTG_23689.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALDPSSSSAEEKHSGRRTKGQDNDVSKAATLGNPGHKGLDP >DRNTG_23494.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21812629:21813731:1 gene:DRNTG_23494 transcript:DRNTG_23494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEQQVKMMMKKKKKHRCKVCNKWFPSGRSLGGHMRSHGTVSVSNGDGNGYGLNDNPKKTSWRCSDSSEKQCRECGKCFPSCHALFGHMRCHSVKGLDLVLDVDLDLAVTLMMLSRDTGKNWDDLDLDLDLGLERSKDEIFVPEIDVNGNGKKSVYECSSCKKSFQSYQALGGHRASHKRLRMEAIAIDGTVMPDLLDLNMPAVVAGDSSLNSASSSSWWKCEPMLGLI >DRNTG_23494.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21812565:21813531:1 gene:DRNTG_23494 transcript:DRNTG_23494.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEQQVKMMMKKKKKHRCKVCNKWFPSGRSLGGHMRSHGTVSVSNGDGNGYGLNDNPKKTSWRCSDSSEKQCRECGKCFPSCHALFGHMRCHSVKGLDLVLDVDLDLAVTLMMLSRDTGKNWDDLDLDLDLGLERSKDEIFVPEIDVNGNGKKSVYECSSCKKSFQSYQALGGHRASHKRLRMEAIAIDGTVMPDLLDLNMPAVVAGDSSLNSASSSSWWKCEPMLGLI >DRNTG_23494.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21812629:21813531:1 gene:DRNTG_23494 transcript:DRNTG_23494.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEQQVKMMMKKKKKHRCKVCNKWFPSGRSLGGHMRSHGTVSVSNGDGNGYGLNDNPKKTSWRCSDSSEKQCRECGKCFPSCHALFGHMRCHSVKGLDLVLDVDLDLAVTLMMLSRDTGKNWDDLDLDLDLGLERSKDEIFVPEIDVNGNGKKSVYECSSCKKSFQSYQALGGHRASHKRLRMEAIAIDGTVMPDLLDLNMPAVVAGDSSLNSASSSSWWKCEPMLGLI >DRNTG_23494.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21812629:21813638:1 gene:DRNTG_23494 transcript:DRNTG_23494.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEQQVKMMMKKKKKHRCKVCNKWFPSGRSLGGHMRSHGTVSVSNGDGNGYGLNDNPKKTSWRCSDSSEKQCRECGKCFPSCHALFGHMRCHSVKGLDLVLDVDLDLAVTLMMLSRDTGKNWDDLDLDLDLGLERSKDEIFVPEIDVNGNGKKSVYECSSCKKSFQSYQALGGHRASHKRLRMEAIAIDGTVMPDLLDLNMPAVVAGDSSLNSASSSSWWKCEPMLGLI >DRNTG_32435.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1902095:1902733:1 gene:DRNTG_32435 transcript:DRNTG_32435.1 gene_biotype:protein_coding transcript_biotype:protein_coding QACGPDPRHHPQPGMKTDSTNLNGKSSTTNKTCFQRSFLIS >DRNTG_25463.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1542277:1543933:1 gene:DRNTG_25463 transcript:DRNTG_25463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSISPKHNSEAEDEEESSSWSEITASSPPFSDTDDTWILIPNIQLEVNDDNNEVEEEEEDTESYYSQNLEEEEEEEEEEEEVEEESSSSKKLETMDQKMSSSSSRPSSETFISVLIRERVLHRLPAKQLLKLRTVSRQWNDFITSPFFIHSHSQSSTSISGVFYLRPSDPIKNPSFNPFNKYLHGLQSPELKFLPEPVAVLSSSHGLLCCRAFSGETTKYFIVNPVTGSWVLLPPPANDHGIKPAAVIVFEEPFMFNFTLDYKLVVAYDLGGCYGFEIFRSREWEWSVSAEICVTEQIVPESGVAAGGCAHWRTSMQTVVSYNPETDENWDVVWPESYREDVSWELMEINRKLICVCVKGDEICVYGSEGSGWKEMRKVRRMMGKWGSGEVKVVRVQEVKEVVLVENGRVWGWSLEDGKWREGDLFVGEGCENFVSFVGSLLHAYG >DRNTG_00384.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18461791:18464693:1 gene:DRNTG_00384 transcript:DRNTG_00384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPRQVLAGFLTISMFVLLGNMIKQDHFDSVEDNILHNSDVQISVVKIEQEADVLPMVKGPWEENSQELKTCWTRPSPNGAEESNGYITFSLKSGPEYHMSQVADAVVIARYLRATLVLPDIRGSVPGQKRNFQDMYDVEKFLNSMDGIVKITKEIPAEIATGKPAVVRVPNRVTEDFIVKEIEPIFQTKSYLRLATSFPSINLRLNDKQNDDLDTTTCLAMFGSLELKTEIQEVAEQMVLRLQTLSHKSDGKFIAVDLRVDVLEKKGCKEAGGQGRQSCYSAQEVGDFLKKIGFDGDATIYLTQTWWHESLNPLKDMFPKTYTKDDIMPPSKKGEFLLSGNVGLEKALDLYVCSQSDVFVPAISGMFYGSVAGKRIASGRSQILVPAQISGSSASASDFISSYVTMKNHLAYSCYC >DRNTG_00384.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18461791:18464693:1 gene:DRNTG_00384 transcript:DRNTG_00384.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPRQVLAGFLTISMFVLLGNMIKQDHFDSVEDNILHNSDVQISVVKIEQEADVLPMVKGPWEENSQELKTCWTRPSPNGAEESNGYITFSLKSGPEYHMSQVADAVVIARYLRATLVLPDIRGSVPGQKRNFQDMYDVEKFLNSMDGIVKITKEIPAEIATGKPAVVRVPNRVTEDFIVKEIEPIFQTKSYLRLATSFPSINLRLNDKQNDDLDTTTCLAMFGSLELKTEIQEVAEQMVLRLQTLSHKSDGKFIAVDLRVDVLEKKGCKEAGGQGRQSCYSAQEVGDFLKKIGFDGDATIYLTQTWWHESLNPLKDMFPKTYTKDDIMPPSKKGEFLLSGNVGLEKALDLYVCSQSDVFVPAISGMFYGSVAGKRIASGRSQILVPAQISGSSASASDFISSYVTMKNHLAYSCYC >DRNTG_34011.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31634091:31634445:-1 gene:DRNTG_34011 transcript:DRNTG_34011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSPDSLDPKKVKGKIVICLRDDGDYRVAMGISVLEAGGVGMVVVNDENFGDNIRVDPHFLPATHITYNAGTVLHSYI >DRNTG_24868.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20122010:20124819:-1 gene:DRNTG_24868 transcript:DRNTG_24868.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNHHDELIKIGIKPFLRDTKFSHKFPYVIFCAPPFPTTDYPNDLRSAGLNWSGKGSFLFTSSTAVYDCNDNGLCDEDFPLVPIGRSSRTDVLLKAENAALEAGGCVLRLVGLYNSDKGPHMYWLEKGTVESRPDHILNLIHYKVVIFST >DRNTG_24868.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20122010:20124819:-1 gene:DRNTG_24868 transcript:DRNTG_24868.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGPGVLGRIVAEKWQQEYPNSQIYGQTMTTNHHDELIKIGIKPFLRDTKFSHKFPYVIFCAPPFPTTDYPNDLRSAGLNWSGKGSFLFTSSTAVYDCNDNGLCDEDFPLVPIGRSSRTDVLLKAENAALEAGGCVLRLVGLYISFI >DRNTG_24868.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20122010:20124819:-1 gene:DRNTG_24868 transcript:DRNTG_24868.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGPGVLGRIVAEKWQQEYPNSQIYGQTMTTNHHDELIKIGIKPFLRDTKFSHKFPYVIFCAPPFPTTDYPNDLRSAGLNWSGKGSFLFTSSTAVYDCNDNGLCDEDFPLVPIGRSSRTDVLLKAENAALEAGGCVLRLVGL >DRNTG_24868.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20122010:20124819:-1 gene:DRNTG_24868 transcript:DRNTG_24868.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSQNSSFTAGPPMDIFSNNAGELNSVYTDVVGEHDLMIVGPGVLGRIVAEKWQQEYPNSQIYGQTMTTNHHDELIKIGIKPFLRDTKFSHKFPYVIFCAPPFPTTDYPNDLRSAGLNWSGKGSFLFTSSTAVYDCNDNGLCDEDFPLVPIGRSSRTDVLLKAENAALEAGGCVLRLVGLYNSDKGPHMYWLEKGTVESRPDHILNLIHYKVVIFST >DRNTG_24868.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20122010:20124819:-1 gene:DRNTG_24868 transcript:DRNTG_24868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGPGVLGRIVAEKWQQEYPNSQIYGQTMTTNHHDELIKIGIKPFLRDTKFSHKFPYVIFCAPPFPTTDYPNDLRSAGLNWSGKGSFLFTSSTAVYDCNDNGLCDEDFPLVPIGRSSRTDVLLKAENAALEAGGCVLRLVGLYNSDKGPHMYWLEKGTVESRPDHILNLIHYKDVASLSIAILKKNLRNRIFVGCDNHPLSRQDIMDAVSQSGKFDKNFNGFTGTDDPLGKKIYNTKTRAELGWEPKYQSFRQFLGLTD >DRNTG_24868.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20122010:20124819:-1 gene:DRNTG_24868 transcript:DRNTG_24868.7 gene_biotype:protein_coding transcript_biotype:protein_coding MYWLEKGTVESRPDHILNLIHYKDVASLSIAILKKNLRNRIFVGCDNHPLSRQDIMDAVSQSGKFDKNFNGFTGTDDPLGKKIYNTKTRAELGWEPKYQSFRQFLGLTD >DRNTG_24868.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20122010:20124819:-1 gene:DRNTG_24868 transcript:DRNTG_24868.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSQNSSFTAGPPMDIFSNNAGELNSVYTDVVGEHDLMIVGPGVLGRIVAEKWQQEYPNSQIYGQTMTTNHHDELIKIGIKPFLRDTKFSHKFPYVIFCAPPFPTTDYPNDLRSAGLNWSGKGSFLFTSSTAVYDCNDNGLCDEDFPLVPIGRSSRTDVLLKAENAALEAGGCVLRLVGLYNSDKGPHMYWLEKGTVESRPDHILNLIHYKDVASLSIAILKKNLRNRIFVGCDNHPLSRQDIMDAVSQSGKFDKNFNGFTGTDDPLGKKIYNTKTRAELGWEPKYQSFRQFLGLTD >DRNTG_04326.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31271861:31273441:1 gene:DRNTG_04326 transcript:DRNTG_04326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSATRLLLFCLISISIARTCYSFSNEEFLQCLNLHTKTSTGNLSKLLYYPNTTSYSNLLLSSISNTRFTTPQTPKPLLIFTPSHESHVQASVLCCKYYALSLRVRSGGHDFEGLSFHSLEKQPFIILDFINFQSVIIDVKHSTALVEVGATIGDVYYHIAKQTTIFGFPAGTCPTVGVGGHISGGGIGTLVRKYGVAADNVLDVRLVDLHGRILDKGSMGEDLFWAVRGGGAASFGVVLSWKLRLVPVPQTVTVFNVSKSLEDGAIDIIDKWQHVAHNLPEDLFIETVIQTILNGTKGVEVIFNGLYLGKSYKALEVMNNSFPEMGVGVKDLKEMNWIQSVMSFGFYPVDSPLEILTDRSLQPKVNFKAKSDYVVNLLPRAALKILWDSLLQVEAASISFEPYGGRMAEIPESQIPFPHRKGTLFNIVYLVGWHNDSEKSLNWITKLYNQMTPYVSKNPRCAYLNYRDIDLGRNAGRNTSYSMAEVWGHKYYKSNFKRLAMVKGEVDPENFFWNEQSIPALVF >DRNTG_16744.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10954342:10959250:1 gene:DRNTG_16744 transcript:DRNTG_16744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGGPSSMRRSLIENPIHPQPLHSGMPPYSQTWWLH >DRNTG_11216.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2373885:2382271:1 gene:DRNTG_11216 transcript:DRNTG_11216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPESLWGKIDPKHFGDRVYRGKPPELEEKLKKSKKKKERDPAALEQEPRKESKRRRVLQEESVLSLADDAVYQPKTKETRAAYEALLSVIQQQFGGQPQDVLSGAADEVLAVLKNEKFKNPDKKKEIEKLLNPISNQLFDQLVSIGRLITDYQDGGDAAAPAPADGNEEALDDDIGVAVEFEEDEEEEESDYDQVQEESENEDEEGQEQNGSGAMQMGGIDDDDLEEANEGLALNVQDIDAYWLQRKISQAYEEIDPQQSQKLAEEVLEILAEGDDRDVENRLVMLLDYDKFDLIKLLLRNRLKIVWCTRLARAEDQEQRKKIEEEMTTMGPGLATILEQLHATRASAKERQKNLEKSIREEARRLKDERNGTDGDEGRRVIDRDADNGWLKGQRQLLDLDSLAFHQGGLLMANKKCELPMGSYRANQKGYEEVYVPSLKPKPFADGEELIRISMMPEWAQPAFEGMKQLNRVQSRVYETALFSPENILLCAPTGAGKTNVAMLTILHELGMHQSENPDEPFSKKIIYVAPMKALVAEVVGNLSHRLKSYNIVVKELSGDHSLTRQQIEETHIIVTTPEKWDIVTRKSGDRTYTQLVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKEHIRLVGLSATLPNYEDVALFLRVDRSKGLFYFDNSYRPCPLAQQYIGITVKKPLQRFQLMNEICYDKVMGAAGKHQVLIFVHSRKETAKTARAIRDTALANDTLGRFLRDDGASREILQNQTELVKSNDLKDLLPYGFAIHHAGMTRPDRSLVEDLFADGHVQVLVSTATLAWGVNLPAHTVIIKGTQVYNPEKGAWTELSPLDVMQMLGRAGRPQYDSYGDGIILTGHTELQYYLSLMNQQLPIESQFVSKLADQLNAEIVLGTVQNAREACTWIGYTYLYIRMLRNPTLYGLPADILEKDTNLEERRADLIHSAASILDRNNLIKYDRKSGYFQVTDLGRIASYYYITHGTISTYNEYLKPTMGDIELCRLFSLSEEFKYVAVRQDEKMELAKLLDRVPIPVKESLEEPSAKINVLLQAYIAGLKLEGLSLTSDMVYIRQSAGRLLRALFEIVLKRGWAQLAERALNMCKMVDKRMWSVQTPLRQFAGIPNEILMKLEKKDLAWERYYDLSSQEIGELIRYQKMGRTLHKNIHQLPKLNLAARVQPITRTILGFELTITPDFQWDDKVHGYVEPFWVIVEDNDGEFILHHEYFMLKKQYIDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSLTVLPVCFRHLILPEKYPPPTELLDLQPLPVTALRNPLYEALYGTFKHFNPIQTQVFTVLYNTDDNVLVAAPTGSGKTICAEFALLRNHQKGPESIMRAVYIAPIEALAKERYLEWKEKFGKGLGMNVVELTGETATDLKLLERGQIIISTPEKWDALSRRWKQRKHVQQVSLFIVDELHLIGGSMGPILEIIVSRMRRIASQIGNSIRIVALSASLANAKDLGEWIGATSHGLFNFPPGVRPVPLEIHIQGVDIANFEARMQAMTKPTYTAIVQHAKNGKPALIFVPTRKHARLTAIDLMTYSIADSGEKPAFLLGSIEEMETFISGVKEDVLKNTLSHGIGFLHEGLTDLDREVVLQLFLSGRIQVCVASSSMCWGISMPAHLVVVMGTQYYDGRENAHTDYPITDLLQMMGHASRPLKDNSGKCVILCHAPRKEYYKKFLYEAFPVESQLHHFIHDHFNAEVVVGVVENKQDAVDYLTWTFMYRRLTKNPNYYNLQGVSHRHLSDHLSDLVENALNDLEASKCVAIEEDMYLKPLNLGLIASYYYISYTTIERFSSSLTPKVKLKGLLDILASAAEFDQLPIRPGEEELIRKLINHQRFSFENPRCTDPHVKANALLQAHFSRHKVVGNLAADQREVLLSAHRLLQAMVDVISSNGWLSLAISVMEISQMVTQGLWERDSTLLQLPHFDRELARKCQENPGKSIETIFELVDMEDDQRRELLQMDDSKLHDIARFCNRFPNIEMVYEVLDSDDIRPGDKVTLHVALERELEGRSEVGPVDAPRYPKPKEEGWWLVVGDTNSNQLLAIKRVAPQRKAKVKLDFDAPAEPGQETYMIYFMCDSYLGCDQEYQFTINVQGAAEE >DRNTG_01811.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3124191:3124862:-1 gene:DRNTG_01811 transcript:DRNTG_01811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTDSSLLVVWLVLQVMVPYDYPTFINELTSLVNKGVIPMSRIDDAVRRILRVKFTMGLFEIALADLSFAEQLGSRVILDLSLLMPCCNMLPFLIPRFAINRSTGIWPEKPLENHSYC >DRNTG_08540.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17395968:17398052:1 gene:DRNTG_08540 transcript:DRNTG_08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFTESSELVEIRDVWEENLEEEFMLIRQVVDSYPYAAMDTEFPGMVTRPVGNFPSTSDYNYASLKGNVDMLKLIQLGLTLFNESGHLPTIDGGRGIVWQFNFRDFDPSRDMCAQDSIELLIQCGIDFKKNLEKGVSSFRFAELLMSSGVVLNDSVRWVTFHSAYDFGYLLKLLSGRHLPSTQDGFFTLIQIFFPVVYDIKHLMKFCNGLYGGLSKVAEQLGVKRIGTCHQAGSDSLLTASVFQRIKALYFKGSPKTHSGVLYGLGADS >DRNTG_24450.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:473635:474127:1 gene:DRNTG_24450 transcript:DRNTG_24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding EIFCCISRINATTLLIYITENGVDDNNIKDLPLKDALEDNVRVLYYYQHLRYLQSAIKKGSNVKAYFAWAFLDDFEWDAGFTVRFGLTFY >DRNTG_32719.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18158805:18160661:-1 gene:DRNTG_32719 transcript:DRNTG_32719.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14T [Source:Projected from Arabidopsis thaliana (AT1G55550) UniProtKB/Swiss-Prot;Acc:F4I1T9] MLVHVSPKEEDLCETICSLNFAMRVKSVHLACDESADVRVKREETMAELQEKLKYYETKCRIVQGDIDMLKEKLRRLDRNNETSCISTDTMQFDMINNCTQCYGFDYKFKKPTWIHASDFFESKENGFELPYVSNISERNHQMPSKRKSSSVYAESAGDFQSDYGSEFSIVTISDWKNIVDDQSECSQSASEKSEIKKVILQDSEKSIRDSRASSSSCESSNGNMANWLDLQMTKKTSVTASGRTKRVLAIRFNEQNSSMIQSKNTNDFTKLNSNASIQKKNIGAADAEADISFDKKAIDELNSSMTQSKTKNDFMKLNSNASILKNNGASDAEADVSFDKNATDKFNSSMIQSRNMNDFMELNSNASIQKSNGAAAAATEADISVDKKAIDEFLYSCEDAEIQKSNQEQSQDPATPVHIESFEKPYLQLVQSQVEVNPIDQNEENQNKKGKQQQHPKIIHILWTSALLGLGITSLGYEHDFFNGLML >DRNTG_32719.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18158805:18162791:-1 gene:DRNTG_32719 transcript:DRNTG_32719.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14T [Source:Projected from Arabidopsis thaliana (AT1G55550) UniProtKB/Swiss-Prot;Acc:F4I1T9] MEAKKPGKSLRRLPKSLQSLLGLKTYLTPKWIESVSHILKDLPSAPVIDTEKIENHDSVSNLCGDLAQLNKYLNQLSLQRRQALNEFLDLKGNIRVFCRVRPLLDDERYFGLRSIISSDSSNLLLKISETKSKQYAFDRVFHQQSTQEQVFSEIEPLIKSALDGYNVCILAYGQTGTGKTFTMEGDKDSLGLVSRGIKELFRQALESNLEYMFTFSMLEIYMGRLRDLLVPRNRNTRNHNAQCLSIQMDPNGDVDIEKLTSVKVSDFNQVEKLYKLGTQLRSTASTMSNSTSSRSHCLTRITITCIGENARRRETSKMWMVDLGGSERLLKTQASGRRLQEGKAINLSLSALGDVISALQSKKHQHVPYRNSKLTQVLRDSLETDSKTLMLVHVSPKEEDLCETICSLNFAMRVKSVHLACDESADVRVKREETMAELQEKLKYYETKCRIVQGDIDMLKEKLRRLDRNNETSCISTDTMQFDMINNCTQCYGFDYKFKKPTWIHASDFFESKENGFELPYVSNISERNHQMPSKRKSSSVYAESAGDFQSDYGSEFSIVTISDWKNIVDDQSECSQSASEKSEIKKVILQDSEKSIRDSRASSSSCESSNGNMANWLDLQMTKKTSVTASGRTKRVLAIRFNEQNSSMIQSKNTNDFTKLNSNASIQKKNIGAADAEADISFDKKAIDELNSSMTQSKTKNDFMKLNSNASILKNNGASDAEADVSFDKNATDKFNSSMIQSRNMNDFMELNSNASIQKSNGAAAAATEADISVDKKAIDEFLYSCEDAEIQKSNQEQSQDPATPVHIESFEKPYLQLVQSQVEVNPIDQNEENQNKKGKQQQHPKIIHILWTSALLGLGITSLGYEHDFFNGLML >DRNTG_32719.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18158805:18162791:-1 gene:DRNTG_32719 transcript:DRNTG_32719.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14T [Source:Projected from Arabidopsis thaliana (AT1G55550) UniProtKB/Swiss-Prot;Acc:F4I1T9] MEAKKPGKSLRRLPKSLQSLLGLKTYLTPKWIESVSHILKDLPSAPVIDTEKIENHDSVSNLCGDLAQLNKYLNQLSLQRRQALNEFLDLKGNIRVFCRVRPLLDDERYFGLRSIISSDSSNLLLKISETKSKQYAFDRVFHQQSTQEQVFSEIEPLIKSALDGYNVCILAYGQTGTGKTFTMEGDKDSLGLVSRGIKELFRQALESNLEYMFTFSMLEIYMGRLRDLLVPRNRNTRNHNAQCLSIQMDPNGDVDIEKLTSVKVSDFNQVEKLYKLGTQLRSTASTMSNSTSSRSHCLTRITITCIGENARRRETSKMWMVDLGGSERLLKTQASGRRLQEGKAINLSLSALGDVISALQSKKHQHVPYRNSKLTQVLRDSLETDSKTLMLVHVSPKEEDLCETICSLNFAMRVKSVHLACDESADVRVKREETMAELQEKLKYYETKCRIVQGDIDMLKEKLRRLDRNNETSCISTDTMQFDMINNCTQCYGFDYKFKKPTWIHASDFFESKENGFELPYVSNISERNHQMPSKRKSSSVYAESAGDFQSDYGSEFSIVTISDWKNIVDDQSECSQSASEKSEIKKVILQDSEKSIRDSRASSSSCESSNGNMANWLDLQMTKKTSVTASGRTKRVLAIRFNEQNSSMIQSKNTNDFTKLNSNASIQKKNIGAADAEADISFDKKAIDELNSSMTQSKTKNDFMKLNSNASILKNNGASDAEADVSFDKNATDKFNSSMIQSRNMNDFMELNSNASIQKSNGAAAAATEADISVDKKAIDEFLYSCEDAEIQKSNQEQSQDPATPVHIESFEKPYLQLVQSQVEVNPIDQNEENQNKKGKQQQHPKIIHILWTSALLGLGITSLGYEHDFFNGLML >DRNTG_32719.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18159080:18162791:-1 gene:DRNTG_32719 transcript:DRNTG_32719.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14T [Source:Projected from Arabidopsis thaliana (AT1G55550) UniProtKB/Swiss-Prot;Acc:F4I1T9] MEAKKPGKSLRRLPKSLQSLLGLKTYLTPKWIESVSHILKDLPSAPVIDTEKIENHDSVSNLCGDLAQLNKYLNQLSLQRRQALNEFLDLKGNIRVFCRVRPLLDDERYFGLRSIISSDSSNLLLKISETKSKQYAFDRVFHQQSTQEQVFSEIEPLIKSALDGYNVCILAYGQTGTGKTFTMEGDKDSLGLVSRGIKELFRQALESNLEYMFTFSMLEIYMGRLRDLLVPRNRNTRNHNAQCLSIQMDPNGDVDIEKLTSVKVSDFNQVEKLYKLGTQLRSTASTMSNSTSSRSHCLTRITITCIGENARRRETSKMWMVDLGGSERLLKTQASGRRLQEGKAINLSLSALGDVISALQSKKHQHVPYRNSKLTQVLRDSLETDSKTLMLVHVSPKEEDLCETICSLNFAMRVKSVHLACDESADVRVKREETMAELQEKLKYYETKCRIVQGDIDMLKEKLRRLDRNNETSCISTDTMQFDMINNCTQCYGFDYKFKKPTWIHASDFFESKENGFELPYVSNISERNHQMPSKRKSSSVYAESAGDFQSDYGSEFSIVTISDWKNIVDDQSECSQSASEKSEIKKVILQDSEKSIRDSRASSSSCESSNGNMANWLDLQMTKKTSVTASGRTKRVLAIRFNEQNSSMIQSKNTNDFTKLNSNASIQKKNIGAADAEADISFDKKAIDELNSSMTQSKTKNDFMKLNSNASILKNNGASDAEADVSFDKNATDKFNSSMIQSRNMNDFMELNSNASIQKSNGAAAAATEADISVDKKAIDEFLYSCEDAEIQKSNQEQSQDPATPVHIESFEKPYLQLVQSQVEVNPIDQNEENQNKKGK >DRNTG_22862.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4472511:4474883:-1 gene:DRNTG_22862 transcript:DRNTG_22862.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVFGKLHRCCCPTSYIPRPPLRHVLTGCSTASAAVPSAGLSLLYSPFTVPGFYPYKSDHKNEDRFVVSTNLQGRPDAHLFAVFDGHGRFGAQCSAFVSDRLVEVLNADVNLFQDLVKGFESAFRVTNSDLRQSAIDDTMSGTTAIVVLVFGDSMYVANVGDSRAVAGVRRGTSVEAQNLSSDQKPLRKDEYERVQKCGARVLSLDQLEGIKDPKLQKWDEFDPPRLWLPNEQYPGTAFTRSVGDSVAESIGVSAEPEVLVMKISPEHIFFVVASDGVFEFLSSQDVVEMAAKFADPQDACSAIAAESYRRWLTNDTRTDDITIIVVHIEGLHNSSADAVEGTSRRSCERSSVISDIKREERPNTRHL >DRNTG_22862.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4470809:4474883:-1 gene:DRNTG_22862 transcript:DRNTG_22862.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVFGKLHRCCCPTSYIPRPPLRHVLTGCSTASAAVPSAGLSLLYSPFTVPGFYPYKSDHKNEDRFVVSTNLQGRPDAHLFAVFDGHGRFGAQCSAFVSDRLVEVLNADVNLFQDLVKGFESAFRVTNSDLRQSAIDDTMSGTTAIVVLVFGDSMYVANVGDSRAVAGVRRGTSVEAQNLSSDQKPLRKDEYERVQKCGARVLSLDQLEGIKDPKLQKWDEFDPPRLWLPNEQYPGTAFTRSVGDSVAESIGVSAEPEVLVMKISPEHIFFVVASDGVFEFLSSQDVVEMAAKFADPQDACSAIAAESYRRWLTNDTRTDDITIIVVHIEGLHNSSADAVEGTSRRSCERSSVISDIKREERPNTRHL >DRNTG_28620.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28418156:28422851:-1 gene:DRNTG_28620 transcript:DRNTG_28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNVYMEPSSSAHSFDKTTSHASLTPSNVLEFCNGNEEPKRWHPTYILDYSDLSIGESLICLIIGISFCFSYFILLMGLLYANFLMLILPIRPTFFFPVLVWEFIVVGC >DRNTG_28620.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28422075:28422851:-1 gene:DRNTG_28620 transcript:DRNTG_28620.3 gene_biotype:protein_coding transcript_biotype:protein_coding RWALYPPGRVPAGVIVHVNDEDGDVNIETPTFLQWWLDVYPLLADHDKPIECTQLPGETIFVPSGWWHCVLNLETTVAVTQNFVNTSNFEFVCLDMAPGHTQKGVCRAGLLAVQDKGFGDVDKDALFESNQLNYPDLTRIEKRLKCSTVDREASRPDNSNGMKAFSDVSNIMRNQKFSYDIEFLSMFLDEDRNQYCSPWSPSNCIGQREMRQWLHKLWVLRPEMRQLIWK >DRNTG_15867.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25377949:25378600:-1 gene:DRNTG_15867 transcript:DRNTG_15867.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESNVTAGKSIRCKAAVSRAGGEPLVIEEVEVAPPQAWEVRIKIVCTSLCHSDVTFWRMKNSPPAIYPRIFGHEAFGYVLFSGIVVVVVFFFLKCINY >DRNTG_15867.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25372738:25378600:-1 gene:DRNTG_15867 transcript:DRNTG_15867.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNVTAGKSIRCKAAVSRAGGEPLVIEEVEVAPPQAWEVRIKIVCTSLCHSDVTFWRMKNSPPAIYPRIFGHEAFGVVESVGEHVEEFKAGDTVVPVFLPHCYGCVDCSTPRSNMCSLLPFQVSSGMPRDRDSSRFSDNMGRTIHHFLNVSSFSEYTVVDVAHLVKVDPALPPEKACLLSCGVSTGVGAAWKAAEVEEGSTVAVFGLGAVGLAVVEGARLRGAAKIIGVDINPQKFELGKKFGLTDFVNPNEIGDERSVSQVISEMTGGGADYCFECIGLASLMQSAYESSRKGWGKTIVLGVEMHGKPLSLDTRRILEGKWITGSLFGGIKAKTDIPILAKKYLDKELHLDSFITHEVGFEDINKAFELLNEGKSLRCIIWMDK >DRNTG_06755.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29411398:29413558:1 gene:DRNTG_06755 transcript:DRNTG_06755.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLGLRPNEFTFPFVLKSSSSLSLLPLGLQLHSLLLKSGLLLSNPFCACALLDLYSKLAPLPHALNLFDRIPQPNLPACNSILSALARHALLQDCFQLLDVMQSWGFDPPGCSSWNSIIAGCVQTGDIELALQVLRFMLNTVRPSPATFNTLLPVIPDLRCLETLKMLHGFALRVLEFVDFDPVDEDRLWAAIAAGYASLGSLRDASLLFERIRLKSPRLWVSMISGFLDCGAVEEAFEVFRAMAVEFGCEERPLPKVSLTLLLPLCGLRPRNGMEIHGYACKVNGLASNTSVCNALMAMYVKAGDSESADNVFDTMPERDIISWNTMLAKLALVDDFEHVSRLFRAMLADGVWPDEYSFSSVLNGCGHSSNLRQGMALHARMVKCGFSQSYLVVENALMDAYGKCGCASDARKVFDGMESRDTISWNTIISCCAFSASPRESFSLFDRMLQQGFKPNRVTFIALLSACSHAGLLEEGLYFFDSMARDHGVVRDLDHYACIIDNLGRVGELDRAYQFIKGMPIEPDECIWSALLNACRIYGNVELAEVAAKKLIQLDPQHSGYWVLLSNIYADASRWDDVRHVRAAMKHGGVVKCPGFSCVEIGGSETHKFLTGDMSHNQSDDIYSALDGLTENLKDEGYIPLLDHKFANV >DRNTG_06755.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29411398:29413738:1 gene:DRNTG_06755 transcript:DRNTG_06755.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLGLRPNEFTFPFVLKSSSSLSLLPLGLQLHSLLLKSGLLLSNPFCACALLDLYSKLAPLPHALNLFDRIPQPNLPACNSILSALARHALLQDCFQLLDVMQSWGFDPPGCSSWNSIIAGCVQTGDIELALQVLRFMLNTVRPSPATFNTLLPVIPDLRCLETLKMLHGFALRVLEFVDFDPVDEDRLWAAIAAGYASLGSLRDASLLFERIRLKSPRLWVSMISGFLDCGAVEEAFEVFRAMAVEFGCEERPLPKVSLTLLLPLCGLRPRNGMEIHGYACKVNGLASNTSVCNALMAMYVKAGDSESADNVFDTMPERDIISWNTMLAKLALVDDFEHVSRLFRAMLADGVWPDEYSFSSVLNGCGHSSNLRQGMALHARMVKCGFSQSYLVVENALMDAYGKCGCASDARKVFDGMESRDTISWNTIISCCAFSASPRESFSLFDRMLQQGFKPNRVTFIALLSACSHAGLLEEGLYFFDSMARDHGVVRDLDHYACIIDNLGRVGELDRAYQFIKGMPIEPDECIWSALLNACRIYGNVELAEVAAKKLIQLDPQHSGYWVLLSNIYADASRWDDVRHVRAAMKHGGVVKCPGFSCVEIGGSETHKFLTGDMSHNQSDDIYSALDGLTENLKDEGYIPLLDHKFANV >DRNTG_06755.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29411477:29414286:1 gene:DRNTG_06755 transcript:DRNTG_06755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLGLRPNEFTFPFVLKSSSSLSLLPLGLQLHSLLLKSGLLLSNPFCACALLDLYSKLAPLPHALNLFDRIPQPNLPACNSILSALARHALLQDCFQLLDVMQSWGFDPPGCSSWNSIIAGCVQTGDIELALQVLRFMLNTVRPSPATFNTLLPVIPDLRCLETLKMLHGFALRVLEFVDFDPVDEDRLWAAIAAGYASLGSLRDASLLFERIRLKSPRLWVSMISGFLDCGAVEEAFEVFRAMAVEFGCEERPLPKVSLTLLLPLCGLRPRNGMEIHGYACKVNGLASNTSVCNALMAMYVKAGDSESADNVFDTMPERDIISWNTMLAKLALVDDFEHVSRLFRAMLADGVWPDEYSFSSVLNGCGHSSNLRQGMALHARMVKCGFSQSYLVVENALMDAYGKCGCASDARKVFDGMESRDTISWNTIISCCAFSASPRESFSLFDRMLQQGFKPNRVTFIALLSACSHAGLLEEGLYFFDSMARDHGVVRDLDHYACIIDNLGRVGELDRAYQFIKGMPIEPDECIWSALLNACRIYGNVELAEVAAKKLIQLDPQHSGYWVLLSNIYADASRWDDVRHVRAAMKHGGVVKCPGFSCVEIGGSETHKFLTGDMSHNQSDDIYSALDGLTENLKDEGYIPLLDHKFANV >DRNTG_06755.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29411477:29413738:1 gene:DRNTG_06755 transcript:DRNTG_06755.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLGLRPNEFTFPFVLKSSSSLSLLPLGLQLHSLLLKSGLLLSNPFCACALLDLYSKLAPLPHALNLFDRIPQPNLPACNSILSALARHALLQDCFQLLDVMQSWGFDPPGCSSWNSIIAGCVQTGDIELALQVLRFMLNTVRPSPATFNTLLPVIPDLRCLETLKMLHGFALRVLEFVDFDPVDEDRLWAAIAAGYASLGSLRDASLLFERIRLKSPRLWVSMISGFLDCGAVEEAFEVFRAMAVEFGCEERPLPKVSLTLLLPLCGLRPRNGMEIHGYACKVNGLASNTSVCNALMAMYVKAGDSESADNVFDTMPERDIISWNTMLAKLALVDDFEHVSRLFRAMLADGVWPDEYSFSSVLNGCGHSSNLRQGMALHARMVKCGFSQSYLVVENALMDAYGKCGCASDARKVFDGMESRDTISWNTIISCCAFSASPRESFSLFDRMLQQGFKPNRVTFIALLSACSHAGLLEEGLYFFDSMARDHGVVRDLDHYACIIDNLGRVGELDRAYQFIKGMPIEPDECIWSALLNACRIYGNVELAEVAAKKLIQLDPQHSGYWVLLSNIYADASRWDDVRHVRAAMKHGGVVKCPGFSCVEIGGSETHKFLTGDMSHNQSDDIYSALDGLTENLKDEGYIPLLDHKFANV >DRNTG_30012.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001538.1:3424:6933:1 gene:DRNTG_30012 transcript:DRNTG_30012.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSECEHKWKNNSGSNDKPSIHCNRYPVLKLRYQCKNCLVECCKFCLEKSVTLPLPEPVVNTNIRAQTLELRIISLENRLEQLRVEFDKLIHQLKIRNLIDNEQYAKLGSTGQKQFNIEDARQIEKIKLVKEIPDLKLPLENDYLIIETNGCELGWGAVLKRKPNKYSSRVEEEICRYSSGQFNEIGLTSSIDQEFLAASYGLDSFRFFLLNKKEILVRIDCEAIIKFFEKSNSKRISQRRWLALKDRIVNSACIVGFEHIKGSANSLADKLSRCLFEHQVVEAPP >DRNTG_30012.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001538.1:3424:6933:1 gene:DRNTG_30012 transcript:DRNTG_30012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSECEHKWKNNSGSNDKPSIHCNRYPVLKLRYQCKNCLVECCKFCLEKSVTLPLPEPVVNTNIRAQTLELRIISLENRLEQLRVEFDKLIHQLKIRNLIDNEQYAKLGSTGQKQFNIEDARQIEKIKLVKEIPDLKLPLENDYLIIETNGCELGWGAVLKRKPNKYSSRVEEEICRYSSGQFNEIGLTSSIDQEFLAASYGLDSFRFFLLNKKEILVRIDCEAIIKFFEKSNSKRISQRRWLALKDRIVNSACIVGFEHIKGSANSLADKLSRCLFEHQVVEAPP >DRNTG_30012.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001538.1:3424:6933:1 gene:DRNTG_30012 transcript:DRNTG_30012.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSECEHKWKNNSGSNDKPSIHCNRYPVLKLRYQCKNCLVECCKFCLEKSVTLPLPEPVVNTNIRAQTLELRIISLENRLEQLRVEFDKLIHQLKIRNLIDNEQYAKLGSTGQKQFNIEDARQIEKIKLVKEIPDLKLPLENDYLIIETNGCELGWGAVLKRKPNKYSSRVEEEICRYSSGQFNEIGLTSSIDQEFLAASYGLDSFRFFLLNKKEILVRIDCEAIIKFFEKSNSKRISQRRWLALKDRIVNSACIVGFEHIKGSANSLADKLSRCLFEHQVVEAPP >DRNTG_12780.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000572.1:25089:26410:1 gene:DRNTG_12780 transcript:DRNTG_12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSGLIPDTFTFPPLAVSCARSASQTDAEVVHAQAIRRGADSVVIVCNSLIHAYAACGLVGHARVMFDEMAVRNVVSWNSLVDGYVKVGDLRSARCVFDRMPERNAVSWNIMISGCLKCQCPESGLELFREMERVGVLADVQTLISIATACGRLGLIRYGRSVHGYFVRSFREKNNLIFETTLVNMYSKCKRVDVARKVFDRIAEKNLVSWTAMIFGHCIHACPRDGLALFDEMVQIGEEDSEVKPDETTFVGILLGCSRAGLLDEGRRYFDEMTSIHGLKPTFVHYWCMANLYGSLGMVQEAEEVLMSMPGDTESLVWSALLGSSRFRGDIELGEKIGKRLIELEPYNSSRYALLCNIYSVAERWEDVEKVKEMMKQRAVKTIPGHSLLDLKKIVHSFKAGDR >DRNTG_07677.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23289842:23291097:1 gene:DRNTG_07677 transcript:DRNTG_07677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARADDNLKIIELKVSVNCCEGCKKKVLKALSIKGVLKTEIHPSQPKLTVYGSVDPQTLIKKLSRCGKTAELCSSEETKLPKIPATTTTAATAVDKEQQTKSYSDDAGGKQNSSLNDTKSSNNNNNNNKNKDGDEINNKVKETSGSVAAQVVPEEAMFATPVVTTVPPMSYVVGPGPNMVHNPGGNVMASSHARVYYPMEPHTVLPMPYYTTVSTHYTAPPPPCYIPEHYQYEMPIYRTPPPPMQQQPMGFSDYFNDDNTVGCHVM >DRNTG_13437.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9412197:9413523:-1 gene:DRNTG_13437 transcript:DRNTG_13437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEQKSSNDKTADIKMAEIPTIDFTNFPSDEPKLRQACENLGCFRVIGHGIPASLRDDMKSAVRSLFHLPDHIKRLNTDVIHGSGYVAPSPVNPLYEAFGLYDASSSLDILSFCSNLQASPFQQHTIATYSSKLHALVVDIANKIATSIGVPHYSFEHWPCQFRLNKYSFTEGTIGSSGVQIHTDTSFLTVLQEDDSVGGLEIMDQIGTFVPVHPVPDSFLVNIGDMAKLWSNGRLHNLMHRVQCKETAERISIALFLLGPKDAPVEPPPELVDSEHPRLYKSITVENYRRLWFPSSRAGESLSHLLL >DRNTG_13437.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9412197:9413449:-1 gene:DRNTG_13437 transcript:DRNTG_13437.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEQKSSNDKTADIKMAEIPTIDFTNFPSDEPKLRQACENLGCFRVIGHGIPASLRDDMKSAVRSLFHLPDHIKRLNTDVIHGSGYVAPSPVNPLYEAFGLYDASSSLDILSFCSNLQASPFQQHTIATYSSKLHALVVDIANKIATSIGVPHYSFEHWPCQFRLNKYSFTEGTIGSSGVQIHTDTSFLTVLQEDDSVGGLEIMDQIGTFVPVHPVPDSFLVNIGDMAKLWSNGRLHNLMHRVQCKETAERISIALFLLGPKDAPVEPPPELVDSEHPRLYKSITVENYRRLWFPSSRAGESLSHLLL >DRNTG_13437.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9412144:9413373:-1 gene:DRNTG_13437 transcript:DRNTG_13437.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIPTIDFTNFPSDEPKLRQACENLGCFRVIGHGIPASLRDDMKSAVRSLFHLPDHIKRLNTDVIHGSGYVAPSPVNPLYEAFGLYDASSSLDILSFCSNLQASPFQQHTIATYSSKLHALVVDIANKIATSIGVPHYSFEHWPCQFRLNKYSFTEGTIGSSGVQIHTDTSFLTVLQEDDSVGGLEIMDQIGTFVPVHPVPDSFLVNIGDMAKLWSNGRLHNLMHRVQCKETAERISIALFLLGPKDAPVEPPPELVDSEHPRLYKSITVENYRRLWFPSSRAGESLSHLLL >DRNTG_13437.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9412197:9413373:-1 gene:DRNTG_13437 transcript:DRNTG_13437.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIPTIDFTNFPSDEPKLRQACENLGCFRVIGHGIPASLRDDMKSAVRSLFHLPDHIKRLNTDVIHGSGYVAPSPVNPLYEAFGLYDASSSLDILSFCSNLQASPFQQHTIATYSSKLHALVVDIANKIATSIGVPHYSFEHWPCQFRLNKYSFTEGTIGSSGVQIHTDTSFLTVLQEDDSVGGLEIMDQIGTFVPVHPVPDSFLVNIGDMAKLWSNGRLHNLMHRVQCKETAERISIALFLLGPKDAPVEPPPELVDSEHPRLYKSITVENYRRLWFPSSRAGESLSHLLL >DRNTG_13437.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9412144:9413449:-1 gene:DRNTG_13437 transcript:DRNTG_13437.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEQKSSNDKTADIKMAEIPTIDFTNFPSDEPKLRQACENLGCFRVIGHGIPASLRDDMKSAVRSLFHLPDHIKRLNTDVIHGSGYVAPSPVNPLYEAFGLYDASSSLDILSFCSNLQASPFQQHTIATYSSKLHALVVDIANKIATSIGVPHYSFEHWPCQFRLNKYSFTEGTIGSSGVQIHTDTSFLTVLQEDDSVGGLEIMDQIGTFVPVHPVPDSFLVNIGDMAKLWSNGRLHNLMHRVQCKETAERISIALFLLGPKDAPVEPPPELVDSEHPRLYKSITVENYRRLWFPSSRAGESLSHLLL >DRNTG_10915.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000478.1:7520:8458:-1 gene:DRNTG_10915 transcript:DRNTG_10915.1 gene_biotype:protein_coding transcript_biotype:protein_coding YRSSDHKPSAFGDFDSNAVHQHHSTSSPYNARSISKLILLSVPNMDVESLCFTFTNKKAQS >DRNTG_11646.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:84070:90058:-1 gene:DRNTG_11646 transcript:DRNTG_11646.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMTSEAYQKAVLQAKEHILAGDIFQIVLSQRFERRTFADPFEVYRALRIVNPSPYMAYLQARGCILVASSPEILTRVKQRKIVNRPLAGTERRGKTKEEDQMLEKKLLNDEKQCAEHVMLVDLGRNDVGRVSKPGSVKVERLMEIERYSHVMHISSTVTGELFDHLTCWDALRAALPVGTVSGAPKVKAMELIDQMEVTRRGPYSGGFGGISFSGDMDIALALRTMVFPTGTRYNTMYSYKDMNRRQEWVAHLQAGAGIVADSNPELEQRECENKAAGLARAIDLAESTFLE >DRNTG_11646.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:84070:90058:-1 gene:DRNTG_11646 transcript:DRNTG_11646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAVSGSFRPLRLRRSVAAPSAFSCARSSLRVPQRCRLSYLPSFKCCAESSISAAVADMDEMAKFKEAAKIGNLVPLHRCIFCDHLTPVLAYRCLVKEDDREAPSFLFESVEQGSRRTNVGRYSVVGAQPAMEIVAKENAVVIMDHEEGKMSEEIVEDPMQVPRRIMEGWSPQIIDSLPDAFCGGWVGYFSYDTVRYVEKKKLPFSSTPVDDRNLPDVHLGLYNDVVVFDHVEKKAYVIHWVRLSQYSSIEEAYVDGRNRLDKFLSRVHNVDVPRLSAGSVKLRTQLFGSSLKMSTMTSEAYQKAVLQAKEHILAGDIFQIVLSQRFERRTFADPFEVYRALRIVNPSPYMAYLQARGCILVASSPEILTRVKQRKIVNRPLAGTERRGKTKEEDQMLEKKLLNDEKQCAEHVMLVDLGRNDVGRVSKPGSVKVERLMEIERYSHVMHISSTVTGELFDHLTCWDALRAALPVGTVSGAPKVKAMELIDQMEVTRRGPYSGGFGGISFSGDMDIALALRTMVFPTGTRYNTMYSYKDMNRRQEWVAHLQAGAGIVADSNPELEQRECENKAAGLARAIDLAESTFLE >DRNTG_19431.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13252650:13254476:-1 gene:DRNTG_19431 transcript:DRNTG_19431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMASPPHPKKPKMSSDDDDDAGEPTSPTAADAIASEPPRRRYKRRKIAIFLAYCGAGYQGMQKNPGARTIEGDLEEALFLSGAVPDNDRAQPRRYDWARAARTDKGVSAAGQVVSGRFYIDPPGFIDRLNSHLDPQIRIFGFKRATASFNAKKFCDRRRYVYLLPVFALDPNAHPDRESVMASLGTGNELLRCLECSERGRKVAGLMGRQSKLSDQNASLDFGNGEGVDVVEESAPQVKTIDGKEEEMEMAARTSEFSYGEKEKERFNAILKQYVGTHNFHNFTTRTKAEDPSAQRFIISFEADHVVNIEGVDFVRCEVVGQSFMLHQIRKMIGLAVAIMRNCAPESLMEVALRKVVRINVPTAPEVGLYLDECLFTSYNQKWKDSHEDMSMEAYAEQAEEFKIKHIFPHIASMELKEGAVALWLHSLNHRNYPDFRFLESNGETAGLEHVKVDEAAN >DRNTG_30902.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001640.1:64030:68467:1 gene:DRNTG_30902 transcript:DRNTG_30902.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVIVYRASEHGMLVSSSSSAVLRRQLFLIRCLGGGVGQSHKKIRRVNCSSMVAMEADAEHVIRKITPLLDPIRHKGQAGKVAIIGGCREYTGAPYFAAISALKIGADLSHVFCTKDAAAVIKSYSPELIVHPVLEESYNIRDDEKESVSAKVLAEVVKWMERFDCLVVGPGLGRDPFLLDCVSSILRHARQSNVPMVIDGDGLFLVTNNLDLVSGYSLAVLTPNVNEYKRLIEKVLNCEVDNEDASLQLLSLARSIGGVTILRKGKSDLISDGEIVKSVSIFGSPRRCGGQGDILSGSVAVFSSWARLHVSSMKESADCNSQNPMVLGSVAGSALLRKAASLAFVNNKRATLTTDIIECLGASLESISPAES >DRNTG_30902.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001640.1:64030:68467:1 gene:DRNTG_30902 transcript:DRNTG_30902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSSSSSAVLRRQLFLIRCLGGGVGQSHKKIRRVNCSSMVAMEADAEHVIRKITPLLDPIRHKGQAGKVAIIGGCREYTGAPYFAAISALKIGADLSHVFCTKDAAAVIKSYSPELIVHPVLEESYNIRDDEKESVSAKVLAEVVKWMERFDCLVVGPGLGRDPFLLDCVSSILRHARQSNVPMVIDGDGLFLVTNNLDLVSGYSLAVLTPNVNEYKRLIEKVLNCEVDNEDASLQLLSLARSIGGVTILRKGKSDLISDGEIVKSVSIFGSPRRCGGQGDILSGSVAVFSSWARLHVSSMKESADCNSQNPMVLGSVAGSALLRKAASLAFVNNKRATLTTDIIECLGASLESISPAES >DRNTG_30902.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001640.1:64030:68467:1 gene:DRNTG_30902 transcript:DRNTG_30902.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSSSSSAVLRRQLFLIRCLGGGVGQSHKKIRRVNCSSMVAMEADAEHVIRKITPLLDPIRHKGQAGKVAIIGGCREYTGAPYFAAISALKIGADLSHVFCTKDAAAVIKSYSPELIVHPVLEESYNIRDDEKESVSAKVLAEVVKWMERFDCLVVGPGLGRDPFLLDCVSSILRHARQSNVPMVIDGDGLFLVTNNLDLVSGYSLAVLTPNVNEYKRLIEKVLNCEVDNEDASLQLLSLARSIGGVTILRKGKSDLISDGEIVKSVSIFGSPRRCGGQGDILSGSVAVFSSWARLHVSSMKESADCNSQNPMVLGSVAGSALLRKAASLAFVNNKRATLTTDIIECLGASLESISPAES >DRNTG_29037.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10178099:10179392:-1 gene:DRNTG_29037 transcript:DRNTG_29037.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPESSHGCPCTRKGIRELSQGPLRLPLWPQGHPSMRVKKVAYKKARRDPSHPPNEPKFKNEEHKTRYALLSWKGFGTIQRIDWDVLKLLWLDGIILELISHSGWDKLFSIEEPTYKELTLEVLSITEVGKHCPFTHQPSSISFCAFGKKHRVTEDHLGVLLGLYTKAYTLTPRLKDLSHDFPYPVTSEKYWASMVTCWKTRKVSQMSNPVHRYIHALLTRGIGGWLDSTRMVSLTDLLMLYSIIERHPSTWDTFLRKS >DRNTG_18721.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1098280:1105338:1 gene:DRNTG_18721 transcript:DRNTG_18721.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin conjugation factor E4 [Source:Projected from Arabidopsis thaliana (AT5G15400) UniProtKB/Swiss-Prot;Acc:Q9LF41] MATPKPQRSPAEIEDIILRKIFLISLADPSPADPAIVYLEQTAAELLSEDRPLLLLRDSMERVLIDRLSTPQPPLPPFTYLVASFRRAADESRKIASMKDPSVKAQIDSAIRDAKKLLISYARIVAANPDTFPSPNHSSAPPSAEIFSFLLAEVASPMDAFGGSSGSGVTAPPGFLEEFFRNGDYESLDPVFADLYDRLRSSVERISALGDFQRPLRVLLMLIGYPICAKGLVNHPRWIPKLSYLLIGPGRTIEITSILGAFFHVSALPDYKDFRSTPDVGQQCFSESSTRRPADLLSSFTTIKTVMNNLYDGLGEVLLALLKSVDTREKVLEYLAEVIMKNSGRSRMQIDPFSCASTGMFVNLSAVMLRLCEPFLDGNASKVNKIDPKYVFHNKRLDFKQLTSMNASSEEVAAWVEGRSDRENSVLNSPEASSSGGGDKYSFICECFFMTARVLNLGLMKALSDFKHLAQDLARCEEDLATFKAMRDQGGSPQLEEDIKRLEKEIEMYSQEKLCYEAQVLRDGALLQRALSFYRLVVKWLVGLVGGFKMPLPSNCPMEFACMPEHFVDDAMDLLIFTSRIPRALDAFDLDDFLNFIIMFMASPSYVKNPYLRAKMVEVLNCWMPQRSGISATASLFEGHQLSLDYLVRNLLKLYVDIEFTGSHTQFFDKFTIRHNIAELLEYLWNVPSHRNTWMKIAREEEKGVYLNFLNFLINDSIYLLDESLNKILELKELEAEISNSVEWERRSPQEREERMRLFHSRENIVRFDMKLANEDVGMLAFTSEQIPAPFLLPEMVERVASMLNYFLLQLVGPQRRSLTVKDPEKYEFRPKQLLKQIVEIYVHIARGDREGIFPAAISKDGRSYNEQLFSAAADILWKIGENARIIQDFVQLGSKAKNAATEARDAEAVLGDIPDEFLDPIQYTLMKDPVILPSSRISIDRAVIQRHLLSDSTDPFNRSHLTQDMLIPDVELKAKIAEFIKSQEVKKLRR >DRNTG_16474.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1999869:2001514:1 gene:DRNTG_16474 transcript:DRNTG_16474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRNLMDRDPLVVGGVIGDVLDPFIKSASLRVIYNNKELTNGSELRPSAIVNEPRVEIGGYDMRKLYTLVMIDPDAPSPSNPTHREYLHWLVTDIPESTNARFGNEIVSYENPRPTAGIHRIVFVLFRQDVRQTIYAPGWRQNFNTRDFAALYNLGPPVAAMFFNCQRENGCGGRR >DRNTG_16474.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2000871:2001514:1 gene:DRNTG_16474 transcript:DRNTG_16474.2 gene_biotype:protein_coding transcript_biotype:protein_coding LVTDIPESTNARFGNEIVSYENPRPTAGIHRIVFVLFRQDVRQTIYAPGWRQNFNTRDFAALYNLGPPVAAMFFNCQRENGCGGRR >DRNTG_16474.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1999869:2000224:1 gene:DRNTG_16474 transcript:DRNTG_16474.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRNLMDRDPLVVGGVIGDVLDPFIKSASLRVIYNNKELTNGSELRPSAIVNEPRVEIGGYDMRKLYTLVSSSSSSRCMVLCFIHD >DRNTG_07601.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15175829:15176389:-1 gene:DRNTG_07601 transcript:DRNTG_07601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFLLWMKQTLYYEAVGGGKKNRVYGIGSQACFFYPQLSSFSTGSSSETLQAEVRDLRQTLTQVQDREERLKQTLSQVQDREETLQQSLLEMKEERDQYREEMMRQMKDMMMSFEKRILQQSQFTTQDSQPLTDDHDVDL >DRNTG_22257.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:913636:914937:1 gene:DRNTG_22257 transcript:DRNTG_22257.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDSDSDFSMSVLDSIRYHLLGEEAEPVSPEVQSSAVSLPQRTYNRTASFGSIVREHWGELPFRADDSDDMVVLGFLCDAFSKGWVPDGAASPEVKIEPVESEPVVEEVLPAAPVPTKGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFETAEDAAMAYDRAAFRMRGSRAFA >DRNTG_22257.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:913680:914937:1 gene:DRNTG_22257 transcript:DRNTG_22257.7 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDSDSDFSMSVLDSIRYHLLGEEAEPVSPEVQSSAVSLPQRTYNRTASFGSIVREHWGELPFRADDSDDMVVLGFLCDAFSKGWVPDGAASPEVKIEPVESEPVVEEVLPAAPVPTKGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFETAEDAAMAYDRAAFRMRGSRAFA >DRNTG_22257.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:913573:915033:1 gene:DRNTG_22257 transcript:DRNTG_22257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDSDSDFSMSVLDSIRYHLLGEEAEPVSPEVQSSAVSLPQRTYNRTASFGSIVREHWGELPFRADDSDDMVVLGFLCDAFSKGWVPDGAASPEVKIEPVESEPVVEEVLPAAPVPTKGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFETAEDAAMAYDRAAFRMRGSRAFA >DRNTG_22257.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:913573:914897:1 gene:DRNTG_22257 transcript:DRNTG_22257.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDSDSDFSMSVLDSIRYHLLGEEAEPVSPEVQSSAVSLPQRTYNRTASFGSIVREHWGELPFRADDSDDMVVLGFLCDAFSKGWVPDGAASPEVKIEPVESEPVVEEVLPAAPVPTKGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFETAEDAAMAYDRAAFRMRGSRAFA >DRNTG_22257.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:913680:914897:1 gene:DRNTG_22257 transcript:DRNTG_22257.8 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDSDSDFSMSVLDSIRYHLLGEEAEPVSPEVQSSAVSLPQRTYNRTASFGSIVREHWGELPFRADDSDDMVVLGFLCDAFSKGWVPDGAASPEVKIEPVESEPVVEEVLPAAPVPTKGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFETAEDAAMAYDRAAFRMRGSRAFA >DRNTG_22257.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:913636:915033:1 gene:DRNTG_22257 transcript:DRNTG_22257.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDSDSDFSMSVLDSIRYHLLGEEAEPVSPEVQSSAVSLPQRTYNRTASFGSIVREHWGELPFRADDSDDMVVLGFLCDAFSKGWVPDGAASPEVKIEPVESEPVVEEVLPAAPVPTKGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFETAEDAAMAYDRAAFRMRGSRAFA >DRNTG_22257.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:913636:914897:1 gene:DRNTG_22257 transcript:DRNTG_22257.6 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDSDSDFSMSVLDSIRYHLLGEEAEPVSPEVQSSAVSLPQRTYNRTASFGSIVREHWGELPFRADDSDDMVVLGFLCDAFSKGWVPDGAASPEVKIEPVESEPVVEEVLPAAPVPTKGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFETAEDAAMAYDRAAFRMRGSRAFA >DRNTG_22257.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:913573:914937:1 gene:DRNTG_22257 transcript:DRNTG_22257.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDSDSDFSMSVLDSIRYHLLGEEAEPVSPEVQSSAVSLPQRTYNRTASFGSIVREHWGELPFRADDSDDMVVLGFLCDAFSKGWVPDGAASPEVKIEPVESEPVVEEVLPAAPVPTKGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFETAEDAAMAYDRAAFRMRGSRAFA >DRNTG_02704.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19644881:19645560:-1 gene:DRNTG_02704 transcript:DRNTG_02704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHLHLPGEAVVDGGDGHGCEAKAGHHCGDERVEGLRRRRTGDLHVRSGVKNRTQPAPAPEPARRNEPRGGTAAAEMLASMPRFAHGEKEERDGKEGLGCENNEVWRDWNVKLKK >DRNTG_28885.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001455.1:91781:93962:1 gene:DRNTG_28885 transcript:DRNTG_28885.1 gene_biotype:protein_coding transcript_biotype:protein_coding DESVRRGAGSQWIVAARPLCHLQCPVAYLSRLQRIQPAARSESRFEAAARGRSTSGGRCTVTQARGDAWSPTAGRGSGRRGVAPHASLDSGLEAFSHNPAHGSFAPLAFQPSAMTNCVNQRFLSY >DRNTG_28885.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001455.1:91781:92627:1 gene:DRNTG_28885 transcript:DRNTG_28885.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKSRRAKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRFGSARGHLGAKR >DRNTG_07379.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20591074:20594712:1 gene:DRNTG_07379 transcript:DRNTG_07379.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable S-adenosylmethionine carrier 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G34065) UniProtKB/Swiss-Prot;Acc:F4HT41] MGPPALATDMRSSIVLSSDANCARFPDSELKSLKSFASISMEDEKPFNFLRVLFEGFVAGGTAGVVVETALYPIDTIKTRLQAAHGGSKIHWKGLYSGLAGNLAGVLPASAVFVGIYEPTKQKLLKVFPENLSAVAHLTAGALGGAVSSLIRVPTEVVKQRMQTGQFASAPDAVRLIVTKEGFKGLYAGYKSFLLRDLPFDAIQFCIYEQLRIGYRIVAQRELNDPENAVIGAFAGAITGAITTPLDVMKTRLMVQGLANQYNGLIDCVQTIVREEGPAALLKGIGPRVLWIGIGGSIFFSVLERTKLLLADRSKPKRPKEQ >DRNTG_16115.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1513198:1515734:-1 gene:DRNTG_16115 transcript:DRNTG_16115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYTEPADCPYPWTRVVLDLSVSASDVQKSRIAAIWLSGVEILRTTTPLPMSPGTFWRVQKDITRYTNLLRQLPGGAAGTAAAGDGVKFYMMLENSNERLPGVYMANVTLHFYRGELVDGRRGYTARPTVKGLYRQPADIILPVSQSCAGNGFWFRIQNETHIPFSSLTIPRNTYRAVLEIFASHHEHDEFWYANPLRPSYSSGEFSNSNGGFRQLYATIDGKFVGGHVPFPVIYPGAINPYFWSPVAAIGSFDIPTYDLDVTPFLAMMIDGQPHEIGVGVRDALPHWLVTANLHLWVDVWSDSVVAGMGEYFAPEVRVNKNGEWRNVEGQSEVSADGLVRQKITFKSQVAVQNRGGVKQVEMTNKARGDVGLTMGHQILGRVQMFVEAPLQVQSTMETDPSGGVLERTRLFHQLEEVVNLNENQVVSSSTLIDRQDAEGSALMHDGMPVWGSGTTKSSYKYRDDANVCYLRTVSAEGGEVKFDISSPSCLAME >DRNTG_04636.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19090271:19095559:-1 gene:DRNTG_04636 transcript:DRNTG_04636.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLERFYGSDIVIPLEEGSEPMGQHQGHEYFDGSESNRGDSGGLGRGKDTVYRGDSEERAPKDERLRDFPDNTQRRVSAFVDDPVTNTQAWVVNALKSKKEAKIGYKDTMLLVLIQFKDQDTRGVH >DRNTG_07753.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19484118:19485026:-1 gene:DRNTG_07753 transcript:DRNTG_07753.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGMKNNESFSSDSDDSILSTSSELTEEEDDTASTTIGPNFELSSLMDQLPIKRGLSKYYQGKSQSFTSLSNVRYLEDLPKKETPYQKKKMKPSKSYGGGLDLGQKPLNYSLGPCSKAYINKKSGLRRSSNNLLFCNKPPHPTPHASLHKNL >DRNTG_07753.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19484118:19484977:-1 gene:DRNTG_07753 transcript:DRNTG_07753.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGMKNNESFSSDSDDSILSTSSELTEEEDDTASTTIGPNFELSSLMDQLPIKRGLSKYYQGKSQSFTSLSNVRYLEDLPKKETPYQKKKMKPSKSYGGGLDLGQKPLNYSLGPCSKAYINKKSGLRRSSNNLLFCNKPPHPTPHASLHKNL >DRNTG_07753.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19483974:19484943:-1 gene:DRNTG_07753 transcript:DRNTG_07753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGMKNNESFSSDSDDSILSTSSELTEEEDDTASTTIGPNFELSSLMDQLPIKRGLSKYYQGKSQSFTSLSNVRYLEDLPKKETPYQKKKMKPSKSYGGGLDLGQKPLNYSLGPCSKAYINKKSGLRRSSNNLLFCNKPPHPTPHASLHKNL >DRNTG_07753.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19483974:19484855:-1 gene:DRNTG_07753 transcript:DRNTG_07753.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGMKNNESFSSDSDDSILSTSSELTEEEDDTASTTIGPNFELSSLMDQLPIKRGLSKYYQGKSQSFTSLSNVRYLEDLPKKETPYQKKKMKPSKSYGGGLDLGQKPLNYSLGPCSKAYINKKSGLRRSSNNLLFCNKPPHPTPHASLHKNL >DRNTG_07753.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19484118:19484943:-1 gene:DRNTG_07753 transcript:DRNTG_07753.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGMKNNESFSSDSDDSILSTSSELTEEEDDTASTTIGPNFELSSLMDQLPIKRGLSKYYQGKSQSFTSLSNVRYLEDLPKKETPYQKKKMKPSKSYGGGLDLGQKPLNYSLGPCSKAYINKKSGLRRSSNNLLFCNKPPHPTPHASLHKNL >DRNTG_07753.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19484118:19484855:-1 gene:DRNTG_07753 transcript:DRNTG_07753.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGMKNNESFSSDSDDSILSTSSELTEEEDDTASTTIGPNFELSSLMDQLPIKRGLSKYYQGKSQSFTSLSNVRYLEDLPKKETPYQKKKMKPSKSYGGGLDLGQKPLNYSLGPCSKAYINKKSGLRRSSNNLLFCNKPPHPTPHASLHKNL >DRNTG_07753.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19484261:19484943:-1 gene:DRNTG_07753 transcript:DRNTG_07753.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGMKNNESFSSDSDDSILSTSSELTEEEDDTASTTIGPNFELSSLMDQLPIKRGLSKYYQGKSQSFTSLSNVRYLEDLPKKETPYQKKKMKPSKSYGGGLDLGQKPLNYSLGPCSKAYINKKSGLRRSS >DRNTG_13428.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10134490:10138984:-1 gene:DRNTG_13428 transcript:DRNTG_13428.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLKERFFPYYCNSIIRAFLEKGSPQNALQTYKALLSSAILRPDHRTLVIVLKACSISSNLHAMMECHAKIIKAGLHYYMPLCASIFKLYLVHDHITDARHMLDVISRWDPDPVYGNLMLMGLFRNKEFDMAYHVFGKMPKRDLVSWNSMIEGCLWCSRPKVAFKLFRRMLDAGLEPDGFTFSTVFSACARVGALSHGRWAHQLMAEKQIELNHIIVSALIDMYAKCGRIEIARKIFDGIKRNNVSVWNSMITGLAIHGLGAQVFDVFSLMEKEGVAPDGITFVSILTACSHCGLVEECRQYFNAMRHNYLIEPKIEHYGAMVDTLARAGLLYEAYETIKTMAMEPDTVIWRALLSACRKHRQADLAETVIRHMAQGKQSGDYVLLSSIYSSAKQYRHAETVWRLMKEKGIRKNRGLSWVEMGSVLHQFKAGDRSHGESEAIYRVLDDLMGKAKAHGFAPVTELVTMDVLEEEKEENLQCHSEKLAVAYSVLKTSPGMEIRVSKNLRTCLDCHEWMKMVSKVLCKERDDRTGRLRRARAVSLRTNSPPFCSATGNHRRHCSSGFHSQAASQRDAEDEEVRERPGLEEAR >DRNTG_33284.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23851013:23853614:1 gene:DRNTG_33284 transcript:DRNTG_33284.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKLRKMAGAVRTGGKGSVRRKKKAVHKTTTTDDKRLQSTLKRVGVNAIPAIEEVNFFKDDYVIQFFNPKVQASIGANTWVVSGTPQTKKLSDLLPSLLNQLGPDNADNLRKLTEQFQKHAQSAGAIKPENEDDDDVPELVAGATFEGVADEKPSS >DRNTG_12298.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29727437:29728399:-1 gene:DRNTG_12298 transcript:DRNTG_12298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSFLVWVVMATQSCLRRIKSAQCSKVGIYQKPMRDTETPSRALLSNLFDKGGKKKILSNS >DRNTG_22784.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3955180:3955899:-1 gene:DRNTG_22784 transcript:DRNTG_22784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVKCCMKRDYLAAMDQYIKLAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHILNDETTRKYLQSVKSLMTFCQCRYPADPSKSVEFNSLANGSDLHSLLSEERMTEKPTSEEELRIMPAARE >DRNTG_22226.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001233.1:11419:12296:-1 gene:DRNTG_22226 transcript:DRNTG_22226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMPCSSDVCKEELARLTEGYAGAGIKLICEEAVAALEESLEAVEVSMAHFKIGIGRVRPSNIQFYQELAAQFRRQQSYQG >DRNTG_02507.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7774403:7775042:-1 gene:DRNTG_02507 transcript:DRNTG_02507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDNHASGDNADGMTPGTQEQLSQVIDIPIQSHVGIPTALSAEQNLAEDETRAASPSTKSIPKISRISTQPTSRPSNPSKSSAGGVTTTIGNAGG >DRNTG_26343.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17567111:17570526:1 gene:DRNTG_26343 transcript:DRNTG_26343.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSKKQADKRLRQSSPELENMRFVIPEHQGDELADEVVDLISVGDWRQLLSIREPAIRALILEVLSSFEFDRSYEGFDSLNTVQFRTFGHHHSMSISQFSILLGLYDEAFTDTEEYAQLPTLSVMGMGLQDTIRGAEKASIPAPVGMETMRLMGMPALEPQPVPMETEAPPAVQEPPPIRMFSLS >DRNTG_21755.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23174580:23177009:-1 gene:DRNTG_21755 transcript:DRNTG_21755.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sedoheptulose-1,7-bisphosphatase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G55800) UniProtKB/Swiss-Prot;Acc:P46283] METGVASSAYRAAPSNILARQRSAAPCRSPSALRSHCLRPSSLFGESLRTTSSWARISTKSRAYSSLTTKCEIGDSLEEFLTKATPDKNLIRLLMSMGEALRTISFKVRTASCGGTACVNSFGDEQLAVDMLANNLLFEALQYSHVCKYACSEEVPELQDMGGPVEGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVTGRDQVAAAMGIYGPRTTYIIALKDCPGTHEFLLLDEGKWQHVKDTTSIGEGKIFSPGNLRATADNPAYSNLINYYVKEKYTLRYTGGMVPDVNQIIVKEKGIFTNVTSPSSKAKLRLLFEVAPLGFLIEKAGGHSSDGEQSVLDKVITSLDERTQVAYGSKNEIIRFEETLYGSSRLKAGEPVGAAA >DRNTG_23255.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:334702:345578:-1 gene:DRNTG_23255 transcript:DRNTG_23255.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGILCLAVDILPTEFSKEASRHFGDILSQFIGTLASSKNIADLPSHLQRACIAHGGALTPLFEYIPRMRNSSSIDSSPNPDSAFQKKYNTLVSLSGHLFDQFLINDALDIIEANGGSFRLVKCEVGQSANVTSYSEVEVGADDLAILNRIIDSLSSVAHSRGKVGHRRKEELSLNVGEISDMAEDNGHSNQRPAILILGAGRVCRPAAEFLASAGSRSSHVLKKWQVSKFEEIEEFKVIVGSLYLKDAEETIEGIPNATAIQLDAMDNASLAKYIPEVQVVLSLLPPSFHTTVANACIEHKKHLVTASYVDDSMLKLDSKAKDAGVTILGEMGLDPGIDHMMAMKMIDQAHVRRGKIKAFNSYCCGLPSPAAANNPLAYKFSWNPAGAIRSGRNSATYKHSGEIIQVDGDGLYDSAVRYRLPDLPAFALEYLPNRNSLVYGDLYGISNEASTIFRATLRYEGFSEIMSTLAKIGLFDTEVHIMLNGDGKRPTFGAFLCELLKCPDTSNSQSQATLMGDAEMVKRIILLGHCKEASMALKTVKTIKFLGLHENKEIPGKCSSAFDVTCFLMEERLAYSSNEQDMVLLHHEIQVEYPDDDDRKPTEIHRATLLEFGRMENGRNVTSMALTVGIPAGIGALLLFQKKIHRTGVIRPLQPEVYEPALDILESYGLKVVETVEIS >DRNTG_23255.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:334702:341718:-1 gene:DRNTG_23255 transcript:DRNTG_23255.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDNGHSNQRPAILILGAGRVCRPAAEFLASAGSRSSHVLKKWQVSKFEEIEEFKVIVGSLYLKDAEETIEGIPNATAIQLDAMDNASLAKYIPEVQVVLSLLPPSFHTTVANACIEHKKHLVTASYVDDSMLKLDSKAKDAGVTILGEMGLDPGIDHMMAMKMIDQAHVRRGKIKAFNSYCCGLPSPAAANNPLAYKFSWNPAGAIRSGRNSATYKHSGEIIQVDGDGLYDSAVRYRLPDLPAFALEYLPNRNSLVYGDLYGISNEASTIFRATLRYEGFSEIMSTLAKIGLFDTEVHIMLNGDGKRPTFGAFLCELLKCPDTSNSQSQATLMGDAEMVKRIILLGHCKEASMALKTVKTIKFLGLHENKEIPGKCSSAFDVTCFLMEERLAYSSNEQDMVLLHHEIQVEYPDDDDRKPTEIHRATLLEFGRMENGRNVTSMALTVGIPAGIGALLLFQKKIHRTGVIRPLQPEVYEPALDILESYGLKVVETVEIS >DRNTG_23255.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:334702:347159:-1 gene:DRNTG_23255 transcript:DRNTG_23255.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKENSSLLGNGIVGILSETVNMWERRTPLCPSHCARLLHSGKMQSGIERIIVQPSTKRIHHDSQYEDVGCEISDDLSQCGLILGVKQPKMEMILPDRAYAFFSHTHKAQTENMPLLDKVLAEHVSLFDYELIVGDDGKRLIAFGMFAGRAGLIDFLHGLGQRYLSLGYSTPFLSLGASHMYSSLAAAKAAVIAVGEEIATQGLPSRISPLVFVFTGSGNVSRGAQEIFRLLPHTFVDACQLPDLFKQTGDLAPTSRSTKRVFQVYGCVVTCQDMVAPKDPAKTFDKDDYYAHPEHYDPVFHEKIAPYASVIVNCMYWEKRFPRLLSTKQLQELMKRGCPLLGISDITCDVGGSMEFVNRPTSIERPFFRYDPSTDSYHENMEGDGILCLAVDILPTEFSKEASRHFGDILSQFIGTLASSKNIADLPSHLQRACIAHGGALTPLFEYIPRMRNSSSIDSSPNPDSAFQKKYNTLVSLSGHLFDQFLINDALDIIEANGGSFRLVKCEVGQSANVTSYSEVEVGADDLAILNRIIDSLSSVAHSRGKVGHRRKEELSLNVGEISDMAEDNGHSNQRPAILILGAGRVCRPAAEFLASAGSRSSHVLKKWQVSKFEEIEEFKVIVGSLYLKDAEETIEGIPNATAIQLDAMDNASLAKYIPEVQVVLSLLPPSFHTTVANACIEHKKHLVTASYVDDSMLKLDSKAKDAGVTILGEMGLDPGIDHMMAMKMIDQAHVRRGKIKAFNSYCCGLPSPAAANNPLAYKFSWNPAGAIRSGRNSATYKHSGEIIQVDGDGLYDSAVRYRLPDLPAFALEYLPNRNSLVYGDLYGISNEASTIFRATLRYEGFSEIMSTLAKIGLFDTEVHIMLNGDGKRPTFGAFLCELLKCPDTSNSQSQATLMGDAEMVKRIILLGHCKEASMALKTVKTIKFLGLHENKEIPGKCSSAFDVTCFLMEERLAYSSNEQDMVLLHHEIQVEYPDDDDRKPTEIHRATLLEFGRMENGRNVTSMALTVGIPAGIGALLLFQKKIHRTGVIRPLQPEVYEPALDILESYGLKVVETVEIS >DRNTG_23255.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:334702:346479:-1 gene:DRNTG_23255 transcript:DRNTG_23255.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGILCLAVDILPTEFSKEASRHFGDILSQFIGTLASSKNIADLPSHLQRACIAHGGALTPLFEYIPRMRNSSSIDSSPNPDSAFQKKYNTLVSLSGHLFDQFLINDALDIIEANGGSFRLVKCEVGQSANVTSYSEVEVGADDLAILNRIIDSLSSVAHSRGKVGHRRKEELSLNVGEISDMAEDNGHSNQRPAILILGAGRVCRPAAEFLASAGSRSSHVLKKWQVSKFEEIEEFKVIVGSLYLKDAEETIEGIPNATAIQLDAMDNASLAKYIPEVQVVLSLLPPSFHTTVANACIEHKKHLVTASYVDDSMLKLDSKAKDAGVTILGEMGLDPGIDHMMAMKMIDQAHVRRGKIKAFNSYCCGLPSPAAANNPLAYKFSWNPAGAIRSGRNSATYKHSGEIIQVDGDGLYDSAVRYRLPDLPAFALEYLPNRNSLVYGDLYGISNEASTIFRATLRYEGFSEIMSTLAKIGLFDTEVHIMLNGDGKRPTFGAFLCELLKCPDTSNSQSQATLMGDAEMVKRIILLGHCKEASMALKTVKTIKFLGLHENKEIPGKCSSAFDVTCFLMEERLAYSSNEQDMVLLHHEIQVEYPDDDDRKPTEIHRATLLEFGRMENGRNVTSMALTVGIPAGIGALLLFQKKIHRTGVIRPLQPEVYEPALDILESYGLKVVETVEIS >DRNTG_23255.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:334702:343292:-1 gene:DRNTG_23255 transcript:DRNTG_23255.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSSSIDSSPNPDSAFQKKYNTLVSLSGHLFDQFLINDALDIIEANGGSFRLVKCEVGQSANVTSYSEVEVGADDLAILNRIIDSLSSVAHSRGKVGHRRKEELSLNVGEISDMAEDNGHSNQRPAILILGAGRVCRPAAEFLASAGSRSSHVLKKWQVSKFEEIEEFKVIVGSLYLKDAEETIEGIPNATAIQLDAMDNASLAKYIPEVQVVLSLLPPSFHTTVANACIEHKKHLVTASYVDDSMLKLDSKAKDAGVTILGEMGLDPGIDHMMAMKMIDQAHVRRGKIKAFNSYCCGLPSPAAANNPLAYKFSWNPAGAIRSGRNSATYKHSGEIIQVDGDGLYDSAVRYRLPDLPAFALEYLPNRNSLVYGDLYGISNEASTIFRATLRYEGFSEIMSTLAKIGLFDTEVHIMLNGDGKRPTFGAFLCELLKCPDTSNSQSQATLMGDAEMVKRIILLGHCKEASMALKTVKTIKFLGLHENKEIPGKCSSAFDVTCFLMEERLAYSSNEQDMVLLHHEIQVEYPDDDDRKPTEIHRATLLEFGRMENGRNVTSMALTVGIPAGIGALLLFQKKIHRTGVIRPLQPEVYEPALDILESYGLKVVETVEIS >DRNTG_23255.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:334702:347809:-1 gene:DRNTG_23255 transcript:DRNTG_23255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKENSSLLGNGIVGILSETVNMWERRTPLCPSHCARLLHSGKMQSGIERIIVQPSTKRIHHDSQYEDVGCEISDDLSQCGLILGVKQPKMEMILPDRAYAFFSHTHKAQTENMPLLDKVLAEHVSLFDYELIVGDDGKRLIAFGMFAGRAGLIDFLHGLGQRYLSLGYSTPFLSLGASHMYSSLAAAKAAVIAVGEEIATQGLPSRISPLVFVFTGSGNVSRGAQEIFRLLPHTFVDACQLPDLFKQTGDLAPTSRSTKRVFQVYGCVVTCQDMVAPKDPAKTFDKDDYYAHPEHYDPVFHEKIAPYASVIVNCMYWEKRFPRLLSTKQLQELMKRGCPLLGISDITCDVGGSMEFVNRPTSIERPFFRYDPSTDSYHENMEGDGILCLAVDILPTEFSKEASRHFGDILSQFIGTLASSKNIADLPSHLQRACIAHGGALTPLFEYIPRMRNSSSIDSSPNPDSAFQKKYNTLVSLSGHLFDQFLINDALDIIEANGGSFRLVKCEVGQSANVTSYSEVEVGADDLAILNRIIDSLSSVAHSRGKVGHRRKEELSLNVGEISDMAEDNGHSNQRPAILILGAGRVCRPAAEFLASAGSRSSHVLKKWQVSKFEEIEEFKVIVGSLYLKDAEETIEGIPNATAIQLDAMDNASLAKYIPEVQVVLSLLPPSFHTTVANACIEHKKHLVTASYVDDSMLKLDSKAKDAGVTILGEMGLDPGIDHMMAMKMIDQAHVRRGKIKAFNSYCCGLPSPAAANNPLAYKFSWNPAGAIRSGRNSATYKHSGEIIQVDGDGLYDSAVRYRLPDLPAFALEYLPNRNSLVYGDLYGISNEASTIFRATLRYEGFSEIMSTLAKIGLFDTEVHIMLNGDGKRPTFGAFLCELLKCPDTSNSQSQATLMGDAEMVKRIILLGHCKEASMALKTVKTIKFLGLHENKEIPGKCSSAFDVTCFLMEERLAYSSNEQDMVLLHHEIQVEYPDDDDRKPTEIHRATLLEFGRMENGRNVTSMALTVGIPAGIGALLLFQKKIHRTGVIRPLQPEVYEPALDILESYGLKVVETVEIS >DRNTG_23255.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:334702:341718:-1 gene:DRNTG_23255 transcript:DRNTG_23255.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDNGHSNQRPAILILGAGRVCRPAAEFLASAGSRSSHVLKKWQVSKFEEIEEFKVIVGSLYLKDAEETIEGIPNATAIQLDAMDNASLAKYIPEVQVVLSLLPPSFHTTVANACIEHKKHLVTASYVDDSMLKLDSKAKDAGVTILGEMGLDPGIDHMMAMKMIDQAHVRRGKIKAFNSYCCGLPSPAAANNPLAYKFSWNPAGAIRSGRNSATYKHSGEIIQVDGDGLYDSAVRYRLPDLPAFALEYLPNRNSLVYGDLYGISNEASTIFRATLRYEGFSEIMSTLAKIGLFDTEVHIMLNGDGKRPTFGAFLCELLKCPDTSNSQSQATLMGDAEMVKRIILLGHCKEASMALKTVKTIKFLGLHENKEIPGKCSSAFDVTCFLMEERLAYSSNEQDMVLLHHEIQVEYPDDDDRKPTEIHRATLLEFGRMENGRNVTSMALTVGIPAGIGALLLFQKKIHRTGVIRPLQPEVYEPALDILESYGLKVVETVEIS >DRNTG_23255.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:334702:344266:-1 gene:DRNTG_23255 transcript:DRNTG_23255.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYWEKRFPRLLSTKQLQELMKRGCPLLGISDITCDVGGSMEFVNRPTSIERPFFRYDPSTDSYHENMEGDGILCLAVDILPTEFSKEASRHFGDILSQFIGTLASSKNIADLPSHLQRACIAHGGALTPLFEYIPRMRNSSSIDSSPNPDSAFQKKYNTLVSLSGHLFDQFLINDALDIIEANGGSFRLVKCEVGQSANVTSYSEVEVGADDLAILNRIIDSLSSVAHSRGKVGHRRKEELSLNVGEISDMAEDNGHSNQRPAILILGAGRVCRPAAEFLASAGSRSSHVLKKWQVSKFEEIEEFKVIVGSLYLKDAEETIEGIPNATAIQLDAMDNASLAKYIPEVQVVLSLLPPSFHTTVANACIEHKKHLVTASYVDDSMLKLDSKAKDAGVTILGEMGLDPGIDHMMAMKMIDQAHVRRGKIKAFNSYCCGLPSPAAANNPLAYKFSWNPAGAIRSGRNSATYKHSGEIIQVDGDGLYDSAVRYRLPDLPAFALEYLPNRNSLVYGDLYGISNEASTIFRATLRYEGFSEIMSTLAKIGLFDTEVHIMLNGDGKRPTFGAFLCELLKCPDTSNSQSQATLMGDAEMVKRIILLGHCKEASMALKTVKTIKFLGLHENKEIPGKCSSAFDVTCFLMEERLAYSSNEQDMVLLHHEIQVEYPDDDDRKPTEIHRATLLEFGRMENGRNVTSMALTVGIPAGIGALLLFQKKIHRTGVIRPLQPEVYEPALDILESYGLKVVETVEIS >DRNTG_09958.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:6678637:6679091:-1 gene:DRNTG_09958 transcript:DRNTG_09958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQEPTKYIRSKDDQSLQQAKNMGKKEEQEEEGQRC >DRNTG_05320.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2020870:2024249:1 gene:DRNTG_05320 transcript:DRNTG_05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAPLRSPSSDERLWSKLRDRVDAILEQRKPKDHAGWFLSSIDCGVESDRAKRLREDAQLLIRGLDSVASSLSQLTGTLSAAQQEVSDLAKPSIPKGSKRENQRVEDENQPKAKRHCVSNEPEDRNEATDNEKLEVEEEKSVDVLKSGNLKKAKTLAFSMATKAASLARELKNIKSELSFMQERCTLLEEENRRLRDGLEKGVGAEEDDLVRLQLEALLAEKSRLANENTNLTRENQCLHQLVEYHQLTSNDLSASYEQVIQGMCLDFSSPIQETNEFDDSDTEAPCTPTSNHLRISSSSVGDDAQPE >DRNTG_02528.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8112851:8113458:1 gene:DRNTG_02528 transcript:DRNTG_02528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMDMQMKEMKSMSKSIRELEMRTENGRYEDKSIGVHSMGNDFGEFMVKDQEGSR >DRNTG_34697.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22771668:22774053:1 gene:DRNTG_34697 transcript:DRNTG_34697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKLIARIRSLSFESLVYQEISWFDEPSHSSGAIGARLSADATTVSGIVGDSLSLMVQNLSTVIAGLVIAMIANWKLALTVIALLPLIGLQGYVQMKFLKGFSADAKVKYEEASQVANDAVGNIRTVASFCAETRVMEAYQKKCEGPLRNGVRQGIISGLGFGFSFAVLYVGYAICFYVGAHFIHNGSATFSQVFKVFFALTMAASGVSQTSAFGPDTNKAKDSAASIFEILDRKSKIDSSIDEGITLSDVRGDIVFQHVSFKYPTRPEVQIFRDLCLTVSSGKTVALVGESGSGKSTVIAMLERFYDPDSGMILFDGVKLQDLKISWLRQQMGLVSQEPVLFNDTIRANIAYGKQGEVSEEEIVVAAETANGHKFVSALPQGYATNVGEKGAQLSGGQKQRIAIARAILKDPKILLLDEATSALDAESERVVQEALDKVMVGRTTIVVAHRLSTIRGADVIAVVKNGVIVEQGKHEELIELPDGAYASLVALHSSSTS >DRNTG_15928.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:898727:898983:1 gene:DRNTG_15928 transcript:DRNTG_15928.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGAVLRGCMHLVDLAGSERVDKSEVTGERLKEAQHINKSLSALGDVIAALAQKSSHVPYRNSKLTQLLQDSL >DRNTG_15928.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:898505:900857:1 gene:DRNTG_15928 transcript:DRNTG_15928.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIGQKNRAVGATALNDRSSRSHSCLTVHVQGRDMTSGAVLRGCMHLVDLAGSERVDKSEVTGERLKEAQHINKSLSALGDVIAALAQKSSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEMDAIGETISTLKFAERVSTVELGAAKANKESGEVKELKEQIQHLKSALARKGGEEHVQVVNSAHQMNHRQPMEDVGNIEARSKYSSSKQKKPSYDFQELLSTSDSPPWLDQSPRLNFQKDDERDISSGEWVDKVMVNREEPDIFYQRFLHDTTGIGDQQQSLNAARRKGSYDFDMQRNRFDFAMTDDSDELDMETSDSSEADMLWQFNIPQVGAASCGVSKIKKPHPKAAKSPDIRTPIQSHIPPPSQKNSSGSGHAANRAVRHTISGSNDSKRGSSGGKMGNMK >DRNTG_15928.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:898505:898983:1 gene:DRNTG_15928 transcript:DRNTG_15928.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIGQKNRAVGATALNDRSSRSHSCLTVHVQGRDMTSGAVLRGCMHLVDLAGSERVDKSEVTGERLKEAQHINKSLSALGDVIAALAQKSSHVPYRNSKLTQLLQDSL >DRNTG_00087.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21184215:21184796:1 gene:DRNTG_00087 transcript:DRNTG_00087.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWMELGQAVFFGVILSFIVAKLISVVVSLNEDRLTGAADQSVQPTSGASEVAKPRVLEEDAGVSVKEASLRGESDGDDDWEGIESTELDEAFSAATTFVAAAAADRMASKVSNELQLQLYGLYKVAIEGPCTTPQPSAIKMT >DRNTG_00087.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21184215:21184810:1 gene:DRNTG_00087 transcript:DRNTG_00087.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWMELGQAVFFGVILSFIVAKLISVVVSLNEDRLTGAADQSVQPTSGASEVAKPRVLEEDAGVSVKEASLRGESDGDDDWEGIESTELDEAFSAATTFVAAAAADRMASKVSNELQLQLYGLYKVAIEGPCTTPQPSAIKMTARAKW >DRNTG_00087.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21184215:21185327:1 gene:DRNTG_00087 transcript:DRNTG_00087.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWMELGQAVFFGVILSFIVAKLISVVVSLNEDRLTGAADQSVQPTSGASEVAKPRVLEEDAGVSVKEASLRGESDGDDDWEGIESTELDEAFSAATTFVAAAAADRMASKVSNELQLQLYGLYKVAIEGPCTTPQPSAIKMTARAKWNAWQKLGDMPPEEAMQKYIMLVTELYP >DRNTG_08885.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27633377:27635392:1 gene:DRNTG_08885 transcript:DRNTG_08885.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter, High-affinity K acquisition, Root-to-shoot K transport, K-regulated salt toleranc [Source: Projected from Oryza sativa (Os01g0930400)] MFADLGHFNIRAIQIGFSVILLPSVSLAYMGQAAYLTKFPENVSDTFYKSIPGPLFWPMFIIAVSAAIIASQAMISGAFAIISQSQSLGCFPRVKVVHTSAKYEGQVYIPEINYTLMILCVIVTLIFKTTEKIGNAYGIAVVAVMVITTMMVTLIMLVIWKTNIWWIALFFCVFGGIEIIYLSSVLYKFKQGGYLPLAFAAFLMIIMGVWHYAHAERYNYELKNKVSSSYIKDLAENQDIKRLPGIGLLYSELVQGIPPIFPHLIEKMPSIHSVLVIVSIKYLPISKVEMEERFLFRQIEPKHYRVYRCVVRYGYKDALEEPKDFERSLVENLKHFIQQETFFLENAGHANTSGDHNAEVKPRKSGASAVYVEETLTQGQGNHSQGSSDRILTEAQQAVQLAEDEMQYVQKEMENGVVYLLGEAEVVAHQDSSLIKKVVVNYLYNFMRRNFRQGEKVMLIPRSKLLRVGMTYEI >DRNTG_08885.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27633896:27635392:1 gene:DRNTG_08885 transcript:DRNTG_08885.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter, High-affinity K acquisition, Root-to-shoot K transport, K-regulated salt toleranc [Source: Projected from Oryza sativa (Os01g0930400)] MGQAAYLTKFPENVSDTFYKSIPGPLFWPMFIIAVSAAIIASQAMISGAFAIISQSQSLGCFPRVKVVHTSAKYEGQVYIPEINYTLMILCVIVTLIFKTTEKIGNAYGIAVVAVMVITTMMVTLIMLVIWKTNIWWIALFFCVFGGIEIIYLSSVLYKFKQGGYLPLAFAAFLMIIMGVWHYAHAERYNYELKNKVSSSYIKDLAENQDIKRLPGIGLLYSELVQGIPPIFPHLIEKMPSIHSVLVIVSIKYLPISKVEMEERFLFRQIEPKHYRVYRCVVRYGYKDALEEPKDFERSLVENLKHFIQQETFFLENAGHANTSGDHNAEVKPRKSGASAVYVEETLTQGQGNHSQGSSDRILTEAQQAVQLAEDEMQYVQKEMENGVVYLLGEAEVVAHQDSSLIKKVVVNYLYNFMRRNFRQGEKVMLIPRSKLLRVGMTYEI >DRNTG_08885.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27632579:27632805:1 gene:DRNTG_08885 transcript:DRNTG_08885.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter, High-affinity K acquisition, Root-to-shoot K transport, K-regulated salt toleranc [Source: Projected from Oryza sativa (Os01g0930400)] QVGWKTTLHLAFQSIGVVYGDIGTSPLYVYASTFTDGIKDTDDLLGTLSLIMYTILLLPLLKYVFIVLWANDNGD >DRNTG_08885.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27633742:27635392:1 gene:DRNTG_08885 transcript:DRNTG_08885.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter, High-affinity K acquisition, Root-to-shoot K transport, K-regulated salt toleranc [Source: Projected from Oryza sativa (Os01g0930400)] MFADLGHFNIRAIQIGFSVILLPSVSLAYMGQAAYLTKFPENVSDTFYKSIPGPLFWPMFIIAVSAAIIASQAMISGAFAIISQSQSLGCFPRVKVVHTSAKYEGQVYIPEINYTLMILCVIVTLIFKTTEKIGNAYGIAVVAVMVITTMMVTLIMLVIWKTNIWWIALFFCVFGGIEIIYLSSVLYKFKQGGYLPLAFAAFLMIIMGVWHYAHAERYNYELKNKVSSSYIKDLAENQDIKRLPGIGLLYSELVQGIPPIFPHLIEKMPSIHSVLVIVSIKYLPISKVEMEERFLFRQIEPKHYRVYRCVVRYGYKDALEEPKDFERSLVENLKHFIQQETFFLENAGHANTSGDHNAEVKPRKSGASAVYVEETLTQGQGNHSQGSSDRILTEAQQAVQLAEDEMQYVQKEMENGVVYLLGEAEVVAHQDSSLIKKVVVNYLYNFMRRNFRQGEKVMLIPRSKLLRVGMTYEI >DRNTG_08885.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27632882:27635392:1 gene:DRNTG_08885 transcript:DRNTG_08885.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter, High-affinity K acquisition, Root-to-shoot K transport, K-regulated salt toleranc [Source: Projected from Oryza sativa (Os01g0930400)] MIPNQQAEDAMVSNYKLDTATKQLKRAQWVKEKLENSAMAKQFLFFITILGTSMVIGDGVLTPCISVLSAVSGIKEKATSLSTGAIDGIAVAILIALFLAQRFGTDKVGYSFAPIISLWFAFIGLIGAYNLIKYDIRILRAFNPKYIVDYFRRNGQQGWISLGGIILCITGTEAMFADLGHFNIRAIQIGFSVILLPSVSLAYMGQAAYLTKFPENVSDTFYKSIPGPLFWPMFIIAVSAAIIASQAMISGAFAIISQSQSLGCFPRVKVVHTSAKYEGQVYIPEINYTLMILCVIVTLIFKTTEKIGNAYGIAVVAVMVITTMMVTLIMLVIWKTNIWWIALFFCVFGGIEIIYLSSVLYKFKQGGYLPLAFAAFLMIIMGVWHYAHAERYNYELKNKVSSSYIKDLAENQDIKRLPGIGLLYSELVQGIPPIFPHLIEKMPSIHSVLVIVSIKYLPISKVEMEERFLFRQIEPKHYRVYRCVVRYGYKDALEEPKDFERSLVENLKHFIQQETFFLENAGHANTSGDHNAEVKPRKSGASAVYVEETLTQGQGNHSQGSSDRILTEAQQAVQLAEDEMQYVQKEMENGVVYLLGEAEVVAHQDSSLIKKVVVNYLYNFMRRNFRQGEKVMLIPRSKLLRVGMTYEI >DRNTG_08885.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27632204:27635392:1 gene:DRNTG_08885 transcript:DRNTG_08885.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter, High-affinity K acquisition, Root-to-shoot K transport, K-regulated salt toleranc [Source: Projected from Oryza sativa (Os01g0930400)] MRGTEMEANQNEAEIAAESEQVLKSKKLSWGKLRRVDSFHVEAGRVSNAHHHASQVGWKTTLHLAFQSIGVVYGDIGTSPLYVYASTFTDGIKDTDDLLGTLSLIMYTILLLPLLKYVFIVLWANDNGDGGTFALYSLISRYARVSMIPNQQAEDAMVSNYKLDTATKQLKRAQWVKEKLENSAMAKQFLFFITILGTSMVIGDGVLTPCISVLSAVSGIKEKATSLSTGAIDGIAVAILIALFLAQRFGTDKVGYSFAPIISLWFAFIGLIGAYNLIKYDIRILRAFNPKYIVDYFRRNGQQGWISLGGIILCITGTEAMFADLGHFNIRAIQIGFSVILLPSVSLAYMGQAAYLTKFPENVSDTFYKSIPGPLFWPMFIIAVSAAIIASQAMISGAFAIISQSQSLGCFPRVKVVHTSAKYEGQVYIPEINYTLMILCVIVTLIFKTTEKIGNAYGIAVVAVMVITTMMVTLIMLVIWKTNIWWIALFFCVFGGIEIIYLSSVLYKFKQGGYLPLAFAAFLMIIMGVWHYAHAERYNYELKNKVSSSYIKDLAENQDIKRLPGIGLLYSELVQGIPPIFPHLIEKMPSIHSVLVIVSIKYLPISKVEMEERFLFRQIEPKHYRVYRCVVRYGYKDALEEPKDFERSLVENLKHFIQQETFFLENAGHANTSGDHNAEVKPRKSGASAVYVEETLTQGQGNHSQGSSDRILTEAQQAVQLAEDEMQYVQKEMENGVVYLLGEAEVVAHQDSSLIKKVVVNYLYNFMRRNFRQGEKVMLIPRSKLLRVGMTYEI >DRNTG_30592.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:2548690:2550280:-1 gene:DRNTG_30592 transcript:DRNTG_30592.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSTSTKRRSATSIFSWGASRLGSKPLEEALTTSELTRLALLDVGDFNLFGGGDAPWLKLVSLPVALA >DRNTG_29166.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:10727045:10727299:1 gene:DRNTG_29166 transcript:DRNTG_29166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNSVSDQSLCIESKEEDEVKEEEPRRRGTRYRRTTNPMAPILAPLVALGAADRARITLLGLRVTGTTSVPFRARIVGIVKGK >DRNTG_05415.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5299360:5299673:-1 gene:DRNTG_05415 transcript:DRNTG_05415.1 gene_biotype:protein_coding transcript_biotype:protein_coding KVLYSSSKDSLVRRSPLSSWGKDGEKNNEIGAESALNRAGIGHPHGPVDSSHAPVEFSHRRVK >DRNTG_12295.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29773468:29773735:-1 gene:DRNTG_12295 transcript:DRNTG_12295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSLPRDRVHHSMKERTPKEIQNTLREEDNTSVFWE >DRNTG_23282.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1332032:1336917:1 gene:DRNTG_23282 transcript:DRNTG_23282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGLHCANRKVIVVWWRRRSRRRHRARTGTEPPRTLPRRFGSGSRFPEPNRGSGKR >DRNTG_19266.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:397684:411326:1 gene:DRNTG_19266 transcript:DRNTG_19266.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOTOPERIOD-INDEPENDENT EARLY FLOWERING 1 [Source:Projected from Arabidopsis thaliana (AT3G12810) UniProtKB/Swiss-Prot;Acc:Q7X9V2] MFLFVLMNSYPATCNIHQDVGPDNEVMASKGPRSKLDHDTRARRQKALEAPKEPPRPKAHWDHVLEEMVWLSKDFESERKWKLTQAKKVAVRASKSILEHATRGEKKVKEEEQRMRKVAANISKDVKKFWMKIEKLVLYKHQLELEERKKKALDKQLNFLLGQTERYSTMLAENLVDKPNSFKQAPVDSGIEQTCILDGERDKNGPTESTPEPVNHLVKMESDDDYGLQSEDEPGDDEQTIDEDEALITEAEREEELAALQNEADQPLEEILKSYMNNTVSREVSPEGVQDVTEPVMKPKDHINDSWNQVNGSKHAEESVDAAHQITGVEEHHSLDRTIQNRKLLNSNHLHHMDSNGKNSCLEGNFGIKKLQSKSQPTNLNTTDKEYLPHSSDDERDDETTLSEEEKLADEEAQNPLDEIKLLEAESDVPLAELLARYRKDAETVYNSAESECACSSSDDLVDKVSNQDFQCKTSYGPSNEDPLDLENSAKLNEGDVHIDEVEVENNSLLERREGEDIIADAAAAARSAQPTGNTYSTTKVRTKLPFLLKHSLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKHKRQGWLKLNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFSNPISGMVEGQEKVNKEVVDRLHNVLRPFILRRLKRDVEKQLPKKFEHVIYCRLSKRQRNLYEDFIASSETQATLASANYFGMISVIMQLRKVCNHPDLFEGRPIISSYDMAGINMQLSSLISTMFSPGPFSEVDLKGCNFVFTQHDFNMTSWETDEVAAIASSSKFLIDSHSKALNQALFYIKKNGIEKCNHESNIFEEFHKALLEERLKESKARAATIAWWNSFRCKKRPIYGTDLRELVTVKHPVFNVHDQKNNPSCYLDFSSKLADIILSPVERLLVFVGLLESFMFAIPAARAPFPTCWCSRGRSPVLFEPSYIGKCTEVFAPLLTPIRPAIVRRQVYFPDRRLIQFDCGKLQELATLLRRLKSEGHRALIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEERQTLMQRFNTNPKFFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRVLDDLVIQGGSYNTEFFKKLDPMELISGHRALPPENLRKGTSEIPELSHSTMGADLSNADVEAAIKHAEDEADYMALKRVEQEEAVDNQEFTEEAIGRLEDDDLLNEDDIKADDRGHEEQGFWASVTSKNTDVTTPFEGGENEENALALAEGDEDIDMLADVKQMAAVAVAAGHASSSFENQLRPIDRYAMRFLDLWDPIIDKSVIDLQVNLQEEEWELDRIEKLKDELEAEIDEDHEPFLYERWDADFATTAYRQHVETLAQRQLMEEQECEDQMENDGGDENCDVARREASDERKQKSKKKQKKTKFKSLKKGPLASELDTVHEEPLLDFISDDDKAHSLGFTSPESPPCSPVKKKRKKATPAPYEERSSKKNSKKRKRTADCNHVIANSFVKQHVQTKDLDIGEGINDFDLRPSSRVKVGGKVSIITMPVKRVLVVRPERLKKRGHVWSKDCFPPPDAWSSSEDAMLCAAVHEYGTNWSLVSDVLYDIPGGGYHRGRFRHPVHCCERFRELFYKYVTSTTDNSNTEKINSSGSGKALLKVTEDHVRTMLAVTSELADNELLLQKHYVAVLASVWRAKYRTDRCQTVASQNGFHSNRFLGYLSGRMPRRLTENSNLVGLRKSMKLILMALNDADKRENQGEFPVSRQAEAPARDQLDLTLDFPVDKENQENDFPPTITVSISEDDHPSQSAEGTHESTLLSEHSVRVAENRLRLSSEACFEGEARGWASAAFPTSDVVRYKPGMKSQSLGKHKSVSDSAKPPKSKVLRVAESNEDCTALTSGPLAPSSIMDWPSSPCQNNSWDDDLGTSFTMDVPLQDTISPHEFDPNFITGLEDLGSLLDITDVG >DRNTG_19266.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:399281:411326:1 gene:DRNTG_19266 transcript:DRNTG_19266.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOTOPERIOD-INDEPENDENT EARLY FLOWERING 1 [Source:Projected from Arabidopsis thaliana (AT3G12810) UniProtKB/Swiss-Prot;Acc:Q7X9V2] MKPKDHINDSWNQVNGSKHAEESVDAAHQITGVEEHHSLDRTIQNRKLLNSNHLHHMDSNGKNSCLEGNFGIKKLQSKSQPTNLNTTDKEYLPHSSDDERDDETTLSEEEKLADEEAQNPLDEIKLLEAESDVPLAELLARYRKDAETVYNSAESECACSSSDDLVDKVSNQDFQCKTSYGPSNEDPLDLENSAKLNEGDVHIDEVEVENNSLLERREGEDIIADAAAAARSAQPTGNTYSTTKVRTKLPFLLKHSLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKHKRQGWLKLNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFSNPISGMVEGQEKVNKEVVDRLHNVLRPFILRRLKRDVEKQLPKKFEHVIYCRLSKRQRNLYEDFIASSETQATLASANYFGMISVIMQLRKVCNHPDLFEGRPIISSYDMAGINMQLSSLISTMFSPGPFSEVDLKGCNFVFTQHDFNMTSWETDEVAAIASSSKFLIDSHSKALNQALFYIKKNGIEKCNHESNIFEEFHKALLEERLKESKARAATIAWWNSFRCKKRPIYGTDLRELVTVKHPVFNVHDQKNNPSCYLDFSSKLADIILSPVERLLVFVGLLESFMFAIPAARAPFPTCWCSRGRSPVLFEPSYIGKCTEVFAPLLTPIRPAIVRRQVYFPDRRLIQFDCGKLQELATLLRRLKSEGHRALIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEERQTLMQRFNTNPKFFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRVLDDLVIQGGSYNTEFFKKLDPMELISGHRALPPENLRKGTSEIPELSHSTMGADLSNADVEAAIKHAEDEADYMALKRVEQEEAVDNQEFTEEAIGRLEDDDLLNEDDIKADDRGHEEQGFWASVTSKNTDVTTPFEGGENEENALALAEGDEDIDMLADVKQMAAVAVAAGHASSSFENQLRPIDRYAMRFLDLWDPIIDKSVIDLQVNLQEEEWELDRIEKLKDELEAEIDEDHEPFLYERWDADFATTAYRQHVETLAQRQLMEEQECEDQMENDGGDENCDVARREASDERKQKSKKKQKKTKFKSLKKGPLASELDTVHEEPLLDFISDDDKAHSLGFTSPESPPCSPVKKKRKKATPAPYEERSSKKNSKKRKRTADCNHVIANSFVKQHVQTKDLDIGEGINDFDLRPSSRVKVGGKVSIITMPVKRVLVVRPERLKKRGHVWSKDCFPPPDAWSSSEDAMLCAAVHEYGTNWSLVSDVLYDIPGGGYHRGRFRHPVHCCERFRELFYKYVTSTTDNSNTEKINSSGSGKALLKVTEDHVRTMLAVTSELADNELLLQKHYVAVLASVWRAKYRTDRCQTVASQNGFHSNRFLGYLSGRMPRRLTENSNLVGLRKSMKLILMALNDADKRENQGEFPVSRQAEAPARDQLDLTLDFPVDKENQENDFPPTITVSISEDDHPSQSAEGTHESTLLSEHSVRVAENRLRLSSEACFEGEARGWASAAFPTSDVVRYKPGMKSQSLGKHKSVSDSAKPPKSKVLRVAESNEDCTALTSGPLAPSSIMDWPSSPCQNNSWDDDLGTSFTMDVPLQDTISPHEFDPNFITGLEDLGSLLDITDVG >DRNTG_19266.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:395210:411326:1 gene:DRNTG_19266 transcript:DRNTG_19266.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOTOPERIOD-INDEPENDENT EARLY FLOWERING 1 [Source:Projected from Arabidopsis thaliana (AT3G12810) UniProtKB/Swiss-Prot;Acc:Q7X9V2] MASKGPRSKLDHDTRARRQKALEAPKEPPRPKAHWDHVLEEMVWLSKDFESERKWKLTQAKKVAVRASKSILEHATRGEKKVKEEEQRMRKVAANISKDVKKFWMKIEKLVLYKHQLELEERKKKALDKQLNFLLGQTERYSTMLAENLVDKPNSFKQAPVDSGIEQTCILDGERDKNGPTESTPEPVNHLVKMESDDDYGLQSEDEPGDDEQTIDEDEALITEAEREEELAALQNEADQPLEEILKSYMNNTVSREVSPEGVQDVTEPVMKPKDHINDSWNQVNGSKHAEESVDAAHQITGVEEHHSLDRTIQNRKLLNSNHLHHMDSNGKNSCLEGNFGIKKLQSKSQPTNLNTTDKEYLPHSSDDERDDETTLSEEEKLADEEAQNPLDEIKLLEAESDVPLAELLARYRKDAETVYNSAESECACSSSDDLVDKVSNQDFQCKTSYGPSNEDPLDLENSAKLNEGDVHIDEVEVENNSLLERREGEDIIADAAAAARSAQPTGNTYSTTKVRTKLPFLLKHSLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKHKRQGWLKLNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFSNPISGMVEGQEKVNKEVVDRLHNVLRPFILRRLKRDVEKQLPKKFEHVIYCRLSKRQRNLYEDFIASSETQATLASANYFGMISVIMQLRKVCNHPDLFEGRPIISSYDMAGINMQLSSLISTMFSPGPFSEVDLKGCNFVFTQHDFNMTSWETDEVAAIASSSKFLIDSHSKALNQALFYIKKNGIEKCNHESNIFEEFHKALLEERLKESKARAATIAWWNSFRCKKRPIYGTDLRELVTVKHPVFNVHDQKNNPSCYLDFSSKLADIILSPVERLLVFVGLLESFMFAIPAARAPFPTCWCSRGRSPVLFEPSYIGKCTEVFAPLLTPIRPAIVRRQVYFPDRRLIQFDCGKLQELATLLRRLKSEGHRALIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEERQTLMQRFNTNPKFFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRVLDDLVIQGGSYNTEFFKKLDPMELISGHRALPPENLRKGTSEIPELSHSTMGADLSNADVEAAIKHAEDEADYMALKRVEQEEAVDNQEFTEEAIGRLEDDDLLNEDDIKADDRGHEEQGFWASVTSKNTDVTTPFEGGENEENALALAEGDEDIDMLADVKQMAAVAVAAGHASSSFENQLRPIDRYAMRFLDLWDPIIDKSVIDLQVNLQEEEWELDRIEKLKDELEAEIDEDHEPFLYERWDADFATTAYRQHVETLAQRQLMEEQECEDQMENDGGDENCDVARREASDERKQKSKKKQKKTKFKSLKKGPLASELDTVHEEPLLDFISDDDKAHSLGFTSPESPPCSPVKKKRKKATPAPYEERSSKKNSKKRKRTADCNHVIANSFVKQHVQTKDLDIGEGINDFDLRPSSRVKVGGKVSIITMPVKRVLVVRPERLKKRGHVWSKDCFPPPDAWSSSEDAMLCAAVHEYGTNWSLVSDVLYDIPGGGYHRGRFRHPVHCCERFRELFYKYVTSTTDNSNTEKINSSGSGKALLKVTEDHVRTMLAVTSELADNELLLQKHYVAVLASVWRAKYRTDRCQTVASQNGFHSNRFLGYLSGRMPRRLTENSNLVGLRKSMKLILMALNDADKRENQGEFPVSRQAEAPARDQLDLTLDFPVDKENQENDFPPTITVSISEDDHPSQSAEGTHESTLLSEHSVRVAENRLRLSSEACFEGEARGWASAAFPTSDVVRYKPGMKSQSLGKHKSVSDSAKPPKSKVLRVAESNEDCTALTSGPLAPSSIMDWPSSPCQNNSWDDDLGTSFTMDVPLQDTISPHEFDPNFITGLEDLGSLLDITDVG >DRNTG_19266.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:402263:411326:1 gene:DRNTG_19266 transcript:DRNTG_19266.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOTOPERIOD-INDEPENDENT EARLY FLOWERING 1 [Source:Projected from Arabidopsis thaliana (AT3G12810) UniProtKB/Swiss-Prot;Acc:Q7X9V2] MYEKRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKHKRQGWLKLNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFSNPISGMVEGQEKVNKEVVDRLHNVLRPFILRRLKRDVEKQLPKKFEHVIYCRLSKRQRNLYEDFIASSETQATLASANYFGMISVIMQLRKVCNHPDLFEGRPIISSYDMAGINMQLSSLISTMFSPGPFSEVDLKGCNFVFTQHDFNMTSWETDEVAAIASSSKFLIDSHSKALNQALFYIKKNGIEKCNHESNIFEEFHKALLEERLKESKARAATIAWWNSFRCKKRPIYGTDLRELVTVKHPVFNVHDQKNNPSCYLDFSSKLADIILSPVERLLVFVGLLESFMFAIPAARAPFPTCWCSRGRSPVLFEPSYIGKCTEVFAPLLTPIRPAIVRRQVYFPDRRLIQFDCGKLQELATLLRRLKSEGHRALIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEERQTLMQRFNTNPKFFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRVLDDLVIQGGSYNTEFFKKLDPMELISGHRALPPENLRKGTSEIPELSHSTMGADLSNADVEAAIKHAEDEADYMALKRVEQEEAVDNQEFTEEAIGRLEDDDLLNEDDIKADDRGHEEQGFWASVTSKNTDVTTPFEGGENEENALALAEGDEDIDMLADVKQMAAVAVAAGHASSSFENQLRPIDRYAMRFLDLWDPIIDKSVIDLQVNLQEEEWELDRIEKLKDELEAEIDEDHEPFLYERWDADFATTAYRQHVETLAQRQLMEEQECEDQMENDGGDENCDVARREASDERKQKSKKKQKKTKFKSLKKGPLASELDTVHEEPLLDFISDDDKAHSLGFTSPESPPCSPVKKKRKKATPAPYEERSSKKNSKKRKRTADCNHVIANSFVKQHVQTKDLDIGEGINDFDLRPSSRVKVGGKVSIITMPVKRVLVVRPERLKKRGHVWSKDCFPPPDAWSSSEDAMLCAAVHEYGTNWSLVSDVLYDIPGGGYHRGRFRHPVHCCERFRELFYKYVTSTTDNSNTEKINSSGSGKALLKVTEDHVRTMLAVTSELADNELLLQKHYVAVLASVWRAKYRTDRCQTVASQNGFHSNRFLGYLSGRMPRRLTENSNLVGLRKSMKLILMALNDADKRENQGEFPVSRQAEAPARDQLDLTLDFPVDKENQENDFPPTITVSISEDDHPSQSAEGTHESTLLSEHSVRVAENRLRLSSEACFEGEARGWASAAFPTSDVVRYKPGMKSQSLGKHKSVSDSAKPPKSKVLRVAESNEDCTALTSGPLAPSSIMDWPSSPCQNNSWDDDLGTSFTMDVPLQDTISPHEFDPNFITGLEDLGSLLDITDVG >DRNTG_19266.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:395210:411326:1 gene:DRNTG_19266 transcript:DRNTG_19266.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOTOPERIOD-INDEPENDENT EARLY FLOWERING 1 [Source:Projected from Arabidopsis thaliana (AT3G12810) UniProtKB/Swiss-Prot;Acc:Q7X9V2] MASKGPRSKLDHDTRARRQKALEAPKEPPRPKAHWDHVLEEMVWLSKDFESERKWKLTQAKKVAVRASKSILEHATRGEKKVKEEEQRMRKVAANISKDVKKFWMKIEKLVLYKHQLELEERKKKALDKQLNFLLGQTERYSTMLAENLVDKPNSFKQAPVDSGIEQTCILDGERDKNGPTESTPEPVNHLVKMESDDDYGLQSEDEPGDDEQTIDEDEALITEAEREEELAALQNEADQPLEEILKSYMNNTVSREVSPEGVQDVTEPVMKPKDHINDSWNQVNGSKHAEESVDAAHQITGVEEHHSLDRTIQNRKLLNSNHLHHMDSNGKNSCLEGNFGIKKLQSKSQPTNLNTTDKEYLPHSSDDERDDETTLSEEEKLADEEAQNPLDEIKLLEAESDVPLAELLARYRKDAETVYNSAESECACSSSDDLVDKVSNQDFQCKTSYGPSNEDPLDLENSAKLNEGDVHIDEVEVENNSLLERREGEDIIADAAAAARSAQPTGNTYSTTKVRTKLPFLLKHSLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKHKRQGWLKLNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFSNPISGMVEGQEKVNKEVVDRLHNVLRPFILRRLKRDVEKQLPKKFEHVIYCRLSKRQRNLYEDFIASSETQATLASANYFGMISVIMQLRKVCNHPDLFEGRPIISSYDMAGINMQLSSLISTMFSPGPFSEVDLKGCNFVFTQHDFNMTSWETDEVAAIASSSKFLIDSHSKALNQALFYIKKNGIEKCNHESNIFEEFHKALLEERLKESKARAATIAWWNSFRCKKRPIYGTDLRELVTVKHPVFNVHDQKNNPSCYLDFSSKLADIILSPVERLLVFVGLLESFMFAIPAARAPFPTCWCSRGRSPVLFEPSYIGKCTEVFAPLLTPIRPAIVRRQVYFPDRRLIQFDCGKLQELATLLRRLKSEGHRALIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEERQTLMQRFNTNPKFFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRVLDDLVIQGGSYNTEFFKKLDPMELISGHRALPPENLRKGTSEIPELSHSTMGADLSNADVEAAIKHAEDEADYMALKRVEQEEAVDNQEFTEEAIGRLEDDDLLNEDDIKADDRGHEEQGFWASVTSKNTDVTTPFEGGENEENALALAEGDEDIDMLADVKQMAAVAVAAGHASSSFENQLRPIDRYAMRFLDLWDPIIDKSVIDLQVNLQEEEWELDRIEKLKDELEAEIDEDHEPFLYERWDADFATTAYRQHVETLAQRQLMEEQECEDQMENDGGDENCDVARREASDERKQKSKKKQKKTKFKSLKKGPLASELDTVHEEPLLDFISDDDKAHSLGFTSPESPPCSPVKKKRKKATPAPYEERSSKKNSKKRKRTADCNHVIANSFVKQHVQTKDLDIGEGINDFDLRPSSRVKVGGKVSIITMPVKRVLVVRPERLKKRGHVWSKDCFPPPDAWSSSEDAMLCAAVHEYGTNWSLVSDVLYDIPGGGYHRGRFRHPVHCCERFRELFYKYVTSTTDNSNTEKINSSGSGKALLKVTEDHVRTMLAVTSELADNELLLQKHYVAVLASVWRAKYRTDRCQTVASQNGFHSNRFLGYLSGRMPRRLTENSNLVGLRKSMKLILMALNDADKRENQGEFPVSRQAEAPARDQLDLTLDFPVDKENQENDFPPTITVSISEDDHPSQSAEGTHESTLLSEHSVRVAENRLRLSSEACFEGEARGWASAAFPTSDVVRYKPGMKSQSLGKHKSVSDSAKPPKSKVLRVAESNEDCTALTSGPLAPSSIMDWPSSPCQNNSWDDDLGTSFTMDVPLQDTISPHEFDPNFITGLEDLGSLLDITDVG >DRNTG_19266.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:401167:411326:1 gene:DRNTG_19266 transcript:DRNTG_19266.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOTOPERIOD-INDEPENDENT EARLY FLOWERING 1 [Source:Projected from Arabidopsis thaliana (AT3G12810) UniProtKB/Swiss-Prot;Acc:Q7X9V2] MDSNGKNSCLEGNFGIKKLQSKSQPTNLNTTDKEYLPHSSDDERDDETTLSEEEKLADEEAQNPLDEIKLLEAESDVPLAELLARYRKDAETVYNSAESECACSSSDDLVDKVSNQDFQCKTSYGPSNEDPLDLENSAKLNEGDVHIDEVEVENNSLLERREGEDIIADAAAAARSAQPTGNTYSTTKVRTKLPFLLKHSLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKHKRQGWLKLNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFSNPISGMVEGQEKVNKEVVDRLHNVLRPFILRRLKRDVEKQLPKKFEHVIYCRLSKRQRNLYEDFIASSETQATLASANYFGMISVIMQLRKVCNHPDLFEGRPIISSYDMAGINMQLSSLISTMFSPGPFSEVDLKGCNFVFTQHDFNMTSWETDEVAAIASSSKFLIDSHSKALNQALFYIKKNGIEKCNHESNIFEEFHKALLEERLKESKARAATIAWWNSFRCKKRPIYGTDLRELVTVKHPVFNVHDQKNNPSCYLDFSSKLADIILSPVERLLVFVGLLESFMFAIPAARAPFPTCWCSRGRSPVLFEPSYIGKCTEVFAPLLTPIRPAIVRRQVYFPDRRLIQFDCGKLQELATLLRRLKSEGHRALIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEERQTLMQRFNTNPKFFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRVLDDLVIQGGSYNTEFFKKLDPMELISGHRALPPENLRKGTSEIPELSHSTMGADLSNADVEAAIKHAEDEADYMALKRVEQEEAVDNQEFTEEAIGRLEDDDLLNEDDIKADDRGHEEQGFWASVTSKNTDVTTPFEGGENEENALALAEGDEDIDMLADVKQMAAVAVAAGHASSSFENQLRPIDRYAMRFLDLWDPIIDKSVIDLQVNLQEEEWELDRIEKLKDELEAEIDEDHEPFLYERWDADFATTAYRQHVETLAQRQLMEEQECEDQMENDGGDENCDVARREASDERKQKSKKKQKKTKFKSLKKGPLASELDTVHEEPLLDFISDDDKAHSLGFTSPESPPCSPVKKKRKKATPAPYEERSSKKNSKKRKRTADCNHVIANSFVKQHVQTKDLDIGEGINDFDLRPSSRVKVGGKVSIITMPVKRVLVVRPERLKKRGHVWSKDCFPPPDAWSSSEDAMLCAAVHEYGTNWSLVSDVLYDIPGGGYHRGRFRHPVHCCERFRELFYKYVTSTTDNSNTEKINSSGSGKALLKVTEDHVRTMLAVTSELADNELLLQKHYVAVLASVWRAKYRTDRCQTVASQNGFHSNRFLGYLSGRMPRRLTENSNLVGLRKSMKLILMALNDADKRENQGEFPVSRQAEAPARDQLDLTLDFPVDKENQENDFPPTITVSISEDDHPSQSAEGTHESTLLSEHSVRVAENRLRLSSEACFEGEARGWASAAFPTSDVVRYKPGMKSQSLGKHKSVSDSAKPPKSKVLRVAESNEDCTALTSGPLAPSSIMDWPSSPCQNNSWDDDLGTSFTMDVPLQDTISPHEFDPNFITGLEDLGSLLDITDVG >DRNTG_19266.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:399058:411326:1 gene:DRNTG_19266 transcript:DRNTG_19266.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOTOPERIOD-INDEPENDENT EARLY FLOWERING 1 [Source:Projected from Arabidopsis thaliana (AT3G12810) UniProtKB/Swiss-Prot;Acc:Q7X9V2] MLAENLVDKPNSFKQAPVDSGIEQTCILDGERDKNGPTESTPEPVNHLVKMESDDDYGLQSEDEPGDDEQTIDEDEALITEAEREEELAALQNEADQPLEEILKSYMNNTVSREVSPEGVQDVTEPVMKPKDHINDSWNQVNGSKHAEESVDAAHQITGVEEHHSLDRTIQNRKLLNSNHLHHMDSNGKNSCLEGNFGIKKLQSKSQPTNLNTTDKEYLPHSSDDERDDETTLSEEEKLADEEAQNPLDEIKLLEAESDVPLAELLARYRKDAETVYNSAESECACSSSDDLVDKVSNQDFQCKTSYGPSNEDPLDLENSAKLNEGDVHIDEVEVENNSLLERREGEDIIADAAAAARSAQPTGNTYSTTKVRTKLPFLLKHSLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKHKRQGWLKLNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFSNPISGMVEGQEKVNKEVVDRLHNVLRPFILRRLKRDVEKQLPKKFEHVIYCRLSKRQRNLYEDFIASSETQATLASANYFGMISVIMQLRKVCNHPDLFEGRPIISSYDMAGINMQLSSLISTMFSPGPFSEVDLKGCNFVFTQHDFNMTSWETDEVAAIASSSKFLIDSHSKALNQALFYIKKNGIEKCNHESNIFEEFHKALLEERLKESKARAATIAWWNSFRCKKRPIYGTDLRELVTVKHPVFNVHDQKNNPSCYLDFSSKLADIILSPVERLLVFVGLLESFMFAIPAARAPFPTCWCSRGRSPVLFEPSYIGKCTEVFAPLLTPIRPAIVRRQVYFPDRRLIQFDCGKLQELATLLRRLKSEGHRALIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEERQTLMQRFNTNPKFFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRVLDDLVIQGGSYNTEFFKKLDPMELISGHRALPPENLRKGTSEIPELSHSTMGADLSNADVEAAIKHAEDEADYMALKRVEQEEAVDNQEFTEEAIGRLEDDDLLNEDDIKADDRGHEEQGFWASVTSKNTDVTTPFEGGENEENALALAEGDEDIDMLADVKQMAAVAVAAGHASSSFENQLRPIDRYAMRFLDLWDPIIDKSVIDLQVNLQEEEWELDRIEKLKDELEAEIDEDHEPFLYERWDADFATTAYRQHVETLAQRQLMEEQECEDQMENDGGDENCDVARREASDERKQKSKKKQKKTKFKSLKKGPLASELDTVHEEPLLDFISDDDKAHSLGFTSPESPPCSPVKKKRKKATPAPYEERSSKKNSKKRKRTADCNHVIANSFVKQHVQTKDLDIGEGINDFDLRPSSRVKVGGKVSIITMPVKRVLVVRPERLKKRGHVWSKDCFPPPDAWSSSEDAMLCAAVHEYGTNWSLVSDVLYDIPGGGYHRGRFRHPVHCCERFRELFYKYVTSTTDNSNTEKINSSGSGKALLKVTEDHVRTMLAVTSELADNELLLQKHYVAVLASVWRAKYRTDRCQTVASQNGFHSNRFLGYLSGRMPRRLTENSNLVGLRKSMKLILMALNDADKRENQGEFPVSRQAEAPARDQLDLTLDFPVDKENQENDFPPTITVSISEDDHPSQSAEGTHESTLLSEHSVRVAENRLRLSSEACFEGEARGWASAAFPTSDVVRYKPGMKSQSLGKHKSVSDSAKPPKSKVLRVAESNEDCTALTSGPLAPSSIMDWPSSPCQNNSWDDDLGTSFTMDVPLQDTISPHEFDPNFITGLEDLGSLLDITDVG >DRNTG_19266.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:403300:411894:1 gene:DRNTG_19266 transcript:DRNTG_19266.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOTOPERIOD-INDEPENDENT EARLY FLOWERING 1 [Source:Projected from Arabidopsis thaliana (AT3G12810) UniProtKB/Swiss-Prot;Acc:Q7X9V2] MYEKRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKHKRQGWLKLNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFSNPISGMVEGQEKVNKEVVDRLHNVLRPFILRRLKRDVEKQLPKKFEHVIYCRLSKRQRNLYEDFIASSETQATLASANYFGMISVIMQLRKVCNHPDLFEGRPIISSYDMAGINMQLSSLISTMFSPGPFSEVDLKGCNFVFTQHDFNMTSWETDEVAAIASSSKFLIDSHSKALNQALFYIKKNGIEKCNHESNIFEEFHKALLEERLKESKARAATIAWWNSFRCKKRPIYGTDLRELVTVKHPVFNVHDQKNNPSCYLDFSSKLADIILSPVERLLVFVGLLESFMFAIPAARAPFPTCWCSRGRSPVLFEPSYIGKCTEVFAPLLTPIRPAIVRRQVYFPDRRLIQFDCGKLQELATLLRRLKSEGHRALIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEERQTLMQRFNTNPKFFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRVLDDLVIQGGSYNTEFFKKLDPMELISGHRALPPENLRKGTSEIPELSHSTMGADLSNADVEAAIKHAEDEADYMALKRVEQEEAVDNQEFTEEAIGRLEDDDLLNEDDIKADDRGHEEQGFWASVTSKNTDVTTPFEGGENEENALALAEGDEDIDMLADVKQMAAVAVAAGHASSSFENQLRPIDRYAMRFLDLWDPIIDKSVIDLQVNLQEEEWELDRIEKLKDELEAEIDEDHEPFLYERWDADFATTAYRQHVETLAQRQLMEEQECEDQMENDGGDENCDVARREASDERKQKSKKKQKKTKFKSLKKGPLASELDTVHEEPLLDFISDDDKAHSLGFTSPESPPCSPVKKKRKKATPAPYEERSSKKNSKKRKRTADCNHVIANSFVKQHVQTKDLDIGEGINDFDLRPSSRVKVGGKVSIITMPVKRVLVVRPERLKKRGHVWSKDCFPPPDAWSSSEDAMLCAAVHEYGTNWSLVSDVLYDIPGGGYHRGRFRHPVHCCERFRELFYKYVTSTTDNSNTEKINSSGSGKALLKVTEDHVRTMLAVTSELADNELLLQKHYVAVLASVWRAKYRTDRCQTVASQNGFHSNRFLGYLSGRMPRRLTENSNLVGLRKSMKLILMALNDADKRENQGEFPVSRQAEAPARDQLDLTLDFPVDKENQENDFPPTITVSISEDDHPSQSAEGTHESTLLSEHSVRVAENRLRLSSEACFEGEARGWASAAFPTSDVVRYKPGMKSQSLGKHKSVSDSAKPPKSKVLRVAESNEDCTALTSGPLAPSSIMDWPSSPCQNNSWDDDLGTSFTMDVPLQDTISPHEFDPNFITGLEDLGSLLDITDVG >DRNTG_19266.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:395210:411326:1 gene:DRNTG_19266 transcript:DRNTG_19266.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOTOPERIOD-INDEPENDENT EARLY FLOWERING 1 [Source:Projected from Arabidopsis thaliana (AT3G12810) UniProtKB/Swiss-Prot;Acc:Q7X9V2] MASKGPRSKLDHDTRARRQKALEAPKEPPRPKAHWDHVLEEMVWLSKDFESERKWKLTQAKKVAVRASKSILEHATRGEKKVKEEEQRMRKVAANISKDVKKFWMKIEKLVLYKHQLELEERKKKALDKQLNFLLGQTERYSTMLAENLVDKPNSFKQAPVDSGIEQTCILDGERDKNGPTESTPEPVNHLVKMESDDDYGLQSEDEPGDDEQTIDEDEALITEAEREEELAALQNEADQPLEEILKSYMNNTVSREVSPEGVQDVTEPVMKPKDHINDSWNQVNGSKHAEESVDAAHQITGVEEHHSLDRTIQNRKLLNSNHLHHMDSNGKNSCLEGNFGIKKLQSKSQPTNLNTTDKEYLPHSSDDERDDETTLSEEEKLADEEAQNPLDEIKLLEAESDVPLAELLARYRKDAETVYNSAESECACSSSDDLVDKVSNQDFQCKTSYGPSNEDPLDLENSAKLNEGDVHIDEVEVENNSLLERREGEDIIADAAAAARSAQPTGNTYSTTKVRTKLPFLLKHSLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKHKRQGWLKLNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFSNPISGMVEGQEKVNKEVVDRLHNVLRPFILRRLKRDVEKQLPKKFEHVIYCRLSKRQRNLYEDFIASSETQATLASANYFGMISVIMQLRKVCNHPDLFEGRPIISSYDMAGINMQLSSLISTMFSPGPFSEVDLKGCNFVFTQHDFNMTSWETDEVAAIASSSKFLIDSHSKALNQALFYIKKNGIEKCNHESNIFEEFHKALLEERLKESKARAATIAWWNSFRCKKRPIYGTDLRELVTVKHPVFNVHDQKNNPSCYLDFSSKLADIILSPVERLLVFVGLLESFMFAIPAARAPFPTCWCSRGRSPVLFEPSYIGKCTEVFAPLLTPIRPAIVRRQVYFPDRRLIQFDCGKLQELATLLRRLKSEGHRALIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEERQTLMQRFNTNPKFFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRVLDDLVIQGGSYNTEFFKKLDPMELISGHRALPPENLRKGTSEIPELSHSTMGADLSNADVEAAIKHAEDEADYMALKRVEQEEAVDNQEFTEEAIGRLEDDDLLNEDDIKADDRGHEEQGFWASVTSKNTDVTTPFEGGENEENALALAEGDEDIDMLADVKQMAAVAVAAGHASSSFENQLRPIDRYAMRFLDLWDPIIDKSVIDLQVNLQEEEWELDRIEKLKDELEAEIDEDHEPFLYERWDADFATTAYRQHVETLAQRQLMEEQECEDQMENDGGDENCDVARREASDERKQKSKKKQKKTKFKSLKKGPLASELDTVHEEPLLDFISDDDKAHSLGFTSPESPPCSPVKKKRKKATPAPYEERSSKKNSKKRKRTADCNHVIANSFVKQHVQTKDLDIGEGINDFDLRPSSRVKVGGKVSIITMPVKRVLVVRPERLKKRGHVWSKDCFPPPDAWSSSEDAMLCAAVHEYGTNWSLVSDVLYDIPGGGYHRGRFRHPVHCCERFRELFYKYVTSTTDNSNTEKINSSGSGKALLKVTEDHVRTMLAVTSELADNELLLQKHYVAVLASVWRAKYRTDRCQTVASQNGFHSNRFLGYLSGRMPRRLTENSNLVGLRKSMKLILMALNDADKRENQGEFPVSRQAEAPARDQLDLTLDFPVDKENQENDFPPTITVSISEDDHPSQSAEGTHESTLLSEHSVRVAENRLRLSSEACFEGEARGWASAAFPTSDVVRYKPGMKSQSLGKHKSVSDSAKPPKSKVLRVAESNEDCTALTSGPLAPSSIMDWPSSPCQNNSWDDDLGTSFTMDVPLQDTISPHEFDPNFITGLEDLGSLLDITDVG >DRNTG_19266.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:399281:411326:1 gene:DRNTG_19266 transcript:DRNTG_19266.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOTOPERIOD-INDEPENDENT EARLY FLOWERING 1 [Source:Projected from Arabidopsis thaliana (AT3G12810) UniProtKB/Swiss-Prot;Acc:Q7X9V2] MLAENLVDKPNSFKQAPVDSGIEQTCILDGERDKNGPTESTPEPVNHLVKMESDDDYGLQSEDEPGDDEQTIDEDEALITEAEREEELAALQNEADQPLEEILKSYMNNTVSREVSPEGVQDVTEPVMKPKDHINDSWNQVNGSKHAEESVDAAHQITGVEEHHSLDRTIQNRKLLNSNHLHHMDSNGKNSCLEGNFGIKKLQSKSQPTNLNTTDKEYLPHSSDDERDDETTLSEEEKLADEEAQNPLDEIKLLEAESDVPLAELLARYRKDAETVYNSAESECACSSSDDLVDKVSNQDFQCKTSYGPSNEDPLDLENSAKLNEGDVHIDEVEVENNSLLERREGEDIIADAAAAARSAQPTGNTYSTTKVRTKLPFLLKHSLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKHKRQGWLKLNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFSNPISGMVEGQEKVNKEVVDRLHNVLRPFILRRLKRDVEKQLPKKFEHVIYCRLSKRQRNLYEDFIASSETQATLASANYFGMISVIMQLRKVCNHPDLFEGRPIISSYDMAGINMQLSSLISTMFSPGPFSEVDLKGCNFVFTQHDFNMTSWETDEVAAIASSSKFLIDSHSKALNQALFYIKKNGIEKCNHESNIFEEFHKALLEERLKESKARAATIAWWNSFRCKKRPIYGTDLRELVTVKHPVFNVHDQKNNPSCYLDFSSKLADIILSPVERLLVFVGLLESFMFAIPAARAPFPTCWCSRGRSPVLFEPSYIGKCTEVFAPLLTPIRPAIVRRQVYFPDRRLIQFDCGKLQELATLLRRLKSEGHRALIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEERQTLMQRFNTNPKFFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRVLDDLVIQGGSYNTEFFKKLDPMELISGHRALPPENLRKGTSEIPELSHSTMGADLSNADVEAAIKHAEDEADYMALKRVEQEEAVDNQEFTEEAIGRLEDDDLLNEDDIKADDRGHEEQGFWASVTSKNTDVTTPFEGGENEENALALAEGDEDIDMLADVKQMAAVAVAAGHASSSFENQLRPIDRYAMRFLDLWDPIIDKSVIDLQVNLQEEEWELDRIEKLKDELEAEIDEDHEPFLYERWDADFATTAYRQHVETLAQRQLMEEQECEDQMENDGGDENCDVARREASDERKQKSKKKQKKTKFKSLKKGPLASELDTVHEEPLLDFISDDDKAHSLGFTSPESPPCSPVKKKRKKATPAPYEERSSKKNSKKRKRTADCNHVIANSFVKQHVQTKDLDIGEGINDFDLRPSSRVKVGGKVSIITMPVKRVLVVRPERLKKRGHVWSKDCFPPPDAWSSSEDAMLCAAVHEYGTNWSLVSDVLYDIPGGGYHRGRFRHPVHCCERFRELFYKYVTSTTDNSNTEKINSSGSGKALLKVTEDHVRTMLAVTSELADNELLLQKHYVAVLASVWRAKYRTDRCQTVASQNGFHSNRFLGYLSGRMPRRLTENSNLVGLRKSMKLILMALNDADKRENQGEFPVSRQAEAPARDQLDLTLDFPVDKENQENDFPPTITVSISEDDHPSQSAEGTHESTLLSEHSVRVAENRLRLSSEACFEGEARGWASAAFPTSDVVRYKPGMKSQSLGKHKSVSDSAKPPKSKVLRVAESNEDCTALTSGPLAPSSIMDWPSSPCQNNSWDDDLGTSFTMDVPLQDTISPHEFDPNFITGLEDLGSLLDITDVG >DRNTG_19266.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:398644:411326:1 gene:DRNTG_19266 transcript:DRNTG_19266.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOTOPERIOD-INDEPENDENT EARLY FLOWERING 1 [Source:Projected from Arabidopsis thaliana (AT3G12810) UniProtKB/Swiss-Prot;Acc:Q7X9V2] MRKVAANISKDVKKFWMKIEKLVLYKHQLELEERKKKALDKQLNFLLGQTERYSTMLAENLVDKPNSFKQAPVDSGIEQTCILDGERDKNGPTESTPEPVNHLVKMESDDDYGLQSEDEPGDDEQTIDEDEALITEAEREEELAALQNEADQPLEEILKSYMNNTVSREVSPEGVQDVTEPVMKPKDHINDSWNQVNGSKHAEESVDAAHQITGVEEHHSLDRTIQNRKLLNSNHLHHMDSNGKNSCLEGNFGIKKLQSKSQPTNLNTTDKEYLPHSSDDERDDETTLSEEEKLADEEAQNPLDEIKLLEAESDVPLAELLARYRKDAETVYNSAESECACSSSDDLVDKVSNQDFQCKTSYGPSNEDPLDLENSAKLNEGDVHIDEVEVENNSLLERREGEDIIADAAAAARSAQPTGNTYSTTKVRTKLPFLLKHSLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKHKRQGWLKLNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFSNPISGMVEGQEKVNKEVVDRLHNVLRPFILRRLKRDVEKQLPKKFEHVIYCRLSKRQRNLYEDFIASSETQATLASANYFGMISVIMQLRKVCNHPDLFEGRPIISSYDMAGINMQLSSLISTMFSPGPFSEVDLKGCNFVFTQHDFNMTSWETDEVAAIASSSKFLIDSHSKALNQALFYIKKNGIEKCNHESNIFEEFHKALLEERLKESKARAATIAWWNSFRCKKRPIYGTDLRELVTVKHPVFNVHDQKNNPSCYLDFSSKLADIILSPVERLLVFVGLLESFMFAIPAARAPFPTCWCSRGRSPVLFEPSYIGKCTEVFAPLLTPIRPAIVRRQVYFPDRRLIQFDCGKLQELATLLRRLKSEGHRALIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEERQTLMQRFNTNPKFFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRVLDDLVIQGGSYNTEFFKKLDPMELISGHRALPPENLRKGTSEIPELSHSTMGADLSNADVEAAIKHAEDEADYMALKRVEQEEAVDNQEFTEEAIGRLEDDDLLNEDDIKADDRGHEEQGFWASVTSKNTDVTTPFEGGENEENALALAEGDEDIDMLADVKQMAAVAVAAGHASSSFENQLRPIDRYAMRFLDLWDPIIDKSVIDLQVNLQEEEWELDRIEKLKDELEAEIDEDHEPFLYERWDADFATTAYRQHVETLAQRQLMEEQECEDQMENDGGDENCDVARREASDERKQKSKKKQKKTKFKSLKKGPLASELDTVHEEPLLDFISDDDKAHSLGFTSPESPPCSPVKKKRKKATPAPYEERSSKKNSKKRKRTADCNHVIANSFVKQHVQTKDLDIGEGINDFDLRPSSRVKVGGKVSIITMPVKRVLVVRPERLKKRGHVWSKDCFPPPDAWSSSEDAMLCAAVHEYGTNWSLVSDVLYDIPGGGYHRGRFRHPVHCCERFRELFYKYVTSTTDNSNTEKINSSGSGKALLKVTEDHVRTMLAVTSELADNELLLQKHYVAVLASVWRAKYRTDRCQTVASQNGFHSNRFLGYLSGRMPRRLTENSNLVGLRKSMKLILMALNDADKRENQGEFPVSRQAEAPARDQLDLTLDFPVDKENQENDFPPTITVSISEDDHPSQSAEGTHESTLLSEHSVRVAENRLRLSSEACFEGEARGWASAAFPTSDVVRYKPGMKSQSLGKHKSVSDSAKPPKSKVLRVAESNEDCTALTSGPLAPSSIMDWPSSPCQNNSWDDDLGTSFTMDVPLQDTISPHEFDPNFITGLEDLGSLLDITDVG >DRNTG_19266.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:410399:411326:1 gene:DRNTG_19266 transcript:DRNTG_19266.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOTOPERIOD-INDEPENDENT EARLY FLOWERING 1 [Source:Projected from Arabidopsis thaliana (AT3G12810) UniProtKB/Swiss-Prot;Acc:Q7X9V2] MKSQSLGKHKSVSDSAKPPKSKVLRVAESNEDCTALTSGPLAPSSIMDWPSSPCQNNSWDDDLGTSFTMDVPLQDTISPHEFDPNFITGLEDLGSLLDITDVG >DRNTG_21850.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6430977:6435257:1 gene:DRNTG_21850 transcript:DRNTG_21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAGVFFVVGVIFNGVAQNLAMLIAGRILLGCGVGFSGQVIPLFLSEIAPTKIRGGLNILFQLDITIGILLANLVNYATAKIHPWGWRLSLSLAGIPAILLVLASLLVVDTPNSLIERGRIEEGKAVLAKIRGTENIETEFNEIIQASRVAQQVKHPFCDLIKRHHRPQLIVNVFIQVFQQLTGINAIMFYAPVLFNTLGFKNDASLYSAVITGTVNVLSTILSIYSVDRIGRRVLLLEAGIQMFIAHIMVAVILSIKVSDHSSTLSHGNAVLIVVLVCIFVSAFAWSWGPSASLIPSETFALEVRPAGQSLAVCVNLVLTFVIAQAFLSMLCAFKYFIFVFFSVWVLIMTLFVMLFLPETKNVPIEEMAQRVWKKHWFWKRFLVEDEEERKMNVGEEL >DRNTG_21850.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6430977:6435257:1 gene:DRNTG_21850 transcript:DRNTG_21850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCRIHPWGWRLSLSLAGIPAILLVLASLLVVDTPNSLIERGRIEEGKAVLAKIRGTENIETEFNEIIQASRVAQQVKHPFCDLIKRHHRPQLIVNVFIQVFQQLTGINAIMFYAPVLFNTLGFKNDASLYSAVITGTVNVLSTILSIYSVDRIGRRVLLLEAGIQMFIAHIMVAVILSIKVSDHSSTLSHGNAVLIVVLVCIFVSAFAWSWGPSASLIPSETFALEVRPAGQSLAVCVNLVLTFVIAQAFLSMLCAFKYFIFVFFSVWVLIMTLFVMLFLPETKNVPIEEMAQRVWKKHWFWKRFLVEDEEERKMNVGEEL >DRNTG_07404.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7376094:7378186:-1 gene:DRNTG_07404 transcript:DRNTG_07404.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase 2 [Source:Projected from Arabidopsis thaliana (AT5G47810) UniProtKB/Swiss-Prot;Acc:Q9FIK0] MDPDPVTSDEPKTTATRTTITLPPFTLHSIPHLGNLTVENPIDFSPYYHPSPSFYLSSHDLILRRILFDLSSGEALAEGDPIIAYHRAGPRRMIHFPPLIVRAAIVTCGGLCPGLNTVIRELVVGLWEIYGVRDIFGVAAGYRGFYSSEPIRLEPKMVDSWHKRGGTALATSRGGFDLEKIVDAIEKQGFNQVYVIGGDGTMRGAVKIFHEIQRRKLDVSITGIPKTVDNDIGIIDRSFGFQTAVEMALQAINAAHVEAESAVNGIGLVKLMGRSTGHIALHATLSSRDVDCCLIPENDFYLEGRGGLFEFLDQRLKKNGHAVIVVAEGAGQDKIPRTDAQKEDKDESGNLVFLDVGVWLKSELNSWWAQEHPGELFTVKYIDPTYMIRAVPANAIDNMYCTLLAHSAIHGVMAGYTGFVPGPVNGNYAYIPVEEVAAAKNIVDTKDHKWAWVRSVTNQPDFLKI >DRNTG_07404.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7376038:7378186:-1 gene:DRNTG_07404 transcript:DRNTG_07404.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase 2 [Source:Projected from Arabidopsis thaliana (AT5G47810) UniProtKB/Swiss-Prot;Acc:Q9FIK0] MDPDPVTSDEPKTTATRTTITLPPFTLHSIPHLGNLTVENPIDFSPYYHPSPSFYLSSHDLILRRILFDLSSGEALAEGDPIIAYHRAGPRRMIHFPPLIVRAAIVTCGGLCPGLNTVIRELVVGLWEIYGVRDIFGVAAGYRGFYSSEPIRLEPKMVDSWHKRGGTALATSRGGFDLEKIVDAIEKQGFNQVYVIGGDGTMRGAVKIFHEIQRRKLDVSITGIPKTVDNDIGIIDRSFGFQTAVEMALQAINAAHVEAESAVNGIGLVKLMGRSTGHIALHATLSSRDVDCCLIPENDFYLEGRGGLFEFLDQRLKKNGHAVIVVAEGAGQDKIPRTDAQKEDKDESGNLVFLDVGVWLKSELNSWWAQEHPGELFTVKYIDPTYMIRAVPANAIDNMYCTLLAHSAIHGVMAGYTGFVPGPVNGNYAYIPVEEVAAAKNIVDTKDHKWAWVRSVTNQPDFLKI >DRNTG_07404.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7376094:7378240:-1 gene:DRNTG_07404 transcript:DRNTG_07404.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase 2 [Source:Projected from Arabidopsis thaliana (AT5G47810) UniProtKB/Swiss-Prot;Acc:Q9FIK0] MDPDPVTSDEPKTTATRTTITLPPFTLHSIPHLGNLTVENPIDFSPYYHPSPSFYLSSHDLILRRILFDLSSGEALAEGDPIIAYHRAGPRRMIHFPPLIVRAAIVTCGGLCPGLNTVIRELVVGLWEIYGVRDIFGVAAGYRGFYSSEPIRLEPKMVDSWHKRGGTALATSRGGFDLEKIVDAIEKQGFNQVYVIGGDGTMRGAVKIFHEIQRRKLDVSITGIPKTVDNDIGIIDRSFGFQTAVEMALQAINAAHVEAESAVNGIGLVKLMGRSTGHIALHATLSSRDVDCCLIPENDFYLEGRGGLFEFLDQRLKKNGHAVIVVAEGAGQDKIPRTDAQKEDKDESGNLVFLDVGVWLKSELNSWWAQEHPGELFTVKYIDPTYMIRAVPANAIDNMYCTLLAHSAIHGVMAGYTGFVPGPVNGNYAYIPVEEVAAAKNIVDTKDHKWAWVRSVTNQPDFLKI >DRNTG_00757.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2599021:2601295:-1 gene:DRNTG_00757 transcript:DRNTG_00757.2 gene_biotype:protein_coding transcript_biotype:protein_coding EIWVQSRPARSKPKGIKASRHENPSTFLSTCTIPCSFHPSNKFNLLQGPEHRLIVHDSILL >DRNTG_00757.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2599021:2601295:-1 gene:DRNTG_00757 transcript:DRNTG_00757.1 gene_biotype:protein_coding transcript_biotype:protein_coding EIWVQSRPARSKPKGIKASRHENPSTFLSTCTIPCSFHPSNKFNLLQGPEHRLIVHDSILL >DRNTG_00757.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2599021:2601192:-1 gene:DRNTG_00757 transcript:DRNTG_00757.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIKIQESRPQRNQNQNSENEIRNRKEN >DRNTG_19895.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18184613:18185083:-1 gene:DRNTG_19895 transcript:DRNTG_19895.1 gene_biotype:protein_coding transcript_biotype:protein_coding AQRSTDLDNSQKRILYSRSQKKWHNNQNLSMHLA >DRNTG_12308.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29630397:29634321:1 gene:DRNTG_12308 transcript:DRNTG_12308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPVAVTGAAGYIGSWLVMRLLEKGYIVRATVRDPSDSRKTKPLLELPNAEKQLSLWKADLGEEGSFDEVIQGCTGVFHVATFMDFESLDPENEVIKPTVNAMLSILKSCKKAGSVQRIVFTSSAGTVNVQQQQSPEYDESSWSDVDFCRRVKMTGWMYFVSKTLAEKAAWDFAKDNGLDLITVIPPLVVGPFVSSSMPPSMITALALITGNEAHYSILKQIQLVHLDDLCEGHIFLFEHPEAKGRYICSSHDATIFTLAKMIKERFPEYDIPQQFKGIDENIGIVHFSSKKIMDLGFKFKYTMEQMFVDGIQICREKKFIPLQTVKEIASIKGKINGIDEQVSVATN >DRNTG_06188.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25241785:25242417:1 gene:DRNTG_06188 transcript:DRNTG_06188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSFSGVERIPGNHNESDLDSKLMWRRH >DRNTG_06188.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25241785:25242417:1 gene:DRNTG_06188 transcript:DRNTG_06188.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSFSGVERIPGNHNESDLDSKLMWRRH >DRNTG_06188.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25241785:25242238:1 gene:DRNTG_06188 transcript:DRNTG_06188.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSFSGVERIPGNHNESDLDSKLMWRRH >DRNTG_06188.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25241661:25242238:1 gene:DRNTG_06188 transcript:DRNTG_06188.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSFSGVERIPGNHNESDLDSKLMWRRH >DRNTG_34325.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4086533:4088179:-1 gene:DRNTG_34325 transcript:DRNTG_34325.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine protease SPPA, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G73990) UniProtKB/Swiss-Prot;Acc:Q9C9C0] MAKLFLFRPCHGGNLLSPFPSTISPFSRPFSVLPSPFSIPRLHMHNKRRFPFRALESSADAKKEDDQVAEKIVDGVPTFAAAATASEEEMKVGLDGNDGDYPMGEFEMQKFDWWKSFLVKLRLLFALPWERVKKGSVLTMNLRGQVSDQLTRRPFSSGLSLPQICENFVKAAYDPRISGIYLHIEQLSCGWGKIEEIRRHIINFKKSGTTICIFMFSFLRHLNFVNKMA >DRNTG_34325.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4076081:4088179:-1 gene:DRNTG_34325 transcript:DRNTG_34325.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine protease SPPA, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G73990) UniProtKB/Swiss-Prot;Acc:Q9C9C0] MAKLFLFRPCHGGNLLSPFPSTISPFSRPFSVLPSPFSIPRLHMHNKRRFPFRALESSADAKKEDDQVAEKIVDGVPTFAAAATASEEEMKVGLDGNDGDYPMGEFEMQKFDWWKSFLVKLRLLFALPWERVKKGSVLTMNLRGQVSDQLTRRPFSSGLSLPQICENFVKAAYDPRISGIYLHIEQLSCGWGKIEEIRRHIINFKKSGKFIISYTPSCGEKEYYLASACEEIYAPPSAYVSLYGLAVQASFLRGIFDKVGIIPEIQRIGKYKSIGEQLSSKSMSKENCEMLTSLLDNIYGNWLDTIALTQGRKCEEIEDFINSGVYQVDRLKEEGWITNILYDDEIISMLKQRLGKKEKEKLPMVDYRKYSRVRNWTLGLEGAGPQIAIIRASGSISRTRGPLSLPGSGIISEELIEKIRTAGDSERYKAVVLRIDSPGGDALASDLMWREIKLLSARKPVIASMSDVAASGGYYMAMAAGAIVAEKLTVTGSIGVVTGRFSLKGLYESIGFNKEVISRGKYAELNVAEQRPLRPDEAELFAKSAQNAYKQFRDKAASSRSMTIDQMEEVAQGRVWSGKDAASRGLVDAIGGFSRAVAIAKQKANIPQEKQVRLVELPQKSPSLPAILTGIGSSLLGLDKTVKEVIQDIPLSSSSTVQARMDGILFEKLGCGTNNNHPILDLIKDFSKFILIQTASYDVSFAVSFLTMMCVPRMI >DRNTG_34325.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4076081:4088179:-1 gene:DRNTG_34325 transcript:DRNTG_34325.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine protease SPPA, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G73990) UniProtKB/Swiss-Prot;Acc:Q9C9C0] MRNLKGHASRILDALFLGRKCEEIEDFINSGVYQVDRLKEEGWITNILYDDEIISMLKQRLGKKEKEKLPMVDYRKYSRVRNWTLGLEGAGPQIAIIRASGSISRTRGPLSLPGSGIISEELIEKIRTAGDSERYKAVVLRIDSPGGDALASDLMWREIKLLSARKPVIASMSDVAASGGYYMAMAAGAIVAEKLTVTGSIGVVTGRFSLKGLYESIGFNKEVISRGKYAELNVAEQRPLRPDEAELFAKSAQNAYKQFRDKAASSRSMTIDQMEEVAQGRVWSGKDAASRGLVDAIGGFSRAVAIAKQKANIPQEKQVRLVELPQKSPSLPAILTGIGSSLLGLDKTVKEVIQDIPLSSSSTVQARMDGILFEKLGCGTNNNHPILDLIKDFSKFILIQTASYDVSFAVSFLTMMCVPRMI >DRNTG_25001.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27208655:27210307:1 gene:DRNTG_25001 transcript:DRNTG_25001.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLERRVRFNDSGFGVFVKRMCIDVGLGGVLGFVDEVRRRDCVINGSVMAALIVDGLCRAGRIEEAWRALEQLREREWKPDFIAYRIVSEAFRLVGRVEEAGRILKQKRKFGVSPRAKDYGDFVLVLISERRIQEAKEMGEAIVTGDFPIDDDVLNALLRSVSVVDPDSAVLFCKYMIEKERFPSTTLLNNLSKNLCKNEKVDEMLGILGDLSAKSYFSGVERYNMMLSFLCKVGKVREAYDVLKEMKKKQFSPDVCSYNLLMEACCREDLLRPAKKLWDEMFANGCSPNSQTYSILISKFCEIGNVEEARHLYHHMLAREITLDNTIIGSIIKMLSQEHLFDEAIDIFNKLIEQDISLAGSVLSILVISFCNQG >DRNTG_25001.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27208655:27211194:1 gene:DRNTG_25001 transcript:DRNTG_25001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERRVRFNDSGFGVFVKRMCIDVGLGGVLGFVDEVRRRDCVINGSVMAALIVDGLCRAGRIEEAWRALEQLREREWKPDFIAYRIVSEAFRLVGRVEEAGRILKQKRKFGVSPRAKDYGDFVLVLISERRIQEAKEMGEAIVTGDFPIDDDVLNALLRSVSVVDPDSAVLFCKYMIEKERFPSTTLLNNLSKNLCKNEKVDEMLGILGDLSAKSYFSGVERYNMMLSFLCKVGKVREAYDVLKEMKKKQFSPDVCSYNLLMEACCREDLLRPAKKLWDEMFANGCSPNSQTYSILISKFCEIGNVEEARHLYHHMLAREITLDNTIIGSIIKMLSQEHLFDEAIDIFNKLIEQDISLAGSVLSILVISFCNQGNFDAVLSVMHRLPFVFEDSDSHVILLKNLTDAGKLKMAIEHIQWVRCNSPFKLEKICAKLIASLSTSPNPDIVLQLLQEMHVRGLISHGTSLTNLIK >DRNTG_24918.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19317093:19318123:-1 gene:DRNTG_24918 transcript:DRNTG_24918.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTQTFIFLAFLAITSSLCFASDPSPLQDFCVADNVSNVFVNGFVCKNPEFVKADDFFFCGLNKPGDTSNPFGSKVTQANVAQIPGLNTLGVSLVRIDFVPGGLNPPHTHPRATEILVVLKGTLYVGFVTSNTDNKLFSKVLNEGDVFVFPQGLIHFQFNHGPSNAVAFAGLGSQNPGTITIGNAVFGSKPPISDDVLAKAFQVSKEIIDKLQAQFWPHN >DRNTG_12802.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2064796:2068628:1 gene:DRNTG_12802 transcript:DRNTG_12802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGDEHKEGRKEGEENKRLGASKVADDSVEEGRQSFGFNGTNSVPVLELDEKLLINPRLLYIGSKIGEGAHGKVYEGKYGEQIVAIKVLNGGNTPEEKATLESRFIREVNMMSRVRHENLVKFIGACKDPYMVIATELLPGMSLKKYLSSIRPRQLDLHIAIGYALDIARAMDFLHANGIIHRDLKPDNLLLTANQKNVKLVDFGLAREETVTEMMTAETGTYRWMAPELYSTVTLRRGEKKHYTNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKQVRPVLPEDTPQELMFIIQSCWVEDPNMRPSFSQIIRMLNSFLFTLPSPSPEPEAAPSVPSNRAAITTTSSPRNGRKLSFLRQLFAAKRSGNNKT >DRNTG_21134.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2469341:2472399:-1 gene:DRNTG_21134 transcript:DRNTG_21134.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGILELGSTEMVPKDPDLAQQIITSFWQFTNPVISEQSISSPQLDDNLVDADFDHDDVDTRALEYPDVSECLTPNNQNELRTSSLSDSIDEYLQNQDIENQHLMDNECSNADCICIPVDFTTNGTHYTKTLAAILGNPKQQEGITSSLHVNVPKSTFKIWRGASTSQATLSAIPQKMLKKIIVNVTWNSGLQNGIHQTEGDDASANHMLSERRRREKLNEKFIILRSLVPSISKFDKASILGDTIEYLKELERRVEELESSRELMDAEARERPKHPDVSERTSDNYGAHNASKKRKACIVDEPETEQHHWVLSKDGPVDVNVTLMQKDVVIEMHCPWRDSLLFDIIEAMNNLDLDSHSLQSNTEDGILAMTAKAKIKGTVSASAGTIRKALHKVLAKS >DRNTG_21134.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2469341:2472399:-1 gene:DRNTG_21134 transcript:DRNTG_21134.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGILELGSTEMVPKDPDLAQQIITSFWQFTNPVISEQSISSPQLDDNLVDADFDHDDVDTRALEYPDVSECLTPNNQNELRTSSLSDSIDEYLQNQDIENQHLMDNECSNADCICIPVDFTTNGTHYTKTLAAILGNPKQQEGITSSLHVNVPKSTFKIWRGASTSQATLSAIPQKMLKKIIVNVTWNSGLQNGIHQTEGDDASANHMLSERRRREKLNEKFIILRSLVPSISKFDKASILGDTIEYLKELERRVEELESSRELMDAEARERPKHPDVSERTSDNYGAHNASKKRKACIVDEPETEQHHWVLSKDGPVDVNVTLMQKDVVIEMHCPWRDSLLFDIIEAMNNLDLDSHSLQSNTEDGILAMTAKAKIKGTVSASAGTIRKALHKVLAKS >DRNTG_21134.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2469341:2472399:-1 gene:DRNTG_21134 transcript:DRNTG_21134.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGFECQEDEIQGNFRKQLAAVVKTLQWCYAIFWAHSSCQKGLLVWSDGYYNGDIKTRKTTQPMELQADQLGIQRSEQLRELYESLSAGESSPPARRPSASLSPEDLTDTEWYYLVCMSFTFTSDDGIPGKILDDNQPIWLNNAQFADSQTFSRSLLAKSASIQTVVCFPFMDGILELGSTEMVPKDPDLAQQIITSFWQFTNPVISEQSISSPQLDDNLVDADFDHDDVDTRALEYPDVSECLTPNNQNELRTSSLSDSIDEYLQNQDIENQHLMDNECSNADCICIPVDFTTNGTHYTKTLAAILGNPKQQEGITSSLHVNVPKSTFKIWRGASTSQATLSAIPQKMLKKIIVNVTWNSGLQNGIHQTEGDDASANHMLSERRRREKLNEKFIILRSLVPSISKFDKASILGDTIEYLKELERRVEELESSRELMDAEARERPKHPDVSERTSDNYGAHNASKKRKACIVDEPETEQHHWVLSKDGPVDVNVTLMQKDVVIEMHCPWRDSLLFDIIEAMNNLDLDSHSLQSNTEDGILAMTAKAKIKGTVSASAGTIRKALHKVLAKS >DRNTG_21134.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2469341:2472399:-1 gene:DRNTG_21134 transcript:DRNTG_21134.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGFECQEDEIQGNFRKQLAAVVKTLQWCYAIFWAHSSCQKGLLVWSDGYYNGDIKTRKTTQPMELQADQLGIQRSEQLRELYESLSAGESSPPARRPSASLSPEDLTDTEWYYLVCMSFTFTSDDGIPGKILDDNQPIWLNNAQFADSQTFSRSLLAKSASIQTVVCFPFMDGILELGSTEMVPKDPDLAQQIITSFWQFTNPVISEQSISSPQLDDNLVDADFDHDDVDTRALEYPDVSECLTPNNQNELRTSSLSDSIDEYLQNQDIENQHLMDNECSNADCICIPVDFTTNGTHYTKTLAAILGNPKQQEGITSSLHVNVPKSTFKIWRGASTSQATLSAIPQKMLKKIIVNVTWNSGLQNGIHQTEGDDASANHMLSERRRREKLNEKFIILRSLVPSISKFDKASILGDTIEYLKELERRVEELESSRELMDAEARERPKHPDVSERTSDNYGAHNASKKRKACIVDEPETEQHHWVLSKDGPVDVNVTLMQKDVVIEMHCPWRDSLLFDIIEAMNNLDLDSHSLQSNTEDGILAMTAKAKIKGTVSASAGTIRKALHKVLAKS >DRNTG_34971.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002220.1:17607:19357:-1 gene:DRNTG_34971 transcript:DRNTG_34971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSKKQADKRPRESSPEPEGMSFVIPEHQVHYERLSKLRFRQTRFLDTSILRDLQQGDEFADEVEDLISAGGWRQLLTIREPAIQEFALEVAEGEGDHVVASQPAPQPQSAPMETEAPLAVEDAPPVHMFSPSRAHDRFERLKSAMGVIRTGVAEARA >DRNTG_02490.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000117.1:85462:87391:-1 gene:DRNTG_02490 transcript:DRNTG_02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLRCYYEIANEHLQEYLHSDQYEGWSDIEEEMNKYGKHFPEEQPKGEFLKHIFHNMKRLRHHRRKQSNTIGVEHTQVESGTLSFAHMAAWNLHTPGYSTQTGVWNIHISMWLECFAGLFTEFGYLIHVGMALRTKRSVPRIFGNGYSSTDGYYEAHWSRWICTNGAFSEESIEDSKIPDQDVDAPPVGEQMDTEAL >DRNTG_20630.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20548225:20548674:1 gene:DRNTG_20630 transcript:DRNTG_20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPFIVPGPSSAPWRQSSIRVGAVQMTSTNDIEANFNTCSRLFKEASIAGVKLLFAFRRPSPL >DRNTG_27287.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22527307:22529965:1 gene:DRNTG_27287 transcript:DRNTG_27287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDESSAALLAAPFPNPNPTPKKKPLPKRFVKSQIPDFLLSDPALNTAIAVLPRNYEFEIHKTLHRITTSSARRVVLQLPDGLLMYSLAIADIIRTFSPHPVDVSVLGDPTYGACCVDDLAASALSADLLVHYGHSCLVPVTTSRVPALYVFVDIRIDVSRLVSAVKSTFQPSSRLALAGTVQFISAVHAARSVLSDDGYDITVPQAKPLSAGEVLGCTSPTIPKSKGIEAVVFVADGRFHLEAFMIANPGIKAYRYDPFLGVLVLEKYDHEGMKNARKASILEARKAKNWGVILGTLGRQGNTKVLDRVTGMMDERDIDYTVVMMSEISPARIALFAESVDAWVQIACPRLSIDWGEGFKKPLLTTFEFEIALGLIPGWWERRRTAELKTSDCNETVRKECCSGSDGCGSVSCGFDCDEATDYPMDYYAQDGGEWNSAYSKKKASNGAMRRHNGVALGSRNTKSEVNQ >DRNTG_26356.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11826402:11829349:1 gene:DRNTG_26356 transcript:DRNTG_26356.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLVKKRVTMKCSFCRIARHNRRYHGRKHANKRNTSEQQHMDSNEAREDNAWMQ >DRNTG_26356.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11826402:11829349:1 gene:DRNTG_26356 transcript:DRNTG_26356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTELPNLKVQSIKGRKRIQRTRTKKGLLQKRKIYGSPSRAGAK >DRNTG_31678.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18421524:18427822:1 gene:DRNTG_31678 transcript:DRNTG_31678.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLESLTTLALSSRHSIANLPFTNHRSPALLPVPKLLPVFTSLLKKRNPKRLKYSTQRLYKDDRLPMLYVEMDPLWVDTWKLEPVIKLIKDGAVGVIPTDTVYSIVCDLKSHSSIERLRRIKNIRSSKPLSILCHSLQDIDTYTTGFPRGNAQGQANIFRAVKHCLPGPYTFILPATKALPKQCIRHGTTTKFVSRKNVGVRIPDDTICQAILNNLDAPLISTSVESAEDQWIIDPIIIADTYGPEGLDFIVDGGTRIADPSTVVDMTRNPPTIIRQGKGPKQEWMVVEGEDSTA >DRNTG_31678.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18421524:18425874:1 gene:DRNTG_31678 transcript:DRNTG_31678.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSLESLTTLALSSRHSIANLPFTNHRSPALLPVPKLLPVFTSLLKKRNPKRLKYSTQRLYKDDRLPMLYVEMDPLWVDTWKLEPVIKLIKDGAVGVIPTDTVYSIVCDLKSHSSIERLRRIKNIRSSKARVITGLTFLFQGLGCSFESNDEDFCVLFLVLLILKLGNCVQRLCIFSF >DRNTG_31678.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18421524:18426206:1 gene:DRNTG_31678 transcript:DRNTG_31678.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDRLPMLYVEMDPLWVDTWKLEPVIKLIKDGAVGVIPTDTVYSIVCDLKSHSSIERLRRIKNIRSSKPLSILCHSLQDIDTYTTGFPRGNAQGQANIFRAVKHCLPGPYTFILPATKALPKQCIRHGTTTKFVSRKNVGVRIPDDTICQAILNNLDAPLISTSVESAEDQWIIDPIIIADTYGPEGLDFIVDGGTRIADPSTVVDMTRNPPTIIRQGKGPKQEWMVVEGEDSTA >DRNTG_31678.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18421524:18428777:1 gene:DRNTG_31678 transcript:DRNTG_31678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLESLTTLALSSRHSIANLPFTNHRSPALLPVPKLLPVFTSLLKKRNPKRLKYSTQRLYKDDRLPMLYVEMDPLWVDTWKLEPVIKLIKDGAVGVIPTDTVYSIVCDLKSHSSIERLRRIKNIRSSKPLSILCHSLQDIDTYTTGFPRGNAQGQANIFRAVKHCLPGPYTFILPATKALPKQCIRHGTTTKFVSRKNVGVRIPDDTICQAILNNLDAPLISTSVESAEDQWIIDPIIIADTYGPEGLDFIVDGGTRIADPSTVVDMTRNPPTIIRQGKGPKQEWMVVEGEDSTA >DRNTG_31678.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18421524:18425874:1 gene:DRNTG_31678 transcript:DRNTG_31678.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDRLPMLYVEMDPLWVDTWKLEPVIKLIKDGAVGVIPTDTVYSIVCDLKSHSSIERLRRIKNIRSSKARVITGLTFLFQGLGCSFESNDEDFCVLFLVLLILKLGNCVQRLCIFSF >DRNTG_31678.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18421524:18425874:1 gene:DRNTG_31678 transcript:DRNTG_31678.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLESLTTLALSSRHSIANLPFTNHRSPALLPVPKLLPVFTSLLKKRNPKRLKYSTQRLYKDDRLPMLYVEMDPLWVDTWKLEPVIKLIKDGAVGVIPTDTVYSIVCDLKSHSSIERLRRIKNIRSSKPLSILCHSLQDIDTYTTGFPRGNAQGQANIFRAVKHCLPGPYTFILPATKALPKQCIRHGTTTKFVSRKNVGVRIPDDTICQAILNNLDAPLISTSVESAEDQWIIDPIIIADTYGPEGLDFIVDGGTRIADPSTVVDMTRNPPTIIRQGKGPKQEWMVVEGEDSTA >DRNTG_32108.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13299430:13300042:1 gene:DRNTG_32108 transcript:DRNTG_32108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPKTATRVQLRCQGKYYSARYLKDCVITLIIWFYKFYTFVPPNDEKIALVGRRGGTAKETYMRSS >DRNTG_11281.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12159237:12164041:-1 gene:DRNTG_11281 transcript:DRNTG_11281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGTPSVSEGSTSPIEQFVLTTEGRQLATMNPTLEDRAEMGQVSAKVQLCTLGQQFGPHDGLRSNPGCCELRVHSTDNSSDESAGSAEEPSETSTEALCCSRWPPYGPHTGPYGGRMPNTKRVASKRPRTSGPSSTPDQPVFKLSHHRERYDRLKTKLFGTLCYLDWGIVENFGIASQVREWLSKKIVGINFFAINELNLSSINFGGFEYI >DRNTG_27378.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001392.1:12942:13203:-1 gene:DRNTG_27378 transcript:DRNTG_27378.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRPPAGRTPASSGRTPGSSGRPPASSGRPPASPDRPPASPDVPNGLENLPRRAQWPRKLALARPMA >DRNTG_17511.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19034821:19036546:-1 gene:DRNTG_17511 transcript:DRNTG_17511.1 gene_biotype:protein_coding transcript_biotype:protein_coding DPRSYHMRSTPRGQRQGGLPSFILNNKVTRGNILCG >DRNTG_33360.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26529594:26532688:-1 gene:DRNTG_33360 transcript:DRNTG_33360.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGKTEDRTVANAQHAATQPHLLPATSLLASREKEEGKMELQA >DRNTG_01489.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13960449:13961501:-1 gene:DRNTG_01489 transcript:DRNTG_01489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEPFVGNPTVQNSQYCTSKPIAPNILGYSRRRSSRRFYIRQMKLDPSPSLIRFPRSTSITIELRETITAEPIGSHQAPIIGSTLCGIGEGSAGGMDAQEHVDRGALHNVGMAKRRSGILGRKIHNLIACSLIRTKKISKNNPNRHHYASHSHNEHTNFV >DRNTG_00635.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000047.1:11275:12255:1 gene:DRNTG_00635 transcript:DRNTG_00635.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQELFLFLHDDDTLKPLAIEISLPESPSKVYTPAQNGIEGAIWQLAEAFATVNDSGVHQLISHRLNTHAELWNHLLLQQIDNLVWFIRFTSFYIVTQ >DRNTG_00635.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000047.1:11275:13748:1 gene:DRNTG_00635 transcript:DRNTG_00635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWHGKHLLMLEVLLSPLFFLENMRWRCLLWFIKVGISWKRLFLLISFKRGVGVEDPSSPNNVQLLIKNYPYVVDGLAIWSAIHTWVTEYCTIYYPDDATLQADVQVQAWWKEIREVGHGDKHHETWWPFMKLLSELIHTCTTHYKKKCY >DRNTG_25057.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6337572:6337887:-1 gene:DRNTG_25057 transcript:DRNTG_25057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDMGEDEKGWEELGHARSSSASVRR >DRNTG_26157.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29307165:29308058:-1 gene:DRNTG_26157 transcript:DRNTG_26157.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTATKLLSVVALLFLFSAKLTVPLPSNPYCLPQYAHANWACYSVLFDKPSNGPSSDDHVAVSVEHLSVKSHQAGSVEAMVSRRNRRHRRTDRVNDDDDDDDDNKRGDDECCHALERVDNECVCDALTKLPLFLTVAEHDYRIKVRSSCNVTFECGMHGEMHRG >DRNTG_26157.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29307101:29308058:-1 gene:DRNTG_26157 transcript:DRNTG_26157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTATKLLSVVALLFLFSAKLTVPLPSNPYCLPQYAHANWACYSVLFDKPSNGPSSDDHVAVSVEHLSVKSHQAGSVEAMVSRRNRRHRRTDRVNDDDDDDDDNKRGDDECCHALERVDNECVCDALTKLPLFLTVAEHDYRIKVRSSCNVTFECGMHGEMHRG >DRNTG_24934.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001317.1:63186:63651:-1 gene:DRNTG_24934 transcript:DRNTG_24934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVNVCPFASPRRKYGLGKYFRLGTVVKHCSKSIVAALFTAGRENRNLENPHGRVEIIHGRVEIPHGHVKNPQARVVARFQPYLKPIQPRFQYSFLHLFLNLREGRRLVVELPWRRILYRTRESLDDE >DRNTG_25368.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24213112:24213930:-1 gene:DRNTG_25368 transcript:DRNTG_25368.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSFYIFFNIHLNLLLTMAMVTLSFNCNPFKNKQQNSDHLLSCRSISSKTTIIRSSVSFRSPAPPGPGEDKKEKAEDEENKGVEKKMKKKGLSLAQHVIYGEVEKLGKGLKECLRPKQKGDWKDLFLMSFSFAVYVYISQKIVCAYCAWTAMH >DRNTG_11504.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29534352:29536094:-1 gene:DRNTG_11504 transcript:DRNTG_11504.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPPLSSRGALLRRSSHRPTVCSSSSSSSSASSSLPPPPWDTTCQVTRRFVSIAAVFLSTFPNHAANAGSPFDKYVKRSWILWRPMFLLFY >DRNTG_11504.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29534352:29536094:-1 gene:DRNTG_11504 transcript:DRNTG_11504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPPLSSRGALLRRSSHRPTVCSSSSSSSSASSSLPPPPWDTTCQVTRRFVSIAAVFLSTFPNHAANAGSPFDKYVKRKKLDPLEAYVPVVLLTQDQFKDLEKSLEIEQPKYDICRSLLRSGPAASLRVNIRAVAQYADDDGKGKIASNAVDECLRALEDLDSLFLHASRNDPTASVESMKSKINTALVALDSLLGTVPSELLEKGQAIAEAYRIPSNQNNNETKSDDLDPELKQLEALL >DRNTG_04374.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20571254:20577940:1 gene:DRNTG_04374 transcript:DRNTG_04374.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSAKDYDSVPIEGQFISLANLKERIFESKHLGRGTDFDLMVANAQTNEEYVDEAAMIPKNTSVLIRRVPGRPRKPIVTEPDEQKVVEDKVEDIPPPTSNAIGESSTTKYPEESEWDEFGTDLYAIPDVTPFQSVNPVMDIAPANKIDEDSKIKALIDTPALDWNRQGNDAYGAGRGFGRGMGGRMMPGRGFGRGGGLERRTPPAGYVCHRCKVPGHFIQHCPTNGDPNYDIKRVKPPTGIPKSMLMATPDGSYALPSGAVAVLRPNEAAFEKEIEGLPSTRPVSDLPPELRCPLCKEVMKDAVLTSKCCFSSFCDKCIRDYIITKSMCVCGATNILADDLLPNKTLRETINRIMEATTSSTENVGSLIQVQDMESARPVLPKAPSPTTLSVASKDEQKPVPVEQSVDIKDAEIANDTKPVIADKNAVKSTDPSVATPESASVKELTSQESAPVHEELQEKLSAGDQGKKKKKKKTRPLGSAGTGADMQWRSYQDLGAESYASMPMAPSAYNPYWVGGMPMGMDGYMAPYAGTMPYMGYAPGPFDVPFAGMLPQDPFAAQGYMLPVGPQRDLSELGMAGVSMNQGPPIMSREEFEARKADFRRKREMERVSEREHSRDKGNIREGHNNNADIPPMKSKPVCYFIISFPCFFLYFVGFIIVNRLEATAAVENFSNPY >DRNTG_04374.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20571254:20578989:1 gene:DRNTG_04374 transcript:DRNTG_04374.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSAKDYDSVPIEGQFISLANLKERIFESKHLGRGTDFDLMVANAQTNEEYVDEAAMIPKNTSVLIRRVPGRPRKPIVTEPDEQKVVEDKVEDIPPPTSNAIGESSTTKYPEESEWDEFGTDLYAIPDVTPFQSVNPVMDIAPANKIDEDSKIKALIDTPALDWNRQGNDAYGAGRGFGRGMGGRMMPGRGFGRGGGLERRTPPAGYVCHRCKVPGHFIQHCPTNGDPNYDIKRVKPPTGIPKSMLMATPDGSYALPSGAVAVLRPNEAAFEKEIEGLPSTRPVSDLPPELRCPLCKEVMKDAVLTSKCCFSSFCDKCIRDYIITKSMCVCGATNILADDLLPNKTLRETINRIMEATTSSTENVGSLIQVQDMESARPVLPKAPSPTTLSVASKDEQKPVPVEQSVDIKDAEIANDTKPVIADKNAVKSTDPSVATPESASVKELTSQESAPVHEELQEKLSAGDQGKKKKKKKTRPLGSAGTGADMQWRSYQDLGAESYASMPMAPSAYNPYWVGGMPMGMDGYMAPYAGTMPYMGYAPGPFDVPFAGMLPQDPFAAQGYMLPVGPQRDLSELGMAGVSMNQGPPIMSREEFEARKADFRRKREMERVSEREHSRDKGNIREGHNNNADIPPMKSKPRQPAQGTGVDRGDYRVDRYEKSTAPPPDRHAPPGSRERDSPHRPEKRRSATTNSTTTTTKHDPMSDPAGADRKQKGSVFSRISFPGYGGGGGSAGGGSGSSGDKKRKSSEMPNGLKEHEPSHRSGSNGYRDDRSGSRRGGGATSSAAAAAAAAAAGGYDGESSEEEYHFKRRPSRRDADHEDDGRGSRSSRDRDRDRD >DRNTG_04374.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20571254:20578989:1 gene:DRNTG_04374 transcript:DRNTG_04374.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSAKDYDSVPIEGQFISLANLKERIFESKHLGRGTDFDLMVANAQTNEEYVDEAAMIPKNTSVLIRRVPGRPRKPIVTEPDEQKVVEDKVEDIPPPTSNAIGESSTTKYPEESEWDEFGTDLYAIPDVTPFQSVNPVMDIAPANKIDEDSKIKALIDTPALDWNRQGNDAYGAGRGFGRGMGGRMMPGRGFGRGGGLERRTPPAGYVCHRCKVPGHFIQHCPTNGDPNYDIKRVKPPTGIPKSMLMATPDGSYALPSGAVAVLRPNEAAFEKEIEGLPSTRPVSDLPPELRCPLCKEVMKDAVLTSKCCFSSFCDKCIRDYIITKSMCVCGATNILADDLLPNKTLRETINRIMEATTSSTENVGSLIQVQDMESARPVLPKAPSPTTLSVASKDEQKPVPVEQSVDIKDAEIANDTKPVIADKNAVKSTDPSVATPESASVKELTSQESAPVHEELQEKLSAGDQGKKKKKKKTRPLGSAGTGADMQWRSYQDLGAESYASMPMAPSAYNPYWVGGMPMGMDGYMAPYAGTMPYMGYAPGPFDVPFAGMLPQDPFAAQGYMLPVGPQRDLSELGMAGVSMNQGPPIMSREEFEARKADFRRKREMERVSEREHSRDKGNIREGHNNNADIPPMKSKPQRQPAQGTGVDRGDYRVDRYEKSTAPPPDRHAPPGSRERDSPHRPEKRRSATTNSTTTTTKHDPMSDPAGADRKQKGSVFSRISFPGYGGGGGSAGGGSGSSGDKKRKSSEMPNGLKEHEPSHRSGSNGYRDDRSGSRRGGGATSSAAAAAAAAAAGGYDGESSEEEYHFKRRPSRRDADHEDDGRGSRSSRDRDRDRD >DRNTG_04374.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20571254:20578989:1 gene:DRNTG_04374 transcript:DRNTG_04374.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSAKDYDSVPIEGQFISLANLKERIFESKHLGRGTDFDLMVANAQTNEEYVDEAAMIPKNTSVLIRRVPGRPRKPIVTEPDEQKVVEDKVEDIPPPTSNAIGESSTTKYPEESEWDEFGTDLYAIPDVTPFQSVNPVMDIAPANKIDEDSKIKALIDTPALDWNRQGNDAYGAGRGFGRGMGGRMMPGRGFGRGGGLERRTPPAGYVCHRCKVPGHFIQHCPTNGDPNYDIKRVKPPTGIPKSMLMATPDGSYALPSGAVAVLRPNEAAFEKEIEGLPSTRPVSDLPPELRCPLCKEVMKDAVLTSKCCFSSFCDKCIRDYIITKSMCVCGATNILADDLLPNKTLRETINRIMEATTSSTENVGSLIQVQDMESARPVLPKAPSPTTLSVASKDEQKPVPVEQSVDIKDAEIANDTKPVIADKNAVKSTDPSVATPESASVKELTSQESAPVHEELQEKLSAGDQGKKKKKKKTRPLGSAGTGADMQWRSYQDLGAESYASMPMAPSAYNPYWVGGMPMGMDGYMAPYAGTMPYMGYAPGPFDVPFAGMLPQDPFAAQGYMLPVGPQRDLSELGMAGVSMNQGPPIMSREEFEARKADFRRKREMERVSEREHSRDKGNIREGHNNNADIPPMKSKPRQPAQGTGVDRGDYRVDRYEKSTAPPPDRHAPPGSRERDSPHRPEKRRSATTNSTTTTTKHDPMSDPAGADRKQKGSVFSRISFPGYGGGGGSAGGGSGSSGDKKRKSSEMPNGLKEHEPSHRSGSNGYRDDRSGSRRGGGATSSAAAAAAAAAAGGYDGESSEEEYHFKRRPSRRDADHEDDGRGSRSSRDRDRDRD >DRNTG_04374.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20571254:20578989:1 gene:DRNTG_04374 transcript:DRNTG_04374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSAKDYDSVPIEGQFISLANLKERIFESKHLGRGTDFDLMVANAQTNEEYVDEAAMIPKNTSVLIRRVPGRPRKPIVTEPDEQKVVEDKVEDIPPPTSNAIGESSTTKYPEESEWDEFGTDLYAIPDVTPFQSVNPVMDIAPANKIDEDSKIKALIDTPALDWNRQGNDAYGAGRGFGRGMGGRMMPGRGFGRGGGLERRTPPAGYVCHRCKVPGHFIQHCPTNGDPNYDIKRVKPPTGIPKSMLMATPDGSYALPSGAVAVLRPNEAAFEKEIEGLPSTRPVSDLPPELRCPLCKEVMKDAVLTSKCCFSSFCDKCIRDYIITKSMCVCGATNILADDLLPNKTLRETINRIMEATTSSTENVGSLIQVQDMESARPVLPKAPSPTTLSVASKDEQKPVPVEQSVDIKDAEIANDTKPVIADKNAVKSTDPSVATPESASVKELTSQESAPVHEELQEKLSAGDQGKKKKKKKTRPLGSAGTGADMQWRSYQDLGAESYASMPMAPSAYNPYWVGGMPMGMDGYMAPYAGTMPYMGYAPGPFDVPFAGMLPQDPFAAQGYMLPVGPQRDLSELGMAGVSMNQGPPIMSREEFEARKADFRRKREMERVSEREHSRDKGNIREGHNNNADIPPMKSKPRQPAQGTGVDRGDYRVDRYEKSTAPPPDRHAPPGSRERDSPHRPEKRRSATTNSTTTTTKHDPMSDPAGADRKQKGSVFSRISFPGYGGGGGSAGGGSGSSGDKKRKSSEMPNGLKEHEPSHRSGSNGYRDDRSGSRRGGGATSSAAAAAAAAAAGGYDGESSEEEYHFKRRPSRRDADHEDDGRGSRSSRDRDRDRD >DRNTG_04374.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20571254:20577940:1 gene:DRNTG_04374 transcript:DRNTG_04374.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSAKDYDSVPIEGQFISLANLKERIFESKHLGRGTDFDLMVANAQTNEEYVDEAAMIPKNTSVLIRRVPGRPRKPIVTEPDEQKVVEDKVEDIPPPTSNAIGESSTTKYPEESEWDEFGTDLYAIPDVTPFQSVNPVMDIAPANKIDEDSKIKALIDTPALDWNRQGNDAYGAGRGFGRGMGGRMMPGRGFGRGGGLERRTPPAGYVCHRCKVPGHFIQHCPTNGDPNYDIKRVKPPTGIPKSMLMATPDGSYALPSGAVAVLRPNEAAFEKEIEGLPSTRPVSDLPPELRCPLCKEVMKDAVLTSKCCFSSFCDKCKWVFIYIVLYLIPVEANIHELIFAFKLWCSNLYPCHYWFPFVCVYVCEIAS >DRNTG_31043.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30572445:30574331:-1 gene:DRNTG_31043 transcript:DRNTG_31043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIINGSSCRRRRRASKLIFSAIPFSCLLLLLFFLFSSSSSSIRKPFSTTTNPHLHLHHHSHFETINTAKAHCHGTLYQDLCVSSLSSIPNLASKTIPQIISSLINRTTSEVYDSSRNCSNFLRRRHLDLRQQVALTDCLELFDDTIDAFRTVLSDLRINASSHKDDIESFLSSAIANQFTCLDGFSHVLDGSLRPKIERKLRHISHLVSNSLAMVRRISKRKKRREALEGYGRIVRGFPEWIKSGDRKLLQSAGNTTNANIVVAKDGTGNFTTIGDAIAAAPNKSKTRFIIYIKAGAYFENVEVNKSKTNLMFIGDGIGKTVIKANRSVAQNFTTFRSATLAVSGAGFLAKGITVENSAGYENHQAVAMRSSSDLSAFYLCSFVGYQDTLYVHSLRQFYRECDIYGTVDFIFGDASVIFEFCNLYARKPGPKQKNIFTAQAREDPNENTGIVLHKCKIAAAEDLIPVQSSVLSYLGRPWREYSRTVIIRSNIGSLIDPAGWLEWNGTFALSTLYYAECENRGPGSNTTDRVKWPGYRVINSTEASQFSVANFIKGGEWLGITTFPFMLGL >DRNTG_21212.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:986650:988330:-1 gene:DRNTG_21212 transcript:DRNTG_21212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGDGRQGGAKERAVGRSPVPYPDALPRSSSGQALPPSPPPPALSFRYNTEHLYNFINSGLKATNQP >DRNTG_21212.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:986749:988330:-1 gene:DRNTG_21212 transcript:DRNTG_21212.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGDGRQGGAKERAVGRSPVPYPDALPRSSSGQALPPSPPPPALSFRYNTEHLYNFINSGLKATNQP >DRNTG_21212.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:986862:988330:-1 gene:DRNTG_21212 transcript:DRNTG_21212.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGDGRQGGAKERAVGRSPVPYPDALPRSSSGQALPPSPPPPALSFRYNTEHLYNFINSGLKATNQP >DRNTG_21212.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:986862:988330:-1 gene:DRNTG_21212 transcript:DRNTG_21212.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGDGRQGGAKERAVGRSPVPYPDALPRSSSGQALPPSPPPPALSFRYNTEHLYNFINSGLKATNQP >DRNTG_22724.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31558450:31561249:1 gene:DRNTG_22724 transcript:DRNTG_22724.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDPVSRCARTGLWCSLMSMALWCGAPTPAQPKLTKLSSWTLGILSSRDPQGNVLWQSFDLPTDTLLPTQPITKNKKLVSNMAGGSVSSGYYSFYFDNDNVMKLMYDGPQVSSIYWPNPDYNIWQNGRYNYNSSRYGVLDESGTFQSSDRLSFNASDMGAAIKRRLTLDYDGNLRLYSLNNSTGLWSVSWEALPKLCDVHGLCGRNGICVYNYTQKPMCACPFAYKVSNLSDWSEGCKPQFNISCEDSQQLRFVELKKTDFWGFDLNHTSSVSLSACKALCQKDCSCVAISYKMGGGDCYTKSALFNGRTVPDFPGSVYLKLPLNFNTSGFHVLQVHEPICIATGATAATPRYAAITGSRIKWTYFFGFISAFGVIEIFFIVFGWWFVSKRGTKPTLMEEGYKLIASQFRRFTYKELKKATGNFKNKLERGGSRAVYKGVLEDERAVAVEKLDDIISTKDFWAEVGVIARINHMNLARIWGFCCERSHRFLVSEFMENGSLDKHLFVSGHSSANLLGWKERFHIAVRVAKGLAYLHHECLEWVIHCDVKPENILLDSDFEPKITDFGLAKLADRRRAHSKISKVRGSRGYMAPEWALNLPITAKVDVYSYGVVLLELLMGSRASDWKKMDGDDEEEEAELELLGLVRMLKVKLGGAEDSWIDDLADTRLGGQFNHRQAAVMVEMAISCLEEDRNKRPNMDTVAEILNGHYEEPYCHGDHSYSATNLE >DRNTG_22724.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31558450:31561384:1 gene:DRNTG_22724 transcript:DRNTG_22724.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDPVSRCARTGLWCSLMSMALWCGAPTPAQPKLTKLSSWTLGILSSRDPQGNVLWQSFDLPTDTLLPTQPITKNKKLVSNMAGGSVSSGYYSFYFDNDNVMKLMYDGPQVSSIYWPNPDYNIWQNGRYNYNSSRYGVLDESGTFQSSDRLSFNASDMGAAIKRRLTLDYDGNLRLYSLNNSTGLWSVSWEALPKLCDVHGLCGRNGICVYNYTQKPMCACPFAYKVSNLSDWSEGCKPQFNISCEDSQQLRFVELKKTDFWGFDLNHTSSVSLSACKALCQKDCSCVAISYKMGGGDCYTKSALFNGRTVPDFPGSVYLKLPLNFNTSGFHVLQVHEPICIATGATAATPRYAAITGSRIKWTYFFGFISAFGVIEIFFIVFGWWFVSKRGTKPTLMEEGYKLIASQFRRFTYKELKKATGNFKNKLERGGSRAVYKGVLEDERAVAVEKLDDIISTKDFWAEVGVIARINHMNLARIWGFCCERSHRFLVSEFMENGSLDKHLFVSGHSSANLLGWKERFHIAVRVAKGLAYLHHECLEWVIHCDVKPENILLDSDFEPKITDFGLAKLADRRRAHSKISKVRGSRGYMAPEWALNLPITAKVDVYSYGVVLLELLMGSRASDWKKMDGDDEEEEAELELLGLVRMLKVKLGGAEDSWIDDLADTRLGGQFNHRQAAVMVEMAISCLEEDRNKRPNMDTVAEILNGHYEEPYCHGDHSYSATNLE >DRNTG_22724.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31558450:31561326:1 gene:DRNTG_22724 transcript:DRNTG_22724.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDPVSRCARTGLWCSLMSMALWCGAPTPAQPKLTKLSSWTLGILSSRDPQGNVLWQSFDLPTDTLLPTQPITKNKKLVSNMAGGSVSSGYYSFYFDNDNVMKLMYDGPQVSSIYWPNPDYNIWQNGRYNYNSSRYGVLDESGTFQSSDRLSFNASDMGAAIKRRLTLDYDGNLRLYSLNNSTGLWSVSWEALPKLCDVHGLCGRNGICVYNYTQKPMCACPFAYKVSNLSDWSEGCKPQFNISCEDSQQLRFVELKKTDFWGFDLNHTSSVSLSACKALCQKDCSCVAISYKMGGGDCYTKSALFNGRTVPDFPGSVYLKLPLNFNTSGFHVLQVHEPICIATGATAATPRYAAITGSRIKWTYFFGFISAFGVIEIFFIVFGWWFVSKRGTKPTLMEEGYKLIASQFRRFTYKELKKATGNFKNKLERGGSRAVYKGVLEDERAVAVEKLDDIISTKDFWAEVGVIARINHMNLARIWGFCCERSHRFLVSEFMENGSLDKHLFVSGHSSANLLGWKERFHIAVRVAKGLAYLHHECLEWVIHCDVKPENILLDSDFEPKITDFGLAKLADRRRAHSKISKVRGSRGYMAPEWALNLPITAKVDVYSYGVVLLELLMGSRASDWKKMDGDDEEEEAELELLGLVRMLKVKLGGAEDSWIDDLADTRLGGQFNHRQAAVMVEMAISCLEEDRNKRPNMDTVAEILNGHYEEPYCHGDHSYSATNLE >DRNTG_22724.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31559634:31561326:1 gene:DRNTG_22724 transcript:DRNTG_22724.14 gene_biotype:protein_coding transcript_biotype:protein_coding MCACPFAYKVSNLSDWSEGCKPQFNISCEDSQQLRFVELKKTDFWGFDLNHTSSVSLSACKALCQKDCSCVAISYKMGGGDCYTKSALFNGRTVPDFPGSVYLKLPLNFNTSGFHVLQVHEPICIATGATAATPRYAAITGSRIKWTYFFGFISAFGVIEIFFIVFGWWFVSKRGTKPTLMEEGYKLIASQFRRFTYKELKKATGNFKNKLERGGSRAVYKGVLEDERAVAVEKLDDIISTKDFWAEVGVIARINHMNLARIWGFCCERSHRFLVSEFMENGSLDKHLFVSGHSSANLLGWKERFHIAVRVAKGLAYLHHECLEWVIHCDVKPENILLDSDFEPKITDFGLAKLADRRRAHSKISKVRGSRGYMAPEWALNLPITAKVDVYSYGVVLLELLMGSRASDWKKMDGDDEEEEAELELLGLVRMLKVKLGGAEDSWIDDLADTRLGGQFNHRQAAVMVEMAISCLEEDRNKRPNMDTVAEILNGHYEEPYCHGDHSYSATNLE >DRNTG_22724.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31559634:31561249:1 gene:DRNTG_22724 transcript:DRNTG_22724.15 gene_biotype:protein_coding transcript_biotype:protein_coding MCACPFAYKVSNLSDWSEGCKPQFNISCEDSQQLRFVELKKTDFWGFDLNHTSSVSLSACKALCQKDCSCVAISYKMGGGDCYTKSALFNGRTVPDFPGSVYLKLPLNFNTSGFHVLQVHEPICIATGATAATPRYAAITGSRIKWTYFFGFISAFGVIEIFFIVFGWWFVSKRGTKPTLMEEGYKLIASQFRRFTYKELKKATGNFKNKLERGGSRAVYKGVLEDERAVAVEKLDDIISTKDFWAEVGVIARINHMNLARIWGFCCERSHRFLVSEFMENGSLDKHLFVSGHSSANLLGWKERFHIAVRVAKGLAYLHHECLEWVIHCDVKPENILLDSDFEPKITDFGLAKLADRRRAHSKISKVRGSRGYMAPEWALNLPITAKVDVYSYGVVLLELLMGSRASDWKKMDGDDEEEEAELELLGLVRMLKVKLGGAEDSWIDDLADTRLGGQFNHRQAAVMVEMAISCLEEDRNKRPNMDTVAEILNGHYEEPYCHGDHSYSATNLE >DRNTG_22724.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31558714:31561502:1 gene:DRNTG_22724 transcript:DRNTG_22724.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDPVSRCARTGLWCSLMSMALWCGAPTPAQPKLTKLSSWTLGILSSRDPQGNVLWQSFDLPTDTLLPTQPITKNKKLVSNMAGGSVSSGYYSFYFDNDNVMKLMYDGPQVSSIYWPNPDYNIWQNGRYNYNSSRYGVLDESGTFQSSDRLSFNASDMGAAIKRRLTLDYDGNLRLYSLNNSTGLWSVSWEALPKLCDVHGLCGRNGICVYNYTQKPMCACPFAYKVSNLSDWSEGCKPQFNISCEDSQQLRFVELKKTDFWGFDLNHTSSVSLSACKALCQKDCSCVAISYKMGGGDCYTKSALFNGRTVPDFPGSVYLKLPLNFNTSGFHVLQVHEPICIATGATAATPRYAAITGSRIKWTYFFGFISAFGVIEIFFIVFGWWFVSKRGTKPTLMEEGYKLIASQFRRFTYKELKKATGNFKNKLERGGSRAVYKGVLEDERAVAVEKLDDIISTKDFWAEVGVIARINHMNLARIWGFCCERSHRFLVSEFMENGSLDKHLFVSGHSSANLLGWKERFHIAVRVAKGLAYLHHECLEWVIHCDVKPENILLDSDFEPKITDFGLAKLADRRRAHSKISKVRGSRGYMAPEWALNLPITAKVDVYSYGVVLLELLMGSRASDWKKMDGDDEEEEAELELLGLVRMLKVKLGGAEDSWIDDLADTRLGGQFNHRQAAVMVEMAISCLEEDRNKRPNMDTVAEILNGHYEEPYCHGDHSYSATNLE >DRNTG_22724.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31558714:31561326:1 gene:DRNTG_22724 transcript:DRNTG_22724.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDPVSRCARTGLWCSLMSMALWCGAPTPAQPKLTKLSSWTLGILSSRDPQGNVLWQSFDLPTDTLLPTQPITKNKKLVSNMAGGSVSSGYYSFYFDNDNVMKLMYDGPQVSSIYWPNPDYNIWQNGRYNYNSSRYGVLDESGTFQSSDRLSFNASDMGAAIKRRLTLDYDGNLRLYSLNNSTGLWSVSWEALPKLCDVHGLCGRNGICVYNYTQKPMCACPFAYKVSNLSDWSEGCKPQFNISCEDSQQLRFVELKKTDFWGFDLNHTSSVSLSACKALCQKDCSCVAISYKMGGGDCYTKSALFNGRTVPDFPGSVYLKLPLNFNTSGFHVLQVHEPICIATGATAATPRYAAITGSRIKWTYFFGFISAFGVIEIFFIVFGWWFVSKRGTKPTLMEEGYKLIASQFRRFTYKELKKATGNFKNKLERGGSRAVYKGVLEDERAVAVEKLDDIISTKDFWAEVGVIARINHMNLARIWGFCCERSHRFLVSEFMENGSLDKHLFVSGHSSANLLGWKERFHIAVRVAKGLAYLHHECLEWVIHCDVKPENILLDSDFEPKITDFGLAKLADRRRAHSKISKVRGSRGYMAPEWALNLPITAKVDVYSYGVVLLELLMGSRASDWKKMDGDDEEEEAELELLGLVRMLKVKLGGAEDSWIDDLADTRLGGQFNHRQAAVMVEMAISCLEEDRNKRPNMDTVAEILNGHYEEPYCHGDHSYSATNLE >DRNTG_22724.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31559634:31561384:1 gene:DRNTG_22724 transcript:DRNTG_22724.13 gene_biotype:protein_coding transcript_biotype:protein_coding MCACPFAYKVSNLSDWSEGCKPQFNISCEDSQQLRFVELKKTDFWGFDLNHTSSVSLSACKALCQKDCSCVAISYKMGGGDCYTKSALFNGRTVPDFPGSVYLKLPLNFNTSGFHVLQVHEPICIATGATAATPRYAAITGSRIKWTYFFGFISAFGVIEIFFIVFGWWFVSKRGTKPTLMEEGYKLIASQFRRFTYKELKKATGNFKNKLERGGSRAVYKGVLEDERAVAVEKLDDIISTKDFWAEVGVIARINHMNLARIWGFCCERSHRFLVSEFMENGSLDKHLFVSGHSSANLLGWKERFHIAVRVAKGLAYLHHECLEWVIHCDVKPENILLDSDFEPKITDFGLAKLADRRRAHSKISKVRGSRGYMAPEWALNLPITAKVDVYSYGVVLLELLMGSRASDWKKMDGDDEEEEAELELLGLVRMLKVKLGGAEDSWIDDLADTRLGGQFNHRQAAVMVEMAISCLEEDRNKRPNMDTVAEILNGHYEEPYCHGDHSYSATNLE >DRNTG_22724.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31558674:31561326:1 gene:DRNTG_22724 transcript:DRNTG_22724.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDPVSRCARTGLWCSLMSMALWCGAPTPAQPKLTKLSSWTLGILSSRDPQGNVLWQSFDLPTDTLLPTQPITKNKKLVSNMAGGSVSSGYYSFYFDNDNVMKLMYDGPQVSSIYWPNPDYNIWQNGRYNYNSSRYGVLDESGTFQSSDRLSFNASDMGAAIKRRLTLDYDGNLRLYSLNNSTGLWSVSWEALPKLCDVHGLCGRNGICVYNYTQKPMCACPFAYKVSNLSDWSEGCKPQFNISCEDSQQLRFVELKKTDFWGFDLNHTSSVSLSACKALCQKDCSCVAISYKMGGGDCYTKSALFNGRTVPDFPGSVYLKLPLNFNTSGFHVLQVHEPICIATGATAATPRYAAITGSRIKWTYFFGFISAFGVIEIFFIVFGWWFVSKRGTKPTLMEEGYKLIASQFRRFTYKELKKATGNFKNKLERGGSRAVYKGVLEDERAVAVEKLDDIISTKDFWAEVGVIARINHMNLARIWGFCCERSHRFLVSEFMENGSLDKHLFVSGHSSANLLGWKERFHIAVRVAKGLAYLHHECLEWVIHCDVKPENILLDSDFEPKITDFGLAKLADRRRAHSKISKVRGSRGYMAPEWALNLPITAKVDVYSYGVVLLELLMGSRASDWKKMDGDDEEEEAELELLGLVRMLKVKLGGAEDSWIDDLADTRLGGQFNHRQAAVMVEMAISCLEEDRNKRPNMDTVAEILNGHYEEPYCHGDHSYSATNLE >DRNTG_22724.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31558674:31561502:1 gene:DRNTG_22724 transcript:DRNTG_22724.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDPVSRCARTGLWCSLMSMALWCGAPTPAQPKLTKLSSWTLGILSSRDPQGNVLWQSFDLPTDTLLPTQPITKNKKLVSNMAGGSVSSGYYSFYFDNDNVMKLMYDGPQVSSIYWPNPDYNIWQNGRYNYNSSRYGVLDESGTFQSSDRLSFNASDMGAAIKRRLTLDYDGNLRLYSLNNSTGLWSVSWEALPKLCDVHGLCGRNGICVYNYTQKPMCACPFAYKVSNLSDWSEGCKPQFNISCEDSQQLRFVELKKTDFWGFDLNHTSSVSLSACKALCQKDCSCVAISYKMGGGDCYTKSALFNGRTVPDFPGSVYLKLPLNFNTSGFHVLQVHEPICIATGATAATPRYAAITGSRIKWTYFFGFISAFGVIEIFFIVFGWWFVSKRGTKPTLMEEGYKLIASQFRRFTYKELKKATGNFKNKLERGGSRAVYKGVLEDERAVAVEKLDDIISTKDFWAEVGVIARINHMNLARIWGFCCERSHRFLVSEFMENGSLDKHLFVSGHSSANLLGWKERFHIAVRVAKGLAYLHHECLEWVIHCDVKPENILLDSDFEPKITDFGLAKLADRRRAHSKISKVRGSRGYMAPEWALNLPITAKVDVYSYGVVLLELLMGSRASDWKKMDGDDEEEEAELELLGLVRMLKVKLGGAEDSWIDDLADTRLGGQFNHRQAAVMVEMAISCLEEDRNKRPNMDTVAEILNGHYEEPYCHGDHSYSATNLE >DRNTG_22724.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31558714:31561384:1 gene:DRNTG_22724 transcript:DRNTG_22724.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDPVSRCARTGLWCSLMSMALWCGAPTPAQPKLTKLSSWTLGILSSRDPQGNVLWQSFDLPTDTLLPTQPITKNKKLVSNMAGGSVSSGYYSFYFDNDNVMKLMYDGPQVSSIYWPNPDYNIWQNGRYNYNSSRYGVLDESGTFQSSDRLSFNASDMGAAIKRRLTLDYDGNLRLYSLNNSTGLWSVSWEALPKLCDVHGLCGRNGICVYNYTQKPMCACPFAYKVSNLSDWSEGCKPQFNISCEDSQQLRFVELKKTDFWGFDLNHTSSVSLSACKALCQKDCSCVAISYKMGGGDCYTKSALFNGRTVPDFPGSVYLKLPLNFNTSGFHVLQVHEPICIATGATAATPRYAAITGSRIKWTYFFGFISAFGVIEIFFIVFGWWFVSKRGTKPTLMEEGYKLIASQFRRFTYKELKKATGNFKNKLERGGSRAVYKGVLEDERAVAVEKLDDIISTKDFWAEVGVIARINHMNLARIWGFCCERSHRFLVSEFMENGSLDKHLFVSGHSSANLLGWKERFHIAVRVAKGLAYLHHECLEWVIHCDVKPENILLDSDFEPKITDFGLAKLADRRRAHSKISKVRGSRGYMAPEWALNLPITAKVDVYSYGVVLLELLMGSRASDWKKMDGDDEEEEAELELLGLVRMLKVKLGGAEDSWIDDLADTRLGGQFNHRQAAVMVEMAISCLEEDRNKRPNMDTVAEILNGHYEEPYCHGDHSYSATNLE >DRNTG_22724.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31558674:31561249:1 gene:DRNTG_22724 transcript:DRNTG_22724.11 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDPVSRCARTGLWCSLMSMALWCGAPTPAQPKLTKLSSWTLGILSSRDPQGNVLWQSFDLPTDTLLPTQPITKNKKLVSNMAGGSVSSGYYSFYFDNDNVMKLMYDGPQVSSIYWPNPDYNIWQNGRYNYNSSRYGVLDESGTFQSSDRLSFNASDMGAAIKRRLTLDYDGNLRLYSLNNSTGLWSVSWEALPKLCDVHGLCGRNGICVYNYTQKPMCACPFAYKVSNLSDWSEGCKPQFNISCEDSQQLRFVELKKTDFWGFDLNHTSSVSLSACKALCQKDCSCVAISYKMGGGDCYTKSALFNGRTVPDFPGSVYLKLPLNFNTSGFHVLQVHEPICIATGATAATPRYAAITGSRIKWTYFFGFISAFGVIEIFFIVFGWWFVSKRGTKPTLMEEGYKLIASQFRRFTYKELKKATGNFKNKLERGGSRAVYKGVLEDERAVAVEKLDDIISTKDFWAEVGVIARINHMNLARIWGFCCERSHRFLVSEFMENGSLDKHLFVSGHSSANLLGWKERFHIAVRVAKGLAYLHHECLEWVIHCDVKPENILLDSDFEPKITDFGLAKLADRRRAHSKISKVRGSRGYMAPEWALNLPITAKVDVYSYGVVLLELLMGSRASDWKKMDGDDEEEEAELELLGLVRMLKVKLGGAEDSWIDDLADTRLGGQFNHRQAAVMVEMAISCLEEDRNKRPNMDTVAEILNGHYEEPYCHGDHSYSATNLE >DRNTG_22724.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31558674:31561384:1 gene:DRNTG_22724 transcript:DRNTG_22724.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDPVSRCARTGLWCSLMSMALWCGAPTPAQPKLTKLSSWTLGILSSRDPQGNVLWQSFDLPTDTLLPTQPITKNKKLVSNMAGGSVSSGYYSFYFDNDNVMKLMYDGPQVSSIYWPNPDYNIWQNGRYNYNSSRYGVLDESGTFQSSDRLSFNASDMGAAIKRRLTLDYDGNLRLYSLNNSTGLWSVSWEALPKLCDVHGLCGRNGICVYNYTQKPMCACPFAYKVSNLSDWSEGCKPQFNISCEDSQQLRFVELKKTDFWGFDLNHTSSVSLSACKALCQKDCSCVAISYKMGGGDCYTKSALFNGRTVPDFPGSVYLKLPLNFNTSGFHVLQVHEPICIATGATAATPRYAAITGSRIKWTYFFGFISAFGVIEIFFIVFGWWFVSKRGTKPTLMEEGYKLIASQFRRFTYKELKKATGNFKNKLERGGSRAVYKGVLEDERAVAVEKLDDIISTKDFWAEVGVIARINHMNLARIWGFCCERSHRFLVSEFMENGSLDKHLFVSGHSSANLLGWKERFHIAVRVAKGLAYLHHECLEWVIHCDVKPENILLDSDFEPKITDFGLAKLADRRRAHSKISKVRGSRGYMAPEWALNLPITAKVDVYSYGVVLLELLMGSRASDWKKMDGDDEEEEAELELLGLVRMLKVKLGGAEDSWIDDLADTRLGGQFNHRQAAVMVEMAISCLEEDRNKRPNMDTVAEILNGHYEEPYCHGDHSYSATNLE >DRNTG_22724.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31558714:31561249:1 gene:DRNTG_22724 transcript:DRNTG_22724.12 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDPVSRCARTGLWCSLMSMALWCGAPTPAQPKLTKLSSWTLGILSSRDPQGNVLWQSFDLPTDTLLPTQPITKNKKLVSNMAGGSVSSGYYSFYFDNDNVMKLMYDGPQVSSIYWPNPDYNIWQNGRYNYNSSRYGVLDESGTFQSSDRLSFNASDMGAAIKRRLTLDYDGNLRLYSLNNSTGLWSVSWEALPKLCDVHGLCGRNGICVYNYTQKPMCACPFAYKVSNLSDWSEGCKPQFNISCEDSQQLRFVELKKTDFWGFDLNHTSSVSLSACKALCQKDCSCVAISYKMGGGDCYTKSALFNGRTVPDFPGSVYLKLPLNFNTSGFHVLQVHEPICIATGATAATPRYAAITGSRIKWTYFFGFISAFGVIEIFFIVFGWWFVSKRGTKPTLMEEGYKLIASQFRRFTYKELKKATGNFKNKLERGGSRAVYKGVLEDERAVAVEKLDDIISTKDFWAEVGVIARINHMNLARIWGFCCERSHRFLVSEFMENGSLDKHLFVSGHSSANLLGWKERFHIAVRVAKGLAYLHHECLEWVIHCDVKPENILLDSDFEPKITDFGLAKLADRRRAHSKISKVRGSRGYMAPEWALNLPITAKVDVYSYGVVLLELLMGSRASDWKKMDGDDEEEEAELELLGLVRMLKVKLGGAEDSWIDDLADTRLGGQFNHRQAAVMVEMAISCLEEDRNKRPNMDTVAEILNGHYEEPYCHGDHSYSATNLE >DRNTG_22724.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31558450:31561502:1 gene:DRNTG_22724 transcript:DRNTG_22724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDPVSRCARTGLWCSLMSMALWCGAPTPAQPKLTKLSSWTLGILSSRDPQGNVLWQSFDLPTDTLLPTQPITKNKKLVSNMAGGSVSSGYYSFYFDNDNVMKLMYDGPQVSSIYWPNPDYNIWQNGRYNYNSSRYGVLDESGTFQSSDRLSFNASDMGAAIKRRLTLDYDGNLRLYSLNNSTGLWSVSWEALPKLCDVHGLCGRNGICVYNYTQKPMCACPFAYKVSNLSDWSEGCKPQFNISCEDSQQLRFVELKKTDFWGFDLNHTSSVSLSACKALCQKDCSCVAISYKMGGGDCYTKSALFNGRTVPDFPGSVYLKLPLNFNTSGFHVLQVHEPICIATGATAATPRYAAITGSRIKWTYFFGFISAFGVIEIFFIVFGWWFVSKRGTKPTLMEEGYKLIASQFRRFTYKELKKATGNFKNKLERGGSRAVYKGVLEDERAVAVEKLDDIISTKDFWAEVGVIARINHMNLARIWGFCCERSHRFLVSEFMENGSLDKHLFVSGHSSANLLGWKERFHIAVRVAKGLAYLHHECLEWVIHCDVKPENILLDSDFEPKITDFGLAKLADRRRAHSKISKVRGSRGYMAPEWALNLPITAKVDVYSYGVVLLELLMGSRASDWKKMDGDDEEEEAELELLGLVRMLKVKLGGAEDSWIDDLADTRLGGQFNHRQAAVMVEMAISCLEEDRNKRPNMDTVAEILNGHYEEPYCHGDHSYSATNLE >DRNTG_00633.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22595565:22595921:1 gene:DRNTG_00633 transcript:DRNTG_00633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFNVPSPAGVPSALLFTIFFLLFFFFFPKNTTLVSAAGDQYCAPSCGNLNIRYPLRLKDDPPNCGDPNYELTCDHLNHTVLTLFSHSYYVTNITYQDYYPYLKIQLKY >DRNTG_33231.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20442440:20447731:1 gene:DRNTG_33231 transcript:DRNTG_33231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKNSSTESPESSPSPPSPPPTSSPGGAAKHLVGLPSRGLFSSTIPSSNLGGMRVYVCDHDTAPPEEQVIKTNGTNILIRALQINKEKSEQKDAHAKAAQEKKGKRSATRALESKSPAKRANTGGSLSTSRQGSSNTPSEKTLQVMTVEQLRAHLRERGLSTKGKKDELIARLKNKDKDA >DRNTG_27594.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:9618029:9618238:-1 gene:DRNTG_27594 transcript:DRNTG_27594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEAILSRALELIIKLTSPLVTRCLHPIWGGVDDELEKLHSYLVQIQPLVEDAEERQLMEDNVKCWLT >DRNTG_16721.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3119437:3124551:1 gene:DRNTG_16721 transcript:DRNTG_16721.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDYQKGQLSSSETGQGPAAGALVDRNKLNRKRKDQDDEEEDDCEENAHENDDPSSQKKPRVVWSVELHRKFVAAVNQLGIEKAVPKKILDLMNVEGLTRENVASHLQKYRLYLKRLSAVASQQANLVAALRGREHSYLPMASLDGFRNYHAMPGSGQLPALTPFQSNGLLGRLNSPTGMGLHRIAPSGVAPINHSYDNPSVPVNDLRKLQGIGIPGNQNANLLQGVPTSLELDQLQQNKTVQKASNPITGGLSCGNSFSTLSSNPLLVQAGDMHTKSVGFTNPLNSNPFDMGLGDSSHLPDLTRCNNTWPAAVSSDRNSNNTSHMSICASNDDVSNNNMRNNIPPMISHVDNNPHDVLAGNVVVPPLHDPLMQSSIQFQARALCGDIPMSVVEDSKLLNFSRSGNSRQHWQGQKQDHHNSNIIISSSANSSLLNLHTNIPFVESQTLKDGFCDKKMEMIMNPPPTNFGASYAPQPHKINNPMNDIQPKYKDGFMMETQKFQGSFNSNASNGLLDVVIKPDLAEAAFMDGDIGCDMYSLGACM >DRNTG_20507.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16598645:16600754:1 gene:DRNTG_20507 transcript:DRNTG_20507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDFFTLTKMKDRLATLARVEELVLMMEKQKDSVVGNATDVARQWSIIARILTITSGENCLDYFIQLKGLCFLNQWLLKAQKHTSDIGDNVMDELVNDLLASPERFLVDLDNSSAFGIGATVEQLLEHKNLVIRERARSLIVKLDSMKVDEAKGQNLEKDGKYINDQLDSTSDTKTTNNGLLVEPLSLTILYAKKNNGSPKPEMTSMPQLKIKSFNSKRLEHYKTAKEWKPAEGFPDISSKGIPTKKDPPDASSHKRGELGLDYETDTLELGSQVAIEMDHEVVDYREPFCSSPDVDSREIMDASSLDVGEEKQDKLEFNFGEITYTVESTQDSETEDLDCVADVAPNLPPNLSTLIAVVATSKGAPGLLVAPLHFGGELGWKGSIVTSGGNNLSGPPPSFV >DRNTG_24063.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26489633:26492631:1 gene:DRNTG_24063 transcript:DRNTG_24063.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSDRDEDPNANEPPEEEDDEEEKKQGREICFDGCGRPCSVCICSYLPPSPIPTSTHILVLH >DRNTG_03280.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8721273:8724264:-1 gene:DRNTG_03280 transcript:DRNTG_03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVRRLQSQHHHHHHLTFTVRPPTFNFVAPFHSQSQRTHQFWPDLRRWRDAPHNHARSWGLDGPSIASTSSYSNDDDPTASASSLADCARIVLSTPDPLAKSRLSHLAFSRWRRLGLPVGISRPPDRPARPPKPVLVSPKEIPSHKELGLPLNAYMLHNLAHVELNAIDLAWDTVVRFSTSGDELGDDFFWDFARVADDESRHFSWCSQRLAELGFNYGDIPAHNLLWRECEKSAGDVSARLAMIPMVQEARGLDAGPRLVQKLVGFGDHRTSNIVAKIAEEEVAHVAVGVYWFVLVCQKMGRVPCATFKDILKEYNVELKGPFNYTAREEAGIPRDWYDGTNTSERKRELAEVHDRLACIVAMEKENSNLNS >DRNTG_03280.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8721273:8724264:-1 gene:DRNTG_03280 transcript:DRNTG_03280.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNLAHVELNAIDLAWDTVVRFSTSGDELGDDFFWDFARVADDESRHFSWCSQRLAELGFNYGDIPAHNLLWRECEKSAGDVSARLAMIPMVQVVSCFKRRLEDWMLDLG >DRNTG_03280.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8721273:8724264:-1 gene:DRNTG_03280 transcript:DRNTG_03280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVRRLQSQHHHHHHLTFTVRPPTFNFVAPFHSQSQRTHQFWPDLRRWRDAPHNHARSWGLDGPSIASTSSYSNDDDPTASASSLADCARIVLSTPDPLAKSRLSHLAFSRWRRLGLPVGISRPPDRPARPPKPVLVSPKEIPSHKELGLPLNAYMLHNLAHVELNAIDLAWDTVVRFSTSGDELGDDFFWDFARVADDESRHFSWCSQRLAELGFNYGDIPAHNLLWRECEKSAGDVSARLAMIPMVQVVSCFKRRLEDWMLDLG >DRNTG_03280.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8721273:8724264:-1 gene:DRNTG_03280 transcript:DRNTG_03280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNLAHVELNAIDLAWDTVVRFSTSGDELGDDFFWDFARVADDESRHFSWCSQRLAELGFNYGDIPAHNLLWRECEKSAGDVSARLAMIPMVQEARGLDAGPRLVQKLVGFGDHRTSNIVAKIAEEEVAHVAVGVYWFVLVCQKMGRVPCATFKDILKEYNVELKGPFNYTAREEAGIPRDWYDGTNTSERKRELAEVHDRLACIVAMEKENSNLNS >DRNTG_03280.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8721273:8724264:-1 gene:DRNTG_03280 transcript:DRNTG_03280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNLAHVELNAIDLAWDTVVRFSTSGDELGDDFFWDFARVADDESRHFSWCSQRLAELGFNYGDIPAHNLLWRECEKSAGDVSARLAMIPMVQVVSCFKRRLEDWMLDLG >DRNTG_23155.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1873798:1876905:-1 gene:DRNTG_23155 transcript:DRNTG_23155.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPKIMSGSSSKSETIVGTATLKTPTLKA >DRNTG_06534.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29511275:29512643:1 gene:DRNTG_06534 transcript:DRNTG_06534.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSFVLEFANHVLNDKDIYKKGYDASGENDEEMTDEVEFSDDEKEAEYRRSLRQAKRATGHSMHSNRECGVNKKRNDRKVAGTKKNARAPNLHARAATNQSLPFGPGTHASSGTSSDGNPAFSSGGSAFPMPPTILPAERTGACLNHPLDQSLQHPLNSVWAHSLPPPPPPQQQLNASGPGMLLQQQPNVWPNDMLPQQQLGAWALGVLLQQQQQQQQQQQQQNALAQGFSPLHQLIGLQGGLGGASYPHPQNPALNAYSNAMASQRPFLPQSSPPLGGPWNGGGLLNLPVNPMVTRPFGQTGFGLAQIGPSNAQDPRVFEGQNPAAIRQAGQQPQPFSPGRSSPHGRRPHGRGGRHSFGRGNRARGR >DRNTG_06534.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29510900:29512643:1 gene:DRNTG_06534 transcript:DRNTG_06534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLYCRIFLQFLPVDVCLEPHHQTLPVGVISSIIGSRVIVEGSEKHNPLSEGSILWITETRSPLGVVDEIFGPVKNPYYIVRYNSDTEIADGVKEGMSVSFVLEFANHVLNDKDIYKKGYDASGENDEEMTDEVEFSDDEKEAEYRRSLRQAKRATGHSMHSNRECGVNKKRNDRKVAGTKKNARAPNLHARAATNQSLPFGPGTHASSGTSSDGNPAFSSGGSAFPMPPTILPAERTGACLNHPLDQSLQHPLNSVWAHSLPPPPPPQQQLNASGPGMLLQQQPNVWPNDMLPQQQLGAWALGVLLQQQQQQQQQQQQQNALAQGFSPLHQLIGLQGGLGGASYPHPQNPALNAYSNAMASQRPFLPQSSPPLGGPWNGGGLLNLPVNPMVTRPFGQTGFGLAQIGPSNAQDPRVFEGQNPAAIRQAGQQPQPFSPGRSSPHGRRPHGRGGRHSFGRGNRARGR >DRNTG_15707.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4415504:4416084:1 gene:DRNTG_15707 transcript:DRNTG_15707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLAKEKISKRRSSECSNEERLKVKRMGKRVARDSPTQRTSIFKGVTRHRWTGRYEAHLWDKNCWNESQNKKGRQ >DRNTG_15707.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4415504:4415914:1 gene:DRNTG_15707 transcript:DRNTG_15707.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLAKEKISKRRSSECSNEERLKVKRMGKRVARDSPTQRTSIFKGVTR >DRNTG_22315.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:430798:433725:1 gene:DRNTG_22315 transcript:DRNTG_22315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVDVLRLLDATWLFTRDGDDRRRTARFAPIGMRIPFLGRLGVEEISVSLVAIFDGHNGEEASDMASKLLLEYFVLHMYFILDLIYSSVMKSSSERLTYSGVQNLIFQELNLDEGKRSQYMDIGRSRWMFPIVFERSFHMLMLKESLLRAIHDIEETFSE >DRNTG_22315.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:430798:433725:1 gene:DRNTG_22315 transcript:DRNTG_22315.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVDVLRLLDATWLFTRDGDDRRRTARFAPIGMRIPFLGRLGVEEISVSLVAIFDGHNGEEASDMASKLLLEYFVLHMYFILDLIYSSVMKSSSERLTYSGVQNLIFQELNLDEGKRSQYMDIGRSES >DRNTG_01718.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23595420:23598879:-1 gene:DRNTG_01718 transcript:DRNTG_01718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDARDESRIEGMDLNLYLGLPRSPRPLALDLGSDLALGSISVPSSSSSVAVFAGDQNSTMDIGAAGAAADDLPVPYSPSNASYDVNLPSVDPSIAEHPVAPYSPSYIPIPLMDPSYSPSEVQPLQFSLEEPPNEQMNQDGGASAPGLVDESLVPLASTLQGDNGDEHWNHEDGSSSRGDSLQFPVLRFRRLIESHHRLQLMSFNSSTNVSSGERSDLGWSLPPLPQPPRSMGKDKVVGEGIATEDSDEDLEEKSKSAADFECNICFEMAKEPVVTSCGHLFCWPCLYQWLYLHSEHKECPVCKGEVLESHITPIFGRGSSEAREEIKCGEDGKPGLNIPPRPRGNRVESFRQQMRPITRTRRLGEVSWRRLFHDRLMHNADGLGEASVHEIFGIGQRRILARLRGGMVHREEGSAERELNVGEALLPRNSTPDPQNSHTNSPLRDGMGLWQRFSLDLGRVVERLASSTSRYRASVSSANPPNTGPVDGLPHVAVAIAADQASPSSTIAVIQGDVAAIDGAAEPNSAGSSSSLRRRGRSSTSGSLDVDGGSLHVRKRRRLN >DRNTG_16656.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20231488:20232244:-1 gene:DRNTG_16656 transcript:DRNTG_16656.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAFEKASGKKIPIKLCPRRSGDATAVYASTEKAQKELGWRAKYGVAEMCRDQWNWASKNPYGYQRKP >DRNTG_16656.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20231488:20235568:-1 gene:DRNTG_16656 transcript:DRNTG_16656.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRRRVLVTGGAGFIGTHTVLQLLKDGFDVAIIDNFDNSVEEAVDRVRELAGADLSKNLKFYLGDLRNKEDLDKVFSENKFDAVMHFAGLKAVGESVAKPFRYFDNNLVGSINLYKTMEKYGCKKLVFSSSATVYGQPEKIPCVEDF >DRNTG_12309.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29625975:29626338:-1 gene:DRNTG_12309 transcript:DRNTG_12309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKKIEDIVEESKALVVARSNGEHFKVIDNQRNSISLCDKASYRRDENRALQLRPPIAKKRPGRLRR >DRNTG_34593.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23147544:23148004:-1 gene:DRNTG_34593 transcript:DRNTG_34593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHHDLGLALWARSGGASSSVTKKALVERDLLGLMEETNYQNSGVDVALTLGVSDPSPGIKKCMFCSDTSTPLWRNGPDGPKTLCNACGIRHRKEVNKIITDLTLGTNSTTSKGFRWWWKGGEEEEV >DRNTG_23295.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1251435:1252471:-1 gene:DRNTG_23295 transcript:DRNTG_23295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEQASYKAGETRGHAEEKTEQVMGKSREAVDATKEKAHQAKEKASETTQADKESTQEGKDQTGSFLGDKTEAAKQKASETAQSAKETTQAGKEKTGSLLQQTGEKVKSAAQGAADAVKSTLGMATCTGEDKNNKDATHADPDY >DRNTG_14214.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9466739:9467277:1 gene:DRNTG_14214 transcript:DRNTG_14214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASSSSSSSCTSFGSIDDVSVRTNSNVKFVCSYGGRILPRYPDGKLRYVGGETRVLAVDRSIPFSELQVKLGELCGWGRVGLRCQLPTDDLDALVSVTSDEDLANLIE >DRNTG_03320.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15358487:15368240:-1 gene:DRNTG_03320 transcript:DRNTG_03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRSQVNKAHKSRFASKASRHVHRTSGSDKNRIAKPDHRNSVKGGRAARVQRSKMARDQKRAALLKERRAQGGSLSSPRVIVLFGLSSCVNLNLFSRDFLHLLSGGEADGTSNTVALPGCKLRATVYTAPYGDLLSCMELCKVADLVAFVVSSNSFIDGCEAGNPIDPFGTQCLSVFRAIGLPSTAVFIQDLPNDVKRKQDLKKTIISCLASELPEECKFYPADTKEELHKFMWLFKEQHLSAPHWRSQRSYLMSQQVVLEPDDSNPGQCTLLMSGYVQAHSLSVNQLVHVSGAGDFQLSKIDILKDPFPTNGKKDHNAMDSDDKIGLQAIHTLLPDPLSHEPLLVENAPDPLAGEQTWPTEAEIAEADANSNRHKLKKKKLPRGTSDYQAAWIVDDTDDEVSDDADDGDGMVLDEQQKDHTVLEGSDYSDVDEGSHDMVEETEIGEEMDDDEKLTKEQIEAEIKKIKDANAEDEEFPDEVDTPLDVPAKKRFAKYRGLKSFRTSSWDPKESLPPEYARIFAFDNFTRTQKHVLAKLKDEGNINEYASVGSFVRLHIKNVPVDVASKACVQSQKLPVVAYSLLQHESKMSVLHFRKHDSYEQPIKSKDTLIFHVGFRKFLARPVFSSDNINCDKHKMERFLHEGRFSIASVYAPICYPPLPLIVMRCAHGEAPTVVATGSLKSVDPDRIVLKKIILTGYPQRVSKLKAIVRFMFHNPEDVRWFKPVDVWTKLGRRGRIKEPVGTHGATKCVFNGVIQQHDTVCMSLYKRAYPKWPERLYAV >DRNTG_34853.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2405617:2417623:1 gene:DRNTG_34853 transcript:DRNTG_34853.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASRVPTLQDLPGGAVHRAVIRRNFHLGHSLSEFDKRSLCSKLLEFRRPQLPYHKSRRLVHPMPFASSDDGVAVNGTPQTSSSSGMDDLRMKLTQSLQGEDLSSGLVQSIHDAARAIELAIQEHSLSSKTFWFSKAWLGIDKNASVKTLSYQAAVYSLLQSAIEISSRGDGRDRDVNLYVQRSLLRLSALLESTIRDELSSKQLVDHDWLWSHQHPLVVKTFVDLFERDSRFSAATMLYLKGGSSGSTSESDLSLLMLALSCLAAVIKLGSTKVSCQQFFSMVPDIIGRLMDMLLDFVPINKAYHYMKDIGLCREFLFHFGPRAASGKFRTDHAVEERAFWVDLVQNHLQRAIDRERIWSRLTTCESIEVLEKDLAIFGFFIALGRSTKSFLASNGFSIVDDHIESFIRYLITGSVLYYPQLSSVSSYQLYVEVVCEELDWLPFYQSNAMGIKETLESKDRREGITQGEAIFQVLSVCSYWMTSFIKYSTWLESPSNIKAARFLSKGHSKLTGCMNELGVLINSGKKSSVQDQGQQWSGVGLSVDSKTASFDKALESVEEALNRLEDLLQELHLSNSTSGKEHLKAACSDLERIRKLNKEAEFLEASFRAKAASLEQEGVDEHSLSSGGESGNFSNKESGEACKETEINEKPADRMVKKPRGFWSFLVRNSRGKSQSRVSADQNEIEDLDQVAIAKMHNGDSESNEIRRFELLRNELIELEKRVQRSTDDAQNDEESGLADDNEQHASIGRYPLAKAQEKESLFAKSIVKIRETSTDVWQGTQLLAVDVAAAMVLLRRALTGDDLTQKEKQSLRRTLTDLASVVPIGILMLLPVTAVGHAAMLAAIQRYVPSLIPSSYAPERLDLLRQLEKVKEMESTEMNSDETEGVFLSNTTDNP >DRNTG_34853.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2405617:2417623:1 gene:DRNTG_34853 transcript:DRNTG_34853.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASRVPTLQDLPGGAVHRAVISTSRPCLPNKSAEISFMHKNMTDLTQGLYRCCVSRRNFHLGHSLSEFDKRSLCSKLLEFRRPQLPYHKSRRLVHPMPFASSDDGVAVNGTPQTSSSSGMDDLRMKLTQSLQGEDLSSGLVQSIHDAARAIELAIQEHSLSSKTFWFSKAWLGIDKNASVKTLSYQAAVYSLLQSAIEISSRGDGRDRDVNLYVQRSLLRLSALLESTIRDELSSKQLVDHDWLWSHQHPLVVKTFVDLFERDSRFSAATMLYLKGGSSGSTSESDLSLLMLALSCLAAVIKLGSTKVSCQQFFSMVPDIIGRLMDMLLDFVPINKAYHYMKDIGLCREFLFHFGPRAASGKFRTDHAVEERAFWVDLVQNHLQRAIDRERIWSRLTTCESIEVLEKDLAIFGFFIALGRSTKSFLASNGFSIVDDHIESFIRYLITGSVLYYPQLSSVSSYQLYVEVVCEELDWLPFYQSNAMGIKETLESKDRREGITQGEAIFQVLSVCSYWMTSFIKYSTWLESPSNIKAARFLSKGHSKLTGCMNELGVLINSGKKSSVQDQGQQWSGVGLSVDSKTASFDKALESVEEALNRLEDLLQELHLSNSTSGKEHLKAACSDLERIRKLNKEAEFLEASFRAKAASLEQEGVDEHSLSSGGESGNFSNKESGEACKETEINEKPADRMVKKPRGFWSFLVRNSRGKSQSRVSADQNEIEDLDQVAIAKMHNGDSESNEIRRFELLRNELIELEKRVQRSTDDAQNDEESGLADDNEQHASIGRYPLAKAQEKESLFAKSIVKIRETSTDVWQGTQLLAVDVAAAMVLLRRALTGDDLTQKEKQSLRRTLTDLASVVPIGILMLLPVTAVGHAAMLAAIQRYVPSLIPSSYAPERLDLLRQLEKVKEMESTEMNSDETEGVFLSNTTDNP >DRNTG_23576.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14937550:14950636:1 gene:DRNTG_23576 transcript:DRNTG_23576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPASRIGELGGLSRARASRDGTPIRCIRLQLDRPRLLQRSPRQT >DRNTG_31596.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:624594:625943:-1 gene:DRNTG_31596 transcript:DRNTG_31596.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVERSSPGRRAVEVEHSSPHSHPTTCRLREPVLRTGGCAVNCGRTDSLGRARSSERCRVDRVDPGSALTSRGPRGRRVESWQVRKPEVQFDIGQQSEVDMEHVSKEMVDKGEPFIHVMMDRVVAFEDRAVVPFAAMVGLGGEMGLEMDSKELEIPLILFEVGQAVGCINGRMGSQFISADGGPVTRLAIENAVHGRLQSCCYGGSRKVRGIGYNYLEEFGLSNSSAGGSWRVLASEEVHEKGAGQ >DRNTG_31596.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:624594:625943:-1 gene:DRNTG_31596 transcript:DRNTG_31596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVEHSSLGRCAMEVEHSSPHSHPATGRLRKPVLRTDGCDQRSLASGGDASKVHAVAPTVAELCSPGLGLDGGMTEVERSSPGRRAVEVEHSSPHSHPTTCRLREPVLRTGGCAVNCGRTDSLGRARSSERCRVDRVDPGSALTSRGPRGRRVESWQVRKPEVQFDIGQQSEVDMEHVSKEMVDKGEPFIHVMMDRVVAFEDRAVVPFAAMVGLGGEMGLEMDSKELEIPLILFEVGQAVGCINGRMGSQFISADGGPVTRLAIENAVHGRLQSCCYGGSRKVRGIGYNYLEEFGLSNSSAGGSWRVLASEEVHEKGAGQ >DRNTG_20073.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1271102:1271876:-1 gene:DRNTG_20073 transcript:DRNTG_20073.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWTCYKVVSGSKHIRQSMTELVDLAAIQVWLMRERDVLSMKLDYGLEPAVDHYGTMADLLGCAGRLNEAWVFIKKMLIKPSISVYGAMLGACKIHNFKASKSTASVIVTNCLYTNVVVNSSCNQNEKSVTQGHFIGKSPDGLAPSLTDVEDGKNLVSAHVEAPRQLMKILIYRMTCQ >DRNTG_35274.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002236.1:15755:16822:1 gene:DRNTG_35274 transcript:DRNTG_35274.4 gene_biotype:protein_coding transcript_biotype:protein_coding NSTQGSQPQGTGTDKSQLQKKPQNNPLNLSLIFSCERKIHRVHEPQGPSRIAENNRIMQI >DRNTG_35274.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002236.1:15755:17877:1 gene:DRNTG_35274 transcript:DRNTG_35274.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRNRTEYQQPELDLRPQSERTRMSGSANTEKHVHMARTYSS >DRNTG_35274.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002236.1:15755:17877:1 gene:DRNTG_35274 transcript:DRNTG_35274.3 gene_biotype:protein_coding transcript_biotype:protein_coding SRNRTEYQQPELDLRPQSERTRMSGSANTEKHVHMARTYSS >DRNTG_35274.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002236.1:15755:17877:1 gene:DRNTG_35274 transcript:DRNTG_35274.2 gene_biotype:protein_coding transcript_biotype:protein_coding SRNRTEYQQPELDLRPQSERTRMSGSANTEKHVHMARTYSS >DRNTG_21223.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32732153:32739594:-1 gene:DRNTG_21223 transcript:DRNTG_21223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLADRATSDLLIGPDWAMNVEICDILNHDPGQGKVTARVLRRRIGNKNPKIQLLALTLLETIIKNCGDIVHMHIAERDIPHKMAKLVKKKPDFRVKEKILVLIDTWQEALGGPHGRHTQFYSAYQELLRYGVVFPKRTGSSAPVFSPQGQPPPSYPQPPQHSDNQQEATVSSDSSELPVLSIADIQNARGIMDVLAEMLNALDPKNKEGLQQEVIVDLVAQCRTYRQRLVHLVNKTSDEELLSQGLALNDDLQHVLGKYDAISSGIAAHVEKPKTLQALVDIDDATVTNQDKNALVDTSSSTNASTSNQPLLEQLLLGGPSDHDHAPLAPPSAQADPFIDLLSDGNSGVPPTENSLALAPVNESLADSMPEQNALALSDVFSQNVSNTNNSNPANVFDTNSSSSAQHLALVPVTSPFQQQQHQTSQAMLFSNGGALNPGTSPFEQAGYSQGTQWNHSNTPWHDQSSQEMNPQQQAYNNMSNDQNGDLPPPPWEVQSGMDDQITTSPHPLNGHVGDMHSESLPNGIHHPTLIQNNQPGSIHPSLMQNNQPGNVHPSLMQNNQPGSIHPSFMQNSQPGGIHPSMMPNGQPIHPSLMQNNQPGSIHPALMQNNQHGNIHPSLMQNSQAGNINPLGVGGAYPQQMQAAQFRGAYLQHMQAPQLGGAYPQAMQIAQMGGGYAYNQQPEAQFYYQRPTYPHVSQTDISQGMYGLSMQENSTYTSSSLSSYQMSGSKPSYIHQPSKPPSKPEDKLFGDLVSLCQE >DRNTG_15179.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6109752:6113235:1 gene:DRNTG_15179 transcript:DRNTG_15179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTRNAREYSKSDARDGVKGRRNTRNLRKFKNPRDPCSSSSSSSSSSSLFDTLSLSEERLMKGLSSSVDINGEMALRKAEETRVFW >DRNTG_16692.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16222535:16222956:-1 gene:DRNTG_16692 transcript:DRNTG_16692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQYLPYYTKEEDWRTHFGSRWKVFAQRKLDYDPLAILAPGQRIFRKTTSISSQKLLQMV >DRNTG_28410.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24622701:24623479:-1 gene:DRNTG_28410 transcript:DRNTG_28410.3 gene_biotype:protein_coding transcript_biotype:protein_coding LARHTVTLTYYDVIHKRIEKVRNLERELTLTYYAVIHKRIEKVRNLERELKKKKKMKKKHHHQESDLH >DRNTG_28410.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24622499:24623392:-1 gene:DRNTG_28410 transcript:DRNTG_28410.2 gene_biotype:protein_coding transcript_biotype:protein_coding LARHTVTLTYYDVIHKRIEKVRNLERELTLTYYAVIHKRIEKVRNLERELKKKKKMKKKHHHQESDLH >DRNTG_28410.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24622701:24623392:-1 gene:DRNTG_28410 transcript:DRNTG_28410.4 gene_biotype:protein_coding transcript_biotype:protein_coding LARHTVTLTYYDVIHKRIEKVRNLERELTLTYYAVIHKRIEKVRNLERELKKKKKMKKKHHHQESDLH >DRNTG_28410.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24622499:24623479:-1 gene:DRNTG_28410 transcript:DRNTG_28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding LARHTVTLTYYDVIHKRIEKVRNLERELTLTYYAVIHKRIEKVRNLERELKKKKKMKKKHHHQESDLH >DRNTG_07136.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:11444114:11446422:-1 gene:DRNTG_07136 transcript:DRNTG_07136.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKGWLPYPLQASIIGDEGRSCRILLLSSISMPKDVFLSLLIPGFGDGEEWWENLSKTLANTPQKPSRSPLSSWGNDGEKNTKIGAESALNRAGIGQLHGRGRYTRPCGISTRAWIISTRPCGFFVSLISRPGVNSAATVLATVLCYSL >DRNTG_27336.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17092801:17098989:-1 gene:DRNTG_27336 transcript:DRNTG_27336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSSLEPLGMVAQQKALLKDNIPWHPLVSSEKNNAVLHSRKSQVQEIGPRYKSTISSTVVSTLPARKRCPSPNAEKLSPASGVLLTRRSQSAERRCPSTFTSKNSAASSLSSRPSITYSPSSMSTTPIRDSMPEVHNTSRRLLSNRTHDGLWPSMRSLTTSFQSESVSSPAGRKVKPVRDSSPDHSLKSLVNVDSERKRTPLRRLNATDQSENFQPAENPHLRVVEQHRWPRISGSKLPANTPSRIMDLNEKVNRSNSLPDVARVVSPRRLLASNCSGNRLRQSGSEVLRSVHSCRSVDIKHEISAAVNISPDWSGMVTSVTRSNRTQSFSSSSLHRPLSPKKTLASSTTSRGMQSPSKTRSSSPFSSSSTATGQAAAMSSFLDYIVDARKGKKNANQIEDTYHLRLLYNRNLQWRFLNAISVAASVIQKVATEDALCGVWNTTSELRDSVNLKRVNLLCLKEETKLDVILREQLAYLEDWAALEKEHSGSLSAATEALKASTLCLPVSGGSKVDIRAIKNAISSAVDTMQKIGSSVCYSLSKVVNMNHLATELSDAAMIEMAMIDECGDLLASAAAMQMQEFSLKTQLMQLRLENVHKMQ >DRNTG_27336.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17094264:17097639:-1 gene:DRNTG_27336 transcript:DRNTG_27336.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSSLEPLGMVAQQKALLKDNIPWHPLVSSEKNNAVLHSRKSQVQEIGPRYKSTISSTVVSTLPARKRCPSPNAEKLSPASGVLLTRRSQSAERRCPSTFTSKNSAASSLSSRPSITYSPSSMSTTPIRDSMPEVHNTSRRLLSNRTHDGLWPSMRSLTTSFQSESVSSPAGRKVKPVRDSSPDHSLKSLVNVDSERKRTPLRRLNATDQSENFQPAENPHLRVVEQHRWPRISGSKLPANTPSRIMDLNEKVNRSNSLPDVARVVSPRRLLASNCSGNRLRQSGSEVLRSVHSCRSVDIKHEISAAVNISPDWSGMVTSVTRSNRTQSFSSSSLHRPLSPKKTLASSTTSRGMQSPSKTRSSSPFSSSSTATGQAAAMSSFLDYIVDARKGKKNANQIEDTYHLRLLYNRNLQWRFLNAISVAASVIQKVATEDALCGVWNTTSELRDSVNLKRVNLLCLKEETKLDVILREQLAYLEDWAALEKEHSGSLSAATEALKASTLCLPVSGGSK >DRNTG_27336.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17092801:17097639:-1 gene:DRNTG_27336 transcript:DRNTG_27336.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSSLEPLGMVAQQKALLKDNIPWHPLVSSEKNNAVLHSRKSQVQEIGPRYKSTISSTVVSTLPARKRCPSPNAEKLSPASGVLLTRRSQSAERRCPSTFTSKNSAASSLSSRPSITYSPSSMSTTPIRDSMPEVHNTSRRLLSNRTHDGLWPSMRSLTTSFQSESVSSPAGRKVKPVRDSSPDHSLKSLVNVDSERKRTPLRRLNATDQSENFQPAENPHLRVVEQHRWPRISGSKLPANTPSRIMDLNEKVNRSNSLPDVARVVSPRRLLASNCSGNRLRQSGSEVLRSVHSCRSVDIKHEISAAVNISPDWSGMVTSVTRSNRTQSFSSSSLHRPLSPKKTLASSTTSRGMQSPSKTRSSSPFSSSSTATGQAAAMSSFLDYIVDARKGKKNANQIEDTYHLRLLYNRNLQWRFLNAISVAASVIQKVATEDALCGVWNTTSELRDSVNLKRVNLLCLKEETKLDVILREQLAYLEDWAALEKEHSGSLSAATEALKASTLCLPVSGGSKVDIRAIKNAISSAVDTMQKIGSSVCYSLSKVVNMNHLATELSDAAMIEMAMIDECGDLLASAAAMQMQEFSLKTQLMQLRLENVHKMQ >DRNTG_27336.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17096201:17097639:-1 gene:DRNTG_27336 transcript:DRNTG_27336.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSSLEPLGMVAQQKALLKDNIPWHPLVSSEKNNAVLHSRKSQVQEIGPRYKSTISSTVVSTLPARKRCPSPNAEKLSPASGVLLTRRSQSAERRCPSTFTSKNSAASSLSSRPSITYSPSSMSTTPIRDSMPEVHNTSRRLLSNRTHDGLWPSMRSLTTSFQSESVSSPAGRKVKPVRDSSPDHSLKSLVNVDSERKRTPLRRLNATDQSENFQPAENPHLRVVEQHRWPRISGSKLPANTPSRIMDLNEKVNRSNSLPDVARVVSPRRLLASNCSGNRLRQSGSEVLRSVHSCRSVDIKHEISAAVNISPDWSGMVTSVTRSNRTQSFSSSSLHRPLSPKKTLASSTTSRGMQSPSKTRSSSPFSSSSTATGQAAAMSSFLDYIVDARKGKKNANQIEDTYHLRLLYNRNLQWRFLNAISVAASVIQKVATE >DRNTG_26200.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001349.1:25019:25443:1 gene:DRNTG_26200 transcript:DRNTG_26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPINNKYDIVANKCQVSNQHHAKTTPKHANNLQEPK >DRNTG_20523.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001125.1:61012:64163:1 gene:DRNTG_20523 transcript:DRNTG_20523.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRPDDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVSTEDAKAFAERENTFFMETSALESMNVENAFTEVLTQIYHVVSRKALEIGDDPAALPKGQTINVGNKDDVSAVKKVGCCSV >DRNTG_20523.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001125.1:60923:64163:1 gene:DRNTG_20523 transcript:DRNTG_20523.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRPDDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVSTEDAKAFAERENTFFMETSALESMNVENAFTEVLTQIYHVVSRKALEIGDDPAALPKGQTINVGNKDDVSAVKKVGCCSV >DRNTG_20523.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001125.1:60961:64163:1 gene:DRNTG_20523 transcript:DRNTG_20523.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRPDDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVSTEDAKAFAERENTFFMETSALESMNVENAFTEVLTQIYHVVSRKALEIGDDPAALPKGQTINVGNKDDVSAVKKVGCCSV >DRNTG_20523.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001125.1:60902:64114:1 gene:DRNTG_20523 transcript:DRNTG_20523.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRPDDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVSTEDAKAFAERENTFFMETSALESMNVENAFTEVLTQIYHVVSRKALEIGDDPAALPKGQTINVGNKDDVSAVKKVGCCSV >DRNTG_20523.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001125.1:60902:64163:1 gene:DRNTG_20523 transcript:DRNTG_20523.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRPDDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVSTEDAKAFAERENTFFMETSALESMNVENAFTEVLTQIYHVVSRKALEIGDDPAALPKGQTINVGNKDDVSAVKKVGCCSV >DRNTG_20523.9 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001125.1:61012:64114:1 gene:DRNTG_20523 transcript:DRNTG_20523.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRPDDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVSTEDAKAFAERENTFFMETSALESMNVENAFTEVLTQIYHVVSRKALEIGDDPAALPKGQTINVGNKDDVSAVKKVGCCSV >DRNTG_20523.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001125.1:60961:64114:1 gene:DRNTG_20523 transcript:DRNTG_20523.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRPDDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVSTEDAKAFAERENTFFMETSALESMNVENAFTEVLTQIYHVVSRKALEIGDDPAALPKGQTINVGNKDDVSAVKKVGCCSV >DRNTG_20523.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001125.1:61012:64589:1 gene:DRNTG_20523 transcript:DRNTG_20523.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRPDDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVSTEDAKAFAERENTFFMETSALESMNVENAFTEVLTQIYHVVSRKALEIGDDPAALPKGQTINVGNKDDVSAVKKVGCCSV >DRNTG_20523.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001125.1:60923:64114:1 gene:DRNTG_20523 transcript:DRNTG_20523.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRPDDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVSTEDAKAFAERENTFFMETSALESMNVENAFTEVLTQIYHVVSRKALEIGDDPAALPKGQTINVGNKDDVSAVKKVGCCSV >DRNTG_03673.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13730384:13731379:-1 gene:DRNTG_03673 transcript:DRNTG_03673.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKRNPQINRGIVSRSFGASSSSHQGLFGSRPPPPPPNHSSLPSSFPSSSHPLLPLPTPEPAIGIPIKPREAPQQHQKKPRPAAKRDDRWKREPAPQKPIEKAEGDGSAAVFDGSLYSVSPPPSSLPLPRFSITKPKAVPAQPVASCVAEAIVVGAGAGADDGATASDDLRRLLRL >DRNTG_03673.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13730384:13731399:-1 gene:DRNTG_03673 transcript:DRNTG_03673.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKRNPQINRGIVSRSFGASSSSHQGLFGSRPPPPPPNHSSLPSSFPSSSHPLLPLPTPEPAIGIPIKPREAPQQHQKKPRPAAKRDDRWKREPAPQKPIEKAEGDGSAAVFDGSLYSVSPPPSSLPLPRFSITKPKAVPAQPVASCVAEAIVVGAGAGADDGATASDDLRRLLRL >DRNTG_03673.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13730384:13731601:-1 gene:DRNTG_03673 transcript:DRNTG_03673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKRNPQINRGIVSRSFGASSSSHQGLFGSRPPPPPPNHSSLPSSFPSSSHPLLPLPTPEPAIGIPIKPREAPQQHQKKPRPAAKRDDRWKREPAPQKPIEKAEGDGSAAVFDGSLYSVSPPPSSLPLPRFSITKPKAVPAQPVASCVAEAIVVGAGAGADDGATASDDLRRLLRL >DRNTG_02414.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000113.1:210905:217145:-1 gene:DRNTG_02414 transcript:DRNTG_02414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPMSRAVKSVSTERKTVQERSEAGEAPPIEYIVKEAQRPADASPLLTSPLPVVHLGQPDEAEENGVIDHGVPPSFLDELRDIARAFFSLPMEEKQKYSNIRDGQFGKEGYGNDEIIAEGQILDWTDRLFLLVQPGDARKLELWPTNPNSLRYVLHEYTMKTKKLVENVLKTAAKSLELNEDFFVSHLGDKFPMFARFNYYPCCLKPDLVFGLKPHSDGPLITVILPEKDVEGLQVLKDGEWIKVTTSPDAFIFNIGDQMEIMSNGIFKSPVHRVVTFSDKDRISIAMFCANLPEKVIGPADELVNDMRPRMYKNLKVKYYFEVFSQMFLQGKRAIDWAHV >DRNTG_33486.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18052115:18053607:-1 gene:DRNTG_33486 transcript:DRNTG_33486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNLKGFRRLLLKEIWIHHQGEEDRSCQAHIGGARGRFLGVFRPSSSSAIEKGVLCLHSFSLYVNTISKNRSKCRFHQGLNQNIRQLVDSVVYGSLGSKTLKVGKQLIEDATP >DRNTG_32980.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20987787:20988675:1 gene:DRNTG_32980 transcript:DRNTG_32980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKTMALKLLIDTKANRVLFAEAGKEVVDFLFGLLALPLGSIVKLLSKDQMLGSIGSLYSSLENLDSTYIQPNQDKDILLSPQLQQQSQEVNILLLSAPNPPKVEEYYGCCRSNFCNSLCFESVTKVCGTQCPSCPGRMEKVLRFVHPEPGMASENDEGEGGYVKGVVTYTIMDDLSVTPMSTISCITLLSKFNVTNVNVLKEKNVNLGMQEALELLKASFKSKTVLTDVFLASKL >DRNTG_06496.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21863067:21866353:1 gene:DRNTG_06496 transcript:DRNTG_06496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLPQDDFSLEPELSSAILNLAFSLYLKGTVWDFTKVTVSSQPKSSLVDRPYGRNVVETSKSEAPIRHKREELGRRLVDRGGTIEGDLVPPNFVCKMSLQGCQSS >DRNTG_16413.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28897222:28899036:1 gene:DRNTG_16413 transcript:DRNTG_16413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQESKKEYIVQTMNTIIFTTIILIILIKLLIFSKTQNKNKIKKPPSPTSLPILGHLHLLINNKQPLYKTLTQLSINHGPIMLLRFGFRHVLIVSSFSAATECFTNLDIIFANRPKLPTEGHLTYNHTTLGTSSYGPHWRNIRRIVAVHLFSSAKLNSSSIIRASETHALVRRLFKGGSEFKRVNIRTVLHEIVLNVMMMLVAGKRYYVDEGVGADVDEVKWFEEMVEETFKLSGMSNVRDFLPELLRVVDVHGVEKKLARLEKMWDEYLQRLIDELRGKLGNGNHDNESIIRMTLIGTLLSMQEDEDPDYYTDNLIKAQVLSLLSAGTDTSSATIEWGLSLLVNHPQVLKKVQDEIDELVGHDRLIKEFDIPNLPYLHCIVLEILRLYPAAPLLLPHESSQECKVFGFDIPRGTILVVNAYAMHRDPEIWEDALVFKPERFLGGEEKVKKMMPFGMGRRRSPGEGLSFRLVELVLGALVQCFEWERVEDEEVSMEGGAGLDMHKAMALELMCKPRPDLIAVISGL >DRNTG_32998.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19941358:19952124:1 gene:DRNTG_32998 transcript:DRNTG_32998.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMTGLSTTSPVDSILDKENYTLEELLDEDEIIQECKALNTRLINFLRDRAQVEQLIRYIVEEAPEDAEKKRIFKFPFIACEIFTCEVDIILRTLVEDDELMNLLFSFLKPDCPHSNLLAGYFSKVVICLMIRKTAHLMNFVQGHQEIFRQLVDLIGITSIMEVLIRLIGADENMYSNYMDAMQWLEDTDVLEMIADKFSSSDSPEVHANAAEILCAITRYAPPGLASKICSPSFVGRLFRHALEDSRPKSVLVNSLSVCISLLDPKRLLSASNHLFRSQLSHGSLVTASPETVDGMLGSLGDLLKLLDVSSAESTLLTTYGKLQPPLGKHRLKIVEFISVLLTIGSEAAERELVQLGAIKCVFDLFFAFPFNNFLHHHVENIVISCLESKREFLIEHLLYDCNIVSKILTTDKNPTLSVDTTKPTVLADGRTPPRVGNVGHITRIANKLIQLGNKSSIIQTHLQENAEWVEWHTDVLLKRNAGENVYQWACGRPTALQDRGRDSDDDDFRDRDYDVAALANNLSQAFQYGIYNSDDIEEAQGSLGRDDEDVYFDDESAEVVISSLRLGDDQESSSLFTNSNWFAFGDDRPASDEPSSGPLPSPSSDEVSKVGVGENNDMMDTATPFEPLNAVTSMEAVGSQISENGPTEILDVGKPPEWVEWRETLDLGELPDANPAPPLPNGGLKPEEEVTQATNGVVSSECKDEDASGSPGSISAAIENSPETSEAKASHNPPESVVPDEEDNGTSEPQIDQVVDREHEPKGL >DRNTG_32998.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19941358:19952124:1 gene:DRNTG_32998 transcript:DRNTG_32998.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMTGLSTTSPVDSILDKENYTLEELLDEDEIIQECKALNTRLINFLRDRAQVEQLIRYIVEEAPEDAEKKRIFKFPFIACEIFTCEVDIILRTLVEDDELMNLLFSFLKPDCPHSNLLAGYFSKVVICLMIRKTAHLMNFVQGHQEIFRQLVDLIGITSIMEVLIRLIGADENMYSNYMDAMQWLEDTDVLEMIADKFSSSDSPEVHANAAEILCAITRYAPPGLASKICSPSFVGRLFRHALEDSRPKSVLVNSLSVCISLLDPKRLLSASNHLFRSQLSHGSLVTASPETVDGMLGSLGDLLKLLDVSSAESTLLTTYGKLQPPLGKHRLKIVEFISVLLTIGSEAAERELVQLGAIKCVFDLFFAFPFNNFLHHHVENIVISCLESKREFLIEHLLYDCNIVSKILTTDKNPTLSVDTTKPTVLADGRTPPRVGNVGHITRIANKLIQLGNKSSIIQTHLQENAEWVEWHTDVLLKRNAGENVYQWACGRPTALQDRGRDSDDDDFRDRDYDVAALANNLSQAFQYGIYNSDDIEEAQGSLGRDDEDVYFDDESAEVVISSLRLGDDQESSSLFTNSNWFAFGDDRPASDEPSSGPLPSPSSDEVSKVGVGENNDMMDTATPFEPLNAVTSMEAVGSQISENGPTEILDVGKPPEWVEWRETLDLGELPDANPAPPLPNGGLKPEEEVTQATNGVVSSECKDEDASGSPGSISAAIENSPETSEAKASHNPPESVVPDEEDNGTSEPQIDQVVDREHEPKGL >DRNTG_32998.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19941358:19952124:1 gene:DRNTG_32998 transcript:DRNTG_32998.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMTGLSTTSPVDSILDKENYTLEELLDEDEIIQECKALNTRLINFLRDRAQVEQLIRYIVEEAPEDAEKKRIFKFPFIACEIFTCEVDIILRTLVEDDELMNLLFSFLKPDCPHSNLLAGYFSKVVICLMIRKTAHLMNFVQGHQEIFRQLVDLIGITSIMEVLIRLIGADENMYSNYMDAMQWLEDTDVLEMIADKFSSSDSPEVHANAAEILCAITRYAPPGLASKICSPSFVGRLFRHALEDSRPKSVLVNSLSVCISLLDPKRLLSASNHLFRSQLSHGSLVTASPETVDGMLGSLGDLLKLLDVSSAESTLLTTYGKLQPPLGKHRLKIVEFISVLLTIGSEAAERELVQLGAIKCVFDLFFAFPFNNFLHHHVENIVISCLESKREFLIEHLLYDCNIVSKILTTDKNPTLSVDTTKPTVLADGRTPPRVGNVGHITRIANKLIQLGNKSSIIQTHLQENAEWVEWHTDVLLKRNAGENVYQWACGRPTALQDRGRDSDDDDFRDRDYDVAALANNLSQAFQYGIYNSDDIEEAQGSLGRDDEDVYFDDESAEVVISSLRLGDDQESSSLFTNSNWFAFGDDRPASDEPSSGPLPSPSSDEVSKVGVGENNDMMDTATPFEPLNAVTSMEAVGSQISENGPTEILDVGKPPEWVEWRETLDLGELPDANPAPPLPNGGLKPEEEVTQATNGVVSSECKDEDASGSPGSISAAIENSPETSEAKASHNPPESVVPDEEDNGTSEPQIDQVVDREHEPKGL >DRNTG_32079.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:78435:88626:1 gene:DRNTG_32079 transcript:DRNTG_32079.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ILITYHIA [Source:Projected from Arabidopsis thaliana (AT1G64790) UniProtKB/Swiss-Prot;Acc:F4I893] MQVLCSISLTNFCCRNSAKSREGALLAFECLCEKLGRLFEPYVIQMLPLLLVSFSDQVAAVREAAECAARAMMSKMTGYGVKLILPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQSAGQTSLQQVGSVIKNPEISALVPTLLMALTDPNEHTKHSLDILLQTTFINSIDAPSLALLVPIVHRGLRERSADTKKKAAQIVGNMCSLVTEPKDMVPYIGLLLPEVKKVLVDPIPEVRSVAARALGSLIRGMGEENFPELVPWLLETLKSDNSNVERSGAAQGLSEVLAALGKEYFDHILPDIIRNCSHQRASVRDGHLTLFKYLPRSQGIMFQNYLQQVLPAILDGLADENESVRDAALSAGHVFVEHYATTSLPLLLPAVEDGIFNDNWRIRQSSVELLGDLLFKVAGTSGKALLEGGSDDEGASTEAHGRAIIEVLGRDKRNEVLAALYMVRTDVSLSVRQAALHVWKTIVANTPKTLKEIMPVLMNTLISSLASTSSERRQVAGRSLGELVRKLGERVLPSIIPILAKGLKDPNMSRRQGVCIGLSEVMASAGKHQLLNFMEQLIPTIRTALCDSSAEVRESAGVAFSTLYKTAGMQAIDEIVPTLLRSLEDDKTSETALDGLKQILSVRTAAVLPHILPKLVHPPISAFNAHALGALAEVAGAGLNSHVGTILPALLIAMGEDDVNLRNSAKKAAETVVLVIDEEGVESLIAELLKGAGDGQALMRRGSAYLIGYLFRNSKLYLVDEAPDMISTLIGLLSDTDSASVMAAWEALGRVVGSVPKEVLPSYIKVVRDAVSTARDKERRKRKGGSIRIPGLCLPKALQPLLPIFLQGLISGSAETKEQAAEGLGELIDVTSEQTLKDFVVPITGPLIRILGDRFAWQVKAAILSTLSILISKGGITLKPFLPQLQTTFIKCLQDNARTVRTSSALALGKLSALSTRVDPLAGDLLSALQASDGGVREAVLTALKGVVKHAGKGVSSAVRSRLCIVLKGLLQLDDEGVQISTAKVMGTLSQYMDDSELLDLLQTLSDWSASPLWYIRHGAMLMFASMAVHSASKIGQSSMFPSLINHLRDALKDDKFPIRETATKVLGRLLLCQVQTQANANASAEILQLLLSAVKDESSEVRKRSLSCLRSVAKVNTSFVTNNLLILGPAIADCLKDGNTPVRLAAERCALHVFQLTKGADHVQAAQKYITGLDARRLSKFSENSDDSGNSDDDAANT >DRNTG_32079.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:77561:88626:1 gene:DRNTG_32079 transcript:DRNTG_32079.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ILITYHIA [Source:Projected from Arabidopsis thaliana (AT1G64790) UniProtKB/Swiss-Prot;Acc:F4I893] MKSDKYGERRGAAFGLAGVVKGFGISCLKKYGITTVLREGLEDRNSAKSREGALLAFECLCEKLGRLFEPYVIQMLPLLLVSFSDQVAAVREAAECAARAMMSKMTGYGVKLILPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQSAGQTSLQQVGSVIKNPEISALVPTLLMALTDPNEHTKHSLDILLQTTFINSIDAPSLALLVPIVHRGLRERSADTKKKAAQIVGNMCSLVTEPKDMVPYIGLLLPEVKKVLVDPIPEVRSVAARALGSLIRGMGEENFPELVPWLLETLKSDNSNVERSGAAQGLSEVLAALGKEYFDHILPDIIRNCSHQRASVRDGHLTLFKYLPRSQGIMFQNYLQQVLPAILDGLADENESVRDAALSAGHVFVEHYATTSLPLLLPAVEDGIFNDNWRIRQSSVELLGDLLFKVAGTSGKALLEGGSDDEGASTEAHGRAIIEVLGRDKRNEVLAALYMVRTDVSLSVRQAALHVWKTIVANTPKTLKEIMPVLMNTLISSLASTSSERRQVAGRSLGELVRKLGERVLPSIIPILAKGLKDPNMSRRQGVCIGLSEVMASAGKHQLLNFMEQLIPTIRTALCDSSAEVRESAGVAFSTLYKTAGMQAIDEIVPTLLRSLEDDKTSETALDGLKQILSVRTAAVLPHILPKLVHPPISAFNAHALGALAEVAGAGLNSHVGTILPALLIAMGEDDVNLRNSAKKAAETVVLVIDEEGVESLIAELLKGAGDGQALMRRGSAYLIGYLFRNSKLYLVDEAPDMISTLIGLLSDTDSASVMAAWEALGRVVGSVPKEVLPSYIKVVRDAVSTARDKERRKRKGGSIRIPGLCLPKALQPLLPIFLQGLISGSAETKEQAAEGLGELIDVTSEQTLKDFVVPITGPLIRILGDRFAWQVKAAILSTLSILISKGGITLKPFLPQLQTTFIKCLQDNARTVRTSSALALGKLSALSTRVDPLAGDLLSALQASDGGVREAVLTALKGVVKHAGKGVSSAVRSRLCIVLKGLLQLDDEGVQISTAKVMGTLSQYMDDSELLDLLQTLSDWSASPLWYIRHGAMLMFASMAVHSASKIGQSSMFPSLINHLRDALKDDKFPIRETATKVLGRLLLCQVQTQANANASAEILQLLLSAVKDESSEVRKRSLSCLRSVAKVNTSFVTNNLLILGPAIADCLKDGNTPVRLAAERCALHVFQLTKGADHVQAAQKYITGLDARRLSKFSENSDDSGNSDDDAANT >DRNTG_32079.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:66368:88626:1 gene:DRNTG_32079 transcript:DRNTG_32079.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ILITYHIA [Source:Projected from Arabidopsis thaliana (AT1G64790) UniProtKB/Swiss-Prot;Acc:F4I893] MDVLRAAAEEVSTSSTKLRIQIFRQRLTPILQDTSDLSDGVASLLVDIIFQTLPIYDDRHSTIAVDDLIVKGLGEAIFMKYFATSLIQSMEKHVKIYSPVGCYKLLKWSFLLLRWSQFASASKNGFSRLVCAQASLCQILMQGSYHLRGACKKLFVHLFTEFPNMYKAYIEELKDTRIPGRDGAHLIGILVDFSTTNTSLLDEYKLIFLEFYIKVVLNSKEKPSIALSEAFRPLFTLIGHEDFKTLLVPASLKMLKRNPELVMESIADLLESVNLDLSKYAIEFISILLPQARHADEERRVKALTVIGCLSQKSSDPDAVSAIFNAIKAILGGSEGKLTLPSQRTGMVYALRELSRNPCGKSLSKLVPSITSFLLSFYKDDGSEEVKLAVLSALASWVSKTAEAVQADLVSFIGAGLKEKETLRKGHLKCLQIVCKNSESLTRVSSLLDPLVQLVKSGFTKASQRLDGIYALYAVAKIASADPRAEEVLQKEKVWMVIAQNESTFIPLNMVLKLPIEDCLACIDLLEVLLIEHVNRVLDTLSVKSMFQLLLYMLCHPSWDVRRVARETTRKISSASSILLEDLFLEFSSWLSVLGERIFLSKASDMDGAFDAQVPFLPSTEVLVKCLFLIAPAALASSQRICSRLILCSHHPCIASTGRRRTVWKRLQRNLLRSGHDIIDIIAAKVDTICKDLIGPLGLMSPNPMEQCAALSSLSTLMSITPDNLFLAFEKHFNKLPECFLHDTLSENDIKIFFTPEGQLSSEQGVYVAEAVVAKNTKLPKGRFRLYDDQDSMDGVTSSLPTKDQNKRESTGLGKKDTNKATKKPEKAKTAKEEARELLLKEESIIHERVSLLQKNLSIMLKALGEMAIANPVFTHGQLPSMVKYVDPLLSSAIVSDAAYGTMLQLSRCLAPPLCNWAPEIAAALRIISTKDVNMVCEMIPPVVDGEVSKKPPLGLFEQVVRGLSISCKSGPLPADSFTFIFPILGQILLSSKKTALHDDVLQILSLHLDPILPLPRSQMLSVLYHVLGVVPAYQSLVGPMLNELCLGLQADEVAQALSGIYAKDVHVRLACLNAIKCIPAVAGHSLPQNLEVGTHIWIALHDPDKAVVEVAEDIWDRYGSDFGTDYSGLFNVLSHTNFNVRMAAGEALAAALDENPETVQETLSTLFSLYIRDLGSGMDVADSCWLGRQGIALALLSASDVLRTKDLPVVMTFLISRALADPNLDVRSRMINAGIMIIDKHGKENVPLLFPIFESYLQKKASDEEKYDLVREGVVIFTGALAKHLSKDDPKIHAVVEKLLDVLNTPSEAVQRAVSDCLSPLMISKKANGQTLVSGLLNRLMKSDKYGERRGAAFGLAGVVKGFGISCLKKYGITTVLREGLEDRNSAKSREGALLAFECLCEKLGRLFEPYVIQMLPLLLVSFSDQVAAVREAAECAARAMMSKMTGYGVKLILPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQSAGQTSLQQVGSVIKNPEISALVPTLLMALTDPNEHTKHSLDILLQTTFINSIDAPSLALLVPIVHRGLRERSADTKKKAAQIVGNMCSLVTEPKDMVPYIGLLLPEVKKVLVDPIPEVRSVAARALGSLIRGMGEENFPELVPWLLETLKSDNSNVERSGAAQGLSEVLAALGKEYFDHILPDIIRNCSHQRASVRDGHLTLFKYLPRSQGIMFQNYLQQVLPAILDGLADENESVRDAALSAGHVFVEHYATTSLPLLLPAVEDGIFNDNWRIRQSSVELLGDLLFKVAGTSGKALLEGGSDDEGASTEAHGRAIIEVLGRDKRNEVLAALYMVRTDVSLSVRQAALHVWKTIVANTPKTLKEIMPVLMNTLISSLASTSSERRQVAGRSLGELVRKLGERVLPSIIPILAKGLKDPNMSRRQGVCIGLSEVMASAGKHQLLNFMEQLIPTIRTALCDSSAEVRESAGVAFSTLYKTAGMQAIDEIVPTLLRSLEDDKTSETALDGLKQILSVRTAAVLPHILPKLVHPPISAFNAHALGALAEVAGAGLNSHVGTILPALLIAMGEDDVNLRNSAKKAAETVVLVIDEEGVESLIAELLKGAGDGQALMRRGSAYLIGYLFRNSKLYLVDEAPDMISTLIGLLSDTDSASVMAAWEALGRVVGSVPKEVLPSYIKVVRDAVSTARDKERRKRKGGSIRIPGLCLPKALQPLLPIFLQGLISGSAETKEQAAEGLGELIDVTSEQTLKDFVVPITGPLIRILGDRFAWQVKAAILSTLSILISKGGITLKPFLPQLQTTFIKCLQDNARTVRTSSALALGKLSALSTRVDPLAGDLLSALQASDGGVREAVLTALKGVVKHAGKGVSSAVRSRLCIVLKGLLQLDDEGVQISTAKVMGTLSQYMDDSELLDLLQTLSDWSASPLWYIRHGAMLMFASMAVHSASKIGQSSMFPSLINHLRDALKDDKFPIRETATKVLGRLLLCQVQTQANANASAEILQLLLSAVKDESSEVRKRSLSCLRSVAKVNTSFVTNNLLILGPAIADCLKDGNTPVRLAAERCALHVFQLTKGADHVQAAQKYITGLDARRLSKFSENSDDSGNSDDDAANT >DRNTG_34180.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7047758:7048246:1 gene:DRNTG_34180 transcript:DRNTG_34180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLHGNHLKQLRDIFTRFDMDSDGSLTLLELAALLRSLGLKPSGDQLHSLLSNMDSNGNGSIEFDELADAIAPMMSDEALVNQAQLVEVFRSFDRDGNGFISAAELARSMARMGQPLSFLELTEMMREADTDGDGVISFNEFAAVMAKSATDFLGLAAVS >DRNTG_12765.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:16771362:16772320:1 gene:DRNTG_12765 transcript:DRNTG_12765.1 gene_biotype:protein_coding transcript_biotype:protein_coding RIPRSKCTALLTQPSQNSSIQRGSESTLVITESTTPKLQISVTQLM >DRNTG_03956.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10086161:10086505:-1 gene:DRNTG_03956 transcript:DRNTG_03956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNTSKTTKYHGFEINKHNTQHSPNITWSRKLSKPSPWPWLGSEPPRYSCP >DRNTG_23989.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5907404:5929924:-1 gene:DRNTG_23989 transcript:DRNTG_23989.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIMQRILIHLGHIVTEYIRHQCQYARLGVIFSGPYIMRLAMGTGLLATIHGDEKTSMPAPLSLEMMRLMGMVRMVRMGVYDLVLPVPKIAEEEGNDAEAFHLAPEPQSAPMETEAPRVTEAPPPVTLGMIRTEKTYN >DRNTG_18516.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:13325680:13326836:-1 gene:DRNTG_18516 transcript:DRNTG_18516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVWASDDALMQPFSPGRLARKKVVANVGSSRSRMPLSNFKFNLHMTGLLQGLIIEAKFNRLTAFAWVACGLGTNVFSCQTSRDSRRLGSSRLIRFATGQPLGLARSSRFAITSLCGTARKRSILIVFSSVMLSSVMISSLAVAEVYRSVIDQLGVKISMLRAARHAVAWMSVCRSVGVSDIRVSMRLRGAGDLDHITPYHNHKGMIRQMLLDACSPDWGFIERLCEDIQSKLDEGSLGIVEHTLLREWLVALLAYEEWFCRARLVLITL >DRNTG_25682.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:961177:963316:-1 gene:DRNTG_25682 transcript:DRNTG_25682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVATRRKGRDSRQIEIFDLESETFLQVTELINPKLHHYNPFFSHDSTRLGYHRFRGESAPGDSVTPHLQPVTSPVKSLKMLRLSATFPAFSPDGDLIAINGNLTDGPGLMLLKSDGSKGWTLLKDSMAFYTTWSPTEKGVIYTSLGPIFESVKATVQIARIKFNLDDLVDGRNEVPVEVKILTRGETGNNAFPSCSPDGKFLVFRSGRTGQKNLYIVDAVEGDTAVNRR >DRNTG_08078.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1839956:1840932:-1 gene:DRNTG_08078 transcript:DRNTG_08078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNNNMKKDLVMRRCEPMSIGSDRACARRRSAQYAECQKNHAANVGGYAVDGCREFMADGEEGSSSALRCAACGCHRNFHRRVQEHNRSIDCSIRQ >DRNTG_27067.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2755053:2755724:-1 gene:DRNTG_27067 transcript:DRNTG_27067.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQTKTNSNSSANPCLKIFGFNVSEDSDVDHHHHHQATPEAGSPSSTTTTAGEQRKFECQYCYREFANSQALGGHQNAHKKERQQLKRAQMAAHHTATAHRSPPGTLYPRNPMVSAFAPPPHLFPVDPTAPSWIYFSHAAAPAIHVSHGCAMPSAVRAPQQFSFSPSDEIDSAMDLRTRPGQWNSISGFGGDSGHVEAGGQPGGSDDGFGLDLHLSLAPAGS >DRNTG_27580.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6647903:6658806:-1 gene:DRNTG_27580 transcript:DRNTG_27580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHYVREWVSYRGQTLSRTVRGMMYYRQALELQGFLDVEQNQDKPRGHGSLSPEEMHHTHLGIARRAQAVADLKFTYVVSCQVYGMQKKSSEPKDRSCYQNILNLMLMHPSLRVAYIDERDETIGGKQEKVFYSVLIKGGENRDEEIYRIKLPGPPTAIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEAFKIRNVLQEFLAHHGQSKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRIFHITRGGISKASKTINLSEDIFSGFNSTLRGGNVTHHEYMQVGKGRDVGMNQISLFEAKVANGNGEQTLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLEKSILEDPSIQQNKSLENALASQSVFQLGLLLVLPMVMEVGLEKGFRTALGEFIIMQLQLAPVFFTFQLGTKVHYYGRTILHGGSKYRATGRGFVVFHAKFADNYRLYSRSHFVKGLEMMILLIVYQVYGQSYRSSNAYLFVTFSMWFLVASWLFAPFIFNPSGFEWQKTVDDWTDWKRWMGNRGGIGISVDKSWESWWQAEHDHLRTTSIRGRILEILLALRFLIYQYGIVYHLNIAHHSKSVLVYGLSWFVMLTVLLVLKMVSVGRRRFGTDFQLMFRILKGLLFLGFVSVMTVLFVVCGLTIVDVFASILGFMPTGWSLLLIGQACRSLFRRIGFWDSIKELGRAYEYTMGLIIFMPIVVLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGKKDSSTAAN >DRNTG_27580.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6647903:6650424:-1 gene:DRNTG_27580 transcript:DRNTG_27580.8 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTNIKIFGLQVTVLTVYVFLYGRLYLVMSGLEKSILEDPSIQQNKSLENALASQSVFQLGLLLVLPMVMEVGLEKGFRTALGEFIIMQLQLAPVFFTFQLGTKVHYYGRTILHGGSKYRATGRGFVVFHAKFADNYRLYSRSHFVKGLEMMILLIVYQVYGQSYRSSNAYLFVTFSMWFLVASWLFAPFIFNPSGFEWQKTVDDWTDWKRWMGNRGGIGISVDKSWESWWQAEHDHLRTTSIRGRILEILLALRFLIYQYGIVYHLNIAHHSKSVLVYGLSWFVMLTVLLVLKMVSVGRRRFGTDFQLMFRILKGLLFLGFVSVMTVLFVVCGLTIVDVFASILGFMPTGWSLLLIGQACRSLFRRIGFWDSIKELGRAYEYTMGLIIFMPIVVLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGKKDSSTAAN >DRNTG_27580.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6660827:6674705:-1 gene:DRNTG_27580 transcript:DRNTG_27580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHYQIASVLYDLMKALIPIEKVDKKIFKFAEEVARKKVQYAPYNILPLNVSGAVPPIMELPEIKAAVNALRDIDNLPKLRIQPTENVRQRVDGSSMPGNNGTVIHDLLDWLGAIFGFQKGNVENQREHLIFLLANIDSRSRPDEEYSLLASRTVTYLMDKVFKNYRSWCHYLHIQHNLKFPNDAATQRVELLYIGLYFLIWGEASNIRFMPECLCYIFHRMAGQLCEILANVQAATGDYYHELAHHDDERFLREVVTPIYQVVRKVVDFFFWGCPLLLFFFFMLCLFFFIR >DRNTG_27580.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6647903:6677564:-1 gene:DRNTG_27580 transcript:DRNTG_27580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHYVREWVSYRGQTLSRTVRGMMYYRQALELQGFLDVEQNQDKPRGHGSLSPEEMHHTHLGIARRAQAVADLKFTYVVSCQVYGMQKKSSEPKDRSCYQNILNLMLMHPSLRVAYIDERDETIGGKQEKVFYSVLIKGGENRDEEIYRIKLPGPPTAIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEAFKIRNVLQEFLAHHGQSKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRIFHITRGGISKASKTINLSEDIFSGFNSTLRGGNVTHHEYMQVGKGRDVGMNQISLFEAKVANGNGEQTLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLEKSILEDPSIQQNKSLENALASQSVFQLGLLLVLPMVMEVGLEKGFRTALGEFIIMQLQLAPVFFTFQLGTKVHYYGRTILHGGSKYRATGRGFVVFHAKFADNYRLYSRSHFVKGLEMMILLIVYQVYGQSYRSSNAYLFVTFSMWFLVASWLFAPFIFNPSGFEWQKTVDDWTDWKRWMGNRGGIGISVDKSWESWWQAEHDHLRTTSIRGRILEILLALRFLIYQYGIVYHLNIAHHSKSVLVYGLSWFVMLTVLLVLKMVSVGRRRFGTDFQLMFRILKGLLFLGFVSVMTVLFVVCGLTIVDVFASILGFMPTGWSLLLIGQACRSLFRRIGFWDSIKELGRAYEYTMGLIIFMPIVVLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGKKDSSTAAN >DRNTG_27580.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6647903:6657203:-1 gene:DRNTG_27580 transcript:DRNTG_27580.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHYVREWVSYRGQTLSRTVRGMMYYRQALELQGFLDVEQNQDKPRGHGSLSPEEMHHTHLGIARRAQAVADLKFTYVVSCQVYGMQKKSSEPKDRSCYQNILNLMLMHPSLRVAYIDERDETIGGKQEKVFYSVLIKGGENRDEEIYRIKLPGPPTAIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEAFKIRNVLQEFLAHHGQSKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRIFHITRGGISKASKTINLSEDIFSGFNSTLRGGNVTHHEYMQVGKGRDVGMNQISLFEAKVANGNGEQTLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLEKSILEDPSIQQNKSLENALASQSVFQLGLLLVLPMVMEVGLEKGFRTALGEFIIMQLQLAPVFFTFQLGTKVHYYGRTILHGGSKYRATGRGFVVFHAKFADNYRLYSRSHFVKGLEMMILLIVYQVYGQSYRSSNAYLFVTFSMWFLVASWLFAPFIFNPSGFEWQKTVDDWTDWKRWMGNRGGIGISVDKSWESWWQAEHDHLRTTSIRGRILEILLALRFLIYQYGIVYHLNIAHHSKSVLVYGLSWFVMLTVLLVLKMVSVGRRRFGTDFQLMFRILKGLLFLGFVSVMTVLFVVCGLTIVDVFASILGFMPTGWSLLLIGQACRSLFRRIGFWDSIKELGRAYEYTMGLIIFMPIVVLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGKKDSSTAAN >DRNTG_27580.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6647903:6658513:-1 gene:DRNTG_27580 transcript:DRNTG_27580.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHYVREWVSYRGQTLSRTVRGMMYYRQALELQGFLDVEQNQDKPRGHGSLSPEEMHHTHLGIARRAQAVADLKFTYVVSCQVYGMQKKSSEPKDRSCYQNILNLMLMHPSLRVAYIDERDETIGGKQEKVFYSVLIKGGENRDEEIYRIKLPGPPTAIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEAFKIRNVLQEFLAHHGQSKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRIFHITRGGISKASKTINLSEDIFSGFNSTLRGGNVTHHEYMQVGKGRDVGMNQISLFEAKVANGNGEQTLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLEKSILEDPSIQQNKSLENALASQSVFQLGLLLVLPMVMEVGLEKGFRTALGEFIIMQLQLAPVFFTFQLGTKVHYYGRTILHGGSKYRATGRGFVVFHAKFADNYRLYSRSHFVKGLEMMILLIVYQVYGQSYRSSNAYLFVTFSMWFLVASWLFAPFIFNPSGFEWQKTVDDWTDWKRWMGNRGGIGISVDKSWESWWQAEHDHLRTTSIRGRILEILLALRFLIYQYGIVYHLNIAHHSKSVLVYGLSWFVMLTVLLVLKMVSVGRRRFGTDFQLMFRILKGLLFLGFVSVMTVLFVVCGLTIVDVFASILGFMPTGWSLLLIGQACRSLFRRIGFWDSIKELGRAYEYTMGLIIFMPIVVLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGKKDSSTAAN >DRNTG_27580.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6647903:6677564:-1 gene:DRNTG_27580 transcript:DRNTG_27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYVREWVSYRGQTLSRTVRGMMYYRQALELQGFLDVEQNQDKPRGHGSLSPEEMHHTHLGIARRAQAVADLKFTYVVSCQVYGMQKKSSEPKDRSCYQNILNLMLMHPSLRVAYIDERDETIGGKQEKVFYSVLIKGGENRDEEIYRIKLPGPPTAIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEAFKIRNVLQEFLAHHGQSKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRIFHITRGGISKASKTINLSEDIFSGFNSTLRGGNVTHHEYMQVGKGRDVGMNQISLFEAKVANGNGEQTLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLEKSILEDPSIQQNKSLENALASQSVFQLGLLLVLPMVMEVGLEKGFRTALGEFIIMQLQLAPVFFTFQLGTKVHYYGRTILHGGSKYRATGRGFVVFHAKFADNYRLYSRSHFVKGLEMMILLIVYQVYGQSYRSSNAYLFVTFSMWFLVASWLFAPFIFNPSGFEWQKTVDDWTDWKRWMGNRGGIGISVDKSWESWWQAEHDHLRTTSIRGRILEILLALRFLIYQYGIVYHLNIAHHSKSVLVYGLSWFVMLTVLLVLKMVSVGRRRFGTDFQLMFRILKGLLFLGFVSVMTVLFVVCGLTIVDVFASILGFMPTGWSLLLIGQACRSLFRRIGFWDSIKELGRAYEYTMGLIIFMPIVVLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGKKDSSTAAN >DRNTG_27580.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6647903:6655734:-1 gene:DRNTG_27580 transcript:DRNTG_27580.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHYVREWVSYRGQTLSRTVRGMMYYRQALELQGFLDVEQNQDKPRGHGSLSPEEMHHTHLGIARRAQAVADLKFTYVVSCQVYGMQKKSSEPKDRSCYQNILNLMLMHPSLRVAYIDERDETIGGKQEKVFYSVLIKGGENRDEEIYRIKLPGPPTAIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEAFKIRNVLQEFLAHHGQSKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRIFHITRGGISKASKTINLSEDIFSGFNSTLRGGNVTHHEYMQVGKGRDVGMNQISLFEAKVANGNGEQTLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLEKSILEDPSIQQNKSLENALASQSVFQLGLLLVLPMVMEVGLEKGFRTALGEFIIMQLQLAPVFFTFQLGTKVHYYGRTILHGGSKYRATGRGFVVFHAKFADNYRLYSRSHFVKGLEMMILLIVYQVYGQSYRSSNAYLFVTFSMWFLVASWLFAPFIFNPSGFEWQKTVDDWTDWKRWMGNRGGIGISVDKSWESWWQAEHDHLRTTSIRGRILEILLALRFLIYQYGIVYHLNIAHHSKSVLVYGLSWFVMLTVLLVLKMVSVGRRRFGTDFQLMFRILKGLLFLGFVSVMTVLFVVCGLTIVDVFASILGFMPTGWSLLLIGQACRSLFRRIGFWDSIKELGRAYEYTMGLIIFMPIVVLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGKKDSSTAAN >DRNTG_06856.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21343938:21344583:1 gene:DRNTG_06856 transcript:DRNTG_06856.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKYEHKPFKEHSSRQQVLVHLPPENQAASFQNFGASA >DRNTG_31631.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:813222:816349:-1 gene:DRNTG_31631 transcript:DRNTG_31631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSPASSRDLNLTFPSGSELQRRQMGPGGPGGLLRYRSAPSSLLGEAVDDFLPAARPSSPDSMLARFLSPDLHHQDKPHPQSHPAGSIPASGPMEHAGGADVVVSQQQQQQQQQQQRQQPMYYGSHGGVENCSNLVRQSSSPAGLFSHLNVDNGYNVMRSMGEGGLQQNRLNHQISFSSRQGSIMSQISEMGSESMGGSSPEESNLGSGNGGGRCYIPGYPVGTWDDNAMLTDNFSGLKRTREADGKIIAGLNQSEPQNGGLRSHVSGLTHQYSLPKTSSEMAAMEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKQTNTADMLDLAVEYIKDLQKQVKTISESRSSCTCSASKQKTYPNPSN >DRNTG_25793.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2862568:2869596:1 gene:DRNTG_25793 transcript:DRNTG_25793.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRLQRRMERIAHVLKDAERRRIQDEAVKGWVDELKDVMYDADDIIDLCMIQGTGLLQDDHHSLPAESSAAASTRVRCRNFHLLSCVCCVKFRYEIADQIKNLNVKLEEISKDKDQFNFINSSSNSSDAYVVNESSSRQSSCLPEPDIVGWDIRDATKSLVELLVCPHEQKCRLFAIIGMGGIGKTTLAQQIYNDSKIKDDFVLQSWIWVSKSFKSRADLLKEIIRNIGGSYGESTTVTELQKILYDVLHGKSLFLVLDDVWDADVWIDLIKNPIQIATTKCRVLVTTRNRNTAMKMGAIHIHNVSKLSLDFGWELLCKKLSTNSDETDMQIMKDIGMQIINKCDGLPIAIKAIAGVLITKDKNKREWENVLNSDAWAITGLSEELQGALYLSYEDLPSALKHCFLYCGLKLENRTFSSKELVPEWIAEGFIKPSGNVSMEDVAKDYYMELIGRSFLQPDEEFLDMSRCTMHDLLRGLAQALAGDECFLGDPQDAQISIKKVRRLTVSSTRESVSIQHLDCLRRVWLSTPPSLNTQEIGSLKHLRLLILNGAGIENIPDSIGDLVHLRLLNLDHTTICKLPDSLGNLINLQFLFLSGCKSLHTLPRSITKLCNLRRLFLEDTPLSYVPKGIGKLEHLNYLSGFIIGDNGIDQEEGCDLEELQMLKNLNYLQIQNLEKASGKSASVLSNKSQLKEIFLSCTPNTSEDIQQQQMDKIVQVFDELCPPSGLEKLIIGHYFGGQYPKWLTSTSISTAFLELNCLILGRCTNCSQFPQLGQLPQLSYLKIHGATAVVSIGPEFLGNGEHAKSAFPKLEYLIIWNMANWENGH >DRNTG_25793.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2862568:2869596:1 gene:DRNTG_25793 transcript:DRNTG_25793.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRLQRRMERIAHVLKDAERRRIQDEAVKGWVDELKDVMYDADDIIDLCMIQGTGLLQDDHHSLPAESSAAASTRVRCRNFHLLSCVCCVKFRYEIADQIKNLNVKLEEISKDKDQFNFINSSSNSSDAYVVNESSSRQSSCLPEPDIVGWDIRDATKSLVELLVCPHEQKCRLFAIIGMGGIGKTTLAQQIYNDSKIKDDFVLQSWIWVSKSFKSRADLLKEIIRNIGGSYGESTTVTELQKILYDVLHGKSLFLVLDDVWDADVWIDLIKNPIQIATTKCRVLVTTRNRNTAMKMGAIHIHNVSKLSLDFGWELLCKKLSTNSDETDMQIMKDIGMQIINKCDGLPIAIKAIAGVLITKDKNKREWENVLNSDAWAITGLSEELQGALYLSYEDLPSALKHCFLYCGLKLENRTFSSKELVPEWIAEGFIKPSGNVSMEDVAKDYYMELIGRSFLQPDEEFLDMSRCTMHDLLRGLAQALAGDECFLGDPQDAQISIKKVRRLTVSSTRESVSIQHLDCLRRVWLSTPPSLNTQEIGSLKHLRLLILNGAGIENIPDSIGDLVHLRLLNLDHTTICKLPDSLGNLINLQFLFLSGCKSLHTLPRSITKLCNLRRLFLEDTPLSYVPKGIGKLEHLNYLSGFIIGDNGIDQEEGCDLEELQMLKNLNYLQIQNLEKASGKSASVLSNKSQLKEIFLSCTPNTSEDIQQQQMDKIVQVFDELCPPSGLEKLIIGHYFGGQYPKWLTSTSISTAFLELNCLILGRCTNCSQFPQLGQLPQLSYLKIHGATAVVSIGPEFLGNGEHAKSAFPKLEYLIIWNMANWENGH >DRNTG_25793.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2862568:2869596:1 gene:DRNTG_25793 transcript:DRNTG_25793.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRLQRRMERIAHVLKDAERRRIQDEAVKGWVDELKDVMYDADDIIDLCMIQGTGLLQDDHHSLPAESSAAASTRVRCRNFHLLSCVCCVKFRYEIADQIKNLNVKLEEISKDKDQFNFINSSSNSSDAYVVNESSSRQSSCLPEPDIVGWDIRDATKSLVELLVCPHEQKCRLFAIIGMGGIGKTTLAQQIYNDSKIKDDFVLQSWIWVSKSFKSRADLLKEIIRNIGGSYGESTTVTELQKILYDVLHGKSLFLVLDDVWDADVWIDLIKNPIQIATTKCRVLVTTRNRNTAMKMGAIHIHNVSKLSLDFGWELLCKKLSTNSDETDMQIMKDIGMQIINKCDGLPIAIKAIAGVLITKDKNKREWENVLNSDAWAITGLSEELQGALYLSYEDLPSALKHCFLYCGLKLENRTFSSKELVPEWIAEGFIKPSGNVSMEDVAKDYYMELIGRSFLQPDEEFLDMSRCTMHDLLRGLAQALAGDECFLGDPQDAQISIKKVRRLTVSSTRESVSIQHLDCLRRVWLSTPPSLNTQEIGSLKHLRLLILNGAGIENIPDSIGDLVHLRLLNLDHTTICKLPDSLGNLINLQFLFLSGCKSLHTLPRSITKLCNLRRLFLEDTPLSYVPKGIGKLEHLNYLSGFIIGDNGIDQEEGCDLEELQMLKNLNYLQIQNLEKASGKSASVLSNKSQLKEIFLSCTPNTSEDIQQQQMDKIVQVFDELCPPSGLEKLIIGHYFGGQYPKWLTSTSISTAFLELNCLILGRCTNCSQFPQLGQLPQLSYLKIHGATAVVSIGPEFLGNGEHAKSAFPKLEYLIIWNMANWENGH >DRNTG_25793.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2862568:2869596:1 gene:DRNTG_25793 transcript:DRNTG_25793.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRLQRRMERIAHVLKDAERRRIQDEAVKGWVDELKDVMYDADDIIDLCMIQGTGLLQDDHHSLPAESSAAASTRVRCRNFHLLSCVCCVKFRYEIADQIKNLNVKLEEISKDKDQFNFINSSSNSSDAYVVNESSSRQSSCLPEPDIVGWDIRDATKSLVELLVCPHEQKCRLFAIIGMGGIGKTTLAQQIYNDSKIKDDFVLQSWIWVSKSFKSRADLLKEIIRNIGGSYGESTTVTELQKILYDVLHGKSLFLVLDDVWDADVWIDLIKNPIQIATTKCRVLVTTRNRNTAMKMGAIHIHNVSKLSLDFGWELLCKKLSTNSDETDMQIMKDIGMQIINKCDGLPIAIKAIAGVLITKDKNKREWENVLNSDAWAITGLSEELQGALYLSYEDLPSALKHCFLYCGLKLENRTFSSKELVPEWIAEGFIKPSGNVSMEDVAKDYYMELIGRSFLQPDEEFLDMSRCTMHDLLRGLAQALAGDECFLGDPQDAQISIKKVRRLTVSSTRESVSIQHLDCLRRVWLSTPPSLNTQEIGSLKHLRLLILNGAGIENIPDSIGDLVHLRLLNLDHTTICKLPDSLGNLINLQFLFLSGCKSLHTLPRSITKLCNLRRLFLEDTPLSYVPKGIGKLEHLNYLSGFIIGDNGIDQEEGCDLEELQMLKNLNYLQIQNLEKASGKSASVLSNKSQLKEIFLSCTPNTSEDIQQQQMDKIVQVFDELCPPSGLEKLIIGHYFGGQYPKWLTSTSISTAFLELNCLILGRCTNCSQFPQLGQLPQLSYLKIHGATAVVSIGPEFLGNGEHAKSAFPKLEYLIIWNMANWENGH >DRNTG_18495.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10648508:10651299:-1 gene:DRNTG_18495 transcript:DRNTG_18495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLQERMTSTKEGSITLIQAVYVPMDDLTDPASATTFANLDATTVLSRGLAAKGIYPAVDPLDSTLTMLQTGIVGEEHYKTAQAKS >DRNTG_15470.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16420278:16420564:1 gene:DRNTG_15470 transcript:DRNTG_15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDYIKITRRNRTNSKETATIQATN >DRNTG_06283.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14147650:14150653:1 gene:DRNTG_06283 transcript:DRNTG_06283.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHSGRKSYHLESVKATLAAALERATLEDVSGGTSSYQAELCQGAFSYPGQGLGRTSMPFCFLSLFLFPYQDKRGSSSPLWD >DRNTG_20146.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15904386:15915086:-1 gene:DRNTG_20146 transcript:DRNTG_20146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELGLGFPLEMGHGEQRANGDCEDGEPNGYIACIEEERIALLDIKLSLTADGHPFFQTWNISYSSDCCRWDRIQCSPMTKRVTSLDLSSDSDDGSRFSYYTVNISLFLPFKKLRSLVLFNNGNTACLPIDCFQHLVPLERLEYLDISANYFDGKTLASLAALRSLKGLALTGNGMESDSFISGIIGYWLRMNRLEHLDVSSNSLNATIVPFLAGLTSLKTLSLSDNQMQGRLPFKELSELNKLEVLDLSWNEFSGDIPSMENEWSSLKVLSLSRNKLNGTSLEDLSHNNFSGHIPSCLDNMGHVESVRSYSVEINTGITWEFHPSYKGIIEVKDYGGYLTIMEVEDIDFETKSRSYIYKGSIINYFSGLDLSCNQLVGKIPMEMGNMSWLRALNLSNNQLSGLIPDTLSRLTNIESLDLSCNMLTGSIPTQLAELYFIETVKRSLDFLSARSADLTSNSIQPLPQD >DRNTG_13526.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22024546:22028804:1 gene:DRNTG_13526 transcript:DRNTG_13526.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCSDSSQAKRVRVLELSRRLKHRGPDWSGLYQHGDCFLAHQRLAIVDPASGDQPLYNEDMNIVVTVNGEIYNHEELRKALVGHRFRTGSDCDVIAHLYEEHGENFVDMLDGMFSFVLLDTRDNSFIVARDAIGVTSLYIGWSLDGSVWISSEMKGLIDDCEHFEAFPPGHLYSSKAGGFKRWYNHPWYSESIPSAPYDPLVLRKAFEDAVIKRLMSDVPFGVLLSGGLDSSLVAAVTSRYLKETKAAKQWGAQLHSFCVGLEGSPDLKAAKEVADYLGTVHHEFHFTVQDGIDAIEDVIHHIETYDVTTIRASTPMFLMARKIKALGVKMVLSGEGSDEIFGGYLYFHKAPNKEEFHRETCHKIKALHQYDCLRANKATSAWGLEARVPFLDKEFIDVAMSIDPEWKMIKPESGRIEKWVLRKAFDDEEKPYLPKHILYRQKEQFSDGVGYSWIDGLKAHAEQHVTDKMMQNAGNIFPHNTPTTKEAYYYRMIFDRFFPQNSASLTVPGGPSVACSTAKAVEWDSQWSNNLDPSGRAALGVHESAYEPHVPAAIAAGKGANMITKKKKPRIVEVTASGLPIST >DRNTG_03084.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:409293:412200:1 gene:DRNTG_03084 transcript:DRNTG_03084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGDQSLVSANQTFEFGFFSQEGSSSRYVGIWYHKLPMQTIVWVANRELPMMDNTGMLAFDNYGNMLVVDARGCVAMFTYGFGAANGTAVTLLDTGNLVLRDANNSSSILWQSFDYPTDTLLPGMKLGMAGKRNRLLISWKSSRDPFPGVFTFGANPNGTKQLLIWWLSTVYWDTGVWNGRTFPLLDPGTNRITPWIPGEDDHFSYSVKEGYPMTRYVISISGKLQLLSWLESEKDWILLSAEPRPQCDVYNLCGDNGVCYEDRMQHCQCLEGFEPGSQAEWQSGVTKCGCIRTNSLNCGSNGTQDRFVLISNVKFPVNAKFLNGSIRDECESLCLKDCSCSAYTFMTSNITNGCRMWYGNLKNMRLMEKDTGEGEFYLRLSASGFERVRRRQEQSIAKWGAEIASKLREQGVTGPDFTLFGFPQIKDATDNFSDENKLGEGGFGSVHKGKLLEGKEVAVKRLSTCSGQGLEEFKNEIILIAKLQHRNLVRLLGCCIQWEEKILVYEYMKNKSLDYFLFARIFGTDDTQANTKRVAGTYGYMSPEYASKGVFSEKSDIYSFGVLLLEIVSGKKNAGFHQTGNSSNLLDYAWDLWREGRWMMLVDPVISHDAPCQDLSLKRCIHAALLCVQDNASDRPNISEVIVLLSNENQQWRERNPAYS >DRNTG_21369.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19308781:19313753:1 gene:DRNTG_21369 transcript:DRNTG_21369.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASACLSSIAGAFLSPFHQVVLDKLVGYLVDYLSKDVPSSSKDPNELQLLVQNQLKALENSREKIKGTLRVAKKLQKQDESVAHFLRELRDVVYAAQDLEDDLEYIELHKKFNKQLPEASTQSSPKKPRISSSQQFELSLDNILNNVRNITEQLNCIDSGLKDAIKMAMLFKELDKHVENSLGVQHHIIQKRHVTSSSMNARKIYGREKEKAQMIRMMKEPPSINSNVSVLPLLGLGGIGKTTLAQYLFNHQEVENTFHEKAWICVSHNFDRIRITREIVDSLSDHKGRKFLHSTNLDCLERELKNKLTGKRFLLVLDDVWSGEWTQLLFPFESAAIECVKIVVTARDKIVLQGKGKRNEIILKGIDENDYWSFFVSCAFGDEDPAKYPPRLHDIGKQIVQKLKGSPLAAKTVGQVLWRKLNVKHWMYVLKSNLWELGTDVNDIMPALSLSYYHLPEHLQLCFCFCSVFPKGYEFNANSIVSMWIAHGFVFEAELSSKTAEDVGHEYVTELLCRSFFEYGSSKECLKIHDLLHDLAHSVSLGECCIYEGQTPTRIPESARHLCVQSSVNLSSICELKNLRTLVIFRGELQAHELEALKNIRVLVLLDSEVKEISPSLGHLKHLRYLDLCQTRIKLLPESLCLLYQLKVLKLKKLETLPSQLGNLINLQFFVVNGQRGLPFRGPWELVFPVKKETGYKIAQLKSMKELRGTLALRKLENIQCEEDAREANLKEKCHLKGLCLFWSDAFNNSHIVEKILDSLQPHPNLKNLYIVEYMGSRTPSWFTAYSMQNLEKIGLHSCVNWKLLPTLGQLPFLKTLSLEDMNATIESIEGLNVLFPSLEILELEKASISFDGMLVTRQECRYFPRLRDLSITSCDIVCGLPWALLSVLEELKIYFSPGLDSQLPGCLQGLISLTKLVIREAKMESLPDGVMNNLKALKILDISLCDELTSVALQALSSLEYLKISGCSKFVYWQSGMQEDEAILPKLHQMHVEYCQNLECLPTWLPSVTSLEILSIDTCPLFKSLPEGGLPSSLRKLCILACDRALIDRCQEVGSQEWLKIKHIGDQKLSAFSL >DRNTG_23586.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:25342269:25348188:1 gene:DRNTG_23586 transcript:DRNTG_23586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAPWRLDHRHNQRSSSEPSMMYLGRGLYP >DRNTG_05198.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22659813:22662187:-1 gene:DRNTG_05198 transcript:DRNTG_05198.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRP5 [Source:Projected from Arabidopsis thaliana (AT1G04120) UniProtKB/TrEMBL;Acc:A0A178WGC2] MGLLGFFYSLGDLPLPDQIGLAIHASLLLLLLFFVSARRVLSCAFRRAPVFKDDRRSPVGDHPDAEHRHLFVGYWFKITVCCCFYTFLVQLVVLCYETARLIQTRVDSRSYSVLYLPSLQALAWLLLGLSAVHCRFNALPKFPFLIRLWWFLSFLLCLYTSYVHVKGLVDGSFRISSHVLANFAAAPAIVILGVVSVRGFTGLELYRDHGDLREPLLAEEEEPGCLRVTPYGDAGIFSLVSMSWLNPLLSIGAKRPLELRDVPLLAPNDRSKTSYKALNANWERMKAENPMKQPSLAVALFRAFWREAAVNAVFAGLNTLVSYVGPYLISYFVDYLSGNIAFHHEGYILAAIFFSAKLIETLTTRQWYLGVDILGMHVRSALTAMVYRKGLRLSSTARQSHTSGEIVNYMAVDVQRVGDYSWYLHDIWMLPLQIVLALAILYKNVGIAFIATLVATIISIIVTIPLAKMQEEYQDNLMGAKDERMRKTSECLKNMRILKLQAWEDRYRLKLEEMRNVEFMWLRKALYSQAFITFIFWGSPIFVAVITFATSILLGGQLTAGSVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRIAGFLLEEELQGDATIVVPHVLTSSAIEIKDGEFCWDPFSSRHTLSAIQLQVQKGMRVAVCGVVGSGKSSFLSCILGEIPKISGE >DRNTG_05198.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22654591:22657103:-1 gene:DRNTG_05198 transcript:DRNTG_05198.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRP5 [Source:Projected from Arabidopsis thaliana (AT1G04120) UniProtKB/TrEMBL;Acc:A0A178WGC2] MTKVTWQVLLLFVPMAIACWWMQKYYMASSRELVRIVSIQKSPIIHLFGESIAGAATIRGFSQEKRFMKRNLYLLDCFSRPYFYSLAAIEWLCLRMELLSTCVFAFCMALLVSFPHGTIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIHQYCQIPSEAPAVIEDSRPPPSWPNNGKIELINLKVRYKESLPMVLHGITCMFPGGKKIGIVGRTGSGKSTLIQALFRVIEPDDGKILVDDLDISTIGLHDLRSRLSIIPQDPTLFEGTIRINLDPLEEHTDHEVWQVKSFTNSMLMLCYHENPYVLTHAVYRHGLRHLINVSSAT >DRNTG_05198.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22654591:22662187:-1 gene:DRNTG_05198 transcript:DRNTG_05198.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRP5 [Source:Projected from Arabidopsis thaliana (AT1G04120) UniProtKB/TrEMBL;Acc:A0A178WGC2] MGLLGFFYSLGDLPLPDQIGLAIHASLLLLLLFFVSARRVLSCAFRRAPVFKDDRRSPVGDHPDAEHRHLFVGYWFKITVCCCFYTFLVQLVVLCYETARLIQTRVDSRSYSVLYLPSLQALAWLLLGLSAVHCRFNALPKFPFLIRLWWFLSFLLCLYTSYVHVKGLVDGSFRISSHVLANFAAAPAIVILGVVSVRGFTGLELYRDHGDLREPLLAEEEEPGCLRVTPYGDAGIFSLVSMSWLNPLLSIGAKRPLELRDVPLLAPNDRSKTSYKALNANWERMKAENPMKQPSLAVALFRAFWREAAVNAVFAGLNTLVSYVGPYLISYFVDYLSGNIAFHHEGYILAAIFFSAKLIETLTTRQWYLGVDILGMHVRSALTAMVYRKGLRLSSTARQSHTSGEIVNYMAVDVQRVGDYSWYLHDIWMLPLQIVLALAILYKNVGIAFIATLVATIISIIVTIPLAKMQEEYQDNLMGAKDERMRKTSECLKNMRILKLQAWEDRYRLKLEEMRNVEFMWLRKALYSQAFITFIFWGSPIFVAVITFATSILLGGQLTAGSVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRIAGFLLEEELQGDATIVVPHVLTSSAIEIKDGEFCWDPFSSRHTLSAIQLQVQKGMRVAVCGVVGSGKSSFLSCILGEIPKISGEVKISGSTAYVSQSAWIQSGNLEENILFGSPMDKQKYKNVLHACSLKKDLELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGTELFKEYILTALASKTVIFVTHQVEFLPAADLILVLKEGRIIQQGKYDDLLLAGTDFSVLVSAHHEAIESMDIAESSAEDSSGIIDIHDSGGISRRLTSSPSNADGMNSESLENTASSDIKAIKEKKKSKRTRKKQLVQEEERERGKVSFKVYLSYMAAAYKGTLIPLIILAQTIFQVLQIAGNWWMAWANPQTEGDKPKTSSMVLLLVYMILAFGSSFFVFVRAVLVATFGLAAAQKLFIKMLRTVFRAPMSFFDSTPAGRILNRVSVDQSVVDLDIPFRLGGFASTTIQLLGIVGVMTKVTWQVLLLFVPMAIACWWMQKYYMASSRELVRIVSIQKSPIIHLFGESIAGAATIRGFSQEKRFMKRNLYLLDCFSRPYFYSLAAIEWLCLRMELLSTCVFAFCMALLVSFPHGTIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIHQYCQIPSEAPAVIEDSRPPPSWPNNGKIELINLKVRYKESLPMVLHGITCMFPGGKKIGIVGRTGSGKSTLIQALFRVIEPDDGKILVDDLDISTIGLHDLRSRLSIIPQDPTLFEGTIRINLDPLEEHTDHEVWQALDKCQLGYVIRHKPQKLDTPVLENGDNWSVGQRQLVSLGRALLKQAKILVLDEATASVDTATDNLIQKIIRTEFKDCTVCTIAHRIPTVIDSDLVLVLSDGRVAEFDSPQRLLEDKSSMFLKLVSEYSTRSSSMSDTGYWKHDPM >DRNTG_17479.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6798561:6805369:-1 gene:DRNTG_17479 transcript:DRNTG_17479.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:EOL1 [Source:Projected from Arabidopsis thaliana (AT4G02680) UniProtKB/TrEMBL;Acc:A0A178V4A7] MRNIFQSDSCKETQLHALNPQSWLQVERGKLSKVASHASSSIESLIKVVEPAVLPLYKPIDYVEVLAQIHEELETGLLSERSNLYLLQFQVFRGLGESKLLQRSLHSAWQSATTVYEELVFGAWLKYEKQGEELISDLLASCGKCSQEFWRIDIASRFPIQTVEVVGTYNSNLSNGSATVFFQIGREKISCDRQKIASLSIPFHTMLNGCFTESLREVIDLSENGISPNSMRAVSDFSYTGQLCDLSLDVLLEILIFANKFCCEKLKDACDRKLASLVSSRQDAIELMDCALEENSPVLAVSCLQVFLRELPECLNDELVVKIFSNATKQQRLIMVGQASFSLYCLLSEVAMSIDPRSDVTACFLEKLVESAITDRQKQIAYHQLGCVRLLRKEYSEAECHFNAAFTTGHVYSVSGLARVARFKGNKRQSYEKLSSVILSYQPLGWMYQERSLYADGDSRWEDLDKATELDPTLIYPYMYRAASLMRKDDVKLALAEINRVLGFKLALECLELRFCFYLLLEDYKSALCDVQAILTLSPEYRMFEGRVAASQLRTLVREHVEQWTTADCWLQLYDRWSSVDDIGSLSVIYQMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLARQHAGSENERLVYEGWILYDTGHCEEGLRKAEESITLQRSFEAFFLKAYALADSGPDPSCSATVVSLLQEALQCPSDRLRKGQALNNLGSVYVDCGKLELAADCYISALKIRHTRAHQGLARVHFLKNERNAAYEEMTKLIEKARNNASAYEKRSEYCDRDLSKEDLQMVTQLDPLRVYPYRYRAAVLMDSHKEKEAIAELTRAIAFKADLHLLHLRAAFHEHIGDVSSALRDCRAALSLDPNHQEMLELHRRVYSQEP >DRNTG_17479.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6798561:6805369:-1 gene:DRNTG_17479 transcript:DRNTG_17479.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EOL1 [Source:Projected from Arabidopsis thaliana (AT4G02680) UniProtKB/TrEMBL;Acc:A0A178V4A7] MRNIFQSDSCKETQLHALNPQSWLQVERGKLSKVASHASSSIESLIKVVEPAVLPLYKPIDYVEVLAQIHEELETGLLSERSNLYLLQFQVFRGLGESKLLQRSLHSAWQSATTVYEELVFGAWLKYEKQGEELISDLLASCGKCSQEFWRIDIASRFPIQTVEVVGTYNSNLSNGSATVFFQIGREKISCDRQKIASLSIPFHTMLNGCFTESLREVIDLSENGISPNSMRAVSDFSYTGQLCDLSLDVLLEILIFANKFCCEKLKDACDRKLASLVSSRQDAIELMDCALEENSPVLAVSCLQVFLRELPECLNDELVVKIFSNATKQQRLIMVGQASFSLYCLLSEVAMSIDPRSDVTACFLEKLVESAITDRQKQIAYHQLGCVRLLRKEYSEAECHFNAAFTTGHVYSVSGLARVARFKGNKRQSYEKLSSVILSYQPLGWMYQERSLYADGDSRWEDLDKATELDPTLIYPYMYRAASLMRKDDVKLALAEINRVLGFKLALECLELRFCFYLLLEDYKSALCDVQAILTLSPEYRMFEGRVAASQLRTLVREHVEQWTTADCWLQLYDRWSSVDDIGSLSVIYQMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLARQHAGSENERLVYEGWILYDTGHCEEGLRKAEESITLQRSFEAFFLKAYALADSGPDPSCSATVVSLLQEALQCPSDRLRKGQALNNLGSVYVDCGKLELAADCYISALKIRHTRAHQGLARVHFLKNERNAAYEEMTKLIEKARNNASAYEKRSEYCDRDLSKEDLQMVTQLDPLRVYPYRYRAAVLMDSHKEKEAIAELTRAIAFKADLHLLHLRAAFHEHIGDVSSALRDCRAALSLDPNHQEMLELHRRVYSQEP >DRNTG_24065.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26479248:26481954:1 gene:DRNTG_24065 transcript:DRNTG_24065.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDC45 (Cell division cycle 45)-like protein [Source:Projected from Arabidopsis thaliana (AT3G25100) UniProtKB/TrEMBL;Acc:Q9LSG6] MVRECEVGKFYARMRDAVSAATSSPLLIFPSASDADSLCALKIITHVLSSDSIRYSVYPVSSFHQIAGSKFLSPDQSATLLLINWGCHRDIRRLLDLVSSESRVFVVDSHRPIHLHNLSEQNDRVIVLHTPEDEDQPTLDLSEDIDVLTLANASDLNSDDELDDSPDSDEDSESEDEGVSRKRRRVSEESESDPVKLFRKLKSKYYKMGTFHGRPSGCLMFELAHSLRKNTNELLWLACVSLTDQFVHERITDLRYETAAFDLQQQINSSGNSGSITSVTLKDGTKIQTPESSRIAFEDEPRLMLLREWNLFDSMLCSSYIATKLKTWSDNGLKKLMLLLARMGFPLVECQKKFQYMSMEVKQRLKGELIRFLPEYGLTDLYYRSFLRIHGYSSKVSAADVVYGVTALLESFIECKESSSAEQFWLAYSALSLNNLNQLKKGMQNAIEIQRAILRQGSLAVTKTGFIRSGRKFRWVKLEDPVDTKCLGYPQALTKFCFFLMDALKERGAKMKPLICACMGKEVGKVLVVGVCGKPRVGAIQGNSFGIAFRVTAEEIGAEYFHELFESSWIVLDTADVSSFMIRLTEKL >DRNTG_05990.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:24185430:24185856:-1 gene:DRNTG_05990 transcript:DRNTG_05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWKVLYSSSKDSFVRYRKRPRSSSLPTFFLMESRWSGIGQLHSGVDFYAPCRRISTWAWIISTRPCGFSVSLISRPVVNSAATVIATVLFYSLRLE >DRNTG_25616.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22052332:22055060:-1 gene:DRNTG_25616 transcript:DRNTG_25616.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNQLIPSVASNQSFSDSWVSSQILPFYPKARIRYLLVGNEILSDFSISNSTWPHLVPAMANLKRSLRSHSIHNIKLGTTLAMDSLSVSFPPSAGAFRADIATSVITPLLRFLNSSKSFYFVDVYPYFPWAANPSSIDLDYALFAGNPSKYYTDPGSGLTYTNLFDQMLDAVFAAMTRLGFGQIPIFVAETGWPNNCDIDQIGANIFNAATYNRNLARRLSHKPPIGTPARPGMVIPAMIFALYNENLKGGPGTERHWGLLYPNGTRVYPVDLTGKLPDRKYPPLPAPTNNAPYKGKIWCVVDEEKGVDEKALVAALAYACGQGNGTCEGIEKGKECFRPNTVVAHASYAFNSYWQQFRYSGGTCFFGGLAVQTTKDPSEF >DRNTG_25616.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22052332:22054111:-1 gene:DRNTG_25616 transcript:DRNTG_25616.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNQLIPSVASNQSFSDSWVSSQILPFYPKARIRYLLVGNEILSDFSISNSTWPHLVPAMANLKRSLRSHSIHNIKLGTTLAMDSLSVSFPPSAGAFRADIATSVITPLLRFLNSSKSFYFVDVYPYFPWAANPSSIDLDYALFAGNPSKYYTDPGSGLTYTNLFDQMLDAVFAAMTRLGFGQIPIFVAETGWPNNCDIDQIGANIFNAATYNRNLARRLSHKPPIGTPARPGMVIPAMIFALYNENLKGGPGTERHWGLLYPNGTRVYPVDLTGKLPDRKYPPLPAPTNNAPYKGKIWCVVDEEKGVDEKALVAALAYACGQGNGTCEGIEKGKECFRPNTVVAHASYAFNSYWQQFRYSGGTCFFGGLAVQTTKDPSEF >DRNTG_25578.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29746485:29748659:-1 gene:DRNTG_25578 transcript:DRNTG_25578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCIGGSDHRPVSMRLSSHWEAKKAKIRAELLARRERQILEEEVRRELGLEKEMMFRCCHGEREETLPMASSWDKFYDNEPDVDGFRRYQMGSLDRSTVDVGFENSSASREAKKVKIRAELLARRESQVLEEEVRRELGLEREMMFRCCHGERDDESLVPRLGFEGRHLPSFIKDNLRRSPAAALPDLMPRPAVERSVSPPEENVRALPPFPDFLPLESAEPEPSSRPSLVVVDSKPCVEPVPSPPKPVPMVTVYKPEMRLSGLSKPFVEPVSSPPKPVPMVTVYKPEIRLSGPSSVPEYKPSSNNYSGNKRKFACDLCQITTTSKESLDDHFNGKRHKALIASNNCSASTSSDTCSSAEAENLNKPKNRAEFLCKVCGLKCNSWPILHSHLNELKHWLRS >DRNTG_25578.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29746485:29748444:-1 gene:DRNTG_25578 transcript:DRNTG_25578.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFRCCHGEREETLPMASSWDKFYDNEPDVDGFRRYQMGSLDRSTVDVGFENSSASREAKKVKIRAELLARRESQVLEEEVRRELGLEREMMFRCCHGERDDESLVPRLGFEGRHLPSFIKDNLRRSPAAALPDLMPRPAVERSVSPPEENVRALPPFPDFLPLESAEPEPSSRPSLVVVDSKPCVEPVPSPPKPVPMVTVYKPEMRLSGLSKPFVEPVSSPPKPVPMVTVYKPEIRLSGPSSVPEYKPSSNNYSGNKRKFACDLCQITTTSKESLDDHFNGKRHKALIASNNCSASTSSDTCSSAEAENLNKPKNRAEFLCKVCGLKCNSWPILHSHLNELKHWLRS >DRNTG_25578.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29746485:29746978:-1 gene:DRNTG_25578 transcript:DRNTG_25578.3 gene_biotype:protein_coding transcript_biotype:protein_coding YKPSSNNYSGNKRKFACDLCQITTTSKESLDDHFNGKRHKALIASNNCSASTSSDTCSSAEAENLNKPKNRAEFLCKVCGLKCNSWPILHSHLNELKHWLRS >DRNTG_21417.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3413034:3415062:-1 gene:DRNTG_21417 transcript:DRNTG_21417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFENKWPHLFFALVVDFHAWPLPQWGPSYSSSLIFIYLKIGSKCL >DRNTG_11973.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9237083:9238722:1 gene:DRNTG_11973 transcript:DRNTG_11973.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal 5'-phosphate synthase-like subunit PDX1.2 [Source:Projected from Arabidopsis thaliana (AT3G16050) UniProtKB/Swiss-Prot;Acc:Q9ZNR6] MEDSAVVTVYGSAAAAALTEPKKSTFSVKAGLAQMLRGGVIMDVVTPEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPGLIKEIKRAVTIPVMAKARIGHFVEALILETIGVDYVDESEVLTPADDEHHINKHNFRVPFVCGCRDLGEALRRIREGAAMIRTKGEAGTGNVVEAVRHVRSVMGQIRALRNMDDDEVFAYAKKIAAPYDLVMQTKQLGRLPVVQFAAGGIATPADAALMMQLGCDGVFVGSGIFKSGDPVRRARAIVQAVTNYSDPEVLAEVSCGLGEPMVGINLNDSGVERFARRSE >DRNTG_11973.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9237083:9238267:1 gene:DRNTG_11973 transcript:DRNTG_11973.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal 5'-phosphate synthase-like subunit PDX1.2 [Source:Projected from Arabidopsis thaliana (AT3G16050) UniProtKB/Swiss-Prot;Acc:Q9ZNR6] MEDSAVVTVYGSAAAAALTEPKKSTFSVKAGLAQMLRGGVIMDVVTPEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPGLIKEIKRAVTIPVMAKARIGHFVEALILETIGVDYVDESEVLTPADDEHHINKHNFRVPFVCGCRDLGEALRRIREGAAMIRTKGEAGTGNVVEAVRHVRSVMGQIRALRNMDDDEVFAYAKKIAAPYDLVMQTKQLGRLPVVQFAAGGIATPADAALMMQLGCDGVFVGSGIFKSGDPVRRARAIVQAVTNYSDPEVLAEVSCGLGEPMVGINLNDSGVERFARRSE >DRNTG_11973.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9236976:9238267:1 gene:DRNTG_11973 transcript:DRNTG_11973.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal 5'-phosphate synthase-like subunit PDX1.2 [Source:Projected from Arabidopsis thaliana (AT3G16050) UniProtKB/Swiss-Prot;Acc:Q9ZNR6] MEDSAVVTVYGSAAAAALTEPKKSTFSVKAGLAQMLRGGVIMDVVTPEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPGLIKEIKRAVTIPVMAKARIGHFVEALILETIGVDYVDESEVLTPADDEHHINKHNFRVPFVCGCRDLGEALRRIREGAAMIRTKGEAGTGNVVEAVRHVRSVMGQIRALRNMDDDEVFAYAKKIAAPYDLVMQTKQLGRLPVVQFAAGGIATPADAALMMQLGCDGVFVGSGIFKSGDPVRRARAIVQAVTNYSDPEVLAEVSCGLGEPMVGINLNDSGVERFARRSE >DRNTG_11973.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9237083:9238722:1 gene:DRNTG_11973 transcript:DRNTG_11973.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal 5'-phosphate synthase-like subunit PDX1.2 [Source:Projected from Arabidopsis thaliana (AT3G16050) UniProtKB/Swiss-Prot;Acc:Q9ZNR6] MEDSAVVTVYGSAAAAALTEPKKSTFSVKAGLAQMLRGGVIMDVVTPEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPGLIKEIKRAVTIPVMAKARIGHFVEALILETIGVDYVDESEVLTPADDEHHINKHNFRVPFVCGCRDLGEALRRIREGAAMIRTKGEAGTGNVVEAVRHVRSVMGQIRALRNMDDDEVFAYAKKIAAPYDLVMQTKQLGRLPVVQFAAGGIATPADAALMMQLGCDGVFVGSGIFKSGDPVRRARAIVQAVTNYSDPEVLAEVSCGLGEPMVGINLNDSGVERFARRSE >DRNTG_29052.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2810421:2815707:-1 gene:DRNTG_29052 transcript:DRNTG_29052.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVSTSCGVHPPMRLNSLESAASQTTSAAFGQSESDVNDAEQTELLSVSWNQDYGCFSAGTSHGFRIYNCNPFKETFRRDLKSGGFGIVEMLFRCNILALVGGGANPQYPPNKVMIWDDHQSRCIGEFAFRSDVRGVKLRRDRIVVVLEHKIYVYNFTDLKLLNQIETLANPKGLCCLSHQSNTSVLACPGVQRGQVRVEHFGLKVTKFISAHESSIACLTLTMDGLLLATASVKGTLIRIFNTMDGTRLQEVRRGVDKAEIYSISLSPTVQWLAASSDKGTVHLFCLRVRVSGEDASTQTTSGQAPGMVHQNSSTSLDALISPNMGANASSSLSFMRGVLPKYFSSEWSFAQFHLQEVTRYIVAFGSQNTVMVVGMDGSFYRLRFDPANGGEMEQQEYVRYLNRHP >DRNTG_29052.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2810421:2815707:-1 gene:DRNTG_29052 transcript:DRNTG_29052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVSTSCGVHPPMRLNSLESAASQTTSAAFGQSESDVNDAEQTELLSVSWNQDYGCFSAGTSHGFRIYNCNPFKETFRRDLKSGGFGIVEMLFRCNILALVGGGANPQYPPNKVMIWDDHQSRCIGEFAFRSDVRGVKLRRDRIVVVLEHKIYVYNFTDLKLLNQIETLANPKGLCCLSHQSNTSVLACPGVQRGQVRVEHFGLKVTKFISAHESSIACLTLTMDGLLLATASVKGTLIRIFNTMDGTRLQEVRRGVDKAEIYSISLSPTVQWLAASSDKGTVHLFCLRVRVSGEDASTQTTSGQAPGMVHQNSSTSLDALISPNMGANASSSLSFMRGVLPKYFSSEWSFAQFHLQEVTRYIVAFGSQNTVMVVGMDGSFYRLRFDPANGGEMEQQEYVRYLNRHP >DRNTG_16459.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15375672:15376148:-1 gene:DRNTG_16459 transcript:DRNTG_16459.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLKIGDYIELVVLALSNNNISDDNLAELGSLANLQTLNLGKNSLEGEMTSQLRKLNQLIYLNFMANKLQGSILEELNELSKLVLLVLSGDQLSGPLSGKLCQNSSKLEHLLLSTNNFTGEILVRLMSCQSMK >DRNTG_18646.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6713925:6717916:-1 gene:DRNTG_18646 transcript:DRNTG_18646.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Glutamate-1-semialdehyde 2,1-aminomutase, chloroplast precursor (EC 5.4.3.8) (GSA) (Glutamate-1-semialdehyde aminotransferase) (GSA- AT) [Source: Projected from Oryza sativa (Os08g0532200)] MAAVAGSVSGFSWSSNLSARPPPPRPSRALPRGSFAVRNAVSVEKISYKLDKSEEIFNAAKELMPGGVNSPVRAFRSVGGQPIVFDSVKGSHMWDVDGNEYIDYVGSWGPAIIGHADDKVNAALIETLKKGTSFGAPCVSENVLAEMVISAVPSIEMVRFVNSGTEACMGALRLARAFTSRPKIIKFEGCYHGHADSFLVKAGSGVATLGLPDSPGVPKAATADTLTAPYNDLEAVKEHFAHHKEEIAAIFIEPVVGNAGFIVPKPEFLNGLRQITKEEGALLIFDEVMTGFRLAYGGAQEYFGITPDVTTLGKVIGGGLPVGAYGGRREIMEMVAPAGPMYQAGTLSGNPLAMTAGIHTLTRIKEPGCYEYLDKISGQLVDGILDAGKRAGHEISGGYIRGMFGIFFTAGPVYNFEDAKKSDTAKFARFYQGMLEEGVYLAPSQFEAGFTSLAHTAEDIEKTIAAADKVLRRI >DRNTG_16264.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4512070:4515082:-1 gene:DRNTG_16264 transcript:DRNTG_16264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQRRKKEIHLFYCAESEDLARKVADKSDAIHLQSISWRSFDDGFPNLFINNAHDIRGQHVAFLASFSSPGVIFEQISAIFALPKLFIASFTLVLPFFPTGSFERMEEEGDVATAFTMARILSMIPKSRGGPTSLVIYDIHALQERFYFGDDVLPCFETGIPLLPSVLRQLPDSENVSCYITIVSYKYVCC >DRNTG_15000.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23933895:23939104:-1 gene:DRNTG_15000 transcript:DRNTG_15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKTWIRGRTLGHGSFSTVSLASFPSSGHVQAVKSAEISSSGSSSLLHEQRILSSLDSLNIIGYSGFDITTEISDGKVYYNLFMEFAAGGSLSDEIKTKKGGFFNETSIKNHVFGMLSGLVDLHSAGFVHCDVKPENILIGGDGRTKLGDLGCAKKSNEDEGKRCLVRGTPMFMAPEVARGEEQGPAADVWALGCTMIEMATGRRPWPEEVSDPLVVLNRIAFSSDLPEIPAWLSDDAKDFLSKCLVRECGKRWSAEELIQHPFVSSGEVQEKKMNPNWLSSPMSTLHHEFWDSVSEVDDEQEDVLEIVRVLEMEFSDSTAAGERVEELAGSSGGWSWDDEDWMLVRSTGGDISDQLLVDDDDDDDDDDSLNSSCFFRNRNSNLVDCTEKDGGGGGGGGLKDDNDDDQWIPKLYGCHRNCRTQLLAYYGPRQING >DRNTG_03689.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21208716:21217361:1 gene:DRNTG_03689 transcript:DRNTG_03689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIICFHYYIQYHLHLQLSEAATYARKNRVVLKGDLPIGVDRNSVDTWVYPNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYAWWRARLTQMSKYFSAYRIDHILGFFRIWELPDHALTGLVGKFRPSIPLSQDELEMEGLWDFNRLSRPYLRQEILQEKFGSLWTVIASTFLNEYQKLCYEFREDCNTEKKIVEKLKTSPEKPLWTEKEEKIRKDLFDLLQNIILIRDPEDARKFYPRFNLEDTSSFKDLDEHSKNVLKRLYYDYYFCRQENLWRQNALKTLPVLLNSSDMLACGEDLGLIPACVHPVMQELGLIGLRIQRMPSEPDLEFGIPSQYSYMTVCAPSCHDCSTMRAWWEEDEERRWRYYKNVIGSDEVPPSHCDPDIAHFILQQHFEAPSMWAIFPLQDLLALKGEYTTHPAAEETINDPTNPKHYWRYRVHVTVESLLSDEDLMMAIKDLVTSSGRSFPMIEGVSTSDNSKLTSKFPLNGTEVKENTTVA >DRNTG_08244.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:73198:84087:-1 gene:DRNTG_08244 transcript:DRNTG_08244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGGKINSTSINGVKLYSISGQRSPASWLTPKKLKSLRKDKGYLQRVDLIQDFRFETATTKIKVTADGDYVVASGIYPPQVKVYELRELSLKFERHLVSEIIDFQVLSDDYSKIAFLCADRSICLHAKYGSYYSLRIPRMGRDIAYDCWSCDLFCAASYPDLYRINLEQGRFLSSLSTQSPAINVVSRSALHGLVACGGEDGSVECFDMRKKTAIGRISAAAHSGDIDQEVTSLQFEDIHGYQMAVGSSTGKILIYDMRMSNPVRIKDHMYGSPILDIKWHQTLNSAEPKMISTDCHVIRIWDPETGDNMTSIEPSTGAINDVCLFRESGLLLAALDCSQIPVYFIPALGPAPKWCSYLENLTEEMEEGSLTSIYDDYKFLTKKDIEQLNLTHLIGSNLLRAYMHGFFIDYRLYKKAQALADPFAYDAYMEKRKQEKIEAERASRITIKRKLPKVNRLLAAHLLENEETKQAEQENVGEGTIKKKKNKGLTSDILRDERFKAMFEDKDFEIDEQSAEYLSLHPLAQQATKKRPSLIEEHFEPILQDDEGNSDSEGLATSEDDFEKDKEEEKKNSKRVRLYEVRDERHAEAFMNQVSLVKEDALPLGERVAALQQQQSFTKHDVVRFGPGGSREISFVPRRCSKKQDDNEEPQDGKLRRGIRPLGLKLDKSEFLARVKHGGRGGGRGRGRGRGKVPR >DRNTG_08244.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:73198:84087:-1 gene:DRNTG_08244 transcript:DRNTG_08244.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGGKINSTSINGVKLYSISGQRSPASWLTPKKLKSLRKDKGYLQRVDLIQDFRFETATTKIKVTADGDYVVASGIYPPQVKVYELRELSLKFERHLVSEIIDFQVLSDDYSKIAFLCADRSICLHAKYGSYYSLRIPRMGRDIAYDCWSCDLFCAASYPDLYRINLEQGRFLSSLSTQSPAINVVSRSALHGLVACGGEDGSVECFDMRKKTAIGRISAAAHSGDIDQEVTSLQFEDIHGYQMAVGSSTGKILIYDMRMSNPVRIKDHMYGSPILDIKWHQTLNSAEPKMISTDCHVIRIWDPETGDNMTSIEPSTGAINDVCLFRESGLLLAALDCSQIPVYFIPALGPAPKWCSYLENLTEEMEEGSLTSIYDDYKFLTKKDIEQLNLTHLIGSNLLRAYMHGFFIDYRLYKKAQALADPFAYDAYMEKRKQEKIEAERASRITIKRKLPKVNRLLAAHLLENEETKQAEQENVGEGTIKKKKNKGLTSDILRDERFKAMFEDKDFEIDEQSAEYLSLHPLAQQATKKRPSLIEEHFEPILQDDEGNSDSEGLATSEDDFEKDKEEEKKNSKRVRLYEVRDERHAEAFMNQVSLVKEDALPLGERVAALQQQQSFTKHDVVRFGPGGSREISFVPRRCSKKQDDNEEPQDGKLRRGIRPLGLKLDKSEFLARVKHGGRGGGRGRGRGRGKVPR >DRNTG_08244.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:73198:84087:-1 gene:DRNTG_08244 transcript:DRNTG_08244.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGGKINSTSINGVKLYSISGQRSPASWLTPKKLKSLRKDKGYLQRVDLIQDFRFETATTKIKVTADGDYVVASGIYPPQVKVYELRELSLKFERHLVSEIIDFQVLSDDYSKIAFLCADRSICLHAKYGSYYSLRIPRMGRDIAYDCWSCDLFCAASYPDLYRINLEQGRFLSSLSTQSPAINVVSRSALHGLVACGGEDGSVECFDMRKKTAIGRISAAAHSGDIDQEVTSLQFEDIHGYQMAVGSSTGKILIYDMRMSNPVRIKDHMYGSPILDIKWHQTLNSAEPKMISTDCHVIRIWDPETGDNMTSIEPSTGAINDVCLFRESGLLLAALDCSQIPVYFIPALGPAPKWCSYLENLTEEMEEGSLTSIYDDYKFLTKKDIEQLNLTHLIGSNLLRAYMHGFFIDYRLYKKAQALADPFAYDAYMEKRKQEKIEAERASRITIKRKLPKVNRLLAAHLLENEETKQAEQENVGEGTIKKKKNKGLTSDILRDERFKAMFEDKDFEIDEQSAEYLSLHPLAQQATKKRPSLIEEHFEPILQDDEGNSDSEGLATSEDDFEKDKEEEKKNSKRVRLYEVRDERHAEAFMNQVSLVKEDALPLGERVAALQQQQSFTKHDVVRFGPGGSREISFVPRRCSKKQDDNEEPQDGKLRRGIRPLGLKLDKSEFLARVKHGGRGGGRGRGRGRGKVPR >DRNTG_08244.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:73198:84087:-1 gene:DRNTG_08244 transcript:DRNTG_08244.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGGKINSTSINGVKLYSISGQRSPASWLTPKKLKSLRKDKGYLQRVDLIQDFRFETATTKIKVTADGDYVVASGIYPPQVKVYELRELSLKFERHLVSEIIDFQVLSDDYSKIAFLCADRSICLHAKYGSYYSLRIPRMGRDIAYDCWSCDLFCAASYPDLYRINLEQGRFLSSLSTQSPAINVVSRSALHGLVACGGEDGSVECFDMRKKTAIGRISAAAHSGDIDQEVTSLQFEDIHGYQMAVGSSTGKILIYDMRMSNPVRIKDHMYGSPILDIKWHQTLNSAEPKMISTDCHVIRIWDPETGDNMTSIEPSTGAINDVCLFRESGLLLAALDCSQIPVYFIPALGPAPKWCSYLENLTEEMEEGSLTSIYDDYKFLTKKDIEQLNLTHLIGSNLLRAYMHGFFIDYRLYKKAQALADPFAYDAYMEKRKQEKIEAERASRITIKRKLPKVNRLLAAHLLENEETKQAEQENVGEGTIKKKKNKGLTSDILRDERFKAMFEDKDFEIDEQSAEYLSLHPLAQQATKKRPSLIEEHFEPILQDDEGNSDSEGLATSEDDFEKDKEEEKKNSKRVRLYEVRDERHAEAFMNQVSLVKEDALPLGERVAALQQQQSFTKHDVVRFGPGGSREISFVPRRCSKKQDDNEEPQDGKLRRGIRPLGLKLDKSEFLARVKHGGRGGGRGRGRGRGKVPR >DRNTG_08244.9 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:73198:84087:-1 gene:DRNTG_08244 transcript:DRNTG_08244.9 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGGKINSTSINGVKLYSISGQRSPASWLTPKKLKSLRKDKGYLQRVDLIQDFRFETATTKIKVTADGDYVVASGIYPPQVKVYELRELSLKFERHLVSEIIDFQVLSDDYSKIAFLCADRSICLHAKYGSYYSLRIPRMGRDIAYDCWSCDLFCAASYPDLYRINLEQGRFLSSLSTQSPAINVVSRSALHGLVACGGEDGSVECFDMRKKTAIGRISAAAHSGDIDQEVTSLQFEDIHGYQMAVGSSTGKILIYDMRMSNPVRIKDHMYGSPILDIKWHQTLNSAEPKMISTDCHVIRIWDPETGDNMTSIEPSTGAINDVCLFRESGLLLAALDCSQIPVYFIPALGPAPKWCSYLENLTEEMEEGSLTSIYDDYKFLTKKDIEQLNLTHLIGSNLLRAYMHGFFIDYRLYKKAQALADPFAYDAYMEKRKQEKIEAERASRITIKRKLPKVNRLLAAHLLENEETKQAEQENVGEGTIKKKKNKGLTSDILRDERFKAMFEDKDFEIDEQSAEYLSLHPLAQQATKKRPSLIEEHFEPILQDDEGNSDSEGLATSEDDFEKDKEEEKKNSKRVRLYEVRDERHAEAFMNQVSLVKEDALPLGERVAALQQQQSFTKHDVVRFGPGGSREISFVPRRCSKKQDDNEEPQDGKLRRGIRPLGLKLDKSEFLARVKHGGRGGGRGRGRGRGKVPR >DRNTG_08244.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:73198:84087:-1 gene:DRNTG_08244 transcript:DRNTG_08244.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGGKINSTSINGVKLYSISGQRSPASWLTPKKLKSLRKDKGYLQRVDLIQDFRFETATTKIKVTADGDYVVASGIYPPQVKVYELRELSLKFERHLVSEIIDFQVLSDDYSKIAFLCADRSICLHAKYGSYYSLRIPRMGRDIAYDCWSCDLFCAASYPDLYRINLEQGRFLSSLSTQSPAINVVSRSALHGLVACGGEDGSVECFDMRKKTAIGRISAAAHSGDIDQEVTSLQFEDIHGYQMAVGSSTGKILIYDMRMSNPVRIKDHMYGSPILDIKWHQTLNSAEPKMISTDCHVIRIWDPETGDNMTSIEPSTGAINDVCLFRESGLLLAALDCSQIPVYFIPALGPAPKWCSYLENLTEEMEEGSLTSIYDDYKFLTKKDIEQLNLTHLIGSNLLRAYMHGFFIDYRLYKKAQALADPFAYDAYMEKRKQEKIEAERASRITIKRKLPKVNRLLAAHLLENEETKQAEQENVGEGTIKKKKNKGLTSDILRDERFKAMFEDKDFEIDEQSAEYLSLHPLAQQATKKRPSLIEEHFEPILQDDEGNSDSEGLATSEDDFEKDKEEEKKNSKRVRLYEVRDERHAEAFMNQVSLVKEDALPLGERVAALQQQQSFTKHDVVRFGPGGSREISFVPRRCSKKQDDNEEPQDGKLRRGIRPLGLKLDKSEFLARVKHGGRGGGRGRGRGRGKVPR >DRNTG_08244.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:73198:84087:-1 gene:DRNTG_08244 transcript:DRNTG_08244.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGGKINSTSINGVKLYSISGQRSPASWLTPKKLKSLRKDKGYLQRVDLIQDFRFETATTKIKVTADGDYVVASGIYPPQVKVYELRELSLKFERHLVSEIIDFQVLSDDYSKIAFLCADRSICLHAKYGSYYSLRIPRMGRDIAYDCWSCDLFCAASYPDLYRINLEQGRFLSSLSTQSPAINVVSRSALHGLVACGGEDGSVECFDMRKKTAIGRISAAAHSGDIDQEVTSLQFEDIHGYQMAVGSSTGKILIYDMRMSNPVRIKDHMYGSPILDIKWHQTLNSAEPKMISTDCHVIRIWDPETGDNMTSIEPSTGAINDVCLFRESGLLLAALDCSQIPVYFIPALGPAPKWCSYLENLTEEMEEGSLTSIYDDYKFLTKKDIEQLNLTHLIGSNLLRAYMHGFFIDYRLYKKAQALADPFAYDAYMEKRKQEKIEAERASRITIKRKLPKVNRLLAAHLLENEETKQAEQENVGEGTIKKKKNKGLTSDILRDERFKAMFEDKDFEIDEQSAEYLSLHPLAQQATKKRPSLIEEHFEPILQDDEGNSDSEGLATSEDDFEKDKEEEKKNSKRVRLYEVRDERHAEAFMNQVSLVKEDALPLGERVAALQQQQSFTKHDVVRFGPGGSREISFVPRRCSKKQDDNEEPQDGKLRRGIRPLGLKLDKSEFLARVKHGGRGGGRGRGRGRGKVPR >DRNTG_08244.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:73198:84087:-1 gene:DRNTG_08244 transcript:DRNTG_08244.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGGKINSTSINGVKLYSISGQRSPASWLTPKKLKSLRKDKGYLQRVDLIQDFRFETATTKIKVTADGDYVVASGIYPPQVKVYELRELSLKFERHLVSEIIDFQVLSDDYSKIAFLCADRSICLHAKYGSYYSLRIPRMGRDIAYDCWSCDLFCAASYPDLYRINLEQGRFLSSLSTQSPAINVVSRSALHGLVACGGEDGSVECFDMRKKTAIGRISAAAHSGDIDQEVTSLQFEDIHGYQMAVGSSTGKILIYDMRMSNPVRIKDHMYGSPILDIKWHQTLNSAEPKMISTDCHVIRIWDPETGDNMTSIEPSTGAINDVCLFRESGLLLAALDCSQIPVYFIPALGPAPKWCSYLENLTEEMEEGSLTSIYDDYKFLTKKDIEQLNLTHLIGSNLLRAYMHGFFIDYRLYKKAQALADPFAYDAYMEKRKQEKIEAERASRITIKRKLPKVNRLLAAHLLENEETKQAEQENVGEGTIKKKKNKGLTSDILRDERFKAMFEDKDFEIDEQSAEYLSLHPLAQQATKKRPSLIEEHFEPILQDDEGNSDSEGLATSEDDFEKDKEEEKKNSKRVRLYEVRDERHAEAFMNQVSLVKEDALPLGERVAALQQQQSFTKHDVVRFGPGGSREISFVPRRCSKKQDDNEEPQDGKLRRGIRPLGLKLDKSEFLARVKHGGRGGGRGRGRGRGKVPR >DRNTG_08244.13 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:73198:84087:-1 gene:DRNTG_08244 transcript:DRNTG_08244.13 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGGKINSTSINGVKLYSISGQRSPASWLTPKKLKSLRKDKGYLQRVDLIQDFRFETATTKIKVTADGDYVVASGIYPPQVKVYELRELSLKFERHLVSEIIDFQVLSDDYSKIAFLCADRSICLHAKYGSYYSLRIPRMGRDIAYDCWSCDLFCAASYPDLYRINLEQGRFLSSLSTQSPAINVVSRSALHGLVACGGEDGSVECFDMRKKTAIGRISAAAHSGDIDQEVTSLQFEDIHGYQMAVGSSTGKILIYDMRMSNPVRIKDHMYGSPILDIKWHQTLNSAEPKMISTDCHVIRIWDPETGDNMTSIEPSTGAINDVCLFRESGLLLAALDCSQIPVYFIPALGPAPKWCSYLENLTEEMEEGSLTSIYDDYKFLTKKDIEQLNLTHLIGSNLLRAYMHGFFIDYRLYKKAQALADPFAYDAYMEKRKQEKIEAERASRITIKRKLPKVNRLLAAHLLENEETKQAEQENVGEGTIKKKKNKGLTSDILRDERFKAMFEDKDFEIDEQSAEYLSLHPLAQQATKKRPSLIEEHFEPILQDDEGNSDSEGLATSEDDFEKDKEEEKKNSKRVRLYEVRDERHAEAFMNQVSLVKEDALPLGERVAALQQQQSFTKHDVVRFGPGGSREISFVPRRCSKKQDDNEEPQDGKLRRGIRPLGLKLDKSEFLARVKHGGRGGGRGRGRGRGKVPR >DRNTG_08244.12 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:73198:84087:-1 gene:DRNTG_08244 transcript:DRNTG_08244.12 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDIAYDCWSCDLFCAASYPDLYRINLEQGRFLSSLSTQSPAINVVSRSALHGLVACGGEDGSVECFDMRKKTAIGRISAAAHSGDIDQEVTSLQFEDIHGYQMAVGSSTGKILIYDMRMSNPVRIKDHMYGSPILDIKWHQTLNSAEPKMISTDCHVIRIWDPETGDNMTSIEPSTGAINDVCLFRESGLLLAALDCSQIPVYFIPALGPAPKWCSYLENLTEEMEEGSLTSIYDDYKFLTKKDIEQLNLTHLIGSNLLRAYMHGFFIDYRLYKKAQALADPFAYDAYMEKRKQEKIEAERASRITIKRKLPKVNRLLAAHLLENEETKQAEQENVGEGTIKKKKNKGLTSDILRDERFKAMFEDKDFEIDEQSAEYLSLHPLAQQATKKRPSLIEEHFEPILQDDEGNSDSEGLATSEDDFEKDKEEEKKNSKRVRLYEVRDERHAEAFMNQVSLVKEDALPLGERVAALQQQQSFTKHDVVRFGPGGSREISFVPRRCSKKQDDNEEPQDGKLRRGIRPLGLKLDKSEFLARVKHGGRGGGRGRGRGRGKVPR >DRNTG_08244.11 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:73198:84087:-1 gene:DRNTG_08244 transcript:DRNTG_08244.11 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGGKINSTSINGVKLYSISGQRSPASWLTPKKLKSLRKDKGYLQRVDLIQDFRFETATTKIKVTADGDYVVASGIYPPQVKVYELRELSLKFERHLVSEIIDFQVLSDDYSKIAFLCADRSICLHAKYGSYYSLRIPRMGRDIAYDCWSCDLFCAASYPDLYRINLEQGRFLSSLSTQSPAINVVSRSALHGLVACGGEDGSVECFDMRKKTAIGRISAAAHSGDIDQEVTSLQFEDIHGYQMAVGSSTGKILIYDMRMSNPVRIKDHMYGSPILDIKWHQTLNSAEPKMISTDCHVIRIWDPETGDNMTSIEPSTGAINDVCLFRESGLLLAALDCSQIPVYFIPALGPAPKWCSYLENLTEEMEEGSLTSIYDDYKFLTKKDIEQLNLTHLIGSNLLRAYMHGFFIDYRLYKKAQALADPFAYDAYMEKRKQEKIEAERASRITIKRKLPKVNRLLAAHLLENEETKQAEQENVGEGTIKKKKNKGLTSDILRDERFKAMFEDKDFEIDEQSAEYLSLHPLAQQATKKRPSLIEEHFEPILQDDEGNSDSEGLATSEDDFEKDKEEEKKNSKRVRLYEVRDERHAEAFMNQVSLVKEDALPLGERVAALQQQQSFTKHDVVRFGPGGSREISFVPRRCSKKQDDNEEPQDGKLRRGIRPLGLKLDKSEFLARVKHGGRGGGRGRGRGRGKVPR >DRNTG_08244.10 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:73198:84087:-1 gene:DRNTG_08244 transcript:DRNTG_08244.10 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGGKINSTSINGVKLYSISGQRSPASWLTPKKLKSLRKDKGYLQRVDLIQDFRFETATTKIKVTADGDYVVASGIYPPQVKVYELRELSLKFERHLVSEIIDFQVLSDDYSKIAFLCADRSICLHAKYGSYYSLRIPRMGRDIAYDCWSCDLFCAASYPDLYRINLEQGRFLSSLSTQSPAINVVSRSALHGLVACGGEDGSVECFDMRKKTAIGRISAAAHSGDIDQEVTSLQFEDIHGYQMAVGSSTGKILIYDMRMSNPVRIKDHMYGSPILDIKWHQTLNSAEPKMISTDCHVIRIWDPETGDNMTSIEPSTGAINDVCLFRESGLLLAALDCSQIPVYFIPALGPAPKWCSYLENLTEEMEEGSLTSIYDDYKFLTKKDIEQLNLTHLIGSNLLRAYMHGFFIDYRLYKKAQALADPFAYDAYMEKRKQEKIEAERASRITIKRKLPKVNRLLAAHLLENEETKQAEQENVGEGTIKKKKNKGLTSDILRDERFKAMFEDKDFEIDEQSAEYLSLHPLAQQATKKRPSLIEEHFEPILQDDEGNSDSEGLATSEDDFEKDKEEEKKNSKRVRLYEVRDERHAEAFMNQVSLVKEDALPLGERVAALQQQQSFTKHDVVRFGPGGSREISFVPRRCSKKQDDNEEPQDGKLRRGIRPLGLKLDKSEFLARVKHGGRGGGRGRGRGRGKVPR >DRNTG_08244.14 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:73198:74632:-1 gene:DRNTG_08244 transcript:DRNTG_08244.14 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKQEKIEAERASRITIKRKLPKVNRLLAAHLLENEETKQAEQENVGEGTIKKKKNKGLTSDILRDERFKAMFEDKDFEIDEQSAEYLSLHPLAQQATKKRPSLIEEHFEPILQDDEGNSDSEGLATSEDDFEKDKEEEKKNSKRVRLYEVRDERHAEAFMNQVSLVKEDALPLGERVAALQQQQSFTKHDVVRFGPGGSREISFVPRRCSKKQDDNEEPQDGKLRRGIRPLGLKLDKSEFLARVKHGGRGGGRGRGRGRGKVPR >DRNTG_08244.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:73198:84087:-1 gene:DRNTG_08244 transcript:DRNTG_08244.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGGKINSTSINGVKLYSISGQRSPASWLTPKKLKSLRKDKGYLQRVDLIQDFRFETATTKIKVTADGDYVVASGIYPPQVKVYELRELSLKFERHLVSEIIDFQVLSDDYSKIAFLCADRSICLHAKYGSYYSLRIPRMGRDIAYDCWSCDLFCAASYPDLYRINLEQGRFLSSLSTQSPAINVVSRSALHGLVACGGEDGSVECFDMRKKTAIGRISAAAHSGDIDQEVTSLQFEDIHGYQMAVGSSTGKILIYDMRMSNPVRIKDHMYGSPILDIKWHQTLNSAEPKMISTDCHVIRIWDPETGDNMTSIEPSTGAINDVCLFRESGLLLAALDCSQIPVYFIPALGPAPKWCSYLENLTEEMEEGSLTSIYDDYKFLTKKDIEQLNLTHLIGSNLLRAYMHGFFIDYRLYKKAQALADPFAYDAYMEKRKQEKIEAERASRITIKRKLPKVNRLLAAHLLENEETKQAEQENVGEGTIKKKKNKGLTSDILRDERFKAMFEDKDFEIDEQSAEYLSLHPLAQQATKKRPSLIEEHFEPILQDDEGNSDSEGLATSEDDFEKDKEEEKKNSKRVRLYEVRDERHAEAFMNQVSLVKEDALPLGERVAALQQQQSFTKHDVVRFGPGGSREISFVPRRCSKKQDDNEEPQDGKLRRGIRPLGLKLDKSEFLARVKHGGRGGGRGRGRGRGKVPR >DRNTG_29081.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6802655:6803581:-1 gene:DRNTG_29081 transcript:DRNTG_29081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGPAILERYTIFAGPFVTGIIQSMGLLGCVHRLTPVRGQSFFTLHSL >DRNTG_31415.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:611591:615336:1 gene:DRNTG_31415 transcript:DRNTG_31415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGVSTVYIHVIDDVVNKVREEFINSGAGESVLNELQASWEMKMVQCGVLSGIIERQSIPRPGGAITPVHDLNMPYEGPPEEYETPTAEMLFPPTPLQTPMQTPLPGLAQTPLPGMVQTPLPGMPQTPLPGIMDQYNIRTGSSDYGSVSDDRNGLDPEAGKPSPFMQPPSPWMNQRSLGVDVNVAYVEAREELERGAAHPSMTQDFLTISGKRKRDDYASQLNSKGHIPQQDGSGDATIEFFLPETTGTPNSANHGRLNTTAKALYGQGDKTVQAVPQQDGVHDEYDDMFHYQGVASEDYNTPSEHVELRAATPVVGTPKPTKSEAVEDDEPPLNEDDDDDEDLEEDERGEDEPTTQHLVLAQFDKVSRTKSRWKCTLKDGIMHINGRDILFNKANGEFDF >DRNTG_25256.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19309938:19315994:-1 gene:DRNTG_25256 transcript:DRNTG_25256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRADMLSYNNICDPPFDSQYKAFFYDLNKVQQHLNNQDHEVKEECELPLIDLRSLKSNDKDERHTCMQVMAKASSEWGFFQVLNHEISPKLLGEIRNEQIKMFKMPFEKKATGLLSDSYRWGTPTATSVTQFSWSEAFHVPLNRIFDENFWNEEVSSLRYFS >DRNTG_24497.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18985436:18991286:-1 gene:DRNTG_24497 transcript:DRNTG_24497.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQFSILVTGKDVLNTNNEVASICTILTDNYCEHAYELLQTPNMKKLLLAGILLDTRNLDKFSKFHTHRDAEATQLLLVGSFPGLRQELFEQLIQDHRDKLFLEALKRNYGNPSCGNNDENGALQEHKVTIRKSASSSPQEGVQSLLICSVMECNLLCLSIYIVQNVGHGCDKRIE >DRNTG_24497.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18985436:18991286:-1 gene:DRNTG_24497 transcript:DRNTG_24497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQINSFLKRQRALIARISGKKVFANGNANAKILLSASSGMASSMVATIGYAWLLENMENKEGGEGVVVPVMNLKRRSMQTHRQVAWLFHYLGIDASALLFSDEVDLDGLVMSNQFSILVTGKDVLNTNNEVASICTILTDNYCEHAYELLQTPNMKKLLLAGILLDTRNLDKFSKFHTHRDAEATQLLLVGSFPGLRQELFEQLIQDHRDKLFLEALKRNYGNPSCGNNDENGALQEHKVTIRKSASSSPQEEKPKQIQVLAPIQTLPTPSPAPKPSPASAPAPAPRAKNRFFLAKWFGFGSR >DRNTG_12150.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11871536:11874295:-1 gene:DRNTG_12150 transcript:DRNTG_12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKKRDGGMEEGDDHGVYTFGQEGNNDEMTSGNSSF >DRNTG_13285.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2730834:2731486:-1 gene:DRNTG_13285 transcript:DRNTG_13285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRQGAGEEAGDGERDGDELGDGDGEGDGLGDPIEEIESFR >DRNTG_00758.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13286675:13289154:1 gene:DRNTG_00758 transcript:DRNTG_00758.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENCHGVVVASVIFGDFDKIRQPKGLGMHSLQAVCFFMFVDDSTVRGLQIHKILTEKDEKNYKVGVWRIVRLPKKSMPYENDAMNGVIVKHLIHRLFPNSKFSVWIDAKLQLSVDPLLLIHSLLISKDVDMALSKHPFNVHTVQEAMATARWNKWRDVESLRLQMKTYCKNGLQPWSPSKLPHTTDVPDTALIIRRHGLASNLFSCLLFNELEAFNPRDQLAFAYVRDLMRPKIKINMFEVEVFEHIALEYRHNLKREGLNSKESAENDQQIRMAYSGDIDVSGCKRYLLKMWGESSD >DRNTG_00758.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13286675:13289154:1 gene:DRNTG_00758 transcript:DRNTG_00758.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENCHGVVVASVIFGDFDKIRQPKGLGMHSLQAVCFFMFVDDSTVRGLQIHKILTEKDEKNYKVGVWRIVRLPKKSMPYENDAMNGVIVKHLIHRLFPNSKFSVWIDAKLQLSVDPLLLIHSLLISKDVDMALSKHPFNVHTVQEAMATARWNKWRDVESLRLQMKTYCKNGLQPWSPSKLPHTTDVPDTALIIRRHGLASNLFSCLLFNELEAFNPRDQLAFAYVRDLMRPKIKINMFEVEVFEHIALEYRHNLKREGLNSKESAENDQQIRMAYSGDIDVSGCKRYLLKMWGESSD >DRNTG_00758.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13286675:13289154:1 gene:DRNTG_00758 transcript:DRNTG_00758.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPLTKWSKWQQFRRYRETEKHKREGTERITNNQEKHDVHGGCTSNISMGGGSIKTPLLFQSKLLCLSLLYFLTTTIFSVYISSHSSCLFTSLPTSQSHLPNTLLFSYPPSYGEHKYAIPSLHPSCSSPLLFPGSRDSFAGNFSTEKRRSFFSFEDDPLVQVPCGFLKEFPVKQSDKLEMENCHGVVVASVIFGDFDKIRQPKGLGMHSLQAVCFFMFVDDSTVRGLQIHKILTEKDEKNYKVGVWRIVRLPKKSMPYENDAMNGVIVKHLIHRLFPNSKFSVWIDAKLQLSVDPLLLIHSLLISKDVDMALSKHPFNVHTVQEAMATARWNKWRDVESLRLQMKTYCKNGLQPWSPSKLPHTTDVPDTALIIRRHGLASNLFSCLLFNELEAFNPRDQLAFAYVRDLMRPKIKINMFEVEVFEHIALEYRHNLKREGLNSKESAENDQQIRMAYSGDIDVSGCKRYLLKMWGESSD >DRNTG_00758.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13286675:13289154:1 gene:DRNTG_00758 transcript:DRNTG_00758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPLTKWSKWQQFRRYRETEKHKREGTERITNNQEKHDVHGGCTSNISMGGGSIKTPLLFQSKLLCLSLLYFLTTTIFSVYISSHSSCLFTSLPTSQSHLPNTLLFSYPPSYGEHKYAIPSLHPSCSSPLLFPEYRVAVRDIHDVFKNFSLSSSSSLSYLTGSRDSFAGNFSTEKRRSFFSFEDDPLVQVPCGFLKEFPVKQSDKLEMENCHGVVVASVIFGDFDKIRQPKGLGMHSLQAVCFFMFVDDSTVRGLQIHKILTEKDEKNYKVGVWRIVRLPKKSMPYENDAMNGVIVKHLIHRLFPNSKFSVWIDAKLQLSVDPLLLIHSLLISKDVDMALSKHPFNVHTVQEAMATARWNKWRDVESLRLQMKTYCKNGLQPWSPSKLPHTTDVPDTALIIRRHGLASNLFSCLLFNELEAFNPRDQLAFAYVRDLMRPKIKINMFEVEVFEHIALEYRHNLKREGLNSKESAENDQQIRMAYSGDIDVSGCKRYLLKMWGESSD >DRNTG_32661.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18877611:18878009:1 gene:DRNTG_32661 transcript:DRNTG_32661.1 gene_biotype:protein_coding transcript_biotype:protein_coding TYAASRGRSQASTDNVVWPPLRPYFSPPPPPVTAPGSHP >DRNTG_35062.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1080772:1083904:1 gene:DRNTG_35062 transcript:DRNTG_35062.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G35790) UniProtKB/Swiss-Prot;Acc:Q43727] MATCCFRACSSLVFSASSSKPEDSISRRRMSCVLLPLQRRSFLMKSSNGHPLNAVSFQDGLSENSPIEDQIGHLSESKHNETTISITVVGASGDLARKKIFPALFALFYEDWLPEHFTVFGYARSKLTDEELRNMISGTLTCRIDKSENCGDKMEQFLQRCFYHSGQYNSEDDFAELDKKLKLKEEGRKPNRLFYLSIPPNVFVDVVRCASRHASSPTGWTRVIVEKPFGRDSDSSAELTRCLKQYLSEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDSYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRKLQLDDVVIGQYKGHTKGGKSFPGYTDDPTVPKNSLTPTFAAAALFIDNVRWDGVPFLMKAGKALHTRRAEIRVQFRHVPGNLYKRTFGTDLDKTTNELVIRVQPNEAIYLKINNKIPGLSMRLDRSNLNLLYSSRYPIEIPDAYERLLLDAIEGERRLFIRSDELDAAWAIFTPVLKELDTDKVAPELYPYGSRGPVGAHYLAAKYNVRWGDIGDEN >DRNTG_30897.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001640.1:29513:33048:-1 gene:DRNTG_30897 transcript:DRNTG_30897.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVSRVAALSFVSLPNRTLFSSPSSLFPPVRLRNSRMASFSPVRASAQKLQKPDELIDSVETFIFDCDGVIWKGDSLIDGVPQTLDMLRSKGKRLVFVTNNSTKSRKQYGKKFETLGLNVNEEEIFASSFAAAAYLKSIDFPKDKKVYVIGEDGILKELELAGFQYLGGPADGDKKIELKPGFYMEHDENVGAVVVGFDRYFNYYKVQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGAIRGSTQKEPLVVGKPSTFMMDYLSNKFGILKSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTNLQMLQSPDNNIQPDFYTNKVSDFLTLKAAAV >DRNTG_09111.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:57465:63661:1 gene:DRNTG_09111 transcript:DRNTG_09111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLPSTTLLPDAFQGTRDDITEQMGFVWQQIKAPVIIPLLRLAVVLCLIMSLMLFVEKVYMAFVIILVKLFGKKPEQRYKWEPIKDDLELGNSVYPMVLVQIPMYNEKEVYQLSIGAACGLSWPSDRIIIQVLDDSTDPAIKDLVEMECGRWASKGINIRYEIRDNRNGYKAGALKEGMKHSYVKQCDYVVIFDADFQPEPDFLWRTIPFLAQNPDLALVQARWKFVNSDECLMTRMQEMSLDYHFTVEQEVGSYKYAFFGFNGTAGVWRIAALNEAGGWKDRTTVEDMDLAVRASLKGWKFLFLGEIKVKNELPSTLKAYRYQQHRWSCGPANLFRKMALEIARNKKVSLLKKVHVIYNFFLVRKIVAHIVTFVFYCLVIPATVFSS >DRNTG_09111.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:58757:63661:1 gene:DRNTG_09111 transcript:DRNTG_09111.5 gene_biotype:protein_coding transcript_biotype:protein_coding MECGRWASKGINIRYEIRDNRNGYKAGALKEGMKHSYVKQCDYVVIFDADFQPEPDFLWRTIPFLAQNPDLALVQARWKFVNSDECLMTRMQEMSLDYHFTVEQEVGSYKYAFFGFNGTAGVWRIAALNEAGGWKDRTTVEDMDLAVRASLKGWKFLFLGEIKVKNELPSTLKAYRYQQHRWSCGPANLFRKMALEIARNKKVSLLKKVHVIYNFFLVRKIVAHIVTFVFYCLVIPATVFSS >DRNTG_09111.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:57465:63661:1 gene:DRNTG_09111 transcript:DRNTG_09111.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLPSTTLLPDAFQGTRDDITEQMGFVWQQIKAPVIIPLLRLAVVLCLIMSLMLFVEKVYMAFVIILVKLFGKKPEQRYKWEPIKDDLELGNSVYPMVLVQIPMYNEKEVYQLSIGAACGLSWPSDRIIIQVLDDSTDPAIKDLVEMECGRWASKGINIRYEIRDNRNGYKAGALKEGMKHSYVKQCDYVVIFDADFQPEPDFLWRTIPFLAQNPDLALVQARWKFVNSDECLMTRMQEMSLDYHFTVEQEVGSYKYAFFGFNGTAGVWRIAALNEAGGWKDRTTVEDMDLAVRASLKGWKFLFLGEIKVKNELPSTLKAYRYQQHRWSCGPANLFRKMALEIARNKKVSLLKKVHVIYNFFLVRKIVAHIVTFVFYCLVIPATVFSS >DRNTG_09111.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:57465:59695:1 gene:DRNTG_09111 transcript:DRNTG_09111.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLPSTTLLPDAFQGTRDDITEQMGFVWQQIKAPVIIPLLRLAVVLCLIMSLMLFVEKVYMAFVIILVKLFGKKPEQRYKWEPIKDDLELGNSVYPMVLVQIPMYNEKEVYQLSIGAACGLSWPSDRIIIQVLDDSTDPAIKDLVEMECGRWASKGINIRYEIRDNRNGYKAGALKEGMKHSYVKQCDYVVIFDADFQPEPDFLWRTIPFLAQNPDLALVQARWKFGKHNVICTIH >DRNTG_09111.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:57465:63661:1 gene:DRNTG_09111 transcript:DRNTG_09111.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRRYKFTLLLAVGHAWAQDLVEMECGRWASKGINIRYEIRDNRNGYKAGALKEGMKHSYVKQCDYVVIFDADFQPEPDFLWRTIPFLAQNPDLALVQARWKFVNSDECLMTRMQEMSLDYHFTVEQEVGSYKYAFFGFNGTAGVWRIAALNEAGGWKDRTTVEDMDLAVRASLKGWKFLFLGEIKVKNELPSTLKAYRYQQHRWSCGPANLFRKMALEIARNKKVSLLKKVHVIYNFFLVRKIVAHIVTFVFYCLVIPATVFSS >DRNTG_09111.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:57465:63661:1 gene:DRNTG_09111 transcript:DRNTG_09111.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLPSTTLLPDAFQGTRDDITEQMGFVWQQIKAPVIIPLLRLAVVLCLIMSLMLFVEKVYMAFVIILVKLFGKKPEQRYKWEPIKDDLELGNSVYPMVLVQIPMYNEKEVYQLSIGAACGLSWPSDRIIIQVLDDSTDPAIKDLVEMECGRWASKGINIRYEIRDNRNGYKAGALKEGMKHSYVKQCDYVVIFDADFQPEPDFLWRTIPFLAQNPDLALVQARWKFVNSDECLMTRMQEMSLDYHFTVEQEVGSYKYAFFGFNGTAGVWRIAALNEAGGWKDRTTVEDMDLAVRASLKGWKFLFLGEIKVKNELPSTLKAYRYQQHRWSCGPANLFRKMALEIARNKKVSLLKKVHVIYNFFLVRKIVAHIVTFVFYCLVIPATVFSS >DRNTG_09111.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:61227:63661:1 gene:DRNTG_09111 transcript:DRNTG_09111.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMQEMSLDYHFTVEQEVGSYKYAFFGFNGTAGVWRIAALNEAGGWKDRTTVEDMDLAVRASLKGWKFLFLGEIKVKNELPSTLKAYRYQQHRWSCGPANLFRKMALEIARNKKVSLLKKVHVIYNFFLVRKIVAHIVTFVFYCLVIPATVFSS >DRNTG_28268.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2832287:2835333:1 gene:DRNTG_28268 transcript:DRNTG_28268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEVEVPEDPVTIVPDPVSIRMLHKIAGNVSSHLKDGEAEVVAEQACFLPCSDDGLPVIGEVPGVERCYVGTGHSCWGILNGPATGAALAELILEGRATTVDLKPFSPARFLRGRKVSS >DRNTG_28268.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2832287:2835333:1 gene:DRNTG_28268 transcript:DRNTG_28268.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEVEVPEDPVTIVPDPVSIRMLHKIAGNVSSHLKDGEAEVVAEQACFLPCSDDGLPVIGEVPGVERCYVGTGHSCWGILNGPATGAALAELILEGRATTVDLKPFSPARFLRGRKVSS >DRNTG_16833.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18073917:18076968:-1 gene:DRNTG_16833 transcript:DRNTG_16833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETPRLEFVKVLLSGSRFCIPPAFVRHVIDDNHGKAIILSAGIFWHIKVQETEEGLFFTDGWEQMIKAHGLSEGCVLFFHYVGNMVFTLKVFGHSGCRINNFRINSEDSNSSLGQIPLANNCDETNVSSPSVGIISEDETSCIENIIQYEKKIHKTSLVHCRISVPLWVCASTGLKSSHDVTIRGPDRRLWTVFFSYSPQTPRLGKGWSEFCEHYKLEIGDSCIFTHVSKRDNLFDVKIKRVSHGRVSTSYEDEV >DRNTG_16833.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18073917:18076968:-1 gene:DRNTG_16833 transcript:DRNTG_16833.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETPRLEFVKVLLSGSRFCIPPAFVRHVIDDNHGKAIILSAGIFWHIKVQETEEGLFFTDGWEQMIKAHGLSEGCVLFFHYVGNMVFTLKVFGHSGCRINNFRINSEDSNSSLGQIPLANNCDETNVSSPSVGIISEDETSCIENIIQYEKKIHKTSLVHCRISVPLWVCASTGLKSSHDVTIRGPDRRLWTVFFSYSPQTPRLGKGWSEFCEHYKLEIGDSCIFTHVSKRDNLFDVKIKRVSHGRVSTSYEDEV >DRNTG_16833.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18073917:18076968:-1 gene:DRNTG_16833 transcript:DRNTG_16833.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSETPRLEFVKVLLSGSRFCIPPAFVRHVIDDNHGKAIILSAGIFWHIKVQETEEGLFFTDGWEQMIKAHGLSEGCVLFFHYVGNMVFTLKVFGHSGCRINNFRINSEDSNSSLGQIPLANNCDETNVSSPSVGIISEDETSCIENIIQYEKKIHKTSLVHCRISVPLWVCASTGLKSSHDVTIRGPDRRLWTVFFSYSPQTPRLGKGWSEFCEHYKLEIGDSCIFTHVSKRDNLFDVKIKRVSHGRVSTSYEDEV >DRNTG_11789.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:502751:505200:-1 gene:DRNTG_11789 transcript:DRNTG_11789.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRQGHEYLGGSEPSHGHGEGLESFLDHVMLGECWSVAGSRCGCVAVYWAFTTVLSSVVFPSVHSCGILRVEWPGIPPRDCCGDCRVPWARGSGRDN >DRNTG_02018.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12933094:12934784:-1 gene:DRNTG_02018 transcript:DRNTG_02018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNERGWPPVLNHRAGERERLPGLRTVIGRGRVEEQREFLSVESISHEEWAASSISKLLARPELELWRNPTAVTRPSSQQCQSLGLRRKSSSGLHSFRPSQTNPSPASSPAMLIFFQKLKKIGSGMYSNVYKARDLVTGRVVPLKKVRFDIL >DRNTG_03258.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16883396:16885732:1 gene:DRNTG_03258 transcript:DRNTG_03258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALTGSIVVLLTDANGLLSGIVTDKDIAVRVIAEGLRTEQTIVSKIMMRNPVFVMANTLAIEALQKMVQGKFRHLPVVDNGEVIAMLDITKCLYDAIARMEKAAEQCSAIAAAVEGVERQWGNNFSDPVYVAAKKMREYRVNYVVVVTANKPQEILTSKDILMRVVAQNLSPELTLVEKVSI >DRNTG_34336.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9511661:9513488:1 gene:DRNTG_34336 transcript:DRNTG_34336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYTNCLKNGRPDRGFLHWKWQPNGCDLPPFDPLKFLNAMRNKSFALIGDSVFRNHARSLLCLLSVVAKADEIYHDRTNSGIFYYKEYNFTIYDIWSPFLVTYKLEKNLPDLELYLDVLDSQWTKNYDKYDYMLMSGSHWFYRRAVIYENNKVIGCHYCPDLDLNKIETDVSYRKALELTFKFITTSEHKPFVVLRTWSPNHFEDGEFPGSRICNRTEPFKEGEINGDPADLLMRSVEVEEFEKAAATGEKNGVRIELLDTYHLSLLRPDGHPGAYRNYHPFDGGKKNVENDCIHWCVPGPIDTWSELLMKIVIP >DRNTG_22912.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:24507663:24509085:-1 gene:DRNTG_22912 transcript:DRNTG_22912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKSFTVVKRSDDPYFRFSVIHGEDDYGGEGAPPPQTSNTLEVRCSSPHLCSHTPILLYKYYVLTIIIEEFCISSQINRWAHLHISSSTTTVGMLDINIFSAEKGFDSICIRESQCLCFASVGVIDEIISLAKEWR >DRNTG_18785.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:292096:297336:1 gene:DRNTG_18785 transcript:DRNTG_18785.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWNWHMTPDSSRAYQTRPATEAKALKEDLILWPPIIVVHNSSIGAKNKIITIKRMEEILKEMSFETGKIRVSCVNPPDESIFLVKFMPTLSGLREAERLHNHYADNKRGRKGFLDVLLKNTDGESRGAGQLDKSEELLHGYMAIAEDLVKLDQETKKRCLVRSKKEIKAIADAPINAD >DRNTG_18785.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:292096:297438:1 gene:DRNTG_18785 transcript:DRNTG_18785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWNWHMTPDSSRAYQTRPATEAKALKEDLILWPPIIVVHNSSIGAKNKIITIKRMEEILKEMSFETGKIRVSCVNPPDESIFLVKFMPTLSGLREAERLHNHYADNKRGRKGFLDVLLKNTDGESRGAGQLDKSEELLHGYMAIAEDLVKLDQETKKRCLVRSKKEIKAIADAPINAD >DRNTG_18785.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:292096:297336:1 gene:DRNTG_18785 transcript:DRNTG_18785.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSTRSRGGGREGRGARASPPSTPTGPTASTKNKERLSDWRHATVPRRSRRSLSPPRPQLSPSRGNTTIDRREHALRHQYQEKQQLQRVHRPSPTVPPPRSRGAAFGDLVEGYQYPPKYMLPDHPSESKLPRPINDSEIYHHGIVAPHSSSGLDIQDMLSHKSVFSDNVPMRPFLGHPSDGTYPKDSTVMKSTEDHGGGTGTLTRGIRDNEGIRYHNHPSDTHVEVEREKVYSRDRSFPFVPPSHRMSSFPGSSASFVNNDYVNMYHDHLHQPSDEFGRGTSSKLLENPLHREVFGSADTLRRAPVSPLARDEPWEYAYREMGQRERDDHGYVVADNMRLKAPLEYSGEYQDPLSSLFMDAAEDTVNEAGDASWKTVHETGLWDQRRVLPREVLPECRIHREACEDFLDSSESKQYDFRMKVSRDHEPELYEENHAFGRDSGPIDYRGRVKSPMLLDHHVSIYKHDISPPPTEYYRDDLDVYEPSSERMIRNQYYSMEEDIIRGDLTRMVDNRNAFKRIQPPVGDDGIWLNEDRIRTRHSKRSALGRSQHRMASHQMLAPDDGLYSGNSTRHIDVGRGQTLKRRLRPGPSDFNGSFSSERRHSSLRPLKFLKRSIENRNGGSEVQTRDFSSGNNLLKKTEPPEGSEEFKQLVHKAFLRYTKMLNESPQHRERYQETGKASNLLCCACRSQKKYFPHVHGLVSHSYYFPKVGLRTEHLGLHKALCLLMGWNWHMTPDSSRAYQTRPATEAKALKEDLILWPPIIVVHNSSIGAKNKIITIKRMEEILKEMSFETGKIRVSCVNPPDESIFLVKFMPTLSGLREAERLHNHYADNKRGRKGFLDVLLKNTDGESRGAGQLDKSEELLHGYMAIAEDLVKLDQETKKRCLVRSKKEIKAIADAPINAD >DRNTG_20791.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28348391:28350473:-1 gene:DRNTG_20791 transcript:DRNTG_20791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPTYTTRVSFELEAGAVGQTTDFKEGSRKFEWCLKKIVGGSDHTLRAKLTFSQESHGNITREAGPVSMNFTIPMYNASRLQVRYLQIAKKSKTYNPYRWVRYVTQANSYVARL >DRNTG_34960.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1922872:1929429:-1 gene:DRNTG_34960 transcript:DRNTG_34960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDEAAILEILGLLKLHDFVKGFVVDQAQDSLGVAVKMVENFLVPIEDEVNEYKHAQLRKTLFVRIAGFLLSFSTVACIWFFQVLSSQGDTPIALENELPQNPPIIQEAIQENESISSLSQNTFSKFYKRCSLFNGE >DRNTG_30714.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21985443:21986224:-1 gene:DRNTG_30714 transcript:DRNTG_30714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCTSFEEKFLSSFLCFNPLLDSLFSLNQTFARLLGKRRRSRRTLVAEHHIDKRKHNAGNHDRRSNEVPQQPRCLHLHEDPCAAASKDKKTQESMKPYAEKSKESLKSKGNGVPTSIDRWSWRWSGIWKRR >DRNTG_30714.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21985443:21986224:-1 gene:DRNTG_30714 transcript:DRNTG_30714.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRHRSIDGAGDGQGFGRDDDREKSSRDLAREKERETKLTWAALF >DRNTG_15575.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:518167:519584:1 gene:DRNTG_15575 transcript:DRNTG_15575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLEIITSDKLCSVFMRKLESQMSEKYEQVIRRHMDLETVREQLLRDQQGAWAYITIHMYRDLLLICNNAIAFYPKGSREYSAALHLRHLCAPCHHTSMPTLLACGRQREAALEPMTVKVKMKEKDKNKRVARKTRTKKGKCSSSDLTVVKKNKVRSSPPVKSEVEKKNKKSGKQSVKQTKRGATALKQHIQPVKKRIASSALASTPPQKRARKAHEIKRTTASAKPPPAAAKKPRPRRR >DRNTG_05655.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18148510:18152480:1 gene:DRNTG_05655 transcript:DRNTG_05655.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTLTCKPKKDSIGDGLVSRSKRVSKSQRMSEEELLHRQALAMALQQHQLSQRFEGSMSRRIGGSTSSRRHATTISESFSNGKQQVPLCLDNVETKKIVLVHGEGFGAWCWYKTISMLEEAGLHPIAVDLTASGINNTNANAVASLAEYAKPLSIYLADLQENEKVILVGHSCAGASISYAMEHYPNKIAKAVFLTATMVLDGQTPFDIFAEELASAEAFMKESQFLLYGNGKDKPPSGLLFDKQQLKVLYFNQSPPKDIALATVSMRPMPLAPIMEKLHLTRENYGSVRKYFIQTLDDRMLSPDIQEKLVRENPPTGVYKIKGSDHCPFFSKPQSLNKILLEITQI >DRNTG_05655.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18148510:18152480:1 gene:DRNTG_05655 transcript:DRNTG_05655.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTLTCKPKKDSIGDGLVSRSKRVSKSQRMSEEELLHRQALAMALQQHQLSQRFEGSMSRRIGGSTSSRRHATTISESFSNGKQQVPLCLDNVETKKIVLVHGEGFGAWCWYKTISMLEEAGLHPIAVDLTASGINNTNANAVASLAEYAKPLSIYLADLQENEKVILVGHSCAGASISYAMEHYPNKIAKAVFLTATMVLDGQTPFDIFAEELASAEAFMKESQFLLYGNGKDKPPSGLLFDKQQLKVLYFNQSPPKVWSQYYQICELLSEMVHQNLELR >DRNTG_11313.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13562770:13581036:1 gene:DRNTG_11313 transcript:DRNTG_11313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSKKQADKRPRESSPKSEDMRFTILEHQARFERLSRLQEPAINELELEVLSSFEFDRSYSRFDSLDVVQFRPLGHHYSLSITQFLVRLGLYEKAFTDTEEYSQL >DRNTG_21382.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19435309:19447612:-1 gene:DRNTG_21382 transcript:DRNTG_21382.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHATHPPPDDEEIQDWNGNCDEPMEVAPPETAAPLPAASEDSNQGIESRLESLQLETKGTHVKDKVGVVPEEVEDFNDHEEDKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTSEEERVKGKTVEVGRAHFETENTRFTILDAPGHKSYVPNMISGASQADVGVLVISARKGEFETGYEKGGQTREHVQLAKTLGVSKLVVVVNKMDEPTVQWSKDRFGEIESKMTPFLKSSGYNVKKDVQFLPISGLAGINMKTRVDKSICSWWNGPCLFEVLDSVEVPLRDPKGPVRMPIIDKYKDIGTVVMGKIESGTIYEGDSLLVMPNKANVKVLSVQCDENKVKQAGPGENVRVKLAGVEEDDILAGFVLSSFANSVGAVSEFNAQLQILELLDNAILSAGYKAVLHIHAIVEECEITDLIEEIDLKKKKETDTKKKKQKGKPRFVKNGAVVLCRIQVTNLVCIENFSVFPQLGRFTLRSEGKTIAIGKVVELPPAGSSTFPSA >DRNTG_21382.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19434247:19447612:-1 gene:DRNTG_21382 transcript:DRNTG_21382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHATHPPPDDEEIQDWNGNCDEPMEVAPPETAAPLPAASEDSNQGIESRLESLQLETKGTHVKDKVGVVPEEVEDFNDHEEDKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTSEEERVKGKTVEVGRAHFETENTRFTILDAPGHKSYVPNMISGASQADVGVLVISARKGEFETGYEKGGQTREHVQLAKTLGVSKLVVVVNKMDEPTVQWSKDRFGEIESKMTPFLKSSGYNVKKDVQFLPISGLAGINMKTRVDKSICSWWNGPCLFEVLDSVEVPLRDPKGPVRMPIIDKYKDIGTVVMGKIESGTIYEGDSLLVMPNKANVKVLSVQCDENKVKQAGPGENVRVKLAGVEEDDILAGFVLSSFANSVGAVSEFNAQLQILELLDNAILSAGYKAVLHIHAIVEECEITDLIEEIDLKKKKETDTKKKKQKGKPRFVKNGAVVLCRIQVTNLVCIENFSVFPQLGRFTLRSEGKTIAIGKVVELPPAGSSTFPSA >DRNTG_21382.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19436666:19447612:-1 gene:DRNTG_21382 transcript:DRNTG_21382.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDHATHPPPDDEEIQDWNGNCDEPMEVAPPETAAPLPAASEDSNQGIESRLESLQLETKGTHVKDKVGVVPEEVEDFNDHEEDKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTSEEERVKGKTVEVGRAHFETENTRFTILDAPGHKSYVPNMISGASQADVGVLVISARKGEFETGYEKGGQTREHVQLAKTLGVSKLVVVVNKMDEPTVQWSKDRFGEIESKMTPFLKSSGYNVKKDVQFLPISGLAGINMKTRVDKSICSWWNGPCLFEVLDSVEVPLRDPKGPVRMPIIDKYKDIGTVVMGKIESGTIYEGDSLLVMPNKANVKVLSVQCDENKVKQAGPGENVRVKLAGVEEDDILAGFVLSSFANSVGAVSEFNAQLQILELLDNAILSAGYKAVLHIHAIVEECEITDLIEEIDLKKKKETDTKKKKQKGKPRFVKNGAVVLCRIQVTNLVCIENFSVFPQLGRFTLRSEGKTIAIGKVVELPPAGSSTFPSA >DRNTG_21382.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19434247:19447612:-1 gene:DRNTG_21382 transcript:DRNTG_21382.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHATHPPPDDEEIQDWNGNCDEPMEVAPPETAAPLPAASEDSNQGIESRLESLQLETKGTHVKDKVGVVPEEVEDFNDHEEDKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTSEEERVKGKTVEVGRAHFETENTRFTILDAPGHKSYVPNMISGASQADVGVLVISARKGEFETGYEKGGQTREHVQLAKTLGVSKLVVVVNKMDEPTVQWSKDRFGEIESKMTPFLKSSGYNVKKDVQFLPISGLAGINMKTRVDKSICSWWNGPCLFEVLDSVEVPLRDPKGPVRMPIIDKYKDIGTVVMGKIESGTIYEGDSLLVMPNKANVKVLSVQCDENKVKQAGPGENVRVKLAGVEEDDILAGFVLSSFANSVGAVSEFNAQLQILELLDNAILSAGYKAVLHIHAIVEECEITDLIEEIDLKKKKETDTKKKKQKGKPRFVKNGAVVLCRIQVTNLVCIENFSVFPQLGRFTLRSEGKTIAIGKVVELPPAGSSTFPSA >DRNTG_21382.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19437106:19447612:-1 gene:DRNTG_21382 transcript:DRNTG_21382.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDHATHPPPDDEEIQDWNGNCDEPMEVAPPETAAPLPAASEDSNQGIESRLESLQLETKGTHVKDKVGVVPEEVEDFNDHEEDKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTSEEERVKGKTVEVGRAHFETENTRFTILDAPGHKSYVPNMISGASQADVGVLVISARKGEFETGYEKGGQTREHVQLAKTLGVSKLVVVVNKMDEPTVQWSKDRFGEIESKMTPFLKSSGYNVKKDVQFLPISGLAGINMKTRVDKSICSWWNGPCLFEVLDSVEVPLRDPKGPVRMPIIDKYKDIGTVVMGKIESGTIYEGDSLLVMPNKANVKVLSVQCDENKVKQAGPGENVRVKLAGVEEDDILAGFVLSSFANSVGAVSEFNAQLQILELLDNAILSAGYKAVLHIHAIVEECEITDLIEEIDLKKKKETDTKKKKQKGKPRFVKNGAVVLCRIQVTNLVCIENFSVFPQLGRFTLRSEGKTIAIGKVVELPPAGSSTFPSA >DRNTG_21382.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19437983:19442428:-1 gene:DRNTG_21382 transcript:DRNTG_21382.6 gene_biotype:protein_coding transcript_biotype:protein_coding MISGASQADVGVLVISARKGEFETGYEKGGQTREHVQLAKTLGVSKLVVVVNKMDEPTVQWSKDRFGEIESKMTPFLKSSGYNVKKDVQFLPISGLAGINMKTRVDKSICSWWNGPCLFEVLDSVEVPLRDPKGPVRMPIIDKYKDIGTVVMGKIESGTIYEGDSLLVMPNKANVKVLSVQCDENKVKQAGPGENVRVKLAGVEEDDILAGFVLSSFANSVGAVSEFNAQLQILELLDNAILSAGYKAVLHIHAIVEECEITDLIEEIDLKKKKETDTKKKKQKGKPRFVKNGAVVLCRIQVTNLVCIENFSVFPQLGRFTLRSE >DRNTG_32761.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1737451:1739825:-1 gene:DRNTG_32761 transcript:DRNTG_32761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFDDDKKKIVQELVDISKKHRSVMSIVGMGGLGKTTLAKSIYKDLEVKRSFNIFAWVIISQEYTIHEILKRISTEVSATPSANTIRDLSVAIFEKLKKGKYLIILDDVWKEDAWTELLKVFPDVNNGNRVIITTRFVNVAKIANPTIKPHELRCLDERESRELFLRKVFPNQNTETCCPTYLVDYAHQLVQRCGGLPLALVVLGGLVSTKPQTQDAWRKVVESMKGQFVEGGEKCLEIIALSYNDLSYYLKSCFLYFGCCKEDMTIVAKTLIRLWSTEGFLPVKNGKTTEEVGLDCLEDLAQRCMVQITGREYDGSANYCRIHDVLRDVCIRETKENRYFEIYKTNDTVDYVTMSNAAQRLVICNEIDILNYSNSMLRGLFYGVEGLDNHPAFSALKEQLGRFKLLRVLCLHTSGISEFPSEIKSLIHLRYLEFGYDVNLKEVPSCIGYLRNLQTLNLFNGNSLEKISDSLWTIDTLRHVYLPSTSRVPPPNMRNTVPKNL >DRNTG_31369.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28452016:28453283:1 gene:DRNTG_31369 transcript:DRNTG_31369.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGENLQELTLEELMHLERTIDIGLTRVMERKGLQIMKQLSSLQQKEMQLLEDNKRLREKMGLCMIDHASVSGLHEDGQYSSESIDTSDTLLRLGN >DRNTG_34472.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002154.1:1526:2012:-1 gene:DRNTG_34472 transcript:DRNTG_34472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMVAPEPQPMPMETDAPPTTEDPPPIHMFSPSQAHDRFERLKSAVGVIRAEITEAQAEIAEVGAT >DRNTG_17904.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17130373:17136650:-1 gene:DRNTG_17904 transcript:DRNTG_17904.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHREQEKDEDDDEIVCLDESFFINRDYQITTFKFGPQVLNLLCLQSASTDYDLTGQIVWPGAVLLNNYLSQNSEMLKGCSVIELGSGIGVTGILCSRFCDEVVLTDHNDEVLEILNKNIELQSSSGNSSYAGLTAEKLEWGNKDEIEQILQKHPRGFDIILGADIYIHVLLSNLYFFVV >DRNTG_17904.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17130373:17136650:-1 gene:DRNTG_17904 transcript:DRNTG_17904.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHREQEKDEDDDEIVCLDESFFINRDYQITTFKFGPQVLNLLCLQSASTDYDLTGQIVWPGAVLLNNYLSQNSEMLKGCSVIELGSGIGVTGILCSRFCDEVVLTDHNDEVLEILNKNIELQSSSGNSSYAGLTAEKLEWGNKDEIEQILQKHPRGFDIILGADIYIHWKGFSNSEQASADLFLLMCLELK >DRNTG_15183.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5992597:5993080:1 gene:DRNTG_15183 transcript:DRNTG_15183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQYKMGGNNNGEAPTPQSLSQKDGFEALNSPNFSLNP >DRNTG_07659.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23171136:23171853:-1 gene:DRNTG_07659 transcript:DRNTG_07659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFSFCDDTEASESLPSSNNDESQKLQDNIELALRTSNTQNTYEVTNPLKTADTNNQQEETSSSKAHEERLMRARESRDRHRRFTSFTSWMPDLRKVWSSEIRNAENAAASSGTNSSNRSLCIALFQKDEDH >DRNTG_30394.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:71111:72016:1 gene:DRNTG_30394 transcript:DRNTG_30394.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPIKNEYVIRIIITVPVMAQTQPKRMLTIILNTRRLMVYQFYLNLHLADQFGFHTDRNRNLHSETHQYAILHPMSARRDPITQNIQQHLPVQEHSDQGPPTNPGQN >DRNTG_16768.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22166380:22169299:-1 gene:DRNTG_16768 transcript:DRNTG_16768.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYMKTIWEAIEENSNSNHGQNNKIKSSVLVTDLTAWSFLLTKLDHWRIDSNKWKGSISLLTTLLEDADSSTRIAAGEAIALIFETGRAYNFCIEEGDCEFVKDTVKTQASRYSSEAKQNEEKSVFDDIFVFLNDGTFQDKSIKFANKHGELKVSTWARAIQLNFIKCFLGAGFRNHMQSNEFLQDLFGLSEEDDHQTWDEKTVNYTSHKERMQLRNKQRKLVEEQHFCFDSEEGFA >DRNTG_16768.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22166380:22169299:-1 gene:DRNTG_16768 transcript:DRNTG_16768.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSRIDKEKVVDKQKALLEKNTTRRERNQYVATDLSKPDNKIDIVSNSQSISKRKIDDFVDALSNKRVSVREKALIVVMDLLESNGFGEVLESRYITLLYEYLKFIEKGTIKEASIACRSVGLLAICIGCTSKVQEIIEHSTPIFSKVLESRLNPQVRSSLFQCIAIVTFVSNNPCETQMYMKTIWEAIEENSNSNHGQNNKIKSSVLVTDLTAWSFLLTKLDHWRIDSNKWKGSISLLTTLLEDADSSTRIAAGEAIALIFETGRAYNFCIEEGDCEFVKDTVKTQASRYSSEAKQNEEKSVFDDIFVFLNDGTFQDKSIKFANKHGELKVSTWARAIQLNFIKCFLGAGFRNHMQSNEFLQDLFGLSEEDDHQTWDEKTVNYTSHKERMQLRNKQRKLVEEQHFCFDSEEGFA >DRNTG_02266.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:960920:967186:-1 gene:DRNTG_02266 transcript:DRNTG_02266.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLRDALRRLCVEIGWSYAVFWRAIGCRNPNRKLVWEDGHCERLPSISGFDAMDMLLKEKGLTRGSANDWYAEIESQAEDKLGMLFKKMMVSQVHVVGVGVVGQAVLTGHHQWILRDMLGLSSSDISAEMKAQFLAGIQTIAIIPVLPFGVVQLGSTQMVIENIGFINHVRCLFAQLGSVSGTFTSDVTQKAFCKNSIVQASPAVPDFTCLSGEFGAQAVSSLPAISGRVFPQPDQRSTSLRIAEQPCGSTSVDFNVKSDSGVSKVTLANMATAATQAIHSKMLLQDSETIAKPGHPNNQLECHASKAQVSPIDPRSRFNRKALEYSSCSGFENNSLAVTSDVYSSSLAVSGKLPTPSNSGLLEKKSTISNSESVQTRSSRSKSSYQQTGSDVGSFYDRKGQFSGHAFGETSSFPTDFKVKVKTSHDNPSGNGRNFMTNNKINNLYGKQVISEDSMSTHFMEKDFQKIVAAGKKDSGNDLVQCIDVLPAEFGKYGASYDPLGALSQSDCWTSSSNPLEEKQRFLNGESIVNSQQKFLEEPCCMGLLDGKSAKLIQSGSGNDLFDALGIQYKAGHCNVHELDTDVSTCITSLDTGPFFDSLNHENSCTDIFLDNYHDQLLDAVVSKAKSGAKQNSDDDVSCRTSITNISSSSFRGSSSASGWVASSGQTGTNFSGRLPMLSKSEPAIYNTGKSACGSDKTEECSMKSEPCRTPIIPWMDNGRNIKSGRASSAQCKRVDDIGKLTRKRARPGESPRPRPKDRQMIQDRVKELREIVPNGAKCSIDALLEKTIKHMLFLQSVTKHADKLKETGEPKIISKEGGLLLKDSFESGATWAFEVGNQSMICPIIVEDLNTPRQMLVEMLCEERGFFLEIADLIRGMGLTILKGVMESRDDKIWARFVAEANRDVTRMEIFLSLVHLLEPTMGSHITPAGVNNLATRQMPAPPSSMPATVISDSLV >DRNTG_02266.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:960920:967186:-1 gene:DRNTG_02266 transcript:DRNTG_02266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLRDALRRLCVEIGWSYAVFWRAIGCRNPKKLVWEDGHCERLPSISGFDAMDMLLKEKGLTRGSANDWYAEIESQAEDKLGMLFKKMMVSQVHVVGVGVVGQAVLTGHHQWILRDMLGLSSSDISAEMKAQFLAGIQTIAIIPVLPFGVVQLGSTQMVIENIGFINHVRCLFAQLGSVSGTFTSDVTQKAFCKNSIVQASPAVPDFTCLSGEFGAQAVSSLPAISGRVFPQPDQRSTSLRIAEQPCGSTSVDFNVKSDSGVSKVTLANMATAATQAIHSKMLLQDSETIAKPGHPNNQLECHASKAQVSPIDPRSRFNRKALEYSSCSGFENNSLAVTSDVYSSSLAVSGKLPTPSNSGLLEKKSTISNSESVQTRSSRSKSSYQQTGSDVGSFYDRKGQFSGHAFGETSSFPTDFKVKVKTSHDNPSGNGRNFMTNNKINNLYGKQVISEDSMSTHFMEKDFQKIVAAGKKDSGNDLVQCIDVLPAEFGKYGASYDPLGALSQSDCWTSSSNPLEEKQRFLNGESIVNSQQKFLEEPCCMGLLDGKSAKLIQSGSGNDLFDALGIQYKAGHCNVHELDTDVSTCITSLDTGPFFDSLNHENSCTDIFLDNYHDQLLDAVVSKAKSGAKQNSDDDVSCRTSITNISSSSFRGSSSASGWVASSGQTGTNFSGRLPMLSKSEPAIYNTGKSACGSDKTEECSMKSEPCRTPIIPWMDNGRNIKSGRASSAQCKRVDDIGKLTRKRARPGESPRPRPKDRQMIQDRVKELREIVPNGAKCSIDALLEKTIKHMLFLQSVTKHADKLKETGEPKIISKEGGLLLKDSFESGATWAFEVGNQSMICPIIVEDLNTPRQMLVEMLCEERGFFLEIADLIRGMGLTILKGVMESRDDKIWARFVAEANRDVTRMEIFLSLVHLLEPTMGSHITPAGVNNLATRQMPAPPSSMPATVISDSLV >DRNTG_32698.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001894.1:3677:28411:-1 gene:DRNTG_32698 transcript:DRNTG_32698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSATVLSVLCSLISPDQLVSWFLKSRPWMEKTLVITGDGSETIKLGVHCVVIKSGFKSEICVATALIGFYSAVYNLRGAKHLFCQVHVKDLMLWRAMVSVYCKNGMFFEAIHAFAEMQDFGVCPDGVTLLSVLLACANTVSFRCGKQVHSFSIQRELDKVRKGS >DRNTG_07649.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5427559:5427906:-1 gene:DRNTG_07649 transcript:DRNTG_07649.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLPKEISGQNPSINVARHPSLKAQRKEKELDKG >DRNTG_07649.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5427559:5429438:-1 gene:DRNTG_07649 transcript:DRNTG_07649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDPQVLEEHFVMADDLALAGDNQGGAETVAVEQRLTLEAPQSQVIDILVQSINISSTAINFGIRKNKLKVKRGGNANSQPVINMNQLPKEISGQNPSINVARHPSLKAQRKEKELDKG >DRNTG_31355.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001689.1:865:2594:-1 gene:DRNTG_31355 transcript:DRNTG_31355.8 gene_biotype:protein_coding transcript_biotype:protein_coding MADNIEVLASAPLLLRERRKSISLMLVRFIGVVLLAVATFYLFVGNFSSWTGSVKNVNKQELVKNSRPLMKELGVKEQQYLKQEVCDLSVGKWIPKPEGPLYTNETCDYMASYTNCLKNGRPDSGFLHWKWQPNGCDLPPFDPLKFLNAMRNKSFALIGDSVFRNHARSLLCLLSVVAKPDEIYHDRTNSGIFYYSEYNFTIYDIWSPFLVSYKLEKNLPDLELYLDVLDSQWTKNYDKYDYMLMSGSHWFYRRAIIYENNKVIGCHYCPDLELNNIATDVPYRKALELTFKFITTSEHKPFVVLRTWSPNHFEDGEFSGSRICNKTEPFKEGEINGDPADLLMRSLEVEEFEKAAAIGEKNGLRIELLDTYHLSLLRPDGHPGAYRNYHPFDGGKKNVENDCIHWCVPGPIDTWSELLMKIVIP >DRNTG_31355.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001689.1:799:2594:-1 gene:DRNTG_31355 transcript:DRNTG_31355.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADNIEVLASAPLLLRERRKSISLMLVRFIGVVLLAVATFYLFVGNFSSWTGSVKNEVCDLSVGKWIPKPEGPLYTNETCDYMASYTNCLKNGRPDSGFLHWKWQPNGCDLPPFDPLKFLNAMRNKSFALIGDSVFRNHARSLLCLLSVVAKPDEIYHDRTNSGIFYYSEYNFTIYDIWSPFLVSYKLEKNLPDLELYLDVLDSQWTKNYDKYDYMLMSGSHWFYRRAIIYENNKVIGCHYCPDLELNNIATDVPYRKALELTFKFITTSEHKPFVVLRTWSPNHFEDGEFSGSRICNKTEPFKEGEINGDPADLLMRSLEVEEFEKAAAIGEKNGLRIELLDTYHLSLLRPDGHPGAYRNYHPFDGGKKNVENDCIHWCVPGPIDTWSELLMKIVIP >DRNTG_31355.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001689.1:839:2594:-1 gene:DRNTG_31355 transcript:DRNTG_31355.6 gene_biotype:protein_coding transcript_biotype:protein_coding MADNIEVLASAPLLLRERRKSISLMLVRFIGVVLLAVATFYLFVGNFSSWTGSVKNVNKQELVKNSRPLMKELGVKEQQYLKQEVCDLSVGKWIPKPEGPLYTNETCDYMASYTNCLKNGRPDSGFLHWKWQPNGCDLPPFDPLKFLNAMRNKSFALIGDSVFRNHARSLLCLLSVVAKPDEIYHDRTNSGIFYYSEYNFTIYDIWSPFLVSYKLEKNLPDLELYLDVLDSQWTKNYDKYDYMLMSGSHWFYRRAIIYENNKVIGCHYCPDLELNNIATDVPYRKALELTFKFITTSEHKPFVVLRTWSPNHFEDGEFSGSRICNKTEPFKEGEINGDPADLLMRSLEVEEFEKAAAIGEKNGLRIELLDTYHLSLLRPDGHPGAYRNYHPFDGGKKNVENDCIHWCVPGPIDTWSELLMKIVIP >DRNTG_31355.10 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001689.1:904:2594:-1 gene:DRNTG_31355 transcript:DRNTG_31355.10 gene_biotype:protein_coding transcript_biotype:protein_coding MADNIEVLASAPLLLRERRKSISLMLVRFIGVVLLAVATFYLFVGNFSSWTGSVKNVNKQELVKNSRPLMKELGVKEQQYLKQEVCDLSVGKWIPKPEGPLYTNETCDYMASYTNCLKNGRPDSGFLHWKWQPNGCDLPPFDPLKFLNAMRNKSFALIGDSVFRNHARSLLCLLSVVAKPDEIYHDRTNSGIFYYSEYNFTIYDIWSPFLVSYKLEKNLPDLELYLDVLDSQWTKNYDKYDYMLMSGSHWFYRRAIIYENNKVIGCHYCPDLELNNIATDVPYRKALELTFKFITTSEHKPFVVLRTWSPNHFEDGEFSGSRICNKTEPFKEGEINGDPADLLMRSLEVEEFEKAAAIGEKNGLRIELLDTYHLSLLRPDGHPGAYRNYHPFDGGKKNVENDCIHWCVPGPIDTWSELLMKIVIP >DRNTG_31355.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001689.1:799:2780:-1 gene:DRNTG_31355 transcript:DRNTG_31355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNIEVLASAPLLLRERRKSISLMLVRFIGVVLLAVATFYLFVGNFSSWTGSVKNEVCDLSVGKWIPKPEGPLYTNETCDYMASYTNCLKNGRPDSGFLHWKWQPNGCDLPPFDPLKFLNAMRNKSFALIGDSVFRNHARSLLCLLSVVAKPDEIYHDRTNSGIFYYSEYNFTIYDIWSPFLVSYKLEKNLPDLELYLDVLDSQWTKNYDKYDYMLMSGSHWFYRRAIIYENNKVIGCHYCPDLELNNIATDVPYRKALELTFKFITTSEHKPFVVLRTWSPNHFEDGEFSGSRICNKTEPFKEGEINGDPADLLMRSLEVEEFEKAAAIGEKNGLRIELLDTYHLSLLRPDGHPGAYRNYHPFDGGKKNVENDCIHWCVPGPIDTWSELLMKIVIP >DRNTG_31355.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001689.1:799:2594:-1 gene:DRNTG_31355 transcript:DRNTG_31355.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADNIEVLASAPLLLRERRKSISLMLVRFIGVVLLAVATFYLFVGNFSSWTGSVKNVNKQELVKNSRPLMKELGVKEQQYLKQEVCDLSVGKWIPKPEGPLYTNETCDYMASYTNCLKNGRPDSGFLHWKWQPNGCDLPPFDPLKFLNAMRNKSFALIGDSVFRNHARSLLCLLSVVAKPDEIYHDRTNSGIFYYSEYNFTIYDIWSPFLVSYKLEKNLPDLELYLDVLDSQWTKNYDKYDYMLMSGSHWFYRRAIIYENNKVIGCHYCPDLELNNIATDVPYRKALELTFKFITTSEHKPFVVLRTWSPNHFEDGEFSGSRICNKTEPFKEGEINGDPADLLMRSLEVEEFEKAAAIGEKNGLRIELLDTYHLSLLRPDGHPGAYRNYHPFDGGKKNVENDCIHWCVPGPIDTWSELLMKIVIP >DRNTG_31355.9 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001689.1:904:2594:-1 gene:DRNTG_31355 transcript:DRNTG_31355.9 gene_biotype:protein_coding transcript_biotype:protein_coding MADNIEVLASAPLLLRERRKSISLMLVRFIGVVLLAVATFYLFVGNFSSWTGSVKNEVCDLSVGKWIPKPEGPLYTNETCDYMASYTNCLKNGRPDSGFLHWKWQPNGCDLPPFDPLKFLNAMRNKSFALIGDSVFRNHARSLLCLLSVVAKPDEIYHDRTNSGIFYYSEYNFTIYDIWSPFLVSYKLEKNLPDLELYLDVLDSQWTKNYDKYDYMLMSGSHWFYRRAIIYENNKVIGCHYCPDLELNNIATDVPYRKALELTFKFITTSEHKPFVVLRTWSPNHFEDGEFSGSRICNKTEPFKEGEINGDPADLLMRSLEVEEFEKAAAIGEKNGLRIELLDTYHLSLLRPDGHPGAYRNYHPFDGGKKNVENDCIHWCVPGPIDTWSELLMKIVIP >DRNTG_31355.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001689.1:865:2594:-1 gene:DRNTG_31355 transcript:DRNTG_31355.7 gene_biotype:protein_coding transcript_biotype:protein_coding MADNIEVLASAPLLLRERRKSISLMLVRFIGVVLLAVATFYLFVGNFSSWTGSVKNEVCDLSVGKWIPKPEGPLYTNETCDYMASYTNCLKNGRPDSGFLHWKWQPNGCDLPPFDPLKFLNAMRNKSFALIGDSVFRNHARSLLCLLSVVAKPDEIYHDRTNSGIFYYSEYNFTIYDIWSPFLVSYKLEKNLPDLELYLDVLDSQWTKNYDKYDYMLMSGSHWFYRRAIIYENNKVIGCHYCPDLELNNIATDVPYRKALELTFKFITTSEHKPFVVLRTWSPNHFEDGEFSGSRICNKTEPFKEGEINGDPADLLMRSLEVEEFEKAAAIGEKNGLRIELLDTYHLSLLRPDGHPGAYRNYHPFDGGKKNVENDCIHWCVPGPIDTWSELLMKIVIP >DRNTG_31355.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001689.1:865:2780:-1 gene:DRNTG_31355 transcript:DRNTG_31355.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADNIEVLASAPLLLRERRKSISLMLVRFIGVVLLAVATFYLFVGNFSSWTGSVKNEVCDLSVGKWIPKPEGPLYTNETCDYMASYTNCLKNGRPDSGFLHWKWQPNGCDLPPFDPLKFLNAMRNKSFALIGDSVFRNHARSLLCLLSVVAKPDEIYHDRTNSGIFYYSEYNFTIYDIWSPFLVSYKLEKNLPDLELYLDVLDSQWTKNYDKYDYMLMSGSHWFYRRAIIYENNKVIGCHYCPDLELNNIATDVPYRKALELTFKFITTSEHKPFVVLRTWSPNHFEDGEFSGSRICNKTEPFKEGEINGDPADLLMRSLEVEEFEKAAAIGEKNGLRIELLDTYHLSLLRPDGHPGAYRNYHPFDGGKKNVENDCIHWCVPGPIDTWSELLMKIVIP >DRNTG_31355.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001689.1:839:2594:-1 gene:DRNTG_31355 transcript:DRNTG_31355.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADNIEVLASAPLLLRERRKSISLMLVRFIGVVLLAVATFYLFVGNFSSWTGSVKNEVCDLSVGKWIPKPEGPLYTNETCDYMASYTNCLKNGRPDSGFLHWKWQPNGCDLPPFDPLKFLNAMRNKSFALIGDSVFRNHARSLLCLLSVVAKPDEIYHDRTNSGIFYYSEYNFTIYDIWSPFLVSYKLEKNLPDLELYLDVLDSQWTKNYDKYDYMLMSGSHWFYRRAIIYENNKVIGCHYCPDLELNNIATDVPYRKALELTFKFITTSEHKPFVVLRTWSPNHFEDGEFSGSRICNKTEPFKEGEINGDPADLLMRSLEVEEFEKAAAIGEKNGLRIELLDTYHLSLLRPDGHPGAYRNYHPFDGGKKNVENDCIHWCVPGPIDTWSELLMKIVIP >DRNTG_02983.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8998349:9001682:-1 gene:DRNTG_02983 transcript:DRNTG_02983.1 gene_biotype:protein_coding transcript_biotype:protein_coding SASLLGRGGMKGKKAIEQRAAGKELEQSIDMVKAPISLH >DRNTG_26411.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23870279:23874892:1 gene:DRNTG_26411 transcript:DRNTG_26411.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRNARRCAFMRLLQMFCGRSPCCSSRRQHPPMSADNKMSGKRPQRSVDDQAKAENEELDHAIALSLAEDDKRSNGFKDHGGDSDEDLAKAIQESLNMSSSCHPDNTVQFLPRGDRVCGGCNRQIGYGYYLSCKGIYWHAHCFRCHACGQPIQGTQFYLSGRAPYHTSCYKEAHHPKCDVCHEFIPTCRAGLIEYKLHPYWKQKYCLSHEHDNTLRCCSCERMESSKVKCVSLEDGRSLCLDCLNTAILDTDDCQHLYHSIRDFYEGMHMKIDQQIPMLLVERQALNEAIEGEKEGNHRIPETRGLCLSEEQTVSNIIKRPRMYRNQILGATKQPQKLIRICEVTAILALYGLPRLLTGAILAHELMHAWLRLKGYRDLSPEVEEGICQVLSHMWLESEVMLTGARSTPALDFASSSSSSSSPSWKKTKGQSDTEKELGKFFLHQIANDVSSAYGEGFRAANKAVNKHGLRKTLDHIRLTGTLPI >DRNTG_26411.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23872285:23874892:1 gene:DRNTG_26411 transcript:DRNTG_26411.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYRNQILGATKQPQKLIRICEVTAILALYGLPRLLTGAILAHELMHAWLRLKGYRDLSPEVEEGICQVLSHMWLESEVMLTGARSTPALDFASSSSSSSSPSWKKTKGQSDTEKELGKFFLHQIANDVSSAYGEGFRAANKAVNKHGLRKTLDHIRLTGTLPI >DRNTG_26411.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23870279:23874892:1 gene:DRNTG_26411 transcript:DRNTG_26411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKDASLAQLTMYNFKLLSIKASFSLESVHCNPSISIWFLIKAGAAGQACFYYLYPQSMAPSRNARRCAFMRLLQMFCGRSPCCSSRRQHPPMSADNKMSGKRPQRSVDDQAKAENEELDHAIALSLAEDDKRSNGFKDHGGDSDEDLAKAIQESLNMSSSCHPDNTVQFLPRGDRVCGGCNRQIGYGYYLSCKGIYWHAHCFRCHACGQPIQGTQFYLSGRAPYHTSCYKEAHHPKCDVCHEFIPTCRAGLIEYKLHPYWKQKYCLSHEHDNTLRCCSCERMESSKVKCVSLEDGRSLCLDCLNTAILDTDDCQHLYHSIRDFYEGMHMKIDQQIPMLLVERQALNEAIEGEKEGNHRIPETRGLCLSEEQTVSNIIKRPRMYRNQILGATKQPQKLIRICEVTAILALYGLPRLLTGAILAHELMHAWLRLKGYRDLSPEVEEGICQVLSHMWLESEVMLTGARSTPALDFASSSSSSSSPSWKKTKGQSDTEKELGKFFLHQIANDVSSAYGEGFRAANKAVNKHGLRKTLDHIRLTGTLPI >DRNTG_26411.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23871532:23874892:1 gene:DRNTG_26411 transcript:DRNTG_26411.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKVKCVSLEDGRSLCLDCLNTAILDTDDCQHLYHSIRDFYEGMHMKIDQQIPMLLVERQALNEAIEGEKEGNHRIPETRGLCLSEEQTVSNIIKRPRMYRNQILGATKQPQKLIRICEVTAILALYGLPRLLTGAILAHELMHAWLRLKGYRDLSPEVEEGICQVLSHMWLESEVMLTGARSTPALDFASSSSSSSSPSWKKTKGQSDTEKELGKFFLHQIANDVSSAYGEGFRAANKAVNKHGLRKTLDHIRLTGTLPI >DRNTG_26411.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23871982:23874892:1 gene:DRNTG_26411 transcript:DRNTG_26411.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHMKIDQQIPMLLVERQALNEAIEGEKEGNHRIPETRGLCLSEEQTVSNIIKRPRMYRNQILGATKQPQKLIRICEVTAILALYGLPRLLTGAILAHELMHAWLRLKGYRDLSPEVEEGICQVLSHMWLESEVMLTGARSTPALDFASSSSSSSSPSWKKTKGQSDTEKELGKFFLHQIANDVSSAYGEGFRAANKAVNKHGLRKTLDHIRLTGTLPI >DRNTG_26411.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23870279:23871475:1 gene:DRNTG_26411 transcript:DRNTG_26411.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCHPDNTVQFLPRGDRVCGGCNRQIGYGYYLSCKGIYWHAHCFRCHACGQPIQGTQVHHFIMS >DRNTG_16696.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22164506:22165574:-1 gene:DRNTG_16696 transcript:DRNTG_16696.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLVTFLVLFVCSCCHHPSPNSQANFFHIIHRMDAGGLKRSGDRRSAGANEESRNGECLEGHHCSFTASSPVRRDPRHQLRRARRRPPPPSFASSFSSRL >DRNTG_29377.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001476.1:27605:34456:-1 gene:DRNTG_29377 transcript:DRNTG_29377.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial dicarboxylate/tricarboxylate transporter DTC [Source:Projected from Arabidopsis thaliana (AT5G19760) UniProtKB/Swiss-Prot;Acc:Q9C5M0] MAEGKPKAQAGVWSTVKPFVNGGASGMLATCVIQPIDMVKVRIQLGQGSAMQVSKNMIANEGIGSFYKGLSAGLLRQATYTTARLGSFKVLTTKAVEENDGKPLPLLQKAFIGLTAGAIGACVGSPADLALIRMQADATLPIAQRRNYKNAFHALYRIVVDEGVLALWKGAGPTVVRAMALNMGMLASYDQSIEFFRDSLGLGEVSTVVGASAVSGFFASACSLPFDYVKTQIQKMQPDANGKYPYTGSLNCAFKTLKSGGPLKFYTGFPVYCVRIAPHVMMTWIFLNEIQKVQKKIGL >DRNTG_18146.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:609799:612593:-1 gene:DRNTG_18146 transcript:DRNTG_18146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEIQLHTTPTPQPPSSTSPTTKPQTKTNLTLLPLIFIIYFQVSGGPYGAENTVHAAGPLLSLAGFLLIPFLCSLPEALITSELTSAFSSSDSGFVLWALQAFNSPFTASFTGFLKLLSSTINCATFPNLCSDYLSSTFPSLTSGAHRSLFITLLILLLSFINFTGLTVVGYFAIALGIISLLPFVLMTFMASPAVVPARWTAVRKKVDWGLYLNTLFWNLNYWDNASTLAGEVQDPQRTFPVAMLVAGVLTCVSYFVPLLAVTGALAVSDDQWSDGFFADAAGMIGGKWLKIWTQAGAVLSAIGLYEAQLSSNTFQLLGMAELGLLPKALSKRSKWFNTPWLSILVSTLITLTISFLSFSDIIAAANFLYSLGMLIEFAAFIRLRIKLPELKRPYKVPMGIAGVVSMCTVPSVILVFLMVVSGWRVWLLSVALMFMAVGLYFCMVFCRSKGCFKFSTDVSLEVNSVEGLKVNHVNL >DRNTG_32061.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8521671:8527355:-1 gene:DRNTG_32061 transcript:DRNTG_32061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTTTPSSLLTLFLILNLLPTNLATSCPHPTPKPKGKCPVDALKLGVCAVVLNGLLIATIGNPPKKPCCTLIENLLDLESAACLCTTIKANLLGLHLNVPLALSLLLNYCFGQHLKARESQKKESCGYAYTYKEEYKVKLEDFIGRAYVLKDHPNKFMSRAYARKGGYKGSIVELMVQRLWL >DRNTG_07532.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14306026:14309461:-1 gene:DRNTG_07532 transcript:DRNTG_07532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGESLASNPAIVNALRPAAVGFHTIVTMTHIVIAAPLPRPGQITIRVQILRSKGHDEEKMVLRRWALLLYSKIAVGHIAGKASTGVGNPTNVTMMVREEEVTSLYGVIADGESGDDLDRAAIDDVRSSKGDNPLTPWTRITRKLQCFMETLFPFSMNLPQSSQKIEHMAMQEKKGIAEDPVLEAISKQITQFMEVVGPGIKTIADGAVWNAETTALMEASRIQVEEKKK >DRNTG_28076.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21422611:21426836:1 gene:DRNTG_28076 transcript:DRNTG_28076.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIDDKYTKDGTTDIRGNPALKKNTGKWRACPYILANECCERLAYYGMSTNLVNYMKIRLNQGNATAANNVTNWSGTCYIMPLVGAFIADAYWGRYWTIASFMIVYIFGLTLLTMTASVKGLKASCHGGVCDPTNAQTAVVFVALYLIALGTGGIKPCVSSFGADQFDDSDESEKRSKSSFFNWFYFSINVGALIAASVLVWIQMNVGWGWGFGIPAVAMAIAVVSFFLGSRLYRHQKPGGSPLTRIAQVIIASFRKCRVEMPADKSLLYEITDKESAIEGSRKLDHTEEFRCLDKAAVVAQEDQTKAVNPWRLCTVTQVEELKSIVRLLPIWASGIIFSTVYSQMSTMFVLQGNTLDPHMGPHFEIPSASLSIFDTISVLVWVPIYDKLIVPAARRNHGQ >DRNTG_28076.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21422611:21426836:1 gene:DRNTG_28076 transcript:DRNTG_28076.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIDDKYTKDGTTDIRGNPALKKNTGKWRACPYILANECCERLAYYGMSTNLVNYMKIRLNQGNATAANNVTNWSGTCYIMPLVGAFIADAYWGRYWTIASFMIVYIFGLTLLTMTASVKGLKASCHGGVCDPTNAQTAVVFVALYLIALGTGGIKPCVSSFGADQFDDSDESEKRSKSSFFNWFYFSINVGALIAASVLVWIQMNVGWGWGFGIPAVAMAIAVVSFFLGSRLYRHQKPGGSPLTRIAQVIIASFRKCRVEMPADKSLLYEITDKESAIEGSRKLDHTEEFRCLDKAAVVAQEDQTKAVNPWRLCTVTQVEELKSIVRLLPIWASGIIFSTVYSQMSTMFVLQGNTLDPHMGPHFEIPSASLSIFDTISVLVWVPIYDKLIVPAARRNHGQ >DRNTG_28076.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21422611:21426836:1 gene:DRNTG_28076 transcript:DRNTG_28076.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIDDKYTKDGTTDIRGNPALKKNTGKWRACPYILANECCERLAYYGMSTNLVNYMKIRLNQGNATAANNVTNWSGTCYIMPLVGAFIADAYWGRYWTIASFMIVYIFGLTLLTMTASVKGLKASCHGGVCDPTNAQTAVVFVALYLIALGTGGIKPCVSSFGADQFDDSDESEKRSKSSFFNWFYFSINVGALIAASVLVWIQMNVGWGWGFGIPAVAMAIAVVSFFLGSRLYRHQKPGGSPLTRIAQVIIASFRKCRVEMPADKSLLYEITDKESAIEGSRKLDHTEEFRCLDKAAVVAQEDQTKAVNPWRLCTVTQVEELKSIVRLLPIWASGIIFSTVYSQMSTMFVLQGNTLDPHMGPHFEIPSASLSIFDTISVLVWVPIYDKLIVPAARRNHGQ >DRNTG_28076.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21422611:21426836:1 gene:DRNTG_28076 transcript:DRNTG_28076.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIDDKYTKDGTTDIRGNPALKKNTGKWRACPYILANECCERLAYYGMSTNLVNYMKIRLNQGNATAANNVTNWSGTCYIMPLVGAFIADAYWGRYWTIASFMIVYIFGLTLLTMTASVKGLKASCHGGVCDPTNAQTAVVFVALYLIALGTGGIKPCVSSFGADQFDDSDESEKRSKSSFFNWFYFSINVGALIAASVLVWIQMNVGWGWGFGIPAVAMAIAVVSFFLGSRLYRHQKPGGSPLTRIAQVIIASFRKCRVEMPADKSLLYEITDKESAIEGSRKLDHTEEFRCLDKAAVVAQEDQTKAVNPWRLCTVTQVEELKSIVRLLPIWASGIIFSTVYSQMSTMFVLQGNTLDPHMGPHFEIPSASLSIFDTISVLVWVPIYDKLIVPAARRNHGQ >DRNTG_11308.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1625941:1636304:-1 gene:DRNTG_11308 transcript:DRNTG_11308.11 gene_biotype:protein_coding transcript_biotype:protein_coding MRGARQSPSQWKPRQRGEWRGFTIRLISDGRSGRPLTHGAIWNLVASLPSKPDDFCINSTGSVVCELFFYHWCGTLDAVAHLWSLRLADAHRLLPVLESSLSLPSDKFDVEARLKSVFAAHARKLLESDAVLKCEKKILDLKADIAKLVSRLKRRNTVRIYNELRMEQKDLEEELAQMKCRVEEFRAAVECVLVYLGESENALVEREEMDGLVGLFKFGKEFDWRRIHCVLERECRRLQDGLPIYAWRTAILRTIKLNQVTVLIGETGSGKSTQLVQFLADAGLAADGSVACTQPRRIAALSLGRRVRDESHGCYVDNFVTTYPTYVSSQVFGSKVIYMTDYCLLQHCMGNMSLSGISCIIVDEAHERSLNTDLLLALVKKQLFDRSDLRLVIMSATADANKLAEYFYGCSVVNVKGRSFPVEIKYIPDVSSATSTAAPINHKSGGFASYVSDVVRMARFVHKTEGDGAILAFLTSQMEVEWACENFVEPMVVVLPLHGKLSFEEQNRVFESYPGRRKIIFSTNVAETSLTIHDVKYVIDCGMEKESRFEPSSGMNVLKVCWISQSSANQRAGRAGRTGAGKCYRLYSESDFQSIRIHQEPEICKVHLGSAVLRILALGIQKVQDFDFVDAPSTMAIDKAVQNLVHLDAITCRNGKYELTDVGRYLLKLGIAPRLGKIILDCFTCGLRKEGLVLAAVLTHSSNMFCRVGNEEEKYRADRRKLPFCHHYGDLFTLLSVYKKWEDEHESKNKWCWENSINAKSMRRCQDTVQELERSLRHELNVIIPSYWLWNPGRTSMYDKSLKWVILSSLAENAAMYSGYDRLGYEVALTGEHLQLHPSCSLLAFGQKPDWVVFHEILSLPNQYLVCVTAVDHECFHKIQPPLFDISQLRNRKMQMNLVSGISNNVLRRLCGKQTLDLQHIVSRLRNLCMDNRISIDVDFDKGELQLFASAKDMEKVSSTVGAALLFEKKRLMDECIEKCLYHTVTGNSPSLALFGSGAEIKHLELENRYLAVEISHANAHDLDDKELLMLADQLAPGIANVYKHIGSGPDNEDLSKWGKIVFLTPEAAENAVAKLNELEFLGSMLKVVPASTGEHLILPFPAVKVRLYWPRRPSKGVALVSCSQENADFIINDCSGLEIGGKFINCQLSTKHRNCIFVTGISKDVSESELSNALQSATKRTIIDVHLLRGASVCDLPNSTSAKALTKEIASFMPNKQFHADSFRVEVFNPEAKDYMTKALITFNGSLHLEAAKALDHIQGKVLPGFLSWQKIECQQMFYSTLSCPGRVYHAIKTELDSLLLNFKRHKGVSFKMDRTESGAFRLKVHAKATKVVADLRKPLEQLMRGRTIHHPGLTPSLMQVLLTRDGMGLMKAVERETGTCILYDRQNLTVKVFGSPEPVIEAEEKLMNSLLSFNENKQLEIRLRGVNLPPGLMKEVVQRFGTDLQGLKEKIPGVDLVLNTRHHALSVRGSKELKKKVEDVISEVIQHLDTEGLMIGQLSEESACPICLCELEEPYKLESCGHVFCEACLIDQFESVIKSHDGFPICCTKEGCKAPILLADLRHLLSTDKLEQLFRASLGAYVTASLGTYRFCPTPDCPSVYRVAPEGAATGSFECPVCSMETCTKCHLEYHPFISCETYMEFKENPDLSLEVWRKGKVEVKDCPSCHHTIEKIDGCNHIECRCGKHLCWVCLKWFRSSGGCYDHINSEHPTIV >DRNTG_11308.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1625575:1636304:-1 gene:DRNTG_11308 transcript:DRNTG_11308.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGARQSPSQWKPRQRGEWRGFTIRLISDGRSGRPLTHGAIWNLVASLPSKPDDFCINSTGSVVCELFFYHWCGTLDAVAHLWSLRLADAHRLLPVLESSLSLPSDKFDVEARLKSVFAAHARKLLESDAVLKCEKKILDLKADIAKLVSRLKRRNTVRIYNELRMEQKDLEEELAQMKCRVEEFRAAVECVLVYLGESENALVEREEMDGLVGLFKFGKEFDWRRIHCVLERECRRLQDGLPIYAWRTAILRTIKLNQVTVLIGETGSGKSTQLVQFLADAGLAADGSVACTQPRRIAALSLGRRVRDESHGCYVDNFVTTYPTYVSSQVFGSKVIYMTDYCLLQHCMGNMSLSGISCIIVDEAHERSLNTDLLLALVKKQLFDRSDLRLVIMSATADANKLAEYFYGCSVVNVKGRSFPVEIKYIPDVSSATSTAAPINHKSGGFASYVSDVVRMARFVHKTEGDGAILAFLTSQMEVEWACENFVEPMVVVLPLHGKLSFEEQNRVFESYPGRRKIIFSTNVAETSLTIHDVKYVIDCGMEKESRFEPSSGMNVLKVCWISQSSANQRAGRAGRTGAGKCYRLYSESDFQSIRIHQEPEICKVHLGSAVLRILALGIQKVQDFDFVDAPSTMAIDKAVQNLVHLDAITCRNGKYELTDVGRYLLKLGIAPRLGKIILDCFTCGLRKEGLVLAAVLTHSSNMFCRVGNEEEKYRADRRKLPFCHHYGDLFTLLSVYKKWEDEHESKNKWCWENSINAKSMRRCQDTVQELERSLRHELNVIIPSYWLWNPGRTSMYDKSLKWVILSSLAENAAMYSGYDRLGYEVALTGEHLQLHPSCSLLAFGQKPDWVVFHEILSLPNQYLVCVTAVDHECFHKIQPPLFDISQLRNRKMQMNLVSGISNNVLRRLCGKQTLDLQHIVSRLRNLCMDNRISIDVDFDKGELQLFASAKDMEKVSSTVGAALLFEKKRLMDECIEKCLYHTVTGNSPSLALFGSGAEIKHLELENRYLAVEISHANAHDLDDKELLMLADQLAPGIANVYKHIGSGPDNEDLSKWGKIVFLTPEAAENAVAKLNELEFLGSMLKVVPASTGEHLILPFPAVKVRLYWPRRPSKGVALVSCSQENADFIINDCSGLEIGGKFINCQLSTKHRNCIFVTGISKDVSESELSNALQSATKRTIIDVHLLRGASVCDLPNSTSAKALTKEIASFMPNKQFHADSFRVEVFNPEAKDYMTKALITFNGSLHLEAAKALDHIQGKVLPGFLSWQKIECQQMFYSTLSCPGRVYHAIKTELDSLLLNFKRHKGVSFKMDRTESGAFRLKVHAKATKVVADLRKPLEQLMRGRTIHHPGLTPSLMQVLLTRDGMGLMKAVERETGTCILYDRQNLTVKVFGSPEPVIEAEEKLMNSLLSFNENKQLEIRLRGVNLPPGLMKEVVQRFGTDLQGLKEKIPGVDLVLNTRHHALSVRGSKELKKKVEDVISEVIQHLDTEGLMIGQLSEESACPICLCELEEPYKLESCGHVFCEACLIDQFESVIKSHDGFPICCTKEGCKAPILLADLRHLLSTDKLEQLFRASLGAYVTASLGTYRFCPTPDCPSVYRVAPEGAATGSFECPVCSMETCTKCHLEYHPFISCETYMEFKENPDLSLEVWRKGKVEVKDCPSCHHTIEKIDGCNHIECRCGKHLCWVCLKWFRSSGGCYDHINSEHPTIV >DRNTG_11308.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1625941:1636304:-1 gene:DRNTG_11308 transcript:DRNTG_11308.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRGARQSPSQWKPRQRGEWRGFTIRLISDGRSGRPLTHGAIWNLVASLPSKPDDFCINSTGSVVCELFFYHWCGTLDAVAHLWSLRLADAHRLLPVLESSLSLPSDKFDVEARLKSVFAAHARKLLESDAVLKCEKKILDLKADIAKLVSRLKRRNTVRIYNELRMEQKDLEEELAQMKCRVEEFRAAVECVLVYLGESENALVEREEMDGLVGLFKFGKEFDWRRIHCVLERECRRLQDGLPIYAWRTAILRTIKLNQVTVLIGETGSGKSTQLVQFLADAGLAADGSVACTQPRRIAALSLGRRVRDESHGCYVDNFVTTYPTYVSSQVFGSKVIYMTDYCLLQHCMGNMSLSGISCIIVDEAHERSLNTDLLLALVKKQLFDRSDLRLVIMSATADANKLAEYFYGCSVVNVKGRSFPVEIKYIPDVSSATSTAAPINHKSGGFASYVSDVVRMARFVHKTEGDGAILAFLTSQMEVEWACENFVEPMVVVLPLHGKLSFEEQNRVFESYPGRRKIIFSTNVAETSLTIHDVKYVIDCGMEKESRFEPSSGMNVLKVCWISQSSANQRAGRAGRTGAGKCYRLYSESDFQSIRIHQEPEICKVHLGSAVLRILALGIQKVQDFDFVDAPSTMAIDKAVQNLVHLDAITCRNGKYELTDVGRYLLKLGIAPRLGKIILDCFTCGLRKEGLVLAAVLTHSSNMFCRVGNEEEKYRADRRKLPFCHHYGDLFTLLSVYKKWEDEHESKNKWCWENSINAKSMRRCQDTVQELERSLRHELNVIIPSYWLWNPGRTSMYDKSLKWVILSSLAENAAMYSGYDRLGYEVALTGEHLQLHPSCSLLAFGQKPDWVVFHEILSLPNQYLVCVTAVDHECFHKIQPPLFDISQLRNRKMQMNLVSGISNNVLRRLCGKQTLDLQHIVSRLRNLCMDNRISIDVDFDKGELQLFASAKDMEKVSSTVGAALLFEKKRLMDECIEKCLYHTVTGNSPSLALFGSGAEIKHLELENRYLAVEISHANAHDLDDKELLMLADQLAPGIANVYKHIGSGPDNEDLSKWGKIVFLTPEAAENAVAKLNELEFLGSMLKVVPASTGEHLILPFPAVKVRLYWPRRPSKGVALVSCSQENADFIINDCSGLEIGGKFINCQLSTKHRNCIFVTGISKDVSESELSNALQSATKRTIIDVHLLRGASVCDLPNSTSAKALTKEIASFMPNKQFHADSFRVEVFNPEAKDYMTKALITFNGSLHLEAAKALDHIQGKVLPGFLSWQKIECQQMFYSTLSCPGRVYHAIKTELDSLLLNFKRHKGVSFKMDRTESGAFRLKVHAKATKVVADLRKPLEQLMRGRTIHHPGLTPSLMQVLLTRDGMGLMKAVERETGTCILYDRQNLTVKVFGSPEPVIEAEEKLMNSLLSFNENKQLEIRLRGVNLPPGLMKEVVQRFGTDLQGLKEKIPGVDLVLNTRHHALSVRGSKELKKKVEDVISEVIQHLDTEGLMIGQLSEESACPICLCELEEPYKLESCGHVFCEACLIDQFESVIKSHDGFPICCTKEGCKAPILLADLRHLLSTDKLEQLFRASLGAYVTASLGTYRFCPTPDCPSVYRVAPEGAATGSFECPVCSMETCTKCHLEYHPFISCETYMEFKENPDLSLEVWRKGKVEVKDCPSCHHTIEKIDGCNHIECRCGKHLCWVCLKWFRSSGGCYDHINSEHPTIV >DRNTG_11308.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1625575:1636304:-1 gene:DRNTG_11308 transcript:DRNTG_11308.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGARQSPSQWKPRQRGEWRGFTIRLISDGRSGRPLTHGAIWNLVASLPSKPDDFCINSTGSVVCELFFYHWCGTLDAVAHLWSLRLADAHRLLPVLESSLSLPSDKFDVEARLKSVFAAHARKLLESDAVLKCEKKILDLKADIAKLVSRLKRRNTVRIYNELRMEQKDLEEELAQMKCRVEEFRAAVECVLVYLGESENALVEREEMDGLVGLFKFGKEFDWRRIHCVLERECRRLQDGLPIYAWRTAILRTIKLNQVTVLIGETGSGKSTQLVQFLADAGLAADGSVACTQPRRIAALSLGRRVRDESHGCYVDNFVTTYPTYVSSQVFGSKVIYMTDYCLLQHCMGNMSLSGISCIIVDEAHERSLNTDLLLALVKKQLFDRSDLRLVIMSATADANKLAEYFYGCSVVNVKGRSFPVEIKYIPDVSSATSTAAPINHKSGGFASYVSDVVRMARFVHKTEGDGAILAFLTSQMEVEWACENFVEPMVVVLPLHGKLSFEEQNRVFESYPGRRKIIFSTNVAETSLTIHDVKYVIDCGMEKESRFEPSSGMNVLKVCWISQSSANQRAGRAGRTGAGKCYRLYSESDFQSIRIHQEPEICKVHLGSAVLRILALGIQKVQDFDFVDAPSTMAIDKAVQNLVHLDAITCRNGKYELTDVGRYLLKLGIAPRLGKIILDCFTCGLRKEGLVLAAVLTHSSNMFCRVGNEEEKYRADRRKLPFCHHYGDLFTLLSVYKKWEDEHESKNKWCWENSINAKSMRRCQDTVQELERSLRHELNVIIPSYWLWNPGRTSMYDKSLKWVILSSLAENAAMYSGYDRLGYEVALTGEHLQLHPSCSLLAFGQKPDWVVFHEILSLPNQYLVCVTAVDHECFHKIQPPLFDISQLRNRKMQMNLVSGISNNVLRRLCGKQTLDLQHIVSRLRNLCMDNRISIDVDFDKGELQLFASAKDMEKVSSTVGAALLFEKKRLMDECIEKCLYHTVTGNSPSLALFGSGAEIKHLELENRYLAVEISHANAHDLDDKELLMLADQLAPGIANVYKHIGSGPDNEDLSKWGKIVFLTPEAAENAVAKLNELEFLGSMLKVVPASTGEHLILPFPAVKVRLYWPRRPSKGVALVSCSQENADFIINDCSGLEIGGKFINCQLSTKHRNCIFVTGISKDVSESELSNALQSATKRTIIDVHLLRGASVCDLPNSTSAKALTKEIASFMPNKQFHADSFRVEVFNPEAKDYMTKALITFNGSLHLEAAKALDHIQGKVLPGFLSWQKIECQQMFYSTLSCPGRVYHAIKTELDSLLLNFKRHKGVSFKMDRTESGAFRLKVHAKATKVVADLRKPLEQLMRGRTIHHPGLTPSLMQVLLTRDGMGLMKAVERETGTCILYDRQNLTVKVFGSPEPVIEAEEKLMNSLLSFNENKQLEIRLRGVNLPPGLMKEVVQRFGTDLQGLKEKIPGVDLVLNTRHHALSVRGSKELKKKVEDVISEVIQHLDTEGLMIGQLSEESACPICLCELEEPYKLESCGHVFCEACLIDQFESVIKSHDGFPICCTKEGCKAPILLADLRHLLSTDKLEQLFRASLGAYVTASLGTYRFCPTPDCPSVYRVAPEGAATGSFECPVCSMETCTKCHLEYHPFISCETYMEFKENPDLSLEVWRKGKVEVKDCPSCHHTIEKIDGCNHIECRCGKHLCWVCLKWFRSSGGCYDHINSEHPTIV >DRNTG_11308.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1625575:1636304:-1 gene:DRNTG_11308 transcript:DRNTG_11308.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRGARQSPSQWKPRQRGEWRGFTIRLISDGRSGRPLTHGAIWNLVASLPSKPDDFCINSTGSVVCELFFYHWCGTLDAVAHLWSLRLADAHRLLPVLESSLSLPSDKFDVEARLKSVFAAHARKLLESDAVLKCEKKILDLKADIAKLVSRLKRRNTVRIYNELRMEQKDLEEELAQMKCRVEEFRAAVECVLVYLGESENALVEREEMDGLVGLFKFGKEFDWRRIHCVLERECRRLQDGLPIYAWRTAILRTIKLNQVTVLIGETGSGKSTQLVQFLADAGLAADGSVACTQPRRIAALSLGRRVRDESHGCYVDNFVTTYPTYVSSQVFGSKVIYMTDYCLLQHCMGNMSLSGISCIIVDEAHERSLNTDLLLALVKKQLFDRSDLRLVIMSATADANKLAEYFYGCSVVNVKGRSFPVEIKYIPDVSSATSTAAPINHKSGGFASYVSDVVRMARFVHKTEGDGAILAFLTSQMEVEWACENFVEPMVVVLPLHGKLSFEEQNRVFESYPGRRKIIFSTNVAETSLTIHDVKYVIDCGMEKESRFEPSSGMNVLKVCWISQSSANQRAGRAGRTGAGKCYRLYSESDFQSIRIHQEPEICKVHLGSAVLRILALGIQKVQDFDFVDAPSTMAIDKAVQNLVHLDAITCRNGKYELTDVGRYLLKLGIAPRLGKIILDCFTCGLRKEGLVLAAVLTHSSNMFCRVGNEEEKYRADRRKLPFCHHYGDLFTLLSVYKKWEDEHESKNKWCWENSINAKSMRRCQDTVQELERSLRHELNVIIPSYWLWNPGRTSMYDKSLKWVILSSLAENAAMYSGYDRLGYEVALTGEHLQLHPSCSLLAFGQKPDWVVFHEILSLPNQYLVCVTAVDHECFHKIQPPLFDISQLRNRKMQMNLVSGISNNVLRRLCGKQTLDLQHIVSRLRNLCMDNRISIDVDFDKGELQLFASAKDMEKVSSTVGAALLFEKKRLMDECIEKCLYHTVTGNSPSLALFGSGAEIKHLELENRYLAVEISHANAHDLDDKELLMLADQLAPGIANVYKHIGSGPDNEDLSKWGKIVFLTPEAAENAVAKLNELEFLGSMLKVVPASTGEHLILPFPAVKVRLYWPRRPSKGVALVSCSQENADFIINDCSGLEIGGKFINCQLSTKHRNCIFVTGISKDVSESELSNALQSATKRTIIDVHLLRGASVCDLPNSTSAKALTKEIASFMPNKQFHADSFRVEVFNPEAKDYMTKALITFNGSLHLEAAKALDHIQGKVLPGFLSWQKIECQQMFYSTLSCPGRVYHAIKTELDSLLLNFKRHKGVSFKMDRTESGAFRLKVHAKATKVVADLRKPLEQLMRGRTIHHPGLTPSLMQVLLTRDGMGLMKAVERETGTCILYDRQNLTVKVFGSPEPVIEAEEKLMNSLLSFNENKQLEIRLRGVNLPPGLMKEVVQRFGTDLQGLKEKIPGVDLVLNTRHHALSVRGSKELKKKVEDVISEVIQHLDTEGLMIGQLSEESACPICLCELEEPYKLESCGHVFCEACLIDQFESVIKSHDGFPICCTKEGCKAPILLADLRHLLSTDKLEQLFRASLGAYVTASLGTYRFCPTPDCPSVYRVAPEGAATGSFECPVCSMETCTKCHLEYHPFISCETYMEFKENPDLSLEVWRKGKVEVKDCPSCHHTIEKIDGCNHIECRCGKHLCWVCLKWFRSSGGCYDHINSEHPTIV >DRNTG_11308.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1625575:1636304:-1 gene:DRNTG_11308 transcript:DRNTG_11308.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRGARQSPSQWKPRQRGEWRGFTIRLISDGRSGRPLTHGAIWNLVASLPSKPDDFCINSTGSVVCELFFYHWCGTLDAVAHLWSLRLADAHRLLPVLESSLSLPSDKFDVEARLKSVFAAHARKLLESDAVLKCEKKILDLKADIAKLVSRLKRRNTVRIYNELRMEQKDLEEELAQMKCRVEEFRAAVECVLVYLGESENALVEREEMDGLVGLFKFGKEFDWRRIHCVLERECRRLQDGLPIYAWRTAILRTIKLNQVTVLIGETGSGKSTQLVQFLADAGLAADGSVACTQPRRIAALSLGRRVRDESHGCYVDNFVTTYPTYVSSQVFGSKVIYMTDYCLLQHCMGNMSLSGISCIIVDEAHERSLNTDLLLALVKKQLFDRSDLRLVIMSATADANKLAEYFYGCSVVNVKGRSFPVEIKYIPDVSSATSTAAPINHKSGGFASYVSDVVRMARFVHKTEGDGAILAFLTSQMEVEWACENFVEPMVVVLPLHGKLSFEEQNRVFESYPGRRKIIFSTNVAETSLTIHDVKYVIDCGMEKESRFEPSSGMNVLKVCWISQSSANQRAGRAGRTGAGKCYRLYSESDFQSIRIHQEPEICKVHLGSAVLRILALGIQKVQDFDFVDAPSTMAIDKAVQNLVHLDAITCRNGKYELTDVGRYLLKLGIAPRLGKIILDCFTCGLRKEGLVLAAVLTHSSNMFCRVGNEEEKYRADRRKLPFCHHYGDLFTLLSVYKKWEDEHESKNKWCWENSINAKSMRRCQDTVQELERSLRHELNVIIPSYWLWNPGRTSMYDKSLKWVILSSLAENAAMYSGYDRLGYEVALTGEHLQLHPSCSLLAFGQKPDWVVFHEILSLPNQYLVCVTAVDHECFHKIQPPLFDISQLRNRKMQMNLVSGISNNVLRRLCGKQTLDLQHIVSRLRNLCMDNRISIDVDFDKGELQLFASAKDMEKVSSTVGAALLFEKKRLMDECIEKCLYHTVTGNSPSLALFGSGAEIKHLELENRYLAVEISHANAHDLDDKELLMLADQLAPGIANVYKHIGSGPDNEDLSKWGKIVFLTPEAAENAVAKLNELEFLGSMLKVVPASTGEHLILPFPAVKVRLYWPRRPSKGVALVSCSQENADFIINDCSGLEIGGKFINCQLSTKHRNCIFVTGISKDVSESELSNALQSATKRTIIDVHLLRGASVCDLPNSTSAKALTKEIASFMPNKQFHADSFRVEVFNPEAKDYMTKALITFNGSLHLEAAKALDHIQGKVLPGFLSWQKIECQQMFYSTLSCPGRVYHAIKTELDSLLLNFKRHKGVSFKMDRTESGAFRLKVHAKATKVVADLRKPLEQLMRGRTIHHPGLTPSLMQVLLTRDGMGLMKAVERETGTCILYDRQNLTVKVFGSPEPVIEAEEKLMNSLLSFNENKQLEIRLRGVNLPPGLMKEVVQRFGTDLQGLKEKIPGVDLVLNTRHHALSVRGSKELKKKVEDVISEVIQHLDTEGLMIGQLSEESACPICLCELEEPYKLESCGHVFCEACLIDQFESVIKSHDGFPICCTKEGCKAPILLADLRHLLSTDKLEQLFRASLGAYVTASLGTYRFCPTPDCPSVYRVAPEGAATGSFECPVCSMETCTKCHLEYHPFISCETYMEFKENPDLSLEVWRKGKVEVKDCPSCHHTIEKIDGCNHIECRCGKHLCWVCLKWFRSSGGCYDHINSEHPTIV >DRNTG_11308.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1625941:1636304:-1 gene:DRNTG_11308 transcript:DRNTG_11308.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRGARQSPSQWKPRQRGEWRGFTIRLISDGRSGRPLTHGAIWNLVASLPSKPDDFCINSTGSVVCELFFYHWCGTLDAVAHLWSLRLADAHRLLPVLESSLSLPSDKFDVEARLKSVFAAHARKLLESDAVLKCEKKILDLKADIAKLVSRLKRRNTVRIYNELRMEQKDLEEELAQMKCRVEEFRAAVECVLVYLGESENALVEREEMDGLVGLFKFGKEFDWRRIHCVLERECRRLQDGLPIYAWRTAILRTIKLNQVTVLIGETGSGKSTQLVQFLADAGLAADGSVACTQPRRIAALSLGRRVRDESHGCYVDNFVTTYPTYVSSQVFGSKVIYMTDYCLLQHCMGNMSLSGISCIIVDEAHERSLNTDLLLALVKKQLFDRSDLRLVIMSATADANKLAEYFYGCSVVNVKGRSFPVEIKYIPDVSSATSTAAPINHKSGGFASYVSDVVRMARFVHKTEGDGAILAFLTSQMEVEWACENFVEPMVVVLPLHGKLSFEEQNRVFESYPGRRKIIFSTNVAETSLTIHDVKYVIDCGMEKESRFEPSSGMNVLKVCWISQSSANQRAGRAGRTGAGKCYRLYSESDFQSIRIHQEPEICKVHLGSAVLRILALGIQKVQDFDFVDAPSTMAIDKAVQNLVHLDAITCRNGKYELTDVGRYLLKLGIAPRLGKIILDCFTCGLRKEGLVLAAVLTHSSNMFCRVGNEEEKYRADRRKLPFCHHYGDLFTLLSVYKKWEDEHESKNKWCWENSINAKSMRRCQDTVQELERSLRHELNVIIPSYWLWNPGRTSMYDKSLKWVILSSLAENAAMYSGYDRLGYEVALTGEHLQLHPSCSLLAFGQKPDWVVFHEILSLPNQYLVCVTAVDHECFHKIQPPLFDISQLRNRKMQMNLVSGISNNVLRRLCGKQTLDLQHIVSRLRNLCMDNRISIDVDFDKGELQLFASAKDMEKVSSTVGAALLFEKKRLMDECIEKCLYHTVTGNSPSLALFGSGAEIKHLELENRYLAVEISHANAHDLDDKELLMLADQLAPGIANVYKHIGSGPDNEDLSKWGKIVFLTPEAAENAVAKLNELEFLGSMLKVVPASTGEHLILPFPAVKVRLYWPRRPSKGVALVSCSQENADFIINDCSGLEIGGKFINCQLSTKHRNCIFVTGISKDVSESELSNALQSATKRTIIDVHLLRGASVCDLPNSTSAKALTKEIASFMPNKQFHADSFRVEVFNPEAKDYMTKALITFNGSLHLEAAKALDHIQGKVLPGFLSWQKIECQQMFYSTLSCPGRVYHAIKTELDSLLLNFKRHKGVSFKMDRTESGAFRLKVHAKATKVVADLRKPLEQLMRGRTIHHPGLTPSLMQVLLTRDGMGLMKAVERETGTCILYDRQNLTVKVFGSPEPVIEAEEKLMNSLLSFNENKQLEIRLRGVNLPPGLMKEVVQRFGTDLQGLKEKIPGVDLVLNTRHHALSVRGSKELKKKVEDVISEVIQHLDTEGLMIGQLSEESACPICLCELEEPYKLESCGHVFCEACLIDQFESVIKSHDGFPICCTKEGCKAPILLADLRHLLSTDKLEQLFRASLGAYVTASLGTYRFCPTPDCPSVYRVAPEGAATGSFECPVCSMETCTKCHLEYHPFISCETYMEFKENPDLSLEVWRKGKVEVKDCPSCHHTIEKIDGCNHIECRCGKHLCWVCLKWFRSSGGCYDHINSEHPTIV >DRNTG_11308.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1625941:1636304:-1 gene:DRNTG_11308 transcript:DRNTG_11308.9 gene_biotype:protein_coding transcript_biotype:protein_coding MRGARQSPSQWKPRQRGEWRGFTIRLISDGRSGRPLTHGAIWNLVASLPSKPDDFCINSTGSVVCELFFYHWCGTLDAVAHLWSLRLADAHRLLPVLESSLSLPSDKFDVEARLKSVFAAHARKLLESDAVLKCEKKILDLKADIAKLVSRLKRRNTVRIYNELRMEQKDLEEELAQMKCRVEEFRAAVECVLVYLGESENALVEREEMDGLVGLFKFGKEFDWRRIHCVLERECRRLQDGLPIYAWRTAILRTIKLNQVTVLIGETGSGKSTQLVQFLADAGLAADGSVACTQPRRIAALSLGRRVRDESHGCYVDNFVTTYPTYVSSQVFGSKVIYMTDYCLLQHCMGNMSLSGISCIIVDEAHERSLNTDLLLALVKKQLFDRSDLRLVIMSATADANKLAEYFYGCSVVNVKGRSFPVEIKYIPDVSSATSTAAPINHKSGGFASYVSDVVRMARFVHKTEGDGAILAFLTSQMEVEWACENFVEPMVVVLPLHGKLSFEEQNRVFESYPGRRKIIFSTNVAETSLTIHDVKYVIDCGMEKESRFEPSSGMNVLKVCWISQSSANQRAGRAGRTGAGKCYRLYSESDFQSIRIHQEPEICKVHLGSAVLRILALGIQKVQDFDFVDAPSTMAIDKAVQNLVHLDAITCRNGKYELTDVGRYLLKLGIAPRLGKIILDCFTCGLRKEGLVLAAVLTHSSNMFCRVGNEEEKYRADRRKLPFCHHYGDLFTLLSVYKKWEDEHESKNKWCWENSINAKSMRRCQDTVQELERSLRHELNVIIPSYWLWNPGRTSMYDKSLKWVILSSLAENAAMYSGYDRLGYEVALTGEHLQLHPSCSLLAFGQKPDWVVFHEILSLPNQYLVCVTAVDHECFHKIQPPLFDISQLRNRKMQMNLVSGISNNVLRRLCGKQTLDLQHIVSRLRNLCMDNRISIDVDFDKGELQLFASAKDMEKVSSTVGAALLFEKKRLMDECIEKCLYHTVTGNSPSLALFGSGAEIKHLELENRYLAVEISHANAHDLDDKELLMLADQLAPGIANVYKHIGSGPDNEDLSKWGKIVFLTPEAAENAVAKLNELEFLGSMLKVVPASTGEHLILPFPAVKVRLYWPRRPSKGVALVSCSQENADFIINDCSGLEIGGKFINCQLSTKHRNCIFVTGISKDVSESELSNALQSATKRTIIDVHLLRGASVCDLPNSTSAKALTKEIASFMPNKQFHADSFRVEVFNPEAKDYMTKALITFNGSLHLEAAKALDHIQGKVLPGFLSWQKIECQQMFYSTLSCPGRVYHAIKTELDSLLLNFKRHKGVSFKMDRTESGAFRLKVHAKATKVVADLRKPLEQLMRGRTIHHPGLTPSLMQVLLTRDGMGLMKAVERETGTCILYDRQNLTVKVFGSPEPVIEAEEKLMNSLLSFNENKQLEIRLRGVNLPPGLMKEVVQRFGTDLQGLKEKIPGVDLVLNTRHHALSVRGSKELKKKVEDVISEVIQHLDTEGLMIGQLSEESACPICLCELEEPYKLESCGHVFCEACLIDQFESVIKSHDGFPICCTKEGCKAPILLADLRHLLSTDKLEQLFRASLGAYVTASLGTYRFCPTPDCPSVYRVAPEGAATGSFECPVCSMETCTKCHLEYHPFISCETYMEFKENPDLSLEVWRKGKVEVKDCPSCHHTIEKIDGCNHIECRCGKHLCWVCLKWFRSSGGCYDHINSEHPTIV >DRNTG_11308.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1625575:1636304:-1 gene:DRNTG_11308 transcript:DRNTG_11308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGARQSPSQWKPRQRGEWRGFTIRLISDGRSGRPLTHGAIWNLVASLPSKPDDFCINSTGSVVCELFFYHWCGTLDAVAHLWSLRLADAHRLLPVLESSLSLPSDKFDVEARLKSVFAAHARKLLESDAVLKCEKKILDLKADIAKLVSRLKRRNTVRIYNELRMEQKDLEEELAQMKCRVEEFRAAVECVLVYLGESENALVEREEMDGLVGLFKFGKEFDWRRIHCVLERECRRLQDGLPIYAWRTAILRTIKLNQVTVLIGETGSGKSTQLVQFLADAGLAADGSVACTQPRRIAALSLGRRVRDESHGCYVDNFVTTYPTYVSSQVFGSKVIYMTDYCLLQHCMGNMSLSGISCIIVDEAHERSLNTDLLLALVKKQLFDRSDLRLVIMSATADANKLAEYFYGCSVVNVKGRSFPVEIKYIPDVSSATSTAAPINHKSGGFASYVSDVVRMARFVHKTEGDGAILAFLTSQMEVEWACENFVEPMVVVLPLHGKLSFEEQNRVFESYPGRRKIIFSTNVAETSLTIHDVKYVIDCGMEKESRFEPSSGMNVLKVCWISQSSANQRAGRAGRTGAGKCYRLYSESDFQSIRIHQEPEICKVHLGSAVLRILALGIQKVQDFDFVDAPSTMAIDKAVQNLVHLDAITCRNGKYELTDVGRYLLKLGIAPRLGKIILDCFTCGLRKEGLVLAAVLTHSSNMFCRVGNEEEKYRADRRKLPFCHHYGDLFTLLSVYKKWEDEHESKNKWCWENSINAKSMRRCQDTVQELERSLRHELNVIIPSYWLWNPGRTSMYDKSLKWVILSSLAENAAMYSGYDRLGYEVALTGEHLQLHPSCSLLAFGQKPDWVVFHEILSLPNQYLVCVTAVDHECFHKIQPPLFDISQLRNRKMQMNLVSGISNNVLRRLCGKQTLDLQHIVSRLRNLCMDNRISIDVDFDKGELQLFASAKDMEKVSSTVGAALLFEKKRLMDECIEKCLYHTVTGNSPSLALFGSGAEIKHLELENRYLAVEISHANAHDLDDKELLMLADQLAPGIANVYKHIGSGPDNEDLSKWGKIVFLTPEAAENAVAKLNELEFLGSMLKVVPASTGEHLILPFPAVKVRLYWPRRPSKGVALVSCSQENADFIINDCSGLEIGGKFINCQLSTKHRNCIFVTGISKDVSESELSNALQSATKRTIIDVHLLRGASVCDLPNSTSAKALTKEIASFMPNKQFHADSFRVEVFNPEAKDYMTKALITFNGSLHLEAAKALDHIQGKVLPGFLSWQKIECQQMFYSTLSCPGRVYHAIKTELDSLLLNFKRHKGVSFKMDRTESGAFRLKVHAKATKVVADLRKPLEQLMRGRTIHHPGLTPSLMQVLLTRDGMGLMKAVERETGTCILYDRQNLTVKVFGSPEPVIEAEEKLMNSLLSFNENKQLEIRLRGVNLPPGLMKEVVQRFGTDLQGLKEKIPGVDLVLNTRHHALSVRGSKELKKKVEDVISEVIQHLDTEGLMIGQLSEESACPICLCELEEPYKLESCGHVFCEACLIDQFESVIKSHDGFPICCTKEGCKAPILLADLRHLLSTDKLEQLFRASLGAYVTASLGTYRFCPTPDCPSVYRVAPEGAATGSFECPVCSMETCTKCHLEYHPFISCETYMEFKENPDLSLEVWRKGKVEVKDCPSCHHTIEKIDGCNHIECRCGKHLCWVCLKWFRSSGGCYDHINSEHPTIV >DRNTG_11308.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1625941:1636304:-1 gene:DRNTG_11308 transcript:DRNTG_11308.10 gene_biotype:protein_coding transcript_biotype:protein_coding MRGARQSPSQWKPRQRGEWRGFTIRLISDGRSGRPLTHGAIWNLVASLPSKPDDFCINSTGSVVCELFFYHWCGTLDAVAHLWSLRLADAHRLLPVLESSLSLPSDKFDVEARLKSVFAAHARKLLESDAVLKCEKKILDLKADIAKLVSRLKRRNTVRIYNELRMEQKDLEEELAQMKCRVEEFRAAVECVLVYLGESENALVEREEMDGLVGLFKFGKEFDWRRIHCVLERECRRLQDGLPIYAWRTAILRTIKLNQVTVLIGETGSGKSTQLVQFLADAGLAADGSVACTQPRRIAALSLGRRVRDESHGCYVDNFVTTYPTYVSSQVFGSKVIYMTDYCLLQHCMGNMSLSGISCIIVDEAHERSLNTDLLLALVKKQLFDRSDLRLVIMSATADANKLAEYFYGCSVVNVKGRSFPVEIKYIPDVSSATSTAAPINHKSGGFASYVSDVVRMARFVHKTEGDGAILAFLTSQMEVEWACENFVEPMVVVLPLHGKLSFEEQNRVFESYPGRRKIIFSTNVAETSLTIHDVKYVIDCGMEKESRFEPSSGMNVLKVCWISQSSANQRAGRAGRTGAGKCYRLYSESDFQSIRIHQEPEICKVHLGSAVLRILALGIQKVQDFDFVDAPSTMAIDKAVQNLVHLDAITCRNGKYELTDVGRYLLKLGIAPRLGKIILDCFTCGLRKEGLVLAAVLTHSSNMFCRVGNEEEKYRADRRKLPFCHHYGDLFTLLSVYKKWEDEHESKNKWCWENSINAKSMRRCQDTVQELERSLRHELNVIIPSYWLWNPGRTSMYDKSLKWVILSSLAENAAMYSGYDRLGYEVALTGEHLQLHPSCSLLAFGQKPDWVVFHEILSLPNQYLVCVTAVDHECFHKIQPPLFDISQLRNRKMQMNLVSGISNNVLRRLCGKQTLDLQHIVSRLRNLCMDNRISIDVDFDKGELQLFASAKDMEKVSSTVGAALLFEKKRLMDECIEKCLYHTVTGNSPSLALFGSGAEIKHLELENRYLAVEISHANAHDLDDKELLMLADQLAPGIANVYKHIGSGPDNEDLSKWGKIVFLTPEAAENAVAKLNELEFLGSMLKVVPASTGEHLILPFPAVKVRLYWPRRPSKGVALVSCSQENADFIINDCSGLEIGGKFINCQLSTKHRNCIFVTGISKDVSESELSNALQSATKRTIIDVHLLRGASVCDLPNSTSAKALTKEIASFMPNKQFHADSFRVEVFNPEAKDYMTKALITFNGSLHLEAAKALDHIQGKVLPGFLSWQKIECQQMFYSTLSCPGRVYHAIKTELDSLLLNFKRHKGVSFKMDRTESGAFRLKVHAKATKVVADLRKPLEQLMRGRTIHHPGLTPSLMQVLLTRDGMGLMKAVERETGTCILYDRQNLTVKVFGSPEPVIEAEEKLMNSLLSFNENKQLEIRLRGVNLPPGLMKEVVQRFGTDLQGLKEKIPGVDLVLNTRHHALSVRGSKELKKKVEDVISEVIQHLDTEGLMIGQLSEESACPICLCELEEPYKLESCGHVFCEACLIDQFESVIKSHDGFPICCTKEGCKAPILLADLRHLLSTDKLEQLFRASLGAYVTASLGTYRFCPTPDCPSVYRVAPEGAATGSFECPVCSMETCTKCHLEYHPFISCETYMEFKENPDLSLEVWRKGKVEVKDCPSCHHTIEKIDGCNHIECRCGKHLCWVCLKWFRSSGGCYDHINSEHPTIV >DRNTG_11308.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1625575:1636304:-1 gene:DRNTG_11308 transcript:DRNTG_11308.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGARQSPSQWKPRQRGEWRGFTIRLISDGRSGRPLTHGAIWNLVASLPSKPDDFCINSTGSVVCELFFYHWCGTLDAVAHLWSLRLADAHRLLPVLESSLSLPSDKFDVEARLKSVFAAHARKLLESDAVLKCEKKILDLKADIAKLVSRLKRRNTVRIYNELRMEQKDLEEELAQMKCRVEEFRAAVECVLVYLGESENALVEREEMDGLVGLFKFGKEFDWRRIHCVLERECRRLQDGLPIYAWRTAILRTIKLNQVTVLIGETGSGKSTQLVQFLADAGLAADGSVACTQPRRIAALSLGRRVRDESHGCYVDNFVTTYPTYVSSQVFGSKVIYMTDYCLLQHCMGNMSLSGISCIIVDEAHERSLNTDLLLALVKKQLFDRSDLRLVIMSATADANKLAEYFYGCSVVNVKGRSFPVEIKYIPDVSSATSTAAPINHKSGGFASYVSDVVRMARFVHKTEGDGAILAFLTSQMEVEWACENFVEPMVVVLPLHGKLSFEEQNRVFESYPGRRKIIFSTNVAETSLTIHDVKYVIDCGMEKESRFEPSSGMNVLKVCWISQSSANQRAGRAGRTGAGKCYRLYSESDFQSIRIHQEPEICKVHLGSAVLRILALGIQKVQDFDFVDAPSTMAIDKAVQNLVHLDAITCRNGKYELTDVGRYLLKLGIAPRLGKIILDCFTCGLRKEGLVLAAVLTHSSNMFCRVGNEEEKYRADRRKLPFCHHYGDLFTLLSVYKKWEDEHESKNKWCWENSINAKSMRRCQDTVQELERSLRHELNVIIPSYWLWNPGRTSMYDKSLKWVILSSLAENAAMYSGYDRLGYEVALTGEHLQLHPSCSLLAFGQKPDWVVFHEILSLPNQYLVCVTAVDHECFHKIQPPLFDISQLRNRKMQMNLVSGISNNVLRRLCGKQTLDLQHIVSRLRNLCMDNRISIDVDFDKGELQLFASAKDMEKVSSTVGAALLFEKKRLMDECIEKCLYHTVTGNSPSLALFGSGAEIKHLELENRYLAVEISHANAHDLDDKELLMLADQLAPGIANVYKHIGSGPDNEDLSKWGKIVFLTPEAAENAVAKLNELEFLGSMLKVVPASTGEHLILPFPAVKVRLYWPRRPSKGVALVSCSQENADFIINDCSGLEIGGKFINCQLSTKHRNCIFVTGISKDVSESELSNALQSATKRTIIDVHLLRGASVCDLPNSTSAKALTKEIASFMPNKQFHADSFRVEVFNPEAKDYMTKALITFNGSLHLEAAKALDHIQGKVLPGFLSWQKIECQQMFYSTLSCPGRVYHAIKTELDSLLLNFKRHKGVSFKMDRTESGAFRLKVHAKATKVVADLRKPLEQLMRGRTIHHPGLTPSLMQVLLTRDGMGLMKAVERETGTCILYDRQNLTVKVFGSPEPVIEAEEKLMNSLLSFNENKQLEIRLRGVNLPPGLMKEVVQRFGTDLQGLKEKIPGVDLVLNTRHHALSVRGSKELKKKVEDVISEVIQHLDTEGLMIGQLSEESACPICLCELEEPYKLESCGHVFCEACLIDQFESVIKSHDGFPICCTKEGCKAPILLADLRHLLSTDKLEQLFRASLGAYVTASLGTYRFCPTPDCPSVYRVAPEGAATGSFECPVCSMETCTKCHLEYHPFISCETYMEFKENPDLSLEVWRKGKVEVKDCPSCHHTIEKIDGCNHIECRCGKHLCWVCLKWFRSSGGCYDHINSEHPTIV >DRNTG_25046.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8907851:8909824:-1 gene:DRNTG_25046 transcript:DRNTG_25046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKPPKYVTVVNGVIFKFYGRKCPCTGSRGCSHFDSPFLLHILCKIQLFLFHRYLEGEVSHVFGISSVCNLRLVIMVVNLVNGRCYLTPVVETVAELKVHMISRHWEIIRRTPFAAFTELEAVFQERALLNFLLQSYDSHTNKFRIGESMLTFRPKDVALVLGLRCDRDAVVFQKKKTRSTFKEREPERKPVSARSQGCCATFPELVPVNADEDIFVRVNRQLDTIAPEPLARRQNERATSSVRARRRSPTSSPKRVRISRRRRSSPLPRQIATPPPTTTSTVPLIVAAPDRGSAPDGTRRGYHYNSSAGLPDIDDRVPLACR >DRNTG_15225.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23329350:23339596:1 gene:DRNTG_15225 transcript:DRNTG_15225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSNWGGFASDEALGIFTTRLKPFSIDLFDFIQNPKKDASFLTEMVSFLRRAPEEALQPFLDLTMLPLLMLMDVAVKCRSEKKVNLGDSVGGLLVSDFVAEKILLCVEEILKKCHLGSVDQMVVVLKKLTSGALLSPSEAAEEFREGIIRCLRAMLLRLAPCSAASCTCKQVSSVAPISIETLQSHQRLKHYQGDECLIAFLQSQDASAAVGHLLSILLQTAHVEAARGHLGSGRLRKEAFLTLRVLVAKVGTADALAFFLPGIVSRFSKTLFVSKSMITGAAGNTGSLEHAVRGLTEFLVIVLSDDANTSGLEASYGDVSSFSPNNRRTSQAILDALRQLPSSSLTHSENVVETSSIQSTAVCSSNDEAHAETNDYGPRSLYVKRSKEWLEKTSVNVDKMLSATFPHLCAHPSIKIRKGLVDGIAALLSNCSYTLQRSKLMLLECLCVLVIDDSDVVSDAALHSLESLFMSVKKSFDENEISEIFTRLIERLPIVVLGSDETVAVSHARRLLALMYHTGPEVVVDQLLCLHAKAVQFFDSFALGLGHNSQYTGSVNNVILSKPLSVGYLLSISELKAGSVVGYSNNGAAYGTTSAASKISVLHDEGSEKSADSANGGFEFPHMPPWFVHVGGQKLYHALAGILRLVGLSVMADHRSKISLSSVIDIPLNYIQKLISELRMKEYSKVGWQTWYSRSSSGQLLRQTSTGVCILNEMIYGLSDQSANFYKRLFRKIGTKEEDALAKEWAFRSIWRLRQEKDARDHIVYCLGSIMHEYLSSEVWGLPIDQDSHLLEHEGEGNLSLHFFRDVTMLHQVIIEGIAIFSIILGRDFVHCGFMHSCLYLLLQNLISSNNQIRSASDAALRVVSAATGHSTVGHLVVANADYIIDSLCQQLRHLDLNPHVPDVLAAMLSYIGAAHDILPLLEEPMRGVSLELEVLGRHQHPNLTVPFLKAMREITRASWHEASKLPSEAMSFHELVYLKVCSVENKDRESIDISDADLSFEECEDMLLKLNEMKRYRRIVGSIVGSCLNAAVPLLGSMTESECLLALDIVEDVASSLAKVEEAYKHEKETKVAIEKVIQIYASNGQEDTVDVDDDADENRLLPAMNKIWPYLILCIKNKVSVAVIRRCTNVISRSIQTSGGDFFVRRFHNDGHVIWNLLAPSPLPLRMKNTSSLDKRPILLPYRSTSQTSEDPIAEASSLKIQAAVLIMIAEISGNKRSASALEAVLKKLSGLVVGVSFSSAKGLQEASLKALSGLASMDPDLIWLLLADVYYPMKKRDIPSPSDLELSSMSELLPRPVSSKEFLYVQYGGEACFDVDPSSVDIVFQKMQSQVLS >DRNTG_06459.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3357880:3358248:1 gene:DRNTG_06459 transcript:DRNTG_06459.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRSATEYNPQSTASAQPLSLSQGPSKFWLPIKALVSFPPYLRRKCAV >DRNTG_25132.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3994966:3998608:1 gene:DRNTG_25132 transcript:DRNTG_25132.1 gene_biotype:protein_coding transcript_biotype:protein_coding NTEREREREREREMSFLAGRLAATEGAYFLQESKQAVGRIARKFPSPAKQPPQPSGARDVDQDAADVLPEILRHSVPIKGTAEASESTLTVSKWALKGSSSPFPFVSPDALNPLRAYVSLPQATFGPKRWQLPDEHPTFLASTANELRRDRYPPVNPEKLKAVTTGFSLIGTAFALATTVVFGGAAIVAWQLQINDVDNFRTKGKDLLLPGAEKVREQVGPLRTWAENMSRKWHAKGDESTKEKSIIKELSKVLGGKTTD >DRNTG_32724.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:19286320:19287497:-1 gene:DRNTG_32724 transcript:DRNTG_32724.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase (Fragment) [Source:Projected from Arabidopsis thaliana (AT4G03210) UniProtKB/TrEMBL;Acc:C0SVH2] MKYSYLVSLICIVTFFPLSSARFEDQFQPSWALDHILQEGDTVKLKLDSSSGAGIASKSKYLYGKTTAEMKLVPGDSAGTVTAFYMSSDGEAHNEFDFEFLGNTSGEPYLVQTNVYVNGVGNREQRMDLWYDPTLDFHNYSILWNPKQVMFLVDDTPIRVYENREEEGLVFPKSQPMGIYSSIWNADDWATQGGRVKTDWSHQPFVTEFREVKMEGCEVVEGKEVEEEVKRCGESWEGKEGRYWWKEKEMEELSVHQSHQLVWVRAKHLIYDYCFDSGRFPASPPECKR >DRNTG_12747.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25826246:25836681:-1 gene:DRNTG_12747 transcript:DRNTG_12747.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucan, water dikinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G26570) UniProtKB/Swiss-Prot;Acc:Q6ZY51] MASLLRIHSSLCKHSYHHSQTLLRLPSHHLSPLPIAKPLPGRLFVFHRFHCRSGGGSSTTSVERPKEEKRKKSMNQGKAVLHVRLDHQVEFGEQVAVLGSSKELGSWKNPVRMDWSSEGWVCELEVRGGEIIEFKFVILPKDGKSVMWENGDNRVLELPMGGEFGLVCHWNNTGEVVELSELVWDENIASQLDGDVDGDGCDGEVVLEVETSPFVEQWKGRQASFMRSNEHASRETERRWDTEGLDAVALKLVEGDRGARNWWRKLELVSDLLMANQEEGNLLDALIYSAIYLKWINTGQIPCFEDGGHRRPNRPAEISRLIFRELERITDQKNKWPLAVLVGRKIHPCLPSFKSEFTASVPLTRIRDIAHRNDIPHDLKQEIKHTIQNKLHRNAGPEDLIATEAMLAKITKTPGQYSEAFIEQFEIFHQELKDFFNAGSLTEQLISIKESLDEESLLALDHFLKCKKSLETQELGNSAEIGVNELMKTMQSLTTIRALVVKGLQSGLRNDAPDAAIAMRQKWRLCEIGLEDYSFVLLSRFLNALEAMGGSSWLAHNTGPKDISCWNEPLGALIIAIRQVGLSGWKSEECDAIQNELLAWQQKGYSETQGDESGKYIWALRLKATLDRSRRLTEEFSEVLLQIFPDKVQKLGKALGIPANSVMTYTEAEIRAGVIFQVSKICTVLLKAVRIALGSFGWDVLVPGVAHGTLMQVENIVPGSLPSTLTGPVILVVNKADGDEEVKAAGSNIVGLVLLQELPHLSHLGVRARQEKVVFVTCEDDDKISSIRELVGKNVRLEASAAGVDLSTSSSTNGHTFSSEILSDNGSSSLKETEELGYSLFADLIKPKGPLFKKGATSCDVLELSQANAKTSGAKAAACGQLAALAKLSVKVYSDQGVPASFQVPGGAVIPFGAMESALERSGSLGTFLSLIQQIETAELEGGDLDRMCSKLQSLVSAQCPTASTIGSLRKIFPSNARLIVRSSANVEDLAGMSAAGLYESILNVSLSDPAVFEAAVGRVWASLYTRRAILSRRVARVPQKDAQMAVLVQEMLVPDLSFVLHTLSPTDRDHKLVEAEIAPGLGETLASGTRGTPWRLSSGKFDGRVSTLAFANFSEEMIVLNSGPANGEVIRLTVDYSKKPLTVDPIFRRQIGQRLCAIGFFLEQKFGCPQDVEGCVVGKNIYIVQSRPQP >DRNTG_16535.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12085549:12093808:1 gene:DRNTG_16535 transcript:DRNTG_16535.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEESIPANANTITDGGNLMRRKKLGIKRGIDNAISQEANKSTSTHERGQYGVNVIISHMELIKLMASKEANFNMISKDISRSHWQWSKLTIMMVLDN >DRNTG_00970.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20944853:20951472:1 gene:DRNTG_00970 transcript:DRNTG_00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRARATIHTSFLLLLLVIFLSCDLLDRIIASSGEASEEEFTEELLLKPLPDKKILAHFHFQSTVPPSDSIGHHHHLFPKAIAQLVKKFHIRELELSFTQGRWNYESWGGSDPMSNINAKPPGVEMWAVFDLPVNDINESWKGLTHALSGIFCSSVNFLESSTAYSAPHWGLRTNSSSMRYGALPREAVCTENLTPWLKLLPCRDKAGLASLLDRPSIYKSYYHSQRLQLFSSDASGIILDQTLTVVLQPNVQRNGMPNSYDRFLQPNWSIMSMFKKKVTGQCALAKASSIFIELEDGLATELEKLGAGESWNNLAFDLSVAPDRVIKEHNSMEGKSSSILYEFKVVKYSKYEPLDVGIKWKLPLVWSCPEAPFHARRFLMGSGNERGSIAFSLQPTQSRDQFLSDSHKCTVRAVIFQVVPWYVKVYYHTLQIFIDGRSVPVVDVVEKISVSPSEDKVSPGSLQMMLRFPCDMNSTALAMDFDKGFLHIDEYPPDANQGFDIPSAVVSFPDFYSSRSYLEGNCLKHPPLLLKLQKRTVLQSYTEVLLVPLTTPDFSMPYNVITFTCTVLALYFGSLLNALRRRIGEEERLLKSKDSKQVGLIPRLLSKLKGRTLESHQSSSGSTASSKPGAKWLFKVIFVAIFAVLWHYYSNID >DRNTG_30399.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:87369:88194:1 gene:DRNTG_30399 transcript:DRNTG_30399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIACILTSSQIASVDTAVAISEVQEAADCLGADCIPRYVEMKKLQDLEVEPTLQQPCTPDSWDSLNKATVTKLWNQSKKAWGALLEELCADSEPERNVVAVGNSTLHVALICHCLNLTTEWLGAFHLDSGSITVIDFPDGPARQAVIRCINYTAHLGRWSIPITRSMASDEEF >DRNTG_20803.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22063459:22065543:1 gene:DRNTG_20803 transcript:DRNTG_20803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAGAREIGKIDFDAGKPPPFRISDIREAIPKHCWEKNAWKSMSYVLRDIIFIVFFAVFFLWIDVWFAWPIYWLAQGTMFWALFVLGHDCGHGSFSESVKMNNLVGHFLHSFILVPYHGWRISHKTHHQNHGHVEKDESWHPLTEKLYRSLDFATRKLRFTIPFPLFAYPAYLWWRTPGKQGSH >DRNTG_00197.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:163214:165083:-1 gene:DRNTG_00197 transcript:DRNTG_00197.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKPFPFIARSLLFSLFILSMAALLLPILLLLPLPSSSSSPSSLLLRACNATRFPSLCVSTLPPSPPTSMDLILSAISVSSNNLDTAQSKVRSLPSSDPSHSAAVRDCISHLSFSSIRLSQASSALTRKPACSRAFAGAALLYQYDCWSALKYVNTSHQISSTMSFLSDLTSLTSNALALISAFYLSGTDVSHWSPPQTERNGRYEDGSSSPTESPISLRSSGGIPRTLKPSITVCKSSQCDYQTVQAAVSAAPNTSADPFVIHIAEGIYEELVRIPFEKTNLVLLGDGMGKTVITNSLSVGKKTTGVTTYDTATVGVDGSGFMAANLTFQNTAGVDAHQAVAFRSDSDLSILESVEFIGHQDTLYARSLRQFYKNCRISGTIDFIFGNSASLFQDCLILIVPRLGSPEKGETNAVTAQGRTDPSQPTGFVFLGCTVNGTDDYTALYQKKPRSHRNYLGRPWKEYARTVFIQCLLAKIVKPEGWLPWRGDFALATLFYGEFGSSGPGANSSARVSWSTAIPAEHVGAYSVKNFIQGDEWIPSLNAH >DRNTG_00197.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:163348:165861:-1 gene:DRNTG_00197 transcript:DRNTG_00197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKPFPFIARSLLFSLFILSMAALLLPILLLLPLPSSSSSPSSLLLRACNATRFPSLCVSTLPPSPPTSMDLILSAISVSSNNLDTAQSKVRSLPSSDPSHSAAVRDCISHLSFSSIRLSQASSALTRKPACSRAFAGAALLYQYDCWSALKYVNTSHQISSTMSFLSDLTSLTSNALALISAFYLSGTDVSHWSPPQTERNGRYEDGSSSPTESPISLRSSGGIPRTLKPSITVCKSSQCDYQTVQAAVSAAPNTSADPFVIHIAEGIYEELVRIPFEKTNLVLLGDGMGKTVITNSLSVGKKTTGVTTYDTATVGVDGSGFMAANLTFQNTAGVDAHQAVAFRSDSDLSILESVEFIGHQDTLYARSLRQFYKNCRISGTIDFIFGNSASLFQDCLILIVPRLGSPEKGETNAVTAQGRTDPSQPTGFVFLGCTVNGTDDYTALYQKKPRSHRNYLGRPWKEYARTVFIQCLLAKIVKPEGWLPWRGDFALATLFYGEFGSSGPGANSSARVSWSTAIPAEHVGAYSVKNFIQGDEWIPSLNAH >DRNTG_12171.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12416696:12429214:-1 gene:DRNTG_12171 transcript:DRNTG_12171.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cactin [Source:Projected from Arabidopsis thaliana (AT1G03910) UniProtKB/Swiss-Prot;Acc:F4I2J8] MAKKAQKKALMVAKKLKNQQVHGYSNDSNPFGDSNLTEKFVWRKKIERDVAQGQPVEISVKAEKKRQRERMEEIEKVKKRREERALEKARHEEEMALLARERAKAEFEDWEKKEEEFHFDQSKVRSEIRLREGRTKPIDILSNNLNGSFDLDIEINEPYMVFKGLTVKELEELHDDIKMYLDLDRATPTHIEFWEALMTVCNWELAEARKREALDRARVRGEEPPAEILAEERGLHSSIETDVKLLLQAKSSNELEAMHRQIESEMRSGTAKVVEYWEAVLKRLQIYKAKARLKEIHASLLRKHLQHLEQPMDTKQNEEIAEKIDLDEEDTIHHFEDAEVYSPEPVAHENKDELEEEPGSFSPELLHGDEDEGAIDPEEDRAELDRKREAVVVEQQRRIQEAMAAKAAAPEESMELKAMKAMGAMDEGDAVFGSGSEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKSKAPYYTVEKDGSNGETCIIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHVYFNFKRYRYRR >DRNTG_07914.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000350.1:5:5011:1 gene:DRNTG_07914 transcript:DRNTG_07914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSKDFAFTDWRKIKGEGTVMATSGSPLPTIRSFITLIPGQVSEDINSSHAMPVDKFSGVFNSSFPDDGSQDDEELQSNDDEGMLEDDDDFGGFSARDFVGKIL >DRNTG_12879.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22846270:22847824:1 gene:DRNTG_12879 transcript:DRNTG_12879.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDSEAVRVAILPAPGMGHLIPLGELAKLLVTHHSISVTFITFAESASKAQKAFLDALPSTITSLQLPPVSLTDLPSDTHVETRMSIATDRSLPAVRNILRNLQQSTRLIAFIVDLFATDTFTVSKELGIPSFLFYTSNLFSLSLILHLPELDASTTCEYRDLPEPLQLPGCVPVLGSDLLHPLRDRSNDSYKWMVHHGKRYRDADAILVNTFKDIEPETAKIINEEDNKLPPVYLIGPLIQSCSPDIELANCLSWLDKQPKESVLYVSFGSGGTLTCAQMKELACGLEMSGQRFLWVVRSPSDTECDANYFDSTSVDDPVAFLPEGFVERTKEVGLLVPSWAPQLQVLAHRATGGFLSHCGWNSTLESVMHGVPMIAWPLYAEQRMNAVMLTEGVKVALRPGAAADGIYKSEEIAKVVKALMEGEEGKEVREKTKELQEGGTRALMEDGESCKVITELTNRLRSTIT >DRNTG_22418.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23296341:23296637:1 gene:DRNTG_22418 transcript:DRNTG_22418.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKYTKLVGPKLKPRTHVPANQENINYQSRLACDEKMTLS >DRNTG_23435.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21262567:21264603:-1 gene:DRNTG_23435 transcript:DRNTG_23435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSRREDKEIAATMTKGEDRCLDTKDSRLVTCLIGGLIGHYACSNGDTWSSEIGLLSSAQPRLITTFKTVKKGTNGAISPEGLMAAAAGGFVIGMTFVLVGLLTADCTGNVAMKQLLVLPIASVAGLCGSLIDSLFGATLQFSGYCTVRNKVVSSEGPTVVKISGSSILNNNQVNACSILLTTLLTSIACVSIF >DRNTG_23435.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21263133:21263478:-1 gene:DRNTG_23435 transcript:DRNTG_23435.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGFVIGMTFVLVGLLTADCTGNVAMKQLLVLPIASVAGLCGSLIDSLFGATLQFSGYCTVRNKVRIFLFVYIHNR >DRNTG_23435.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21263133:21264603:-1 gene:DRNTG_23435 transcript:DRNTG_23435.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSRREDKEIAATMTKGEDRCLDTKDSRLVTCLIGGLIGHYACSNGDTWSSEIGLLSSAQPRLITTFKTVKKGTNGAISPEGLMAAAAGGFVIGMTFVLVGLLTADCTGNVAMKQLLVLPIASVAGLCGSLIDSLFGATLQFSGYCTVRNKVRIFLFVYIHNR >DRNTG_04450.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23985199:23986293:-1 gene:DRNTG_04450 transcript:DRNTG_04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRMHLAGSVVTKALPLTFFQMERHVGAIEPPKSLANAFSQDGEKMEKRMLKSRLKSADIGHPHGPVDFPHAPMEFPHALVNSLEL >DRNTG_09866.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000417.1:161317:161971:1 gene:DRNTG_09866 transcript:DRNTG_09866.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFKGCKSEMRLVRFLLEKATVLEVLLLVVPKKPGMEEDNSSSSGTHYYVDRREMEMIHGQLVSFPKSSPGGKIVLCEYDEDDQGITPTHTEYFWEYF >DRNTG_09866.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000417.1:161317:161840:1 gene:DRNTG_09866 transcript:DRNTG_09866.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFKGCKSEMRLVRFLLEKATVLEVLLLVVPKKPGMEEDNSSSSGTHYYVDRREMEMIHGQLVSFPKSSPGGKIVLCEYDEDDQGITPTHTEYFWEYF >DRNTG_09866.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000417.1:160124:161840:1 gene:DRNTG_09866 transcript:DRNTG_09866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQFQLPKFHENEYRSSTPEPVVEEPSAVTLNHLKVIKMNNFKGCKSEMRLVRFLLEKATVLEVLLLVVPKKPGMEEDNSSSSGTHYYVDRREMEMIHGQLVSFPKSSPGGKIVLCEYDEDDQGITPTHTEYFWEYF >DRNTG_15008.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23880919:23886818:-1 gene:DRNTG_15008 transcript:DRNTG_15008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNHNSPNLECRMYEPWYPEVDMAVMIQVKNIANVGAYVSLLEMTLQPLKIRGDIEVKRLQFDDVLHIKCFLAASNEEDRGRGQ >DRNTG_23971.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30864750:30867977:1 gene:DRNTG_23971 transcript:DRNTG_23971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRYSDPSVQADMKLWPFKVIPGPGDKPMIVVHYKGEEKQFSPEEISSMVLTKMKEIAEAYLGTTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKGGSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTSQTTIEIDSLYEGIDFYATITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVDDVVLVGGSTRIPKVQQLLQEFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNQKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTEDNNLLGKFELSGIPPAPRGVPQINVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKQEDEEHKKKVEAKNTLENYAYNMRNTIKDEKIASKLPAADKKKIEDAIEEAVNWLERNQLAEVDEFEDKMKELEGICNPIIAKMYQGGAGAGMPTGMDEDKPSSAAGGAGPKIEEVD >DRNTG_23971.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30864750:30867827:1 gene:DRNTG_23971 transcript:DRNTG_23971.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRYSDPSVQADMKLWPFKVIPGPGDKPMIVVHYKGEEKQFSPEEISSMVLTKMKEIAEAYLGTTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKGGSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTSQTTIEIDSLYEGIDFYATITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVDDVVLVGGSTRIPKVQQLLQEFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNQKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTEDNNLLGKFELSGIPPAPRGVPQINVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKQEDEEHKKKVEAKNTLENYAYNMRNTIKDEKIASKLPAADKKKIEDAIEEAVNWLERNQLAEVDEFEDKMKELEGICNPIIAKMYQGGAGAGMPTGMDEDKPSSAAGGAGPKIEEVD >DRNTG_23971.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30864750:30867684:1 gene:DRNTG_23971 transcript:DRNTG_23971.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRYSDPSVQADMKLWPFKVIPGPGDKPMIVVHYKGEEKQFSPEEISSMVLTKMKEIAEAYLGTTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKGGSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTSQTTIEIDSLYEGIDFYATITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVDDVVLVGGSTRIPKVQQLLQEFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNQKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTEDNNLLGKFELSGIPPAPRGVPQINVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKQEDEEHKKKVEAKNTLENYAYNMRNTIKDEKIASKLPAADKKKIEDAIEEAVNWLERNQLAEVDEFEDKMKELEGICNPIIAKMYQGGAGAGMPTGMDEDKPSSAAGGAGPKIEEVD >DRNTG_23971.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30864596:30867684:1 gene:DRNTG_23971 transcript:DRNTG_23971.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRYSDPSVQADMKLWPFKVIPGPGDKPMIVVHYKGEEKQFSPEEISSMVLTKMKEIAEAYLGTTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKGGSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTSQTTIEIDSLYEGIDFYATITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVDDVVLVGGSTRIPKVQQLLQEFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNQKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTEDNNLLGKFELSGIPPAPRGVPQINVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKQEDEEHKKKVEAKNTLENYAYNMRNTIKDEKIASKLPAADKKKIEDAIEEAVNWLERNQLAEVDEFEDKMKELEGICNPIIAKMYQGGAGAGMPTGMDEDKPSSAAGGAGPKIEEVD >DRNTG_06276.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8202200:8227918:1 gene:DRNTG_06276 transcript:DRNTG_06276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKMAKDESKFVFIYLHAPEETGTDPFCCNTLRSQLVIEFLDANFVSWGAVANRGEGFEMAFALRASSFPFCAVIAPASCKTIAVLQQIEGPVSPEELLEILQRTMEEQGSAFRALREAEDETLRRDRQLREEQDAAYLESLKKDKEKDRAWEPKRKAPALKKSSVKQKEVTKDTQSTAVSRKTHTKILVRFPNGERREQSFPKTDKIHSIYKYIDSLDIPGIGGYQLISSFPRKVYGHEQLEMTLEEAGLHPNTALFLELI >DRNTG_06276.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8222010:8227918:1 gene:DRNTG_06276 transcript:DRNTG_06276.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMENMGSFIRRIVGLPLNILDGISRALGHGIPRRNARPPQLQQPQDEIPIVTEEWLFLNLFEQQYGSVHPFFYATRLMEALKMAKDESKFVFIYLHAPEETGTDPFCCNTLRSQLVIEFLDANFVSWGAVANRGEGFEMAFALRASSFPFCAVIAPASCKTIAVLQQIEGPVSPEELLEILQRTMEEQGSAFRALREAEDETLRRDRQLREEQDAAYLESLKKDKEKDRAWEPKRKAPALKKSSVKQKEVTKDTQSTAVSRKTHTKILVRFPNGERREQSFPKTDKIHSIYKYIDSLDIPGIGGYQLISSFPRKVYGHEQLEMTLEEAGLHPNTALFLELI >DRNTG_26977.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001372.1:47834:51769:-1 gene:DRNTG_26977 transcript:DRNTG_26977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLSSGHLFGHFLRPSIQPSSPSLSTSPVFSSISFSSSSFHPLIHLFKKKPSKPLCRTHDRACAPAQPVPHLLPRPRTRPHPRLASPYSRGWPAPEPPAHPATAQATRAPTAPDQLPLPTPHAVPPMSRHAPAYASHSPV >DRNTG_08302.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000375.1:125043:126892:-1 gene:DRNTG_08302 transcript:DRNTG_08302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEENENAVVEKRRVPKLNERILSSLSRRSVAAHPWHDLEIGPGAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEFRHYNNLSELSPHRLAEIRRFFEDYKKNENKEVAVNEFLPAETAVNAIQYSMDLYAQYILQTLRR >DRNTG_08302.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000375.1:126074:126892:-1 gene:DRNTG_08302 transcript:DRNTG_08302.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEENENAVVEKRRVPKLNERILSSLSRRSVAAHPWHDLEIGPGAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGCFLRARAIGLMPMIDQ >DRNTG_27683.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001404.1:45000:47954:1 gene:DRNTG_27683 transcript:DRNTG_27683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDKLVKFRDPITKHDTIDGYLFNIRLLKLLFNPDFQLHNVRQTGPYEITTRWTMVMRFVLLPWKPELVFTGCSIMGINPQTQKFCSHVDIWDSIKNNEYFSFEGLLDVLKQLRIYKTPDLETPKYQILKRTANYEVRKYDSFLVVETKEDKLSGSSGFNKVTGYIFGKNSSTEKIPMTTPVFTQAIDDKLSDVSIQIVLPMDKELTNLPAPTVEGVSLRKVKGDIAAVTKFSGKPTEEVVKVKEKGLRAAVLNDGLKPQEGCLLGRYNDPGRTWSFIMRNEVLIWLDEFILE >DRNTG_15032.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23754190:23755975:1 gene:DRNTG_15032 transcript:DRNTG_15032.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKWCVVLVLALLAAAALVSADRGLKIGSSTESGGGGDEGGGVRTYLLQVVEFLWQPDESSYQHVWPEMRFGWEIVVGSFIGFLGAAFGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMIMGAAGSTVYYNLKLRHPTLDMPIIDYDLALLFQPMLMLGISIGVIFNVIFADWMVTVLLIILFL >DRNTG_15032.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23754110:23755975:1 gene:DRNTG_15032 transcript:DRNTG_15032.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKWCVVLVLALLAAAALVSADRGLKIGSSTESGGGGDEGGGVRTYLLQVVEFLWQPDESSYQHVWPEMRFGWEIVVGSFIGFLGAAFGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMIMGAAGSTVYYNLKLRHPTLDMPIIDYDLALLFQPMLMLGISIGVIFNVIFADWMVTVLLIILFL >DRNTG_15032.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23754190:23758009:1 gene:DRNTG_15032 transcript:DRNTG_15032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKWCVVLVLALLAAAALVSADRGLKIGSSTESGGGGDEGGGVRTYLLQVVEFLWQPDESSYQHVWPEMRFGWEIVVGSFIGFLGAAFGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMIMGAAGSTVYYNLKLRHPTLDMPIIDYDLALLFQPMLMLGISIGVIFNVIFADWMVTVLLIILFLGKFMSLLSSLKLINVLFLMCLFVFFSFDRHINQRHFLEVLIHGRKRPLLRRRLLNR >DRNTG_16100.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25444825:25447469:1 gene:DRNTG_16100 transcript:DRNTG_16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTPSFLMLLLFLLVGSSSANLSTNFYSSSCPNVFSIIKPVVKSAISAQARNGASLLRLFFHDCFVNGCDASVLLDDTPTFRGEKTAPPNNNSIRGFDVIDKIKATVEKACPGVVSCADILAIAARDSVVILGGPNWNVKVGRRDSRTANFSGASTDIPPPTSNLSRLIANFSSQGLSVKDMVALSGGHTIGKARCVSFRGHIYNDTNIDSSFAKTRQANCPRRVGVQDRNLAPLDRQTERVFDNDYFENLVVHKGLLHSDQELYNGVSTDSLVQTYASSTNTFFSDFVTGMIKMGDVKPLTGSKGEIRKNCRRVN >DRNTG_15561.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000767.1:82627:84343:1 gene:DRNTG_15561 transcript:DRNTG_15561.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVLGCVTIALVLDALGLEGIHVQIHRDHRGTMANTAAKHYSRKKVSRRAFVGTDKARCILGRTKGPLDE >DRNTG_14180.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22848246:22858878:-1 gene:DRNTG_14180 transcript:DRNTG_14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSWLDNAKFSTASTSASGSCPVFLQSSSNSCSRHRNVFKLLESREISPRGRHSKRLWGLPSKNRVDCTAPRCEITDARHALVSWVEAESLRHLSARYCPLLPPPRSTIAAAFSSDGKTLASTHGDHTVKIIDCQTGNCLKVLSGHRRTPWVVRFHPLRPEIIASGSLDHEVRLWNAHTGDCIGSRDFYRPIASIAFHAQGEILAVASGHKLFIWHYNRVGDPSSPTIVLKTRRSLRAVHFHPHAAPFLLTAEVNDLDSPDSPLTLATSAGYVQYPPPAVFFASANSSLRPYVEAKLPLMLSPYLFWPTVIKEDERTSFQDVNRATTSVNNQQRVESTRPSYPNTERQNDSMAPMETCPAEIPCLQNVTEGANNNSLSDSIMENAENNDSLPPSGLQNSSSAVPPSTYQLNGMTIQMPTSQSRSLPDGSPVVNASHPLGNIDLQMLSRSVETGHVHPFISFSDPACWELPFLQGWLMGQSHAGLHTMGPVNTTAQGNLPVVRGPSSNSADLLHSRNLEALLASSGIPTNYGHTRGSGRSASRHRPRSRLIPPTGSGEGASFPHPQNDVVDAHHAPSNIESDISSSLAAAAAAELPCTVKLRIWTHDIQNPCAPLDNDICQLTIPHAVLCSEMGAHFSPCGRFLAACVACMLPRLEGDPGLHSQMHYDSAGAATSPTRHPISAHQVMYELRIYSLEEATFGSILASRAIRAAHCLTSIQFSPTSEHILLAYGRRHNSLLRSIVVDGETAIPIYTVLEVYRVSDMELVRVLPSAEDEVNVACFHPLVGGGLVYGTKEGKLRILQYDGSNGANCNGSNFFLEENMLEVQKYALEC >DRNTG_14180.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22848246:22858878:-1 gene:DRNTG_14180 transcript:DRNTG_14180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSWLDNAKFSTASTSASGSCPVFLQSSSNSCSRHRNVFKLLESREISPRGRHSKRLWGLPSKNRVDCTAPRCEITDARHALVSWVEAESLRHLSARYCPLLPPPRSTIAAAFSSDGKTLASTHGDHTVKIIDCQTGNCLKVLSGHRRTPWVVRFHPLRPEIIASGSLDHEVRLWNAHTGDCIGSRDFYRPIASIAFHAQGEILAVASGHKLFIWHYNRVGDPSSPTIVLKTRRSLRAVHFHPHAAPFLLTAEVNDLDSPDSPLTLATSAGYVQYPPPAVFFASANSSLRPYVEAKLPLMLSPYLFWPTVIKEDERTSFQDVNRATTSVNNQQRVESTRPSYPNTERQNDSMAPMETCPAEIPCLQNVTEGANNNSLSDSIMENAENNDSLPPSGLQNSSSAVPPSTYQLNGMTIQMPTSQSRSLPDGSPVVNASHPLGNIDLQMLSRSVETGHVHPFISFSDPACWELPFLQGWLMGQSHAGLHTMGPVNTTAQGNLPVVRGPSSNSADLLHSRNLEALLASSGIPTNYGHTRGSGRSASRHRPRSRLIPPTGSGEGASFPHPQNDVVDAHHAPSNIESDISSSLAAAAAAELPCTVKLRIWTHDIQNPCAPLDNDICQLTIPHAVLCSEMGAHFSPCGRFLAACVACMLPRLEGDPGLHSQMHYDSAGAATSPTRHPISAHQVMYELRIYSLEEATFGSILASRAIRAAHCLTSIQFSPTSEHILLAYGRRHNSLLRSIVVDGETAIPIYTVLEVYRVSDMELVRVLPSAEDEVNVACFHPLVGGGLVYGTKEGKLRILQYDGSNGANCNGSNFFLEENMLEVMAMEANMHELSLPGAHSH >DRNTG_14180.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22848246:22858878:-1 gene:DRNTG_14180 transcript:DRNTG_14180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSWLDNAKFSTASTSASGSCPVFLQSSSNSCSRHRNVFKLLESREISPRGRHSKRLWGLPSKNRVDCTAPRCEITDARHALVSWVEAESLRHLSARYCPLLPPPRSTIAAAFSSDGKTLASTHGDHTVKIIDCQTGNCLKVLSGHRRTPWVVRFHPLRPEIIASGSLDHEVRLWNAHTGDCIGSRDFYRPIASIAFHAQGEILAVASGHKLFIWHYNRVGDPSSPTIVLKTRRSLRAVHFHPHAAPFLLTAEVNDLDSPDSPLTLATSAGYVQYPPPAVFFASANSSLRPYVEAKLPLMLSPYLFWPTVIKEDERTSFQDVNRATTSVNNQQRVESTRPSYPNTERQNDSMAPMETCPAEIPCLQNVTEGANNNSLSDSIMENAENNDSLPPSGLQNSSSAVPPSTYQLNGMTIQMPTSQSRSLPDGSPVVNASHPLGNIDLQMLSRSVETGHVHPFISFSDPACWELPFLQGWLMGQSHAGLHTMGPVNTTAQGNLPVVRGPSSNSADLLHSRNLEALLASSGIPTNYGHTRGSGRSASRHRPRSRLIPPTGSGEGASFPHPQNDVVDAHHAPSNIESDISSSLAAAAAAELPCTVKLRIWTHDIQNPCAPLDNDICQLTIPHAVLCSEMGAHFSPCGRFLAACVACMLPRLEGDPGLHSQMHYDSAGAATSPTRHPISAHQVMYELRIYSLEEATFGSILASRAIRAAHCLTSIQFSPTSEHILLAYGRRHNSLLRSIVVDGETAIPIYTVLEVYRVSDMELVRVLPSAEDEVNVACFHPLVGGGLVYGTKEGKLRILQYDGSNGANCNGSNFFLEENMLEESL >DRNTG_20322.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5733979:5744534:1 gene:DRNTG_20322 transcript:DRNTG_20322.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM1 [Source:Projected from Arabidopsis thaliana (AT4G21790) UniProtKB/TrEMBL;Acc:A0A178UT97] MRSVVTLEMASGLALAAAAASTSWWDEINESSQWQDGVFYFLCAAYALVSAVALIQLFRIQLRVPEYGWTTQKVFHLMNFIVNGARAVVFGFHAHVFLFRSKVLTLLLLDFPGLLFFSTYTLLVLFWAEIYHQARSLPTDKLRVIYISVNCVIYVIQVCIWVYLWINDDEVSESIGKVFIAVVSFLAALGFLVYGGRLFFMLRRFPIESKGRRKKLNEVGSVTAICFTCFLIRCFVVGLSAFDKAASLEVLDHPILDLGYYMLTEILPSALVLYILRKLPPKRVSAQYHPIR >DRNTG_25731.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20010533:20014029:-1 gene:DRNTG_25731 transcript:DRNTG_25731.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSLADLLKKVRTIPEPHLAAICKQVLQGLIYLHHEKHIIHRDLKPSNILINHRGEVKISDFGVSVILTKSSGQRDTFIGTYNYMSPERISGGNHGYISDIWSLGLVMLECATGQFPYPPADNFFELLQAVVEQPSPCPPPDRFSQEFCSFVSACLQKNPNDRQSAGALLVSRLKNNRSSDLTLCLFTNGKNSLVCLPLILIILLFGPETSLLKYV >DRNTG_25731.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20010533:20014029:-1 gene:DRNTG_25731 transcript:DRNTG_25731.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDSRLQLALPSHEDSLGKFLTQSGTFRAGDLLVNKDGVRIVQQSEEGAPPVIKPSDNQLNLADIDAVKVIGKGSGGIVQLVRHKWTGQFFALKVIQVNVLESVRRQIARELKINQCSQCPFLVVCYQCFYDNGAISIVLEYMDGGSLADLLKKVRTIPEPHLAAICKQVLQGLIYLHHEKHIIHRDLKPSNILINHRGEVKISDFGVSVILTKSSGQRDTFIGTYNYMSPERISGGNHGYISDIWSLGLVMLECATGQFPYPPADNFFELLQAVVEQPSPCPPPDRFSQEFCSFVSACLQKNPNDRQSAGALLKHPFLSMYDSQNVDLGAYLTNAGSPLATF >DRNTG_23543.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22374223:22374843:1 gene:DRNTG_23543 transcript:DRNTG_23543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >DRNTG_29615.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19963582:19966934:-1 gene:DRNTG_29615 transcript:DRNTG_29615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPVAKRDKEKEKEKENEHQNGNLTVEGSVEKMFEGKEMPKWTEQLTPRALVVSIILGFFVTYICMRLTLQQGESLVFNLFSAPIGYLVIKVWTTFMEAIGFHQRPFTRQENTVLYASILACTSITLNGGFGSYLLAMNPYMGITYTDPADSKTLAPSWIIVFLFVTSFIGIFTVVPLSKVLLLRHKLKYPSGMSAGNLINCLHATNGTPITRHQIRLLFKAILWSIAWHLFGWFYTASPICGFENFPAFGMLLSAQGYHFSFNAGSIGTGMICSPTVGLSMLAGGIISWAFLWPYVDSKEGTWYDKQPFISLTGRSGYVVSVTIAIALGDGIFHMGIVILQVCYEMYMRKKQKHIILHFAKRSGPELSSMSYDDRRRTNLFVENKVPTRVALGGYILFATISAIIIPVLFPSLHSYHIAAAYVLAPLLSFSNAYCTGLTDWTLNSAFSKFAILVFGAWTSGAQPGSVIAALASSGITTAVANTASDLMADFRTGYLTMTSPRAMFVAQIFGTAMGCIMAPICYMFFAAASPGLLSDTAEYPAAYASTYRVMAESSVKGFTMLPKNSITLAVGAFFSTIGINILREVARSMNWRVYRFIPSLIPMAISFFTGPSISFDVILGSLVAYLWRRKNKRHAELFMAFVGCGMIIGESFSPVIDNLMSYLNLTPPYCMRFLGSETADKVAGFLAMIP >DRNTG_28635.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28318299:28321531:1 gene:DRNTG_28635 transcript:DRNTG_28635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEESRVVVPRSFRLLEELERGEKGIGDGTVSYGMDDADDIFMRSWTGTIIGPHNTVHEGRIYQLKLFCDKGYPENPPTVRFQSRINMTCVNQETGLVEPSLFPMLANWQREYTMEDLLTSLKKEMASPQNRRLYQPPDGNDDQRIDQKGLVFRCTIL >DRNTG_21982.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:847898:850885:1 gene:DRNTG_21982 transcript:DRNTG_21982.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCVKPIQKKGSEPIVLLHGFDSSCLEWRYTYPLLENAGLETWAVDILGWGFSDLDTLINPRCKVMPPFFFLRPSPFELLAYQLEIKCFYQSFYHCRYEFDLTYCKLLSDYHHLILICEFVCVRTTSSM >DRNTG_21982.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:847318:850885:1 gene:DRNTG_21982 transcript:DRNTG_21982.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRALAMATTSCGVSRVRVLENGFPSFLPRDVEKIRDPSARELAKRIERIPVKVGFSESSIMSSCVKPIQKKGSEPIVLLHGFDSSCLEWRYTYPLLENAGLETWAVDILGWGFSDLEPLPACSVGAKREHLYQLWRSYIDRPMVLVGPSLGAAVAIDFAVNHPEAVTKLVFDRR >DRNTG_21982.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:847318:850885:1 gene:DRNTG_21982 transcript:DRNTG_21982.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALAMATTSCGVSRVRVLENGFPSFLPRDVEKIRDPSARELAKRIERIPVKVGFSESSIMSSCVKPIQKKGSEPIVLLHGFDSSCLEWRYTYPLLENAGLETWAVDILGWGFSDLEPLPACSVGAKREHLYQLWRSYIDRPMVLVGPSLGAAVAIDFAVNHPEAVTKLVFDRR >DRNTG_11978.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20153554:20154820:1 gene:DRNTG_11978 transcript:DRNTG_11978.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWTSQSSPTSLDKGFNEWQNIESLRIFSYDRMLFLAIDLLTALEWRSRYGIGEENQRRGFLGFTRVVTMAEAIYRHHHAADLHLVFGFLQLISW >DRNTG_07291.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3575361:3577901:-1 gene:DRNTG_07291 transcript:DRNTG_07291.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPIFSFLLLPLCHAAIFDIVNQCNYTIWPAAIPGGGRELLPGQNWTIFVNPRMDEGRIWARTGCTFNKSGHGRCETGDCNGLLECQTYGSPPNTLAEFTLNGFNNLDFIDISLVEGFNVPIEFSPTAGCNHAIQCSTNITGQCPDELKTSGGCNNPCTVFNTDEYCCRSGNCKSTNYSNFFKRLCPNAYSYPVDDENNTFTCVGGTDYRVVFSPFTMAQNCGCPADLCCSNFGYCGTGDPYCGNGCQQGPCYNSTGSGNVADIVTQEFFDGIANQAGAGCVGKGFYTRKAFLTATLAYSQFGTTGSDDVKKREIAAYFAHVTHETGHFCYIDEINGASQNYCQASTAYPCNADKKYFGRGPLQLTWNYNYIDAGNALNFDGLNAPETVGSDRVISFKSSLWFWTAKKVHDAITSGQGFGATIRIINGGVECDGKNTDQMNARVGYYKDYCSQLGVDPGDNLTC >DRNTG_00452.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27276102:27280578:1 gene:DRNTG_00452 transcript:DRNTG_00452.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSD1 zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT4G20380) UniProtKB/TrEMBL;Acc:F4JUW0] MQSQLVCNGCRSVLLYPRGATNVCCAICNTITHVPPPATEMSQLYCGGCRTLLMYARGATSVRCSCCNTINVARSSGGSPVAHVNCGQCRTTLMYPSGAPSVKCAVCHYVTNVGMNTARVPIPVNRPNGLTTMPPSTSAPPTHSQSQTVVVENPMSVDEKGKLVTNVVVGVTTEKK >DRNTG_22653.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20555559:20559092:-1 gene:DRNTG_22653 transcript:DRNTG_22653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNFTTLIVNMIKNEQLLAPQGGPIILAQIENEYGNIMKPFGEAGKRYVQWCAKMAESQNIGVPWVMCQQPDAPQPMINTCNGFYCDSFKPNNPKSPKMWTENWTGWFQKWSEAKPHRRAEDLAFSVARFFQTGGTFQNYYMYHGGTNFGRFAGGPYISTTYDYDAPLDEYGNLRQPKWGHLKELHAAIKMMDKALLQGNATDTDLGNGVTATKYTDEGNACGCFLSNINTTTDFTVVFEGAEYFLPAWSVSVAPDCKLEVYNTAKVNTETHIMIKKPDTCKEGSQKLSWSWLSEMHGDNLKGVGSFSAQRLLEQTSSTVDDSDYLWYMTSVDVKEKEVMVLSVNTTGHVLHAFVNGELVGSQYSLKSEWNFVFEKNVSLNAGINYISLLSATVGLENYGSFFEYDSYGIVGGPVKLIGNGNNSIDLTKNKWSYKIGLNGMEKQQVFADENCNTRSLQWKTDYIPTLKPFTWYKANFESPIGDEPVVVDLLGMGKGAAWVNGYSLGRFWPNYTADPNACKPCDYRGQYTDSRCRTDCGEPSQRWYHVPRSFLKAGLPNTLVLFEEFGGDPLGINFQTVTVGNYLCQS >DRNTG_22653.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20555559:20557577:-1 gene:DRNTG_22653 transcript:DRNTG_22653.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKPDTCKEGSQKLSWSWLSEMHGDNLKGVGSFSAQRLLEQTSSTVDDSDYLWYMTSVDVKEKEVMVLSVNTTGHVLHAFVNGELVGSQYSLKSEWNFVFEKNVSLNAGINYISLLSATVGLENYGSFFEYDSYGIVGGPVKLIGNGNNSIDLTKNKWSYKIGLNGMEKQQVFADENCNTRSLQWKTDYIPTLKPFTWYKANFESPIGDEPVVVDLLGMGKGAAWVNGYSLGRFWPNYTADPNACKPCDYRGQYTDSRCRTDCGEPSQRWYHVPRSFLKAGLPNTLVLFEEFGGDPLGINFQTVTVGNYLCQS >DRNTG_22653.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20555559:20556840:-1 gene:DRNTG_22653 transcript:DRNTG_22653.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQVFADENCNTRSLQWKTDYIPTLKPFTWYKANFESPIGDEPVVVDLLGMGKGAAWVNGYSLGRFWPNYTADPNACKPCDYRGQYTDSRCRTDCGEPSQRWYHVPRSFLKAGLPNTLVLFEEFGGDPLGINFQTVTVGNYLCQS >DRNTG_33806.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2820436:2824697:1 gene:DRNTG_33806 transcript:DRNTG_33806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLPILVLLSSLYLIAFVLAIGAERRRNSGEVVPDEYDERTFCVYGSSASTVYGLSAFAALLVSQVVVCGVTRCLCPRRGITSGGGGGAGGGARACAVCSFVFSWLSFLGAEACLLAGSARNAYHTKYVGYYLKKDLTSCAALRKGVFAAAAALIMISMATSLLTYWSHSRADTGGWMKHENDVGVNMADHGAHNVEFSSTKA >DRNTG_34488.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12805554:12806628:-1 gene:DRNTG_34488 transcript:DRNTG_34488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPHLLQGFSHQAYGTPRRIDADKSFPNHYLPKERGVKGHRTTPKTLPKPLKTLATSSSKDGVVNALKSKKKAKIGYKDTMLGVLLQFKDQDTRGVHKRGDIARPLKDTSMMKSFIAYHMNVWPDMWPQEKSVWIAFCKKYCSNSTAAKLLFTCPRGNSCSPRGHVKNPHGRVRARDRRSLGPINSRFPYSFSSFVRLLRGETARVWTGGLCCFGGVSSPILINFSSVIASRKPPVNLASEWVLQDIEALHQGH >DRNTG_18472.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7773630:7857778:-1 gene:DRNTG_18472 transcript:DRNTG_18472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWRVLYSSSKDSFVRYRIRLDRSSPTNLLPKSGLNRL >DRNTG_19507.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2811527:2815282:1 gene:DRNTG_19507 transcript:DRNTG_19507.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDSDAQDVEVEQKKQKKKKRKKALKNVKLKKF >DRNTG_19507.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2811527:2815389:1 gene:DRNTG_19507 transcript:DRNTG_19507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLSEEDRRALRGSKFAPLPAPAPAPASRSQPRLAHPGGPMTTNKAAALAKFLERKLQQPDGLSSINPDLLELAVKNAKETVKASNGGGASSSGVKVRHVASFGDAQDQDSDAQDVEVEQKKQKKKKRKKVYFRPPTPR >DRNTG_19507.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2811746:2815389:1 gene:DRNTG_19507 transcript:DRNTG_19507.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNKAAALAKFLERKLQQPDGLSSINPDLLELAVKNAKETVKASNGGGASSSGVKVRHVASFGDAQDQDSDAQDVEVEQKKQKKKKRKKVYFRPPTPR >DRNTG_19507.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2811527:2815282:1 gene:DRNTG_19507 transcript:DRNTG_19507.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLSEEDRRALRGSKFAPLPAPAPAPASRSQPRLAHPGGPMTTNKAAALAKFLERKLQQPDGLSSINPDLLELAVKNAKETVKASNGGGASSSGVKVRHVASFGDAQDQDSDAQDVEVEQKKQKKKKRKKALKNVKLKKF >DRNTG_01975.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13223595:13225372:-1 gene:DRNTG_01975 transcript:DRNTG_01975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDINVLDHDRQKVHSLRKETKTSYPPYIPFIHSSCHACLVGSDACSPSSPPLGSLHKRQTPAATHHARSNNLWTPTPPPAKKSRSGEEGAGKTIGGEREAPFTPTSGARAWLSHR >DRNTG_13904.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1907662:1908080:1 gene:DRNTG_13904 transcript:DRNTG_13904.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYTCRIEADKTLYPVLLSNGNLVEQGDYAIWEDPYKKPSYLFALVAGQLESRDDSFITCSGKNVVLRIWTPSQDVPKTAHAMYSLKAAMKWDEE >DRNTG_26247.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1825223:1827355:-1 gene:DRNTG_26247 transcript:DRNTG_26247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLRVTPTLPNTFINLASPIVDVPYHTFFLGTFIGLIPAAYVTVRAGLALGELRSLNDLYDFQSIAILFFIGIFTVTPTLLGKNQTKNVN >DRNTG_26247.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1825223:1827355:-1 gene:DRNTG_26247 transcript:DRNTG_26247.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLRVTPTLPNTFINLASPIVDVPYHTFFLGTFIGLIPAAYVTVRVSFTSLLL >DRNTG_13620.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6245397:6252404:1 gene:DRNTG_13620 transcript:DRNTG_13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 22 [Source:Projected from Arabidopsis thaliana (AT1G59990) UniProtKB/Swiss-Prot;Acc:Q944S1] MNLIARAPLLHLPSIAPSPRRLLLLLRPRCPCRYSAAAQPLVRAFSAGHAVAEEASAPDGVPFFAEEGISWKSLGISDHLCGALSKASLHRPSQVQASCIPHILMGKDVIVAAETGSGKTHGYLVPLIDRFYTKSPPCDEANSAEDVPEKQKMSLVLCPNVMLCEQVVQMANLLFSHSGGPPLRVAAVCGRQGWPVAQPHILVSTPAALLNCMYAFDPEKKRRSEFLRDVTCVVFDEADMLLCGSFQNQIIHLINMFRFDEKQLSRMESSAGPSSMQVNEETETNFGVEDDINGINYEEVEGDEVEPEVIRNEHGIVETSSVKDWRRVRKVYTHSKQYIFVAATLPVSGKKTAGGVLKRMFPDAIWVSGNYLHCYNPRLKQRWVEVTADTQVDALLDAVKSTSNYSHGDNDAAAYRTMVFTNTVDSVESVAKILQRVGITCIPYHSESSLDERMGNLTEFREKGGILVCTDAAARGLDIPNVAHVIQAEFATSAVDFLHRIGRTARAGKSGMITSLHTQSNRDLVSAVRAAGRAGQPVEKAFSRKRSFRNKLKKKGRNAAVGTESILA >DRNTG_31767.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001739.1:44551:45445:-1 gene:DRNTG_31767 transcript:DRNTG_31767.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILHFQICPFEAFPCWHHKQWNQEIIMLKLPSLVRSYTLTLVIFIAPSIKAFHFA >DRNTG_14576.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3848217:3852903:1 gene:DRNTG_14576 transcript:DRNTG_14576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIPAHPGLLLRQTRGLMGYTQGDLFKCSYDQKSHRGVRLPFWVGRTGRQFGGSFARFFGRFLIFSSHIVGAAVRLSRHVDPHRRLEIPHVHVDFCSVSLSTCLIDFVSNL >DRNTG_13710.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5378027:5382548:1 gene:DRNTG_13710 transcript:DRNTG_13710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYWRYPAVDGRDANGAYPSHFPPDASSFPGHHLLGSNNPPATPSEFSHSNVLPLRAGGYTVNDLAGISTPVAPGISGLASGANMRGFSPLEGQTLVRRDVSLGREPDITEIERPNPPRIPDGLSDNESNVLYVDCLPTDCTRREVSHLFRPFIGFKEIRVVHKEPRRAAEKAQVLCFVEFKDTRCALTAMEALQGYKFDDKKPDSPTLKIQFAKFPFHPPGYDDRRRGSVH >DRNTG_13710.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5378027:5382590:1 gene:DRNTG_13710 transcript:DRNTG_13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYWRYPAVDGRDANGAYPSHFPPDASSFPGHHLLGSNNPPATPSEFSHSNVLPLRAGGYTVNDLAGISTPVAPGISGLASGANMRGFSPLEGQTLVRRDVSLGREPDITEIERPNPPRIPDGLSDNESNVLYVDCLPTDCTRREVSHLFRPFIGFKEIRVVHKEPRRAAEKAQVLCFVEFKDTRCALTAMEALQGYKFDDKKPDSPTLKIQFAKFPFHPPGYDDRRRGSVH >DRNTG_23411.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001264.1:52083:56614:-1 gene:DRNTG_23411 transcript:DRNTG_23411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALLGDDGRGYELARRLESSGTWRAWLGDSGYAAFAHALASPSAWESFMSSRSQAHLHLQLRARALLFDKASSSLFSPSPSTVSSSLSNLNPSFLLLNGDDVYFSLEDDSPVGIPHQMPSRLAISPRRANEHSYDRVASTGSRYNELDSENSFQKHRQDEGSEMWYNQYFERYKKMKQQKLLCDDKEPYRRTPEGMSAYLKFSKIHKKRRQVYKEGSNMGAGEMRWENGSFMRPSIISDVSNLSEEGNVFFPEIMFPTNCIPDSALPPADGLEENKKVEVYGVLDKLPDVISRSTAMMERFGIRPEHLRMGSKYRGKDDGGANKKPLSQEQASQMSLKVAARLLHSVGFESGTEISMEVLSEILGDHICKLGRKLKLLSDSYRKQYSSVELLKMFLQSVCHINLATLVEITKDGNKGFTHQTQQQVRTLQSQHQNPLLQSQQQFHRPTMNPMMLHPQNLTFQQQRQLQQLEKMKRLAASNAHRPIMTTLDKDQTMADVKLENMMDSPMDSTSFSNLNKQQMQFRQQQMMMSNHHAQAGQQFKQLNPVQLSQLQAQNTYNSMTATNMKMEAITELLGVDSTIKHEPDQNKLMSPQK >DRNTG_17571.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17851089:17851576:-1 gene:DRNTG_17571 transcript:DRNTG_17571.2 gene_biotype:protein_coding transcript_biotype:protein_coding NPDELDSTAKTIKFEEKKRTSIPPIRNQGLIDKFHGDPAIQFECSSPPPR >DRNTG_17571.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17851237:17858909:-1 gene:DRNTG_17571 transcript:DRNTG_17571.1 gene_biotype:protein_coding transcript_biotype:protein_coding PESSSLSTSERPLQGSPHSVVVGKEESAVPSRD >DRNTG_04290.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30035016:30038311:1 gene:DRNTG_04290 transcript:DRNTG_04290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDHRSGSTSFLFSIPSCFFFIILVLFSLSSFSLSANALLMTSHSQQCLDLLDLKKGFDLFYASTSLSDWLPGTDCCWWEGVFCDQVSGLVVSLDLSERHMGGNIMPCLFNLTSLQRLNLAYNGFNHSAVLHLDFEKLTNLTHLNLSYSGIDGQVPMGISRLTKLISLDLSSDIYSLMLEKPDLGTLIRDLFNLEELYLDHVNISSSGTEWCHAISDSTPGLQALSLQYCSLLGPIDSSLSKLRNLSILRLDGNDLLSQVPDFFAKFSSLTVLSLSWCGLKGLFPKSVFELLNLKTIDLSYNSNLTGFLPEFPLNSSLETLTISYTTFLGPIPLSIWNLSELVYLDLSSNHLSGHLPPMLAGSKISIINLSSNYFIGQIPSTLGHAHHLTSLYLVDNLLTGSIPMSLFTLPILKELYLADNKLSGQLQEFTNASSTLQYVDLGGNNLQGKLPKSLVDLSALGFLVLGSNNFDGSVMGLELFGHLQNLTYLDLSGIDMSISDGIAGSSVLFPSLHTLTLQSCNLTVIPSFLKHKKNFGSLDLSNNRINGIIPRWIWSIGSFIESMNFSYNSFTDIEKPFLKHSNNVEFLDLSSNRIGGTIPSWLWSSGVLYLNLSCNLFTSVEGSFSNPSTVISIIIDLHSNLLQGPIPLPPPNSTFVDYSNNLFTSSIPFNISYYLKKTIFFSLSNNSLTGEVPSSICSATKLYIFDISHNNLSGSIPACLFESLIDLRVLNARENSFQGSMPQKVSSRCAIQTINLNGNKLEGVIPSSWANCAELEVLDLGRNKLADSFPHWLMNLPALKVLVLKENKFFGHLTGICEGNHSFMMLQIFDISSNHFTGSLPSECFKSMKAMIVPQGQTETIGYRNGSLRTYQDTIMVDLKGFEIELVKILTTFTSIDLSNNRFVGNIPQVLGDLKSLHALNMALNAFTGEIPRVLGDLSELESLDLSGNQLSGVIPSSLTSLYFLAFLNLSNNNLVGKIPQSFQFSTFSNSSFEGNPGLCGSPLSRDCINSTSVEPSSDSENAPTEFDMDEIWFWMFTGLGYGVGFALAIIYQLFFPKWRMWYKRRFMSR >DRNTG_04290.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30035016:30047566:1 gene:DRNTG_04290 transcript:DRNTG_04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDHRSGSTSFLFSIPSCFFFIILVLFSLSSFSLSANALLMTSHSQQCLDLLDLKKGFDLFYASTSLSDWLPGTDCCWWEGVFCDQVSGLVVSLDLSERHMGGNIMPCLFNLTSLQRLNLAYNGFNHSAVLHLDFEKLTNLTHLNLSYSGIDGQVPMGISRLTKLISLDLSSDIYSLMLEKPDLGTLIRDLFNLEELYLDHVNISSSGTEWCHAISDSTPGLQALSLQYCSLLGPIDSSLSKLRNLSILRLDGNDLLSQVPDFFAKFSSLTVLSLSWCGLKGLFPKSVFELLNLKTIDLSYNSNLTGFLPEFPLNSSLETLTISYTTFLGPIPLSIWNLSELVYLDLSSNHLSGHLPPMLAGSKISIINLSSNYFIGQIPSTLGHAHHLTSLYLVDNLLTGSIPMSLFTLPILKELYLADNKLSGQLQEFTNASSTLQYVDLGGNNLQGKLPKSLVDLSALGFLVLGSNNFDGSVMGLELFGHLQNLTYLDLSGIDMSISDGIAGSSVLFPSLHTLTLQSCNLTVIPSFLKHKKNFGSLDLSNNRINGIIPRWIWSIGSFIESMNFSYNSFTDIEKPFLKHSNNVEFLDLSSNRIGGTIPSWLWSSGVLYLNLSCNLFTSVEGSFSNPSTVISIIIDLHSNLLQGPIPLPPPNSTFVDYSNNLFTSSIPFNISYYLKKTIFFSLSNNSLTGEVPSSICSATKLYIFDISHNNLSGSIPACLFESLIDLRVLNARENSFQGSMPQKVSSRCAIQTINLNGNKLEGVIPSSWANCAELEVLDLGRNKLADSFPHWLMNLPALKVLVLKENKFFGHLTGICEGNHSFMMLQIFDISSNHFTGSLPSECFKSMKAMIVPQGQTETIGYRNGSLRTYQDTIMVDLKGFEIELVKILTTFTSIDLSNNRFVGNIPQVLGDLKSLHALNMALNAFTGEIPRVLGDLSELESLDLSGNQLSGVIPSSLTSLYFLAFLNLSNNNLVGKIPQSFQFSTFSNSSFEGNPGLCGSPLSRDCINSTSVEPSSDSENAPTEFDMDEIWFWMFTGLGYGVGFALAIIYQLFFPKWRMWYKRRFMSR >DRNTG_32311.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001821.1:86669:88818:1 gene:DRNTG_32311 transcript:DRNTG_32311.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTEPTAKPELQPHHPDRIERKRSGHNRPSQRPRERRPNPFLE >DRNTG_21298.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27386352:27389525:-1 gene:DRNTG_21298 transcript:DRNTG_21298.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYRKWGPLAISVYINVNIIRISSRSVGSLSRFNFKREDDNFWLRRLDHKDWLSPNEVLKIFTNLRDPELIMDSFKKLSGRMDYKPREALYQLLIDKLADARNFGAIDELLETAKFENCRLSDEFFYRVIKIYGNIANHLERAIETLLRMPEFHCWPTVKTFNCVLNMLVCAKQYEIIHEVYLSAHKLGVSLDTCCFNILIKGLCQCDKLDAAFSLLHEMPKQGCRPNATTYSTLMHALCRNGRVNEAFEICERMESGDCYPDTITFNVLISGLCKQGRVTEGLTFLKKMKLKGCSPNSGTYQALLYGLLSSKKFLEAKDFIGVMITEGKCPSCLSYKLAIEGLCGENLLNEVDVVLKQMVYQGFVPRMGTWKKIVECMFQERDFHQSINLCLTG >DRNTG_21298.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27386352:27390141:-1 gene:DRNTG_21298 transcript:DRNTG_21298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYRKWGPLAISVYINVNIIRISSRSVGSLSRFNFKREDDNFWLRRLDHKDWLSPNEVLKIFTNLRDPELIMDSFKKLSGRMDYKPREALYQLLIDKLADARNFGAIDELLETAKFENCRLSDEFFYRVIKIYGNIANHLERAIETLLRMPEFHCWPTVKTFNCVLNMLVCAKQYEIIHEVYLSAHKLGVSLDTCCFNILIKGLCQCDKLDAAFSLLHEMPKQGCRPNATTYSTLMHALCRNGRVNEAFEICERMESGDCYPDTITFNVLISGLCKQGRVTEGLTFLKKMKLKGCSPNSGTYQALLYGLLSSKKFLEAKDFIGVMITEGKCPSCLSYKLAIEGLCGENLLNEVDVVLKQMVYQGFVPRMGTWKKIVECMFQERDFHQSINLCLTG >DRNTG_00410.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000038.1:103073:104164:1 gene:DRNTG_00410 transcript:DRNTG_00410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCSDDLTLKIWDTSGNPSQTSGDGYVPWQHICTLSGYHGRTIFFCELV >DRNTG_00410.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000038.1:103073:103994:1 gene:DRNTG_00410 transcript:DRNTG_00410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCSDDLTLKIWDTSGNPSQTSGDGYVPW >DRNTG_00410.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000038.1:102767:104164:1 gene:DRNTG_00410 transcript:DRNTG_00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNVFQCYKVIHRMLRWCYGILSIDVLVSVSYDNSIKVWVEDGDDDWHCVQSLGEAGSGHTSTVWASSFSECGNRMVTCSDDLTLKIWDTSGNPSQTSGDGYVPWQHICTLSGYHGRTIFFCELV >DRNTG_18084.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:288494:289126:1 gene:DRNTG_18084 transcript:DRNTG_18084.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSKGSSSPRSPGSLSVTTEVFDLGQSLYILVLTKSFGNPSLFRQLCTKLTEILETRERH >DRNTG_18084.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:285534:289126:1 gene:DRNTG_18084 transcript:DRNTG_18084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLGMMDYCVQLVEVRAMLHLRGYDGAISDIWSCGVILYFILTGKLPFNDRNLAVLYQKIFKADTEIPEYLSPGAQNIIRKILDPNPTTRINVAMIKEDEWFRQDYIPVIPVDDEDEDLSIIHVSPIKDQVKSFEGDEKGIKSTCINAFHLIGMSSCFDLSGLFEEEVISDRKITFISKFSPKDIYEKIEDMVQEMGLQVHKSQGKLKFMQQSKGSSSPRSPGSLSVTTEVFDLGQSLYILVLTKSFGNPSLFRQLCTKLTEILETRERH >DRNTG_18084.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:288134:289126:1 gene:DRNTG_18084 transcript:DRNTG_18084.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEMGLQVHKSQGKLKFMQQSKGSSSPRSPGSLSVTTEVFDLGQSLYILVLTKSFGNPSLFRQLCTKLTEILETRERH >DRNTG_18084.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:287153:288041:1 gene:DRNTG_18084 transcript:DRNTG_18084.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMDYCVQLVEVRAMLHLRGYDGAISDIWSCGVILYFILTGKLPFNDRNLAVLYQKIFKADTEIPEYLSPGAQNIIRKILDPNPTTRINVAMIKEDEWFRQDYIPVIPVDDEDEDLSIIHVSPIKDQVKSFEGDEKGIKSTCINAFHLIGMSSCFDLSGLFEEE >DRNTG_26781.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3434519:3438808:-1 gene:DRNTG_26781 transcript:DRNTG_26781.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCFDRAKIYVKAGDGGNGVVAFRREKFVPYGGPSGGDGGRGGDVYLEVDGSMNSLLPFRKAVHFRAGRGAHGQGRKQVGAKGEDVVVKVAPGTVVREARKGGVEGEVLLELVKPGQRALLLPGGRGGRGNASFKTGMNKVPKIAENGEEGAEIYMLLMVRDSSQRMSLMLFA >DRNTG_23874.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:18625:22183:-1 gene:DRNTG_23874 transcript:DRNTG_23874.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGDKSGDFYAVLGLTKDCSNADLRNAYKKLVLRWHPDRCSSSGNSKFVEEAKEKFQEIQEAYSVLSDSNKRFLYDVGIYESDDDDNGMGDFLGEMAQMMSQTKPSENGQESFEELQKLFVEMFHADLDLGLGAGPQRPNKPINESNVQDCSTSSSNGVNKRCSSGKAKLDEFSFTSTSSEFCFGSNNTTESLKGINGGGEGSSSSKRRSGRKQKVSSRHDVSSRDAEIST >DRNTG_20738.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21042303:21042788:1 gene:DRNTG_20738 transcript:DRNTG_20738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARLLSSTNQPSFLTLKDIESVRNVYSPKYLPPIKEPIYNGLPNSGSAEEHHDDQVADESSLVNQISGATTKH >DRNTG_22267.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:982896:984184:-1 gene:DRNTG_22267 transcript:DRNTG_22267.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGQMISVNPEELTFEFELEKPSYCNLKVVNNTEHHVAFKVKTTSPRKYFVRPNTSVVQPWDSCTITVTLQAQNEYPPDMQCKDKFLLQSTKVAPVADVDELPPETFNKEGDKVIEELKLKVIYKALVQSSAGNLDESSMTSASRNLRQSSESISV >DRNTG_22267.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:982896:984184:-1 gene:DRNTG_22267 transcript:DRNTG_22267.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGQMISVNPEELTFEFELEKPSYCNLKVVNNTEHHVAFKVKTTSPRKYFVRPNTSVVQPWDSCTITVTLQAQNEYPPDMQCKDKFLLQSTKVAPVADVDELPPETFNKEGDKVIEELKLKVIYKALVQSSAGNLDESSMTSASRNLRQSSESISV >DRNTG_22267.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:980547:984184:-1 gene:DRNTG_22267 transcript:DRNTG_22267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGQMISVNPEELTFEFELEKPSYCNLKVVNNTEHHVAFKVKTTSPRKYFVRPNTSVVQPWDSCTITVTLQAQNEYPPDMQCKDKFLLQSTKVAPVADVDELPPETFNKEGDKVIEELKLKVIYKALVQSSAGNLDESSMTSASRNLRQSSESISALKNSNIQEIQEVQRLRAERDTSMQRNQQLQRELETLKRHRDRKGDAGFSLTFAALAGLVGLLLGMVLKYTLSSPPPA >DRNTG_22267.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:980547:984184:-1 gene:DRNTG_22267 transcript:DRNTG_22267.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGQMISVNPEELTFEFELEKPSYCNLKVVNNTEHHVAFKVKTTSPRKYFVRPNTSVVQPWDSCTITVTLQAQNEYPPDMQCKDKFLLQSTKVAPVADVDELPPETFNKEGDKVIEELKLKVIYKALVQSSAGNLDESSMTSASRNLRQSSESISALKNSNIQEIQEVQRLRAERDTSMQRNQQLQRELETLKRHRDRKGDAGFSLTFAALAGLVGLLLGMVLKYTLSSPPPA >DRNTG_01060.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3726352:3733935:-1 gene:DRNTG_01060 transcript:DRNTG_01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVALTVGPTMDLPIMHDSDRRRGVTAPLYPLPWPGLISVGVQILRSEDLAATVIHCHSGILPLHCKIVAGIGAGVSNPADVAMVRMQADGRLPLVQRRNYKSVVDAILRMVREEGVTSLWCGSSLIVNRAMIITTSQLATYDQAKETILPDGDSDLEARLLNKGKDKKHSHDEEGSEIHDSEWSFTDELDGQDGEAQTEIVPVSGKPTNFESNDDGMESDYAVPNLRVFVWIKKLHLCAISRVMCILKLQVMC >DRNTG_11997.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6568929:6582511:-1 gene:DRNTG_11997 transcript:DRNTG_11997.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MDAR6 [Source:Projected from Arabidopsis thaliana (AT1G63940) UniProtKB/TrEMBL;Acc:A0A178WFH3] MAISLRMPPLSSPLHSKWPCRTTWNPSVGLIRRSFAVKASGFANENREFVIVGGGNAAGYAARTFVEHGMADGKLCIVSKEAVAPYERPALTKGYLFPADKKPARLPGFHTCVGSGGERQTPDWYKDHGIEVLYQDPVVGFDAQAQTLTTSSGKLLKYGSLIISTGCSATRLPEKSGGNLPGVHYIRDVSDADSLVSSLERAQKVVVIGGGYIGMEVSAAAAGWNLDTTIIFPEDHLMPRLFTSSLARRYEELFHKMGIRFLKGVRIDQLEAGPNGRVATVKLGNGNTIVTDTVIVGIGAKPVVSPFEMVGLNTSVGGIQVDSLFRTSIPGIFAIGDVAAFPLKIYNRVARVEHVDHARKSAQHCVRTLLTSHTQPYDYLPYFYSRVFEYEGSTRKVWWQFYGDNIGDTIEVGNFDPKIATFWLDSDNRLKGVFLESGNPEEFELLPQLARRQPVIDRAKLKDAPSVEAALEIAKNSMS >DRNTG_11997.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6568929:6582511:-1 gene:DRNTG_11997 transcript:DRNTG_11997.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MDAR6 [Source:Projected from Arabidopsis thaliana (AT1G63940) UniProtKB/TrEMBL;Acc:A0A178WFH3] MSSVSRLIMAISLRMPPLSSPLHSKWPCRTTWNPSVGLIRRSFAVKASGFANENREFVIVGGGNAAGYAARTFVEHGMADGKLCIVSKEAVAPYERPALTKGYLFPADKKPARLPGFHTCVGSGGERQTPDWYKDHGIEVLYQDPVVGFDAQAQTLTTSSGKLLKYGSLIISTGCSATRLPEKSGGNLPGVHYIRDVSDADSLVSSLERAQKVVVIGGGYIGMEVSAAAAGWNLDTTIIFPEDHLMPRLFTSSLARRYEELFHKMGIRFLKGVRIDQLEAGPNGRVATVKLGNGNTIVTDTVIVGIGAKPVVSPFEMVGLNTSVGGIQVDSLFRTSIPGIFAIGDVAAFPLKIYNRVARVEHVDHARKSAQHCVRTLLTSHTQPYDYLPYFYSRVFEYEGSTRKVWWQFYGDNIGDTIEVGNFDPKIATFWLDSDNRLKGVFLESGNPEEFELLPQLARRQPVIDRAKLKDAPSVEAALEIAKNSMS >DRNTG_24455.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001303.1:21324:22074:-1 gene:DRNTG_24455 transcript:DRNTG_24455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQVKSTWNFYLFRLPILGGDNVNLKIAVSGLQNVVNSSGSTTTVLTSKNLMDENSFKEPNKVAPLQNMLQNAGTNMDVVIVPHSLTSFDLALSETNYWSAI >DRNTG_19435.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6883577:6885731:-1 gene:DRNTG_19435 transcript:DRNTG_19435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFDAALLSAGSSRSGISLTIESTILSTAPIPTSCMISSTVAAISSTVTASAADGAVIVSSSAGGGYRGSIVFLFFASLFECGRFGITTPMMSSSSNSDASSVPGASIVWRDDAVDCDRPSNASTRATSRGNSLINIWHACIRVVVTSLPNAVKGAPTIGGTVVVVVAGGRRRFAIWRDRGGLLRRRGMCAHVGLEVGERRQRARKRLPSYYAFEQVVSE >DRNTG_30541.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001582.1:23924:26328:-1 gene:DRNTG_30541 transcript:DRNTG_30541.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFFLHFLYLIRQLAHGRCERFEAKIHKKKEEDKGRGRGRGRGGERERERERGRGEEGERRR >DRNTG_30541.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001582.1:23924:24227:-1 gene:DRNTG_30541 transcript:DRNTG_30541.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVRDLKPKFIKRKKKTKGEGEEEGGGEREREKERGGGGRREREGDESV >DRNTG_17807.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:8894271:8894563:1 gene:DRNTG_17807 transcript:DRNTG_17807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSFLSFLQMMAAKNPSKSLPPLDGLHPECYTTPYGPH >DRNTG_11325.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:8631180:8643068:1 gene:DRNTG_11325 transcript:DRNTG_11325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPEQNMMVVDDKATYSLQGVVGVFVVKDRRPRGTTLPKFEEIFNISDNCAADALIRTSVRSRYSLILFRDEPEMKSGQYSNTLKNALLLYCTWESEGTTSVQIACYILTSRLNINDSNSQKDPAVRRIAEQSNYGGGPFYTTNPTSVPSASNSRLSPLPPEPADFYNDLSATVDIPLDSTKRNTASPTPSSRPSTGKVTLNPRRTTSSGSHSSAAHRRTSSRGSSQQVDTRAFIPKYVPPQTMSPILLGDRMMLEKWKKCLIRRLLSGC >DRNTG_17442.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000897.1:71401:75798:1 gene:DRNTG_17442 transcript:DRNTG_17442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPETDFGTWLLVSRQRGHARGCGGSSRASHVPSDVAAGERTDAPVSPDPVTTGTRGGLRGIGRGRHISSLALYSNAIAYDLTSENTFPMLQNPPVDALDPHPDLQNPAIDPAPSNDPQNSICPIDSNTIALPLKQQNSSSHDWRPRLHNPPNTEKSILLSRSFFPTTYSPHVYYTIILL >DRNTG_25526.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2944936:2948543:1 gene:DRNTG_25526 transcript:DRNTG_25526.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT4G18060) UniProtKB/Swiss-Prot;Acc:Q8L7W0] MDALRRQASKFKDQVAKQQQAVIKQLGGTGYESSNVMVIDEVELQRHQQLEKLYRSTRAGRDFQKDIVRAAEGFSSIQQKHIETCTKLSEDCYKYGTDNNVSDGSLAKEASLYGGALLNIEKEHEEFNKVLSSQVIEPLKAMAIGAPLEDARHLAQRYSRMRQEAETLAAEVSRRQARSREAPIMENTAKLQSSESKMQEHKANMAVLGKEAAAALAAVESQQQRLTSQRLLALLEAEKSFHLRVAAIIDDVEAEMISEKQRKESVPPSAPFAVPLAQPHKNSEKALYFLAEAMHPFTGASEKELSLAVG >DRNTG_32793.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:24856596:24867256:1 gene:DRNTG_32793 transcript:DRNTG_32793.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLVRHRLFFGNISDAAEVLQKGSSEITHVLSLLSSASISFFSDWKAEISIPTKEIRKVFFGDSDGLLTRKALSPEKLLYSLEHAGPELKLVRMAVPLRDTEDADLLDYLEVCLDFIHENREKGSVLVHCFAGVSRSAAVITAYLMRTEQRSLEDALESLRESCEFICPNDGFLEQLKMFENMGFKVDTTNPIYKRFRLKILGQFYKQGEKIDSFVFGADPGLPLESSIPDGEVSEAVQPTKAFRCRKCRRIIALEENVINHEPGEGETCFNWHKRKSSHTL >DRNTG_32793.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:24856596:24867256:1 gene:DRNTG_32793 transcript:DRNTG_32793.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLVRHRLFFGNISDAAEVLQKGSSEITHVLSLLSSASISFFSDWKAEISIPTKEIRKVFFGDSDGLLTRKALSPEKLLYSLEHAGPELKLVRMAVPLRDTEDADLLDYLEVCLDFIHENREKGSVLVHCFAGVSRSAAVITAYLMRTEQRSLEDALESLRESCEFICPNDGFLEQLKMFENMGFKVDTTNPIYKRFRLKILGQFYKQGEKIDSFVFGADPGLPLESSIPDGEVSEAVQPTKAFRCRKCRRIIALEENVINHEPGEGETCFNWHKRKSSHTL >DRNTG_26125.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:4027360:4027738:1 gene:DRNTG_26125 transcript:DRNTG_26125.1 gene_biotype:protein_coding transcript_biotype:protein_coding LILIISEVCLFHSEFDFLDAVLQLDLCDNFNSRRCENAEGDKNKEEIGLPKSPTTD >DRNTG_33966.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1028863:1029324:-1 gene:DRNTG_33966 transcript:DRNTG_33966.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTENKTRSIAAHERLIASMAVSNVTGLIASASHDTFVKLWK >DRNTG_24388.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2702902:2704776:-1 gene:DRNTG_24388 transcript:DRNTG_24388.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPEEAILIMDQPEEAKSGEANNRFKKISLNFLSKDRQPSRKVTTNDEKHSEGRQSHVFSRLFDKKTSLFSKKHAKAEADTSASSSAVPSGEDGSDWTLV >DRNTG_24388.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2702902:2704393:-1 gene:DRNTG_24388 transcript:DRNTG_24388.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLSSGFLIAEATLPTDGNVSKLFKSCKDYVISSYQAGSTNENLADICEVYQTVEKIMTHPEEAILIMDQPEEAKSGEANNRFKKISLNFLSKDRQPSRKVTTNDEKHSEGRQSHVFSRLFDKKTSLFSKKHAKAEADTSASSSAVPSGEDGSDWTLV >DRNTG_24388.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2705347:2706087:-1 gene:DRNTG_24388 transcript:DRNTG_24388.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLETGEPIYHPVMQEGPILTEELIKENEELVLRTGSVGNGCSQLLSDMQAFK >DRNTG_24388.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2702902:2708252:-1 gene:DRNTG_24388 transcript:DRNTG_24388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETGEPIYHPVMQEGPILTEELIKENEELVLRTGSVGNGCSQLLSDMQAFKAANPGCVLEDFIRWFSPPDWRESDSENEENIPTGDDSSSRRGRLSRRMQEKGNLWRELWETATPLPAIRQTPLFDEDLAVESILTSLEDIAPSELFEQLFVSVLSSGFLIAEATLPTDGNVSKLFKSCKDYVISSYQAGSTNENLADICEVYQTVEKIMTHPEEAILIMDQPEEAKSGEANNRFKKISLNFLSKDRQPSRKVTTNDEKHSEGRQSHVFSRLFDKKTSLFSKKHAKAEADTSASSSAVPSGEDGSDWTLV >DRNTG_08765.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18486269:18487937:1 gene:DRNTG_08765 transcript:DRNTG_08765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDQSHPQYESIQKVWNELHDKMEKMGYLPDTSSVLHNVEEEEKEFFLYNHSERLAMSFAILNTEPGMTIQIVKNLRVCGDCHAVTKLVSKITGRKIIVRDANRFHHFENGSCSCRDYW >DRNTG_08765.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18486269:18487012:1 gene:DRNTG_08765 transcript:DRNTG_08765.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDQSHPQYESIQKVWNELHDKMEKMGYLPDTSSVLHNVEEEEKEFFLYNHSERLAMSFAILNTEPGMTIQIVKNLRVCGDCHAVTKLVSKITGRKIIVRDANRFHHFENGSCSCRDYW >DRNTG_04241.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:15909548:15910939:-1 gene:DRNTG_04241 transcript:DRNTG_04241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSTNPAQGPDVDGQENPALELAQFAAGCFWGVELAFQRVEGVVKTEVGYSQGTLDKPTYKDVCRGDTAHAEVVRIHFDPSLCPYTTLLSLFWSRHDPTILNRQGNDVGTQYRSGIYYYNENQAKLARKSLEAEQVEFGNEKIVTEILPAKKFYRAEEYHQQYLEKGGGRGLKQSAAKGCKDPIRCYG >DRNTG_04241.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:15909701:15910894:-1 gene:DRNTG_04241 transcript:DRNTG_04241.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSTNPAQGPDVDGQENPALELAQFAAGCFWGVELAFQRVEGVVKTEVGYSQGTLDKPTYKDVCRGDTAHAEVVRIHFDPSLCPYTTLLSLFWSRHDPTILNRQGNDVGTQYRSGIYYYNENQAKLARKSLEAEQVEFGNEKIVTEILPAKKFYRAEEYHQQYLEKGGGRGLKQSAAKGCKDPIRCYG >DRNTG_04241.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:15909701:15910939:-1 gene:DRNTG_04241 transcript:DRNTG_04241.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSTNPAQGPDVDGQENPALELAQFAAGCFWGVELAFQRVEGVVKTEVGYSQGTLDKPTYKDVCRGDTAHAEVVRIHFDPSLCPYTTLLSLFWSRHDPTILNRQGNDVGTQYRSGIYYYNENQAKLARKSLEAEQVEFGNEKIVTEILPAKKFYRAEEYHQQYLEKGGGRGLKQSAAKGCKDPIRCYG >DRNTG_04241.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:15909657:15910894:-1 gene:DRNTG_04241 transcript:DRNTG_04241.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSTNPAQGPDVDGQENPALELAQFAAGCFWGVELAFQRVEGVVKTEVGYSQGTLDKPTYKDVCRGDTAHAEVVRIHFDPSLCPYTTLLSLFWSRHDPTILNRQGNDVGTQYRSGIYYYNENQAKLARKSLEAEQVEFGNEKIVTEILPAKKFYRAEEYHQQYLEKGGGRGLKQSAAKGCKDPIRCYG >DRNTG_04241.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:15909548:15910894:-1 gene:DRNTG_04241 transcript:DRNTG_04241.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSTNPAQGPDVDGQENPALELAQFAAGCFWGVELAFQRVEGVVKTEVGYSQGTLDKPTYKDVCRGDTAHAEVVRIHFDPSLCPYTTLLSLFWSRHDPTILNRQGNDVGTQYRSGIYYYNENQAKLARKSLEAEQVEFGNEKIVTEILPAKKFYRAEEYHQQYLEKGGGRGLKQSAAKGCKDPIRCYG >DRNTG_04241.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:15909657:15910939:-1 gene:DRNTG_04241 transcript:DRNTG_04241.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSTNPAQGPDVDGQENPALELAQFAAGCFWGVELAFQRVEGVVKTEVGYSQGTLDKPTYKDVCRGDTAHAEVVRIHFDPSLCPYTTLLSLFWSRHDPTILNRQGNDVGTQYRSGIYYYNENQAKLARKSLEAEQVEFGNEKIVTEILPAKKFYRAEEYHQQYLEKGGGRGLKQSAAKGCKDPIRCYG >DRNTG_17202.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:347783:352789:1 gene:DRNTG_17202 transcript:DRNTG_17202.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable beta-1,4-xylosyltransferase IRX9H [Source:Projected from Arabidopsis thaliana (AT1G27600) UniProtKB/Swiss-Prot;Acc:Q9SXC4] MASIRRTLSPANHERRVQIQRSSPPNLSSSSPSPSPHLFHRILNDGFSLPHKQQPRKWAQQQLAWKRSILRFLLFFIAGFLLGFSPFSGIHDHDHDHGLSLQDFSFDVRPPPSTLHVDANLGSNSQSQLDLGGGDRTIEILKPEGVTNLELETRTEDISNDNDDSLAPPRKLLIVVTPTYNRALQAYYLNRLGQTLRLVPQPLLWIVVEMGSASMETAELLRGTGVMYRHLVCERNSTKVKDRGVHQRNTALQHIERHHLDGIVYFADDDNIYSLELFESLREIRRFGTWPVAMLAQSKNKATLEGPVCNGSQVIGWHTNEKSKKLRRFHVDMSGFAFNSSILWDPKRWGRPTSDPIRQLDTVREGFQETTFIEQVVEDEDQMVGLPYDCSKIMNWHIHLDAKDLNYPNGWQVTTDLDVVIPVK >DRNTG_17202.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:347783:352789:1 gene:DRNTG_17202 transcript:DRNTG_17202.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable beta-1,4-xylosyltransferase IRX9H [Source:Projected from Arabidopsis thaliana (AT1G27600) UniProtKB/Swiss-Prot;Acc:Q9SXC4] MASIRRTLSPANHERRVQIQRSSPPNLSSSSPSPSPHLFHRILNDGFSLPHKQQPRKWAQQQLAWKRSILRFLLFFIAGFLLGFSPFSGIHDHDHDHGLSLQDFSFDVRPPPSTLHVDANLGSNSQSQLDLGGGDRTIEILKPEGVTNLELETRTEDISNDNDDSLAPPRKLLIVVTPTYNRALQAYYLNRLGQTLRLVPQPLLWIVVEMGSASMETAELLRGTGVMYRHLVCERNSTKVKDRGVHQRNTALQHIERHHLDGIVYFADDDNIYSLELFESLREIRRFGTWPVAMLAQSKNKATLEGPVCNGSQVIGWHTNEKSKKLRRFHVDMSGFAFNSSILWDPKRWGRPTSDPIRQLDTVREGFQETTFIEQVVEDEDQMVGLPYDCSKIMNWHIHLDAKDLNYPNGWQVTTDLDVVIPVK >DRNTG_17202.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:347783:352857:1 gene:DRNTG_17202 transcript:DRNTG_17202.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable beta-1,4-xylosyltransferase IRX9H [Source:Projected from Arabidopsis thaliana (AT1G27600) UniProtKB/Swiss-Prot;Acc:Q9SXC4] MASIRRTLSPANHERRVQIQRSSPPNLSSSSPSPSPHLFHRILNDGFSLPHKQQPRKWAQQQLAWKRSILRFLLFFIAGFLLGFSPFSGIHDHDHDHGLSLQDFSFDVRPPPSTLHVDANLGSNSQSQLDLGGGDRTIEILKPEGVTNLELETRTEDISNDNDDSLAPPRKLLIVVTPTYNRALQAYYLNRLGQTLRLVPQPLLWIVVEMGSASMETAELLRGTGVMYRHLVCERNSTKVKDRGVHQRNTALQHIERHHLDGIVYFADDDNIYSLELFESLREIRRFGTWPVAMLAQSKNKATLEGPVCNGSQVIGWHTNEKSKKLRRFHVDMSGFAFNSSILWDPKRWGRPTSDPIRQLDTVREGFQETTFIEQVVEDEDQMVGLPYDCSKIMNWHIHLDAKDLNYPNGWQVTTDLDVVIPVK >DRNTG_23533.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22320386:22320955:-1 gene:DRNTG_23533 transcript:DRNTG_23533.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALPVPPQVTGRLLTVGLVASWYSSNIGVLLLNKYLLSNYGFRYPIFLTMCHMTACSLLSYVAIAWLRVVPMQAVRSRIQLLKISALSLVFCGSVVTGNVSLRYLPVSFNQAVGATTPFFTAVFAYLMTVKQEAWLTYVTLIPVVTGVVIASG >DRNTG_23533.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22317934:22320955:-1 gene:DRNTG_23533 transcript:DRNTG_23533.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALPVPPQVTGRLLTVGLVASWYSSNIGVLLLNKYLLSNYGFRYPIFLTMCHMTACSLLSYVAIAWLRVVPMQAVRSRIQLLKISALSLVFCGSVVTGNVSLRYLPVSFNQAVGATTPFFTAVFAYLMTVKQEAWLTYVTLIPVVTGVVIASGGEPSFHLFGFLMCIGATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVILLLSATLIMEENVVGVTLALARQDIRIVWYLLFNSTLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVIISILIFKNPVSVTGMLGYAMTVLGVILYGEAKKRNK >DRNTG_32339.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3103692:3105391:-1 gene:DRNTG_32339 transcript:DRNTG_32339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDTQTADMRSNQGKICFTVQPQEKEENTNYRGLIEGADRKAGIL >DRNTG_34676.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28790345:28793188:-1 gene:DRNTG_34676 transcript:DRNTG_34676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASDKRCADEIQEDSKSPKGPNNDGSNGEIPFYVRTSQRGLLSEKKIQTSKFKNIATAFSGRAKHMKFGSQGKQSPPSSKFQRVANERDEISFSVSVSSPANGSSSKNFHVPFFKKIDWASLWVLCKEWIRNPFNMALFVWVTFVAISGAILFLVMTGMLNGVLTKKSQRDTWFEVNNQILNALFTLMCLYQHPKRFHHLVLLCRWRPEDILRLRKIYCKNGTYKPNERMHMMIVLFFLHLNCFAQYALCGLNLGYPRSKRPAVGVGLCVSVSMASPAIASVYNILCPLGRDYEVEIDQEAQDQTSVGGSRSKRSHTHSPSVVKKYSFMSREDNRIAESNPQWVGGLFDLWDDISLAYLSLFCSCCVFGWNMDRLGFGNMYVHIVTFLLFCLAPFFIFNLAAVNIDDEGVREALGISGFILCIFGLLYGGFWRIQMRKRYNLPGNDFCCGKPAVTDCFQWLCCCPCSLAQEVRTADYYDVAENKFYLKQTWDDAQLMLSPLPREDGSALFKSGPSSPFHSNASQFMFKTNNTASPNRSMGGYISDGHLPVVEEHPSAAVGDKDHTMKPPVLSVIRKEDNLSP >DRNTG_19730.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001049.1:23629:24743:-1 gene:DRNTG_19730 transcript:DRNTG_19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCHLRYTLDVWHYVLRYFFNMKFIHPTKYACLVSFNRIILCIIVLVFIHFICGILIQVFFFAIRYDAAINLV >DRNTG_22390.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16886527:16890831:1 gene:DRNTG_22390 transcript:DRNTG_22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGRPPEPLDFFIWTVEDVGLWLEEINLGGYRQVFEDNGVNGEYLESLSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQEVRRPWWAPSCLSVVFVKVARRNRQSRVVSLKLEP >DRNTG_08324.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19816219:19817237:-1 gene:DRNTG_08324 transcript:DRNTG_08324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSINYKPRSGPSHSSGQLYAQINELQAELAKTQAEHNAMLAEHDKMRAEHDAMRIEWTRRESFEMSLLAALRVKGIDLSDMHVATLTRSIPRAPTVEAQSHVDEHSPTRKRPRTTLVPNNTLDGPNDEEEDI >DRNTG_08324.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19816219:19817154:-1 gene:DRNTG_08324 transcript:DRNTG_08324.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSINYKPRSGPSHSSGQLYAQINELQAELAKTQAEHNAMLAEHDKMRAEHDAMRIEWTRRESFEMSLLAALRVKGIDLSDMHVATLTRSIPRAPTVEAQSHVDEHSPTRKRPRTTLVPNNTLDGPNDEEEDI >DRNTG_33190.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8726661:8727742:1 gene:DRNTG_33190 transcript:DRNTG_33190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLERSPTSVRKLSTPVRHTHRGRCTPLWLP >DRNTG_07415.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7260593:7262192:-1 gene:DRNTG_07415 transcript:DRNTG_07415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKESGVKKEPACSWVEMENSVHMFVANDDSHPQIREILKFWEETNVKIKEVGYVPDKNHVLLFVDEEEREARLQYHCEKIALAFALLNMPPGATIRIMKNIRVCGDCHSAIKLVSKVMEREIIVRDKNRFHHFSKGSCSCDDYW >DRNTG_15052.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5569612:5575167:-1 gene:DRNTG_15052 transcript:DRNTG_15052.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMDSSDDTSTSASATGTGTPKKSLFRSATWTARSPKPGRPSLPPLQPLRRPNLTEWPLPGSDDCPHAHPPSTPSTSSLSRVVDHVYLGSDAAARDRDGLRRHGITHVLNCVGSACPEYFRGDLIYKTLWLHDSPAEDITSVLYDAFDYLEDVRSARPPGRVLVHCLRGASRSATLVIAYLMWRYAKSFDEALRQVRAARAVTDPNLGFASQLLQCQSRVHALPPSPGSALRAYRMAPHSPYDSLHLVPKAVDRPSPARLLDSRGAFVVHVPTAIFVWIGVACEPVMAASASVAASQVVRYERAQGPVVTVQEGSEPAEFWIALMENPAISDQGLNLDIGEPGKRRVELFDLDFEIFRRALKGGVVPPFPMPGSSSETRLPARENGWNLLRHKFLSGGAKQLATALAVSPRDEENSSDSFRSPVSFSGEMSSTPSSTSADSASTRSTFSPASSSSSDWFNISPPSSKLCVSPAPKVISGLPPLCPGKEKTKVVSSATVSSGYSLPSLAETARKHSPYISDVSRC >DRNTG_15052.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5569443:5575167:-1 gene:DRNTG_15052 transcript:DRNTG_15052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMDSSDDTSTSASATGTGTPKKSLFRSATWTARSPKPGRPSLPPLQPLRRPNLTEWPLPGSDDCPHAHPPSTPSTSSLSRVVDHVYLGSDAAARDRDGLRRHGITHVLNCVGSACPEYFRGDLIYKTLWLHDSPAEDITSVLYDAFDYLEDVRSARPPGRVLVHCLRGASRSATLVIAYLMWRYAKSFDEALRQVRAARAVTDPNLGFASQLLQCQSRVHALPPSPGSALRAYRMAPHSPYDSLHLVPKAVDRPSPARLLDSRGAFVVHVPTAIFVWIGVACEPVMAASASVAASQVVRYERAQGPVVTVQEGSEPAEFWIALMENPAISDQGLNLDIGEPGKRRVELFDLDFEIFRRALKGGVVPPFPMPGSSSETRLPARENGWNLLRHKFLSGGAKQLATALAVSPRDEENSSDSFRSPVSFSGEMSSTPSSTSADSASTRSTFSPASSSSSDWFNISPPSSKLCVSPAPKVISGLPPLCPGKEKTKVVSSATVSSGYSLPSLAETARKHSPYISDVSRC >DRNTG_33317.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23637572:23640062:1 gene:DRNTG_33317 transcript:DRNTG_33317.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECDSGNAELAHVKQVVAEKIQNKDYIGARIIIQKALKKFHNLEYNDEMLLVCEILCSSKIELPGCGVDYYWLLQLFPSVESSVIEEQYHKLVSLLKPIKEHFPGTNLALEFLDNAYTVLSDPVKRAAFDAKRNGTHYCEKNGDTVPLLEDSHQKSNCIANQIDYYDFNNIRKPGLFSVGQVWVVYDEENRPCRYAQIDSIHPGFLMQVRWFKPCPESMFEKLWCNSGLPAACGQYNIDTTKTTLNGHMMFSHILSNYKLKQSLEVFPRKFEVWAIYKDWNTEWCSKPGLRKSSGFEIVEILTDYMKDVGVSVVRLAKVDGFRNVYQRRLKRGKEICFQISASNTVMFSHSIPAFRFVGGEIHGITCGMLELDPMAVPHNLVSLAVTTAHETTEEIKFRNVENQKPNWSANDFKAGQVWAVYVGPDAMPRAFVEVHYVVPPSKVCVAFLENHTMTNEESLPIVCGVFHAGNRMVSMEMSRFSHIVDCHKISMNSFYRIFPRKGEIWAMYKNWNIRWKKSDLVGYQCRIVEILSDYSEENGIRVCNLIEVPGCMTLFHRKSHGGFHLTQQISKIEMLSFSHQIPAFAVRLTRNDCIPQGSWYLEPNALPLKWIN >DRNTG_33317.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23637408:23640062:1 gene:DRNTG_33317 transcript:DRNTG_33317.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECDSGNAELAHVKQVVAEKIQNKDYIGARIIIQKALKKFHNLEYNDEMLLVCEILCSSKIELPGCGVDYYWLLQLFPSVESSVIEEQYHKLVSLLKPIKEHFPGTNLALEFLDNAYTVLSDPVKRAAFDAKRNGTHYCEKNGDTVPLLEDSHQKSNCIANQIDYYDFNNIRKPGLFSVGQVWVVYDEENRPCRYAQIDSIHPGFLMQVRWFKPCPESMFEKLWCNSGLPAACGQYNIDTTKTTLNGHMMFSHILSNYKLKQSLEVFPRKFEVWAIYKDWNTEWCSKPGLRKSSGFEIVEILTDYMKDVGVSVVRLAKVDGFRNVYQRRLKRGKEICFQISASNTVMFSHSIPAFRFVGGEIHGITCGMLELDPMAVPHNLVSLAVTTAHETTEEIKFRNVENQKPNWSANDFKAGQVWAVYVGPDAMPRAFVEVHYVVPPSKVCVAFLENHTMTNEESLPIVCGVFHAGNRMVSMEMSRFSHIVDCHKISMNSFYRIFPRKGEIWAMYKNWNIRWKKSDLVGYQCRIVEILSDYSEENGIRVCNLIEVPGCMTLFHRKSHGGFHLTQQISKIEMLSFSHQIPAFAVRLTRNDCIPQGSWYLEPNALPLKWIN >DRNTG_28271.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2852127:2860996:1 gene:DRNTG_28271 transcript:DRNTG_28271.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRRQPNNAMNTLFHDHFFAILSIFSGIFLVNYVLMNSAAAVFSNTDVVLNFQDVNLLMDQIFRSPIAPVAFFLVLFFSSQITALNWNIGGKVILTYFFEIKLSVWVHHVLVKALAVIPALYYTWIGGPEGIYQLLIFCQIILAMFLPSSVIPLFRVASSTFLMRGFKIPWYVEIFSLSVFLGVLAANAIFIMDVLFGNNSWLSNLHSTLVPHMVIFLISCTSFGLTLYLAVTPLKSASEQADTQSFGWNRELNNLELATVREFDVVDKIEYDVDHDSSMLESDTLEKPMESHTDESTLCTNIDLAETVMDSDHDSQQSVHNSDLTSVCSSPICHMETSKILVDAESEEILSGVSVCSDSDSVALQKIEPKHPIVKDVEVAMDSNTDNNNNNNHNNVNIEESASLSEDSNRGILPTVNFETIGFSNSPTEKFSDRSNGSGSLSTLSGLGRAARRQLSAILDEFWGHLFDFHGKLTQEASTRRFGVLLGLDLKIVGSSLKADTAGDEFGKGYLPDLDRGSILQNSLRDYSSPRHKINAGIESPFGAHMGSQPYSHNVQVLDAHAENSFTNLFESNQRHAMYSSYSSDNRDFLPATIHGYQMSSYLRGVGADRTPYFSNDSVGLPPTSSATSFIANHRDPVMYNRAQSALNSLGTSGFLDNPATARISRLQVERPCYKPSFVETRENVVSSSYEKKYHSSPDISALIASIRNSSLNYGNVQHAQWGGPTSTQSSVGRMAYEQSQYLNCFSRAGVSLAFNELSPPKLHTDAFSSYSSLNPDSKSLWSRQPFEQLFGMHSNDQAVRDTGVDSRVSVAPSESSHTESETKILQYLISCIRKLLKLEGSDWLFRQNGGVDEELIDKVAAAEKFLHEAESGEMTQVNRLDQHVLERKNGAIQRNEGGELARLLSITNCGDGCIWQPAVVVSFGVWCIRRILELSVVESRPELWGKYTYVLNRLQGILEPAFSKPRHPLVACSCLKIQATNTNNSNASMQNGVVSALEKASKVSITTAPMILEMIRDVETAVSGRKGRTGTAAGDVAFPKGKENLVSVLKRYKRRLSNKPPVTNESGSLSRRISSPVSASPVL >DRNTG_28271.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2851670:2860996:1 gene:DRNTG_28271 transcript:DRNTG_28271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKEFDAAIPHLFPSLGPALMISMGYIDLGKWVAAVEGGAHFGFNLVLLALFFNCAAILCQYLATCIGMATGKNLAEICSEEYSKSTCILLGVQAEISIITSELTTVLGIAHGLNLLFEMEMLPCIFLAAFCTVPLQLLVTFWDNFKAEVLYLSIAGIALVFYVSGVLFSQPELPLAVDSVFPRLNGESAYSLMALLGANIMAHNFYIHSSVVQEQRRQPNNAMNTLFHDHFFAILSIFSGIFLVNYVLMNSAAAVFSNTDVVLNFQDVNLLMDQIFRSPIAPVAFFLVLFFSSQITALNWNIGGKVILTYFFEIKLSVWVHHVLVKALAVIPALYYTWIGGPEGIYQLLIFCQIILAMFLPSSVIPLFRVASSTFLMRGFKIPWYVEIFSLSVFLGVLAANAIFIMDVLFGNNSWLSNLHSTLVPHMVIFLISCTSFGLTLYLAVTPLKSASEQADTQSFGWNRELNNLELATVREFDVVDKIEYDVDHDSSMLESDTLEKPMESHTDESTLCTNIDLAETVMDSDHDSQQSVHNSDLTSVCSSPICHMETSKILVDAESEEILSGVSVCSDSDSVALQKIEPKHPIVKDVEVAMDSNTDNNNNNNHNNVNIEESASLSEDSNRGILPTVNFETIGFSNSPTEKFSDRSNGSGSLSTLSGLGRAARRQLSAILDEFWGHLFDFHGKLTQEASTRRFGVLLGLDLKIVGSSLKADTAGDEFGKGYLPDLDRGSILQNSLRDYSSPRHKINAGIESPFGAHMGSQPYSHNVQVLDAHAENSFTNLFESNQRHAMYSSYSSDNRDFLPATIHGYQMSSYLRGVGADRTPYFSNDSVGLPPTSSATSFIANHRDPVMYNRAQSALNSLGTSGFLDNPATARISRLQVERPCYKPSFVETRENVVSSSYEKKYHSSPDISALIASIRNSSLNYGNVQHAQWGGPTSTQSSVGRMAYEQSQYLNCFSRAGVSLAFNELSPPKLHTDAFSSYSSLNPDSKSLWSRQPFEQLFGMHSNDQAVRDTGVDSRVSVAPSESSHTESETKILQYLISCIRKLLKLEGSDWLFRQNGGVDEELIDKVAAAEKFLHEAESGEMTQVNRLDQHVLERKNGAIQRNEGGELARLLSITNCGDGCIWQPAVVVSFGVWCIRRILELSVVESRPELWGKYTYVLNRLQGILEPAFSKPRHPLVACSCLKIQATNTNNSNASMQNGVVSALEKASKVSITTAPMILEMIRDVETAVSGRKGRTGTAAGDVAFPKGKENLVSVLKRYKRRLSNKPPVTNESGSLSRRISSPVSASPVL >DRNTG_07625.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000343.1:30306:32023:-1 gene:DRNTG_07625 transcript:DRNTG_07625.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEL1-like homeodomain 5 [Source:Projected from Arabidopsis thaliana (AT2G27220) TAIR;Acc:AT2G27220] MFAKDRDCMRLLKFSLMATTHIQSSVLMGSKYFKAAQELLDEVVNFSNGVKEAKKVITKKKINREEDKDGDELTIEEKQELQLKKATLVRMFHEVKERYRQYLHQMQALIFTFEAVAGVGSAKTYTSLAMHTISKQFRCLCDAISDQIQEISKCLGEEDHFGPRLWVVNNQLGPQGVPQHVVMIKNNAWKPQRGLPERAVAILRSWLMENFLHPYPKVSEKNMLAKQTGLTRRQVTNWFINARVRLWKPMVEEMYLEETKNEEQSINSNGNSATRTDHSEYQLKSVTSLYSLQQNTVPGLMDVESYYSYPFVGGFAATTDVSLSLGLQHFEQIPQPSYYFISNDYLSFKNNMNMQNGRSFS >DRNTG_07625.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000343.1:30306:32023:-1 gene:DRNTG_07625 transcript:DRNTG_07625.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEL1-like homeodomain 5 [Source:Projected from Arabidopsis thaliana (AT2G27220) TAIR;Acc:AT2G27220] MFAKDRDCMRLLKFSLMATTHIQSSVLMGSKYFKAAQELLDEVVNFSNGVKEAKKVITKKKINREEDKDGDELTIEEKQELQLKKATLVRMFHEVKERYRQYLHQMQALIFTFEAVAGVGSAKTYTSLAMHTISKQFRCLCDAISDQIQEISKCLGEEDHFGPRLWVVNNQLGPQGVPQHVVMIKNNAWKPQRGLPERAVAILRSWLMENFLHPYENLPNFI >DRNTG_07625.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000343.1:30306:32023:-1 gene:DRNTG_07625 transcript:DRNTG_07625.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEL1-like homeodomain 5 [Source:Projected from Arabidopsis thaliana (AT2G27220) TAIR;Acc:AT2G27220] MFAKDRDCMRLLKFSLMATTHIQSSVLMGSKYFKAAQELLDEVVNFSNGVKEAKKVITKKKINREEDKDGDELTIEEKQELQLKKATLVRMFHEVKERYRQYLHQMQALIFTFEAVAGVGSAKTYTSLAMHTISKQFRCLCDAISDQIQEISKCLGEEDHFGPRLWVVNNQLGPQGVPQHVVMIKNNAWKPQRGLPERAVAILRSWLMENFLHPYPKVSEKNMLAKQTGLTRRQVEHIKSSQRFILFVGSSN >DRNTG_12040.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1819095:1822200:-1 gene:DRNTG_12040 transcript:DRNTG_12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLLPSTKAATSSKDDEVSKHNHQNDCNIFSSFSNSVFSNSVLILIVSQTF >DRNTG_12040.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1819095:1820223:-1 gene:DRNTG_12040 transcript:DRNTG_12040.2 gene_biotype:protein_coding transcript_biotype:protein_coding YPKPSSTTLARKSLLSVKSEKEPKEDREKGEGGVNRRSPG >DRNTG_22998.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1743521:1745465:1 gene:DRNTG_22998 transcript:DRNTG_22998.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKLMSIRKKWEVFKGESTDKKDLLFSTKKSSLIQFKTELDVFLASNTSKKVCDFKIKGSYLERSCSIFLGETSTIIAQVRTLP >DRNTG_17380.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21667803:21672129:-1 gene:DRNTG_17380 transcript:DRNTG_17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIPESIGHMESLEVIQLGFNDFVGPLPTSIRDLCNLHTLDFSFNNLSEDTSTLSRILSGCAGETIETLNLRNSNLKGELSGWLGMLKSITTLDISKNSLYGPVPISIGNLSNLKTLYLASNGFNGSLPKSIGHLSELKVLDIAYNSLGGVISEQHLANLSSLDSLSMSYNPLIVNISKDWVPPFHLQEIAFVSCQLGPEFPAWLRTQKDFSMLDLSNTGITGSLPDWFWDLSHSIALLDLSNNQITGTVPKSLKLICSSLINLSSNKFEGPLPLPSNVAYADLSDNSFSGDLLPILGGNVPTLGHLYLSNNLLNGTLPASICNFQLMQVIDISNNFISGELPGCWQNLFFLVALNLANNKISGEIPVSLGSLKLIQVLHLGNNNLSGEIPLPLSNLKILVTLDLGGNNLSGNIPAWIGERMPLLRILRLRSNMLQGDIPEELFNLASLQILDLADNNLSGVIPQSFCNLSAMQLTNEARQSILDGFQDQVITSVGNYSIFGYTDSLSVVTKGMELQYSKTLEFVTSIDLSNNRLSGEIPEQIGNLHGIQNLNLSGNHLIGGIPDSIGDLVSLESFDLSRNELSGKIPTSISFLTLLSHLNLSYNNLWGRIPTGNQLQTLDDPSIYIGNRDLCGPPSTVNCGDNETLAFSVEGDDSDENETLWLLFGGAVGYALGLWAASRTTSIGYLCCNHVADARTPLDASTPLSCEKF >DRNTG_29354.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1598057:1599143:1 gene:DRNTG_29354 transcript:DRNTG_29354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSEACLLRPCLQWIDGAEAQGHATVFVAKFFGRAGLMSFISAVPAPQRPALFQSLLYEACGRTVNPVSGAVGLLWSGNWHLCQAAVETVLRGGVPRPILDLDPPATPGSDTDQLYANPELVISESGDERKG >DRNTG_24325.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:299509:303455:1 gene:DRNTG_24325 transcript:DRNTG_24325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSKGNASARQQHQQLSYISVPSQIIHSLSSSSLQSLLLSPKKSSRSGHRLSSIIKNPRFLLLFLFLFALVGTFRIGFEFDPVLPFSPIPCGGVIRGGGFPAVEASGVVGNRSAVIRDGFWAQPDGMAFKPCLSFSSEYKRWSKDVTVERRKYLMVVVSGGLNQQRNQIVDAVVIARILGAALVVPILQVNVIWGDDSEFSDIFDLDHFKRTLADDVRVVSSLPSTHLMTRPVEERRTPLHVSPRWIRSRYLRRLNKEGVLLLRGLDSRLSKDLPPDLQKLRCKVAFHALKFAAPIQELGNKLAMRMQSKGPYLALHLRMEKDVWVRTGCLPGLSAMYDEIIHEERNLRPELLTARSNMTYHERKLAGLCPLNALEVTRLLKALEAPKSAMIYWAGGKPFGGKEALLPLTREFPNLYNKENLALADELKPFSNKSSILAAIDYIVCEQSDVFMPSHGGNMGHVIQGYRAYTGHKKFITPNKRQMLPYFLDHSLPESEFNNVIKELHQGSLGQPELRTEKAGRDVTAFPVPECMCNTSSTTRTRP >DRNTG_11716.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:550952:552211:-1 gene:DRNTG_11716 transcript:DRNTG_11716.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHILDGSSYMKMAKKIHEQDPLQKPKQDRYALRTSPQWLGPQIEVIRMATKSIEREINSVNDNPLIDVARNKALHGGNFQGTPIGVSMDNARLAIAAIGKLMFAQISELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQYLANPVTNHVESAEQHNQDVNSLGLISARKTAEAVEILKLMTSTFLVALCQAIDLRHLEENLKQTVKNTVSQVAKRVLTMGANGELHPSRFCEKELIKVVDREYVFAYIDDPCSDIYPLMQKLRQVLVEHALKNGDKEKDVNSSIFQKIAVFEDELKAVLPKETEGTRTAFENGNCAIDNRIKECRSYPLYRFVREELGTSLLTGEKVRSPGEEFDKVFTAISHGKVIDPLFECLKEWNGEPLPIC >DRNTG_11716.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:544864:552211:-1 gene:DRNTG_11716 transcript:DRNTG_11716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHILDGSSYMKMAKKIHEQDPLQKPKQDRYALRTSPQWLGPQIEVIRMATKSIEREINSVNDNPLIDVARNKALHGGNFQGTPIGVSMDNARLAIAAIGKLMFAQISELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQYLANPVTNHVESAEQHNQDVNSLGLISARKTAEAVEILKLMTSTFLVALCQAIDLRHLEENLKQTVKNTVSQVAKRVLTMGANGELHPSRFCEKELIKVVDREYVFAYIDDPCSDIYPLMQKLRQVLVEHALKNGDKEKDVNSSIFQKIAVFEDELKAVLPKETEGARTAFENGNCATDNRIKECRSYPLYRFVREELVTSLLTGEKVRSPGEEFDKVFTTISHGKVSVILSLNA >DRNTG_07839.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:59396:59696:-1 gene:DRNTG_07839 transcript:DRNTG_07839.1 gene_biotype:protein_coding transcript_biotype:protein_coding VYSYGILVLEIITGKKNCGFQASGSATDLPTNVWQHWNGGTSLELMESGGGDQFRAEQVLRCIHIGLLCVQEDP >DRNTG_31338.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4149343:4151514:-1 gene:DRNTG_31338 transcript:DRNTG_31338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVRVWTTSETTSMLSLKVYFPSLSTFLGHHITNASRGEKNVISMMKKLLNERRRCLKEGPDEDFFNLIIKELEKEGTQLTEDIAFDIMFVILFASYETTSLSITMAVKFLTDHPKVLSKLEEEHKNIIKNRVNPSSGITWNEYKSMIYTSHVINETMRLANIAPAIFRKTMQDIMTKDGYTIPAGWAVMKTDATVGSKYFMAFGGGMRFCVGADFSRLQTSVFLHHLVTKYRWIAVKGGEIVRTPGLKFPNGFHINLIDRE >DRNTG_07143.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:13151828:13152966:-1 gene:DRNTG_07143 transcript:DRNTG_07143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLSFHNHKYQKYTYKSERFYKSFLKANANNVERKHRVLKYNKQSLEQTWLLV >DRNTG_21583.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19180780:19184687:1 gene:DRNTG_21583 transcript:DRNTG_21583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGEGGAEMEKDFEAKLRIQRPERSASIAFRAPQEQFTIEDFELGRIYGVGSYSKVVKAKKKDTGNVYALKIMDKKFITKENKISYVKLERIVLDQLDHPGIIRLYFTFQDNYSLYMALESCEGGELFDQISRKGPLTEDEARFYAAEVIDVLEYMHGVGLIHRDIKPENLLLTSDGHIKLADFGSVKPTKDSRITVPPNAANEKACSFVGTAAYVPPEVLNSSPATFGNDLWALGCTIYQMLSGCSPFKDASEWLIFQRIIARDLKFPEYFSHDARDLIDKLLDTDPSKRLGVRPGGYSALKAHPFFKGIDWKNLRATSPPKPAFESKTSDEDDNDESTWNLTHTGGAPVHQAALSDGNGGATSSSDTQSHLSRLASIDSFDSKWQEFLEPGEAIIMISKVKKIQKLTNKKVQLILTNKPKLICVDPSKMATKGNIMWSDDPSNLSIQVTSSSHFKISSPKKVISFEDEKQRAWQWKKVIEGLQNH >DRNTG_32315.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001823.1:12286:14182:1 gene:DRNTG_32315 transcript:DRNTG_32315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAKVLRHLAVVVRLSMMERSQCGSQCTDFGENLAKVQTRRHRSDNKSSTNLPIIEEASDPRRERVPVCVTPMKAWIREAIRGRSS >DRNTG_11802.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:421267:425552:1 gene:DRNTG_11802 transcript:DRNTG_11802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSREPSRMNSSEPPGMMMGSNSFAAGVQNSSMAMPPGISPGMRLSFNHMPPGSKPMDSTSSLYSGDGMQGMRPCGMLNMSGEPMKKKRGRPRKYGPDGSMALALSPLSTHSNSPMTESGKKRGRPRGSGKKQQLDALGSAGIAFTPHVITVKAGEDVASKIMAFSQQGPRTICILSANGAICNVTLRQPATSGGTVTYEGRFEILSLSGSYLLTENGGTRSRTGGLSVALAGSDGRVLGGGVAGMLMAAGPVQVVVGSFIAEGKKSKPEPPKHDPSLGPPQLSGFAAPSATSPPSQGTSSESSDDDPGSPMNHSGGGNNNSGHHVHSMPYAPVGWPHSANPLRHDP >DRNTG_22124.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17345696:17351360:-1 gene:DRNTG_22124 transcript:DRNTG_22124.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAHALIPLIQGIMGKFDGVFSSMPDGNPGLQNMNLPANFDNVGTGAHSSPSSACRSSVDGSQKKVSAGDDRKIDIPVAPPRKRSSPCCILGALICLPFAKDDCRKEDANEQAGGEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYITFISLMATSLVWLAIEFGVGVAVLVLCFVDKKGTEKSIVDKLGNGFSRAPFATIVVSSPW >DRNTG_22124.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17345696:17351360:-1 gene:DRNTG_22124 transcript:DRNTG_22124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAHALIPLIQGIMGKFDGVFSSMPDGNPGLQNMNLPANFDNVGTGAHSSPSSACRSSVDGSQKKVSAGDDRKIDIPVAPPRKRSSPCCILGALICLPFAKDDCRKEDANEQAGGEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYITFISLMATSLVWLAIEFGVGVAVLVLCFVDKKGTEKSIVDKLGNGFSRAPFATIVAICTAVSLLACVPLGELFFFHMILIKKGITTYEYVVAMRAMSEAPPASPEDELRQWALYSPTNSATTGISGGSSLGLQYKGVWCTPTESVR >DRNTG_08707.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18063589:18063938:-1 gene:DRNTG_08707 transcript:DRNTG_08707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSFRSCRMEVKDHEKKETQTTKKPC >DRNTG_22488.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2601101:2603480:-1 gene:DRNTG_22488 transcript:DRNTG_22488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTWLQTLLNPRRNWFAAQHYKALTQRLKNYGLRYEDLYDPMYDLDIKEALARLPREVVDARTQRLKRAIDLSMKHEYLSKDLQALQTPFRSYLEDMLALVKKERAEREALGALPLYERTIP >DRNTG_13335.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1059559:1064563:1 gene:DRNTG_13335 transcript:DRNTG_13335.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:Projected from Arabidopsis thaliana (AT1G02560) UniProtKB/TrEMBL;Acc:A0A178WMF0] MASSSCWAATAAAPPSSLKSLSGNPSKTLILSSSGSLVSASFSSSKKFERLSCAWSAPKAVYSGGVWTPQRVERQEIWSIRQDLEVPSSPYFPVEAQGGQGPPPMVMERFQSVISQLFQHRIIRCGGAVDDDMANIIVAQLLYLDAIDPNKDIVMYVNSPGGSVTAGMAIFDTMRHIRPDVSTVCVGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGGQTDIDIQANEMLHHKANLNGYLAYHTGQSLEKINQDTDRDFFMSAKEAKEYGLIDGVIMNPLKALQPLPATDE >DRNTG_15055.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5555853:5556388:-1 gene:DRNTG_15055 transcript:DRNTG_15055.2 gene_biotype:protein_coding transcript_biotype:protein_coding PARSPVSVHTLQSSTLHQIPLSSSIASQASPVSSGTIGQAPNLSRRSSTGSPSRQALAPHHLSRQSPAPSILL >DRNTG_15055.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5554837:5556388:-1 gene:DRNTG_15055 transcript:DRNTG_15055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERGRERERERERESQKKCSRPKMKKMELSRHQSNKGKANMMACRYTYARKEEYKAKSDDLTGRFYDCKCGRKDHSEKFKSKAYACKGGHKGYTEKFTVQHLWP >DRNTG_18662.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15903855:15911335:1 gene:DRNTG_18662 transcript:DRNTG_18662.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKSSQVMTSLYSQDQEQAIIISSLVHVISGDTSPATTIPDLFQRQLCSTCGIGGCLGCDLFPNTTTSATSNTTSSKTRAATDDQKQQKKKRREKKNKYRGVRQRPWGKWVAEIRDPWQAVRKWLGTFNTAEDAVGLMTWLLFSFVVPELSSIFLFLISKMEMGFMITLQVLVVLLVKNSHNISIQYEQQKKQGGGGGDGGEFLG >DRNTG_18662.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15903855:15911335:1 gene:DRNTG_18662 transcript:DRNTG_18662.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKSSQVMTSLYSQDQEQAIIISSLVHVISGDTSPATTIPDLFQRQLCSTCGIGGCLGCDLFPNTTTSATSNTTSSKTRAATDDQKQQKKKRREKKNKYRGVRQRPWGKWVAEIRDPWQAVRKWLGTFNTAEDAVGLMTWLLFSFVVPELSSIFLFLISKMEMGFMITLQVLVVLLVKNSHNISIQYEQQKKQGGGGGDGGEFLG >DRNTG_18662.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15903855:15906878:1 gene:DRNTG_18662 transcript:DRNTG_18662.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKSSQVMTSLYSQDQEQAIIISSLVHVISGDTSPATTIPDLFQRQLCSTCGIGGCLGCDLFPNTTTSATSNTTSSKTRAATDDQKQQKKKRREKKNKYRGVRQRPWGKWVAEIRDPWQAVRKWLGTFNTAEDAVGLMTWLLFSFVVPELSSIFLFLISKMEMGFMITLQVLVVLLVKNSHNISIQYEQQKKQGGGGGDGGEFLG >DRNTG_18662.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15903855:15905389:1 gene:DRNTG_18662 transcript:DRNTG_18662.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKSSQVMTSLYSQDQEQAIIISSLVHVISGDTSPATTIPDLFQRQLCSTCGIGGCLGCDLFPNTTTSATSNTTSSKTRAATDDQKQQKKKRREKKNKYRGVRQRPWGKWVAEIRDPWQAVRKWLGTFNTAEDAVGLMTWLLFSFVVPELSSIFLFLISKMEMGFMITLQVLVVLLVKNSHNISIQYEQQKKQGGGGGDGGEFLG >DRNTG_33140.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19439504:19441377:1 gene:DRNTG_33140 transcript:DRNTG_33140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRQSEGLAKSSGACTNFDRANGAGPVHI >DRNTG_09125.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2351984:2354646:-1 gene:DRNTG_09125 transcript:DRNTG_09125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALMSRALRNRSIPSFSTLVSRFMSTLVLAEHEGGLVKPSSLSAVEAAGFVNKEKSITVLLAGSGPSLQEAVSHAASCHPLISQVLVAEADILKHPLAETWAKLIQLVQQKDGYSYIMASSSSFGKNILPRAAALLDVSPVTDVVEISEPRLFVRPIYAGNTLSTVRYTGP >DRNTG_09125.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2352572:2354646:-1 gene:DRNTG_09125 transcript:DRNTG_09125.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASALMSRALRNRSIPSFSTLVSRFMSTLVLAEHEGGLVKPSSLSAVEAAGFVNKEKSITVLLAGSGPSLQEAVSHAASCHPLISQVLVAEADILKHPLAETWAKLIQLVQQKDGYSYIMASSSSFGKNILPRAAALLDVSPVTDVVEISEPRLFVRFLLIPPPCSHWVFI >DRNTG_34534.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1003544:1004990:-1 gene:DRNTG_34534 transcript:DRNTG_34534.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRPDEISVYNKQMENRSRELVMNRRWIQAVFSLNFSGGQESVERPGKDLCTKDPASDLEIDMSESITAMFDSVPSINEITEIKLKNCNFRIKILGKEHQHTCKSTGLMLWESARFICNVLSDNPSIVSGKKVLELGCGSAGICSMVAARSAELVVATDGDKESLNLLNENIAANVEPNLLTKLVVKKLLWGNTDDIKDIKDLAGGFDVIIGTDVTYNSEAISPLFETARKMISEDFQGERKPALILCHIQRRVDENLILSAASNYGFKLIDKWFNGIESNGGIISSWFSIDAATCNNGLQNAPLTILYFSL >DRNTG_34534.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1003544:1006635:-1 gene:DRNTG_34534 transcript:DRNTG_34534.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRPDEISVYNKQMENRSRELVMNRRWIQAVFSLNFSGGQESVERPGKDLCTKDPASDLEIDMSESITAMFDSVPSINEITEIKLKNCNFRIKILGKEHQHTCKSTGLMLWESARFICNVLSDNPSIVSGKKVLELGCGSAGICSMVAARSAELVVATDGDKESLNLLNENIAANVEPNLLTKLVVKKLLWGNTDDIKDIKDLAGGFDVIIGTDVTYNSEAISPLFETARKMISEDFQGERKPALILCHIQRRVDENLILSAASNYGFKLIDKWFNGIESNGGIISSWFSIDAATCNNGLQNAPLTILYFSL >DRNTG_34534.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1003544:1004567:-1 gene:DRNTG_34534 transcript:DRNTG_34534.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSESITAMFDSVPSINEITEIKLKNCNFRIKILGKEHQHTCKSTGLMLWESARFICNVLSDNPSIVSGKKVLELGCGSAGICSMVAARSAELVVATDGDKESLNLLNENIAANVEPNLLTKLVVKKLLWGNTDDIKDIKDLAGGFDVIIGTDVTYNSEAISPLFETARKMISEDFQGERKPALILCHIQRRVDENLILSAASNYGFKLIDKWFNGIESNGGIISSWFSIDAATCNNGLQNAPLTILYFSL >DRNTG_34534.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1003544:1004990:-1 gene:DRNTG_34534 transcript:DRNTG_34534.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRPDEISVYNKQMENRSRELVMNRRWIQAVFSLNFSGGQESVERPGKDLCTKDPASDLEIDMSESITAMFDSVPSINEITEIKLKNCNFRIKILGKEHQHTCKSTGLMLWESARFICNVLSDNPSIVSGKKVLELGCGSAGICSMVAARSAELVVATDGDKESLNLLNENIAANVEPNLLTKLVVKKLLWGNTDDIKDIKDLAGGFDVIIGTDVTYNSEAISPLFETARKMISEDFQGERKPALILCHIQRRVDENLILSAASNYGFKLIDKWFNGIESNGGIISSWFSIDAATCNNGLQNAPLTILYFSL >DRNTG_34534.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1005066:1006635:-1 gene:DRNTG_34534 transcript:DRNTG_34534.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKMLIYSASPSPARQVTPFWKEKYEREAGRYWDLFYRRHKDKFFKDRHYLDKEWGSYFKAPDGKNLVVLEVGCGAGNTVFPLIANHPNIFVHACDFSPRAIDLVKAHKDFSEDRVNAFVCDLTQHNLNEIVAPSSVDVATMYLQKRCL >DRNTG_34534.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1003544:1006635:-1 gene:DRNTG_34534 transcript:DRNTG_34534.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRPDEISVYNKQMENRSRELVMNRRWIQAVFSLNFSGGQESVERPGKDLCTKDPASDLEIDMSESITAMFDSVPSINEITEIKLKNCNFRIKILGKEHQHTCKSTGLMLWESARFICNVLSDNPSIVSGKKVLELGCGSAGICSMVAARSAELVVATDGDKESLNLLNENIAANVEPNLLTKLVVKKLLWGNTDDIKDIKDLAGGFDVIIGTDVTYNSEAISPLFETARKMISEDFQGERKPALILCHIQRRVDENLILSAASNYGFKLIDKWFNGIESNGGIISSWFSIDAATCNNGLQNAPLTILYFSL >DRNTG_34534.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1003417:1004567:-1 gene:DRNTG_34534 transcript:DRNTG_34534.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSESITAMFDSVPSINEITEIKLKNCNFRIKILGKEHQHTCKSTGLMLWESARFICNVLSDNPSIVSGKKVLELGCGSAGICSMVAARSAELVVATDGDKESLNLLNENIAANVEPNLLTKLVVKKLLWGNTDDIKDIKDLAGGFDVIIGTDVTYNSEAISPLFETARKMISEDFQGERKPALILCHIQRRVDENLILSAASNYGFKLIDKWFNGIESNGGIISSWFSIDAATCNNGLQNAPLTILYFSL >DRNTG_34534.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1005066:1006635:-1 gene:DRNTG_34534 transcript:DRNTG_34534.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKMLIYSASPSPARQVTPFWKEKYEREAGRYWDLFYRRHKDKFFKDRHYLDKEWGSYFKAPDGKNLVVLEVGCGAGNTVFPLIANHPNIFVHACDFSPRAIDLVKAHKDFSEDRVNAFVCDLTQHNLNEIVAPSSVDVATMVL >DRNTG_34534.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1003544:1006635:-1 gene:DRNTG_34534 transcript:DRNTG_34534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRPDEISVYNKQMENRSRELVMNRRWIQAVFSLNFSGGQESVERPGKDLCTKDPASDLEIDMSESITAMFDSVPSINEITEIKLKNCNFRIKILGKEHQHTCKSTGLMLWESARFICNVLSDNPSIVSGKKVLELGCGSAGICSMVAARSAELVVATDGDKESLNLLNENIAANVEPNLLTKLVVKKLLWGNTDDIKDIKDLAGGFDVIIGTDVTYNSEAISPLFETARKMISEDFQGERKPALILCHIQRRVDENLILSAASNYGFKLIDKWFNGIESNGGIISSWFSIDAATCNNGLQNAPLTILYFSL >DRNTG_34534.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1003544:1004990:-1 gene:DRNTG_34534 transcript:DRNTG_34534.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRPDEISVYNKQMENRSRELVMNRRWIQAVFSLNFSGGQESVERPGKDLCTKDPASDLEIDMSESITAMFDSVPSINEITEIKLKNCNFRIKILGKEHQHTCKSTGLMLWESARFICNVLSDNPSIVSGKKVLELGCGSAGICSMVAARSAELVVATDGDKESLNLLNENIAANVEPNLLTKLVVKKLLWGNTDDIKDIKDLAGGFDVIIGTDVTYNSEAISPLFETARKMISEDFQGERKPALILCHIQRRVDENLILSAASNYGFKLIDKWFNGIESNGGIISSWFSIDAATCNNGLQNAPLTILYFSL >DRNTG_13096.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10218352:10226746:1 gene:DRNTG_13096 transcript:DRNTG_13096.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAIFAGSLLPYLKGLDSWLYEGILDDPYEEMFFSANDSVTIDQPSFWERSYLFRPRKWRQPTSSVSVIPNIGDMTVETSDQKQSSLSGSGQGGDQLDMDIVVCPLFLKEMARAIVSAGKSLQLVRHVTNEHAGLSDKAQDNILNDFRAINYKNIGRASIAQGEPGNSCTSKLKDERLENVNSGEGNYSHFHLNSPILDELTLSEVFMLCLSGLICGDTHVHDYFEMSYPEIAEICKAFVDKTGENAPSSVNCEKSWLKFLADVISRMSFVSVDREIYSGRVTNQDWSVGVNTRCASRHHNPMCPDFITSCTSGTTLSHSFCPESPVITVCREFLKRNSTSWNNLNISRNFHLPPLNDEKLRETIFGEKCINASVSMDLVQQCVLPRLSGTDYTFGFQYGEFGRFHLEDEAKTMETLFPFPTLLPCLEEDLPISKLLPSQKNSTLASSILNWVQNIKPKETPLPAVIIQECLTVYIKKRVDHVGKHILSKLMSDWKLIDELCVLRAIFLLGSGDLLQQFLILIFNKLDKGESWDDDFELNTILQESIRNSADWSLLSASDSLVVSIGKGNSSDDEDHATGNLSTPRKIQNSCFGVDALDVLKFSYKVAWPLDLIANTEAINKYNQVMGFLLKVKRAKFVLDKARRWMWKGRGGMTHNYKHHLLVEQKLLHFVDAFHQYVMDRVFHSSWIELCSGMATAGSLDEVIEVHEAYLLSVQRQCFVAPDKLWALIASRIKSILGLALDFYSIQQTLSSGGAAPAIKARCEMEVDRIEKQFDDCIAFLLRILSFKLNVGHFPHLADLVTRINYNYFYMSDNGNLLTVPSFESSTTKLSKTPATRTD >DRNTG_13096.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10212192:10226746:1 gene:DRNTG_13096 transcript:DRNTG_13096.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQTWRFLKVSSLSSIVAFLKVRGVLQMLQGFASSLFYWDREQHSFFVKHGIFVSHLSQTSLSSILNQFLFIGTCLKKVEIFTKKVKTSNQRAPTLEAFTDSVFLWLKRLRDVALTEEKFAGSDSGTTITLQGLTDSLSRLLSGAECLFKIVYGAIPSAYFDTQTPIPASEIAVHILDHLFEELNKVCLIQDGEEEPYHMVLAIFAGSLLPYLKGLDSWLYEGILDDPYEEMFFSANDSVTIDQPSFWERSYLFRPRKWRQPTSSVSVIPNIGDMTVETSDQKQSSLSGSGQGGDQLDMDIVVCPLFLKEMARAIVSAGKSLQLVRHVTNEHAGLSDKAQDNILNDFRAINYKNIGRASIAQGEPGNSCTSKLKDERLENVNSGEGNYSHFHLNSPILDELTLSEVFMLCLSGLICGDTHVHDYFEMSYPEIAEICKAFVDKTGENAPSSVNCEKSWLKFLADVISRMSFVSVDREIYSGRVTNQDWSVGVNTRCASRHHNPMCPDFITSCTSGTTLSHSFCPESPVITVCREFLKRNSTSWNNLNISRNFHLPPLNDEKLRETIFGEKCINASVSMDLVQQCVLPRLSGTDYTFGFQYGEFGRFHLEDEAKTMETLFPFPTLLPCLEEDLPISKLLPSQKNSTLASSILNWVQNIKPKETPLPAVIIQECLTVYIKKRVDHVGKHILSKLMSDWKLIDELCVLRAIFLLGSGDLLQQFLILIFNKLDKGESWDDDFELNTILQESIRNSADWSLLSASDSLVVSIGKGNSSDDEDHATGNLSTPRKIQNSCFGVDALDVLKFSYKVAWPLDLIANTEAINKYNQVMGFLLKVKRAKFVLDKARRWMWKGRGGMTHNYKHHLLVEQKLLHFVDAFHQYVMDRVFHSSWIELCSGMATAGSLDEVIEVHEAYLLSVQRQCFVAPDKLWALIASRIKSILGLALDFYSIQQTLSSGGAAPAIKARCEMEVDRIEKQFDDCIAFLLRILSFKLNVGHFPHLADLVTRINYNYFYMSDNGNLLTVPSFESSTTKLSKTPATRTD >DRNTG_13096.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10212192:10226746:1 gene:DRNTG_13096 transcript:DRNTG_13096.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSQSFITKLHCSISKGLPHAVPVSIFRTDEHELVRGVLQMLQGFASSLFYWDREQHSFFVKHGIFVSHLSQTSLSSILNQFLFIGTCLKKVEIFTKKVKTSNQRAPTLEAFTDSVFLWLKRLRDVALTEEKFAGSDSGTTITLQGLTDSLSRLLSGAECLFKIVYGAIPSAYFDTQTPIPASEIAVHILDHLFEELNKVCLIQDGEEEPYHMVLAIFAGSLLPYLKGLDSWLYEGILDDPYEEMFFSANDSVTIDQPSFWERSYLFRPRKWRQPTSSVSVIPNIGDMTVETSDQKQSSLSGSGQGGDQLDMDIVVCPLFLKEMARAIVSAGKSLQLVRHVTNEHAGLSDKAQDNILNDFRAINYKNIGRASIAQGEPGNSCTSKLKDERLENVNSGEGNYSHFHLNSPILDELTLSEVFMLCLSGLICGDTHVHDYFEMSYPEIAEICKAFVDKTGENAPSSVNCEKSWLKFLADVISRMSFVSVDREIYSGRVTNQDWSVGVNTRCASRHHNPMCPDFITSCTSGTTLSHSFCPESPVITVCREFLKRNSTSWNNLNISRNFHLPPLNDEKLRETIFGEKCINASVSMDLVQQCVLPRLSGTDYTFGFQYGEFGRFHLEDEAKTMETLFPFPTLLPCLEEDLPISKLLPSQKNSTLASSILNWVQNIKPKETPLPAVIIQECLTVYIKKRVDHVGKHILSKLMSDWKLIDELCVLRAIFLLGSGDLLQQFLILIFNKLDKGESWDDDFELNTILQESIRNSADWSLLSASDSLVVSIGKGNSSDDEDHATGNLSTPRKIQNSCFGVDALDVLKFSYKVAWPLDLIANTEAINKYNQVMGFLLKVKRAKFVLDKARRWMWKGRGGMTHNYKHHLLVEQKLLHFVDAFHQYVMDRVFHSSWIELCSGMATAGSLDEVIEVHEAYLLSVQRQCFVAPDKLWALIASRIKSILGLALDFYSIQQTLSSGGAAPAIKARCEMEVDRIEKQFDDCIAFLLRILSFKLNVGHFPHLADLVTRINYNYFYMSDNGNLLTVPSFESSTTKLSKTPATRTD >DRNTG_13096.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10212192:10226746:1 gene:DRNTG_13096 transcript:DRNTG_13096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSQSFITKLHCSISKGLPHAVPVSIFRTDEHELVRGVLQMLQGFASSLFYWDREQHSFFVKHGIFVSHLSQTSLSSILNQFLFIGTCLKKVEIFTKKVKTSNQRAPTLEAFTDSVFLWLKRLRDVALTEEKFAGSDSGTTITLQGLTDSLSRLLSGAECLFKIVYGAIPSAYFDTQTPIPASEIAVHILDHLFEELNKVCLIQDGEEEPYHMVLAIFAGSLLPYLKGLDSWLYEGILDDPYEEMFFSANDSVTIDQPSFWERSYLFRPRKWRQPTSSVSVIPNIGDMTVETSDQKQSSLSGSGQGGDQLDMDIVVCPLFLKEMARAIVSAGKSLQLVRHVTNEHAGLSDKAQDNILNDFRAINYKNIGRASIAQGEPGNSCTSKLKDERLENVNSGEGNYSHFHLNSPILDELTLSEVFMLCLSGLICGDTHVHDYFEMSYPEIAEICKAFVDKTGENAPSSVNCEKSWLKFLADVISRMSFVSVDREIYSGRVTNQDWSVGVNTRCASRHHNPMCPDFITSCTSGTTLSHSFCPESPVITVCREFLKRNSTSWNNLNISRNFHLPPLNDEKLRETIFGEKCINASVSMDLVQQCVLPRLSGTDYTFGFQYGEFGRFHLEDEAKTMETLFPFPTLLPCLEEDLPISKLLPSQKNSTLASSILNWVQNIKPKETPLPAVIIQECLTVYIKKRVDHVGKHILSKLMSDWKLIDELCVLRAIFLLGSGDLLQQFLILIFNKLDKGESWDDDFELNTILQESIRNSADWSLLSASDSLVVSIGKGNSSDDEDHATGNLSTPRKIQNSCFGVDALDVLKFSYKVAWPLDLIANTEAINKYNQVMGFLLKVKRAKFVLDKARRWMWKGRGGMTHNYKHHLLVEQKLLHFVDAFHQYVMDRVFHSSWIELCSGMATAGSLDEVIEVHEAYLLSVQRQCFVAPDKLWALIASRIKSILGLALDFYSIQQTLSSGGAAPAIKARCEMEVDRIEKQFDDCIAFLLRILSFKLNVGHFPHLADLVTRINYNYFYMSDNGNLLTVPSFESSTTKLSKTPATRTD >DRNTG_01173.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29117924:29121497:-1 gene:DRNTG_01173 transcript:DRNTG_01173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALYDFLVKQTSIGLRVWVVIGICVIALIVLILTLLPVWIVFRRKTRRKFDKFPVSQIPNVSKEITVDKVGGQSLAQSFHEREGTVTNSHKYSEKDSEKTLAHLAMGKSSEVDTLSQCSSVYHNDRGGSSHSGDEGSSGPSRKAYSSYGLVSASPLVGLPEFSHLGWGHWFTLRDLEYATNRFSKENVLGEGGYGVVYRGRLINGTEVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGIHRLLVYEYVNNGNLEQWLHGAMRQRGVLSWDNRIKIILGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNAKISDFGLAKLLGSGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLESVTGRDPVDYGRPANEVNLVEWLKLMVASRRAEEVVDPSLEEKPATRALKRALLISLRCVDPDSEKRPKMGQVVRMLEADEVPYREDRRTRRGQIGSMDIESLRENSGSVDLDNKVGASDSEGRTSQRFQA >DRNTG_01173.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29117924:29120279:-1 gene:DRNTG_01173 transcript:DRNTG_01173.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASALYDFLVKQTSIGLRVWVVIGICVIALIVLILTLLPVWIVFRRKTRRKFDKFPVSQIPNVSKEITVDKVGGQSLAQSFHEREGTVTNSHKYSEKDSEKTLAHLAMGKSSEVDTLSQCSSVYHNDRGGSSHSGDEGSSGPSRKAYSSYGLVSASPLVGLPEFSHLGWGHWFTLRDLEYATNRFSKENVLGEGGYGVVYRGRLINGTEVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGIHRLLVYEYVNNGNLEQWLHGAMRQRGVLSWDNRIKIILGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNAKISDFGLAKLLGSGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLESVTGRDPVDYGRPANEVNLVEWLKLMVASRRAEEVVDPSLEEKPATRALKRALLISLRCVDPDSEKRPKMGQVVRMLEADEVPYREDRRTRRGQIGSMDIESLRENSGSVDLDNKVGASDSEGRTSQRFQA >DRNTG_02837.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20024046:20036808:-1 gene:DRNTG_02837 transcript:DRNTG_02837.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARSVGQLRNHRLANLLGCCAEEEERLLVAEYLPNDTLAKHLFHWETQPMKWPMRLRVVLYLAQALEYCTSKGRALYHDLNAYRVLFDDDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVIYSFGTLLLDVLSGKHIPPSRALDLIRDRNFETLTDSCLEGQFSDEDGTELVRLASRCLHYEQRERPNVKSLVTALAPLQNDVDVPSYVLMDVPHGGTSSLESLPLSPLGDACFRMDLTAIHEILEQVGYKDDEGTANELSFQMWTDQMQETLNSKKKGDNAFRHKDFNTAIECYTQFIDVGTMVSPTVFARRCLSYLMTDMPQQALSDAVQALVVSPIWPTAFYLQAAALSSLGMDNEAQEALKDGSSLETKKNGNSSH >DRNTG_02837.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20024046:20036808:-1 gene:DRNTG_02837 transcript:DRNTG_02837.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARSVGQLRNHRLANLLGCCAEEEERLLVAEYLPNDTLAKHLFHWETQPMKWPMRLRVVLYLAQALEYCTSKGRALYHDLNAYRVLFDDDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVIYSFGTLLLDVLSGKHIPPSRALDLIRDRNFETLTDSCLEGQFSDEDGTELVRLASRCLHYEQRERPNVKSLVTALAPLQNDVDVPSYVLMDVPHGGTSSLESLPLSPLGDACFRMDLTAIHEILEQVGYKDDEGTANELSFQMWTDQMQETLNSKKKGDNAFRHKDFNTAIECYTQFIDVGTMVSPTVFARRCLSYLMTDMPQQALSDAVQALVVSPIWPTAFYLQAAALSSLGMDNEAQEALKDGSSLETKKNGNSSH >DRNTG_02837.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20024046:20036808:-1 gene:DRNTG_02837 transcript:DRNTG_02837.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFSNLRSFGWDSQYKASVLEAPDVENEVKGEVDGLPVFQEYSFEQLRLATSGFAVENIVSEHGEKAPNVVYKGKLDAQRRIAVKRFNRAAWPDPRQFMEEARSVGQLRNHRLANLLGCCAEEEERLLVAEYLPNDTLAKHLFHWETQPMKWPMRLRVVLYLAQALEYCTSKGRALYHDLNAYRVLFDDDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVIYSFGTLLLDVLSGKHIPPSRALDLIRDRNFETLTDSCLEGQFSDEDGTELVRLASRCLHYEQRERPNVKSLVTALAPLQNDVDVPSYVLMDVPHGGTSSLESLPLSPLGDACFRMDLTAIHEILEQVGYKDDEGTANELSFQMWTDQMQETLNSKKKGDNAFRHKDFNTAIECYTQFIDVGTMVSPTVFARRCLSYLMTDMPQQALSDAVQALVVSPIWPTAFYLQAAALSSLGMDNEAQEALKDGSSLETKKNGNSSH >DRNTG_02837.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20024046:20036808:-1 gene:DRNTG_02837 transcript:DRNTG_02837.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARSVGQLRNHRLANLLGCCAEEEERLLVAEYLPNDTLAKHLFHWETQPMKWPMRLRVVLYLAQALEYCTSKGRALYHDLNAYRVLFDDDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVIYSFGTLLLDVLSGKHIPPSRALDLIRDRNFETLTDSCLEGQFSDEDGTELVRLASRCLHYEQRERPNVKSLVTALAPLQNDVDVPSYVLMDVPHGGTSSLESLPLSPLGDACFRMDLTAIHEILEQVGYKDDEGTANELSFQMWTDQMQETLNSKKKGDNAFRHKDFNTAIECYTQFIDVGTMVSPTVFARRCLSYLMTDMPQQALSDAVQALVVSPIWPTAFYLQAAALSSLGMDNEAQEALKDGSSLETKKNGNSSH >DRNTG_02837.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20024046:20036808:-1 gene:DRNTG_02837 transcript:DRNTG_02837.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFSNLRSFGWDSQYKASVLEAPDVENEVKGEVDGLPVFQEYSFEQLRLATSGFAVENIVSEHGEKAPNVVYKGKLDAQRRIAVKRFNRAAWPDPRQFMEEARSVGQLRNHRLANLLGCCAEEEERLLVAEYLPNDTLAKHLFHWETQPMKWPMRLRVVLYLAQALEYCTSKGRALYHDLNAYRVLFDDDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVIYSFGTLLLDVLSGKHIPPSRALDLIRDRNFETLTDSCLEGQFSDEDGTELVRLASRCLHYEQRERPNVKSLVTALAPLQNDVDVPSYVLMDVPHGGTSSLESLPLSPLGDACFRMDLTAIHEILEQVGYKDDEGTANELSFQMWTDQMQETLNSKKKGDNAFRHKDFNTAIECYTQFIDVGTMVSPTVFARRCLSYLMTDMPQQALSDAVQALVVSPIWPTAFYLQAAALSSLGMDNEAQEALKDGSSLETKKNGNSSH >DRNTG_02837.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20024046:20036808:-1 gene:DRNTG_02837 transcript:DRNTG_02837.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFSNLRSFGWDSQYKASVLEAPDVENEVKGEVDGLPVFQEYSFEQLRLATSGFAVENIVSEHGEKAPNVVYKGKLDAQRRIAVKRFNRAAWPDPRQFMEEARSVGQLRNHRLANLLGCCAEEEERLLVAEYLPNDTLAKHLFHWETQPMKWPMRLRVVLYLAQALEYCTSKGRALYHDLNAYRVLFDDDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVIYSFGTLLLDVLSGKHIPPSRALDLIRDRNFETLTDSCLEGQFSDEDGTELVRLASRCLHYEQRERPNVKSLVTALAPLQNDVDVPSYVLMDVPHGGTSSLESLPLSPLGDACFRMDLTAIHEILEQVGYKDDEGTANELSFQMWTDQMQETLNSKKKGDNAFRHKDFNTAIECYTQFIDVGTMVSPTVFARRCLSYLMTDMPQQALSDAVQALVVSPIWPTAFYLQAAALSSLGMDNEAQEALKDGSSLETKKNGNSSH >DRNTG_30493.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:676421:678741:-1 gene:DRNTG_30493 transcript:DRNTG_30493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLAPIQVSSADPLPHLSIKDTPIETSSAQYILQQYTAASGGLKLQSSIRNAYAMGRVRMVASEFETAAGVVKTRSLSREAESGSFVLWQMAPEMWYVELAVGGSKVHAGCNGKLVWRHTPWLGAHAAKGPARPLRRSLQGLDPLTTASMFANAVCIGEKVVNGEDCFILKLCTDPETLKARSEGLAEIIRHVLFGYFSQKTGLLIQMEDSHLTRIQSNPGGEAVFWETTISSFMEDYRLVDGVMIAHSGRSFITLFRFGETAMSHTKTRMEEEWSIEEVAFNVPGLSIDCFIPPADIKSSSISESCELPQGDRSKGTGSTGSHRTKVAALEKPIKSFDDEDYADDDDEDDDSLWRV >DRNTG_16063.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25693358:25698670:-1 gene:DRNTG_16063 transcript:DRNTG_16063.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKELDLAHPRTMDNGLSSRIGFQDPALQFPRAAGNHTADDRGGGFFRMGQESRLQNRDWNTIRDPGSSGDGSEDDDEDGYDDEEDEGLVSVDNGNHKHCNNSSGSVQSSSDKACNARADSQKQHSCFGLNRGMVAKDGSGMRGSSGSQQPQEQGREGGYDNEITIVEPENYYTPIMHGGDGSSLGHKEIGGEHGCSFGGRRESGLAIDLGESLRTHLSDPVTGALMDDAMILPCGHSFGSGGMQQVYRMKSCFKCSQPISEDLVRPNLALRAAVQAFHREEESQFSKAPKRRRDRFEHEKCSYDDPFPLDLCRGKGVQFPFVVSDRVIIKGNKRTPQRFVGRVAVVTTQCLNGWYVVKTLDNAESVKLQYRSLAKFTDELSSNVSSNKAITPNWL >DRNTG_09861.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000417.1:126141:127274:1 gene:DRNTG_09861 transcript:DRNTG_09861.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQTLLLLLLLFPFAKLSQGRPTLHRCSTDSNYTTPSAFATNLALLLSDLIATTANSPILFSTASRASIFGLAQCQVGSFPSDCASCLTNSANDFTTLCPSGRSAGIRYDSCLLRYSDTPFFSQLSSDDYHYRTNGKKASDQAGFSSSVNDLMNRISSKAAHTESRFMVGMSNFSGLLYGMAQCTRDLSSEDCAKCLNLALAVMRLESFGKIGSLVASLSCIIRYETYPFFSLFLLAVPPPSPLQPPPSSGKSPPVNDTTGSGGEC >DRNTG_12123.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4512644:4518692:1 gene:DRNTG_12123 transcript:DRNTG_12123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWMAPCSSLPSHFPHSLHHQSTHLPISTLNFSSGNLLFSTRGNHLPSRTRFHTNFARSDTDANQSSQSVQGDATSVATPSSSFLSVLCPLLKFFGGGDPSQERNEVFEVVTSSLSSFARLPWGSKSLVSSSYSEEPTINPSPYLQLYEFEACPFCRRVREAMTELDLSAEVFPCPKGSLRHRTIVREIGGKEQFPFMIDLNTGVSLYESGDIVKYLFQRYGQGRQPSSGLLESTLLTGWVPTLLRAGRGMTIWDRAAKKLPEQKIELFSYENNPYARIVREALCELELPYIVHNVGQGSAKSELLIRLSSSKEVPYLVDPNTGVRMGDYKRILSVFISGIF >DRNTG_12123.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4512644:4518692:1 gene:DRNTG_12123 transcript:DRNTG_12123.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWMAPCSSLPSHFPHSLHHQSTHLPISTLNFSSGNLLFSTRGNHLPSRTRFHTNFARSDTDANQSSQSVQGDATSVATPSSSFLSVLCPLLKFFGGGDPSQERNEVFEVVTSSLSSFARLPWGSKSLVSSSYSEEPTINPSPYLQLYEFEACPFCRRVREAMTELDLSAEVFPCPKGSLRHRTIVREIGGKEQFPFMIDLNTGVSLYESGDIVKYLFQRYGQGRQPSSGLLESTLLTGWVPTLLRAGRGMTIWDRAAKKLPEQKIELFSYENNPYARIVREALCELELPYIVHNVGQGSAKSELLIRLSSSKEVPYLVDPNTGVRMGDYKRILSVFISGIF >DRNTG_12123.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4512644:4518692:1 gene:DRNTG_12123 transcript:DRNTG_12123.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVWMAPCSSLPSHFPHSLHHQSTHLPISTLNFSSGNLLFSTRGNHLPSRTRFHTNFARSDTDANQSSQSVQGDATSVATPSSSFLSVLCPLLKFFGGGDPSQERNEVFEVVTSSLSSFARLPWGSKSLVSSSYSEEPTINPSPYLQLYEFEACPFCRRVREAMTELDLSAEVFPCPKGSLRHRTIVREIGGKEQFPFMIDLNTGVSLYESGDIVKYLFQRYGQGRQPSSGLLESTLLTGWVPTLLRAGRGMTIWDRAAKKLPEQKIELFSYENNPYARIVREALCELELPYIVHNVGQGSAKSELLIRLSSSKEVPYLVDPNTGVRMGDYKRILSVFISGIF >DRNTG_12123.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4512644:4512981:1 gene:DRNTG_12123 transcript:DRNTG_12123.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVWMAPCSSLPSHFPHSLHHQSTHLPISTLNFSSGNLLFSTRGNHLPSRTRFHTNFARSDTDANQSSQSVQGDATSVATPSSSFLSVLCPLLKFFG >DRNTG_30458.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:325837:327046:-1 gene:DRNTG_30458 transcript:DRNTG_30458.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVAAAKVRRAQEAVVSGRPFSETLVEVLYNINEQLQTDDIDVPLTKVRPVKKIALVVVTGDRGLCGGFNNAIIKKAESRIAELKTLGLDYTIISVGKKGNAYFIRRPYIPVDRFIEGGSLPTTKDAQAIADDVFSLFVSEEVDKVELLYTKFVSLVKSDPVIHTLLPLSPKGEICDINGVCVDAAEDELFRLTTKEGKLTVERETVRTPTPAFSPILQFEQDPVQILDALLPLYPQLPDPPCPPGVPGQ >DRNTG_30458.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:325799:326970:-1 gene:DRNTG_30458 transcript:DRNTG_30458.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVAAAKVRRAQEAVVSGRPFSETLVEVLYNINEQLQTDDIDVPLTKVRPVKKIALVVVTGDRGLCGGFNNAIIKKAESRIAELKTLGLDYTIISVGKKGNAYFIRRPYIPVDRFIEGGSLPTTKDAQAIADDVFSLFVSEEVDKVELLYTKFVSLVKSDPVIHTLLPLSPKGEICDINGVCVDAAEDELFRLTTKEGKLTVERETVRTPTPAFSPILQFEQDPVQILDALLPLYPQLPDPPCPPGVPGQ >DRNTG_30458.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:325837:327282:-1 gene:DRNTG_30458 transcript:DRNTG_30458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVAAAKVRRAQEAVVSGRPFSETLVEVLYNINEQLQTDDIDVPLTKVRPVKKIALVVVTGDRGLCGGFNNAIIKKAESRIAELKTLGLDYTIISVGKKGNAYFIRRPYIPVDRFIEGGSLPTTKDAQAIADDVFSLFVSEEVDKVELLYTKFVSLVKSDPVIHTLLPLSPKGEICDINGVCVDAAEDELFRLTTKEGKLTVERETVRTPTPAFSPILQFEQDPVQILDALLPLYPQLPDPPCPPGVPGQ >DRNTG_30458.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:325837:326970:-1 gene:DRNTG_30458 transcript:DRNTG_30458.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVAAAKVRRAQEAVVSGRPFSETLVEVLYNINEQLQTDDIDVPLTKVRPVKKIALVVVTGDRGLCGGFNNAIIKKAESRIAELKTLGLDYTIISVGKKGNAYFIRRPYIPVDRFIEGGSLPTTKDAQAIADDVFSLFVSEEVDKVELLYTKFVSLVKSDPVIHTLLPLSPKGEICDINGVCVDAAEDELFRLTTKEGKLTVERETVRTPTPAFSPILQFEQDPVQILDALLPLYPQLPDPPCPPGVPGQ >DRNTG_13783.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13297136:13297524:1 gene:DRNTG_13783 transcript:DRNTG_13783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSRVIWKKVIGRVFVGIDPARCILGRTKDPLR >DRNTG_30913.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7125428:7127364:-1 gene:DRNTG_30913 transcript:DRNTG_30913.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGQPRRGEISIGGQEPIKYGDVFEVSGELAGEVVKPEDAAMMQAAETVGLGHTQPGGPAAVMQSAAKENELAGIVSHGEASDLTAQLGVSVTDSHLPGLHQVTESVAGQVVAQYPSPAPPSPPAGGAPRTNIRDVISIGEALEAVAFTAGDKPVSRSDAAAIQAAEARVTGGAVAVSGGLAAEAQAAASANELTMSNENKITLSDVLSDASRKLVGGDKEATREDAERVVGAEMRNNPAMVTHPGGVADTVASAARLNQEIYP >DRNTG_11422.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:916632:918419:-1 gene:DRNTG_11422 transcript:DRNTG_11422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPNPFPANTKSTPDSRPSATRSIWLPFNKGRSHPTGPPEKTALSPGFSKPWRLKSRTTIVSKAESSPLPPPSEYTLPPAMNRSHGSEIMTMTSITDLVTRLISR >DRNTG_03224.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4548450:4554000:1 gene:DRNTG_03224 transcript:DRNTG_03224.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHAPEVVEERPSKLLRKPSSPPWLRLLGMEQYLNGKGNMTLLCFHGLILPRMESMNQNVLC >DRNTG_12907.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26645329:26646500:1 gene:DRNTG_12907 transcript:DRNTG_12907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVMVSSTTAMSRAIPTQSSVAPFTGLKSAAAFPVTRKDKTDFSHLPIEGKKKFETLSYLPSLTDDELLKQIAYLLRSGWVPCIEF >DRNTG_08056.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18125767:18126589:-1 gene:DRNTG_08056 transcript:DRNTG_08056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRDMILANKKLDEVRKVFILKKKKYIGQSCLNKHEHELIRIFLKCPMDNTVVWKNNSLSTTQSRLFTLLEGKEMVSDDVMDAFDDAHKTTIAMIGDAARNLHDIEIVILPIIMNDHFHVVVLDNNKEEYRHYSSCQSEGYDKDTLEMRRLFDICIDMEFG >DRNTG_02005.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:22745924:22753088:-1 gene:DRNTG_02005 transcript:DRNTG_02005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSDHNERRRLRAKPLAFQIAKTKETFARMILDAGFQKVEYDNLVGGVVAIHSGLKLYEIARGKERTRLIGERSGGCTSHAHPNIKGSSNDDCAPFSNANIPSVEETMNGLGSQQPNQNVVAISNTQADYGSTQDALTIVWRLRITVVNGL >DRNTG_21721.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:643133:645343:-1 gene:DRNTG_21721 transcript:DRNTG_21721.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMASVSAKKASEIVALLDLKPHPEGGFYSETFRDFSINLSQSQLPPQYKVDRPISTAIYFLLPSGSVSHLHRIPCAEVWHFYAGEPLTVFELHDDGKIELTVLGPDLDAGQRPQYTVPPNVWFGSFPTLDVISFSTDGSVLVKSPSRDP >DRNTG_15171.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:411133:413507:1 gene:DRNTG_15171 transcript:DRNTG_15171.10 gene_biotype:protein_coding transcript_biotype:protein_coding MHGEKHYYVKYKNLAHVHNRWIPESQLLDEAPLLMAKFNRKHQKEKIINWKKEWIEPQRLLQKRLLIPLELVDGEFSGHGVNPLFSQFEWFVKWKGLGYEHATWELGSSPFLCSPGAMILKEDFERRHREAKKGSDLSRPDK >DRNTG_15171.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:418520:425735:1 gene:DRNTG_15171 transcript:DRNTG_15171.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKLAALNKFNDKSNGRFVFLIENRACLPSIKLSSVDAVVIFNSDWNPLNDFRALQKISIESEFEQMKVFRLYSSFTVEEKVLAFSKQDSTLESNIRSIIRNHSHSLLSWGATHLFSKLDDFHKRDDSVDLLDNSGEDLFLEKIVSELLAQLPKKFENNSSSDCSILIRAPQSGASYSRNIILLGEKERISSMDGDLSGFWSNLLEGRNPRWKYISEPSQRSRRKVHCADSFAKLPEADTEEVRKKRRKIVNDSIDQISLQDTTKDISSGIGAAVPAKIALPSNTSQATFTPIVLNSISKEAEGAKSQPNLKSLCTPGSVTANNDGREKLRHAQRNLHLLLKPELSSLCGTLRLPVDVKCLAEKLLEYVMNNHLVVRDPETILQALKISLCWRAASFLKYKLDHGESLALARKYSNFECSYDQAYSVYSKLRMLKKKFSCQSGALKVDNILNTPENQLSAAGSDLADSLASVEDSVSLASSRRVLEGTEIKKSPNSGKNYENVNPVNEEMSERGTTGKLSLHLSSFKMKTDSIEKIFLRREENLLLKQQLEFTELVGRMEEERTRLKEIYDLQLNSIYSQHVDFAIRNGKINLLNEEFFNSMSKFDQYVKCQHKKLATMQVDARRKDRQIKHHWLREVKAGRSAESFDRLPLSESGFCLEEFKVNEITASLGWTDGTSIPNSVSDPALPSDCQGIDLISGCLAVSFKSGELSAEPPAFSPNRGETHLESSSTESLTNASQVETCTVLVVTENPVPQQCTVSPISVAPDKLLIDSVIVASVDDKLHGSTDNSNGPCSPQNLQVNVPLAEISQSSGETHASVDQVPSPEVSQRPDGNQGCSSLIETVEPLLHSADQPTTCHASDVSHHFQVQASSSTDMTTVQMMLPTLNEGGAINQIDHSTRQTEDCLQQPLINAQAQEGHLNQLFSQSMPTTSSSSSHTLTVRAQPEVFDGVRVQPEAEGRLPQNVPIGPWLPPQVLHPDPLLNELIRIRKHEDSFTKMHEDKKLQLKQECEQEMQKVRMKYDKLIQDSETEYLHGKESFGSIYKKVLLNKILAEEFRARFIENKGGASAAIPGSSGAHPSSMRQLHQVPQSQHGQRAAMALASALVPSPATQPSVQSPSSLSAARLLTPVRPHLSSMHLARPNLHAGGETRAPAPHLQRSRPQLPLSAVQSHINSGQPHLLANKGSLPLSTPQVSPVVPHIYASRAPASGTSQPESRDTNNNDVLPRLSQPPRSEFERWLASNQTLAAGEPQALPSVDAPRTHSTSGVKDVVCISDDEN >DRNTG_15171.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:416624:425735:1 gene:DRNTG_15171 transcript:DRNTG_15171.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKLAALNKFNDKSNGRFVFLIENRACLPSIKLSSVDAVVIFNSDWNPLNDFRALQKISIESEFEQMKVFRLYSSFTVEEKVLAFSKQDSTLESNIRSIIRNHSHSLLSWGATHLFSKLDDFHKRDDSVDLLDNSGEDLFLEKIVSELLAQLPKKFENNSSSDCSILIRAPQSGASYSRNIILLGEKERISSMDGDLSGFWSNLLEGRNPRWKYISEPSQRSRRKVHCADSFAKLPEADTEEVRKKRRKIVNDSIDQISLQDTTKDISSGIGAAVPAKIALPSNTSQATFTPIVLNSISKEAEGAKSQPNLKSLCTPGSVTANNDGREKLRHAQRNLHLLLKPELSSLCGTLRLPVDVKCLAEKLLEYVMNNHLVVRDPETILQALKISLCWRAASFLKYKLDHGESLALARKYSNFECSYDQAYSVYSKLRMLKKKFSCQSGALKVDNILNTPENQLSAAGSDLADSLASVEDSVSLASSRRVLEGTEIKKSPNSGKNYENVNPVNEEMSERGTTGKLSLHLSSFKMKTDSIEKIFLRREENLLLKQQLEFTELVGRMEEERTRLKEIYDLQLNSIYSQHVDFAIRNGKINLLNEEFFNSMSKFDQYVKCQHKKLATMQVDARRKDRQIKHHWLREVKAGRSAESFDRLPLSESGFCLEEFKVNEITASLGWTDGTSIPNSVSDPALPSDCQGIDLISGCLAVSFKSGELSAEPPAFSPNRGETHLESSSTESLTNASQVETCTVLVVTENPVPQQCTVSPISVAPDKLLIDSVIVASVDDKLHGSTDNSNGPCSPQNLQVNVPLAEISQSSGETHASVDQVPSPEVSQRPDGNQGCSSLIETVEPLLHSADQPTTCHASDVSHHFQVQASSSTDMTTVQMMLPTLNEGGAINQIDHSTRQTEDCLQQPLINAQAQEGHLNQLFSQSMPTTSSSSSHTLTVRAQPEVFDGVRVQPEAEGRLPQNVPIGPWLPPQVLHPDPLLNELIRIRKHEDSFTKMHEDKKLQLKQECEQEMQKVRMKYDKLIQDSETEYLHGKESFGSIYKKVLLNKILAEEFRARFIENKGGASAAIPGSSGAHPSSMRQLHQVPQSQHGQRAAMALASALVPSPATQPSVQSPSSLSAARLLTPVRPHLSSMHLARPNLHAGGETRAPAPHLQRSRPQLPLSAVQSHINSGQPHLLANKGSLPLSTPQVSPVVPHIYASRAPASGTSQPESRDTNNNDVLPRLSQPPRSEFERWLASNQTLAAGEPQALPSVDAPRTHSTSGVKDVVCISDDEN >DRNTG_15171.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:416193:425735:1 gene:DRNTG_15171 transcript:DRNTG_15171.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKLAALNKFNDKSNGRFVFLIENRACLPSIKLSSVDAVVIFNSDWNPLNDFRALQKISIESEFEQMKVFRLYSSFTVEEKVLAFSKQDSTLESNIRSIIRNHSHSLLSWGATHLFSKLDDFHKRDDSVDLLDNSGEDLFLEKIVSELLAQLPKKFENNSSSDCSILIRAPQSGASYSRNIILLGEKERISSMDGDLSGFWSNLLEGRNPRWKYISEPSQRSRRKVHCADSFAKLPEADTEEVRKKRRKIVNDSIDQISLQDTTKDISSGIGAAVPAKIALPSNTSQATFTPIVLNSISKEAEGAKSQPNLKSLCTPGSVTANNDGREKLRHAQRNLHLLLKPELSSLCGTLRLPVDVKCLAEKLLEYVMNNHLVVRDPETILQALKISLCWRAASFLKYKLDHGESLALARKYSNFECSYDQAYSVYSKLRMLKKKFSCQSGALKVDNILNTPENQLSAAGSDLADSLASVEDSVSLASSRRVLEGTEIKKSPNSGKNYENVNPVNEEMSERGTTGKLSLHLSSFKMKTDSIEKIFLRREENLLLKQQLEFTELVGRMEEERTRLKEIYDLQLNSIYSQHVDFAIRNGKINLLNEEFFNSMSKFDQYVKCQHKKLATMQVDARRKDRQIKHHWLREVKAGRSAESFDRLPLSESGFCLEEFKVNEITASLGWTDGTSIPNSVSDPALPSDCQGIDLISGCLAVSFKSGELSAEPPAFSPNRGETHLESSSTESLTNASQVETCTVLVVTENPVPQQCTVSPISVAPDKLLIDSVIVASVDDKLHGSTDNSNGPCSPQNLQVNVPLAEISQSSGETHASVDQVPSPEVSQRPDGNQGCSSLIETVEPLLHSADQPTTCHASDVSHHFQVQASSSTDMTTVQMMLPTLNEGGAINQIDHSTRQTEDCLQQPLINAQAQEGHLNQLFSQSMPTTSSSSSHTLTVRAQPEVFDGVRVQPEAEGRLPQNVPIGPWLPPQVLHPDPLLNELIRIRKHEDSFTKMHEDKKLQLKQECEQEMQKVRMKYDKLIQDSETEYLHGKESFGSIYKKVLLNKILAEEFRARFIENKGGASAAIPGSSGAHPSSMRQLHQVPQSQHGQRAAMALASALVPSPATQPSVQSPSSLSAARLLTPVRPHLSSMHLARPNLHAGGETRAPAPHLQRSRPQLPLSAVQSHINSGQPHLLANKGSLPLSTPQVSPVVPHIYASRAPASGTSQPESRDTNNNDVLPRLSQPPRSEFERWLASNQTLAAGEPQALPSVDAPRTHSTSGVKDVVCISDDEN >DRNTG_15171.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:407470:425735:1 gene:DRNTG_15171 transcript:DRNTG_15171.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTRSGKNLKNEDTHSIRCRSAGVKSKDTEDLSTSGTTRNGSKPRTKNGDQKDLRNPTSSGSAATDSYSLRSSMRKTVRKSERLEKQNLSPPSGTSKSERERKEGPSNTVRRSVRFDKAGVSTSVISKNSSKSSGSPYANKKNMESKNGKGREENSDTIMVRKSAKPLKKKKLLTARSYRALLRSEKKTQRSDATRMLEKEVFELAKVNSGADDSEEVWDNASPQDECVGEKDFDFNGNTFCEDARVLEVEGSSSGNDHTCGSEHPESSHSNRKRKISEFNDEETSSYSKCNDKLRKSSPVQLVVENICEDSQWKTNEGSRTKVDCSVPKDLVTSELIGSSSEGRSLAVDVGSGSVDRAEGSKRAKTGGEFDSDVSVSPSRMDVDSLSPSIRRNVDTSPCKFCEKLQRAHDNSQLHELCSCNVGIQRNLSVLPEDRRELEIADSAHGPEPPSCADVKESLLLTPPKICPNACVICKQPGLLLCCDGKGCKRSFHLSCLDPPLDVVPLGIWLCHLCMKKKLEVGVYSISEGIEIVLDKKDAMHGEKHYYVKYKNLAHVHNRWIPESQLLDEAPLLMAKFNRKHQKEKIINWKKEWIEPQRLLQKRLLIPLELVDGEFSGHGVNPLFSQFEWFVKWKGLGYEHATWELGSSPFLCSPGAMILKEDFERRHREAKKGSDLSRPDKALSVKKVPSQKLSTFPDKISSELDNDHLNAINRLCEFWHKSRNALLIDDQEHIIKSILFISSIYLDCCRPFLIISTVNALSSWETEFLRLAPSMNVVAYCGNKDARKIIRTLEFYNSAGCILFQVLLSNYDVVAEDFENLVCIGWEAIIVDYCQHARVSKHFELLKNLSSDFRLLLLKCPLKDNLAEHLSLLSFLDSGGEENTSSFNLDSGDSAGTFAILKERFAHYLAYERKSDSSKFTEFWVPIQLSHVQLEQYCATLVSSSIVLRSCCKVDHVGALRDILISTRQCCDHPYLVDQLLQSSLTNGLALSEYLDVGIKASGKLRLLDKLLQEIKNRGLRVVILFQSIGAARNSIGDILDDFLRQRFGADSYERVDSGLIMSKKLAALNKFNDKSNGRFVFLIENRACLPSIKLSSVDAVVIFNSDWNPLNDFRALQKISIESEFEQMKVFRLYSSFTVEEKVLAFSKQDSTLESNIRSIIRNHSHSLLSWGATHLFSKLDDFHKRDDSVDLLDNSGEDLFLEKIVSELLAQLPKKFENNSSSDCSILIRAPQSGASYSRNIILLGEKERISSMDGDLSGFWSNLLEGRNPRWKYISEPSQRSRRKVHCADSFAKLPEADTEEVRKKRRKIVNDSIDQISLQDTTKDISSGIGAAVPAKIALPSNTSQATFTPIVLNSISKEAEGAKSQPNLKSLCTPGSVTANNDGREKLRHAQRNLHLLLKPELSSLCGTLRLPVDVKCLAEKLLEYVMNNHLVVRDPETILQALKISLCWRAASFLKYKLDHGESLALARKYSNFECSYDQAYSVYSKLRMLKKKFSCQSGALKVDNILNTPENQLSAAGSDLADSLASVEDSVSLASSRRVLEGTEIKKSPNSGKNYENVNPVNEEMSERGTTGKLSLHLSSFKMKTDSIEKIFLRREENLLLKQQLEFTELVGRMEEERTRLKEIYDLQLNSIYSQHVDFAIRNGKINLLNEEFFNSMSKFDQYVKCQHKKLATMQVDARRKDRQIKHHWLREVKAGRSAESFDRLPLSESGFCLEEFKVNEITASLGWTDGTSIPNSVSDPALPSDCQGIDLISGCLAVSFKSGELSAEPPAFSPNRGETHLESSSTESLTNASQVETCTVLVVTENPVPQQCTVSPISVAPDKLLIDSVIVASVDDKLHGSTDNSNGPCSPQNLQVNVPLAEISQSSGETHASVDQVPSPEVSQRPDGNQGCSSLIETVEPLLHSADQPTTCHASDVSHHFQVQASSSTDMTTVQMMLPTLNEGGAINQIDHSTRQTEDCLQQPLINAQAQEGHLNQLFSQSMPTTSSSSSHTLTVRAQPEVFDGVRVQPEAEGRLPQNVPIGPWLPPQVLHPDPLLNELIRIRKHEDSFTKMHEDKKLQLKQECEQEMQKVRMKYDKLIQDSETEYLHGKESFGSIYKKVLLNKILAEEFRARFIENKGGASAAIPGSSGAHPSSMRQLHQVPQSQHGQRAAMALASALVPSPATQPSVQSPSSLSAARLLTPVRPHLSSMHLARPNLHAGGETRAPAPHLQRSRPQLPLSAVQSHINSGQPHLLANKGSLPLSTPQVSPVVPHIYASRAPASGTSQPESRDTNNNDVLPRLSQPPRSEFERWLASNQTLAAGEPQALPSVDAPRTHSTSGVKDVVCISDDEN >DRNTG_15171.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:421421:425735:1 gene:DRNTG_15171 transcript:DRNTG_15171.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKKFSCQSGALKVDNILNTPENQLSAAGSDLADSLASVEDSVSLASSRRVLEGTEIKKSPNSGKNYENVNPVNEEMSERGTTGKLSLHLSSFKMKTDSIEKIFLRREENLLLKQQLEFTELVGRMEEERTRLKEIYDLQLNSIYSQHVDFAIRNGKINLLNEEFFNSMSKFDQYVKCQHKKLATMQVDARRKDRQIKHHWLREVKAGRSAESFDRLPLSESGFCLEEFKVNEITASLGWTDGTSIPNSVSDPALPSDCQGIDLISGCLAVSFKSGELSAEPPAFSPNRGETHLESSSTESLTNASQVETCTVLVVTENPVPQQCTVSPISVAPDKLLIDSVIVASVDDKLHGSTDNSNGPCSPQNLQVNVPLAEISQSSGETHASVDQVPSPEVSQRPDGNQGCSSLIETVEPLLHSADQPTTCHASDVSHHFQVQASSSTDMTTVQMMLPTLNEGGAINQIDHSTRQTEDCLQQPLINAQAQEGHLNQLFSQSMPTTSSSSSHTLTVRAQPEVFDGVRVQPEAEGRLPQNVPIGPWLPPQVLHPDPLLNELIRIRKHEDSFTKMHEDKKLQLKQECEQEMQKVRMKYDKLIQDSETEYLHGKESFGSIYKKVLLNKILAEEFRARFIENKGGASAAIPGSSGAHPSSMRQLHQVPQSQHGQRAAMALASALVPSPATQPSVQSPSSLSAARLLTPVRPHLSSMHLARPNLHAGGETRAPAPHLQRSRPQLPLSAVQSHINSGQPHLLANKGSLPLSTPQVSPVVPHIYASRAPASGTSQPESRDTNNNDVLPRLSQPPRSEFERWLASNQTLAAGEPQALPSVDAPRTHSTSGVKDVVCISDDEN >DRNTG_15171.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:424460:425735:1 gene:DRNTG_15171 transcript:DRNTG_15171.11 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLHQVPQSQHGQRAAMALASALVPSPATQPSVQSPSSLSAARLLTPVRPHLSSMHLARPNLHAGGETRAPAPHLQRSRPQLPLSAVQSHINSGQPHLLANKGSLPLSTPQVSPVVPHIYASRAPASGTSQPESRDTNNNDVLPRLSQPPRSEFERWLASNQTLAAGEPQALPSVDAPRTHSTSGVKDVVCISDDEN >DRNTG_15171.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:414225:425735:1 gene:DRNTG_15171 transcript:DRNTG_15171.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSTLNSLYSLAFICVPLILIRSKLYYIQALSVKKVPSQKLSTFPDKISSELDNDHLNAINRLCEFWHKSRNALLIDDQEHIIKSILFISSIYLDCCRPFLIISTVNALSSWETEFLRLAPSMNVVAYCGNKDARKIIRTLEFYNSAGCILFQVLLSNYDVVAEDFENLVCIGWEAIIVDYCQHARVSKHFELLKNLSSDFRLLLLKCPLKDNLAEHLSLLSFLDSGGEENTSSFNLDSGDSAGTFAILKERFAHYLAYERKSDSSKFTEFWVPIQLSHVQLEQYCATLVSSSIVLRSCCKVDHVGALRDILISTRQCCDHPYLVDQLLQSSLTNGLALSEYLDVGIKASGKLRLLDKLLQEIKNRGLRVVILFQSIGAARNSIGDILDDFLRQRFGADSYERVDSGLIMSKKLAALNKFNDKSNGRFVFLIENRACLPSIKLSSVDAVVIFNSDWNPLNDFRALQKISIESEFEQMKVFRLYSSFTVEEKVLAFSKQDSTLESNIRSIIRNHSHSLLSWGATHLFSKLDDFHKRDDSVDLLDNSGEDLFLEKIVSELLAQLPKKFENNSSSDCSILIRAPQSGASYSRNIILLGEKERISSMDGDLSGFWSNLLEGRNPRWKYISEPSQRSRRKVHCADSFAKLPEADTEEVRKKRRKIVNDSIDQISLQDTTKDISSGIGAAVPAKIALPSNTSQATFTPIVLNSISKEAEGAKSQPNLKSLCTPGSVTANNDGREKLRHAQRNLHLLLKPELSSLCGTLRLPVDVKCLAEKLLEYVMNNHLVVRDPETILQALKISLCWRAASFLKYKLDHGESLALARKYSNFECSYDQAYSVYSKLRMLKKKFSCQSGALKVDNILNTPENQLSAAGSDLADSLASVEDSVSLASSRRVLEGTEIKKSPNSGKNYENVNPVNEEMSERGTTGKLSLHLSSFKMKTDSIEKIFLRREENLLLKQQLEFTELVGRMEEERTRLKEIYDLQLNSIYSQHVDFAIRNGKINLLNEEFFNSMSKFDQYVKCQHKKLATMQVDARRKDRQIKHHWLREVKAGRSAESFDRLPLSESGFCLEEFKVNEITASLGWTDGTSIPNSVSDPALPSDCQGIDLISGCLAVSFKSGELSAEPPAFSPNRGETHLESSSTESLTNASQVETCTVLVVTENPVPQQCTVSPISVAPDKLLIDSVIVASVDDKLHGSTDNSNGPCSPQNLQVNVPLAEISQSSGETHASVDQVPSPEVSQRPDGNQGCSSLIETVEPLLHSADQPTTCHASDVSHHFQVQASSSTDMTTVQMMLPTLNEGGAINQIDHSTRQTEDCLQQPLINAQAQEGHLNQLFSQSMPTTSSSSSHTLTVRAQPEVFDGVRVQPEAEGRLPQNVPIGPWLPPQVLHPDPLLNELIRIRKHEDSFTKMHEDKKLQLKQECEQEMQKVRMKYDKLIQDSETEYLHGKESFGSIYKKVLLNKILAEEFRARFIENKGGASAAIPGSSGAHPSSMRQLHQVPQSQHGQRAAMALASALVPSPATQPSVQSPSSLSAARLLTPVRPHLSSMHLARPNLHAGGETRAPAPHLQRSRPQLPLSAVQSHINSGQPHLLANKGSLPLSTPQVSPVVPHIYASRAPASGTSQPESRDTNNNDVLPRLSQPPRSEFERWLASNQTLAAGEPQALPSVDAPRTHSTSGVKDVVCISDDEN >DRNTG_15171.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:419674:425735:1 gene:DRNTG_15171 transcript:DRNTG_15171.8 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLQCAEGAKSQPNLKSLCTPGSVTANNDGREKLRHAQRNLHLLLKPELSSLCGTLRLPVDVKCLAEKLLEYVMNNHLVVRDPETILQALKISLCWRAASFLKYKLDHGESLALARKYSNFECSYDQAYSVYSKLRMLKKKFSCQSGALKVDNILNTPENQLSAAGSDLADSLASVEDSVSLASSRRVLEGTEIKKSPNSGKNYENVNPVNEEMSERGTTGKLSLHLSSFKMKTDSIEKIFLRREENLLLKQQLEFTELVGRMEEERTRLKEIYDLQLNSIYSQHVDFAIRNGKINLLNEEFFNSMSKFDQYVKCQHKKLATMQVDARRKDRQIKHHWLREVKAGRSAESFDRLPLSESGFCLEEFKVNEITASLGWTDGTSIPNSVSDPALPSDCQGIDLISGCLAVSFKSGELSAEPPAFSPNRGETHLESSSTESLTNASQVETCTVLVVTENPVPQQCTVSPISVAPDKLLIDSVIVASVDDKLHGSTDNSNGPCSPQNLQVNVPLAEISQSSGETHASVDQVPSPEVSQRPDGNQGCSSLIETVEPLLHSADQPTTCHASDVSHHFQVQASSSTDMTTVQMMLPTLNEGGAINQIDHSTRQTEDCLQQPLINAQAQEGHLNQLFSQSMPTTSSSSSHTLTVRAQPEVFDGVRVQPEAEGRLPQNVPIGPWLPPQVLHPDPLLNELIRIRKHEDSFTKMHEDKKLQLKQECEQEMQKVRMKYDKLIQDSETEYLHGKESFGSIYKKVLLNKILAEEFRARFIENKGGASAAIPGSSGAHPSSMRQLHQVPQSQHGQRAAMALASALVPSPATQPSVQSPSSLSAARLLTPVRPHLSSMHLARPNLHAGGETRAPAPHLQRSRPQLPLSAVQSHINSGQPHLLANKGSLPLSTPQVSPVVPHIYASRAPASGTSQPESRDTNNNDVLPRLSQPPRSEFERWLASNQTLAAGEPQALPSVDAPRTHSTSGVKDVVCISDDEN >DRNTG_15171.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:407470:425735:1 gene:DRNTG_15171 transcript:DRNTG_15171.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGEKHYYVKYKNLAHVHNRWIPESQLLDEAPLLMAKFNRKHQKEKIINWKKEWIEPQRLLQKRLLIPLELVDGEFSGHGVNPLFSQFEWFVKWKGLGYEHATWELGSSPFLCSPGAMILKEDFERRHREAKKGSDLSRPDKALSVKKVPSQKLSTFPDKISSELDNDHLNAINRLCEFWHKSRNALLIDDQEHIIKSILFISSIYLDCCRPFLIISTVNALSSWETEFLRLAPSMNVVAYCGNKDARKIIRTLEFYNSAGCILFQVLLSNYDVVAEDFENLVCIGWEAIIVDYCQHARVSKHFELLKNLSSDFRLLLLKCPLKDNLAEHLSLLSFLDSGGEENTSSFNLDSGDSAGTFAILKERFAHYLAYERKSDSSKFTEFWVPIQLSHVQLEQYCATLVSSSIVLRSCCKVDHVGALRDILISTRQCCDHPYLVDQLLQSSLTNGLALSEYLDVGIKASGKLRLLDKLLQEIKNRGLRVVILFQSIGAARNSIGDILDDFLRQRFGADSYERVDSGLIMSKKLAALNKFNDKSNGRFVFLIENRACLPSIKLSSVDAVVIFNSDWNPLNDFRALQKISIESEFEQMKVFRLYSSFTVEEKVLAFSKQDSTLESNIRSIIRNHSHSLLSWGATHLFSKLDDFHKRDDSVDLLDNSGEDLFLEKIVSELLAQLPKKFENNSSSDCSILIRAPQSGASYSRNIILLGEKERISSMDGDLSGFWSNLLEGRNPRWKYISEPSQRSRRKVHCADSFAKLPEADTEEVRKKRRKIVNDSIDQISLQDTTKDISSGIGAAVPAKIALPSNTSQATFTPIVLNSISKEAEGAKSQPNLKSLCTPGSVTANNDGREKLRHAQRNLHLLLKPELSSLCGTLRLPVDVKCLAEKLLEYVMNNHLVVRDPETILQALKISLCWRAASFLKYKLDHGESLALARKYSNFECSYDQAYSVYSKLRMLKKKFSCQSGALKVDNILNTPENQLSAAGSDLADSLASVEDSVSLASSRRVLEGTEIKKSPNSGKNYENVNPVNEEMSERGTTGKLSLHLSSFKMKTDSIEKIFLRREENLLLKQQLEFTELVGRMEEERTRLKEIYDLQLNSIYSQHVDFAIRNGKINLLNEEFFNSMSKFDQYVKCQHKKLATMQVDARRKDRQIKHHWLREVKAGRSAESFDRLPLSESGFCLEEFKVNEITASLGWTDGTSIPNSVSDPALPSDCQGIDLISGCLAVSFKSGELSAEPPAFSPNRGETHLESSSTESLTNASQVETCTVLVVTENPVPQQCTVSPISVAPDKLLIDSVIVASVDDKLHGSTDNSNGPCSPQNLQVNVPLAEISQSSGETHASVDQVPSPEVSQRPDGNQGCSSLIETVEPLLHSADQPTTCHASDVSHHFQVQASSSTDMTTVQMMLPTLNEGGAINQIDHSTRQTEDCLQQPLINAQAQEGHLNQLFSQSMPTTSSSSSHTLTVRAQPEVFDGVRVQPEAEGRLPQNVPIGPWLPPQVLHPDPLLNELIRIRKHEDSFTKMHEDKKLQLKQECEQEMQKVRMKYDKLIQDSETEYLHGKESFGSIYKKVLLNKILAEEFRARFIENKGGASAAIPGSSGAHPSSMRQLHQVPQSQHGQRAAMALASALVPSPATQPSVQSPSSLSAARLLTPVRPHLSSMHLARPNLHAGGETRAPAPHLQRSRPQLPLSAVQSHINSGQPHLLANKGSLPLSTPQVSPVVPHIYASRAPASGTSQPESRDTNNNDVLPRLSQPPRSEFERWLASNQTLAAGEPQALPSVDAPRTHSTSGVKDVVCISDDEN >DRNTG_15171.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:415518:425735:1 gene:DRNTG_15171 transcript:DRNTG_15171.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVAYCGNKDARKIIRTLEFYNSAGCILFQVLLSNYDVVAEDFENLVCIGWEAIIVDYCQHARVSKHFELLKNLSSDFRLLLLKCPLKDNLAEHLSLLSFLDSGGEENTSSFNLDSGDSAGTFAILKERFAHYLAYERKSDSSKFTEFWVPIQLSHVQLEQYCATLVSSSIVLRSCCKVDHVGALRDILISTRQCCDHPYLVDQLLQSSLTNGLALSEYLDVGIKASGKLRLLDKLLQEIKNRGLRVVILFQSIGAARNSIGDILDDFLRQRFGADSYERVDSGLIMSKKLAALNKFNDKSNGRFVFLIENRACLPSIKLSSVDAVVIFNSDWNPLNDFRALQKISIESEFEQMKVFRLYSSFTVEEKVLAFSKQDSTLESNIRSIIRNHSHSLLSWGATHLFSKLDDFHKRDDSVDLLDNSGEDLFLEKIVSELLAQLPKKFENNSSSDCSILIRAPQSGASYSRNIILLGEKERISSMDGDLSGFWSNLLEGRNPRWKYISEPSQRSRRKVHCADSFAKLPEADTEEVRKKRRKIVNDSIDQISLQDTTKDISSGIGAAVPAKIALPSNTSQATFTPIVLNSISKEAEGAKSQPNLKSLCTPGSVTANNDGREKLRHAQRNLHLLLKPELSSLCGTLRLPVDVKCLAEKLLEYVMNNHLVVRDPETILQALKISLCWRAASFLKYKLDHGESLALARKYSNFECSYDQAYSVYSKLRMLKKKFSCQSGALKVDNILNTPENQLSAAGSDLADSLASVEDSVSLASSRRVLEGTEIKKSPNSGKNYENVNPVNEEMSERGTTGKLSLHLSSFKMKTDSIEKIFLRREENLLLKQQLEFTELVGRMEEERTRLKEIYDLQLNSIYSQHVDFAIRNGKINLLNEEFFNSMSKFDQYVKCQHKKLATMQVDARRKDRQIKHHWLREVKAGRSAESFDRLPLSESGFCLEEFKVNEITASLGWTDGTSIPNSVSDPALPSDCQGIDLISGCLAVSFKSGELSAEPPAFSPNRGETHLESSSTESLTNASQVETCTVLVVTENPVPQQCTVSPISVAPDKLLIDSVIVASVDDKLHGSTDNSNGPCSPQNLQVNVPLAEISQSSGETHASVDQVPSPEVSQRPDGNQGCSSLIETVEPLLHSADQPTTCHASDVSHHFQVQASSSTDMTTVQMMLPTLNEGGAINQIDHSTRQTEDCLQQPLINAQAQEGHLNQLFSQSMPTTSSSSSHTLTVRAQPEVFDGVRVQPEAEGRLPQNVPIGPWLPPQVLHPDPLLNELIRIRKHEDSFTKMHEDKKLQLKQECEQEMQKVRMKYDKLIQDSETEYLHGKESFGSIYKKVLLNKILAEEFRARFIENKGGASAAIPGSSGAHPSSMRQLHQVPQSQHGQRAAMALASALVPSPATQPSVQSPSSLSAARLLTPVRPHLSSMHLARPNLHAGGETRAPAPHLQRSRPQLPLSAVQSHINSGQPHLLANKGSLPLSTPQVSPVVPHIYASRAPASGTSQPESRDTNNNDVLPRLSQPPRSEFERWLASNQTLAAGEPQALPSVDAPRTHSTSGVKDVVCISDDEN >DRNTG_24712.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17964138:17965505:-1 gene:DRNTG_24712 transcript:DRNTG_24712.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQYNNPFLTSTLHTLPFRPRPSLFLLPTTLRQSSNPIRSHLHNHTKPQASSDLPEGSQWRYSEFLNAVKKGKVERVRFSKDGGVLQLTAVDGRRASVIVPNDPDLIDILAMNGVDISVAEGEGGNGLLGFIGNLLFPFLAFAGLFLLFRRAQGGPGGGPGGLGGPMDFGRSKSKFQEVPETGVTFADVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKSKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDAALLRPGRFDRQVTVDRPDVAGRVKILQVCTFSLT >DRNTG_06469.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:563315:565755:1 gene:DRNTG_06469 transcript:DRNTG_06469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSTSKRTSSRPKPIESIENDGKNTRDSLPLPPFRSPLISIQDPFQTSLTPRSAPATPAKSFSRAHVGNLSSGTSNGGHSHQGVAARDKGSLSRTPRGIIDSKALMPVHVPHFELSEDPSFWNDHNVQILIRVRPINSAESGSQGNTRCLVQESLQTVAWTGHPDTRFTFDHVACETISQEKLFRVAGLPMVENCMSGYNSCMFAYGQTGSGKTYTMMGDFHEMDNTLSEDCGMTPRIFEYLFMRIKQEEGARNDEQLEYSCKCSFLEIYNEQITDLLEPSSTNLQLREDMKKGVYVENLKECEVSSVKDVLELLLKGAANRKIAATRMNSESSRSHCVFTCIIESRWEKDSLLHLRYGQLNLVDLAGSERQKSSGAEGERLKEAANINRSLSTLGLVIMTLVDVANGKNRHVPYRDSKLTFLLQ >DRNTG_04610.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3980638:3984870:1 gene:DRNTG_04610 transcript:DRNTG_04610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPASVAKQAELLRMDGNTYFKKERIGAAIDAYTEAIALCPNVAVYWTNRALCYRKREFVELQWFCCLVLDWI >DRNTG_04610.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3984097:3984870:1 gene:DRNTG_04610 transcript:DRNTG_04610.4 gene_biotype:protein_coding transcript_biotype:protein_coding FSRFQVPDYLCCKITLDIFRDPVVTPSGITYERAVLLEHLQKVGKFDPLTRVPLEQHQLTPNLGIKEAVQAFLNVHGWAYNMN >DRNTG_04610.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3983464:3984870:1 gene:DRNTG_04610 transcript:DRNTG_04610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLVLLEKQEYASGIKELEKALDLGRGANPQGYMVEEIWLVLAKAKYNEWKQSSSERLQRLQNLRDACEKALKQHNLLSVSEARDSSNDNIDDCSKQFEYLSEVFHKAALVDRPSQVKSLWCWCIWDSQNHLFMFINSQDFRYLTTYAAKSHWISFETLLSLRLV >DRNTG_04610.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3980638:3984870:1 gene:DRNTG_04610 transcript:DRNTG_04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPASVAKQAELLRMDGNTYFKKERIGAAIDAYTEAIALCPNVAVYWTNRALCYRKREEWARVEEDCRRAIAIDGGSVKAHYMLGLVLLEKQEYASGIKELEKALDLGRGANPQGYMVEEIWLVLAKAKYNEWKQSSSERLQRLQNLRDACEKALKQHNLLSVSEARDSSNDNIDDCSKQFEYLSEVFHKAALVDRPSQVPDYLCCKITLDIFRDPVVTPSGITYERAVLLEHLQKVGKFDPLTRVPLEQHQLTPNLGIKEAVQAFLNVHGWAYNMN >DRNTG_31911.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18760487:18760925:1 gene:DRNTG_31911 transcript:DRNTG_31911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFYLKMQCDLHHRRVPGYVSSMKSVDSGVFWQSTIAGFRGRFGTAFGLVLRLRHRISLGR >DRNTG_19222.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4056044:4066666:-1 gene:DRNTG_19222 transcript:DRNTG_19222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSRKCKILHKMQRSKIQSRRSGFPGRSSPVNLEKLGQRMRMGEELSGKRRRNGFC >DRNTG_20539.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001128.1:5468:18005:1 gene:DRNTG_20539 transcript:DRNTG_20539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRREIGEAETSIEALHALILRDWPHTLPSPPRLAATGFITAAASSSSEIGLTCSGFPFGWWLAGDSGLVIPSLHLGILSMTFSANSSCAVLQQEHIDHPKLNLCKKDKKWIAKKKRPNNFEGVVVITTRKEDCEYMLKSLGKKWRDYKHDLKKRHFKREDGLQANKDKHSNATIRWQWDQLVDFLYSSKGEDSEKLGVASRKQQKYTHTSGSKSFARKEKEMEKANEKLAECETIDEDMQMVETEILTELIGKERCGRVRGVGLGPTPKSYYGGTSSRNYTSSRTQSSDFTERFHQMEQQMQQMKEERDQECAQHEQERDEEGAQREQER >DRNTG_29381.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:458996:459640:-1 gene:DRNTG_29381 transcript:DRNTG_29381.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTEELEEGTKERGCMVEWVPQEEVLAHRAVGCFLTHSGWNSTLEGMVAGVPMICFPYFSDQMVNSRFVSEVWRIGLDMKDTCDRNTVERMVREAMEGESALELRNSAARMADLARKSVEKNGTSCANFERLVSYIKSTALTGSS >DRNTG_30513.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:794508:795532:-1 gene:DRNTG_30513 transcript:DRNTG_30513.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWMQGGLDYIVLKSLDIDGVRIIASVLGQSIALDHFIGQVDDMVEEFTGINRGMEKSGTFTMQRKKLFQLVGKANSNLADVIIKLGLFDRSEIAWKNANYAQILEYLREEYELTQRFGSLDFKLKFVEHNIHFLQEVLQNRRSDLLEWGIIILLTIENIIAMYEILKGSSIVPS >DRNTG_27726.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:241626:242954:-1 gene:DRNTG_27726 transcript:DRNTG_27726.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYEFMPNKSLDAFLFDPRKKEMLGWSKRFEIIKGIARGLLYLHRDSRLRIVHRDLKASNILLDEEMNPKISDFGMARIFGGDQNEANTNRLVGTYGYMSPEYAMEGLFSVKSDVYSFGVLMLEIITGRRNNSFYHLEDSPNIIGYVWPLWNEGRVMELIDENMQKTCSAQQVSRCVHIGLLCVQDRVNDRPDMSAVVLMLESGGAILQTPKQPTFVSERGPIQTGPFSVNDLTISIFSAR >DRNTG_27726.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:241626:244934:-1 gene:DRNTG_27726 transcript:DRNTG_27726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVGSMVCAAWFGDSSSPACSCLQGFQPKFPSEWNNGNWSAGCVRTTQLECEILLNSSSTSSNNSSESKGKTDGFVQIERLKLPDFSEWVNTDGCESYCMSNCSCKAYAYVASIGCLVWSRDLIDIYQFSDGGQNLFIKVGGLDLDGSSKIWITIVVVSVIGVAILLLVISMWWRFNTKIKEWWKKDGRQENISSSLQLSTDARSGFSGVLEQQEEGQEGEGVQLPVYTVDFIASVTDNFDESNKLGEGGFGIVYKGVLPGGEKVAIKRLSKSSGQGLEQFKNEVLLIAKLQHRNLVRLLGCCIQGEEKMLIYEFMPNKSLDAFLFDPRKKEMLGWSKRFEIIKGIARGLLYLHRDSRLRIVHRDLKASNILLDEEMNPKISDFGMARIFGGDQNEANTNRLVGTYGYMSPEYAMEGLFSVKSDVYSFGVLMLEIITGRRNNSFYHLEDSPNIIGYVWPLWNEGRVMELIDENMQKTCSAQQVSRCVHIGLLCVQDRVNDRPDMSAVVLMLESGGAILQTPKQPTFVSERGPIQTGPFSVNDLTISIFSAR >DRNTG_16788.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:485511:489191:-1 gene:DRNTG_16788 transcript:DRNTG_16788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSRRGDSRNGERDGASPGKIFVGGLHKGADDRLFREHFEKYGAIDDSVIMRDKHTRQPRGFGFITYADPSVVDKVIEDTHVILGKQVEIKRTIPRGSAKDFKTKKIFVGGIPSSVTDDEFKNFFSKYGKVLEHQIITDHSTNRSRGFGFIVFDSEQVVDDLLAKGNMIDLAGSQVEIKKAEPKKASNPPSSAYGSNFRARSFGDGDGDGDGAGGFGSSYSGFGSSGFGPAPYRGLGGYGSRLGGYGGGGGGYGPDVGEFGGGYGGFDSHLGVYRGDSSLNYSSRIGSFGGGFPGGYGGSGLGSGYVRGEAFDGYGNTSYGSGYGYGSGAGYGSGGMYGARGGYGGPGRYHPYAR >DRNTG_16788.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:485511:489191:-1 gene:DRNTG_16788 transcript:DRNTG_16788.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSRRGDSRNGERDGASPGKIFVGGLHKGADDRLFREHFEKYGAIDDSVIMRDKHTRQPRGFGFITYADPSVVDKVIEDTHVILGKQVEIKRTIPRGSAKDFKTKKIFVGGIPSSVTDDEFKNFFSKYGKVLEHQIITDHSTNRSRGFGFIVFDSEQVVDDLLAKGNMIDLAGSQVSVLQCIIVALVSTWILAVSNSKTAQMSSGVWKMHPSGFFFDFY >DRNTG_10088.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000422.1:7910:8341:1 gene:DRNTG_10088 transcript:DRNTG_10088.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVCALVRKLGDLIVQEAINLHGVRGEVEWLERELRRMQCFLKDADAKKNKGDDERVKNWVTEMRDLAFEAEDIIDTFMYLKLRRQQKQPGCIGFMKRYVLQTPLYLFI >DRNTG_10088.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000422.1:7910:9384:1 gene:DRNTG_10088 transcript:DRNTG_10088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVCALVRKLGDLIVQEAINLHGVRGEVEWLERELRRMQCFLKDADAKKNKGDDERVKNWVTEMRDLAFEAEDIIDTFMYLKLRRQQKQPGCIGFMKRYVFILDELVNRHKIHVDVEVIKTKLQELSQSRLLYGIANIGETIGTTSHYRSQHVIPILPQLSDDIDMVGFDDEKKKIVQELVDINNTNRSVISIVGMGGLGKTTLAKSVYNDLEVKRSFDVFA >DRNTG_05829.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18932515:18948967:1 gene:DRNTG_05829 transcript:DRNTG_05829.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRIZ1 [Source:Projected from Arabidopsis thaliana (AT2G42160) UniProtKB/TrEMBL;Acc:E5KGE0] MFRLRVHSVDHLHLAENAGGATTSNQSPPPFPQNPNPRIQVRRGVIHLYHPTRSPSASSSSSLCHPPLESLLPSQRSTLLFALAVPTCVSIDDFLRFCHPYNPACEILIIRNDAMEDLYSVVVRFDEQESADRFYCDLNGWRLSDTERSATSCF >DRNTG_05829.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18932515:18948967:1 gene:DRNTG_05829 transcript:DRNTG_05829.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRIZ1 [Source:Projected from Arabidopsis thaliana (AT2G42160) UniProtKB/TrEMBL;Acc:E5KGE0] MFRLRVHSVDHLHLAENAGGATTSNQSPPPFPQNPNPRIQVRRGVIHLYHPTRSPSASSSSSLCHPPLESLLPSQRSTLLFALAVPTCVSIDDFLRFCHPYNPACEILIIRNDAMEDLYSVVVRFDEQESADRFYCDLNGWRLSDTEPEVCHILFLDFVEFTEYTEIASTPPIGFTELPTCPTCLEKLDQDISGIVATTFDQFFPSTSKWFNSSCPVCQFLQEHAQKATCSTCHTSEHLWVCLICGFVGCGRYKEGHARDHWGDTHHCYALDPHAQRIWDYVGDTYVHRLNQSRSDDKFQSNCRFSIDNCASECRDDSCFSRVLYVSQVEALKDEYNRLLANTLDEQKQHYEALLLKIEEDKEKNISEAVETALSLRLQDILLKLENSIEERKIAADTNEELMKNQSFLREKMKEIEERQKAAAKLKDEKIHELEEEIRDFTVFIEAQKVLDNVGGSNGIKGGTVLPVTAPQPSAGRSRRPTKLNRKRT >DRNTG_13705.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5349855:5350960:-1 gene:DRNTG_13705 transcript:DRNTG_13705.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAFKTKSDIEILDDGYKWRKYGKKFVKNSPHPRNYYQCSNEGCNVKKRVERHREDSSYVITAYEGVHYHHAPSNATVNQRRPVSLSLQDYSYSSNGSTLQTLDSAQI >DRNTG_13705.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5349855:5350960:-1 gene:DRNTG_13705 transcript:DRNTG_13705.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSISTDSDQQDDAQFEVDEYLSFNDEEEKEDTSLLPPERQQKHFTLQTHTDHHGCIKKDFWLYVCRRRDNFIKSASKDCLMKIAFKTKSDIEILDDGYKWRKYGKKFVKNSPHPR >DRNTG_13705.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5349855:5350960:-1 gene:DRNTG_13705 transcript:DRNTG_13705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSISTDSDQQDDAQFEVDEYLSFNDEEEKEDTSLLPPERQQKHFTLQTHTDHHGCIKKERRDNFIKSASKDCLMKIAFKTKSDIEILDDGYKWRKYGKKFVKNSPHPRNYYQCSNEGCNVKKRVERHREDSSYVITAYEGVHYHHAPSNATVNQRRPVSLSLQDYSYSSNGSTLQTLDSAQI >DRNTG_24131.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:167742:172161:1 gene:DRNTG_24131 transcript:DRNTG_24131.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVAKSYYMELICRSFLQPDPEYVDMSRCTIHDLLRALAEFFAGDESFSGDPQEVQSTELMKKLRRLTITSNRESVSIPHLDCLRSLRLWTPPSLNTQVVGNLKHLRLLFLNGDKIENIPDSIGGLVHLRFLDLEHTCIHNLPDSLGNLINLQFLLLNDCKSLHILPKSITKLYNLRWLRLEDTPLNYVPKGIGKLEHLHHVEGLIIGDSGDDGEEGCNLEELQMLEKLSCLSIRNLEKSSIKSSSVLLSSKTHLRELRLRCTPNYTDGHIQQQEMDKIVQVFDDLCPPPCLEKLGIQDFFGGRYPNWMSSSTSITTALQELIYLQLINCSNCPHLPQLGQLPQLKYLRIDGATAVVSIGPEFLGNYNGEPTEIAFPKLETLLFENMSNWEEWSLILSGEEEEDNEPESSKPLMFFPHLKSIFILKCPKLKALPSGLNRANIPRLFIRKAHSLSRVSHLPTLKELEVTDCPMLECVEKLESLQSLKVIDYEEDNASLPQWLISFLQQRQEKPHEDDLFMLHLECSVQALKGCLKGRPHWLFIQQVPRFIGYAKNQSMYLKYTKQPYYYETNIDDED >DRNTG_24131.22 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:172216:174073:1 gene:DRNTG_24131 transcript:DRNTG_24131.22 gene_biotype:protein_coding transcript_biotype:protein_coding MDINYSMDDPNQKKEQNKEEGVGGTWKHAIKVSYEATQQAFPGGVILAHLDHKSFKSWQKNAITSFLAEQNIQVGKPSDFF >DRNTG_24131.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:167742:172074:1 gene:DRNTG_24131 transcript:DRNTG_24131.11 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVAKSYYMELICRSFLQPDPEYVDMSRCTIHDLLRALAEFFAGDESFSGDPQEVQSTELMKKLRRLTITSNRESVSIPHLDCLRSLRLWTPPSLNTQVVGNLKHLRLLFLNGDKIENIPDSIGGLVHLRFLDLEHTCIHNLPDSLGNLINLQFLLLNDCKSLHILPKSITKLYNLRWLRLEDTPLNYVPKGIGKLEHLHHVEGLIIGDSGDDGEEGCNLEELQMLEKLSCLSIRNLEKSSIKSSSVLLSSKTHLRELRLRCTPNYTDGHIQQQEMDKIVQVFDDLCPPPCLEKLGIQDFFGGRYPNWMSSSTSITTALQELIYLQLINCSNCPHLPQLGQLPQLKYLRIDGATAVVSIGPEFLGNYNGEPTEIAFPKLETLLFENMSNWEEWSLILSGEEEEDNEPESSKPLMFFPHLKSIFILKCPKLKALPSGLNRANIPRLFIRKAHSLSRVSHLPTLKELEVTDCPMLECVEKLESLQSLKVIDYEEDNASLPQWLISFLQQRQEKPHEDDLFMLHLECSVQALKGCLKGRPHWLFIQQVPRFIGYAKNQSMYLKYTKQPYYYETNIDDED >DRNTG_24131.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:172298:174342:1 gene:DRNTG_24131 transcript:DRNTG_24131.19 gene_biotype:protein_coding transcript_biotype:protein_coding MDINYSMDDPNQKKEQNKEEGVGGTWKHAIKVSYEATQQAFPGGVILAHLDHKSFKSWQKNAITSFLAEQNIQVGKPSDFF >DRNTG_24131.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:167742:172161:1 gene:DRNTG_24131 transcript:DRNTG_24131.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVAKSYYMELICRSFLQPDPEYVDMSRCTIHDLLRALAEFFAGDESFSGDPQEVQSTELMKKLRRLTITSNRESVSIPHLDCLRSLRLWTPPSLNTQVVGNLKHLRLLFLNGDKIENIPDSIGGLVHLRFLDLEHTCIHNLPDSLGNLINLQFLLLNDCKSLHILPKSITKLYNLRWLRLEDTPLNYVPKGIGKLEHLHHVEGLIIGDSGDDGEEGCNLEELQMLEKLSCLSIRNLEKSSIKSSSVLLSSKTHLRELRLRCTPNYTDGHIQQQEMDKIVQVFDDLCPPPCLEKLGIQDFFGGRYPNWMSSSTSITTALQELIYLQLINCSNCPHLPQLGQLPQLKYLRIDGATAVVSIGPEFLGNYNGEPTEIAFPKLETLLFENMSNWEEWSLILSGEEEEDNEPESSKPLMFFPHLKSIFILKCPKLKALPSGLNRANIPRLFIRKAHSLSRVSHLPTLKELEVTDCPMLECVEKLESLQSLKVIDYEEDNASLPQWLISFLQQRQEKPHEDDLFMLHLECSVQALKGCLKGRPHWLFIQQVPRFIGYAKNQSMYLKYTKQPYYYETNIDGMFIHFISHDISVSA >DRNTG_24131.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:167742:174073:1 gene:DRNTG_24131 transcript:DRNTG_24131.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTWNNDNKKVLLGLGGGHYVPSHMDINYSMDDPNQKKEQNKEEGVGGTWKHAIKVSYEATQQAFPGGVILAHLDHKSFKSWQKNAITSFLAEQNIQVGKPSDFF >DRNTG_24131.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:167742:172074:1 gene:DRNTG_24131 transcript:DRNTG_24131.13 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVAKSYYMELICRSFLQPDPEYVDMSRCTIHDLLRALAEFFAGDESFSGDPQEVQSTELMKKLRRLTITSNRESVSIPHLDCLRSLRLWTPPSLNTQVVGNLKHLRLLFLNGDKIENIPDSIGGLVHLRFLDLEHTCIHNLPDSLGNLINLQFLLLNDCKSLHILPKSITKLYNLRWLRLEDTPLNYVPKGIGKLEHLHHVEGLIIGDSGDDGEEGCNLEELQMLEKLSCLSIRNLEKSSIKSSSVLLSSKTHLRELRLRCTPNYTDGHIQQQEMDKIVQVFDDLCPPPCLEKLGIQDFFGGRYPNWMSSSTSITTALQELIYLQLINCSNCPHLPQLGQLPQLKYLRIDGATAVVSIGPEFLGNYNGEPTEIAFPKLETLLFENMSNWEEWSLILSGEEEEDNEPESSKPLMFFPHLKSIFILKCPKLKALPSGLNRANIPRLFIRKAHSLSRVSHLPTLKELEVTDCPMLECVEKLESLQSLKVIDYEEDNASLPQWLISFLQQRQEKPHEDDLFMLHLECSVQALKGCLKGRPHWLFIQQVPRFIGYAKNQSMYLKYTKQPYYYETNIDDED >DRNTG_24131.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:169815:174073:1 gene:DRNTG_24131 transcript:DRNTG_24131.16 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPNQKKEQNKEEGVGGTWKHAIKVSYEATQQAFPGGVILAHLDHKSFKSWQKNAITSFLAEQNIQVGKPSDFF >DRNTG_24131.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:167742:172161:1 gene:DRNTG_24131 transcript:DRNTG_24131.10 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVAKSYYMELICRSFLQPDPEYVDMSRCTIHDLLRALAEFFAGDESFSGDPQEVQSTELMKKLRRLTITSNRESVSIPHLDCLRSLRLWTPPSLNTQVVGNLKHLRLLFLNGDKIENIPDSIGGLVHLRFLDLEHTCIHNLPDSLGNLINLQFLLLNDCKSLHILPKSITKLYNLRWLRLEDTPLNYVPKGIGKLEHLHHVEGLIIGDSGDDGEEGCNLEELQMLEKLSCLSIRNLEKSSIKSSSVLLSSKTHLRELRLRCTPNYTDGHIQQQEMDKIVQVFDDLCPPPCLEKLGIQDFFGGRYPNWMSSSTSITTALQELIYLQLINCSNCPHLPQLGQLPQLKYLRIDGATAVVSIGPEFLGNYNGEPTEIAFPKLETLLFENMSNWEEWSLILSGEEEEDNEPESSKPLMFFPHLKSIFILKCPKLKALPSGLNRANIPRLFIRKAHSLSRVSHLPTLKELEVTDCPMLECVEKLESLQSLKVIDYEEDNASLPQWLISFLQQRQEKPHEDDLFMLHLECSVQALKGCLKGRPHWLFIQQVPRFIGYAKNQSMYLKYTKQPYYYETNIDDED >DRNTG_24131.20 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:172298:174342:1 gene:DRNTG_24131 transcript:DRNTG_24131.20 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPNQKKEQNKEEGVGGTWKHAIKVSYEATQQAFPGGVILAHLDHKSFKSWQKNAITSFLAEQNIQVGKPSDFF >DRNTG_24131.23 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:172216:174073:1 gene:DRNTG_24131 transcript:DRNTG_24131.23 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPNQKKEQNKEEGVGGTWKHAIKVSYEATQQAFPGGVILAHLDHKSFKSWQKNAITSFLAEQNIQVGKPSDFF >DRNTG_24131.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:167742:172074:1 gene:DRNTG_24131 transcript:DRNTG_24131.12 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVAKSYYMELICRSFLQPDPEYVDMSRCTIHDLLRALAEFFAGDESFSGDPQEVQSTELMKKLRRLTITSNRESVSIPHLDCLRSLRLWTPPSLNTQVVGNLKHLRLLFLNGDKIENIPDSIGGLVHLRFLDLEHTCIHNLPDSLGNLINLQFLLLNDCKSLHILPKSITKLYNLRWLRLEDTPLNYVPKGIGKLEHLHHVEGLIIGDSGDDGEEGCNLEELQMLEKLSCLSIRNLEKSSIKSSSVLLSSKTHLRELRLRCTPNYTDGHIQQQEMDKIVQVFDDLCPPPCLEKLGIQDFFGGRYPNWMSSSTSITTALQELIYLQLINCSNCPHLPQLGQLPQLKYLRIDGATAVVSIGPEFLGNYNGEPTEIAFPKLETLLFENMSNWEEWSLILSGEEEEDNEPESSKPLMFFPHLKSIFILKCPKLKALPSGLNRANIPRLFIRKAHSLSRVSHLPTLKELEVTDCPMLECVEKLESLQSLKVIDYEEDNASLPQWLISFLQQRQEKPHEDDLFMLHLECSVQALKGCLKGRPHWLFIQQVPRFIGYAKNQSMYLKYTKQPYYYETNIDDED >DRNTG_24131.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:172216:174342:1 gene:DRNTG_24131 transcript:DRNTG_24131.18 gene_biotype:protein_coding transcript_biotype:protein_coding MDINYSMDDPNQKKEQNKEEGVGGTWKHAIKVSYEATQQAFPGGVILAHLDHKSFKSWQKNAITSFLAEQNIQVGKPSDFF >DRNTG_24131.21 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:167742:169701:1 gene:DRNTG_24131 transcript:DRNTG_24131.21 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVAKSYYMELICRSFLQPDPEYVDMSRCTIHDLLRALAEFFAGDESFSGDPQEVQSTELMKKLRRLTITSNRESVSIPHLDCLRSLRLWTPPSLNTQVVGNLKHLRLLFLNGDKIENIPDSIGGLVHLRFLDLEHTCIHNLPDSLGNLINLQFLLLNDCKSLHILPKSITKLYNLRWLRLEDTPLNYVPKGIGKLEHLHHVEGLIIGDSGDDGEEGCNLEELQMLEKLSCLSIRNLEKSSIKSSSVLLSSKTHLRELRLRCTPNYTDGHIQQQEMDKIVQVFDDLCPPPCLEKLGIQDFFGGRYPNWMSSSTSITTALQELIYLQLINCSNCPHLPQLGQLPQLKYLRIDGATAVVSIGPEFLGNYNGEPTEIAFPKLETLLFENMSNWEEWSLILSGEEEEDNEPESSKPLMFFPHLKSIFILKCPKLKALPSGLNRANIPRLFIRKAHSLSRVSHLPTLKELEVTDCPMLECVEKLESLQSLKVIDYEEDNASLPQWLISFLQQRQEKPHEDDLFMLHLECSVQALKGCLKGRPHWLFIQQVPRFIGYAKNQSMYLKYTKQPYYYETNIDDED >DRNTG_24131.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:167742:172074:1 gene:DRNTG_24131 transcript:DRNTG_24131.15 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVAKSYYMELICRSFLQPDPEYVDMSRCTIHDLLRALAEFFAGDESFSGDPQEVQSTELMKKLRRLTITSNRESVSIPHLDCLRSLRLWTPPSLNTQVVGNLKHLRLLFLNGDKIENIPDSIGGLVHLRFLDLEHTCIHNLPDSLGNLINLQFLLLNDCKSLHILPKSITKLYNLRWLRLEDTPLNYVPKGIGKLEHLHHVEGLIIGDSGDDGEEGCNLEELQMLEKLSCLSIRNLEKSSIKSSSVLLSSKTHLRELRLRCTPNYTDGHIQQQEMDKIVQVFDDLCPPPCLEKLGIQDFFGGRYPNWMSSSTSITTALQELIYLQLINCSNCPHLPQLGQLPQLKYLRIDGATAVVSIGPEFLGNYNGEPTEIAFPKLETLLFENMSNWEEWSLILSGEEEEDNEPESSKPLMFFPHLKSIFILKCPKLKALPSGLNRANIPRLFIRKAHSLSRVSHLPTLKELEVTDCPMLECVEKLESLQSLKVIDYEEDNASLPQWLISFLQQRQEKPHEDDLFMLHLECSVQALKGCLKGRPHWLFIQQVPRFIGYAKNQSMYLKYTKQPYYYETNIDGMFIHFISHDISVSA >DRNTG_24131.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:167742:172161:1 gene:DRNTG_24131 transcript:DRNTG_24131.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVAKSYYMELICRSFLQPDPEYVDMSRCTIHDLLRALAEFFAGDESFSGDPQEVQSTELMKKLRRLTITSNRESVSIPHLDCLRSLRLWTPPSLNTQVVGNLKHLRLLFLNGDKIENIPDSIGGLVHLRFLDLEHTCIHNLPDSLGNLINLQFLLLNDCKSLHILPKSITKLYNLRWLRLEDTPLNYVPKGIGKLEHLHHVEGLIIGDSGDDGEEGCNLEELQMLEKLSCLSIRNLEKSSIKSSSVLLSSKTHLRELRLRCTPNYTDGHIQQQEMDKIVQVFDDLCPPPCLEKLGIQDFFGGRYPNWMSSSTSITTALQELIYLQLINCSNCPHLPQLGQLPQLKYLRIDGATAVVSIGPEFLGNYNGEPTEIAFPKLETLLFENMSNWEEWSLILSGEEEEDNEPESSKPLMFFPHLKSIFILKCPKLKALPSGLNRANIPRLFIRKAHSLSRVSHLPTLKELEVTDCPMLECVEKLESLQSLKVIDYEEDNASLPQWLISFLQQRQEKPHEDDLFMLHLECSVQALKGCLKGRPHWLFIQQVPRFIGYAKNQSMYLKYTKQPYYYETNIDGMFIHFISHDISVSA >DRNTG_24131.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:167742:174073:1 gene:DRNTG_24131 transcript:DRNTG_24131.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGFNFDEALGGSAVFFSLSNVMMQPLLSLFDNFLFCMICISFGDSCIGGLKLEFQLEHQRNETWTSMTWNNDNKKVLLGLGGGHYVPSHMDINYSEGRCMSRALTIWVFIADG >DRNTG_24131.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:167742:174342:1 gene:DRNTG_24131 transcript:DRNTG_24131.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPNQKKEQNKEEGVGGTWKHAIKVSYEATQQAFPGGVILAHLDHKSFKSWQKNAITSFLAEQNIQVGKPSDFF >DRNTG_24131.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:167742:172161:1 gene:DRNTG_24131 transcript:DRNTG_24131.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVAKSYYMELICRSFLQPDPEYVDMSRCTIHDLLRALAEFFAGDESFSGDPQEVQSTELMKKLRRLTITSNRESVSIPHLDCLRSLRLWTPPSLNTQVVGNLKHLRLLFLNGDKIENIPDSIGGLVHLRFLDLEHTCIHNLPDSLGNLINLQFLLLNDCKSLHILPKSITKLYNLRWLRLEDTPLNYVPKGIGKLEHLHHVEGLIIGDSGDDGEEGCNLEELQMLEKLSCLSIRNLEKSSIKSSSVLLSSKTHLRELRLRCTPNYTDGHIQQQEMDKIVQVFDDLCPPPCLEKLGIQDFFGGRYPNWMSSSTSITTALQELIYLQLINCSNCPHLPQLGQLPQLKYLRIDGATAVVSIGPEFLGNYNGEPTEIAFPKLETLLFENMSNWEEWSLILSGEEEEDNEPESSKPLMFFPHLKSIFILKCPKLKALPSGLNRANIPRLFIRKAHSLSRVSHLPTLKELEVTDCPMLECVEKLESLQSLKVIDYEEDNASLPQWLISFLQQRQEKPHEDDLFMLHLECSVQALKGCLKGRPHWLFIQQVPRFIGYAKNQSMYLKYTKQPYYYETNIDDED >DRNTG_24131.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:167742:174073:1 gene:DRNTG_24131 transcript:DRNTG_24131.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTWNNDNKKVLLGLGGGHYVPSHMDINYSMDDPNQKKEQNKEEGVGGTWKHAIKVSYEATQQAFPGGVILAHLDHKSFKSWQKNAITSFLAEQNIQVGKPSDFF >DRNTG_24131.25 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:172298:174073:1 gene:DRNTG_24131 transcript:DRNTG_24131.25 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPNQKKEQNKEEGVGGTWKHAIKVSYEATQQAFPGGVILAHLDHKSFKSWQKNAITSFLAEQNIQVGKPSDFF >DRNTG_24131.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:167742:172074:1 gene:DRNTG_24131 transcript:DRNTG_24131.14 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVAKSYYMELICRSFLQPDPEYVDMSRCTIHDLLRALAEFFAGDESFSGDPQEVQSTELMKKLRRLTITSNRESVSIPHLDCLRSLRLWTPPSLNTQVVGNLKHLRLLFLNGDKIENIPDSIGGLVHLRFLDLEHTCIHNLPDSLGNLINLQFLLLNDCKSLHILPKSITKLYNLRWLRLEDTPLNYVPKGIGKLEHLHHVEGLIIGDSGDDGEEGCNLEELQMLEKLSCLSIRNLEKSSIKSSSVLLSSKTHLRELRLRCTPNYTDGHIQQQEMDKIVQVFDDLCPPPCLEKLGIQDFFGGRYPNWMSSSTSITTALQELIYLQLINCSNCPHLPQLGQLPQLKYLRIDGATAVVSIGPEFLGNYNGEPTEIAFPKLETLLFENMSNWEEWSLILSGEEEEDNEPESSKPLMFFPHLKSIFILKCPKLKALPSGLNRANIPRLFIRKAHSLSRVSHLPTLKELEVTDCPMLECVEKLESLQSLKVIDYEEDNASLPQWLISFLQQRQEKPHEDDLFMLHLECSVQALKGCLKGRPHWLFIQQVPRFIGYAKNQSMYLKYTKQPYYYETNIDGMFIHFISHDISVSA >DRNTG_24131.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:167742:174342:1 gene:DRNTG_24131 transcript:DRNTG_24131.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPNQKKEQNKEEGVGGTWKHAIKVSYEATQQAFPGGVILAHLDHKSFKSWQKNAITSFLAEQNIQVGKPSDFF >DRNTG_24131.24 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:172298:174073:1 gene:DRNTG_24131 transcript:DRNTG_24131.24 gene_biotype:protein_coding transcript_biotype:protein_coding MDINYSMDDPNQKKEQNKEEGVGGTWKHAIKVSYEATQQAFPGGVILAHLDHKSFKSWQKNAITSFLAEQNIQVGKPSDFF >DRNTG_24131.27 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:172800:174073:1 gene:DRNTG_24131 transcript:DRNTG_24131.27 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPNQKKEQNKEEGVGGTWKHAIKVSYEATQQAFPGGVILAHLDHKSFKSWQKNAITSFLAEQNIQVGKPSDFF >DRNTG_13858.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000644.1:299427:304120:1 gene:DRNTG_13858 transcript:DRNTG_13858.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPLLEASREATNTGIKESGIDVRLCDVGAAIQEVMESYEVEINGKVFQVKSIRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFASTGKGYVREDLECSHYMKNFDVGHIPLRLPRAKQLLGTINKHFSTLAFCRRYLDRIGETKYLMALKNLCDAGIVQPYPPLCDVKGSYVSQFEHTILLRPTCKEVISRGDDY >DRNTG_13858.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000644.1:295856:304120:1 gene:DRNTG_13858 transcript:DRNTG_13858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPLLEASREATNTGIKESGIDVRLCDVGAAIQEVMESYEVEINGKVFQVKSIRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFASTGKGYVREDLECSHYMKNFDVGHIPLRLPRAKQLLGTINKHFSTLAFCRRYLDRIGETKYLMALKNLCDAGIVQPYPPLCDVKGSYVSQFEHTILLRPTCKEVISRGDDY >DRNTG_13858.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000644.1:295856:304120:1 gene:DRNTG_13858 transcript:DRNTG_13858.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPLLEASREATNTGIKESGIDVRLCDVGAAIQEVMESYEVEINGKVFQVKSIRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFASTGKGYVREDLECSHYMKNFDVGHIPLRLPRAKQLLGTINKHFSTLAFCRRYLDRIGETKYLMALKNLCDAGIVQPYPPLCDVKGSYVSQFEHTILLRPTCKEVISRGDDY >DRNTG_05027.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5662704:5663273:1 gene:DRNTG_05027 transcript:DRNTG_05027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPFPCPSSSSQGRSRSPKKPLKSVQKSSPCSAFIQGLYSVHMASILGGMEVV >DRNTG_09752.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24778623:24784259:-1 gene:DRNTG_09752 transcript:DRNTG_09752.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQQCLAETLVGSLGPSGSSGNVANYICQMTMAMGKLGTLENFIRQVVWHEVAALTDFSLDRLATTTSGGWITMDWNIKLGSKSFKVLIAACAWLIWKVSLAAEIEAIRIVVLICTEKGWIPNSIFNDSLSATETLRNRESSINWRLQTTIEEIHFVLRGWAGFHLQFIPHELNFLTDLLAVKGSGSQGLSLFHLRLDLPNWLKGAALKCCAHLESKSNEEVARQGEDGGWKKFNVDQGGSE >DRNTG_30424.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001573.1:194046:197340:1 gene:DRNTG_30424 transcript:DRNTG_30424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPISTLPLSCISFLKKLKKLMAAQTGTRDDKIRIQKWYNIYKDHITLKDYEIHDGIGLELYYN >DRNTG_17914.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25274654:25276636:-1 gene:DRNTG_17914 transcript:DRNTG_17914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQGGKLKPLKQPKSDKKDYDENDLANLQKKKDEEKALKELRAKAQKGALGGSGLKKSGGKK >DRNTG_01117.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:14617848:14619569:-1 gene:DRNTG_01117 transcript:DRNTG_01117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKYQTNTLDRKKVVRLHEINVVTSLAARVESLSKKLDTLTSPRVAAAMSCTRFQLVEATLRNHTASLHNLENQVGQITKSLLERPQGSLPSNTETSPREHVKAITLRNGCEVKSRLPSEKTNLKSSKVVEIEERAKEKKEMMCLDLFEGFLDQEVENEEVLTLGLEDKVQLTPGIMKRMVQKMKRAKRCHKKSPKANGDMQARSKGDGPLSCNKLDNSPSTLK >DRNTG_32637.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:12769179:12770146:-1 gene:DRNTG_32637 transcript:DRNTG_32637.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLMERLVTLPFAAGCVSQSSISVVRNQPKKFNTVPVPPPPPPPPPPLPTNDGTGDSTFAPNISAGLQRLKKSIKSLPQLFIYREGDGEVEMEIGFPTNVQHVAHVGWGGSDGTGASMNSWDAGPSDLFSLPSLSLGHLELAMPSRGDAPVQCTSLRFS >DRNTG_06273.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:15138:18393:1 gene:DRNTG_06273 transcript:DRNTG_06273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKFLNKKGWHTGSLRNIENVWKAEQKHDAEQKKLEELRKQIQEERERSEFRLLQEQAGLVPSQERLDFLYESGLAVGKGSSDSGFKALEGPPAPAAAAPESSGAKAVAPGALFEDKPQSANDAWRKLHSDPLLLIRQREQEALARIKNNPIQMAMIRKSVETEKKRKSGKKEKRDKKSHKKHHRSESRGKKHSSEVHSASEESSEDEDGRERRDRPSERHRHSKSDREKHSKRVYSDSEDISDIEEERKKRDHPKSNPLQHRSLKHDKRSSRTSSDLGGRREEEDRRKDNDHLRYEKQSSKSQSELKHTIDHEEDIRRRYQSSRSRSEEEGRRKDYDHSSKTHPHSNYTIDEKEDRGKRHKSTYEHQNSKNDLVNEPRKTNIQEQRRGYGYNRHHSAPKLSEEERAARLREMQLDAELHEEQRFKRLKKAADVDAQEALQSGSSGGKNFLDTAQKSIFGTEKGGCSTIEESVRRRAFYSQGSSAASESNAFRR >DRNTG_29951.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3020813:3022784:-1 gene:DRNTG_29951 transcript:DRNTG_29951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQERKAKRELWYGLGLMAAQTVGFMRLTFWELSWDVMEPICFFFTSFSFMAGYAFFLRTSKDPSFEGFLAARFAVKQRRLMRARGFDIRRFNELRVAARAPELCECRKRRAFVGAGGSSPVNY >DRNTG_01365.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17666952:17678731:-1 gene:DRNTG_01365 transcript:DRNTG_01365.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKTIKIYGVPTNVTATEVKEFLERYVEEGSVVAVKLRLPEVDDRSSKAFAVVQFSASEHAEEISLLAQQRLLIQSSFTLITRSAKHDIVQKLKIPLFTLKIPMLHLGCPISSEEFSVLWSFDSVRVDFGVNLKKIYFFISSESQSYKLELSHKSIWEIKLLRCHKDKNFDKERKFLLIQVKSAPRIYEGPEQNSGSLYEDPRLNYFKDLPDHQWIRTTDFTPFCSIGQSSAFCLQLPNGCTLPNIGEHFVCYKEVDGPLHLPRGSSFSRSLDLVPIVEPSPGIELPYKILFKINHMIQKGTLMGPALDDEFYRLVSPCFKPIDHIERALEDMSYLKSSCLNPANWLYEKYQEFQTSKCDMKSSMISLNSGLVYVHRVQVTPSKVYFYGPEINVSNRVIRHFSEDSDNFMRISFVDEDCEKMRSTDLSPSSDNEKRTAIYKRILSTMRNGISIGDKKFEFLAYSSSQLRENSAWMFASRPGLAAADVREWLGDFRKIRNVAKYAARLGQSFSSSTETLTVYRDEVKVIHDVENSAGYVFSDGIGKISPEFARAVAKKCRIRTSTPSAFQIRYGGYKGVVAVDPTSSMMKLSLRKSMSKYESGNNKLDVLAYSKYQPCYLNRQLITLLSTLGVPDAVFERKQREIVEQLDKMLVDPTNAQEAIEIMAPGETTSILKEMLLCGYKPGKRSFLPMLLEAFRATRLLELRTKSRIFIPKGRSLMGCLDETRILDYGEVFVQVSHTGDKHIYDDGLSKFSGGQLDNRTVVEGRVIIAKNPCLHPGDIRVLQAVDVPNLHHMVDCVVFPQKGKRPHPNECSGSDLDGDIYFVSWDPSLIPPSQDEPMDYYPAKPVILDHDVTIEEVQDYFVNYMLNDSLGIISNAHTVFADKKPLKARSEECLQLARLFSIAVDFPKTGVPAVIPPKLYVKEYPDFMEKQDKVTYISKGVIGKLFREIKDRTPNPSQIEAFTREAVVQSYEASLFKDEYDIKLRNLMDHYDIKSKAQILSGSVMKASKSSNRFKDNEAIKIAVRHLRREARGWFNEKCNDGVHL >DRNTG_01365.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17666952:17678731:-1 gene:DRNTG_01365 transcript:DRNTG_01365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKTIKIYGVPTNVTATEVKEFLERYVEEGSVVAVKLRLPEVDDRSSKAFAVVQFSASEHAEEISLLAQQRLLIQSSFTLITRSAKHDIVQKLKIPLFTLKIPMLHLGCPISSEEFSVLWSFDSVRVDFGVNLKKIYFFISSESQSYKLELSHKSIWEIKLLRCHKDKNFDKERKFLLIQVKSAPRIYEGPEQNSGSLYEDPRLNYFKDLPDHQWIRTTDFTPFCSIGQSSAFCLQLPNGCTLPNIGEHFVCYKEVDGPLHLPRGSSFSRSLDLVPIVEPSPGIELPYKILFKINHMIQKGTLMGPALDDEFYRLVSPCFKPIDHIERALEDMSYLKSSCLNPANWLYEKYQEFQTSKCDMKSSMISLNSGLVYVHRVQVTPSKVYFYGPEINVSNRVIRHFSEDSDNFMRISFVDEDCEKMRSTDLSPSSDNEKRTAIYKRILSTMRNGISIGDKKFEFLAYSSSQLRENSAWMFASRPGLAAADVREWLGDFRKIRNVAKYAARLGQSFSSSTETLTVYRDEVKVIHDVENSAGYVFSDGIGKISPEFARAVAKKCRIRTSTPSAFQIRYGGYKGVVAVDPTSSMMKLSLRKSMSKYESGNNKLDVLAYSKYQPCYLNRQLITLLSTLGVPDAVFERKQREIVEQLDKMLVDPTNAQEAIEIMAPGETTSILKEMLLCGYKPGKRSFLPMLLEAFRATRLLELRTKSRIFIPKGRSLMGCLDETRILDYGEVFVQVSHTGDKHIYDDGLSKFSGGQLDNRTVVEGRVIIAKNPCLHPGDIRVLQAVDVPNLHHMVDCVVFPQKGKRPHPNECSGSDLDGDIYFVSWDPSLIPPSQDEPMDYYPAKPVILDHDVTIEEVQDYFVNYMLNDSLGIISNAHTVFADKKPLKARSEECLQLARLFSIAVDFPKTGVPAVIPPKLYVKEYPDFMEKQDKVTYISKGVIGKLFREIKDRTPNPSQIEAFTREAVVQSYEASLFKDEYDIKLRNLMDHYDIKSKAQILSGSVMKASKSSNRFKDNEAIKIAVRHLRREARGWFNEKCNDGVHL >DRNTG_02367.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15117991:15118139:1 gene:DRNTG_02367 transcript:DRNTG_02367.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILNTCAGLLVRDTGGKGKAEITEDVPVETTSEREKLKTRVEKKQVYGSC >DRNTG_06211.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000284.1:4657:4897:-1 gene:DRNTG_06211 transcript:DRNTG_06211.2 gene_biotype:protein_coding transcript_biotype:protein_coding TKQQNNDCPCPGTTVRRWTPSPSRNLNLRSFPRSGSPSTAWRLPSFMPVCSASLIFR >DRNTG_06211.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000284.1:4390:4897:-1 gene:DRNTG_06211 transcript:DRNTG_06211.1 gene_biotype:protein_coding transcript_biotype:protein_coding TKQQNNDCPCPGTTVRRWTPSPSRNLNLRSFPRSGSPSTAWRLPSFMPVCSASLIFRNVAWVEGICWIGASGSVLEISGDFPTGLIWSGATSLVLNPM >DRNTG_10374.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15611825:15612551:-1 gene:DRNTG_10374 transcript:DRNTG_10374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKNSRNGGRIYHVRGERGRSCTSRLTLSTLSNL >DRNTG_20835.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001164.1:53813:55096:1 gene:DRNTG_20835 transcript:DRNTG_20835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKEEGDNVDNNGRIESSPTYHHHLSSRTSPLVTLPHLLRIQLSRR >DRNTG_21762.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23141160:23146934:1 gene:DRNTG_21762 transcript:DRNTG_21762.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DEFECTIVE IN EXINE FORMATION 1 [Source:Projected from Arabidopsis thaliana (AT3G09090) UniProtKB/Swiss-Prot;Acc:F4IYM4] MEVFRAYIYSSPTVVDLDGDGNMDILVGTSFGLFYVLDHHGKVRNKFPLEMAEIQGPVVAADINDDGKIEIVTADTHGNVAAWTTEGDEIWEKHLKSLIPQGPTIGDVDGDGHTDIVVPTISGNIYVLRGRDGSLVHPFPYKTQGRVMNQVLLVDLSQRGQKMKGLTLVTTSFDGYLYLIDGSTACADVVDIGETSYSMVLADNVDGGDDLDLIVTTMNGNVFCFSTPSPHHPLKEWRSPNQGRNNAAFRFNREGIYVSHASRAFRDEEGKHFWVEIEIVDKYRFPSGFQGPYNVTTTLLVPGNYQGERRIAVNQVYDQPGKQRIKLPDCAC >DRNTG_15140.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1771037:1772641:-1 gene:DRNTG_15140 transcript:DRNTG_15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLX-like 1 [Source:Projected from Arabidopsis thaliana (AT3G14750) UniProtKB/Swiss-Prot;Acc:Q93V84] MAGRNRGPPYPIKGGAGHEPPPFPRGMGHPHHRPMLPSLIDEMRDGPPPPFPRGVLGGGGPRGLPPHPAVLEERLGAQHQEIQALLVDNQRLAATHVALKQELAAAQHELQRLSHGISTLHSEKDLQLREVYEKSMKMEAELRGVEAMRAELIQIRGDIQKFTAARQELMGQVQGLTQDLSRVSSDVQRAPALKAEIETMKQEVQRARAAIEYEKKGYAENYEQGQVMEKNLITMAREVEKLRAEVANAEKRARAAAAAGNQGSAAAPAAYGGNYGNPDPNYGGNPYPAGYGMNPVPGSVEGAPPYGAGSGHGSWGAYDPQRALGRR >DRNTG_07747.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2710778:2714767:1 gene:DRNTG_07747 transcript:DRNTG_07747.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSSSLFKTNGAKGRSLYKLYASFILVCILSILFYRLTHIPLEHYSLTFAWISLFASELWFSFYWILTQSVRWNPIYHQTFKERLLQRHGNELPMVDVFICTADPVAEPPSLVMSTVLSMMAYDYPKEKLSVYLSDDAGSELTFYALCEACKFAKHWIPFCNKFDVEPRSPNAFFFKAYHFSSSNLSISKEWSQMKDMYEAMINRIDFVVTQGKVPQELKQHQGFSKWTSNMTSRNHDTIIQILIDGNDEKSMDVEGNLLPRVIYIAREKRPQHHHNFKAGSMNVLLRVSSQISNGPIILNVDCDMYSNNSQSIKDALCFFFDEEKGHETGFVQYPQNYDNITKNDLYDNSLKVINKAEHPGLDSWEGTLYIGTGCFHRREALQGRKYSKGYIEDWKRGVDRKSVDSASVLEEKVKHLASCTYEFNTLWGKEIGLRYGCAVEDILTGLAMHYNGWKSKFLDPERKAFLGVGPTTLEQTLIQFKRWSEGNFQILLSKNSPLLFGYQKIKFGACMGYSIYGLWAVNSFPTLTYLLIPPLLLLNGISLFPKLQRVVECTKDVGHEKNNIIPLCLN >DRNTG_08870.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27737305:27741629:1 gene:DRNTG_08870 transcript:DRNTG_08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRIQNDGDSSSSSSSSTCWQKNETDDDRMIAVVLSEEYQKLDGAAPSNLSNLAPIPHVPRTNMFIPTLSDASLDHQRLLQRLNAYGLVEVKVTGDGNCQFRALSDQLYKSPEHHKHVRKEIVKQVHHFVFMFPPPFICTVARILQWYEVLN >DRNTG_08870.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27737305:27741327:1 gene:DRNTG_08870 transcript:DRNTG_08870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRIQNDGDSSSSSSSSTCWQKNETDDDRMIAVVLSEEYQKLDGAAPSNLSNLAPIPHVPRTNMFIPTLSDASLDHQRLLQRLNAYGLVEVKVTGDGNCQFRALSDQLYKSPEHHKHVRKEIVKQLKDSPSQYEGYVPMKYKHYYKKMAKSGEWGDHVTLQAAADKFGAKICLLTSFRDTCFIEIVPQLQAPQRELWLSFWSEVHYNSVYVYRDLPNRYKPRKKHWLF >DRNTG_09660.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27783161:27786721:-1 gene:DRNTG_09660 transcript:DRNTG_09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAPVLQERGVLDAVLQLYDENRQMFKIGQSMLLFRLEDIALILGLSCHGDTVSFKHETVQSEFEKNFLHKMQNRHRDAIKDSLLRIVRNKHGDEETFVKLLVVYFMMMILFPNTCLNAPPFVASFIKSASIEPLLNSVKGRKFSELLPANNEENMSRLQTRPPPPGKKPSVKPTKKRRQNQSPPTIPEEIGNRQLSVKRPEEVPLSDDEMRWLLQQVLSEFHDLRARIDEREREDAKQKISESWLPHQDSVSPVKATDISRPVAGPSPSPGIRFKKAT >DRNTG_30435.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3170503:3176534:1 gene:DRNTG_30435 transcript:DRNTG_30435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGSGSKPEPGQLDRKRINDALDKHLERSSPSTSRGLNGKEKERLSVPSSSAGKHHDHRDQRPASLSKNKCSDESETDSEGSDISGSDGEDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHVRYILTSKGMAAMLDKFKNYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFMMTYGHLKPQKASQRYVPRVFGFKIHKP >DRNTG_30435.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3170552:3176534:1 gene:DRNTG_30435 transcript:DRNTG_30435.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGSGSKPEPGQLDRKRINDALDKHLERSSPSTSRGLNGKEKERLSVPSSSAGKHHDHRDQRPASLSKNKCSDEESETDSEGSDISGSDGEDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHVRYILTSKGMAAMLDKFKNYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFMMTYGHLKPQKASQRYVPRVFGFKIHKP >DRNTG_33243.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:21455164:21464311:-1 gene:DRNTG_33243 transcript:DRNTG_33243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCECLRPSCLSRLSYVISSRHHKVLVSDRGDSTGVINKQELLYLYSMVRNELVHLGHILAEYLKHQGQYPRLGVIFSDPYITRLIVGMGLRDKISGTEKVIIPTPLGLETMRLMGLIRKYSNGVYVLNIPFEDEAGASQSAPEPQPVPMETETPP >DRNTG_00864.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21962937:21963686:-1 gene:DRNTG_00864 transcript:DRNTG_00864.2 gene_biotype:protein_coding transcript_biotype:protein_coding SINPVSQLFENSGQRYDQKVPNRPNPPPPDVLPPLPSPPPLPLSQPPSIPPPPRFSPHNPQSALESSNTRASEQHPHYQWQGSLSKSGVHYCTIYAVREDSNICKYTVAASEPVDWPARLDVTKRAVFHHVKTIFANTPSHKVSF >DRNTG_00864.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21962190:21963686:-1 gene:DRNTG_00864 transcript:DRNTG_00864.1 gene_biotype:protein_coding transcript_biotype:protein_coding SINPVSQLFENSGQRYDQKVPNRPNPPPPDVLPPLPSPPPLPLSQPPSIPPPPRFSPHNPQSALESSNTRASEQHPHYQWQGSLSKSGVHYCTIYAVREDSNICKYTVAASEPVDWPARLDVTKRAVFHHVKTIFANTPSHKVVFYKSLA >DRNTG_04572.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2990933:2992594:1 gene:DRNTG_04572 transcript:DRNTG_04572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSNPNSRPLRVFFIPYFATGHMIPMVDIARLFATRGVDSTILVTPANATLISPTIDAASAAGLPIRTLLYPFPSSAVNLPPGAENLASVPLSDAPKIDAASLLTVNDHDRLLRLHRPDAVISDTHFFWTTSIAKDLHIPRISFHAIGLFPVCVMSSLFRHLPHLTVSDDLHPFLIPDLPHPIHMIRSELPDFLRSNNTAIGEAMSALSDAESGSLGVVVNSFAELESAYADYYYKHDKMTTWFVGPVAEVTGKVSRGAAENSKAISNRECCMEWLEKQRERSVVYACFGSWCYFSSEQMKEMALGLEMAGHPFLWVVREGDGEEEWMPDGFEERVKEKGLVVRGWAPQVEVLRHRAVGGFVTHCGWNSVLEGVSSGLPMVTWPLSTEQFMNEKLVVKSGDLAVAVEKVMGAGKEAEKRREKAREVGEMAKKAVDVGGSSYEGLSRLIQDIRDFDGGINGRDRV >DRNTG_09630.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21963495:21970479:-1 gene:DRNTG_09630 transcript:DRNTG_09630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWSKSESTPKSRGKSVEDTEDEEGGDEAEDSENGVHHEDEAHKQSASEGKEDASASEQEEEEEEEEKEEEEPEEEEEEEEEDEDDSGKGKKAKKRISKRGGSSRSVKSKLSTSPKKTPRSATGKTPGKTSASKRSKSVDKSSGDKVFSRKKKDDDTPKKSTPRSSTKERSTGKRVAKAKAKTEEGKESGPSKKELREQICEILKEVDFSTATFTDILKQLADHYKTDLTSRKKSIKIMIQEELTKLADEAEDNEDDDDDEGEDDAEEEKPKPKGKDVVT >DRNTG_09630.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21963495:21970479:-1 gene:DRNTG_09630 transcript:DRNTG_09630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSKSESTPKSRGKSVEDTEDEEGGDEAEDSENGVHHEDEAHKQSASEGKEDASASEQEEEEEEEEKEEEEPEEEEEEEEEDEDDSGKGKKAKKRISKRGGSSRSVKSKLSTSPKKTPRSATGKTPGKTSASKRSKSVDKSSGDKVFSRKKKDDDTPKKSTPRSSTKERSTGKRVAKAKAKTEEGKESGPSKKELREQICEILKEVDFSTATFTDILKQLADHYKTDLTSRKKSIKIMIQEELTKLADEAEDNEDDDDDEGEDDAEEEKPKPKGKDVVT >DRNTG_09630.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21963495:21970479:-1 gene:DRNTG_09630 transcript:DRNTG_09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPDPASKVEVTTNVNGSVPPEDKPEHVISEKKDAEDNSVKAADEKKRAEISKAEVKGTNNADGESHSTTVVKDVKISETEGMKTTKAEDSKAVETEAVKTKDDDAKTTESEAVKTEEDGKATEAENSKISETEDSKEAENEDVKTTEGQDVKEVEDINMAEAEDVKDADDVKMVEAEDVKGEGAKGGSDAKDGDGVDKEGNLDDMKDGEGDKAEDGDDGKNKVGTEMNKEAGVEMKEVDTLEGKDSEHASDKPDENKKTKGTKRKRSVEGKGRKASESKEKKGSETQGEGGFSTPFASSTDRPVRERKTVERLVEVIEKEPSREFSIGKGRGTALKDIPNVAYKLSKKKPADLKFLHQALFGRRGKAVNFRSHLLQFSGFVWHENEEKQRAKIREKLDKCVKDSLLDLCDLFDISVAKATTRKEDLVVKLLDFMAAPHVTTDVVLAEKEQLSSRSRKRKSVAKGSLSKSAEVTPTKRSRKMWSKSESTPKSRGKSVEDTEDEEGGDEAEDSENGVHHEDEAHKQSASEGKEDASASEQEEEEEEEEKEEEEPEEEEEEEEEDEDDSGKGKKAKKRISKRGGSSRSVKSKLSTSPKKTPRSATGKTPGKTSASKRSKSVDKSSGDKVFSRKKKDDDTPKKSTPRSSTKERSTGKRVAKAKAKTEEGKESGPSKKELREQICEILKEVDFSTATFTDILKQLADHYKTDLTSRKKSIKIMIQEELTKLADEAEDNEDDDDDEGEDDAEEEKPKPKGKDVVT >DRNTG_08009.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30058250:30059806:1 gene:DRNTG_08009 transcript:DRNTG_08009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFGMPTSSGSGVGTGERVEFEGKITWYVVLCAIIAATGGLMFGYDIGISGGVTSMDDFLNKFFHGVYVRKHEAKESNYCKYNNQGLQLFTSSLYLAAIVASFFASKACSKYGRKLTMQAASVFFLIGVVLNTAAVSLPMLIIGRILLGFGVGFANQAVPLFLSEMAPVRIRGALNILFQLQVTIGIFIANIVNYFTSDLHPWGWRLSLGLAGVPALILFLGSLLITETPTSLIERNEEEKGLQVLRKIRGTDNVTAEFQQLVNASEVARQVKHPFRNLKKRNNRPQLIIAILMQVFQQFTGINAIMFYAPVLFQTMGFKNNASLLSSVITGLVNVCSTIVSVVFVDKIGRKKLLIEACGQMLIAQTIIGGILTANLHDSNTLVKNMAVAVVVMVCLFVSGFAWSWGPLGWLIPSETFPLETRTAGYAFAVSSNMLFTFLIAQAFLSMLCQMKSGIFFFFAAWIVVMTLFVVFLLPETKGIPIDEMSERVWKQHWFWKRFMAEDECELSKMEKGIAA >DRNTG_07704.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23090834:23093220:-1 gene:DRNTG_07704 transcript:DRNTG_07704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRTLISRLPSLLSSSRSLSLSPGAPLLRLRPLVVLADCIPTATYSGCAAARALCTTATESSLNDSQPNWSNRPPKGTILLEGCDFEHWLVVMEPPDPSLTRDEIIDYYVKTLAEVVGSEEEARMKLYSVSTKHYFAFGCLVSEEVSYKIRAVDKVRWVVPDSYLDVNNKDYGGEPVINGVPVPYDPKYHEEWVRNNARAMERSRLNDRSHDRSRNFERRGEDIQNFQNRDASPMTPNMPPREPSNVAGMHGPGSQNPMRSSPGNYRLPN >DRNTG_07704.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23090834:23092267:-1 gene:DRNTG_07704 transcript:DRNTG_07704.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIKIMEVNLSSMEYLYLMTLNTMRNGLGTMHEQWRDLDSMIDPMTGQGILKGEERTFRTFKTEMHLQ >DRNTG_06447.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29929030:29933612:-1 gene:DRNTG_06447 transcript:DRNTG_06447.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDLVVHTAGPFQQAEKCNVLEAAISTKTAYLDVCDDTKYAWRAKSFHKEAVSAGIPAITSGGIYPGVSNVMAAELVRAARSEEAGEPERLRFSYYTAGSGGVGPTILATSFLLLGEDVVAYNKGPFLKTSDHLPFSSRV >DRNTG_06447.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29929030:29933612:-1 gene:DRNTG_06447 transcript:DRNTG_06447.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDLVVHTAGPFQQAEKCNVLEAAISTKTAYLDVCDDTKYAWRAKSFHKEAVSAGIPAITSGGIYPGVSNVMAAELVRAARSEEAGEPERLRLVFHCSYLCIRTQMTE >DRNTG_06447.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29929030:29933612:-1 gene:DRNTG_06447 transcript:DRNTG_06447.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDLVVHTAGPFQQAEKCNVLEAAISTKTAYLDVCDDTKYAWRAKSFHKEAVSAGIPAITSGGIYPGVSNVMAAELVRAARSEEAGEPERLRLVFHCSYLCIRTQMTE >DRNTG_06447.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29929030:29933612:-1 gene:DRNTG_06447 transcript:DRNTG_06447.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDLVVHTAGPFQQAEKCNVLEAAISTKTAYLDVCDDTKYAWRAKSFHKEAVSAGIPAITSGGIYPGVSNVMAAELVRAARSEEAGEPERLRFSYYTAGSGGVGPTILATSFLLLGEDVVAYNKGPFLKTSDHLPFSSRV >DRNTG_06447.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29929030:29933612:-1 gene:DRNTG_06447 transcript:DRNTG_06447.7 gene_biotype:protein_coding transcript_biotype:protein_coding MYCYCLHVFGFCRERGDALASKLGDNFQFVGVDIDNVKALEAAMEGVDLVVHTAGPFQQAEKCNVLEAAISTKTAYLDVCDDTKYAWRAKSFHKEAVSAGIPAITSGGIYPGVSNVMAAELVRAARSEEAGEPERLRLVFHCSYLCIRTQMTE >DRNTG_06447.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29929030:29933612:-1 gene:DRNTG_06447 transcript:DRNTG_06447.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDLVVHTAGPFQQAEKCNVLEAAISTKTAYLDVCDDTKYAWRAKSFHKEAVSAGIPAITSGGIYPGVSNVMAAELVRAARSEEAGEPERLRFSYYTAGSGGVGPTILATSFLLLGEDVVAYNKGEKIKLKPYSGMLNIDFGKGIRKRDVYLLNLPEVTTAHKILGVPTVSARFGTSPFFWNWGMEAIVKFVPVDILRDRSKVQKLVQLFDPLVRAVDGIAGERVSLRVDLECSNRRNTVALFSHRKLSISVGYCTAAFALAILEGSTQPGVWFPEEPEGIAVEQRNLLLNRASEGTINFILNKPPWMVETDPKEVGLGIYI >DRNTG_06447.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29929030:29933612:-1 gene:DRNTG_06447 transcript:DRNTG_06447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDLVVHTAGPFQQAEKCNVLEAAISTKTAYLDVCDDTKYAWRAKSFHKEAVSAGIPAITSGGIYPGVSNVMAAELVRAARSEEAGEPERLRNLPEVTTAHKILGVPTVSARFGTSPFFWNWGMEAIVKFVPVDILRDRSKVQKLVQLFDPLVRAVDGIAGERVSLRVDLECSNRRNTVALFSHRKLSISVGYCTAAFALAILEGSTQPGVWFPEEPEGIAVEQRNLLLNRASEGTINFILNKPPWMVETDPKEVGLGIYI >DRNTG_07868.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18976847:18980005:-1 gene:DRNTG_07868 transcript:DRNTG_07868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMENTRAGIAGTIDLRPGDAAAAATVDLTGNVHLLPCSIKRDGPCPVSDYFKPKPSGLVVDGLNVEEAFFRGRKMQGSHR >DRNTG_17697.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28386202:28395603:-1 gene:DRNTG_17697 transcript:DRNTG_17697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSFQCSPHPALRRRCPSSPSPRSPLHNPNPSSFLSSPSLVPGSLAVGGFSHPRARG >DRNTG_18282.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1821722:1824562:-1 gene:DRNTG_18282 transcript:DRNTG_18282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPVNDVCSVCHDDFNLPCQANCSHWFCGHCILRVWHHASALQPCKCPICRRVITLLIPSETAQHQRHDPEASRVLMQIENYNRIFGGGSRSFVQRLRDVPFFLRRLMRELMDPHRSLPLVFKARMIFAIVLSTIYVLSPVDIVPEAVFGFIGLLDDFLILLIVFLHVAAIYRSVLLYRHGGS >DRNTG_35057.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18793315:18797182:1 gene:DRNTG_35057 transcript:DRNTG_35057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLALKVPNASPPLMMKLPLEVQALDLPKLQAKSPKNMMSSFLSFLPSGGCQEPSKSPPKSPLFFPQKCLLYPSSSTPRSLNPEWNPKLNEDLIDIHTGSMSTSLSQLYTGQH >DRNTG_22252.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001234.1:43443:49910:1 gene:DRNTG_22252 transcript:DRNTG_22252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEACKRTVLKNKFASFLRCDRKCRRRCSLRIQQLDGLGFINSGQPPRRRDAEDEETKKIVGSRRVTAKGEEARRNWKAPISLFYLIDGDSDSDSDDITGSSSLIAMDRVADKLLVLLSSTYCSSLYQHIDIIREVFNLDLAAKYFDNPVAMDIRELISLDDVMEELGLGRNGGLIYCMDISMRLEENLDDWLAEELDNYLDDDYLVFYCPDVRAHVPRMTLDELFEQKNDVAQAVLEELKKILMVDIIPDPSVRRAMNEINAEWDDWDDNAMNDDFSLQLKNKLDSNSEKK >DRNTG_28757.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24192406:24193113:-1 gene:DRNTG_28757 transcript:DRNTG_28757.7 gene_biotype:protein_coding transcript_biotype:protein_coding MCHELLCCFRSNGFDHSRSDVYEEKPSWNAKMSPTIKGTSNSPRLPLTLANDDLKKPLPPPPGEKQEENYKPHGEDHKNMKTVSSVEENGKSSEEKFRSVEPSGHEEASNNSATKVHREETQEKVPGGQVASDKKMNFASNEEVSRKP >DRNTG_28757.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24192367:24193396:-1 gene:DRNTG_28757 transcript:DRNTG_28757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHELLCCFRSNGFDHSRSDVYEEKPSWNAKMSPTIKGTSNSPRLPLTLANDDLKKPLPPPPGEKQEENYKPHGEDHKNMKTVSSVEENGKSSEEKFRSVEPSGHEEASNNSATKVHREETQEKVPGGQVASDKKMNFASNEEVSRKP >DRNTG_28757.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24192367:24193300:-1 gene:DRNTG_28757 transcript:DRNTG_28757.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCHELLCCFRSNGFDHSRSDVYEEKPSWNAKMSPTIKGTSNSPRLPLTLANDDLKKPLPPPPGEKQEENYKPHGEDHKNMKTVSSVEENGKSSEEKFRSVEPSGHEEASNNSATKVHREETQEKVPGGQVASDKKMNFASNEEVSRKP >DRNTG_28757.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24192367:24193113:-1 gene:DRNTG_28757 transcript:DRNTG_28757.6 gene_biotype:protein_coding transcript_biotype:protein_coding MCHELLCCFRSNGFDHSRSDVYEEKPSWNAKMSPTIKGTSNSPRLPLTLANDDLKKPLPPPPGEKQEENYKPHGEDHKNMKTVSSVEENGKSSEEKFRSVEPSGHEEASNNSATKVHREETQEKVPGGQVASDKKMNFASNEEVSRKP >DRNTG_28757.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24192321:24193113:-1 gene:DRNTG_28757 transcript:DRNTG_28757.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCHELLCCFRSNGFDHSRSDVYEEKPSWNAKMSPTIKGTSNSPRLPLTLANDDLKKPLPPPPGEKQEENYKPHGEDHKNMKTVSSVEENGKSSEEKFRSVEPSGHEEASNNSATKVHREETQEKVPGGQVASDKKMNFASNEEVSRKP >DRNTG_28757.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24192406:24193300:-1 gene:DRNTG_28757 transcript:DRNTG_28757.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCHELLCCFRSNGFDHSRSDVYEEKPSWNAKMSPTIKGTSNSPRLPLTLANDDLKKPLPPPPGEKQEENYKPHGEDHKNMKTVSSVEENGKSSEEKFRSVEPSGHEEASNNSATKVHREETQEKVPGGQVASDKKMNFASNEEVSRKP >DRNTG_28757.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24192406:24193396:-1 gene:DRNTG_28757 transcript:DRNTG_28757.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCHELLCCFRSNGFDHSRSDVYEEKPSWNAKMSPTIKGTSNSPRLPLTLANDDLKKPLPPPPGEKQEENYKPHGEDHKNMKTVSSVEENGKSSEEKFRSVEPSGHEEASNNSATKVHREETQEKVPGGQVASDKKMNFASNEEVSRKP >DRNTG_26669.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1046513:1047106:-1 gene:DRNTG_26669 transcript:DRNTG_26669.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKFMVKMSRRITSRPTQRSKENKPLIKVSERSGKVIRGY >DRNTG_10190.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2176502:2179670:-1 gene:DRNTG_10190 transcript:DRNTG_10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFSIRRAIGSRPWNTPPAGLAISRFSTATEASPIPTSSPRRVKNLIGGEFVESQSEASIDVINPATQEVVSRVPLTTSDEFKAAVSAAKKAFPQWRNTPVTSRQRIMLKFQELIRRDMDKLAMNITAEQGKTLKDAQGDVFRGLEVVEHACGMASLQMGEYVANVSHGIDTYSIREPLGVCAGICPFNFPAMIPLWMFPIGVTCGNTYILKPSEKDPGAAMMLAELAMEAGLPDGVLNIVHGTYETVNSICDDDNIKAISFVGSNTAGMHIYARAAAKGKRVQSNMGAKNHAIIMPDASADATLNALVAAGFGAAGQRCMALSTAVFVGGSKSWEEEIIKRATALKVNAGVEPGTDLGPVISRQAKERICSLIQGGIDSGARVVLDGRKSCGNYLLTDTFI >DRNTG_34454.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18291145:18296405:-1 gene:DRNTG_34454 transcript:DRNTG_34454.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRLVCCSQTDTSLALEVKSMKKWEFYSPDQALSCLSVWFSGRKSEIQSVKDNLRKLCDPKTDHWHDDSSSEEILHECSDVQESPHETDDNMNSNGSEKRSEECVEMDGEPEIGPTHYSDKLLLFRFNDSLLPFKLRQIIISELRLLTLLESGLPSWVIFFQSYPLFCHFYRPWMRHLARTLYILISLVTVIIGFYDLYKNVPLLKVTASRICGPLFSWIEAWDMASRIQYLGTMLFLQNLERGFKWFMMIIGTGRTVLAAIARPFSAPLSEVAGFISPLWDACSETAELFLSTAWFAIESMYNIFLDLIDVFVSPFELLYSYICTIAIPVYPLACSLWELLLFPIRCIITLASYLISLLFDADDFVRYVWGFARNILQLAYVSKAKQGANEISVWRGLWNDLFSQVFRASGSIIKGLLAFFSTCNRHRLSTSNQIRAFFLRLARFIHLAPRTCQCKQRRRIQHLHEEEEHTQCHHCE >DRNTG_34454.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18291145:18296405:-1 gene:DRNTG_34454 transcript:DRNTG_34454.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRRLVCCSQTDTSLALEVKSMKKWEFYSPDQALSCLSVWFSGRKSEIQSVKDNLRKLCDPKTDHWHDDSSSEEILHECSDVQESPHETDDNMNSNGSEKRSEECVEMDGEPEIGPTHYSDKLLLFRFNDSLLPFKLRQIIISELRLLTLLESGLPSWVIFFQSYPLFCHFYRPWMRHLARTLYILISLVTVIIGFYDLYKNVPLLKVTASRICGPLFSWIEAWDMASRIQYLGTMLFLQNLERGFKWFMMIIGTGRTVLAAIARPFSAPLSEVAGFISPLWDACSETAELFLSTAWFAIESMYNIFLDLIDVFVSPFELLYSYICTIAIPVYPLACSLWELLLFPIRCIITLASYLISLLFDADDFVRYVWGFARNILQLAYVSKAKQGANEISVWRGLWNDLFSQVFRASGSIIKGLLAFFSTCNRHRLSTSNQIRAFFLRLARFIHLAPRTCQCKQRRRIQHLHEEEEHTQCHHCE >DRNTG_34454.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18291145:18296405:-1 gene:DRNTG_34454 transcript:DRNTG_34454.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFANTRTRDRATNAGNETERNGCCSSNQDNARRLCRWLPVIETLKRREKYLFSAMDLSKALHGFLVFEVSWKDVHGINYLNELQTDTSLALEVKSMKKWEFYSPDQALSCLSVWFSGRKSEIQSVKDNLRKLCDPKTDHWHDDSSSEEILHECSDVQESPHETDDNMNSNGSEKRSEECVEMDGEPEIGPTHYSDKLLLFRFNDSLLPFKLRQIIISELRLLTLLESGLPSWVIFFQSYPLFCHFYRPWMRHLARTLYILISLVTVIIGFYDLYKNVPLLKVTASRICGPLFSWIEAWDMASRIQYLGTMLFLQNLERGFKWFMMIIGTGRTVLAAIARPFSAPLSEVAGFISPLWDACSETAELFLSTAWFAIESMYNIFLDLIDVFVSPFELLYSYICTIAIPVYPLACSLWELLLFPIRCIITLASYLISLLFDADDFVRYVWGFARNILQLAYVSKAKQGANEISVWRGLWNDLFSQVFRASGSIIKGLLAFFSTCNRHRLSTSNQIRAFFLRLARFIHLAPRTCQCKQRRRIQHLHEEEEHTQCHHCE >DRNTG_34454.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18291145:18296405:-1 gene:DRNTG_34454 transcript:DRNTG_34454.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWEFYSPDQALSCLSVWFSGRKSEIQSVKDNLRKLCDPKTDHWHDDSSSEEILHECSDVQESPHETDDNMNSNGSEKRSEECVEMDGEPEIGPTHYSDKLLLFRFNDSLLPFKLRQIIISELRLLTLLESGLPSWVIFFQSYPLFCHFYRPWMRHLARTLYILISLVTVIIGFYDLYKNVPLLKVTASRICGPLFSWIEAWDMASRIQYLGTMLFLQNLERGFKWFMMIIGTGRTVLAAIARPFSAPLSEVAGFISPLWDACSETAELFLSTAWFAIESMYNIFLDLIDVFVSPFELLYSYICTIAIPVYPLACSLWELLLFPIRCIITLASYLISLLFDADDFVRYVWGFARNILQLAYVSKAKQGANEISVWRGLWNDLFSQVFRASGSIIKGLLAFFSTCNRHRLSTSNQIRAFFLRLARFIHLAPRTCQCKQRRRIQHLHEEEEHTQCHHCE >DRNTG_34454.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18291145:18296405:-1 gene:DRNTG_34454 transcript:DRNTG_34454.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWEFYSPDQALSCLSVWFSGRKSEIQSVKDNLRKLCDPKTDHWHDDSSSEEILHECSDVQESPHETDDNMNSNGSEKRSEECVEMDGEPEIGPTHYSDKLLLFRFNDSLLPFKLRQIIISELRLLTLLESGLPSWVIFFQSYPLFCHFYRPWMRHLARTLYILISLVTVIIGFYDLYKNVPLLKVTASRICGPLFSWIEAWDMASRIQYLGTMLFLQNLERGFKWFMMIIGTGRTVLAAIARPFSAPLSEVAGFISPLWDACSETAELFLSTAWFAIESMYNIFLDLIDVFVSPFELLYSYICTIAIPVYPLACSLWELLLFPIRCIITLASYLISLLFDADDFVRYVWGFARNILQLAYVSKAKQGANEISVWRGLWNDLFSQVFRASGSIIKGLLAFFSTCNRHRLSTSNQIRAFFLRLARFIHLAPRTCQCKQRRRIQHLHEEEEHTQCHHCE >DRNTG_34454.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18291145:18296405:-1 gene:DRNTG_34454 transcript:DRNTG_34454.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRLVCCSQTDTSLALEVKSMKKWEFYSPDQALSCLSVWFSGRKSEIQSVKDNLRKLCDPKTDHWHDDSSSEEILHECSDVQESPHETDDNMNSNGSEKRSEECVEMDGEPEIGPTHYSDKLLLFRFNDSLLPFKLRQIIISELRLLTLLESGLPSWVIFFQSYPLFCHFYRPWMRHLARTLYILISLVTVIIGFYDLYKNVPLLKVTASRICGPLFSWIEAWDMASRIQYLGTMLFLQNLERGFKWFMMIIGTGRTVLAAIARPFSAPLSEVAGFISPLWDACSETAELFLSTAWFAIESMYNIFLDLIDVFVSPFELLYSYICTIAIPVYPLACSLWELLLFPIRCIITLASYLISLLFDADDFVRYVWGFARNILQLAYVSKAKQGANEISVWRGLWNDLFSQVFRASGSIIKGLLAFFSTCNRHRLSTSNQIRAFFLRLARFIHLAPRTCQCKQRRRIQHLHEEEEHTQCHHCE >DRNTG_34454.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18291145:18296405:-1 gene:DRNTG_34454 transcript:DRNTG_34454.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLPEIYPLTGLQIGDIQSYVSRAFLYFTLGSNKILILVDNRPWLLNQQSRSARLWQLMVTKYRMSPFANTRTRDRATNAGNETERNGCCSSNQDNARRLCRWLPVIETLKRREKYLFSAMDLSKALHGFLVFEVSWKDVHGINYLNELQTDTSLALEVKSMKKWEFYSPDQALSCLSVWFSGRKSEIQSVKDNLRKLCDPKTDHWHDDSSSEEILHECSDVQESPHETDDNMNSNGSEKRSEECVEMDGEPEIGPTHYSDKLLLFRFNDSLLPFKLRQIIISELRLLTLLESGLPSWVIFFQSYPLFCHFYRPWMRHLARTLYILISLVTVIIGFYDLYKNVPLLKVTASRICGPLFSWIEAWDMASRIQYLGTMLFLQNLERGFKWFMMIIGTGRTVLAAIARPFSAPLSEVAGFISPLWDACSETAELFLSTAWFAIESMYNIFLDLIDVFVSPFELLYSYICTIAIPVYPLACSLWELLLFPIRCIITLASYLISLLFDADDFVRYVWGFARNILQLAYVSKAKQGANEISVWRGLWNDLFSQVFRASGSIIKGLLAFFSTCNRHRLSTSNQIRAFFLRLARFIHLAPRTCQCKQRRRIQHLHEEEEHTQCHHCE >DRNTG_34454.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18291145:18294276:-1 gene:DRNTG_34454 transcript:DRNTG_34454.10 gene_biotype:protein_coding transcript_biotype:protein_coding MIFCSDIQSYVSRAFLYFTLGSNKILILVDNRPWLLNQQSRSARLWQLMVTKYRMSPFANTRTRDRATNAGNETERNGCCSSNQDNARRLCRWLPVIETLKRREKYLFSAMDLSKALHGFLVFEVSWKDVHGINYLNELQTDTSLALEVKSMKKWEFYSPDQALSCLSVWFSGRKSEIQSVKDNLRKLCDPKTDHWHDDSSSEEILHECSDVQESPHETDDNMNSNGSEKRSEECVEMDGEPEIGPTHYSDKLLLFRFNDSLLPFKLRQIIISELRLLTLLESGLPSWVIFFQSYPLFCHFYRPWMRHLARTLYILISLVTVIIGFYDLYKNVPLLKVTASRICGPLFSWIEAWDMASRIQYLGTMLFLQNLERGFKWFMMIIGTGRTVLAAIARPFSAPLSEVAGFISPLWDACSETAELFLSTAWFAIESMYNIFLDLIDVFVSPFELLYSYICTIAIPVYPLACSLWELLLFPIRCIITLASYLISLLFDADDFVRYVWGFARNILQLAYVSKAKQGANEISVWRGLWNDLFSQVY >DRNTG_34454.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18291145:18295787:-1 gene:DRNTG_34454 transcript:DRNTG_34454.9 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWEFYSPDQALSCLSVWFSGRKSEIQSVKDNLRKLCDPKTDHWHDDSSSEEILHECSDVQESPHETDDNMNSNGSEKRSEECVEMDGEPEIGPTHYSDKLLLFRFNDSLLPFKLRQIIISELRLLTLLESGLPSWVIFFQSYPLFCHFYRPWMRHLARTLYILISLVTVIIGFYDLYKNVPLLKVTASRICGPLFSWIEAWDMASRIQYLGTMLFLQNLERGFKWFMMIIGTGRTVLAAIARPFSAPLSEVAGFISPLWDACSETAELFLSTAWFAIESMYNIFLDLIDVFVSPFELLYSYICTIAIPVYPLACSLWELLLFPIRCIITLASYLISLLFDADDFVRYVWGFARNILQLAYVSKAKQGANEISVWRGLWNDLFSQVFRASGSIIKGLLAFFSTCNRHRLSTSNQIRAFFLRLARFIHLAPRTCQCKQRRRIQHLHEEEEHTQCHHCE >DRNTG_34454.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18291145:18296405:-1 gene:DRNTG_34454 transcript:DRNTG_34454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRRLVCCSQTDTSLALEVKSMKKWEFYSPDQALSCLSVWFSGRKSEIQSVKDNLRKLCDPKTDHWHDDSSSEEILHECSDVQESPHETDDNMNSNGSEKRSEECVEMDGEPEIGPTHYSDKLLLFRFNDSLLPFKLRQIIISELRLLTLLESGLPSWVIFFQSYPLFCHFYRPWMRHLARTLYILISLVTVIIGFYDLYKNVPLLKVTASRICGPLFSWIEAWDMASRIQYLGTMLFLQNLERGFKWFMMIIGTGRTVLAAIARPFSAPLSEVAGFISPLWDACSETAELFLSTAWFAIESMYNIFLDLIDVFVSPFELLYSYICTIAIPVYPLACSLWELLLFPIRCIITLASYLISLLFDADDFVRYVWGFARNILQLAYVSKAKQGANEISVWRGLWNDLFSQVFRASGSIIKGLLAFFSTCNRHRLSTSNQIRAFFLRLARFIHLAPRTCQCKQRRRIQHLHEEEEHTQCHHCE >DRNTG_03249.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000149.1:14121:15050:1 gene:DRNTG_03249 transcript:DRNTG_03249.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTAGMLDLNIFSAEKGFDSRSSVPSFRQCQGHRRDHQP >DRNTG_03249.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000149.1:14121:17163:1 gene:DRNTG_03249 transcript:DRNTG_03249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTAGMLDLNIFSAEKGFDSRSSVPSFRQCQGHRRDHQP >DRNTG_16639.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1967626:1974641:1 gene:DRNTG_16639 transcript:DRNTG_16639.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKPSQAAAPVPAQHQSQSSDNDRSGGELRALDCNLASLCDHIQMEGFNSGVFSDVVVQAMGSTYHLHRLILSRSSYFRNMLHGPWKEADAPTLILQIDDPNVNSEAITMALAYLYGHHPKLDDNNAFRVLAAASFLDLQDLCAFCTDFIISELWTSNFLAYQVFAESQDYGIHGERVRNACWGYLCQSGTIELREVLPKLSLQTLHALLTSDELWVSNEERRFELALYTLLSRSSVAEADHSEQASSSSETEMSTTSAVLKEKAEIIGGKQVLESEFQSFGIEDNLEEHKAAHNILAELADWVVDVHTDVSYSKQVKGPQTTLSQPMLDSRYCLKTELSAAPTTFAEPNTVSESSSYVEMNNDTEATRMARVGVAKEGPSGENTSYHLNNSMWLPRDQSREYSLTSSARNGVVPNDWGRCNMPPIWGGRTVGSRQVKLSKGKCGIPSEVYDAFINIFEGGSILYSNMSFEALLNVRKQLEELGFPCKTVNDGLWLQMLQCQRVQAIGADTCKSCCLTSIACACRQTYGYSHGGTSFNYYRQEHDRNIHGSMRTVYVADAQGDGNSLFGPVRVQVRGAIDGLAGIGRGTTFVPGAAWPPTRYVFSRVPLGLGNRKLPAITC >DRNTG_26276.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15590966:15593337:1 gene:DRNTG_26276 transcript:DRNTG_26276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEGDEAGASQRAPEPQPAPMETEAPPVAEDVPPVAQYTEFMARFSALQQILECNVASSVVLRPRTPQATSVSPASSSSPIPAPVDPPCTSSPPPPAKNPEIVRPSTKERSQCGLQCTDFGGNLAKGFEGYWRRFWRGSMALTSSFLRKKVGRGASVEAYPILDEEILGGRVEDFPQDHRHDY >DRNTG_12408.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12358006:12358642:1 gene:DRNTG_12408 transcript:DRNTG_12408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVLWKVLYSSSKDSLVRRNPLSSWGKGGEKNAEIGAESALNRARIGNPHALVGVPVEFPHGRGALPKILALMLNVLSDDVPKMDQYALHIEYKYRSFCRLRTPVLSPRPFTDLLMMACRYRYAGRESHVALDTPGSYWP >DRNTG_24141.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26297387:26299818:-1 gene:DRNTG_24141 transcript:DRNTG_24141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGSPVVILKLVLTVIMVLGTAEAASWCVARSGASATALQSALDYACGAGAADCVPILPNGLCYLPNTLQSHASYAFNGYFQRSNQAPGSCDFSGTATTTISDPSYGSCTYPSSAGSAGGTTPPPPNTPNSPFTPSPPVTPSPGAFTPGFSPPNFNFSPPSFGSPDDTSKAPPCLSFFFFSGLLYQLLLVLF >DRNTG_22473.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9411983:9413011:-1 gene:DRNTG_22473 transcript:DRNTG_22473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNLGTYSKPHKGPKHERRKKRAKRRDGWEGLAVNSFKRERERNRSCLIMDNSSLCIGAVLGLKKFQVKPILLLNKDKNSRGTLGSGGEMGGFFFLVITFVYHTVARELFFFSGSSGTKTTIPTKSKQYNYSIASSGAPIIQQTHLS >DRNTG_09120.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:95113:97702:-1 gene:DRNTG_09120 transcript:DRNTG_09120.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGIPSASGGSNIAPCAMLGLEMPLHHHNQQQQQLLSFSNHSREAENRHPPQAIRAGGYSTNFNVSGRGKQQQQPSLSSEEEALPAAPEGAGGDGTKRVGVVQTQTASPWQRMKWTDSMIRLLIMVVYCVGDDIGADGGGDTQHAAVGKGKKAASSAGQLQQKKGKWKSVSRAMMERGYCVSPQQCEDKFNDLNKRYKRVNDLLGKGTACRVVENQSLLDSMDNLSPKAKEEARKLLNSKHLFFREMCAYHTSCTAAASASASASVAPLPSSATAAPDHFHDQQAPHVLAAPAAAAAAAGDTGQQMMEMERERPDDEDDADNEVEGEDDYNDEYLDDEDDEDEGHHRARHHHSHQHYKHEERERGRVRDRDRDADEDTKDATTMVAGTKRPRRLSLGLPSASSASSPLSLSLSSPSSASTSMQQLRSELMGLANGGLSEPHQKHWLKNRALELEEQQVHLQSRAFELERQRFKWLRFSSNKERELEKAKIENERLRLENERMLLLLRQKELQLINASGTVTFLASNSDAQHQQQQQLLSGNYKRSADHHPYPL >DRNTG_09120.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:95113:97991:-1 gene:DRNTG_09120 transcript:DRNTG_09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGIPSASGGSNIAPCAMLGLEMPLHHHNQQQQQLLSFSNHSREAENRHPPQAIRAGGYSTNFNVSGRGKQQQQPSLSSEEEALPAAPEGAGGDGTKRVGVVQTQTASPWQRMKWTDSMIRLLIMVVYCVGDDIGADGGGDTQHAAVGKGKKAASSAGQLQQKKGKWKSVSRAMMERGYCVSPQQCEDKFNDLNKRYKRVNDLLGKGTACRVVENQSLLDSMDNLSPKAKEEARKLLNSKHLFFREMCAYHTSCTAAASASASASVAPLPSSATAAPDHFHDQQAPHVLAAPAAAAAAAGDTGQQMMEMERERPDDEDDADNEVEGEDDYNDEYLDDEDDEDEGHHRARHHHSHQHYKHEERERGRVRDRDRDADEDTKDATTMVAGTKRPRRLSLGLPSASSASSPLSLSLSSPSSASTSMQQLRSELMGLANGGLSEPHQKHWLKNRALELEEQQVHLQSRAFELERQRFKWLRFSSNKERELEKAKIENERLRLENERMLLLLRQKELQLINASGTVTFLASNSDAQHQQQQQLLSGNYKRSADHHPYPL >DRNTG_09120.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:95178:97658:-1 gene:DRNTG_09120 transcript:DRNTG_09120.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGIPSASGGSNIAPCAMLGLEMPLHHHNQQQQQLLSFSNHSREAENRHPPQAIRAGGYSTNFNVSGRGKQQQQPSLSSEEEALPAAPEGAGGDGTKRVGVVQTQTASPWQRMKWTDSMIRLLIMVVYCVGDDIGADGGGDTQHAAVGKGKKAASSAGQLQQKKGKWKSVSRAMMERGYCVSPQQCEDKFNDLNKRYKRVNDLLGKGTACRVVENQSLLDSMDNLSPKAKEEARKLLNSKHLFFREMCAYHTSCTAAASASASASVAPLPSSATAAPDHFHDQQAPHVLAAPAAAAAAAGDTGQQMMEMERERPDDEDDADNEVEGEDDYNDEYLDDEDDEDEGHHRARHHHSHQHYKHEERERGRVRDRDRDADEDTKDATTMVAGTKRPRRLSLGLPSASSASSPLSLSLSSPSSASTSMQQLRSELMGLANGGLSEPHQKHWLKNRALELEEQQVHLQSRAFELERQRFKWLRFSSNKERELEKAKIENERLRLENERMLLLLRQKELQLINASGTVTFLASNSDAQHQQQQQLLSGNYKRSADHHPYPL >DRNTG_09120.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:95265:97702:-1 gene:DRNTG_09120 transcript:DRNTG_09120.10 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGIPSASGGSNIAPCAMLGLEMPLHHHNQQQQQLLSFSNHSREAENRHPPQAIRAGGYSTNFNVSGRGKQQQQPSLSSEEEALPAAPEGAGGDGTKRVGVVQTQTASPWQRMKWTDSMIRLLIMVVYCVGDDIGADGGGDTQHAAVGKGKKAASSAGQLQQKKGKWKSVSRAMMERGYCVSPQQCEDKFNDLNKRYKRVNDLLGKGTACRVVENQSLLDSMDNLSPKAKEEARKLLNSKHLFFREMCAYHTSCTAAASASASASVAPLPSSATAAPDHFHDQQAPHVLAAPAAAAAAAGDTGQQMMEMERERPDDEDDADNEVEGEDDYNDEYLDDEDDEDEGHHRARHHHSHQHYKHEERERGRVRDRDRDADEDTKDATTMVAGTKRPRRLSLGLPSASSASSPLSLSLSSPSSASTSMQQLRSELMGLANGGLSEPHQKHWLKNRALELEEQQVHLQSRAFELERQRFKWLRFSSNKERELEKAKIENERLRLENERMLLLLRQKELQLINASGTVTFLASNSDAQHQQQQQLLSGNYKRSADHHPYPL >DRNTG_09120.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:95178:97842:-1 gene:DRNTG_09120 transcript:DRNTG_09120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGIPSASGGSNIAPCAMLGLEMPLHHHNQQQQQLLSFSNHSREAENRHPPQAIRAGGYSTNFNVSGRGKQQQQPSLSSEEEALPAAPEGAGGDGTKRVGVVQTQTASPWQRMKWTDSMIRLLIMVVYCVGDDIGADGGGDTQHAAVGKGKKAASSAGQLQQKKGKWKSVSRAMMERGYCVSPQQCEDKFNDLNKRYKRVNDLLGKGTACRVVENQSLLDSMDNLSPKAKEEARKLLNSKHLFFREMCAYHTSCTAAASASASASVAPLPSSATAAPDHFHDQQAPHVLAAPAAAAAAAGDTGQQMMEMERERPDDEDDADNEVEGEDDYNDEYLDDEDDEDEGHHRARHHHSHQHYKHEERERGRVRDRDRDADEDTKDATTMVAGTKRPRRLSLGLPSASSASSPLSLSLSSPSSASTSMQQLRSELMGLANGGLSEPHQKHWLKNRALELEEQQVHLQSRAFELERQRFKWLRFSSNKERELEKAKIENERLRLENERMLLLLRQKELQLINASGTVTFLASNSDAQHQQQQQLLSGNYKRSADHHPYPL >DRNTG_09120.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:95211:97658:-1 gene:DRNTG_09120 transcript:DRNTG_09120.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGIPSASGGSNIAPCAMLGLEMPLHHHNQQQQQLLSFSNHSREAENRHPPQAIRAGGYSTNFNVSGRGKQQQQPSLSSEEEALPAAPEGAGGDGTKRVGVVQTQTASPWQRMKWTDSMIRLLIMVVYCVGDDIGADGGGDTQHAAVGKGKKAASSAGQLQQKKGKWKSVSRAMMERGYCVSPQQCEDKFNDLNKRYKRVNDLLGKGTACRVVENQSLLDSMDNLSPKAKEEARKLLNSKHLFFREMCAYHTSCTAAASASASASVAPLPSSATAAPDHFHDQQAPHVLAAPAAAAAAAGDTGQQMMEMERERPDDEDDADNEVEGEDDYNDEYLDDEDDEDEGHHRARHHHSHQHYKHEERERGRVRDRDRDADEDTKDATTMVAGTKRPRRLSLGLPSASSASSPLSLSLSSPSSASTSMQQLRSELMGLANGGLSEPHQKHWLKNRALELEEQQVHLQSRAFELERQRFKWLRFSSNKERELEKAKIENERLRLENERMLLLLRQKELQLINASGTVTFLASNSDAQHQQQQQLLSGNYKRSADHHPYPL >DRNTG_09120.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:95178:97991:-1 gene:DRNTG_09120 transcript:DRNTG_09120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGIPSASGGSNIAPCAMLGLEMPLHHHNQQQQQLLSFSNHSREAENRHPPQAIRAGGYSTNFNVSGRGKQQQQPSLSSEEEALPAAPEGAGGDGTKRVGVVQTQTASPWQRMKWTDSMIRLLIMVVYCVGDDIGADGGGDTQHAAVGKGKKAASSAGQLQQKKGKWKSVSRAMMERGYCVSPQQCEDKFNDLNKRYKRVNDLLGKGTACRVVENQSLLDSMDNLSPKAKEEARKLLNSKHLFFREMCAYHTSCTAAASASASASVAPLPSSATAAPDHFHDQQAPHVLAAPAAAAAAAGDTGQQMMEMERERPDDEDDADNEVEGEDDYNDEYLDDEDDEDEGHHRARHHHSHQHYKHEERERGRVRDRDRDADEDTKDATTMVAGTKRPRRLSLGLPSASSASSPLSLSLSSPSSASTSMQQLRSELMGLANGGLSEPHQKHWLKNRALELEEQQVHLQSRAFELERQRFKWLRFSSNKERELEKAKIENERLRLENERMLLLLRQKELQLINASGTVTFLASNSDAQHQQQQQLLSGNYKRSADHHPYPL >DRNTG_09120.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:95265:97842:-1 gene:DRNTG_09120 transcript:DRNTG_09120.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGIPSASGGSNIAPCAMLGLEMPLHHHNQQQQQLLSFSNHSREAENRHPPQAIRAGGYSTNFNVSGRGKQQQQPSLSSEEEALPAAPEGAGGDGTKRVGVVQTQTASPWQRMKWTDSMIRLLIMVVYCVGDDIGADGGGDTQHAAVGKGKKAASSAGQLQQKKGKWKSVSRAMMERGYCVSPQQCEDKFNDLNKRYKRVNDLLGKGTACRVVENQSLLDSMDNLSPKAKEEARKLLNSKHLFFREMCAYHTSCTAAASASASASVAPLPSSATAAPDHFHDQQAPHVLAAPAAAAAAAGDTGQQMMEMERERPDDEDDADNEVEGEDDYNDEYLDDEDDEDEGHHRARHHHSHQHYKHEERERGRVRDRDRDADEDTKDATTMVAGTKRPRRLSLGLPSASSASSPLSLSLSSPSSASTSMQQLRSELMGLANGGLSEPHQKHWLKNRALELEEQQVHLQSRAFELERQRFKWLRFSSNKERELEKAKIENERLRLENERMLLLLRQKELQLINASGTVTFLASNSDAQHQQQQQLLSGNYKRSADHHPYPL >DRNTG_09120.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:95265:97658:-1 gene:DRNTG_09120 transcript:DRNTG_09120.11 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGIPSASGGSNIAPCAMLGLEMPLHHHNQQQQQLLSFSNHSREAENRHPPQAIRAGGYSTNFNVSGRGKQQQQPSLSSEEEALPAAPEGAGGDGTKRVGVVQTQTASPWQRMKWTDSMIRLLIMVVYCVGDDIGADGGGDTQHAAVGKGKKAASSAGQLQQKKGKWKSVSRAMMERGYCVSPQQCEDKFNDLNKRYKRVNDLLGKGTACRVVENQSLLDSMDNLSPKAKEEARKLLNSKHLFFREMCAYHTSCTAAASASASASVAPLPSSATAAPDHFHDQQAPHVLAAPAAAAAAAGDTGQQMMEMERERPDDEDDADNEVEGEDDYNDEYLDDEDDEDEGHHRARHHHSHQHYKHEERERGRVRDRDRDADEDTKDATTMVAGTKRPRRLSLGLPSASSASSPLSLSLSSPSSASTSMQQLRSELMGLANGGLSEPHQKHWLKNRALELEEQQVHLQSRAFELERQRFKWLRFSSNKERELEKAKIENERLRLENERMLLLLRQKELQLINASGTVTFLASNSDAQHQQQQQLLSGNYKRSADHHPYPL >DRNTG_09120.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:95113:97842:-1 gene:DRNTG_09120 transcript:DRNTG_09120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGIPSASGGSNIAPCAMLGLEMPLHHHNQQQQQLLSFSNHSREAENRHPPQAIRAGGYSTNFNVSGRGKQQQQPSLSSEEEALPAAPEGAGGDGTKRVGVVQTQTASPWQRMKWTDSMIRLLIMVVYCVGDDIGADGGGDTQHAAVGKGKKAASSAGQLQQKKGKWKSVSRAMMERGYCVSPQQCEDKFNDLNKRYKRVNDLLGKGTACRVVENQSLLDSMDNLSPKAKEEARKLLNSKHLFFREMCAYHTSCTAAASASASASVAPLPSSATAAPDHFHDQQAPHVLAAPAAAAAAAGDTGQQMMEMERERPDDEDDADNEVEGEDDYNDEYLDDEDDEDEGHHRARHHHSHQHYKHEERERGRVRDRDRDADEDTKDATTMVAGTKRPRRLSLGLPSASSASSPLSLSLSSPSSASTSMQQLRSELMGLANGGLSEPHQKHWLKNRALELEEQQVHLQSRAFELERQRFKWLRFSSNKERELEKAKIENERLRLENERMLLLLRQKELQLINASGTVTFLASNSDAQHQQQQQLLSGNYKRSADHHPYPL >DRNTG_09120.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:95113:97658:-1 gene:DRNTG_09120 transcript:DRNTG_09120.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGIPSASGGSNIAPCAMLGLEMPLHHHNQQQQQLLSFSNHSREAENRHPPQAIRAGGYSTNFNVSGRGKQQQQPSLSSEEEALPAAPEGAGGDGTKRVGVVQTQTASPWQRMKWTDSMIRLLIMVVYCVGDDIGADGGGDTQHAAVGKGKKAASSAGQLQQKKGKWKSVSRAMMERGYCVSPQQCEDKFNDLNKRYKRVNDLLGKGTACRVVENQSLLDSMDNLSPKAKEEARKLLNSKHLFFREMCAYHTSCTAAASASASASVAPLPSSATAAPDHFHDQQAPHVLAAPAAAAAAAGDTGQQMMEMERERPDDEDDADNEVEGEDDYNDEYLDDEDDEDEGHHRARHHHSHQHYKHEERERGRVRDRDRDADEDTKDATTMVAGTKRPRRLSLGLPSASSASSPLSLSLSSPSSASTSMQQLRSELMGLANGGLSEPHQKHWLKNRALELEEQQVHLQSRAFELERQRFKWLRFSSNKERELEKAKIENERLRLENERMLLLLRQKELQLINASGTVTFLASNSDAQHQQQQQLLSGNYKRSADHHPYPL >DRNTG_01462.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27688063:27689644:-1 gene:DRNTG_01462 transcript:DRNTG_01462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSMSNKAMSSTKGILTTAASLAASAMLFRTIANDFIPSELRNYIFTGIKYLHDRFSSELTLLIDEKDGYSPNIIYQAAMSYLNSKISPSIRRLRVSKQDIDDKKFNVSMDRGQETIDVFEGIQFRWCFISTQVSENPRRFNKGRSLRMMDMEVDTELRYLELTCNVKHKDKVFDVYLPFILDRWTAMKNKGRILKLFINERKSWAPVNLHHPATFETIAMDAELKQTVMEDLTKFVQSKDYYKSIGKAWKRGYLLYGPPGTGKSSLVAAMANFLKYDIYDLELTEVKNNLSLRRLLVGTSSRSIIVVEDIDCSITMDNRRTPAKNSDDEGETVTLSGLLNFVDGLWSSCGEEKIIVFTTNYKEKLDPALLRPGRMDMHIHMGYCSPCAFRTLASNYHNVDDHPLFEVIEDLLKDTEVTPATVAEELMRSVDVSIALQGLHQFLQCKKSEANEAKHRANCTESSSSEAREESSVVLV >DRNTG_32094.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22292486:22297522:-1 gene:DRNTG_32094 transcript:DRNTG_32094.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 1 [Source:Projected from Arabidopsis thaliana (AT2G36910) UniProtKB/Swiss-Prot;Acc:Q9ZR72] MSQEESQEIKGKKKRGEQWNWPEMQGFQFPSPPKEKEDLLVIEISERASSTPPPPDTNGKEEVGEKKKKKKKKKLENQPSVAFGQLFRFADGLDWVLMVIGTVGAIIHGCSLPIFFRFFADLVHSFGSNYDNPDAMLREVIKYAFYFLVVGAAIWGSSWAEIACWMWTGERQTTRMRIEFLKSALNQDVRYFDTEVRTSDIIYAINAESVVVQDAISEKLGNFIHYMATFVSGFVVGFTAVWQMALVTLAVVPLIAIIGGIHTTTLAKLSSKSQDALSQASNIAEQALAQIRTVHSYVGESRALQAYSLALKVAQRIGYRSGLAKGIGLGATYFTVFCCYALLLWYGGYLVRHHHTNGGLAIATMFAVMIGGLALGQSAPSMAAFGKAKASATKIYQTIDHKPSIDRNSETGIELGTVTGHVELKNINFAYPSRPEVPILCNFSLNVAAGKTMALVGSSGSGKSTVVSLIERFYDPSSGQVLLDGQDIKSLKLRWLRQQIGLVSQEPALFATSIRENLLLGREDATQVEIEEAARVANAHSFIIKLQDGYDSQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADTVAVLQNGSVSELGTHDELIGRGDSGLYAKLIRMQEQAHEAALASASRKSSARPSSARNSVSSPVYGRNSSYGRSPYSRRLSDFSTSDFSLPYDPNHRPAEKLAFRDQASSFWRLAKMNSPEWVYALIGSIGSMVCGSISAFFAYVLSAVLSVYYARDYNHMKHEINQYCYLLIGVSSAAMLFNTLQHLFWDVVGENLTKRVREKMLFAVLRNEIAWFDQEENASARVAARLSLDAHNVRSAIGDRISVIVQNSALMLVACTAGFVLQWRLALVLVAVFPVVVAATVLQKMFMTGFSGDLEAAHAKATQIAGEAVANVRTVAAFNSETKITKLFAENLQTPLRRCFWKGQIAGSGFGVAQFLLYASYTLGLWYAAWLVKHNISDFSKTIRVFMVLMVSANGAAETLTLAPDFIKGGRAMRSVFEVIDRKTEIEPDDPDASSVPDRLRGDVELKHVDFAYPSCPDMPVLKDLTLRARAGKTLALVGPSGCGKSSVIALIQRFYEPSSGRVLIDGKDIRKYNLKALRKAMAMVPQEPCLFAATIKENIAYGCDSATEAEIIEAATQANAHKFISALPQGYETFVGERGVQLSGGQRQRVAIARALIKKAQIVLLDEATSALDLESERCVQQALDRAASGRTMIVIAHRLSTIRGAHTIAVIDEGKVVEQGSHSHLLNHFPDGCYARMLHLQRFSRNSPANGPGGPSTSLRPEDG >DRNTG_17349.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32160064:32168357:1 gene:DRNTG_17349 transcript:DRNTG_17349.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor SF3a60 homolog [Source:Projected from Arabidopsis thaliana (AT5G06160) UniProtKB/Swiss-Prot;Acc:Q9FG01] MSSTLLEVTRSAHEDVERLERLVVRELQREPTNNRERLFQNHRVRNMIDSIINTTHKLIEIYEDKDNARRDEIAVLGGQTATGINLFSVFYDRLKEIREYHRRHPAARVVDATEEFEELLKEEPYVEFSGEEAFGRYLDMHELFNEYINSKFGEPIEYSAYLDTFSHTHKIPLNLKLTRQYRDYLEHILEYLTSFFERREPLQDLGRLFSKVEADFEELWADSKIVGWEKKELENGQISFLESVVDLDYYSTVEELIELGPEKLKEALAARGLKTGGTIQQRAERLFLTKHTPLELLDKKHFAKGSRGSEKNGVNLNSQQIDSNKDIALMEAKMQRLCEILQETMQQTKENVEKKQALTYEEMEAEREEEELPADTESDDEEQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNHSYWGRRAFERHFKEWRHQHGMRCLNIPNTKNFNEITSIEEAKKLWERIQERQGLNKWRPDLEEEYEDREGNIYNKKTYTDLQRQGLI >DRNTG_34765.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3130753:3133229:1 gene:DRNTG_34765 transcript:DRNTG_34765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIVKDPVFGGNSSLSYKVDLRKFLPENVVVGFSAATGQAVEIHTILSWEFSSSLQRKEKKSKTALAVGLAAGFGVLVILFGMLWFVLWRRRWWRKDEEEEMDVSMDDEFEKGRGPKRFTYNDLVTATRNFREEGKLGEGGFGGVYKGFLQEQNLEVAIKKVSKGSNQGKKEYISEVKIISKLRHRNLVQLVGWCHSRGDFLLVYEFMPNGSLDTHLYKNTTLLAWQERYKIALGLASALLYLHEEWEQCVVHRDIKPSNVMLDSGFNAKLGDFGLARLIDHDGGSQTTIVAGTRGYMAQEYITTGKASKESDVYSFGIVALEICCGRRPVELTEEPGKVLLVEFVWDLYGRGMILKAADKRLENEFDEDQIERLMVVGLWCAHPDYNLRPSMKQAISVLNFEAPLPALPSKMPVAMFCAPPLDLSQFAYTSTNSSSLPGRSHTSYTSNSSQSSASTHISTSLHLLASTEQRSPGSVI >DRNTG_12455.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20957294:20959268:1 gene:DRNTG_12455 transcript:DRNTG_12455.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNSWSQFLSKRHQSTLQSRFGFDELDGGDDESRTEFLCPFCNEEFDILGLCFHIDDDHPVEARNGICPVCADRVGVDLVGHITMQHASYFKMQRRRRLRKGSTGTHSIPSLMKSEYRQGNLHSFLGGSCSAPVSASAVPDPLLSSFIFTMPVADSSKDVLAESLDESSATYKSSDDNLVE >DRNTG_02963.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22534331:22534707:-1 gene:DRNTG_02963 transcript:DRNTG_02963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHRATSRIVAELLGQAYVAREVPVEEAREVHVERGTNIARQHQAPASSPPPPPPPSATAPTEKTVMEFMQSGPPSFKGTTNHNELEVCVEKMEKAFAVIKCTE >DRNTG_08419.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31031711:31035207:1 gene:DRNTG_08419 transcript:DRNTG_08419.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFGEHEVSAEVLTGNVLRCQAPSHAPGRVPFYVTCSNRLACSEVREFEFREDFINSTLVSREKIHLQVQFAKLLSARAVACPLENCTKCALVNELFLNVSMDDNGWDRLKEQSKASSVHKGDVADVLIQMLLKGKLRDWLHAKVHKEGRGPNILDEEGLGAIHYAAALGYEWAIWPIVSAGGNPNFRDAHGKTGLHWAAFYGREEAVVQLIRLGTAPGAVQDPTSASPGGHTAADLASNRGHKGIAGYLAEADLISHLSAITLKDNMMGNDSVDLAAKKAIEAVQDSSVDPADGKKDEQIPFQGSLAAVWNSAQAAARIQSAFRVLSFRHRQLMRLKDEKPEILNEAIIVSSLSSKLQKLNHYSDALRAAAAVKIQ >DRNTG_08419.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31032787:31034494:1 gene:DRNTG_08419 transcript:DRNTG_08419.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFGEHEVSAEVLTGNVLRCQAPSHAPGRVPFYVTCSNRLACSEVREFEFREDFINSTLVSREKIHLQVQFAKLLSARAVACPLENCTKCALVNELFLNVSMDDNGWDRLKEQSKASSVHKGDVADVLIQMLLKGKLRDWLHAKVHKEGRGPNILDEEGLGAIHYAAALGYEWAIWPIVSAGGNPNFRDAHGKTGLHWAAFYGREEAVVQLIRLGTAPGAVQDPTSASPGGHTAADLASNRGHKGIAGYLAEADLISHLSAITLKDNMMGNDSVDLAAKKAIEAVQDSSVDPADGKKDEQIPFQGSLAAVWNSAQAAARIQSAFRVLSFRHRQLMRLKDEKPEILNEAIIVSSLSSKLQKLNHYSDALRAAAAVKIQ >DRNTG_08419.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31032787:31033457:1 gene:DRNTG_08419 transcript:DRNTG_08419.7 gene_biotype:protein_coding transcript_biotype:protein_coding MFGEHEVSAEVLTGNVLRCQAPSHAPGRVPFYVTCSNRLACSEVREFEFREDFINSTLVSREKIHLQVQFAKLLSARAVACPLENCTKCALVNELFLNVSMDDNGWDRLKEQSKASSVHKGDVADVLIQMLLKGKLRDWLHAKVHKEGRGPNILDEEGLGAIHYAAALGYEWAIWPIVSAGGNPNFRDAHGKTGLHWAAFYGR >DRNTG_08419.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31028559:31035207:1 gene:DRNTG_08419 transcript:DRNTG_08419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPRRFSLNSQLDIGQIVLEAKTRWLRPTEICEILRNYQKFSLTPDPPYKPSSGSLFLFDRKVLRYFRKDGHSWRKKKDGKTVREAHEKLKSGSIDVLHCYYAHGEDNENFQRRSYWLLDGNLEHIVLVHYRDVNEVFSHPPVLSSLGINSSVPCLLNSDPGSINCTFGAQSSSGQSLSSEFEEADSVVDYGGLHCQINCGVDASSSTLPETLNYAINSSTKTEILRKYADFQEQKILSVQPNCASSMPVAMTDSVMCSDKGTYDKQELLTGSPEHAIMGSDTRSVQVKEVYKPNAYNPVNPKLEVDGAPDEYHFSAAPQLGSTKDSQVNSTASGQHLDFTNEASYLKEYALGDVDYREHGDLKKLDSFGRWMNKEMGRDCDNSLMTSESGSYLRSFDTQNDSKENQSFSIIDFSPDWAWAFSKVETKAPFWAA >DRNTG_08419.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31031229:31035207:1 gene:DRNTG_08419 transcript:DRNTG_08419.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGEHEVSAEVLTGNVLRCQAPSHAPGRVPFYVTCSNRLACSEVREFEFREDFINSTLVSREKIHLQVQFAKLLSARAVACPLENCTKCALVNELFLNVSMDDNGWDRLKEQSKASSVHKGDVADVLIQMLLKGKLRDWLHAKVHKEGRGPNILDEEGLGAIHYAAALGYEWAIWPIVSAGGNPNFRDAHGKTGLHWAAFYGREEAVVQLIRLGTAPGAVQDPTSASPGGHTAADLASNRGHKGIAGYLAEADLISHLSAITLKDNMMGNDSVDLAAKKAIEAVQDSSVDPADGKKDEQIPFQGSLAAVWNSAQAAARIQSAFRVLSFRHRQLMRLKDEKPEILNEAIIVSSLSSKLQKLNHYSDALRAAAAVKIQ >DRNTG_08419.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31034661:31035207:1 gene:DRNTG_08419 transcript:DRNTG_08419.8 gene_biotype:protein_coding transcript_biotype:protein_coding MARNSEGRDQYMRLVTSSSRSKLGEGGM >DRNTG_08419.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31032787:31038190:1 gene:DRNTG_08419 transcript:DRNTG_08419.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGEHEVSAEVLTGNVLRCQAPSHAPGRVPFYVTCSNRLACSEVREFEFREDFINSTLVSREKIHLQVQFAKLLSARAVACPLENCTKCALVNELFLNVSMDDNGWDRLKEQSKASSVHKGDVADVLIQMLLKGKLRDWLHAKVHKEGRGPNILDEEGLGAIHYAAALGYEWAIWPIVSAGGNPNFRDAHGKTGLHWAAFYGREEAVVQLIRLGTAPGAVQDPTSASPGGHTAADLASNRGHKGIAGYLAEADLISHLSAITLKDNMMGNDSVDLAAKKAIEAVQDSSVDPADGKKDEQIPFQGSLAAVWNSAQAAARIQSAFRVLSFRHRQLMRLKDEKPEILNEAIIVSSLSSKLQKLNHYSDALRAAAAVKIQ >DRNTG_08419.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31033682:31035031:1 gene:DRNTG_08419 transcript:DRNTG_08419.6 gene_biotype:protein_coding transcript_biotype:protein_coding KYFGWRGRTDFLKIRDRIVKIQAHVRGHQVRKQYKKVVWSVSIVEKAILRWRRKGAGLRGFHADKLGGSVAPKDGTTDDYEFLSLGRKQKAAAVEKALARVQSMARNSEGRDQYMRLVTSSSRSKVIILVYY >DRNTG_20181.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1425613:1426928:-1 gene:DRNTG_20181 transcript:DRNTG_20181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMACKTGALCKSSSDTSRDRPTIESNSFWTAARMSGCRISSTSAHSTVVDVVPVPPLKKSESKALMSVSVSLLSVSSSFSTSVSFNFKSTLSKSLPSASPSIAILALVL >DRNTG_31116.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:58995:65918:1 gene:DRNTG_31116 transcript:DRNTG_31116.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSSRCWSFSVVAALEGVNCLATGNN >DRNTG_31116.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:61573:66249:1 gene:DRNTG_31116 transcript:DRNTG_31116.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKERRLEEQRLQQQRRDQPIIIKVSEPKKYIPPAVTVSEQPPPPPPPPQAP >DRNTG_31116.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:58995:66249:1 gene:DRNTG_31116 transcript:DRNTG_31116.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKERRLEEQRLQQQRRDQPIIIKVSEPKKYIPPAVTVSEQPPPPPPPPQAP >DRNTG_31116.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:58995:66249:1 gene:DRNTG_31116 transcript:DRNTG_31116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSSRCWSFSVVAALEGVNCLATGNN >DRNTG_18236.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000941.1:11697:12125:-1 gene:DRNTG_18236 transcript:DRNTG_18236.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVDPAKVISEALARALVFYHPFAGRLREESGRKLVVDCTGEGVLFIEADAYVRLQDFGDNLQPPFPCMEELLFDVEGSAGVLNCPLLLIQ >DRNTG_18236.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000941.1:11230:12125:-1 gene:DRNTG_18236 transcript:DRNTG_18236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVDPAKVISEALARALVFYHPFAGRLREESGRKLVVDCTGEGVLFIEADAYVRLQDFGDNLQPPFPCMEELLFDVEGSAGVLNCPLLLIQVTRLLCGGFIFALRVNHTMADGPGMALFMNAVAEMARGATAPSVLPVWSRELLRARNPPRVTFEHREYDEDMPHDS >DRNTG_14896.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20618825:20623253:1 gene:DRNTG_14896 transcript:DRNTG_14896.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 1A [Source:Projected from Arabidopsis thaliana (AT1G76400) UniProtKB/Swiss-Prot;Acc:Q9SFX3] MASMSLRFAFVLFWISILASTVLSDLVISKIDRRIDLTSQIVRVVSSLKVENRGHDTASEVLLAFPDIQAKNLAYLQSSHHEGKGKGKQSAVSLPVTLVQPEGMPSDLTFYSISLPKGLEKGKSFTLDVLAVFTHSLKPFPEEITQADVQLVVYQDSAYYISPYEVRFQTLAVRLPSGRVESYTKYPSTKLVESDIKYGPFENLPPFSYIPITVHFESSYPFAVVQELEREIEISHWGNIQVTEHYSLVHGGAPLKGGFSRIEFQSRPPIRGESSIRHFIAMLPPRAHSVYYRDEIGNISTSHLWSDSKMTYLQIEPRYPMFGGWRTSFTIGYGLPLRDFLYEADGKRFLNITFGCPFNEIVIDHLIVKVVLPEGSKEISAAVPFVTKQSQEVKYSHLDIVGRPVIVLEKTIVVPEHNIHFQVYYKFNNLALLMEPFLLISGFLFLFIACIVYMHTDASISKFSASYLAKQQLDEVRATVQQVLSIINRVLAVHNKLETSLRDLSRTGDVQSCKAARKAADGSFKDLAKELKPLLGYLQSSPQASQIWPKVEELLSKEKELQEKLMAKHSAIVDGFEKKSARREVENRVASIDQKLAILRQEADELLDTLDDF >DRNTG_14896.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20620681:20623253:1 gene:DRNTG_14896 transcript:DRNTG_14896.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 1A [Source:Projected from Arabidopsis thaliana (AT1G76400) UniProtKB/Swiss-Prot;Acc:Q9SFX3] MLPPRAHSVYYRDEIGNISTSHLWSDSKMTYLQIEPRYPMFGGWRTSFTIGYGLPLRDFLYEADGKRFLNITFGCPFNEIVIDHLIVKVVLPEGSKEISAAVPFVTKQSQEVKYSHLDIVGRPVIVLEKTIVVPEHNIHFQVYYKFNNLALLMEPFLLISGFLFLFIACIVYMHTDASISKFSASYLAKQQLDEVRATVQQVLSIINRVLAVHNKLETSLRDLSRTGDVQSCKAARKAADGSFKDLAKELKPLLGYLQSSPQASQIWPKVEELLSKEKELQEKLMAKHSAIVDGFEKKSARREVENRVASIDQKLAILRQEADELLDTLDDF >DRNTG_14896.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20618825:20620590:1 gene:DRNTG_14896 transcript:DRNTG_14896.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 1A [Source:Projected from Arabidopsis thaliana (AT1G76400) UniProtKB/Swiss-Prot;Acc:Q9SFX3] MASMSLRFAFVLFWISILASTVLSDLVISKIDRRIDLTSQIVRVVSSLKVENRGHDTASEVLLAFPDIQAKNLAYLQSSHHEGKGKGKQSAVSLPVTLVQPEGMPSDLTFYSISLPKGLEKGKSFTLDVLAVFTHSLKPFPEEITQADVQLVVYQDSAYYISPYEVRFQTLAVRLPSGRVESYTKYPSTKLVESDIKYGPFENLPPFSYIPITVHFESSYPFAVVQELEREIEISHWGNIQVTEHYSLVHGGAPLKGGFSR >DRNTG_27988.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:10934985:10938232:-1 gene:DRNTG_27988 transcript:DRNTG_27988.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSMQGEVTAMDRLNNVVLDIESLTQPLEKCSGSPKMTKALSRKGSNRMERRNEEQENDEASKKLIVKVVGSQMELLKQPLMPNKAHLMLSTSANGPVLVDTGDSRNKRFHRLMIHPRQILLLFATVSSMGTMILIYFTLSMNRRGGD >DRNTG_27988.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:10934985:10938232:-1 gene:DRNTG_27988 transcript:DRNTG_27988.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSMQGEVTAMDRLNNVVLDIESLTQPLEKCSGSPKMTKALSRKGSNRMERRNEEQENDEASKKLIVKVVGSQMELLKQPLMPNKAHLMLSTSANGPVLVDTGDSRNKRFHRLMIHPRQILLLFATVSSMGTMILIYFTLSMNRRGGD >DRNTG_11835.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:207008:208543:-1 gene:DRNTG_11835 transcript:DRNTG_11835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRVLVVGATGYLGKRLVKASLANGHPTFVLLRPEIGVDIEKIQLLLSFKEQGAKLVKASFSDHQSLVDAVKQVDVVICAISGVHIRSHHILLQLNLIKAIKEAGNIKRFLPSEFGTDPSKMSHAIEPGRVTFDDKMVIRKAIEEAGIPFTYVTANCFAGYFVGGLSQPGSIIPSTDHVLLLGHANVKAIYVDEDDIANYTIKAVDDPRMVNKTLHIRPPENILSQIDLVQAWEELIGKQLAKTTISEEDFLTKLKSMDYAEQVGLGHYYHVCYEGCLTNFELGDNEEEATQLYPEVNYVRAKDYLKRYL >DRNTG_07411.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7312819:7313534:-1 gene:DRNTG_07411 transcript:DRNTG_07411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCERDRSTWKSLEDHDRDRDHQPRHHQHTEKHVDERHHRSDRDSNHCSRGDLPEDFEKRRERSIDKGRDPVERARVFSHDDFVVSREDSKKFWERSSERESLPHKESVERLQSSSRKWKDQNDEPLEELEKSGKQVRVSEGMREKRRQRSLFLFLVVAMALLNDLVNLNLSDTIEKIIAEYI >DRNTG_22793.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3880590:3885146:-1 gene:DRNTG_22793 transcript:DRNTG_22793.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERNHSSASSSEDEEEDLHALIPQNETKPPPRRLASFEIDTNALKSRLSRGFSGSRRYLIALLLPVVLVLVYFFVDFGHLFRTVTAIRPDTPADLMRESELHALYLLRNQQESLLRLWNRTLVADQARSGNPSLSPSPPPTLHSSLSLEDFKSAVINQIRLNKEIQKALLSSHRAGNESVKSQDDNADPDLSGFGVDVCKKVERPVERRAIEWRPRKDRYLFAVCTSGQMSNHLICLEKHMFFAALLERTLILPSPKFDYQYDRVLDINHINECFGRKVVMNFDEFSEMKKKSMRIDRFICYMASPPCFLDEEHLKKLKNLGISLGKIDVAWPEDAKLKEQKKRVAGDVTGKFLSNDEVIAVGDLFYANVEEDWVMQPGGPLAHKCKTALQPSRLILLTAQRFVQTFLGGNFIALHFRRHGFLKFCNAKAESCFYPIPQAAECIVRVVEKANAPAIYLSTDAVDSETNLLQSMVVLNDKTIPLIKRPDHTSVEKWDALLYRNHLGGDSQVEAMLDKTICALSNVFIGSSGSTFTDDISRLRRGWGSASHCDEYLCQGERPNFIAEQE >DRNTG_22793.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3880563:3885146:-1 gene:DRNTG_22793 transcript:DRNTG_22793.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNHSSASSSEDEEEDLHALIPQNETKPPPRRLASFEIDTNALKSRLSRGFSGSRRYLIALLLPVVLVLVYFFVDFGHLFRTVTAIRPDTPADLMRESELHALYLLRNQQESLLRLWNRTLVADQARSGNPSLSPSPPPTLHSSLSLEDFKSAVINQIRLNKEIQKALLSSHRAGNESVKSQDDNADPDLSGFGVDVCKKVERPVERRAIEWRPRKDRYLFAVCTSGQMSNHLICLEKHMFFAALLERTLILPSPKFDYQYDRVLDINHINECFGRKVVMNFDEFSEMKKKSMRIDRFICYMASPPCFLDEEHLKKLKNLGISLGKIDVAWPEDAKLKEQKKRVAGDVTGKFLSNDEVIAVGDLFYANVEEDWVMQPGGPLAHKCKTALQPSRLILLTAQRFVQTFLGGNFIALHFRRHGFLKFCNAKAESCFYPIPQAAECIVRVVEKANAPAIYLSTDAVDSETNLLQSMVVLNDKTIPLIKRPDHTSVEKWDALLYRNHLGGDSQVEAMLDKTICALSNVFIGSSGSTFTDDISRLRRGWGSASHCDEYLCQGERPNFIAEQE >DRNTG_26662.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1011174:1014686:-1 gene:DRNTG_26662 transcript:DRNTG_26662.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLRIRLAVSKGVRDLPGSFHTATSSVPSGKSLLYFGLLLASGVFFVFIAFTMFLPVMVLMPQKFAICFTLGCAFIIGSFFALKGPRNQLAHMSSKERLPFTLGFIGTMVGTIYVSMVLHSYILSVFFSVLQVLALAYYAISYFPGGSAGLKFLSSTLTSSILKCFGR >DRNTG_01533.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5022997:5024912:-1 gene:DRNTG_01533 transcript:DRNTG_01533.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPILEEGRGGGGGGGGFGSKETESIDVVNPVNNGKPPRHVPLIRHPGSTARLGGSAELDLDIGSLGLRSPSDVIPGFLPVFRSGSCAEIGPKTNMEDEHICIDNLVDHLGGVACFPSPGAFYGVFDGHGGTDAASFVRENLLRFIIEDTHFPNYVGLCTLPMLVTAGQC >DRNTG_26628.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:381284:383087:1 gene:DRNTG_26628 transcript:DRNTG_26628.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIGEGAFMASLIPTILILVISLSLPLETQANYFYGFSPPPPIKHYQYTSPPPPPLHVYKYASPPPPDPVYKYNSPPPPYHWSYKYASPPPPHHPAYKYKSPPPPQHWFYKYKSPPPPPPSHDPVYKYQSPPPPHHPVYKYKSPPPPYYPAYKYKSPPPPHHPVYKYKSPPPPSHDPVYKYKSPPPPHHPVYKYKSPLPPSHDPIYKYKSPPPPHHRVYKYKSPPPPHHPVYKYKSPPPPHHPIYKYRSPPPPSHDPVYKYQSPPPPRHHPIYKYKSPPPPYHPGYKYKSPPPPHHPVYKYKSPPPPSHDPVYKYKSPPPPLHHPIYKYKSPPPPYKYISPPPPTPIYKYKSPPPPTPIYKYNSPPPPIPVYKYKSPPPPPPPIYKYTSPPPPIYKYKSPPPPIYKYTSPPPPPPPHHY >DRNTG_26628.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:381284:383087:1 gene:DRNTG_26628 transcript:DRNTG_26628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIGEGAFMASLIPTILILVISLSLPLETQANYFYGFSPPPPIKHYQYTSPPPPPLHVYKYASPPPPDPVYKYNSPPPPYHWSYKYASPPPPHHPAYKYKSPPPPQHWFYKYKSPPPPTHPIYKYKSPPPPSHDPVYKYQSPPPPHHPVYKYKSPPPPYYPAYKYKSPPPPHHPVYKYKSPPPPSHDPVYKYKSPPPPHHSVYKYKSPPPPHHPVYKYKSPLPPSHDPIYKYKSPPPPHHRVYKYKSPPPPHHPVYKYKSPPPPHHPIYKYRSPPPPSHDPVYKYKSPPPPRHPVYKHKSPPPPHHPVYKYKSPPPPHHPVYKYKSPPPPSHDPVYKYQSPPPPRHHPIYKYKSPPPPYHPGYKYKSPPPPHHPVYKYKSPPPPSHDPVYKYKSPPPPLHHPIYKYKSPPPPYKYISPPPPTPIYKYKSPPPPTPIYKYNSPPPPIPVYKYKSPPPPPPPIYKYTSPPPPIYKYKSPPPPIYKYTSPPPPPPPHHY >DRNTG_26628.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:381284:383087:1 gene:DRNTG_26628 transcript:DRNTG_26628.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIGEGAFMASLIPTILILVISLSLPLETQANYFYGFSPPPPIKHYQYTSPPPPPLHVYKYASPPPPDPVYKYNSPPPPYHWSYKYASPPPPHHPAYKYKSPPPPQHWFYKYKSPPPPPSHDPVYKYQSPPPPHHPIYKYKSPPPPYKYISPPPPTPIYKYKSPPPPTPIYKYNSPPPPIPVYKYKSPPPPPPPIYKYTSPPPPIYKYKSPPPPIYKYTSPPPPPPPHHY >DRNTG_26628.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:381284:383087:1 gene:DRNTG_26628 transcript:DRNTG_26628.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIGEGAFMASLIPTILILVISLSLPLETQANYFYGFSPPPPIKHYQYTSPPPPPLHVYKYASPPPPDPVYKYNSPPPPYHWSYKYASPPPPHHPAYKYKSPPPPQHWFYKYKSPPPPTHPIYKYKSPPPPSHDPVYKYQSPPPPHHPVYKYKSPPPPYYPAYKYKSPPPPHHPVYKYKSPPPPSHDPVYKYKSPPPPHHPVYKYKSPLPPSHDPIYKYKSPPPPHHRVYKYKSPPPPHHPVYKYKSPPPPHHPIYKYRSPPPPSHDPVYKYQSPPPPRHHPIYKYKSPPPPYHPGYKYKSPPPPHHPVYKYKSPPPPSHDPVYKYKSPPPPLHHPIYKYKSPPPPYKYISPPPPTPIYKYKSPPPPTPIYKYNSPPPPIPVYKYKSPPPPPPPIYKYTSPPPPIYKYKSPPPPIYKYTSPPPPPPPHHY >DRNTG_06552.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22684989:22688954:1 gene:DRNTG_06552 transcript:DRNTG_06552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEHEVYGADIPADEPYMDGDDDDGDGGDHDPQDSSGTENIEDLKKRLRAIEEETDALQEMHDKVAKEMGAPAFDESSSSAATQAEKEEVDSRSIYVGNVDYGCTPEEVQQHFQSCGTVNRVTILADKFGQPKGFAYVEFVEVDAVQNAILLNESELHGRQLKVSAKRTNIPGMKQYRGRRPNPYMGFRSRRPFMPAPYPSFGYGKAPRFRRPMRYRPYF >DRNTG_06193.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25204591:25206034:1 gene:DRNTG_06193 transcript:DRNTG_06193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALISMKFIMVALLATVILTTLCNAGKVYTGGYLYPQFYDHSCPKASEIVNSIVAKAVTKEPRMAASLLRLHFHDCFVKGCDASVLLDSSGTIISEKRSNPNRNSARGFEVIDEIKSALEKECPNTVSCADILALAARDSTVLAGGPSWEVPLGRRDSLGASISGSNHNIPAPNDTLPTIITKFKLKGLDLVDLVALSGSHTIGQSRCTSFRQRLYNQTGNGLPDFTLNQVYANELRQRCPRSGGDQNLFPLDFVSPTKFDNHYYINIVAGNGLLSSDEILLTKNSVTMELVKQYAVNNGLFFDHFAKSMVKMGNIAPLTGSKGEIRKICRRVNH >DRNTG_11228.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15432914:15441405:-1 gene:DRNTG_11228 transcript:DRNTG_11228.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 10, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G25290) UniProtKB/Swiss-Prot;Acc:F4ICF4] MFISTCEYWAPHGLVNCYSLNSIGPTVEKLSGPRRFLAVYFASAIASSLMSYQFCQSPAVGASGAIFGLVSFLDINFTNSFDRSIFGATLFVFIKSR >DRNTG_11228.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15432914:15441405:-1 gene:DRNTG_11228 transcript:DRNTG_11228.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 10, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G25290) UniProtKB/Swiss-Prot;Acc:F4ICF4] MTPAPRFPSAIFPSPSGRLTPAHLITVASALRLGQSLHLRCSRHLQLLICSAFRDGYQSSYFSGIKEFWHERPYLWKKESHMENLAAALSLSCSSCFTFFSCKHFGKVFGGKRNLQFSTSEDKPFDGRLWTNILLTLNVLVYVSQIATQGKLIFWGAKVNSLIDKGQLWRLATCSFLHANIGHLMVNCYSLNSIGPTVEKLSGPRRFLAVYFASAIASSLMSYQFCQSPAVGASGAIFGLVSFLDINFTNSFDRSIFGATLFVFIKSR >DRNTG_11228.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15432914:15441405:-1 gene:DRNTG_11228 transcript:DRNTG_11228.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 10, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G25290) UniProtKB/Swiss-Prot;Acc:F4ICF4] MTPAPRFPSAIFPSPSGRLTPAHLITVASALRLGQSLHLRCSRHLQLLICSAFRDGYQSSYFSGIKEFWHERPYLWKKESHMENLAAALSLSCSSCFTFFSCKHFGKVFGGKRNLQFSTSEDKPFDGRLWTNILLTLNVLVYVSQIATQGKLIFWGAKVNSLIDKGQLWRLATCSFLHANIGHLMVNCYSLNSIGPTVEKLSGPRRFLAVYFASAIASSLMSYQFCQSPAVGASGAIFGLVGSAAVFALRHRSLFGGAKQDIQQIAQVIAINMVIGFLSRSIDNWGHLGGLLGGAAMSWFLGPAWRFEFKTDDGRSVFTDRPPISRLINRRRSI >DRNTG_11157.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23067683:23070235:1 gene:DRNTG_11157 transcript:DRNTG_11157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRVKRREERKEREKRRKETNDRLPAHSSSHLIFIFFLKITTFIQSALSIT >DRNTG_07092.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1986032:1988189:-1 gene:DRNTG_07092 transcript:DRNTG_07092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIRAACITVLSLLTFLFEGSQSSTTFTFINQCKYTVWAGTLSGAGSIPLPKTGFELNPNATIVLPATTGWSGRFWGRTGCSTDIVGKFSCATGDCASGTMSCSGAGAIPPATLAEITLGTNGSPDFYDISLVDGYNLPMSLIPIGGQGNCKPAICSQNINRMCPTNLQVNGDGGVVACKSACEAFGDPKYCCTGVIWKSKFMQTNIPFQACSRVHVLVLIVMLLMILLALSLV >DRNTG_22747.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4190709:4200715:-1 gene:DRNTG_22747 transcript:DRNTG_22747.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPKTRLPLFQLMKRRFVVLHSREEGGEKDEISVGVGVMVSASAMDLKSASVSERKRDNGSWISNGKRGKTKATGKEKGKGSDDSEDYVSDVMVDTATLTGTTNGVRIKTWQKSAVEVSDILYKNIKGTSASEIAVDFQCSKSVPCHGIVLQDINLVKEGGGTTKSSCKNVEWTKEAQTLPEPCDANY >DRNTG_29890.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4863138:4874992:1 gene:DRNTG_29890 transcript:DRNTG_29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAITAHSQENITGITINEYQVTRARVHNRKAGLDSIWDVACGNFLSMPFADASFDAAYSKLQDVYRESWNQAIICAMFSLLMYTRRMVLQQWMILHLKGRQRLQFYGILSSLLRIPVKNTHMYAFVEHGNDLGSCNVRNLGHVDQKPAVRRSSSRITWVRQLRRRLKIHRFFLHQASGEDQA >DRNTG_10236.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:137136:137675:1 gene:DRNTG_10236 transcript:DRNTG_10236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIANHLPNSTFFSNILQSCIQWKSLRAVQETHAHLLKTQFSSEIFILNRLIDSYAKCGSFLYARNLFDGIPQRNIFSYNTMLTVLTKSGRLEDADILFSSMPDHDQCSWNSMVSGFSQHGHFEDSLEFFNVMHGEDFVLNAYSFSSALSSCAGIMDLRTGMQIYALVSKSCLSHDV >DRNTG_05500.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30223610:30225565:1 gene:DRNTG_05500 transcript:DRNTG_05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycylpeptide N-tetradecanoyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G57020) UniProtKB/Swiss-Prot;Acc:Q9LTR9] MIKEVTRRVHLENIWQASYTAGVVIPTPISTCRYWHRSLNPKKLIDVGFSRLGARMTMSRTVKLYKLPESTVTKGFRKMELRDVPAVTRLLKEYLRQFAVAPDFDQDDVEHWLLPLENVVDSYLVESPETHEITDFCSFYTLPSSILNNPNYSLLKAAYSYYNVSTKTPLQQLMNDALIVAKKNDYDVFNALDVMQNESFLKELKFGPGDGHLHYYLYNYRIRKALHPSELGLVLL >DRNTG_03201.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12211777:12213177:-1 gene:DRNTG_03201 transcript:DRNTG_03201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTKVVEKLFDVDPDMTMVSLAEMMRKRIVIFPNPWHVMSLLRPLTLPCKMGVMPSFRLSFSILPFLLSSILLSGFIPSLLKSTVAAT >DRNTG_16805.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1620566:1622067:-1 gene:DRNTG_16805 transcript:DRNTG_16805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMLTWKFFIAMVAITAVVTKAQDSACLSELVPCLQFINSNKTPSSSCCQPLKSLIKSNPQCLCSLLGSDSATRQAGVNMTRAQLLPAKCGDKVSLHRFF >DRNTG_30095.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31208494:31215057:1 gene:DRNTG_30095 transcript:DRNTG_30095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFELYRRSTIGMCLTETLDEMVSNGTLSPELAIQVLVQFDKSMTEALEAQVKSKVAIKGHLHTYRFCDNVWTFILQDAQFKNEECQEHVGKVKIVACDSKLLNQ >DRNTG_09717.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:713936:714598:-1 gene:DRNTG_09717 transcript:DRNTG_09717.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGKASWMVAMSVGAVEALKDQVGLCRWNYALRSLHQHAKNNLGYLSQARRISSSVDVMTKAEVDEKMKKTEETLKKVMYLTCWGPN >DRNTG_09717.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:713798:714469:-1 gene:DRNTG_09717 transcript:DRNTG_09717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGKASWMVAMSVGAVEALKDQVGLCRWNYALRSLHQHAKNNLGYLSQARRISSSVDVMTKAEVDEKMKKTEETLKKVMYLTCWGPN >DRNTG_09717.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:713936:714469:-1 gene:DRNTG_09717 transcript:DRNTG_09717.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGKASWMVAMSVGAVEALKDQVGLCRWNYALRSLHQHAKNNLGYLSQARRISSSVDVMTKAEVDEKMKKTEETLKKVMYLTCWGPN >DRNTG_08108.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13619246:13622111:-1 gene:DRNTG_08108 transcript:DRNTG_08108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARLRYLDENMDPGVFRAELDKARSERVERVSREAYTRSQVSYYSDPRSGWTLPHSKSNTRVENPSSHPFDEWSSPLRLDLARLKKVDKVSREIAERPLSPPT >DRNTG_06949.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:814603:820446:-1 gene:DRNTG_06949 transcript:DRNTG_06949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQYLLNQHYDTWADFLHTACFYCCNYQRHFIKHHGGDNEAEHPPHPPAHHLLQLRATPYQRLANPNLHLLVITQGRQHLPGVPNLGPLRPQAPPRRCEAPTKASSSPISSFRHF >DRNTG_29113.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10393018:10403677:1 gene:DRNTG_29113 transcript:DRNTG_29113.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKWRWNLHCKVRCIERSFSISLGILACSYNIPAKLLFRLFFC >DRNTG_29113.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10393018:10403677:1 gene:DRNTG_29113 transcript:DRNTG_29113.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKWRWNLHCKVRCIERSFSISLGILACSYNIPAKLLFRLFFC >DRNTG_29113.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10393018:10403677:1 gene:DRNTG_29113 transcript:DRNTG_29113.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDWNEDRVGDTIWGEFSESEDHIVPYPKEGEEDTLLICHDFGKKQKNEGSTTDIKSAQQTSEDKRDLPGCDFENSSSCNANKEFSAPRLDLDSWPDLPSISAALSKGYNDENNESSLQAGLMDFSEASNLNSVRVQLEGNHELFNNEHDDREDDSFLDCDWANMGDFNDLDKIFRSNDSIFGHDIGSNADEFLSSSADVISGTAQSIPMPDIPMSRDQPSDQEFPYAFSEHSDGIRKPEEKTSDITVKTEEQMVSSSNLTNHSSGIQTQPSDKAGKQSKQVKPRKKAEDRSKNNFSQNLNGAWSNRISQSQQFPSPKAHPSLLTSVQTFQHPAISQQRQGESEHMGYPISSSQFMFSGYGFPAYSFPAIPVLPCAPAERNQMAPVVVGYKSNVDQSKGPNSSDKLPDSSKPLTMTPQEKIEKLRRRQQMQAMLAIQQQQQQFGKQISGSDSLASQACSQKNQNQDASTSTIGVEESANKILSSEMSMLGELDESNRISLLIDDQSLEETIFYQLQDALRKLDTRVKLGIRDSLFRLAKSAMERQSASDRSSTNKINREDDEVAANIDGNGQDRSARFSDTETITNPIDRMVAHLLFHIPSESTTKPMTDELPRSPTLCSTVSLSTPGSISEEQSENAEEMEVEPSL >DRNTG_29113.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10393018:10403677:1 gene:DRNTG_29113 transcript:DRNTG_29113.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKWRWNLHCKVRCIERSFSISLGILACSYNIPAKLLFRLFFC >DRNTG_29113.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10393018:10396838:1 gene:DRNTG_29113 transcript:DRNTG_29113.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFDWNEDRVGDTIWGEFSESEDHIVPYPKEGEEDTLLICHDFGKKQKNEGSTTDIKSAQQTSEDKRDLPGCDFENSSSCNANKEFSAPRLDLDSWPDLPSISAALSKGYNDENNESSLQAGLMDFSEASNLNSVRVQLEGNHELFNNEHDDREDDSFLDCDWANMGDFNDLDKIFR >DRNTG_23207.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10515405:10516987:1 gene:DRNTG_23207 transcript:DRNTG_23207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVLLLLPIVFFNGLCKSDVMTAPMHKGELQALYLVIQGFTGNSWNVSQLYPDPCGWTPIQGVSCDIFHKLWSVTSINIGPVFENSLACTPQANFNQYIFGLKHLRSLSFFNCFSSQHPTKIPSNAWKHLSESLENLEFRSNEGLVGKIPKSFGHLTNLKSLVLVENSLTGEVPQELANLVNLKKLSLSSNALSGRIPASLGYNNSMNQLLILDFSRNSITGPIPSSLGSMISLLKLDLSNNNLNGMLPLELGNLRNLTLLDIRNNNISGELTQSLQCMASLQDMLMSNNQLDGRIMEFRWDNLRNLINLDLANNSITGEIPESMISLKRLRYIALDNNQIIGSVPSKFCIFAMSQGPLSAWQQFDRKTSVFSRIL >DRNTG_24083.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26378673:26380610:-1 gene:DRNTG_24083 transcript:DRNTG_24083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKHYKKSKYQAWTKDLHRILCMQGGEDDGSYAKNSEAPASAVVLSKPLLIEAIHSMKLFSNETSIRIADLGCATGYNTLSTMELIVGTLSQRYEREQYYCNSNIDKNNNKVPEFEAFFSDLPSNDFNSLFRSLVPSSLEDSIKKPYFTAGVPGSFYKRLFPKAKLHFVVSLSALHWLSQIPEEVLDKQSPAWNEGRAWIDGGKKAAVDAYAKQSSEDLEAFLQCRKEEVVQGGMLFLLMAGRPGCSQPDNQLGDPDSRAKHPFTSYMDQAWKDLLNEGLIDEDTRDTFNIPAYMRSMEEVREAFEKCDGFEIMKLEFQRIVEHSKEKQEEWIKDPVSYGKCKANLVRATLKPIVEAHLGQVLCEELFKRFEKRVSEDITMLHKTCFYGVIVVSAIRK >DRNTG_02385.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7598560:7606412:1 gene:DRNTG_02385 transcript:DRNTG_02385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSVVSGDHELGRFSDTPGDASLDDLFQPLDKVHGDQVSGASTSTIDQGNSVLLDGVQSDLAKTLKARMSQKQMENDAGQRNGGKLLEFVMGVLQEDGIDIDSTVFDENLPADNLFTLQPVEFSKLVGLLKPDVPEDRILSACQKLFTFFMQRPEQKHVFMSQYGLLPLMELLDVPKNRVISSVLQVINLIIKDNPSFQENACLIGLIPLVMNFAVHDRPREVRMQAAYFIQQLCQSSILTLQMFVACRGIPVLVGFLEADYAKYREMVHLAIDGMWQVFKLQHSTPRNDFCRIAAKNGILIRLVNTLHNLNEATRLASLPIPQNATPRSRSGLLDPSNQYFVAQFEAPASNSGQLDPSKVRLENPLSAGNIELLPTSASHPQRPDVNLLDTKQYSGDKDKSHQGHANIEASVTARLLEGATENTGLLMNRVSATTSKEQEHLGLWKPDVSRVEIDLSRQQRAINPACRNSTDIPRRSVDCTSNLNNVSASALGPQNDQIRPLLSLLEKEPPSRHVSGQLDYVRHLSGLERHENILPLLHATTERKVNGELDLLMAEFAEVSKHGRENGTLDANMRYPNRTSSKKVLPSHAGSANANEGATSGVASQTASGVLSGSGVLNARPGSTTSSGLLSQMVSSLNADVAREYLEKVADLLLEFAQADTIVKSYMCSQSLLSRLFQMFNKIESPILLKILMCINYLSTDPNCLENLQRADAIKYLIPNLELHEGPLVFKIHNEVLNALFNLCKINKRRQEQAAENGIIPHLMNFIESDSPLKQNALPLLCDMAHASRNSREQLRAHGGLDVYLNLLEDEAWAVVALDSIAVCLAHDNDHRKVEQALLKKEAIQKLVKFFQNCPEQYFVHILEPFLKIITKSARINTAMAINGLTTLLIARLDHQDAIARLNLLKLIKAVYEHHPRPKQLIVENELPQKLQNLIEERRDGQRSGGQVLVKQMATSLLKALHINTVL >DRNTG_35466.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3744941:3747514:1 gene:DRNTG_35466 transcript:DRNTG_35466.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEGDVAPGQPKRRTFRKFSFRGVDLDQLLDMSTDELVKLFHARARRRFQRGLKRKPMALIKKLRKAKKDAPPGEKPEPVRTHLRNMIIVPEMIGSIVGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >DRNTG_25357.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24151961:24152887:-1 gene:DRNTG_25357 transcript:DRNTG_25357.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMDNEHHSTIHSRLDRLDIMMGYLEEIKTSKAMGNSFASTTTSSATGTTTTTTTTTTSEGALGNSSVNSSPKSITDVVTETQKKGSLLDRVNHLENRVMKLSLQVEKENQEDKSLKSNKENKKHKKGLKHLVKSCVNGGDVMTKD >DRNTG_23347.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6033315:6036919:1 gene:DRNTG_23347 transcript:DRNTG_23347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFLKQMIQEKVGQRARADQQEALPSAKRNPRKRIEKPTTEKYTRKPRVEEGERQAKEERVVEGMMDEVHSKRTEALAEAYGGGSEPFGEGAEIGAKEGVGSVEEAVVRAKRATCDVHQRNARAQRVHRVRTRARHRRMPNAECRMPNGSHSA >DRNTG_31774.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19001415:19012873:-1 gene:DRNTG_31774 transcript:DRNTG_31774.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSITVCWVSKDLCNISKPSECCCIEKVRESILASYLAMRFSGTYEKKGDGGRVEEGKEEQRARRLVIMAVNLVNGRYYLTLVVETLAELKVHMTPRHREIIRRTPFEAFTKLEAIFQERALLDSLLQRYDGHTNKFKIGESLLSFKPEDVALVLGLRCDGDAVVFQKKKTCSAFEERYLSKTYERHRDSIKSTLEQLVGQRGEEENFAKLLMMYLMDTILFLNTSCSVPNWIVDYVDDLDGMGRYAWAQETHKWLMEDIPQAAARVQARCVGKKTNTWYIKGCSVALNIWFYELTGTEKKFPELVPANADEDIFVLANRQVDVIAPKPLAQRQDERATSSVNARCHSPTSNPTRARRSQSTALSLQRNEAPGTDEASEFDDDDDIIGAAIPKRPYSKRLAKKRKTIMPIYPPPADDETIAAPSAADAITINDMTITVGEIIDDVAIAAVDKIVDSVVNEIPNPMEPAAESAASKMDTIPEEQEPAKIVPPVDTVAVATAEKIVDSVVNKIIVTVEPTADCVASKTNTFPQQQEASKDMSPVDVVVVPASKEDAAGVEHQQPSTIVPHDDPKIAIDEGQGNATAMAKRDMILAI >DRNTG_22247.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1202637:1203876:1 gene:DRNTG_22247 transcript:DRNTG_22247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDISTNPFNQVNMMLSACSNGDYHHHHHHVQQEQQQQQQQQQQEKKPRPQQEQALKCPRCESINTKFCYYNNYSLSQPRYFCKGCRRYWTHGGSLRNVPVGGGCRKNKRSNSSSSSSSNSTSTSSSSASTSSSSSPSSSSSSSSSTITTTATATATTILPPPPPPPPPFYDSFFIQNPNPNPSHGFLDILSGGGNVNASHHNFYFGNVQDMDMVVPFEGGLIPCKSFDGEDHNNNNNNINNNKVLMGLPWQFNNGDVSMGFDYASWHGLINSSLM >DRNTG_20530.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001126.1:9383:10229:-1 gene:DRNTG_20530 transcript:DRNTG_20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAGTIVFSAPQIVSYKPIPMMSLVMYGQEKRTPILAYCPWCYKSGKTGDLGHPRLTLSLSVQRSVCTLRRQTAEMAGSRRVNNLRQLPTNNSSSTSSAISLGECSLGRLSTVFFVLGVISTKIK >DRNTG_11642.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:65348:69433:-1 gene:DRNTG_11642 transcript:DRNTG_11642.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHDDASPTTVPNAPVSESSSSSSSSDKISSADPYLHYLEKKIDDALSTPALLAEFPIIHAPKAIVKRVTSQAKRKISNVSEDLLYTSNISFQVAAALRRSLLTEDDGQSGLSRSSASQHGNLLNFSPNSVADKLAVFINGQGDIHGLSIKACSGHLNFYSTTKLPGTDFLSSEACAHSDGKRVNPKRGCVSGGGIGQHHKRRRLEIRMKRSSFDPEEFALYKRYQIKVHNDKPEKVSESSYRRFLVDTPLVFVPPSSGNETVPHCGFGSFHQQYLIDGKLVAVGVVDILPRCLSSKYLFWDPELSFLSLGKYSALQEINWVKEAGIHCPRLQYYYLGYYIHSCSKMRYKAAYHPSELLCPLRYKWVPFHIARPLLDEKPYVILSDFTAQSDGFTNKFTDGLSSLSELRSEDDDLNEASSEEDDSDDNEPGFEGITVGTEAELVVETGTTLASDDLGPVDLSNIMIDVNGLRIKYKELEHVVGPIDKMHLNLLERQLHRYVKVVGEKLANYVVYTLG >DRNTG_11642.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:65348:69433:-1 gene:DRNTG_11642 transcript:DRNTG_11642.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGASSSSSGGGGVAEGGETVVVDHGRRRSSCGYCRSTSHSCISHGLWAYSITVDNYQELLDRGWRRSGCFLYKPEMERTCCPSYTIRLKVEDFSPSKEQVRVQKKMQRFLDGTLDVKKPEQVKLTQDSSKSRCMHDDASPTTVPNAPVSESSSSSSSSDKISSADPYLHYLEKKIDDALSTPALLAEFPIIHAPKAIVKRVTSQAKRKISNVSEDLLYTSNISFQVAAALRRSLLTEDDGQSGLSRSSASQHGNLLNFSPNSVADKLAVFINGQGDIHGLSIKACSGHLNFYSTTKLPGTDFLSSEACAHSDGKRVNPKRGCVSGGGIGQHHKRRRLEIRMKRSSFDPEEFALYKRYQIKVHNDKPEKVSESSYRRFLVDTPLVFVPPSSGNETVPHCGFGSFHQQYLIDGKLVAVGVVDILPRCLSSKYLFWDPELSFLSLGKYSALQEINWVKEAGIHCPRLQYYYLGYYIHSCSKMRYKAAYHPSELLCPLRYKWVPFHIARPLLDEKPYVILSDFTAQSDGFTNKFTDGLSSLSELRSEDDDLNEASSEEDDSDDNEPGFEGT >DRNTG_11642.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:65348:69433:-1 gene:DRNTG_11642 transcript:DRNTG_11642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGASSSSSGGGGVAEGGETVVVDHGRRRSSCGYCRSTSHSCISHGLWAYSITVDNYQELLDRGWRRSGCFLYKPEMERTCCPSYTIRLKVEDFSPSKEQVRVQKKMQRFLDGTLDVKKPEQVKLTQDSSKSRCMHDDASPTTVPNAPVSESSSSSSSSDKISSADPYLHYLEKKIDDALSTPALLAEFPIIHAPKAIVKRVTSQAKRKISNVSEDLLYTSNISFQVAAALRRSLLTEDDGQSGLSRSSASQHGNLLNFSPNSVADKLAVFINGQGDIHGLSIKACSGHLNFYSTTKLPGTDFLSSEACAHSDGKRVNPKRGCVSGGGIGQHHKRRRLEIRMKRSSFDPEEFALYKRYQIKVHNDKPEKVSESSYRRFLVDTPLVFVPPSSGNETVPHCGFGSFHQQYLIDGKLVAVGVVDILPRCLSSKYLFWDPELSFLSLGKYSALQEINWVKEAGIHCPRLQYYYLGYYIHSCSKMRYKAAYHPSELLCPLRYKWVPFHIARPLLDEKPYVILSDFTAQSDGFTNKFTDGLSSLSELRSEDDDLNEASSEEDDSDDNEPGFEGITVGTEAELVVETGTTLASDDLGPVDLSNIMIDVNGLRIKYKELEHVVGPIDKMHLNLLERQLHRYVKVVGEKLANYVVYTLG >DRNTG_11642.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:65348:69433:-1 gene:DRNTG_11642 transcript:DRNTG_11642.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHDDASPTTVPNAPVSESSSSSSSSDKISSADPYLHYLEKKIDDALSTPALLAEFPIIHAPKAIVKRVTSQAKRKISNVSEDLLYTSNISFQVAAALRRSLLTEDDGQSGLSRSSASQHGNLLNFSPNSVADKLAVFINGQGDIHGLSIKACSGHLNFYSTTKLPGTDFLSSEACAHSDGKRVNPKRGCVSGGGIGQHHKRRRLEIRMKRSSFDPEEFALYKRYQIKVHNDKPEKVSESSYRRFLVDTPLVFVPPSSGNETVPHCGFGSFHQQYLIDGKLVAVGVVDILPRCLSSKYLFWDPELSFLSLGKYSALQEINWVKEAGIHCPRLQYYYLGYYIHSCSKMRYKAAYHPSELLCPLRYKWVPFHIARPLLDEKPYVILSDFTAQSDGFTNKFTDGLSSLSELRSEDDDLNEASSEEDDSDDNEPGFEGT >DRNTG_25237.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27504931:27508503:-1 gene:DRNTG_25237 transcript:DRNTG_25237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASYGLLCFYTRVFRFNSCCNFIKSVILFLMLMVVCYVFTGESCFCFSHVQGVCDLLAMKMKESYGLDTDPLTDYVISCDQTKAFSATVFAIIDQGDEVLLFDPAYETYETCISLAAGWRSACLCATGSISLEFKYG >DRNTG_25237.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27504931:27508503:-1 gene:DRNTG_25237 transcript:DRNTG_25237.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKLSQVALKLKPSPIQELSHLAQRCGAINLAEGFPDFPAPIAVKKAAISAIDADLNQYSHVQGVCDLLAMKMKESYGLDTDPLTDYVISCDQTKAFSATVFAIIDQGDEVLLFDPAYETYETCISLAAGWRSACLCATGSISLEFKYG >DRNTG_25237.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27505299:27507463:-1 gene:DRNTG_25237 transcript:DRNTG_25237.9 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFAITDEVYKYITYDDHKHICLASLPGMRERTIVTSSLSKTFSVTGSNKKLWLSNLIFFTSIRNKNH >DRNTG_25237.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27504931:27508503:-1 gene:DRNTG_25237 transcript:DRNTG_25237.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKLSQVALKLKPSPIQELSHLAQRCGAINLAEGFPDFPAPIAVKKAAISAIDADLNQYSHVQGVCDLLAMKMKESYGLDTDPLTDYVISCDQTKAFSATVFARLWMALKQ >DRNTG_25237.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27505299:27508503:-1 gene:DRNTG_25237 transcript:DRNTG_25237.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKASYGLLCFYTRVFRFNSCCNFIKSVILFLMLMVVCYVFTGESCFCFSHVQGVCDLLAMKMKESYGLDTDPLTDYVISCDQTKAFSATVFAIIDQGDEVLLFDPAYETYETCISLAAGWRSACLCATGSISLEFKYG >DRNTG_25237.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27504931:27508503:-1 gene:DRNTG_25237 transcript:DRNTG_25237.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKASYGLLCFYTRVFRFNSCCNFIKSVILFLMLMVVCYVFTGESCFCFSHVQGVCDLLAMKMKESYGLDTDPLTDYVISCDQTKAFSATVFAIIDQGDEVLLFDPAYETYETCISLAAGWRSACLCATGSISLEFKYG >DRNTG_25237.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27505299:27508503:-1 gene:DRNTG_25237 transcript:DRNTG_25237.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTKHASVWQLAGGVPVYVPLDPSHWSLNMDKFMKSCNARTKAVVLNSPHNPTGKVFIKEELEVIAGACGKMNCFAITDEVYKYITYDDHKHICLASLPGMRERTIVTSSLSKTFSVTGSNKKLWLSNLIFFTSIRNKNH >DRNTG_25237.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27504931:27508503:-1 gene:DRNTG_25237 transcript:DRNTG_25237.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKLSQVALKLKPSPIQELSHLAQRCGAINLAEGFPDFPAPIAVKKAAISAIDADLNQYSHVQGVCDLLAMKMKESYGLDTDPLTDYVISCDQTKAFSATVFARLWMALKQ >DRNTG_25237.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27504931:27508503:-1 gene:DRNTG_25237 transcript:DRNTG_25237.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKASYGLLCFYTRVFRFNSCCNFIKSVILFLMLMVVCYVFTGESCFCFSHVQGVCDLLAMKMKESYGLDTDPLTDYVISCDQTKAFSATVFAIIDQGDEVLLFDPAYETYETCISLAAGWRSACLCATGSISLEFKYG >DRNTG_28225.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11882384:11884485:1 gene:DRNTG_28225 transcript:DRNTG_28225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSGMESLGPNSEFNETPLKIAIVGFGNFGQFIAKGIQRQGHVVLASSRSDYSDYCNENGIKFFKNLEGLCEEEPDIVLICSSILSTESVTLGIPFHKLKQDTIFADVLSVKEFPRNLFLDVLPPEFGIVCTHPMFGPDSGRHGWAGLPFVYDEVRILEGSTQAQKCAQFLSIFQKEGCRMVEMSCEEHDRYAAGTQFITHTIGRILSHLNLESTPINTNGFETLLHLTESTVSDSFDLYYGLFMYNVNAMEQIENLSRAFEAVKQKLFSRLHGILKKQIIERVSFQTSIPEEKPGSYFLPDNKKMKDFSSFAEPSEKTSKEQEDE >DRNTG_17176.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10560571:10561183:1 gene:DRNTG_17176 transcript:DRNTG_17176.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIPAHPGLLIRQTRALAGYKQGDLVKKKIGISYYRHTTQYGGHIHSSNGIIQSVCPDFDTTQYTGPYGGRVHPGRKGTFLLDFLRGIFWETFERLVATLGRSRRARKLEDHPSPRSKTLKAKRLLHSRGDLPRFEERRPAARESIIGHSFGGESVIRHILHLLVHHFI >DRNTG_16981.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000861.1:25905:26660:1 gene:DRNTG_16981 transcript:DRNTG_16981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSRRRGRARDRGSGVHSGPVSVGAAVKENETFNPHSMAVDRVLSALDGDDLDGDDSVDEDGESDGSEDDMSDDVGPDDFMILVQVQNDVRRDNMIRKGTLVMGSSQKKGRIGVGEPSS >DRNTG_07713.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23043644:23043935:1 gene:DRNTG_07713 transcript:DRNTG_07713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLIEDIRRAQRAEGPATILAIGTATPAHCVYQAEYPDYYFRITNSNHLPDLKEKFKRM >DRNTG_09292.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:865261:866334:1 gene:DRNTG_09292 transcript:DRNTG_09292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAMASMAGLRGSTQGVLEGSLQLSGSSRLISPSGSRVALNKPGFAVRAQQAEGDAGSQSSRRAVLGLVAAGLAGGSFVNAVLADVKSIKLGPAPPPSGGLPGTLNSDEPRDLDLPLKERFFIQPIPPAEAVKRAIVSAKEILGVKEYIAKKEMALCHERPSPQGFIPSI >DRNTG_01363.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:372604:378869:-1 gene:DRNTG_01363 transcript:DRNTG_01363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAARDPVLRPPLIPSEKDNAAPSRRPKSKEIPSRYSSSSSSSSSSSSSSGRRFPSPLLTPATPARPATPKRSHSVDRARPSTPNAGTSSAARALCTTTRSLSVSFQGESFFYQTSKAKAASPSPARKSTPERRRPSSTVATPSENSKPFEHHRWPAARPRQSNPLARSLDCSLNHKEPVLAAVRLLNQFEDTTRRASFDGGEFSASSDTDSVSSGSNSGVQESRPKALPRGISVPARFWQETNSRLRRLPQPGTPLSSSPISRTPVVPPNLANVKRSLVDSPLSSPRTVSSPLRGPARPSSPSKLAASPSLRGTASPLKTRGSVVSGSNVIVQQSGNAPSILSFAAEVRRAKKGENRIEEAHMLRLFHNRQLQWRWVNARAGAALLLQRLTAEKNVFNAWITISGLRDSLTLKRIKLHLLRQNVKLISILKGQMAYLEDWSLLDREHSSSLSGAIEALKASTLRLPIVGGARADIQEVKDAVGSAVDIMQSMGSSICSLLSKVEGTSALVSELAKVAAQEQALLDQSKDLLSTIAAMHVKQCSLQGHIIQLNCKPTQLLL >DRNTG_14879.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2904080:2910882:1 gene:DRNTG_14879 transcript:DRNTG_14879.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BOLA4, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G17560) UniProtKB/Swiss-Prot;Acc:Q9LF68] MRAPQALMLRSSSPIAAFFRRPSTSFLPVVSSRFANSISSSSFQGFLNPIRFSPATKLDLKSVSLSEPGHGFGVSPARRLCSVRASPVSDSGSIDMPLMQSMEKKIKEHLNADSVTVKDAYGDGRHVSIDVISTAFEGQSSVNRQRMVYKAIWEELQSTVHAVDQMTTRTPAEAAENQ >DRNTG_14879.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2904080:2910528:1 gene:DRNTG_14879 transcript:DRNTG_14879.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BOLA4, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G17560) UniProtKB/Swiss-Prot;Acc:Q9LF68] MRAPQALMLRSSSPIAAFFRRPSTSFLPVVSSRFANSISSSSFQGFLNPIRFSPATKLDLKSVSLSEPGHGFGVSPARRLCSVRASPVSDSGSIDMPLMQSMEKKIKEHLNADSVTVKDAYGDGRHVSIDVISTAFEGQSSVNRQRMVYKAIWEELQSTVHAVDQMTTRTPAEAAENQ >DRNTG_14879.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2904080:2910882:1 gene:DRNTG_14879 transcript:DRNTG_14879.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BOLA4, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G17560) UniProtKB/Swiss-Prot;Acc:Q9LF68] MRAPQALMLRSSSPIAAFFRRPSTSFLPVVSSRFANSISSSSFQGFLNPIRFSPATKLDLKSVSLSEPGHGFGVSPARRLCSVRASPVSDSGSIDMPLMQSMEKKIKEHLNADSVTVKDAYGDGRHVSIDVISTAFEGQSSVNRQRMVYKAIWEELQSTVHAVDQMTTRTPAEAAENQ >DRNTG_26615.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:276867:281145:1 gene:DRNTG_26615 transcript:DRNTG_26615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEFRVLGPSERETTLVTAPMFHSMGFFLVLKSMALGETTVFPGNGGPLLPTIAAVAAKYRVTQLSTAPTLVVALTQLEDTMRFELSTLRRVYCGGAPLSQEAAERFQSLFPAVEIIQGYGATEAGLISRMISREECLRRRSVGRLNSNLEAKIVDHVTGEALSVGQHGEFCVRGPTIMLGYIGDPEANAQAFDSEGWLKTGDLCYFDEDGFLYIVDRIKEMIKYKAYQVAPAELEQVLNSSPDILEAAVVPYPDEFAGQIPMAFVVRRPGSNLSEADVMKFVAELVTPYKKIRRVAFVNSIPKTPSGKILKRVLANVASSGSSSKL >DRNTG_21377.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19423041:19425786:-1 gene:DRNTG_21377 transcript:DRNTG_21377.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHHPMDPTPILPPSGPSRLPPLALLIYASTLQNNTEIHHHSLPFPTKLEHKTGNSSNKLMDRWDRPPPHRNRDNPSFSSSLLDAIYRSIDEPEDATSSRNHTRRAPDRLSIPTTAPSRKPVSGDRITAPVTDLPLPHRTYDHRHRHRPTFLSTSSSSDGSSYGGFSSSDAESAAHSVRPIRVPSRSDPPPPAQEKKKPGSIRSRFRDLRKSRAPASPGARLASFLNSLFTAAATHKKPKHRAPAPVPEETTPCSSASSYTRSCLSKTPSSASRRAPRSVRFCPVGVVVGEDCRPVGEKCIYPAAGAFPEEMEEDDDVASDASSDLFELENLTAIGSGYHDELPVYETTNLGTNRAIAYGFL >DRNTG_21377.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19423041:19428893:-1 gene:DRNTG_21377 transcript:DRNTG_21377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHHPMDPTPILPPSGPSRLPPLALLIYASTLQNNTEIHHHSLPFPTKLEHKTGNSSNKLMDRWDRPPPHRNRDNPSFSSSLLDAIYRSIDEPEDATSSRNHTRRAPDRLSIPTTAPSRKPVSGDRITAPVTDLPLPHRTYDHRHRHRPTFLSTSSSSDGSSYGGFSSSDAESAAHSVRPIRVPSRSDPPPPAQEKKKPGSIRSRFRDLRKSRAPASPGARLASFLNSLFTAAATHKKPKHRAPAPVPEETTPCSSASSYTRSCLSKTPSSASRRAPRSVRFCPVGVVVGEDCRPVGEKCIYPAAGAFPEEMEEDDDVASDASSDLFELENLTAIGSGYHDELPVYETTNLGTNRAIAYGFL >DRNTG_01401.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4553741:4554154:1 gene:DRNTG_01401 transcript:DRNTG_01401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDFSTSQSQSQSQSQSLKLKVRSFLCFSCCFREDSDDAPTTTSTSLLRSSSAWVRSTAPEIADRCRSLASRIHRQRRRSVDFTYDPLDYALNFDDGFDAAAAGDGGVSFDGFKYRSFSSRLPASPPVTLAVAAMK >DRNTG_25393.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24439830:24444009:1 gene:DRNTG_25393 transcript:DRNTG_25393.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPECTKIMLNRLQKLEPEMATKIMGYLLLNLSERQMIECALGPDKQILALINKAKEYLASSPKQVASSPLQSQFDHPYPMNYLPTSPTISRPFSSPTSFRVAAPSPVWDAHLAPEQQQQQQQMMPTYNLNHSPPFNDMFGDDMGLHDQAEFFGSEEKFNSLHRMSPEFSGNYLYQDAARRTGLRSPPACNYFYRGYCRHGINCRYYHGHAGPDNFSLMYASDAHELANDDHVFPPGSLEKLELEIRQLLKARSGIPVSIASLPMLYQEKYGRPLQADGYLTESQRHGKTGFSLTKLLARLKNSICLIDRPHGQHSVVLVEDVPKYADYRNDRIDFLQVAASSCQIYLTFPADSTFTEEDVMNYFNEFGPVRDVRIPRQEKRMFGFVSFLYPETVRLVLDKGPPHFICGSRVLAKPYKEKPKLSDRKFAERIEHPIYQPALFLEMDPDINTMSVPRFLDNSRFLPNHFAEDPELALELESRRLLELQLTPKTPKPVTQFPYLGHQTQESSAPKDNFASQLVPSSGSPSDDKAHLLSINNLSDHDGDHIELPESPFAASH >DRNTG_25393.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24439830:24444009:1 gene:DRNTG_25393 transcript:DRNTG_25393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPECTKIMLNRLQKLEPEMATKIMGYLLLNLSERQMIECALGPDKQILALINKAKEYLASSPKQVASSPLQSQFDHPYPMNYLPTSPTISRPFSSPTSFRVAAPSPVWDAHLAPEQQQQQQQMMPTYNLNHSPPFNDMFGDDMGLHDQAEFFGSEEKFNSLHRMSPEFSGNYLYQDAARRTGLRSPPACNYFYRGYCRHGINCRYYHGHAGPDNFSLMYASDAHELANDDHVFPPGSLEKLELEIRQLLKARSGIPVSIASLPMLYQEKYGRPLQADGYLTESQRHGKTGFSLTKLLARLKNSICLIDRPHGQHSVVLVEDVPKYADYRNDRIDFLQVAASSCQIYLTFPADSTFTEEDVMNYFNEFGPVRDVRIPRQEKRMFGFVSFLYPETVRLVLDKGPPHFICGSRVLAKPYKEKPKLSDRKFAERIEHPIYQPALFLEMDPDINTMSVPRFLDNSRFLPNHFAEDPELALELESRRLLELQLTPKTPKPVTQFPYLGHQTQESSAPKDNFASQLVPSSGSPSDDKAHLLSINNLSDHDGDHIELPESPFAASH >DRNTG_19047.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:21324149:21324721:1 gene:DRNTG_19047 transcript:DRNTG_19047.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEPQQSQLLAPTLQSRASQNDHRHKSEIECALEMALLEWVVPRSPSAMVATPAQADHPR >DRNTG_17562.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:16759680:16760425:-1 gene:DRNTG_17562 transcript:DRNTG_17562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVELLLSVMAQQRLPHAGQAVFLIHIVHTGDEGCLLIVMMTMPRTVVVAIPMRLPLVVTLMLAYSMGIMMLIRL >DRNTG_14986.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24005042:24006884:1 gene:DRNTG_14986 transcript:DRNTG_14986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSPRQRFFSSLDLVEKRMASESAKKTLTEANPIPFSSSSNDQLRSPLYLLNTNPIPVSGTSSSGPPLDFLSNDSPQEQRSSDDGDGDEIEQLIELLGGEKGINLKCEKEESRLDGWIEYYRRERKEPARLAHLLLGKAVSFSGDGAFAGLGFPSTVHDFLRNDPPMR >DRNTG_02112.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10815487:10816176:1 gene:DRNTG_02112 transcript:DRNTG_02112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYWAFGNKADSTILSNFIKENGYTSVPKWFFLMSNSFALLQLSAVVVKNQYSARNVIPRLVFRSLSTIIATTITAMLPFFGDISAVLGAFGFLPLDFVVPFIFYNITFKPSTRGAIFWVNTIVAIVFSMFSIINSIFAVRQVVLDAKNYKHFANL >DRNTG_16861.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21930535:21932771:1 gene:DRNTG_16861 transcript:DRNTG_16861.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMKHDITIYQDSSQNITQKLFIFVGVIAYTVLILKIMTLPTKHALSPLFPGFTVPELDTSSRTDGIDPTEIQNIDVSVNEGENNHGFMVKQLEPDVEKNGNMELQIAAEMVEENHAETYSGTDDKLLLHNGMIVSNDSSMGMGNNTKHSAPKIQDMNQQPISDI >DRNTG_16861.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21930535:21932771:1 gene:DRNTG_16861 transcript:DRNTG_16861.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMKHDITIYQDSSQNITQKLFIFVGVIAYTVLILKIMTLPTKHALSPLFPGFTVPELDTSSRTDGIDPTEIQNIDVSVNEGENNHGFMVKQLEPDVEKNGNMELQIAAEMVEENHAETYSGTDDKLLLHNGMIVSNDSSMGMGNNTKHSAPKIQDMNQQPISDI >DRNTG_16861.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21930535:21932771:1 gene:DRNTG_16861 transcript:DRNTG_16861.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMKHDITIYQDSSQNITQKLFIFVGVIAYTVLILKIMTLPTKHALSPLFPGFTVPELDTSSRTDGIDPTEIQNIDVSVNEGENNHGFMVKQLEPDVEKNGNMELQIAAEMVEENHAETYSGTDDKLLLHNGMIVSNDSSMGMGNNTKHSAPKIQDMNQQPISDI >DRNTG_14893.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20665274:20666617:-1 gene:DRNTG_14893 transcript:DRNTG_14893.2 gene_biotype:protein_coding transcript_biotype:protein_coding KHQRSNLGKSLRPSASTTPSASYSTCLVLNPSKSLVFLQFTSMYIFFMGFRIGDRLRLLIIL >DRNTG_14893.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20663033:20666617:-1 gene:DRNTG_14893 transcript:DRNTG_14893.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALLSGRYTLAYLSKHACKIFCLRLMVVRCFGWHIRLLMSKELLPQLVSKLLLLAFSLIMLYS >DRNTG_29468.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:822343:826044:-1 gene:DRNTG_29468 transcript:DRNTG_29468.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEEETTTLFQSVSPLPSPLNSRSSASSSLSSTEIDLQNHQHQDKDDDDDDDDDGDPGPCFLVVGNYCHDVLFRDGVVVGEGLGGAASFVSNVFNSLFASSSHLFHSCYVSKVGTDFAYTHTLPGRHPPLVSPTAATTLFHGHFPSLASADLADRVLKRVHSCDPILPSDLPSSKFRFGLAVGVGGEIPPLTLARLLDLCALVFVDAQSLIRTFDPSDGTVGLIPLKDSEFSSLVSRIGFLKVSAEEAPFLDIDEARKWCCVILTQGKDGCSLFWKDGHSQVLPFPTVQIDPTGAGDSFLGAFVAGLAMGLGVQESALLGNFFGSLTVGQIGIPKFDQQMMQKIKQELERNATSNDGLCGRNDAPKFQKSLMHEELRAFLLEVARLQNTDGATY >DRNTG_16024.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17509383:17510054:-1 gene:DRNTG_16024 transcript:DRNTG_16024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLVASTVAENLQEIYLQEGLHSQFIIVGCMMNSKSETQQQLPKINFSGLVVGTPEWIAVRTEVMNALHVYGTFEAVYDPHLDPELREQVFKKAITELFDLPTHVKSRSVTYTSKSYIPSEQGFTVYEGNVESIHSVQSLTSLMWPQGNSHFW >DRNTG_27421.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28532793:28535177:-1 gene:DRNTG_27421 transcript:DRNTG_27421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALYLAIQMIRITDIGSLLSMKTRLSNANRIFHPSDFWNIPPVAAMQRAVTTSRGKQLTVKWPTMSLPETSYYVALYFQDNRNPSPYSWRVFDVSINGDNFYKGLNASSSGVMAYGKQWPLSGQIEITLNPNSNSPVGPLINAGEILQIVPLGGRTLTRDAIAMEHLARSLNNPPSDWSGDPCFPPENSWTGVTCTQGLLGRVLKVNLTNFGISGTISDGIANLTAVKDIWLGGNKLSGQIPNLSSLHHLVSLHLENNELSGHIPSSLGELENLQELYLQNNKFEGGLPDSLKKRSGIEIQTYSGDQ >DRNTG_02245.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1071018:1072293:1 gene:DRNTG_02245 transcript:DRNTG_02245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTKPKFPLRRPVVVDVGCGCRRSKIPSFFSPSTSSLSPSSSSSSSHFESIPTLSNTTSPNSPSTEKMKRKKEKEKKRGRRRRVSGESVAVVKESEDPYLDFRDSMVVMIVEKEIYAREELEELLHCFIALNAPHHHALILRAFADVCREVFSPR >DRNTG_18836.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2640771:2645337:1 gene:DRNTG_18836 transcript:DRNTG_18836.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGSGSGEGQIKGVVTHGGRYVQYNVYGNLFEVPTKYVPPIRPVGRGAYGIVCAAVNSQTREEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHENVIAMKDLIRPPKKENFNDVYIVYELMDTDLHQIIRSNQALTDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLLLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIGTREPLFPGKDYVHQLRLITELIGSPDDSSLGFLRSDNARRYVRQLPQYPKQQFSARFPNMSPGAVDLLEKMLVFDPSKRITVDEALHHPYLSSLHDINDEPFCPEPFSFDFEQPSFTEENIKELIWREALKFNPDPMH >DRNTG_23682.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10246794:10247223:-1 gene:DRNTG_23682 transcript:DRNTG_23682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGGSNGKSNGGDDNGDGSCGNGENLGRGGSMVSAT >DRNTG_14574.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3864839:3865183:-1 gene:DRNTG_14574 transcript:DRNTG_14574.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAPPAAQEPPLAHMFLTSCAYDHFERLEGIVGMLQTEITELFDRDVSSTYIMRPRNPQAPPASLSPNPPTPFNYTSTPAALEQPERYTDT >DRNTG_30965.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:20921150:20922037:1 gene:DRNTG_30965 transcript:DRNTG_30965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMINMAKLFANRGVRTTILTTPANTFLLKPIISNSPLELALIPFSFTTATGLREGYENLTTLPSQDLIPNFKQAVSMLSQPFHQTFTQHHPKVIIIDIFLPWTIEITSKLTIPQLIFNGTGFFPLCLITIQQIYNPYQTLQAKTKSFLVPRIPQWIELLKTQVLDICKTNKERQDFFLHVKEAEKRSYGVVMNSFYELEPDYVEHYRTVTRCRAWHIGHVSLCNENMINSDDRNHECLCWLDLKEPESVLYVCFRSLSSFSGEQIREMALGLEASNHAFIWVVPKIIERDEKI >DRNTG_19685.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001039.1:15976:18088:1 gene:DRNTG_19685 transcript:DRNTG_19685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGQAIKRAFRLGQEKKVFTCCLIAEDSPEEEDHETSFKTEFKLMRMLCNRREHSKVQILVLAEIQILRALPFNPMVTLTVWDVFLPRQIILKCYH >DRNTG_08723.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4974734:4976874:-1 gene:DRNTG_08723 transcript:DRNTG_08723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYIYMGTKFQSQLPLNYFNNCNQHQDSMDTSLEKNHSNKETMKNIILKHEEMFKHQVHELHRLYRIQKTLMKDLLNKESRDTRACFPGFKVGVEDSLTDEEENELELTLSIGCAANKKKSKLITGRFCFH >DRNTG_12648.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1267176:1268658:1 gene:DRNTG_12648 transcript:DRNTG_12648.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRIB domain-containing protein RIC10 [Source:Projected from Arabidopsis thaliana (AT4G04900) UniProtKB/Swiss-Prot;Acc:Q9M0Y9] MAKMKGLFKGMKYISQIFVVKEHEMEIGYPTDVRHVAHIGWDSGSTNAPSWMNEFRTASDLASPALGLSRETSWASQDFDQPRGLQQQPSGMFADGPRPEIPKAPKKTKRKKSKSSSPNSSTRSTSRTSRSRAGYSSISMGDSNETVNR >DRNTG_10024.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2984072:2989284:1 gene:DRNTG_10024 transcript:DRNTG_10024.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VAP27-2 [Source:Projected from Arabidopsis thaliana (AT1G08820) UniProtKB/TrEMBL;Acc:A0A178WBB7] MAPDLIEIEPRELKFTFELKKQSSCTIQLINNTNSYVAFKIKTTSPKRYCVRPNTGVILPKSTCDFTVTMQAQRVVPADMQLRDKFLVQTTIVPYGTTDEDLVPSLFSKESGRQIEESKLRVVLVSPPHSPILQPINGAVKQELTYAAPVFNETPVMKETPLVSDQELTQDENLHPSHDPKDVDDLKQKLSNLEVKLLEAEKTMSKLREEKNAAVQEKEKLQHDIALLTRKCVARVQVGFPFLFVVFIALVSLALGYGLHP >DRNTG_24762.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20333956:20344748:-1 gene:DRNTG_24762 transcript:DRNTG_24762.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAREDDEHDSKENVLMRYFLQEWEVVKSILHRIVADGRVSNPSDVHKIRSIVDKYQEQGQLLEPYLESIVSPLMLIVRSSTMKLADVSDEALEIIKPICIIIYSLVTVCGYKSVIKFFPHQVSDLELAVFLLDKCHHSASGTSLRQESTGEMETKCITLLWLYILVLIPFDISSVDTSMANNNYLGGLELSPLVHRILDFSKDYLSSAGPMRRISGLLLSRLLTRPDMPKAFNSFTGWAHEVLVSIADDDVDHFQLLGVVEALASIFKVGSRKDLANVVPTIWSDIALLMKSTTAARSPLLRKFIVKLSQRIGLTCLPHRSSSWHYQGICSSLSVNVSMDYAGKASSSVLEKSSDHSVDLHAASYQDEDIEIPETVEEIIEMLLSGLRDSDTVVRWSAAKGHWSDNCTLNICPVRGCVVFNIGVVYTRRGRWFMARWLLGFGRACSQRIVVTCQLTKSCSFHCKGIAL >DRNTG_24762.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20327908:20344748:-1 gene:DRNTG_24762 transcript:DRNTG_24762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAREDDEHDSKENVLMRYFLQEWEVVKSILHRIVADGRVSNPSDVHKIRSIVDKYQEQGQLLEPYLESIVSPLMLIVRSSTMKLADVSDEALEIIKPICIIIYSLVTVCGYKSVIKFFPHQVSDLELAVFLLDKCHHSASGTSLRQESTGEMETKCITLLWLYILVLIPFDISSVDTSMANNNYLGGLELSPLVHRILDFSKDYLSSAGPMRRISGLLLSRLLTRPDMPKAFNSFTGWAHEVLVSIADDDVDHFQLLGVVEALASIFKVGSRKDLANVVPTIWSDIALLMKSTTAARSPLLRKFIVKLSQRIGLTCLPHRSSSWHYQGICSSLSVNVSMDYAGKASSSVLEKSSDHSVDLHAASYQDEDIEIPETVEEIIEMLLSGLRDSDTVVRWSAAKGHWSDNCTLNICPVRGCVVFNIGVVYTRRGRWFMARWLLGFGRACSQRIVVTCQLTKSCSFHCKGIAL >DRNTG_24762.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20327908:20344748:-1 gene:DRNTG_24762 transcript:DRNTG_24762.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWTARWLILNKEDFMAMKGCKLPQRPWKRSKLIQKCL >DRNTG_24762.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20341675:20344748:-1 gene:DRNTG_24762 transcript:DRNTG_24762.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPARMTSTTPRRTSSCATSSKNGRSSNPSFTGSSPMAASPTPPTSTRSAPLWISIKNKVSC >DRNTG_28816.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28682995:28684776:-1 gene:DRNTG_28816 transcript:DRNTG_28816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVQIHQVSHVAVFPSPVTETELLLPLSFFDVSWISIPPIRRLLLFPAAPDLHSLKSSLSAVLRLFYPLAGKLTYLPATGDLALSCSPDDHVTFIEADSDGDFTRLASDEIHDVDSFLRLVPELDVKVLPAAVMEVQVTRFDGGSVAVGLAIHHAVVDGRGFWLFVQAWAMACRAGEEAISGVSLVHDRTFIRHHPRGDKIARRLLKKMAPELPIINTQRRFEATRRTFTISRDMIRSMKQRAKEGRIQYSTFAALSALTWISLIKTKAMEDPNEETILGFSMDCRTRLNPPLNDGYYGNCIRGCFAKAKAVELAGSAGFSMACMRIKEEIDESCKDVLRGYENSVGGFFRDANSIFILLNGSSSFRAYETDFGVGRPSRVEPVSMSLDGQVALIGGREEGEIQMSVALNPPHMEEFTKEFLRELNA >DRNTG_03724.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12173560:12175743:1 gene:DRNTG_03724 transcript:DRNTG_03724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLDRIAKKSSSRASGSKRRTPNKRWKAEFDRFLIPLLVDQAHPATKPVINKPIEHYEELRLICGEDNATGSYATSMFDDFGDKSDHGGNNMDNFDESPVDQPSDDDADADANSAPPVVSSPATSSTPRSQRSSRGSKNPSMMGDLIIMVGEMASAIKNPTHWSETLYAKVMEVDGFHKKKLVDAFYYLQLREVEARAIAYLVGLSVKYYSELGSDLYTQALMGSVRLSIDSGKEKHSSSSFNSPANAVSLLFPSTLALCVLVEIAIRDMLSSDPYVVLTLTHTQMECLRMGLGSACTARKEI >DRNTG_16766.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22155389:22158783:1 gene:DRNTG_16766 transcript:DRNTG_16766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLLTQLVLLLVIFHYSIATDTLNPNQPLQDGQILVSAKEFFALGFFSPGGSKNRYVGIWYNKLQPAGQKTIVWVANRRSPLSGTNGSLELNDNGTLTISSMMFLPMPSVILSNPVAQLLDDGNFVIREANSSEFAWQSFDYPTDTLLSGMKFGWDLRTGLNRNLTSWRSNDDPSPGRYVLSMDLEGIPQVNLWSDSTKKARMGPWNGITFSNIGATKSNNGLSSAFVSNKDEVYYMYNTTGTTIVCRSIVDQSGMEKSFVWIERSGTWNNVLYFPKSQCEEYSECGPFGVCNIDVWPICRCLQGFKPKSPQEWALRDALSGCERLTTLDCKNKTDGFMTITITALPETSKAIPYPNISLNECRANCLKNCSCTAYAITNISGAGMGCIIWVTELIDMQMSSHPTQDVFVRLAAADLGNTTSMEYFDGSSKQLCRNKHSWERRFWPCLQGEAS >DRNTG_19910.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:6095085:6101727:1 gene:DRNTG_19910 transcript:DRNTG_19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSALSSPLLLQPPIASKTLTRSPLLPLRTLATRRRIATRPFSPFAVPIRATVAIEKETPENERPETFLRASDDLLSSSSSSSPLSVRARFEKMIRETQDEVCAAIEVADGGGSFKEDVWSRPGGGGGISRVLQDGAVWEKAGVNVSVVYGVMPPEAYRAAKGDVGGNSGAKAGPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDAPGAPRQWWFGGGTDLTPAYIFEEDVKHFHMVQKQACDKFDPSFYPRFKKWCDDYFFIKHRGERRGLGGIFFDDLNDYDQEMLLGFSTECSKSVIRAYIPIIERRKDTPFTEEHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWEYDHKPEEGSEEWKLLDACINPKEWIQD >DRNTG_29110.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10419492:10421520:1 gene:DRNTG_29110 transcript:DRNTG_29110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLAETLSLIGPSGNQWDVNLMKRGSTMELDKGWGEFVVDHSLTTGDFLVFRYDSDSHFTVLIFDQNSCEKETAFSAKPSKPTIDHGRSKSKPKVKMPEENQEAQNNSKKKRESENSCEKETAFSAKPSKPTIDHGRSKSKPKVKMPEEYQEAQNNSKMKRESENSCEKETAFSAKPSKPTIDHGRSKSKLKLKMAEENQEAQNNSKRKRESDACANATKKLNNSDLSIEELFK >DRNTG_29110.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10418461:10421520:1 gene:DRNTG_29110 transcript:DRNTG_29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTRRRRSPHFFKIFMPLLHSQQLVVPSGFLKHMEGDLAETLSLIGPSGNQWDVNLMKRGSTMELDKGWGEFVVDHSLTTGDFLVFRYDSDSHFTVLIFDQNSCEKETAFSAKPSKPTIDHGRSKSKPKVKMPEENQEAQNNSKKKRESENSCEKETAFSAKPSKPTIDHGRSKSKPKVKMPEEYQEAQNNSKMKRESENSCEKETAFSAKPSKPTIDHGRSKSKLKLKMAEENQEAQNNSKRKRESDACANATKKLNNSDLSIEELFK >DRNTG_05156.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21065098:21071285:-1 gene:DRNTG_05156 transcript:DRNTG_05156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSTSYTSFANLPIDGLHSPMSSVTSDSESSLSIGKTRLTLLDLYSGCGAMSTGLCLGANFAGTNLQTRWAVDLNSFACESLKLNHPHTEVRNEDAENFLMLLQEWEKLCKRFSLIGTQSYFDDGCMLNDDDEDDLEDSSEVPKGEFEVGKIVGICYGDPAKLSKVGLHFKVRWKGYGPSEDTWEPLDGLRKCQERIKDFVTSGYKRNILPLPGSADVICGGPPCQGISGFNRFRQSDAPLQDPKNKQVVVFMDIVEFLKPKYILMENVVDMLKFARGFLGRYAISRLVSMNYQARMGLMVAGCYGLPQFRMRVFLWGALSTEVLPQFPYPTHDVVIRGGCPNEFESNVVAYDESQRPTLEKALLLGDAIRDLPPITNAEKRDEMPYDQPPITNFQRMIRLTRDELTGSRQVGAKSSKKACLFDHRPLELNDDDYQRVCAIPKRKGANFRDLSGVRVGEDNVVEWDPEISSSVFTIRKTIGS >DRNTG_35148.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1259541:1262976:-1 gene:DRNTG_35148 transcript:DRNTG_35148.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMQQFFGSEFTDADISYNHSSVITPAYSEWPVDSIKFNLGISPNSPLSSQFDCETLSARSNSQEQYSPSYYSGNSFRQAEISFQDGIQGHHSSAYISSGQSIRHALQELETVLMAPDSDEATTSTNTELDETKIAQVPKSRSRTSSQVSCVQVSNPHHQYPLTRYQGSYVNRPEKRLKEVADIPLNNMKQLLVKCAEALSENKIEDFETLVEKARGVVSITGEPIQRLGAYIIEGLVARHESSGTNIYQALKCRAPESKELLSYMRILYDICPYFKFGYMAANGAIAEALRNENRIHIIDFQIAQGTQWITLIQALAARPGGPPHVRITGIDDPVSEYARGENLQLVGKMLSEMSKKFNIPLEFNALSVYGPNVTRDMLDIRPDEALAVNFTLQLHHTPDESVDVNNPRDGLLRMVKGLSPKVTTLVEHESNSNTTPFLTRFMETLDYYSAMFESIDATLARDSKERISVEQHCLAKDIVEHDRVRGEGKSREV >DRNTG_19780.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001060.1:2653:7672:-1 gene:DRNTG_19780 transcript:DRNTG_19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSESRNIPTSRKSYSINHTEGIDPRFSKCYHGIYASKKTEYQQNSHYPPELTDINPESGSWYFEEFPSNTPQSSPQCPSVVSISDATQASFAISRQGSTYSMSHEYPYFPNYMANTESSKAKARSQSAPKQRTVSFERQPSRQRPSVEGRHIPRCVKMQRSASHICSTAKGYQHGTYIKLDQSNMSLANSECGSTSTIFTTASHCRPTYEVCDFHH >DRNTG_22876.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4249070:4254161:1 gene:DRNTG_22876 transcript:DRNTG_22876.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTPLKSESGEGFRTFHPMLLVVAGDETNGLGMVQGGRLSALIRETTNEPQTGNCISTPTVVRFFSLKSHNYVHVLRFRSAVYMIRCSPRIVAIGLAAQIYCFDAVTLENKFSVLTYPLQGAVGVNIGYGPMAVGPRWLAYASNSPLTSNLGRLSPQNLTPSPGVSPSTSPGNGSLVARYAMESSKQLAAGILNLSDMGYKTLSKYCQELLPDGSSSPMSSGRKIGRLQPNTHPSEADNAGMVVVKDFVSKEVVSQFRAHTSPISALCFDPSGTLLVTASVHGHNINVFRIMPTIITNGSSPVRYDWTTSHVQLYKLYRGITTAVIEDICFSHYSQWIAIVSSRGTCHIFVLSPFGGDSSLLPQSCNCDGPALVPSLTSPWWSTSSCSMDQQLIQPPAPITLSVVSRIKNGYSGWLNTVSNVAASATGKMLIPSGAMAAVFHNSMNKDNRPSPLKRNALEHLLVYSPSGHLIQHELLPSLGAQSWDIGSKTGASPLLEHQDEELHVIVEPVQWWDVCRRSNWPERDENISKVNKQLSAEFVMDFCDCEDNDSSLLMSSNATAAEKEFERADERHHWFLSNAEVHVNSGRIPIWQKPRICFYRMIPLKGNGGSNIGCAGELEIENLPITEVEIRQKDLLPVFEQLHTAYPEWSDSSLTGQRYESSSSMTMITRNNTNVDLRPAVPQPASDSGPQKDVSLQLRSAESSSLMPPSRIGNRFVGTMPSVTQANASITMPMRYSHNDCAPFDYTHCSSESPMGSSVVHGLSNSGSNLSSVSVPATKESLSSNNSGSSEITDSCISNFNVQQTEMSESLNSGQFAPYFDEGYCKVSELDDCRELTEVVTDVDSSSSHCEREKPEEDGDNDDVLGGVFVFSEEG >DRNTG_22876.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4243243:4254161:1 gene:DRNTG_22876 transcript:DRNTG_22876.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRGGAGGKNGLLPNSLRIISSCLKTVSANAGSVASTVRSAGASVAASISAAAEDEKDQVLWAGFDKLELELGSSSFKHVLLLGYSNGFQVLDVEDASNVCELVSKRDGPVTFLQMQPTPLKSESGEGFRTFHPMLLVVAGDETNGLGMVQGGRLSALIRETTNEPQTGNCISTPTVVRFFSLKSHNYVHVLRFRSAVYMIRCSPRIVAIGLAAQIYCFDAVTLENKFSVLTYPLQGAVGVNIGYGPMAVGPRWLAYASNSPLTSNLGRLSPQNLTPSPGVSPSTSPGNGSLVARYAMESSKQLAAGILNLSDMGYKTLSKYCQELLPDGSSSPMSSGRKIGRLQPNTHPSEADNAGMVVVKDFVSKEVVSQFRAHTSPISALCFDPSGTLLVTASVHGHNINVFRIMPTIITNGSSPVRYDWTTSHVQLYKLYRGITTAVIEDICFSHYSQWIAIVSSRGTCHIFVLSPFGGDSSLLPQSCNCDGPALVPSLTSPWWSTSSCSMDQQLIQPPAPITLSVVSRIKNGYSGWLNTVSNVAASATGKMLIPSGAMAAVFHNSMNKDNRPSPLKRNALEHLLVYSPSGHLIQHELLPSLGAQSWDIGSKTGASPLLEHQDEELHVIVEPVQWWDVCRRSNWPERDENISKVNKQLSAEFVMDFCDCEDNDSSLLMSSNATAAEKEFERADERHHWFLSNAEVHVNSGRIPIWQKPRICFYRMIPLKGNGGSNIGCAGELEIENLPITEVEIRQKDLLPVFEQLHTAYPEWSDSSLTGQRYESSSSMTMITRNNTNVDLRPAVPQPASDSGPQKDVSLQLRSAESSSLMPPSRIGNRFVGTMPSVTQANASITMPMRYSHNDCAPFDYTHCSSESPMGSSVVHGLSNSGSNLSSVSVPATKESLSSNNSGSSEITDSCISNFNVQQTEMSESLNSGQFAPYFDEGYCKVSELDDCRELTEVVTDVDSSSSHCEREKPEEDGDNDDVLGGVFVFSEEG >DRNTG_22876.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4243243:4254161:1 gene:DRNTG_22876 transcript:DRNTG_22876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRGGAGGKNGLLPNSLRIISSCLKTVSANAGSVASTVRSAGASVAASISAAAEDEKDQVLWAGFDKLELELGSSSFKHVLLLGYSNGFQVLDVEDASNVCELVSKRDGPVTFLQMQPTPLKSESGEGFRTFHPMLLVVAGDETNGLGMVQGGRLSALIRETTNEPQTGNCISTPTVVRFFSLKSHNYVHVLRFRSAVYMIRCSPRIVAIGLAAQIYCFDAVTLENKFSVLTYPLQGAVGVNIGYGPMAVGPRWLAYASNSPLTSNLGRLSPQNLTPSPGVSPSTSPGNGSLVARYAMESSKQLAAGILNLSDMGYKTLSKYCQELLPDGSSSPMSSGRKIGRLQPNTHPSEADNAGMVVVKDFVSKEVVSQFRAHTSPISALCFDPSGTLLVTASVHGHNINVFRIMPTIITNGSSPVRYDWTTSHVQLYKLYRGITTAVIEDICFSHYSQWIAIVSSRGTCHIFVLSPFGGDSSLLPQSCNCDGPALVPSLTSPWWSTSSCSMDQQLIQPPAPITLSVVSRIKNGYSGWLNTVSNVAASATGKMLIPSGAMAAVFHNSMNKDNRPSPLKRNALEHLLVYSPSGHLIQHELLPSLGAQSWDIGSKTGASPLLEHQDEELHVIVEPVQWWDVCRRSNWPERDENISKVNKQLSAEFVMDFCDCEDNDSSLLMSSNATAAEKEFERADERHHWFLSNAEVHVNSGRIPIWQKPRICFYRMIPLKGNGGSNIGCAGELEIENLPITEVEIRQKDLLPVFEQLHTAYPEWSDSSSLTGQRYESSSSMTMITRNNTNVDLRPAVPQPASDSGPQKDVSLQLRSAESSSLMPPSRIGNRFVGTMPSVTQANASITMPMRYSHNDCAPFDYTHCSSESPMGSSVVHGLSNSGSNLSSVSVPATKESLSSNNSGSSEITDSCISNFNVQQTEMSESLNSGQFAPYFDEGYCKVSELDDCRELTEVVTDVDSSSSHCEREKPEEDGDNDDVLGGVFVFSEEG >DRNTG_09187.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7536602:7544998:1 gene:DRNTG_09187 transcript:DRNTG_09187.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial pyruvate kinase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G22960) UniProtKB/Swiss-Prot;Acc:Q9LIK0] MAQSLHLLAPSTPARSLSKFFTSSPLVRPPSLAFFLPRRRPIPILSASTTPSSTDFISDNGGVSTVSSAAIDVDAVTEAELKENGFRSTRRTKLVCTIGPATCQPEQLEALAVGGMNVARVNMCHGDRDWHRSVIRAVRRLNEEKGFAVAVMMDTEGSEIHMGELGGAPSAKAEDGEIWTFSVRAFNSPLPDHTIHVNYDGFAEDVKVGDELLVDGGMVQFEVIEKIGPDVKCRCTDPGLLLPRANLTFWRDGSLVRERNAMLPTISSKDWLDIDFGIAEGVDFIAVSFVKSAEVIHHLKSYIAARSHDSDISVIAKIESIDSLKNLEEIIRAADGAMVARGDLGAQIPLEQVPSAQQRIVKTCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGQYPEKALAVLRSVSLRIEKWWREEKRHEAMELPDIASSFSDKISEEICNSAAKMANNLGVDALFVYTKTGDMASILSRCRPDCPIFAFTTSTSVRRRLNLQWGLIPFRLSFSDDMESNLNRTFSLLKARGMIQSGDLVIALSDMLQSIQVMNVP >DRNTG_09187.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7536602:7544998:1 gene:DRNTG_09187 transcript:DRNTG_09187.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial pyruvate kinase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G22960) UniProtKB/Swiss-Prot;Acc:Q9LIK0] MAQSLHLLAPSTPARSLSKFFTSSPLVRPPSLAFFLPRRRPIPILSASTTPSSTDFISDNGGVSTVSSAAIDVDAVTEAELKENGFRSTRRTKLVCTIGPATCQPEQLEALAVGGMNVARVNMCHGDRDWHRSVIRAVRRLNEEKGFAVAVMMDTEGSEIHMGELGGAPSAKAEDGEIWTFSVRAFNSPLPDHTIHVNYDGFAEDVKVGDELLVDGGMVQFEVIEKIGPDVKCRCTDPGLLLPRANLTFWRDGSLVRERNAMLPTISSKDWLDIDFGIAEGVDFIAVSFVKSAEVIHHLKSYIAARSHDSDISVIAKIESIDSLKNLEEIIRAADGAMVARGDLGAQIPLEQVPSAQQRIVKTCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGQYPEKALAVLRSVSLRIEKWWREEKRHEAMELPDIASSFSDKISEEICNSAAKMANNLGVDALFVYTKTGDMASILSRCRPDCPIFAFTTSTSVRRRLNLQWGLIPFRLSFSDDMESNLNRTFSLLKARGMIQSGDLVIALSDMLQSIQVMNVP >DRNTG_09187.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7536602:7544998:1 gene:DRNTG_09187 transcript:DRNTG_09187.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial pyruvate kinase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G22960) UniProtKB/Swiss-Prot;Acc:Q9LIK0] MAQSLHLLAPSTPARSLSKFFTSSPLVRPPSLAFFLPRRRPIPILSASTTPSSTDFISDNGGVSTVSSAAIDVDAVTEAELKENGFRSTRRTKLVCTIGPATCQPEQLEALAVGGMNVARVNMCHGDRDWHRSVIRAVRRLNEEKGFAVAVMMDTEGSEIHMGELGGAPSAKAEDGEIWTFSVRAFNSPLPDHTIHVNYDGFAEDVKVGDELLVDGGMVQFEVIEKIGPDVKCRCTDPGLLLPRANLTFWRDGSLVRERNAMLPTISSKDWLDIDFGIAEGVDFIAVSFVKSAEVIHHLKSYIAARSHDSDISVIAKIESIDSLKNLEEIIRAADGAMVARGDLGAQIPLEQVPSAQQRIVKTCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGQYPEKALAVLRSVSLRIEKWWREEKRHEAMELPDIASSFSDKISEEICNSAAKMANNLGVDALFVYTKTGDMASILSRCRPDCPIFAFTTSTSVRRRLNLQWGLIPFRLSFSDDMESNLNRTFSLLKARGMIQSGDLVIALSDMLQSIQVMNVP >DRNTG_09187.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7536602:7544998:1 gene:DRNTG_09187 transcript:DRNTG_09187.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial pyruvate kinase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G22960) UniProtKB/Swiss-Prot;Acc:Q9LIK0] MAQSLHLLAPSTPARSLSKFFTSSPLVRPPSLAFFLPRRRPIPILSASTTPSSTDFISDNGGVSTVSSAAIDVDAVTEAELKENGFRSTRRTKLVCTIGPATCQPEQLEALAVGGMNVARVNMCHGDRDWHRSVIRAVRRLNEEKGFAVAVMMDTEGSEIHMGELGGAPSAKAEDGEIWTFSVRAFNSPLPDHTIHVNYDGFAEDVKVGDELLVDGGMVQFEVIEKIGPDVKCRCTDPGLLLPRANLTFWRDGSLVRERNAMLPTISSKDWLDIDFGIAEGVDFIAVSFVKSAEVIHHLKSYIAARSHDSDISVIAKIESIDSLKNLEEIIRAADGAMVARGDLGAQIPLEQVPSAQQRIVKTCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGQYPEKALAVLRSVSLRIEKWWREEKRHEAMELPDIASSFSDKISEEICNSAAKMANNLGVDALFVYTKTGDMASILSRCRPDCPIFAFTTSTSVRRRLNLQWGLIPFRLSFSDDMESNLNRTFSLLKARGMIQSGDLVIALSDMLQSIQVMNVP >DRNTG_14957.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1045533:1047181:1 gene:DRNTG_14957 transcript:DRNTG_14957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEAALACFYSFEPNLEECSYDELMAEVEVRAAHNVLEACAQTETVERVVFTSSVTAVVWKEDHKSEAQVDERSWSEPNFCRKFKLWHGLAKTLAEKTAWALAMDRGVDMVSINAGLLLAGAQLSSSSPYLKGAPEMYESGVLVAVDLQYLVDAHICVFENSAAFGRYICFNHTITRPEDALKLAQLLDPSSKPCSPSSDELRIVQQKIQNKKLTELMMEFA >DRNTG_14957.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1045533:1047181:1 gene:DRNTG_14957 transcript:DRNTG_14957.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEAALACFYSFEPNLEECSYDELMAEVEVRAAHNVLEACAQTETVERVVFTSSVTAVVWKEDHKSEAQVDERSWSEPNFCRKFKLWHGLAKTLAEKTAWALAMDRGVDMVSINAGLLLAGAQLSSSSPYLKGAPEMYESGVLVAVDLQYLVDAHICVFENSAAFGRYICFNHTITRPEDALKLAQLLDPSSKPCSPSSDELRIVQQKIQNKKLTELMMEFA >DRNTG_13727.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5438344:5441519:-1 gene:DRNTG_13727 transcript:DRNTG_13727.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSRYRRRITSLTSTLLRARLFSSLPVAKPNASDDPVCDHAPLFRSPEVRVSTLLNGIRVATQSSSSHMASVGVWIDSGSRFEAPGTNGTAHFLEHMIFKGTRRRTARSLEEEIENLGGRLNAYTSREQTTFFADVFRRDVPVAIDVLADILQNSKFPDHAIKRERGVILREMEEVHGQMEEVIFDHLHEAAFHGHPLGNTILGPEEIIQSISRSDLQNYIETHYTGPRMVVSAAGAVKHEEIVDMVESLFTRFARTPTAAAQLAQENPAVFTGSEVRVDNEDMPLAHLAIAFKGSAWTDPNSIPLMVIQSLWGSWNSSVGVGNCSGSQLARRVSTDNLAESMMAFNTNYLDTGLFGIYATGMPNCLHDLSCVIMQEITRLAYEVSEAELVRARNQLKSALLLHIDGSTAVAENNGRQMLTYGRVIPFLELFARIDAVDTSTVKETAKNFIINKDVAVAAVGPLSKLPHHEWFRSQTCSRTT >DRNTG_06816.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:15638997:15641067:1 gene:DRNTG_06816 transcript:DRNTG_06816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNLVNGRCYLTPVVETLAELKDNMTPRHWEIIRRTPFAAFTELEAIYQERALLDSLLQRYDGRTNKFRIGESLLSFRPQDVAFVLGQSCDGDVVVFQKKKTRSAFDGRYLSKTYERHRDSIKGILVQLVRQRGEEDNFVKLLMVYLMGTVLFPNTSCSVPNWIVDYVDDLPAMGRYAWAQATHKWLMEDIPQAAARVQDRCAGKKTNTGYIKGCSVALNVWFYELTGTGKKVRFGKVPRMLCYGESTYRKQATVETSLSSLDGKEDERAASIVRARRSSPTSSRPRARNPRCRRSPPPPRQIATIRPTTTTTVPPIVAAPTMAAPLTVAAQPATLGEDVTATLMQACHILMTEFPRLVARVEALEGRSQPTAPSLQRTEAPGMNEASEFDDDDIIGKVIPRRPHSKRLAKKRRTILPLSPPPADDETIATPSAADAVTETVAVHEMAMTVEDIVDDVAVAAVEKVVNSLLNESLDPVEPLPRLRHQRWTQSLQIKNKLRLCLPMMLSSWPRLRRSLNLLSWPWPTVPQRSRTQSHHKYNHVRMCLRLMLSPSSPHRNQAQSHNKNNHVRMCTQRL >DRNTG_10682.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17801636:17805037:-1 gene:DRNTG_10682 transcript:DRNTG_10682.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLNIEQFAFSGGLDFVQFLPSNNDKSLCFGSLVPPPVGVDNSQGEGDLVDPSTENFPGAIADDESDEDMDVDELERRMWRDRMRLRRLKEQQQNQSKEQCDIAKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVSGASDNLRGWWKEKVRFDRNGPAAITKYQVDNAVPGVNSEMNSGTLSPHSLQELQDTTLGSLLSALMQHCDPPQRRYPLEKGIAPPWWPTGDEEWWSQLGFSKEQVAPPYKKPHDLKKAWKVSVLTAVIKHMSPDIEKIRRLVRQSKCLQDKMTAKESATWLAVLKQEENLYMKLNPDACPPSSISSGVHGAISFSGSCSEYDVEGVDDDKPLDNNSFDLGVGALNETLSGSAE >DRNTG_10682.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17801636:17805238:-1 gene:DRNTG_10682 transcript:DRNTG_10682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLNIEQFAFSGGLDFVQFLPSNNDKSLCFGSLVPPPVGVDNSQGEGDLVDPSTENFPGAIADDESDEDMDVDELERRMWRDRMRLRRLKEQQQNQSKEQCDIAKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVSGASDNLRGWWKEKVRFDRNGPAAITKYQVDNAVPGVNSEMNSGTLSPHSLQELQDTTLGSLLSALMQHCDPPQRRYPLEKGIAPPWWPTGDEEWWSQLGFSKEQVAPPYKKPHDLKKAWKVSVLTAVIKHMSPDIEKIRRLVRQSKCLQDKMTAKESATWLAVLKQEENLYMKLNPDACPPSSISSGVHGAISFSGSCSEYDVEGVDDDKPLDNNSFDLGVGALNETLSGSAE >DRNTG_33871.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26228992:26230494:-1 gene:DRNTG_33871 transcript:DRNTG_33871.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPLENLCISDCLNGNSWNLNSLLLIFGDNINLTLIKSKRIIADSNNLWVWLPQSYKLTIPSKVYHHLCNGDAYSDSWEGWAILWHLNIAPKVKHFIWLLLHNAIKTHEFLYRLNLGPRSFLWFL >DRNTG_33871.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26228992:26230494:-1 gene:DRNTG_33871 transcript:DRNTG_33871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPLENLCISDCLNGNSWNLNSLLLIFGDNINLTLIKSKRIIADSNNLWVWLPQSYKLTIPSKVYHHLCNGDAYSDSWEGWAILWHLNIAPKVKHFIWLLLHNAIKTHEFLYRLNLGPRSFLWFL >DRNTG_22030.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2500559:2502574:-1 gene:DRNTG_22030 transcript:DRNTG_22030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNTADQPPPLHIVVFPWLAFGHMIPLLELSKSLAKRGHQISYISTPRNISRLPKLPPNLSSFIHFIPLTLPKVSGLPDNAEATSDLPPEKVQFLKLALDGLQQPFANFLKEYSSSKLKRKLPDWIILDFATHWAPSLASHFSIPCIYFSVFSTSSLVFAGPLSEFSLSSASRTSPEHFTVLPNWIPFPSNLSYSLHGARQLLNYIFSNNASGVPDVARLSSTVHGCKLVALRSCMELEPECLRLLPLLYNKPVIPVSLLPPSSNPTTSNDHEILKWLNKQKPNSVVYIAFGSEFTLSIELLHELASGLEMSELHFVWALRKPAEFEGEVLPEGFEERTRERGVVTLGWVPQLDVLGHSAVGGFLSHCGWSSVIEALWFGLPMVLLPLFVDHDINAKVVEGKGFGVEVKRNKEDGTFDGEAVASALRLVMVDDEGQEIRTKAKQVRDHVFGDMEHHEKYVDDFVKHLRDHRDV >DRNTG_22030.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2481658:2502574:-1 gene:DRNTG_22030 transcript:DRNTG_22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTADQPPPLHIVVFPWLAFGHMIPLLELSKSLAKRGHQISYISTPRNISRLPKLPPNLSSFIHFIPLTLPKVSGLPDNAEATSDLPPEKVQFLKLALDGLQQPFANFLKEYSSSKLKRKLPDWIILDFATHWAPSLASHFSIPCIYFSVFSTSSLVFAGPLSEFSLSSASRTSPEHFTVLPNWIPFPSNLSYSLHGARQLLNYIFSNNASGVPDVARLSSTVHGCKLVALRSCMELEPECLRLLPLLYNKPVIPVSLLPPSSNPTTSNDHEILKWLNKQKPNSVVYIAFGSEFTLSIELLHELASGLEMSELHFVWALRKPAEFEGEVLPEGFEERTRERGVVTLGWVPQLDVLGHSAVGGFLSHCGWSSVIEALWFGLPMVLLPLFVDHDVNT >DRNTG_22030.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2487235:2502574:-1 gene:DRNTG_22030 transcript:DRNTG_22030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTADQPPPLHIAVFPWLAFGHMIPLLELSKSLAKRGHQISYISTPRNITRLPKLPPNLSSLIHLIPLTLPKVPGLPDNAEATSDLPPEKVQLLKLALDGLQQPFANFLKESSSSKLKRKQPDWIILDFATPWAPSVASQFSIPCVVFSIFTASMLAFFGPENELTPDGSRTTPEHFTVPPNWIPFPSNLAYSLHGATLLVNNVYRVNASGVPDICRVGSTLRACKLVAPRSCMELESEYLNLLQNLYNKPVIPVGLLQPSSSSSSNTKTSDVKNDPILQWLDKQEPKSVVYIAFGSEATLSIELLHELALGLEMSEFHFLWALRKPVDFEGEVLPEGFEERTKERGVVTLGWVPQLDVLGHVSVGGFLTHSGWSSVIEALQFGHPLVLLPIFADQDINARMVEHREFGVEVKRKEDGSFDREAVASALRLVMVDDDDDDDDGMKVRVKAKELSVVFADKERQEQYVDDFLQHLKDHRDV >DRNTG_22030.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2487235:2488860:-1 gene:DRNTG_22030 transcript:DRNTG_22030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDQPPLHIAVFPWLAFGHMIPLLELSKSLAKRGHQISYISTPRNITRLPKLPPNLSSLIHLIPLTLPKVPGLPDNAEATSDLPPEKVQLLKLALDGLQQPFANFLKESSSSKLKRKQPDWIILDFATPWAPSVASQFSIPCVVFSIFTASMLAFFGPENELTPDGSRTTPEHFTVPPNWIPFPSNLAYSLHGATLLVNNVYRVNASGVPDICRVGSTLRACKLVAPRSCMELESEYLNLLQNLYNKPVIPVGLLQPSSSSSSNTKTSDVKNDPILQWLDKQEPKSVVYIAFGSEATLSIELLHELALGLEMSEFHFLWALRKPVDFEGEVLPEGFEERTKERGVVTLGWVPQLDVLGHVSVGGFLTHSGWSSVIEALQFGHPLVLLPIFADQDINARMVEHREFGVEVKRKEDGSFDREAVASALRLVMVDDDDDDDDGMKVRVKAKELSVVFADKERQEQYVDDFLQHLKDHRDV >DRNTG_35423.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20342041:20346085:1 gene:DRNTG_35423 transcript:DRNTG_35423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPKQASVQLPIIDIYQTILSPSSLSSISQACKDLGFFLITNHGISQDLYNSLYSLSNHLFNLPLDTKLLLGPSTPVNTYTPLFIASPFFESLRVSGPHYFSSAKSSADLLFTNNTTTDYTSQFCSVMEEYGNKMVELSKRIIAVVLECLGDGFEMKYCESEFSQCHGYLRINNYIAPEVVQEEEEEEEGGNGLGEIEGLGMHTDMSCITVLYQDESGGLQVRSKEGWVDIETGEGTLVVNIGDLLQAWSNGRMRSSAHRVLLKKKKKVRRMSLAFFWCFEDEKVIMAPSDVVGEGKERIYRPFVCKEYVEFRQSSVQGRFDKVGFTVDDFAAIIGS >DRNTG_16682.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16352722:16356144:-1 gene:DRNTG_16682 transcript:DRNTG_16682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENMEQILKSFNQRAAEAEERLAKLEALLASKKDIMLGAVDTESSASTIKELQSKLETAQSELQSEREKASKEIQKLEYRILLLVRALREADSKLASLAVK >DRNTG_16682.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16352722:16356144:-1 gene:DRNTG_16682 transcript:DRNTG_16682.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENMEQILKSFNQRAAEAEERLAKLEALLANIMLGAVDTESSASTIKELQSKLETAQSELQSEREKASKEIQKLEYRILLLVRALREADSKLASLAVK >DRNTG_23773.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14256627:14258413:1 gene:DRNTG_23773 transcript:DRNTG_23773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVVGKNSCRKDYPGKMTLFVFLTCLVAATGGLIFGYDLGVSGGVTAMDPFLIKFFPSVYKMEEASQSTNQYCKFNSEFLTLFTSSLYVAALFASFIASTVTRVFGRKWSMFCGGITFLVGSAINGAAENLFMLILGRVFLGIGVGFANQSVPLYLSEMAPANLRGMLNIGFQLMITIGILAANLINYATAKIEGGWGWRVSLALAAVPAAIITIGSIFLPDTPNSLIERGYEEKAKSMLCKIRGTEDIQMEYDDMLEASKEAKAIQHPWSNILKRKYRPQLTIAILIPFFQQLTGINVIMFYAPVLFQTIGFKDDASLMSAVITGLVNVFATFVSIIFVDKVGRKMLFLQGGIQMFICQIFVGSLIAIMFGTGGQAEISKDYATMVLAFICIYVAGFAWSWGPLGWLVPSEIFPLEIRSAGQSITVSVNMFFTFVIAQVFLMMLCHMKFGLFFFFAGWMVIMTIFILFFLPETKNVPIEEMILVWKRHWFWGRFINDEDIHVANDVVGTMT >DRNTG_14293.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4969947:4989086:-1 gene:DRNTG_14293 transcript:DRNTG_14293.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEALAGPGGDGGSSPVQDSFRHSMIV >DRNTG_14293.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4974927:4975422:-1 gene:DRNTG_14293 transcript:DRNTG_14293.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNEALAGPGGDGGSSPVQDSFRHSMIV >DRNTG_14293.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4987413:4989086:-1 gene:DRNTG_14293 transcript:DRNTG_14293.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQHKIISFKCACQGLSPLVVCNVQLGFSCWDAVVNLVHSPTSPVRANLPSLIYPKPILPSNSSYGEIRVVGPCINISNMKEPKKNIATTYLKQNIRINNT >DRNTG_14293.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4972540:4975422:-1 gene:DRNTG_14293 transcript:DRNTG_14293.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEALAGPGGDGGSSPVQDSFRHSMIV >DRNTG_31638.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:847004:847712:1 gene:DRNTG_31638 transcript:DRNTG_31638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNALLVKEREAARKAIEEAPPIIKETTVLVQDTEKVESLTAEVENLKASLQLEKQRADDSERKYTEEQQTSEERQKKLEETEGKVRNLQE >DRNTG_34833.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29017634:29020688:1 gene:DRNTG_34833 transcript:DRNTG_34833.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKREHQEIGGDGGGGGGGLTGMVGGGKGKIKEEDDEGVDELLAALGYKVRSSDMADVALKMEQLEMAMGSNAGAAPDDSLIAHLASDTVHYNPSDLSTWLETMLSELNTPPPPLPPAPADRHLSYDLPPAPAPAVSVQSSIFDTIAPAESSTITTVDFSAPPPPSARPVTGPRVSYGPTETPSDLTGTRERKRMRSSSASSSSSRGGGVAGIGSVNTTTTEASSISPAAPGPTVVIVDSQEAGIRLVHALMACADAVQQDNMKAADALLKQISVLAASQGGAMRKVASYFRPSPSWPDLSDSSPTRLFPGLRLLRHPPDALLRDLPLSQVRPLHRQPSHPRSLRRPPSGPCHRLQYETRDAVAGAPASPRSPSWWSSFIPPHRDRPTPAGQHRRAPTSRIEARSVSPRRSTSISSTMVSLPTALRIWNPICSIRIAPAAPLPVPTKNQKRLR >DRNTG_34833.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29017634:29020725:1 gene:DRNTG_34833 transcript:DRNTG_34833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREHQEIGGDGGGGGGGLTGMVGGGKGKIKEEDDEGVDELLAALGYKVRSSDMADVALKMEQLEMAMGSNAGAAPDDSLIAHLASDTVHYNPSDLSTWLETMLSELNTPPPPLPPAPADRHLSYDLPPAPAPAVSVQSSIFDTIAPAESSTITTVDFSAPPPPSARPVTGPRVSYGPTETPSDLTGTRERKRMRSSSASSSSSRGGGVAGIGSVNTTTTEASSISPAAPGPTVVIVDSQEAGIRLVHALMACADAVQQDNMKAADALLKQISVLAASQGGAMRKVASYFRPSPSWPDLSDSSPTRLFPGLRLLRHPPDALLRDLPLSQVRPLHRQPSHPRSLRRPPSGPCHRLQYETRDAVAGAPASPRSPSWWSSFIPPHRDRPTPAGQHRRAPTSRIEARSVSPRRSTSISSTMVSLPTALRIWNPICSIRIAPAAPLPVPTKNQKRLR >DRNTG_34833.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29018100:29020725:1 gene:DRNTG_34833 transcript:DRNTG_34833.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKREHQEIGGDGGGGGGGLTGMVGGGKGKIKEEDDEGVDELLAALGYKVRSSDMADVALKMEQLEMAMGSNAGAAPDDSLIAHLASDTVHYNPSDLSTWLETMLSELNTPPPPLPPAPADRHLSYDLPPAPAPAVSVQSSIFDTIAPAESSTITTVDFSAPPPPSARPVTGPRVSYGPTETPSDLTGTRERKRMRSSSASSSSSRGGGVAGIGSVNTTTTEASSISPAAPGPTVVIVDSQEAGIRLVHALMACADAVQQDNMKAADALLKQISVLAASQGGAMRKVASYFRPSPSWPDLSDSSPTRLFPGLRLLRHPPDALLRDLPLSQVRPLHRQPSHPRSLRRPPSGPCHRLQYETRDAVAGAPASPRSPSWWSSFIPPHRDRPTPAGQHRRAPTSRIEARSVSPRRSTSISSTMVSLPTALRIWNPICSIRIAPAAPLPVPTKNQKRLR >DRNTG_34833.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29018100:29020688:1 gene:DRNTG_34833 transcript:DRNTG_34833.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKREHQEIGGDGGGGGGGLTGMVGGGKGKIKEEDDEGVDELLAALGYKVRSSDMADVALKMEQLEMAMGSNAGAAPDDSLIAHLASDTVHYNPSDLSTWLETMLSELNTPPPPLPPAPADRHLSYDLPPAPAPAVSVQSSIFDTIAPAESSTITTVDFSAPPPPSARPVTGPRVSYGPTETPSDLTGTRERKRMRSSSASSSSSRGGGVAGIGSVNTTTTEASSISPAAPGPTVVIVDSQEAGIRLVHALMACADAVQQDNMKAADALLKQISVLAASQGGAMRKVASYFRPSPSWPDLSDSSPTRLFPGLRLLRHPPDALLRDLPLSQVRPLHRQPSHPRSLRRPPSGPCHRLQYETRDAVAGAPASPRSPSWWSSFIPPHRDRPTPAGQHRRAPTSRIEARSVSPRRSTSISSTMVSLPTALRIWNPICSIRIAPAAPLPVPTKNQKRLR >DRNTG_31163.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13309879:13310768:1 gene:DRNTG_31163 transcript:DRNTG_31163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFAGKLVERLTNVIEEKAIMVLGVKDDLQKLQRRMKRITCVLKDAEKKKIQDDTVKLWVDELKDLMYDADDIIDLCMIQGTGLLQDDHHHSLAESSATASTRVCWHFPFLSCARSARFRYEIADKIKRLNDRLTEISEDKDKFNFITSSTSNDAYVMNEASYLHSSFLPESDIVGWDIRDATKSLVDLLAYQHEQKCRLFAIIGMGGIGKTTLAQLIYNDSKINDDFVLHSWICVSKFYTSRSDLLKELIRNVGGTCGESTTVA >DRNTG_16922.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22006605:22007406:1 gene:DRNTG_16922 transcript:DRNTG_16922.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSCYIWRLDNPTTNATILISTPFLSYSKQLLGQLSSKSLPPVSQISHGMMGPDNCHVNVPGMPFVPSSINPVSQLFENSGQRYDQKGSKQTKSSPPDVLPPLPSPPPLPLSQPPSIPPPPRFSPHNPQSALESSNTRASEQHPHYQWQGSLSKSGVHYCTIYAVREDSKYFASIQ >DRNTG_11038.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10650832:10655793:1 gene:DRNTG_11038 transcript:DRNTG_11038.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTVRVWDASQGHCIRVIYGVSSQLCIRFHPVNNNLLFVGNANKEISVMNFSTGRVINKAVFENEITAMDNDHTGQLIFSGDAQGCIYTVSVNSHTGSISRSHRNRNGRSKCSITTVQYRTYSLLARSPVLLACAQDGNLYFFSVALEIKGYLTLLCSLKLAPQVHSIRASFCPLLSLEKGEFIVSGSEDSNVYFYDLTKPKNTCVNKLQGHGYPVIGIAWNYGENLLASSDSNGTVIVWKRAKMS >DRNTG_11038.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10650832:10652398:1 gene:DRNTG_11038 transcript:DRNTG_11038.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAEEQEKKKEVNASQGEAPMLEENPKISMDLEFTSCLLQPPIAHHDPNYVALRRLLLRRKALSSPDAISRRKEWRCNGRGYVAYRNFISRPKNWESFNVASRSSTPGHSGRWTPLPGPQSIMFEVDSSSSSRDLRGYSQGFSREASFNSSVNDADRHLRVVEHAYSFVGMHCIFDSCKVSVTILKFGHMRSDLLAYGASDGSLTICCVSEPPAILHQLKGHSKDITG >DRNTG_11038.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10652787:10655793:1 gene:DRNTG_11038 transcript:DRNTG_11038.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTVRVWDASQGHCIRVIYGVSSQLCIRFHPVNNNLLFVGNANKEISVMNFSTGRVINKAVFENEITAMDNDHTGQLIFSGDAQGCIYTVSVNSHTGSISRSHRNRNGRSKCSITTVQYRTYSLLARSPVLLACAQDGNLYFFSVALEIKGYLTLLCSLKLAPQVHSIRASFCPLLSLEKGEFIVSGSEDSNVYFYDLTKPKNTCVNKLQGHGYPVIGIAWNYGENLLASSDSNGTVIVWKRAKMS >DRNTG_11038.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10650832:10655793:1 gene:DRNTG_11038 transcript:DRNTG_11038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAEEQEKKKEVNASQGEAPMLEENPKISMDLEFTSCLLQPPIAHHDPNYVALRRLLLRRKALSSPDAISRRKEWRCNGRGYVAYRNFISRPKNWESFNVASRSSTPGHSGRWTPLPGPQSIMFEVDSSSSSRDLRGYSQGFSREASFNSSVNDADRHLRVVEHAYSFVGMHCIFDSCKVSVTILKFGHMRSDLLAYGASDGSLTICCVSEPPAILHQLKGHSKDITDFDFSSNNQYIASSSMDKTVRVWDASQGHCIRVIYGVSSQLCIRFHPVNNNLLFVGNANKEISVMNFSTGRVINKAVFENEITAMDNDHTGQLIFSGDAQGCIYTVSVNSHTGSISRSHRNRNGRSKCSITTVQYRTYSLLARSPVLLACAQDGNLYFFSVALEIKGYLTLLCSLKLAPQVHSIRASFCPLLSLEKGEFIVSGSEDSNVYFYDLTKPKNTCVNKLQGHGYPVIGIAWNYGENLLASSDSNGTVIVWKRAKMS >DRNTG_04557.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22683153:22687067:1 gene:DRNTG_04557 transcript:DRNTG_04557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPWSRIAIPLRRLLSSQPPFRLLSTAAAKPEPSPESSESSPKGNINGDDAIFVKGPSSMGSATRDGTSVTMPMSFMTGSIVGKRFYKEVTTRLAEDGNGWTVMLDYRTLKTPSKRQLKLPTLALAKAIAAEWEYQQADGIRPFTMPMMKLACTALERVPLTRNKVVENLMKKFHQDLVFCRAPGDSDLTRRVYERQVEKLDPILDWVQTEFGFKPTVHSSFFGVKQEDCLVKVIEDVLKRTTDYELAAIDAMAAASHSLIIPLGMFHGRLGIEEAIELIRLEEDLQVDRWGLVEGGHDVDIADLQVQISSAAVFLGLSRKL >DRNTG_08258.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17629359:17631974:-1 gene:DRNTG_08258 transcript:DRNTG_08258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDVDGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >DRNTG_31279.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1127890:1129819:1 gene:DRNTG_31279 transcript:DRNTG_31279.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24320) UniProtKB/Swiss-Prot;Acc:Q84LK0] MKNEDKKTIESINRMELQGLSPYWFDVSQGNAIKNTVEMQSLFLLTGPNGGGKSSLLRSICAAALLGICGLMVPADVAVIPHFDSIMLHMKAYDSPADGKSSFQIEMSEIRSIVMAASSKSLVLVDEICRGTETAKGTCIAGSIIENLDHIGCLGIVSTHLHGIFDLPLVTKNVVYKAMGSEILDGVVRPTWKLIDGICKESLAFETAQREGLPEIIINRARELYVSVNAIDKTAVDVNRLDTHVSHEGPE >DRNTG_31279.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1118432:1129819:1 gene:DRNTG_31279 transcript:DRNTG_31279.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24320) UniProtKB/Swiss-Prot;Acc:Q84LK0] MEAFEEVDKAAEALSTAIKEDFLPIVLRVKSIMSSLGGPKGEICYARDHEAVWFKGKRFMPAVWANTPGEEEIKQLKPATDSKGKKVGEEWYTTNKVENALSRYHEACEKARNKVLELLRGLSAELQNKINVLVFSSMLLIIAKALAGHVSEGRRREWAFPCLVDFMKNEDKKTIESINRMELQGLSPYWFDVSQGNAIKNTVEMQSLFLLTGPNGGGKSSLLRSICAAALLGICGLMVPADVAVIPHFDSIMLHMKAYDSPADGKSSFQIEMSEIRSIVMAASSKSLVLVDEICRGTETAKGTCIAGSIIENLDHIGCLGIVSTHLHGIFDLPLVTKNVVYKAMGSEILDGVVRPTWKLIDGICKESLAFETAQREGLPEIIINRARELYVSVNAIDKTAVDVNRLDTHVSHEGPE >DRNTG_31279.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1128216:1130154:1 gene:DRNTG_31279 transcript:DRNTG_31279.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24320) UniProtKB/Swiss-Prot;Acc:Q84LK0] MELQGLSPYWFDVSQGNAIKNTVEMQSLFLLTGPNGGGKSSLLRSICAAALLGICGLMVPADVAVIPHFDSIMLHMKAYDSPADGKSSFQIEMSEIRSIVMAASSKSLVLVDEICRGTETAKGTCIAGSIIENLDHIGCLGIVSTHLHGIFDLPLVTKNVVYKAMGSEILDGVVRPTWKLIDGICKESLAFETAQREGLPEIIINRARELYVSVNAIDKTAVDVNRLDTHVSHEGPE >DRNTG_31279.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1126499:1129819:1 gene:DRNTG_31279 transcript:DRNTG_31279.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24320) UniProtKB/Swiss-Prot;Acc:Q84LK0] MEAFEEVDKAAEALSTAIKEDFLPIVLRVKSIMSSLGGPKGEICYARDHEAVWFKGKRFMPAVWANTPGEEEIKQLKPATDSKGKKVGEEWYTTNKVENALSRYHEACEKARNKVLELLRGLSAELQNKINVLVFSSMLLIIAKALAGHVSEGRRREWAFPCLVDFMKNEDKKTIESINRMELQGLSPYWFDVSQGNAIKNTVEMQSLFLLTGPNGGGKSSLLRSICAAALLGICGLMVPADVAVIPHFDSIMLHMKAYDSPADGKSSFQIEMSEIRSIVMAASSKSLVLVDEICRGTETAKGTCIAGSIIENLDHIGCLGIVSTHLHGIFDLPLVTKNVVYKAMGSEILDGVVRPTWKLIDGICKESLAFETAQREGLPEIIINRARELYVSVNAIDKTAVDVNRLDTHVSHEGPE >DRNTG_31279.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1125100:1129819:1 gene:DRNTG_31279 transcript:DRNTG_31279.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24320) UniProtKB/Swiss-Prot;Acc:Q84LK0] MEAFEEVDKAAEALSTAIKEDFLPIVLRVKSIMSSLGGPKGEICYARDHEAVWFKGKRFMPAVWANTPGEEEIKQLKPATDSKGKKVGEEWYTTNKVENALSRYHEACEKARNKVLELLRGLSAELQNKINVLVFSSMLLIIAKALAGHVSEGRRREWAFPCLVDFMKNEDKKTIESINRMELQGLSPYWFDVSQGNAIKNTVEMQSLFLLTGPNGGGKSSLLRSICAAALLGICGLMVPADVAVIPHFDSIMLHMKAYDSPADGKSSFQIEMSEIRSIVMAASSKSLVLVDEICRGTETAKGTCIAGSIIENLDHIGCLGIVSTHLHGIFDLPLVTKNVVYKAMGSEILDGVVRPTWKLIDGICKESLAFETAQREGLPEIIINRARELYVSVNAIDKTAVDVNRLDTHVSHEGPE >DRNTG_29156.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5277641:5281537:-1 gene:DRNTG_29156 transcript:DRNTG_29156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGKGLGTGLNVVAEHGERSAERRDEGLHRR >DRNTG_10711.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9573312:9574254:1 gene:DRNTG_10711 transcript:DRNTG_10711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLRGLKEAQKFQQKSQGSHEFLHTRDPDWEAILREVLKGVFGHRKRSSSSCISWAIAPLFLVAISGDLLRETSPRGRQTLEEEIGGRSKARKHRLTKDLIEPSRCSPSKRI >DRNTG_16140.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15710083:15710748:-1 gene:DRNTG_16140 transcript:DRNTG_16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAILEHQVRYERLPKLRVGQTRFLDTTILRDLQQGDELADERAYRVLYGQGQYEPRVSKAKCLSRPAYKYLHAIMSRSMNGCGDSTGVLSRQELLYLYSMMQRVPIHLGHIMADYIRHQGHYARL >DRNTG_03712.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000173.1:80364:81315:1 gene:DRNTG_03712 transcript:DRNTG_03712.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCSGLPLALKVIGRATSNMKTPEEWRHMLRSLIKMDVRTVTGIEESLFHNLKVSYDNLAIDTLRQCFLCCAQWREGILIKVSDLIEHWIGCGLISDFGNMGEAFDEGYSLIAKLNEACLLEFFDIDERYVKLHDVIHNMALWIVFECGKKKNKCIVGASVNDLSQFLNWEAGNCEETELISYNGPFYGDSLPKFLSDQNIDEKGQVSVAATFPRYPNLKSLFMTGYCRCETREMMVINFYPHMPSLTHLNLLGAPITGLSKEIRFLVNLQYLNISL >DRNTG_03887.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3894772:3900611:1 gene:DRNTG_03887 transcript:DRNTG_03887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSKMSPCIQWGPVPLDAADLSAGPAVGLGH >DRNTG_19221.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000990.1:122485:122991:-1 gene:DRNTG_19221 transcript:DRNTG_19221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMISLRKGNTRLPPEVNRVLYVRNLPFNISSEEMYDIFGKYGAIRHIRLGTNKDTRGTAFVVYEDIYDAKTAVDHLSGFNVANRYLIVLYYQQAKMSKKQDTKKKEEEIMKMQEKYGLSSKDK >DRNTG_00936.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21245368:21248344:-1 gene:DRNTG_00936 transcript:DRNTG_00936.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCLQFPLMSKSRKLHLLITEHEKEEDDDGEIEEEELHHIKLSDFPGGAETFEAAAKFSYGVKIELTAWTAAPLRCAAEYLEMTEDFSEDNLISRTDRFIAQSVLRNIRDSIKTLKSCENLMPLAEDLGIPQHCVDAIAAKASASPDLNSLFGWPIRERTDPKAILWNGIEVGGRRKSEIRQPEPWFEDLTMLSLPIYKRVIAAMKARDLNPEAIEGSLISYAKSSIPGLSRSSRKRAGAAATPPLSSETEQRELLEIIITNLTSEKSSSLITTQFLFGLLRTANILRSPAASRSALEKKIGAQLENATLDDLLMPSYSYLVDMLYDVECVDRIVGYFVERQEGTSAIIHAEEVVGSPHSENTAMIAVGKLMDGYLAEIASDANLKADKFCDLALSLPDHARVYDDGLYRATDLYLKVHPTAHITLIRFFCVTALIRLYIYIYYITKVYLTIDEFLRD >DRNTG_06085.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8551728:8562159:1 gene:DRNTG_06085 transcript:DRNTG_06085.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAACSSTCIPRPELLGRSQSLYNGKPHLKPCIDVRVLDISSCGAPRSQQIFPGKNALRLTSIKDKGLSSVWKHCFQIPKKSYLKGCFDEMQHTFLTKAVATMEPNCSSQTENGCRYGSNPELGSDSNPQVFQSSIDVSIEMDDREKLRRMRISKANKGNVPWNKGRKHSEETLRKIRERTRIAMQDPKVMSCQNEVSQLGTCPE >DRNTG_06085.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8551728:8562159:1 gene:DRNTG_06085 transcript:DRNTG_06085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAACSSTCIPRPELLGRSQSLYNGKPHLKPCIDVRVLDISSCGAPRSQQIFPGKNALRLTSIKDKGLSSVWKHCFQIPKKSYLKGCFDEMQHTFLTKAVATMEPNCSSQTENGCRYGSNPELGSDSNPQVFQSSIDVSIEMDDREKLRRMRISKANKGNVPWNKGRKHSEETLRKIRERTRIAMQDPKVRMKLVNLGHAQSEETRIKIGVGVREGWQRRRQKQMVQESCLFEWQNLIAQTSRKGYYGEDELQWDSYELLDEKLKQEWLESIEMRKSMPRPKGSKRAPKSADQRRKISEAISAKWADPEYRARVCAALSKYHGTTSGVERKRRSKPSGESVKREPLRMRTSKSKESKYEAKIVKKTTRTRNATPSYRDPLASSKLEMIKNIKAQREAMENKKREATERAKLLIAEAEKAAKALEIAALKSPLAQASLLETRKLIAEATKSIQSIENGKMILLEKAEDVSISAGGLVNNSQLSSGLSNNDDFSVEHVVNGNPIKMSNGRFIVGSQSERSDGDPRKYEIENAEYSSIAKPQFSSHDVQKFHSADLLANAFKLNGSMRYENLTSDSDEETASDVNSGETISASATTKTKKWVCGRLVEVEENQTTIGEHDFLEA >DRNTG_06085.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8560033:8562159:1 gene:DRNTG_06085 transcript:DRNTG_06085.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVQESCLFEWQNLIAQTSRKGYYGEDELQWDSYELLDEKLKQEWLESIEMRKSMPRPKGSKRAPKSADQRRKISEAISAKWADPEYRARVCAALSKYHGTTSGVERKRRSKPSGESVKREPLRMRTSKSKESKYEAKIVKKTTRTRNATPSYRDPLASSKLEMIKNIKAQREAMENKKREATERAKLLIAEAEKAAKALEIAALKSPLAQASLLETRKLIAEATKSIQSIENGKMILLEKAEDVSISAGGLVNNSQLSSGLSNNDDFSVEHVVNGNPIKMSNGRFIVGSQSERSDGDPRKYEIENAEYSSIAKPQFSSHDVQKFHSADLLANAFKLNGSMRYENLTSDSDEETASDVNSGETISASATTKTKKWVCGRLVEVEENQTTIGEHDFLEA >DRNTG_06085.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8560033:8562208:1 gene:DRNTG_06085 transcript:DRNTG_06085.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQESCLFEWQNLIAQTSRKGYYGEDELQWDSYELLDEKLKQEWLESIEMRKSMPRPKGSKRAPKSADQRRKISEAISAKWADPEYRARVCAALSKYHGTTSGVERKRRSKPSGESVKREPLRMRTSKSKESKYEAKIVKKTTRTRNATPSYRDPLASSKLEMIKNIKAQREAMENKKREATERAKLLIAEAEKAAKALEIAALKSPLAQASLLETRKLIAEATKSIQSIENGKMILLEKAEDVSISAGGLVNNSQLSSGLSNNDDFSVEHVVNGNPIKMSNGRFIVGSQKISFS >DRNTG_09374.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15145623:15150638:-1 gene:DRNTG_09374 transcript:DRNTG_09374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQQHESGLPVTRVPIIPNQKAHVELPIGETPVRKPKITIRSKKSRINEGPSSDANPPFKNPISAAIVPKLRS >DRNTG_12527.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18744735:18747295:1 gene:DRNTG_12527 transcript:DRNTG_12527.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHSGYREHEFRDKDSDVDQSRRKEYYQDRERRGGHDHRDRARVRPRDVKEREALNGASHSPLGFGNSSGGKAGDHHVRSRFHRGPVDREPGELSSGSSSDDPELSVSMVRDNSVINQGNGVLSSKRRKFSPIIWDRDDGKLSAVTNSKSKNGHSIEQVALPPLPPPPQLPEGFVPVSSINSVQLSADHAPTIHDVKVSVDSPQDQQNHDQEPGVVDEDEEDFSLAPNISTSRWADSNNALDDDDDDDDETEDVKQDFVPKRRRNTPLANSIEQRLLKAPSPEVGEVISASKSSGSEGERRDTDHEVEVDKNVYMDVDEDAANGNVAEHLSDTDSDDDVDRTESPKTAQPPQRCINMLLGCRSVDEFERLNKIDEGTYGVVYRARDKKTGEVVALKKVKMEKEREGFPLTSLREINVLLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGLMETMKQPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLMNNRGELKICDFGLASPIW >DRNTG_25467.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1572316:1578909:1 gene:DRNTG_25467 transcript:DRNTG_25467.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLVKKDDDRDDEVEYSPFLGIEKGLVLQEARAFHDPQLDARRCSQVITKLLYLLNQGETFTKIEATEVFFAVTKLFQSKDTGLRRMVYLIIKELSPSSDEVIIVTSSLMKDMNSKTDMYRANAIRVLCRITDGTLLTQIERYLKQAVVDKNPVVASAALVSGIHLLQQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTKGAVRSPLAQCLLIRYTSQVIRETSNSQSGERPFFDFLESCLRHKAEMVIFEAARAITELSGVTNRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTHPLAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSIDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFEYKKAIVDSIVILVRDIPDAKESGLIHLCEFIEDCEFTYLSTQILHFLGNEGPKTSDPTKYIRYIYNRVILENATVRAAAVSTLAKFGALVESLKPRIFVLLRRCLFDIDDEVRDRATLYLNTLGGDDSVGETDNDVKEFLFGSLDVPLSNLEMSLQNYVPSEEPFDISSVPKEVKSQPLTEKKAPGKVPTGLGPPTSNPTSTVDGYEKLLSSIPEFSSFGTLFKSSAPVELTEAETEYAVNVVKHIFDEHVVFQYNCTNTIPEQLLENVIVFVDASDAEEFSEVASKPLRSLPYDSPGQTFVAFEKPAGVPAVGKFSNLLKFIVKEVDPTTGETEDEGVEDEYQLEDLEIVAADYMLKLGVSNFRNAWESMDPDSERVDEYGLGARESLAEAVSAVITLLGMQPCEGTDVVPNNSRSHTSLLSGIFIGNVKVLVRLSFGIDGPKQVAMKLAVRSDDPAISDAIHEIVANG >DRNTG_25467.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1572316:1578909:1 gene:DRNTG_25467 transcript:DRNTG_25467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLVKKDDDRDDEVEYSPFLGIEKGLVLQEARAFHDPQLDARRCSQVITKLLYLLNQGETFTKIEATEVFFAVTKLFQSKDTGLRRMVYLIIKELSPSSDEVIIVTSSLMKDMNSKTDMYRANAIRVLCRITDGTLLTQIERYLKQAVVDKNPVVASAALVSGIHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTKGAVRSPLAQCLLIRYTSQVIRETSNSQSGERPFFDFLESCLRHKAEMVIFEAARAITELSGVTNRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTHPLAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSIDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFEYKKAIVDSIVILVRDIPDAKESGLIHLCEFIEDCEFTYLSTQILHFLGNEGPKTSDPTKYIRYIYNRVILENATVRAAAVSTLAKFGALVESLKPRIFVLLRRCLFDIDDEVRDRATLYLNTLGGDDSVGETDNDVKEFLFGSLDVPLSNLEMSLQNYVPSEEPFDISSVPKEVKSQPLTEKKAPGKVPTGLGPPTSNPTSTVDGYEKLLSSIPEFSSFGTLFKSSAPVELTEAETEYAVNVVKHIFDEHVVFQYNCTNTIPEQLLENVIVFVDASDAEEFSEVASKPLRSLPYDSPGQTFVAFEKPAGVPAVGKFSNLLKFIVKEVDPTTGETEDEGVEDEYQLEDLEIVAADYMLKLGVSNFRNAWESMDPDSERVDEYGLGARESLAEAVSAVITLLGMQPCEGTDVVPNNSRSHTSLLSGIFIGNVKVLVRLSFGIDGPKQVAMKLAVRSDDPAISDAIHEIVANG >DRNTG_08028.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30184714:30188910:-1 gene:DRNTG_08028 transcript:DRNTG_08028.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCSCFHSFIPSWRPISIVGVRRNHSILAISESSVKNASGVGEVELASARAKIGDSHIEKKGSVEIDAGDLGVLYEDGFGTMSVEDYFSAAKQIIKPDGGPPRWFCPVECGKPIKNAPLLLFLPGADGTGVGLILHHKSLGKAFEVRCMHVPINDRTPFEGLVSFVEHTVRFEQAMSPNKPIYLLGDSLGGCLALAVAARNPTIDLVLILVNPATSFGKSQVQPLIPVLEGLPSNLHNTVPYLLSFVMGDPVKMAMTSVPDDLAPPELLNELSNSLTSLLPYLSDLADIIPRETFLWKLKLLKSAAAYVNSRLHAVEADVLLLASGKDNLLPSGDEAERLCSSLKKCRIRYFKDNGHTLLLEDGINLLTIIKGTYTYRRSKRCDFVDDYLPPTMHEFKKIFEQEDRLFRVATSPVTLSTLKDGKIVRGLDGVPGEGPVLFVGYHMLMGLELGQLYEEFLRERKIALRGMAHPALFYNMRQNSRPEFSRFDYMNVFGALPVSPANIYRLLSRKAFVLLYPGGAREALHRKGEEYKLFWPDQPEFVRMAARFEATIVPFGVVGEDDVAELFIDYDDLMSNPFTKKWVEDFNKDAIRLRSDVGGELAQQEIYIPGLLPKVPGRFYYLFGRPTETRGMKDVLSNRDKANALYLQIKSEVERTMSYLRRKREEDPYRSIIPRIMYEGSWGFKKQAPTFDF >DRNTG_08028.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30184714:30188910:-1 gene:DRNTG_08028 transcript:DRNTG_08028.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCCCSCQGLMALEWDSFYITSLLERLLKLDACTFQLMIARHLKVKSLLCTYFEVFRLSTQGFLFVCHFYGFFSIFMLQGLVSFVEHTVRFEQAMSPNKPIYLLGDSLGGCLALAVAARNPTIDLVLILVNPATSFGKSQVQPLIPVLEGLPSNLHNTVPYLLSFVMGDPVKMAMTSVPDDLAPPELLNELSNSLTSLLPYLSDLADIIPRETFLWKLKLLKSAAAYVNSRLHAVEADVLLLASGKDNLLPSGDEAERLCSSLKKCRIRYFKDNGHTLLLEDGINLLTIIKGTYTYRRSKRCDFVDDYLPPTMHEFKKIFEQEDRLFRVATSPVTLSTLKDGKIVRGLDGVPGEGPVLFVGYHMLMGLELGQLYEEFLRERKIALRGMAHPALFYNMRQNSRPEFSRFDYMNVFGALPVSPANIYRLLSRKAFVLLYPGGAREALHRKGEEYKLFWPDQPEFVRMAARFEATIVPFGVVGEDDVAELFIDYDDLMSNPFTKKWVEDFNKDAIRLRSDVGGELAQQEIYIPGLLPKVPGRFYYLFGRPTETRGMKDVLSNRDKANALYLQIKSEVERTMSYLRRKREEDPYRSIIPRIMYEGSWGFKKQAPTFDF >DRNTG_08028.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30183694:30188910:-1 gene:DRNTG_08028 transcript:DRNTG_08028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSLLYMLFFFKKKILKGWIGETRALVMAMCSCFHSFIPSWRPISIVGVRRNHSILAISESSVKNASGVGEVELASARAKIGDSHIEKKGSVEIDAGDLGVLYEDGFGTMSVEDYFSAAKQIIKPDGGPPRWFCPVECGKPIKNAPLLLFLPGADGTGVGLILHHKSLGKAFEVRCMHVPINDRTPFEGLVSFVEHTVRFEQAMSPNKPIYLLGDSLGGCLALAVAARNPTIDLVLILVNPATSFGKSQVQPLIPVLEGLPSNLHNTVPYLLSFVMGDPVKMAMTSVPDDLAPPELLNELSNSLTSLLPYLSDLADIIPRETFLWKLKLLKSAAAYVNSRLHAVEADVLLLASGKDNLLPSGDEAERLCSSLKKCRIRYFKDNGHTLLLEDGINLLTIIKGTYTYRRSKRCDFVDDYLPPTMHEFKKIFEQEDRLFRVATSPVTLSTLKDGKIVRGLDGVPGEGPVLFVGYHMLMGLELGQLYEEFLRERKIALRGMAHPALFYNMRQNSRPEFSRFDYMNVFGALPVSPANIYRLLSRKAFVLLYPGGAREALHRKGEEYKLFWPDQPEFVRMAARFEATIVPFGVVGEDDVAELFIDYDDLMSNPFTKKWVEDFNKDAIRLRSDVGGELAQQEIYIPGLLPKVPGRFYYLFGRPTETRGMKDVLSNRDKANALYLQIKSEVERTMSYLRRKREEDPYRSIIPRIMYEGSWGFKKQAPTFDF >DRNTG_13899.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1858327:1859224:1 gene:DRNTG_13899 transcript:DRNTG_13899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTGFLSATSVRLSNELGSGRPMAGKYAVIVSGLTTLFISLISSSLILATWDKFPLLFTSSKEVQDSASTAVYLLAIVLMITAIQSTLIGSITGTGWQGLVA >DRNTG_06512.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4334973:4336485:1 gene:DRNTG_06512 transcript:DRNTG_06512.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMGNVVLDLENPTQPSDKCCSGSPKMTRVLSRKFSCRMERQNGEEQEADETIKKLVVNGINIFF >DRNTG_06512.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4333637:4336485:1 gene:DRNTG_06512 transcript:DRNTG_06512.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMGNVVLDLENPTQPSDKCCSGSPKMTRVLSRKFSCRMERQNGEEQEADETIKKLVVNVVPSQLELLKQPSMLNKGMTPLNSPCLPEAGDGRHRRFNRFTIHPNKILLFLATVSSMGTMILIYFTLAIYLRGEE >DRNTG_06512.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4333637:4336485:1 gene:DRNTG_06512 transcript:DRNTG_06512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMGNVVLDLENPTQPSDKCCSGSPKMTRVLSRKFSCRMERQNGEEQEADETIKKLVVNVVPSQLELLKQPSMLNKGMTPLNSPCLPEAGDGRHRRFNRFTIHPNKILLFLATVSSMGTMILIYFTLAIYLRGEE >DRNTG_06512.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4334159:4336485:1 gene:DRNTG_06512 transcript:DRNTG_06512.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMGNVVLDLENPTQPSDKCCSGSPKMTRVLSRKFSCRMERQNGEEQEADETIKKLVVNVVPSQLELLKQPSMLNKGMTPLNSPCLPEAGDGRHRRFNRFTIHPNKILLFLATVSSMGTMILIYFTLAIYLRGEE >DRNTG_19278.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:490789:491008:1 gene:DRNTG_19278 transcript:DRNTG_19278.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEKEPFEAMARADMKRYREAMAGYKSGASAMNIDSGNESDSG >DRNTG_25661.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21638558:21660341:-1 gene:DRNTG_25661 transcript:DRNTG_25661.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVQRNTLKLELIKMGIQAMPPVHWFTRKDIKTKISPGSTSDNEKEHASTHSHGHSSHECRPACSLSLSNNSRANLQQHHHHLQSFRSKTMDHSKP >DRNTG_25661.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21638558:21660341:-1 gene:DRNTG_25661 transcript:DRNTG_25661.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQAMPPVHWFTRKDIKTKISPGSTSDNEKEHASTHSHGHSSHECRPACSLSLSNNSRANLQQHHHHLQSFRSKTMDHSKP >DRNTG_13634.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7518525:7522224:-1 gene:DRNTG_13634 transcript:DRNTG_13634.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDFFTLTEMKNGLATSARVEELISMMQKQVDNVTSNTGDAARQWSIVAGTLAATDNKDCLHHFVQLNGVILLNRWLQEAIKCSDEAGDSSVEELVNALLGSLERLPIDNEKSIASGINITVERLLCHKTCNIQERAKVLLDQWNCARVYDAKCQITDTGDAPSADDATKGCVMHQTSPEGNSSCKPKFEDTALGIVSPDNEHGSKCSNTTQLDNAGTLEVPISHVSSPGADLITIDADAVSSDRMGSSHLSTSCMNNLARTGESSVCPAISMASTSTCNNIDGGRKVDESNSQLDDGVNDEKQIEVAVNAKGDKEGSHLENCNISSSDLSQRKPLKESNDNFDSKESSSCIPKDAVSQVSEIGDDESLKYTRNFNDCQGKENEDHSLDCQGLFSKATINREIDVIETNCQLKEAFSSKDDTVKVDGTYNLKVNGVDLKAVEAKLRGVTDRPSDLELECGEIDAVDVAQQDARKVEHEVSNYREPSSRSPEISSEKIEANTFDSLESKEGQPPIKEQEVNGQAIGNNVSERPSSPMEDGLKVSEDLVFDPKKEDMASLKSVAMDKKPAGEVAVCRLDFDLNADVADEENDCSMYPSNKSSVILSAPKAVVASSKGTSGLPVPRLQFEGEMGWRGSAATSAFRPTSSQKTPDSSSGSKQKPVFLGIDLNMTSEDGEAANTTSVKQLPHSGFPSADSSIEVSSRRSEKLNLDLNRLGDEEISPYSSLNWRPLHKIADRSMSPSSSSSSRVPSTRDFDLNDNLSLLGVVGSQNLNRSSLNTSGTYDSSKTEDPCITIMGSRMTVERKSYADQPSPSHQAFLGGGVNIGTNMAARTMMPYHMPATAYGYNTIGMETAMPIPSALYGLHCTPYLVDSSGVKVIPQLLRTSEQNTSTSGAFHLGVGSDRPGWNMPEASEPALDLNFAMTPMESASRQPGSLKQFFGFLEEQATTSQPESSGMSLKRKEPDSGWDSHPFSYKQVKSSWL >DRNTG_33950.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:962551:965015:1 gene:DRNTG_33950 transcript:DRNTG_33950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDTARSSTESEVSGLDYEETELKLGLPGGARNGAAGSETERKRGFSETVDLTLGGSASQSADSAGAQASAAEKPPAAKARAVGWPPVRNFRKNALKSCTYVKVAVDGAPYLRKVDLEMYGGYEQLLAALQDMFSCFTIRDYQTEKRLVDPVNGTEYVPTYEDKDGDWMLVGDVPWKMFVASCKRIRLMKSSEAVNLAPRGTPGCTNSK >DRNTG_02764.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11717029:11725463:1 gene:DRNTG_02764 transcript:DRNTG_02764.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKNMGSDGRPVSGSAAGAAMPLARQSSIYSLTFDEFQSTLGGMGKDFGSMNMDEFLKNIWTAEESQAMAAALAGSDGSGLGAGGPGLQRQGSLTLPRTLSQKTVDEVWRDLIKEGSSTAVQGVAGPEIQQKQQQQQQQQQQQQRQPTLGEMTLEEFLVRAGVVREDMNMNMNMNMNMNMNMNMNHSASRPIISSSISTVNTGGVSSNSVFYGDVPSSNSATGIEISFPQIGRSNGGIVPSSISNSPGTNLPITATGTRSYVAPMGNHHGLRGGGMVGIGDPAMGNGLMQGMVGLGVGGVTVAAAVGSPANQISSDGLGKGNGDLSSVSPVPYTFGAGLRGRKCSAVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKEQNQELEKKQAEIVQMQKNQVLEMINQQHGPKRRCLRRTQTGPW >DRNTG_02764.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11717029:11725463:1 gene:DRNTG_02764 transcript:DRNTG_02764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKNMGSDGRPVSGSAAGAAMPLARQSSIYSLTFDEFQSTLGGMGKDFGSMNMDEFLKNIWTAEESQAMAAALAGSDGSGLGAGGPGLQRQGSLTLPRTLSQKTVDEVWRDLIKEGSSTAVQGVAGPEIQQKQQQQQQQQQQQQRQPTLGEMTLEEFLVRAGVVREDMNMNMNMNMNMNMNMNMNHSASRPIISSSISTVNTGGVSSNSVFYGDVPSSNSATGIEISFPQIGRSNGGIVPSSISNSPGTNLPITATGTRSYVAPMGNHHGLRGGGMVGIGDPAMGNGLMQGMVGLGVGGVTVAAAVGSPANQISSDGLGKGNGDLSSVSPVPYTFGAGLRGRKCSAVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKEQNQELEKKQAEIVQMQKNQVLEMINQQHGPKRRCLRRTQTGPW >DRNTG_02764.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11717029:11725463:1 gene:DRNTG_02764 transcript:DRNTG_02764.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKNMGSDGRPVSGSAAGAAMPLARQSSIYSLTFDEFQSTLGGMGKDFGSMNMDEFLKNIWTAEESQAMAAALAGSDGSGLGAGGPGLQRQGSLTLPRTLSQKTVDEVWRDLIKEGSSTAVQGVAGPEIQQKQQQQQQQQQQQQRQPTLGEMTLEEFLVRAGVVREDMNMNMNMNMNMNMNMNMNHSASRPIISSSISTVNTGGVSSNSVFYGDVPSSNSATGIEISFPQIGRSNGGIVPSSISNSPGTNLPITATGTRSYVAPMGNHHGLRGGGMVGIGDPAMGNGLMQGMVGLGVGGVTVAAAVGSPANQISSDGLGKGNGDLSSVSPVPYTFGAGLRGRKCSAVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKEQNQELEKKQAEIVQMQKNQVLEMINQQHGPKRRCLRRTQTGPW >DRNTG_06363.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24411379:24413232:1 gene:DRNTG_06363 transcript:DRNTG_06363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAILVKALELIVDLTAAPVLQYLRPIWGGVDGELEKLNRYLLQIKPLVEDAEERQLMDQAVKSWLMMLRDVAYDADDILDQANTHVLLIQRKAQFYGSLKSKVRDFFSLHHNPLLFQLQLGHKLRSINKRIDDVIEEMHKFNFKVADNNNNNNRPWRNRPQTHSYVPDSEVIGRDEDKEQIVQMLIHDHFEEKVAVVSIVGMGGLGKTTLAQLIYRDRNVKNHFQLCIWVCVSDDFDVAKLARNIMLASSKKPYADTNMEVLHQDLRQVLGQKRYLLVLDDVWNEDHMKWDALRHLLLDGAEGSRILVTTRNDNCSRIMGAKKSCLLQGLSEESSWALFERTVFTTSLSRQPKFLEIGKKIINKCKGLPLAIQVMGSLMQSKIEESQWQAVLDNEIWDIPRATDKIRPELWLSYVNLPSEVKKCFAFCVLLSEG >DRNTG_13305.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3075813:3079036:-1 gene:DRNTG_13305 transcript:DRNTG_13305.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYIADVFNQFMDGKGKFKEEVSNDLNGLLSLYEAAYLGIPGEDLLDEALDFTRSHLQSLVKHIGPSLARKVKHALEAPLRKRMTKLNARLYIPIYEEDTEAKNDVVLELAKLDFHILQLLHREEVKKISMWWKDVGVPTKLTFARDRIVELYFWILGVYFEPQYSRARMMMVKVISMVSLMDDVYDSYGTMVELQHFTGAIQRSETLSYIFRSLNTNYT >DRNTG_13305.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3075813:3079036:-1 gene:DRNTG_13305 transcript:DRNTG_13305.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRMQVLVKDVKILLKDAKGSMREEMQLIDALQRLGVAYHFEQEISEALWFVNTSSSSGHHSYSDDDLHFVALRFRLLRERHYYVPPYVFNQFMDGKGKFKEEVSNDLNGLLSLYEAAYLGIPGEDLLDEALDFTRSHLQSLVKHIGPSLARKVKHALEAPLRKRMTKLNARLYIPIYEEDTEAKNDVVLELAKLDFHILQLLHREEVKKISMWWKDVGVPTKLTFARDRIVELYFWILGVYFEPQYSRARMMMVKVISMVSLMDDVYDSYGTMVELQHFTGAIQRWDFKAADEMEECLRVAFLAIYQTMGELEDEVLKDGKLYRIDYLRREFSQFEKLAIVYLEEAKWRDECYVPSLAEHLELSIKTSTLNVVACASFIGMGEIAGKHSFDWVTSFPQIIKDVSKLSRLMDDVGGFEVDAKMGRKHVVSTIHCCMNEFGDSLEEAKARLLHLVEDAWKNINKECLHLTIPSALLARVVNSACTMETIYRKIDGYTEPSLLKNSISLLFVQPI >DRNTG_13305.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3075813:3079036:-1 gene:DRNTG_13305 transcript:DRNTG_13305.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRMQVLVKDVKILLKDAKGSMREEMQLIDALQRLGVAYHFEQEISEALWFVNTSSSSGHHSYSDDDLHFVALRFRLLRERHYYVPPYVFNQFMDGKGKFKEEVSNDLNGLLSLYEAAYLGIPGEDLLDEALDFTRSHLQSLVKHIGPSLARKVKHALEAPLRKRMTKLNARLYIPIYEEDTEAKNDVVLELAKLDFHILQLLHREEVKKISMWWKDVGVPTKLTFARDRIVELYFWILGVYFEPQYSRARMMMVKVISMVSLMDDVYDSYGTMVELQHFTGAIQRWDFKAADEMEECLRVAFLAIYQTMGELEDEVLKDGKLYRIDYLRREFEKLAIVYLEEAKWRDECYVPSLAEHLELSIKTSTLNVVACASFIGMGEIAGKHSFDWVTSFPQIIKDVSKLSRLMDDVGGFEVDAKMGRKHVVSTIHCCMNEFGDSLEEAKARLLHLVEDAWKNINKECLHLTIPSALLARVVNSACTMETIYRKIDGYTEPSLLKNSISLLFVQPI >DRNTG_11349.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23738015:23744446:1 gene:DRNTG_11349 transcript:DRNTG_11349.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFFSSRLLAFTDQENKMLPLKVDFIGLNHYTTIYAQDCKFSCEAATSDGNAMVITTTERNGWSIGEPVIKKISYKELMFGLYHVDYSTQKRTLKFSAKWYRRFLTGQGMFHNKIFGSHPEASLLHQQTLNEASKKIKCSLDFLSTWIADNTGNLDTPLIQKPPMDKFYSVVKYRGEGCVLDFIFLTGWESILKEICTYWALKALSVRVNYVTPYEHKTMASINLEDDFQRMCNIHCIFKINVVDMMLETVNNNSTKGTFGSSTPL >DRNTG_29180.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24255297:24262076:1 gene:DRNTG_29180 transcript:DRNTG_29180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSQSCFFNWGSTVISPLFLLFLSHSFRPSSTHPPVPTKPGEYFSADYYNECANSLPFHCGNIMTDDIKYPFWTSNQPSFCGHPGPGFELTCAKGMLLSIQIGNKKYHVMKGISYRDRVLQLLDFDLLLDGPSCPQKMSNTSFDFSLFTYPDDNQDTNLTVFLDCSSPLFMDFLFPTQCYNNGFFGHYSYFTLQSFGQKLPMHDLLANCDTTVLLPVSNLNFSPETFRNGTMNFFEAFEVGFNLTWTVDQGWCENECLKTGGICGSDPNGTKANACFCPSGTMSNGTSCTGNHFSFSISFPSFPELSNTALIMELYTFRALAFKLIAFIRPIVCCR >DRNTG_29180.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24255297:24262076:1 gene:DRNTG_29180 transcript:DRNTG_29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSQSCFFNWGSTVISPLFLLFLSHSFRPSSTHPPVPTKPGEYFSADYYNECANSLPFHCGNIMTDDIKYPFWTSNQPSFCGHPGPGFELTCAKGMLLSIQIGNKKYHVMKGISYRDRVLQLLDFDLLLDGPSCPQKMSNTSFDFSLFTYPDDNQDTNLTVFLDCSSPLFMDFLFPTQCYNNGFFGHYSYFTLQSFGQKLPMHDLLANCDTTVLLPVSNLNFSPETFRNGTMNFFEAFEVGFNLTWTVDQGWCENECLKTGGICGSDPNGTKANACFCPSGTMSNGTSCTGNHFSFSISFPSFPELSNTALIMELYTFRALAFKLIAFIRPIVCCR >DRNTG_18702.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14785171:14791178:1 gene:DRNTG_18702 transcript:DRNTG_18702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLCSCQRSCGLASFSSKVPSSNLGHISSAGSTVADFDTTDEIVDEYTQEMFNPDPYEGLFDQEEGHEDVMMLGSTKEVTSIPGILKKVLRKMKRARRRHRKHSRAVGDAREPKKLDVSLSLQIFLSHPEKTHGRVEFLHARGFALRAHLEKAPGRAAAPVNDHAISTRPWVISARTCEFPQGLENFPKSTQGRGLAPLGDLVTHARAWGRAASSVSWARECPRPCGISARACIKLGIFHGYQEKPQGRAASPVGQTHGRGYFSHGRTIAVKVEGVFSRVRTGRYIDRIFVRFSGRFFIFSSHLVEHQVHYERLSRLWFGQTRFLDMTIPRDLKQADKFADEVEVLISADLTQASKVWIPFSSEYLDTTIALPSLSFCYDLACMRWHSQIRRRSVLAGGVQGYMLFPTCLQVFTAIMSRSVNGRGDSTGVLSRQELLLGAIFSGPYITRLGLGIGLLDSIRGAKKTSIPAPLSLKTMRLMGMVHRVQTGVFALVLPAPEIAEDEGDEAGASQLVLESQRAPMETEMVLSSWELRLSHGHGQGAEALGPVSFTTRQNTTPMELASSNATLGVRGVLF >DRNTG_06654.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18844022:18849263:1 gene:DRNTG_06654 transcript:DRNTG_06654.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELGAGVELATAAVPHLFLPLACAANMAKNVAAVTSTSTHTPIYKAYARGENIGDVTAKGESVANIADLLGTGLSIFISKRNSSIVASFILLSFGYIFSSYGEVCHLLLLIFVSCHF >DRNTG_06654.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18844022:18849263:1 gene:DRNTG_06654 transcript:DRNTG_06654.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGVQLYERVSDTMSHRVDGVSVSDTGTTPVCRLFCRVRASQLRFAGDLLMELGAGVELATAAVPHLFLPLACAANMAKNVAAVTSTSTHTPIYKAYARGENIGDVTAKGESVANIADLLGTGLSIFISKRNSSIVASFILLSFGYIFSSYGEGVFPH >DRNTG_06654.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18844022:18849263:1 gene:DRNTG_06654 transcript:DRNTG_06654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGVQLYERVSDTMSHRVDGVSVSDTGTTPLRFAGDLLMELGAGVELATAAVPHLFLPLACAANMAKNVAAVTSTSTHTPIYKAYARGENIGDVTAKGESVANIADLLGTGLSIFISKRNSSIVASFILLSFGYIFSSYGEGVFPH >DRNTG_06654.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18846579:18849263:1 gene:DRNTG_06654 transcript:DRNTG_06654.5 gene_biotype:protein_coding transcript_biotype:protein_coding MELGAGVELATAAVPHLFLPLACAANMAKNVAAVTSTSTHTPIYKAYARGENIGDVTAKGESVANIADLLGTGLSIFISKRNSSIVASFILLSFGYIFSSYGEGVFPH >DRNTG_06654.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18844022:18845123:1 gene:DRNTG_06654 transcript:DRNTG_06654.6 gene_biotype:protein_coding transcript_biotype:protein_coding LVTYPCAEPILHLSSPRHLRAQRLCSTSPALVTSARSASSPPLQRSSPPRVEPHKAPPSNPRSCRLCHHAQHPFSLHHSTSHHLNFILLQAHAGENLYPNLCNLRLDEASPPKI >DRNTG_06654.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18844022:18849263:1 gene:DRNTG_06654 transcript:DRNTG_06654.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELGAGVELATAAVPHLFLPLACAANMAKNVAAVTSTSTHTPIYKAYARGENIGDVTAKGESVANIADLVYYFYLLCTFHTSSHERLFYN >DRNTG_14019.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27627470:27629238:-1 gene:DRNTG_14019 transcript:DRNTG_14019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDMEKNPYECDSTLTGAIFMSNRATKKECLKRGVFGLPQSQAKFVSEVKAGMLLFLFEHEERKLYGVFEATSDGAMNIIPNAFRSSGMLFPAQIIFKRIWACKPLCESEFGDCIRENYYTLNKFHFGLSLKQ >DRNTG_26546.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5628504:5629362:1 gene:DRNTG_26546 transcript:DRNTG_26546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTIVWKNDSVSTTRSRLFDLLEGKEMIADDVMDAFEDTHDTTMAMIGDVMRNLHDVEIVILLIIINGHFHIVILNNDKQEYRHYSSCQSKEYDKDVLEMRNLFDLCIDMEFGESATTNTHLFTILKPHDKNKEGRLRCLYDAVYRAVTRR >DRNTG_28933.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22134075:22135850:1 gene:DRNTG_28933 transcript:DRNTG_28933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMKKHPSIIPFMVYISNEEKHMERFAVRAKYMTLDPSKNKYVKYIRNIRTIQEYLCNCADKHLVPKINNTNVDRSVAAIHATVFSCLRRREAGEQLYDTNTNTVSIIDEEYRNQCAANTLSSKGMFQLIQRKGSSRHLMALLNTDGSVAKAWPMESIYDNGRLNPRNCGDKCVGSPMYGPLLIGKAEPVNLQFGNFGISAWPNDAGGTSCSGSFDDSRADGTDTGSRYLSSCGSSPRMSEGHAKEVAFRFS >DRNTG_07632.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000344.1:55362:58348:1 gene:DRNTG_07632 transcript:DRNTG_07632.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNVEKNGDNGDRDQGAERDRETGNNVKQVADTGKVIGYESDYLDSSDPGSYEDTSEGSIGDDARRHSNDSNHEPTKPTNVSLGGEGACTDGIVIGREAPKSTAFISPSELIRMGNRGATHGQQQKQNEQSQSAEFTTSKLSTQQSITAVATKEKF >DRNTG_27018.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26941547:26949635:1 gene:DRNTG_27018 transcript:DRNTG_27018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTCTDFFFETPGGKGRVLVGREELETQVELESRVERRQAAVMPKKNPPTSSHTAEMGAATTSAKGIFVHKIFKYTPL >DRNTG_04970.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4001712:4002763:-1 gene:DRNTG_04970 transcript:DRNTG_04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLERGNEGSIGGGRRRGRKTQRRV >DRNTG_04970.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4001712:4002044:-1 gene:DRNTG_04970 transcript:DRNTG_04970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLERGNEGSIGGGRRRGRKTQRRV >DRNTG_17617.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4491851:4494397:1 gene:DRNTG_17617 transcript:DRNTG_17617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWLPVLGRRLLSSSSVAARRILSPLPRVPVQFPGTSPTLTPISRNPLHLGFRFQSLSPLLPMVQARHFAVKDRSRAPATPITSKVKKYKLKSYSSFKFRFRTMNDGNIRRWRAGKRHNAHLKSKKAKRRLRRPEIVHAAYAKVMKKLNFCG >DRNTG_22677.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:13674971:13704618:-1 gene:DRNTG_22677 transcript:DRNTG_22677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVSGDDSHGLLLACFFNQSAIIGENEEPVGKPCRNSTKLATGVRKITTPVCPTHKAAARPCGFSEHPRRIPIVPHAHAKIPHGRGHSHAHLTGAATHLCVFSGWRGLVCRVSHGRMEITHAHA >DRNTG_20814.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22118787:22119809:-1 gene:DRNTG_20814 transcript:DRNTG_20814.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 12 [Source:Projected from Arabidopsis thaliana (AT5G60490) UniProtKB/Swiss-Prot;Acc:Q8LEE9] MAYLSIAISLIFLLYGPALSQAQPAAAPAPPGPTNITKILEKAGQFGTMIRLLKSTSTADQINGQLNNSNNGLTVFAPTDNAFTNLPSGTLNSLTDQQKVALIQFHVLPNLMTITQFQTASNPLRTQAGDSSDGKYPLNVTAVGNQVNISTGIVNTTVSNTIYSDGQLAVYQVDKVLLPLDIFRPSVAPAPAPTKAKKKEAPADLGPSSSSSKDSSESSDAGTLKWRNSRDIACAALVGFLYWIL >DRNTG_20814.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22117934:22119809:-1 gene:DRNTG_20814 transcript:DRNTG_20814.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 12 [Source:Projected from Arabidopsis thaliana (AT5G60490) UniProtKB/Swiss-Prot;Acc:Q8LEE9] MAYLSIAISLIFLLYGPALSQAQPAAAPAPPGPTNITKILEKAGQFGTMIRLLKSTSTADQINGQLNNSNNGLTVFAPTDNAFTNLPSGTLNSLTDQQKVALIQFHVLPNLMTITQFQTASNPLRTQAGDSSDGKYPLNVTAVGNQVNISTGIVNTTVSNTIYSDGQLAVYQVDKVLLPLDIFRPSVAPAPAPTKAKKKEAPADLGPSSSSSKDSSESSDAGTLKWRNSRDIACAALVGFLYWIL >DRNTG_20814.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22117934:22119809:-1 gene:DRNTG_20814 transcript:DRNTG_20814.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 12 [Source:Projected from Arabidopsis thaliana (AT5G60490) UniProtKB/Swiss-Prot;Acc:Q8LEE9] MAYLSIAISLIFLLYGPALSQAQPAAAPAPPGPTNITKILEKAGQFGTMIRLLKSTSTADQINGQLNNSNNGLTVFAPTDNAFTNLPSGTLNSLTDQQKVALIQFHVLPNLMTITQFQTASNPLRTQAGDSSDGKYPLNVTAVGNQVNISTGIVNTTVSNTIYSDGQLAVYQVDKVLLPLDIFRPSVAPAPAPTKAKKKEAPADLGPSSSSSKDSSESSDAGTLKWRNSRDIACAALVGFLYWIL >DRNTG_20814.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22117934:22119809:-1 gene:DRNTG_20814 transcript:DRNTG_20814.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 12 [Source:Projected from Arabidopsis thaliana (AT5G60490) UniProtKB/Swiss-Prot;Acc:Q8LEE9] MAYLSIAISLIFLLYGPALSQAQPAAAPAPPGPTNITKILEKAGQFGTMIRLLKSTSTADQINGQLNNSNNGLTVFAPTDNAFTNLPSGTLNSLTDQQKVALIQFHVLPNLMTITQFQTASNPLRTQAGDSSDGKYPLNVTAVGNQVNISTGIVNTTVSNTIYSDGQLAVYQVDKVLLPLDIFRPSVAPAPAPTKAKKKEAPADLGPSSSSSKDSSESSDAGTLKWRNSRDIACAALVGFLYWIL >DRNTG_01601.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:531101:533034:-1 gene:DRNTG_01601 transcript:DRNTG_01601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIASTHSDDESSRQPHILTGEGLHGSRSRGTTDLSCEVNQYYPPDLSRTC >DRNTG_17692.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28261904:28271513:-1 gene:DRNTG_17692 transcript:DRNTG_17692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKVISRSTDDFTRERSQDLHKVFRNYDPNLRTQEKAIEYTRALNAAKLEKIFARPLIGAMDGHIDAISCMAKNPNHLKGIFSGSMDGDIRLWDMATRRTTCRFNGHQGPVRGLTVSTDGNVLVSCGNDCSVKLWKVASTFESSDTVEDDEPLANYVWKYAFRAVDHQWESNIFATGGAQVDIWDHNRSNPVNSFEWGTATIVSLRFNPGEPNVLATSASDRSITLYDLRMSSPARKVIMKTKTNAICWNPMEPMNFTAANEDCNCYSYDARKLDEAKCVHQDHVSAVMDIDYSPTGREFVTGSYDRTVRIFRYNGGHSREIYHTKRMQRVFCVKYSCDGTYVISGSDDTNLRLWKANASEQLGVLLPRERKKQEYLDAVKNRYKHLPDVKRIIRHRHLPKPIYKAAGLRRTMVEAERRKDERRRAHSAPGSTSVQPFRKRRIIQEIE >DRNTG_17692.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28261904:28271513:-1 gene:DRNTG_17692 transcript:DRNTG_17692.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKVISRSTDDFTRERSQDLHKVFRNYDPNLRTQEKAIEYTRALNAAKLEKIFARPLIGAMDGHIDAISCMAKNPNHLKGIFSGSMDGDIRLWDMATRRTTCRFNGHQGPVRGLTVSTDGNVLVSCGNDCSVKLWKVASTFESSDTVEDDEPLANYVWKYAFRAVDHQWESNIFATGGAQVDIWDHNRSNPVNSFEWGTATIVSLRFNPGEPNVLATSASDRSITLYDLRMSSPARKVIMKTKTNAICWNPMEPMNFTAANEDCNCYSYDARKLDEAKCVHQDHVSAVMDIDYSPTGREFVTGSYDRTVRIFRYNGGHSREIYHTKRMQRVFCVKYSCDGTYVISGSDDTNLRLWKANASEQLGVLLPRERKKQEYLDAVKNRYKHLPDVKRIIRHRHLPKPIYKAAGLRRTMVEAERRKDERRRAHSAPGSTSVQPFRKRRIIQEIE >DRNTG_28304.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8077969:8079402:-1 gene:DRNTG_28304 transcript:DRNTG_28304.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVSLKPSTLEKPGRHSPPAEQSNGRNPLDPLLPALQSLVDARRFSSKTSFISAIRACSATLSISQAKPIHALIFKAGLSSDRFVATSLIDLYSSSGFLHHARQVFDGITDRDVILETSMLKALLDNGEFEHANDLFDEMPERDVVAWNAMICGCSQCGLPEYALELFRGMQASVVRPNRITFIGVLSACSQLGCLPLGLWVHAYVNRYLDGDESPALYNALVHMYAKCGWLDVALQLFIKQEPKNLESWNTMLNGFAIHGCGTGALSLFSQMIKIGLLPDRISFIGVLMACSHAGLIDDARDCFYLMRRMYSIEPKAEHYGCLVDALCRGGYIDEAWMVIKSMPFEKNAGVYGALLGGCLRYCNYGLGLEVARHLIEIEPWEESRYMALVKLYAMLGRDEEAMNVRKVLNEKGIKKSSGSSAIEIDGVVHEFLAKDRAHCQSEDIYSMLDVLGSTLEFEWVNDMQTMWDTIDTN >DRNTG_04381.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27129045:27137699:1 gene:DRNTG_04381 transcript:DRNTG_04381.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRKTRILLKNIVILIFILSCIISNINPCIAISPVFCKNYNKIIEKWKRLPIQTSCFLMNLFWQIC >DRNTG_13503.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21902383:21904724:1 gene:DRNTG_13503 transcript:DRNTG_13503.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPWAKNPSGKSPAAATTTTTTISSCSSPLARSHSSFSFKDVQTLIHGDDTDATAPGSPSISRGAAVFHRVRAASSALRTWRSICSPASDPGPNRIVLYFTSLRVIRKTFEDCRAVRSILRGFRIAVDERDLSMDSSFLHELSSLLRQRQPPSLPRIFIAGRYIGGADEIRQLHEAGELRRLVEGAPPAGATCDRCGGASFVLCWACNGSHKRFSEKGGFRSCAACNENGLVRCPDCLFSAV >DRNTG_13503.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21902383:21903274:1 gene:DRNTG_13503 transcript:DRNTG_13503.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPWAKNPSGKSPAAATTTTTTISSCSSPLARSHSSFSFKDVQTLIHGDDTDATAPGSPSISRGAAVFHRVRAASSALRTWRSICSPASDPGPNRIVLYFTSLRVIRKTFEDCRAVRSILRGFRIAVDERDLSMDSSFLHELSSLLRQRQPPSLPRIFIAGRYIGGADEIRQLHEAGELRRLVEGAPPAGATCDRCGGASFVLCWACNGSHKRFSEKGGFRSCAACNENGLVRCPDCLFSAV >DRNTG_28256.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2743048:2752438:-1 gene:DRNTG_28256 transcript:DRNTG_28256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQKDGIPTGLNRIRTRRVPSEEFSSSGGDDSPSISGIPVGAEVKVDGRRKGFRKGKKIARWFTSYLAKKSSSKDYNLTLNEKGNIPGEKMSDEEYLTRSENFVEQNEFLEPQSLPERSYLRKALKTKKSFSHELGPKGGIRPTPVRAHSYSDLRELLGSLHSELDAVKQAVDADLTAFAWDVTVALEKDPLSTARETAEDLLNISQQCREMTCSQFRDNCERIVQSLAERRHECQMGLVKQFVTRMLFILTRFARLLQFQKDSGSVNDDSFDKFKQCLESVPAVETNWLPKSGNSACRLDNVVKLNHLSNHHTRIMTWENSSKNSLETQNESLIDSTDNCVTDSDSCDLSYERRKLMIFNHQEAFSNGHKNDYDLPEELASEASFDSLDEQGDSKGFDSVICRICEENVPTSHLESHSYICAYADKCDLEGLDVDERLINNAEILEQIVESYNQGSRVPYSSSDILKMQTLSTAVGSEGHSPKVQDWHNKGTEGMFEDIHEMDTAFIDEFHTTKFGHCRPVSSNGSMTPTTTPTSSHFDLYWLEHNNPSEPEDVTQINELADIARRVAGVDIAKEGASKTLDMCLLDLMNILHNKKLKALVIDTFGGRIKLLLREKYLLAIEILKKKCPRRTIPLEDGRVLSESQCTTSAPLHPLHKERTSIDDFEIIKPISRGAFGRVFLARKRTTGDLFAIKVLKKLDMIRKNDIERILAERNILITVRNPFVVRFFYSFASRDNLYLVMEYLNGGDLYSLLRNVGCLDEEVARVYIAELVLGLEYLHSLGIIHRDLKPDNILIARNGHIKLTDFGLSKIGLINNAIHLSGSVTSGSVLQEAQSENALPRGQRSGRSAFGTPDYLAPEILLGNAHGYAADWWSVGIILFELIAGIPPFTANLPEMIFDNILNGKIPWPDVPNEMSFEAKDLIDRFLIQDPNLRLGANGAAEVKTHPFFKEINWDSLALQKAAFIPNPESEDDTSYFLSRYSPGSYQIPVETSTDCSTGATSSSSGSGNKMNVDKYTELVEFDLQTSVDLSSINFSFKNLSQLALMNYDVLLQGGKTSKCSSPSGG >DRNTG_28256.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2743048:2747734:-1 gene:DRNTG_28256 transcript:DRNTG_28256.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLNGGDLYSLLRNVGCLDEEVARVYIAELVLGLEYLHSLGIIHRDLKPDNILIARNGHIKLTDFGLSKIGLINNAIHLSGSVTSGSVLQEAQSENALPRGQRSGRSAFGTPDYLAPEILLGNAHGYAADWWSVGIILFELIAGIPPFTANLPEMIFDNILNGKIPWPDVPNEMSFEAKDLIDRFLIQDPNLRLGANGAAEVKTHPFFKEINWDSLALQKAAFIPNPESEDDTSYFLSRYSPGSYQIPVETSTDCSTGATSSSSGSGNKMNVDKYTELVEFDLQTSVDLSSINFSFKNLSQLALMNYDVLLQGGKTSKCSSPSGG >DRNTG_28256.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2748252:2751214:-1 gene:DRNTG_28256 transcript:DRNTG_28256.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIALRISSICIQELLGSLHSELDAVKQAVDADLTAFAWDVTVALEKDPLSTARETAEDLLNISQQCREMTCSQFRDNCERIVQSLAERRHECQMGLVKQFVTRMLFILTRFARLLQFQKDSGSVNDDSFDKFKQCLESVPAVETNWLPKSGNSACRLDNVVKLNHLSNHHTRIMTWENSSKNSLETQNESLIDSTDNCVTDSDSCDLSYERRKLMIFNHQEAFSNGHKNDYDLPEELASEASFDSLDEQGDSKGFDSVICRICEENVPTSHLESHSYICAYADKCDLEGLDVDERLINNAEILEQIVESYNQGSRVPYSSSDILKMQTLSTAVGSEGHSPKVQDWHNKGTEGMFEDIHEMDTAFIDEFHTTKFGHCRPVSSNGSMTPTTTPTSSHFDLYWLEHNNPSEPEDVTQINELADIARRVAGVDIAKEGASKTLDMCLLDLMNILHNKKLKALVIDTFGGRIKLLLREKYLLAIEILKKKCPRRTIPLEDGRVLSESQCTTSAPLHPLHKERTSIDDFEIIKPISRGAFGRVFLARKRTTGDLFAIKVLKKLDMIRKNDIERILAERNILITVRNPFV >DRNTG_28256.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2747093:2751884:-1 gene:DRNTG_28256 transcript:DRNTG_28256.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFNHQEAFSNGHKNDYDLPEELASEASFDSLDEQGDSKGFDSVICRICEENVPTSHLESHSYICAYADKCDLEGLDVDERLINNAEILEQIVESYNQGSRVPYSSSDILKMQTLSTAVGSEGHSPKVQDWHNKGTEGMFEDIHEMDTAFIDEFHTTKFGHCRPVSSNGSMTPTTTPTSSHFDLYWLEHNNPSEPEDVTQINELADIARRVAGVDIAKEGASKTLDMCLLDLMNILHNKKLKALVIDTFGGRIKLLLREKYLLAIEILKKKCPRRTIPLEDGRVLSESQCTTSAPLHPLHKERTSIDDFEIIKPISRGAFGRVFLARKRTTGDLFAIKVLKKLDMIRKNDIERILAERNILITVRNPFVVRFFYSFASRDNLYLVMEYLNGGDLYSLLRNVGCLDEEVARVYIAELVLGLEYLHSLGIIHRDLKPDNILIARNGHIKLTDFGLSKIGLINNAIHLSGSVTSGSVLQEAQSENALPRGQRSGRSAFGTPDYLAPEILLGNAHG >DRNTG_09461.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21319435:21321106:1 gene:DRNTG_09461 transcript:DRNTG_09461.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSVPAYLDPPNWNQAQANQEGSTSTNPGAIQAHGAVALPRLDGNSSLSVRPNSMAERARLAKIPQPDTSLKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSKSSGNNSSSSSKPPSMNTTSSSTATSASTAGAIHATATATATTGLTQLPNFMSSLHPLEFGVQNLGLNFTGMQAIDHPSVGFQDGGLEQWRIQQIQQLPFLGGMDPQAHTSSSSSPSLFPFDPAEGMMPYKLVSSSGLISQFASVKMEDNSQRFRQYMGGASRNTTTTTTATTHDQYWNSNIGGGVGGGNTGTGNAEWTDISGNLL >DRNTG_04260.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9506674:9507832:1 gene:DRNTG_04260 transcript:DRNTG_04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRLNLLSLSLFFLLLHLHHQTSTAVFLISDAQGKTRGVEENLDLGRPRKRILHPSNGLCVLRNYINDPLKLGPCAKSDSWNYTPQKFLQVQGTYFCLQAVGQGKPVRLSIICTPSDSSWEILVSASTSTSTSTKTHLATKLIDGSTLCLDVDSDNTIISNPCKDLNAAQASDFDTQWFTIISDKRSYLQIE >DRNTG_00474.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30712539:30719126:1 gene:DRNTG_00474 transcript:DRNTG_00474.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGLNANPNPNKSYEVLPSPGDSVSSLSFSPVGNYLVATSWDNQVRCWEIQAGSSQPKASISHDQPVLCSTWKGDGTAVFSGGCDKMVKMWPLMSGAQATTVAAHDAPVKELAWIQPMNLLVTGSWDKTLKYWDTRQAQPVHTQQLPERCYALTVQYPLMVVGTADRNLIVYNLQNPQAEFKRIQSPVKYQTRCVAAFPDQQGFLVGSIEGRVGVHHLDDSQQSKNFTFKCHREGSEIYSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAMARCSSPIPCSTFNHDGSIFAYAVCYDWSKGAENHNPATAKTYIYLHSPQESEVKGKPRLGTGRK >DRNTG_00474.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30712539:30719126:1 gene:DRNTG_00474 transcript:DRNTG_00474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGLNANPNPNKSYEVLPSPGDSVSSLSFSPVGNYLVATSWDNQVRCWEIQAGSSQPKASISHDQPVLCSTWKGDGTAVFSGGCDKMVKMWPLMSGAQATTVAAHDAPVKELAWIQPMNLLVTGSWDKTLKYWDTRQAQPVHTQQLPERCYALTVQYPLMVVGTADRNLIVYNLQNPQAEFKRIQSPVKYQTRCVAAFPDQQGFLVGSIEGRVGVHHLDDSQQSKNFTFKCHREGSEIYSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAMARCSSPIPCSTFNHDGSIFAYAVCYDWSKGAENHNPATAKTYIYLHSPQESEVKGKPRLGTGRK >DRNTG_00251.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25915049:25917089:1 gene:DRNTG_00251 transcript:DRNTG_00251.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILTSSKFLKTLRKSTSQERKKRSSNFFLMQGKG >DRNTG_18021.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000934.1:6819:13191:-1 gene:DRNTG_18021 transcript:DRNTG_18021.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferrochelatase [Source:Projected from Arabidopsis thaliana (AT2G30390) UniProtKB/TrEMBL;Acc:F4IMT3] MGSLELGSSGFAKSASLLDRPSRLQTWKSQMFRQLSVGNPKAFLQKCHPSKPLPPEYWHRCHDMPSCICQGSFKRYSSSSEALMTSNSEELSKNILLGTEKVGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRMFRFLQKPLAQFISVVRAPKSKEGYASIGGGSPLRQITDAQAEELRKALCEKNVPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLEGIFRNDEYLVNMQHTVIPSWYQREGYIKAMANLIEKELQKFDNPDKVMIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELEKRGITNGYTLAYQSRVGPVEWLRPYTDETIIELGQKGLKSLLAVPISFVSEHIETLEEIDVEYKELALSSGIEKWGRVPALGCEPTFISDLADAVIESLPYVGAMAVSNLEARQSLVPLGSVEELLAAYDTKRGELPPPVIVWEWGWTKSAETWNGRAAMIAVLALLVLEVTTGEGFLHQWGILPR >DRNTG_18021.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000934.1:6819:13191:-1 gene:DRNTG_18021 transcript:DRNTG_18021.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferrochelatase [Source:Projected from Arabidopsis thaliana (AT2G30390) UniProtKB/TrEMBL;Acc:F4IMT3] MGIEVLSLSLPSPTSHWERLSSSHQASTRGVIEMGSLELGSSGFAKSASLLDRPSRLQTWKSQMFRQLSVGNPKAFLQKCHPSKPLPPEYWHRCHDMPSCICQGSFKRYSSSSEALMTSNSEELSKNILLGTEKVGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRMFRFLQKPLAQFISVVRAPKSKEGYASIGGGSPLRQITDAQAEELRKALCEKNVPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLEGIFRNDEYLVNMQHTVIPSWYQREGYIKAMANLIEKELQKFDNPDKVMIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELEKRGITNGYTLAYQSRVGPVEWLRPYTDETIIELGQKGLKSLLAVPISFVSEHIETLEEIDVEYKELALSSGIEKWGRVPALGCEPTFISDLADAVIESLPYVGAMAVSNLEARQSLVPLGSVEELLAAYDTKRGELPPPVIVWEWGWTKSAETWNGRAAMIAVLALLVLEVTTGEGFLHQWGILPR >DRNTG_03661.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13554571:13560140:-1 gene:DRNTG_03661 transcript:DRNTG_03661.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSMKFLLISNIVTLFLALFLLSLANGMEEKKDVYIVYMGAAAPASSPETLTQTHLQLLTSVVKRQEHQKLIHSYKHGFSGFAARLSKEEALAISQNQDVISVFVDPVYQLHTTRSWDFLQLQSSLKSGSTLRANETDNGLFSKVGEDTIIGLLDTGIWPESPSFSDKGMRPLKKRWNGKCDKANDFNSSNCNMKLIGARSYKPDDNPNSPRDYDGHGTHTSSIAGGAAVSDASYYGVAAGTAKGGSPASRIAVYKVCGNFGCTGSSILAAFDDAIADGVDVLSISIGGYIFDKPDFNKDPIAIGSFHAVEKNVIVVCSAGNDGPSTGSVLNEAPWIITVAATTIDRKFDSDILLLGTGSNNKAIKGQAINFSNLGKSPTHPLVYGRSAKSNSNSSTDQSASHCDMQSLDEKKIKGKIVVCKHNDEDIRSDVLVELLKDLGAIGVILVNYQQNSVASTYVDIPVTEIPLQAADELFTYINSTKKPIATILPTISVTEYKPAPQIAYFSSRGPSSLTKNILKPDIAAPGVNILAGWPLRNSSSDIPPGKKPSGFNLQSGTSMSCPHIAGVAANIKSWNPKWSASAVRSAIMTTAILMNNNKRQLTTDLGSTATPYDFGAGEVNPIAALQPGLVYDAGPEDYLLFLCNYGYNTSTIKSISNSALVGFECPKNSSKDLISSLNYPSIAISNLHRIKKKIVSRTLTNVGAEEEITYVVSVQSPVGVDVKVVPEKLQFTKKSKKLSYQVTFSVKDSSLTNELFGWITWSDGKHLVRSPYVISSK >DRNTG_06415.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29731643:29737278:-1 gene:DRNTG_06415 transcript:DRNTG_06415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHFSPEFIMKLKAQTGKLTTEKHTTFETLLGYLWRKVTIARQLDDKECTMLRIAVNGRSRLRPPVPLEFFGNLVLNAYPKANSKELIEGGVATAAEIIRKGLRFIGEDYFRSFIDLGEVYGDRDLVPCYDKDTNLLSPILEVNSWL >DRNTG_33295.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23782582:23785202:-1 gene:DRNTG_33295 transcript:DRNTG_33295.2 gene_biotype:protein_coding transcript_biotype:protein_coding RIKSKSPNFARSQNFGSNHKPYLLNHQEIDHQETKKNPK >DRNTG_33295.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23782582:23785202:-1 gene:DRNTG_33295 transcript:DRNTG_33295.1 gene_biotype:protein_coding transcript_biotype:protein_coding RIKSKSPNFARSQNFGSNHKPYLLNHQEIDHQETKKNPK >DRNTG_17884.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12199351:12200401:1 gene:DRNTG_17884 transcript:DRNTG_17884.1 gene_biotype:protein_coding transcript_biotype:protein_coding FHQRDELGVEKLHPNFTPKSKKNQTFNKFQISFLKKKKNIQTLPERRNKD >DRNTG_15630.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17997201:18005163:1 gene:DRNTG_15630 transcript:DRNTG_15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVWDSGRRASRNLSRSISRNVNMGAWGVDEVFTRSGRSASRSGSRRYDDDEEALRWAALEKLPTYDRLRTGILKQIIEAGDGPAGAGAGGKRYEHKEVDVRRMAVNEKQEFIERVFKVAEEDNERFLKKLRNRIDKVGIQLPTVEVRFEHVTIQAKCHVGNRALPTLANTARDIAESALGVLGVSLGRRTTLTILNDLSGIIKPSRMTLLLGPPSSGKTTLLLALAGKLDPTLKASGDITYNGYKLNEFVPQKTAAYISQNDVHIGEMTVRETLDFSARCQGVGAKYDLLTELARREKNAGIFPEAEVDLFMKATAMEGVESSLQTDYTLRILGLDICADTIVGDEMQRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCLQQIVHLGEATILMSLLQPAPETFELFDDIILLSEGQIVYQGPREHVLEFFQSCGFQCPDRKGTADFLQEVTSKKDQEQYWADRTKPYVYIPVSEFAARFKRFHVGLRLENELSVPYDKTRSHKAALIFSKNLVPTSALLKTSFAKEWLLIKRNSFVYIFKTVQIIIVAIIESTVFLRTRMHTRNEDDGFNYIGALLFGLIVNMFNGFAEMSICIARLPVFYKHRDLLFYPAWIYTLPNALLRIPISIMESIVWVVMTYYVIGYAPEASRFFKQMLLVFLIQQMASGLFRVTAGVCRSMIIANTGGALSVLLMFVLGGFILPKASIPKWWIWGYWVSPLTYAYNALAVNELLAPRWMNRFVPGPDGTLKRLGVAVLESANVFPEKKWYWIGAGALLGFSVLFNVLFTLSLMYLNPLGKPQAVISEETAREMEAKRKDSKETSKSNQMQMTVLPSSGHTNGSWNAIGRDSSVNATNGIAPRRGMVLPFTPLAMSFDEVNYYVDMPAEMKEQGVAEDRLQLLRGVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDVRISGYPKNQATFARISGYCEQNDIHSPQVTVRESLIYSAFLRLPKEVTDAEKMKFVDEVMELVELDNLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRHSQKIIEYFEAIPGVPKIKEKYNPATWMLEASSIAAEVRLGIDFAEYYKNSSLYQRNKALVNELSKPAPGTQDLYFPTKYSQSTIEQFKACLWKQWWTYWRSPDYNLVRFFFTLVTAILLGTIFWNVGHKRDSSTDLRIVIGSMYAAVLFIGINNCSTVQPIVSIERSVFYRERAAGMYSALPYAIAQVVVEIPYVFIQAMYYTVIVYSMMSFQWTAAKFLWFFFISFCSFLYFTYYGMMTVSISPNHQVAAIFAATFYSVFNLFSGFFIPRPKIPKWWIWYYWICPLAWTVYGLIVTQYGDMEDFIKVPGGTGQDKQRIKDYVKHQFGYHTDFMGVVAAVLVGFCVFFAFMFAVCIKKLNFQQR >DRNTG_19416.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000993.1:392:2684:1 gene:DRNTG_19416 transcript:DRNTG_19416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMLRKMKCARRRHKKRPKANGDKQKWSKGDEPLTKECHPESTKGRVTAPVKLPCGVTR >DRNTG_25352.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24129494:24131597:1 gene:DRNTG_25352 transcript:DRNTG_25352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAKIDWKRIESKFVHDELYERINAPKWADLSTPNQPVVDDETWFCRPDCRHPKTAEDFRRMSPSPKMKHFPLGERNGNQRDGNLKRRGGIAALLSSSSFREAPKAKLTSMNLCEDLENQDPNLCNKNATTTPPASCSKAMPKILKEGIKSSSEKNKEEVGQECGHKKLAPKLRSTLSARNLFAGKDILGQISEFCQEIKKLIVMKEGSSAAQEEVKKEELEVVEKKVSDKEVPKSLNMNSNMDGKRKGGLMKKGDMMLKVEKVEEKCKKNGDENSPLLKKEAVRSCPPTPQRFPSPATRRLKSTKAAAAAAATSSPLKSTTPRGILRELVQSSVEKKPLRAMDENSASVSDENEGKSLDVFWFLKPCNYLSK >DRNTG_23952.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001283.1:24309:24830:-1 gene:DRNTG_23952 transcript:DRNTG_23952.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSNPEHQARFERLSALGFVGSWRRLLTIREPAYRTLTLEVLASFELRYGRIDTMDAIQFRAFRHPFSMSVTKFSIRMGLYDVAYTSIEEYGRLPADFQVSLTPDYVHRVLCGHGQIELGMSKATSLSRLSYRYLHTVLSRSV >DRNTG_24184.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17114221:17115029:1 gene:DRNTG_24184 transcript:DRNTG_24184.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVEMCVHMDCEGCESKIRRALQKLKGVDDVDINMSMQKVTVTGYVEQKKVLKTVRKTGRRAVLWPYTHNTTEYNAYNQYYPQHHPALNVTAQSYNSHSSAPSSSYNYYKHGYDDSNMHVYHQSSAYNSSVIGERAGNMFSDDNTSGCSIM >DRNTG_24184.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17114529:17115029:1 gene:DRNTG_24184 transcript:DRNTG_24184.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLIYSGVDDVDINMSMQKVTVTGYVEQKKVLKTVRKTGRRAVLWPYTHNTTEYNAYNQYYPQHHPALNVTAQSYNSHSSAPSSSYNYYKHGYDDSNMHVYHQSSAYNSSVIGERAGNMFSDDNTSGCSIM >DRNTG_17372.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000892.1:52924:53275:-1 gene:DRNTG_17372 transcript:DRNTG_17372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYRVHSLLLWQLPHFQIRSGRDNFSGTRARVMPEHVNIRVEEVVVLLRNLLLSNLLLPLGKRPVQDMS >DRNTG_16798.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25399845:25400513:1 gene:DRNTG_16798 transcript:DRNTG_16798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIGRVRDARVCANLLVFELAQPFGGAQGKAVTLKHSNLCTLNKISTNLSVIKEAKRSTAKKVGRGASVEAYPIPDKGIFGRRVEDFPQDHRHNYRWGFSMDSLLLHSISLIVLSSMES >DRNTG_14841.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23057203:23059581:-1 gene:DRNTG_14841 transcript:DRNTG_14841.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLDCLLLCHWYCLNRSLVFLKFSMKSLACLCLFNHLRKKIYLFFVYAFSKLAVYEVFERMAFYGIQSNLVLYLTNKLHQGTVTSVNNVTNWVGTIWMTPMIGAYVADAYLGRYFTFIIASVIYLVGMCLLTLAVSVNSLKPPPCGPNTSDPNCTEKASSLQLGVFFTALYILAIGTGGTKPNISTIGADQFDEFDPRERAHKLSFFNWWMFSIFFGTLFAFTILVYIQDNIGWTLGYGLPTLGLFISIIIFVIGTPFYRHKLPSGSPFTKMARVLVAASRKWKVQVPSDPKELHELDLEEYASKGKYRIDHTPILSMSCVICVKCRILDKAAVKTSSASPWVLCPVTQVEETKQMLRMIPILFATFVPSIMLAQVNTLFVKQGTTLNRSISPHFKIPPASLQAFVTVSMLITVVIYDRCFVPFIMKWTKNPRGITLLQRLGIGLILHIIVMLVASLTERRRLNAAKAHGLEGGGEIPVTIFMLLPQFVLMGMADAFLEVAKIEFFYDQAPETMKSLGTSCSQTSLGVGNFLSSYLLTTVSHITRKNGHEGWILNNLNASHLDYYYAFFSILNLLNLLFFLFVSWFYLYKVENSEQTEAFQMMKDDKSIVAAKIQQDEDNLHANLATALFNANIEV >DRNTG_14841.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23057203:23059581:-1 gene:DRNTG_14841 transcript:DRNTG_14841.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVICVKCRILDKAAVKTSSASPWVLCPVTQVEETKQMLRMIPILFATFVPSIMLAQVNTLFVKQGTTLNRSISPHFKIPPASLQAFVTVSMLITVVIYDRCFVPFIMKWTKNPRGITLLQRLGIGLILHIIVMLVASLTERRRLNAAKAHGLEGGGEIPVTIFMLLPQFVLMGMADAFLEVAKIEFFYDQAPETMKSLGTSCSQTSLGVGNFLSSYLLTTVSHITRKNGHEGWILNNLNASHLDYYYAFFSILNLLNLLFFLFVSWFYLYKVENSEQTEAFQMMKDDKSIVAAKIQQDEDNLHANLATALFNANIEV >DRNTG_14841.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23057203:23059581:-1 gene:DRNTG_14841 transcript:DRNTG_14841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSEGAKEEYTKDGSVDLNGNPILRSKCGGWTACSFVIVYEVFERMAFYGIQSNLVLYLTNKLHQGTVTSVNNVTNWVGTIWMTPMIGAYVADAYLGRYFTFIIASVIYLVGMCLLTLAVSVNSLKPPPCGPNTSDPNCTEKASSLQLGVFFTALYILAIGTGGTKPNISTIGADQFDEFDPRERAHKLSFFNWWMFSIFFGTLFAFTILVYIQDNIGWTLGYGLPTLGLFISIIIFVIGTPFYRHKLPSGSPFTKMARVLVAASRKWKVQVPSDPKELHELDLEEYASKGKYRIDHTPILRILDKAAVKTSSASPWVLCPVTQVEETKQMLRMIPILFATFVPSIMLAQVNTLFVKQGTTLNRSISPHFKIPPASLQAFVTVSMLITVVIYDRCFVPFIMKWTKNPRGITLLQRLGIGLILHIIVMLVASLTERRRLNAAKAHGLEGGGEIPVTIFMLLPQFVLMGMADAFLEVAKIEFFYDQAPETMKSLGTSCSQTSLGVGNFLSSYLLTTVSHITRKNGHEGWILNNLNASHLDYYYAFFSILNLLNLLFFLFVSWFYLYKVENSEQTEAFQMMKDDKSIVAAKIQQDEDNLHANLATALFNANIEV >DRNTG_00794.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:7990482:7992780:-1 gene:DRNTG_00794 transcript:DRNTG_00794.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMEGFIHAQQDEELSLMTTYTPSFIGLSQWHGLWVDSFMGQGMIIGIIDSGITPGHSSFKDSTIDKPMPPPPTKWKGKCQFRKQGYCNNKLIGAISFQNGRSPAPRDQKTDGHGTHCAGIAAGSMVPDADGRGLAKGTASGVAPRAHLAIYQTCTKTSCQNSDILKAMDQAIDDGVDVLSISLLNDPRPFYRDSMAIGAFSATRKGLVVCMAAGNSGPEPSSIRNAQPWAMTVGASSHDRKAKATVKLGDGTEIDGETGYQDNNFNATLPLIFPGLLHNGTEGCQNGSFNNVDVKGKIVVCYIIEGKFTDMGVHVKNAGGAAMIVTSTIVEGHTTFSDIHVLPAAHVDDQGLQRIARYIESVPNPNATITFGGTVFGARPSPTIPYFSARGPSMINGGILKPDIVGPGVNILSAWPIKPGPGPKAPSGSYFNFLSGTSMATPHLAGVAALLKSTHKNWSPAAIKSAIMTTADRLDRDLKPIIDDFTDDFNLTGREPAKLFALGAGQVNPQAANDPGLVYDLKPFNYIQYLCGLGYNDVNVSTIAKRQIQCANLVKINAENLNYPSISVMLDPKVKKNITRQLTNVVNGGTEVYHAVVEKPKGIISVNVSPKKLRFSKLDQKRKFTVEFEVTGIPLSQGETVEGQLLWISAKHEVRSPILITFT >DRNTG_08762.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18510403:18513274:1 gene:DRNTG_08762 transcript:DRNTG_08762.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLGLMSYFLGLEIVQNEYGIHVSQKKYVEDLLRSYNMINCRPSVTPLSPSSKISLFEEAEYADITGFRKLIGKLIYVTQSRPDIAFSVNLLSRFMHQPTKNQLGVAKQIFRYLAGTTDFGIYYEKGIKCQLMCYSDSDWGGSLVDRRSTTGAAFTIGSGIVSWISKKQDIVTLSSTEAEYVALCSTCCQSMWLKRILSDCGVQSNEQIGVWCDNKSCIAIAKNPVLHGRTKHIDVKFHFIRELVTENKIVLNFCNTDAQLADIFTKCLEAKKFCRLRDQLGIYSLQSRGGNVGMNEGCRGAAAEVEI >DRNTG_08762.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18508455:18513274:1 gene:DRNTG_08762 transcript:DRNTG_08762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSFENLHKKENEGIQVYIARVNELCNHMRNLGDELPESLAVGKVLRSLGPKYNFVVAAIGEAKDLTTITMDEIAGSLQAHESLLMRQDDTPTEKALVINSEEKALAVKEEPIINQNWYYTRGRGRNFFRGRGRSNYRGIGRFVGDGPNVEGNQSSSFSEQSTQVSFQRPTRGNLRNVQCYHCKKFGHIQSNCWYRDRNMEEGSSSNSDKKTSDDSGSLFMAHNETELSVPSVWLLDSGCSGHMTGRRELFHSLDENQRHVVKLGDNKEIQVAGRGSVIVNTENGETRFIHNVQFVPSLAHNLLSVGQLVTNGYQLVFGNGKCCITDGKTGDQVMVINQNKNNLFPVEFSQVGQSHVAVSEEENSQLWHNRFGHLNNQSLQFLNQKQMVIGLPKVKQITSCEPCIYGKLSRHPFISGRSWRAKERL >DRNTG_33046.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26973370:26975217:-1 gene:DRNTG_33046 transcript:DRNTG_33046.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP703A2 [Source:Projected from Arabidopsis thaliana (AT1G01280) UniProtKB/TrEMBL;Acc:A0A178WMS1] MNNVTRMLLGKQFFGDENAGREGAAEFMEITHELFWLLGLIYVGDYLPVWRWVDPLIGFEKKMREVERKVDEFHQKIIEEHRAVTSEKKDGEMDFVDVLLSLPGEHGKERMDDVEIKALMQDMVAAATDTSSVTMEWALTEVIKNPRVIHKVQQELDSIVGRDRMVTESDLTQLNYLRCVVRETFRLHPAGPFLIPHESTRPTKLFGYDIPAQTRVFVNTHGLGRNTNIWSDVNVFRPERHLHEDGRRVEISHGLDFKILPFSAGKRKCPGAPLAVVMVLMGLARLFHCFDWAPVDGLRPEDIDTQEVYGMTMPKAQPLVAMAKPRLSPHLYSC >DRNTG_03899.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18951040:18951755:1 gene:DRNTG_03899 transcript:DRNTG_03899.1 gene_biotype:protein_coding transcript_biotype:protein_coding GILWKPNFVALIVLMLASQVSFEALYAYLVSAL >DRNTG_32336.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29000716:29001903:-1 gene:DRNTG_32336 transcript:DRNTG_32336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEQFEYGDYICGVVVNVRAKQEKISLWTQNGSDEVAQASIGKQWKEFLDYKENIGFILHDDAKKHDRFAKSRYQV >DRNTG_02604.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21290281:21293152:-1 gene:DRNTG_02604 transcript:DRNTG_02604.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g17170/K14A17_29 [Source:Projected from Arabidopsis thaliana (AT3G17170) UniProtKB/TrEMBL;Acc:Q948R9] MELSLMASSSSPTRLLPLAQSLHLLQREIHVPIWSKPFSSLKVHLSERSRRSLVVAGAKNKKKNKSSEKVDDHSFVSRPDEATGPFPEAVLLKKKVVKEDGRVLPEFADAEEEELYEFLKLQLESDLNLERMRHYEMVYLIHEDNIEEVPSVISKVEEFIREKKGRIWRLNNWGLRRLAYKIRKANKANYVLMNFELEAKHINEFKSMLDKDERIIRHLVMKQDEAITEDCPPPPEFHTLRGGMDEEDDDIDDEDDWEDDDGLEDYDDDKENIIIVDDDDDDDQGGQNRSMKTLEAKKMAL >DRNTG_02573.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19427506:19428839:1 gene:DRNTG_02573 transcript:DRNTG_02573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASSRSSNPPRQRKRVEAETTSSLKRAKDGSAFTRCEGCNKDVPVVLIDMHSCSLDSKIRMNLEAQVVEKATEVVKKPAEKKRAASSEIKERKTKKEKKSKNPNLPKRPPTAFFLFMDDFRKEYKAANPDSKSVAVVAKEGGERWKSMTDEEKKVYVDKAAELKAEYGKALEEANAEANEHEKDASEKEEE >DRNTG_30145.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3277625:3281529:-1 gene:DRNTG_30145 transcript:DRNTG_30145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRPWFRRCMEYLRCDYFVSDSEKDPHSPGTIVKRQPGGWKCMPYIIGNETFERVASFGLTANFTVYLVNELHMKQVSATNLANIFSGTTNFAPLLGAFISDAYWGRFRTLAYSSVATFLGMVVLTLTAVVPDLRPPECTQASEQAGLCTGPSKSQLSVLWISLVLLVIGAGGVRPCSITFGADQFDPNTEKGRIGMNSFFNWYYCTSTAAVMVGLTVVVYIQDSISWAIGFGVPTGLMFLAIILFFLGTRLYIYVPPEGSIFTGVAQVLTAAFKKRSLKLPAPNNAEEQEGLLYNPTIRSPRVLKLPLTLQFSGLNKAAIKCEGEVKEDGSIAQPWRLCTVQQVEEVKCLIRIIPVWASGIVCFVALAQQWTFAVLQSLRMDRHLGPHFQIPPGSLGAIALLALTLFIPIYDQVLVPVARHFTKHESGITMLQRQGVGMVISAISMVVAGLIEVKRRNSALAHGGMSPMSVLWLVPQLVVMGVAEAFNAVGQIEFYNRQFPEHMQTLATSLFNCSLAGANYMSTVLVVIIKNNTSWIQDDINAARVENFYYIIAILGVVNFVYFLVVAHFYRYKGMPEYKKNDGELQSLP >DRNTG_23606.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26590493:26592909:-1 gene:DRNTG_23606 transcript:DRNTG_23606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVDGQEKVIATAQHIVKSLATSTNAAEDMIRILSGFDNRLSDLFPSPAAPDGDGPQEEASEAELLLDAADQVVLRWDNSDSLLFDVGASLDDASEYLTAVDDLISLVYDEDCSDDLRSRAETTLQISMTRLEDEFRHLMIRHTVPLDPQNLSDPGPRRPSLSFSSFSAARPSPSFSSDHGEPSPIDDSESSAEDDHQIPHHNSADPEGEEEEQRQQQQQSSSELIHPEVVADLKSIADRMILSGYGKELCQVYSSVRREVLDECLSILGVDRMSIDEVQRIEWQTLDDRMRKWVQAVRVGIGLLLPGERRICDQIFAESSTLRDECFAEAAKGCVMQLLNFGDAIAVCRRSSEKLFRILGMYEALSGVMLELRSMFPGEHGELLITEAEEILTRLGDAAKGTFNEFGNDVQNENSKKTMPNGDIHPITRYVMNYLVLLVSYKDSLDFLLDESSPDDGNHPESIEQRDGSGDFGLMSPTARRLLACISYLEANLEEKSKLYDDGGMQYVFLMNNMLYIVQKVKDSELRALLGDHWVRRRRGQVRQYATSYLRASWTKVLSYLKDDGLGGSGSSNSASRMAIKDRFKNFNMAFEEIYRTQIVWRVADNQLREELRISISEKVIPAYRAFMGRFGGHLEGRHAAKYIKYTPEDLENHLLDLFEGSPGGPQNHPRRKLGS >DRNTG_01281.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2022388:2023325:-1 gene:DRNTG_01281 transcript:DRNTG_01281.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADFFSIVIISSILLLPLLLHLLLKKPNPNPNPPSLPGLPLIGHLHLLKPPLHRALAHLSDLHGPILLLRFGSRRVLHVSSYSGANECFNVNDITFANRPRFLSGKLLGHNHQTIVWAPYGPHWRNLRRITTVELLSTYRLLSSSHIRSDEVLSLVKTLLRDYSGPGFHLTELKTKFFGLAYNNIMRMIANKRYYGNADESSSEAGIEFRDIMRETVSVSGTTNPADFVPVVRWLGIGGHERKLKRLRRRRDQFFDGLINEHRTAKKMTGSQHSKGSPAERSTVIDVLLSMQEGDPEYYNDAIIEGFIT >DRNTG_01281.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2020705:2023325:-1 gene:DRNTG_01281 transcript:DRNTG_01281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFFSIVIISSILLLPLLLHLLLKKPNPNPNPPSLPGLPLIGHLHLLKPPLHRALAHLSDLHGPILLLRFGSRRVLHVSSYSGANECFNVNDITFANRPRFLSGKLLGHNHQTIVWAPYGPHWRNLRRITTVELLSTYRLLSSSHIRSDEVLSLVKTLLRDYSGPGFHLTELKTKFFGLAYNNIMRMIANKRYYGNADESSSEAGIEFRDIMRETVSVSGTTNPADFVPVVRWLGIGGHERKLKRLRRRRDQFFDGLINEHRTAKKMTGSQHSKGSPAERSTVIDVLLSMQEGDPEYYNDAIIEGFITVMLIAGTDTSATTMEWAMALLLNNSQTLKKLRDELDANIEQGSLLQESDFSKLPYLHAVINETLRMYPPAPLLLPHESSQDCTVGGFHVPSGTILLVNTWKINRDPKFWDEPNKFMPERFLRTNNEKKSDEIVKEGLKMIPFGLGRRRCPGEGLAMRVVALVVGTLVQCFEWDRVCDGELDMSEGAGLALTKVKPLEAMYKPRESLASLLSQL >DRNTG_02686.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19779639:19780410:1 gene:DRNTG_02686 transcript:DRNTG_02686.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQNSGVVNTSEVGSVNYYGRLRDIIELDYYGNFKVVLFKCDWVDVHHNTGIRQDEFGFTLVNFSRLIHTGEKLEHDPYVFSSQVEQVFYVQDLKNENWSIVIKTRPRDLFDMGDIDH >DRNTG_02686.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19779256:19780410:1 gene:DRNTG_02686 transcript:DRNTG_02686.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQNSGVVNTSEVGSVNYYGRLRDIIELDYYGNFKVVLFKCDWVDVHHNTGIRQDEFGFTLVNFSRLIHTGEKLEHDPYVFSSQVEQVFYVQDLKNENWSIVIKTRPRDLFDMGDIDH >DRNTG_21220.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001214.1:14926:18106:-1 gene:DRNTG_21220 transcript:DRNTG_21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHGCPPKGGGFWRKLLGDFLEPTSTSSEEARSHVLEKGNQREKLGLARSSSISSLGACRRQGKPPRSRRPWKPSISLGMSSHFYSAFELEGMMSTHILDSWRWFEHYNVARTVPLEEHDVHDRFTHNMKSNNVLPLVELIPPVFPSIPIGEEEKNNSNNSGVLVQRDEEEIDPMGGSDSGSSGCKIHTMEKCMDSGSSTEDEYRGFEDFDLGKFGRLKSSIEEQPDLELKPLPEYLEYAFLAEG >DRNTG_35126.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002232.1:29859:31115:1 gene:DRNTG_35126 transcript:DRNTG_35126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNILAVILLSLLPSPTDALIPTHEAFIHCLSVHTPPSTNHSQELLYFPNTTAYSSLYLSTIYNLRFASTTSNSALTPLFIVAPTQDVHVQATVICTRNLGLHLRVRSGGHDYEGLSYRSYDHRPFIMLDLAKFRSVTVDVEHETALVEVGATLGKLYYKIAEKSSVHGFPAGICPSVGVGGHISGGGMGNLVRKYGLAADNVMDVKLVDVNGKILDRKSMGEDLFWAIRGGGGASFGVILSWKVRLVPVTPKVAVFTMHKGLGDGALDLLDKWQHISSKLHEDVYMEAAIRQPMYNGTKGMEALFNFQFMGGCEELLGIMEENFKSWVLMQKTVKR >DRNTG_28373.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2347053:2349257:-1 gene:DRNTG_28373 transcript:DRNTG_28373.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGNPRRPSKKRSDAGSEPDLHAAARTGDLHAVESICNSNPLSINSRDRHSRTPLHLAAWSGQAEVVSYLCNHKADVGAAAMDDTGAIHFAAQKGHLEVVRILLSFGVSVKAANRKGMTPLHYAAQGSHLELVKYLIRKGASLSVRVKIWANTTGPWRK >DRNTG_22709.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1443386:1447127:1 gene:DRNTG_22709 transcript:DRNTG_22709.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSECSAMKGPFSDGSYWGQSLCIDQEEVMNPSQRNTETASKIPFELYKRLTTVVVMRDTFLKVICDALAEYKYVGPNQRADLMLACRIRERKESVTILLCGTSGCGKSTLSALLGSRLDITTVISTDSIRHMMRSFVDEKHNPLLWASTYHAGECLDPMAVAEAKAKRKAKKTKATFQEESLDDALNGKHDNKLFNTGLGTELIGRKQMAIEGFKAQSEMVIDSLDRLITAWEERKESAVVEGVHLSLNFVMGLMKKHPSIIPFMVHITNEGKHMERFAVRAKYMTLDPAKNKYVKYIRNIRAIQEYLCNRADKHLVPKINNTNVDRSVAAIHATVFSCLRRREAGEAFYDSITNTVSVIEEEYRNQCAANSLNSKGMFQLIQRMGSSRHLMALVNTDGSVAKTWAVGETFLGGPMCDSLQIGKAEPVNLQFGNFGISAWPSESGGTSHSGTVDDLSFEATDNGSRYFSSCCSSPRISTGSAKKLKEESSVSGSEEEADDPPDVDSDGYSSEESQNEIHDEIEGSVDEESTKSDEEYEDLARQDCWCDNDKEPNNKKKLKDDNKPAENHLPLNLGNNLTGKYLSLISDRRKPPQLQSLGQLFFGSILSNTQE >DRNTG_22709.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1442373:1447127:1 gene:DRNTG_22709 transcript:DRNTG_22709.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGVKLLYIVVVDERERNKGLKPLSFRYTRPVIQSTLQLMGCKPRHAFKISRRVYEVMGSECSAMKGPFSDGSYWGQSLCIDQEEVMNPSQRNTETASKIPFELYKRLTTVVVMRDTFLKVICDALAEYKYVGPNQRADLMLACRIRERKESVTILLCGTSGCGKSTLSALLGSRLDITTVISTDSIRHMMRSFVDEKHNPLLWASTYHAGECLDPMAVAEAKAKRKAKKTKATFQEESLDDALNGKHDNKLFNTGLGTELIGRKQMAIEGFKAQSEMVIDSLDRLITAWEERKESAVVEGVHLSLNFVMGLMKKHPSIIPFMVHITNEGKHMERFAVRAKYMTLDPAKNKYVKYIRNIRAIQEYLCNRADKHLVPKINNTNVDRSVAAIHATVFSCLRRREAGEAFYDSITNTVSVIEEEYRNQCAANSLNSKGMFQLIQRMGSSRHLMALVNTDGSVAKTWAVGETFLGGPMCDSLQIGKAEPVNLQFGNFGISAWPSESGGTSHSGTVDDLSFEATDNGSRYFSSCCSSPRISTGSAKKLKEESSVSGSEEEADDPPDVDSDGYSSEESQNEIHDEIEGSVDEESTKSDEEYEDLARQDCWCDNDKEPNNKKKLKDDNKPAENHLPLNLGNNLTGKYLSLISDRRKPPQLQSLGQLFFGSILSNTQE >DRNTG_31575.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17947692:17948015:-1 gene:DRNTG_31575 transcript:DRNTG_31575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIACRSASSAFLACTGPAPANTTERLEETQKKPTFPTSDASIHWLTTKRKRPSLKEKLLRINRVPNLSAWAKEKSLAWEKARETMTR >DRNTG_33202.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:353737:357883:-1 gene:DRNTG_33202 transcript:DRNTG_33202.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fumarylacetoacetase [Source:Projected from Arabidopsis thaliana (AT1G12050) UniProtKB/Swiss-Prot;Acc:Q8RW90] MGLKSFVDVPRDSHFPLENLPFGVFRPAGGEPARPGVAIGDYVLDLAAIASAGLFDGPVLGNHSQCFLESSLNLFLGMGRPAWKEARLTIQRLLSADEPTLRDNASLRKKALLPMSEVEMLLPVVIGDYTDFFSSYYHAKNCGTMFRGPENAVSQNWYHLPIAYHGRASSVVISGTDVIRPRGQGHPVGNSPPYFGPSQKMDFELEMAAIVGPGNELGRPVGVDEAADRIFGLVLMNDWSARDIQAWEYVPLGPFLGKSFATTISPWIVTLDALEPFVCPAPKQDPPPLPYLAESKHTNYDIHLEVCIKPAGHEHPSIVTKSNFKHLYWTISQQLAHHTINGCNLRPGDMLGTGTISGPDPDSLGCLLELTWNAQNQLTLNGTTRKYLEDGDEVTFTGYCKDSEHALASSFLQFLKIDHFKIKMRRVSILELCI >DRNTG_10332.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3057622:3058038:1 gene:DRNTG_10332 transcript:DRNTG_10332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLLKVPSLKRKISSPRADDSCSDFNACSTSYVAEKGHFNVYSSEGKRFIVPLAYLDNNIFKELLRISEEEFGLPCDRPITLPYDAASMEYVLSLLRRGVSKEMEMALLSSIFSSCQSACSVHSVEHTQQIAVCSF >DRNTG_27184.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:627850:628810:-1 gene:DRNTG_27184 transcript:DRNTG_27184.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSSSSSSSSSSSSSFSGRPPPHRELQGPRPSPLRLHKDSHKIKKSLADEVLPKQPVIIYMASPKIIHTNPSDFMSLVQRLTGTSSTSILSPATHLALEQPPKHQHHHHHHQQQEQHDSISATTSDHISASETAVSVYPGILSPVPASLPPISPGFFSPSVDPNMLSFLHELSPVFHGNRSYVENTFLINKSQPIHFSYTHHAFSWCLGYFQSVF >DRNTG_28496.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7981714:7982396:-1 gene:DRNTG_28496 transcript:DRNTG_28496.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZPR3 [Source:Projected from Arabidopsis thaliana (AT3G52770) UniProtKB/TrEMBL;Acc:A0A178VBM1] MEKNNSRLYQENYYIMKENERLRKRAEQLNQENQALLSELKQKLSKSSSDSMTNNSTPTASKP >DRNTG_02518.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7940022:7940626:1 gene:DRNTG_02518 transcript:DRNTG_02518.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTGVLFALSAFDQSFHNLQAKNQAKRIRFSPVFRGFQAFLCIIWGFWVFYVFLFLQWFKIGDSMLVFRHEDVAVIMGVPCIEDIVSFMTTIVMFFMTTMFLLNIFFPNTSQNYRKKEAKKKNNDKKKKKKKKKKKEGRKEGRKR >DRNTG_34525.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21105732:21107788:1 gene:DRNTG_34525 transcript:DRNTG_34525.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYRNALIGCLEKGGFSSLYAGWGAVLCRNIPHSIIKFYTYESMKQWMLKSGEPDSNLSTWQTLVCGGVAGSTAALFTTPFDVVKTRLQTQAPGSLAQYEGVLHALCEIARQEGMQGLYRGLTPRLAMYVSQGAIFFASYEFLKALFSLEARRNASPVREIRNIQDDNSELQSTQKLPA >DRNTG_34525.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21103453:21105429:1 gene:DRNTG_34525 transcript:DRNTG_34525.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTKQTAKGRKVSIKYPRTLFHANSFESNDICLKQNDESRTESNRKSEIRSSGDIISTTKFVSILGNLWDCVGQPAVFQSREGLKYNDNFVKENLIFSSESQRGNKATSLVNTKCEYHDLKSNNRSSHAAKSDFEHLKTLKRMMLFASCTINNPLLSNVAHINDSALYAAPSAIDKVPSTEVADDKTEAHGGITWKPIPNLNCPVKHSEFGCISITAEGSSLGKEIHIAGVPDPAIAETNIEVKSELSSGEIAINEAENVPESHRSSDSFAEVGSLKEAIVILSSPSSGINLDHIGECLAAAIGTVGESQEVTNSCFAVADSSSEYFSTKDQNLDGSSKKLFDKVDIMQKYSSNLLLIQDKLQHAFDKNKNALAGALAGTLVSLCLHPVDTVKTIIQSNGAAEKSCHEIVRTIISEKGVMGLYRGIASNIASSAPISAVYTFTYESVKSALLPLLPKEYHSFAHCTAGACSSIATSFIFTPSERIKQQMQVGLQYQNCW >DRNTG_34525.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21102597:21107788:1 gene:DRNTG_34525 transcript:DRNTG_34525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTKQTAKGRKVSIKYPRTLFHANSFESNDICLKQNDESRTESNRKSEIRSSGDIISTTKFVSILGNLWDCVGQPAVFQSREGLKYNDNFVKENLIFSSESQRGNKATSLVNTKCEYHDLKSNNRSSHAAKSDFEHLKTLKRMMLFASCTINNPLLSNVAHINDSALYAAPSAIDKVPSTEVADDKTEAHGGITWKPIPNLNCPVKHSEFGCISITAEGSSLGKEIHIAGVPDPAIAETNIEVKSELSSGEIAINEAENVPESHRSSDSFAEVGSLKEAIVILSSPSSGINLDHIGECLAAAIGTVGESQEVTNSCFAVADSSSEYFSTKDQNLDGSSKKLFDKVDIMQKYSSNLLLIQDKLQHAFDKNKNALAGALAGTLVSLCLHPVDTVKTIIQSNGAAEKSCHEIVRTIISEKGVMGLYRGIASNIASSAPISAVYTFTYESVKSALLPLLPKEYHSFAHCTAGACSSIATSFIFTPSERIKQQMQVGLQYQNCCFTPTKA >DRNTG_22186.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1533281:1536418:1 gene:DRNTG_22186 transcript:DRNTG_22186.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSTSPIFQVNSCIICFPFSLRNFAARFYLSLSVIGYLRFLASNSTKLMLTSQGGRGDDRFRRRRRRRVDGD >DRNTG_30013.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001538.1:6046:6713:-1 gene:DRNTG_30013 transcript:DRNTG_30013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAFQYIELVRLICTTFSLICFSEVASCGLNTLACRFACPSMAVA >DRNTG_10565.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1670627:1678972:-1 gene:DRNTG_10565 transcript:DRNTG_10565.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable tRNA N6-adenosine threonylcarbamoyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G45270) UniProtKB/Swiss-Prot;Acc:O22145] MAFLLLSSPLPFSRVALLLKLPSRRPCFPNPSPFFTRCRRSPSPSHHPHHSQLKSLCIASSSGLKPIARSMAREDVLILGIETSCDDTAAAVVRGNGEILSQVASSQADLLARYGGVAPKMAEEAHIVAIDKVVQQALDNANLAARDLSAVAVTIGPGLSLCLRVGVCKARRIAGMHNLPIVGIHHMEAHALVVRY >DRNTG_10565.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1670627:1678972:-1 gene:DRNTG_10565 transcript:DRNTG_10565.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable tRNA N6-adenosine threonylcarbamoyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G45270) UniProtKB/Swiss-Prot;Acc:O22145] MAFLLLSSPLPFSRVALLLKLPSRRPCFPNPSPFFTRCRRSPSPSHHPHHSQLKSLCIASSSGLKPIARSMAREDVLILGIETSCDDTAAAVVRGNGEILSQVASSQADLLARYGGVAPKMAEEAHIVAIDKVVQQALDNANLAARDLSAVAVTIGPGLSLCLRVGVCKARRIAGMHNLPIVGIHHMEAHALVVRLVEKDLHFPFLALLISGGHNLLILARDLGHYVQLGTTIDDAIGEAYDKTARWLGLDMKKGGGPALEELAQEGDADSIKFSIPMRQHKDCNFSYAGLKTQVRLAIESRKICTENNPISSANPDDRSSRADIAASFQRVAVLHLEDRCQRAIEWALKIEPSINSLVVSGGVASNQYVRTRLSHVVNNSGLRLVCPPPSLCTDNGVMIAWTGIEHFLAGRFDPPPPANEPENYLVDLRPRWPLGEEYSQGKSEARSLKRARIHPSLTSIIQGSMQQQ >DRNTG_10565.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1670627:1678972:-1 gene:DRNTG_10565 transcript:DRNTG_10565.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable tRNA N6-adenosine threonylcarbamoyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G45270) UniProtKB/Swiss-Prot;Acc:O22145] MKKGGGPALEELAQEGDADSIKFSIPMRQHKDCNFSYAGLKTQVRLAIESRKICTENNPISSANPDDRSSRADIAASFQRVAVLHLEDRCQRAIEWALKIEPSINSLVVSGGVASNQYVRTRLSHVVNNSGLRLVCPPPSLCTDNGVMIAWTGIEHFLAGRFDPPPPANEPENYLVDLRPRWPLGEEYSQGKSEARSLKRARIHPSLTSIIQGSMQQQ >DRNTG_10565.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1670627:1678972:-1 gene:DRNTG_10565 transcript:DRNTG_10565.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable tRNA N6-adenosine threonylcarbamoyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G45270) UniProtKB/Swiss-Prot;Acc:O22145] MAFLLLSSPLPFSRVALLLKLPSRRPCFPNPSPFFTRCRRSPSPSHHPHHSQLKSLCIASSSGLKPIARSMAREDVLILGIETSCDDTAAAVVRGNGEILSQVASSQADLLARYGGVAPKMAEEAHIVAIDKVVQQALDNANLAARDLSAVAVTIGPGLSLCLRVGVCKARRIAGMHNLPIVGIHHMEAHALVVRY >DRNTG_10565.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1670627:1678972:-1 gene:DRNTG_10565 transcript:DRNTG_10565.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable tRNA N6-adenosine threonylcarbamoyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G45270) UniProtKB/Swiss-Prot;Acc:O22145] MLCLLCRLVEKDLHFPFLALLISGGHNLLILARDLGHYVQLGTTIDDAIGEAYDKTARWLGLDMKKGGGPALEELAQEGDADSIKFSIPMRQHKDCNFSYAGLKTQVRLAIESRKICTENNPISSANPDDRSSRADIAASFQRVAVLHLEDRCQRAIEWALKIEPSINSLVVSGGVASNQYVRTRLSHVVNNSGLRLVCPPPSLCTDNG >DRNTG_32755.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1696564:1699966:-1 gene:DRNTG_32755 transcript:DRNTG_32755.10 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFDDEKKKIVHELVDINNANRSVISMVGMGGLGKTTLAKSVYNDFEVKRSFDIFAWVIISQEYTIHEVLKRIKSEVSATPLADTIQDLSVAISEKLKKGKYLIVLDDVWKEDAWTELLKVFPDVNNGSRVIITTRFLNVAKIADPTIQPHELRLLNIKESKELFLRKVFPRQNTETCCSDDLLDSAHQLVKRCGGLPLALVVLGGLVSTKPQTKDAWQKVVESMKRQFVKGGDKCLEILALSYNDLPHYLKSCFLYFGCFGEDMNIPSKTVIRLWSAEGFLPTENGKTIEESGFDCLVELAQRCLIQVTEHEYDDGVKYCQIHDLLRDMCISEAKDNRFLEIYQNDTVDRATMPNAARRLIIFNEIKTLNYSNSKLRGLFYSLGSYQRLDFAALNGQLGKFKLLRVLYVNKLVISEFPSEIK >DRNTG_32755.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1700045:1700592:-1 gene:DRNTG_32755 transcript:DRNTG_32755.13 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVGFVVRKLGELLAQEAINLYGVRGEVEWLKRELGRMQCFLKDADAKKKKGDDERVKHWVTEMRDLAFDAEDAIDTFMYSKLRRPERDGCIAFIERYVLQTPIYLHENEHFSYVFFRNTSMKNTNF >DRNTG_32755.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1700045:1700553:-1 gene:DRNTG_32755 transcript:DRNTG_32755.14 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVGFVVRKLGELLAQEAINLYGVRGEVEWLKRELGRMQCFLKDADAKKKKGDDERVKHWVTEMRDLAFDAEDAIDTFMYSKLRRPERDGCIAFIERYVLQTPIYLHENEHFSYVFFRNTSMKNTNF >DRNTG_32755.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1696622:1700676:-1 gene:DRNTG_32755 transcript:DRNTG_32755.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVGFVVRKLGELLAQEAINLYGVRGEVEWLKRELGRMQCFLKDADAKKKKGDDERVKHWVTEMRDLAFDAEDAIDTFMYSKLRRPERDGCIAFIERFVFIFDELVSRHKVHVDVKGIKAKLHELSESRDVYGISNIGETIGTTSQSRSQNVIPILPQLSDDIDMVGFDDEKKKIVHELVDINNANRSVISMVGMGGLGKTTLAKSVYNDFEVKRSFDIFAWVIISQEYTIHEVLKRIKSEVSATPLADTIQDLSVAISEKLKKGKYLIVLDDVWKEDAWTELLKVFPDVNNGSRVIITTRFLNVAKIADPTIQPHELRLLNIKESKELFLRKVFPRQNTETCCSDDLLDSAHQLVKRCGGLPLALVVLGGLVSTKPQTKDAWQKVVESMKRQFVKGGDKCLEILALSYNDLPHYLKSCFLYFGCFGEDMNIPSKTVIRLWSAEGFLPTENGKTIEESGFDCLVELAQRCLIQVTEHEYDDGVKYCQIHDLLRDMCISEAKDNRFLEIYQNDTVDRATMPNAARRLIIFNEIKTLNYSNSKLRGLFYSLGSYQRLDFAALNGQLGKFKLLRVLYVNKLVISEFPSEIK >DRNTG_32755.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1696622:1700553:-1 gene:DRNTG_32755 transcript:DRNTG_32755.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVGFVVRKLGELLAQEAINLYGVRGEVEWLKRELGRMQCFLKDADAKKKKGDDERVKHWVTEMRDLAFDAEDAIDTFMYSKLRRPERDGCIAFIERFVFIFDELVSRHKVHVDVKGIKAKLHELSESRDVYGISNIGETIGTTSQSRSQNVIPILPQLSDDIDMVGFDDEKKKIVHELVDINNANRSVISMVGMGGLGKTTLAKSVYNDFEVKRSFDIFAWVIISQEYTIHEVLKRIKSEVSATPLADTIQDLSVAISEKLKKGKYLIVLDDVWKEDAWTELLKVFPDVNNGSRVIITTRFLNVAKIADPTIQPHELRLLNIKESKELFLRKVFPRQNTETCCSDDLLDSAHQLVKRCGGLPLALVVLGGLVSTKPQTKDAWQKVVESMKRQFVKGGDKCLEILALSYNDLPHYLKSCFLYFGCFGEDMNIPSKTVIRLWSAEGFLPTENGKTIEESGFDCLVELAQRCLIQVTEHEYDDGVKYCQIHDLLRDMCISEAKDNRFLEIYQNDTVDRATMPNAARRLIIFNEIKTLNYSNSKLRGLFYSLGSYQRLDFAALNGQLGKFKLLRVLYVNKLVISEFPSEIK >DRNTG_32755.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1696622:1700676:-1 gene:DRNTG_32755 transcript:DRNTG_32755.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVGFVVRKLGELLAQEAINLYGVRGEVEWLKRELGRMQCFLKDADAKKKKGDDERVKHWVTEMRDLAFDAEDAIDTFMYSKLRRPERDGCIAFIERFVFIFDELVSRHKVHVDVKGIKAKLHELSESRDVYGISNIGETIGTTSQSRSQNVIPILPQLSDDIDMVGFDDEKKKIVHELVDINNANRSVISMVGMGGLGKTTLAKSVYNDFEVKRSFDIFAWVIISQEYTIHEVLKRIKSEVSATPLADTIQDLSVAISEKLKKGKYLIVLDDVWKEDAWTELLKVFPDVNNGSRVIITTRFLNVAKIADPTIQPHELRLLNIKESKELFLRKVFPRQNTETCCSDDLLDSAHQLVKRCGGLPLALVVLGGLVSTKPQTKDAWQKVVESMKRQFVKGGDKCLEILALSYNDLPHYLKSCFLYFGCFGEDMNIPSKTVIRLWSAEGFLPTENGKTIEESGFDCLVELAQRCLIQVTEHEYDDGVKYCQIHDLLRDMCISEAKDNRFLEIYQNDTVDRATMPNAARRLIIFNEIKTLNYSNSKLRGLFYSLGSYQRLDFAALNGQLGKFKLLRVLYVNKLVISEFPSEIK >DRNTG_32755.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1696564:1700553:-1 gene:DRNTG_32755 transcript:DRNTG_32755.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVGFVVRKLGELLAQEAINLYGVRGEVEWLKRELGRMQCFLKDADAKKKKGDDERVKHWVTEMRDLAFDAEDAIDTFMYSKLRRPERDGCIAFIERFVFIFDELVSRHKVHVDVKGIKAKLHELSESRDVYGISNIGETIGTTSQSRSQNVIPILPQLSDDIDMVGFDDEKKKIVHELVDINNANRSVISMVGMGGLGKTTLAKSVYNDFEVKRSFDIFAWVIISQEYTIHEVLKRIKSEVSATPLADTIQDLSVAISEKLKKGKYLIVLDDVWKEDAWTELLKVFPDVNNGSRVIITTRFLNVAKIADPTIQPHELRLLNIKESKELFLRKVFPRQNTETCCSDDLLDSAHQLVKRCGGLPLALVVLGGLVSTKPQTKDAWQKVVESMKRQFVKGGDKCLEILALSYNDLPHYLKSCFLYFGCFGEDMNIPSKTVIRLWSAEGFLPTENGKTIEESGFDCLVELAQRCLIQVTEHEYDDGVKYCQIHDLLRDMCISEAKDNRFLEIYQNDTVDRATMPNAARRLIIFNEIKTLNYSNSKLRGLFYSLGSYQRLDFAALNGQLGKFKLLRVLYVNKLVISEFPSEIK >DRNTG_32755.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1696622:1700592:-1 gene:DRNTG_32755 transcript:DRNTG_32755.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVGFVVRKLGELLAQEAINLYGVRGEVEWLKRELGRMQCFLKDADAKKKKGDDERVKHWVTEMRDLAFDAEDAIDTFMYSKLRRPERDGCIAFIERFVFIFDELVSRHKVHVDVKGIKAKLHELSESRDVYGISNIGETIGTTSQSRSQNVIPILPQLSDDIDMVGFDDEKKKIVHELVDINNANRSVISMVGMGGLGKTTLAKSVYNDFEVKRSFDIFAWVIISQEYTIHEVLKRIKSEVSATPLADTIQDLSVAISEKLKKGKYLIVLDDVWKEDAWTELLKVFPDVNNGSRVIITTRFLNVAKIADPTIQPHELRLLNIKESKELFLRKVFPRQNTETCCSDDLLDSAHQLVKRCGGLPLALVVLGGLVSTKPQTKDAWQKVVESMKRQFVKGGDKCLEILALSYNDLPHYLKSCFLYFGCFGEDMNIPSKTVIRLWSAEGFLPTENGKTIEESGFDCLVELAQRCLIQVTEHEYDDGVKYCQIHDLLRDMCISEAKDNRFLEIYQNDTVDRATMPNAARRLIIFNEIKTLNYSNSKLRGLFYSLGSYQRLDFAALNGQLGKFKLLRVLYVNKLVISEFPSEIK >DRNTG_32755.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1696564:1700553:-1 gene:DRNTG_32755 transcript:DRNTG_32755.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVGFVVRKLGELLAQEAINLYGVRGEVEWLKRELGRMQCFLKDADAKKKKGDDERVKHWVTEMRDLAFDAEDAIDTFMYSKLRRPERDGCIAFIERFVFIFDELVSRHKVHVDVKGIKAKLHELSESRDVYGISNIGETIGTTSQSRSQNVIPILPQLSDDIDMVGFDDEKKKIVHELVDINNANRSVISMVGMGGLGKTTLAKSVYNDFEVKRSFDIFAWVIISQEYTIHEVLKRIKSEVSATPLADTIQDLSVAISEKLKKGKYLIVLDDVWKEDAWTELLKVFPDVNNGSRVIITTRFLNVAKIADPTIQPHELRLLNIKESKELFLRKVFPRQNTETCCSDDLLDSAHQLVKRCGGLPLALVVLGGLVSTKPQTKDAWQKVVESMKRQFVKGGDKCLEILALSYNDLPHYLKSCFLYFGCFGEDMNIPSKTVIRLWSAEGFLPTENGKTIEESGFDCLVELAQRCLIQVTEHEYDDGVKYCQIHDLLRDMCISEAKDNRFLEIYQNDTVDRATMPNAARRLIIFNEIKTLNYSNSKLRGLFYSLGSYQRLDFAALNGQLGKFKLLRVLYVNKLVISEFPSEIK >DRNTG_32755.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1696564:1700592:-1 gene:DRNTG_32755 transcript:DRNTG_32755.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVGFVVRKLGELLAQEAINLYGVRGEVEWLKRELGRMQCFLKDADAKKKKGDDERVKHWVTEMRDLAFDAEDAIDTFMYSKLRRPERDGCIAFIERFVFIFDELVSRHKVHVDVKGIKAKLHELSESRDVYGISNIGETIGTTSQSRSQNVIPILPQLSDDIDMVGFDDEKKKIVHELVDINNANRSVISMVGMGGLGKTTLAKSVYNDFEVKRSFDIFAWVIISQEYTIHEVLKRIKSEVSATPLADTIQDLSVAISEKLKKGKYLIVLDDVWKEDAWTELLKVFPDVNNGSRVIITTRFLNVAKIADPTIQPHELRLLNIKESKELFLRKVFPRQNTETCCSDDLLDSAHQLVKRCGGLPLALVVLGGLVSTKPQTKDAWQKVVESMKRQFVKGGDKCLEILALSYNDLPHYLKSCFLYFGCFGEDMNIPSKTVIRLWSAEGFLPTENGKTIEESGFDCLVELAQRCLIQVTEHEYDDGVKYCQIHDLLRDMCISEAKDNRFLEIYQNDTVDRATMPNAARRLIIFNEIKTLNYSNSKLRGLFYSLGSYQRLDFAALNGQLGKFKLLRVLYVNKLVISEFPSEIK >DRNTG_32755.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1696622:1699966:-1 gene:DRNTG_32755 transcript:DRNTG_32755.11 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFDDEKKKIVHELVDINNANRSVISMVGMGGLGKTTLAKSVYNDFEVKRSFDIFAWVIISQEYTIHEVLKRIKSEVSATPLADTIQDLSVAISEKLKKGKYLIVLDDVWKEDAWTELLKVFPDVNNGSRVIITTRFLNVAKIADPTIQPHELRLLNIKESKELFLRKVFPRQNTETCCSDDLLDSAHQLVKRCGGLPLALVVLGGLVSTKPQTKDAWQKVVESMKRQFVKGGDKCLEILALSYNDLPHYLKSCFLYFGCFGEDMNIPSKTVIRLWSAEGFLPTENGKTIEESGFDCLVELAQRCLIQVTEHEYDDGVKYCQIHDLLRDMCISEAKDNRFLEIYQNDTVDRATMPNAARRLIIFNEIKTLNYSNSKLRGLFYSLGSYQRLDFAALNGQLGKFKLLRVLYVNKLVISEFPSEIK >DRNTG_32755.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1700045:1700676:-1 gene:DRNTG_32755 transcript:DRNTG_32755.12 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVGFVVRKLGELLAQEAINLYGVRGEVEWLKRELGRMQCFLKDADAKKKKGDDERVKHWVTEMRDLAFDAEDAIDTFMYSKLRRPERDGCIAFIERYVLQTPIYLHENEHFSYVFFRNTSMKNTNF >DRNTG_32755.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1696622:1701362:-1 gene:DRNTG_32755 transcript:DRNTG_32755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVGFVVRKLGELLAQEAINLYGVRGEVEWLKRELGRMQCFLKDADAKKKKGDDERVKHWVTEMRDLAFDAEDAIDTFMYSKLRRPERDGCIAFIERFVFIFDELVSRHKVHVDVKGIKAKLHELSESRDVYGISNIGETIGTTSQSRSQNVIPILPQLSDDIDMVGFDDEKKKIVHELVDINNANRSVISMVGMGGLGKTTLAKSVYNDFEVKRSFDIFAWVIISQEYTIHEVLKRIKSEVSATPLADTIQDLSVAISEKLKKGKYLIVLDDVWKEDAWTELLKVFPDVNNGSRVIITTRFLNVAKIADPTIQPHELRLLNIKESKELFLRKVFPRQNTETCCSDDLLDSAHQLVKRCGGLPLALVVLGGLVSTKPQTKDAWQKVVESMKRQFVKGGDKCLEILALSYNDLPHYLKSCFLYFGCFGEDMNIPSKTVIRLWSAEGFLPTENGKTIEESGFDCLVELAQRCLIQVTEHEYDDGVKYCQIHDLLRDMCISEAKDNRFLEIYQNDTVDRATMPNAARRLIIFNEIKTLNYSNSKLRGLFYSLGSYQRLDFAALNGQLGKFKLLRVLYVNKLVISEFPSEIK >DRNTG_32755.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1696622:1700553:-1 gene:DRNTG_32755 transcript:DRNTG_32755.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVGFVVRKLGELLAQEAINLYGVRGEVEWLKRELGRMQCFLKDADAKKKKGDDERVKHWVTEMRDLAFDAEDAIDTFMYSKLRRPERDGCIAFIERFVFIFDELVSRHKVHVDVKGIKAKLHELSESRDVYGISNIGETIGTTSQSRSQNVIPILPQLSDDIDMVGFDDEKKKIVHELVDINNANRSVISMVGMGGLGKTTLAKSVYNDFEVKRSFDIFAWVIISQEYTIHEVLKRIKSEVSATPLADTIQDLSVAISEKLKKGKYLIVLDDVWKEDAWTELLKVFPDVNNGSRVIITTRFLNVAKIADPTIQPHELRLLNIKESKELFLRKVFPRQNTETCCSDDLLDSAHQLVKRCGGLPLALVVLGGLVSTKPQTKDAWQKVVESMKRQFVKGGDKCLEILALSYNDLPHYLKSCFLYFGCFGEDMNIPSKTVIRLWSAEGFLPTENGKTIEESGFDCLVELAQRCLIQVTEHEYDDGVKYCQIHDLLRDMCISEAKDNRFLEIYQNDTVDRATMPNAARRLIIFNEIKTLNYSNSKLRGLFYSLGSYQRLDFAALNGQLGKFKLLRVLYVNKLVISEFPSEIK >DRNTG_03055.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18623792:18628359:-1 gene:DRNTG_03055 transcript:DRNTG_03055.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFQNPQKRRPTSDQRNELNSEEDLVKDSRLNSPGGQRRGRCHLSLIFFLPFSIRLSLSLTK >DRNTG_12896.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000584.1:45038:47338:1 gene:DRNTG_12896 transcript:DRNTG_12896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGKTTLLKKINQELSDNANMGFDHVLFIQASQNAQLKELREEIAKKLHLPSSAGQQDIFNALKTKNIVLLLDNIWEPVDLVGLGIINPYRDDDDITKPYKYKVIFTTRSEYVCAQMRASKIIKVECLEPDEAWALSKHNANLAVIESDERINEIAKQVMNRTGGLPLALQTLGKAMSKRKTVQDWEFILDSIKNSGTEVLQGVQGSFLPILKLSDDNPPTNIQECFLLASIWGWLSKDDLLECWIGLGLIGNFDNLQQAYGKARYIFNILEEAGLLYSSDNGCVSSHDVIYEMALWIASNLGMNMNKWIVEEYDGLAEIPTENSENLRMADRVIISGIKLVPILSLQCDDLLCLMILNSSYLKNIPRGFFGQMPNLIYLDLSKSATEKLPEDIKCLVNLQYLNISSTYISSLPKELLYLSKLQYLMCRYLRWLRKVKDGLLSRLHKLTVIDLYPFGWVGPEELKKLKKHMNIKAIGMRVVSQEVLRELSCLPTTQLHIELENLSSLSFDTLSCKDHGFLNELTIESCPQLEELMMNGSQTHLNYLTINDNEKLQKISWTDISPPEHFHALKALFISECNLASFAWVLHLPSLALLNVQHCAEVKELFYVEKREIHQVSERPMFPALQYLFLVYLPKLVNISNFAVEFPQLSYLSVHVCLNLKKL >DRNTG_14221.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6651020:6655726:1 gene:DRNTG_14221 transcript:DRNTG_14221.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAAAGDQATDLLQKLSLDSPTKSKAQDASEVGKKSSAVQQGTTANNGEMLTTVPGDRSSTPFSRDAMDPTVCYLPNGFSSQAYYYRGGYDASVNDWDGYLNYDVMDMSSAGVYGDMYQHGYGYANYGPYPSPGSQIPAAEPDWGMYASPNYQYPQFYQAPTPSGGAPYTPQQGDVSSSVTSNQPLPSADASKANSNGVSNGSVNANMSVSLRPNNQNSAVSTSIGPGVGQGTAAGQAVEGIARQSEAKGKIRGGHPSGSRLGYGGRRSPQWFDGPTFSNGQHRPASAGPLSSTHLRYSSNPSVRNHTWPVPHPGGLYASRPFQAMGSASPGYMNSMYSNNHMYGQYGNTLKTAMGFGTNLYDSRAYGAMSQVFNSRYKSRVRGSGYFGYGNENFDGLTELNRGPRSGLPKNIKSNGSNLTIAVKGQNLPLNGSEDSSLRAVGGDEYNRPDFPETYSTAKFFIIKSYSEDDIHKSIKYSVWASTPNGNKKLDSAYQEAQSLADGCPVFLFFSVNTSGQFVGVAEMVGPVDFDKTVSYWQQDKWKGCFSVKWHIVKDVPNSILKHITLENNENKPVTNSRDTQEVKLEQGIQLLKLFKEHVSKTSILDDFGFYETREKLMQERRSKQLQKQVLDGKVAVASSVDEKEKEKDELNGKVRFPQPLEPVTILSKETGQGGGIGGTKTSEENSENLKVSKVSNDKGQSNAADG >DRNTG_14221.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6651020:6655726:1 gene:DRNTG_14221 transcript:DRNTG_14221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAAAGDQATDLLQKLSLDSPTKSKAQDASEVGKKSSAVQQGTTANNGEMLTTVPGDRSSTPFSRDAMDPTVCYLPNGFSSQAYYYRGGYDASVNDWDGYLNYDVMDMSSAGVYGDMYQHGYGYANYGPYPSPGSQIPAAEPDWGMYASPNYQYPQFYQAPTPSGGAPYTPQQGDVSSSVTSNQPLPSADASKANSNGVSNGSVNANMSVSLRPNNQNSAVSTSIGPGVGQGTAAGQAVEGIARQSEAKGKIRGGHPSGSRLGYGGRRSPQWFDGPTFSNGQHRPASAGPLSSTHLRYSSNPSGLYASRPFQAMGSASPGYMNSMYSNNHMYGQYGNTLKTAMGFGTNLYDSRAYGAMSQVFNSRYKSRVRGSGYFGYGNENFDGLTELNRGPRSGLPKNIKSNGSNLTIAVKGQNLPLNGSEDSSLRAVGGDEYNRPDFPETYSTAKFFIIKSYSEDDIHKSIKYSVWASTPNGNKKLDSAYQEAQSLADGCPVFLFFSVNTSGQFVGVAEMVGPVDFDKTVSYWQQDKWKGCFSVKWHIVKDVPNSILKHITLENNENKPVTNSRDTQEVKLEQGIQLLKLFKEHVSKTSILDDFGFYETREKLMQERRSKQLQKQVLDGKVAVASSVDEKEKEKDELNGKVRFPQPLEPVTILSKETGQGGGIGGTKTSEENSENLKVSKVSNDKGQSNAADG >DRNTG_31617.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:730811:734642:1 gene:DRNTG_31617 transcript:DRNTG_31617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANPPPQIPLSWPPEDALTLDWIHSLTTTLEWASWKLPPSGLPSVLPVPVLLRLLLTASNILHKEPNCLRVDPQGEETSVVVVGDVHGQLHDVLFLLKDAGFPSDKRFFVFNGDYVDRGAWGVETFLILLAWKVFLPERVYLLRGNHESKYCTSVYGFEKEVMEKYGEQGKQVYRKFLRCFEGLPLASIIAGNVYTAHGGVFRGAALTPSKRSKRKKGRKPIAVADSNSLRLGSLEELLKARRTVLDPPWEGSNLIPGDVLWSDPSLNPGLSPNNERGIGLLWGPDCTEEFLKKNKFKLIIRSHEGPDARDKRQDLKGMHEGYTIDHVVESGRLITLFSAPDYPQFQATEDRYNNQGAYIVLSPPDYSTPTFHSFDAVKPRPKVNPYYDFEEVIDSDEELDLKAMESSTSVEDLTPI >DRNTG_23066.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2373126:2373620:1 gene:DRNTG_23066 transcript:DRNTG_23066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPCRQLILTKLHEMSSKGLRCLGFAYKDDLGEFSDYYADTHPAHKKLLDPSNYSEIENNMVFIGVIGLRDPPREEVPKAILDCRGAGIKVIVITGDNKSTAEAI >DRNTG_24029.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17492063:17500197:-1 gene:DRNTG_24029 transcript:DRNTG_24029.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVVELDEEIERDVDGVGDVLFSRLGEPVPLTFPPSPFDLSDPPRNPIAISFSARLLFVASSQGFMVAETPEVIRMAKEIKEKGEGPSVLDSCFLDVKIGKVSILALSVDSLWLAAVVGCDVKFYSVENLRNKESTFSFLCSIKSGVVKDFLWQKNTGNAFAILSSDGSLQLGQLQAPLKDVMNNIDAVDWSENGAFIAVARKNTLSIWSLDMKEQCQMKLLFQSWSDATDSECSIKVDSITWLRNNSIVIGCVRVYEDGKEEGYLVQVVAVGELEFSERSSKPVVFSFPDLFEDTSDDILPSGCGPYLFASYLKHLGLMLASFKKAIGAHLLLLRWSLDGDRREAVSLEYENEIYTPRIDLQENGNDNLILGFVVDQTPSNEKVRVKIEAEFKEVFPNCTLWCLTSEGKLILFHILRTSDDSYTPDTSSSEDYKSVTERTSAAISLKHQWPAVTLGPLGLNDSAKVKESEPLQRLEKVSHANDIVLTGKEVEGSSNSNARLSPVQTLNAEKLPVVSSRMQDPGLTLSISAKTSIQELSSCPPGQTVSTTLPVGNLSNEAFTTMQVGTRVLGQNVEKELAGSTGSQGASFGSQSTGKLGFTNNFSAKSSSALDRSVLGGNTHSAKIGSENKLPSSQHGPISDDSLPGRKPFSWKVSSSSPPPPVFSENKAIQSEGSKSFLVKKGSPEPLPVMHKPLPIMPQSSMPGKSLNFKTQPSLSNSRNPRCPWMAEPEPELSKQFYNGKDMANELDKLLSFIEREGGFRDACTISQESLLSTLGDGLKNLSIKSQVHKNKIEKQLLEIQQLQNKMLQ >DRNTG_24029.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17492850:17494030:-1 gene:DRNTG_24029 transcript:DRNTG_24029.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPGLTLSISAKTSIQELSSCPPGQTVSTTLPVGNLSNEAFTTMQVGTRVLGQNVEKELAGSTGSQGASFGSQSTGKLGFTNNFSAKSSSALDRSVLGGNTHSAKIGSENKLPSSQHGPISDDSLPGRKPFSWKVSSSSPPPPVFSENKAIQSEGSKSFLVKKGSPEPLPVMHKPLPIMPQSSMPGKSLNFKTQPSLSNSRNPRCPWMAEPEPELSKQFYNVSLHYCLVGCMYYSLPSSLLIQ >DRNTG_24029.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17498050:17500197:-1 gene:DRNTG_24029 transcript:DRNTG_24029.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVVELDEEIERDVDGVGDVLFSRLGEPVPLTFPPSPFDLSDPPRNPIAISFSARLLFVASSQGFMVAETPEVIRMAKEIKEKGEGPSVLDSCFLDVKIGKVSILALSVDSLWLAAVVGCDVKFYSVENLRNKESTFSFLCSIKSGVVKDFLWQKNTGNAFAILSSDGSLQLGQLQAPLKDVMNNIDA >DRNTG_18647.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6749220:6753090:1 gene:DRNTG_18647 transcript:DRNTG_18647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMYRRTLLCFLFFFSCTLLLQQQAFADKKSYVVYLGGHSTNSNEEIIVNSHHELLGSVMGSKEKAKEAIFYSYTKAINGFAAVMEEDEAKEISKNPEVLSVFEDKAKMLYTTHSWDFVGLPNNIPASSLIPNVNGSTDVIIAHLDTGVWPESKSFSDDGMAPIPSEWKGICQNENITKNFTCNKKLIGARYYYVGYLKNGGSTKNTKIGPRDDIGHGTHTLSTSGGAMIPNVSFFGFANGTLRGGSPHARVAMYRVCWQDGGCYDTDVLAAFDDAINDGVNLLSISLGADPAPYYEDSIAIGSFHAIQKGIMVICAAGNSGPYSATVTNAAPWIFTVAAGTTDRELLSILQYNGKSINGVSLTQGLPSNKPYPIITSTKAFLNSSSDNLTDACLLDTLDPAKVKGKIIACNIGPETIKSQINEVKRAGGVGVVLVNDVTFGDQLLGYPFNLTTINIGYDDGQNLYKYLASNKSAMAYILCELTTFGNKPAPQIADFSSRGPNIINEEILKPDIMAPGFEILASYSEAVSPFEDPSDSLHYPYAFLSGTSMATPHVSGLAGLLKSLNPTWSPAMIRSAIMTTATILDNKGGVITDMASMAPGTPFDYGAGQIQASKAMDPGLVYDLTPQDYVNFLCALGYNSTETKLFIGKQKCPSTPIEIQDLNYPSITIANLSGTVIINRTLTNVGDEGKYNVSYVAPKGTSMMVLPTKLNFGKSGDSKKYLVFVTAVNHTNNDYQFGMLTWSDGKHSVKTPLAVKMHHK >DRNTG_18647.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6749220:6751794:1 gene:DRNTG_18647 transcript:DRNTG_18647.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMYRRTLLCFLFFFSCTLLLQQQAFADKKSYVVYLGGHSTNSNEEIIVNSHHELLGSVMGSKEKAKEAIFYSYTKAINGFAAVMEEDEAKEISKNPEVLSVFEDKAKMLYTTHSWDFVGLPNNIPASSLIPNVNGSTDVIIAHLDTGVWPESKSFSDDGMAPIPSEWKGICQNENITKNFTCNKKLIGARYYYVGYLKNGGSTKNTKIGPRDDIGHGTHTLSTSGGAMIPNVSFFGFANGTLRGGSPHARVAMYRVCWQDGGCYDTDVLAAFDDAINDGVNLLSISLGADPAPYYEDSIAIGSFHAIQKGIMVICAAGNSGPYSATVTNAAPWIFTVAAGTTDRELLSILQYNGKSINGVSLTQGLPSNKPYPIITSTKAFLNSSSDNLTDACLLDTLDPAKVKGKIIACNIGPETIKSQINEVKRAGGVGVVLVNDVTFGDQLLGYPFNLTTINIGYDDGQNLYKYLASNK >DRNTG_18647.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6749220:6750180:1 gene:DRNTG_18647 transcript:DRNTG_18647.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMYRRTLLCFLFFFSCTLLLQQQAFADKKSYVVYLGGHSTNSNEEIIVNSHHELLGSVMGSKEKAKEAIFYSYTKAINGFAAVMEEDEAKEISKNPEVLSVFEDKAKMLYTTHSWDFVGLPNNIPASSLIPNVNGSTDVIIAHLDTGVWPESKSFSDDGMAPIPSEWKGICQNENITKNFTCNK >DRNTG_12467.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20904098:20907953:-1 gene:DRNTG_12467 transcript:DRNTG_12467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAARWIAKSVTPCLLRVISQNPDYHIKIIGHSLGGGIAAILSYILREREEFSSSSCIAFWSRHVLCIYLPICLCFFVL >DRNTG_12467.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20904098:20907953:-1 gene:DRNTG_12467 transcript:DRNTG_12467.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPASAKDTAEEEGGEEGGDRRKEVAAMERGRRRMPDRAPETRMEAVAMSALTVRFVWAETMGKWPLADLLIGVRYLSGRQGNSGILPCLNTGVNFIELKGPEVIAELAHQLKLLTLCTLFTAKKFPKFLKSAGYSQQQVLQKSKPGVFSAAVFHNFT >DRNTG_12467.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20904098:20907953:-1 gene:DRNTG_12467 transcript:DRNTG_12467.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPASAKDTAEEEGGEEGGDRRKEVAAMERGRRRMPDRAPETRMEAVAMSALTVRFVWAETMGKWPLADLLIGVRYLSGRQGNSGILPCLNTGVNFIELKGPEVIAELAHQLKLLTLCTLFTAKKFPKFLKSAGYSQQQVLQKSKPGFLRPYFTILHDESNKCIVVLIRGARSFKDRLTAATAAVVPFHHLVIQEGNLCNLVLGHAHCGMLAAARWIAKSVTPCLLRVISQNPDYHIKVKHSYFHFTYDALIHEPVLQIKHKWCILYLFLLLLFLLVRF >DRNTG_12467.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20904098:20907953:-1 gene:DRNTG_12467 transcript:DRNTG_12467.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPASAKDTAEEEGGEEGGDRRKEVAAMERGRRRMPDRAPETRMEAVAMSALTVRFVWAETMGKWPLADLLIGVRYLSGRQGNSGILPCLNTGVNFIELKGPEVIAELAHQLKLLTLCTLFTAKKFPKFLKSAGYSQQQVLQKSKPGFLRPYFTILHDESNKCIVVLIRGARSFKDRLTAATAAVVPFHHLVIQEGNLCNLVLGHAHCGMLAAARWIAKSVTPCLLRVISQNPDYHIKIIGHSLGGGIAAILSYILREREEFSSSSCIAFWSRHVLCIYLPICLCFFVL >DRNTG_29435.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001477.1:57881:69841:1 gene:DRNTG_29435 transcript:DRNTG_29435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWEHGVHIPYKQAWLGKEYALVSLDSSEVSSYDLLLWYVDNVVETYPDSITIVERDYEHFKRAFFSIHVCDALYDAGEYEEIITFVSGMFKGLVNAIVKVFPSSPHTYCLRHLEANFMKTNIRIGKALKEECRSIYFRIAHAAIVKEFDDTVNELQAISPNARHWFLYKSDMSHWSNYLFKGECWAEMYSNVAESFNVLIKEVRHLLVTK >DRNTG_31200.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26907334:26910763:-1 gene:DRNTG_31200 transcript:DRNTG_31200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALARLCRKTVGSSTASRLLSTSASPAAAMDRVRWDYRGQRRVIPLGQWVPKIAVDAYVAPNVVLAGQVTVCDGASVWNGSVLRGDLNKITVGFSSNVQERCVLHAAWNSPTGLPAETYIERYVTIGANSLLRSCTIEPECIIGQHSILLEGSLVETNSILEAGSVLPPGRRIPTGELWAGNPARFVRKLTHEEILEIPKLAMAVNDLMQSHFFEFLPYSTAYLEVEKMKKALSISL >DRNTG_33354.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26678756:26679565:1 gene:DRNTG_33354 transcript:DRNTG_33354.6 gene_biotype:protein_coding transcript_biotype:protein_coding LSPRTWHWSKQKNPVQYSRTSIDSREHAESKILS >DRNTG_33354.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26677423:26681035:1 gene:DRNTG_33354 transcript:DRNTG_33354.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYRGVRKRPWGKYAAEIRDPNRKGSHVWLGTFDTAVEAAKAYDRAALQMRGCKAIWQRCK >DRNTG_33354.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26677423:26681035:1 gene:DRNTG_33354 transcript:DRNTG_33354.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYRGVRKRPWGKYAAEIRDPNRKGSHVWLGTFDTAVEAAKAYDRAALQMRGCKAIWQRCK >DRNTG_33354.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26677423:26681035:1 gene:DRNTG_33354 transcript:DRNTG_33354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYRGVRKRPWGKYAAEIRDPNRKGSHVWLGTFDTAVEAAKAYDRAALQMRGCKAIWQRCK >DRNTG_30502.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:740833:741831:-1 gene:DRNTG_30502 transcript:DRNTG_30502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDRLLSPAGQQNVLLMHCERGGWPVLAFVLAGLLLYRKQYNGEQKILEMVYKQAPEELLHLLSPVDPQPSHLRYLQYISEFGNGLEWPAQGLLTLECLILRVLPDFDGGGCRPVVRVYGQDPEASDFESSKVLFSTPKTKEHVRLYSQAENKLIEINVQCCVQGDVILECIHMDEALEHEEVMFRVMFNTAFVKSKALLLNHDEIDVAWNAKDMFREDFKVEV >DRNTG_00637.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000047.1:37696:38157:1 gene:DRNTG_00637 transcript:DRNTG_00637.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASVNFGQYPYAGYLPNRPTVSHRLMPEAGSPEYKMLTTDLDKVFLKTITRTQRLNNDEKALAVFRRFGTRLEDIEKEIVGRNEDPNLKNRNGHVKMPYSLFYPTSDPGLTAKGVPNSVSI >DRNTG_00237.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26028197:26031509:-1 gene:DRNTG_00237 transcript:DRNTG_00237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKLSTVMFLLLCGMVLFGEMKGSMGQVCTDICYDVAYMTCESSGNQQLPPQYCNCCFAPMGCTLYLSNGNQIYC >DRNTG_21942.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2129564:2135474:1 gene:DRNTG_21942 transcript:DRNTG_21942.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEVVTPWLLVMILVFHPLARVLANMEGDALHQLKTSLTDPNNVLQSWDATLVNPCTWFHVTCNSDNSVIRVDLGNAALSGTLVPQLGQLKNLQYLELYSNNITGTIPIELGNLTNLVSLDLYLNNFTSVIPDTLGNLSKLRFLRLNNNTLTGQIPKSLTNITTLQVLDLSNNKLSGEVPSSGSFSLFTPISFANNPQLCGPGTNKPCPGAPPFSPPPPFGPPTPQSSPGSSASSTGAIAGGVAAGAALLFAAPAIGFAWWRRRKTQEYFFDVPAEEDPEVHLGQLKRFSLRELQVATDTFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPFMANGSVASCLRERAPSQPPLDWPTRRRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKDRRLEPLVDPDLQNNYIEVEVESLIQVALLCTQGSPMERPKMSEVVRMLEGDGLAERWEEWQKVEVRHEEEMAPHLTSQWMIDSTYNLPAVELSGPR >DRNTG_21942.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2129564:2135474:1 gene:DRNTG_21942 transcript:DRNTG_21942.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEVVTPWLLVMILVFHPLARVLANMEGDALHQLKTSLTDPNNVLQSWDATLVNPCTWFHVTCNSDNSVIRVDLGNAALSGTLVPQLGQLKNLQYLELYSNNITGTIPIELGNLTNLVSLDLYLNNFTSVIPDTLGNLSKLRFLRLNNNTLTGQIPKSLTNITTLQVLDLSNNKLSGEVPSSGSFSLFTPISFANNPQLCGPGTNKPCPGAPPFSPPPPFGPPTPQSSPGSSASSTGAIAGGVAAGAALLFAAPAIGFAWWRRRKTQEYFFDVPAEEDPEVHLGQLKRFSLRELQVATDTFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPFMANGSVASCLRERAPSQPPLDWPTRRRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKDRRLEPLVDPDLQNNYIEVEVESLIQVALLCTQGSPMERPKMSEVVRMLEGDGLAERWEEWQKVEVRHEEEMAPHLTSQWMIDSTYNLPAVELSGPR >DRNTG_23109.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9883861:9887074:-1 gene:DRNTG_23109 transcript:DRNTG_23109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRELGPIVYHLDSLIIDHEVKRAIHELVEGHYKRSWTGWRRVAKDVRQRIFIAFRGIYTWKAQHKSSVLRHWESEEFKRISEKNNQNRAKIGNSSTVIYCGGYVEELGRELTSKECFIRMHKKKDGTLEVGCTTEIVIRLHSEYQNLGSHKVDKNSINQDELWDEIAIESHNRVVGKGNIVWQMSSINYKPFLESSKSTEQLRDRVKELEAELDRSRDEAADRL >DRNTG_26127.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:4103008:4107122:1 gene:DRNTG_26127 transcript:DRNTG_26127.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVEGVSATFSEGHRRLSGESTSVEFTDRSHAKLEDTLVSIRRSLEFLGPRAEEPLGSSTLANAMQNHPSTDEVHTKAKELNNIFNVSWKMRNKWWFDMPEPRPEKKQKVAIINKNLLSKAKEEQALQTHRFTVERKTFKACKEVVIVVVSSMVLQKKNMMTSLWIFIHAKPIRQLFSLAIVRKA >DRNTG_29820.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23252056:23252891:-1 gene:DRNTG_29820 transcript:DRNTG_29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWVMSELMKNPEVMKKVQLELREVLQGKTKVNEEDLSGLKYLKLVIKETLRMHPPLTLLLPRECREAFEIMGYHIPVGTRVLVNAWALARDPEYWDEPLVFKPERFEGSGINYNGHCFEYIPFGAGRRMCPGMAFGLATIEFALAQLLYHFDWKLPNGLQPQDLDMCESFGVTARRTSSLLLQPIPRIPCSSI >DRNTG_14419.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:17919708:17925909:-1 gene:DRNTG_14419 transcript:DRNTG_14419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNGKEARRSFKAKEVLSINPLDEYLGELNNEEQEETHYYPQIHNLKQPKERVSCTNAKEKEKKESFVKKMWREIHGRKRKGTKLHHPSSRGGKGIWRSSEMNTEQTRASVPSQKGPRQPPIQDCLRQLDLSTERGQMAQTAPRIPNTKRLASKRPRTTGPTSTPDEPIFKLSPHRERYDKLKTKPFGTFYYLDWGLVKNLGKVNQVLSTFEVRQDGHSVCDRKCTTIRFQAFGEKRTMHHLDFARPQKASRMIYLAHKFIYALIARSIWGRTDSKGVVTQADIYTMYGILERRPTHLGHLITDAFLHQGSYTRLGAIFIGPYEQHGIHGQLHQIIEGQRRLEADFHCFITSYYGSSSQPMTAPSVAMPPPPAPDCDD >DRNTG_21614.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1245667:1246857:1 gene:DRNTG_21614 transcript:DRNTG_21614.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFFGLYKLGNRCLLLASSAKNVNYAQASFCQWNRLHAFVLHGNVRCCTTSSSVRFSWEGSSSFASLMSKLEATLKDDSVDEAWEAFSNYRRLHGFPQKNLLNRMIMLMSYSSNPYWLRKAYEVVLVILEEKPCLLHHDPLTKLAFTLVRSQMPVRAATIIRIMLENRMYPSVEDVWNTLFFHLVKTEVGSYLASYVLIEMCEHVSHHNAKDRRGRQKKLSLPNSTTFNLVLNSCMQHGSVLKAQQLIELMPQMGVVADANSIIIIARFCEMTGQRDELVKLKKHVDGVSSLTLSCHYQQFYDSLLSLHFKYNDLDAAAELMFEMYKRLDLLPSSSGKGKGSHLQRQSLIQFGSSNLRMGFHIMVEP >DRNTG_09353.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:24671617:24673115:1 gene:DRNTG_09353 transcript:DRNTG_09353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLFLIFFCSHISSFLATTTEHDESQTRLIFEGWLNTHSKSYNSSSEKEERYKIFKENLRFINEHNAGNHSFRVGLNVFADLTNEEYRRTYLGFQLPDAEVMKRLKESNRYRFNGTEMLPRSIDWRDKNAVVPVKNQGNCNSCWAFSVVAATEGIYKIVKGKLISLSEQELVDCYNMGCQRGYTHLAFEFIIQIGGIDSENDYPYKGRYSFCDTSK >DRNTG_19378.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22271925:22273699:-1 gene:DRNTG_19378 transcript:DRNTG_19378.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKKKGFYNFYWLLQWFGVQGVKVMKVLVFNGNCWFS >DRNTG_19378.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22271925:22281622:-1 gene:DRNTG_19378 transcript:DRNTG_19378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKKKGFYNFYWLLQWFGVQGVKVMKVLVFNGNCWFS >DRNTG_05698.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1730863:1734824:1 gene:DRNTG_05698 transcript:DRNTG_05698.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKPPMPACWPLREGQQQQQQQQQLREANSQNHHQQQFSASFSQSQLQGATGGAGNLVPVKFEQQMGSGDQNVQQQQPLQSLQRGIGSAKLEQQYLDPSLFLQQQQQQHQHQQLPNQSQQQWMLQMQQLRQQQQQQQQELLRSKIPQQRVHLQQQLLQQSLVSRCAQRLTRYMFHQKNRPEDNNIGFWRKFVAEYFAPNAKRRWCVSRYGSGRQTTAVFPQDLWHCDLCNCKPGRGFEIIVEVLPRLYQIKYASGTLEELLYVDVPREHYNASGQLVLDYAKAVQESVFQRMRIVREGHLRVVFNPDLKIASWEFCARRHEELIPRRMMIPQLGQLGAAVQKYHSALVNTPSFLPAQDLHNTCDSFVISAQQLAKAVEVPQLNELGYTKRYVRCLQISEVVNCMNDLIDYSKMTGSGPIASLINFPRRTSGMSTVHSSQARQQLEQQQVAQNPTSFAPPTTLRQNSMNSRQDNQMKSVSLASSVPPSQPNTLPPFTSPALSTSSNTTAIPVSNRGAPLRQPTDTEHDESPSSVERILRELTAGNRQQQDNSNRVLGRIGSVKNLNNNNLQYDWRTS >DRNTG_05698.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1730863:1734824:1 gene:DRNTG_05698 transcript:DRNTG_05698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPGPSPSGAPAAMTPSLLRTNSGVLGGGSSIQSQPSFSSLVSPKTQFNSINNNSNDSMTFLGNMSLLNHSFGNGGQTPNASLLASQRGSLGVGRAIDMVGSAEPDPLSFQSSSGAAQGQQQQQQQQQLREANSQNHHQQQFSASFSQSQLQGATGGAGNLVPVKFEQQMGSGDQNVQQQQPLQSLQRGIGSAKLEQQYLDPSLFLQQQQQQHQHQQLPNQSQQQWMLQMQQLRQQQQQQQQELLRSKIPQQRVHLQQQLLQQSLVSRCAQRLTRYMFHQKNRPEDNNIGFWRKFVAEYFAPNAKRRWCVSRYGSGRQTTAVFPQDLWHCDLCNCKPGRGFEIIVEVLPRLYQIKYASGTLEELLYVDVPREHYNASGQLVLDYAKAVQESVFQRMRIVREGHLRVVFNPDLKIASWEFCARRHEELIPRRMMIPQLGQLGAAVQKYHSALVNTPSFLPAQDLHNTCDSFVISAQQLAKAVEVPQLNELGYTKRYVRCLQISEVVNCMNDLIDYSKMTGSGPIASLINFPRRTSGMSTVHSSQARQQLEQQQVAQNPTSFAPPTTLRQNSMNSRQDNQMKSVSLASSVPPSQPNTLPPFTSPALSTSSNTTAIPVSNRGAPLRQPTDTEHDESPSSVERILRELTAGNRQQQDNSNRVLGRIGSVKNLNNNNLQYDWRTS >DRNTG_14586.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000708.1:24830:32793:-1 gene:DRNTG_14586 transcript:DRNTG_14586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWSCLPRFQYYPVYRPYGGCMHPGRTRDFFWAYFERSLERLCTTLGKRRRARKLRDHSRPRSKTLKARSSSSECNMSNPNIKLEGDSSISYDDGSWHTVFETFMESGTPSVGGESKSPIEQFVLTTEDRQSATMNPTLEDRAKMGQVLAKVQESGLEHQYGQHEGLGYDAECFELLVHGTNNSSDESAGAQKRHRGHDDTIWAKKRRKPCRAPGKSGIESWHMASMLPGIELGMITYGYCYDSFYTFLPTPNGLNEVLIEQQWYCNTDTVDAL >DRNTG_26053.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17768578:17770328:-1 gene:DRNTG_26053 transcript:DRNTG_26053.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRISIRVPRIPTIAFFSTLQAPSLTSYLTDVWGLSPAAAIAASSKLSFTSDWSKVSTKSKPDSVLAFFRSHGFTPSQITKLISMYPRFLLSDPARTLQPKMDFYLRSGFSPSTITKLISADIDLLRASLKKRIIPSFDFLKTILHTDEDVVAAVKRSTWLFHVNLEKKMAPNIDTLHRIGVPAANIAKLAKIYPMVLMQSSGRFGESLERVLRMGHCPGNAMFIRAFHSVSAISVATLKRKLEVYKSFGLPEDKIVSRVNQKPMIVNLSEDNIRKSFGFFMEKLKWAPEFVFSSPVLLTLSLEKRVAPRVSVYEILVSKNLWGEKPIVPRFFFISDEKFSKRYLLSFQNECPEVLETYKAMRRE >DRNTG_26053.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17768578:17781239:-1 gene:DRNTG_26053 transcript:DRNTG_26053.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRISIRVPRIPPFAFFSTLQSPSLTSYLTDVWGLSPAAAIAASSKLSFTSDWSKVSTKSKPDSVLAFFRSHGFTPSQITKLISMYPRFLLSDPARTLQPKMDFYLRSGFSPSTITKLISADIDLLRASLKKRIIPSFDFLKTILHTDEDVVAAVKRSTWLFHVNLEKKMAPNIDTLHRIGVPAANIAKLAKIYPMVLMQSSGRFGESLERVLRMGHCPGNAMFIRAFHSVSAISVATLKRKLEVYKSFGLPEDKIVSRVNQKPMIVNLSEDNIRKSFGFFMEKLKWAPEFVFSSPVLLTLSLEKRVAPRVSVYEILVSKNLWGEKPIVPRFFFISDEKFSKRYLLSFQNECPEVLETYKAMRRE >DRNTG_26053.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17768578:17781239:-1 gene:DRNTG_26053 transcript:DRNTG_26053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRISIRVPRIPPFAFFSTLQSPSLTSYLTDVWGLSPAAAIAASSKLSSISDWNRVSTKSKPDSVLAFFRSHGFTPSQITKVISRYPRFLLSDPARTLQPKMDFYLRSGFSPSTITKLICSNVDLLRSSLKKRIIPSFEFLKTILHTDEHVVAAVKRSTWVFHVNLGKKMAPNIDTLHRIGVPTANIAKLAKTHPSVLIQSTGRFGESLERVLRMGHCPGDAMFIIALHSVSAISVATLKRKLEVYKSFGLPEDKIVSRVNQKPMIVNLSEDNIRKSFGFFMEKLKWAPDFVFSSPVLLTLSLEKRVAPRVSVYEILVSKNLWGEKPIVPRFFFISDEKFSKRYLLSFQNECPEVLETYKAMRRE >DRNTG_15137.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1760537:1761850:1 gene:DRNTG_15137 transcript:DRNTG_15137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNKIKKKQKQDGKGGLKCPRCNSSNTKFCYYNNYSLSQPRHFCKSCKRYWTLGGSLRNVPVGGSSRKNKRLKPRHHLSSPPPPPPPPPLAPLPNPLLFTTDMMITTSLDNNINDLSLPSFPSSSIDEQLSNLGLKHFSTNTADHFELLLFI >DRNTG_25347.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24104773:24105281:-1 gene:DRNTG_25347 transcript:DRNTG_25347.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor 5 [Source:Projected from Arabidopsis thaliana (AT5G47550) UniProtKB/Swiss-Prot;Acc:Q41916] MGTGITGGWSPIKDVKDPHIQELGKYAVTEHNKEAKASLEFQQVVSGESQVVAGMNYKLIISAKDSSQLSNYQAVVWEKSWLNFRQLTSFEPVK >DRNTG_20590.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3217545:3218039:-1 gene:DRNTG_20590 transcript:DRNTG_20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPFFNTESHKIAVVMKGRGTIEFVRQRREGEQQESEKGREQEQEEQEQEQSEPQYERISTQVNEGDVYAVPPGIPIVQIASSSQNLEVLCFETRAERNQRIFLAGPNNPWRRMEDAVKELTFGRRAREVDEKLSLQKETVIMAGPEEREEYPLSNIMNFFGF >DRNTG_00551.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30187577:30189094:1 gene:DRNTG_00551 transcript:DRNTG_00551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSPPLTLLVLILSLYFCISMSQRTERFGSARRILASIAEGEEENQQPLPKPKKKPVFDSKSPKADVFGSKKSSNPSDDEDHLVPKPKKKLISNTNTEKADTFDTSQSSTSSKEQEPKPKKKLTSSSNSPKADTFGTKKSLASGKEEDEEEEQLLLPKRKKKLISSSNTQNTETSSTDQEEAETQALPKPKKKLTFESKNQTKPLIKPKKTNSTIINNSIKKLNKTQNSTPKPSNSTKTQPKSTPNPTKKPQSKQPKLDQSLSWLEDETDGQDFMTEFRDLPSRLIPDLEKISTTSQAYLRKYNKEIEHNIRPFVGPKYAPTIASISSFLFLILPLLLATVLFRHLKGYLSIQRVLIFIQAYLAIYFATLSLTATVTGLEPLRFFYASAPETYAWTQAVQTLGYVLYLIVQLINLVVVFSSPANEFTAGARALGLAQMLVGLAVGLHYYVSVFHRAVTGEPPRANWKVHGIYALCFLVICAFARAERRKKAYLQDGGDNGKKS >DRNTG_00430.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21924992:21925909:1 gene:DRNTG_00430 transcript:DRNTG_00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAQEEEIVMNLHAMLGNKWSQIAMHLPGRTDNEIKNYWNSYLKKRVAKTEPSKANNSASRSQDSMSQSSKTRQLSTEFNSKHIEVVELSSVDSGQSLIHSNAPNPLAKVLFADWLSVDHVNGQSSANLINGPLSCNWDFNSNTEESMKPELQSNAEFLHGFGESNILGDFQSQFEVSGQSSAN >DRNTG_12328.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4212481:4213420:-1 gene:DRNTG_12328 transcript:DRNTG_12328.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRKQEFLKKLASKMISSGMFTCNVLAVLLIFVLNSNTCNAARIPKSGMPTSTSVEFIRNSCIKTEYPTLCFSSLSTYAPTIQTSPKQLAEAALSVSLDNSRITSTMIRSMSKGQGMSFREKEAMSDCMETLQDSVEELKQSLQAMGELTGKNVKLHMNDIQTWVSAALTDENTCMNGFTNNEIKDEGTENKVRSQVVKVAQLTSNALALINGMAGAQSSAP >DRNTG_12328.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4212481:4218726:-1 gene:DRNTG_12328 transcript:DRNTG_12328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRKQEFLKKMASKMISSGMFTCNVLAVLLLFVFNSNTCNAARLPKSGMSTSTSVEFIRNSCTKTDYPTLCFSSLSAYAPTIQTSPKQLAEAALSVSLDNTRSTSAMIRSMSKGRGTSSREKEAMSDCMETLEDSVEELKQSLQAMGELRGKDVKLHMNDIQTWVSAALTDENTCMNGFTNNEIKDEGTENKVRSQVVKVAQLTSNALALINGMAGAQSSAP >DRNTG_31051.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30618569:30623429:-1 gene:DRNTG_31051 transcript:DRNTG_31051.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene response sensor 1 [Source:Projected from Arabidopsis thaliana (AT2G40940) UniProtKB/Swiss-Prot;Acc:Q38846] MEGCDCIEPPFPADELLIKYQYISDFFIALAYFSIPLELIYFVKKSSFFPYRWVLIQFGAFIVLCGATHLINLWTFNSHTRTLALVMTVAKVSTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAEELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILRTTLVELGRTLDLAECALWMPSRTGMNLQLSHTLHNLIPVGSTVPINLSIVNKVFSSNQAIKIPYTCPLARIRPHTGRYVPPEVVAVRVPLLHLSNFQINDWPELSARSYAVMVLILPSDSARKWHVYELELVEVVADQVAVALSHAAILEESMRARDQLMEQNVALDLARREAEMAIRARNDFLAVMNHEMRTPMHAIIALSSLLLETELTPEQRLMVETILKSSNLLSTLINDVLDLSKLEDGSLELEIGPFNLHTVFREVINLIKPIAAVKKLSVSLTLAPDLPFYAVGDEKRLMQTLLNVAGNAVKFTKEGYISITAAVPKPDSFRDPRAPEFYPVAPDGHFYLRVQVRDTGCGISPQDLPHIFTKFVHTQNGASKGSSGSGLGLAICKRFISLMDGQIWLESEGLGKGCTATFIIKLGIYEDPNGYSQQLVPINKPSHGDPDISGPRAPFKDERTLMPPKAVRYQRSV >DRNTG_31051.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30618569:30623429:-1 gene:DRNTG_31051 transcript:DRNTG_31051.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene response sensor 1 [Source:Projected from Arabidopsis thaliana (AT2G40940) UniProtKB/Swiss-Prot;Acc:Q38846] MEGCDCIEPPFPADELLIKYQYISDFFIALAYFSIPLELIYFVKKSSFFPYRWVLIQFGAFIVLCGATHLINLWTFNSHTRTLALVMTVAKVSTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAEELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILRTTLVELGRTLDLAECALWMPSRTGMNLQLSHTLHNLIPVGSTVPINLSIVNKVFSSNQAIKIPYTCPLARIRPHTGRYVPPEVVAVRVPLLHLSNFQINDWPELSARSYAVMVLILPSDSARKWHVYELELVEVVADQVAVALSHAAILEESMRARDQLMEQNVALDLARREAEMAIRARNDFLAVMNHEMRTPMHAIIALSSLLLETELTPEQRLMVETILKSSNLLSTLINDVLDLSKLEDGSLELEIGPFNLHTVFREVINLIKPIAAVKKLSVSLTLAPDLPFYAVGDEKRLMQTLLNVAGNAVKFTKEGYISITAAVPKPDSFRDPRAPEFYPVAPDGHFYLRVQVRDTGCGISPQDLPHIFTKFVHTQNGASKGSSGSGLGLAICKRFISLMDGQIWLESEGLGKGCTATFIIKLGIYEDPNGYSQQLVPINKPSHGDPDISGPRAPFKDERTLMPPKAVRYQRSV >DRNTG_31051.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30618569:30623429:-1 gene:DRNTG_31051 transcript:DRNTG_31051.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene response sensor 1 [Source:Projected from Arabidopsis thaliana (AT2G40940) UniProtKB/Swiss-Prot;Acc:Q38846] MEGCDCIEPPFPADELLIKYQYISDFFIALAYFSIPLELIYFVKKSSFFPYRWVLIQFGAFIVLCGATHLINLWTFNSHTRTLALVMTVAKVSTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAEELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILRTTLVELGRTLDLAECALWMPSRTGMNLQLSHTLHNLIPVGSTVPINLSIVNKVFSSNQAIKIPYTCPLARIRPHTGRYVPPEVVAVRVPLLHLSNFQINDWPELSARSYAVMVLILPSDSARKWHVYELELVEVVADQVAVALSHAAILEESMRARDQLMEQNVALDLARREAEMAIRARNDFLAVMNHEMRTPMHAIIALSSLLLETELTPEQRLMVETILKSSNLLSTLINDVLDLSKLEDGSLELEIGPFNLHTVFREVINLIKPIAAVKKLSVSLTLAPDLPFYAVGDEKRLMQTLLNVAGNAVKFTKEGYISITAAVPKPDSFRDPRAPEFYPVAPDGHFYLRVQVRDTGCGISPQDLPHIFTKFVHTQNGASKGSSGSGLGLAICKRFISLMDGQIWLESEGLGKGCTATFIIKLGIYEDPNGYSQQLVPINKPSHGDPDISGPRAPFKDERTLMPPKAVRYQRSV >DRNTG_03447.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10040036:10041405:-1 gene:DRNTG_03447 transcript:DRNTG_03447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKAAIDLGIANILHEHGKNGSLA >DRNTG_03447.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10040036:10040726:-1 gene:DRNTG_03447 transcript:DRNTG_03447.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKAAIDLGIANILHEHGKNGSLA >DRNTG_10835.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4985155:4985587:1 gene:DRNTG_10835 transcript:DRNTG_10835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRTVREMRPRARRALIRAQKKEEQKATPPDKKKANGDEIVCVTHSSSA >DRNTG_00654.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16479192:16483489:-1 gene:DRNTG_00654 transcript:DRNTG_00654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPYSLPAAVSLPLTARLPCSRSHDFGVPLIPVVAPLSMPLSPPPLPYSFSCNFSKPSTMLDPEEEVYKLYVQYAWHEGFDITKKKHNIRSMVAYEAFGDVISFDTIYLTNKYDMTFSPFVSVNHHGQTLFEFKGIICRHICRVLIEKNVEDIPSRYILPRWRKDIKRMHTYVLNCYDNSQTKSNGKYIFLLKYADDAIEKLMGNTTCKEKFTPMLLKMENMVFFVAELAMVHYSMVLICPFMLVASAVYAASRLPFACVLM >DRNTG_15824.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24967685:24968883:-1 gene:DRNTG_15824 transcript:DRNTG_15824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIGNLTNTSRAPNKLLEILNVRVVGSGDKFLVLSHGFGTDQSAWQRILPYFTRDYKVILYDLVCAGSVNPDHFDFRRYTTLDAYVDDLVSILGALHIDRCAFIGHSVSAMIGILASIRRPDLFSKLILLGASPRLKVKATEDLRKGRSRGCSRRWRRTTRRG >DRNTG_06088.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000278.1:17274:19756:1 gene:DRNTG_06088 transcript:DRNTG_06088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKAFTIRTRKFMTNRLLSRKQFVIDVLHPGRANVSKAELKDKLAKMYEVKDENSIFVFKFRTHFGGGKSTGYGLIYDSVENAKKYEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAAKAGKKK >DRNTG_33378.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2074913:2076087:-1 gene:DRNTG_33378 transcript:DRNTG_33378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLSYLLQLLPLLLAFQCTHELITAPVTIAEHPANCIESERMALLDFKKHIKDPNNKLCSWVGQDCCSWEGIHCDNQTGTVDRLELGWQISDQQYFNLGGEISGSLIHLQHLKHLDLSGNYFIGELIPSFISHFKELRYLNLSHAHFGGLIPASFGNLSSLHTLDLSYNYEVIVDDPAHQWLSHLTSLQHLLVSGVTFGSNSYSNLFVALNKLPSIKEILLSQCELQSIALSTSLLNFSSLSILDLSYNYIDFSVSSFLFNLKSLQFLDLSNNYFDNKSMIINGFLISLHCSTLI >DRNTG_20354.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2656621:2656974:-1 gene:DRNTG_20354 transcript:DRNTG_20354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENNQIHYNLGNFRTKLSSSTSNPNGKGKEQQSTPRDKEGGGGEERDDYRRDCRQAPAESTGRRCSRRSAPTSLRTLARRGA >DRNTG_13712.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5384760:5384960:-1 gene:DRNTG_13712 transcript:DRNTG_13712.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPQSQIKLFNTPNPAPRITGKPTERFPESQGTPLSLNNTI >DRNTG_33067.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:379861:387001:1 gene:DRNTG_33067 transcript:DRNTG_33067.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin candidate 6 [Source:Projected from Arabidopsis thaliana (AT3G27530) UniProtKB/Swiss-Prot;Acc:B0F9L4] MAELQSVVAESRSAQLAFGAMGFPVLLSVLKEERDDVEMIRGALETLVSALTPIDTAHGAKNDVQPASMNSDLLSRESENIALLLSLLSEDDFYVRYYTLQLLTALLTHSPNRLQEAILSIPRGITRLMDMLMDREVIRNEALLLLTYLTREAEEIQKIVVFEGAFEKIFSIIQEEGGSDGGVVVQDCLELLKNLIRKNASNQMLLKETTGFDPIISILKLRRGSAFNFTQQKTINLLNALETIDLLLMGGLEDDPGREANRLSNQNALAQKKILDHLVMLGVESQWASVSVRCLALRCIGDLVMKNPYNHDSLANKLVGEEPHVEPALNAILRITLRTTIMQEFVAADYVFKCFCEMNTVGQAMLASTMVPQLQSSSNFNFKDEGSMPFGSLLLHALLSSEGNEDLETCCRAASVLSYILKDNAQCRERVLKIELDVPVPSLGSPEPLLHRVVKFFALAVSTRSKDNGQSRINTESESYIQPIILRLLITWLAECPNAVKCLLDSPPHLTYLLELVSSPSASIFVRGLASVILGECILYNKSEESGKDAFSVVDAISQKIGLTAYFLNFDALQKSFMSISPSSVQHLKPLSRSSAASMVDMEEIEKDEMNQRHEHDPVLAAIFDFSFVTSLKRLEVDIRNIVVDVYSHTRSKITVVPAELEQKDGESEKDYIKRLKTFIEKQCIDMQDLLGRNATLAEEMARTGRAGASDPADKPSSGRERVQSEALRQELKQAVQSIEELKSEKAKLEAEASHYRNVAGKMESDLKSLSDAYNSLEQDNYRLESEVKALRKGGDATYPDVEVIKAEAREEAQKESETELNDLLVCLGQEQSKVEKLSSRLTELGEDVDSLLEGIGDETGLPDDDDDDEDDK >DRNTG_18020.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24516964:24520566:1 gene:DRNTG_18020 transcript:DRNTG_18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G44620) UniProtKB/Swiss-Prot;Acc:P53665] MAASLRPAILRHIRLPVRSQGQPVLPSWLSSIRAMSGHGDDHLTREEVVDRVLDVVKSFPKVNPSKVTAEVHFQKDLGLDSLDVVEIVMALEEEFKLEIPDKEADKIDSCPLAIEYIANHPMAG >DRNTG_18020.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24516964:24517561:1 gene:DRNTG_18020 transcript:DRNTG_18020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G44620) UniProtKB/Swiss-Prot;Acc:P53665] MAASLRPAILRHIRLPVRSQGQPVLPSWLSSIRAMSGHGDDHLTREEVVDRVLDVVKSFPKVNPSKVTAEVHFQKDLGLDSLDVVEIVMALEEEFKLEIPDKEADKIDSCPLAIEYIANHPMAG >DRNTG_16606.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31293942:31310996:-1 gene:DRNTG_16606 transcript:DRNTG_16606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWELINEGRCKSDLSGRTMQAWIEEMAGYVKAIDSEHLLEVGLEGFFGGLDQDQEAIQYDSFASSRNVGSDFISNNQIHGIDFATIHLYPNLWIPHADDATQLSFLRDWIHSHSNAADEILRKPLLVTEFGKTSRFAGCNGVDKVAFYRTAYAVIYKLIRARSACAGGLFWQLLLPGMENLSDGYEIILSDCPSIANIISRHSRLISSLNGPSLRGLTSTYQ >DRNTG_16606.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31294666:31297111:-1 gene:DRNTG_16606 transcript:DRNTG_16606.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANKQLVCALLWVLALIGSIIEHGEAASDFVKADGTSFAVNGESFYPNGFNAYWLMSKGSKPSEMDKVSRALCQAPSYGMTVVRTWAFKDGGYRPLQSSPGVYNEDMFKTILLRNNTKTGVVYKDDPSIMAWELINEGRCKSDLSGRTMQAWIEEMAGYVKAIDSEHLLEVGLEGFFGGLDQDQEAIQYDSFASSRNVGSDFISNNQIHGIDFATIHLYPNLWYT >DRNTG_16606.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31293942:31297111:-1 gene:DRNTG_16606 transcript:DRNTG_16606.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKQLVCALLWVLALIGSIIEHGEAASDFVKADGTSFAVNGESFYPNGFNAYWLMSKGSKPSEMDKVSRALCQAPSYGMTVVRTWAFKDGGYRPLQSSPGVYNEDMFKTILLRNNTKTGVVYKDDPSIMAWELINEGRCKSDLSGRTMQAWIEEMAGYVKAIDSEHLLEVGLEGFFGGLDQDQEAIQYDSFASSRNVGSDFISNNQIHGIDFATIHLYPNLWIPHADDATQLSFF >DRNTG_16606.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31309658:31312142:-1 gene:DRNTG_16606 transcript:DRNTG_16606.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKQIVCALLWVSALVGPQPIIEHAYGYGGEAAASDFVKADGTSFTVNGQLFYPNGFNAYWLMSKASKPSEMDKVSRALRQASSYGMTVIRTWAFKDGGYRPLQSSPGVYNEDMFKTILLRNNTKTGVVYKDDPSIMAWELINEGRCKSDLSGRTMQAWIEEMAGYVKAIDSEHLLEVGLEGFFGGLDQDQEAIQYDSFASSRNVGSDFISNNQIHGIDFATIHLYPNLWIPHADDATQLSFLRDWIHSHSKAADEILRKPLLVTEFGKTSRFAGCNGVDKVAFYRTAYAVIYKLIRARSACAGGLFWQLLLPGMENLSDGYEIILSDCPSIANIISRHSRLISNLHSRHSLWGLTSTYR >DRNTG_13352.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4381299:4381919:-1 gene:DRNTG_13352 transcript:DRNTG_13352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSWSSRSLILSSLFSISGLKAHSIVVRPREPNAITSHALKIRRPPQRSSRDPGEVGHCPLFSRRI >DRNTG_06404.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7511815:7514823:1 gene:DRNTG_06404 transcript:DRNTG_06404.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNPERFPILSFVMARLHLNKPSIGDLPEAPSHDIEQPPPAAEELELVQRMPHLNHPDLISAMSSAISDVMHTRSILQTLGERPDHEAVDASRVRIAEIEAALSAQLEEIVRSPRPEDVDRLKWRAEEAEKEKECRAMAERERIAYKAVIQLDEMHDAYEKLLKDAEDRLVKMYGSAADTEKGSVKEDQQVNEEVIGILHEGSVKCLERVDLSSRQLRFLPEAFGRLRGLVSLDVSKNQLEVIPDAIAGLEQLEELRLSNNLLVSLPDTIGLLSNLKILDVSGNQLKALPDSISKCRSLVELDASYNEMTYLPTNIGYELVKLQKLWVHLNKLRSLPSSICEMQSLQVLDAHFNELRGLPYAIGRLWNLEILNVASNFADLQELPASIGDLLNLKELDVSNNQIHVLPDTFGRLDKLEKLNIDQNPLVIPPMDVVNMGVESVKDYMSKRWLEILLEEERKSMLEENPPQDGWLTRSASWLNNWVSGVSSSVSGYLGAGEKSYRDPRLDESL >DRNTG_15007.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23892619:23895729:-1 gene:DRNTG_15007 transcript:DRNTG_15007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMHDHNQRISYSISQGEGRENEVLGQMTMI >DRNTG_34986.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002222.1:53173:55859:1 gene:DRNTG_34986 transcript:DRNTG_34986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGETGTNNQFSNYSFMASSSPCNPYNLSSQQQEPGLDWEGMLFSNPYGLVDPNVQQGQQQQQQQQQQQQQQQQYVDSLDHINGGLRNDQEGHNNGGGKEKKSGGRVKKASRPRFAFQTRTDNDILDDGYRWRKYGQKAVKNSSYPRSYYRCTHHTCDVKKQVQRLSKDTSIVVTTYEGVHNHPCEKLMEALTPILKQIQFLSRF >DRNTG_12895.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000584.1:44454:47307:1 gene:DRNTG_12895 transcript:DRNTG_12895.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGKTTLLKKINQELSDNANMGFDHVLFIQASQNAQLKELREEIAKKLHLPSSAGQQDIFNALKTKNIVLLLDNIWEPVDLVGLGIINPYRDDDDITKPYKYKVIFTTRSEYVCAQMRASKIIKVECLEPDEAWALSKHNANLAVIESDERINEIAKQVMNRTGGLPLALQTLGKAMSKRKTVQDWEFILDSIKNSGTEVLQGVQGSFLPILKLSDDNPPTNIQECFLLASIWGWLSKDDLLECWIGLGLIGNFDNLQQAYGKARYIFNILEEAGLLYSSDNGCVSSHDVIYEMALWIASNLGMNMNKWIVEEYDGLAEIPTENSENLRMADRVIISGIKLVPILSLQCDDLLCLMILNSSYLKNIPRGFFGQMPNLIYLDLSKSATEKLPEDIKCLVNLQYLNISSTYISSLPKELLYLSKLQYLMCRYLRWLRKVKDGLLSRLHKLTVIDLYPFGWVGPEELKKLKKHMNIKAIGMRVVSQEVLRELSCLPTTQLHIELENLSSLSFDTLSCKDHGFLNELTIESCPQLEELMMNGSQTHLNYLTINDNEKLQKISWTDISPPEHFHALKALFISECNLASFAWVLHLPSLALLNVQHCAEVKELFYVEKREIHQVSERPMFPALQYLFLVYLPKLVNISNFAVEFPQLSYLS >DRNTG_32015.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18449284:18464774:-1 gene:DRNTG_32015 transcript:DRNTG_32015.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxia telangiectasia-mutated and RAD3-related [Source:Projected from Arabidopsis thaliana (AT5G40820) TAIR;Acc:AT5G40820] MCSAYLRIAKICPTHIWRPGIILSLLCSPTPCLPLINCIRMVIDVCCGCLADKDVDVGRNMLESTKKLVLPKAGQKRSVESNDDYHRKRQKIEENKLLQRSNILFGNGTAGLLSCKLDEEYALELQKSLLKFVGLLKPECCRTVSLKPATALRALSMLCLAYYDYPEMGLSVNIFQQVLSWIPWICKQAKEEDFLEFDISIYLEAVHSVLLLQGFLLTRMKLFQDDDYLNDTTSFNCEIVKHADLVELLKLAWKNPCFVSQDHTLWKLKCLCIQVLSKISMKKSIDSILEVFDLAILDEAEVVKAEALISMPVIVLYSGHLPLASMWNRLKSMGSNRGDQVCKSVLLSLGYLSCLHHSIEPSYAVDGSCKLFFGDSYEIHINTLDILLRGFWCPQCDNSVVHNQEQWRSVSMPHLPTGSPNVDFDFIYLHHIFFQLISVELSEELQTVGVQVMSRILRHSARDVLLKTRLQWIEYIDFCLLHKTRAMREAFSSEISCFLQNHILDCLFMDEEGTCNTKEHGIFDRIKHALAGAEDPQVFETLLESMAEVMSVIGSHNQLFVGGLILFVDQLDNPNLIVRITASRLIKKSCFVNRKGGFELIFSKSFHIRDVLFDHLCLRLVSRPAMVKEFAEAVVGVRVEELIGKMVPFVIPKLVVSQKHNHQAVIILHELANYLNTDLVPLVVNWLPKVLAFALFHADGQELSSVLHFYQVETGSDNREIFSAALPQLLDELLCFTGEGDMDEIDKRTIRVPKMIQDVATILTGSDDLPGFLKNHFVGLLNSIDRKMLHADDLLIQKQALKRIEKLIEMMGSYLSTYVPKIMVLLMYAIDKEALQTDGLNVLHFFIKQLAKLSPSSTKHVISQVVAAFIPSLERCMEMPSLHLKKMVEVLEDLILENKLVLKQQIRELPLLPSVPEVSEVNKVIQEVRGSMTLRDQLRDAIDGLNHESLNVRYMVACELSKLLNIKREDVMVLISGESLTDLDVISSLITSLLRGCAEESRTAVGQRLKLVCADCLGALGAVDPAKFKGISSERFKIACSDDDLIFELIHKHLARAFRAASDTIVQDSAALAIQELLKLAGCQESLSGSIQEHVEIDNSEGSNESTARKRGQRLWDRFSNYVKDIIAPCLTSRFQLPSATDTTSPGPIYRPTMSFRRWIFFWIRKLTAHATGSRSGIFSACRGIVRHDMQTALYLLPYLVLNAVCHGTHEARNGINEEILTVLDAEASENSAAAVHGITGGQSEVCVQAVFTLLDNLGQWVDDLKQEIALSQSMCASGSKQTAKLKSGSNPHVDSQDLLVQCSNVSGLLSAIPKVTLAKSSFRCQAHARALMYFESHVREKSGSFNPAAENSGIFADEDISFLLEIYSGLDEPDGLSGFANLRKSSNLQDHFVINEKVGNWAEVLTSCEQALQMEPQSVQRHADVLKSLLNMCHLQAMVTHVDGLICRIPQYKKTWSMQGVQAAWRLGRWDLMEEYLSVADKEGLICSNIESNASFDLGLAKIIQAMMKKDQFLVAERIAQAKQALLAPLAAAGMDSYMRAYPFVVKLHMIRELEDFHALLGDESFLEKQFCEDLPGYSKLIKDWDSRLRFTQPSLWAREPLLAFRRLVFTASNMGAQVGNCWLQYAKLCRSAGHYETANRAVLEAQASGAPNVHIEKAKLLWSTRKCDSALSELQQLLLNMPAEVLGSAAISSLTSLSLILPNPLTFSTTQASKENHNVAKTILLYTRWIHCTGQKQKEDIINLYSRVRELQPKWEKGYFFMAKYCDDLLVDARKRQEDTLMGMRSGQGMMSSSSVSNQSLSSNTEEKPWWSYLPDVLLFYAKGLHRGHKDLFQALPRLLTLWFEFGSWYLRDGLSSNKSLKTVHTRVLSIMRGCLKDLPTYQWLTVLSQLISRICHQNEDIVRIVKHIITSVLQEYPQQALWMMAAVSKSTVAARREAAAEIIQAARKGIRRGKDNSSLFTQFASLIDHLIKLCFHPGQPKARIINILTEFSALKRMMPLGIIMPLQQALTVTLPSYDASASDPPDVNVFSDSDHVTIIGIADEAEVLSSLQRPKKVVFLGSDGAHHPFLCKPKDDLRKDARMMEFTAMINRLLSKFPESRRRKLYIRTFAVIPLTEDCGMVEWVPHTRGLRQILQDIYVADGKFDRQKTHPLVKRIYDQCSGKMPEDEMLKSKILPMFPPVFHKWFLITFSEPAAWFRARVAYAHTSAVWSMVGHIVGLGDRHGENILFDSTTGDTVHVDFSCLFDKGLQLEKPELVPFRLTQNMIDGLGITGYEGVFLKVCEITLSVLRAHKETLMSVLETFIHDPLVEWTKSHKSSGVEVQNPHAQRAISNIKARLQGVVVGVGAAPSLPLAVEGQARRLIAEAVSHKNLGKMYIWWMAWF >DRNTG_32015.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18449284:18464219:-1 gene:DRNTG_32015 transcript:DRNTG_32015.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxia telangiectasia-mutated and RAD3-related [Source:Projected from Arabidopsis thaliana (AT5G40820) TAIR;Acc:AT5G40820] MIQDVATILTGSDDLPGFLKNHFVGLLNSIDRKMLHADDLLIQKQALKRIEKLIEMMGSYLSTYVPKIMVLLMYAIDKEALQTDGLNVLHFFIKQLAKLSPSSTKHVISQVVAAFIPSLERCMEMPSLHLKKMVEVLEDLILENKLVLKQQIRELPLLPSVPEVSEVNKVIQEVRGSMTLRDQLRDAIDGLNHESLNVRYMVACELSKLLNIKREDVMVLISGESLTDLDVISSLITSLLRGCAEESRTAVGQRLKLVCADCLGALGAVDPAKFKGISSERFKIACSDDDLIFELIHKHLARAFRAASDTIVQDSAALAIQELLKLAGCQESLSGSIQEHVEIDNSEGSNESTARKRGQRLWDRFSNYVKDIIAPCLTSRFQLPSATDTTSPGPIYRPTMSFRRWIFFWIRKLTAHATGSRSGIFSACRGIVRHDMQTALYLLPYLVLNAVCHGTHEARNGINEEILTVLDAEASENSAAAVHGITGGQSEVCVQAVFTLLDNLGQWVDDLKQEIALSQSMCASGSKQTAKLKSGSNPHVDSQDLLVQCSNVSGLLSAIPKVTLAKSSFRCQAHARALMYFESHVREKSGSFNPAAENSGIFADEDISFLLEIYSGLDEPDGLSGFANLRKSSNLQDHFVINEKVGNWAEVLTSCEQALQMEPQSVQRHADVLKSLLNMCHLQAMVTHVDGLICRIPQYKKTWSMQGVQAAWRLGRWDLMEEYLSVADKEGLICSNIESNASFDLGLAKIIQAMMKKDQFLVAERIAQAKQALLAPLAAAGMDSYMRAYPFVVKLHMIRELEDFHALLGDESFLEKQFCEDLPGYSKLIKDWDSRLRFTQPSLWAREPLLAFRRLVFTASNMGAQVGNCWLQYAKLCRSAGHYETANRAVLEAQASGAPNVHIEKAKLLWSTRKCDSALSELQQLLLNMPAEVLGSAAISSLTSLSLILPNPLTFSTTQASKENHNVAKTILLYTRWIHCTGQKQKEDIINLYSRVRELQPKWEKGYFFMAKYCDDLLVDARKRQEDTLMGMRSGQGMMSSSSVSNQSLSSNTEEKPWWSYLPDVLLFYAKGLHRGHKDLFQALPRLLTLWFEFGSWYLRDGLSSNKSLKTVHTRVLSIMRGCLKDLPTYQWLTVLSQLISRICHQNEDIVRIVKHIITSVLQEYPQQALWMMAAVSKSTVAARREAAAEIIQAARKGIRRGKDNSSLFTQFASLIDHLIKLCFHPGQPKARIINILTEFSALKRMMPLGIIMPLQQALTVTLPSYDASASDPPDVNVFSDSDHVTIIGIADEAEVLSSLQRPKKVVFLGSDGAHHPFLCKPKDDLRKDARMMEFTAMINRLLSKFPESRRRKLYIRTFAVIPLTEDCGMVEWVPHTRGLRQILQDIYVADGKFDRQKTHPLVKRIYDQCSGKMPEDEMLKSKILPMFPPVFHKWFLITFSEPAAWFRARVAYAHTSAVWSMVGHIVGLGDRHGENILFDSTTGDTVHVDFSCLFDKGLQLEKPELVPFRLTQNMIDGLGITGYEGVFLKVCEITLSVLRAHKETLMSVLETFIHDPLVEWTKSHKSSGVEVQNPHAQRAISNIKARLQGVVVGVGAAPSLPLAVEGQARRLIAEAVSHKNLGKMYIWWMAWF >DRNTG_32015.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18449284:18450868:-1 gene:DRNTG_32015 transcript:DRNTG_32015.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxia telangiectasia-mutated and RAD3-related [Source:Projected from Arabidopsis thaliana (AT5G40820) TAIR;Acc:AT5G40820] MMEFTAMINRLLSKFPESRRRKLYIRTFAVIPLTEDCGMVEWVPHTRGLRQILQDIYVADGKFDRQKTHPLVKRIYDQCSGKMPEDEMLKSKILPMFPPVFHKWFLITFSEPAAWFRARVAYAHTSAVWSMVGHIVGLGDRHGENILFDSTTGDTVHVDFSCLFDKGLQLEKPELVPFRLTQNMIDGLGITGYEGVFLKVCEITLSVLRAHKETLMSVLETFIHDPLVEWTKSHKSSGVEVQNPHAQRAISNIKARLQGVVVGVGAAPSLPLAVEGQARRLIAEAVSHKNLGKMYIWWMAWF >DRNTG_32015.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18449284:18464219:-1 gene:DRNTG_32015 transcript:DRNTG_32015.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxia telangiectasia-mutated and RAD3-related [Source:Projected from Arabidopsis thaliana (AT5G40820) TAIR;Acc:AT5G40820] MIQDVATILTGSDDLPGFLKNHFVGLLNSIDRKMLHADDLLIQKQALKRIEKLIEMMGSYLSTYVPKIMVLLMYAIDKEALQTDGLNVLHFFIKQLAKLSPSSTKHVISQVVAAFIPSLERCMEMPSLHLKKMVEVLEDLILENKLVLKQQIRELPLLPSVPEVSEVNKVIQEVRGSMTLRDQLRDAIDGLNHESLNVRYMVACELSKLLNIKREDVMVLISGESLTDLDVISSLITSLLRGCAEESRTAVGQRLKLVCADCLGALGAVDPAKFKGISSERFKIACSDDDLIFELIHKHLARAFRAASDTIVQDSAALAIQELLKLAGCQESLSGSIQEHVEIDNSEGSNESTARKRGQRLWDRFSNYVKDIIAPCLTSRFQLPSATDTTSPGPIYRPTMSFRRWIFFWIRKLTAHATGSRSGIFSACRGIVRHDMQTALYLLPYLVLNAVCHGTHEARNGINEEILTVLDAEASENSAAAVHGITGGQSEVCVQAVFTLLDNLGQWVDDLKQEIALSQSMCASGSKQTAKLKSGSNPHVDSQDLLVQCSNVSGLLSAIPKVTLAKSSFRCQAHARALMYFESHVREKSGSFNPAAENSGIFADEDISFLLEIYSGLDEPDGLSGFANLRKSSNLQDHFVINEKVGNWAEVLTSCEQALQMEPQSVQRHADVLKSLLNMCHLQAMVTHVDGLICRIPQYKKTWSMQGVQAAWRLGRWDLMEEYLSVADKEGLICSNIESNASFDLGLAKIIQAMMKKDQFLVAERIAQAKQALLAPLAAAGMDSYMRAYPFVVKLHMIRELEDFHALLGDESFLEKQFCEDLPGYSKLIKDWDSRLRFTQPSLWAREPLLAFRRLVFTASNMGAQVGNCWLQYAKLCRSAGHYETANRAVLEAQASGAPNVHIEKAKLLWSTRKCDSALSELQQLLLNMPAEVLGSAAISSLTSLSLILPNPLTFSTTQASKENHNVAKTILLYTRWIHCTGQKQKEDIINLYSRVRELQPKWEKGYFFMAKYCDDLLVDARKRQEDTLMGMRSGQGMMSSSSVSNQSLSSNTEEKPWWSYLPDVLLFYAKGLHRGHKDLFQALPRLLTLWFEFGSWYLRDGLSSNKSLKTVHTRVLSIMRGCLKDLPTYQWLTVLSQLISRICHQNEDIVRIVKHIITSVLQEYPQQALWMMAAVSKSTVAARREAAAEIIQAARKGIRRGKDNSSLFTQFASLIDHLIKLCFHPGQPKARIINILTEFSALKRMMPLGIIMPLQQALTVTLPSYDASASDPPDVNVFSDSDHVTIIGIADEAEVLSSLQRPKKVVFLGSDGAHHPFLCKPKDDLRKDARMMEFTAMINRLLSKFPESRRRKLYIRTFAVIPLTEDCGMVEWVPHTRGLRQILQDIYVADGKFDRQKTHPLVKRIYDQCSGKMPEDEMLKSKILPMFPPVFHKWFLITFSEPAAWFRARVAYAHTSAVWSMVGHIVGLGDRHGENILFDSTTGDTVHVDFSCLFDKGLQLEKPELVPFRLTQNMIDGLGITGYEGVFLKVCEITLSVLRAHKETLMSVLETFIHDPLVEWTKSHKSSGVEVQNPHAQRAISNIKARLQGVVVGVGAAPSLPLAVEGQARRLIAEAVSHKNLGKMYIWWMAWF >DRNTG_32015.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18449284:18464774:-1 gene:DRNTG_32015 transcript:DRNTG_32015.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxia telangiectasia-mutated and RAD3-related [Source:Projected from Arabidopsis thaliana (AT5G40820) TAIR;Acc:AT5G40820] MANLSNLIHELRERIAASSSSSSISGAGEDPLETKFRTVLPNLLLAYVLPSSTANEREVTAVLKLLSHTARNFPGVFFHGRSAAVLPAFGRILPFLAEPAFRVRHGIIFDTISSLLTLLRSGDREAYRQVFLDAMVAVEDVSCIALLYKKKSAPVPLNKVSVKCFCESFSLISDAPVLFGELLASCRPPDGPGVLVDLTSEVRWQHFAAWLVKLVCRFLTEGTLYVEGLVSTSFVYKACSFLCYGDATLHMACFDFARIVATVIDVDVVPVEEIIKSISCISEQDDKEVSIFRDTAYDSALGTCLHALLSACQDDVVENIAGEIAKIFPVSIQRTQSSELQVAMCSAYLRIAKICPTHIWRPGIILSLLCSPTPCLPLINCIRMVIDVCCGCLADKDVDVGRNMLESTKKLVLPKAGQKRSVESNDDYHRKRQKIEENKLLQRSNILFGNGTAGLLSCKLDEEYALELQKSLLKFVGLLKPECCRTVSLKPATALRALSMLCLAYYDYPEMGLSVNIFQQVLSWIPWICKQAKEEDFLEFDISIYLEAVHSVLLLQGFLLTRMKLFQDDDYLNDTTSFNCEIVKHADLVELLKLAWKNPCFVSQDHTLWKLKCLCIQVLSKISMKKSIDSILEVFDLAILDEAEVVKAEALISMPVIVLYSGHLPLASMWNRLKSMGSNRGDQVCKSVLLSLGYLSCLHHSIEPSYAVDGSCKLFFGDSYEIHINTLDILLRGFWCPQCDNSVVHNQEQWRSVSMPHLPTGSPNVDFDFIYLHHIFFQLISVELSEELQTVGVQVMSRILRHSARDVLLKTRLQWIEYIDFCLLHKTRAMREAFSSEISCFLQNHILDCLFMDEEGTCNTKEHGIFDRIKHALAGAEDPQVFETLLESMAEVMSVIGSHNQLFVGGLILFVDQLDNPNLIVRITASRLIKKSCFVNRKGGFELIFSKSFHIRDVLFDHLCLRLVSRPAMVKEFAEAVVGVRVEELIGKMVPFVIPKLVVSQKHNHQAVIILHELANYLNTDLVPLVVNWLPKVLAFALFHADGQELSSVLHFYQVETGSDNREIFSAALPQLLDELLCFTGEGDMDEIDKRTIRVPKMIQDVATILTGSDDLPGFLKNHFVGLLNSIDRKMLHADDLLIQKQALKRIEKLIEMMGSYLSTYVPKIMVLLMYAIDKEALQTDGLNVLHFFIKQLAKLSPSSTKHVISQVVAAFIPSLERCMEMPSLHLKKMVEVLEDLILENKLVLKQQIRELPLLPSVPEVSEVNKVIQEVRGSMTLRDQLRDAIDGLNHESLNVRYMVACELSKLLNIKREDVMVLISGESLTDLDVISSLITSLLRGCAEESRTAVGQRLKLVCADCLGALGAVDPAKFKGISSERFKIACSDDDLIFELIHKHLARAFRAASDTIVQDSAALAIQELLKLAGCQESLSGSIQEHVEIDNSEGSNESTARKRGQRLWDRFSNYVKDIIAPCLTSRFQLPSATDTTSPGPIYRPTMSFRRWIFFWIRKLTAHATGSRSGIFSACRGIVRHDMQTALYLLPYLVLNAVCHGTHEARNGINEEILTVLDAEASENSAAAVHGITGGQSEVCVQAVFTLLDNLGQWVDDLKQEIALSQSMCASGSKQTAKLKSGSNPHVDSQDLLVQCSNVSGLLSAIPKVTLAKSSFRCQAHARALMYFESHVREKSGSFNPAAENSGIFADEDISFLLEIYSGLDEPDGLSGFANLRKSSNLQDHFVINEKVGNWAEVLTSCEQALQMEPQSVQRHADVLKSLLNMCHLQAMVTHVDGLICRIPQYKKTWSMQGVQAAWRLGRWDLMEEYLSVADKEGLICSNIESNASFDLGLAKIIQAMMKKDQFLVAERIAQAKQALLAPLAAAGMDSYMRAYPFVVKLHMIRELEDFHALLGDESFLEKQFCEDLPGYSKLIKDWDSRLRFTQPSLWAREPLLAFRRLVFTASNMGAQVGNCWLQYAKLCRSAGHYETANRAVLEAQASGAPNVHIEKAKLLWSTRKCDSALSELQQLLLNMPAEVLGSAAISSLTSLSLILPNPLTFSTTQASKENHNVAKTILLYTRWIHCTGQKQKEDIINLYSRVRELQPKWEKGYFFMAKYCDDLLVDARKRQEDTLMGMRSGQGMMSSSSVSNQSLSSNTEEKPWWSYLPDVLLFYAKGLHRGHKDLFQALPRLLTLWFEFGSWYLRDGLSSNKSLKTVHTRVLSIMRGCLKDLPTYQWLTVLSQLISRICHQNEDIVRIVKHIITSVLQEYPQQALWMMAAVSKSTVAARREAAAEIIQAARKGIRRGKDNSSLFTQFASLIDHLIKLCFHPGQPKARIINILTEFSALKRMMPLGIIMPLQQALTVTLPSYDASASDPPDVNVFSDSDHVTIIGIADEAEVLSSLQRPKKVVFLGSDGAHHPFLCKPKDDLRKDARMMEFTAMINRLLSKFPESRRRKLYIRTFAVIPLTEDCGMVEWVPHTRGLRQILQDIYVADGKFDRQKTHPLVKRIYDQCSGKMPEDEMLKSKILPMFPPVFHKWFLITFSEPAAWFRARVAYAHTSAVWSMVGHIVGLGDRHGENILFDSTTGDTVHVDFSCLFDKGLQLEKPELVPFRLTQNMIDGLGITGYEGVFLKVCEITLSVLRAHKETLMSVLETFIHDPLVEWTKSHKSSGVEVQNPHAQRAISNIKARLQGVVVGVGAAPSLPLAVEGQARRLIAEAVSHKNLGKMYIWWMAWF >DRNTG_32015.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18449284:18464774:-1 gene:DRNTG_32015 transcript:DRNTG_32015.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxia telangiectasia-mutated and RAD3-related [Source:Projected from Arabidopsis thaliana (AT5G40820) TAIR;Acc:AT5G40820] MVIDVCCGCLADKDVDVGRNMLESTKKLVLPKAGQKRSVESNDDYHRKRQKIEENKLLQRSNILFGNGTAGLLSCKLDEEYALELQKSLLKFVGLLKPECCRTVSLKPATALRALSMLCLAYYDYPEMGLSVNIFQQVLSWIPWICKQAKEEDFLEFDISIYLEAVHSVLLLQGFLLTRMKLFQDDDYLNDTTSFNCEIVKHADLVELLKLAWKNPCFVSQDHTLWKLKCLCIQVLSKISMKKSIDSILEVFDLAILDEAEVVKAEALISMPVIVLYSGHLPLASMWNRLKSMGSNRGDQVCKSVLLSLGYLSCLHHSIEPSYAVDGSCKLFFGDSYEIHINTLDILLRGFWCPQCDNSVVHNQEQWRSVSMPHLPTGSPNVDFDFIYLHHIFFQLISVELSEELQTVGVQVMSRILRHSARDVLLKTRLQWIEYIDFCLLHKTRAMREAFSSEISCFLQNHILDCLFMDEEGTCNTKEHGIFDRIKHALAGAEDPQVFETLLESMAEVMSVIGSHNQLFVGGLILFVDQLDNPNLIVRITASRLIKKSCFVNRKGGFELIFSKSFHIRDVLFDHLCLRLVSRPAMVKEFAEAVVGVRVEELIGKMVPFVIPKLVVSQKHNHQAVIILHELANYLNTDLVPLVVNWLPKVLAFALFHADGQELSSVLHFYQVETGSDNREIFSAALPQLLDELLCFTGEGDMDEIDKRTIRVPKMIQDVATILTGSDDLPGFLKNHFVGLLNSIDRKMLHADDLLIQKQALKRIEKLIEMMGSYLSTYVPKIMVLLMYAIDKEALQTDGLNVLHFFIKQLAKLSPSSTKHVISQVVAAFIPSLERCMEMPSLHLKKMVEVLEDLILENKLVLKQQIRELPLLPSVPEVSEVNKVIQEVRGSMTLRDQLRDAIDGLNHESLNVRYMVACELSKLLNIKREDVMVLISGESLTDLDVISSLITSLLRGCAEESRTAVGQRLKLVCADCLGALGAVDPAKFKGISSERFKIACSDDDLIFELIHKHLARAFRAASDTIVQDSAALAIQELLKLAGCQESLSGSIQEHVEIDNSEGSNESTARKRGQRLWDRFSNYVKDIIAPCLTSRFQLPSATDTTSPGPIYRPTMSFRRWIFFWIRKLTAHATGSRSGIFSACRGIVRHDMQTALYLLPYLVLNAVCHGTHEARNGINEEILTVLDAEASENSAAAVHGITGGQSEVCVQAVFTLLDNLGQWVDDLKQEIALSQSMCASGSKQTAKLKSGSNPHVDSQDLLVQCSNVSGLLSAIPKVTLAKSSFRCQAHARALMYFESHVREKSGSFNPAAENSGIFADEDISFLLEIYSGLDEPDGLSGFANLRKSSNLQDHFVINEKVGNWAEVLTSCEQALQMEPQSVQRHADVLKSLLNMCHLQAMVTHVDGLICRIPQYKKTWSMQGVQAAWRLGRWDLMEEYLSVADKEGLICSNIESNASFDLGLAKIIQAMMKKDQFLVAERIAQAKQALLAPLAAAGMDSYMRAYPFVVKLHMIRELEDFHALLGDESFLEKQFCEDLPGYSKLIKDWDSRLRFTQPSLWAREPLLAFRRLVFTASNMGAQVGNCWLQYAKLCRSAGHYETANRAVLEAQASGAPNVHIEKAKLLWSTRKCDSALSELQQLLLNMPAEVLGSAAISSLTSLSLILPNPLTFSTTQASKENHNVAKTILLYTRWIHCTGQKQKEDIINLYSRVRELQPKWEKGYFFMAKYCDDLLVDARKRQEDTLMGMRSGQGMMSSSSVSNQSLSSNTEEKPWWSYLPDVLLFYAKGLHRGHKDLFQALPRLLTLWFEFGSWYLRDGLSSNKSLKTVHTRVLSIMRGCLKDLPTYQWLTVLSQLISRICHQNEDIVRIVKHIITSVLQEYPQQALWMMAAVSKSTVAARREAAAEIIQAARKGIRRGKDNSSLFTQFASLIDHLIKLCFHPGQPKARIINILTEFSALKRMMPLGIIMPLQQALTVTLPSYDASASDPPDVNVFSDSDHVTIIGIADEAEVLSSLQRPKKVVFLGSDGAHHPFLCKPKDDLRKDARMMEFTAMINRLLSKFPESRRRKLYIRTFAVIPLTEDCGMVEWVPHTRGLRQILQDIYVADGKFDRQKTHPLVKRIYDQCSGKMPEDEMLKSKILPMFPPVFHKWFLITFSEPAAWFRARVAYAHTSAVWSMVGHIVGLGDRHGENILFDSTTGDTVHVDFSCLFDKGLQLEKPELVPFRLTQNMIDGLGITGYEGVFLKVCEITLSVLRAHKETLMSVLETFIHDPLVEWTKSHKSSGVEVQNPHAQRAISNIKARLQGVVVGVGAAPSLPLAVEGQARRLIAEAVSHKNLGKMYIWWMAWF >DRNTG_32015.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18449284:18463041:-1 gene:DRNTG_32015 transcript:DRNTG_32015.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxia telangiectasia-mutated and RAD3-related [Source:Projected from Arabidopsis thaliana (AT5G40820) TAIR;Acc:AT5G40820] MCSAYLRIAKICPTHIWRPGIILSLLCSPTPCLPLINCIRMVIDVCCGCLADKDVDVGRNMLESTKKLVLPKAGQKRSVESNDDYHRKRQKIEENKLLQRSNILFGNGTAGLLSCKLDEEYALELQKSLLKFVGLLKPECCRTVSLKPATALRALSMLCLAYYDYPEMGLSVNIFQQVLSWIPWICKQAKEEDFLEFDISIYLEAVHSVLLLQGFLLTRMKLFQDDDYLNDTTSFNCEIVKHADLVELLKLAWKNPCFVSQDHTLWKLKCLCIQVLSKISMKKSIDSILEVFDLAILDEAEVVKAEALISMPVIVLYSGHLPLASMWNRLKSMGSNRGDQVCKSVLLSLGYLSCLHHSIEPSYAVDGSCKLFFGDSYEIHINTLDILLRGFWCPQCDNSVVHNQEQWRSVSMPHLPTGSPNVDFDFIYLHHIFFQLISVELSEELQTVGVQVMSRILRHSARDVLLKTRLQWIEYIDFCLLHKTRAMREAFSSEISCFLQNHILDCLFMDEEGTCNTKEHGIFDRIKHALAGAEDPQVFETLLESMAEVMSVIGSHNQLFVGGLILFVDQLDNPNLIVRITASRLIKKSCFVNRKGGFELIFSKSFHIRDVLFDHLCLRLVSRPAMVKEFAEAVVGVRVEELIGKMVPFVIPKLVVSQKHNHQAVIILHELANYLNTDLVPLVVNWLPKVLAFALFHADGQELSSVLHFYQVETGSDNREIFSAALPQLLDELLCFTGEGDMDEIDKRTIRVPKMIQDVATILTGSDDLPGFLKNHFVGLLNSIDRKMLHADDLLIQKQALKRIEKLIEMMGSYLSTYVPKIMVLLMYAIDKEALQTDGLNVLHFFIKQLAKLSPSSTKHVISQVVAAFIPSLERCMEMPSLHLKKMVEVLEDLILENKLVLKQQIRELPLLPSVPEVSEVNKVIQEVRGSMTLRDQLRDAIDGLNHESLNVRYMVACELSKLLNIKREDVMVLISGESLTDLDVISSLITSLLRGCAEESRTAVGQRLKLVCADCLGALGAVDPAKFKGISSERFKIACSDDDLIFELIHKHLARAFRAASDTIVQDSAALAIQELLKLAGCQESLSGSIQEHVEIDNSEGSNESTARKRGQRLWDRFSNYVKDIIAPCLTSRFQLPSATDTTSPGPIYRPTMSFRRWIFFWIRKLTAHATGSRSGIFSACRGIVRHDMQTALYLLPYLVLNAVCHGTHEARNGINEEILTVLDAEASENSAAAVHGITGGQSEVCVQAVFTLLDNLGQWVDDLKQEIALSQSMCASGSKQTAKLKSGSNPHVDSQDLLVQCSNVSGLLSAIPKVTLAKSSFRCQAHARALMYFESHVREKSGSFNPAAENSGIFADEDISFLLEIYSGLDEPDGLSGFANLRKSSNLQDHFVINEKVGNWAEVLTSCEQALQMEPQSVQRHADVLKSLLNMCHLQAMVTHVDGLICRIPQYKKTWSMQGVQAAWRLGRWDLMEEYLSVADKEGLICSNIESNASFDLGLAKIIQAMMKKDQFLVAERIAQAKQALLAPLAAAGMDSYMRAYPFVVKLHMIRELEDFHALLGDESFLEKQFCEDLPGYSKLIKDWDSRLRFTQPSLWAREPLLAFRRLVFTASNMGAQVGNCWLQYAKLCRSAGHYETANRAVLEAQASGAPNVHIEKAKLLWSTRKCDSALSELQQLLLNMPAEVLGSAAISSLTSLSLILPNPLTFSTTQASKENHNVAKTILLYTRWIHCTGQKQKEDIINLYSRVRELQPKWEKGYFFMAKYCDDLLVDARKRQEDTLMGMRSGQGMMSSSSVSNQSLSSNTEEKPWWSYLPDVLLFYAKGLHRGHKDLFQALPRLLTLWFEFGSWYLRDGLSSNKSLKTVHTRVLSIMRGCLKDLPTYQWLTVLSQLISRICHQNEDIVRIVKHIITSVLQEYPQQALWMMAAVSKSTVAARREAAAEIIQAARKGIRRGKDNSSLFTQFASLIDHLIKLCFHPGQPKARIINILTEFSALKRMMPLGIIMPLQQALTVTLPSYDASASDPPDVNVFSDSDHVTIIGIADEAEVLSSLQRPKKVVFLGSDGAHHPFLCKPKDDLRKDARMMEFTAMINRLLSKFPESRRRKLYIRTFAVIPLTEDCGMVEWVPHTRGLRQILQDIYVADGKFDRQKTHPLVKRIYDQCSGKMPEDEMLKSKILPMFPPVFHKWFLITFSEPAAWFRARVAYAHTSAVWSMVGHIVGLGDRHGENILFDSTTGDTVHVDFSCLFDKGLQLEKPELVPFRLTQNMIDGLGITGYEGVFLKVCEITLSVLRAHKETLMSVLETFIHDPLVEWTKSHKSSGVEVQNPHAQRAISNIKARLQGVVVGVGAAPSLPLAVEGQARRLIAEAVSHKNLGKMYIWWMAWF >DRNTG_32015.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18449284:18464774:-1 gene:DRNTG_32015 transcript:DRNTG_32015.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxia telangiectasia-mutated and RAD3-related [Source:Projected from Arabidopsis thaliana (AT5G40820) TAIR;Acc:AT5G40820] MIQDVATILTGSDDLPGFLKNHFVGLLNSIDRKMLHADDLLIQKQALKRIEKLIEMMGSYLSTYVPKIMVLLMYAIDKEALQTDGLNVLHFFIKQLAKLSPSSTKHVISQVVAAFIPSLERCMEMPSLHLKKMVEVLEDLILENKLVLKQQIRELPLLPSVPEVSEVNKVIQEVRGSMTLRDQLRDAIDGLNHESLNVRYMVACELSKLLNIKREDVMVLISGESLTDLDVISSLITSLLRGCAEESRTAVGQRLKLVCADCLGALGAVDPAKFKGISSERFKIACSDDDLIFELIHKHLARAFRAASDTIVQDSAALAIQELLKLAGCQESLSGSIQEHVEIDNSEGSNESTARKRGQRLWDRFSNYVKDIIAPCLTSRFQLPSATDTTSPGPIYRPTMSFRRWIFFWIRKLTAHATGSRSGIFSACRGIVRHDMQTALYLLPYLVLNAVCHGTHEARNGINEEILTVLDAEASENSAAAVHGITGGQSEVCVQAVFTLLDNLGQWVDDLKQEIALSQSMCASGSKQTAKLKSGSNPHVDSQDLLVQCSNVSGLLSAIPKVTLAKSSFRCQAHARALMYFESHVREKSGSFNPAAENSGIFADEDISFLLEIYSGLDEPDGLSGFANLRKSSNLQDHFVINEKVGNWAEVLTSCEQALQMEPQSVQRHADVLKSLLNMCHLQAMVTHVDGLICRIPQYKKTWSMQGVQAAWRLGRWDLMEEYLSVADKEGLICSNIESNASFDLGLAKIIQAMMKKDQFLVAERIAQAKQALLAPLAAAGMDSYMRAYPFVVKLHMIRELEDFHALLGDESFLEKQFCEDLPGYSKLIKDWDSRLRFTQPSLWAREPLLAFRRLVFTASNMGAQVGNCWLQYAKLCRSAGHYETANRAVLEAQASGAPNVHIEKAKLLWSTRKCDSALSELQQLLLNMPAEVLGSAAISSLTSLSLILPNPLTFSTTQASKENHNVAKTILLYTRWIHCTGQKQKEDIINLYSRVRELQPKWEKGYFFMAKYCDDLLVDARKRQEDTLMGMRSGQGMMSSSSVSNQSLSSNTEEKPWWSYLPDVLLFYAKGLHRGHKDLFQALPRLLTLWFEFGSWYLRDGLSSNKSLKTVHTRVLSIMRGCLKDLPTYQWLTVLSQLISRICHQNEDIVRIVKHIITSVLQEYPQQALWMMAAVSKSTVAARREAAAEIIQAARKGIRRGKDNSSLFTQFASLIDHLIKLCFHPGQPKARIINILTEFSALKRMMPLGIIMPLQQALTVTLPSYDASASDPPDVNVFSDSDHVTIIGIADEAEVLSSLQRPKKVVFLGSDGAHHPFLCKPKDDLRKDARMMEFTAMINRLLSKFPESRRRKLYIRTFAVIPLTEDCGMVEWVPHTRGLRQILQDIYVADGKFDRQKTHPLVKRIYDQCSGKMPEDEMLKSKILPMFPPVFHKWFLITFSEPAAWFRARVAYAHTSAVWSMVGHIVGLGDRHGENILFDSTTGDTVHVDFSCLFDKGLQLEKPELVPFRLTQNMIDGLGITGYEGVFLKVCEITLSVLRAHKETLMSVLETFIHDPLVEWTKSHKSSGVEVQNPHAQRAISNIKARLQGVVVGVGAAPSLPLAVEGQARRLIAEAVSHKNLGKMYIWWMAWF >DRNTG_13939.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19566254:19567363:1 gene:DRNTG_13939 transcript:DRNTG_13939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSTDPPSTSSPNPVSGDQPQPSDQPPPPPPPTSSASSSSHQLSRYESQKRRDWNTFLQYLKNHKPPLTLARCSGAHVIEFLKYLDQFGKTKVHASGCTYFGQPSPPAPCACPLRQAWGSLDALIGRLRAAYEENDGKPETNPFGARAVRIYLREVRETQAKARGIPYEKKKRKRGNQPPPPPPPPPSSSSSAPAIVTAGSADQAVEDTPPVGSPAS >DRNTG_24365.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2894235:2897012:1 gene:DRNTG_24365 transcript:DRNTG_24365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLMSEGIKAKITSTRRMPMGTRSSMTGGGGNGGSTNGSITKEAVRQRSKTKDSTLK >DRNTG_00048.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21695665:21699423:1 gene:DRNTG_00048 transcript:DRNTG_00048.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uracil phosphoribosyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53900) UniProtKB/Swiss-Prot;Acc:Q9M336] MGTEVSPASEDRMLVMVPPHPLIKHWISVLRNEQTPCVIFKNALAELGRLLIYEASRDWLPIISGEIQTPMSAATVEFIDPREPVMVIPILRAGLALAEHASAVLPATKTYHLGMRRDETTLQPSVYLNNLPDQFPEGSRVLIVDPMLATGGTMVAAIDLVKERGIGNKHIRVVSAVSAPPALQKLGSKFPGLHVYTGMIDPVVNEKGFIVPGLGDAGDRSFGT >DRNTG_03262.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000150.1:6225:6920:1 gene:DRNTG_03262 transcript:DRNTG_03262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIPHTADQTQRRLAPLASTRRKLAHGSSVTSSSSPLLATHQFYQLAHHPVECLSAFANLQSKSDQNRVLIIS >DRNTG_33149.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13740470:13742761:-1 gene:DRNTG_33149 transcript:DRNTG_33149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVKILFMALFLRLGLSSRNDFTYNGFKGVNLSMDGLAGITPDALLMLANATSHAMSHVLFPSPLRFKKSQTDNVLSFSTTFVYAIIPEYQKLGSGGFTFVLSPSKDLTKASTDYVLAIVNMTSNGNASNHILAVEFDTWDSPQAYDINNNHVAININNIISNYSTPVSFTSDDDAHTNDQLVYWYTVADRALHRVVRVRFSAEATFLGDVSGGCVQVVPAPMLPLTLLLVPLTIASAILMLVRRKKVSELHDNWELEFESNRFPYKQLYKATRGFKEKYLFGIGGFGRVYRKVLPASKVEVAVKRVSHESKQGVREFVAEIVSLASGLQYLHEGWDQVVIHRVIKVSNVLLDGDMKGRLGDFGLARLYDHGAPPQTTSMVGTLGFLAPELARTCKVTMSNDVFTFGAFLLEVACGRRVIEPNKQELEQVFVEWVFANWKMGTTHETKDPRFGQDYVLEELDLVLKLRLFCSHPSPSARPSMRQITHFLNRDVPLPEMLPCQCHGGDIDSYMKQNDGNEAY >DRNTG_13661.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7281077:7283357:-1 gene:DRNTG_13661 transcript:DRNTG_13661.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLRRRSAALWVARLAVLLAGAVSAAALARTSLPYSLALLSSLPRAWSSFRSWLSPPYLFAAIHFIIIVIWKLSDKKQSSRDADPQSKPRDLLSRKPSAELWTEIRADPLESDSRSPDPVVEQEKSSDGSCVTDESLENETMDATWKAIMEAAASPARPQLRKSETWERTDRAPATEATREPVFRHSATFKESSGWRGREVLMMGHEELDQRFEAFIRMNREEMRLQREESNKRYLEMVNGVP >DRNTG_13661.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7281077:7282991:-1 gene:DRNTG_13661 transcript:DRNTG_13661.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLRRRSAALWVARLAVLLAGAVSAAALARTSLPYSLALLSSLPRAWSSFRSWLSPPYLFAAIHFIIIVIWKLSDKKQSSRDADPQSKPRDLLSRKPSAELWTEIRADPLESDSRSPDPVVEQEKSSDGSCVTDESLENETMDATWKAIMEAAASPARPQLRKSETWERTDRAPATEATREPVFRHSATFKESSGWRGREVLMMGHEELDQRFEAFIRMNREEMRLQREESNKRYLEMVNGVP >DRNTG_13661.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7281378:7282991:-1 gene:DRNTG_13661 transcript:DRNTG_13661.8 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLRRRSAALWVARLAVLLAGAVSAAALARTSLPYSLALLSSLPRAWSSFRSWLSPPYLFAAIHFIIIVIWKLSDKKQSSRDADPQSKPRDLLSRKPSAELWTEIRADPLESDSRSPDPVVEQEKSSDGSCVTDESLENETMDATWKAIMEAAASPARPQLRKSETWERTDRAPATEATREPVFRHSATFKESSGWRGREVLMMGHEELDQRFEAFIRMNREEMRLQREESNKRYLEMVNGVP >DRNTG_13661.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7281116:7282991:-1 gene:DRNTG_13661 transcript:DRNTG_13661.6 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLRRRSAALWVARLAVLLAGAVSAAALARTSLPYSLALLSSLPRAWSSFRSWLSPPYLFAAIHFIIIVIWKLSDKKQSSRDADPQSKPRDLLSRKPSAELWTEIRADPLESDSRSPDPVVEQEKSSDGSCVTDESLENETMDATWKAIMEAAASPARPQLRKSETWERTDRAPATEATREPVFRHSATFKESSGWRGREVLMMGHEELDQRFEAFIRMNREEMRLQREESNKRYLEMVNGVP >DRNTG_13661.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7281378:7283125:-1 gene:DRNTG_13661 transcript:DRNTG_13661.7 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLRRRSAALWVARLAVLLAGAVSAAALARTSLPYSLALLSSLPRAWSSFRSWLSPPYLFAAIHFIIIVIWKLSDKKQSSRDADPQSKPRDLLSRKPSAELWTEIRADPLESDSRSPDPVVEQEKSSDGSCVTDESLENETMDATWKAIMEAAASPARPQLRKSETWERTDRAPATEATREPVFRHSATFKESSGWRGREVLMMGHEELDQRFEAFIRMNREEMRLQREESNKRYLEMVNGVP >DRNTG_13661.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7281116:7283357:-1 gene:DRNTG_13661 transcript:DRNTG_13661.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLRRRSAALWVARLAVLLAGAVSAAALARTSLPYSLALLSSLPRAWSSFRSWLSPPYLFAAIHFIIIVIWKLSDKKQSSRDADPQSKPRDLLSRKPSAELWTEIRADPLESDSRSPDPVVEQEKSSDGSCVTDESLENETMDATWKAIMEAAASPARPQLRKSETWERTDRAPATEATREPVFRHSATFKESSGWRGREVLMMGHEELDQRFEAFIRMNREEMRLQREESNKRYLEMVNGVP >DRNTG_13661.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7281077:7283125:-1 gene:DRNTG_13661 transcript:DRNTG_13661.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLRRRSAALWVARLAVLLAGAVSAAALARTSLPYSLALLSSLPRAWSSFRSWLSPPYLFAAIHFIIIVIWKLSDKKQSSRDADPQSKPRDLLSRKPSAELWTEIRADPLESDSRSPDPVVEQEKSSDGSCVTDESLENETMDATWKAIMEAAASPARPQLRKSETWERTDRAPATEATREPVFRHSATFKESSGWRGREVLMMGHEELDQRFEAFIRMNREEMRLQREESNKRYLEMVNGVP >DRNTG_13661.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7281116:7283125:-1 gene:DRNTG_13661 transcript:DRNTG_13661.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLRRRSAALWVARLAVLLAGAVSAAALARTSLPYSLALLSSLPRAWSSFRSWLSPPYLFAAIHFIIIVIWKLSDKKQSSRDADPQSKPRDLLSRKPSAELWTEIRADPLESDSRSPDPVVEQEKSSDGSCVTDESLENETMDATWKAIMEAAASPARPQLRKSETWERTDRAPATEATREPVFRHSATFKESSGWRGREVLMMGHEELDQRFEAFIRMNREEMRLQREESNKRYLEMVNGVP >DRNTG_22930.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9859861:9869083:-1 gene:DRNTG_22930 transcript:DRNTG_22930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable phospholipid-transporting ATPase 8 [Source:Projected from Arabidopsis thaliana (AT3G27870) UniProtKB/Swiss-Prot;Acc:Q9LK90] MFGCLRRRWSRRRSGSLDREKTSGAGYSRVVHCNNPEIQEDDRPLYPLNAVSTTKYTIANFLPKSLFEQFRRVANLFFLVVACVSFSPLAPYRAVSILLPLVVVVGATMAKEAVEDWRRKKQDIEVNNRKVMVYDGSHAFYQTEWKKLSVGDIVRVEKDQFFPADLVLLSSSHDDGICYVETMNLDGETNLKTKQCLQVTTMLCSDHSFQKFKALIKCEDPNENLYSFVGTMHHEGTQYPLSPQQILLRDSKLRNTQHIYGLVIFSGHDTKVMQNAMDPPSKRSKIEKRMDKIIYILFTSLVVLSSTGSIFFGIQTKQDISDGKFKRWYLRPDKSSVLFDPKGASLAAFCHFLTGLMLFGCFIPISLYISIEIVKVLQSTFINNDQEMYCEESDKPARARTSNLNEELGQVNTILSDKTGTLTCNLMQFVKCSIAGVAYGSGLTAVEPGLGGLKQYCTFEIEGPSHSLAVSRRTVKSFEIDDPSHSPAVSRKTVKGFNLRDDRLMDGHWVNEPNLDFIQKFFHTLAICHTVVPVIGNKSSEIYYEAESPDEAAFVVAAREIGFEFYERTQTSISLHEFDPKIGRDVDRSYTLLNMLHFSSARKRMSVIVRDEEEQLLLFCKGADSAIFENLADDGRLFELETKRHIDEYSEAGLRTLAVAYRKLSEEEYKTWHAEFLMASNAVTADRDEMLDAAAEKIEKDFILLGATAVEDKLQTGVPECINKLAQAGIKIWVLTGDKLETAVKIGFACNLLRKGMRQIVVTLDTPDMNALEKQGRREAFEKASYESIARQLNEAHSQVTVSKEISIPFALIIDGKSLGFALSRNLENLFLDLAIRCASVICCRTSPKQKAMVTRLVKGGTRKTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDFAIAQFRFLERLLLVHGHWCYRRIAAMICYFFYKNITFGFTLFCFEAYASFSGEPAYNDWYIAFYNVAFTSLPVIALGVFDKDVSSRTCLKFPLLHQDGVQNIFFSWTRIIRWMLNGICSSIIIYFLSTSSILIQAFRHDGKPAGLAELGVTMYTCVICTVNLQMALYLSYFTWIQHLFIWGSILFWFIFLVIYGFFPPAISTTAYLVFIETCYQNPFYWLTALFTVISALLPYFVYSTLQANIFPKYHDIVKRMPSTSSP >DRNTG_22930.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9859861:9863168:-1 gene:DRNTG_22930 transcript:DRNTG_22930.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable phospholipid-transporting ATPase 8 [Source:Projected from Arabidopsis thaliana (AT3G27870) UniProtKB/Swiss-Prot;Acc:Q9LK90] MLNGICSSIIIYFLSTSSILIQAFRHDGKPAGLAELGVTMYTCVICTVNLQMALYLSYFTWIQHLFIWGSILFWFIFLVIYGFFPPAISTTAYLVFIETCYQNPFYWLTALFTVISALLPYFVYSTLQANIFPKYHDIVKRMPSTSSP >DRNTG_22930.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9859861:9869083:-1 gene:DRNTG_22930 transcript:DRNTG_22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable phospholipid-transporting ATPase 8 [Source:Projected from Arabidopsis thaliana (AT3G27870) UniProtKB/Swiss-Prot;Acc:Q9LK90] MFGCLRRRWSRRRSGSLDREKTSGAGYSRVVHCNNPEIQEDDRPLYPLNAVSTTKYTIANFLPKSLFEQFRRVANLFFLVVACVSFSPLAPYRAVSILLPLVVVVGATMAKEAVEDWRRKKQDIEVNNRKVMVYDGSHAFYQTEWKKLSVGDIVRVEKDQFFPADLVLLSSSHDDGICYVETMNLDGETNLKTKQCLQVTTMLCSDHSFQKFKALIKCEDPNENLYSFVGTMHHEGTQYPLSPQQILLRDSKLRNTQHIYGLVIFSGHDTKVMQNAMDPPSKRSKIEKRMDKIIYILFTSLVVLSSTGSIFFGIQTKQDISDGKFKRWYLRPDKSSVLFDPKGASLAAFCHFLTGLMLFGCFIPISLYISIEIVKVLQSTFINNDQEMYCEESDKPARARTSNLNEELGQVNTILSDKTGTLTCNLMQFVKCSIAGVAYGSGLTAVEPGLGGLKQYCTFEIEGPSHSLAVSRRTVKSFEIDDPSHSPAVSRKTVKGFNLRDDRLMDGHWVNEPNLDFIQKFFHTLAICHTVVPVIGNKSSEIYYEAESPDEAAFVVAAREIGFEFYERTQTSISLHEFDPKIGRDVDRSYTLLNMLHFSSARKRMSVIVRDEEEQLLLFCKGADRF >DRNTG_22930.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9868707:9869083:-1 gene:DRNTG_22930 transcript:DRNTG_22930.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable phospholipid-transporting ATPase 8 [Source:Projected from Arabidopsis thaliana (AT3G27870) UniProtKB/Swiss-Prot;Acc:Q9LK90] MFGCLRRRWSRRRSGSLDREKTSGAGYSRVVHCNNPEIQEDDRPLYPLNAVSTTKYTIANFLPKSLFEQFRRVANLFFLVVACVSFSPLAPYRAVSILLPLVVVVGATMAKEAVEDWRRKKQ >DRNTG_20832.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001164.1:14181:16148:-1 gene:DRNTG_20832 transcript:DRNTG_20832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAIDFEAHEINTRLKTMVEDEVDTETFRPMHESNRIMVQRLAAIYLLRCYSQGPRKHRYIVVKQTSRSRLPTCSDRIDKLMEKAVKNVDCNCNNLESDTPQTKSRKIIRKRNNSHRGMKSSGSSLFLGPVFISSGFLQSTEMIKSSSSNSVHVHPCPPKFKAYEGYMSGYGSKLLAKMGYSGGGLGKRGGGILEPLQPVGRPKLLGLGFKYPDM >DRNTG_20832.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001164.1:14181:15718:-1 gene:DRNTG_20832 transcript:DRNTG_20832.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACAIDFEAHEINTRLKTMVEDEVDTETFRPMHESNRIMVQRLAAIYLLRCYSQGPRKHRYIVVKQTSRSRLPTCSDRIDKLMEKAVKNVDCNCNNLESDTPQTKSRKIIRKRNNSHRGMKSSGSSLFLGPVFISSGFLQSTEMIKSSSSNSVHVHPCPPKFKAYEGYMSGYGSKLLAKMGYSGGGLGKRGGGILEPLQPVGRPKLLGLGFKYPDM >DRNTG_20832.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001164.1:14181:14591:-1 gene:DRNTG_20832 transcript:DRNTG_20832.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAVKNVDCNCNNLESDTPQTKSRKIIRKRNNSHRGMKSSGSSLFLGPVFISSGFLQSTEMIKSSSSNSVHVHPCPPKFKAYEGYMSGYGSKLLAKMGYSGGGLGKRGGGILEPLQPVGRPKLLGLGFKYPDM >DRNTG_04817.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2322284:2322888:1 gene:DRNTG_04817 transcript:DRNTG_04817.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSSHTIFISRNSPLARASPTCEQSQNQHRKLHGAQPKIPSHTCGFLSEVPVLLTISHITCTSSYSHVLTSCLNPPNPVLRVRRRATATIQTRLIGMMTRSNHSITRSTRRGG >DRNTG_23480.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2849820:2852908:-1 gene:DRNTG_23480 transcript:DRNTG_23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEASKRISVVTGANKGIGLEIVKQLASNGIMVLLTARDEKRGTEAVEKLKDSGFSDVVFHQLDVSDTASVSTLADFIKTQFGKLDILVNNAAVSGISVDLHSLDSSTKESIEQGGFPSFLKLLAAAVEDYEKAEECLNINYYGTKKVIDALMPLLQLSHSPRIVNVSSSGGKLQYIPGESIRKEFGDPDGLSEDKLDELLQLFLSDFKAKKLEENGWPTRSSAYIISKVALNALTRILAKKYPKFCINCVHPGFVKTDLNFNTGTITVEEGAKGPVMLALLPDGGPSGFYYDLTSVSTYE >DRNTG_24318.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:9419782:9423342:-1 gene:DRNTG_24318 transcript:DRNTG_24318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVKRTPRSAKILMELISSRTGLDLLFLEISSTWIFNGVSLELCELSDLDFLISPRTFFTISFRSSSLVEEPLSEELRNSKQLGVNLVTNRVKIEEMSKCSSSTWAKHNRALSPCITQPHADQVKELAVECHQGKHVKHCPSMTVLPLIGNSRRTLGRFTHNHFMLTRFKQDRAKEFYSPWEDFEEGKDQASPHLLKGSRKSWRHKRSGVKHTELSKEDYLEEKGVMSSFSSSSSMFS >DRNTG_16502.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5128374:5131292:1 gene:DRNTG_16502 transcript:DRNTG_16502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIGGWDPLQDLQRRYAEEMRKRGKEVKLLEYADAIHAFYIFPELKLSTEFIGEMKSFVETHSKKQEINSNI >DRNTG_16502.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5128374:5129141:1 gene:DRNTG_16502 transcript:DRNTG_16502.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIGGWDPLQDLQRRYAEEMRKRGKEVKLLEYADAIHAFYIFPELKLSTEFIGEMKSFVETHSKKQEINSNI >DRNTG_00157.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26178330:26181187:-1 gene:DRNTG_00157 transcript:DRNTG_00157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSRFLRATATAARGMSTVAPESSVIYPAGLAAGPNMGSSVTLVETRDLGERNVQWVFLGCPGVGKGTYASRLSQLLGVPHIATGDLVREELSSSGPLAQQLAAIVNQGKLVSDEIVIDLLSKRLENGAAKGNTGGSD >DRNTG_04313.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22075147:22078429:-1 gene:DRNTG_04313 transcript:DRNTG_04313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTVRILVAVRGWVLHQLDVKNAFFHGDLKEEVYMTPPPGSRVENLSLSIWVNMSK >DRNTG_09518.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:25746314:25746996:1 gene:DRNTG_09518 transcript:DRNTG_09518.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDSQNPSRRHLEIWRKVGEKGERRSPKSG >DRNTG_28872.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001452.1:50721:56986:-1 gene:DRNTG_28872 transcript:DRNTG_28872.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein S-acyltransferase 10 [Source:Projected from Arabidopsis thaliana (AT3G51390) UniProtKB/Swiss-Prot;Acc:Q7XA86] MMAAREAWEKLCDHCSRRFPCLCDPGRRSSWGLKAALVLLHVVFVGVLFLLDADLIQKTKEESWYTILYLGLFAATLVQYFFTSGSSPGYVIDAMRSEYETTASFTSSLLIPKQSTSRNGNLIPSTDRSHFGKYPSEWLKIVMDLYPPGSSSRNWTCTYCNIIQPPRTKHCHDCD >DRNTG_07540.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13010156:13010374:1 gene:DRNTG_07540 transcript:DRNTG_07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMITSGEAMKYKSSFYAFSQILKNEGAKLLFKGAGANILRTIGGACVLAGYDKLQLIVFGKKYGSCGAYVSG >DRNTG_26103.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20807270:20809640:-1 gene:DRNTG_26103 transcript:DRNTG_26103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSGKGEGLMCVKVMTDEQMEVLRKQISAYATICDRLLHMHKAMTAHQDSLSGMRPGSLCCDPLIPSGHKITGRQRWTPTPKQLQILEMIFGEGNGTPSKQKIKQITIELSHHGLISETNVYNWFQNRRARSKRKQTATLLNHNESEVEPEFESGMRNLHENENTQLSAAGHLMEPETGGMQSIHASNENPKSSGNVGQLAFYGFDQIMGKEYEGHYNLF >DRNTG_26103.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20807270:20808332:-1 gene:DRNTG_26103 transcript:DRNTG_26103.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGSLCCDPLIPSGHKITGRQRWTPTPKQLQILEMIFGEGNGTPSKQKIKQITIELSHHGLISETNVYNWFQNRRARSKRKQTATLLNHNESEVEPEFESGMRNLHENENTQLSAAGHLMEPETGGMQSIHASNENPKSSGNVGQLAFYGFDQIMGKEYEGHYNLF >DRNTG_33184.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23539439:23541596:1 gene:DRNTG_33184 transcript:DRNTG_33184.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR1 [Source:Projected from Arabidopsis thaliana (AT5G52100) UniProtKB/TrEMBL;Acc:A0A178UH15] MEVAGAVDSHFIGQDAGKICGLDEPLEIPIINDLTMVLGSISQLKATGVVVDFTDPSTVYDNVKQAAAFGLNSIVYVPKIKLETITELSAFCEKASMGCLVAQTLSIGTVLLQQAAILASFHYNNVEIVESSPDPSVRFKSSASLDSKRK >DRNTG_33184.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23539439:23541596:1 gene:DRNTG_33184 transcript:DRNTG_33184.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR1 [Source:Projected from Arabidopsis thaliana (AT5G52100) UniProtKB/TrEMBL;Acc:A0A178UH15] MLICGLDEPLEIPIINDLTMVLGSISQLKATGVVVDFTDPSTVYDNVKQAAAFGLNSIVYVPKIKLETITELSAFCEKASMGCLVAQTLSIGTVLLQQAAILASFHYNNVEIVESSPDPSELPSQEAVQIANNLSDLGQFYNREDIDTENPARGQLLGDDGVRVHSMVLPGISSSTTVHFSRAGEVYSLKHDITDIECLMPGLILAIRKVVRLKSLIYGLEKFL >DRNTG_33184.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23539439:23541596:1 gene:DRNTG_33184 transcript:DRNTG_33184.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR1 [Source:Projected from Arabidopsis thaliana (AT5G52100) UniProtKB/TrEMBL;Acc:A0A178UH15] MATMRCQPLPFFRTNNATSIISCTMQPSQNNIKVIINGATKDIGKAAILAVTRARGMEVAGAVDSHFIGQDAGKICGLDEPLEIPIINDLTMVLGSISQLKATGVVVDFTDPSTVYDNVKQAAAFGLNSIVYVPKIKLETITELSAFCEKASMGCLVAQTLSIGTVLLQQAAILASFHYNNVEIVESSPDPSELPSQEAVQIANNLSDLGQFYNREDIDTENPARGQLLGDDGVRVHSMVLPGISSSTTVHFSRAGEVDEWSILCSFKTLLQIRHSL >DRNTG_11916.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:466741:470859:1 gene:DRNTG_11916 transcript:DRNTG_11916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRVYEVWKGGNKFLFSGRLIFGPDARSLLITISLIVAPAAIFCVFVARHLRHKFSPHDVGYSILAVAIAFTIYVLVLLLLTSARDPGIVPRASHPPGEDFSYDTSVPNEPGGRQTPSLQFPRIREEIVNGVPVRIKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRYFFMFVSSSTLLCIYVFSMCALYIKFLMDENYPTVWKALKHSPASVFLMIYCFIALWFVGGLTGFHSYLISTNQTTYENFRYRSDNRDNAYDRGCVNNCLEVFCRKTKPSRNKFRSFVNEDPPRPPPINRYRESEDESASNPRSKVEDDLEFGGDLLKISQRRNFEEVDDEIDVRDGNGTHGIITESELVSGVDPQLPVSRSEVRNSSWGRRSSSWEISAEVLAANSTATESRIQVRNEAR >DRNTG_34171.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002093.1:22063:23936:1 gene:DRNTG_34171 transcript:DRNTG_34171.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKRSWARDGENIRTGGASSATEGASVSIGVDRGRGVGSGSPRHDHPSPSPISGVW >DRNTG_21616.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1239657:1243140:1 gene:DRNTG_21616 transcript:DRNTG_21616.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein, Calcium sensor protein, Reguration of potassium uptake by CBL1-CIPK23 comple [Source: Projected from Oryza sativa (Os10g0564800)] MGCFQSKARRQYPGYEDPVNLASQTAFSVSEVEALFELFKSISSSVVDDGLINKEEFHLALFKNRKRENLFANRIFDLFDVKQKGVIDFGDFVRSLNVFHPNASHEDKVDFSFKLYDLDGTGFIERKEVKQMLIALLCESEMRLSDETIEMILDKTFSEADVNQDGKIDKLEWQEFVSRNPSLMKIMTLPYLRDITTTFPSFVFNSEVEDIAT >DRNTG_21616.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1239657:1243140:1 gene:DRNTG_21616 transcript:DRNTG_21616.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein, Calcium sensor protein, Reguration of potassium uptake by CBL1-CIPK23 comple [Source: Projected from Oryza sativa (Os10g0564800)] MGCFQSKARRQYPGYEDPVNLASQTAFSVSEVEALFELFKSISSSVVDDGLINKEEFHLALFKNRKRENLFANRIFDLFDVKQKGVIDFGDFVRSLNVFHPNASHEDKVDFSFKLYDLDGTGFIERKEVKQMLIALLCESEMRLSDETIEMILDKTFSEADVNQDGKIDKLEWQEFVSRNPSLMKIMTLPYLRDITTTFPSFVFNSEVEDIAT >DRNTG_23646.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9533028:9541276:1 gene:DRNTG_23646 transcript:DRNTG_23646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVPVRSFHTGVDNFHTPVWILCFSGFSAGCE >DRNTG_30838.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:568846:570832:-1 gene:DRNTG_30838 transcript:DRNTG_30838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPCIPSPTATLHCYTHFSINYSSSSLQRNRGTTMSSWSFFLLLFLLQLPHLFSKQSLPLLLHPLIIIPGQGGNQLEARLNNKQYKPLKQECGGAMSEDGNWFRLWKDCSILENPLTLPCFADQMQLHYDPLLDDYSNTPGVETRVPLFGSTHGFLYKDPDLLNQSSYMSILINRLKQIGYEEGENMFGAPYDFRYGLAGAGHPSKVGSEFLQSLKELIENASKLNNNNPVILLTHSLGGLFALQLLHRNSPSWSRTFIKHFIALSAPWGGTVLEMLIFASGDSMGYSNINPLMLRKEMWSLETNLWLLPNPRTFKDKPIVVSKTNVKNFSAAEMSEFLNYIGCSHCVHPYESRIMPMIERLEPPAGVPMTVMTGHGIKTAETLIYGDGDFDVQPEIVYGDGDGLVNLISLLAPENEWNGLKELELLKVIKLPNVSHEGILKDDAALTEVLREIHQVNSFVVSSSSA >DRNTG_17745.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4191130:4195505:1 gene:DRNTG_17745 transcript:DRNTG_17745.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase [Source:Projected from Arabidopsis thaliana (AT4G31990) UniProtKB/TrEMBL;Acc:B9DG21] MASTMLSFGLSSPVAILDNSKRIGVGKSVGDLFIKGKMSGRVRMAVSVNVSRFEGVTMAPPDPILGVSEAFKADTNDLKLNLGVGAYRTEELQPYVLNVVQKAEKLMLEKGENKEYLPIEGLAAFNKATAELLLGADNAALQEGRVATVQGLSGTGSLRLAAAFIQRYFPEAKALISSPTWGNHKNIFNDARVPWSEYRYYDPRTVGLDFDGMIADIKAAPDGSFVLLHGCAHNPTGIDPTPEQWEKIADVIQEKNHIPFFDVAYQGFASGSLDEDASSVRTFVAHGMELLVAQSYSKNLGLYAERIGAINVVCSSPDAATRVKSQLKRLARPMYSNPPVHGARIVANVVGNPTLFNEWKEEMELMAGSD >DRNTG_28205.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9281356:9283617:1 gene:DRNTG_28205 transcript:DRNTG_28205.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDSILQSPGINYKRPTSVKGPSSTAKECQFGGNNRLTLSFLPFTLAQTTPAREVLLILFHLSKYRTSAP >DRNTG_28205.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9281356:9284543:1 gene:DRNTG_28205 transcript:DRNTG_28205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDSILQSPGINYKRPTSVKGPSSTAKECQFGGNNRLTLSFLPFTLAQTTPAREVLLILFHLSKYRTSAP >DRNTG_33904.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15182713:15187404:-1 gene:DRNTG_33904 transcript:DRNTG_33904.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHSGRKSYHLESVKATFAAAWERGRASVTVNLSGGGIRACKISHARVVFEDVSSLRKVQLAHDMADEVEELLSVGSWRKLFIISDAAIGLLTLEVLASFEFDRSYAHFGSVDAIQFKAFGQHHSMCITLFSIRLGLYDEGFIETEEYEDLPIDISGGLTPQEA >DRNTG_18027.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:16287221:16289737:1 gene:DRNTG_18027 transcript:DRNTG_18027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFESHWASRGSSQKTVGLYEVSSNDALAIKVDVLTRKLDLLMGSSSRSESVMNCSTCGGGHDAAQCLIASSSVAYIENVDYIRGQRIQGNSFSSIYNPGWKNHPNFSWNQGQQQQRGAPSQGSQLQQPAFERKFSTKEVLAKFMLSTNDRFNSLTSSMDAQFGKVNAQLTQHAEQFTEIGSILRNLQASVKSLEHQVRGTHERQIRDVP >DRNTG_21594.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001223.1:804:1917:1 gene:DRNTG_21594 transcript:DRNTG_21594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLAWDTMEAVRVVHSSAVALDILVAVALDILVASLEMAVAILATLKQLINY >DRNTG_28306.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8055410:8064647:-1 gene:DRNTG_28306 transcript:DRNTG_28306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRFPYSPAEVAKVRTVQFGILSPDEIRQMSVTEILHAETMERGKPKVGGLSDSRLGTIDRKLKCETCSANMAECPGHFGHLELAKPMFHIGFMKTVLAIMRCVCFNCSKILADPDEPRFKQALRIRNPKHRLKRIYDACKGKKKCAGGDDIDVQGQQDSEEPVKKTRGGCGAQQPTITIDGMKMVAEYKAQKKKNDDQDQLPEPVERKQQLSAERVLSVLKRISDEDCLLLGLNPKYARPDWMILQVLPVPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNDNLKRQEKNGAPAHIITEFAQLLQFHIATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPTINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRFLKKSSDHHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQANRPVMGIVQDTLLGCRKITKRDTFIEKDVFMNILMWWEDFDGKIPAPAILKPRPLWTGKQVFNLIIPKQINLIRTSAWHSESEKGFITPGDTQVRIEKGEVLSGTLCKRTLGSSNGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNGFSIGIGDTIADAATMEKINETISKAKNDVKELIKLAQEKQLEAEPGRTMMDSFENRVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPYGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIETQKLDSLKMKKAEFDHVYRYEIDDDNWNPSYMLQEHVEDLKTIREFRNVFDAEARKLEADRYQLGMEIATTGDSNWHLPVNLRRLIWNAQKMFKVDLRRPSDMHPMEIVEAVDKLQERLKVVPGDDLMSMEAQKNATLFFNILLRSTFASKRVLKEYRLTREAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPEVHGKKEMAKNVQCSLEYTTLRSVTHATEVWYDPDPTSTIIEEDVEFVKSYYEMPDEEVAPEKISPWLLRIELNREMMVDKKLSMADIAEKIGHEFGDDLTCIFNDDNAEKLILRIRIMNDDAPKGELQDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKQGKINKFDQEEGFKSESEWMLDTEGVNLLAVICHEDVDATRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAEADHLRGVTENIMLGQLAPIGTGGCALYLNDQMLQQAIELQLPSYMEGLDFGMTPSRSPVSGTPYHEGMMSPSYLLSPNARSSPITDAQFSPYVGGMGFSPTSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPVYSPTSPVYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPPSAKYSPSIAYSPSSPRISPSSPYSPTSPNYSPTSPSYSPTSPSYSPPSPTHSPSSPYNAGPSLNYSPSSPQYSPSAGYSPTAPGYSPSSTSQYTPQQSNKEDETTQ >DRNTG_07147.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20924027:20924270:1 gene:DRNTG_07147 transcript:DRNTG_07147.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLLLNRSRSHEKEEEDLERRGRCIAELREEGWRLAIIGAPMVITTLAQFFVQVVSSMMVGHLGELQ >DRNTG_33132.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10560984:10562866:-1 gene:DRNTG_33132 transcript:DRNTG_33132.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPLIALYSRVDALSEKVDRIIASQQQSIHCCNTYHLIEKAYPNFLCNSDGQYWEAPQEECQKDEIIGDDALQLQKEQQVFEQAIQVPYRNDLVVNNNEEVGKIEYIGAENEKEEAEYHFEILDNVNEDCACERENFQGDLLVSCSFQAENTKEEVNPKSLILISSVSFFFSFLRPIFIYFAAPVSSSPAVETDAPAIDTCT >DRNTG_32767.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26326566:26326925:1 gene:DRNTG_32767 transcript:DRNTG_32767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEQQVRASHILIKYEGSRRKASWKNPDGRIISATTRDVAVPKLCPLHDDIVSGRTHFEEVASRYSHCSSAKHGGDLGRFGQGQMQKPFEDTTISLKVREMSDIVDTDSGVHIILRTG >DRNTG_14288.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:789685:792624:1 gene:DRNTG_14288 transcript:DRNTG_14288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVFVYLFIFIISTLFFFFSYTLFFKIFNNGGLPPGPPLVPIIGNIQWLWTSSEAFPNRLRDLHARYGPIITLHIGSFRAIFISDRQITYDALITRGAVFADRPPAIPTTGVFTSNQHTINSAHYGPLWRLLRRNLISEILHSSRVKLFSNGRQWVLNILISKIRASAETNNSIVLDFKENIQFSMFCLLVLMCFGEKLDEKAIRDIETATRNFLLYSLKLSVLAFFPTLSKLIYRKRWNTAINLLQKQKDIIIPLIRTREKHKEKQNKQGWSDDNEKERFVYSYLDSLLDIKLTEEGNRKLTDDELSNICSEFLNAGTDTTATSLEWIMANLVKHQEIQAKLFDEIQGVVESTEAEEVKEEELQRMPYLKAVVLEGLRRHPPGRFLLPHSVAEDVMLNGYVIPKGVTINFMVADLGRDDKVWDKPMEFRPERFMEGGEGEGVDITGSKEINMIPFGAGRRICPGFGLALLHLEYFVANLIKNFEWKAIEKEEVDLISEKPEFTVVMKNSLRARITPRKI >DRNTG_14288.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:789863:792624:1 gene:DRNTG_14288 transcript:DRNTG_14288.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMVFVYLFIFIISTLFFFFSYTLFFKIFNNGGLPPGPPLVPIIGNIQWLWTSSEAFPNRLRDLHARYGPIITLHIGSFRAIFISDRQITYDALITRGAVFADRPPAIPTTGVFTSNQHTINSAHYGPLWRLLRRNLISEILHSSRVKLFSNGRQWVLNILISKIRASAETNNSIVLDFKENIQFSMFCLLVLMCFGEKLDEKAIRDIETATRNFLLYSLKLSVLAFFPTLSKLIYRKRWNTAINLLQKQKDIIIPLIRTREKHKEKQNKQGWSDDNEKERFVYSYLDSLLDIKLTEEGNRKLTDDELSNICSEFLNAGTDTTATSLEWIMANLVKHQEIQAKLFDEIQGVVESTEAEEVKEEELQRMPYLKAVVLEGLRRHPPGRFLLPHSVAEDVMLNGYVIPKGVTINFMVADLGRDDKVWDKPMEFRPERFMEGGEGEGVDITGSKEINMIPFGAGRRICPGFGLALLHLEYFVANLIKNFEWKAIEKEEVDLISEKPEFTVVMKNSLRARITPRKI >DRNTG_14288.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:789863:792510:1 gene:DRNTG_14288 transcript:DRNTG_14288.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMVFVYLFIFIISTLFFFFSYTLFFKIFNNGGLPPGPPLVPIIGNIQWLWTSSEAFPNRLRDLHARYGPIITLHIGSFRAIFISDRQITYDALITRGAVFADRPPAIPTTGVFTSNQHTINSAHYGPLWRLLRRNLISEILHSSRVKLFSNGRQWVLNILISKIRASAETNNSIVLDFKENIQFSMFCLLVLMCFGEKLDEKAIRDIETATRNFLLYSLKLSVLAFFPTLSKLIYRKRWNTAINLLQKQKDIIIPLIRTREKHKEKQNKQGWSDDNEKERFVYSYLDSLLDIKLTEEGNRKLTDDELSNICSEFLNAGTDTTATSLEWIMANLVKHQEIQAKLFDEIQGVVESTEAEEVKEEELQRMPYLKAVVLEGLRRHPPGRFLLPHSVAEDVMLNGYVIPKGVTINFMVADLGRDDKVWDKPMEFRPERFMEGGEGEGVDITGSKEINMIPFGAGRRICPGFGLALLHLEYFVANLIKNFEWKAIEKEEVDLISEKPEFTVVMKNSLRARITPRKI >DRNTG_14288.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:789806:792624:1 gene:DRNTG_14288 transcript:DRNTG_14288.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMVFVYLFIFIISTLFFFFSYTLFFKIFNNGGLPPGPPLVPIIGNIQWLWTSSEAFPNRLRDLHARYGPIITLHIGSFRAIFISDRQITYDALITRGAVFADRPPAIPTTGVFTSNQHTINSAHYGPLWRLLRRNLISEILHSSRVKLFSNGRQWVLNILISKIRASAETNNSIVLDFKENIQFSMFCLLVLMCFGEKLDEKAIRDIETATRNFLLYSLKLSVLAFFPTLSKLIYRKRWNTAINLLQKQKDIIIPLIRTREKHKEKQNKQGWSDDNEKERFVYSYLDSLLDIKLTEEGNRKLTDDELSNICSEFLNAGTDTTATSLEWIMANLVKHQEIQAKLFDEIQGVVESTEAEEVKEEELQRMPYLKAVVLEGLRRHPPGRFLLPHSVAEDVMLNGYVIPKGVTINFMVADLGRDDKVWDKPMEFRPERFMEGGEGEGVDITGSKEINMIPFGAGRRICPGFGLALLHLEYFVANLIKNFEWKAIEKEEVDLISEKPEFTVVMKNSLRARITPRKI >DRNTG_14288.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:789806:792510:1 gene:DRNTG_14288 transcript:DRNTG_14288.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMVFVYLFIFIISTLFFFFSYTLFFKIFNNGGLPPGPPLVPIIGNIQWLWTSSEAFPNRLRDLHARYGPIITLHIGSFRAIFISDRQITYDALITRGAVFADRPPAIPTTGVFTSNQHTINSAHYGPLWRLLRRNLISEILHSSRVKLFSNGRQWVLNILISKIRASAETNNSIVLDFKENIQFSMFCLLVLMCFGEKLDEKAIRDIETATRNFLLYSLKLSVLAFFPTLSKLIYRKRWNTAINLLQKQKDIIIPLIRTREKHKEKQNKQGWSDDNEKERFVYSYLDSLLDIKLTEEGNRKLTDDELSNICSEFLNAGTDTTATSLEWIMANLVKHQEIQAKLFDEIQGVVESTEAEEVKEEELQRMPYLKAVVLEGLRRHPPGRFLLPHSVAEDVMLNGYVIPKGVTINFMVADLGRDDKVWDKPMEFRPERFMEGGEGEGVDITGSKEINMIPFGAGRRICPGFGLALLHLEYFVANLIKNFEWKAIEKEEVDLISEKPEFTVVMKNSLRARITPRKI >DRNTG_14288.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:789685:792510:1 gene:DRNTG_14288 transcript:DRNTG_14288.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVFVYLFIFIISTLFFFFSYTLFFKIFNNGGLPPGPPLVPIIGNIQWLWTSSEAFPNRLRDLHARYGPIITLHIGSFRAIFISDRQITYDALITRGAVFADRPPAIPTTGVFTSNQHTINSAHYGPLWRLLRRNLISEILHSSRVKLFSNGRQWVLNILISKIRASAETNNSIVLDFKENIQFSMFCLLVLMCFGEKLDEKAIRDIETATRNFLLYSLKLSVLAFFPTLSKLIYRKRWNTAINLLQKQKDIIIPLIRTREKHKEKQNKQGWSDDNEKERFVYSYLDSLLDIKLTEEGNRKLTDDELSNICSEFLNAGTDTTATSLEWIMANLVKHQEIQAKLFDEIQGVVESTEAEEVKEEELQRMPYLKAVVLEGLRRHPPGRFLLPHSVAEDVMLNGYVIPKGVTINFMVADLGRDDKVWDKPMEFRPERFMEGGEGEGVDITGSKEINMIPFGAGRRICPGFGLALLHLEYFVANLIKNFEWKAIEKEEVDLISEKPEFTVVMKNSLRARITPRKI >DRNTG_23013.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3107759:3112942:-1 gene:DRNTG_23013 transcript:DRNTG_23013.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGLRALIEAIHSTPAQAVLFLSGGASQALGWILSVPGASSTVLEVVVPYSKTSMAQLLGKMPAKFTSQQTAEEMALLAFNRALKLCRPGSRVIGVGFTGSLASLHPKRGDHRFCLSTRTCDRLWVSNVTLSKGLRSREEEDKVSSHLLVKAIADACKVSAGPHSGLNEFETPVECETHFDEDQELQQLIDGEICMKVYHFSDNHTSNSETKVILSGSFNPLHDGHCKLLEVATSLFGDALPCFEITAINADKPPLSISEIKERVKQFEKIGKTVIISNQPYFYKKAELFPGSAFVIGADTAARLINPKYYGGDYDRMVETLLGCKRSGCTFLVGGRNIDGVFKVLEDFDIPQELKDMFISIPEDRFRIDISSTEIRKSRASSTFVPS >DRNTG_23013.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3107759:3111991:-1 gene:DRNTG_23013 transcript:DRNTG_23013.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKFTSQQTAEEMALLAFNRALKLCRPGSRVIGVGFTGSLASLHPKRGDHRFCLSTRTCDRLWVSNVTLSKGLRSREEEDKVSSHLLVKAIADACKVSAGPHSGLNEFETPVECETHFDEDQELQQLIDGEICMKVYHFSDNHTSNSETKVILSGSFNPLHDGHCKLLEVATSLFGDALPCFEITAINADKPPLSISEIKERVKQFEKIGKTVIISNQPYFYKKAELFPGSAFVIGADTAARLINPKYYGGDYDRMVETLLGCKRSGCTFLVGGRNIDGVFKVLEDFDIPQELKDMFISIPEDRFRIDISSTEIRKSRASSTFVPS >DRNTG_23013.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3107759:3112942:-1 gene:DRNTG_23013 transcript:DRNTG_23013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKFTSQQTAEEMALLAFNRALKLCRPGSRVIGVGFTGSLASLHPKRGDHRFCLSTRTCDRLWVSNVTLSKGLRSREEEDKVSSHLLVKAIADACKVSAGPHSGLNEFETPVECETHFDEDQELQQLIDGEICMKVYHFSDNHTSNSETKVILSGSFNPLHDGHCKLLEVATSLFGDALPCFEITAINADKPPLSISEIKERVKQFEKIGKTVIISNQPYFYKKAELFPGSAFVIGADTAARLINPKYYGGDYDRMVETLLGCKRSGCTFLVGGRNIDGVFKVLEDFDIPQELKDMFISIPEDRFRIDISSTEIRKSRASSTFVPS >DRNTG_19318.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:683168:687302:1 gene:DRNTG_19318 transcript:DRNTG_19318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPPVKYKRRRVSAIRHFPKGCGPHAPKLADLVNPAEEIHPTSVPDDPVLVSATKEVSLPQEFEKAASDRTGLDESLKVFNGESVDLIVGDGGAPSEAVGGEEKMDVEVGKAKELVLKMYPPPRRRKVSAIRTFPAGCGSKAPPLMKALEDESLIVRGNENVELDGRIVENTGSRLVDPAPATHVGKSMEAEEKHNGKEIECVEKVNKDDEIGLDTNDSPKAKSAEGVQKLVVKPRDNSREFGSSSVVLKVGDVDGRKLESDSEIGRDGSESPKVSNVDGDQKLDVKPGDNEKVVESASVVQKDANVDVLKKLALKQKVVDKSSNQVVVPVGEVEVEEKGNATKQAKERTIENMGLVCVRSLENIHSRENVAEGEGKKMTLNRSVSEKKMEVDNLKHDAKSTKFGLQENRPFQLDATSPSRIKIVDRDGSSLPEREEDSRCLMIRKNTKKLARKSLVRSQEKSIVLSAQEQEDLVSYADRLIVQALTSAENCPWKQGKKPIKSRSQTVTPKNKVKRNGKASGKLSAGVASSQGTSLVAVNDENGSLHWEDEIRQALVAHKKTRQFDVTLTPLGPSMPCPPESGGNEGVVTRNSVKKTLRLFQVIVRKLLQREESKRADPNRGNRIDLMAANILKEHNEWVNKGKNFVGVVPGVEVGDEFHYRVELSIIGLHRPFQGGIDATKLGDINVATSIVASGGYPDDLDSSESSDVLIYSGSGGNPQKGEIQGSDQKLERGNLALKNSIETKTPVRVIYGFKETKASESHDSKPKLVSTFTYDGLYLVESYWKERGKHGDVFKFRLRRIPGQPDIGLKEVKKSKKLKEREGLCVKDISNGKEIIPICVINNIDSDQPLPFKYITKVIAPSWYIPTPPVGCECTNGCLDSVNCACAIKNGGEIPYNFSGAIIQAKPLVYECGPSCKCPPSCSNRVSQHGIKIPLEVFKTRSRGWGVRSLSSIQSGSFICEYIGELLQESEAEQRCNDEYLFDIGHNYDDQALWEGLPTLIPALQSNPLGEVKEDSGFTIDAADCGNVGRFINHSCSPNLYAQNVLYDHDDKRIPHIMFFAADNIPPLQELTYHYNYTIDQVRDSEGNIKRKDCYCGAPDCTGRLY >DRNTG_18990.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22695459:22696048:-1 gene:DRNTG_18990 transcript:DRNTG_18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELLKFRILAFLVHWSGQKNHIDAANAVGVKHIVLVGFMGGTNPHLLLNSLGNGKIFASYSDFLIKDVTFCL >DRNTG_18089.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:304105:305107:1 gene:DRNTG_18089 transcript:DRNTG_18089.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTNQSVKHSIQPKAKKEDPRMALQRGLMSVKHYQSLHEDQIIQFYF >DRNTG_25425.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24642980:24647313:1 gene:DRNTG_25425 transcript:DRNTG_25425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIKKLAESRDLTRIERIGAHSHIRGLGLDTTLEARAASEGMVGQLPARRAAGLILQLVREGRIAGRAVLLAGQPGTGKTAIAMGLAKSLGLETPFATLSASELFSLEFSKTEALTQAFRKAIGVRIKEEAEVIEGEVVEITIDRPAAGGAAAKTGKLTLKTTDMETVYELGGKMIEALTREKVQSGDVIALDKASGKVTKLGRSIGRSRDYDAVGPHTKFVRCPEGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIIPGVLFIDEVHMLDIECFSFLNRALENEMAPILVIATNRGITTIRGTNYRSPHGIPADFLDRLLIISTQPFTEDEIHQILGIRCEEEDVEMSKDAKLLLTKIGIETSLRYAIHLITAAALACQKRKGKIVEIDDISRVYGLFLDVKRSTQYLMEYQNEYMFNEVPRDADECETMQS >DRNTG_10919.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:16414:17986:-1 gene:DRNTG_10919 transcript:DRNTG_10919.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDRKMETLPPTIITFSPNFELSDEGSNYLLKVNLPGFNRKDFTVQVSQGRKLIFKGRRQLEKNTYVLLDTTYDLPQDSDNNKKMTFQFANGRFVLPIPKMVKPMPEEKLKSEEIKQQPLHALSPFHHEKKIEQGKKQEDALQAEKMKPIQGREKVAADQIPQPKTSPPTDHEKKFEEREKVAADQIIQPKTSPPTDHEKKFEEREKVAADQIPQPKTSPPTDHEKKIEEREKVAADQIPQSKTSPPTDHEKKIEDREKVAADQIIQPKTSPPTDHEKKIEDREKVAADQIIQPKTSPPTDHEKKIEEREKVTAELSQTKVPPPNEKKSDHIQDEGSKEKITDDQNEKKFRLLCKRKFREEGWLDHGMLDSLIERINNNKKVILVAVVALSVGFYVSHKLRSSRK >DRNTG_18414.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4114470:4122239:-1 gene:DRNTG_18414 transcript:DRNTG_18414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEAPEEEHCNKSVIEDFETACWGCGLRLHLASYAPVFKCGWCGAITDQNQSVRKPDSVCFSWWRCLRDGFFVGLLFLFIIFVICAGVWAVYPVIFSVSYFCGVFHCTLTAILSIITILSFYLAAFRPAGTQANVQWGSYPVVGKGSLENYTFCLYCGRPKSPRSHHCRSCKICVLDMDHHCPFIGNCVGAANHRSFIAFLISVVISCTYVAAMSIYAGYHVWPPLEYKYLTSSRSSSLGAIGTMKLIAAALADSTLLLSARGLVLIYLAFASLSVEIGISVLLMQQLVFIYKGNTYINSLSSENDGNEERGCHNLLRFFGCPYSVFKFLLGSANAVKLQQRLSSKLL >DRNTG_18414.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4118159:4122239:-1 gene:DRNTG_18414 transcript:DRNTG_18414.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEAPEEEHCNKSVIEDFETACWGCGLRLHLASYAPVFKCGWCGAITDQNQSVRKPDSVCFSWWRCLRDGFFVGLLFLFIIFVICAGVWAVYPVIFSVSYFCGVFHCTLTAILSIITILSFYLAAFRPAGTQANVQWGSYPVVGKGSLENYTFCLYCGRPKSPRSHHCRSCKICVLDMDHHCPFIGNCVGAANHRSFIAFLISVVISCTYVAAMSIYAGYHVWPPLEYKYLTSSRSSSLGAIGTMKLIAAALADSTLLLSARGLVLIYLAFASLSVEIGISVLLMQQLVFIYKGNTYINSLSSENDGNEERGCHNLLRFFGCPYSVFKFLLGSANAVKLQQRLSSKLL >DRNTG_18414.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4118159:4122239:-1 gene:DRNTG_18414 transcript:DRNTG_18414.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEAPEEEHCNKSVIEDFETACWGCGLRLHLASYAPVFKCGWCGAITDQNQSVRKPDSVCFSWWRCLRDGFFVGLLFLFIIFVICAGVWAVYPVIFSVSYFCGVFHCTLTAILSIITILSFYLAAFRPAGTQANVQWGSYPVVGKGSLENYTFCLYCGRPKSPRSHHCRSCKICVLDMDHHCPFIGNCVGAANHRSFIAFLISVVISCTYVAAMSIYAGYHVWPPLEYKYLTSSRSSSLGAIGTMKLIAAALADSTLLLSARGLVLIYLAFASLSVEIGISVLLMQQLVFIYKGNTYINSLSSENDGNEERGCHNLLRFFGCPYSVFKFLLGSANAVKLQQRLSSKLL >DRNTG_29252.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27967355:27969420:-1 gene:DRNTG_29252 transcript:DRNTG_29252.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASASYPPCSLTTFLMSLASPPFLAPARLHAALPMAASVDEEDDAWIVFRTMPCRDVITWNAMITGFLSGGHPQRSLNLFAEMRQCRVAFDQATIVCVICSCCSLRQCQELHGLVTKFGFESEVEVGTALLKAYAGFGGDGDDCLRIFEGIQEHDIVSWTGIIAACAEQEPEKAILLFCRLQQHDLKPDRYTFSVVIRASAGFATERHCSALYSLIVRYGFGDDLVLCNALIHAYAHCGSIGLAEQVFEQMTTRDQVSWNSMIMAYAAHGRGREALRAFAFMDVLPDSATFVGVLTACSHGGLVNDGRDLFKAMLEVYGIAPQLDHFACMVDILGRAGKLLEAEDLINQMPIKPDSVIWSSLLGACRKHGEAKIAEKAAQMLMELDPQNSVGYVMMSNIFSAKGSFSNAASFRKGMKVYGVKKDPGLSWIEIGNHIHEFSAGGLHHPQREEIYEELKKLVIKVKEVGYVADIRLVFHEIEEEYKEERLLLHSEKLALVFGLMNSSSTLADLRIMKNIRICEDCHNFMKLTSKCIDREIIVRDANRFHHFKDGHCSCGNYW >DRNTG_29252.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27967355:27969420:-1 gene:DRNTG_29252 transcript:DRNTG_29252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASASYPPCSLTTFLMSLASPPFLAPARLHAALPMAASCCSVDEEDDAWIVFRTMPCRDVITWNAMITGFLSGGHPQRSLNLFAEMRQCRVAFDQATIVCVICSCCSLRQCQELHGLVTKFGFESEVEVGTALLKAYAGFGGDGDDCLRIFEGIQEHDIVSWTGIIAACAEQEPEKAILLFCRLQQHDLKPDRYTFSVVIRASAGFATERHCSALYSLIVRYGFGDDLVLCNALIHAYAHCGSIGLAEQVFEQMTTRDQVSWNSMIMAYAAHGRGREALRAFAFMDVLPDSATFVGVLTACSHGGLVNDGRDLFKAMLEVYGIAPQLDHFACMVDILGRAGKLLEAEDLINQMPIKPDSVIWSSLLGACRKHGEAKIAEKAAQMLMELDPQNSVGYVMMSNIFSAKGSFSNAASFRKGMKVYGVKKDPGLSWIEIGNHIHEFSAGGLHHPQREEIYEELKKLVIKVKEVGYVADIRLVFHEIEEEYKEERLLLHSEKLALVFGLMNSSSTLADLRIMKNIRICEDCHNFMKLTSKCIDREIIVRDANRFHHFKDGHCSCGNYW >DRNTG_29252.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27967355:27968216:-1 gene:DRNTG_29252 transcript:DRNTG_29252.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVYGIAPQLDHFACMVDILGRAGKLLEAEDLINQMPIKPDSVIWSSLLGACRKHGEAKIAEKAAQMLMELDPQNSVGYVMMSNIFSAKGSFSNAASFRKGMKVYGVKKDPGLSWIEIGNHIHEFSAGGLHHPQREEIYEELKKLVIKVKEVGYVADIRLVFHEIEEEYKEERLLLHSEKLALVFGLMNSSSTLADLRIMKNIRICEDCHNFMKLTSKCIDREIIVRDANRFHHFKDGHCSCGNYW >DRNTG_29252.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27967100:27968216:-1 gene:DRNTG_29252 transcript:DRNTG_29252.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVYGIAPQLDHFACMVDILGRAGKLLEAEDLINQMPIKPDSVIWSSLLGACRKHGEAKIAEKAAQMLMELDPQNSVGYVMMSNIFSAKGSFSNAASFRKGMKVYGVKKDPGLSWIEIGNHIHEFSAGGLHHPQREEIYEELKKLVIKVKEVGYVADIRLVFHEIEEEYKEERLLLHSEKLALVFGLMNSSSTLADLRIMKNIRICEDCHNFMKLTSKCIDREIIVRDANRFHHFKDGHCSCGNYW >DRNTG_12144.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11723098:11723949:-1 gene:DRNTG_12144 transcript:DRNTG_12144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGCRKGGQRVKVTVELNLGDLVLAKVKGFPAWPVKISRPEDWKRSLDPKKYLVQLFGTSEMAVVTPDDVQVFTNESKSKLIARYQSKTVKYFASAVEEISKAFEELQKKSMSDLAEDSEETALGIVSSLTVGVR >DRNTG_07855.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000349.1:7844:18934:1 gene:DRNTG_07855 transcript:DRNTG_07855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPTQELFHASREDGRLKLQLVHQEDEVYMEEEKR >DRNTG_16941.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:55482:57585:1 gene:DRNTG_16941 transcript:DRNTG_16941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMCADSGNLMAIAQQVIQQKQQQQQQQQQQQQQQQHLQLQPPPPTISAINPFSSPWPGHPPNPSHLHDFHPFALTDPPFSDPFSSDFPPPAPFRLSTAPEFDSDEWMESLIGESPTESSDLISDAWHGPPATNELPSLFSADPFASSPASTSDLNRVVFSDTQKLAPSLSGHHQLSSLQTVNPTPPSFQIHSFDPPPPQPQHPKKDGKRSPASSDPKTLSSPLLRSLLDCARLADSDPDLAAKSLIRIRQSASDHGDPTERVAFYFAEALYRRLSRASSPSTIFDSSPEDVTLCYKTLNDACPYSKFAHLTANQAILEATESSTRIHIVDLGVVQGIQWAALLQALATRSAGKPSRVRISGIPAPSLGPNPAASLAATGARLRDFAALLDLEFEFEPVLTPVNELTELSFHVDPDESVAVNFMLQLYNWLGESTESVERILRTAKSLNPTVVTLGEYEASLNGVGFVDRFSNALSFYSAFFNSLEPALNRDSPDRVLVERVLLGHRILRVVGPEDGPRSTGSDGREGEMDGFDGTMRVRIRATESLRRKPSETSVMELQLQR >DRNTG_03977.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25529733:25534472:-1 gene:DRNTG_03977 transcript:DRNTG_03977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANWTKVELLLEAEGTNVNSRLQIIKRLSGCPQWRRNQYDTISSDKKKRYCSPCCPNNLFISFIINFNSCDYFFNIPKNHIQMLIISMELAAEFTIGTKLDIYAFVETETD >DRNTG_19154.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6283778:6288086:-1 gene:DRNTG_19154 transcript:DRNTG_19154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRNGEKDGGVDVKEGGFRSKLKGFGSFGSKDMFVRADKIDLKSLDIQLEKKMSKVWTKDNRGSTQRPKEEWEIDVSKLDVRYVLARGTYGTVYRGSYDGQEVAVKLLDWGEDGFATDAETAALRASFQQEVAVWHKLDHPNVTKFVGASMGTSELKIPEKNSTSNGQKSIPARACCVVVEYLPGGTLKQYLIKNRRKKLPYKIVIQLALDLARGLSYLHSRKIVHRDVKTENMLLDTQRTLKIADFGVARVEAQNPQDMTGETGTLGYMAPEVLNGKPYNRKCDVYSFGICLWEIYCCDMPYPDLSFAEVSSAVVRQNLRPDIPRCCPNALASVMKKCWDANADKRPDMDEVVKLLEAIDTSKGGGMIPEDQKMGCLCFVKTRGP >DRNTG_18551.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4541899:4544803:-1 gene:DRNTG_18551 transcript:DRNTG_18551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPLALLCISFLFIFPLSCSSSIPIRSEYEVSLLFEGWLVKLNKSYKDSSEKEKRYEIFKDNLRYIDEHNTRNHTFTLGLNVFADITVEEYRATYLGTMPPSHSLYMTTDENIEKDESDDRYFNMTNTPSSIDWRDLGAVTPVKNQGGCFSCWAFAVLATVEAINQIKTGNLISLSEQQLVDCHKKTCQGHQLHKTYEYIVSNGGVDTDKDYPYKANVTKCDTAKENKKVVSIDDYKMVTQQNEFALMEAAANQPVAVIVEAYERNFQLYKKGIFTTYCGTKVDHAVTIVGYDSTGGVDYWIIKNSWGDFWGEAGYLRLQRNIQDRAGKCGVAEWPYYPIKN >DRNTG_18551.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4543797:4544803:-1 gene:DRNTG_18551 transcript:DRNTG_18551.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPLALLCISFLFIFPLSCSSSIPIRSEYEVSLLFEGWLVKLNKSYKDSSEKEKRYEIFKDNLRYIDEHNTRNHTFTLGLNVFADITVEEYRATYLGTMPPSHSLYMTTDENIEKDESDDRYFNMTNTPSSIDWRDLGAVTPVKNQGGCFSCWAFAVLATVEAINQIKTGNLISLSEQQLVDCHKKTCQGHQLHKTYEYIVSNGGVDTDKDYPYKANVTKCDTAKENKKVVSIDDYKMVTQQNEFALMEAAANQPVAVIVEAYERNFQLYKK >DRNTG_26211.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:343113:351663:-1 gene:DRNTG_26211 transcript:DRNTG_26211.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling factor18 [Source:Projected from Arabidopsis thaliana (AT1G48310) TAIR;Acc:AT1G48310] MKATVMIRRLKKDVLSELPVKRRQQVFLDLDEKDIKQIRFLLRELEVIKSKIQASQSSEEVESLKISQRTLINKIYNDSAHAKIPAVHDYLGTVIEAGCKFLIFAHHQPMIDSIHQFLIKKKVACIRIDGRTPSSLRQALVTDFQEKDSIRAAVLSIKAAGVGLTLTAATTVIFAELSWTPGDIIQAEDRAHRIGQVSSVNIYYLLANDTVDDIIWDVVQSKLENLGQFLDGEENTLEVSKSETSSSPAKQGTLDTYLKRCRGGADTFPEAKKPK >DRNTG_26211.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:343113:344709:-1 gene:DRNTG_26211 transcript:DRNTG_26211.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling factor18 [Source:Projected from Arabidopsis thaliana (AT1G48310) TAIR;Acc:AT1G48310] AHYLQKKKVACIRIDGRTPSSLRQALVTDFQEKDSIRAAVLSIKAAGVGLTLTAATTVIFAELSWTPGDIIQAEDRAHRIGQVSSVNIYYLLANDTVDDIIWDVVQSKLENLGQFLDGEENTLEVSKSETSSSPAKQGTLDTYLKRCRGGADTFPEAKKPK >DRNTG_26211.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:343113:351663:-1 gene:DRNTG_26211 transcript:DRNTG_26211.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling factor18 [Source:Projected from Arabidopsis thaliana (AT1G48310) TAIR;Acc:AT1G48310] MVQQWLNIPAADILVVLSQYGGSNKAGFYTVYLNKTGTTNLDGVFNILSYDAVPKLQNILLSSEFKIVIADESHFLKNAQAKRTSASVPILQRARYTILLSGTPALSRPIELFKQLAALYPDVYKNVHEYGNRYCKGGVFGLYQGASNHEELHNLMKATVMIRRLKKDVLSELPVKRRQQVFLDLDEKDIKQIRFLLRELEVIKSKIQASQSSEEVESLKISQRTLINKIYNDSAHAKIPAVHDYLGTVIEAGCKFLIFAHHQPMIDSIHQFLIKKKVACIRIDGRTPSSLRQALVTDFQEKDSIRAAVLSIKAAGVGLTLTAATTVIFAELSWTPGDIIQAEDRAHRIGQVSSVNIYYLLANDTVDDIIWDVVQSKLENLGQFLDGEENTLEVSKSETSSSPAKQGTLDTYLKRCRGGADTFPEAKKPK >DRNTG_26211.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:343113:350637:-1 gene:DRNTG_26211 transcript:DRNTG_26211.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling factor18 [Source:Projected from Arabidopsis thaliana (AT1G48310) TAIR;Acc:AT1G48310] MCTRMFMSMEIDIAKGVFGLYQGASNHEELHNLMKATVMIRRLKKDVLSELPVKRRQQVFLDLDEKDIKQIRFLLRELEVIKSKIQASQSSEEVESLKISQRTLINKIYNDSAHAKIPAVHDYLGTVIEAGCKFLIFAHHQPMIDSIHQFLIKKKVACIRIDGRTPSSLRQALVTDFQEKDSIRAAVLSIKAAGVGLTLTAATTVIFAELSWTPGDIIQAEDRAHRIGQVSSVNIYYLLANDTVDDIIWDVVQSKLENLGQFLDGEENTLEVSKSETSSSPAKQGTLDTYLKRCRGGADTFPEAKKPK >DRNTG_26211.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:343113:344481:-1 gene:DRNTG_26211 transcript:DRNTG_26211.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling factor18 [Source:Projected from Arabidopsis thaliana (AT1G48310) TAIR;Acc:AT1G48310] LERISVFAQVICSIYVPLLIFQLSIKAAGVGLTLTAATTVIFAELSWTPGDIIQAEDRAHRIGQVSSVNIYYLLANDTVDDIIWDVVQSKLENLGQFLDGEENTLEVSKSETSSSPAKQGTLDTYLKRCRGGADTFPEAKKPK >DRNTG_26211.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:343113:345255:-1 gene:DRNTG_26211 transcript:DRNTG_26211.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling factor18 [Source:Projected from Arabidopsis thaliana (AT1G48310) TAIR;Acc:AT1G48310] MIDSIHQFLIKKKVACIRIDGRTPSSLRQALVTDFQEKDSIRAAVLSIKAAGVGLTLTAATTVIFAELSWTPGDIIQAEDRAHRIGQVSSVNIYYLLANDTVDDIIWDVVQSKLENLGQFLDGEENTLEVSKSETSSSPAKQGTLDTYLKRCRGGADTFPEAKKPK >DRNTG_26211.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:343113:348317:-1 gene:DRNTG_26211 transcript:DRNTG_26211.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling factor18 [Source:Projected from Arabidopsis thaliana (AT1G48310) TAIR;Acc:AT1G48310] MIDSIHQFLIKKKVACIRIDGRTPSSLRQALVTDFQEKDSIRAAVLSIKAAGVGLTLTAATTVIFAELSWTPGDIIQAEDRAHRIGQVSSVNIYYLLANDTVDDIIWDVVQSKLENLGQFLDGEENTLEVSKSETSSSPAKQGTLDTYLKRCRGGADTFPEAKKPK >DRNTG_26211.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:343113:351663:-1 gene:DRNTG_26211 transcript:DRNTG_26211.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling factor18 [Source:Projected from Arabidopsis thaliana (AT1G48310) TAIR;Acc:AT1G48310] MIDSIHQFLIKKKVACIRIDGRTPSSLRQALVTDFQEKDSIRAAVLSIKAAGVGLTLTAATTVIFAELSWTPGDIIQAEDRAHRIGQVSSVNIYYLLANDTVDDIIWDVVQSKLENLGQFLDGEENTLEVSKSETSSSPAKQGTLDTYLKRCRGGADTFPEAKKPK >DRNTG_16593.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000828.1:10418:13534:1 gene:DRNTG_16593 transcript:DRNTG_16593.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLSHFRDDSSPNAWDYSAWVRSYALFLEERLECFRTLKYDVETDPPRTRDLDTPELLEHLQALQQLFYRLLGCQPQGAAGYNIVIQLPLSMVRRCCSSFLLLNVL >DRNTG_16593.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000828.1:10418:13797:1 gene:DRNTG_16593 transcript:DRNTG_16593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLSHFRDDSSPNAWDYSAWVRSYALFLEERLECFRTLKYDVETDPPRTRDLDTPELLEHLQALQQLFYRLLGCQPQGAAGYNIVIQLPLSMVARESIKIYNAINDGTVNMVDKFFEMQRQDALKALDIYR >DRNTG_16593.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000828.1:11391:13534:1 gene:DRNTG_16593 transcript:DRNTG_16593.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLSHFRDDSSPNAWDYSAWVRSYALFLEERLECFRTLKYDVETDPPRTRDLDTPELLEHLQALQQLFYRLLGCQPQGAAGYNIVIQLPLSMVRRCCSSFLLLNVL >DRNTG_00028.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21605710:21609985:-1 gene:DRNTG_00028 transcript:DRNTG_00028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTAQHQALSAQLAGAVPSRPRRSAVVSNPSSIVSASLPESGISHHQNPSRKPPHWIRKKTLAVSSASALAAAVGGGFIFHLSSGAPPPGNPGGGGGWGWGSGGGGDGGGFWSRFFSPLAAIAEDDQTGGGGGGQEWDSHGLPANIVVQLSKLSGFKKYKISEILFFDRRKWTTVSGTEDSFFEMVSLRPGGVYTKAQLQKELETLASCGMFEKVDLEGKTKPDGTLSLTVSFTESTWQSADSFRCINVGLLPQTKQMEMDPDMTDREKLEYFRNQEKDYKRRIERSRPCLLPIPVQREVMQMLREQGKLSARLLQRIRDRVQKWYHDEGYACAQVVNFGNLNTREVVCEVVEGDITKLDIQFQDKLGNICEGNTQLGVIRRELPKQLRQGHVFNIEAGKQALRNINSLALFSNIEVNPKPDEKNEGGIIVEIKLKELDQKSAEVSTEWSIVPGRQGRPTLASFQPGGTVSFEHRNISGLNRSLLGSVTSSNLLNPQDDLAFKLEYVHPYLDGVYNPRNRTFRASCFNSRKLSPVFTGGSAMEEVPPIWVDRVGFKANVMESFTRQSKFTYGLVLEEITTRDETSSICTHGTRPLPNGGLSMDGPPTTLSGTGVDRMAFLQANITRDNTKFINGAIVGERNVFQGLGIGSKFPVFNRHQLTVTRFLQLKQVEEGAGRPPPPVLVLHGHYGGCVGDLPSYDAFTLGGPYSVRGYNMGELGACRNILELAAELRVPVKNTHMYAFVEHGNDLGSSKNVKGNPTEFFRRVGHGSSYGAGVKLGLVRMEYAVDHNAGTGAVFFRFGERF >DRNTG_31079.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30772499:30777945:-1 gene:DRNTG_31079 transcript:DRNTG_31079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRSKPSPISASAAPPPPRTLNVQKFAECRAPELESLHEIIAGRLGHGFRIQREKRRRTTGHLVAKNRRRKRRKTVDGGEAEDGGKKVSRRARRRAELQRNPLTGFCVSGDGTKRLRTHLWHAKRFTMVKLWGFYLPLGLRGRGRGSRSILKCLKSGTLVHDASYCSPIQLDGPEDSILSVLKMVLLPSLSDDWKKLYKPIAYGVCYGNAMLYHVGTHLSRLIAPVMYMWRPFDRGNAHICVEQDHNSGHCSIPDENSHSNLSDRQLWIWIHAAAFNEGFDALTSACQKLMDGSGVTVSCSSLVGRLGKLEVIGSNANQAIKKLLHPAPESDQAASRCSNSIEDPISQVKKSYIMHHAEHLPSNAVFSLKVYDPRDLPSTGSESIPEVAFTKQENNLQHDNVTDANTEISANTEDLLSSFWSEPRSNGGFLSDSEGLWGSNDKLSPPIQENILCEEKHLKRLELFCLDESDNLTPVTGCRKGSFQLCPLLLLKHKDIGNISAGWSIILPLSWAKVFWLALVSNGAHAVGIREKRWVACNNGLPSFPFDFPDCEAYSSAMAAKCIETDRNAELRPPAAQPLKVPIPPPWSCVLSTIERGQSTINDHSTPVSQESDHEAVLEGTLVKPVSELLESSSSSSSSSEQAAPTFQGFIPRTSDALSQYIEKKADKHLLLFPDLSLPTEENWFGCLTRCSNLKPYDRELCFVRVLLHAFKEGFFEEGAVICAPTPTDLSNWTSRSDEETEGLQIPQCVLKSYFSQKVSGKWELHLPSDNTAFQTHRWPIGFITSGFVPGRSGKPVAVSYCEAKLLSLLRNQQWSMNKKSRPEIFVLVRNLRSTAYRRALATIVLEQQKEDLDFI >DRNTG_02533.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8207270:8208377:-1 gene:DRNTG_02533 transcript:DRNTG_02533.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDRNKFSDSHQKNFVREGPSSGIQRRGLGASPRENQVNDDDDSSSSYDDKPEYRRMNIDRNKFSDSHQKNFVREGPSSGIQRRGLGASPRENQVNNDDDSSSSYDDKPEYSMDIGRDNRAEIIDFSNKPPVKNRRTKVRVTKVVRSGPI >DRNTG_19606.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001029.1:32778:34581:1 gene:DRNTG_19606 transcript:DRNTG_19606.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVGFIVPRLVIGVIIQLLCSYSSLPLYAIVTQMGSSFKKAIFDEHVQEGLVGWAQKIKKRKAKGGSSKPESTEGSAAGIQLTIRNLQEQPLMEETKINNAV >DRNTG_19606.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001029.1:29952:34581:1 gene:DRNTG_19606 transcript:DRNTG_19606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEEEALKLEYTPTWIVAAVCSIIVFISLVVERLLHRLGKLLKKRKQKPLFEALLKVKEELMLLGFISLLLTVFQGLMQRICIPEGWTLHMLPCKKEGDLSSDAHGGARRLLSSGGEGSSHCTSKGKVPLLSIEALHQLHIFIFVLAITHVLFSLFTMLLGGAKIRQWKHWEDSIQKDVTQSAPTKVTHVNQFEFIRDRFKGLGKDSVLISWVHAFLKQFYGSVTKSDYTTMRLGFIMTHCRGNPQFDFYKYMMRALEADFKRVVGISWYLWVFVVIFLLLNIDGWHTYFWIAFIPLILLLAVGTKLEHVITQLAHEVAEKHSAIEGELVVNPSDEHFWFHRPRIVLYLLHFILFQNAFEIAFFFWILTTYGFDSCIMGQVGFIVPRLVIGVIIQLLCSYSSLPLYAIVTQMGSSFKKAIFDEHVQEGLVGWAQKIKKRKAKGGSSKPESTEGSAAGIQLTIRNLQEQPLMEETKINNAV >DRNTG_02215.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1221489:1222707:-1 gene:DRNTG_02215 transcript:DRNTG_02215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDQKKNKEPCKKEACDIQACLTKNNFNPQRCLKVIELLQSCCEKCDYNSTHCASLSGLLKSNTKRNL >DRNTG_31950.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2277813:2278367:-1 gene:DRNTG_31950 transcript:DRNTG_31950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGSSSSRATPTILISSASFSSSPSLTSPSRRPLRLPSASHSLKPISTYEGLNHTTVGRDFTFIDDVVKGCLAALDTAQESTGIGGSAQLRIYNLGSTTPAAIQELVGILERLLNVKAIRNVTDKEMPRNGGRAVHPCQHRPRI >DRNTG_00655.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16465748:16474135:-1 gene:DRNTG_00655 transcript:DRNTG_00655.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEKVLVPRFLTRNNTGFMPLPKIKVLGSSVVRHGGVREMIHIDYETEVVGFQLRHPSVHARPGIIARMIEHENLQTLDSSGTRAFQLLCFQLHPLELTGR >DRNTG_09091.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6157634:6158852:-1 gene:DRNTG_09091 transcript:DRNTG_09091.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCKPSLSISIPSSFPKSSQGCGVHLRRSLPSRCCRLACPPAKADRGLCLQCNPNSVCPNNP >DRNTG_31675.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20180678:20181238:-1 gene:DRNTG_31675 transcript:DRNTG_31675.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEDILLEMDRILRPEGSVIIRDDVDLLVKLKSITDGMRWDSQIIDHEDGPLQREKILLVVKTYWTSSSSDNNGQNL >DRNTG_31675.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20180775:20184544:-1 gene:DRNTG_31675 transcript:DRNTG_31675.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCLTPLPTSATDLKPWPARLHAIPPRIIAGTITGITPEIFTSDSELWKKRVNYYKTINSQLGNKGRYRNLLDMNAKLGGFAAAMIGDPVWVMNVIPTVTEVDTLGVVYERGLIGTYQNWCEAMSTYPRTYDLLHADAIFTLYRDRCEMEDILLEMDRILRPEGSVIIRDDVDLLVKLKSITDGMRWDSQIIDHEDGPLQREKILLVVKTYWTSSSSDNNGQNL >DRNTG_31675.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20180775:20184544:-1 gene:DRNTG_31675 transcript:DRNTG_31675.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCLTPLPTSATDLKPWPARLHAIPPRIIAGTITGITPEIFTSDSELWKKRVNYYKTINSQLGNKGRYRNLLDMNAKLGGFAAAMIGDPVWVMNVIPTVTEVDTLGVVYERGLIGTYQNWCEAMSTYPRTYDLLHADAIFTLYRDRCEMEDILLEMDRILRPEGSVIIRDDVDLLVKLKSITDGMRWDSQIIDHEDGPLQREKILLVVKTYWTSSSSDNNGQNL >DRNTG_31675.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20180678:20184544:-1 gene:DRNTG_31675 transcript:DRNTG_31675.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRGADAYIDDIAKLVPLSDGTIRTVVDTGCGVASFGAYLLSRDVLTMSFAPRDSHEAQVQFALERGVPAMIGVLASKRLPYPARAFDMAHCSRCLIPWQLYDGLFLKEIDRILRPGGYWILSGPPIHWKKHWRGWERTQDDLNAEQTAIESIARSLCWKKIEEKDDIAVWQKPTTHLHCAAATAATCPSSNPDAAWYTTMDSCLTPLPTSATDLKPWPARLHAIPPRIIAGTITGITPEIFTSDSELWKKRVNYYKTINSQLGNKGRYRNLLDMNAKLGGFAAAMIGDPVWVMNVIPTVTEVDTLGVVYERGLIGTYQNWCEAMSTYPRTYDLLHADAIFTLYRDRCEMEDILLEMDRILRPEGSVIIRDDVDLLVKLKSITDGMRWDSQIIDHEDGPLQREKILLVVKTYWTSSSSDNNGQNL >DRNTG_31675.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20180775:20181238:-1 gene:DRNTG_31675 transcript:DRNTG_31675.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEDILLEMDRILRPEGSVIIRDDVDLLVKLKSITDGMRWDSQIIDHEDGPLQREKILLVVKTYWTSSSSDNNGQNL >DRNTG_31675.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20182315:20184749:-1 gene:DRNTG_31675 transcript:DRNTG_31675.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCLTPLPTSATDLKPWPARLHAIPPRIIAGTITGITPEIFTSDSELWKKRVNYYKTINSQLGNKGRYRNLLDMNAKLGGFAAAMIGDPVWVMNVIPTVTEVDTLGVVYERGLIGTYQNW >DRNTG_31675.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20180775:20184749:-1 gene:DRNTG_31675 transcript:DRNTG_31675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRGADAYIDDIAKLVPLSDGTIRTVVDTGCGVASFGAYLLSRDVLTMSFAPRDSHEAQVQFALERGVPAMIGVLASKRLPYPARAFDMAHCSRCLIPWQLYDGLFLKEIDRILRPGGYWILSGPPIHWKKHWRGWERTQDDLNAEQTAIESIARSLCWKKIEEKDDIAVWQKPTTHLHCAAATAATCPSSNPDAAWYTTMDSCLTPLPTSATDLKPWPARLHAIPPRIIAGTITGITPEIFTSDSELWKKRVNYYKTINSQLGNKGRYRNLLDMNAKLGGFAAAMIGDPVWVMNVIPTVTEVDTLGVVYERGLIGTYQNWCEAMSTYPRTYDLLHADAIFTLYRDRCEMEDILLEMDRILRPEGSVIIRDDVDLLVKLKSITDGMRWDSQIIDHEDGPLQREKILLVVKTYWTSSSSDNNGQNL >DRNTG_03974.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26467749:26490808:-1 gene:DRNTG_03974 transcript:DRNTG_03974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAIDIIYECSIANGVEYPCTVICRTPRWIIPGFDAWGIPLDYFYLNRFSELLLHKPGEGILLSLLTTFLSPLSYIYINDNGDKYTYIYVCMQRWAFSTFIESYYKWTVPLKKYGMVPTHSFFQGIASGLVAVMPEKFYEKVEEGSIVIKKSKSFAFCKKGVMVEGESSPIESDLIILATGFRGDDKLKYLFTSPNIQNIVVGPSSSTLPLYRECINPRVPQMAILGYSENLSNLFTSEMRAKWLAHFLDGGFTLPSINSMEDNVKEWDKFMKRRGTGWRLVMKAK >DRNTG_30839.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:585941:590113:1 gene:DRNTG_30839 transcript:DRNTG_30839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSRVTFSSAAARAAVVDDDDFDEEPGEVIESAPPLRVGEEREIHPSGLKKKLLRAGRGWETPVFGDEVTVHYVGRLMNGSKFDSSRDRGDPLTFKLGNGEVVSGLDHGLVTMKKGELALFTVPSDLGYGSVVGIQGVPPGADLQFEVELVSWLTVVDICKDGGIIKKIMFSGDDVQAGDLDQVTVKYQVRLSDGKLVAESPEGGSEFYVNEGHLCEAFPKVLKTMRKGEKAVVTVQPQYAFGEHGREAENGFQAIPSNAVLTIDVELVSLKPVVDVSGDLKVLKKVLRSGEGIHRPKDGEAVRIRYTAMLEDGTIFEKLGFDGELFEFVIDEEQVVAGLEQAVGTMLKGELSEVTVKPEYGYGNDETKRDLAILPPCSTLIYQVEMVDFTKEKEPWEMSGPEKIEAAEKTKKAGNDLYKIGKFKRASKKYEKAVSYINEDEPLESGEEKLVKSLRITCWLNHAACCLKLNNFLEAVNLCSKVLDVEFHNVKALYRRAQAYIKTADLDLAKLDIQKALEVDPQNREVKSLQTTLKQLQLENNKRDAKLYVNMFASTRKDTDVVLKRLKVEKANADEVQAMEYEHSASTEIEKPHEEEAVGDSGVQIMEL >DRNTG_29702.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3629559:3639889:1 gene:DRNTG_29702 transcript:DRNTG_29702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQSSRKNTNELQVKSGYWEKKLIDVIEEENVFKYIDNTCGLE >DRNTG_11703.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14215344:14224517:1 gene:DRNTG_11703 transcript:DRNTG_11703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISPRAAELTTLLESRMTNFYTNFQVDEIGRVVLNESNDAQKCKSHEFNTKNGIFGVPALYRHCSGIVAGHCSCCGHLALSFTGSMRPHGCSDTAIKEGDLVKCTGSIVDVPAGKAMDRQIRKTAIAIDTILNQKKINLRGTSQSETLYCVYVAIGQKSLTMAQLVQILPEANALEYSILVAATASDLAPLQFLAPYSGCAIGEYFRDNGMHALIIYDDLSKQAMAYRQMSLLLCRPPGREAFPGDVFYLLINSHLLERAAKRSYQ >DRNTG_20351.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23419879:23421554:1 gene:DRNTG_20351 transcript:DRNTG_20351.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRSQRSFSVSSLPFRRCKAVNEELRNLCFTGRLPQAVELLCHHLRSCVDPRTYALLLQESIHWKESKLGRRIHAQMLVAGFSPDEYLRTKLVIFYAKVGDLWTARQLFDRIPERNLIQWNAMISGYVLKGLEQEGLNVYYSMRSVGLAPDQFTFASVFRACARLALLEHGKRAHAVMIKNHLKKANVIVSSALVDMYLKCSSLDDGHRAFDVSLQRNVVTWTALISGYGQHGQVAEVLELFHQMITEGFRPNSVTFLALLSACSHGGLIDAGWSYFNSMEAVYDIRPTGEHYAAMVDMLGRAGRLHEAYEFVKMSPCNEHSVIWGALLGACRIHGDMELIRLAANKFLEMQPKNVGKYIVLSNTFANNGMWDDVADVRRKITKLQIKKEPAWSSIELQGVVHTFLVRDNTHEEIGKIYETIRSIGCALAEAGCVSSMKVD >DRNTG_20351.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23419943:23422505:1 gene:DRNTG_20351 transcript:DRNTG_20351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAGFSPDEYLRTKLVIFYAKVGDLWTARQLFDRIPERNLIQWNAMISGYVLKGLEQEGLNVYYSMRSVGLAPDQFTFASVFRACARLALLEHGKRAHAVMIKNHLKKANVIVSSALVDMYLKCSSLDDGHRAFDVSLQRNVVTWTALISGYGQHGQVAEVLELFHQMITEGFRPNSVTFLALLSACSHGGLIDAGWSYFNSMEAVYDIRPTGEHYAAMVDMLGRAGRLHEAYEFVKMSPCNEHSVIWGALLGACRIHGDMELIRLAANKFLEMQPKNVGKYIVLSNTFANNGMWDDVADVRRKITKLQIKKEPAWSSIELQGVVHTFLVRDNTHEEIGKIYETIRSIGCALAEAGCVSSMKVD >DRNTG_29679.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3975830:3976235:1 gene:DRNTG_29679 transcript:DRNTG_29679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRMYSCFGDLAAARRIFDLNLRTDLVTWNSMISAYVKNGMVRDAQEVFDQMPERDVMSW >DRNTG_16841.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18146252:18148663:1 gene:DRNTG_16841 transcript:DRNTG_16841.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELKPKLLDLIPKEREWIVTRGVRVNGLGDEVEKRLELRLGLPGGEEAASALTLAYFSTPPNNNNNNIMPNSCAGTAGRFMTIVQSKPEGLLNGKEEQQQQQQQQQQQKQEKKPTFSSAVSGNANSSQTRTSNVPIVGWPPIRSFRKKLASTSKPSIEQQNDGTEDVNKIETSKKGLFVKINMDGIPIGRKVDLNAYDNYEELSLAVDNLFRGLLSAQRDLKTVEEKLVLTGFIRWESVNTH >DRNTG_16841.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18146252:18148663:1 gene:DRNTG_16841 transcript:DRNTG_16841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELKPKLLDLIPKEREWIVTRGVRVNGLGDEVEKRLELRLGLPGGEEAASALTLAYFSTPPNNNNNNIMPNSCAGTAGRFMTIVQSKPEGLLNGKEEQQQQQQQQQQQKQEKKPTFSSAVSGNANSSQTRTSNVPIVGWPPIRSFRKKLASTSKPSIEQQNDGTEDVNKIETSKKGLFVKINMDGIPIGRKVDLNAYDNYEELSLAVDNLFRGLLSAQRDLKTVEEKLVLTGFIRWESVNTH >DRNTG_03971.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26438529:26439545:-1 gene:DRNTG_03971 transcript:DRNTG_03971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDEEETRAISISLQNITICYCNQFVSTPGIWNLPFVKHLEIYGCSTLVSWPFMILQGLKSLKTLCISLCKNFTGLSSEMHSSLEDGGLPCLEVLDLLYCDALLELPECLTLRLNVISCPCIKSLNAAPKCLTELHVQSCWELVSLSKDMGHLSSLKHIELNDCPKLRTLPEGMQGLNSLQLLHVEKCSSLEAFPEGLQQLLHQLKGLTIRDCAELERRCKPEGEYSQLVSEIRSTDIGNTGPRKSTPKQGWKRFHRH >DRNTG_10481.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20353840:20354964:1 gene:DRNTG_10481 transcript:DRNTG_10481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLDMSEPTMMPSYSPFFLPSFQDQPLITTTSCHESFSPLPSSYHINNTFNGGHEVLSFPPDISSIQTCGNNDMKRLKVDADLKIGFRTKSQVEILDDGFKWRKYGKKSVKNSPNPRNYYKCSSEGCGVKKRVERDPENSEYVLTVYEGVHNHQSPANVSVQEINTSWSSSSSDQIYAFDAYSKCF >DRNTG_24902.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:405665:411151:1 gene:DRNTG_24902 transcript:DRNTG_24902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNLLNRTSTKRALMERSAAPKQQQSPCIDKLGKRSHYCRYYDIQYGSVLMGTSVKKRERVAPHGLVSEPRCQVDGCGKGLVEAKAYHRRHKVCELHSKAAVVLVLGSQQRFCQQCSRFHFVSEFDDSKRSCRRRLAGHNERRRKTTHDSIARNSSFEIGMMDGRIDHISSTSSRCYALSLLSSNSSPNCISASDLSSLSRVALLELIAENRASILAGQLSSHRSCWRDTTDTGGSGALLSNNFPQVVQQVPSQEPALDSSSWNELQQARTQVTLGLMQMPPGSSFEFLSAGRNKDEDECREFYKSFEGKQLF >DRNTG_24902.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:405665:406085:1 gene:DRNTG_24902 transcript:DRNTG_24902.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNLLNRTSTKRALMERSAAPKQQQSPCIDKLGKRSHYCRYYDIQYGSVLMGTSVKKRERVAPHGLVSEPRCQVDGCGKGLVEAKAYHRRHKVCELHSKAAVVLVLGSQQRFCQQCSR >DRNTG_24643.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8015778:8018406:-1 gene:DRNTG_24643 transcript:DRNTG_24643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAPKPKRSSPPPRRSSSPVPATMTFQLFGSESCPIAARIRISLLYKYSTFQFIPSESPILHHPVLRCGSQSISGSADMILRYIDSTFPGPPSPAENGTDRSSVAAELRNAVGLQHRSIEQHLEGVSRWAEEIASGGGGGRIAAGRRYAELVEIMLEHAQMEERFLFPLLEKAAEDRGLCGVAYGKHAKELPMMNGIKEDMKSVIAMGPKAPCYQEAMLNLSQRLKTLQEHCKEHFQKEERELLPLLNTAESIGKEEGEETERWLDKVMELMEVTHSQLFPFFMSGLLPHESMKYIELLCKSIKDQQQLLLLLKSLITSLERER >DRNTG_24643.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8015778:8016187:-1 gene:DRNTG_24643 transcript:DRNTG_24643.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELMEVTHSQLFPFFMSGLLPHESMKYIELLCKSIKDQQQLLLLLKSLITSLERER >DRNTG_24643.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8015445:8017606:-1 gene:DRNTG_24643 transcript:DRNTG_24643.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGGIWKACKGAADDEWDQGGHEVSDSDGAKGAVLPGSHAESFTAFENLADRSLSELTSSLTNLEAGKSPVS >DRNTG_31054.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30648056:30649634:-1 gene:DRNTG_31054 transcript:DRNTG_31054.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:SacI homology domain-containing protein / WW domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G59770) UniProtKB/TrEMBL;Acc:F4J9G0] MSTSFSLRSRQGGRDTSVLVVVLESSETYIVVSLSTGNDTQVIYIEPTTGLLSYNGHWGHDIFRSEEEALDYITDGSRLLCKETIYARALLGYSALGSLGLLLVATELSATVPSLPGGGRVYTVTESKWIKIPLQNPQTQGKGELKNVMELAELDIDGKHFFCETRDISRPFPSRMSHQNPDDEFVWNGWFSKPFKDIGLPNHCVILLQVCASIDCEVLKPHLDCINFFFF >DRNTG_31054.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30636715:30642574:-1 gene:DRNTG_31054 transcript:DRNTG_31054.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:SacI homology domain-containing protein / WW domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G59770) UniProtKB/TrEMBL;Acc:F4J9G0] MNGTSNPFLSDSYDDGVSAASSLNNNVPQLSQPSVVTNWFDLLTGDVESSQYLSQTEMPNAGEKIHSTSGDALDFLDNFETASKVYAQSQDENHEGPDRSGSTQKYINLVKSLLGSQKDKKLSFLEAMKLEIERLRLNLSAAERDRALVSISTVPATLDPNRLIQDSYMVKVCYFAKSLSLLGQVAYEDEINASIGLECVDDNVIDFWNSSELGRTCYGAMCEVRAEIQPPPTKASSHDSSLLLVCFQCGRKACKACCAGKGATLIMSSSYKDAKIYGGLASQSGSDFGAQSELDFYKSSTSSDEVVCKICCGEVVLHALFVDYIRVLSSLRRRNREYDSAFKALNQVIPDLKGFHGFSQGIDTGKKVLEKLLNGDESLAEFPNAGILHPVETAVGSEPILSLIAPLGIGAHHSFWRAPTGVSTVEFSVILGNLADVSGVALLVSSCGYSSFDCPTVQIWASNKINREERSCMGKWDLQSLASSSPQLYGPEKVGSEKNVPRHIKFLFRNSVRCRIIWIMLTLPQFGSRSLNLEEDYNLLSLDDSSFPDTKRRASFSGSIGSQPFIHAKRLIVFGKPLRKEIGSDTSMQTPENLKFRNLSDRPPQTNRFRVPVEAERLMDMDLVLEQYLSLNVPLIAGFRLDAFNVIKPRITHSPPSSDSSIWGSSLTCLEDRHITPAVLHIQVSAYQEPRNLYIVGEYRLPEVKAGTALYFDFPRPLQTQRLIFRLLGDVTAFADDIAEQDDSEIRFPLASGLSLSNRIKLYYHADPSELGKLASLSAV >DRNTG_31054.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30636789:30648718:-1 gene:DRNTG_31054 transcript:DRNTG_31054.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SacI homology domain-containing protein / WW domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G59770) UniProtKB/TrEMBL;Acc:F4J9G0] MELAELDIDGKHFFCETRDISRPFPSRMSHQNPDDEFVWNGWFSKPFKDIGLPNHCVILLQGFAECRSLSGSGQPVGFVALLARRSRLHPGTRYLARGLNACSGTGNEVECEQIVWVAKRAEENVLFSTYVWRRGTIPIWWGAELRLTAAEAEIYVSAHDPFKGSLQYFQRLSNRYGARNSDLALVNQKKTPSVPIVCVNLLRNEPKRSEVILVNYFKKCVEFVNSTGKLRGTHIKLINYDWHATVKTRGEQQTIEGLWKLLKERTIAIGFLEGMYSPSSVHLKEFKGAVVSNDDFEGVFCLRSFQNGVIRFNCADSLDRTNAASFFGSLQVFVEQCHRLGIPLDRDAAFGFPSTNRYTDFGNYRGYTSPLPSGWEERSDAVTGKTFYIDHNTRTTTWERPCQDNTWKRFDMTFDHFKSTTLSAPINLLADLFLLAGDIHATLYTGSKAMHSQILNIFGEDGGKFKQFSVAQNVKITIQRRYKNVIVDSSRQKQLEMFLGIRLFKHLPSVAVDPLKVLSRPSGCMLKPVPSIAPTANGGSGLLSFKNKDLIWVCPPAADVVEVFIYLREPCHVCQLLLTVSHGAEDASYPATVDVRTGSNLEGLKLVLEGACIPQCSNGTNLLIPLSGKIDPEDFAITGTSTRFLVEENPYLPLLYDFEELEGELNFLTRVVALTFYPAVPGRIPITLGEIEILGASLPWSNIFNNKDAGAKFIKHVSEKTQWPDTSVADSDMNGTSNPFLSDSYDDGVSAASSLNNNVPQLSQPSVVTNWFDLLTGDVESSQYLSQTEMPNAGEKIHSTSGDALDFLDNFETASKVYAQSQDENHEGPDRSGSTQKYINLVKSLLGSQKDKKLSFLEAMKLEIERLRLNLSAAERDRALVSISTVPATLDPNRLIQDSYMVKVCYFAKSLSLLGQVAYEDEINASIGLECVDDNVIDFWNSSELGRTCYGAMCEVRAEIQPPPTKASSHDSSLLLVCFQCGRKACKACCAGKGATLIMSSSYKDAKIYGGLASQSGSDFGAQSELDFYKSSTSSDEVVCKICCGEVVLHALFVDYIRVLSSLRRRNREYDSAFKALNQVIPDLKGFHGFSQGIDTGKKVLEKLLNGDESLAEFPNAGILHPVETAVGSEPILSLIAPLGIGAHHSFWRAPTGVSTVEFSVILGNLADVSGVALLVSSCGYSSFDCPTVQIWASNKINREERSCMGKWDLQSLASSSPQLYGPEKVGSEKNVPRHIKFLFRNSVRCRIIWIMLTLPQFGSRSLNLEEDYNLLSLDDSSFPDTKRRASFSGSIGSQPFIHAKRLIVFGKPLRKEIGSDTSMQTPENLKFRNLSDRPPQTNRFRVPVEAERLMDMDLVLEQYLSLNVPLIAGFRLDAFNVIKPRITHSPPSSDSSIWGSSLTCLEDRHITPAVLHIQVSAYQEPRNLYIVGEYRLPEVKAGTALYFDFPRPLQTQRLIFRLLGDVTAFADDIAEQDDSEIRFPLASGLSLSNRIKLYYHADPSELGKLASLSAV >DRNTG_31054.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30636789:30647181:-1 gene:DRNTG_31054 transcript:DRNTG_31054.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SacI homology domain-containing protein / WW domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G59770) UniProtKB/TrEMBL;Acc:F4J9G0] MYSPSSVHLKEFKGAVVSNDDFEGVFCLRSFQNGVIRFNCADSLDRTNAASFFGSLQVFVEQCHRLGIPLDRDAAFGFPSTNRYTDFGNYRGYTSPLPSGWEERSDAVTGKTFYIDHNTRTTTWERPCQDNTWKRFDMTFDHFKSTTLSAPINLLADLFLLAGDIHATLYTGSKAMHSQILNIFGEDGGKFKQFSVAQNVKITIQRRYKNVIVDSSRQKQLEMFLGIRLFKHLPSVAVDPLKVLSRPSGCMLKPVPSIAPTANGGSGLLSFKNKDLIWVCPPAADVVEVFIYLREPCHVCQLLLTVSHGAEDASYPATVDVRTGSNLEGLKLVLEGACIPQCSNGTNLLIPLSGKIDPEDFAITGTSTRFLVEENPYLPLLYDFEELEGELNFLTRVVALTFYPAVPGRIPITLGEIEILGASLPWSNIFNNKDAGAKFIKHVSEKTQWPDTSVADSDMNGTSNPFLSDSYDDGVSAASSLNNNVPQLSQPSVVTNWFDLLTGDVESSQYLSQTEMPNAGEKIHSTSGDALDFLDNFETASKVYAQSQDENHEGPDRSGSTQKYINLVKSLLGSQKDKKLSFLEAMKLEIERLRLNLSAAERDRALVSISTVPATLDPNRLIQDSYMVKVCYFAKSLSLLGQVAYEDEINASIGLECVDDNVIDFWNSSELGRTCYGAMCEVRAEIQPPPTKASSHDSSLLLVCFQCGRKACKACCAGKGATLIMSSSYKDAKIYGGLASQSGSDFGAQSELDFYKSSTSSDEVVCKICCGEVVLHALFVDYIRVLSSLRRRNREYDSAFKALNQVIPDLKGFHGFSQGIDTGKKVLEKLLNGDESLAEFPNAGILHPVETAVGSEPILSLIAPLGIGAHHSFWRAPTGVSTVEFSVILGNLADVSGVALLVSSCGYSSFDCPTVQIWASNKINREERSCMGKWDLQSLASSSPQLYGPEKVGSEKNVPRHIKFLFRNSVRCRIIWIMLTLPQFGSRSLNLEEDYNLLSLDDSSFPDTKRRASFSGSIGSQPFIHAKRLIVFGKPLRKEIGSDTSMQTPENLKFRNLSDRPPQTNRFRVPVEAERLMDMDLVLEQYLSLNVPLIAGFRLDAFNVIKPRITHSPPSSDSSIWGSSLTCLEDRHITPAVLHIQVSAYQEPRNLYIVGEYRLPEVKAGTALYFDFPRPLQTQRLIFRLLGDVTAFADDIAEQDDSEIRFPLASGLSLSNRIKLYYHADPSELGKLASLSAV >DRNTG_31054.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30644668:30649634:-1 gene:DRNTG_31054 transcript:DRNTG_31054.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:SacI homology domain-containing protein / WW domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G59770) UniProtKB/TrEMBL;Acc:F4J9G0] MSTSFSLRSRQGGRDTSVLVVVLESSETYIVVSLSTGNDTQVIYIEPTTGLLSYNGHWGHDIFRSEEEALDYITDGSRLLCKETIYARALLGYSALGSLGLLLVATELSATVPSLPGGGRVYTVTESKWIKIPLQNPQTQGKGELKNVMELAELDIDGKHFFCETRDISRPFPSRMSHQNPDDEFVWNGWFSKPFKDIGLPNHCVILLQGFAECRSLSGSGQPVGFVALLARRSRLHPGTRYLARGLNACSGTGNEVECEQIVWVAKRAEENVLFSTYVWRRGTIPIWWGAELRLTAAEAEIYVSAHDPFKGSLQYFQRLSNRYGARNSDLALVNQKKTPSVPIVCVNLLRNEPKRSEVILVNYFKKCVEFVNSTGKLRGTHIKLINYDWHATVKTRGEQQTIEGLWKLLKERTIAIGFLEGMYSPSSVHLKEFKGAVVSNDDFEGVFCLRSFQNGVIRFNCADSLDRTNAASFFGSLQVFVEQCHRLGIPLDRDAAFGFPSTNRYTDFGNYRGYTSPLPSGWEERSDAVTGKTFYIDHNTRTTTWERPCQDNTWKRFDMTFDHFKSTTLSAPINLLADLFLLAGDIHATLYTGSKAMHSQILNIFGEDGGKFKQFSVAQNVKITIQRRYKNVIVDSSRQKQLEMFLGIRLFKHLPSVAVDPLKVLSRPSGCMLKPVPSIAPTANGGSGLLSFKNKDLIWV >DRNTG_31054.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30636789:30649634:-1 gene:DRNTG_31054 transcript:DRNTG_31054.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SacI homology domain-containing protein / WW domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G59770) UniProtKB/TrEMBL;Acc:F4J9G0] MSTSFSLRSRQGGRDTSVLVVVLESSETYIVVSLSTGNDTQVIYIEPTTGLLSYNGHWGHDIFRSEEEALDYITDGSRLLCKETIYARALLGYSALGSLGLLLVATELSATVPSLPGGGRVYTVTESKWIKIPLQNPQTQGKGELKNVMELAELDIDGKHFFCETRDISRPFPSRMSHQNPDDEFVWNGWFSKPFKDIGLPNHCVILLQGFAECRSLSGSGQPVGFVALLARRSRLHPGTRYLARGLNACSGTGNEVECEQIVWVAKRAEENVLFSTYVWRRGTIPIWWGAELRLTAAEAEIYVSAHDPFKGSLQYFQRLSNRYGARNSDLALVNQKKTPSVPIVCVNLLRNEPKRSEVILVNYFKKCVEFVNSTGKLRGTHIKLINYDWHATVKTRGEQQTIEGLWKLLKERTIAIGFLEGMYSPSSVHLKEFKGAVVSNDDFEGVFCLRSFQNGVIRFNCADSLDRTNAASFFGSLQVFVEQCHRLGIPLDRDAAFGFPSTNRYTDFGNYRGYTSPLPSGWEERSDAVTGKTFYIDHNTRTTTWERPCQDNTWKRFDMTFDHFKSTTLSAPINLLADLFLLAGDIHATLYTGSKAMHSQILNIFGEDGGKFKQFSVAQNVKITIQRRYKNVIVDSSRQKQLEMFLGIRLFKHLPSVAVDPLKVLSRPSGCMLKPVPSIAPTANGGSGLLSFKNKDLIWVCPPAADVVEVFIYLREPCHVCQLLLTVSHGAEDASYPATVDVRTGSNLEGLKLVLEGACIPQCSNGTNLLIPLSGKIDPEDFAITGTSTRFLVEENPYLPLLYDFEELEGELNFLTRVVALTFYPAVPGRIPITLGEIEILGASLPWSNIFNNKDAGAKFIKHVSEKTQWPDTSVADSDMNGTSNPFLSDSYDDGVSAASSLNNNVPQLSQPSVVTNWFDLLTGDVESSQYLSQTEMPNAGEKIHSTSGDALDFLDNFETASKVYAQSQDENHEGPDRSGSTQKYINLVKSLLGSQKDKKLSFLEAMKLEIERLRLNLSAAERDRALVSISTVPATLDPNRLIQDSYMVKVCYFAKSLSLLGQVAYEDEINASIGLECVDDNVIDFWNSSELGRTCYGAMCEVRAEIQPPPTKASSHDSSLLLVCFQCGRKACKACCAGKGATLIMSSSYKDAKIYGGLASQSGSDFGAQSELDFYKSSTSSDEVVCKICCGEVVLHALFVDYIRVLSSLRRRNREYDSAFKALNQVIPDLKGFHGFSQGIDTGKKVLEKLLNGDESLAEFPNAGILHPVETAVGSEPILSLIAPLGIGAHHSFWRAPTGVSTVEFSVILGNLADVSGVALLVSSCGYSSFDCPTVQIWASNKINREERSCMGKWDLQSLASSSPQLYGPEKVGSEKNVPRHIKFLFRNSVRCRIIWIMLTLPQFGSRSLNLEEDYNLLSLDDSSFPDTKRRASFSGSIGSQPFIHAKRLIVFGKPLRKEIGSDTSMQTPENLKFRNLSDRPPQTNRFRVPVEAERLMDMDLVLEQYLSLNVPLIAGFRLDAFNVIKPRITHSPPSSDSSIWGSSLTCLEDRHITPAVLHIQVSAYQEPRNLYIVGEYRLPEVKAGTALYFDFPRPLQTQRLIFRLLGDVTAFADDIAEQDDSEIRFPLASGLSLSNRIKLYYHADPSELGKLASLSAV >DRNTG_31054.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30636789:30644537:-1 gene:DRNTG_31054 transcript:DRNTG_31054.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SacI homology domain-containing protein / WW domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G59770) UniProtKB/TrEMBL;Acc:F4J9G0] MNGTSNPFLSDSYDDGVSAASSLNNNVPQLSQPSVVTNWFDLLTGDVESSQYLSQTEMPNAGEKIHSTSGDALDFLDNFETASKVYAQSQDENHEGPDRSGSTQKYINLVKSLLGSQKDKKLSFLEAMKLEIERLRLNLSAAERDRALVSISTVPATLDPNRLIQDSYMVKVCYFAKSLSLLGQVAYEDEINASIGLECVDDNVIDFWNSSELGRTCYGAMCEVRAEIQPPPTKASSHDSSLLLVCFQCGRKACKACCAGKGATLIMSSSYKDAKIYGGLASQSGSDFGAQSELDFYKSSTSSDEVVCKICCGEVVLHALFVDYIRVLSSLRRRNREYDSAFKALNQVIPDLKGFHGFSQGIDTGKKVLEKLLNGDESLAEFPNAGILHPVETAVGSEPILSLIAPLGIGAHHSFWRAPTGVSTVEFSVILGNLADVSGVALLVSSCGYSSFDCPTVQIWASNKINREERSCMGKWDLQSLASSSPQLYGPEKVGSEKNVPRHIKFLFRNSVRCRIIWIMLTLPQFGSRSLNLEEDYNLLSLDDSSFPDTKRRASFSGSIGSQPFIHAKRLIVFGKPLRKEIGSDTSMQTPENLKFRNLSDRPPQTNRFRVPVEAERLMDMDLVLEQYLSLNVPLIAGFRLDAFNVIKPRITHSPPSSDSSIWGSSLTCLEDRHITPAVLHIQVSAYQEPRNLYIVGEYRLPEVKAGTALYFDFPRPLQTQRLIFRLLGDVTAFADDIAEQDDSEIRFPLASGLSLSNRIKLYYHADPSELGKLASLSAV >DRNTG_03465.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12907104:12926848:-1 gene:DRNTG_03465 transcript:DRNTG_03465.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFSGLLATSRAARPPPSPRSEHFTGPFNR >DRNTG_03465.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12926428:12926848:-1 gene:DRNTG_03465 transcript:DRNTG_03465.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFSGLLATSRAARPPPSPRSEHFTGPFNR >DRNTG_03871.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3744674:3749463:-1 gene:DRNTG_03871 transcript:DRNTG_03871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRHTRQKSSFSPSLPLPSFLIPANEKLLAWVGDELLPHNCAKVSIFDSIVQGVDVVWEVLCIHDRKVFKLEEHLDRILYSAKALAFNDVPIREEIKGAIFKTLISNGMFDNAHIRLTLTRGKKVTSGMSATFNHNGCTLIVLAEWKQPVYDNSSGIKLVTVSTSRNSSIIEGKVANASDAIILDKDGFVSEANATNMFLVKKGQVSTPLADYQLPGITRETVMELVRKDNIVLHERRISSSEFHAADEVLITGTMGELIPVVMIDGRVIGTGEVGPVTKQIQNAYKVLMTNSGVPIPKHLEA >DRNTG_07061.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3866566:3876494:1 gene:DRNTG_07061 transcript:DRNTG_07061.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEETRSPHSRSRCRSPEDGAEGESKRRKHRHHRHRHRHHRSGHGRERHEGEALDLEAEAGAVSVGAERIDEYEREEGEILEEEDGVEPERRDLVHGDEGVEKESRRGDVDENDSSGSRSRSWGSGENLHRKKRKGEHGDEALPAKLGKLLLSRGSDSQDAGRKSSDRDDKPGTSRDRHRDRSRSQERERRKDSERENGRAVDKIRSNERKDDRERESSIHSRHEERGDSRDVRERDRERDRNHRRSSWDRDSVEVGKRSRGERERSISHSRHDIGGDRHDSQDREYEREQRKHSRSSLDRDGERRRAMSRERYRERESVRERENVRERDRDGSDRDKKQLRDRETLRYDDRERGWHASRDRQRDSRNSRYDELESRNERTKPKELVREVSSATRPTEELKGKPVREEEEEDYEEKIEQQLAQQDEDDVEKIKEESRKRRLAILEKYNKQKQLAQHTEAGPNNHEKEEKQSTPSPDKEKMHDPNDNAAAAGQAPTQGLENRHDPSDLYIAEPSFALGKSPVQNGKSTVDNSAVAHGLGEGTPKSERSEDMFCDDIFGESPTGVRKSGKVDGIQIEGSGLLDNWDDAEGYYSYRFGEVLDGRYEVIASHGKGVFSTVVRAKDLKAGKGDPEEVAIKIIRNNETMYKAGLEELTILKKLAGADPDDRRHCVRFISNFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRAYAKQLFIALKHLRNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEITPYLVSRFYRAPEIILGLSYDHPMDIWSVGCCLYELYSGKVLFPGPSNNDMLRLHMELKGPFPKKMLRKGAFTEQHFDQDLNFHATEEDPVSKKIIKRLLLNIKLKDIGVLISGSPGEDPKMLANFKDLLERIFVLDPEKRMTVSQALSHPFITGK >DRNTG_07061.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3866566:3876494:1 gene:DRNTG_07061 transcript:DRNTG_07061.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEETRSPHSRSRCRSPEDGAEGESKRRKHRHHRHRHRHHRSGHGRERHEGEALDLEAEAGAVSVGAERIDEYEREEGEILEEEDGVEPERRDLVHGDEGVEKESRRGDVDENDSSGSRSRSWGSGENLHRKKRKGEHGDEALPAKLGKLLLSRGSDSQDAGRKSSDRDDKPGTSRDRHRDRSRSQERERRKDSERENGRAVDKIRSNERKDDRERESSIHSRHEERGDSRDVRERDRERDRNHRRSSWDRDSVEVGKRSRGERERSISHSRHDIGGDRHDSQDREYEREQRKHSRSSLDRDGERRRAMSRERYRERESVRERENVRERDRDGSDRDKKQLRDRETLRYDDRERGWHASRDRQRDSRNSRYDELESRNERTKPKELVREVSSATRPTEELKGKPVREEEEEDYEEKIEQQLAQQDEDDVEKIKEESRKRRLAILEKYNKQKQLAQHTEAGPNNHEKEEKQSTPSPDKEKMHDPNDNAAAAGQAPTQGLENRHDPSDLYIAEPSFALGKSPVQNGKSTVDNSAVAHGLGEGTPKSERSEDMFCDDIFGESPTGVRKSGKVDGIQIEGSGLLDNWDDAEGYYSYRFGEVLDGRYEVIASHGKGVFSTVVRAKDLKAGKGDPEEVAIKIIRNNETMYKAGLEELTILKKLAGADPDDRRHCVRFISNFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRAYAKQLFIALKHLRNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEITPYLVSRFYRAPEIILGLSYDHPMDIWSVGCCLYELYSGKVLFPGPSNNDMLRLHMELKGPFPKKMLRKGAFTEQHFDQDLNFHATEEDPVSKKIIKRLLLNIKLKDIGVLISGSPGEDPKMLANFKDLLERIFVLDPEKRMTVSQALSHPFITGK >DRNTG_07061.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3866566:3876494:1 gene:DRNTG_07061 transcript:DRNTG_07061.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEETRSPHSRSRCRSPEDGAEGESKRRKHRHHRHRHRHHRSGHGRERHEGEALDLEAEAGAVSVGAERIDEYEREEGEILEEEDGVEPERRDLVHGDEGVEKESRRGDVDENDSSGSRSRSWGSGENLHRKKRKGEHGDEALPAKLGKLLLSRGSDSQDAGRKSSDRDDKPGTSRDRHRDRSRSQERERRKDSERENGRAVDKIRSNERKDDRERESSIHSRHEERGDSRDVRERDRERDRNHRRSSWDRDSVEVGKRSRGERERSISHSRHDIGGDRHDSQDREYEREQRKHSRSSLDRDGERRRAMSRERYRERESVRERENVRERDRDGSDRDKKQLRDRETLRYDDRERGWHASRDRQRDSRNSRYDELESRNERTKPKELVREVSSATRPTEELKGKPVREEEEEDYEEKIEQQLAQQDEDDVEKIKEESRKRRLAILEKYNKQKQLAQHTEAGPNNHEKEEKQSTPSPDKEKMHDPNDNAAAAGQAPTQGLENRHDPSDLYIAEPSFALGKSPVQNGKSTVDNSAVAHGLGEGTPKSERSEDMFCDDIFGESPTGVRKSGKVDGIQIEGSGLLDNWDDAEGYYSYRFGEVLDGRYEVIASHGKGVFSTVVRAKDLKAGKGDPEEVAIKIIRNNETMYKAGLEELTILKKLAGADPDDRRHCVRFISNFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRAYAKQLFIALKHLRNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEITPYLVSRFYRAPEIILGLSYDHPMDIWSVGCCLYELYSGKVLFPGPSNNDMLRLHMELKGPFPKKMLRKGAFTEQHFDQDLNFHATEEDPVSKKIIKRLLLNIKLKDIGVLISGSPGEDPKMLANFKDLLERIFVLDPEKRMTVSQALSHPFITGK >DRNTG_07061.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3866566:3876494:1 gene:DRNTG_07061 transcript:DRNTG_07061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEETRSPHSRSRCRSPEDGAEGESKRRKHRHHRHRHRHHRSGHGRERHEGEALDLEAEAGAVSVGAERIDEYEREEGEILEEEDGVEPERRDLVHGDEGVEKESRRGDVDENDSSGSRSRSWGSGENLHRKKRKGEHGDEALPAKLGKLLLSRGSDSQDAGRKSSDRDDKPGTSRDRHRDRSRSQERERRKDSERENGRAVDKIRSNERKDDRERESSIHSRHEERGDSRDVRERDRERDRNHRRSSWDRDSVEVGKRSRGERERSISHSRHDIGGDRHDSQDREYEREQRKHSRSSLDRDGERRRAMSRERYRERESVRERENVRERDRDGSDRDKKQLRDRETLRYDDRERGWHASRDRQRDSRNSRYDELESRNERTKPKELVREVSSATRPTEELKGKPVREEEEEDYEEKIEQQLAQQDEDDVEKIKEESRKRRLAILEKYNKQKQLAQHTEAGPNNHEKEEKQSTPSPDKEKMHDPNDNAAAAGQAPTQGLENRHDPSDLYIAEPSFALGKSPVQNGKSTVDNSAVAHGLGEGTPKSERSEDMFCDDIFGESPTGVRKSGKVDGIQIEGSGLLDNWDDAEGYYSYRFGEVLDGRYEVIASHGKGVFSTVVRAKDLKAGKGDPEEVAIKIIRNNETMYKAGLEELTILKKLAGADPDDRRHCVRFISNFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRAYAKQLFIALKHLRNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEITPYLVSRFYRAPEIILGLSYDHPMDIWSVGCCLYELYSGKVLFPGPSNNDMLRLHMELKGPFPKKMLRKGAFTEQHFDQDLNFHATEEDPVSKKIIKRLLLNIKLKDIGVLISGSPGEDPKMLANFKDLLERIFVLDPEKRMTVSQALSHPFITGK >DRNTG_07061.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3866566:3871559:1 gene:DRNTG_07061 transcript:DRNTG_07061.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEETRSPHSRSRCRSPEDGAEGESKRRKHRHHRHRHRHHRSGHGRERHEGEALDLEAEAGAVSVGAERIDEYEREEGEILEEEDGVEPERRDLVHGDEGVEKESRRGDVDENDSSGSRSRSWGSGENLHRKKRKGEHGDEALPAKLGKLLLSRGSDSQDAGRKSSDRDDKPGTSRDRHRDRSRSQERERRKDSERENGRAVDKIRSNERKDDRERESSIHSRHEERGDSRDVRERDRERDRNHRRSSWDRDSVEVGKRSRGERERSISHSRHDIGGDRHDSQDREYEREQRKHSRSSLDRDGERRRAMSRERYRERESVRERENVRERDRDGSDRDKKQLRDRETLRYDDRERGWHASRDRQRDSRNSRYDELESRNERTKPKELVREVSSATRPTEELKGKPVREEEEEDYEEKIEQQLAQQDEDDVEKIKEESRKRRLAILEKYNKQKQLAQHTEAGPNNHEKEEKQSTPSPDKEKMHDPNDNAAAAGQAPTQGLENRHDPSDLYIAEPSFALGKSPVQNGKSTVDNSAVAHGLGEGTPKSERSEDMFCDDIFGESPTGVRKSGKVDGIQIEGSGLLDNWDDAEGYYSYRFGEVLDGRYEVIASHGKGVFSTVVRAKDLKAGKGDPEEVAIKIIRNNETMYKAGLEELTILKKLAGADPDDRRHCVRFISNFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRAYAKQLFIALKHLRNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEITPYLVSRFYRAPEIILGLSYDHPMDIWSVGCCLYELYSGKVLFPGPSNNDMLRLHMELKGPFPKKMLRKGAFTEQHFDQDLNFHATEEDPVSKKIIKRLLLNIKLKDIGVLISGSPGEDPKMLANFKDLLERIFVLDPEKRMTVSQALSHPFITGK >DRNTG_31410.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001699.1:58605:63139:1 gene:DRNTG_31410 transcript:DRNTG_31410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G43360) UniProtKB/Swiss-Prot;Acc:P54967] MVPATIGPAARSNLSTILLSSISSFMAHKSTGMFTSSGKYNNALFFLLRQGVVVKIAHIAHNPQGIILGVKAHKLMDKDAVIQAAKRAKEAGSTRFCMGAAWRDTVGRKTNFNQILEYVKEIRDMGMEVCCTLGMIEKQQAMALKEAGLTAYNHNLDTSREYYPNVITTRSYDERLETIQHVREAGINVCSGGIIGLGEAEEDRVGLLHTLATLPTHPESVPINALIAVKGTPLQDQKPVEIWEMIRMIATARIVMPKAMVRLSAGRVRFSMPEQALCFLAGANSIFTGEKLLTTPNNDYDADQLMFKLLGLTPKAPSFAQETTASERESCEEVASSVG >DRNTG_09805.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26806950:26809589:1 gene:DRNTG_09805 transcript:DRNTG_09805.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAMTLWLGNSAYLYISVAFAQMLKAIMPVAVFILGTLVGLEVMSCRMLLIMSVISFGVIVASYGEVSVSWVGVVYQMGGVVGEALRLIFMEIFVKRKGVRLNSLSVMYYVSPCSALCLFIPWIFLEKSKMDSAGTWNFPPLILALNCLCTFALNLSVFLVISNTSALTIRVAGVVRDWVVVLLSALLFADTKLTVINLVGYGIAIVGVVAYNNHKLKKEASRTSTEESANAENQEKSQEEVSLIPVSTREST >DRNTG_09805.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26806950:26809589:1 gene:DRNTG_09805 transcript:DRNTG_09805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSSISSLSSGQIFFNKWVLSSKDFNFPYPLGLTLLHMLFSSVLCFVLTKVFKIIKIEDGMTPEIYATSVIPIGAMFAMTLWLGNSAYLYISVAFAQMLKAIMPVAVFILGTLVGLEVMSCRMLLIMSVISFGVIVASYGEVSVSWVGVVYQMGGVVGEALRLIFMEIFVKRKGVRLNSLSVMYYVSPCSALCLFIPWIFLEKSKMDSAGTWNFPPLILALNCLCTFALNLSVFLVISNTSALTIRVAGVVRDWVVVLLSALLFADTKLTVINLVGYGIAIVGVVAYNNHKLKKEASRTSTEESANAENQEKSQEEVSLIPVSTREST >DRNTG_21810.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17554183:17559745:-1 gene:DRNTG_21810 transcript:DRNTG_21810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFYYVLMSLWYVILCRVTDVADALILNRLFSHLFSKGVVLVATSNRAPDHLYEGGLQRDLFLPFIATLKERCVVHEIGSSTDYRKMTSAQQGFYFIEKDDSGLLRQKFYQLVGEEKAVPQVVEVVMGRTLQVPLGANGCAYFPFEDLCDRPLGAADYFGLFNKFHTLALDGVPKFSLHNRTAAYRFVTLVDVMYENKARLMCTAEASPVELFEKIVTVADAQKISPRTSSRSLKSDDLDLCVDNELGFAKDRTISRLTEMNSREYLEQHEANHQEKTS >DRNTG_21810.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17554183:17559745:-1 gene:DRNTG_21810 transcript:DRNTG_21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVARVLRLARGASRLRSGGVYGFQGNRKVWIFSNPTGLFSQNPDFCGYQSLHMFSRKLSISTFAVSGSSSSESALVDDVRKVGPLMEYERRIALGELTDGDSFQIDTLHLLQRLFEELVENEQSCQLDRYKASEKSGRSRWLWSRFVPQSSYSPVKGLYLYGGVGTGKTMLMDLFYDQLPSNWRIKRIHFHDFMLNVHSRLQKHKGVADPLEVVAGEISDESILLCLDEFMVTDVADALILNRLFSHLFSKGVVLVATSNRAPDHLYEGGLQRDLFLPFIATLKERCVVHEIGSSTDYRKMTSAQQGFYFIEKDDSGLLRQKFYQLVGEEKAVPQVVEVVMGRTLQVPLGANGCAYFPFEDLCDRPLGAADYFGLFNKFHTLALDGVPKFSLHNRTAAYRFVTLVDVMYENKARLMCTAEASPVELFEKIVTVADAQKISPRTSSRSLKSDDLDLCVDNELGFAKDRTISRLTEMNSREYLEQHEANHQEKTS >DRNTG_28016.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22978214:22985433:1 gene:DRNTG_28016 transcript:DRNTG_28016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVTIDFSDTLPVDSVPSVSPDVNFTSNTFPKYKIGPDNVIIEPKEVSARPSLKEIVAKETAQLLEQHQRLSVRDLAKKFERGLSTVAKLSEEAKWREAASLDRHVLLKKLRDVLELLRGRVAGRNRDDAEEAISMVEALAVQLTQREGELLHEKAEVKKLAAFLKQASEDAKKVIEEERAYARTEIESARSAVQRVEEALREKEQISASEEEQDLEELMAEIQEARRIKMLHQPSKVMDMESELQALRGQIAEKSLQIIKLQKELAVNRRLVENKSLLYELEGLECLGSCLCVLSRINSASDLSNCSIQWYRIQPEVNKKELISGATKFVYAPEPFDVGRFLQVELFSNDDRVTLTTSGPIEPAAGLGNYVEILVRKPETEFNVIILQINGHDQPSNSIHTFNVGKMRIKLNKGKTSKAKESYSSSMQLCGVRGGGNAAAQALYWQAKKGLSFVLAFESDRERNAAIMLARRYAFDCNIMLSGPGDKAPMVS >DRNTG_16343.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23260870:23261729:1 gene:DRNTG_16343 transcript:DRNTG_16343.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERCGKGTMSPSPSTSPQDQTIPLSLLQP >DRNTG_25586.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29790636:29793190:-1 gene:DRNTG_25586 transcript:DRNTG_25586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYIRVKREKTTYFIQCDPTEKTSDIKQKLQALTDQPTDKQRLTLVSSNEILVDSKTLAEQKVENDAVVALTFRKDDDDFEEVNIARPEDFTSFS >DRNTG_25586.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29790636:29793190:-1 gene:DRNTG_25586 transcript:DRNTG_25586.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYIRVKREKTTYFIQCDPTEKTSDIKQKLQALTDQPTDKQRLTLVSSNEILVDSKTLAEQKVENDAVVALTFRKDDDDFEEVNIARPEDFTSFS >DRNTG_25586.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29790636:29792336:-1 gene:DRNTG_25586 transcript:DRNTG_25586.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYIRVKREKTTYFIQCDPTEKTSDIKQKLQALTDQPTDKQRLTLVSSNEILVDSKTLAEQKVENDAVVALTFRKDDDDFEEVNIARPEDFTSFS >DRNTG_25586.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29790636:29793190:-1 gene:DRNTG_25586 transcript:DRNTG_25586.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYIRVKREKTTYFIQCDPTEKTSDIKQKLQALTDQPTDKQRLTLVSSNEILVDSKTLAEQKVENDAVVALTF >DRNTG_31114.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:46631:47943:-1 gene:DRNTG_31114 transcript:DRNTG_31114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLISYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHGLLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLISRGLDPQTHRPLIGAIPCNTMNTITTSSDDLHGNAAGTINFNQEAQDEVLNLDLSISLPYHSQTVPSLTVEAKTTTNITSSSTPPSNYYCSSTSGNQAICVCCHLGFERSEASCSCNEGIGNHHPSLLRYLRPMGDEQEVE >DRNTG_02082.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8182401:8184211:1 gene:DRNTG_02082 transcript:DRNTG_02082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSLIGTLTVISPIRKIFYFCYSPTNGHKVQTVNFTVFLKLWSQAPLDNSYRNPYECILCYHPVGLVLNAMTPKDSVSGCKEDRGVEAAVIPGIEGGATDK >DRNTG_23209.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10482357:10483029:-1 gene:DRNTG_23209 transcript:DRNTG_23209.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAALQLSSLGRRPSPRRRLEGDRGAQPANPLFPVAGCSLYAFLLVCR >DRNTG_23209.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10482515:10483029:-1 gene:DRNTG_23209 transcript:DRNTG_23209.9 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAALQLSSLGRRPSPRRRLEGDRGAQPANPLFPVAGCSLYAFLLVCR >DRNTG_23209.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10482283:10483266:-1 gene:DRNTG_23209 transcript:DRNTG_23209.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAALQLSSLGRRPSPRRRLEGDRGAQPANPLFPVAGCSLYAFLLVCR >DRNTG_23209.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10482357:10483266:-1 gene:DRNTG_23209 transcript:DRNTG_23209.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAALQLSSLGRRPSPRRRLEGDRGAQPANPLFPVAGCSLYAFLLVCR >DRNTG_23209.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10482443:10483029:-1 gene:DRNTG_23209 transcript:DRNTG_23209.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAALQLSSLGRRPSPRRRLEGDRGAQPANPLFPVAGCSLYAFLLVCR >DRNTG_23209.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10482061:10483266:-1 gene:DRNTG_23209 transcript:DRNTG_23209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAALQLSSLGRRPSPRRRLEGDRGAQPANPLFPVAGCSLYAFLLVCR >DRNTG_23209.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10482061:10483029:-1 gene:DRNTG_23209 transcript:DRNTG_23209.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAALQLSSLGRRPSPRRRLEGDRGAQPANPLFPVAGCSLYAFLLVCR >DRNTG_23209.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10482515:10483266:-1 gene:DRNTG_23209 transcript:DRNTG_23209.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAALQLSSLGRRPSPRRRLEGDRGAQPANPLFPVAGCSLYAFLLVCR >DRNTG_23209.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10482283:10483029:-1 gene:DRNTG_23209 transcript:DRNTG_23209.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAALQLSSLGRRPSPRRRLEGDRGAQPANPLFPVAGCSLYAFLLVCR >DRNTG_15309.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4781964:4786499:-1 gene:DRNTG_15309 transcript:DRNTG_15309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYCPSDEEVEEQLSDSEFGDPLSASSSPLIFPGSVERDDDLSSDEEDQFSVLNLVVATLRKSLVMCSAGAEVDNVEEVLDIGRPTDVRHVSHVTFDRFDGFLGLPVELERDVPSRAPSASASIFGLSAKSMQCSHDNRGNSVPTILLLMQKHLYSQGGLKAEGIFRINAENSHEAYVREQLSTGLVPHGIDVHCLAGLIKAWFRELPSGVLDCITPDQMMHCNTEEECSQLIRMLAPTEAALLDWAINLMADVVKHENDNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLIMKTLREREDTATEVSVSQFCLESPGDNDKVLSLRSTESIMGEYKQEAIDSSDYGKAALGNFLFSSEHAFESDKEESFRSFEMKSETLEIHELTSGKCSPINGYKNTPQDDSKEDRCDSGDVEGILDRLNFRRSVRKLCRHPVFQFSKTLKKTGDLGILNSKGGRREACTS >DRNTG_05267.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19704385:19713868:-1 gene:DRNTG_05267 transcript:DRNTG_05267.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX prenyl protease 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G01320) UniProtKB/Swiss-Prot;Acc:Q8RX88] MALPYLEAVLGFIVSMYIFETYLDIRQHSALKLPTLPKALQGVISQDKFEKSRAYTLDKSRFHFIREAVSTLMDITILYFGALPWLWKKSGDLVLYFGLNADSEIIHTLSYLGVFMIWSQITDLPFSLYSTFVIEARHGFNKQTLRLFFLDIFKGICLSFVIGPPIVAAIIIIAQNGGPYLAIYLWVFMFVISLIMLTLYPLVIAPLFNKFTPLPEGELKEKIEKLSASLKFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCKNDEEIVAVIAHELGHWKLNHTMYSFIAVQILSFLQFGGYTFVRNSKDLFHSFGFDSQPVIVGFIIFQYTVMPIQHLVNFGLNLVSRAFEFQADAFAKKLGYGAALRAGLVKLQEENLSSMNTDPWYSAYHYSHPPLAERLAAIDRDKED >DRNTG_21670.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10981381:10989350:1 gene:DRNTG_21670 transcript:DRNTG_21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVETGEGQLVENFYSFTCPSVEAVVQQVVTNKISQTFITIPATLRLFFH >DRNTG_29283.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001474.1:44487:46282:-1 gene:DRNTG_29283 transcript:DRNTG_29283.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFEHTIRDVLANSGVKPTDIDILVVNVSMFAPEPSLASRIVNKFKMRDDIKIYNLSGMGCSASLISIDLIRRTFMSQFNKLALIFTSESITPNWYSGNNRSMMLANCLFRCGGCAMLLTNDPKRKIQAKLKLKHLIRTDLGANDEAFNCAYETEDELGHTGMCLSKDLPKAAALAFVQNLKTLAPKVLPVTELVRFVMAGIYRRSKGSRGGGSNSVNFKAGIDHFVIHSGGAAVIDGVGKSLRLSEWDLEPARMTLHRFGNTSASSIWYALGYMEAKKRLKKGQRVFMIGFGAGFLGNSCVWIVNRDLKDEGVWKECIDQYPPKTLENPFMKMYGWINDQDI >DRNTG_29283.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001474.1:24741:46282:-1 gene:DRNTG_29283 transcript:DRNTG_29283.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFEHTIRDVLANSGVKPTDIDILVVNVSMFAPEPSLASRIVNKFKMRDDIKIYNLSGMGCSASLISIDLIRRTFMSQFNKLALIFTSESITPNWYSGNNRSMMLANCLFRCGGCAMLLTNDPKRKIQAKLKLKHLIRTDLGANDEAFNCAYETEDELGHTGMCLSKDLPKAAALAFVQNLKTLAPKVLPVTELVRFVMAGIYRRSKGSRGGGSNSVNFKAGIDHFVIHSGGAAVIDGVGKSLRLSEWDLEPARMTLHRFGNTSASSIWYALGYMEAKKRLKKGQRVFMIGFGAGFLGNSCVWIVNRDLKDEGVWKECIDQYPPKTLENPFMKMYGWINDQDI >DRNTG_29283.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001474.1:24741:46282:-1 gene:DRNTG_29283 transcript:DRNTG_29283.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFEHTIRDVLANSGVKPTDIDILVVNVSMFAPEPSLASRIVNKFKMRDDIKIYNLSGMGCSASLISIDLIRRTFMSQFNKLALIFTSESITPNWYSGNNRSMMLANCLFRCGGCAMLLTNDPKRKIQAKLKLKHLIRTDLGANDEAFNCAYETEDELGHTGMCLSKDLPKAAALAFVQNLKTLAPKVLPVTELVRFVMAGIYRRSKGSRGGGSNSVNFKAGIDHFVIHSGGAAVIDGVGKSLRLSEWDLEPARMTLHRFGNTSSSSIWYALGYMEAKKRLKKGQRVFMIGFGAGFLGNSCVWIVNRDLKDEGVWKECIDQYPPKTLENPFMKMYGWINDQDI >DRNTG_27228.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1555755:1557254:1 gene:DRNTG_27228 transcript:DRNTG_27228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEPKDNNIYYPPLPQEPPVTTGIPIQMAGMPSLQVQSQQKVSWSTGLCGCCDDVGNCCITCWCPCITFGQIAEIVDRGSTSCGVSGAIYLLLMLTIGCNCVYSCFYRKKMRSQYSLSSSPCNDCLVHCCCESCALCQEYRELKQHGFDMNIGWQANMENRGQGQAVLPPNVQGGMIR >DRNTG_04196.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7116839:7121122:1 gene:DRNTG_04196 transcript:DRNTG_04196.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLQDQKHNNLNCVTDNCKHISLLEAQQNELSELRSLLSMIKMEFVNMQTQLQND >DRNTG_04196.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7115927:7121122:1 gene:DRNTG_04196 transcript:DRNTG_04196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPCRVSFRDGRLASRKAEEAAYRRYQASQWLEDMAGPLDLSPNPSEQEFVSCLRSGHVLCTAINKIQPGAVPKVVTIHSLGTPNDIQPLPAYQYFENVRNFLVAINELKLLSFEASDLEKDTVEKGSAAKIVDCVLSLKSYYEWKQCNGGSGPLKFVKSPLVAHSAGRTRSNAISSGSSISCRRLVLTAGSDKQNATRNKNLISEDLGISLSKVLSNCLLNSKENINRNLLDAQDGCQDSNIQLLTETMSSFLQDQKHNNLNCVTDNCKHISLLEAQQNELSELRSLLSMIKMEFVNMQTQLQND >DRNTG_28409.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24628198:24629861:1 gene:DRNTG_28409 transcript:DRNTG_28409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGRISTTDFLNSINLGPRTLCVFCHIETESIDHLFLKCRCA >DRNTG_28196.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19670865:19674468:1 gene:DRNTG_28196 transcript:DRNTG_28196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESRCGSEALLAMPEDVLAMISSLLRARDLCALAQTCRALHRAITLSEKCWLAQCLILPTSPPPHLLPVWRVSFPSYLLLFRFVLSLSPLLGLWAHQNPELGNAVLALWGHLPSLLAFRIIPQELPSLGLESGPLLFAPVFEILPNPQDCLSPPLFFLHGAGDSVHPGSVSMVHPDSNVLLLEVDAQSQSPSPPGFVRLSFGDRRRVLDFVAKRVRIAVPPNLASAPMLRHRDEDDSLLQMRMSWLLDTHKAHNGRIDRCVAESNLSGVGRKASDDSEVAGNWRRRQPGFLHGWVFWVDELVRKVQVFEWNQD >DRNTG_08490.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6363745:6370112:-1 gene:DRNTG_08490 transcript:DRNTG_08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKWTTQIIVVSVEPNRHLCHPRHAGVHHRKPRNGKPGLIPGAYSSRWKMRDLSQAVENGELACYDHGQGIPKLTLRLQMIIKDETVDIEITTFDKQTELMTNLNVDFLQFIEEISQTIVPEKITAIVKRKITFTIGLTPKVIKDDILTYRIYRTKAIQLEGQSSTQTRKKEISSNPHALRSSSSQQRSSFPDDLDPPKPSSRQCDPTGFSFLHPLNRVLDDIRADGIGVEILNIALPAVLALATETIASLVNTAFVGHLGFVELAGVGVSVSIFNLVSKLFNIPLHNTTTSFVAEEQALDVGMKNNSSGVARSMSGTLMPQGGGDVESKKYLPAISTSLTLPASIGIAEAIAMALCSGLLMNFMGMLKRRTSTVLEGVEAEKDGS >DRNTG_22366.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18559203:18564668:1 gene:DRNTG_22366 transcript:DRNTG_22366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVVGLCEVRPWRGVTGSLGQDDGRDRASVRQGRGDLDKAG >DRNTG_12303.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29691093:29696706:1 gene:DRNTG_12303 transcript:DRNTG_12303.2 gene_biotype:protein_coding transcript_biotype:protein_coding LRSGHQSSHCSRLGHRTRALITLDSNTGALITLGSNTRLLISLSLSLSNCPLAEASVQPSLQYKQLLAHIFFFLKARNDTREHDDKLRATQREARLGEDLEKEKDARRRR >DRNTG_12303.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29689132:29696706:1 gene:DRNTG_12303 transcript:DRNTG_12303.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRSGHQSSHCSRLGHRTRALITLDSNTGALITLGSNTRLLISLSLSLSNCPLAEASVQPSLQYKQLLAHIFFFLKARNDTREHDDKLRATQREARLGEDLEKEKDARRRR >DRNTG_03637.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:24052660:24055810:1 gene:DRNTG_03637 transcript:DRNTG_03637.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIGCGSGRFLIWLAKHLPESRNYLGLEIRNKLVQRSQFWVKELGLKNIYFMFANATISFEQLVPTYPGPLILVSILCPDPHFKKRHHKRRVVQKSLVDSVVKNLSLGGQVILQSDVPDVALDMRDQFDARADVLKHIDALDANFTCDAQGWLLENPIGIRTEREIHAELEGAKIYKRMYQKV >DRNTG_03637.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:24052660:24055810:1 gene:DRNTG_03637 transcript:DRNTG_03637.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRWNCSASPPSSRFALCFTKSSSSFALLPAPLRAFRAACWSQCSRSPNLVELEYADLNLKDFYGAGCVGHVRIRQHVNPLSSSFVAPVKVPEWKQVFSNPSLPLMVDIGCGSGRFLIWLAKHLPESRNYLGLEIRNKLVQRSQFWVKELGLKNIYFMFANATISFEQLVPTYPGPLILVSILCPDPHFKKRHHKRRVVQKSLVDSVVKNLSLGGQVILQSDVPDVALDMRDQFDARADVLKHIDALDANFTCDAQGWLLENPIGIRTEREIHAELEGAKIYKRMYQKV >DRNTG_05132.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30588848:30604714:-1 gene:DRNTG_05132 transcript:DRNTG_05132.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRRSGFSPLRSFRPRDEERGGSWGGGGGDEIQSSSDTFDIPSKNAPIERLRRWRQAALVLNASRRFRYTLDLKKEEEKEQIRRKIRAHAQVIRAAFLFKEAGEKEGPGTSGGTPALPIGNYGIGMEQLTAMTRDHDFSALQEYGGVKGLSNLLKTNLDKGISGDDSELLRRRNAFGANTYPRKKGRSFWVFLWESWQDLTLVILMIAAVISLILGIKTEGIKEGWYDGASIAFAVILVIVVTAVSDYRQSLQFQNLNDEKRNIRLEVIRGSRRVEVSIFDLVVGDIVPIKIGDQIPADGILISGHSLAIDESSMTGESKIVHKDKKAPFLLSGCKVADGYGTMLVTAVGINTEWGLLMASISEDNGEETPLQVRLNGVATFIGIVGLTVAVLVLIVLLARYFTGHTKNPDGSVQFVSGHTSAKAAINGAVKVLTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLAACETMGSATTICSDKTGTLTLNQMTVVAAYVGGKKIDPPDNSEQLSSTASTLLIEGIAQNTTGNVFVPETGGAIEVTGSPTEKAILSWGVKLGMKFYDARSQSSILHVFPFNSEKKRGGVAVHVGGSEVHVHWKGAAEIVLAACTSWLDADGSIQKMNDKMDTFKRFIEDMAAESLRCVAFAYRLYELEKVPNEEERDSWSLPEDDLVLLAIVGIKDPCRPGVRDAVQLCTKAGVKVRMVTGDNLQTAKAIALECGILDSEFSATEPNLIEGRAFRALSDKEREAIADKISVMGRSSPNDKLLLVQALRRKGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAVSSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMERPPVGRREPLITNIMWRNLLIQALYQVVVLLLLNFGGRSILHLKNETREHADKVKNTFIFNSFVLCQIFNEFNSRKPDQMNIFKGITKNHLFIGIIAITLILQAIIVEFLGKFTSTVRLNWKLWLVSIGIAFVSWPLALIGKLIPVPEIPLAEYFQRCCQKKNRQENMEDVNGDENRQK >DRNTG_05132.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30588848:30606539:-1 gene:DRNTG_05132 transcript:DRNTG_05132.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRRSGFSPLRSFRPRDEERGGSWGGGGGDEIQSSSDTFDIPSKNAPIERLRRWRQAALVLNASRRFRYTLDLKKEEEKEQIRRKIRAHAQVIRAAFLFKEAGEKEGPGTSGGTPALPIGNYGIGMEQLTAMTRDHDFSALQEYGGVKGLSNLLKTNLDKGISGDDSELLRRRNAFGANTYPRKKGRSFWVFLWESWQDLTLVILMIAAVISLILGIKTEGIKEGWYDGASIAFAVILVIVVTAVSDYRQSLQFQNLNDEKRNIRLEVIRGSRRVEVSIFDLVVGDIVPIKIGDQIPADGILISGHSLAIDESSMTGESKIVHKDKKAPFLLSGCKVADGYGTMLVTAVGINTEWGLLMASISEDNGEETPLQVRLNGVATFIGIVGLTVAVLVLIVLLARYFTGHTKNPDGSVQFVSGHTSAKAAINGAVKVLTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLAACETMGSATTICSDKTGTLTLNQMTVVAAYVGGKKIDPPDNSEQLSSTASTLLIEGIAQNTTGNVFVPETGGAIEVTGSPTEKAILSWGVKLGMKFYDARSQSSILHVFPFNSEKKRGGVAVHVGGSEVHVHWKGAAEIVLAACTSWLDADGSIQKMNDKMDTFKRFIEDMAAESLRCVAFAYRLYELEKVPNEEERDSWSLPEDDLVLLAIVGIKDPCRPGVRDAVQLCTKAGVKVRMVTGDNLQTAKAIALECGILDSEFSATEPNLIEGRAFRALSDKEREAIADKISVMGRSSPNDKLLLVQALRRKGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAVSSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMERPPVGRREPLITNIMWRNLLIQALYQVVVLLLLNFGGRSILHLKNETREHADKVKNTFIFNSFVLCQIFNEFNSRKPDQMNIFKGITKNHLFIGIIAITLILQAIIVEFLGKFTSTVRLNWKLWLVSIGIAFVSWPLALIGKLIPVPEIPLAEYFQRCCQKKNRQENMEDVNGDENRQK >DRNTG_13546.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:184696:187784:-1 gene:DRNTG_13546 transcript:DRNTG_13546.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLNAVELAKLSKRVSDNQAGPSFISYISAKILDNIQVSVRNVHMIYIDSHIALNQFVFGLRFSGLTIMTDSRRHLSTISTAGKSRGSQVSKIIEISNLALYCTLGGDQNLSLNDAGNAQLYCDVKLDFEGFDYLVGPFDVTVSLLV >DRNTG_13546.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:178568:188967:-1 gene:DRNTG_13546 transcript:DRNTG_13546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGVVSQVIAGYLGRYIKGIQKEQLKIGIWNEEVLLEKVELILEAFDYLQLPFTLKNGHVGKLSIRIPWKKLGWDPIIIVLEDVVICACQREDHEWSSESVEKRELAGKMAKLNAVELAKLSKRVSDNQAGPSFISYISAKILDNIQVSVRNVHMIYIDSHIALNQFVFGLRFSGLTIMTDSRRHLSTISTAGKSRGSQVSKIIEISNLALYCTLGGDQNLSLNDAGNAQLYCDVKLDFEGFDYLVGPFDVTVSLLVNKAGKSDGTPQYAVNAELNSLIISLNEIQLQQILSLWDYFTVCRLREKYGRYRPLYKSLLEKSNGWQRMWWQYALKSVLADVHRKLQKTSWTNFGRRINDRRKYVDLYRRKLELLQLDQLVDKDILEELDKMDKECDIDDILSYRSIAEQQLQELHLNSKNSKSGATDPISSEDKQFNSERSYGRARGWLNWLSLGMLGAGGTADSNSFAGVISDDIIKDIYEAAEFHPMLTVNDDSTERDGFCLVSLKSNINQVVASIGSKISGRKTAEFVFGGISAECKYWDGSASIVALINSLKIINPHDESAILACEQELFQGDLLKDVPAFVGIHVKIPNSDKKSVKVVLQPFETTYDSDFFLGILHFYELLASFQFQHNR >DRNTG_13546.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:184325:185767:-1 gene:DRNTG_13546 transcript:DRNTG_13546.8 gene_biotype:protein_coding transcript_biotype:protein_coding MIYIDSHIALNQFVFGLRFSGLTIMTDSRRHLSTISTAGKSRGSQVSKIIEISNLALYCTLGGDQNLSLNDAGNAQLYCDVKLDFEGFDYLVGPFDVTVSLLVNKAGKSDGTPQYAVNAELNSLIISLNEIQLQQILSLWDYFTVCRLREK >DRNTG_13546.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:181232:184408:-1 gene:DRNTG_13546 transcript:DRNTG_13546.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWWQYALKSVLADVHRKLQKTSWTNFGRRINDRRKYVDLYRRKLELLQLDQLVDKDILEELDKMDKECDIDDILSYRSIAEQQLQ >DRNTG_13546.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:179951:184408:-1 gene:DRNTG_13546 transcript:DRNTG_13546.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWWQYALKSVLADVHRKLQKTSWTNFGRRINDRRKYVDLYRRKLELLQLDQLVDKDILEELDKMDKECDIDDILSYRSIAEQQLQELHLNSKNSKSGATDPISSEDKQFNSERSYGRARGWLNWLSLGMLGAGGTADSNSFAGVISDDIIKDIYEAAEFHPMLTVNDDSTERDGFCLVSLKSNINQVVASIGSK >DRNTG_13546.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:178568:187784:-1 gene:DRNTG_13546 transcript:DRNTG_13546.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQVNKAGKSDGTPQYAVNAELNSLIISLNEIQLQQILSLWDYFTVCRLREKYGRYRPLYKSLLEKSNGWQRMWWQYALKSVLADVHRKLQKTSWTNFGRRINDRRKYVDLYRRKLELLQLDQLVDKDILEELDKMDKECDIDDILSYRSIAEQQLQELHLNSKNSKSGATDPISSEDKQFNSERSYGRARGWLNWLSLGMLGAGGTADSNSFAGVISDDIIKDIYEAAEFHPMLTVNDDSTERDGFCLVSLKSNINQVVASIGSKISGRKTAEFVFGGISAECKYWDGSASIVALINSLKIINPHDESAILACEQELFQGDLLKDVPAFVGIHVKIPNSDKKSVKVVLQPFETTYDSDFFLGILHFYELLASFQFQHNR >DRNTG_13546.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:180148:186830:-1 gene:DRNTG_13546 transcript:DRNTG_13546.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIYIDSHIALNQFVFGLRFSGLTIMTDSRRHLSTISTAGKSRGSQVSKIIEISNLALYCTLGGDQNLSLNDAGNAQLYCDVKLDFEGFDYLVGPFDVTVSLLVNKAGKSDGTPQYAVNAELNSLIISLNEIQLQQILSLWDYFTVCRLREKYGRYRPLYKSLLEKSNGWQRMWWQYALKSVLADVHRKLQKTSWTNFGRRINDRRKYVDLYRRKLELLQLDQLVDKDILEELDKMDKECDIDDILSYRSIAEQQLQELHLNSKNSKSGATDPISSEDKQFNSERSYGRARGWLNWLSLGMLGAGGTADSNSFAGVISDDIIK >DRNTG_19697.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001041.1:35583:36140:-1 gene:DRNTG_19697 transcript:DRNTG_19697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDPKHKSRLNYTMRPTAATLDPLQHSVATYRSVSHSNAPSISVPISIDDPMMEDNGVICSTLMNRLTLAMNLRWRLNRVRLKTKKPTNLTSRIQKGGSWRNKLKSWSTLDSTVFMTYVNAEEVIALLTTS >DRNTG_32567.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10931690:10938001:1 gene:DRNTG_32567 transcript:DRNTG_32567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSHARGSFPHELVDSCSVGQIFPESTRGRGLAPGRASSPVGRMHGHGYFPHARARAVRVKGVLS >DRNTG_23314.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1126116:1130281:-1 gene:DRNTG_23314 transcript:DRNTG_23314.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVIPHLWVACLFMALAITRTLSYHRVVPARLPDAFSQETLVPHEYSRYSEVKDACKLILKSASKDKINANRASSIIPELNFIMGDWRQDHGTAPLMPFDASDAPQSSSVLPDPAHLVTFALTHVDLATTSNNAFNVSGELSIGLFRNGTGLEVNHFFNPEFRFWPGNSELRVSFEGIYVESEKNGGERVLCMLGNALLPSRQPDSADPWEWVNDARMNKYQPPLLEDDKVLLVLHYPKNFTLTTRAARGELRSLNQVSSIRYFDKISLVSQLGAYSYYQYGSEELVSKACSPYPYPNDSVRGWFELYKGVGLCEVLDRFINGQLLNVVPNWNCNSTDEYCRKLGPFATEREINATDGGFDNVKLAMQDVRCESRMESYNKTSAKVSAVFRVISPWENQYTAVQRSGLDNTTLSVEGIWNSSSGQLCMVGCLGLTDRNCHSRICLYIPTSFSINQRNIIFGRISSIDDHGNNSFFPLSFERPVHPSEIWDKLSNTYLSYKYSKIKLAGSFLERSEPFEFGAIIKKSFLSYPRKSEKNDELVGLSSLADDLTLHSSAVQDPLPKVRTDRPFLQMEILSLDKLFGRYWTYQYQNSSSTKEKNPASTKDVSTERKLLLNVSAELTLSGKLYSNVSVLYLEGLYNPVSGKMYLIGCRDVRASWKILFESRDLEDGLDCLIEVIIEYPPTTARWLMNPTAKVSIISQRTEDDPLHFSQIKLQTLPILYHEQRQDILSRKGVEGSLRILTLSIAVACIVFQLVYIRDNTASVPYISLVMLGVQALGYSIPLITGAEALFAQLKSETYDYPSYGFEKNQWFQISDYLVKILVLGAFLLTLRLGQKVWKSRIRLLSRTPLEPGRVPNDKWVLIISCGLHLAGFLVILILQGVNAPPRQIRPDQYFLDSRGNRRKMHDWEIQLEEYVGLIQDFFLLPQIIGNTMWQLNGKPLRKVYYFGITLVRILPHVYDYVRAPVFNPYFSEEYEFVNPALDFYSKFDDVAIPMTAILLSILVYVQQRWDCHKFFQSLRSGEKIFLPLGSRVYERLPSVSFEAELTPGVNESATQDAKHMDEE >DRNTG_23314.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1126201:1130281:-1 gene:DRNTG_23314 transcript:DRNTG_23314.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVIPHLWVACLFMALAITRTLSYHRVVPARLPDAFSQETLVPHEYSRYSEVKDACKLILKSASKDKINANRASSIIPELNFIMGDWRQDHGTAPLMPFDASDAPQSSSVLPDPAHLVTFALTHVDLATTSNNAFNVSGELSIGLFRNGTGLEVNHFFNPEFRFWPGNSELRVSFEGIYVESEKNGGERVLCMLGNALLPSRQPDSADPWEWVNDARMNKYQPPLLEDDKVLLVLHYPKNFTLTTRAARGELRSLNQVSSIRYFDKISLVSQLGAYSYYQYGSEELVSKACSPYPYPNDSVRGWFELYKGVGLCEVLDRFINGQLLNVVPNWNCNSTDEYCRKLGPFATEREINATDGGFDNVKLAMQDVRCESRMESYNKTSAKVSAVFRVISPWENQYTAVQRSGLDNTTLSVEGIWNSSSGQLCMVGCLGLTDRNCHSRICLYIPTSFSINQRNIIFGRISSIDDHGNNSFFPLSFERPVHPSEIWDKLSNTYLSYKYSKIKLAGSFLERSEPFEFGAIIKKSFLSYPRKSEKNDELVGLSSLADDLTLHSSAVQDPLPKVRTDRPFLQMEILSLDKLFGRYWTYQYQNSSSTKEKNPASTKDVSTERKLLLNVSAELTLSGKLYSNVSVLYLEGLYNPVSGKMYLIGCRDVRASWKILFESRDLEDGLDCLIEVIIEYPPTTARWLMNPTAKVSIISQRTEDDPLHFSQIKLQTLPILYHEQRQDILSRKGVEGSLRILTLSIAVACIVFQLVYIRDNTASVPYISLVMLGVQALGYSIPLITGAEALFAQLKSETYDYPSYGFEKNQWFQISDYLVKILVLGAFLLTLRLGQKVWKSRIRLLSRTPLEPGRVPNDKWVLIISCGLHLAGFLVILILQGVNAPPRQIRPDQYFLDSRGNRRKMHDWEIQLEEYVGLIQDFFLLPQIIGNTMWQLNGKPLRKVYYFGITLVRILPHVYDYVRAPVFNPYFSEEYEFVNPALDFYSKFDDVAIPMTAILLSILVYVQQRWDCHKFFQSLRSGEKIFLPLGSRVYERLPSVSFEAELTPGVNESATQDAKHMDEE >DRNTG_23314.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1126201:1130281:-1 gene:DRNTG_23314 transcript:DRNTG_23314.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVIPHLWVACLFMALAITRTLSYHRVVPARLPDAFSQETLVPHEYSRYSEVKDACKLILKSASKDKINANRASSIIPELNFIMGDWRQDHGTAPLMPFDASDAPQSSSVLPDPAHLVTFALTHVDLATTSNNAFNVSGELSIGLFRNGTGLEVNHFFNPEFRFWPGNSELRVSFEGIYVESEKNGGERVLCMLGNALLPSRQPDSADPWEWVNDARMNKYQPPLLEDDKVLLVLHYPKNFTLTTRAARGELRSLNQVSSIRYFDKISLVSQLGAYSYYQYGSEELVSKACSPYPYPNDSVRGWFELYKGVGLCEVLDRFINGQLLNVVPNWNCNSTDEYCRKLGPFATEREINATDGGFDNVKLAMQDVRCESRMESYNKTSAKVSAVFRVISPWENQYTAVQRSGLDNTTLSVEGIWNSSSGQLCMVGCLGLTDRNCHSRICLYIPTSFSINQRNIIFGRISSIDDHGNNSFFPLSFERPVHPSEIWDKLSNTYLSYKYSKIKLAGSFLERSEPFEFGAIIKKSFLSYPRKSEKNDELVGLSSLADDLTLHSSAVQDPLPKVRTDRPFLQMEILSLDKLFGRYWTYQYQNSSSTKEKNPASTKDVSTERKLLLNVSAELTLSGKLYSNVSVLYLEGLYNPVSGKMYLIGCRDVRASWKILFESRDLEDGLDCLIEVIIEYPPTTARWLMNPTAKVSIISQRTEDDPLHFSQIKLQTLPILYHEQRQDILSRKGVEGSLRILTLSIAVACIVFQLVYIRDNTASVPYISLVMLGVQALGYSIPLITGAEALFAQLKSETYDYPSYGFEKNQWFQISDYLVKILVLGAFLLTLRLGQKVWKSRIRLLSRTPLEPGRVPNDKWVLIISCGLHLAGFLVILILQGVNAPPRQIRPDQYFLDSRGNRRKMHDWEIQLEEYVGLIQDFFLLPQIIGNTMWQLNGKPLRKVYYFGITLVRILPHVYDYVRAPVFNPYFSEEYEFVNPALDFYSKFDDVAIPMTAILLSILVYVQQRWDCHKFFQSLRSGEKIFLPLGSRVYERLPSVSFEAELTPGVNESATQDAKHMDEE >DRNTG_23314.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1126201:1130281:-1 gene:DRNTG_23314 transcript:DRNTG_23314.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVIPHLWVACLFMALAITRTLSYHRVVPARLPDAFSQETLVPHEYSRYSEVKDACKLILKSASKDKINANRASSIIPELNFIMGDWRQDHGTAPLMPFDASDAPQSSSVLPDPAHLVTFALTHVDLATTSNNAFNVSGELSIGLFRNGTGLEVNHFFNPEFRFWPGNSELRVSFEGIYVESEKNGGERVLCMLGNALLPSRQPDSADPWEWVNDARMNKYQPPLLEDDKVLLVLHYPKNFTLTTRAARGELRSLNQVSSIRYFDKISLVSQLGAYSYYQYGSEELVSKACSPYPYPNDSVRGWFELYKGVGLCEVLDRFINGQLLNVVPNWNCNSTDEYCRKLGPFATEREINATDGGFDNVKLAMQDVRCESRMESYNKTSAKVSAVFRVISPWENQYTAVQRSGLDNTTLSVEGIWNSSSGQLCMVGCLGLTDRNCHSRICLYIPTSFSINQRNIIFGRISSIDDHGNNSFFPLSFERPVHPSEIWDKLSNTYLSYKYSKIKLAGSFLERSEPFEFGAIIKKSFLSYPRKSEKNDELVGLSSLADDLTLHSSAVQDPLPKVRTDRPFLQMEILSLDKLFGRYWTYQYQNSSSTKEKNPASTKDVSTERKLLLNVSAELTLSGKLYSNVSVLYLEGLYNPVSGKMYLIGCRDVRASWKILFESRDLEDGLDCLIEVIIEYPPTTARWLMNPTAKVSIISQRTEDDPLHFSQIKLQTLPILYHEQRQDILSRKGVEGSLRILTLSIAVACIVFQLVYIRDNTASVPYISLVMLGVQALGYSIPLITGAEALFAQLKSETYDYPSYGFEKNQWFQISDYLVKILVLGAFLLTLRLGQKVWKSRIRLLSRTPLEPGRVPNDKWVLIISCGLHLAGFLVILILQGVNAPPRQIRPDQYFLDSRGNRRKMHDWEIQLEEYVGLIQDFFLLPQIIGNTMWQLNGKPLRKVYYFGITLVRILPHVYDYVRAPVFNPYFSEEYEFVNPALDFYSKFDDVAIPMTAILLSILVYVQQRWDCHKFFQSLRSGEKIFLPLGSRVYERLPSVSFEAELTPGVNESATQDAKHMDEE >DRNTG_22605.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9396476:9397782:1 gene:DRNTG_22605 transcript:DRNTG_22605.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPLDVDMAPRTKKAAGKHPREPSPEPEHMEFAIPEHQPRFERLSKLKFGQSRFPDLSALRDVHFDSIDTIQFRAFRQYHRRCMDRQYEPGVSKVTCLS >DRNTG_31206.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21603678:21603893:-1 gene:DRNTG_31206 transcript:DRNTG_31206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPQGLLQFHLNAGRTRAKVIATFNSENPRAQLVPRALFSNDLLAEIVAKVSFLEVAVVERLKALLGGTN >DRNTG_24932.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001317.1:59878:62016:1 gene:DRNTG_24932 transcript:DRNTG_24932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLESSIRNAYSKYAGRVLSSSSSGSIIDGSKLPSFNLSISSKSLASCSQLLSSSSTWKTISLQSATDINVSIPHSSIFEIATPTERVLAFGLDRKNQTEQGFFLHFPLTGHSLILKWIPQTALSHYLIHSFALESWAGIGRLHGRGCSTRPCRISTWAWIISTRPCGFSVSLDPRPAVSSGATFYARVLLHCSATLLCYNIRLE >DRNTG_22251.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001234.1:31396:36326:1 gene:DRNTG_22251 transcript:DRNTG_22251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLLYLNISMNQFNGNLNWNYSSLQNLQVFDAYDNNFTSLLPVGVASLTKLQYLDFGGNYFYGVIPASYGSLRSLEYLQLAGNDLRGRIPSELGNLSSLTELSLGYYNTFEGGIPSELGKLSSLVTLDLSKCSLDGVVPWHLGNLINLNTLYLHSNLLSGNIPSSIGNLTSLLYLDLSNNALTGEVPGELANLRELRLLNLFMNRLHGSLPEYLADMPNLETLGLFMNNFTGVIPEKLGYNGKIELLDLSSNKLTGIVPDSLCSSNKLRVLILFNNFLFGAIPESLGKCLSLSKVRLGQNYLNGSIPSGLLYLPKLYLLELQNNYLTGLLSESNNNTDSSSLLAQLNLSNNQLSGAVPASIGQLHELVKLDLSRNLLTGSIPPEISNCSQLTYLDLSQNNLSGEIPPEISTIEILNYLNLSRNHLSQSIPKTIAGMKSLTSADFSFNDFSGRLPDTGQFSYFNASAFAGNPRLCGDILNNPCNFSAFSTTTGSTTTSTTSTATKHVPGDFKLVFALSLLVCSLIFAIAAIIKARAYKQGSNSSNKAGVWKLTTFQRVEFTISDVLDCMKDCNVIGRGGAGVVYIGRTPTGHEFAAKRLLGFNTSGHDHGFRAEVKTMANIRHRNIVRLLGFCSNSEMNVLVYEYMRNGSLGEVLHGKGGGFLGWDRRYRIAIEAGRGLCYLHHDCKPMIVHRDVKSNNILLDSEFEAHVADFGLAKLFLQDTGVSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSYGVVLLELITGRRPVGGFGDGVDIVQWAKRTTACNKDNVARIVDSRLSTLPIDEAMHVFFVAMLCVQENSVERPTMREVVQMLTEIHRHATLEQSPSSSSSSDHQKIDSHCYKLFPDLLT >DRNTG_25879.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9073194:9076643:-1 gene:DRNTG_25879 transcript:DRNTG_25879.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTIANENPFKDFLTSLPRPDGGEYGKYYSLPALNDPRIDKLPYSIRILLESAIRNCDNFQVTKDDIERIIDWENTSPKLVEIPFKPARVLLQDFTGVPAVVDLACMRDAINKLGSDSNKINPLVPVDLVIDHSVQVDLARSENAVQANMELEFRRNKERFSFLKWGSTAFRNMLVVPPGSGIVHQVNLEYLGRVVFNTDGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLKNGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMGQLSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVSMIESYLRANKMFVDYNEPLNER >DRNTG_25879.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9073265:9076643:-1 gene:DRNTG_25879 transcript:DRNTG_25879.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTIANENPFKDFLTSLPRPDGGEYGKYYSLPALNDPRIDKLPYSIRILLESAIRNCDNFQVTKDDIERIIDWENTSPKLVEIPFKPARVLLQDFTGVPAVVDLACMRDAINKLGSDSNKINPLVPVDLVIDHSVQVDLARSENAVQANMELEFRRNKERFSFLKWGSTAFRNMLVVPPGSGIVHQVNLEYLGRVVFNTDGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLKNGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMGQLSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVSMIESYLRANKMFVDYNEVSMEFFLFF >DRNTG_08325.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19820203:19821077:1 gene:DRNTG_08325 transcript:DRNTG_08325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFTLIHPSHCPVYLGTLRPPFPMCLGNYHLSLTRFSTPHPPLPQRLLHSTLHSLPDPHLLGIDHLNQRSRSPPPIFISSATQNPISSSGNPNLSHSLVVDQVSAALDDGSMDEDSDQEDEASDDSEELMSDDVESDDMMTLDQFQEGAQCSNRICKKN >DRNTG_23160.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1827409:1832830:1 gene:DRNTG_23160 transcript:DRNTG_23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT2G38330) UniProtKB/TrEMBL;Acc:A0A178VTF1] MRVPAEKFLSLRAYGAPAIVVALAAQGTFRGFMDTKTPLYAVGAGNLLNVILDVILILLLGLGVEGAAIATVISEYLIASVLLWKLNTKVILIPPNIIETRIVRYLKSGGLLIGRTIAALLTMTISTSMAAREGPVPMAGHQICLQVWLAVSLLNDAIALAGQALLAREFARGNYKQARLVIFRVLQVGAVTGIALATFLFFGFCAFSEVFTTDSAVLDIARSGVWFVSFSQPVNALAFVFDGLYYGVSDFAYAAYSMVLVGLIASLFFLTAVPYFGLGGLWTGLILFMSLRAGAGFWRLGTKGGPWKMVWSETEVGLMEK >DRNTG_23160.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1827409:1832830:1 gene:DRNTG_23160 transcript:DRNTG_23160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT2G38330) UniProtKB/TrEMBL;Acc:A0A178VTF1] MRVPAEKFLSLRAYGAPAIVVALAAQGTFRGFMDTKTPLYAVGAGNLLNVILDVILILLLGLGVEGAAIATVISEYLIASVLLWKLNTKVILIPPNIIETRIVRYLKSGGLLIGRTIAALLTMTISTSMAAREGPVPMAGHQICLQVWLAVSLLNDAIALAGQALLAREFARGNYKQARLVIFRVLQVGAVTGIALATFLFFGFCAFSEVFTTDSAVLDIARSGVWFVSFSQPVNALAFVFDGLYYGVSDFAYAAYSMVLVGLIASLFFLTAVPYFGLGGLWTGLILFMSLRAGAGFWRLGTKGGPWKMVWSETEVGLMEK >DRNTG_23160.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1830893:1832830:1 gene:DRNTG_23160 transcript:DRNTG_23160.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT2G38330) UniProtKB/TrEMBL;Acc:A0A178VTF1] MTISTSMAAREGPVPMAGHQICLQVWLAVSLLNDAIALAGQALLAREFARGNYKQARLVIFRVLQVGAVTGIALATFLFFGFCAFSEVFTTDSAVLDIARSGVWFVSFSQPVNALAFVFDGLYYGVSDFAYAAYSMVLVGLIASLFFLTAVPYFGLGGLWTGLILFMSLRAGAGFWRLGTKGGPWKMVWSETEVGLMEK >DRNTG_23160.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1827409:1832830:1 gene:DRNTG_23160 transcript:DRNTG_23160.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT2G38330) UniProtKB/TrEMBL;Acc:A0A178VTF1] MLAGDESLISETGWQKFLGGGDVESKKYLPAVSTSIALAAGIGIAEAIALALCSGLLMNFMGIPVDSPMRVPAEKFLSLRAYGAPAIVVALAAQGTFRGFMDTKTPLYAVGAGNLLNVILDVILILLLGLGVEGAAIATVISEYLIASVLLWKLNTKVILIPPNIIETRIVRYLKSGGLLIGRTIAALLTMTISTSMAAREGPVPMAGHQICLQVWLAVSLLNDAIALAGQALLAREFARGNYKQARLVIFRVLQVGAVTGIALATFLFFGFCAFSEVFTTDSAVLDIARSGVWFVSFSQPVNALAFVFDGLYYGVSDFAYAAYSMVLVGLIASLFFLTAVPYFGLGGLWTGLILFMSLRAGAGFWRLGTKGGPWKMVWSETEVGLMEK >DRNTG_23160.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1827409:1832830:1 gene:DRNTG_23160 transcript:DRNTG_23160.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT2G38330) UniProtKB/TrEMBL;Acc:A0A178VTF1] MRVPAEKFLSLRAYGAPAIVVALAAQGTFRGFMDTKTPLYAVGAGNLLNVILDVILILLLGLGVEGAAIATVISEYLIASVLLWKLNTKVILIPPNIIETRIVRYLKSGGLLIGRTIAALLTMTISTSMAAREGPVPMAGHQICLQVWLAVSLLNDAIALAGQALLAREFARGNYKQARLVIFRVLQVGAVTGIALATFLFFGFCAFSEVFTTDSAVLDIARSGVWFVSFSQPVNALAFVFDGLYYGVSDFAYAAYSMVLVGLIASLFFLTAVPYFGLGGLWTGLILFMSLRAGAGFWRLGTKGGPWKMVWSETEVGLMEK >DRNTG_31514.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14158461:14158968:1 gene:DRNTG_31514 transcript:DRNTG_31514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWSSLVLFKGFLVKPRAHLAGLVPTKSPPITLFQRNVLSEAVQPLKKSWLIRDLNRTGIGHPHRRSNYYSELLQYLLQYSTKILPNPHFSSRQHKRAHVYAMDRVASSNKIPFDNDLACLA >DRNTG_30854.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001636.1:29597:34195:1 gene:DRNTG_30854 transcript:DRNTG_30854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSPFYLPLPHFSYTYSSTSCCPCSTSILIDLFSFIASREPSAT >DRNTG_31861.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7982526:7983487:-1 gene:DRNTG_31861 transcript:DRNTG_31861.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESGYIRFEDSVSAVKAHVTAVLVESILTVKNCTVTLEALTGEVEKEYWDLLRGNKERFRGNKGGRGRCDYSLSSNVPIQNIFGL >DRNTG_30841.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:598946:600164:1 gene:DRNTG_30841 transcript:DRNTG_30841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLRFQLLYLLLLLILWPLFMSASIVTHLPGFHGPLPFHLETGYVGVDEVQLFYYFIESEGNPVEDPLILWLTGGPGCSAFSGLVFEIGPLKFRSVDHNGSLPTLVYHPFSWTKVSNVIFLDSPVGTGFSFSNTPEGYVDGDVTSSKRVYRFLRKWLMDHPQFLSNPLYISGDSYAGKVVPFITDLILQGIESGTQPLLNLKGSDNVLWWWLLLINLVLKESKDG >DRNTG_18683.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000969.1:23098:24021:-1 gene:DRNTG_18683 transcript:DRNTG_18683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVAAMPPEKNFHTETASSTPYVSAPSSPRAGGFDYLYHYTSAPASPTRITAIYSLNYQPDEHDHDHFPVEDNDFEFNFSGQLQKGALPPELTAADELFEAGKFKTTMKQPKSPQSHSRTSVNDFRRDNQRAKSNSSRARSLSPLRNQVPSRKDNSTYISSSSSSKSSSIVSFLRNAGNGSKKWRLKDLLLFRSASEGRVTGRGSKDPLRKYTAISPPLPTKRSSKGDDSKGDDSKSSSFRSTDSGGSVRKGSVSPHELHYTTNRAASEELKKKTPLPYRQGLFGCLRFNPAVTAISRSFNNQSLS >DRNTG_25047.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8929659:8932713:-1 gene:DRNTG_25047 transcript:DRNTG_25047.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLGNQPHKISRQEVQAVAAKNMELRALHAALFQSGSTSSPSLQSHPTTTAPASRPSIHFSAEDYPVFTPSYDEEPLPGHHYISCENRKMSGNWSGLGMASDRKDAQEVSFSERTYNQSSILSGEPHACSEEDHTSSISSCINQMAIMHNTPGADVFRSRRRNSTGEFALLAASNRCKLASISRENESEQKSLKNANNAEPQANIKISMPAQTKSRGPVFSWLFPKGKKKPKSSMSPNTLESEDMSQLLKNWGVFSLESLKKQLLEANESKEAALTEVSEMKSSLSELKQKLVSLEMYCEELKRALKQQMQSKETQIVDGPNLSKRSKSNSSVKEKPIPVSHEVMVEGFLQMVSEARLSVKQFCKTLIKQIEEVDPSLMDKLKALLQPYHITSSSKFTKGMLYHLEALINQNLYQDFENCVFQKNGPPKILDPHLDRLENFSSFVALRNLSWHEVLRKGTKYYSEDFSRFCDQKMSGIVSMLDWSSPWPEQLLQSFFVSAKCIWLLHLLSFSFNPPLMILRVEENRNFDPLYMEDLLHDRQRQQAPARVKIMVMPGFYVEDQVLRCRVLCRYRSIT >DRNTG_25047.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8929659:8932446:-1 gene:DRNTG_25047 transcript:DRNTG_25047.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLGNQPHKISRQEVQAVAAKNMELRALHAALFQSGSTSSPSLQSHPTTTAPASRPSIHFSAEDYPVFTPSYDEEPLPGHHYISCENRKMSGNWSGLGMASDRKDAQEVSFSERTYNQSSILSGEPHACSEEDHTSSISSCINQMAIMHNTPGADVFRSRRRNSTGEFALLAASNRCKLASISRENESEQKSLKNANNAEPQANIKISMPAQTKSRGPVFSWLFPKGKKKPKSSMSPNTLESEDMSQLLKNWGVFSLESLKKQLLEANESKEAALTEVSEMKSSLSELKQKLVSLEMYCEELKRALKQQMQSKETQIVDGPNLSKRSKSNSSVKEKPIPVSHEVMVEGFLQMVSEARLSVKQFCKTLIKQIEEVDPSLMDKLKALLQPYHITSSSKFTKGMLYHLEALINQNLYQDFENCVFQKNGPPKILDPHLDRLENFSSFVALRNLSWHEVLRKGTKYYSEDFSRFCDQKMSGIVSMLDWSSPWPEQLLQSFFVSAKCIWLLHLLSFSFNPPLMILRVEENRNFDPLYMEDLLHDRQRQQAPARVKIMVMPGFYVEDQVLRCRVLCRYRSIT >DRNTG_25047.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8929556:8932446:-1 gene:DRNTG_25047 transcript:DRNTG_25047.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLGNQPHKISRQEVQAVAAKNMELRALHAALFQSGSTSSPSLQSHPTTTAPASRPSIHFSAEDYPVFTPSYDEEPLPGHHYISCENRKMSGNWSGLGMASDRKDAQEVSFSERTYNQSSILSGEPHACSEEDHTSSISSCINQMAIMHNTPGADVFRSRRRNSTGEFALLAASNRCKLASISRENESEQKSLKNANNAEPQANIKISMPAQTKSRGPVFSWLFPKGKKKPKSSMSPNTLESEDMSQLLKNWGVFSLESLKKQLLEANESKEAALTEVSEMKSSLSELKQKLVSLEMYCEELKRALKQQMQSKETQIVDGPNLSKRSKSNSSVKEKPIPVSHEVMVEGFLQMVSEARLSVKQFCKTLIKQIEEVDPSLMDKLKALLQPYHITSSSKFTKGMLYHLEALINQNLYQDFENCVFQKNGPPKILDPHLDRLENFSSFVALRNLSWHEVLRKGTKYYSEDFSRFCDQKMSGIVSMLDWSSPWPEQLLQSFFVSAKCIWLLHLLSFSFNPPLMILRVEENRNFDPLYMEDLLHDRQRQQAPARVKIMVMPGFYVEDQVLRCRVLCRYRSIT >DRNTG_25047.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8929605:8932713:-1 gene:DRNTG_25047 transcript:DRNTG_25047.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNVYNFYQSYDEEPLPGHHYISCENRKMSGNWSGLGMASDRKDAQEVSFSERTYNQSSILSGEPHACSEEDHTSSISSCINQMAIMHNTPGADVFRSRRRNSTGEFALLAASNRCKLASISRENESEQKSLKNANNAEPQANIKISMPAQTKSRGPVFSWLFPKGKKKPKSSMSPNTLESEDMSQLLKNWGVFSLESLKKQLLEANESKEAALTEVSEMKSSLSELKQKLVSLEMYCEELKRALKQQMQSKETQIVDGPNLSKRSKSNSSVKEKPIPVSHEVMVEGFLQMVSEARLSVKQFCKTLIKQIEEVDPSLMDKLKALLQPYHITSSSKFTKGMLYHLEALINQNLYQDFENCVFQKNGPPKILDPHLDRLENFSSFVALRNLSWHEVLRKGTKYYSEDFSRFCDQKMSGIVSMLDWSSPWPEQLLQSFFVSAKCIWLLHLLSFSFNPPLMILRVEENRNFDPLYMEDLLHDRQRQQAPARVKIMVMPGFYVEDQVLRCRVLCRYRSIT >DRNTG_25047.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8929605:8931717:-1 gene:DRNTG_25047 transcript:DRNTG_25047.5 gene_biotype:protein_coding transcript_biotype:protein_coding MISNVYNFYQSYDEEPLPGHHYISCENRKMSGNWSGLGMASDRKDAQEVSFSERTYNQSSILSGEPHACSEEDHTSSISSCINQMAIMHNTPGADVFRSRRRNSTGEFALLAASNRCKLASISRENESEQKSLKNANNAEPQANIKISMPAQTKSRGPVFSWLFPKGKKKPKSSMSPNTLESEDMSQLLKNWGVFSLESLKKQLLEANESKEAALTEVSEMKSSLSELKQKLVSLEMYCEELKRALKQQMQSKETQIVDGPNLSKRSKSNSSVKEKPIPVSHEVMVEGFLQMVSEARLSVKQFCKTLIKQIEEVDPSLMDKLKALLQPYHITSSSKFTKGMLYHLEALINQNLYQDFENCVFQKNGPPKILDPHLDRLENFSSFVALRNLSWHEVLRKGTKYYSEDFSRFCDQKMSGIVSMLDWSSPWPEQLLQSFFVSAKCIWLLHLLSFSFNPPLMILRVEENRNFDPLYMEDLLHDRQRQQAPARVKIMVMPGFYVEDQVLRCRVLCRYRSIT >DRNTG_06993.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1239367:1248143:-1 gene:DRNTG_06993 transcript:DRNTG_06993.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGEEGGGARKLWVFFMPFFATSHIIPITDLACLFAGHPGVEPTIVTTPANANLIRPTLDRHAASGCHVHLLLHPFPSVDLPASVENLSTVSAEESWKIYKAVELCRDIHHKLLTHHVPDAIIADIPYWWTTDIAGELGIPRITFHVVGVFPQIIMNNLAKNRVHDNVPNDSHPFTIPDLPGPSITMVKSELPEFLRLHDHVTHAWDNMKRSQLESYGVVVNTFYELEREYCDLFKVVDSQRAWFVGPLALRGKREVEASFNKERCMRWLEKKEVGSVVFVCFGSWYHFKDEQLRELALGLEGSGKDFIWVVRGDDEKMKMEWMPEGYEERVKEKGLVVKGWVPQAAILGHEGVGVFMTHCGWNSVLEGLSFGKPVLTWPLVFDQFINERFLVEVLKVGVRVWDGFRSSSENDKDKVVVPAEAIARAMKKFSESNEEEMKKRAVQWKEIAGDAVEEGGSSYKDLNCLINELLMFNKKKKNGSQ >DRNTG_06993.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1239367:1241034:-1 gene:DRNTG_06993 transcript:DRNTG_06993.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGEGGSDGQRKLRVFFIPFFAASHIIPMTELACLFAGHPGVEPTIVTTPANANLIRPTLDHHAASGCHVHLLLHPFPSVDLPASVENLSTVSAEESWKIYKAVELCRDIHHKLLTHHVPDAIIADIPYWWTTDIAGELGIPRITFHVVGVFPQIIMNNLAKNRVHDNVPNDSHPFTIPDLPGPSITMVKSELPEFLRLHDHVTHAWDNMKRSQLESYGVVVNTFYELEREYCDLFKVVDSQRAWFVGPLALRGKREVEASFNKERCMRWLEKKEVGSVVFVCFGSWYHFKDEQLRELALGLEGSGKDFIWVVRGDDEKMKMEWMPEGYEERVKEKGLVVKGWVPQAAILGHEGVGVFMTHCGWNSVLEGLSFGKPVLTWPLVFDQFINERFLVEVLKVGVRVWDGFRSSSENDKDKVVVPAEAIARAMKKFSESNEEEMKKRAVQWKEIAGDAVEEGGSSYKDLNCLINELLMFNKKKKNGSQ >DRNTG_06993.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1239367:1248143:-1 gene:DRNTG_06993 transcript:DRNTG_06993.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLVKNRVHDNVPNDSHPFTIPDLPGPEIKMVKSELPEFLQKHDFLTQAWDDLKRSQLESYGVVVNTFYEFEREYCDLFKVVDSQRAWFVGPLALRGKREVEASFNKERCMRWLEKKEVGSVVFVCFGSWYHFKDEQLRELALGLEGSGKDFIWVVRGDDEKMKMEWMPEGYEERVKEKGLVVKGWVPQAAILGHEGVGVFMTHCGWNSVLEGLSFGKPVLTWPLVFDQFINERFLVEVLKVGVRVWDGFRSSSENDKDKVVVPAEAIARAMKKFSESNEEEMKKRAVQWKEIAGDAVEEGGSSYKDLNCLINELLMFNKKKKNGSQ >DRNTG_06993.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1246523:1248143:-1 gene:DRNTG_06993 transcript:DRNTG_06993.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLVKNRVHDNVPNDSHPFTIPDLPGPEIKMVKSELPEFLQKHDFLTQAWDDLKRSQLESYGVVVNTFYEFEREYCDLFKVVDSQRAWFVGPLALRGKREVEAGFNKERCMRWLEKKEIGSVVFVCFGSWCHFKDEQLRELALGLEGSGMDFIWVVRGGDDENMKMEWMPEGWEERVKDKGLVFKGWVPQAAILGHEGVGVFMTHCGWNSVMEGLSFGKPMLTWPLAFDHFISERLLVEVLKVGVRVWDGFRSTLQNEKVVVPAEAIARAMKKFSEKDSEEMKKRAMEWKKITGATVEKDGSSYKDLNCLIDELLMFYEKKKNDSQ >DRNTG_06993.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1239367:1248143:-1 gene:DRNTG_06993 transcript:DRNTG_06993.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGEEGGGARKLWVFFMPFFATSHIIPITDLACLFAGHPGVEPTIVTTPANANLIRPTLDHHAASGCHVHLLLHPFPSVDLPASVENLSTVSAEESWKIYKAVELCRDIHHKLLTHHVPDAIIADIPYWWTTDIAGELGIPRITFHVVGVFPQIIMNNLAKNRVHDNVPNDSHPFTIPDLPGPSITMVKSELPEFLRLHDHVTHAWDNMKRSQLESYGVVVNTFYELEREYCDLFKVVDSQRAWFVGPLALRGKREVEASFNKERCMRWLEKKEVGSVVFVCFGSWYHFKDEQLRELALGLEGSGKDFIWVVRGDDEKMKMEWMPEGYEERVKEKGLVVKGWVPQAAILGHEGVGVFMTHCGWNSVLEGLSFGKPVLTWPLVFDQFINERFLVEVLKVGVRVWDGFRSSSENDKDKVVVPAEAIARAMKKFSESNEEEMKKRAVQWKEIAGDAVEEGGSSYKDLNCLINELLMFNKKKKNGSQ >DRNTG_06993.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1239367:1248143:-1 gene:DRNTG_06993 transcript:DRNTG_06993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLVKNRVHDNVPNDSHPFTIPDLPGPEIKMVKSELPEFLQKHDFLTQAWDDLKRSQLESYGVVVNTFYEFEREYCDLFKVVDSQRAWFVGPLALRGKREVEAGFNKERCMRWLEKKEIGSVVFVCFGSWCHFKDEQLRELALGLEGSGMDFIWVVRGGDDENMKMEWMPEGWEERVKDKGLVFKGWVPQAAILGHEGVGVFMTHCGWNSVLEGLSFGKPVLTWPLVFDQFINERFLVEVLKVGVRVWDGFRSSSENDKDKVVVPAEAIARAMKKFSESNEEEMKKRAVQWKEIAGDAVEEGGSSYKDLNCLINELLMFNKKKKNGSQ >DRNTG_28977.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001462.1:134522:135179:1 gene:DRNTG_28977 transcript:DRNTG_28977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTGQGSQPVSGGNPEHVGSHQDVQRLPRRNNWTISSDEALVDLLLEGSKQPGVCSGSFRPEAWFRIWNEYQGVVNSGCVLVVPAPT >DRNTG_13493.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21811352:21817980:-1 gene:DRNTG_13493 transcript:DRNTG_13493.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIGLKDNCSLSVSVFCDRNEAQPPISLDILGGCNYATVLRHPSGCPKIISANGGGWGWFSILMTILLCLFGGYLLAGTVYRFFFLGIHGVEAIPNLELWVSLPQRAWIGLSSLIRRFRGNAQNGRGYSSRVDF >DRNTG_13493.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21811352:21817980:-1 gene:DRNTG_13493 transcript:DRNTG_13493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPERGSSTVAAAVVVPWLLVSLLVGLLPLPAVGTSCDLSFLRGSTLYNYSLALPIKNYPHGALSEDGFYKVAVNTSLLWFQLCDSMIFNHDPPRCFICQGCGGPSGCGTACSALVSNDIGGYFVCTTVGQPSNIDIKPIDEDNPLKGVIVRMSAIGLKDNCSLSVSVFCDRNEAQPPISLDILGGCNYATVLRHPSGCPKIISANGGGWGWFSILMTILLCLFGGYLLAGTVYRFFFLGIHGVEAIPNLELWVSLPQRAWIGLSSLIRRFRGNAQNGRGYSSRVDF >DRNTG_19164.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6200433:6200843:1 gene:DRNTG_19164 transcript:DRNTG_19164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIETVKCDCCGLREDCTQDYISGVKANFEGKWLCGLCSEAVQDEVVGRGRKKKESFGVEEAVKAHMMFCGKFRGNPAVRVADGMRQMLRRRSGDQLSVSSGKGGRSASSQQQTQKQQQQQQGQHVVGDESSVSLF >DRNTG_14298.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4963990:4964526:1 gene:DRNTG_14298 transcript:DRNTG_14298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCREAEKLFDEMHGKSVIHNAATNGFLIEGYLEAGRIEDALNYFERMHGEWWCWCP >DRNTG_00719.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18386988:18388175:-1 gene:DRNTG_00719 transcript:DRNTG_00719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEGYFLNISHADGFQYGNQRTSLPKEQSAAKTFHTFEKPENDVSTEKKSLSSENSITAVSKQPKDSSVDSEHSENKTQLNEPKSGSPREVAKDCIQHTIDHPECSSPVSRQSPNRDFKKEKDGIEGIKLRSDGDGSTDTSYQVMLESYVLQLLCVQKVLTDASKKDTKKV >DRNTG_00719.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18387418:18388175:-1 gene:DRNTG_00719 transcript:DRNTG_00719.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEGYFLNISHADGFQYGNQRTSLPKEQSAAKTFHTFEKPENDVSTEKKSLSSENSITAVSKQPKDSSVDSEHSENKTQLNEPKSGSPREVAKDCIQHTIDHPECSSPVSRQSPNR >DRNTG_15162.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22694875:22696447:-1 gene:DRNTG_15162 transcript:DRNTG_15162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISTSPNWNGCPISLTTRRLILSRKTLFRACGSPVSVLEPNSLVGLSNNCSAKSATSSSSSSSSGVGSSSNERLLAPPHSPPEAMVSIIPARARTKRPRAVSSYRPLIYVSLTPPPPPSAAAAVSEAEAESFGESDSSPSMATMPVPVMMMMQKKKKLQQQQQQQKKTKKRKSPGAAGIAAGEEEGGETEGEGEGEGAGPPPVRKCMHCEIQKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFIPTLHSNSHKKVVEMRIKASQKTVTVADKESPALKNCDLIEYIRRRD >DRNTG_28666.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6223746:6232058:-1 gene:DRNTG_28666 transcript:DRNTG_28666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTPLAGRPPSKAPLIPATSPPAPKSPSSTEIWPSTTKIRPHPCLPFSHFLEIRLFTTEIRRYTPLT >DRNTG_28666.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6231046:6232058:-1 gene:DRNTG_28666 transcript:DRNTG_28666.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILTPLAGRPPSKAPLIPATSPPAPKSPSSTEIWPSTTKIRPHPCLPFSHFLEIRLFTTEIRRYTPLT >DRNTG_10102.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20771125:20772235:-1 gene:DRNTG_10102 transcript:DRNTG_10102.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPVPDGELQKLMKITLTIIACMSYARIISSKTSPGYLRLTLLLPILSSFLSSFSFSSVHLRGISAFFLSWLSIFKLLLLSFSLPPLHPSLPLPSSIFKLLLFLLLLSLYPYKHLFPSYLLLALYCFHIYLALELVLSSARFLAGTLLGLDLEPQFNAPYFSTSLRDFWGHRWNLMVTSILRPSVYHPVRSRFGTAAGVLAVFFVSGVMHELMFYYLTLSSPTGEVTCFFILHGFCMVVEGLFARRWKWGGVHPAVAPPLVLGFVAVTGFWLFFPPILRTGTDEKTLEECAAMVAFLEKGGRALLDRIG >DRNTG_01833.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:19791396:19793486:1 gene:DRNTG_01833 transcript:DRNTG_01833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTWTLCWHSLAMIPLKLSRPDPSRARGNRHDNKECTLQHPTSKSS >DRNTG_11244.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21868556:21870080:1 gene:DRNTG_11244 transcript:DRNTG_11244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISRIAIGSTAEATHPSALKAALAEFICTFIFVFAGQGSGMAFSKLTGGGAATPAGLISAAIAHAFGLFVAVSVGANISGGHVNPAVTFGAFIGGNITLVRGLLYWIAQLLGSTLACLLLIFSTGGLATGSFGLSGINAIEALVLEIVMTFGLVYTVYATAIDPKKGSLGTIAPIAIGFIVGANILVGGAFDGASMNPAVSFGPALVSWAWDDHWVYWAGPLIGGGLAGLVYELFFISHTHEQLSTTEY >DRNTG_07899.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2497873:2500448:-1 gene:DRNTG_07899 transcript:DRNTG_07899.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G11870) UniProtKB/Swiss-Prot;Acc:Q8RWT8] MGLINWALTEVTKKGFTPLITPEIVRSSVVEKCGFQPRGQNTQVYSIEGSDQCLIGTAEIPVGGIHMDSILPDSALPLKYVAFSHCFRTEAGAAGAATRGLYRVHQFSKVEMFIFCQPEDSNMYHEELIQIEEDLFTSLGLHFKTLDMATGDLGAPAYRKFDVEAWMPGLGRFGEISSASNCTDYQSRRLGIRFRPFLSDASPANSKKGKGSAAPTQFVHTLNATACAVPRMIVCLLENFQQEDGSVFIPEPLRPFMGGLQVISPKFK >DRNTG_07899.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2497873:2502630:-1 gene:DRNTG_07899 transcript:DRNTG_07899.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G11870) UniProtKB/Swiss-Prot;Acc:Q8RWT8] MRSISVFRRWQEVERLRAERNAVANKMKGKLEPSVRQALVEEGKNLKEALVTLEEDLVQLTDRLQQEAQSIPNITHPDVPIGGEESSIVRKMVGCQPEFTFTVRDHLQIGKDLDLFDFDAASEVSGSKFYYLKNEAVLLEMGLINWALTEVTKKGFTPLITPEIVRSSVVEKCGFQPRGQNTQVYSIEGSDQCLIGTAEIPVGGIHMDSILPDSALPLKYVAFSHCFRTEAGAAGAATRGLYRVHQFSKVEMFIFCQPEDSNMYHEELIQIEEDLFTSLGLHFKTLDMATGDLGAPAYRKFDVEAWMPGLGRFGEISSASNCTDYQSRRLGIRFRPFLSDASPANSKKGKGSAAPTQFVHTLNATACAVPRMIVCLLENFQQEDGSVFIPEPLRPFMGGLQVISPKFK >DRNTG_07899.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2497873:2502630:-1 gene:DRNTG_07899 transcript:DRNTG_07899.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G11870) UniProtKB/Swiss-Prot;Acc:Q8RWT8] MMKMMMISSGGTALQTLRLAPSLCKPPSLQTLTRYRHGLFRGPRLLPSLLRAVSSPALQPSSSGVEDEKVVVAKPQWKAAIDFKWIRENKDLVAGNIRNRNSSANLDLVLELYEKYLGLQKEVERLRAERNAVANKMKGKLEPSVRQALVEEGKNLKEALVTLEEDLVQLTDRLQQEAQSIPNITHPDVPIGGEESSIVRKMVGCQPEFTFTVRDHLQIGKDLDLFDFDAASEVSGSKFYYLKNEAVLLEMGLINWALTEVTKKGFTPLITPEIVRSSVVEKCGFQPRGQNTQVYSIEGSDQCLIGTAEIPVGGIHMDSILPDSALPLKYVAFSHCFRTEAGAAGAATRGLYRVHQFSKVEMFIFCQPEDSNMYHEELIQIEEDLFTSLGLHFKTLDMATGDLGAPAYRKFDVEAWMPGLGRFGEISSASNCTDYQSRRLGIRFRPFLSDASPANSKKGKGSAAPTQFVHTLNATACAVPRMIVCLLENFQQEDGSVFIPEPLRPFMGGLQVISPKFK >DRNTG_14540.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18768974:18771476:1 gene:DRNTG_14540 transcript:DRNTG_14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWRSLYSSKGPFVWPRIHLTGSVPTKALPLTFFQTERNVRAVEPLPIPSRRPLSSLSSTADVGARLKGAGGLGDAGVGDRNVGDAGERPEDSVTVSAESPAASPPPSVLSVVDVTKM >DRNTG_23305.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1180586:1181816:-1 gene:DRNTG_23305 transcript:DRNTG_23305.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIFQPEHQYHFFPWFFLFMIVLSLLPCSTLADVGTAASYGPPYNPTACFNGDPGQFPADSLFAAVGEGIWDNGAACGREYIVRCLSSATPGACLADSTVRVLVLDRGTVLHSSPSANDTTIVLSKQAFRKISTLSAPVINVEFAVV >DRNTG_12321.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29548487:29551372:1 gene:DRNTG_12321 transcript:DRNTG_12321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYSMDHMNHCFTGVDFSVNLCSGENEGSEPKRRVIGEMDFFSEDKKRVNSDHKVPSLSATQKEDLAINTGLQLTVNVSSEQSTLDDGLSPDEEEKERNMEMTAMRIEIGRLNEENKNLRNMLSQASGAYEALHMHLIRLMQQRDLNKRNSLNHNISTVADVTEMVEEPSQSSTEGGSRERSSPTPANSKNEMVLFDREGGRGIGKEEIIDRESNAWLPSREHAKLQQSKDADQAHEATMRKARVSVRARSDASIITDGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCADDRTILVTTYEGSHNHPLPPAAMAMASTTSAAVSMLLSGPMSSSDTLMNSSVFARTMLPSTSTMATISASAPFPTITLDLTQNPNPIQQQKPLNGSHFSLPFSPPPPTTTTTTPTALFGHALYGHSVKPENFPVTSLADTVSAITADPNFTAALAAAISSFMENNNGNKSSVNGNGRSHHTCSDNKIGSS >DRNTG_12321.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29549308:29551372:1 gene:DRNTG_12321 transcript:DRNTG_12321.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEPSQSSTEGGSRERSSPTPANSKNEMVLFDREGGRGIGKEEIIDRESNAWLPSREHAKLQQSKDADQAHEATMRKARVSVRARSDASIITDGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCADDRTILVTTYEGSHNHPLPPAAMAMASTTSAAVSMLLSGPMSSSDTLMNSSVFARTMLPSTSTMATISASAPFPTITLDLTQNPNPIQQQKPLNGSHFSLPFSPPPPTTTTTTPTALFGHALYGHSVKPENFPVTSLADTVSAITADPNFTAALAAAISSFMENNNGNKSSVNGNGRSHHTCSDNKIGSS >DRNTG_04968.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3992223:3993223:1 gene:DRNTG_04968 transcript:DRNTG_04968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFTGHKVPTKTKSNTNRTKATPATQQPLLGYLLEDLTLDLEEKINNFMSLK >DRNTG_04385.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1204577:1207399:-1 gene:DRNTG_04385 transcript:DRNTG_04385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVSSPRLLAGTLAFQRSTKSPPFSLSLRTSQRCSLTDGRKVSSPSLRTAAMSSRQRYLSVEIDDARRSFSRPQPPDLASYLYSNRVVYLATPIVPLVMELIVAQLLYLQAEDNEKPISFYINSTGTLKDGEKLGNELEALGIYDVMRYIEAPICTLCIGKAWGEAALLLAAGDRGCRAALPSSSIMIREAIAKFDGQVSDVVLAREEFSSIKGQMVNILSEHTGKPPEQIEEDIRWPKYFSPSAAVEYGIIDRVVSNDLVQENQALMLDLKSAELSQGSGIST >DRNTG_10112.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000423.1:2259:3403:1 gene:DRNTG_10112 transcript:DRNTG_10112.2 gene_biotype:protein_coding transcript_biotype:protein_coding FQVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEITSAVVRQVNTMIQSKDLHSHHIKNPLISFYFLPITELKA >DRNTG_10112.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000423.1:2259:3403:1 gene:DRNTG_10112 transcript:DRNTG_10112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPDLSFSEITSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDRRDLKWMR >DRNTG_30304.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16666135:16674948:-1 gene:DRNTG_30304 transcript:DRNTG_30304.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEILAELLQAWLLRRTMSTLHLQTSDIRPPSVLQNTLKYLLGLLESTSHPFDVVHDFIFDRTRSIRQDLTMQQIINEQAIDMYEEIVKFHITSHCKLARYSGKSDESSLYYLNMEQLMKCLLSIFDMYDMARRSKGVIKNEAEFRSYYVLLHLGCKIPTMGNSLSSWFCRIPFSILQSEEMCLARTLLRYYRMGDYKQFISTTATRASHLQLCLLEPFLNEVRAQALAYINLCGYKVNPYPLTHLSKLLMIQVLLQIIS >DRNTG_30304.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16666135:16674948:-1 gene:DRNTG_30304 transcript:DRNTG_30304.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHLAAGRGGGSRGRGRKPGFYRGGSSYTVPSSSAPSWRPRRSDHTEPVTGSQAISDPSPSIPEQDIKSEHEFLIGTCPDMCPEKERAQRERLRDLSVFERLNGNPGRTSPSLAVKKFCRTMSTLHLQTSDIRPPSVLQNTLKYLLGLLESTSHPFDVVHDFIFDRTRSIRQDLTMQQIINEQAIDMYEEIVKFHITSHCKLARYSGKSDESSLYYLNMEQLMKCLLSIFDMYDMARRSKGVIKNEAEFRSYYVLLHLGCKIPTMGNSLSSWFCRIPFSILQSEEMCLARTLLRYYRMGDYKQFISTTATRASHLQLCLLEPFLNEVRAQALAYINLCGYKVNPYPLTHLSKLLMIQEQDLESLCSACGLETCIDEAGTKLLPAKQTSFSLPKLGFQSYGLLNRDTC >DRNTG_30304.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16670536:16674948:-1 gene:DRNTG_30304 transcript:DRNTG_30304.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHLAAGRGGGSRGRGRKPGFYRGGSSYTVPSSSAPSWRPRRSDHTEPVTGSQAISDPSPSIPEQDIKSEHEFLIGTCPDMCPEKERAQRERLRDLSVFERLNGNPGRTSPSLAVKKFCRTMSTLHLQTSDIRPPSVLQNTLKYLLGLLESTSHPFDVVHDFIFDRTRSIRQDLTMQQIINEQAIDMYEEIVRFHILSFKYN >DRNTG_03025.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19762753:19763407:-1 gene:DRNTG_03025 transcript:DRNTG_03025.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFTDKCDVYSFGVVTLEVMMGMHPGELLLNLPSMSSSSQGNDLLLKDVLDNRLLPPTGQLAEQIVFIVKVALACTQTDPASRPTMLSIAQELSTRKKSYLSEPLGTISFKNLLQVSRSGVLLK >DRNTG_03025.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19762753:19766000:-1 gene:DRNTG_03025 transcript:DRNTG_03025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMALDILVFLLFLFSHDFHLKCKAETEAEALLKWKNSLSNPESLSSWSLTNSTNHCHWFGITCNTAGSIVELNLPDCSLDGNLDELDFTYLSNLTKLDLSGNTLTGSIPRTLSALDKLTSLEISNNGLETSDFSEFKAMPTLTHLSLRSNNFPTMELPSFILNCTNLTVLDLSVNEFNGTMPDSLGTNLVNLRCLNLSFNSFSGSIPSSIGNMASLEHLDMSFNLLEGKLPSTMSQLENLTYLDLSNNKLNGAIPAGLGQGGLLYHADFKNNAFWGVLSESLCSGFKLQYLDVSYNKFYGALPSCLRNCTGLKQIVLTDNYFMGDISKAFGLHPKLISLYLKGNQLTGTLSTDWGQCTSLISLIIEDNNISGEIPKEFGNMRSLQELSLASNILTGEIPQELVNLSSLWSLKLNNNMLSGHIPRISLTTPLIVLDLSGNKLSGQIPAEIGNSSSLSSLDLSDNLLAGHISEKLGDLTNLMQLDLSSNELSGSIPSSLARLKALQQLNLSYNNLAGQIPEAFSGMYSLYSIDFSYNKLTGPIPSAIVFYRSYEAYFGNAGLCGDALGLLSCGFSTSDQGSHKKHTTLLIAITVPVAGCSLMLLVAIATVCRRHRTSKVTETENHSLVWDTGLKFKFTDVMEAIDDFNEAYCIGEGSFGVVYRAELPSGQVLAVKRQHFSDESDIQENNVRSFLNEIKFLLEVRHRNIVKLHGACTKKGVMHLVFDYVERGSLGDVLYSVLGGLTFDWAMRVNVIHGVAHAVAYLHNDCLQNIVHRDISINNVLLDNDFEPKVSDFGTAKMLKHDASSWTAVVGSYGYIAPELAYMTKFTDKCDVYSFGVVTLEVMMGMHPGELLLNLPSMSSSSQGNDLLLKDVLDNRLLPPTGQLAEQIVFIVKVALACTQTDPASRPTMLSIAQELSTRKKSYLSEPLGTISFKNLLQVSRSGVLLK >DRNTG_31920.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18996835:18997981:-1 gene:DRNTG_31920 transcript:DRNTG_31920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASNIFMLIFIIAVHLFLACAATYAANRGGSQLRTHSHAEQEFGRPYPPPPPGPTRPDETNQNTRAWLFSRESWSEMRKLELLRVMSSGHIAKEPGKNHP >DRNTG_08849.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27868271:27871438:-1 gene:DRNTG_08849 transcript:DRNTG_08849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALFSPSSLFQEAEDHDEQADGCQSPSEQTFVERIHTFPGMDLKIREFSFHHLNANLLWPGTFAFCDWLVQHKSLLIGRRILELGSGTGALAIFLRKSFGVDITTSDFDDKEVEENIAYNCRANELEVLPHIGHSWGDVFPSDEVDWDLVIASDILLYVKQYPNLINTLIFLLKCYKQQDGKALLGSPFASELADASGKVIQLQQPAFLMSWKRRLGKDEQYFFDGCHDAGLEVVHLGSRVYYITQRKTQ >DRNTG_35415.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002243.1:32578:35237:1 gene:DRNTG_35415 transcript:DRNTG_35415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSKAVIVRKSVQPGKESWLLNQNHLSENKRLKTQAQDKFCSGSFHKQESSFMNWISTMTNGFSRSYQEKPLNQPLPIAHDTNKGSCTNIGFGSIFHSLHSPRLLIQDRAQKDLDSQRVADVLSEQDDREQASTGAGLVGSDGLDSNLQNAIGTSSKATNSVLKGIVCHEDVKLPTGALHSNENLKQATCVDEALPLNTMYISSGKSHEKAVGNIGNFSRYGKSFPSSSQKALATTLEGKAIGTIPSVLNGSSNLVSKKRGALLESLWISRLLPKVSVSIPEPANCSHGVELSNEKHTKITEKSCPSPFGQKSFACGTLKAQGHSDSDGSNGTNADGSSKSKLNCKIPSQKLIKSEPMASVFARRLDAIKHITPAKTMNDKTSMLGTCFFCGKVGHSLKECPQLTESELQDILRDLNSYDNTDGFLSICIRCFGFNHWAISCPFESSKIKNIHANNDRRIVLWHGVREQHQLFNDHAPSHKKSNSAREQTGLAVPLSLSPDEKGLPSDTIKENLRESTSKAYQSSSLNMNNVLKMDHSMAICNMFDANAGEEPSGTFQMIRQLQLSRTDVIRFGGFIEIKLSF >DRNTG_16286.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25670463:25671923:1 gene:DRNTG_16286 transcript:DRNTG_16286.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDLMTLAGVVNFGDYLPMLAWMDLQGIEGRMKKLQKKFDVMLTRMIKKHTDTAQNRKGKPDLLDVIMANRDNSVTERLSDDSVKAVLLV >DRNTG_24383.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16938387:16939481:-1 gene:DRNTG_24383 transcript:DRNTG_24383.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLVFRPYTQVRRTICTSVSLRASTRVSSGFAPLRHSSPSFPRGTCSLSVSRPYSALDGIYRPIGAAFPNNPTRRQRLVVRQGPGTTGLSPSPAPLSRGLGPGPSLRTLLQTTIRAA >DRNTG_24383.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16938387:16943005:-1 gene:DRNTG_24383 transcript:DRNTG_24383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLVFRPYTQVRRTICTSVSLRASTRVSSGFAPLRHSSPSFPRGTCSLSVSRPYSALDGIYRPIGAAFPNNPTRRQRLVVRQGPGTTGLSPSPAPLSRGLGPGPSLRTLLQTTIRAA >DRNTG_07723.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2467674:2468108:-1 gene:DRNTG_07723 transcript:DRNTG_07723.1 gene_biotype:protein_coding transcript_biotype:protein_coding HQKASFGQHSLGRGKKAETASKNRTRRNMKSKKKRILVSIRKLYLDC >DRNTG_31141.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:286044:298922:-1 gene:DRNTG_31141 transcript:DRNTG_31141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLQRSSISPFRSRKTSAPAPSKPSGRPTTPSMARPTTPSVSSARPGTPSSSSSSASVRPAPAGLKLSPVSAVPPSSPPSGRGPDFFKSKENVTVTVRFRPLSMREINKGDEVAWYADGDYTVRNEYNSSIAYGFDRVFGPATTTRHVYDIAAQHVVSGAMQGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFGIIQETPGREFLLRVSYLEIYNEVINDLLDPIGQNLRIREDSQGTYVEGIKEEVVLSPAHALSLIASGEEHRHVGSNNFNLLSSRSHTIFTLTIESSPSGENHGEEDVTLSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVISKLTDGKATHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPASSNTEETHNTLKFAHRSKHVEIKASQNKIMDEKSLIKKYQREISCLKEELQQLKRGMMEKPYMAAPDQEDLVNLKLQLEAGQVKLQSRLEEEEQAKAALMGRIQRLTKLILVSTKNSITANVPDNKLEHRRRHSFGEDELAYLPDRKREYVIEDDVASLDSEFSVEGRTDLSSLDESIKFDKKNRMRGMLGWFKLKKPEHLSILSGSGDSEGSASGSPSFSQSSQQKLLATDVKDGRRKSVSRKGDDPSIVDSFPERTQAGDLFCSATRGRRPPPTGMTIIDQMDLLREQVKMLAGEVALCTSSLKRLSEQAISNPEDSNIQEQMQKLKAEIHEKRLQIRHLEQRMAGSSEVTSSSSNGFEMSQALSKLTSQLNEKTFELEIMSADNRILQEQLQMKIAENTEIQETVSMLKRQVNALSDKENRSETSISRNATSEFSKGNVDGNGLSGEAIFDECTESSTTDTVPTENPEQCTSNSSLKAQVLMLAAEIENLKQEKVRLAEEKDGIEIHCQKLSEEASYAKELAAAAAVELRNLAEEVTKISYQNAKLTADLAATKELAFARNNNCPRCMQSVGKQGQTNNRRSDVYLNGAEDAISIEELQDELFSRRQRETTLEATLCDKERKEAELQKRIAEGKQREQDLENELANMWVLVAKMKRSGGSFDETSPDGVNDIDFLSKRNGVVTANGNHSLKPKGDRFSGVSNVDGNGANDEVRAAYEYERRRCKELEGVISRLKCEDLSGMDVASLEELQSFHVEALSKICQEKLTNRVL >DRNTG_31141.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:296959:298922:-1 gene:DRNTG_31141 transcript:DRNTG_31141.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFFGIWSYLLGFGSMREINKGDEVAWYADGDYTVRNEYNSSIAYGFDRVFGPATTTRHVYDIAAQHVVSGAMQGINGTVFAYGVTSSGKTHTMH >DRNTG_31141.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:286491:289339:-1 gene:DRNTG_31141 transcript:DRNTG_31141.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSADNRILQEQLQMKIAENTEIQETVSMLKRQVNALSDKENRSETSISRNATSEFSKGNVDGNGLSGEAIFDECTESSTTDTVPTENPEQCTSNSSLKAQVLMLAAEIENLKQEKVRLAEEKDGIEIHCQKLSEEASYAKELAAAAAVELRNLAEEVTKISYQNAKLTADLAATKELAFARNNNCPRCMQSVGKQGQTNNRRSDVYLNGAEDAISIEELQDELFSRRQRETTLEATLCDKERKEAELQKRIAEGKQREQDLENELANMWVLVAKMKRSGGSFDETSPDGVNDIDFLSKRNGVVTANGNHSLKPKGDRFSGVSNVDGNGANDEVRAAYEYERRRCKELEGVISRLKCEDLSGMDVASLEELQSFHVEALSKICQEKLTNRVL >DRNTG_31141.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:286044:290155:-1 gene:DRNTG_31141 transcript:DRNTG_31141.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVQAAEIENLKQEKVRLAEEKDGIEIHCQKLSEEASYAKELAAAAAVELRNLAEEVTKISYQNAKLTADLAATKELAFARNNNCPRCMQSVGKQGQTNNRRSDVYLNGAEDAISIEELQDELFSRRQRETTLEATLCDKERKEAELQKRIAEGKQREQDLENELANMWVLVAKMKRSGGSFDETSPDGVNDIDFLSKRNGVVTANGNHSLKPKGDRFSGVSNVDGNGANDEVRAAYEYERRRCKELEGVISRLKCEDLSGMDVASLEELQSFHVEALSKICQEKLTNRVL >DRNTG_31141.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:286491:292457:-1 gene:DRNTG_31141 transcript:DRNTG_31141.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFWPLDILYLLLVSSPSVLPECFCFLCYIINYLHHVLNSLVECVLISTRTRTSDTQDSDCS >DRNTG_31141.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:286044:293392:-1 gene:DRNTG_31141 transcript:DRNTG_31141.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKSLIKKYQREISCLKEELQQLKRGMMEKPYMAAPDQEDLVNLKLQLEAGQVKLQSRLEEEEQAKAALMGRIQRLTKLILVSTKNSITANVPDNKLEHRRRHSFGEDELAYLPDRKREYVIEDDVASLDSEFSVEGRTDLSSLDESIKFDKKNRMRGMLGWFKLKKPEHLSILSGSGDSEGSASGSPSFSQSSQQKLLATDVKDGRRKSVSRKGDDPSIVDSFPERTQAGDLFCSATRGRRPPPTGMTIIDQMDLLREQVKMLAGEVALCTSSLKRLSEQAISNPEDSNIQEQMQKLKAEIHEKRLQIRHLEQRMAGSSEVTSSSSNGFEMSQALSKLTSQLNEKTFELEIMSADNRILQEQLQMKIAENTEIQETVSMLKRQVNALSDKENRSETSISRNATSEFSKGNVDGNGLSGEAIFDECTESSTTDTVPTENPEQCTSNSSLKAQVLMLAAEIENLKQEKVRLAEEKDGIEIHCQKLSEEASYAKELAAAAAVELRNLAEEVTKISYQNAKLTADLAATKELAFARNNNCPRCMQSVGKQGQTNNRRSDVYLNGAEDAISIEELQDELFSRRQRETTLEATLCDKERKEAELQKRIAEGKQREQDLENELANMWVLVAKMKRSGGSFDETSPDGVNDIDFLSKRNGVVTANGNHSLKPKGDRFSGVSNVDGNGANDEVRAAYEYERRRCKELEGVISRLKCEDLSGMDVASLEELQSFHVEALSKICQEKLTNRVL >DRNTG_01859.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21241194:21246857:1 gene:DRNTG_01859 transcript:DRNTG_01859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPLLQGFDPSELEIAGEFLSNWLPFLTRDLCPSCSSVLRDRIHSLRPVSAAEGATNATWPVQGPDLVPDSDHIEPTGWDPEPPAESSKARVSWADMAQEDELGDAEEAEVKAKSAEEDEKKKKPGLSREQRELIRFRNVGRNTEFICLERVKGKIVNIAEGLELHTGIFSAAEQKRIVDFIYELQKKGRNSELGERTYTEPQKWMRGKGRVTIQFGCCYNYAMDREGNPPGILKHVVPDSVPPLFKVIIKRLVSWHVLPTSCVPDSCIVNIYEPGDCIPPHIDSHDFVRPFCTVSFLSECNILFGSGLKVEGPGEFSGSFAIPLPVGSVLVLNGNGADIAKHCVPAVPTKRISITFRKMDGSKWPLAFTPEPDLQNIQPLVDDMFKKGPPNQDEKSRFFSGTKTKRNWKKSVNTFVGVEPGADHPESGTLLHDQDRHSKRSPSPNQITVGKSSIDSHGLQRGSESSGKSFEQKRRPNFRGIQHLLDQAGNQMKRSPRTSSQVWTEEENNSLYGSARSHVGRVRMEQKNNVTSHSRHGEDGPATVMAEASEPFHPSGLRIEALDNGRRKVRVSLSDEGNRAATHAAEDHS >DRNTG_15482.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20938067:20942956:-1 gene:DRNTG_15482 transcript:DRNTG_15482.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVMIWGTIIMQKIYNGKDYFFAFLVTLGCSIFILFPASTDVSPYASGRESTVWGMFLMFGYLGLDGFTSTFQDKLFKGYDMEIHNQIFYTTLCSCILSLSGLILQGHLLLAIDFMFRHHDCFYDVVLLSTVATVSQFFISYTIRTFGALTFATIMTTRQLVSILLSCVWFAHPLSLRQWVGAVNIFTYIFCFVSEDHQITVPEINLKVETGNCFRCIIH >DRNTG_15482.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20938067:20942956:-1 gene:DRNTG_15482 transcript:DRNTG_15482.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVMIWGTIIMQKIYNGKDYFFAFLVTLGCSIFILFPASTDVSPYASGRESTVWGMFLMFGYLGLDGFTSTFQDKLFKGYDMEIHNQIFYTTLCSCILSLSGLILQGHLLLAIDFMFRHHDCFYDVVLLSTVATVSQFFISYTIRTFGALTFATIMTTRQLVSILLSCVWFAHPLSLRQWVGAVIVFGALYTKSFLRTKPQKATLSSPKRGVDGNLSNNTANNV >DRNTG_15482.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20938067:20942956:-1 gene:DRNTG_15482 transcript:DRNTG_15482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEPQASVAEAKGNRVLKCAFAVVGIMSTLVIYGILQEKIMRVPYGEKKELFRYSLFLVFCNRLATSAVSAGALLASRKTIDPVAPVYKYCAVSVSNILTTTCQYEASKYVSFPVQTLAKCAKMIPVMIWGTIIMQKIYNGKDYFFAFLVTLGCSIFILFPASTDVSPYASGRESTVWGMFLMFGYLGLDGFTSTFQDKLFKGYDMEIHNQIFYTTLCSCILSLSGLILQGHLLLAIDFMFRHHDCFYDVVLLSTVATVSQFFISYTIRTFGALTFATIMTTRQLVSILLSCVWFAHPLSLRQWVGAVIVFGALYTKSFLRTKPQKATLSSPKRGVDGNLSNNTANNV >DRNTG_03930.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15224555:15246765:-1 gene:DRNTG_03930 transcript:DRNTG_03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSFVLWLHGRGVSGPANEHISTYFSSPEFKNTRWSFPSAPHIPVTCNYGIVMPSWFDVYELPISAESPKDEKGVLKAVENVHAMIDKEVAAGTNCQNIFVCGFSQGGALALASVLLYPKTLGGGAIFSGFLPFNSSIVEQISPEAKKTPILWCHGTADDRVPITAGQAGHQFLEQAGMNCEFKAYPDLGHSINPEEFQSFDSWMKNHLKNST >DRNTG_00721.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18371094:18371632:-1 gene:DRNTG_00721 transcript:DRNTG_00721.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACKSLSDVDFSRNSFTGGIPSWLFQSTLQRVLISENKLSDSLRIPKMNHSTITVLDLSGNAFSGVIPDEISSIQSVQSLNLSHNSFSGHIHANIGDLRSLEIIDFSHNLLNGSIPSEIGKLISLKSL >DRNTG_24169.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3493269:3503100:-1 gene:DRNTG_24169 transcript:DRNTG_24169.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYIGTSISDGPGSLSLRCPDPSCGAAVGQDMINFLASDEDKEKYSRYLLRSYIEDNRKTKWCPAPGCDFAVEFIMGSGSYDISCNCSYGFCWNCTEEAHRPVDCSTVAKWILKNSAESENMNW >DRNTG_24169.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3493269:3503100:-1 gene:DRNTG_24169 transcript:DRNTG_24169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDDMHDANDLESMDDDFYSGDTGMGSDNGDGAYDFGDNESDGSEDIISHRQQNYIILSEADIKRHQEDDINRVSTVLSLPRVSACILLRHYNWSVSRVHDEWFADEENVRKAVGLLEKPIEMENAQELCCGICFENYPRDMMSSAACGHPFCGACWRGYIGTSISDGPGSLSLRCPDPSCGAAVGQDMINFLASDEDKEKYSRYLLRSYIEDNRKTKWCPAPGCDFAVEFIMGSGSYDISCNCSYGFCWNCTEEAHRPVDCSTVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGAWSEHGERTGGFYACNRYESAKQEGAYDESERRREMAKNSLERYTHYYERWATNQSSRQKALADLHSMQTEKLEKLSDKQSQPESQLKFVTEAWSQIVECRRVLKWTYAYGYYLPEHERAKRQFFEYLQGEAESGLERLHQCAEKEIQSYFEADSPSKDFNDFRTKLAGLTSVTRNYFENLVRALETGLKDVESADNQATCSKSSSSKSIGVKIKGGKNKVAGIVYRSVGPSRSSDGGNVWSCERCTYANSKFANTCQMCHLQRT >DRNTG_24169.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3493269:3503100:-1 gene:DRNTG_24169 transcript:DRNTG_24169.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDDMHDANDLESMDDDFYSGDTGMGSDNGDGAYDFGDNESDGSEDIISHRQQQNYIILSEADIKRHQEDDINRVSTVLSLPRVSACILLRHYNWSVSRVHDEWFADEENVRKAVGLLEKPIEMENAQELCCGICFENYPRDMMSSAACGHPFCGACWRGYIGTSISDGPGSLSLRCPDPSCGAAVGQDMINFLASDEDKEKYSRYLLRSYIEDNRKTKWCPAPGCDFAVEFIMGSGSYDISCNCSYGFCWNCTEEAHRPVDCSTVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGAWSEHGERTGGFYACNRYESAKQEGAYDESERRREMAKNSLERYTHYYERWATNQSSRQKALADLHSMQTEKLEKLSDKQSQPESQLKFVTEAWSQIVECRRVLKWTYAYGYYLPEHERAKRQFFEYLQGEAESGLERLHQCAEKEIQSYFEADSPSKDFNDFRTKLAGLTSVTRNYFENLVRALETGLKDVESADNQATCSKSSSSKSIGVKIKGGKNKVAGIVYRSVGPSRSSDGGNVWSCERCTYANSKFANTCQMCHLQRT >DRNTG_35323.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1501021:1501837:-1 gene:DRNTG_35323 transcript:DRNTG_35323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYGNPIKQHTDQYGNPVPPHHTNVAGDTGYGVGTAGYNTTTGPHQAQHAKDPHSGGLTGMLHRSGSSSSSSSEDDGMGGRRKKKGLKEKIKDKMPGGHDKTTGTTTTTDEYGTGAQVHQQQPLAETQTHEKKGMMEKIKEKLPGHH >DRNTG_06780.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21664782:21665253:-1 gene:DRNTG_06780 transcript:DRNTG_06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFICLEVEEVILFYFYLNAESDINSSHFKSPSQNTVDCCAEGEERLLVAEYMPNDTVANHLFHWKLILNLTIDYLLYAATVLPIWILFSVSRTVVRSRSYWQILD >DRNTG_24937.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21385292:21394422:-1 gene:DRNTG_24937 transcript:DRNTG_24937.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter F family member 3 [Source:Projected from Arabidopsis thaliana (AT1G64550) UniProtKB/Swiss-Prot;Acc:Q8H0V6] MFDGMDDGEPVKKKVELLDGPVLTERDRVKLERRKRKEERQREAQYQMHLKEMEAVKAGMPVVSVRHEGDGGSAAKDIHMENFNISVGGRDLITDASVTLSFGRHYGLVGRNGTGKTSFLRHMAMHAIDGIPRNCQILHVEQEVVGDNTSALQCVLLADIERAQLLEEEAQLLSQQRDLEYEAEFGNSNGHLKGGLDKDTISKRLEEVYKRLEFIDAYSAEARAASILAGLSFSPEMQKKPTKSFSGGWRMRIALARALFVEPDLLLLDEPTNHLDLHAVLWLESYLVKWPKTFIVVSHAREFLNTVVTDILHLHNQKLIAYKGDYDTFERTREEQLRNQQKAFETSEKARAHMQAFIDKFRYNAKRASLVQSRIKALERMGHVEEIINDPDYKFEFPTPDDRPGPPIISFSDASFGYPGGPTLFKNLNFGIDLDSRIAMVGPNGIGKSTILKLISGELQPTSGTVFRSAKVRMAVFSQHHVDGLDLSSNPLLYMMRCFPGVPEQKLRAHLGSFGVSGNLALQPMYTLSGGQKSRVAFSKITFKKPHIILLDEPSNHLDLDAVEALIQGLVIFQGGVLMVSHDEHLISGSVGELWVVSEGKVNPYSGTFQDYKKMLKSSS >DRNTG_00268.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3516258:3520492:-1 gene:DRNTG_00268 transcript:DRNTG_00268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLTPYADLASHHMCKSLYSGTYEKSCIEQEYYTSSHLDTVAATSAEDLVVHELGAGLCRILQVHDTCMHSCLCSTASNHLTSCCQKDIKSLSFEADMQKCTSNSPVIPSSGWLQSHHLAIDGVEESINIVDVKNLSQTGILSSSNSVAIPVKLISCLKGARALHGKSPNTSFRVKWAPEVYDPPVTSASRTVKSHNQRSKAKRKECHKHKSDKGKSARRISSELKHANRRSKYNAIDPRIIRLRSLNDKSVPLNFRPQNINGLDFTATGQDSKCGNSFYMEFLASMHTPVAEAS >DRNTG_10514.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4314919:4316017:-1 gene:DRNTG_10514 transcript:DRNTG_10514.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIEIPSIFIPEDWSFTFYEGINRHPDSIFKDKTITELGCGNGWISIAIAEKWSPSKVYGLDINPRAIKAAWINLYLNALDENGLPIYDGEGKTLLDRVEFHESDLLAYCRDKKIELDRIVGCIPQILNPNPEAMSKMITENASEEFLYSLSNYCALQ >DRNTG_10514.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4311559:4313895:-1 gene:DRNTG_10514 transcript:DRNTG_10514.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMIFNIGGRPGQGVCKRLFERRGFHITKLWQTKVMQAADTDISALVEIERNSHHRFEFFMGLVSDQPICARTAWAYVNSGGRISHSLCVYSCQLRQPNHVKVIFDFLKNGFEEVGSSLDLSFNDDSVADEKIPFLAYLASVLKENSFLPYEPPAGSIYFRNLISRFMKSYHHIPLTTDNVIVFPSRVTAIENALRLFCPRLAIVDEHLTRHLPKKWLTSLVMEGKEDKNTEDGITVIEAPNQSELMIELIKKLKPQVVITGIAHFEAITSAAFESLLSTTADIGSRLFLDISEHLELSSLPGSNGVLKYLARNVLPSHAAIL >DRNTG_10514.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4311559:4315018:-1 gene:DRNTG_10514 transcript:DRNTG_10514.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMITENASEEFLYSLSNYCALQGFVEDQFGLGLIARAVEEGIAVIKPMGIMIFNIGGRPGQGVCKRLFERRGFHITKLWQTKVMQAADTDISALVEIERNSHHRFEFFMGLVSDQPICARTAWAYVNSGGRISHSLCVYSCQLRQPNHVKVIFDFLKNGFEEVGSSLDLSFNDDSVADEKIPFLAYLASVLKENSFLPYEPPAGSIYFRNLISRFMKSYHHIPLTTDNVIVFPSRVTAIENALRLFCPRLAIVDEHLTRHLPKKWLTSLVMEGKEDKNTEDGITVIEAPNQSELMIELIKKLKPQVVITGIAHFEAITSAAFESLLSTTADIGSRLFLDISEHLELSSLPGSNGVLKYLARNVLPSHAAIL >DRNTG_10514.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4311559:4316336:-1 gene:DRNTG_10514 transcript:DRNTG_10514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEIPSIFIPEDWSFTFYEGINRHPDSIFKDKTITELGCGNGWISIAIAEKWSPSKVYGLDINPRAIKAAWINLYLNALDENGLPIYDGEGKTLLDRVEFHESDLLAYCRDKKIELDRIVGCIPQILNPNPEAMSKMITENASEEFLYSLSNYCALQGFVEDQFGLGLIARAVEEGIAVIKPMGIMIFNIGGRPGQGVCKRLFERRGFHITKLWQTKVMQAADTDISALVEIERNSHHRFEFFMGLVSDQPICARTAWAYVNSGGRISHSLCVYSCQLRQPNHVKVIFDFLKNGFEEVGSSLDLSFNDDSVADEKIPFLAYLASVLKENSFLPYEPPAGSIYFRNLISRFMKSYHHIPLTTDNVIVFPSRVTAIENALRLFCPRLAIVDEHLTRHLPKKWLTSLVMEGKEDKNTEDGITVIEAPNQSELMIELIKKLKPQVVITGIAHFEAITSAAFESLLSTTADIGSRLFLDISEHLELSSLPGSNGVLKYLARNVLPSHAAIL >DRNTG_10514.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4311559:4315430:-1 gene:DRNTG_10514 transcript:DRNTG_10514.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMITENASEEFLYSLSNYCALQGFVEDQFGLGLIARAVEEGIAVIKPMGIMIFNIGGRPGQGVCKRLFERRGFHITKLWQTKVMQAADTDISALVEIERNSHHRFEFFMGLVSDQPICARTAWAYVNSGGRISHSLCVYSCQLRQPNHVKVIFDFLKNGFEEVGSSLDLSFNDDSVADEKIPFLAYLASVLKENSFLPYEPPAGSIYFRNLISRFMKSYHHIPLTTDNVIVFPSRVTAIENALRLFCPRLAIVDEHLTRHLPKKWLTSLVMEGKEDKNTEDGITVIEAPNQSELMIELIKKLKPQVVITGIAHFEAITSAAFESLLSTTADIGSRLFLDISEHLELSSLPGSNGVLKYLARNVLPSHAAIL >DRNTG_18331.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1685842:1687486:1 gene:DRNTG_18331 transcript:DRNTG_18331.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSREERLGGMMMESLGPLDVAGVQIPYHFRCPISLELMRDPVTLSTGQTYDRASIESWVATGNTTCPVTRSSLTEFTLIPNHTLRRLIQDWCVAHRSQGVERIPTPKQPADPSLVRHLVAQAVDSGLEVEIRIGVLRRMRALARESEKNRVVMGTHETRSALIELAFGDGDADLGVEAIGVLAGFAMTEGEAAGVAGRAERVARLARWVAEGEAMEVRIAAAAVIEAVAVAGGRVALGAAEGVMEGIVGLVKQKGNTRGVMVGIRALFALCLARENRVRAVAAGAAEAVVERVGEMGMDSERALATVELLCRGEGGREAVMEVGGGDGAGVVRALVRAMAGRAGERAAEHAAGALVALVSGAELLQREAVAAGVVTHLLLMVQGGCSDRARRKAQLLLKILRNAWAPLHQSMANSDDFIQAF >DRNTG_18331.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1678581:1686632:1 gene:DRNTG_18331 transcript:DRNTG_18331.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSREERLGGMMMESLGPLDVAGVQIPYHFRCPISLELMRDPVTLSTGQTYDRASIESWVATGNTTCPVTRSSLTEFTLIPNHTLRRLIQDWCVAHRSQGVERIPTPKQPADPSLVRHLVAQAVDSGLEVEIRIGVLRRMRALARESEKNRVVMGTHETRSALIELAFGDGDA >DRNTG_03332.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15156489:15157628:-1 gene:DRNTG_03332 transcript:DRNTG_03332.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKLKLQTHNNKQSGTNGLIFSPISGRHNPSHYMSNTGVIRFC >DRNTG_03332.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15156909:15157628:-1 gene:DRNTG_03332 transcript:DRNTG_03332.2 gene_biotype:protein_coding transcript_biotype:protein_coding LKLKLQTHNNKQSGTNGLIFSPISGRHNPSHYMSNTGVIRFC >DRNTG_32007.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001772.1:7110:8455:1 gene:DRNTG_32007 transcript:DRNTG_32007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLVYKNASLTREDVRNRCAKGSWDVFNTYLESTPHLNGGKLGFYYKEHEILPPMPVGVHRFAVENFAADSLNESKEKEVVLFDEASEVRALIEGQLLSMRGHTERFGMPTPPNRIIATGGASSNQSILKSIATIFGCPIYTVQRP >DRNTG_14620.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20885037:20885330:-1 gene:DRNTG_14620 transcript:DRNTG_14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGKPISVRLDLNRSSDASWFSEGDRESHRMGPVCLFLIFVPYFLKTRRRRTLQMSRMDTTSSNARRPQEETRTRACRERRTLGGHASTVSPGHS >DRNTG_19176.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6080165:6083660:1 gene:DRNTG_19176 transcript:DRNTG_19176.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSYLPWDDMHGRKLRTSTRWRIKDPQARISWTHEEAEEEEEEEEEEDGDDDEEGLSRGRVLPSHLCMIQQWHIVCLNNKIGYSAFEDGVHLTNPLEVNFIFCWTNQFISIGSDDNWHSKISAASKVSILVLMKRCGCILKRFLIDENDIGETPLPAVRIEEKICVLQEFARLIVHPDTASALQLKPSSRGALVDNEKPSGRAHLLFCFH >DRNTG_01661.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23923872:23925446:1 gene:DRNTG_01661 transcript:DRNTG_01661.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGIRTTTKGSKAFPTCTKRIRAHRDSKECQRSTIKREKP >DRNTG_27864.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3853012:3853790:1 gene:DRNTG_27864 transcript:DRNTG_27864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLKKHGMGKGRQREGCFEEEEINPMRQVLAIVDGRFKLFESHAILKYLSCAFPGVSDS >DRNTG_10848.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25055509:25058602:1 gene:DRNTG_10848 transcript:DRNTG_10848.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGPDTQSLQHPLFRTRKCHGGHEDPIWQKRIRKPGRVWRYSWSQYEASMDAILGVFESHLIGIRVVFGGSILGRRNKDIILVELGLRHGGDQELELQGENFIIKRRGAFGIPLARGSIIRPVLFFFIIIRTRGEYD >DRNTG_17635.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4276636:4281653:1 gene:DRNTG_17635 transcript:DRNTG_17635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGATAATTAPNAGTTAPNAALGSGAPPPPFLSKTYEMVDDPATDAIVSWGPGNNSFVVWNTPEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKNIARRKPSHPHSQPLQTQAQNGSACVEVGKFGLEEEIERLKRDKTVLMKELVMLRQQQQTTDHQLQTLGQRLQGMEQRQQQMMSFLAKAMQSPGFLAQLVQQQNDSNRCISGGTKKRRLPKQEDKLDGEEGASLDGQIIKYQPLMNEAAKAMLMQILKMDTDSQMESMGNSGSYLIKNFPGSNSSSRNSSVTLSEMPASSVGTPYMPVSSGLSTICSSSTASEIQSNAADLPDISMLSTVPEAISSCPTDMDIPGFSPTDIPEDSNFESLYMDGESFSPDAEIEIFDGDQRLPDINDAFWEQFLSGSPISGETEEVVSGMQEATTNQTELDSSWTSAQNLAQLSEQMGLLSSQG >DRNTG_25189.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5818380:5820194:1 gene:DRNTG_25189 transcript:DRNTG_25189.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRPKCKPNNYCETAPVYCHGMAKEYKTRFPGWLTSGIPTETYQTGSEPTLFCASSISSMHEKAEKISNKRFPDSSTSVLREKTNHHHGSEIYNLFSAPSFNNKKAPANSHGNAKDWITKYPVSSSSGLSNETYPYGSEIHTQIRATCGINMNAKKVSDTSSTALSSSDFLKESPSSWN >DRNTG_25189.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5815360:5820104:1 gene:DRNTG_25189 transcript:DRNTG_25189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAVDSKNKKQPIISIPSSPELKSSSIDDENEESQEEDDGEVSEDEYVDDTDRDWEDSSIGEDDDDEDDDDDDDDVSSGSVAVEDPCERVIDLLKRKKSLDVLKLDQCKAYLRKHSLRLSGTKAACIERIQEYWRMKDGNGELQYPKSSFVIDCTGDVCKGDVVLFRQKIYSKFDKVTRGAKVIGKRIVAGRIVKESYGSAKQQHTFTVEVLWSEGKQALAPLFPLLVKGRNLYRLKTFRQPWNDEAERAKVLDEKHKRGAAARHVRAIARGNNAKKGLKRQINCSTKGEPHNKRQRKAEIMPGRPKCKPNNYCETAPVYCHGMAKEYKTRFPGWLTSGIPTETYQTGSEPTLFCASSISSMHEKAEKISNKRFPDSSTSVLREKTNHHHGSEIYNLFSAPSFNNKKAPANSHGNAKDWITKYPVSSSSGLSNETYPYGSEIHTQIRATCGINMNAKKVSDTSSTALSSSDFLKESPSSWN >DRNTG_25189.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5815360:5820104:1 gene:DRNTG_25189 transcript:DRNTG_25189.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAVDSKNKKQPIISIPSSPELKSSSIDDENEESQEEDDGEVSEDEYVDDTDRDWEDSSIGEDDDDEDDDDDDDDVSSGSVAVEDPCERVIDLLKRKKSLDVLKLDQCKAYLRKHSLRLSGTKAACIERIQEYWRLVVYVVCMCRTFVYIGLIFSYQMISETYMWLH >DRNTG_25189.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5818380:5820104:1 gene:DRNTG_25189 transcript:DRNTG_25189.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRPKCKPNNYCETAPVYCHGMAKEYKTRFPGWLTSGIPTETYQTGSEPTLFCASSISSMHEKAEKISNKRFPDSSTSVLREKTNHHHGSEIYNLFSAPSFNNKKAPANSHGNAKDWITKYPVSSSSGLSNETYPYGSEIHTQIRATCGINMNAKKVSDTSSTALSSSDFLKESPSSWN >DRNTG_25189.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5815360:5820104:1 gene:DRNTG_25189 transcript:DRNTG_25189.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAVDSKNKKQPIISIPSSPELKSSSIDDENEESQEEDDGEVSEDEYVDDTDRDWEDSSIGEDDDDEDDDDDDDDVSSGSVAVEDPCERVIDLLKRKKSLDVLKLDQCKAYLRKHSLRLSGTKAACIERIQEYWRMKDGNGELQYPKSSFVIDCTGIVHHLTFF >DRNTG_25189.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5815360:5820104:1 gene:DRNTG_25189 transcript:DRNTG_25189.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAVDSKNKKQPIISIPSSPELKSSSIDDENEESQEEDDGEVSEDEYVDDTDRDWEDSSIGEDDDDEDDDDDDDDVSSGSVAVEDPCERVIDLLKRKKSLDVLKLDQCKAYLRKHSLRLSGTKAACIERIQEYWRLVVYVVCMCRTFVYIGLIFSYQMISETYMWLH >DRNTG_25189.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5815360:5820104:1 gene:DRNTG_25189 transcript:DRNTG_25189.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAVDSKNKKQPIISIPSSPELKSSSIDDENEESQEEDDGEVSEDEYVDDTDRDWEDSRLLMGSIGEDDDDEDDDDDDDDVSSGSVAVEDPCERVIDLLKRKKSLDVLKLDQCKAYLRKHSLRLSGTKAACIERIQEYWRMKDGNGELQYPKSSFVIDCTGDVCKGDVVLFRQKIYSKSVVILCYCVLRDIPSIIYCSLFLEKK >DRNTG_25189.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5815360:5820104:1 gene:DRNTG_25189 transcript:DRNTG_25189.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAVDSKNKKQPIISIPSSPELKSSSIDDENEESQEEDDGEVSEDEYVDDTDRDWEDSSIGEDDDDEDDDDDDDDVSSGSVAVEDPCERVIDLLKRKKSLDVLKLDQCKAYLRKHSLRLSGTKAACIERIQEYWRMKDGNGELQYPKSSFVIDCTGDVCKGDVVLFRQKIYSKSVVILCYCVLRDIPSIIYCSLFLEKK >DRNTG_07514.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19171546:19172280:-1 gene:DRNTG_07514 transcript:DRNTG_07514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMGVMKKHFQLALLFCLLCLELAGFCNCGGGSICREVERKALVDFKNGLKDPNGRLSSWIGLDCCSWTGVHCHNYTGHVIRLDLRRYGGNGSLEGEIRPSLLVLNHLRYLDLSENYFKYTRIPTFLGSLVSLQYLDLSSAGFIGHVPHQLGNLSRLQYLDLSYNSLDMVGSHWLTNLSSLQCLNLTGVNLSKAKNVLKLLNTLPLISEINLYACELHVPLSLGAEINFTNLR >DRNTG_26368.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:724935:727305:-1 gene:DRNTG_26368 transcript:DRNTG_26368.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKQPLIYSFVAKGSVVLAEHTPYSGNFSTIAIQTLQKLPANSKKFTFSCDGHTFNYLVDKGFVFLVVADEVSGRSVPFVFLERVRDDFMQRYGASIDAAGSHPLDDDDDDDLFDDRFGIAYQLDREFGPRLKEHMQYCVSHPEEMSKLSKLKAQITEVKGIMMDNIEKALDRGEKIELLVDKTENLQFQADSFQRQGRQLRRKMWLQNLQFKLMVTGAAILVLLIMWLMACKGFKC >DRNTG_26368.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:724935:727305:-1 gene:DRNTG_26368 transcript:DRNTG_26368.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKQPLIYSFVAKGSVVLAEHTPYSGNFSTIAIQTLQKLPANSKKFTFSCDGHTFNYLVDKGFVFLVVADEVSGRSVPFVFLERVRDDFMQRYGASIDAAGSHPLDDDDDDDLFDDRFGIAYQLDREFGPRLKEHMQYCVSHPEEMSKLSKLKAQITEVKGIMMDNIEKALDRGEKIELLVDKTENLQFQADSFQRQGRQLRRKMWLQNLQFKLMVTGAAILVLLIMWLMACKGFKC >DRNTG_26368.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:724935:727305:-1 gene:DRNTG_26368 transcript:DRNTG_26368.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKQPLIYSFVAKGSVVLAEHTPYSGNFSTIAIQTLQKLPANSKKFTFSCDGHTFNYLVDKGFVFLVVADEVSGRSVPFVFLERVRDDFMQRYGASIDAAGSHPLDDDDDDDLFDDRFGIAYQLDREFGPRLKEHMQYCVSHPEEMSKLSKLKAQITEVKGIMMDNIEKALDRGEKIELLVDKTENLQFQADSFQRQGRQLRRKMWLQNLQFKLMVTGAAILVLLIMWLMACKGFKC >DRNTG_20210.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001101.1:1860:8472:-1 gene:DRNTG_20210 transcript:DRNTG_20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADPQNSDERPFRLFSSKSRPPPQSSSRRSPLSNPTSISDHAGGTATIAFSDLGLSQWATSTCRELGMRCPTDVQRCCIPRILSGSNVTAVALTGSGKTAAFALPILHRLAEDPFGVYALVLTPTRELAFQLAEQFRALGSSLNVRCTVVVGGMDMTGQARALAQRPHIVVATPGRINVLLENDPDLPAVFSKVKFLVLDEADRVLDVGFAEELKVIYRCLPRDRQTLLFSATLTDDMEALNEISGDRSYFYRGYKGFNTVDSLNQHYIVTPKNVKEVYLAYLLSKMKEKSIRSSIIFVSTCRSCHLLNLLLEELEFSVVALHSYKSQSLRLAALSRFKSGQIPILLATDVASRGLDIPTVDLVINYDIPRFPRDYVHRVGRTARAGRGGLSISFVTQNDERLVKVIEDEI >DRNTG_09298.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:885025:885867:-1 gene:DRNTG_09298 transcript:DRNTG_09298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLKLLLLCLSVMITDIVAGVLGIEADKALQKGTHVCPPKKAGPAYKLGIASAVLVGLTLAISDSLSKSTKPHTLSDSTRSSPARTVATATFWLSWVVCVLAIMFLGIGVSNDYPVSHIPCSFSKFHFLTVGGVLCFVDGVICVINQVSSASANAEA >DRNTG_06559.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22657239:22659184:1 gene:DRNTG_06559 transcript:DRNTG_06559.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVDEGVVPSAGSRGHAGLTAKKQPLQASNVHGIITQTPRKPFTPLVPLNSMPSTPSKPIITTDIAEEKRTPKGIMPIQTPTTPMTVSVPMHVANTPAPLCFVYEAATEERALDIEYSFEERRMAFLLNNPQMFV >DRNTG_28123.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18809205:18813009:-1 gene:DRNTG_28123 transcript:DRNTG_28123.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRLFKLSPWLATMAFTVAAIILVVLFRYSRNDGIWDVGQEFEAVSTGLQKGPGYPPVFAYWISGTGGEVRKVLRLLKAVYHPRNHYLLHLDAGSSVSERTELAQLVQSEKIFVSFRNVDVVGKTYVVDRTGPSAVAATLHGAAVLLRINKNWDWLITLSSSDYPLVSQDDLLYVFSSLPRDLNFIDHTSDLGWKEYERFDKIIVDPSLYLDRNSHSFTATETRSTPEAFKIFTGSPWMILSRPFLEHCVHSWDNLPRKLLMYFTNVAYAMESYFQTVICNSPEFQNTTVNNDLRYFIWDNPPKLDPLFLNQSNYKDMIKSRAAFARRFVEDEPVLQVVDQKILKRPTNGVALGKWCSVLSKEQNGENADDQCSSWSDINAIKQKKAGKRLKSLVLELVSDQKMHENQCK >DRNTG_28123.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18809205:18813009:-1 gene:DRNTG_28123 transcript:DRNTG_28123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRLFKLSPWLATMAFTVAAIILVVLFRYSRNDGIWDVGQEFEAVSTGLQKGPGYPPVFAYWISGTGGEVRKVLRLLKAVYHPRNHYLLHLDAGSSVSERTELAQLVQSEKIFVSFRNVDVVGKTYVVDRTGPSAVAATLHGAAVLLRINKNWDWLITLSSSDYPLVSQDDLLYVFSSLPRDLNFIDHTSDLGWKEYERFDKIIVDPSLYLDRNSHSFTATETRSTPEAFKIFTGSPWMILSRPFLEHCVHSWDNLPRKLLMYFTNVAYAMESYFQTVICNSPEFQNTTVNNDLRYFIWDNPPKLDPLFLNQSNYKDMIKSRAAFARRFVEDEPVLQVVDQKILKRPTNGVALGKWCSVLSKEQNGENADDQCSSWSDINAIKQKKAGKRLKSLVLELVSDQKMHENQCK >DRNTG_31119.11 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:92241:97495:-1 gene:DRNTG_31119 transcript:DRNTG_31119.11 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHFDSPPGSPGAADCGSYDASMPETTRQIVDSS >DRNTG_31119.12 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:92241:97495:-1 gene:DRNTG_31119 transcript:DRNTG_31119.12 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHFDSPPGSPGAADCGSYDASMPETTRQIVDSS >DRNTG_31119.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:92241:97495:-1 gene:DRNTG_31119 transcript:DRNTG_31119.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHFDSPPGSPGAADCGSYDASMPETTRQIVDSS >DRNTG_31119.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:92241:97495:-1 gene:DRNTG_31119 transcript:DRNTG_31119.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHFDSPPGSPGAADCGSYDASMPETTRQIVDSS >DRNTG_31119.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:92241:97495:-1 gene:DRNTG_31119 transcript:DRNTG_31119.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHFDSPPGSPGAADCGSYDASMPETTRQIVDSS >DRNTG_31119.16.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:92241:94214:-1 gene:DRNTG_31119 transcript:DRNTG_31119.16 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRNMFIQDIFGIIPGDTDYQIFAKDNGDIQGLDIIFVLGGYFYHTSYDTIERLLYALGSTVIQILCFEYLDLDIFTKWVLILECIDETVPEVFQDWILFVPQQQGCNTEF >DRNTG_31119.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:92241:97495:-1 gene:DRNTG_31119 transcript:DRNTG_31119.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHFDSPPGSPGAADCGSYDASMPETTRQIVDSS >DRNTG_31119.9 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:92241:97495:-1 gene:DRNTG_31119 transcript:DRNTG_31119.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHFDSPPGSPGAADCGSYDASMPETTRQIVDSS >DRNTG_31119.10 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:92241:97495:-1 gene:DRNTG_31119 transcript:DRNTG_31119.10 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHFDSPPGSPGAADCGSYDASMPETTRQIVDSS >DRNTG_31119.13 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:92241:97495:-1 gene:DRNTG_31119 transcript:DRNTG_31119.13 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHFDSPPGSPGAADCGSYDASMPETTRQIVDSS >DRNTG_31119.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:92241:97495:-1 gene:DRNTG_31119 transcript:DRNTG_31119.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHFDSPPGSPGAADCGSYDASMPETTRQIVDSS >DRNTG_31119.15 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:92241:97495:-1 gene:DRNTG_31119 transcript:DRNTG_31119.15 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHFDSPPGSPGAADCGSYDASMPETTRQIVDSS >DRNTG_31119.14 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:92241:97495:-1 gene:DRNTG_31119 transcript:DRNTG_31119.14 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHFDSPPGSPGAADCGSYDASMPETTRQIVDSS >DRNTG_31119.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:92241:97495:-1 gene:DRNTG_31119 transcript:DRNTG_31119.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHFDSPPGSPGAADCGSYDASMPETTRQIVDSS >DRNTG_31119.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:92175:97495:-1 gene:DRNTG_31119 transcript:DRNTG_31119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHFDSPPGSPGAADCGSYDASMPETTRQIVDSS >DRNTG_31119.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:92241:97495:-1 gene:DRNTG_31119 transcript:DRNTG_31119.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHFDSPPGSPGAADCGSYDASMPETTRQIVDSS >DRNTG_01292.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1932400:1947846:-1 gene:DRNTG_01292 transcript:DRNTG_01292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLFFSITTLSFILLLSSLLILIKLHNNNKRKPNPNPNPPSVPGLPLIGHLHLLNHPIHRALAHLSDLHGPILLLRFGSRPVLLISSSSGADECFTVNDVTFANRPRFLAGKYLGYNYRILVWASYGPHWRNLRRITTLEVLSTHRVLSSSHLRSDEVFSLVKTLLHDYSGPGFHFTELKTKFFGLTYNIIMRMLANKRYYGDTEESSSEAGKEFRELARETFVLSGASNAADFLPVVRWFDIGGHERRLKRLSRRKNKLFQGLIDEHKMKESRSQDVDSSPAGRSTVIDLLLSMQESDPEQYDDDVIKGFIEVYIYIYLYIKTIIINYCLL >DRNTG_17842.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000917.1:4866:6768:1 gene:DRNTG_17842 transcript:DRNTG_17842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGNELLSGVGTGAPSGSIACSATTKPIARMWAFPRGAVPPTIVMPRVCSNKPMPRINLVR >DRNTG_07656.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23192812:23195843:1 gene:DRNTG_07656 transcript:DRNTG_07656.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQVVCALLWVLALVASIIEHAGGAVSDFVKTDGTSFVVNGEPFYANGFNAYWLMLKASKTSEMDKVSTVFHQASSYGMTVVRTWAFNDGGYRPLQSSPGVYDEDIFKGLDFVISEAKKYGIRLILSLVDNFKSLGGKRQYVEWAIQQGENLTSYDEFYTNFRVKTFYKNHVKTILLRNNTKTGVVYKDDPTIMAWELINEGRCKSDLSGRTMQAWIEEMAPFVKAIDGEHLLEVGLEGFYGGLDHDQEAIQHDSFASSRNVGTDFISNNQVHAIDFATIHLYPSWIPQADDKTQLKFVTDWIHSHSKVADEVLRKPLMVTEFGKISRFAGCNGVDKVAFYRTAYNVLYKLIRAKSACAGGLFWQLLLPGMENLSDSYEIILSECSYIANIISQHSRLISSIINPSLRRLTVTDQ >DRNTG_08698.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18035591:18036367:1 gene:DRNTG_08698 transcript:DRNTG_08698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGRGTPGYAAPEMWMMGQVTYKCDVYSFGILLFEIAGRRRSFDASLEEDERWFPKWVWERYENGEMEKVMETIGVDDEHKGETERKLMVALWCVQYQPERRPPMDKVVKMLEGEMEIVPPLNPFQHLLSSSAPSKDLWSGTSLSSSGADNQGLRFHSLQQQQQQQEEEAARFYSLPV >DRNTG_20044.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9660645:9662943:1 gene:DRNTG_20044 transcript:DRNTG_20044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHGEEARSCFIRALLEQDCARSVLGVHSLLADGTLIPEKTTGIYTKGAKKQVIVLPASARSCRSNKSQFSAEKPVMSYSDCYSETAKISLLRYCATVIPDPPETPFCCRIHPQSRLRAP >DRNTG_00627.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22551386:22556267:1 gene:DRNTG_00627 transcript:DRNTG_00627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEQEEEVRMEVEAFRAFYGDDCEIIRECPPHLHVHITPRTAGDSSQQFVEVVLGILCDSQYPQKPPHLHIVDSKGLDENREKHLITIIKNKSQELSSCSMIIKLCEEAVDLLTGMNHPEGNCPFCLHPLLVEDQSGNSPPFMKLMSCYHCFHSECIIQWWQWLQDDRKQCDSVMASVGIQIEMKQQQHNCPVCRKLFE >DRNTG_03710.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000173.1:48689:65109:-1 gene:DRNTG_03710 transcript:DRNTG_03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding FHHFREKSNLARGIVNSALRPQIPTYCDLERRSLMESCWSANPAERPSFTEIS >DRNTG_03710.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000173.1:53916:65109:-1 gene:DRNTG_03710 transcript:DRNTG_03710.2 gene_biotype:protein_coding transcript_biotype:protein_coding EEKRESERKGSRRRKRKLGQTWQEDPQQLVPDFITFVRRATLLIKSILVMDSLVRIVERPPF >DRNTG_14709.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20836957:20838303:-1 gene:DRNTG_14709 transcript:DRNTG_14709.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKVEVTRKATANKRQGTFTKRKKGLTKKVRELSILCGVQVALLAFSPSGKPNLILGENSEAKAEVSITTSKQNICKIEFYS >DRNTG_27387.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1255824:1259302:1 gene:DRNTG_27387 transcript:DRNTG_27387.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASAQGPTTKRKPIFTKVDQLKPGTNGHTLTLKVLSSKPVPQKIRPATSQLRQTRIAECVVGDETGTIIFTARNDQVDLMKPDGTVIVRNAKIDMFKGSMRLAVDKWGRVEVTEPATFTVKEDNNLSLVEYELVNVVEE >DRNTG_25984.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1049645:1062116:-1 gene:DRNTG_25984 transcript:DRNTG_25984.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 2 [Source:Projected from Arabidopsis thaliana (AT3G19190) UniProtKB/Swiss-Prot;Acc:F8S296] MNDSHGIGCGSLSTSDMVDSPENVPSADSFMSCLSTMNVDQSSIMEINGANPDVHHLDARFQNITLKLQIDHQKTNLEASVMHAKIDMFYDSGSISRGFEVFNHKSNFCGQTPLSQSLQVQVQGALPPFPRHDGRKEAAVNANTWSEKGMFKVVLFEAFGACHCQYTARPSYLDGRIISSASFIINLPPFVLWVHLHLVNVLLNFFKEVQISLERNCPSKDAISDLLDKRNGSSLDEANTSVSNCITALPQNANTQGSILLPHARVVLCFPTVNHGECKRSTSWGKFVVFEFSTSSDLGKVPDASRVPRANSSKGEFCAPSTSILVNIGSVDGYLVTPQCEKALTEEGCSLNMLFFSAKKIFSIKTGTNDHPFGIHMLCQKGPVTGPWMVNRTWGMATSHDQRCRNKVTGNEYEFSSVKTVEDLREKNSLIRQELVLNSALCLQVYFSQVWINLGRHDYELLNDLLSDLMDGLPDKTDGIDINGNFENSQNDSSPSQLSILVECDMLDLCINLDSIEISCSVQKELQGSWNRLRLTVQDFELLSVSNIGGVADASILWLKHGEGELWGSIVDRQQKSTQDLLLISCSNSTKKRGDGEGTNALSFGSAGTTITHIKNPTTHQIFTSITLQCGTFVAPGGRLDWFSALSCFFTLPPQGPELEVGDTSKNRSDGDDVVYISSFFLELVDIALSFEPHKFDCIYVKIKNGEAVQPDEESREKHVACLLAAASLNVSHHSMADSSTNEHKFQLQDVGLLIGESSGSKCNRCDYSIDYLRKSGYVKVAHETLVAAVLRIRGVTWEIECQEAHINLDTCSDTTDGLCRLVSQLQQLYAPDLEDALVHLQSRWNTVQQENSKMIDEADISDRLSVKLSSENNLSNRSEDVRSVGLLDEILENAFFMDLEQGSTFDYCDSHRLNMHFPGDDHGLNSSNGIAGDTFPPNISHGVPVLRSELRPHIIDSYYASDFVSPPKISAPDRLHHEDLKYKHCNTGNKDVECGKGRWFKDGSLTIVENHLSEIINQPSSEKQHEEGNFDSVCSVAVDNCRTRGRILLKNIDVTWRIYAGFDWSKPRENLLSGLSSNGRDGTVCLEVKLSGLNLQYNVYPDEEICASKLAVSVQDFHLYDRSKEAPWKMVLGYYHSKDHPRESCAKAFKLDLEVVKPDPMTPLEEYRLHLEFLPIRLHLDQSQLNFLINFFGKDADRINSQPNDLEKSQIPGKKVNYGTKTIVEEALLPFFQKCDVRPVVVRVDYIPRRVDLGALRGGNYAELLNLVPWKGIDLQLKHVHAVGVYGWNSICETVVGQWLEDISHNQVHKLLKGLPPIKSLYAVGSGASKLVSLPIKSYKKDHKLLKGMQRGAIAFIRSISLEAVGLGVHLAAGAHEVFLQTEYILTSIPPSMPSSERDKREMTLRSNQPKDAQQGIQRAYESLSDGLGRTASVLVGNPFKTYQRGGGAGPALATAVRAAPAAVMAPVSASARAVHCALVGVRNSLDPEHKKESMEKYLGHQP >DRNTG_25984.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1049645:1062116:-1 gene:DRNTG_25984 transcript:DRNTG_25984.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 2 [Source:Projected from Arabidopsis thaliana (AT3G19190) UniProtKB/Swiss-Prot;Acc:F8S296] MFGDFARSAEAVFSRWAIKRICKFLLKKKLGDLILGDIDLDQLDVQLGQGTIQLSDLALNVDYLNQKLAGATVMVKEGSIGSLSMKIPWKLKNCQIEVEELELVLAPNVENEMPKDADCSKSSDDGDQHVGVDANKLRGTIHDSPQYAPMDIHEGVKTIAKIVKWFLSSFHVRLKNLIIAYDPSPNVEGKKSASCRSLVLRISEVEYGTCISEDNSIPDSKLDCLLGVGKLTNFIKFQGAVVEFLQMDNIDSQPQVHGDLNTSFSEWYAGSSPSCGMATILTGSGGGFSGKLNVSIPWKNGSVDIYKVDADISIDPVELSIQQDTIMWMITLWESLKIVNKAGEGHIPRKALESSSLNSGYHSQLDSASYPVLTTNKATPGRGSFSRNSCSTSTQDTLPDGFLPCANVIQNWVPLSVPQEMQVGLESDYGASIYQFFECFDEIRSSQASLGNSGILNWTCSVFSAITAASNLASGSVHIPTDQRHVETNIQASLGEISVILSFLPEEREHSQNSPCSLNHMNDSHGIGCGSLSTSDMVDSPENVPSADSFMSCLSTMNVDQSSIMEINGANPDVHHLDARFQNITLKLQIDHQKTNLEASVMHAKIDMFYDSGSISRGFEVFNHKSNFCGQTPLSQSLQVQVQGALPPFPRHDGRKEAAVNANTWSEKGMFKVVLFEAFGACHCQYTARPSYLDGRIISSASFIINLPPFVLWVHLHLVNVLLNFFKEVQISLERNCPSKDAISDLLDKRNGSSLDEANTSVSNCITALPQNANTQGSILLPHARVVLCFPTVNHGECKRSTSWGKFVVFEFSTSSDLGKVPDASRVPRANSSKGEFCAPSTSILVNIGSVDGYLVTPQCEKALTEEGCSLNMLFFSAKKIFSIKTGTNDHPFGIHMLCQKGPVTGPWMVNRTWGMATSHDQRCRNKVTGNEYEFSSVKTVEDLREKNSLIRQELVLNSALCLQVYFSQVWINLGRHDYELLNDLLSDLMDGLPDKTDGIDINGNFENSQNDSSPSQLSILVECDMLDLCINLDSIEISCSVQKELQGSWNRLRLTVQDFELLSVSNIGGVADASILWLKHGEGELWGSIVDRQQKSTQDLLLISCSNSTKKRGDGEGTNALSFGSAGTTITHIKNPTTHQIFTSITLQCGTFVAPGGRLDWFSALSCFFTLPPQGPELEVGDTSKNRSDGDDVVYISSFFLELVDIALSFEPHKFDCIYVKIKNGEAVQPDEESREKHVACLLAAASLNVSHHSMADSSTNEHKFQLQDVGLLIGESSGSKCNRCDYSIDYLRKSGYVKVAHETLVAAVLRIRGVTWEIECQEAHINLDTCSDTTDGLCRLVSQLQQLYAPDLEDALVHLQSRWNTVQQENSKMIDEADISDRLSVKLSSENNLSNRSEDVRSVGLLDEILENAFFMDLEQGSTFDYCDSHRLNMHFPGDDHGLNSSNGIAGDTFPPNISHGVPVLRSELRPHIIDSYYASDFVSPPKISAPDRLHHEDLKYKHCNTGNKDVECGKGRWFKDGSLTIVENHLSEIINQPSSEKQHEEGNFDSVCSVAVDNCRTRGRILLKNIDVTWRIYAGFDWSKPRENLLSGLSSNGRDGTVCLEVKLSGLNLQYNVYPDEEICASKLAVSVQDFHLYDRSKEAPWKMVLGYYHSKDHPRESCAKAFKLDLEVVKPDPMTPLEEYRLHLEFLPIRLHLDQSQLNFLINFFGKDADRINSQPNDLEKSQIPGKKVNYGTKTIVEEALLPFFQKCDVRPVVVRVDYIPRRVDLGALRGGNYAELLNLVPWKGIDLQLKHVHAVGVYGWNSICETVVGQWLEDISHNQVHKLLKGLPPIKSLYAVGSGASKLVSLPIKSYKKDHKLLKGMQRGAIAFIRSISLEAVGLGVHLAAGAHEVFLQTEYILTSIPPSMPSSERDKREMTLRSNQPKDAQQGIQRAYESLSDGLGRTASVLVGNPFKTYQRGGGAGPALATAVRAAPAAVMAPVSASARAVHCALVGVRNSLDPEHKKESMEKYLGHQP >DRNTG_00573.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30014253:30024005:1 gene:DRNTG_00573 transcript:DRNTG_00573.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2754 [Source:Projected from Arabidopsis thaliana (AT4G36630) UniProtKB/TrEMBL;Acc:A0A178UXB5] MDLPDGSQLVRVYSDASDEIESSSFSQLQEPDDKSILEAKKMNHNALMALVKYLQKKRHGIIERATAEVTEEVVSDAVQDSIMSSDPYKSKISSKRRGHTHAFSIARERATILDTALLQALLLTGQSAAASELLKGPNYCDLKISEEFLKERNQFTLLLELYKCNEMHSEALKLLNQLVDESKSDHANSELTQKFRPEMIIDYLKPLCRTDPMLVLEFSTNVLESCPTETIDLYLSGNVPADLVNSYLKRHAPKLQSTYLELMLSMSANEINSNLQNELVQIYLSEVLDWYKDLSQQQQWDEKSYSATRKKLLSALDGISGYNAESLLKRLPADALYEERAVLLGKMNQHQLALSLYVHKLQLPELALAYCDRVYEAGLHQVSKSSSNIYLTLLQIYLNPQKTTKDFEQRNINPILPQNVANQKSGSAKTKASRVARKVAEIEWADDIRISPSGTDSGRSDGDGDEISGEGGPIMSNEALDLLSQRWDRINGAQALRLLPRDTKLQNLIRFLEPLLKKSSEGRRNYSVIKSLRFTENLQVKEDLHKQRRTVVKIDGESTCSRCYKRIGTSAFVVYPEGKTLVHFVCFRDSQSIKPARGTLLKKQF >DRNTG_00573.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30014253:30024005:1 gene:DRNTG_00573 transcript:DRNTG_00573.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2754 [Source:Projected from Arabidopsis thaliana (AT4G36630) UniProtKB/TrEMBL;Acc:A0A178UXB5] MVHSAYDSVELVKACPARIDAVVSYGPKLLLGCSDGSLRIYSPASLYDESPTAAGASDSEIRRETYTAERNLSGFWKRAPLAMEVCRSRDLLLSLSEWVALHRLPNLETVVAVGKTKGANIYSWDDRRGFLCVGRQKRVGIYRLDGGREFVEVKEFSVPDVVKSMSWCGENICLGIKREYMIMNSTTGVLSEIFPSGRIAPPLVVPLPSGDLLLGKDNIGVFVDQNGKLLQDGRICWSEAPASVIINKPYALARLPRHIEIRSLRAPYPLIQTIALRDVHLLLHSSNCVIAARGNSVYGLLSVSLGAQIVQLTACGEFEEALALCKLLPPEDSTLRAAKEGSIHIRYGHQLFDNGSYEEALEQFLASQVDLTYVLSLYPSIHLPKAANIAEPEMDLPDGSQLVRVYSDASDEIESSSFSQLQEPDDKSILEAKKMNHNALMALVKYLQKKRHGIIERATAEVTEEVVSDAVQDSIMSSDPYKSKISSKRRGHTHAFSIARERATILDTALLQALLLTGQSAAASELLKGPNYCDLKISEEFLKERNQFTLLLELYKCNEMHSEALKLLNQLVDESKSDHANSELTQKFRPEMIIDYLKPLCRTDPMLVLEFSTNVLESCPTETIDLYLSGNVPADLVNSYLKRHAPKLQSTYLELMLSMSANEINSNLQNELVQIYLSEVLDWYKDLSQQQQWDEKSYSATRKKLLSALDGISGYNAESLLKRLPADALYEERAVLLGKMNQHQLALSLYVHKLQLPELALAYCDRVYEAGLHQVSKSSSNIYLTLLQIYLNPQKTTKDFEQRNINPILPQNVANQKSGSAKTKASRVARKVAEIEWADDIRISPSGTDSGRSDGDGDEISGEGGPIMSNEALDLLSQRWDRINGAQALRLLPRDTKLQNLIRFLEPLLKKSSEGRRNYSVIKSLRFTENLQVKEDLHKQRRTVVKIDGESTCSRCYKRIGTSAFVVYPEGKTLVHFVCFRDSQSIKPARGTLLKKQF >DRNTG_14653.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5836816:5842440:-1 gene:DRNTG_14653 transcript:DRNTG_14653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHRDPRVTTRDRWANVKGVCEPCDDLRVEWCVSLLGIAAAIVTWRARGISCCDLPGILAICMNPLDNCSMRLCRERQVHSIKHYHRPLPRPLPRPVPLPLPPPPCFTLARNFALSRFKSRGCMPRRFFQSRGSSSSSSSFFAPFLGTNDISCEPPRPNLTTLCLVED >DRNTG_30285.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13691780:13692831:1 gene:DRNTG_30285 transcript:DRNTG_30285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFGKMISNPTVSMHMKSIRWLLRRLGGTLFNLLSSSIGKCDPIGFVQDVDNRKGSKEIERMYSTSGKLKSKWCIIRRYCKQTNRKHGFDYVSSESENPTPESQAQEYPGLSQFSLNLDDSISGSPSERPIGQKKAKLKKKNG >DRNTG_24128.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:212112:213730:1 gene:DRNTG_24128 transcript:DRNTG_24128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDHWFKSLLKIWLQDINARAEANEGMVLSKYITEPALPSELRESFYDFQMQAEKYISSETTATLALLCKSSNIASTSMKKDNTVTKMEAPISFIAKILNRYGLINLEGFPRHVSTLILQDDDLIIAWFSGLVCRWLKWYSEYDNFGDIKIMISECVRISCIRTLAAKYRIHESLIEKQFDSELSSIPMTEELETEMASITSSAENQDEGLMYGISYSGLCVLSLYRVKVPSRVFNCFVFGCCVSCPSMYTLLVKERQKFPGWKTGFSAAIHPSLNGRRIGLCNQHVKDLYMGHISLQSIEFGALNK >DRNTG_23344.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6073878:6074360:1 gene:DRNTG_23344 transcript:DRNTG_23344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSGSDPREADPDPETLFARRCCCCVWVPWPSSRSHPPPSASAEWWERIGVSDSSCAATTPNRWWAKPFLKVREWSEIVAGPRWKTFIRRFRRSSRIGRTVSRFQYDPLSYALNFDDGVGQDDDGQEEDAGIRNFSARFASAAAAAAAVDHDQTRGGI >DRNTG_06566.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6634300:6636947:1 gene:DRNTG_06566 transcript:DRNTG_06566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTEAWETLKHAIEAYTGLSVATFFTVLAVAAAFYYALSGLFGAPPAPPPSKRELEAMEPLPPPVQIGEVTEEELRVYDGTDPKKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEENDLTGDISGLGPFELEALQDWEYKFMSKYVKVGTIKKTVPVVDEATADGSKSSQVAAQTTEAKATDSNVVQDASEQQKEIDPVESTKE >DRNTG_34119.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32683975:32692083:1 gene:DRNTG_34119 transcript:DRNTG_34119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSTFQVSNQTPSFFSFSRKYSSSSSTFLYGTSHAIINNKVRAHHEKKKSSGRKGRRTGRICCSVSKETKTAVEDQLDSSPVRVKGVVNVLMSTGDNLTNIGITRPLDDIQDLLGKSLLVEIFSSHLDPQTGKEKGVIAAYAHRQSKEGDVVKYECSFTMPKNFGIGGVLVTNEHHKETFLRDIVLAPLDDSKPVNISCMSWVHSKFDCHEKRIFFTNKSYLPFQTPAGLQRLREADLESKRGDGTGERKTYERIYDYDTYNDLGDPDNSDDLVRSILGGSKEYPYPRRCRTGRPPTKKDPNSETRKGTMYVPRDEAFSEVKQLTFSVKTLRSALKALVPSIETAIIDTKLGFPYFTAIDTLFDEGMPLPKQEGLNFFRTLVPRLIKAVQDTTDILLFETPEMIDRDKFSWFRDEELGRQTLAGLNPLGIQLVTEFPFVSKLDPEVYGPPESAITKEVIECELGGRLTVEEAIKQKRLFIIDYHDLLLPYVHKVRALENTTLYGSRTLFFLTRRNTLKPIAIELTRPASPTKPQWKQVFSPHNWDSTGAWLWKLAKVHAGAHDSGVHQLVSHWLRTHACTETYVIAANRQLSAMHPIYRLLHPHFRYTMEINALAREFLISGGGIIETSFSPSKYSMELCSVAYDKLWRFDTESLPADLISRGMAVEDPNAEHGLKLTIEDYPYAADGLLVWSSIKEWVTDYVYHYYPRPELIKKDQELQAWWTEVRVKGHPEKKDEPWWPKLETEEDLIGILCTIIWVASGHHAAVNFGQYHYAGYFPNRPTIARVRMPVEALEDGDSGEFEKFWEKPETALMKCFPSQIQATVVMSILDILSNHAPDEEYLGGELEASWAENVEIKAAFERFNGRMKVIEGIVDARNANQELRNRCGAGIVPYELLKPFSEPGVTGMGIPNSISI >DRNTG_15075.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29544458:29547188:1 gene:DRNTG_15075 transcript:DRNTG_15075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTENKGSSVLMQRYELGKLLGQGTFAKVFHGRNLKTSQNVAIKVIDKEKVLKVGLMDQIKREISVMSLVRHPYVVQLYEVMASKTKIYFVMEYVKGGELFNKVLKGKLRQELARKYFQQLISAVDFCHSRGVYHRDLKPENLLLDDDENLKVSDFGLSALAESKKQDGLLHTTCGTPAYVAPEVINRKGYDGAKADIWSCGVILFVLLAGYLPFQDPNLMEMYKKIGKAEFKCPNWFPSDVRRLLLRILDPNPNTRITIARIMQNPWFKKGLDDKLMQNGMPTTELVPVDIDSVLSSSDTGKTEVKQEMAKLTNLNAFDIIALSAGFDLSSLFEQTDNRREVRFTSTQPAWNIISKLEDIARKLKLKVKKKDDGVLKIEGSKEGRKGVLAIGAEIFEVTPAFHLVEIKKTNGDTLEYQKLMKQDIRPALKDIVWAWQGDKQQQQQQQQLQDEEDEH >DRNTG_31625.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:791163:792897:-1 gene:DRNTG_31625 transcript:DRNTG_31625.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT4G38160) UniProtKB/TrEMBL;Acc:F4JSY9] MMGHNKKSCNVVLFLKEKGCDDKKIEEILRKCPKIETLEREKACENWNYLKSIGIHERKLPFIVSKCPKILTLCLDDKLVPMVHCLSTLESKPGEVALAITKFPQLLLHNLEEKLCPLLALFQTLGISENQVGKLLLLNPRLISYSIETKFAKIFGFFASLGLNKDGVAGKILVRNPYIVGYSVESRLKPTMEFLKSIGLKDVELQHVVVKFPQVVCRDVEKILRPNFVFLKGFGFNAEQIAVMVAGFPPVLIKSIKNSLEPRLKFLVEVMGRGIGEVAGYPEFFRHGLKKSLEFRHKLLMKKNIVCSLSEMLDCDKKKFIVKFGVC >DRNTG_17656.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16898502:16899164:-1 gene:DRNTG_17656 transcript:DRNTG_17656.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPKPNQGLRNRSRVFGPEIQEDWTKESAQDQENEQADDASLHPS >DRNTG_04767.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3745221:3745866:1 gene:DRNTG_04767 transcript:DRNTG_04767.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLTLSSQGIIKTNQKQRNALLVFLCIPVSPGRSRLIYVFPRNFSVWVDQIFPRWFFHIRQNLVLDSDLYLLHL >DRNTG_32216.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31506943:31511210:-1 gene:DRNTG_32216 transcript:DRNTG_32216.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable apyrase 7 [Source:Projected from Arabidopsis thaliana (AT4G19180) UniProtKB/Swiss-Prot;Acc:F4JSH1] MRLSSSLQDLSTYSTVSTGNEFDLERDRSYIHAKPLRILQREGAASSFSKEKSLPTSPLIRKKWTRGIIFLLGILVLLSLVYAGLRYYSTLSNGSSRYHVILDCGSTGTRVYVYEWSVDRNNPHGNLPIVLRSIPEGNQGKSTSQSGPAYQRMETKPGFHKLIHNETGLRGAIRPLLDWAEKQIPKHAHRSTPIFLYATAGVRKLPGSDSDWLLRKAWSILKKSSFWCQRDWVKIISGMEEAYYGWIALNYHTGMLGYSPTKGTYGALDLGGSSLQVTFETDKPISHESGINLSIGTMSHHLSAYSLSGYGLNDAFEKSVVHLLRRLLGTSSSGLNNDKIELSHPCLQNGYREQYSCSQCAALSQEGSPLIGGQGAGKDRPGMSIELIGAPQWEECSALAKIAVNLSEWSNLNPGIDCAAKPCALSNSLPRPRGMFYAMSGFFVVFKFFNLSSEATLNDVLRLGQKFCEMSWEAAKNSVAPQPFIEQYCFRAPYIVSLLKDGLHIADNQVVIGSGSITWTLGAALLQAGQSLSGRIQLRGLRILHTEINPTLLLVMLLISLLLLCCALSCVINWWPRFVRRPYLPLFKHNSAATSKINIPTPFRFQRWSPMNSGDGRVKMPLSPTVAGSERHPFSMGHGFGASSIQLVDSSSHPEGVGVSHSFSSSSLGQMQFGNGIGSFWAAPHRGQSTLQSRRSQSREDLVASLAAESHNPKT >DRNTG_31373.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001691.1:1913:2369:-1 gene:DRNTG_31373 transcript:DRNTG_31373.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYEHENKDPHFSELFNEAMFNQTTMFMKKMLENYKGFERINVLVDVGGGHGAILSIILSKHPHIKTINFDLCHVVSKAKPIQGIEFVGGDMFESVPTGDAILMK >DRNTG_31373.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001691.1:1344:2369:-1 gene:DRNTG_31373 transcript:DRNTG_31373.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYEHENKDPHFSELFNEAMFNQTTMFMKKMLENYKGFERINVLVDVGGGHGAILSIILSKHPHIKTINFDLCHVVSKAKPIQGIEFVGGDMFESVPTGDAILMKEILHNWSDADCIKLLKNCWKALPNNGKIIVVEQVIPETSQNANELKNAFLLDIIMLAFSVGGKERSKKEYQFLAKAGGFSRLKIVCNIYGFSVMEFYK >DRNTG_30136.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3211721:3214070:-1 gene:DRNTG_30136 transcript:DRNTG_30136.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDNLGAQPGMQRPPLNPQQNPFGNAFYGAGSGLIRGGLGAYGEKFLGSSSEFMQSNISKYFSNPQYYFQVNDQYVRNKLKMVLFPFLHRGHWARISEPVGGRLSYKPPIYDINAPDLYIPLMAFGTYIILAGFTFGLLGKFSPEALTLQFTRGLAGWFLQVILLKGLLYSLGGAEAPLLDIVAYGGYAFTGLSVAILARLVWNYSYYFVFPWFCLCMGVFLVKTMKRVLFTQMKSYENSSRHNYLLLLMGIVQFPLFFWLGRIGA >DRNTG_10368.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21177338:21178089:1 gene:DRNTG_10368 transcript:DRNTG_10368.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVQPTYQVIQPINGDPFIGSLETPITSSPLIAWYLSNLPAYRTAVSPLLRGIEVGLAHGYLLVGPFVKTGPLRNTPYAGSAGSLAAAGLVVILSICLTMYGIASFNEGEPSTAPALTLTGRKKEADKLQTAEGWSQFSGGFFFGGISGVIWAYFLLYVLDLPYYIK >DRNTG_09152.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6989130:6995790:1 gene:DRNTG_09152 transcript:DRNTG_09152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCKRCGGAGHMASGCRVELLSPPRHRRARPPTKARNSDKVVRSATSQAPFDRMVANCLHPQKVNLLLSLTQETTKLRKDLAKIIVIEIISGQTSDEILLEFLPGVLNTPRVDAVYEFRGNSFLATLCREEEAIKASKVPELSLPSKMGPCVISISPWTVEVGFVGSASGKGQVLLIWNLPLHAWTWPVLVEILKPIGELVTIPQLSKPHKSFLSVFVRCHQRTSLPFEVSLSFGMRRFIVLITDNWLPFPTFRNDLEKFCYSSASLECEIDSEAPRGPRFTHEVPREANGKDTKGAQVTGKDQGNREQQWRPRSIAAENRPVSAAPGVHRSLEDRTCLVSSVPDTCPVRASPGVLNPSTASAEVNRTSGVRREGGAAPDLSRTAGQLPPDARWGFSEMTDGPTSLHGSACDLSESSLAVGSGLDKDVSVARNNVVVPDKRFRPHHRPTSVTR >DRNTG_26376.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:767676:768380:1 gene:DRNTG_26376 transcript:DRNTG_26376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQPLSSTVLLIFSLSLTTLLSDHHQTLFLSIFFHSSNDTITSNSSSSSSSSSSSASTSTTLCMNGFLKTIKENNIRWIHCGNAKKDQG >DRNTG_24596.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28750870:28761856:-1 gene:DRNTG_24596 transcript:DRNTG_24596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSDSKLDLVIDGDTKGGASSMPSLPAWGLGGCRLVRDCYGAGLHPILSEFTSFRLHSIARDAPTPVTSAPNVLSRPLQSFVANAYAGSSSSSASTHCTSGGVTHNGEPTMHARDTTTSSMDCLPLAIDESRRPRIKLVNGMHGIPRNLKLGVGNLMQTDSLRLQDLDMVYPNIREAPFLMLPMWIDCDQGLDFLRPCELFEVTHTKKGTSMLVDACAQSIKVHHLSHYKLRLDICVKLLLKSKIVRRGFSRSLAKYQIITKELQQSLLEMKEERGQYREEMMCQMNDLMMNFKERILQQLQFTTQDSQPLTDDLDVDL >DRNTG_08309.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8903296:8903901:1 gene:DRNTG_08309 transcript:DRNTG_08309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKREDMSRVRDARVCANLPVFEFAQPFEGAQGQSHSSIPTYAHRTRSPSTYPSLKKQSDPRHKRVPVYVTSMKSPFGRPIPALFKADSALISAFFSPSFPRHERGLRKKVGRGASVEAYPIPDEGILGRRVEDFAQDH >DRNTG_17746.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4195583:4197077:-1 gene:DRNTG_17746 transcript:DRNTG_17746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLNDLIPIEKENIIMVKPSQPTPSPLLSLSTIDNDPNLELICQTIYVYKSNETKLDPTSIIKQGLEKSLVEFYPLAGRLKRYSEDNKLKVKCNGEGVPFLSATTTCTLSSLNYFYDVSVDIAKKFVLQFESQSEDGIHPLMFQVTRFSCGGFTVGMGLSHSICDGFGASQFFRGVAELAAGKLELSVKPVWERERLLANPNEEPLHFPYSKDSLACSPHLPCNDLLHASFDVKADSIQKLKLELSSDTIKLGSDTITTLEALGGFVWRARIRALEMNSDGKVQFSLAIGMRNLLDPALNKGYYGNAFIGSHVFMDGKELCEGPLSRVAWLIKESKRRAMRKEYLGPYLGMMERFNQENKKVEASGAATVLTDWRHMGLSGVDFGWNNSVNVVPVPWRMFGHVDLVVFMPPFGLDPAMKGGVRVLVCLPRVAMAKFKEEMATLSTVV >DRNTG_22683.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:13784373:13786294:-1 gene:DRNTG_22683 transcript:DRNTG_22683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDELNQAKRIEPKADGDKPYPIYHDLPSHLLRQFLCKSRMSSI >DRNTG_31849.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001754.1:57303:58273:-1 gene:DRNTG_31849 transcript:DRNTG_31849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASAYISSLSPAATSVQITNLGLVSVPILSSFAGLKVLNLSGNAIVRINAGVLPKSLQMLNLSKNNMAVIEGLRDLTSLSAY >DRNTG_26069.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:14440123:14444469:-1 gene:DRNTG_26069 transcript:DRNTG_26069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFDSEVKNLHQYLSFNSNMDLIHTLCSEKYLPSGKRKKQSFCLKKCVEKRRMYRTFQRDSAFSNLSKWNLFQTYMPWLLTSTGCY >DRNTG_30637.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1094582:1095076:-1 gene:DRNTG_30637 transcript:DRNTG_30637.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNIHNLLLPKKAGTGSSKAGPADDDN >DRNTG_18668.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15751601:15753840:1 gene:DRNTG_18668 transcript:DRNTG_18668.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSTRTPSSSALSHPTRSFTTTMPPVPSR >DRNTG_31685.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18465609:18473173:1 gene:DRNTG_31685 transcript:DRNTG_31685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELHPSRSPAELFGDSPPHWLEKSAFNRPDFDAEAYISSLRSFVPLENLSVELQAHVNSLQAELVDLINRDYADFVSLTAQLVDVDAAAARMREPLVEFRGKVAALRTAVDASLASLLAGLRQRAAAEQAREVIELLIDSAHVVSKVEKLIKELPSTPTDWSTVDDVPAEKGTLAEINTSGTSIRETQSILLERIASEMNRLKFYVSHSEGLPFLENMKKRIHEATSSLDGSLGHCFVNGLEHRDANAIYNCLRAYAAIDSTTAAEELFGKTIVSPLIQKVISHDSPVVGGVSSELLEGDYQQIMDFITNDCKFLLDISSSANSGLHVFDFLANSILKEVLLSIQKGKPGAFSPGRPTEFLKNYKSSMLFLDYLEGYCASRSAVTKFRSAPVYVDFMRQWNVGVYFSLRFQEIAGTLDSVLTVGSIALVDDLNDNEGKDHKLLMRQSVGLMESLRSCWNEDVVVFSSADKFLRLSLQLISRFSSWLSSGLEARKAHHLSSNSTTNSGWAIAADVEDFIYVMHDVRLLISELTSGFLANVIRYLESCSADVLDIVKESILHAAKSLEDSVPAIMDAMIEGTAVKCIEDLKHLKGITATYRMTNKLPVRHSPYVSGVLRPLKAFIDGDRVSHLTNEARHELLLGIAERITIRYHDFAADVVNVARKTETSLLRLRQGAQRRVGASSDTVDNNISDTEKICMQIFLDIQEYGRNLAALGIRAADIPAYRSLWQLVAPEDRQTEIVF >DRNTG_16826.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7817611:7824719:-1 gene:DRNTG_16826 transcript:DRNTG_16826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFAKLLTKGPILQSAPFYPLIMQIDDDYNPILNAYSHVGDSYQEEVLKSTEDTPLPQQLEDNLALEAPDGLLMGV >DRNTG_32900.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1111075:1114421:-1 gene:DRNTG_32900 transcript:DRNTG_32900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSVFSFNSLLSALLRRGRTNAATKLFAEMPRNNVAPDLCTFNTLIRGFCLNAMVDEAFRFFQEMMRRGCQPDVVTYNTLLDGLCRAGKVEVARNLFEGMRKRKSRDFSPNVVSYTTLIRGFCAKLRVEEALELFEEMFGVGLKPNKITYNTLIQGLCESRKMEMVKSVLERERSGGSDGGFKPDVCTFNTIIAAHCNMGHVEDALKVYDGMLQLKVKPDSASYTTLMRSLCQIGEFSRAEELFNEIMDKEVLVKKGTCVPLMAAYNPIFEYLCKNGKAEKAGIVFRQLLERRATVDITAFKTLILGHCKEGEFRKGYELVIVMVRRDLVPDVEVYEVLIEGFLDKGKVPYAWKALQRMMGSGHRPSTMIFHSVLAGLLKKDVFVKEAADLVLEMFERKVRQNIDLSTDVIVALFGSGLVDRAFEVLGLIYSKEYYVRMEKIVAFLCESKRFVEAGKLLLFCLEKHHRIDSGIYGVVISGLCTNGRFSDAFVLFYEMTESGLGLINSSCLNDLRLALETTGRSKEAAFVSKKINGASSQ >DRNTG_32900.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1114089:1114421:-1 gene:DRNTG_32900 transcript:DRNTG_32900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSLPSSNEIKRNPSPAPSPSSSMATPPSPPTSSSPHSPFTLPPSPSTLSSAPSTSSAFLPPLSTSSTSPTSTCLPTLASPPRPSPSSAALATSP >DRNTG_32900.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1111075:1114421:-1 gene:DRNTG_32900 transcript:DRNTG_32900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSVFSFNSLLSALLRRGRTNAATKLFAEMPRNNVAPDLCTFNTLIRGFCLNAMVDEAFRFFQEMMRRGCQPDVVTYNTLLDGLCRAGKVEVARNLFEGMRKRKSRDFSPNVVSYTTLIRGFCAKLRVEEALELFEEMFGVGLKPNKITYNTLIQGLCESRKMEMVKSVLERERSGGSDGGFKPDVCTFNTIIAAHCNMGHVEDALKVYDGMLQLKVKPDSASYTTLMRSLCQIGEFSRAEELFNEIMDKEVLVKKGTCVPLMAAYNPIFEYLCKNGKAEKAGIVFRQLLERRATVDITAFKTLILGHCKEGEFRKGYELVIVMVRRDLVPDVEVYEVLIEGFLDKGKVPYAWKALQRMMGSGHRPSTMIFHSVLAGLLKKDVFVKEAADLVLEMFERKVRQNIDLSTDVIVALFGSGLVDRAFEVLGLIYSKEYYVRMEKIVAFLCESKRFVEAGKLLLFCLEKHHRIDSGIYGVVISGLCTNGRFSDAFVLFYEMTESGLGLINSSCLNDLRLALETTGRSKEAAFVSKKINGASSQ >DRNTG_32900.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1111075:1114421:-1 gene:DRNTG_32900 transcript:DRNTG_32900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSVFSFNSLLSALLRRGRTNAATKLFAEMPRNNVAPDLCTFNTLIRGFCLNAMVDEAFRFFQEMMRRGCQPDVVTYNTLLDGLCRAGKVEVARNLFEGMRKRKSRDFSPNVVSYTTLIRGFCAKLRVEEALELFEEMFGVGLKPNKITYNTLIQGLCESRKMEMVKSVLERERSGGSDGGFKPDVCTFNTIIAAHCNMGHVEDALKVYDGMLQLKVKPDSASYTTLMRSLCQIGEFSRAEELFNEIMDKEVLVKKGTCVPLMAAYNPIFEYLCKNGKAEKAGIVFRQLLERRATVDITAFKTLILGHCKEGEFRKGYELVIVMVRRDLVPDVEVYEVLIEGFLDKGKVPYAWKALQRMMGSGHRPSTMIFHSVLAGLLKKDVFVKEAADLVLEMFERKVRQNIDLSTDVIVALFGSGLVDRAFEVLGLIYSKEYYVRMEKIVAFLCESKRFVEAGKLLLFCLEKHHRIDSGIYGVVISGLCTNGRFSDAFVLFYEMTESGLGLINSSCLNDLRLALETTGRSKEAAFVSKKINGASSQ >DRNTG_05361.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8621487:8625470:1 gene:DRNTG_05361 transcript:DRNTG_05361.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase Nek6 [Source:Projected from Arabidopsis thaliana (AT3G20860) UniProtKB/Swiss-Prot;Acc:Q9LT35] MCPEILADIPYGYKSDIWSLGCCMFEITAHRPAFKAPDIAGLINKINRSSISPLPTIYSPSLKQLIKSMLRKNPEHRPNAAELLRHPYLQPYLAKSCNPSSYYLPIKSNNENNNDTQEKLAKRRSQRQQEVGKSSRESKDSGSRTDAAKQTAEQTQTKMDAMQQDNSSNGITYPHSIPGSSSAEIKMVHNSSSDVSSNTDNGGKDQEHLGSSQLQATNEQGRPKINSDGVICECKSNGRQLDDEQERIVMHSSDVKLDGMPECKTSVSVAEENRLKLLISTDAPLRRTKTDGDEMAVAVAEETSSMSTVTLLHGDGTQAEWDSLSIIQQRADALESLLELCAQLLQQERLDELAGVLRPFGEETVSSRETAIWLTKSLMSTPKFGGGTKIQ >DRNTG_05361.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8621487:8625470:1 gene:DRNTG_05361 transcript:DRNTG_05361.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase Nek6 [Source:Projected from Arabidopsis thaliana (AT3G20860) UniProtKB/Swiss-Prot;Acc:Q9LT35] MVGKGGGFSMEGCYEVVEKIGRGSFGSAFLVIHKDDKKRYILKKIKLAKQNEKFQRTAYQEMALIASLSNPYVVEYKDGWVEKGTSVSIVTGYCEGGDMAEKIKKAKGILFPEEKVCKWLTQLLLAVDYLHSNRVLHRDLKCSNIFLTKDEDIKLGDFGLAKLLNSEDLASSVVGTPIYMCPEILADIPYGYKSDIWSLGCCMFEITAHRPAFKAPDIAGLINKINRSSISPLPTIYSPSLKQLIKSMLRKNPEHRPNAAELLRHPYLQPYLAKSCNPSSYYLPIKSNNENNNDTQEKLAKRRSQRQQEVGKSSRESKDSGSRTDAAKQTAEQTQTKMDAMQQDNSSNGITYPHSIPGSSSAEIKMVHNSSSDVSSNTDNGGKDQEHLGSSQLQATNEQGRPKINSDGVICECKSNGRQLDDEQERIVMHSSDVKLDGMPECKTSVSVAEENRLKLLISTDAPLRRTKTDGDEMAVAVAEETSSMSTVTLLHGDGTQAEWDSLSIIQQRADALESLLELCAQLLQQERLDELAGVLRPFGEETVSSRETAIWLTKSLMSTPKFGGGTKIQ >DRNTG_31854.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10557583:10562042:1 gene:DRNTG_31854 transcript:DRNTG_31854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLGHIVSEYLRHQGQYTRIKVIFSGPYITILIIRMCLLDAIRGAEKTIVPAPLGLGTMRMMGIIKRYRDRVYVLNMPPSESVEAEEDIAEGSQPELEPQIEHTKRLNSLCRGCFKVIWLRFVRYRPRTILR >DRNTG_22096.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001229.1:34702:38505:-1 gene:DRNTG_22096 transcript:DRNTG_22096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSFVSSRSVSPIPSSSSSSSSSSSLGIWIGEKRKGIGKTRVTFRIRSSAERSGDRIDEDDRRRAGFVAVDVPTPATTTTAINRSLGAADTEFPVWEKLGAVVRLSYGIGVYGAMALAGKFICEMTGIDSTGGFHPSLKAIVDGLGYAVPPIMALLFILDDEVVKHSPHARAIRDVEDEELRSFFYGMSPWQFILIVIASSVGEELFYRVAVQGALADMFLRGADLIKDARGIASLTGMLPLFVPFAQAFAAVITAALTGSLYYVATAPKDPTYVVAPVLRSRPGREDLKKLFAAWYERRQMKKIYSPLLEGLLALYLGFEWIQTDKNILAPMITHGIYSAVVLGHGLWKIHEHRRRLRQRIHQVRVEARNSNKL >DRNTG_32237.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9981621:9984914:1 gene:DRNTG_32237 transcript:DRNTG_32237.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPPRSSHPRRPSSPSPTASDTPMANGDQFMAEVPASVDKDVDFANYFCTYAFLYHQKEMLSDRVRMEAYYNAVFRNLTHFRDKVVLDVGTGSGILAIWCAQAGAKKVYAVEATKMSEHARELVKANSVGDVVEVIEGSMEDVLLPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPDGVMYPSHARMWIGPIRSGLSEQKMNDMENAMSDWYCFVDEMESQYGVNMNVLSKPYRDENEKYYLKTSLWNNLHPNQVIGTPAVIKEIDCLTATIDEIRELRVKFSLRINLDRTRLSGFAGWFDVHFRGSHQNPAKNEVELTTAPSEDNPTHWGQQTFLLHPPLRVNEGDKLAVSFSMVRSKHNHRLMDVEFTSELQQSSGKMHSPVFSKFYIE >DRNTG_32237.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9981621:10014134:1 gene:DRNTG_32237 transcript:DRNTG_32237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPPRSSHPRRPSSPSPTASDTPMANGDQFMAEVPASVDKDVDFANYFCTYAFLYHQKEMLSDRVRMEAYYNAVFRNLTHFRDKVVLDVGTGSGILAIWCAQAGAKKVYAVEATKMSEHARELVKANSVGDVVEVIEGSMEDVLLPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPDGVMYPSHARMWIGPIRSGLSEQKMNDMENAMIVWYCFVDEMESQYGVNMNVLSKPYRDENEKYYLKTSLWNNLHPNQVIGTPAVIKEIDCLKATIDEIRELRVKFSLRINLDRTRLSGFAG >DRNTG_09571.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22538520:22540342:-1 gene:DRNTG_09571 transcript:DRNTG_09571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGMRLLTVFALSSLLVAAVGVAGVGIFPPTCERIECAEFDVIAEGDGYEIRRYNSAVWMSTSLIDDISLVNATRTGFLQLFDYIQGKNKYGETIEMTGPVMSQISPSDGPFCKSSFVVSFYVPKKNQANPPPAEGLHVQKWQSKFLAVRQFGGFVSDDTIGQQADALLSSLTGSNWASAIDPSEAYAVAQYNSPFEFSGRVNEVWITLDLHASAM >DRNTG_16016.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17570621:17572033:1 gene:DRNTG_16016 transcript:DRNTG_16016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTLLSTLVFLVLIRVSSAELARDRAALQDFIAKTPHEGRLRWNSTVPTCEWTGVTCDANGSSVIALRLPGVGLVGVLPAGTLARLSSLRVLSLRANRLSGALPDDFSSLVSLRHLYLQENLLSGEFPAVIPKLVGLTRLDLSGNGFSGQIPFAVNNLTQLTGLFLERNRFSGTLPNIAIQTLNAFNVSYNHLNGSIPETLADFPASSFTGNIQLCGGPLQPCERPFFQSPSPAPTATRTRSFSSRKLSTAAIIVIATASAAVVSALLLMMIWCAMRRREEKGKP >DRNTG_04570.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2984771:2986966:-1 gene:DRNTG_04570 transcript:DRNTG_04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 19 [Source:Projected from Arabidopsis thaliana (AT2G34060) UniProtKB/Swiss-Prot;Acc:O22959] MFVVSSQMLLSLFFFFYYVSCLVGIAHCSNGTRRAPLHRHQLSIDFYSKTCPQLDQLVSSVTSRRFRESPVSAPATIRLFFHDCFVEGCDGSILIAGSDGSKVGVERDVGDNKSLAQESFELVNEVKSLVETKCPGVVSCADVLAIAARDFVHLAGGPYYQVKKGRRDSKVSLASKVKLNLPKSNSTIDELLHLFTSKGLNVTDLVALSGAHTIGFSHCDQFLTRLYNYNGTKKPDPFIDPRLLKALQMYCPRFGGNSDIMAPFDVQTPFVFDHMYYGNLEANMGLLATDQGLLLDARTRPLVQAFGKDKGLFFQAFSLGMEKMGAIRVKRGRKGEIRKVCSKHNNNLVS >DRNTG_06068.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3920732:3921206:-1 gene:DRNTG_06068 transcript:DRNTG_06068.1 gene_biotype:protein_coding transcript_biotype:protein_coding EPKQRKNIIQLANQAKGIGIPLHSYILDFSPPRPFTKPSTSCSCSRTDADSYQLSANLEENQRKEKMKMKMKKREKENKKGRRNIGRIE >DRNTG_23552.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22416637:22421843:-1 gene:DRNTG_23552 transcript:DRNTG_23552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRSQRRKESSRWWRREKAYRYLRPAACDLVSMGRAHRPGNDQFGERCNFLRKTLDDTYVTDGPIGENSFPLDLNRNLSKTYKGKPMNVVEEMQNDKETRHPSPSVIARLMGLDALPPHMVYDKQSGMHKYFQKTSGLQDKLVFHDYCSSGKVRNEYQEFKDVFEIMETSKVEKNSGKRLSKGMETSKGSDTHVELVRHSFTDAEDFSNNETIDDSEASNVASEVLDHEKALAMKFLQEPNSLFLNHFQDVKSISLTHPSDITILKPSISTKHKGYELKNTSGRRAEKCTLVQKDHSTQKPESSSGNFSQKEHNLSFSDKMLKSQYADKMVAFINPTQIVILRPSLEKTEKSDEHIVLPSSFGNFITHDRGHGEFRCCRAKSLNAEGRDWPKFNLAGTTRKKATCSSEMARNITKAMGNDASRDIERILASRFNKYISSDSIDYMSQITQHKNYKAAQRSLSDFTDQYKRHNKTVSELSVNREGRRRLSQRWKTAHQFGDMALSDRGSNTLAEMLSLSDKDLLKKITDSSITRKISGERLARDGMLAERSCSPATSNKDTSKNGCNFPISTHPASSPMGLRNTRVSRRGKPGAYDNFSTLNNVLSLGTYSSVDENLCQRGRFTRRKSKCCSNVPCSCTSVKPEYKLALSDDHVHSEFSNKFNMRNLHEENSRVHDDAISHKEQLLDNRDANLHLVNPEDQESCNKLESGSPVRLKELEHPSPVSVLEQPASEGENYTIGSCDGVNVDLQGLRIQLQLLKLESEDIDISESGTLVLGNDDTGGVHRSPIEELRGMLEELKDEEDREFSYLVDVLIDSGIGCANWDRVFDACYMPEYPVCPDVFEKLERKYGIIVEWSSSERKLLFDLINVVLTEILAPCMDLHPWVKSKKKFGPMCARERLVEEAWLMIARLRKKLSRGKPEDKVLDTMWFDIEDDIDLIGREIEGLIKEELLQELVTDFI >DRNTG_23552.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22416637:22421843:-1 gene:DRNTG_23552 transcript:DRNTG_23552.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRSQRRKESSRWWRREKAYRYLRPAACDLVSMGRAHRPGNDQFGERCNFLRKTLDDTYVTDGPIGENSFPLDLNRNLSKTYKGKPMNVVEEMQNDKETRHPSPSVIARLMGLDALPPHMVYDKQSGMHKYFQKTSGLQDKLVFHDYCSSGKVRNEYQEFKDVFEIMETSKVEKNSGKRLSKGMETSKGSDTHVELVRHSFTDAEDFSNNETIDDSEASNVASEVLDHEKALAMKFLQEPNSLFLNHFQDVKSISLTHPSDITILKPSISTKHKGYELKNTSGRRAEKCTLVQKDHSTQKPESSSGNFSQKEHNLSFSDKMLKSQYADKMVAFINPTQIVILRPSLEKTEKSDEHIVLPSSFGNFITHDRGHGEFRCCRAKSLNAEGRDWPKFNLAGTTRKKATCSSEMARNITKAMGNDASRDIERILASRFNKYISSDSIDYMSQITQHKNYKAAQRSLSDFTDQYKRHNKTVSELSVNREGRRRLSQRWKTAHQFGDMALSDRGSNTLAEMLSLSDKDLLKKITDSSITRKISGERLARDGMLAERSCSPATSNKDTSKNGCNFPISTHPASSPMGLRNTRVSRRGKPGAYDNFSTLNNVLSLGTYSSVDENLCQRGRFTRRKSKCCSNVPCSCTSVKPEYKLALSDDHVHSEFSNKFNMRNLHEENSRVHDDAISHKEQLLDNRDANLHLVNPEDQVWHLPEFMVFQR >DRNTG_23552.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22416637:22421843:-1 gene:DRNTG_23552 transcript:DRNTG_23552.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRSQRRKESSRWWRREKAYRYLRPAACDLVSMGRAHRPGNDQFGERCNFLRKTLDDTYVTDGPIGENSFPLDLNRNLSKTYKGKPMNVVEEMQNDKETRHPSPSVIARLMGLDALPPHMVYDKQSGMHKYFQKTSGLQDKLVFHDYCSSGKVRNEYQEFKDVFEIMETSKVEKNSGKRLSKGMETSKGSDTHVELVRHSFTDAEDFSNNETIDDSEASNVASEVLDHEKALAMKFLQEPNSLFLNHFQDVKSISLTHPSDITILKPSISTKHKGYELKNTSGRRAEKCTLVQKDHSTQKPESSSGNFSQKEHNLSFSDKMLKSQYADKMVAFINPTQIVILRPSLEKTEKSDEHIVLPSSFGNFITHDRGHGEFRCCRAKSLNAEGRDWPKFNLAGTTRKKATCSSEMARNITKAMGNDASRDIERILASRFNKYISSDSIDYMSQITQHKNYKAAQRSLSDFTDQYKRHNKTVSELSVNREGRRRLSQRWKTAHQFGDMALSDRGSNTLAEMLSLSDKDLLKKITDSSITRKISGERLARDGMLAERSCSPATSNKDTSKNGCNFPISTHPASSPMGLRNTRVSRRGKPGAYDNFSTLNNVLSLGTYSSVDENLCQRGRFTRRKSKCCSNVPCSCTSVKPEYKLALSDDHVHSEFSNKFNMRNLHEENSRVHDDAISHKEQLLDNRDANLHLVNPEDQESCNKLESGSPVRLKELEHPSPVSVLEQPASEGENYTIGSCDGVNVDLQGLRIQLQLLKLESEDIDISESGTLVLGNDDTGGVHRSPIEELRGMLEELKDEEDREFSYLVDVLIDSGIGCANWDRVFDACYMPEYPVCPDVFEKLERKYGIIVEWSSSERKLLFDLINVVLTEILAPCMDLHPWVKSKKKFGPMCARERLVEEAWLMIARLRKKLSRGKPEDKVLDTMWFDIEDDIDLIGREIEGLIKEELLQELVTDFI >DRNTG_04982.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4115706:4117517:-1 gene:DRNTG_04982 transcript:DRNTG_04982.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKKPRPQMKKPQQKTRKKSHLESQNPSPPPKITLRSFFSCKHHHQSNPNNNNTNNKNNNKNKKRCRRMKCSGSLCSFKENSMGTQTQRIARAQVDAASAAKKRVAMKAAPLTEINGVVVAPSFNSCSSIGGSFKGMHLGRFSGCYECHMVVDPLNGMSKVPSLRSVVCPFPDCGEIFMKSESLELHQAVRHAVSELGPEDTSRNIVEIIFQSSWLKKETPVCKIERILKVQNTPKTISKFEDYRDSIKSKANKLAKKHPRCIADGNELLRFHCTTMKCSLGLNGSTNLCDSIPHCSVCGIIRDGFKTDELGMITTMATSGRAHDIARISSIDDKRVMLVCRVIAGRVIKNQDNTEECDSVANVAGVYSNLDELSVFNPKAILPCFVVIYTGF >DRNTG_23737.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001275.1:80055:80762:1 gene:DRNTG_23737 transcript:DRNTG_23737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFTFSVIYQLVHLLSISSFVEDEEREKLAKEVAKVIYFVQVMSYLFHCFPVFEQSINTLFLTEIVRGLMLTLKYFFELKVTINYPFEKGPLSPRFLGEHALRRYPTGEEHCIACKLCEAVSIVSYRSTLSLKN >DRNTG_01017.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18046106:18048277:-1 gene:DRNTG_01017 transcript:DRNTG_01017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKHEDASMGEPLLPKHAYNDDVSKTNFQGASMARTCLNLANAMSGVGVLSMPYALSQGGWLSMAVFFMVAGICCYTGILIQHCMESSQRIKTYPDIGQHAFGNKGRITIACFLYLELYLVAVSFLILEGDNLNKLFPDLISLTILGFTIQGKQMFVILAGLVVLPTTWLRNLGVLAYISVGGVLASMVLLGCLLWSGLTDVGFHESGRLFNLSAMPTVLGLFFVCFTGHAVFPTLHTSMRDGSQFSKVLLISFTLCTMIYGPMAIFGYLMFGDNLESQVTLNFPSGKIYTKIAIYTTIVNPLTKYALTTTPIATAIEESLGWNTNRSTSIFIRSLLLISIMLIALTVPFFGYLMAFIGSFLSVTVSVIIPCICYIKIYQNFRKNWLHKSIIIGIILFGFIVALVGTYTS >DRNTG_25585.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29784967:29789809:-1 gene:DRNTG_25585 transcript:DRNTG_25585.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLVKSDIKNRKEGFWRLSKLGVPLSKDPGKDFVGVSPPLLEAIAKVLEFPVASMLPHEAFFVVRKSFDARKLLKEPEFVYTVDMDVKQLLELEPRTWDFIDRLEYKVGLVEYMPSETVSLDLISIIHDSKDNKEGKQEMDSSNSYKFPHPRPKVAVVGSGPSGLFACLVLVELGAEVTLIERGQPVEQRGRDIGALVARRILQSDSNFCFGEVHGVMENW >DRNTG_25585.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29784967:29789809:-1 gene:DRNTG_25585 transcript:DRNTG_25585.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLVKSDIKNRKEGFWRLSKLGVPLSKDPGKDFVGVSPPLLEAIAKVLEFPVASMLPHEAFFVVRKSFDARKLLKEPEFVYTVDMDVKQLLELEPRTWDFIDRLEYKVGLVEYMPSETVSLDLISIIHDSKDNKEGKQEMDSSNSYKFPHPRPKVAVVGSGPSGLFACLVLVELGAEVTLIERGQPVEQRGRDIGALVARRILQSDSNFCFGEVHGVMENW >DRNTG_25585.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29784967:29785246:-1 gene:DRNTG_25585 transcript:DRNTG_25585.6 gene_biotype:protein_coding transcript_biotype:protein_coding TRTSAPLKISRHSDTYESTSLNRLYPTGEGAGYAGGIVSAAVDGMYCGFALAKKLNLFQGDTESILGKAQKNTGFVKY >DRNTG_25585.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29788262:29789053:-1 gene:DRNTG_25585 transcript:DRNTG_25585.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHEAFFVVRKSFDARKLLKEPEFVYTVDMDVKQLLELEPRTWDFIDRLEYKVGLVEYMPSETVSLDLISIIHDSKDNKEGKQEMDSSNSYKFPHPRPKVAVVGSGPSGLFACLVLVELGAEVTLIERGQPVEQRGRDIGALVARRILQSDSNFCFGEV >DRNTG_25585.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29784967:29785744:-1 gene:DRNTG_25585 transcript:DRNTG_25585.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSFVVPAQTVTDFLNNKLSVTSLPLSSYRLGVKAANLHNLYPAPITQALQHSISMFDKELPGFISKDALLHGVETRTSAPLKISRHSDTYESTSLNRLYPTGEGAGYAGGIVSAAVDGMYCGFALAKKLNLFQGDTESILGKAQKNTGFVKY >DRNTG_25585.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29784967:29789809:-1 gene:DRNTG_25585 transcript:DRNTG_25585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLVKSDIKNRKEGFWRLSKLGVPLSKDPGKDFVGVSPPLLEAIAKVLEFPVASMLPHEAFFVVRKSFDARKLLKEPEFVYTVDMDVKQLLELEPRTWDFIDRLEYKVGLVEYMPSETVSLDLISIIHDSKDNKEGKQEMDSSNSYKFPHPRPKVAVVGSGPSGLFACLVLVELGAEVTLIERGQPVEQRGRDIGALVARRILQSDSNFCFGEGGAGTWSDGKLVTRIGRNSDSVQAVMKTLVRFGAPMDILIDGKPHLGTDRLIPLLRNFRNHLEELGVILKFSTRMDDLIVENKNVLGVKVSNVRQDPGSGSYNLFYDAVVLAVGHSARDVYQMLLQHNVGLIPKDFAVGLRIEHPQELINSIQYSALAAEVREGRGKVPVADYKLVKYVGEGNADTSSDLGDQTRSCYSFCMCPGGQVVLTSTDPSELCINGMSFSRRASRWANAAVVITVSSNDFDAFKCHGPLAGVEFQREFEKQAALMGGGSFVVPAQTVTDFLNNKLSVTSLPLSSYRLGVKAANLHNLYPAPITQALQHSISMFDKELPGFISKDALLHGVETRTSAPLKISRHSDTYESTSLNRLYPTGEGAGYAGGIVSAAVDGMYCGFALAKKLNLFQGDTESILGKAQKNTGFVKY >DRNTG_24660.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7046603:7051008:1 gene:DRNTG_24660 transcript:DRNTG_24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVSMASLLRWRDDRLSTMRASSGHEYLDGSEPSHGHGEGLESFLDHIMLGECWSVAGSRCDCVAAYWAFTTVLSSVVFPLAHPCGVLRVEWRGILPCDYCGDCHVQWARESGSRHLKKEETPTPFVRSPSPSSSFYKFKVPYKFTVVFWQQQLAPSVGTDQKAITQACLRVVSSVYANATKEVPDITFSNGYNSR >DRNTG_03659.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13508314:13510331:-1 gene:DRNTG_03659 transcript:DRNTG_03659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVMLRTQVTYAPNVLSRHYLHLLLILVLGSSSSYASTPCASGGVTPNGEPTMHARDTPTSSMDCLPLTIDESRRPRIKIKTWTEAWNSPEFKTRCEKATANQLTEIVGPGSGISRHTGGSISHASDADRLRSRLGHDPRPFELFEVTHTKKGTSMLVDARAQSIKALHAEVRDLRQTLSQFQDREERLQQTLGQVQDNNKELQQSLLEMKEERDQYRASNKGHDDEF >DRNTG_13996.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27768126:27769467:1 gene:DRNTG_13996 transcript:DRNTG_13996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSDTSSTPPDWAQLYHRNMSVITDQTSPNMFGSGSTGLADSTIVTSTASFSSQTISQKTTGGPISNIEGRVGKSPRRRSRASRKAPTTLLNTDTTNFRAMVQQFTGIPSAPYSSSAIQTNGPPFINFGLGINDTINFTNHAHQPHYFNNNNNNNNNNNHHHIRPQQQQQQHQQGTMFMLDNMTSSNNNEGGFFLDVLPGQTTAPPRPASSNGFLF >DRNTG_13996.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27768126:27769261:1 gene:DRNTG_13996 transcript:DRNTG_13996.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSDTSSTPPDWAQLYHRNMSVITDQTSPNMFGSGSTGLADSTIVTSTASFSSQTISQKTTGGPISNIEGRVGKSPRRRSRASRKAPTTLLNTDTTNFRAMVQQFTGIPSAPYSSSAIQTNGPPFINFGLGINDTINFTNHAHQPHYFNNNNNNNNNNNHHHIRPQQQQQQHQQGTMFMLDNMTSSNNNEGGFFLDVLPGQTTAPPRPASSNGFLF >DRNTG_13996.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27768126:27769310:1 gene:DRNTG_13996 transcript:DRNTG_13996.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSDTSSTPPDWAQLYHRNMSVITDQTSPNMFGSGSTGLADSTIVTSTASFSSQTISQKTTGGPISNIEGRVGKSPRRRSRASRKAPTTLLNTDTTNFRAMVQQFTGIPSAPYSSSAIQTNGPPFINFGLGINDTINFTNHAHQPHYFNNNNNNNNNNNHHHIRPQQQQQQHQQGTMFMLDNMTSSNNNEGGFFLDVLPGQTTAPPRPASSNGFLF >DRNTG_13996.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27768436:27769310:1 gene:DRNTG_13996 transcript:DRNTG_13996.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSDTSSTPPDWAQLYHRNMSVITDQTSPNMFGSGSTGLADSTIVTSTASFSSQTISQKTTGGPISNIEGRVGKSPRRRSRASRKAPTTLLNTDTTNFRAMVQQFTGIPSAPYSSSAIQTNGPPFINFGLGINDTINFTNHAHQPHYFNNNNNNNNNNNHHHIRPQQQQQQHQQGTMFMLDNMTSSNNNEGGFFLDVLPGQTTAPPRPASSNGFLF >DRNTG_13996.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27768126:27769362:1 gene:DRNTG_13996 transcript:DRNTG_13996.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSDTSSTPPDWAQLYHRNMSVITDQTSPNMFGSGSTGLADSTIVTSTASFSSQTISQKTTGGPISNIEGRVGKSPRRRSRASRKAPTTLLNTDTTNFRAMVQQFTGIPSAPYSSSAIQTNGPPFINFGLGINDTINFTNHAHQPHYFNNNNNNNNNNNHHHIRPQQQQQQHQQGTMFMLDNMTSSNNNEGGFFLDVLPGQTTAPPRPASSNGFLF >DRNTG_13996.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27768436:27769362:1 gene:DRNTG_13996 transcript:DRNTG_13996.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSDTSSTPPDWAQLYHRNMSVITDQTSPNMFGSGSTGLADSTIVTSTASFSSQTISQKTTGGPISNIEGRVGKSPRRRSRASRKAPTTLLNTDTTNFRAMVQQFTGIPSAPYSSSAIQTNGPPFINFGLGINDTINFTNHAHQPHYFNNNNNNNNNNNHHHIRPQQQQQQHQQGTMFMLDNMTSSNNNEGGFFLDVLPGQTTAPPRPASSNGFLF >DRNTG_13996.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27768436:27769467:1 gene:DRNTG_13996 transcript:DRNTG_13996.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSDTSSTPPDWAQLYHRNMSVITDQTSPNMFGSGSTGLADSTIVTSTASFSSQTISQKTTGGPISNIEGRVGKSPRRRSRASRKAPTTLLNTDTTNFRAMVQQFTGIPSAPYSSSAIQTNGPPFINFGLGINDTINFTNHAHQPHYFNNNNNNNNNNNHHHIRPQQQQQQHQQGTMFMLDNMTSSNNNEGGFFLDVLPGQTTAPPRPASSNGFLF >DRNTG_13996.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27768436:27769261:1 gene:DRNTG_13996 transcript:DRNTG_13996.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSDTSSTPPDWAQLYHRNMSVITDQTSPNMFGSGSTGLADSTIVTSTASFSSQTISQKTTGGPISNIEGRVGKSPRRRSRASRKAPTTLLNTDTTNFRAMVQQFTGIPSAPYSSSAIQTNGPPFINFGLGINDTINFTNHAHQPHYFNNNNNNNNNNNHHHIRPQQQQQQHQQGTMFMLDNMTSSNNNEGGFFLDVLPGQTTAPPRPASSNGFLF >DRNTG_14445.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000690.1:3689:4975:-1 gene:DRNTG_14445 transcript:DRNTG_14445.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKTTRSTVAVTVAHRGLLSRKLKFPRGRHTAGILTRLPIGIWAYIRLVRASIKGLLATTLHHHPLELQGYGRRQI >DRNTG_31613.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:713481:715608:1 gene:DRNTG_31613 transcript:DRNTG_31613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSATSLLLRRFLRHPIPSPSPLLSHRRPLFYSSLSAPQSSSLVWSRAVSQALEKPQSLTSLRFASANAKPKLSADDNISKVLYSEIDCAERECVDGEDLDLPKGFPFEIVDNPGDQSITLKREFAGEKIQVNVFMELDDMGDMNEDDEDDGNDDDDHEDGNSPMPKISLIALIDKGEGPSLEFCCDLAADGLHIENMLLKRDDSSSEEGNAYEGPEFSDLDENLQKAFYKYLEERGISPSLREFLHEYMTQKEDREYLNWLKNMKSFIEK >DRNTG_13516.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21971476:21972428:-1 gene:DRNTG_13516 transcript:DRNTG_13516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEKFKFASPAMDHEGRMPRKYTGDGQGAKKDISPPLEWYNVPDGTKSLALIVQDLDAPEDPSGPIVPWTHWVIVNIPPSLKGLPEGFSGKEEDMGGEFSGIKEGHNDYKVPGWRGPMPPTSGHRIEFKLYALDDMLHLGNKVTKDKVEEAVQGHVLGEAELVVIF >DRNTG_18720.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1093823:1095697:-1 gene:DRNTG_18720 transcript:DRNTG_18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVWSFASNAIAGSIGPKKQSGKPGPSNPDCSDDEVSSCTSREEGLECPICWESFNIVENVPYVLWCGHTLCKNCVLGLQWAIVKFPSLPIQLPLFISCPWCHLLSFRLVYKGNLKFPRKNFFLLWMVESMTSDRGRSHSLFCTDHQPVWPSNNGPSNNGLAPRSSSLLHHNLRRSPYVHQDHPHPSTDQANLMPNYLNVERIPSSLRKSLAFFVNLTAKFPLIIIFLLIILYAIPASATILALYVL >DRNTG_28142.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19662140:19664166:-1 gene:DRNTG_28142 transcript:DRNTG_28142.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFWKIALVDTWHRSRARPEELTAGYHDYDAIVRMFAKNSCGVVVPVMGSG >DRNTG_28142.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19662140:19664166:-1 gene:DRNTG_28142 transcript:DRNTG_28142.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFWKIALVDTWHRSRARPEELTAGYHDYDAIVRMFAKNSCGVVVPVMGSG >DRNTG_28142.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19662140:19664166:-1 gene:DRNTG_28142 transcript:DRNTG_28142.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFWKIALVDTWHRSRARPEELTAGYHDYDAIVRMFAKNSCGVVVPVMGSG >DRNTG_28142.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19662140:19664166:-1 gene:DRNTG_28142 transcript:DRNTG_28142.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFWKIALVDTWHRSRARPEELTAGYHDYDAIVRMFAKNSCGVVVPVMGSG >DRNTG_28142.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19662140:19664166:-1 gene:DRNTG_28142 transcript:DRNTG_28142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFWKIALVDTWHRSRARPEELTAGYHDYDAIVRMFAKNSCGVVVPVMGSG >DRNTG_28142.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19662140:19664166:-1 gene:DRNTG_28142 transcript:DRNTG_28142.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFWKIALVDTWHRSRARPEELTAGYHDYDAIVRMFAKNSCGVVVPVMGSG >DRNTG_28142.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19662140:19664166:-1 gene:DRNTG_28142 transcript:DRNTG_28142.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFWKIALVDTWHRSRARPEELTAGYHDYDAIVRMFAKNSCGVVVPVMGSG >DRNTG_18313.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2535751:2537081:-1 gene:DRNTG_18313 transcript:DRNTG_18313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDGVVWLVIRHHLCTSRALIPLRGRRIKDSGTYELLATQLCEYLADNSVLFSLLKTRDSEIESVEGYYELEESAGC >DRNTG_27252.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:808979:811840:-1 gene:DRNTG_27252 transcript:DRNTG_27252.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:12-oxophytodienoate reductase 3 [Source:Projected from Arabidopsis thaliana (AT2G06050) UniProtKB/Swiss-Prot;Acc:Q9FUP0] MEGSTGQHPSLFSPFKLGRFQLSHRVVLAPMTRCRALNGIPQPANVKYYVQRSTPGGFLITEGTLISPTAAGFPHCPGIYTKEQIDAWKKVVDAVHAKGSIIFCQLWHVGRASNQVYQPGGTSAPISSTDKPIGGRWRILMPGGSYGSYARPRRLATAEIPEIVEHYRQAALNAIEAGFDGIEIHGAHGYLIDQFLKDGINDRSDAYGGPISNRTRFLYEIIRSVASAIGPDRVGIRISPAIDHLEAYDSDPMALGLAVIGRLNTVQQELGQRLAYLHVTQPRYTAYGQTESGRHGSEEEEAHMMRTWRNGYDGTFICSGGYTRELGVEAVSQGDADLVSYGRLFISNPDLVDRFRVDASLNRYIRATFYTQDPVVGFTDYPFMGQARSKL >DRNTG_35015.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6863471:6865121:1 gene:DRNTG_35015 transcript:DRNTG_35015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWMPDSSSLKDAIQPRFLHLFPYLFFHLSPSLEVSTAKVWRGFGKALERFDSLRHRIFFRRELLGELSSAPIW >DRNTG_10627.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:227307:230384:-1 gene:DRNTG_10627 transcript:DRNTG_10627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVISGPLDRSEKLGLDALHEEKRGRRSDAENSEDERKTRIESLKKKAINASTKFRHSLTKRSKKHSRVMSVSIEDVRDAEEMSVVDAFRQILVLEELLPSRHDDYHTMLRFLKARKFDIEKAKQMWTDMLKWRKEFGADTVLEDFEFSEIDQVLEHYPQGHHGVDKEGRPVYIERLGQIDVTKLMQVTTMDRYVKYHVREFEKTFDVKFPACSIAARRHIDQSTTILDVQGVGYKHLTKAARELIGCLQKIDGDNYPETLCQMFIINAGSGFRLLWNTIKTFLDPKTTSKIHVLGNKYQSKLLEVIDASELPEFLGGTCTCAEHGGCLRSDKGPWKDPEVLKMVQNGAAKCGKHKKNPSIDEKTISEDEILYPKVDSISAKTFLEDDDKSISSKLPRSMIEHPHLSPVHEEIASSDYKFPPVASYVYDDFAPMVDKVVDALSNKEAMNQTLAINKGNAGACEFQDLAKISEGMMSNNILSGIMALIMGIVTVIRVSKAMPRKIVSAALDYASNTCSAENMEKGRTQLPAQTVTISTTEYSAMLKRLCDLEEKVSIMSTKPVEMPAEKEEMLKTAVSRAESLEAELNATKKALEDALVRQGEMAAYIDSKKKKKKKKSKLVKSILLVKKVKRDDEDEDEDEDEDKEDEEDDDDEEVEK >DRNTG_09811.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26760977:26763994:-1 gene:DRNTG_09811 transcript:DRNTG_09811.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-5 complex subunit mu [Source:Projected from Arabidopsis thaliana (AT2G20790) UniProtKB/Swiss-Prot;Acc:Q8W0Z6] MYDRDEIPDVISISGQVNCRAELEGLPDVSLPLTGLSSVRVEVLSFHPCVQVSEQGIDKQAMMFSPPLGNFTLMRYQAFSGLDPPVKGFYQLSMVSEDEGAFLFKLRLMEGYKAPLSMEFCTVTMPFPRRRVSSFDGNPSTGTVSMTEHSVEWKIITAGRGISGKSVEAIFSGTIRFFPWTSQRVSSMSRSVAPSAVEEDSDIEQEGSNNIVNIDEYLMEKMNKDLQAVDLEEPFCWQAYNYAKVSFKIVGGTLSGMSIDPKSVSIYPAVKAPVEYSMQALSGDYLLWNTLGTCPFAKSPELKQ >DRNTG_09811.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26760977:26765250:-1 gene:DRNTG_09811 transcript:DRNTG_09811.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-5 complex subunit mu [Source:Projected from Arabidopsis thaliana (AT2G20790) UniProtKB/Swiss-Prot;Acc:Q8W0Z6] MPFGTPLDLNFSNISAIKTTGFSALDAPPTDLKQPGWKPYLYKGKQRVLFTIHETIYASMYDRDEIPDVISISGQVNCRAELEGLPDVSLPLTGLSSVRVEVLSFHPCVQVSEQGIDKQAMMFSPPLGNFTLMRYQAFSGLDPPVKGFYQLSMVSEDEGAFLFKLRLMEGYKAPLSMEFCTVTMPFPRRRVSSFDGNPSTGTVSMTEHSVEWKIITAGRGISGKSVEAIFSGTIRFFPWTSQRVSSMSRSVAPSAVEEDSDIEQEGSNNIVNIDEYLMEKMNKDLQAVDLEEPFCWQAYNYAKVSFKIVGGTLSGMSIDPKSVSIYPAVKAPVEYSMQALSGDYLLWNTLGTCPFAKSPELKQ >DRNTG_09811.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26760977:26765250:-1 gene:DRNTG_09811 transcript:DRNTG_09811.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-5 complex subunit mu [Source:Projected from Arabidopsis thaliana (AT2G20790) UniProtKB/Swiss-Prot;Acc:Q8W0Z6] MYNRVWAFAVAHAVGDIITGDTVEPEVVAGSTPSVGGLLDSLTGSIGISGIAARAKPVAAPVVASTASGASTIGAIISDTPKSTTRPIDKDALRTFISSAMPFGTPLDLNFSNISAIKTTGFSALDAPPTDLKQPGWKPYLYKGKQRVLFTIHETIYASMYDRDEIPDVISISGQVNCRAELEGLPDVSLPLTGLSSVRVEVLSFHPCVQVSEQGIDKQAMMFSPPLGNFTLMRYQAFSGLDPPVKGFYQLSMVSEDEGAFLFKLRLMEGYKAPLSMEFCTVTMPFPRRRVSSFDGNPSTGTVSMTEHSVEWKIITAGRGISGKSVEAIFSGTIRFFPWTSQRVSSMSRSVAPSAVEEDSDIEQEGSNNIVNIDEYLMEKMNKDLQAVDLEEPFCWQAYNYAKVSFKIVGGTLSGMSIDPKSVSIYPAVKAPVEYSMQALSGDYLLWNTLGTCPFAKSPELKQ >DRNTG_08378.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4430371:4431011:1 gene:DRNTG_08378 transcript:DRNTG_08378.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSVVCGNCHQIGHNIQGCKASVTGESAWQKRVRIQKAKASGTYVPSENPPNKGGRPRKRKNTTEVCNSHVGLQANSTASTQDDVIHSQTTIEQASLARQARNDVSLPSRVSTLQAPTISSTQKEGHSIFK >DRNTG_27855.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001412.1:114079:128179:-1 gene:DRNTG_27855 transcript:DRNTG_27855.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine-phosphatase PTP1 [Source:Projected from Arabidopsis thaliana (AT1G71860) UniProtKB/Swiss-Prot;Acc:O82656] MAASSSALNSLPSGSSSSDAFNPSHLSSDPPPLIRLTPNQIKHCSEALAFFKKKLRSPAKISQEFDFLEGMRSREDEVSKKCSVAFQEVNLNKNRYMDILPFDSTRVVLNSTKDSKSLGTGYINANLITTTSMDKVSQFIATQGPLRETIDDFWEMVFQCHCPVIVMLTVLDKPKMMKKCADYFQEQNGPREFEKFIVLTKWTRTTKSSLVLCCLEVKKKQSEEALSVLHIQYPEWPDHGVPEGTLAVREIFKRIYHLPPNLGPIAVHCSAGIGRTGTYCAIHNTIQRILNGDMSSLDLIKTITDFRSQRMRMVQTVDQFFFCYAAIVDELEDLVSKSNY >DRNTG_01112.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:14319794:14324046:1 gene:DRNTG_01112 transcript:DRNTG_01112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSMADIEATALRLGIDISSVDLDSIQRPPGEDFGIKSDDDEDLYQEDGFEMEAGFGNVIVVDNLPVVPPEKFEKLENVIRKIYSQIGVIRDGGLWMPVNPESQKTLGYCFIEYNTPQEAELAKEKTNGYKLDKSHIFIVNLFDDFEKYMKVPDEWTPAEIKPYVPGENLHQWLTDEKARDQFVIRAATFSEVFWNDPRQMMPELVYRRQYWTEGFVQWSPLGTYLATVHRQGAAVWGGATTFNRLMRYPHPQVKLIDFSPGEKYLVTYSSHEPNNPRDTHRVVLNIFDVRTGKVMRDFKGNVDEFTTGGSGGVSGVSWPVFRWGGGKEDKYFARIGKNMISVYETETFTLIDKKSMKVESVVDFSWSPTDPIISLFVPELGGGNQPARVSLVQIPGKEELRQKNLFSVSDCKMYWQGNGEYLAVKVDRYTKTKKSTYTGFELFRIKERDIPIEVLELENKNDKIVAFAWEPKGHRFAVIHGDGPRPDVSFYSMRSAQNTGRVSKLVTLKSKQANTLYWSPGGRFILLAGLKGFNGQLEFYNVDELETMATGEHFMATDIEWDPTGRYVATAVTSVHEMENGFHIWSFTGKLLYRIPKDHFYQFLWRPRPPSLLTPEKEEEIWKNLKKYSKKYEAEDQDVSLQLSEQDRKKRKLLQEEWDSWVEKWKRLHDEEREMRQWLRDGEASDEEEEYEAKEVEVEEVLDVKEEVVPFDLDQME >DRNTG_09540.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3590265:3592630:1 gene:DRNTG_09540 transcript:DRNTG_09540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIYHCNIDAAGNVSLDILKDGWSPALTISKVLLAIRSVFTNPDPYKPAMPNIARLYLTDRTKHDEIAAEWTMRFAR >DRNTG_09540.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3590265:3592630:1 gene:DRNTG_09540 transcript:DRNTG_09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSFAGGGGVRPWPSATSVSYSGKRIQKEMMEFNANPPTDCSAGPKGDNLYHWVSTILGPSGSPYEDGVFFLDIAFPADYPFKPPKVVFMTRIYHCNIDAAGNVSLDILKDGWSPALTISKVLLAIRSVFTNPDPYKPAMPNIARLYLTDRTKHDEIAAEWTMRFAR >DRNTG_13525.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22017932:22018632:1 gene:DRNTG_13525 transcript:DRNTG_13525.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAAPGCGEEGHPPHPPPGKKTLRIELCI >DRNTG_08824.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27990557:27994115:-1 gene:DRNTG_08824 transcript:DRNTG_08824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQYVSHLKVSVLMSSIFGVHFSILSLLFISYLTASNGGGCLHIEWKALLQFKNGLIDPKNLLFSRQGHDCCRWMGIACDNQTGAVLSINLYNEHAYQNGPDWAISGKIDSSLLQLKCLMSLDLSYNDFGGIAIPGFIGSLKHLVHLKLAFAGFSGSIPSQLGNLSSLQFLDLMGNELHLVNDLEWISQLSSLSHLVITGANLSTWGTQWFKHLNSLSSLTKLVLSTCSLSGIPASLPFVNFTKLQVLDLSLNHLDSVIPAWFSNLTSLVYLDMSYGEFYGFIPLELFNLPILRYVDLSRNYNLTADFSKLMGTGWPSLEHLDLSFNLLHGTLPDSIGNFTSVRELRLLKNMIEGGVPSSIGKLCNLKTLNLAENNMSLELPPFLDNTGACASEHPLPSLTHLDIQKNQLIGVLPEWLGNLINLQLLDLSCNFIQGSVPPSLGNLSKLTDLILRGNNLIGTLTPNLGQLSKLVNFDVSLNHMTGILTEAHFSKLSDLKYLLLSHNSFTISINSSWLPPFQLEELYLSSCQIGPHVPSWLQNQTELDSLDISNTGISGKITPWFWNLTKNLILLNISFNKIEGQLPNPLLIGGLADVDMRSNLLTGPLPRLSSMITTLVLSNNQFSGPTPPHFFNSETMVYVSLSNNNLSGQIPSSIAEIMSLEVLDLSRNKLNGSIPESLQNIQSLKSLWLEYNSLSGPIPNSLGSLLQLQTLHLSNNKLSGKIPQSLQNCSSLETLDLRDNMLHGVIPTWFAWSFPKLRILGLKSNMFSGQIPPQLSNMSSLQVLDLADNNFQGHIPLSFGNFKAMTSSPKMNQHLLFGNDYEEHFSMQLSSTSNLLEFTKILSLVVSIDLSRNKLSGHFPKTLTNLTGLLVLDLSDNSLSGEIPQNTGALHGLLSLDLSNNGFSGNIPSSMSSMSFISHLNLSNNNFSGSIPSAGQLSTFDKSSYLGNEFLCGHPLDVECSRVNGRKVHGGGDASVENRCFLCVG >DRNTG_28916.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22014451:22016298:1 gene:DRNTG_28916 transcript:DRNTG_28916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGAAQCLLGASEAPSFPPNTLGSLFPRCTTLRSLHQLHAQLIINGVHLSTIFASKLANSYFCFGSPSHARKVFDQIPVKNSHSWNTMLSGYSKTNNLFELLHLYKLARAENHQPDSFALVFAIRACTGLSLLKQGLSFHLEAIRAGLESRDYVAPALISMYIESGCLVDAEKVFNIVSAENCSVWNLLMQGYSRASMDVHVFDLFNRMRRCGLEVDPCSALYFARACGNSGAGKEGQAIHGLSIKKNFLEFDSNVYLQTALIDMYKKSGFIDFACKLFHEMPLKDVVAFGAMITGLVHCGKAYESLSVFRNMLGEEIMPNKIIFASVLLACSHLGALQQGKSVHGFMVRNGIELDVVTYSALLDMYAKCGSIQSAYNVFSEMPERNVYTWSAMIGAYGMHGMCSEAIALFDRMKSENCAPNHVTFVSVLAACSHSGRVQEGRQFFHSMIKDHKITPTNEHYSCMVDLLGRAGLVDEAEALVEAMPGEPSASVWGALLGACRMHKHIELAARVADKLSVLEPNQPGAHVLLCNVYAAAEMWGMVKKTREAMTERGIRKTTGFSTIEFDRRVYIFNATDRLEGCHKERIAEILSVVSSQMKELGYAPDSSFVCSS >DRNTG_08382.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4465386:4468621:-1 gene:DRNTG_08382 transcript:DRNTG_08382.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKECELCEKAARMYCESDQASLCWECDARVHGANFLVARHTRSLLCRGCQEPTPWRASGARLGQTVSVCDRCTGIKQNGSDADRDAAVEDGGEGDNEEDEDEDDDDEEEEEEDDDDGEEEEEEEEEDGENQVVPGTMTPPPPPVASSSSSDECSTRIRNGILKRMRESTDLAPQDDLHSSSSHHQPRSSPDDEATSLRPSKDRKTAATITDGSPATTRHLLDLRSRRGSPSRPI >DRNTG_08382.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4465386:4468621:-1 gene:DRNTG_08382 transcript:DRNTG_08382.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKECELCEKAARMYCESDQASLCWECDARVHGANFLVARHTRSLLCRGCQEPTPWRASGARLGQTVSVCDRCTGIKQNGSDADRDAAVEDGGEGDNEEDEDEDDDDEEEEEEDDDDGEEEEEEEEEDGENQVVPGTMTPPPPPVASSSSSDECSTRIRNGILKRMRESTDLAPQPKKSIKFSINR >DRNTG_08382.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4465386:4468621:-1 gene:DRNTG_08382 transcript:DRNTG_08382.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKECELCEKAARMYCESDQASLCWECDARVHGANFLVARHTRSLLCRGCQEPTPWRASGARLGQTVSVCDRCTGIKQNGSDADRDAAVEDGGEGDNEEDEDEDDDDEEEEEEDDDDGEEEEEEEEEDGENQVVPGTMTPPPPPVASSSSSDECSTRIRNGILKRMRESTDLAPQDDLHSSSSHHQPRSSPDDEATSLRPSKDRKTAATITDGSPATTRHLLDLRSRRGSPSRPI >DRNTG_08382.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4465322:4468621:-1 gene:DRNTG_08382 transcript:DRNTG_08382.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKECELCEKAARMYCESDQASLCWECDARVHGANFLVARHTRSLLCRGCQEPTPWRASGARLGQTVSVCDRCTGIKQNGSDADRDAAVEDGGEGDNEEDEDEDDDDEEEEEEDDDDGEEEEEEEEEDGENQVVPGTMTPPPPPVASSSSSDECSTRIRNGILKRMRESTDLAPQDDLHSSSSHHQPRSSPDDEATSLRPSKDRKTAATITDGSPATTRHLLDLRSRRGSPSRPI >DRNTG_08382.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4465386:4468621:-1 gene:DRNTG_08382 transcript:DRNTG_08382.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKECELCEKAARMYCESDQASLCWECDARVHGANFLVARHTRSLLCRGCQEPTPWRASGARLGQTVSVCDRCTGIKQNGSDADRDAAVEDGGEGDNEEDEDEDDDDEEEEEEDDDDGEEEEEEEEEDGENQVVPGTMTPPPPPVASSSSSDECSTRIRNGILKRMRESTDLAPQPKKSIKFSINR >DRNTG_08382.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4465286:4468621:-1 gene:DRNTG_08382 transcript:DRNTG_08382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKECELCEKAARMYCESDQASLCWECDARVHGANFLVARHTRSLLCRGCQEPTPWRASGARLGQTVSVCDRCTGIKQNGSDADRDAAVEDGGEGDNEEDEDEDDDDEEEEEEDDDDGEEEEEEEEEDGENQVVPGTMTPPPPPVASSSSSDECSTRIRNGILKRMRESTDLAPQDDLHSSSSHHQPRSSPDDEATSLRPSKDRKTAATITDGSPATTRHLLDLRSRRGSPSRPI >DRNTG_07458.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26768898:26779929:-1 gene:DRNTG_07458 transcript:DRNTG_07458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNSEKRFQKVMDKLYFAPSPKPRPPLPRGKRALELGKQERLRLPRDSIGSLGLVPQCRPWDRGDLMRRLATYKAMTWFGKPKVVNPVNCARRGWINVEMDTIACEACGARLLFSTPSSWSLQQVEKAAAVFSLKLDNGHKLLCPWIDNVCDEALALLPPTPPATLIEGYKERASMLIRLSALPAILSSTIEYMKSPQLTLLLSQSSLQSISLASGVKLSNLSGDKDLDGVAESAFSDLYYQSLKIISLCGWEPRLLPYSVDHEDQSSPANGAHSSGSSVQIPTDEKIIIYPAGHSDDVKVDKHIPHTLDEYQYDPTSVVLDCRLCGACVGLWAFATTPRPLELFSIIASPDSNGQDELLTSKDLHSVAGVLRTEKMKTSNTTSNDGTSGTDYNGATAGEKPLRLNLSIAGGPPPTKQNFKPRVSFPIISRHLRAQLDPDLRFRNYHSSGSSCMNRTEKESRLVPHADDLPQNKKDNNDVPVISEHSGSLKRKRVDDFTIPENSQEHFVNSEDQLEIMQTGINDPGNTDNNPGCVGEEAPHAVGSVGDDAKVEGLSSTSNATAISTHADVIEKDTESSTTKKFLLQKATESNHCGVLDARDAHSKFREFDPIRQHRPFCPWIAPIDGKSTSGWKLTLSALVQQEKSSSLPSSQSEAPPALLDEVDDPVDSIRRLFMSPAAKKIKSSH >DRNTG_17189.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:417360:420584:1 gene:DRNTG_17189 transcript:DRNTG_17189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYSCIREGPDHAPRFKAAVNFNGDVFESPAFCTTLRQAEHSAAEVALAALASRGPSQSLAARILDETGVYKNLLQEIAQRVGAPLPSYTTFRSGLGHLPVFTGTVELAGITFTGEPAKSKKQAEKNAAMAAWSSLKQLAREAASSSTEPENNDEQEQITIARVLLKYRLKQKMAMANDPHASPFPKKFPMQQDRRPSFNQPPPATVSKILPLLRPKSAPRTRPPSPATSDNTAFPALFSQPDNHTTRVQKFPAPNAAPYFPVQHFRVPYHAMAQPVTIRTAVPVYSAPPLPPPAKHQLHHIMAPSMRMASPVCVRPVVRVFAAPPPAPPAAIPAVSVPVQERPLHSTSSSGPVVKTEEKADAILDEPQESMVLESLNDLKI >DRNTG_34948.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2164114:2164943:-1 gene:DRNTG_34948 transcript:DRNTG_34948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHLCHRSSPFFTISNQPSSSRICKAQGSPGTVFFSFLLLFALFLSMVLDLIITGLTLLVVIMQFMLLGIVFLTEILCMHSR >DRNTG_22675.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20397227:20399516:1 gene:DRNTG_22675 transcript:DRNTG_22675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMMKPGNQSEGVRDSAALVSSNSAMDLKASRLMQCASVYQSIGEESPLEGDSSFYSTNKEKPLVDAFPDPLCKLNLKETSDFVKAFPVSTNNNKESSALRRREVVSFVGQKRLDAPSTPGRPIFSFSPWNPPRRSIPSKWDDAQKWLISSSCHESPAHGIKPYDSSVVSKQNDGFHQKGDAFAELLSSNKETVPVFLKDKFTDNVEQVLTNFQPLEPMKEGFVFKSSFFEPMKDAAEVQHRDIGTEMTPLGSSTTSRCHTPIKSTSPLRHNTPASRSGPLFASSNTTIDISELNDCHFAKLELCSQYDAMVSNWSSREEEEQEISKSLRHFDISDGRKSVAECRASLWEDKEKIKSCTRYQREEAKIQAWVDLQNAKAEEQSRKLEVKIQKMRSNLEEKLMKRMAIVHRRAKECRAAAQLQHSQQLLRAYEQAQKIKIQQKQQQQQASLFTDHTSCGCFSCSTII >DRNTG_01846.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7596387:7598133:-1 gene:DRNTG_01846 transcript:DRNTG_01846.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor EFM [Source:Projected from Arabidopsis thaliana (AT2G03500) UniProtKB/Swiss-Prot;Acc:Q9ZQ85] MKVIKASDPSLEKASWMVTAQLWNPASDITKKEEQEPSLSAPLKETQENIDSNHKLPFDSKQRNGGAFLPFSKDKSKGSSLVVSPGKEMDNDQQQAKVTTTQTHRKARRCWSPDLHRRFVNALQILGGSQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPMPAPQQAAPPPQLVVLGGIWVPPQYTAAHAGAAHYCAPPMAQEFYPATGHHHFLQPSLERSSTVTNYRGGLSSPESEGRSGGDRSESIEEEEVEEREDEEEEEIEMMEKKKALAQVSSMADDCSGLKF >DRNTG_01846.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7596411:7598262:-1 gene:DRNTG_01846 transcript:DRNTG_01846.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor EFM [Source:Projected from Arabidopsis thaliana (AT2G03500) UniProtKB/Swiss-Prot;Acc:Q9ZQ85] MKVIKASDPSLEKASWMVTAQLWNPASDITKKEEQEPSLSAPLKETQENIDSNHKLPFDSKQRNGGAFLPFSKDKSKGSSLVVSPGKEMDNDQQQAKVTTTQTHRKARRCWSPDLHRRFVNALQILGGSQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPMPAPQQAAPPPQLVVLGGIWVPPQYTAAHAGAAHYCAPPMAQEFYPATGHHHFLQPSLERSSTVTNYRGGLSSPESEGRSGGDRSESIEEEEVEEREDEEEEEIEMMEKKKALAQVSSMADDCSGLKF >DRNTG_01846.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7596411:7598133:-1 gene:DRNTG_01846 transcript:DRNTG_01846.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor EFM [Source:Projected from Arabidopsis thaliana (AT2G03500) UniProtKB/Swiss-Prot;Acc:Q9ZQ85] MKVIKASDPSLEKASWMVTAQLWNPASDITKKEEQEPSLSAPLKETQENIDSNHKLPFDSKQRNGGAFLPFSKDKSKGSSLVVSPGKEMDNDQQQAKVTTTQTHRKARRCWSPDLHRRFVNALQILGGSQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPMPAPQQAAPPPQLVVLGGIWVPPQYTAAHAGAAHYCAPPMAQEFYPATGHHHFLQPSLERSSTVTNYRGGLSSPESEGRSGGDRSESIEEEEVEEREDEEEEEIEMMEKKKALAQVSSMADDCSGLKF >DRNTG_01846.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7596387:7598262:-1 gene:DRNTG_01846 transcript:DRNTG_01846.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor EFM [Source:Projected from Arabidopsis thaliana (AT2G03500) UniProtKB/Swiss-Prot;Acc:Q9ZQ85] MKVIKASDPSLEKASWMVTAQLWNPASDITKKEEQEPSLSAPLKETQENIDSNHKLPFDSKQRNGGAFLPFSKDKSKGSSLVVSPGKEMDNDQQQAKVTTTQTHRKARRCWSPDLHRRFVNALQILGGSQVATPKQIRELMKVDGLTNDEVKSHLQVSLSLSLSHAHTHTQNDKMCSDFL >DRNTG_09406.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5776042:5784491:1 gene:DRNTG_09406 transcript:DRNTG_09406.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCEKLNFASYSSFFKYMGISGNLMKGLQVYDSISDKSTKMNVSVCNSVLGCLVRNAKFEKSMELFDQMKDDGLQPDLVTYSTLLAGCAKIKYGYIKAMHLVQELENNGLHKDSVIYGTLISICASNNLSEEAEAFFQQMLDEGCCPNIFHYSSLLNAYSLDGNYTKAEKLVESMNSSGVAPNKVILTTLLKVYAKGGLFEKGRKLLTELEDLGFAKDEMPYCVLMDNLAKSGDVQAAKAIFSEMRGKGVKIDGYSYSIMISALCRSGQLKEAKQLAKDFEASYAKYDLVMLNTLLRAYCNAGDMENVMQMMRKMDELSISPDWNTFHILIKYFCKEKLYHLAYRTIEDMNKRGHQLDEELCSVLIVQLGQGGFPSEAFSVYNFLKYSKRNMRKILHEQMLDILVAAGLLKDAYVIMKDNAESISNHLLEKFAITFMKSGNINLINDVLKAFHRAGHRINQEVFRRAITRYIGKPEKKELLLQLLQWMSGQGYVVDSLSRNLLLKNSGLFGPKQLIAEILSKQQMMLRKSSSSEVKK >DRNTG_09406.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5774737:5784580:1 gene:DRNTG_09406 transcript:DRNTG_09406.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVQELENNGLHKDSVIYGTLISICASNNLSEEAEAFFQQMLDEGCCPNIFHYSSLLNAYSLDGNYTKAEKLVESMNSSGVAPNKVILTTLLKVYAKGGLFEKGRKLLTELEDLGFAKDEMPYCVLMDNLAKSGDVQAAKAIFSEMRGKGVKIDGYSYSIMISALCRSGQLKEAKQLAKDFEASYAKYDLVMLNTLLRAYCNAGDMENVMQMMRKMDELSISPDWNTFHILIKYFCKEKLYHLAYRTIEDMNKRGHQLDEELCSVLIVQLGQGGFPSEAFSVYNFLKYSKRNMRKILHEQMLDILVAAGLLKDAYVIMKDNAESISNHLLEKFAITFMKSGNINLINDVLKAFHRAGHRINQEVFRRAITRYIGKPEKKELLLQLLQWMSGQGYVVDSLSRNLLLKNSGLFGPKQLIAEILSKQQMMLRKSSSSEVKK >DRNTG_09406.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5774513:5784491:1 gene:DRNTG_09406 transcript:DRNTG_09406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVQELENNGLHKDSVIYGTLISICASNNLSEEAEAFFQQMLDEGCCPNIFHYSSLLNAYSLDGNYTKAEKLVESMNSSGVAPNKVILTTLLKVYAKGGLFEKGRKLLTELEDLGFAKDEMPYCVLMDNLAKSGDVQAAKAIFSEMRGKGVKIDGYSYSIMISALCRSGQLKEAKQLAKDFEASYAKYDLVMLNTLLRAYCNAGDMENVMQMMRKMDELSISPDWNTFHILIKYFCKEKLYHLAYRTIEDMNKRGHQLDEELCSVLIVQLGQGGFPSEAFSVYNFLKYSKRNMRKILHEQMLDILVAAGLLKDAYVIMKDNAESISNHLLEKFAITFMKSGNINLINDVLKAFHRAGHRINQEVFRRAITRYIGKPEKKELLLQLLQWMSGQGYVVDSLSRNLLLKNSGLFGPKQLIAEILSKQQMMLRKSSSSEVKK >DRNTG_09406.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5774737:5784491:1 gene:DRNTG_09406 transcript:DRNTG_09406.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCEKLNFASYSSFFKYMGISGNLMKGLQVYDSISDKSTKMNVSVCNSVLGCLVRNAKFEKSMELFDQMKDDGLQPDLVTYSTLLAGCAKIKYGYIKAMHLVQELENNGLHKDSVIYGTLISICASNNLSEEAEAFFQQMLDEGCCPNIFHYSSLLNAYSLDGNYTKAEKLVESMNSSGVAPNKVILTTLLKVYAKGGLFEKGRKLLTELEDLGFAKDEMPYCVLMDNLAKSGDVQAAKAIFSEMRGKGVKIDGYSYSIMISALCRSGQLKEAKQLAKDFEASYAKYDLVMLNTLLRAYCNAGDMENVMQMMRKMDELSISPDWNTFHILIKYFCKEKLYHLAYRTIEDMNKRGHQLDEELCSVLIVQLGQGGFPSEAFSVYNFLKYSKRNMRKILHEQMLDILVAAGLLKDAYVIMKDNAESISNHLLEKFAITFMKSGNINLINDVLKAFHRAGHRINQEVFRRAITRYIGKPEKKELLLQLLQWMSGQGYVVDSLSRNLLLKNSGLFGPKQLIAEILSKQQMMLRKSSSSEVKK >DRNTG_09406.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5778004:5784491:1 gene:DRNTG_09406 transcript:DRNTG_09406.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCVLMDNLAKSGDVQAAKAIFSEMRGKGVKIDGYSYSIMISALCRSGQLKEAKQLAKDFEASYAKYDLVMLNTLLRAYCNAGDMENVMQMMRKMDELSISPDWNTFHILIKYFCKEKLYHLAYRTIEDMNKRGHQLDEELCSVLIVQLGQGGFPSEAFSVYNFLKYSKRNMRKILHEQMLDILVAAGLLKDAYVIMKDNAESISNHLLEKFAITFMKSGNINLINDVLKAFHRAGHRINQEVFRRAITRYIGKPEKKELLLQLLQWMSGQGYVVDSLSRNLLLKNSGLFGPKQLIAEILSKQQMMLRKSSSSEVKK >DRNTG_09406.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5774737:5784491:1 gene:DRNTG_09406 transcript:DRNTG_09406.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVQELENNGLHKDSVIYGTLISICASNNLSEEAEAFFQQMLDEGCCPNIFHYSSLLNAYSLDGNYTKAEKLVESMNSSGVAPNKVILTTLLKVYAKGGLFEKGRKLLTELEDLGFAKDEMPYCVLMDNLAKSGDVQAAKAIFSEMRGKGVKIDGYSYSIMISALCRSGQLKEAKQLAKDFEASYAKYDLVMLNTLLRAYCNAGDMENVMQMMRKMDELSISPDWNTFHILIKYFCKEKLYHLAYRTIEDMNKRGHQLDEELCSVLIVQLGQGGFPSEAFSVYNFLKYSKRNMRKILHEQMLDILVAAGLLKDAYVIMKDNAESISNHLLEKFAITFMKSGNINLINDVLKAFHRAGHRINQEVFRRAITRYIGKPEKKELLLQLLQWMSGQGYVVDSLSRNLLLKNSGLFGPKQLIAEILSKQQMMLRKSSSSEVKK >DRNTG_09406.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5780187:5784491:1 gene:DRNTG_09406 transcript:DRNTG_09406.10 gene_biotype:protein_coding transcript_biotype:protein_coding MQMESEKKDKGAKKMKTIKVGIWGGHGGRAWDDGSYNGIREITLKYDRCIDSIEVLYYDKAGKPVNSSQKHGGTGGN >DRNTG_09406.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5774737:5784491:1 gene:DRNTG_09406 transcript:DRNTG_09406.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELFDQMKDDGLQPDLVTYSTLLAGCAKIKYGYIKAMHLVQELENNGLHKDSVIYGTLISICASNNLSEEAEAFFQQMLDEGCCPNIFHYSSLLNAYSLDGNYTKAEKLVESMNSSGVAPNKVILTTLLKVYAKGGLFEKGRKLLTELEDLGFAKDEMPYCVLMDNLAKSGDVQAAKAIFSEMRGKGVKIDGYSYSIMISALCRSGQLKEAKQLAKDFEASYAKYDLVMLNTLLRAYCNAGDMENVMQMMRKMDELSISPDWNTFHILIKYFCKEKLYHLAYRTIEDMNKRGHQLDEELCSVLIVQLGQGGFPSEAFSVYNFLKYSKRNMRKILHEQMLDILVAAGLLKDAYVIMKDNAESISNHLLEKFAITFMKSGNINLINDVLKAFHRAGHRINQEVFRRAITRYIGKPEKKELLLQLLQWMSGQGYVVDSLSRNLLLKNSGLFGPKQLIAEILSKQQMMLRKSSSSEVKK >DRNTG_09406.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5774513:5776130:1 gene:DRNTG_09406 transcript:DRNTG_09406.11 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIPAPPRAPPAAGTGASITASSSSPSPFFAFIPRIRVRSRNCEHIRAALKESLASSSPNAINPAAKSSARRAAVAEVKESTDLDSALLRVGGILQAHDLNVILRHFGESKRWNEVSQ >DRNTG_09406.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5774737:5784491:1 gene:DRNTG_09406 transcript:DRNTG_09406.5 gene_biotype:protein_coding transcript_biotype:protein_coding MELFDQMKDDGLQPDLVTYSTLLAGCAKIKYGYIKAMHLVQELENNGLHKDSVIYGTLISICASNNLSEEAEAFFQQMLDEGCCPNIFHYSSLLNAYSLDGNYTKAEKLVESMNSSGVAPNKVILTTLLKVYAKGGLFEKGRKLLTELEDLGFAKDEMPYCVLMDNLAKSGDVQAAKAIFSEMRGKGVKIDGYSYSIMISALCRSGQLKEAKQLAKDFEASYAKYDLVMLNTLLRAYCNAGDMENVMQMMRKMDELSISPDWNTFHILIKYFCKEKLYHLAYRTIEDMNKRGHQLDEELCSVLIVQLGQGGFPSEAFSVYNFLKYSKRNMRKILHEQMLDILVAAGLLKDAYVIMKDNAESISNHLLEKFAITFMKSGNINLINDVLKAFHRAGHRINQEVFRRAITRYIGKPEKKELLLQLLQWMSGQGYVVDSLSRNLLLKNSGLFGPKQLIAEILSKQQMMLRKSSSSEVKK >DRNTG_09406.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5780187:5784491:1 gene:DRNTG_09406 transcript:DRNTG_09406.9 gene_biotype:protein_coding transcript_biotype:protein_coding MQMESEKKDKGAKKMKTIKVGIWGGHGGRAWDDGSYNGIREITLKYDRCIDSIEVLYYDKAGKPVNSSQKHGGTGGN >DRNTG_29601.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7201747:7205503:1 gene:DRNTG_29601 transcript:DRNTG_29601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNEKAHHNGQSGRLPRKRFYRARAHSNPLSDSHFPIPICPSEVDYAQHFPHFFPSVMCDQDKCDAPVPKVRFADIGCGFGGLLVSLSTLFPDVLMIGMELRDKVSEYVKERILALRASNPGQYENISVVRTNSMKYIPNYFEKGQLSKMFFLFPDPHFKEKNHRRRVISIQLLDEYAYALEVGGIIYTITDVEELGDWMRTCLENHPLFEAIPEEDLKADPVVELLTSATEEGQKVARNGGQTFKALFRRIAFKE >DRNTG_29601.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7201747:7204504:1 gene:DRNTG_29601 transcript:DRNTG_29601.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNEKAHHNGQSGRLPRKRFYRARAHSNPLSDSHFPIPICPSEVDYAQHFPHFFPSVMCDQDKCDAPVPKVRFADIGCGFGGLLVSLSTLFPDVLMIGMELRDKVSEYVKERILALRASNPGQYENISVVRTNSMKYIPNYFEKGQLSKMFFLFPDPHFKEKNHRRRVISIQLLDEYAYALEVGGIIYTITDVEELGDWMRTCLENHPLFEAIPEEDLKADPVVELLTSATEEGQKVARNGGQTFKALFRRIAFKE >DRNTG_29601.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7201747:7205503:1 gene:DRNTG_29601 transcript:DRNTG_29601.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYIPNYFEKGQLSKMFFLFPDPHFKEKNHRRRVISIQLLDEYAYALEVGGIIYTITDVEELGDWMRTCLENHPLFEAIPEEDLKADPVVELLTSATEEGQKVARNGGQTFKALFRRIAFKE >DRNTG_29601.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7201747:7204504:1 gene:DRNTG_29601 transcript:DRNTG_29601.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNEKAHHNGQSGRLPRKRFYRARAHSNPLSDSHFPIPICPSEVDYAQHFPHFFPSVMCDQDKCDAPVPKVRFADIGCGFGGLLVSLSTLFPDVLMIGMELRDKVSEYVKERILALRASNPGQYENISVVRTNSMKYIPNYFEKGQLSKMFFLFPDPHFKEKNHRRRVISIQLLDEYAYALEVGGIIYTITDVEELGDWMRTCLENHPLFEAIPEEDLKADPVVELLTSATEEGQKVARNGGQTFKALFRRIAFKE >DRNTG_29601.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7201747:7204504:1 gene:DRNTG_29601 transcript:DRNTG_29601.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNEKAHHNGQSGRLPRKRFYRARAHSNPLSDSHFPIPICPSEVDYAQHFPHFFPSVMCDQDKCDAPVPKVRFADIGCGFGGLLVSLSTLFPDVLMIGMELRDKVNKYDTFVTYFLCFHFILWNILPCRFQNM >DRNTG_29601.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7201747:7204504:1 gene:DRNTG_29601 transcript:DRNTG_29601.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNEKAHHNGQSGRLPRKRFYRARAHSNPLSDSHFPIPICPSEVDYAQHFPHFFPSVMCDQDKCDAPVPKVRFADIGCGFGGLLVSLSTLFPDVLMIGMELRDKVSEYVKERILALRASNPGQYENISVVRTNSMKYIPNYFEKGQLSKMFFLFPDPHFKEKNHRRRVISIQLLDEYAYALEVGGIIYTITDVEELGDWMRTCLENHPLFEAIPEEDLKADPVVELLTSATEEGQKVARNGGQTFKALFRRIAFKE >DRNTG_29601.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7203442:7204504:1 gene:DRNTG_29601 transcript:DRNTG_29601.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNEKAHHNGQSGRLPRKRFYRARAHSNPLSDSHFPIPICPSEVDYAQHFPHFFPSVMCDQDKCDAPVPKVRFADIGCGFGGLLVSLSTLFPDVLMIGMELRDKVSEYVKERILALRASNPGQYENISVVRTNSMKYIPNYFEKGQLSKMFFLFPDPHFKEKNHRRRVISIQLLDEYAYALEVGGIIYTITDVEELGDWMRTCLENHPLFEAIPEEDLKADPVVELLTSATEEGQKVARNGGQTFKALFRRIAFKE >DRNTG_29601.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7201747:7204504:1 gene:DRNTG_29601 transcript:DRNTG_29601.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNEKAHHNGQSGRLPRKRFYRARAHSNPLSDSHFPIPICPSEVDYAQHFPHFFPSVMCDQDKCDAPVPKVRFADIGCGFGGLLVSLSTLFPDVLMIGMELRDKVSEYVKERILALRASNPGQYENISVVRTNSMKYIPNYFEKGQLSKMFFLFPDPHFKEKNHRRRVISIQLLDEYAYALEVGGIIYTITDVEELGDWMRTCLENHPLFEAIPEEDLKADPVVELLTSATEEGQKVARNGGQTFKALFRRIAFKE >DRNTG_32723.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3620577:3623590:1 gene:DRNTG_32723 transcript:DRNTG_32723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPIEREAVLANIALHKTVDYPVIIEIACINSPADLLVVKQAYQA >DRNTG_32723.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3620577:3623590:1 gene:DRNTG_32723 transcript:DRNTG_32723.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPIEREAVLANIALHKTVDYPVIIEIACINSPADLLVVKQAYQA >DRNTG_32723.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3620577:3623590:1 gene:DRNTG_32723 transcript:DRNTG_32723.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPIEREAVLANIALHKTVDYPVIIEIACINSPADLLVVKQAYQA >DRNTG_32723.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3620577:3623590:1 gene:DRNTG_32723 transcript:DRNTG_32723.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPIEREAVLANIALHKTVDYPVIIEIACINSPADLLVVKQAYQA >DRNTG_32201.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001797.1:9247:14550:-1 gene:DRNTG_32201 transcript:DRNTG_32201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGVKDELQRLRRRMERIARVLKDAERRRIQDETVKGWVDELKDLMYDADDIIDLCMIQGTGLLQDDDHHSQLAESSATVSTRVRCNFPLFSCVRSVPVRYEIADKIKSLNDRLAEISEDKDKFNFIISSKSDDAYVRNEASYLQSSFLLESDIVGWDIIDATKCLVDLLVDHHEEKCRLLAIVGMGGIGKTTHAQLIYNDSKINDDFVLQSWICVSKFYTSRTDLLKELIRNVGGTCGESTTVAELQKILCDVLHRKSLFLVLDDVWDADVFRNNGERDIQRMKDIGMQIIEKCDGLPIAIKAIAGVLITKDQNKREWGDVLNSNAWTITGLPEELRGALYLSYESLPLALKHCFLYCSLYPHDHELYCDDLVCQWIAEGYIEASSNASLEDVAKGYYKELICRSFLQPDPNCANMYRCTLHDLLRALGQFFAGDEIFLGDPQEAQCTRSMQKLRHLTIISNRESVSIPHIDRLRNLQLWGPPSLNTHVIGNLKHLRVLLLTGDKIENIPDNIGDLVHLRLLDLEHTCIQNLPDSLGNLINLQFLLLNDCKSLHILPNCITKLYNLRWLRVNDTPLNYVPKGLGKLEHFHHVEGLIIGDSGDDGEEGCTLEEIHMLEKLSYLYVRNLEKSSCKSSSSSVLSSKTQLRKLRLCCTPNTDGHIQQQKMDKIVQVFDDLCPPPCLEDLLIRDFFGGRYPKWMSSTSSNTALQELIFLKLINCSNCPQLPQLKYLSIEGATTVVSIGPEFLGNYGEPTEGAFSKLEYLLFACMSNWEEWSLISGEEDNEPESHKPSMFFPHLKSISICICPKLKSLPRGLNQANIQELEFFGALSLSRISHLPTLRKLLVIHCPMLECVEKLEGHRYWSFLQQVPRFIGYADDQRMHMKYTKEPYYYETNITGVVDAATLKPFVHSMTAVTIDKDMVEGLSSRASVAGHRFTHMFPQELKGKAKMEESPSASGRKRTYSVNPAPEVLLPRPASPAPKVQLFSQVDPSG >DRNTG_30624.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17535688:17556080:1 gene:DRNTG_30624 transcript:DRNTG_30624.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAAISLILGIKTEGIKEGWYDGASIAFAVIIVISVTAFSDYRQSLQFQNLNEEKRNIRLEVTRGGRRIEVSIFDLVVGDIVPLKIGDQVPADGILIAGHSLAVDESCMTGESKIAHKDQKAPFLMSGCKVADGYGTMLVTAVGINTEWGLLMASISEDNGEETPLQVRLNGVATFIGIVGLSVAVLVLGVLLARYFTGHSENADGSPQFIKGQTSVKAAINGVVKIITIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNEMTVVVAYIGGKKIDPLDNVDQMHYTTSSLLIEGIAQNTTGNVFVPEDGGAVEVTGSPTEKAILSWGVKLGMKFDVARSESSILHVFPFNSEKKRGGVAVDAGDSKVHVHWKGAAEIVLASCSSWLDANGLVQTIDNEESTLKKVIEDMAAKSLRCIAFAYGSYGLEIVPNEEERDTWKLPENNLVLLAIVGIKDPCRPCVKDAVQLCTSAGVKVRMVTGDNLQTAKAIALECGILDSEASATEPNLIDGRAFRELSEKGREAIAEKILVMGRSSPNDKLLLVQALRRKGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDDFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMARPPVGRRESLITNVMWRNLIVQALYQVVVLLVLNFGGRSILHLKNETRAHADKVKNTFIFNSFVLCQIFNEFNSRKPGELNIFEGITGNRLFMGIIAITVVLQVIIIEFLGKFTSTVKLDWKLWLASIGIGFISWPLAVLGKLIPVPEIPITEYLQTLCCRRRHEEKAEETSNDHA >DRNTG_30624.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17535765:17556080:1 gene:DRNTG_30624 transcript:DRNTG_30624.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAAISLILGIKTEGIKEGWYDGASIAFAVIIVISVTAFSDYRQSLQFQNLNEEKRNIRLEVTRGGRRIEVSIFDLVVGDIVPLKIGDQVPADGILIAGHSLAVDESCMTGESKIAHKDQKAPFLMSGCKVADGYGTMLVTAVGINTEWGLLMASISEDNGEETPLQVRLNGVATFIGIVGLSVAVLVLGVLLARYFTGHSENADGSPQFIKGQTSVKAAINGVVKIITIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNEMTVVVAYIGGKKIDPLDNVDQMHYTTSSLLIEGIAQNTTGNVFVPEDGGAVEVTGSPTEKAILSWGVKLGMKFDVARSESSILHVFPFNSEKKRGGVAVDAGDSKVHVHWKGAAEIVLASCSSWLDANGLVQTIDNEESTLKKVIEDMAAKSLRCIAFAYGSYGLEIVPNEEERDTWKLPENNLVLLAIVGIKDPCRPCVKDAVQLCTSAGVKVRMVTGDNLQTAKAIALECGILDSEASATEPNLIDGRAFRELSEKGREAIAEKILVMGRSSPNDKLLLVQALRRKGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDDFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMARPPVGRRESLITNVMWRNLIVQALYQVVVLLVLNFGGRSILHLKNETRAHADKVKNTFIFNSFVLCQIFNEFNSRKPGELNIFEGITGNRLFMGIIAITVVLQVIIIEFLGKFTSTVKLDWKLWLASIGIGFISWPLAVLGKLIPVPEIPITEYLQTLCCRRRHEEKAEETSNDHA >DRNTG_30624.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17535765:17556080:1 gene:DRNTG_30624 transcript:DRNTG_30624.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATTICSDKTGTLTLNEMTVVVAYIGGKKIDPLDNVDQMHYTTSSLLIEGIAQNTTGNVFVPEDGGAVEVTGSPTEKAILSWGVKLGMKFDVARSESSILHVFPFNSEKKRGGVAVDAGDSKVHVHWKGAAEIVLASCSSWLDANGLVQTIDNEESTLKKVIEDMAAKSLRCIAFAYGSYGLEIVPNEEERDTWKLPENNLVLLAIVGIKDPCRPCVKDAVQLCTSAGVKVRMVTGDNLQTAKAIALECGILDSEASATEPNLIDGRAFRELSEKGREAIAEKILVMGRSSPNDKLLLVQALRRKGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDDFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMARPPVGRRESLITNVMWRNLIVQALYQVVVLLVLNFGGRSILHLKNETRAHADKVKNTFIFNSFVLCQIFNEFNSRKPGELNIFEGITGNRLFMGIIAITVVLQVIIIEFLGKFTSTVKLDWKLWLASIGIGFISWPLAVLGKLIPVPEIPITEYLQTLCCRRRHEEKAEETSNDHA >DRNTG_09317.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:954065:977202:-1 gene:DRNTG_09317 transcript:DRNTG_09317.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAPNTSTIPNEHKKALEFIEDVTTNAGEVQNRVLSEILKQNSKTEYLHRHGLNGAGDDIASFKKLMPMVKYEDLQPDILRIANGDKSPILCSLPISEFLT >DRNTG_03716.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000173.1:91053:92491:1 gene:DRNTG_03716 transcript:DRNTG_03716.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCTWLGLTPPSWASQEWRCTCSELQLSGSPSPTTKARYDNLETR >DRNTG_03716.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000173.1:91053:92491:1 gene:DRNTG_03716 transcript:DRNTG_03716.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMYWKLGEEPKTKNSLLLAAASTENPNEKGLSGREDGEESLRKKMVSKAEPLRKK >DRNTG_03716.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000173.1:91053:92491:1 gene:DRNTG_03716 transcript:DRNTG_03716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTWLGLTPPSWASQEWRCTCSELQLSGSPSPTTKARYDNLETR >DRNTG_03716.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000173.1:91053:92491:1 gene:DRNTG_03716 transcript:DRNTG_03716.2 gene_biotype:protein_coding transcript_biotype:protein_coding YRDTDKSRQYDSQTPPDPRLDLLLVPSYNCRVHQVPRLRLATTIWKLGEEPKTKNSLLLAAASTENPNEKGLSGREDGEESLRKKMVSKAEPLRKK >DRNTG_09964.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:6508691:6509937:-1 gene:DRNTG_09964 transcript:DRNTG_09964.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADKVDSLPGQPKELEFNQYDGYVRVDAHSGSVLFYYFVKSPQDSLKKPLVLWLKGDLQEVVNPDALALYFFTFMFYHIIYLTMPMPVNVLFIIMP >DRNTG_35325.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1498752:1499624:1 gene:DRNTG_35325 transcript:DRNTG_35325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSTGGDGNAGAFAGTIAPAPRGYAMSGKIMLTAIVVLFSAVLAVLFIHLYARWYLLRRNAVRRQRRRRDRRGHVFAQAQAQAQAPAVAAVKGLDPAVLKSLPVVIFSEEEEEREEEVECAVCLCELMEGEKVRILPKCGHGFHIECIDMWFGFSRYMPSLPRRRGACIRAAGVRGGRGAFNLGNGGGDGIQVSEKRDHHAEKALE >DRNTG_07680.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23260340:23264794:1 gene:DRNTG_07680 transcript:DRNTG_07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHMHQYGGDCSSSSSLSSRNNGTDDDRMIAVVLSEEYAKLDGAVAKRLSSLPSIPHVPRVNTFIPTLSDASLDHQRLLQRINAYGLVEVKVSGDGNCQFRALSDQLYRSPEHHKHVRKDIVKQLKKNRSLYESYVPMRYKQYYKKMSKIGEWGDHVTLQAAADKV >DRNTG_07680.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23260340:23264567:1 gene:DRNTG_07680 transcript:DRNTG_07680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTHMHQYGGDCSSSSSLSSRNNGTDDDRMIAVVLSEEYAKLDGAVAKRLSSLPSIPHVPRVNTFIPTLSDASLDHQRLLQRINAYGLVEVKVSGDGNCQFRALSDQLYRSPEHHKHVRKDIVKQLKKNRSLYESYVPMRYKQYYKKMSKIGEWGDHVTLQAAADKSRKCSSCILQDGTLFQYEAKICLLTSFRDSCFVEIVPRQQPPRRELWLSFWSEVHYNSIYEYRDLPNRYKPKKKYWLF >DRNTG_07680.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23260340:23264567:1 gene:DRNTG_07680 transcript:DRNTG_07680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHMHQYGGDCSSSSSLSSRNNGTDDDRMIAVVLSEEYAKLDGAVAKRLSSLPSIPHVPRVNTFIPTLSDASLDHQRLLQRINAYGLVEVKVSGDGNCQFRALSDQLYRSPEHHKHVRKDIVKQLKKNRSLYESYVPMRYKQYYKKMSKIGEWGDHVTLQAAADKYEAKICLLTSFRDSCFVEIVPRQQPPRRELWLSFWSEVHYNSIYEYRDLPNRYKPKKKYWLF >DRNTG_23275.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001262.1:54845:65832:1 gene:DRNTG_23275 transcript:DRNTG_23275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSRKRDLVDDGDPRGAVSGRYNKSGSSKQLMFALSRSSSDALQRRQGKCPSLMELCVRKICEDIGRYESFFMLPRDVSQQIFDELVRSRRLSETHLEAFRDCALQDIHLGEYPGVKNSWMDVISSQGQSLLSLDISCSDISDSGLCHLKDCSNLQNLIYNYSDQISDRGLEFISGLSNLTSLSFKRSNAITAEGMRAFANLVNLVKLDLERCLKIHGGVVHLKGLNKLESLNIRCCNCITDADMKPLSGLTNLKELQMSCTKVTDAGIAYLKGLRKLTHLNLEGCPVTAACLEFISGFISLLDLNLNRCGLSDDGCEKFADLNNLESLNLDSCKIGDEGLLRLKGLLQLKSLELSDTEVGSNGLRHLSGLNKLESINLSFTLVTDSGLKKLSGLTSLKSLNVDDRQITDAGLSALTSLTGLTHLDLFGARITDFGTNYFRNFKNLRSLELCGGSITDAGVKNIKDLTSLTLLNLSQNGNLTDKTLELVSGLTGLVSLNVSNSRITNAGLVHLKPLKNLRSLSLESCKVTATELKKIQLAALPNLVSVRPE >DRNTG_35265.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3345097:3345676:-1 gene:DRNTG_35265 transcript:DRNTG_35265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIIHKIEEKLHMGGGGGEHKKEEEQHKKVEEHKKEEEKKHHEGSGGGIVEKIKDKIHGGDEEHGEKKEKKEKKKKEKKKKEGDHHGHKSSSDSDSD >DRNTG_07107.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22275101:22281229:-1 gene:DRNTG_07107 transcript:DRNTG_07107.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 1 [Source:Projected from Arabidopsis thaliana (AT5G13680) UniProtKB/Swiss-Prot;Acc:Q9FNA4] MKNLKLSSVFSSVIELQSEHENLLFSAFDIENNRIFFASSTNSIYTLQLPSAQNEGLSGKAYLSLEAEEKALEPDDHITDMEYLMEKEALILGTSDGYLLLHSLDPKATNLVGKVEGGIRSISCSPDGALIAIKGGLGQLLVMTHDWDVLYETTVDVQLHNNVVAGDASNSSIDNFQSPISWRGDGKYFATLARDNDSLMPELKIWERESGTLHATSGSKSFVGTSLDWMPAGSKVAAVYDRKAEEKSPLIVFFEKNGLERSSFTVDGPVETIIKSLKWNSNSDLLAASVSYSDYDALKIWSFSNNHWYLKQEIRYSKEEGMKFTWDPTNPLHLICWNRLGKVNSYNFIWATAVTETTIALVIDGNKVLATPLASALVPPPMSLFHLKFHDAVQDIAFISYDAKSHLAACLSDGHLCIAELPSTDAWEQFEDGEYVVEPSHFDVSLGTFMHLTWLDSHTLLGLAPYQADSFSTASCGDNELDNQKLAHSPGYSLHEIEILCSENSVPGSINSSGWYTKISRSIPLEKFVIGIASNPAKRRSAFVQINGGSILEHSSEMRAGGMSTKSHLGQFDSDCGFTSSCPWMKAILICDHGISKPLLFGLDKDGRLHVGRRIICRNCRSFSFYSNTTVSTKQVVTHLLVVTKQDLLFVIGVNEILHGNPESMVEKYSSLQNHGAESKDYINVWERGAKMVGAIHGDEAAVILQTPRGNLECVYPRKLVLASIINALLEERFKDALLMVRRHRIDFNVIVDYCGQQAFIKSATQFICQVNNLSHITEFISSIKNENILETLYKDYVSLPCRGDFPNGGSENPQSIETQSKVSCVLFAVRTALEEHIPESPARELCILTTLARSEPPALEEALNRIKVTREMELSRVNDDRRKAYPSAEESLKHLLWLTDPEAVFEAALGLYDLNLAAIVALNSQKDPKEFLPFLRELEQLPPAIMRYQIDLKLQRYESALKHIISTGDAHFEDCMNLLKSNPELFPLGLQLLTDLDKRKQVLEAWGDHLFAEKCFEDAATTFLCCSLFQKALKAYRAGSDWRGVLTMAGLLGLQKDEVLQTANDLCEEFQTIGKPSEAAKIALDYCADVDRGVGYFVMAREWDEALRVACAYERDDLISLVKDAALDCAYTLVTEYKERTEKVGQYLSRYLTVRRSRLTLAAKLHSEDRPIGDAEYDTVSEASSSFSGMSAYTRRSKTNSTASIISSTASKVRDIRRQKKKGGGKIRAGSPGEEMGLVDHLKSMSLTESARRDLKSILRALVMTGEVDTAKHVQSVGKNFELSQLAAEKLIEDTVGTNIIDESSHTLEHYLKILQGSPHSQILSWQSNVLI >DRNTG_01327.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:52041:52961:1 gene:DRNTG_01327 transcript:DRNTG_01327.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLSTHRLLSSSHLRSDEVLSLVKVLLRDYSGPGFHLAELRTKFFALSYNIVMRMIANKRYYGDADESSSEAGKEFRDLAKETFSGSAMSNAADLFPVVRWLGIGGQERRLKRLRKRRDTFFQQLVNEHRNMRKCGSRGGEGSPAEKSTVIDVLLSLQESDPDYYDDDMIKGFI >DRNTG_18804.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:398666:402032:-1 gene:DRNTG_18804 transcript:DRNTG_18804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTDLRVLRPLVHLLVPLSLHWIGYDMALPVLVDVITGALCPGQNSCPEAIYLTGIRQTIVGIFKIVVDILLGQLADEYGRKPLLLLTLSAHVVPFAVLAWDQSRPFVYAYFILHTITMIISQGSIFTIAVAYAADVVETNKRAAAFGLMTGLFSATHVLANLLARFLPAEWIFGACFP >DRNTG_18804.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:398666:402032:-1 gene:DRNTG_18804 transcript:DRNTG_18804.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTDLRVLRPLVHLLVPLSLHWIGYDMALPVLVDVITGALCPGQNSCPEAIYLTGIRQTIVGIFKIVVDILLGQLADEYGRKPLLLLTLSAHVVPFAVLAWDQSRPFVYAYFILHTITMIISQGSIFTIAVAYAADVVETNKRAAAFGLMTGLFSATHVLANLLARFLPAEWIFGVSITLLICCAIYAKILLPETLSGSPRPQHFGPSTVFFKILQERWYSMKDTLTLIRSSVTLRGITYITFFYKLGMTGISSVLLYYLKAVFGFNKNQFSEIQLVVDIGSTFSQALLFPLINPLVGEKLILCLALLASIAYALFYGLAWAPWVPYLSASFGVIYILEKASTYAIISKASSSNSQGKVQGFFAGVDALATFLSPLVMSPLTSVFISSEAPFNCKGFSILLASVSLMIALGHAFLLNSEDNERNGENGDATDESLEAPLVTPQS >DRNTG_20798.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28376621:28379327:1 gene:DRNTG_20798 transcript:DRNTG_20798.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKIKIKKIDNATARQVTFSKRRRGLFKKAEELAILCDADVGLIIFSASGKLFEYASSSMCEIIDKHSTQFDAAQKPDQQTLDLLVSQIYHHLIHIYIYIYAHKLNLIYINAH >DRNTG_20798.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28376621:28382503:1 gene:DRNTG_20798 transcript:DRNTG_20798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKIKIKKIDNATARQVTFSKRRRGLFKKAEELAILCDADVGLIIFSASGKLFEYASSSMCEIIDKHSTQFDAAQKPDQQTLDLLTDNSAQAGLKKEYADKNRQLRQMRGENLQELTLEELMHLERTIDIGLTRVMERKGLQIMKQLSSLQQKEMQLLEDNKRLREKMGLCMIDHASVSGLHEDGQYSSESIDTSDTLLRL >DRNTG_15639.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14010292:14016174:-1 gene:DRNTG_15639 transcript:DRNTG_15639.3 gene_biotype:protein_coding transcript_biotype:protein_coding LLLGPPGSGKTPLLLALAGKPDSDFKAMLAEWMSFSHRELISANMMYIGEMTVRNIGSDDCS >DRNTG_15639.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14010292:14020775:-1 gene:DRNTG_15639 transcript:DRNTG_15639.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQCLCANPSTLLDLPTYSTLLQFCINSNAHAQGRALHDHLIATGCTSDLFLNTKLIIFYAKLPDLTAARKVFDTMPQRSVVSWTAMISGYSQNQCPLESLGTFVLMQREGLRANQFTYGSVLKACTDMGCIRSGEQIHGCVAKSRFVEDLVVQSALLNLHLKCGSVGDARCLFGRMEQRDLVSWNSVIGGHVVRGLGYDAFELFWSMMRDDMSPDHFTFGHVLRACAGIKNLEIVSQIHSFIIKSGYGNHCVVTGSLIDAYAKCKCMTNASLLYRSLLEHDLVSCTALISGYSQEKNFSVEALELFYKLNQIGMRFDDVILCSMLNICANGALLSLGRQIHACISKSQSDYDVVLGNALIDMYAKAGEIENAHLVFDGMRYKNVISWTSLIDAYGKHGYGEVAISLFVQMEECGLKPNDVTFLSLLFACSHSGLTSKGMDYFHSMVRKYGVHPRAEHYSCVVDLLARGGLIKEACDFVHRNKIEPTTSTWGAMLGACRTHGYVAWGEASASYLFHLEPDRSVNYVILANIYAAAGLWENASNTRKLLDERSMKKDAGCSLI >DRNTG_15639.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14010292:14019486:-1 gene:DRNTG_15639 transcript:DRNTG_15639.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDHFTFGHVLRACAGIKNLEIVSQIHSFIIKSGYGNHCVVTGSLIDAYAKCKCMTNASLLYRSLLEHDLVSCTALISGYSQEKNFSVEALELFYKLNQIGMRFDDVILCSMLNICANGALLSLGRQIHACISKSQSDYDVVLGNALIDMYAKAGEIENAHLVFDGMRYKNVISWTSLIDAYGKHGYGEVAISLFVQMEECGLKPNDVTFLSLLFACSHSGLTSKGMDYFHSMVRKYGVHPRAEHYSCVVDLLARGGLIKEACDFVHRNKIEPTTSTWGAMLGACRTHGYVAWGEASASYLFHLEPDRSVNYVILANIYAAAGLWENASNTRKLLDERSMKKDAGCSLI >DRNTG_32834.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8379387:8384169:-1 gene:DRNTG_32834 transcript:DRNTG_32834.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNSRNGGRIYHVGGERGRSHKVTNKNSQP >DRNTG_17123.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12057610:12058568:1 gene:DRNTG_17123 transcript:DRNTG_17123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSFLFCVVMATQSCFRRRKPAQRSKGGIYQKPTRDTETPSRALLCNLFNEREKKKNFCQTPRWCTSWVQSLFPNTSCSVPNRIADYVDDLPAMGRYACSAATHKWLMEDIPQAATRVQDRCAGKKKNTRTGKKVRFGKIPRMLCYGESTYRKQVTIETTLSSLEEKEFPRWFRESSRRNICWDQPTDGCYCSGTTYSKA >DRNTG_04281.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9045744:9048489:-1 gene:DRNTG_04281 transcript:DRNTG_04281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVWEGLQRYWELEEFKRISEKNKQNRAEIGSSSTVIYHGGSVSTVVHRLRLAEELGREPTPKKCFIRTHGRKDGTLEAGRATEIVGLYEPSCSKAISSTFGCMPENQGVDKDSINQDELWDEIAIGSRNRVVGKGNIIRQISSSNYKPRSGPSESTEQLRNKVKELQEELARSRAKADAELARRESQTHADEHSPMLKRVRVSQSTNNSLDDFEDDVGQD >DRNTG_03986.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25661213:25666011:1 gene:DRNTG_03986 transcript:DRNTG_03986.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDVSRWSANREGLGDRREDDPGGVGGGGVDGEGANGAGLIGPGGVGGGRAVV >DRNTG_03986.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25665192:25666011:1 gene:DRNTG_03986 transcript:DRNTG_03986.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDVSRWSANREGLGDRREDDPGGVGGGGVDGEGANGAGLIGPGGVGGGRAVV >DRNTG_03986.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25661213:25666011:1 gene:DRNTG_03986 transcript:DRNTG_03986.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDVSRWSANREGLGDRREDDPGGVGGGGVDGEGANGAGLIGPGGVGGGRAVV >DRNTG_03986.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25661213:25666011:1 gene:DRNTG_03986 transcript:DRNTG_03986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDVSRWSANREGLGDRREDDPGGVGGGGVDGEGANGAGLIGPGGVGGGRAVV >DRNTG_03986.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25661213:25665290:1 gene:DRNTG_03986 transcript:DRNTG_03986.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSIMSNQLAKSPQNNYRSLCHHPQRKTCHSLWL >DRNTG_03986.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25665433:25666011:1 gene:DRNTG_03986 transcript:DRNTG_03986.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDVSRWSANREGLGDRREDDPGGVGGGGVDGEGANGAGLIGPGGVGGGRAVV >DRNTG_17602.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:9016731:9017244:-1 gene:DRNTG_17602 transcript:DRNTG_17602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGTELVDAYSSNTTIASSLRKEVHDLSLDRVLVLVWLTILLDQLLIIALVLTPSSPIYHWKNHISFDLHVLSMPPMFILSQDQTLHEIYSCTYSFLVHG >DRNTG_18412.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4108524:4110199:1 gene:DRNTG_18412 transcript:DRNTG_18412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVLHIPQIPQALKTSTPATSPDPN >DRNTG_18412.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4108524:4110199:1 gene:DRNTG_18412 transcript:DRNTG_18412.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESLKKFSKHSEGSKFGKRIRGKTQDHHLKP >DRNTG_18412.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4108524:4110199:1 gene:DRNTG_18412 transcript:DRNTG_18412.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVLHIPQIPQALKTSTPATSPDPN >DRNTG_02674.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18695249:18697018:-1 gene:DRNTG_02674 transcript:DRNTG_02674.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASWNSVEMEIAYQVLGWLAFFSWSFSFYPQVILNFKRKSVVGLNFDFLVLNLTKHSSYLIYNAGLFFSKAIQRQYHNKYGHDQRGHQKVSKTCISITSVIWLSALVCVIVAWPKHSWLWLVSVFK >DRNTG_02674.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18693491:18697018:-1 gene:DRNTG_02674 transcript:DRNTG_02674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWNSVEMEIAYQVLGWLAFFSWSFSFYPQVILNFKRKSVVGLNFDFLVLNLTKHSSYLIYNAGLFFSKAIQRQYHNKYGHDQRGHQKVSKTCISITSVIWLSALVCVIVAWPKHSWLWLVSVFNTIQVVMTAIKYTPQV >DRNTG_09886.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:180650:181084:-1 gene:DRNTG_09886 transcript:DRNTG_09886.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSIVLWRVLYSSSKDSFVQYRIRLDRSSPTNLLTNGITMAGIGQLHGCGRYTRLWRISTRAWIISTRPCGFSVSLVSRPVVNSVATMIATVLSFGLQPE >DRNTG_12732.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25667283:25677374:-1 gene:DRNTG_12732 transcript:DRNTG_12732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEAHVLFLLRKYLGEYVEGLSNEALRISVWKGDVVLKDLKLKAEALNNLKLPVTVKAGFVGTITLKVPWKSLGKEPVVVLIDRVFLLAHPAPDGQSLKDEDRERLFEAKLQQIEEAELAIIEARRTKAGAPPVGNSWLGSLIATIIGNLKVSISNVHIRYEDSISNPGHPFCCGVTLSKLAAVTTDELGNETFDASGALDKLRKSVQLQRLAAYHDSDSTPWKPNKKWEDLNPTEWAEIFQDGIDELRSGRDSIWAMNRRYLVSPINGVLIYHRLGKQERHNADIPFERALLVLSDVSLTVSE >DRNTG_09829.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000414.1:107359:112079:-1 gene:DRNTG_09829 transcript:DRNTG_09829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIKEVVEELQARYEFLEDKWEDEAEFIKLMVTDGCFMLEVLRFDSKSPQTHHTRNDPIFSVDAAQHKLPYIRRDMLMLENQLPLLVIKVLIHAEKADQCCSRMYTDQEINNLVFKYLRMEKDMKDKVPTLGLHILDLYRTGMIQSHAGGKGIETQPLPTARKLHESGVSFRAFKADEGKKIKDISFKIKWHPQSATPHH >DRNTG_09829.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000414.1:111315:112079:-1 gene:DRNTG_09829 transcript:DRNTG_09829.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIKEVVEELQARYEFLEDKWEDEAEFIKLMVTDGCFMLEVLRFDSKSPQTHHTRNDPIFSVDAAQHKLPYIRRDMLMLENQLPLLVIKVLIHAEKADQCCSRMVRIRIYIYDQIFRII >DRNTG_33056.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:12674470:12680125:-1 gene:DRNTG_33056 transcript:DRNTG_33056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQESIDVACFPSSVVEWVVLWLKKGKVLALYDSKIEPLRDTIIRKQLASLVAMETGQTVLKLNVRDLELNNSHANDGGPFLGQSYKIFSNSALGLDFFGVFAMAPTINTNNSAASCTIFLGEGTQVKLPYASVYTGVAGAEIKFGTVSEYVNFVPSPPSSPTGIDVPRLPSRGRHPHVILPAEVEEGVAAAKASVFDLLTFPKKVMNIKGGEDEEEHSFMITARGEESGIFKKPKATPTKSFMMGCPRLTKRKIPSPLEKASFVSFQDSGNGSSNSFTNPFTLLRMVKENSTTGELRSSQNSIMKKQAYKRTTPSAHRSRFQWIWKPNKEMEDLEVHTVRVITEGSEHPPEPERPFTRLRKKTTFGKSGMQQDDFDQVLQESAQERAKSFAQAARFETPPRRNNDSSEEEDDNHIFTSNKDPVFARLKKQLDQREKDHISMMQTVASLTGVISLALQEEILWGQDARGISKGMQTEGGFQVPKEPAPLGVPEGLWEIFISKKSRKMLKKLGELPGIKWRRAQEPDEKPKQKAKKNKKIKNKKKKHKQVKPAEFHHKKSIIEEYIDSLEDYQQKERALITLGDYFFLMRSRSYS >DRNTG_35038.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:13732828:13733774:1 gene:DRNTG_35038 transcript:DRNTG_35038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSPSLSSSSSSEDSEISDSELDLYEQKYLHMLKTTNPRIKNPDGTLRCPFCAGKKKQGFIYKDLLQHATGIGASSARAPKLRATHLAFARFLQTELSDAAGPSAHLPPPKPPRRSDDDRFAWPWTGILVNVPINEESRMELERRLAGFSPVSMLPLRNVSDQENESSMGSVVVEFSKSWSGLKDAMAFENQMNASRFGKRDWEENGDRKEGFYGWIARGDDYESESRVGEYLRAHTELRTIPDVAKEESRNSGKLVAILSDEIEAKNENLRNLECKYNEIAMARQRVMEDKDKIHQAYNE >DRNTG_28130.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19534655:19537196:-1 gene:DRNTG_28130 transcript:DRNTG_28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLAFIHGLLMVECCLVIIAMGARMQQKSEAGNPIQTLSPPEGNTTFVEGTTWCIALPGVSQADLQKALDWACGSGNTDCSPVRQGGPCFEPDNIVSHASYAFNNYYQQNGNSDIACNFGGTATIINRNPSYGSCVYPSSEQTSESLQLSSRSIWRIWGTLLMVLCLNVFALM >DRNTG_27456.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10149948:10154949:-1 gene:DRNTG_27456 transcript:DRNTG_27456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRQPESAPHQRRSFHPRHPVTSRYAETPCPNRNLACVLCKSDSSLAETPLPARLPTLQAYLVPYLAAYTILDSARSLQPARSHTASVSLPYQERPDPFTPFLLERSYDIRHQFSLEESYWGSFHLHRFGKVNPRLDKRVLGEGEASPQDHRHGRQRGMDGVLRGGIDGVTESGVEKLKFRSNIDDIIKMWRSEMSALAAVSSGLAVGELASAMLVVSPNQE >DRNTG_28646.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4151267:4154323:-1 gene:DRNTG_28646 transcript:DRNTG_28646.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVLSDGGVLRSGDGGLDQGGRDLGGGWQGAQHGILRGGGIRTVIVAATGVEGFRNGDRGGGGGT >DRNTG_28646.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4151267:4151748:-1 gene:DRNTG_28646 transcript:DRNTG_28646.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVLSDGGVLRSGDGGLDQGGRDLGGGWQGAQHGILRGGGIRTVIVAATGVEGFRNGDRGGGGGT >DRNTG_28646.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4151267:4161553:-1 gene:DRNTG_28646 transcript:DRNTG_28646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVLSDGGVLRSGDGGLDQGGRDLGGGWQGAQHGILRGGGIRTVIVAATGVEGFRNGDRGGGGGT >DRNTG_28646.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4151267:4154323:-1 gene:DRNTG_28646 transcript:DRNTG_28646.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVLSDGGVLRSGDGGLDQGGRDLGGGWQGAQHGILRGGGIRTVIVAATGVEGFRNGDRGGGGGT >DRNTG_01712.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23625722:23627652:1 gene:DRNTG_01712 transcript:DRNTG_01712.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNNRPFAGGHHRPNYFPPNPSFAPPFNPNIQTPNFSIQNPSFTTPFNPNIQIPNFSIQNPFFQLNHLLNSCLPNPSVPLHSNPSPSPQVTLEKVDSAAVKARDDIVHAGDSVSAWKVSQAVLVQLKVDSWSSLGFHLLDVPSIRHLTAIEGKVNAFIHCFVNSRGITSLYDLELAICKNEGIELFEELGLGPLVRHPLVEHYFSVPPNVTEVFKITSEDIICYLQEFMGKNKRKMIMVQEFLDFIAVQKAVKCKEMLGVRIRSLGLHVSYIREARKAEITALRTSNIIRKNCNVGASSVESDYPQPLGRLTQKEILDKRFGFISKRMKLFSSSWSGFGAKHIHFDSSDDDNNRHYNNDGIDVDVDVDVDDDNDDDDDDDDDDDACQYQNSSTGKGSGQSVSSCPYPSTTEEMARLRLKAGSVKKPSPGNGIIREKGGQGLSGRKRKFEEKKGNAIYPKKLQDKHRNSDSNLYRMKYGDLILPCGDIAKFVGMWKEACQERTIFE >DRNTG_07428.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7094774:7097686:1 gene:DRNTG_07428 transcript:DRNTG_07428.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FATTY ACID EXPORT 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57280) UniProtKB/Swiss-Prot;Acc:Q93V66] MAAALRLGSGIGARRSLPFVHGLCFSSSSSSSSSSRVSFCHVLGRDRRGLNGPKFAAYMCVNGSSPKVTGFEANNTAMKHRDEDGAEVGINEIPKPYQGFEPADEKSDKDAYAESFVQRKRSAKLHDFCLGIPFGGIVFGAGLIGSLFSRSLSPLVAGTLFGGAILVLSTCSLKIWRQGKSSLPFILGQAAIAAAFLRKHYQVYSLTKNAFPSGFFILMSAAMLCFYSYVLIAGGNPPPKKLAANAST >DRNTG_35091.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1431571:1433378:1 gene:DRNTG_35091 transcript:DRNTG_35091.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGLPPPPPHLRRPPPRQTPRLRPHFSSNHQTPQHTPSS >DRNTG_35091.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1431342:1433378:1 gene:DRNTG_35091 transcript:DRNTG_35091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGLPPPPPHLRRPPPRQTPRLRPHFSSNHQTPQHTPSS >DRNTG_06464.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:540024:542442:-1 gene:DRNTG_06464 transcript:DRNTG_06464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQKPLIVVVKHYNKMNQRMPPIYVKLYTYQIFRALAYIHGSIGVCHRDIKPQNLLVNPHTHQLKL >DRNTG_06464.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:540164:540945:-1 gene:DRNTG_06464 transcript:DRNTG_06464.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQKPLIVVVKHYNKMNQRMPPIYVKLYTYQIFRALAYIHGSIGVCHRDIKPQNLLVFLCL >DRNTG_13278.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2649217:2654184:1 gene:DRNTG_13278 transcript:DRNTG_13278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSQRRLSSTVEVPGRDADSDDRRRHRENHPISRSPSPLPRSRSPDYRRWSPYNDRPSRYSKPSRRYDDGAPSDEDLQGLSFFEARRIKRIRLRKNRYRCLWRVTPSPPRAEWEEPQSEFSDDPDAVVKEEEEEEKRERSRSRGSVDESASDTENSGSDSESESPSPRKRRDRRRRTRTTKRRSRKESSSEMDSGSESESSYESFSEEEDRKRKKRSRRSRSSKKSSGRKSSRKRRLTSSDESEELEKKRLSKGIKKSKRPKSESPGESDEEAQPIESSIKMPEIDPEALMFKEMIESQKKPALENEPFVGPAPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSAEEIQKFESLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKAKREHKVMADLHRLVQRHIGQDMGPTHDPFAPKASDAADS >DRNTG_31349.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2916669:2918433:-1 gene:DRNTG_31349 transcript:DRNTG_31349.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSIKGEHKGHAVLIPYPAQGHITPMLKFAKLLHSSGFQITFVNTEYNHRRLLKSRGYSSLHLLEDFIFEKFPDGLPPSDEDTTQDIPSLCASLAVNCSSPFKDLIRRLSSPVTVIVSDVYMGFTSEAAEQFKIPLVLFWTASSCGFMGYWHYRDLIERGLIPLKVESDLTNGYLETKLDWMVGVKNMRLKDLPTFMRTTDINDIVLNYVLRDFERIPKASAFIINTVKDIDLQILQTMSSILTMPVYPIGPLSLLTRKLPKSPLSSIGSNLWKEDMSCLEWLEGKDTSSVVYVNFGSVTVMTSRQMVEFAWGLAKSGRDFLWVIRPDLVRGDNAVLPQEFLTMTQGRRMLTSWCPQEEVLMHEAIGGFLTHGGWNSILESICGGVPMLCWPFFAEQQTNCRHVSCEWGIGMEIDEHVKRDDVERLIRELMSGEKGKEMKYKVVRLKEFAWRACEDGGSSLLEMESLVNDLIQLNN >DRNTG_31925.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2448952:2472296:1 gene:DRNTG_31925 transcript:DRNTG_31925.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYEAVYGRAPPTLLDYVGSGITVGAVHNMLSDRTRVMTTLRGNLLRAQQRMKMKANTKRTDTAFKVGDWVFLKLQPYRQRSLTQQPSHKLSKRFFGPFKILQNIGPVAYRLELPETAQLHNVFHISKLKLCRGDPTVQQLPLPGEFREHQPVFQPVSILQSRLILLRGQPVKQYLVQWENHSAVDATWVTAQELRQNFPHF >DRNTG_06317.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6982817:7004455:1 gene:DRNTG_06317 transcript:DRNTG_06317.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKLTTVELRERLDRTLSSPDLMNEESLRSLVKDQLLRSPFCGTEGDFVNVVEKRTAEVRRFLEMLTSSSVTEKDTSKVNRASHKDWKVKQDTDKLRVMYREGPDDTPFHTLLAEGQVDGPADVCLCVSWESTLYRKWWPQYNIPPFKIALSSCLQKVRIGEDISLIRVKVPWPVSDREALLHYFEIEYFKEDLIFVLLNTISDAEDIDMSTHGFSKDGIPEAKDIVRVDLVGGFVLQKANSNKSYFRTIATMDIKLDFVPPWLINFISRQLIGNGYKLYNKAVGTVATSDESYRLALEGPMYARIRDALVKNKNHGSPLTGVGYDEAIHPPGENTDPPGENKGETLNSVAPVSDTTFVSEIVEEETGETPSFKGNQIPCGPLADLITEKHPVNRERALISPEVEIALHVVDKAIAIVRNRGSYDKHSNAFLSSELNHPTPETQAEVRYNSAYDPLPAVSSTDGHPKASGSRVEDLRDDCLGSASIRVNGGQQLSSLEDGYKLEDGESRISTHEKKSVEGMIIGRQPPFLDSMSKICDERSLKANGSHNAAPFVGGKPKKGGTRMKSICCFSMQRS >DRNTG_06317.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6982817:7004455:1 gene:DRNTG_06317 transcript:DRNTG_06317.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHGFSKDGIPEAKDIVRVDLVGGFVLQKANSNKSYFRTIATMDIKLDFVPPWLINFISRQLIGNGYKLYNKAVGTVATSDESYRLALEGPMYARIRDALVKNKNHGSPLTGVGYDEAIHPPGENTDPPGENKGETLNSVAPVSDTTFVSEIVEEETGETPSFKGNQIPCGPLADLITEKHPVNRERALISPEVEIALHVVDKAIAIVRNRGSYDKHSNAFLSSELNHPTPETQAEVRYNSAYDPLPAVSSTDGHPKASGSRVEDLRDDCLGSASIRVNGGQQLSSLEDGYKLEDGESRISTHEKKSVEGMIIGRQPPFLDSMSKICDERSLKANGSHNAAPFVGGKPKKGGTRMKSICCFSMQRS >DRNTG_06317.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6982817:7004455:1 gene:DRNTG_06317 transcript:DRNTG_06317.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKLTTVELRERLDRTLSSPDLMNEESLRSLVKDQLLRSPFCGTEGDFVNVVEKRTAEVRRFLEMLTSSSVTEKDTSKVNRASHKDWKVKQDTDKLRVMYREGPDDTPFHTLLAEGQVDGPADVCLCVSWESTLYRKWWPQYNIPPFKIALSSCLQKVRIGEDISLIRVKVPWPVSDREALLHYFEIEYFKEDLIFVLLNTISDAEDIDMSTHGFSKDGIPEAKDIVRVDLVGGFVLQKANSNKSYFRTIATMDIKLDFVPPWLINFISRQLIGNGYKLYNKAVGTVATSDESYRLALEGPMYARIRDALVKNKNHGSPLTGVGYDEAIHPPGENTDPPGENKGETLNSVAPVSDTTFVSEIVEEETGETPSFKGNQIPCGPLADLITEKHPVNRERALISPEVEIALHVVDKAIAIVRNRGSYDKHSNAFLSSELNHPTPETQAEVRYNSAYDPLPAVSSTDGHPKASGSRVEDLRDDCLGSASIRVNGGQQLSSLEDGYKLEDGESRISTHEKKSVEGMIIGRQPPFLDSMSKICDERSLKANGSHNAAPFVGGKPKKGGTRMKSICCFSMQRS >DRNTG_00136.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2332264:2333473:1 gene:DRNTG_00136 transcript:DRNTG_00136.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGVERKDFNSYGSRRGNDEVMARGTFANIRLVNKLLKGEVGPKTIHIPSGEKLSVFDAAMRYKTEGCATVILAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGHERYTVNLPSNVSDIKPGQDVTVTTDSGKSFTCTLRFDTEVELAYYNHGGILPYVIRNLTGSKQ >DRNTG_08235.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000373.1:40801:41735:-1 gene:DRNTG_08235 transcript:DRNTG_08235.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGSSQRKHVQWRWLLCPMWCFSLHLFLLRLRNRLRSLCCVTFPIFGGAHG >DRNTG_01176.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29171141:29198558:-1 gene:DRNTG_01176 transcript:DRNTG_01176.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NLE [Source:Projected from Arabidopsis thaliana (AT5G52820) UniProtKB/TrEMBL;Acc:A0A178UNZ3] MSLTGHTLAVTCVKWGGDGMIYTSSQDCTIKVWETTQGKLIRELKGHGHWVNSLALSTEYTLRTGPFDHTGKTYSSPEEMKAAALERYDKMKGNAPERLVSGSDDFTMILWEPSVSKHPKARLTGHQQLVNHVYFSPDGQWLASASFDKSVKLWNGITGKFVASFRGHVGPVYQISWSADSRLLLSGSKDSTLKVWDIRTHRLKQDLPGHADEVLFPFLQFHYSSLYCQMP >DRNTG_01176.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29199259:29199941:-1 gene:DRNTG_01176 transcript:DRNTG_01176.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:NLE [Source:Projected from Arabidopsis thaliana (AT5G52820) UniProtKB/TrEMBL;Acc:A0A178UNZ3] MADVKMMEAGEMQGSETSSGNNVMCLLTDPEGEPLGSSLYLPQNVGPPQLQEIVNKLLSNEERLPYAFYISDQELVGQLGAYLQKNKVSVEKVLRIVYQPQAIFRIRPVNRCSATIA >DRNTG_01176.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29171141:29199941:-1 gene:DRNTG_01176 transcript:DRNTG_01176.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NLE [Source:Projected from Arabidopsis thaliana (AT5G52820) UniProtKB/TrEMBL;Acc:A0A178UNZ3] MADVKMMEAGEMQGSETSSGNNVMCLLTDPEGEPLGSSLYLPQNVGPPQLQEIVNKLLSNEERLPYAFYISDQELVGQLGAYLQKNKVSVEKVLRIVYQPQAIFRIRPVNRCSATIAGHTEAVLSVVFSPDGRSLASGSGDTTVRLWDLNTQTPLFTCSGHKNWVLSIAWSPDGKYLISGSKAGELIIWDPQTGRQFGSPLTGHKKWITGISWEPAHLQAPCRRFVSSSKDGDARVWDVSLRKCVMSLTGHTLAVTCVKWGGDGMIYTSSQDCTIKVWETTQGKLIRELKGHGHWVNSLALSTEYTLRTGPFDHTGKTYSSPEEMKAAALERYDKMKGNAPERLVSGSDDFTMILWEPSVSKHPKARLTGHQQLVNHVYFSPDGQWLASASFDKSVKLWNGITGKFVASFRGHVGPVYQISWSADSRLLLSGSKDSTLKVWDIRTHRLKQDLPGHADEVFAVDWSPDGEKVASGGKDRVLKLWMN >DRNTG_28929.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22117277:22118808:-1 gene:DRNTG_28929 transcript:DRNTG_28929.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARLLLRSRLASLLSHHRPQSQGLALPFQKRNVVRGIHETVNISDEDLNDPKTSYNDSNSPSTSVQMADTTSMKDPNVKFTAFSNLKPSARHDMVMVFTCKVCETRSVKTLCRESYEKGVVVARCEGCSNLHLIADRLGWFGEPGSVEDLLAAQGQDVRKGSIDTLNLTLEDLIGTMKSS >DRNTG_33290.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23805435:23808508:-1 gene:DRNTG_33290 transcript:DRNTG_33290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAPHTAKLSESKRNIIEADGSAKFVYQVWKGNNRFLLGGRLIFGPDVKSMVLTVFLIVIPMILFTVFVSQRLINEFPHHRGYLIMAIGVVFTIYVLFLLVFTSARDPGIIPRNAHPPEPDNDTESELVGNQRGSLSLPPTKDALVNGITVKVKYCHTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRFFFMFVSSTTLLCLYVFTFCCVNLKKIMDTNECSLWRAILRSPFSAILILYTFVAAWFVGGLTAFHLYLISTNQTTYENFRYRYDKKTNPYNQGWMRNFMETFFSEIPSSKNDFRATVKNHSTPFSSLPPGQVSSPPKTSVDLEMGGKRHAVAEEELEDIQSEIGSMRVSEGCDPQPSHLIITESKTNREQATLDDIQALTAEINTENGKMTES >DRNTG_33290.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23805435:23808508:-1 gene:DRNTG_33290 transcript:DRNTG_33290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAPHTAKLSESKRNIIEADGSAKFVYQVWKGNNRFLLGGRLIFGPDVKSMVLTVFLIVIPMILFTVFVSQRLINEFPHHRGYLIMAIGVVFTIYVLFLLVFTSARDPGIIPRNAHPPEPDNDTESELVGNQRGSLSLPPTKDALVNGITVKVKYCHTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKVSLILLYFFIMQYLILFMVRYNRIKDALQLKHTHPPVYLRLCTMFCKPRVK >DRNTG_02949.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1371713:1379615:-1 gene:DRNTG_02949 transcript:DRNTG_02949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIFDPSAVRHQSYDRKQKSLGLLCSNFVKLYDREGVDTVGLDDAARQLGVERRRIYDIVNVLESVGILAKVGKNRYSWIGFSGIPDTLHELKEEALREMPGSAVDLASLEPEGLDDVGDQNPKSSQKFLGSKSLESLEEKKASLTPSCCTTSSSITSKSVTNDNKKEKSLGQLTQNFVK >DRNTG_33471.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4398051:4398947:1 gene:DRNTG_33471 transcript:DRNTG_33471.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLMGIILFPITSCLVPNWIVDYIDDLPSMGRYAWAQFPELVPTNADEDIFVWANRLVDAIAPEPLARRQDERSTSSVHARRRSPTYSPTRARIPQRKRSPLLPHQIATTPDKDNDSPLITTAPDPGSSPDGTRR >DRNTG_01438.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8275057:8276028:1 gene:DRNTG_01438 transcript:DRNTG_01438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRVLYSSSKDSLVRYRIRLDRSSLTNLLPNGITINCYSIMLQSSSRITSRFHTFIRVGLLECDCLCAPLNGCAHSNARRTTPKTCQKPLKTLADGLSKDGERLERRVEDSP >DRNTG_18519.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:13436128:13440997:1 gene:DRNTG_18519 transcript:DRNTG_18519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRRRKLLGLCSGKKSFPVELPKHAEIGSVTETPKQYVRSFSVHPMPLVDSFDDKQMDIAQTHPGSSSVILSGSCKEEPDHCFPVEKHIKHRKRHRRKHYNDQEPCMMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAAKLYDRAAFMCGREPNFELSEEDKLELSQYNWEEFLSMTRNAITSKKQQRRFCSARGRKLEAGPGALMSQSMSLSDDGDVDSYFL >DRNTG_18519.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:13436128:13440997:1 gene:DRNTG_18519 transcript:DRNTG_18519.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYFPVGKQSAMVSLRRRKLLGLCSGKKSFPVELPKHAEIGSVTETPKQYVRSFSVHPMPLVDSFDDKQMDIAQTHPGSSSVILSGSCKEEPDHCFPVEKHIKHRKRHRRKHYNDQEPCMMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAAKLYDRAAFMCGREPNFELSEEDKLELSQYNWEEFLSMTRNAITSKKQQRRFCSARGRKLEAGPGALMSQSMSLSDDGDVDSYFL >DRNTG_18519.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:13436128:13440997:1 gene:DRNTG_18519 transcript:DRNTG_18519.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVDSFDDKQMDIAQTHPGSSSVILSGSCKEEPDHCFPVEKHIKHRKRHRRKHYNDQEPCMMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAAKLYDRAAFMCGREPNFELSEEDKLELSQYNWEEFLSMTRNAITSKKQQRRFCSARGRKLEAGPGALMSQSMSLSDDGDVDSYFL >DRNTG_34848.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2432917:2437171:-1 gene:DRNTG_34848 transcript:DRNTG_34848.3 gene_biotype:protein_coding transcript_biotype:protein_coding KIASDIANALPEGKLKQVVLEVENIAEQIDDGAEKIEEFLDKVEKIEDKVEDLVEPITEEGKNKEGESKVQNEASPKTDLPKTTSEPNDK >DRNTG_34848.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2432862:2437171:-1 gene:DRNTG_34848 transcript:DRNTG_34848.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQNTEALGISGVEYGGIPLAGVEKIEDKVEDLVEPITEEGKNKEGESKVQNEASPKTDLPKTTSEPNDK >DRNTG_34848.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2432862:2437171:-1 gene:DRNTG_34848 transcript:DRNTG_34848.1 gene_biotype:protein_coding transcript_biotype:protein_coding KIASDIANALPEGKLKQVVLEVENIAEQIDDGAEKIEEFLDKVEKIEDKVEDLVEPITEEGKNKEGESKVQNEASPKTDLPKTTSEPNDK >DRNTG_34848.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2432862:2433128:-1 gene:DRNTG_34848 transcript:DRNTG_34848.5 gene_biotype:protein_coding transcript_biotype:protein_coding VEKIEDKVEDLVEPITEEGKNKEGESKVQNEASPKTDLPKTTSEPNDK >DRNTG_01539.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000086.1:668:4634:1 gene:DRNTG_01539 transcript:DRNTG_01539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINTIKEIECKSNESIKKPPRWLCRWSCGESSTRRPKIPSSGIGYASIEAPLPTFFLMESQCRSRRTSPKPWPIPHETLAKVLSKVGKKMEKRMLKSGLK >DRNTG_02908.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23602574:23604414:-1 gene:DRNTG_02908 transcript:DRNTG_02908.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSHLHSSSSSSPPDPPLTNIPSHNHNRHLCMSVTYTSSRPHEILTLYSRSWKLIYRTRQLLLTNTIEALGVGFLLGTIYINMGYTKQGIDKRLGLFAFTLTFLLSSTTETLPIFVGERPILLREASSGLYRLSSHLFATTMVFIPYLLCISLLYSASVYFLVGLCFTWEAFSCFVLIVWVVVLTANSFVLFVSSLAPDYIAGTSLVMVSLAGFFLFSGYFISMGSMPSYWLFAHYLSPYKYALDALLENEYGCAAARCFEREDGVSTCMVTGADVLARRGLKEGQRWVCIEVLFGFFFVYRLLYWLVLSRRAS >DRNTG_07223.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14069485:14077486:-1 gene:DRNTG_07223 transcript:DRNTG_07223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVYSSKNINTSMKTQFKKLKTFEGGQVLLDKLILLNFTGVSGPIQFDSSHSLVSGVYEIINIVKSSIHTVGYWTNQSHLSLVLPKNLYENMQRNYSTNESLGPIVWPGGGTRKPRGWVVADNQRPLRIGIPHRHSYVDFVKVMTGSPNPTGYCIDLFEAAIKLVPYNVPYKFVPFGDGHSNPNYDKLIDMIFENVIDAAVGDIAIVANRTKVVDFTQPYISAGLVIVAPVDSIKSNVWVFLRPFSVGMWCVTGAFFLLIGLVIWILEHRVNKDFRGPPKRQLVNMLLFSFSTLFNSHQEETTSTLARMVMMIWLFLLMVITSSYTANLTSFLTVQQLSSPIKGIDSLIASNQPIGFQEGSFAQSYLRDVLNIDQSKLVSLGSPEAYEKALLLGPNDGGVAAIVDELPYVELFLSQRKGFGIIGLPFTKSGWGFAFPRDSPLAADLSIAILKLSENSELQKIHQKWFCKSSCIVHTSSSSNPDELHFTSFWGLFLLCGIAIVASLLLFLIRAICQYVRFREIRRDLPSTEEPSSSKSCSLPIRNFFDFIDEKEEAIKNMFKQQKNQAQPQPI >DRNTG_04107.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3567316:3569028:-1 gene:DRNTG_04107 transcript:DRNTG_04107.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPILLLFLLVTISLALLINQRERIRIRKLPPSPPRIPILGNLLWLTKPFSQLETTLHHLRAKYGPIFTLYIGSRPVIFIMDGALASRSLIQCGEVFADRPPPLSTSALNPNLHSINNTSYGHLWRLLRRNLISEVFYPLKSKKLSGHVQHMALDILLKRLKNEAEANGGVVVPVHSIQHCVSFFMTSLCFGMTLEEKVVDKIKNVQLELLAVLENHFVFGLLPKAALLLYWRRFGKLKQLRRVHEELLIPIIRARKQGEKNTHDMISYVDSLLKLKVPADGVGNMRELSEEDIVNFISEFLDASIWSSAASLEWIMANIVKHQDIQKKLRKEIRSVVGDTKRRIEEDEIRRMPYLKAVILEALRRHSPTHFSIPHSVKEDVIMDKYLIPKGTVVNYSVTSIGLDGRVWKDPLEFRPERFMAGGEGEGVDVNCGKRDIKMMPFGAGRRICPGSDMAVLLLQYLVANLVNEIELNAVEGMEVDLAANGEIFAAMKNPLHARIVNTA >DRNTG_04107.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3551893:3569028:-1 gene:DRNTG_04107 transcript:DRNTG_04107.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPILLLFLLVTISLALLINQRERIRIRKLPPSPPRIPILGNLLWLTKPFSQLETTLHHLRAKYGPIFTLYIGSRPVIFIMDGALASRSLIQCGEVFADRPPPLSTSALNPNLHSINNTSYGHLWRLLRRNLISEVFYPLKSKKLSGHVQHMALDILLKRLKNEAEANGGVVVPVHSIQHCVSFFMTSLCFGMTLEEKVVDKIKNVQLELLAVLENHFVFGLLPKAALLLYWRRFGKLKQLRRVHEELLIPIIRARKQGEKNTHDMISYVDSLLKLKVPADGVGNMRELSEEDIVNFISEFLDASIWSSAASLEWIMANIVKHQDIQKKLRKEIRSVVGDTKRRIEEDEIRRMPYLKAVILEALRRHSPTHFSIPHSVKEDVIMDKYLIPKGTVVNYSVTSIGLDGRVWKDPLEFRPERFMAGGEGEGVDVNCGKRDIKMMPFGAGRRICPGSDMAVLLLQYLVANLVNEIELKAVEGMEVDLSSNAELFATMESPLHARIVNIA >DRNTG_16666.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000835.1:8872:9741:1 gene:DRNTG_16666 transcript:DRNTG_16666.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSAFVYVTLGLLLLVLLASYSPSSRLHHHGSHRRLKLRDPAAPPSPPGRHHAAPFDPLIAEIELHRDDREWERTHFDMPAAPGHEPQPEWEDFIDAEDYINDEDRFNVTHRIVLLFPKIDVAPIDGRISLDELTDWNLQQAGREVMHRTKRDMDLH >DRNTG_16666.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000835.1:9182:11879:1 gene:DRNTG_16666 transcript:DRNTG_16666.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSAFVYVTLGLLLLVLLASYSPSSRLHHHGSHRRLKLRDPAAPPSPPGRHHAAPFDPLIAEIELHRDDREWERTHFDMPAAPGHEPQPEWEDFIDAEDYINDEDRFNVTHRIVLLFPKIDVAPIDGRISLDELTDWNLQQAGREVMHRTKRDMDLHDKNHDGFVSFDEYEPPSWSLNAEDGSTWWKREHFDASDVDGNGVLNLTEFNDFLHPADSSSPKLIHWLCKEEIRERDKDNDGKLNFQEYFHGLFDSIRNYEDAYNQSDSMEAPANKLFKELDKDNDGFLSVDELIPVIGNLHPSERYYAKQQAEYVITQVMISEVHLFI >DRNTG_16666.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000835.1:9182:9741:1 gene:DRNTG_16666 transcript:DRNTG_16666.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSAFVYVTLGLLLLVLLASYSPSSRLHHHGSHRRLKLRDPAAPPSPPGRHHAAPFDPLIAEIELHRDDREWERTHFDMPAAPGHEPQPEWEDFIDAEDYINDEDRFNVTHRIVLLFPKIDVAPIDGRISLDELTDWNLQQAGREVMHRTKRDMDLH >DRNTG_16666.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000835.1:9182:13091:1 gene:DRNTG_16666 transcript:DRNTG_16666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSAFVYVTLGLLLLVLLASYSPSSRLHHHGSHRRLKLRDPAAPPSPPGRHHAAPFDPLIAEIELHRDDREWERTHFDMPAAPGHEPQPEWEDFIDAEDYINDEDRFNVTHRIVLLFPKIDVAPIDGRISLDELTDWNLQQAGREVMHRTKRDMDLHDKNHDGFVSFDEYEPPSWSLNAEDGSTWWKREHFDASDVDGNGVLNLTEFNDFLHPADSSSPKLIHWLCKEEIRERDKDNDGKLNFQEYFHGLFDSIRNYEDAYNQSDSMEAPANKLFKELDKDNDGFLSVDELIPVIGNLHPSERYYAKQQAEYVITQADADKDGQLSLKEMVEHPYVFYSSIFTEDDDDSDYHDEFR >DRNTG_20588.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3238417:3242624:-1 gene:DRNTG_20588 transcript:DRNTG_20588.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDENSKKNKLSWSKTLVRKWFNIKNKAQDFHGDDVMCRGGDGFTAKKSRTDECSKKNSDRVRGGKNDLDAGEVIDVMDYRILVATWNVGGKSPPSYLNLEDWLHTSPPADIYVLGFQEIVPLNAGNVLGSEDNGPAKKWVALISKTLNNLPGSSDNGSYRVPSPVSDPIVELNADFEGPSIRQKNASFFHRRSFQSSRHSFRIGGDIIDQQPRVDGRFSVCDRVIFGSRPSDFDPSNRWGGSDDDENAGSDSPNAMLYSPTSYGYGSHSSLTDERDRLSGNISRYCLVASKQMVGIFLTVWVRSEIRDDVKNMKVSCVGRGLMGYLGNKGSISISMSLHQTTFCFICSHLTSGQKEGDELRRNSDVMEILRKTRFPRVHGHGDAKSPETILEHDRIIWLGDLNYRISLSYRHAKALVEMQNWRALLEKDQLRIEKRCGRVFDGWNEGMIYFPPTYKYSNNSDRYAGDDMHSKEKRRTPAWCDRILWLGEGLNQESYVRGESRFSDHRPVYSIFTAEVESINRYRIKKSMGCSSSRVEVEELLPYSHPSGYTELGFF >DRNTG_20588.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3238370:3241106:-1 gene:DRNTG_20588 transcript:DRNTG_20588.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSPTSYGYGSHSSLTDERDRLSGNISRYCLVASKQMVGIFLTVWVRSEIRDDVKNMKVSCVGRGLMGYLGNKGSISISMSLHQTTFCFICSHLTSGQKEGDELRRNSDVMEILRKTRFPRVHGHGDAKSPETILEHDRIIWLGDLNYRISLSYRHAKALVEMQNWRALLEKDQLRIEKRCGRVFDGWNEGMIYFPPTYKYSNNSDRYAGDDMHSKEKRRTPAWCDRILWLGEGLNQESYVRGESRFSDHRPVYSIFTAEVESINRYRIKKSMGCSSSRVEVEELLPYSHPSGYTELGFF >DRNTG_00882.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21790859:21791433:-1 gene:DRNTG_00882 transcript:DRNTG_00882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVGEKYRNGAEIYNGDALCKKKSIELLEEIGLPNGLFPLEDIEEFGFNRGEGFIWLLQKKKKDHNFKKIKRAVSYAQEVTAFVEKGKMKKMTGVKTKELMLWLSVVEMYIEDPSSGKITFKTGTGLSDSFPSSAFQLE >DRNTG_31928.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2449949:2455382:1 gene:DRNTG_31928 transcript:DRNTG_31928.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISSSSHSHRLPIFQVMKSSIDLNDQESRNKDSKNTNSKLQMVPSSRNARFSLPNIPVWARWALGALVCLALPFYKRILRIEDGLEKTVETVVEGVEKAAETTEKIASDIANALPEGKLKQVVLEVENIAEQIDDGAEKIEEFLDKVEKIEDKVEDLVEPITEEGKK >DRNTG_17634.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4291397:4293527:1 gene:DRNTG_17634 transcript:DRNTG_17634.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRTVKVTNVSLSASEKDVREFFSFSGDIEYVEMQSADEWSQIAYVTFKDPQGADTALLLSGATIVDLSVIITPAPEYQIPSHVVTPPTDAQTGTSSSSDSAVQKAEDVVSTMLAKGFVLGKDAIGKAKSFDEKLRITLTATSTVTSIDKKIGLSEKISAGTSVVNDKVKEMDQRFHVSEKTKSAFAAAEQTVSNAGSAILKNRYIFTGASWVTGAFNKVAKAATDVGSKTKEKVAAEQSQEK >DRNTG_24980.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6975200:6981608:-1 gene:DRNTG_24980 transcript:DRNTG_24980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVFLLSLPVLLASALSEPYGVSYSIDCGGTANFTGEFGRPWIADRFYSAGSQGLVAEPQRFSQPQERTLRFFPSVSSGKKNCYSVPVPPGRYYIRTFTIYDNYDSKVHPPSFDVSVEGTLVFSWRSPWPDPLPRTGAYSDLFVHVVDGLADVCFYSIATDPPVIASLEIAQVDLLSYDSTTTGLDLILVNYGRFSCGSELFGPGFTNDTDRFWRVWQSDVEFREPNVKIKALSAGGRRVFGTNQAPNYFPPWLYQTAITTISANAALEYLLPVDTRLDYMLWFHFAEIDSGVNAAGRRVFDVVINEKNVTRIDIFKEVGGFTAFKWHYVAENLTSTPLSVKLVPVIGKPIMSGLENYAMVPLDLSTVPRQVAAMRALKESLRIPDRMGWNGDPCAPSTWDAWEGVTCHHSEDRLSLVVTQLDLASQGLKGYISDQISLLANLKFEF >DRNTG_24980.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6975200:6981608:-1 gene:DRNTG_24980 transcript:DRNTG_24980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVFLLSLPVLLASALSEPYGVSYSIDCGGTANFTGEFGRPWIADRFYSAGSQGLVAEPQRFSQPQERTLRFFPSVSSGKKNCYSVPVPPGRYYIRTFTIYDNYDSKVHPPSFDVSVEGTLVFSWRSPWPDPLPRTGAYSDLFVHVVDGLADVCFYSIATDPPVIASLEIAQVDLLSYDSTTTGLDLILVNYGRFSCGSELFGPGFTNDTDRFWRVWQSDVEFREPNVKIKALSAGGRRVFGTNQAPNYFPPWLYQTAITTISANAALEYLLPVDTRLDYMLWFHFAEIDSGVNAAGRRVFDVVINEKNVTRIDIFKEVGGFTAFKWHYVAENLTSTPLSVKLVPVIGKPIMSGLENYAMVPLDLSTVPRQVAAMRALKESLRIPDRMGWNGDPCAPSTWDAWEGVTCHHSEDRLSLVVTQLDLASQGLKGYISDQISLLANLVSLNLSSNSLGGSLPSGLGQGSLVKLDLSSNQISGGIPESMGSSNLQVV >DRNTG_24980.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6975200:6981608:-1 gene:DRNTG_24980 transcript:DRNTG_24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVFLLSLPVLLASALSEPYGVSYSIDCGGTANFTGEFGRPWIADRFYSAGSQGLVAEPQRFSQPQERTLRFFPSVSSGKKNCYSVPVPPGRYYIRTFTIYDNYDSKVHPPSFDVSVEGTLVFSWRSPWPDPLPRTGAYSDLFVHVVDGLADVCFYSIATDPPVIASLEIAQVDLLSYDSTTTGLDLILVNYGRFSCGSELFGPGFTNDTDRFWRVWQSDVEFREPNVKIKALSAGGRRVFGTNQAPNYFPPWLYQTAITTISANAALEYLLPVDTRLDYMLWFHFAEIDSGVNAAGRRVFDVVINEKNVTRIDIFKEVGGFTAFKWHYVAENLTSTPLSVKLVPVIGKPIMSGLENYAMVPLDLSTVPRQVAAMRALKESLRIPDRMGWNGDPCAPSTWDAWEGVTCHHSEDRLSLVVTQLDLASQGLKGYISDQISLLANLVSLNLSSNSLGGSLPSGLGQGSLVKLDLSSNQISGGIPESMGSSNLQVVLLNSNELDGQVPDKLYSIGVHGGVIDLSGNKGLCGVPSLPACPFFWEKGNLSTGGKVAIGLACGVFLIVLLLLIYILCIRRGRHDYDFAPTQGLTSISAIAAKRNRYQRQKSLMFLEMETPNSNGLPVTSNLRSSPPDRNVLK >DRNTG_29975.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001533.1:5084:5409:1 gene:DRNTG_29975 transcript:DRNTG_29975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERRSIKVGDGRPPISPKTSSKTLATLPSKCSL >DRNTG_33380.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:18978:22269:-1 gene:DRNTG_33380 transcript:DRNTG_33380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYVCLGVTLKSFSSFPFHISTSHLSPFLISPTTHIFFSEVSSPFNLQRLEYLDLSNNYNAVKNEHDFQWLSHLTSLQHFDMSFTALGNSSISMFLALNKLPSINEIHLDNCEIEKFPHSIPHLNFSVLSFLDLSYNHIDFSEVSWVFSLTSLQYLDLSRNDLDRDRLFSSPDPFRTAIRPENSGQPSKVSIPESMGNLCRLQTLDLSYLSINKRLAELEGVFSGCLKDSLTHLYLRGVYVKGEIPDWVGDIKNLKLLDLSNNILSGSLPSSLARLSSLEKLLISSNELNGTLPEEIGKLAELVYLDLSDNQLHGDISESHFAQLEKLDMLDLSLNSLVFNVSSDWVPPFLLQGLSISNCSVGLEFPTWLQRQHKMNTLAMSHAGISDTIPDWLGNLTSHNLAILDLSHNQIEGIIPKSLNFTNMYRIDLSSNQFYGPLPVFAGSRLVHIDLSNNSFSGFIPPNIIDELNQVFLLSLSMNKLSGSIPSSFCQMRGLMVINVSKNQISGELPDCWSNMSKNIRYEFGK >DRNTG_22569.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14583572:14585408:-1 gene:DRNTG_22569 transcript:DRNTG_22569.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRQEEKVGQSNVCPAQPSPTLQLNPSSRLATRSQVGSFLPMGGTFATKLRRMRGRE >DRNTG_22569.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14584535:14585408:-1 gene:DRNTG_22569 transcript:DRNTG_22569.2 gene_biotype:protein_coding transcript_biotype:protein_coding QGVDPRTFSLLVIIRLKTNPLPQRTEEGDNLHYRTSQGSKYNQKIQI >DRNTG_24717.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17886130:17890826:-1 gene:DRNTG_24717 transcript:DRNTG_24717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNESIQDYMSRVLDIVYQIRMLKEDVPVKALVSKVLRSLTPRFSHVVHSIVEAKNLKTLTVDELSSSLKSHESLLNLAGEQDEEKALHVKGVPFGEHYSRGGRGGRGRGYHRGRGRGRGDGKTLKVCGIGSIPLRTSNGKIHTLTNVQFVPDLAHNLLSVGQLMSSGFMVEFSGGECIIRNGVTRAKVAQNKVYSRAKSTIIYHSHILHKVAQHLIEKGTVDGEEVMNLFIDGKAELYVG >DRNTG_29619.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20023204:20025548:-1 gene:DRNTG_29619 transcript:DRNTG_29619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEAAKEEHCTDHMIGSHETTCWGCGLRILLSSTSSIFKCGWCGAITNRNQSRRKPDSKWFHGWRRLRDRFFVLVVVLFMLIVISAGVWAVYPTVFLISYFCGVFHCSITAILSIITFSSFFLAAFRHAGRSANVEWGRYPIVGQGGLENYTFCLYCRKPKCPRTHHCRSCRTCVFDMDHHCPFIGNCVGAANHRSFIAFLVLIIISCTYVAILTTYAAYHIWPPLEISVRYNHQHAVTVLKVVVTALARSVLLLPPRGFVLLYLAFASLSVEIGIFVLLWQQLCLIYEGNTYINSLSFENNIDVGRGCHNLLRFFGCPYLAFRILLGSANAIKFQQRLSSKLL >DRNTG_29619.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20023204:20025548:-1 gene:DRNTG_29619 transcript:DRNTG_29619.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSHETTCWGCGLRILLSSTSSIFKCGWCGAITNRNQSRRKPDSKWFHGWRRLRDRFFVLVVVLFMLIVISAGVWAVYPTVFLISYFCGVFHCSITAILSIITFSSFFLAAFRHAGRSANVEWGRYPIVGQGGLENYTFCLYCRKPKCPRTHHCRSCRTCVFDMDHHCPFIGNCVGAANHRSFIAFLVLIIISCTYVAILTTYAAYHIWPPLEISVRYNHQHAVTVLKVVVTALARSVLLLPPRGFVLLYLAFASLSVEIGIFVLLWQQLCLIYEGNTYINSLSFENNIDVGRGCHNLLRFFGCPYLAFRILLGSANAIKFQQRLSSKLL >DRNTG_29619.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20023204:20025548:-1 gene:DRNTG_29619 transcript:DRNTG_29619.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSHETTCWGCGLRILLSSTSSIFKCGWCGAITNRNQSRRKPDSKWFHGWRRLRDRFFVLVVVLFMLIVISAGVWAVYPTVFLISYFCGVFHCSITAILSIITFSSFFLAAFRHAGRSANVEWGRYPIVGQGGLENYTFCLYCRKPKCPRTHHCRSCRTCVFDMDHHCPFIGNCVGAANHRSFIAFLVLIIISCTYVAILTTYAAYHIWPPLEISVRYNHQHAVTVLKVVVTALARSVLLLPPRGFVLLYLAFASLSVEIGIFVLLWQQLCLIYEGNTYINSLSFENNIDVGRGCHNLLRFFGCPYLAFRILLGSANAIKFQQRLSSKLL >DRNTG_03714.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000173.1:84907:86124:1 gene:DRNTG_03714 transcript:DRNTG_03714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFFGKEEWGESTRDPGTCTSLGGTSGDGAALT >DRNTG_32832.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8391416:8391790:-1 gene:DRNTG_32832 transcript:DRNTG_32832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHKFTTIAIDKIKSSKYTSSPQGSPASGDLGVYSSMRTNTSNKSMKTTTNGIRKLPQTIEVGRQAKLGGNASTDAAMGAASLVVYKLPKKRSMKI >DRNTG_34724.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2257823:2259267:1 gene:DRNTG_34724 transcript:DRNTG_34724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVVADKEHKDGSRRSSTGKAIPRGSASPARSDEKPIPHYLMPSTNSCHDFCKYGHKHAFEGDEKHPIRSNVLGHNRSPIHDQNPMKIPTVRERIKERGNKLKAQSKLNSKFP >DRNTG_35172.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26108220:26109145:1 gene:DRNTG_35172 transcript:DRNTG_35172.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPTAMEKPALKIKPLPSFRLRTSSLNTLRLRRIFDLFDGNGDGEITTAELCAALDRLGLGADPTEIGTLVKAHAPAGRTGLDFPAFESLHRALGDELLGVAEEGEKEAEAEEDMEEAFRVFDEDGDGFISARELQAVLGKLGFVEGRSLQTVHEMICSVDRNSDGMVDFGEFKSMMRGIAVAVPGA >DRNTG_35172.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26105651:26109145:1 gene:DRNTG_35172 transcript:DRNTG_35172.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGEEKEICTSKNSESGSLSTLRSKYDSWSSSKKTRRPEFKLSRRTSALEMEIPTAMEKPALKIKPLPSFRLRTSSLNTLRLRRIFDLFDGNGDGEITTAELCAALDRLGLGADPTEIGTLVKAHAPAGRTGLDFPAFESLHRALGDELLGVAEEGEKEAEAEEDMEEAFRVFDEDGDGFISARELQAVLGKLGFVEGRSLQTVHEMICSVDRNSDGMVDFGEFKSMMRGIAVAVPGA >DRNTG_35172.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26105003:26109145:1 gene:DRNTG_35172 transcript:DRNTG_35172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGEEKEICTSKNSESGSLSTLRSKYDSWSSSKKTRRPEFKLSRRTSALEMEIPTAMEKPALKIKPLPSFRLRTSSLNTLRLRRIFDLFDGNGDGEITTAELCAALDRLGLGADPTEIGTLVKAHAPAGRTGLDFPAFESLHRALGDELLGVAEEGEKEAEAEEDMEEAFRVFDEDGDGFISARELQAVLGKLGFVEGRSLQTVHEMICSVDRNSDGMVDFGEFKSMMRGIAVAVPGA >DRNTG_28331.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:108664:109134:1 gene:DRNTG_28331 transcript:DRNTG_28331.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMSSFHLLLLILLSLFISIHSCHGRLLSDDQIQPANKREWRGAATTAQSLKDGKTRTSTKVEDHAVTKAVLVSGAVRIQSHVSVSWRVPPMEKHKGHPGFNVDYAGPKTHPPSHN >DRNTG_05879.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000271.1:15279:17746:1 gene:DRNTG_05879 transcript:DRNTG_05879.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARRRHRKHSKAVGDVREPKKLDEPLLGEKTHGRVEFPHARGAALRAQPEKAQGRAAVLSWVDFSESTHGPGLAPVDDRVNYGQAWVISTRLCKILQTSSLHPEKTQGHVAAPVSWACECPRPCGISARACRTLGILLGCSEKPQGRAAAPVGRAHGRGYFPHARVRSFKVSESFSRERPWASTCPCEVLLWGARAWIMAPRSKKQALKRPHESSPETEGMRFAIPEHQGDELAIEVEDLVSAGGWRQLLTIREPAIREFALEHSLSITQFLVLLGFYEEAFTDTEEYAQLPIDYPGTLTPQRAYRVLYGQGQYEPGLSKATCLSRPVYRYLHAIMSRSVNCRGDRTSVLSRQELLYLYSMVQRLPIHLGHIMAEYIRYQGHYARLGAIFSGPYITRLVLGMGLLDSIRGAEKMSIPAPLSLETMRLMGMIRRVRTRVFTLVLPAP >DRNTG_02965.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22460676:22461012:-1 gene:DRNTG_02965 transcript:DRNTG_02965.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSLSLCLCLHEKQEEVCTERRRRRRSCELKSRERERERERERRRRVRKQ >DRNTG_16614.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000829.1:482:6168:1 gene:DRNTG_16614 transcript:DRNTG_16614.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHTMHEGEDLREVAKRCHNAFTTLQRTTRNTSLILHRSFCRALFLFLCCTDDHDLFLLLEHILFQCEELSLLPDVALLRYSVWTHGFSTSH >DRNTG_13742.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5550197:5552241:1 gene:DRNTG_13742 transcript:DRNTG_13742.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCNDSNDSCKILFTECVRIVADSDLDMLTLDKALPEDVVKQVIKLRPTSPVSLGPQRPGFPNANMKKIYSALDSNDFELIEMLLAEGHTSLDDACALHYAVSYCHEKIVTTILDRGMADVNRRNARGYTVLHCAAIRKEPVIIISVLAKGARPSEVTPDGRTALQILKRLTKYVDYKRPSDEVKAFTDDHLCIDMLEEAERKDPLLREPFVSHPVAGEDLRDSLLYLENRVIFAKFYFPFEAKLAMKIAGVDGTLEFVVSTSLSETRENLPRKEALSRTVALGRYFFPRCSAVLDNLLDNEGELNEPEQRRYLEILADISMAI >DRNTG_13742.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5548981:5552241:1 gene:DRNTG_13742 transcript:DRNTG_13742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYSDSTMLSDSATAAADEALRRLSDNIATLLHWTDHADAFIIVKGKPDLAIPVHRCVLSARSPFFQRLFSTIPKGKEPRIEMGVVASGFNVGVDALHSVLAFVYSGRAGIPPRDACVCVDGDCLHLCCRPALDFALGVLFTSFKFEISELVSIYQEHLLEILKNVVIEDVLLILSVVNMCNDSNDSCKILFTECVRIVADSDLDMLTLDKALPEDVVKQVIKLRPTSPVSLGPQRPGFPNANMKKIYSALDSNDFELIEMLLAEGHTSLDDACALHYAVSYCHEKIVTTILDRGMADVNRRNARGYTVLHCAAIRKEPVIIISVLAKGARPSEVTPDGRTALQILKRLTKYVDYKRPSDEVKAFTDDHLCIDMLEEAERKDPLLREPFVSHPVAGEDLRDSLLYLENRVIFAKFYFPFEAKLAMKIAGVDGTLEFVVSTSLSETRENLPRKEALSRTVALGRYFFPRCSAVLDNLLDNEGELNEPEQRRYLEILADISMAI >DRNTG_05595.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11238104:11238993:1 gene:DRNTG_05595 transcript:DRNTG_05595.1 gene_biotype:protein_coding transcript_biotype:protein_coding DIVSGNSFRDLIPFSKDYYKEGDDRTTDYMREERKRKQMEAIAEDLFNNEKARKRAGPGTSLRALFARR >DRNTG_15329.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15608342:15612725:-1 gene:DRNTG_15329 transcript:DRNTG_15329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVESPLKNSPGSDEIWIKSYPGTASLGVVWVDHSCDGFTTAVMRPFSFYVALSDSTVLRLDNNSVGYGQRPWCSFLPGLTVMMLPWLAHGHISPFSELSKSLSSRGMMVYLCSTPINLDSIRESLDEKVFPSIKLVEIQLPSIPGLAPHIRSTKHLPPHLMPLFKEAFDLTEPYFEQLLDQLQPNLLFYDIFQPWVPKVTHLRNIPSLLYQTTSAICSAYLCHLLLRPNEEEFPFPEFHDVHKGFKDILRRMANGVSNRERILMGIKQVFELYYHQDFRRN >DRNTG_31993.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001768.1:27323:27687:1 gene:DRNTG_31993 transcript:DRNTG_31993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCLLQLLLILWPLFISASIVTHLPGFHGPLPFHIETGYDGVDEVQFFYYFIESEGNPAQDPLLLWRTGGPGCSAFSALIIQ >DRNTG_13528.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22030972:22033947:-1 gene:DRNTG_13528 transcript:DRNTG_13528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQNSDHIIGVNKPLEAPGMDRKNICKGNTCGLSEHGTSSKDAKERSASMRKLLIAVFLCVIFMSVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSIWASGWEATPRQSYGFYRIEILGALVSIQLIWLLTGILVYEAIVRLIYDNGEVKGVLMFAVSAFGLVVNVVMAVLLGHDHGHGSHSHGHGHGHDHSDSDHEHDFDHNHHHGHGHSHCNTISVSADHRISVEIKHKDDHQHVAVPEHQHTPLLGHSEEASCADHWAKDPHKKTRNINIHSAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIIDLICTLLFSLIVLFTTIKMLRDILDVLMESTPREIDATKLEQGLCEMDGVVAIHELHIWALTLGKVLLACHVTVTPEADADLLLNKVIEYIKREYNISHVTIQIERL >DRNTG_13528.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22031200:22033898:-1 gene:DRNTG_13528 transcript:DRNTG_13528.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQNSDHIIGVNKPLEAPGMDRKNICKGNTCGLSEHGTSSKDAKERSASMRKLLIAVFLCVIFMSVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSIWASGWEATPRQSYGFYRIEILGALVSIQLIWLLTGILVYEAIVRLIYDNGEVKGVLMFAVSAFGLVVNVVMAVLLGHDHGHGSHSHGHGHGHDHSDSDHEHDFDHNHHHGHGHSHCNTISVSADHRISVEIKHKDDHQHVAVPEHQHTPLLGHSEEASCADHWAKDPHKKTRNINIHSAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIIDLICTLLFSLIVLFTTIKMLRDILDVLMESTPREIDATKLEQGLCEMDGVVAIHELHIWALTLGKVLLACHVTVTPEADADLLLNKVIEYIKREYNISHVTIQIERL >DRNTG_13528.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22030972:22033898:-1 gene:DRNTG_13528 transcript:DRNTG_13528.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQNSDHIIGVNKPLEAPGMDRKNICKGNTCGLSEHGTSSKDAKERSASMRKLLIAVFLCVIFMSVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSIWASGWEATPRQSYGFYRIEILGALVSIQLIWLLTGILVYEAIVRLIYDNGEVKGVLMFAVSAFGLVVNVVMAVLLGHDHGHGSHSHGHGHGHDHSDSDHEHDFDHNHHHGHGHSHCNTISVSADHRISVEIKHKDDHQHVAVPEHQHTPLLGHSEEASCADHWAKDPHKKTRNINIHSAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIIDLICTLLFSLIVLFTTIKMLRDILDVLMESTPREIDATKLEQGLCEMDGVVAIHELHIWALTLGKVLLACHVTVTPEADADLLLNKVIEYIKREYNISHVTIQIERL >DRNTG_13528.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22031126:22033898:-1 gene:DRNTG_13528 transcript:DRNTG_13528.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQNSDHIIGVNKPLEAPGMDRKNICKGNTCGLSEHGTSSKDAKERSASMRKLLIAVFLCVIFMSVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSIWASGWEATPRQSYGFYRIEILGALVSIQLIWLLTGILVYEAIVRLIYDNGEVKGVLMFAVSAFGLVVNVVMAVLLGHDHGHGSHSHGHGHGHDHSDSDHEHDFDHNHHHGHGHSHCNTISVSADHRISVEIKHKDDHQHVAVPEHQHTPLLGHSEEASCADHWAKDPHKKTRNINIHSAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIIDLICTLLFSLIVLFTTIKMLRDILDVLMESTPREIDATKLEQGLCEMDGVVAIHELHIWALTLGKVLLACHVTVTPEADADLLLNKVIEYIKREYNISHVTIQIERL >DRNTG_27657.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8567092:8605120:1 gene:DRNTG_27657 transcript:DRNTG_27657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTYVGGVSCPYICGRHLDHGVLLVGYGAAGYSPIRFKEKPYWIIKNSWGESWGENGYYKICRGRNVCGVDSMVSAVSAVHTTHT >DRNTG_11279.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12221696:12222793:-1 gene:DRNTG_11279 transcript:DRNTG_11279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGNFHTPVRNSAEEFSPCREDTGACGGPFDEATGVCVHHCDTFV >DRNTG_27065.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2745677:2746642:1 gene:DRNTG_27065 transcript:DRNTG_27065.2 gene_biotype:protein_coding transcript_biotype:protein_coding WANRPFSTEPFPRRRRRPKVESLHES >DRNTG_27065.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2743206:2746642:1 gene:DRNTG_27065 transcript:DRNTG_27065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFICETIEKLIVLVELQDLHSFVNGETLAFALRSIATTPLLVASSPLFLVLSFTEAASAYVCSSLSSVNPLESTESQRIWPSSPFKEKG >DRNTG_31285.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1143485:1146587:1 gene:DRNTG_31285 transcript:DRNTG_31285.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRNLVSNSHDGMEKFNAKQQNSRVCILVTLSGIFWFLIFYFHFTVLSSSTTTIQPERTSLDPIPSKFEHLYKDQSHSERLPTYQPLPHEESNAKQEAKNYPFLRALKTADNKSDKCGGRYIYVHDLPSQFNEDMLKECKSLNLWTNFCKFTTNAGLGPPLENVEGVFSNTGWYATNQFTVDVIFSNRMKQYECLTKDSSIAAAVFVPFYAGFDIARYLWGYNISVRDSASLALQDWLMKRPEWNVMGGKDHFLVGGRITWDFRRLTDLESDWGNKFLFLPAAKNMTMLVVESSPWNANDYAIPYPTYFHPAKDEDVFHLARSNEKVRKEVAVLFRWCAAT >DRNTG_31285.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1143485:1146587:1 gene:DRNTG_31285 transcript:DRNTG_31285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRNLVSNSHDGMEKFNAKQQNSRVCILVTLSGIFWFLIFYFHFTVLSSSTTTIQPERTSLDPIPSKFEHLYKDQSHSERLPTYQPLPHEESNAKQEAKNYPFLRALKTADNKSDKCGGRYIYVHDLPSQFNEDMLKECKSLNLWTNFCKFTTNAGLGPPLENVEGVFSNTGWYATNQFTVDVIFSNRMKQYECLTKDSSIAAAVFVPFYAGFDIARYLWGYNISVRDSASLALQDWLMKRPEWNVMGGKDHFLVGGRITWDFRRLTDLESDWGNKFLFLPAAKNMTMLVVESSPWNANDYAIPYPTYFHPAKDEDVFHLARSNEKVRKEVAVLFRWCAAT >DRNTG_31285.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1143485:1146587:1 gene:DRNTG_31285 transcript:DRNTG_31285.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRNLVSNSHDGMEKFNAKQQNSRVCILVTLSGIFWFLIFYFHFTVLSSSTTTIQPERTSLDPIPSKFEHLYKDQSHSERLPTYQPLPHEESNAKQEAKNYPFLRALKTADNKSDKCGGRYIYVHDLPSQFNEDMLKECKSLNLWTNFCKFTTNAGLGPPLENVEGVFSNTGWYATNQFTVDVIFSNRMKQYECLTKDSSIAAAVFVPFYAGFDIARYLWGYNISVRDSASLALQDWLMKRPEWNVMGGKDHFLVGGRITWDFRRLTDLESDWGNKFLFLPAAKNMTMLVVESSPWNANDYAIPYPTYFHPAKDEDVFHLARSNEKVRKEVAVLFRWCAAT >DRNTG_31285.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1143485:1146587:1 gene:DRNTG_31285 transcript:DRNTG_31285.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRNLVSNSHDGMEKFNAKQQNSRVCILVTLSGIFWFLIFYFHFTVLSSSTTTIQPERTSLDPIPSKFEHLYKDQSHSERLPTYQPLPHEESNAKQEAKNYPFLRALKTADNKSDKCGGRYIYVHDLPSQFNEDMLKECKSLNLWTNFCKFTTNAGLGPPLENVEGVFSNTGWYATNQFTVDVIFSNRMKQYECLTKDSSIAAAVFVPFYAGFDIARYLWGYNISVRDSASLALQDWLMKRPEWNVMGGKDHFLVGGRITWDFRRLTDLESDWGNKFLFLPAAKNMTMLVVESSPWNANDYAIPYPTYFHPAKDEDVFHLARSNEKVRKEVAVLFRWCAAT >DRNTG_25770.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21167354:21167737:-1 gene:DRNTG_25770 transcript:DRNTG_25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNWEIIMDRWGHHFLLTHFIRDIVIPNCSEIRVDEMEEFFLVHTKPPVAMTVKQSLEQARINAKWAMNVAKEENLEELITELAEKNTNEHVLVEN >DRNTG_01713.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23626971:23627411:1 gene:DRNTG_01713 transcript:DRNTG_01713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFSSSWSGFGAKHIHFDSSDDDNNRHYNNDGIDVDVDDDDDDDDDACQYQNSSTGKGSGQSVSSCPYPSTTEEMARLRLKAGSVKK >DRNTG_31845.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21405590:21406836:-1 gene:DRNTG_31845 transcript:DRNTG_31845.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPNPYTRLTAEEVLDHPWLKNANAAPDISLGETVRARLQQFSAMNKFIKKALRVVVDHLPVEEVDGIKKMFSMMDTDKNGTLTFEELKEGLHIIGQPVPEPEVKMLLEAADTDGNGTLDCEEFVTVSIHLRKISSEEHLNKVFNYFDKDGSGFIETNELREALTEGDRGPNEQVIQDIISDIDVDK >DRNTG_31845.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21404817:21405067:-1 gene:DRNTG_31845 transcript:DRNTG_31845.3 gene_biotype:protein_coding transcript_biotype:protein_coding DGRISYQEFELMMTTSGTNWKNASRQISGAVLKTLSHKLFNSRNTNNQRNTENFCEYLDC >DRNTG_31845.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21404817:21408246:-1 gene:DRNTG_31845 transcript:DRNTG_31845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPDHPNIVKLKDVHEDANAVHLVMELCEGGELFDRIVKRGRYTERAAANITKTIVEVVKLCHSCGVIHRDLKPENFLFANQSENSPLKAIDFGLSVFFKPGERFTEVVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETDEGIAHAIIRSVVNFDKEPWPKVSKHAKDLVKQMLDPNPYTRLTAEEVLDHPWLKNANAAPDISLGETVRARLQQFSAMNKFIKKALRVVVDHLPVEEVDGIKKMFSMMDTDKNGTLTFEELKEGLHIIGQPVPEPEVKMLLEAADTDGNGTLDCEEFVTVSIHLRKISSEEHLNKVFNYFDKDGSGFIETNELREALTEGDRGPNEQVIQDIISDIDVDKDGRISYQEFELMMTTSGTNWKNASRQISGAVLKTLSHKLFNSRNTNNQRNTENFCEYLDC >DRNTG_32002.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13015481:13017160:-1 gene:DRNTG_32002 transcript:DRNTG_32002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYVHDSFSSDFAHDDNYTPILDANPPIESPFSKEASKSPQVIPSPQHPKEDSTPKAMEVASMSSSLPQLVRKDIGLESFWVNKLIEKVKSSRPHKQESLREETLKLHKNLMTHGANISITRDKIYEVIDMAKISHDTNNGGSNLSLAENEELMAKEERLKKTKLSLTTMSQHMDEANMELVHIRKKLQELRALEEKLKAQEKEKEQIISSGMSLLSDTPPIEEVNKSFDDIHQQIEALGGDGDKSQPLLSSKEALANFERAKAQL >DRNTG_32002.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13015481:13016836:-1 gene:DRNTG_32002 transcript:DRNTG_32002.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYVHDSFSSDFAHDDNYTPILDANPPIESPFSKEASKSPQVIPSPQHPKEDSTPKAMEVASMSSSLPQLVRKDIGLESFWVNKLIEKVKSSRPHKQESLREETLKLHKNLMTHGANISITRDKIYEVIDMAKISHDTNNGGSNLSLAENEELMAKEERLKKTKLSLTTMSQHMDEANMELVHIRKKLQELRALEEKLKAQEKEKEQIISSGMSLLSDTPPIEEVNKSFDDIHQQIEALGGDGDKSQPLLSSKEALANFERAKAQL >DRNTG_14252.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1017695:1020219:-1 gene:DRNTG_14252 transcript:DRNTG_14252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKSWRKNHPHGFVARPETFSDGKVNLMVWNCIIPGKKGTDWEGGNYPLTLLFSEDYPIKPPKCKFPQGFFHPNIYPSGTVCLSILNEDIGWRPAITVKQVLTGIQDLLDQPNPADPAQTEGLSTLYPGTRGVQNPGSKSGEDVSSTGLKSLVTHQSN >DRNTG_14252.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1017695:1018389:-1 gene:DRNTG_14252 transcript:DRNTG_14252.2 gene_biotype:protein_coding transcript_biotype:protein_coding METCYYCETSSNWDPRLAGSTKPGRSSANRRAFNSLSRNQRSTKSGFEIRRRCILHRFKIPGYPPI >DRNTG_22470.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20704216:20704997:-1 gene:DRNTG_22470 transcript:DRNTG_22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNGRKVFVGFFQHRQVREKINGPAKFKNVYVKNLAETVTKEDLIEKFGTCGHIDSAVVMADANGNSRGFGFVDFLNPDDAAAAVERFNGSVFHEKVLYVGRAEKKSERKAQLKAKFEQDGNKRLTKLQESNLYVKNLDDSIDDEKLREIFRPFGNLGSCKVMCDAQGFSKGFGFVAFLSSEDAARA >DRNTG_21711.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:594881:598701:-1 gene:DRNTG_21711 transcript:DRNTG_21711.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDDGDFQIPFRVISKSQPLKQSNGAPVRKRLKLPCRIGKENRGGASQCPGWEDERGRTQRPKLLAPRASKLGEGSGIGGAVSSKSDFDRKNDTEEERFDISSSNELKVVESLESNVSDFVRDGQAHGDDLKVHEFEGCGEKDGLEIGKSSYYSTSVEARLLGLISKPASMKYKDGCLEEDGFEDFELGSQLNELMNLCCETSGIHDSNNLEVGAYESRGSGLRVDCPLCGFDISDLSEELRHAHTNDCLDGRHKDSSPNEVRLDECRVSSDCRAHDEFLEEEDWRNATLEKYILSYSKMSDEGSSGLGTNDNDIKLDISGKQVNILVECPMCGTDISKLSEELRHIHTNGCLEEREICRTSPVKSQGDAFGELESDNARDLSISEFSVVEEENALSRIDISKGSGGIVDTSVIQRGCLVECPLCGTDITGLGEELRLAHTNACLDKGDNTQVTNSNGQVKSNSLGQVVDANPVLEWLRSLGLSKYEEAFSREEIDWDTLQWLTEEDLLSIGISALGPRKKIVHALKELRNRNNHTEEKESEASHSSSCNANMKLSSTDNKLITEYFQGPKVDRKTVCSHNKQLTVTEKGSNDSARKKVGVRKSSSISKSQRYTSLVLHTRNSISSGCLSLFKR >DRNTG_21711.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:593429:598701:-1 gene:DRNTG_21711 transcript:DRNTG_21711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDDGDFQIPFRVISKSQPLKQSNGAPVRKRLKLPCRIGKENRGGASQCPGWEDERGRTQRPKLLAPRASKLGEGSGIGGAVSSKSDFDRKNDTEEERFDISSSNELKVVESLESNVSDFVRDGQAHGDDLKVHEFEGCGEKDGLEIGKSSYYSTSVEARLLGLISKPASMKYKDGCLEEDGFEDFELGSQLNELMNLCCETSGIHDSNNLEVGAYESRGSGLRVDCPLCGFDISDLSEELRHAHTNDCLDGRHKDSSPNEVRLDECRVSSDCRAHDEFLEEEDWRNATLEKYILSYSKMSDEGSSGLGTNDNDIKLDISGKQVNILVECPMCGTDISKLSEELRHIHTNGCLEEREICRTSPVKSQGDAFGELESDNARDLSISEFSVVEEENALSRIDISKGSGGIVDTSVIQRGCLVECPLCGTDITGLGEELRLAHTNACLDKGDNTQVTNSNGQVKSNSLGQVVDANPVLEWLRSLGLSKYEEAFSREEIDWDTLQWLTEEDLLSIGISALGPRKKIVHALKELRNRNNHTEEKESEASHSSSCNANMKLSSTDNKLITEYFQGPKVDRKTVCSHNKQLTVTEKGSNDSARKKVGVRKSSSISKSQRYTSLVLHTRNSISSGCLSLFKR >DRNTG_14113.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7788636:7789895:1 gene:DRNTG_14113 transcript:DRNTG_14113.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSDHFEFNSVHEVPDSHAWPSLHDYPSTEPLGHDSIPIIDLNKSSSDTIKQIKQACERWGVFRVTGHGVPSDLLDQLELQTCRLFSLPSRQKLKAARLPDGVSGYGLARISPFFSKLMWYEGFTISGSPLGHARKLWPHDYSEFCETIDRYNKEMKKLAERVMHLMLQSLGLHENDLDWAGPVKDLQEVSGVLQLNSYPSCPDPDRAMGLAAHTDSSLLTILHQNSTSGLQVLRAQDIYGPARWVTVPPLPGALVMNIGDLSHILSNGRFHSVLHRAVVNRARHRYSMAYICGPPAHAKVSPLRPLSGRPVFRAVTWPEYLGLKGKLFNQALASIRVSDETWEDHNNASVITCV >DRNTG_21154.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2285568:2288951:-1 gene:DRNTG_21154 transcript:DRNTG_21154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKRQKLARKRFKEANPELFPKPELSNHGDSTSRKKKKKRNIKGKVLKTKKNSGKHPFRVPGMRPGENCFICKSSDHIAKLCPEKASWDKNKICLFCRQRGHSLKQCPEKDTNHNKICYNCGEVGHSLHKCPLPRQDGETKYADCFICKERGHLSRNCPKNTHGIYPKGGSCKVCGGIDHLAKNCPNKGNKTYLSSTGLKISIGDRDGDRGTQHTIFQSGDDLEDDFMVEDTKHGKKTIQQSQSELPSSEHVLEEKILVKQKKNRGPRVVNFFG >DRNTG_01520.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:12782715:12784443:-1 gene:DRNTG_01520 transcript:DRNTG_01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHPGRKSYHLESVKATLAAALERATLEDVGFRGVLAKVLERFYGSDIVIPLGRRLVGELRLRRILYRMKESLDDE >DRNTG_06485.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23073377:23077319:-1 gene:DRNTG_06485 transcript:DRNTG_06485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPKPSPPPPHSESRKRKALDAGDFQHSGYFKIRTIVKELRPFFIQLFEATDFRNCEAACEIRRRMKVMIELTKQLRTDTPSPPNPKKQEQTFTGVKTEHYLGELQEEQKNVQVPKESEFNPHANISDEIKKSNESGKEQDGLQGTFVVGGSPIGWNFVVFPGSVPVYYGRTKASAIAHRAAKQSANESEEKAYAFASS >DRNTG_18299.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2603421:2605214:-1 gene:DRNTG_18299 transcript:DRNTG_18299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLLKSSFLPRKTEWLNTARPNTNKSSAVVFSVRAGSYADELVKTAKTIASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVTPTELGNYISGAILFEETLYQSTTDGKKIVDVLVEQKIVPGIKVDKGLVPLAGSNDESWCQGLDGLASRCAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGHHGIERTFEVAQKVWAEVFFYMAENNVMFEGILLKPSMVTPGAECEEKATPEQVAEYTLKLLKRRIPPAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHISFSYARALQNTCLKTWGGRPENVKAAQDALLLRAKANSLAQLGKYSGEGESAEAKKGMFVKNWSY >DRNTG_06231.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5363137:5364288:-1 gene:DRNTG_06231 transcript:DRNTG_06231.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTPPSKSIFILSGQSNMAGRGGVHSRKWDTIIPTECEPHPSILRFSASSSWDTAVEPLHADIDVSKACGVGPGMPFATALLPHLPPGSTIGLVPCAVGGTAIKEWERGEKLYEEMVRRSKEVAGEGEIKAVLWFQGESDSLSDDAASVYKMKMEQLIRNLREDLQLPSLPFIQVVYIYIYIYILIFFFNG >DRNTG_06231.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5362506:5364288:-1 gene:DRNTG_06231 transcript:DRNTG_06231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTPPSKSIFILSGQSNMAGRGGVHSRKWDTIIPTECEPHPSILRFSASSSWDTAVEPLHADIDVSKACGVGPGMPFATALLPHLPPGSTIGLVPCAVGGTAIKEWERGEKLYEEMVRRSKEVAGEGEIKAVLWFQGESDSLSDDAASVYKMKMEQLIRNLREDLQLPSLPFIQWRRRGVARRDIRHTLHREKKP >DRNTG_11307.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1610066:1618308:1 gene:DRNTG_11307 transcript:DRNTG_11307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPLFNLSCPPCLQPKPSPFLPLTSQPKPYLPILLSIARKHRPFFSIVALSSSSAAAPGVASEGTLETSLQPRKRLIAQNIPWTSTVEDIANLFQKYGTVVNVELSMYNRERNRGLAFITMASEEEAITALNSLNTYNLDGRVIKVEFSRSLKKIPTENTPVEKHTVFVGNLAWRVRSKDLRELFEPSYNVISAEVIFHSKPRRPAGYGFVAFASKEEAEAAVASFNAKKLMGRQINLVLRDSPEENQIEQQKLVEENNVSLELSN >DRNTG_09715.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:720658:721348:1 gene:DRNTG_09715 transcript:DRNTG_09715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKLSSGLRSLQFGKGRLASGGILPLAGFGVSRAVNGTGGKEEHGKQAEDSVRQAMYISCWGPS >DRNTG_25494.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1706424:1709394:1 gene:DRNTG_25494 transcript:DRNTG_25494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVPSTPLLKDELDIVIPTIRNLDFLEMWRPYFQPYHLIIVQDGDPSRTIKVPDGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPSGKEINALAQHIKNLLSPSTPFFFNTLYDPYREGTDFVRGYPFSLREGVSTAVSHGLWLNIPDYDAPTQLVKPMERNTRYVDAVLTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVICDHLGLGVKTGLPYIWHSKASNPFVNLKKEYNGIFWQEELIPFFQSATLTKDCTTVQKCYIELSKQVKAKLGKIDPYFNKLSEAMVTWIEAWDELNPSSSASAEVANGPAKAK >DRNTG_06551.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22696180:22698968:1 gene:DRNTG_06551 transcript:DRNTG_06551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSYFSTILHSITKTPHKLKKRMLITWTPDQELNQVRQRSGADMKKKLDWYDLVALGVGGMLGAGVFVTTGHVAHTIAGPSVFVSYIIAGVSALLSSFCYTEFSVEVPVAGGAFSYLRVTFGEFVGYFGGANILMEYVLSNAAVARSFTEYLSCTFGSNNPNSWRIEVNGLPKGYSSLDFTAVALVLILTLCLCHSTKESSVLNLVMTVFHLMFFGFIIVAGFCNGSSKNLVRPKGLAPFGVRGILNGAATVYFSYIGYDTVSTLAEEIKNPSKNLPIGITGSVIIVSVLYCLMSLALSSMMPYNEIMENASFSSAFQNTVGWKWASNVVGAGASLGIVASLLVAMLGQARYLCVIGRARLVPVWLAKVHPFTGTPLNATIFLGICTASIALFTDLEIVLEMISIGTLVVFYLVANALIYRRYVKLSSGNPFPTILFLLILSLTSIGFSLSWKFNGDCLWGLIIFGFASVIVTAIFHRFVPCYHRPSEWSVPLMPWPAACSIFLNVFLMNSLNKKAFQRFGIWSFVVTLFYVLYGVHSTYHAEEEVGLEVDLHDGNSLTAIVQTKLEVQLG >DRNTG_06551.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22696739:22698968:1 gene:DRNTG_06551 transcript:DRNTG_06551.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVLSNAAVARSFTEYLSCTFGSNNPNSWRIEVNGLPKGYSSLDFTAVALVLILTLCLCHSTKESSVLNLVMTVFHLMFFGFIIVAGFCNGSSKNLVRPKGLAPFGVRGILNGAATVYFSYIGYDTVSTLAEEIKNPSKNLPIGITGSVIIVSVLYCLMSLALSSMMPYNEIMENASFSSAFQNTVGWKWASNVVGAGASLGIVASLLVAMLGQARYLCVIGRARLVPVWLAKVHPFTGTPLNATIFLGICTASIALFTDLEIVLEMISIGTLVVFYLVANALIYRRYVKLSSGNPFPTILFLLILSLTSIGFSLSWKFNGDCLWGLIIFGFASVIVTAIFHRFVPCYHRPSEWSVPLMPWPAACSIFLNVFLMNSLNKKAFQRFGIWSFVVTLFYVLYGVHSTYHAEEEVGLEVDLHDGNSLTAIVQTKLEVQLG >DRNTG_34661.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23048455:23050088:-1 gene:DRNTG_34661 transcript:DRNTG_34661.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFSNALEMIKELSTPHALQFLEPIWIGVYKQLQMLQYSLLLIQPLIEDAEERQLTDKAVRYWLLLLKDAVYDAEDILDEAKTHELVIQRKAQLYGRPRSKVREFFSLDHNPLLFKLQLGKKLSNVNERINELIEEMGKFKLRVLENNNKPLKNRPQTYSYVDESPVIFGRDEDKEKLVHMLIRDSFDEKVAVVSIVGMGGLGKTTLAQLVYADERVKNHF >DRNTG_34661.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23048895:23049237:-1 gene:DRNTG_34661 transcript:DRNTG_34661.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLQKDLRDVLGQERYLLVLDDVWNEDFEKWDALRNMLLDGGEGSRILVTTRNEKCSRLMGAQRHHFLRGLSEESSWVLFEHKAFAVGAPKPL >DRNTG_34661.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23049283:23050145:-1 gene:DRNTG_34661 transcript:DRNTG_34661.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFSNALEMIKELSTPHALQFLEPIWIGVYKQLQMLQYSLLLIQPLIEDAEERQLTDKAVRYWLLLLKDAVYDAEDILDEAKTHELVIQRKAQLYGRPRSKVREFFSLDHNPLLFKLQLGKKLSNVNERINELIEEMGKFKLRVLENNNKPLKNRPQTYSYVDESPVIFGRDEDKEKLVHMLIRDSFDEKVAVVSIVGMGGLGKTTLAQLVYADERVKNH >DRNTG_34661.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23049283:23050060:-1 gene:DRNTG_34661 transcript:DRNTG_34661.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFSNALEMIKELSTPHALQFLEPIWIGVYKQLQMLQYSLLLIQPLIEDAEERQLTDKAVRYWLLLLKDAVYDAEDILDEAKTHELVIQRKAQLYGRPRSKVREFFSLDHNPLLFKLQLGKKLSNVNERINELIEEMGKFKLRVLENNNKPLKNRPQTYSYVDESPVIFGRDEDKEKLVHMLIRDSFDEKVAVVSIVGMGGLGKTTLAQLVYADERVKNH >DRNTG_34661.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23048895:23050088:-1 gene:DRNTG_34661 transcript:DRNTG_34661.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFSNALEMIKELSTPHALQFLEPIWIGVYKQLQMLQYSLLLIQPLIEDAEERQLTDKAVRYWLLLLKDAVYDAEDILDEAKTHELVIQRKAQLYGRPRSKVREFFSLDHNPLLFKLQLGKKLSNVNERINELIEEMGKFKLRVLENNNKPLKNRPQTYSYVDESPVIFGRDEDKEKLVHMLIRDSFDEKVAVVSIVGMGGLGKTTLAQLVYADERVKNHF >DRNTG_34661.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23048455:23049237:-1 gene:DRNTG_34661 transcript:DRNTG_34661.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLQKDLRDVLGQERYLLVLDDVWNEDFEKWDALRNMLLDGGEGSRILVTTRNEKCSRLMGAQRHHFLRGLSEESSWVLFEHKAFAVGAPKPLPKIGGDWSADS >DRNTG_34661.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23049283:23050088:-1 gene:DRNTG_34661 transcript:DRNTG_34661.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFSNALEMIKELSTPHALQFLEPIWIGVYKQLQMLQYSLLLIQPLIEDAEERQLTDKAVRYWLLLLKDAVYDAEDILDEAKTHELVIQRKAQLYGRPRSKVREFFSLDHNPLLFKLQLGKKLSNVNERINELIEEMGKFKLRVLENNNKPLKNRPQTYSYVDESPVIFGRDEDKEKLVHMLIRDSFDEKVAVVSIVGMGGLGKTTLAQLVYADERVKNH >DRNTG_34661.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23048455:23050060:-1 gene:DRNTG_34661 transcript:DRNTG_34661.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFSNALEMIKELSTPHALQFLEPIWIGVYKQLQMLQYSLLLIQPLIEDAEERQLTDKAVRYWLLLLKDAVYDAEDILDEAKTHELVIQRKAQLYGRPRSKVREFFSLDHNPLLFKLQLGKKLSNVNERINELIEEMGKFKLRVLENNNKPLKNRPQTYSYVDESPVIFGRDEDKEKLVHMLIRDSFDEKVAVVSIVGMGGLGKTTLAQLVYADERVKNHF >DRNTG_34661.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23048895:23050060:-1 gene:DRNTG_34661 transcript:DRNTG_34661.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFSNALEMIKELSTPHALQFLEPIWIGVYKQLQMLQYSLLLIQPLIEDAEERQLTDKAVRYWLLLLKDAVYDAEDILDEAKTHELVIQRKAQLYGRPRSKVREFFSLDHNPLLFKLQLGKKLSNVNERINELIEEMGKFKLRVLENNNKPLKNRPQTYSYVDESPVIFGRDEDKEKLVHMLIRDSFDEKVAVVSIVGMGGLGKTTLAQLVYADERVKNHF >DRNTG_25404.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24523719:24526693:-1 gene:DRNTG_25404 transcript:DRNTG_25404.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNTREYMMKLNPKRVWVPGPLIVGAGPSGLATAACLKEKGVPSLILEKEDCIASSWKLRTYERLRLHLPKQYCELPHMPFPPGFPTYPTKQQFINYLEAYAQCFSIEPLFGMEVKCAEYDHSIGFWRVQANDLEFICRWLVVATGENSVPVVPDIIGFADFHGRVLHSSSYKKGDDFEGEKVLVVGCGNSGMEVCLDLCNNHAHAYMVVRGKLHILAREIFGISTFGLSMWMMKWFPMNFVYSLLLFFSWMILGGTEKHGLVRPKVGPPCN >DRNTG_29960.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15350089:15363261:1 gene:DRNTG_29960 transcript:DRNTG_29960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLNGMVFDLEKESTLFIELAKSNSRPKRSRADDGVSFSSDKRVRGSTAYSSGFPDSGVRSNTHMTGIANSAYNMNGYPSTQSHTSSGHDSAYYKDPSKLLSNDPCPTLFVANLSPTCTEKELSQVFSRCPGFLKLKMQNKNGVPVAFVDFQDVECSTGALNYLQGTHFYSSVGDGMRLEYARSRMGLRKRERKA >DRNTG_29960.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15350089:15363261:1 gene:DRNTG_29960 transcript:DRNTG_29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLNGMVFDLEKESTLFIELAKSNSRPKRSRADDGVSFSSDKRVRGSTAYSSGFPDSGVRSNTHMTGIANSAYNMNGYPSTQSHTSSGHDSAYYKDPSKLLSNSSTFAPQSNDPCPTLFVANLSPTCTEKELSQVFSRCPGFLKLKMQNKNGVPVAFVDFQDVECSTGALNYLQGTHFYSSVGDGMRLEYARSRMGLRKRERKA >DRNTG_23174.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001260.1:87211:87979:-1 gene:DRNTG_23174 transcript:DRNTG_23174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCQLVARGTSPSRYRWKGKNLRKTTPFPLKSPRWLQPRLPMQGH >DRNTG_28999.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22365575:22367411:-1 gene:DRNTG_28999 transcript:DRNTG_28999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLDLVSSPLPSSKKTKIPLLPMSTGDVAAVAGAGESDALLPSVENAYESSEKISIAISDDDETDADSVPSFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAVAGYSLLWLLLWATAMGLLIQLLSARLGVATGKHLAELCREEYKGWAGVALWIMTELALIGADIQEVIGSAIAIKVLSRGVLPLWAGVVITASDW >DRNTG_31537.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7277511:7278432:-1 gene:DRNTG_31537 transcript:DRNTG_31537.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFSRFFVGFQVKAREFSPRYRSPWPPPSSLRPSSLMSTKIHRQL >DRNTG_04907.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11011152:11012830:-1 gene:DRNTG_04907 transcript:DRNTG_04907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWTTCYRYSKVNQQLNPNPLASMASSQQINNSKIDEGIDNYIVYLAKFAVCEHNKRENAHLEFVKVVKATIDPVVEGVLYYITLEASNLGVPQLWMPKVWVKPSTDYIELQDFYHVVESKVIKIKENASSFIDYIAKFAVEEYDRETVVFLLFVFFTLQ >DRNTG_27166.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:707279:708589:1 gene:DRNTG_27166 transcript:DRNTG_27166.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLYIILNSNINLHNTTRPMSISMEQQQQLLQPHRRRRRRRHRSQNSSNMIIRQVWAHNLERELALIAGAIPNYPLISFDTEFPGVVFNSDKHPAALTTSERYSLMKSNVDILHLIQLGLTLSDLSGQHHLVWEFNFRDFNLFTDVYSPDSIKLLRSSGIDFIRNIVQGIDSHRFASLLIEYGIVGATSEVNWVTFHGAYDFAYLIKMLSGGAKLPKSLEEFLEMVRIYFGEEWWDVKHMMKYCGHSLYGGLEKVAAALKVDRVVGMGHQAGSDSLLTCQTFVKMKNTFFNEDGGLKHAGVLFGLELIKA >DRNTG_27166.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:706817:708507:1 gene:DRNTG_27166 transcript:DRNTG_27166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLYIILNSNINLHNTTRPMSISMEQQQQLLQPHRRRRRRRHRSQNSSNMIIRQVWAHNLERELALIAGAIPNYPLISFDTEFPGVVFNSDKHPAALTTSERYSLMKSNVDILHLIQLGLTLSDLSGQHHLVWEFNFRDFNLFTDVYSPDSIKLLRSSGIDFIRNIVQGIDSHRFASLLIEYGIVGATSEVNWVTFHGAYDFAYLIKMLSGGAKLPKSLEEFLEMVRIYFGEEWWDVKHMMKYCGHSLYGGLEKVAAALKVDRVVGMGHQAGSDSLLTCQTFVKMKNTFFNEDGGLKHAGVLF >DRNTG_11402.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13951656:13956948:1 gene:DRNTG_11402 transcript:DRNTG_11402.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLSPHHHLLLLLLLLLFFSLASSQKNQNISLGSTISTSGTTTSWLSPSGNFAFGFLPLPTNTSLFILAIYFTKTNEKTIVWYLKDINSPLLSDSKLHLTSDGQLLLTNLNNKTLWNPFPSSGSSYAAMLDTGNLILAAADSTPNWQSFDFPTDTIIPTQSLYKNNKLRSHLYDDNYTYGRFELLMQLDGNLVLYPLALLTDSANNYAAYWATMTVGSGNHLMFDISGTLYLELENKTHINVTASNLSTDEFYQRVTLDTDGGLRHYVHPKDPPKNSSWKNEWTVIATVPTDICQRLSGDTGSGACGFNSYCQLDQNLYRSCKCPPSFSFVDTDDMYKGCKPDFVAPSCGDGGGVNQVYDLIEMANTDWPDSNYEYYASFDEDQCRNNCLNDCFCAVAIFRGTDCWKKRLPLSNGRIGNDIGGKALIKIGTTNSSTLDSVRQQIEDKGKGRKVWLVVGLSSLIVSLFILLGSIMVVVMVLSSCSSSNYKKIQVDSNITRSTLRCFTYKELEEATNGFRKELGSGAFGTVYEGFLPPNPKTCVAVKKLENMLKEKEKEFMAEVQSIGQTHHKNLVRLFGYCDEGTNRLLVYEFMSNGSLTNFLFGEGRPSWNKRVEITLGIARGLLYLHEECSTSIIHCDIKLQNILLDDELTARISDFGLAKLLKADQTRTNTGIRGTKGYVAPEWFRNVAITTKVDVYSFGVMLLEIICCRKNLELELNNEDETVLVYWAYDCYKEGRMNILIGNDEEAAVNFEDFLRLLMVAIWCIQEDPSQRPTMRRVNQMLDCTIVAPPPPDPFFNKVPNT >DRNTG_11402.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13951656:13954318:1 gene:DRNTG_11402 transcript:DRNTG_11402.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLSPHHHLLLLLLLLLFFSLASSQKNQNISLGSTISTSGTTTSWLSPSGNFAFGFLPLPTNTSLFILAIYFTKTNEKTIVWYLKDINSPLLSDSKLHLTSDGQLLLTNLNNKTLWNPFPSSGSSYAAMLDTGNLILAAADSTPNWQSFDFPTDTIIPTQSLYKNNKLRSHLYDDNYTYGRFELLMQLDGNLVLYPLALLTDSANNYAAYWATMTVGSGNHLMFDISGTLYLELENKTHINVTASNLSTDEFYQRVTLDTDGGLRHYVHPKDPPKNSSWKNEWTVIATVPTDICQRLSGDTGSGACGFNSYCQLDQNLYRSCKCPPSFSFVDTDDMYKGCKPDFVAPSCGDGGGVNQVYDLIEMANTDWPDSNYEYYASFDEDQCRNNCLNDCFCAVAIFRGTDCWKKRLPLSNGRIGNDIGGKALIKIGTTNSSTLDSVRQQIEDKGKGRKVWLVVGLSSLIVSLFILLGSIMVVVMVLSSCSSSNYKKIQVDSNITRSTLRCFTYKELEEATNGFRKELGSGAFGTVYEGFLPPNPKTCVAVKKLENMLKEKEKEFMAEVQSIGQTHHKNLVRLFGYCDEGTNRLLVYEFMSNGSLTNFLFGEGRPSWNKRVEITLGIARGLLYLHEECSTSIIHCDIKLQNILLDDELTARISDFGLAKLLKADQTRTNTGIRGTKGYVAPEWFRNVAITTKVDVYSFGVMLLEIICCRKNLELELNNEDETVLVYWAYDCYKEGRMNILIGNDEEAAVNFEDFLRLLMVAIWCIQEDPSQRPTMRRVNQMLDCTIVAPPPPDPFFNKVPNT >DRNTG_11402.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13951656:13983023:1 gene:DRNTG_11402 transcript:DRNTG_11402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLSPHHHLLLLLLLLLFFSLASSQKNQNISLGSTISTSGTTTSWLSPSGNFAFGFLPLPTNTSLFILAIYFTKTNEKTIVWYLKDINSPLLSDSKLHLTSDGQLLLTNLNNKTLWNPFPSSGSSYAAMLDTGNLILAAADSTPNWQSFDFPTDTIIPTQSLYKNNKLRSHLYDDNYTYGRFELLMQLDGNLVLYPLALLTDSANNYAAYWATMTVGSGNHLMFDISGTLYLELENKTHINVTASNLSTDEFYQRVTLDTDGGLRHYVHPKDPPKNSSWKNEWTVIATVPTDICQRLSGDTGSGACGFNSYCQLDQNLYRSCKCPPSFSFVDTDDMYKGCKPDFVAPSCGDGGGVNQVYDLIEMANTDWPDSNYEYYASFDEDQCRNNCLNDCFCAVAIFRGTDCWKKRLPLSNGRIGNDIGGKALIKIGTTNSSTLDSVRQQIEDKGKGRKVWLVVGLSSLIVSLFILLGSIMVVVMVLSSFSSNYKRIQVDSNITGSTLRCFTYKELEEATNGFRKELGSGAFGTVYEGFLPPNPKTCVAVKKLENMLKEKEKEFMAEVRSIGQTHHKNLVRLFGYCDEGTNRLLVYEFMSNGSLTNFLFGERRPSWNKRVEIVLGIARGLLYLHEECSTSIIHCDIKLQNILLDDEVTARISDFGLAKLLKPNQTRTNTGIRGTKGYVAPEWFRNVAITTKVDVYSFGVMLLEIICCRKNLELELNNEDETVLVYWAYDCYKGGRVDILIANDEEAAVDFEDFLRLLMVAIWCIQEDPSQRPTMRRVNQMLDCTIVVNPPPDPFFNKVINS >DRNTG_11402.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13980405:13983023:1 gene:DRNTG_11402 transcript:DRNTG_11402.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLSDHLLLLLLPLLFSSLASSQKNQNISLGSTITTSGTTTSWLSPSGDFAFGFLPLPINASLFILAIYFAKTNEKTIAWYLKDINSPLLSDSKLYLTSDGQLLLTDLNNKTIWNPFPSSGSSYVAILDTGNLILAAADSTPNWQSFDFPTDTILPTQPLYKNNKLRSHLYDDNYTYGRFELLMQVDGNLVLYPLALLTDSSNNYGAYWSTMTVGSGNHLMFDVSGTLYLELENKTHINVTASNLSTDEFYQRVTLDTDGSLRHYVYPKDAAKNSSWKYEWTVIATVPSDICRSLYDDTGSGACGFNSYCHLDQNLGQSCKCPPSFSFVDTDDMYKGCKPDFVAPSCGDGGGVDQVYDLIEMANTDWPGSDYEYYASFDEDQCRKNCLNDCFCAVAIFRGTDCWKKRLPLSNGRTGNDIGGKALIKVGTTNSSTLDSMRQQIEDKRKGRKVWLVVGLSSLIVSLFILLGSVMVVVMVLSSFSSNYKRIQVDSNITGSTLRCFTYKELEEATNGFRKELGSGAFGTVYEGFLPPNPKTCVAVKKLENMLKEKEKEFMAEVRSIGQTHHKNLVRLFGYCDEGTNRLLVYEFMSNGSLTNFLFGERRPSWNKRVEIVLGIARGLLYLHEECSTSIIHCDIKLQNILLDDEVTARISDFGLAKLLKPNQTRTNTGIRGTKGYVAPEWFRNVAITTKVDVYSFGVMLLEIICCRKNLELELNNEDETVLVYWAYDCYKGGRVDILIANDEEAAVDFEDFLRLLMVAIWCIQEDPSQRPTMRRVNQMLDCTIVVNPPPDPFFNKVINS >DRNTG_32610.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001868.1:42747:44913:1 gene:DRNTG_32610 transcript:DRNTG_32610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHILLHGPHGPCTVSLDPWSPEFGSMRTVTESYRRITIANLPLHFRDWATLVEVVKPAGDLVAVYKDDKITLEFVYVMVRLRRVTHLPLELELTVGTMQYLIHLEDFQTFQPYNSGRSMMEITTSQKQGADFMVEESTSQSHGQRGPWRSTHEIPREEKGKMRAGEGEDT >DRNTG_02636.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:11894112:11901198:1 gene:DRNTG_02636 transcript:DRNTG_02636.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGSLLMNMGIPSAFCLAIGGLYIVLFFVLPWPSISSVQRYKISPGFGASEMSWIDNDGLFLLSNSSNFAFGLTIYSSTNTTFFLLVVIHKSSSTIVWTANQDSPVIQSDLFVFDTDGNAYLQSNGRIIWSTNTSGMGATSMELLDSGNLVMLGADSYAPPLWQSFSHPTDTVLSRQSFSEGMMLVSKPNPHNLVCRLKMESGDMRLYADFRQPQPYWSIQDDKRKIYNQAGGTMYSASLVSNSWNFYDRNQSLLWQFLISGDPMFPNVTWAAVLGADGFITFHSLPDGASTGSTTSSTNIPSDSCDTPEPCDPYFICHEGTRCQCPAVLSSDDNCNPDVVSPCSSSASSFNLAKLEDGVGYFATSYTSPMTSKSNLSACQASCLGNCSCLALLFHPGSGNCFLFDEIGSFQQSGSGYTAFIKISGGGGDAPGGGGGGHNSIIIIIICVTTVLVIAGLIFIAYWILKKKRKQPAEPPSPCSSEENSFLENMSGMPVRFSYRELTAATDNFSVRLGQGGFGSVYLGKLQDGTQVAVKKLEHIGQGKKEFRAEVSIIGSIHHVHLVRLRGFCAEGIHRLLAYEYMPKGSLDRWIFKTHKEGAQLLDWDRRYNIALGTAKGLAYLHEDCESKIVHCDIKPENVLLDENFEAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWLTNYAISEKSDVYSYGMVLLEIIGGRKNYDPRETSEKANFPSYAFKMMEEGRLRDVLDERLLNFIENQKHKEEDSRVETAIKVALWCIQEDMSLRPSMGKVVQMLEGVTEVPHPPTLSKMAFRLYANVFKPLTSSEEGTSSGPSDCNSDALLSAVQLSGPR >DRNTG_22554.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001244.1:49932:51395:-1 gene:DRNTG_22554 transcript:DRNTG_22554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAVAAGAAQESSLPLPVKEIPGSYGIPFLSSIRDRLDFYYFQGQDDFFKTRIEKYSSTVFRVNMPPGPFMAHDPRVIAVLDAKSFDVLFDVSKVEKRNVLCGTYMPSTSFTGGYRVCAYLDPSEPTHAKIKQLLVTLLASRKFYVIPSFRSIYSSLFQTMESQLAASLGAKSDFNKLNDETAFDFLGDAFFGVSPSSTNLGTNGPSKATKWLFFQLCPLMTLGLPKILEELFLHTFPLPPFLAKSDYKALYAYFSSSTAPVLDTAEKLGLSREEACHNLLFATIFNSYGGFKVLFPGILKWLALADPNLHSQLAKEIRSVVQAEGGKVTLAAIEKMELTKSVVYEALRMDPPVKFQYGKAKHDLVIESHDAAYKVSKGEMIFGYQPFATKDTRVFENGDKFVGDRFVGEQGKKLLKHVLWSNGHETESPTVENKQCAGKDFVVLIGRLLLVEFFLRYDTFTAEVGTLLLGAQVTITSLTKATSFI >DRNTG_21416.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:711837:714245:-1 gene:DRNTG_21416 transcript:DRNTG_21416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSWLLGCRAGGAPMGGKSGEHLRSRCRQVR >DRNTG_30199.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001546.1:1469:3333:1 gene:DRNTG_30199 transcript:DRNTG_30199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKFALALKTKTIEFFADDDDDDAISTVDLDPPPHELLTGQRVVVLKPDPLPRPQTLTLVPSLFSAVASFRAAYLRLQASHAPFDPAAAASADVAAVAHLRRLSDFKRSFFRSSGDASSIPSLSSLLESQVRENQSLLRSFETAVDRLQADIDCKDSEAAVLRSRLRDLDSAVMKLERRLERASSPPDEKVEALLTLSVFDSVLRDSCRGMHRFAKNLMDFMKKSGFDFVSAANSIHPDVNYAKSGHRRYALLSYISLIMLEGFDSQNYSLDEAGIGCNSGDLRFRRKNSLRHFVEHSAVDAFELMEANPGCDFARFCERKHDKLFCSIMESCPSSALVNWGSPGSLHLMNPLYDPFVSMASSVWMLHKLAWAYDPVVEIFQVGRGTEFSNVYMESVVRRIASKRLECGKGQQRPKVAFTVVPGFTVGRTVIQCRVYLDGS >DRNTG_07303.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3449377:3452698:1 gene:DRNTG_07303 transcript:DRNTG_07303.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP16 [Source:Projected from Arabidopsis thaliana (AT1G06110) UniProtKB/Swiss-Prot;Acc:Q9LND7] MEGLVVLDAILEKLDARDVVMVACVSTRLRSCAFDDSLWRRFCARDFGVSSLVAPNGEACLSFKATYELWNESFGMYPLPLVKRTKQCWEGISSWLATNFPEAKDTLRKGASEAELKLVEDTLELKLPMAMRLLYRFCDGQGTVPRDTSEHRRLAPLGIIGGYEFYDHVVNVHLLPLDRVVVETREFEAALGSVKSKRIIVAASYYAEKWFFLDSVDGQLYVGSNNVSINGEAFPCVPHTLIRPRVDDTRDVPQDALLLWLEEYSRRLHSGMIQVRKFRKARVINLFPEEPPSCSVAITNGVKVRASSVLVPEVSSATGDYCYAYSIRMSLQPEGCLLDGVYYQSCQLYSRHWIIKSKDDVVADVNGEGVIGKYPLLLPNGDEFVYESCTPLPASPGFVEGSFTFVPGRLTKPEGRQFKVQVARFHLEVPEYIF >DRNTG_35100.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1470125:1472185:-1 gene:DRNTG_35100 transcript:DRNTG_35100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LLG1 [Source:Projected from Arabidopsis thaliana (AT5G56170) UniProtKB/TrEMBL;Acc:A0A178USL6] MGLDDGLVLRVVLFLIFTGFGAASTFISDDVLHSNGLTGRSLLQTKTNCPLNFEFMNYTIITSKCKGPRYPADLCCGALTEFACPYAAELNDLTNNCASTMFSYLNIRARYPPGLFSSECKGGKDGLICPAAGPQSAENSNSGSCRKNQKLFALITIVSGFMSFFLVYFNGV >DRNTG_30159.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3390526:3401826:1 gene:DRNTG_30159 transcript:DRNTG_30159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARIMRNLTNIQQLKRKIGPTSAFDYQTVMVPMVKSFLRFILETLVDKDAKEKSDAVSQALLAELEHDAKKNAMKGGDHSKQSQDKSKDKKKNRDNRKSKDLKVVGYDGSLHEEHAKEDGFHAATDKSHTDLGVVPIGEHFNQQEEDLRRKVEIEEEERKLEETLEYQRRIENEAKQRHLAERSRRDVEVPRSNAVEGQCSVLLDHSVDQIHQKGGLNVYDEETMENSSCSVESKSIIFGSFDSNDIFALSDHPDQGAQSHQSKTISDPNQLPSPEAREVMCADNVKLRKGGNTDFLPSTQHVDLSSDLCNLKVNNNTEKIVTEISSANFSLQKNKRPRRGRSGGTQIAHGKSASTTNKEGLDVPVSMPSSMVSPTSDSGSSTNDFVGNNSGGKDLFGAGLKNDAGEYNCFLNVIIQSLWHLRRFRDEFLRISSLHKHMTDPCVVCALYDIFTDLSKASELQKDVVDPNCLRVALSKLDVHSNVLQEGQMNDASEVLEVIFNCLHRSFTSSVEPDSGSVKTKWTGSWECEDDSCVAHNLFGMNIRELMFCRSCSVESRHLKYTSFFHNINASSLRKMKDQHAESPFHELLKFVERNHQLPCDVDAGGCGNMNYITQSLSSSPHIFTVVLGWQNTNESADDISATLAAITTDVEIGSLYGGLNQGNKHVLVSVVCYYGQHYHCFAYSSEHKKWFRYDDRHVKVIGEWDEVLNVCRKGHLQPQVLFYESVN >DRNTG_14819.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:9856077:9857720:-1 gene:DRNTG_14819 transcript:DRNTG_14819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLVIFLVLLSELVVVFGGNFYEDVDITWGGGRGKIVGKGDVLTLSLDKASGSGFQSKSEYLYGKIDMQIKLVPGNSAGTVTTYYLSSQGATHDEIDFEFLGNLSGDPYVMHTNVFTQGKGNREQQFYLWFDPTKNFHTYSILWNPQHVIFFVDGIPIRDFKNEEARGVPFPNNQPMRMYSSLWDAEDWATRGGLVKTDWSKAPFVATYQNFNADACPLGSSTTCSSLRKDWYRQELDSIGQKKLQSVQKNYMIYNYCTDYKRFTQGVPPECRN >DRNTG_21865.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19282144:19283893:-1 gene:DRNTG_21865 transcript:DRNTG_21865.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g15690, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G15690) UniProtKB/Swiss-Prot;Acc:Q9ZQE5] MATSFSTIHRAGNSILVFSLSKLRTAIPSFRPSSRSLAPRCLSTSAIPNSYPRLPSDPRFVQQPQNPNQWHNNPHGHRPPMAPVNSPPPPPTPPPMEAPVDLAALCREGKIKEAVEFMSKGAHADPPTFFGLVSGCSDPKHLDDLKKLHDLFIRTPFRADLQINNKFIEMFSRCGSMSDARRVFDRMPDRSMDTWHLLIHGYASNAQGDDGLQVFEQMRRVGVHPDAHTFVVVLSACATAEAIEEGFIHFNSMYKEYGISPGIEHYVGLIEVLGKSGHLYEAVEYIEKLPFEPPAVIWETMMNLARLQGDIDLEDRMEELLVSIDPSKVSPKKIQTPPRKRRLGINMLDGKNKLAEYRLPPVIVKPQVKEQVYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRVCGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGKCSCGDYW >DRNTG_21865.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19282088:19283841:-1 gene:DRNTG_21865 transcript:DRNTG_21865.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g15690, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G15690) UniProtKB/Swiss-Prot;Acc:Q9ZQE5] MATSFSTIHRAGNSILVFSLSKLRTAIPSFRPSSRSLAPRCLSTSAIPNSYPRLPSDPRFVQQPQNPNQWHNNPHGHRPPMAPVNSPPPPPTPPPMEAPVDLAALCREGKIKEAVEFMSKGAHADPPTFFGLVSGCSDPKHLDDLKKLHDLFIRTPFRADLQINNKFIEMFSRCGSMSDARRVFDRMPDRSMDTWHLLIHGYASNAQGDDGLQVFEQMRRVGVHPDAHTFVVVLSACATAEAIEEGFIHFNSMYKEYGISPGIEHYVGLIEVLGKSGHLYEAVEYIEKLPFEPPAVIWETMMNLARLQGDIDLEDRMEELLVSIDPSKVSPKKIQTPPRKRRLGINMLDGKNKLAEYRLPPVIVKPQVKEQVYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRVCGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGKCSCGDYW >DRNTG_21865.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19281994:19283841:-1 gene:DRNTG_21865 transcript:DRNTG_21865.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g15690, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G15690) UniProtKB/Swiss-Prot;Acc:Q9ZQE5] MATSFSTIHRAGNSILVFSLSKLRTAIPSFRPSSRSLAPRCLSTSAIPNSYPRLPSDPRFVQQPQNPNQWHNNPHGHRPPMAPVNSPPPPPTPPPMEAPVDLAALCREGKIKEAVEFMSKGAHADPPTFFGLVSGCSDPKHLDDLKKLHDLFIRTPFRADLQINNKFIEMFSRCGSMSDARRVFDRMPDRSMDTWHLLIHGYASNAQGDDGLQVFEQMRRVGVHPDAHTFVVVLSACATAEAIEEGFIHFNSMYKEYGISPGIEHYVGLIEVLGKSGHLYEAVEYIEKLPFEPPAVIWETMMNLARLQGDIDLEDRMEELLVSIDPSKVSPKKIQTPPRKRRLGINMLDGKNKLAEYRLPPVIVKPQVKEQVYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRVCGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGKCSCGDYW >DRNTG_21865.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19282144:19283841:-1 gene:DRNTG_21865 transcript:DRNTG_21865.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g15690, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G15690) UniProtKB/Swiss-Prot;Acc:Q9ZQE5] MATSFSTIHRAGNSILVFSLSKLRTAIPSFRPSSRSLAPRCLSTSAIPNSYPRLPSDPRFVQQPQNPNQWHNNPHGHRPPMAPVNSPPPPPTPPPMEAPVDLAALCREGKIKEAVEFMSKGAHADPPTFFGLVSGCSDPKHLDDLKKLHDLFIRTPFRADLQINNKFIEMFSRCGSMSDARRVFDRMPDRSMDTWHLLIHGYASNAQGDDGLQVFEQMRRVGVHPDAHTFVVVLSACATAEAIEEGFIHFNSMYKEYGISPGIEHYVGLIEVLGKSGHLYEAVEYIEKLPFEPPAVIWETMMNLARLQGDIDLEDRMEELLVSIDPSKVSPKKIQTPPRKRRLGINMLDGKNKLAEYRLPPVIVKPQVKEQVYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRVCGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGKCSCGDYW >DRNTG_21865.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19280965:19283841:-1 gene:DRNTG_21865 transcript:DRNTG_21865.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g15690, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G15690) UniProtKB/Swiss-Prot;Acc:Q9ZQE5] MATSFSTIHRAGNSILVFSLSKLRTAIPSFRPSSRSLAPRCLSTSAIPNSYPRLPSDPRFVQQPQNPNQWHNNPHGHRPPMAPVNSPPPPPTPPPMEAPVDLAALCREGKIKEAVEFMSKGAHADPPTFFGLVSGCSDPKHLDDLKKLHDLFIRTPFRADLQINNKFIEMFSRCGSMSDARRVFDRMPDRSMDTWHLLIHGYASNAQGDDGLQVFEQMRRVGVHPDAHTFVVVLSACATAEAIEEGFIHFNSMYKEYGISPGIEHYVGLIEVLGKSGHLYEAVEYIEKLPFEPPAVIWETMMNLARLQGDIDLEDRMEELLVSIDPSKVSPKKIQTPPRKRRLGINMLDGKNKLAEYRLPPVIVKPQVKEQVYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRVCGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGKCSCGDYW >DRNTG_23991.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5965404:5966525:1 gene:DRNTG_23991 transcript:DRNTG_23991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLFVKIARPFKDASMKKSLIAYHMDLYSDIWPQEKSVWTMFMRPRGNSRARVEIPATHAGEWKIHMGAWGHVTARFWAINRSFALFFDIFCADLEGLYATIFGVRCEIERFLCQDLV >DRNTG_00132.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2328376:2328871:1 gene:DRNTG_00132 transcript:DRNTG_00132.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKMKNGVTATDLVLTVTHMLRKHGVVGKFVEFYG >DRNTG_00132.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2330080:2331950:1 gene:DRNTG_00132 transcript:DRNTG_00132.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGFAVPKDSQNKVAEFSFHGTPAQIKHGDVVIAAITSCTNTSNPSVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVKKYLEKSGLQKYLNQLGFHIVGYGCTTCIGNSGDLDESVSSAISENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFNTEPIGTSKDGKKVYF >DRNTG_00132.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2328722:2331575:1 gene:DRNTG_00132 transcript:DRNTG_00132.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVLPGVVGFKLSGKMKNGVTATDLVLTVTHMLRKHGVVGKFVEFYGEGMSGLSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDDTVAMIESYLRANKMFVDYNLPQIERVYSSYLELNLEDVEPCVSGPKRPHDRVPLKEMKVDWHSCLDNEAGFKGFAVPKDSQNKVAEFSFHGTPAQIKHGDVVIAAITSCTNTSNPSVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVKKYLEKSGLQKYLNQLGFHIVGYGCTTCIGNSGDLDESVSSAISENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGT >DRNTG_00132.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2318168:2331950:1 gene:DRNTG_00132 transcript:DRNTG_00132.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAMNKLGGDSNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFQRNKERFAFLKWGSNAFNNMLVVPPGSGIVHQVNLEYLGRVVFNNGGMLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKMKNGVTATDLVLTVTHMLRKHGVVGKFVEFYGEGMSGLSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDDTVAMIESYLRANKMFVDYNLPQIERVYSSYLELNLEDVEPCVSGPKRPHDRVPLKEMKVDWHSCLDNEAGFKGFAVPKDSQNKVAEFSFHGTPAQIKHGDVVIAAITSCTNTSNPSVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVKKYLEKSGLQKYLNQLGFHIVGYGCTTCIGNSGDLDESVSSAISENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFNTEPIGTSKDGKKVYF >DRNTG_00132.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2328940:2329541:1 gene:DRNTG_00132 transcript:DRNTG_00132.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDDTVAMIESYLRANKMFVDYNLPQIERVYSSYLELNLEDVEPCVSGPKRLYLHLMFTTKISFRS >DRNTG_05041.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:179883:183554:-1 gene:DRNTG_05041 transcript:DRNTG_05041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAVKRERENREEEAEVVEGEARENRHGTTERRALRSRYLAVKNLISDERDGISSEDSEKFRTIISEVESLHQLVQKPREQVADAEALLDIANTLVTSVKSQINDGLTPSNFVTALLRNYGQHGGDASLNTISWADVGLSISDVLRMAPGCCTMIGPMDTEMKLRKVSVHRKKTKPTESARPEELDSSEMEGKTDTDKNMSTMFNILRKNKIARLENLVLNRYSFAQTVENFFALSFLVKDGRAQISVNNQGHHLVSPKNAPAATAVTSGDVSYHHFVLRFDFKDWKMMVSNVASGEELMPHRINPGVPVPAPSQTEEPFLDEPQSSAPPTTPIRKLTRNRGLVIQEQSVVDDTPDKGSARVTRRRLCVGR >DRNTG_05041.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:179883:181091:-1 gene:DRNTG_05041 transcript:DRNTG_05041.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKTDTDKNMSTMFNILRKNKIARLENLVLNRYSFAQTVENFFALSFLVKDGRAQISVNNQGHHLVSPKNAPAATAVTSGDVSYHHFVLRFDFKDWKMMVSNVASGEELMPHRINPGVPVPAPSQTEEPFLDEPQSSAPPTTPIRKLTRNRGLVIQEQSVVDDTPDKGSARVTRRRLCVGR >DRNTG_05041.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:179883:183446:-1 gene:DRNTG_05041 transcript:DRNTG_05041.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAVKRERENREEEAEVVEGEARENRHGTTERRALRSRYLAVKNLISDERDGISSEDSEKFRTIISEVESLHQLVQKPREQVADAEALLDIANTLVTSVKSQINDGLTPSNFVTALLRNYGQHGGDASLNTISWADVGLSISDVLRMAPGCCTMIGPMDTEMKLRKVSVHRKKTKPTESARPEELDSSEMEGKTDTDKNMSTMFNILRKNKIARLENLVLNRYSFAQTVENFFALSFLVKDGRAQISVNNQGHHLVSPKNAPAATAVTSGDVSYHHFVLRFDFKDWKMMVSNVASGEELMPHRINPGVPVPAPSQTEEPFLDEPQSSAPPTTPIRKLTRNRGLVIQEQSVVDDTPDKGSARVTRRRLCVGR >DRNTG_28453.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10787087:10790559:-1 gene:DRNTG_28453 transcript:DRNTG_28453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIGWGSCHLAFVLTLVSSSMSVTTPIGNKLSPIHHPNDIPRMVRKKITDLEQRITVVTIGEVKPVTDMHQRKAKMSRNSDAFIVMPENFPEYIVLLQVKNTASHEKGGKGSTPNHHQIHEVASSENYAGKSLRYNSSPKIPSLVTLINLNLPVAMDDNVKHSTVYNAHP >DRNTG_27414.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17711249:17712154:1 gene:DRNTG_27414 transcript:DRNTG_27414.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDPEEREDEEEDKEEDYEQEIESHGDRCLLLLHSEELQAFPHCGCIHGSLLYCVLYC >DRNTG_27414.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17708734:17712154:1 gene:DRNTG_27414 transcript:DRNTG_27414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDPEEREDEEEDKEEDYEQEIESHGDRCLLLLHSEELQAFPHCGCIHGSLLYCVLYC >DRNTG_27414.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17711652:17712231:1 gene:DRNTG_27414 transcript:DRNTG_27414.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDPEEREDEEEDKEEDYEQEIESHGDRCLLLLHSEELQAFPHCGCIHGSLLYCVLYC >DRNTG_23217.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10185605:10186492:-1 gene:DRNTG_23217 transcript:DRNTG_23217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRRNGYNQGRRMSERARRTQKEESIRRTVYVSNIDQH >DRNTG_23217.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10186236:10186492:-1 gene:DRNTG_23217 transcript:DRNTG_23217.2 gene_biotype:protein_coding transcript_biotype:protein_coding PPLGSAPALKPVLAAAPISQSETFPESLTDDEKSVHSKTTSPLIQTASRRSARYLSSK >DRNTG_07283.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3660606:3663697:1 gene:DRNTG_07283 transcript:DRNTG_07283.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTPTVLRSENFYIHRGKGADLAKADLPKPSKAGRQERKALRDVSNTALRDVSNIGKQPPLSSASKGTISKEKSALRTHETPKNATKNSLLSDEEIKRCHEWAKEGIEQIHFTGNDLQKLQQDKKEQSVRKKVDKVTSALQAWSDIIYCNAGMSLKANEDKTKMELEPEVLPPMIKLHSNSDNKELELLLFEPELDHLSADPTFELNPKDNSGLEPETTAFTTIPIKKSPASGHTNTKDPQA >DRNTG_07283.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3661023:3661824:1 gene:DRNTG_07283 transcript:DRNTG_07283.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTPTVLRSENFYIHRGKGADLAKADLPKPSKAGRQERKALRDVSNTALRDVSNIGKQPPLSSASKGTISKEKSALRTHETPKNATKNSLLSDEEIKRCHEWAKEGIEQIHFTGNDLQKLQQDKKEQS >DRNTG_07283.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3660606:3663697:1 gene:DRNTG_07283 transcript:DRNTG_07283.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTPTVLRSENFYIHRGKGADLAKADLPKPSKAGRQERKALRDVSNTALRDVSNIGKQPPLSSASKGTISKEKSALRTHETPKNATKNSLLSDEEIKRCHEWAKEGIEQIHFTGNDLQKLQQDKKEQSVRKKVDKVTSALQAWSDIIYCNAGMSLKANEDKTKMELEPEVLPPMIKLHSNSDNKELELLLFEPELDHLSADPTFELNPKDNSGLEPETTAFTTIPIKKSPASGHTNTKDPQA >DRNTG_26097.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20767229:20771077:-1 gene:DRNTG_26097 transcript:DRNTG_26097.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRERSYQLGGSSDDSYLDKMHVEPIYEAFRCPLTKKIMYDPVTIENGQTFEREAIENWFKECQDSGRRPFCPITLKELNSTDLNPSIALRSTIGEWTKRNEAAHLDITSRALTPGNSESDILQALEYIIHFCLKNRCNKLAVRNAELIPQIADMLKNSSKKIRCKALETLRMVAGYNSDTKEAVAAGDTIRTIVKFLPHEHSQEREEAIYLLYELSKSETLCEKIGGVNGAILLLVGISSNHSENISCVERAEKTLENLEKCENNVRQMAENGRLKPLLTLLLEGPTETQISMAAYLGELVLSNDVKVFVAQRAGHALINIMTSGTMQAREAALKALNQISSHDASAKILIEAGILPPVVTDLFRVGVNQLPMRLKEVSATVLANIVASGADFEAIPVGPKQETLISEDIVHNLLHLSSNTGPAIECKLLQILVGLTSSPATVPNIVSAIKSSGAMVSLIQFIEAPQRDIRAASLRILYNISSYMGQELAEALQGTAGQLGSLIKVILENDGISEEQASAVGLLASLPERDSYLTRRLLNEGFFRHCHF >DRNTG_26097.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20767110:20768939:-1 gene:DRNTG_26097 transcript:DRNTG_26097.12 gene_biotype:protein_coding transcript_biotype:protein_coding MKASFDIVISRVIKIRRGATRGNRFFTLYLEGLVGILSRLTYILQNAPEIINLAREYNLAAVFTDLLQMNGLDKVQTLSAMALENLSEQSKHLTVIPEIKESGICYSIFPCFSNQPEVTGLCRVHHGICSVRDSFCLLECKAVDKLVACLDHTNEKVVEAALAALCTLLEDGVDIDQGVFVLFEAEGIKPILEVLIENRTEILRQRSVWAVERILRTDEIASHVSGDQSVSTALVDAFRHADYRTKQIAERALKHVDKLPNFSSVFLKDGLKK >DRNTG_26097.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20767002:20770606:-1 gene:DRNTG_26097 transcript:DRNTG_26097.9 gene_biotype:protein_coding transcript_biotype:protein_coding MKASFDIVISRVIKIRRGATRGNRFFTLYLEGLVGILSRLTYILQNAPEIINLAREYNLAAVFTDLLQMNGLDKVQTLSAMALENLSEQSKHLTVIPEIKESGICYSIFPCFSNQPEVTGLCRVHHGICSVRDSFCLLECKAVDKLVACLDHTNEKVVEAALAALCTLLEDGVDIDQGVFVLFEAEGIKPILEVLIENRTEILRQRSVWAVERILRTDEIASHVSGDQSVSTALVDAFRHADYRTKQIAERALKHVDKLPNFSSVFLKDGLKK >DRNTG_26097.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20767229:20770950:-1 gene:DRNTG_26097 transcript:DRNTG_26097.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRERSYQLGGSSDDSYLDKMHVEPIYEAFRCPLTKKIMYDPVTIENGQTFEREAIENWFKECQDSGRRPFCPITLKELNSTDLNPSIALRSTIGEWTKRNEAAHLDITSRALTPGNSESDILQALEYIIHFCLKNRCNKLAVRNAELIPQIADMLKNSSKKIRCKALETLRMVAGYNSDTKEAVAAGDTIRTIVKFLPHEHSQEREEAIYLLYELSKSETLCEKIGGVNGAILLLVGISSNHSENISCVERAEKTLENLEKCENNVRQMAENGRLKPLLTLLLEGPTETQISMAAYLGELVLSNDVKVFVAQRAGHALINIMTSGTMQAREAALKALNQISSHDASAKILIEAGILPPVVTDLFRVGVNQLPMRLKEVSATVLANIVASGADFEAIPVGPKQETLISEDIVHNLLHLSSNTGPAIECKLLQILVGLTSSPATVPNIVSAIKSSGAMVSLIQFIEAPQRDIRAASLRILYNISSYMGQELAEALQGTAGQLGSLIKVILENDGISEEQASAVGLLASLPERDSYLTRRLLNEGFFRHCHF >DRNTG_26097.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20767229:20770606:-1 gene:DRNTG_26097 transcript:DRNTG_26097.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRERSYQLGGSSDDSYLDKMHVEPIYEAFRCPLTKKIMYDPVTIENGQTFEREAIENWFKECQDSGRRPFCPITLKELNSTDLNPSIALRSTIGEWTKRNEAAHLDITSRALTPGNSESDILQALEYIIHFCLKNRCNKLAVRNAELIPQIADMLKNSSKKIRCKALETLRMVAGYNSDTKEAVAAGDTIRTIVKFLPHEHSQEREEAIYLLYELSKSETLCEKIGGVNGAILLLVGISSNHSENISCVERAEKTLENLEKCENNVRQMAENGRLKPLLTLLLEGPTETQISMAAYLGELVLSNDVKVFVAQRAGHALINIMTSGTMQAREAALKALNQISSHDASAKILIEAGILPPVVTDLFRVGVNQLPMRLKEVSATVLANIVASGADFEAIPVGPKQETLISEDIVHNLLHLSSNTGPAIECKLLQILVGLTSSPATVPNIVSAIKSSGAMVSLIQFIEAPQRDIRAASLRILYNISSYMGQELAEALQGTAGQLGSLIKVILENDGISEEQASAVGLLASLPERDSYLTRRLLNEGFFRHCHF >DRNTG_26097.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20767002:20770606:-1 gene:DRNTG_26097 transcript:DRNTG_26097.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRERSYQLGGSSDDSYLDKMHVEPIYEAFRCPLTKKIMYDPVTIENGQTFEREAIENWFKECQDSGRRPFCPITLKELNSTDLNPSIALRSTIGEWTKRNEAAHLDITSRALTPGNSESDILQALEYIIHFCLKNRCNKLAVRNAELIPQIADMLKNSSKKIRCKALETLRMVAGYNSDTKEAVAAGDTIRTIVKFLPHEHSQEREEAIYLLYELSKSETLCEKIGGVNGAILLLVGISSNHSENISCVERAEKTLENLEKCENNVRQMAENGRLKPLLTLLLEGPTETQISMAAYLGELVLSNDVKVFVAQRAGHALINIMTSGTMQAREAALKALNQISSHDASAKILIEAGILPPVVTDLFRVGVNQLPMRLKEVSATVLANIVASGADFEAIPVGPKQETLISEDIVHNLLHLSSNTGPAIECKLLQILVGLTSSPATVPNIVSAIKSSGAMVSLIQFIEAPQRDIRAASLRILYNISSYMGQELAEALQGTAGQLGSLIKVILENDGISEEQASAVGLLASLPERDSYLTRRLLNEGFFRHCHF >DRNTG_26097.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20767002:20770950:-1 gene:DRNTG_26097 transcript:DRNTG_26097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRERSYQLGGSSDDSYLDKMHVEPIYEAFRCPLTKKIMYDPVTIENGQTFEREAIENWFKECQDSGRRPFCPITLKELNSTDLNPSIALRSTIGEWTKRNEAAHLDITSRALTPGNSESDILQALEYIIHFCLKNRCNKLAVRNAELIPQIADMLKNSSKKIRCKALETLRMVAGYNSDTKEAVAAGDTIRTIVKFLPHEHSQEREEAIYLLYELSKSETLCEKIGGVNGAILLLVGISSNHSENISCVERAEKTLENLEKCENNVRQMAENGRLKPLLTLLLEGPTETQISMAAYLGELVLSNDVKVFVAQRAGHALINIMTSGTMQAREAALKALNQISSHDASAKILIEAGILPPVVTDLFRVGVNQLPMRLKEVSATVLANIVASGADFEAIPVGPKQETLISEDIVHNLLHLSSNTGPAIECKLLQILVGLTSSPATVPNIVSAIKSSGAMVSLIQFIEAPQRDIRAASLRILYNISSYMGQELAEALQGTAGQLGSLIKVILENDGISEEQASAVGLLASLPERDSYLTRRLLNEGFFRHCHF >DRNTG_26097.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20767002:20770749:-1 gene:DRNTG_26097 transcript:DRNTG_26097.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRERSYQLGGSSDDSYLDKMHVEPIYEAFRCPLTKKIMYDPVTIENGQTFEREAIENWFKECQDSGRRPFCPITLKELNSTDLNPSIALRSTIGEWTKRNEAAHLDITSRALTPGNSESDILQALEYIIHFCLKNRCNKLAVRNAELIPQIADMLKNSSKKIRCKALETLRMVAGYNSDTKEAVAAGDTIRTIVKFLPHEHSQEREEAIYLLYELSKSETLCEKIGGVNGAILLLVGISSNHSENISCVERAEKTLENLEKCENNVRQMAENGRLKPLLTLLLEGPTETQISMAAYLGELVLSNDVKVFVAQRAGHALINIMTSGTMQAREAALKALNQISSHDASAKILIEAGILPPVVTDLFRVGVNQLPMRLKEVSATVLANIVASGADFEAIPVGPKQETLISEDIVHNLLHLSSNTGPAIECKLLQILVGLTSSPATVPNIVSAIKSSGAMVSLIQFIEAPQRDIRAASLRILYNISSYMGQELAEALQGTAGQLGSLIKVILENDGISEEQASAVGLLASLPERDSYLTRRLLNEGFFRHCHF >DRNTG_26097.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20767110:20770606:-1 gene:DRNTG_26097 transcript:DRNTG_26097.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRERSYQLGGSSDDSYLDKMHVEPIYEAFRCPLTKKIMYDPVTIENGQTFEREAIENWFKECQDSGRRPFCPITLKELNSTDLNPSIALRSTIGEWTKRNEAAHLDITSRALTPGNSESDILQALEYIIHFCLKNRCNKLAVRNAELIPQIADMLKNSSKKIRCKALETLRMVAGYNSDTKEAVAAGDTIRTIVKFLPHEHSQEREEAIYLLYELSKSETLCEKIGGVNGAILLLVGISSNHSENISCVERAEKTLENLEKCENNVRQMAENGRLKPLLTLLLEGPTETQISMAAYLGELVLSNDVKVFVAQRAGHALINIMTSGTMQAREAALKALNQISSHDASAKILIEAGILPPVVTDLFRVGVNQLPMRLKEVSATVLANIVASGADFEAIPVGPKQETLISEDIVHNLLHLSSNTGPAIECKLLQILVGLTSSPATVPNIVSAIKSSGAMVSLIQFIEAPQRDIRAASLRILYNISSYMGQELAEALQGTAGQLGSLIKVILENDGISEEQASAVGLLASLPERDSYLTRRLLNEGFFRHCHF >DRNTG_26097.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20767110:20770749:-1 gene:DRNTG_26097 transcript:DRNTG_26097.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKASFDIVISRVIKIRRGATRGNRFFTLYLEGLVGILSRLTYILQNAPEIINLAREYNLAAVFTDLLQMNGLDKVQTLSAMALENLSEQSKHLTVIPEIKESGICYSIFPCFSNQPEVTGLCRVHHGICSVRDSFCLLECKAVDKLVACLDHTNEKVVEAALAALCTLLEDGVDIDQGVFVLFEAEGIKPILEVLIENRTEILRQRSVWAVERILRTDEIASHVSGDQSVSTALVDAFRHADYRTKQIAERALKHVDKLPNFSSVFLKDGLKK >DRNTG_26097.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20767110:20770950:-1 gene:DRNTG_26097 transcript:DRNTG_26097.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRERSYQLGGSSDDSYLDKMHVEPIYEAFRCPLTKKIMYDPVTIENGQTFEREAIENWFKECQDSGRRPFCPITLKELNSTDLNPSIALRSTIGEWTKRNEAAHLDITSRALTPGNSESDILQALEYIIHFCLKNRCNKLAVRNAELIPQIADMLKNSSKKIRCKALETLRMVAGYNSDTKEAVAAGDTIRTIVKFLPHEHSQEREEAIYLLYELSKSETLCEKIGGVNGAILLLVGISSNHSENISCVERAEKTLENLEKCENNVRQMAENGRLKPLLTLLLEGPTETQISMAAYLGELVLSNDVKVFVAQRAGHALINIMTSGTMQAREAALKALNQISSHDASAKILIEAGILPPVVTDLFRVGVNQLPMRLKEVSATVLANIVASGADFEAIPVGPKQETLISEDIVHNLLHLSSNTGPAIECKLLQILVGLTSSPATVPNIVSAIKSSGAMVSLIQFIEAPQRDIRAASLRILYNISSYMGQELAEALQGTAGQLGSLIKVILENDGISEEQASAVGLLASLPERDSYLTRRLLNEGFFRHCHF >DRNTG_26097.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20767002:20770950:-1 gene:DRNTG_26097 transcript:DRNTG_26097.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKASFDIVISRVIKIRRGATRGNRFFTLYLEGLVGILSRLTYILQNAPEIINLAREYNLAAVFTDLLQMNGLDKVQTLSAMALENLSEQSKHLTVIPEIKESGICYSIFPCFSNQPEVTGLCRVHHGICSVRDSFCLLECKAVDKLVACLDHTNEKVVEAALAALCTLLEDGVDIDQGVFVLFEAEGIKPILEVLIENRTEILRQRSVWAVERILRTDEIASHVSGDQSVSTALVDAFRHADYRTKQIAERALKHVDKLPNFSSVFLKDGLKK >DRNTG_26097.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20769595:20770606:-1 gene:DRNTG_26097 transcript:DRNTG_26097.13 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRERSYQLGGSSDDSYLDKMHVEPIYEAFRCPLTKKIMYDPVTIENGQTFEREAIENWFKECQDSGRRPFCPITLKELNSTDLNPSIALRSTIGEWTKRNEAAHLDITSRALTPGNSESDILQALEYIIHFCLKNRCNKLAVRNAELIPQIADMLKNSSKKIRCKALETLRMVAGYNSDTKEAVAAGDTIRTIVKFLPHEHSQEREEAIYLLYELSKSETLCEKIGGVNGAILLLVGISSNHSENISCVERAEKTLENLEKCENNVRQMAENGRLKPLLTLLLEGTEYLQTLIY >DRNTG_07866.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18988429:18992534:1 gene:DRNTG_07866 transcript:DRNTG_07866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQPDYAHQGSSSSIGSSVQDIEDEVTIGSILADKNQNSGRSLGKRLSHLGSIPHTPRVNGEIPDVDDATLDHERLLERLTTYGLAEMQVEGDGNCQFRALADQLFRNPDYHKHVRKAVVKQLKHFRKFYESYVPMDYKTYLKNMKRTGEWGDHVTLQAAADRFGAKICLLTSFRDTCLVEIIPKEQNPAKELWLSFWSEVHYNSLYGADDLPTRVAKKKHWLF >DRNTG_27940.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6500962:6501521:1 gene:DRNTG_27940 transcript:DRNTG_27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSVHELVMMVLEEPEGSRVAAQMVISHLALATSVLALICVHLCYFMHIGL >DRNTG_13425.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10352274:10356605:1 gene:DRNTG_13425 transcript:DRNTG_13425.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMTSEKEETRRNVGEVKRDGIGIEWLLWICGRSM >DRNTG_13425.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10352274:10356605:1 gene:DRNTG_13425 transcript:DRNTG_13425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMTSEKEETRRNVGEVKRDGIGIEWLLWICGRSM >DRNTG_11848.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:143742:146508:1 gene:DRNTG_11848 transcript:DRNTG_11848.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKYTMQPRYIPVPFFSFFLLVLVNYYSTSASCHGFLLAMAHSTANIANLQTYIVHVQQPPGLAATSSSDADADAEREIWYKSFLPARLLLGSGEPEWLYAYHHVTSGFAVRLTEDELSTMKEKDGFLLAYPDRLIPLQTTYSSSFLGLEDHGKHSLWDASNYGAGIIIGVLDTGIAPNHTSFNDQGMPFPPAKWKGNCEFPPPAGCNKKLIGARNFIRGQNAMRGIPTNVVTQPPYDSEGHGTHTAGTAAGRFVEGAGAYGNAMGLAVGMAPRAHLAIYKVCSENGCPTSDIMAGLDAAVSDGVDVLSLSLGGKSLPFYQDGIAIGTFGAIQKGVFVSCAAGNSGPSDSTLSNEAPWILTVGASTMDRSIRTTVTLGNGQQFDGESLNQGIPFPATSPIPLVYAGGRRQTATCSTLSGVNVRGKVVVCDMGGGIGRVAKGSTVKAAGGVGMILANLPEMGYSTLAEPHVIPTSHVTYEAASQIKAYIKSAASLTANPVATITPKGTLFGAPPVAPIVAYFSSRGPSQASPNILKPDIIGPGVNVLAAWPFPVGPVGESNPFNVISGTSMSTPHLSGIAALLRAVHPKWSPAMIKSAIMTSSDVTGSDGQLIGDQLLRPYNLFATGVGHVNPTKASDPGLVFDIGPQEYIAYLCGLGYDDKQVSAVVRSSVSCSSYPAEVDLNYPSITVVLDSKGWANATRFVTNVGQAVSLYKVNVTPPPGVKVIVEPDTLSFTASHKVEKFTVQFQGTADASSNRVGSLTWSSGTNQVALRSPLLVVPSSSSSLSGSSVMAAKQSTIYI >DRNTG_20551.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:576158:578382:-1 gene:DRNTG_20551 transcript:DRNTG_20551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVNIESSDGIKLSARLFKASSNGNEGKSNLAVVLVHPYTVLGGSQGLLRGIAEGLAQRGFTALTFDMRGAGRSSGWASLTGSSEIQDVIGVCKWVVENLKPDGIVLVGSSAGAPIAGSAVDKIDQVVGYVSLGYPFGWTASILFGRHHEAILRSQKPKLFVMGTKDGFTSVKQLQNKLKSAAGRVETQLLDGVSHFQMEGPAYDEQMADLITKFIQSL >DRNTG_24943.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21341679:21346214:1 gene:DRNTG_24943 transcript:DRNTG_24943.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flap endonuclease GEN-like 2 [Source:Projected from Arabidopsis thaliana (AT3G48900) UniProtKB/Swiss-Prot;Acc:Q9M2Z3] MIKEAKVLGLALGIPCLDGLEEAEAQCALLNAESLCDGCFSSDSDIFLFGARTVYRDVVLGDGGHVSCYEMVDIESKLGLGRNSLITLALLLGGDYSQRVHGFGPEKALQVVKSMGDDNVLQQIISEGLMGLKKRTGTKKMDKHINRDKNKENDQSQMRNINMNSKNQGLDDQFCQVIKAYLKPKCHSADSEAVLRVCSEWPFQLTQIQHICEQYFGWNPEKTDEYILSKIAERDLRRFANLRLVSSELGARIPFDKLPVICPVSTIVKQRKLHGNVCFEVSWQNMGGIQMSIVPADLLESACPEMISEFMARKDEEKKCRNRRLNTRKSSKASINEVEARLQSLMLSIDSESNSVPSSSSNFHHSATNTTTPDIIDLSSPSPPHRPSKVAEPVDVIEIYDSEAEMSTEHQRKARNLKLFLDNIRNELH >DRNTG_24943.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21342593:21343663:1 gene:DRNTG_24943 transcript:DRNTG_24943.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flap endonuclease GEN-like 2 [Source:Projected from Arabidopsis thaliana (AT3G48900) UniProtKB/Swiss-Prot;Acc:Q9M2Z3] MVDIESKLGLGRNSLITLALLLGGDYSQRVHGFGPVSG >DRNTG_20675.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001137.1:36956:40596:-1 gene:DRNTG_20675 transcript:DRNTG_20675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFLKKTKENGWMSLEGILLCLTERDNDGENLATYLVRQIGEEFDGSNEGGRAETNCGGKYGGHHGEKGCCMSYIAQRLLQELKANPTMCEISKSLTEQMTLMDKITKILCEDDRTFVKNN >DRNTG_16567.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19488166:19490513:-1 gene:DRNTG_16567 transcript:DRNTG_16567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGHKHILQFNGICIQDNHGLCVVTRLMEGGSVHDVIQKNKKMACKDVIKIALDVAEGLMFMNHHGVAYRDLNTHRILLDRQGNACLGDMGIVTSCRNVGEVTEYETAGYRWLAPEIIAGDPESVSETWMSNVYSFGMVIWEMVTGEAAYSSYSPVQAAVGIAACGLRPDIPKDCPQVLRSLMSKCWNNCPSKRPQFSEIISILSKHSNK >DRNTG_03018.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:16790451:16792233:1 gene:DRNTG_03018 transcript:DRNTG_03018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSKALFDATSSAAACTTNSVCGPSTSTPDMNTFLSDNRIVSLEEKLESLTGDMSQMKNAIGDISDLKNQFQIMMSFMMEKFGSNIPPSTSK >DRNTG_32894.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1056575:1058157:-1 gene:DRNTG_32894 transcript:DRNTG_32894.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGARAENPRPKSTSLFLQIVEHLDGTISRPIVPISPPSSDPSNTQSQTLSKDLPLNPIHGTSLRLYCPNHPPSHKLPIIIFFHGGGFVAFNASTVFYHEECEQMAAELPAIVVSLDYRLAPEHRLPAAYDDAVEALSWVWDQAKGGGDPWIINHGDFSRCYLMGSSSGGNMAYYAGIRAMDLDLHPIVLAGVILNQPYLGGEKRTSSEMASEEDPVLPLRANETMWRLALPKGADRDHEFCNVVKAVAGRRIRLPRCLILGFDGDPLIDRQREFASVLKEGGVNVVARVGGEGFHAAELFVPAKAAALIGELREFISNGFDADAA >DRNTG_32894.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1056575:1057828:-1 gene:DRNTG_32894 transcript:DRNTG_32894.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGARAENPRPKSTSLFLQIVEHLDGTISRPIVPISPPSSDPSNTQSQTLSKDLPLNPIHGTSLRLYCPNHPPSHKLPIIIFFHGGGFVAFNASTVFYHEECEQMAAELPAIVVSLDYRLAPEHRLPAAYDDAVEALSWVWDQAKGGGDPWIINHGDFSRCYLMGSSSGGNMAYYAGIRAMDLDLHPIVLAGVILNQPYLGGEKRTSSEMASEEDPVLPLRANETMWRLALPKGADRDHEFCNVVKAVAGRRIRLPRCLILGFDGDPLIDRQREFASVLKEGGVNVVARVGGEGFHAAELFVPAKAAALIGELREFISNGFDADAA >DRNTG_32894.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1056433:1058157:-1 gene:DRNTG_32894 transcript:DRNTG_32894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGARAENPRPKSTSLFLQIVEHLDGTISRPIVPISPPSSDPSNTQSQTLSKDLPLNPIHGTSLRLYCPNHPPSHKLPIIIFFHGGGFVAFNASTVFYHEECEQMAAELPAIVVSLDYRLAPEHRLPAAYDDAVEALSWVWDQAKGGGDPWIINHGDFSRCYLMGSSSGGNMAYYAGIRAMDLDLHPIVLAGVILNQPYLGGEKRTSSEMASEEDPVLPLRANETMWRLALPKGADRDHEFCNVVKAVAGRRIRLPRCLILGFDGDPLIDRQREFASVLKEGGVNVVARVGGEGFHAAELFVPAKAAALIGELREFISNGFDADAA >DRNTG_32894.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1056575:1057712:-1 gene:DRNTG_32894 transcript:DRNTG_32894.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGARAENPRPKSTSLFLQIVEHLDGTISRPIVPISPPSSDPSNTQSQTLSKDLPLNPIHGTSLRLYCPNHPPSHKLPIIIFFHGGGFVAFNASTVFYHEECEQMAAELPAIVVSLDYRLAPEHRLPAAYDDAVEALSWVWDQAKGGGDPWIINHGDFSRCYLMGSSSGGNMAYYAGIRAMDLDLHPIVLAGVILNQPYLGGEKRTSSEMASEEDPVLPLRANETMWRLALPKGADRDHEFCNVVKAVAGRRIRLPRCLILGFDGDPLIDRQREFASVLKEGGVNVVARVGGEGFHAAELFVPAKAAALIGELREFISNGFDADAA >DRNTG_32894.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1056433:1057712:-1 gene:DRNTG_32894 transcript:DRNTG_32894.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGARAENPRPKSTSLFLQIVEHLDGTISRPIVPISPPSSDPSNTQSQTLSKDLPLNPIHGTSLRLYCPNHPPSHKLPIIIFFHGGGFVAFNASTVFYHEECEQMAAELPAIVVSLDYRLAPEHRLPAAYDDAVEALSWVWDQAKGGGDPWIINHGDFSRCYLMGSSSGGNMAYYAGIRAMDLDLHPIVLAGVILNQPYLGGEKRTSSEMASEEDPVLPLRANETMWRLALPKGADRDHEFCNVVKAVAGRRIRLPRCLILGFDGDPLIDRQREFASVLKEGGVNVVARVGGEGFHAAELFVPAKAAALIGELREFISNGFDADAA >DRNTG_32894.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1056575:1057774:-1 gene:DRNTG_32894 transcript:DRNTG_32894.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGARAENPRPKSTSLFLQIVEHLDGTISRPIVPISPPSSDPSNTQSQTLSKDLPLNPIHGTSLRLYCPNHPPSHKLPIIIFFHGGGFVAFNASTVFYHEECEQMAAELPAIVVSLDYRLAPEHRLPAAYDDAVEALSWVWDQAKGGGDPWIINHGDFSRCYLMGSSSGGNMAYYAGIRAMDLDLHPIVLAGVILNQPYLGGEKRTSSEMASEEDPVLPLRANETMWRLALPKGADRDHEFCNVVKAVAGRRIRLPRCLILGFDGDPLIDRQREFASVLKEGGVNVVARVGGEGFHAAELFVPAKAAALIGELREFISNGFDADAA >DRNTG_32894.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1056433:1057828:-1 gene:DRNTG_32894 transcript:DRNTG_32894.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGARAENPRPKSTSLFLQIVEHLDGTISRPIVPISPPSSDPSNTQSQTLSKDLPLNPIHGTSLRLYCPNHPPSHKLPIIIFFHGGGFVAFNASTVFYHEECEQMAAELPAIVVSLDYRLAPEHRLPAAYDDAVEALSWVWDQAKGGGDPWIINHGDFSRCYLMGSSSGGNMAYYAGIRAMDLDLHPIVLAGVILNQPYLGGEKRTSSEMASEEDPVLPLRANETMWRLALPKGADRDHEFCNVVKAVAGRRIRLPRCLILGFDGDPLIDRQREFASVLKEGGVNVVARVGGEGFHAAELFVPAKAAALIGELREFISNGFDADAA >DRNTG_12511.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6732837:6740917:1 gene:DRNTG_12511 transcript:DRNTG_12511.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGESATAKYLLLYDMETPRQKQGSVDCTVYVMRFIEQLLWGEKLRLPQTDVPYLRLKRNALPSLLTRRVEQEGEHFDLGKKKKFSPTGCLGMTRGKQS >DRNTG_19526.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:128340:130162:1 gene:DRNTG_19526 transcript:DRNTG_19526.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSAITVHVTGFKKFHGVSENPTETIVSNLRSYMQKNGLPKDLVLGSCTVLEAAGLGALDSLYKMLESSVSDPDAKNNGQIIWLHLGVNSGAMRFAIENQAVNEATFRCPDELGWKPQKVSIIESDGCILRARETSFPVNEMAKALSGMGYDVAPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFVHVPLFLTICEETQMQFVASLLELLPSLN >DRNTG_19526.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:128432:130162:1 gene:DRNTG_19526 transcript:DRNTG_19526.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSAITVHVTGFKKFHGVSENPTETIVSNLRSYMQKNGLPKDLVLGSCTVLEAAGLGALDSLYKMLESSVSDPDAKNNGQIIWLHLGVNSGAMRFAIENQAVNEATFRCPDELGWKPQKVSIIESDGCILRARETSFPVNEMAKALSGMGYDVAPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFVHVPLFLTICEETQMQFVASLLELLPSLN >DRNTG_19526.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:128432:130162:1 gene:DRNTG_19526 transcript:DRNTG_19526.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSAITVHVTGFKKFHGVSENPTETIVSNLRSYMQKNGLPKDLVLGSCTVLEAAGLGALDSLYKMLESSVSDPDAKNNGQIIWLHLGVNSGAMRFAIENQAVNEATFRCPDELGWKPQKVSIIESDGCILRARETSFPVNEMAKALSGMGYDVAPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFVHVPLFLTICEETQMQFVASLLELLPSLN >DRNTG_17214.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2832322:2839811:-1 gene:DRNTG_17214 transcript:DRNTG_17214.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRRAAVVVLGDIGRSPRMQFHALSLARQADLEVDIVANGGNEPYVAIKENQSIHLHEMKSVSFQALSKISSALSLLVKAVLQFIILVWFLCFRIPRPDIFLVQNPPSVPTLAAVKFSSWLRRSSFLIDWHNFGYTLLGLSHGRSHIIVKIYHWFEKFFGRMADGSLCVTQAMQHELAQNWGIKATVLYDQPPEFFHPASTEEKHELFCRLGNNICHQDNICDCVSFGAEGLKGCTSVHVMDRLSQSMFTGQVGNDFFLKPNRPALVVSSTSWSPDEDFGMLLEAAVMYDRRVAAALCEDDSIEDEKLWMDICNGKQFLYPRLLFIITGKGPEKRKYEEQIKRLNLRRVAFRTMWLSAEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSFSCIEELVKVEKNGLLFSSPSELADQFMMFFKGFPDHCDALKSLRDGALATCSTSRWSTEWQTHALPLITQVISGERR >DRNTG_17214.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2832322:2839811:-1 gene:DRNTG_17214 transcript:DRNTG_17214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRRAAVVVLGDIGRSPRMQFHALSLARQADLEVDIVANGGNEPYVAIKENQSIHLHEMKSVSFQALSKISSALSLLVKAVLQFIILVWFLCFRIPRPDIFLVQNPPSVPTLAAVKFSSWLRRSSFLIDWHNFGYTLLGLSHGRSHIIVKIYHWFEKFFGRMADGSLCVTQAMQHELAQNWGIKATVLYDQPPEFFHPASTEEKHELFCRLGNNICHQDNICDCVSFGAEGLKGCTSVHVMDRLSQSMFTGQVGNDFFLKPNRPALVVSSTSWSPDEDFGMLLEAAVMYDRRVAAALCEDDSIEDEKLWMDICNGKQFLYPRLLFIITGKGPEKRKYEEQIKRLNLRRVAFRTMWLSAEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSFSCIEELVKVEKNGLLFSSPSELADQFMMFFKGFPDHCDALKSLRDGALATCSTSRWSTEWQTHALPLITQARCIYLLL >DRNTG_34294.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28214736:28218033:-1 gene:DRNTG_34294 transcript:DRNTG_34294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGLRVKAIVLLLIFVFLMLCSNFNTCNARRGKHWRTKKSSSSSSSSSFAQKKGKSKAKGGSHHHDGNSSKQKPKPDVEYPSPPASLSSSVFNVLDFGAKGDGLTDDTKAFQAAWAASCKVEASTMIIPSELEFLVGPISFSGPYCQPNIVFQLDGKIIAPTNPKAWGSGLLQWLEFTKLRGITIRGNGIIEGQGSVWWTNTPFEDDPVAIELNKKMPSIKPTALRFYGSTNVTVTGITIQNSPQCHLKFDNCESVLVYNMTVSSPGDSLNTDGIHLQNSRGVTIHHTDLGCGDDCISIQTGCSNVYIHNVNCGPGHGISIGGLGRDNTKACVSNITVQYINMHDTMNGVRIKTWQGGSGSVQNVRFSNIDVSEVQTPIIIDQFYCDKSTCKNQTSAVALSGIKYENIKGTYTVKPVHFACSDSIPCSDISLTQVELRPLQEKYHMYDPFCWKTYGELYTETIPPIYCLEGGKPTSNKILSDHDLC >DRNTG_16263.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4511292:4511611:1 gene:DRNTG_16263 transcript:DRNTG_16263.1 gene_biotype:protein_coding transcript_biotype:protein_coding RASAIDPAKLSTSNGGLSPAAFHSERTRVGLSRSTQMDSGHHSCHFR >DRNTG_12151.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11892697:11894965:-1 gene:DRNTG_12151 transcript:DRNTG_12151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMNPFINDIFEKLAQEALSWQDTTRSRSSYLMRSK >DRNTG_03877.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3811432:3817100:1 gene:DRNTG_03877 transcript:DRNTG_03877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPCPPKPPQNPSPKFHCSSRRSFAIGNAAASMVSLAVALALPVISNPIPALSVAEQEVAPPRKPLLPGISSTKSWFQFYGDGFSIRVPPQFEDIMEPEDFNAGLSLYGDKAKPKTFAARFASPDGSEVVSVVIRPSNQLKITFLEAKDITDIGSLKQAAKIFVPGGANLYSARTIKIREDETVRSYYFYEFGLEDQHVALVATINSGKAYIAGATAPQLKWENDGVELRSAAVSLSVL >DRNTG_03877.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3811432:3817100:1 gene:DRNTG_03877 transcript:DRNTG_03877.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMASPFASLLSLKILWNLRISMLDYHYMVIKQNQRLLQLGSHLQMEVVSVVIRPSNQLKITFLEAKDITDIGSLKQAAKIFVPGGANLYSARTIKIREDETVRSYYFYEFGLEDQHVALVATINSGKAYIAGATAPQLKWENDGVELRSAAVSLSVL >DRNTG_16208.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30858729:30860481:1 gene:DRNTG_16208 transcript:DRNTG_16208.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKETVNLQPPSPLPNPSNATPSSSSRLASSVRSSKEACHLFSPNSKKGC >DRNTG_16208.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30852511:30860481:1 gene:DRNTG_16208 transcript:DRNTG_16208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKETVNLQPPSPLPNPSNATPSSSSRLASSVRSSKEACHLFSPNSKKGC >DRNTG_26927.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2419336:2422735:1 gene:DRNTG_26927 transcript:DRNTG_26927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEEKKRMGMSLKSKGGGGGGEVIGKGMVTKKWTLLFCLGSFCAGLLFTNRMWTVPEAKEIIRRTDVGEDKIKLLAGDCGSKTIDRKRRPRDVLGEVSKTHDVIQTLDKTISNLEMELAAARAAQESILSGSPVSENVKVTGSSGRKKYFMVVGINTAFSSRKRRDSVRTTWMPQGEKREKLEKEKGIIIRFVIGHSATSGGILDKAIEAEDRKHGRLHETGSCRRIPRIVCQNQDIFCYCCLQMGC >DRNTG_35118.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3004879:3005491:-1 gene:DRNTG_35118 transcript:DRNTG_35118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFVIKKKLAKKMRQNRPIPHWIRLRTDNKIRYNAKRRHWRRTKLGF >DRNTG_16556.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8493419:8494985:-1 gene:DRNTG_16556 transcript:DRNTG_16556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPPIVAAPPTVAAPPATLGEDVTVTLMQACQILMTEFSRLVARVEALEGRSQPAASSLQRTEAPGTNEASEFDDDDIIGKFIPRRPHSKRLAKKRRTILPLSPPPVDDETIATPSAVDVIHRVSPLMTWP >DRNTG_16406.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4438128:4441573:1 gene:DRNTG_16406 transcript:DRNTG_16406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAEEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLKVEGRIIKAQIWDTAGQERYRAITSAYYRGALGAVLVYDTTKHTTFENVSRWLKELRDHADSNIVIMLIGNKTDLKHLRAVATEDAQSFAEKEGLSFIETSALEAINVEKAFQTILAEIYRIISKKSISSSEEPGSGPAGGIKEGKTIVVTGAEANSTKKQCCSA >DRNTG_32805.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001920.1:35688:37105:1 gene:DRNTG_32805 transcript:DRNTG_32805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLRAELEHRSFGLLGLFKSLLLSVQVPSSPMNSMSAKLFNSHLHFLCTAKSAPLLTSRVRVSPKATKISNLQLKEKWLDSLSLSPLGGDEGVICASEPQWVLGVDPDVSGTVALFKPNSSVSSAQLGRVRRGHQRGGKRISGSKRPRSPTENTCGCCFRSTQKTVECRHQVVCLRCACVGHMAARCSVVRSPHRKRLHVRTKKSTSTEKQDASQEGPMKMTVTAANHPPPSHVSLSLSLTPEIEKMRKDLAQVAILSLVEGFVNNSSILEVVPSILN >DRNTG_22192.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1498835:1499603:-1 gene:DRNTG_22192 transcript:DRNTG_22192.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMKKSPKLPQATALKQMLKRCSSLGRRSQGMDDESNGLPGDVPKGHFAVYVGEKRSRYIVPISLLSHPQFQSLLQRAEEEFGFDHDMGLTIPCEELFFFRSLTSMLQ >DRNTG_22192.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1497864:1499603:-1 gene:DRNTG_22192 transcript:DRNTG_22192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMKKSPKLPQATALKQMLKRCSSLGRRSQGMDDESNGLPGDVPKGHFAVYVGEKRSRYIVPISLLSHPQFQSLLQRAEEEFGFDHDMGLTIPCEELFFFRSLTSMLQ >DRNTG_16132.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6011585:6015346:-1 gene:DRNTG_16132 transcript:DRNTG_16132.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTRTISILLLFLLSLSLVLPRQFSHPVKNINIDRAALLAFKKGIVSDPQQALHNWNEANHVCDWNGVTCNNHTTRVTCLYLNDTSLVGQISPFLSNISFLECLHLSNNYFHGSIPKDLGALSKLMVLNLEENKIQGAIPDSFGKLKDLYFINLRDNQLKGKLPQQLLYNCTKLEIIDFGDNFLNGPIPPLLGNYLLNLTVLLLYGNKFTSHIPASLSNSTRMEVIDLAGNLLTDTLPSHILIHMPNLTELHLSNNNLSSDENNTNLTSFFSSISNLTHLKIIELAGNNLGGELPFVIGQLPCNLSKILLEDNQIHGVIPSSISKLRNLLDLSLSNNFLNGTIPLELFLMPHLQRLWLSNNSLHGKIPSLPVVSTNLGLLDLSYNNLSCTIPTSLANLNALRILNLRKNSLSGHIPSSLGSINLEQLDLSQNQLTGVLPVEVARLSSMGTCNLSYNLLEGEVPMEMSKMDKVQNIDLSSNNFSGTIPVSLRNCKELEVLNLSHNYLQGAVPSSFDSLPDLQSVDLSHNFLIGKIPDSLCQCTSLKLLNLSFNNLSGQIPEGGIFNSLTYDSIKGNHLCGSLSGLPACLHKQKTHKVSLLILGTILLSSVLLGIFYLVCSISIKDQVFKRNHRNSCPTSLGFVKSYRRILYRELLEATEGFNHNKLIGSGRFGHVYKGLLNDGSIVAIKVLQLLNSDFTKTFNRECQIMKLIRHRNLIRIVTTCSLPDFKALVLPFMANGNLESHLYPQGQNPSFTYLSLLERVNICCNVAEAVAYLHHHAPVQVIHCDLKPSNILLNDDMMALVSDFGIAKLTTTIAEKTMASENHINTELISGTIGYMAPEYAYGRRASTESDVYSFGILVLEIFTRKKPTDDMFIEPLDLQKWVKNSYHGQLREVIDPSLMHDLESHMEIKKIWETMIVQLLDLGLLCAQEDPSKRPTMIEVVDDLEEVKYYVNSDASLPFSSSNRISSSSLTKEMN >DRNTG_34800.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:253689:256151:-1 gene:DRNTG_34800 transcript:DRNTG_34800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVEPRGLLPRPVRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELKWVHFGKDLELLDSPGIIPMRISDQAAAIKLAICDDIGERSYDVIDVAAISCTNVDKASRSWFRQTLQAI >DRNTG_34800.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:252687:256151:-1 gene:DRNTG_34800 transcript:DRNTG_34800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVEPRGLLPRPVRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELKWVHFGKDLELLDSPGIIPMRISDQAAAIKLAICDDIGERSYDVIDVAAISCTNVDKASRSWFRQTLQAI >DRNTG_26390.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18046509:18046889:-1 gene:DRNTG_26390 transcript:DRNTG_26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLSFNAKKWFISSSLKSPNLAKLISFKDQPSLINCYTTDEDEFYEVGSSLSVSRTSSSASEISRTISSASATTDSSSSSGDDINVKAEAFIASFYKHIQMEREVSLKLRYCKPSDDDLERTKSF >DRNTG_11587.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:12730385:12734536:1 gene:DRNTG_11587 transcript:DRNTG_11587.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKLDDFDKVVQKYFTTKTPLRVAVRQLEQALANRYEKEAQKDFVTMFNKPFLRTASSVEKQAAGIYTRTIFNRFQEEFLESLGYQVDKIVDGVISKFRVVRNGDAYTVTYNASETKAHCSCLFEFSGILCRHALKVFIVVGMHKLSKDFILKRWTRNAKSGAVLDDCGVGFQSNCEEPSTS >DRNTG_28699.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:442952:446201:-1 gene:DRNTG_28699 transcript:DRNTG_28699.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVTDLAPEPHDVYWSNLWIPYRQLWLRQITVLLASIVFMFLFLIPVTFVQGLTQLDKLQQMFPFLKAILAKTVMTQLVTGYLPSVILQLFFYMVPPTMMLFSAMEGWISRSGRKKSACFKVISFTIWNIFFVNVLSGSVISQLDAITTPKSIPILLAKAVPRQATFFITYVLTSGWVSLASEVLQLFALVYNAVSKYVFRVKDDPNSVPSFPYHTEVPKLLLFGLLGFTCSILAPLILPFLLFYFFFGYVVYRNQIINVYCSKYETQGQIWPIVHNTTVFSLILTQIIALGVFGTKKSPVTMSFTVPLLICTLLFNEYCRQRFSPIFSNFSAQDLIEKDRDDEKHGRMEEIHQMLHSIYSQFPADTINRDVHDANSRQTQQI >DRNTG_28699.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:442952:447388:-1 gene:DRNTG_28699 transcript:DRNTG_28699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSHIIQSPTNYSHFAVLVRAIPQENGESISDAVQKFFMNYHASSYLSHQVVYRTGKVQRLMSNAEWVYKNLVRLKSTAISKTCVPITYRCGLCGGTSDSFQLYRNEFEPDEKKPCPNNSDSRTKAKECLAAFVFFKTRYAAVIGTQLLQSSNPMLWVTDLAPEPHDVYWSNLWIPYRQLWLRQITVLLASIVFMFLFLIPVTFVQGLTQLDKLQQMFPFLKAILAKTVMTQLVTGYLPSVILQLFFYMVPPTMMLFSAMEGWISRSGRKKSACFKVISFTIWNIFFVNVLSGSVISQLDAITTPKSIPILLAKAVPRQATFFITYVLTSGWVSLASEVLQLFALVYNAVSKYVFRVKDDPNSVPSFPYHTEVPKLLLFGLLGFTCSILAPLILPFLLFYFFFGYVVYRNQIINVYCSKYETQGQIWPIVHNTTVFSLILTQIIALGVFGTKKSPVTMSFTVPLLICTLLFNEYCRQRFSPIFSNFSAQDLIEKDRDDEKHGRMEEIHQMLHSIYSQFPADTINRDVHDANSRQTQQI >DRNTG_28699.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:442952:447256:-1 gene:DRNTG_28699 transcript:DRNTG_28699.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSHIIQSPTNYSHFAVLVRAIPQENGESISDAVQKFFMNYHASSYLSHQVVYRTGKVQRLMSNAEWVYKNLVRLKSTAISKTCVPITYRCGLCGGTSDSFQLYRNEFEPDEKKPCPNNSDSRTKAKECLAAFVFFKTRYAAVIGTQLLQSSNPMLWVTDLAPEPHDVYWSNLWIPYRQLWLRQITVLLASIVFMFLFLIPVTFVQGLTQLDKLQQMFPFLKAILAKTVMTQLVTGYLPSVILQLFFYMVPPTMMLFSAMEGWISRSGRKKSACFKVISFTIWNIFFVNVLSGSVISQLDAITTPKSIPILLAKAVPRQATFFITYVLTSGWVSLASEVLQLFALVYNAVSKYVFRVKDDPNSVPSFPYHTEVPKLLLFGLLGFTCSILAPLILPFLLFYFFFGYVVYRNQIINVYCSKYETQGQIWPIVHNTTVFSLILTQIIALGVFGTKKSPVTMSFTVPLLICTLLFNEYCRQRFSPIFSNFSAQDLIEKDRDDEKHGRMEEIHQMLHSIYSQFPADTINRDVHDANSRQTQQI >DRNTG_28699.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:442952:445683:-1 gene:DRNTG_28699 transcript:DRNTG_28699.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVTDLAPEPHDVYWSNLWIPYRQLWLRQITVLLASIVFMFLFLIPVTFVQGLTQLDKLQQMFPFLKAILAKTVMTQLVTGYLPSVILQLFFYMVPPTMMLFSAMEGWISRSGRKKSACFKVISFTIWNIFFVNVLSGSVISQLDAITTPKSIPILLAKAVPRQATFFITYVLTSGWVSLASEVLQLFALVYNAVSKYVFRVKDDPNSVPSFPYHTEVPKLLLFGLLGFTCSILAPLILPFLLFYFFFGYVVYRNQIINVYCSKYETQGQIWPIVHNTTVFSLILTQIIALGVFGTKKSPVTMSFTVPLLICTLLFNEYCRQRFSPIFSNFSAQDLIEKDRDDEKHGRMEEIHQMLHSIYSQFPADTINRDVHDANSRQTQQI >DRNTG_22685.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:13803211:13803629:1 gene:DRNTG_22685 transcript:DRNTG_22685.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENSPESAEIWKKLVSSHSYLQNSTCPTLFGKRISPNFENPRVFIGSSPPWPHHRCCHARGGGSMLPRLDNGNDEIGQRPW >DRNTG_27532.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21058782:21061055:-1 gene:DRNTG_27532 transcript:DRNTG_27532.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRTALPVPLPFKTLAPTISHRSQAMAAAASGFPTPMTPPYPAISKDVELRRAMTAAARSGAYELGAGDVVFEDESMVVVNKPSGIYCETLLKTLSDKAPPPSGNGTSEDNALHLANRLDRDTSGLMVITKSNKVAAKLVKAFTDHKVKKSYIALCIGHAPKWKKLTISSGHGRSKFGAWRVYSKSDVGRTLPGGSMVKDMMTCFEVLSINGQKNNPGDDLDVTVESKADESGFGKDEILIRAWPQSGRTHQIRLHCQYLGIPIRGDVKYGGVIEWKGIEFDFHALHAENLAFDHPVSGLPLCFRSPLPLWAKE >DRNTG_27532.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21058676:21061055:-1 gene:DRNTG_27532 transcript:DRNTG_27532.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRTALPVPLPFKTLAPTISHRSQAMAAAASGFPTPMTPPYPAISKDVELRRAMTAAARSGAYELGAGDVVFEDESMVVVNKPSGIYCETLLKTLSDKAPPPSGNGTSEDNALHLANRLDRDTSGLMVITKSNKVAAKLVKAFTDHKVKKSYIALCIGHAPKWKKLTISSGHGRSKFGAWRVYSKSDVGRTLPGGSMVKDMMTCFEVLSINGQKNNPGDDLDVTVESKADESGFGKDEILIRAWPQSGRTHQIRLHCQYLGIPIRGDVKYGGVIEWKGIEFDFHALHAENLAFDHPVSGLPLCFRSPLPLWAKE >DRNTG_27532.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21058821:21061470:-1 gene:DRNTG_27532 transcript:DRNTG_27532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRTALPVPLPFKTLAPTISHRSQAMAAAASGFPTPMTPPYPAISKDVELRRAMTAAARSGAYELGAGDVVFEDESMVVVNKPSGIYCETLLKTLSDKAPPPSGNGTSEDNALHLANRLDRDTSGLMVITKSNKVAAKLVKAFTDHKVKKSYIALCIGHAPKWKKLTISSGHGRSKFGAWRVYSKSDVGRTLPGGSMVKDMMTCFEVLSINGQKNNPGDDLDVTVESKADESGFGKDEILIRAWPQSGRTHQIRLHCQYLGIPIRGDVKYGGVIEWKGIEFDFHALHAENLAFDHPVSGLPLCFRSPLPLWAKE >DRNTG_27532.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21058821:21061055:-1 gene:DRNTG_27532 transcript:DRNTG_27532.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRTALPVPLPFKTLAPTISHRSQAMAAAASGFPTPMTPPYPAISKDVELRRAMTAAARSGAYELGAGDVVFEDESMVVVNKPSGIYCETLLKTLSDKAPPPSGNGTSEDNALHLANRLDRDTSGLMVITKSNKVAAKLVKAFTDHKVKKSYIALCIGHAPKWKKLTISSGHGRSKFGAWRVYSKSDVGRTLPGGSMVKDMMTCFEVLSINGQKNNPGDDLDVTVESKADESGFGKDEILIRAWPQSGRTHQIRLHCQYLGIPIRGDVKYGGVIEWKGIEFDFHALHAENLAFDHPVSGLPLCFRSPLPLWAKE >DRNTG_33520.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20554334:20557032:1 gene:DRNTG_33520 transcript:DRNTG_33520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of anti-oxidant 1 [Source:Projected from Arabidopsis thaliana (AT1G66240) TAIR;Acc:AT1G66240] MASETVVLKVGMSCEGCVGAVKRVLTKMEGVESFNIDMKEQKVTVIGNVKPDAVLQTVSKTGKKTAFWEAEQETKEEISVPPATATA >DRNTG_03378.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30087067:30090223:-1 gene:DRNTG_03378 transcript:DRNTG_03378.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLGEFKLPAFFNYPPYFTLQPVRETREKQVQLWKELILDYCRNQKVFRVGLEEDFPLFTNLVIERSLSHEAKELFLSTLVNEGRAEWMDKRHKKCLILWMGIQDWADYIVNFVKENGFEDSVMTVEDIRSGFESRGTDLAAIDHTVLMRALRLLEQKGKAVIFKGTSTDDEGVKFSV >DRNTG_03378.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30087067:30100674:-1 gene:DRNTG_03378 transcript:DRNTG_03378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLGEFKLPAFFNYPPYFTLQPVRETREKQVQLWKELILDYCRNQKVFRVGLEEDFPLFTNLVIERSLSHEAKELFLSTLVNEGRAEWMDKRHKKCLILWMGIQDWADYIVNFVKENGFEDSVMTVEDIRSGFESRGTDLAAIDHTVLMRALRLLEQKGKAVIFKGTSTDDEGVKFSV >DRNTG_03378.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30087067:30090223:-1 gene:DRNTG_03378 transcript:DRNTG_03378.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLGEFKLPAFFNYPPYFTLQPVRETREKQVQLWKELILDYCRNQKVFRVGLEEDFPLFTNLVIERSLSHEAKELFLSTLVNEGRAEWMDKRHKKCLILWMGIQDWADYIVNFVKENGFEDSVMTVEDIRSGFESRGTDLAAIDHTVLMRALRLLEQKGKAVIFKGTSTDDEGVKFSV >DRNTG_03378.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30087067:30089595:-1 gene:DRNTG_03378 transcript:DRNTG_03378.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRHKKCLILWMGIQDWADYIVNFVKENGFEDSVMTVEDIRSGFESRGTDLAAIDHTVLMRALRLLEQKGKAVIFKGTSTDDEGVKFSV >DRNTG_03378.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30087067:30088410:-1 gene:DRNTG_03378 transcript:DRNTG_03378.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRHKKCLILWMGIQDWADYIVNFVKENGFEDSVMTVEDIRSGFESRGTDLAAIDHTVLMRALRLLEQKGKAVIFKGTSTDDEGVKFSV >DRNTG_11647.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:94624:100754:1 gene:DRNTG_11647 transcript:DRNTG_11647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFSFNPSSSSSSSSSQSQQSSFSFSFGSSSSITTSSSSPFSFGSTNSSSPSFSFGSTASLPSSSSAPFASPSPLTTTGLSFGAPSPPLFGASTNPSSSAPKPFPFSLAGTSSSTTMAVSTLSTAPSSTPIPPFGSTPSPAPFGFGAGSSGTASSSPLFGTSGFSSSSAAASLFSGSTLFTGSAASSVSATTATTTPPLPSFSSFQASSSSANTTTPAFPSFSTPSSPGFPSLFSASSSSSSASSLSSSSASAAPSFAFGTGFSLSKSTASSSPPTFATSSSSSATTSVSLSATPIFATTATPSPASAPSGITSVAPPSDGQSAARPSSTLASGTSESSAPNFSFAFGFAPSSATTALSSTGAAKSSSSFAFADATGVAASSAAAGLSSTGTARPFSFSFAGSSSTQSVSTAPAAAASSVPASGTTAAPTGSPLTVTTSQAAPTSSSASTMSTAALSFPAFGVGLSPSTTAPPAIPAASAVPSFSTPALAASTVSPLFSSAAQSTSAPTFGVTPAASVGLSASASTTSQTLSSAIGASTSGTSTTSSSFVASQGPKLPSEIAGKTVEEIIKDWNAELQDRAAKFRKQATAIAEWDRRILQNRDILIRLEAEVAKVVESQTILERQLELIETHQKEVDKALKSMEEEAERIYKEERGLLLADEAVAVRDKMYEQAEYIEQEMEKMVEQIKSIIQTLNANQGGDLALVESMTPIDVAVRILNNQLSSLMWLDEKANEFSNRIQELANRGTPLSQNTQGTKFWLH >DRNTG_25711.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001334.1:31183:31825:-1 gene:DRNTG_25711 transcript:DRNTG_25711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRELAKTDCFQDEIEEEATPKVMEHASPFGIDQFINCKEEILGLEEDVDRRLKPSNDPPVLSLDNSQPKLFPWRPKVRWLDSPTNIPPRQADF >DRNTG_06407.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29673957:29676495:1 gene:DRNTG_06407 transcript:DRNTG_06407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGKEEEEEEEEEEEVQKKKEGDEEEKRGVVVVAEPPAAAATISRLQAQRPPDPIHISSPPPPTPSSVQPILTTPPQGTNNIGIISLNSRVYTNRISLCLFLFHLLVATTAITFFSYKGVQGLLDIGSWRARRARMVLKFWLPPIEGSAILAIFLAFAWQKAVRTWPFFMVGFILWSCFATTMAAGILLLCFSLPATDGCGIALILFSIGTGLYACWSMRRTPFTARVFAKSLTPVAKFQALNGPAYLMLGVGFLWISIWCFAIIGALNFYYPPLTIIALVLSLAWTAEVMRNVANLTVSRVIALYYLRGMQCNVQFSFQRALSLNLGSACLGSLFVPTIEFLRIVARALNLLEGEDEFMFSCAHCCLRVMESIFRYGNSWAFVHIAAYGRGFVAASQSTWGMFEVQKMEELVDSDITSAVCFLTGVASGSLCVIFAASWTFSAHKHYTATVSLLAFYVGYLMTRIGMALPQACVACFYVCFAENPTNRLFDSTIPDRLNQIHSGRDPLVPTPRFPRRLIATA >DRNTG_08461.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:16084607:16092283:-1 gene:DRNTG_08461 transcript:DRNTG_08461.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSSILRLTLSTLFNLGRVVRPSMKEMSQCGSSCTDFGGNLANVQRKKVGRRASVEAYPIPDKGILRRRVEDFPQDHRHDYRKLRGRLHLRGYTKGDLVNLSHPSIKSRNFHVLKGSSPKLGWQWLLNAMTSKEPIKVGEELPLNRRRPSPLALAAYLSKSTLKA >DRNTG_30236.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:172828:180448:-1 gene:DRNTG_30236 transcript:DRNTG_30236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPASGGVAVNAVEVGERKMINSELWHACAGPLVTLPPVGSFVVYFPQGHSEQVAASMQKDIDAHIPNYPNLPSKLICILHNVTLHADVETDEVYAQMTLQPVNISEKEPFLGADLSLKPNKPQTDFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFNMQPPAQELQARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVLFIRDEKQQLLLGIRRANRQPNNISSSVLSSDSMHIGILAAAAHAAANQSPFTVFYNPRASPSEFVIPLAKYQKAVYGNQVSLGMRFRMMFETEESGTRRYMGTITGISDLDPVRWKNSQWRNLQVAWDESAAGERRNRVSLWDIEPVAAPFFICPPPFFRSKRPRQPGMPDDETSEMENLFKRAMPWLGEEICIKDPQTQNTIMPGLSLVQWMNMQQNPSFSNPTMQPDYLRSLTGPVVQNFGVTDLSRQLGLQAQLLQQNNMQFNASRLPQQTQQVDQLSKMPLPVNQLNSFTRQQQQLQDINGQQKQQLVSQPFPLSQTESSMIQSQVIVQTQVQQQVPPPVIQGGQPINTNLQSNQLQQLQQQQQQLQPLQQLQLQQLQQQQHLQKQQQPLQLPPQQQQQNKLSAQLPNQANHQLHLPDQIQLQLQKLQHQQQQQQSQNLLLSQPTLQQPQVPQLQEQQRALLETPQMLSNPNSVFQQQAIPQQFPKVPPLNAQFIQSSQQQSQQKLQQHQGLLSEVPGTVIPPSSTNLLSTAGNTLLAAGGAQSALTDDVPSCSTSPSANNCNVPQSVLNRIQQRNTPTPEKTPPPPVTVLNTCSIDTLATSPKVSKDVPKLKTNVKPSMPIPKLQNPGGVAPQTYLHNTVQIDYLDTTSSATSACLSQTDGSLQQCFPLSSYNHPSIFRDGAPDGELQGSDPRNNVLFGVNIDGPLGMPLTTDALLTNNIDSDKFQNHLSGNVVGNYSASKDAQQELSSSMVSQSFGVPDMAFNSIDSTLNENSFLNRTSWPPAPQYQRMRTYTKVYKRGAVGRSIDITRYSGYDDLKRDLARMFSIEGQLEDRQRIGWKLVYVDHEKDVLLVGDDPWEEFVSCVRCIRILSPQEVQQMSLDGDLGNNILPNQACSSSDGGNAWRGQCDQNSGGNPSAGSYEYLE >DRNTG_21909.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1725990:1726703:-1 gene:DRNTG_21909 transcript:DRNTG_21909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKIESLMGKSGKDANFATNNTTKFEP >DRNTG_31109.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001666.1:50161:57578:1 gene:DRNTG_31109 transcript:DRNTG_31109.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor NCBP [Source:Projected from Arabidopsis thaliana (AT5G18110) UniProtKB/Swiss-Prot;Acc:Q9FK59] MMESTEKKEVEIKASSVPPPPLVAGPPGGDADVDAEDRERYARELKAGLHPLKHKFVFWYTRRTPGVRTQTSYEDNMKKIVEFSTVEGFWVCYCHLSRPSSLPCPTDLHLFKEGIRPLWEDSANCNGGKWIIRFKKAVSGRFWEDLVLALVGDQLDYGENICGAVLSVRFNEDILSVWNRNASDHQAVMALRDSIKRHLKLPHTYLMEYKPHNASLRDNSSYRNTWLRG >DRNTG_04300.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14480165:14480596:-1 gene:DRNTG_04300 transcript:DRNTG_04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSKPPLKILKEYHGLKTPRASSEIHPL >DRNTG_11386.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000505.1:35972:37305:-1 gene:DRNTG_11386 transcript:DRNTG_11386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDPPNSTTPPHSVYLLKDLNSFLACNLKGAKLVGSVVQGGGHGLEFVLKKRKPHYFACGEHSGVHCNLGLMKFSVFPIKDSCHS >DRNTG_03652.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27386561:27387063:1 gene:DRNTG_03652 transcript:DRNTG_03652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRFQTRVYALVLSVPEIAEVEKDDAEASQPIPEPQSAPMETEAPPVIEDTPPVHMFSPSRAQDHFDRLESTVGEIQTELVICPAAEDSSSPLNFSGISIPYSGTDDPPCTSSPAPAVIEDPEHNINT >DRNTG_21264.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:211760:215246:1 gene:DRNTG_21264 transcript:DRNTG_21264.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Undecaprenyl pyrophosphate synthetase family protein [Source:Projected from Arabidopsis thaliana (AT1G11755) UniProtKB/TrEMBL;Acc:Q8H0V2] MAFASVNPGDDFFWIYSPNLRGFQESIIWRFTLGLCWYLLHLFVTLVHAGSSLIHALVCYSISSGLLRKYWNLDLSNLKYLGIVVDSEQAKNTVKIKRLLHWLSSTGVKNVILYDMEGVLKKSIGSDHSSSDSKNTHFEGMVIELLSFSDNKEGVAKAASFLCSKYLKSNPAVSDRVEPVFTEADMDDALMAVGCGGPEPDLLLVYGPARCHLGFPAWRMRYTEIIHMGSLKTMKYGAILKAIYDFSKKHQNYGT >DRNTG_30190.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6511460:6512515:-1 gene:DRNTG_30190 transcript:DRNTG_30190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMKMSGIRGDVERKKHIQSAPSKVRLGNVRLGTATRGSIEEKWEAVRVLPSLVGEQRLVRSVKDLNGKGGRAAATQEERQRGTRNGRLTADYGEFRLAKKEKRYSLARKRCRTGSGSRCGWHGSKALREGRQERPSRGRKRERAWKRQKKREGRWSKKPKSL >DRNTG_07977.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2272591:2274841:-1 gene:DRNTG_07977 transcript:DRNTG_07977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLKKLFKSNILYSYTFCFSTTIIHSKTITKTQSIVVDYLLSRFGFSREKAAWASKHLDRLKFLEKAETVLDFLKSYSFDDSQIKKLVSLYPKALCCNVERNLKPKFEGLQSLGFSGQELVHLILSNYMVLGCNFERNVRPKIEFWEEILGSFELMSKSLRGKQCILSFSLEQRALPNLAFLREFGVSNERITLIVQRHPRFLAQKPDELKELAEKVEGMGIRRDSRMFVWALNTLRMVSKTKFDGKLEVLKSLGWSEADFLLAFQKNPIFLTVSETMLKKKIDFLVNEAGCKPSELAQSPTLLMFSLEKRLIPRYHVMQVLKSKRLNNVNYSLLSTMSYSEKMFVKNFLLCRKKDAPELYDWYISSCKGSEHSHSVLKPVDKCVL >DRNTG_07977.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2273092:2274841:-1 gene:DRNTG_07977 transcript:DRNTG_07977.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLKKLFKSNILYSYTFCFSTTIIHSKTITKTQSIVVDYLLSRFGFSREKAAWASKHLDRLKFLEKAETVLDFLKSYSFDDSQIKKLVSLYPKALCCNVERNLKPKFEGLQSLGFSGQELVHLILSNYMVLGCNFERNVRPKIEFWEEILGSFELMSKSLRGKQCILSFSLEQRALPNLAFLREFGVSNERITLIVQRHPRFLAQKPDELKELAEKVEGMGIRRDSRMFVWALNTLRMVSKTKFDGKLEVLKSLGWSEADFLLAFQKNPIFLTVSETMLKKKIDFLVNEAGCKPSELAQSPTLLMFSLEKRLIPRYHVMQVLKSKRLNNVNYSLLSTMSYSEKMFVKNFLLCRKKDAPELYDWYISSCKGSEHSHSVLKPVDKCVL >DRNTG_12103.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4963317:4972264:1 gene:DRNTG_12103 transcript:DRNTG_12103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLPVPPAMPCAALNRSRVRLPRVVRGIEPVTAPFEGRSKLEMKPIRKVLDDSVIEPVRVADFIERSKEIVRPDGGPARWFSPLEAGSRTAGSPLLLYLPGIDGTGLGLIQHHQRLGKMFDIWCLHIPVADRMPFEGLVEYVEKTAKTENSHFPNKPIYLVGESLGACIALAVATRNPNIDFILILANPGTSFNNSQMESLLSILDVVPEPFHAAIPNLLKFLTGSPYMMTSGYIENQPFIPEVVKELSESLADALNYFSFLVNTLPKESLLWRLKMLKSASFFVNSRLHAIETQILILASGRDQLLPSRVEAERLCKALPNVRVRHFTDNSHTILLERGIDLVTIIKGAGYYRCSGNIDYVKDYRRPTPPEFQKALQNYRWVDDLADPVMFSTLENGKMVRGLEGIPCEGPAVLVGYHMLMGFDLGSLVSRFLTEKNILLRGIAHPFMFNRASELLMPDSSSFDGMRLMGAVPASSINFYKLLSRKEFVLLFPGGAREALHRKGEHYKLFWPEQSEFVRMAAKFGATIIPFGAVGEDDILEVILDYNDLINIPYMDVLHKRINMDDVRMRADYTGQVAHQELYLPGLLPKFPGRVYFLFGKPIETRGREAELRDRKKAYQLYMHAKSEVKNCISYLLEKREKDPYRNLLPRLLYKATHGFTSVVPTFEL >DRNTG_06718.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21125129:21126485:-1 gene:DRNTG_06718 transcript:DRNTG_06718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHINPSLDLAKHIATTTGAAVTFSTSVFAHRRMFSSTPNSDKGFNDGLITYLPFSDGFDEEGYGRGFLDVKEYRSIFRTNSKRNVSILINELIAGGRPVTCMVHTIFLNCVLDIADELGIPSVLYWIQATSVFVTYYHFFHGFESLIKAYIDDLSFTVCFPGLQPLQIRDLPSLVRTINSDSLDGALLCLFRELFEFLDEKQEGMKRIVLMNTFHEWETDALASVSAEIETIPIALVPKLINSSSSSYLFKEDEKKYMEWLDKKEEGSVVYISFGSLSMMNKKQMEEIVKGLKESKRPYLLVVRKDNKEKELLEIDEGGDGMVVEWCSQVRVLAHKAIGCFVTHCGWNSTLESLAFGVPMVCLPQWSDQAMNAKLVESLWGCGVKSAVDGDGVVKGEELVKCLELVMGDEDKGVEIRTKAKIWKDKASEAVSEGGSSSLNLNNFSSKDFL >DRNTG_06460.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3355428:3357506:1 gene:DRNTG_06460 transcript:DRNTG_06460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDACEKSPATVICCADEAALCASCDVEIHAANKLASKHQRLLLQCLSNKLPRCDICQEKPAFIFCVEDRALFLP >DRNTG_06460.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3355428:3357506:1 gene:DRNTG_06460 transcript:DRNTG_06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDACEKSPATVICCADEAALCASCDVEIHAANKLASKHQRLLLQCLSNKLPRCDICQEKPAFIFCVEDRALFLP >DRNTG_12490.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2352870:2354239:1 gene:DRNTG_12490 transcript:DRNTG_12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRIKTRTNAWNIAQWIAKFGVTVLSVLIPVAAGVQPAATAANNGAGGMIGLLQPLVDSHLAGQQRSCEVEIDLNEKILNEACFIFHRVNSTQVLVELLEDQMGLLARHAEFLVVAGDDEDLTVSMAMEHIKRKAEDLVVTIERLEKEVDRSSEDLRRAALTLLQTVTDQVENY >DRNTG_18838.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2623663:2630512:-1 gene:DRNTG_18838 transcript:DRNTG_18838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEDEGEKQSLESSIETERLPRKPSLRYTREFLLSFGDLDACKKLPGGLDESFVSEFETLFSNVCERGIGGLPSYSSKRGDYGSLPSRFDSSSSYPRGGSGRWDTRSSGSSDRDGDLQSERESSMQESGRRFGNQARRFWQHQEHDGLLGSGAFPRPPGYTGASAPKGRGNGHYQLSRSTEPYQPPRPYKAVPHSRREHTDSVNDETFGSSEFSTQDRAEEEKRRRESFELMRKEQQKALKEKHKQTPDNHKENLGDVDLIALLETSEEHKSKQNENMLEVSAPPSNSQIDSSRSSLIHVPPSRPLVPPGFASVMLEKTLSVEPSNTCTPEARTAVINDKSHVNYPDNTHEEVIRSDSGGGTIENSVNFEKDLGKKFSLQEANEIWENENGLSNPKELRPKIVSSDQPSSILDKLFGSALSTNFSTSPSIIEHQGHKAHEDIKSPAEIESSKFAHWFFDEDKKAVDDFSSKNLLSLIINKEEVTSHVSEFSLDKNCESVKPSLPFKNLESVHRQCEFPAAAAPVTIPTPYHQSDSQETSSGVLTCEDLEQSILAEVKDNSSVLWQSVQGPWMQLDHELEGHKATVDDHASEHLLSLLRKGTDSKESILPAGIDLMVSPTDRIDISDGKASSDSTSIKGHKKAPSSEKALTLEALFGAAFMSELHSVDAPLSVQRGSVDEPEIPESLELHPSYKHNTLVSPALGEVQAYKITSQGNEEAFTHTQQGKANKVPGLGYGHNNDSSNMGLKLGDPAESRAVAVDIHLPEEDSLITDSDSFDRIASDPALLRVLNRNEGPLEQPSDSVLMAILRNTEHTEQGHLPQPNFLEGPSGIVDSDKLHHLHGWPSSQFPHPMNQVRPLFPPMEHHGNMHPQMRLIGSENIRPELQHPFAANVFPQHVLHSGDRPQFDPVGHHPMFQHMPMPMPMPGNLPAPHPHHGFPRGVPPPYSMSPMEGYMAEMNNMHGFPLNQRPPNFGARGMGMPGPAIGSGGGGNPPLLNRLIEMELRANPKQIHSAAPGQIPGVYGPELDMSLRYR >DRNTG_16245.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000802.1:19010:19707:1 gene:DRNTG_16245 transcript:DRNTG_16245.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPDLIVGSCLQTPADADKLREIGVKTIFCLQQDSDLEYPS >DRNTG_29661.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:651174:653566:-1 gene:DRNTG_29661 transcript:DRNTG_29661.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESYCLDSASIKVFVPPNPPKKKTSRVIKWWTKITDTTYERLELEVLDTFELSRGCGDNTGVVSYRDFDFLLSLIDGFDLYLLYKTYRHPGEDRQDLSGRWVAEYLVRSTTDSSSTSAPDLPSVRDASIAPPPPATTSRQRSPSPLHTHPRYYTLGPSYSLIYSVPVGPEECVKHCRAFGCATVT >DRNTG_28631.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28357431:28360090:1 gene:DRNTG_28631 transcript:DRNTG_28631.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARPRRYRHRMVELGMVSPPLLLLLLLLSLLPGGAPDLAGDAAALLALRAAVGRSVLRWNASASPCSWRGVSCSDNRVSVLRLPGSGLLGAIPAGTLTNLTALRVLSLRFNGLSGALPSDIGSLRNLNSIILRNNRFSGELPNSLFSLSGLVRLDLSENNFSGGISPGFNNFTGIRTLLLQNNSFSGEMPEMSFSRLKSFNISYNNLTGSIPRGMRSQQASSFLGMSLCGGPLRSCQNETSPTPSPSPPPSPPPPPPPASSPSPPTANRTTGGSSSSSNLSGGAIAGIAVGSAAGVLLLLALLIVFCNRRRRPSSEPPVSVAAATAARPATSAPAVAKNPAPAPAAAAAVEKRLVFVGGQEMGFDLEDLLRASAEVLGKGPIGTTYKAVLEKGEVVAVKRLRDVGVDEKEFRESMEAIGELDHENVVKLRAYYYSRDEKLLVYEFMPLGSLSSFLQGNKSSSQAPLNWSERCSIALSAARGIEYIHSKGSKSSHGGIKSSNILLGRDNEAQLSDNGLAQLITPTENLISGYTAPEVTDTLRITQKSDVYSFGVLLLELISGKAPLQEVHKSKGGADLPGWVQTVAPENWRDVFDPELLKYQDVEEEIVQLLQLGVECASQYQHQRPLMSEVVARIEAIHGSKTLLSEQQQEDSVDP >DRNTG_28631.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28357431:28360018:1 gene:DRNTG_28631 transcript:DRNTG_28631.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARPRRYRHRMVELGMVSPPLLLLLLLLSLLPGGAPDLAGDAAALLALRAAVGRSVLRWNASASPCSWRGVSCSDNRVSVLRLPGSGLLGAIPAGTLTNLTALRVLSLRFNGLSGALPSDIGSLRNLNSIILRNNRFSGELPNSLFSLSGLVRLDLSENNFSGGISPGFNNFTGIRTLLLQNNSFSGEMPEMSFSRLKSFNISYNNLTGSIPRGMRSQQASSFLGMSLCGGPLRSCQNETSPTPSPSPPPSPPPPPPPASSPSPPTANRTTGGSSSSSNLSGGAIAGIAVGSAAGVLLLLALLIVFCNRRRRPSSEPPVSVAAATAARPATSAPAVAKNPAPAPAAAAAVEKRLVFVGGQEMGFDLEDLLRASAEVLGKGPIGTTYKAVLEKGEVVAVKRLRDVGVDEKEFRESMEAIGELDHENVVKLRAYYYSRDEKLLVYEFMPLGSLSSFLQGNKSSSQAPLNWSERCSIALSAARGIEYIHSKGSKSSHGGIKSSNILLGRDNEAQLSDNGLAQLITPTENLISGYTAPEVTDTLRITQKSDVYSFGVLLLELISGKAPLQEVHKSKGGADLPGWVQTVAPENWRDVFDPELLKYQDVEEEIVQLLQLGVECASQYQHQRPLMSEVVARIEAIHGSKTLLSEQQQ >DRNTG_28631.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28357385:28360090:1 gene:DRNTG_28631 transcript:DRNTG_28631.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARPRRYRHRMVELGMVSPPLLLLLLLLSLLPGGAPDLAGDAAALLALRAAVGRSVLRWNASASPCSWRGVSCSDNRVSVLRLPGSGLLGAIPAGTLTNLTALRVLSLRFNGLSGALPSDIGSLRNLNSIILRNNRFSGELPNSLFSLSGLVRLDLSENNFSGGISPGFNNFTGIRTLLLQNNSFSGEMPEMSFSRLKSFNISYNNLTGSIPRGMRSQQASSFLGMSLCGGPLRSCQNETSPTPSPSPPPSPPPPPPPASSPSPPTANRTTGGSSSSSNLSGGAIAGIAVGSAAGVLLLLALLIVFCNRRRRPSSEPPVSVAAATAARPATSAPAVAKNPAPAPAAAAAVEKRLVFVGGQEMGFDLEDLLRASAEVLGKGPIGTTYKAVLEKGEVVAVKRLRDVGVDEKEFRESMEAIGELDHENVVKLRAYYYSRDEKLLVYEFMPLGSLSSFLQGNKSSSQAPLNWSERCSIALSAARGIEYIHSKGSKSSHGGIKSSNILLGRDNEAQLSDNGLAQLITPTENLISGYTAPEVTDTLRITQKSDVYSFGVLLLELISGKAPLQEVHKSKGGADLPGWVQTVAPENWRDVFDPELLKYQDVEEEIVQLLQLGVECASQYQHQRPLMSEVVARIEAIHGSKTLLSEQQQEDSVDP >DRNTG_28631.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28357278:28360090:1 gene:DRNTG_28631 transcript:DRNTG_28631.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARPRRYRHRMVELGMVSPPLLLLLLLLSLLPGGAPDLAGDAAALLALRAAVGRSVLRWNASASPCSWRGVSCSDNRVSVLRLPGSGLLGAIPAGTLTNLTALRVLSLRFNGLSGALPSDIGSLRNLNSIILRNNRFSGELPNSLFSLSGLVRLDLSENNFSGGISPGFNNFTGIRTLLLQNNSFSGEMPEMSFSRLKSFNISYNNLTGSIPRGMRSQQASSFLGMSLCGGPLRSCQNETSPTPSPSPPPSPPPPPPPASSPSPPTANRTTGGSSSSSNLSGGAIAGIAVGSAAGVLLLLALLIVFCNRRRRPSSEPPVSVAAATAARPATSAPAVAKNPAPAPAAAAAVEKRLVFVGGQEMGFDLEDLLRASAEVLGKGPIGTTYKAVLEKGEVVAVKRLRDVGVDEKEFRESMEAIGELDHENVVKLRAYYYSRDEKLLVYEFMPLGSLSSFLQGNKSSSQAPLNWSERCSIALSAARGIEYIHSKGSKSSHGGIKSSNILLGRDNEAQLSDNGLAQLITPTENLISGYTAPEVTDTLRITQKSDVYSFGVLLLELISGKAPLQEVHKSKGGADLPGWVQTVAPENWRDVFDPELLKYQDVEEEIVQLLQLGVECASQYQHQRPLMSEVVARIEAIHGSKTLLSEQQQEDSVDP >DRNTG_28631.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28357431:28360238:1 gene:DRNTG_28631 transcript:DRNTG_28631.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARPRRYRHRMVELGMVSPPLLLLLLLLSLLPGGAPDLAGDAAALLALRAAVGRSVLRWNASASPCSWRGVSCSDNRVSVLRLPGSGLLGAIPAGTLTNLTALRVLSLRFNGLSGALPSDIGSLRNLNSIILRNNRFSGELPNSLFSLSGLVRLDLSENNFSGGISPGFNNFTGIRTLLLQNNSFSGEMPEMSFSRLKSFNISYNNLTGSIPRGMRSQQASSFLGMSLCGGPLRSCQNETSPTPSPSPPPSPPPPPPPASSPSPPTANRTTGGSSSSSNLSGGAIAGIAVGSAAGVLLLLALLIVFCNRRRRPSSEPPVSVAAATAARPATSAPAVAKNPAPAPAAAAAVEKRLVFVGGQEMGFDLEDLLRASAEVLGKGPIGTTYKAVLEKGEVVAVKRLRDVGVDEKEFRESMEAIGELDHENVVKLRAYYYSRDEKLLVYEFMPLGSLSSFLQGNKSSSQAPLNWSERCSIALSAARGIEYIHSKGSKSSHGGIKSSNILLGRDNEAQLSDNGLAQLITPTENLISGYTAPEVTDTLRITQKSDVYSFGVLLLELISGKAPLQEVHKSKGGADLPGWVQTVAPENWRDVFDPELLKYQDVEEEIVQLLQLGVECASQYQHQRPLMSEVVARIEAIHGSKTLLSEQQQEDSVDP >DRNTG_28631.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28357385:28360238:1 gene:DRNTG_28631 transcript:DRNTG_28631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARPRRYRHRMVELGMVSPPLLLLLLLLSLLPGGAPDLAGDAAALLALRAAVGRSVLRWNASASPCSWRGVSCSDNRVSVLRLPGSGLLGAIPAGTLTNLTALRVLSLRFNGLSGALPSDIGSLRNLNSIILRNNRFSGELPNSLFSLSGLVRLDLSENNFSGGISPGFNNFTGIRTLLLQNNSFSGEMPEMSFSRLKSFNISYNNLTGSIPRGMRSQQASSFLGMSLCGGPLRSCQNETSPTPSPSPPPSPPPPPPPASSPSPPTANRTTGGSSSSSNLSGGAIAGIAVGSAAGVLLLLALLIVFCNRRRRPSSEPPVSVAAATAARPATSAPAVAKNPAPAPAAAAAVEKRLVFVGGQEMGFDLEDLLRASAEVLGKGPIGTTYKAVLEKGEVVAVKRLRDVGVDEKEFRESMEAIGELDHENVVKLRAYYYSRDEKLLVYEFMPLGSLSSFLQGNKSSSQAPLNWSERCSIALSAARGIEYIHSKGSKSSHGGIKSSNILLGRDNEAQLSDNGLAQLITPTENLISGYTAPEVTDTLRITQKSDVYSFGVLLLELISGKAPLQEVHKSKGGADLPGWVQTVAPENWRDVFDPELLKYQDVEEEIVQLLQLGVECASQYQHQRPLMSEVVARIEAIHGSKTLLSEQQQEDSVDP >DRNTG_23338.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6112505:6114597:-1 gene:DRNTG_23338 transcript:DRNTG_23338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKQRLNSMISLVFILILIPPHSSTSQQEEDYVKISLITFLQTLSGNAVKMSQQLGWDISSNPCSGGWTGVICHNGSSSVTEITLKGYDLSGFIDAGIICKSLSLVVLSLSNNSLRGELPSEISNCSALTSLDVSQNELSGRLPDSLSELKTLESLNLSHNNFSGLIPASIFGNLKQFDVSNNNFSGPVPPDGTRFGNGSYLGNAGLCGAPLHIACTSAASPVEQPRKKMPAKLQILMYSGYFILGIAFLLLLVFVLSLKNKKRKKKTEEKTMVENYKEVVGNSNGYNKNTSRSDYSTSSASLVLLKSTQANNGLRFDDLLKAPAELMGRGIYGSVYKVMLGDGTKLVVKRIKEWMISDEEFEKRMEMIDKVRHQIVLSAVAFYCSKQEKLLVYEFQQNGSLLKLLQDAERGRPFNWSSRLNLAATIAEGLAFMHNNLQIMSHGIPHGNLKSSNILLNNNMEPYISEYGIMSTNNRTHPSPNKENNNKDGDMKTFKTDVYSFGILLLELLTGKLNQDNGFELTKWVNSVVREEWTVEVFDKALIAEDDNQESMVHLLQVAVKCVNAYPDARPTMSQVYAMVKSIKEDEDQRSLASDQF >DRNTG_26618.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:331236:333277:1 gene:DRNTG_26618 transcript:DRNTG_26618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQLLHNETDLISSVSEMNHSSGRFRLRMQKDGNLLMYPVGTDFTSENAYWSAQTFFEPYSQLNLGQDGTLFLSDNNQSQIRNLTQGDQSYNPNTVHLARIEPNGMLHVYAYDLWENTSEVLATFPDEQCKVKGICGFNSYCTLSGEKPACLCLPHFDYVNDEDTQAGCQRNFISSTCVGVGDNDTYYNTTMDDVKDVQWLTEFPFPTLKFTSKDDCRQSCLDDCYCDVAIYNGNDNSCSKQSLPLKYGNKNTSTSNPNLTFIKRTERRDTTAGGFDVVFRVKKELSGGPLIVFIAVISGLIIFILVFVFIVFNCQVGRYRMTRIRSKELALTDEIAPISFSYYELYEATEGYKEEVGKGAFGTVFRGTLPSTGKLVAVKRLEKVVEEGEREFQTEMKSIGRTHHRNLVRLLGFCN >DRNTG_07994.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29991717:29995805:-1 gene:DRNTG_07994 transcript:DRNTG_07994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTGAGAAPPPTLLPLPPKPRHLSKASAFLPSPHPISKRKNHLRRKILIPLHSPPPVQPPTPSLIVVHEEEEQVSFTHDSPRETVGTDPFPPLSDELSPGSIFGIVLRFMVIFAVQTVVAVLFLGGWDGVEKGSDGDRGLGVEAKGCDGGLQKGEEVAPEVVEFEKKVLEIRMMARVAREDEKKEFDDGNGGENQVSGGLSELKRRNLMVVADEKASGKRRNGDKNSGLKGRRRSGVLGSNAKGVRDSPQGFNGMKRKDNHVEKRPLPLKPQSLKARQISSTEARRISGNSMRNLQVKEFEGKPTEKKYIQNDDETRPWWMKLPYVLAIFLRRGNDHDSPKGLYSLKKFLPDDGDSPSYTIAFQDQRDATNFCYLLESFFEDLPGFSADVVPLTIQELGDTLKPDDLNLIVVRKGQLRIYAGQPLAEVESALRILLD >DRNTG_07994.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29993292:29995805:-1 gene:DRNTG_07994 transcript:DRNTG_07994.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTGAGAAPPPTLLPLPPKPRHLSKASAFLPSPHPISKRKNHLRRKILIPLHSPPPVQPPTPSLIVVHEEEEQVSFTHDSPRETVGTDPFPPLSDELSPGSIFGIVLRFMVIFAVQTVVAVLFLGGWDGVEKGSDGDRGLGVEAKGCDGGLQKGEEVAPEVVEFEKKVLEIRMMARVAREDEKKEFDDGNGGENQVSGGLSELKRRNLMVVADEKASGKRRNGDKNSGLKGRRRSGVLGSNAKGVRDSPQGFNGMKRKDNHVEKRPLPLKPQSLKARQISSTEARRISGNSMRNLQVKEFEGKPTEKKYIQNDDETRPWWMKLPYVLAIFLRRGNDHDSPKGLYSLKKFLPDDGDSPSYTIAFQDQRDATNFCYLLESFFEDLPGFSADVVPLTIQELGDTLKPDDLNLIVVRKGQLRIYAGQPLAEVESALRILLD >DRNTG_07727.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2510588:2514088:1 gene:DRNTG_07727 transcript:DRNTG_07727.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVGFNFPVALSLIHYATAWLLMAILKGLSMLPASPPSKSTPFSSLFALGVVMSFSTGLANISLNHNSVGFYQMAKIAVTPTIVLAEFILFRKKVSIQKVLALTVVSIGVAVATVTDLQLNFFGACVALAWIVPSAINKILWSNLQQTGNWTALALMWKTTPVTIFFLLALMPLLDPAGVLLFNWNFNNTSAIFTSALFGFLLQWSGALALGATSATTHVVLGQFKTCVILLGGYLLFNSDPGTVSLCGAIAALCGMSVYTYLNLNRSHESTTLSKELPI >DRNTG_07727.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2510125:2514088:1 gene:DRNTG_07727 transcript:DRNTG_07727.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVGFNFPVALSLIHYATAWLLMAILKGLSMLPASPPSKSTPFSSLFALGVVMSFSTGLANISLNHNSVGFYQMAKIAVTPTIVLAEFILFRKKVSIQKVLALTVVSIGVAVATVTDLQLNFFGACVALAWIVPSAINKILWSNLQQTGNWTALALMWKTTPVTIFFLLALMPLLDPAGVLLFNWNFNNTSAIFTSALFGFLLQWSGALALGATSATTHVVLGQFKTCVILLGGYLLFNSDPGTVSLCGAIAALCGMSVYTYLNLNRSHESTTLSKELPI >DRNTG_08577.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000388.1:10329:11429:1 gene:DRNTG_08577 transcript:DRNTG_08577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPKHAPITKKIMIFLICRMATLGIPICLKALESFRWWCYTWGYSLRYRTWNSRSMH >DRNTG_01270.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:10220164:10221508:1 gene:DRNTG_01270 transcript:DRNTG_01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNTSKTTKYHGFEINKHNTQHSPNITWSRKLSKPSPWPWLGSEPPRYSCP >DRNTG_20213.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6359118:6359615:1 gene:DRNTG_20213 transcript:DRNTG_20213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVYEKMDTMLGQIKDIMHDSKYENNYPSMEKKILERWEKMNIPMHCLGFALSPRFYDVRYLSTHAPGGFPRRAPNCDKEVILGVMEAFKRISENSKEEKLLREQFASFHMKKGLFAMAAAQIDAVTMDTIEWRSTYGSQTPELMDVAKKVLSQLGSSSSAERA >DRNTG_27634.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18610304:18617670:-1 gene:DRNTG_27634 transcript:DRNTG_27634.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVRKDLIPDGPGFVKIIPEEDDDMWHAYNLISVGDAVQAATVRKVLRETASGGRDSERVKLKLEIKVEDVDYDKEGMLLRIRGRNIIENDHVKIGQFHTIEIEPHRPFILRKVVWDSLALEVLQQACDPSATADLAVILMQEGLAHIFLIGRSMTITRCRIETSIPRKHGPAIAGYESALKKFFENILQALLKYIDFKIVQCVVLASPGFTKDQFRDYLLLEAERRQLRSIIENKSRLILAHATSGYKHSLKDVLEAPSVMVLIKDTKAAQEVRALKDFFTMLSDDPDRACYGPKHVEVAHERMAIQTLLITDSLFKNADVQTRQKYVKLVESVKESGGTTHIFSSMHVSGEQLASMTGIAAILRFPLPDLEDIEM >DRNTG_01483.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13787107:13788672:1 gene:DRNTG_01483 transcript:DRNTG_01483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPASLLPTEASPEWLNKGDASWQLIAATLVGLQSVPGLVILYGSIVKKKWAVNSSFMALYAFAAALVVWVGWAFNMSFGEEMFPFLGRPATALDQKSLLGQGFAGFYSSATLVYFQFVFAAITVVLIAGALIGRMDFRAWIIFVPLWLTFSYTIGAFSIWSPNGFLFKAGIMDFAGGFVIHLSSGISGFTAAYWVGPRLAKDRERFPPNNILLTLAGAGLLWMGWSGFNGGAPYAANIDASLAVLNTHVCTATSLLVWLSLDMFVFKKPSVVGAVQGMITGLVCITPAAGLVQGWAAIIMGLLSGSIPWFTMMVLHKKIKFLKHIDDTLAVFHTHAVAGMLGGILTGIFGEPRLNRLFFGDDTRYIGLAYAMKDGRLTAGFRQIGIQFAGILFILILNVVVTSVICVLVRLVVPLRLSEEEMLVGDDAIHGEDAYAVWGDGETFENSVHSAEQYSHALRGVEMI >DRNTG_29681.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3933067:3937651:1 gene:DRNTG_29681 transcript:DRNTG_29681.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNFTPPQPCSPSPLTTPEANSWHGDVKGPSFKETKVFLLFLLLPTTLEKAWLIGGWIESSRVEWLNLYN >DRNTG_29681.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3933185:3937425:1 gene:DRNTG_29681 transcript:DRNTG_29681.6 gene_biotype:protein_coding transcript_biotype:protein_coding LNFTPPQPCSPSPLTTPEANSWHGDVKGPSFKETKVFLLFLLLPTTLEKAWLIGGWIESSRVEWLNLYN >DRNTG_29681.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3933067:3937488:1 gene:DRNTG_29681 transcript:DRNTG_29681.3 gene_biotype:protein_coding transcript_biotype:protein_coding LNFTPPQPCSPSPLTTPEANSWHGDVKGPSFKETKVFLLFLLLPTTLEKAWLIGGWIESSRVEWLNLYN >DRNTG_29681.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3933067:3937425:1 gene:DRNTG_29681 transcript:DRNTG_29681.4 gene_biotype:protein_coding transcript_biotype:protein_coding LNFTPPQPCSPSPLTTPEANSWHGDVKGPSFKETKVFLLFLLLPTTLEKAWLIGGWIESSRVEWLNLYN >DRNTG_29681.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3933185:3937488:1 gene:DRNTG_29681 transcript:DRNTG_29681.5 gene_biotype:protein_coding transcript_biotype:protein_coding LNFTPPQPCSPSPLTTPEANSWHGDVKGPSFKETKVFLLFLLLPTTLEKAWLIGGWIESSRVEWLNLYN >DRNTG_33387.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18840978:18843240:1 gene:DRNTG_33387 transcript:DRNTG_33387.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCPPRSTTFNVRITAPAARTANATAKTSDFFTDADHDKMRPRNTMKKKGPHRSQSRSAFPGSSRDGFGNTTSFKLLTIRDRSRFTVSHTGSVSVLGGDPKPSTEIPGRGAPGAPPDEIG >DRNTG_32469.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2547890:2549902:1 gene:DRNTG_32469 transcript:DRNTG_32469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFIHRLVILIAFGGLLIAYKSEAQSGSSRSQLDTLLQDYGYQAFVRPRTGVFYDGTAPANLSGIKISVVRLRSGSLRRKGVHSFKEFDIPVGLIVQPYVERIVFVYQNLGNWSSVYYPLPGFTYLAPVLGLLIYDAANLSAKNLPELDFIASKSPISINFTNAAAVLNGMTPQCVWFNLDGLPEFRELMSENVCSTYRQGHFSIVVNSTGLAPSPSPSGGPVSGLSPGPSPIPSKSHKSKVWKIVGGVVGGFIGLILLSLLLAWFLIHRQNRKVAEMEHQADVGEALRMTRVGSTQAPVATGTRTQPILENEYVA >DRNTG_11723.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3887768:3893362:1 gene:DRNTG_11723 transcript:DRNTG_11723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPNPHMLSSTIFPSHPTNTLKTSIHHTTSLAHSARLAKKN >DRNTG_11723.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3887822:3893362:1 gene:DRNTG_11723 transcript:DRNTG_11723.6 gene_biotype:protein_coding transcript_biotype:protein_coding KVWNARDLDRNFSNTGLRETLEIEYQRYSSKGLKHLKKKGTRENKRARRSERER >DRNTG_11723.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3887822:3893362:1 gene:DRNTG_11723 transcript:DRNTG_11723.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPNPHMLSSTIFPSHPTNTLKTSIHHTTSLAHSARLAKKN >DRNTG_11723.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3887822:3893362:1 gene:DRNTG_11723 transcript:DRNTG_11723.3 gene_biotype:protein_coding transcript_biotype:protein_coding KVWNARDLDRNFSNTGLRETLEIEYQRYSSKGLKHLKKKGTRENKRARRSERER >DRNTG_01102.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21477630:21479769:-1 gene:DRNTG_01102 transcript:DRNTG_01102.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHNHITMFAIVLALGVLITMPRGGQSIEYVMAHLVIIYPQSSEVVALYQSNNITAMRLYDPNLSALEALRGSNIEIMLSVSNDNLQSFASDPSAANVWVQTNIKPYFSQIKFKYIAVGNEVIPGKMAQYVLPAIRNIRTALLSVGREDEIKVSTVVFLATFFLTNTFSSPLAGLFSSEVMLNLVPIIQFLNSTGSPLLVNVYPYLTYINNKDIIDINYALFTSPGTVVTDGSFKYQNLFDAMLDTMYSALEKAGGSNVGIVVSESGWPSAGGDAASVDNARTYYQNLINHVGKGTPKRPGAMEVFVYAMFNENQKSPEYEKHFGLFNPDGTPVYSINF >DRNTG_03491.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:783276:789822:1 gene:DRNTG_03491 transcript:DRNTG_03491.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTQNQLHILFFPLMAPGHMLPMLNMAKLFACHDHRVRATILTTPANVTTLVKTITINSNSKIELALIPFPSTAAGLPLGCENLTTISSHDDLTPNFIQAVSMLTQPFEQILRELKPNVTITDAFIPWTIDITTKLGIPQIISHGTGFFPLCLSDIIANYKPHETLPAETMSFLVPGIPHRVELLKTQVLDIMKAGKPMLDLLLKIKDTESRSYGVVVNSFYELEPDYVQHYRTVIGRRAWHVGPVSLCNEDVINGASATDDKDHDECLRWLDEKQPGSVLYVCFGSLCAFSGDQLREIALGLEASNHAFIWVVPKVLKRDEDMDWMPEGFEGRINIEGKKGFIIRGWAPQLLILNHKAVGGFMTHCGWNSTLEGVCAGLPMITWPLFAEQFYNERLVLDVLKIGVAVGMKEYVMKHEDRPLIYGIDIERAVNCVMGGDEEAEAMRKRARELGVMAKSAVMEDGSSYTELTRLINELLLLVENID >DRNTG_03491.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:753309:789822:1 gene:DRNTG_03491 transcript:DRNTG_03491.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGFEGRINIEGKKGFIIRGWAPQLLILNHKAVGGFMTHCGWNSTLEGVCAGLPMITWPLFAEQFYNERLVLDVLKIGVAVGMKEYVMKHEDRPLIYGIDIERAVNCVMGGDEEAEAMRKRARELGVMAKSAVMEDGSSYTELTRLINELLLLVENID >DRNTG_03491.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:776743:785366:1 gene:DRNTG_03491 transcript:DRNTG_03491.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTQDLHILFFPYMAPGHILPMINMAKLFANRGVRTTILTTPANTSIVKPIISNSPIELALIPFPSAAAGLPEGCENLSSVPSTNLIPYFLRAVVMLSEPFDQILKELHPNITIIDGFFPWTIDITSKLGITQLVFYGTGFFPVCLIDSIEKYKPHETLPDETKSFLVPGIPHRIELLKTQVLDTSKTNKERQEFFNKVRDADKRSYGVVMNTFYELEPDYVEHYRTVIGRRAWHIGPVSLYSEDMIKSTTTTSTDRNHECLSWLDGKESGSVLYVCFGSLSTFRGEQILEIALGLEASNHAFILVVPKPVERDENMDWMPEGFEGRINIEGKKGFIIRGWAPQLLILNHKAVGGFMTHCGWNSTLEGVCAGLPMITWPLFAEQFYNERLVLDVLKIGVAVGMKEYVMKHEDRPLIYGIDIERAVNCVMGGDEEAEAMRKRARELGVMAKSAVMEDGSSYTELTRLINELLLLVENID >DRNTG_03491.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:776743:778449:1 gene:DRNTG_03491 transcript:DRNTG_03491.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTQDLHILFFPYMAPGHILPMINMAKLFANRGVRTTILTTPANTSIVKPIISNSPIELALIPFPSAAAGLPEGCENLSSVPSTNLIPYFLRAVVMLSEPFDQILKELHPNITIIDGFFPWTIDITSKLGITQLVFYGTGFFPVCLIDSIEKYKPHETLPDETKSFLVPGIPHRIELLKTQVLDTSKTNKERQEFFNKVRDADKRSYGVVMNTFYELEPDYVEHYRTVIGRRAWHIGPVSLYSEDMIKSTTTTSTDRNHECLSWLDGKESGSVLYVCFGSLSTFRGEQILEIALGLEASNHAFILVVPKPVERDENMDWMPEGFEGRINIEGKKGFIIRGWAPQLLILNHKAVGGFMTHCGWNSTLEGVCAGLPMITWPLFADQFYNEKLVVDVLKIGVAVGMKEHVLKPEDRPLIHGMEIERAVNCVMGVGEEAEAMRKRARELGEMAKSAVMVDGSSYTELTRLISELSALHQ >DRNTG_03491.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:766410:785366:1 gene:DRNTG_03491 transcript:DRNTG_03491.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTQNQLHILFFPLMAPGHMLPMLNMAKLFACHDHRVRATILTTPANVTTLVKTITINSNSKIELALIPFPSTAAGLPLGCENLTTISSHDDLTPNFIQAVSMLTQPFEQILRELKPNVTITDAFIPWTIDITTKLGIPQIISHGTGFFPLCLSDIIANYKPHETLPAETMSFLVPGIPHRVELLKTQVLDIMKAGKPMLDLLLKIKDTESRSYGVVVNSFYELEPDYVQHYRTVIGRRAWHVGPVSLCNEDVINGASATDDKDHDECLRWLDEKQPGSVLYVCFGSLCAFSGDQLREIALGLEASNHAFIWVVPKVLKRDEDMDWMPEGFEGRINIEGKKGFIIRGWAPQLLILNHKAVGGFMTHCGWNSTLEGVCAGLPMITWPLFAEQFYNERLVLDVLKIGVAVGMKEYVMKHEDRPLIYGIDIERAVNCVMGGDEEAEAMRKRARELGVMAKSAVMEDGSSYTELTRLINELLLLVENID >DRNTG_13011.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26689030:26692445:-1 gene:DRNTG_13011 transcript:DRNTG_13011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKMRKSQCVVSKSIAKNLLFLFVPLLCFSIVSSYDSLDPYGNITITWDIMSWTPDGYVAVVNISNEQQFRPVSEPGWKLGWTWERQEVIWIMVGAQSITQGDCSRFKGIIPHSCERSPTIVDLLPGTPYNDQISGCCRDGVLAPMGFGDPYLSTAGFQLTVGAAGNTNKTVRVPKNFTFGVEGGGYTCGPAKIMRPTRFFTPDGRRVTQALMTWKVVCTYSAFLVKKPVCCVSLSSADHRLSSSCKDCACGCRNASMACTGKGGAEKACAFAFSCEMHQPQLSS >DRNTG_13011.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26689030:26691508:-1 gene:DRNTG_13011 transcript:DRNTG_13011.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAQSITQGDCSRFKGIIPHSCERSPTIVDLLPGTPYNDQISGCCRDGVLAPMGFGDPYLSTAGFQLTVGAAGNTNKTVRVPKNFTFGVEGGGYTCGPAKIMRPTRFFTPDGRRVTQALMTWKVVCTYSAFLVKKPVCCVSLSSADHRLSSSCKDCACGCRNASMACTGKGGAEKACAFAFSCEMHQPQLSS >DRNTG_12356.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20845468:20846182:1 gene:DRNTG_12356 transcript:DRNTG_12356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMLNMKPNSNDGQEKNLVFLYRLASGACPESYGLQVALMAGVSRPIVDAASEAAQRMKIIISKNFKSSEGRCKFSSLHEGWMKTLLALSKTTSSGSASSDGCWDEDASDTLLCLWLELKNFYSKRPRVHVAM >DRNTG_23747.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21056291:21059091:1 gene:DRNTG_23747 transcript:DRNTG_23747.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKEEKDARIEAIASSIRVVPNFPKPGIMFQDITTLLLNPKAFRNVVELFVNRYIDKGITVVAGVEARGFIFAAPIALAIGAKFIPLRKPRKLPGEVISEKYDLEYGTDCLEMHVGAVQPEDRALVVDDLIATGGTLCAAMNLLERAGAEVVECACVIELPELKGRERLNGKPLHILVESR >DRNTG_23747.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21056006:21059091:1 gene:DRNTG_23747 transcript:DRNTG_23747.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQDITTLLLNPKAFRNVVELFVNRYIDKGITVVAGVEARGFIFAAPIALAIGAKFIPLRKPRKLPGEVISEKYDLEYGTDCLEMHVGAVQPEDRALVVDDLIATGGTLCAAMNLLERAGAEVVECACVIELPELKGRERLNGKPLHILVESR >DRNTG_31837.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:20177015:20206239:-1 gene:DRNTG_31837 transcript:DRNTG_31837.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIETMAAQGKEPTFCMGDDIPLAVLSQKPHMLYDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRGNILEIGPQNADQVILSSPVLNEGELDALMKDHNLNPQILHTFFEIQKGIPGSLDKAINQLCEAADDAVRNGSQLLILSDRCEELEPTRPAIPVLLAVGAVHQHLIQNGLRMSASIVADTAQCFSTHQFACLIGYGASAVCPYLALETCRQWRLSTKTVNLMRNGKMPTVTIEQAQRNFCKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGQEIVDLAFCGSVSKIGGLTLDELARESLSFWVKAFSEDTAKRLENFGFIQHRPGGEYHGNNPEMSKLLHKAVRQKNESAYAIYQQHLANRPVNVLRDLLEFKSDRPPIPVGKVESASSIVQRFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGGEDPIRWSPLTDVVDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLVNADQLEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIFDLHQVNPKAKVSVKLVAEAGIGTVASGVAKGNADIIQISGHDGGTGASPVSSIKHAGGPWELGLTETHQTLIDNGLRERVILRVDGGFKSGFDVLMAATMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNYFLYVAEEVRGMLAQFGYEKMDDIIGRTDVLRLRHISLVKTQQLDLSYLLSNVGLPKWSSTQIRSQEVHSNGPVLDDVILSDSEISKAIEHEKDVSKSFKIYNVDRAVCGRIAGVVAKKYGDRGFAGQLNITFTGSAGQSFGCFLTPGMNIRLVGEANDYVGKGMAGGEMVVTPVENIGFCPEDATIVGNTCLYGATGGQIFVRGKAGERFAVRNSLAQAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDDALIPKVNKEIVKIQRVNAPAGQMQLKSLIESHVEKTGSSKGATILNEWEAYLPLFWQIVPPSEEDTPEACAEAVKVEVGKVTLQSA >DRNTG_27790.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3264652:3264966:1 gene:DRNTG_27790 transcript:DRNTG_27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVMNLASQRAVVVFSVSSCCMCHTIKRLLCDLGVNPAIHELDEDPKEGTEMEKALIKLLGRSPPVPVVFIGGQLIGLTDKVMSLHLSGNLIPLLKNAGAIWL >DRNTG_25708.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001334.1:7457:8086:-1 gene:DRNTG_25708 transcript:DRNTG_25708.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSKKQADKRPCKSSPEPEGMSFTIPEHQVCYERLSRLRFGQTRFLDTSILRDLQQGDEFADEFRVLGHHHSLSITQFSVLLSLYEEAFTDTEEYSQLPTDYPGALTTQRVYRVLCGQGQYEPGVSKATCLSRPAYRYLHAIMSRSVNGRGDSTGILSR >DRNTG_23075.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2406275:2415394:1 gene:DRNTG_23075 transcript:DRNTG_23075.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaminyl-peptide cyclotransferase [Source:Projected from Arabidopsis thaliana (AT4G25720) UniProtKB/Swiss-Prot;Acc:Q84WV9] MGKSFFGEGLTLLGERLFQVTWQTKIGFVYDRNNLSRRKKFTHQMQDGWGLATDGRVIYGSDGSSTLYQLDPHTFKVLGSATVRYKNHEVPHLNELEYINGEVWANVWMTNCIARISPKDGMVHSWILLHGLRQGLLQSGYTGIDVLNGIAWDEESNRLFVTGKLWPKLYEFKLRPVTSPLDGSIQKLCPLHS >DRNTG_23075.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2406275:2415394:1 gene:DRNTG_23075 transcript:DRNTG_23075.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaminyl-peptide cyclotransferase [Source:Projected from Arabidopsis thaliana (AT4G25720) UniProtKB/Swiss-Prot;Acc:Q84WV9] MNSTICQLTCYIQSSIRRVHLQTGEVQASHQMGKSFFGEGLTLLGERLFQVTWQTKIGFVYDRNNLSRRKKFTHQMQDGWGLATDGRVIYGSDGSSTLYQLDPHTFKVLGSATVRYKNHEVPHLNELEYINGEVWANVWMTNCIARISPKDGMVHSWILLHGLRQGLLQSGYTGIDVLNGIAWDEESNRLFVTGKLWPKLYEFKLRPVTSPLDGSIQKLCPLHS >DRNTG_23075.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2406275:2415394:1 gene:DRNTG_23075 transcript:DRNTG_23075.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaminyl-peptide cyclotransferase [Source:Projected from Arabidopsis thaliana (AT4G25720) UniProtKB/Swiss-Prot;Acc:Q84WV9] MALGSSKKKVKRRSSELSASMASSYPAQPKALASRSKKHSGILNATCLLPTLLALSLFVFFFVFWKNIDALESEPLFFTIDVVKEFPHDPDAFTQGLVYGGNDTLFESTGLYGRSSIRRVHLQTGEVQASHQMGKSFFGEGLTLLGERLFQVTWQTKIGFVYDRNNLSRRKKFTHQMQDGWGLATDGRVIYGSDGSSTLYQLDPHTFKVLGSATVRYKNHEVPHLNELEYINGEVWANVWMTNCIARISPKDGMVHSWILLHGLRQGLLQSGYTGIDVLNGIAWDEESNRLFVTGKLWPKLYEFKLRPVTSPLDGSIQKLCPLHS >DRNTG_19135.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19084377:19088962:-1 gene:DRNTG_19135 transcript:DRNTG_19135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMTAWLVREQSIYTIGSVPNHEARQDMASACKILMFIVFIIAHVFSETAYAANQPTQGGLQVYTYRRSWEDHRPYSSPPPSPSQVPITHP >DRNTG_19135.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19088095:19088962:-1 gene:DRNTG_19135 transcript:DRNTG_19135.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMTAWLVREQSIYTIGSVPNHEARQDMASACKILMFIVFIIAHVFSETAYAANQPTQGGLQVYTYRRSWEDHRPYSSPPPSPSQVPITHP >DRNTG_13764.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8110410:8113002:1 gene:DRNTG_13764 transcript:DRNTG_13764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGVPESPAKDFGWHDPGFIHSAVMTGLQPSQTYSYKYGSDSVGWSDEIQFTTPPAAGSDELKFLAFGDMGKAPLDPSLEHYIQPGSLSVIKAMADEVSSGNVDSVFHIGDISYATGFLVEWDFFLSLITPIASHVSYMTAIGNHERDYADSGSVYVTPDSGGECGVAYETYFQMPTSSKDKPWYSIEQASVHFTVISTEHDWTENSEQYKWMKNDLKSVDRSRTPWVIFTGHRPMYSSQDGILPSVDSDFVEAVEPLLMDNKVDLVLFGHVHNYERTCAVFDGDCKGMPTKMKMVLMLITAVITLHQFK >DRNTG_00740.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000054.1:42521:49216:-1 gene:DRNTG_00740 transcript:DRNTG_00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRVESIVEDVILRSLVDRKIWNALINACAKEQLWEQAEELFEKKRSKEAKNVLNNLKAFGIKSTTLPLNSVIDDSLKNRDYSMGITKLLEMKRDGLQPYHRIWTCFIKAASRCEQTEDAMLLPNALQENGFDLPIRSLADHIGLKGFLVFNVVGGGIGISLQYLLMKHCKSNLLKSLLPFQPYSPSLPFGLHPFGLEKLSISPADRVLDPDLPPSPFVFRSTTTVHHPQSCPSLHRLVLASPSPFKFGVSKWRCSFSRARANDKVSCDEMDFEDMTQENHEFLGRKTLTNFRWAPMITLFMLTSLVEQANLGLKSDKGFKSVAINSVARAVGARFNLVAYPGEEIYINKAIQDYEEMAIVCGNDQATGSFARTGSQSSRSLGARMEQQPPTPIIDLDNQTQGLNDFDEMAQSQSPINDALASSTSKAKESKKRAKCAKADKEIM >DRNTG_06653.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23127892:23129906:-1 gene:DRNTG_06653 transcript:DRNTG_06653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDELFKCDANYVPLSPVNFLLRAAGVYSGRTSVIYKRVRFTWDQTYVRCRRLSSALLSLGIQKNDVVSVLSPNTPAIYEMHFGVPMAGGVLNTINTRLDAGNIATILKHSEAKIFFVDYEYVPLAKEALKILINNTNSSSALPLVIVIDDLDTPTGIRLGELEYECLLAGADPNVELKELKDEWDPIALNYTSGTTSAPKGVVYSHRGAYLSTMSLLLQWEVGSEPVYLWALPMFHCNGWTFTWGMAARGGANVCIRSPTTTEIYKAISDHKVTHFCCAPVVFNILLEGSNNRRLPHKVNVLTGGAPPPATVLQSMQQLGFHVTHAYGMTEATGPALVCEWRQEWDQLSPDVQSKLKARQGISVLTLAGADVIDKTTMKSVPRDGVSLGEIVLRGSSIMKGYFKNVKDTKEAFKDGWFFTGDVGVVHPDGYMEIKDRSKDVIISGGENISSVEVESVVYQHPVVAEVAVVAMPHSLWGETPCAFVVLKKEVRDKNIKEDEIVRYCKMNMAGFMVPKKVVFMEELPKNGTGKVTKAKLREMARLIKIPAGETPVTVSKKGRGVTGSNGNNGRSDQQVHVLAVSRL >DRNTG_18041.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7736967:7739564:1 gene:DRNTG_18041 transcript:DRNTG_18041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHKLREGRGSNKRKERDQYHDSTSTHETTRENYALVDDPTINPHEIMQENDALANDSIINPHRTMPENGESLNNLSESNLAQRRRGPSLGTTLPSHSSGRQPLVINGTRYFKGITDVWPAWTSIPLHIKDALFMKFKEKEYNREVTYAEVYNRTHRKDKGNDPFVDSKSRFIYFQSMTSQNKSNDPGRNLGREE >DRNTG_17313.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31898760:31903115:1 gene:DRNTG_17313 transcript:DRNTG_17313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGSSRHGLPAFLSAASRVDFEGAISDASSAPSSSSSSPPLPRSSDFDMGFVERAVSAAGAAVLSAVLVNPLDVAKTRLQAQAAGVPYYNPQHRITQHMASLGPNAMFSDFRCSPSCPRGVVFGAEPVCPPDCFQYKGTMDVFIKVIRQEGFARLWRGTNAGLALAIPTVGIYLPCYDIFRNWIEDFTTRNVPSLTPYAPLVAGSFARSLACITCSPIELARTRMQAYKEFQAGAKPPGMWKTLLGVLSPIRSTTNLPNLQGYRMLWTGVGAQLARDVPFSAICWATLEPNRRRLLRLVGEEGNAASVLGANFSAGFVAGSIAAAATCPLDVAKTRRQIENPEKALKMTTRQTLFDVWRDGGMKGLFTGVGPRVARAGPSVGIVVSFYEVVKYVLHQRHID >DRNTG_28614.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28482529:28484232:1 gene:DRNTG_28614 transcript:DRNTG_28614.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLEEREKTVYLAKLAQQAERYDDMVEFMKNVARMDIELTSEERNLLSIGCKNLIGARRTSWWYLTSLMHKEDEKRNEHNAEHIMHYLKRVEHELTKICDDILSFTAIHILPSSISGESIVFFHKMKGDYYRYLAEFKTGEQKKEAADKSLEAYQAATSTAITELPPTHPIRLGLALNFSVFHYEIQNAPDRACHLARRALDEAITQLSSLSEESYKDSTLIMELLKDNLMLWSSELPENGEESSGDSIDREA >DRNTG_28246.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2688675:2689240:1 gene:DRNTG_28246 transcript:DRNTG_28246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNHQRTTREVEEEHHQHHQQQQQQEEEDGDDGGEEVREIHALTPPPQPQQQAGRGTHSWETTSHRSSTLSEILSENFTTMSREFNAMVLAGSSLQPDAPGDGTSLGRIGEEVEETNPLAIVPDRNPIASPRRGG >DRNTG_22460.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7361566:7363518:-1 gene:DRNTG_22460 transcript:DRNTG_22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane ascorbate ferrireductase 4 [Source:Projected from Arabidopsis thaliana (AT1G26100) UniProtKB/Swiss-Prot;Acc:Q9C540] MAASLLTLARLSALAVTILLLIWSIGFRSSFLHLSSTSSSLPTAHLDHLFSVLHPLLMVMGFILLSGEAILAHRWMRRWSRGARKSMHLAMQGAALGFGVLGIWAKFKGNVGIMNNFYSLHSLMGLACLFLFSAQWIAGFMSFWHHSEGRRTRIIVLPWHVFVGLYTYILAVATAETGLLEKLTFLQTKHGMPRRSAEATLVNCLGFGLVLLGSFVVFSAITPKHHLHAQHLASNKNTNSGNLYSFDNKNGYHSSHQS >DRNTG_21045.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001196.1:11844:13597:1 gene:DRNTG_21045 transcript:DRNTG_21045.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFLPAISLGRSWGGHLGDRHDLGILLTGGMILSWLLVILFGMGYCFEIHVFWYYLVLQMLSGLFQATGWPWVVAVVENWYQEQGYWWLIMGIWNPFVSMGNIVGTLLAGFALHRGWGLSFIIPGLMMVAGGLLVLFFLIPHPESIQFPNQDEETGHIRPGRHRHQSGQDEAATTQERQGNDPGQGDVNNMNAIGRVYAAFQIPGVKPYAGCLLGAKFLAYMFVAALPSQLSKNKIGGKLMSVITAGNLSTLFFDVGGILGSICAGCIYGLFNAKDHPAAGFIALGALAIIFYGILGNISQLMNIILMIVIGLAVNGLCAFITTGVSADLGTHNALQGHGLALATVTAIIDGTGSFGATLGLLIGYFLKQELNSNAVLVVLEVVAVLSIISLYSPQIVPYLKSKIQTMQNRHNSGRMGELASITAPLINTPTDEDSTLDRQQEDSS >DRNTG_24467.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18785252:18785672:-1 gene:DRNTG_24467 transcript:DRNTG_24467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKCGDLSVARKVFDEMRVRDIVSFNALLGAHARVGVDMAEARRLF >DRNTG_07316.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3326953:3331549:-1 gene:DRNTG_07316 transcript:DRNTG_07316.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFDRFGGLEELLFGESGFKSFVERGRLKLAEGDAEALRLFFTRMQAKNSNFYNVMDLDEDGCLRNVFWADARSRAAYQYYSDVVTLDTTYIINKYDMPLATFVGVNHHGQSVLLGCALLSDETVETYIWLLKAWLACMSGQLPKAIITDHCRSIQCAVAEVFSGVRHRFCLWKIMKKVPEKLGSLPEYRAISKVFQKAVFDSLRVDEFEDDWMRMIEVYGLQGNEWLTLLYDCRHSWVPVYLKDTFWAGMSITQRSDTLTTFFDGYVDTKMAIKQFVGKYEMALQSKYEKEAQADFETFHKRRPAVSKFYMEEQLSKVYTLNMFKKFQDEIEAIMYCHASLLNVDASVSTFDVKECIFLEDGKKTMNKNHTVLYNSVEKDVTCICGSFQFRGILCRHALSVFKSQQVHEIPSQYILDRWKKDFKRLHVAACSSDDVVANNRVDRYDYLSMRCLQLVEVGVISDKYQLALKLIKEVEKFLLSDKTYEDTQPKIVSRVPKAQKVDRNKKSVSAEQNSNENVGPHGALPLQVEYQIPPGMANGANEGYMFQVVPTVPHLRPPEGVKPGAFPYGNHFGMPMNHQPYVANQPAARPGLVYMFPGGVDPKAFGNGPMMPWIYPPHMYQAAQRPVAPTGSRTGLKAKRRRVHGSKLRQASEKPNEPSRTGQSIEKPTELSATAQLAQCPTEPPVVEREAEKNEPLGDGHGISGIGAVTS >DRNTG_35080.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19551453:19555784:1 gene:DRNTG_35080 transcript:DRNTG_35080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSQRRKPYILGKILVAFILIVFCIITLKQSPTHRSSSTSAFSRHEPGVTHVLVTGGAGYIGSHATLRLLKDSYRVTIVDNLSRGNMGAVKVLQKQFPEPGRLQFIYADLGDAQAVKQIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITANTLIVLEAMAANNVKTLIYSSTCATYGEPEKMPITEKTPQHPINPYGKAKKMAEDIILDFSKKSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAASGIISGLKVKGKDYPTTDGTCVRDYIDVTDLVDAHVKALAKAEPKKVGIYNVGTGKGRSVNEFVKACKKATGVDIKVEYLDRRPGDYAEVYSDPFEDQPRAQLDSSVH >DRNTG_35080.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19552392:19555784:1 gene:DRNTG_35080 transcript:DRNTG_35080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSQRRKPYILGKILVAFILIVFCIITLKQSPTHRSSSTSAFSRHEPGVTHVLVTGGAGYIGSHATLRLLKDSYRVTIVDNLSRGNMGAVKVLQKQFPEPGRLQFIYADLGDAQAVKQIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITANTLIVLEAMAANNVKTLIYSSTCATYGEPEKMPITEKTPQHPINPYGKAKKMAEDIILDFSKKSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAASGIISGLKVKGKDYPTTDGTCVRDYIDVTDLVDAHVKALAKAEPKKVGIYNVGTGKGRSVNEFVKACKKATGVDIKVEYLDRRPGDYAEVYSDPFEDQPRAQLDSSVH >DRNTG_35080.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19551236:19555784:1 gene:DRNTG_35080 transcript:DRNTG_35080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSQRRKPYILGKILVAFILIVFCIITLKQSPTHRSSSTSAFSRHEPGVTHVLVTGGAGYIGSHATLRLLKDSYRVTIVDNLSRGNMGAVKVLQKQFPEPGRLQFIYADLGDAQAVKQIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITANTLIVLEAMAANNVKTLIYSSTCATYGEPEKMPITEKTPQHPINPYGKAKKMAEDIILDFSKKSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAASGIISGLKVKGKDYPTTDGTCVRDYIDVTDLVDAHVKALAKAEPKKVGIYNVGTGKGRSVNEFVKACKKATGVDIKVEYLDRRPGDYAEVYSDPFEDQPRAQLDSSVH >DRNTG_30148.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3306035:3306825:1 gene:DRNTG_30148 transcript:DRNTG_30148.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFFSSNAYAATASGADDSLVLALHSKASWDAQWQAHKDSNKLMVIDFSASWCGPCRFIEPAFKSMASKFTDVVFVKIDVDELQDVSQQWHVEAMPTFVFVKGGKEVSRVVGAKKDELDRKIGTFRA >DRNTG_13095.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10229698:10235758:-1 gene:DRNTG_13095 transcript:DRNTG_13095.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRF1-interacting factor 1 [Source:Projected from Arabidopsis thaliana (AT5G28640) UniProtKB/Swiss-Prot;Acc:Q8L8A5] MQQHLMQMQPMMGGYASPNPVTTELIQQYLDENKQLILAILDSQSAGKAEECAENQAKLQRNLMYLAAIADSQPQLPTIAQFPPNAVIQPGTRYMQHQQAQPLTPQSLIAARSSMLYAQSPMSALQQQQQAALHSQLGMSSGASSGGFNVLRGETSVGGNGALNAGVFPDFGHNAGAGKQDMGNAVSTDSRGGNSTGQNGDGTEPLYLKSSEEEGN >DRNTG_06588.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:573585:574594:-1 gene:DRNTG_06588 transcript:DRNTG_06588.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEDVEIDVSPVPELRRRLRFKMMPNLVQTQVRLIPDPSKDGTIGPQKGSLVQPYLAPMVAGLSLVAPFLEEKIKLGLRPRALCLGVGGGTLPMFLKSKLDFDILGVEADPVVLNIARQHFGLVEGEFLHVHIGDAIGFIKNVAQQEDTLRRGLERMSDLVEGSRIDFDVIMVDLDAGDAVNGASAPPSEFVQRSVLLAAKMVLHDRGIIVINVIPQSKAFYSELIHDFREVFAELYEIEVGNGENYVLVATVTNVQLSRSGSCGSWLQKLKLVIGEKHMNSINKI >DRNTG_15466.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16383516:16389298:1 gene:DRNTG_15466 transcript:DRNTG_15466.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFRQSGADRSATRSDPLPSRIPHKPGRIRVHRRSSRRLPATAFAALILLAALLALLFLASRLLSSGPETQLDSEDNEPGTGFRLNRAAFPKILRFGKGSGASGGDSRYWDRDDRRRDRDFVEDDVFDVKDEVRLKEKKEKEPQVKNGGGLYNEGGRAELDSYKKEYEKSLKDVGQTGTDNASEEDDYDDGIDAEDDSEAGEGDGEAGIAGGEHNMGKNGKKSEKGSVSMGLRKVDVGTRKERSLVGGGAEGKIESSEKKSAGSSKKKARRSSCEMKFLNSTRLIEPVENKKFARFSLQYTYVEDRPSGLEDWEPRFAGHQGLQEREKSFYAQDQTINCGFVKGPIGSPSTGFDIAEDDVRYMSSCHIGVASCIFGNSDRLRTPYGKTITRQSKKNVCFIMFMDEDTLQTLLSEGQQMDNTGSIGLWKIVVVKNLPYTDMRRVGKIPKFLAHRLFTSARYSIWLDSKLRLQRDPLLILEYFLWRRGYEYAISNHYDRHCVWEEVMQNKKLNKFNHSIIDQQFHFYQQDGLKRFDPSDPNKLLPSYVPEGSFIVRAHTPMSNLFSCLWFNEVDRFTPRDQLSFAYTYLKLRRMNPNKPFHLHMFKDCERRAIAKLFHHRAEEKKTVRPQTLR >DRNTG_17418.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8491910:8493788:1 gene:DRNTG_17418 transcript:DRNTG_17418.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSTLLRQVCLAIILAQIGADVPAESFELSPIDRIFVRMGARDNIMAGQSTFLTELSETAAMLSSATHNSFAALDELGRGTSTSDGQAIAGSVLEYFVRNTQCRGLFSTHYHRLAVDYEKDSKISVCHMACQVGKGIGGTEEVTFLYKLMPGPCARSFGVNVARLAGVPTCVLEKAAAKSSEFESTYGKHKHVSNTKFSTSIKDDEITVIKDLLHATKSWNHHLEDSQVLNLSFLSEIQQRARLLVPGS >DRNTG_17418.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8484805:8493788:1 gene:DRNTG_17418 transcript:DRNTG_17418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQACSSLSTILAKTESTLLHHLLTPGKGLPDVTSILRYFKGAFDWSEADISGRIIPHEGCDVEFDTACNTLNDIESSLKRYLKEQRKVLGDTSIDYVTVGKEIYLLEVPEDLSGIVPRDYELRSSKKGYFRYWTPEIKEYLGELSQAEAEKESKHKNILQRLIAHFSEHHRKWRQLVSVVAELDVLISIGIASDYYEGSTCRPCVKELHQPSETLPYLSAKGLGHPVLRSDILGKGSFVPNDISVGGAGCPSFILLTGPNMGGKSTLLRQVCLAIILAQIGADVPAESFELSPIDRIFVRMGARDNIMAGQSTFLTELSETAAMLSSATHNSFAALDELGRGTSTSDGQAIAGSVLEYFVRNTQCRGLFSTHYHRLAVDYEKDSKISVCHMACQVGKGIGGTEEVTFLYKLMPGPCARSFGVNVARLAGVPTCVLEKAAAKSSEFESTYGKHKHVSNTKFSTSIKDDEITVIKDLLHATKSWNHHLEDSQVLNLSFLSEIQQRARLLVPGS >DRNTG_17418.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8484805:8491559:1 gene:DRNTG_17418 transcript:DRNTG_17418.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQACSSLSTILAKTESTLLHHLLTPGKGLPDVTSILRYFKGAFDWSEADISGRIIPHEGCDVEFDTACNTLNDIESSLKRYLKEQRKVLGDTSIDYVTVGKEIYLLEVPEDLSGIVPRDYELRSSKKGYFRYWTPEIKEYLGELSQAEAEKESKHKNILQRLIAHFSEHHRKWRQLVSVVAVDG >DRNTG_20847.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9666028:9685145:1 gene:DRNTG_20847 transcript:DRNTG_20847.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSLVRVSRAGRMGSPRAVPGRARAAGWSTPRGWRALRPRPRRRRLLGRGHPPGLRPPARPASVRGPSRAADRLVAVPHPAGPHRRPPSASLPAESVRRGAGSQWIVAARPLCHLQCPVAYLSRLQRIQPAARSESRFEAAARGRSAAVAAACDTGPWGTRGPLLRVGVPGGGAWRRTLAWILA >DRNTG_20847.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9683128:9685145:1 gene:DRNTG_20847 transcript:DRNTG_20847.11 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRVFKSSAKDSARRPLGIALRGRRPRQVRRGGRCL >DRNTG_20847.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9683128:9685145:1 gene:DRNTG_20847 transcript:DRNTG_20847.10 gene_biotype:protein_coding transcript_biotype:protein_coding MNAWLPQASYPCGNFSDTSSFKSRRAKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPRAGRGLGAKGGAEPRLRSTE >DRNTG_20847.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9666028:9685145:1 gene:DRNTG_20847 transcript:DRNTG_20847.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNAWLPQASYPCGNFSDTSSFKSRRAKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPRAGHLATLRES >DRNTG_20847.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9666028:9685145:1 gene:DRNTG_20847 transcript:DRNTG_20847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAWLPQASYPCGNFSDTSSFKSRRAKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPRAGRGLGAKGGAEPRLRSTE >DRNTG_20847.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9683128:9685145:1 gene:DRNTG_20847 transcript:DRNTG_20847.9 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKSRRAKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPRAGRGLGAKGGAEPRLRSTE >DRNTG_03883.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3868471:3870623:1 gene:DRNTG_03883 transcript:DRNTG_03883.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g43050 [Source:Projected from Arabidopsis thaliana (AT5G43050) UniProtKB/TrEMBL;Acc:Q9FMH9] MAYGLITAPVRLLNVGIDVGAKNSFSRPGVPRRSYLTKFRSISAVEENEGPRRLVDIIRIIPEVSRNYFKSRPRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVVCVLLTEYATKFYYSRPKVTFPLALLNNFKMGFTYGLFIDAFKLAS >DRNTG_03883.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3868471:3870623:1 gene:DRNTG_03883 transcript:DRNTG_03883.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g43050 [Source:Projected from Arabidopsis thaliana (AT5G43050) UniProtKB/TrEMBL;Acc:Q9FMH9] MAYGLITAPVRLLNVGIDVGAKNSFSRPGVPRRSYLTKFRSISAVEENEGPRRLVDIIRIIPEVSRNYFKSRPRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVVCVLLTEYATKFYYSRPKVTFPLALLNNFKMGFTYGLFIDAFKLAS >DRNTG_03883.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3867455:3870623:1 gene:DRNTG_03883 transcript:DRNTG_03883.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g43050 [Source:Projected from Arabidopsis thaliana (AT5G43050) UniProtKB/TrEMBL;Acc:Q9FMH9] MAYGLITAPVRLLNVGIDVGAKNSFSRPGVPRRSYLTKFRSISAVEENEGPRRLVDIIRIIPEVSRNYFKSRPRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVVCVLLTEYATKFYYSRPKVTFPLALLNNFKMGFTYGLFIDAFKLAS >DRNTG_03883.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3867455:3870623:1 gene:DRNTG_03883 transcript:DRNTG_03883.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g43050 [Source:Projected from Arabidopsis thaliana (AT5G43050) UniProtKB/TrEMBL;Acc:Q9FMH9] MAYGLITAPVRLLNVGIDVGAKNSFSRPGVPRRSYLTKFRSISAVEENEGPRRLVDIIRIIPEVSRNYFKSRPRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVVCVLLTEYATKFYYSRPKVTFPLALLNNFKMGFTYGLFIDAFKLAS >DRNTG_03883.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3867455:3870623:1 gene:DRNTG_03883 transcript:DRNTG_03883.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g43050 [Source:Projected from Arabidopsis thaliana (AT5G43050) UniProtKB/TrEMBL;Acc:Q9FMH9] MAYGLITAPVRLLNVGIDVGAKNSFSRPGVPRRSYLTKFRSISAVEENEGPRRLVDIIRIIPEVSRNYFKSRPRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVVCVLLTEYATKFYYSRPKVTFPLALLNNFKMGFTYGLFIDAFKLAS >DRNTG_25333.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6459996:6463230:-1 gene:DRNTG_25333 transcript:DRNTG_25333.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLPITDIAGGIPRPSTTSRSRPSISGMLKGHETKVSRVSDTIRGAGISPLISSPLLRVSSPPSLSPSLMEQRQRDGDEDKRDYYLNMGYAIRTIREELPEIFYREPNFDIYREDIIFKDPLNTFVGIDNYKLIFGALRFCGQLFFKVLWVDIVSIWQPIENIIMIRWTVHGIARVPWESRGRFDGTSEYKLDRNGKIFEHKVDNVAINSPPKFRVLAVEEMIQSLGCPSTAQTNILRSNTFKYLFLCSNCAEKLTGKSLPCSLSYSFLPMCKQRMTCLHHQPKQILSML >DRNTG_25333.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6459917:6463230:-1 gene:DRNTG_25333 transcript:DRNTG_25333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLPITDIAGGIPRPSTTSRSRPSISGMLKGHETKVSRVSDTIRGAGISPLISSPLLRVSSPPSLSPSLMEQRQRDGDEDKRDYYLNMGYAIRTIREELPEIFYREPNFDIYREDIIFKDPLNTFVGIDNYKLIFGALRFCGQLFFKVLWVDIVSIWQPIENIIMIRWTVHGIARVPWESRGRFDGTSEYKLDRNGKIFEHKVDNVAINSPPKFRVLAVEEMIQSLGCPSTAQTNILRSNTFKYLFLCSNCAEKLTGKSLPCSLSYSFLPMCKQRMTCLHHQPKQILSML >DRNTG_06131.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25576077:25579890:1 gene:DRNTG_06131 transcript:DRNTG_06131.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-dehydroquinate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66120) UniProtKB/Swiss-Prot;Acc:Q8VYV7] MAASPSLRPSSRFAPALSASPRRSSSIPAALLVRRHDISAVSLRSLSGDRFGVKQRGMRPRSSGDPFGICCASSSPVVEKAAEEAGSKVSTVVDVDLGNRSYPIYIGSGLLDEPDLLQRHVHGKGVLIVTNTTVAPLYLDKVVKALTHGNPNVSVESVILPDGEKYKEMNTLMKVFDKAIESRLDRRCTFVALGGGVIGDMCGFAAASFLRGVNFIQIPTTLMAQVDSSVGGKTGINHPLGKNLIGAFYQPQCVLIDTDTLNTLPDRELVSGIAEVIKYGLIRDAEFFEWQEKNMQALLARDPSALAYAVKRSCENKAEVVSLDEKEGGLRATLNLGHTFGHAIENGLGYGDWLHGEAVGAGTVMAVDMSRRLGWIDDSVVERALSILQQARLPTGPPDAMTVEKFKSIMAVDKKVADGLLRLILLKGPLGNCVFTGDYDRNALDETLRAFCKC >DRNTG_12090.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14068754:14073117:1 gene:DRNTG_12090 transcript:DRNTG_12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNSYQWNAREKKKVAGLHKIDAVTSLVAQVESLSKKLDLLASNKVVAMTNCTGCGGGHAPSDCSIVIGLETRMTDLEKHLARFVQSANIRFESIKATLRNHTASLHNLENQVGQIAKSLSERPHGSLPSNTETNPREHVKAITLRSGHEVEERLPSEKLKEHAPKEMFNPDPYEGLFNQEESNEEVMMLGSTGEETSTPGILKKVLRKMKRARRCHRKWSKTVGDVKEQRKLDKPLLEKAQGRAAAPVDDHVTGARPWVISARACKLLQSWADFPESTQGCGLTPVGDLVKHTRAWVISARPCESLHGVPFIPRKHKGVRLPLEATGVCICHCGSGARAWVISACPFPENGSRSSFRSFIAVWRNFLRKSYRILEAFIFISLGDEVINEIDEMLAVGSWRRLLTISVPAYCALTLEVLASSEFRLLHGMGLYDATYTGTVEYGRLPVDFSVSVTPYHAYRILCRRGEYEPGLSKASSLSRFSYRYVHAVISMSMIGRADNTATLTRLDLLFLYSTARNVPLHLGCILADVLQYQGNSKRVGLLFTGPYITRLILGMGLGDALRGAERTIVPTPLAFDTIRMMGLVRRFGPGVYILSTATAETTRSEGNNAGDLLRPRSSVPPVPPSSTLAPEDPLSSAAAAAEPESDSDT >DRNTG_22928.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9846626:9851258:1 gene:DRNTG_22928 transcript:DRNTG_22928.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMSH2 [Source:Projected from Arabidopsis thaliana (AT1G10600) UniProtKB/TrEMBL;Acc:A0A178W3T5] MLLSVDERFPLSFYFRIAHQIVKQAKVYREEGNLCDLYFTLVQYSRLMSEVIPQHRGFSTYSSKDKLYHKKILQEFNQELKKLEPLIAAVEGSDFVSKHSREKADVFASYNEGKAKHSSKVKAEGISDNGENAMCSMPQTPFSAALTASRGPNIDVQIVRKYSPSPVLCCIESPPIVGHVSHITIPESRKEHLESSCKESSAPRVVQDLHISARLMEEFMQLARTNTDNNLETCGILGAFLKNRTFYVTTLIVPKQESSSNSCQALNEEEIYAVQDQQSLFSVGWIHTHPSQTCFLSSIDLHTQYSYQVMLPEAVAIVMAPTDPARNYGIFRISNPGGINVLKECEERGFPLPP >DRNTG_08231.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8277909:8279708:-1 gene:DRNTG_08231 transcript:DRNTG_08231.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL-related homeobox 8 [Source:Projected from Arabidopsis thaliana (AT5G45980) UniProtKB/Swiss-Prot;Acc:Q6X7J5] MASSNRHWPSLFKSKPCNTHHQWQSDINHSSSLLSSSCQKPPFSSAGSVNDERTPEPKPRWNPKPEQIRILEAIFNSGMVNPPRDEIRRIRAQLQEYGQVGDANVFYWFQNRKSRSKHKQRQLAGRSPRSNTTTITTNAITNTSHAIKAFDPSSSSSSSSDRSSGGSDKTPPPPTSMVNSIIELSASPTPSVNQTLIHGTTTHTELSGEHFFFPAPPPQGYYFSDLMGVVSAPENGLWSDLISQENAKAGAGAGAMDVTEMTTNTNTANNTITSHVNELQGMGGVNKLMVFINEVVFEVVAGPLNVRE >DRNTG_08231.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8277909:8279299:-1 gene:DRNTG_08231 transcript:DRNTG_08231.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL-related homeobox 8 [Source:Projected from Arabidopsis thaliana (AT5G45980) UniProtKB/Swiss-Prot;Acc:Q6X7J5] MVNPPRDEIRRIRAQLQEYGQVGDANVFYWFQNRKSRSKHKQRQLAGRSPRSNTTTITTNAITNTSHAIKAFDPSSSSSSSSDRSSGGSDKTPPPPTSMVNSIIELSASPTPSVNQTLIHGTTTHTELSGEHFFFPAPPPQGYYFSDLMGVVSAPENGLWSDLISQENAKAGAGAGAMDVTEMTTNTNTANNTITSHVNELQGMGGVNKLMVFINEVVFEVVAGPLNVRE >DRNTG_02451.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3474921:3484389:-1 gene:DRNTG_02451 transcript:DRNTG_02451.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein LUMINIDEPENDENS [Source:Projected from Arabidopsis thaliana (AT4G02560) UniProtKB/Swiss-Prot;Acc:Q38796] MLGSEMAIVPAISADGSFAELEGVGDSVESFVRLLETQKELFHIQIDQLQKLVVTQCKLTGANPLSQEMAAGALSIKIGKKPRDLLNPKAVKYMQSIFSIKDAIGKKETREISALCGITVTQVREFFAGQRSRVRKLTRVSREKVTQSEASKEPLDVCPISVEQPLPVAIEAPASLNTVNPMTVQHCQVLENYGNANATEGGQQVPVNSTEVKTAQEGASCLPQEEAIDGIDSEDKKFLENIFNLMKKEETFSGQAKLMEWVLQIQNIAVLNWFLTKGGIPILASWLSEAALEEQSSLLLVLLKVLCHLPLHKVLPLQMSGILQTINKLRFYNRSSDQTYQTGRESFCPG >DRNTG_02451.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3474921:3484389:-1 gene:DRNTG_02451 transcript:DRNTG_02451.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein LUMINIDEPENDENS [Source:Projected from Arabidopsis thaliana (AT4G02560) UniProtKB/Swiss-Prot;Acc:Q38796] MLGSEMAIVPAISADGSFAELEGVGDSVESFVRLLETQKELFHIQIDQLQKLVVTQCKLTGANPLSQEMAAGALSIKIGKKPRDLLNPKAVKYMQSIFSIKDAIGKKETREISALCGITVTQVREFFAGQRSRVRKLTRVSREKVTQSEASKEPLDVCPISVEQPLPVAIEAPASLNTVNPMTVQHCQVLENYGNANATEGGQQVPVNSTEVKTAQEGASCLPQEEAIDGIDSEDKKFLENIFNLMKKEETFSGQAKLMEWVLQIQNIAVLNWFLTKGGIPILASWLSEAALEEQSSLLLVLLKVLCHLPLHKVLPLQMSGILQTINKLRFYNRSSDISNRARILLSRLSKIFIRNQAMKKPTFSKLPRDVDKEIIRKQRISEILLSDESWQSKIDIPEEILALTENNDMSRRTEPRPGLKLLTSSADESNKRHSQSVSTSKNKQRRKVQLVDQPDSKAAGRSVQVARAGPSNQSRPMSADDIQKAKMRAMFMQNKYGKSDVSTPENLGQKSVNREISSAQIIKTTPSSRIAQLPHTKRNEDKKPLIPIRKPSPVKMETDSKPNKTSREHLLERLKSVRTQWHSPPEVKINSLWRVGAGENSKEVEVQTARTCREKETLYANQQEVPPNPKEPWDTEMDFDDSLTTEIPIEQPPEVDIPESSS >DRNTG_02451.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3474921:3482456:-1 gene:DRNTG_02451 transcript:DRNTG_02451.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein LUMINIDEPENDENS [Source:Projected from Arabidopsis thaliana (AT4G02560) UniProtKB/Swiss-Prot;Acc:Q38796] MQSIFSIKDAIGKKETREISALCGITVTQVREFFAGQRSRVRKLTRVSREKVTQSEASKEPLDVCPISVEQPLPVAIEAPASLNTVNPMTVQHCQVLENYGNANATEGGQQVPVNSTEVKTAQEGASCLPQEEAIDGIDSEDKKFLENIFNLMKKEETFSGQAKLMEWVLQIQNIAVLNWFLTKGGIPILASWLSEAALEEQSSLLLVLLKVLCHLPLHKVLPLQMSGILQTINKLRFYNRSSDISNRARILLSRLSKIFIRNQAMKKPTFSKLPRDVDKEIIRKQRISEILLSDESWQSKIDIPEEILALTENNDMSRRTEPRPGLKLLTSSADESNKRHSQSVSTSKNKQRRKVQLVDQPDSKAAGRSVQVARAGPSNQSRPMSADDIQKAKMRAMFMQNKYGKSDVSTPENLGQKSVNREISSAQIIKTTPSSRIAQLPHTKRNEDKKPLIPIRKPSPVKMETDSKPNKTSREHLLERLKSVRTQWHSPPEVKINSLWRVGAGENSKEVEVQTARTCREKETLYANQQEVPPNPKEPWDTEMDFDDSLTTEIPIEQPPEVDIPESSS >DRNTG_21521.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1496761:1500048:-1 gene:DRNTG_21521 transcript:DRNTG_21521.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 15 [Source:Projected from Arabidopsis thaliana (AT1G50300) UniProtKB/Swiss-Prot;Acc:Q9AST1] MANYLGRGGHLNGSVYVCKLPPGTDENMLAEYFGTIGLLKKDKRTGRPKIWLYRDKATNEPKGDATITYEDPHAASAAVEWFHQKDFHGSIIEVYIAEQKTKDPVDQSYGYVADAGLGADVGGDDENGVDLNDGAGRGRGRGDSSGKAWQQDGDWLCPNTSCSNVNFAFRGVCNRCGTARPAGAGGMGASGGGRGRGRGGGDTGGRGRPPVGGPTGLFGPNDWSCPMCGNINWAKRTKCNICNTNKPGHNEGGVRGGRAGGYKELDEEEIEETKRRRKEAEEDDGEMYDEFGNLKKKFRAKAQQTETGQSLPGSGRAGWEVEDLERRGRERSNDQGRNHREGSKNRDRDGHAYDRERRP >DRNTG_21521.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1496761:1500048:-1 gene:DRNTG_21521 transcript:DRNTG_21521.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 15 [Source:Projected from Arabidopsis thaliana (AT1G50300) UniProtKB/Swiss-Prot;Acc:Q9AST1] MGASGGGRGRGRGGGDTGGRGRPPVGGPTGLFGPNDWSCPMCGNINWAKRTKCNICNTNKPGHNEGGVRGGRAGGYKELDEEEIEETKRRRKEAEEDDGEMYDEFGNLKKKFRAKAQQTETGQSLPGSGRAGWEVEDLERRGRERSNDQGRNHREGSKNRDRDGHAYDRERRP >DRNTG_21521.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1496761:1500048:-1 gene:DRNTG_21521 transcript:DRNTG_21521.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 15 [Source:Projected from Arabidopsis thaliana (AT1G50300) UniProtKB/Swiss-Prot;Acc:Q9AST1] MANYLGRGGHLNGSVYVCKLPPGTDENMLAEYFGTIGLLKKDKRTGRPKIWLYRDKATNEPKGDATITYEDPHAASAAVEWFHQKDFHGSIIEVYIAEQKTKDPVDQSYGYVADAGLGADVGGDDENGVDLNDGAGRGRGRGDSSGKAWQQDGDWLCPNTSCSNVNFAFRGVCNRCGTARPAGAGGMGASGGGRGRGRGGGDTGGRGRPPVGGPTGLFGPNDWSCPMCGNINWAKRTKCNICNTNKPGHNEGGVRGGRAGGYKELDEEEIEETKRRRKEAEEDDGEMYDEFGNLKKKFRAKAQQTETGQSLPGSGRAGWEVEDLERRGRERSNDQGRNHREGSKNRDRDGHAYDRERRP >DRNTG_21521.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1496761:1500048:-1 gene:DRNTG_21521 transcript:DRNTG_21521.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 15 [Source:Projected from Arabidopsis thaliana (AT1G50300) UniProtKB/Swiss-Prot;Acc:Q9AST1] MANYLGRGGHLNGSVYVCKLPPGTDENMLAEYFGTIGLLKKDKRTGRPKIWLYRDKATNEPKGDATITYEDPHAASAAVEWFHQKDFHGSIIEVYIAEQKTKDPVDQSYGYVADAGLGADVGGDDENGVDLNDGAGRGRGRGDSSGKAWQQDGDWLCPNTRSVL >DRNTG_21521.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1496761:1500048:-1 gene:DRNTG_21521 transcript:DRNTG_21521.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 15 [Source:Projected from Arabidopsis thaliana (AT1G50300) UniProtKB/Swiss-Prot;Acc:Q9AST1] MGASGGGRGRGRGGGDTGGRGRPPVGGPTGLFGPNDWSCPMCGNINWAKRTKCNICNTNKPGHNEGGVRGGRAGGYKELDEEEIEETKRRRKEAEEDDGEMYDEFGNLKKKFRAKAQQTETGQSLPGSGRAGWEVEDLERRGRERSNDQGRNHREGSKNRDRDGHAYDRERRP >DRNTG_22342.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:598274:610014:1 gene:DRNTG_22342 transcript:DRNTG_22342.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKMQLSISWTSPRPLTGVSPASLRRHQRKSAFFPYWMCPSVITAHSLWRYDKDKWKAGSIWRLESICSTTSNFLRVWLPECDLTCIPIEGEIYNEVNTRWKSYIVQLVFLMSRQAGQINNILGCMPLYVISKLAWLRQMQSLMGPVLQDFGTSSLPFACVSNSVNKPVPLQLDVSFPSFHDFKWSLARLYYLFNLQLERNISSFLIALLATCFSFVIVGGFLFYKFRNKQQSLEDCFWEAWACLCSSSTHLRQKTRVERILGLVLAIWGILFYSRLLSTMTEQFRNNMRKLREGAQLQAMESDHIIICGVNSHLTFILKQLDKFHESAIRLGTATSRKQRILLLSDLPRKQMEKIGDNITKDLDHVDVLTKSCSLSLTKSFERAAANKARSIIILPTKSDRYEVDTDAFLSLLALQPIPKMASVPTVVEASNSSTCELLKSISGLNVQPVEMAASKLFVQCTRQKGLLKIYRHLLNYRKNVFNLCNFPNLTGMKYRDVRQGIQEAVVCGLYRSGKINFHPNDDDEIKPIDKVCLCFGLSISLTKFGFLKLK >DRNTG_22342.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:598274:610014:1 gene:DRNTG_22342 transcript:DRNTG_22342.9 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQFRNNMRKLREGAQLQAMESDHIIICGVNSHLTFILKQLDKFHESAIRLGTATSRKQRILLLSDLPRKQMEKIGDNITKDLDHVDVLTKSCSLSLTKSFERAAANKARSIIILPTKSDRYEVDTDAFLSLLALQPIPKMASVPTVVEASNSSTCELLKSISGLNVQPVEMAASKLFVQCTRQKGLLKIYRHLLNYRKNVFNLCNFPNLTGMKYRDVRQGIQEAVVCGLYRSGKINFHPNDDDEIKPIDKVCLCFGLSISLTKFGFLKLK >DRNTG_22342.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:599024:610014:1 gene:DRNTG_22342 transcript:DRNTG_22342.10 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVKHKVLQLHCGCHNFFTLMILSCMVCRFLIALLATCFSFVIVGGFLFYKFRNKQQSLEDCFWEAWACLCSSSTHLRQKTRVERILGLVLAIWGILFYSRLLSTMTEQFRNNMRKLREGAQLQAMESDHIIICGVNSHLTFILKQLDKFHESAIRLGTATSRKQRILLLSDLPRKQMEKIGDNITKDLDHVDVLTKSCSLSLTKSFERAAANKARSIIILPTKSDRYEVDTDAFLSLLALQPIPKMASVPTVVEASNSSTCELLKSISGLNVQPVEMAASKLFVQCTRQKGLLKIYRHLLNYRKNVFNLCNFPNLTGMKYRDVRQGIQEAVVCGLYRSGKINFHPNDDDEIKPIDKVCLCFGLSISLTKFGFLKLK >DRNTG_22342.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:598249:610014:1 gene:DRNTG_22342 transcript:DRNTG_22342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRDVRQGIQEAVVCGLYRSGKINFHPNDDDEIKPIDKVCLCFGLSISLTKFGFLKLK >DRNTG_22342.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:598274:610014:1 gene:DRNTG_22342 transcript:DRNTG_22342.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVKHKVLQLHCGCHNFFTLMILSCMVCRFLIALLATCFSFVIVGGFLFYKFRNKQQSLEDCFWEAWACLCSSSTHLRQKTRVERILGLVLAIWGILFYSRLLSTMTEQFRNNMRKLREGAQLQAMESDHIIICGVNSHLTFILKQLDKFHESAIRLGTATSRKQRILLLSDLPRKQMEKIGDNITKDLDHVDVLTKSCSLSLTKSFERAAANKARSIIILPTKSDRYEVDTDAFLSLLALQPIPKMASVPTVVEASNSSTCELLKSISGLNVQPVEMAASKLFVQCTRQKGLLKIYRHLLNYRKNVFNLCNFPNLTGMKYRDVRQGIQEAVVCGLYRSGKINFHPNDDDEIKPIDKVCLCFGLSISLTKFGFLKLK >DRNTG_22342.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:598274:610014:1 gene:DRNTG_22342 transcript:DRNTG_22342.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYVISKLAWLRQMQSLMGPVLQDFGTSSLPFACVSNSVNKPVPLQLDVSFPSFHDFKWSLARLYYLFNLQLERNISSFLIALLATCFSFVIVGGFLFYKFRNKQQSLEDCFWEAWACLCSSSTHLRQKTRVERILGLVLAIWGILFYSRLLSTMTEQFRNNMRKLREGAQLQAMESDHIIICGVNSHLTFILKQLDKFHESAIRLGTATSRKQRILLLSDLPRKQMEKIGDNITKDLDHVDVLTKSCSLSLTKSFERAAANKARSIIILPTKSDRYEVDTDAFLSLLALQPIPKMASVPTVVEASNSSTCELLKSISGLNVQPVEMAASKLFVQCTRQKGLLKIYRHLLNYRKNVFNLCNFPNLTGMKYRDVRQGIQEAVVCGLYRSGKINFHPNDDDEIKPIDKVCLCFGLSISLTKFGFLKLK >DRNTG_22342.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:598274:610014:1 gene:DRNTG_22342 transcript:DRNTG_22342.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPHEAIPQLHHSSYKWKAGSIWRLESICSTTSNFLRVWLPECDLTCIPIEGEIYNEVNTRWKSYIVQLVFLMSRQAGQINNILGCMPLYVISKLAWLRQMQSLMGPVLQDFGTSSLPFACVSNSVNKPVPLQLDVSFPSFHDFKWSLARLYYLFNLQLERNISSFLIALLATCFSFVIVGGFLFYKFRNKQQSLEDCFWEAWACLCSSSTHLRQKTRVERILGLVLAIWGILFYSRLLSTMTEQFRNNMRKLREGAQLQAMESDHIIICGVNSHLTFILKQLDKFHESAIRLGTATSRKQRILLLSDLPRKQMEKIGDNITKDLDHVDVLTKSCSLSLTKSFERAAANKARSIIILPTKSDRYEVDTDAFLSLLALQPIPKMASVPTVVEASNSSTCELLKSISGLNVQPVEMAASKLFVQCTRQKGLLKIYRHLLNYRKNVFNLCNFPNLTGMKYRDVRQGIQEAVVCGLYRSGKINFHPNDDDEIKPIDKVCLCFGLSISLTKFGFLKLK >DRNTG_22342.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:598274:610014:1 gene:DRNTG_22342 transcript:DRNTG_22342.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVKILNKWKAGSIWRLESICSTTSNFLRVWLPECDLTCIPIEGEIYNEVNTRWKSYIVQLVFLMSRQAGQINNILGCMPLYVISKLAWLRQMQSLMGPVLQDFGTSSLPFACVSNSVNKPVPLQLDVSFPSFHDFKWSLARLYYLFNLQLERNISSFLIALLATCFSFVIVGGFLFYKFRNKQQSLEDCFWEAWACLCSSSTHLRQKTRVERILGLVLAIWGILFYSRLLSTMTEQFRNNMRKLREGAQLQAMESDHIIICGVNSHLTFILKQLDKFHESAIRLGTATSRKQRILLLSDLPRKQMEKIGDNITKDLDHVDVLTKSCSLSLTKSFERAAANKARSIIILPTKSDRYEVDTDAFLSLLALQPIPKMASVPTVVEASNSSTCELLKSISGLNVQPVEMAASKLFVQCTRQKGLLKIYRHLLNYRKNVFNLCNFPNLTGMKYRDVRQGIQEAVVCGLYRSGKINFHPNDDDEIKPIDKVCLCFGLSISLTKFGFLKLK >DRNTG_22342.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:598274:610014:1 gene:DRNTG_22342 transcript:DRNTG_22342.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRDVRQGIQEAVVCGLYRSGKINFHPNDDDEIKPIDKVCLCFGLSISLTKFGFLKLK >DRNTG_22342.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:598274:610014:1 gene:DRNTG_22342 transcript:DRNTG_22342.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQAGQINNILGCMPLYVISKLAWLRQMQSLMGPVLQDFGTSSLPFACVSNSVNKPVPLQLDVSFPSFHDFKWSLARLYYLFNLQLERNISSFLIALLATCFSFVIVGGFLFYKFRNKQQSLEDCFWEAWACLCSSSTHLRQKTRVERILGLVLAIWGILFYSRLLSTMTEQFRNNMRKLREGAQLQAMESDHIIICGVNSHLTFILKQLDKFHESAIRLGTATSRKQRILLLSDLPRKQMEKIGDNITKDLDHVDVLTKSCSLSLTKSFERAAANKARSIIILPTKSDRYEVDTDAFLSLLALQPIPKMASVPTVVEASNSSTCELLKSISGLNVQPVEMAASKLFVQCTRQKGLLKIYRHLLNYRKNVFNLCNFPNLTGMKYRDVRQGIQEAVVCGLYRSGKINFHPNDDDEIKPIDKVCLCFGLSISLTKFGFLKLK >DRNTG_30363.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001566.1:74058:78701:-1 gene:DRNTG_30363 transcript:DRNTG_30363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVTQILLNAQSVDGTIRKQAEESLKQFLEQNLPIFLLSLSRELANNDKPVDSRKLAGLILKNALDAKEQHRKNELTQRWLSLDMAIKTQIKGCLLQTLSSPVPDARSTASQVIAKIAGIELPHNQWPELIGSLLSNIHQLKPHEKQATLETLGYMCEEVSPDVVDQDQVNRILTAVVQGMNASEGNSDVRLAATKALYNALGFAHVNFTNDMERDYIMRVVCEATLSPDLKIRQAAFECLVAISSTYYEKLAAYMQDIFSITAKAVREDEEPVALQAIEFWSSICDEEIDILDEYGTDFSADSEVHCFYFIKQALPALVPMLLETLLKQEEDQDLDEGAWNIAMAGGTCLGLVARTVGDDIVPLVMPFIQENITKPDWRQREAATYAFGSILEGPSPDKLTPVVNVALNFMLTALIKDPNNHVKDTAAWTLGRIFEFLHGSAMETPIITHENCQQILSVLLQSMKDVPNVAEKACGALYFLAQGFEDASSSSSPLPPFFQEIIQALLTVTRREDAGESRLRTAAYETLNEVVRCSTDETALTVMQLAPVIMLELHKTLEMQKLSSEEREKQNELQGLLCGCLQVLIQKLGAAEPTKYVFMQYADQIMDLFLRVFASRNATVHEEAMLAIGALAYATGPNFVKYMQGFYPYLEMGLQNFEEYQVCAITVGVVGDLCRALEDKILPFCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLIYAMPMLQSAAELSVHVSSADDEMIEYTNQLRNGILEAYSGVFQGFKSSLKTQLLLPYAPVVLQFLDSLYKEKDMDDAVMKTAIGVLGDLADTLGSNASALINQSVSSKDFLEECLSSDDHLIKESAEWAKLAISRAISG >DRNTG_12553.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21019429:21022280:1 gene:DRNTG_12553 transcript:DRNTG_12553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFTAYAGFYEVCAPKKGDYVFISAASGAVGQLVGQLAKIHGCYVVGSAGSKQKVDLLKDKLGFDDAFNYKDQTDLSAALQRYFPKGIDIYFDNVGGKMLDAALLNMRLHGRVAVCGMVSLHSYTDTDGIHNLFTLVQKRIRMEGFLQSDYLHLFPNFVECVANYHRQGKIVYLEDIKEGLENAPAAFAGLFTGKNVGKQVVCVAKD >DRNTG_12553.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21021604:21022280:1 gene:DRNTG_12553 transcript:DRNTG_12553.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAALLNMRLHGRVAVCGMVSLHSYTDTDGIHNLFTLVQKRIRMEGFLQSDYLHLFPNFVECVANYHRQGKIVYLEDIKEGLENAPAAFAGLFTGKNVGKQVVCVAKD >DRNTG_17603.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:9016771:9020723:1 gene:DRNTG_17603 transcript:DRNTG_17603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSWSKRMVSHTRTKTRSRLLNRKEGDAKGED >DRNTG_22091.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20217773:20219114:-1 gene:DRNTG_22091 transcript:DRNTG_22091.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin [Source:Projected from Arabidopsis thaliana (AT3G29030) UniProtKB/TrEMBL;Acc:Q1ECM3] MAFLSLLFAFFLTLFSPALGRGAGGGGWINAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGACFEIVCVNDAKWCLPGAIVVTATNFCPPNPGLPNNAGGWCNPPMHHFDLSEPVFQHIAQYRAGIVPVAYRRVPCRKRGGIRFTINGHSYFNLVLITNVGGAGDVHAVAIKGSRTGWQAMSRNWGQNWQSNNYLNGQALSFKVTTSDGRSVVSYNVAPSSWSFGQTFSGSQFH >DRNTG_09784.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23383157:23388383:1 gene:DRNTG_09784 transcript:DRNTG_09784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKKGPKFANMKRIISSKTIKKYKEEVLNPNKKDLEKEKLPRNVPAISSALFFKYNTALGPPYRVIVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFERLPCIHKGTYADDCIVDRVTQHKCYIVATCDRDLKRRIRKIPGVPIMYITRHRYSIERLPEATMGGAPRI >DRNTG_19284.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:537908:540325:1 gene:DRNTG_19284 transcript:DRNTG_19284.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSFSVQTSSPPTTEEASNPSMALLSSSDQSPSLPRRSSPFLFSTLLITTCISTAAAMAFAFLLFSSPTSISNPSKLQVRPLTKLSHPVVLLISLDGFRYGYQFKAQTLNIHRLISNGTEAEPGLIPVFPSLTFPNHYSIVTGLYPAYHGIINNHFTDPTSGEVFSMSSHEPTWWLGEPLWETVVNHGLNAATFFWPGSEVKKGSWFCPSEFCHHYNGSVPFEQRVDTILNYFDLPNNQIPSLVTLYFEDPDHQGHQFGPDDPAITEAILHVDAMIGRLIAGLEKRGVFEDVTLILLGDHGMVSNCDKKAIFLDDLAPWIDVHSDWIQSLSPLLAIAPPSEFSAAQVVKKINEALSSGRVNNGEHMKMYLKEDLPERLHYSESYRIPAIIGIVDEGYKVEMNRKQSGKDCGGAHGYDNAYFSMRTIFVGHGPRFAKGRKVPAFENVEIYNLITSILNLEGAPNNGSLTFPDSVLLPCSA >DRNTG_19284.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:537908:540325:1 gene:DRNTG_19284 transcript:DRNTG_19284.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSFSVQTSSPPTTEEASNPSMALLSSSDQSPSLPRRSSPFLFSTLLITTCISTAAAMAFAFLLFSSPTSISNPSKLQVRPLTKLSHPVVLLISLDGFRYGYQFKAQTLNIHRLISNGTEAEPGLIPVFPSLTFPNHYSIVTGLYPAYHGIINNHFTDPTSGEVFSMSSHEPTWWLGEPLWETVVNHGLNAATFFWPGSEVKKGSWFCPSEFCHHYNGSVPFEQRVDTILNYFDLPNNQIPSLVTLYFEDPDHQGHQFGPDDPAITEAILHVDAMIGRLIAGLEKRGVFEDVTLILLGDHGMVSNCDKKAIFLDDLAPWIDVHSDWIQSLSPLLAIAPPSEFSAAQVVKKINEALSSGRVNNGEHMKMYLKEDLPERLHYSESYRIPAIIGIVDEGYKVEMNRKQSGKDCGGAHGYDNAYFSMRTIFVGHGPRFAKGRKVPAFENVEIYNLITSILNLEGAPNNGSLTFPDSVLLPCSA >DRNTG_29134.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1328352:1330608:1 gene:DRNTG_29134 transcript:DRNTG_29134.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLHLLPLLLLFISIPSSSPANSPAPAPSPGCNGILLTYSLDGRNIIRPHVSNPKSQPYSFTATATILNSGDRDLLSWAFLISFRHRELIVSASPGVLTDGSAFPYSTPQDSPTSFSGFPNTDLKTPIETANDLTQIQTSISIVGTLFGSPPPAIPLPFNLSLSDPSYRCPAPDFTFNSTTSISSCCVFDPTFDNSTTSLDNSSVSEPFLPRRSGDLTISYDVIQSFSSSYLALVTIANNAPLGRLDNWRLSWQWMHDEFIYSMRGAYTSVSGANDCIFGIQGQYYQDLDFSKVLNCQRSPTILDLPVSMFNDTDRGRIPFCCRNGTILPSSMDASQAKSAFQVQVFKMRPDLNRTKLYPPQNFKISGISLNPDYQCSQPIRVSPSQFPDPSGLQASSTAVSSWQVVCNITNPKSSSSKCCVSFSSFYNDSVIPCKTCACGCPASSSRRTCNTTAPALLLPPQALLVPFDNRTLEAKAWASIKHFQVPNPLPCPDNCGVSINWHVYTDYSGGWSSRITLFNWEDTSLANWFVALDMGKAYDGFEASYSFNGTAIGNNTVFLQGFDGLNYLVGEVDGANPGDPRIPGKQQSVISFSKKNTPGIDVVAGDGYPKKVFFNGEECSLPDYLPSNGGSRIGTGFSLLGLCLTVVVATTLLVLQQ >DRNTG_13030.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1787991:1790492:-1 gene:DRNTG_13030 transcript:DRNTG_13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPYLCPHSSKLPNMHSNSQSLGHW >DRNTG_24765.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20361508:20364013:-1 gene:DRNTG_24765 transcript:DRNTG_24765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGSSMVGPEGDGRARQRGLADMPESCVAAVMLLLDPPEICGLARLGRSFRAAADADFVWEAKLPANYLYLMEVAAEEGKCSWGGMSKKEIYARLSRPIPFDGGTKEFWLEKCKGNVCMLISSKALSITGINDRRYWNYIPTEESRFHMVGYLQQIWWFEVGGGIEFQFPVGTYSIYFRLHLGRPYKRLGRRSYSPEHIHGWDIKPVRFQLSTADGQQAVSKCFIDGPGGWVHYHGGDFVVEDSNTTTNLKFSMAQIDCTHTKGGLCVDCVLICPKGFRVDKLFPLHT >DRNTG_08969.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000392.1:59052:62580:1 gene:DRNTG_08969 transcript:DRNTG_08969.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAYVPAPAPVARRPMRRRLPIPSPAIRASAAVASAPKRETDPKKRVVITGMGLVSVFGNDVDLYYDRLLAGESGIGLIDRFDASKFPTRFAGQIRGFSSEGYIDGKNDRRLDDCLRYCLVSGKKALVNAGLALGSPELEKIDKVRAGVLVGTGMGGLTVFSDGVQALIEKGYRKITPFFIPYAITNMGSALLAMDIGFMGANYSISTACATSNYCFYAAANHIRRGEADIMIAGGTEAAIIPIGIGGFVACRALSQRNDDPKTASRPWDKGRDGFVMGEGAGVLVMESLEHAMKRDAPIIAEYLGGAVNCDAYHMTDPRADGLGVSSCIQSSLEDAGVAPEEVNYINAHATSTLAGDLAEVNAIKQVFKNPSEIKINATKSMIGHCLGAAGGLEAIASVKAITTGWLHPSINQFDPEPAVEFDTVANVKQQHEVNVAISNSFGFGGHNSVVVFAPFKP >DRNTG_28537.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6323633:6328890:-1 gene:DRNTG_28537 transcript:DRNTG_28537.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSFPTLRHLLRASQSSPNPSLGLGFPESRRSVTYMPRPGDGAPRAVTLIPGDGIGPLVTGAVQQVMDAMHAPVYFETYEVHGDMTSVPSEVIESIRRNKVCLKGGLATPVGGGVSSLNVQLRKELDLYASLVNCFNLPGLPTRHENVDIVVIRENTEGEYSGLEHEVVPGVVESLKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVATKYPGIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGQDHAIFEQGASAGNVGNDKIVEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVILEGKYRTKDLGGSSTTQEVTDAVIAQLD >DRNTG_29961.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11526142:11526450:-1 gene:DRNTG_29961 transcript:DRNTG_29961.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITFLRLYLTCTCFLLRWWYSCSIRDIMHRAKAKKKSKTKKSLEANAAKDLPSQVAWEGWFRPHQSSSQSPQEMNQPILARMPNYEDEETQDPTMS >DRNTG_29961.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11526142:11531250:-1 gene:DRNTG_29961 transcript:DRNTG_29961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAKAKKKSKTKKSLEANAAKDLPSQVAWEGWFRPHQSSSQSPQEMNQPILARMPNYEDEETQDPTMS >DRNTG_25844.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8765632:8767239:1 gene:DRNTG_25844 transcript:DRNTG_25844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVGACYPTPIWPGRTRVGWIGTGVMGSAMVSHILAAGYAVAIYARSPAKVDHLRRAGARFLASPADVARSSDVVFTMVGHPSDVRSVILDPTTGVLSSLCDNGVLVDCTSSHPTLAREIEEAAKAKSCWSIDAPVSGAEQGAKNGKLAIFAGGNEEVIEWLRPLFDLMGKARWMGRAGSGQSSKIANQIVVGANLMGMSEAMVFAEKAGLERNGFFEAVRSGAAGTKVMELFGGKVVERDYEAGALAEYMVKDLGMGLDEEGMVLPGAALNRQLFMGMVANGDGKLGIHGLVTVMERMNNIRNG >DRNTG_29813.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23277471:23281435:1 gene:DRNTG_29813 transcript:DRNTG_29813.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMKLRSTYSSQDQKAKDKEVDRHLMEKLDEDFRSLAQSEALHSLTQLSKMNALKALLNKNLTGKTSKE >DRNTG_28019.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23002661:23005494:1 gene:DRNTG_28019 transcript:DRNTG_28019.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRHQDVVPQIQKGAAAIPAGKQKNAAVVDGKNRRALEDIGNLVNVRVLEGKPPNQISRPITRSFGAQLLANAQAAATAANKKPVHVVVGDGAVVKGGVKAVKQKQAVKPSKPETVITISPDTEEEAKHATLKNSYGTSSKKKVHTLTSVLTARSKAACGVIDKPKDQVQDIDALDADDQLAVADYVEDIYKFYKHSESSYRPHDYMDTQLEINAKMRSILADWLIEVHYKFELMPETLYLTFYIIDRYLSMKVALRRELQLVGVSAMLIASKYEEIWAPEVNDFICISDKAYTREQILAMEKEILNELEWNLTVPTPYVFLARFLKASQRDEEMENMVFFFAELAMVHYSMVKYCPSMLAASAVYAARCTLNKSPLWNETLKCHTGFSEQELLECTKMLVNFHSLSAESKLKVVHKKYSSSQRCAVALQLPVVKMFEGLKVSE >DRNTG_19315.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:671983:675710:-1 gene:DRNTG_19315 transcript:DRNTG_19315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVAVDRDKNSQYAVKWATDHLLIANSNQLLLLLHVRSKSNSSLQIQTKEVLIEEIDVAKAISDYIASHNIHNLVVGAGSRNLITRKFKPPDVASILSKTTPDFCAVYVITKGKAINVRSAKGPAPAPTPTPTPTPTPAIASPLPPFIPHFLPDVLETDQDAPKKAFKRGNGRGSVIPSPSPSPPPSYLVNRIQPMATKAMATSHNNTNIISTEPVYRPSNRVLSRETFPEDGELLGNHCPPDGPPDISDESSFSKNSFTGGIPRDWEVEMKRLKLELKQTMDMYSTACKEAISAKQKAKELHEWKVVETRKFEEVRQAEEAALAMAEMEKTRCIAAMQAAEASKKLAEMEARRRHDAEIKAKKEGEEKQRALNALAHNDVRYRKYDIDEIELATGHFSPTQLIGEGGYGPVYRASLNHTAVAIKVLRPDAQQGKKQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEFMDNGSLEDRLCRRGDTPSIPWPIRFKIAAEVATALLFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPSVADSVTQYRMTSTAGTFCYIDPEYQQTGMLGTKSDIYSLGVLLLQIITAKPPMGLTHIVERALNKGTFQEMLDPTVTDWPMEDVEGFAHLALKCSELRKKDRPDLATVVLPELNRLRTLGLEHQAKSMGWLDFFWFKGGNGNGIHAVVWRNVTDNKTEGD >DRNTG_22583.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17409106:17414438:-1 gene:DRNTG_22583 transcript:DRNTG_22583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCCCPCGEDFEEYAHPNNPIYRHCICLRYFCHQLFSGYSAMFQRLEGRTAPSPVQGVTPLAPTGLGTNAAENVLSETYHLVPRPAPYDADPRYSRSQREGLVSRREKGMSLVQDDSQMLRRNGSSSGVEHLAAAKKRNSADSEDECKVNRAEPDKSLSAKGFGMGYILATSEDEDVCPTCLEEYTPDNPKIVTKCSHHYHLGCIYEWMERSENCPICGKEMDFCESP >DRNTG_26815.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20324326:20326485:-1 gene:DRNTG_26815 transcript:DRNTG_26815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFCGIARFNGEGRVLMFTAQTSWELVLAEICERWGLKISLVRVKFITPDGYKTVCPIENDVDFQRMCQVHSIFKCAIVDLVVETNNVPLPNPNKNEFYSFVGRNSDSASGPVQPHGDPDGVGCLPSSSDHSEVLSLDIGQRFDGVEHFRD >DRNTG_00962.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21036050:21036775:-1 gene:DRNTG_00962 transcript:DRNTG_00962.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLDEPISESSTKNTASSPLSPHLL >DRNTG_27872.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10358079:10360680:1 gene:DRNTG_27872 transcript:DRNTG_27872.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDMDSSSQQWGSQQEPDQHQNQAKVSKLQLMTDEQEIHASALPLFAATPINHTRTTSTPTLTPFPPDSSHTSRFSWGAGGGMVGLFSLAQWQELELQALIYKYMLAGAPVPLDLILPIRKSLLSAHPYYHHHQLQYQHFPTPASQSLFCYSSILLLFQSCI >DRNTG_27872.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10358079:10360680:1 gene:DRNTG_27872 transcript:DRNTG_27872.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDMDSSSQQWGSQQEPDQHQNQAKVSKLQLMTDEQEIHASALPLFAATPINHTRTTSTPTLTPFPPDSSHTSRFSWGAGGGMVGLFSLAQWQELELQALIYKYMLAGAPVPLDLILPIRKSLLSAHPYYHHHQLQYQHFPTPASQSLFCYSSILLLFQSCI >DRNTG_27872.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10358079:10360680:1 gene:DRNTG_27872 transcript:DRNTG_27872.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDMDSSSQQWGSQQEPDQHQNQAKVSKLQLMTDEQEIHASALPLFAATPINHTRTTSTPTLTPFPPDSSHTSRFSWGAGGGMVGLFSLAQWQELELQALIYKYMLAGAPVPLDLILPIRKSLLSAHPYYHHHQLQYQHFPTPAMLQSGYWGRCVIDPEPGRCRRTDGKKWRCSREVVPSHKYCERHVHRGRNRSRKHVEVLAPTPTTTTKDDDGDDNNNNNNNNHFAPHHHHHHHQTSRGTEDDGRQSYCTNYPDQNEGHVLRKFFNERPRSQGQQESISSASGGGGSQQTLLSISIPGDVSLKLSTGSSKDNGDGGGGAHHNAQQSPANLNVNVNVNANANINRNWSEWGHGNENENNGETASGGPLAEALLRSSTSTASPTSVLQKPCGISASETSSISISS >DRNTG_27872.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10358079:10360680:1 gene:DRNTG_27872 transcript:DRNTG_27872.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDMDSSSQQWGSQQEPDQHQNQAKVSKLQLMTDEQEIHASALPLFAATPINHTRTTSTPTLTPFPPDSSHTSRFSWGAGGGMVGLFSLAQWQELELQALIYKYMLAGAPVPLDLILPIRKSLLSAHPYYHHHQLQYQHFPTPAMLQSGYWGRCVIDPEPGRCRRTDGKKWRCSREVVPSHKYCERHVHRGRNRSRKHVEVLAPTPTTTTKDDDGDDNNNNNNNNHFAPHHHHHHHQTRGTEDDGRQSYCTNYPDQNEGHVLRKFFNERPRSQGQQESISSASGGGGSQQTLLSISIPGDVSLKLSTGSSKDNGDGGGGAHHNAQQSPANLNVNVNVNANANINRNWSEWGHGNENENNGETASGGPLAEALLRSSTSTASPTSVLQKPCGISASETSSISISS >DRNTG_24078.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26403118:26405559:-1 gene:DRNTG_24078 transcript:DRNTG_24078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVKDPALKLFGMSITLPEKDTCGVMAKTEVDKLMSGSPNGLDKSGQDSSMCSEKNSEHEHQPSNSSDIEMKNYEEAEQDLIKNSASGQAKVLKKPDKLLPCPRCNSMDTKFCYYNNYNVNQPRYFCKNCQRYWTAGGTMRNVPVGAGRRKSKHACSQYRLCSQKEVGNSVPVMKFSQEGPLCESMASVLNLNEKRSSEIGPLSCGETTEETSTSSSMTALNCSENEVKESIGCINKNGIESCYNGVTPMQCYHPGTPWAYAWASMATNRCPPALACKLENGISNPSSEVSWRPPPMIIAPAFCAPTIPFPMIPPSVWNVPWLRPGGNVSPLPSPTLGKHSRDHSMQSEEENEKSLWVPKTLRIDDPGAAARSSIWSALGIKPEEEMKKGGGIFKGFKSRTENTKVKTPEAAQAMHVNPAALSRSQAFQEST >DRNTG_35039.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4231014:4238057:1 gene:DRNTG_35039 transcript:DRNTG_35039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASAEKTEEELRKEIEELNRQQREISERLRDPRGLRRGGGARGSGPLRQRGLVRPAVDEPEDQPSAKRRLSSAIVKMEDGEIKEDVGVGDGVQDAGRADVSVIPSIAEERLVNPQGNGRFRRDTDLRMGRTEIDDQIKEPAPRVFPKNEDPSLVKRNRRMLGQLLGTLEKFREEDKKLSTTEAYMRRSDSLKRAEEKAREESERLRHQEREQIAEKRRRDLTLRARVAAKAEEKKLELLYLHWSEHHKKLCNFLRTKAQPAIYYMPVKPLTEDEVVHEKLNEEYFMEWKSTRRAELSEYQKTIAEQYLAKVDADLERWQNARNAKKVNNLASLQETMDKELETHRLEHGPKKRRIPGSNDEEEDVEDIAVEDELMDEDLEAHERIEGESSKLPDTGNGSAGNAEMQ >DRNTG_35039.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4231014:4238057:1 gene:DRNTG_35039 transcript:DRNTG_35039.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATASAEKTEEELRKEIEELNRQQREISERLRDPRGLRRGGGARGSGPLRQRGLVRPAVDEPEDQPSAKRRLSSAIVKMEDGEIKEDVGVGDGVQDAGRADVSVIPSIAEERLVNPQGNGRFRRDTDLRMGRTEIDDQIKEPAPRVFPKNEDPSLVKRNRRMLGQLLGTLEKFREEDKKLSTTEAYMRRSDSLKRAEEKAREESERLRHQEREQIAEKRRRDLTLRARVAAKAEEKKLELLYLHWSEHHKKLCNFLRYRKCGERFFEDLCFTFPFKLILILAQKVSILTNLVETDKFLFVCIVLKN >DRNTG_35039.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4231014:4238057:1 gene:DRNTG_35039 transcript:DRNTG_35039.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATASAEKTEEELRKEIEELNRQQREISERLRDPRGLRRGGGARGSGPLRQRGLVRPAVDEPEDQPSAKRRLSSAIVKMEDGEIKEDVGVGDGVQDAGRADVSVIPSIAEERLVNPQGNGRFRRDTDLRMGRTEIDDQIKEPAPRVFPKNEDPSLVKRNRRMLGQLLGTLEKFREEDKKLSTTEAYMRRSDSLKRAEEKAREESERLRHQEREQIAEKRRRDLTLRARVAAKAEEKKLELLYLHWSEHHKKLCNFLRLILILAQKVSILTNLVETDKFLFVCIVLKN >DRNTG_02801.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1037959:1041389:1 gene:DRNTG_02801 transcript:DRNTG_02801.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEYNLQTIVKQKTMTNFCKMLLNISIWALHVKLTFSANEDFSYNGFKGATNLSFDSLAAVTPDGLLSLTNNTTHGKGHAFFSVPIQFKKSLAGNIISFSTLFVFAIVPEYRTFSSDGLAFVLSPSKDLSNAWGESYLGLLNKTNDGKPSNHIFAVEFDTALNPSHEDINDNHVGIDINSLLSNYSTPAGFRSDDDGQLKNLSLKSGEPMQVWIDYNGQNMQFNVTLSPLWMPKPKTALLSATINLSSIILDYMYVGFSASTGMAYAYQCILGWSFKTDGKIPELNISSLPPLPRTTTPSKDKSKDISIWLPLSLSVLVLMAVAAARMIMVRKKKFSELREDWELDFALHRFSYKQLYKATRGFKHEYLLGVGGFGRVYRGVLQDTKVEVAIKRVCHESRQGVREFVAEIVSLGQLQHRNLVPLLGYCRREGELILVYEYMPNKSLDKFLFSDGESTLSWSQRFWIIKGVASGLLYLHEDWERVVIHRDVKASNVLLDGDMNGRLGDFGLARLYDHGGVPQTTHLAGTVGYLAPELSRTCRVTTSSDVFAFGVFLLEVACGRRPIEPEKAEDLQVLIDWVLANWRKGTIMETRDERLGEEYVAEELELVLKLGLLCSHPLPTARPSMRQVTQILHGSIPLPDFLLNQLNTKDSAFVKYEGSNAYVMSFTSMSSDSLVFSGR >DRNTG_02801.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1037959:1041389:1 gene:DRNTG_02801 transcript:DRNTG_02801.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEYNLQTIVKQKTMTNFCKMLLNISIWALHVKLTFSANEDFSYNGFKGATNLSFDSLAAVTPDGLLSLTNNTTHGKGHAFFSVPIQFKKSLAGNIISFSTLFVFAIVPEYRTFSSDGLAFVLSPSKDLSNAWGESYLGLLNKTNDGKPSNHIFAVEFDTALNPSHEDINDNHVGIDINSLLSNYSTPAGFRSDDDGQLKNLSLKSGEPMQVWIDYNGQNMQFNVTLSPLWMPKPKTALLSATINLSSIILDYMYVGFSASTGMAYAYQCILGWSFKTDGKIPELNISSLPPLPRTTTPSKDKSKDISIWLPLSLSVLVLMAVAAARMIMVRKKKFSELREDWELDFALHRFSYKQLYKATRGFKHEYLLGVGGFGRVYRGVLQDTKVEVAIKRVCHESRQGVREFVAEIVSLGQLQHRNLVPLLGYCRREGELILVYEYMPNKSLDKFLFSDGESTLSWSQRFWIIKGVASGLLYLHEDWERVVIHRDVKASNVLLDGDMNGRLGDFGLARLYDHGGVPQTTHLAGTVGYLAPELSRTCRVTTSSDVFAFGVFLLEVACGRRPIEPEKAEDLQVLIDWVLANWRKGTIMETRDERLGEEYVAEELELVLKLGLLCSHPLPTARPSMRQVTQILHGSIPLPDFLLNQLNTKDSAFVKYEGSNAYVMSFTSMSSDSLVFSGR >DRNTG_02801.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1037959:1041389:1 gene:DRNTG_02801 transcript:DRNTG_02801.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEYNLQTIVKQKTMTNFCKMLLNISIWALHVKLTFSANEDFSYNGFKGATNLSFDSLAAVTPDGLLSLTNNTTHGKGHAFFSVPIQFKKSLAGNIISFSTLFVFAIVPEYRTFSSDGLAFVLSPSKDLSNAWGESYLGLLNKTNDGKPSNHIFAVEFDTALNPSHEDINDNHVGIDINSLLSNYSTPAGFRSDDDGQLKNLSLKSGEPMQVWIDYNGQNMQFNVTLSPLWMPKPKTALLSATINLSSIILDYMYVGFSASTGMAYAYQCILGWSFKTDGKIPELNISSLPPLPRTTTPSKDKSKDISIWLPLSLSVLVLMAVAAARMIMVRKKKFSELREDWELDFALHRFSYKQLYKATRGFKHEYLLGVGGFGRVYRGVLQDTKVEVAIKRVCHESRQGVREFVAEIVSLGQLQHRNLVPLLGYCRREGELILVYEYMPNKSLDKFLFSDGESTLSWSQRFWIIKGVASGLLYLHEDWERVVIHRDVKASNVLLDGDMNGRLGDFGLARLYDHGGVPQTTHLAGTVGYLAPELSRTCRVTTSSDVFAFGVFLLEVACGRRPIEPEKAEDLQVLIDWVLANWRKGTIMETRDERLGEEYVAEELELVLKLGLLCSHPLPTARPSMRQVTQILHGSIPLPDFLLNQLNTKDSAFVKYEGSNAYVMSFTSMSSDSLVFSGR >DRNTG_02801.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1037899:1041389:1 gene:DRNTG_02801 transcript:DRNTG_02801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEYNLQTIVKQKTMTNFCKMLLNISIWALHVKLTFSANEDFSYNGFKGATNLSFDSLAAVTPDGLLSLTNNTTHGKGHAFFSVPIQFKKSLAGNIISFSTLFVFAIVPEYRTFSSDGLAFVLSPSKDLSNAWGESYLGLLNKTNDGKPSNHIFAVEFDTALNPSHEDINDNHVGIDINSLLSNYSTPAGFRSDDDGQLKNLSLKSGEPMQVWIDYNGQNMQFNVTLSPLWMPKPKTALLSATINLSSIILDYMYVGFSASTGMAYAYQCILGWSFKTDGKIPELNISSLPPLPRTTTPSKDKSKDISIWLPLSLSVLVLMAVAAARMIMVRKKKFSELREDWELDFALHRFSYKQLYKATRGFKHEYLLGVGGFGRVYRGVLQDTKVEVAIKRVCHESRQGVREFVAEIVSLGQLQHRNLVPLLGYCRREGELILVYEYMPNKSLDKFLFSDGESTLSWSQRFWIIKGVASGLLYLHEDWERVVIHRDVKASNVLLDGDMNGRLGDFGLARLYDHGGVPQTTHLAGTVGYLAPELSRTCRVTTSSDVFAFGVFLLEVACGRRPIEPEKAEDLQVLIDWVLANWRKGTIMETRDERLGEEYVAEELELVLKLGLLCSHPLPTARPSMRQVTQILHGSIPLPDFLLNQLNTKDSAFVKYEGSNAYVMSFTSMSSDSLVFSGR >DRNTG_24423.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8290665:8307849:1 gene:DRNTG_24423 transcript:DRNTG_24423.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAPR4 [Source:Projected from Arabidopsis thaliana (AT4G14965) UniProtKB/TrEMBL;Acc:A0A178UY73] MVSPLRLRATSQWLLAFALLVALVAIFFRFSFRKQHRIWTMEELAMYNGTDEGLPILLGILGSVFDVTKGRSHYGPGGGYHHFAGRDASRAFISGNFTGDGLIDSLHGLSSLEVKGIVDWRKFYFERYRYVGKLVGRYHDSQGNPTKYLKGVESKAKRAAQLEEKQKIEEAKIPSCNSKWSQEEGGEVWCETGYPRLVKRPVDIALTGRVSQRCACYKEDELGKPGLVVYDGCDYLSKVCRV >DRNTG_24423.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8290665:8307849:1 gene:DRNTG_24423 transcript:DRNTG_24423.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAPR4 [Source:Projected from Arabidopsis thaliana (AT4G14965) UniProtKB/TrEMBL;Acc:A0A178UY73] MVSPLRLRATSQWLLAFALLVALVAIFFRFSFRKQHRIWTMEELAMYNGTDEGLPILLGILGSVFDVTKGRSHYGPGGGYHHFAGRDASRAFISGNFTGDGLIDSLHGLSSLEVKGIVDWRKFYFERYRYVGKLVGRYHDSQGNPTKYLKGVESKAKRAAQLEEKQKIEEAKIPSCNSKWSQEEGGEVWCETGYPRLVKRPVDIALTGRVSQRCACYKEDELGKPGLVVYDGCDYLSKVCRV >DRNTG_24423.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8290918:8307849:1 gene:DRNTG_24423 transcript:DRNTG_24423.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAPR4 [Source:Projected from Arabidopsis thaliana (AT4G14965) UniProtKB/TrEMBL;Acc:A0A178UY73] MVSPLRLRATSQWLLAFALLVALVAIFFRFSFRKQHRIWTMEELAMYNGTDEGLPILLGILGSVFDVTKGRSHYGPGGGYHHFAGRDASRAFISGNFTGDGLIDSLHGLSSLEVKGIVDWRKFYFERYRYVGKLVGRYHDSQGNPTKYLKGVESKAKRAAQLEEKQKIEEAKIPSCNSKWSQEEGGEVWCETGYPRLVKRPVDIALTGRVSQRCACYKEDELGKPGLVVYDGCDYLSKVCRV >DRNTG_24423.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8290665:8307849:1 gene:DRNTG_24423 transcript:DRNTG_24423.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAPR4 [Source:Projected from Arabidopsis thaliana (AT4G14965) UniProtKB/TrEMBL;Acc:A0A178UY73] VLGFKPNSRRRSRIKSSASSVFDVTKGRSHYGPGGGYHHFAGRDASRAFISGNFTGDGLIDSLHGLSSLEVKGIVDWRKFYFERYRYVGKLVGRYHDSQGNPTKYLKGVESKAKRAAQLEEKQKIEEAKIPSCNSKWSQEEGGEVWCETGYPRLVKRPVDIALTGRVSQRCACYKEDELGKPGLVVYDGCDYLSKVCRV >DRNTG_24423.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8290665:8307907:1 gene:DRNTG_24423 transcript:DRNTG_24423.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAPR4 [Source:Projected from Arabidopsis thaliana (AT4G14965) UniProtKB/TrEMBL;Acc:A0A178UY73] EIKAEKTYSCYSCSVFDVTKGRSHYGPGGGYHHFAGRDASRAFISGNFTGDGLIDSLHGLSSLEVKGIVDWRKFYFERYRYVGKLVGRYHDSQGNPTKYLKGVESKAKRAAQLEEKQKIEEAKIPSCNSKWSQEEGGEVWCETGYPRLVKRPVDIALTGRVSQRCACYKEDELGKPGLVVYDGCDYLSKVCRV >DRNTG_24423.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8290665:8307849:1 gene:DRNTG_24423 transcript:DRNTG_24423.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAPR4 [Source:Projected from Arabidopsis thaliana (AT4G14965) UniProtKB/TrEMBL;Acc:A0A178UY73] MVSPLRLRATSQWLLAFALLVALVAIFFRFSFRKQHRIWTMEELAMYNGTDEGLPILLGILGSVFDVTKGRSHYGPGGGYHHFAGRDASRAFISGNFTGDGLIDSLHGLSSLEVKGIVDWRKFYFERYRYVGKLVGRYHDSQGNPTKYLKGVESKAKRAAQLEEKQKIEEAKIPSCNSKWSQEEGGEVWCETGYPRLVKRPVDIALTGRVSQRCACYKEDELGKPGLVVYDGCDYLSKVCRV >DRNTG_24423.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8290665:8307849:1 gene:DRNTG_24423 transcript:DRNTG_24423.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAPR4 [Source:Projected from Arabidopsis thaliana (AT4G14965) UniProtKB/TrEMBL;Acc:A0A178UY73] MVSPLRLRATSQWLLAFALLVALVAIFFRFSFRKQHRIWTMEELAMYNGTDEGLPILLGILGSVFDVTKGRSHYGPGGGYHHFAGRDASRAFISGNFTGDGLIDSLHGLSSLEVKGIVDWRKFYFERYRYVGKLVGRYHDSQGNPTKYLKGVESKAKRAAQLEEKQKIEEAKIPSCNSKWSQEEGGEVWCETGYPRLVKRPVDIALTGRVSQRCACYKEDELGKPGLVVYDGCDYLSKVCRV >DRNTG_24423.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8290665:8307907:1 gene:DRNTG_24423 transcript:DRNTG_24423.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAPR4 [Source:Projected from Arabidopsis thaliana (AT4G14965) UniProtKB/TrEMBL;Acc:A0A178UY73] VLGFKPNSRRRSRIKSSASSVFDVTKGRSHYGPGGGYHHFAGRDASRAFISGNFTGDGLIDSLHGLSSLEVKGIVDWRKFYFERYRYVGKLVGRYHDSQGNPTKYLKGVESKAKRAAQLEEKQKIEEAKIPSCNSKWSQEEGGEVWCETGYPRLVKRPVDIALTGRVSQRCACYKEDELGKPGLVVYDGCDYLSKVCRV >DRNTG_17817.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15684738:15686076:1 gene:DRNTG_17817 transcript:DRNTG_17817.1 gene_biotype:protein_coding transcript_biotype:protein_coding GIVTRRPLVLQLHRINEGQEYAEFLHLPRKKFTDFALVRKEISDETDRETGRSKQVSTVPIYLSIYSPNVVNLTLIDLPGLTKVAV >DRNTG_29805.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23326663:23333906:-1 gene:DRNTG_29805 transcript:DRNTG_29805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESSRAPLSLVGQQLALRLHGPLACRFSRGNKTQKSADTDPIQPVFVGEFPQVVRNAVAGLPQRSVAGKTLLSGGMDKRTSLSWVICGNQLFFWSYLSAAVSKKCIVLEIPSSVLGNADASSKNSHWMVCVVPWGIAQPKSNKVLEQCNSAGVVMCNQKTRAVIYWPDIYSENGRTPTTSFATMLESKSSDSHDDLIGIEYSVEYERFTSLIVSEVSGASYECIGIACQSNGDLWCFRFTPTSICCEKVCRNMVDGCSNQSQMSKVYARSLLWRFESGSLKGSTREFFLLTDREIQCWTVTLTSDININRLWTHEIVNNDGDLGIKKDLAGQKHIWLLDMQVDDRGKEFTILVATLCKDRVSSSNYTQYSLLTMQYKPGLGSSVENDELVGDRVLEKKAPLQIVIPKARVEDDDFLLFMRLRVGGKPSGSAIILSGDGTATVANYWRGSTRLYQFDLPWDAGKVLDASIFPSTEDTEEGSWVVLTEKAGVWAIPEKAVLLGGVEPPERSLSRKGSSNEGVSEEEKRSQAFGGNLVPRRASSEAWGAGDRQRSALTGIAQRTAQDEEAEALLGQLFHKFLLSGEVEGSFEKLERKGAFEKEGETNVFARMSKSIVDTLAKHWTTTRGAEFVASAVISSLLLDKQQKHQKYLQFLALSKCHEELSSKQRNCLLTVMEHGEKLAAVIQLRELQNLLSQDRSNESGSPALHARNQAVGSLWNLIQLVGEKARRNTVLLMDRENAEVFYSKVSDVEELFSCLSHHLQYVVGSDQSFLVQIQRAYEVSNAITTLIQAAMHYRDEHQTWYPSPEGLTPWNCHPVVRSGLWSLASYIMQLLKEAAVIDMSSKLGLCSQLQGLADILLDSYTGAITAKIERAEEHRGLIEEYCRRRDEILATLYEQTKRIVDARYQDTSKGVEDAVLKEAIYREVSSPLLSIAKRHEGYQTLWLICYDLNDTGLLRSLMHDSIGPKGGFCNFVFKQLIQRCQHAKLLRLGEEFQEELASFLKEHKDLLWLHEIFLNQFSSASETLHIVALSPDDGSMLLSGDESGPSGPAQGKRAVSLEDRRRLLNLSKISAVAGKAPGFELSLKRIDADLQILKLQEEIIKNLKNSEEHQDVNRPLPAGELVELCLRGKTKELALLAFGVFAWASSSFRSSNRALLEECWRNAADQDDWVALCQASTSEGWSDEVTLEYLRETILFKVAHLCYGPEAVTYDVGFDEVLPLQKDDVEFPTLKDPVSSVEGILMQHKDFPDAGKLMLTAVMMGKAGTIAIAEEDTAMDS >DRNTG_07576.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22063782:22070826:1 gene:DRNTG_07576 transcript:DRNTG_07576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGLEYEQLISGGNPDEAAYELEDEWDAIALNYTSGTTSAPKGVVYSHRGAYLSVMSLLLHNGWVITKSMESVPRDGRSLGEVVLRGSNVMKGYFKNEKDTNTAFKGGWFLTGDVGVIHPDGYLEIKDRSKDVIISGGENISSVELETVLYRHPMVVEAAVVAMPHPRWGETPCAFVALARDEGGEQQCVREEEIINHCRNNMARFMVPKKVVFMEELPKTSTGKILKFELREMARNLKALEIITAQPATWTKKGLIVSQDNMQTLPEPITT >DRNTG_23375.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5676712:5679198:-1 gene:DRNTG_23375 transcript:DRNTG_23375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRWAKILSASIILAAVLLLLIITFFLCRRRRRSRRPRVSTSIPKPFTDPSAASRAEKLEAGISKFHLSPIKSDSKNSLRFHQLPHLHIPDHHHLQTFNWDDHPRLITEAVENGWFRFAFHGRPSSSLPPLRSPQIRWGLCVVCDGDKQHRNSSEINWEIPPGSSEFMQTVKINSARDDELASSSSCSIVKMSLPLPGPSLATASFPQEAYFEISILHLGGISQPRFHRTSKRSKGTGGGGGGGGGSIEGDRMKLIQENSSEGDLESGRKNGVLELNSVGSVLSLGLSIGSLSSEWSMMKPGSYSGSIGFHSDGSVYLDGIKLMFESEAAQWNGVNKVIGLGYNPSKQMVFLTIDSELVRVIHCNSDIYKHPLYPVISANTEVMVLINLGQSAFKYAPANARRTTNPCFIRSSPDVTVSTIAGNYEDSRELFSVGRLESDWYDIMMKSKNKGSTKTSVGNGDESIADADSDLFEISLQS >DRNTG_07856.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000349.1:19863:21332:-1 gene:DRNTG_07856 transcript:DRNTG_07856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQTLIYSFVAKGNVVLAEHTSFTGNLSTIAVQCLQKLPPNSNRFTYSCDGHTFNFLVDRGFVFLVVADESVGRSIPFVFLQRVKDEFMHRYEGIINDSSSHPLADEEDDGLLEDKFSIAYQLDREFGPTLKEQMLYCMNHPEEISKMSKLKAQATEVKGIMMENIEKVHILNNPLWQKSVDSLIMLKTYNFILNSSSLLYLLC >DRNTG_07498.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3828092:3835713:-1 gene:DRNTG_07498 transcript:DRNTG_07498.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF1620-containing and WD40-like repeat protein, Scaffold protein for assembly of the restoration of fertility comple [Source: Projected from Oryza sativa (Os05g0230600)] MAVRASLFFLFLLLCSLLAAALYEDQVGIADWHQKYIGKTKQAVFHTQKAGRKRVVVATEENVIASLDLRSGDIYWRHVLGKNDPVDQIGIALGKYVVTLSSEGSVLRAWNLPDGQMSWETSIEVLKPSKSLLYVPANAGVEKDNLILVYGGGCLHAISSIDGEVAWKKEFSLEGFNIQGISQPLGGSIIYIVGFTSNSQVVVNKVNAKTGELLNHNSVAYPRGLSGEVLQVSSDLLVALDAARSSLVTINFQASDISFHHMAISNLVQESFVTVSLLPLNVNGMFAAKVDSTLVLARVKDTGELEVTEKINDPSCVSDAILLTEGQEAFAMVQHADNRIHLSIRVNNDIATEVLKESISVDPQRGHAQKIFINNYVRTDRSHGFRALIVMEDHSLLLVQQGEIVWSREDGLASIVDSTVSELPVEKVGVSVAKVEENLLEWLKGHMLKLKGTLMLASAEDVATIQGMRLKNSEKNKMTRDHNGFRKLIIVLTKAGKVLALHTGDGRVIWSVLLPSLRESDVCDSPIALNIYQWHVPHHHAMDENPSLLIVGKCGQSSDAPGVLSVVDSYTGKELNSIMLAHSIAQVIPLPFTDSNEQRLHLIIDRNSDGHLYPRTPDSINVFLREMSSIYWYSVDAEKSMIRGHALKGKCNLDSADEYCFSSKELWSIIFPSESEKIAKIATRKMNEVVHTQAKVNVDHDVMYKYISKNIVFAATVSPKASGEIGSATPEEASLVAYLIDAVTGRILHRVTHQGAQGPIHAVVSENWVVYHYFNLRAHRYEMSVIEIYDQSRAVNKDVWKLILGKHNLTSPITSYSKPEVVVKTQTYFFTHSVKAVAVTATAKGITSKQVLIGTIGDQVLALDKRFLDPRRTLNPSQAEKEEGIIPLTDALPIIPQSYITHSHQVEGLRDIVTIPAKLESTTLVFSYGVDLFFTRIAPSRTYDSLTEDFSYALLLITIIALVAAIIVTWILSEKKELREKWR >DRNTG_18817.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000974.1:55892:56618:-1 gene:DRNTG_18817 transcript:DRNTG_18817.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRTSIMMLLFINVFSSAFVGNSFTSNVHDGEIVNPTSPGSEEKCTCSPCGSTCNTPSLPPPSPPPPPPTTIYSPPSPYCPPPPYYASSPPPPSYYGSNTPPPPSYYGYTPPSPNYVWSWKPPGSLYPIDPSYSLSTDGQSSSMILHAFLCLFLLALL >DRNTG_18817.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000974.1:55862:56618:-1 gene:DRNTG_18817 transcript:DRNTG_18817.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRTSIMMLLFINVFSSAFVGNSFTSNVHDGEIVNPTSPGSEEKCTCSPCGSTCNTPSLPPPSPPPPPPTTIYSPPSPYCPPPPYYASSPPPPSYYGSNTPPPPSYYGYTPPSPNYVWSWKPPGSLYPIDPSYSLSTDGQSSSMILHAFLCLFLLALL >DRNTG_18817.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000974.1:55810:56618:-1 gene:DRNTG_18817 transcript:DRNTG_18817.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRTSIMMLLFINVFSSAFVGNSFTSNVHDGEIVNPTSPGSEEKCTCSPCGSTCNTPSLPPPSPPPPPPTTIYSPPSPYCPPPPYYASSPPPPSYYGSNTPPPPSYYGYTPPSPNYVWSWKPPGSLYPIDPSYSLSTDGQSSSMILHAFLCLFLLALL >DRNTG_18817.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000974.1:55862:56777:-1 gene:DRNTG_18817 transcript:DRNTG_18817.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRTSIMMLLFINVFSSAFVGNSFTSNVHDGEIVNPTSPGSEEKCTCSPCGSTCNTPSLPPPSPPPPPPTTIYSPPSPYCPPPPYYASSPPPPSYYGSNTPPPPSYYGYTPPSPNYVWSWKPPGSLYPIDPSYSLSTDGQSSSMILHAFLCLFLLALL >DRNTG_18817.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000974.1:55892:56777:-1 gene:DRNTG_18817 transcript:DRNTG_18817.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRTSIMMLLFINVFSSAFVGNSFTSNVHDGEIVNPTSPGSEEKCTCSPCGSTCNTPSLPPPSPPPPPPTTIYSPPSPYCPPPPYYASSPPPPSYYGSNTPPPPSYYGYTPPSPNYVWSWKPPGSLYPIDPSYSLSTDGQSSSMILHAFLCLFLLALL >DRNTG_19556.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001012.1:38128:39272:1 gene:DRNTG_19556 transcript:DRNTG_19556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLISSLIMSSPPLKPSARRPTPADMLLLFINGNLGIFGPAMWDNGIKSSTFFNINYQPTLNHEKLKTGSTDYILFSGNYVTGSGNGDVVIAQNGKVSTVITKKCALAVKDDSANKTIWHSWPTSDEPTECFLELKSNGQLLLQRYNESGVVTQWKGGYAAKDNLYVCLLRYYGGITIYGLKTWLYHDGSSSSSAAAAAAAAAVVAEKIKMVTA >DRNTG_20525.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001125.1:70831:72306:-1 gene:DRNTG_20525 transcript:DRNTG_20525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQELGQTEQARKDLVRLILVRKQ >DRNTG_26382.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:438514:444322:1 gene:DRNTG_26382 transcript:DRNTG_26382.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56160) UniProtKB/Swiss-Prot;Acc:F4IZC4] MAVRALRPLYVGPRMPSGNGGIHRHLASHSSTPIRCPGVSPFPLLFPSYSRESRQEFRRLRAFGGSDQVNGRGDQHLGHSNSLDIFSWARPLLDFAAKNFLPLALVCGVAFGVADPTLGCLAHKYSLSRVSTFGIFFISGLTLQSREIDAAIEAWPAGLFGLSSILLFTPLFSRLILQLKLVPQEFVTGVAVFCCMPTTLSSGIALTQLAGGNSALALAMTVISNMLGIMIVPFSLSIFIMTGRGVSVPTLELFRSLFNTLLVPLVLGKVLRDILSGVAKFVDQNRHSLSMASALLLSLVPWIQVSRSRPLLLSVNPASFAIAISLGALLHLALLALNMLAMRSLSALSGGSRSVFSKKDNARAVIIVASQKTLPVMVAVVEQLNGALGESGLLILPCVASHIIQIIIDSFLVNMWTQKDQHSNETKEV >DRNTG_26382.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:438514:444322:1 gene:DRNTG_26382 transcript:DRNTG_26382.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56160) UniProtKB/Swiss-Prot;Acc:F4IZC4] MAVRALRPLYVGPRMPSGNGGIHRHLASHSSTPIRCPGVSPFPLLFPSYSRESRQEFRRLRAFGGSDQVNGRGDQHLGHSNSLDIFSWARPLLDFAAKNFLPLALVCGVAFGVADPTLGCLAHKYSLSRVSTFGIFFISGLTLQSREIDAAIEAWPAGLFGLSSILLFTPLFSRLILQLKLVPQEFVTGVAVFCCMPTTLSSGIALTQLAGGNSALALAMTVISNMLGIMIVPFSLSIFIMTGRGVSVPTLELFRSLFNTLLVPLVLGKVLRDILSGVAKFVDQNRHSLSMASALLLSLV >DRNTG_26382.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:438514:444322:1 gene:DRNTG_26382 transcript:DRNTG_26382.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56160) UniProtKB/Swiss-Prot;Acc:F4IZC4] MAVRALRPLYVGPRMPSGNGGIHRHLASHSSTPIRCPGVSPFPLLFPSYSRESRQEFRRLRAFGGSDQVNGRGDQHLGHSNSLDIFSWARPLLDFAAKNFLPLALVCGVAFGVADPTLGCLAHKYSLSRVSTFGIFFISGLTLQSREIDAAIEAWPAGLFGLSSILLFTPLFSRLILQLKLVPQEFVTGVAVFCCMPTTLSSGIALTQLAGGNSALALAMTVISNMLGIMIVPFSLSIFIMTGRGVSVPTLELFRSLFNTLLVPLVLGKVSILVIVFFYYYFFIIKNRIKYFR >DRNTG_26382.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:438514:444322:1 gene:DRNTG_26382 transcript:DRNTG_26382.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56160) UniProtKB/Swiss-Prot;Acc:F4IZC4] MAVRALRPLYVGPRMPSGNGGIHRHLASHSSTPIRCPGVSPFPLLFPSYSRESRQEFRRLRAFGGSDQVNGRGDQHLGHSNSLDIFSWARPLLDFAAKNFLPLALVCGVAFGVADPTLGCLAHKYSLSRVSTFGIFFISGLTLQSREIDAAIEAWPAGLFGLSSILLFTPLFSRLILQLKLVPQEFVTGVAVFCCMPTTLSSGIALTQLAGGNSALALAMTVISNMLGIMIVPFSLSIFIMTGRGVSVPTLELFRSLFNTLLVPLVLGKVLRDILSVPQYIRI >DRNTG_26382.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:438514:444322:1 gene:DRNTG_26382 transcript:DRNTG_26382.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56160) UniProtKB/Swiss-Prot;Acc:F4IZC4] MAVRALRPLYVGPRMPSGNGGIHRHLASHSSTPIRCPGVSPFPLLFPSYSRESRQEFRRLRAFGGSDQVNGRGDQHLGHSNSLDIFSWARPLLDFAAKNFLPLALVCGVAFGVADPTLGCLAHKYSLSRVSTFGIFFISGLTLQSREIDAAIEAWPAGLFGLSSILLFTPLFSRLILQLKLVPQEFVTGVAVFCCMPTTLSSGIALTQLAGGNSALALAMTVISNMLGIMIVPFSLSIFIMTGRGVSVPTLELFRSLFNTLLVPLVLGKVSILVIVFFYYYFFIIKNRIKYFR >DRNTG_26382.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:438514:444322:1 gene:DRNTG_26382 transcript:DRNTG_26382.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56160) UniProtKB/Swiss-Prot;Acc:F4IZC4] MAVRALRPLYVGPRMPSGNGGIHRHLASHSSTPIRCPGVSPFPLLFPSYSRESRQEFRRLRAFGGSDQVNGRGDQHLGHSNSLDIFSWARPLLDFAAKNFLPLALVCGVAFGVADPTLGCLAHKYSLSRVSTFGIFFISGLTLQSREIDAAIEAWPAGLFGLSSILLFTPLFSRLILQLKLVPQEFVTGVAVFCCMPTTLSSGIALTQLAGGNSALALAMTVISNMLGIMIVPFSLSIFIMTGRGVSVPTLELFRSLFNTLLVPLVLGKVSILVIVFFYYYFFIIKNRIKYFR >DRNTG_07757.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19435009:19437951:-1 gene:DRNTG_07757 transcript:DRNTG_07757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLNCLIFIILLISSASAQTLIQMFPNRPQRNGDDELFCDSWRLSVETNNAGMWNTIPAKCLKFVVEYMNGDRYASDSAVVAGYSLDFARTVPITGDGKDVWVFDIDETLLSNIPYYAAHGYGSEAFNETAFDEWVVEARAPALPASLQLYEELRGLGYQLVLLTGRAEFQRNATVDNLLFAGYHSWKRLYLREDSDIGKTAVAYKSEKRAELEAQGYRIHGSSGDQWSDLIGSPMASRSFKLPNPLYFIA >DRNTG_31771.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13550904:13559502:1 gene:DRNTG_31771 transcript:DRNTG_31771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALCRLMIIDADFCEANLQLLFTVVENAPSETVRSNCTLALGDLAVRFPNLLEPWTENIYARLRDPSVSVRKNAVLVLSHLILNDMMKVKGYINEMAMRIEDEEGRISNLARLFFHELSKKGSNPIYNLLPDILGRLSDQKNMKKDSFRNIMQFLINSIKKDKQMEALVEKLCNRFSGVSDKTQWECIAYCLSQLTYTEKGMKKLMESFKTYEHVLLEDSVVDHFKNIISKSKKFAKPELKSCIDEFEEKLNKSHMERKEQEEVANNAYKHQQKIEILEHKDINERSIEDGTGEVINTAEEATSNTTEAIQLDANGMNSEESCGCSILTECDDEDTEFQSPKASHGISKVKPKRKKGMIKGETGRRSTRSSIQSGRR >DRNTG_31771.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13553482:13559502:1 gene:DRNTG_31771 transcript:DRNTG_31771.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVKGYINEMAMRIEDEEGRISNLARLFFHELSKKGSNPIYNLLPDILGRLSDQKNMKKDSFRNIMQFLINSIKKDKQMEALVEKLCNRFSGVSDKTQWECIAYCLSQLTYTEKGMKKLMESFKTYEHVLLEDSVVDHFKNIISKSKKFAKPELKSCIDEFEEKLNKSHMERKEQEEVANNAYKHQQKIEILEHKDINERSIEDGTGEVINTAEEATSNTTEAIQLDANGMNSEESCGCSILTECDDEDTEFQSPKASHGISKVKPKRKKGMIKGETGRRSTRSSIQSGRR >DRNTG_31771.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13552261:13559502:1 gene:DRNTG_31771 transcript:DRNTG_31771.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLALCRLMIIDADFCEANLQLLFTVVENAPSETVRSNCTLALGDLAVRFPNLLEPWTENIYARLRDPSVSVRKNAVLVLSHLILNDMMKVKGYINEMAMRIEDEEGRISNLARLFFHELSKKGSNPIYNLLPDILGRLSDQKNMKKDSFRNIMQFLINSIKKDKQMEALVEKLCNRFSGVSDKTQWECIAYCLSQLTYTEKGMKKLMESFKTYEHVLLEDSVVDHFKNIISKSKKFAKPELKSCIDEFEEKLNKSHMERKEQEEVANNAYKHQQKIEILEHKDINERSIEDGTGEVINTAEEATSNTTEAIQLDANGMNSEESCGCSILTECDDEDTEFQSPKASHGISKVKPKRKKGMIKGETGRRSTRSSIQSGRR >DRNTG_11446.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19964328:19969274:1 gene:DRNTG_11446 transcript:DRNTG_11446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKYPVLDNRPIDQWKVTELKEELRKRGYPLRGLKEELVRRLDEALRKEADESKMEEEQPAQEEQAEESNGSDPQDNCDKDEVQKPPAQEEQAEESSGSDPLGNCDKEEVQKPPTGDDARVAVEKNLKTDDGVTTIDVCNSDKDVNQDVQTQDKDEIPTASSTGTVEEMSVNNMPIETSSLAVSESVVTQEGSSAQVTSDNKIMDSEPPLVDVKLSASKQINQVSEVSNLEFQVKCESISTDYVSINEKNEQKDNFNANNFPLELEVVKSEMVQPSSGNCPPIGDYMHPKDGDKEPGESHASLEDRDIKCDTEVVVLDTRNDSMDEGAPEKLNLDRSPNVNSMEEDVLENKHVDSQSIGMEEKSELNKVNDKGDQSAIDVVEVSCTLDKKIIAADEDMQPAPVAGKRQSEAAEVKEPVKRQRRWNSETVQVPNIQTPNLTSSDTPKDASQPTPRRNFTRSDSTISGGSPKERIVPPSQKSATTSLRIDRFLRPFTLKAVRELLSKTGSVSEFWMDHIKTHCYVTYSSVEEAIATRDAVYNIQWPPNGGRLLVAEFVDPQEVKARVEAPESPVPVTPSPAIPTVTPSQQPRHPQPSPHQHGRQQLPSPPTLPPPPPLSNPPPVREQLPPPPPLPKKPEPPVVTLDDLFRKTKTTPRIYYLPLSDEQVAAKLPAQRPSIRE >DRNTG_33617.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7545283:7547697:1 gene:DRNTG_33617 transcript:DRNTG_33617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGIIATSYSKGDKFYIDPAKLLPLSRFLPQPKGQAVSRGGGRGGGRGGGRGGGRGGGFRGRGGPRGGRGGPPRGGFRGGGGFRGRGRS >DRNTG_35285.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:697985:703510:-1 gene:DRNTG_35285 transcript:DRNTG_35285.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYFEAARFFDLARRVSPYSLEGMDIYSTILYHLKEEMKLSYLAQELISTDRLAPQAWCAMGNCYSLQKDHETALKNFQRAVHLDSRFAYAHTLSGHEYVALEDFENGIKCYQRALQVDERHYNSWYGLGVIYLRQEKFQFAEHHFRRAFFINPRSSVLMCYLGMSLHSLRRNEEALEMIEKAILADPKNPLPLYQKANILLSLERYDEALMNLDQLKECAPQETSVYALMGKIYKKLNMHEKAMFYFGLALDLKPPATDVAIIKSAMEKLYLPDEMGDSL >DRNTG_35285.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:704899:706694:-1 gene:DRNTG_35285 transcript:DRNTG_35285.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSRYLFAISCFQMNLLREAEAALSPANEPNSEVPNGAAGHYLLGLIYRYTSRRSSAVDHFTQALSIDPLLWAAYEELCILG >DRNTG_35285.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:697985:704291:-1 gene:DRNTG_35285 transcript:DRNTG_35285.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAIFVDCRYLEEDKINLHAGGLSVHDSKLINGIRELLGLLRTLGEGYRFSCMHRSQEALEVYQKLSQNQYNTGWVLSQIGKAHFEMVDYFEAARFFDLARRVSPYSLEGMDIYSTILYHLKEEMKLSYLAQELISTDRLAPQAWCAMGNCYSLQKDHETALKNFQRAVHLDSRFAYAHTLSGHEYVALEDFENGIKCYQRALQVDERHYNSWYGLGVIYLRQEKFQFAEHHFRRAFFINPRSSVLMCYLGMSLHSLRRNEEALEMIEKAILADPKNPLPLYQKANILLSLERYDEALMNLDQLKECAPQETSVYALMGKIYKKLNMHEKAMFYFGLALDLKPPATDVAIIKSAMEKLYLPDEMGDSL >DRNTG_35285.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:697985:706694:-1 gene:DRNTG_35285 transcript:DRNTG_35285.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLLMDRVQSSLRLFMPKNAIFLCERLCAEFPTESNLQLLANCYLGNNQAYCAYHILKGKQMPQSRYLFAISCFQMNLLREAEAALSPANEPNSEVPNGAAGHYLLGLIYRYTSRRSSAVDHFTQALSIDPLLWAAYEELCILGAVGGASDYFSDVAASRIQQQYLSESSSHKISIGDEDRSIQSSRVLGLNDSSPKQPKNLHSNSIKEISNTHVVMAAGQAANSGSSNLSLYNTPSPVASQLSGIAPPPLCRNGFFNAVSCDGSSKPAANAAILAPRRKAMEEGRLRKVSGRLFSDSGPRRSTRLSGEATAGINSNASQAGGGTGTNHSSSSRFLGGFSSSSSKTSSAAFRTMTGRKGPSCISESFDEGRKTEVFGDSCGEDASATMSSVAIFVDCRYLEEDKINLHAGGLSVHDSKLINGIRELLGLLRTLGEGYRFSCMHRSQEALEVYQKLSQNQYNTGWVLSQIGKAHFEMVDYFEAARFFDLARRVSPYSLEGMDIYSTILYHLKEEMKLSYLAQELISTDRLAPQAWCAMGNCYSLQKDHETALKNFQRAVHLDSRFAYAHTLSGHEYVALEDFENGIKCYQRALQVDERHYNSWYGLGVIYLRQEKFQFAEHHFRRAFFINPRSSVLMCYLGMSLHSLRRNEEALEMIEKAILADPKNPLPLYQKANILLSLERYDEALMNLDQLKECAPQETSVYALMGKIYKKLNMHEKAMFYFGLALDLKPPATDVAIIKSAMEKLYLPDEMGDSL >DRNTG_35285.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:697985:700857:-1 gene:DRNTG_35285 transcript:DRNTG_35285.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYFEAARFFDLARRVSPYSLEGMDIYSTILYHLKEEMKLSYLAQELISTDRLAPQAWCAMGNCYSLQKDHETALKNFQRAVHLDSRFAYAHTLSGHEYVALEDFENGIKCYQRALQVDERHYNSWYGLGVIYLRQEKFQFAEHHFRRAFFINPRSSVLMCYLGMSLHSLRRNEEALEMIEKAILADPKNPLPLYQKANILLSLERYDEALMNLDQLKECAPQETSVYALMGKIYKKLNMHEKAMFYFGLALDLKPPATDVAIIKSAMEKLYLPDEMGDSL >DRNTG_01571.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5322712:5324470:1 gene:DRNTG_01571 transcript:DRNTG_01571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEQNPPHVLFVSFPGQGHLNPLLRLAKRVAATGLLVTFSTTISAGQKIQSSTSTLPGVSTPIGSGHLRFSFFSDGTELLDPSIDISTLSHHLNTIGSSSFSSLLRSQSSDGHPVSFIVNNPFIPWVLDIAGDFNIPCAVLWIQSCAVFSIYYHYYHSLSTFPSSDNPNPTITLPGLPPLTAGDIPTFLLPTNPYPSLTDSILSQFKNISKATYILLNTFDELEHDAIQSISKLLPVIPVGPLIEDEEDEEKSKKIRGDQWKVADECMAWLDEQKANSVVYVSLGSIVVLSPEEMVEMATGLKNTGLPFLWVREELEKYLPEGYVEEMKGKGMVVRWSPQDRVLGHEAVACFVSHCGWNSTLETMAAGVPVVAYPQWGDQVTDAKFLVDVYGVGVRMSSPTRREEVVRCVEEVVAGKESEKMRRMAGELKEKARKAIKEGGSSFVNVKRFVDGIAIPLNNNKIS >DRNTG_05528.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000250.1:3522:4233:-1 gene:DRNTG_05528 transcript:DRNTG_05528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSQHAKYFCEFSGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLRDQTEA >DRNTG_15122.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18208225:18216058:-1 gene:DRNTG_15122 transcript:DRNTG_15122.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinone biosynthesis O-methyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G30920) UniProtKB/Swiss-Prot;Acc:O49354] MLFELCRWDFEGPFKPLHQMNPTRISFIRSALCRHFRKDPFCARPFEGLKVIDVGCGGGILSEPLARMGASVTGIDAVEKNINIARIHAESDPLTSSIEYRCTTAEQLVKENKKFDAVIALEVIEHVADPSEFCKSLAALTAPNGATVISSISRTMRAFATAIVAAEYLLRWLPKGTHDWSSFLTPEELVLILQRTSISVKEMAGISYNPVTGEWSLSDDIGVNFIAYGAKEGDVQ >DRNTG_13399.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000610.1:19490:19943:-1 gene:DRNTG_13399 transcript:DRNTG_13399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVSFLVEKLGALIVQEAINLHEGREQVEWLKRELERMQCFLKDADAKKNEGGDNRVKHWVTEMRDLAFEAEDIIDTFMYFKLRRQQPGCMGFMKRYVLQTPSCLH >DRNTG_29923.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5432092:5432741:1 gene:DRNTG_29923 transcript:DRNTG_29923.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRNQELKKLEENLKSLLKEQVERQAEKHDKEIRELKEQQTRALDE >DRNTG_31335.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4125805:4128036:-1 gene:DRNTG_31335 transcript:DRNTG_31335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALVRVQARARACRALRAEKAQSKSGGSARSHPGPPTPDKTEPAIRHDRAGNLKRNSSKTVSSDSTGQDKVHASGWNWLDRWMEERYWESREAIAKLDDDKSTKILEIDTGKQQLNTKRRPGNQLHSSCSTLASDQNSRSFTTMPASPSKDSTVAQQSIASPASVDMLSSLSPLRFHAMETGDFGDSPQFYSASSRPGSSRRGAFTPAKSECTRSLFSGYSDYPNYMANTESSKAKLRSHSAPKQRPEYDKSGSIKRLSLHQAFGQQPSLQNSISSQQRQSSLHAKFTNKAYPGSGRLDRLGMPLRT >DRNTG_31335.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4125867:4128074:-1 gene:DRNTG_31335 transcript:DRNTG_31335.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQALVRVQARARACRALRAEKAQSKSGGSARSHPGPPTPDKTEPAIRHDRAGNLKRNSSKTVSSDSTGQDKVHASGWNWLDRWMEERYWESREAIAKLDDDKSTKILEIDTGKQQLNTKRRPGNQLHSSCSTLASDQNSRSFTTMPASPSKDSTVAQQSIASPASVDMLSSLSPLRFHAMETGDFGDSPQFYSASSRPGSSRRGAFTPAKSECTRSLFSGYSDYPNYMANTESSKAKLRSHSAPKQRPEYDKSGSIKRLSLHQAFGQQPSLQNSISSQQRQSSLHAKFTNKAYPGSGRLDRLGMPLRT >DRNTG_21637.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:84599:89833:1 gene:DRNTG_21637 transcript:DRNTG_21637.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEMESMASAIGVSVAVLRFLLCFAATIPVSFVWRFVPGAGLRHLYAAISGAVLSYLSFGASSNLHFLVSMAFGYISMLLSRRYCGIITYITAFGYLIGCHVYYMSGDAWKEGGIDATGALMVLTLKVISCAINYSDGLLKEEGLHDAQKKNRLLRRPSIIEYVGYCLCCGSHFAGPVYEMKDYLECTEKQGIWTCSADRPLPSPYFATLRALIQAGICMGLYLYLVPHFPLSRFSEPVYYEWGFWQRLFYQYMSGFTARWKYYFIWSISEAAIIISGLGFSGWSNTSPPKPKWDRAKNVDILGVELATSAVQLPLMWNIQVSTWLRHYVYERLILNGRKPGFLQLLATQTVSAVWHGLYPGYIIFFVQSALLIAGSKVIYRWQQAVSPKNNFLRTILTLINFAYTLLVLNYSCIGFMVLSLHETIAAYKSVYFVGTIVPIVFFILGYIIKPAKPVRPKTRKTQ >DRNTG_34165.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17655876:17658412:1 gene:DRNTG_34165 transcript:DRNTG_34165.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTQLVFTFANQAGLDMPETTPVALQDITLDRIFYEAGRKAFCSDFAKLIMHHIFIFKTFITTQDFTS >DRNTG_20904.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25208916:25214280:1 gene:DRNTG_20904 transcript:DRNTG_20904.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDRFMYTTLKAVPNIESRVKFFRTKTTAIADILSISGFVWNNEHSTIECEKSAYDEYVKNHKEAVGLYGKSFPFFTDLAPVFTKDRAQGNARDDIGDDVDQYMHENISLDDNAGSLNFIWKMFSCPQMNIQSLRHL >DRNTG_24164.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1398001:1401856:1 gene:DRNTG_24164 transcript:DRNTG_24164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQARRLHRKHPKSIGDVREPNRAGTQLGLVLMVEGYRIPDGHGVFVHESLPNLVGLVQGRWSRYQVQPSSWFTKFSCHMDFAIPEHQARFELLLKIRIGQTQFSDMSIPREVQSAEDMADVIEGLLSMGSWRRLLSIREPVIRSAHFGE >DRNTG_07137.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9237688:9238145:1 gene:DRNTG_07137 transcript:DRNTG_07137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHVYSIFKCGVVDLVVETDDAPLSYPTENEFFSLNSDSASASVPPYDDPEGVARLPSSSDQSEVLSLDIRQRF >DRNTG_08673.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4800798:4804608:-1 gene:DRNTG_08673 transcript:DRNTG_08673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQKLVQEPLHMIFLWKQSPRVSKIYPLATSCFQIPLPDCKIARVQVAAERNWMLTA >DRNTG_11709.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28163973:28166181:1 gene:DRNTG_11709 transcript:DRNTG_11709.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLCKHVIKSTKVFRDKGLAAPSTSLFEFNQALTSILRHPPHDSLSRDHAIALVVCIQSQLNGLFDLEKGRTTSSTSVQAAANSELSSSDEPIDADTNLIHENHSVSENVCGMGEVG >DRNTG_34301.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002118.1:10419:11280:1 gene:DRNTG_34301 transcript:DRNTG_34301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGNFRTSVHRRDLATYPERTQGRGLAFVGELVKNAWVWVIFASPCETLQKSSLYQGQYARLGAIFSGIYIKKLVLGMGLLGTIRGVKKTTEDEGDDAEASHPALEHQPTPIETETPLVAEDPPHVGMLSPSQAHDHFERLESAVGVIRAKVTEILERDVASSFVLWPRTPQAPSSVTITTSTSTLQSSTHSSRGARAQHQHLIYFLSSYYFCIFIPDLYTQK >DRNTG_34591.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23118791:23123633:1 gene:DRNTG_34591 transcript:DRNTG_34591.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial pyruvate kinase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32440) UniProtKB/Swiss-Prot;Acc:Q93Z53] MAAMADTGGGLLTRIQPFERSGLALSQHVGSPIAVVGGGSRSLRFAGGVCVVTSVKLTAKSLKVNAQMENGPVVSENADPSYGLQSSVDKVALTSSARRKTKIVCTIGPSTNTREMIWKLAETGMNVARLNMSHGDHESHQKIINLVKEYNAVNKDNVIAIMLDTKGPEVRSGDVPQPIMLKEGQEFSFTIKRGVSSENTVSVNYDDFINDVEVGDILLVDGGMMSLAVRSKSSDSVKCEVIDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVENKVDFYAVSFVKDAKVVHELKNYLKSCDADIHVIVKIESADAVPNLHSIISASDGAMVARGDLGAELPIEEVPLLQEDIIRRCRSMEKPVIVATNMLESMIGHPTPTRAEVSDIAIAVREGADAIMLSGETAHGKYPLKAVKVMHTVALRTESTLLSNIIQPILTPLVQRAVQGRISAMFASHTTTIANTIRTPIIVFTRTGSMAILISHYRPSATIFALTDQERIKQRLALYHGVHPMYMQFSDDAEETFSRAIKCLVDGNFLKQGQYVTLVKSGIHSIWRQESTHDIQVRMV >DRNTG_34353.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002129.1:2247:5151:-1 gene:DRNTG_34353 transcript:DRNTG_34353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQGQRLTVVPTVTMLGVMKARLIGATRGHALLKKKSDALTVQFRQILKNIVTAKEQMGDIMRASSFALTEAKYAAGENIKHVVLESVKSASVRVRARQENVAGVKLPKFEHFTDSASDTKNDLTGLARGGQQIQSCRAAHIKAIEVLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRLENTISYIKGELDELEREDFFRLKKIQGYKKREIERQLQAAKQFAEEQFAEKISLQKGISLNAAHNLLGAEKDDDIIF >DRNTG_30457.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:328473:330981:-1 gene:DRNTG_30457 transcript:DRNTG_30457.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSKHMNHLLKSPFCVHPKTGRVCVPIDPAHCDEFDPTTVPTLSTLLEELNMRGDNSESLNELESTSLGQYITMFRSCFLEPLLKSCKEEMENAYNANLQQSRNSFSW >DRNTG_30457.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:329150:330711:-1 gene:DRNTG_30457 transcript:DRNTG_30457.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSKHMNHLLKSPFCVHPKTGRVCVPIDPAHCDEFDPTTVPTLSTLLEELNMRGDNSESLNELESTSLGQYITMFRSCFLEPLLKSCK >DRNTG_18228.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2733096:2738290:1 gene:DRNTG_18228 transcript:DRNTG_18228.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGGRESGRDNQNNSSKRSGSASSSGSGDAFGRSIAKIAVSQVCESSGFHGSRHSALDALADVLIRYIRDLGSAAHLYANLSGRTTCNVFDIIQGLEDLDLSRGFPGASDIHRCAVGSGVVREITQFASTSDENPFARPVPSFPISRQPKSVPSFAQAGETSIGKHIPDWLPSFPAQHTYIQTPVWRETTHEPNAEKIEEVRQRRKAESSLLSLQQRLASSAGAMPAPAFDRDVGKGKQVISGNPFLAPPLPFGEKQVSEVVVPDEAAEKKGLSILETFAPAIEAAKQGTFHSGVCGNKILPSKRPVVHFEFGVEKKSRAEQLGSGSSGDKTNFPLLRDDEKDDKKRRAEIILKEALEKPDGLTQL >DRNTG_18228.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2733096:2738290:1 gene:DRNTG_18228 transcript:DRNTG_18228.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGGRESGRDNQNNSSKRSGSASSSGSGDAFGRSIAKIAVSQVCESSGFHGSRHSALDALADVLIRYIRDLGSAAHLYANLSGRTTCNVFDIIQGLEDLDLSRGFPGASDIHRCAVGSGVVREITQFASTSDENPFARPVPSFPISRQPKSVPSFAQAGETSIGKHIPDWLPSFPAQHTYIQTPVWRETTHEPNAEKIEEVRQRRKAESSLLSLQQRLASSAGAMPAPAFDRDVGKGKQVISGNPFLAPPLPFGEKQVSEVVVPDEAAEKKGLSILETFAPAIEAAKQGTFHSGVCGNKILPSKRPVVHFEFGVEKKSRAEQLGSGSSGDKTNFPLLRDDEKDDKKRRAEIILKEALEKPDGLTQL >DRNTG_18228.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2733096:2738290:1 gene:DRNTG_18228 transcript:DRNTG_18228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGGRESGRDNQNNSSKRSGSASSSGSGDAFGRSIAKIAVSQVCESSGFHGSRHSALDALADVLIRYIRDLGSAAHLYANLSGRTTCNVFDIIQGLEDLDLSRGFPGASDIHRCAVGSGVVREITQFASTSDENPFARPVPSFPISRQPKSVPSFAQAGETSIGKHIPDWLPSFPAQHTYIQTPVWRETTHEPNAEKIEEVRQRRKAESSLLSLQQRLASSAGAMPAPAFDRDVGKGKQVISGNPFLAPPLPFGEKQVSEVVVPDEAAEKKGLSILETFAPAIEAAKQGTFHSGVCGNKILPSKRPVVHFEFGVEKKSRAEQLGSGSSGDKTNFPLLRDDEKDDKKRRAEIILKEALEKPDGLTQL >DRNTG_18228.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2733096:2738290:1 gene:DRNTG_18228 transcript:DRNTG_18228.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGGRESGRDNQNNSSKRSGSASSSGSGDAFGRSIAKIAVSQVCESSGFHGSRHSALDALADVLIRYIRDLGSAAHLYANLSGRTTCNVFDIIQGLEDLDLSRGFPGASDIHRCAVGSGVVREITQFASTSDENPFARPVPSFPISRQPKSVPSFAQAGETSIGKHIPDWLPSFPAQHTYIQTPVWRETTHEPNAEKIEEVRQRRKAESSLLSLQQRLASSAGAMPAPAFDRDVGKGKQVISGNPFLAPPLPFGEKQVSEVVVPDEAAEKKGLSILETFAPAIEAAKQGTFHSGVCGNKILPSKRPVVHFEFGVEKKSRAEQLGSGSSGDKTNFPLLRDDEKDDKKRRAEIILKEALEKPDGLTQL >DRNTG_18228.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2733096:2738290:1 gene:DRNTG_18228 transcript:DRNTG_18228.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGGRESGRDNQNNSSKRSGSASSSGSGDAFGRSIAKIAVSQVCESSGFHGSRHSALDALADVLIRYIRDLGSAAHLYANLSGRTTCNVFDIIQGLEDLDLSRGFPGASDIHRCAVGSGVVREITQFASTSDENPFARPVPSFPISRQPKSVPSFAQAGETSIGKHIPDWLPSFPAQHTYIQTPVWRETTHEPNAEKIEEVRQRRKAESSLLSLQQRLASSAGAMPAPAFDRDVGKGKQVISGNPFLAPPLPFGEKQVSEVVVPDEAAEKKGLSILETFAPAIEAAKQGTFHSGVCGNKILPSKRPVVHFEFGVEKKSRAEQLGSGSSGDKTNFPLLRDDEKDDKKRRAEIILKEALEKPDGLTQL >DRNTG_32345.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3378302:3381173:1 gene:DRNTG_32345 transcript:DRNTG_32345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRAFASLSLSLTLVLVVAPLSSMASNILYPGETLQPGQSLTVGNYSFTMGTDCDAVLSKNGNLIWESGTKYLDINCYLDVSNTGNLVIYRPSGTTLWQTDKNGAEGNYILVLQDDGKLVIYGPDLWTAGTSVLSSRGVFIETNPTTTTFAALPVNKAKKEAKALRISMRISSGLRPFSSPSSVAMDSLGSSSSLMAESGGKTRPRLGLEEEETGGDRYIDGDMLCFGEPKKSNGRKEDVEGERY >DRNTG_05815.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19029300:19030136:1 gene:DRNTG_05815 transcript:DRNTG_05815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQGFHVRSNSLPSRSHPISATAEEELNKLKACVMVSPKMIGKSLLNSLCVFYDCIEELLHLPSNQQVLSHSQEKRWVEEELDASLRLVELCGIIRDTLAATKEHAQELEMVLRRKRSMTNESKRQFHIQSDKKTRKSIKNCVKALKQMDGKDSDRSTVSKMFTEARDVTISLLQSVASSLSPSTTQKTNRWSFVSKALHKKKVTCEEFEDSNCGDFSFNSIYEFVSCKDIDGLRAMKAQERLAEMMISLERLEIELESLYRRLIRNRVSLLNLLSL >DRNTG_33717.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1204456:1210571:-1 gene:DRNTG_33717 transcript:DRNTG_33717.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGFAPASRQTGGDVWSSSNGSAGRLVVMVFGILGSPADWKFGA >DRNTG_33717.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1204456:1210571:-1 gene:DRNTG_33717 transcript:DRNTG_33717.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGFAPASRQTGGDVWSSSNGSAGRLVVMVFGILGSPADWKFGA >DRNTG_33717.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1207477:1210571:-1 gene:DRNTG_33717 transcript:DRNTG_33717.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGFAPASRQTGGDVWSSSNGSAGRLVVMVFGILGSPADWKFGA >DRNTG_33717.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1206385:1210571:-1 gene:DRNTG_33717 transcript:DRNTG_33717.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPTKFTAGKEHAWPIRKGNYGHLEPINSSSVSPITANERPIGEPINGPLRAQITAHEKHNTDPITAH >DRNTG_33717.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1207477:1210571:-1 gene:DRNTG_33717 transcript:DRNTG_33717.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGFAPASRQTGGDVWSSSNGSAGRLVVMVFGILGSPADWKFGA >DRNTG_33717.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1204456:1210571:-1 gene:DRNTG_33717 transcript:DRNTG_33717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGFAPASRQTGGDVWSSSNGSAGRLVVMVFGILGSPADWKFGA >DRNTG_33717.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1206385:1210571:-1 gene:DRNTG_33717 transcript:DRNTG_33717.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPTKFTAGKEHAWPIRKGNYGHLEPINSSSVSPITANERPIGEPINGPLRAQITAHEKHNTDPITAH >DRNTG_33717.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1207477:1210571:-1 gene:DRNTG_33717 transcript:DRNTG_33717.8 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGFAPASRQTGGDVWSSSNGSAGRLVVMVFGILGSPADWKFGA >DRNTG_26964.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30230946:30232590:-1 gene:DRNTG_26964 transcript:DRNTG_26964.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMFHLKLLLLILLFHATESAFPDTYQWISIDCGAESYTLDTETLINWEPDNEYIQTGMNKNVTQTYTNITMQMQSLRYFPQSTNTPNCYFFITDDIEKYLVRASFYYGNYDGLMKPPTFDVSVNGFKWMTVVTSSSQDKPIVIEAIFSLGHDSMQVCMESTKNGDVPFISSLEVVQLPFTTYSMIDLQSAFLLQQRATFGRREDVEYTGDFTGDMFNRIWKAEGFPNYNNISSSPQFMEVYVENEPPNTVVENAIEASSLFGPIILSFNPSQTNQSLCAILYFIEVSNLRQMNDSREFQIDIGTTKNASAVNLQLGNSVVVTLYHEWVDGPVNITMKAVQGSSLPPLINAMEVYSTMKMQSPPSSDASRVLLSFTYFVLCFLCILI >DRNTG_35256.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3397421:3411045:-1 gene:DRNTG_35256 transcript:DRNTG_35256.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTENANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALMDARKLIEKEMERFKVCEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGDLESQIDNFEAEVEGLSVKKGKTRPPRLTHLETSISRHKAHVMKLELILRLLDNDELSTDQVNDLKEFLEDYVERNQEDFHEFDDVDEFYSSLPLDKVEALEDLVTLGPSSLVKGVGAVSTSTAVGLKNSIATSSTQLPDQGEETSSQDGTDIAPRTPPTKTGGAGSSISAVSPISVSGIPVGPNLTATATVSTRPSVSGLTAATILSAPVTVRAVTENPATNVPSSVAIANTGKEEDTINFPGRKPSPAIPEALPRGINRAVSSQPSINSAMSLGSAGGISSAGTVGLVPPAADVAKRNILSVDDRIASGGLSQSMGSPLNNRMMLPQVPKTSDGTASTDSNNVSEGAVLGGRVFGVQWRPQTGNSFPSQNEMGQFRGRPEIAPDQREKFLQKLQQVQQQGHSNLLGAPILPGASHKQFTAQQQNSLLQQFNSQSPLSPQMGLGLGVQSSGLSTVVSSPQQQQSPIHQQSTQHPLISSVSKDAVEEQQQLQSFPDDMTTESGSSSALNKIINDDDLKTPFMATGSTSIMEGNRLPRDTDLSPGQPVQPGQPSASLGVIGRRSAPDLGAIGDNLSGSVGNFGGMHDQIYNMQMLEAAYGKLPQPRDSERVKSYIPKHPADTPASYPQVQAPIMDNPAFWERLGVEPYGTDTLFFAFYYQQNTYQQFLAARELKRQSWRFHRKYNTWFQRHEEPKVTNDEFERGTYVYFDFHIPEDTTTQGWCQRIKTEFTFEYNYLEDELVVV >DRNTG_35256.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3397421:3411045:-1 gene:DRNTG_35256 transcript:DRNTG_35256.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLELILRLLDNDELSTDQVNDLKEFLEDYVERNQEDFHEFDDVDEFYSSLPLDKVEALEDLVTLGPSSLVKGVGAVSTSTAVGLKNSIATSSTQLPSSLSSASQQSTSSQDQGEETSSQDGTDIAPRTPPTKTGGAGSSISAVSPISVSGIPVGPNLTATATVSTRPSVSGLTAATILSAPVTVRAVTENPATNVPSSVAIANTGKEEDTINFPGRKPSPAIPEALPRGINRAVSSQPSINSAMSLGSAGGISSAGTVGLVPPAADVAKRNILSVDDRIASGGLSQSMGSPLNNRMMLPQVPKTSDGTASTDSNNVSEGAVLGGRVFGVQWRPQTGNSFPSQNEMGQFRGRPEIAPDQREKFLQKLQQVQQQGHSNLLGAPILPGASHKQFTAQQQNSLLQQFNSQSPLSPQMGLGLGVQSSGLSTVVSSPQQQQSPIHQQSTQHPLISSVSKDAVEEQQQLQSFPDDMTTESGSSSALNKIINDDDLKTPFMATGSTSIMEGNRLPRDTDLSPGQPVQPGQPSASLGVIGRRSAPDLGAIGDNLSGSVGNFGGMHDQIYNMQMLEAAYGKLPQPRDSERVKSYIPKHPADTPASYPQVQAPIMDNPAFWERLGVEPYGTDTLFFAFYYQQNTYQQFLAARELKRQSWRFHRKYNTWFQRHEEPKVTNDEFERGTYVYFDFHIPEDTTTQGWCQRIKTEFTFEYNYLEDELVVV >DRNTG_35256.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3397421:3411045:-1 gene:DRNTG_35256 transcript:DRNTG_35256.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTENANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALMDARKLIEKEMERFKVCEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGDLESQIDNFEAEVEGLSVKKGKTRPPRLTHLETSISRHKAHVMKLELILRLLDNDELSTDQVNDLKEFLEDYVERNQEDFHEFDDVDEFYSSLPLDKVEALEDLVTLGPSSLVKGVGAVSTSTAVGLKNSIATSSTQLPSSLSSASQQSTSSQDQGEETSSQDGTDIAPRTPPTKTGGAGSSISAVSPISVSGIPVGPNLTATATVSTRPSVSGLTAATILSAPVTVRAVTENPATNVPSSVAIANTGKEEDTINFPGRKPSPAIPEALPRGINRAVSSQPSINSAMSLGSAGGISSAGTVGLVPPAADVAKRNILSVDDRIASGGLSQSMGSPLNNRMMLPQVPKTSDGTASTDSNNVSEGAVLGGRVFGVQWRPQTGNSFPSQNEMGQFRGRPEIAPDQREKFLQKLQQVQQQGHSNLLGAPILPGASHKQFTAQQQNSLLQQFNSQSPLSPQMGLGLGVQSSGLSTVVSSPQQQQSPIHQQSTQHPLISSVSKDAVEEQQQLQSFPDDMTTESGSSSALNKIINDDDLKTPFMATGSTSIMEGNRLPRDTDLSPGQPVQPGQPSASLGVIGRRSAPDLGAIGDNLSGSVGNFGGMHDQIYNMQMLEAAYGKLPQPRDSERVKSYIPKHPADTPASYPQVQAPIMDNPAFWERLGVEPYGTDTLFFAFYYQQNTYQQFLAARELKRQSWRFHRKYNTWFQRHEEPKVTNDEFERGTYVYFDFHIPEDTTTQGWCQRIKTEFTFEYNYLEDELVVV >DRNTG_35256.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3397421:3411045:-1 gene:DRNTG_35256 transcript:DRNTG_35256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTENANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKALMDARKLIEKEMERFKVCEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGDLESQIDNFEAEVEGLSVKKGKTRPPRLTHLETSISRHKAHVMKLELILRLLDNDELSTDQVNDLKEFLEDYVERNQEDFHEFDDVDEFYSSLPLDKVEALEDLVTLGPSSLVKGVGAVSTSTAVGLKNSIATSSTQLPSSLSSASQQSTSSQDQGEETSSQDGTDIAPRTPPTKTGGAGSSISAVSPISVSGIPVGPNLTATATVSTRPSVSGLTAATILSAPVTVRAVTENPATNVPSSVAIANTGKEEDTINFPGRKPSPAIPEALPRGINRAVSSQPSINSAMSLGSAGGISSAGTVGLVPPAADVAKRNILSVDDRIASGGLSQSMGSPLNNRMMLPQVPKTSDGTASTDSNNVSEGAVLGGRVFGVQWRPQTGNSFPSQNEMGQFRGRPEIAPDQREKFLQKLQQVQQQGHSNLLGAPILPGASHKQFTAQQQNSLLQQFNSQSPLSPQMGLGLGVQSSGLSTVVSSPQQQQSPIHQQSTQHPLISSVSKDAVEEQQQLQSFPDDMTTESGSSSALNKIINDDDLKTPFMATGSTSIMEGNRLPRDTDLSPGQPVQPGQPSASLGVIGRRSAPDLGAIGDNLSGSVGNFGGMHDQIYNMQMLEAAYGKLPQPRDSERVKSYIPKHPADTPASYPQVQAPIMDNPAFWERLGVEPYGTDTLFFAFYYQQNTYQQFLAARELKRQSWRFHRKYNTWFQRHEEPKVTNDEFERGTYVYFDFHIPEDTTTQGWCQRIKTEFTFEYNYLEDELVVV >DRNTG_12724.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000563.1:54931:61909:1 gene:DRNTG_12724 transcript:DRNTG_12724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETWLNGFNDVLGDAADSNAIGMVGREDPTLDALFELLKLDLAELSLSGRREEDQAGEFTDRDGLKIAVIDDASAAKDDTKKDNEGVEEDGMVVVVPFLELESIEVVEPAA >DRNTG_27561.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3102771:3105838:1 gene:DRNTG_27561 transcript:DRNTG_27561.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGENGEFRGWEELIPDALGLIFRNLPLQEILTVIPRVCKSWGRAVAGPYCWQEINIEEWSQRCKSDQLDRMLQMLISRSCGSFRKLSVSGLSNESIFSLIANHAGSLRSLELPRSEISDPIVEQVAGRLCNLTFLDLSYCKRIGSRALEAFGKQCKSLIGLRRAMHPLEVADKVCQDDEAYAIACTMPKLRHLEMAYLLLTTRGVLEILTRCTNLEFLDVRGCWEINIDEKLLREKFTGLKVLGPNIVDCFERNFWSDCSDYSDSSDYSWDFMDDGVGVYEGESDDDGVWDDEHGLQGLEVRFYGGGFNEAYSAFDWPPSP >DRNTG_27561.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3102771:3105838:1 gene:DRNTG_27561 transcript:DRNTG_27561.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENGEFRGWEELIPDALGLIFRNLPLQEILTVIPRVCKSWGRAVAGPYCWQEINIEEWSQRCKSDQLDRMLQMLISRSCGSFRKLSVSGLSNESIFSLIANHAGSLRSLELPRSEISDPIVEQVAGRLCNLTFLDLSYCKRIGSRALEAFGKQCKSLIGLRRAMHPLEVADKVCQDDEAYAIACTMPKLRHLEMAYLLLTTRGVLEILTRCTNLEFLDVRGCWEINIDEKLLREKFTGLKVLGPNIVDCFERNFWSDCSDYSDSSDYSWDFMDDGVGVYEGESDDDGVWDDEHGLQGLEVRFYGGGFNEAYSAFDWPPSP >DRNTG_26302.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21311450:21314536:-1 gene:DRNTG_26302 transcript:DRNTG_26302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFRLFFLFMLVVAQQVLCWILGMVSATQFQSMKDTHFHMQYFVWTWQELETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVNIRKDLYGNIVLSGGSTMFPGIADRMSKEITTLAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPSIVHRKCF >DRNTG_26302.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21311450:21313620:-1 gene:DRNTG_26302 transcript:DRNTG_26302.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFRLFFLFMLVVAQQVLCWILGMVSATQFQSMKDTHFHMQYFVWTWQELETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVNIRKDLYGNIVLSGGSTMFPGIADRMSKEITTLAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPSIVHRKCF >DRNTG_09539.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3594673:3595620:1 gene:DRNTG_09539 transcript:DRNTG_09539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHGPSLLGSSYKDPITSFNLFQKFTVEHPEIYWSIAIKEISVLFREGPKCILDTSDKSREGGIWFPGSVLNIAECCLLPMNFPKENQ >DRNTG_15583.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1552046:1556218:-1 gene:DRNTG_15583 transcript:DRNTG_15583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHPSSPCSSPRQRLRKGSGGPPSPRRLMVAIVSAALRRKGVLLIVPMIYAVATVGLLGLWDLESLRVGVAVFRRSPPGAVYRSPQVFKKLWPSIFADVNHSNALMTAWHSNSSQRWNHCISKNNPETELPKSNGFLIIEANGGLNQQRLSICDAVAVAGLLNATLVIPMFHLNSVWRDSSKFGDIFDEEYFIKTLRNHVRVVKELPEDILQRFDNNTSNIINMRAKALSSKTYYLRKVLPKLLELGAVRIAPFSNRLALSVPSNVQRLRCLANYEALQFSEPIRGLAENMVNRMVKNSSHSGGKYVSIHLRFEEDMVAFSCCTYDGGMEEKHEMDKARERSWRGKFRRRGRIISPEANRIGGKCPLTPLEVGMMLRGMGFGNSTPIYVASGKIYNAEKYMAPLRQLFPLLETKETIASADELAPFKGHSSQLAALDYTVCLHSEVFVTTQGGNFPHFLTGHRRYPQRRTFQDNKNPTSGV >DRNTG_31298.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1195401:1196525:-1 gene:DRNTG_31298 transcript:DRNTG_31298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMKSGDEFLSRRCMWVNGPVIVGAGPSGLAVGALLKQQGVPFIILERADCIASLWQKRTYDRLKLHLPKQFCELPGLSFPANYPEYPSKKQFIVYLENYAKSFGISPRFNEAVNEAEYDQTCGLWRVKTSKAEYICRRVVVATGENAEKLIPIIQGMDELARPVIHVSDYKSGEEFRHKKVLVVGCGNSGIEVSLDLCDHNAIPSLVVRDSVHVLPRDIMGKSIFGIAMFLMKWLPVWIVDKILLLLSWFVIGNIAKFGLKRPLAGPLELKNSKGKTPVLDIGALNKIKSGEIKVVPGIKRFSEGKVELVNGLVLDVDAVILAT >DRNTG_33288.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23813644:23815070:-1 gene:DRNTG_33288 transcript:DRNTG_33288.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELHSPSAGKRIWNVLRVAFYMMRKGLISKRKLIMDMNLMVKRGKLVGKKTLGNLMFHHHHSRRRGSGYGFQDYEFSCSNSPNPVFFHAAAKRRHNYFPCIHAIAEDESPSPSPVIVLPRIEFSPQTSHDGLSELDLSPGDLRSSPLVSPLSIRVSDFSDDDGGDNSVLEVDDEAEEFIKRFYEQLKAQSRIALLEYQEREYQNMLVRGAY >DRNTG_33288.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23810343:23815070:-1 gene:DRNTG_33288 transcript:DRNTG_33288.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELHSPSAGKRIWNVLRVAFYMMRKGLISKRKLIMDMNLMVKRGKLVGKKTLGNLMFHHHHSRRRGSGYGFQDYEFSCSNSPNPVFFHAAAKRRHNYFPCIHAIAEDESPSPSPVIVLPRIEFSPQTSHDGLSELDLSPGDLRSSPLVSPLSIRVSDFSDDDGGDNSVLEVDDEAEEFIKRFYEQLKAQSRIALLEYQEREYQNMLVRGAY >DRNTG_33288.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23810343:23815070:-1 gene:DRNTG_33288 transcript:DRNTG_33288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHSPSAGKRIWNVLRVAFYMMRKGLISKRKLIMDMNLMVKRGKLVGKKTLGNLMFHHHHSRRRGSGYGFQDYEFSCSNSPNPVFFHAAAKRRHNYFPCIHAIAEDESPSPSPVIVLPRIEFSPQTSHDGLSELDLSPGDLRSSPLVSPLSIRVSDFSDDDGGDNSVLEVDDEAEEFIKRFYEQLKAQSRIALLEYQEREYQNMLVRGAY >DRNTG_08960.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000392.1:22018:23540:1 gene:DRNTG_08960 transcript:DRNTG_08960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIHIFFLYSSLENSPLTIIYNGKVLVFDNIPAAKAKDLLQMANKGSIAAQTNIPAASAVQNTPNPVR >DRNTG_08960.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000392.1:22018:23540:1 gene:DRNTG_08960 transcript:DRNTG_08960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRQEKSSSFSVTCNLLSQYLKEKRTFGDFMAPLVPKESFKPPVTMSLLPGVVVEEEEEEVVETKEEEISEQNATKAMDLFPKLSSSQDPVANKSSLENSPLTIIYNGKVLVFDNIPAAKAKDLLQMANKGSIAAQTNIPAASAVQNTPNPVR >DRNTG_08960.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000392.1:22018:23540:1 gene:DRNTG_08960 transcript:DRNTG_08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRQEKSSSFSVTCNLLSQYLKEKRTFGDFMAPLVPKESFKPPVTMSLLPGVVVEEEEEEVVETKEEEISEQNATKAMDLFPKLSSSQDPVANKSSLENSPLTIIYNGKVLVFDNIPAAKAKDLLQMANKGSIAAQTNIPAASAVQNTPNPVR >DRNTG_09914.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1636046:1640414:-1 gene:DRNTG_09914 transcript:DRNTG_09914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLKNPAPSRRCGDKFLDSRPLVAVVGDGSFSGSCNSIDALGSPCNGSMDSHLELGNENLGQTFVCFYSFRTHEYAHLLKFQSAIYTIRCSPLIVAVSLASQIYCFHSATLEREYIVCTHPIVSSISYGPLALGSRWLAYCGDPVAVSSIVRAIPHQMTLVTGLPTSLPNGNQMANFAKESTRQLAAGIMTLGDKGYKKLSKYYTELLPDGNISLKHGNSALKTNGLINRQLPAGENIGTVIVRDVVSKSVIVQFRAHESPISALCFDPTGTLLVTASIRGHNINVFHIIVPCPSGRSFGSDPVGTCTHLYRLQRGITNAIIQCISFSNDNKWIMVNSSRGTGHLFAIPHYANLHLNDMKDTANSYRSDLIYKVSVDCPNSSCSSRVDQQGLRPSGFPVTLSAVSRLRNGVNDWKNAVTGAAAAATGRVAPLSGPMASIFHNRKSFGHYTHINELKTEYYLLVFSPVGSIIQYALHELSREDSGLDLSGISTASLVSVQESNKKFVVEASLKWDICHARNGRNEGDDFYAYCEQVNGKNTCPFQTGLGKGTSPAGSFPDMKAKLGTERNHRSYISEAEFLTHSASQPLWAKSEPKVCFEVMIDENLSPDGDSCDGIKIEKISTHRIEFRSKVLVPFFHGHQMPQFPQSRSHASDNDTSASLLSNESSLSEEMKPSLRSFYSSLNWVQETAAVTELPGGFQQNCVGNFQLPAETNENNVNNTIHQLEYSNNHEGMKQEAKTEAVLQRTNTMDSVTMEFHFDNYNDNE >DRNTG_23476.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2833348:2835930:-1 gene:DRNTG_23476 transcript:DRNTG_23476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEASKRIAVVTGANKGIGLEIVKQLASNGIMVLLTARDVKRGTEAVEKLKDSGFSDVVFHQLDVSDTASISTLADFIKTQFGKLDILVNNAAVSGISVDLHSLDSSTKESIEQGGTLGFNKLLAASVEEFEKAEECLNINYYGAKKVIDALMPLLQLSLSPRIVNVSSLGGKLQCIPGESIRREFGDADGLSEEKLDELLRHFLSDFKAKNLKENGWPTSISAYIISKVALNALTRILAKKYPKFCINCVHPGFVKTEINFNTGTLSAEEGAKGPVMLALLPDGGPSGFYYDLTSVSTYE >DRNTG_23476.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2833502:2836021:-1 gene:DRNTG_23476 transcript:DRNTG_23476.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEASKRIAVVTGANKGIGLEIVKQLASNGIMVLLTARDVKRGTEAVEKLKDSGFSDVVFHQLDVSDTASISTLADFIKTQFGKLDILVNNAAVSGISVDLHSLDSSTKESIEQGGTLGFNKLLAASVEEFEKAEECLNINYYGAKKVIDALMPLLQLSLSPRIVNVSSLGGKLQCIPGESIRREFGDADGLSEEKLDELLRHFLSDFKAKNLKENGWPTSISAYIISKVALNALTRILAKKYPKFCINCVHPGFVKTEINFNTGTLSAEEGAKGPVMLALLPDGGPSGFYYDLTSVSTYE >DRNTG_23476.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2833502:2835930:-1 gene:DRNTG_23476 transcript:DRNTG_23476.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEASKRIAVVTGANKGIGLEIVKQLASNGIMVLLTARDVKRGTEAVEKLKDSGFSDVVFHQLDVSDTASISTLADFIKTQFGKLDILVNNAAVSGISVDLHSLDSSTKESIEQGGTLGFNKLLAASVEEFEKAEECLNINYYGAKKVIDALMPLLQLSLSPRIVNVSSLGGKLQCIPGESIRREFGDADGLSEEKLDELLRHFLSDFKAKNLKENGWPTSISAYIISKVALNALTRILAKKYPKFCINCVHPGFVKTEINFNTGTLSAEEGAKGPVMLALLPDGGPSGFYYDLTSVSTYE >DRNTG_21625.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13792597:13793963:1 gene:DRNTG_21625 transcript:DRNTG_21625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFLDHPTPSPVIHQATPQPQVSSI >DRNTG_32568.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10920428:10921158:1 gene:DRNTG_32568 transcript:DRNTG_32568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSTSPCGESTGACRFLISALFKANFSPDIDILFSIVSPTFKRVAARVLRGRTRGPLEEMRPLHKTIDMTTEGARFERLSTLTFGQSCIVDLSVLREIRHSYELADDIDEMLAVGSWRKIVGDPKASFLCDDARDVGIFRV >DRNTG_22066.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20371974:20379737:-1 gene:DRNTG_22066 transcript:DRNTG_22066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIWFLFACIWVSNLSFINGDTDSTDTSVLNVLYTSLNSPSQLTGWTSNGGDPCGQSWKGVTCSDSSVTKINLSGLGLTGTLAYNINSLNSLVELDLSNNNIGGGGQIPYNLPPNLQRLNLAGNQFGQSPPYFISQMASLEYLNLARNQLQGSLNVDFSQLSKLSTIDLSSNSMSGSLPQSMSSLSSLSTLYLQNNKFSGQIDVLANLPLKDLNVANNNFSGWIPDQLKKINNLQTGGNSWNSGPAPPPPPFTPPPGRRVSPGNSPNDNGGSGGNGGGKSSGIGGGGVAGIIISVLVIGAIVAFFLVRRRRRRRTSSTQENLEQDQPFTPLASNEVKEMKSIQASSMINTNVVPPSASISLKPPPRHHSFDEDDFSMKPVVKKANTAPIKATVYSVADLQIATNSFSIDNLVGEGSLGRVYRAQFSDGKVLAVKKINASALPTQSLDDFMELISNISRLHHPNLTELVGYCSEHGQHLLVYEFHKNGSLHEFLHTSDEYDHPLSWNTRVKIALGTARALEYLHEVCSPSVVHKNFKSANILLDTELNPHLSDCGLASLIPNPEYQAADSNMGSGYSAPEVAMSGQYTLKSDVYSFGVVMLELMTGREPFDSTRARSEQSLVRWATPQLHDIDALDKMVDPALKGLYPAKSLSRFADVIALCVQSEPEFRPPMSEVVQALVRLVQRANMSKRMVAGEGQTPRRADDPDFQDYMV >DRNTG_33706.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1141287:1144715:1 gene:DRNTG_33706 transcript:DRNTG_33706.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITENTKRRIAFVLIDGLGDVSIPRFQYKTALEVANTPNLDAIAFAGVNGLMDPVEAGLGCGSDTAHLSLLGYDPRIYYRGRGAFESMGAGLAMAPGDIAFKSNFATLDESSGIVTSRRADRHFEEEGPILCAALDGMKLPSFPEYEVRVRYATEHRCGVVVKGPKLSGNISGTDPLKDNRLLLEAKPLDDTEEAKNTASVVNELSKEISRILVAHPLNAKRATEGKNIANVVLLRGCGIRIEVPAFEKQHGMSSCMVAPTKIIAGLGLSLGIDILEAPGATGDYRTLLTSKSTAIATALSAPLQPPPCVFVPGEDEHKPGQPDGYDFGFLHIKAIDDAGHDKATVLKVRCLEAVDKAISQLARLLWQAEKSGKFQYYLCITGDHSTPVEYGDHSFEPVPFALCQLKDFVGVVGEAKVLEASLDAFILPSVDAGEDLIEDIVFPGKVVESSKTPCGDSVCIFSEIAAAKGCLGRFPGSEMMGIIKKFMKLKND >DRNTG_25035.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8754587:8755957:-1 gene:DRNTG_25035 transcript:DRNTG_25035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLYLLLLFIAFAVAAAEGGATVCNPADRAALMSIRDALTEPYLGIFASWTGDNCCNRWYGVSCDPTTGRVADLSLRGESEDPLLTRSGRSGLMSGRISPSVCLLTHLSTLIFADWKQISGPIPSCIPSSLPSLRVLDLVGNRLSGPIPSDIGHLSRLTVLNVADNQISGQIPSSLPSLSSLMHLDLSANKISGSIPQNFGNLRMLSRALLSRNTLSGSIPSSIGSMPRLADLDLSNNQLSGPIPSTLGSVPVLSSLYLDVNHLSGPIPAAMLSSHGLGILNLSRNAISGEIPDVFGDRSYFTALDLSFNDLKGHVPRTLSSAAYVGHLDLSHNHLCGPIPNGSPFDHLEATSFAANDCLCGSPLPACH >DRNTG_14752.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:205573:210630:1 gene:DRNTG_14752 transcript:DRNTG_14752.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDVASGQSHSQLLKEIESWKAELLTKRIDLVEKTCEQRIELLLQNQLEEVTEFCRYREAERVKLQKAHDMDLDRMQALRIDTYVKINKMNLLHEKFLVKLDAFDHHMKGQRRKLIVKQLDARAKEGRLRDRWLEDVKAGRLSETFDELALSGTGFCLERFKYGESDGVGDECPGSKLRDSGLSLGKQSADKVSTGSAERADICGSYTKASINSTNESAAEAPTEPGKFVSHSCSLGAAETIVQPIKTAEVLEIGTNTTKCDASHQTETLLSSFVVIDAAVHKRDAFISNSEAVTEAGIAVEAKSSHSELGGVSNLLNEFDAIPSRLVTPPPVDKPDEMGTSLLSSCVRNKADSKHDSVVFSSQGTDGVCIPIVNNILQLDGINAASDEVEEIQPKLMAKARVDNPLETQTPSSPIIRSSAVNELDIITNAEANSGVGMVLDNVTLSSSSNKEKVVSCDYEPTPAKPGAASGVGSPVECESPSLSTVRDATVNGVGASVTNLELTNIVGIPREEKILHLSDATNVAADKPNAVNIELGPAAEFDGELDNVVTDLEAANRVRVPLVTKSLHPSDATNAAANVPNETIDSILEPTARMETTFGAEMPPASDAMFAAAGKFDAIYTSSAGRQLNNPQYQCVYSDSAFLTSLPLQSQIEVPSSSQVWPSFALENPMASNQALDASNQECGINNRPVVDQELSPLPSMFPALRSIISEPSQAPQINHNLVGSGCFQLNHPTPNETEHGDNQTSATEQGPYQIGFSNPDISEPNIASLSQFEPSNQPFSQAPVQPMSSPANIPREGMQSQDVGSISSVSGNLLNELQAQLRMHPQCLQSNPFDNELTKISKLNEVLSQMHEDKKQKIKLECEKDLESVKRKYEALIQEAEQNFVQGKKLFQTISERVNNNIILAAQFRDKFYESKGRTPAASCGATMTQQIPQVWQQPAILRPSSPLTSAPAPARAGLPSMPAGSPQLAMRHQDAAFPHQMFQYTHPIFPGNSDRCNFSMALPSQPQPPSRQIGRDIRLPAPHLQSSRYRPSLLTPSQTSGLQTGSSMGRESTCTGMGLGDNNIL >DRNTG_14752.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:204777:210630:1 gene:DRNTG_14752 transcript:DRNTG_14752.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNSSNQAIIMEPDVASGQSHSQLLKEIESWKAELLTKRIDLVEKTCEQRIELLLQNQLEEVTEFCRYREAERVKLQKAHDMDLDRMQALRIDTYVKINKMNLLHEKFLVKLDAFDHHMKGQRRKLIVKQLDARAKEGRLRDRWLEDVKAGRLSETFDELALSGTGFCLERFKYGESDGVGDECPGSKLRDSGLSLGKQSADKVSTGSAERADICGSYTKASINSTNESAAEAPTEPGKFVSHSCSLGAAETIVQPIKTAEVLEIGTNTTKCDASHQTETLLSSFVVIDAAVHKRDAFISNSEAVTEAGIAVEAKSSHSELGGVSNLLNEFDAIPSRLVTPPPVDKPDEMGTSLLSSCVRNKADSKHDSVVFSSQGTDGVCIPIVNNILQLDGINAASDEVEEIQPKLMAKARVDNPLETQTPSSPIIRSSAVNELDIITNAEANSGVGMVLDNVTLSSSSNKEKVVSCDYEPTPAKPGAASGVGSPVECESPSLSTVRDATVNGVGASVTNLELTNIVGIPREEKILHLSDATNVAADKPNAVNIELGPAAEFDGELDNVVTDLEAANRVRVPLVTKSLHPSDATNAAANVPNETIDSILEPTARMETTFGAEMPPASDAMFAAAGKFDAIYTSSAGRQLNNPQYQCVYSDSAFLTSLPLQSQIEVPSSSQVWPSFALENPMASNQALDASNQECGINNRPVVDQELSPLPSMFPALRSIISEPSQAPQINHNLVGSGCFQLNHPTPNETEHGDNQTSATEQGPYQIGFSNPDISEPNIASLSQFEPSNQPFSQAPVQPMSSPANIPREGMQSQDVGSISSVSGNLLNELQAQLRMHPQCLQSNPFDNELTKISKLNEVLSQMHEDKKQKIKLECEKDLESVKRKYEALIQEAEQNFVQGKKLFQTISERVNNNIILAAQFRDKFYESKGRTPAASCGATMTQQIPQVWQQPAILRPSSPLTSAPAPARAGLPSMPAGSPQLAMRHQDAAFPHQMFQYTHPIFPGNSDRCNFSMALPSQPQPPSRQIGRDIRLPAPHLQSSRYRPSLLTPSQTSGLQTGSSMGRESTCTGMGLGDNNIL >DRNTG_14752.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:205573:210630:1 gene:DRNTG_14752 transcript:DRNTG_14752.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFPALRSIISEPSQAPQINHNLVGSGCFQLNHPTPNETEHGDNQTSATEQGPYQIGFSNPDISEPNIASLSQFEPSNQPFSQAPVQPMSSPANIPREGMQSQDVGSISSVSGNLLNELQAQLRMHPQCLQSNPFDNELTKISKLNEVLSQMHEDKKQKIKLECEKDLESVKRKYEALIQEAEQNFVQGKKLFQTISERVNNNIILAAQFRDKFYESKGRTPAASCGIHLSIIPCLSV >DRNTG_14752.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:205047:210630:1 gene:DRNTG_14752 transcript:DRNTG_14752.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFPALRSIISEPSQAPQINHNLVGSGCFQLNHPTPNETEHGDNQTSATEQGPYQIGFSNPDISEPNIASLSQFEPSNQPFSQAPVQPMSSPANIPREGMQSQDVGSISSVSGNLLNELQAQLRMHPQCLQSNPFDNELTKISKLNEVLSQMHEDKVFLSDPCIEASLSSHFQSLCTCLISMLCLTETENQIGM >DRNTG_14752.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:204777:210630:1 gene:DRNTG_14752 transcript:DRNTG_14752.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPALRSIISEPSQAPQINHNLVGSGCFQLNHPTPNETEHGDNQTSATEQGPYQIGFSNPDISEPNIASLSQFEPSNQPFSQAPVQPMSSPANIPREGMQSQDVGSISSVSGNLLNELQAQLRMHPQCLQSNPFDNELTKISKLNEVLSQMHEDKVFLSDPCIEASLSSHFQSLCTCLISMLCLTETENQIGM >DRNTG_34760.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:8380065:8380956:-1 gene:DRNTG_34760 transcript:DRNTG_34760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANRSWMYTRLKDGLLNPNFLRGINEFIDFAKMHPECMDGIKIKCPCNHRKCQNRAFHMEDTVRYHLMKYGFVPYYYLWVLHGEPSMFDSSTHGQDVGNESVLDVEPLSQNLCQQMIIDVTGPDFSHINTEEPPNAAAQKLFEILRASNQEVWIGCENHLQLSAIARMLNIKAEHHLIEGCFDYNVMPDNFYNTKKLVQGLDLPVEKIHCCHNGYMIYLGEDSELMSCKLCK >DRNTG_14875.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2882712:2885130:-1 gene:DRNTG_14875 transcript:DRNTG_14875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHMKPDSNSPLSFPLTLKFEEVVYKVRVGEEGWLSSCYGGGQKNVNEKSILNGISGVVSPGEMLAMLGPSGSGKTTLLTALGGRLTGKLSGKITYNGQPFSGSVKRRTGFVTQHDVLYPHLTVTETLVFTALLRLPGSLTKAEKVSQARSVMEQLGLDRVAQSMIGGPLFRGLSGGERKRVSIGLEMLVNPSLLLVDEPTSGLDSTTALRIMRILRGLAVDGGRTVVVTIHQPSNRIYNMFDKLVVLSEGSPIYYGQGSLVLDYFSSIGFSFPVSVNPAELLLDLANGIPPDSEQPVENGVELECRKSVKEKLISAYNKNISNKLKEELLSINDNRNNSNSSVLSNAEKKKKKRRKEEWCTNWWEQFTVLLRRGLKERRYDSFNNLRIFQVISVASLGGLLWWHTPQSHIQDRVSLLFFFSVFWGFYPLYNAVFTFPQERSMLIKERSSGMYRLSSYFLARTAGDLPMELGLPTAFVVIIYWMGGLKADPATFVLSLIVVLYSVLVAQSLGLAVGAILMDVKQATTLASVTTLIFLVAGGYYVQQIPSFIVWLKYFELQLLLLQAPSQCPV >DRNTG_10716.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5158715:5159299:-1 gene:DRNTG_10716 transcript:DRNTG_10716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEIPEYLKWRNEGPKFLDMMEICFKDVVVTSYMALIPYAEPSSENEVSNDNVYAQMNDVDIEVDNFDDDGDSPQQYNDAALEETSTTQDRKRQKNHKEKRKKVQMRNYMNHLTSYSLGWITYQAPLM >DRNTG_06533.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29518746:29519232:1 gene:DRNTG_06533 transcript:DRNTG_06533.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPEPITRRKGRKTLLRRREIGEDERGFTNGRVKKTGVTMKCSICGAPGHNKRHHQRPQGEPSSEAGQHNPMDDIDPHILEEHFALVDAMYGPSQSAATTQTQPSVDPSS >DRNTG_26869.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2113258:2115044:-1 gene:DRNTG_26869 transcript:DRNTG_26869.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITEEEITNMNRENNRLIDLLRGSREENSRLRDILKQAVNEATIVKEALEIVRKENSQMKDTLCDKENALRSIKQEYECLKVSEAAATQSVKELQSLLTATSGIDSKKKAIDTFSEPKPVIGEVKSNKTMSKFPSEHMSRANPPGTLSRRYSIGESGRFEGSIFDMVWSPEHNRERNRKSQSFSGLTELRAPPSSIPSGSSLIDKARLDSIGHEENSPFKLRKETATFPKIW >DRNTG_29357.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1569440:1571997:-1 gene:DRNTG_29357 transcript:DRNTG_29357.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKAQLNSLQCGNKLVASGYVLYSSATILCISFGSGTHAFTLDHQTGEFVLTHPCIQIPRRGQIYSVNDARYFDWPEGLRQYIDTVRQGKGTISEEIFSSLYLLTGCRFSSDTYIWWFGNESKRPSQVSL >DRNTG_02187.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1365869:1366201:1 gene:DRNTG_02187 transcript:DRNTG_02187.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRLPNDHPGQSRPTFPLDQVSDRDQRSSSKEISIGNHDPDIHAIVEGELVEDVDSRVEDLDEHHRRRRLEGLH >DRNTG_18190.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4681318:4683121:1 gene:DRNTG_18190 transcript:DRNTG_18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLIARSKMENQIAIQEAAAAGLRGLEHLVLQLSHQSHQQTTIDCREITDHTISKFKKVISILNRTGHARFRRGPSSVTQTLTLSSPLPPAPPPLPPPPSQTLTFDFTNPAAGSRGTDIATSQFTNDSFSISTPMSSANSSFLSSITGDGSVSNGKQGSSLLLGSIPSLSAGGKPPLSSGYKKKCHAHSGDDFSGKPSSGGRCHCSKRRKSRVKRTIRVPAISSKMADIPPDEYSWRKYGQKPIKGSPYPRGYYKCSSVRGCPARKHVERAPDDPSMLIVTYEGEHRHSPAVAAGGEPIIFQAPREI >DRNTG_18190.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4681587:4683121:1 gene:DRNTG_18190 transcript:DRNTG_18190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLIARSKMENQIAIQEAAAAGLRGLEHLVLQLSHQSHQQTTIDCREITDHTISKFKKVISILNRTGHARFRRGPSSVTQTLTLSSPLPPAPPPLPPPPSQTLTFDFTNPAAGSRGTDIATSQFTNDSFSISTPMSSANSSFLSSITGDGSVSNGKQGSSLLLGSIPSLSAGGKPPLSSGYKKKCHAHSGDDFSGKPSSGGRCHCSKRRKSRVKRTIRVPAISSKMADIPPDEYSWRKYGQKPIKGSPYPRGYYKCSSVRGCPARKHVERAPDDPSMLIVTYEGEHRHSPAVAAGGEPIIFQAPREI >DRNTG_18190.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4681587:4682801:1 gene:DRNTG_18190 transcript:DRNTG_18190.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLIARSKMENQIAIQEAAAAGLRGLEHLVLQLSHQSHQQTTIDCREITDHTISKFKKVISILNRTGHARFRRGPSSVTQTLTLSSPLPPAPPPLPPPPSQTLTFDFTNPAAGSRGTDIATSQFTNDSFSISTPMSSANSSFLSSITGDGSVSNGKQGSSLLLGSIPSLSAGGKPPLSSGYKKKCHAHSGDDFSGKPSSGGRCHCSKRRKSRVKRTIRVPAISSKMADIPPDEYSWRKYGQKPIKGSPYPRGYYKCSSVRGCPARKHVERAPDDPSMLIVTYEGEHRHSPAVAAGGEPIIFQAPREI >DRNTG_18190.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4681587:4683080:1 gene:DRNTG_18190 transcript:DRNTG_18190.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLIARSKMENQIAIQEAAAAGLRGLEHLVLQLSHQSHQQTTIDCREITDHTISKFKKVISILNRTGHARFRRGPSSVTQTLTLSSPLPPAPPPLPPPPSQTLTFDFTNPAAGSRGTDIATSQFTNDSFSISTPMSSANSSFLSSITGDGSVSNGKQGSSLLLGSIPSLSAGGKPPLSSGYKKKCHAHSGDDFSGKPSSGGRCHCSKRRKSRVKRTIRVPAISSKMADIPPDEYSWRKYGQKPIKGSPYPRGYYKCSSVRGCPARKHVERAPDDPSMLIVTYEGEHRHSPAVAAGGEPIIFQAPREI >DRNTG_18190.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4681587:4683166:1 gene:DRNTG_18190 transcript:DRNTG_18190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLIARSKMENQIAIQEAAAAGLRGLEHLVLQLSHQSHQQTTIDCREITDHTISKFKKVISILNRTGHARFRRGPSSVTQTLTLSSPLPPAPPPLPPPPSQTLTFDFTNPAAGSRGTDIATSQFTNDSFSISTPMSSANSSFLSSITGDGSVSNGKQGSSLLLGSIPSLSAGGKPPLSSGYKKKCHAHSGDDFSGKPSSGGRCHCSKRRKSRVKRTIRVPAISSKMADIPPDEYSWRKYGQKPIKGSPYPRGYYKCSSVRGCPARKHVERAPDDPSMLIVTYEGEHRHSPAVAAGGEPIIFQAPREI >DRNTG_18190.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4681318:4683080:1 gene:DRNTG_18190 transcript:DRNTG_18190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLIARSKMENQIAIQEAAAAGLRGLEHLVLQLSHQSHQQTTIDCREITDHTISKFKKVISILNRTGHARFRRGPSSVTQTLTLSSPLPPAPPPLPPPPSQTLTFDFTNPAAGSRGTDIATSQFTNDSFSISTPMSSANSSFLSSITGDGSVSNGKQGSSLLLGSIPSLSAGGKPPLSSGYKKKCHAHSGDDFSGKPSSGGRCHCSKRRKSRVKRTIRVPAISSKMADIPPDEYSWRKYGQKPIKGSPYPRGYYKCSSVRGCPARKHVERAPDDPSMLIVTYEGEHRHSPAVAAGGEPIIFQAPREI >DRNTG_11903.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1014611:1017483:-1 gene:DRNTG_11903 transcript:DRNTG_11903.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGERRGPRRLHWGLMKPNPFCPLNHFTVPPRRLSCAIPTFANPNPRSRAQPSKRERKEEELVAIAKKVLFLLSS >DRNTG_11903.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1014611:1017483:-1 gene:DRNTG_11903 transcript:DRNTG_11903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGERRGPRRLHWGLMKPNPFCPLNHFTVPPRRLSCAIPTFANPNPRSRAQPSKRERKEEELVAIAKKVLFLLSS >DRNTG_33739.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32344250:32345452:1 gene:DRNTG_33739 transcript:DRNTG_33739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFVYLNSLHHRLHQPPTINASHLHQRPPINTSHPPSPPPTYQRLPSYPPPSPINASRLHQRPPINASHPPSPPAPTDQHLPSSPVVFIFV >DRNTG_33739.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32344250:32345022:1 gene:DRNTG_33739 transcript:DRNTG_33739.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWFVYLNSLHHRLHQPPTINASHLHQRPPINTSHPPSPPPTYQRLPSYPPPSPINASRLHQRPPINASHPPSPPAPTDQHLPSSPVVFIFV >DRNTG_10121.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30481365:30482295:-1 gene:DRNTG_10121 transcript:DRNTG_10121.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSSRPASGSLPLPPSVRPVSPSPPPPLLNRSRMTSILPPLPSAMTPSLPLPAKSSSALSLVTSLSTAS >DRNTG_10121.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30472200:30482295:-1 gene:DRNTG_10121 transcript:DRNTG_10121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLALAIQPANGANILLQTREWFPPARALAALSSFRQTRLSFSSSSSSSSSSAAAGSKSDDLDPASAALGDDPLAASSGQVVVGVESRYLVVYRLVNSIYVLGVTTPDTPDVFSCVDAVNQSVAVVVAACRGVDATAEKLHRKYPEVYMALDIVLRGVGAARLAAILSSMHSDNIAKLVSSAIDTEARVRGADPWAGPHEALSLERRAALQTFSSVFFELPAETLAAGDEAAAASLPPAAPPTDDPSKPSEETPEDQQPKDPFAASEKINKPEEALVGAFKKSKDGVSLVSDPSAALAGLEVSSLPPPAATKPTFIGVEGFEGEYGGIEFGNEEASLSEAFEGFDNAFGGGLDASEFVNTTKKAPKGPGLGGLELLATSPSPATASKGDEKTPLENLLVSKTQAMTGPELYIAEEINAEFQESLLSRVGLKGTIFLRTLPPKQAAGKETEFSFRLENTSGIQRAVMQTSCVSSLENGMFHVRTPSKEEPIPIMKYSLQPRFTPLPLRLRLIKRHIGTLLSVMIQYASNPALLMPLNNVTFILKLPVDPTLLKVTPKAVLNRATRELRWHVPDVPLKGLAGKLRARMPVDQDSEEGGELEVIGMVKFSAQSSTTLSGICLRPVSEGIAQFNEVSHRYESGSYTCI >DRNTG_10121.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30478236:30480047:-1 gene:DRNTG_10121 transcript:DRNTG_10121.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGENGGAERGDDSSAAAGDGEGGRSASSDAEEGNWSSTSLLYSQSCEAIELEGWDCSSPFHWMHSNCFRETKQELNLLHSPKIHLIQNFWNKVVATLTGKGIQVARLSLFHQGKAWRDLIGS >DRNTG_10121.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30472200:30474408:-1 gene:DRNTG_10121 transcript:DRNTG_10121.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACLALAIQPANGANILLQTREWFPPARALAALSSFRQTRLSFSSSSSSSSSSAAAGSKSDDLDPASAALGDDPLAASSGQVVVGVESRYLVVYRLVNSIYVLGVTTPDTPDVFSCVDAVNQSVAVVVAACRGVDATAEKLHRKYPEVYMALDIVLRGVGAARLAAILSSMHSDNIAKLVSSAIDTEARVRGADPWAGPHEALSLERRAALQTFSSVFFELPAETLAAGDEAAAASLPPAAPPTDDPSKPSEETPEDQQPKDPFAASEKINKPEEALVGAFKKSKDGVSLVSDPSAALAGLEVSSLPPPAATKPTFIGVEGFEGEYGGIEFGNEEASLSEAFEGFDNAFGGGLDASEFVNTTKKAPKGPGLGGLELLATSPSPATASKGDEKTPLENLLVSKTQAMTGPELYIAEEINAEFQESLLSRVGLKGTIFLRTLPPKQAAGKETEFSFRLENTSGIQRAVMQTSCVSSLENGMFHVRTPSKEEPIPIMKYSLQPRFTPLPLRLRLIKRHIGTLLSVMIQYASNPALLMPLNNVTFILKLPVDPTLLKVTPKAVLNRATRELRWHVPDVPLKGLAGKLRARMPVDQDSEEGGELEVIGMVKFSAQSSTTLSGICLRPVSEGIAQFNEVSHRYESGSYTCI >DRNTG_13885.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2495094:2498557:1 gene:DRNTG_13885 transcript:DRNTG_13885.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDINEEISKIYKPDDSVAGGEEFGGDSDHAEDCNDHEDMLAGDILDSSLFNMETGNQEHSDNLDEIISKIIQNNLHDDQPEIHGKSVCLFETETSVSERDIAPEGPTEDTPVETQANIIRGKDPVITLANKERFQLLRKKNYFFDKISVLSDNSQFGMSNLRRNRKIISYARLDVWKACRSANVKQGFLQPLLTGMSPELKALYKDKLTNPFSLKRSSEFVNEQAKQSQHGTETIDLQDVDGLIDLKDITEPVDTLNLAGHVDQFNVAEPVDTMNLAGPVDEINVAEPVDLQNVSEPVNLQNVVEPVNMQIDTHDNPLENILTQEQSHMDILTAETPSTSTDMELYDMEATSTESSSSDFELDAMDGDQDSDGGYSNQQEEDNDDGLSDKTKEVAHLLHKKLASNGEGQEKKTLNLSSVLRDGTRAMSAKFFYETLALKSLGCIDTTQESPYGDIVVSATPQLEKIMDRC >DRNTG_13885.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2494954:2498557:1 gene:DRNTG_13885 transcript:DRNTG_13885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDINEEISKIYKPDDSVAGGEEFGGDSDHAEDCNDHEDMLAGDILDSSLFNMETGNQEHSDNLDEIISKIIQNNLHDDQPEIHGKSVCLFETETSVSERDIAPEGPTEDTPVETQANIIRGKDPVITLANKERFQLLRKKNYFFDKISVLSDNSQFGMSNLRRNRKIISYARLDVWKACRSANVKQGFLQPLLTGMSPELKALYKDKLTNPFSLKRSSEFVNEQAKQSQHGTETIDLQDVDGLIDLKDITEPVDTLNLAGHVDQFNVAEPVDTMNLAGPVDEINVAEPVDLQNVSEPVNLQNVVEPVNMQIDTHDNPLENILTQEQSHMDILTAETPSTSTDMELYDMEATSTESSSSDFELDAMDGDQDSDGGYSNQQEEDNDDGLSDKTKEVAHLLHKKLASNGEGQEKKTLNLSSVLRDGTRAMSAKFFYETLALKSLGCIDTTQESPYGDIVVSATPQLEKIMDRC >DRNTG_15765.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000785.1:6683:7973:1 gene:DRNTG_15765 transcript:DRNTG_15765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFAAFTELEAVFQEMALLDSLLQKYDGRTNKFRIGESLLSFRPEDVTIVLSLHCDGDAVFPELVPANADEDIFVRVNRRGDVIAPESLARRQDLRPISFVSAQCNYPTFNPTRARIPRRRRSPALPRQSARSP >DRNTG_13154.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3537777:3539739:-1 gene:DRNTG_13154 transcript:DRNTG_13154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVMVGDHQPLLAKDGRSSISLIFFKLICIIFLAGAICYLFDSNFNAWAVEAEQFSLSLEKQDALNIRPPTKESTVQEQQLKKEECDLWVGEWIPSPAEPAYTNETCNLIPPYLNCLNNGRPDTGYLHWRWKPNACDLPPFDPLKFLNAMRDKSFAFIGDSICHGLVFSLICQLSKVEKPHDIYHDSAYNSRTWYYPSYNLTIYVIWAPYLIHYETTDNHGDASEIHLHLHLDILDSKWTNEYNNYDYVVISIGPHFYKSSIIYENNQVIGCHYCPHLELKKIAIDEIYRKALQLSLKSIATSKHKPFVILKTWSPDHSERGELPNEIVCNRTRPFKEWEINIGDHGFIMRRVEVEEFEKAAAIGASNGVRMKLLDTYHLSLLRPDGHPGPYRTYHPFDGDKKIKIDCMHWCLPGPVDTWNELLLQMVISGDADDSGSSLL >DRNTG_29376.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001476.1:19941:24516:-1 gene:DRNTG_29376 transcript:DRNTG_29376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMKVHMSGRHWEIIQRTPFAAVTKLEPVFQEWVLFASLLQRYDDRTNKIRIWVSLLSFRPKDVALILGLRCDGDAVVFQKKKTRSSFEN >DRNTG_32729.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001904.1:9299:9989:-1 gene:DRNTG_32729 transcript:DRNTG_32729.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEEVTNLRNQLSSKECTSSSSRHMSYDS >DRNTG_32729.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001904.1:8641:9955:-1 gene:DRNTG_32729 transcript:DRNTG_32729.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLESTLNNHQNPNADPSVTAGDELDGDRRGRRKYMKKSSTG >DRNTG_33968.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002069.1:11653:14893:-1 gene:DRNTG_33968 transcript:DRNTG_33968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLFDMAVDMQFGESATAKYQLVYDMETPRQKQGSVDYAVYVMRFIEQLLWGEKLRLPQTDVPYLRLKYVTRILKEGRAAGVHEKGRSSEAG >DRNTG_18465.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5006724:5011347:-1 gene:DRNTG_18465 transcript:DRNTG_18465.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNSSHMKNKEEKRTLVPSGFSKKTNGEERDNMASFDEYIIKYHHGGTLLREGKVTYVDGTVNEFAVDLDKICYWDLLRDMKELGFNVKKNVIMSYIDGRRTLLLVCNDQSMLDLIDQFSTNGVVDVYVEISEDSHDKNLPEILMSTIEFDVDRDGQDVIDGGEISDSSKDLEEGLVDVTFVEYNSDEDEEIIEGAYEEGNTRQPTNAEERQGTDTLGDQHTNSGKVVGYKSEYLDSSDRGSYKDTSEGSYADDAK >DRNTG_26593.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20035776:20040443:-1 gene:DRNTG_26593 transcript:DRNTG_26593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNQRRKGNVDLKDLIFDWSVNQVRNTSLFKEQVKEIPKTFDSLSNYLNSFTFPLIEEVRADLCTSLQSISQAPFTQILELDNVKQKQQHMYRITVEHQNDLLDGNEEGYIPKRGDIILLSVVRPRNIYVPVPGPLYRIAVVSKGGDDEDKLPPDDFIISASRSIEDGLYYKIKKGKSPLFAVYLLNFSTHNRIWQAIDFESATKRNLTLIKEIFNDKSSVFKAEAEYQQAEVIGNIHDDNIPQILSSFKLNESQSNAVLACVSSVQSHEKCSIDLVWGPPGTGKTETTSALLWILKQMNCRTLICAPTNTAVKEVALRYLKLLEMNAQGIGTCPLGDVVLFGNKDSLSIGDNLQDVFLDYRVEELAKWFKQTTGWSYCLCSMLEFFENCLSLYEAASYDNSEAETLKSFIRRKFSFNSERLSDCLKTFRMHLPSAFISEASSSDIVVLLDLLQEFGRLLCRNVSIRVLEEVFKSSCEVVDENRSTISRLRNCRANCVQVLHRLESGLNLPDNFSEKSIRDLCLRHASHMFSTVSSSSKLHYVKRMKDLDVLVIDDAAQLKECETLIPLQLFGVRHTILIGDECQLPAMVRSKLSETALFGRSLFGRLSSMGFKKNLLYVQYRMHPEIIQFPNAKFYEKRIEDGPNVIDEKHRRCFLPGPMFGPYSFINIESGWEDSDRLGYSKNNFVEVVVVSEIIRRLFNECMRTNQKLGVGIICPYTAQVIEIQDELGEGYNEYESFSVTVNSVDGFQGGEEDIIIFSAVRANPAGKVGFMYNHQRTNVALTRARHCLWILGNAPTLIKSQTIWAEIVHDAQNRHLFFDAKDDEGFLNAIIEYCGKVGRLDYLLNNNPEYINRIQDKKTRGYSVPNSDSNSVLVNNIENTPEAPKANNIKFQAGKKRRSKMLHLPKCPPANKFEDEVPVDISSLNLWNSDYASSSTTISGKSEKKEKNKEKTEKQIDKTSKQAHVAKLVDQPKAPPHTNKSGDTSKLKNNKGKNFGRRED >DRNTG_22858.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4518992:4534962:-1 gene:DRNTG_22858 transcript:DRNTG_22858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRSKVIWHLHRSLHHLDLPSISRSFQSCMCAAYEVVPYNSCSGSGYSLMKYSVHGFGYRGMATCSYGISLIIGKPGRDEAMVTSLKVIESGINLSGTRSMSTMKVPTGARQVALKVTMLSPGFVYEPYAPREPIPFWRRWFTPSGWRRTKEDLILEMKSAYAISRLRKVTGYSKKIFYEHAVKLYKEINTLMADRDTSSLRKLVTEKMYSTLKNEIKRRESMWSSVHWELIEPIVSIRTLRARMIALDKNDLDKSFVQLTIEFTSKQRFEAYDSKGGVISGDKTKEVLVRDIWVFERSLFHPGAEWRLCSRITL >DRNTG_22858.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4520719:4534962:-1 gene:DRNTG_22858 transcript:DRNTG_22858.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRSKVIWHLHRSLHHLDLPSISRSFQSCMCAAYEVVPYNSCSGSGYSLMKYSVHGFGYRGMATCSYGISLIIGKPGRDEAMVTSLKVIESGINLSGTRSMSTMKVPTGARQVALKVTMLSPGFVYEPYAPREPIPFWRRWFTPSGWRRTKEDLILEMKSAYAISRLRKVTGYSKKIFYEHAVKLYKEINTLMADRDTSSLRKLVTEKMYSV >DRNTG_22858.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4518992:4520247:-1 gene:DRNTG_22858 transcript:DRNTG_22858.3 gene_biotype:protein_coding transcript_biotype:protein_coding RFEAYDSKGGVISGDKTKEVLVRDIWVFERSLFHPGAEWRLCSRITL >DRNTG_34064.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002082.1:25162:28343:1 gene:DRNTG_34064 transcript:DRNTG_34064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPSEATHVGIRPTGGARFLEPVVHDPALPGVVDGVGGCDGSVEQPARLREVNWHSDDGITGERRGGGAADRV >DRNTG_04813.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2338530:2340681:-1 gene:DRNTG_04813 transcript:DRNTG_04813.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLPSLLLLLLAAPLAISTNSEGEALHALRTRLSDPRGVLQSWDPTLVNPCTWFHVTCDNHNHVIRVDLGNSNISGPLGPELAQLKHLQYLELYKNNFEGTIPEELGDLKNLVSIDLYDNNLHGSIPKSFGKLKTLRFMRLNNNKLTGSIPRELAKLSNLKVFDVSNNDLCGTIPVDGPFPTFSFQSFENNSRLNGPELQGLVAYDFGC >DRNTG_18007.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24232024:24233273:-1 gene:DRNTG_18007 transcript:DRNTG_18007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIIRRIFELQNPLLLLSLHFSLTVGWTWTKTTESVLYSGDVAPIGTTIVNGNNELTLLDDCNLVLNGDGATKWQTGVTDRTMHDCYANLEANGELRVKHWGGDVLWTNRVASPSTYSEFVLVLQTNAMLTVYGPVIWSNVISSAVSGKIDTVTAD >DRNTG_15017.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23829137:23833974:-1 gene:DRNTG_15017 transcript:DRNTG_15017.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGSISPPCRWRADYHDPDFGHPVRPHIGRGFRGGRGGRFRDTSPSYGSGRGGRSIGRGYNGSRREQSPFDGEYVHRNDPNLSPREGDWICRNQSCGNLNFARRTHCNKCHEYRYGSSRSPRRSYHSPPPRQLSPRIFWTAAS >DRNTG_15017.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23829137:23834050:-1 gene:DRNTG_15017 transcript:DRNTG_15017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATEAMPIPAIPYSETTVRPRRSPHADMNQPKNPAVVVGRPPRGTGTSPTIMRRRDSPPDFRRRQSPTTGFRRRDLPLGPRRRGSPSGFNPRPQRFHEDSGYGMRAGSISPPCRWRADYHDPDFGHPVRPHIGRGFRGGRGGRFRDTSPSYGSGRGGRSIGRGYNGSRREQSPFDGEYVHRNDPNLSPREGDWICRNQSCGNLNFARRTHCNKCHEYRYGSSRSPRRSYHSPPPRQLSPRIFWTAAS >DRNTG_15017.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23829137:23833974:-1 gene:DRNTG_15017 transcript:DRNTG_15017.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFTALAGWRDHNAGYGMRAGSISPPCRWRADYHDPDFGHPVRPHIGRGFRGGRGGRFRDTSPSYGSGRGGRSIGRGYNGSRREQSPFDGEYVHRNDPNLSPREGDWICRNQSCGNLNFARRTHCNKCHEYRYGSSRSPRRSYHSPPPRQLSPRIFWTAAS >DRNTG_15017.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23829137:23833974:-1 gene:DRNTG_15017 transcript:DRNTG_15017.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGSISPPCRWRADYHDPDFGHPVRPHIGRGFRGGRGGRFRDTSPSYGSGRGGRSIGRGYNGSRREQSPFDGEYVHRNDPNLSPREGDWICRNQSCGNLNFARRTHCNKCHEYRYGSSRSPRRSYHSPPPRQLSPRIFWTAAS >DRNTG_15017.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23829137:23833974:-1 gene:DRNTG_15017 transcript:DRNTG_15017.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVATEAMPIPAIPYSETTVRPRRSPHADMNQPKNPAVVVGRPPRGTGTSPTIMRRRDSPPDFRRRQSPTTGFRRRDLPLGPRRRGSPSGFNPRPQRFHEDSGYGMRAGSISPPCRWRADYHDPDFGHPVRPHIGRGFRGGRGGRFRDTSPSYGSGRGGRSIGRGYNGSRREQSPFDGEYVHRNDPNLSPREGDWICRNQSCGNLNFARRTHCNKCHEYRYGSSRSPRRSYHSPPPRQLSPRIFWTAAS >DRNTG_15017.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23829137:23833974:-1 gene:DRNTG_15017 transcript:DRNTG_15017.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFTALAGWRDHNAGYGMRAGSISPPCRWRADYHDPDFGHPVRPHIGRGFRGGRGGRFRDTSPSYGSGRGGRSIGRGYNGSRREQSPFDGEYVHRNDPNLSPREGDWICRNQSCGNLNFARRTHCNKCHEYRYGSSRSPRRSYHSPPPRQLSPRIFWTAAS >DRNTG_00749.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28031261:28034686:-1 gene:DRNTG_00749 transcript:DRNTG_00749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSSMDYWRRFFRSANSDIFDVIEKAIMVAASDHSEEFLLRRDGIAVTLFAHRRPETDPTVRVVGEDENEDEDEDEDEEEERSKRGCEDEKERNETSTSGRGEEVNRLPSKYSYDEAEALTDEIEEENQMVGEVLRIKELLENHQEQSDGVLFDSLRRLQLMQLSVETLQATEIGKAVSGLKRHSSKKIRHLVRTLIEGWKILVSQWLNATATITDGTPDSVIISAEEEDEEGLPFPPLDEGALLATQTAPIELSKIFDGLDDDGNLQTNGQQEKNSGRRISEQNQYGRIPHEKHNGRISHQNHNAERKQLPPKISAPLEPRGQPRRPVTEVKQTMQDKSRQTKPQPSSFNKQSRAPATVHEINRPSRPASEFKVNSLVRTQHRQDPVGTQRRNPPAPALEKSKNSEDASVRAKLEIAKRRLHEGYQQAENAKKQRTIQVMEIHDLPKQGYHPRQPQQSNVKHKNKLRDMLKGRR >DRNTG_22132.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17459966:17464866:1 gene:DRNTG_22132 transcript:DRNTG_22132.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sulfate transporter 3.3 [Source:Projected from Arabidopsis thaliana (AT1G23090) UniProtKB/Swiss-Prot;Acc:Q9SXS2] MRTKEDEVLDMGANKGGGGGEEEVRMNNNNNNNNNNDDDDHQVEITVMEMMHKVELPQPKSTITKLKIRFKETFFPDDPFRNFSGQPFSSKLSLSAQFLFPILSWAPSYSFSLFKSDLISGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLVYAVLGSSRDLAVGPVSIASLIMGSMLRQAVNPNTDPYLFLQLAFTSTLFAGIFQASLGIFRLGLIIDFLSKATLIGFMAGSAIIVSLQQLKSLLGIVHFTKQMGLVPVLASVFHTTNEWSWQTIVMGMSFLGILLLARHVSMKKPSLFWVSAGAPLASVIISTLLVFLFKAQNHGISIIGHLPHGLNPPSWDKLIFKSSYLSLVMKTGLITGIISLTEGVAVGRTFASLKDYKVDGNKEMMAIGLMNIVGCCTSCYVTTGAFSRSAVNHNAGCKTAMSNIVMALTVMVTLLFLMPLFVYTPNVVLGAIIVTAVIGLIDLPAAYHIWKMDKMDFLVLLAAFLGVVFISVQEGLAIAIGISIFRVLLQITRPKIVSLGNISGSDIYRNVQQYRDAKRVPGFLILAVEAPINFANCTYLHERIGRWIEDELDCGGKCASLQFVILDLSTVSAVDTSGISLLIDLKKILRKKDIELVLVNPVGEVMEKIKRADDALQFLGVDSLYLTIEEAVISLSSLIKEAS >DRNTG_12418.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12289616:12291612:-1 gene:DRNTG_12418 transcript:DRNTG_12418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFLRHPEIMHGLVEFSHARGSLLRAYQRRHRVVDSAPVNDLGRGLGSIDDPVINTRKWLSFAHPCVSLQRSSLLSREDTGAYECPWTVCPCGSGARAWVIFRRPCGCVQRALSVFPRAHMGVYSPLETLPELAHIEFLNPEHQARFERLSGLSLGQSSFVDLSVLREIQRGDELNDDIDEMLAVGSWRRLLSIREPAIRALTLELLFYMSVTEFSVRMGLYDETYTDTEEYGCLPTDYPGTLTPQQAYRALCGQGQYEPGVSKASVLSWISYRYLHSVFSRGAEKAVVPSPLVLETISIMRLVRRVRPRAYILAMAAPKTVKGGRNAAEAS >DRNTG_23789.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8830516:8834907:1 gene:DRNTG_23789 transcript:DRNTG_23789.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHRLHHLATIQVVIAIIVQLVRCRNEDEASPLNHPSQFEAPSSSRAKRVRRSDNHEDVDLSKALESDLINDKEIKGEAIHHQ >DRNTG_17518.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28909190:28910256:-1 gene:DRNTG_17518 transcript:DRNTG_17518.1 gene_biotype:protein_coding transcript_biotype:protein_coding FWGVFYSSSSRGKGKELEEPKKPGNAERCVCLRRIYRVRFICS >DRNTG_06589.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:574839:575114:-1 gene:DRNTG_06589 transcript:DRNTG_06589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFESLSSIRLSCPRSRRPKSPLCWSPLAVSQIGSSALPPATSS >DRNTG_30639.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1088031:1088672:-1 gene:DRNTG_30639 transcript:DRNTG_30639.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDSDEVTTSTNTELDETKIAQVPKSRSRTSSQVSCVQVSNPHHQYPLTRYQGSYVNRPEKRLKEVADIPLNNMKQLLVKCAEALSENKIEDFETLVEKARGVVSITGEPIQRLGAYIIEGLVARHESSGTNIYQALKCRAPESKELLSLHADSV >DRNTG_26405.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18158170:18162666:-1 gene:DRNTG_26405 transcript:DRNTG_26405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKRIFFETSTCKEKEQQSQTSITKKMKRGSSGTQNAQIEFH >DRNTG_26405.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18158170:18161765:-1 gene:DRNTG_26405 transcript:DRNTG_26405.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKRIFFETSTCKEKEQQSQTSITKKMKRGSSGTQNAQIEFH >DRNTG_26405.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18158170:18158894:-1 gene:DRNTG_26405 transcript:DRNTG_26405.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKRIFFETSTCKEKEQQSQTSITKKMKRGSSGTQNAQIEFH >DRNTG_01295.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1915589:1916827:-1 gene:DRNTG_01295 transcript:DRNTG_01295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKSFDGVEEKKKLDECVVRETAAAAAVAAVSGGVRRTRKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEDAARAYDEAACLLREQDDIKSVKMEYDWKVAKGDGEDDEIEERIVDDLGAMDFQFIDGQDSSSCFYPPFEIAEEIEELIEDESSGDEPSMLRAAMKRMKYERKISASLYALNGISECLRLSRHEDNKVSWGIMKHDANNEVHSSSNSSCLTSSSSMTSTSSSLSSPSDPNAKNEGESSLWNSLDLPPICLLS >DRNTG_33077.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001945.1:8615:11560:1 gene:DRNTG_33077 transcript:DRNTG_33077.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFGTTTPDFLTKDVDLSCLECAPVNPYTRPQPIPLKGFPEAQRGLTAPLSVTCQTSQTCRLSLDLDGLDSPNKSQMSEENVELNRARESNFYSL >DRNTG_19033.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22533025:22536568:-1 gene:DRNTG_19033 transcript:DRNTG_19033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGEHQGVVKPPPTPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVVDNFFTGSKDNLRKWIGHPRFELIRHDVTEPLLVEVDQIYHLACPASPIFYKYNPVKTIKTNVMGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQKEEYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEALTVQAPGTQTRSFCYVSDMVNGLIRLMEGDNTGPINLGNPGEFTMTELAETVKGLINPSVTIKMVENTPDDPRQRKPDITKANEVLGWEPKIKLRDGLPLMGEDFRLRLGVPKTA >DRNTG_08340.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3941954:3943478:1 gene:DRNTG_08340 transcript:DRNTG_08340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYHSIPTDLQRRLLKAEATLRQKEEENVELQQQLQEREVRWSEYERQMKSMEEMWQKQISFLQESLTAAKRKLAAGETTNQYKKLDLPPNRHNYDSEDGMSSDAHTRRGVHTPEGTPARVPHAATAKDSNGTTNVISNLAKEFEQQKKVFDDDVGVLVDIKSGQSVSAGNPYEEFRKLKVCFTAWKRDYKARLRETKASLQKIGHFEGEKARRKWWGKIGAKT >DRNTG_08340.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3940482:3943478:1 gene:DRNTG_08340 transcript:DRNTG_08340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGNLVVQKYCRGLQARRSFKDLKDGVLTLQSFIRGWLARKHFAVLKTVEMSRLNHINVDQGLDNNLQDIEDCRKEQVQMYHSIPTDLQRRLLKAEATLRQKEEENVELQQQLQEREVRWSEYERQMKSMEEMWQKQISFLQESLTAAKRKLAAGETTNQYKKLDLPPNRHNYDSEDGMSSDAHTRRGVHTPEGTPARVPHAATAKDSNGTTNVISNLAKEFEQQKKVFDDDVGVLVDIKSGQSVSAGNPYEEFRKLKVCFTAWKRDYKARLRETKASLQKIGHFEGEKARRKWWGKIGAKT >DRNTG_08340.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3942578:3943478:1 gene:DRNTG_08340 transcript:DRNTG_08340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDAHTRRGVHTPEGTPARVPHAATAKDSNGTTNVISNLAKEFEQQKKVFDDDVGVLVDIKSGQSVSAGNPYEEFRKLKVCFTAWKRDYKARLRETKASLQKIGHFEGEKARRKWWGKIGAKT >DRNTG_08340.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3923768:3943478:1 gene:DRNTG_08340 transcript:DRNTG_08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKSQNPVGNQSWVDNVNPQSQSAGTKFKVQLFKLMRQLEHTTPHFVQCIKPNTKQLPGVYEHDLVLQQLRYCGVLEVVRISRFGYPMRMIHQQFANRYGFLLLKDDGCQDPLSVSVAILHHFNILPETYQVGFTKLFFRTGQAAALEDIRQRIMCGNLVVQKYCRGLQARRSFKDLKDGVLTLQSFIRGWLARKHFAVLKTVEMSRLNHINVDQGLDNNLQDIEDCRKEQVQMYHSIPTDLQRRLLKAEATLRQKEEENVELQQQLQEREVRWSEYERQMKSMEEMWQKQISFLQESLTAAKRKLAAGETTNQYKKLDLPPNRHNYDSEDGMSSDAHTRRGVHTPEGTPARVPHAATAKDSNGTTNVISNLAKEFEQQKKVFDDDVGVLVDIKSGQSVSAGNPYEEFRKLKVCFTAWKRDYKARLRETKASLQKIGHFEGEKARRKWWGKIGAKT >DRNTG_10319.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18272587:18272787:-1 gene:DRNTG_10319 transcript:DRNTG_10319.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASYKRKSRCPTALWATEAPNPRNPERGVPLKTVPSGL >DRNTG_02150.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29001080:29005836:-1 gene:DRNTG_02150 transcript:DRNTG_02150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCNLCNVSGHVARQCPKSDVLGERGGGGGGGGGHYRGGFRDIICRNCNQVGHMSRDCMGPLMICHNCGGRGHLAYECPSGRFMDRMPRRY >DRNTG_02150.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29001080:29005836:-1 gene:DRNTG_02150 transcript:DRNTG_02150.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSRSPPRDRKMRTERYSYRDAPYRRDSRRYSRRSDLCKNCKRPGHYARECPNVAVCNNCSLPGHIAAECTQKTLCWNCKEPGHTAGKCPNEGICHTCGKAGHLARDCPNPEVPPGDLRICNNCYKQGHIAADCTNEKACNNCRKTGHLARDCQNEPMCNLCNVSGHVARQCPKSDVLGERGGGGGGGGGHYRGGFRDIICRNCNQVGHMSRDCMGPLMICHNCGGRGHLAYECPSGRFMDRMPRRY >DRNTG_02150.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29001080:29005836:-1 gene:DRNTG_02150 transcript:DRNTG_02150.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSRSPPRDRKMRTERYSYRDAPYRRDSRRYSRRSDLCKNCKRPGHYARECPNVAVCNNCSLPGHIAAECTQKTLCWNCKEPGHTAGKCPNEGICHTCGKAGHLARDCPNPEVPPGDLRICNNCYKQGHIAADCTNEKACNNCRKTGHLARDCQNEPMCNLCNVSGHVARQCPKSDVLGERGGGGGGGGGHYRGGFRDIICRNCNQVGHMSRDCMGPLMICHNCGGRGHLAYECPSGRFMDRMPRRY >DRNTG_02150.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29000904:29005836:-1 gene:DRNTG_02150 transcript:DRNTG_02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSRSPPRDRKMRTERYSYRDAPYRRDSRRYSRRSDLCKNCKRPGHYARECPNVAVCNNCSLPGHIAAECTQKTLCWNCKEPGHTAGKCPNEGICHTCGKAGHLARDCPNPEVPPGDLRICNNCYKQGHIAADCTNEKACNNCRKTGHLARDCQNEPMCNLCNVSGHVARQCPKSDVLGERGGGGGGGGGHYRGGFRDIICRNCNQVGHMSRDCMGPLMICHNCGGRGHLAYECPSGRFMDRMPRRY >DRNTG_02150.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29001080:29005836:-1 gene:DRNTG_02150 transcript:DRNTG_02150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSRSPPRDRKMRTERYSYRDAPYRRDSRRYSRRSDLCKNCKRPGHYARECPNVAVCNNCSLPGHIAAECTQKTLCWNCKEPGHTAGKCPNEGICHTCGKAGHLARDCPNPEVPPGDLRICNNCYKQGHIAADCTNEKACNNCRKTGHLARDCQNEPMCNLCNVSGHVARQCPKSDVLGERGGGGGGGGGHYRGGFRDIICRNCNQVGHMSRDCMGPLMICHNCGGRGHLAYECPSGRFMDRMPRRY >DRNTG_02150.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29001002:29005836:-1 gene:DRNTG_02150 transcript:DRNTG_02150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSRSPPRDRKMRTERYSYRDAPYRRDSRRYSRRSDLCKNCKRPGHYARECPNVAVCNNCSLPGHIAAECTQKTLCWNCKEPGHTAGKCPNEGICHTCGKAGHLARDCPNPEVPPGDLRICNNCYKQGHIAADCTNEKACNNCRKTGHLARDCQNEPMCNLCNVSGHVARQCPKSDVLGERGGGGGGGGGHYRGGFRDIICRNCNQVGHMSRDCMGPLMICHNCGGRGHLAYECPSGRFMDRMPRRY >DRNTG_11156.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23065211:23066908:-1 gene:DRNTG_11156 transcript:DRNTG_11156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGDGNLNLMNTGGSLPVPNVQAISDSSKNCTEIPEKYVRPEMSESVVQNGAAGPGDFPIIDLSRLYQDSEEEITKLGAACEDWGFFMLINHGVPDEVINKIRGAITNFFSLPLEEKKVYAQRPGTVEGYGQTFVISEEQKLDWGDMYFLSTRPVAGRNMKLWPTNPPTFRDALHEYCEELQKVGTFLLESVQKSLKLSTLMDNHKDGLQAIRVNYYPPCPQASKVLGISPHSDAVSLTVLLQVNDVQGLQIRKDGAWYPIKPIPGAFIVNVGDIIEIMSNGKYKSIEHRAVVDTERERLSLATFFSPGVKGRVGPLPEILEKNEARYKSASMEEYIKMLFSFKLEGKNILDHMKLSPA >DRNTG_14068.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8316423:8316681:-1 gene:DRNTG_14068 transcript:DRNTG_14068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKTEKKEAKLENNKDGFEEGEQVVEHAIEGGEQPRARKSSPAPNTPPGRCEHPSSPQHSCFLSNPCKPFSFPSLTHIFFF >DRNTG_02687.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19772539:19777558:1 gene:DRNTG_02687 transcript:DRNTG_02687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSWMYKPRQSREYQEGVDQFLEFAFNNESVGGKIMCPCKHCVNSLWQTRDEAKVHLICDGFLRGYTQWVCHGEFSSINDIASSSSTHILETSQVQEGYSVQTLEVLIIWKLCCMIQWV >DRNTG_24821.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31794880:31795918:-1 gene:DRNTG_24821 transcript:DRNTG_24821.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like protein E1 [Source:Projected from Arabidopsis thaliana (AT1G55850) UniProtKB/Swiss-Prot;Acc:Q8VZK9] MTLSQTLVQHKRWSEGDFQIFLSKYNPFLFGHGKIKFGLQMCYSVYLLWAPCSLPTLYYSTIPSLALLNSIPLFPDMKSIWFIPFAYVIVTTSVYSIAEAMWIGQSRKAWWNEIRIWLYKRLASYLFAFLDDVLKVIGVNKTSFIITSKILDEDVSRRYAKEIIDFGPASSMLTILSVIGILNLFCLVGGLKKMLVFHEGIVSSLFLQFVICGLITMINLPLYQAMFLRRDGGRIPVSTTVISISLALLACLIPLH >DRNTG_24821.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31794880:31798511:-1 gene:DRNTG_24821 transcript:DRNTG_24821.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like protein E1 [Source:Projected from Arabidopsis thaliana (AT1G55850) UniProtKB/Swiss-Prot;Acc:Q8VZK9] MAREKRPHRHHNFKAGAMNSLIRISSEIGNGEIILNVDCDMYSNNSETIRDALCFLMDEEKGHEYAYVQFPQDYDNISKNDIYAASMKSIREIDFHGLDGYGGILYIGSGCFHRREVLTGRHYSKHWKKNTKIDKPIERDTNLETLEAKCKSLTTCSFEDNTGWGNEIGLKYGCPVEDIITGLSIKCRGWKSIYFNPPRTNFVGLAPMTLSQTLVQHKRWSEGDFQIFLSKYNPFLFGHGKIKFGLQMCYSVYLLWAPCSLPTLYYSTIPSLALLNSIPLFPDMKSIWFIPFAYVIVTTSVYSIAEAMWIGQSRKAWWNEIRIWLYKRLASYLFAFLDDVLKVIGVNKTSFIITSKILDEDVSRRYAKEIIDFGPASSMLTILSVIGILNLFCLVGGLKKMLVFHEGIVSSLFLQFVICGLITMINLPLYQAMFLRRDGGRIPVSTTVISISLALLACLIPLH >DRNTG_24821.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31794880:31798511:-1 gene:DRNTG_24821 transcript:DRNTG_24821.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like protein E1 [Source:Projected from Arabidopsis thaliana (AT1G55850) UniProtKB/Swiss-Prot;Acc:Q8VZK9] MEKICRDDEGLFETRRGKGGKIYKVFAGSVFLGILMIWVYRLITMILRGSSSSSSSSSWALMFGVFGAELWFGFYWFLTQASRWNPVFRYTFKDRLSLRYEDKLPGVDVFVCTADPSIEPPSMVISTVLSVMSYDYPTEKLSVYLSDDGGSQFTFYALLEASRFAKYWIPFCKKFRLEPRSPAAYFARAIEPNDAAFSAEWIAVKKLYEEMDDRINAAMKEGKLPQNIHEQHKGFAEWETGITAKDHQAIVQILIDGKDETSVDIEGSVLPTLVYMAREKRPHRHHNFKAGAMNSLIRISSEIGNGEIILNVDCDMYSNNSETIRDALCFLMDEEKGHEYAYVQFPQDYDNISKNDIYAASMKSIREIDFHGLDGYGGILYIGSGCFHRREVLTGRHYSKHWKKNTKIDKPIERDTNLETLEAKCKSLTTCSFEDNTGWGNEIGLKYGCPVEDIITGLSIKCRGWKSIYFNPPRTNFVGLAPMTLSQTLVQHKRWSEGDFQIFLSKYNPFLFGHGKIKFGLQMCYSVYLLWAPCSLPTLYYSTIPSLALLNSIPLFPDMKSIWFIPFAYVIVTTSVYSIAEAMWIGQSRKAWWNEIRIWLYKRLASYLFAFLDDVLKVIGVNKTSFIITSKILDEDVSRRYAKEIIDFGPASSMLTILSVIGILNLFCLVGGLKKMLVFHEGIVSSLFLQFVICGLITMINLPLYQAMFLRRDGGRIPVSTTVISISLALLACLIPLH >DRNTG_04848.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18726800:18751149:-1 gene:DRNTG_04848 transcript:DRNTG_04848.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRNLNDASPARGPCDPSSYHESSERRGEPASAFYLINASLPEVGVCCTY >DRNTG_05123.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30564132:30564408:-1 gene:DRNTG_05123 transcript:DRNTG_05123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPAQSQHSTYLNSDTDEIQDKLDFEFVGTRSGQVYTLQTNAYPNGKEDREKRVTVWFDSSADFHS >DRNTG_24448.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:498696:499965:1 gene:DRNTG_24448 transcript:DRNTG_24448.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGWFMNPLSYGNYPSSMRMIVGNRLPRFTKKESEMIKGSFDFIGVNYYTTWYSQSVPPTNTLVNTSFSTDTQTNQSVTGVRLGKPIGPTAGSDWLFIYPPGIRNLLLYIKDKYNNPLIYIMENGVDDNYQKNLPLKDVLEDNVRVIFYYDHLRYVLSAIRKGVNVKAYFAWSFFDDFE >DRNTG_24448.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:497188:499965:1 gene:DRNTG_24448 transcript:DRNTG_24448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHMYVLELMVLVHLLPADARHGLDIVLLEILVVNHILRVIILLLAHAAAVKIYKEKYQVKQKGLIGITLVTNWFRPYSNSKADILAQQRSLDFMFGWFMNPLSYGNYPSSMRMIVGNRLPRFTKKESEMIKGSFDFIGVNYYTTWYSQSVPPTNTLVNTSFSTDTQTNQSVTGVRLGKPIGPTAGSDWLFIYPPGIRNLLLYIKDKYNNPLIYIMENGVDDNYQKNLPLKDVLEDNVRVIFYYDHLRYVLSAIRKGVNVKAYFAWSFFDDFE >DRNTG_05684.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:144448:153213:1 gene:DRNTG_05684 transcript:DRNTG_05684.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPDAVEPLFRQKVDQFVHNRSRTEDLRSLIRYGRGGVRDVVAQDHSPTLPIGSITSRAPHCSITSSSADSNHNEQVQNQNNSARLENVPVITEAENLNAVDRNGQQKKRGRTTLKDLWSLPPEERIVVSANHLGQPIGPEAQLQAGFLGMLARIGQQIGIHYQSWHKVPKTLKDELFKFIESLGKKWRDYKHDLKKRHFKREYGLQVNKDKHPNATIRWQWEQLVNYWYSNKGEDSKRLGVASRKQQKYTHTSGSKSFSRKEKEMEVHIGRKVGRLKFSKATHTKKDGSHMNVETKQIMVKANEKLAECEIVDEDMQIVETEILTQVIGKERCGQVRVLGLGPTLKTYYGGTTSRTSTTSSAQSSEFVERFNQMEQQMQQLKEEREQERAQREEERAQECARYNALLGFLQTR >DRNTG_26181.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29145250:29155892:-1 gene:DRNTG_26181 transcript:DRNTG_26181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVCEGKEFSFPNQEERILLLWSDIRAFENQLKRTESKPEYIFYDGPPFATGKPHYGHILAGTIKDVVTRYQSMCGWHVTRRFGWDCHGLPVEFEIDTKLGIRSRDDVFAMGIAAYNEECRGIVTRYVAEWEEVITRTGRWIDFKNDYKTMDPQFMESVWWVFAQLFKKGLVYRGFKVMPYSTGCKTPLSNFEAGLNYKDVPDPAIVVAFPLKDDPQNAALVAWTTTPWTLPSNLALCVNANFVYAKVKDKSSGVIYIVAESRLSSLPSRKTKPSAGNSAAQESSKSKGAANGKFENKGTVDGKVKSGLDTETYELLEKITGASLVGKKYVPLFDYFAEFSNAFRVAADNYVTDDSGTGIVHCAPAFGEDDYRVCVEAGIIQKDEDLVVAVDADGCFTEKISDFHGRYVKDADKDIINAVKAKGRLVSTGSMVHSYPFCWRSDTPLLYRAVPSWFVSVEKIKDQLLESNKQTYWVPDYVKEKRFHNWLENARDWAVSRSRFWGTPLPVWVSEDGEEKVVIDSIDELERRSGIKATDLHRHFIDHITIPSSRGPKHGVLRRVDDVFDCWFESGSMPYAYIHYPFENVELFEKNFPGHFVAEGLDQTRGWFYTLMVLSTALFGKPAFRNLICNGLVLAEDGKKMSKRLKNYPSPMEVIGDYGADALRLYLINSPVVRAEPLRFKKDGVYSVVKDVFLPWYNAYRFLVQNAKRLEVEGFAPFVPIDLATLQTSSNVLDQWINSATESLVQFVRQEMDAYRLYTVVPYLLKFIDNLTNIYVRFNRKRLKGRTGEDDCRISLSTLYHVLLTTCKAMAPFTPFFTEGLYQNLRKVLDGAEESIHYCSFPSSKGKREERIEQSVTRMMTVIDLARAIRERHNKPLKAPLKEMVVVHPDADFLEDITGKLREYVMEELNVKAIIPCNDPLKYASLRAEPDFSVLGKRLGKSMGTVAKEIKAMSLPDILAFEKSGEVTISGHCLKLNDIKVVRQFKCPDNVTDKEIDAAGDGDVLVVLDLRADESLFEAGLAREVVNRIQKLRKKAGLEPTDIVEVYYEPLDDGKQILENIVSSQEQYIRESLGSSLLHHTLCPPQAVVFYKEEYRGVSGVSFIISLARPALIFKSEAILRLFSGNKMYAEALQTYLLSRDLSNLKSEFQAEKGKKKVGCLEHLPEVEVELGKHLFVSVGDYYLSRTE >DRNTG_26181.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29145250:29148815:-1 gene:DRNTG_26181 transcript:DRNTG_26181.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFTPFFTEGLYQNLRKVLDGAEESIHYCSFPSSKGKREERIEQSVTRMMTVIDLARAIRERHNKPLKAPLKEMVVVHPDADFLEDITGKLREYVMEELNVKAIIPCNDPLKYASLRAEPDFSVLGKRLGKSMGTVAKEIKAMSLPDILAFEKSGEVTISGHCLKLNDIKVVRQFKCPDNVTDKEIDAAGDGDVLVVLDLRADESLFEAGLAREVVNRIQKLRKKAGLEPTDIVEVYYEPLDDGKQILENIVSSQEQYIRESLGSSLLHHTLCPPQAVVFYKEEYRGVSGVSFIISLARPALIFKSEAILRLFSGNKMYAEALQTYLLSRDLSNLKSEFQAEKGKKKVGCLEHLPEVEVELGKHLFVSVGDYYLSRTE >DRNTG_26181.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29148984:29155892:-1 gene:DRNTG_26181 transcript:DRNTG_26181.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVCEGKEFSFPNQEERILLLWSDIRAFENQLKRTESKPEYIFYDGPPFATGKPHYGHILAGTIKDVVTRYQSMCGWHVTRRFGWDCHGLPVEFEIDTKLGIRSRDDVFAMGIAAYNEECRGIVTRYVAEWEEVITRTGRWIDFKNDYKTMDPQFMESVWWVFAQLFKKGLVYRGFKVMPYSTGCKTPLSNFEAGLNYKDVPDPAIVVAFPLKDDPQNAALVAWTTTPWTLPSNLALCVNANFVYAKVKDKSSGVIYIVAESRLSSLPSRKTKPSAGNSAAQESSKSKGAANGKFENKGTVDGKVKSGLDTETYELLEKITGASLVGKKYVPLFDYFAEFSNAFRVAADNYVTDDSGTGIVHCAPAFGEDDYRVCVEAGIIQKDEDLVVAVDADGCFTEKISDFHGRYVKDADKDIINAVKAKGRLVSTGSMVHSYPFCWRSDTPLLYRAVPSWFVSVEKIKDQLLESNKQTYWVPDYVKEKRFHNWLENARDWAVSRSRFWGTPLPVWVSEDGEEKVVIDSIDELERRSGIKATDLHRHFIDHITIPSSRGPKHGVLRRVDDVFDCWFESGSMPYAYIHYPFENVELFEKNFPGHFVAEGLDQTRGWFYTLMVLSTALFGKPAFRNLICNGLVLAEDGKKMSKRLKNYPSPMEVIGDYGADALRLYLINSPVVRAEPLRFKKDGVYSVVKDVFLPWYNAYRFLVQNAKRLEVEGFAPFVPIDLATLQTSSNVLDQWINSATESLVQFVRQEMDAYRLYTVVPYLLKFIDNLTNIYVRFNRKRLKGRTGEDDCRISLSTLYHASTSYYFQCVVIP >DRNTG_26181.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29145250:29155892:-1 gene:DRNTG_26181 transcript:DRNTG_26181.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTAESHCQHYTMQVLLTTCKAMAPFTPFFTEGLYQNLRKVLDGAEESIHYCSFPSSKGKREERIEQSVTRMMTVIDLARAIRERHNKPLKAPLKEMVVVHPDADFLEDITGKLREYVMEELNVKAIIPCNDPLKYASLRAEPDFSVLGKRLGKSMGTVAKEIKAMSLPDILAFEKSGEVTISGHCLKLNDIKVVRQFKCPDNVTDKEIDAAGDGDVLVVLDLRADESLFEAGLAREVVNRIQKLRKKAGLEPTDIVEVYYEPLDDGKQILENIVSSQEQYIRESLGSSLLHHTLCPPQAVVFYKEEYRGVSGVSFIISLARPALIFKSEAILRLFSGNKMYAEALQTYLLSRDLSNLKSEFQAEKGKKKVGCLEHLPEVEVELGKHLFVSVGDYYLSRTE >DRNTG_26181.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29145250:29155892:-1 gene:DRNTG_26181 transcript:DRNTG_26181.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVCEGKEFSFPNQEERILLLWSDIRAFENQLKRTESKPEYIFYDGPPFATGKPHYGHILAGTIKDVVTRYQSMCGWHVTRRFGWDCHGLPVEFEIDTKLGIRSRDDVFAMGIAAYNEECRGIVTRYVAEWEEVITRTGRWIDFKNDYKTMDPQFMESVWWVFAQLFKKGLVYRGFKVMPYSTGCKTPLSNFEAGLNYKDVPDPAIVVAFPLKDDPQNAALVAWTTTPWTLPSNLALCVNANFVYAKVKDKSSGVIYIVAESRLSSLPSRKTKPSAGNSAAQESSKSKGAANGKFENKGTVDGKVKSGLDTETYELLEKITGASLVGKKYVPLFDYFAEFSNAFRVAADNYVTDDSGTGIVHCAPAFGEDDYRVCVEAGIIQKDEDLVVAVDADGCFTEKISDFHGRYVKDADKDIINAVKAKGRLVSTGSMVHSYPFCWRSDTPLLYRAVPSWFVSVEKIKDQLLESNKQTYWVPDYVKEKRFHNWLENARDWAVSRSRFWGTPLPVWVSEDGEEKVVIDSIDELERRSGIKATDLHRHFIDHITIPSSRGPKHGVLRRVDDVFDCWFESGSMPYAYIHYPFENVELFEKNFPGHFVAEGLDQTRGWFYTLMVLSTALFGKPAFRNLICNGLVLAEDGKKMSKRLKNYPSPMEVIGDYGADALRLYLINSPVVRAEPLRFKKDGVYSVVKDVFLPWYNAYRFLVQNAKRLEVEGFAPFVPIDLATLQTSSNVLDQWINSATESLVQFVRQEMDAYRLYTVVPYLLKFIDNLTNIYVRFNRKRLKGRTGEDDCRISLSTLYHASAFNYL >DRNTG_31562.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001722.1:34327:35867:1 gene:DRNTG_31562 transcript:DRNTG_31562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVATKGRLSQLRSQGPWTSLKCSTPSKRCPISNDSTSE >DRNTG_03854.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15622923:15628983:-1 gene:DRNTG_03854 transcript:DRNTG_03854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSEHESLQFNPLLPCGVRGSTSEKSTDEPHAGKLARVVLAGDSAETNRALSDLPEAEAESVAGYNVDYARDAILNSSLLAPKSVYWILGKHHKYGRPIERECRVVQQSLEEGDSEPRRRKCSGKGQCQKDSGKAHKVWRSEDSLLQKSRPAFPAVKTLLSSGTRPRAEPEASSPSTDLIFPVTKKVDRERERKSFGT >DRNTG_19590.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001023.1:36700:38535:-1 gene:DRNTG_19590 transcript:DRNTG_19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENIDIVAYLNSKTECDNKGHRIVYWLLCYPFFVCFSSKEGTNFSLLDEASAHGNLELEFVIEAWKSLFNSLNCYSNCGHSYGNSFVEGLSTLLNGLLDENDSILQNIDLLKEKPENICIFKLFGEVAICLMEHVQLLDCVLPKSTADSKEDGCCSPIANTLGFVARILGLALTIVEVNAGSDHKIFSRIFSAMATLVNRVFLKQDIILLMGIISDPLLQWLSLCASTHDHMLKGSIIPSLQCLWEQILDTLQNSWPHIIFDSRLLRVHASLLEAAFDHPHLPISEATILFWEPTYGMQTNLHYPPCLVPVLHKLSMEGRITLPKERPGLRRKRFRMVQNYTE >DRNTG_18609.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22271243:22275596:1 gene:DRNTG_18609 transcript:DRNTG_18609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHILLHGNLHATIFEAHSLTDPGRASGSAPRFLRQFVEGIEDTIGIGKGSSKVYATIDLEKARVGRTRRISDEPENPRWYEAFHIYCAHFAANVIFTVKFDNAIGASLVGRAYLPVREILTGAEVERWLEICDEKRNPVGSSKIHVKVQFFDVLKDRSWARGIQSPKFPGVPYTFFSQRQGCKVSFYQDAHVPDNFIPPIPLAGGKYYEPQRCWEDIFDAINNAQHLIYIAGWSVYTEITLIRDSRRQRPGGDATLGELLKRKASEGVRVLMLVWDDRTSGGLLKKDGLMATHDEETANYFLGTDVHCVLCPRNPDDGGSIVQNLQISTMFTHHQKIVVVDHEMPKKTSQQRRIVSFVGGIDLCDGRYDTQFHSLFRTLDTAHHDDFHQPNFTGALIRKGGPREPWHDIHSKLEGPIAWDVLFNFEQRWRKQGGKDLLVQIRDLADIIIPPSPVMFPEDRETWNVQLFRSIDGGAAFGFPQTPEDAARSGLISGKENIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSFGWKADGIKPEEIGALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPEGIPESASVQAILDWQRRTMEMMYTDIVEALQAKRIIANPKDYLTFFCLGNREVKKSGEYTPEEQPEPNTDYSRSQQARRFMIYVHTKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPYHLLTKQPARGHIHGFRMALWYEHLGMLDDVFLQPESLDCVRKVNVIADKYWDIYSSDTLEHDLPGHLLRYPVAVTNEGVITELPGIQCFPDTKARILGTKTDYLPPILTT >DRNTG_04154.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:401372:401957:-1 gene:DRNTG_04154 transcript:DRNTG_04154.1 gene_biotype:protein_coding transcript_biotype:protein_coding WKHAVIRGFAQISGTIVAPDEPNGWDPKNPRIWLYFSKLKGVRIQGGGVIDGSGSKWWASSIDASSGV >DRNTG_15874.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:10244544:10249047:-1 gene:DRNTG_15874 transcript:DRNTG_15874.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEKRSKETTKYENGYFDVLGLCCTLEDSLIEEILKPLKGVQKIEVIAHLENQASTEYLGVQKRPWGRYAAEIRNPSIKKRHWLGTFDTPEKAALAYDMSLITFRGRLVPGNVKTLPPIMW >DRNTG_05553.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12726928:12754914:1 gene:DRNTG_05553 transcript:DRNTG_05553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTDPVSLKLLCLILLQKFLSTSGSRLGNEDEYLPGTSRQCPLHVTCKCTGLSSNKFPGERPLVTIKPQILEFTSMLHFVTHITKTQRSSSSRSSLYCGRKELEKMEIGIWFPHGHVDVSHAPVEFPYGHRVAFSVNGFIGEDLAIIAQVRIRKCDCLRAPPTHCNGLNT >DRNTG_05464.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23153921:23161052:-1 gene:DRNTG_05464 transcript:DRNTG_05464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAQSVARSHAASMNSLIHPISLRNSLKKKKKRFDSCRQFLCKKRKEENWGIKGLKERCQRRVNAIVDQNVEDDEMSGLDSDDDTNLLSIVMKFGGSSVASAERMREVADLILSFPEERPVIVLSAMGRTTNKLLVAGEKAVCCGVSHASAIEELNFIKDLHLRTAEELGLDSSMISVISFMLNDLEQLLKGIAMMKELTLRTRDYLVSFGECMSTRIFAAYMNTIGSKARQYDAFDIGFITTDDFTNADILEATYPAVAKKLHSDWTSDPAMPVVTGFLGKGWKSRAVTTLGRGGSDLTATAIGKALGLREIQVWKDVDGVLTCDPNIHPKAEPVPYLTFEEAAELAYFGAQVLHPQSMRPAREADIPVRVKNSYNPQAPGTLITKERDLSKAVLTSIVLKSNITMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDSVATSEVSISLTLDPSKLWTRDLIQQELDHMVEELEKIAVVRLLRNKSIISLIGNVERSSLILEKVFNVLRKNGVNVQMISQGASKVNISLVVHDSEARKCVRALHSAFFANDLLSEFDAGLQNGLPFVPVENQ >DRNTG_05464.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23153921:23161052:-1 gene:DRNTG_05464 transcript:DRNTG_05464.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAQSVARSHAASMNSLIHPISLRNSLKKKKKRFDSCRQFLCKKRKEENWGIKGLKERCQRRVNAIVDQNVEDDEMSGLDSDDDTNLLSIVMKFGGSSVASAERMREVADLILSFPEERPVIVLSAMGRTTNKLLVAGEKAVCCGVSHASAIEELNFIKDLHLRTAEELGLDSSMISVISFMLNDLEQLLKGIAMMKELTLRTRDYLVSFGECMSTRIFAAYMNTIGSKARQYDAFDIGFITTDDFTNADILEATYPAVAKKLHSDWTSDPAMPVVTGFLGKGWKSRAVTTLGRGGSDLTATAIGKALGLREIQVWKDVDGVLTCDPNIHPKAEPVPYLTFEEAAELAYFGAQVLHPQSMRPAREADIPVRVKNSYNPQAPGTLITKERDLSKAVLTSIVLKSNITMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDSVATSEVSISLTLDPSKLWTRDLIQQELDHMVEELEKIAVVRLLRNKSIISLIGNVERSSLILEKVFNVLRKNGVNVQMISQGASKVNISLVVHDSEARKCVRALHSAFFANDLLSEFDAGLQNGLPFVPVENQ >DRNTG_11542.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21287235:21293658:-1 gene:DRNTG_11542 transcript:DRNTG_11542.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF3-1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G34360) UniProtKB/Swiss-Prot;Acc:Q6NLP2] MAIWRRIRPVVIDPLYHYQTRCLSYLAGAPLLNRACGRRRSSVVEKPLCLSPALESSFISVRSFAAPVQAKPKPKFSMNSSAGPRLNDTITAPFVRVVSDEGHCIVSRLEALDRAKKLNLDLVEVQRHANPPVCKIMDFYKEKFRQEVKVKERVKSKVALRNGENKEIRFTPKTDLKDLKMKADTITRLMERGYRVKCTAMPAGRENEGLPDILSKVLALIEDVSIVESGPHAEASKAYVIVRHVKFAAKKSGKKVSEVLEAASKGVPSTKQSGQDDTSSQDEEEWETVDDSSAIEVEDFNDQIEAKAQSFSSVPHTSESSPSRNFSKELGLSGFKEKDFNRMTDPNSIRNSKLAGFNQNTNTPRPADRSTEPSVVETNRYARQTDPNGRFNQVKPTPRRASTENPVFNQGRQQPPRNTSEGQVQFDPRQRQPSPRNPQVQSDPRQRQSNPTDPVIPSPRYGVFMSSKTASSSDATVSEPNNSSKPSYGIFSGQKVSANQGIQNQGKLGETNSSPRSYGIFSAGTNIASAEKKNPADATADKPGNFNAGRTSFGIFSEPNRAASSDQRNTKDANNPNPSPPRFGIFSSSSDQRN >DRNTG_28279.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11775871:11788925:-1 gene:DRNTG_28279 transcript:DRNTG_28279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCLIWAFSFPMPSFIMEFLKLNKISPARLHPNSWRFLIGSYLFAL >DRNTG_05049.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:243648:245846:-1 gene:DRNTG_05049 transcript:DRNTG_05049.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional aspartokinase/homoserine dehydrogenase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19710) UniProtKB/Swiss-Prot;Acc:O81852] MLSLGLASPSASSPVVAREVLLRRNYGGNPFLYCPHRGKVGRWGCGHALRIASASKTTSKGISCSQISAAITGVSFDQTLQDGLPKGAMWSVHKFDGTCMGTAQRIQSVADISLGDPSERKLIIVSAMSKVTDMLYDLLFKAQSRDDSYMSALDGVFEKHMLTAKELLTGDDLATFLSHLHSDISNLKAMLR >DRNTG_05049.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:238677:243077:-1 gene:DRNTG_05049 transcript:DRNTG_05049.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional aspartokinase/homoserine dehydrogenase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19710) UniProtKB/Swiss-Prot;Acc:O81852] MSYFGANVLHPRTIIPVMKYNIPILIRNIFNLSAPGTIICQQPPDENLNGQSLKTVVKAFATIDNLALVNVEGTGMAGVPGTASAIFGAVKAVGANVIMISQASSEHSVCFAVPEKEVGAVSEALQNRFHEALVAGRLSKVEVIPSCSILAAVGQKMASTPGVSATLFDAIAKANINVRAIAQGCSEYNITLVLKQEDCVRGLRAVHSRFYLSKTTLAMGIIGPGLIGATLLNQLKDQAAALKEKFNIDLRVMGITGSKKMIFSDTGIDEIDLSQWKEIMGEKAEEADLKKFVKVVHENHFFPNTVLVDCTADSNIANHYYDWLCKGIHVITPNKKANSGPLDPYLKLRALQRQSYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFVQSRAFSDVVAEAKQAGYTEPDPRDDLSGTDVARKVIILARECGLKLELCDIPVRSLVPEPLRASSSADEFMQRLPDFDGELAKELNDADSSGEVLRYVGVVDVIDQNGVVELRRYKKDHPFSQLSGSDNIIAFTTTRYKNQPLIVRGPGAGAEVTAGGVFSDILRLASYLGAPS >DRNTG_05049.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:243487:245846:-1 gene:DRNTG_05049 transcript:DRNTG_05049.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional aspartokinase/homoserine dehydrogenase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19710) UniProtKB/Swiss-Prot;Acc:O81852] MLSLGLASPSASSPVVAREVLLRRNYGGNPFLYCPHRGKVGRWGCGHALRIASASKTTSKGISCSQISAAITGVSFDQTLQDGLPKGAMWSVHKFDGTCMGTAQRIQSVADISLGDPSERKLIIVSAMSKVTDMLYDLLFKAQSRDDSYMSALDGVFEKHMLTAKELLTGDDLATFLSHLHSDISNLKAMLRAIYIGIHRPLALCPFFPKHRHQY >DRNTG_05049.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:238677:245846:-1 gene:DRNTG_05049 transcript:DRNTG_05049.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional aspartokinase/homoserine dehydrogenase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19710) UniProtKB/Swiss-Prot;Acc:O81852] MSYFGANVLHPRTIIPVMKYNIPILIRNIFNLSAPGTIICQQPPDENLNGQSLKTVVKAFATIDNLALVNVEGTGMAGVPGTASAIFGAVKAVGANVIMISQASSEHSVCFAVPEKEVGAVSEALQNRFHEALVAGRLSKVEVIPSCSILAAVGQKMASTPGVSATLFDAIAKANINVRAIAQGCSEYNITLVLKQEDCVRGLRAVHSRFYLSKTTLAMGIIGPGLIGATLLNQLKDQAAALKEKFNIDLRVMGITGSKKMIFSDTGIDEIDLSQWKEIMGEKAEEADLKKFVKVVHENHFFPNTVLVDCTADSNIANHYYDWLCKGIHVITPNKKANSGPLDPYLKLRALQRQSYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFVQSRAFSDVVAEAKQAGYTEPDPRDDLSGTDVARKVIILARECGLKLELCDIPVRSLVPEPLRASSSADEFMQRLPDFDGELAKELNDADSSGEVLRYVGVVDVIDQNGVVELRRYKKDHPFSQLSGSDNIIAFTTTRYKNQPLIVRGPGAGAEVTAGGVFSDILRLASYLGAPS >DRNTG_00149.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2427714:2428095:1 gene:DRNTG_00149 transcript:DRNTG_00149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHFTQALLVDGVTPHPRNRSVEAS >DRNTG_09777.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20088155:20090209:1 gene:DRNTG_09777 transcript:DRNTG_09777.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIMYIIFYLLIHTAPVCKCISGGTSPENFNVFASYASVKEDGGDHQDDVFDPADDVLFDGFLSIGTLGSVPVLENYTKFDKEYDNDDDDNDILNGAVTPTFGVPVEIINTRMIKVELEKVIANENKKATRAEESFPVRRASLGELFMKSKLEESIESPVTEKNIPAKPSTAKKRSFRKFMQVFHRKVHPENMSGMMENKVTKEVKQEIKGKPPIGKNFFKSEIYRKIGFSCFKCGSSTSPPMLEESDSCPRISREHWIKTDAEYLVLEL >DRNTG_09777.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20088155:20090209:1 gene:DRNTG_09777 transcript:DRNTG_09777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGWMHRKLWQTGGHNFANAPVCKCISGGTSPENFNVFASYASVKEDGGDHQDDVFDPADDVLFDGFLSIGTLGSVPVLENYTKFDKEYDNDDDDNDILNGAVTPTFGVPVEIINTRMIKVELEKVIANENKKATRAEESFPVRRASLGELFMKSKLEESIESPVTEKNIPAKPSTAKKRSFRKFMQVFHRKVHPENMSGMMENKVTKEVKQEIKGKPPIGKNFFKSEIYRKIGFSCFKCGSSTSPPMLEESDSCPRISREHWIKTDAEYLVLEL >DRNTG_31529.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001714.1:11597:14788:1 gene:DRNTG_31529 transcript:DRNTG_31529.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEKYNAISDWRSLIGPTDAKQAKISHPNSIRAMCGSSTERNCVHGSDSRLSAAREISFFFKDISAEALKHDEL >DRNTG_31529.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001714.1:11597:14788:1 gene:DRNTG_31529 transcript:DRNTG_31529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIQLDEEKAKLFYAEHSAKSFFPDLVRYMMSGPVLVMVIEKYNAISDWRSLIGPTDAKQAKISHPNSIRAMCGSSTERNCVHGSDSRLSAAREISFFFKDISAEALKHDEL >DRNTG_22040.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001228.1:7801:9418:1 gene:DRNTG_22040 transcript:DRNTG_22040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEMHFGVPMAGAVLNTINTRLDAGNVATILKHSEAKILFVDYQYVPLARQALSHLTDDGTPVPLAVVIDDIESPTGVRMGELEYEQLIMSGEEYQYNGVEDEWDAIALNYTSGTTSAPKGVVYSHRGAYLSTMSLLLQWGVGNEPVYLWSLPMFHCNGWTFTWGMAARGGTNVCIRNTAPAEMYRAIADHKVTYMCCAPVVFNIMLEAKNEDRRPITSRIEVLTGGAPPPAALLEKIEDMGFHITHAYGMTEATGPALVCEWMAEWDLRPAEERAELKARQGISVLSLQEVDVKDAKTMSSVPRDGRSLGEVVLRGSNVMKGYLKNSEDTAAAFKDGWFLTGDVGVIHPDGYLEIKDRSKDVIISGGENISSVELETVLYRHPMVREAAVVAMPHPRWGETPCAFVSLVKGYVGVTEEDIVSHCRSNMARFMVPKKVVFMDELPKTSTGKILKFKLREMAKGFQVISEKKPLAVIVKKGYATQENMQATTPTPVILI >DRNTG_22040.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001228.1:7463:9418:1 gene:DRNTG_22040 transcript:DRNTG_22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLPKCGANYTPLSPISFLPRAAAVYSQRTSIIYNSIRFTWKQTYDRCLRLASSLRSLNITKNDVVSVLAPNIPAMYEMHFGVPMAGAVLNTINTRLDAGNVATILKHSEAKILFVDYQYVPLARQALSHLTDDGTPVPLAVVIDDIESPTGVRMGELEYEQLIMSGEEYQYNGVEDEWDAIALNYTSGTTSAPKGVVYSHRGAYLSTMSLLLQWGVGNEPVYLWSLPMFHCNGWTFTWGMAARGGTNVCIRNTAPAEMYRAIADHKVTYMCCAPVVFNIMLEAKNEDRRPITSRIEVLTGGAPPPAALLEKIEDMGFHITHAYGMTEATGPALVCEWMAEWDLRPAEERAELKARQGISVLSLQEVDVKDAKTMSSVPRDGRSLGEVVLRGSNVMKGYLKNSEDTAAAFKDGWFLTGDVGVIHPDGYLEIKDRSKDVIISGGENISSVELETVLYRHPMVREAAVVAMPHPRWGETPCAFVSLVKGYVGVTEEDIVSHCRSNMARFMVPKKVVFMDELPKTSTGKILKFKLREMAKGFQVISEKKPLAVIVKKGYATQENMQATTPTPVILI >DRNTG_09319.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1002804:1005636:1 gene:DRNTG_09319 transcript:DRNTG_09319.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDFHVCNENWWSVARSSSAGFDGPTGMSSVASSISCSSTISHISGGGGYSWAPAEVVEGSTRSAGDEPVGSGSGSTVSYQDSHKVQASDQVPPCVSFMDSSLQVPGFGLSSPMIDWNQALIGSGRVEPSFHAMLQEDLSSRAVFRQGIPMESAQAQGQGSTGGSSANLFKEMNQGLILLEQQHQEQGQGGNFPLVPTSYGYNSVAQGLYEQENKSQQQQSLFQSPMVFQHTDLPQFLKSSSPKHHALSNQLHFSNNTPYWNASGGTAGVDVRPSFYASLPSHFVQQAFEQKPNCNNNLSIKNSEAVTSGTRKSNSEPAFKKPRMETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIDYIKFLHEQVGVLSTPYLKNGNLVQHQQNNEKHKDGEGSKQDLRSRGLCLVPISSTFPVASETPADFWTPTFGGMYR >DRNTG_00739.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000054.1:31057:33964:1 gene:DRNTG_00739 transcript:DRNTG_00739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSDCLRTLSNNSVFLNPRIACIRCRNHSKIPNFVPASSSYGGFSSKQTKSPLKWGSYGGCIPSILVALETFADLDDAFEPWEGNLSCKERTIILKEQTNWRRALSIFDWFKNKGCYELNVIHYNVMLKILGRAQRWDHVRNLWREMQFKCITPTNSTYGTLIDVFSKGGLNKEALLWLGEMYKQGMEPDEVTMGIVVQTYKKAGEFQKAEQFFKKWSSDRNDATQTRNFYGLYTFNTLIDTYGKAGQLKEASDAFAQMLKEGIVPDIVTFNTIIHVSGNNGQLEEVDSLMELMKEIRCDPDTRTYNILISLYAKHDDITTAAGYFSKMKEAGLIPDIVSYRTLLYAFSVRKMVGEAETLIFEMGEHGLKLDEYTHSALTRMYVQAGLPKEAWSWFEKFYTRMSSEGFSANIDAFGEHGHLNLAEKAFSCCLKKQKLSTLVCNVMIKAYGIGKKYDKACELFDSMENYGVSPDKCSYNSLIQILSEAELPEKGASYVRKMQEAGLVSDCIPYSMVMTRFAKLGKLEQAEDLFDEMVTQGIEPDIVIYSILINAFSDIGSIDEALNYVDSMKHVGFALNSIICNSLIKLYTKAGYLLEAQETYKLAKSLDGGPDVYSSNCMIDLYCENSMVKEAEEVFTYLKHRGDASEFSYAMMLCLYKKIGLVDEAYMIADEMHDSGLVTATLSYNSLIRLYTSDGRSWEAIKCFRQMVDSGAQPDDITFKLLATILIRHGASKEAANRLELIRKNDAQSGVHQWIEAVNAMSCLDDNASTLVIK >DRNTG_08711.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18086660:18089201:1 gene:DRNTG_08711 transcript:DRNTG_08711.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGRGTPGYAAPEMWMMGQVTYKCDVYSFGILLFEIAGRRRSFDASLEEDERWFSKWVWERYKNGEMEKVMEKIGVDNEHKGETERMLMVALKCVQYQPERRPPMDKVFGGKKRNDGTSGDGTTLTGRWNHPYTITIYNSQKICLQLRIKLSPFGESYHRPRCIDNLKSPLASHEQGCEDVRRGDGDCASIDQFPAPTSSVAPSTDKWRGPVFIIKWSSIPRTKMLPLFFKIFF >DRNTG_08711.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18086660:18089201:1 gene:DRNTG_08711 transcript:DRNTG_08711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGRGTPGYAAPEMWMMGQVTYKCDVYSFGILLFEIAGRRRSFDASLEEDERWFSKWVWERYKNGEMEKVMEKIGVDNEHKGETERMLMVALKCVQYQPERRPPMDKVFGGKKRNDGTSGDGTTLTGRWNHPYTITIYNSQKICLQLRIKLSPFGESYHRPRCIDNLKSPLASHEQGCEDVRRGDGDCASIDQFPAPTSSVAPSTDKWRGPVFIIKWSSIPRTKMLPLFFKIFF >DRNTG_05535.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16834316:16838820:-1 gene:DRNTG_05535 transcript:DRNTG_05535.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSSNPPSHCSAASPELKLYQTFIFSVPVFFTFILLFLFYLFYLRRRRANWQSLRMRASYLSRADAPRISEPGIKKEVREMLPIVVFKESFLIRETQCSVCLNDYQSDEQLQRIPHCGHTFHVDCIDHWLTANTTCPLCRVSLLPENKTKADLTNNEGQINAVTETEQQSSERHINDRVLQDNQVGSVSIRREVSESVVIDVETSDAAEVNQRV >DRNTG_31307.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3919106:3919943:-1 gene:DRNTG_31307 transcript:DRNTG_31307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQIPTSTAHLTPSISISQSSRPISFKSSPSDLTISRPSSSSPPPQQQQSQPSDSSGISSNFKQNPLLLPRFAPISTLPTINDPFSPATQISTAATQAISPSSPPLHSTSHSPLHRRKTPFNSFSSPKKASKQAPSAANTRSVQTKTASIRSRSTDWARWSARKTGFSARTLVPFILSLFPSILGR >DRNTG_12948.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14853277:14853726:1 gene:DRNTG_12948 transcript:DRNTG_12948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSALSWFFWLLSSTPEMEEKILKEVEEVKAKQGYEKLREMQYLHVVLTESMRLYPPVAMNTVECIEDDMFLDGNFVGKGWFVSYNTYAMGRLKELWGEDCDVFRQERWLEDRVFRPKSRYKYAIFHAGPRTCLVKEVAYIHMKSSRA >DRNTG_03072.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12187743:12206994:1 gene:DRNTG_03072 transcript:DRNTG_03072.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromere/kinetochore protein zw10 homolog [Source:Projected from Arabidopsis thaliana (AT2G32900) UniProtKB/Swiss-Prot;Acc:O48626] MDVLLGSIDVRELLSTHDFDEASPLSAPDLRLLIDRLQVRSIHIQDKVRDYVLSHRHDFTDIFSRCSDAASNADAVAASLSDALRVLSDRPIDLEIRDLVGEIRSKRRELEERREALAVVRTISCLSERLKSAREDLVAGRLVEAAETVRDLKGALFVGDEHGEQEPAVFGLLRDDWNGCFDELQNVLSRSAENAVQFEVEDGKLVLKCWWSIGDRKDIKLHTVLEAMEVVGILNYGLAKVADLMIKHVMKPAVSNGSMNVFVEELSQDDVDKPQAILSLIPSSDAEECPDGSAIYARIIQVIKFIYKFICLQNGSWIHCFGRLMWSRLSDLIISHFLSKAVPEDASKVVDFESVMKHTAEFETMLKEMKFISSNDSSEEKLSHFTHNVEVHFASRKRKEILSKARNILLQFDHEFSSESTSKQFSPASGCTEGFSEYEVNLLFQPEKCFISKAASQLMNLVHEALKDACLSSTRVGKEFYHAARDSLLLYKAIIPVKLEKQLDSISQSAMVMYNDCVYLAQEILGLSFEYRAGLPNNLKEQVVFVDLASNFNQMAEGILLKQVKLVDINLEEALLGANGFQNTHQPQQFELAKFSIDQVVFILEKIHIMWMPLMPASVYKRTMCTILDFVFSEITKDMLLLDDMAAEETLQLQRLIHITLENLSSLFDSITADVNEREKPSKKHIWDQLDKMIPSLSKFRKLADLLDMPLKSITSTWESGELANCGFTSSEVENFIKGNFYRFTAKKRMFMEDTEH >DRNTG_20564.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3572707:3580166:-1 gene:DRNTG_20564 transcript:DRNTG_20564.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMERHFSKNHLRSMTPQAPIDCLNQHDDE >DRNTG_20564.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3572707:3591967:-1 gene:DRNTG_20564 transcript:DRNTG_20564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERHFSKNHLRSMTPQAPIDCLNQHDDE >DRNTG_20560.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3533646:3535425:-1 gene:DRNTG_20560 transcript:DRNTG_20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARHLLLLRRCSTTKLLLPFLSLHPHPIPKTLTPSSSSTVRRFFCSDDGLSDLHGRIVLLTEKPSSSEADADAIRSQLSDLTSRLLSLPDPADPSQILSSSPLLPSFAFLHLLSLLRSRPSLALAAFDWRRHHSDSLSPPIHPEEYAKAITIAGRNHNPELASELLTDARKRRVISTSVYNALMAAYMYNGLTKKAISVFDDLKLDPDCKPSVVTYNILLSVFGRSMMVTPMEAVLRTIEESELSPNISTYNTVIAGYITAWMWDKMESTYRLMEEGPVKPDNHTHLLMLRGYAHSGNLEKMEQVYELVREHVNDKDVQLIRAMICAYCKSNDPERVKKIEALSKFIPEEDYRPWLNVLLIRVYAQEGLMEAMERFIFEAFQRNTIVTTIGVMNSIISGYFQCNAVDRLAGFVRRAEYAGWRLCRSLFHCKMVMYGKEKRWEEMHGVLDEMELFRFDPTKKTYLIMYKAYSEIGRRWEAETVIGKMWKHGFVTPAEVVNSLQST >DRNTG_24710.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17551829:17561876:-1 gene:DRNTG_24710 transcript:DRNTG_24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFSGYQQILEGKRADPKRCSQFWRGCEGGLFQEHLDQSRQWESLFLLG >DRNTG_05665.22 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:9620:13136:1 gene:DRNTG_05665 transcript:DRNTG_05665.22 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPLLLKGTVVAPPDKFGETLQDERPNGGAFIGTDGLHFPPKMMPRSGHLEY >DRNTG_05665.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:9620:16178:1 gene:DRNTG_05665 transcript:DRNTG_05665.17 gene_biotype:protein_coding transcript_biotype:protein_coding KICLGKGIWIAFHLLYHFLKRNIEVQLEKLREIYQEISNLDEVKLKEKGRAFHDSFLMKIPLLLKGTVVAPPDKFGMKILFSSTL >DRNTG_05665.20 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:9590:13136:1 gene:DRNTG_05665 transcript:DRNTG_05665.20 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPLLLKGTVVAPPDKFGETLQDERPNGGAFIGTDGLHFPPKMMPRSGHLEY >DRNTG_05665.31 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:9620:13136:1 gene:DRNTG_05665 transcript:DRNTG_05665.31 gene_biotype:protein_coding transcript_biotype:protein_coding KICLGKGIWIAFHLLYHFLKRNIEVQLEKLREIYQEISNLDEVKLKEKGRAFHDSFLMKIPLLLKGTVVAPPDKFGMKILFSSTL >DRNTG_05665.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:9620:16178:1 gene:DRNTG_05665 transcript:DRNTG_05665.9 gene_biotype:protein_coding transcript_biotype:protein_coding KICLGKGIWIAFHLLYHFLKRNIEVQLEKLREIYQEISNLDEVKLKEKGRAFHDSFLMKIPLLLKGTVVAPPDKFGETLQDERPNGGAFIGTDGLHFPPKMMPNAGMRLYGGAQYHHAMTEFRLVGGGVKCPPITREEIVNACGVEDIHDGTNYSRTACVIAVANARDTFEPFLHQLGFRLLYILKRLLPISVYLLQKDGEYLSGHEVFLRHIEVAFNNFAESTERACRD >DRNTG_05665.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:9620:16178:1 gene:DRNTG_05665 transcript:DRNTG_05665.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPLLLKGTVVAPPDKFGETLQDERPNGGAFIGTDGLHFPPKMMPRSGHLEY >DRNTG_05665.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:9620:16178:1 gene:DRNTG_05665 transcript:DRNTG_05665.14 gene_biotype:protein_coding transcript_biotype:protein_coding KICLGKGIWIAFHLLYHFLKRNIEVQLEKLREIYQEISNLDEVKLKEKGRAFHDSFLMKIPLLLKGTVVAPPDKFGETLQDERPNGGAFIGTDGLHFPPKMMPNAGMRLYGGAQYHHAMTEFRLVGGGVKCPPITREEIVNACGVEDIHDGTNYSRTACVIAVANARDTFEPFLHQLGFRLLYILKRLLPISVYLLQKDGEYLSGHEVFLRHIEVAFNNFAESTERACRD >DRNTG_05665.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:9620:16178:1 gene:DRNTG_05665 transcript:DRNTG_05665.18 gene_biotype:protein_coding transcript_biotype:protein_coding KICLGKGIWIAFHLLYHFLKRNIEVQLEKLREIYQEISNLDEVKLKEKGRAFHDSFLMKIPLLLKGTVVAPPDKFGETLQDERPNGGAFIGTDGLHFPPKMMPNAGMRLYGGAQYHHAMTEFRLVGGGVKCPPITREEIVNACGVEDIHDGTNYSRTACVIAVANARDTFEPFLHQLGFRLLYILKRLLPISVYLLQKDGEYLSGHEVFLRHIEVAFNNFAESTERACRD >DRNTG_05665.27 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:9620:13136:1 gene:DRNTG_05665 transcript:DRNTG_05665.27 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPLLLKGTVVAPPDKFGETLQDERPNGGAFIGTDGLHFPPKMMPRSGHLEY >DRNTG_05665.32 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:9620:13136:1 gene:DRNTG_05665 transcript:DRNTG_05665.32 gene_biotype:protein_coding transcript_biotype:protein_coding KICLGKGIWIAFHLLYHFLKRNIEVQLEKLREIYQEISNLDEVKLKEKGRAFHDSFLMKIPLLLKGTVVAPPDKFGMKILFSSTL >DRNTG_05665.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:9620:16282:1 gene:DRNTG_05665 transcript:DRNTG_05665.1 gene_biotype:protein_coding transcript_biotype:protein_coding KICLGKGIWIAFHLLYHFLKRNIEVQLEKLREIYQEISNLDEVKLKEKGRAFHDSFLMKIPLLLKGTVVAPPDKFGMKILFSSTL >DRNTG_05665.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:9620:16178:1 gene:DRNTG_05665 transcript:DRNTG_05665.19 gene_biotype:protein_coding transcript_biotype:protein_coding KICLGKGIWIAFHLLYHFLKRNIEVQLEKLREIYQEISNLDEVKLKEKGRAFHDSFLMKIPLLLKGTVVAPPDKFGMKILFSSTL >DRNTG_05665.24 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:9620:13136:1 gene:DRNTG_05665 transcript:DRNTG_05665.24 gene_biotype:protein_coding transcript_biotype:protein_coding KICLGKGIWIAFHLLYHFLKRNIEVQLEKLREIYQEISNLDEVKLKEKGRAFHDSFLMKIPLLLKGTVVAPPDKFGMKILFSSTL >DRNTG_05665.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:9620:16178:1 gene:DRNTG_05665 transcript:DRNTG_05665.8 gene_biotype:protein_coding transcript_biotype:protein_coding KICLGKGIWIAFHLLYHFLKRNIEVQLEKLREIYQEISNLDEVKLKEKGRAFHDSFLMKIPLLLKGTVVAPPDKFGMKILFSSTL >DRNTG_05665.23 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:9620:13136:1 gene:DRNTG_05665 transcript:DRNTG_05665.23 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPLLLKGTVVAPPDKFGETLQDERPNGGAFIGTDGLHFPPKMMPRSGHLEY >DRNTG_05665.29 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:9620:13136:1 gene:DRNTG_05665 transcript:DRNTG_05665.29 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPLLLKGTVVAPPDKFGETLQDERPNGGAFIGTDGLHFPPKMMPRSGHLEY >DRNTG_05665.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:9620:16178:1 gene:DRNTG_05665 transcript:DRNTG_05665.15 gene_biotype:protein_coding transcript_biotype:protein_coding KICLGKGIWIAFHLLYHFLKRNIEVQLEKLREIYQEISNLDEVKLKEKGRAFHDSFLMKIPLLLKGTVVAPPDKFGMKILFSSTL >DRNTG_05665.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:9620:16178:1 gene:DRNTG_05665 transcript:DRNTG_05665.7 gene_biotype:protein_coding transcript_biotype:protein_coding KICLGKGIWIAFHLLYHFLKRNIEVQLEKLREIYQEISNLDEVKLKEKGRAFHDSFLMKIPLLLKGTVVAPPDKFGMKILFSSTL >DRNTG_05665.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:9620:16178:1 gene:DRNTG_05665 transcript:DRNTG_05665.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPLLLKGTVVAPPDKFGETLQDERPNGGAFIGTDGLHFPPKMMPRSGHLEY >DRNTG_05665.28 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:9620:13136:1 gene:DRNTG_05665 transcript:DRNTG_05665.28 gene_biotype:protein_coding transcript_biotype:protein_coding KICLGKGIWIAFHLLYHFLKRNIEVQLEKLREIYQEISNLDEVKLKEKGRAFHDSFLMKIPLLLKGTVVAPPDKFGMKILFSSTL >DRNTG_05665.26 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:9620:13136:1 gene:DRNTG_05665 transcript:DRNTG_05665.26 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPLLLKGTVVAPPDKFGETLQDERPNGGAFIGTDGLHFPPKMMPRSGHLEY >DRNTG_05665.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:9620:16178:1 gene:DRNTG_05665 transcript:DRNTG_05665.11 gene_biotype:protein_coding transcript_biotype:protein_coding KICLGKGIWIAFHLLYHFLKRNIEVQLEKLREIYQEISNLDEVKLKEKGRAFHDSFLMKIPLLLKGTVVAPPDKFGETLQDERPNGGAFIGTDGLHFPPKMMPNAGMRLYGGAQYHHAMTEFRLVGGGVKCPPITREEIVNACGVEDIHDGTNYSRTACVIAVANARDTFEPFLHQLGFRLLYILKRLLPISVYLLQKDGEYLSGHEVFLRHIEVAFNNFAESTERACRD >DRNTG_05665.25 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:9620:13136:1 gene:DRNTG_05665 transcript:DRNTG_05665.25 gene_biotype:protein_coding transcript_biotype:protein_coding KICLGKGIWIAFHLLYHFLKRNIEVQLEKLREIYQEISNLDEVKLKEKGRAFHDSFLMKIPLLLKGTVVAPPDKFGMKILFSSTL >DRNTG_05665.21 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:9620:13136:1 gene:DRNTG_05665 transcript:DRNTG_05665.21 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPLLLKGTVVAPPDKFGETLQDERPNGGAFIGTDGLHFPPKMMPRSGHLEY >DRNTG_05665.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:9620:16178:1 gene:DRNTG_05665 transcript:DRNTG_05665.6 gene_biotype:protein_coding transcript_biotype:protein_coding KICLGKGIWIAFHLLYHFLKRNIEVQLEKLREIYQEISNLDEVKLKEKGRAFHDSFLMKIPLLLKGTVVAPPDKFGMKILFSSTL >DRNTG_05665.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:9620:16178:1 gene:DRNTG_05665 transcript:DRNTG_05665.12 gene_biotype:protein_coding transcript_biotype:protein_coding KICLGKGIWIAFHLLYHFLKRNIEVQLEKLREIYQEISNLDEVKLKEKGRAFHDSFLMKIPLLLKGTVVAPPDKFGETLQDERPNGGAFIGTDGLHFPPKMMPNAGMRLYGGAQYHHAMTEFRLVGGGVKCPPITREEIVNACGVEDIHDGTNYSRTACVIAVANARDTFEPFLHQLGFRLLYILKRLLPISVYLLQKDGEYLSGHEVFLRHIEVAFNNFAESTERACRD >DRNTG_23360.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5933188:5936402:-1 gene:DRNTG_23360 transcript:DRNTG_23360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSPGSNLGSAKEEQGSEDLKQSTADMTQFVQNLLVQMQNRFQSMSDSIISKIDEMGNKIDELEQSINHLKAEMGMDGAVKATTPEETKPSEGTD >DRNTG_23360.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5933188:5936402:-1 gene:DRNTG_23360 transcript:DRNTG_23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSPGSNLGSAKEEQGSEDLKQSTADMTQFVQNLLVQMQNRFQSMSDSIISKNILFANCSNLHFVLLILNISKMFTKGQRLVEPLKSLKYYLSSLCFRFWRSFTHQDDKFSFALQPSIPKVDLIPACKRLMINLSWLLYL >DRNTG_23360.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5933188:5936402:-1 gene:DRNTG_23360 transcript:DRNTG_23360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSPGSNLGSAKEEQGSEDLKQSTADMTQFVQNLLVQMMKWETR >DRNTG_11171.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4420540:4420763:1 gene:DRNTG_11171 transcript:DRNTG_11171.1 gene_biotype:protein_coding transcript_biotype:protein_coding PNPNPQIWSPHLPPSASTAPQTISISSPSSSDDTTVVVAMLNPIDFLALQEIHSSLEDTPGSNFLLAWDFSSDP >DRNTG_24120.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:252403:254417:1 gene:DRNTG_24120 transcript:DRNTG_24120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYADRLEASGKSLVELLSTNTVVLSSQFGMKRGHVVRFVDRASACRISMPPNSTLPARQKSIPVVSKNEVFDRSITPRRMQMARSPLRGSSKYEMSIEQSELDYKIKEGYVFKGVVAAEPAEPWLCGCIQPPPIADDVAPYGSIENISVQKLAPEYKVGMERLVIAKAPPMKASDLWRNKPTILLCIRRPGCIMCRAEAHQLYARKPIFDVLGVQLIAVLHEQIESEVKDFWPRYWGGTVILDRNLDFYRALGGGELLKDKFVSGFLLNRRAIGNYRRARATGLEYNYTGEGEIKGGLFVVASGRRGIAYQFIERNFGDWAPVSEVIEICSRIQNLFPNQEESNTASFSLA >DRNTG_24120.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:252055:254417:1 gene:DRNTG_24120 transcript:DRNTG_24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAMDEFLGDGELKGLIPKLLSCGWDDVPTIKMMNSEDMDHLHLTQQQKDALELRSYLHDRALMEYADRLEASGKSLVELLSTNTVVLSSQFGMKRGHVVRFVDRASACRISMPPNSTLPARQKSIPVVSKNEVFDRSITPRRMQMARSPLRGSSKYEMSIEQSELDYKIKEGYVFKGVVAAEPAEPWLCGCIQPPPIADDVAPYGSIENISVQKLAPEYKVGMERLVIAKAPPMKASDLWRNKPTILLCIRRPGCIMCRAEAHQLYARKPIFDVLGVQLIAVLHEQIESEVKDFWPRYWGGTVILDRNLDFYRALGGGELLKDKFVSGFLLNRRAIGNYRRARATGLEYNYTGEGEIKGGLFVVASGRRGIAYQFIERNFGDWAPVSEVIEICSRIQNLFPNQEESNTASFSLA >DRNTG_04291.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30036381:30053055:1 gene:DRNTG_04291 transcript:DRNTG_04291.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELFGHLQNLTYLDLSGIDMSISDGIAGSSVLFPSLHTLTLQSCNLTVIPSFLKHKKNFGSLDLSNNRINGIIPRWIWSIGSFIESMNFSYNSFTDIEKPFLKHSNNVEFLDLSSNRIGGTIPSWLWSSGVLYLNLSCNLFTSVEGSFSNPSTVISIIIDLHSNLLQGPIPLPPPNSTFVDYSNNLFTSSIPFNISYYLKKTIFFSLSNNSLTGEVPSSICSATKLYIFDISHNNLSGSIPACLFESLIDLRVLNARENSFQGSMPQKVSSRCAIQTINLNGNKLEGVIPSSWANCAELEVLDLGRNKLADSFPYWLMDLPALKVLVLKENRFFGHLAGICEGYLPFMTLQIFDISSNHFIGSLPSECFKSMKAMTVPQGQTETIRHTPNGIVWSGTPYYYQDMVTVNLKGSEIELVKILTIFTYIDLSNNRFVGSIPHVIGDLKSLHSLNMSLNAFTGEIPRVLGDMSALEALDLSRNQLSGVIPSSLTSLTFLAFLNLSNNNLVGRIPQSYQFSTFSNSSFEGNPGLCGSPLSNDCINSTSMEPSSDSKNAPTEFDMDEIWFWMFTGLGYGVGFAAAIIYQLFFTKWKMWYKRRFMTR >DRNTG_06613.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:485314:487313:1 gene:DRNTG_06613 transcript:DRNTG_06613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSTSISWTIVEHLVRIRAKPPSSHLIAVQTLTTSDHALHDDFSSDVENIYRILRKFHSRPDKLHLALHDSGASLRPGLVERVLSRCGDAGALGFRFFTWASKQPDYHPSPTIYKSVVKMLGKMRQFGAAWALVDEMRRETPDFITPEVFIVLMRRFASSRMVSKAVEVLDEMPKYGCEPDEYVFGCLLDALCKNGSVKEASSLFEDMRDRFPPTLRHFTSLLYGWCKAGKLIEAKVVLVRMREAGFDPDIVVYNTLLSGFASAGKIEDGYDLLREMRRKGCEPNAASYTTLIQALCSKDRMDEAMHVFVEMRRCNCAADVVTYATLISGFSKAGKIDKAYEFIDEMIERGCKPNASVYLSVFLALEKKENLEECLELKLRIVKAGCFPDLGVYNTVIRLACKIGELKQAMVLWDEMEASGLSPGLDTFVIMIHGFLHQGLLIEACKYFKEMVARGLLSTPHYGTLKDLLNSLLRAEKIELAKEVWECIVSKGIQLNVYAWTIWIHALFSNKHVKEACSYCLDMMDAGLMPQPDTFAKLMKGLKKLYNRQIAAEITEKVRKMAAERNVTFKMYKRRGVMDLEKKKARAKAKAKKDKGKRRAWKGQPANSGGGRGHGRANLLDIYSEDDVPHMHNSHCNNQQLRSSSSVVEFKR >DRNTG_06613.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:485314:487273:1 gene:DRNTG_06613 transcript:DRNTG_06613.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSTSISWTIVEHLVRIRAKPPSSHLIAVQTLTTSDHALHDDFSSDVENIYRILRKFHSRPDKLHLALHDSGASLRPGLVERVLSRCGDAGALGFRFFTWASKQPDYHPSPTIYKSVVKMLGKMRQFGAAWALVDEMRRETPDFITPEVFIVLMRRFASSRMVSKAVEVLDEMPKYGCEPDEYVFGCLLDALCKNGSVKEASSLFEDMRDRFPPTLRHFTSLLYGWCKAGKLIEAKVVLVRMREAGFDPDIVVYNTLLSGFASAGKIEDGYDLLREMRRKGCEPNAASYTTLIQALCSKDRMDEAMHVFVEMRRCNCAADVVTYATLISGFSKAGKIDKAYEFIDEMIERGCKPNASVYLSVFLALEKKENLEECLELKLRIVKAGCFPDLGVYNTVIRLACKIGELKQAMVLWDEMEASGLSPGLDTFVIMIHGFLHQGLLIEACKYFKEMVARGLLSTPHYGTLKDLLNSLLRAEKIELAKEVWECIVSKGIQLNVYAWTIWIHALFSNKHVKEACSYCLDMMDAGLMPQPDTFAKLMKGLKKLYNRQIAAEITEKVRKMAAERNVTFKMYKRRGVMDLEKKKARAKAKAKKDKGKRRAWKGQPANSGGGRGHGRANLLDIYSEDDVPHMHNSHCNNQQLRSSSSVV >DRNTG_34893.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21838520:21841897:-1 gene:DRNTG_34893 transcript:DRNTG_34893.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCKLALVSINIEMETRGNTLFLLLLCSNWALCVMMITLTVEATLHGNETDRFALLVFKSGITSDPTGVLNSWNNTISVCDWDGVSCRDKHLKRVTSLDLSYRGLQGSISPFIGNLSFLRSVLLMNNGLYGDIPSEFGQLQQLRLINLSFNSLNGVIPASPSLCSELITIQLENNQLSGIIPAELGCMTKLESLNLANNNLTGVIPSSLANLSSLSEVYFFSNWLQGNIPEELGGLTKLEVFEASVNMLSGKIPTQLFNLSSLNTFAAASNNLHGSLSSIDIKLQKLQALYLGENQFTGTIPASLTNISSLWELDISTNSFSGKIPTGFRGLQNLVFFNVISNQLQADIAEDWEFLDSLTNCSQLQFLGFGMNNMGGTLPSSVVNLSTTLQILAIGYNRMTGSLPSGIQNLINLISLDVSTCNLRGEIPAEIGKLSNLQWLGLSSNKFTGQIPTSIGNLTQLNVLNFSWNSFEGHLPTTLGNLQKLSLLDISHNNFTGEIPKEVVTLPYISQYIDLSYNQLEGSLPSEISNLKKLGQLSISGNKLSGEIPATIGFCESLEILALDNNLFRGTIPATLSDMKGLRKLSLSHNNLSGLVPPSLSTLKGLEMLDLSHNNLSGPIPELLQNLNFLFFLNLSYNNFYGEVPVNGVFANSTAISLSGNEGFCGGILQLHLPACPPETKQERKGQYVWLKIIIPIAIAVIFLSIFSLAYWKLKLRKKSLVISPLEEERYPRVTYAELDRATGGFSSDNLVGSGRYGTVYKGSLDNGRTMVAVKVFKLQNRGASKSFLAECKALRAIRHRNLIKIITSCSSVDRQGRDFKALVFEYMPNGSLDQCLHPEDHSHQHQMNHLNLIQRVNIAIDIANALDYLHHNCQPPMVHCDLKPSNMLLNSEMDAIVGDFGISKFLCEAVSEPLQDSSFSNAIKGTVGYVAPDYGAGGQVSISGDVYSYGILLLEMFTGKRPTDDMFTDGMSLRKFVEAGVISEQYMEIIDPKIFGHIEGDIVTNNEIMQINECLDSLLKVGLACSDPSPRERMSMTDVAAKMHAIRNSFFAM >DRNTG_16956.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:184835:185326:-1 gene:DRNTG_16956 transcript:DRNTG_16956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAAAAAASASLNSKNNGQQQQQQTQQSPPMLNAPAAATTAAATAASSPALTRCLSFQSSSTAAGALSPPPQLLANPTSNLCKLQA >DRNTG_31953.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2287408:2296196:-1 gene:DRNTG_31953 transcript:DRNTG_31953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIVVVSMTLHFLIRNKSLSIITCGDDKTIKVWDATTGQKQYIFEGHEAPVYSVCPHYKEAIQFIFSTAIDGKIKAWLYDCPSSRVDYDAPGHWCTTMAYSADGSRLFSCGTSKEGESFLVEWNETEGSIKRTYYGFKKRSLGVVQFDTTRNRFLAAGDEFMIKFWDMDITSTLTTTDAEGGLPASPRLRFNKEGSLLAVTTSDNGIKILANSDGQRLIRILESRTSEGSRGPQQINIKPPIISGLAVSSVSAPLAASLDRIPAAMSMSSLAAADSNRTGDVKPRISDDVDKIKIWKLAEIIDSAQLKALKLPDSLSAMSKVVRLLYTNSGFAVLSLGSNAVHKLWKWQRTERNPSGKSTALVAPQLWQPSSGTLMTNEINDSIPAEESSACIALSKNDSYVMSASGGKISLFNMMTFKVMTTFMSPPPAATFLAFHPQDNNIIAIGMEDSTIQIYNVRIDEVKTKLMGHLKKITGLTFSQAMNVLVSSGADAQLCVWSIDGWEKRKSKFIQPPPGRASPLVGDTKVQFHNDQTHLLVVHESQLAIYDSKLECLRSWSPRDSLPAPISSAIYSCDGLLVYAGFCDGAVGVFDAESLRLRCRISPAAYLTSSIASGSVYPTVIAAHPAEPNQFALGMSDGAVHVVEPSDAEPKWGSLPPPQENGTLPSISNPALSNQTSEPPAR >DRNTG_31953.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2287408:2296196:-1 gene:DRNTG_31953 transcript:DRNTG_31953.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIVVVSMTLHFLIRNKSLSIITCGDDKTIKVWDATTGQKQYIFEGHEAPVYSVCPHYKEAIQFIFSTAIDGKIKAWLYDCPSSRVDYDAPGHWCTTMAYSADGSRLFSCGTSKEGESFLVEWNETEGSIKRTYYGFKKRSLGVVQFDTTRNRFLAAGDEFMIKFWDMDITSTLTTTDAEGGLPASPRLRFNKEGSLLAVTTSDNGIKILANSDGQRLIRILESRTSEGSRGPQQINIKPPIISGLAVSSVSAPLAASLDRIPAAMSMSSLAAADSNRTGDVKPRISDDVDKIKIWKLAEIIDSAQLKALKLPDSLSAMSKVVRLLYTNSGFAVLSLGSNAVHKLWKWQRTERNPSGKSTALVAPQLWQPSSGTLMTNEINDSIPAEESSACIALSKNDSYVMSASGGKISLFNMMTFKVMTTFMSPPPAATFLAFHPQDNNIIAIGMEDSTIQIYNVRIDEVKTKLMGHLKKITGLTFSQAMNVLVSSGADAQLCVWSIDGWEKRKSKFIQPPPGRASPLVGDTKVQFHNDQTHLLVVHESQLAIYDSKLECLRSWSPRDSLPAPISSAIYSCDGLLVYAGFCDGAVGVFDAESLRLRCRISPAAYLTSSIASGSVYPTVIAAHPAEPNQFALGMSDGAVHVVEPSDAEPKWGSLPPPQENGTLPSISNPALSNQTSEPPAR >DRNTG_02884.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:833364:833628:-1 gene:DRNTG_02884 transcript:DRNTG_02884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHRGTKRVQLREGSVVTSQLFGHCRELIIYSTSARHHAVRHEVNESTKLMQQRKGRGSREERRRRREKKRDENWRRRQG >DRNTG_19225.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18983498:18984256:-1 gene:DRNTG_19225 transcript:DRNTG_19225.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 26 [Source:Projected from Arabidopsis thaliana (AT5G07100) UniProtKB/Swiss-Prot;Acc:Q9C5T3] MKEQKKSDDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERSIDGQITEIVYKGTHNHCKPQSTRRNSASSQAYQAVAPTETNEHSYGTPIDSAATPENSSVSFEDDDVDMSSKRSKTGSENFDDDDNNEHESMSAAGNRTVREPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNP >DRNTG_05309.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12669654:12673795:-1 gene:DRNTG_05309 transcript:DRNTG_05309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKNSRNGGRIYHVGGERGCSCTSRLTLSTLSNLALSNDRGVSLTHKNLSKTLANTPQNPSRSPLSSWGKDGEKNIKIGAESALNRAGIGQLHGRGRYTRPCGISTLCFSDLSADCEQRCYSNCCIVTTVLCYSLRPE >DRNTG_34481.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18839670:18841623:-1 gene:DRNTG_34481 transcript:DRNTG_34481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGCGGGGGVCVGGDSKVETIARLAQWRIEGFGACSYRRSDPFKLGIWNWFLSVEKNRYMYIRLFPEPCRVSKDQPPFAKFILRVSNSGPGRRPYISPVHERLLRTSEDFVWPIDSTFHGRFVIDVEFIDLKISTLNGAEACSIWPNEGIMQTITRRTTLRCLSRMLEESIHTDVTINTADGVLKAHKAVLAASSPVFVSMFLHNLKEKESSMINIDDMSMEACSCLLSYIYGTIKQEDFWKHRLSLLGAANKYDIADLKECCEESLLEDINSSNVLERLHEAWLYQLSKLKKGCLTYLFDFGKIQDVRDEINSFFRHADRDLMIEMFQEVLTVWKPV >DRNTG_20822.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20750254:20754920:1 gene:DRNTG_20822 transcript:DRNTG_20822.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKDIAGTLAMEEAGDLPHVNKLRSALESVEHKRRKILQQMRSDAALITKEEGGSPIHNPSTAAEDARLASLISLESILKQVKVSHRQLYFAITFCSEPVTEICNKKAQAATNADWLTILSFVIAVLVIVMATFATGVDSNCCQMDNRCWRQYYLHCQID >DRNTG_26306.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21338736:21339443:-1 gene:DRNTG_26306 transcript:DRNTG_26306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRRRTRVLGIKDYTETPLKKARGKSEKLGVTVFVNGEFGFDFRSVSTISDRIRI >DRNTG_16924.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22004175:22004713:1 gene:DRNTG_16924 transcript:DRNTG_16924.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHCEDKSATREVAGQMAEFARLRFPVGSNLQPMKKVQSHLTERSMSAKSLEMGDLEDSSLERNSNEDLLSRQHLSAINSKQSDYENDDTHNK >DRNTG_16924.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22004175:22005207:1 gene:DRNTG_16924 transcript:DRNTG_16924.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEILQLWMYSLPSKMLWLGSLGHDASESVVRMQLEDFGPIEQFLFFSSKDFALIEYRNIMDAVKSTEYMQGSSLWVAAFVLNS >DRNTG_16924.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22003850:22004713:1 gene:DRNTG_16924 transcript:DRNTG_16924.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSHMQAIGKDISLRGWIQARFKNLSDSSTSKAYPELPSFLEKIFNPILEASERLELKDHREDKVDPSKFNNHRYLADKIPVQYGIAAEKPDASSTDMHCEDKSATREVAGQMAEFARLRFPVGSNLQPMKKVQSHLTERSMSAKSLEMGDLEDSSLERNSNEDLLSRQHLSAINSKQSDYENDDTHNK >DRNTG_16924.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22004175:22004911:1 gene:DRNTG_16924 transcript:DRNTG_16924.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHCEDKSATREVAGQMAEFARLRFPVGSNLQPMKKVQSHLTERSMSAKSLEMGDLEDSSLERNSNEDLLSRQHLSAINSKQSDYENDDTHNKVRGGEAAKRSDT >DRNTG_16924.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22003850:22004911:1 gene:DRNTG_16924 transcript:DRNTG_16924.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSHMQAIGKDISLRGWIQARFKNLSDSSTSKAYPELPSFLEKIFNPILEASERLELKDHREDKVDPSKFNNHRYLADKIPVQYGIAAEKPDASSTDMHCEDKSATREVAGQMAEFARLRFPVGSNLQPMKKVQSHLTERSMSAKSLEMGDLEDSSLERNSNEDLLSRQHLSAINSKQSDYENDDTHNKVRGGEAAKRSDT >DRNTG_16924.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22002223:22005207:1 gene:DRNTG_16924 transcript:DRNTG_16924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILQLWMYSLPSKMLWLGSLGHDASESVVRMQLEDFGPIEQFLFFSSKDFALIEYRNIMDAVKSTEYMQGSSLWVAAFVLNS >DRNTG_28767.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24229507:24230995:-1 gene:DRNTG_28767 transcript:DRNTG_28767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLEQYPSQTKLFGRERPLHELFGGGRVADLVLWRNKQLSGGVLAIATLIWFLFEVMEYNFITLMCHIAIAVMLFIFIWANAAALLEKPPPQLPDIILSEQGFKKVSLVFQEELNLFLSFLHEIAIGKNLKLFLLTIAGLWIVSVMGNYFNPLSFLYFGYLCIQTLPVMYEQYENDVDSLAYQVVHDAKKLFMRFNENVLNKIPRGPVKEKKFN >DRNTG_25130.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4064172:4065020:-1 gene:DRNTG_25130 transcript:DRNTG_25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQKIALGVYDGHCGSELHHGVAAVGYGTSKGQDYIIVKNSWGPKWGEKGYMKMKRNTGKPEGLCGINKMPSYPTKKK >DRNTG_18403.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3972334:3982010:1 gene:DRNTG_18403 transcript:DRNTG_18403.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCGSGRIIKNVDNGTNWRRHSPSKRSLDHSNHKYSLKESEVSALVLRNPDGRCIYFTLVAFGLNGPLNFLAPFLLPVQGSDKTYNLESGAQPDAGSLESSSPPLAVSFHVDLQKEQNMSECKSSVQSLLGQNLRPKTTGEFRKRNKRTKSVRNSSSNTSGNCLSPENSSGAFCDGASAVCSDILLPDVSKAIKPVKKNSRKKGKKKGKHSKQYIHRKASTHVETQCVEDVCAASVSEASGRSSHSSETNLSENSFSSSLYIEDINMEKDDGAENNIDLRNSITTVVEGRTCGTEMKDCEVPLLVRSDNEDFSCTQSCTDEDSILMRRSSVTESPETPLSLCDENTSKEHLKGKSSYGVASSTSMDPHIAPVTDVSLDGWKSDISENCIDDIEVQTPVKHENTVNSSTRNLVSDCRINGSLFQNLNVDLCEMSSDGSNDILDVRSGSERAQHSSEACHSNDFVLVDKKRRLARKMDKKLNGVSEFGGSKIHGRSGKDNNHRVWQKVQKNDGKSFLMKSNEFSPLVNFALKRSETKGLLDTPLASEQNNVQKASISAGLDEMPVQSYLMKAASNVDSSDHPSKSTSAGLVDATNRKSGLVSKQTNHSPRKGSNTFRTSMVGHSVIRIQQKEGLESPRQVNQNKLMNIGLVSPGNTEIQSLFVTPPDELECHPSKQLQKNQILMDETKYAEDVGRLVYENDDKDSSFDEDNRIFRLPKMGDASHVPQSEVYHDSSFAIMTGDVPDVSSVISNSKSEKCAKSEMGNCHEEYIKKSSSIGPLSQKWVPLGSKHPVVFKDNHGNSLVSSFTDSTLAASGLQGLNQIVEDADCTLNEADNCTSNFRCPADVDGELACVSAVTDQHVTDEVMDKCVSEFRTDLNMIVKAVNDSYKSQIVSENVQLVYGSPIAEFESFLHFASPVLTQTDYIRSCKVGPQKQLPCEVLCPSQTPRISLGSLWQWYEKPGSYGLEVKVGAYNNLKRCQSHYGFRAYFVPYLSAVQLFGRRSSSLCRTNESLKVMETSGMHESTSPSLGSLPIFSKLCPRPRKVADTFMSESASSCKEEFCNQTAKSTHFSDGELIFEYFESGQPQQRRPLNEKIKELVEGNDASNCQIFGDPAYLEHLNLHELHPASWYSVAWYPIYRIPDGNLRAAFLTYHSLGHFTRRRTSLNTSCGATSLVSPVVGLQSYNTKGECWFQPKQFKQQATQTEGVDISHPSGILKERLRTLEQTASVMARASVQKDGRRSANRQPDYEFFVSRKW >DRNTG_18403.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3972334:3982010:1 gene:DRNTG_18403 transcript:DRNTG_18403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCGSGRIIKNVDNGTNWRRHSPSKRSLDHSNHKYSLKESEVSALVLRNPDGRCIYFTLVAFGLNGPLNFLAPFLLPVQGSDKTYNLESGAQPDAGSLESSSPPLAVSFHVDLQKEQNMSECKSSVQSLLGQNLRPKTTGEFRKRNKRTKSVRNSSSNTSGNCLSPENSSGAFCDGASAVCSDILLPDVSKAIKPVKKNSRKKGKKKGKHSKQYIHRKASTHVETQCVEDVCAASVSEASGRSSHSSETNLSENSFSSSLYIEDINMEKDDGAENNIDLRNSITTVVEGRTCGTEMKDCEVPLLVRSDNEDFSCTQSCTDEDSILMRRSSVTESPETPLSLCDENTSKEHLKGKSSYGVASSTSMDPHIAPVTDVSLDGWKSDISENCIDDIEVQTPVKHENTVNSSTRNLVSDCRINGSLFQNLNVDLCEMSSDGSNDILDVRSGSERAQHSSEACHSNDFVLVDKKRRLARKMDKKLNGVSEFGGSKIHGRSGKDNNHRVWQKVQKNDGKSFLMKSNEFSPLVNFALKRSETKGLLDTPLASEQNNVQKASISAGLDEMPVQSYLMKAASNVDSSDHPSKSTSAGLVDATNRKSGLVSKQTNHSPRKGSNTFRTSMVGHSVIRIQQKEGLESPRQVNQNKLMNIGLVSPGNTEIQSLFVTPPDELECHPSKQLQKNQILMDETKYAEDVGRLVYENDDKDSSFDEDNRIFRLPKMGDASHVPQSEVYHDSSFAIMTGDVPDVSSVISNSKSEKCAKSEMGNCHEEYIKKSSSIGPLSQKWVPLGSKHPVVFKDNHGNSLVSSFTDSTLAASGLQGLNQIVEDADCTLNEADNCTSNFRCPADVDGELACVSAVTDQHVTDEVMDKCVSEFRTDLNMIVKAVNDSYKSQIVSENVQLVYGSPIAEFESFLHFASPVLTQTDYIRSCKVGPQKQLPCEVLCPSQTPRISLGSLWQWYEKPGSYGLEVKVGAYNNLKRCQSHYGFRAYFVPYLSAVQLFGRRSSSLCRTNESLKVMETSGMHESTSPSLGSLPIFSKLCPRPRKVADTFMSESASSCKEEFCNQTAKSTHFSDGELIFEYFESGQPQQRRPLNEKIKELVEGNDASNCQIFGDPAYLEHLNLHELHPASWYSVAWYPIYRIPDGNLRAAFLTYHSLGHFTRRRTSLNTSCGATSLVSPVVGLQSYNTKGECWFQPKQFKQQATQTEGVDISHPSGILKERLRTLEQTASVMARASVQKDGRRSANRQPDYEFFVSRKW >DRNTG_16908.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4966813:4972355:-1 gene:DRNTG_16908 transcript:DRNTG_16908.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLSSSDYLVKPDVQCYRATLNVPRVSLLPAYSACTRAAACRTSSISHLCVACTHAYRHMPDYKLMKCRILAWDFSFETPVEREKLKLQRISRVTPREELTGAVYLFFSTLVFSFSIFSRLELSLTCKASINAVFPFPPRLAKKRRTIASCSPRPANDETIATPSAADVVTESVTVDDMAMTVEDIVDNVAVAAVEKIVNSLVNEIPDLVEPAAESEASKMDTIPEEQEQAKGLSPDYAVAVATVEKIVESVAVAIDVADSTTSKQDTIPQQQEPCKDVSAIDVVAVVPASKPDAIPQQEQPRKDVSAVDAVIVVPSSKEDAAGAKHREGSTTVPHEDPDQATREMIKANQQSDETARKAFIPKKKKWVGQSRLNKYEQELIRIFLNCSMDSTVVWKNDAVSTTRDKLYTMLEGKEMVTDDVMDAFVCIIQKSLSKVPYPYKKRASITRPLALFMSKQDDAYETTMAMIGDDMRNLHEVQIVILPIIMNGHFHVVLDNDKQEYMHYSSCAGYDKDALDMQNLFDNYVNMEFGESAMTKYPLVHDMETPRQKTRKRRLRRLRHAVYRAITLG >DRNTG_06549.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22707170:22707802:-1 gene:DRNTG_06549 transcript:DRNTG_06549.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNLGQGACCALEDAIVLSRKLAGAIKNGPESIDKALRDYSLERWPLDFPINNPCQPCGGAIAVGQAKVGFLIS >DRNTG_17462.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21475034:21476417:1 gene:DRNTG_17462 transcript:DRNTG_17462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTINSNTTIERKKTVLEAAIDEDSAQNDPTIENNIHNFDKQLSKSSSTSSSSSQSSSSEDGDFFQLELGKPALPTEQEPGLIAGLEPTQSPPMQAMSRSDGNPDPNRIPSSVFERTKSTTPMEWSVASNESLFSIHLGNNSFSRDHVLLLKSGDLTNFYVGNLDAFPPPNSAMMPIPAPVSIDLALALEQNDNVAQAMNAEAMKDVMRANAEQHSGSAGKPLVELPPLGNSLSRNSDASVQSFRSFAFPILTVEGRNASVRAVESEQIEREQQQTIETPKETPNAAAAPAQSRWFPCFSCAFCS >DRNTG_04055.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000193.1:33491:38060:-1 gene:DRNTG_04055 transcript:DRNTG_04055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAGPSPPLFTLRYTGDATTAPPPPATTL >DRNTG_23045.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3295119:3298564:-1 gene:DRNTG_23045 transcript:DRNTG_23045.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQFYSCITAFHLYRILSDSIPIKGRKRVPYLVIATSLSLVPWVILGSPTPMRSSRNFLTILLTLQNIGSAMADVVIDAMIAEAVRSELAAFAGDLQSLSWSAMAIGGICGSLLGGYTLSNLKIETIFLLFSVLPTIQLISCSFVSEVPSGDIETVNGKENGYLKDDMNGRPSNGNYMSHEKSKPESLRRRKNGPQHGQRQAITTKPNITEQKRRSLIARSFISIKTSIFDLCKAFKKPIILR >DRNTG_23045.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3295119:3298564:-1 gene:DRNTG_23045 transcript:DRNTG_23045.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGWMKKMKSNFGASFLWLVCLIYFTQGFRSFVWTAVSYQMKDVLGLSPSASQFVVSIAYFPWSIKPLYGILSDSIPIKGRKRVPYLVIATSLSLVPWVILGSPTPMRSSRNFLTILLTLQNIGSAMADVVIDAMIAEAVRSELAAFAGDLQSLSWSAMAIGGICGSLLGGYTLSNLKIETIFLLFSVLPTIQLISCSFVSEVPSGDIETVNGKENGYLKDDMNGRPSNGNYMSHEKSKPESLRRRKNGPQHGQRQAITTKPNITEQKRRSLIARSFISIKTSIFDLCKAFKKPIILR >DRNTG_23045.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3295119:3298564:-1 gene:DRNTG_23045 transcript:DRNTG_23045.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHQFYSCITAFHLYRILSDSIPIKGRKRVPYLVIATSLSLVPWVILGSPTPMRSSRNFLTILLTLQNIGSAMADVVIDAMIAEAVRSELAAFAGDLQSLSWSAMAIGGICGSLLGGYTLSNLKIETIFLLFSVLPTIQLISCSFVSEVPSGDIETVNGKENGYLKDDMNGRPSNGNYMSHEKSKPESLRRRKNGPQHGQRQAITTKPNITEQKRRSLIARSFISIKTSIFDLCKAFKKPIILRPMAWFFLSHVTVPNLSTVMFYYQTDVLHLESAFLGNARVVGWLGLMVGTYTYNRCFKHLRLRKILMWTHIGLAVMNFLDIVLVSRMHVQFGIPDKYMVLFGSALGDAINQFKMMPFLILSGQLCPPGIEGTLFALFMSINNLGNTFGSFLGAALASLLNISSESFDKLVLGLNVQLISTFIPVAFLFLIPKDATGQASS >DRNTG_23045.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3295119:3298564:-1 gene:DRNTG_23045 transcript:DRNTG_23045.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGWMKKMKSNFGASFLWLVCLIYFTQGFRSFVWTAVSYQMKDVLGLSPSASQFVVSIAYFPWSIKPLYGILSDSIPIKGRKRVPYLVIATSLSLVPWVILGSPTPMRSSRNFLTILLTLQNIGSAMADVVIDAMIAEAVRSELAAFAGDLQSLSWSAMAIGGICGSLLGGYTLSNLKIETIFLLFSVLPTIQLISCSFVSEVPSGDIETVNGKENGYLKDDMNGRPSNGNYMSHEKSKPESLRRRKNGPQHGQRQAITTKPNITEQKRRSLIARSFISIKTSIFDLCKAFKKPIILRPMAWFFLSHVTVPNLSTVMFYYQTDVLHLESAFLGNARVVGWLGLMVGTYTYNRCFKHLRLRKILMWTHIGLAVMNFLDIVLVSRMHVQFGIPDKYMVLFGSALGDAINQFKMMPFLILSGQLCPPGIEGTLFALFMSINNLGNTFGSFLGAALASLLNISSESFDKLVLGLNVQLISTFIPVAFLFLIPKDATGQASS >DRNTG_13565.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29914694:29915327:1 gene:DRNTG_13565 transcript:DRNTG_13565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEGEGVDITGKWETKMMPFRIGRRICPGAGLGLLHLKYFVANLIKKFKWRNVDGEKIILSEKMEFTVAMEKPLHARISIRMEV >DRNTG_00376.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18502628:18507518:-1 gene:DRNTG_00376 transcript:DRNTG_00376.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C4 [Source:Projected from Arabidopsis thaliana (AT1G51610) UniProtKB/Swiss-Prot;Acc:Q8H1G3] MRTSRLLFCLRDYSLFLSASPHPPPRYIIAPFFSTPSRFPHCFSSTAHTHQDDSSPSPSSSSSPSSVPGPAFDLPRFSRARSSLTRSHSSSTALIAFARQYSLRVAKVKRAEFDDDASQRAVNTALCCNFLVFSLKFGVWLVTSSHVMLAEVVHSIADFANQALLAYGLSSSRRAPDALHPYGYSKERFVWSLISAVGIFCLGSGATIVHGFQNLWSSHPPENIQYAALVIGGSFLIEGASLLVAINAVKKGAAAEGLEMWDYIWRGHDPTSVAVMTEDGAAVTGLAIAAASLVAVNATGNAIYDPIGSIIVGNLLGMVAVFLIQRNRHALIGRAIDDHDMKRVLEFLKSDPVVDALYDCKSEVIGPGFFRFKAEIDFNGVVVVQNYLDRTGREEWARQFREAAKCEDDCELLKVMASYGEDVVEALGSEVDRLESEIQKIVPGIRHVDIEAHNPEVLSS >DRNTG_00376.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18502628:18507518:-1 gene:DRNTG_00376 transcript:DRNTG_00376.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C4 [Source:Projected from Arabidopsis thaliana (AT1G51610) UniProtKB/Swiss-Prot;Acc:Q8H1G3] MLAEVVHSIADFANQALLAYGLSSSRRAPDALHPYGYSKERFVWSLISAVGIFCLGSGATIVHGFQNLWSSHPPENIQYAALVIGGSFLIEGIIMHVHKFCC >DRNTG_08748.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18655708:18657149:-1 gene:DRNTG_08748 transcript:DRNTG_08748.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLMSYLWMLLHQVLTCIFIKARSIMSINNGANYTLSWRFAIESNNLRSWPTVPRRCLPYIKTYMLGGQYQHDLDMVIAEMLTYMNSIILNNDGKDIWILDVDDTCISNLKYYERKNFGCDPFDRVMFKSWILEGSCPAIHSMLVFYKKLIERGFKVFLLTGRHEEQLGSITALNLLLQGYVGHERLILRSSKYKGQSAEKFKSAIRKEIEGEGYRIHGNVGDQWSDLTGESIGARTFKLPNPMYFVS >DRNTG_24852.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29428649:29437636:-1 gene:DRNTG_24852 transcript:DRNTG_24852.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCMAPSDKVVVGSDLGENEATTTATSDTTKKELLRAIDVRLAAVKQDLTMALARVSAAGFTLDNICELSSFAEHFSAHRLNEACKKLISLCQRRPELICNQHWPPSWKDWDDGNVRSSSGSDMSIDEPEAGVDIQIKQSHKPKNTRIPLEPTQQAAADPTPMFQGPQFVQQCLKQGEADKTVKVPPSNSAEPDRLAGGGPSKRLSVLDRINLFESKQKELSSTPNSSSSGPSSRNRVAVGKVELRRLSSDVSAEKSVLRRWSGASDMSIDLNNNNSSERKGTGSATGTSSSSINSQSQCDSEFQVKASGELKDTATTESSSGSQENEVGTSSSSSRMLQFVSLPRDRDQVVFKEQASDNAWMKQGGGKEQGDTKPQFGTSLGKADHDGLNDQIDASFQPKSLVNPVESVDLKHQATTILLEQGGKTEQEASQIPSKAISSATGHVGNEVPEGFSSLPKYFGTDPSGFRRREQSASVTLLKVSPRTKEVSRKSKEQPDSQIQMRTSLSSAERITLKIDPVNSQSHWKTFPSKLEQTTKKEASTSGAQLGAFPVEGDSGLQGIMLCRQTSFPDPSRTHGSRIARKSSRGNDNLVSSRTETNQSLDDFDPPSTISVEQIQAMRPSKGNYELNDELQVKADELEKLFAAHKLRTHGDQLGSSRRGKPADVPTVAEKQKMDPLADQLIDKNKVIENTSNGGEMEFDANLLLNMVDNLASINSMNQKFGGLSPSVDYRGKFYEKYMKKRDAKLREEWGLKKAQKEAKMKAMHDSLELSQAEMRAKFAVGSRQDLTLAHLRAEKLRSFCNRSNVKNKDQIVICSSTCSRFGNR >DRNTG_24852.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29428649:29437636:-1 gene:DRNTG_24852 transcript:DRNTG_24852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNSDTQLDFAVFQLSPRRSRCELFISSDGKMEKIASGFFKPFASHLRVSEEQALQSIKLEVEKQRNAVHWFNKGTLERFVRFVSTPELLELANTFDAEMSQLEVARKIYSQGSGDQLTQTLGENEATTTATSDTTKKELLRAIDVRLAAVKQDLTMALARVSAAGFTLDNICELSSFAEHFSAHRLNEACKKLISLCQRRPELICNQHWPPSWKDWDDGNVRSSSGSDMSIDEPEAGVDIQIKQSHKPKNTRIPLEPTQQAAADPTPMFQGPQFVQQCLKQGEADKTVKVPPSNSAEPDRLAGGGPSKRLSVLDRINLFESKQKELSSTPNSSSSGPSSRNRVAVGKVELRRLSSDVSAEKSVLRRWSGASDMSIDLNNNNSSERKGTGSATGTSSSSINSQSQCDSEFQVKASGELKDTATTESSSGSQENEVGTSSSSSRMLQFVSLPRDRDQVVFKEQASDNAWMKQGGGKEQGDTKPQFGTSLGKADHDGLNDQIDASFQPKSLVNPVESVDLKHQATTILLEQGGKTEQEASQIPSKAISSATGHVGNEVPEGFSSLPKYFGTDPSGFRRREQSASVTLLKVSPRTKEVSRKSKEQPDSQIQMRTSLSSAERITLKIDPVNSQSHWKTFPSKLEQTTKKEASTSGAQLGAFPVEGDSGLQGIMLCRQTSFPDPSRTHGSRIARKSSRGNDNLVSSRTETNQSLDDFDPPSTISVEQIQAMRPSKGNYELNDELQVKADELEKLFAAHKLRTHGDQLGSSRRGKPADVPTVAEKQKMDPLADQLIDKNKVIENTSNGGEMEFDANLLLNMVDNLASINSMNQKFGGLSPSVDYRGKFYEKYMKKRDAKLREEWGLKKAQKEAKMKAMHDSLELSQAEMRAKFAVGSRQDLTLAHLRAEKLRSFCNRSNVKNKDQVLRFYSGVITLS >DRNTG_24852.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29428649:29437636:-1 gene:DRNTG_24852 transcript:DRNTG_24852.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCMAPSDKVVVGSDLGENEATTTATSDTTKKELLRAIDVRLAAVKQDLTMALARVSAAGFTLDNICELSSFAEHFSAHRLNEACKKLISLCQRRPELICNQHWPPSWKDWDDGNVRSSSGSDMSIDEPEAGVDIQIKQSHKPKNTRIPLEPTQQAAADPTPMFQGPQFVQQCLKQGEADKTVKVPPSNSAEPDRLAGGGPSKRLSVLDRINLFESKQKELSSTPNSSSSGPSSRNRVAVGKVELRRLSSDVSAEKSVLRRWSGASDMSIDLNNNNSSERKGTGSATGTSSSSINSQSQCDSEFQVKASGELKDTATTESSSGSQENEVGTSSSSSRMLQFVSLPRDRDQVVFKEQASDNAWMKQGGGKEQGDTKPQFGTSLGKADHDGLNDQIDASFQPKSLVNPVESVDLKHQATTILLEQGGKTEQEASQIPSKAISSATGHVGNEVPEGFSSLPKYFGTDPSGFRRREQSASVTLLKVSPRTKEVSRKSKEQPDSQIQMRTSLSSAERITLKIDPVNSQSHWKTFPSKLEQTTKKEASTSGAQLGAFPVEGDSGLQGIMLCRQTSFPDPSRTHGSRIARKSSRGNDNLVSSRTETNQSLDDFDPPSTISVEQIQAMRPSKGNYELNDELQVKADELEKLFAAHKLRTHGDQLGSSRRGKPADVPTVAEKQKMDPLADQLIDKNKVIENTSNGGEMEFDANLLLNMVDNLASINSMNQKFGGLSPSVDYRGKFYEKYMKKRDAKLREEWGLKKAQKEAKMKAMHDSLELSQAEMRAKFAVGSRQDLTLAHLRAEKLRSFCNRSNVKNKDQVGHK >DRNTG_24852.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29428649:29435971:-1 gene:DRNTG_24852 transcript:DRNTG_24852.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALARVSAAGFTLDNICELSSFAEHFSAHRLNEACKKLISLCQRRPELICNQHWPPSWKDWDDGNVRSSSGSDMSIDEPEAGVDIQIKQSHKPKNTRIPLEPTQQAAADPTPMFQGPQFVQQCLKQGEADKTVKVPPSNSAEPDRLAGGGPSKRLSVLDRINLFESKQKELSSTPNSSSSGPSSRNRVAVGKVELRRLSSDVSAEKSVLRRWSGASDMSIDLNNNNSSERKGTGSATGTSSSSINSQSQCDSEFQVKASGELKDTATTESSSGSQENEVGTSSSSSRMLQFVSLPRDRDQVVFKEQASDNAWMKQGGGKEQGDTKPQFGTSLGKADHDGLNDQIDASFQPKSLVNPVESVDLKHQATTILLEQGGKTEQEASQIPSKAISSATGHVGNEVPEGFSSLPKYFGTDPSGFRRREQSASVTLLKVSPRTKEVSRKSKEQPDSQIQMRTSLSSAERITLKIDPVNSQSHWKTFPSKLEQTTKKEASTSGAQLGAFPVEGDSGLQGIMLCRQTSFPDPSRTHGSRIARKSSRGNDNLVSSRTETNQSLDDFDPPSTISVEQIQAMRPSKGNYELNDELQVKADELEKLFAAHKLRTHGDQLGSSRRGKPADVPTVAEKQKMDPLADQLIDKNKVIENTSNGGEMEFDANLLLNMVDNLASINSMNQKFGGLSPSVDYRGKFYEKYMKKRDAKLREEWGLKKAQKEAKMKAMHDSLELSQAEMRAKFAVGSRQDLTLAHLRAEKLRSFCNRSNVKNKDQIVGSCWGKDEINSQDMAEHKLYGDGSSVNLHPEKLSSHKALSSMTSQTSVAQFSSSSIKHAYSDSIGKRTKSENLLAQSVPNLSNVRKENTKPFAGVINANNHVKFTNAQNIGMNEETKYVKDERPHTALKKISVIPSELQNFFTVVNQKHFFGRVVE >DRNTG_04181.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:563614:566087:1 gene:DRNTG_04181 transcript:DRNTG_04181.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDGFHRLELCESSRRTDPNPTHP >DRNTG_04181.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:563614:566087:1 gene:DRNTG_04181 transcript:DRNTG_04181.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMDSQEIHDVVQTSNHSSKNNLERKN >DRNTG_04181.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:563614:566087:1 gene:DRNTG_04181 transcript:DRNTG_04181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDGFHRLELCESSRRTDPNPTHP >DRNTG_28407.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24634958:24638486:-1 gene:DRNTG_28407 transcript:DRNTG_28407.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVESTKYGIEQASPLTCFHGSVINDPFSSPIAGPVSSIRTARDEALKGSCIGHKVPANFPLAKSDKLTPGVPCLKPTLKRPKQEPQDFDTHQIVTTKRAKFLEPDLQRKIAALCEPGESQDPLNLLCSRSDNYTHSQVMNEEVSGEKWVPNGQNLFPLCLRDVPTSIVKQPIEFETKNIVKSEPLNGTNEQASSVRSGKTSTNLPLASIKPLPPQMYWINASSSIEKPPKKETASRIKKAVGASQVSAGRSLNSQYSRVGGKQTNVIEVSHIMREDASPIETSKGNVTSVPATLNENAFTNPTSTNSLISASQTPSRAKKNSRSKCKNPSPVTSANASGRAVNKSEIPNYSKNVLVRTPSLQFLPEIDSVRAIRMNFSRIEVLAKRHGLNKRKVKNDKILKTRSLPDPRMPQCCLIMDKNTEDFIAFAEKKCMSKSILCGSRNTCKIRILSYRRVRILFRDGMPIFVDDSWSKLVLRESDGPDIHKVAAEMLYGDNEQSIHLAYLPTAHHADLYASQFTSLMVREGFELLDDQVRFQPSATPASTSNSRMGSHGPGELPSTRYFPNQSAETTTANSIPTLKCLGYPSQNDPSPMSPNLTRPIVLEIAPELSCIPMHQSLNQSFLPIFPKSTLDATTVVMLDQLPNNPRLQHLNLHQFQQQYQQQSIMQQTMPGNSLGGHSFTSDEQRVTEFCSSNNQQISRGGSAIQAAVLARLNRSPSVGTGVIEGGPRMSPSCGTIWTNDGSPLSPALDMSPSNQNQIRTRTRSNLCSNYDLLHQHQAQQARLQQPENWQRRQQLLPQQYSSPTATQMSQCTPNSPMQFISGSAPQQTNAGVSVASSRQVSAQIVGSGGSVTRSPMGLKGVGKGSSSGVGNNMRQ >DRNTG_28407.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24634958:24638700:-1 gene:DRNTG_28407 transcript:DRNTG_28407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVESTKYGIEQASPLTCFHGSVINDPFSSPIAGPVSSIRTARDEALKGSCIGHKVPANFPLAKSDKLTPGVPCLKPTLKRPKQEPQDFDTHQIVTTKRAKFLEPDLQRKIAALCEPGESQDPLNLLCSRSDNYTHSQVMNEEVSGEKWVPNGQNLFPLCLRDVPTSIVKQPIEFETKNIVKSEPLNGTNEQASSVRSGKTSTNLPLASIKPLPPQMYWINASSSIEKPPKKETASRIKKAVGASQVSAGRSLNSQYSRVGGKQTNVIEVSHIMREDASPIETSKGNVTSVPATLNENAFTNPTSTNSLISASQTPSRAKKNSRSKCKNPSPVTSANASGRAVNKSEIPNYSKNVLVRTPSLQFLPEIDSVRAIRMNFSRIEVLAKRHGLNKRKVKNDKILKTRSLPDPRMPQCCLIMDKNTEDFIAFAEKKCMSKSILCGSRNTCKIRILSYRRVRILFRDGMPIFVDDSWSKLVLRESDGPDIHKVAAEMLYGDNEQSIHLAYLPTAHHADLYASQFTSLMVREGFELLDDQVRFQPSATPASTSNSRMGSHGPGELPSTRYFPNQSAETTTANSIPTLKCLGYPSQNDPSPMSPNLTRPIVLEIAPELSCIPMHQSLNQSFLPIFPKSTLDATTVVMLDQLPNNPRLQHLNLHQFQQQYQQQSIMQQTMPGNSLGGHSFTSDEQRVTEFCSSNNQQISRGGSAIQAAVLARLNRSPSVGTGVIEGGPRMSPSCGTIWTNDGSPLSPALDMSPSNQNQIRTRTRSNLCSNYDLLHQHQAQQARLQQPENWQRRQQLLPQQYSSPTATQMSQCTPNSPMQFISGSAPQQTNAGVSVASSRQVSAQIVGSGGSVTRSPMGLKGVGKGSSSGVGNNMRQ >DRNTG_28407.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24634958:24637051:-1 gene:DRNTG_28407 transcript:DRNTG_28407.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPQCCLIMDKNTEDFIAFAEKKCMSKSILCGSRNTCKIRILSYRRVRILFRDGMPIFVDDSWSKLVLRESDGPDIHKVAAEMLYGDNEQSIHLAYLPTAHHADLYASQFTSLMVREGFELLDDQVRFQPSATPASTSNSRMGSHGPGELPSTRYFPNQSAETTTANSIPTLKCLGYPSQNDPSPMSPNLTRPIVLEIAPELSCIPMHQSLNQSFLPIFPKSTLDATTVVMLDQLPNNPRLQHLNLHQFQQQYQQQSIMQQTMPGNSLGGHSFTSDEQRVTEFCSSNNQQISRGGSAIQAAVLARLNRSPSVGTGVIEGGPRMSPSCGTIWTNDGSPLSPALDMSPSNQNQIRTRTRSNLCSNYDLLHQHQAQQARLQQPENWQRRQQLLPQQYSSPTATQMSQCTPNSPMQFISGSAPQQTNAGVSVASSRQVSAQIVGSGGSVTRSPMGLKGVGKGSSSGVGNNMRQ >DRNTG_12967.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30488406:30489245:1 gene:DRNTG_12967 transcript:DRNTG_12967.2 gene_biotype:protein_coding transcript_biotype:protein_coding LNTGIDWIEFKIITLVPHVKHFIWLVMKGRLSTSDYLHSINLGQRNLCILCGSNYETDEHLFPSFRLQLF >DRNTG_12967.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30488206:30488809:1 gene:DRNTG_12967 transcript:DRNTG_12967.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDFWGDKDAGADFDERQVDGQIKDEDAHPMAQLGPERK >DRNTG_12967.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30488206:30489245:1 gene:DRNTG_12967 transcript:DRNTG_12967.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFWGDKDAGADFDERQVDGQIKDEDAHPMAQLGPERK >DRNTG_12967.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30488508:30489245:1 gene:DRNTG_12967 transcript:DRNTG_12967.3 gene_biotype:protein_coding transcript_biotype:protein_coding LNTGIDWIEFKIITLVPHVKHFIWLVMKGRLSTSDYLHSINLGQRNLCILCGSNYETDEHLFPSFRLQLF >DRNTG_23328.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1050585:1052024:-1 gene:DRNTG_23328 transcript:DRNTG_23328.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPLEGEPKRKCTWVHCPIIVGAGPSGLAVAACLSDLGIPSLVLEKSHCIASLWQNRTYNRLRLHLPRHFCELPLMAFPRGFPKYPSKHQFIRYLESYASHFGIQPHFGAHVQSAEFDVELGCWRVRVGEEEELVSRWLVVATGENAEPVVPEIPGLERFAGRVIHTSCYKSGVEFKGQRVLVVGCGNSGMEVSLDLCRHNARPFMVVRNSVHVLPREMLGFSTFGVAMALLKWLPLRLVDKFLLAMAQLILGDTDILGLRRPKTGPIELKNHTGKTPVLDVGALSHIKSGHIKVMGAVKEIRKEGVKFVDGREEEMDSIVLATGYKSNVPSWLR >DRNTG_23328.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1049808:1052024:-1 gene:DRNTG_23328 transcript:DRNTG_23328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPLEGEPKRKCTWVHCPIIVGAGPSGLAVAACLSDLGIPSLVLEKSHCIASLWQNRTYNRLRLHLPRHFCELPLMAFPRGFPKYPSKHQFIRYLESYASHFGIQPHFGAHVQSAEFDVELGCWRVRVGEEEELVSRWLVVATGENAEPVVPEIPGLERFAGRVIHTSCYKSGVEFKGQRVLVVGCGNSGMEVSLDLCRHNARPFMVVRNSVHVLPREMLGFSTFGVAMALLKWLPLRLVDKFLLAMAQLILGDTDILGLRRPKTGPIELKNHTGKTPVLDVGALSHIKSGHIKVMGAVKEIRKEGVKFVDGREEEMDSIVLATGYKSNVPSWLRGDDIFTGEGMPKSPFPNGWKGSNGLYCVGFTKRGLLGTASDACNIAKDIHLHWNEKKNLATKSSTPTTEA >DRNTG_01388.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28246968:28250366:-1 gene:DRNTG_01388 transcript:DRNTG_01388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRVHNASHITISPSPALGKDPLLLLPLSFFDAIWIPLPPIQRLLLFPGATPDLHSLKSSLSASLRCFYPLAGKLTYLPATGDVAVACSPDDHVTFIEASSDADFIRLASDEIHDVDSFLRLVPELDTKVLPAPVMAVQVTKFESGGLAVGFAVNHAVVDGRGLWQFIEAWATACREGEEAISDVSPVVHGSTVIRHHPCGDEIARRILKMVAPELPIIISEKLNMEEERSELMRRTFPISRDMIQSIKQRAKEGCIQYSTFTVLSALTWISFIKTKGMEDPNEETVLGFLMDCRTRLIPPLKDNYLGNCLKPCFAKAKVMELIENAGLSKACSRIKEKIDESSKDVLGGCEDWVGEFKRNTKLVNVLIAGSSSFRAYNIDFGVGRPSRTELVSVNHEGQVVLVGGREEGEIHMSVCLSPSHMEEFTKEFSRELCV >DRNTG_29487.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12126194:12129064:-1 gene:DRNTG_29487 transcript:DRNTG_29487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRIATTQTIPNLRFCHSIHSRSLLTNPTLLLFKPPSHSRRLRVIPFTIVSSSSPSSMSSSSAQSMVERKPFSVLFVCLGNICRSPAAEAVFRDIVRKRGLEPKFVIDSAGTIDYHEGNQADPRMRSAAKRRGIEVTSISRPIRPSDFSDFDLIVAMDLQNKEDILGAYERWRFKEPLPEDGPKKVKLMCSYCKKHKETEVPDPYYGGSQGFENVLDLLEDACESLLDSIMAENSHVCAS >DRNTG_12762.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25916637:25917722:-1 gene:DRNTG_12762 transcript:DRNTG_12762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIRSRLRLATLLLDRTHNLNHAKANLERAHLLLNPIPSALPLKLRAHSLLAQCYHLTGAIPHEKQIIVRALNLLHSSTDAALLPRDFALLWSCNLQAQLASVLAVEGDCPAALVALDSGLVAAGDLRRPELQAFFAASSLHVQLLHWGGLWLGCYCCRALRRYLGFCFCRSETEMWWLVLLH >DRNTG_16291.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21014723:21015484:1 gene:DRNTG_16291 transcript:DRNTG_16291.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDLASHSSSCRKMLKRAMNSVYCAPCAVSHGPRRSPTRASLLHLRASRIDHRLKMVRICTLEVPPMLGKVPLYPSAWAEARGSAEDPMSRHRHPSAISGSDPY >DRNTG_23625.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30201278:30203708:1 gene:DRNTG_23625 transcript:DRNTG_23625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKRFFNAMIRDGVAPTLSTYNTLLWGFSLCMKMDTANRFFEDMKMRGIVPDIVTYNMLLNGWVRAKKVDDAEKVFAEMSSTDSGPNSISYNLMIKGYVSADRVDDGLRLFGEMREKGIRATEKTYAALMPGLCDDTDRTGEARKVMDEMVERRMTPKDKSIFLRMISSMCRSQDLDGAMAVHQAMGRFRDVAVDLSHYGVLIEGLCNGKKYDKAVEILDELLGKGMLLNPQSAVIEPSAYNPMIEYLCGNGLTSKAEEFFRLLMKKGIDDKVAFNHLIRGHAKEEKPESAFELLNIMNRRGIASDADAYTLLVESYLKKREPADARTVLDGMIEQGHLPSSSLFRSVMVALFDDGRVQTASRVMKSMIDKGVKEKHGRCA >DRNTG_35452.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14990833:14991508:-1 gene:DRNTG_35452 transcript:DRNTG_35452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAHDLQVSYTSSSANFEQNEINEMVFQKIYGAEHNGRVRGLGLGPTPSRYFSVISKFTSTSASSTDNNHKAELENVKLELAEMKDKYEKLSSDLADMKELFGGFMAERSLNDRMSKAPAEEVEDVASVD >DRNTG_13180.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:16175743:16188813:1 gene:DRNTG_13180 transcript:DRNTG_13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRFRGALARVLEWFDGFDIAFLLVDSYWGSFHRHRSGKSHKDASCLRNKAFPYFVELAIIFGKDHATGDGAEFAGESVEAIERENSTFVAAKAASEAHESMNNTVQDKYFGVDVELEDMNECAFVSKNAYNDPLNGSYKRRKVPLQMAVGKPKVPQIPLKNPGRMLEKNLKEVCQNVPSKPLCLPFYSLGDYFSVYLRLMVKPRPSSRRVEGEAVIGTKLRAIKLFTPLTLAKRDHDNAPCTPCGDLGFSVYCPVRTSTRHMNQVPNMNRVHHAASQGWTVRIRYCSSRRIMPKHCVRTRLGVQATTYSQRALQCSRVHRQASLEKIAPDRHLQRSSSEGRIGSDWSLLVGFPYLRQTLEARVEEIPS >DRNTG_35321.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1505019:1508838:1 gene:DRNTG_35321 transcript:DRNTG_35321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVKVLAGATSAQVLREKLPPLLKPIHYRSISAFDDQNFIVTLPSDKALLGRRRWVLTSWQRDAAVYSRLLIRARISGHIPFVQKDTCLLLNMPLVTAGDHLWLRISNLPLHCYNWDSIVSVLKPFGDLIYVQKREEVALHHLRALLRLTHQQPFPICVVTDVGVRSFKVMIEDDGRANIGARSKHSIVSRPSVPTVIANDSAPQHPSHYTSHNNKGNFVASSPPITDETSGRIVKRGSSHGRPDERAPSRASISPRSIIFAANSATVDNLITGSVETPEERPGDVATGNKNPPSLMAPVQPQLIPIHLPRDKAAVQISSSEPILKSSRDQSTGPISEQSHQDPHSIIIRDMPRDKCFDKISTSLEPMNKSSP >DRNTG_02464.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2075649:2075942:-1 gene:DRNTG_02464 transcript:DRNTG_02464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHKPKAQNLEWITSKPNHNYKGSIEDYLEVEELELELGSEISRRILATAGTISYGALRRDTTPCSRRGASYYNCRAGAQANPYSRGCSAITQCRS >DRNTG_10790.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3559569:3564978:-1 gene:DRNTG_10790 transcript:DRNTG_10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGDLERGINGEGIEEALVKKEVGFCEENDGKSCGSIGMVLLSTAVSVCGSFEFGTCVGYSAPTQSGIRNDVGLSLSEFAVFGSIVTIGAMLGAVTSGRIADFFGRKGAMRISSFICLIGWLAIYFAEGALLLDIGRISTGYGIGILSYVVPIFIAEIAPKNLRGGLTTLNQLFICAGSSTAFIVGTFVTWRTLTLVGIIPCAILLVGLFFIPESPRWLAKVGKRKDSYAALQILRGKDTDISAEAAEIQEYIETLQTLPKTSIWDLFGRRYIHPVIIGVGLMVFQQFGGINGIGFYASETFVSAGFSSGSLGTILMGSIQVPITIIGAILMDKSGRRPLLMVSATGTFIGCFMAATSFYLKGNGLYAEWVPMFALCGILVYMGSFSIGMGAVPWVIMSEIFPINVKGIGGSLVTLVNWFGSWAISYTFNFLMDWSSAGTFFIFSGVCAVTVLFVARVVPETKGRTLEEIQASMSSQI >DRNTG_10790.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3559569:3561676:-1 gene:DRNTG_10790 transcript:DRNTG_10790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPHCKSFVEKTQISLLKLQKFKNTLKLSKLFPRLVYGTYLEGDTSIQSLLELALWCFNNLEGSTGSDSMQVKPLFLQVPITIIGAILMDKSGRRPLLMVSATGTFIGCFMAATSFYLKGNGLYAEWVPMFALCGILVYMGSFSIGMGAVPWVIMSEIFPINVKGIGGSLVTLVNWFGSWAISYTFNFLMDWSSAGTFFIFSGVCAVTVLFVARVVPETKGRTLEEIQASMSSQI >DRNTG_10790.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3559569:3560140:-1 gene:DRNTG_10790 transcript:DRNTG_10790.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSSAGTFFIFSGVCAVTVLFVARVVPETKGRTLEEIQASMSSQI >DRNTG_10790.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3559569:3564978:-1 gene:DRNTG_10790 transcript:DRNTG_10790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGDLERGINGEGIEEALVKKEVGFCEENDGKSCGSIGMVLLSTAVSVCGSFEFGTCVGYSAPTQSGIRNDVGLSLSEFAVFGSIVTIGAMLGAVTSGRIADFFGRKGAMRISSFICLIGWLAIYFAEGALLLDIGRISTGYGIGILSYVVPIFIAEIAPKNLRGGLTTLNQLFICAGSSTAFIVGTFVTWRTLTLVGIIPCAILLVGLFFIPESPRWLAKVGKRKDSYAALQILRGKDTDISAEAAEIQEYIETLQTLPKTSIWDLFGRRYIHPVIIGVGLMVFQQFGGINGIGFYASETFVSAGSDHNNRRNLNG >DRNTG_10790.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3559569:3564978:-1 gene:DRNTG_10790 transcript:DRNTG_10790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGDLERGINGEGIEEALVKKEVGFCEENDGKSCGSIGMVLLSTAVSVCGSFEFGTCVGYSAPTQSGIRNDVGLSLSEFAVFGSIVTIGAMLGAVTSGRIADFFGRKGAMRISSFICLIGWLAIYFAEGALLLDIGRISTGYGIGILSYVVPIFIAEIAPKNLRGGLTTLNQLFICAGSSTAFIVGTFVTWRTLTLVGIIPCAILLVGLFFIPESPRWLAKVGKRKDSYAALQILRGKDTDISAEAAEIQEYIETLQTLPKTSIWDLFGRRYIHPVIIGVGLMVFQQFGGINGIGFYASETFVSAGFSSGSLGTILMGSIQVPITIIGAILMDKSGRRPLLMVSATGTFIGCFMAATSFYLKGNGLYAEWVPMFALCGILVYMGSFSIGMGAVPWVIMSEIFPINVKGIGGSLVTLVNWFGSWAISYTFNFLMDWSSAGTFFIFSGVCAVTVLFVARVVPETKGRTLEEIQASMSSQI >DRNTG_13997.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27757361:27767731:1 gene:DRNTG_13997 transcript:DRNTG_13997.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP97B3 [Source:Projected from Arabidopsis thaliana (AT4G15110) UniProtKB/TrEMBL;Acc:A0A178V2Z2] MLLAALSQFPAPINGGSLGLQSGIFDSFNGSSSPMASPFRLPKKRSQLRCQSTGIEEAKTKRNLLDNASNLLTNLLSGGNLGSMPTAEGAVSDLFGRPLFFSLYDWFLEHGSVYKLAFGPKAFVVVSDPIVARHVLRENAFSYDKGVLAEILEPIMGKGLIPADIETWKLRRKVIVPAFHSSFLEAMVNVFTDCSERTMLKFEKHIESDKPGEKTVELDLEAEFSSLALDIIGLGVFNYDFGSVTKESPVIKAVYGTLFEAEHRSTFYIPYWNLPFARWIVPRQRKFHNDLKIINDCLDDLIKNAKKTRQETDVEKLQQRDYTALKDASLLRFLVDMRGADVNDRQLRDDLMTMLIAGHETTAAVLTWAVFLLAQNPSKMRKAQAEIDSVLGEGKTTLECIKKLEYIRLIIAEALRLYPQPPLLIRRALQRDVLPGGYKGQDDGFEIPAGTDIFLSVYNLHRSPYFWDRPNDFEPERFLVPKKSEGIEGWAGFDPGRSPGAMYPNEVISDFAFLPFGGGPRKCVGDQFALLESTVALAMLLQRFDVELRGSPNEVELVTGATIHTKNGLWCRLKNRTSKAHKQY >DRNTG_05857.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31503401:31505971:1 gene:DRNTG_05857 transcript:DRNTG_05857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAMKKTQTLVECEEPTLEGESNGIYGGVQHDELGNERYIGCPGELDNRNEKEIDTENDILEPRVFSAFEQSFIPPFEALNSTIQIRGERRSLEMTRKAAPIAACVEAFRAIRN >DRNTG_05817.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19013087:19013920:1 gene:DRNTG_05817 transcript:DRNTG_05817.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYQGLHARSNSMPTRSHPMIATAEEELNKLKACAMVSPKMICKSLSSLSAFYDCTEELLHLPSNQQAFSHSQKKKWVEEELDASLRLVELYAIIRDTLAATKEHGQELEMVLRRKRSMTNERKHQFHMQSEKKTRKSIKNCVKALKQMDGKDSDRSTVSTIFTEARDVTIFLLQSVASSLPPSSTQKKSRWSLVSKALHKKKVTCEEFEDSNCGNFSFNSICECVSCKDVDALRVVKAQDQLAEMRSSLEGPEMELESLYSKLIRNRVSLLNLLGQ >DRNTG_18639.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6549831:6551506:1 gene:DRNTG_18639 transcript:DRNTG_18639.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGMLVSCLLLLQIIMFIWCSSSESTTLDDGDPHVKQLQSQEPLPGFNSKMETNQNKTKIRRENVDEDEVMNLIGWVNKAKGGGGGSSGGGHGGGKGGGRNGGKGSPEIHHHAPPKKNSAIGILMTNHSSLLSSIFINISVYLWSSSLLSFPLS >DRNTG_24517.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5980262:5982450:1 gene:DRNTG_24517 transcript:DRNTG_24517.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAASLMERKPHFFKVLLGDFSQRLGIPVQFLKHISTATSKRVTLQGPSGRCWNAELGKSSKGTFLCGGWAEFAEDHALREYEFLVFQYDGDFIFTVKIFGVNACEREDLFTVIQRKRRKLYEVKDKSRQHVESSLDVGRVLRSQVIKKVVHNDDVSMLGPDADGGNCLERSETLKDVKIKSECDDLPIGIIPSPKKVYKRSCFQKRKLVIHEERSQAPETANSFTSKFPFATVKLCRSHITQPYVMRLPYAFSRTHLPRKKLVLIMKDPNMKSSEVTYIPQKGDADFLSAGWHNFFRGNGLKLGDVCVFELVKPRQLNVHIFR >DRNTG_24517.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5973957:5982450:1 gene:DRNTG_24517 transcript:DRNTG_24517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKPHFFKVLIGDFSQSLRIPVEFLKHISTATSKIVTLKGPSGRCWNAELSKRSKGTFLCGGWAEFAEDHALREYEFLVFRYDGDFCFTVKIFGVNACEREDLFKVIQRKRRKLCEVKDRHVESSLDVGGVLHSQVLKKEVQNSNASMLGPDADRGNCLERSETLKDVKIKSECDDLPIGIIPSPKKVYKRSCFQKRKLVIHEERSQAPETANSFTSKFPFATVKLCRSHITQPYVMRLPYAFSRTHLPRKKLVLIMKDPNMKSSEVTYIPQKGDADFLSAGWHNFFRGNGLKLGDVCVFELVKPRQLNVHIFR >DRNTG_24517.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5981108:5982450:1 gene:DRNTG_24517 transcript:DRNTG_24517.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPDADGGNCLERSETLKDVKIKSECDDLPIGIIPSPKKVYKRSCFQKRKLVIHEERSQAPETANSFTSKFPFATVKLCRSHITQPYVMRLPYAFSRTHLPRKKLVLIMKDPNMKSSEVTYIPQKGDADFLSAGWHNFFRGNGLKLGDVCVFELVKPRQLNVHIFR >DRNTG_11505.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29525200:29534245:1 gene:DRNTG_11505 transcript:DRNTG_11505.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SLOMO [Source:Projected from Arabidopsis thaliana (AT4G33210) UniProtKB/TrEMBL;Acc:A0A178V148] MADERLKAVLDDGGSEEEVEEEEENVRLDLGLSLGSLVPVEGSSSRATRESKPMKDGGRSEWSSNWDLSIGSSRLGEKYGLWSGWQGEIEEAFDVEAEDNDLEDGNAFILEGAEFGRDFHHKRPKVLDYCFGGRYHATSSMGIESSALPPIADQIGLQSSTIPFENKAVLDLSPMQSDVGILKLSESGDGGEDGGSSGVRNGEDVDVRMDLSDDLLHLVFSFLGLKDLCKASATCKQWQAAAMHEDFWKCLNFDKTQISQQNFEAICLHYPKATLVNLVDVHDADVLVMDAMTNLRRLETLVLGGGQLGDSFFYALTDCSTLNCLRISDASLGNGMQEITVNHERLRDLRILKCRTLRISIKCPQLQTLSLRRTGMAHAFLTCPMLRELDISSCHKLSDAGIRSAATACHSLEQLDMSFCSCVSDETLREIAFACPNLSVLDASYCPNISLESVRLPMLTDLKLHNCEGITSSSMSSVAYSHMLKALQLDNCGLLTSVSLDLPYLQNISLVHCRKFVDLNLRSPVLSYIKVSNCSALHCINIISNALQKLVLPKQESLATLSLQCYQLLEVDLSDCESLTNSICDVFSDGGGCPMLKSLVLDNCESLTMVGLRSSSLVSLSLVGCRAMTVLELSCPNLKNVNLDGCDHLERASFCPVGLGSLNLGICPKLAILQIEAPKMSVLELKGCGVLSHASINCPCLTSLDASFCRRFRDDSLSATAASCPHIESLILSSCVAVGSDGLSSLHWLQYLTQLDLSYTFLMNLQPVFETCSQLEILKLSACKYLTDTSLDALYKGGALPALRELDLSYSSIGQLAISELLAACTNLVNLNLNGCANMVELLWGTSSSHSSDMLVSDCPANLEVMESDGESFRKPERLLEILNCTGCPNIKKVLIPPAAWCFHLSKLNLNLSTNLKEVDLACSNLSSLNLSNCSSLEILRLDCPRLANLQLLACGMLSEEALEAAVSRCNILETLNVHSCLKINAVYLVKLKVTCPSLKRIQSST >DRNTG_27400.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001396.1:171115:171626:-1 gene:DRNTG_27400 transcript:DRNTG_27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNKAGYEEEKKIHQGQGQVQGGYPGAGFRNGYGPRFMPGFGPYYGGYQGGYPGYNGFQGGYPGYGGYQGGYPGYGIGYVGYP >DRNTG_09593.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23678470:23682443:-1 gene:DRNTG_09593 transcript:DRNTG_09593.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRHEMEEDVGKGKIMEVSEKKKKKKKQLMVLVWGYLPGASPQRSSILHPMAVPLPGSSTSGDSWRDVCGGGCGFAMAISESGKLLTWGSTDDMGQSYLTAGKHEDIPEAYPLPTEVAIVKAAAGWAHCAAVTGFSFLLKIHCMSGFAINV >DRNTG_09593.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23678470:23682443:-1 gene:DRNTG_09593 transcript:DRNTG_09593.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPPDKDEKEVNPRSSSGTQSSNESRSAEESAKRRRLSSSRQGPESSTSGDETLSAPPCLVTLKTGVRITAVASGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIRLVSSPHPVPCIELGAYGKDRPAALRRNIGSDEQVYKVIGSYVKTIACGGRHSIVVTDTGALLTFGWGLHGQCGQGSTDDELSPKCVPSLLTVKIKGVAAGLWHTICISADGAIYAFGGNQFGQLGIGSDQAELQTLPKLVNPPCLENKKAKIVSCGARHSAITTEDGEVFCWGWNKYGQLGLGDAIDRNIPAIVQMETCCAINISCGWWHTSVLAESPT >DRNTG_09593.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23678470:23682443:-1 gene:DRNTG_09593 transcript:DRNTG_09593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRHEMEEDVGKGKIMEVSEKKKKKKKQLMVLVWGYLPGASPQRSSILHPMAVPLPGSSTSGDSWRDVCGGGCGFAMAISESGKLLTWGSTDDMGQSYLTAGKHEDIPEAYPLPTEVAIVKAAAGWAHCAAVTAFGEVYTWGWKECVPSGTIIVDQNMEVPPDKDEKEVNPRSSSGTQSSNESRSAEESAKRRRLSSSRQGPESSTSGDETLSAPPCLVTLKTGVRITAVASGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIRLVSSPHPVPCIELGAYGKDRPAALRRNIGSDEQVYKVIGSYVKTIACGGRHSIVVTDTGALLTFGWGLHGQCGQGSTDDELSPKCVPSLLTVKIKGVAAGLWHTICISADGAIYAFGGNQFGQLGIGSDQAELQTLPKLVNPPCLENKKAKIVSCGARHSAITTEDGEVFCWGWNKYGQLGLGDAIDRNIPAIVQMETCCAINISCGWWHTSVLAESPT >DRNTG_09593.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23678470:23681586:-1 gene:DRNTG_09593 transcript:DRNTG_09593.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPPDKDEKEVNPRSSSGTQSSNESRSAEESAKRRRLSSSRQGPESSTSGDETLSAPPCLVTLKTGVRITAVASGGRHTLALSGAAFL >DRNTG_09593.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23678470:23682443:-1 gene:DRNTG_09593 transcript:DRNTG_09593.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRHEMEEDVGKGKIMEVSEKKKKKKKQLMVLVWGYLPGASPQRSSILHPMAVPLPGSSTSGDSWRDVCGGGCGFAMAISESGKLLTWGSTDDMGQSYLTAGKHEDIPEAYPLPTEVAIVKAAAGWAHCAAVTGFSFLLKIHCMSGFAINV >DRNTG_09593.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23678470:23682443:-1 gene:DRNTG_09593 transcript:DRNTG_09593.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRHEMEEDVGKGKIMEVSEKKKKKKKQLMVLVWGYLPGASPQRSSILHPMAVPLPGSSTSGDSWRDVCGGGCGFAMAISESGKLLTWGSTDDMGQSYLTAGKHEDIPEAYPLPTEVAIVKAAAGWAHCAAVTAFGEVYTWGWKECVPSGTIIVDQNMEVPPDKDEKEGMFSVIKTISVKSFAALDATTRGM >DRNTG_09593.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23678470:23679702:-1 gene:DRNTG_09593 transcript:DRNTG_09593.7 gene_biotype:protein_coding transcript_biotype:protein_coding NLFTHNAFHALLVQCGQGSTDDELSPKCVPSLLTVKIKGVAAGLWHTICISADGAIYAFGGNQFGQLGIGSDQAELQTLPKLVNPPCLENKKAKIVSCGARHSAITTEDGEVFCWGWNKYGQLGLGDAIDRNIPAIVQMETCCAINISCGWWHTSVLAESPT >DRNTG_01368.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17782423:17787049:-1 gene:DRNTG_01368 transcript:DRNTG_01368.1 gene_biotype:protein_coding transcript_biotype:protein_coding WCWIAIAGKFLKLGCFRKDHIKLRSQSWVLGKEELESIVLLNNPQIFDRIFGDEFILDILGSLEYDRDIPPVQNHLSFLKEHVIYKEAITIKYPLVLSEIHQTYRIVYIKDVILPGVLDEVTIASPSAIIPANNATVVLLLKDDTSFIQELFARMKSPSKSDESKRNLVTLFSLVLFFC >DRNTG_01368.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17782714:17787049:-1 gene:DRNTG_01368 transcript:DRNTG_01368.3 gene_biotype:protein_coding transcript_biotype:protein_coding WCWIAIAGKFLKLGCFRKDHIKLRSQSWVLGKEELESIVLLNNPQIFDRIFGDEFILDILGSLEYDRDIPPVQNHLSFLKEHVIYKEAITIKYPLVLSEIHQTYRIVYIKDVILPGVLDEVTIASPSAIIPANNATVRIIVLMLY >DRNTG_01368.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17782900:17786774:-1 gene:DRNTG_01368 transcript:DRNTG_01368.5 gene_biotype:protein_coding transcript_biotype:protein_coding WCWIAIAGKFLKLGCFRKDHIKLRSQSWVLGKEELESIVLLNNPQIFDRIFGDEFILDILGSLEYDRDIPPVQNHLSFLKEHVIYKEAITIKYPLVLSEIHQTYRIVYIK >DRNTG_01368.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17782423:17787049:-1 gene:DRNTG_01368 transcript:DRNTG_01368.2 gene_biotype:protein_coding transcript_biotype:protein_coding IFLQAITIKYPLVLSEIHQTYRIVYIKDVILPGVLDEVTIASPSAIIPANNATVVLLLKDDTSFIQELFARMKSPSKSDESKRNLVTLFSLVLFFC >DRNTG_01368.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17782714:17787049:-1 gene:DRNTG_01368 transcript:DRNTG_01368.4 gene_biotype:protein_coding transcript_biotype:protein_coding WCWIAIAGKFLKLGCFRKDHIKLRSQSWVLVLLNNPQIFDRIFGDEFILDILGSLEYDRDIPPVQNHLSFLKEHVIYKEAITIKYPLVLSEIHQTYRIVYIKDVILPGVLDEVTIASPSAIIPANNATVRIIVLMLY >DRNTG_02275.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:911508:916088:1 gene:DRNTG_02275 transcript:DRNTG_02275.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWRSCGCIYLVVAFWSLSLRKRRRREWTPRACESCGLWSVWLLLSSVCYEGFIDGGWSEPLVLSRISMPNVDGEEDVFFDTFDCFRTSVDSDSSGYCSAESPELVFSSKFLCDLWVGELMSVQERRDKFLRRICMGLDDFVLCQGDRLQETEECMSCKSVDNIDMRRVLESCGAVSSSHSSLDDGGRKDYCCIRDLDSGKKFVVHDVGEDGLLSMLEEVGSNKLMTLEEFEMFLGLSNSVRQFTQQEPVLPEEKQNGCADAKRTRHRSWWKSFVWKRYAMGMCKNGVSAKSSKLPKTTRMKVRQQKKKCMEFTALYKGQEIKGHKGAIRILRFSSSGQYLASGGEDTVVRVWQIREVETSSKCFASNGSFKTGKMMIGRQSCNSAPVIIPKKIFKIEETPLHEFHGHTGGILDLSWSNSDCLLTSSEDGTVRLWKVGCDSCLKVFPHNDFVTCVQFNPIDERCFISGSIDGKVRMWGVSENRVVDWIDIRDIVTAVCYQPDGKGFVVGSVAGICQFYSYSGSGIHLDRRFNVKGRKKSIGKPITCLQFSPTDSEKVMISSADPNVRIFDKVDGIHKLRGLRKSKSQSNSSFTSDGRYIVSVDEDSHVYVWNYNESRLSSLKGVKTTRSCELFSSEGVSVAVPWPGIDHRGAGLGFNSVMASSSPQRILEPSTWLRDPDCFSRSVCFFTDSPSRGSAATWPEEKLIQPSSTTEDHCHSHHYHCLTTISATWSLVIVTASHDGSIRSFHNFGLPVRL >DRNTG_02275.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:911508:916088:1 gene:DRNTG_02275 transcript:DRNTG_02275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVDGEEDVFFDTFDCFRTSVDSDSSGYCSAESPELVFSSKFLCDLWVGELMSVQERRDKFLRRICMGLDDFVLCQGDRLQETEECMSCKSVDNIDMRRVLESCGAVSSSHSSLDDGGRKDYCCIRDLDSGKKFVVHDVGEDGLLSMLEEVGSNKLMTLEEFEMFLGLSNSVRQFTQQEPVLPEEKQNGCADAKRTRHRSWWKSFVWKRYAMGMCKNGVSAKSSKLPKTTRMKVRQQKKKCMEFTALYKGQEIKGHKGAIRILRFSSSGQYLASGGEDTVVRVWQIREVETSSKCFASNGSFKTGKMMIGRQSCNSAPVIIPKKIFKIEETPLHEFHGHTGGILDLSWSNSDCLLTSSEDGTVRLWKVGCDSCLKVFPHNDFVTCVQFNPIDERCFISGSIDGKVRMWGVSENRVVDWIDIRDIVTAVCYQPDGKGFVVGSVAGICQFYSYSGSGIHLDRRFNVKGRKKSIGKPITCLQFSPTDSEKVMISSADPNVRIFDKVDGIHKLRGLRKSKSQSNSSFTSDGRYIVSVDEDSHVYVWNYNESRLSSLKGVKTTRSCELFSSEGVSVAVPWPGIDHRGAGLGFNSVMASSSPQRILEPSTWLRDPDCFSRSVCFFTDSPSRGSAATWPEEKLIQPSSTTEDHCHSHHYHCLTTISATWSLVIVTASHDGSIRSFHNFGLPVRL >DRNTG_02275.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:912607:916088:1 gene:DRNTG_02275 transcript:DRNTG_02275.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVDGEEDVFFDTFDCFRTSVDSDSSGYCSAESPELVFSSKFLCDLWVGELMSVQERRDKFLRRICMGLDDFVLCQGDRLQETEECMSCKSVDNIDMRRVLESCGAVSSSHSSLDDGGRKDYCCIRDLDSGKKFVVHDVGEDGLLSMLEEVGSNKLMTLEEFEMFLGLSNSVRQFTQQEPVLPEEKQNGCADAKRTRHRSWWKSFVWKRYAMGMCKNGVSAKSSKLPKTTRMKVRQQKKKCMEFTALYKGQEIKGHKGAIRILRFSSSGQYLASGGEDTVVRVWQIREVETSSKCFASNGSFKTGKMMIGRQSCNSAPVIIPKKIFKIEETPLHEFHGHTGGILDLSWSNSDCLLTSSEDGTVRLWKVGCDSCLKVFPHNDFVTCVQFNPIDERCFISGSIDGKVRMWGVSENRVVDWIDIRDIVTAVCYQPDGKGFVVGSVAGICQFYSYSGSGIHLDRRFNVKGRKKSIGKPITCLQFSPTDSEKVMISSADPNVRIFDKVDGIHKLRGLRKSKSQSNSSFTSDGRYIVSVDEDSHVYVWNYNESRLSSLKGVKTTRSCELFSSEGVSVAVPWPGIDHRGAGLGFNSVMASSSPQRILEPSTWLRDPDCFSRSVCFFTDSPSRGSAATWPEEKLIQPSSTTEDHCHSHHYHCLTTISATWSLVIVTASHDGSIRSFHNFGLPVRL >DRNTG_02874.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:8699589:8703485:1 gene:DRNTG_02874 transcript:DRNTG_02874.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEAPPMTEDPLPVRMFSPSQAQDHFERLESAMEVIRTEKILERDVGSSFVLRPRTPQAPSASLVPPSPIPVPVEPPCTSSPAAAAVEPEALERFYGFDIARHLEQGCVSFMPQDDIVHRNLIIEENLWFSTNSRIGGSGGYGVFIFTSDSGVYCGVIRLRFVVGMSKANKVLVIERVIESLGLLAIRNSLVWTVEKRGISGAQKEWINTGLEMVTKTSLLILDSSTSGLDSSNNAPCV >DRNTG_05349.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:2357:3679:-1 gene:DRNTG_05349 transcript:DRNTG_05349.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFSARCCMLIILLAACPSLLPVSPTGVWPVSFLIPSVDYNTTLFAPFSVDTLMRRC >DRNTG_02019.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12935561:12936591:-1 gene:DRNTG_02019 transcript:DRNTG_02019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPDPVNLKRGRKTKLRRRELGEEAGFNKGKVTRKGLKIKCSICGVIGHNRRFHGSQDKQQTEEPASTSEATEQVNEDTSNDPMADIDLSVLQDHFQQVDIIARGHFIAEHETHAHNQIEPSSQVIDASQLVHDMNISSTAAQIKETREKIMPRVVKKKETIEEQQERKEKGKNNIPCSKEHKILRIPVTRSHVKEKPSDDMEKCGVARGKKRKLWIPPGAA >DRNTG_04858.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22412139:22414841:1 gene:DRNTG_04858 transcript:DRNTG_04858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVKAENTKDDYHDAEGEEEKDDEEEKSNSPDSGGDEVPAERTDDSDQASVNQMVDLPADNDMDEMIDELGDNGLPVANDMDENAVLNMLEELSGNELPTANDMDENAILQMIEELGGNELPAASLLSGILVHMLNVNLLSSMEAIVHD >DRNTG_00274.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1719684:1724061:1 gene:DRNTG_00274 transcript:DRNTG_00274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEPIDSKSSSQSHRGVMADYASLHKDILQEILKFLSFADYIRFGAVCSQWYEVCRHLLRFPSHQSLQATSFHSPTLSNRRQRHLHRPRRFQLNLTGPSQRRLRPTPWHLRMCHCLHLQRSIYPREEPVEDRDAGKPPPVSPAGEKDADKEISICSACEEDEKKRQWFSVNSSQENE >DRNTG_00516.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30469163:30469816:1 gene:DRNTG_00516 transcript:DRNTG_00516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPKACSPAAQHRRSNPDRETQKGAKFLAVKHLGGRKTSPEALAMGINRRQCHKR >DRNTG_14825.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:16453361:16454538:-1 gene:DRNTG_14825 transcript:DRNTG_14825.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFARFQPYLKPISAPILVFFSPSFPQLVRGLRLGFRGVLAKVLEKFYGSNIVIPLGRMLVGELRSRRILYWTKESLDDE >DRNTG_21150.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2317141:2319021:-1 gene:DRNTG_21150 transcript:DRNTG_21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKNNLAKRKKQHEFDLQREKQEKEKRSKKLQARKSKMKIDGTENKRKKNSNGFQVGKKKLKTKLSALSKAKAAQAMELDK >DRNTG_08865.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27762832:27763651:1 gene:DRNTG_08865 transcript:DRNTG_08865.4 gene_biotype:protein_coding transcript_biotype:protein_coding MREALAEAAVAEGEISAAVFAAEDGDVQGEEEVEEEEEEEGISETAEFVAEEKEEERIYAEMLWSQMDSSES >DRNTG_08865.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27757794:27763651:1 gene:DRNTG_08865 transcript:DRNTG_08865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCQVFFDGSNGKLRLVDGFFLQARPSVNGVFLNGRRLRRGATKDLSVGDEILLGCRNRSSSCCMARSGFVVEMIVFRGAAGGSDAHKVFDSMRAVDPGALSDVGLRARAGLLLRYCGKVLQSADPVSYLRNSLHLEIGTGIAGIEKIRGSGDDLLAAVAKNYGHVVVQSGKDRVFSGNPIKKVRKTGGPFQPREDILWRSCNSNGKKLFLNKLDLVGCDMADQGNVVSLLELFHPVESLVRVFAATFTSDIPCYPPFPDIIAFGKDRKKQGVACHHPKLFVLQRESGIRVIVTSANLVSKQWKNVTNTVWFQDFLRRSSPDYSSLFGRPEHTKCDFAAQLAGFIASLIIDVPSQAHWITELAKFDFTGSQGHLVASVPGIYVQNPPYFGADHCLSAKQIERLQPNAVDFLGSAHSSVVGKMQVGSLKFFCEGTTIYPLMLML >DRNTG_08865.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27762066:27763651:1 gene:DRNTG_08865 transcript:DRNTG_08865.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVFPTIERVKNGAHGILPSRFMLSLSEKTWQRLRTTNIFRDAVPNPDNRIGYPMHVKIARRRFHSKTGKTSFGWIYSGSHNFSAAAWGQIVHLPSQSKIPGARAIANELSPRLHICNYELGILIVVPPSKEVDKESLGLDEVILPFVTPAPKYREGDRPATTKAMREALAEAAVAEGEISAAVFAAEDGDVQGEEEVEEEEEEEGISETAEFVAEEKEEERIYAEMLWSQMDSSES >DRNTG_08865.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27757794:27759816:1 gene:DRNTG_08865 transcript:DRNTG_08865.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCQVFFDGSNGKLRLVDGFFLQARPSVNGVFLNGRRLRRGATKDLSVGDEILLGCRNRSSSCCMARSGFVVEMIVFRGAAGGSDAHKVFDSMRAVDPGALSDVGLRARAGLLLRYCGKVLQSADPVSYLRNSLHLEIGTGIAGIEKIRGSGDDLLAAVAKNYGHVVVQSGKDRVFSGNPIKKVRKTGGPFQPREDILWRSCNSNGKKLFLNKLDLVGCDMADQGNVVSLLELFHPVESLVRVFAATFTSDIPCYPPFPDIIAFGKDRKKQGVACHHPKLFVLQRESGIRVIVTSANLVSKQWKNVTNTVWFQDFLRRSSPDYSSLFGRPEHTKCDFAAQLAGFIASLIIDVPSQAHWITELAKFDFTGSQGHLVASVPGIYVQNPPYFGADHCLS >DRNTG_08865.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27762194:27762747:1 gene:DRNTG_08865 transcript:DRNTG_08865.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVFPTIERVKNGAHGILPSRFMLSLSEKTWQRLRTTNIFRDAVPNPDNRIGYPMHVKV >DRNTG_08509.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28902382:28904647:1 gene:DRNTG_08509 transcript:DRNTG_08509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFSLNSSSNNTRSRTRPIQIQGTKQQQ >DRNTG_23339.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6095817:6098628:1 gene:DRNTG_23339 transcript:DRNTG_23339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIDLVSPLVNAATPYLVDPIARQFKYLFMLSNNVKAMTDAMDELRAKRVSTEQDIQKAELEGKTCSPDVELWLRKVDAFDQREVTAIEQEYYQRTNCIAMPSLNIASNYKLGRRAFSKKEEMMELLEKATKFDVVAKKLPPGPARELATPSMVSNQNSNLETICQYLKENMTGIIGIWGMGGVGKTTLLKSINNEFYLSKDGMFDHVIWVVVSQDYSYQKIQSDIAKNLGLTSTNANAIHDFLKRKSFLLLLDDLWSKLDLENIGVPQPKLMHHDQDKHKRMVVFTTRSENVCGDMEANKTIKMECLVPDAAWRLFKAQAGEELIASDNLIQQHAKDIVRECAGLPLALATVGKAMRIKKTEQEWEYVASMMRKSKYSGIPGMRKESDFFPILKFSYDNLESDLLRQCFLYCSLWGEDVEIDTDDLIECWMGHGLLDDFDDLGEAYNKGGIFIGNLKEACLLLESATPFRYHKHKSFVKLHDVIRDLALWITSDCGRNKKGWLVQPKSSLERLPEDVIDREVINILVSNMKALDGFINFHKLKTLILSKEIVGRHRISPELFTKMPCLKFLDLSRARMDTLPKEIGKLFGLEYFKLPSQLRSLSTALGDLKNLKYLYSFPQYKLQIPYGLLTRLTKLRVLDLCSTADVYLEKRHVDELLILKELKGVGINIRRISTLERLCLVPKRRLRLGYLDDAPDFTSFSISPSQLGSNSKTNLQQFQIFNIQSLQELVMTTEGDSSWCLSHLNAFSLSNLPQLKNVIWKDLEAHFFLPVLAYLNIFKCKSLTSLCWTADLPHLKELQISTCDELKSIIKTSDDATKVIEEEGNLFKSLKSLDLWDNPNLECIYEGDLSLSSIEKISLGGCSKLRKLPLGLDSAKTLEYIDVDIDMWDNMDWAHKDHFSHFVGKRSRLRRFIQFM >DRNTG_19552.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001011.1:6914:7967:1 gene:DRNTG_19552 transcript:DRNTG_19552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSACGTRSFSTGTLESVGVKTDTT >DRNTG_19552.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001011.1:6914:7360:1 gene:DRNTG_19552 transcript:DRNTG_19552.2 gene_biotype:protein_coding transcript_biotype:protein_coding YVPSRASMSSSSACGTRSFSTGTLESVGVKTDTTGYLLWLLDQ >DRNTG_14599.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7110847:7111137:-1 gene:DRNTG_14599 transcript:DRNTG_14599.2 gene_biotype:protein_coding transcript_biotype:protein_coding HVKERWTERTVFASQNKWEGPPNGERALKTIDRSESQ >DRNTG_14599.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7109600:7111137:-1 gene:DRNTG_14599 transcript:DRNTG_14599.1 gene_biotype:protein_coding transcript_biotype:protein_coding HVKERWTERTVFASQNKWEGPPNGERALKTIDRSESQ >DRNTG_13607.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000625.1:15208:19486:-1 gene:DRNTG_13607 transcript:DRNTG_13607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRGVEIVRFLLRDMYRLQVMTRGKPSILVGCDPKLEHTLRRRGKEPTQEPSIQAEVEGTLEDEARNETSQETPKANGDVEE >DRNTG_33788.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:115785:118134:-1 gene:DRNTG_33788 transcript:DRNTG_33788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIAGGSDSPARSPPPVHPLVAPLEYLLGKWRGEGEGGYPTINSFSYGEELVFSHSGKPVISYSQKTWKLASGEPMHAESGYWRPRPDGSIDVVIAQSTGLVEVQKGSYDAENKIVTLKSELVGNASKVKDITRVFTVTNGELSYVVQMATQLTSLQPHLKALLKKL >DRNTG_35087.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16876262:16877617:-1 gene:DRNTG_35087 transcript:DRNTG_35087.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 20 [Source:Projected from Arabidopsis thaliana (AT5G45820) UniProtKB/Swiss-Prot;Acc:Q9FJ54] MHKYELGRLLGQGTFAKVYNGRNIATSQHVAVKIIDKEKVMRVGMIDQIKREISVMRLVRHPNVVQLNEVMATKTKIYFAMELVKGGELFNKVAKGRLKEDVARKYFQQLIGAVDFCHSRGVYHRDLKPENLLLDEHGNLKISDFGLSALCESRRNDGLLHTMCGTPAYVAPEVINKKGYDGSKADIWSCGVILFVLLAGFLPFP >DRNTG_22575.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14494631:14498043:1 gene:DRNTG_22575 transcript:DRNTG_22575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFLHPNREAEALIEPIKLQNGKESRKEKKDRRKEKNREKKDKKENRKRSREDHGNFEPSKHSPKKRKHDEGKGCPAKAGDDGSEQLEKSSITEELGLPLSTYSPYDSDRSQNTKQRENPAATAPSINHNNVGFIVRVRLPLGKKQKDAEALGPNEEDPCFSGRLETPFQSLHCPSSSHRYSSADQSETVSNQEAAETMNKPSNSQQRHSGPSGRVEETYKQDVAQPDGKPSSSQLRYIKTPGEQKVDKVPAGAHTPSTSNQRPSRIERKEQRYRDLVTNWNPQQFQYELSDDSEDNWLFGSRQGKDDDAGCKTGAESSVYGNTTAVSFQPRACYIPEMDMYALPYVVPF >DRNTG_22575.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14494631:14497935:1 gene:DRNTG_22575 transcript:DRNTG_22575.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLNFSLSFLHLFCMRIDGSCASVKLQNGKESRKEKKDRRKEKNREKKDKKENRKRSREDHGNFEPSKHSPKKRKHDEGKGCPAKAGDDGSEQLEKSSITEELGLPLSTYSPYDSDRSQNTKQRENPAATAPSINHNNGRSADLFP >DRNTG_22575.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14494631:14497935:1 gene:DRNTG_22575 transcript:DRNTG_22575.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLNFSLSFLHLFCMRIDGSCASVKLQNGKESRKEKKDRRKEKNREKKDKKENRKRSREDHGNFEPSKHSPKKRKHDEGKGCPAKAGDDGSEQLEKSSITEELGLPLSTYSPYDSDRSQNTKQRENPAATAPSINHNNVGFIVRVRLPLGKKQKDAEALGPNEEDPCFSGRLETPFQSLHCPSSSHRYSSADQSETVSNQEAAETMNKPSNSQQRHSGPSGRVEETYKQDVAQPDGKPSSSQLRYIKTPGEQKVDKVPAGAHTPSTSNQRPSRIERKEQRYRDLVTNWNPQQFQYELSDDSEDNWLFGSRQGKDDDAGCKTGAESSVYGNTTAVSFQPRACYIPEMDMYALPYVVPF >DRNTG_22575.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14494631:14497864:1 gene:DRNTG_22575 transcript:DRNTG_22575.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFLHPNREAEALIEPIKLQNGKESRKEKKDRRKEKNREKKDKKENRKRSREDHGNFEPSKHSPKKRKHDEGKGCPAKAGDDGSEQLEKSSITEELGLPLSTYSPYDSDRSQNTKQRENPAATAPSINHNNVGFIVRVRLPLGKKQKDAEALGPNEEDPCFSGRLETPFQSLHCPSSSHRYSSADQSETVSNQEAAETMNKPSNSQQRHSGPSGRVEETYKQDVAQPDGKPSSSQLRYIKTPGEQKVDKVPAGAHTPSTSNQRPSRIERKEQRYRDLVTNWNPQQFQYELSDDSEDNWLFGSRQGKDDDAGCKTGAESSVYGNTTAVSFQPRACYIPEMDMYALPYVVPF >DRNTG_22575.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14494631:14497935:1 gene:DRNTG_22575 transcript:DRNTG_22575.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFLHPNREAEALIEPIKLQNGKESRKEKKDRRKEKNREKKDKKENRKRSREDHGNFEPSKHSPKKRKHDEGKGCPAKAGDDGSEQLEKSSITEELGLPLSTYSPYDSDRSQNTKQRENPAATAPSINHNNVGFIVRVRLPLGKKQKDAEALGPNEEDPCFSGRLETPFQSLHCPSSSHRYSSADQSETVSNQEAAETMNKPSNSQQRHSGPSGRVEETYKQDVAQPDGKPSSSQLRYIKTPGEQKVDKVPAGAHTPSTSNQRPSRIERKEQRYRDLVTNWNPQQFQYELSDDSEDNWLFGSRQGKDDDAGCKTGAESSVYGNTTAVSFQPRACYIPEMDMYALPYVVPF >DRNTG_34349.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21190550:21191711:1 gene:DRNTG_34349 transcript:DRNTG_34349.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNYSSKESKGIFRSGSVRENTETKVDQF >DRNTG_11689.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:357666:358118:1 gene:DRNTG_11689 transcript:DRNTG_11689.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPDLPKPSHTLQKQSKLNPNLSNQQQPQ >DRNTG_07069.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2120150:2124424:-1 gene:DRNTG_07069 transcript:DRNTG_07069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVPRWMPLMALGLLVLLAGGMGWFGPGMIADSSAATMARRSLRGSEGNESSDPKAFRFEHEPVHGAVDDPEMVATEVHMTINNHTARRALGYLSCGTGNPIDDCWRCDPDWHLHRKRLADCGIGFGRNAIGGRDGRYYVVSDPGDDDPVNPRPGTIRHAVIQDEPLWIVFKRDMVITLKEELIMNSFKTIDGRGVNVHIANGACLTIQFVTNIIIHGLHIHDCKPTGNAMVRSSPSHYGWRTMADGDGVSIFGSSHIWVDHCSLSNCADGLIDAVIGSTAITISNNYFTHHNEVMLLGHSDSYARDKAMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSADPTINSQGNRYLAPMNPFAKEVTKRVDTNAGAWKSWNWRSEGDLLLNGAYFTPSGAGASASYSRASSLGAKSSSMVGSITSGAGVLTCRSGNRC >DRNTG_32847.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:22983645:22984780:-1 gene:DRNTG_32847 transcript:DRNTG_32847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRHWEIIRRTPFASFTELETVFQERALLDYLLQKYDNRTNKFRIGEKMLSYRPEDVALVFGHALRWRRNRVSEEENTLSFQ >DRNTG_19237.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18912592:18918498:1 gene:DRNTG_19237 transcript:DRNTG_19237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGIGSSSFIPPRSVIDLRNPNLSLKLRCQRLNGRKIRRGLVVSRASDSGERDSGNGVPVPPSKVFVQEAIGAEYGEGFETFRMDGPLKVDVDYLNDNLQEGVLQRIRHAMKPDEAIGLIFSWDNVVADTRLLKLNAWRQLASEEGKEIPTDDHIRRAILHTSADHVLQKVLCWQKGESELEQLKSRLSQLYYENLLQLETPMEGLKEWLDALYTASIPCAVVSCLDRRNMLESLQRMGLTKYFQAIVTEEDGMESIAHRFLSAALKLDRKPSKCVVFEDDPRGITAAHNCTMMAVALIGAHPAYELEQADLAVASFGELSVINLRRLFAHKGSSFMDLQKQVEDKSPPKRKLMIDTLF >DRNTG_01744.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4265870:4266825:1 gene:DRNTG_01744 transcript:DRNTG_01744.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQGQHLVSPLAASEHSDNIDRSSPPNVNSGENEKVQFL >DRNTG_01744.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4263121:4266825:1 gene:DRNTG_01744 transcript:DRNTG_01744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQGQHLVSPLAASEHSDNIDRSSPPNVNSGENEKVQFL >DRNTG_01744.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4265870:4266825:1 gene:DRNTG_01744 transcript:DRNTG_01744.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSLSLCIYKFISMLQMIGQMVDSFSNIKVDEECGSFPSGGECLQQQTEVKPVDQKGENLPVNNHQVADLEEMNIQGQHLVSPLAASEHSDNIDRSSPPNVNSGENEKVQFL >DRNTG_01744.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4265159:4266825:1 gene:DRNTG_01744 transcript:DRNTG_01744.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQGQHLVSPLAASEHSDNIDRSSPPNVNSGENEKVQFL >DRNTG_01744.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4263121:4266825:1 gene:DRNTG_01744 transcript:DRNTG_01744.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQGQHLVSPLAASEHSDNIDRSSPPNVNSGENEKVQFL >DRNTG_10396.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23042691:23045821:-1 gene:DRNTG_10396 transcript:DRNTG_10396.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructokinase-like 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G69200) UniProtKB/Swiss-Prot;Acc:F4I0K2] MASLTFICSLPLLRNQTNCAGVFLAPNLVRLQNLKVQKLLMHAVSRKAASQIDSEDGSDAEIAETPPKKTRRTPRRSRKQVAAETCVEGSVNQEENVATKEAGEEESTVSATSVEEPKKTARRGRKKAASLPNTEESAEKKTTTRRRSRKKTDKLEDKSMSVEPSDADELQYSIASEGQQELDLDEHEGEDISYTYSWPPLVCCFGAAQHSFIPSGRPANRLIDHEIHERNKGMLWHPSKFVRAPGGSASSVAVALTSLGGRVAFMGKLGDDEYGQSMLYHLNLHGVQTRSIKLDEEIATAVSHMKISRRGDLKMTCVKPCAEDSFLAKEINVDVLKEATMFYFNSTALLDPNMRFTTMQAVKAFRKLGGVVFFDLNLPMPLWHSSEETKAFIQQAWNSADVVEVTKKELEFLCGIQPSEKFDTEDNDKSKFTHYAPELVKPLWHENLKVLFMTNGTSKIHYYTEEVNGSVHGMEDAPITPFTGDMSASGDAIVAALMRMLTVQPHLITDKSYLEHMSKYAINCGVIDQWMLARIRGFPPRDSMEGRPTGYGSTRSISERDYRSYRSFTASNQ >DRNTG_10396.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23042691:23043623:-1 gene:DRNTG_10396 transcript:DRNTG_10396.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructokinase-like 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G69200) UniProtKB/Swiss-Prot;Acc:F4I0K2] MFYFNSTALLDPNMRFTTMQAVKAFRKLGGVVFFDLNLPMPLWHSSEETKAFIQQAWNSADVVEVTKKELEFLCGIQPSEKFDTEDNDKSKFTHYAPELVKPLWHENLKVLFMTNGTSKIHYYTEEVNGSVHGMEDAPITPFTGDMSASGDAIVAALMRMLTVQPHLITDKSYLEHMSKYAINCGVIDQWMLARIRGFPPRDSMEGRPTGYGSTRSISERDYRSYRSFTASNQ >DRNTG_21401.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1539156:1544150:1 gene:DRNTG_21401 transcript:DRNTG_21401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIASFQRFTSPRALLFRPPSPPPPYHHSPSKPYSPLLAQSLLPSITRFRSLQTDASPVIVSEDDSFGKKQVISLTPQLYDYILSNVREPPILRELREETATLKGSQMLVSPDQAQLLAMLVQILGANRCIEVGVFTGYSSLAVALVLPESGYLVACEKDAKCLEIAKKYYELAAVAHKVDVKQALAADTLQSLLDKGEGCSYDFAFLDADKRKYDEYFEYLFQLVRVGGVIVIDNVLWHGKVANPNETDKKTNSLRKFNRKIFKDERISTSVVPVGDGMTICRKK >DRNTG_21401.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1539156:1544150:1 gene:DRNTG_21401 transcript:DRNTG_21401.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIASFQRFTSPRALLFRPPSPPPPYHHSPSKPYSPLLAQSLLPSITRFRSLQTDASPVIVSEDDSFGKKQVISLTPQLYDYILSNVREPPILRELREETATLKGSQMLVSPDQAQLLAMLVQILGANRCIEVGVFTVSAL >DRNTG_28029.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5352364:5356186:1 gene:DRNTG_28029 transcript:DRNTG_28029.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRRLFKKPHLHPLRFLPSLQNPHLSDLPPFPPFLNPSLIPNSNPNPNPNPNPPNCFPIYPSFSHWPHLEPISISGFDPSSIHGSAESTDVDERTVWADSVKKKRKKKMNKHKYRKLRKRLRRQT >DRNTG_28029.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5352364:5353111:1 gene:DRNTG_28029 transcript:DRNTG_28029.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRRLFKKPHLHPLRFLPSLQNPHLSDLPPFPPFLNPSLIPNSNPNPNPNPNPPNCFPIYPSFSHWPHLEPISISGFDPSSIHGSAESTDVDERTVWADSVKKKRKKKMNKHKYRKLRKRLRRQT >DRNTG_25973.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001342.1:27846:32440:-1 gene:DRNTG_25973 transcript:DRNTG_25973.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDDRCPRPMCYKAYGSGKEDSPLCDVPGFENARMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAGNESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQESAAINQHESIQKFIQGTIADGAPVVPISAQLKYNIDVVCENIVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVDEIKGGVAGGSILRGVLKVNQFIEVRPGIVVKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVFVELEVNFFLLRRLLGVRTKGTEKQSKVSKLAKGEILMLNIGSMSTGARVVAVKNDLAKLQLTAPVCTSRGEKVALSRRVEKHWRLIGWGQIQAGLTLEVPPCPI >DRNTG_25973.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001342.1:27846:32440:-1 gene:DRNTG_25973 transcript:DRNTG_25973.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDDRCPRPMCYKAYGSGKEDSPLCDVPGFENARMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAGNESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQESAAINQHESIQKFIQGTIADGAPVVPISAQLKYNIDVVCENIVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVDEIKGGVAGGSILRGVLKVNQFIEVRPGIVVKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVFVELEVNFFLLRRLLGVRTKGTEKQSKVSKLAKGEILMLNIGSMSTGARVVAVKNDLAKLQLTAPVCTSRGEKVALSRRVEKHWRLIGWGQIQAGLTLEVPPCPI >DRNTG_05634.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6282661:6283713:1 gene:DRNTG_05634 transcript:DRNTG_05634.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKHDTREDMVVFLFFTFLSFLLFGSWRRYIHEKNLCHMRILSLSLFYESELSMYKNGCFLSISFDVLSCCSVLLLFIARNAYHKDDRRFAALADNSDSKKQKSHHPWLTNGFQL >DRNTG_18346.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1566297:1568933:1 gene:DRNTG_18346 transcript:DRNTG_18346.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIKFLLPLMVMLMVLVLINGGTLVKGYPEEDIVKKLPGQPDVAFKQYAGYIDVDLDAGRSLFYYFVEAQGESQQVKPLTLWLTGGPGCSSIGQGAFNQVGPFLPNGDGHSLQCNKMSWNRVSNLLFVDAPAGVGWSYSNRSSDYSFSIESFTNDMYIFLIKWLEKFPEFKYTDLFLTGETYAGHYIPNFVNVLLKHNQNSDDFKFKIKGIAIGNPIIKLDRDLAASYEFLWSHGMISDEIFMIIKRECDFEKYVRRNDQNASETCNTAVDTAIIMVGNINFYNVILDTCPSNVEEQLRLKKTVSKISYGIDVCMGYEIDVYLNLPQVQHAFHANRTKLPYKWSKCSQFLQYNDEDNIKNMLPVLKIIVGQKIPVWIFSGDQDAILSILGSRSLVRELAEELKYNITVPYGAWYYKSQVGGWVTEYGNVLTFATVKGGGEMIGYSQPGRAFQLFKSFVHGERLTRRNT >DRNTG_29055.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2864602:2864920:-1 gene:DRNTG_29055 transcript:DRNTG_29055.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRENSCSSAALVSQKRTTRSSTQGGKAAKNSKR >DRNTG_29055.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2864602:2866643:-1 gene:DRNTG_29055 transcript:DRNTG_29055.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVENSYEEVRRQRVQENLKHLKDLGISDISKSILDSAKSEHKLLRSPSTTRTKKRIEITEVRRSARARNHVASYKDEVDLGELKVHRRRYTSSGNNKREYDGRIASYEEYVRALKRAEKLQTDLKSGQPSFAKAMVRSHVSSCFWLGLPTKFCKDHLPPNEFKIVLEDEQGVEYDAIYIGNRTGLSGGWRAFAIDHHLEDGDVLVFELIEPDRFKIYIIKACGESNQASDDGNNTDADAKDTKKSSKKMKSRENSCSSAALVSQKRTTRSSTQGGKAAKNSKR >DRNTG_29055.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2864602:2866698:-1 gene:DRNTG_29055 transcript:DRNTG_29055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLLLLLVILTSRYTSSGNNKREYDGRIASYEEYVRALKRAEKLQTDLKSGQPSFAKAMVRSHVSSCFWLGLPTKFCKDHLPPNEFKIVLEDEQGVEYDAIYIGNRTGLSGGWRAFAIDHHLEDGDVLVFELIEPDRFKIYIIKACGESNQASDDGNNTDADAKDTKKSSKKMKSRENSCSSAALVSQKRTTRSSTQGGKAAKNSKR >DRNTG_04468.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19314686:19315985:1 gene:DRNTG_04468 transcript:DRNTG_04468.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASTLAIYKHCDSYTPSSMTLSSRGLSSSRSDNSSFISISSIGASRLIVPVDSTIILLASFSTKFGSCLPFLNETPIRDSFVLTSALLRSDPGSLSSINLDI >DRNTG_28704.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:411950:413319:1 gene:DRNTG_28704 transcript:DRNTG_28704.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:Projected from Arabidopsis thaliana (AT5G65730) UniProtKB/TrEMBL;Acc:Q0WUU2] MKMGGMKTTISFILHLLPCLVFVGARPATFNDDFRVTWEESHIKQIQGGSAIQLILDRSSGCGFASKHQYLFGRVSMKIKLVPGDSAGTVTAFYMNSDTDNIRDELDFEFLGNRTGQPYTVQTNVYAHGKGDREQRINLWFDPAIDFHTYTILWNPYHIVFSIDDIPIREYKNNEAKGIPYPKTQPMGIYSTLWEADDWATRGGLEKIDWSKAPFYAYYKDFDVEGCWMPGPKSCITNPNNWWEGQAYRQLDAVQQKKYQWVRTNHMIYDYCTDKSRYPITPPECIA >DRNTG_30470.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11385015:11388435:-1 gene:DRNTG_30470 transcript:DRNTG_30470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMEGLKGNICLIKHLEEEEEVSPQPRARGNDDRVTASPARGGGDNFNERERDGRDPKAKEEERRGSPEVR >DRNTG_05824.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18972030:18975403:1 gene:DRNTG_05824 transcript:DRNTG_05824.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFHMHLEDFLGQPPFMQFLQNMRSPSSSSQAPAADGEDPAAAVDAPNQAKIKQNLGVRKDN >DRNTG_05824.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18971334:18975403:1 gene:DRNTG_05824 transcript:DRNTG_05824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFHMHLEDFLGQPPFMQFLQNMRSPSSSSQAPAADGEDPAAAVDAPNQAKIKQNLGVRKDN >DRNTG_09858.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000417.1:107422:109788:1 gene:DRNTG_09858 transcript:DRNTG_09858.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKIFGTPSIQIFELNFFFFGSGYMAPEYAMHGHFSVKSDVYSYGVIVLEIVTGRRSSGYEESANAAHLLSYAWRLWNEGRALELKDSSLGDRIQADEVLRCIHIGLLCVQEDPKDRPTMGTVVLMLRSYSFPLPKPSTPAFFIRNRTSNQPNVDLRDMDLNQVNNRQCNQEQRHPTVDSVNNLSITQLEGR >DRNTG_09858.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000417.1:106617:109788:1 gene:DRNTG_09858 transcript:DRNTG_09858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARLIPLRLHLLSHHLRHQLQYLLPLGRSAGIRYDACLLRYSDTRFFSQLSNDSFESRTNGQVATDPVGFGRRVIDLMNEISSKAPRTPARFAAGTTNFSGGIYGMVQCTADLSVDDCEKCLNGTLNVFRGFPYGRVGSMVASLSCIARYETYLFFSLSLLSAPSPSPSLPPSSSGVNDAILNSGSGGKNSNTTTIVLVVVFVVVAGIVFFSGVCIYLRRRRRRRRSRRKPIRQSPDGGDESEFVSDESIVFDLATLRDATSDFSEENKLGTGGFGVVYKGVLRDGKEIAVKRLSATSRQGFVELKNEVILVAKLQHRHLVRLLGCCLEQQEKLLIYEYLLNASLDKFLFDPGRCQQLDWARRYKIIEGISGGLLYLHEDSRLRIIHRDLKAGNILLDGDMNPKISDFGFAKLFDIDETEGNTNRIAGTYGYMAPEYAMHGHFSVKSDVYSYGVIVLEIVTGRRSSGYEESANAAHLLSYAWRLWNEGRALELKDSSLGDRIQADEVLRCIHIGLLCVQEDPKDRPTMGTVVLMLRSYSFPLPKPSTPAFFIRNRTSNQPNVDLRDMDLNQVNNRQCNQEQRHPTVDSVNNLSITQLEGR >DRNTG_33566.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28642716:28644354:-1 gene:DRNTG_33566 transcript:DRNTG_33566.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RADIALIS-like 4 [Source:Projected from Arabidopsis thaliana (AT2G18328) UniProtKB/Swiss-Prot;Acc:Q1G3C4] MASRSPSTSSWTAKQNKIFENALAHYDKDTPDRWQNVARAVGSGKSPEDVELHYKRLVEDVNNIDSGNVPYPNYRASGGKAGTVKS >DRNTG_23880.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:62426:64152:1 gene:DRNTG_23880 transcript:DRNTG_23880.6 gene_biotype:protein_coding transcript_biotype:protein_coding MARLDRVKNITGLVELYGRNARLKELVNLVVVAGDHGKESKDLEEQEEFKKMYRLIDEYKLNGHIRWISAQMNPVRNGELYRYIADTRGAFVQPAFYEAFGLTVVEAMTCALPTFATVNGGPAEIIVHGVSGYHIDPYQGDKAAELLVSFFEKSKEDPTQWEKISQGGLQRIYEKYTWKLYSERLMTLSGVYGFWKYVSNLERRETRRYLEMFYALKYRDLAKSVPLAVDEEINADGAAK >DRNTG_23880.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:59884:64152:1 gene:DRNTG_23880 transcript:DRNTG_23880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRLTRIHSVRERLGDTLSAHRNELIALFSRFVSQGKGMLQPHQLVAEFEAAISEEERQKLKDGVLEGVLRAAQEAIVIPPWVALAIRPRPGVWEYVRVNVNELAIEELTVPEYLQFKEQLVDEGHKNNYMLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMQPLLDFLRAHSYKGTVMMLNDKIRSLSALQSSLRKAGEYLLTVAPDTPYSAFNHRFQELGLEKGWGDTAQRVYETIQLLLDLLEAPDPCTLETFLGTIPMLFNVVILSPHGYFAQANVLGYPDTGGQIVYILDQVRALEREMLLRIKQQGLDITPRILIVTRLLPDAVGTTCGEHLEKVHGTEHTSILRVPFRSEKGILRKWISRFEVWPYLETFAADVAIELEQELQATPDLIIGNYSDGNLVASLLAHQLGVTQCTIAHALEKTKYPKFRYILEKV >DRNTG_23880.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:59884:64152:1 gene:DRNTG_23880 transcript:DRNTG_23880.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRLTRIHSVRERLGDTLSAHRNELIALFSRFVSQGKGMLQPHQLVAEFEAAISEEERQKLKDGVLEGVLRAAQEAIVIPPWVALAIRPRPGVWEYVRVNVNELAIEELTVPEYLQFKEQLVDEGHKNNYMLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMQPLLDFLRAHSYKGTVMMLNDKIRSLSALQSSLRKAGEYLLTVAPDTPYSAFNHRFQELGLEKGWGDTAQRVYETIQLLLDLLEAPDPCTLETFLGTIPMLFNVVILSPHGYFAQANVLGYPDTGGQIVYILDQVRALEREMLLRIKQQGLDITPRILIVTRLLPDAVGTTCGEHLEKVHGTEHTSILRVPFRSEKGILRKWISRFEVWPYLETFAADVAIELEQELQATPDLIIGNYSDGNLVASLLAHQLGVTQCTIAHALEKTKYPKFRYILEKV >DRNTG_23880.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:59884:64152:1 gene:DRNTG_23880 transcript:DRNTG_23880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRLTRIHSVRERLGDTLSAHRNELIALFSRFVSQGKGMLQPHQLVAEFEAAISEEERQKLKDGVLEGVLRAAQEAIVIPPWVALAIRPRPGVWEYVRVNVNELAIEELTVPEYLQFKEQLVDEGHKNNYMLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMQPLLDFLRAHSYKGTVMMLNDKIRSLSALQSSLRKAGEYLLTVAPDTPYSAFNHRFQELGLEKGWGDTAQRVYETIQLLLDLLEAPDPCTLETFLGTIPMLFNVVILSPHGYFAQANVLGYPDTGGQIVYILDQVRALEREMLLRIKQQGLDITPRILIVTRLLPDAVGTTCGEHLEKVHGTEHTSILRVPFRSEKGILRKWISRFEVWPYLETFAADVAIELEQELQATPDLIIGNYSDGNLVASLLAHQLGVTQCTIAHALEKTKYPKFRYILEKV >DRNTG_23880.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:59287:64152:1 gene:DRNTG_23880 transcript:DRNTG_23880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRLTRIHSVRERLGDTLSAHRNELIALFSRFVSQGKGMLQPHQLVAEFEAAISEEERQKLKDGVLEGVLRAAQEAIVIPPWVALAIRPRPGVWEYVRVNVNELAIEELTVPEYLQFKEQLVDEGHKNNYMLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMQPLLDFLRAHSYKGTVMMLNDKIRSLSALQSSLRKAGEYLLTVAPDTPYSAFNHRFQELGLEKGWGDTAQRVYETIQLLLDLLEAPDPCTLETFLGTIPMLFNVVILSPHGYFAQANVLGYPDTGGQIVYILDQVRALEREMLLRIKQQGLDITPRILIVTRLLPDAVGTTCGEHLEKVHGTEHTSILRVPFRSEKGILRKWISRFEVWPYLETFAADVAIELEQELQATPDLIIGNYSDGNLVASLLAHQLGVTQCTIAHALEKTKYPKFRYILEKV >DRNTG_23880.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:59287:64152:1 gene:DRNTG_23880 transcript:DRNTG_23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRLTRIHSVRERLGDTLSAHRNELIALFSRFVSQGKGMLQPHQLVAEFEAAISEEERQKLKDGVLEGVLRAAQEAIVIPPWVALAIRPRPGVWEYVRVNVNELAIEELTVPEYLQFKEQLVDEGHKNNYMLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMQPLLDFLRAHSYKGTVMMLNDKIRSLSALQSSLRKAGEYLLTVAPDTPYSAFNHRFQELGLEKGWGDTAQRVYETIQLLLDLLEAPDPCTLETFLGTIPMLFNVVILSPHGYFAQANVLGYPDTGGQIVYILDQVRALEREMLLRIKQQGLDITPRILIVTRLLPDAVGTTCGEHLEKVHGTEHTSILRVPFRSEKGILRKWISRFEVWPYLETFAADVAIELEQELQATPDLIIGNYSDGNLVASLLAHQLGVTQCTIAHALEKTKYPKFRYILEKV >DRNTG_02834.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20093453:20104620:1 gene:DRNTG_02834 transcript:DRNTG_02834.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRTATSELYQIFRKMDEKKLALHRGGGTEQDKQKELLIPGAGFNFRGIISSCFEVHLTMYVELEEKTLMEHLEKLVQEETWETEEGSQANSLSSSMLVFLIIMQSLKRCSSLMKNQTSFNLFEVFKRILRSYATKLYARLPKGGTGIVAAATGTDGQIKTSDRDE >DRNTG_03541.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:276060:277054:-1 gene:DRNTG_03541 transcript:DRNTG_03541.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSDLQDGGKHMHLLGGSRVEVKIANPRQNNRYDQHSGAGYQQYPTDHRYEIYMDYYPRYNGYMSPAALGTYPYGANYYYGGYMNPNEYYPHYEPYIDTRIPWNLQPFQPMAYPNYMYAFQGNQFTIDEPRDIVEPDDNPSDDAPSADADQ >DRNTG_03541.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:276060:278813:-1 gene:DRNTG_03541 transcript:DRNTG_03541.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPSPSRTAVPDPRKLFIGGMPRTVTQQTLKAYFERFGEVTGTYVPIEIGGRSRGFGFVRFADPAVAQLVLDEGTHIIDDREVDVKRALPQVENQSPRLRQARGQRHDQNFPNGIHGGFYAVKNKIFVGGLPSDLSVDDFRSYFEQFGVIIDAVIVCESETGRPRGFGFITFQSEESVKDVMERSDLQDGGKHMHLLGGSRVEVKIANPRQNNRYDQHSGAGYQQYPTDHRYEIYMDYYPRYNGYMSPAALGTYPYGANYYYGGYMNPNEYYPHYEPYIDTRIPWNLQPFQPMAYPNYMYAFQGNQFTIDEPRDIVEPDDNPSDDAPSADADQ >DRNTG_03301.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29039456:29040496:1 gene:DRNTG_03301 transcript:DRNTG_03301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDGTKYGGRDSKAHSRGYSSYSGGYGSSGDLPSETYGGYSGSQGGSGGNYGSYGGYSGRSGSAGLSGPNPVSHGKHHGY >DRNTG_07177.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17277782:17280872:-1 gene:DRNTG_07177 transcript:DRNTG_07177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGKVIKCKAAVAWEAGKPLVMEEVEVAPPQAMEVRIKILFTSLCHTDVYFWEAKGQTPVFPRIFGHEAGGIVESVGEGVTELAPGDHVLPVFTGECKDCAHCKSEESNMCTLLRINTDRGVMIGDGQSRFSINGKPIYHFVGTSTFSEYTVVHVGCVAKINPNAPLDKVCVLSCGISTGLGATLNVAKPPRGSTVAVFGLGAVGLAAAEGAKMAGASRIIGVDLNPRRFVEAKKFGVTEFVNPSDFDKPIQEVLTEMTDGGVDRSIECTGNINAMISAFECVHDGWGVAVLVGVPHKDAVFKTHPMNLLNERTLKGTFFGNYKPRSDIPAVVEKYMNKELELEKFITHEVPFSEINKAFEYMLKGDSLRCIIRMQEE >DRNTG_23204.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5573486:5577862:1 gene:DRNTG_23204 transcript:DRNTG_23204.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQSHMLKLFILCLSIALVPCSSQLQTSQAWSLLGIQHLLNYPSALSSWNNFSDICDAEQNPFFTVVCYEESITQLHIIGNESTPPLPKNFSIHSFFTTLTRLPDLKVLSLRSLGLWGHIPAKISRLSSLEIVNMSSNYFSGVIPSEVSYLKNLQTLILDHNMFSGHLPDWIGSLPLLTVLSVKNNNLSGTLPDSISKLESLRVLVLSSNSFSGKVPDLSLLTNLQMLDLGENHFVSQFPNVGKELVYLKLRKNKFTGSLPSEITSCYLLQWLDVSSNRFVGPFPPSLLSLPYINYLNISGNRFTGMLFQNMSCSDTLESADLSANLLTGSMPSCLVSNSNNKIVIYYENCLTTKSQNQHPSSFCQTQALAVGIVPRMQKKIAVLKAIRLVCVIGGVIVGSLLVGILILAAIKRLNSSRASEQPPRRLIEHASHNFPSKLLTDARYISQTMKLGTLGLPSYRSFSLEELEAATNNFETSAFMGEGSYGQMYKGRLNDGSMVAIRCLKLKKGQNYQNFNRHIELISKLRHRHLVSALGHCFEYYLDDSTVSRLFLIFEYMPNGTLRTGISEGVGGQMLTWSQRISSAIGVARGIQFLHAGIIPGLFGNNLKITNILSRSESCCEKSAVITCQYYRRVCEQMLKRDR >DRNTG_23204.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5574129:5577862:1 gene:DRNTG_23204 transcript:DRNTG_23204.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQSHMLKLFILCLSIALVPCSSQLQTSQAWSLLGIQHLLNYPSALSSWNNFSDICDAEQNPFFTVVCYEESITQLHIIGNESTPPLPKNFSIHSFFTTLTRLPDLKVLSLRSLGLWGHIPAKISRLSSLEIVNMSSNYFSGVIPSEVSYLKNLQTLILDHNMFSGHLPDWIGSLPLLTVLSVKNNNLSGTLPDSISKLESLRVLVLSSNSFSGKVPDLSLLTNLQMLDLGENHFVSQFPNVGKELVYLKLRKNKFTGSLPSEITSCYLLQWLDVSSNRFVGPFPPSLLSLPYINYLNISGNRFTGMLFQNMSCSDTLESADLSANLLTGSMPSCLVSNSNNKIVIYYENCLTTKSQNQHPSSFCQTQALAVGIVPRMQKKIAVLKAIRLVCVIGGVIVGSLLVGILILAAIKRLNSSRASEQPPRRLIEHASHNFPSKLLTDARYISQTMKLGTLGLPSYRSFSLEELEAATNNFETSAFMGEGSYGQMYKGRLNDGSMVAIRCLKLKKGQNYQNFNRHIELISKLRHRHLVSALGHCFEYYLDDSTVSRLFLIFEYMPNGTLRTGISEGVGGQMLTWSQRISSAIGVARGIQFLHAGIIPGLFGNNLKITNILSRSESCCEKSAVITCQYYRRVCEQMLKRDR >DRNTG_23204.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5573486:5577862:1 gene:DRNTG_23204 transcript:DRNTG_23204.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQSHMLKLFILCLSIALVPCSSQLQTSQAWSLLGIQHLLNYPSALSSWNNFSDICDAEQNPFFTVVCYEESITQLHIIGNESTPPLPKNFSIHSFFTTLTRLPDLKVLSLRSLGLWGHIPAKISRLSSLEIVNMSSNYFSGVIPSEVSYLKNLQTLILDHNMFSGHLPDWIGSLPLLTVLSVKNNNLSGTLPDSISKLESLRVLVLSSNSFSGKVPDLSLLTNLQMLDLGENHFVSQFPNVGKELVYLKLRKNKFTGSLPSEITSCYLLQWLDVSSNRFVGPFPPSLLSLPYINYLNISGNRFTGMLFQNMSCSDTLESADLSANLLTGSMPSCLVSNSNNKIVIYYENCLTTKSQNQHPSSFCQTQALAVGIVPRMQKKIAVLKAIRLVCVIGGVIVGSLLVGILILAAIKRLNSSRASEQPPRRLIEHASHNFPSKLLTDARYISQTMKLGTLGLPSYRSFSLEELEAATNNFETSAFMGEGSYGQMYKGRLNDGSMVAIRCLKLKKGQNYQNFNRHIELISKLRHRHLVSALGHCFEYYLDDSTVSRLFLIFEYMPNGTLRTGISEGVGGQMLTWSQRISSAIGVARGIQFLHAGIIPGLFGNNLKITNILSRSESCCEKSAVITCQYYRRVCEQMLKRDR >DRNTG_25083.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:674536:677947:1 gene:DRNTG_25083 transcript:DRNTG_25083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRILTSAAAGVLRSKVKETTGFVGLDAVPTRREVLASLYTKTLKKIQAVETFTKLRLEVCQEEEDWEMIVEQYGCDQIDNLIERAQNELKFISKMMEWGSWVVPDYNEYKVIEDDTLKPKHVPDSGPGKCFKDLKESLELSEAQKPKLFTRRFENFADVRESFEKDD >DRNTG_12712.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:12818231:12822278:-1 gene:DRNTG_12712 transcript:DRNTG_12712.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHIVTVELKSGELYRGSMIECEDNWNCQLENITYTARDGKVSQLEHVFIRGSKVRFMVIPDMLKNAPMFKRLDARIKGKGSALGVGRGRAVAMRSRAQAAGRGAPPGRGAPPVRR >DRNTG_22875.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4256334:4256700:-1 gene:DRNTG_22875 transcript:DRNTG_22875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPILPPMLRMPPARPHKSGRKEMDETPTQQSRISKKGVHMTCRKCGQSGHNARTCKGQVGGNKRISKMHTKRATRPIRRQSTNETRLESGQCIHMA >DRNTG_01625.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1025177:1028326:-1 gene:DRNTG_01625 transcript:DRNTG_01625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDWSHGEVLKAIFPLLDGKDLIACMLVCRQWRDIAKDDYFWKCICARRWPSICKRPPPSLSYHKLFVTFSKPQRPQPLLPPRLSFNDLEFYVDLWSDDQLLFSEAISGSMLRMGIKNPPPGIPDVLRVHLDGPDYKMVMHVDPRFTIPLGRTITVSILIGRRDTNEVACIVNKSVFDYVDGSAFRALAYDYLKFSPGHPFISGIRAWVSLLFMANGNDNIIDVFGIEVDFCDAASSENEVLWLLDMLDWK >DRNTG_31187.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26974496:26975273:1 gene:DRNTG_31187 transcript:DRNTG_31187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIWNFLGRIAGGYFSEIVVRDHAYPRPVAMAVAQVVMAVGHLFFAMGWPGTMYIGTLLIGLGFGAHWAIVPAAASELFGLKNFGTLYNFLTVANPAGSLIFSGLIASVIYDHEAEKPISHALQCNIFGPEITL >DRNTG_31187.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26974675:26975273:1 gene:DRNTG_31187 transcript:DRNTG_31187.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAQVVMAVGHLFFAMGWPGTMYIGTLLIGLGFGAHWAIVPAAASELFGLKNFGTLYNFLTVANPAGSLIFSGLIASVIYDHEAEKPISHALQCNIFGPEITL >DRNTG_19945.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:19034464:19056732:1 gene:DRNTG_19945 transcript:DRNTG_19945.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLREAIGVYRNSILRQPDEMKREASLSFFVEYLERYYFLICFAVYMHTERMLVHGISAEQRSFSDWMRARPELYSILRRLLRRDPMGALGYSSQKPHLMHITESADGRPHEMGVVAAMRDGEVLGSQTVLKSDHCPGCQNLTLPERVDGAPNFREVPGFPVYGVANPTVDGIRAVIKWISCTKGRRPVLWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDRDRVERMEARLKEDILREADRYEGAIMVIHETDDGHIFDAWEHVNAESIQTPLEVYECLEAEGLPIKYARVPITDGKAPKSSDFDTIALNISSASKDTAFVFNCQMGRGRTTTGTVIACLLKLRIDNGRPIRIQPEDGYHEEKLDAGSSSGEETAGDNAQSVSYEVKAGVTMEPHRTFGINDILLLRKITRLFDNGIECREVLDSIIDRCSALQNIRQAVLQYRKVFNQQNVEPRVRRVALNRGAEYLERYFRLIAFAAYLGSEAFDGFCGQGETKITFKTWLHRRPEIQAMKWSIRLRPGRFFYLPEESKVPYEPQQGDGVMEAIIKARNGSVLGKGSILKMYFFPGQKTSSCIKFSGAPHFHKVDGYPVYSMATATVDGAREILAYLGAKRTTSEEVTQKVIITDLREEAVVYISGTPYVLRELDQPVDALKHVGITGPMVEHMELRMKEDIFVEVTQSGGQLLLHREEFNPVLNHSTVIGYWENISLDDVKTPAEVYAALNSEGFNIVYRRIPLTREREALATDVDAIQHCKDDSAGCYLFISHTGYGGVAYAVAITCLRLNADVNFDSERTLGNHTLVRSPNESLAFRHSGEDELNQGNYRDILNLTRLLIYGPESKLEVDIVIERCAGAGHLRDEIVHYRKELEKCPSDDEETRSYLMDMGIKALRRYFYLITFRSYLYCTSPSDIGFASWMDSQPELGHLCGSLRFDK >DRNTG_19945.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:19034464:19056732:1 gene:DRNTG_19945 transcript:DRNTG_19945.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRTTTGMVIATLVYLNRTGASGIHDTNFIGEVDSVADVTDNSTNSEEAIRRGEYAVIRSLIRVLEGGAEGKRQVDKVIDQCDSMQNLREAIGVYRNSILRQPDEMKREASLSFFVEYLERYYFLICFAVYMHTERMLVHGISAEQRSFSDWMRARPELYSILRRLLRRDPMGALGYSSQKPHLMHITESADGRPHEMGVVAAMRDGEVLGSQTVLKSDHCPGCQNLTLPERVDGAPNFREVPGFPVYGVANPTVDGIRAVIKWISCTKGRRPVLWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDRDRVERMEARLKEDILREADRYEGAIMVIHETDDGHIFDAWEHVNAESIQTPLEVYECLEAEGLPIKYARVPITDGKAPKSSDFDTIALNISSASKDTAFVFNCQMGRGRTTTGTVIACLLKLRIDNGRPIRIQPEDGYHEEKLDAGSSSGEETAGDNAQSVSYEVKAGVTMEPHRTFGINDILLLRKITRLFDNGIECREVLDSIIDRCSALQNIRQAVLQYRKVFNQQNVEPRVRRVALNRGAEYLERYFRLIAFAAYLGSEAFDGFCGQGETKITFKTWLHRRPEIQAMKWSIRLRPGRFFYLPEESKVPYEPQQGDGVMEAIIKARNGSVLGKGSILKMYFFPGQKTSSCIKFSGAPHFHKVDGYPVYSMATATVDGAREILAYLGAKRTTSEEVTQKVIITDLREEAVVYISGTPYVLRELDQPVDALKHVGITGPMVEHMELRMKEDIFVEVTQSGGQLLLHREEFNPVLNHSTVIGYWENISLDDVKTPAEVYAALNSEGFNIVYRRIPLTREREALATDVDAIQHCKDDSAGCYLFISHTGYGGVAYAVAITCLRLNADVNFDSERTLGNHTLVRSPNESLAFRHSGEDELNQGNYRDILNLTRLLIYGPESKLEVDIVIERCAGAGHLRDEIVHYRKELEKCPSDDEETRSYLMDMGIKALRRYFYLITFRSYLYCTSPSDIGFASWMDSQPELGHLCGSLRFDK >DRNTG_19945.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:19034464:19056732:1 gene:DRNTG_19945 transcript:DRNTG_19945.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRTTTGMVIATLVYLNRTGASGIHDTNFIGEVDSVADVTDNSTNSEEAIRRGEYAVIRSLIRVLEGGAEGKRQVDKVIDQCDSMQNLREAIGVYRNSILRQPDEMKREASLSFFVEYLERYYFLICFAVYMHTERMLVHGISAEQRSFSDWMRARPELYSILRRLLRRDPMGALGYSSQKPHLMHITESADGRPHEMGVVAAMRDGEVLGSQTVLKSDHCPGCQNLTLPERVDGAPNFREVPGFPVYGVANPTVDGIRAVIKWISCTKGRRPVLWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDRDRVERMEARLKEDILREADRYEGAIMVIHETDDGHIFDAWEHVNAESIQTPLEVYECLEAEGLPIKYARVPITDGKAPKSSDFDTIALNISSASKDTAFVFNCQMGRGRTTTGTVIACLLKLRIDNGRPIRIQPEDGYHEEKLDAGSSSGEETAGDNAQSVSYEVKAGVTMEPHRTFGINDILLLRKITRLFDNGIECREVLDSIIDRCSALQNIRQAVLQYRKVFNQQNVEPRVRRVALNRGAEYLERYFRLIAFAAYLGSEAFDGFCGQGETKITFKTWLHRRPEIQAMKWSIRLRPGRFFYLPEESKVPYEPQQGDGVMEAIIKARNGSVLGKGSILKMYFFPGQKTSSCIKFSGAPHFHKIPGVYLRLVFQVDGYPVYSMATATVDGAREILAYLGAKRTTSEEVTQKVIITDLREEAVVYISGTPYVLRELDQPVDALKHVGITGPMVEHMELRMKEDIFVEVTQSGGQLLLHREEFNPVLNHSTVIGYWENISLDDVKTPAEVYAALNSEGFNIVYRRIPLTREREALATDVDAIQHCKDDSAGCYLFISHTGYGGVAYAVAITCLRLNADVNFDSERTLGNHTLVRSPNESLAFRHSGEDELNQGNYRDILNLTRLLIYGPESKLEVDIVIERCAGAGHLRDEIVHYRKELEKCPSDDEETRSYLMDMGIKALRRYFYLITFRSYLYCTSPSDIGFASWMDSQPELGHLCGSLRFDK >DRNTG_19945.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:19034464:19056732:1 gene:DRNTG_19945 transcript:DRNTG_19945.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVPSSSAPSISASIGSVCDEPEHVMNCRGGSVLGKKTILKSDHFPGCQNKRLTPQIEGAPNYRQAGFLRVHGVAIPTIDGIRNVLNHIGAKGMHKQQRVLWHNLREEPVVYINGRPFVLRDVERPFSNLEYTGINRARVELMESRLKDDILMEATQYGNKILVTDELPDGQMVDQWEPVMRDSVKTPLEVYEELQLEGYLVDYERVPITDEKSPKERDFDNLLCRISQADIDTEIVFNCQMGRGRTTTGMVIATLVYLNRTGASGIHDTNFIGEVDSVADVTDNSTNSEEAIRRGEYAVIRSLIRVLEGGAEGKRQVDKVIDQCDSMQNLREAIGVYRNSILRQPDEMKREASLSFFVEYLERYYFLICFAVYMHTERMLVHGISAEQRSFSDWMRARPELYSILRRLLRRDPMGALGYSSQKPHLMHITESADGRPHEMGVVAAMRDGEVLGSQTVLKSDHCPGCQNLTLPERVDGAPNFREVPGFPVYGVANPTVDGIRAVIKWISCTKGRRPVLWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDRDRVERMEARLKEDILREADRYEGAIMVIHETDDGHIFDAWEHVNAESIQTPLEVYECLEAEGLPIKYARVPITDGKAPKSSDFDTIALNISSASKDTAFVFNCQMGRGRTTTGTVIACLLKLRIDNGRPIRIQPEDGYHEEKLDAGSSSGEETAGDNAQSVSYEVKAGVTMEPHRTFGINDILLLRKITRLFDNGIECREVLDSIIDRCSALQNIRQAVLQYRKVFNQQNVEPRVRRVALNRGAEYLERYFRLIAFAAYLGSEAFDGFCGQGETKITFKTWLHRRPEIQAMKWSIRLRPGRFFYLPEESKVPYEPQQGDGVMEAIIKARNGSVLGKGSILKMYFFPGQKTSSCIKFSGAPHFHKVDGYPVYSMATATVDGAREILAYLGAKRTTSEEVTQKVIITDLREEAVVYISGTPYVLRELDQPVDALKHVGITGPMVEHMELRMKEDIFVEVTQSGGQLLLHREEFNPVLNHSTVIGYWENISLDDVKTPAEVYAALNSEGFNIVYRRIPLTREREALATDVDAIQHCKDDSAGCYLFISHTGYGGVAYAVAITCLRLNADVNFDSERTLGNHTLVRSPNESLAFRHSGEDELNQGNYRDILNLTRLLIYGPESKLEVDIVIERCAGAGHLRDEIVHYRKELEKCPSDDEETRSYLMDMGIKALRRYFYLITFRSYLYCTSPSDIGFASWMDSQPELGHLCGSLRFDK >DRNTG_19945.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:19034464:19056732:1 gene:DRNTG_19945 transcript:DRNTG_19945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVPSSSAPSISASIGSVCDEPEHVMNCRGGSVLGKKTILKSDHFPGCQNKRLTPQIEGAPNYRQAGFLRVHGVAIPTIDGIRNVLNHIGAKGMHKQQRVLWHNLREEPVVYINGRPFVLRDVERPFSNLEYTGINRARVELMESRLKDDILMEATQYGNKILVTDELPDGQMVDQWEPVMRDSVKTPLEVYEELQLEGYLVDYERVPITDEKSPKERDFDNLLCRISQADIDTEIVFNCQMGRGRTTTGMVIATLVYLNRTGASGIHDTNFIGEVDSVADVTDNSTNSEEAIRRGEYAVIRSLIRVLEGGAEGKRQVDKVIDQCDSMQNLREAIGVYRNSILRQPDEMKREASLSFFVEYLERYYFLICFAVYMHTERMLVHGISAEQRSFSDWMRARPELYSILRRLLRRDPMGALGYSSQKPHLMHITESADGRPHEMGVVAAMRDGEVLGSQTVLKSDHCPGCQNLTLPERVDGAPNFREVPGFPVYGVANPTVDGIRAVIKWISCTKGRRPVLWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDRDRVERMEARLKEDILREADRYEGAIMVIHETDDGHIFDAWEHVNAESIQTPLEVYECLEAEGLPIKYARVPITDGKAPKSSDFDTIALNISSASKDTAFVFNCQMGRGRTTTGTVIACLLKLRIDNGRPIRIQPEDGYHEEKLDAGSSSGEETAGDNAQSVSYEVKAGVTMEPHRTFGINDILLLRKITRLFDNGIECREVLDSIIDRCSALQNIRQAVLQYRKVFNQQNVEPRVRRVALNRGAEYLERYFRLIAFAAYLGSEAFDGFCGQGETKITFKTWLHRRPEIQAMKWSIRLRPGRFFYLPEESKVPYEPQQGDGVMEAIIKARNGSVLGKGSILKMYFFPGQKTSSCIKFSGAPHFHKIPGVYLRLVFQVDGYPVYSMATATVDGAREILAYLGAKRTTSEEVTQKVIITDLREEAVVYISGTPYVLRELDQPVDALKHVGITGPMVEHMELRMKEDIFVEVTQSGGQLLLHREEFNPVLNHSTVIGYWENISLDDVKTPAEVYAALNSEGFNIVYRRIPLTREREALATDVDAIQHCKDDSAGCYLFISHTGYGGVAYAVAITCLRLNADVNFDSERTLGNHTLVRSPNESLAFRHSGEDELNQGNYRDILNLTRLLIYGPESKLEVDIVIERCAGAGHLRDEIVHYRKELEKCPSDDEETRSYLMDMGIKALRRYFYLITFRSYLYCTSPSDIGFASWMDSQPELGHLCGSLRFDK >DRNTG_27402.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001396.1:179263:179770:-1 gene:DRNTG_27402 transcript:DRNTG_27402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKSLFVLIGLLGIILLLSFEVVSARELAQQTEKANKEIYEEKNTYGGQGWLPGSGSGYGYGYQPGGGYFGGYQPGFGLGGPYFGGIPQGGYYGGHP >DRNTG_09944.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18322528:18323548:1 gene:DRNTG_09944 transcript:DRNTG_09944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNHPGGDPVAISSADAGEKRKRRRERSCSSSTSFDRGSFPLMLAAIAKLHNRRSRSIIKRLLRSHLALISKPLSLRRLVPNGLLALLPSLLVSGFPSVVVLSAEVVSAIALHSLEANEIIASNEEVVKCLVQGALGSHIKRAVMAACNAVMDLSTSPLGRSQLRKSNAIGRLL >DRNTG_01367.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17746263:17747863:1 gene:DRNTG_01367 transcript:DRNTG_01367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPILSGIAGAILAPILPQFALNKLLDSLFEYLSRDVDNKLKQQLENELKAFAEANRKLEIIQSEARRFHKRDKQNMRLIHINNKLRDVSLQIKDLKDDLEYRELQREVEINLPDEVADKGSSTTRQLRSLIPWAIGQSSDKRRRLSSSESIDVIVNKMRTIIEQINCIDLEMNNAIKLDAWSKIEVSLKGGYDSSGRHQLEENKGVTTSSPTHESETYRRDIELSQLIQLLLIEPNVSGKVSVVPIIGMGDMGKTTLAQSAFNNTEITNHFGKKAWICVSDNFARFRITKEILDSLSMGDGSSSAVPFGITTNLDVLEREIKRQVKGKKFLLVLDDVWCEEWQELRNFLQSTQAKTIKLIVTCRDPKILGVSSNGGNQITLKDLSSTTT >DRNTG_18684.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20946376:20948911:-1 gene:DRNTG_18684 transcript:DRNTG_18684.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRRPIGVDGDDDGDEVAEFFEDDGDVLPDLDRDSPPHLRALADAAHRGDVEALRLAIDGHSGSIDDPVEDGDALLHLSCLYGHLPCVQLLLQRGANLEIRDEEGAIPLHDASAGGFTEIVQLILDAVGNPDSINRMLNTVDAEGDTPLHHAARGEHLNVIQLLLATGASPKKTNIYGKIPAELADPGTEARRFLESANDG >DRNTG_28394.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3853097:3856674:-1 gene:DRNTG_28394 transcript:DRNTG_28394.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQIGADESSPTNLLPNGARCRSHRTSPNPPDCLLRCKGCSGPYRCKLRLGNLS >DRNTG_02546.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3399688:3399946:-1 gene:DRNTG_02546 transcript:DRNTG_02546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYRRSLNLSKALPKPLQTLATGASKDGERVGIGHPHGLVDVPHGPVEFPNGRVEFSHARVNSLEF >DRNTG_05383.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13381330:13383057:1 gene:DRNTG_05383 transcript:DRNTG_05383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRRWEDMDTDCLSNIFRHLDVGDRTIAVPFVCKSWYKASLDPLCWLVLDLRPLDFMPRSSFVKMFTEQYSLQHLAFTFSGFLKLVVHRSQGLACELMFPLVFGASLHDLAYASKKCLNLKTLVLPRLTREAENHIPKLIKHWNGLEHLEMESKPSSFLELLAQISAHCVKFTGLKMFGHIKKEDSYALVHSLPKLKYLNLSKSDMTENELMIMIEGLKEMKKLIVNECVGFQVDDVVKRWSLGIQTFQHEGCELRIDDGYDAHEFDCLHVY >DRNTG_32361.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7227692:7228488:-1 gene:DRNTG_32361 transcript:DRNTG_32361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKPNHAKTLPSQIEEISTRSGK >DRNTG_07716.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000346.1:64933:68267:-1 gene:DRNTG_07716 transcript:DRNTG_07716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSDCLCALPNGCAHSNARRLAQTVASHTSKPPHGRTHAPVRSREKLSLT >DRNTG_21362.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19258627:19261696:1 gene:DRNTG_21362 transcript:DRNTG_21362.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WCRKC thioredoxin 1 [Source:Projected from Arabidopsis thaliana (AT5G06690) UniProtKB/TrEMBL;Acc:F4K3Y1] MAILVPNSHMLYRDVLHRDQQYYQGSNGGGGGGGGCTSVAVQFGRRSVLSKEMGKRRRKRREAVKAMGFWQDVTSNSSSSNRPSSIEMDAITCMDDLDEALRQAQELSRPILIDWMASWCRKCIYLKPKLEKLAAEFHPRIKFYFVDVNKVPQTLVKRGNISKMPTIQLWKDGEWKAEIIGGHKAWLVLDEVREMIQNNL >DRNTG_11169.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4427786:4428215:-1 gene:DRNTG_11169 transcript:DRNTG_11169.1 gene_biotype:protein_coding transcript_biotype:protein_coding KFAVAAAPVAAAETASTPAAAAEPEKKEEPAEESDDDMGFSLFD >DRNTG_35254.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3415530:3419070:-1 gene:DRNTG_35254 transcript:DRNTG_35254.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLRSCPTIRAPSPLISCHGLQVLRFQIGGKSPACFLNRSIFRRNSSRARSSSAAELHGSKSTLESLFCYDKAIPEEIIDKPVGLSLSKKEIGSNPPCIDCQEKGAILCATCSGSGLYVDSILESQGVIVKVRCLGCGGTGNIMCTRCGGRGHHGLN >DRNTG_07475.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28946571:28955220:1 gene:DRNTG_07475 transcript:DRNTG_07475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMVRREEKLRCTKEPFIEDVGPRRIKSLRFSMFSGDEIRKLAEVQVWNNKLYNESAKPVDHGILDARMGPANKSGVCATCHGNFTECPGHFGFLKLMLPVFNVGYFNLILNVLKCICKRCGRVLLSETERKQFLKKMRNSKAEVLQKNLYMKNIRDRCKGPRCNNCGYVNGVVKKARGPLAIVQDFGKMLDKGSDECRAALSHLRGNQSSQVLHVLTPLTVLSLFKKMLDEDCELLNLYDRPEKLIVTDIAIPPLAIRPSAFVDFGRSSNEDSLTSILRLIINTNSFLREELEGSGYLFKCWEIWAHLQTQVVEYINSEAPSLTESKHRGLIQRLKGKQGRFRGNLSG >DRNTG_07475.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28946571:28953761:1 gene:DRNTG_07475 transcript:DRNTG_07475.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMVRREEKLRCTKEPFIEDVGPRRIKSLRFSMFSGDEIRKLAEVQVWNNKLYNESAKPVDHGILDARMGPANKSGVCATCHGNFTECPGHFGFLKLMLPVFNVGYFNLILNVLKCICKRCGRVLLSETERKQFLKKMRNSKAEVLQKNLYMKNIRDRCKGPRCNNCGYVNGVVKKARGPLAIVQDFGKMLDKGSDECRAALSHLRGNQSSQVLHVLTPLTVLSLFKKMLDEDCELLNLYDRPEKLIVTDIAIPPLAIRPSAFVDFGRSSNEDSLTSILRLIINTNSFLREELEGSGYLFKCW >DRNTG_07475.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28951808:28955220:1 gene:DRNTG_07475 transcript:DRNTG_07475.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSKAEVLQKNLYMKNIRDRCKGPRCNNCGYVNGVVKKARGPLAIVQDFGKMLDKGSDECRAALSHLRGNQSSQVLHVLTPLTVLSLFKKMLDEVDAFPGVNNLRDCELLNLYDRPEKLIVTDIAIPPLAIRPSAFVDFGRSSNEDSLTSILRLIINTNSFLREELEGSGYLFKCWEIWAHLQTQVVEYINSEAPSLTESKHRGLIQRLKGKQGRFRGNLSG >DRNTG_07475.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28946571:28953761:1 gene:DRNTG_07475 transcript:DRNTG_07475.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMVRREEKLRCTKEPFIEDVGPRRIKSLRFSMFSGDEIRKLAEVQVWNNKLYNESAKPVDHGILDARMGPANKSGVCATCHGNFTECPGHFGFLKLMLPVFNVGYFNLILNVLKCICKRCGRVLLSETERKQFLKKMRNSKAEVLQKNLYMKNIRDRCKGPRCNNCGYVNGVVKKARGPLAIVQDFGKMLDKGSDECRAALSHLRGNQSSQVLHVLTPLTVLSLFKKMLDEDCELLNLYDRPEKLIVTDIAIPPLAIRPSAFVDFGRSSNEDSLTSILRLIINTNSFLREELEGSGYLFKCW >DRNTG_13478.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:17600035:17601246:-1 gene:DRNTG_13478 transcript:DRNTG_13478.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFCHTTGAVALLKLSQPNPDELGYADSVFVEEVGGVRVTIVKNEESRNSVSTVVLRGSTD >DRNTG_11713.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000515.1:46470:47094:-1 gene:DRNTG_11713 transcript:DRNTG_11713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNTHHHHHHHHHHHAKTQSSSASHAVPHVTQISACNASPPSPPPSTTATDSWPTPLSPSAPTVPTPSPPSSPPPTFLPTNPAPLKTALKPLADSVDRLRSSMKEMSHMGRAGSQAFSWHLSNVQTWVSAALTDENTCLDELPRRAAADETGFRAAVRKKVVEVAQVTSNALALVNRIQT >DRNTG_18261.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:9479541:9480454:-1 gene:DRNTG_18261 transcript:DRNTG_18261.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGKGEMQSPIDLTLERVKVFHHLRPLRRSHKPANAFMKNRGHDIMLQWKEEAGGIWINQNKYLLKQVHWHSPSEHTINGHRLLFYLFIYPFIY >DRNTG_09661.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27795146:27797110:1 gene:DRNTG_09661 transcript:DRNTG_09661.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWRSLYSSKGPFVRPRIHLAGSVLTKALPLTFSQTEPSSPPTGSSGAPLSLLISHHQVPGSFLNSSNLNDPTALFLTEHNTISINSRALSSTAFPSLNEMNFMVYVVFGMQTYLTAEQLEEVAECEYLLVQEAVGGFVSHCGWNSVLKSMVAVVPLLTWTMIFEHTLNEKMIICDLEADLRLRVLVVGKGKKRFPGIEDDDLASSLASKLPL >DRNTG_10317.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27683750:27696559:1 gene:DRNTG_10317 transcript:DRNTG_10317.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTVSRCLVTGRLFHYCRGVCFSRGELWENRVRSFRVFSICGRKPVPVLGGGFPLLNRSRVGVILRDGGCFRRFGGFLSDSSPSIGGNTSRDSKFLNGNALFSTSASDVTAARPVGGTTKQVQNEPGKNLSADEQITDMKILSTLAKYLWLKDNPEFRYRVLLAFALLVGAKVINVQVPFLFKLAVDWLSAITGSGSTLSSFADTNSMLLALFVSPIAVLVGYGIARTGAAACNELRNAVFSKVALRAIRSVSRKVFSHLLDLDLRFHLSRQTGALNRIIDRGSRAINFILSSMVFNVVPTILEIAMVSGILAYKFGATFAWITSLSVSLYIAFTLIVTQWRTKFRQAMNKADNDASSKSIDSLLNYETVKYFNNESFEVSKYDKYLKKYEDAALKTQSSLAFLNFGQNVIFSTALSSAMVLCSHGIMKGAMTVGDLVMVNGLLFQLSLPLNFLGSVYRETRQSLIDMKAMFQLLEEKAEIRDENAKPLLLAGGTIEFDNVHFGYVKERKILDGVSFVVPAGKSIAIVGSSGSGKSTILRLLYRFFDSDSGNIKIDGQNIQLVTLESLRKCIGVVPQDTVLFNDTIFHNIRYGRLSATEEEVFDAAKRAAIHDTIMNFPEKYSTIVGERGLKLSGGEKQRVSLARVFLKAPSIL >DRNTG_10317.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27683750:27685943:1 gene:DRNTG_10317 transcript:DRNTG_10317.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTVSRCLVTGRLFHYCRGVCFSRGELWENRVRSFRVFSICGRKPVPVLGGGFPLLNRSRVGVILRDGGCFRRFGGFLSDSSPSIGGNTSRDSKFLNGNALFSTSASDVTAARPVGGTTKQVQNEPGKNLSADEQITDMKILSTLAKYLWLKDNPEFRYRVLLAFALLVGAKVINVQVPFLFKLAVDWLSAITGSGSTLSSFADTNSMLLALFVSPIAVLVGYGIARTGAAACNELRNAVFSKVALRAIRSVSRKV >DRNTG_02820.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20269120:20269886:-1 gene:DRNTG_02820 transcript:DRNTG_02820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLALARGPERRVICYPGYNINGFRFHTRDRDENKKTQNCGVMVKGEGNEGELSYYGIILDIIELRYTGGNKVTLFRCDWYDTSREGIGYRKDRYGIVSVNTTQKLHTNEPFVLASQVTQVYYIKGLKDPTWATVSEIKPRNLYEIPENEEEPYQEEYVVYDVCESHSKDDFEDIDWNKHGV >DRNTG_02820.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20269120:20270227:-1 gene:DRNTG_02820 transcript:DRNTG_02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGQPFGKANMQELSNDLLNAAIFYILQNCEDVQSFISEHKNILSEKGVRNIDNIHKCEFSSWFHKRIGDAYNENDEGIDINMLALARGPERRVICYPGYNINGFRFHTRDRDENKKTQNCGVMVKGEGNEGELSYYGIILDIIELRYTGGNKVTLFRCDWYDTSREGIGYRKDRYGIVSVNTTQKLHTNEPFVLASQVTQVYYIKGLKDPTWATVSEIKPRNLYEIPENEEEPYQEEYVVYDVCESHSKDDFEDIDWNKHGV >DRNTG_02442.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12511209:12513528:1 gene:DRNTG_02442 transcript:DRNTG_02442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILDDADIDQAVELAHFALFFNQGQCCCAGSRTFVHERVYDEFVEKAKARALKRVVGDPFKKGVEQGPQIDEEQFKKILNYIQSGKDNGATLVSGGDRIGDKGYYIQPTIFSNVKDEMEIAREEIFGPVQSILKFNDLDEVIRRANATRYGLAAGVFTSNLEKANTLMRALRAGTVWINCYDVFDAAIPFGGFKMSGHGREKGIDSLKNYLQVKAVVTPIKNPAWL >DRNTG_06623.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:443348:449876:-1 gene:DRNTG_06623 transcript:DRNTG_06623.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIRKNLNFLSSRVVTSGESPTSEEVVEKASPEAVEESCEGVGDEECLMRRTLSAHIDYIYTQGKKP >DRNTG_12986.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24788425:24788933:-1 gene:DRNTG_12986 transcript:DRNTG_12986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQNVTECIGGSRTVTFDDPRLNASQSLELAFIIAERLRKRRIRSPRTDDLQSLPPLAF >DRNTG_11245.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21864575:21866889:1 gene:DRNTG_11245 transcript:DRNTG_11245.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RZFP34 [Source:Projected from Arabidopsis thaliana (AT5G22920) UniProtKB/Swiss-Prot;Acc:Q9FFB6] MEIEKGGSLVDMVVSRDFGCSHYKRKCKIRAPCCGEVFDCRHCHNEAKNSFEVSQFARHEIPRHQVTKVICSLCDKEQDVQQNCTNCNVSMGNYFCSKCKFFDDDVSKNTYHCDGCGICRVGGAENFFHCDQCGCCYSKMLKDSHRCIERAMHHNCPICYEYLFDSLKDVSVLQCGHTIHLGCLQEMKLHFQFACPVCSRSICDMTSVWEKLDQEVAATPMPDIYQNKMVWILCNDCGVKSNVHFHIVAHKCQSCNSYNTKQTIGVPCL >DRNTG_11245.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21864575:21866889:1 gene:DRNTG_11245 transcript:DRNTG_11245.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RZFP34 [Source:Projected from Arabidopsis thaliana (AT5G22920) UniProtKB/Swiss-Prot;Acc:Q9FFB6] MEIEKGGSLVDMVVSRDFGCSHYKRKCKIRAPCCGEVFDCRHCHNEAKNSFEVSQFARHEIPRHQVTKVICSLCDKEQDVQQNCTNCNVSMGNYFCSKCKFFDDDVSKNTYHCDGCGICRVGGAENFFHCDQCGCCYSKMLKDSHRCIERAMHHNCPICYEYLFDSLKDVSVLQCGHTIHLGCLQEMKLHFQFACPVCSRSICDMTSVWEKLDQEVAATPMPDIYQNKMVWILCNDCGVKSNVHFHIVAHKCQSCNSYNTKQTIGVPCL >DRNTG_29333.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29754422:29755816:-1 gene:DRNTG_29333 transcript:DRNTG_29333.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLKDWPEPVVRVQSLSESGITTIPERYIKPASLRPEIYTPCSKELSIPVIDLSGLKQEEPSCNSTMQAISDAVREWGFLQVVNHGVSPALMKRAREDWRSFFHQPMEEKHKYANSPKTYEGYGSRLGIEKGAILDWGDYYFLHLLPKSLKDPNKWPSLPPSIKDIIEEYGKEVMKLCERLMKAMSVSLGVDEEYLQRAFGGEDVGVCMRTNYYPKCPQPELTLGLSAHSDPGGMTVLLVDDRVQGLQVRKDKVWVTIKPVPDAFIVNVGDQIQVNIYL >DRNTG_29333.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29753679:29755816:-1 gene:DRNTG_29333 transcript:DRNTG_29333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLKDWPEPVVRVQSLSESGITTIPERYIKPASLRPEIYTPCSKELSIPVIDLSGLKQEEPSCNSTMQAISDAVREWGFLQVVNHGVSPALMKRAREDWRSFFHQPMEEKHKYANSPKTYEGYGSRLGIEKGAILDWGDYYFLHLLPKSLKDPNKWPSLPPSIKDIIEEYGKEVMKLCERLMKAMSVSLGVDEEYLQRAFGGEDVGVCMRTNYYPKCPQPELTLGLSAHSDPGGMTVLLVDDRVQGLQVRKDKVWVTIKPVPDAFIVNVGDQIQVLSNAIYKSVEHRVMVNAK >DRNTG_23616.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16768459:16773887:1 gene:DRNTG_23616 transcript:DRNTG_23616.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMLQKMKCARRRHKKRPKNNGVEEEQNPRPCGISTWACETLREILVLTGSLKGVQTQLPDLRALREVQLIDDMVDEVNELLSVASWRRLLSICEPVICPYITRLIIEMGLLDVLRGVEKTIVPTPLGPQPEQTETEKDLAEVRATQVVNHTEVMARLDILQPLLECSYEYGNWGLVMDTVNVIFTWPCVLHNLLELNSQGI >DRNTG_14240.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:935797:937699:1 gene:DRNTG_14240 transcript:DRNTG_14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQIKLNANRVVVGTLRGFDQFMNLVIDQTVEVNGNEKNDIGMVVIRGNSVVMIEALEPVGRTQ >DRNTG_23415.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001264.1:68001:70866:1 gene:DRNTG_23415 transcript:DRNTG_23415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFKIFFLLLLLPFFFFFSGSLAFPLCTDSSAPLNLKSPLNFCSYNGSSCCNSTDDTSIQDEFKLMNINDSACASIVKSILCSKCNPFSAELFSDQPKIQTVPVLCSTTNSEIDFCGQVWDTCSNTSIDNSPFATSLQQSKAITGSSSKITDFWQSKSDFCKAYGTSSSNDSVCFDGNSASFNTTPSSPNPKGLCIEKIGNGSYLNLVPHPDGSNRVFLSNQEGKIWLATVPDQESGGTLELDESNPFLDLTDEVHYDTEFGLLGLAFHPNFTTNGRFFVSFNCDRSQSPTCSGTCACNSGLGCDPSKLPPDNGAKPCQYQSVIAEYSVNTSSTMPSMENNAIPKEVRRIFTMGLPFTSHHAGQILFGPEDGYLYFMMGDGGGGGDPYNFAQNKKSLLGKILRLDINNIPSSAEINKLGLWGSYSIPGDNPSVDDSALQPEIWALGFRNPWRCSFDSARSSYFFCGDVGQDTYEEVDLISKGGNYGWRIYEGPDLYQPKSSPGGNTSINSINAIFPVMGYTHSSVNTAVGSASITGGYVYRSMVDPCMYGKYLYADLYTGGLWAGLESPESSGNYTSIEIPFNCGKNSPIPCDEIGGNSVPTLNLIFSFGEDNRKDVFLLASNGVYRVVRPSRCNYECSKEKNSSSAFEPIPSPPSSSAHQLKVMFFIGFFFILVSSCFNFQF >DRNTG_16648.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:19137280:19143758:-1 gene:DRNTG_16648 transcript:DRNTG_16648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSGTYSIPSPSHNKAQIKPSQHDPLQCRSLRKEKREWSVTGVNKKPPVKGSMHLLTRVNSGLAKPPPIKPDRGTPHCNVGTKSAITIKN >DRNTG_23382.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1124218:1131124:-1 gene:DRNTG_23382 transcript:DRNTG_23382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLVKKDDDRDDEAEYSPFLGIEKGAVLQEARVFHDPQLDARRCSQVITKLLYLLNQGETFTKVEATEVFFAVTKLFQSKDTGLRRMVYLIIKELSPSNDEVIIVTSSLMKDMNSKTDMYRANAIRVLCRITDGTLLTQIERYLKQAIVDKNPVVASAALVSGVHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTKGSVRSPLAQCLLIRYTSQVIRETSNAQSGERPFFDYLESCLRHKAEMVIFEAARAITELSGVTNRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTHPLAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFEYKKAIVDSIVILIRDIPDAKESGLFHLCEFIEDCEFTYLSTQILHFLGNEGPKTSDPSKYIRYIYNRVILENATVRAAAVSTLAKFGALVESLKPRIFVLLRRCLFDIDDEVRDRATLYLNTLDGNGSIGETDDNVKEFLFGPLDVPLVNLETSLQNYEPSEQPFDISLVPKEVKSQPLAEKKAPGKKPAGLGDSY >DRNTG_12417.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12304464:12305039:-1 gene:DRNTG_12417 transcript:DRNTG_12417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKSMAPRSKKEFGKHLREPTPELESMEFAFPEHQARFERLVKLKFRQTRIPDVSSLRKVKLAHSMADEVVELLSAGSLCTLLIIGDLAIRLLTLEVLGSFEFDCSYAHFDSVGTIQFMAFGQYHSMSVAQLSIKLGLYDEEFTKMEEYNGLPTNYSRHSK >DRNTG_05269.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19692578:19693280:1 gene:DRNTG_05269 transcript:DRNTG_05269.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESVASEGRHETRAKWNEIHKAHLVKLLGEYNTPAYRSQNGWTKEAWNKMVCDMITKFSNPSITTSQVKALEQELEKSYKLLKGFSELSGFGWDYERHILSASDDVWAPLLERNRDARKWHTRPFPYFMALQEVYERRYAEGKRSRGIEDYEDITQSPVHTPSPSVFYSKRFKATITYTQD >DRNTG_09035.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31349474:31351903:-1 gene:DRNTG_09035 transcript:DRNTG_09035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVCVCRHGIFQLLSAWADGPSYVTQCPILPGNKYTYKFNIIAQEGTLWWHAHFSSLRTTVYGALIIQPRAGPNAYPFPKPYKEVPILLGEWWKSNMADIEKVTINAGGIPPPSDAYTINGRMGDLYRCSSKHTYKLEVEPGQTYMLRIINAAMNNQLFFKIAGHSFTVVAVDASYTMPYKTDVLVLAPGQTVDVLMVADAPPGQYYMAARAYISAVGPPNTPFDNTTTTGILQYKCNNVPPSTNTGSLIIMPFMPAFNDTPTAYKFYSSLTGLIKPGMASVPLDVDEKMFMTFGLGVMPCLPDQTKCNRFQLLGNMNNISFHFPTKMSLLEAHFNGVQGIYTSDFPDEPPLVFDYTNNALNISLPLILTTTGTKLKRLKYNSVVEIVLQNTALIGAESHPLHLHGFNFFVLAQGFGNYNQDSAQKMFNLVHPLVRNTIAVPVGGWAVIRFRADNPGILILKVNFILYINY >DRNTG_33740.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32345179:32346405:-1 gene:DRNTG_33740 transcript:DRNTG_33740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRCSAVKVLFKGNPFVTCGGGGGPYNYNLAARCGDEGAKKACDDPTKALFWDGDHGVHLTEARYKFIATELVKQLLALPSIKEKCKDIKRMKDETFFM >DRNTG_03649.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27378369:27378915:-1 gene:DRNTG_03649 transcript:DRNTG_03649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEIMFYMHKSECLNMTALVPPPNSCANLNMRRLAHIPTSRTRPMSSRLNLSKISSKLVHYDPHWLLSLIIGLTTLPV >DRNTG_06441.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29906941:29909554:1 gene:DRNTG_06441 transcript:DRNTG_06441.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTITAAGPLRSRLFWTTEMDKVFLSLLVEHAPNRDKEGSTFKREVWLDMLVRFNEKTDMNIDTDQLKNRLRFYRHEYRTVMFLRSLPEFGWDEKKQLVVADEACWSKSITVSLLVMTTF >DRNTG_06441.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29906941:29909554:1 gene:DRNTG_06441 transcript:DRNTG_06441.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTEERRERRRRKGKPFNFEIGEIQTKIMDTITAAGPLRSRLFWTTEMDKVFLSLLVEHAPNRDKEGSTFKREVWLDMLVRFNEKTDMNIDTDQLKNRLRFYRHEYRTVMFLRSLPEFGWDEKKQLVVADEACWSKSITVSLLVMTTF >DRNTG_06441.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29906941:29909554:1 gene:DRNTG_06441 transcript:DRNTG_06441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTEERRERRRRKGKPFNFEIGEIQTKIMDTITAAGPLRSRLFWTTEMDKVFLSLLVEHAPNRDKEGSTFKREVWLDMLVRFNEKTDMNIDTDQLKNRLRFYRHEYRTVMFLRSLPEFGWDEKKQLVVADEACWSKSITKHRAAKFYKQKPVTCFRELEIIFGPSKDNGNRSCSGQDTDALEEDTIEGMDGFEATEVSNLNVVSKDENNDPSEPSTTPNTTNLLTAQPPQQSNIINHRKRHRSYSGVTLTSNRHQDISERKVESVPASLGTDPFKCLDKCISELNMMEGLDDDLYVKTLIILRDEYNRCVFLRTNGHRRLAWLRVATRVADV >DRNTG_11000.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18835268:18835559:-1 gene:DRNTG_11000 transcript:DRNTG_11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSFTSESDISFVSSGRPSVDRGFTPRMSVGSDRSSIDIMRTPHRWMDSYSSGSEFSSITQDTTGTSWSSHAVVS >DRNTG_25629.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21943116:21946463:1 gene:DRNTG_25629 transcript:DRNTG_25629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWHHRQKVAMGAARGLRYLHEDCRVGCIIHRDLRPNNILLTHDFEPMVGDFGLARWQANGQSAEETRIVGTFGYLAPEYTQTGQITEKADVYAFGVLLLELISGVKAIDLARGTGQQYLPQWARPLMEMNMIHELIDPRLNGNYVKWEVECMIYASSLCLLSDPEMRPRMSKVLRILEGDMGSKLPAIIPQQHVSMPQFPFPPYHHPPVPLQSSHHPHHHHHHHHSIPTFKPTTRSSHPQIQNYPYAFNEVDMIDQTRNTLTVHNQNENNLNEEYQDYLQGLFDEFLHKARHLSSLSTDDSATPRARRIY >DRNTG_20189.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12830952:12831574:1 gene:DRNTG_20189 transcript:DRNTG_20189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISTALFIYLILFTLSSFYANGVFDPVQEEPKSEEQVRYLEHCGIEVGRKNKASATFSKKETTKDGETVVTAAEETKKSNHVLRKLKKHQERCTLNPHIKKQFGDGRLLAYISSCLG >DRNTG_23902.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2043898:2046332:1 gene:DRNTG_23902 transcript:DRNTG_23902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKALLVGCNYPGTKAELKGCINDVKRMRRCLIDRFGFSDEDITVLIDTDDSYTQPTGANVRRAITDLVRSARPGDFLFFHYSGHGTRLPAETGEDDDTGYDECIVPCDMNLITDDDFRDFVDEVPEGCRITIVSDSCHSGGLIDKSKEQIGESTKREDGDSGSGFDFKGLLKQTVKDAFETRGIHLPLGGHHHHRHHEAEDDDDSEATSDYRGHGYAKNRSLPLSTLIEILKQKTGKEDIDVGKLRPTLFDVFGEDASPKVKKFMNVIMNKLQHGEGSGGGGLLGMVGSLAQEFLKTKLEENDEDYVKPALNTKVHSKQEVYAGTKKRGLPDTGILVSGCQTDQTSADANLPSTGEAYGALSNAIQTILAEADGEISNHELVTRARKMLTKQGYSQRPGLYCSDDHVSAAFIC >DRNTG_11850.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:124803:129271:-1 gene:DRNTG_11850 transcript:DRNTG_11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFENFPGHDRSCILSGQHRTGRCTCRTSNHAANPDDDTRTRASISRIVMLAEALFEVLDEIHQQSVALSSRPSVSSVGSIPAPKDVVECMPVRIYTRPHKYTNEDAAQCYICLMEYEEGDCMRILPCQHEFHQTCIDKWLKEIHRVCPLCRGDVCRSEVSSVGKTC >DRNTG_11850.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:124803:129186:-1 gene:DRNTG_11850 transcript:DRNTG_11850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSKDVAAGGAHSSSSGGGGREPRSKGVRVVFGSSCFGTPSTLHDDQIEEEDVRSKGTACTEVVATKSNPEGSNGCIAFRVPRANKISTENDGGSQEGIVETDSGASGSSTNEGSLCQSSSNNSSHSRTPFGFRLSRTASLGSSQAHSAVSASLSFSNTDRGVDRAHMDLDGSEENSDETPGNVVFENVVHSLDSNNTSLESRSAFSDRDQVEAVNIRIGRSSRRSGPQEPFEGSVRFSRTLSVGRLRDRVLRRTSNSEGLFGSMPLEDRSSGHSGRRVTGGRTRSPSSSGRSDLPTLSSNHSYTIGSSVDHVRDYDSENPQMREASNRDWLEHRSAFLERRRRIRSQVRALQRMGSRFENFPGHDRSCILSGQHRTGRCTCRTSNHAANPDDDTRTRASISRIVMLAEALFEVLDEIHQQSVALSSRPSVSSVGSIPAPKDVVECMPVRIYTRPHKYTNEDAAQCYICLMEYEEGDCMRILPCQHEFHQTCIDKWLKEIHRVCPLCRGDVCRSEVSSVGKTC >DRNTG_11850.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:124803:127963:-1 gene:DRNTG_11850 transcript:DRNTG_11850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDGSEENSDETPGNVVFENVVHSLDSNNTSLESRSAFSDRDQVEAVNIRIGRSSRRSGPQEPFEGSVRFSRTLSVGRLRDRVLRRTSNSEGLFGSMPLEDRSSGHSGRRVTGGRTRSPSSSGRSDLPTLSSNHSYTIGSSVDHVRDYDSENPQMREASNRDWLEHRSAFLERRRRIRSQVRALQRMGSRFENFPGHDRSCILSGQHRTGRCTCRTSNHAANPDDDTRTRASISRIVMLAEALFEVLDEIHQQSVALSSRPSVSSVGSIPAPKDVVECMPVRIYTRPHKYTNEDAAQCYICLMEYEEGDCMRILPCQHEFHQTCIDKWLKEIHRVCPLCRGDVCRSEVSSVGKTC >DRNTG_33462.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20346481:20351564:-1 gene:DRNTG_33462 transcript:DRNTG_33462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAGLRRRGVSSTAINNFIRGLGITRSDNSLISLERLEYYIREELHETAPRAMVVLHPLKVVITNLDKESCIELEAKMRPDKDDTSSYKVPFTNVIYIERSDFRIKDSKDYYGLAPGKSVLLRYAFPIKCTNVIYEKDTENIIEIHAEYDPERKGKPKGVLHWVAQPSSGDEPLKVEVRLFEKLFLSQNPVELEDWLSDFNPHSKEVIQNAYAVPVLGNAVTGDKFQFERLGYFAVDPDSGSEKLIFNRIVTLKDSNLKQGKK >DRNTG_12239.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24993259:25002314:-1 gene:DRNTG_12239 transcript:DRNTG_12239.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTISMDLNASPLPEEDEQPLEEPVGEDIIEGGHIESSVETFRREREERRRRLKRENQDEGQKRSFHQSRNDFTPQNRNFNSYRRVKQLPQGWLDCPSAGQCIGLIIPSKVPLDETYNETVPPGKRYSSKQVIHNQRKAGRDLGLVVDLTNTSRYYSPSEWIKQGIKHVKIPCKGRDAVPDNESVNVFVYEVMQFLSRQKHSKKYVLVHCTHGHNRTGFMIVHFLMRTQLLHVADALHTFSQARPPGIYKQDYIEALYTFYHENPENWICPSTPEWKRPSDLDLNGEAVQDDDDDADTAGHLHVDTENKDITNDDVLGDAIPYDQQDAMRQYCYQLLDLASSGRGNAHFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLITMHGCYLIDRNFCFRRVQMRFPLKSSTTSEGFHNLTLIDGEMIIDTIPGLGLKRRYLAYDLMALNSHSVGKLPFSERWKLLEEEIIRPRNLEKKQFECDSRVNPLYKYDMEPFGVRRKDFWLLSTVTKLVREFIPRLSHAADGLIFQGWDDPYVPRTHQGLLKWKYPEMNSVDFLFEVGSDNRELLFLFERGRKKLMDGARVIFKEEEDAASLSGKVIECSWDPEEGCWNCMRVRVDKSTPNDINTYRKVMRSITDNITEEVLLNEIGEIIGLPMYADRIAKAHQQQQHQRRR >DRNTG_12239.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24993259:25002314:-1 gene:DRNTG_12239 transcript:DRNTG_12239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISMDLNASPLPEEDEQPLEEPVGEDIIEGGHIESSVETFRREREERRRRLKRENQDEGQKRSFHQSRNDFTPQNRNFNSYRRVKQLPQGWLDCPSAGQCIGLIIPSKVPLDETYNETVPPGKRYSSKQVIHNQRKAGRDLGLVVDLTNTSRYYSPSEWIKQGIKHVKIPCKGRDAVPDNESVNVFVYEVMQFLSRQKHSKKYVLVHCTHGHNRTGFMIVHFLMRTQLLHVADALHTFSQARPPGIYKQDYIEALYTFYHENPENWICPSTPEWKRPSDLDLNGEAVQDDDDDADTAGHLHVDTENKDITNDDVLGDAIPYDQQDAMRQYCYQLLDLASSGRGNAHFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLITMHGCYLIDRNFCFRRVQMRFPLKSSTTSEGFHNLTLIDGEMIIDTIPGLGLKRRYLAYDLMALNSHSVGKLPFSERWKLLEEEIIRPRNLEKKQFECDSRVNPLYKYDMEPFGVRRKDFWLLSTVTKLVREFIPRLSHAADGLIFQGWDDPYVPRTHQGLLKWKYPEMNSVDFLFEVGSDNRELLFLFERGRKKLMDGARVIFKEEEDAASLSGKVIECSWDPEEGCWNCMRVRVDKSTPNDINTYRKVMRSITDNITEEVLLNEIGEIIGLPMYADRIAKAHQQQQHQRRR >DRNTG_12239.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24993259:25002314:-1 gene:DRNTG_12239 transcript:DRNTG_12239.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTISMDLNASPLPEEDEQPLEEPVGEDIIEGGHIESSVETFRREREERRRRLKRENQDEGQKRSFHQSRNDFTPQNRNFNSYRRVKQLPQGWLDCPSAGQCIGLIIPSKVPLDETYNETVPPGKRYSSKQVIHNQRKAGRDLGLVVDLTNTSRYYSPSEWIKQGIKHVKIPCKGRDAVPDNESVNVFVYEVMQFLSRQKHSKKYVLVHCTHGHNRTGFMIVHFLMRTQLLHVADALHTFSQARPPGIYKQDYIEALYTFYHENPENWICPSTPEWKRPSDLDLNGEAVQDDDDDADTAGHLHVDTENKDITNDDVLGDAIPYDQQDAMRQYCYQLLDLASSGRGNAHFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLITMHGCYLIDRNFCFRRVQMRFPLKSSTTSEGFHNLTLIDGEMIIDTIPGLGLKRRYLAYDLMALNSHSVGKLPFSERWKLLEEEIIRPRNLEKKQFECDSRVNPLYKYDMEPFGVRRKDFWLLSTVTKLVREFIPRLSHAADGLIFQGWDDPYVPRTHQGLLKWKYPEMNSVDFLFEVGSDNRELLFLFERGRKKLMDGARVIFKEEEDAASLSGKVIECSWDPEEGCWNCMRVRVDKSTPNDINTYRKVMRSITDNITEEVLLNEIGEIIGLPMYADRIAKAHQQQQHQRRR >DRNTG_16558.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8454674:8455134:-1 gene:DRNTG_16558 transcript:DRNTG_16558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEAIRQLTSKEWKQLEDPKSKQAENENIGVNPVEKNDIQQQMAEENSGDAEIVDEAPRYTPPKPYVPLVSFPQRLAKAKLDKQFPPVPPVSYNMLSRIAVTTTSN >DRNTG_34999.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24094987:24097182:-1 gene:DRNTG_34999 transcript:DRNTG_34999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPLTTVLVTGAGGKTGQLAYEKLKQRADQFIGRGLVRRPESKEKLGGADDVFVGDIRDTESIIPALQGVDALIILTSAVPKMKPGFDPTKGGRPEFYFEDGLFPEQVDWIGQKNQIDAAKAVGVKHIVLVGSMGGTNPNHPLNSLGNGNILVWKRKSEQYLADSGIPYTIIRAGGLQDKDGDLRELIVGKDDELLQTENKLIPRADVAEVCIQALQFEEAKFKAFDLGSKPEGVGTPTKDFKALFSQVSARF >DRNTG_33115.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32826404:32827184:1 gene:DRNTG_33115 transcript:DRNTG_33115.13 gene_biotype:protein_coding transcript_biotype:protein_coding MALKQSPRTSPLQERKRVAELESKLSSALEEVKKLKEKLARNEVEELRVKLEEKERKVKSFETENERLRLEIKEVEVAHDKEKELGAKLGCMGDELNEIKGRVEEMKEKLESVEKEKLVMEMEMKKMKVQMEQWRKAAETAAAVIAEDHGVCSMEEEEGGRRKSLFGEFWKKKNCS >DRNTG_33115.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32826404:32827184:1 gene:DRNTG_33115 transcript:DRNTG_33115.12 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGTEMALKQSPRTSPLQERKRVAELESKLSSALEEVKKLKEKLARNEVEELRVKLEEKERKVKSFETENERLRLEIKEVEVAHDKEKELGAKLGCMGDELNEIKGRVEEMKEKLESVEKEKLVMEMEMKKMKVQMEQWRKAAETAAAVIAEDHGVCSMEEEEGGRRKSLFGEFWKKKNCS >DRNTG_33115.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32826404:32827506:1 gene:DRNTG_33115 transcript:DRNTG_33115.10 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVLKQRKRVAELESKLSSALEEVKKLKEKLARNEVEELRVKLEEKERKVKSFETENERLRLEIKEVEVAHDKEKELGAKLGCMGDELNEIKGRVEEMKEKLESVEKEKLVMEMEMKKMKVQMEQWRKAAETAAAVIAEDHGVCSMEEEEGGRRKSLFGEFWKKKNCS >DRNTG_33115.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32826197:32827909:1 gene:DRNTG_33115 transcript:DRNTG_33115.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKQSPRTSPLQERKRVAELESKLSSALEEVKKLKEKLARNEVEELRVKLEEKERKVKSFETENERLRLEIKEVEVAHDKEKELGAKLGCMGDELNEIKGRVEEMKEKLESVEKEKLVMEMEMKKMKVQMEQWRKAAETAAAVIAEDHGVCSMEEEEGGRRKSLFGEFWKKKNCS >DRNTG_33115.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32826404:32827909:1 gene:DRNTG_33115 transcript:DRNTG_33115.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVLKQRKRVAELESKLSSALEEVKKLKEKLARNEVEELRVKLEEKERKVKSFETENERLRLEIKEVEVAHDKEKELGAKLGCMGDELNEIKGRVEEMKEKLESVEKEKLVMEMEMKKMKVQMEQWRKAAETAAAVIAEDHGVCSMEEEEGGRRKSLFGEFWKKKNCS >DRNTG_33115.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32826404:32827909:1 gene:DRNTG_33115 transcript:DRNTG_33115.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGTEMALKQSPRTSPLQERKRVAELESKLSSALEEVKKLKEKLARNEVEELRVKLEEKERKVKSFETENERLRLEIKEVEVAHDKEKELGAKLGCMGDELNEIKGRVEEMKEKLESVEKEKLVMEMEMKKMKVQMEQWRKAAETAAAVIAEDHGVCSMEEEEGGRRKSLFGEFWKKKNCS >DRNTG_33115.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32826404:32827909:1 gene:DRNTG_33115 transcript:DRNTG_33115.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALKQSPRTSPLQERKRVAELESKLSSALEEVKKLKEKLARNEVEELRVKLEEKERKVKSFETENERLRLEIKEVEVAHDKEKELGAKLGCMGDELNEIKGRVEEMKEKLESVEKEKLVMEMEMKKMKVQMEQWRKAAETAAAVIAEDHGVCSMEEEEGGRRKSLFGEFWKKKNCS >DRNTG_33115.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32826404:32827506:1 gene:DRNTG_33115 transcript:DRNTG_33115.9 gene_biotype:protein_coding transcript_biotype:protein_coding MALKQSPRTSPLQERKRVAELESKLSSALEEVKKLKEKLARNEVEELRVKLEEKERKVKSFETENERLRLEIKEVEVAHDKEKELGAKLGCMGDELNEIKGRVEEMKEKLESVEKEKLVMEMEMKKMKVQMEQWRKAAETAAAVIAEDHGVCSMEEEEGGRRKSLFGEFWKKKNCS >DRNTG_33115.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32826404:32827506:1 gene:DRNTG_33115 transcript:DRNTG_33115.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGTEMALKQSPRTSPLQERKRVAELESKLSSALEEVKKLKEKLARNEVEELRVKLEEKERKVKSFETENERLRLEIKEVEVAHDKEKELGAKLGCMGDELNEIKGRVEEMKEKLESVEKEKLVMEMEMKKMKVQMEQWRKAAETAAAVIAEDHGVCSMEEEEGGRRKSLFGEFWKKKNCS >DRNTG_33115.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32826197:32827506:1 gene:DRNTG_33115 transcript:DRNTG_33115.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGTEMALKQSPRTSPLQERKRVAELESKLSSALEEVKKLKEKLARNEVEELRVKLEEKERKVKSFETENERLRLEIKEVEVAHDKEKELGAKLGCMGDELNEIKGRVEEMKEKLESVEKEKLVMEMEMKKMKVQMEQWRKAAETAAAVIAEDHGVCSMEEEEGGRRKSLFGEFWKKKNCS >DRNTG_33115.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32826197:32827184:1 gene:DRNTG_33115 transcript:DRNTG_33115.11 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGTEMALKQSPRTSPLQERKRVAELESKLSSALEEVKKLKEKLARNEVEELRVKLEEKERKVKSFETENERLRLEIKEVEVAHDKEKELGAKLGCMGDELNEIKGRVEEMKEKLESVEKEKLVMEMEMKKMKVQMEQWRKAAETAAAVIAEDHGVCSMEEEEGGRRKSLFGEFWKKKNCS >DRNTG_33115.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32826197:32827506:1 gene:DRNTG_33115 transcript:DRNTG_33115.7 gene_biotype:protein_coding transcript_biotype:protein_coding MALKQSPRTSPLQERKRVAELESKLSSALEEVKKLKEKLARNEVEELRVKLEEKERKVKSFETENERLRLEIKEVEVAHDKEKELGAKLGCMGDELNEIKGRVEEMKEKLESVEKEKLVMEMEMKKMKVQMEQWRKAAETAAAVIAEDHGVCSMEEEEGGRRKSLFGEFWKKKNCS >DRNTG_33115.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32826404:32827184:1 gene:DRNTG_33115 transcript:DRNTG_33115.14 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVLKQRKRVAELESKLSSALEEVKKLKEKLARNEVEELRVKLEEKERKVKSFETENERLRLEIKEVEVAHDKEKELGAKLGCMGDELNEIKGRVEEMKEKLESVEKEKLVMEMEMKKMKVQMEQWRKAAETAAAVIAEDHGVCSMEEEEGGRRKSLFGEFWKKKNCS >DRNTG_33115.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32826197:32827909:1 gene:DRNTG_33115 transcript:DRNTG_33115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGTEMALKQSPRTSPLQERKRVAELESKLSSALEEVKKLKEKLARNEVEELRVKLEEKERKVKSFETENERLRLEIKEVEVAHDKEKELGAKLGCMGDELNEIKGRVEEMKEKLESVEKEKLVMEMEMKKMKVQMEQWRKAAETAAAVIAEDHGVCSMEEEEGGRRKSLFGEFWKKKNCS >DRNTG_14249.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14105367:14108273:1 gene:DRNTG_14249 transcript:DRNTG_14249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRGLISITKSLVYPKSPIHLYRFISSTATLLQTLDSSPSPQSSSPLAPPQTLAELRHRLAANSPALTDFVYSIKVGIKKKPLPKLKWTKETIHGIAKYAAIKAKLRELKLHTVCEEAKFPNIDIKLDPNEPSNVAEVITSWGLEYVVITSVDRDDLHDQGNGHFVETVQKLKILKPKMLIEALVPDFRGDPSYVKKVATFRLDIFAHNIETVEEFQGLVQDHRANFKQSIDVLQMAKDFAPAGTLTKTLIMLGCGETPDQIISTMEEVRAAEVDVMTFGNEEQMALKNSTTSRSPKLTIFLGEGTEVQLPNRTFNIGARRS >DRNTG_02156.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29071779:29075917:-1 gene:DRNTG_02156 transcript:DRNTG_02156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHWSPPLERIDVVVVTVVAAGVLVVLLLPPSSTYHCLLPLLTLVVRLSSPFGRTDLEVRLCRAAEPSRYSVDFGMDFVRNLFEYQPYPPMERGQSSHSTSFRQQDWESPPNPSYFVANLNTLASPIHDSDESSNNNQPAEQARRPRPRGLPDRDIYPPQCFTERWQCRR >DRNTG_07906.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2528266:2531288:1 gene:DRNTG_07906 transcript:DRNTG_07906.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQHLHKSTPAKQASHRNSEHGGNKGEELRQGKGEEDEETATARANQRTIAMRV >DRNTG_07906.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2528266:2531288:1 gene:DRNTG_07906 transcript:DRNTG_07906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQHLHKSTPAKQASHRNSEHGGNKGEELRQGKGEEDEETATARANQRTIAMRV >DRNTG_03152.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21347628:21349266:1 gene:DRNTG_03152 transcript:DRNTG_03152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSSHSQAQKMIKTKNDHNLSRNEKKLNML >DRNTG_06114.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4227239:4229377:-1 gene:DRNTG_06114 transcript:DRNTG_06114.1 gene_biotype:protein_coding transcript_biotype:protein_coding GWLKLTTKPHIFARVDRDSLGFYKCQQPSPTSTPKAGSSQTRKSLHQRSYLCLCKIKADKR >DRNTG_05378.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13436236:13444451:-1 gene:DRNTG_05378 transcript:DRNTG_05378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGINWVHLWGLTCLGMLVMSLCQENAAVYIVTMKKAPASHYNGQFQKLCSSALSYGASGKFNTFNKPRKSCGTDKSYSSHLIHLQDSLLRKALKGQNYLKLYSYHYLVNGFAVLITPTQADKLARRKEVSNVVLDFSVRTDTTHTPEFLGLPNGAWVKEGGPEVAGEGVVIGFIDTGIDPTHPSFSDDLSLNQYPIPAHYSGVCEVTQDFPSGSCNRKLVGARHFAASAITRGIFNNSQDHASPFDGDGHGTHTASIAAGNHGIPVVVSNHQFGNASGMAPRAHIAVYKALYKSFGGFAADVVAAIDQAAEDGVDIISLSITPNRRPSGLATFFNPIDMSLLSAIKAGIFVVQAAGNTGPAPKSMSSFSPWIFTVGAATHDRIYNNYIVLGDNLTISGAGLAPGTDGDSMYTLVSAMHALKNDSTDANGMYLGECQDPSYLNQDLVKGNILVCSYSIRFVLGLSSISQAIETATNVSAAGIIFYMDPFVLGFQINPTPMSIPGLIIPSPDDSKVFLQYYNNTLVRDTTTKSIIKFGGLAKILGGLTANYSNLAPKVMYYSSRGPDPEDNSLTDADIMKPNVIAPGNLIWGAWSSEGADSAEFQGEKFAMISGTSMAAPHVAGLAALIKQKFPTFSPSAIASALSTTATLYDKLGGPIMAQRSYSNPDSTQSPATPFDMGSGFVNATAALDPGLIFDTGYDDFFSFLCGINGSSPVVLNYTSNNCGNTTMNGANLNLPSITVALLNQSRTILRTVANIGSNETFSVSWSAPYGVALSVIPTKFSILIGQKQNLTFNLNATMNSSAASFGRIGLYGNQGHVAMIPLSVITKVIYNGTIS >DRNTG_05378.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13436236:13439125:-1 gene:DRNTG_05378 transcript:DRNTG_05378.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSAIKAGIFVVQAAGNTGPAPKSMSSFSPWIFTVGAATHDRIYNNYIVLGDNLTISGAGLAPGTDGDSMYTLVSAMHALKNDSTDANGMYLGECQDPSYLNQDLVKGNILVCSYSIRFVLGLSSISQAIETATNVSAAGIIFYMDPFVLGFQINPTPMSIPGLIIPSPDDSKVFLQYYNNTLVRDTTTKSIIKFGGLAKILGGLTANYSNLAPKVMYYSSRGPDPEDNSLTDADIMKPNVIAPGNLIWGAWSSEGADSAEFQGEKFAMISGTSMAAPHVAGLAALIKQKFPTFSPSAIASALSTTATLYDKLGGPIMAQRSYSNPDSTQSPATPFDMGSGFVNATAALDPGLIFDTGYDDFFSFLCGINGSSPVVLNYTSNNCGNTTMNGANLNLPSITVALLNQSRTILRTVANIGSNETFSVSWSAPYGVALSVIPTKFSILIGQKQNLTFNLNATMNSSAASFGRIGLYGNQGHVAMIPLSVITKVIYNGTIS >DRNTG_09641.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21916298:21916962:-1 gene:DRNTG_09641 transcript:DRNTG_09641.1 gene_biotype:protein_coding transcript_biotype:protein_coding GPVSSFLSPNKSQLESSPVSSELLPCFAHQKMA >DRNTG_26328.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16720689:16722372:-1 gene:DRNTG_26328 transcript:DRNTG_26328.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin TIP2-1 [Source:Projected from Arabidopsis thaliana (AT3G16240) UniProtKB/Swiss-Prot;Acc:Q41951] MPGIAFGRFDDSFSIASLKAYLAEFISTLLFVFAGVGSAMAYNKVTADAALDPAGLVAVAIAHGFALFVAVAIGANISGGHVNPAVTFGLALGGHITILTGIFYWIAQLVGAVVGAFLIKFSTGLATPVHGLGAGVGAVEGVVMEIIITFALVYTVYATAADPKRGSLGTIAPIAIGLIVGANILAAGPFSGGSMNPARSFGPAVASGNFTDNWIYWVGPLIGGGIAGLVYTYAFLCSDHEVLPN >DRNTG_29659.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:644872:645310:-1 gene:DRNTG_29659 transcript:DRNTG_29659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQASISCSAGGGAAAGDNAAGASGGDGASGDAEGVDMPSPRRRSQPLSQEPQLDLHATPLQCSQASQSDHGLEMEKRSRRVVALVLQGMTLSPSILVVAEEQAETPQLHHPYPCPSQVVA >DRNTG_27271.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22450700:22454902:-1 gene:DRNTG_27271 transcript:DRNTG_27271.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEANTFYVVRKGDIFGVYQSLSDVQAQISSSVHDPSVRVYKGYVLKKETEEYLASHGLKDALYTANAADVNNDTFEKLTPCPFTQPDGRTILADKGPQKVSSQHKSKMAVNNSRSCILEFDGASKGNPGKSGAGVILRAQDGSVVSRIRQGLGFVTNNVAEYQALILGLNYALSRGFMHIVVRGDSQLVCMQVQGRWQTKNQNMAELCKVVKQLKERFISFQINHVLREFNSDADAEANKAVHLPAGEIREDFS >DRNTG_27271.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22450700:22454902:-1 gene:DRNTG_27271 transcript:DRNTG_27271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEANTFYVVRKGDIFGVYQSLSDVQAQISSSVHDPSVRVYKGYVLKKETEEYLASHGLKDALYTANAADVNNDTFEKLTPCPFTQPDGRTILADKGPQKVSSQHKSKMAVNNSRSCILEFDGASKGNPGKSGAGVILRAQDGSVVSRIRQGLGFVTNNVAEYQALILGLNYALSRGFMHIVVRGDSQLVCMQVQGRWQTKNQNMAELCKVVKQLKERFISFQINHVLREFNSDADAEANKAVHLPAGEIREDFS >DRNTG_27056.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2674403:2677249:-1 gene:DRNTG_27056 transcript:DRNTG_27056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNRRQGGIQQLLAAEQEAQHIVNAARNAKMARLKQAKDEADKEVAEFRAKMEAEFQKKVAQSAGDSGSNVKRLEQETEAKIDRLKSEAGKISHEVAHMLLRHVTSVKV >DRNTG_33319.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23603261:23605022:1 gene:DRNTG_33319 transcript:DRNTG_33319.1 gene_biotype:protein_coding transcript_biotype:protein_coding YRTTNRIIETEIIQVWALAALQDTESYKQSKDPEYAIRIQVLLIQRSSLQRYYQSRKYDSKTHPDPRLDLLLKTERSQTHQKAIAEPPAHPSLEL >DRNTG_33319.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23603261:23604354:1 gene:DRNTG_33319 transcript:DRNTG_33319.2 gene_biotype:protein_coding transcript_biotype:protein_coding YRTTNRIIETEIIQVWALAALQDTESYKQSKDPEYAIRIQVLLIQRSSLQRYYQSRKYDSKTHPDPRLDLLLRE >DRNTG_13201.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2498804:2500109:-1 gene:DRNTG_13201 transcript:DRNTG_13201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLITDLYSYWSDLSCPCNNGLQNWKNTWCTYGNCSSLSQHDYFQTALNISRRANLLEVFEVNGIVPSTSTSYKLRNIQTALMANLGLSTHVECVQRGPFWRRTTLLSKINICVSANGKYPIGCPFDQKVVTCNGDVWIYPFASNKLKECCWPAGSGDHIDMVTEKYLLTALACIR >DRNTG_05435.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:4473690:4489157:-1 gene:DRNTG_05435 transcript:DRNTG_05435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRMLKPSKDPPKFKLHNSRPKLFPWRPKGTKFLVSTSSPSWKKPYGLNELLIEVLKTIQKLWPRVYGLNELLIEAAYWLNEVLIEPVWILFGVHLRSPLTPNSVNGELNVVLIEVNLEEMIGVKLSIAWLRPEMSCNTIKVENPVKAVPSPPLPATVKIDTYEVKLLVVYKGVIDNNVRPCSTGVAAKKLDLEDFQGDREWLGFDMMGASIRGRQVIPCACGCDPASAQVIKSKVVKMSFKNKVLLPHKDALKSSFKDDLGMACKLVHYQYTGPVRLLMRNSRLSFSSNLRASAPVEGYPLAYSLYYDRKELKKMESIENILDSHDDGLVEKPLLVRPRIHLAGSVPTKALLQIFFEMEHDEKTLHILITRALIKGHPLARSLYYNRKELKEMERTHRNKSS >DRNTG_35013.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6867107:6868186:-1 gene:DRNTG_35013 transcript:DRNTG_35013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRCITQSLNGLRKEKLREVPLTVVTYEPRPEGQVYFEEQKKAEIDFLPKKKTYPGFGKLNSVIWRDDKDYGYTTRCPSVRPPRWEGKCQR >DRNTG_13326.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23131638:23132385:1 gene:DRNTG_13326 transcript:DRNTG_13326.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNLAPIGCFPAFLTELPHNTSDLDMYGCMMSYNNAVLDYNLMLKDTLSHISDQLPDATLVYVDTHSVKLKLFQHPKDHGLLYGTKACCGYGGGEYNFNRKVYCGNSKIIDGKHVTANACKDPDKYVSWDGIHATEAANKLVAWAVLNGSISDPVFPLF >DRNTG_13326.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23130762:23132385:1 gene:DRNTG_13326 transcript:DRNTG_13326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLVLLLITPSTNAKCSFPAIFNFGDSNSDTGGFWAAFPAERYPFGMTYFKKPSGRASDGRLVIDFLAQGLGMPFISPYLQSIGTNFRHGANFATLASTVLLPNTSLFVSGISPFSLAIQLNQMKEFRTRVLEINPQGNNHRLPPKDIFSKSLYIFDIGQNDFTGNLAALGILDVKQYLPQVASQIAYTIKDIYSELGGRTFMVFNLAPIGCFPAFLTELPHNTSDLDMYGCMMSYNNAVLDYNLMLKDTLSHISDQLPDATLVYVDTHSVKLKLFQHPKDHGLLYGTKACCGYGGGEYNFNRKVYCGNSKIIDGKHVTANACKDPDKYVSWDGIHATEAANKLVAWAVLNGSISDPVFPLF >DRNTG_13326.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23131085:23132385:1 gene:DRNTG_13326 transcript:DRNTG_13326.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCCIYMYVCYSAQGLGMPFISPYLQSIGTNFRHGANFATLASTVLLPNTSLFVSGISPFSLAIQLNQMKEFRTRVLEINPQGNNHRLPPKDIFSKSLYIFDIGQNDFTGNLAALGILDVKQYLPQVASQIAYTIKDIYSELGGRTFMVFNLAPIGCFPAFLTELPHNTSDLDMYGCMMSYNNAVLDYNLMLKDTLSHISDQLPDATLVYVDTHSVKLKLFQHPKDHGLLYGTKACCGYGGGEYNFNRKVYCGNSKIIDGKHVTANACKDPDKYVSWDGIHATEAANKLVAWAVLNGSISDPVFPLF >DRNTG_18436.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14723912:14727383:-1 gene:DRNTG_18436 transcript:DRNTG_18436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRATGHQHSWSNQAQGSRRMIGRLDRALINAEWLLQDCPDGFVEYLNPAPSTPFMKVTSTVFMTSGSGSRVVVPSIVILANVIIPGMSIFVVTKYAATTSLPTDSVLNP >DRNTG_33131.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10566992:10572237:-1 gene:DRNTG_33131 transcript:DRNTG_33131.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPHCCTKNSHARVPDPQEQTHAPLASWPIHRGRRTPLCLLGME >DRNTG_30175.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18560829:18572802:1 gene:DRNTG_30175 transcript:DRNTG_30175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFSAPKQADDDHRHHDAPDTNVNPPHHPQSLAPSRQTEPSETQAEGTTPLRQYTLAELVAATHGFSLQNMLSKGGGKFPNMVFSGHLPGPQEIVVKRFSMVAWPDAEEFKKEVIKAGRLRHHRLVNLIGYCCDEDERLLVAEFMPNDTLATRLFSPTNKTLEWSMRVRVASYVAEALEYCINEGQALYFDLNSYKVLFNEADFPCLSGFGLVKSQRNGNCYSIHTAYIPPECMNGMKTPESVIFSFGVLLRDLLSGKETPQNKAIHMILGRNVPVVVDSRLNGEYPIEEEIALAGLANQCLRYEPKDRPTIKDVIATLAQVQSNAANPWVTSYPSIILSKFCLAMSAKPSKDPSGLVALMPQRNKDKANWVAYRAAYGLPYDRCP >DRNTG_23524.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22262263:22264793:1 gene:DRNTG_23524 transcript:DRNTG_23524.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRATLRGLGVSRRAVFLRGITSNTCRAFSTGLSPPSKGFVYEQHGPPDQVLRMVELPPVPVKENDVCVKMLAAPINPSDINRIEGVYPIRPQVPAVGGSEGVGEVHAVGSAVTSLSVGDRVIPCPTTFGTWQTYVVKEEDVWHKVSKNVPIEYAATVTVNPMTALRMMEDFVELNPGDAIVQNGSSSMVGQCIVQLARIRGVQSINLIRERPGSEELKEKLKKLGANEVFTENQLEIKNVKSLLNNIQEPALGFNCVGGNAASLVLKFLRQGGTMVTYGGMAKKPITVSTSSFIFKDLSLRGFWLQKWMNTEKAQDCRAMANQLLSFVEQGELKYEMELVPFIEFDTALNNALGKSGSRPKQVLTF >DRNTG_00179.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:68575:70495:1 gene:DRNTG_00179 transcript:DRNTG_00179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGLHCKSYNEDDQPNLLNLNMFKLSTYPFSLLPTSNLDLSFSTWDFNNKRPKTSSNPVQVPVAEAQRNEPTINGARKLRFRDHIGTYKQRFWATEAVDASINIENYDSGDGTNGMQLVQQLISCAEAVACRDRAQASILLTELRAKAMVFGTSFQRVASCFVQGLVDRLMLVQPIGACGSRAAAAATTTTTVEWDEALSLVYDTCPYIQFGHFVANSAILEAFEGESLVHIVDLGMTLGLAHGHQWRKLLQSLASRSPALRRVRITAVGSNTDRLIIIGTELESYAHTLNINLEFSVIATNLENLKPEQINIREGEVLAVNSILQLHCVVKESRGALNTVLQMIHELAPRVLVLVEQDSSHNGPFFLGRFMEALHYYSAIFDSLDVMMPKYDTKRAKMEQFYFGEEIKNIVSCEGGGRVERHERVDQWRRRMSRAGFQPAPVKVVSEVKEWLVKVVSCEGFTVGEEKGCLVLGWKSKPVIAASCWKC >DRNTG_18409.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4053846:4054451:1 gene:DRNTG_18409 transcript:DRNTG_18409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKVVFMASLLLVSVFLLHLVEADQELHKHTESNGNTFVQTINCGEACKVRCSKSWKPKMCKRACGTCCARCNCVPPGTSVYTRGMCPCYAKMTTYGGRLKCP >DRNTG_11053.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20027781:20034250:-1 gene:DRNTG_11053 transcript:DRNTG_11053.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMKANPPQLHSNLKFIQLFRRQSKLVSEIEFYLTSMISTELFIINIDARSLSMEETEFQKSMESAKLAIDGPSSEPIAEVETYKDIISTMHCDKEIILDGKFQCPSVSTGFQFFTLIHYLCFAHGTLFHFLIALKLVKLALIPDVLNWFRRQISIYGDRGRGSNAIRSARLAMFIQTAGQKVHKAIKHPA >DRNTG_11053.29.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20027781:20028254:-1 gene:DRNTG_11053 transcript:DRNTG_11053.29 gene_biotype:protein_coding transcript_biotype:protein_coding MKWFSRSKSHQQLGTDRSTQIEIWRRPPRLHQAPPSHRLGTRLARQRGVARPAPTGMEARAGRGRHARTPGTAPPSPPRQGNHAAPP >DRNTG_11053.28.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20027538:20028254:-1 gene:DRNTG_11053 transcript:DRNTG_11053.28 gene_biotype:protein_coding transcript_biotype:protein_coding MKWFSRSKSHQQLGTDRSTQIEIWRRPPRLHQAPPSHRLGTRLARQRGVARPAPTGMEARAGRGRHARTPGTAPPSPPRQGNHAAPP >DRNTG_11053.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20027781:20034250:-1 gene:DRNTG_11053 transcript:DRNTG_11053.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMKANPPQLHSNLKFIQLFRRQSKLVSEIEFYLTSMISTELFIINIDARSLSMEETEFQKSMESAKLAIDGPSSEPIAEVETYKDIISTMHCDKEIILDGKFQCPSVSTGFQFFTLIHYLCFAHGTLFHFLIALKLVKLALIPDVLNWFRRQISIYGDRGRGSNAIRSARLAMFIQTAGQKVHKAIKHPA >DRNTG_11053.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20027538:20034250:-1 gene:DRNTG_11053 transcript:DRNTG_11053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKANPPQLHSNLKFIQLFRRQSKLVSEIEFYLTSMISTELFIINIDARSLSMEETEFQKSMESAKLAIDGPSSEPIAEVETYKDIISTMHCDKEIILDGKFQCPSVSTGFQFFTLIHYLCFAHGTLFHFLIALKLVKLALIPDVLNWFRRQISIYGDRGRGSNAIRSARLAMFIQTAGQKVHKAIKHPA >DRNTG_11053.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20027781:20034250:-1 gene:DRNTG_11053 transcript:DRNTG_11053.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKANPPQLHSNLKFIQLFRRQSKLVSEIEFYLTSMISTELFIINIDARSLSMEETEFQKSMESAKLAIDGPSSEPIAEVETYKDIISTMHCDKEIILDGKFQCPSVSTGFQFFTLIHYLCFAHGTLFHFLIALKLVKLALIPDVLNWFRRQISIYGDRGRGSNAIRSARLAMFIQTAGQKVHKAIKHPA >DRNTG_01218.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1313056:1318041:1 gene:DRNTG_01218 transcript:DRNTG_01218.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFTAPPEWKTCGNGMEQSPINLCDDKVIQTPALGKLRTSYQAARATLKNNGHDIMVRVY >DRNTG_01218.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1312460:1318041:1 gene:DRNTG_01218 transcript:DRNTG_01218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLKVTISIYIYIYIIIVFFLYILQIDSLVCMYDGVADDFSYIEGSPNGPENWGNLRPEWKTCGNGMEQSPINLCDDKVIQTPALGKLRTSYQAARATLKNNGHDIMVRVY >DRNTG_01218.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1312460:1318041:1 gene:DRNTG_01218 transcript:DRNTG_01218.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSPINLCDDKVIQTPALGKLRTSYQAARATLKNNGHDIMVRVY >DRNTG_24111.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31349694:31352124:-1 gene:DRNTG_24111 transcript:DRNTG_24111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETRVQNAKPEISKSILSLQRLGIKKKMSPSRSLVGEFPPNTSRPSFPSIVPAENAHQPRGPGCSPASASSLSLSQTCVSESSAYTS >DRNTG_33391.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001992.1:6454:6868:-1 gene:DRNTG_33391 transcript:DRNTG_33391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSSMRILSEMDLEYSGGGDTIVSCPCPTCAWSCKELPDLVPLFRRNYLQAEIFQGNVHGHVETVSGLRKLDSSAQLLLEFTPNRFEPLVYAHETNK >DRNTG_00103.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3213083:3213830:-1 gene:DRNTG_00103 transcript:DRNTG_00103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGLHPQFNEPNHRLRQVPPPPGAGSGTDDGAFSAEELRQPRGTH >DRNTG_06102.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20075141:20077186:-1 gene:DRNTG_06102 transcript:DRNTG_06102.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAAAAVAESYVVVHNVAKRHNIGTLARSAVAFGVSEMVLIGRRDFNSFGSHGSASHLRFRHFHSLSDARRYLKEDLGCDICGVEIADGAFPVNAQPFTKSTAFLLGNEYGGGTASLNVTVAASIVLHHFGVWAGFPERSREGNKFIVAEKPLKQASRNYCAESIESVIKERKIRKETNKHGFFEESQTDGDTQSTNFLDTLFSS >DRNTG_26710.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31234071:31236047:1 gene:DRNTG_26710 transcript:DRNTG_26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAERDELAESLAQLFTSVSTMVKGELEGTNNQLKLLEKMNHRVTEEYKSFGDVASGLSVFVEQLSAKNRSFDEYLQQIDTIEQQVTEFEAVISMLDKYVLLLENKVQSAYRNTTGQ >DRNTG_11855.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:73288:81837:-1 gene:DRNTG_11855 transcript:DRNTG_11855.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPLGGGPVFRFIQSEVVEMEKCLQEANNLLPPREIICALAEKFSSSADRAGKIVVQPKQVWNWFQNRRYARRARSSKGPEKLIVSTMPRDDSLTFKNAAPSLSAPSDSFQVEFEAKSARDGAWYDVSAFISHRTIEAGDPEVRVRFAGFGAEEDEWVDVVKCVRLRSLPCEASECAVVIPGDLILCFQEGKEQALYFDAHVLDAQRRRHDSRGCRCRFLVRYDHDQSEEIVPLRKVCRRPETDYRLKMLQASRAAALESSIVSSDPTLPGSSKEVILAAEKPPKQWKSMDVTTAEVATVVVPELEEHVVSETPPTNIVADNDLGGPKIPQEDDAFTAVNPDKVVQDGSPANPTNVCPPL >DRNTG_11855.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:73288:81837:-1 gene:DRNTG_11855 transcript:DRNTG_11855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPLGGGPVFRFIQSEVVEMEKCLQEANNLLPPREIICALAEKFSSSADRAGKIVVQPKQVWNWFQNRRYARRARSSKGPEKLIVSTMPRDDSLTFKNAAPSLSAPSVKNSSDSFQVEFEAKSARDGAWYDVSAFISHRTIEAGDPEVRVRFAGFGAEEDEWVDVVKCVRLRSLPCEASECAVVIPGDLILCFQEGKEQALYFDAHVLDAQRRRHDSRGCRCRFLVRYDHDQSEEIVPLRKVCRRPETDYRLKMLQASRAAALESSIVSSDPTLPGSSKEVILAAEKPPKQWKSMDVTTAEVATVVVPELEEHVVSETPPTNIVADNDLGGPKIPQEDDAFTAVNPDKVVQDGSPANPTNVCPPL >DRNTG_33146.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19371577:19374119:-1 gene:DRNTG_33146 transcript:DRNTG_33146.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRPPPAKSLGNYARLASERVTAARLSKPRSRSLDSAREPAPSRSDGRGTAMEGQERLPLSMVVAECVKRWFQDTLKEARNGDAAMQVLVGQMYQSGYGVPRNEQKAKTWMTKASKYRSSVWRVSHKRPAFSRL >DRNTG_33146.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19371577:19374119:-1 gene:DRNTG_33146 transcript:DRNTG_33146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRPPPAKSLGNYARLASERVTAARLSKPRSRSLDSAREPAPSRSDGRGTAMEGQERLPLSMVVAECVKRWFQDTLKEARNGDAAMQVLVGQMYQSGYGVPRNEQKAKTWMTKASKYRSSVWRVSHKRPGYNASDSDSVEETDDPKP >DRNTG_31716.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:238849:245176:1 gene:DRNTG_31716 transcript:DRNTG_31716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKTKTNDSKGKKKEVKKETGLGLTYKKDENFGEWYSEVVVSGEMIEYYDISGCYILRPWTMAIWEALQVFFDAEIKKMNIKNCYFPLFVTENVLQKEKDHIEGFAPEVAWVTKSGQSELEVPIAIRPTSETVMYPYYSKWIRGHRDLPLKLNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKEEADQEVLDILELYRRIYEEYLAIPVVKGKKSELEKFAGGLYTTSVEAFVPNTGRGVQGATSHCLGQNFAKMFQINFENEKGEKAMAWQNSWAYSTRTIGVMVMVHGDDKGLVLPPKVASVQIVVIPVPYKDADTQAIFDACSETVKSLNEAGFRAEADLRDNYSPGWKYSHWEMKGVPLRIEIGPKDIANKQVRVVRRDNGAKVDIPVVGLVEQVKDILSTIQESLFNAAKEKRDACIKVVRTWDEFLKALNDKKLILAPWCDEEEVEKDVKLKTKGELGAAKTLCTPFDQPELPEGTLCFTSGKPAKKWTYWGRSY >DRNTG_01671.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23863853:23872837:1 gene:DRNTG_01671 transcript:DRNTG_01671.10 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVLAKYKEFKHKLLFLLEMLEPFLDPAITAMNNTIAFGDVSGVFLEKQERTCVIALNIIRTALLRPAVLPSLESEWRRGSVAPSILLTVLGPHIQLPPEIDLCKCSASKIVDQETSLLPSNSSQHSYGDPSKSCSLDEFDRKNEVSEATTKIDVYEDANYLFAPRELKSMALTSVGNYFIGNCPDKTSAELIHDTAEKVSGDQLQNALTLDNGFYVKYFNLQADYLQVVNYQDCEARASEYQHLAMDLSAQYDINPESHDAVIDALLLAAECYVNPFFMMSFRPASKSINQMKNIKYKMNQNDDVVELKKVFERYNNGLEQVAHLESKRDKTVLHILLQAARLDEEYERNMSKGELHTYDYKGNEQCIDISPFDIQSLDAVTLVRQNQYLLCQFVMKQLRRERCSHEVLLQSLLFLLHSATELSCPPEDVVDIILCSAENLNMLITSLYDQLKEGKTHLDTEKLRGTQRHWVLLQRLVTASSGSVEGTDFISHTRNGFQYRSLVPPSSWIQKISKFSDCPFALARFLGWMAVSRHAQQYLKDRLFLVSDLSQLSSLLSIFADDLALVGNVKDQKLEILSAEQSNTKQYLQVGKELGPSDHSDSEDSFHILYPDLHKFFPKMKNQFHLFGESILGAVGMQLKCFPSNALPDVLCWFSDLCLSLYPEPKKDSLPILNQADCLKGHVSMNVKAILLYILESIVGEHMEAMLPEMPRVAQILISICRSSYCDVPFLDSVLCLLRPLISYFLRKVTFDEKLLTDVVSGSDFDLENFKELFEFLRLKEENQDSLRGKEIQGSLMIFTLGSLFCDLSFRRKSEVLQSLLSWVDFSTSEPTSSFYNYLHAFQKVTDSCNVLLAQSIESFGISILVEKQLSSEIDTTLNVGGNSTGPSGFQRNAGQSSLSKSDRKGSNGGKTGFFDQGVHCLSPDEMYKLFGHLELLISKLIPSVEICWSLHCKLAMKLTFALAKCFMYSTCLASIIQATKKDSDGDGGEICLSESRDLLSRHWVVALEGLSKFIVAIQQNRNWQAASAMIEYLLRLPQDFSLDCVIGNVCSVIKSFCCHAPRFSWRLQSDKWLLSLFTRGIGNIDGNEGSVVNLFHAMLGHSEPEQRAVALQHLGRIIGLSTNDEMARVFYRLRQSLVGADSDISIPESFLSILVSTTWDLVATLALTDSSLLLRRHAMALLSGYIPFAKRGQLQSFLIASNTIIRGIGRFSHSMEEVHLTRLSLCLLASVCLYSPAEDIALIPESVWENLESMGMSRSGELDLMEKNLCSALCKIRNEPVDAKEVLKGALSSSSTAKQIDPNFEGTRQTILQVLSSLTSVQSYFDFFSKKIEQDVQELEEAEIEMELLQKEKAMEEVSASSKLETAESHEITYDLEDNNRLQKIKDEIQSLEKAKIREEIVGRRQKKLLMRRARQKFLEEAALKEMKLLQDLDRERASELEHEIERERSLELERAKTRELQYNLDMERERQTQRELQREIEQVESGIRPSRRDFSSNTTRPRERYRESGRPGQEGSLRASSRGHEGGSSAQAATSGPNMTSQAPTVVLAGARSFSGQLPTILQPRDRAEERSGGYDDNLEGSRDSGDTGSVGDPELSSAFDGFGSASRHGSRGGKSRQVTDRRDRDGRGKWERKHN >DRNTG_01671.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23864999:23872837:1 gene:DRNTG_01671 transcript:DRNTG_01671.11 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVLAKYKEFKHKLLFLLEMLEPFLDPAITAMNNTIAFGDVSGVFLEKQERTCVIALNIIRTALLRPAVLPSLESEWRRGSVAPSILLTVLGPHIQLPPEIDLCKCSASKIVDQETSLLPSNSSQHSYGDPSKSCSLDEFDRKNEVSEATTKIDVYEDANYLFAPRELKSMALTSVGNYFIGNCPDKTSAELIHDTAEKVSGDQLQNALTLDNGFYVKYFNLQADYLQVVNYQDCEARASEYQHLAMDLSAQYDINPESHDAVIDALLLAAECYVNPFFMMSFRPASKSINQMKNIKYKMNQNDDVVELKKVFERYNNGLEQVAHLESKRDKTVLHILLQAARLDEEYERNMSKGELHTYDYKGNEQCIDISPFDIQSLDAVTLVRQNQYLLCQFVMKQLRRERCSHEVLLQSLLFLLHSATELSCPPEDVVDIILCSAENLNMLITSLYDQLKEGKTHLDTEKLRGTQRHWVLLQRLVTASSGSVEGTDFISHTRNGFQYRSLVPPSSWIQKISKFSDCPFALARFLGWMAVSRHAQQYLKDRLFLVSDLSQLSSLLSIFADDLALVGNVKDQKLEILSAEQSNTKQYLQVGKELGPSDHSDSEDSFHILYPDLHKFFPKMKNQFHLFGESILGAVGMQLKCFPSNALPDVLCWFSDLCLSLYPEPKKDSLPILNQADCLKGHVSMNVKAILLYILESIVGEHMEAMLPEMPRVAQILISICRSSYCDVPFLDSVLCLLRPLISYFLRKVTFDEKLLTDVVSGSDFDLENFKELFEFLRLKEENQDSLRGKEIQGSLMIFTLGSLFCDLSFRRKSEVLQSLLSWVDFSTSEPTSSFYNYLHAFQKVTDSCNVLLAQSIESFGISILVEKQLSSEIDTTLNVGGNSTGPSGFQRNAGQSSLSKSDRKGSNGGKTGFFDQGVHCLSPDEMYKLFGHLELLISKLIPSVEICWSLHCKLAMKLTFALAKCFMYSTCLASIIQATKKDSDGDGGEICLSESRDLLSRHWVVALEGLSKFIVAIQQNRNWQAASAMIEYLLRLPQDFSLDCVIGNVCSVIKSFCCHAPRFSWRLQSDKWLLSLFTRGIGNIDGNEGSVVNLFHAMLGHSEPEQRAVALQHLGRIIGLSTNDEMARVFYRLRQSLVGADSDISIPESFLSILVSTTWDLVATLALTDSSLLLRRHAMALLSGYIPFAKRGQLQSFLIASNTIIRGIGRFSHSMEEVHLTRLSLCLLASVCLYSPAEDIALIPESVWENLESMGMSRSGELDLMEKNLCSALCKIRNEPVDAKEVLKGALSSSSTAKQIDPNFEGTRQTILQVLSSLTSVQSYFDFFSKKIEQDVQELEEAEIEMELLQKEKAMEEVSASSKLETAESHEITYDLEDNNRLQKIKDEIQSLEKAKIREEIVGRRQKKLLMRRARQKFLEEAALKEMKLLQDLDRERASELEHEIERERSLELERAKTRELQYNLDMERERQTQRELQREIEQVESGIRPSRRDFSSNTTRPRERYRESGRPGQEGSLRASSRGHEGGSSAQAATSGPNMTSQAPTVVLAGARSFSGQLPTILQPRDRAEERSGGYDDNLEGSRDSGDTGSVGDPELSSAFDGFGSASRHGSRGGKSRQVTDRRDRDGRGKWERKHN >DRNTG_01671.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23857377:23872837:1 gene:DRNTG_01671 transcript:DRNTG_01671.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLWAAFTVDIYSDGKVELLEDLLGIIQGARQSTSRARAALKYTILALSGHMDDVLAKYKEFKHKLLFLLEMLEPFLDPAITAMNNTIAFGDVSGVFLEKQERTCVIALNIIRTALLRPAVLPSLESEWRRGSVAPSILLTVLGPHIQLPPEIDLCKCSASKIVDQETSLLPSNSSQHSYGDPSKSCSLDEFDRKNEVSEATTKIDVYEDANYLFAPRELKSMALTSVGNYFIGNCPDKTSAELIHDTAEKVSGDQLQNALTLDNGFYVKYFNLQADYLQVVNYQDCEARASEYQHLAMDLSAQYDINPESHDAVIDALLLAAECYVNPFFMMSFRPASKSINQMKNIKYKMNQNDDVVELKKVFERYNNGLEQVAHLESKRDKTVLHILLQAARLDEEYERNMSKGELHTYDYKGNEQCIDISPFDIQSLDAVTLVRQNQYLLCQFVMKQLRRERCSHEVLLQSLLFLLHSATELSCPPEDVVDIILCSAENLNMLITSLYDQLKEGKTHLDTEKLRGTQRHWVLLQRLVTASSGSVEGTDFISHTRNGFQYRSLVPPSSWIQKISKFSDCPFALARFLGWMAVSRHAQQYLKDRLFLVSDLSQLSSLLSIFADDLALVGNVKDQKLEILSAEQSNTKQYLQVGKELGPSDHSDSEDSFHILYPDLHKFFPKMKNQFHLFGESILGAVGMQLKCFPSNALPDVLCWFSDLCLSLYPEPKKDSLPILNQADCLKGHVSMNVKAILLYILESIVGEHMEAMLPEMPRVAQILISICRSSYCDVPFLDSVLCLLRPLISYFLRKVTFDEKLLTDVVSGSDFDLENFKELFEFLRLKEENQDSLRGKEIQGSLMIFTLGSLFCDLSFRRKSEVLQSLLSWVDFSTSEPTSSFYNYLHAFQKVTDSCNVLLAQSIESFGISILVEKQLSSEIDTTLNVGGNSTGPSGFQRNAGQSSLSKSDRKGSNGGKTGFFDQGVHCLSPDEMYKLFGHLELLISKLIPSVEICWSLHCKLAMKLTFALAKCFMYSTCLASIIQATKKDSDGDGGEICLSESRDLLSRHWVVALEGLSKFIVAIQQNRNWQAASAMIEYLLRLPQDFSLDCVIGNVCSVIKSFCCHAPRFSWRLQSDKWLLSLFTRGIGNIDGNEGSVVNLFHAMLGHSEPEQRAVALQHLGRIIGLSTNDEMARVFYRLRQSLVGADSDISIPESFLSILVSTTWDLVATLALTDSSLLLRRHAMALLSGYIPFAKRGQLQSFLIASNTIIRGIGRFSHSMEEVHLTRLSLCLLASVCLYSPAEDIALIPESVWENLESMGMSRSGELDLMEKNLCSALCKIRNEPVDAKEVLKGALSSSSTAKQIDPNFEGTRQTILQVLSSLTSVQSYFDFFSKKIEQDVQELEEAEIEMELLQKEKAMEEVSASSKLETAESHEITYDLEDNNRLQKIKDEIQSLEKAKIREEIVGRRQKKLLMRRARQKFLEEAALKEMKLLQDLDRERASELEHEIERERSLELERAKTRELQYNLDMERERQTQRELQREIEQVESGIRPSRRDFSSNTTRPRERYRESGRPGQEGSLRASSRGHEGGSSAQAATSGPNMTSQAPTVVLAGARSFSGQLPTILQPRDRAEERSGGYDDNLEGSRDSGDTGSVGDPELSSAFDGFGSASRHGSRGGKSRQVTDRRDRDGRGKWERKHN >DRNTG_01671.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23857377:23872837:1 gene:DRNTG_01671 transcript:DRNTG_01671.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSLFGEEFKILDDRYDTSYLNVLDIASVEEGILHVLYACASQPDLCCKLADTNFDLWSTLPLVQALLPALRPPISSPPDQVDDSLWQWKHPFVQQALTQIVAMASSSAYRPLLHACAGYLSSFMSSHAKTACVLIDLCCGPLSPWISTVTAKVDLAVELLEDLLGIIQGARQSTSRARAALKYTILALSGHMDDVLAKYKEFKHKLLFLLEMLEPFLDPAITAMNNTIAFGDVSGVFLEKQERTCVIALNIIRTALLRPAVLPSLESEWRRGSVAPSILLTVLGPHIQLPPEIDLCKCSASKIVDQETSLLPSNSSQHSYGDPSKSCSLDEFDRKNEVSEATTKIDVYEDANYLFAPRELKSMALTSVGNYFIGNCPDKTSAELIHDTAEKVSGDQLQNALTLDNGFYVKYFNLQADYLQVVNYQDCEARASEYQHLAMDLSAQYDINPESHDAVIDALLLAAECYVNPFFMMSFRPASKSINQMKNIKYKMNQNDDVVELKKVFERYNNGLEQVAHLESKRDKTVLHILLQAARLDEEYERNMSKGELHTYDYKGNEQCIDISPFDIQSLDAVTLVRQNQYLLCQFVMKQLRRERCSHEVLLQSLLFLLHSATELSCPPEDVVDIILCSAENLNMLITSLYDQLKEGKTHLDTEKLRGTQRHWVLLQRLVTASSGSVEGTDFISHTRNGFQYRSLVPPSSWIQKISKFSDCPFALARFLGWMAVSRHAQQYLKDRLFLVSDLSQLSSLLSIFADDLALVGNVKDQKLEILSAEQSNTKQYLQVGKELGPSDHSDSEDSFHILYPDLHKFFPKMKNQFHLFGESILGAVGMQLKCFPSNALPDVLCWFSDLCLSLYPEPKKDSLPILNQADCLKGHVSMNVKAILLYILESIVGEHMEAMLPEMPRVAQILISICRSSYCDVPFLDSVLCLLRPLISYFLRKVTFDEKLLTDVVSGSDFDLENFKELFEFLRLKEENQDSLRGKEIQGSLMIFTLGSLFCDLSFRRKSEVLQSLLSWVDFSTSEPTSSFYNYLHAFQKVTDSCNVLLAQSIESFGISILVEKQLSSEIDTTLNVGGNSTGPSGFQRNAGQSSLSKSDRKGSNGGKTGFFDQGVHCLSPDEMYKLFGHLELLISKLIPSVEICWSLHCKLAMKLTFALAKCFMYSTCLASIIQATKKDSDGDGGEICLSESRDLLSRHWVVALEGLSKFIVAIQQNRNWQAASAMIEYLLRLPQDFSLDCVIGNVCSVIKSFCCHAPRFSWRLQSDKWLLSLFTRGIGNIDGNEGSVVNLFHAMLGHSEPEQRAVALQHLGRIIGLSTNDEMARVFYRLRQSLVGADSDISIPESFLSILVSTTWDLVATLALTDSSLLLRRHAMALLSGYIPFAKRGQLQSFLIASNTIIRGIGRFSHSMEEVHLTRLSLCLLASVCLYSPAEDIALIPESVWENLESMGMSRSGELDLMEKNLCSALCKIRNEPVDAKEVLKGALSSSSTAKQIDPNFEGTRQTILQVLSSLTSVQSYFDFFSKKIEQDVQELEEAEIEMELLQKEKAMEEVSASSKLETAESHEITYDLEDNNRLQKIKDEIQSLEKAKIREEIVGRRQKKLLMRRARQKFLEEAALKEMKLLQDLDRERASELEHEIERERSLELERAKTRELQYNLDMERERQTQRELQREIEQVESGIRPSRRDFSSNTTRPRERYRESGRPGQEGSLRASSRGHEGGSSAQAATSGPNMTSQAPTVVLAGARSFSGQLPTILQPRDRAEERSGGYDDNLEGSRDSGDTGSVGDPELSSAFDGFGSASRHGSRGGKSRQVTDRRDRDGRGKWERKHN >DRNTG_01671.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23859129:23872837:1 gene:DRNTG_01671 transcript:DRNTG_01671.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLWAAFTVDIYSDGKVELLEDLLGIIQGARQSTSRARAALKYTILALSGHMDDVLAKYKEFKHKLLFLLEMLEPFLDPAITAMNNTIAFGDVSGVFLEKQERTCVIALNIIRTALLRPAVLPSLESEWRRGSVAPSILLTVLGPHIQLPPEIDLCKCSASKIVDQETSLLPSNSSQHSYGDPSKSCSLDEFDRKNEVSEATTKIDVYEDANYLFAPRELKSMALTSVGNYFIGNCPDKTSAELIHDTAEKVSGDQLQNALTLDNGFYVKYFNLQADYLQVVNYQDCEARASEYQHLAMDLSAQYDINPESHDAVIDALLLAAECYVNPFFMMSFRPASKSINQMKNIKYKMNQNDDVVELKKVFERYNNGLEQVAHLESKRDKTVLHILLQAARLDEEYERNMSKGELHTYDYKGNEQCIDISPFDIQSLDAVTLVRQNQYLLCQFVMKQLRRERCSHEVLLQSLLFLLHSATELSCPPEDVVDIILCSAENLNMLITSLYDQLKEGKTHLDTEKLRGTQRHWVLLQRLVTASSGSVEGTDFISHTRNGFQYRSLVPPSSWIQKISKFSDCPFALARFLGWMAVSRHAQQYLKDRLFLVSDLSQLSSLLSIFADDLALVGNVKDQKLEILSAEQSNTKQYLQVGKELGPSDHSDSEDSFHILYPDLHKFFPKMKNQFHLFGESILGAVGMQLKCFPSNALPDVLCWFSDLCLSLYPEPKKDSLPILNQADCLKGHVSMNVKAILLYILESIVGEHMEAMLPEMPRVAQILISICRSSYCDVPFLDSVLCLLRPLISYFLRKVTFDEKLLTDVVSGSDFDLENFKELFEFLRLKEENQDSLRGKEIQGSLMIFTLGSLFCDLSFRRKSEVLQSLLSWVDFSTSEPTSSFYNYLHAFQKVTDSCNVLLAQSIESFGISILVEKQLSSEIDTTLNVGGNSTGPSGFQRNAGQSSLSKSDRKGSNGGKTGFFDQGVHCLSPDEMYKLFGHLELLISKLIPSVEICWSLHCKLAMKLTFALAKCFMYSTCLASIIQATKKDSDGDGGEICLSESRDLLSRHWVVALEGLSKFIVAIQQNRNWQAASAMIEYLLRLPQDFSLDCVIGNVCSVIKSFCCHAPRFSWRLQSDKWLLSLFTRGIGNIDGNEGSVVNLFHAMLGHSEPEQRAVALQHLGRIIGLSTNDEMARVFYRLRQSLVGADSDISIPESFLSILVSTTWDLVATLALTDSSLLLRRHAMALLSGYIPFAKRGQLQSFLIASNTIIRGIGRFSHSMEEVHLTRLSLCLLASVCLYSPAEDIALIPESVWENLESMGMSRSGELDLMEKNLCSALCKIRNEPVDAKEVLKGALSSSSTAKQIDPNFEGTRQTILQVLSSLTSVQSYFDFFSKKIEQDVQELEEAEIEMELLQKEKAMEEVSASSKLETAESHEITYDLEDNNRLQKIKDEIQSLEKAKIREEIVGRRQKKLLMRRARQKFLEEAALKEMKLLQDLDRERASELEHEIERERSLELERAKTRELQYNLDMERERQTQRELQREIEQVESGIRPSRRDFSSNTTRPRERYRESGRPGQEGSLRASSRGHEGGSSAQAATSGPNMTSQAPTVVLAGARSFSGQLPTILQPRDRAEERSGGYDDNLEGSRDSGDTGSVGDPELSSAFDGFGSASRHGSRGGKSRQVTDRRDRDGRGKWERKHN >DRNTG_01671.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23857377:23872837:1 gene:DRNTG_01671 transcript:DRNTG_01671.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLWAAFTVDIYSDGKVELLEDLLGIIQGARQSTSRARAALKYTILALSGHMDDVLAKYKEFKHKLLFLLEMLEPFLDPAITAMNNTIAFGDVSGVFLEKQERTCVIALNIIRTALLRPAVLPSLESEWRRGSVAPSILLTVLGPHIQLPPEIDLCKCSASKIVDQETSLLPSNSSQHSYGDPSKSCSLDEFDRKNEVSEATTKIDVYEDANYLFAPRELKSMALTSVGNYFIGNCPDKTSAELIHDTAEKVSGDQLQNALTLDNGFYVKYFNLQADYLQVVNYQDCEARASEYQHLAMDLSAQYDINPESHDAVIDALLLAAECYVNPFFMMSFRPASKSINQMKNIKYKMNQNDDVVELKKVFERYNNGLEQVAHLESKRDKTVLHILLQAARLDEEYERNMSKGELHTYDYKGNEQCIDISPFDIQSLDAVTLVRQNQYLLCQFVMKQLRRERCSHEVLLQSLLFLLHSATELSCPPEDVVDIILCSAENLNMLITSLYDQLKEGKTHLDTEKLRGTQRHWVLLQRLVTASSGSVEGTDFISHTRNGFQYRSLVPPSSWIQKISKFSDCPFALARFLGWMAVSRHAQQYLKDRLFLVSDLSQLSSLLSIFADDLALVGNVKDQKLEILSAEQSNTKQYLQVGKELGPSDHSDSEDSFHILYPDLHKFFPKMKNQFHLFGESILGAVGMQLKCFPSNALPDVLCWFSDLCLSLYPEPKKDSLPILNQADCLKGHVSMNVKAILLYILESIVGEHMEAMLPEMPRVAQILISICRSSYCDVPFLDSVLCLLRPLISYFLRKVTFDEKLLTDVVSGSDFDLENFKELFEFLRLKEENQDSLRGKEIQGSLMIFTLGSLFCDLSFRRKSEVLQSLLSWVDFSTSEPTSSFYNYLHAFQKVTDSCNVLLAQSIESFGISILVEKQLSSEIDTTLNVGGNSTGPSGFQRNAGQSSLSKSDRKGSNGGKTGFFDQGVHCLSPDEMYKLFGHLELLISKLIPSVEICWSLHCKLAMKLTFALAKCFMYSTCLASIIQATKKDSDGDGGEICLSESRDLLSRHWVVALEGLSKFIVAIQQNRNWQAASAMIEYLLRLPQDFSLDCVIGNVCSVIKSFCCHAPRFSWRLQSDKWLLSLFTRGIGNIDGNEGSVVNLFHAMLGHSEPEQRAVALQHLGRIIGLSTNDEMARVFYRLRQSLVGADSDISIPESFLSILVSTTWDLVATLALTDSSLLLRRHAMALLSGYIPFAKRGQLQSFLIASNTIIRGIGRFSHSMEEVHLTRLSLCLLASVCLYSPAEDIALIPESVWENLESMGMSRSGELDLMEKNLCSALCKIRNEPVDAKEVLKGALSSSSTAKQIDPNFEGTRQTILQVLSSLTSVQSYFDFFSKKIEQDVQELEEAEIEMELLQKEKAMEEVSASSKLETAESHEITYDLEDNNRLQKIKDEIQSLEKAKIREEIVGRRQKKLLMRRARQKFLEEAALKEMKLLQDLDRERASELEHEIERERSLELERAKTRELQYNLDMERERQTQRELQREIEQVESGIRPSRRDFSSNTTRPRERYRESGRPGQEGSLRASSRGHEGGSSAQAATSGPNMTSQAPTVVLAGARSFSGQLPTILQPRDRAEERSGGYDDNLEGSRDSGDTGSVGDPELSSAFDGFGSASRHGSRGGKSRQVTDRRDRDGRGKWERKHN >DRNTG_01671.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23865506:23872837:1 gene:DRNTG_01671 transcript:DRNTG_01671.12 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPFLDPAITAMNNTIAFGDVSGVFLEKQERTCVIALNIIRTALLRPAVLPSLESEWRRGSVAPSILLTVLGPHIQLPPEIDLCKCSASKIVDQETSLLPSNSSQHSYGDPSKSCSLDEFDRKNEVSEATTKIDVYEDANYLFAPRELKSMALTSVGNYFIGNCPDKTSAELIHDTAEKVSGDQLQNALTLDNGFYVKYFNLQADYLQVVNYQDCEARASEYQHLAMDLSAQYDINPESHDAVIDALLLAAECYVNPFFMMSFRPASKSINQMKNIKYKMNQNDDVVELKKVFERYNNGLEQVAHLESKRDKTVLHILLQAARLDEEYERNMSKGELHTYDYKGNEQCIDISPFDIQSLDAVTLVRQNQYLLCQFVMKQLRRERCSHEVLLQSLLFLLHSATELSCPPEDVVDIILCSAENLNMLITSLYDQLKEGKTHLDTEKLRGTQRHWVLLQRLVTASSGSVEGTDFISHTRNGFQYRSLVPPSSWIQKISKFSDCPFALARFLGWMAVSRHAQQYLKDRLFLVSDLSQLSSLLSIFADDLALVGNVKDQKLEILSAEQSNTKQYLQVGKELGPSDHSDSEDSFHILYPDLHKFFPKMKNQFHLFGESILGAVGMQLKCFPSNALPDVLCWFSDLCLSLYPEPKKDSLPILNQADCLKGHVSMNVKAILLYILESIVGEHMEAMLPEMPRVAQILISICRSSYCDVPFLDSVLCLLRPLISYFLRKVTFDEKLLTDVVSGSDFDLENFKELFEFLRLKEENQDSLRGKEIQGSLMIFTLGSLFCDLSFRRKSEVLQSLLSWVDFSTSEPTSSFYNYLHAFQKVTDSCNVLLAQSIESFGISILVEKQLSSEIDTTLNVGGNSTGPSGFQRNAGQSSLSKSDRKGSNGGKTGFFDQGVHCLSPDEMYKLFGHLELLISKLIPSVEICWSLHCKLAMKLTFALAKCFMYSTCLASIIQATKKDSDGDGGEICLSESRDLLSRHWVVALEGLSKFIVAIQQNRNWQAASAMIEYLLRLPQDFSLDCVIGNVCSVIKSFCCHAPRFSWRLQSDKWLLSLFTRGIGNIDGNEGSVVNLFHAMLGHSEPEQRAVALQHLGRIIGLSTNDEMARVFYRLRQSLVGADSDISIPESFLSILVSTTWDLVATLALTDSSLLLRRHAMALLSGYIPFAKRGQLQSFLIASNTIIRGIGRFSHSMEEVHLTRLSLCLLASVCLYSPAEDIALIPESVWENLESMGMSRSGELDLMEKNLCSALCKIRNEPVDAKEVLKGALSSSSTAKQIDPNFEGTRQTILQVLSSLTSVQSYFDFFSKKIEQDVQELEEAEIEMELLQKEKAMEEVSASSKLETAESHEITYDLEDNNRLQKIKDEIQSLEKAKIREEIVGRRQKKLLMRRARQKFLEEAALKEMKLLQDLDRERASELEHEIERERSLELERAKTRELQYNLDMERERQTQRELQREIEQVESGIRPSRRDFSSNTTRPRERYRESGRPGQEGSLRASSRGHEGGSSAQAATSGPNMTSQAPTVVLAGARSFSGQLPTILQPRDRAEERSGGYDDNLEGSRDSGDTGSVGDPELSSAFDGFGSASRHGSRGGKSRQVTDRRDRDGRGKWERKHN >DRNTG_01671.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23862381:23872837:1 gene:DRNTG_01671 transcript:DRNTG_01671.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLWAAFTVDIYSDGKVELLEDLLGIIQGARQSTSRARAALKYTILALSGHMDDVLAKYKEFKHKLLFLLEMLEPFLDPAITAMNNTIAFGDVSGVFLEKQERTCVIALNIIRTALLRPAVLPSLESEWRRGSVAPSILLTVLGPHIQLPPEIDLCKCSASKIVDQETSLLPSNSSQHSYGDPSKSCSLDEFDRKNEVSEATTKIDVYEDANYLFAPRELKSMALTSVGNYFIGNCPDKTSAELIHDTAEKVSGDQLQNALTLDNGFYVKYFNLQADYLQVVNYQDCEARASEYQHLAMDLSAQYDINPESHDAVIDALLLAAECYVNPFFMMSFRPASKSINQMKNIKYKMNQNDDVVELKKVFERYNNGLEQVAHLESKRDKTVLHILLQAARLDEEYERNMSKGELHTYDYKGNEQCIDISPFDIQSLDAVTLVRQNQYLLCQFVMKQLRRERCSHEVLLQSLLFLLHSATELSCPPEDVVDIILCSAENLNMLITSLYDQLKEGKTHLDTEKLRGTQRHWVLLQRLVTASSGSVEGTDFISHTRNGFQYRSLVPPSSWIQKISKFSDCPFALARFLGWMAVSRHAQQYLKDRLFLVSDLSQLSSLLSIFADDLALVGNVKDQKLEILSAEQSNTKQYLQVGKELGPSDHSDSEDSFHILYPDLHKFFPKMKNQFHLFGESILGAVGMQLKCFPSNALPDVLCWFSDLCLSLYPEPKKDSLPILNQADCLKGHVSMNVKAILLYILESIVGEHMEAMLPEMPRVAQILISICRSSYCDVPFLDSVLCLLRPLISYFLRKVTFDEKLLTDVVSGSDFDLENFKELFEFLRLKEENQDSLRGKEIQGSLMIFTLGSLFCDLSFRRKSEVLQSLLSWVDFSTSEPTSSFYNYLHAFQKVTDSCNVLLAQSIESFGISILVEKQLSSEIDTTLNVGGNSTGPSGFQRNAGQSSLSKSDRKGSNGGKTGFFDQGVHCLSPDEMYKLFGHLELLISKLIPSVEICWSLHCKLAMKLTFALAKCFMYSTCLASIIQATKKDSDGDGGEICLSESRDLLSRHWVVALEGLSKFIVAIQQNRNWQAASAMIEYLLRLPQDFSLDCVIGNVCSVIKSFCCHAPRFSWRLQSDKWLLSLFTRGIGNIDGNEGSVVNLFHAMLGHSEPEQRAVALQHLGRIIGLSTNDEMARVFYRLRQSLVGADSDISIPESFLSILVSTTWDLVATLALTDSSLLLRRHAMALLSGYIPFAKRGQLQSFLIASNTIIRGIGRFSHSMEEVHLTRLSLCLLASVCLYSPAEDIALIPESVWENLESMGMSRSGELDLMEKNLCSALCKIRNEPVDAKEVLKGALSSSSTAKQIDPNFEGTRQTILQVLSSLTSVQSYFDFFSKKIEQDVQELEEAEIEMELLQKEKAMEEVSASSKLETAESHEITYDLEDNNRLQKIKDEIQSLEKAKIREEIVGRRQKKLLMRRARQKFLEEAALKEMKLLQDLDRERASELEHEIERERSLELERAKTRELQYNLDMERERQTQRELQREIEQVESGIRPSRRDFSSNTTRPRERYRESGRPGQEGSLRASSRGHEGGSSAQAATSGPNMTSQAPTVVLAGARSFSGQLPTILQPRDRAEERSGGYDDNLEGSRDSGDTGSVGDPELSSAFDGFGSASRHGSRGGKSRQVTDRRDRDGRGKWERKHN >DRNTG_01671.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23862381:23872837:1 gene:DRNTG_01671 transcript:DRNTG_01671.9 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAYRPLLHACAGYLSSFMSSHAKTACVLIDLCCGPLSPWISTVTAKVDLAVELLEDLLGIIQGARQSTSRARAALKYTILALSGHMDDVLAKYKEFKHKLLFLLEMLEPFLDPAITAMNNTIAFGDVSGVFLEKQERTCVIALNIIRTALLRPAVLPSLESEWRRGSVAPSILLTVLGPHIQLPPEIDLCKCSASKIVDQETSLLPSNSSQHSYGDPSKSCSLDEFDRKNEVSEATTKIDVYEDANYLFAPRELKSMALTSVGNYFIGNCPDKTSAELIHDTAEKVSGDQLQNALTLDNGFYVKYFNLQADYLQVVNYQDCEARASEYQHLAMDLSAQYDINPESHDAVIDALLLAAECYVNPFFMMSFRPASKSINQMKNIKYKMNQNDDVVELKKVFERYNNGLEQVAHLESKRDKTVLHILLQAARLDEEYERNMSKGELHTYDYKGNEQCIDISPFDIQSLDAVTLVRQNQYLLCQFVMKQLRRERCSHEVLLQSLLFLLHSATELSCPPEDVVDIILCSAENLNMLITSLYDQLKEGKTHLDTEKLRGTQRHWVLLQRLVTASSGSVEGTDFISHTRNGFQYRSLVPPSSWIQKISKFSDCPFALARFLGWMAVSRHAQQYLKDRLFLVSDLSQLSSLLSIFADDLALVGNVKDQKLEILSAEQSNTKQYLQVGKELGPSDHSDSEDSFHILYPDLHKFFPKMKNQFHLFGESILGAVGMQLKCFPSNALPDVLCWFSDLCLSLYPEPKKDSLPILNQADCLKGHVSMNVKAILLYILESIVGEHMEAMLPEMPRVAQILISICRSSYCDVPFLDSVLCLLRPLISYFLRKVTFDEKLLTDVVSGSDFDLENFKELFEFLRLKEENQDSLRGKEIQGSLMIFTLGSLFCDLSFRRKSEVLQSLLSWVDFSTSEPTSSFYNYLHAFQKVTDSCNVLLAQSIESFGISILVEKQLSSEIDTTLNVGGNSTGPSGFQRNAGQSSLSKSDRKGSNGGKTGFFDQGVHCLSPDEMYKLFGHLELLISKLIPSVEICWSLHCKLAMKLTFALAKCFMYSTCLASIIQATKKDSDGDGGEICLSESRDLLSRHWVVALEGLSKFIVAIQQNRNWQAASAMIEYLLRLPQDFSLDCVIGNVCSVIKSFCCHAPRFSWRLQSDKWLLSLFTRGIGNIDGNEGSVVNLFHAMLGHSEPEQRAVALQHLGRIIGLSTNDEMARVFYRLRQSLVGADSDISIPESFLSILVSTTWDLVATLALTDSSLLLRRHAMALLSGYIPFAKRGQLQSFLIASNTIIRGIGRFSHSMEEVHLTRLSLCLLASVCLYSPAEDIALIPESVWENLESMGMSRSGELDLMEKNLCSALCKIRNEPVDAKEVLKGALSSSSTAKQIDPNFEGTRQTILQVLSSLTSVQSYFDFFSKKIEQDVQELEEAEIEMELLQKEKAMEEVSASSKLETAESHEITYDLEDNNRLQKIKDEIQSLEKAKIREEIVGRRQKKLLMRRARQKFLEEAALKEMKLLQDLDRERASELEHEIERERSLELERAKTRELQYNLDMERERQTQRELQREIEQVESGIRPSRRDFSSNTTRPRERYRESGRPGQEGSLRASSRGHEGGSSAQAATSGPNMTSQAPTVVLAGARSFSGQLPTILQPRDRAEERSGGYDDNLEGSRDSGDTGSVGDPELSSAFDGFGSASRHGSRGGKSRQVTDRRDRDGRGKWERKHN >DRNTG_01671.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23860229:23872837:1 gene:DRNTG_01671 transcript:DRNTG_01671.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGPFYPILRIVNEREAAKTLVNSSDSDIFRNNQISTLMVSSNFEAQPRRSRSPSHFTQTASSSIAFRPDAVIMLLRKAYKDFHLGIVCRLASRALQKLVEPGTSLEEYPATDLATSGVSDELAKVDSLGHLPLMDYSSLFGEEFKILDDRYDTSYLNVLDIASVEEGILHVLYACASQPDLCCKLADTNFDLWSTLPLVQALLPALRPPISSPPDQVDDSLWQWKHPFVQQALTQIVAMASSSAYRPLLHACAGYLSSFMSSHAKTACVLIDLCCGPLSPWISTVTAKVDLAVELLEDLLGIIQGARQSTSRARAALKYTILALSGHMDDVLAKYKEFKHKLLFLLEMLEPFLDPAITAMNNTIAFGDVSGVFLEKQERTCVIALNIIRTALLRPAVLPSLESEWRRGSVAPSILLTVLGPHIQLPPEIDLCKCSASKIVDQETSLLPSNSSQHSYGDPSKSCSLDEFDRKNEVSEATTKIDVYEDANYLFAPRELKSMALTSVGNYFIGNCPDKTSAELIHDTAEKVSGDQLQNALTLDNGFYVKYFNLQADYLQVVNYQDCEARASEYQHLAMDLSAQYDINPESHDAVIDALLLAAECYVNPFFMMSFRPASKSINQMKNIKYKMNQNDDVVELKKVFERYNNGLEQVAHLESKRDKTVLHILLQAARLDEEYERNMSKGELHTYDYKGNEQCIDISPFDIQSLDAVTLVRQNQYLLCQFVMKQLRRERCSHEVLLQSLLFLLHSATELSCPPEDVVDIILCSAENLNMLITSLYDQLKEGKTHLDTEKLRGTQRHWVLLQRLVTASSGSVEGTDFISHTRNGFQYRSLVPPSSWIQKISKFSDCPFALARFLGWMAVSRHAQQYLKDRLFLVSDLSQLSSLLSIFADDLALVGNVKDQKLEILSAEQSNTKQYLQVGKELGPSDHSDSEDSFHILYPDLHKFFPKMKNQFHLFGESILGAVGMQLKCFPSNALPDVLCWFSDLCLSLYPEPKKDSLPILNQADCLKGHVSMNVKAILLYILESIVGEHMEAMLPEMPRVAQILISICRSSYCDVPFLDSVLCLLRPLISYFLRKVTFDEKLLTDVVSGSDFDLENFKELFEFLRLKEENQDSLRGKEIQGSLMIFTLGSLFCDLSFRRKSEVLQSLLSWVDFSTSEPTSSFYNYLHAFQKVTDSCNVLLAQSIESFGISILVEKQLSSEIDTTLNVGGNSTGPSGFQRNAGQSSLSKSDRKGSNGGKTGFFDQGVHCLSPDEMYKLFGHLELLISKLIPSVEICWSLHCKLAMKLTFALAKCFMYSTCLASIIQATKKDSDGDGGEICLSESRDLLSRHWVVALEGLSKFIVAIQQNRNWQAASAMIEYLLRLPQDFSLDCVIGNVCSVIKSFCCHAPRFSWRLQSDKWLLSLFTRGIGNIDGNEGSVVNLFHAMLGHSEPEQRAVALQHLGRIIGLSTNDEMARVFYRLRQSLVGADSDISIPESFLSILVSTTWDLVATLALTDSSLLLRRHAMALLSGYIPFAKRGQLQSFLIASNTIIRGIGRFSHSMEEVHLTRLSLCLLASVCLYSPAEDIALIPESVWENLESMGMSRSGELDLMEKNLCSALCKIRNEPVDAKEVLKGALSSSSTAKQIDPNFEGTRQTILQVLSSLTSVQSYFDFFSKKIEQDVQELEEAEIEMELLQKEKAMEEVSASSKLETAESHEITYDLEDNNRLQKIKDEIQSLEKAKIREEIVGRRQKKLLMRRARQKFLEEAALKEMKLLQDLDRERASELEHEIERERSLELERAKTRELQYNLDMERERQTQRELQREIEQVESGIRPSRRDFSSNTTRPRERYRESGRPGQEGSLRASSRGHEGGSSAQAATSGPNMTSQAPTVVLAGARSFSGQLPTILQPRDRAEERSGGYDDNLEGSRDSGDTGSVGDPELSSAFDGFGSASRHGSRGGKSRQVTDRRDRDGRGKWERKHN >DRNTG_01671.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23857377:23872837:1 gene:DRNTG_01671 transcript:DRNTG_01671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELEPRVKPLGFKIKAMSRESPAQKAAHVLDPDLRSHWSTGTNTKEWILLELDEPCLLSQIRIYNKSVLEWEITAGLRYKPESFIKVRPRCESPRREVMYPMNYTPCRYVRISCLRGNPIAIFFIQLIGVSVAGLEPEFQPVVNYLLPHIISHKHETHDMHLQLLQDITNRLLVFLPQLETDLTNFTDTAESSIRYLAMLVGPFYPILRIVNEREAAKTLVNSSDSDIFRNNQISTLMVSSNFEAQPRRSRSPSHFTQTASSSIAFRPDAVIMLLRKAYKDFHLGIVCRLASRALQKLVEPGTSLEEYPATDLATSGVSDELAKVDSLGHLPLMDYSSLFGEEFKILDDRYDTSYLNVLDIASVEEGILHVLYACASQPDLCCKLADTNFDLWSTLPLVQALLPALRPPISSPPDQVDDSLWQWKHPFVQQALTQIVAMASSSAYRPLLHACAGYLSSFMSSHAKTACVLIDLCCGPLSPWISTVTAKVDLAVELLEDLLGIIQGARQSTSRARAALKYTILALSGHMDDVLAKYKEFKHKLLFLLEMLEPFLDPAITAMNNTIAFGDVSGVFLEKQERTCVIALNIIRTALLRPAVLPSLESEWRRGSVAPSILLTVLGPHIQLPPEIDLCKCSASKIVDQETSLLPSNSSQHSYGDPSKSCSLDEFDRKNEVSEATTKIDVYEDANYLFAPRELKSMALTSVGNYFIGNCPDKTSAELIHDTAEKVSGDQLQNALTLDNGFYVKYFNLQADYLQVVNYQDCEARASEYQHLAMDLSAQYDINPESHDAVIDALLLAAECYVNPFFMMSFRPASKSINQMKNIKYKMNQNDDVVELKKVFERYNNGLEQVAHLESKRDKTVLHILLQAARLDEEYERNMSKGELHTYDYKGNEQCIDISPFDIQSLDAVTLVRQNQYLLCQFVMKQLRRERCSHEVLLQSLLFLLHSATELSCPPEDVVDIILCSAENLNMLITSLYDQLKEGKTHLDTEKLRGTQRHWVLLQRLVTASSGSVEGTDFISHTRNGFQYRSLVPPSSWIQKISKFSDCPFALARFLGWMAVSRHAQQYLKDRLFLVSDLSQLSSLLSIFADDLALVGNVKDQKLEILSAEQSNTKQYLQVGKELGPSDHSDSEDSFHILYPDLHKFFPKMKNQFHLFGESILGAVGMQLKCFPSNALPDVLCWFSDLCLSLYPEPKKDSLPILNQADCLKGHVSMNVKAILLYILESIVGEHMEAMLPEMPRVAQILISICRSSYCDVPFLDSVLCLLRPLISYFLRKVTFDEKLLTDVVSGSDFDLENFKELFEFLRLKEENQDSLRGKEIQGSLMIFTLGSLFCDLSFRRKSEVLQSLLSWVDFSTSEPTSSFYNYLHAFQKVTDSCNVLLAQSIESFGISILVEKQLSSEIDTTLNVGGNSTGPSGFQRNAGQSSLSKSDRKGSNGGKTGFFDQGVHCLSPDEMYKLFGHLELLISKLIPSVEICWSLHCKLAMKLTFALAKCFMYSTCLASIIQATKKDSDGDGGEICLSESRDLLSRHWVVALEGLSKFIVAIQQNRNWQAASAMIEYLLRLPQDFSLDCVIGNVCSVIKSFCCHAPRFSWRLQSDKWLLSLFTRGIGNIDGNEGSVVNLFHAMLGHSEPEQRAVALQHLGRIIGLSTNDEMARVFYRLRQSLVGADSDISIPESFLSILVSTTWDLVATLALTDSSLLLRRHAMALLSGYIPFAKRGQLQSFLIASNTIIRGIGRFSHSMEEVHLTRLSLCLLASVCLYSPAEDIALIPESVWENLESMGMSRSGELDLMEKNLCSALCKIRNEPVDAKEVLKGALSSSSTAKQIDPNFEGTRQTILQVLSSLTSVQSYFDFFSKKIEQDVQELEEAEIEMELLQKEKAMEEVSASSKLETAESHEITYDLEDNNRLQKIKDEIQSLEKAKIREEIVGRRQKKLLMRRARQKFLEEAALKEMKLLQDLDRERASELEHEIERERSLELERAKTRELQYNLDMERERQTQRELQREIEQVESGIRPSRRDFSSNTTRPRERYRESGRPGQEGSLRASSRGHEGGSSAQAATSGPNMTSQAPTVVLAGARSFSGQLPTILQPRDRAEERSGGYDDNLEGSRDSGDTGSVGDPELSSAFDGFGSASRHGSRGGKSRQVTDRRDRDGRGKWERKHN >DRNTG_01671.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23862381:23872837:1 gene:DRNTG_01671 transcript:DRNTG_01671.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTCMQAQPRRSRSPSHFTQTASSSIAFRPDAVIMLLRKAYKDFHLGIVCRLASRALQKLVEPGTSLEEYPATDLATSGVSDELAKVDSLGHLPLMDYSSLFGEEFKILDDRYDTSYLNVLDIASVEEGILHVLYACASQPDLCCKLADTNFDLWSTLPLVQALLPALRPPISSPPDQVDDSLWQWKHPFVQQALTQIVAMASSSAYRPLLHACAGYLSSFMSSHAKTACVLIDLCCGPLSPWISTVTAKVDLAVELLEDLLGIIQGARQSTSRARAALKYTILALSGHMDDVLAKYKEFKHKLLFLLEMLEPFLDPAITAMNNTIAFGDVSGVFLEKQERTCVIALNIIRTALLRPAVLPSLESEWRRGSVAPSILLTVLGPHIQLPPEIDLCKCSASKIVDQETSLLPSNSSQHSYGDPSKSCSLDEFDRKNEVSEATTKIDVYEDANYLFAPRELKSMALTSVGNYFIGNCPDKTSAELIHDTAEKVSGDQLQNALTLDNGFYVKYFNLQADYLQVVNYQDCEARASEYQHLAMDLSAQYDINPESHDAVIDALLLAAECYVNPFFMMSFRPASKSINQMKNIKYKMNQNDDVVELKKVFERYNNGLEQVAHLESKRDKTVLHILLQAARLDEEYERNMSKGELHTYDYKGNEQCIDISPFDIQSLDAVTLVRQNQYLLCQFVMKQLRRERCSHEVLLQSLLFLLHSATELSCPPEDVVDIILCSAENLNMLITSLYDQLKEGKTHLDTEKLRGTQRHWVLLQRLVTASSGSVEGTDFISHTRNGFQYRSLVPPSSWIQKISKFSDCPFALARFLGWMAVSRHAQQYLKDRLFLVSDLSQLSSLLSIFADDLALVGNVKDQKLEILSAEQSNTKQYLQVGKELGPSDHSDSEDSFHILYPDLHKFFPKMKNQFHLFGESILGAVGMQLKCFPSNALPDVLCWFSDLCLSLYPEPKKDSLPILNQADCLKGHVSMNVKAILLYILESIVGEHMEAMLPEMPRVAQILISICRSSYCDVPFLDSVLCLLRPLISYFLRKVTFDEKLLTDVVSGSDFDLENFKELFEFLRLKEENQDSLRGKEIQGSLMIFTLGSLFCDLSFRRKSEVLQSLLSWVDFSTSEPTSSFYNYLHAFQKVTDSCNVLLAQSIESFGISILVEKQLSSEIDTTLNVGGNSTGPSGFQRNAGQSSLSKSDRKGSNGGKTGFFDQGVHCLSPDEMYKLFGHLELLISKLIPSVEICWSLHCKLAMKLTFALAKCFMYSTCLASIIQATKKDSDGDGGEICLSESRDLLSRHWVVALEGLSKFIVAIQQNRNWQAASAMIEYLLRLPQDFSLDCVIGNVCSVIKSFCCHAPRFSWRLQSDKWLLSLFTRGIGNIDGNEGSVVNLFHAMLGHSEPEQRAVALQHLGRIIGLSTNDEMARVFYRLRQSLVGADSDISIPESFLSILVSTTWDLVATLALTDSSLLLRRHAMALLSGYIPFAKRGQLQSFLIASNTIIRGIGRFSHSMEEVHLTRLSLCLLASVCLYSPAEDIALIPESVWENLESMGMSRSGELDLMEKNLCSALCKIRNEPVDAKEVLKGALSSSSTAKQIDPNFEGTRQTILQVLSSLTSVQSYFDFFSKKIEQDVQELEEAEIEMELLQKEKAMEEVSASSKLETAESHEITYDLEDNNRLQKIKDEIQSLEKAKIREEIVGRRQKKLLMRRARQKFLEEAALKEMKLLQDLDRERASELEHEIERERSLELERAKTRELQYNLDMERERQTQRELQREIEQVESGIRPSRRDFSSNTTRPRERYRESGRPGQEGSLRASSRGHEGGSSAQAATSGPNMTSQAPTVVLAGARSFSGQLPTILQPRDRAEERSGGYDDNLEGSRDSGDTGSVGDPELSSAFDGFGSASRHGSRGGKSRQVTDRRDRDGRGKWERKHN >DRNTG_30154.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3340452:3342258:1 gene:DRNTG_30154 transcript:DRNTG_30154.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYALSGRQWDHLFERKVAFTRKKDFGKEARELKWAHAQRTLNGLQPPDTKMFNDRTNLDDPNRMAEDSKRRAEIARLRELNTLKGYMESVIRNKGINIETIEKSYTV >DRNTG_30154.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3338836:3342258:1 gene:DRNTG_30154 transcript:DRNTG_30154.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNMYPSSALLGNNKDNSVAALPVDELIEKADGFAGVFPEHKYEIVERLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFATGIILGGYLAIMTVIFFWAAYKTDFFPRVFHVESLQETAQGDTQKLASAIYLQVSIISQALIFVTRSRNWSFVERPGLLLVTAFVIAQLIATAIAVYANWGFTAIKGIGWGWAGIIWLYNFIFYFPLDIIKFMIRYALSGRQWDHLFERKVAFTRKKDFGKEARELKWAHAQRTLNGLQPPDTKMFNDRTNLDDPNRMAEDSKRRAEIARLRELNTLKGYMESVIRNKGINIETIEKSYTV >DRNTG_30154.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3337971:3342258:1 gene:DRNTG_30154 transcript:DRNTG_30154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVPEGRKESSGGPWQFVGLLPLFDPPRHDSAETIQKALNLGVSVKMITGDQLAIGKETGRRLGMGSNMYPSSALLGNNKDNSVAALPVDELIEKADGFAGVFPEHKYEIVERLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFATGIILGGYLAIMTVIFFWAAYKTDFFPRVFHVESLQETAQGDTQKLASAIYLQVSIISQALIFVTRSRNWSFVERPGLLLVTAFVIAQLIATAIAVYANWGFTAIKGIGWGWAGIIWLYNFIFYFPLDIIKFMIRYALSGRQWDHLFERKVAFTRKKDFGKEARELKWAHAQRTLNGLQPPDTKMFNDRTNLDDPNRMAEDSKRRAEIARLRELNTLKGYMESVIRNKGINIETIEKSYTV >DRNTG_30154.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3339096:3342258:1 gene:DRNTG_30154 transcript:DRNTG_30154.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFATGIILGGYLAIMTVIFFWAAYKTDFFPRVFHVESLQETAQGDTQKLASAIYLQVSIISQALIFVTRSRNWSFVERPGLLLVTAFVIAQLIATAIAVYANWGFTAIKGIGWGWAGIIWLYNFIFYFPLDIIKFMIRYALSGRQWDHLFERKVAFTRKKDFGKEARELKWAHAQRTLNGLQPPDTKMFNDRTNLDDPNRMAEDSKRRAEIARLRELNTLKGYMESVIRNKGINIETIEKSYTV >DRNTG_11251.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21823409:21823680:1 gene:DRNTG_11251 transcript:DRNTG_11251.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALEVAMENKSIKGVRHLCDSGITEIPEKYIFPISDRLSRSKIITEDNKLTLPVIDLSLLQTPRHRVEALELLSNACKTFGFFRV >DRNTG_11251.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21824173:21827352:1 gene:DRNTG_11251 transcript:DRNTG_11251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMEAVFESLGLGLGLEMKREFEEGSQMMVMNCFPACPEPELTMGMPAHSDYGFLTLLVQDDVEGLQVQFEDEWITVQPLPDSILVNVGDHLEIFSNGKYKSVLHRVFVNSSKSRISIASLHSLPFEKVVSPSPFLINEENSKALHGH >DRNTG_11251.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21823409:21824605:1 gene:DRNTG_11251 transcript:DRNTG_11251.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEVAMENKSIKGVRHLCDSGITEIPEKYIFPISDRLSRSKIITEDNKLTLPVIDLSLLQTPRHRVEALELLSNACKTFGFFRVVNHGMPRELIRRTMSVAKGFFELSFDERSKYMSNDIRSPVRYGTSFNENNDGVFSWRDFLKLNCQPLNSCFPHWPSSPIDLREVGKEYAKENKRVFMVIMEAVFESLGLGLGLEMKREFEEGSQMMVMNCFPACPEPELTMGMPAHSDYGFLTLLVQDDVEGLQVQFEDEWITVQPLPDSILVNVGDHLEVGVISF >DRNTG_17311.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31887563:31891771:-1 gene:DRNTG_17311 transcript:DRNTG_17311.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-galactosyltransferase HPGT1 [Source:Projected from Arabidopsis thaliana (AT5G53340) UniProtKB/Swiss-Prot;Acc:Q94F27] MQSRGSSHRLPGLALRSPISSFMLAMLATMASVYVAGRLWQDAENRVYLIKELDRRTGKRQTAISVDESLKMVACRDQHKKLAALEMELAAARHEGYVSKYGYGSESSDTNGAPSKKRLLAVIGILTGFESKNGRDAIRKSWVPSGAELKKLEEDKGVVVRFVIGRSANRGDSMDRAIDDENKHSKDFIVLDNVVEASEEVPKKTRLFLAHAVDTWDADFYVKVNDNIYVNIDSLGAMLSAHVDKPRVYLGCMKSGEVFSDSNHKWFEPDWWKFGDGKSYFRHASGEMFVISRALAQFISINRDILRTYAHDDVSVGSWFIGLDVNHVDEGKLCCSSWTSGAICSAV >DRNTG_22278.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21833871:21838074:-1 gene:DRNTG_22278 transcript:DRNTG_22278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGFVPFLLLLFLEGTTGRSLYPLPSKIENKYKQPLQTFRPYNIAHRGSNGEIPEETSFAYMRAIEEGADFIETDILASKDGALICFHDVTLDDTTDIAKHKDFAHRKRTYEVQGSNVTGFFVVDFTLAELKSLRVKQRYKFRDQQYNGKFSIITFEEFIAIALDANRIVGIYPEIKNPVHINEHVKWADGKKFEDKFVETLLKYGYKGAYMSDNWLKQPVFIQSFAPTSLIHASKLTDSPKIFLIDDVTIPTQDTNQSYWEITSDSYLEFIKEYVIGIGPWKDTIVPTHNNYLSVATDLVARAHAHNLQVHPYTFRNENMFLHFDFHEDAYAEFDYWVKTVGVDGLFTDFTGSLHQYQEWTTPVPGKDDKNSSALLHKIALLILSQDKV >DRNTG_06907.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14231360:14249341:-1 gene:DRNTG_06907 transcript:DRNTG_06907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKSKHPRLYHDECCKRLGSFARLSLIQESRRLCETVTTVRLSSLQGSKRLCKVATTTRELEPLSSFHRQVGGGHHHISTHSKSCYELKSYKKGLVVSNLDYVVGLTVIEEFKHKSKQASINRCSETGGNRGGISRHLGGSKSFVEHAMDLSKTFREDTHRLDIFS >DRNTG_13834.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18119:23431:-1 gene:DRNTG_13834 transcript:DRNTG_13834.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKDPGSVDEGDEAIAVADTGEHSPVLRREPSFSRWCDEEGIVRSLPGSRRNSTADESEDFELPLLRGDAAGSETGVGESEGQRLGGFHQLKVDAAFDVENGMVEKLEALKQSARSSVSVANVIKILFFILVWYTFSTCLTLYNKILLGHHMGNFPAPLLMNTIHFTMQAALSNIIIWFQSKGSDSSKVMSWRDYFIVVVPTSLATALDINLSNASLVSISVTFATMCKSAGPIFLLLFAFAFRLESPSFKLFGIMLVISSGVLLTVSKETEFEFWGFVFVMLAAVMSGFRWCMTQILLQA >DRNTG_13834.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15498:23431:-1 gene:DRNTG_13834 transcript:DRNTG_13834.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKDPGSVDEGDEAIAVADTGEHSPVLRREPSFSRWCDEEGIVRSLPGSRRNSTADESEDFELPLLRGDAAGSETGVGESEGQRLGGFHQLKVDAAFDVENGMVEKLEALKQSARSSVSVANVIKILFFILVWYTFSTCLTLYNKILLGHHMGNFPAPLLMNTIHFTMQAALSNIIIWFQSKGSDSSKVMSWRDYFIVVVPTSLATALDINLSNASLVSISVTFATMCKSAGPIFLLLFAFAFRLESPSFKLFGIMLVISSGVLLTVSKETEFEFWGFVFVMLAAVMSGFRWCMTQILLQA >DRNTG_13834.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20165:23431:-1 gene:DRNTG_13834 transcript:DRNTG_13834.12 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKDPGSVDEGDEAIAVADTGEHSPVLRREPSFSRWCDEEGIVRSLPGSRRNSTADESEDFELPLLRGDAAGSETGVGESEGQRLGGFHQLKVDAAFDVENGMVEKLEALKQSARSSVSVANVIKILFFILVWYTFSTCLTLYNKILLGHHMGNFPAPLLMNTIHFTMQAALSNIIIWFQSKGSDSSKVMSWRDYFIVVVPTSLATALDINLSNASLVSISVTFATMCKSAGPIFLLLFAFAFR >DRNTG_13834.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20165:23463:-1 gene:DRNTG_13834 transcript:DRNTG_13834.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKDPGSVDEGDEAIAVADTGEHSPVLRREPSFSRWCDEEGIVRSLPGSRRNSTADESEDFELPLLRGDAAGSETGVGESEGQRLGGFHQLKVDAAFDVENGMVEKLEALKQSARSSVSVANVIKILFFILVWYTFSTCLTLYNKILLGHHMGNFPAPLLMNTIHFTMQAALSNIIIWFQSKGSDSSKVMSWRDYFIVVVPTSLATALDINLSNASLVSISVTFATMCKSAGPIFLLLFAFAFR >DRNTG_13834.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15498:18773:-1 gene:DRNTG_13834 transcript:DRNTG_13834.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTPLMAAMTLVLSLMVEPWHDLKISHYFNSSWHIIQSSLLMLLGGMLAFFMVLTEYMLVSMTSSVTVTIAGVVKEAVTILVAVLYFHDKFTWLKGLGLLIIMVGVSLFNWYKYLKLKRSHPSEAAERSSTVSNSPGKYVILDELDLVDDR >DRNTG_13834.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18414:23431:-1 gene:DRNTG_13834 transcript:DRNTG_13834.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKDPGSVDEGDEAIAVADTGEHSPVLRREPSFSRWCDEEGIVRSLPGSRRNSTADESEDFELPLLRGDAAGSETGVGESEGQRLGGFHQLKVDAAFDVENGMVEKLEALKQSARSSVSVANVIKILFFILVWYTFSTCLTLYNKILLGHHMGNFPAPLLMNTIHFTMQAALSNIIIWFQSKGSDSSKVMSWRDYFIVVVPTSLATALDINLSNASLVSISVTFATMCKSAGPIFLLLFAFAFRLESPSFKLFGIMLVISSGVLLTVSKETEFEFWGFVFVMLAAVMSGFRWCMTQILLQA >DRNTG_13834.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17305:18773:-1 gene:DRNTG_13834 transcript:DRNTG_13834.16 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTPLMAAMTLVLSLMVEPWHDLKISHYFNSSWHIIQSSLLMLLGGMLAFFMVLTEYMLVSMTSSVTVTIAGVVKEAVTILVAVLYFHDKFTWLKGLGLLIIMVGVSLFNWYK >DRNTG_13834.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15498:18208:-1 gene:DRNTG_13834 transcript:DRNTG_13834.14 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSMTSSVTVTIAGVVKEAVTILVAVLYFHDKFTWLKGLGLLIIMVGVSLFNWYKYLKLKRSHPSEAAERSSTVSNSPGKYVILDELDLVDDR >DRNTG_13834.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15498:18773:-1 gene:DRNTG_13834 transcript:DRNTG_13834.11 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTPLMAAMTLVLSLMVEPWHDLKISHYFNSSWHIIQSSLLMLLGGMLAFFMVLTEYMLVSMTSSVTVTIAGVVKEAVTILVAVLYFHDKFTWLKGLGLLIIMVGVSLFNWYKYLKLKRSHPSEAAERSSTVSNSPGKYVILDELDLVDDR >DRNTG_13834.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15498:23431:-1 gene:DRNTG_13834 transcript:DRNTG_13834.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKDPGSVDEGDEAIAVADTGEHSPVLRREPSFSRWCDEEGIVRSLPGSRRNSTADESEDFELPLLRGDAAGSETGVGESEGQRLGGFHQLKVDAAFDVENGMVEKLEALKQSARSSVSVANVIKILFFILVWYTFSTCLTLYNKILLGHHMGNFPAPLLMNTIHFTMQAALSNIIIWFQSKGSDSSKVMSWRDYFIVVVPTSLATALDINLSNASLVSISVTFATMCKSAGPIFLLLFAFAFRLESPSFKLFGIMLVISSGVLLTVSKETEFEFWGFVFVMLAAVMSGFRWCMTQILLQA >DRNTG_13834.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15498:23431:-1 gene:DRNTG_13834 transcript:DRNTG_13834.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKDPGSVDEGDEAIAVADTGEHSPVLRREPSFSRWCDEEGIVRSLPGSRRNSTADESEDFELPLLRGDAAGSETGVGESEGQRLGGFHQLKVDAAFDVENGMVEKLEALKQSARSSVSVANVIKILFFILVWYTFSTCLTLYNKILLGHHMGNFPAPLLMNTIHFTMQAALSNIIIWFQSKGSDSSKVMSWRDYFIVVVPTSLATALDINLSNASLVSISVTFATMCKSAGPIFLLLFAFAFRLESPSFKLFGIMLVISSGVLLTVSKETEFEFWGFVFVMLAAVMSGFRWCMTQILLQKESYGLKNPIRLMGNVTPLMAAMTLVLSLMVEPWHDLKISHYFNSSWHIIQSSLLMLLGGMLAFFMVLTEYMLVSMTSSVTVTIAGVVKEAVTILVAVLYFHDKFTWLKGLGLLIIMVGVSLFNWYKYLKLKRSHPSEAAERSSTVSNSPGKYVILDELDLVDDR >DRNTG_13834.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15498:23431:-1 gene:DRNTG_13834 transcript:DRNTG_13834.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKDPGSVDEGDEAIAVADTGEHSPVLRREPSFSRWCDEEGIVRSLPGSRRNSTADESEDFELPLLRGDAAGSETGVGESEGQRLGGFHQLKVDAAFDVENGMVEKLEALKQSARSSVSVANVIKILFFILVWYTFSTCLTLYNKILLGHHMGNFPAPLLMNTIHFTMQAALSNIIIWFQSKGSDSSKVMSWRDYFIVVVPTSLATALDINLSNASLVSISVTFATMCKSAGPIFLLLFAFAFRLESPSFKLFGIMLVISSGVLLTVSKETEFEFWGFVFVMLAAVMSGFRWCMTQILLQKESYGLKNPIRLMGNVTPLMAAMTLVLSLMVEPWHDLKISHYFNSSWHIIQSSLLMLLGGMLAFFMVLTEYMLVSMTSSVTVTIAGVVKEAVTILVAVLYFHDKFTWLKGLGLLIIMVGVSLFNWYKYLKLKRSHPSEAAERSSTVSNSPGKYVILDELDLVDDR >DRNTG_13834.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15498:23431:-1 gene:DRNTG_13834 transcript:DRNTG_13834.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKDPGSVDEGDEAIAVADTGEHSPVLRREPSFSRWCDEEGIVRSLPGSRRNSTADESEDFELPLLRGDAAGSETGVGESEGQRLGGFHQLKVDAAFDVENGMVEKLEALKQSARSSVSVANVIKILFFILVWYTFSTCLTLYNKILLGHHMGNFPAPLLMNTIHFTMQAALSNIIIWFQSKGSDSSKVMSWRDYFIVVVPTSLATALDINLSNASLVSISVTFATMCKSAGPIFLLLFAFAFRLESPSFKLFGIMLVISSGVLLTVSKETEFEFWGFVFVMLAAVMSGFRWCMTQILLQA >DRNTG_13834.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17305:19476:-1 gene:DRNTG_13834 transcript:DRNTG_13834.15 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTPLMAAMTLVLSLMVEPWHDLKISHYFNSSWHIIQSSLLMLLGGMLAFFMVLTEYMLVSMTSSVTVTIAGVVKEAVTILVAVLYFHDKFTWLKGLGLLIIMVGVSLFNWYK >DRNTG_13834.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15498:19476:-1 gene:DRNTG_13834 transcript:DRNTG_13834.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTPLMAAMTLVLSLMVEPWHDLKISHYFNSSWHIIQSSLLMLLGGMLAFFMVLTEYMLVSMTSSVTVTIAGVVKEAVTILVAVLYFHDKFTWLKGLGLLIIMVGVSLFNWYKYLKLKRSHPSEAAERSSTVSNSPGKYVILDELDLVDDR >DRNTG_13834.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15498:18208:-1 gene:DRNTG_13834 transcript:DRNTG_13834.13 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSMTSSVTVTIAGVVKEAVTILVAVLYFHDKFTWLKGLGLLIIMVGVSLFNWYKYLKLKRSHPSEAAERSSTVSNSPGKYVILDELDLVDDR >DRNTG_04942.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000231.1:27670:28067:1 gene:DRNTG_04942 transcript:DRNTG_04942.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNTRKSHRKTASQSKTTPLQTKPVKEMKPNGLNKKVAVLIQEKTKGRQKGANFVFASSQRTSVAATIVTTTFFSL >DRNTG_28372.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2347081:2349270:-1 gene:DRNTG_28372 transcript:DRNTG_28372.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTGAIHFAAQKGHLEVVRILLSFGVSVKAANRKGMTPLHYAAQGSHLELVKYLIRKGASLSVRVKIWANTTGPWRK >DRNTG_28372.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2347081:2349270:-1 gene:DRNTG_28372 transcript:DRNTG_28372.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTGAIHFAAQKGHLEVVRILLSFGVSVKAANRKGMTPLHYAAQGSHLELVKYLIRKGASLSVRVKIWANTTGPWRK >DRNTG_28372.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2347081:2349270:-1 gene:DRNTG_28372 transcript:DRNTG_28372.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTGAIHFAAQKGHLEVVRILLSFGVSVKAANRKGMTPLHYAAQGSHLELVKYLIRKGASLSVRVKIWANTTGPWRK >DRNTG_28372.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2346999:2349270:-1 gene:DRNTG_28372 transcript:DRNTG_28372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTGAIHFAAQKGHLEVVRILLSFGVSVKAANRKGMTPLHYAAQGSHLELVKYLIRKGASLSVRVKIWANTTGPWRK >DRNTG_00286.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1997138:2000231:-1 gene:DRNTG_00286 transcript:DRNTG_00286.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASTFLYISRKADRDRQLRIKVEMFLASYKTTKPTRFNYAGIKKITKRFKNKLGQGGFGSVYKGELPNGIPVAVKMLEIRPTGEGGDFINEVSTIGRIHHVNVVRLLGFCAEGSRRALVYEFMPNESLEKYIFSRDADGNRPFRMEKLLEIATGIARGVEYLHQGCDQRILHFDIKPHNILLDYEFNPKISDFGLAKLCSRDQSIVTMTAVRGTRGYIAPEIYSRNFGAVSYKSDVYSFGMLVLEMVGGRKNIDPSVEKTDEIYFPEWVYEQLIGEQNFRVAIDMMNNEEETVRKLVIVALWCIQWSPTDRPTMTRVVQMLIGSLESLELPPRPFVSSSLPPLSEE >DRNTG_00286.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1997138:2000231:-1 gene:DRNTG_00286 transcript:DRNTG_00286.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLSLTLILLFFLATAAGDGGGTGHGGGEVSEDFSKQCPTTKCGNVDIRFPFRLNSSDSHCGLKGFVLSCASDEAFLTLRHDHGPFKVNSIDYKSQSISIDVHGFWPPCPMKNMSAIKLSDEYFSLFGSTEVSFISCSKEFTPNAEEDRISGPISCLASRGDEFVYVVDQSESMDKLPLDCMVISTDGEIGDPDINSRRDSFNSVVDSFFHSSEVSMVWYNGYGCFEEEPGGSSDCKKSRICSKCEQQDKQCGLDLKRDACCINVDGHKHLDTWVKLIAGLASCIIFFFMVVASTFLYISRKADRDRQLRIKVEMFLASYKTTKPTRFNYAGIKKITKRFKNKLGQGGFGSVYKGELPNGIPVAVKMLEIRPTGEGGDFINEVSTIGRIHHVNVVRLLGFCAEGSRRALVYEFMPNESLEKYIFSRDADGNRPFRMEKLLEIATGIARGVEYLHQGCDQRILHFDIKPHNILLDYEFNPKISDFGLAKLCSRDQSIVTMTAVRGTRGYIAPEIYSRNFGAVSYKSDVYSFGMLVLEMVGGRKNIDPSVEKTDEIYFPEWVYEQLIGEQNFRVAIDMMNNEEETVRKLVIVALWCIQWSPTDRPTMTRVVQMLIGSLESLELPPRPFVSSSLPPLSEE >DRNTG_00286.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1997138:2000231:-1 gene:DRNTG_00286 transcript:DRNTG_00286.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASTFLYISRKADRDRQLRIKVEMFLASYKTTKPTRFNYAGIKKITKRFKNKLGQGGFGSVYKGELPNGIPVAVKMLEIRPTGEGGDFINEVSTIGRIHHVNVVRLLGFCAEGSRRALVYEFMPNESLEKYIFSRDADGNRPFRMEKLLEIATGIARGVEYLHQGCDQRILHFDIKPHNILLDYEFNPKISDFGLAKLCSRDQSIVTMTAVRGTRGYIAPEIYSRNFGAVSYKSDVYSFGMLVLEMVGGRKNIDPSVEKTDEIYFPEWVYEQLIGEQNFRVAIDMMNNEEETVRKLVIVALWCIQWSPTDRPTMTRVVQMLIGSLESLELPPRPFVSSSLPPLSEE >DRNTG_13511.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21960203:21962977:-1 gene:DRNTG_13511 transcript:DRNTG_13511.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANPMLICTSLRFSFCSKTWRLAISSQNRSSARATPAVSADAQTSCTNGSSANNITARSRLTGRTPTPKRTRVGARKPVGVVGNLARVVLRAKRSGRRRKEREKSRGWEAILLLDLFSFYSN >DRNTG_20731.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17827407:17828240:1 gene:DRNTG_20731 transcript:DRNTG_20731.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGQWEGCLRSDIYTLEMVSLIERTQPDFLPPSGESLRQVEFRMIEFLNKTVLGLPQRLTSGDALMHQNDAKGFSRHSSTNSVQERDTAHMDLVHRLNRPGMQRKISGKSRLQFMTTGENDMEDEFSPREAIQSTGRNPATSIGIFTHATPIKCLLTGILNCSPVMSQKLCVDDSSITVLQHSLRTGWQVKRLNDTAHLRLL >DRNTG_33279.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23874556:23876874:1 gene:DRNTG_33279 transcript:DRNTG_33279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKRGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMTKSAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKDNNGVHAQEALRRAKFKFPGRQKIIVSRKWGFTKFSRADYVRWKEEGRIVSDGVNAKLLGCHGPLANRQPGKAFMTAVSSSA >DRNTG_24927.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001317.1:22087:22435:-1 gene:DRNTG_24927 transcript:DRNTG_24927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALARACGKYPRLCTRSTRAAALPCGSTIQARRITKCFTRPYGNP >DRNTG_32475.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001840.1:9152:11218:1 gene:DRNTG_32475 transcript:DRNTG_32475.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHMASTTSPNFLSPVDIKLNGSNYKEWYTTLRIILLGYELLGHVDGTSSLPKETDSTSTSWFTSDHRTFAPCPSSVSPMRLTFAWRLGIF >DRNTG_32475.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001840.1:9152:14283:1 gene:DRNTG_32475 transcript:DRNTG_32475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHMASTTSPNFLSPVDIKLNGSNYKEWYTTLRIILLGYELLGHVDGTSSLPKETDSTSTSWFTSDHRTFAPCPSSVSPMRLTFAWRLGIF >DRNTG_35438.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9924294:9928367:1 gene:DRNTG_35438 transcript:DRNTG_35438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRVLDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYIQHYGVEVGRKKKAPATSAKKETTEDGEAAAAEEETKKSNHVLRKLEKRQEGRTLDPHIEEQFGGGRLLACISSRPGQCGRADGYILEGKELEFYMKKIQRKKGKGGAGAA >DRNTG_33423.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18816718:18817317:-1 gene:DRNTG_33423 transcript:DRNTG_33423.7 gene_biotype:protein_coding transcript_biotype:protein_coding AARLTGGDHSPLFSYSKPNSDKSENFTRRPSDTFLDTTWTVNGEA >DRNTG_33423.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18816718:18817264:-1 gene:DRNTG_33423 transcript:DRNTG_33423.11 gene_biotype:protein_coding transcript_biotype:protein_coding AARLTGGDHSPLFSYSKPNSDKSENFTRRPSDTFLDTTWTVNGEA >DRNTG_33423.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18816718:18817317:-1 gene:DRNTG_33423 transcript:DRNTG_33423.6 gene_biotype:protein_coding transcript_biotype:protein_coding HPWGSSRRHVSPAVTTAHFFHTRNQILINQRTSQEDHQILFLIPLGLSMEKLD >DRNTG_33423.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18816666:18817317:-1 gene:DRNTG_33423 transcript:DRNTG_33423.3 gene_biotype:protein_coding transcript_biotype:protein_coding AARLTGGDHSPLFSYSKPNSDKSENFTRRPSDTFLDTTWTVNGEA >DRNTG_33423.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18816465:18817264:-1 gene:DRNTG_33423 transcript:DRNTG_33423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSNEDEDDFLKEEKKEMRERLVMSLVEFGEGLREGRD >DRNTG_33423.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18816718:18817264:-1 gene:DRNTG_33423 transcript:DRNTG_33423.10 gene_biotype:protein_coding transcript_biotype:protein_coding AARLTGGDHSPLFSYSKPNSDKSENFTRRPSDTFLDTTWTVNGEA >DRNTG_33423.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18816666:18817264:-1 gene:DRNTG_33423 transcript:DRNTG_33423.9 gene_biotype:protein_coding transcript_biotype:protein_coding AARLTGGDHSPLFSYSKPNSDKSENFTRRPSDTFLDTTWTVNGEA >DRNTG_33423.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18816666:18817264:-1 gene:DRNTG_33423 transcript:DRNTG_33423.8 gene_biotype:protein_coding transcript_biotype:protein_coding AARLTGGDHSPLFSYSKPNSDKSENFTRRPSDTFLDTTWTVNGEA >DRNTG_33423.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18816666:18817317:-1 gene:DRNTG_33423 transcript:DRNTG_33423.2 gene_biotype:protein_coding transcript_biotype:protein_coding AARLTGGDHSPLFSYSKPNSDKSENFTRRPSDTFLDTTWTVNGEA >DRNTG_33423.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18816629:18817264:-1 gene:DRNTG_33423 transcript:DRNTG_33423.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSNEDEDDFLKEEKKEMRERLVMSLVEFG >DRNTG_33423.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18816629:18817264:-1 gene:DRNTG_33423 transcript:DRNTG_33423.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSNEDEDDFLKEEKKEMRERLVMSLVEFG >DRNTG_23840.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29412344:29414162:-1 gene:DRNTG_23840 transcript:DRNTG_23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEEEGQKSKQRKCCPLRRSRKGCMKGKGGPENQRCTYRGVRQRTWGKWVAEIREPNRGARLWLGTFNTSFEAAVAYDGAARALYGPCARLNLPESKTQISQPQTLSFSGSCSGSVSSSPVETSTANAAAVACCGGGGGGESEGMSGGGRDWEEFLMKLPKAEDMGLGRV >DRNTG_00140.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2361213:2364313:-1 gene:DRNTG_00140 transcript:DRNTG_00140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVMIKLGGVFNKINPLVPVDLVIAIQFKLMWQNQNMQFNQIWNLSSSTTRKELRF >DRNTG_00140.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2360423:2361056:-1 gene:DRNTG_00140 transcript:DRNTG_00140.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLYPNSVGTDSHTNMIDRLGGTGWGVDGKEAEVAMLGQLQIERVYSSYLELNLEDVNFG >DRNTG_00140.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2356646:2360666:-1 gene:DRNTG_00140 transcript:DRNTG_00140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFIFSNGLQKYLNKLGFHIVGYGCTTCIGNSGDLDEIVSSAISESDIVAAAGLSGLWK >DRNTG_00140.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2356646:2364313:-1 gene:DRNTG_00140 transcript:DRNTG_00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYPNSVGTDSHTNMIDRLGGTGWGVDGKEAEVAMLGQLQIERVYSSYLELNLEDVNFG >DRNTG_00140.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2363670:2364313:-1 gene:DRNTG_00140 transcript:DRNTG_00140.4 gene_biotype:protein_coding transcript_biotype:protein_coding FLLSHWPRSGPLPFLLTASNVALSPWDFTGVPAVVDLACMRDVMIKLGGVFNKINPLVSSGFSGLLVNLIRYDLAMSNHLYFLFYVLCLCVCV >DRNTG_31502.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19897458:19898681:1 gene:DRNTG_31502 transcript:DRNTG_31502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPLGRTINSFFSTIEHCFTIKPSKHHPTTSYINYYQRSSFDMPKGRPLSLQTTVLKVRMCCAGCERVVKNAILHLRGVDSVEVDLAMEKVTVTGYIDRNKILKAVRRSGKKAEFWPNPDIPLYFTSAKNYWRDEESFRESYNYYRHGYNGDKHGNVPVPHRGEDPVSNMFNDDDVNACSVM >DRNTG_27869.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7853803:7855543:1 gene:DRNTG_27869 transcript:DRNTG_27869.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLATIQAATGNFAVENKLGEGGFGSVYKGVLNNGTEIAVKRLSAKSKQGAIEFENEVKLIAKLQHRNLVKMLGWCAEREEKLLIYEYLPNKSLDALLFDSEKRVQLDWNRRLQIIGGIARGLLYLHEDSLLKVIHRDLKASNVLLDNKMTPKISDFGMARIFGGDESEANTNRVVGTYGYMAPEFAMGGLFSAKSDVYSFGVLVLEIVTGQRNGREHFEEHDQTLIRNIWHLWVEGRAVELMDPLLEGSYPINEAMKCIKIGLLCVQENTEVRPTMSLVVHMLRSVDETVFPEPSQPPTFMRQRSSVSNGSSSSIGSQATLVHSINDVTNSEVQAR >DRNTG_27869.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7853803:7855543:1 gene:DRNTG_27869 transcript:DRNTG_27869.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVCICYLIILFLLFDAYMVSNIPFFLFSERRRKQFEDYRPVTSNDLPFMDLATIQAATGNFAVENKLGEGGFGSVYKGVLNNGTEIAVKRLSAKSKQGAIEFENEVKLIAKLQHRNLVKMLGWCAEREEKLLIYEYLPNKSLDALLFDSEKRVQLDWNRRLQIIGGIARGLLYLHEDSLLKVIHRDLKASNVLLDNKMTPKISDFGMARIFGGDESEANTNRVVGT >DRNTG_27869.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7853803:7855543:1 gene:DRNTG_27869 transcript:DRNTG_27869.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCICYLIILFLLFDAYMVSNIPFFLFSERRRKQFEDYRPVTSNDLPFMDLATIQAATGNFAVENKLGEGGFGSVYKGVLNNGTEIAVKRLSAKSKQGAIEFENEVKLIAKLQHRNLVKMLGWCAEREEKLLIYEYLPNKSLDALLFDSEKRVQLDWNRRLQIIGGIARGLLYLHEDSLLKVIHRDLKASNVLLDNKMTPKISDFGMARIFGGDESEANTNRVVGTYGYMAPEFAMGGLFSAKSDVYSFGVLVLEIVTGQRNGREHFEEHDQTLIRNIWHLWVEGRAVELMDPLLEGSYPINEAMKCIKIGLLCVQENTEVRPTMSLVVHMLRSVDETVFPEPSQPPTFMRQRSSVSNGSSSSIGSQATLVHSINDVTNSEVQAR >DRNTG_25795.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2829856:2832964:-1 gene:DRNTG_25795 transcript:DRNTG_25795.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNNKELQQSLLEMKEERDQYRAEMMRQMKDMMMSFERRILQQSQFTT >DRNTG_30214.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:54439:60458:1 gene:DRNTG_30214 transcript:DRNTG_30214.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWFFFSMVLGVVCWVCEVGSVGGIGANWGTQTSNPLPPSTVVQMLRDNGFQKVKLFDAEDGTLSALKNSGIEVMVGIPNDMLASLASDMKSAENWVSKNVSGYVSDGVNIRYIAVGNEPFLTTYNGSFLQTTLPALQNIQGALIKAGLSSQVKVTVPLNADVYESPDGKPSSGDFRDDIRQLMLNIVKFLSDNGGPFTVNIYPFISLYDDPNFPVDYAFFQGTSSPVVDGNATYNNMFDANHDTLIAALQKNGFGNLPVIIGEIGWPTDGDINANLQYAQRFNQGFMDHISTGQGTPLRPGPIDAYLFSLIDEDKKSIQPGNFERHWGIFTYDGIPKYQLNLRTTNNGALVRAKNVKYLNKQWCVLKPSVSLDDPNLAPSVSYACANGDCTSLGYKTSCSDLDVRGNISYAFNSYYQINDQQDAACNFQGLATITNKDPSTTTCRFGIMIDNESAASLSLAQFRHYDPVFRFGFYVFFPLLLAWL >DRNTG_30214.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:54343:59429:1 gene:DRNTG_30214 transcript:DRNTG_30214.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWFFFSMVLGVVCWVCEVGSVGGIGANWGTQTSNPLPPSTVVQMLRDNGFQKVKLFDAEDGTLSALKNSGIEVMVGIPNDMLASLASDMKSAENWVSKNVSGYVSDGVNIRYIAVGNEPFLTTYNGSFLQTTLPALQNIQGALIKAGLSSQVKVTVPLNADVYESPDGKPSSGDFRDDIRQLMLNIVKFLSDNGGPFTVNIYPFISLYDDPNFPVDYAFFQGTSSPVVDGNATYNNMFDANHDTLIAALQKNGFGNLPVIIGEIGWPTDGDINANLQYAQRFNQGFMDHISTGQGTPLRPGPIDAYLFSLIDEDKKSIQPGNFERHWGIFTYDGIPKYQLNLRTTNNGALVRAKNVKYLNKQWCVLKPSVSLDDPNLAPSVSYACANGDCTSLGYKTSCSDLDVRGNISYAFNSYYQINDQQDAACNFQGLATITNKDPSTTTCRFGIMIDNESAASLSLAQFRHYDPVFRFGFYVFFPLLLAWL >DRNTG_30214.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:54567:59429:1 gene:DRNTG_30214 transcript:DRNTG_30214.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWFFFSMVLGVVCWVCEVGSVGGIGANWGTQTSNPLPPSTVVQMLRDNGFQKVKLFDAEDGTLSALKNSGIEVMVGIPNDMLASLASDMKSAENWVSKNVSGYVSDGVNIRYIAVGNEPFLTTYNGSFLQTTLPALQNIQGALIKAGLSSQVKVTVPLNADVYESPDGKPSSGDFRDDIRQLMLNIVKFLSDNGGPFTVNIYPFISLYDDPNFPVDYAFFQGTSSPVVDGNATYNNMFDANHDTLIAALQKNGFGNLPVIIGEIGWPTDGDINANLQYAQRFNQGFMDHISTGQGTPLRPGPIDAYLFSLIDEDKKSIQPGNFERHWGIFTYDGIPKYQLNLRTTNNGALVRAKNVKYLNKQWCVLKPSVSLDDPNLAPSVSYACANGDCTSLGYKTSCSDLDVRGNISYAFNSYYQINDQQDAACNFQGLATITNKDPSTTTCRFGIMIDNESAASLSLAQFRHYDPVFRFGFYVFFPLLLAWL >DRNTG_30214.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:54439:59429:1 gene:DRNTG_30214 transcript:DRNTG_30214.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWFFFSMVLGVVCWVCEVGSVGGIGANWGTQTSNPLPPSTVVQMLRDNGFQKVKLFDAEDGTLSALKNSGIEVMVGIPNDMLASLASDMKSAENWVSKNVSGYVSDGVNIRYIAVGNEPFLTTYNGSFLQTTLPALQNIQGALIKAGLSSQVKVTVPLNADVYESPDGKPSSGDFRDDIRQLMLNIVKFLSDNGGPFTVNIYPFISLYDDPNFPVDYAFFQGTSSPVVDGNATYNNMFDANHDTLIAALQKNGFGNLPVIIGEIGWPTDGDINANLQYAQRFNQGFMDHISTGQGTPLRPGPIDAYLFSLIDEDKKSIQPGNFERHWGIFTYDGIPKYQLNLRTTNNGALVRAKNVKYLNKQWCVLKPSVSLDDPNLAPSVSYACANGDCTSLGYKTSCSDLDVRGNISYAFNSYYQINDQQDAACNFQGLATITNKDPSTTTCRFGIMIDNESAASLSLAQFRHYDPVFRFGFYVFFPLLLAWL >DRNTG_30214.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:54162:59429:1 gene:DRNTG_30214 transcript:DRNTG_30214.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWFFFSMVLGVVCWVCEVGSVGGIGANWGTQTSNPLPPSTVVQMLRDNGFQKVKLFDAEDGTLSALKNSGIEVMVGIPNDMLASLASDMKSAENWVSKNVSGYVSDGVNIRYIAVGNEPFLTTYNGSFLQTTLPALQNIQGALIKAGLSSQVKVTVPLNADVYESPDGKPSSGDFRDDIRQLMLNIVKFLSDNGGPFTVNIYPFISLYDDPNFPVDYAFFQGTSSPVVDGNATYNNMFDANHDTLIAALQKNGFGNLPVIIGEIGWPTDGDINANLQYAQRFNQGFMDHISTGQGTPLRPGPIDAYLFSLIDEDKKSIQPGNFERHWGIFTYDGIPKYQLNLRTTNNGALVRAKNVKYLNKQWCVLKPSVSLDDPNLAPSVSYACANGDCTSLGYKTSCSDLDVRGNISYAFNSYYQINDQQDAACNFQGLATITNKDPSTTTCRFGIMIDNESAASLSLAQFRHYDPVFRFGFYVFFPLLLAWL >DRNTG_30214.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:54162:60458:1 gene:DRNTG_30214 transcript:DRNTG_30214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWFFFSMVLGVVCWVCEVGSVGGIGANWGTQTSNPLPPSTVVQMLRDNGFQKVKLFDAEDGTLSALKNSGIEVMVGIPNDMLASLASDMKSAENWVSKNVSGYVSDGVNIRYIAVGNEPFLTTYNGSFLQTTLPALQNIQGALIKAGLSSQVKVTVPLNADVYESPDGKPSSGDFRDDIRQLMLNIVKFLSDNGGPFTVNIYPFISLYDDPNFPVDYAFFQGTSSPVVDGNATYNNMFDANHDTLIAALQKNGFGNLPVIIGEIGWPTDGDINANLQYAQRFNQGFMDHISTGQGTPLRPGPIDAYLFSLIDEDKKSIQPGNFERHWGIFTYDGIPKYQLNLRTTNNGALVRAKNVKYLNKQWCVLKPSVSLDDPNLAPSVSYACANGDCTSLGYKTSCSDLDVRGNISYAFNSYYQINDQQDAACNFQGLATITNKDPSTTTCRFGIMIDNESAASLSLAQFRHYDPVFRFGFYVFFPLLLAWL >DRNTG_30214.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:54246:59429:1 gene:DRNTG_30214 transcript:DRNTG_30214.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWFFFSMVLGVVCWVCEVGSVGGIGANWGTQTSNPLPPSTVVQMLRDNGFQKVKLFDAEDGTLSALKNSGIEVMVGIPNDMLASLASDMKSAENWVSKNVSGYVSDGVNIRYIAVGNEPFLTTYNGSFLQTTLPALQNIQGALIKAGLSSQVKVTVPLNADVYESPDGKPSSGDFRDDIRQLMLNIVKFLSDNGGPFTVNIYPFISLYDDPNFPVDYAFFQGTSSPVVDGNATYNNMFDANHDTLIAALQKNGFGNLPVIIGEIGWPTDGDINANLQYAQRFNQGFMDHISTGQGTPLRPGPIDAYLFSLIDEDKKSIQPGNFERHWGIFTYDGIPKYQLNLRTTNNGALVRAKNVKYLNKQWCVLKPSVSLDDPNLAPSVSYACANGDCTSLGYKTSCSDLDVRGNISYAFNSYYQINDQQDAACNFQGLATITNKDPSTTTCRFGIMIDNESAASLSLAQFRHYDPVFRFGFYVFFPLLLAWL >DRNTG_30214.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:54343:60458:1 gene:DRNTG_30214 transcript:DRNTG_30214.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWFFFSMVLGVVCWVCEVGSVGGIGANWGTQTSNPLPPSTVVQMLRDNGFQKVKLFDAEDGTLSALKNSGIEVMVGIPNDMLASLASDMKSAENWVSKNVSGYVSDGVNIRYIAVGNEPFLTTYNGSFLQTTLPALQNIQGALIKAGLSSQVKVTVPLNADVYESPDGKPSSGDFRDDIRQLMLNIVKFLSDNGGPFTVNIYPFISLYDDPNFPVDYAFFQGTSSPVVDGNATYNNMFDANHDTLIAALQKNGFGNLPVIIGEIGWPTDGDINANLQYAQRFNQGFMDHISTGQGTPLRPGPIDAYLFSLIDEDKKSIQPGNFERHWGIFTYDGIPKYQLNLRTTNNGALVRAKNVKYLNKQWCVLKPSVSLDDPNLAPSVSYACANGDCTSLGYKTSCSDLDVRGNISYAFNSYYQINDQQDAACNFQGLATITNKDPSTTTCRFGIMIDNESAASLSLAQFRHYDPVFRFGFYVFFPLLLAWL >DRNTG_05900.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18628056:18635694:-1 gene:DRNTG_05900 transcript:DRNTG_05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITSNLIVFSHVSSVPKSRCLGIFSSSRLDRRGFGTASFGGLSGGAWTVIGFHPPKVAITAANEEIYDPELRSVLELATDEEFYFSPLLKSITSRPDVDYIKGEEEIERRDDFIAQLEARFLFLAADARHTLSQETGSSSFSWEDVGFSDGDGSLETGLSRWKVHALASLRVGAKELQQIILKFANKLSGKMLLEAANCEIKREIIRRGGQLAAINLESRAALLAARQGLARAASRYLGLRSMMMLVGPLLWGTLLADVVIQNLGTDYARILRAIYAFAQIRLTRTYGWSSSDK >DRNTG_27566.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3153995:3154237:1 gene:DRNTG_27566 transcript:DRNTG_27566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEDFRQWLTKVVDRNHDGRISISELRHALKGMGYNMAAWKGFWGVVSNDLNHNGYIDTEVEILALASYAARVWGITIV >DRNTG_07551.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21668516:21669167:-1 gene:DRNTG_07551 transcript:DRNTG_07551.1 gene_biotype:protein_coding transcript_biotype:protein_coding QKLSKSTRYQEDTDNMASNQDNHEVHNLLTSLNNHQEIGRKNAGESGNSVNIITLAGANQGASMRSARMDHEMVNDNGVLYGFEDKLIMKACTNSNFQAVTNCLMLKGRCTAGDPGVHLEFSEDFVDVHGDDKEKRNESIIR >DRNTG_10197.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000427.1:8661:12570:1 gene:DRNTG_10197 transcript:DRNTG_10197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEAMKSWGKTSNTNLHSRRTIVILDEGDDALHIRVALKNGDGLLRCRNYGQRPRSRAGGGRAEVEPERLASEERGAKWEVSGGWR >DRNTG_19872.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001079.1:14751:15240:1 gene:DRNTG_19872 transcript:DRNTG_19872.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLKLSSSRKRAALEAKYQKLYEPLYGKRYEIVNGEVEVECVESETTEGTVAADNAIEVKGVPDFWLTAMKNHELLAEEVREYHAFDFSMHVCYGGNE >DRNTG_13647.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15793588:15802191:-1 gene:DRNTG_13647 transcript:DRNTG_13647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRRLALRFARCRASQRDPGIEMVKTCARGVGLLSPEVPRSL >DRNTG_15024.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23782073:23785924:-1 gene:DRNTG_15024 transcript:DRNTG_15024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILGDALRQAFMPKREYDSLRDEDKAWVRLQRPMVAALTGAIAFSSVIAVSVSLSIVFPRDVNRRPFCKHRRIQALPVNATQEPDLYGYHGAFYLTDEEAADYYWMVVFVPSAIVFLASALYLLAGMIVAYYAPRRHPFLKVVENNYCASKRGGVRCLSILNVVFAVIFGLMALFLGSSILTLGSSCSIPLFWCYEIASWGLVMMYGGTAFFLRRKAAAILDETDFAGRNLGLEMSESALEVTPDMERRVTEGFKAWMGSSLLSSDEEDGPDDYIEEGRPLMTN >DRNTG_31007.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30410036:30410663:-1 gene:DRNTG_31007 transcript:DRNTG_31007.1 gene_biotype:protein_coding transcript_biotype:protein_coding CEFILPCVSKGFINLATLVLKDITISDEYFESLISNCPLLRKLVFSDFYGCSHLKVNAPNLKELFVNGFFEDIDLENTPSLATLSVGLEDGEEEDHDNEEDFEDEFAQNGEYHNFKKSLSGVLKIERLELQTNMFEPKAAY >DRNTG_01048.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17706330:17708182:1 gene:DRNTG_01048 transcript:DRNTG_01048.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKIFTCTLTSIHQSWLWWWEGSTKCDEFSRSVLTFSIALFAILWCTWRYASSKKHKPVLPPGPGGLPLVGSLPFLGPNLHQCLAELSRSYGPIMKLRLGTKLCFIISSSSMAKEIYKENDIIFSNHDLTIAARIISYGGANLVRCPYGPTWRAMKRVFNADLLSNKNLDLCKDLREREIQRMVTELYVKAGIAVNVGELAFATSLNLLESMLWGDRHKCEAIESDFQQLVMETINLLTRPNISDFFPLVAWFDVRGIEQRMRKCISRLDRIYEDIMGRRMNLDGVEDGNAGATVGKDFLSILLRVMNDDNPKKPISCTNIKALITDIMVGGTSTMSTTVEWAMAELIHKPEIRKKVQEELDTVVGASKRVEESHISRLHYLRAVLKETLRLHPVVPLLVPRVPSQSCIVGGYEIPKGTRVMTNVWAIHRDPSVWDKPLDFYPERFLYNALADFSFFPFGSGRRKCAGLPLVEKVLPVIVATLMHFFEWRVPDGVELDFDEKFGVTLTKSNPLIAIPVPRFPSCKELYE >DRNTG_01048.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17706227:17708182:1 gene:DRNTG_01048 transcript:DRNTG_01048.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKIFTCTLTSIHQSWLWWWEGSTKCDEFSRSVLTFSIALFAILWCTWRYASSKKHKPVLPPGPGGLPLVGSLPFLGPNLHQCLAELSRSYGPIMKLRLGTKLCFIISSSSMAKEIYKENDIIFSNHDLTIAARIISYGGANLVRCPYGPTWRAMKRVFNADLLSNKNLDLCKDLREREIQRMVTELYVKAGIAVNVGELAFATSLNLLESMLWGDRHKCEAIESDFQQLVMETINLLTRPNISDFFPLVAWFDVRGIEQRMRKCISRLDRIYEDIMGRRMNLDGVEDGNAGATVGKDFLSILLRVMNDDNPKKPISCTNIKALITDIMVGGTSTMSTTVEWAMAELIHKPEIRKKVQEELDTVVGASKRVEESHISRLHYLRAVLKETLRLHPVVPLLVPRVPSQSCIVGGYEIPKGTRVMTNVWAIHRDPSVWDKPLDFYPERFLYNALADFSFFPFGSGRRKCAGLPLVEKVLPVIVATLMHFFEWRVPDGVELDFDEKFGVTLTKSNPLIAIPVPRFPSCKELYE >DRNTG_01048.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17706330:17708225:1 gene:DRNTG_01048 transcript:DRNTG_01048.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKIFTCTLTSIHQSWLWWWEGSTKCDEFSRSVLTFSIALFAILWCTWRYASSKKHKPVLPPGPGGLPLVGSLPFLGPNLHQCLAELSRSYGPIMKLRLGTKLCFIISSSSMAKEIYKENDIIFSNHDLTIAARIISYGGANLVRCPYGPTWRAMKRVFNADLLSNKNLDLCKDLREREIQRMVTELYVKAGIAVNVGELAFATSLNLLESMLWGDRHKCEAIESDFQQLVMETINLLTRPNISDFFPLVAWFDVRGIEQRMRKCISRLDRIYEDIMGRRMNLDGVEDGNAGATVGKDFLSILLRVMNDDNPKKPISCTNIKALITDIMVGGTSTMSTTVEWAMAELIHKPEIRKKVQEELDTVVGASKRVEESHISRLHYLRAVLKETLRLHPVVPLLVPRVPSQSCIVGGYEIPKGTRVMTNVWAIHRDPSVWDKPLDFYPERFLYNALADFSFFPFGSGRRKCAGLPLVEKVLPVIVATLMHFFEWRVPDGVELDFDEKFGVTLTKSNPLIAIPVPRFPSCKELYE >DRNTG_13279.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2654639:2661554:-1 gene:DRNTG_13279 transcript:DRNTG_13279.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDDEAAPKPTPHELLEECRTAMEEIAAKMFFTKKESLPKSDLRDLVTQMSLLFITLRQVNRTILLEEDRIKSETDRAKAPVDFTTLQLHNLMYEKNHYLKAIKACKDFRSKHQDIELVPEEEFFRSAPEDIKGKVLASDGAHDLMLKRLNFELFQRKELCKLREKLEQQKRSLVDTINSRKKFLSSLPSHLKSLKKASLPVQQQLGILHSKKQKQFQAAELLPPPLYIIYSQLLAQKEAFGERIEIEILGSVKDAHSFALQQANNDNGTVTNGENNRLEDGLDEDEDTQRRRKRSKKNVVKDGNDQAGVHQFHPLKIILCIYDDETLEDKALKLVNLRFEYSVKLNVVYVGVEDVEEGLDDDILCNLFPDDTGLTLPHQTALYAGGSVVFGERRKSYPYKWAQHLAGMDILPEAPPLQASSVTSNKTRQVSNGHSGLSLYRHQNRVQTVLQKIRSRKKAQMALV >DRNTG_13279.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2654639:2661554:-1 gene:DRNTG_13279 transcript:DRNTG_13279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDDEAAPKPTPHELLEECRTAMEEIAAKMFFTKKESLPKSDLRDLVTQMSLLFITLRQVNRTILLEEDRIKSETDRAKAPVDFTTLQLHNLMYEKNHYLKAIKACKDFRSKHQDIELVPEEEFFRSAPEDIKGKVLASDGAHDLMLKRLNFELFQRKELCKLREKLEQQKRSLVDTINSRKKFLSSLPSHLKSLKKASLPVQQQLGILHSKKQKQFQAAELLPPPLYIIYSQLLAQKEAFGERIEIEILGSVKDAHSFALQQANNDNGTVTNGENNRLEDGLDEDEDTQRRRKRSKKNVVKDGNDQAGVHQFHPLKIILCIYDDETLEDKALKLVNLRFEYSVKLNVVYVGVEDVEEGLDDDILCNLFPDDTGLTLPHQTALYAGGSVVFGERRKSYPYKWAQHLAGMDILPEAPPLQASSVTSNKTRQVSNGHSGLSLYRHQNRVQTVLQKIRSRKKAQMALVEQLSALRKLKWPFISYKNVPWALHTPYCTLHQWSLAGISDGSSSGVAVEQIIDPAGSDMDRRSAASWDEAEGAREDGELPLAVTVASSAEKPKTTSSSGFSDLEHSRSFSLLAKNLTPKKIKSQHLLVHKDEDDLELMIDSESDVEEQVLDQETEILRTGSEKPWEDFAAREFHLVLTRKDKTEQIVMLEAKVKISIEYPLRPPLFQLKLSGDDRQGSWHNELRAMEAEVNLHILRHLPLDYENYILAHQVHCLAMLFDFQFESRPDKRKSSVIDVGLCEPVSGSIVSRSFRGRDRRKIISWKGIGCTLGYPY >DRNTG_11698.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:385414:385890:-1 gene:DRNTG_11698 transcript:DRNTG_11698.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTKEMEEETKKRGCMVEWVPQEEVLAHRAVGCFLTHCGWNSTLEGMVAGVPMICWPYFSDQMINSRFVSDVWRIGLDMKDVCDRNIVERMVREVMEGESALELRSSAARMADLARKSIDENGTSRANFE >DRNTG_11698.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:385414:386140:-1 gene:DRNTG_11698 transcript:DRNTG_11698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKQEMEVTKEMEEETKKRGCMVEWVPQEEVLAHRAVGCFLTHCGWNSTLEGMVAGVPMICWPYFSDQMINSRFVSDVWRIGLDMKDVCDRNIVERMVREVMEGESALELRSSAARMADLARKSIDENGTSRANFE >DRNTG_21940.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2150333:2154482:1 gene:DRNTG_21940 transcript:DRNTG_21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHLHLLLLLLLLLFIPFPSHSSDLRSLLEFKKGIVSDASGLVLSSWNPPPSNLSCPTSFHGVVCDLSGSSVIAISLSGLGLAGELKLATLSSLKSLRNLSLSNNSFSGRLVPSLGSITTLQLLDLSSNHFYGPVPARITELWDLTHLNLSHNQLTGGIPAGFQNLQQLRTLDLNSNGLWGDVGQSLSELRNVELLDLSSNGFYGAIALEPGNFSLGNTVRYMNLSGNQISGEFFSSDSIVMFRTLEVLDVSGNQIGGQLPKLESLTNMKVFKAGNNRLFGPVPVELFGDRMPLLELHLNANAFSGSVSSINSTTLKVLNLSSNSLGGSLPASLGSCTTLDLSKNMLSGDLSAIQEWKDTLEVIDLSSNTISGSFPNNTAQFGSLISIKLQNNSLAGVLPAVLGTYPRLTTLDLSLNELTGPILPSLLTSPTLTSLNLLGNHFTGSIPFQSLSSADSTDMTAHSPLEILDLSDNQFSGLLPPEVSNMKSLRVLNLRENTLSGELPVELSMLGGLEVLDLSVNQFKGRIPEMPQPGLMAYNVSYNDLSGVVPQTLQRFPESSFHPGNAFLVLPDTIGSRTNNTDINNGDAHRRHMKSSIQVGFIVGCVGAVVLIIFFFMAFYKIRSQEFCGRNGFGAQAFGRDVKQERFSGADMYTSQQKDDPAQISTSYSNAHLLTSTSRSMCPQRDLMSEMGEYGYSDPNELPELMKLDAVEHHSPKSPLPSSPLFTDTHSSEQSVVMDVYSPDRFVGELLTFDRSLVFTAEELSHAPAEVLGRSSHGTSYKATLGNGHVLTVKWLRVGLVKHKKDFIKEAKRLWSIRHPNIVPLRGYYWGRKEQERLIISDYIEGDSLTLYLYESTPRRYSRLTVNQRLKIAIDVARSLCYLHDEKNLPHGDLKPTNIILGLDFTARLTDFALHRFMTTTGVAEQVLNLGALGYRAPELATAAKPLPTFKADVYAFGVILMELLTRKSAGDIISGQPSAVDLTDWVRVCNRDGRGTECFDRDIAGLEGASKVMEELLALSLRCILPVTERPNMRAVLEDLCSIES >DRNTG_27669.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001403.1:26777:33864:-1 gene:DRNTG_27669 transcript:DRNTG_27669.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTLFTVHCAGCFNYLIADRHPDPKRTWIGAVMPDFREESLWNRYVTAMYWSITTLTTTGYGDLHAENTREMLFDISYMLFNLGLTAYLIGNMTNLVVHGTSRTRKFVCSLSCSSLHQVDQSSLLYFASSRFTCCNANQSRKIAGKP >DRNTG_26394.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18063044:18063848:-1 gene:DRNTG_26394 transcript:DRNTG_26394.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGFHPCSQSHSLLQTLEILLNSFSLRPGSTSTTRSRGPHASHSPLRSLKPPSKSSPSLSPSPQDLNFRRLTSRVIELTRRRQLRQVLNLFVLP >DRNTG_08420.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31035524:31038578:-1 gene:DRNTG_08420 transcript:DRNTG_08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRCEFWLPSKRRHCANAPLPNSSYCGNHDPSFIDLRVPCPIDPSHSVTKESLKSHLKRCPFAKQARDLESQPYFSEDINTSSADSEDEIVSSDAKRKAIHELTPLDFHHLITKIKSLHSTICSEMQVSLLIPERCSKWMNGMQERQVPFQEKHAVQQASIIGNMEEFGMISKPLGDCSGLHGEKGEGAAIVEFGAGRGYLAHMLADCYGFNKVFLIERRSYKLKADRSLRQKESLVFERLRIDIKDLNLRAIDSLKELPYLAIGKHLCGPATDLTIRCCLPDQSIPNKGLTFTGSYIQGLALATCCHHLCQWKHYINKDLFSKLGITKAVFHAITWFSSWAVDADHSSELTDMNDQGIHLTTRETEETGKEIRGVDEIIRKMPQLERAVLGFMCKEIIDMGRLFWLRERGLLDAQLRKYVPSNISPENHLLVAKQPA >DRNTG_08420.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31035524:31038578:-1 gene:DRNTG_08420 transcript:DRNTG_08420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFPTLRTVEIMIPPSSIFAFPALSIPLMTKESLKSHLKRCPFAKQARDLESQPYFSEDINTSSADSEDEIVSSDAKRKAIHELTPLDFHHLITKIKSLHSTICSEMQVSLLIPERCSKWMNGMQERQVPFQEKHAVQQASIIGNMEEFGMISKPLGDCSGLHGEKGEGAAIVEFGAGRGYLAHMLADCYGFNKVFLIERRSYKLKADRSLRQKESLVFERLRIDIKDLNLRAIDSLKELPYLAIGKHLCGPATDLTIRCCLPDQSIPNKGLTFTGSYIQGLALATCCHHLCQWKHYISDHSHLLVSTIKPFIHLFSLFWLIWFIYVYRKF >DRNTG_10537.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000444.1:1:1976:1 gene:DRNTG_10537 transcript:DRNTG_10537.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLNPFGPGGRSSQLSPTEGHPLAHSLYYGRQELLEHGGRIDHTGGERGHSATILSLSPKPCQSLSKP >DRNTG_21361.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19250694:19256079:-1 gene:DRNTG_21361 transcript:DRNTG_21361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKTIRRLEVVSPVPADIDIANSTSALHISDIADELGLSADHYDLYGRHKAKVLLSVLDQFEESPDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKVVTCLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDTRIFHEASQSDKALFNRLCPANKEGKRSFANVMFRRLKKLGISKTNPDELTPEEIKRFARLDIDPDSITWRRVMDVNDRFLRKITIGQGPEEKGMTRETAFDISVASEIMAVLALTTSLADMRERLGRMVIGNSRAGEPITADDLGVGGALTVLMKDAINPTLMQTLEGTPVLVHAGPFANIAHGNSSVVADKIALKLVGKGGFVVTEAGFGADIGTEKFMNIKCRYSGLTPQCAIIVATIRALKMHGGGPEVVAGKPLDHAYLSENVGLVEAGCVNLVRHICNTRAYGVNVVVAINKFASDSEAEMNVVRNAALAAGAFDAVVCTHHTHGGKGAVDLGIAVQRACENQTQSLNFLYPLDFSIKEKIEAIAKSYGASGVEYSEQAEKQIEMYTNQGFSNLPICMAKTQYSFSHVPSQKGAPEGFVLPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFFEIDIDIATGKVLGLS >DRNTG_32539.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20153097:20159239:1 gene:DRNTG_32539 transcript:DRNTG_32539.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit C [Source:Projected from Arabidopsis thaliana (AT1G12840) UniProtKB/Swiss-Prot;Acc:Q9SDS7] MAASRYWMVSLPVQNSASSLWSRLQESISKRSFDTPLYRFNVPNLRVGTLDSLLSLSDDLLKSNSFIEGVSHKIRRQIEELEKASGVEGGALTVDGVPVETYLTRFVWDEAKYPTMSPLRETVDNIHVQIAKIEDDLKVRAAEYNTVRSQLNAINRKQTGSLAVRELSDLVKPEDIITSEHLVTLLAVVPKYSQKDWLSSYETLATYVVPRSSKKLHEDNEYALYTVTLFRRIADNFKTSAREKGFQIRDFEYSPEAHDDRKKELQKLMQDLENMRSSLLQWCYASYGEVFSSWMHFCAVRIFAESILRYGLPPSFLSAVLAPSLKAEKKVRSILSELCGDASNSLWASEEDASLAGLGGEADAYPYVSFTINII >DRNTG_04041.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11529049:11563025:1 gene:DRNTG_04041 transcript:DRNTG_04041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDLCGKNETISGEFHRCDSDAVVHCTLNAMQDDAFSELFMLPPEKTNNGCKLTISCYRLTVYIGGRYLKFSRRVSQSRWMIEDERMGEASVEEIIGSNTILVCGGDSYKFHAAGREDIDVRMLGSGRPFLVEVSNARVMPSMANIQEIADKINNSNDKYVAVRNLKLVGSEAWNLLREGEAEKQKQYAAVVWISRPVTEEDFNKISSIKNLDVLQKTPVRVLHRRSPMDRKKIIHWMMVDKIVGTSQYFLLHLCTQAGTYIKEFVHGDLGRTHPSLGSILGCRAEILQLDVTDVKMDCFD >DRNTG_04041.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11529049:11563025:1 gene:DRNTG_04041 transcript:DRNTG_04041.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEEEATTFLSRAASSLPPPHAIRDLLFHGVCVRCIFRLFGVSEYDDSCPLLKASTLLSLLKERRNIPNAISENGSIANGLTQCPYDPHASGNEQPYCSVCLGILQFTNGHDQDLRTCEGNSVSVLTSAITEILKKEAHQIDGFSIEISLPPVVSANDRAVWLYMKRRYASEEWFIGKLLSQQISVKDALRSLVTTSLESCLGVKSSSSSFCIRLTYIHEEASSKLQCSMQKFHGFKIRKTETYCKEHMVDDLCGKNETISGEFHRCDSDAVVHCTLNAMQDDAFSELFMLPPEKTNNGCKLTISCYRLTVYIGGRYLKFSRRVSQSRWMIEDERMGEASVEEIIGSNTILVCGGDSYKFHAAGREDIDVRMLGSGRPFLVEVSNARVMPSMANIQEIADKINNSNDKYVAVRNLKLVGSEAWNLLREGEAEKQKQYAAVVWISRPVTEEDFNKISSIKNLDVLQKTPVRVLHRRSPMDRKKIIHWMMVDKIVGTSQYFLLHLCTQAGTYIKEFVHGDLGRTHPSLGSILGCRAEILQLDVTDVKMDCFD >DRNTG_31454.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:779385:783179:-1 gene:DRNTG_31454 transcript:DRNTG_31454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDASVQSDIKLWPFKVIPGPGDKPMIVVQYKGEDKQFAAEEISSMVLIKMREIAEAYLGSTVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKSSSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQDAEKYKSEDEEHKKKVESKNALENYAYNMRNTIKDDKIASKLDSADKKKIEDAIDGAIQWLDSNQLAEADEFDDKMKELEGICNPIIAKMYQGAGADMAGGMDEDGPSAAGAGGAGPKIEEVD >DRNTG_09603.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000402.1:15297:17520:1 gene:DRNTG_09603 transcript:DRNTG_09603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDMDSSSQQWGSQQEPDQHQNQAKVSKLQLMTDEQEIHASALPLFAATPINHTRTTSTPTLTPFPPDSSHTSRFSWGAGGGMVGLFSLAQWQELELQALIYKYMLAGAPVPLDLILPIRKSLLSAHPYYHHHQLQYQHFPTPAMLQSGYWGRCVIDPEPGRCRRTDGKKWRCSREVVPSHKYCERHVHRGRNRSRKHVEVLAPTPTTTTKDDDGDDNNNNNNNHFVPHHHHHHHQTRGTEDDGRQSYCTNYPDQNEGHVLRKFFNERPRSQGQQESISSASGGGGGGGSQQTLLSISIPGDVSLKLSTGSSKDNGDGGGGAHHNAQQSPANLNVNVNANANINRNWSEWGHGNENENNGETASGGPLAEALLRSSTSTASPTSVLQKPCGISASETSSISISS >DRNTG_18272.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1872493:1872971:-1 gene:DRNTG_18272 transcript:DRNTG_18272.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCLTTATKSPDNKSSPLCFPSSLFP >DRNTG_20088.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22680859:22681259:1 gene:DRNTG_20088 transcript:DRNTG_20088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYFGVLVLGKSIRDTGSTRDMVVLVSDGVS >DRNTG_20024.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001085.1:49197:50509:-1 gene:DRNTG_20024 transcript:DRNTG_20024.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEKSSKETKYEKSYFDVLGLCCTSEVPLIEKILKPLEGVEKVTIIVPSRTVIVVHDSLLISQLQIVEALNQARLEATIRTYGTGGRIMNKWPSPYILACGTLLIISVFHVFFRPLQWLAMAAVAVGVLPIILRSVAALRRFTLDINILMLIAVGGAIALRDYEEAGFIVFLFTIAEWLETMASHK >DRNTG_20024.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001085.1:45951:50509:-1 gene:DRNTG_20024 transcript:DRNTG_20024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEKSSKETKYEKSYFDVLGLCCTSEVPLIEKILKPLEGVEKVTIIVPSRTVIVVHDSLLISQLQIVEALNQARLEATIRTYGTGGRIMNKWPSPYILACGTLLIISVFHVFFRPLQWLAMAAVAVGVLPIILRSVAALRRFTLDINILMLIAVGGAIALRDYEEAGFIVFLFTIAEWLETMASHKATAGMSALMSMSPQKAILVETGQIVDARDVKIDTIVAVKAGEVIPIDGIVVDGHSEVDERTLTGESFPVAKLVQSQVWAGTLNIDGYISVRTTALAENSTVAKMARLV >DRNTG_20024.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001085.1:45951:47661:-1 gene:DRNTG_20024 transcript:DRNTG_20024.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNLEEGVTVGYVFCNATPIGIFTLSDTCRTGAAEAIRELKSLGVKTAILTGDSTTATFNAQNQLGNVIEMIHAELLPEDKVRIVGNLSRKKDQL >DRNTG_20024.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001085.1:45951:48439:-1 gene:DRNTG_20024 transcript:DRNTG_20024.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASALVQYARWKSVEPKPDNVSEFRIHAGEGIHGQIDGCSIYIGNKKITTRAGCPTVPMMNNLEEGVTVGYVFCNATPIGIFTLSDTCRTGAAEAIRELKSLGVKTAILTGDSTTATFNAQNQLGNVIEMIHAELLPEDKVRIVGNLSRKKDQL >DRNTG_17312.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31894333:31898460:1 gene:DRNTG_17312 transcript:DRNTG_17312.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRTKYETTARSWTQKYAMG >DRNTG_04103.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3458942:3464517:-1 gene:DRNTG_04103 transcript:DRNTG_04103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSSLTMALTNDRVIYHWSQDSYPRHSYEPTGWGSFYFDNDQWKKQYCEESINPTLERQKVQLFLEEYMETDGASLVGNFCSLNCQFDPVNVELRDSSDGPSQSISLVEEETDPTGSGGSEEMDYSQVSDLYSTGAEVLVTKYSLSSDNSSKAEDERVEKCTREPSREPLWLPLRPQGHPSMRVKKVAYKKARRDPSPPPNEPKFKNEEHKTRYALLSRKGFGTIRRIDWDVLKLLGLDGIILELISHSGWDKLFSIEEPTYKELTLEVLSTVEVAKHCPFTHQPSSISFRAFGKKHRVTQDHLGVLLGLYTEAYKLTLGFKDLSQDFPYPVTSEKYWASMATCWKTRKASQMSNPAHRYIHALLTRGIEGWLDSTEVVSRTDLLMLYSIIERHPLHMGHLVAEVLAHQGQFVRLGAIFTGPYITRMIRGMGLIERTQGMTVVGTITPLGMQTLVCIGIVAKRGGGYKLAQRHRTNAHDTGPSQDAESTSESESEPEQESRARRPSLKEHFEEFRTEVYQQLQVLEQGHRDLIASLSRIESNTANILTFLRSSSSSVGTTSAATTCVASSLPPDL >DRNTG_11719.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:571194:575152:1 gene:DRNTG_11719 transcript:DRNTG_11719.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGTLLGIIGFTIGVPLGLLLGFFIFIYSKPSKESKEPSIRPIHEFDSKELHALLPDIPFWIKNPDYDRVDWMNKFVSDMWPYLDKAICNTIRNTTKPIFDQYIGKFCIESIEFEHLTLGTLPPIFHGVKVYETQERELVMEPAIRWAGNPNIIISLKLLSLKLSIQLVDFQMFLLPRVTLKPLVPSFPCFANLKVSLTEKPHVDFGLIFFGADIMAIPGLYRFVQETIKEQVANLYHWPNTLEIPILDSSRATKKPVGILHVKIVRASNLRKMDILGKSDPYVKLSLTGERLPTKKTSIKMSNLNPEWNESFRLIVKDPETQVLELHVFDWEKVKAHDKLGMQTVPLSLLTPYETKELTLDLLKNMNPNDPHNKRNRGKIVLELTFNPFKEEHDRFSGFTVEGKNSIIRRSSTNLSSNGGVLSVTIENAEDVEGKHHSNPYALLLFRGEYKKTKVIKKSRDPRWNEAFQFMLEEEPIDEKIHIEVMSKRKGFNFYPK >DRNTG_11719.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:571194:575569:1 gene:DRNTG_11719 transcript:DRNTG_11719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGTLLGIIGFTIGVPLGLLLGFFIFIYSKPSKESKEPSIRPIHEFDSKELHALLPDIPFWIKNPDYDRVDWMNKFVSDMWPYLDKAICNTIRNTTKPIFDQYIGKFCIESIEFEHLTLGTLPPIFHGVKVYETQERELVMEPAIRWAGNPNIIISLKLLSLKLSIQLVDFQMFLLPRVTLKPLVPSFPCFANLKVSLTEKPHVDFGLIFFGADIMAIPGLYRFVQETIKEQVANLYHWPNTLEIPILDSSRATKKPVGILHVKIVRASNLRKMDILGKSDPYVKLSLTGERLPTKKTSIKMSNLNPEWNESFRLIVKDPETQVLELHVFDWEKVKAHDKLGMQTVPLSLLTPYETKELTLDLLKNMNPNDPHNKRNRGKIVLELTFNPFKEEHDRFSGFTVEGKNSIIRRSSTNLSSNGGVLSVTIENAEDVEGKHHSNPYALLLFRGEYKKTKVIKKSRDPRWNEAFQFMLEEEPIDEKIHIEVMSKRKGFNFYPKESLGHVEINLTDVVNNGRINERYHLINSRNGVIHVEIRWSTV >DRNTG_11719.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:575020:575152:1 gene:DRNTG_11719 transcript:DRNTG_11719.4 gene_biotype:protein_coding transcript_biotype:protein_coding KVIKKSRDPRWNEAFQFMLEEEPIDEKIHIEVMSKRKGFNFYPK >DRNTG_11719.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:571194:573842:1 gene:DRNTG_11719 transcript:DRNTG_11719.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGTLLGIIGFTIGVPLGLLLGFFIFIYSKPSKESKEPSIRPIHEFDSKELHALLPDIPFWIKNPDYDRVDWMNKFVSDMWPYLDKAICNTIRNTTKPIFDQYIGKFCIESIEFEHLTLGTLPPIFHGVKVYETQERELVMEPAIRWAGNPNIIISLKLLSLKLSIQLVDFQMFLLPRVTLKPLVPSFPCFANLKVSLTEKPHVDFGLIFFGADIMAIPGLYRFVQ >DRNTG_15343.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20739564:20740155:1 gene:DRNTG_15343 transcript:DRNTG_15343.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDPTAVTCSPPLPPDGLIRATDVMIASKALMECIPVLTLEDVISKVDIVVTTTGNKDIIMVDHMKKMKNNAIVCNIAHFDNDIDMLGLENYLGVKRITIKPQTDRWVFPEINSGVIVLC >DRNTG_17382.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8953051:8958236:1 gene:DRNTG_17382 transcript:DRNTG_17382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERTVVAGNSIVDGYVKSGDMDSAYRSFIEMPERDIVSWNCLIGGCVQNSLLNEALALFLELQLSGLQPDEQTMSIMLSAVSDIGLLAAGKMVHGYIMRRGLSLNGAVGVALTNMYTKCGSIGAASNVFRSIPAKNVGHWTSMIGGLAAHGLAEASLLLFSEMLCSSTKPNDITFVSVLSACSHAGLLNESLVCFDLMAHFGIRPSIEHYGCLVDVLGRSGFLQEALEIIDKLPMKPNMVIWSTLLASCRNHGNVEIAEIAAKNLIQIQPSYGGGYLLLSNLYARIGKRKDSAKLRMMMEESRAEKVHGFSSIEVNGSVHEFVVGDKYHVQTREIYEMLDEMKCNLLSAGYQPEACDLTYCRESIEEEDY >DRNTG_17382.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8953051:8954391:1 gene:DRNTG_17382 transcript:DRNTG_17382.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPERTVVAGNSIVDGYVKSGDMDSAYRSFIEMPERDIVSWNCLIGGCVQNSLLNEALALFLELQLSGLQPDEQTMSIMLSAVSDIGLLAAGKMVHGYIMRRGLSLNGAVGVALTNMYTKCGSIGAASNVFRSIPAKNVGHWTSMIGGLAAHGLAEASLLLFSEMLCSSTKPNDITFVSVLSACSHAGLLNESLVCFDLMAHFGIRPSIEHYGCLVDVLGRSGFLQEALEIIDKLPMKPNMVIWSTLLASCRNHGNVEIAEIAAKNLIQIQPSYGGGYLLLSNLYARIGKRKDSAKLRMMMEESRAEKVHGFSSIEVNGSVHEFVVGDKYHVQTREIYEMLDEMKCNLLSAGYQPEACDLTYCRESIEEEDY >DRNTG_17382.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8953051:8954527:1 gene:DRNTG_17382 transcript:DRNTG_17382.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPERTVVAGNSIVDGYVKSGDMDSAYRSFIEMPERDIVSWNCLIGGCVQNSLLNEALALFLELQLSGLQPDEQTMSIMLSAVSDIGLLAAGKMVHGYIMRRGLSLNGAVGVALTNMYTKCGSIGAASNVFRSIPAKNVGHWTSMIGGLAAHGLAEASLLLFSEMLCSSTKPNDITFVSVLSACSHAGLLNESLVCFDLMAHFGIRPSIEHYGCLVDVLGRSGFLQEALEIIDKLPMKPNMVIWSTLLASCRNHGNVEIAEIAAKNLIQIQPSYGGGYLLLSNLYARIGKRKDSAKLRMMMEESRAEKVHGFSSIEVNGSVHEFVVGDKYHVQTREIYEMLDEMKCNLLSAGYQPEACDLTYCRESIEEEDY >DRNTG_04231.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30339349:30340128:1 gene:DRNTG_04231 transcript:DRNTG_04231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMVDRKEEPLSSSATEYVISELQKVKGDNIDSVTSVNLRSACTVNVGLYGQAFENSDNEDEENQLDDKVDEDGMKKRRRTLTKERKEKKKKAKKRKRAQIEREQGVKRLKLQLVAKPKPVRYCEF >DRNTG_29814.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23273785:23274591:1 gene:DRNTG_29814 transcript:DRNTG_29814.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMVWHKVAAISGMAALGLGTFGAHLFRPQNPSYKEVWQTASLYHLVHTAALVAAPITKHPNIFGGLLTTGILAFSGTCYTVAYLEDRKYSTLAPFGGFAFIGAWASLLF >DRNTG_33177.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23485311:23490297:-1 gene:DRNTG_33177 transcript:DRNTG_33177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEKVEKIGEGTYGVVYKARDRLTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLQDVVHSEKRIYLVFEYLDLDLKKHMDSCPELAKDPRLVKILRGIAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRILGTPNEETWPGVSSLPDFKSAFPKWPPKDLATVVPNLEPTGIDLLSKMLRLEPSKRITARQALEHEYFKDLGLVP >DRNTG_21019.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:88958:96558:-1 gene:DRNTG_21019 transcript:DRNTG_21019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVALLPNDKLIIFDRTDFGLSNISLPAGRCRDDLNEQAVKHDCSAHSIEFNPKTHSVRPLTVLTDTWCSSGVVSADGVLIQTGGFNDGDHSVRYFSPCDTCDWEEIKNGLILKRWYASNALLPDGRIIVVGGRARFDYEFIPKASVYDLPFLRQTKDSSENNLYPFLHLLPDGNLFVFANTKSIVLDFKTNKVVREFPEIPGNAARNYPSSGSSVLLPLLLNNSEDINGIKAEIMICGGAEPSSNSKAMKGEFLPADDTCGRLVVTEKSPEWEMERMPMSRVMGDMVLLPTGDILIINGAGKGTAGWCMGREPVLHPVLYSPENKMFKVMDPTTIPRLYHSTAHLLSDGRVMVGGSNPNIKYEFSGVLFPTELSLEAYSPPYLFRGGRPRIVMVEPGLELRHGQRITLGFKVERGGGDKEVKVTMVVPGFTTHSFTMNQRVLVLKVVAVNKISGESYAVDAFVPAAAVVAPPGYYLLHVVHGGVPSRGKWVKIK >DRNTG_21019.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:88958:96558:-1 gene:DRNTG_21019 transcript:DRNTG_21019.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIIIISSLTLFLLLTSLSSSSALPQNGGKFKVLRRNIGISAMHVALLPNDKLIIFDRTDFGLSNISLPAGRCRDDLNEQAVKHDCSAHSIEFNPKTHSVRPLTVLTDTWCSSGVVSADGVLIQTGGFNDGDHSVRYFSPCDTCDWEEIKNGLILKRWYASNALLPDGRIIVVGGRARFDYEFIPKASVYDLPFLRQTKDSSENNLYPFLHLLPDGNLFVFANTKSIVLDFKTNKVVREFPEIPGNAARNYPSSGSSVLLPLLLNNSEDINGIKAEIMICGGAEPSSNSKAMKGEFLPADDTCGRLVVTEKSPEWEMERMPMSRVMGDMVLLPTGDILIINGAGKGTAGWCMGREPVLHPVLYSPENKMFKVMDPTTIPRLYHSTAHLLSDGRVMVGGSNPNIKYEFSGVLFPTELSLEAYSPPYLFRGGRPRIVMVEPGLELRHGQRITLGFKVERGGGDKEVKVTMVVPGFTTHSFTMNQRVLVLKVVAVNKISGESYAVDAFVPAAAVVAPPGYYLLHVVHGGVPSRGKWVKIK >DRNTG_21019.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:88872:90769:-1 gene:DRNTG_21019 transcript:DRNTG_21019.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIIIISSLTLFLLLTSLSSSSALPQNGGKFKVLRRNIGISAMHVALLPNDKLIIFDRTDFGLSNISLPAGRCRDDLNEQAVKHDCSAHSIEFNPKTHSVRPLTVLTDTWCSSGVVSADGVLIQTGGFNDGDHSVRYFSPCDTCDWEEIKNGLILKRWYASNALLPDGRIIVVGGRARFDYEFIPKASVYDLPFLRQTKDSSENNLYPFLHLLPDGNLFVFANTKSIVLDFKTNKVVREFPEIPGNAARNYPSSGSSVLLPLLLNNSEDINGIKAEIMICGGAEPSSNSKAMKGEFLPADDTCGRLVVTEKSPEWEMERMPMSRVMGDMVLLPTGDILIINGAGKGTAGWCMGREPVLHPVLYSPENKMFKVMDPTTIPRLYHSTAHLLSDGRVMVGGSNPNIKYEFSGVLFPTELSLEAYSPPYLFRGGRPRIVMVEPGLELRHGQRITLGFKVERGGGDKEVKVTMVVPGFTTHSFTMNQRVLVLKVVAVNKISGESYAVDAFVPAAAVVAPPGYYLLHVVHGGVPSRGKWVKIK >DRNTG_21019.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:88958:96558:-1 gene:DRNTG_21019 transcript:DRNTG_21019.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHVALLPNDKLIIFDRTDFGLSNISLPAGRCRDDLNEQAVKHDCSAHSIEFNPKTHSVRPLTVLTDTWCSSGVVSADGVLIQTGGFNDGDHSVRYFSPCDTCDWEEIKNGLILKRWYASNALLPDGRIIVVGGRARFDYEFIPKASVYDLPFLRQTKDSSENNLYPFLHLLPDGNLFVFANTKSIVLDFKTNKVVREFPEIPGNAARNYPSSGSSVLLPLLLNNSEDINGIKAEIMICGGAEPSSNSKAMKGEFLPADDTCGRLVVTEKSPEWEMERMPMSRVMGDMVLLPTGDILIINGAGKGTAGWCMGREPVLHPVLYSPENKMFKVMDPTTIPRLYHSTAHLLSDGRVMVGGSNPNIKYEFSGVLFPTELSLEAYSPPYLFRGGRPRIVMVEPGLELRHGQRITLGFKVERGGGDKEVKVTMVVPGFTTHSFTMNQRVLVLKVVAVNKISGESYAVDAFVPAAAVVAPPGYYLLHVVHGGVPSRGKWVKIK >DRNTG_21019.9.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:88958:90710:-1 gene:DRNTG_21019 transcript:DRNTG_21019.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIIIISSLTLFLLLTSLSSSSALPQNGGKFKVLRRNIGISAMHVALLPNDKLIIFDRTDFGLSNISLPAGRCRDDLNEQAVKHDCSAHSIEFNPKTHSVRPLTVLTDTWCSSGVVSADGVLIQTGGFNDGDHSVRYFSPCDTCDWEEIKNGLILKRWYASNALLPDGRIIVVGGRARFDYEFIPKASVYDLPFLRQTKDSSENNLYPFLHLLPDGNLFVFANTKSIVLDFKTNKVVREFPEIPGNAARNYPSSGSSVLLPLLLNNSEDINGIKAEIMICGGAEPSSNSKAMKGEFLPADDTCGRLVVTEKSPEWEMERMPMSRVMGDMVLLPTGDILIINGAGKGTAGWCMGREPVLHPVLYSPENKMFKVMDPTTIPRLYHSTAHLLSDGRVMVGGSNPNIKYEFSGVLFPTELSLEAYSPPYLFRGGRPRIVMVEPGLELRHGQRITLGFKVERGGGDKEVKVTMVVPGFTTHSFTMNQRVLVLKVVAVNKISGESYAVDAFVPAAAVVAPPGYYLLHVVHGGVPSRGKWVKIK >DRNTG_21019.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:88872:90710:-1 gene:DRNTG_21019 transcript:DRNTG_21019.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIIIISSLTLFLLLTSLSSSSALPQNGGKFKVLRRNIGISAMHVALLPNDKLIIFDRTDFGLSNISLPAGRCRDDLNEQAVKHDCSAHSIEFNPKTHSVRPLTVLTDTWCSSGVVSADGVLIQTGGFNDGDHSVRYFSPCDTCDWEEIKNGLILKRWYASNALLPDGRIIVVGGRARFDYEFIPKASVYDLPFLRQTKDSSENNLYPFLHLLPDGNLFVFANTKSIVLDFKTNKVVREFPEIPGNAARNYPSSGSSVLLPLLLNNSEDINGIKAEIMICGGAEPSSNSKAMKGEFLPADDTCGRLVVTEKSPEWEMERMPMSRVMGDMVLLPTGDILIINGAGKGTAGWCMGREPVLHPVLYSPENKMFKVMDPTTIPRLYHSTAHLLSDGRVMVGGSNPNIKYEFSGVLFPTELSLEAYSPPYLFRGGRPRIVMVEPGLELRHGQRITLGFKVERGGGDKEVKVTMVVPGFTTHSFTMNQRVLVLKVVAVNKISGESYAVDAFVPAAAVVAPPGYYLLHVVHGGVPSRGKWVKIK >DRNTG_21019.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:88958:96219:-1 gene:DRNTG_21019 transcript:DRNTG_21019.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIIIISSLTLFLLLTSLSSSSALPQNGGKFKVLRRNIGISAMHVALLPNDKLIIFDRTDFGLSNISLPAGRCRDDLNEQAVKHDCSAHSIEFNPKTHSVRPLTVLTDTWCSSGVVSADGVLIQTGGFNDGDHSVRYFSPCDTCDWEEIKNGLILKRWYASNALLPDGRIIVVGGRARFDYEFIPKASVYDLPFLRQTKDSSENNLYPFLHLLPDGNLFVFANTKSIVLDFKTNKVVREFPEIPGNAARNYPSSGSSVLLPLLLNNSEDINGIKAEIMICGGAEPSSNSKAMKGEFLPADDTCGRLVVTEKSPEWEMERMPMSRVMGDMVLLPTGDILIINGAGKGTAGWCMGREPVLHPVLYSPENKMFKVMDPTTIPRLYHSTAHLLSDGRVMVGGSNPNIKYEFSGVLFPTELSLEAYSPPYLFRGGRPRIVMVEPGLELRHGQRITLGFKVERGGGDKEVKVTMVVPGFTTHSFTMNQRVLVLKVVAVNKISGESYAVDAFVPAAAVVAPPGYYLLHVVHGGVPSRGKWVKIK >DRNTG_21019.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:88958:90769:-1 gene:DRNTG_21019 transcript:DRNTG_21019.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIIIISSLTLFLLLTSLSSSSALPQNGGKFKVLRRNIGISAMHVALLPNDKLIIFDRTDFGLSNISLPAGRCRDDLNEQAVKHDCSAHSIEFNPKTHSVRPLTVLTDTWCSSGVVSADGVLIQTGGFNDGDHSVRYFSPCDTCDWEEIKNGLILKRWYASNALLPDGRIIVVGGRARFDYEFIPKASVYDLPFLRQTKDSSENNLYPFLHLLPDGNLFVFANTKSIVLDFKTNKVVREFPEIPGNAARNYPSSGSSVLLPLLLNNSEDINGIKAEIMICGGAEPSSNSKAMKGEFLPADDTCGRLVVTEKSPEWEMERMPMSRVMGDMVLLPTGDILIINGAGKGTAGWCMGREPVLHPVLYSPENKMFKVMDPTTIPRLYHSTAHLLSDGRVMVGGSNPNIKYEFSGVLFPTELSLEAYSPPYLFRGGRPRIVMVEPGLELRHGQRITLGFKVERGGGDKEVKVTMVVPGFTTHSFTMNQRVLVLKVVAVNKISGESYAVDAFVPAAAVVAPPGYYLLHVVHGGVPSRGKWVKIK >DRNTG_21019.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:88958:96558:-1 gene:DRNTG_21019 transcript:DRNTG_21019.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIIIISSLTLFLLLTSLSSSSALPQNGGKFKVLRRNIGISAMHVALLPNDKLIIFDRTDFGLSNISLPAGRCRDDLNEQAVKHDCSAHSIEFNPKTHSVRPLTVLTDTWCSSGVVSADGVLIQTGGFNDGDHSVRYFSPCDTCDWEEIKNGLILKRWYASNALLPDGRIIVVGGRARFDYEFIPKASVYDLPFLRQTKDSSENNLYPFLHLLPDGNLFVFANTKSIVLDFKTNKVVREFPEIPGNAARNYPSSGSSVLLPLLLNNSEDINGIKAEIMICGGAEPSSNSKAMKGEFLPADDTCGRLVVTEKSPEWEMERMPMSRVMGDMVLLPTGDILIINGAGKGTAGWCMGREPVLHPVLYSPENKMFKVMDPTTIPRLYHSTAHLLSDGRVMVGGSNPNIKYEFSGVLFPTELSLEAYSPPYLFRGGRPRIVMVEPGLELRHGQRITLGFKVERGGGDKEVKVTMVVPGFTTHSFTMNQRVLVLKVVAVNKISGESYAVDAFVPAAAVVAPPGYYLLHVVHGGVPSRGKWVKIK >DRNTG_09966.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:6296215:6304390:-1 gene:DRNTG_09966 transcript:DRNTG_09966.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAPDRKKQVSKRPLESSPKLEHLEFAIPEHQARFERLVKLKFGQTHFPDEDTLREIQLADDMADEVEKLLTVGEWMGRQQRGPQPARVIISVFNGTELANPSWPHTSSVSEASVVTEKIIVSSPSA >DRNTG_32671.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:2510952:2511558:-1 gene:DRNTG_32671 transcript:DRNTG_32671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSRVIWKKVIGRAFVGIDLARCILGRTKDPLRQKDDSPQDHRHNHRGGASPFLSDMIDSTSVPRVFCGHNRVNGLRDDTPLRLSWACNGVKR >DRNTG_31311.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3958454:3961563:1 gene:DRNTG_31311 transcript:DRNTG_31311.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFYYLLFGGLAVVVALLELSKTGKDRVATSSAFNSFKNNYLVVYSLMMAGDWLQGPYVYFLYSQYGFGKGDIGRLFIAGFGSSMVFGTIVGSLADKQGRKKACVTYCITYILSCITKHSPEYKVLMVGRILGGIATSLLFSAFESWLVAEHNKRGFEPQWLSLTFSKAIFLGNGLVAIVAGLFANLLADNLGFGPVAPFDAAACLLAIGMAVILSTWGENYGDPSESKDLLAQFKVAAMAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNEEDIPHGFIFATFMLSSMLGSSIASRLMARPILKVESYMQIVFTISAFTLLLPVITNFLVSPSTVKGGSISFGGCLQLFGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCIVLYNVNAFPMTVMFGMCSIFLFVAAFLQRRLMVVADSHRAKTQDWAQKERDVETEPLNDH >DRNTG_06883.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14200186:14204640:-1 gene:DRNTG_06883 transcript:DRNTG_06883.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVGLIGSPGEEFEGEFSGSGFDSDGHGGVDAVEDDVDEGGGEIWPEIVEGGAGFEAETITLRMEKI >DRNTG_06883.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14200186:14204640:-1 gene:DRNTG_06883 transcript:DRNTG_06883.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVGLIGSPGEEFEGEFSGSGFDSDGHGGVDAVEDDVDEGGGEIWPEIVEGGAGFEAETITLRMEKI >DRNTG_06883.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14200186:14204100:-1 gene:DRNTG_06883 transcript:DRNTG_06883.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVGLIGSPGEEFEGEFSGSGFDSDGHGGVDAVEDDVDEGGGEIWPEIVEGGAGFEAETITLRMEKI >DRNTG_06883.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14200186:14201320:-1 gene:DRNTG_06883 transcript:DRNTG_06883.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVGLIGSPGEEFEGEFSGSGFDSDGHGGVDAVEDDVDEGGGEIWPEIVEGGAGFEAETITLRMEKI >DRNTG_06883.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14200186:14204640:-1 gene:DRNTG_06883 transcript:DRNTG_06883.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVGLIGSPGEEFEGEFSGSGFDSDGHGGVDAVEDDVDEGGGEIWPEIVEGGAGFEAETITLRMEKI >DRNTG_06883.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14200186:14201320:-1 gene:DRNTG_06883 transcript:DRNTG_06883.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVGLIGSPGEEFEGEFSGSGFDSDGHGGVDAVEDDVDEGGGEIWPEIVEGGAGFEAETITLRMEKI >DRNTG_06883.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14200186:14205610:-1 gene:DRNTG_06883 transcript:DRNTG_06883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVGLIGSPGEEFEGEFSGSGFDSDGHGGVDAVEDDVDEGGGEIWPEIVEGGAGFEAETITLRMEKI >DRNTG_00064.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21770476:21770933:-1 gene:DRNTG_00064 transcript:DRNTG_00064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDWLKGMWSPEEDAMPFSFSNSVLGTCLLITACCQWYYGVKDFA >DRNTG_14862.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2836749:2838658:-1 gene:DRNTG_14862 transcript:DRNTG_14862.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLLGDGIFAVDGEKWRHQRKMASYEFSTKVLRDYSSVVFKTNASMLCQLVSEAATLNQMIDIQDLLMKTTLDSIFKVGFGVELKTLSGTSEEGKAFAKAFDDSSIQVTRRFFDVTWKVKRYLNVGAEAMMKKNIKIIDDFVYKLIDTKLKQLAEDQDDFMKKEDILSRFLIEKEKDPVNMNNRYLRDIILNFMIAGRDTTAGTLSWFLYMLCKHPDVQEKVAEEVIQATNMRDKVPIGEFSLSLTEEVLNKMQYLHAALTETLRLYPAVPLDPKLCSKDDTLPDGFDVREGDMIIYQPYAMGRMKFLWGEDAEVFRPERWLNEDGVFEPENPFKFTAFQAGPRVCLGKEFAYRQMKIFAATLLCYLKFKLWDERKPVKYKTMLTLQIDGGLFLCAINR >DRNTG_14862.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2836749:2839100:-1 gene:DRNTG_14862 transcript:DRNTG_14862.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLQAAAAASSLLLLLYVLNLLQWFPIIPSLLKNQNKNKKRNYPPVAGTIFHQLLNLPRLVDFMADISRRHKTFRMLTPSINYVYIVDPSNVEYILKTNFTNYGKGYSFYDVMKDLLGDGIFAVDGEKWRHQRKMASYEFSTKVLRDYSSVVFKTNASMLCQLVSEAATLNQMIDIQDLLMKTTLDSIFKVGFGVELKTLSGTSEEGKAFAKAFDDSSIQVTRRFFDVTWKVKRYLNVGAEAMMKKNIKIIDDFVYKLIDTKLKQLAEDQDDFMKKEDILSRFLIEKEKDPVNMNNRYLRDIILNFMIAGRDTTAGTLSWFLYMLCKHPDVQEKVAEEVIQATNMRDKVPIGEFSLSLTEEVLNKMQYLHAALTETLRLYPAVPLDPKLCSKDDTLPDGFDVREGDMIIYQPYAMGRMKFLWGEDAEVFRPERWLNEDGVFEPENPFKFTAFQAGPRVCLGKEFAYRQMKIFAATLLCYLKFKLWDERKPVKYKTMLTLQIDGGLFLCAINR >DRNTG_13518.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21974345:21976953:1 gene:DRNTG_13518 transcript:DRNTG_13518.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPMVPNNGAAASLTGEHVGALPRPPVAPTPICSTEATLGRHLARRLVQVGVSDVFAVPGDFNLTLLDHLIAEPGLRLVGCCNELNAGYAADGYARSRGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTITCFQAVVNNLDDAHELIDTAVSTALKESKPVYISIGCNLPAIPHPTFSREPVPFFLAPRVSNQMGLEAAVEATADFLNKAVKPVMIGGPKLRVAKACDAFVELADVCGYAMAVMPSAKGLVPEHHPRFIGTYWGAISTAFCAEIVESADAYIFAGPIFNDYSSVGYSLLLKKEKAIIVQPERVVVANGPAFGCVLMKDFLRALGKRIKRNTTAFENYKRIFVPEGIPLECKPKEALRVNVLFKHIQNMLSGDTAVIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGATLGYAQAAKNKRVIACIGDGSFQVTAQDVSTMLRCEQNTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLMNAIHNGEGKCWTAKVTCEEELKEAIGMATGEKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >DRNTG_14404.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:17461272:17463811:-1 gene:DRNTG_14404 transcript:DRNTG_14404.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENYWGSFHWYRVCELCPRLHEGTLGEDEAAPKDHRYGLQGGAIFAGPYVTRLISWHGFI >DRNTG_06723.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4696743:4698208:-1 gene:DRNTG_06723 transcript:DRNTG_06723.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEEHPQPATLPPYSEMIMAAIDALDEKNGSSKSAISKYVESTYGELPPSHPSLLAAHLVRMKESGELVMVKNNYMRPGPDAPPKRGRGRPPKPKVPLPPGAAPPTPRPRGRPPKAKDPLAAAVAKAASGLPRPRGRPPKKTRPTVAGAGSASSGAAPPPSGVKRGRGRPPKVKPQFADVGFA >DRNTG_06723.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4696743:4698407:-1 gene:DRNTG_06723 transcript:DRNTG_06723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEEHPQPATLPPYSEMIMAAIDALDEKNGSSKSAISKYVESTYGELPPSHPSLLAAHLVRMKESGELVMVKNNYMRPGPDAPPKRGRGRPPKPKVPLPPGAAPPTPRPRGRPPKAKDPLAAAVAKAASGLPRPRGRPPKKTRPTVAGAGSASSGAAPPPSGVKRGRGRPPKVKPQFADVGFA >DRNTG_21143.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2373994:2374398:1 gene:DRNTG_21143 transcript:DRNTG_21143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHCGWNSCMESLSFGVPILAWPMHSGQPRNATCLSEYLKVGFMVRDWEHRMEVVSSMVIVEVVKRLMVSDEGMEVKKRARELGEQIRVGVSHGGSSWKEMQSFISYIST >DRNTG_16857.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21879590:21888941:1 gene:DRNTG_16857 transcript:DRNTG_16857.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGGKYKLGRKIGSGSFGEIFLATHVDTFEIVAVKIENSKTKHPQLLYEAKLYNVLQGGSGIANIKWCGVDGEDNVLVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEYVHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGVEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEALCKSHPVEFASYFHYCHSLTFDQRPDYGFLKRLFRDLFAREGYDFDYIFDWTILKYQQAQKTKPQPQLQPRFSPVPGGMNSRAIPMDVDKHQGTNDASYPAEAGPSNVGRPAVRMQFKTPSDRNLNSDGRADKIMASTNIDKMPSTSFALPSAPKKNIGSSKQLRPPDSSHHSNGLGSNYGSSSSWIPTLQRNASAK >DRNTG_16857.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21879590:21888941:1 gene:DRNTG_16857 transcript:DRNTG_16857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGGKYKLGRKIGSGSFGEIFLATHVDTFEIVAVKIENSKTKHPQLLYEAKLYNVLQGGSGIANIKWCGVDGEDNVLVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEYVHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGVEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEALCKSHPVEFASYFHYCHSLTFDQRPDYGFLKRLFRDLFAREGYDFDYIFDWTILKYQQAQKTKPQPQLQPRFSPVPGGMNSRAIPMDVDKHQGTNDASYPAEAGPSNVGRPAVRMQFKTPSDRNLNSDGRADKIMASTNIDKMPSTSFALPSAPKKNIGSSKQLRPPDSSHHSNGLGSNYGSSSSWIPTLQRNASAK >DRNTG_15754.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20482012:20484380:1 gene:DRNTG_15754 transcript:DRNTG_15754.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLYDLDLISETVPVILDNSKMWYRILSTSMKLGSRGLVHVEGTDKVDLRDNTIYSNVLLINHTASPLSWFMECKDRNNRSSITLPYSFLPKMAARKLRDAADKIKELLGDYDAIHVRRGDKIKTRKDRFGVDRTLHPHLDRDTRPEFIGRRIEKWIPKGHTLFIASNERTPSFFSPLSDRYRLAYSSNYSDILDQVVENNYQLFIIERLILAGAKTFVRTFKEDENDLSLTDDPKKNTKKWQIPVYTIDGEGS >DRNTG_15754.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20479853:20484380:1 gene:DRNTG_15754 transcript:DRNTG_15754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRMCINPIHNKKGILHHSSNATSEERWTANSCAMDSLYDLDLISETVPVILDNSKMWYRILSTSMKLGSRGLVHVEGTDKVDLRDNTIYSNVLLINHTASPLSWFMECKDRNNRSSITLPYSFLPKMAARKLRDAADKIKELLGDYDAIHVRRGDKIKTRKDRFGVDRTLHPHLDRDTRPEFIGRRIEKWIPKGHTLFIASNERTPSFFSPLSDRYRLAYSSNYSDILDQVVENNYQLFIIERLILAGAKTFVRTFKEDENDLSLTDDPKKNTKKWQIPVYTIDGEGS >DRNTG_15754.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20480535:20484380:1 gene:DRNTG_15754 transcript:DRNTG_15754.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRMCINPIHNKKGILHHSSNATSEERWTANSCAMDSLYDLDLISETVPVILDNSKMWYRILSTSMKLGSRGLVHVEGTDKVDLRDNTIYSNVLLINHTASPLSWFMECKDRNNRSSITLPYSFLPKMAARKLRDAADKIKELLGDYDAIHVRRGDKIKTRKDRFGVDRTLHPHLDRDTRPEFIGRRIEKWIPKGHTLFIASNERTPSFFSPLSDRYRLAYSSNYSDILDQVVENNYQLFIIERLILAGAKTFVRTFKEDENDLSLTDDPKKNTKKWQIPVYTIDGEGS >DRNTG_17475.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6882014:6886569:-1 gene:DRNTG_17475 transcript:DRNTG_17475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEAENPRRETRGMRSRSRRTLEPEVAWELDKGGGSPMHHVTTREGSERPQIPLRDFFSRSGWGEVDCFGSCQINISKPTSANQTRRSASEGDSRLRPREGRPRPGDGDESLRVGRYHLEVKTIRPQDRLMRWVRRQEGAREGSGGYVRPNVSYVEAASSGSSPENGGRLDQPVVSTKLVEETEKWETLPIRGKKEARKPENIMMPNHLSLTGRKRSRGSPVLEKKCLKCLRHTHRTEECRYLLTCRRCEGAGHLAASCPMPRLELHRRRKTRSRTTEQPTQVTEERQGNQDGGQIRRSVISASITPAVLKLRDELEKLLVVSINRGYISQSARALQEELEIMFGADKVGSLSCFRGDEYVVTMASEIEVEKACNMREVEISSRKVGESLELTTTLLELEIVADVLRPVGELVAISKSEQTNKRYLGALVRLRMKVVMPHEVELNIGPAMSMVEANNSRARNSTFTHEVPPSVKGKEVQEEPSDRGKSRGVVMSTCHAAQDRREVKAPEITLVVEANNSHKTPRTAEQVSPAIVPVVLQGKLTKVVEANNFHKTPRTSEQVSPATVPLCHKVSLRVVQWLLADGPLVHAMTRQESKTRVWQVLSIRHWKDRLHKPCRQIKRRHMLLLLIL >DRNTG_19109.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29742023:29742975:-1 gene:DRNTG_19109 transcript:DRNTG_19109.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLMGACPEYGCLVYEYMSNGSLEDRLFCLSNTAPLPWRLRFKIASEIATGLHFLHQAKPEPIVHRDLKPGNILLDHNFVSKIADVGLARLIPHTTASDSATQYHMTAAAGTFCYIDPEYQKTGMLGIKSDIYALGIILLQLITAATPVGLAHNVEMAIERGKFEEVLDHSVPDWPLEETRKFADLALKCAELRRRDRPSLASVILPELNHLRALAESSSEETNWVQHHERSLSYKVT >DRNTG_19109.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29742023:29743489:-1 gene:DRNTG_19109 transcript:DRNTG_19109.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKSNSNENRRPQALHEGLAEERKLVTESNKGVPSDKSPHRGIPEEIKMLNCFSSDVRYRRYTAEEIRMATGNFSDELKVGEGGYGPVFKSYLDHTPVAIKILGSDVSQGMKQFQREVEVLSSIRHPNMVLLMGACPEYGCLVYEYMSNGSLEDRLFCLSNTAPLPWRLRFKIASEIATGLHFLHQAKPEPIVHRDLKPGNILLDHNFVSKIADVGLARLIPHTTASDSATQYHMTAAAGTFCYIDPEYQKTGMLGIKSDIYALGIILLQLITAATPVGLAHNVEMAIERGKFEEVLDHSVPDWPLEETRKFADLALKCAELRRRDRPSLASVILPELNHLRALAESSSEETNWVQHHERSLSYKVT >DRNTG_19109.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29742023:29745405:-1 gene:DRNTG_19109 transcript:DRNTG_19109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGLGHWKGSGNGIGDVAGGGDRQEIVAVAVDADKNSQHALKWAADHVIQRGQIFILLHVRKKILTIPTPAGFQVPIAEVDKEIAAAFYEQMDARTKELLLPFQCFCSRRGLQSKEVILEDTDIPKAIIDFLCQQSIDKLVMGASARNVFARTFKAADVPLSVSRLAPDFCSIYVISKGKISSIRPTTHPNSHPPNRAALGFEAVENRFLSIKSEPDAVHHSEPAARSLGGRPTNVKDSGYNNKSNENIIARVSKTHLDHFYPSVASCPSPSRTSVESQPKYPRIDIDRQSRLDEQMRVPDSNKSDNSYWSSGSSSSGYNNHSGSASSEEHKSPTSLPKQMSNYKNGERANMPMKSNSNENRRPQALHEGLAEERKLVTESNKGVPSDKSPHRGIPEEIKMLNCFSSDVRYRRYTAEEIRMATGNFSDELKVGEGGYGPVFKSYLDHTPVAIKILGSDVSQGMKQFQREVEVLSSIRHPNMVLLMGACPEYGCLVYEYMSNGSLEDRLFCLSNTAPLPWRLRFKIASEIATGLHFLHQAKPEPIVHRDLKPGNILLDHNFVSKIADVGLARLIPHTTASDSATQYHMTAAAGTFCYIDPEYQKTGMLGIKSDIYALGIILLQLITAATPVGLAHNVEMAIERGKFEEVLDHSVPDWPLEETRKFADLALKCAELRRRDRPSLASVILPELNHLRALAESSSEETNWVQHHERSLSYKVT >DRNTG_19109.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29742023:29745089:-1 gene:DRNTG_19109 transcript:DRNTG_19109.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFGLWKTAGFQVPIAEVDKEIAAAFYEQMDARTKELLLPFQCFCSRRGLQSKEVILEDTDIPKAIIDFLCQQSIDKLVMGASARNVFARTFKAADVPLSVSRLAPDFCSIYVISKGKISSIRPTTHPNSHPPNRAALGFEAVENRFLSIKSEPDAVHHSEPAARSLGGRPTNVKDSGYNNKSNENIIARVSKTHLDHFYPSVASCPSPSRTSVESQPKYPRIDIDRQSRLDEQMRVPDSNKSDNSYWSSGSSSSGYNNHSGSASSEEHKSPTSLPKQMSNYKNGERANMPMKSNSNENRRPQALHEGLAEERKLVTESNKGVPSDKSPHRGIPEEIKMLNCFSSDVRYRRYTAEEIRMATGNFSDELKVGEGGYGPVFKSYLDHTPVAIKILGSDVSQGMKQFQREVEVLSSIRHPNMVLLMGACPEYGCLVYEYMSNGSLEDRLFCLSNTAPLPWRLRFKIASEIATGLHFLHQAKPEPIVHRDLKPGNILLDHNFVSKIADVGLARLIPHTTASDSATQYHMTAAAGTFCYIDPEYQKTGMLGIKSDIYALGIILLQLITAATPVGLAHNVEMAIERGKFEEVLDHSVPDWPLEETRKFADLALKCAELRRRDRPSLASVILPELNHLRALAESSSEETNWVQHHERSLSYKVT >DRNTG_19109.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29742023:29744095:-1 gene:DRNTG_19109 transcript:DRNTG_19109.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPDSNKSDNSYWSSGSSSSGYNNHSGSASSEEHKSPTSLPKQMSNYKNGERANMPMKSNSNENRRPQALHEGLAEERKLVTESNKGVPSDKSPHRGIPEEIKMLNCFSSDVRYRRYTAEEIRMATGNFSDELKVGEGGYGPVFKSYLDHTPVAIKILGSDVSQGMKQFQREVEVLSSIRHPNMVLLMGACPEYGCLVYEYMSNGSLEDRLFCLSNTAPLPWRLRFKIASEIATGLHFLHQAKPEPIVHRDLKPGNILLDHNFVSKIADVGLARLIPHTTASDSATQYHMTAAAGTFCYIDPEYQKTGMLGIKSDIYALGIILLQLITAATPVGLAHNVEMAIERGKFEEVLDHSVPDWPLEETRKFADLALKCAELRRRDRPSLASVILPELNHLRALAESSSEETNWVQHHERSLSYKVT >DRNTG_15094.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15191694:15193647:1 gene:DRNTG_15094 transcript:DRNTG_15094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHSGRKSYHLESVKATLAAALERATLEDQYCSNYCSSTVYSRPRNQRDRESTRACGNHPRPCGNSARARVPSTLSESPDSSPI >DRNTG_27680.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19501423:19504196:1 gene:DRNTG_27680 transcript:DRNTG_27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTNMDNNREKRWSLHGTTALVTGGSKGIGHAIVEELATLGATVHTCARNEAELNQCLQKWQERQLPITASVCDVSSKDEREKLMETVSAMFHGKLNILVNNVGSGPIKPAMDHTAEDYSVIMSTNFESAFHLSQLAHPLFKASGSGNIIFISSIAGLVGGGQCSLYAASKGAMNQLTKNLACEWAKDNIRCNCITPGPIKTPLAQWLLENKELLDKVVSRIPLGRIGEPEEVASLTAFLCFPVASYITGQVISVDGGSSINVLARMK >DRNTG_28950.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2196552:2201175:-1 gene:DRNTG_28950 transcript:DRNTG_28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVLTRPFAPPSSTVLRRPLSIRSLPSLSPLHLAPNSLPTLRSRSLPHFPSPKPHLRLPPICASSPSSPPPKPTPVPLSGAKPLPLAISIAIGLAVRFLVPRPAEVSLQAWQLLSIFLSTIAGLVLGPLPVGAWAFLGLTASIVTRTLSFSAAFGAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWMGSSTLGLSYGLTVSEALIAPAMPSTTARGGGVFLPIIKSLSLSAGSRPGDPSARKVGSYLVMSQLQLKTYSALN >DRNTG_01006.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19491388:19497359:1 gene:DRNTG_01006 transcript:DRNTG_01006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAQGCLLSQISRSFDQDSGSLQKYILAYILLARNGGILEEVRGSMCKEFYISGLDWLGQKINYPAAKTQPGSDYSGQRSDDSLLPGLHDDIALDCLAWACRSDYPSLACVSKKFTLLIGSGYLYKLRRQLGIIEHWVYLACSLMPWEAFDPERQRWMRLPRLPCDECFSYADKESLAVGTQLLVFGRELTGFAIWMYSLVRRDWSRCSSMNLSRCLFGSGSSGEIAIVAGGSDKNGHVLKCAELYNSELGTWETLPDMNLPRKMCSGFFMDGKFYVIGGMCSHTDSLTCGEEYNLQTRMWKRIQNMYPGGNRASQSPPLVAVVNNQLYAADQATNVVKKYDKINNTWNVIKPLPVRADSYNGWGLAFKACGDKLLVIGGHRGPQGEVIVLHSWRPEEGNSGPEWDVLSVRERAGAFVYNCAIMGC >DRNTG_01006.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19491388:19495373:1 gene:DRNTG_01006 transcript:DRNTG_01006.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAQGCLLSQISRSFDQDSGSLQKYILAYILLARNGGILEEVRGSMCKEFYISGLDWLGQKINYPAAKTQPGSDYSGQRSDDSLLPGLHDDIALDCLAWACRSDYPSLACVSKKFTLLIGSGYLYKLRRQLGIIEHWVYLACSLMPWEAFDPERQRWMRLPRLPCDECFSYADKESLAVGTQLLVFGRELTGFAIWMYSLVRRDWSRCSSMNLSRCLFGSGSSGEIAIVAGGSDKNGHVLKCAELYNSELGTWETLPDMNLPRKMCSGFFMDGKFYVIGGMCSHTDSLTCGEEYNLQTRMWKRIQNMYPGGNRASQSPPLVAVVNNQLYAADQATNVVKKYDKINNTWNVIKPLPVRADSYNGWGLAFKACGDKLLVIGGHRGPQGEVIVLHSWRPEEGNSGPEWDVLSVRERAGAFVYNCAIMGC >DRNTG_01006.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19491388:19495012:1 gene:DRNTG_01006 transcript:DRNTG_01006.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAQGCLLSQISRSFDQDSGSLQKYILAYILLARNGGILEEVRGSMCKEFYISGLDWLGQKINYPAAKTQPGSDYSGQRSDDSLLPGLHDDIALDCLAWACRSDYPSLACVSKKFTLLIGSGYLYKLRRQLGIIEHWVYLACSLMPWEAFDPERQRWMRLPRLPCDECFSYADKESLAVGTQLLVFGRELTGFAIWMYSLVRRDWSRCSSMNLSRCLFGSGSSGEIAIVAGGSDKNGHVLKCAELYNSELGTWETLPDMNLPRKMCSGFFMDGKFYVIGGMCSHTDSLTCGEEYNLQTRMWKRIQNMYPGGNRASQSPPLVAVVNNQLYAADQATNVVKKYDKINNTWNVIKPLPVRADSYNGWGLAFKACGDKLLVIGGHRGPQGEVIVLHSWRPEEGNSGPEWDVLSVRERAGAFVYNCAIMGC >DRNTG_01006.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19491388:19497359:1 gene:DRNTG_01006 transcript:DRNTG_01006.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAQGCLLSQISRSFDQDSGSLQKYILAYILLARNGGILEEVRGSMCKEFYISGLDWLGQKINYPAAKTQPGSDYSGQRSDDSLLPGLHDDIALDCLAWACRSDYPSLACVSKKFTLLIGSGYLYKLRRQLGIIEHWVYLACSLMPWEAFDPERQRWMRLPRLPCDECFSYADKESLAVGTQLLVFGRELTGFAIWMYSLVRRDWSRCSSMNLSRCLFGSGSSGEIAIVAGGSDKNGHVLKCAELYNSELGTWETLPDMNLPRKMCSGFFMDGKFYVIGGMCSHTDSLTCGEEYNLQTRMWKRIQNMYPGGNRASQSPPLVAVVNNQLYAADQATNVVKKYDKINNTWNVIKPLPVRADSYNGWGLAFKACGDKLLVIGGHRGPQGEVIVLHSWRPEEGNSGPEWDVLSVRERAGAFVYNCAIMGC >DRNTG_16728.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000845.1:10663:18014:1 gene:DRNTG_16728 transcript:DRNTG_16728.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQLEESVERILAQFDSSYQDQRQELFSVEVAISKAELYGMDTLISITDCKEIGVGNFRTPVRISVEESSLSREDTGACVYPVWPPDQRRQLLNVLEGLLLSQKSWNSYFLRIELGLSEVLASFEFDCSYANFDSVAAIQFIAFWQHHSMSVTQFSTRLGLYDEEYIETEEYESLRIDMSGLSPKEAYKILCGKGQNEPGVSKASCLSRPSYRYLHAIISRSVNGRGDSTRVINKQELLYLYSMVQNEPVHRGHILAEYLKHQGQYPILGVIFLGPYITRLILGIGLRDTISGAEKTIIPAPLGLETMRLMGLVSKYPDGVYVLNIPFEDKGDEPLPVPMETEAPPTSEKVPPVCLFTQSRAHDHFERLENALGVLWTEVSEIRATQAIQYTEFMARFDVLQQILERDVASSFVLRPRTPQPPSASPAPPSPIPAPVDPPYVSPPPAVAEELTEHGLVIMGIETLSWTRPKCFRT >DRNTG_09816.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:12902746:12909133:1 gene:DRNTG_09816 transcript:DRNTG_09816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILMPSLQEIEHKEVQEAILEPIPTPSPIGSKSSTSRARRNRKSVVDQSSEKISENLHHFVEVIGPGFKTLADAVAREVARDEARETREKAREDEIMKMKNMLPQVLFEIDGLSEDEALFILQGGKDHASARYIFTCLSPVIRFLLPKDDETAETVETSPTTDDRQETVKEKMMKLETVEQESPGQNLPAGGSEAAVEEVVKEQYEEVHVASSDTHAQEDQTCHSSDKSQCVSPL >DRNTG_13213.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2625600:2640044:-1 gene:DRNTG_13213 transcript:DRNTG_13213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESSSLSLIQLWKRFRGNDKPPAHLGASRDYNVDMIPKFMMANGTLVRVLIHTDVTKYLSFKAVDGSYVFNKGKVHKVPATDMEALKSPLMGLFEKRRARKFFMYVQDYDENDPKTHGGLDLTRVTTKELIAKYGLDDNTIDFIGHALALHRDDRYLNEPAYDTVKRMKLYAESLARFEGGSPYIYTLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDEEGKACGVTSEGETARCKKVVCDPSYLPNKVRRVGKVARAIAIMSHPIPNTDDSHSVQVILPQKQLGRKSDMYLFCCSYTHNVAPKGKFIAFVSTEAETDHPESELKPGIDLLGPVDEIFFDTYDRLEPVNEPTLDHCFISTSYDATTHFESTVMDVLSMYTKITGKNVDLSVDLSAASAAEE >DRNTG_15641.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000777.1:93731:102642:1 gene:DRNTG_15641 transcript:DRNTG_15641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSRYTSSAGVGVEEKEVVEIVGEVEELVPLEAEKKSEEGENLENHTKFLVEETKERENVVAEEIDRDELGENFRLKPSLSHRLHRQGKPKEPIATEGGDENGRRPLEIRYDRDADDRQAAFLILSSSTWIRSAPAISPPPMSRMSDNHHHCCHFEDFRYDPLYALNFDDAYLAGDLSNSAQVACCSNLVHINASIIGLWFPIMDIFGTIPGDTDYWIFAKDNGDIPGLDIIFVLGGYFYHTSYDTIERLFPGSIQARGENLFNLIEAFANSPLLQNAKQRSDMAAKKGKEGECSVSGANCKELAAKPDVDGFLVSGASLKSFAFDAVALKETTCSAERVTGWLWVLASASVLTSTEQQWRTTSAAATATPEACKGGSADVGSLLPSSSSFGAFVFFSSFFFLCAC >DRNTG_03442.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3153682:3154628:1 gene:DRNTG_03442 transcript:DRNTG_03442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNAPTPITKNRKLFINGPLLPIASWEHNVARSKPPLMRVFQRQVERIHPRLIVGGFSPELSKVHPRVDSLAYLRFWGRGALAYSEEEGWGGGSSRGRWRHSIFKELLKISEEEFGLPGDGPITLKCDVVSTEYALNTKKKSISRGGEGTA >DRNTG_02698.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19673436:19675339:-1 gene:DRNTG_02698 transcript:DRNTG_02698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSSSPTPLSLHPSTSPLLIPSPFPTPRSLPLSIAQPGSSPPPPPTLSGSSHPGHHLLRLHFHPFSTPSFNLSSALFHVSTSNLVLLSNFNPADLPGPILREFIIRSPSDELILSFTPASQSTFAFVNAIEVFSAPADLVPDIARLVSPDHVQFYDLVSSQALETLYRINVGGLKVTPFNDTLWRTWIPDSKFLTSDSRTKVVTFSGRINYREHRASREVVPDNVDNTARVLEIKLNMTWAFDVSAGYQYFIRMHFCDIASLALNELYFNIYINGYSAYEYFDLSDATSQFLASPFYMDFVTDVVNSSGVLTVSIGASNFSSPSWVQGMLNGLEIMKMNNTVGSFDGEFPVSAILVKPVKGFFGEFARWFVCGIGFISLFSIVFMLVLRLRAEAWNALAWSRLPTEVSEGKPAKGNLMLTSKFIFS >DRNTG_04578.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:22251610:22254600:-1 gene:DRNTG_04578 transcript:DRNTG_04578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSPQRFTPLHSQLGPSGGSSLSPFTLLWPQRTRGTEVESITPEGKGDAPVPLDSTPQPSPIKLRLTLVAISAQAPGYLCRY >DRNTG_18963.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10950347:10958174:-1 gene:DRNTG_18963 transcript:DRNTG_18963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRRLDRRGRSGYRGLPNQLVDRGSPADQESQSTHDDCDDSSPYSTQPALKPVTFTPAVLSRPISTSAGHAEVESSSSSISTPCASGDTTPDADPPTTSETRTLSMDGLAFASELKRPCIQLVNGVLHPSDVCARKITSIFKERMDDSGYCWKNVSKKTKDLYWNKFQKHFMWDESISSVIKMAWQRKAAERYRALMCSLRKKKDKTIHVSDSTWQIWNEAWNSPEFKARSLKFTANRLTEIGGPGSGISRHTGGSISHTCHAERLRSKLGRDPLPYELFEVTHTRKGTSKLVDARAETIKDRYLDLVMQSSQTQKGDSEVPIVNKAALYYQAVGGEKKHRVYGVGSQAFVFYPQSSQTLSARTSSEMLQTEIRRLRQTVDQLQESNKELRQSLLQMREEQGQYHEDIMCQMQEMMMQLEARFLQRSQSTTQDSTY >DRNTG_29479.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2667979:2668389:1 gene:DRNTG_29479 transcript:DRNTG_29479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVISIGLVVMFLCSHAAIALSDESIITAQSPASSMSDESSIVSESPASSMDSYIVYTESWKKPPQFASLKLWYQHMLDSLPTRSANLKPRLVYVYKYAITGFSAYLTSEQANALSKLPGVLAVVKDQNHVLHH >DRNTG_30718.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21944569:21947064:1 gene:DRNTG_30718 transcript:DRNTG_30718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLAFGSAGDSFSVSSLKSYLAEFIATLLFVFAGVGSAIAYGKLTAGAALDPAGLVAVAVAHALALFVGVSMAANISGGHLNPAVTLGLAIGGNITILTGIFYWIAQLLGSTVACLLLKFVTSAAIPTHGVAAGMGEIEGVVMEIIITFALVYTVYATAADPKKGSLGTIAPNRHRLSSSAPTSSLPAHSAVDP >DRNTG_35063.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1085840:1088454:1 gene:DRNTG_35063 transcript:DRNTG_35063.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:Projected from Arabidopsis thaliana (AT5G47630) UniProtKB/TrEMBL;Acc:A0A178UB54] MQHIKSSVLRCVRLSCNSRLVVVGDGGRNAGKLARLMCGFASKNGSEEITSRVIELVKKFDRLDAIKVTETADFQKDLSLDSLDRVELVMALEQEFSIEIPDTKVDNLKCCQDVVEYILSESQSNKAKGP >DRNTG_35063.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1085840:1090798:1 gene:DRNTG_35063 transcript:DRNTG_35063.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:Projected from Arabidopsis thaliana (AT5G47630) UniProtKB/TrEMBL;Acc:A0A178UB54] MQHIKSSVLRCVRLSCNSRLVVVGDGGRNAGKLARLMCGFASKNGSEEITSRVIELVKKFDRLDAIKVTETADFQKDLSLDSLDRVELVMALEQEFSIEIPDTKVDNLKCCQDVVEYILSESQSNKAKGP >DRNTG_33640.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1960087:1960664:1 gene:DRNTG_33640 transcript:DRNTG_33640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPLLSDAEEAKVLQLKKPKKVDSMAVDLPFAASADDEKKSEKKKKKKKHKEIESDELEIDDIEKKVMKKKRKASDEETSDTSFDAGVPVVEDSKKKKKKPNAVSNFRISEPLREKLKSKGIGSLFPIQAMTFDVILDGSDLVGRARTGQ >DRNTG_25445.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1479868:1481595:1 gene:DRNTG_25445 transcript:DRNTG_25445.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFQSLKTPFMAMCLLLAMITVPAMSREMPIEFSSGELARIAGYGEERLSSVLVVGTLVCQPCSSPASDPFASPISGAKIRVGCKHEGKKKMEWIDGSTDEYGEFMVDIPSHLHAIPRLEDACKVRVFNVPKSSRCGNPNAMKRKAPKEIKLSSVGNSIRVYTAGTLKLNHGSSTKLRY >DRNTG_00908.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21593544:21594033:1 gene:DRNTG_00908 transcript:DRNTG_00908.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLKIDLAFIQPAEHRPKPTFSDAGAATIPLIDFSPLLHHQIPSNPSNPAIPNEISSLIAAIGAACRDWGFFQVINHGVDPQLLERIQAAAIEFFALPAEEKLKVKRDEVNPLGYYDSEHTKNVRDWKEVLDLVVREYDDGLLVLKNRWPEYPPAMSSVFSLQ >DRNTG_26065.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:14320015:14320743:-1 gene:DRNTG_26065 transcript:DRNTG_26065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHRLSFPRSDHMILDEFTLICSFIGEFPYVAIYTEFLSVALHPVGALPTTAEYHYLTLKANVNILKLIQLNLTLSAADGSLAAYHSSGRFLV >DRNTG_07653.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23243764:23244057:-1 gene:DRNTG_07653 transcript:DRNTG_07653.1 gene_biotype:protein_coding transcript_biotype:protein_coding DAILQKTIRTEFEHSTVITVAHRIPTVMDCTMVLAISDGKLVEYDEPINLMKTDGSLFGELVKEYHVKL >DRNTG_31482.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1979843:1981669:-1 gene:DRNTG_31482 transcript:DRNTG_31482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKSRVGMRNWEFLDHTGHTSSLIKSNSGVSIADNASADQPTFLKMNTYPDRNTMIDESNSEVSAMDFSWNPQRNFLSHKKNLTPIPSTQVGSEMVRMPEAPENTLHGDELAMKPAKVRKQQASGKSANRIASKALRPKEPKKPPSVPRKKKDKPVSVGKREKKNQNEIVDGAMLDLSTIPVPVCSCTGVPRQCYRWGAGGWQSSCCTTNISEYPLPMSSSRPGARLAGRKMSIGAYGKLLQKLAAEGHDFSFAVDLKIHWARHGTNKFVTIR >DRNTG_27821.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20206034:20208140:1 gene:DRNTG_27821 transcript:DRNTG_27821.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGSAAKLIVEALLQRFLPLARRRIETAQAQDGQYLRPSDPAYEQVLDSLAMVARHTPVPLLEALLRWRESESPKGANDASTYQKKLAVECIFCSACIRFVEFCPQEGIPEKLWSGLENFVFDWLINADRVVSQVDYPSLVDLRGLLLDLVAQLLGALSRIR >DRNTG_03068.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12258803:12272197:-1 gene:DRNTG_03068 transcript:DRNTG_03068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQGAADEVFSCFIFNKDSSHHKKNENNGKNEKKRSLRDVLIQLNNQISSSLSLCCAAHLRTPSLAAPTAVLLTLTSNPFRLTFFVIHPACQQSLARHPKNSFISYDVLHKLAIPQPKQYLQSRYAEGIPIDGIFVHPSMVEMLDAAIEDAIEHGNW >DRNTG_30806.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19705535:19709625:-1 gene:DRNTG_30806 transcript:DRNTG_30806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASTSLHALRSPSSRANSELFHGAGFPLRSFIHGSKPLFAASSVRFASQLRKKSIRRCISASASTNDFEHIPRKFREENLKDGLMDNYKNAPSCLYGLSASQMDMFMTEDNPFRRQADQVTEESISSTRNYVDNGGMYSLSGTTNASSKYSMSVSMYRGGARGYGRPRTAPPDLPSLLLDARICYLGMPIVPAVTELLVAQLMWLDYDNPSKPIYLYINSSGTQNEKMETVGSETEAYAIADTLAYCKSKIYTVNCGMAYGQAAMLLSLGAKGYQRSSAKCIN >DRNTG_04925.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8621788:8632700:1 gene:DRNTG_04925 transcript:DRNTG_04925.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECDCLCAPPNGCAHSNARSILQFPIDCNELKGFQVINLFLDLDLTLWSRRKVGL >DRNTG_10771.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:12412942:12417603:-1 gene:DRNTG_10771 transcript:DRNTG_10771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAKLPTNRWFEDGLTDAICLKPEGEVKDLKTFETLGSRIINHRPGWKGREPIQNSLIDLPYYAEWAKFKVNNYYNELSEAELIGGIVASVGRYDFDMNFYKAFVDLWCPDTNTFHLLQGEVGISLWDIKELGELPITRDIYDEVIPPNNTICRRYDPELSILRNIFDVFQWLSQQNVDEDVFFEDWVEFFRRSRHSSRYRFINESIFIDDTDLTIDPVVPSKRQRTEGIQIHDTPLDNVRAIQSLPRIDDIPPLDLEMHMSSHVVQEIAKDIHTPTEELESDGSNVDVGVTNLPEQDQGSKNIPNVVLCLHMKTLGKKIADSTDLFEASESTQVNLQVALDEAKTQLAENQEENLDTPLMAIFTKWLDDLEEAKELLLKFTGH >DRNTG_31583.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20595983:20598622:1 gene:DRNTG_31583 transcript:DRNTG_31583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGASPSATSNQCLSKPPSISASPRSSTSTAKPMSLAQLTTSLSIPDSKSDHFRRLMRALVHQGIFSTDQETQSSYSLTPTSQLMLPGNSTSITQLLRVFIDPTTSDSAYVLPSWLKSSEETTKTPFAVLHGHEIFELADEKPEFTKLYNEGMASDAGLVMDVVMRSCRDVFDGVESLVDVGGGNGTTAMTLKKAFPEMKCTVFDLQHVIQDKMEIDGVRFVTGDFFQSVPPASAALLKSILHGWSDEDCVKILKHCKAAIPNKDNGGKIIIIDMVVGAVTDNEVNAIETQLFSDLLMLIAAKGKERNESEWRDIIFAAGFNNYKITPLIGLRSVIEVYP >DRNTG_11153.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000490.1:36896:42810:-1 gene:DRNTG_11153 transcript:DRNTG_11153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPCAPSLCSSTHPPSSLSSLCHHPTDASLHILQPSCSPPCCSFLSISSSKHLHSRIHAFKGEERKREILENYGLDPSEFLPEASHPQKTRRSRMEAEKAGKGRRAPPQPAETPDPPRTTHRLLQVLGGTARRRKLLSPKGMDVRPMMEVVKGAAFGILQVAGGCPASLRPGGRWLDLYSGTGSVGIEAISRGCSEVHFVEMDPWVVSEVLRPNLECTGFVDNSVIHTMRVESFLKQAEESKGMDKSFDYVSVTPPYTAVDYAILMAQLENSPVIGEDSFILVEYPLKTSLLESHGHLVKIADRRFGRTNLAVYGPVWAQKKKKSK >DRNTG_30104.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31133234:31138047:-1 gene:DRNTG_30104 transcript:DRNTG_30104.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDDEQDENYLYQDMTQNKERTCGGTIPWEVLTRVELDSAYVNEKLLNLEILLMQVENRESNFDGISLGNKDISAESLEIAFEFYTLSGILNSEVKELEKFMCFLQTEVVDAQTGLSCNGCFKESLIEFEEKLHAAQECLNQSQAQVADIKMQTAKFERVLALGIDANDIVENGPDTSINARWKLQHVEHQGHILPLYEKSIAKALDLDKKLTVSRNNEEAFKLKLRFAESELYNLEESFEMVMGRLFLAENTSELLLSTSKELLGKFWNAQLDLDDPLHHECVSRSKLNKIILKSSTGESLSGQHTPVSAELDDILTLPVNGLKSNLENTKDECTAPSEVFALREKVRSLEDQLRKCEINLHSTKGSAKESQQQQKLHYEASEFDHVINDLRKNVLEIETRAQNAETKCELLTKSNSELTEELDIVRSNAEEKANLLERKLRQSDMLLEHAKASFQAMEEQQSLLNSTLIDMENLIADLKAMVAKAESRAEIAESKYNLLTQSNFDLNEELSFLRGKLECLQASLHDADESKIATSRDINNRSKIIANLVMKLASERERLQTQISELTKRNKYLVAKHLKKKDKGSLCANEEGNHCKSVHLKASEEVLTKTSSTEPQVITEMLVFSDEMIKSTPAANDEQILVKASKLDPVRSSEPTHLSSKRILVAVVVILVSVLWYLIQQKNWC >DRNTG_30104.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31133234:31136900:-1 gene:DRNTG_30104 transcript:DRNTG_30104.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDDEQDENYLYQDMTQNKERTCGGTIPWEVLTRVELDSAYVNEKLLNLEILLMQVENRESNFDGISLGNKDISAESLEIAFEFYTLSGILNSEVKELEKFMCFLQTEVVDAQTGLSCNGCFKESLIEFEEKLHAAQECLNQSQAQVADIKMQTAKFERVLALGIDANDIVENGPDTSINARWKLQHVEHQGHILPLYEKSIAKALDLDKKLTVSRNNEEAFKLKLRFAESELYNLEESFEMVMGRLFLAENTSELLLSTSKELLGKFWNAQLDLDDPLHHECVSRSKLNKIILKSSTGESLSGQHTPVSAELDDILTLPVNGLKSNLENTKDECTAPSEVFALREKVRSLEDQLRKCEINLHSTKGSAKESQQQQKLHYEASEFDHVINDLRKNVLEIETRAQNAETKCELLTKSNSELTEELDIVRSNAEEKANLLERKLRQSDMLLEHAKASFQAMEEQQSLLNSTLIDMENLIADLKAMVAKAESRAEIAESKYNLLTQSNFDLNEELSFLRGKLECLQASLHDADESKIATSRDINNRSKIIANLVMKLASERERLQTQISELTKRNKYLVAKHLKKKDKGSLCANEEGNHCKSVHLKASEEVLTKTSSTEPQVITEMLVFSDEMIKSTPAANDEQILVKASKLDPVRSSEPTHLSSKRILVAVVVILVSVLWYLIQQKNWC >DRNTG_30104.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31133234:31136900:-1 gene:DRNTG_30104 transcript:DRNTG_30104.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGRLFLAENTSELLLSTSKELLGKFWNAQLDLDDPLHHECVSRSKLNKIILKSSTGESLSGQHTPVSAELDDILTLPVNGLKSNLENTKDECTAPSEVFALREKVRSLEDQLRKCEINLHSTKGSAKESQQQQKLHYEASEFDHVINDLRKNVLEIETRAQNAETKCELLTKSNSELTEELDIVRSNAEEKANLLERKLRQSDMLLEHAKASFQAMEEQQSLLNSTLIDMENLIADLKAMVAKAESRAEIAESKYNLLTQSNFDLNEELSFLRGKLECLQASLHDADESKIATSRDINNRSKIIANLVMKLASERERLQTQISELTKRNKYLVAKHLKKKDKGSLCANEEGNHCKSVHLKASEEVLTKTSSTEPQVITEMLVFSDEMIKSTPAANDEQILVKASKLDPVRSSEPTHLSSKRILVAVVVILVSVLWYLIQQKNWC >DRNTG_07986.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27328484:27330022:-1 gene:DRNTG_07986 transcript:DRNTG_07986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDAQVSYGGYSTSSRLSFLELVLVNADEDIFVRVNRRVDAIAPEPLAQKQDERSTSSVCQILMTEFPRLVARVEALEGRSQSTAPFLQTNEAPGTDEALEFDDEDFIGMVIPRCPCLKRLAKKRKIIMPLYPPSADDETIAAPSVVDAVIESVTIDDMPVTMEKIIDDVDIAAVDKIVDSVVNEIPITVEPPADSPASKVDTIPEEQEPAKGMSPVDVVAVVSVEKIVDSIVNEIIVTVEPAVDSAASKADTIPQQQEACKDMSPVDAVVVLASKEDAAGAEHRQPSAIVPHDDPKNSY >DRNTG_01725.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23572865:23575737:1 gene:DRNTG_01725 transcript:DRNTG_01725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDAFFGNKEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVMFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGKAKAEFQAIISDPFMLNSVILIFANKQDMKGAMTPMEVCEGLGLYDLRNRVWHIQGSCALKGEGLYEGLDWLASTLKELQASGRATSVGTSSVPSFG >DRNTG_11111.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30587540:30589231:-1 gene:DRNTG_11111 transcript:DRNTG_11111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDDCRRRRFRPGGSMEELDGGSWVCRARFSSMAFPSLDTVKLSENLLSTEQRWNLYKFCLDLKLKNSTSLSETTSFTSSSSSSPATSSNGLDQKLRNLDIDSSESKPTTSRKTDPDSRKSNLFLNRRNERRRSRGGNRRSVSPLGSTELSDTSKEESKSHGKRFFLLPLRRHKSKNKSVSKDDLVQHATRATSPSSLEKLKDKKENNSWSTCFYAGVGKVMAVEDV >DRNTG_27521.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21109561:21115720:1 gene:DRNTG_27521 transcript:DRNTG_27521.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQLFSKNTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGAEGFQKLFFGQEEIAIPVHSSIEAACEAHPTADVFINFASFRSAAASSLSALKQPTIRVIAIIAEGVPESDTKQLIAYARENNKVVIGPATVGGIQAGAFKIGDTAGTIDNIVQCKLYRPGSVGFVSKSGGMSNELYSTIARVTDGIYEGIAIGGDVFPGSTLSDHILRLENIPQVKMMVVLGELGGKDEYSLVEALKEGRIKKPVVAWVSGTCARLFKSEVQFGHAGAKSGGELESAQGKNEALRDAGAIVPTSYEALETAIKETFAKLVKEGKITPVTEVKPPSIPEDLSTAIKSGRIRAPTHIISTISDDRGEEPTYAGVPMSTIVERGYGVGDVISLLWFKRSLPRYCTKFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAVDRGLTPYEFVESMKRKGIRVPGIGHRIKRGDNRDKRVELLQEYAHTHFPSQKHMDYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFNKQEIDEIVEIGYLNGLFVLARAIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >DRNTG_27521.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21113499:21115720:1 gene:DRNTG_27521 transcript:DRNTG_27521.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIVERGYGVGDVISLLWFKRSLPRYCTKFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAVDRGLTPYEFVESMKRKGIRVPGIGHRIKRGDNRDKRVELLQEYAHTHFPSQKHMDYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFNKQEIDEIVEIGYLNGLFVLARAIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >DRNTG_12299.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29727299:29728393:1 gene:DRNTG_12299 transcript:DRNTG_12299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAPWRVDHRHNQRSSSEPSMMYLGRGLRTLSGFYSS >DRNTG_15913.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:979153:982318:-1 gene:DRNTG_15913 transcript:DRNTG_15913.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLELIHLLRLLNLCLLFSKKPFYLFLETAGYSQADVLLQEAKAGLLKPAFTIIRDERSKCFLLIIRGTHSIKDTLTAATGAVVPFHHSVLDEGGVSNLVLGYAHCGMVAAAHCIAKRTTPVLHKALSQHPDYSIKIVGHSLGGGTAALLAYILREHKEFCSSTCVAFAPAACMTWDLAESGKQFITTVINGSDLVPTFSTASIDELRSEVTASSWLNDLRDQVQHTRFLNVVYRSASALGSRLPSISGARARVAGAGALLEPVSSKTQVVMKQAQNVAQSVVRTRSSLSSWSCMGARRRVIGASANSKEDDVGDSRTLSQTNSDALLIKQVNSDTDVDAADFSSSRDTDHEESEEEEPIKADETTRISIAEEMTDGELWFELEKELHRREQTDKQVRDEEAAAAKEITEEENIVLMEAVENKQPMSSDDLERHQFFPPGKIMHMVAMPSIDPNTGEEVSADDNVGIYETPRNLYSKIRLSRTMINDHYMPMYKKMMELLIEKLEKDECEDAVDNNTTREL >DRNTG_15913.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:979153:983067:-1 gene:DRNTG_15913 transcript:DRNTG_15913.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMATAAGVAMLLYFVLSRRLSARDVEDVSKPGKAGRRRVALRRPAQAPATWREAASTLAETLRFAYAETLGKWPIGDLAFGIKYLMRRQGNLHVARVYAGSDCVQLNGPGIMLELIHLLRLLNLCLLFSKKPFYLFLETAGYSQADVLLQEAKAGLLKPAFTIIRDERSKCFLLIIRGTHSIKDTLTAATGAVVPFHHSVLDEGGVSNLVLGYAHCGMVAAAHCIAKRTTPVLHKALSQHPDYSIKIVGHSLGGGTAALLAYILREHKEFCSSTCVAFAPAACMTWDLAESGKQFITTVINGSDLVPTFSTASIDELRSEVTASSWLNDLRDQVQHTRFLNVVYRSASALGSRLPSISGARARVAGAGALLEPVSSKTQVVMKQAQNVAQSVVRTRSSLSSWSCMGARRRVIGASANSKEDDVGDSRTLSQTNSDALLIKQVNSDTDVDAADFSSSRDTDHEESEEEEPIKADETTRISIAEEMTDGELWFELEKELHRREQTDKQVRDEEAAAAKEITEEENIVLMEAVENKQPMSSDDLERHQFFPPGKIMHMVAMPSIDPNTGEEVSADDNVGIYETPRNLYSKIRLSRTMINDHYMPMYKKMMELLIEKLEKDECEDAVDNNTTREL >DRNTG_10988.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000482.1:93224:94676:1 gene:DRNTG_10988 transcript:DRNTG_10988.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKGEDKEKKGGDGAKKEGGGGGGGPVTVVLKVDMHCEGCAKKVKKSVKNFEGVESVAVDHASNKLTVIGKVDPAKIRDRVEEKTRKKVELISPKDQPKEGQSLVGEESRRPRRRRRRWQET >DRNTG_19571.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001020.1:23212:24083:1 gene:DRNTG_19571 transcript:DRNTG_19571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSQTWTSPATATLMIPTGAPVSTKTSNHAKPKASKLFSPLEVH >DRNTG_05396.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:25036017:25039089:-1 gene:DRNTG_05396 transcript:DRNTG_05396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATLAAALERATLEDPGREIRETENPHGSVEVIHTRVEIPQGHKPRLKRVERVSREVAERPLSPLVRFILPPIPRVLCGHNKVNGLRDDLPLWLSCECNGVKC >DRNTG_30177.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5625790:5630077:-1 gene:DRNTG_30177 transcript:DRNTG_30177.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHSAQETHKQLLQLVQQIPPHQHTHQVGNV >DRNTG_30177.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5625790:5627813:-1 gene:DRNTG_30177 transcript:DRNTG_30177.3 gene_biotype:protein_coding transcript_biotype:protein_coding RELLPWHCADRRRDNTGWATAKRRGSERQKRTGGGGEERTNGGKVSEVLRFLNL >DRNTG_30177.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5625790:5632013:-1 gene:DRNTG_30177 transcript:DRNTG_30177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHSAQETHKQLLQLVQQIPPHQHTHQVGNV >DRNTG_05391.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000241.1:5225:9301:-1 gene:DRNTG_05391 transcript:DRNTG_05391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEIRAHMQLFEELSKCDSDADPDDVTTFHQVDRLPHMDDLDLDEDTIEKMEEARLAYAAALAAAKEDPGEESLISLAEARIKLQAFVL >DRNTG_25380.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24291544:24295413:-1 gene:DRNTG_25380 transcript:DRNTG_25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITTGHDHESRLLEEAADPHANIYNNKGGSHGGAGGAGGLSKDMRHGHGRTAHNMSSSSLRKKSDLSLVSKVRCTVLRGFLATLQEIFLGTKLFVLFPAVPLAIAAHYYHLGRAWVFALSLLGLTPLAERVSFLTEQIAFYTGPTVGGLLNATCGNATELIIALFALRRAKIEVVKWSLMGSVLSNLLLVLGTSLFCGGLANIRKEQLFDPKQAEVNTGLLLLGSLCHALPLMLRYSVSSDEQGAVAVPTLMLSRVCSIVMLIAYVAYLFFQLKTHRRLFEAQEEDETDDSVSEDAPALGFASALAWLIGMTVVIAILSEYVVGTIEAASESWGISVSFISIILLPIVGNAAEHAGAIIFAFKNKLDITLGVSLGSATQISMFVVPLSVIVAWIMGIQMDLDFKLLETGSLIIAILATSFTLQDGTSHYMKGFVLLLCYIVIGSCFFVLKAPPLNEAHSVNSSSAVAPKGVISI >DRNTG_11119.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30509640:30513420:-1 gene:DRNTG_11119 transcript:DRNTG_11119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVRALGALLHRVSLSSSLAPLSSASALRGIRWLERSPLSLPSSEVARRARRIWATGPVCMGRRSCKIAGRKDAQNLKKMKRNSKIGKEIVAAVKKGGPNPKSNTVLAAVLEKARELDVPKEIVERNIKRASDKGQETYIEKFYEVYGFGGAGMVVEVLTDKITRSVAAVRGVVKDCGAKLADPGSILFKFRRVRVVNVKVADVDKDQLLAIALDAGAEDVIEPTVYDDDDEEDSSESYYKIVTSAENYTDILSKLRDEGVAFEPDNGFELLPLNHIEVDDEAVELNKELMSKLLELDDVDAVYSDQK >DRNTG_20398.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19352689:19357466:-1 gene:DRNTG_20398 transcript:DRNTG_20398.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRRRHGLCIGIIATLLLLFLLGCSAARTVNSVSAFVQNAVYSNKITIFSKSYCPYSVRAKRVFAELHERPFIVELDQRDDGHEIQSVLLDLVGKRTVPQVFVNGQHVGGSDDTLKAMANGQLHKILGRN >DRNTG_10844.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25032935:25034397:-1 gene:DRNTG_10844 transcript:DRNTG_10844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPLDLETFPQSTQVRGLGPVSDPVTDARVWVFSTPPCRSLQKSSRLSRKYTGECVCPCELPRNDPRPCGILNEFSRLDREYIGACVYPCRGVRLPKWLFPMEAHGVGNFHTPYGFLSFFDHFLHYFSQVWHRAPRSKKRGDELAREIDALLASVRMSFYHECHRVFSSYGLYDKTYTDTEEYGHLPTDYPGTLTPPQAYQALYG >DRNTG_21797.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17440701:17442798:1 gene:DRNTG_21797 transcript:DRNTG_21797.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTLYCSCASPAAVPPSLIVRRRSGGTGWAVFSPSMKSVKAPSLVVRASIVDSHESSSDFAKRMEQAWLISQQPGPVACSSCESNGYVECKWCRGTGFFILGNNLLCEVPSRNTTCVICAGKGSACCPDCKGTGFRAKWLGDPPPPPPPPTHQ >DRNTG_20922.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25522907:25524024:1 gene:DRNTG_20922 transcript:DRNTG_20922.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSWVSNSPSSSPSSDNRIKSRLHC >DRNTG_20922.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25522883:25524011:1 gene:DRNTG_20922 transcript:DRNTG_20922.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSWVSNSPSSSPSSDNRIKSRLHC >DRNTG_20922.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25522883:25523728:1 gene:DRNTG_20922 transcript:DRNTG_20922.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCSWVSNSPSSSPSSDNRIKSRLHC >DRNTG_20922.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25522907:25524011:1 gene:DRNTG_20922 transcript:DRNTG_20922.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCSWVSNSPSSSPSSDNRIKSRLHC >DRNTG_29415.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1854537:1858815:1 gene:DRNTG_29415 transcript:DRNTG_29415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPESHVAEVAGGEYEMQPELNPGQDVGSNFYPEMEDIDIMDTDEDELQRLLEVEFEDRTGSDNGEFVPDDEQNTRRLIKKRKYHRHTQSQIQEMEAFFRECPHPDDKQRKELSRAIGLDPLQIKFWFQNKRTQVKTQNERNENSHLRAENMRLSTENTIMKEVLSHGLCTNCGNSMELGQLAFEGNDLRVENAKLRDEIERISSIATKYAEKQPMISHQHSSLAIDGGVGIEKPVIIELAVAAMEEIVGMAQLCEPLWMSRFDQPLEILNEGIYIQNFSKGLGEKLTGFKTEATRETAVVPLNAVNIIEMLMDANQWMAFFPSIVSRAATLDVLSNGAAETFNGALQVMSAMFQMATQLVPSREGLFIRYCKQHAEKTWAVVDVSIDCLRPNSSMTWRRRPSGCLIEEMAEGFSKVTWVEHMEVDDGNVAEIYKPLVNSGLAFGAQRWVLALKRQCHCLASVHNDEVVDQIGALTSMLMRKNWMKLSERMVRIFTNGVSSAASDQWSLVAGTGANYDVKATTRKSMNEPGSPPGIILNATTSVWLQAPARSVFNFLHSENSRRQWDILTNNGVIEQVCQIANGGSHGNCISLLRVKNESLPSSMMILQESSYDASGAYVIYAPLDETAMGLVLKNDEPDFVALLPSGFAVSPDGPAGLLNGGNAAGSLLTVSFQILLNAAPAERISLASISTINSLIAFTIERIKSAVSCDDT >DRNTG_29415.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1855261:1858167:1 gene:DRNTG_29415 transcript:DRNTG_29415.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIDIMDTDEDELQRLLEVEFEDRTGSDNGEFVPDDEQNTRRLIKKRKYHRHTQSQIQEMEAFFRECPHPDDKQRKELSRAIGLDPLQIKFWFQNKRTQVKTQNERNENSHLRAENMRLSTENTIMKEVLSHGLCTNCGNSMELGQLAFEGNDLRVENAKLRDEIERISSIATKYAEKQPMISHQHSSLAIDGGVGIEKPVIIELAVAAMEEIVGMAQLCEPLWMSRFDQPLEILNEGIYIQNFSKGLGEKLTGFKTEATRETAVVPLNAVNIIEMLMDANQWMAFFPSIVSRAATLDVLSNGAAETFNGALQVMSAMFQMATQLVPSREGLFIRYCKQHAEKTWAVVDVSIDCLRPNSSMTWRRRPSGCLIEEMAEGFSKVTWVEHMEVDDGNVAEIYKPLVNSGLAFGAQRWVLALKRQCHCLASVHNDEVVDQIGALTSMLMRKNWMKLSERMVRIFTNGVSSAASDQWSLVAGTGANYDVKATTRKSMNEPGSPPGIILNATTSVWLQAPARSVFNFLHSENSRRQWDILTNNGVIEQVCQIANGGSHGNCISLLRVKNESLPSSMMILQESSYDASGAYVIYAPLDETAMGLVLKNDEPDFVALLPSGFAVSPDGPAGLLNGGNAAGSLLTVSFQILLNAAPAERISLASISTINSLIAFTIERIKSAVSCDDT >DRNTG_29415.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1854764:1858815:1 gene:DRNTG_29415 transcript:DRNTG_29415.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPESHVAEVAGGEYEMQPELNPGQDVGSNFYPEMEDIDIMDTDEDELQRLLEVEFEDRTGSDNGEFVPDDEQNTRRLIKKRKYHRHTQSQIQEMEAFFRECPHPDDKQRKELSRAIGLDPLQIKFWFQNKRTQVKTQNERNENSHLRAENMRLSTENTIMKEVLSHGLCTNCGNSMELGQLAFEGNDLRVENAKLRDEIERISSIATKYAEKQPMISHQHSSLAIDGGVGIEKPVIIELAVAAMEEIVGMAQLCEPLWMSRFDQPLEILNEGIYIQNFSKGLGEKLTGFKTEATRETAVVPLNAVNIIEMLMDANQWMAFFPSIVSRAATLDVLSNGAAETFNGALQVMSAMFQMATQLVPSREGLFIRYCKQHAEKTWAVVDVSIDCLRPNSSMTWRRRPSGCLIEEMAEGFSKVTWVEHMEVDDGNVAEIYKPLVNSGLAFGAQRWVLALKRQCHCLASVHNDEVVDQIGGNNII >DRNTG_29415.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1854537:1858815:1 gene:DRNTG_29415 transcript:DRNTG_29415.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPESHVAEVAGGEYEMQPELNPGQDVGSNFYPEMEDIDIMDTDEDELQRLLEVEFEDRTGSDNGEFVPDDEQNTRRLIKKRKYHRHTQSQIQEMEAFFRECPHPDDKQRKELSRAIGLDPLQIKFWFQNKRTQVKTQNERNENSHLRAENMRLSTENTIMKEVLSHGLCTNCGNSMELGQLAFEGNDLRVENAKLRDEIERISSIATKYAEKQPMISHQHSSLAIDGGVGIEKPVIIELAVAAMEEIVGMAQLCEPLWMSRFDQPLEILNEGIYIQNFSKGLGEKLTGFKTEATRETAVVPLNAVNIIEMLMDANQWMAFFPSIVSRAATLDVLSNGAAETFNGALQVMSAMFQMATQLVPSREGLFIRYCKQHAEKTWAVVDVSIDCLRPNSSMTWRRRPSGCLIEEMAEGFSKVTWVEHMEVDDGNVAEIYKPLVNSGLAFGAQRWVLALKRQCHCLASVHNDEVVDQIGALTSMLMRKNWMKLSERMVRIFTNGVSSAASDQWSLVAGTGANYDVKATTRKSMNEPGSPPGIILNATTSVWLQAPARSVFNFLHSENSRRQWDILTNNGVIEQVCQIANGGSHGNCISLLRVKNESLPSSMMILQESSYDASGAYVIYAPLDETAMGLVLKNDEPDFVALLPSGFAVSPDGPAGLLNGGNAAGSLLTVSFQILLNAAPAERISLASISTINSLIAFTIERIKSAVSCDDT >DRNTG_19758.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6054539:6059680:-1 gene:DRNTG_19758 transcript:DRNTG_19758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILDNHISKPEWCCGREDGNGFFGDLYFNHDEWIKLLTKMATMFNGVTNVIAMSLRNELSGPRENPIDWFINRGEWKSGNPKQVCRCIAGNSSPNLIWTGLYGHWKEATTQGKAKLALIRLTQFYPMISKTWDHCGGPTSKWSMISSMMQLSSQLVTSGNNTLCLDVGSDGRTLVTNRCLCLDRSPNQVTDGWYTPRESIGNSTLVSKAIIVFVEAPIVISTLNEETQVMPIGTTIESNGNTTDSNPTVARYRASETIYNSCSFALTAANPLTFEEATQYEARRHIMPKSMPGHDLEPKQQLTHNGYHISLGKGVEEAITINTLVFSKFGKNLSPRKLGRPDFLRSEWQEMVCLPYSNGF >DRNTG_31896.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20358844:20363892:-1 gene:DRNTG_31896 transcript:DRNTG_31896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYGGGVAIFLSWLLLAYCAHSFYLPGVAPRDFQKDDELPVKVNKLSSTKTQLPYDYYFLDFCKPDKIMNSAENLGEVLRGDRIENSVYTFKMRNRETCKVACHRRLNAETAKNFMEKIDDEYRVNMILDNLPVAVARQRRDVSQTPSYEHGFRVGFKGNQVNGKDDNKYYINNHLSFKVMYHKDPESEDARIVGFAVTPISIKHQYSKWDEKNPKVTTCNPDTKITPVSHTHQEVAKDTDVVFTYDVSFEPSQIKWASRWDTYLLMNDDQIHWFSIINSFMIVLFLSGMVAMIMMRTLYRDIANYNQLETQDEAQEETGWKLVHGDVFRPPIKSSLLCVYVGTGVQFLGMAVVTMIFALLGFLSPSNRGALMTAMVLLWVFMGLFAGYSSARLYKMFKGTEWKKNTLKTAFMFPGIVFSIFFVLNALIWGEKSSGAVPFGTMFALVFLWFGISVPLVFVGSYLGFKKPAMEDPVKTNKIPRQIPEQAWYMQPAFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFIILLITCAEITIVLCYFQLCSEDYHWWWRAYLTAGSSALYLFLYSAFYFFTKLEITKFVSGVLYFGYMLIGSYSFFVLTGTIGFYACFWFVRKIYASVKID >DRNTG_26162.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29270112:29271444:1 gene:DRNTG_26162 transcript:DRNTG_26162.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGVFSGEVVEAPGELLAAGGRTPSPKTPASELIHRFVHNSNSTISLQLTSLAHLSYSSSHHSPLRPMLAVKDEIYCLFSGMLDNMGSLKQYYGLCKMANEAVLVLEAYKALRDRAPYPLSSMLAHLSGTFSFLVFDTTTSTLLVASDPDGKVPLFWGITADGWVAFSDDLGLLKASCGKSLAPFPPGCYYSNILGGLKSYENPKNKITAIPANEEEICGATFKVEGPVVAASQ >DRNTG_26162.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29270073:29271444:1 gene:DRNTG_26162 transcript:DRNTG_26162.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGVFSGEVVEAPGELLAAGGRTPSPKTPASELIHRFVHNSNSTISLQLTSLAHLSYSSSHHSPLRPIRLAVKDEIYCLFSGMLDNMGSLKQYYGLCKMANEAVLVLEAYKALRDRAPYPLSSMLAHLSGTFSFLVFDTTTSTLLVASDPDGKVPLFWGITADGWVAFSDDLGLLKASCGKSLAPFPPGCYYSNILGGLKSYENPKNKITAIPANEEEICGATFKVEGPVVAASQ >DRNTG_26162.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29270112:29271864:1 gene:DRNTG_26162 transcript:DRNTG_26162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGVFSGEVVEAPGELLAAGGRTPSPKTPASELIHRFVHNSNSTISLQLTSLAHLSYSSSHHSPLRPIRLAVKDEIYCLFSGMLDNMGSLKQYYGLCKMANEAVLVLEAYKALRDRAPYPLSSMLAHLSGTFSFLVFDTTTSTLLVASDPDGKVPLFWGITADGWVAFSDDLGLLKASCGKSLAPFPPGCYYSNILGGLKSYENPKNKITAIPANEEEICGATFKVEGPVVAASQ >DRNTG_26162.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29270112:29271444:1 gene:DRNTG_26162 transcript:DRNTG_26162.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGVFSGEVVEAPGELLAAGGRTPSPKTPASELIHRFVHNSNSTISLQLTSLAHLSYSSSHHSPLRPIRLAVKDEIYCLFSGMLDNMGSLKQYYGLCKMANEAVLVLEAYKALRDRAPYPLSSMLAHLSGTFSFLVFDTTTSTLLVASDPDGKVPLFWGITADGWVAFSDDLGLLKASCGKSLAPFPPGCYYSNILGGLKSYENPKNKITAIPANEEEICGATFKVEGPVVAASQ >DRNTG_26162.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29270073:29271420:1 gene:DRNTG_26162 transcript:DRNTG_26162.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGVFSGEVVEAPGELLAAGGRTPSPKTPASELIHRFVHNSNSTISLQLTSLAHLSYSSSHHSPLRPIRLAVKDEIYCLFSGMLDNMGSLKQYYGLCKMANEAVLVLEAYKALRDRAPYPLSSMLAHLSGTFSFLVFDTTTSTLLVASDPDGKVPLFWGITADGWVAFSDDLGLLKASCGKSLAPFPPGCYYSNILGGLKSYENPKNKITAIPANEEEICGATFKVEGPVVAASQ >DRNTG_26162.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29270112:29271420:1 gene:DRNTG_26162 transcript:DRNTG_26162.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGVFSGEVVEAPGELLAAGGRTPSPKTPASELIHRFVHNSNSTISLQLTSLAHLSYSSSHHSPLRPIRLAVKDEIYCLFSGMLDNMGSLKQYYGLCKMANEAVLVLEAYKALRDRAPYPLSSMLAHLSGTFSFLVFDTTTSTLLVASDPDGKVPLFWGITADGWVAFSDDLGLLKASCGKSLAPFPPGCYYSNILGGLKSYENPKNKITAIPANEEEICGATFKVEGPVVAASQ >DRNTG_11284.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1321803:1326350:-1 gene:DRNTG_11284 transcript:DRNTG_11284.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQPAPAGDNPAPKQPLVDVVPKSLDPPPPNPQPSPKSVSWSPDLTQESPPPPPPMPEEHNPYVSAPPASSAKNTMETVKDVVGRWGKKVGEATRKGQDLAGNMWQHLKTGPSMAEAAMGRIAQGTKVIAEGGYEKIFQQTFDALPEEKLNKSYICYLSTSAGPVMGILYLSTSKLAFCSDNPLSYKVGDQTEWSYYKVVIPMHQLRAITPTTSSTNSAEKYIQVVSVDNHEFWFMGFVNYDGALTCLQEALHDAHDAQP >DRNTG_30210.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:25785:29089:-1 gene:DRNTG_30210 transcript:DRNTG_30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKQQTSYTYWVRDTKADAAPMPVPRKLSADDISNQTQPATLGSVWNQAGTWEEKNLNSWASNRIKELLTSLKSLEFSGGKADINEVSKCDGDAFLVTVRNKKRVGYTYELTLKFKGEWLLNKEAKKIKGHLDIPEFSFGELEDLQIVVRLSEEKDLAAEDKTRVTQDLGLFLPPIREKLLQFEQELKDR >DRNTG_25863.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8938374:8940699:1 gene:DRNTG_25863 transcript:DRNTG_25863.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAKTKFLSPNNSHPRQSFISNLHLLGGNDANQASTPISASFGPPAIGIARSASSPSSSPISNPNPLLSNSSKALCIGARIGQEVTALHAIGISSTICMDLIPNPSLVVASDFHSQPFPDSSFDFKFLNVFNHTLYPDHFAAEIERTLWPGVVCVLHVMIKHKGDKYSANDLSGIDGVVMMFKRMKVVNVCEVDGFGLDTEIVFKKKPLSAPATLTEK >DRNTG_22447.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18050727:18062055:-1 gene:DRNTG_22447 transcript:DRNTG_22447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESATPFMASRSLLLPRSVPISRSPAPEIARNGVHSCPSTSSSASLKCHRKSSRILLPYCAVSDLQPSKTSPELGLLLEVEGVIADIYRLGNRQAFNVAFQKLGLDCANWTEPVYADLTRKASGDEERMLVLFFNRIGWPTALPTNEKGTFTKSVLREKWKALGEFAASANLLLRPGVEIFIDDALKEGIPVVVLTAYSKNGDKISRSIIEKLGHDRISKIKIVGKEEVEGSFYGQLVLGKGVSSSLEEQLAKEARKAVSMEKQKVAEEVASTLKLSVNLDTTAPESFDNIVITLRAGAECAGLPVDKCILIAGSQSGVLGADHISMPCVVLRSSLTARAEFRTAKAVMDGFGGADLTISKLQSKRW >DRNTG_06821.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5148534:5149660:1 gene:DRNTG_06821 transcript:DRNTG_06821.1 gene_biotype:protein_coding transcript_biotype:protein_coding TFLIFFSNLVLACRPTQEQAEAPHLPETLRPPRLPDLHPSSTP >DRNTG_30477.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21190751:21192681:1 gene:DRNTG_30477 transcript:DRNTG_30477.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable rRNA-processing protein EBP2 homolog [Source:Projected from Arabidopsis thaliana (AT3G22660) UniProtKB/Swiss-Prot;Acc:Q9LUJ5] MGAFKKDKTMDEDDLSDVEEELESKSDSEQELVPLKEPSKDVVYNEDGLLEKLEDIAWPENVDWMHKLTIDHEQAADIDVEDDLAREMAFYTQALDGTRKAFANLQSIGLPFLRPPDYYAEMVKSDTHMLKVKSKLLVEKKKIEEAEERKKAREAKKIAKEVQAQKNKERAKRKKEDIESVKKWRKQRQQSGFAKGKDEDPGFNFDEGNSFERSKKKRPGVAPGDRSGGFKKQGWNKKRDHRESKFGHGGRKGMKKQNTAESTNDFKNFNKGERKK >DRNTG_30477.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21190751:21192584:1 gene:DRNTG_30477 transcript:DRNTG_30477.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable rRNA-processing protein EBP2 homolog [Source:Projected from Arabidopsis thaliana (AT3G22660) UniProtKB/Swiss-Prot;Acc:Q9LUJ5] MGAFKKDKTMDEDDLSDVEEELESKSDSEQELVPLKEPSKDVVYNEDGLLEKLEDIAWPENVDWMHKLTIDHEQAADIDVEDDLAREMAFYTQALDGTRKAFANLQSIGLPFLRPPDYYAEMVKSDTHMLKVKSKLLVEKKKIEEAEERKKAREAKKIAKEVQAQKNKERAKRKKEDIESVKKWRKQRQQSGFAKGKDEDPGFNFDEGNSFERSKKKRPGVAPGDRSGGFKKQGWNKKRDHRESKFGHGGRKGMKKQNTAESTNDFKNFNKGERKK >DRNTG_30477.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21190693:21192634:1 gene:DRNTG_30477 transcript:DRNTG_30477.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable rRNA-processing protein EBP2 homolog [Source:Projected from Arabidopsis thaliana (AT3G22660) UniProtKB/Swiss-Prot;Acc:Q9LUJ5] MGAFKKDKTMDEDDLSDVEEELESKSDSEQELVPLKEPSKDVVYNEDGLLEKLEDIAWPENVDWMHKLTIDHEQAADIDVEDDLAREMAFYTQALDGTRKAFANLQSIGLPFLRPPDYYAEMVKSDTHMLKVKSKLLVEKKKIEEAEERKKAREAKKIAKEVQAQKNKERAKRKKEDIESVKKWRKQRQQSGFAKGKDEDPGFNFDEGNSFERSKKKRPGVAPGDRSGGFKKQGWNKKRDHRESKFGHGGRKGMKKQNTAESTNDFKNFNKGERKK >DRNTG_30477.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21190751:21192634:1 gene:DRNTG_30477 transcript:DRNTG_30477.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable rRNA-processing protein EBP2 homolog [Source:Projected from Arabidopsis thaliana (AT3G22660) UniProtKB/Swiss-Prot;Acc:Q9LUJ5] MGAFKKDKTMDEDDLSDVEEELESKSDSEQELVPLKEPSKDVVYNEDGLLEKLEDIAWPENVDWMHKLTIDHEQAADIDVEDDLAREMAFYTQALDGTRKAFANLQSIGLPFLRPPDYYAEMVKSDTHMLKVKSKLLVEKKKIEEAEERKKAREAKKIAKEVQAQKNKERAKRKKEDIESVKKWRKQRQQSGFAKGKDEDPGFNFDEGNSFERSKKKRPGVAPGDRSGGFKKQGWNKKRDHRESKFGHGGRKGMKKQNTAESTNDFKNFNKGERKK >DRNTG_30477.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21190693:21192681:1 gene:DRNTG_30477 transcript:DRNTG_30477.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable rRNA-processing protein EBP2 homolog [Source:Projected from Arabidopsis thaliana (AT3G22660) UniProtKB/Swiss-Prot;Acc:Q9LUJ5] MGAFKKDKTMDEDDLSDVEEELESKSDSEQELVPLKEPSKDVVYNEDGLLEKLEDIAWPENVDWMHKLTIDHEQAADIDVEDDLAREMAFYTQALDGTRKAFANLQSIGLPFLRPPDYYAEMVKSDTHMLKVKSKLLVEKKKIEEAEERKKAREAKKIAKEVQAQKNKERAKRKKEDIESVKKWRKQRQQSGFAKGKDEDPGFNFDEGNSFERSKKKRPGVAPGDRSGGFKKQGWNKKRDHRESKFGHGGRKGMKKQNTAESTNDFKNFNKGERKK >DRNTG_30477.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21190751:21192584:1 gene:DRNTG_30477 transcript:DRNTG_30477.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable rRNA-processing protein EBP2 homolog [Source:Projected from Arabidopsis thaliana (AT3G22660) UniProtKB/Swiss-Prot;Acc:Q9LUJ5] MGAFKKDKTMDEDDLSDVEEELESKSDSEQELVPLKEPSKDVVYNEDGLLEKLEDIAWPENVDWMHKLTIDHEQAADIDVEDDLAREMAFYTQALDGTRKAFANLQSIGLPFLRPPDYYAEMVKSDTHMLKVKSKLLVEKKKIEEAEERKKAREAKKIAKEVQAQKNKERAKRKKEDIESVKKWRKQRQQSGFAKGKDEDPGFNFDEGNSFERSKKKRPGVAPGDRSGGFKKQGWNKKRDHRESKFGHGGRKGMKKQNTAESTNDFKNFNKGERKK >DRNTG_30477.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21190751:21192714:1 gene:DRNTG_30477 transcript:DRNTG_30477.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable rRNA-processing protein EBP2 homolog [Source:Projected from Arabidopsis thaliana (AT3G22660) UniProtKB/Swiss-Prot;Acc:Q9LUJ5] MGAFKKDKTMDEDDLSDVEEELESKSDSEQELVPLKEPSKDVVYNEDGLLEKLEDIAWPENVDWMHKLTIDHEQAADIDVEDDLAREMAFYTQALDGTRKAFANLQSIGLPFLRPPDYYAEMVKSDTHMLKVKSKLLVEKKKIEEAEERKKAREAKKIAKEVQAQKNKERAKRKKEDIESVKKWRKQRQQSGFAKGKDEDPGFNFDEGNSFERSKKKRPGVAPGDRSGGFKKQGWNKKRDHRESKFGHGGRKGMKKQNTAESTNDFKNFNKGERKK >DRNTG_30477.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21190693:21192584:1 gene:DRNTG_30477 transcript:DRNTG_30477.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable rRNA-processing protein EBP2 homolog [Source:Projected from Arabidopsis thaliana (AT3G22660) UniProtKB/Swiss-Prot;Acc:Q9LUJ5] MGAFKKDKTMDEDDLSDVEEELESKSDSEQELVPLKEPSKDVVYNEDGLLEKLEDIAWPENVDWMHKLTIDHEQAADIDVEDDLAREMAFYTQALDGTRKAFANLQSIGLPFLRPPDYYAEMVKSDTHMLKVKSKLLVEKKKIEEAEERKKAREAKKIAKEVQAQKNKERAKRKKEDIESVKKWRKQRQQSGFAKGKDEDPGFNFDEGNSFERSKKKRPGVAPGDRSGGFKKQGWNKKRDHRESKFGHGGRKGMKKQNTAESTNDFKNFNKGERKK >DRNTG_26033.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1672051:1679913:-1 gene:DRNTG_26033 transcript:DRNTG_26033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDAVVLAWGSGEDGQLGMGDWEEKDWACSIKGLESKNITAAVAGSRNSLAISENGHLYTWGWNQRGTLGHPQETKTESVPSLVKALADVKIVQAAVDGWHCLAVDDQGRAYAWGGNEYGQCGEEPERKEDGSKALRRDIAIPQRCAPKLSVRQVAAGGTHSVVLTVEGHVWTWGQPWPPGDIKQISTPVRVQGLEQVRLIAVGAFHNLALLEDGVLWAWGNNEYGQLGTGDTQPRSQPIPVQGLSDLTLVDIAAGGWHSTALTEKGEVYAWGRGEHGRLGFGDDKSSKMVPQKVQLLAAEDIVQMSCGGTHSVALTRDGRMFSFGRGDHGRLGYGRKVTTGHPMEVPINLPVPKNSTAGGRWRAKFVACGGRHTLTVAEWVNE >DRNTG_22634.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20706893:20707413:1 gene:DRNTG_22634 transcript:DRNTG_22634.1 gene_biotype:protein_coding transcript_biotype:protein_coding PNDRFSTASEHKKQQKIAKLGYKRQIKTDRNQRKQRE >DRNTG_23537.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22341876:22348624:1 gene:DRNTG_23537 transcript:DRNTG_23537.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVCLSLRVRSSPKGCRVWSMDEARGLDLNSRADHPESSSVDPVEALAMSPSAVAEADRADAGDGAGGELQSAEIDGQREDGGDLKMEMVEGRSLECGEVVAGVRAGCGEVDDVDRLGKDGSCGDLEMVVDVRGGEVGGASGKVVSGLEISDQVEQIEEGKERGLVESGQSESVTTDVVPCSSETQKGDAFAGVEERKNDGVTEVQGSVEDLEGVNADVEEVDIQEEVKEEGVSLASDLRGSELTSGSGERVIADEKRDMVVGEVEALSTVENDELVATPNSGKDSDVEGEVGVLDNRRIEVTIAHRADASEAGPMSVSDVATEAVVQVDRELELVDRSGGDEKMMLDTINAPCSSKTAGLESLEGATDLGICDQAEFVDDAASSLEHCERLIGDVPECDSEREMGSRKSGDQRLKLTEEREVSMDEITEPPSVAPSVPKSDSVEVSADLGDVDERHQEKKAVQGSCSNFLNPLVVVEEPTIEDKLGEHETVVLDLIDKNPDVQEVANASASEEEQKIESVSLDNNRPITLTKESDTINVHEGDRGDQKAAINLVPLINKNLEVEADAVLANEEVAKAVVSVPADDPSLVPIMKNTVSANVIAEAHDRDLNPICESVRGHLVNTEDSICAHDPENSIMDSDVQTSYANSVEVDIAQGGAKANVNLESSGSAEKSKNRNIQLVIENPDDGGESSNDNPYLLDKDMMEIDEPKPSMLSSIQSFTPQEKQEAKATIASTDDDNLGNNSYEAVSPVFPQSEPVGLDASPGRVQMLNTESMNYTANTSLDEFCRHADVVRESGPSAGLPFKGESALQDGSSTDVMDPCIDGEADILQSAFVDGDENFTMTEGGQDVMNTDLCNDGTDKVHDKEDKVLERVDGVTVEDCEVSNMEKGQHARYYLASQDTTSVSISDLVWGKVKSHPWWPGQIFDSSDASELALKYQKKDNFLVAYFGDKTFAWCEESQLKPFLEYFSQMANQSTSDAFINALDDVLQEVSRRVQLGMTCQCTPEVTYTDIKYQKIDNAGVRKGTSGKVFDRSQIVNSFQPDRLLLYVRTLAQFSKRETDRLDLVLAQAHLNAFYMLKGYSQHPVLFLCGGLAENDDEVSPPGEDISEPQNAVEHHYGKNKLRGRKHTSDKQNASEDISEQNVYELMEAETNFQFVDGDRKKSGLELPGKSYSRLSGRKHKIDDFDPMDLEKNKKERFDSLGDFKPKPSLIGSFKIGECIRRAASQLTGSTPVLKSPSEALQKSVSKGGDHTSFDVSGFDDSPHTPVETRRTKARISDDNSSSSEMLSQLCLAARDPFKHYSFIPQIVNFFSDFRNSSVSDSSKDSEPVPAPVEKIAAKRGRKKKTDTQPSSVPASSVTSAPDYMSDSYWSDMLFHSSPEGNLSPNGMKRKGSYMRSQRKKRKSLLETTSSLQPDPMLGNAKQQVVNASPNLKQELAAERPIIGLGRKHSDECTPTELVLCFNKPDSMPSRTDLIKIFGRYGPLKESATEVMKKTNRVKVVFKRRADAEIAFSSAGKYSIFGPSLISYRLRYLPSIPEDPTDSSPQEMQDALPDTTQQKAEDAPAEVNIQNAKDAPPGEIPQEARDGDQGTTPDASSNVISQDVEDVPTKTTHQDTNDAIPDITPDTHSEIMAQDAEDTPAKITQQDANKATPDTTADAPSETAPPDAEDTLPVAMSDAEDSLPVATSDAAPNVILQEAEHSLAETRQQDAEDILPDATADVLLLEMEDTPAETTQLDAEDTLPGTTSVAPPDIMLQDGEDTAAGNRPQDAEDTLPDTTSVAPPDIMLQDGEDSAAEIRLQDAEDALPDVIPDVTFQQAEDTHAETTQQDTEDALQDATSVAPPDVVLQDMEDIPAQTVASDANNALPDKISSATPDVTLQEAEDTPAGITQQGAEVAVADTTLDAPSDTILQDMEDTPAEAIPQDAEVAPSVIVLQEVEAAPAETILQDAEDALPDITSDAHADMVLHDMEHAHAEATPQDPEDSLPDTTSDAPTDKILNCMEVTPAESSPQGTLDETLDATPEMMLQDVEDTPTETKQQDAEVLVPDPKLDALPDKVLQDAEVSPAETSPQYADAALPDSTTLDLLPNKVAQDVEDTLLQTTPQDANDALPDTTLQDPRKALQSTIPEDSQGIASETKPQDVKDVASEDS >DRNTG_23537.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22342037:22348624:1 gene:DRNTG_23537 transcript:DRNTG_23537.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVCLSLRVRSSPKGCRVWSMDEARGLDLNSRADHPESSSVDPVEALAMSPSAVAEADRADAGDGAGGELQSAEIDGQREDGGDLKMEMVEGRSLECGEVVAGVRAGCGEVDDVDRLGKDGSCGDLEMVVDVRGGEVGGASGKVVSGLEISDQVEQIEEGKERGLVESGQSESVTTDVVPCSSETQKGDAFAGVEERKNDGVTEVQGSVEDLEGVNADVEEVDIQEEVKEEGVSLASDLRGSELTSGSGERVIADEKRDMVVGEVEALSTVENDELVATPNSGKDSDVEGEVGVLDNRRIEVTIAHRADASEAGPMSVSDVATEAVVQVDRELELVDRSGGDEKMMLDTINAPCSSKTAGLESLEGATDLGICDQAEFVDDAASSLEHCERLIGDVPECDSEREMGSRKSGDQRLKLTEEREVSMDEITEPPSVAPSVPKSDSVEVSADLGDVDERHQEKKAVQGSCSNFLNPLVVVEEPTIEDKLGEHETVVLDLIDKNPDVQEVANASASEEEQKIESVSLDNNRPITLTKESDTINVHEGDRGDQKAAINLVPLINKNLEVEADAVLANEEVAKAVVSVPADDPSLVPIMKNTVSANVIAEAHDRDLNPICESVRGHLVNTEDSICAHDPENSIMDSDVQTSYANSVEVDIAQGGAKANVNLESSGSAEKSKNRNIQLVIENPDDGGESSNDNPYLLDKDMMEIDEPKPSMLSSIQSFTPQEKQEAKATIASTDDDNLGNNSYEAVSPVFPQSEPVGLDASPGRVQMLNTESMNYTANTSLDEFCRHADVVRESGPSAGLPFKGESALQDGSSTDVMDPCIDGEADILQSAFVDGDENFTMTEGGQDVMNTDLCNDGTDKVHDKEDKVLERVDGVTVEDCEVSNMEKGQHARYYLASQDTTSVSISDLVWGKVKSHPWWPGQIFDSSDASELALKYQKKDNFLVAYFGDKTFAWCEESQLKPFLEYFSQMANQSTSDAFINALDDVLQEVSRRVQLGMTCQCTPEVTYTDIKYQKIDNAGVRKGTSGKVFDRSQIVNSFQPDRLLLYVRTLAQFSKRETDRLDLVLAQAHLNAFYMLKGYSQHPVLFLCGGLAENDDEVSPPGEDISEPQNAVEHHYGKNKLRGRKHTSDKQNASEDISEQNVYELMEAETNFQFVDGDRKKSGLELPGKSYSRLSGRKHKIDDFDPMDLEKNKKERFDSLGDFKPKPSLIGSFKIGECIRRAASQLTGSTPVLKSPSEALQKSVSKGGDHTSFDVSGFDDSPHTPVETRRTKARISDDNSSSSEMLSQLCLAARDPFKHYSFIPQIVNFFSDFRNSSVSDSSKDSEPVPAPVEKIAAKRGRKKKTDTQPSSVPASSVTSAPDYMSDSYWSDMLFHSSPEGNLSPNGMKRKGSYMRSQRKKRKSLLETTSSLQPDPMLGNAKQQVVNASPNLKQELAAERPIIGLGRKHSDECTPTELVLCFNKPDSMPSRTDLIKIFGRYGPLKESATEVMKKTNRVKVVFKRRADAEIAFSSAGKYSIFGPSLISYRLRYLPSIPEDPTDSSPQEMQDALPDTTQQKAEDAPAEVNIQNAKDAPPGEIPQEARDGDQGTTPDASSNVISQDVEDVPTKTTHQDTNDAIPDITPDTHSEIMAQDAEDTPAKITQQDANKATPDTTADAPSETAPPDAEDTLPVAMSDAEDSLPVATSDAAPNVILQEAEHSLAETRQQDAEDILPDATADVLLLEMEDTPAETTQLDAEDTLPGTTSVAPPDIMLQDGEDSAAEIRLQDAEDALPDVIPDVTFQQAEDTHAETTQQDTEDALQDATSVAPPDVVLQDMEDIPAQTVASDANNALPDKISSATPDVTLQEAEDTPAGITQQGAEVAVADTTLDAPSDTILQDMEDTPAEAIPQDAEVAPSVIVLQEVEAAPAETILQDAEDALPDITSDAHADMVLHDMEHAHAEATPQDPEDSLPDTTSDAPTDKILNCMEVTPAESSPQGTLDETLDATPEMMLQDVEDTPTETKQQDAEVLVPDPKLDALPDKVLQDAEVSPAETSPQYADAALPDSTTLDLLPNKVAQDVEDTLLQTTPQDANDALPDTTLQDPRKALQSTIPEDSQGIASETKPQDVKDVASEDS >DRNTG_17452.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:8164222:8170233:-1 gene:DRNTG_17452 transcript:DRNTG_17452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLECPNTKSEQAGDCGITSCLIGLVALLDPVEQTLIDNFMVQELDGTKNEWAWCKQKLGTNAILAVSLAVCKAGAMVKGIPLYQEFMILPVGASSFKEAMKDRC >DRNTG_10458.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1900870:1905055:-1 gene:DRNTG_10458 transcript:DRNTG_10458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSSSSSFSPAFMAAATAAAALVWASVLIGQCFAGDPFAFFDWNVEYDTASPLGVPQQVITINKQFPGPVMNITTNWNVVVNVLNSLDESLLITWDGIQHRKNSWQDGVLGTNCPIPPGWNWTYQFQVKDQIGSFFYFPSLKFQRAAGGFGGITVNNRAVIPVPFNLPDGDITLFIGDWYTRNHTDLRKTLDDGKSLGMPDGVLMNGKGPYRYNNTLVAAGIDYETINVQPGKTYRIRVHNVGVATSLNFRIQSHNMLLAETEGSYTWQQNYTNLDIHVGQSYSILVTMDQNASTDYYIVASARFVNESLWTRVTGVAILHYSNSKGKASGPLPDPPNDFYDKSFSMNQAKSIRMNTSTGAARPNPQGSFRYGSINVTQFYLLRNMPPVVINGKRRTTLNGISYTSPGTPLRLADQFEEKGVYTLDFPTMPPTSGAPRIGSSVINGTYKGFMEIVFQNNDTIVQSYHMDGYAFFVVGMGFGEWTTASRGDYNKWDGVSRCTTQVFPGAWTAVLVSLDNVGIWNIRAENLDTWYLGQEVYVKVVNPESATKTELPPPDNILYCGLLKDKQKAQTPHGTNSSSSASIVFVRGKLLILMLLFAVLAVIP >DRNTG_11481.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15355407:15356299:-1 gene:DRNTG_11481 transcript:DRNTG_11481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIRSLLRHRRSSKSKAASPSSPSSPSTNRPAGSDLELVFRKFDSNGDGQISTPELANILESLGQKASEEELSRMMAVADADGDGFISLNEFLDLMAADDASALEDLRHAFAVFDTDRSGSISAEELATVLRSLGEGASVAQCRRMIDSVDRDGDGMVSFEEFRIMMTSRSASLTASHKI >DRNTG_31096.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21284112:21285604:1 gene:DRNTG_31096 transcript:DRNTG_31096.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIRTQKQKKKGEQSESISKIPKNKRAITASILLIL >DRNTG_31096.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21284112:21285604:1 gene:DRNTG_31096 transcript:DRNTG_31096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIRTQKQKKKGEQSESISKIPKNKRAITASILLIL >DRNTG_00584.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29932699:29937359:-1 gene:DRNTG_00584 transcript:DRNTG_00584.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVMEGFGGSMERSCASLLKELEDIWKEMGESEEDKDLMLLELERECLLVYGRKVEEASKLRAQLHHSLAIKEAEVAALMASLGEHNLELQMEKKSSSLKKRLALVTPLLEDLRVRKEERVKQFSVVSLQIRKLRMEIAGYCSESNTSNSSFNIEEHEHDLSLRKLNDCQTQLQVLQKEKSDRLHKVLECVNDIHSLCAVLGLDFRKIVDEVHPSLHEAGLERYTYISDSILDGLTQVVLKLKKEKEVRLRKLRETMESLLKLWNMMDSSEQERKPFEKLTCIVGSLNQNATLTEVLSLETIEQAEAEVQRLTELKTSRMKEIVLKRRLELEEICREAHLEPDMSTSLEKCIALIESDLVDSSELVATIEAQILKAKEEVLSRKEIMDKINKWMASCDEENWLDEYNQDENRYMAGRGAHLNLKRAEKARVIISKLPAMVDNLITRTFVWEDEHNMPFFYDGVRLVSILEEYKLSRLKKEQQKKLLRDQKKLQSLLLAEKESIYGSKPSPRKSKINGSGFMTPMVRRNSFGGRTPELLTPHSYSGPRGRFSTTPFNFVALSKEDTSSSSASLSGSELGFGFHAQLQI >DRNTG_30762.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28703980:28704996:-1 gene:DRNTG_30762 transcript:DRNTG_30762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSSPPEVPSSSSSDQPPPPPSSSQISHQQLSRYESQKRRDWNTFLQYLKNHKPPLSLARCSGAHVIEFLKYLDQFGKTKVHSSDCSYFGHPSPPAPCSCPLRQAWGSLDALIGRLRAAYEEHDGKPETNPFGARAVRIYLREVRESQAKARGIPYEKKKRKRQPPLPPPPAGETSASVSDVAVDPAGETSASASSASVSVSVCAQEGEGEGEGEGGDAKRSEVVVEEEAGDGDDDNDDEDDTKKKSSSAS >DRNTG_24389.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2702977:2704337:-1 gene:DRNTG_24389 transcript:DRNTG_24389.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPEEAILIMDQPEEAKSGEANNRFKKISLNFLSKDRQPSRKVTTNDEKHSEGRQSHVFSRLFDKKTSLFSKKHAKAEADTSASSSAVPSGEDGSDWTLV >DRNTG_24389.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2702977:2703960:-1 gene:DRNTG_24389 transcript:DRNTG_24389.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPEEAKSGEANNRFKKISLNFLSKDRQPSRKVTTNDEKHSEGRQSHVFSRLFDKKTSLFSKKHAKAEADTSASSSAVPSGEDGSDWTLV >DRNTG_29945.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21369333:21370010:-1 gene:DRNTG_29945 transcript:DRNTG_29945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNQTIMLMKKILENYKGFENLNALVVMVLTLEVEFVGGDMIVSVPTGHDACHLHEENVVAEVPQDSDDSRNNSLADLVLLACFAGGKDRTEEYDHNRVDSQCSNY >DRNTG_08974.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000392.1:90463:95458:-1 gene:DRNTG_08974 transcript:DRNTG_08974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFEPCPEFYSSSRNASVFFGENGFSLFGAWKPENSRSQRRTARSPGQAMAVAVTPAKEVAGKKRTPTKQRRVVVTGMGVVSPLGHDPDVFYDNLLDGASGISEIETFDCASYPTRIAGEIKSFSTDGWVAPKLSKRMDKFMLYLLTAGKKALAYGGVTEEVMSQVDKTKCGVLIGSAMGGMKVFNDAIEALRVSYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEANMMLCGGSDAAIIPIGLGGFVACRALSQRNNDPTKASRPWDIDRDGFVMGEGAGVLLLEELEHAKQRGAKIYAEFLGGSFTCDAYHMTEPHPEGTGIVLCIEKALLESGVAREDVNYVNAHATSTLSGDLKEYQALIRCFGQNPELHVNSTKSMIGHLLGAAGAVEAVATVMAIQTGWVHPNVNLENPEKSVDVKVLVGSRKKRLDVKAALSNSFGFGGHNSSILFAPYK >DRNTG_34205.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6164186:6167663:-1 gene:DRNTG_34205 transcript:DRNTG_34205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPFSRRGASGFSWYSTAEEVTEGLDGSGLTAVVTGASSGIGSETARVLALRGVHVVMAVRNLSSGSVVKDSILNEIPSAKVDVLELDLTSMASVRKFASDFDALNLSLNILVNNAGVMATPFMLSQDGIEIQFATNHVGHFLLTHLLLDNMKNTAHKSSIEGRIVNVSSEGHRFAYREGIRFDKINNESEYTSFWAYGQSKLANILHANELTRRFKEEGVNITANSLHPGSIITNLLRYHSFIDVISRTLGRLVLKNAQQGAATTCYVALHPQVEGVSGKYFDSSNLATPSSKALDMDLAKKLWDFSMELIG >DRNTG_05880.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000271.1:18369:18963:-1 gene:DRNTG_05880 transcript:DRNTG_05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSHVIWKKVIGRAFVGIDPARCILGQTKDPLRQVEDSPQDHRGGASPFPFDVIDSTSVPRVLCGHNRVNGLRDESPLGLSCACNGVKR >DRNTG_17336.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32039341:32046101:-1 gene:DRNTG_17336 transcript:DRNTG_17336.2 gene_biotype:protein_coding transcript_biotype:protein_coding SIEKETPLTSGEEKQNTTKEIKSKDKNLRRRKTPRASQACLDSQKKECLLVCSIRIGVTGWS >DRNTG_17336.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32038435:32046101:-1 gene:DRNTG_17336 transcript:DRNTG_17336.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIEKETPLTSGEEKQNTTKEIKSKDKNLRRRKTPRASQACLDSQKKECLLVCSIRIGVTGWS >DRNTG_17336.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32042595:32046101:-1 gene:DRNTG_17336 transcript:DRNTG_17336.3 gene_biotype:protein_coding transcript_biotype:protein_coding SIEKETPLTSGEEKQNTTKEIKSKDKNLRRRKTPRASQACLDSQKKECLLVCSIRIGVTGWS >DRNTG_17336.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32045760:32046101:-1 gene:DRNTG_17336 transcript:DRNTG_17336.5 gene_biotype:protein_coding transcript_biotype:protein_coding SIEKETPLTSGEEKQNTTKEIKSKDKNLRRRKTPRASQACLDSQKKECLLVCSIRIGVTGWS >DRNTG_17336.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32043485:32046101:-1 gene:DRNTG_17336 transcript:DRNTG_17336.4 gene_biotype:protein_coding transcript_biotype:protein_coding SIEKETPLTSGEEKQNTTKEIKSKDKNLRRRKTPRASQACLDSQKKECLLVCSIRIGVTGWS >DRNTG_09043.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31376350:31376915:1 gene:DRNTG_09043 transcript:DRNTG_09043.1 gene_biotype:protein_coding transcript_biotype:protein_coding QPWLCRNPTSQSQNEIHHLMRSPWEPEGKNHF >DRNTG_31500.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18948777:18949136:-1 gene:DRNTG_31500 transcript:DRNTG_31500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRPVQPMPDESSCKEQLVRSQFHP >DRNTG_09157.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7033348:7036583:-1 gene:DRNTG_09157 transcript:DRNTG_09157.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQSNVHAPRVKLGSQGLEVSRLGYGCLGLTGLYNPPVSEEHGISIIIQAFLKGITFFDTADVYGPHSNEILIGKALKQLPREKIQLASKFGIENFDGSSLVINGRPEYVRACCEASLKRLDVAYIDLYYQHRVDKSVPIEDTVGEMKKLVEEGKVKYLGLSEASPDTIRRAHAVHPISAVQMEWSLWTRDLEQEIVPLCRELGIGIVTYCPLGSGFFAGKAVVENLPSESILAWHPKFSGDNFEKNKVLYACLTNLSEKHHCTPSQLALAWLLHQGSDVVPIPGTTKMENLENNIGSLNIKLTGEDLKEISDALPAEGFAGERLTGPFAAYIWQCANTPAKIW >DRNTG_09157.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7032591:7036583:-1 gene:DRNTG_09157 transcript:DRNTG_09157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQSNVHAPRVKLGSQGLEVSRLGYGCLGLTGLYNPPVSEEHGISIIIQAFLKGITFFDTADVYGPHSNEILIGKALKQLPREKIQLASKFGIENFDGSSLVINGRPEYVRACCEASLKRLDVAYIDLYYQHRVDKSVPIEDTVGEMKKLVEEGKVKYLGLSEASPDTIRRAHAVHPISAVQMEWSLWTRDLEQEIVPLCRELGIGIVTYCPLGSGFFAGKAVVENLPSESILAWHPKFSGDNFEKNKVLYACLTNLSEKHHCTPSQLALAWLLHQGSDVVPIPGTTKMENLENNIGSLNIKLTGEDLKEISDALPAEGFAGERLTGPFAAYIWQCANTPAKIWEMNKGRTEK >DRNTG_15110.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7140618:7141912:1 gene:DRNTG_15110 transcript:DRNTG_15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGIFFRMETDDVVGALARAMKAGAKKVGEVIEEACVCTGVQAGTVIDPFGVAWTITSISGASSSNKCC >DRNTG_00670.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16080384:16081298:1 gene:DRNTG_00670 transcript:DRNTG_00670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMRLGEDLESYFILRCRGNREKNKGNEWSDGMGGQVKQICIWYDDLIYGIQVSYERNGNIFHSPRHGGNEGNFEQILLNEPITCVRGHYGSWTWTDDNFPYVENAPYVNTVLIKSLTFETGKATHGPFGKEIGIPFRFKMATGCAGFHGRSSSDKDHGVLLAVGIYVRSSTIKPTSDVFSHIIAPVPSVIHANEDNE >DRNTG_00670.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16080384:16081298:1 gene:DRNTG_00670 transcript:DRNTG_00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGEDLESYFILRCRGNREKNKGNEWSDGMGGQVKQICIWYDDLIYGIQVSYERNGNIFHSPRHGGNEGNFEQILLNEPITCVRGHYGSWTWTDDNFPYVENAPYVNTVLIKSLTFETGKATHGPFGKEIGIPFRFKMATGCAGFHGRSSSDKDHGVLLAVGIYVRSSTIKPTSDVFSHIIAPVPSVIHANEDNE >DRNTG_26846.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1580398:1583080:1 gene:DRNTG_26846 transcript:DRNTG_26846.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAWLLKSSPKLQSSAKKKEAKGDQLHLSLLCLNELFKLNSYHDSFNELIEDLVCVAAPEFELECEMDDVQGSIHEQDLMMDDSHVKSINLLLEKRIKPLYLVLLSASFYRETEVLSELFLTICKKLPDKIRASHGCWVVKICRNTMIESPNAARSVLDLAIHLVSSPYDLILAHEIASELVKVIGSEDKEPIELSEAFAIVNYSTKTAIASVLLQLVEQSLTDLDWSTSKLKSYTISYQEARVSGERSNGSVLEESLYSRTEALVNLLSSFTEMNLNDSQSDQLLRITTKFYKLLARMTKLCIAPKGSKQLLPGHKFQKLAETTCRKLTSPLYNFVALVQRNQQENVQRKGIIGKIKRESKCIPELIFQIEDYEKYLIQLSKLTKVNLLRHAKRSTARDFKILEAKKPERDEPCSSSSEAEGEGEHELVNEDACMEPCDEESTGEEEGEEMVIKS >DRNTG_26846.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1577070:1583080:1 gene:DRNTG_26846 transcript:DRNTG_26846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIDLQDLPSLAYQLLVVASKGPDRRSVVRGLLGFFGNPVRGAGAVVRQVEGTVLMHLNFAVKQDPALGQEIVASVRLDLRSISHFVVAVLFSIARVRRFNESSIGALRMAVLGSRRDFQAARDCKWLLDCLKEECLEIALSVEKAFMKAINESNCGREHVVPSIVQFGFVLLESVESENGEDAGCSGGLVSIEELGISTLKTLFEVHDMARNEIIEQCKFRVLSLKPQQSAAVIRLLGNLVQSYPFPMLEHVGKLKELLDYFIFMHEKTATSLVNAILPLIRFSCDLQDYIILVVRKAMFRREDAVRLAATSAIIEMILAENRLKRNNTSSLQDSSSQASCSQQADLHCKNKEGLFQELSGLLRRCLSQQARVKEILYQGLVKLVLLDPSASSCVFDFLWPHFLQFYKEDAATPLRLDNCSKLDSGKFSLIEPLDSLLSCVSCILLLQPHGKPDRPSDFSLPCFGFSLSQENEAGTSTSGELFSNALKKIRTTLSQYRLGEHQESGSHPANGEKTCCDGPILAGIIEVIVNIIIAELEKASEEQKMTFEKEILELIDVYDSLEKASGTNKQGTLLRKGSKVNVQDIPDKTDNASKEGSRPSAPKLFQMRRHFLVTSSIHQLLGIAVKLFSAGNYNNQAVSQSNSQSL >DRNTG_26846.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1579872:1583080:1 gene:DRNTG_26846 transcript:DRNTG_26846.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAWLLKSSPKLQSSAKKKEAKGDQLHLSLLCLNELFKLNSYHDSFNELIEDLVCVAAPEFELECEMDDVQGSIHEQDLMMDDSHVKSINLLLEKRIKPLYLVLLSASFYRETEVLSELFLTICKKLPDKIRASHGCWVVKICRNTMIESPNAARSVLDLAIHLVSSPYDLILAHEIASELVKVIGSEDKEPIELSEAFAIVNYSTKTAIASVLLQLVEQSLTDLDWSTSKLKSYTISYQEARVSGERSNGSVLEESLYSRTEALVNLLSSFTEMNLNDSQSDQLLRITTKFYKLLARMTKLCIAPKGSKQLLPGHKFQKLAETTCRKLTSPLYNFVALVQRNQQENVQRKGIIGKIKRESKCIPELIFQIEDYEKYLIQLSKLTKVNLLRHAKRSTARDFKILEAKKPERDEPCSSSSEAEGEGEHELVNEDACMEPCDEESTGEEEGEEMVIKS >DRNTG_26846.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1582478:1583080:1 gene:DRNTG_26846 transcript:DRNTG_26846.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLCIAPKGSKQLLPGHKFQKLAETTCRKLTSPLYNFVALVQRNQQENVQRKGIIGKIKRESKCIPELIFQIEDYEKYLIQLSKLTKVNLLRHAKRSTARDFKILEAKKPERDEPCSSSSEAEGEGEHELVNEDACMEPCDEESTGEEEGEEMVIKS >DRNTG_26846.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1581856:1583080:1 gene:DRNTG_26846 transcript:DRNTG_26846.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIESPNAARSVLDLAIHLVSSPYDLILAHEIASELVKVIGSEDKEPIELSEAFAIVNYSTKTAIASVLLQLVEQSLTDLDWSTSKLKSYTISYQEARVSGERSNGSVLEESLYSRTEALVNLLSSFTEMNLNDSQSDQLLRITTKFYKLLARMTKLCIAPKGSKQLLPGHKFQKLAETTCRKLTSPLYNFVALVQRNQQENVQRKGIIGKIKRESKCIPELIFQIEDYEKYLIQLSKLTKVNLLRHAKRSTARDFKILEAKKPERDEPCSSSSEAEGEGEHELVNEDACMEPCDEESTGEEEGEEMVIKS >DRNTG_26846.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1578883:1583080:1 gene:DRNTG_26846 transcript:DRNTG_26846.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAWLLKSSPKLQSSAKKKEAKGDQLHLSLLCLNELFKLNSYHDSFNELIEDLVCVAAPEFELECEMDDVQGSIHEQDLMMDDSHVKSINLLLEKRIKPLYLVLLSASFYRETEVLSELFLTICKKLPDKIRASHGCWVVKICRNTMIESPNAARSVLDLAIHLVSSPYDLILAHEIASELVKVIGSEDKEPIELSEAFAIVNYSTKTAIASVLLQLVEQSLTDLDWSTSKLKSYTISYQEARVSGERSNGSVLEESLYSRTEALVNLLSSFTEMNLNDSQSDQLLRITTKFYKLLARMTKLCIAPKGSKQLLPGHKFQKLAETTCRKLTSPLYNFVALVQRNQQENVQRKGIIGKIKRESKCIPELIFQIEDYEKYLIQLSKLTKVNLLRHAKRSTARDFKILEAKKPERDEPCSSSSEAEGEGEHELVNEDACMEPCDEESTGEEEGEEMVIKS >DRNTG_02066.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000104.1:20620:25547:1 gene:DRNTG_02066 transcript:DRNTG_02066.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol 1,3,4-trisphosphate 5/6-kinase 4 [Source:Projected from Arabidopsis thaliana (AT2G43980) UniProtKB/Swiss-Prot;Acc:O80568] MGFVRGLLLDESLLLADDEHGDPFLPPRSARLLRRLQYSKLRVGICHQEDLSSRKANFLGRTAALYSLECISMNPLFITDSLNKKLPALSGSGETCFYVASGKSNEPISELKKLGWKIIYQSVDSLPTTNKDVLLISQLEELPFILSGFNKKEDDNLIVVGYVMKPSREEDFAKRGAFPMCPTQNGLMFVPLTLELPLEVQMKAVDAILHKATDEIISIDMSSSVDLPKGISFSGGMCELERYFRGHPECCIVDPLGKIYPLLDRLKIQGILLGLEDLNIKNHNKIRGPHFMKALVFKLEDFQDLSVPLPAIAQEYVDHGSLLFKFYVLGDKVFYAIKKSMPNANVLVSSSEKTGFSPLQFNSLKSLPVAKEDQPSSDVQKISEQSLDLELVHQAANWLRTTLGLTIIGFDVVIQEDSGDHVIVDLNYLPSFKEIPDCDAIPAFFNAIKHAYELWKTKLVTKNPSDAL >DRNTG_02066.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000104.1:20620:25547:1 gene:DRNTG_02066 transcript:DRNTG_02066.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol 1,3,4-trisphosphate 5/6-kinase 4 [Source:Projected from Arabidopsis thaliana (AT2G43980) UniProtKB/Swiss-Prot;Acc:O80568] MGFVRGLLLDESLLLADDEHGDPFLPPRSARLLRRLQYSKLRVGICHQEDLSSRKANFLGRTAALYSLECISMNPLFITDSLNKKLPALSGSGETCFYVASGKSNEPISELKKLGWKIIYQSVDSLPTTNKDVLLISQLEELPFILSGFNKKEDDNLIVVGYVMKPSREEDFAKRGAFPMCPTQNGLMFVPLTLELPLEVQMKAVDAILHKATDEIISIDMSSSVDLPKGISFSGGMCELERYFRGHPECCIVDPLGKIYPLLDRLKIQGILLGLEDLNIKNHNKIRGPHFMKLDSLQDSNIGDLLLQSKLSFPVILKPQVACGVADAHNMALVFKLEDFQDLSVPLPAIAQEYVDHGSLLFKFYVLGDKVFYAIKKSMPNANVLVSSSEKTGFSPLQFNSLKSLPVAKEDQPSSDVQKISEQSLDLELVHQAANWLRTTLGLTIIGFDVVIQEDSGDHVIVDLNYLPSFKEIPDCDAIPAFFNAIKHAYELWKTKLVTKNPSDAL >DRNTG_02066.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000104.1:20620:25547:1 gene:DRNTG_02066 transcript:DRNTG_02066.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol 1,3,4-trisphosphate 5/6-kinase 4 [Source:Projected from Arabidopsis thaliana (AT2G43980) UniProtKB/Swiss-Prot;Acc:O80568] MCPTQNGLMFVPLTLELPLEVQMKAVDAILHKATDEIISIDMSSSVDLPKGISFSGGMCELERYFRGHPECCIVDPLGKIYPLLDRLKIQGILLGLEDLNIKNHNKIRGPHFMKLDSLQDSNIGDLLLQSKLSFPVILKPQVACGVADAHNMALVFKLEDFQDLSVPLPAIAQEYVDHGSLLFKFYVLGDKVFYAIKKSMPNANVLVSSSEKTGFSPLQFNSLKSLPVAKEDQPSSDVQKISEQSLDLELVHQAANWLRTTLGLTIIGFDVVIQEDSGDHVIVDLNYLPSFKEIPDCDAIPAFFNAIKHAYELWKTKLVTKNPSDAL >DRNTG_19775.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17632217:17634769:-1 gene:DRNTG_19775 transcript:DRNTG_19775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARQSEYSYNSSVFLKVQVPEQLNATRVQPGMKHRHFIIMGCIAGGVVTVFLALICIRILYLRRKSASKRMNISNGDISAENYFNLNHASELPVKFCFEDLRNATHDFSKELGRGGSGSVFEGILSNGRKVAVKRMERISQGKKQFLAEIETIHGIHHINLVRLIGYCVEKSYYFLVYEFMSNGSLDKWIFNKNNNHKLDWGIRLQIIINVAKGLSYLHEDCCKRILHLDIKPQNILLDENFNAKIADFGLSKLVERDQSKVMTTMRGTLGYLAPEWLNSVITEKVDVYSFGILVLEIICGRKNFDFCQPIEDIYLLKLVKEKAHDDRLHEIVAKDDGNMELHMEEAVKMIRVAMWCLQSDHNLRPAMSKIVKVLEDGIDINTTINYEILIPSASSVIDKLSHPFFNSALLGI >DRNTG_33542.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002015.1:26928:29662:-1 gene:DRNTG_33542 transcript:DRNTG_33542.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARKRWEALIRDQKVKVLTPKEAGYAIKLSNKTLLDVRPSTEHNKAWVKGSVWIPIFDVDDSSDLGTTSKKITNFVMGGWWSGSRMLAYDKNFVSNVEEKFSNDTDLILVCQKGLRSLAACEQLYNAGYRNLFWVQGGLEAAEEEDFQREGPQPFKLAGIGGMSEFLGWTDQQRTFGINQGLGYRLVFTGRLLGLIILADALFFGSKQFGPWLQQLQSH >DRNTG_09952.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6595114:6602440:1 gene:DRNTG_09952 transcript:DRNTG_09952.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAYILRGCRASPSSSLLLSCTTTNTSRSFSALSFSPSPFSFPSSSEKLHKVHPFLRMEHFWTGSSIAKTKIKGLVEHLKEYGVIKSKNVAEVMETIDRGLFVPEGNPAYVDSPMPIGYNATISAPHMHASCLELLEEHLQPGMRALDVGSGTGYLTACFAMMVGPQGRAIGIEHIPELVAFSIDNINKSAAAPLLKGGSLSVHAADGRLGWPELAPYDAIHVGAAASEIPPALLEQLKPGGRMVIPVGNIFQDLKVVDKNLDGSINIRNEASVRYVPLTSRAAQLRE >DRNTG_25634.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21909488:21911159:-1 gene:DRNTG_25634 transcript:DRNTG_25634.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing transcription factor ABI3 [Source:Projected from Arabidopsis thaliana (AT3G24650) UniProtKB/Swiss-Prot;Acc:Q01593] MAESEKMWIEERGEEGGNGGGLILGMDGEDLIFSDEAFAALPDFPYLSSPTTSSPSPSSASNAIKTTCSSSSSSSSSSSSWALAAGDGGVGLVPAAAEGSLDHDQPLDILGDMDFLDSSLWDPSVFFSDDNVMVDETEPEAAPARERERERETSSEDLAKVFLEWLKSNRDSISPEDLRSIKLKKSTIEAAARRLGGGTQGMMQLLKLILTWVQNHHLERKKRSQRDQSPCPPLNPNPSYNYEHGVTWVLNSPYQMDQSSFPCYAHVPSPAFHFQNTTGNQQFSPAPEFLDPATAWHAHFGTSQAHHYSPLPPTPFTAVPIAGGCFPVQFQSNQFFQPSDRLTRMASATKEARKKRMARQRRVSALHHHHHHHHHNNRNRQLHSQPSRSLLDGESSQQCNVNFSSTDHKRQDWKPEKNLRFLLQKVLKQSDVGSLGRIVLPKKEAELHLPELDARDGISIAMEDIGSSKVWNMRYR >DRNTG_22401.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16753405:16755137:-1 gene:DRNTG_22401 transcript:DRNTG_22401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISAPRLLRCSTRPRSSVFPGRRLATTFSSPQCLTATVASRRSFPGILKWLALADPELHSQLAKEIRSVVQAEGGGGKVTLAAIEKMELTKSVVYEALRMDPPVKFQYGKAKHDLLIESHDAAYKVSKGEMIFGYQPLATKDTKVFENGDEFIGDRFVGEQGKKLLKHVLWSNGHETENPTVEDKQCAGKDFVVLVGRLLVVEFFLRYDTFTAEVGTILLGAQVTVTSLTKAS >DRNTG_19470.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22286995:22287914:-1 gene:DRNTG_19470 transcript:DRNTG_19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRPATKRRREPMEPRNKSKTNISREGRVMTCSICHTKGHNKARCAQRTNVATNPQAGPQNETISTGNEGSSRGKRPKASVIAAVRGPMQTFRNHSGGIIVGREVRYGFAFITASELLERRHRNLRENNAKLAQQSCTSMESPPATDIEFLVFSTH >DRNTG_29806.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23314429:23325502:1 gene:DRNTG_29806 transcript:DRNTG_29806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSIPISSSRPPMRTLIPTVLSLQPPAGLCSVPRFTSCTSASIFREFHQRRRIIYCFPSVPQPLPYLTNKCAAHPCANGALRVRASSEFTGDRESAVERMIGVLNVSVRIGLGFVLVGIACVLLVARCQRVLAAESVADVGLRVFGSGSTTFSGAWPKVVQLLHVFRDQGLILAALLGLSAFFSMAETSITTLWPWKVRELAEKEPENGVFRMLRSDVTRFLTTILIGTTVVNIGATALVTEAATAIFGEAGVSAATGVMTVAILLLTEITPKSVAVHNATDVARFVVRPVAWLSLVLYPVGRVVTFLSMGMLKVLGLKGRSEPYVTEDELKLMLRGAEMSGAIEEEEQDMIENVLEIKDTHVREVMTPLVDVVAIDGSAKLIDFQKLWETHQYSRVPVFEERIDNIVGIAYAMDMLEYVEKVEKLKESTVRDIAHMPTYFVPDSMSVWNLLREFRIRKVHMAVVLNEYGGTVGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVLQEDGTFDVDANTSIDQLSEELNVKIPEGHQYETVSGFICQSFGYIPEEGAKLVVVLEKDDQEDNGEYSRTESDHLDDKETHQTFEIEVLEANERKVGKVRFKPIENEPVELEAKGISRLFSTKVVKRKKKLDKDDENNERNGDEITSPEDLDSIYLREVQIQKRFDDTNYYSPDDDKEDDHVGNYKH >DRNTG_21546.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:283802:286776:1 gene:DRNTG_21546 transcript:DRNTG_21546.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVREGSDERQVRLGKYEIGRTLGEGNFGKVKYARHVDTGHPFAVKILDRRRILSLKIDDQIKREIGTLKLLRHPNVVRLHEVLASKTKIYMVLEYVNEGELFDKIALKGRLREKEARKLFQQLIDAVSYCHHKGVFHRDLKPENVLVDAKGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLANRGYDGAISDIWSCGVILFVMLAGHLPFEDRNLAVLYQKIYRGETHIPKWLSPGAQNMIKKILNPNPALRINVAGIKADKWFKEDYIPAVPIDDEDLSLEDAAVSIKEANEKVENGNMPTHINAFQLIGMSSFLDLSGFFEKEV >DRNTG_21546.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:283802:286776:1 gene:DRNTG_21546 transcript:DRNTG_21546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREGSDERQVRLGKYEIGRTLGEGNFGKVKYARHVDTGHPFAVKILDRRRILSLKIDDQIKREIGTLKLLRHPNVVRLHEVLASKTKIYMVLEYVNEGELFDKIALKGRLREKEARKLFQQLIDAVSYCHHKGVFHRDLKPENVLVDAKGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLANRGYDGAISDIWSCGVILFVMLAGHLPFEDRNLAVLYQKIYRGETHIPKWLSPGAQNMIKKILNPNPALRINVAGIKADKWFKEDYIPAVPIDDEDLSLEDAAVSIKEANEKVENGNMPTHINAFQLIGMSSFLDLSGFFEKEDVSERKIRFTSNISPKDLYEKIEDIVSRMGFQVQKGHGKLKVMQQCKASTTLNPKSQGFLSVCAEVFELSPSLYVVELRKSYGDASLYRQLCTELNEGLGICQSQQLLKSQSLMTELNGFEGERPVAAV >DRNTG_06307.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2185810:2187868:1 gene:DRNTG_06307 transcript:DRNTG_06307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIYKMLEEVGMKLKVAGYVADTELVSYDLVEEEKEETLMHHSEKLALAFGMLRTQQNCTIRIVKNLRVCKDCHSFLKLVSKVYKREIVVRDRNRFHHFGGGVCSCRDYW >DRNTG_32563.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19020178:19020713:1 gene:DRNTG_32563 transcript:DRNTG_32563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLTRMRGVEKHSETYAVLRYLATGERIPVSPPQSNSEPDDAPPSVELRLQEIQNENHSLCQGH >DRNTG_09934.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18364048:18368971:1 gene:DRNTG_09934 transcript:DRNTG_09934.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGSDSEPERASENPQSSSSIVAGGAVAGVLPEAEAFAVHYPGYPSSPSRAVHTLGGISELSKARSLDSNNVELRFRPEDPNSHPAFGERRLSSSLLLKVSKPKNSGPESISASVVARVLRAYHFEGMVDYQHVVAVHAAEARKRKRPLNSDERLDFEKSGVLDSDVGDVIMMVPPLFSVKDIPEKIVLNPSTNLFSKSMQRGVVEHRWEMDIEPCLAIDFNIENIPVKFDWEESIARGTTEWEWQMAVSKLFDERPIWPRWSLYERLHDDGLQVTENHLKRTIW >DRNTG_09934.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18366033:18368971:1 gene:DRNTG_09934 transcript:DRNTG_09934.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFINIPVKFDWEESIARGTTEWEWQMAVSKLFDERPIWPRWSLYERLHDDGLQVTENHLKRLLFRTGYYFSTGPFGKFWMKKGYDPRKDPESRIYQRVDFRMPPSLRDNANADSQPEQSCKDKNRFQVFPCKNFISLQLFELEDDFIQEQIRKPSLQTTCSRGTGWFSGPMNRLLGLRVKIRFLSICCKNEAADLLRSANELFDRTKKQEALSRIRKPEKELHDANRDSCDSAGVPSPESNDFGYENEDQEEPNNCDIEEDDDDEEEEEGYDSLPIVAEDDHFSLDANSYQVGEGISEDFLQELLRGFPLSNGFNDQQPSNNIDRADLSDDEYQIYEQESDDNDNFFDDDNNGLN >DRNTG_09934.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18366756:18368971:1 gene:DRNTG_09934 transcript:DRNTG_09934.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLRDNANADSQPEQSCKDKNRFQVFPCKNFISLQLFELEDDFIQEQIRKPSLQTTCSRGTGWFSGPMNRLLGLRVKIRFLSICCKNEAADLLRSANELFDRTKKQEALSRIRKPEKELHDANRDSCDSAGVPSPESNDFGYENEDQEEPNNCDIEEDDDDEEEEEGYDSLPIVAEDDHFSLDANSYQVGEGISEDFLQELLRGFPLSNGFNDQQPSNNIDRADLSDDEYQIYEQESDDNDNFFDDDNNGLN >DRNTG_09934.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18364048:18368971:1 gene:DRNTG_09934 transcript:DRNTG_09934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGSDSEPERASENPQSSSSIVAGGAVAGVLPEAEAFAVHYPGYPSSPSRAVHTLGGISELSKARSLDSNNVELRFRPEDPNSHPAFGERRLSSSLLLKVSKPKNSGPESISASVVARVLRAYHFEGMVDYQHVVAVHAAEARKRKRPLNSDERLDFEKSGVLDSDVGDVIMMVPPLFSVKDIPEKIVLNPSTNLFSKSMQRGVVEHRWEMDIEPCLAIDFNIENIPVKFDWEESIARGTTEWEWQMAVSKLFDERPIWPRWSLYERLHDDGLQVTENHLKRLLFRTGYYFSTGPFGKFWMKKGYDPRKDPESRIYQRVDFRMPPSLRDNANADSQPEQSCKDKNRFQVFPCKNFISLQLFELEDDFIQEQIRKPSLQTTCSRGTGWFSGPMNRLLGLRVKIRFLSICCKNEAADLLRSANELFDRTKKQEALSRIRKPEKELHDANRDSCDSAGVPSPESNDFGYENEDQEEPNNCDIEEDDDDEEEEEGYDSLPIVAEDDHFSLDANSYQVGEGISEDFLQELLRGFPLSNGFNDQQPSNNIDRADLSDDEYQIYEQESDDNDNFFDDDNNGLN >DRNTG_02279.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:882424:885321:1 gene:DRNTG_02279 transcript:DRNTG_02279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTATDGADDGSSQQEPPDPDIREKDPTGRYICYKEILGKGAFKTVYKGFDEVDGIEVAWNQVMINDVVQSPQDLEQLYSEVHLLRTLKHENIIKFHTSWIDDQNQTVNIITELFTSGNLRQYRKKHKTVDMKALKRWARQILMGLDYLHSHQPPIIHRDLKCDNIFINGNHGEVKIGDLGFATVMQQSHARSVHGTPEFMAPEVYDEDYNELVDIYSFGMCMLEMATFEYPYCECKNNAQIYKKVSSGIKPAGLAKVKDPEMKAFIEKCLVPVAQRLPAKELLKDSFLQLNGKPEANPSLLPTAIKNHGQQSICIVVNEDEADLISITEGAMVDGSQFVPLEVLRRRKGSECRLKGQWKGDSHISLLLRRQEPGGDPVNIQFPFLLNNDSALSVANEMVEQLQMPESDARFIAELIDTLLVNLVPGWKPCVPVGNLAALNGNQDLKDKPKDLHLVAGVENSIGSSQITAQATHRSPPSCHSSSFNCTIQPIEGAPAIGRLI >DRNTG_07641.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000344.1:133548:134703:1 gene:DRNTG_07641 transcript:DRNTG_07641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISVPLSLTSHHLQLFTAISSPGFDSIIKEKKIVAIKLTLAAFTKGSLECSNDQPSAQLRKEFSLKLLTFYVCFNVDGSVEDNGESDMCVRMKWKDRLTIEKVMTKVNEGLTMLIESPRKKEAVIVPSYRGGVEE >DRNTG_12646.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1279233:1284142:-1 gene:DRNTG_12646 transcript:DRNTG_12646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHVGSGKIITAPIITEDGEPIHEPLVLMEATPDQAASNKLVDCHGSDASREKTTSNVPMDKKIIDWKLTLHQIGLDVLRTDRTLVFYEKQENLSKLWDILAVYAWIDKDVGYCQGMSDLCSPMIILLEDEADSFWCFERLMRRLRGNFRCTDSSVGVENQLQSLASITQVLDPKLHQHLETLGGGDYLFAFRMFMVLFRRELSFGDSLYLWEMMWALEYDPDLFSMYEEPGAIDKTDGSKGKAKSIRHFGKYERDNLKNGGKHAEEGPLPITVFLVASVLREKSAKLLHEAHGLDDVVKILNDVNGNLDAKKACTGAMKLHRKYLKKAKKT >DRNTG_12646.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1280863:1282810:-1 gene:DRNTG_12646 transcript:DRNTG_12646.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHVGSGKIITAPIITEDGEPIHEPLVLMEATPDQAASNKLVDCHGSDASREKTTSNVPMDKKIIDWKLTLHQIGLDVLRTDRTLVFYEKQENLSKLWDILAVYAWIDKDVGYCQGMSDLCSPMIILLEDEADSFWCFERLMRRLRGNFRCTDSSVGVENQLQSLASITQVLDPKLHQHLGTLYNFHAKTKIFFH >DRNTG_12646.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1279233:1280808:-1 gene:DRNTG_12646 transcript:DRNTG_12646.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFMVLFRRELSFGDSLYLWEMMWALEYDPDLFSMYEEPGAIDKTDGSKGKAKSIRHFGKYERDNLKNGGKHAEEGPLPITVFLVASVLREKSAKLLHEAHGLDDVVKILNDVNGNLDAKKACTGAMKLHRKYLKKAKKT >DRNTG_12646.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1281832:1282810:-1 gene:DRNTG_12646 transcript:DRNTG_12646.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHVGSGKIITAPIITEDGEPIHEPLVLMEATPDQAASNKLVDCHGSDASREKTTSNVPMDKKIIDWKLTLHQIGLDVLRTDRTLVFYEKQENLSKLWDILAVYAWIDKDVGYCQ >DRNTG_09998.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21632087:21633639:-1 gene:DRNTG_09998 transcript:DRNTG_09998.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQGQHLVSPLAASEHLDNIDRSSPPNVNSGENEKVQFL >DRNTG_11343.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23700324:23700945:1 gene:DRNTG_11343 transcript:DRNTG_11343.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKCGDVNDSFLVFEELKNKHNVITWNSIIVGYAKNGYAGDALNLFQQMQQLQVKPDDITFLGVLTACSHGGLVSEGRDLFNVMVNKYRITPRTNHYACMIDLLGRGGYLKEAEEFINDLPFKPDGVIWATMLGACRIHGDDARAQHAAEKLIELEPHNSSPYVMLSNICAASGNWMGAKIVR >DRNTG_26228.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:436523:438462:1 gene:DRNTG_26228 transcript:DRNTG_26228.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLLRHISKYGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQQEENLIIELHAVLGNRYH >DRNTG_26228.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:436523:438462:1 gene:DRNTG_26228 transcript:DRNTG_26228.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLLRHISKYGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQQEENLIIELHAVLGNRYH >DRNTG_26228.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:436523:438462:1 gene:DRNTG_26228 transcript:DRNTG_26228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLLRHISKYGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQQEENLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCIKKKLRQRGIDPNTHKPLTEVHEAGEDKAPPDNVDHLSPKTAVESASSLMPVMNSTSTSMGYIPIAADCGSSSNSSSNNNNNNSQTLIWFNPELNSNCNTMLSSTSMGLKPIIDLHLENTSSSGSTLPYWEAGNPSNSSGSTVTNTNGIELQSNGNGSFFDNSFCQWADLAPAKQVQIQIEAEPEDLKWSEYLQGSFSVPGATIHRQQPPLYSDIKSENHQL >DRNTG_01886.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21063725:21067532:-1 gene:DRNTG_01886 transcript:DRNTG_01886.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPESRGERPVGRPNRAGQNLGDDRGFWASLLMFIRLFGDFIIFLLPRREVPQQVPVIPRVIVFRRRRQVFLDRLANNVRIALLTIFLSQQDARGRTITIFVLGLLLLLSRAGVIRPFPWVVLNWDPPLYLWPAAEPDDRNEEPGEGENPEHEE >DRNTG_01886.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21063725:21070471:-1 gene:DRNTG_01886 transcript:DRNTG_01886.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPESRGERPVGRPNRAGQNLGDDRGFWASLLMFIRLFGDFIIFLLPRREVPQQVPVIPRVIVFRRRRQVFLDRLANNVRIALLTIFLSQQDARGRTITIFVLGLLLLLSRAGVIRPFPWVVLNWDPPLYLWPAAEPDDRNEEPGEGENPEHEE >DRNTG_01886.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21063725:21070471:-1 gene:DRNTG_01886 transcript:DRNTG_01886.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESRGERPVGRPNRAGQNLGDDRGFWASLLMFIRLFGDFIIFLLPRREVPQQVPVIPRVIVFRRRRQVFLDRLANNVRIALLTIFLSQQDARGRTITIFVLGLLLLLSRAGVIRPFPWVVLNWDPPLYLWPAAEPDDRNEEPGEGENPEHEE >DRNTG_24312.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1363124:1363584:1 gene:DRNTG_24312 transcript:DRNTG_24312.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLIYCLSLININHVLQVGTVSVDQVEMFQYAQEVGSKENARPLQPRNGRLIKLFNPLFN >DRNTG_02870.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:25682769:25683659:1 gene:DRNTG_02870 transcript:DRNTG_02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSLKGRALRHFTLSTGKSAGRNSSGRITVFHRGGGSKRLQRKMDLKRSTSSIGIVERTEYDPNRSSRIALVRWIEGVLLRRQRKCNTIEEFAPPRKILEPTTATIFCHFSFSSLPGKVDQRKVACSQAKCLLTLYVVVGLPTRMPPWSKSQAWAGSKQTCAKDVFFSALSSPLAKGETASLSFGSSLGFPRIAVAGAKPAFFAPRMREKLIGKKTFSLCEIRKWRTHCVLWAHRIKRKAALSWQSLRQQETLGLVGAAEHNESKPKADQGSLPAKPIGKGPKDGECKVNRAPVV >DRNTG_20538.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10120691:10120967:-1 gene:DRNTG_20538 transcript:DRNTG_20538.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEEDTHTSNREANSSSLGLSKAGSINTTFFSTQAMCRGLILFSKLNEKYCG >DRNTG_20538.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10120687:10120967:-1 gene:DRNTG_20538 transcript:DRNTG_20538.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEEDTHTSNREANSSSLGLSKAGSINTTFFSTQAMCRGLILFSKLNEKYCGNS >DRNTG_01524.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10582929:10589839:-1 gene:DRNTG_01524 transcript:DRNTG_01524.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSMKFLFSSLFAQMISSCSPWLPYALHLDTYQHPKH >DRNTG_22543.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3678913:3681157:-1 gene:DRNTG_22543 transcript:DRNTG_22543.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNNHNNLHTNIIIAQNTEITRNTKNTQKTYTSLANNRNQQHEARSSTLKSEQNRTEYQQP >DRNTG_22543.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3678913:3682430:-1 gene:DRNTG_22543 transcript:DRNTG_22543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIKNPSHLPLPETPSIKFSLKPQTLDYMVEQEKKIKSLEVEISKRNEEVIMIKA >DRNTG_20942.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28129115:28135458:-1 gene:DRNTG_20942 transcript:DRNTG_20942.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLEWRWVGEGGIARDAIGEVLGAEQVVMQAERQVGSGGSDVGVGEALNTSANTMPLSVPGANNGQSSDGEGSPAAGGNGRDSSYQRYDIQQLARWVEQVLPFSLLLLVVFIRQHLQGFFGTIWIAAVMFKSNDILRKQTALKGERKISVLIGITLLFMIHVFSVYWWYRNDDVLHPLILLPPKEIPPFWHAIFLIMVNDTMVRQAAMVVKCVLLMYYKNNRGRNYRRQGQMLTLVEYLLLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFITALKALSRKDVHYGSYATAEQVIAAGDLCAICQEKMHVPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSFGDGSTSLFFQLF >DRNTG_20942.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28129115:28135458:-1 gene:DRNTG_20942 transcript:DRNTG_20942.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLEWRWVGEGGIARDAIGEVLGAEQVVMQAERQVGSGGSDVGVGEALNTSANTMPLSVPGANNGQSSDGEGSPAAGGNGRDSSYQRYDIQQLARWVEQVLPFSLLLLVVFIRQHLQGFFGTIWIAAVMFKSNDILRKQTALKGERKISVLIGITLLFMIHVFSVYWWYRNDDVLHPLILLPPKEIPPFWHAIFLIMVNDTMVRQAAMVVKCVLLMYYKNNRGRNYRRQGQMLTLVEYLLLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFITALKALSRKDVHYGSYATAEQVIAAGDLCAICQEKMHVPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSFGDGSTSLFFQLF >DRNTG_33887.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15058444:15060822:-1 gene:DRNTG_33887 transcript:DRNTG_33887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPEPGSPDPVRRQTAADPQGVNPIGLQGLKI >DRNTG_08791.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4280131:4282372:1 gene:DRNTG_08791 transcript:DRNTG_08791.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLAGLPCVVAYRAHILTEWLIRYRTMLKCISLPNILLNSPIIPEVLFQECTPNNLSMALSNIMLDEAAHEQQRAAAMEVFELLWPSKGNPGSSLIQELGSSLPSCCPSMVAASTILHSVVKQ >DRNTG_08791.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4279688:4282372:1 gene:DRNTG_08791 transcript:DRNTG_08791.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLAGLPCVVAYRAHILTEWLIRYRTMLKCISLPNILLNSPIIPEVLFQECTPNNLSMALSNIMLDEAAHEQQRAAAMEVFELLWPSKGNPGSSLIQELGSSLPSCCPSMVAASTILHSVVKQ >DRNTG_08791.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4275180:4282372:1 gene:DRNTG_08791 transcript:DRNTG_08791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRVIAAAERTNRASSSLPSFFSSWRMISMLASSPLYGTRAIDMAARDGELRIFTVAGEVSGDAIASRLMASLRILSPFPVRFAGVGGALMHKEGLKSLFPMEDISVMGLWELLPYLNKIRVNLSIGG >DRNTG_08791.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4276378:4277028:1 gene:DRNTG_08791 transcript:DRNTG_08791.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHKEGLKSLFPMEDISVMGLWELLPYLNKIRVNLSIGG >DRNTG_08791.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4278540:4282372:1 gene:DRNTG_08791 transcript:DRNTG_08791.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLAGLPCVVAYRAHILTEWLIRYRTMLKCISLPNILLNSPIIPEVLFQECTPNNLSMALSNIMLDEAAHEQQRAAAMEVFELLWPSKGNPGSSLIQELGSSLPSCCPSMVAASTILHSVVKQ >DRNTG_16725.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000844.1:47331:48627:1 gene:DRNTG_16725 transcript:DRNTG_16725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENIFPSSFFFSHCLGGFEIQMPAVDEKSKEGDNVNNNGRIELSPTTSHHQHLLLLLSHTFSELRPPSPGVTSTAAVTGPAFLILSYRVTKSLHVTYDDSSVNPLSKHPAKVECGFWNFFNTPGPQVSSLADAVVQEVRRLMDKYTGEELSITVTGHNLGTALALLVADRDTTSEDHHSYNLNFYMNDDLRRASGPRAVHGIPFLHHLQHHFKKISF >DRNTG_11946.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17876757:17878520:1 gene:DRNTG_11946 transcript:DRNTG_11946.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGNPRKIDQPMTDFEQWLAKQPPERIARDINMAAKWLADEFTAAGFSNKLGIIGFCYGGGCLINTLAQDKQGIFGTGVCFYGTRIDTSVAKDIKVPVLFVCGDGDHLCPVSQLRELEKIIKGSKMVIYNGRGHGFAHRPGSLEEDEDAEDAFGLMRSWLNDSLVLSKDLVH >DRNTG_11946.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17876757:17878520:1 gene:DRNTG_11946 transcript:DRNTG_11946.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGNPRKIDQPMTDFEQWLAKQPPERIARDINMAAKWLADEFTAAGFSNKLGIIGFCYGGGCLINTLAQDKQGIFGTGVCFYGTRIDTSVAKDIKVPVLFVCGDGDHLCPVSQLRELEKIIKGSKMVIYNGRGHGFAHRPGSLEEDEDAEDAFGLMRSWLNDSLVLSKDLVH >DRNTG_11946.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17876757:17878520:1 gene:DRNTG_11946 transcript:DRNTG_11946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTLFFYAAAPLHITRPTPPARLCHSALNFSGKCILYNQTCLFHTEKSTGRVLCSKVQFEDNVVGDNDDDEACELVNGAEIVIEDGEESIHAYLLKAIKNNNGTGLLLLSDVFGFEDSATRDFAYRVACSGYNVLVPDMFRGNPRKIDQPMTDFEQWLAKQPPERIARDINMAAKWLADEFTAAGFSNKLGIIGFCYGGGCLINTLAQDKQGIFGTGVCFYGTRIDTSVAKDIKVPVLFVCGDGDHLCPVSQLRELEKIIKGSKMVIYNGRGHGFAHRPGSLEEDEDAEDAFGLMRSWLNDSLVLSKDLVH >DRNTG_07399.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7400563:7404020:-1 gene:DRNTG_07399 transcript:DRNTG_07399.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCKKVFQSCPGGICPPELQSLSQDIVSMCDGLPLVIAAIGGLLSRKEKIVTEWKNLRDNLHSELPKNQELEHIMKILLLSYNDLPHYLKSCFLYFSMFPRNSLIKRITLIRLWIAEGFVQTKNDGVTLENLALEYLNELIDRSMVQVAEMYPYGRISSCRVHDLIQEIIILKSEEENFSTSLSRHNKQTDTKIRRLSFFGASEDTLQDKSCSNLRALFVFGPNALNSASVISTFSSCFRFLRVMDLEGAPIDKFPIDFAHLHNLRYLSLRNTKISKLSASLGKLSNLQTLDLKGTYITELPKSILKLKNLRHLLAYLRYTGRQLPFYSTSGVKVPQGIGSLSQLQKLTYLEANQDSIVVRELGNLTQLKRLGIVKLRAEDGRNLCSSVEKLIALRSFSVTSISKDDLLDLHFLPSPPPSLQRLYLRGPLGRLPHWVSSLKEVVRMRLLWSNLPEDSLKALQNLPNLVELTLIHAYDGKQLCCDRGFEKLKSLELVELDNLTSVIVEGSMPNLQNLCIRSCYQLEQVPTGIEQLHNLKELHLQTMPDAFVAKLRRHTGADRQKIIHIPMIRCHDDQDRVYEEL >DRNTG_07399.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7400438:7401565:-1 gene:DRNTG_07399 transcript:DRNTG_07399.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKQASPPPQQIAEPRKPRLLPSRRPTNPFVWCGAIVCIILSLLLIVTGIIILIFFLVVKPKNPVFDIPSASLNTIVFDSPFYLNAGLTFLANFSNPNDKMDVMFEYINIDLYFQNRLIASQSLPPFLDRTNEAKLGAVHMISSEVYLSPGLATELQMQMKNNSVLFNIRGAFRVRVSFGFGRYTYWFYGLCQIELTNPPAGVLVARICKTK >DRNTG_07399.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7400483:7405239:-1 gene:DRNTG_07399 transcript:DRNTG_07399.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIIKLMLEKLEKLLFKEEQILQGGSFTIFDEVKYELESIRASLRQADAGDFNEEASNWVNQVREIVFDVEDILDDLLLAFDHRHSTPLLCGVIPSVKHLKARRHIGGQIKDILVKLRGLLMRRDQLDANSIDYETPANRSIYRFHDSPLAPLFAEEVELVGIDKPKDELVSWLMDGELNMKVLSVVGMGGVGKSTLVRKVYDDERVKGWFKSHAWVTVTQSFSIEELLRNIIFQLFEERKEPLPGKVDIMEEIQLIEILHRYFRDKRYIVIFDDVWHSHAWESLRFAFPNNHCGSRIFITTRINHVGNSFISTSPGRTYPLKPLSPNDSWMLFCKKVFQSCPGGICPPELQSLSQDIVSMCDGLPLVIAAIGGLLSRKEKIVTEWKNLRDNLHSELPKNQELEHIMKILLLSYNDLPHYLKSCFLYFSMFPRNSLIKRITLIRLWIAEGFVQTKNDGVTLENLALEYLNELIDRSMVQVAEMYPYGRISSCRVHDLIQEIIILKSEEENFSTSLSRHNKQTDTKIRRLSFFGASEDTLQDKSCSNLRALFVFGPNALNSASVISTFSSCFRFLRVMDLEGAPIDKFPIDFAHLHNLRYLSLRNTKISKLSASLGKLSNLQTLDLKGTYITELPKSILKLKNLRHLLAYLRYTGRQLPFYSTSGVKVPQGIGSLSQLQKLTYLEANQDSIVVRELGNLTQLKRLGIVKLRAEDGRNLCSSVEKLIALRSFSVTSISKDDLLDLHFLPSPPPSLQRLYLRGPLGRLPHWVSSLKEVVRMRLLWSNLPEDSLKALQNLPNLVELTLIHAYDGKQLCCDRGFEKLKSLELVELDNLTSVIVEGSMPNLQNLCIRSCYQLEQVPTGIEQLHNLKELHLQTMPDAFVAKLRRHTGADRQKIIHIPMIRCHDDQDRVYEEL >DRNTG_07399.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7400483:7405020:-1 gene:DRNTG_07399 transcript:DRNTG_07399.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIIKLMLEKLEKLLFKEEQILQGGSFTIFDEVKYELESIRASLRQADAGDFNEEASNWVNQVREIVFDVEDILDDLLLAFDHRHSTPLLCGVIPSVKHLKARRHIGGQIKDILVKLRGLLMRRDQLDANSIDYETPANRSIYRFHDSPLAPLFAEEVELVGIDKPKDELVSWLMDGELNMKVLSVVGMGGVGKSTLVRKVYDDERVKGWFKSHAWVTVTQSFSIEELLRNIIFQLFEERKEPLPGKVDIMEEIQLIEILHRYFRDKRYIVIFDDVWHSHAWESLRFAFPNNHCGSRIFITTRINHVGNSFISTSPGRTYPLKPLSPNDSWMLFCKKVFQSCPGGICPPELQSLSQDIVSMCDGLPLVIAAIGGLLSRKEKIVTEWKNLRDNLHSELPKNQELEHIMKILLLSYNDLPHYLKSCFLYFSMFPRNSLIKRITLIRLWIAEGFVQTKNDGVTLENLALEYLNELIDRSMVQVAEMYPYGRISSCRVHDLIQEIIILKSEEENFSTSLSRHNKQTDTKIRRLSFFGASEDTLQDKSCSNLRALFVFGPNALNSASVISTFSSCFRFLRVMDLEGAPIDKFPIDFAHLHNLRYLSLRNTKISKLSASLGKLSNLQTLDLKGTYITELPKSILKLKNLRHLLAYLRYTGRQLPFYSTSGVKVPQGIGSLSQLQKLTYLEANQDSIVVRELGNLTQLKRLGIVKLRAEDGRNLCSSVEKLIALRSFSVTSISKDDLLDLHFLPSPPPSLQRLYLRGPLGRLPHWVSSLKEVVRMRLLWSNLPEDSLKALQNLPNLVELTLIHAYDGKQLCCDRGFEKLKSLELVELDNLTSVIVEGSMPNLQNLCIRSCYQLEQVPTGIEQLHNLKELHLQTMPDAFVAKLRRHTGADRQKIIHIPMIRCHDDQDRVYEEL >DRNTG_07399.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7400563:7401565:-1 gene:DRNTG_07399 transcript:DRNTG_07399.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKQASPPPQQIAEPRKPRLLPSRRPTNPFVWCGAIVCIILSLLLIVTGIIILIFFLVVKPKNPVFDIPSASLNTIVFDSPFYLNAGLTFLANFSNPNDKMDVMFEYINIDLYFQNRLIASQSLPPFLDRTNEAKLGAVHMISSEVYLSPGLATELQMQMKNNSVLFNIRGAFRVRVSFGFGRYTYWFYGLCQIELTNPPAGVLVARICKTK >DRNTG_07399.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7400483:7401565:-1 gene:DRNTG_07399 transcript:DRNTG_07399.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKQASPPPQQIAEPRKPRLLPSRRPTNPFVWCGAIVCIILSLLLIVTGIIILIFFLVVKPKNPVFDIPSASLNTIVFDSPFYLNAGLTFLANFSNPNDKMDVMFEYINIDLYFQNRLIASQSLPPFLDRTNEAKLGAVHMISSEVYLSPGLATELQMQMKNNSVLFNIRGAFRVRVSFGFGRYTYWFYGLCQIELTNPPAGVLVARICKTK >DRNTG_07399.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7400438:7405239:-1 gene:DRNTG_07399 transcript:DRNTG_07399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIIKLMLEKLEKLLFKEEQILQGGSFTIFDEVKYELESIRASLRQADAGDFNEEASNWVNQVREIVFDVEDILDDLLLAFDHRHSTPLLCGVIPSVKHLKARRHIGGQIKDILVKLRGLLMRRDQLDANSIDYETPANRSIYRFHDSPLAPLFAEEVELVGIDKPKDELVSWLMDGELNMKVLSVVGMGGVGKSTLVRKVYDDERVKGWFKSHAWVTVTQSFSIEELLRNIIFQLFEERKEPLPGKVDIMEEIQLIEILHRYFRDKRYIVIFDDVWHSHAWESLRFAFPNNHCGSRIFITTRINHVGNSFISTSPGRTYPLKPLSPNDSWMLFCKKVFQSCPGGICPPELQSLSQDIVSMCDGLPLVIAAIGGLLSRKEKIVTEWKNLRDNLHSELPKNQELEHIMKILLLSYNDLPHYLKSCFLYFSMFPRNSLIKRITLIRLWIAEGFVQTKNDGVTLENLALEYLNELIDRSMVQVAEMYPYGRISSCRVHDLIQEIIILKSEEENFSTSLSRHNKQTDTKIRRLSFFGASEDTLQDKSCSNLRALFVFGPNALNSASVISTFSSCFRFLRVMDLEGAPIDKFPIDFAHLHNLRYLSLRNTKISKLSASLGKLSNLQTLDLKGTYITELPKSILKLKNLRHLLAYLRYTGRQLPFYSTSGVKVPQGIGSLSQLQKLTYLEANQDSIVVRELGNLTQLKRLGIVKLRAEDGRNLCSSVEKLIALRSFSVTSISKDDLLDLHFLPSPPPSLQRLYLRGPLGRLPHWVSSLKEVVRMRLLWSNLPEDSLKALQNLPNLVELTLIHAYDGKQLCCDRGFEKLKSLELVELDNLTSVIVEGSMPNLQNLCIRSCYQLEQVPTGIEQLHNLKELHLQTMPDAFVAKLRRHTGADRQKIIHIPMIRCHDDQDRVYEEL >DRNTG_07399.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7400563:7405020:-1 gene:DRNTG_07399 transcript:DRNTG_07399.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIIKLMLEKLEKLLFKEEQILQGGSFTIFDEVKYELESIRASLRQADAGDFNEEASNWVNQVREIVFDVEDILDDLLLAFDHRHSTPLLCGVIPSVKHLKARRHIGGQIKDILVKLRGLLMRRDQLDANSIDYETPANRSIYRFHDSPLAPLFAEEVELVGIDKPKDELVSWLMDGELNMKVLSVVGMGGVGKSTLVRKVYDDERVKGWFKSHAWVTVTQSFSIEELLRNIIFQLFEERKEPLPGKVDIMEEIQLIEILHRYFRDKRYIVIFDDVWHSHAWESLRFAFPNNHCGSRIFITTRINHVGNSFISTSPGRTYPLKPLSPNDSWMLFCKKVFQSCPGGICPPELQSLSQDIVSMCDGLPLVIAAIGGLLSRKEKIVTEWKNLRDNLHSELPKNQELEHIMKILLLSYNDLPHYLKSCFLYFSMFPRNSLIKRITLIRLWIAEGFVQTKNDGVTLENLALEYLNELIDRSMVQVAEMYPYGRISSCRVHDLIQEIIILKSEEENFSTSLSRHNKQTDTKIRRLSFFGASEDTLQDKSCSNLRALFVFGPNALNSASVISTFSSCFRFLRVMDLEGAPIDKFPIDFAHLHNLRYLSLRNTKISKLSASLGKLSNLQTLDLKGTYITELPKSILKLKNLRHLLAYLRYTGRQLPFYSTSGVKVPQGIGSLSQLQKLTYLEANQDSIVVRELGNLTQLKRLGIVKLRAEDGRNLCSSVEKLIALRSFSVTSISKDDLLDLHFLPSPPPSLQRLYLRGPLGRLPHWVSSLKEVVRMRLLWSNLPEDSLKALQNLPNLVELTLIHAYDGKQLCCDRGFEKLKSLELVELDNLTSVIVEGSMPNLQNLCIRSCYQLEQVPTGIEQLHNLKELHLQTMPDAFVAKLRRHTGADRQKIIHIPMIRCHDDQDRVYEEL >DRNTG_35372.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:28:34092:37564:-1 gene:DRNTG_35372 transcript:DRNTG_35372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPFSGFVLDPLKCRKMSIEEKRDLIRELANWPESAPEKLQKWSRRDLLEILCVEMGKERKYTGLTKQKLIEHIFKLVSPKNPGEHVEHLDSASQHPLHNPQTPAKRQRKTDNPSRLPVGENNLQVKNESVAPSVIHYCTNLACRATINAGDFFCKRCSCCICHKYDDNKDPSLWLFCSSESHSQAQSCGMSCHLECAFKHEKAGIVASEKCAKLDGSYYCTNCGKVNDLLGCWRKQLMIAKDARRVDALCFRVSLSHKLLSSSEKYQSLHAIVDDVLKKLEAEVGPLNGIPNMARGIVNRLSVGAEVQKLCANAITDLDSMLSANSPTGPLMQKPGPMSSNLIKFEDILSSSVTVVLGSEDGPAPAMSQELVGYSLWYREAQTDDYPLGPAYTIHEPKRRFLVTGLAPATEYVFKVSAFGNSGELAKWEMNLNTVDVVKDVEMDSVDEGASLQQLETQKENSSGLSHPSSEGDESNNSTVYRDLNKSPASCFGYSDKPEVLDLEKLPEETPKNFDVLEPLEREETPRRSVSALDEEPNSANSTEQNIVSDVPKSDNESNAPTRDEMTIVPYTHRVIAAAHAL >DRNTG_33682.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18496556:18500723:-1 gene:DRNTG_33682 transcript:DRNTG_33682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFGVGERRMSSVGMETSCKALLRELQHIWTEIGESESDKDRMLMDIERECLEVYRKKVDEANDAKARLHREVAAKEAELTALMATLGEHPMYSPMEKRLTPLKEQLSLVIPILEDMRLEKEERIKQFIDIQAQIDRIHAEITGLPHQNSNVASFSVDEHNLSMLRLNEYQAHLRTLQKDKSDRLRKVLEGVNDVHSLCCVLGLDFAKTVNEVHPYLHENGSKQDTNISNNTLDGLSSVIMKLKTEKKLRFQKLQETVESLFKLWNLMDSTNEERRRFARVTCICELKEKDITSSGLLSTESIKQTEAEVARLTKLKASRMKELVFRRRLELEEICRRAHIEPDVSTVPEKTTALIDSGLVDSSELLANIETQIIKAKEESIIRRDIMDRIDKWLAVCEEENWLEDYNQDSNRYHAGRGAHLNLKRAEKARLAVMKIPAIVDNLISKTFAWEDERNIPFLYDGVRLVSILEDYKLTILQKEEEKRRQRDQKKLQNLLLTEKELMYGSKPSPKRCNSFNRKASGYHVNGSENGFTTPTSRRLSVSSATPELLTPRSYSSRYHGYFKETRHLSTTPLNFVALSKDDNTSSFTSISGSEPESPLG >DRNTG_35404.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1582869:1587542:-1 gene:DRNTG_35404 transcript:DRNTG_35404.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHMEQCSTKVDACISQATSSCENHVEISSKVPNESGSFIPGSGPSRREGSENNSLAVTNSPSPDCHNSTATSPSVSSVDSKLSLFPGQLTSSNPPATTTNLVGYESVKEFKLNPEAKVFTPSFANARPTPTERPTLANACYSEIPSAVPMAGTQPGAELNSFMNTPSMLTKFIQYNNLVAGPSNLGQYSGHIAANIRQPPVRFGSQYHPLQSGPTYVHPNSQTVMVSRLGQLAYVSPISRDVVQGTLALPQGLPHPLLTPYQAHVPKFQGTSAQPLPLCVTPSPPLIASVNQPFLVPNQTHFSHPFPNVRSIPLPGSNGIFVSKFH >DRNTG_32334.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24536986:24538834:1 gene:DRNTG_32334 transcript:DRNTG_32334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSLQSKQESREVNEAPESCKPQAYPKHLNIFILLFLFLLLISLYITWNRRVESMTQQLNQTSQKTLVWI >DRNTG_29730.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:592409:597327:1 gene:DRNTG_29730 transcript:DRNTG_29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALERNPSAEENNMFLDILQEAPLSVHRQSLNVFASVVYCFVLASCVVVAAGAPWIFLFISKLIPTLLCSCNVILLLITGIFQQYWAYQVKKVRLQGYYIFSQKLKHIAHLPFAVASYGTGVMLLVIVWQPHIRILSLSTALRIVLFVEAICAGCFMSLYIGCVHQYNSLNGQPDVLKSLYSALQPSSSLEDLSRYHDGGRLSDQQMALLQYQRENLHYLSEEVLRLQECLSKYERSEDGSTPQVDLAHLLAARDQELRALSAEMNQVQSELRLARSLIAERDSEIQQLHLTNNQYVKENERLRSILNEWSARAAKLERALEAERLSNIEVHKSSTKLQSHTTGQSPTR >DRNTG_29730.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:592409:597327:1 gene:DRNTG_29730 transcript:DRNTG_29730.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVIVWQPHIRILSLSTALRIVLFVEAICAGCFMSLYIGCVHQYNSLNGQPDVLKSLYSALQPSSSLEDLSRYHDGGRLSDQQMALLQYQRENLHYLSEEVLRLQECLSKYERSEDGSTPQVDLAHLLAARDQELRALSAEMNQVQSELRLARSLIAERDSEIQQLHLTNNQYVKENERLRSILNEWSARAAKLERALEAERLSNIEVHKSSTKLQSHTTGQSPTR >DRNTG_29730.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:592409:597327:1 gene:DRNTG_29730 transcript:DRNTG_29730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVIVWQPHIRILSLSTALRIVLFVEAICAGCFMSLYIGCVHQYNSLNGQPDVLKSLYSALQPSSSLEDLRYHDGGRLSDQQMALLQYQRENLHYLSEEVLRLQECLSKYERSEDGSTPQVDLAHLLAARDQELRALSAEMNQVQSELRLARSLIAERDSEIQQLHLTNNQYVKENERLRSILNEWSARAAKLERALEAERLSNIEVHKSSTKLQSHTTGQSPTR >DRNTG_29730.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:592409:597327:1 gene:DRNTG_29730 transcript:DRNTG_29730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVIVWQPHIRILSLSTALRIVLFVEAICAGCFMSLYIGCVHQYNSLNGQPDVLKSLYSALQPSSSLEDLSRYHDGGRLSDQQMALLQYQRENLHYLSEEVLRLQECLSKYERSEDGSTPQVDLAHLLAARDQELRALSAEMNQVQSELRLARSLIAERDSEIQQLHLTNNQYVKENERLRSILNEWSARAAKLERALEAERLSNIEVHKSSTKLQSHTTGQSPTR >DRNTG_29730.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:592409:597327:1 gene:DRNTG_29730 transcript:DRNTG_29730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALERNPSAEENNMFLDILQEAPLSVHRQSLNVFASVVYCFVLASCVVVAAGAPWIFLFISKLIPTLLCSCNVILLLITGIFQQYWAYQVKKVRLQGYYIFSQKLKHIAHLPFAVASYGTGVMLLVIVWQPHIRILSLSTALRIVLFVEAICAGCFMSLYIGCVHQYNSLNGQPDVLKSLYSALQPSSSLEDLRYHDGGRLSDQQMALLQYQRENLHYLSEEVLRLQECLSKYERSEDGSTPQVDLAHLLAARDQELRALSAEMNQVQSELRLARSLIAERDSEIQQLHLTNNQYVKENERLRSILNEWSARAAKLERALEAERLSNIEVHKSSTKLQSHTTGQSPTR >DRNTG_29730.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:592409:597327:1 gene:DRNTG_29730 transcript:DRNTG_29730.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVIVWQPHIRILSLSTALRIVLFVEAICAGCFMSLYIGCVHQYNSLNGQPDVLKSLYSALQPSSSLEDLRYHDGGRLSDQQMALLQYQRENLHYLSEEVLRLQECLSKYERSEDGSTPQVDLAHLLAARDQELRALSAEMNQVQSELRLARSLIAERDSEIQQLHLTNNQYVKENERLRSILNEWSARAAKLERALEAERLSNIEVHKSSTKLQSHTTGQSPTR >DRNTG_01768.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:2777676:2783310:-1 gene:DRNTG_01768 transcript:DRNTG_01768.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNRKIACPRAREDDSCGLLSACFFVLGAMIDLKRSPTGVRKISTPVRQTHRGRRTPLWKGSCTPLCFLGMEGATCRVSHGRSEITHAHVWFTRSPTGASPHPCVFSGKSAQLCRNSHARAEITHGRAPVAWSSTGAAARPFFKIPRVDVSSPFEPSIITSLLLSSWSNKPSYGSGLNISCMYSSTISSVVSVQLVTAATLFEAIRSSFLIKLSTWAANEVTASISWRPATFFFSLAFHWQLFNPISSIN >DRNTG_31999.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1447155:1449906:1 gene:DRNTG_31999 transcript:DRNTG_31999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVTPRTQLARPGVQTNGRRPTRCEPHRPARPQNMIHDRQIYNNPTELQDY >DRNTG_06515.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4246964:4261399:-1 gene:DRNTG_06515 transcript:DRNTG_06515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSINNACDPPFTDHYKAIFKDLSELLQFGDDNKQNVVGECELPLIDLSKLKNGNKNDVANCTEAIAKASSEWSFFQVLNHGISHELLGEMKEEQKKLFKLPFEKKITSKLLNEFYRWGTPSATSLNQFSWEVMEKVAGAMLELARTLAGVLAEDLGYPGRDYLTILYQDEVAGLQLMKDNKWMVVKPNSDALIVNIGDLFQAWSNDIYKSVEHKVMANGKMERYSMAFFLCPSYDSTIGSCKQPSINNNFTFGDFRKQVQQEVHKPGGKNWPSKISYYIIFNYYSAMHNISLKISKPVKCTGEARPCFSLSRPVLCFSSLDLKASEPCLEGHHRPAFRGAMEDLFFLSLSDGVLNPDLDDTPGAWRPESPYGTSVYKALIKRQEKEIEADFKLDNVQSSLLLHMPIEEEASKLYTPSIFAKFQRELLGFLCHHILKVFIVANVDKIPREYMLRHWTRDTKCGKNFDVKGQQVEEDCHTHMTLRYSTLSHEASEVATKGSCSIEWWNQGGELGLQPPLGAGKATVLLASQEFAKEGSPPLQHFNPSSKPLDLLETWVLLLGFASCRLLADDARVQTAACRVAGV >DRNTG_32954.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30873616:30878197:1 gene:DRNTG_32954 transcript:DRNTG_32954.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 6 [Source:Projected from Arabidopsis thaliana (AT1G09280) UniProtKB/Swiss-Prot;Acc:Q94AC1] MYCTGGIRCEMASAYIQSKGVGFENVFQLFGGIQRYMEQFPNGGYFKGKNFVFDHRISVGSLDGNILGACLICHSPFDDYSSRCRCKHCRMLVLVCYSCQGDNSERYVCELCQRHCKGDRTLPLKDDNPMDSDFTSDSSEYTAVELTSLDGRSTSVSDRPRRNGPSPQRKLRILCLHGFRQNASSFKGRTSSLAKKLKSMVEFTFIDAPHELPFIYQERPSKAEQNSKSSSSEQPPNLSNSIQQPSPQENSKKRFAWLVASDSNHTKELGWRMADMPFDPLQYQQQTSGFEESYNYIRQVVSHSGPFDGILGFSQGAAMAALICEKQQRNHGNHDNLDFQICNLMFWLLSMSGYNWQGFHKMPIIAYLRS >DRNTG_32954.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30873616:30874569:1 gene:DRNTG_32954 transcript:DRNTG_32954.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 6 [Source:Projected from Arabidopsis thaliana (AT1G09280) UniProtKB/Swiss-Prot;Acc:Q94AC1] MAESLRDGEGGEGVLLYYKYADVPDVAALASFYESRCRALGLLGRVRVAPHGVNVTIGGKMSLLRKHIAEMRSDTLFDGTDFKLASCHQPSNEKIAKECGFTDLSVRIVKVFSRT >DRNTG_32954.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30873616:30874027:1 gene:DRNTG_32954 transcript:DRNTG_32954.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 6 [Source:Projected from Arabidopsis thaliana (AT1G09280) UniProtKB/Swiss-Prot;Acc:Q94AC1] MAESLRDGEGGEGVLLYYKYADVPDVAALASFYESRCRALGLLGRVRVAPHGVNVTVSPWPLLALCSDLSLSLVFLWVCGI >DRNTG_32954.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30874928:30878197:1 gene:DRNTG_32954 transcript:DRNTG_32954.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 6 [Source:Projected from Arabidopsis thaliana (AT1G09280) UniProtKB/Swiss-Prot;Acc:Q94AC1] MYCTGGIRCEMASAYIQSKGVGFENVFQLFGGIQRYMEQFPNGGYFKGKNFVFDHRISVGSLDGNILGACLICHSPFDDYSSRCRCKHCRMLVLVCYSCQGDNSERYVCELCQRHCKGDRTLPLKDDNPMDSDFTSDSSEYTAVELTSLDGRSTSVSDRPRRNGPSPQRKLRILCLHGFRQNASSFKGRTSSLAKKLKSMVEFTFIDAPHELPFIYQERPSKAEQNSKSSSSEQPPNLSNSIQQPSPQENSKKRFAWLVASDSNHTKELGWRMADMPFDPLQYQQQTSGFEESYNYIRQVVSHSGPFDGILGFSQGAAMAALICEKQQRNHGNHDNLDFQICNLMFWLLSMSGYNWQGFHKMPIIAYLRS >DRNTG_32954.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30873616:30878197:1 gene:DRNTG_32954 transcript:DRNTG_32954.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 6 [Source:Projected from Arabidopsis thaliana (AT1G09280) UniProtKB/Swiss-Prot;Acc:Q94AC1] MYCTGGIRCEMASAYIQSKGVGFENVFQLFGGIQRYMEQFPNGGYFKGKNFVFDHRISVGSLDGNILGACLICHSPFDDYSSRCRCKHCRMLVLVCYSCQGDNSERYVCELCQRHCKGDRTLPLKDDNPMDSDFTSDSSEYTAVELTSLDGRSTSVSDRPRRNGPSPQRKLRILCLHGFRQNASSFKGRTSSLAKKLKSMVEFTFIDAPHELPFIYQERPSKAEQNSKSSSSEQPPNLSNSIQQPSPQENSKKRFAWLVASDSNHTKELGWRMADMPFDPLQYQQQTSGFEESYNYIRQVVSHSGPFDGILGFSQGAAMAALICEKQQRNHGNHDNLDFQICNLMFWLLSMSGYNWQGFHKMPIIAYLRS >DRNTG_17799.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000913.1:16285:17108:-1 gene:DRNTG_17799 transcript:DRNTG_17799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQSRSSLSKVLDLRSTRIEELPASIEHLHHLRYLDLSRTHIRKLPETVSATALFKLTLFDTKADDVATMVTRQY >DRNTG_34758.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:8390095:8390925:1 gene:DRNTG_34758 transcript:DRNTG_34758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNLVNGRCYLTPVVETLAELKDNMTPRHWEIIRRTPFAAFTELEAIYQERALLDSLLQRYDGRTNKFRIGESLLSFRPQDVALVLGLRCDGDAVVFKKNKIRSEFEGRYLSKTYERHRDSIKSALVQLVRQRGEEENFVKLMMVYLMGTVLFPNTSCSVPNWIVDYVDDLPAMGRYAWAQATHKWLMEDIPQAAARVQDRCAGKKTNTGYIKGCSVTLNVWFYELTGTGKKVRFGKVPRMLCYGESSYRKQATVETNLSSLEGKEVINHGQCLT >DRNTG_13515.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21969540:21970765:1 gene:DRNTG_13515 transcript:DRNTG_13515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKLLTIRSYDKERDRSRVEELERNCEVGSRKKSSVLLTDTLGDPLCRIVNSPMYEMLVAEHGDELVGIIRGSIKFVSIGNPTKDQARVGYILGLRVSPLHRRRGIGSLLVCKIEEWFMANHVEYAYMATDMKNEASIKLFIGKLGYSKFRSPTILVNPVGQHAVHISPSTKITKLNIAQAEHLLRKFMSSIEFFPKDIDQLLANRLSLGTWIAVHARDDQSNPKSWAMVSVWNCGDIFKLRVEGAPRACVLLARTSRLISKLLSCINIRAMPDIFNLFGFYFMYGIHGEGPEALSLVRSLCHYVHNLAIRCQDCKLVVTEIGGCDTLKHAIPHWNLLSCSEDLWCIKAMTSKKADNSSSFDWIMAQPPPNIFVDPRDV >DRNTG_35387.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1688870:1691082:1 gene:DRNTG_35387 transcript:DRNTG_35387.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNSFKLEHPLERRQAEASRIREKYPDRIPVIVEKAEKSDIPDIDKKKYLVPADLSVGQFVYVVRKRIKLSAEKAIFIFVKDTLPQTAALMSAIYEENKDEDGFLYMTYSGENTFGSF >DRNTG_01985.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13278301:13278894:1 gene:DRNTG_01985 transcript:DRNTG_01985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLFLLLAISTITVTSSPTQSAKKPSTSAANFILKSCNATGYPNLCVSSLSPSAAAINSNPFLLSGSAASIALSRLRATRSRISALANTTSTGRESAALRDCADTLGDAVDQTSKSAKYLGKMAAGKGAGSGSIAWRVSGVQTWMSAALTNEGTCGDGFDGVPMDSVVKKVVAMVAVVKMYSSNALALVNILVS >DRNTG_12766.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:16773105:16775775:-1 gene:DRNTG_12766 transcript:DRNTG_12766.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRIGKQCRERWHNHLNPAIKKDAWTTEEETVLIHAHQLYGNKWAEMAKLLPGRADNSIKNHWNCSLKKRLPSILASGILDQTPGLASLDLNGHLPTLECQPVKPSQQQIKQFDRKRTINKSLVTPEACLDDQDLSLGFQDFGLQSIENSIAVGPENREITIEDSKRLKIEVEEIP >DRNTG_12766.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:16773105:16777770:-1 gene:DRNTG_12766 transcript:DRNTG_12766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEVKKEHGKGEKVQGVVTTSWFPASDGSFEMKASALPGGGAGRTRRSTKGGWTDEEDDILATAVRRFNGKNWKKIAEYVPDRTDVQCLHRWQKVLNPDLVKGAWAKEEDDCIIKLVNKYGPKKWSLIAQSMPGRIGKQCRERWHNHLNPAIKKDAWTTEEETVLIHAHQLYGNKWAEMAKLLPGRADNSIKNHWNCSLKKRLPSILASGILDQTPGLASLDLNGHLPTLECQPVKPSQQQIKQFDRKRTINKSLVTPEACLDDQDLSLGFQDFGLQSIENSIAVGPENREITIEDSKRLKIEVEEIP >DRNTG_21366.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19293428:19294907:1 gene:DRNTG_21366 transcript:DRNTG_21366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLRGTLTIRKLENIQSKEDAREANLKEKCHLMELRFFWSGAFSNFDVVEEVLDSLQPHPNLTNLYIVKYMGSRTPSWLMTYSMQNLKKILLYGCANWHLLPTLGQLPFLKTLSLEDMNATIESIEDLDVVFPSLEKLELEQASISFDGMPVTRQECRYFPRLRHLSITGCDIVRGLPWTRLSALEDLKVYYSSGLDDQLPGCLQGLTALTKLMIREAKMVSLPGGVMNNLKALKILDVSLCNELTSVALQALSSLEYLKISGCSKFVYWQSEMQEAGALLPNLHDMHIEYCQSLEYLPTWLSSITSLKILSIVTCPLLRSLPESGLPSLLRELCILGCDKGLIDRCQDQGSQEWLKIKHIRDRKFSALSL >DRNTG_18010.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24561156:24562349:-1 gene:DRNTG_18010 transcript:DRNTG_18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPLENIKFPDLLNSESFCFNTLSNLFGNSLNWDQIGNNKISRMENNFWIWNHISCQASVASTVYDSAVSLIPHQNIWKGWSIIWKLPVLPRIKVFIWKLALGRLPTSAYMYHLNFGPNSNCPFCGLVPEDGEHLIWKCRMISHCWFTVLDLLGWNHSVFSILSNGSWLLEPAPSKIDKLRPQAFIATVAWIIWKSRCNLIFKNSQVNFNLVVSRAWSIFQDFNRTSLRDSLIPQCYNNFITIFTDASWDANSASAGLGFIIVINMNRILLAGAKGTTTKSPFEAEIAAINLAFQTCAEHRWDPSRL >DRNTG_26415.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23888483:23890411:1 gene:DRNTG_26415 transcript:DRNTG_26415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGKKPTGSSSIADELFGRKDGGRSSQSGLFGSIFPTPSSGAGGRDALQSDLFGSASSRKHGATDQGQPWSAHSHSTTIPTGSYGNSHGSPSKSQSTPHKDSSYSGEALNTCNLSSSLYYGGQDICSNTSSTHGYGSQYTKKNEEDDQDSSNMASRGNWWQGSLYY >DRNTG_31968.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:618844:628991:1 gene:DRNTG_31968 transcript:DRNTG_31968.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSSEHRKTMNELLSSDYFEVEWEYMFALQLCSQYSCKVWLPCLVNLFEEIRTCTEEEDQLPVLCLTMHFILHKLHDTELVFELDSGKDGNWLQTTLGALMKQIVLLLQLVHVGSKRGRAAKDLKELMHVVLKIITKWMVPSTYFRGITQLVAHSNDNVKKKALRLLCESARESGLVQQNPTKAKLFKQRQKAFSLHVDESSRPSFHEMCLRLVKLIDLTEDNLETPVKLAAISSLEVLAKAFPSNDMIFNRCLECVAKHINSTDMAISSGSLRTTGALVCVIGSKALSQLPNIMKNMFQRVHEVSGCPIGRSRSDRKKIAELAHSKVPILNSALVTLEAVVENLGGFLNPYLEDVLDIMVLHSEYALDSDTKTKSKAATVRKLLTEKIPVRLMLTPLLKIYSNALKCGELSLSLEFEMLANMISSMDRPSIASYHVKIFEQCILALDLRHQLPESIKDVNLVEENVIHAIITLTMKLTEAMFRPLFFHSLEWADCQVEGSESRKMRSIDRSISFYKLVNKLIEHHRSLFVPYFKYLLDGCTHYLSEDPDAANVVSAPKKKKAKVGADTKSLVVLSPRLWHLRSLILKCLHKCFLYDSSEKKFLDSSNFQVLLKPIVSQFVVEPPGSLEPSSDAPSVEEVDELIVVCLGQMAVTSRSDVLWQPLNHEVLMQTRSEKVRARILGLKVVDYLLDHLKEEYLSLMAQTVPFLAELLEDVEASVKSLAQETLKKMETLSGENIRQYL >DRNTG_31968.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:615093:628991:1 gene:DRNTG_31968 transcript:DRNTG_31968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEKLFSDYWLQSVIGLSEKENTDVTELSESILGALCHAQQTTLVILKDIFESLTMNPHLKDDTCLKNNIELIISCARSAKDDITRNHVFMLLSSVARVSPEWLLEQIIDIFSLIGESSIKQGDGHSQAVLEELIATLVPCWLSKTNSIEKLLEIFIAALPDITEHRRLTLMVYLLRILGEECSFGKLLLQIFHSLIKRMQNSSEHRKTMNELLSSDYFEVEWEYMFALQLCSQYSCKVWLPCLVNLFEEIRTCTEEEDQLPVLCLTMHFILHKLHDTELVFELDSGKDGNWLQTTLGALMKQIVLLLQLVHVGSKRGRAAKDLKELMHVVLKIITKWMVPSTYFRGITQLVAHSNDNVKKKALRLLCESARESGLVQQNPTKAKLFKQRQKAFSLHVDESSRPSFHEMCLRLVKLIDLTEDNLETPVKLAAISSLEVLAKAFPSNDMIFNRCLECVAKHINSTDMAISSGSLRTTGALVCVIGSKALSQLPNIMKNMFQRVHEVSGCPIGRSRSDRKKIAELAHSKVPILNSALVTLEAVVENLGGFLNPYLEDVLDIMVLHSEYALDSDTKTKSKAATVRKLLTEKIPVRLMLTPLLKIYSNALKCGELSLSLEFEMLANMISSMDRPSIASYHVKIFEQCILALDLRHQLPESIKDVNLVEENVIHAIITLTMKLTEAMFRPLFFHSLEWADCQVEGSESRKMRSIDRSISFYKLVNKLIEHHRSLFVPYFKYLLDGCTHYLSEDPDAANVVSAPKKKKAKVGADTKSLVVLSPRLWHLRSLILKCLHKCFLYDSSEKKFLDSSNFQVLLKPIVSQFVVEPPGSLEPSSDAPSVEEVDELIVVCLGQMAVTSRSDVLWQPLNHEVLMQTRSEKVRARILGLKVVDYLLDHLKEEYLSLMAQTVPFLAELLEDVEASVKSLAQETLKKMETLSGENIRQYL >DRNTG_31968.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:615093:628991:1 gene:DRNTG_31968 transcript:DRNTG_31968.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEKLFSDYWLQSVIGLSEKENTDVTELSESILGALCHAQQTTLVILKDIFESLTMNPHLKDDTCLKNNIELIISCARSAKDDITRNHVFMLLSSVARVSPEWLLEQIIDIFSLIGESSIKQGDGHSQAVLEELIATLVPCWLSKTNSIEKLLEIFIAALPDITEHRRLTLMVYLLRILGEECSFGKLLLQIFHSLIKRMQNSSEHRKTMNELLSSDYFEVEWEYMFALQLCSQYSCKVWLPCLVNLFEEIRTCTEEEDQLPVLCLTMHFILHKLHDTELVFELDSGKDGNWLQTTLGALMKQIVLLLQLVHVGSKRGRAAKDLKELMHVVLKIITKWMVPSTYFRGITQLVAHSNDNVKKKALRLLCESARESGLVQQNPTKAKLFKQRQKAFSLHVDESSRPSFHEMCLRLVKLIDLTEDNLETPVKLAAISSLEVLAKAFPSNDMIFNRCLECVAKHINSTDMAISSGSLRTTGALVCVIGSKALSQLPNIMKNMFQRVHEVSGCPIGRSRSDRKKIAELAHSKVPILNSALVTLEAVVENLGGFLNPYLEDVLDIMVLHSEYALDSDTKTKSKAATVRKLLTEKIPVRLMLTPLLKIYSNALKCGELSLSLEFEMLANMISSMDRPSIASYHVKIFEQCILALDLRHQLPESIKDVNLVEENVIHAIITLTMKLTEAMFRPLFFHSLEWADCQVEGSESRKMRSIDRSISFYKLVNKLIEHHRSLFVPYFKYLLDGCTHYLSEDPDAANVVSAPKKKKAKVGADTKSLVVLSPRLWHLRSLILKCLHKCFLYDSSEKKFLDSSNFQVLLKPIVSQFVVEPPGSLEPSSDAPSVEEVDELIVVCLGQMAVTSRSDVLWQPLNHEVLMQTRSEKVRARILGLKVVDYLLDHLKEEYLSLMAQTVPFLAELLEDVEASVKSLAQETLKKMETLSGENIRQYL >DRNTG_31968.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:615093:628991:1 gene:DRNTG_31968 transcript:DRNTG_31968.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEKLFSDYWLQSVIGLSEKENTDVTELSESILGALCHAQQTTLVILKDIFESLTMNPHLKDDTCLKNNIELIISCARSAKDDITRNHVFMLLSSVARVSPEWLLEQIIDIFSLIGESSIKQGDGHSQAVLEELIATLVPCWLSKTNSIEKLLEIFIAALPDITEHRRLTLMVYLLRILGEECSFGKLLLQIFHSLIKRMQNSSEHRKTMNELLSSDYFEVEWEYMFALQLCSQYSCKVWLPCLVNLFEEIRTCTEEEDQLPVLCLTMHFILHKLHDTELVFELDSGKDGNWLQTTLGALMKQIVLLLQLVHVGSKRGRAAKDLKELMHVVLKIITKWMVPSTYFRGITQLVAHSNDNVKKKALRLLCESARESGLVQQNPTKAKLFKQRQKAFSLHVDESSRPSFHEMCLRLVKLIDLTEDNLETPVKLAAISSLEVLAKAFPSNDMIFNRCLECVAKHINSTDMAISSGSLRTTGALVCVIGSKALSQLPNIMKNMFQRVHEVSGCPIGRSRSDRKKIAELAHSKVPILNSALVTLEAVVENLGGFLNPYLEDVLDIMVLHSEYALDSDTKTKSKAATVRKLLTEKIPVRLMLTPLLKIYSNALKCGELSLSLEFEMLANMISSMDRPSIASYHVKIFEQCILALDLRHQLPESIKDVNLVEENVIHAIITLTMKLTEAMFRPLFFHSLEWADCQVEGSESRKMRSIDRSISFYKLVNKLIEHHRSLFVPYFKYLLDGCTHYLSEDPDAANVVSAPKKKKAKVGADTKSLVVLSPRLWHLRSLILKCLHKCFLYDSSEKKFLDSSNFQVLLKPIVSQFVVEPPGSLEPSSDAPSVEEVDELIVVCLGQMAVTSRSDVLWQPLNHEVLMQTRSEKVRARILGLKVVDYLLDHLKEEYLSLMAQTVPFLAELLEDVEASVKSLAQETLKKMETLSGENIRQYL >DRNTG_31968.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:615093:628991:1 gene:DRNTG_31968 transcript:DRNTG_31968.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSVARVSPEWLLEQIIDIFSLIGESSIKQGDGHSQAVLEELIATLVPCWLSKTNSIEKLLEIFIAALPDITEHRRLTLMVYLLRILGEECSFGKLLLQIFHSLIKRMQNSSEHRKTMNELLSSDYFEVEWEYMFALQLCSQYSCKVWLPCLVNLFEEIRTCTEEEDQLPVLCLTMHFILHKLHDTELVFELDSGKDGNWLQTTLGALMKQIVLLLQLVHVGSKRGRAAKDLKELMHVVLKIITKWMVPSTYFRGITQLVAHSNDNVKKKALRLLCESARESGLVQQNPTKAKLFKQRQKAFSLHVDESSRPSFHEMCLRLVKLIDLTEDNLETPVKLAAISSLEVLAKAFPSNDMIFNRCLECVAKHINSTDMAISSGSLRTTGALVCVIGSKALSQLPNIMKNMFQRVHEVSGCPIGRSRSDRKKIAELAHSKVPILNSALVTLEAVVENLGGFLNPYLEDVLDIMVLHSEYALDSDTKTKSKAATVRKLLTEKIPVRLMLTPLLKIYSNALKCGELSLSLEFEMLANMISSMDRPSIASYHVKIFEQCILALDLRHQLPESIKDVNLVEENVIHAIITLTMKLTEAMFRPLFFHSLEWADCQVEGSESRKMRSIDRSISFYKLVNKLIEHHRSLFVPYFKYLLDGCTHYLSEDPDAANVVSAPKKKKAKVGADTKSLVVLSPRLWHLRSLILKCLHKCFLYDSSEKKFLDSSNFQVLLKPIVSQFVVEPPGSLEPSSDAPSVEEVDELIVVCLGQMAVTSRSDVLWQPLNHEVLMQTRSEKVRARILGLKVVDYLLDHLKEEYLSLMAQTVPFLAELLEDVEASVKSLAQETLKKMETLSGENIRQYL >DRNTG_31968.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:615093:628991:1 gene:DRNTG_31968 transcript:DRNTG_31968.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSVARVSPEWLLEQIIDIFSLIGESSIKQGDGHSQAVLEELIATLVPCWLSKTNSIEKLLEIFIAALPDITEHRRLTLMVYLLRILGEECSFGKLLLQIFHSLIKRMQNSSEHRKTMNELLSSDYFEVEWEYMFALQLCSQYSCKVWLPCLVNLFEEIRTCTEEEDQLPVLCLTMHFILHKLHDTELVFELDSGKDGNWLQTTLGALMKQIVLLLQLVHVGSKRGRAAKDLKELMHVVLKIITKWMVPSTYFRGITQLVAHSNDNVKKKALRLLCESARESGLVQQNPTKAKLFKQRQKAFSLHVDESSRPSFHEMCLRLVKLIDLTEDNLETPVKLAAISSLEVLAKAFPSNDMIFNRCLECVAKHINSTDMAISSGSLRTTGALVCVIGSKALSQLPNIMKNMFQRVHEVSGCPIGRSRSDRKKIAELAHSKVPILNSALVTLEAVVENLGGFLNPYLEDVLDIMVLHSEYALDSDTKTKSKAATVRKLLTEKIPVRLMLTPLLKIYSNALKCGELSLSLEFEMLANMISSMDRPSIASYHVKIFEQCILALDLRHQLPESIKDVNLVEENVIHAIITLTMKLTEAMFRPLFFHSLEWADCQVEGSESRKMRSIDRSISFYKLVNKLIEHHRSLFVPYFKYLLDGCTHYLSEDPDAANVVSAPKKKKAKVGADTKSLVVLSPRLWHLRSLILKCLHKCFLYDSSEKKFLDSSNFQVLLKPIVSQFVVEPPGSLEPSSDAPSVEEVDELIVVCLGQMAVTSRSDVLWQPLNHEVLMQTRSEKVRARILGLKVVDYLLDHLKEEYLSLMAQTVPFLAELLEDVEASVKSLAQETLKKMETLSGENIRQYL >DRNTG_31968.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:615093:628991:1 gene:DRNTG_31968 transcript:DRNTG_31968.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEKLFSDYWLQSVIGLSEKENTDVTELSESILGALCHAQQTTLVILKDIFESLTMNPHLKDDTCLKNNIELIISCARSAKDDITRNHVFMLLSSVARVSPEWLLEQIIDIFSLIGESSIKQGDGHSQAVLEELIATLVPCWLSKTNSIEKLLEIFIAALPDITEHRRLTLMVYLLRILGEECSFGKLLLQIFHSLIKRMQNSSEHRKTMNELLSSDYFEVEWEYMFALQLCSQYSCKVWLPCLVNLFEEIRTCTEEEDQLPVLCLTMHFILHKLHDTELVFELDSGKDGNWLQTTLGALMKQIVLLLQLVHVGSKRGRAAKDLKELMHVVLKIITKWMVPSTYFRGITQLVAHSNDNVKKKALRLLCESARESGLVQQNPTKAKLFKQRQKAFSLHVDESSRPSFHEMCLRLVKLIDLTEDNLETPVKLAAISSLEVLAKAFPSNDMIFNRCLECVAKHINSTDMAISSGSLRTTGALVCVIGSKALSQLPNIMKNMFQRVHEVSGCPIGRSRSDRKKIAELAHSKVPILNSALVTLEAVVENLGGFLNPYLEDVLDIMVLHSEYALDSDTKTKSKAATVRKLLTEKIPVRLMLTPLLKIYSNALKCGELSLSLEFEMLANMISSMDRPSIASYHVKIFEQCILALDLRHQLPESIKDVNLVEENVIHAIITLTMKLTEAMFRPLFFHSLEWADCQVEGSESRKMRSIDRSISFYKLVNKLIEHHRSLFVPYFKYLLDGCTHYLSEDPDAANVVSAPKKKKAKVGADTKSLVVLSPRLWHLRSLILKCLHKCFLYDSSEKKFLDSSNFQVLLKPIVSQFVVEPPGSLEPSSDAPSVEEVDELIVVCLGQMAVTSRSDVLWQPLNHEVLMQTRSEKVRARILGLKVVDYLLDHLKEEYLSLMAQTVPFLAELLEDVEASVKSLAQETLKKMETLSGENIRQYL >DRNTG_25066.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:591890:592892:-1 gene:DRNTG_25066 transcript:DRNTG_25066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIYLSPPSTHQLRMVGENSKEEGEKDEIKGNVYGRSK >DRNTG_25066.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:591890:592827:-1 gene:DRNTG_25066 transcript:DRNTG_25066.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIYLSPPSTHQLRMVGENSKEEGEKDEIKGNVYGRSK >DRNTG_00799.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8200858:8201562:1 gene:DRNTG_00799 transcript:DRNTG_00799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTRIFDPTGMLQHVHTMCGVKLPPASRAFSSHVEHVTCSSSAFRWLQRSVVRITEHLSIRLLPEPTSHVRPSHHIGVDTSDLAEHLECEKHAESGTITLRV >DRNTG_18455.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19532072:19533029:-1 gene:DRNTG_18455 transcript:DRNTG_18455.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGKQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGELEEEKEGELVVSKIFYQTQPRQCHLKTDNLVFDEVYYQFLMLLIVPHQLIW >DRNTG_18455.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19532072:19533480:-1 gene:DRNTG_18455 transcript:DRNTG_18455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRKASVSTADLIDAKLEEHQICGSMQCPSCGHKLDRKPNWVGLPAGVKFDPTDQELIEHLESKVLSEDTATKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQNECDLQKGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGELEEEKEGELVVSKIFYQTQPRQCHLKTDNLVFDEVYYQFLMLLIVPHQLIW >DRNTG_00092.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23344470:23345726:-1 gene:DRNTG_00092 transcript:DRNTG_00092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEVGDALKKRLDELWMLVCPASAGVDDPMINPLAKTAPDLGRMPFLKIMVCVAEKDLLSVRAMAYYEKLKEKWVDGVELVMSHGMDHVFHLDEPGCDQPTVLTNKVVAFLSS >DRNTG_26221.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:383600:384813:-1 gene:DRNTG_26221 transcript:DRNTG_26221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERGSSPSSSTDSSSHPALSTASSFSRPARDLSTDLRLGLSISSSSSIARDQGSDWPPIKQLLRSTLEDKRSHHRHPTTFFVKVNMDGIPIGRKLDLFTLNSYEALTKTLSKMFRTSILRPDLAQVPSKNSHVLIYEDKEGDWMMVGDVPWEMFLATVKRVKITRADNC >DRNTG_21606.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1299344:1300771:1 gene:DRNTG_21606 transcript:DRNTG_21606.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGQHGGGTVSLFSLQPQPPPPTVVSLAQLQIQRQQTSAPTIVSTGLRLAFEDQTTFVSSSPSPSPSSSLPPLISSLLSDDLAAQIKQHNDEIDRFLRSQAEQLRTALTQTRHRHYRSLLAATEEAAARRLRDKDAEVERATRRSAELEDRLTRLRSELMAWQSKALSDQATAASLHVQLQQATAAAATAGPVHGETNEPPADDAESAHIDPARTKPSPKACRACHSRPLSVVLMPCRHLCLCSACDAAAISCPVCRSVRTGSVQVLLP >DRNTG_21606.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1299344:1300771:1 gene:DRNTG_21606 transcript:DRNTG_21606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPPNALFLHRAETEMKTMDYSQDQSPAAFFATTGGGGNPRKRGREAMAVVGQHGGGTVSLFSLQPQPPPPTVVSLAQLQIQRQQTSAPTIVSTGLRLAFEDQTTFVSSSPSPSPSSSLPPLISSLLSDDLAAQIKQHNDEIDRFLRSQAEQLRTALTQTRHRHYRSLLAATEEAAARRLRDKDAEVERATRRSAELEDRLTRLRSELMAWQSKALSDQATAASLHVQLQQATAAAATAGPVHGETNEPPADDAESAHIDPARTKPSPKACRACHSRPLSVVLMPCRHLCLCSACDAAAISCPVCRSVRTGSVQVLLP >DRNTG_21606.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1299344:1300771:1 gene:DRNTG_21606 transcript:DRNTG_21606.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGQHGGGTVSLFSLQPQPPPPTVVSLAQLQIQRQQTSAPTIVSTGLRLAFEDQTTFVSSSPSPSPSSSLPPLISSLLSDDLAAQIKQHNDEIDRFLRSQAEQLRTALTQTRHRHYRSLLAATEEAAARRLRDKDAEVERATRRSAELEDRLTRLRSELMAWQSKALSDQATAASLHVQLQQATAAAATAGPVHGETNEPPADDAESAHIDPARTKPSPKACRACHSRPLSVVLMPCRHLCLCSACDAAAISCPVCRSVRTGSVQVLLP >DRNTG_21606.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1299344:1300771:1 gene:DRNTG_21606 transcript:DRNTG_21606.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPPNALFLHRSATAFALLLSLGIGLMVFGCRAETEMKTMDYSQDQSPAAFFATTGGGGNPRKRGREAMAVVGQHGGGTVSLFSLQPQPPPPTVVSLAQLQIQRQQTSAPTIVSTGLRLAFEDQTTFVSSSPSPSPSSSLPPLISSLLSDDLAAQIKQHNDEIDRFLRSQAEQLRTALTQTRHRHYRSLLAATEEAAARRLRDKDAEVERATRRSAELEDRLTRLRSELMAWQSKALSDQATAASLHVQLQQATAAAATAGPVHGETNEPPADDAESAHIDPARTKPSPKACRACHSRPLSVVLMPCRHLCLCSACDAAAISCPVCRSVRTGSVQVLLP >DRNTG_31020.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30477290:30478045:1 gene:DRNTG_31020 transcript:DRNTG_31020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHSRILFMDEATASVDSQTDGVIQKIIREDFASCTIISIAHRIPTVMDCLILCRNCKRV >DRNTG_04824.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2294048:2295880:1 gene:DRNTG_04824 transcript:DRNTG_04824.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIRPAQDLLSSLTSCFCCLSELSETELQYSVEKCPGRHPKLLYRQWCCHRLLNRKQIQSAKPKTKKKAKSTPNQEKSFEEQSEMQQWRNEGLNGVLVSRHFPPYCWLNCRLTPLFKNIKLRFRPFKKKINIFFEVIKKTNFTNIQISK >DRNTG_24400.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2670283:2672570:-1 gene:DRNTG_24400 transcript:DRNTG_24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKMMTISLYCQVVYLVMCYLLCFSGFGHSLEPAMYLFGSSVLDMGTNNYLTGGAKGNYYPYGIDYPGGEATGRFSNGKNAADFLVEMVGVPSPKPSLSISNKSDMVEEFLKGVNFASGGSGVLNTTNPAYCMTLSQQIGLFNEMVEKTIDKIGPMETYEQMKRSYIVVNIGNNDISIAAGTGVDPEEYASLLITTLKPHLQNIYNIGGRRFVIISSGAQGCLPRARTATGGCSEETNKMAKAYNTKLASLMKTLQSEANFGPLYYSYFDLIAGHELLNAKRESLGAFNGSAYRCGPGTVPCDNRANHIYWDNVHYTERFTGLLMKLAFYGSSPYVEPINVNELTALTVLSPYPTDQVKSTK >DRNTG_00856.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:371532:378749:1 gene:DRNTG_00856 transcript:DRNTG_00856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSPPVTYESINPKVKKCEYAVRGEIVTQAQRLQQELQENPGSHPFDEILYCNIGNPQSLGQQPVTFFREVLSLCNHPAILDRDETHGLFSSDAISRAWEILDLIPGRATGAYSHSQGVKGLREAIAAGITARDGFPAKADDIFLTDGASPAVHMMMQLLIRSEKDGILCPIPQYPLYSASIALHGGSLVPYYLDEATGWGLEIFEVKRQLEDARSKGIIVRALVVINPGNPTGQVLSEANQREIVEFCKKEGLVLLADEVYQENIYVENKQFNSFKKISRMMGYDEELSLVSFQSVSKGYYGECGKRGGYMEVIGFTPDVREQIYKVASVNLCSNISGQILTSLVMNPPKVGDESYESFIAEKEGILQSLARRAQALEDAFNSLEGVTCNKAEGAMYLFPRLRLPQKAIDAAKSVNTAPDGFYARRLLEATGIVVVPGSGFGQVPGTWHIRCTILPQEDKIPAIISRLRAFHETFMNEFRD >DRNTG_02570.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19467468:19472221:1 gene:DRNTG_02570 transcript:DRNTG_02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor SRM1 [Source:Projected from Arabidopsis thaliana (AT5G08520) UniProtKB/Swiss-Prot;Acc:Q9FNN6] MVTEEASSSSVWSRDQEKAFENALATVPEDSSDRWEKIAAAVPGKSIEEIKCHYDLLVEDINGIESGRVPVPSYKSSSEGSADHASEGGSGKKGGHSSHLHGDSNHGGKGSRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNYVISRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNGDMSAPQGPITGQVNGPVVASGKPAKQTSQPPPGPPGVGVFGTTIGQPVGPLVSAVGTPVNLPVPAAPHMAYGVRAPVAGTVVPGAPVNLQPMTYPMPHASAHR >DRNTG_02570.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19467689:19472221:1 gene:DRNTG_02570 transcript:DRNTG_02570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor SRM1 [Source:Projected from Arabidopsis thaliana (AT5G08520) UniProtKB/Swiss-Prot;Acc:Q9FNN6] MVTEEASSSSVWSRDQEKAFENALATVPEDSSDRWEKIAAAVPGKSIEEIKCHYDLLVEDINGIESGRVPVPSYKSSSEGSADHASEGGSGKKGGHSSHLHGDSNHGGKGSRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNYVISRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNGDMSAPQGPITGQVNGPVVASGKPAKQTSQPPPGPPGVGVFGTTIGQPVGPLVSAVGTPVNLPVPAAPHMAYGVRAPVAGTVVPGAPVNLQPMTYPMPHASAHR >DRNTG_04737.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:80635:82879:1 gene:DRNTG_04737 transcript:DRNTG_04737.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVISPYDRSCLTDQHVYRRGPENQHRKSSYSGSGTHSCKIEQIPRENFPTPPSERPSPLRRKP >DRNTG_04737.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:80604:82833:1 gene:DRNTG_04737 transcript:DRNTG_04737.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVISPYDRSCLTDQHVYRRGPENQHRKSSYSGSGTHSCKIEQIPRENFPTPPSERPSPLRRKP >DRNTG_04737.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:80635:82833:1 gene:DRNTG_04737 transcript:DRNTG_04737.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEVISPYDRSCLTDQHVYRRGPENQHRKSSYSGSGTHSCKIEQIPRENFPTPPSERPSPLRRKP >DRNTG_04737.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:78166:82833:1 gene:DRNTG_04737 transcript:DRNTG_04737.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGTPSTTWTNKTSLSKSCEKQSCRSNKRRHIQTHDTFEKQNQVNQSKHTFRMSSMFENLQKKRFFPSMPEKDELPISQDKHKETHMIGLRRRLSSFSINVQPLTSASTAWAFRRSQSMPSFGEFAGGPLRKWWDWGWGWISSRKPAFAKDIEMNEEESSMLGYNSKGSLKHLLYKLRSEFRRLLRSETLPTTQGFRYDSFSYAQNFDDGRRIGDE >DRNTG_04737.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:78166:82833:1 gene:DRNTG_04737 transcript:DRNTG_04737.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVISPYDRSCLTDQHVYRRGPENQHRKSSYSGSGTHSCKIEQIPRENFPTPPSERPSPLRRKP >DRNTG_04737.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:78166:82833:1 gene:DRNTG_04737 transcript:DRNTG_04737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGTPSTTWTNKTSLSKSCEKQSCRSNKRRHIQTHDTFEKQNQVNQSKHTFRMSSMFENLQKKRFFPSMPEKDELPISQDKHKETHMIGLRRRLSSFSINVQPLTSASTAWAFRRSQSMPSFGEFAGGPLRKWWDWGWGWISSRKPLFR >DRNTG_02998.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:2705393:2724055:-1 gene:DRNTG_02998 transcript:DRNTG_02998.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGLSAQDVFARFMMNEDAKLDPMNTAIFNTQHSLQNIYENDIRKEENKGEIVVDVGIEVLELEEAIDVPPIPFEQIIDFPPQVEIKEDKVEDDIMNMETFEEVEETPSTTFEDTSCLNIDHSINQSIIFKCRKEILSILFEDVRKKPRSSLNPPMPRLGNFQPKIFPWRPKQMLWALDVHHTMVEKNIVDRMLKPPVDPPMQALISSQPNLFPWRPKQHLWVVQGILTWVEEANVGRRLKPSKDPPMLSLNNSRPKLFPWRPKGDSCLTSKFASSRRQSVQSVQSVEKKSYASGKSRGPSLRWQGCPSRLPEV >DRNTG_05370.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8510178:8511004:-1 gene:DRNTG_05370 transcript:DRNTG_05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDLNRPNHPSDIFEPIPNPNPMEVQCLGSISLEEPDNNHVELPS >DRNTG_17165.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3113327:3114569:-1 gene:DRNTG_17165 transcript:DRNTG_17165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTTSPLVLVFLISLTFSSLVSFAIGDNMDRDLEISWGDGRGKFLNNANLLSLSLDMYSGSGFQSKNQYLFGRIDMQIKLVPGNSAGTVTTFFLSSQGPAHDEIDFEFLGNLSGNPYTLHTNVFAQGKGDKEQQFHLWFDPTKDFHTYSIVWNPKHIIFMVDNIPIRDFKNMEERGVGFPKDQPMRMYSSLWNADDWATMGGRVKTNWTQAPFTAYYQNYRANACVISPGSSGCPGNRLKTASVLDNAWINQEVDSVSYRRMRWVQRKYMIYDYCADIKRFPQGLPAECPTRRPR >DRNTG_28548.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3870614:3871422:-1 gene:DRNTG_28548 transcript:DRNTG_28548.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSALVNKSNIDKGKHTSEVHMKDNQLEAPEGAVIWRAEKLLQPNTEASRKFKKAKDFYDSNCPLGAERVLDKMTASKRILDNDEKISS >DRNTG_28548.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3868999:3869194:-1 gene:DRNTG_28548 transcript:DRNTG_28548.4 gene_biotype:protein_coding transcript_biotype:protein_coding VLNCYKKGELHSLTVAEMKCFLASKKMKVGGKKEELIQRINLLLN >DRNTG_28548.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3868999:3872460:-1 gene:DRNTG_28548 transcript:DRNTG_28548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLALDFHTPKPPMGSAPGSMALEFTELYEKATTSSTNVQGNTTKCVHGGIPNPPTGSMSLGMLPKFIERHKKAATLNTKVGNTINCVHCDNPKPSLGSTSLNIALEFTETHIKAITSSNKVLGNTIKCAQIVMPKPPSESASLSMGLEFAEMHNKTTTSSTKVLGSAIKCVHGGTSILSTTLDTPVLGKGFKSDPSAHHMSALVNKSNIDKGKHTSEVHMKDNQLEAPEGAVIWRAEKLLQPNTEASRKFKKAKDFYDSNCPLGAERVLDKMTASKRILDNDEKNKENISEVSKKMKGKQPSEQSDISYKVLNCYKKGELHSLTVAEMKCFLASKKMKVGGKKEELIQRINLLLN >DRNTG_28548.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3868564:3869395:-1 gene:DRNTG_28548 transcript:DRNTG_28548.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKQPSEQSDISYKVLNCYKKGELHSLTVAEMKCFLASKKMKVGGKKEELIQRINLLLN >DRNTG_28287.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11936298:11937177:1 gene:DRNTG_28287 transcript:DRNTG_28287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGEVRWRGWRRWSGCDADKGVPGEENMNTNTWGNGRMNKGVTGTKYGEFLMN >DRNTG_31505.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14211300:14211651:1 gene:DRNTG_31505 transcript:DRNTG_31505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDAIGSTIDKNLNAKKRLNEPKNNSGRNLHQKWRFRASCTVASTIAQWDEELPKSRVLLKGLLTAMKTIVNMMKNAQFTITV >DRNTG_07997.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30005666:30006476:-1 gene:DRNTG_07997 transcript:DRNTG_07997.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSRLSAIPLLILLISATIAGAFAGGNHFGHELGWIPTKSVCRGTIAECLAGEEFDLGSETYRRILATSGYISYGALRRDVVPCSRRGASYYNCRAGGQANPYSRGCSAITRCRH >DRNTG_07997.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30004483:30006476:-1 gene:DRNTG_07997 transcript:DRNTG_07997.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSRLSAIPLLILLISATIAGAFAGGNHFGHELGWIPTKSVCRGTIAECLAGEEFDLGSETYRRILATSGYISYGALRRDVVPCSRRGASYYNCRAGGQANPYSRGCSAITRCRH >DRNTG_07997.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30005819:30006476:-1 gene:DRNTG_07997 transcript:DRNTG_07997.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSRLSAIPLLILLISATIAGAFAGGNHFGHELGWIPTKSVCRGTIAECLAGEEFDLGSETYRRILATSGYISYGALRRDVVPCSRRGASYYNCRAGGQANPYSRGCSAITRCRH >DRNTG_18703.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14783285:14784151:1 gene:DRNTG_18703 transcript:DRNTG_18703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAVSCREIGANLLMRAMDFQEKLLATKMYALEKQMEELDAMRACQSDPPLDFQERLLATKMYALEKEREELDAMRARQINKYANVYNPYRSGHPHSSAYHHTQQWEYPHQG >DRNTG_12235.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25025794:25029280:1 gene:DRNTG_12235 transcript:DRNTG_12235.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEGELLVAHIRRKDARGSPPTVLGFQPAALVDHVARVDWSLLEQIPGDRGGSQQVAIEELNHILGEVNAQILPCINGFSPIRTIAGGSVANTIRGLTAGFGVSSGIIGACGDDEQGCLFIHNMKFSGVDLSRLRMKKGPTGQCVCLVDAEGNRTMRPCLSTAVKLQANELAREDFKGSKWLVLRYAFFNVEPIKTAIKLAKQEGLSVSLDLASFEMVRDSRPHLIELLETGNIDLCFANEDEARELIRGDLQSDAEAALNFLANHCKWAVVTLGPRGCIAKHGKEIVRVAATGEAPAVDATGAGDLFASGFLYGLVKDLPLEDCCKLGSCSGGSVVRSLGGEVRPENWQWMREQMQNKGLSLPNFSE >DRNTG_25556.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3080330:3081550:-1 gene:DRNTG_25556 transcript:DRNTG_25556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDLSCRTLFSSCYLTILLFFFLLFLIPYVNGEKQDKQVTLDQRPVNEADQMNSPNNDSIGVAHASKHGLVGEHSGHLHGSLKEDEQFNSSKHEVPSGPNPVSNR >DRNTG_10621.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:183542:185241:1 gene:DRNTG_10621 transcript:DRNTG_10621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSIEIPDCYYPKEAVLICPSTSTPKHTLYLSNLDDQKFLRFSIKYLHLYKKSVSVDVLQASLSNVLVEYYPLAGRLKPSQEHEDKFLLDCNAQGAYFSEAHLDITVDQFLYASSKPNKSWRKLLFRLDSQSFLDVPPLIIQVNYLSCGGMILCTSINHCFCDGIGSSQFLHAWSQLTSKPNAELSVKPYHIRHLLKPRIPSQISFSHPEFHCHDPSFNVTQFILSQPLVPVSVTFTPSQILSLKKLCVPSLKCTSFEVLSSHVWRSWVKALDLPPFLPVKLIFSINVRKKMRPKLPIGYYGNGFVLGCAETSVDRLALSNLQYSVKLVQQAKDCVTDDYVRSMIDFLQERRIKPDLSSSLVISQWAKQELEDLDFGEGKPLYIGPVASEIYCLFLPVTGNLHAFTVLMSVPQSIAEKFEHLLVNFDEKEGNEDDGKEKLFV >DRNTG_25031.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8695856:8697341:1 gene:DRNTG_25031 transcript:DRNTG_25031.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYVDLVLHYGGKWRNEDEKVYEGGQVEIIKSVDVDYISFFTLLDYFLDVGCEKGGRMWFKINGLSTNDEIEEILNDIDTSNMVYYNSGSNSIDVFLVEHELPVMVLPAEMDKDLRQQSMERPGEHSGIHDSYSSVVKLADRTCSCKMWELTGIPCKHAIAAIHSNNEEQEAYVHKFFTKATYLEVYKHSIQPVPSQDAWEKTSLPDIHPWIVRKPPGRPKRNRRKNSDEPQNPYKVSRAGGNVTCGNCHQVGHNVRGCKASITGETSWQRSARIQKEKDCGTYMPNKSLPQKRGRPRKNTNPVSKQNTTSNSIRSREDNPMAQPSLRTDFGVDRPTGNEASMASKTSTYHAHTVSSVQKMVINRAQGPPNPPSSSNNFKRPRQLPDFAVRHGDEHMHKRKAT >DRNTG_10585.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8701486:8708875:1 gene:DRNTG_10585 transcript:DRNTG_10585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRGKSWMGSMLLGLLVVAFIAGEVEAWTGAVRGRVVCDVCGDSFIGPEDHALEGAEVAVLCLTKSGEVVNYQAFTNAKGAYTVAETMPESDRWDSCLVRPISSFDQHCTRRGDIRKGIKFNYDLPSGQSHTMKPFLYRPASVPTYCA >DRNTG_19255.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:320603:321619:1 gene:DRNTG_19255 transcript:DRNTG_19255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRWIKLNQVVSLSKLFSLQKLPTSQYCNYFNTTRHPSPAYGWLKCEMEEDEDCDAVLRAGGISGRSGTIYSDDKKHVRVSLIKSQDDFDQLITKLTA >DRNTG_24748.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2253269:2257355:-1 gene:DRNTG_24748 transcript:DRNTG_24748.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLHLAAPAVMVYMINYLMSMSTQIFSGHLGNLELAAASLGNTGIQIFAYGLMLGMGSAVETLCGQAYGAHKYEMLGVYLQRSTVLLTATGIPLAIIYAFSYPILILLGQSPAIASAASVFVYGLIPQIFAYAVNFPIQKFLQAQSIVAPSAYISGATLVLHLLLSWLAVYKLGLGLVGASLVLSLSWWIIVGAQFVYIVTSERCKYTWDGFTWQAFSGLWGFLKLSAASAVMLCLETWYFQVLVLIAGLLPNPELALDSLSVCMTISGWVFMISVGFNAAASVRVSNELGAGHPKSAAFSVVVVTGMSFVISVVLAIVILCLRDVISYAFTEGETVAHAVSDLCPLLAITLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYLVGVPVWLSAWFQVWIRS >DRNTG_22518.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3415350:3419502:-1 gene:DRNTG_22518 transcript:DRNTG_22518.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFDPFVAGGDDAVPPASARPFDDDGYLGYDPRLPSQRFESFSSFSPANDEDLYDAPKNIPDDVFSGVDVHHVSVGSAGGGGSFPPSPEAFGYRSDLQTGSSPSPFEMPEANGGPDHGEIFSDGPSLPPLNEMQPEEGFILREWRRQNAELLKIKEEKEKELREDIYKEADDYKIAFHEKRKVNSEMNKIHNREREKLFLANQEKFHANADKQYWKAITELIPNEVPNIEKRGKKNEEKKPSIVVIQGPKPGKPTDLSRMRQILLKLKHNLPEHMKPAPPPPPPPAATTAKDGNKPEIPKGATTETPAVKPVAVAVN >DRNTG_08077.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1836946:1837796:-1 gene:DRNTG_08077 transcript:DRNTG_08077.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKLVLLMALTLLAILMVESAVFKDRIGDQEEEYHLDRAQYRSGSLRSYQCPSQCSRRCSQTQYKKPCLFFCNKCCAKCLCVPPGYYGNKGVCPCYNNWKTKRGGPKCP >DRNTG_15757.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20492454:20493044:-1 gene:DRNTG_15757 transcript:DRNTG_15757.1 gene_biotype:protein_coding transcript_biotype:protein_coding KYSEEDAKVVIVQILSVVAFCHLQGVVHRDLKPENFLFTSKDENSDLKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEGDMWSIGVIAYIFALRKPPVLGED >DRNTG_13319.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23050433:23055391:1 gene:DRNTG_13319 transcript:DRNTG_13319.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHGIGCTSPRRLLQTHRSALDPDRHTPGALTANTNPYLRLQLHSKSQLLARIHRSHPLPHRLIHPKPLGHHRELHRRGVLLPLRKSQRHRCPHINITVVVVGGDRNIRLEIGIREPHLAIRVRRLDRHRHGGVQEERTTIGSGDIESRDPEGLDPEQGKPGLEPEIGESTSNGDKESDGDEDEGEPTAESAAARASTASPSGGFAWVPESSGKLRWLARWSWWTWCSGGRAAPMVLETPAVSEASASPALSSPAFLKLSKNLQFGVVCA >DRNTG_13319.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23050433:23051665:1 gene:DRNTG_13319 transcript:DRNTG_13319.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHGIGCTSPRRLLQTHRSALDPDRHTPGALTANTNPYLRLQLHSKSQLLARIHRSHPLPHRLIHPKPLGHHRELHRRGVLLPLRKSQRHRCPHINITVVVVGGDRNIRLEIGIREPHLAIRVRRLDRHRHGGVQEERTTIGSGDIESRDPEGLDPEQGKPGLEPEIGESTSNGDKESDGDEDEGEPTAESAAARASTASPSGGFAWVPESSGKLRWLARWSWWTWCSGGRAAPMVLETPAVSEASASPALSSPAFLKLSKVWLLSFAFFLFFFFGLNNPKIY >DRNTG_29500.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21119739:21121070:-1 gene:DRNTG_29500 transcript:DRNTG_29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPVVFSYAQQVGLSQARRLAQGKVIRLHLDSPFPVQVDGEPWIQQPGCIEITHRGQVVMLPLVFCSSYCQCRLMYINAALTSLHISRKGFNLFP >DRNTG_21617.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1240249:1243135:1 gene:DRNTG_21617 transcript:DRNTG_21617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFDLFDVKQKGVIDFGDFVRSLNVFHPNASHEDKVDCKIR >DRNTG_01603.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:518879:519174:1 gene:DRNTG_01603 transcript:DRNTG_01603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKFRTGVWSIHTRVVARFQPYLKPIQPRFWFLERFYGSNIARRLEEGYWESFRWYRSGKVYPRPDKGFLVTST >DRNTG_02030.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13093247:13094381:-1 gene:DRNTG_02030 transcript:DRNTG_02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNLVEYVNSVLKGTRNLPITAMVKSTYFRLTELFVRIGVQAQAQIASGLIFSESLMKAIQENQQATSIIYVRQFNREEKRYIFHVDMSLQHAHIFVYIGGSSPRGLGLHACSAGGSSSDDSSESCIAEAGVFRFAAKYEGLGGDSQSYC >DRNTG_01382.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28563915:28573494:-1 gene:DRNTG_01382 transcript:DRNTG_01382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKKNPVAALRIKHKEVLEQEKELIRRAELLVLDTLDCDLDVALPYPTLVSALKKFDDVASWLAPTAWSLLRDW >DRNTG_25699.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30370800:30376533:1 gene:DRNTG_25699 transcript:DRNTG_25699.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPSRFLDRSGTMGREKRGLEPSADGDERGSDAKRQKAPALASVIVEALKVDSLQKLCSSLEPVLRRVVSEEVERALAKLGPARLGTRSSPKRIEGPDGRNLQLHFRSRLSLPLFTGGKVEGEQGAAIHVVLLDANTGHVITSGPESSAKLDVVVLEGDFNNEDDDDWTDEDFESHVVKEREGKRPLLTGDLQVSLKEGVGTLGELTFTDNSSWIRSRKFRLGLKIASGFCEGIRIREAKTEPFTVKDHRGELYKKHYPPALKDDVWRLEKIGKDGSFHKRLNKAGIYTVEDFLRLVVRDSQKLRNILGSGMSNKMWESLVEHAKTCVLSGKYYVYYSDDTRNFGAIFNNIYEFVGLIAGGQYYSAESLSESQKVFVDTLVKKAYDNWMNVIEYDGKALLNFKQSKKTTTSRNEVPSAQENYSASYDHQQVSQASLSVSVPSDQSSIDTGVTMGGGVISSSYSGNQSAPYSTQTEHVAPNAQLQYESSSYNSQNQFIDSSQQTQITRNDSTGLVLAPPQQPSLGFQSMSQALQPSNLNSYDDWTTRQRDSRVDDFFSEEEIRMRSHELLENEDMQHLLRVLSMGGAGSLPEDGFSFPAFMPSPCPNYNFDEDRSRTSGKAVVGWLKIKAAMRWGIFIRKKAAERRAQLVELDD >DRNTG_25699.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30370800:30376533:1 gene:DRNTG_25699 transcript:DRNTG_25699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPSRFLDRSGTMGREKRGLEPSADGDERGSDAKRQKAPALASVIVEALKVDSLQKLCSSLEPVLRRVVSEEVERALAKLGPARLGTRSSPKRIEGPDGRNLQLHFRSRLSLPLFTGGKVEGEQGAAIHVVLLDANTGHVITSGPESSAKLDVVVLEGDFNNEDDDDWTDEDFESHVVKEREGKRPLLTGDLQVSLKEGVGTLGELTFTDNSSWIRSRKFRLGLKIASGFCEGIRIREAKTEPFTVKDHRGELYKKHYPPALKDDVWRLEKIGKDGSFHKRLNKAGIYTVEDFLRLVVRDSQKLRNILGSGMSNKMWESLVEHAKTCVLSGKYYVYYSDDTRNFGAIFNNIYEFVGLIAGGQYYSAESLSESQKVFVDTLVKKAYDNWMNVIEYDGKALLNFKQSKKTTTSRNEVPSAQENYSASYDHQQVSQASLSVSVPSDQSSIDTGVTMGGGVISSSYSGNQSAPYSTQTEHVAPNAQLQYESSSYNSQNQFIDSSQQTQITRNDSTGLVLAPPQQPSLGFQSMSQALQPSNLNSYDDWTTRQRDSRVDDFFSEEEIRMRSHELLENEDMQHLLRVLSMGGAGSLPEDGFSFPAFMPSPCPNYNFDEDRSRTSGKAVVGWLKIKAAMRWGIFIRKKAAERRAQLVELDD >DRNTG_11424.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:928215:931727:-1 gene:DRNTG_11424 transcript:DRNTG_11424.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRRSAAPPAQAMSFTNRSSKDQYCAVTTVSSDKWIWAFCLAFRVVNSLLVQTYFNPDEHWQTLEVAHNITFGYGHLTWEWKKGIRSYLHPLLFALLYKVMGFLHLDTPWLMRKAPRVLQSVFASFADLYLYKLSRLIYDARVARWTLFCQLVNWFMFYCITRTLVNSLETVLTVVGLFYWSSFASSSKKHPSGSRKLALFIASLACAIRPTSAITWLYVGFVDLWQERNRLKLIFLEVIPIGLSVLGLTSILDWWMYRSMVFVPLNFLKFNFFSAGGDYYGTHPWHWYFSQGYSVMLFTFLPFSLIGIFRSKDWRFSGLIAWVLGVYSILGHKEFRFVLPVLPLSLMFSGYSLAELSDSDSKRKNTKASSRRPSRAQVAILFLVATNVPMALYMSLVHQRGSEDAIYYLSKQASDGKVKSVLFLMPCHSTPYYSSLHSNLPMRILDCSPSDNKGYVDESDRFMSNPVGFVSTMLENSSLPSHLVMFESEEKHLRELLVSHSYKEVKRFFHAHFKVDRDLQASVVIYSSTIPT >DRNTG_11424.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:928215:929772:-1 gene:DRNTG_11424 transcript:DRNTG_11424.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGYSLAELSDSDSKRKNTKASSRRPSRAQVAILFLVATNVPMALYMSLVHQRGSEDAIYYLSKQASDGKVKSVLFLMPCHSTPYYSSLHSNLPMRILDCSPSDNKGYVDESDRFMSNPVGFVSTMLENSSLPSHLVMFESEEKHLRELLVSHSYKEVKRFFHAHFKVDRDLQASVVIYSSTIPT >DRNTG_11424.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:928215:932607:-1 gene:DRNTG_11424 transcript:DRNTG_11424.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRRSAAPPAQAMSFTNRSSKDQYCAVTTVSSDKWIWAFCLAFRVVNSLLVQTYFNPDEHWQTLEVAHNITFGYGHLTWEWKKGIRSYLHPLLFALLYKVMGFLHLDTPWLMRKAPRVLQSVFASFADLYLYKLSRLIYDARVARWTLFCQLVNWFMFYCITRTLVNSLETVLTVVGLFYWSSFASSSKKHPSGSRKLALFIASLACAIRPTSAITWLYVGFVDLWQERNRLKLIFLEVIPIGLSVLGLTSILDWWMYRSMVFVPLNFLKFNFFSAGGDYYGTHPWHWYFSQGYSVMLFTFLPFSLIGIFRSKDWRFSGLIAWVLGVYSILGHKEFRFVLPVLPLSLMFSGYSLAELSDSDSKRKNTKASSRRPSRAQVAILFLVATNVPMALYMSLVHQRGSEDAIYYLSKQASDGKVKSVLFLMPCHSTPYYSSLHSNLPMRILDCSPSDNKGYVDESDRFMSNPVGFVSTMLENSSLPSHLVMFESEEKHLRELLVSHSYKEVKRFFHAHFKVDRDLQASVVIYSSTIPT >DRNTG_11424.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:928215:931727:-1 gene:DRNTG_11424 transcript:DRNTG_11424.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYKQRKAPRVLQSVFASFADLYLYKLSRLIYDARVARWTLFCQLVNWFMFYCITRTLVNSLETVLTVVGLFYWSSFASSSKKHPSGSRKLALFIASLACAIRPTSAITWLYVGFVDLWQERNRLKLIFLEVIPIGLSVLGLTSILDWWMYRSMVFVPLNFLKFNFFSAGGDYYGTHPWHWYFSQGYSVMLFTFLPFSLIGIFRSKDWRFSGLIAWVLGVYSILGHKEFRFVLPVLPLSLMFSGYSLAELSDSDSKRKNTKASSRRPSRAQVAILFLVATNVPMALYMSLVHQRGSEDAIYYLSKQASDGKVKSVLFLMPCHSTPYYSSLHSNLPMRILDCSPSDNKGYVDESDRFMSNPVGFVSTMLENSSLPSHLVMFESEEKHLRELLVSHSYKEVKRFFHAHFKVDRDLQASVVIYSSTIPT >DRNTG_11424.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:928215:932607:-1 gene:DRNTG_11424 transcript:DRNTG_11424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRRSAAPPAQAMSFTNRSSKDQYCAVTTVSSDKWIWAFCLAFRVVNSLLVQTYFNPDEHWQTLEVAHNITFGYGHLTWEWKKGIRSYLHPLLFALLYKVMGFLHLDTPWLMRKAPRVLQSVFASFADLYLYKLSRLIYDARVARWTLFCQLVNWFMFYCITRTLVNSLETVLTVVGLFYWSSFASSSKKHPSGSRKLALFIASLACAIRPTSAITWLYVGFVDLWQERNRLKLIFLEVIPIGLSVLGLTSILDWWMYRSMVFVPLNFLKFNFFSAGGDYYGTHPWHWYFSQGYSVMLFTFLPFSLIGIFRSKDWRFSGLIAWVLGVYSILGHKEFRFVLPVLPLSLMFSGYSLAELSDSDSKRKNTKASSRRPSRAQVAILFLVATNVPMALYMSLVHQRGSEDAIYYLSKQASDGKVKSVLFLMPCHSTPYYSSLHSNLPMRILDCSPSDNKGYVDESDRFMSNPVGFVSTMLENSSLPSHLVMFESEEKHLRELLVSHSYKEVKRFFHAHFKVDRDLQASVVIYSSTIPT >DRNTG_06707.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:24096145:24102736:-1 gene:DRNTG_06707 transcript:DRNTG_06707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDADMEDYGFEYSEEEPEEQDVDIENQYYNSKGLVETDPEGALSGFAEVVRMEPEKAEWGFKALKQTVKLYYRLGRYNEMMETYREMLTYIKSAVTRNYSEKCINSIMDFVSGSASQNFGLLQDFYQTTLKALEEAKNERLWFKTNLKLCKIMFDKADYGRMSKILKELHKSCRREDGTDDQKKGTQLLEVYAIEIQMYTQTKNNKKLKQLYQNALSIKSAIPHPRIMGIIHECGGKMHMAERQWAEAATDFFEAFKNYDEAGNPRRIQCLKYLVLANMLMESVVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNDILEFEMILKSNRRTIMDDSFIRNYIEDLLKNIRTQVLLKLIKPYTRIRIPFISKELNVPEKDVEQLLVSLILDNRIHGHIDQVNRLLERGDSSKGMKKYAAIDKWNTQLSTLYQTITNRVG >DRNTG_06707.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:24096145:24102736:-1 gene:DRNTG_06707 transcript:DRNTG_06707.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDADMEDYGFEYSEEEPEEQDVDIENQYYNSKGLVETDPEGALSGFAEVVRMEPEKAEWGFKALKQTVKLYYRLGRYNEMMETYREMLTYIKSAVTRNYSEKCINSIMDFVSGSASQNFGLLQDFYQTTLKALEEAKNERLWFKTNLKLCKIMFDKADYGRMSKILKELHKSCRREDGTDDQKKGTQLLEVYAIEIQMYTQTKNNKKLKQLYQNALSIKSAIPHPRIMGIIHECGGKMHMAERQWAEAATDFFEAFKNYDEAGNPRRIQCLKYLVLANMLMESVVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNDILEFEMILKSNRRTIMDDSFIRNYIEDLLKNIRTQVLLKLIKPYTRIRIPFISKELNVPEKDVEQLLVSLILDNRIHGHIDQVNRLLERGDSSKGMKKYAAIDKWNTQLSTLYQTITNRVG >DRNTG_27863.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3853736:3856716:-1 gene:DRNTG_27863 transcript:DRNTG_27863.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPVELCYKQLHPKSSLHQIPLPVSSKRINNKHFSDEQSETHNSEGNKQ >DRNTG_17502.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19166677:19168159:-1 gene:DRNTG_17502 transcript:DRNTG_17502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRRLPASQVPDPCLQIQPSQHPQNDILEPTQHPPGTTPLLGLHPDVDLSLDPHPSCIPSLNPNLKQVVTDKLELEEEEDKNKKKKIKKGDVDASKIRDFHVNSNNKSGGRVLSGERKAKAKAIVNTSKTSDEAVVNVSVKEEEEEEERVKKRRSPAVLMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMSSVRGQLGSTKPIKTNAQLSEDIITSSNAMTAKNNGDEDGDNQENKCKKIGIVKARSISSLLG >DRNTG_10064.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4552898:4555563:-1 gene:DRNTG_10064 transcript:DRNTG_10064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTRGMEVESEYAKICLKAFSSHSDLQNSSHPTLFGKRISLSSKSHPG >DRNTG_05326.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18882831:18883917:-1 gene:DRNTG_05326 transcript:DRNTG_05326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDNFYNTKKLVQGLGLPVEKIHCCPNGCMIYWGEDSELMSCKLCEFPRYKQRRVGSSKNKKNIPYKRMYYFPLTPRLQRLYASNVTAKEMRWHAENEPEEGVMRHCSDSPAWKHFNSTHPSFASENRNVRLGLCTDGFQPFGQSGQQYSSWPVILTPYNLPPSICMKEEY >DRNTG_05465.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23162021:23164912:-1 gene:DRNTG_05465 transcript:DRNTG_05465.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHFNGSSWIEEAEVGAGDGAGPSWQPRAEAGPSEDDPDLGGLPSFKSMLEDPSWYFSSDAGADQCPSGRFQGLQSHQDLAFPTDSSSFVNKPISFDPGFELSFDAPAPVFDLSSKSPFSNPIVFENTPVFSRAKVLRPLDVSPPVGAQPTLFQKRAAVHGVKRSEEEEGSGNGSGVNWDSEDERRNSNGGNNVAVHGGGEVKEGKGKTKGQPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQRINDLQNELDSIPPNSSLPPTSIPSFHPLTPTPPTLPCLVKEELCSSPLPSSNSLPATVEVKMQEGGAVNIHMFCACRPGLLLSTMRALDGLGLDIQQAVISCFNGFAMDVLRAEQCNNGTGVLPEDIKAVLSQSAGLQSLVQ >DRNTG_30999.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3580829:3593742:-1 gene:DRNTG_30999 transcript:DRNTG_30999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVPSTPRGGNLRQEDAEYLIGEFVGNRAYPVSSDFWQKLLDLSLTVQWPHDRILHACEMLAQNNHHTRHLGKVLIHLVLCLQDSMSSSTASSASYKKAVNATYISSVFLKYIIENSKSEKFEDLCLSFDEDTKIHATSPTDQSIESFFMRGVLSYIGASDVSPHSCLLHHELLNLMFVAMSTQLRSGPSPGTKDVHPFIDAAMIQESTVVAAVVRRLLLNFIMRPRVPFNGSNYPVFPEGSQPGVLQRVSSAAANFVLLPYYTFNYLVSSSGEGLRSPLADKSLLLLLILVHYRKCVIEDASITKTNVEAIGGNSYMKETYFCENPYCKALNSVRDVEFDRVDIEGNAQSGPVVRLPFASLFDTLGLCLDGESSVLLLYSLVHGNSDFLEYVLVRTDLDTLLMPILETLYNASKRTPNQIYMLLIVLLILSQDSSFNASIHKLILQSVPWYQERLLHKTSLGSLMVVILIRTVKYNLSKLRDVYLHTNCLAILANMAPHVHKLSAYASQRLVSLFDMLSRKYIKLTDIMDDSVLKIAPDQFEGNVTKEDMSPELHIYTDFLRIVLEIFNAILTYALPRNPEVVYAIMHRQEVFQPFKNHPRFNELIENIYTVLDFFNSRMDMQQMEGEWSVDKVLQVIITNCRSWRGEGMKMFNQLRFTYEQESHPEEFFIPYVWRLVVFDSFSFNLDAITLFPIDQPVYETQSGELDV >DRNTG_30999.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3580829:3593742:-1 gene:DRNTG_30999 transcript:DRNTG_30999.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVPSTPRGGNLRQEDAEYLIGEFVGNRAYPVSSDFWQKLLDLSLTVQWPHDRILHACEMLAQNNHHTRHLGKVLIHLVLCLQDSMSSSTASSASYKKAVNATYISSVFLKYIIENSKSEKFEDLCLSFDEDTKIHATSPTDQSIESFFMRGVLSYIGASDVSPHSCLLHHELLNLMFVAMSTQLRSGPSPGTKDVHPFIDAAMIQESTVVAAVVRRLLLNFIMRPRVPFNGSNYPVFPEGSQPGVLQRVSSAAANFVLLPYYTFNYLVSSSGEGLRSPLADKSLLLLLILVHYRKCVIEDASITKTNVEAIGGNSYMKETYFCENPYCKALNSVRDVEFDRVDIEGNAQSGPVVRLPFASLFDTLGLCLDGESSVLLLYSLVHGNSDFLEYVLVRTDLDTLLMPILETLYNASKRTPNQIYMLLIVLLILSQDSSFNASIHKLILQSVPWYQERLLHKTSLGSLMVVILIRTVKYNLSKLRDVYLHTNCLAILANMAPHVHKLSAYASQRLVSLFDMLSRKYIKLTDIMDDSVLKIAPDQFEGNVTKEDMVFLFDLQLFYFKPLESEMLLSLLVTLNVFFYLLNNFLQSPELHIYTDFLRIVLEIFNAILTYALPRNPEVVYAIMHRQEVFQPFKNHPRFNELIENIYTVLDFFNSRMDMQQMEGEWSVDKVLQVIITNCRSWRGEGMKMFNQLRFTYEQESHPEEFFIPYVWRLVVFDSFSFNLDAITLFPIDQPVYETQSGELDV >DRNTG_34513.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002160.1:39095:39303:-1 gene:DRNTG_34513 transcript:DRNTG_34513.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TIO [Source:Projected from Arabidopsis thaliana (AT1G50240) UniProtKB/Swiss-Prot;Acc:Q2QAV0] ASLCLQVGNAAYHNDILYENLRRCIPQLTKLLLSVEEDKTKANAAGALSNLVRNSNSLCEDIISQGALQ >DRNTG_34513.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002160.1:38068:48563:-1 gene:DRNTG_34513 transcript:DRNTG_34513.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TIO [Source:Projected from Arabidopsis thaliana (AT1G50240) UniProtKB/Swiss-Prot;Acc:Q2QAV0] MKLDSGGDGTIISDIFSLLSLCASYINKESGEIQNQKCKLSNPHRLVLHSCLALATVANCLRSEEKISASSILTSSQKKQRGRLSVLAHLCSSDDRVVNYNQPHCLSAMLALSSILSLESGSTTSSSICESALVLLPPMATLRNQLKVLLSDENEALSKYKLLNWYGVRDGCVGLLKARLNWGGPLAIEQACSNGIPQLLTCLLADGLKKDPEGKEISKVRAGLSPIGVVWTLSAMCYCLPGGVFRDVLFRRDHLKLITDLITELHLKILKGWRGLGGGTNGIRDLINALVDLLAFPFVAVQSSPSMPSTSASINSGFVLNAASPGGKIGLENKEMIKAIEANLPHYIQVLLEVGFPGRILRCLDFIECKDLGRPIAIIAKMVGYRPLALQLLREGLLNPSIVQRVLGGSSPREAVVDFLMIVSDLARMSKDFYESIDKAGMLVFLKEYLNHEDADLRAKACSAIGNMCRHGPYFYGPLAINKIIDLLIDRCSDSDKRTRKFACFAVGNAAYHNDILYENLRRCIPQLTKLLLSVEEDKTKANAAGALSNLVRNSNSLCEDIISQGALQALLKLASDYSVVALNPSRGDAMNESPLKIVLFALRKMCDHTPCRQFISSSEFLPLFTQLKRSPNTIIAEYASVIISKAGQTK >DRNTG_34513.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002160.1:44827:47476:-1 gene:DRNTG_34513 transcript:DRNTG_34513.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TIO [Source:Projected from Arabidopsis thaliana (AT1G50240) UniProtKB/Swiss-Prot;Acc:Q2QAV0] MKPQNILIGAGSVVKLCDFGFARAMSANTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPDNMSPNFRSFLKGLLNKVPQSRLSWPALLEHPFVKENSDDVEARETRAAVAAARGCDAAWRGEGNNVASSKIEASG >DRNTG_34513.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002160.1:38068:43177:-1 gene:DRNTG_34513 transcript:DRNTG_34513.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TIO [Source:Projected from Arabidopsis thaliana (AT1G50240) UniProtKB/Swiss-Prot;Acc:Q2QAV0] MKLDSGGDGTIISDIFSLLSLCASYINKESGEIQNQKCKLSNPHRLVLHSCLALATVANCLRSEEKISASSILTSSQKKQRGRLSVLAHLCSSDDRVVNYNQPHCLSAMLALSSILSLESGSTTSSSICESALVLLPPMATLRNQLKVLLSDENEALSKYKLLNWYGVRDGCVGLLKARLNWGGPLAIEQACSNGIPQLLTCLLADGLKKDPEGKEISKVRAGLSPIGVVWTLSAMCYCLPGGVFRDVLFRRDHLKLITDLITELHLKILKGWRGLGGGTNGIRDLINALVDLLAFPFVAVQSSPSMPSTSASINSGFVLNAASPGGKIGLENKEMIKAIEANLPHYIQVLLEVGFPGRILRCLDFIECKDLGRPIAIIAKMVGYRPLALQLLREGLLNPSIVQRVLGGSSPREAVVDFLMIVSDLARMSKDFYESIDKAGMLVFLKEYLNHEDADLRAKACSAIGNMCRHGPYFYGPLAINKIIDLLIDRCSDSDKRTRKFACFAVGNAAYHNDILYENLRRCIPQLTKLLLSVEEDKTKANAAGALSNLVRNSNSLCEDIISQGALQALLKLASDYSVVALNPSRGDAMNESPLKIVLFALRKMCDHTPCRQFISSSEFLPLFTQLKRSPNTIIAEYASVIISKAGQTK >DRNTG_34513.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002160.1:40661:45113:-1 gene:DRNTG_34513 transcript:DRNTG_34513.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TIO [Source:Projected from Arabidopsis thaliana (AT1G50240) UniProtKB/Swiss-Prot;Acc:Q2QAV0] VPQSRLSWPALLEHPFVKENSDDVEARETRAAVAAARGCDAAWRGEGNNVASSKIEASGKRSPETSSEICKAPSIVNDDRAGSPSPIPENQQEQASNACILSSGHQVLDKLEKNSRTVKGANIIAQDYEALSAILLPLKTWSNGSPSSLRDLNTECANQSFRIVTNMITAGAHQSCAALDDMICVLLEFAAAIVKLNLSEADGLAVKSLSTLKKLLDNSGSGIGNSYARHWSALKDLYSQILTTNSDASGRVIYESTACVAVMLSRVALGLKTSVAADVSEMVSQKPSPRTNSHPDSQSC >DRNTG_11467.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18558350:18560189:-1 gene:DRNTG_11467 transcript:DRNTG_11467.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g14050, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G14050) UniProtKB/Swiss-Prot;Acc:O23266] MYSKCRLPGDARKVFDTITHRNRVSWTAMISGYASSGCYSDAIEVFDAMPEKDVFTWTALISGLVQSGDSFHALKLFVDMRREGVSLDDAFVLSSAVGAASDTAALELGRQLHCLTLVLGYESCMIIGNALVDMYAKCSDIYSARIAFERVLVRDVVSWTTMVLGEAQHGKAEMAFSLFDQMVIAGVRPNEVTFVALLYACSHAGLVQKGRNFFDSMVREHGIMPSLQHYTCLLDLLSRSGHLSEAEELIKSMPHEPDEATWGALLSACKKHGNVQMSLRVANHLLSLKPKDPSMYILLSNTYAVAGDWANVTNVRRLMVDNEIKKEPGYSWIELGKESCMFVAGETPHDMRIQIVGLLEELMIEMKKRGYVPETNSVMHDLDEHEKEQQLMMHSERLAVAFGLLRGVSGATIRVVKNLRVCDDCHTVLKMISSIASREIVVRDATRFHHFSYGSCSCGDFW >DRNTG_24097.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4688076:4688753:-1 gene:DRNTG_24097 transcript:DRNTG_24097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFCSSATGHACPFQLQSKIQLLLLIFYSVPTSQSMIRLRLC >DRNTG_28739.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31096109:31100621:1 gene:DRNTG_28739 transcript:DRNTG_28739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSKSDEETTANRKLAKELVDKWSRPIFNKSTRFEDMRSYDDERTPYRRPTMKKPMSKSAGLESRDDDLDLGDLSQGRKSGQGASRQHASRPEALPLDFVVRPQSKVDPEEVRARAKQAVPDQRRLKMNKKLQQLKAPKKKQLQASKLSVEGRGMVKYL >DRNTG_14388.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:508499:512971:1 gene:DRNTG_14388 transcript:DRNTG_14388.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIVTIQVGDFANYIFSHFWNFQDELLGLAQEPDGDPIYKNMPLDMDVLYRAGETQEGIATYCPRLISVGLQGSLGTLSTLDSLYNSIPSPDTSEIITWSGDVSKHISEPRKKNLFLQSLDEEWQGKSTSIGNDAVEGRGDAQIQIQDKDRVECLENGVQYWTDFSKVQYHPRSLFELHGSWTDIQKFDNYGIGKDVLSEGSQVEEMSERLRFFVEECDRIQGIQFIVDDSGGFSSVAATYLEDVADEYPNTPVLLYAARSPSSYSNIVSKKESISKCLHDAISFSRLSSLCKLMVPIGLPSLSKSKFSSVLHVEDQKLFHSSAVYAASIHSLSIPFRLGMLGPAASSSNAIGAINVGEAVQMLSSHASQNMVCTLNVAMPAPSSIDEQLKGSILRSLHSLTPEIQEDAEDFRAVETMIVHGTLYSRGHRASVSQVEDSICASYEHEPQKPLFSHLTVALCPLPIPLPFPSIFKSHVGRDGELLPGSTQSEQPKGSLDINSVPMAARLRSSNAIIPYIQRRLASIQRSGLQRGTSSAELLQKWGFGREEIEEMGEDLSRKIMSFNPHSEMST >DRNTG_14388.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:508499:512971:1 gene:DRNTG_14388 transcript:DRNTG_14388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIVTIQVGDFANYIFSHFWNFQDELLGLAQEPDGDPIYKNMPLDMDVLYRAGETQEGIATYCPRLISVGLQGSLGTLSTLDSLYNSIPSPDTSEIITWSGDVSKHISEPRKKNLFLQSLDEEWQGKSTSIGNDAVEGRGDAQIQIQDKDRVECLENGVQYWTDFSKVQYHPRSLFELHGSWTDIQKFDNYGIGKDVLSEGSQVEEMSERLRFFVEECDRIQGIQFIVDDSGGFSSVAATYLEDVADEYPNTPVLLYAARSPSSYSNIVSKKESISKCLHDAISFSRLSSLCKLMVPIGLPSLSKSKFSSVLHVEDQKLFHSSAVYAASIHSLSIPFRLGMLGPAASSSNAIGAINVGEAVQMLSSHASQNMVCTLNVAMPAPSSIDEQLKGSILRSLHSLTPEIQEDAEDFRAVETMIVHGTLYSRGHRASVSQVEDSICASYEHEPQKPLFSHLTVALCPLPIPLPFPSIFKSHVGRDGELLPGSTQSEQPKGSLDINSVPMAARLRSSNAIIPYIQRRLASIQRSGLQRGTSSAELLQKWGFGREEIEEMGEDLSRKIMSFNPHSEMST >DRNTG_32140.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001788.1:14230:16203:-1 gene:DRNTG_32140 transcript:DRNTG_32140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTMEWTAEECTHMIATNFESALHLSQLAHPLLKASSSGNIVFISTIGTCVVYQGGAIYSASKGAMNQITKHLACEWAKDNIRVNGVAPATINTSLVEYLGKDSDILMKEASRVPLGRLGEPEEVASVVAFLCLPAASYVTGQIICIDGGRAQIS >DRNTG_32140.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001788.1:14230:16203:-1 gene:DRNTG_32140 transcript:DRNTG_32140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTMEWTAEECTHMIATNFESALHLSQLAHPLLKASSSGNIVFISTIGTCVVYQGGAIYSASKGKINQNFFFPFVCVYHNLFILLFISQGAMNQITKHLACEWAKDNIRVNGVAPATINTSLVEYLGKDSDILMKEASRVPLGRLGEPEEVASVVAFLCLPAASYVTGQIICIDGGRAQIS >DRNTG_32140.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001788.1:14230:16203:-1 gene:DRNTG_32140 transcript:DRNTG_32140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVSSVFQGKFHILINNARTCIMKPTMEWTAEECTHMIATNFESALHLSQLAHPLLKASSSGNIVFISTIGTCVVYQGGAIYSASKGAMNQITKHLACEWAKDNIRVNGVAPATINTSLVEYLGKDSDILMKEASRVPLGRLGEPEEVASVVAFLCLPAASYVTGQIICIDGGRAQIS >DRNTG_21011.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:23391:24650:-1 gene:DRNTG_21011 transcript:DRNTG_21011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCNHEPATLFCCADEAALCSSCDRHIHRANKLSIKHHRLPFLSPSPSSPSPLCDICQDKKAFIFCQQDRAIICRDCDAAIHTNNHLTENHSRFFLTGVRISSSPAPNSSSSSSSSDQPEKHTNATSTSSSSFSDYLIKTLPGWKVEDLLVDDAAEAAAAHFQVLHKNPTFFTLIYS >DRNTG_21011.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:23488:24650:-1 gene:DRNTG_21011 transcript:DRNTG_21011.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCNHEPATLFCCADEAALCSSCDRHIHRANKLSIKHHRLPFLSPSPSSPSPLCDICQVSFSLSIDLSVSLFLSFFL >DRNTG_21011.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:23488:24650:-1 gene:DRNTG_21011 transcript:DRNTG_21011.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCNHEPATLFCCADEAALCSSCDRHIHRANKLSIKHHRLPFLSPSPSSPSPLCDICQDKKAFIFCQQDRAIICRDCDAAIHTNNHLTENHSRFFLTGVRISSSPAPNSSSSSSSSDQPEKHTNATSTSSSSFSDYLIKTLPGWKVEDLLVDDAAEAAAAHFQVEDLADEIPSWAAPHVPQSPAICFSDAAAAVAAVKQVNSTTHSKRQASDDAFRVPQLSPAIAGGQNKRQRTSVAPFPTSSSFSYPLFWYH >DRNTG_33392.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18057050:18063613:-1 gene:DRNTG_33392 transcript:DRNTG_33392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYQWNPRERKKVAGLHEIDAVTSLAAQVESLNKALTRFVQSSDTRFQSVKATLRNHTASLHNLENQVGQIAKSLSERPQGSLPSNTETNPREHVKAITLGSGREVEGVFRLNQMVRSILENRGYHLESLDPQAVPYSLQVMTRGSPSNLVEVDSEIGRRTHRWGKDPVQEQMNQAEILSLIHVYSCSFMHVMTRGNPSTLVEGYPHIERRIHRIGKEPVQEQSSQAKIEGLETQMNDLEKALTKFIQSSDTRFQSDEATLHNHTAPLHNLENQVGFPSEKTNIEVPEVMEVEERTKGKKVAIPPYMPGIPYPSRLKNNQNDEQYKKF >DRNTG_14531.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7421356:7426111:1 gene:DRNTG_14531 transcript:DRNTG_14531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNSSILVEGDPELERTFHTRDPYERVLDQEVENEEVMMLGLEDKVQPISGIMKKMLQKMKRARRHHKKCPKANEDEQEWSKGDKPLCLELYLEKTQGHVSTPISDPLNCTRAWGISTRRYGRKT >DRNTG_11707.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28157643:28157891:1 gene:DRNTG_11707 transcript:DRNTG_11707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGERGCFAMESIFLRSDMLTLEALESAVLVKFKSLNHTHLEGRETNEDSRY >DRNTG_14357.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21703726:21707223:1 gene:DRNTG_14357 transcript:DRNTG_14357.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNFSDTGCTLEEFKLAMGKTKSQADIKKEHSFGTDFRYKDECKLGMGKAKNQSIDTDFRLKGYGLGLGKTKAQTNTKLEQSVDFEVQSSLKQEILQLEKRLKDQLGERHVLEQALGYRSSAIDSTINGTTPKLAKGLIREIAILELEVMHLEQYLLSLYRKAFDQQSTLSPASIGEKSKQMLRSKARAFQEVAGANNLFEGGKQGSVSQRIHHPRNLSTDFANVAGDTFAGPGVHRCHSALSYRSARMSPPPANLTRVLESFHSQPLTYLEDGQNAAQGIVSLAEYLGSNIADHVPETPNKISENMVRCMGAIYYKLVDPPLVNHGLSSPTSSFSSISALSPQYLGDIWSPGYRKEPILDSRLINPFRVEGLKEFSGPYNSMVEVPFICWERQRLGDIQDLMRSYKSLVDQLESVSLRRMKNEEKLAFWINVHNAMIMHAYLDHGIPQSNTKKQSLPAKAAYTISSRLLSAETIRETILGCHTHCPKQWLRILLSPKLKFKSRDEWQGHTLDRPEPLLHFALCSGSHSDPAVRIYTPKKLYQQLEAAKEEYIRAAIGIQNEHKIILPKIVDYYAKDKGLSSQGLVEMIQRYLPENLQKVFRKLQQGKSHHKIIEWIPHDLTFRYLLSRELVVAH >DRNTG_14357.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21704387:21707223:1 gene:DRNTG_14357 transcript:DRNTG_14357.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTKSQADIKKEHSFGTDFRYKDECKLGMGKAKNQSIDTDFRLKGYGLGLGKTKAQTNTKLEQSVDFEVQSSLKQEILQLEKRLKDQLGERHVLEQALGYRSSAIDSTINGTTPKLAKGLIREIAILELEVMHLEQYLLSLYRKAFDQQSTLSPASIGEKSKQMLRSKARAFQEVAGANNLFEGGKQGSVSQRIHHPRNLSTDFANVAGDTFAGPGVHRCHSALSYRSARMSPPPANLTRVLESFHSQPLTYLEDGQNAAQGIVSLAEYLGSNIADHVPETPNKISENMVRCMGAIYYKLVDPPLVNHGLSSPTSSFSSISALSPQYLGDIWSPGYRKEPILDSRLINPFRVEGLKEFSGPYNSMVEVPFICWERQRLGDIQDLMRSYKSLVDQLESVSLRRMKNEEKLAFWINVHNAMIMHAYLDHGIPQSNTKKQSLPAKAAYTISSRLLSAETIRETILGCHTHCPKQWLRILLSPKLKFKSRDEWQGHTLDRPEPLLHFALCSGSHSDPAVRIYTPKKLYQQLEAAKEEYIRAAIGIQNEHKIILPKIVDYYAKDKGLSSQGLVEMIQRYLPENLQKVFRKLQQGKSHHKIIEWIPHDLTFRYLLSRELVVAH >DRNTG_14357.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21703984:21707223:1 gene:DRNTG_14357 transcript:DRNTG_14357.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNFSDTGCTLEEFKLAMGKTKSQADIKKEHSFGTDFRYKDECKLGMGKAKNQSIDTDFRLKGYGLGLGKTKAQTNTKLEQSVDFEVQSSLKQEILQLEKRLKDQLGERHVLEQALGYRSSAIDSTINGTTPKLAKGLIREIAILELEVMHLEQYLLSLYRKAFDQQSTLSPASIGEKSKQMLRSKARAFQEVAGANNLFEGGKQGSVSQRIHHPRNLSTDFANVAGDTFAGPGVHRCHSALSYRSARMSPPPANLTRVLESFHSQPLTYLEDGQNAAQGIVSLAEYLGSNIADHVPETPNKISENMVRCMGAIYYKLVDPPLVNHGLSSPTSSFSSISALSPQYLGDIWSPGYRKEPILDSRLINPFRVEGLKEFSGPYNSMVEVPFICWERQRLGDIQDLMRSYKSLVDQLESVSLRRMKNEEKLAFWINVHNAMIMHAYLDHGIPQSNTKKQSLPAKAAYTISSRLLSAETIRETILGCHTHCPKQWLRILLSPKLKFKSRDEWQGHTLDRPEPLLHFALCSGSHSDPAVRIYTPKKLYQQLEAAKEEYIRAAIGIQNEHKIILPKIVDYYAKDKGLSSQGLVEMIQRYLPENLQKVFRKLQQGKSHHKIIEWIPHDLTFRYLLSRELVVAH >DRNTG_20383.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19041567:19047899:-1 gene:DRNTG_20383 transcript:DRNTG_20383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGGRNVLETARADRSVWLMKCPPVVSRAWQAAAASSSSSEASATQPVVAKVVLSVDPLCKDDPSSVQFKMEMAQTDPGNTPKSYSLNMFKDFVPMCVFSESSQGKYSIEGKVEHKFDMEPHSDNLKDYGKLCRERTNKAMIKTRQVQVIDNDHGVLMRPMPGMVGLIPSGSKEKKKPTPTKQSDVKRTRRDRRELENIIFKLFERQPNWALKQLVLETDQPEQFLKEILNDLCVYNKRGPNQGTHELKPEYKKSSEDVDTA >DRNTG_14008.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27677331:27680773:-1 gene:DRNTG_14008 transcript:DRNTG_14008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSVVWLLIEFGLGIAVLVLCFVNKTNTEKSITEKLGNGFSHALFVTIVAICTALSLLTCAPLGELLFFHMILIRKGITTYEYVVAMRAMSEAAPAILDDEFQEGALYSPTNSATTTRSGGSSLSLQYKGVWCTPPRVFVDQLDEIIPHLEPGMIPSTIDPDSAVNAENRNKSKKAVKISAWKLAKLDSNEAMRAAANARASSSVLRPVEAHRTPEADCGFSGNASIRSSTSTDYRTTKELKTKKKPSPLASKEDFESVTSTATASCLSSPIHIHEPVALNLLPLHRSLPSTELSRDGRRPLVVWDQEAGRYISSIPACSRAETSIQGPSRNSHIPSLKNPSAETSNHGRRPNVPSSSVAAPVLQPDRFSVQRTVYILWRTHFECSSR >DRNTG_14008.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27677331:27679738:-1 gene:DRNTG_14008 transcript:DRNTG_14008.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMSEAAPAILDDEFQEGALYSPTNSATTTRSGGSSLSLQYKGVWCTPPRVFVDQLDEIIPHLEPGMIPSTIDPDSAVNAENRNKSKKAVKISAWKLAKLDSNEAMRAAANARASSSVLRPVEAHRTPEADCGFSGNASIRSSTSTDYRTTKELKTKKKPSPLASKEDFESVTSTATASCLSSPIHIHEPVALNLLPLHRSLPSTELSRDGRRPLVVWDQEAGRYISSIPACSRAETSIQGPSRNSHIPSLKNPSAETSNHGRRPNVPSSSVAAPVLQPDRFSVQRTVYILWRTHFECSSR >DRNTG_14008.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27677331:27678935:-1 gene:DRNTG_14008 transcript:DRNTG_14008.4 gene_biotype:protein_coding transcript_biotype:protein_coding MILIRKGITTYEYVVAMRAMSEAAPAILDDEFQEGALYSPTNSATTTRSGGSSLSLQYKGVWCTPPRVFVDQLDEIIPHLEPGMIPSTIDPDSAVNAENRNKSKKAVKISAWKLAKLDSNEAMRAAANARASSSVLRPVEAHRTPEADCGFSGNASIRSSTSTDYRTTKELKTKKKPSPLASKEDFESVTSTATASCLSSPIHIHEPVALNLLPLHRSLPSTELSRDGRRPLVVWDQEAGRYISSIPACSRAETSIQGPSRNSHIPSLKNPSAETSNHGRRPNVPSSSVAAPVLQPDRFSVQRTVYILWRTHFECSSR >DRNTG_14008.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27677331:27678235:-1 gene:DRNTG_14008 transcript:DRNTG_14008.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDEIIPHLEPGMIPSTIDPDSAVNAENRNKSKKAVKISAWKLAKLDSNEAMRAAANARASSSVLRPVEAHRTPEADCGFSGNASIRSSTSTDYRTTKELKTKKKPSPLASKEDFESVTSTATASCLSSPIHIHEPVALNLLPLHRSLPSTELSRDGRRPLVVWDQEAGRYISSIPACSRAETSIQGPSRNSHIPSLKNPSAETSNHGRRPNVPSSSVAAPVLQPDRFSVQRTVYILWRTHFECSSR >DRNTG_14008.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27677331:27679738:-1 gene:DRNTG_14008 transcript:DRNTG_14008.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMSEAAPAILDDEFQEGALYSPTNSATTTRSGGSSLSLQYKGVWCTPPRVFVDQLDEIIPHLEPGMIPSTIDPDSAVNAENRNKSKKAVKISAWKLAKLDSNEAMRAAANARASSSVLRPVEAHRTPEADCGFSGNASIRSSTSTDYRTTKELKTKKKPSPLASKEDFESVTSTATASCLSSPIHIHEPVALNLLPLHRSLPSTELSRDGRRPLVVWDQEAGRYISSIPACSRAETSIQGPSRNSHIPSLKNPSAETSNHGRRPNVPSSSVAAPVLQPDRFSVQRTVYILWRTHFECSSR >DRNTG_09978.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22129752:22131552:-1 gene:DRNTG_09978 transcript:DRNTG_09978.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSQFGSHQRFPALIVLRLRSNMFAGQIPPQLSNLSSLQVVDLADNNLEGHIPLSFGDFKGMSRTQMVNKYLLFGFYRASYYEEQLTVNLNNNELVFTKTLSLLTSIDLSRNKLSGPLPQTLTKLAGLLVLDLSHNHLTGDIPEDISAMRNLISLDLSNNGFSGVIPSTMTGMSFLSHLNLSNNNFSGKIPKAGQFSTFDSSSFSGNGDLCGFPLALQCQQTDGNNNSMPDGSDDYDGDDVLEDKWFIVSVLAGFF >DRNTG_14547.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:27:16726:18377:1 gene:DRNTG_14547 transcript:DRNTG_14547.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGKPPLPFFQSLSSPPPPPPPPPPFTNSQSNSIENKISPSILLITVILAVIFFISGLVHLLVRYLLRPINREPEEIDNVTVLQGQLQQLFHLHDAGVDQSYIDTLPIFLYKAIIGLKDPFDCAVCLCEFEADDKLRLLPKCSHAFHLECIDTWLLSHSTCPLCRSSLLPEFSPSCSSPMLLVLESASESSREPDSERIDVGDDELRTSLSGDVHVNQKQAEIDAKDEVVTVKLGKFRNVETSGVAAIGEGEGCSNVETNRNLDQRRCFSMGSFEYVMDESSLLQVSIKLSKKRPAAIQKPGHRGAMSECDCHSRREGFSKAFDHSSRSFESIQGNAGGGVGSSSSSSSNNLQKKESFSISKIWLRQKKKSSVVSGDFSTRAFSFRLSLVRGVTGDSKMKSNVSEPDELQKSGSSEFGVDVEIGSSNSGAVLKAEEGPSFARRTLLWLGGRQNKVVNHV >DRNTG_14547.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:27:16410:18377:1 gene:DRNTG_14547 transcript:DRNTG_14547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGKPPLPFFQSLSSPPPPPPPPPPFTNSQSNSIENKISPSILLITVILAVIFFISGLVHLLVRYLLRPINREPEEIDNVTVLQGQLQQLFHLHDAGVDQSYIDTLPIFLYKAIIGLKDPFDCAVCLCEFEADDKLRLLPKCSHAFHLECIDTWLLSHSTCPLCRSSLLPEFSPSCSSPMLLVLESASESSREPDSERIDVGDDELRTSLSGDVHVNQKQAEIDAKDEVVTVKLGKFRNVETSGVAAIGEGEGCSNVETNRNLDQRRCFSMGSFEYVMDESSLLQVSIKLSKKRPAAIQKPGHRGAMSECDCHSRREGFSKAFDHSSRSFESIQGNAGGGVGSSSSSSSNNLQKKESFSISKIWLRQKKKSSVVSGDFSTRAFSFRLSLVRGVTGDSKMKSNVSEPDELQKSGSSEFGVDVEIGSSNSGAVLKAEEGPSFARRTLLWLGGRQNKVVNHV >DRNTG_14547.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:27:16410:18318:1 gene:DRNTG_14547 transcript:DRNTG_14547.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGKPPLPFFQSLSSPPPPPPPPPPFTNSQSNSIENKISPSILLITVILAVIFFISGLVHLLVRYLLRPINREPEEIDNVTVLQGQLQQLFHLHDAGVDQSYIDTLPIFLYKAIIGLKDPFDCAVCLCEFEADDKLRLLPKCSHAFHLECIDTWLLSHSTCPLCRSSLLPEFSPSCSSPMLLVLESASESSREPDSERIDVGDDELRTSLSGDVHVNQKQAEIDAKDEVVTVKLGKFRNVETSGVAAIGEGEGCSNVETNRNLDQRRCFSMGSFEYVMDESSLLQVSIKLSKKRPAAIQKPGHRGAMSECDCHSRREGFSKAFDHSSRSFESIQGNAGGGVGSSSSSSSNNLQKKESFSISKIWLRQKKKSSVVSGDFSTRAFSFRLSLVRGVTGDSKMKSNVSEPDELQKSGSSEFGVDVEIGSSNSGAVLKAEEGPSFARRTLLWLGGRQNKVVNHV >DRNTG_14547.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:27:16726:18318:1 gene:DRNTG_14547 transcript:DRNTG_14547.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGKPPLPFFQSLSSPPPPPPPPPPFTNSQSNSIENKISPSILLITVILAVIFFISGLVHLLVRYLLRPINREPEEIDNVTVLQGQLQQLFHLHDAGVDQSYIDTLPIFLYKAIIGLKDPFDCAVCLCEFEADDKLRLLPKCSHAFHLECIDTWLLSHSTCPLCRSSLLPEFSPSCSSPMLLVLESASESSREPDSERIDVGDDELRTSLSGDVHVNQKQAEIDAKDEVVTVKLGKFRNVETSGVAAIGEGEGCSNVETNRNLDQRRCFSMGSFEYVMDESSLLQVSIKLSKKRPAAIQKPGHRGAMSECDCHSRREGFSKAFDHSSRSFESIQGNAGGGVGSSSSSSSNNLQKKESFSISKIWLRQKKKSSVVSGDFSTRAFSFRLSLVRGVTGDSKMKSNVSEPDELQKSGSSEFGVDVEIGSSNSGAVLKAEEGPSFARRTLLWLGGRQNKVVNHV >DRNTG_14547.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:27:16576:18318:1 gene:DRNTG_14547 transcript:DRNTG_14547.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGKPPLPFFQSLSSPPPPPPPPPPFTNSQSNSIENKISPSILLITVILAVIFFISGLVHLLVRYLLRPINREPEEIDNVTVLQGQLQQLFHLHDAGVDQSYIDTLPIFLYKAIIGLKDPFDCAVCLCEFEADDKLRLLPKCSHAFHLECIDTWLLSHSTCPLCRSSLLPEFSPSCSSPMLLVLESASESSREPDSERIDVGDDELRTSLSGDVHVNQKQAEIDAKDEVVTVKLGKFRNVETSGVAAIGEGEGCSNVETNRNLDQRRCFSMGSFEYVMDESSLLQVSIKLSKKRPAAIQKPGHRGAMSECDCHSRREGFSKAFDHSSRSFESIQGNAGGGVGSSSSSSSNNLQKKESFSISKIWLRQKKKSSVVSGDFSTRAFSFRLSLVRGVTGDSKMKSNVSEPDELQKSGSSEFGVDVEIGSSNSGAVLKAEEGPSFARRTLLWLGGRQNKVVNHV >DRNTG_14547.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:27:16576:18377:1 gene:DRNTG_14547 transcript:DRNTG_14547.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGKPPLPFFQSLSSPPPPPPPPPPFTNSQSNSIENKISPSILLITVILAVIFFISGLVHLLVRYLLRPINREPEEIDNVTVLQGQLQQLFHLHDAGVDQSYIDTLPIFLYKAIIGLKDPFDCAVCLCEFEADDKLRLLPKCSHAFHLECIDTWLLSHSTCPLCRSSLLPEFSPSCSSPMLLVLESASESSREPDSERIDVGDDELRTSLSGDVHVNQKQAEIDAKDEVVTVKLGKFRNVETSGVAAIGEGEGCSNVETNRNLDQRRCFSMGSFEYVMDESSLLQVSIKLSKKRPAAIQKPGHRGAMSECDCHSRREGFSKAFDHSSRSFESIQGNAGGGVGSSSSSSSNNLQKKESFSISKIWLRQKKKSSVVSGDFSTRAFSFRLSLVRGVTGDSKMKSNVSEPDELQKSGSSEFGVDVEIGSSNSGAVLKAEEGPSFARRTLLWLGGRQNKVVNHV >DRNTG_31164.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001671.1:5529:6558:1 gene:DRNTG_31164 transcript:DRNTG_31164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSPENFAGKKIRKWEGSAKTRKEEEKKKRRRRNVLRENFRAATVTGYYSTRPL >DRNTG_32325.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18268531:18270018:1 gene:DRNTG_32325 transcript:DRNTG_32325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANTEANGFLLPTNLHLVMDDPSRGTKTQSRGDQISVVTSLVKHQSRGKLSNTLLHLASFQMEEKKELQKKLQMQNANYGCTVLNLKTKQAEEQRVTISIHHKETLKQKIIKLRKENESLKRQLHVVSMNFDVVALHSSKSSTFVLPVFHGTRSLYTVQDHILAKCTQFYT >DRNTG_33886.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15069095:15069482:1 gene:DRNTG_33886 transcript:DRNTG_33886.3 gene_biotype:protein_coding transcript_biotype:protein_coding NLNHKVIILNQLNLYELHTQHQINLQKYKSQPQHNNGVIFQSNQQNRDRNL >DRNTG_33886.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15063823:15069482:1 gene:DRNTG_33886 transcript:DRNTG_33886.2 gene_biotype:protein_coding transcript_biotype:protein_coding NHRLTYLNHKVIILNQLNFYELHTQHQINLQKYKSQPQHNNGVIFQSNQQNRDRNL >DRNTG_33886.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15063823:15069569:1 gene:DRNTG_33886 transcript:DRNTG_33886.1 gene_biotype:protein_coding transcript_biotype:protein_coding NHRLTYLNHKVIILNQLNFYELHTQHQINLQKYKSQPQHNNGVIFQSNQQNRDRNL >DRNTG_33886.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15069240:15069482:1 gene:DRNTG_33886 transcript:DRNTG_33886.5 gene_biotype:protein_coding transcript_biotype:protein_coding HQINLQKYKSQPQHNNGVIFQSNQQNRDRNL >DRNTG_33886.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15069240:15069510:1 gene:DRNTG_33886 transcript:DRNTG_33886.4 gene_biotype:protein_coding transcript_biotype:protein_coding HQINLQKYKSQPQHNNGVIFQSNQQNRDRNL >DRNTG_22070.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20346229:20350682:1 gene:DRNTG_22070 transcript:DRNTG_22070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNDHVKVAVLADPQLMDRTSLGLPSGSLALEAAQFYTDIYMRRSFHSSVLPFKPDLILFLGDMFDGGPYLSDQEWQESLNRLRHMFKLNNKGRNSYIPVYYLSGNHDIGYSGLYSLYPKVVSRFENEFGARNYRFLVGKVEFIIVDAQTLDGPKDDNQTSLSWNFIRNISKDSTHPRVLLTHIPLYRPDGTPCGSHRSSPVINQRVSFASPGQGITYQNYLTKETSDGLLDAVKPILVLSGHDHDQCTVTHSTPFGPVEEHTLGTFSWQQGNLYPSFMLLTATSRSSSIESDSKNAVSTHLCFLPMQTHIYIWYIAQFMITLFLLIIWPRNGFSCLGHYVDIIKGMGRSLLTTSKEKDDEDCEYEMVWDAEGSMHLVKKASNRTQPTKSDLGSTGRGNAVVRPSARKNITPEQDASVFVEMAGDSRSGNTAKTSKFSNSRMRKLIQRVISVVQALVIIAAVNVPLYIMLLFKDWI >DRNTG_22070.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20345620:20350682:1 gene:DRNTG_22070 transcript:DRNTG_22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLTLLLSVAWAMTLLYGEMVAFLKPLWACSWPPMAATTASGNPSGMNDHVKVAVLADPQLMDRTSLGLPSGSLALEAAQFYTDIYMRRSFHSSVLPFKPDLILFLGDMFDGGPYLSDQEWQESLNRLRHMFKLNNKGRNSYIPVYYLSGNHDIGYSGLYSLYPKVVSRFENEFGARNYRFLVGKVEFIIVDAQTLDGPKDDNQTSLSWNFIRNISKDSTHPRVLLTHIPLYRPDGTPCGSHRSSPVINQRVSFASPGQGITYQNYLTKETSDGLLDAVKPILVLSGHDHDQCTVTHSTPFGPVEEHTLGTFSWQQGNLYPSFMLLTATSRSSSIESDSKNAVSTHLCFLPMQTHIYIWYIAQFMITLFLLIIWPRNGFSCLGHYVDIIKGMGRSLLTTSKEKDDEDCEYEMVWDAEGSMHLVKKASNRTQPTKSDLGSTGRGNAVVRPSARKNITPEQDASVFVEMAGDSRSGNTAKTSKFSNSRMRKLIQRVISVVQALVIIAAVNVPLYIMLLFKDWI >DRNTG_22070.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20350108:20350682:1 gene:DRNTG_22070 transcript:DRNTG_22070.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVWDAEGSMHLVKKASNRTQPTKSDLGSTGRGNAVVRPSARKNITPEQDASVFVEMAGDSRSGNTAKTSKFSNSRMRKLIQRVISVVQALVIIAAVNVPLYIMLLFKDWI >DRNTG_22070.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20345620:20350682:1 gene:DRNTG_22070 transcript:DRNTG_22070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSFHSSVLPFKPDLILFLGDMFDGGPYLSDQEWQESLNRLRHMFKLNNKGRNSYIPVYYLSGNHDIGYSGLYSLYPKVVSRFENEFGARNYRFLVGKVEFIIVDAQTLDGPKDDNQTSLSWNFIRNISKDSTHPRVLLTHIPLYRPDGTPCGSHRSSPVINQRVSFASPGQGITYQNYLTKETSDGLLDAVKPILVLSGHDHDQCTVTHSTPFGPVEEHTLGTFSWQQGNLYPSFMLLTATSRSSSIESDSKNAVSTHLCFLPMQTHIYIWYIAQFMITLFLLIIWPRNGFSCLGHYVDIIKGMGRSLLTTSKEKDDEDCEYEMVWDAEGSMHLVKKASNRTQPTKSDLGSTGRGNAVVRPSARKNITPEQDASVFVEMAGDSRSGNTAKTSKFSNSRMRKLIQRVISVVQALVIIAAVNVPLYIMLLFKDWI >DRNTG_12381.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1843061:1843382:-1 gene:DRNTG_12381 transcript:DRNTG_12381.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWRVLYSSQWILLSDLGYTSPGSMPTKALPITFFQMTRDVGAVEPFQNPKSGLNWLEIGLESGNSTGVDATRARAEFPH >DRNTG_08005.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30047449:30048768:-1 gene:DRNTG_08005 transcript:DRNTG_08005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTLEITLISAKDLKDVNLFSKMEVYAVVSIDGDRRSVQRTTPDRHGGTNPAWNATLRLPLPPDDPARHVVVIVLRAERALGDRDVGEVHIPLTEFLSGSGDGKTPQFVSYQVRKPSGKGKGVLNISFKISDAVAATSMPPSVYSSTTAYTPPSKAADQPQAYPPPAAKANDYTPAYPPAAKANEYTPAYPPAAKASDYPQAYPPAASYPPSSSYPSYPPPSKGSKVDEPVTAYPAGPSAYPPHATAVPYGQPQGYPAYTSGYGYQQPPPPPAGYGYGAPPPGYAYSAVPPKAQKKNKFGMGLGAGLLGGALGGLLIGDMISDDGAYDAGYDAGFDDGFGF >DRNTG_10420.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14247572:14251124:1 gene:DRNTG_10420 transcript:DRNTG_10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFRRIITKCCRWISDNVLKVLNIFGDILQNFCNQSGIFDFKFIKERKKHRVIVECAADGYHWRLHASKEYNKNTFKIKTINPSHTYGGGIGSASHPKASKKWVSARMIQKLKDRPLYKAIDIQKDMLREHGAHIPYKQTWLGTEHARVVLNGSDISNYDLLLWYVDKVVETNLGSIAILERDGEFFKRVFFSFRVCIVGFKRACRPLLFLDGTHLLGKYWGTLMGATGKDGNNGFFHIAFGIVDNETDANWTWFISKLGERWGEMYSNVTESFNAWIKEARHLPVTKMVNSIRFKLMRMLCNRREQANKWETYLCPNIHSKVEILVEDSQNLRIGRCVDDRYKVIDKYSNSVDLAIRTRSCRKWQVYGIPFKHACSAIMQTDSNIHRFFSGYFTVDNYKLSYKKVIFPIPNDDKPTDGNRELLFRSPVTRRQPWHLR >DRNTG_26091.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20724466:20725527:-1 gene:DRNTG_26091 transcript:DRNTG_26091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPSQMISRSYGIWPPAAAMEDPMGFQNPRPMVFTAGAGLMPGRMNWKGKKVADKRMKGGSAVGTGLGLAGGDGGGPAGYKPPTLNELQSQNRAKARRFYPKKKFGRSAPYAPRNTTSFIIRAKKAGGITSLVSPCPVTPAILPTPKFSPSREGLVDMAKEEWGVDGYGSMKGLIRLRSPTRVNGEEEEVEEGEGSSESDVEEHLEVERRLDHDLSRFEMVYPSTGEEAGAAAAAAYVLENRVDDQDTHIAQLEEENLTLKERLFLVEREMGEVRRRLNRLETEFLRREEKNDDNRSEDGKEEKKNENMERDGDGDENVVVVVVGGDEEEDVCSEKSVGDCEESGHGTPTRE >DRNTG_11274.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2767006:2768822:-1 gene:DRNTG_11274 transcript:DRNTG_11274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKPDDDLVDHCEKKLNQILDVYDERLGESCYLAGDEFTLADLSHLPNSHYLVTTEKGNELFYKRKNVQRWWHDISGRDTWKAVVRMQTEHPGPLEKFATIGNDHDNHDHHKHDYHDHE >DRNTG_25073.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:624997:633001:-1 gene:DRNTG_25073 transcript:DRNTG_25073.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYLKEGCDLLLKARKPAMQVVLNMNLIPESTKHVQGFRLREFDVVKRLQHERDISTALEYFKSVADSRAFKHTTRTFQVMIEKLGKEDQMDAVQYLLQQMKLEGLACSEEIFVSVINSYARIGSAEQALKTFYRIRDLGSQPTVKIYNHLLDALLKENRFSMINPIYNNMKKDGLEPNVFTYNMLIKGLCQNDRVDAACKLLREMTNKGHCPDGVSYTTIVSKLCQDGKLDDARELTRSIAPSVPAYNALINGMCGEFRMREVFCLVDEMSIKGICPNVITYTTIISALCKTADIKLSLGVLAQMFARGCCPNVLTFTSLMKGFLDECRVPEALEVWNQMLQEGCMPNVIAYNVLIHGLCCNGNLNKAMVVFEDMERKSYIPNVRTYGALIDGFSKAGDLESATMMWNKMLSSGCKPNVVVYTCMVDALCRNSIFDQAQRLIKIMFEDNCPPNTITFNILIKGLCDSSRSAEALNILCEMKERGCVPSSQTYNELIDGFCKEGKMMEVSQLVNEMLENGVDFSLVTYNTIIYALAGDNRVKEALLILGKMIIRGVSPDFVTFNSIIHAYSKEGKLRSAAEILGRMATEKLSTGCVYIHYYYIRALWSTEIRRFCCLPCKDDK >DRNTG_25073.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:630224:633001:-1 gene:DRNTG_25073 transcript:DRNTG_25073.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMYLKEGCDLLLKARKPAMQVVLNMNLIPESTKHVQGFRLREFDVVKRLQHERDISTALEYFKSVADSRAFKHTTRTFQVMIEKLGKEDQMDAVQYLLQQMKLEGLACSEEIFVSVINSYARIGSAEQALKTFYRIRDLGSQPTVKIYNHLLDALLKENRFSMINPIYNNMKKDGLEPNVFTYNMLIKGLCQNDRVDAACKLLREMTNKGHCPDGVSYTTIVSKLCQDGKLDDARELTRSIAPSVPAYNALINGMCGEFRMREVFCLVDEMSIKGICPNVITYTTIISALCKTADIKLSLGVLAQMFARGCCPNVLTFTSLMKGFLDECRVPEALEVWNQMLQEGCMPNVIAYNVLIHGLCCNGNLNKAMVVFEDMERKSYIPNVRTYGALIDGFSKAGDLESATMMWNKMLSSGCKPNVVVYTCMVDALCRNSIFDQAQRLIKIMFEDNCPPNTITFNILIKGLCDSSRSAEALNILCEMKERGCVPSSQTYNELIDGFCKEGKMMEVSQLVNEMLENGVDFSLVTYNTIIYALAGDNRVKEALLILGKMIIRGVSPDFVTFNSIIHAYSKEGKLRSAAEILGRMATEKLSTGCVYIHYYYIRALWSTEIRRFCCLPCKDDK >DRNTG_25073.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:628665:632500:-1 gene:DRNTG_25073 transcript:DRNTG_25073.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMYLKEGCDLLLKARKPAMQVVLNMNLIPESTKHVQGFRLREFDVVKRLQHERDISTALEYFKSVADSRAFKHTTRTFQVMIEKLGKEDQMDAVQYLLQQMKLEGLACSEEIFVSVINSYARIGSAEQALKTFYRIRDLGSQPTVKIYNHLLDALLKENRFSMINPIYNNMKKDGLEPNVFTYNMLIKGLCQNDRVDAACKLLREMTNKGHCPDGVSYTTIVSKLCQDGKLDDARELTRSIAPSVPAYNALINGMCGEFRMREVFCLVDEMSIKGICPNVITYTTIISALCKTADIKLSLGVLAQMFARGCCPNVLTFTSLMKGFLDECRVPEALEVWNQMLQEGCMPNVIAYNVLIHGLCCNGNLNKAMVVFEDMERKSYIPNVRTYGALIDGFSKAGDLESATMMWNKMLSSGCKPNVVVYTCMVDALCRNSIFDQAQRLIKIMFEDNCPPNTITFNILIKGLCDSSRSAEALNILCEMKERGCVPSSQTYNELIDGFCKEGKMMEVSQLVNEMLENGVDFSLVTYNTIIYALAGDNRVKEALLILGKMIIRGVSPDFVTFNSIIHAYSKEGKLRSAAEILGRMATEKLSTGCVYIHYYYIRALWSTEIRRFCCLPCKDDK >DRNTG_25073.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:625413:633001:-1 gene:DRNTG_25073 transcript:DRNTG_25073.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMYLKEGCDLLLKARKPAMQVVLNMNLIPESTKHVQGFRLREFDVVKRLQHERDISTALEYFKSVADSRAFKHTTRTFQVMIEKLGKEDQMDAVQYLLQQMKLEGLACSEEIFVSVINSYARIGSAEQALKTFYRIRDLGSQPTVKIYNHLLDALLKENRFSMINPIYNNMKKDGLEPNVFTYNMLIKGLCQNDRVDAACKLLREMTNKGHCPDGVSYTTIVSKLCQDGKLDDARELTRSIAPSVPAYNALINGMCGEFRMREVFCLVDEMSIKGICPNVITYTTIISALCKTADIKLSLGVLAQMFARGCCPNVLTFTSLMKGFLDECRVPEALEVWNQMLQEGCMPNVIAYNVLIHGLCCNGNLNKAMVVFEDMERKSYIPNVRTYGALIDGFSKAGDLESATMMWNKMLSSGCKPNVVVYTCMVDALCRNSIFDQAQRLIKIMFEDNCPPNTITFNILIKGLCDSSRSAEALNILCEMKERGCVPSSQTYNELIDGFCKEGKMMEVSQLVNEMLENGVDFSLVTYNTIIYALAGDNRVKEALLILGKMIIRGVSPDFVTFNSIIHAYSKEGKLRSAAEILGRMATEKLSTGCVYIHYYYIRALWSTEIRRFCCLPCKDDK >DRNTG_06539.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29452601:29453721:1 gene:DRNTG_06539 transcript:DRNTG_06539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLILYHANFLPTDVLISFNFKNDYFLYADNVLLSIICSCLGLEMVEFIPQDVLITAVSQVMIASNEKGVRIVNSLSDKFMTEGLYGDNLRLQQILADFLVVSVKYSPNGGLVEITSEINKDQLGKDLHLVHLELRITHMGSGVPEELLSQMFGNGSELSEEGISLLVCRKLLKLMNGDVRYLREAGKSAFIIYLELASAPAPDKAKGTGYPMV >DRNTG_29417.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1842213:1843129:-1 gene:DRNTG_29417 transcript:DRNTG_29417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSISKTVFSRQEGTAISEESGWTWYFEDFLASEKRGKPQEQEDDDHDHLDHDEDDNYSNFVYGSSMVSDAASYAAWKPSRSCKKLSFKKRKARGVLDDDSLEDTASSPANSPKVSDLNHRVMVQRKPLEYKDIPEPEKKEVGNGEHDTNEFAAFDVRTNNCTELKKRGLCLVPLSMFVDYLDK >DRNTG_02920.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000132.1:125184:125793:1 gene:DRNTG_02920 transcript:DRNTG_02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKPEAEVEESTSHYCANPNRKPTDYITGRGIPPFWLRPQLLIAPVTRQRSPSLKPTSVAVVRLAFRLELLGLNERRVVPIREK >DRNTG_15381.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26951050:26956608:1 gene:DRNTG_15381 transcript:DRNTG_15381.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTEVPSQSSGIIKVPTAHYEFGANFLDPKLMLIGRVLTDGRLNARVKCDLTDNLILKANAQLTNEPHFSQGMFNFDYKGTDYRAQFQLGNNAFYGGNYIQSVTPHLSVGSEFFWLGHQRKSGIGLAARYNTDKMVATAQVASTGIVALSYVQKVSEKVSLASDFMYNHMTRDVTASFGYDYILRQCRLRGKLDSNGCVGAFLEERVNMGVNFILSAEIDHPKKDYKFGFGMTVGE >DRNTG_02447.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14691377:14691850:-1 gene:DRNTG_02447 transcript:DRNTG_02447.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSMQLSRKFKEIMMQRFEMSDLGLLHYFLGLEINQGMKGIHISQNKYAG >DRNTG_02447.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14691377:14691843:-1 gene:DRNTG_02447 transcript:DRNTG_02447.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSMQLSRKFKEIMMQRFEMSDLGLLHYFLGLEINQGMKGIHISQNKYAG >DRNTG_02447.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14690255:14691235:-1 gene:DRNTG_02447 transcript:DRNTG_02447.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCAASMVSRFLSKPSIQHHGAVKRILRYIAGTQALGVFYAQSESFNLVGFTDSDWAGSTDDRRSTSGNIFKLGSCVITWASKKQESTALSSTEAEYVAAATAACQAPGFGRLIQDFGITSIGTMILKCDNQSAIFIAKKIRACMVGQSIWILSIIT >DRNTG_02447.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14690255:14690787:-1 gene:DRNTG_02447 transcript:DRNTG_02447.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRTKHMDIKYHYLRELVTSGFITLEFCPTNEQLADICTKALSIQKHLSLRMELGMQCFKSQEGVECDLKLSNKEASGCNDAWPMECKEGI >DRNTG_02447.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14690135:14691235:-1 gene:DRNTG_02447 transcript:DRNTG_02447.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCAASMVSRFLSKPSIQHHGAVKRILRYIAGTQALGVFYAQSESFNLVGFTDSDWAGSTDDRRSTSGNIFKLGSCVITWASKKQESTALSSTEAEYVAAATAACQAPGFGRLIQDFGITSIGTMILKCDNQSAIFIAKKIRACMVGQSIWILSIIT >DRNTG_02447.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14690255:14691843:-1 gene:DRNTG_02447 transcript:DRNTG_02447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSMQLSRKFKEIMMQRFEMSDLGLLHYFLGLEINQGMKGIHISQNKYAGDLLKKIGMSNCNPAPTPMNSNEKLKLNDGSPSCDEFQYRSMVGGLMYLTHTRPDIMCAASMVSRFLSKPSIQHHGAVKRILRYIAGTQALGVFYAQSESFNLVGFTDSDWAGSTDDRRSTSGNIFKLGSCVITWASKKQESTALSSTEAEYVAAATAACQAPGFGRLIQDFGITSIGTMILKCDNQSAIFIAKKIRACMVGQSIWILSIIT >DRNTG_02447.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14689952:14690787:-1 gene:DRNTG_02447 transcript:DRNTG_02447.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRTKHMDIKYHYLRELVTSGFITLEFCPTNEQLADICTKALSIQKHLSLRMELGMQCFKSQEGVECDLKLSNKEASGCNDAWPMECKEGI >DRNTG_12774.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000571.1:62102:62935:-1 gene:DRNTG_12774 transcript:DRNTG_12774.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFKFFEDIVQIGSQLYVSFNWASKQQGYAHESRAYNEMLNILGRMKQINIMWHIFDEIPKERVAIVQIGINTRLSFV >DRNTG_15357.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26728891:26729128:-1 gene:DRNTG_15357 transcript:DRNTG_15357.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRVIIFLAFAVIILPSCAPSKAHLQVGFYKHTCPSAESIVKKEVMKALKHNPGMAAGLVRLHFHDAFVR >DRNTG_15357.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26727694:26729128:-1 gene:DRNTG_15357 transcript:DRNTG_15357.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRVIIFLAFAVIILPSCAPSKAHLQVGFYKHTCPSAESIVKKEVMKALKHNPGMAAGLVRLHFHDAFVRGADASVLIDSTSNNKAEKDAPPNYQSLRGFEIIDAAKARLEAKCKGKVSCADVLAFAARDSVRFINYAVPSGRRDGRVSLASEAASNLPSPSYNLTQLTQSFKCKGFSQEEMIILSGAHTIGLSHCSSFIERLYNFNSTINQDPSLDPTYAKRLKKQCPCGSTNSNNLVPMDPYSPTKSDSNYYKLILSNRGLFTSDQTLISTPESKTQVLLNAYVPMYFKLKFIDAFVKMGKIGVLTGNEGEIRVNCRVIN >DRNTG_15357.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26727694:26728535:-1 gene:DRNTG_15357 transcript:DRNTG_15357.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMKINYAVPSGRRDGRVSLASEAASNLPSPSYNLTQLTQSFKCKGFSQEEMIILSGAHTIGLSHCSSFIERLYNFNSTINQDPSLDPTYAKRLKKQCPCGSTNSNNLVPMDPYSPTKSDSNYYKLILSNRGLFTSDQTLISTPESKTQVLLNAYVPMYFKLKFIDAFVKMGKIGVLTGNEGEIRVNCRVIN >DRNTG_13380.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3949428:3949904:1 gene:DRNTG_13380 transcript:DRNTG_13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIKLSYTKLSKSYESSEQEEDDQVNNKNKEEEEEEEEEERVVKRSSSSSSSSSSRIRWLRLRSSGNAKRFWRRPRVRIARLRRVLKRKVKVVRNAVDKVITRLKQGKPFFGELFAGNYMFMQVSPSPTILMPLTNKSMDKLKVIKLNNGRVHLSLQ >DRNTG_06965.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:83007:85686:-1 gene:DRNTG_06965 transcript:DRNTG_06965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLVFVQPRQFSHLSSSWFSPHSSLLASSVSDFNGASLNFSVKNKRKVWQSKGTLQVRSSNEKKILIMGGTRFIGVFLSRLLVKEGHQVTLFTRGKAPITQQLPGESDKEYTDFSSKVLHLKGDRQDFEFVKTSLSAGGFDVVYDINGREAVEVEPILDALPNLEQFIYCSSAGVYKKSDLLPHFETDEVDPKSRHKGKLETESLLDSRGVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPIPSSGIQITQLGHVKDLATTFVKVLGHPKASKQVYNISGSKYVTFDGLAKACAKAAGFPEPEIIHYNPKEFDFGKKKAFPFRDQHFFASIEKAARELDWTPEFSLIEGLADSYNLDFGRGTFRKAADFSTDDIILGKSLVLQS >DRNTG_08646.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2086761:2093594:1 gene:DRNTG_08646 transcript:DRNTG_08646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEVVARDLASLDPELLQLPEVSTLALKENPGIAEQLYSQWLSLPETARLVKTLIGDAKAGSSLNVHGGSNGGNAATSSPLPSMFPAGSGPPLSPRSSSGSPRVVKRGGLGPSTLGSPLKLVSEPVREVIPQFYFQNGCPPPMELKEQCLFKIDELFSGHLDGLQVQEFKYVTKEVCKLPSFFSSSLFRKIDVDCIGVVTRNAFIDYWVNTNIMTMDIATQIFTILKQPDNKHLTKEDFKPVLRELLATHPGLEFLQGTPEFQERYAETVIYRIFYYVNRSANGRLTLRELKRGNLISAMLHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFFIDHGNLIKYGNHSLTYRIVDRIFAQVPRKFTSKVEGKMSYEDFVYFMLAEEDKSSEPSLEYWFKCIDLDGNGILTPNEMQFFFEEQLHRMECMAQEPVLFEDILCQMVDMVAPENECYFTLGDLKGCKLSGNVFNILFNLNKFMAFETRDPFLIRQEREDPTLTEWDRFAHREYIRLSMEEDGEDASNGSGDVWDDSLEAPF >DRNTG_08646.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2086761:2093594:1 gene:DRNTG_08646 transcript:DRNTG_08646.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFFIDHGNLIKYGNHSLTYRIVDRIFAQVPRKFTSKVEGKMSYEDFVYFMLAEEDKSSEPSLEYWFKCIDLDGNGILTPNEMQFFFEEQLHRMECMAQEPVLFEDILCQMVDMVAPENECYFTLGDLKGCKLSGNVFNILFNLNKFMAFETRDPFLIRQEREDPTLTEWDRFAHREYIRLSMEEDGEDASNGSGDVWDDSLEAPF >DRNTG_10916.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:2498:9614:-1 gene:DRNTG_10916 transcript:DRNTG_10916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQAGMGLSKVFLLVGTGFAGSIMLRNVKLSDILSELQTLLKEKSADNSGDESEYGEVLAMQVKRLALEVRQLASARPITVLNGSSGNMASLAVPAATMGALGYGYMWWKGISFSDLMYVTKRNMANAVSSMTKHLEQVSAALAATKKHLTQRIQHLDDKLDEQKEMSVEIKNEVTDARNKLENIGFELNSLQQLVWGLNGKVDAMEDKQDFTCAGVAYICNFIGERGAKLPDYLQDLPKPSGKRLYINHGDGILKGLQHIAESGNLDESKIDAGLQNDLDLKGVSRTASIKW >DRNTG_11762.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:686082:687454:1 gene:DRNTG_11762 transcript:DRNTG_11762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLAFGSLGDSFSVGSLKSYLAEFIATLLFVFAGVGSAIAYSKLTADAALDPPGLVAVAIAHALALFVGVAIAANISGGHLNPAVTFGLAIGGNITIITGIFYWIAQLLGSTVACLLLKFVTHAKTVPTHGVAAGMSEIEGAVMEIIITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVVGGDFSGHWVYWVGPLIGGGLAGLVYGDIFIGCYQPVPAEYP >DRNTG_29544.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29496425:29499376:1 gene:DRNTG_29544 transcript:DRNTG_29544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLVVYLVAQYARYEIRRMEAEVEKKKKAEEEEKTKEAGISCRGGRFKVVKGVSKARCTRGYCQRNCR >DRNTG_03200.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21383102:21383345:1 gene:DRNTG_03200 transcript:DRNTG_03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding NCLGALDGTHIKVNVPKADRPRYRTRKSEITTNVLAVCSQDMQFIYVLSGWEGSAHDGRVLRDAVTKPNGLKVPNGK >DRNTG_11460.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18502952:18506654:1 gene:DRNTG_11460 transcript:DRNTG_11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYNC2_ARATH [Source:Projected from Arabidopsis thaliana (AT3G07420) UniProtKB/TrEMBL;Acc:A0A178VCZ7] MASVERFKYSNRVVIKSILGRPDGGRGLAGERVVVGGWVKLFKEKPKKDASQAPPPASAPAVVSVAHDLTCSEVLMSRMPLLRNLARIFGKGVSSMPPPPPPPKQLEIADKTPVEPVPTVAFLLVNDGSCVANLQVVVDSNMVLLVQVMAIGVSILVEGVLEQQSEPGKHIVELKAEKILHVGVVDSKNYPLAKAKISLESIRPSPHLRVASITRMRSDVSHATHRFFQNMGFIYVHMPIITTTGFKDHSRMFQVTTLFKRTDDKGELSTVSDRENISLEVVKGAIREKSKRVDELKRSDSNKEVLLAALGDLQKANELALQLEEQWRSAYAAKMDFTKDFFSCEAYLSVSTRLHLESYACGLSSVYTVGPVFQANDSPSKKQLAEMWMVEVELAFAELEDIMNCAEEFLKFLCQSILDDCLDDLKFLSKKVDKSCITRLQSLVTSAFARISYTEALEHLEKATDKTFKTKVEWGINLSDEHERFLVDEIYKQPIIVYDYPKELKPFYVHVKDDGKTVSAFDIIAPKGGVLVRGSRKEERLDIISSRIQELGIPKEQYDWYLDLRRHGTVKHSGFSLAIEGLIMFAVGLEDIKDAIPFPRTCGYINA >DRNTG_11460.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18503651:18503952:1 gene:DRNTG_11460 transcript:DRNTG_11460.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYNC2_ARATH [Source:Projected from Arabidopsis thaliana (AT3G07420) UniProtKB/TrEMBL;Acc:A0A178VCZ7] SGSSRLQHGPPCPSHGYRSFHFSRRSA >DRNTG_11460.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18503651:18506654:1 gene:DRNTG_11460 transcript:DRNTG_11460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYNC2_ARATH [Source:Projected from Arabidopsis thaliana (AT3G07420) UniProtKB/TrEMBL;Acc:A0A178VCZ7] MVLLVQVMAIGVSILVEGVLEQQSEPGKHIVELKAEKILHVGVVDSKNYPLAKAKISLESIRPSPHLRVASITRMRSDVSHATHRFFQNMGFIYVHMPIITTTGFKDHSRMFQVTTLFKRTDDKGELSTVSDRENISLEVVKGAIREKSKRVDELKRSDSNKEVLLAALGDLQKANELALQLEEQWRSAYAAKMDFTKDFFSCEAYLSVSTRLHLESYACGLSSVYTVGPVFQANDSPSKKQLAEMWMVEVELAFAELEDIMNCAEEFLKFLCQSILDDCLDDLKFLSKKVDKSCITRLQSLVTSAFARISYTEALEHLEKATDKTFKTKVEWGINLSDEHERFLVDEIYKQPIIVYDYPKELKPFYVHVKDDGKTVSAFDIIAPKGGVLVRGSRKEERLDIISSRIQELGIPKEQYDWYLDLRRHGTVKHSGFSLAIEGLIMFAVGLEDIKDAIPFPRTCGYINA >DRNTG_18956.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11133541:11134142:1 gene:DRNTG_18956 transcript:DRNTG_18956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSSIVSREPSTTVKQNNFLRTRLPPEEPSNRATHTT >DRNTG_18911.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3519232:3520725:1 gene:DRNTG_18911 transcript:DRNTG_18911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPHKPEQAKAPNLGSAYHESRPLPSLKDRMNKKYSFRRDKVHKIFKDAVREGLQLPKCKRPEEQSKTDHPSYCPYHRVLGHTKEDCYVFKDCVERQYQEGKITLSKNVLSEQPVEHIRYVTALNSEESSQREVTVVGNKVIFISTEDDKPCAVPEELWEVFISKRSMKMLKKLPELLGIIWKRSQQPHKESYDRYGLPYVSKKQKKKITFRERVKKANGKLLEPYPQVKSKEPYYTLKAPKYKVLRKVMPVEDIPAFIQRVTYPPKSSGQNFVSEVVDSPAPAKATNAKERFIKKDKRKELFDQAIENGLDLPKPKRRRLMHLDSHPNYCQYHRLVGHTIEECQALQKWLQEQVSPGNLTLLKDYFEERGECYAIVAHDVSEDELNFPDEEDE >DRNTG_24923.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001317.1:4756:8326:-1 gene:DRNTG_24923 transcript:DRNTG_24923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSPVRNFHTGVDNFHTPVWILCFFGFSAGCEQCCYSISYNVTIIFDLSKISSKISAL >DRNTG_04972.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4017573:4018877:-1 gene:DRNTG_04972 transcript:DRNTG_04972.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYREENPRCSFHPKEVIVGICALCLKERLLLLAPKSNTNTSKIKSFKILKKKPNITLEKVFALASFLLEFRHRNSGSSDDSGKGSAASLDDSFISIKFEDNGKASWDHKTNHKKESRIESVVVPTKPGGGRGPRWKNGIGHLLQMPGKHHVSSSGNVRRGWSLRMRRTTTTTTTTTTTITTMTD >DRNTG_04972.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4017573:4018705:-1 gene:DRNTG_04972 transcript:DRNTG_04972.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYREENPRCSFHPKEVIVGICALCLKERLLLLAPKSNTNTSKIKSFKILKKKPNITLEKVFALASFLLEFRHRNSGSSDDSGKGSAASLDDSFISIKFEDNGKASWDHKTNHKKESRIESVVVPTKPGGGRGPRWKNGIGHLLQMPGKHHVSSSGNVRRGWSLRMRRTTTTTTTTTTTITTMTD >DRNTG_04972.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4017437:4018705:-1 gene:DRNTG_04972 transcript:DRNTG_04972.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYREENPRCSFHPKEVIVGICALCLKERLLLLAPKSNTNTSKIKSFKILKKKPNITLEKVFALASFLLEFRHRNSGSSDDSGKGSAASLDDSFISIKFEDNGKASWDHKTNHKKESRIESVVVPTKPGGGRGPRWKNGIGHLLQMPGKHHVSSSGNVRRGWSLRMRRTTTTTTTTTTTITTMTD >DRNTG_04972.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4017573:4018562:-1 gene:DRNTG_04972 transcript:DRNTG_04972.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYREENPRCSFHPKEVIVGICALCLKERLLLLAPKSNTNTSKIKSFKILKKKPNITLEKVFALASFLLEFRHRNSGSSDDSGKGSAASLDDSFISIKFEDNGKASWDHKTNHKKESRIESVVVPTKPGGGRGPRWKNGIGHLLQMPGKHHVSSSGNVRRGWSLRMRRTTTTTTTTTTTITTMTD >DRNTG_04972.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4017437:4018877:-1 gene:DRNTG_04972 transcript:DRNTG_04972.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYREENPRCSFHPKEVIVGICALCLKERLLLLAPKSNTNTSKIKSFKILKKKPNITLEKVFALASFLLEFRHRNSGSSDDSGKGSAASLDDSFISIKFEDNGKASWDHKTNHKKESRIESVVVPTKPGGGRGPRWKNGIGHLLQMPGKHHVSSSGNVRRGWSLRMRRTTTTTTTTTTTITTMTD >DRNTG_00377.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18494840:18497562:-1 gene:DRNTG_00377 transcript:DRNTG_00377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNSKQKRGVKGEEEEKGPHAVCLPMAAQGHLNPMMVLATFLHSHGYVVTFIHTHFDRSRILHSSGSEALRDTETFRYASFPDGLPPSDITGNRHIPNLCKSLHTTAFEPFLELLRRIDDEGPRVSLVLWDGINSFGGQAAEELGIPNVMVWTASASGLLSYLYFPDFVSRGIFPLKDEACLSNEYLKSIKVDWIPGMEGITLKEVPGLIRTTDSNDIMFNFVKDEVMNAHKANSIFINTFEDLELQALSSMASILPCPIYPIGPLSLLHDCQPPSPPNLFKDNSNNNCMQWLKQREANSVVYVNFGSIINLTLETFREFAWGLVESEKYVLWVIRKDLIAGGMEEWPEELKKVVGEKLFVAEWCPQREVLLSESVGMFVTHCGWNSVLEGIGGGVTMACWPAFAEQVTNCYYVCEKWRVGMEIGEEVKREEVRKVVVEMMEGEEGKEMRKRVVELKEKACKAVEDGGSSWLNLNYKLLLL >DRNTG_19472.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15400008:15400314:-1 gene:DRNTG_19472 transcript:DRNTG_19472.1 gene_biotype:protein_coding transcript_biotype:protein_coding PFPDYHSYHYCSSSSTDYCTDSISTTSLEEG >DRNTG_21903.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19674988:19677935:-1 gene:DRNTG_21903 transcript:DRNTG_21903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIEQEPDDANEQSRSSPSTGAAAVPDADSSDEEPFEDALNEEQLLEKARNQVNDAKIEGNRLFGSDQYEDALSKYELALQLASEGPDSEEIRSMCHANRAICFLKLEN >DRNTG_11017.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:758702:759422:1 gene:DRNTG_11017 transcript:DRNTG_11017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRNAISRRAHKERAQPHNRKKFGILEKHKDYVVRAKSFHQKEGTLKKLREKAAFKNPDEFSFAMINTRMVDGVHRPKSETKYTQEELLVMKTQDIGYVLQKLQSEKK >DRNTG_16660.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20270689:20272842:-1 gene:DRNTG_16660 transcript:DRNTG_16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHCEGVEIDGSGGVWTDERHASFLNRMEESFIRAMIGSGEAAGGRRDPAERHLLESTAESGFSRSRTIRAHRTSGSAPLNINENGAMGNASRRAGSGRRPVKRSDLEDQVVPELEGSMRDGVEGKNKNWRRNRNL >DRNTG_30864.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001636.1:87041:93325:1 gene:DRNTG_30864 transcript:DRNTG_30864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTIKEIECKSNESIKKPPRWSCRWSCGESSTRRPRIPSSGIGYASIEAPLPTFFLMESRCRSRRTSPKPWPIPLETLAEALSQVGEKMEKRILKSGLKSALNRAGIGRLHGRAHLKPWGLGGRD >DRNTG_32001.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1437729:1440762:1 gene:DRNTG_32001 transcript:DRNTG_32001.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDENEDVEDLERRINERYRRPVHIEYGEDATDVEQQSLLPSVKDPKLWMVKCAIGHERETAICLMQKFIDRSDIQIRSAVALDHLKNYIYVEADKEAHVKEACKGLRNIFSSAKIMLVPIKEMTDVLSVESKSVDLSRDTWVRMKLGIYKGDLAKVVDVDNVRQKVTVKLIPRIDLQMIANKLEGREVVKKKTFVPPARFFNIDEAREMHIRVERRRDRDTGDYYETIDNMMFKDGFLYKTVSMKSISAQNIQPTFDELEKFRKPGEDGNGDVASLSTLFANRKKGHFMKGDAVVVIRGDLKNLMGWVEKVEEENVHIRPKMSGLPKTLAFNEKELCKYFKPGDHVKVVSGVQEGATGMVVKVDGHVLTILSDSTKEDIRVFADNVVESSEVTAGITRIGDYELHDLVQLDNLSFGVIIRVENEAFQVLKGVPDRPEVVLVKLREIKSKIERRANAQDRSRNIVSSKDVVRVLEGPCKGKQGPVEHIHKGILFIYDRHHLEHAGFICVKAQSCIVVGGSNASDSFDRFSGLRSS >DRNTG_32001.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1437729:1440374:1 gene:DRNTG_32001 transcript:DRNTG_32001.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQDENEDVEDLERRINERYRRPVHIEYGEDATDVEQQSLLPSVKDPKLWMVKCAIGHERETAICLMQKFIDRSDIQIRSAVALDHLKNYIYVEADKEAHVKEACKGLRNIFSSAKIMLVPIKEMTDVLSVESKSVDLSRDTWVRMKLGIYKGDLAKVVDVDNVRQKVTVKLIPRIDLQMIANKLEGREVVKKKTFVPPARFFNIDEAREMHIRVERRRDRDTGDYYETIDNMMFKDGFLYKTVSMKSISAQNIQPTFDELEKFRKPGEDGNGDVASLSTLFANRKKGHFMKGDAVVVIRGDLKNLMGWVEKVEEENVHIRPKMSGLPKTLAFNEKELCKYFKPGDHVKVVSGVQEGATGMVVKVDGHVLTILSDSTKEDIRVFADNVVESSEVTAGITRIGDYELHDLVQLDNLSFGVIIRVENEAFQVLKGVPDRPEVVLVKLREIKSKIERRANAQDRSRNIVSSKDVVRVLEGPCK >DRNTG_32001.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1437729:1440634:1 gene:DRNTG_32001 transcript:DRNTG_32001.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQDENEDVEDLERRINERYRRPVHIEYGEDATDVEQQSLLPSVKDPKLWMVKCAIGHERETAICLMQKFIDRSDIQIRSAVALDHLKNYIYVEADKEAHVKEACKGLRNIFSSAKIMLVPIKEMTDVLSVESKSVDLSRDTWVRMKLGIYKGDLAKVVDVDNVRQKVTVKLIPRIDLQMIANKLEGREVVKKKTFVPPARFFNIDEAREMHIRVERRRDRDTGDYYETIDNMMFKDGFLYKTVSMKSISAQNIQPTFDELEKFRKPGEDGNGDVASLSTLFANRKKGHFMKGDAVVVIRGDLKNLMGWVEKVEEENVHIRPKMSGLPKTLAFNEKELCKYFKPGDHVKVVSGVQEGATGMVVKVDGHVLTILSDSTKEDIRVFADNVVESSEVTAGITRIGDYELHDLVQLDNLSFGVIIRVENEAFQVLKGVPDRPEVVLVKLREIKSKIERRANAQDRSRNIVSSKDVVRVLEGPCKGKQGPVEHIHKGILFIYDRHHLEHAGFICVKAQSCIVVGGSNV >DRNTG_32001.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1436481:1440762:1 gene:DRNTG_32001 transcript:DRNTG_32001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDENEDVEDLERRINERYRRPVHIEYGEDATDVEQQSLLPSVKDPKLWMVKCAIGHERETAICLMQKFIDRSDIQIRSAVALDHLKNYIYVEADKEAHVKEACKGLRNIFSSAKIMLVPIKEMTDVLSVESKSVDLSRDTWVRMKLGIYKGDLAKVVDVDNVRQKVTVKLIPRIDLQMIANKLEGREVVKKKTFVPPARFFNIDEAREMHIRVERRRDRDTGDYYETIDNMMFKDGFLYKTVSMKSISAQNIQPTFDELEKFRKPGEDGNGDVASLSTLFANRKKGHFMKGDAVVVIRGDLKNLMGWVEKVEEENVHIRPKMSGLPKTLAFNEKELCKYFKPGDHVKVVSGVQEGATGMVVKVDGHVLTILSDSTKEDIRVFADNVVESSEVTAGITRIGDYELHDLVQLDNLSFGVIIRVENEAFQVLKGVPDRPEVVLVKLREIKSKIERRANAQDRSRNIVSSKDVVRVLEGPCKGKQGPVEHIHKGILFIYDRHHLEHAGFICVKAQSCIVVGGSNASDSFDRFSGLRSS >DRNTG_00605.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22373264:22374183:1 gene:DRNTG_00605 transcript:DRNTG_00605.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQLITSPAESWTMSSKSAVKEQRPEKPRVSLWISSRRRSMMSKAWRVGCCFCYLDDDHRWARSWKVMFLEILDFLEFCSLVFLIFFVHRHDYGWACPDAALRG >DRNTG_00605.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22373006:22374183:1 gene:DRNTG_00605 transcript:DRNTG_00605.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQLITSPAESWTMSSKSAVKEQRPEKPRVSLWISSRRRSMMSKAWRVGCCFCYLDDDHRWARSWKVMFLEILDFLEFCSLVFLIFFVHRHDYGWACPDAALRG >DRNTG_06282.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25868871:25870464:1 gene:DRNTG_06282 transcript:DRNTG_06282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFGLNSSSTSFNSPPLVILCFAAGFTQPLQTLKHS >DRNTG_29738.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:644064:646259:1 gene:DRNTG_29738 transcript:DRNTG_29738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKLRQITSPRTIFLVKVALDLFTKALLMKRVKHGLKPQHVAVKLLDQDGAQGHKEWLAEVVFLGQLRHENLVKLIGYCYENEHRLLVYEFMPRGSLENHLFKRFYASLSWPTRLKIAIGAAKGLVFLHEAEKQVIYRDFKASNILLDSGFEVKLSDFGLAKDGPEGDDTHVSTRILGTHGYAAPEYIMTGHLTARSDVFSFGVVLLELLTGRKAFDKIRPLREQNLVDWAKPQLTDVRKLDRIMDPSLDDQYSFEGARKVAQLAYHCLSKKPKSRPGNARCC >DRNTG_08102.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13684718:13692275:1 gene:DRNTG_08102 transcript:DRNTG_08102.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTLVELLFYMHKSKCSNVTAFVPLQMDVPTRIRGDRNFSSIKGFIGEDLATNAQVKIRKCDCLRAPPTHCNDLNTWREDWDEIEDQASPHHLGRSRASWRHKGSRVSTKEDHLA >DRNTG_10334.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:12763039:12767377:1 gene:DRNTG_10334 transcript:DRNTG_10334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQIAKSLSERPHRSLLSNTETNPIEQVKAITLRSGREVEGRLLSEMPKKHAPEVIEEMFNPDPYEGLFDQEEDDEEVMMLGSTEEVPSTVGILKKVLWKMKRARRRHCKCSKAVGDVREPKKLDEPLLGIFCRACTFFYGIQGKRMGVWYFRTPVDLHCEPIQRSRRGGAAAPVNDHANIACPWGHELAPVGDLVNFVRAWIISARPCESLYSSSLHPEKAQGRAFSPVNWTCECPRPCGISARACGTLRNFLGCLEKPQRCTGMGNFRTPVQMYRDTKRRDVFL >DRNTG_13677.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22762722:22765797:-1 gene:DRNTG_13677 transcript:DRNTG_13677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRYAKTAFDLFLESDGKASLFSFNSLIRAYSYGSLDIAMDYYLRVLDHGLVPDRFTFPPLLAACTRSSGFGEGAQLHGFLFKMGGDGGVEGDLFVLNSLIHFYCESGDFESAQQVFDGMSERNVVSWTSLIDGYARGGDPGKAVSLFLDMEKQGEIMPNSITMACVVSACAKLGDLELGKRIHAYLVDAGIELSSGLLNTLVDMYMKCGVVEEAERLFDACLDRNIILWNTMISNYERLGMVSDAIGVFSKMLVSALKPDRVSVVAAMSAFAELGELRVGRQFHGYVLRHALDEWDAVGDSVIDMYMKCGELDAANKVFDMMPNKGVVSWNTLVGGCVRNGDLDAAWGLFNMMPHRDLVSWNTMINALAQDSQFVEAIRLDLNPTVDKLDDKLSPFKESQGLAQLKSYYLHPSVLYPVESISRQLRKNLTENSSAN >DRNTG_22987.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001255.1:95777:132970:1 gene:DRNTG_22987 transcript:DRNTG_22987.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGGYTMGMGCGEGDEYVCRDDGRDSEGGELDEVGDDV >DRNTG_19034.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22525416:22531400:-1 gene:DRNTG_19034 transcript:DRNTG_19034.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGGNWRPPLGFPQNNVPNRGNQSSLHFIQDRLRWFFCTGRGGFCRTWGSFYCSTCGQPGHSAEKCPRSQICYRCGQPDHSMEACDYQPRCFKCKTRGHYFYICRNDFRCDACGPDQFSRIFHLCNHCMNSQHFTKDCPNMLVCPICDRRDSYHCHSCYRNGHSAMLCPRTNTCSKCCRPGHTSAECTSRTLCYNCKNLGHSAYTCRHSFMCYECKARGHRFPW >DRNTG_19034.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22525416:22532351:-1 gene:DRNTG_19034 transcript:DRNTG_19034.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGGNWRPPLGFPQNNVPNRGNQSSLHFIQDRLRWFFCTGRGGFCRTWGSFYCSTCGQPGHSAEKCPRSQICYRCGQPDHSMEACDYQPRCFKCKTRGHYFYICRNDFRCDACGPDQFSRIFHLCNHCMNSQHFTKDCPNMLVCPICDRRDSYHCHSCYRNGHSAMLCPRTNTCSKCCRPGHTSAECTSRTLCYNCKNLGHSAYTCRHSFMCYECKARGHRFPW >DRNTG_19034.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22525946:22526771:-1 gene:DRNTG_19034 transcript:DRNTG_19034.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLCPRTNTCSKCCRPGHTSAECTSRTLCYNCKNLGHSAYTCRHSFMCYECKAR >DRNTG_19034.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22525416:22526771:-1 gene:DRNTG_19034 transcript:DRNTG_19034.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLCPRTNTCSKCCRPGHTSAECTSRTLCYNCKNLGHSAYTCRHSFMCYECKARGHRFPW >DRNTG_18661.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15922584:15935078:1 gene:DRNTG_18661 transcript:DRNTG_18661.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVDLLVMVLFLEVMIGMDLNCTWLNEPSGVSYRYFGAAFGRQAAKTEIEKLKLSGLTCRQGVIEVAKIIYGVHDEAKDKAFELEMSWVCEESNRQHQKMICWRKLRQLPKQP >DRNTG_18661.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15921835:15935078:1 gene:DRNTG_18661 transcript:DRNTG_18661.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPEPNRRIHAVHRHSGMVIASLAADGRQIVTRAKSKATIYEKDILGLHLEGKQQKRKLRSLNCLD >DRNTG_18661.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15921341:15935078:1 gene:DRNTG_18661 transcript:DRNTG_18661.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWNCYVLGVEKLISSKMMLPEPNRRIHAVHRHSGMVIASLAADGRQIVTRAKSKATIYEKVYGEPIPVKELTERVTSYVHLCTLYWWLEVGLFILV >DRNTG_18661.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15921341:15921554:1 gene:DRNTG_18661 transcript:DRNTG_18661.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTGTGYDLSVTTFSPDGRVFRIEYAAKAIDNNG >DRNTG_14153.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17944748:17956205:1 gene:DRNTG_14153 transcript:DRNTG_14153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNKGFLPSAPSEITVQRKQLKDIIYSLYSACKDADADTGVPFKADAIIANPPAYGHTHVAEALKVPIHIFFTMPWSPTSEFPHPLSRVKQSAGYRLSYQIVDSMIWLGIRDMINDFRKRKLKLRPVTYLSGSHTSGSDAPHAYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYVPPEPLVNWLEAGDKPIYIGFGSLPVQDPQKMTQIIVEALQTTGQRGIINKGWGGLGNLAEPKEFVYQLDNVPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGVGPAPIPVDQFSLPKLVEAINFMLDSKVKERAVELAKAMESEDGVTGAVKAFLKHLPKTPLETAPDQSPNFLDPVLRPVKRCFGFA >DRNTG_08990.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28973299:28978175:-1 gene:DRNTG_08990 transcript:DRNTG_08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDPNAAFKLSSTSTKPYHHRRIPCLPVCVVVGLVCILVFILVSIRSAPSQLRFGIVIDGGSSGSRIHVYGWRIGEGIVPEIDFAASGEMKVNPGLSAYAQEPERAGESLAGLLEFGKGHVPRDLWGETEVRLMATAGLRMVESETRERILESCRRVLRASGFRFRDDWATVIPGTDEGSYAWVAANYALGTLGGDPQETTGIIELGGASAQVTFVSSEPLPPEYARPLNFGERRYNLYSHSFLHFGQNAAQDSLQELLASEGLKSAEHVHQGIYDPCTPRSYSHGLESGKLSAGVPSLQAEGNDVAHVMGNYSACRSLSLMLLQKEKDKCSFDECLVGSTFVPKLQGRFLATENFFYTSKFFGLGSLSSLSDLISSGKKFCEEDKLRLKRKYSTLSEEDFSRYCFSSAYIVAFLHDGLGIALDDDRISFVNQVDNVPLDWALGAFIMQGTSSPTAPYSWIPAINRDGMLVLLFLLIIAALMVFTMVAVSKCRNPQLKTIYDLEKGRYIVTRIHR >DRNTG_08990.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28973299:28978175:-1 gene:DRNTG_08990 transcript:DRNTG_08990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDPNAAFKLSSTSTKPYHHRRIPCLPVCVVVGLVCILVFILVSIRSAPSQLRFGIVIDGGSSGSRIHVYGWRIGEGIVPEIDFAASGEMKVNPGLSAYAQEPERAGESLAGLLEFGKGHVPRDLWGETEVRLMATAGLRMVESETRERILESCRRVLRASGFRFRDDWATVIPGTDEGSYAWVAANYALGTLGGDPQETTGIIELGGASAQVTFVSSEPLPPEYARPLNFGERRMLHKIRFKNCLPLKD >DRNTG_08703.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18052256:18054152:1 gene:DRNTG_08703 transcript:DRNTG_08703.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQARNTSVQTTSESAVNEDSNFDMVTIERFLTDIVKERPVRFTAQNIIDFTQNFAQKLGSGGFGIVYKGQFPNGVQIAVKVLHKTQDKRAEEQFMAEIGTIGRTYHINLVRLYGFCFDNSLKALVYEYMEKGSLDGYLFDENQKLKWEKLHAIAIGTAKGIRYLHEECQKKIVHYDIKPGNVLLDANFSPKVADFGLARLCDRDNSHVTVTGGGGTPGYAAPELWMPYPVTHKCDVYSYGMLLFEILGRRRNLILGQAESQEWFPRWIWDKFEGGELESVMLNCGIEHNDRDKAERMCKVALWCVQYQPDARPSMNSVVRMLEGEEEIIAPKNPFPYMMPSQWSESRGDSVSTATTTNESE >DRNTG_08703.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18052256:18054152:1 gene:DRNTG_08703 transcript:DRNTG_08703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARNTSVQTTSESAVNEDSNFDMVTIERFLTDIVKERPVRFTAQNIIDFTQNFAQKLGSGGFGIVYKGQFPNGVQIAVKVLHKTQDKRAEEQFMAEIGTIGRTYHINLVRLYGFCFDNSLKALVYEYMEKGSLDGYLFDENQKLKWEKLHAIAIGTAKGIRYLHEECQKKIVHYDIKPGNVLLDANFSPKVADFGLARLCDRDNSHVTVTGGGGTPGYAAPELWMPYPVTHKCDVYSYGMLLFEILGRRRNLILGQAESQEWFPRWIWDKFEGGELESVMLNCGIEHNDRDKAERMCKVALWCVQYQPDARPSMNSVVRMLEGEEEIIAPKNPFPYMMPSQWSESRGDSVSTATTTNESE >DRNTG_08703.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18052256:18054152:1 gene:DRNTG_08703 transcript:DRNTG_08703.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTPTNSTPSGSDTSSTSAGATAATIVSTIVGGIVIIVIAIAIIRCIQKNRMQARNTSVQTTSESAVNEDSNFDMVTIERFLTDIVKERPVRFTAQNIIDFTQNFAQKLGSGGFGIVYKGQFPNGVQIAVKVLHKTQDKRAEEQFMAEIGTIGRTYHINLVRLYGFCFDNSLKALVYEYMEKGSLDGYLFDENQKLKWEKLHAIAIGTAKGIRYLHEECQKKIVHYDIKPGNVLLDANFSPKVADFGLARLCDRDNSHVTVTGGGGTPGYAAPELWMPYPVTHKCDVYSYGMLLFEILGRRRNLILGQAESQEWFPRWIWDKFEGGELESVMLNCGIEHNDRDKAERMCKVALWCVQYQPDARPSMNSVVRMLEGEEEIIAPKNPFPYMMPSQWSESRGDSVSTATTTNESE >DRNTG_08703.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18052256:18054152:1 gene:DRNTG_08703 transcript:DRNTG_08703.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTPTNSTPSGSDTSSTSAGATAATIVSTIVGGIVIIVIAIAIIRCIQKNRMQARNTSVQTTSESAVNEDSNFDMVTIERFLTDIVKERPVRFTAQNIIDFTQNFAQKLGSGGFGIVYKGQFPNGVQIAVKVLHKTQDKRAEEQFMAEIGTIGRTYHINLVRLYGFCFDNSLKALVYEYMEKGSLDGYLFDENQKLKWEKLHAIAIGTAKGIRYLHEECQKKIVHYDIKPGNVLLDANFSPKVADFGLARLCDRDNSHVTVTGGGGTPGYAAPELWMPYPVTHKCDVYSYGMLLFEILGRRRNLILGQAESQEWFPRWIWDKFEGGELESVMLNCGIEHNDRDKAERMCKVALWCVQYQPDARPSMNSVVRMLEGEEEIIAPKNPFPYMMPSQWSESRGDSVSTATTTNESE >DRNTG_31132.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:187613:188764:-1 gene:DRNTG_31132 transcript:DRNTG_31132.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIGISVLQSAANSIVAPSLRHLTSRLALGWRIRLTQYLLKNYLKRNAFYKV >DRNTG_19406.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6248921:6252877:-1 gene:DRNTG_19406 transcript:DRNTG_19406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGCLTHHHKKRSYDPIDYEHIDEVDFWVMEEKESSPPELDYDEIIEEAIYVNDAIPILDYDHIQDDIVDQEVNLESFVEVGNARNDSEDGPLGGAPNYDNCGMDPSL >DRNTG_24344.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:383436:384575:-1 gene:DRNTG_24344 transcript:DRNTG_24344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAYTKKQISFLSRLCCFMSPKKPQMLLPPLSTGDTTSTGSNSRKPGEFDKVFRYFDEDGDGKISPAELRNCMKIVGEELTEDEVEAIVNSSDTDGDGLLAFEEFVKFG >DRNTG_10878.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14066776:14070493:1 gene:DRNTG_10878 transcript:DRNTG_10878.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIYEDVEYTATSIIPHLADALQDADIDSRVALSSYDSSFSKELEMLRSRQSRVFVVHNSIQLAIRLFTEAYKKGMTTDGYIWITTDAITGKLDHVNSSVITLMQGVLGVKNYFKPRAQTSDFIKRFQRRFHSEYPKEGRRKPQLSSFIAYDAIWAIAKAMTKSKVSGELKKMQNDSVIDPLFVEISEEVWSLLDGIKQSNFSGLSGEFFFKDNKSSHVYAFQIVNVVGKSYRELGFWLPDNGFSKSLKRKEGNETSMKILGQVYWPGGPWSVPKGCVSPTSEKLLKVAVPAKTVFPEFLTVKKESDYKTSAEGFSINVFKKVLDHFSYSLPYEFIPFNCSYDSLLEHNQMRNYDILVGDTSISSGRYNYVEFSQPYTASGLAMVVPVKSD >DRNTG_10878.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14066776:14070493:1 gene:DRNTG_10878 transcript:DRNTG_10878.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIYEDVEYTATSIIPHLADALQDADIDSRVALSSYDSSFSKELEMLRSRQSRVFVVHNSIQLAIRLFTEAYKKGMTTDGYIWITTDAITGKLDHVNSSVITLMQGVLGVKNYFKPRAQTSDFIKRFQRRFHSEYPKEGRRKPQLSSFIAYDAIWAIAKAMTKSKVSGELKKMQNDSVIDPLFVEISEEVWSLLDGIKQSNFSGLSGEFFFKDNKSSHVYAFQIVNVVGKSYRELGFWLPDNGFSKSLKRKEGNETSMKILGQVYWPGGPWSVPKGCVSPTSEKLLKVAVPAKTVFPEFLTVKKESDYKTSAEGFSINVFKKVLDHFSYSLPYEFIPFNCSYDSLLEHNQMRKRIMTFSLVIHQSPLVDITMLSSHSLTQPQVWLWWCQ >DRNTG_10878.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14066776:14070493:1 gene:DRNTG_10878 transcript:DRNTG_10878.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIYEDVEYTATSIIPHLADALQDADIDSRVALSSYDSSFSKELEMLRSRQSRVFVVHNSIQLAIRLFTEAYKKGMTTDGYIWITTDAITGKLDHVNSSVITLMQGVLGVKNYFKPRAQTSDFIKRFQRRFHSEYPKEGRRKPQLSSFIAYDAIWAIAKAMTKSKVSGELKKMQNDSVIDPLFVEISEEVWSLLDGIKQSNFSGLSGEFFFKDNKSSHVYAFQIVNVVGKSYRELGFWLPDNGFSKSLKRKEGNETSMKILGQVYWPGGPWSVPKGCVSPTSEKLLKVAVPAKTVFPEFLTVKKESDYKTSAEGFSINVFKKVLDHFSYSLPYEFIPFNCSYDSLLEHNQMRVSMQPILFSCRSILTI >DRNTG_10878.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14066776:14070493:1 gene:DRNTG_10878 transcript:DRNTG_10878.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTLVVFVQCFLLFFDVFHIEGHSVGAILNYGSSFGREEEVAMEMAIGDINNLTNQALVLHTMDSNGDPFLAVSAGEKLQSNLSRLAMVVWLFAALVLTSNYTATLSSILTTRRLEPSLVDIDSLKSSNAVVGCNTGSVVGKYLENVLGFQRRNIKLFTSGDDYFQALKNGDIKAAFLRIPHANILVSKHCFEVISAGPIFQVGGLGFVSTYMSHKSSFELLDWTALDINLLNYWKDQSILIYNNCKYAFDPKPCPKSIFLSRKELLL >DRNTG_10878.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14066776:14071379:1 gene:DRNTG_10878 transcript:DRNTG_10878.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIYEDVEYTATSIIPHLADALQDADIDSRVALSSYDSSFSKELEMLRSRQSRVFVVHNSIQLAIRLFTEAYKKGMTTDGYIWITTDAITGKLDHVNSSVITLMQGVLGVKNYFKPRAQTSDFIKRFQRRFHSEYPKEGRRKPQLSSFIAYDAIWAIAKAMTKSKVSGELKKMQNDSVIDPLFVEISEEVWSLLDGIKQSNFSGLSGEFFFKDNKSSHVYAFQIVNVVGKSYRELGFWLPDNGFSKSLKRKEGNETSMKILGQVYWPGGPWSVPKGCVSPTSEKLLKVAVPAKTVFPEFLTVKKESDYKTSAEGFSINVFKKVLDHFSYSLPYEFIPFNCSYDSLLEHNQMRNYDILVGDTSISSGRYNYVEFSQPYTASGLAMVVPVKSD >DRNTG_10878.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14066776:14070493:1 gene:DRNTG_10878 transcript:DRNTG_10878.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLRSFHPAPSMDFFIYKCAASLNSNVGAILNYGSSFGREEEVAMEMAIGDINNLTNQALVLHTMDSNGDPFLAVSAGEKLQSNLSRLAMVVWLFAALVLTSNYTATLSSILTTRRLEPSLVDIDSLKSSNAVVGCNTGSVVGKYLENVLGFQRRNIKLFTSGDDYFQALKNGDIKAAFLRIPHANILVSKHCFEVISAGPIFQVGGLGFVSTYMSHKSSFELLDWTALDINLLNYWKDQSILIYNNCKYAFDPKPCPKSIFLSRKELLL >DRNTG_10878.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14067553:14070493:1 gene:DRNTG_10878 transcript:DRNTG_10878.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIYEDVEYTATSIIPHLADALQDADIDSRVALSSYDSSFSKELEMLRSRQSRVFVVHNSIQLAIRLFTEAYKKGMTTDGYIWITTDAITGKLDHVNSSVITLMQGVLGVKNYFKPRAQTSDFIKRFQRRFHSEYPKEGRRKPQLSSFIAYDAIWAIAKAMTKSKVSGELKKMQNDSVIDPLFVEISEEVWSLLDGIKQSNFSGLSGEFFFKDNKSSHVYAFQIVNVVGKSYRELGFWLPDNGFSKSLKRKEGNETSMKILGQVYWPGGPWSVPKGCVSPTSEKLLKVAVPAKTVFPEFLTVKKESDYKTSAEGFSINVFKKVLDHFSYSLPYEFIPFNCSYDSLLEHNQMRNYDILVGDTSISSGRYNYVEFSQPYTASGLAMVVPVKSD >DRNTG_10878.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14066776:14067396:1 gene:DRNTG_10878 transcript:DRNTG_10878.8 gene_biotype:protein_coding transcript_biotype:protein_coding FRKGRGSGNGDGHWRYQQPHKSSIGSTYNGLQWRSFSSCICR >DRNTG_19362.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5680777:5683025:1 gene:DRNTG_19362 transcript:DRNTG_19362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNVSSGNMMPGAASYGVLDLHNSMHLHHQEHPHQSLHLHQQQPNHPHHQASIVHPPMHDVFPLTSMNHLHDSDKQPMIMDYNKAERGKNSGSDDEDRSFNTEDGVNGNNDTGKGKKASPWQRMKWTDAMVKLLITVVSYIGEDLSECSSGGRRKLALLQKKGKWKLVSKVMAERDCYVSPQQCEDKFNDLNKRYKRLNDILGRGTSCKVVENPALLDLMNHISDKAKDDVRKILSSKHLFYEEMCSYHNGNRLHLPPDPDLQRSLQVALRSGDEHDTRRVLHEDLDEDDHQGAESDDREDDVEDDSILHGDVGSTCFQKRMKLGSEFGDFSFGNILNAQDVNKGGHPVGSSVDMNQAFPDSSKPTWAAKQWISSRSLQLEEQRLHIQAEMLELEKQRFKWQRFSKKKDRELDKMRMENERMKLENERLSLELKRRELEIGHNQMSN >DRNTG_20988.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001188.1:32298:33978:1 gene:DRNTG_20988 transcript:DRNTG_20988.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSKPPENAYVKQVYDSLSSVAKEMGIAIGIKHKKINISNPRVSCSYSYVFIV >DRNTG_20988.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001188.1:31611:34091:1 gene:DRNTG_20988 transcript:DRNTG_20988.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWLMFERVIWPLNQPLQPQEATSWSCPHPEPKKLVSPTLTNIQGWLSGLKGEGDTNQLPTIAIVASYDTFGASPALSVGSDSNGSGVVALLEIARLFSRLYSNPKTRGKYNLLFGLTSGGPYNYNGTLKWLRSSDQRLRESIDYAICLNGIGSWNNELWMHVSKPPENAYVKQVYDSLSSVAKEMGIAIGIKHKKINISNPRVAWEHEQFFRL >DRNTG_20988.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001188.1:31611:33978:1 gene:DRNTG_20988 transcript:DRNTG_20988.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWLMFERVIWPLNQPLQPQEATSWSCPHPEPKKLVSPTLTNIQGWLSGLKGEGDTNQLPTIAIVASYDTFGASPALSVGSDSNGSGVVALLEIARLFSRLYSNPKTRGKYNLLFGLTSGGPYNYNGTLKWLRSSDQRLRESIDYAICLNGIGSWNNELWMHVSKPPENAYVKQVYDSLSSVAKEMGIAIGIKHKKINISNPRVSCSYSYVFIV >DRNTG_20416.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29227262:29231738:1 gene:DRNTG_20416 transcript:DRNTG_20416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVYALVARGTVVLAEFSAASGNAGAIARQILEKIPGTNDSNVSYSQDRYVFHVKRTDGITVLCVADDSAGRRIPFAFLDDIHGRFVKTYGRACHTALAYAMNDEFSRVLSQQMEYYSSDPNADRINRIKGEMSQVRNVMIENIDKVLERGDRLDLLVDKTANMQGNTMRFRRQARRFRNSVWWRNVKLTVILVLILLIIIYVIVAFACHGVTLPSCIG >DRNTG_21073.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20032137:20034023:1 gene:DRNTG_21073 transcript:DRNTG_21073.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTARTQRGKPMGDRRQRWGMEKAAFWIGKTLVRDRIWEKEREKQECHRVKDEKKA >DRNTG_21073.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20032137:20034023:1 gene:DRNTG_21073 transcript:DRNTG_21073.3 gene_biotype:protein_coding transcript_biotype:protein_coding TSKMTARTQRGKPMGDRRQRWGMEKAAFWIGKTLVRDRIWEKEREKQECHRVKDEKKA >DRNTG_21073.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20030123:20034023:1 gene:DRNTG_21073 transcript:DRNTG_21073.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSKMTARTQRGKPMGDRRQRWGMEKAAFWIGKTLVRDRIWEKEREKQECHRVKDEKKA >DRNTG_07162.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31693355:31693811:1 gene:DRNTG_07162 transcript:DRNTG_07162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTSHQGVKYAVMSESGSFILYNGSNNDSQIAWQSFWHPSDT >DRNTG_05343.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18539095:18543582:-1 gene:DRNTG_05343 transcript:DRNTG_05343.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRAPLMLLKQRRNPSLMCSPGIEIKELQDKELNLKKAAARGSKAEQKAKKKLVDDEISQLSTQLKAKHVEELASLGYKSNEGNEKGDLNNLVMAIAGVSATGHGDSSSKPSKSAKRRSRRAQQEAEREQRIQEEQSNIVSDRVIENEKLQKKLEPLGLTINEIKPDGHCLYRAVEDQLQLHSSASPYGYLELRKMTADYMREHAEDFLPFFLSDGKLEADSDASPPERYKKYCNEVENTAAWGGQLELGALTHCLKKHIVIYSGSFPDVEMGKEYKDNSLSIMLSYHMHAYGLGEHYNSVVQTNG >DRNTG_31241.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001676.1:78033:79698:1 gene:DRNTG_31241 transcript:DRNTG_31241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHLESVKATLAAALEMATLEDHAVQSRSVNCHGDSTRVLSRQEAPGAVHQDWNYFLRPIHHQTRHRDGATGRHSKGREGNHTYYFVHRDDETDGDGCESHRGDGASRYVTAVTRARWRLTIYYETRTPQASPSTHSNPPL >DRNTG_01701.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23698844:23706431:1 gene:DRNTG_01701 transcript:DRNTG_01701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPGNRGGTTADAFLTNYKLGKTLGIGSFGKVKIAEHTLTGHKVAVKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRLYEVVETNMDIYVVMEYVKSGELFDYIVEKGRLQEDEARHFFQQIISGVEYCHRNMVVHRDLKPENLLLDTKDNVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGRLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKSGIYTLPSHLSTGARDLIPRLLVVDPMKRMTIPEIRLHPWFQAHLPRYLAVPPPDTLQQAKKIDEDILQEVVKLGFDKNRLIESLRNRKQDEATVTYYLLFDNRFRANSGYLGSDFQETMECGFSRSYPLENANLAMTHRIASYIDPPTTGVRPHIPAERKWALGLQSRAHPREIMTEVLKALQELNVRWKKIGHYNMKCRWFPGFLDSESMDNKHLHATGGFDDEPSIVESDNVAANSPNVVKFELQLYKTKEEKYLLDLQRVYGPQLLFLDLCALFLAQLRVL >DRNTG_33599.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4453701:4455908:1 gene:DRNTG_33599 transcript:DRNTG_33599.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGQPPPPAPHSKSWSQIASSLTQTLDNSPLHNAELLSKLKSSTSCFVRLDEDAITRGRMKFQYALYGKLFGKSPPFEQVKSFLLNRWSDIGEVFISDLPNGFLLIRCPYEKVMQCLLLDGPWTINGIILQLSPWKPFFEASFAKLNSAAIWVHFWIGDDVHRVFVVVMYERLPTFCYNCGLIGHGSKSCNRSSLFGAGESSLPSHGIRLPVENTSQVSHDVDQCMDPADLVFVPGAEDNPESEFGPWLLVSRRRGRARGRGGGAVRAAHVTSRLRPGRETRKTPSSRHRLAPLTWRIPCRWQWVVLHYARIP >DRNTG_32982.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20954526:20955290:1 gene:DRNTG_32982 transcript:DRNTG_32982.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKTMVLKLLIDTKANRVLFAEAGKEVVDFLFTLLGLPLGSIVKLLSKSQMIGSIGNIYSSLENLDFTYIQPHQDKDILLSPQVKEQKQCENNLRLLLPDPAKVEAYYRCRSCRDYVSKVCGIECPSCSGKMDKMLRFVHESGMASGMASGNGVGEEGLVKGVVTYTIMDDLTVTPMSTISGITLLSKFNVKNVDVLMEKNISLGMQEALELLKASLASKTVLTDVFLAGKQ >DRNTG_11608.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7837818:7840502:-1 gene:DRNTG_11608 transcript:DRNTG_11608.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGQRTPRAHQLHSGRSAVVDLFNLYLGRNSRSKFDEASRESPNKMLKRVTAPNRELPPRNEQFLSDFEQLQGQFA >DRNTG_11608.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7834826:7840502:-1 gene:DRNTG_11608 transcript:DRNTG_11608.1 gene_biotype:protein_coding transcript_biotype:protein_coding EERGDMEQGQRTPRAHQLHSGRSAVVDLFNLYLGRNSRSKFDEASRESPNKMLKRVTAPNRELPPRNEQFLSDFEQLQGQFADREQLRVVTDFQCRIISWARKSDIYWHAIR >DRNTG_06928.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16854610:16855419:1 gene:DRNTG_06928 transcript:DRNTG_06928.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRKIKMKEHKSHVQNNGMDANGTHVPALNCYSLE >DRNTG_33222.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001974.1:6573:9347:1 gene:DRNTG_33222 transcript:DRNTG_33222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRQGHEFFDGSEPSRGHGESLESFLDHIMLGEC >DRNTG_33222.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001974.1:8097:9347:1 gene:DRNTG_33222 transcript:DRNTG_33222.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRQGHEFFDGSEPSRGHGESLESFLDHIMLGEC >DRNTG_27658.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8563599:8567503:1 gene:DRNTG_27658 transcript:DRNTG_27658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRLLPLLLFLLVSSASAADLEDPLIQQVVPGVGEDLLNAEAHFSSFIQRFGKSYADEKEHAHRFSVFKANLRRARRHQRLDPTAVHGVTQFSDLTPSEFRRQYLGLRRPYLSSSHEAPILPTGDLPPDFDWRDHGAVTGVKNQGSCGSCWSFSAAAALEGANFLATGNLESLSEQQLVDCDHECDLEDPDSCDSGCNGGLMTTAFQYLLKAGGLEREADYPYTGADRGGCKFDKSKIAASVLNFSVVSIDEDQIAANLVKHGPLAVGINAIFMQTYVGGVSCPYICGRHLDHGVLLVGYGAAGYSPIRFKEKPYWIIKNSWGESWGENGYYKICRGRNVCGVDSMVSAVSAVHTTHT >DRNTG_33770.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:10732:14961:-1 gene:DRNTG_33770 transcript:DRNTG_33770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKMKFEGDDVPEKSFAGTIIGIGDISPHWGGSKWRSLKVQWDEHTNIRRPDRISPWDVELLNASVPVTKVTEPVPAKNKRSHSMIDLRALVRQGTLKDRSPEHPTEPLWFPGGTQPRHDLASVCSTNTPSGEKQTLSPYKQEENRSNRIMNSLSSYNSGECNAWSVGLNSPLKSPSSSVLTDASPKEVQDGNDLKATLPSWLWASSSCLTEDSLLKQNNMERGKNPEVSNGCRLFGFELVQTASSTAPVEKVTAGLISAPGTTNEHPAVVISNSFKDSSTNSVLANVAKQQKHAPQISPNECLSRQSLYTRSRTKVHMQGIAVGRAIDLTNLEGYNELIMELEEMFDIKGELRNRDKWEVVFTDNEDDMMLVGDDPWPEFCKMVKKIFIYGSEEVKKMRPGSKLPAAITAEGG >DRNTG_33770.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:10732:14961:-1 gene:DRNTG_33770 transcript:DRNTG_33770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYASAAGSVEGIYEELWRACAGPLVEIPRVGERVFYFPQGHMEQLEATMNHELNQQVPMFKLPTKILCRVVHIQLKAESETDEVYAQITLLPEPDQNELKSPDPCQPEPPRPGVSSFYKILTASDTSTHGGFSVRRRHANDCLPPLDMSQPIPNQELIAKDLHGFEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDVFIFMRGENGELRVGLRRLARQQSTIPSSVISSQSMHLGVLATASHAVSTQSLFTVYYKPRTSQFIVSVNKYYKAVNNGLKIGMRFKMKFEGDDVPEKSFAGTIIGIGDISPHWGGSKWRSLKVQWDEHTNIRRPDRISPWDVELLNASVPVTKVTEPVPAKNKRSHSMIDLRALVRQGTLKDRSPEHPTEPLWFPGGTQPRHDLASVCSTNTPSGEKQTLSPYKQEENRSNRIMNSLSSYNSGECNAWSVGLNSPLKSPSSSVLTDASPKEVQDGNDLKATLPSWLWASSSCLTEDSLLKQNNMERGKNPEVSNGCRLFGFELVQTASSTAPVEKVTAGLISAPGTTNEHPAVVISNSFKDSSTNSVLANVAKQQKHAPQISPNECLSRQSLYTRSRTKVHMQGIAVGRAIDLTNLEGYNELIMELEEMFDIKGELRNRDKWEVVFTDNEDDMMLVGDDPWPEFCKMVKKIFIYGSEEVKKMRPGSKLPAAITAEGG >DRNTG_33770.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:10732:14057:-1 gene:DRNTG_33770 transcript:DRNTG_33770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKMKFEGDDVPEKSFAGTIIGIGDISPHWGGSKWRSLKVQWDEHTNIRRPDRISPWDVELLNASVPVTKVTEPVPAKNKRSHSMIDLRALVRQGTLKDRSPEHPTEPLWFPGGTQPRHDLASVCSTNTPSGEKQTLSPYKQEENRSNRIMNSLSSYNSGECNAWSVGLNSPLKSPSSSVLTDASPKEVQDGNDLKATLPSWLWASSSCLTEDSLLKQNNMERGKNPEVSNGCRLFGFELVQTASSTAPVEKVTAGLISAPGTTNEHPAVVISNSFKDSSTNSVLANVAKQQKHAPQISPNECLSRQSLYTRSRTKVHMQGIAVGRAIDLTNLEGYNELIMELEEMFDIKGELRNRDKWEVVFTDNEDDMMLVGDDPWPEFCKMVKKIFIYGSEEVKKMRPGSKLPAAITAEGG >DRNTG_33770.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:10732:14961:-1 gene:DRNTG_33770 transcript:DRNTG_33770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKMKFEGDDVPEKSFAGTIIGIGDISPHWGGSKWRSLKVQWDEHTNIRRPDRISPWDVELLNASVPVTKVTEPVPAKNKRSHSMIDLRALVRQGTLKDRSPEHPTEPLWFPGGTQPRHDLASVCSTNTPSGEKQTLSPYKQEENRSNRIMNSLSSYNSGECNAWSVGLNSPLKSPSSSVLTDASPKEVQDGNDLKATLPSWLWASSSCLTEDSLLKQNNMERGKNPEVSNGCRLFGFELVQTASSTAPVEKVTAGLISAPGTTNEHPAVVISNSFKDSSTNSVLANVAKQQKHAPQISPNECLSRQSLYTRSRTKVHMQGIAVGRAIDLTNLEGYNELIMELEEMFDIKGELRNRDKWEVVFTDNEDDMMLVGDDPWPEFCKMVKKIFIYGSEEVKKMRPGSKLPAAITAEGG >DRNTG_28851.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001451.1:86503:88621:-1 gene:DRNTG_28851 transcript:DRNTG_28851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFLAHLDPRHHTSLGPFSHLSAEILGGNKHTSSTRGRGAKEKELE >DRNTG_04499.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000218.1:5863:6807:-1 gene:DRNTG_04499 transcript:DRNTG_04499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSDGGEDAGKSSRSPSHSSNSRDGDEGARFHPFRRPKTPFSQALMAQSDGGEAAGKSSRTPSHCPTLEMAMKVPASTLIAALKPPLLKKINVLSLEGKV >DRNTG_17471.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6916207:6917439:-1 gene:DRNTG_17471 transcript:DRNTG_17471.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLDSKVRSCYSEPLSIRMDAQSLAKVMLLDGCFILHSLLKQQNLMIDDVSNNYQDNDDYDQVEDGEILLELREGDEQLEQEPLLLTLWIWKFVLDDLLKLENQIPFFVLTTLFDLLKVPSDDGVDLVNLAFKLFSDIHPSKSQTFHILPAADQVHHLLHLFHSSLVPSNNGHVLDIPEADKVPEWIPNATELQQAGVKFVKKENTGSFLDISFSSNGTMEIPKLCLYDYTDTLFRNLIAFEQCYPNTRTCITIYAAFMDCLIDTPKDVRLLHLNGILTNGLSTDDAAADLFNKLCDQIYYAADRNYLHKLFADVNTYYGSRWNQWRAKLVRDYFSNPWAIISLMAAVLLLLLTVEQSFFTAYSSFRSS >DRNTG_02457.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19414942:19419148:-1 gene:DRNTG_02457 transcript:DRNTG_02457.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTESNAISVADPAGDQPETMTDLAMKKKDLKAIDDELSVERAFDSQRLPSWKEQLTVRAMVVSFFLSVMFSVIVMKLNLTTGIIPSLNVSAGLLGFFFLKTWTKILHKSGMLKQPFTRQENTVVQTCVVAASGIAFSGGFGSYIFGMSERVANQTTELNDPQNVKNPQLGWMIGFLFLVSFIGLFSVVPLRKIMIIDYKLVYPSGTATALLINSFHTPEGAKLAKKQVRALGKCFVGSFVWGFFQWFYTAGDNCGFIFFPSLGLQAFKNRFYFDFSATYVGVGMICPYIVNVSVLLGAILSWGIMWPLIGNKKGSWYAADLSDTNLHGLQGYRVFIAIALILGDGLYNFFKVLGRTVCAIVSQLQTGSTAALPLSSNNSPMSFDNQRRTEMFLKGQIPKKVAVGGYIVIAAISTATLPHIFNPLKWYYILVIYIVAPVLAFCNAYGTGLTDWSLASAYGKLAIFTIGAWAGASHGGVLAGLAACGVMMNIVSTASDIMQDFKTGYLTLSSPRSMFVSQIIGTAMGCVISPCVFWLFYKAFDDLGIPGTQIPFTIWNCFP >DRNTG_21925.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:19656961:19658754:1 gene:DRNTG_21925 transcript:DRNTG_21925.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPTFGLDVRAIAIVTQKVRNIMDTGQTILCTIHQPSIDILEAFDKLLLMKRAGQVIYVGPLGHHSTNLIESFEAIASAKKITKGCNPATWMLEITSSSTEAQLILH >DRNTG_00011.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21520792:21523674:-1 gene:DRNTG_00011 transcript:DRNTG_00011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARAPAPAPERRGDNAIHPLVISTSTSTSHRSSSLTRSHQPFKRWIPWLIPSFLVVNIIVFVVTMYVNNCPKNSSSCFARFLGRFSFQRLKENPLIGPSSATLLKMGGLYYSNVVKRHQGWRLVTCIWLHGGVVHILANMLSLLFIGIRLEQEFGFVRIGLLYLISGLGGSVMSALFLQGSISVGASGALFGLLGAMLSELITNWTIYVNKFAALFTLVFIVVVNLAVGILPHVDNFAHIGGFISGFLLGFVFLIRPQFGWVSRKHASLGYPPGSVRRKHKKYQYILWIMAAFLLISGFTVGLVMLFRGVDANKHCSWCHYLSCVPTSKWSCKAQSSFCTVIQTANRLNLTCDSSGTTKIYSLSNTTESQINELCYQLCT >DRNTG_05683.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:141494:143017:-1 gene:DRNTG_05683 transcript:DRNTG_05683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFMGRRRGRSALPCMHPFLYKLKSPSSQCPFSEEAATFRSSLIECLKKLEKSLAESSVSLHWSIEAMNVLKKLQLDLLSFLKRFDLPIFCEVEQDWFNHYMDATITLLDLCNSMKSAISATHRYRMTVSFAIESLSRYEEDDDLSSCMVTLNKIIECKGLETLQQQQQQKRKTVLQHEKTKVCSLMMPLKGCSKKHDKSVSMIIFAAKSVMVILSLLLVSAIVSPVSINMEGDCAFGRKFPELKLFMEMLEALVARFREKSSLSLSSSENGSRLVLVEHEKVDKVVGELKEQVVQGVVEDKERFQSGIELLRSKSAELSESVEMFDAVVDEVFNVVIRGRNEMLGVLKDKGL >DRNTG_09490.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21563526:21569773:-1 gene:DRNTG_09490 transcript:DRNTG_09490.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHRYPIEACRVFERTSFTKLKMELTSVKSVDDKEPTNFDESGGDAHEKSKETSKNSKNSKNKNAHDANKKLNDGARPNKSTLKTLLGDALNYGPALSEHIILDAGLIPNMKVGNSTDSQIDEDSMQALAKAVARFEDWLADVISGQKVPEGYILMQSKATGKKENIPLSEPTLDKIYDEFCPLLLNQLKSRECVKFETFDAALDEFYSKIESQRAEQQAKAKEGSAMQKLSKIRLDQENRVQTLRKEVDHCVKMAELIEYNLEDVDAAILAVRVALANGMNWDDLARMVKEEKKSGNPVAGLIDKLHLERNCITLLLSNDLDEMDEDEKTSPVDRVEVDLALSAHANARHWFELKKKQEFKQEKTITAHEKAFKAAEKKTRLQLAQEKTVAAISHIRKVHWFEKFNWFISSENYLVISGRDAQQNEMIVKRYMSKGDLYIHADLHGASSTVIKNHKPDHPVPPLTLNQAGCFTVSLIISFICIFKSGKMFSFGQ >DRNTG_09490.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21563526:21570649:-1 gene:DRNTG_09490 transcript:DRNTG_09490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVRMNTADVAAEVKCLRRLIGMRCANVYDISPKAYLFKLMNSSGITDSGESEKVMLLMESGVRLHTTQYARDKNTTPSGFTLKLRKHIRTRRLEDVRQLGYDRIILFQFGLGANAHYVILELYAQGNILLTDSEYTVLTLLRSHRDDDKGLAIMSRHRYPIEACRVFERTSFTKLKMELTSVKSVDDKEPTNFDESGGDAHEKSKETSKNSKNSKNKNAHDANKKLNDGARPNKSTLKTLLGDALNYGPALSEHIILDAGLIPNMKVGNSTDSQIDEDSMQALAKAVARFEDWLADVISGQKVPEGYILMQSKATGKKENIPLSEPTLDKIYDEFCPLLLNQLKSRECVKFETFDAALDEFYSKIESQRAEQQAKAKEGSAMQKLSKIRLDQENRVQTLRKEVDHCVKMAELIEYNLEDVDAAILAVRVALANGMNWDDLARMVKEEKKSGNPVAGLIDKLHLERNCITLLLSNDLDEMDEDEKTSPVDRVEVDLALSAHANARHWFELKKKQEFKQEKTITAHEKAFKAAEKKTRLQLAQEKTVAAISHIRKVHWFEKFNWFISSENYLVISGRDAQQNEMIVKRYMSKGDLYIHADLHGASSTVIKNHKPDHPVPPLTLNQAGCFTVCHSQAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLVMGFGILFRLDESSLASHLNERRVRGEDEGDQEIEGELHKEPSASDSGDEVNGEGGINKDLSHVSSLSMNHLEVEEKLSKVIDAPLVSPSRLETSGDFIEEVIEGVDSSKGMHTELEPETGQRSVTSKSSELDTLMDMALGLGPAKLLSTSSALSTTQPAFTEDPDLEGRKGTMREKPYISNAERRKSKKDQKNGTGNDDNEKEHNKPSVRTDVSENQKPASSKITRGQKSKLKKIKEKYAEQDEEERKIRMALLASAGKIVEMEKEQGQSEVLGGSSKSIIGAEDDSKICYRCKKVGHLSRDCPEYMAESDHPHPVTNKHDNNDVSQDLSATELGKATAEMDKITIGEDGINEIGEEEKEKLNDLDYLTGNPLVNDILLYAVPVCGPYNALQTYKYRVKITPGTAKKGKAAKTAMNLFSSHIPEVTNREN >DRNTG_09490.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21563526:21569773:-1 gene:DRNTG_09490 transcript:DRNTG_09490.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHRYPIEACRVFERTSFTKLKMELTSVKSVDDKEPTNFDESGGDAHEKSKETSKNSKNSKNKNAHDANKKLNDGARPNKSTLKTLLGDALNYGPALSEHIILDAGLIPNMKVGNSTDSQIDEDSMQALAKAVARFEDWLADVISGQKVPEGYILMQSKATGKKENIPLSEPTLDKIYDEFCPLLLNQLKSRECVKFETFDAALDEFYSKIESQRAEQQAKAKEGSAMQKLSKIRLDQENRVQTLRKEVDHCVKMAELIEYNLEDVDAAILAVRVALANGMNWDDLARMVKEEKKSGNPVAGLIDKLHLERNCITLLLSNDLDEMDEDEKTSPVDRVEVDLALSAHANARHWFELKKKQEFKQEKTITAHEKAFKAAEKKTRLQLAQEKTVAAISHIRKVHWFEKFNWFISSENYLVISGRDAQQNEMIVKRYMSKGDLYIHADLHGASSTVIKNHKPDHPVPPLTLNQAGCFTPSMGLKDCYQCLVGLPSPSQ >DRNTG_09490.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21563526:21566410:-1 gene:DRNTG_09490 transcript:DRNTG_09490.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGKKNFLPPHPLVMGFGILFRLDESSLASHLNERRVRGEDEGDQEIEGELHKEPSASDSGDEVNGEGGINKDLSHVSSLSMNHLEVEEKLSKVIDAPLVSPSRLETSGDFIEEVIEGVDSSKGMHTELEPETGQRSVTSKSSELDTLMDMALGLGPAKLLSTSSALSTTQPAFTEDPDLEGRKGTMREKPYISNAERRKSKKDQKNGTGNDDNEKEHNKPSVRTDVSENQKPASSKITRGQKSKLKKIKEKYAEQDEEERKIRMALLASAGKIVEMEKEQGQSEVLGGSSKSIIGAEDDSKICYRCKKVGHLSRDCPEYMAESDHPHPVTNKHDNNDVSQDLSATELGKATAEMDKITIGEDGINEIGEEEKEKLNDLDYLTGNPLVNDILLYAVPVCGPYNALQTYKYRVKITPGTAKKGKAAKTAMNLFSSHIPEVTNREN >DRNTG_09490.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21563526:21570649:-1 gene:DRNTG_09490 transcript:DRNTG_09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVRMNTADVAAEVKCLRRLIGMRCANVYDISPKAYLFKLMNSSGITDSGESEKVMLLMESGVRLHTTQYARDKNTTPSGFTLKLRKHIRTRRLEDVRQLGYDRIILFQFGLGANAHYVILELYAQGNILLTDSEYTVLTLLRSHRDDDKGLAIMSRHRYPIEACRVFERTSFTKLKMELTSVKSVDDKEPTNFDESGGDAHEKSKETSKNSKNSKNKNAHDANKKLNDGARPNKSTLKTLLGDALNYGPALSEHIILDAGLIPNMKVGNSTDSQIDEDSMQALAKAVARFEDWLADVISGQKVPEGYILMQSKATGKKENIPLSEPTLDKIYDEFCPLLLNQLKSRECVKFETFDAALDEFYSKIESQRAEQQAKAKEGSAMQKLSKIRLDQENRVQTLRKEVDHCVKMAELIEYNLEDVDAAILAVRVALANGMNWDDLARMVKEEKKSGNPVAGLIDKLHLERNCITLLLSNDLDEMDEDEKTSPVDRVEVDLALSAHANARHWFELKKKQEFKQEKTITAHEKAFKAAEKKTRLQLAQEKTVAAISHIRKVHWFEKFNWFISSENYLVISGRDAQQNEMIVKRYMSKGDLYIHADLHGASSTVIKNHKPDHPVPPLTLNQAGCFTPSMGLKDCYQCLVGLPSPSQ >DRNTG_09490.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21563526:21569773:-1 gene:DRNTG_09490 transcript:DRNTG_09490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHRYPIEACRVFERTSFTKLKMELTSVKSVDDKEPTNFDESGGDAHEKSKETSKNSKNSKNKNAHDANKKLNDGARPNKSTLKTLLGDALNYGPALSEHIILDAGLIPNMKVGNSTDSQIDEDSMQALAKAVARFEDWLADVISGQKVPEGYILMQSKATGKKENIPLSEPTLDKIYDEFCPLLLNQLKSRECVKFETFDAALDEFYSKIESQRAEQQAKAKEGSAMQKLSKIRLDQENRVQTLRKEVDHCVKMAELIEYNLEDVDAAILAVRVALANGMNWDDLARMVKEEKKSGNPVAGLIDKLHLERNCITLLLSNDLDEMDEDEKTSPVDRVEVDLALSAHANARHWFELKKKQEFKQEKTITAHEKAFKAAEKKTRLQLAQEKTVAAISHIRKVHWFEKFNWFISSENYLVISGRDAQQNEMIVKRYMSKGDLYIHADLHGASSTVIKNHKPDHPVPPLTLNQAGCFTVCHSQAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLVMGFGILFRLDESSLASHLNERRVRGEDEGDQEIEGELHKEPSASDSGDEVNGEGGINKDLSHVSSLSMNHLEVEEKLSKVIDAPLVSPSRLETSGDFIEEVIEGVDSSKGMHTELEPETGQRSVTSKSSELDTLMDMALGLGPAKLLSTSSALSTTQPAFTEDPDLEGRKGTMREKPYISNAERRKSKKDQKNGTGNDDNEKEHNKPSVRTDVSENQKPASSKITRGQKSKLKKIKEKYAEQDEEERKIRMALLASAGKIVEMEKEQGQSEVLGGSSKSIIGAEDDSKICYRCKKVGHLSRDCPEYMAESDHPHPVTNKHDNNDVSQDLSATELGKATAEMDKITIGEDGINEIGEEEKEKLNDLDYLTGNPLVNDILLYAVPVCGPYNALQTYKYRVKITPGTAKKGKAAKTAMNLFSSHIPEVTNREN >DRNTG_09490.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21563526:21570440:-1 gene:DRNTG_09490 transcript:DRNTG_09490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSGITDSGESEKVMLLMESGVRLHTTQYARDKNTTPSGFTLKLRKHIRTRRLEDVRQLGYDRIILFQFGLGANAHYVILELYAQGNILLTDSEYTVLTLLRSHRDDDKGLAIMSRHRYPIEACRVFERTSFTKLKMELTSVKSVDDKEPTNFDESGGDAHEKSKETSKNSKNSKNKNAHDANKKLNDGARPNKSTLKTLLGDALNYGPALSEHIILDAGLIPNMKVGNSTDSQIDEDSMQALAKAVARFEDWLADVISGQKVPEGYILMQSKATGKKENIPLSEPTLDKIYDEFCPLLLNQLKSRECVKFETFDAALDEFYSKIESQRAEQQAKAKEGSAMQKLSKIRLDQENRVQTLRKEVDHCVKMAELIEYNLEDVDAAILAVRVALANGMNWDDLARMVKEEKKSGNPVAGLIDKLHLERNCITLLLSNDLDEMDEDEKTSPVDRVEVDLALSAHANARHWFELKKKQEFKQEKTITAHEKAFKAAEKKTRLQLAQEKTVAAISHIRKVHWFEKFNWFISSENYLVISGRDAQQNEMIVKRYMSKGDLYIHADLHGASSTVIKNHKPDHPVPPLTLNQAGCFTVSLIISFICIFKSGKMFSFGQ >DRNTG_16013.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17582593:17589193:-1 gene:DRNTG_16013 transcript:DRNTG_16013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTASNFVSSLRRSGSAWGSRTATEIFSRSSREEDDEEALKWAALEKLPTYDRLRKGVLTGLTGETAEIDIANLGFQERKTLIERLVRVAEEDNEKFLMKLKNRLDRVGIENPTIEVRFENLEIKAEVFVGSNAVPTVINFFVNKIEAILNSLHVLPTRKKKITILDNISGIVKPGRIALLLGPPGSGKTSLLLALAGKLDQSLKASGRVTYNGHGMDEFVPQRTSAYISQHDLHIGEMTVRETLAFSARCQGVGTRYDMLSELARREKAAGIKPDADIDVYMKASSLEGQESVVTDYILKILGLDICADTMVGDAMLRGISGGQKKRVTTGEMLVGPARALFMDEISTGLDSSTTYQIVNCLRQTIHILGGTALIALLQPAPETYDLFDDIFLISEGQIVYQGPREHVLEFFEYMGFKCPERKGVADFLQEVTSKKDQRQYWNKSNEPYRFITVKEFANAFHSFHVGRRLEYELSVPFDKSKNHPAALTKSRYGISKKELLKACFEREFLLMKRNSFVYIFKLFQLFVLALISMTVFFRTKMHHDTADDGSIYMGALFVGLLTHLFNGFAELAMSIAKLPVFYKQRDLLFFPAWAYSLPAWILKIPISFLECGLWVGVTYYVIGFDPNVERMLRQFLIYSLVSQMASGLFRFLAAVGRERVVANTFGSFGQLVLIVLGGFVLARNDIKKWWIWGYWASPLMYAQNSVAVNEFLGHSWKKVNVTTNGVSQYLGVKVLENRGIFPQAKWFWIGIAGLIGYVLVFNALCTLALDFLDPLGKGTAMAEDDKPDDGEGEGSELASRENQEAEANRNRGMVLPFAPLSLTFDDVKYAVDMPQEMKSQGVTEDRLLLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGSVTISGYPKVQETFARISGYCEQNDIHSPFVTVYESLVYSAWLRLPSDVDKKTRMMFVDEVMELVELTPLRNALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHNSCELIKYFESVNGVSKIKDGYNPATWMLEVTSLGQEDFLGVNFAEIYKNSDLYRRNKALISELSTPPPGTEDLYFPTKYSQNFMVQCIACFWKQYKSYWRNPSYTAVRMFFTTITALMFGTIFWRLGSKTKTRQDLFNAMGALYSAVLFIGVQNSQTVQPAVDVERTVFYREKAAGMYSPLPYAFAQVAIEIPYTLAQTLIYGVLTYSMINFHWTFSKFMWYLYIMFCTFLYFTYYGMMAVALTPNSDIAAIVSAAFYAIWNLFAGFVIPLPRIPKWWKWYFWACPIAWTLYGLISSQFGDIDTPMDDTGKTVKQFVRDYFGYKRSFLGGVAAAEIGFNLLFAFVFALGIRQLNFQKR >DRNTG_05763.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3454376:3456706:1 gene:DRNTG_05763 transcript:DRNTG_05763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSPLISRSQANTIPFSSNKLLEILTHIQVKLASITTSDIKKTLIECEEPALDGESKLCATLLESMVEFSMMSLGTRDVQASSTTIKEKSGDFEVKKTYNVASLGVRALGRDQLVVACHSLPYPYAMFYCHVTGKTKAYTMVLEGNDGTNVEAIAVCHFDTSKWNPKHLVF >DRNTG_32180.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4698055:4706570:-1 gene:DRNTG_32180 transcript:DRNTG_32180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SMG7 [Source:Projected from Arabidopsis thaliana (AT5G19400) UniProtKB/Swiss-Prot;Acc:A9QM73] MAVPMDENLSAPTERDRAQRLFQKNAELENGLRMAAQSKIPSDPNTWRQMRDNYEAIILEDHEFSEKHEVEYLLWQLHYRRIEEFRSHINSANSAASGGAQPQGGKAINRSERIMKIRSVFKSFLSEATGFYNDLILKIKSKYGLHLGFFFEGADNHAMLIKDEKKSSEMKKGLLSCHRCMIYLGDLARYKGLYGDVDSASRDYAASSSYYVQAASLWPASGNPHHQLAILASYSGNDLVAVYRYFRSLAVDSPFSTARDNLIIAFEKNRQSYSLLSGSAKVPSAKTMPTRPAGRGRGRGDGRLLSKVAKAESVPIKEQELSTEQIFRSFSTRFVRLNGILFTRTSLETFGEVFSLLTSDLNELLASGPEEQLNFGVDAAENGLTVVRLIAIFIFTVHNANRESEGQSYAEILQRTVLLQNAFTSAFEFTGHILKRCVQLRDAASSCLLPAIMVFIEWLACHPDIAAGLDVEEKQASARSFFWNQYVLLLNKLVLSGFASVESDEDETCFSDMSQYDDEETGNRLALWEDFELRGFSPLVPAQLVLDFSRKQSYGGDGSNKEKKVRAQRIVSAGKALMNVVRVDQKCIYFDPNLKKFVTSTESRAHENQMRASITNSPAINVSRQGNPGESTFYLAEGQPKTQFHAEVEEEEEEIVFKPTSVCPTTSASKTKADETTQTVQSYSGGDWSAYGGIVSAPLSSVQMSTALNGSYLTAPKISQQTLHTSANPLNWSMEQEALISEGLRNLNMVENGWFQNQRLPEGLVGSHTNMLPPSFSIPANHISNSMMPSQMMPPEAVIPSKLDSIVHSGANSDGMPVKLSAALPTISRKSPVSRPARHFGPPPGFSHVPSKQPDESFANTVRKEQQAHPVDDYSWLDSHQSSKGMVVMENSTNHVSRMHPNVTTGIINTSSATIDFPFPGKQVSSVQTPAVNEPWQDFQLFDQPKQFPRPNLPPASLPGQHQPQSLWSGPYFV >DRNTG_00187.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:105688:110763:1 gene:DRNTG_00187 transcript:DRNTG_00187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPGENRVITRSHTRKAKEQLNNTEDRLSSFFYSLPRYGRSQKLRNSRPSHSNDEKKLDTNMFESYLEDLWNSISEEKRSSYTYLDCLWFSLHKKGLAKENVLKWIKKKQIFSRKYVFIPIVCWRHWSLLIFCNFGEKRPSNTKRPCMLLLDSLQQADPGKLEPDIRRFVLDIYGAEARKEKEAVISKIPLFIPKVPQQRNGEECGIFVLYFIYLFVQNAPTSFSLDGYPYFLKEDWFAPDDLESFRKKIHSFECSKNIESDTRQQRHLGGSDLYGLTPECREAVSSSESQNVVTKKEWALVPGSNGMIVDIQ >DRNTG_00187.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:105688:109968:1 gene:DRNTG_00187 transcript:DRNTG_00187.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLDSLQQADPGKLEPDIRRFVLDIYGAEARKEKEAVISKIPLFIPKVPQQRNGEECGIFVLYFIYLFVQNAPTSFSLDGYPYFLKEDWFAPDDLESFRKKIHSFECSKNIESDTRQQRHLGGSDLYGLTPECREAVSSSESQNVVTKKEWALVPG >DRNTG_07185.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000323.1:3300:5903:1 gene:DRNTG_07185 transcript:DRNTG_07185.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD-ZIP IV family of homeobox-leucine zipper protein with lipid-binding START domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G79840) UniProtKB/TrEMBL;Acc:F4HQC0] MECQKGPIPSIYRSIISTGLAFGAHHWMATLQLQCERIVFFMATNVPTKDSNGVSNIAGRRSILKLAQRMTSSFCEAIGSSKCRSWTKASTKNHEEIFYTSRKNNNEPGEPLGLILCSVSSTWLPISPTTLYNFLREDSRRDDWDVMLFGGPTKTIANIAKGQDHGNMVTIHARSEENNSNPWVVQDSCKNSFEFMIVYSPVDVACMHAVMNGCDSNNIAILPCGFSIIPDNMGTRPLVITSKPEEKAIEEGSLLTIAFQMVASSSPSMEMSMGSLETINNLVSCTLGNIKEAMNYVDE >DRNTG_07185.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000323.1:75:5903:1 gene:DRNTG_07185 transcript:DRNTG_07185.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD-ZIP IV family of homeobox-leucine zipper protein with lipid-binding START domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G79840) UniProtKB/TrEMBL;Acc:F4HQC0] MMASADEIVNPISMDCHSGFFGLEKSMMLETLEHALEELIKMATLQEPMWIRSFETGREILNYDEYIQEFSPKISSNGNVRKRIEASRESGIVFLDISSLLKAFMDAKKWKEMFPFMISKAATMYVIFNGENGNINGSAQLMFTELQMVTPMVPTREIYFVRYCKKLSNNRWAILDVSIDEIEDNIDVSLMKCRKRPSGCIIEEKPNGHCMVTWVEHMECQKGPIPSIYRSIISTGLAFGAHHWMATLQLQCERIVFFMATNVPTKDSNGVSNIAGRRSILKLAQRMTSSFCEAIGSSKCRSWTKASTKNHEEIFYTSRKNNNEPGEPLGLILCSVSSTWLPISPTTLYNFLREDSRRDDWDVMLFGGPTKTIANIAKGQDHGNMVTIHARSEENNSNPWVVQDSCKNSFEFMIVYSPVDVACMHAVMNGCDSNNIAILPCGFSIIPDNMGTRPLVITSKPEEKAIEEGSLLTIAFQMVASSSPSMEMSMGSLETINNLVSCTLGNIKEAMNYVDE >DRNTG_07185.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000323.1:3014:5903:1 gene:DRNTG_07185 transcript:DRNTG_07185.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD-ZIP IV family of homeobox-leucine zipper protein with lipid-binding START domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G79840) UniProtKB/TrEMBL;Acc:F4HQC0] MFTELQMVTPMVPTREIYFVRYCKKLSNNRWAILDVSIDEIEDNIDVSLMKCRKRPSGCIIEEKPNGHCMVTWVEHMECQKGPIPSIYRSIISTGLAFGAHHWMATLQLQCERIVFFMATNVPTKDSNGVSNIAGRRSILKLAQRMTSSFCEAIGSSKCRSWTKASTKNHEEIFYTSRKNNNEPGEPLGLILCSVSSTWLPISPTTLYNFLREDSRRDDWDVMLFGGPTKTIANIAKGQDHGNMVTIHARSEENNSNPWVVQDSCKNSFEFMIVYSPVDVACMHAVMNGCDSNNIAILPCGFSIIPDNMGTRPLVITSKPEEKAIEEGSLLTIAFQMVASSSPSMEMSMGSLETINNLVSCTLGNIKEAMNYVDE >DRNTG_13354.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18050931:18060361:-1 gene:DRNTG_13354 transcript:DRNTG_13354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSYRNGAPKVSSKLDRPPSAGYSTPKPSTKSRQPAGPASGLRRSNSGSNSVKDDAGVPGRVRVAVRLRPRNVEEMEADVDFADCVELLPELKRLKLRKNNWDSETYEFDEILTEFASQKRVYEGVAKPVVESVLEGYNGTVMAYGQTGTGKTFTLGRLGEEDIAARGIMVRAMEDILAGVSPDADSVSVSYMQLYMETIQDLLVPTNDNIAIVEDPKTGDVSVPGATIVEIRDQSSFLELLRLGEAHRFAANTKLNTESSRSHAILMVHVRRSSKGRNDRENFPAENGNASPLVTSFRPPIVRKSKLVVVDLAGSERIDKSGSDGHTLEEAKSINLSLSALGKCINSLAENNAHVPFRDSKLTRLLRDSFGGTARTSLVVTIGPSPRHRGETASTIMFGQRAMKVENMVKLKEEFDYKSLCRRLDIELDKLIAENERQQKDFEDEVEKIRAEAQSRLAEADKTYMIALENEKAKYEEDYMESVKRLEEQLIANKQIISNDTIGAENHARNMENIPGEE >DRNTG_12644.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1285779:1292681:-1 gene:DRNTG_12644 transcript:DRNTG_12644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISATKLKSVDFYRKIPRDLTEASLSGAGLSIIAALSIMFLFGMELNSYLSVSTSTSIIVDRSSDGEFLRIDFNLSFPALSCEFASVDVSDILGTNRLNITKTVRKFSIDPNLKPTGFEFHAGPLPTVNNHGDEVEEEHNEGSISLNSDNFDKYTHQHPILVVNFFAPWCSWSNRLKPSWERASRIMRQRYDPEMDGRILLGKVDCTEEFDLCRRHHIQGYPSIRIFRKGTDIKDNHGHHDHESYYGDRDSESLIAAMEALVRSLPTKSRTLELEDKSDHTVNAVKRPAPLTSGCRIEGFVRVKRVPGNLIISAHSGAHSFDASLMNVSHFISHFAFGKKLTHRMMSELKRLAPHLGRNYDRLTGQSYITDHDHANANVTIEHYLQIVKTELVSTRYSQEVFEEYEYTAHSSLVHSVNVPIAKFHFEPSPMQVLVTEVPKSFSHFITNVCAIIGGVFTVAGILDSILHNAVRLIKKVELGKQF >DRNTG_19443.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000998.1:121209:121761:-1 gene:DRNTG_19443 transcript:DRNTG_19443.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDVIDIETNPRIADCVVAILKNRIRDHRQSLKKYYLSFSDYEDARKKKPNEFITQANWEDLCDYWDNDKTKERAKKAKVSRSYMKTPHNQGSKSFVVVRHELVR >DRNTG_32969.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5760273:5760733:1 gene:DRNTG_32969 transcript:DRNTG_32969.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVDAIRDIEKTFIPSPLKLDTLRIMGVVRRYHPRVHILLISTIESIESRPPAPPASPSPPPPAPFDLVPAAVEDPERDIDT >DRNTG_19816.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5476246:5478633:-1 gene:DRNTG_19816 transcript:DRNTG_19816.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLSTVHSRLASHLLPSSVPSDVLSFHNDASTSFGALDIRSGAHDSPVDFILESWLHCKLPTGALNITTLFGFLNASTEAPHLLMEFIQGSPTSLVLFMDLLPRKDLVLHPEYLAEFYQDTQLEKLRQELNNLPYVQPYCSSSLYIRSVLSPTAVAVNINCGADGESSMEEVMGAQLSSVAKEVLQIWLDKCACSSHQMEESKKKCFDSKR >DRNTG_19816.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5475859:5478762:-1 gene:DRNTG_19816 transcript:DRNTG_19816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLSHQAFLHPPKPSSLPRIKPRASMSRSRSPSPLLDFPYLPPPHRELMLSLLSTVHSRLASHLLPSSVPSDVLSFHNDASTSFGALDIRSGAHDSPVDFILESWLHCKLPTGALNITTLFGFLNASTEAPHLLMEFIQGSPTSLVLFMDLLPRKDLVLHPEYLAEFYQDTQLEKLRQELNNLPYVQPYCSSSLYIRSVLSPTAVAVNINCGADGESSMEEVMGAQLSSVAKEVLQIWLDKCACSSHQMEESKKKCFDSKR >DRNTG_19816.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5476156:5478762:-1 gene:DRNTG_19816 transcript:DRNTG_19816.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLSHQAFLHPPKPSSLPRIKPRASMSRSRSPSPLLDFPYLPPPHRELMLSLLSTVHSRLASHLLPSSVPSDVLSFHNDASTSFGALDIRSGAHDSPVDFILESWLHCKLPTGALNITTLFGFLNASTEAPHLLMEFIQGSPTSLVLFMDLLPRKDLVLHPEYLAEFYQDTQLEKLRQELNNLPYVQPYCSSSLYIRSVLSPTAVAVNINCGADGESSMEEVMGAQLSSVAKEVLQIWLDKCACSSHQMEESKKKCFDSKR >DRNTG_08607.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27917154:27918582:1 gene:DRNTG_08607 transcript:DRNTG_08607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISARKTEEAVEILKLMSATYIVALCQAVDLRCAEENFKFVVKKLVSQSLKMNANEVQVKSGFWKKKLIDVIEEENVFKLLKLIEDQVQLQRRSSEAAAQCVLVSFDKK >DRNTG_08607.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27917154:27918011:1 gene:DRNTG_08607 transcript:DRNTG_08607.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISARKTEEAVEILKLMSATYIVALCQAVDLRCAEENFKFVVKKLVSQSLKMNANEVQVKSGFWKKKLIDVIEEENVFKLLKLIEDQVQLQRRSSEAAAQCVLVSFDKK >DRNTG_14270.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:932274:933697:-1 gene:DRNTG_14270 transcript:DRNTG_14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIARTFLRARVVKPSSIPKLSPSTIAPSPPARRLFSSRSPAQLGCLAGSLLPLHSAVAAARLTSRLSPTTASLSCRALSQGVGLSVPR >DRNTG_20808.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22094911:22098805:-1 gene:DRNTG_20808 transcript:DRNTG_20808.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIETDSYQLFPHIVRLQYVPDKQKLEVDKKQSSNWAHAFPITEVLEAKNLLSELQVRPTSTSEQPQTDGFQSHPVLSDMNTPDEHASPSSVTSVTTELVLGTPLEAEPASQAKAEPRSIAEVHVQSYSSCCSSDSWLKGKKKPTGCFSPDSQSEPRDFKAIFHGLVDKVGRQEEAIWAISQAILSCRLGAERLRSASQKGDIWFSFHGPDKVGKRKVALALSELMFDSRENFVSADLNCQDDIVGPNAICYIPESDVYDMNFRGKTLTDHIAVELSKKPWSVVFLENVDKADLLVQNALSQAIRTGKFSDSHGREISINNAIFITTANTVHGKECARFSEEIVLSAQRCQMKISLLDLLADAPSIMPKGNVLVISSQKESSAFITKRKLDAPDDIREHRANKTFKTFLDLNLPVEELSGTDSQCSSADENNSDSWVEEFFKSMDERVNFKPFDFDVLADNIIKEISYKFLRTIGPDYILEIDIKAMLQILAASWLLEERSALSNWIERTLCRSCNEARQCRVRNASSPSVLRLVACEDELMEHQAPGILLPSRISLT >DRNTG_20808.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22094911:22098805:-1 gene:DRNTG_20808 transcript:DRNTG_20808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVGSARACVAGDAARALDEAVAVARRRAHAQTTSLHVVSALLSPSFHSPMLRDALARARSAAYSPRLQFKALELCFGVALDRLPSAHPPPAEPAVSNSLMAAIKRSQANQRRNPDTFHLYSGGAAGATSVAGVKVELQQMLMAILDDPVVSRVFGEAGFRSCDIKLAVLRPPPQILRFPRSARCPPLFLCNFDSPFPFPLSSDVGDENCRRIGETLTKSSCKNPILIGVGAAEAGRDFARAIEQKNASIVPAELRGVKLLRIENWNSGEVEEMGKGAGVVVILGDLKGLVECSTISGVVEEVTAALLAGKGRVWVMGWSATYETYMKLLSKHPCLDKDWDLQLLPITSVKNSSGGSLTRSHSLMESFVPFGGFFPLACESKYTMNSTCQPMFLRCQLCNDMYEQDVAAIAKGWSNTSKEDQRQEILPSWLRNTETDTSNKGLDFTKAKDDKALLNAKVLDLQKKWDDNCKRLHCGSQMIETDSYQLFPHIVRLQYVPDKQKLEVDKKQSSNWAHAFPITEVLEAKNLLSELQVRPTSTSEQPQTDGFQSHPVLSDMNTPDEHASPSSVTSVTTELVLGTPLEAEPASQAKAEPRSIAEVHVQSYSSCCSSDSWLKGKKKPTGCFSPDSQSEPRDFKAIFHGLVDKVGRQEEAIWAISQAILSCRLGAERLRSASQKGDIWFSFHGPDKVGKRKVALALSELMFDSRENFVSADLNCQDDIVGPNAICYIPESDVYDMNFRGKTLTDHIAVELSKKPWSVVFLENVDKADLLVQNALSQAIRTGKFSDSHGREISINNAIFITTANTVHGKECARFSEEIVLSAQRCQMKISLLDLLADAPSIMPKGNVLVISSQKESSAFITKRKLDAPDDIREHRANKTFKTFLDLNLPVEELSGTDSQCSSADENNSDSWVEEFFKSMDERVNFKPFDFDVLADNIIKEISYKFLRTIGPDYILEIDIKAMLQILAASWLLEERSALSNWIERTLCRSCNEARQCRVRNASSPSVLRLVACEDELMEHQAPGILLPSRISLT >DRNTG_07527.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14440229:14445124:-1 gene:DRNTG_07527 transcript:DRNTG_07527.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSVDSLGEAEAERHPLIMAQSLDHVIDITSMDFASSSTSCGDGPGNSDGLHHQDRSSASAQTLGPQSSLSLPTVQNSRNIPVMRRNDNYGRRRRSPMNSGFWISVELIASLSQITAAIIVLLLSRHEHPRSPLFEWIIGYAAGLAATLPHLYWRYIYHNSRGGPRQNASQLRWYSSQNNSTESHADNAVNATQGLGAEQHWNLAGLCFGRNLVASNPRLISFMDHFKMALDCCFAVWFVVGNVWVFGGHSSAADAPNLYRLCIVFLAFSCIGYALPFILCATICCCLPCIISFLGIREDMPNNRGATADVINDLPCYKFKYKRGQNGDDSEISSENMDEVGILAAGTDKERLISAEDTVCSICLTKFTDGIELRELPCSHFFHMECIDKWLKLNALCPLCKSEVGGSTAAFSSANSTSHQNEQRVGSSADHL >DRNTG_08387.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4543407:4545131:-1 gene:DRNTG_08387 transcript:DRNTG_08387.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLIPFLGLGFMLLIFFNSRRRSKLPPGPWRLPILGNLHQLGSLPHRSLCKLAAKHGPLMHLHLGKIPTIIVSSPDLAFQILKTLDLSFASRPSSSSGAEFAYGGNLSKYSEHWRQVRKLGMLEIFSMKRVQSFRSIREEEINLLIKTIHRISSSSSDVNLSKLFVIMSNNITSKEAFGKRFSDDGDCNWSPDHDIFKEIIELLSEFLLREMLPSLELWRRSSNVRVKLEKSFKRMDQLFEREIDGHCSGDDCEKDLLDVLLKHQGDSSFGVAFTRDDIKAIVQEIFFGGTDTTSSVLEWVISELVRNPRVMNKAQDEVRGVVGPNKGKVEESDLQELRYLKLVINETLRLHPPAPLLVPRECREECNVQGFDIPVGTRVFVNVWAIMKDPNSWENPEEFIPERFEESAINFKGQHFQFIPFGAGRRMCPGMQLGIAIIEIALANILYHFNWELPAGADMDMSETVTVVLHKKSPLILKAIPRINLV >DRNTG_09452.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21278285:21278915:-1 gene:DRNTG_09452 transcript:DRNTG_09452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQFGLQGNLLLGNALITMYSKCNAMRKAELVFQRMPAYDVVTCNALIGGNVENEEQREAMQVFSLMRRVGIRGNYITMVNVLGSCSAPQDLLQFGMPLHAHIISCGFESDEFVKNSLLTMYSKCGDFNSSKFIFDRLAIRTTVSWNVMVASKAHHFHGEDALKLFTEMRYEGVELDQFSLSAGLAASTSLASVEEGQQLHSLIIKF >DRNTG_06580.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:542072:543041:-1 gene:DRNTG_06580 transcript:DRNTG_06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARCSAIVPNPNPNPNLSDEGFTRPISTLINLRGSRNSSVSRAVLTKNKPSTPSSRSRVDSPSPASRAIS >DRNTG_09794.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4401416:4404476:1 gene:DRNTG_09794 transcript:DRNTG_09794.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYIHVVLLFVSIFSLFLLYSSSSLVPVRSEYEVSLLFEGWLVKLNKSYQDLAEKEKRYEIFKDNLKYVDEHNAGNHTFTLALNVFADITDEEYRATYLGTLPTPTGIDMIDDNGNESDEYNLNETVDAVPDSVDWRDLGAVQPVRNQGGCFSCWAFTVVAAIEGINQIVTGDVVALSEQQLVDCERKSCDPYDLDRPMQYVMKNGGIDTRQDYPYTGVYAKCNTTKESNKVVTIDGYKRIPSNNEKILKTHVARQPIAGAVEGYERAFKLYGSGVFTKYCGTALDHAVTLIGYGTEGTQDYWLIKNSWGDFWGEAGYIRLERNVNDPAGKCGIAKTALYPTKVT >DRNTG_21805.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17490743:17497349:-1 gene:DRNTG_21805 transcript:DRNTG_21805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMSAELMSPLPVSPIGFEGYEKRLEITFSEPPMFVDPQGRGLRALSRSQIDSILDKASCTIVSELSNKDFDSYVLSESSLFVYPYKIILKTCGTTKLLLSIPVILELASELSLSVLSAKYSRGTFIFPAAQPAPHRSFSEEVTVLNHFFGGLASGGNAYVIGDPASPARNWHIYYATEKPERRPMVTLEMCMTDLRSDKASIFFKNTADGHPSLAQEMTKRSGIAEIIPEMEICDFGFDPCGYSMNGIYGPAFSTIHVTPEDGFSYASYEAMGFNPAVVPYGDLVKKVLKCFAPKNFSVAVTIFGDQTVDGAWSVEDLGGYACNDLIEQELPGGGVLIYKSFSATEVRATLPEELTLKYWEEEDVEVKMEKFVVAF >DRNTG_00293.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2031821:2032563:1 gene:DRNTG_00293 transcript:DRNTG_00293.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASIPSFFKIYLPNLAANRLLIPPKFVRHLRHETKGMVHLKGPTGKTWNVELVEDGKEMAFEKGWKEFVADHSIVLGDFLLFGYEGSSCFTVLVYEKTACQRQFVSSPQVD >DRNTG_34641.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:209061:213896:-1 gene:DRNTG_34641 transcript:DRNTG_34641.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator [Source:Projected from Arabidopsis thaliana (AT1G67710) UniProtKB/TrEMBL;Acc:Q0WRT0] MPGENAGHLTTRAEAFPYGLRVLVVDDDPTWLKILEKMLKKCSYEVTTCGLARVALHILRERKDKFDIVISDVNMPDMDGFKLLEHVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPVRLKELRNIWQHVYRKKIHEVREIESHDSSEDIQLLRSRYEEFDENKVFGGVDSVRKRKDMDNKDLADQEYGDSSAAKKARVVWTVDLHQKFVDAVNQIGFDKVGPKKILDLMSVPGLTRENVASHLQKYRLYLSRIQKQNEVRIPSRNTQSEFCPKDPAPPPPPPPLMTQSSISTQQNSVASRYVGTTQKIQAQGIFSDIQVDDLKSIVSVQVTDAKKGLRSDFIDPPKINSVSQLDVLVSFMPNVDYRPLEQAISKQQPPSSNIAVKQVMQYPKNNIENGGLPQGFSILPQPNQEHKPLDHFHTAPPLISRTCTTTEREKTGITNIKPVSMDHRKTISPITCAIDSVSVHVEHGITNSQAFTMPPELTSLQRTESLYLDQPGNYGLLSTSQESSMENGVGLVSFPDDLQLYSLQGYGCLENVGLNGMGIFEYDSMSLTEVHGNLFDAGEFDLKQFYDTTDYPPLDGCLFT >DRNTG_07253.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22894611:22895978:1 gene:DRNTG_07253 transcript:DRNTG_07253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSISLLALLLLVLSPVMADPDMLQDICVADLNSTVKVNGFVCKADAAVSANDFFFAGLAKPGATNNTMGSLVTGANVEKIPGLNTLGVSLSRIDYAPGGLNPPHTHPRATEMVFVLYGTLDVGFITTANKLFSKTITTGDIFVFPKGLVHFQKNNADVPAAVISAFNSQLPGTQSIAATLFASNPTVPDNVLTKAFQIGTKEVEKIKAKLAPKK >DRNTG_07253.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22894882:22895978:1 gene:DRNTG_07253 transcript:DRNTG_07253.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSISLLALLLLVLSPVMADPDMLQDICVADLNSTVKVNGFVCKADAAVSANDFFFAGLAKPGATNNTMGSLVTGANVEKIPGLNTLGVSLSRIDYAPGGLNPPHTHPRATEMVFVLYGTLDVGFITTANKLFSKTITTGDIFVFPKGLVHFQKNNADVPAAVISAFNSQLPGTQSIAATLFASNPTVPDNVLTKAFQIGTKEVEKIKAKLAPKK >DRNTG_05392.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000241.1:9451:9873:1 gene:DRNTG_05392 transcript:DRNTG_05392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSTRGTIPTRFLKRTRSTSAPFPGFSEEDEEGREATPVPAGSARPRRR >DRNTG_24456.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001303.1:22937:23274:-1 gene:DRNTG_24456 transcript:DRNTG_24456.1 gene_biotype:protein_coding transcript_biotype:protein_coding LYTSGNDMFTRAHQFDRTSRSSTGPKV >DRNTG_19083.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:52283:53256:1 gene:DRNTG_19083 transcript:DRNTG_19083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVDAVVVPASKEDAAGAEHRQSSTTVSHDDPDQATRDMIEANKKLDEKARKVFVPKKKKWVGQSRLNKYVQELIRIFFNCPMDSTVVWKNDAVSTTRDKLYTLLEGKEMVDAFVCIIQKSLSKMPYPYKKRASITRLLPLFMSKQDDAHETTMAMIGDAVWKLHEVKIVILPIIMNGHFHVVVLDNEKQEYRHYYSCQSAGYDKDALDMNLFDICVDMEFGVSATTKYPLVHDMETPRQK >DRNTG_26111.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20838729:20839316:1 gene:DRNTG_26111 transcript:DRNTG_26111.1 gene_biotype:protein_coding transcript_biotype:protein_coding RYHLLAGGAAFDPLSWNLRLKIAIGAARGLAFLHTSEKQIIYRDFKASNILLDKNYNAKLSDFGLAKHGPTGGDSHVTTRVMGTYGYAAPEYVATGMYDWWC >DRNTG_17539.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29050173:29052725:-1 gene:DRNTG_17539 transcript:DRNTG_17539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLMDATTPKYSKDRYDEIVKEVSSYLKKVGYNPEKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEAFDMIQEPKRPSNKSLRLLLQDVYKIGGIGTVPVGRVETGVLKSGMVVTFGPTGLTTEVKLVEMHHEDLPEVGPGDNVGFNVKNIVVKDLKRGFVASNSKEDTAKEAANFISEVIIMNYPGQISNGYALVLDCHTSHITVKFAEILTKIGRQSGKELEKEPKFLKNGDAGLVKMVPTKPMVV >DRNTG_20241.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001105.1:415814:417802:-1 gene:DRNTG_20241 transcript:DRNTG_20241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINTKLYIFCRWWADLGLVDKDLSFSRDRLVENYLYAMGFASEPKLSFYRMILTQVHCLITTIDDIFDVYGTLDELELFTAAVDRWDANDIDHLPKYMKICFLGLFNSTNETAYKVLKMKNVNCIPYLKKSWVELCKAYIVEAKWAHNDYTPKIKEYLENAWISIGAAPGIVYSFFCTSETISNEALENLENYPTIMRQSCLILRLFNDLGTSIEEVKRGDVKKFIQCYMHENGVSEMIARECLQDLIQETWKELNTSRFTINSPYELSFTNLAIDIARMSHYFFDYGDGFGKPNHENKDRFFSLMVEPISLG >DRNTG_20241.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001105.1:417524:417802:-1 gene:DRNTG_20241 transcript:DRNTG_20241.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINTKLYIFCRWWADLGLVDKDLSFSRDRLVENYLYAMGFASEPKLSFYRMILTQVHCLITTIDDIFDVYGTLDELELFTAAVDR >DRNTG_16670.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30854930:30856335:1 gene:DRNTG_16670 transcript:DRNTG_16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIFIPSKKPVKQSLIETVGITTFGSFIEASSGFGQSSDSIHCHHGIMSAEIGQLSTIPPSQRSLDSIKDYSKRKHEIPMEAFQGGFILEKIDGPLSVGQLTLLNTDIDTTPSITFNYFSHPSDLHRCVLGIRTIEKIIQTKQFADLIEDDAITMEMLLNMSVKANVNLIPKNTNDTASLEQFCRDTVITIWHYHGGCHAGKVLDHKYRVLGVDNLRVIDSSTFNSSPGTNPQATVLMLGRYMGVKIPERREWSMKGNQKGLVDLYMIFCG >DRNTG_16670.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30854930:30855889:1 gene:DRNTG_16670 transcript:DRNTG_16670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIFIPSKKPVKQSLIETVGITTFGSFIEASSGFGQSSDSIHCHHGIMSAEIGQLSTIPPSQRSLDSIKDYSKRKHEIPMEAFQGGFILEKIDGPLSVGQLTLLNTDIDTTPSITFNYFSHPSDLHRCVLGIRTIEKIIQTKQFADLIEDDAITMEMLLNMSVKANVNLIPKNTNDTASLEQFCRDTVITIWHYHGGCHAGKVLDHKYRVLGVDNLRVIDSSTFNSSPGTNPQATVLMLGR >DRNTG_08735.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5046745:5048326:-1 gene:DRNTG_08735 transcript:DRNTG_08735.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLPEYAWKLYKRSRLIDMVDPKLQTDEFVESDVLHVCHIALLCLQPYPNLRPPMSEVVSMLTCKSELSTIPVKPAFINRKALICSNVSWESLSDRPPSPSLSLSQLQGDSPLILPAENPHHLDDTSLNLSSQCER >DRNTG_08735.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5046745:5049470:-1 gene:DRNTG_08735 transcript:DRNTG_08735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRLNFQEFLASEPPAQHVYVLEIQSPKPSVSISFFLLGATACLVMIFLVFFLFWKFVKPETLWKMIQFNRTSRVPDYISSDLRAVSYFDFKTLRTATKNFHPRNQLGRGGFGPVYEGELDDGRRIAVKQLSLGKSQQGESEFLSEVKMITNIQHKNLVRLVGCCSEGAQRLLVYEFMKNKSLDCIIFGENGLFLSWNARFQIILGIARGIQYLHEDAHLRIVHRDIKASNILLDDKFQPKIGDFGLARFFPEDQAYLSTTVAGTLGYTAPEYAIRGELSEKADIYSFGVLVLEIISFRKNTDHTLPSEMQYLPEYAWKLYKRSRLIDMVDPKLQTDEFVESDVLHVCHIALLCLQPYPNLRPPMSEVVSMLTCKSELSTIPVKPAFINRKALICSNVSWESLSDRPPSPSLSLSQLQGDSPLILPAENPHHLDDTSLNLSSQCER >DRNTG_20251.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14677790:14678779:-1 gene:DRNTG_20251 transcript:DRNTG_20251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIKRILDVQEPQEHWQFKLNVHAMQHKNYSCLYLSMMKHKTLSRKKNGNGNGNENGMPAHVPSIW >DRNTG_15696.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6534893:6545104:-1 gene:DRNTG_15696 transcript:DRNTG_15696.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCINLIMDELKSRGIGNPYTLAYQSRVRPVQWLKPYTDEVLIELGQQGVKSLLAVPIRSGVGLGGGQFSGVVDQVAA >DRNTG_12374.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1819015:1824139:-1 gene:DRNTG_12374 transcript:DRNTG_12374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLGYISPDRCRRKALPLTFFQTEHDNNGEQHWEAPQEECQKGEILGEDALQLQRVLANFIEASDVSVQNMETTQRCHEASYKNLEHQLGGILDTLCKEQQVFEKASQVPCREDVVVNDNEEVGWNEYDVVEIERIQEEPFIQYDDCLSGQYVCEQEMMQGELAKKD >DRNTG_29165.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5216783:5219589:1 gene:DRNTG_29165 transcript:DRNTG_29165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWRRCLRSALHQASSTIGRNHQASVAPYSTFTKPRPSPAGQALFQMRSYDFAVKRIPAPFHQFRQQLGICSSRYLLAESGTDVVPISSPLMPDLGSGRKTEKKGVASKPLKVQAIKKDVRQSPKKVNLVAKLVRGMRVEDALLQLQVTVKRAAKTVYQVIHSARANATHNHGLDPDRLLVDEAFVGKGLYLKRLSYHAKGRSGIMVRPRCRLTVVVRETTPEEEAKIAKLRVSNFKKLTRKERQLVPHQLIETTPRWGRKRKESIC >DRNTG_12822.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32863345:32868848:-1 gene:DRNTG_12822 transcript:DRNTG_12822.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein VACUOLELESS1 [Source:Projected from Arabidopsis thaliana (AT2G38020) UniProtKB/Swiss-Prot;Acc:Q93VQ0] MAAVSVAAEWELLYNRYYRKPEIYSMRWGRMDLSRHRVACAPFGGPVAAIRDDSKIVQLLAESARRKLLIFSSSGQPLASYLWDRPGGRLVGMAWDDDLFLLCVVQDGTVYRFDIRAELVGQFSMGSECFEQGIIDCVFWGNGIVCITENYQIFCVPDLKNPRPCKLADPGLEEYPLCVAVIEPQYTMSGNVEVLLGVGDYVLLVDEDGVQPLGAGIGPLQKMAVSQNGKLLATFTHDGRLLVIPTDFSRIIFEFTCESALPPDQLAWCGMDTVLLYWDELLLMVGPNGDPVRYSYDEPILLIPECDGVRILSNSSMEFLHRVPDSTVSIFQIGSTSPAALLYDALDHFDRRSAKADENLRLIRSSLPEAVEACIDAAGHEFDVSRQRTLLRAASYGRAFCSQFPRERFQEMCKILRVLNAVRNYEIGISLSIQQYKVLTAPVLIDRLINANHHLVALRISEYINLNPEVVIMHWACAKITASAAIQDAPLLETLLDKLKLCKGISYAAIAAHADNCGRRKLAALLVDHEPRSSKQACSIAQAKKFFLSFVCINFIC >DRNTG_12822.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32860520:32862950:-1 gene:DRNTG_12822 transcript:DRNTG_12822.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein VACUOLELESS1 [Source:Projected from Arabidopsis thaliana (AT2G38020) UniProtKB/Swiss-Prot;Acc:Q93VQ0] MKVRTEFKVPEKRWYWLKALALSTRGDWAALEKFSKEKRP >DRNTG_12822.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32860676:32868848:-1 gene:DRNTG_12822 transcript:DRNTG_12822.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein VACUOLELESS1 [Source:Projected from Arabidopsis thaliana (AT2G38020) UniProtKB/Swiss-Prot;Acc:Q93VQ0] MAAVSVAAEWELLYNRYYRKPEIYSMRWGRMDLSRHRVACAPFGGPVAAIRDDSKIVQLLAESARRKLLIFSSSGQPLASYLWDRPGGRLVGMAWDDDLFLLCVVQDGTVYRFDIRAELVGQFSMGSECFEQGIIDCVFWGNGIVCITENYQIFCVPDLKNPRPCKLADPGLEEYPLCVAVIEPQYTMSGNVEVLLGVGDYVLLVDEDGVQPLGAGIGPLQKMAVSQNGKLLATFTHDGRLLVIPTDFSRIIFEFTCESALPPDQLAWCGMDTVLLYWDELLLMVGPNGDPVRYSYDEPILLIPECDGVRILSNSSMEFLHRVPDSTVSIFQIGSTSPAALLYDALDHFDRRSAKADENLRLIRSSLPEAVEACIDAAGHEFDVSRQRTLLRAASYGRAFCSQFPRERFQEMCKILRVLNAVRNYEIGISLSIQQYKVLTAPVLIDRLINANHHLVALRISEYINLNPEVVIMHWACAKITASAAIQDAPLLETLLDKLKLCKGISYAAIAAHADNCGRRKLAALLVDHEPRSSKQVPLLLSIAEEDTALVKATESGDTDLVYLVLFHIWQKRPPLDFFGTINARPLARDLFIKYVRCYKHEFLKDFFLSTGQLQDVAFLLLKESWEIEKNPMASKGTPLHGPRIKLIEKAQNLFAETKEHMF >DRNTG_15451.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1529869:1533045:1 gene:DRNTG_15451 transcript:DRNTG_15451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFFRLDDDGNLRAYSSPKGSGVANDRWAAVSDQCEVFGWCGNMGVCSYNDSSPICGCPSENFVFSDPNDHTQGCKRITEIQDCPGNSTMLQLDHTQFLTYPPELSTEEFFVGITACRLNCLSGSSCVASTSLADGSGLCYLKVSNFVSGYHSPSLPSTSFVKVCAPAAPNQPPPAPDQSGNGSSGMSDGVVAGVVIGVILGLVVLEVALWWCFCRNNAKFGSTSAQYALLEYASGAPVQFSYKELQRSTKGFKEKLGAGGFGAVYRGVLVNRTVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNSSLDNFLFSGESSGKLNWGSTV >DRNTG_20448.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4559204:4559970:1 gene:DRNTG_20448 transcript:DRNTG_20448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEFVDVATMAPANLDRSMETPSQSSPSKPLSGGAARLLAAVLQALVMVTALALFFLFAGVAAFVLLHLLVAGRALHRRPHPSPPSPSPGLSTKDLKLLPCSKYSRASMGASDCPICLEAFRDWDRVRVIPGCGHEFHAPCVDKWLVKTPACPICRRPVRSSGGVHP >DRNTG_23721.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:786238:787111:-1 gene:DRNTG_23721 transcript:DRNTG_23721.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSLRLLRLARRNPSPLDHLHGILRPGTPPWRLWSSACESTSASNQPSQRVSALVDEISGLTLLEVVDLTEVLRKRLGVEEMPFMAVMAPGTGVGGGGGGLAGANAVAEKVEEKKEKTAFDLKLESFDAASKIKIIKEVRSFTDLGLKEAKDLVEKAPAVLKTGVPKEEAEKIAEKLKELGAKVVLE >DRNTG_08920.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27395429:27396843:1 gene:DRNTG_08920 transcript:DRNTG_08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFPYHQQLPFLPFTPIDMPLYQPPQSHHLLEQDHMINTSHVISPYFPSESSTSTILVPEEKLPKISMEKKKRKRRRDATDISESKRKKESKKKIKDDKDLPKDYVHVRAMRGHATDSHSLAERVRREKISLRFKMLQDLVPGCDKVTGKALMLDEIINYVQSLQNQVEFLSMKLQTMNPMLYDLFGVNFDVLTAISQESCGFPAQVGFNLDNMCPFQ >DRNTG_09710.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:743473:746625:-1 gene:DRNTG_09710 transcript:DRNTG_09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDKRITKFAEDKFQRDGINVKTNFKVVKVSNKAITMTNPSTGEVSVPYGMAVWSTGIGTRPVMMDLMKEVGQAGRRVLGTDEWLRVLGTNNVYALGDCATISQRK >DRNTG_00920.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21483857:21484775:1 gene:DRNTG_00920 transcript:DRNTG_00920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLNNQDAIKKLKTELDEQVGVNRVVNESDIKNLIYLQAVIKESFRLTPSTELLFPRETVGDCTVAGFQIPAGTQVIVNGWKLHRDPHVWQDPLEFRPERFLSSHAATMIDVKGQSYELIPFGTGRRLCPGISMALHVMHLTLARLIQSFELKPVSSVPAELFEGLFSMTSYSTPLMVEITPRLSPQLYQS >DRNTG_00920.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21483196:21484775:1 gene:DRNTG_00920 transcript:DRNTG_00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEINTCLKELRTLCGYDTTNNNINNNRYSSIVTVDINEWFGDLNFNIVFQMVAGKRLFGSGGGSDKAWRFRKAAHQFFHLLFVSVPSDIFPWLEWMDLGGHVKAMKAAAKEMDSLMVKLVEEHRERRASRVTTGDTDFMDVMLSIMENDHELQDHFDKETLIKATSLNLILGGSDTTAISLTRVMAHLLNNQDAIKKLKTELDEQVGVNRVVNESDIKNLIYLQAVIKESFRLTPSTELLFPRETVGDCTVAGFQIPAGTQVIVNGWKLHRDPHVWQDPLEFRPERFLSSHAATMIDVKGQSYELIPFGTGRRLCPGISMALHVMHLTLARLIQSFELKPVSSVPAELFEGLFSMTSYSTPLMVEITPRLSPQLYQS >DRNTG_30224.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:124679:125831:1 gene:DRNTG_30224 transcript:DRNTG_30224.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGKSKKMVFVTVGTTCFDALVKAVDSPHVRHELWSKGYTHLVIQMGRGSHFPSKFSGEDGSLAVDYFTFSPSIADYLKSASLIISHAGSGSIFETLRLGKPLIVVVNEDLMDNHQRELAEELADKKHLFCASPQTLHQIINGMDLDSLVPYPPGDSVPVAKLINRFFGFPDN >DRNTG_20957.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1230698:1231427:-1 gene:DRNTG_20957 transcript:DRNTG_20957.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSELLLHSLSSIPASSDHNPLHITASLGYTDLTNELIIRNPHLALELNPRESIQQRRDRSECKKPYRFDGAGHAAGVTERARGLGTRRTDPCRRL >DRNTG_28536.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6332570:6336579:1 gene:DRNTG_28536 transcript:DRNTG_28536.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein 13 [Source:Projected from Arabidopsis thaliana (AT3G07560) UniProtKB/Swiss-Prot;Acc:Q9SRR0] MASDNQGVSPPPKPWERAGSSSGPAPFKPPSSGSTSDVVEASGTAKPGEIVSNVEGNASRNTLGRPVPPRPWEQNYGSNYGGYGTGYGTVNNAGYRPGMYSSYGGFGGPSGGYGYGNSMYNGYRGSGMYGGMYNSGYGGTMGSYGTMGSYGMGMGTGTGMGMGMGGPYGNQDPNNPFGPPSAPPSFWMSFLRVMSGVVEFFGRVSVLVDQNTHAFHMFMTAMLELFDRSGLLYGELVRFVLRLLGFMTRRKKNNKVGARDAPGLPAAPEKKYIEGRKDANVSWDGVWSDGN >DRNTG_18013.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24550508:24551478:1 gene:DRNTG_18013 transcript:DRNTG_18013.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVENPAGRGPASGPHNFFFLGGHQEGVTRVISPRQRRVGGKDRIAEIEAYQIREGLRQGIHILDARYSGYVQGGQAVQFSNRVTAARLLALPRREHRRLMSVPQVQARTAQERSSSRQSQRRM >DRNTG_18013.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24548679:24551385:1 gene:DRNTG_18013 transcript:DRNTG_18013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCTSVLNLNGCWLITFSFLLQFLPLNRFNRTVSIFSDASWINESSLVGLGYLITLNDNIFLLAGSNLATLDPPILAEVAAMTLALDECIKRNSRLSYIFTDNMRLINLVKDFQISVPWHLESKARRLIALLQQL >DRNTG_18013.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24550508:24551385:1 gene:DRNTG_18013 transcript:DRNTG_18013.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVENPAGRGPASGPHNFFFLGGHQEGVTRVISPRQRRVGGKDRIAEIEAYQIREGLRQGIHILDARYSGYVQGGQAVQFSNRVTAARLLALPRREHRRLMSVPQVQARTAQERSSSRQSQRRM >DRNTG_03722.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12131520:12133329:-1 gene:DRNTG_03722 transcript:DRNTG_03722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSILTLLYQLTEISEISVLLQVLLQHQLQHLKKLFVKK >DRNTG_15991.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5183594:5184851:-1 gene:DRNTG_15991 transcript:DRNTG_15991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCTHWQACARSSSTPSHSHPPWLLAMPARGSIQCCYALDPSFQRWHCLPLRFIPHSIRPLGAVGLGLIICKLVSSVSSLRIALCNPFSKQFHLLPCLSKPRSNPAVGFITSGNSSSFRVFVAGGTSGACCYESTLEVYDSRDGDWRHAGTMPVEFAVRLTVWTPNESVHAGGTIYWMTSARAYSVVGFDVGSGSWREVRAPMVLE >DRNTG_25995.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1008212:1010329:-1 gene:DRNTG_25995 transcript:DRNTG_25995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKKARSQNGTDLYFNISHSFWAVNSPLIFFPFSIQVMSKGGLIIQDVVAPLMQQVADAKCILATKRSKSMHNGSSTADGLLQEIENEVLLIKDSFQRLETWIKDVENEVSVVTKNLDDILEGNTGRRVFDSGLQTIHKSIFTLREHVTGPLGQLRCKSSSVGELGGGQCSLTHSLSPTITTEPHFRTNSATQRFEVTKKYEKISPTLRRCLLTLAIFPQDSVIEKRLLIHWWVGEGLVTPTSDHTAEEFGDRYIKDLISEGLIVPVCRGHSSQVKHLRVEQWIREEVIILAMLDKAFSFNGSGELIEALTSSPRACLKESPQQQGTIQMPAITDFSWNNFKTCFNVDKHNLRFERSRFMAMRNAAVMQLGRWQTSPKHHIETVSIEFLEWLACFNKLKYLSLRGISNITKLPRSIGELTDLMILDLRACHNLENLPVEVTMLKKLTYLDVSECYLLDHMPEGLGSLTELRVLKGFVIGNSRSRDPCRLGELARLQKLWKLSISIGRDTAGGKREFHELSQFTARRSLTITWGVTALAPSNGVRNAPSGKSSAGINLSPPPYLEKLDLRCFPRNMAPEWLTCGKFLNLQKLYIRGGSLRRIGVGTELKSVEVLRLRFLSEFRMEEHELRKIFPRLVILEVQDCHRLASTVCKLCSDQLIANPK >DRNTG_25995.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1008181:1009990:-1 gene:DRNTG_25995 transcript:DRNTG_25995.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNGSSTADGLLQEIENEVLLIKDSFQRLETWIKDVENEVSVVTKNLDDILEGNTGRRVFDSGLQTIHKSIFTLREHVTGPLGQLRCKSSSVGELGGGQCSLTHSLSPTITTEPHFRTNSATQRFEVTKKYEKISPTLRRCLLTLAIFPQDSVIEKRLLIHWWVGEGLVTPTSDHTAEEFGDRYIKDLISEGLIVPVCRGHSSQVKHLRVEQWIREEVIILAMLDKAFSFNGSGELIEALTSSPRACLKESPQQQGTIQMPAITDFSWNNFKTCFNVDKHNLRFERSRFMAMRNAAVMQLGRWQTSPKHHIETVSIEFLEWLACFNKLKYLSLRGISNITKLPRSIGELTDLMILDLRACHNLENLPVEVTMLKKLTYLDVSECYLLDHMPEGLGSLTELRVLKGFVIGNSRSRDPCRLGELARLQKLWKLSISIGRDTAGGKREFHELSQFTARRSLTITWGVTALAPSNGVRNAPSGKSSAGINLSPPPYLEKLDLRCFPRNMAPEWLTCGKFLNLQKLYIRGGSLRRIGVGTELKSVEVLRLRFLSEFRMEEHELRKIFPRLVILEVQDCHRLASTVCKLCSDQLIANPKACS >DRNTG_05645.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22383560:22384706:-1 gene:DRNTG_05645 transcript:DRNTG_05645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFQWMSKKSKSKKEVLHDEQVGVRDIVIYKKGDHEGDNEGNENKMFSFGPQRESCRSCFYKTLNLKHMKNIYGNQHDFWEKRREVFHPSKKDESAAIVPCKVQKVKDDRKVKNGERKKPVSKMKELLKWAATSKGTTAELKAPSDYSSTRSSKTSSRWDIGSCSTSSSTFSSSSVSSRNLQDPPDNLQSKKEDYSKRTGHWISTDSHFVVLELREDRYKFNNVGSFEETNN >DRNTG_11421.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:916417:918664:-1 gene:DRNTG_11421 transcript:DRNTG_11421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPNPFPANTKSTPDSRPSATRSIWLPFNKGRSHPTGPPEKTALSPGFSKPWRLKSRTTIVSKAESSPLPPPSEYTLPPAMNRSHGSEIMTMTSITDLVTRLISR >DRNTG_00314.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2187095:2188377:1 gene:DRNTG_00314 transcript:DRNTG_00314.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSAISSSSSAAAAAADAGPLRRLSRRNPTSLDPQSLSFPSGLFPFRLSVNALSYSSSSPRPLSLISLAPRPLRAISDSDGVGETEAAPRRLLRTLQLGILFGLWYLFNIYFNIYDKQVLKVYPFPTTLTTVQLAFGSVFVILMWITNLHKKPKISLSQLVPILPLALVHALGLLFTNMSLGKVAVSFTHTIKAMEPFFTVMLSALFLGEVTSNLILPPFL >DRNTG_00314.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2187095:2190772:1 gene:DRNTG_00314 transcript:DRNTG_00314.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSAISSSSSAAAAAADAGPLRRLSRRNPTSLDPQSLSFPSGLFPFRLSVNALSYSSSSPRPLSLISLAPRPLRAISDSDGVGETEAAPRRLLRTLQLGILFGLWYLFNIYFNIYDKQVLKVYPFPTTLTTVQLAFGSVFVILMWITNLHKKPKISLSQLVPILPLALVHALGLLFTNMSLGKVAVSFTHTIKAMEPFFTVMLSALFLGEMPTLWVLLSLVPIVGGVGLASLTEASFNWGGFWSAMASNLTYQSRNVLSKKILVEKEESLDNINLYSIITILSLFLMVPLTLFVEGAKFTPAHLQSFGLNLKEIYMRAFLSGLCFHAYQQVSYMILAEISPVTHSIGNCVKRVVVIVASVLFFRTPISPINSLGTGVALAGVFLYSRVKRINSKSS >DRNTG_10323.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3107794:3108567:1 gene:DRNTG_10323 transcript:DRNTG_10323.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRFKRYLSLPVTRPPKRRPVPVQKRHHVRSTSLPCPSHPLISDLEAEICALRAWLPRSGPNRFGSVPSGLNRLERVLSSLADLLRLPQSQESVRRSKGSVWTERLLEDSVRLADGFGSLRSEIMAVRDHQWEAQTAIRRRDEARLAASAKAMRCCEKELVRIASAMKSAARSAGTQSEAGMNWVVRDAISAMAEASESVVFGIAGAVAGKKREMDDEELEKMEAMQSGSERVF >DRNTG_10323.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3104379:3108567:1 gene:DRNTG_10323 transcript:DRNTG_10323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRFKRYLSLPVTRPPKRRPVPVQKRHHVRSTSLPCPSHPLISDLEAEICALRAWLPRSGPNRFGSVPSGLNRLERVLSSLADLLRLPQSQESVRRSKGSVWTERLLEDSVRLADGFGSLRSEIMAVRDHQWEAQTAIRRRDEARLAASAKAMRCCEKELVRIASAMKSAARSAGTQSEAGMNWVVRDAISAMAEASESVVFGIAGAVAGKKREMDDEELEKMEAMQSGSERVF >DRNTG_10206.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000431.1:46204:48178:-1 gene:DRNTG_10206 transcript:DRNTG_10206.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGTVHTEENEMIGQMVDSFSNIKVDEECGSFPSGGECLQQQTEVKPVDQKGENLPVNNHQVADLEEMNIQGQHLVSPLAASEHSDNIDRSSPPNVNSGENEKVQFL >DRNTG_10206.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000431.1:46204:47166:-1 gene:DRNTG_10206 transcript:DRNTG_10206.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQGQHLVSPLAASEHSDNIDRSSPPNVNSGENEKVQFL >DRNTG_10206.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000431.1:46204:51545:-1 gene:DRNTG_10206 transcript:DRNTG_10206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYRNGLVIISRQEVCTVNSLSLSLSLSLSLSRLSSGTMAVEAILSTVLGLIIKLTAPSVFRYLGPIWGGVDGQLEKLRRYLLQIQRQLMEQAVRSWLMLLRDVAYDAEDILDQANTHVLLIQRKAEFYGSLKSKVRDFFSLHHNPLLFQLQLGDKLKSINKRIDDVVEEMHTFNFNVVDNKNNNSDRPWRNRPQTHSYVPESDVIGRDENKKEIVQMLIHDHFEEKVAVVSIVGMGGLGKTTLAQLIYGDENVKNHFQLRIWVCVFDDYDVPKLARNIMLASSEKSYDHTNMEVLQRDLRQLLGQKRYLLVLDDVWNENHMKWDALRQLLLDGAEGSRILVTTRNENYSRIMGARKPYPLQGFIRRKLLGFV >DRNTG_10206.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000431.1:46204:48178:-1 gene:DRNTG_10206 transcript:DRNTG_10206.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQMIGQMVDSFSNIKVDEECGSFPSGGECLQQQTEVKPVDQKGENLPVNNHQVADLEEMNIQGQHLVSPLAASEHSDNIDRSSPPNVNSGENEKVQFL >DRNTG_10206.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000431.1:49876:51545:-1 gene:DRNTG_10206 transcript:DRNTG_10206.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYYRNGLVIISRQEVCTVNSLSLSLSLSLSLSRLSSGTMAVEAILSTVLGLIIKLTAPSVFRYLGPIWGGVDGQLEKLRRYLLQIQRQLMEQAVRSWLMLLRDVAYDAEDILDQANTHVLLIQRKAEFYGSLKSKVRDFFSLHHNPLLFQLQLGDKLKSINKRIDDVVEEMHTFNFNVVDNKNNNSDRPWRNRPQTHSYVPESDVIGRDENKKEIVQMLIHDHFEEKVAVVSIVGMGGLGKTTLAQLIYGDENVKNHFQLRIWVCVFDDYDVPKLARNIMLASSEKSYDHTNMEVLQRDLRQLLGQKRYLLVLDDVWNENHMKWDALRQLLLDGAEGSRILVTTRNENYSRIMGARKPYPLQGFIRRKLLGFV >DRNTG_14101.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20714430:20716350:1 gene:DRNTG_14101 transcript:DRNTG_14101.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEX10 [Source:Projected from Arabidopsis thaliana (AT2G26350) UniProtKB/TrEMBL;Acc:A0A178VWJ2] MCIHAYVLQVATSYGLPPTPARRALFIVYQTTIPYLAERISSRMTARGIQLADAAFDQMHANTSHVSGSGQAQSSMLADGSSLTGVRTSALSRLKERVNNLWLWTVQKWPMVLPFACEAIQLALRANLMLFYFEGLYYHISKRAAGIRYVFIGKPLNNRPRYQILGVFLLIQLCILGAERLRRSNLSSVTTTVNQTALGSQYSSTGYIILYGPYLFTFYHFI >DRNTG_14101.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20712838:20717465:1 gene:DRNTG_14101 transcript:DRNTG_14101.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEX10 [Source:Projected from Arabidopsis thaliana (AT2G26350) UniProtKB/TrEMBL;Acc:A0A178VWJ2] MGRVEPGEPGDGAGAGAGEVGSSSLVVAERSFPPAAQPEIMRAAEKDDHYASYVHDACRDAIRHLFGTRAAVAYQSEIKLLGQALYYMLTTGSGQQTLGEEYCDISQVATSYGLPPTPARRALFIVYQTTIPYLAERISSRMTARGIQLADAAFDQMHANTSHVSGSGQAQSSMLADGSSLTGVRTSALSRLKERVNNLWLWTVQKWPMVLPFACEAIQLALRANLMLFYFEGLYYHISKRAAGIRYVFIGKPLNNRPRYQILGVFLLIQLCILGAERLRRSNLSSVTTTVNQTALGSQYSSTGQGLPILNEDGDLIEISNINTGSSTSASTSTSESQTSGSMSKCTLCLSKRQHPTATPCGHVFCWNCIMAWCNEKPECPLCRTPMTHSSLVCIYHSDF >DRNTG_14101.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20715109:20715436:1 gene:DRNTG_14101 transcript:DRNTG_14101.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEX10 [Source:Projected from Arabidopsis thaliana (AT2G26350) UniProtKB/TrEMBL;Acc:A0A178VWJ2] MLFYFEGLYYHISKRAAGIRYVFIGKPLNNRPRY >DRNTG_14101.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20716562:20717465:1 gene:DRNTG_14101 transcript:DRNTG_14101.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEX10 [Source:Projected from Arabidopsis thaliana (AT2G26350) UniProtKB/TrEMBL;Acc:A0A178VWJ2] MSKCTLCLSKRQHPTATPCGHVFCWNCIMAWCNEKPECPLCRTPMTHSSLVCIYHSDF >DRNTG_02617.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21348397:21348733:-1 gene:DRNTG_02617 transcript:DRNTG_02617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLESHIATTPKNKIPYPEPRKTHAIEKSVEEKKYSINTMHASCYLPSEVTQHMLKTKSS >DRNTG_14351.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21658257:21660048:-1 gene:DRNTG_14351 transcript:DRNTG_14351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSSFNAFRNQYNTHSLIGTEDHTHEGIKTVHVEKECEFSTGSVLCVCVVTWNMNGKFSPKDLKELVSKDRKFDLLAIGFQEAPKHNVAGLLEESLAETHSLLGESTMQSLQLFIFGAKSSKSYIKELRVDTQAVGGCGGLIGRKKGAVAIYLNFSGIRMVFISCHLSAHEHKVDERNSQCKHISHSLFSKDDNPSSRPSHVSVWLGDLNYRLKDISTQPARNLIHKNLQSLLIEKDQLLQEAERGEVFNGYCEGTLSFKPTYKYNVGSSHYDTSYKIRVPSWTDRILFKVESNSGIDAVLHSYESIDCIKSSDHKPVKAHLCLKVNHA >DRNTG_14351.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21658257:21659240:-1 gene:DRNTG_14351 transcript:DRNTG_14351.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFISCHLSAHEHKVDERNSQCKHISHSLFSKDDNPSSRPSHVSVWLGDLNYRLKDISTQPARNLIHKNLQSLLIEKDQLLQEAERGEVFNGYCEGTLSFKPTYKYNVGSSHYDTSYKIRVPSWTDRILFKVESNSGIDAVLHSYESIDCIKSSDHKPVKAHLCLKVNHA >DRNTG_22769.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4020006:4027312:-1 gene:DRNTG_22769 transcript:DRNTG_22769.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase P2 [Source:Projected from Arabidopsis thaliana (AT3G05350) UniProtKB/Swiss-Prot;Acc:Q8RY11] MKPIRVHEIKYAGVDVSSKLSSLRSELFEAGCTAIVISMLDEVAWLLNLRGNDVPHSPVFYSYLIVDATGAKLFVDNNKVTDQVIAHLRTAGVELRPYEAILSELESLAAEGAKLWLDSSTMNAAVVNIYKSACDINGRFVEKGLAGLYRASPVSIAKAVKNESELEGMRNSHLRDAAALTEFWAWLEEEISRNAVLTEVEVADKLLEFRGKQAGFLETSFDTISGYGANGAIVHYRPEPESCSIVDSKNLFLLDSGAQYVDGTTDITRTVHFGEPSSRQKECYTRVLKGHIALDQAVFPENTPGFVLDVLARSSLWKVGLDYRHGTGHGVGAALNVHEGPQGISFRYGNFTTLQKGMVVSNEPGYYEDHSFGIRIENLLYVKEANVPNRYGGIGYLGFEKLTFVPIQSKLIELSLMTPEEISWLNQYHSQVWEKVSPLVDGSARKWLRNNTRPLPH >DRNTG_22769.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4020006:4027312:-1 gene:DRNTG_22769 transcript:DRNTG_22769.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase P2 [Source:Projected from Arabidopsis thaliana (AT3G05350) UniProtKB/Swiss-Prot;Acc:Q8RY11] MEAMATFSSLPALFSRPPVRFLASLSIPFLPNRRVVGRRFRNLRFVFTSCSADRVVARPSSEFRRKSSVAGHEDEKLRALRALFSRPGIGIDAYIIPSQDAHQSEFIAECFMRRAYISGFTGSAGTAVVTKDKAALWTDARYFLQAEKQLGSDWIVMRSGNLGVPTTIEWLNDVLTPGCRIGIDPYLFSSDATDELKEAISKKNHELVFLYDINLVDEIWGDSRPKPPMKPIRVHEIKYAGVDVSSKLSSLRSELFEAGCTAIVISMLDEVAWLLNLRGNDVPHSPVFYSYLIVDATGAKLFVDNNKVTDQVIAHLRTAGVELRPYEAILSELESLAAEGAKLWLDSSTMNAAVVNIYKSACDINGRFVEKGLAGLYRASPVSIAKAVKNESELEGMRNSHLRDAAALTEFWAWLEEEISRNAVLTEVEVADKLLEFRGKQAGFLETSFDTISGYGANGAIVHYRPEPESCSIVDSKNLFLLDSGAQYVDGTTDITRTVHFGEPSSRQKECYTRVLKGHIALDQAVFPENTPGFVLDVLARSSLWKVGLDYRHGTGHGVGAALNVHEGPQGISFRYGNFTTLQKGMVVSNEPGYYEDHSFGIRIENLLYVKEANVPNRYGGIGYLGFEKLTFVPIQSKLIELSLMTPEEISWLNQYHSQVWEKVSPLVDGSARKWLRNNTRPLPH >DRNTG_29675.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001495.1:4470:5987:1 gene:DRNTG_29675 transcript:DRNTG_29675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQGQRLTVVPTVTMLGVMKARLIGATRGHALLKKKSDALTVQFRQILKNIVTAKEQMGDIMRASSFALTEAKYAAGENIKHVVLESVKSASVRVRARQENVAGVKLPKFEHFTDSASDTKNDLTGLARGGQQIQV >DRNTG_25610.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:141130:141581:1 gene:DRNTG_25610 transcript:DRNTG_25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPPLNIPILHVNISDSDSLIPIFCRARLVLICVGLFLIYGMLIVAACVTTDTDYLNISGEPKFMELVEVEFHESAMKNRQWVALSAQNLVEAYLSLESEKKIVGNVGTYESAMLSVTNADKLREL >DRNTG_02790.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1142065:1145802:1 gene:DRNTG_02790 transcript:DRNTG_02790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase 2 [Source:Projected from Arabidopsis thaliana (AT4G33770) UniProtKB/Swiss-Prot;Acc:O81893] MLQDVADLNLSDCYGRVGVPKQLVITKDPSSITHAVDRAGLTFPIVAKPLVVDGSAKSHELSLAFDQYSLSMLEPPLVLQEFVNHGGVLFKVYIVGEAIKVVRRFSLPDVDESQVLNNAGVFRFPRVSCAAASADDADLDPAVAELPPRPLLERLAKELRWRLGLRLFNIDIIRKHGIRDQYYVIDINYFPGYGKMPGYEQIFTDFLLSLMKSKYKRRSSNS >DRNTG_02790.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1140980:1141579:1 gene:DRNTG_02790 transcript:DRNTG_02790.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase 2 [Source:Projected from Arabidopsis thaliana (AT4G33770) UniProtKB/Swiss-Prot;Acc:O81893] MRLNGEISCWNEEQRENESGALVSPPKLVVGYALTSKKVKSFLQPKLEGLAR >DRNTG_02790.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1140980:1145802:1 gene:DRNTG_02790 transcript:DRNTG_02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase 2 [Source:Projected from Arabidopsis thaliana (AT4G33770) UniProtKB/Swiss-Prot;Acc:O81893] MRLNGEISCWNEEQRENESGALVSPPKLVVGYALTSKKVKSFLQPKLEGLARKKGIMFVAIDQNRPLSDQGPFDIVLHKLTGKEWRQILEDYWEKHPEVTVLDPPGAIQHLNNRQSMLQDVADLNLSDCYGRVGVPKQLVITKDPSSITHAVDRAGLTFPIVAKPLVVDGSAKSHELSLAFDQYSLSMLEPPLVLQEFVNHGGVLFKVYIVGEAIKVVRRFSLPDVDESQVLNNAGVFRFPRVSCAAASADDADLDPAVAELPPRPLLERLAKELRWRLGLRLFNIDIIRKHGIRDQYYVIDINYFPGYGKMPGYEQIFTDFLLSLMKSKYKRRSSNS >DRNTG_16482.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28494912:28496121:-1 gene:DRNTG_16482 transcript:DRNTG_16482.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEGSSNSSSEQENFIEEQMTPKKFPLPSHFSFSKAPDTLRTIPDSVTSWSTQKLQTSASNNSSGELIHGSDLIDSKFMILK >DRNTG_01815.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3080224:3087361:-1 gene:DRNTG_01815 transcript:DRNTG_01815.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAEERSSSAMVDFEEDDDAPIMSSRTLEALKEFLSEQNSLGIGVRGEEGGEADEVRLVTEDWRLSQFWYDQVTAETVVNEIRALCSSVSARVACVSCPTLYAYLKKIEPNISVQLLEYDKRFEQYGGDFTFYDYNQPEDLPQELKHSFQVVVADPPYLSKECLEKVAQTISFLSSSKDFYLLLLTGAVQQDRAAELLKLHPCGFRPQHSNKLGNEFRLYTNYDPEERLGGWELTE >DRNTG_01815.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3080296:3094122:-1 gene:DRNTG_01815 transcript:DRNTG_01815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCSSASSRVACVACPALYAYLKKIEPNISVQLLEYDKRFEQYGGDFTFYDYNQPEDLPQELKHSFQVVVADPPYLSKECLEKVAQTISFLSSSKDFYLLLLTGAVQQDRAAELLKLHPCGFRPQHSNKLGNEFRLYTNYDPEERLGGWELTE >DRNTG_01815.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3080296:3087361:-1 gene:DRNTG_01815 transcript:DRNTG_01815.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAEERSSSAMVDFEEDDDAPIMSSRTLEALKEFLSEQNSLGIGVRGEEGGEADEVRLVTEDWRLSQFWYDQVTAETVVNEIRALCSSVSARVACVSCPTLYAYLKKIEPNISVQLLEYDKRFEQYGGDFTFYDYNQPEDLPQELKHSFQVVVADPPYLSKECLEKVAQTISFLSSSKDFYLLLLTGAVQQDRAAELLKLHPCGFRPQHSNKLGNEFRLYTNYDPEERLGGWELTE >DRNTG_01815.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3080296:3087442:-1 gene:DRNTG_01815 transcript:DRNTG_01815.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAEERSSSAMVDFEEDDDAPIMSSRTLEALKEFLSEQNSLGIGVRGEEGGEADEVRLVTEDWRLSQFWYDQVTAETVVNEIRALCSSVSARVACVSCPTLYAYLKKIEPNISVQLLEYDKRFEQYGGDFTFYDYNQPEDLPQELKHSFQVVVADPPYLSKECLEKVAQTISFLSSSKDFYLLLLTGAVQQDRAAELLKLHPCGFRPQHSNKLGNEFRLYTNYDPEERLGGWELTE >DRNTG_01815.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3080369:3094122:-1 gene:DRNTG_01815 transcript:DRNTG_01815.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALCSSASSRVACVACPALYAYLKKIEPNISVQLLEYDKRFEQYGGDFTFYDYNQPEDLPQELKHSFQVVVADPPYLSKECLEKVAQTISFLSSSKDFYLLLLTGAVQQDRAAELLKLHPCGFRPQHSNKLGNEFRLYTNYDPEERLGGWELTE >DRNTG_01815.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3080369:3087361:-1 gene:DRNTG_01815 transcript:DRNTG_01815.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAEERSSSAMVDFEEDDDAPIMSSRTLEALKEFLSEQNSLGIGVRGEEGGEADEVRLVTEDWRLSQFWYDQVTAETVVNEIRALCSSVSARVACVSCPTLYAYLKKIEPNISVQLLEYDKRFEQYGGDFTFYDYNQPEDLPQELKHSFQVVVADPPYLSKECLEKVAQTISFLSSSKDFYLLLLTGAVQQDRAAELLKLHPCGFRPQHSNKLGNEFRLYTNYDPEERLGGWELTE >DRNTG_21874.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19187006:19190015:1 gene:DRNTG_21874 transcript:DRNTG_21874.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNIIPSFLFMINIITIIFFFTCFPLSSQAALDVEGMFVFGSSLVDNGNNNYLRNSSARANYVPYGIDFPLGPSGRFSNGRNPIDVLGELLKLPSFIPPFSDPATNGHRISHGVNFASGGSGILDETGSITGEVTSMNQQIRNFEKVTLAEMGGLFDLKKNSDYLSKYLFIVGTGGNDYFLNYFTSKKKTTTLLKFTHTLIATLSCQLKRLYDLGARKFVLFSIQAMGCIPAVRDNHRVSINGSCVEAMNEAAILFNNHLKALVIKLPNSSMPHASMIFINSYKIINDIITNPKQHGFKETSKACCDLPSNGILCRRGGKACRDRNSHVFFDGLHPTDAVNQRIAYKAYASNLKTEAYPTNVKNLVHMYNSQ >DRNTG_04656.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30541606:30542880:-1 gene:DRNTG_04656 transcript:DRNTG_04656.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRAKSFSQALVLPFRRLLLRESCSKLFVGGLSYDTNESVLKDTFEKFGEVIEVKVICDRNSGKSKGFGFIQFYSESEAMAALHNMNGQSLEGRIIRVHYANKG >DRNTG_29578.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18205763:18207186:1 gene:DRNTG_29578 transcript:DRNTG_29578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKTAFCFAILLFLGLHVDARFDLLPMDADIECGSCLEASRKVGKALNNLKMFEQVSLLSIQACEALPVDLEIECLKTTGDYIQRTRLLLKDFFHEESLCNGTGVCINETGVPASNGIFIEGVNERTPLEEKTCSACRRTVKDVIWKLRDPRMKTKLTKVLIDYCEESEEREDQCKQTIYRYGSIVLNKLERLKPYDLCLMLGFCDEEIAF >DRNTG_35330.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002238.1:6372:6779:1 gene:DRNTG_35330 transcript:DRNTG_35330.1 gene_biotype:protein_coding transcript_biotype:protein_coding DRVCPRGFLWNYSTICSQGKHALDDPCTILLKQSTVSSPKTPFLTQASGVSPHLLFKNFLLLQENIDEEEDQASSHCLRGPRSSWRLKRSGASSSMNL >DRNTG_34069.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002082.1:77218:80580:-1 gene:DRNTG_34069 transcript:DRNTG_34069.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichol-phosphate mannosyltransferase subunit 1 [Source:Projected from Arabidopsis thaliana (AT1G20575) UniProtKB/Swiss-Prot;Acc:Q9LM93] MKEQLKQEEKNKYSIIIPTYNERLNIALIIYLVFKHLRDVDFEVIVVDDGSPDGTQDIVRQLQHVYGEDRILLRARPKKLGLGTAYFHGLKYASGNFVIIMDADLSHHPKYIPSFIRKQMETGASIVTGTRYVRGGGVHGWNLMRKLTSRGANVLAQTLLWPGVSDLTGSFRLYRKSVLEDVISSCVSKGYVFQMEMIVRASRKGYHIEEVPISFVDRVFGSSKLGGSEIIEYLKGLLYLMLTT >DRNTG_30711.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21996413:22000419:-1 gene:DRNTG_30711 transcript:DRNTG_30711.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRIGELGGPGAMIEQVKGFSYSPFSLLGPRTSLHKVVDGNACDEPSELSTAQGTTKKSWWRLSFASPKVRNSVPSCQMEGVFYCVLYLHPGSYHRVHSPVDWNVFVRRHFSGNLFPMNERATRTIKNLHIENERVVLEGQWREGFMALAAIGATNIGSIQVRHFYPLTC >DRNTG_30711.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21996014:22000419:-1 gene:DRNTG_30711 transcript:DRNTG_30711.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRIGELGGPGAMIEQVKGFSYSPFSLLGPRTSLHKVVDGNACDEPSELSTAQGTTKKSWWRLSFASPKVRNSVPSCQMEGVFYCVLYLHPGSYHRVHSPVDWNVFVRRHFSGNLFPMNERATRTIKNLHIENERVVLEGQWREGFMALAAIGATNIGSIQVRHFYPLTC >DRNTG_30711.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21996014:22000419:-1 gene:DRNTG_30711 transcript:DRNTG_30711.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRIGELGGPGAMIEQVKGFSYSPFSLLGPRTSLHKVVDGNACDEPSELSTAQGTTKKSWWRLSFASPKVRNSVPSCQMEGVFYCVLYLHPGSYHRVHSPVDWNVFVRRHFSGNLFPMNERATRTIKNLHIENERVVLEGQWREGFMALAAIGATNIGSIQLYIEPEFRTNRPKLKLLSSEPPEERVYDAEGTGLMLKKGEEVAAFNMGSTVVLIFQAPISGLAGGISSSPEFKFCVKKGDENQGWRSHW >DRNTG_30711.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21999437:22000419:-1 gene:DRNTG_30711 transcript:DRNTG_30711.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRIGELGGPGAMIEQVKGFSYSPFSLLGPRTSLHKVVDGNACDEPSELSTAQGTTKKSWWRLSFASPKVRNSVPSCQMEGVFYCVLYLHPGSYHRVHSPVDWNVFVRRHFSGILSFFTL >DRNTG_30711.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21996014:22000419:-1 gene:DRNTG_30711 transcript:DRNTG_30711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRIGELGGPGAMIEQVKGFSYSPFSLLGPRTSLHKVVDGNACDEPSELSTAQGTTKKSWWRLSFASPKVRNSVPSCQMEGVFYCVLYLHPGSYHRVHSPVDWNVFVRRHFSGNLFPMNERATRTIKNLHIENERVVLEGQWREGFMALAAIGATNIGSIQLYIEPEFRTNRPKLKLLSSEPPEERVYDAEGTGLMLKKGEEVAAFNMGSTVVLIFQAPISGLAGGISSSPEFKFCVKKGDENQGWRSHW >DRNTG_00417.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000039.1:27828:31379:1 gene:DRNTG_00417 transcript:DRNTG_00417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKPRFSKALTIPEATMGVRKMQYACLCVLLHQLGSPVKNAKKVSAQDNNDQVKEKTIYAIDPGPEIKTSDTSHENEVNEDIESTYTEVNDEGQPPHKLKFHRGKVLDPQSQKNGPRRLRFRKPRVVTENENGRGELGRRSFRKRSNISGVDMNEVPETPNVGLRHQDQPRKKDSQGAIPNINEHGEDQASISKPGSNSIAPSPKMSPFAHDSLSSDFAHDVNL >DRNTG_24642.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3240437:3241156:1 gene:DRNTG_24642 transcript:DRNTG_24642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEITGITKGEVKPVIDMHKKREMAHNYDAFIAMPGGYGTLDKFFEVITWAQLGIHKKLVKLLNIDGYSNSLLSFIDHTVEEGFINPSARHIIVSIANAKELIEKLEVMLKC >DRNTG_01271.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:10220422:10222613:1 gene:DRNTG_01271 transcript:DRNTG_01271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSPENFAGKKIRKWEGSAKTRKEEEKKKRRRRNVLRENFRAATVTGCYSTRPLLNQASTRTSRYRTGLLQDQAATVTG >DRNTG_30683.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1855089:1858801:-1 gene:DRNTG_30683 transcript:DRNTG_30683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINGGEIVLRRCVSVKASDSLESGYGVEDAFVPDQEVKPRGFDLLMILKGANSVLPFVVALSTVLALVYPPSFTWFTTRYYAPALGFLMFAVGVNSSPKDFIEAIKRPGAIAVGYVGQYVIKPLFGYLFGTILVSVFHFPTSLGAGIMLVSCVSGAQLSNYATFLTDPDMAPLSIVMTALSTATAVFVTPALSLLLIGKKLPVDVKGMMSSITQIVIAPIVAGLLLNRFLPRVCAAIQPFLPPLSVFVTALCVGSPLAINIGSIISPFGVAIVLFIFGFHAASFIAGYVLTGFVFNKSADVKALQRTISFETGMQSSLLALALANKFFQDPLVGVPPAISVVLMSLMGFSLVMAWSKKQQQKC >DRNTG_17996.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000931.1:6887:9229:1 gene:DRNTG_17996 transcript:DRNTG_17996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKIPRMFDPWGGYSIIGFGDILLPGLLVAFSLRYDFLAKKKLRNGYFLWSMTAYGAGLLGTYLALTLMDGHGQPALLYIVPIMLGTLLMIGKRRGELKNLWTRGEPERACPHIQSTE >DRNTG_12905.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26676594:26685532:-1 gene:DRNTG_12905 transcript:DRNTG_12905.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQWERPNSSHQFPVQHPHNISSGHEAVRVSSNEPDYTRKCMGCGGWGIGVVQPWGFCNHCTRLQKGTVQQCSSTTNHQQQTSNKGTPKNQRSSSKPPSGKGKKESRKRGYSEDDDLDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTATGPLFQQRPYPSPGAVLRKNAEIASQTKKHGSHGHMAPISKRGDGSDGLGDAD >DRNTG_12905.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26676594:26694057:-1 gene:DRNTG_12905 transcript:DRNTG_12905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADAKSSCLNVGAYPCDLSTTSQFGSVQCAGDFKDQQPIRLGETMGPRDNAVDDHKSDSTLLSNDSEFGILSYSLKSVPVGDFSKPEMMYSEGAHAIESAAQEAVLLEQEIATQKIIHDQRHAKGASAPVEDGHDILSGRHDPNALKVVLLKMTADHRVEMASKRGKLNHQDDGNLEIGNGYGVPGGGAYSAAGPLNAKSESMGSQKELPAYLKQRLKARGILKDEKDPKSPISSTTTSSKLPPGWAETKDPISGSFYFYNEKTGVTQWECPTETSGFSEPSVVLPLPEDWEEACDVSTGQRYYYNTKTNMTQWERPNSSHQFPVQHPHNISSGHEAVRVSSNEPDYTRKCMGCGGWGIGVVQPWGFCNHCTRLQKGTVQQCSSTTNHQQQTSNKGTPKNQRSSSKPPSGKGKKESRKRGYSEDDDLDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTATGPLFQQRPYPSPGAVLRKNAEIASQTKKHGSHGHMAPISKRGDGSDGLGDAD >DRNTG_12905.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26676594:26683088:-1 gene:DRNTG_12905 transcript:DRNTG_12905.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTQWERPNSSHQFPVQHPHNISSGHEAVRVSSNEPDYTRKCMGCGGWGIGVVQPWGFCNHCTRLQKGTVQQCSSTTNHQQQTSNKGTPKNQRSSSKPPSGKGKKESRKRGYSEDDDLDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTATGPLFQQRPYPSPGAVLRKNAEIASQTKKHGSHGHMAPISKRGDGSDGLGDAD >DRNTG_21129.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2517559:2518349:1 gene:DRNTG_21129 transcript:DRNTG_21129.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEAPSSCGGRGLSTGRMFNRKGELVMSLRQEALLREVKPTNRAPRAKM >DRNTG_05241.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:13803992:13805260:-1 gene:DRNTG_05241 transcript:DRNTG_05241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKLVEILFYVHKLECLSVTTLVPLQMVVLTQIRGAAAKVAFTLSRW >DRNTG_34695.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22774719:22780555:1 gene:DRNTG_34695 transcript:DRNTG_34695.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial pyruvate kinase 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G49160) UniProtKB/Swiss-Prot;Acc:Q9M3B6] MMQSSKILGSLKVNSPVLTSATADGLLSNPKCRLPLPAAIYGDYLIQPQVKLKRQPKYFQVYAKLQENGDSGSDGHSTSHDLLNAITKNSEICSSPEKRSAISDDSSCHLDQESHISSLNDELTPDSINYQVCLDKLKAIYLHVLASEQWNASRLNICHRTYLWSAANLIHYLAVHTLEVQQLKEELSSIGLLDFDNTTSHVLASITACIQLLENLSHISAEDRKNIIHMKIRDQVTAQSTDYARDATISTMRKTKFMHARALFGSIQDKKDAHIMVTVGQEVISNKILLDDLLKAGADIIRINCAHDDPSIWSEIIRLVKHSSQMQEKPCRVLMDLAGPKLRTGPLKSGPRVIKISPKKDAKGDVIFPSQVYLSPAGCCPPAHISPDAVLFLEGDRLFQEIEVGVVLGFVDARGRKRSLKVSEKLSVFSGHGYIAECSRTAYVGCNTTLFIEGKKKKKAINGKIVNVPPAEQFVRLQVGDLLTILRDSSLSGDELGGTSIGSPKITCPSGRLFDSVKPGDPIAFDDGKIWGVIQGAGINEIVVSITHASPKGSKLGSEKSINIPKSEMKFEGLTSKDLVDLEFVAANADMVGISFIRDVHDVTVVMQELKKRKLQNLGVVLKIETRGAFENLPLLLLEAMQYPNPLGVMIARGDLAVECEWQQLASIQDEILSICSAAHVPVIWATQVLESLTKSGIPTRAEITDATNGMRANCIMLNKGKHIVEAVSALDSMSKTRSTGKLKTLPRPPLFSNF >DRNTG_04285.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9012558:9015087:-1 gene:DRNTG_04285 transcript:DRNTG_04285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSLVKEDNPTTKIFKLIHDMDEAGLRLGRKEYERLVWACTRESHYAVVKELYRRIRDTKGKMSLSVCNHVIWLMGKAKKWWAALEIYEELLDGGPKPNNVSYELIISNFNVLLNAARRRGIWRWGVRLLNKMQEKGLKPGTKEWNAVLVACSKASETTAAVQIFKRMVEQGEKPTILSYGALLSALEKGKLYDEALQVWEHMCKVGVKPNLHAYTILASIYIGKGRPEMVDEILQEMSSSGIEPSAVTYNVIITGCCKNQMSSAALEWFHRMKVKNVKPNEITYEILISALASDGKPRISYEMYLRARNEGLNLSTKSYDAVFESCRIHGTSIDLNDLGPRPPEKKKVIKIRKNLTEFCHLAGLPRRGKAFDRTELYASQTQDNQIT >DRNTG_06938.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16810293:16810766:1 gene:DRNTG_06938 transcript:DRNTG_06938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIHVEANYYEESTHRIRERNCSLLQREWQRHSLTYATMAGRRGSSNNNNNNFNNFKRQAQI >DRNTG_12577.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:817897:819240:1 gene:DRNTG_12577 transcript:DRNTG_12577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAKQSLKDHEQSLMEAIARLGDVTDGESEGEHQFSQGQDMGDRGWRNPRQYPGNQHGANNYRANMVGGQGREGSEGDQMIDDDL >DRNTG_12577.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:817994:819240:1 gene:DRNTG_12577 transcript:DRNTG_12577.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIARLGDVTDGESEGEHQFSQGQDMGDRGWRNPRQYPGNQHGANNYRANMVGGQGREGSEGDQMIDDDL >DRNTG_11589.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:12926141:12932697:1 gene:DRNTG_11589 transcript:DRNTG_11589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKKISFGQKEKKIGISYYPKMGAVCTPVWIANLGFFWVPYDPSYDPHMTLDTWGRLRRAQRLCAVADGPHTAPIRGRLQRADPLGREGHLAHTAPRMGAVWGRGCLTQKRLASKRPRTSGPSSTPDEPVFKLSHHREI >DRNTG_21490.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9568086:9568976:1 gene:DRNTG_21490 transcript:DRNTG_21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSHIPFNLLVLCILNKNQKPKNSKLESKFKQRYLNEKKKK >DRNTG_17439.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6312413:6312886:-1 gene:DRNTG_17439 transcript:DRNTG_17439.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPDLQCIRPSRHQRRRSKRSGGYLGVRRRQSGRYAAEITNPYTKKRHWLGTFDTPEEASLAYDMSSITFSGIDRAQTNFSYMFPPMPSPSPPIPPPPPPPPSPLPPPPPPSLEEKKEYCFEYNFDISDHDDDWINITTILQSFGQLNAFPSSLIL >DRNTG_03507.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16034122:16035384:-1 gene:DRNTG_03507 transcript:DRNTG_03507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVERFLLTLKMYVRNRASPEGSIAEGYLANECLTFASRYLVGTETSFNQSTRNEEDQNVANDEEVSFFANVGRPLGRKKNKGFSSNKRKRVSRITLDNQTLVQAHRYGFFNFDGVAPFLKKHEQFIKRRNRSPRLSPYEIQKLQSETFHDWFHDHVAQLEQQGNANITDELRLLARGPMDTTRRYTGYIVNGFRFHTKARERWLKTQNSGVVVTSKMMSYASSRDARPIEGEINYYGVLTDIIQLNYSGRFKVVLFKCDWIDPNRGLKKDKFGFTIVNFSHLAHSGTNLVDDPFVFASQAKKVFYVQDEKNKDWLIVKHAKL >DRNTG_00525.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30396147:30401152:-1 gene:DRNTG_00525 transcript:DRNTG_00525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLRSSSRPSVLSVMSVLGFSSFLLLLLFVWGSESLTLSSRLVHRFSDEVAVAAVKGTEAPPPRRSLEFYEVLVKSDLARRNRRFGGSNFSMLFPSEGSETMSLGNDFGWLHYTWIDLGTPKVSFLVALDAGSDLLWVPCDCIQCAPLSGYQGSLVKDLGIYSPAESRTSKNLSCNHKLCASGPNCENPNEPCPYTIDYYSENTASSGVLVKDKLYLATATDKTAVQAEVIIGCGRNQSGGYLDGIAPDGLLGLGLGEISLPSILAKAGLVCNSFSMCFREDDSGRILFGDQGVAKQKSTPFVPLSGKYVTYIVEVESFCIGAHCLGKSGFQALVDSGSSFTFLPSDVYKSVSQEFDKQVKAPRLEQDAPPWEYCYKASLLEMPKVPSVILSFAANKSFEVTYPLFPLYSKEGGLVAVCLAVQSSGETLGTIGQNFMKGYKMVFDRENLKLGWSHSDCNDLNNSRTVPLTPPAGSHNRPENPLPSDQQLASPNGTAVSPAVAVRAPTNNSAATSNSLSPCLLLLLLVITGVSIFSIG >DRNTG_31538.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001717.1:76920:78651:1 gene:DRNTG_31538 transcript:DRNTG_31538.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFLFSFLASSSIARTLLFFGFIFIYITFKGIEQVSSCYGPKKYPLIGSLISFYKNRCRLLSWYTELLANSPINTIVVERLGARRTIVTANPQNVEYILKTNFNNYPKGKPFTDILGDLLGCGIFNVDGEVWHARRKLASHEFTTKSLREFIVKALNTEVHDRLIPILSSSNKKKKVFDMQDLLSRLTFDNICKISLGTDPCLLNEEMPSAELASAFDIAAEISARRGMAPVSAVWKLKRALGVGSEKHLKVAVELIHGSIMEIIKERKKKIHDKQMEMMKIDQNDLLSRLIQGGHSNEVIRDMVISFIMAGKDTTSSALTWFFWLLSCNPNVEQEIVKEVTMHGKGEQIGYQELKEMKFLEASLCESMRLYPPVVWDSKHAARDDKLPDGTPVRKGDRVTYFPYGMGRMERLWGKDWEEFRPWRWMAGDNRKEVVPVSPYKFSVFQAGPRVCLGKEMAFVQMKYVAATVLRRFKLRRVDDQPPVLVPLLTSHMAGGLNMMVEERVVEL >DRNTG_14229.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:893159:897146:1 gene:DRNTG_14229 transcript:DRNTG_14229.11 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRGTRYPFTATQWQELEHQALIFKYMASGIPIPSDLLLPIRRSFLLDSSPSTNPSLAFPHPLPSKLLSFFFSHFLHF >DRNTG_14229.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:893159:897146:1 gene:DRNTG_14229 transcript:DRNTG_14229.10 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRGTRYPFTATQWQELEHQALIFKYMASGIPIPSDLLLPIRRSFLLDSSPSTNPSLAFPHPLPSKLLSFFFSHFLHF >DRNTG_14229.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:893093:897146:1 gene:DRNTG_14229 transcript:DRNTG_14229.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRGTRYPFTATQWQELEHQALIFKYMASGIPIPSDLLLPIRRSFLLDSSPSTNPSLAFPHPLPSKLLSFFFSHFLHF >DRNTG_14229.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:893159:896273:1 gene:DRNTG_14229 transcript:DRNTG_14229.12 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRGTRYPFTATQWQELEHQALIFKYMASGIPIPSDLLLPIRRSFLLDSSPSTNPSLAFPHPLPSKLLSFFFSHFLHF >DRNTG_14229.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:893159:897146:1 gene:DRNTG_14229 transcript:DRNTG_14229.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRGTRYPFTATQWQELEHQALIFKYMASGIPIPSDLLLPIRRSFLLDSSPSTNPSLAFPHPLPSKLLSFFFSHFLHF >DRNTG_14229.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:893159:897146:1 gene:DRNTG_14229 transcript:DRNTG_14229.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRGTRYPFTATQWQELEHQALIFKYMASGIPIPSDLLLPIRRSFLLDSSPSTNPSLAFPHPLPSKLLSFFFSHFLHF >DRNTG_14229.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:893159:897146:1 gene:DRNTG_14229 transcript:DRNTG_14229.8 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRGTRYPFTATQWQELEHQALIFKYMASGIPIPSDLLLPIRRSFLLDSSPSTNPSLAFPHPLPSKLLSFFFSHFLHF >DRNTG_14229.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:893159:898052:1 gene:DRNTG_14229 transcript:DRNTG_14229.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRGTRYPFTATQWQELEHQALIFKYMASGIPIPSDLLLPIRRSFLLDSSPSTNPSLAFPHPLPSKLLSFFFSHFLHF >DRNTG_14229.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:893159:897146:1 gene:DRNTG_14229 transcript:DRNTG_14229.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRGTRYPFTATQWQELEHQALIFKYMASGIPIPSDLLLPIRRSFLLDSSPSTNPSLAFPHPLPSKLLSFFFSHFLHF >DRNTG_14229.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:893159:897146:1 gene:DRNTG_14229 transcript:DRNTG_14229.9 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRGTRYPFTATQWQELEHQALIFKYMASGIPIPSDLLLPIRRSFLLDSSPSTNPSLAFPHPLPSKLLSFFFSHFLHF >DRNTG_14229.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:893159:899047:1 gene:DRNTG_14229 transcript:DRNTG_14229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRGTRYPFTATQWQELEHQALIFKYMASGIPIPSDLLLPIRRSFLLDSSPSTNPSLAFPHPLPSKLLSFFFSHFLHF >DRNTG_14229.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:893159:899047:1 gene:DRNTG_14229 transcript:DRNTG_14229.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRGTRYPFTATQWQELEHQALIFKYMASGIPIPSDLLLPIRRSFLLDSSPSTNPSLAFPHPLPSKLLSFFFSHFLHF >DRNTG_06269.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:34547:34822:1 gene:DRNTG_06269 transcript:DRNTG_06269.1 gene_biotype:protein_coding transcript_biotype:protein_coding QVRNQATDMLPTKHTILFPSTKGES >DRNTG_25762.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21302444:21360374:-1 gene:DRNTG_25762 transcript:DRNTG_25762.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALGKQGQHMAINYYPPCPQPDLTFGLPGHNDPNAITILLQDTIPGLQVLRNGKWLAVNPLPNTLIINIGNQIE >DRNTG_25762.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21213706:21360374:-1 gene:DRNTG_25762 transcript:DRNTG_25762.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRISKEYFKLPECERMKAYSDDPKKNMRLSTSCNVKTEKVASWRDYLRLHCYPLEDFIHQWPSNPHSFQ >DRNTG_25762.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21193742:21360374:-1 gene:DRNTG_25762 transcript:DRNTG_25762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRISKEYFKLPECERMKAYSDDPKKNMRLSTSCNVKTEKVASWRDYLRLHCYPLEDFIHQWPSNPHSFQ >DRNTG_23557.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22445815:22448742:1 gene:DRNTG_23557 transcript:DRNTG_23557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYVALDFEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >DRNTG_15692.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000784.1:22436:23494:-1 gene:DRNTG_15692 transcript:DRNTG_15692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISVDIVEKFLRNQQTLVPTRYSYTDIITMTNNFKEKLGQGGFGYVFKGLLPWDRLVAIKMLTNSKYNAGEYFINEVSTIEMAGGKRNSAVSAENTSQVYYPSWIYDKLIEDKIEHDVVEMDTVIAIDEREKKLCMIGLWCIQIRPSDRPSMRKVIEMLEGDVSSLQMPHFQNRLKIPSHLSNLNTDDGELTIISEDVDELN >DRNTG_15351.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12315644:12318790:1 gene:DRNTG_15351 transcript:DRNTG_15351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLRFRSKKKVIQQTQNNEQLGQPSTISEQNLPNEQVLQPHQVSVDVNSNDVATIIPPKRVRGPTRGLGLEKFIKGNNKMVIDIPKGKGRPVSEVQSAKLSSEIGLISRQFIPVPTKWKGMTNDDKNHAMERLKSKFEIDFDDTYIQSSVMSILSKLSRNQRYKLHLYYKSLPNDQEARQKPPSKFNLTQENWEALCDMFSNPEYKAKCEKNKTCRQKVKFPHNQGSKAFVASRYAMRNDEEEPNRMEFFKRTHYSEAKGWSNPMAQSDYEKMQELLSKPIEEGMEPRTIDSIVDEVLGTKAGYIKGLGYGPKPDKQTTSPTISKLSEKLKKTKRKLKQHKLNFELVRDHMQIMTQAMVGYGIQVPMPQFAASSEPSSPSMESLSSEGSD >DRNTG_21949.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2072719:2073939:-1 gene:DRNTG_21949 transcript:DRNTG_21949.1 gene_biotype:protein_coding transcript_biotype:protein_coding PWLSLDNNSRRGSFHFVGRVRSFSFLSYTQEKQENEVIGETKIGTQVITERAYDESSNYKATSPPEPQTN >DRNTG_10986.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000482.1:26967:27447:1 gene:DRNTG_10986 transcript:DRNTG_10986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKGGDGRKKGGAVAVVNMAKTRKRVEEKTSKQNTQIITKEVYHPAVVSMVTRKKVEEKTSKQSTQIITKEGYRAAVVSIVKTRKKVEEKTSKQGTQVLIVKEKLERIAEIVPVKKEAFIATIKFK >DRNTG_34685.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28752563:28753982:-1 gene:DRNTG_34685 transcript:DRNTG_34685.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1 complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT5G37055) UniProtKB/Swiss-Prot;Acc:Q9FHW2] MEDENTGPFRRTSSRTRKVASKMVAALTSADNRTQAVLARLEALESDNAGAEVVEANDDDDASLDEDDQVYIQKKLSKSTKRKTRQAKALENAKKAPRTFMELIHDSNLESLPPHVPTYLRAAVGPPGSSSRRHFCTVCGFTANYTCVRCGMRFCSCRCQNIHNDTRCLKFVA >DRNTG_07426.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7103043:7109316:1 gene:DRNTG_07426 transcript:DRNTG_07426.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRYMAYSPSPSAPHSPHLAGIRSASSALTDQEKYLSELLGERHKLSPFTPVLPHAYRLLNQEILRVTTLLGNASLLDQSGLEQGSPLNTGGLFANGAADLNGWASTFQQERLGLLQQSAAHGWLGSQGSLAGLIVKKTIRVDIPVDKYPSYNFVGRLLGPRGNSLKRVEASTDCRVLIRGWGSIKDPTREEMMRGKPGYEHLNEPLHILVEAELPVEIVDARLAQARNILEDLLKPVDESQDFFKKQQLRELAMLNGTFREEGSHMSGSVSPYNSLGMKRAKTRG >DRNTG_07426.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7103043:7109316:1 gene:DRNTG_07426 transcript:DRNTG_07426.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRYMAYSPSPSAPHSPHLAGIRSASSALTDQEKYLSELLGERHKLSPFTPVLPHAYRLLNQEVGAFTTISSTWLAWVTR >DRNTG_17071.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:105339:106960:1 gene:DRNTG_17071 transcript:DRNTG_17071.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFNLAKTPLFSRVSRPPSFHSRSPSSPILHWRTIGLCIDGLSPKPRDFSTDSPRRVARNSGKSLPWMATNRERESRREEKSSSWEASAERYFSRNGGKKLEEKLKKVEVEVVESEEEESVDDSRWDRMRARYSRFERPEARRWNKQESWDRKMGKEAAESSVPKIVGQGVYGVGPVLAALSVKRRELYALYVQQGLDLSGNNRRKKDKRGVENVLKMAEEIGLKIVETSKHDLNMVVDNRPHQGLVLDASPLEMVSIRELEPMLVEGGRVPPLWVALDEVTDPQNLGAIVRSAYFFGAEGVVVCAKNSAPLSGVVSKASAGSLELIELRSCKNMMQFLTASSENGWRVLGGSVSSRAAPLCEIEVGVPTILVLGSEGTGLRPLVERSCTQLIRIPGNIREDVCAGESETEDAETDRAQSGHALKSFLTVESLNVSVATGVLLYHLIGRTTNSIG >DRNTG_30334.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:9339758:9341033:1 gene:DRNTG_30334 transcript:DRNTG_30334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHFRVERATTSKCESHLSGRFGKGLL >DRNTG_32117.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001786.1:75836:76490:1 gene:DRNTG_32117 transcript:DRNTG_32117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLRKQIAHSLLHPHPQRNPERQTTREGGR >DRNTG_21984.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:837328:840949:-1 gene:DRNTG_21984 transcript:DRNTG_21984.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIEDKGGAIALMLASLLFLGTWPALLSLLEQRGRLPQHTYLDYSITNFLTALLIALTIGQIGDAKPNMPNFLTQIGQDNWPSILFAMGGGIALSLGNLSTQYAWAFVGLSVTEVITASITVVIGTTMNYFLDDRINKAEILFPGVGCFLVAVFLGSVVHSSNAADNAKKLSSSSNYKSKTSFTAEQDPSEDIKSKGQGKDLETGIPPNSNSDTKAQKAKVGTAEYLMELENRRSIKVFGSKAILGLSITFFAGICFSLFSPAFNLATNDQWHTLKQGVPHLVVYTAFFYFSCSGFLCAIVLNIRFLYKPVLGLPKSSFTAYLKDCKGRQWAFLAGVLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRRSSKKTYVLLVSMLLMFVVAVAILMASSGHRKNSKN >DRNTG_21984.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:837328:840451:-1 gene:DRNTG_21984 transcript:DRNTG_21984.6 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIEDKGGAIALMLASLLFLGTWPALLSLLEQRGRLPQHTYLDYSITNFLTALLIALTIGQIGDAKPNMPNFLTQIGQDNWPSILFAMGGGIALSLGNLSTQYAWAFVGLSVTEVITASITVVIGTTMNYFLDDRINKAEILFPGVGCFLVAVFLGSVVHSSNAADNAKKLSSSSNYKSKTSFTAEQDPSEDIKSKGQGKDLETGIPPNSNSDTKAQKAKVGTAEYLMELENRRSIKVFGSKAILGLSITFFAGICFSLFSPAFNLATNDQWHTLKQGVPHLVVYTAFFYFSCSGFLCAIVLNIRFLYKPVLGLPKSSFTAYLKDCKGRQWAFLAGVLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRRSSKKTYVLLVSMLLMFVVAVAILMASSGHRKNSKN >DRNTG_21984.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:837328:840451:-1 gene:DRNTG_21984 transcript:DRNTG_21984.7 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIEDKGGAIALMLASLLFLGTWPALLSLLEQRGRLPQHTYLDYSITNFLTALLIALTIGQIGDAKPNMPNFLTQIGQDNWPSILFAMGGGIALSLGNLSTQYAWAFVGLSVTEVITASITVVIGTTMNYFLDDRINKAEILFPGVGCFLVAVFLGSVVHSSNAADNAKKLSSSSNYKSKTSFTAEQDPSEDIKSKGQGKDLETGIPPNSNSDTKAQKAKVGTAEYLMELENRRSIKVFGSKAILGLSITFFAGICFSLFSPAFNLATNDQWHTLKQGVPHLVVYTAFFYFSCSGFLCAIVLNIRFLYKPVLGLPKSSFTAYLKDCKGRQWAFLAGVLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRRSSKKTYVLLVSMLLMFVVAVAILMASSGHRKNSKN >DRNTG_21984.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:837328:840949:-1 gene:DRNTG_21984 transcript:DRNTG_21984.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIEDKGGAIALMLASLLFLGTWPALLSLLEQRGRLPQHTYLDYSITNFLTALLIALTIGQIGDAKPNMPNFLTQIGQDNWPSILFAMGGGIALSLGNLSTQYAWAFVGLSVTEVITASITVVIGTTMNYFLDDRINKAEILFPGVGCFLVAVFLGSVVHSSNAADNAKKLSSSSNYKSKTSFTAEQDPSEDIKSKGQGKDLETGIPPNSNSDTKAQKAKVGTAEYLMELENRRSIKVFGSKAILGLSITFFAGICFSLFSPAFNLATNDQWHTLKQGVPHLVVYTAFFYFSCSGFLCAIVLNIRFLYKPVLGLPKSSFTAYLKDCKGRQWAFLAGVLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRRSSKKTYVLLVSMLLMFVVAVAILMASSGHRKNSKN >DRNTG_21984.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:837328:841213:-1 gene:DRNTG_21984 transcript:DRNTG_21984.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIEDKGGAIALMLASLLFLGTWPALLSLLEQRGRLPQHTYLDYSITNFLTALLIALTIGQIGDAKPNMPNFLTQIGQDNWPSILFAMGGGIALSLGNLSTQYAWAFVGLSVTEVITASITVVIGTTMNYFLDDRINKAEILFPGVGCFLVAVFLGSVVHSSNAADNAKKLSSSSNYKSKTSFTAEQDPSEDIKSKGQGKDLETGIPPNSNSDTKAQKAKVGTAEYLMELENRRSIKVFGSKAILGLSITFFAGICFSLFSPAFNLATNDQWHTLKQGVPHLVVYTAFFYFSCSGFLCAIVLNIRFLYKPVLGLPKSSFTAYLKDCKGRQWAFLAGVLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRRSSKKTYVLLVSMLLMFVVAVAILMASSGHRKNSKN >DRNTG_21984.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:837328:840949:-1 gene:DRNTG_21984 transcript:DRNTG_21984.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIEDKGGAIALMLASLLFLGTWPALLSLLEQRGRLPQHTYLDYSITNFLTALLIALTIGQIGDAKPNMPNFLTQIGQDNWPSILFAMGGGIALSLGNLSTQYAWAFVGLSVTEVITASITVVIGTTMNYFLDDRINKAEILFPGVGCFLVAVFLGSVVHSSNAADNAKKLSSSSNYKSKTSFTAEQDPSEDIKSKGQGKDLETGIPPNSNSDTKAQKAKVGTAEYLMELENRRSIKVFGSKAILGLSITFFAGICFSLFSPAFNLATNDQWHTLKQGVPHLVVYTAFFYFSCSGFLCAIVLNIRFLYKPVLGLPKSSFTAYLKDCKGRQWAFLAGVLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRRSSKKTYVLLVSMLLMFVVAVAILMASSGHRKNSKN >DRNTG_21984.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:837328:840451:-1 gene:DRNTG_21984 transcript:DRNTG_21984.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIEDKGGAIALMLASLLFLGTWPALLSLLEQRGRLPQHTYLDYSITNFLTALLIALTIGQIGDAKPNMPNFLTQIGQDNWPSILFAMGGGIALSLGNLSTQYAWAFVGLSVTEVITASITVVIGTTMNYFLDDRINKAEILFPGVGCFLVAVFLGSVVHSSNAADNAKKLSSSSNYKSKTSFTAEQDPSEDIKSKGQGKDLETGIPPNSNSDTKAQKAKVGTAEYLMELENRRSIKVFGSKAILGLSITFFAGICFSLFSPAFNLATNDQWHTLKQGVPHLVVYTAFFYFSCSGFLCAIVLNIRFLYKPVLGLPKSSFTAYLKDCKGRQWAFLAGVLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRRSSKKTYVLLVSMLLMFVVAVAILMASSGHRKNSKN >DRNTG_18588.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14627226:14633635:1 gene:DRNTG_18588 transcript:DRNTG_18588.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANEEAVDFEPDEDDLMEEDVGMETEAVPVPKLRSTITGGSGEPSNAQRKTKGRGFREETGPRFAGRDFDSLDSDGGPGPLKSIEGWIVLVTGVHEEAQEDDLHNAFREFGQIKNLHLNLDRRTGFVKGYALIEYENFSEAQAAIRTLNGTELLTQTISVDWAFSNGPSKRRNQRRRSPRRRSRTPPRRY >DRNTG_22957.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9782224:9783792:-1 gene:DRNTG_22957 transcript:DRNTG_22957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPCVGRGSNSGSHTQNPKRKPYARDPRAIGPMPYFL >DRNTG_31277.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15692626:15693856:1 gene:DRNTG_31277 transcript:DRNTG_31277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPPGARMRVGLTALTMAEYFRDVNEQDKTCFYSSTIFFVSSKQDQKYPPYWGEVGYQPTLSTEMGSLQERITSTKEGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLAAKGIYPAVDPLDSTSTMLQPGIVGEEHYETAQAKS >DRNTG_35359.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18182260:18183726:1 gene:DRNTG_35359 transcript:DRNTG_35359.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDIKSIINSNLPIGGMTGKGSKTSESSSSSSDALSIDVKQSIECHDASSSIGFAALPVKSSDQDYWSFLALQQQQQQQQNSSNGALGFSVFSNGVNMGFMSSSHDQQNNMMGHGSGCYVWNNNGMVGEQQEQSSSCNSMPYGSTATTSSSSYYEGNNNYVGNWGAVAPNSSTAYYQYETGKTNMGLVQTPIYGIE >DRNTG_35359.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18182941:18183726:1 gene:DRNTG_35359 transcript:DRNTG_35359.3 gene_biotype:protein_coding transcript_biotype:protein_coding LWCKLTDVEVIIDLGTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDIKSIINSNLPIGGMTGKGSKTSESSSSSSDALSIDVKQSIECHDASSSIGFAALPVKSSDQDYWSFLALQQQQQQQQNSSNGALGFSVFSNGVNMGFMSSSHDQQNNMMGHGSGCYVWNNNGMVGEQQEQSSSCNSMPYGSTATTSSSSYYEGNNNYVGNWGAVAPNSSTAYYQYETGKTNMGLVQTPIYGIE >DRNTG_35359.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18181206:18183726:1 gene:DRNTG_35359 transcript:DRNTG_35359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDNPHNWLAFSLSHQPCLLEAFSSSPHGEEGGGGEVEMMGGREGPKLEDFLGAFPTEGGHYDASDLKSIAAGLLRPDSSTVSAATDALVPAPADARRPVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEDKAARAYDLAALKYWGPTTTTNFPVSNYEKELEEMKNMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDIKSIINSNLPIGGMTGKGSKTSESSSSSSDALSIDVKQSIECHDASSSIGFAALPVKSSDQDYWSFLALQQQQQQQQNSSNGALGFSVFSNGVNMGFMSSSHDQQNNMMGHGSGCYVWNNNGMVGEQQEQSSSCNSMPYGSTATTSSSSYYEGNNNYVGNWGAVAPNSSTAYYQYETGKTNMGLVQTPIYGIE >DRNTG_23530.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22297176:22304034:-1 gene:DRNTG_23530 transcript:DRNTG_23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSDSKFSAGMKAVVFLLFHLVLLSLTEAQSPGFLSIDCGGTEQYVDDIGLEWTPDNRFVFGKTAQISVPNEDRKQYSTVRYFPVDNQKYCYTLNVTTRTRYLLRATFLYGYFDNGTVFPKFDISLGPTPWSTIIISDANTIESEELVLLAASPTISLCLSNATTGQPFISTLELRQFNGSLYSTVYESQFFLSLSARINFGAVSNDSVRYPDDPFDRIWESDSVRRANYLVDVASGTENISTSLPVDVSIKEMPPQKVMQTAVVGQNGSLTYRLNLEGFPGNGWAVSYFAEIEDLNPNETRKFKLFIPGLPALSKATVNVQENALGSYRLYEPGYPNISLPFVFSFEFKKTNDSSKGPILNALEIYKYVEINYGSPDASVLASFVSRYPLADWAQEGGDPCLPASWSWVECSLDSNPKISLINLSGKNLTGNIPLELTKLTSLVDLRLDGNSLTGPIPDFSGCLNLEHIHLENNQLTGALPSYLADLPNLKELYLQDNMLSGSIPAGLLNKNIIFNYSGNVNLHKESSDKSHLIIIIICSVVGVLLLIAVFFACRFCAVRKKRKDFHKDNRTNARPTQKLRSFFSEIATESAYRFQLSEIDEATGKFKQKIGSGGFGIVYYGKLKDGKEIAVKVLTNESFQGYREFNNEVSLLSRIHHRNLVTFLGYSQEDGRNILVYEFMRNGTLKDHLHGSASLRRSLNWIKRLEIAEDAAKGIEYLHTGCSPTIIHRDLKSSNILLDEHMRAKVSDFGLSKPASDGSHVSSIVRGTVGYLDPEYYISQQFTEKSDIYSFGVILLELISGQEPISNENFGANCRNIVQWAKLHIDSGDIKGIIDPSLGDDYNEQSVWKIAEKAIMCVKPQGTQRPPMSEVLKDIQEAIAIEQGSEQCGELPIDIYSKHSIGSSVNVEPMDLVLPERNNSSPEMFMQPGLR >DRNTG_26511.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2327705:2330623:-1 gene:DRNTG_26511 transcript:DRNTG_26511.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFISSSPCLSFILLSIIFIAKHSITAADSDRKVYIAYMGEKASLETSAQSLHFNLLNHVLDGITARESIVHSYGRSFNAFAAKLTENEKQRLEDMEGIVSVFPSKTLHLHTTRSWDFLGFTETMELGKTLKSDVIVGIIDTGIWPESKSFSDEGFGPPPKKWKGSCNKNFTCNNKIIGAKYYAREGISVNEPSPRDTEGHGSHTASTVAGVTVRNVSFYGIAKGKARGAMPGARLAIYKVCWPDQGCSDEDLLAAFDDAIADGVDIISISIGGVIREYFSDSIAIGSFHAMKKGIVTSASAGNRGPSRESLSNMAPWMISVAASSIDRKIIDKVVVGNNKSFVGVSVNPFPTTKFLPFISPPSCYTGKPKAKGDIILCSDDDGGEAAISIHAAGLVSVDSSSYIDFGRQYPLPALDVIPEVGLQLNKYINSTRNPVAKILKSEGIFDPKAPVIVSFSSRGPSAITPDILKPDISAPGVNIIAAWSPKAKLTSIEADKRSANYNIISGTSMACPHVAGVAAYIKSPAAVLSALVTTATPMNPSYHPDAELGYGAGQLNPMNVVKPGLIYDANVADYIEMLCNMGYNTTKLRIITGDKSTCNSSKTKNGSVRDLNYPSVSLKAKKGMPVHASFPRTVTNVGLANSVYKARIIITSNFKHNITVKPQVLKFEALNQRLEFVVTVSGPAMKVGSVASASLVWFDGKHSVRSPIVVFV >DRNTG_27789.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3268798:3273820:1 gene:DRNTG_27789 transcript:DRNTG_27789.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLHYLSSKLMVDNEVLICLLDPIQKPCPDNGHSWHGAMKAPLSTLSVGEPAKEFEDIIGFGNELQLDPVVDHLDEVYAFVREEELGCSSDILHRLTGDGLTNLSLSCNGDAQRLQLSCSVDRSQTVRLSRSGDGSQKYQISGSSNGLAKAALIVMESQDMTPESHNLAGKKASTNVRWTAMMTSFMLTSLVEQANLGLKSDKGFKNVALNAVARAVSARFNLTVSDTHVNNRLRHVRKIWLIIKKIKSLSGVSWDDVEKKIVMGEEYQIYIHAHPREEIYINKAIQDYDEMTIVCGNDQATGSFARTGAQSARSLGVRMDQPPTSPVIDLEN >DRNTG_18520.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:13519715:13520937:1 gene:DRNTG_18520 transcript:DRNTG_18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVAQDSVQYKERLAKSHGGKVVTRRPENSKVVKRKKNGGFPLHYVVSEL >DRNTG_33374.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20897317:20897752:1 gene:DRNTG_33374 transcript:DRNTG_33374.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPMEEPNEEGFDENEQNEEEFCQVFSEDDPIEEEVYEEEPIEEELYEEELIEEEVCEEEPIEEEISEEEPIEEETSEDEPNEKESYEEDPNEEENHKGEPAEEAIYEEEFIEEEICE >DRNTG_33374.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20896560:20897752:1 gene:DRNTG_33374 transcript:DRNTG_33374.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKNGSVALSLTDSSGCDEDTDIESEEPMEEPMEEPNEEGFDENEQNEEEFCQVFSEDDPIEEEVYEEEPIEEELYEEELIEEEVCEEEPIEEEISEEEPIEEETSEDEPNEKESYEEDPNEEENHKGEPAEEAIYEEEFIEEEICE >DRNTG_33374.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20896560:20897752:1 gene:DRNTG_33374 transcript:DRNTG_33374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKNGSVALSLTDSSGCDEDTDIESEEPMEEPMEEPNEEGFDENEQNEEEFCQVFSEDDPIEEEVYEEEPIEEELYEEELIEEEVCEEEPIEEEISEEEPIEEETSEDEPNEKESYEEDPNEEENHKGEPAEEAIYEEEFIEEEICE >DRNTG_33374.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20897183:20897752:1 gene:DRNTG_33374 transcript:DRNTG_33374.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERKNGSVALSLTDSSGCDEDTDIESEEPMEEPMEEPNEEGFDENEQNEEEFCQVFSEDDPIEEEVYEEEPIEEELYEEELIEEEVCEEEPIEEEISEEEPIEEETSEDEPNEKESYEEDPNEEENHKGEPAEEAIYEEEFIEEEICE >DRNTG_24747.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2262797:2266407:-1 gene:DRNTG_24747 transcript:DRNTG_24747.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAPLPPALWLLSCFFTLLCLEEITGFDNNMVHSFPLTLQLDSSLKEQTGALIPEMPPNSSPQPLIPLLAPSPLAPFSNSSTPKLSGQCTLNFSAVESLMKTTAVDCWASFAPFLANVICCPQLQATLAILIGQSSKNSGMLALDSTRANYCLSDVQQILQSRGANPDLQHICSIHPSNFSEASCPVSDVNGFESVVDSSKLLAACQKVDPVNECCSQKCQSAILDAARELAFRDGGLPSLNVAHLSSERTSRIDDCRSIVLRWLSSRLDPLSAQQVLRRISNCNVNAGNLRQALKVTCLAQSPFCPLVFPNAENVGRECGNKIKNHTTCCNAMDSYIVHLQKQSFITNLQALDCASLLGLQLQKMNISRNIYNYCHVTLKDFSLQESGCLLPSLPSDATFDPFSGISFTCDLNDNIAAPWPNASQAPSSSCNKTVKLPALPAATSAHPGKSMMGMMLALLIDSLLTFMLLV >DRNTG_16435.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7635723:7637632:-1 gene:DRNTG_16435 transcript:DRNTG_16435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHALIEKLGFQVDVFLLNTLLHMYCRCESMIDARRMFETMPLRDVVTWNILITQYTKLGVMEIARELFEVMPERSVRSWTALIAGYVQCGQPKEAIQLFQEMENAGCQPNEVTVVAVLAACADLGALDLGERLCKYAESCGFLKNVRVCNTLIDMYIKCGCVDIARNVFDGMTERTVVSWSAMIGGHAMHGQGEEALELFAKMDETGIRPNSVTFVGLLHACSHMGLLDEGRRFFTSMIEDYGLVPEIEHYGCMVDLLSRAGLLEEAHEFIRKMPVEPNGVVWGALLGGARVHKDIKMGEEAIKHLVKLDPSNDGYYVVLSNIYADAGHFDAAAQVRRLMRDQGVKKTPGWSTITINNVTHEFVAGESKHPQTEEIYKKWDELLHEMKLRGYVPDSSVVLLDMDEAEKEHVLYRHSEKLAVVFGLMNTPPGTTIKIMKNLRVCSDCHVALKLISEITDRQIVVRDRNRFHSFKNGTCSCRDYW >DRNTG_05307.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2719819:2726725:-1 gene:DRNTG_05307 transcript:DRNTG_05307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGLMNFLRACFWPSSERYVHTGSDASGRQDGLLWYKDSGQHLNGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGVYDGHGGPETSRYINDHLFYHLKRFAAENQSMSADVIRKAYQATEEGFHTLVTKQWPMKPQIAAVGSCCLVGVISGGTLYVANLGDSRVVLGRLVKATGEVLAVQLSAEHNASIESVRQELQSLHPDDSHIVVLKHNVWRVKGLIQVTRSIGDVYLKKTEFNREPLFAKFRLREPFKKPILSAEPSISVQALQPHDQFLIFASDGLWEHLSNQEAVDIVQNNPRNGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVVVVFLDSNLISKAGSSKGPPLSLRGGGITLPANSLAPCTPPAELGT >DRNTG_33333.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3438108:3441477:1 gene:DRNTG_33333 transcript:DRNTG_33333.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLFGLETTLIILLYVSLFLKLHHKNFIITLAEASSVHIIYMGEKHHEDPTVVEEFHYDMLEILLGSKEAAQESILYSYKHAFSGFAAKLSKSQAEAIEDFPGIVHVIPNRVHKLHTTNSWDFIGLNSHSTKNLLTEAKMGEDVIIGVIDTGIWPESESFKDEGMAPVPSRWKGTCQQGEEFNYTNCNKKLIGARWFVKGAEAETKRSINSIKEEEYISARDFIGHGTHTASIVAGFPVRKASYKGLAAGTARGGAPHSRIAAYKACWAVVIDQGCTDADILKAFDEAVNDGVDIISVSLGSNIPLFGYIEDSISIGAFHAVAKGITVICSAGNDGPFSQTISNTAPWIITVAASTIDRAFPTAITLGNNLTLMGQSMNTPRRRHKNRFHRMEYSEWIAGNDFATDSGGFIVANSSFLNMLSAQTNHQVSF >DRNTG_33333.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3438108:3441477:1 gene:DRNTG_33333 transcript:DRNTG_33333.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLFGLETTLIILLYVSLFLKLHHKNFIITLAEASSVHIIYMGEKHHEDPTVVEEFHYDMLEILLGSKEAAQESILYSYKHAFSGFAAKLSKSQAEAIEDFPGIVHVIPNRVHKLHTTNSWDFIGLNSHSTKNLLTEAKMGEDVIIGVIDTGIWPESESFKDEGMAPVPSRWKGTCQQGEEFNYTNCNKKLIGARWFVKGAEAETKRSINSIKEEEYISARDFIGHGTHTASIVAGFPVRKASYKGLAAGTARGGAPHSRIAAYKACWAVVIDQGCTDADILKAFDEAVNDGVDIISVSLGSNIPLFGYIEDSISIGAFHAVAKGITVICSAGNDGPFSQTISNTAPWIITVAASTIDRAFPTAITLGNNLTLMGQSMNTPRRRHKNRFHRMEYSEWIAGNDFATDSGGNACHEGMLNATLAKGKVILCFSTTEEDHIENAATSASEAGAIGIIFAQTRNSMMYPCDAIPCIKVTYEVGTQILTYIRRTKSPVVKLGHPKTVNGKWVAPRIAYFSSRGPGSFSPAVLKPDIAAPGVNILAAHSDPKNSEDRFIFLSGTSMACPHVSGVAALIKSVHWEWSPAAIRSALITTASQAGTDGELIINEDGTRKQADPFDFGGGHMDPTRVAHPGLIYNMSSEDYIPFLCSLGCKTKAISNLVQHKTHCPKTNSALDLNLPSIVIPDLKRTVTVSREVTNVGHVNSVYKAHINPPNGIKVQVIPNTLSFNSTIKSIKFKVAFSSNTTVHKDYSFGSLTWTDGVHNVRSPIAVRAILFDSYADM >DRNTG_33333.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3438108:3441477:1 gene:DRNTG_33333 transcript:DRNTG_33333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLFGLETTLIILLYVSLFLKLHHKNFIITLAEASSVHIIYMGEKHHEDPTVVEEFHYDMLEILLGSKEAAQESILYSYKHAFSGFAAKLSKSQAEAIEDFPGIVHVIPNRVHKLHTTNSWDFIGLNSHSTKNLLTEAKMGEDVIIGVIDTGIWPESESFKDEGMAPVPSRWKGTCQQGEEFNYTNCNKKLIGARWFVKGAEAETKRSINSIKEEEYISARDFIGHGTHTASIVAGFPVRKASYKGLAAGTARGGAPHSRIAAYKACWAVVIDQGCTDADILKAFDEAVNDGVDIISVSLGSNIPLFGYIEDSISIGAFHAVAKGITVICSAGNDGPFSQTISNTAPWIITVAASTIDRAFPTAITLGNNLTLMGQSMNTPRRRHKNRFHRMEYSEWIAGNDFATDSGGFIVANSSFLNMLSAQTNHQVSF >DRNTG_00773.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:12825538:12826727:1 gene:DRNTG_00773 transcript:DRNTG_00773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLDDEEHAVFPEVDDEEDERDEVDDREDDEDEDEDGEIEPSSAPLPPSVPVTDLGTMDPNPGMIPNPNPIAIHVAVSAVENGSVQVQPVLSDVATEDLTTPTAEERRQPDRQRR >DRNTG_02676.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20730798:20734038:1 gene:DRNTG_02676 transcript:DRNTG_02676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSIPLLHPSPSRSPSPAHWTISQTPRRNPSKKSDHIVLGPAAGQGMPDRLQCQGLKAMNGIHSSNTNRESNNCDTVTFVTVFTVYNSHLKTESAKVDDKSLGTVTVGNTSYTKTERSMAVLNIFVNFIQVSMPRSNVIILTDPASEFSVEKNVATILAIHGDYSRENLMLQRIRSYITFLEQKLEEHPERLKCVNHYIFTDSDIAVVDNLAHIFQEYPNFHLALTFRNNKDQPLNSGFIAIRGTVEGILRAKAFLQEVLEVYSTKYMKASRMLGDQLALAWVVKSHLSFAFKKFSRHEAFSGDMNGTSVLFLPCAIYNWTPPEGAGQFHGMPLDVKVVHFKGSRNRLMLESWNLFNSTSNLSDMLCLILASGRTKYDF >DRNTG_02676.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20730798:20731850:1 gene:DRNTG_02676 transcript:DRNTG_02676.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSIPLLHPSPSRSPSPAHWTISQTPRRNPSKKSDHIVLGPAAGQGMPDRLQCQGLKAMNGIHSSNTNRESNNCDTVTFVTVFTVYNSHLKTESAKVDDKSLGTVTVGNTSYTKTERSMAVLNIFVNFIQ >DRNTG_22318.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:464447:467189:1 gene:DRNTG_22318 transcript:DRNTG_22318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSEIVKLRQEQQNTRAHLQALEVRLQGTEQKQQQMMTFLARAMQNPDFLQQLIQQKERRKELEEAMTKKRRRPIDRVPDPGGAETSGSRDLETELRDLYGYQVTELENLALEIQAYDRNIDGEEAYDEKQGGAGELTDDFWEELLNEGISEKSGAELQGQDDEDVNFLAEKLGYLSSTSPK >DRNTG_06626.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18847083:18847295:-1 gene:DRNTG_06626 transcript:DRNTG_06626.1 gene_biotype:protein_coding transcript_biotype:protein_coding YYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYLYNSPPPPSPSPHLPTTTNLL >DRNTG_19413.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12065033:12067022:1 gene:DRNTG_19413 transcript:DRNTG_19413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCIHPNIQAPKVSPSTTQSPSASQPPQTPHVEASTHASDDQHEATEDQPNESNSTGPPWFITPDSVIIDYEVKRAIHDLVQGHYKEAWTGWGKVPRNVRQRMFTAFRIEELGREPTPKECFIRTHGKKDGTLEGERATQIVEQFDKVIADKRDQGEDENSINQNELWDRIAIGSRNRVLGKGNIIQQMSSINYKPRSGPSHSSGQLYAQINELQAELARTQAEHNTMLAEHDKMRAEHDAMRIEWTRRESFEMSLLAALKVKGIDLSDMHVATLTRSIPRAPTAEAQSHVDEHSPTRKRPRTTLVPNNTLDDPNDEEEDIC >DRNTG_33848.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2012044:2014633:-1 gene:DRNTG_33848 transcript:DRNTG_33848.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CutA, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G33740) UniProtKB/Swiss-Prot;Acc:P93009] MALLSLLRFPSASASPPPYSHRRNIATTALLRRRAPLVGALWVLSLGTFTTFACRTGCSLSLPFLRSKGRTLHSTAMASASTTVPSIVVYVTVPNKEAGKKLSESIIKERLAACVNRVPGIESVYWWDGKVQSDAEELLIIKTRESLLNALTEHVKANHEYDLPEVIALPIKGGNEKYLEWIKNSTKDE >DRNTG_33848.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2013340:2014633:-1 gene:DRNTG_33848 transcript:DRNTG_33848.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CutA, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G33740) UniProtKB/Swiss-Prot;Acc:P93009] MALLSLLRFPSASASPPPYSHRRNIATTALLRRRAPLVGALWVLSLGTFTTFACRTGCSLSLPFLRSKGRTLHSTAMASASTTVPSIVVYVTVPNKEAGKKLSESIIKERLAACVNRVPGMRLVLNIFYIK >DRNTG_33848.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2013340:2014633:-1 gene:DRNTG_33848 transcript:DRNTG_33848.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CutA, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G33740) UniProtKB/Swiss-Prot;Acc:P93009] MALLSLLRFPSASASPPPYSHRRNIATTALLRRRAPLVGALWVLSLGTFTTFACRTGCSLSLPFLSRSKGRTLHSTAMASASTTVPSIVVYVTVPNKEAGKKLSESIIKERLAACVNRVPGMRLVLNIFYIK >DRNTG_33848.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2012044:2014633:-1 gene:DRNTG_33848 transcript:DRNTG_33848.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CutA, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G33740) UniProtKB/Swiss-Prot;Acc:P93009] MALLSLLRFPSASASPPPYSHRRNIATTALLRRRAPLVGALWVLSLGTFTTFACRTGCSLSLPFLRSKGRTLHSTAMASASTTVPSIVVYVTVPNKEAGKKLSESIIKERLAACVNRVPGMRLVLNIFYIKSLKSLLCFPKLIAITHVNRN >DRNTG_33848.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2012044:2013054:-1 gene:DRNTG_33848 transcript:DRNTG_33848.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CutA, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G33740) UniProtKB/Swiss-Prot;Acc:P93009] TSTPLSFVFIEINPQGFILLSTSIFVIAGIESVYWWDGKVQSDAEELLIIKTRESLLNALTEHVKANHEYDLPEVIALPIKGGNEKYLEWIKNSTKDE >DRNTG_13049.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23778864:23784582:-1 gene:DRNTG_13049 transcript:DRNTG_13049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGIHSSFIISALSALYDETKTPIPTATQSSGSNNNPNDDDDSGGNADDQTAAGGAGSVEMAETGGSAGSNNGRRPRGRPPGSKNKPKPPIIITRDSPNALRSHVLEIANGTDIMEAMNNFARKRQRGVCILSASGVVTNVSLRQPGAPPGAVVTLHGRFEILSLSGAFLPAPSPPDATGLKVYLAGGQGQVVGGSVMGELLASGPVMVIAATFSNATFERLPLEDDAPAGEQPVSEGMQLQQSPGGSAGAAGLAADPSLAPMLNLPPNLIPNGQFPPDMFGAWPPSAPRPPSYQ >DRNTG_08700.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18044294:18045942:1 gene:DRNTG_08700 transcript:DRNTG_08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGSTQSTSQGSSDSSGLVWIIIMIGVLVTIGKIYFLYTLYQKHVLRKTNNSNPSPVMYGDANMVSPNLWIEDATVERFLKQIAKEKPIRFTFPQLAGITRNYTIRLGSGGFGIVYKGQLPNGVQVAVKVLNTVGSEDKRLMEQQFMAEIGTIGRTFHANLVKLYGFCYDSIARALIYEYMDKGSLDTYLFDKSHIITWEKLHEIAIGTAKALSYLHDECEQRIIHYDIKPANILLDNNFTPKVADFGLAKLCNRENTHVSMTVGRGTPGYAAPEMWMMGQVTYKCDVYSFGILLFEIAGRRRSFDASLEEDERWFPKWVWERYENGEMEKVLEMIGVDDEHKGETERKLMVALWCVQYQPERRPPMDKVVKMLEGEMEIVPPLNPFQHLLSTSAPSKDLWSGTSLSSSGADNQGLRFHSLQQQQQQQQEAARFYSLPV >DRNTG_08700.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18044294:18045942:1 gene:DRNTG_08700 transcript:DRNTG_08700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGDANMVSPNLWIEDATVERFLKQIAKEKPIRFTFPQLAGITRNYTIRLGSGGFGIVYKGQLPNGVQVAVKVLNTVGSEDKRLMEQQFMAEIGTIGRTFHANLVKLYGFCYDSIARALIYEYMDKGSLDTYLFDKSHIITWEKLHEIAIGTAKALSYLHDECEQRIIHYDIKPANILLDNNFTPKVADFGLAKLCNRENTHVSMTVGRGTPGYAAPEMWMMGQVTYKCDVYSFGILLFEIAGRRRSFDASLEEDERWFPKWVWERYENGEMEKVLEMIGVDDEHKGETERKLMVALWCVQYQPERRPPMDKVVKMLEGEMEIVPPLNPFQHLLSTSAPSKDLWSGTSLSSSGADNQGLRFHSLQQQQQQQQEAARFYSLPV >DRNTG_08700.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18044294:18045942:1 gene:DRNTG_08700 transcript:DRNTG_08700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFACSNHNTGFLLVKGVLVTIGKIYFLYTLYQKHVLRKTNNSNPSPVMYGDANMVSPNLWIEDATVERFLKQIAKEKPIRFTFPQLAGITRNYTIRLGSGGFGIVYKGQLPNGVQVAVKVLNTVGSEDKRLMEQQFMAEIGTIGRTFHANLVKLYGFCYDSIARALIYEYMDKGSLDTYLFDKSHIITWEKLHEIAIGTAKALSYLHDECEQRIIHYDIKPANILLDNNFTPKVADFGLAKLCNRENTHVSMTVGRGTPGYAAPEMWMMGQVTYKCDVYSFGILLFEIAGRRRSFDASLEEDERWFPKWVWERYENGEMEKVLEMIGVDDEHKGETERKLMVALWCVQYQPERRPPMDKVVKMLEGEMEIVPPLNPFQHLLSTSAPSKDLWSGTSLSSSGADNQGLRFHSLQQQQQQQQEAARFYSLPV >DRNTG_26607.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19918736:19919462:1 gene:DRNTG_26607 transcript:DRNTG_26607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQIVPAGKKVEAQYVEMMVPLYSYGCEKKVKKTLAHLRGIHSVHVDYELQKVTVWGICNKDHVLATIKKKRREARFWEQKEANESAEIEAKNDMDEDQEASRSTINVAVPSRSFKFRKSWKRLLPTLVLY >DRNTG_35335.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2751014:2751765:1 gene:DRNTG_35335 transcript:DRNTG_35335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNETITIYETTLNYTTIQTALNAPVTSQIQTTLKCNIINRSKRTSCMTNTNTTTNSTLNNNNNNK >DRNTG_13604.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000625.1:5476:6124:-1 gene:DRNTG_13604 transcript:DRNTG_13604.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVVITNPIRVLARNLFRPNPPTITLQLGESQIRAETQPRYSANGWRGMKCPVVMVYS >DRNTG_15618.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20877081:20878770:1 gene:DRNTG_15618 transcript:DRNTG_15618.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKPILFSPSQTPSFFHRPVKPKLADELQQSLLDQLFNAAELVEAGNLVGARGILARLNHQLPSSPFGKPLIRTSFYFRDALNLLLLSPSPPPPPLSTPLDVVLKLSAFKAFSEVSPILQFTNFTSIQALLEELAGSPRIHIIDFDIGVGGQWSSFMQELAQRRRSGASSPSLKITAFISPNSSYHPLELHLTRENLSQFAAELHIQFEFNAMNLESFDPVSILAMSQEEAIAVNLPVSSSLGPSISTIFRLVKQLSPKIVISVDHGCDRSELSFSRHFLHCFQSSMVLLDSIDAAGTTPEVAHKIERFLLQPRIESAVLGRHRFVEKMLTWRSLFVSTGFVPLPFSNFTETQAECLLKRVQVRGFHVEKRQSSLYLYWQRGELVSVSAWRC >DRNTG_15618.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20876181:20878668:1 gene:DRNTG_15618 transcript:DRNTG_15618.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMPFNLQTKGVGEEEVGGAIGGGGGRGGARGALLLTGVKRSRGELEPRSVLDHRRSPSPPTSTSTLSSSLGGGVASSDHPPDVFPSEISEKVTILHTTTSAAAASATDDWDCLLADPSSTTQEQTFLRWIMSDDPSSSSLLEPSFSFDPLPSFSTTTTTTTTASSSPPPPPPPPPPPLFFPESMEEKPILFSPSQTPSFFHRPVKPKLADELQQSLLDQLFNAAELVEAGNLVGARGILARLNHQLPSSPFGKPLIRTSFYFRDALNLLLLSPSPPPPPLSTPLDVVLKLSAFKAFSEVSPILQFTNFTSIQALLEELAGSPRIHIIDFDIGVGGQWSSFMQELAQRRRSGASSPSLKITAFISPNSSYHPLELHLTRENLSQFAAELHIQFEFNAMNLESFDPVSILAMSQEEAIAVNLPVSSSLGPSISTIFRLVKQLSPKIVISVDHGCDRSELSFSRHFLHCFQSSMVLLDSIDAAGTTPEVAHKIERFLLQPRIESAVLGRHRFVEKMLTWRSLFVSTGFVPLPFSNFTETQAECLLKRVQVRGFHVEKRQSSLYLYWQRGELVSVSAWRC >DRNTG_15618.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20876181:20878770:1 gene:DRNTG_15618 transcript:DRNTG_15618.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMPFNLQTKGVGEEEVGGAIGGGGGRGGARGALLLTGVKRSRGELEPRSVLDHRRSPSPPTSTSTLSSSLGGGVASSDHPPDVFPSEISEKVTILHTTTSAAAASATDDWDCLLADPSSTTQEQTFLRWIMSDDPSSSSLLEPSFSFDPLPSFSTTTTTTTTASSSPPPPPPPPPPPLFFPESMEEKPILFSPSQTPSFFHRPVKPKLADELQQSLLDQLFNAAELVEAGNLVGARGILARLNHQLPSSPFGKPLIRTSFYFRDALNLLLLSPSPPPPPLSTPLDVVLKLSAFKAFSEVSPILQFTNFTSIQALLEELAGSPRIHIIDFDIGVGGQWSSFMQELAQRRRSGASSPSLKITAFISPNSSYHPLELHLTRENLSQFAAELHIQFEFNAMNLESFDPVSILAMSQEEAIAVNLPVSSSLGPSISTIFRLVKQLSPKIVISVDHGCDRSELSFSRHFLHCFQSSMVLLDSIDAAGTTPEVAHKIERFLLQPRIESAVLGRHRFVEKMLTWRSLFVSTGFVPLPFSNFTETQAECLLKRVQVRGFHVEKRQSSLYLYWQRGELVSVSAWRC >DRNTG_15618.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20877081:20878668:1 gene:DRNTG_15618 transcript:DRNTG_15618.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKPILFSPSQTPSFFHRPVKPKLADELQQSLLDQLFNAAELVEAGNLVGARGILARLNHQLPSSPFGKPLIRTSFYFRDALNLLLLSPSPPPPPLSTPLDVVLKLSAFKAFSEVSPILQFTNFTSIQALLEELAGSPRIHIIDFDIGVGGQWSSFMQELAQRRRSGASSPSLKITAFISPNSSYHPLELHLTRENLSQFAAELHIQFEFNAMNLESFDPVSILAMSQEEAIAVNLPVSSSLGPSISTIFRLVKQLSPKIVISVDHGCDRSELSFSRHFLHCFQSSMVLLDSIDAAGTTPEVAHKIERFLLQPRIESAVLGRHRFVEKMLTWRSLFVSTGFVPLPFSNFTETQAECLLKRVQVRGFHVEKRQSSLYLYWQRGELVSVSAWRC >DRNTG_15618.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20876181:20878856:1 gene:DRNTG_15618 transcript:DRNTG_15618.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMPFNLQTKGVGEEEVGGAIGGGGGRGGARGALLLTGVKRSRGELEPRSVLDHRRSPSPPTSTSTLSSSLGGGVASSDHPPDVFPSEISEKVTILHTTTSAAAASATDDWDCLLADPSSTTQEQTFLRWIMSDDPSSSSLLEPSFSFDPLPSFSTTTTTTTTASSSPPPPPPPPPPPLFFPESMEEKPILFSPSQTPSFFHRPVKPKLADELQQSLLDQLFNAAELVEAGNLVGARGILARLNHQLPSSPFGKPLIRTSFYFRDALNLLLLSPSPPPPPLSTPLDVVLKLSAFKAFSEVSPILQFTNFTSIQALLEELAGSPRIHIIDFDIGVGGQWSSFMQELAQRRRSGASSPSLKITAFISPNSSYHPLELHLTRENLSQFAAELHIQFEFNAMNLESFDPVSILAMSQEEAIAVNLPVSSSLGPSISTIFRLVKQLSPKIVISVDHGCDRSELSFSRHFLHCFQSSMVLLDSIDAAGTTPEVAHKIERFLLQPRIESAVLGRHRFVEKMLTWRSLFVSTGFVPLPFSNFTETQAECLLKRVQVRGFHVEKRQSSLYLYWQRGELVSVSAWRC >DRNTG_15618.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20876181:20878856:1 gene:DRNTG_15618 transcript:DRNTG_15618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMPFNLQTKGVGEEEVGGAIGGGGGRGGARGALLLTGVKRSRGELEPRSVLDHRRSPSPPTSTSTLSSSLGGGVASSDHPPDVFPSEISEKVTILHTTTSAAAASATDDWDCLLADPSSTTQEQTFLRWIMSDDPSSSSLLEPSFSFDPLPSFSTTTTTTTTASSSPPPPPPPPPPPLFFPESMEEKPILFSPSQTPSFFHRPVKPKLADELQQSLLDQLFNAAELVEAGNLVGARGILARLNHQLPSSPFGKPLIRTSFYFRDALNLLLLSPSPPPPPLSTPLDVVLKLSAFKAFSEVSPILQFTNFTSIQALLEELAGSPRIHIIDFDIGVGGQWSSFMQELAQRRRSGASSPSLKITAFISPNSSYHPLELHLTRENLSQFAAELHIQFEFNAMNLESFDPVSILAMSQEEAIAVNLPVSSSLGPSISTIFRLVKQLSPKIVISVDHGCDRSELSFSRHFLHCFQSSMVLLDSIDAAGTTPEVAHKIERFLLQPRIESAVLGRHRFVEKMLTWRSLFVSTGFVPLPFSNFTETQAECLLKRVQVRGFHVEKRQSSLYLYWQRGELVSVSAWRC >DRNTG_15618.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20877081:20878770:1 gene:DRNTG_15618 transcript:DRNTG_15618.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKPILFSPSQTPSFFHRPVKPKLADELQQSLLDQLFNAAELVEAGNLVGARGILARLNHQLPSSPFGKPLIRTSFYFRDALNLLLLSPSPPPPPLSTPLDVVLKLSAFKAFSEVSPILQFTNFTSIQALLEELAGSPRIHIIDFDIGVGGQWSSFMQELAQRRRSGASSPSLKITAFISPNSSYHPLELHLTRENLSQFAAELHIQFEFNAMNLESFDPVSILAMSQEEAIAVNLPVSSSLGPSISTIFRLVKQLSPKIVISVDHGCDRSELSFSRHFLHCFQSSMVLLDSIDAAGTTPEVAHKIERFLLQPRIESAVLGRHRFVEKMLTWRSLFVSTGFVPLPFSNFTETQAECLLKRVQVRGFHVEKRQSSLYLYWQRGELVSVSAWRC >DRNTG_15618.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20877081:20878856:1 gene:DRNTG_15618 transcript:DRNTG_15618.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKPILFSPSQTPSFFHRPVKPKLADELQQSLLDQLFNAAELVEAGNLVGARGILARLNHQLPSSPFGKPLIRTSFYFRDALNLLLLSPSPPPPPLSTPLDVVLKLSAFKAFSEVSPILQFTNFTSIQALLEELAGSPRIHIIDFDIGVGGQWSSFMQELAQRRRSGASSPSLKITAFISPNSSYHPLELHLTRENLSQFAAELHIQFEFNAMNLESFDPVSILAMSQEEAIAVNLPVSSSLGPSISTIFRLVKQLSPKIVISVDHGCDRSELSFSRHFLHCFQSSMVLLDSIDAAGTTPEVAHKIERFLLQPRIESAVLGRHRFVEKMLTWRSLFVSTGFVPLPFSNFTETQAECLLKRVQVRGFHVEKRQSSLYLYWQRGELVSVSAWRC >DRNTG_31836.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:20166704:20168577:-1 gene:DRNTG_31836 transcript:DRNTG_31836.1 gene_biotype:protein_coding transcript_biotype:protein_coding DTPRRIGADESSPTNLLPNGARCRSRRTSLNPLPIPLKTLAAALSQVGEKMEKRMLK >DRNTG_13986.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27796670:27819552:1 gene:DRNTG_13986 transcript:DRNTG_13986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNNNNNNNNKMKMMMVNFSIIIITINLILSPSMALSTMADDASSCSCSKVAQTSDKHKAMSLKFIAIASILSAGAMGVLVPILGRSIAVLRPESDVFFIIKSFAAGVILATGFIHILPDAFERLTSKCLPEEPWRRFPFAGFVAMSSAMGTMMVDSFATGYYKRSYISKALPVDVDLDVDVHEVAQVESSQQPQFHSHEHGHALQDGSLSEKIRHRVISQVLELGIVVHSVIIGISLGASEKSSTIRPLVGALSFHQFFEGIGLGGCIVQANFRAKATVIMVVFFSLTVPVGIVLGIGVF >DRNTG_13986.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27796670:27799709:1 gene:DRNTG_13986 transcript:DRNTG_13986.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNNNNNNNNKMKMMMVNFSIIIITINLILSPSMALSTMADDASSCSCSKVAQTSDKHKAMSLKFIAIASILSAGAMGVLVPILGRSIAVLRPESDVFFIIKSFAAGVILATGFIHILPDAFERLTSKCLPEEPWRRFPFAGFVAMSSAMGTMMVDSFATGYYKRSYISKALPVDVDLDVDVHEVAQVESSQQPQFHSHEHGHALQDGSLSEKIRHRVISQVLELGIVVHSVIIGISLGASEKSSTIRPLVGALSFHQFFEGIGLGGCIVQANFRAKATVIMAVFFSLTAPVGIALGIGVSSSYDEHSSTALIIEGMFNAASAGILIYMALVDLLAADFMNTRLQSNGRLQLGTHLALLLGAGLMSLLAKWA >DRNTG_14530.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7477223:7480827:1 gene:DRNTG_14530 transcript:DRNTG_14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAPVENFYMGVDNFHTPVWIPAMNSAATVLSAILLQCSATVSGLNSFPNPYFHRSNANGHTFTSWITCIFNDRHVGVPETGSDPTLLVFACLALSATGNGRN >DRNTG_33587.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:27377212:27380424:-1 gene:DRNTG_33587 transcript:DRNTG_33587.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHWNQHKHPNNPSNINTLKLNMKIWRGIDLDVVRLLPEHRVEHEEQYATGSGSGGGTGGDD >DRNTG_21579.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19208638:19212063:-1 gene:DRNTG_21579 transcript:DRNTG_21579.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional aspartate aminotransferase and glutamate/aspartate-prephenate aminotransferase [Source:Projected from Arabidopsis thaliana (AT2G22250) UniProtKB/Swiss-Prot;Acc:Q9SIE1] MESISISSSSSLLIPHKSLPKSSFSKVLSISMAKAWSEKSWSLKVRSEAAVDPSISPRVNSVKPSKTVAITDLATSLVQSGVPVIRLAAGEPDFDTPEVIAEAGMKAIRDGYTRYTPNAGTQELRQAICHKLKEENGLDYTPDQIVVSNGAKQAIMQAVVAVCSPGDEVLIPAPFWVSYPEMARLADATPVILPTDISDNFLLKPENLASMLNEKSRLLILCSPSNPTGSVYPKELLDQIADIVRKHPRLLVLSDEIYEHIIYQPAKHTSFASLPGMWERTLTVNGFSKAFAMTGWRLGYIAGPKHFVTACGKIQSQFTSGASSISQKAGVAALGLGYAGGETVAKMVKAFQDRRDFLVKSFRRIGRCQNIKTSGCILFVS >DRNTG_02943.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:14279374:14279965:-1 gene:DRNTG_02943 transcript:DRNTG_02943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRRECRPNDASSSPRDAECFSSFDDEASPLSSSP >DRNTG_15147.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18711052:18723103:-1 gene:DRNTG_15147 transcript:DRNTG_15147.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLEKRGRVYILTLTGSNEHRFNLTTVLSIRSALAQVRSDSGAGASLVTAAEGKFFSNGLDVAWISASTPDLIHQYESALRAMIADLVSLPMPTIAAVTGHAAG >DRNTG_20268.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23645500:23649350:-1 gene:DRNTG_20268 transcript:DRNTG_20268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSTLYGINYTLCLSEKEMVTDDVMDTFDDAHETTMAMIGDALRNLHEVKIVILPIIMNGHFHVVVLDIEKQEYMHYSSCQKRGVR >DRNTG_18622.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2898252:2899198:-1 gene:DRNTG_18622 transcript:DRNTG_18622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNDALPKISVYPESYTVTADGEILKCDPASSVSLCRNFFLF >DRNTG_32956.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30866167:30867856:1 gene:DRNTG_32956 transcript:DRNTG_32956.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQHEVKWFKDYFKGAKIVQVQGSLNPVEIIHTSKPIGDYLEASIEKVLEILTSEPTGDILVFLPGGDDIEIGSSRLTDLLKDRTNEFGPVRVLPLHSTLSIEHQKKIFKAAPPSTRKGGPVGRKVIMSTDIAESSLAIDGIAYVIDSGFSKQKVYNAGLHAESLLVLPISRASAYRRSGCCRRSVSGKCYRLYTKKFLEACQPHASPEILRANLAGTALLLKKLGISDMLHFDFINPPAVDMMTSAIETLNCLGALDGDGNLTIIGELMSEFPLDPHMSKMILCSPEFKCSTEILSITSMLSGIPFIIWHNHLLFHLDISMLLIISIFAIK >DRNTG_32956.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30866167:30868360:1 gene:DRNTG_32956 transcript:DRNTG_32956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQHEVKWFKDYFKGAKIVQVQGSLNPVEIIHTSKPIGDYLEASIEKVLEILTSEPTGDILVFLPGGDDIEIGSSRLTDLLKDRTNEFGPVRVLPLHSTLSIEHQKKIFKAAPPSTRKGGPVGRKVIMSTDIAESSLAIDGIAYVIDSGFSKQKVYNAGLHAESLLVLPISRASAYRRSGCCRRSVSGKCYRLYTKKFLEACQPHASPEILRANLAGTALLLKKLGISDMLHFDFINPPAVDMMTSAIETLNCLGALDGDGNLTIIGELMSEFPLDPHMSKMILCSPEFKCSTEILSITSMLSVPHCFFQPRGKKHDADEAKAKFSHAKGDHLTLLTLYQAYKKHNGSSAWCEENYVNEAALKSADNARFQLESIMRRFNIKLCSTEPSNCDYENNIRKALLAGYFMQAAKLDTSGHYVTVKNQHVVELHPSSSVVPKPTWVIYDDFVVAGRPFIRIVSDVQGEWLVSIAPHYYHISAIGRN >DRNTG_32956.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30866167:30868360:1 gene:DRNTG_32956 transcript:DRNTG_32956.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQHEVKWFKDYFKGAKIVQVQGSLNPVEIIHTSKPIGDYLEASIEKVLEILTSEPTGDILVFLPGGDDIEIGSSRLTDLLKDRTNEFGPVRVLPLHSTLSIEHQKKIFKAAPPSTRKGGPVGRKVIMSTDIAESSLAIDGIAYVIDSGFSKQKVYNAGLHAESLLVLPISRASAYRRSGCCRRSVSGKCYRLYTKKFLEACQPHASPEILRANLAGTALLLKKLGISDMLHFDFINPPAVDMMTSAIETLNCLGALDGDGNLTIIGELMSEFPLDPHMSKMILCSPEFKCSTEILSITSMLSASSVITKILFFSTTLLLPT >DRNTG_26971.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:10321446:10331261:-1 gene:DRNTG_26971 transcript:DRNTG_26971.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 35 [Source:Projected from Arabidopsis thaliana (AT5G08260) UniProtKB/Swiss-Prot;Acc:Q9LEY1] MIGNAVLNDETDDMGMVEYAWSHAIISDKVYNTIKKECSFFGLRKNDTKACLPAIRAFAQAYSDIDIYSIYTPVCLSSLITSPHRPKKLKLGPRFLSLHERWRRLGWRMLSGYDPCTEEYVESYLNREDVQKALHANITHLPYPYSSCSEAIVGWKDSPPSMLPIVNKLMKAGLRVWVYSGDMDGRVPVTSTRYSINKMGMKVKKKKERKKKNKEEDEELGGWKPWFYEDQVAGWVVEYEEGLTFATVRGAGHQVPVLTPDRALSLLSHFLDGKSLPLSRSF >DRNTG_33961.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1010871:1015903:1 gene:DRNTG_33961 transcript:DRNTG_33961.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVFPVIVHRVTLFSKNKPFSTCPSILLPNDAADAAAIAAILLRATDADAALANSPVTPRPSLIDALLRDSSAELSAGPLLSLARWAGPSLSSSQLASFVDLLSLRRSFHSAWSLLLPHPPGPHLIPSFSSLIRRYSRAAMPHAAFRTFNYSLRSTADSDDLFLVLLDALCKEGHVRSASDLFTDKKTSSVGWAPSASFYNVLLHGWFRARKLRKAERLWEEMRRDDVPPTVVTYGTLIEGLCRMRRPDQALVLLDEMKPACLESNPLHVNPIIDSLAEDGRFKDALGFLEKFPLYGISPNISTYNSLVKGFCKHGDLVGASNTLKTMIGRDVLPTATTYNYFFKHFSKSGKIEEGMNLYTKMIQSGYAPDRLTYQLLIKMLCEKQRLELAVQLIKEMNMNGFESDLATSTMLVHLLCRLRRYQEACEVFEEMIKRGVVPQYITYHRLVKELKRLEMDELVRKVSVMMDSVPHSTKLPGSFREKEGDETVKRRKTIMKKARAMSDVLKDQKDPAKLRSTTENSVQSAKKLIVDIRKRASAVPND >DRNTG_33961.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1012963:1015903:1 gene:DRNTG_33961 transcript:DRNTG_33961.6 gene_biotype:protein_coding transcript_biotype:protein_coding MCSENVVREVPKIDFSALHPDQPGSRGWAEVRAQVAEALRTYGWFEAVYEKVTPELKEKVFGSAVKELFNLPLEAKLRNVSDKPFHGYLGQIPFLRYESLAILHPHEPDAIKAFTDLLWPQGSSDTFCEPVCGFSKLLVELDQMVRMMVLESLGVEKHYDSLMKTNKFLLRLSEYPAPEEDEEKKKMLGLVPHRDKNTLAIVCQNQVDGLDMQTQDGKWIPAVPSPSSVIVIAGDTLRAWTNGQMYSPLHTVNVGGSVTRYSAILFSLPEDELMIEPLPELVDETHPALFKPYTYSEYVRFCFSEGRDAECQLDAYCRIAP >DRNTG_33961.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1012963:1015903:1 gene:DRNTG_33961 transcript:DRNTG_33961.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCSENVVREVPKIDFSALHPDQPGSRGWAEVRAQVAEALRTYGWFEAVYEKVTPELKEKVFGSAVKELFNLPLEAKLRNVSDKPFHGYLGQIPFLRYESLAILHPHEPDAIKAFTDLLWPQGSSDTFCEPVCGFSKLLVELDQMVRMMVLESLGVEKHYDSLMKTNKFLLRLSEYPAPEEDEEKKKMLGLVPHRDKNTLAIVCQNQVDGLDMQTQDGKWIPAVPSPSSVIVIAGDTLRAWTNGQMYSPLHTVNVGGSVTRYSAILFSLPEDELMIEPLPELVDETHPALFKPYTYSEYVRFCFSEGRDAECQLDAYCRIAP >DRNTG_33961.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1012963:1015903:1 gene:DRNTG_33961 transcript:DRNTG_33961.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCSENVVREVPKIDFSALHPDQPGSRGWAEVRAQVAEALRTYGWFEAVYEKVTPELKEKVFGSAVKELFNLPLEAKLRNVSDKPFHGYLGQIPFLRYESLAILHPHEPDAIKAFTDLLWPQGSSDTFCEPVCGFSKLLVELDQMVRMMVLESLGVEKHYDSLMKTNKFLLRLSEYPAPEEDEEKKKMLGLVPHRDKNTLAIVCQNQVDGLDMQTQDGKWIPAVPSPSSVIVIAGDTLRAWTNGQMYSPLHTVNVGGSVTRYSAILFSLPEDELMIEPLPELVDETHPALFKPYTYSEYVRFCFSEGRDAECQLDAYCRIAP >DRNTG_33961.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1011274:1015903:1 gene:DRNTG_33961 transcript:DRNTG_33961.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAAFRTFNYSLRSTADSDDLFLVLLDALCKEGHVRSASDLFTDKKTSSVGWAPSASFYNVLLHGWFRARKLRKAERLWEEMRRDDVPPTVVTYGTLIEGLCRMRRPDQALVLLDEMKPACLESNPLHVNPIIDSLAEDGRFKDALGFLEKFPLYGISPNISTYNSLVKGFCKHGDLVGASNTLKTMIGRDVLPTATTYNYFFKHFSKSGKIEEGMNLYTKMIQSGYAPDRLTYQLLIKMLCEKQRLELAVQLIKEMNMNGFESDLATSTMLVHLLCRLRRYQEACEVFEEMIKRGVVPQYITYHRLVKELKRLEMDELVRKVSVMMDSVPHSTKLPGSFREKEGDETVKRRKTIMKKARAMSDVLKDQKDPAKLRSTTENSVQSAKKLIVDIRKRASAVPND >DRNTG_33961.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1010871:1015903:1 gene:DRNTG_33961 transcript:DRNTG_33961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVFPVIVHRVTLFSKNKPFSTCPSILLPNDAADAAAIAAILLRATDADAALANSPVTPRPSLIDALLRDSSAELSAGPLLSLARWAGPSLSSSQLASFVDLLSLRRSFHSAWSLLLPHPPGPHLIPSFSSLIRRYSRAAMPHAAFRTFNYSLRSTADSDDLFLVLLDALCKEGHVRSASDLFTDKKTSSVGWAPSASFYNVLLHGWFRARKLRKAERLWEEMRRDDVPPTVVTYGTLIEGLCRMRRPDQALVLLDEMKPACLESNPLHVNPIIDSLAEDGRFKDALGFLEKFPLYGISPNISTYNSLVKGFCKHGDLVGASNTLKTMIGRDVLPTATTYNYFFKHFSKSGKIEEGMNLYTKMIQSGYAPDRLTYQLLIKMLCEKQRLELAVQLIKEMNMNGFESDLATSTMLVHLLCRLRRYQEACEVFEEMIKRGVVPQYITYHRLVKELKRLEMDELVRKVSVMMDSVPHSTKLPGSFREKEGDETVKRRKTIMKKARAMSDVLKDQKDPAKLRSTTENSVQSAKKLIVDIRKRASAVPND >DRNTG_23007.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3046146:3048937:1 gene:DRNTG_23007 transcript:DRNTG_23007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGSTSPTNSGSLPDQKLKQNHQHTQTWSFQQGEGEGLKKKGKT >DRNTG_23007.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3048164:3048937:1 gene:DRNTG_23007 transcript:DRNTG_23007.3 gene_biotype:protein_coding transcript_biotype:protein_coding ILKQGNNNLTLNRETTDPPKAETKPPAHPNLELPTRRRRGLEEKGENLEEGVALKKRTFHQPRQDRSSLV >DRNTG_23007.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3046146:3048937:1 gene:DRNTG_23007 transcript:DRNTG_23007.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGSTSPTNSGSLPDQKLKQNHQHTQTWSFQQGEGEGLKKKGKT >DRNTG_04718.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8303057:8303563:-1 gene:DRNTG_04718 transcript:DRNTG_04718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEGGGVPRYQAIATLAIKEEKRIDATQQGQSERGTVDSLLKLLRKHSVDQDRKTSEEEDDDNDLIDKSNPFEDERVPRFFDANNINIEVNAPEPAPNRRTSSNFRRRSPTPRVKF >DRNTG_31385.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27364385:27364697:1 gene:DRNTG_31385 transcript:DRNTG_31385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFGDREWYFFSPRDRKYPNGVRPNRAAASGYWKATGTDKPIHTSSSNVNIGVKKALVFYKGRPPKGLKTNWIMHEYRLAEAQSNSHTYRPMRLRDSS >DRNTG_20382.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:76211:77865:-1 gene:DRNTG_20382 transcript:DRNTG_20382.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITWKLLRFFNLSYEKELKDQVSKVHAFAMQVVRRRKSSGELGDDLLSRFISESSSYSDEFLRDIIVSFVLAGRDTTSATLTWFFYLISIHPEVKTKLLDELRAVRARGAREGELTVEQVKGLNYMHAALSETLRLYPPVPLQTRACAESDVWPDGTKVKKGRTVMYSAYAMGKSERIWGSDWEEFRPERWMDEGEFRAVNAFRFPVFHAGPRMCLGKEMAYVQMKTVVAAVMERFEIEVVDEEKKKREVEFTMILRMKGGLPVRVRRKMMMMMMDDDGNVEI >DRNTG_20382.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:76083:77865:-1 gene:DRNTG_20382 transcript:DRNTG_20382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITWKLLRFFNLSYEKELKDQVSKVHAFAMQVVRRRKSSGELGDDLLSRFISESSSYSDEFLRDIIVSFVLAGRDTTSATLTWFFYLISIHPEVKTKLLDELRAVRARGAREGELTVEQVKGLNYMHAALSETLRLYPPVPLQTRACAESDVWPDGTKVKKGRTVMYSAYAMGKSERIWGSDWEEFRPERWMDEGEFRAVNAFRFPVFHAGPRMCLGKEMAYVQMKTVVAAVMERFEIEVVDEEKKKREVEFTMILRMKGGLPVRVRRKMMMMMMDDDGNVEI >DRNTG_26293.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001352.1:29766:32310:-1 gene:DRNTG_26293 transcript:DRNTG_26293.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLKQSLVTRKWFLCTCASFISGLVLLFLCTNESQRTGSIGGGGASDFFLLANNFNVNQSSNATLSFTSPSNLAISPQLFISNMKEEGLVSPQTHIALPPQSSKDTMPLDAKQEVHCDIFEGRWVYDKKKYPLYHAHRCPFLSDQVNCRRNGRPDSGYEHWRWEPRGCEIPRFNGSDMLERLRGKRVVIVGDSLNRNQWESLSCLLYTSVRPSRVLVKAQGSDHKIFRALDYGCSVEFFWSPFLVKLEEMKGDDKKVLKLDKLHGTEKRWRGANILVFNTGHWWTHSGKKWDYFEKKGKLVEEMERDTAFKTAVRAWAKWVDRVVDSTKTTVFFRSISPEHKRQHKQWCYNQTTPITNETYIQLFPRSMVRIVERTIQKMKTPVKYLNITRLSEYRRDAHTVIYTSKQGKVLTFDQQMQPEKFADCSHWCLPGLPDTWNVLIYASIVGLN >DRNTG_11964.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16771840:16773776:-1 gene:DRNTG_11964 transcript:DRNTG_11964.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRGKGMLLAGLVPTKITPIAVFQRKCGIEAVKPLEKPCHYLYKPLPKASQELEKRWKE >DRNTG_27481.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26485562:26501335:-1 gene:DRNTG_27481 transcript:DRNTG_27481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQGFPSGPPRPRPGFYPPNPSSNPNPNAPPAPSAGALPDAMRNMQIARPPPSSAAPRGPPPFATSRPGAPPPPPPQTIPNRPPPQQFSQSQPPFSSRPPPSAPITSNGPPPAFPPGAPRFPPASLAQAPLVSAPPTSVRAPPPSVVPQVSQGLAPFPGSPQAVSPLGMPPSSQPFGGTLLTTNQPYGVPTISASSFGAPPIASQSLGAPPSASQPFGAPPSASQPFGAPPSASQPFGAPPIASQPFGALPTASQMYGGSPTAPQPFMGPPQPFSAPPAAIPPFGSQMWQAQSRPGVTPMAGPTQTPPRMFGMPPQAPTQQLPPVPPVMGHSPLAGAQMSTPSKIDPNQIPRPMPSNSVVYFETRQGNQASIPPPASSEFVVRDTGNCSPRLMRCTINQIPCTGDLLATSSMPLALIVQPLALPHPEEEPIQVVDFGESGPLRCSRCKAYINPFMKFVEQGRRFICNLCGFTDETPRDYYCNLGPDGRRRDADERPELCRGTVEFVATKEFMVRDPMPAVFFFLVDVSLNAVQTGATAAACTAINQAIVDLPEGPRTMVGIATFDSAIHFYNLKRDLQQPLMLIVPDVQDVYTPLHTDIIVPISECRQHLEQLLDNIPTMFENNRVAESAFGAAIKAAFLAMKPTGGKLLVFQSVLPSIGIGSLSSREVEGKTNAFSADKEAHKLLQPADKTLKSMAIEFAEFQVCVDVFLTTQSFVDIASISVVSKTTGGQVYYYYPFSALSDSAKLYNDLRWNISRPQGSEAVMRVRCSQGLQVQEYSGNFCKRIPTDIDLPGIDCDKAIMVTFKHDDKFQEGTECGFQCATLYTTVYGQRRIRVMNLSLPCTSMLSSLFRSADLDTQFTCFLKQAAGGVPTDPLPQVREQITNLCINILHSYRKFCATVSSSGQLILPEGLKLLPLYTLALIKSIGLRNDGRLDDRSYWVCRAMVLNISLVIPLVYPRMIAIHDLISKEDEDEDKKSLVLATIPLSCEHVNDDGIYLLENGEDGFIYVGSTVNPDILQQLFGVSTADAIPTQLVLQQYDNPCSKKFNDLVNEIRRQRCSYLRLQLCRKGDPSGMLFFSYMVEDKAAGPGSLSYVEFLVHVHRQIQTKMT >DRNTG_12671.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15323882:15324970:1 gene:DRNTG_12671 transcript:DRNTG_12671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTKRLASKRPRTTEPSPTPDEPVFKLSHHRERYDRLKTKPFGTLCYLDWELVDNLGFASQVRDWLSHNCWDKLFAINEPTFRQLTLEVLSTFEARQDGQSVRNKKLVTIRFQAFGRKRTMHHLDFAKYLGIYDDDFIKSMPGKRLKLDFPSEVGRSNYWATLAGEDMTRKDSRMIDPAHRFIHALIARSLWGRTDS >DRNTG_17947.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20606363:20647029:1 gene:DRNTG_17947 transcript:DRNTG_17947.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPRRIVDRSPVVVIVCNSSPISVSCPSCTKFF >DRNTG_11986.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4340200:4345621:-1 gene:DRNTG_11986 transcript:DRNTG_11986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGSKKRWKSLVPLRIRGKSATRFCIFPKVRSAGHTQGNTPVYLNVYDLTPMNGYVYWAGLGIFHSGVEVHGVEYAFGAHDYPTSGVFEVEPRQCPGFRFRKSIFMGTTCLDPMQVREFMELQSVNYNGDTYHLITKNCNHFCKDICYRLTGNGIPKWVNRLARIGALCNCLLPEALKTTTVRQPEYENCDGEKQRLRTTFSCLSSISMRQKQLSASSLILHSPLKGCLPPWATFPLKDR >DRNTG_19161.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6210214:6210687:1 gene:DRNTG_19161 transcript:DRNTG_19161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSTSNGSCVLQSGVVSARPDEATHLKESGSLSSSRSVSGLPPELHCPLCKEVMKDAVFTSNCCFNSFCDK >DRNTG_03988.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25687628:25691827:-1 gene:DRNTG_03988 transcript:DRNTG_03988.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENTKCAPSLSKEMEVVDVKPLRTLTPMFPSSLGLDSFSPPASWPFVFITPHGSFTPGNEVKFPSNFCSLYPTTFCPKGVSETSTKSSLVEKSSGTVHDGVCKNANGHLHDTAVAHCPPQTMPLITVLSDNEEDMLVHSVPPTTDMKIERPAPMRSCNDVPPTIDMNIEQPVPLRSCTGVLSTSDLKIEEPVPLRSCPMGGGEIEGSDVKKPKRKRLKKAHDPELMMLPSSSYDARESVEAILMTFDALRRRILQLEEVKDVTRRSFTKAGSVMMDHDLRANKVKRIGHVPGIEVGDLFFFRIEMLLVGLQAQSVSGIDYMTVRFGDEEDPVAVAVVSAGGYENDDEDVDVLIYSGQGGSGKNDKKQTDDQKLERGNLALEKSLHRGIDIRVIRTAKDTGNPGNKIYVYDGLYKIDKSWVEKAKSGFNVFKYRLVRVPGQPDGIATWKKIEKWRKDPLSRGKVILPDMSSGDENLPVCLFNDVDDEKGPNHFVYTTKLHYSSPIAKPLPGCMCHSACLPSDANCSCLQQNGGDLPYTSNGLLVSHKPLVYECGPSCQCTLSCRNRLTQKGVTLRFEVFRTKDRGWGLRSWDPIRAGAFICEYTGEVTDNFREDEDGENEYIFQPRSTDDNASSWNYIPELLGEPSHPEPSDAIKPLQIIISAKNMGNVSRFMNHSCSPNVFWQAVQHDHGDEGYPHIMFFAIRHIPPMTELTYDYGPCGYENSKEEVPSSDGSGRTKKCLCGSPKCRGVFC >DRNTG_35361.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18200402:18203231:1 gene:DRNTG_35361 transcript:DRNTG_35361.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTRILACGCFAAPSPILKLNLVACPAILNALLSMKKAGIVASEKNVQKLDGSYYCTNCGKVNDLLGCWRKQLMIAKDARRVDALCFRVSLSHKLLSSSEKYQSLHAIVDDVLKKLEAEVGPLNGIPNMARGIVNRLSVGAEVQKLCANAITDLDSMLSANSPTGPLMQSNTFFLLFFQMNTNQAV >DRNTG_35361.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18201456:18203231:1 gene:DRNTG_35361 transcript:DRNTG_35361.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKDARRVDALCFRVSLSHKLLSSSEKYQSLHAIVDDVLKKLEAEVGPLNGIPNMARGIVNRLSVGAEVQKLCANAITDLDSMLSANSPTGPLMQKPGPMSSNLIKFEDILSSSVTVVLGSEDGPAPAMSQELVGYSLWYREAQTDDYPLGPAYTIHEPKRRFLVTGLAPATEYVFKVSAFGNSGELAKWEMNLNTVDVVKDVEMDSVDEGASLQQLETQKENSSGLSHPSSEGDESNNSTVYRDLNKSPASCFGYSDKPEVLDLEKLPEETPKNFDVLEPLEREETPRRSVSALDEEPNSANSTEQNIVSDVPKSDNESNAPTRDEMTIVPYTHTESSLPLTPCRMENAKEASGRSGRAKPCNSMLENGEKKTEREPHVGSSSKKRVGGKCEALCPKEGSLEREYEYCVKVIRWLECEGHIETNFRVKFLTWFSLRATLQERKIVSVYIDTLIDDPGSLAGQLVDSFSEVLCSKGRPPLPTGFCMQLWH >DRNTG_35361.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18200402:18203231:1 gene:DRNTG_35361 transcript:DRNTG_35361.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTRILACGCFAAPSPILKLNLVACPAILNALLSMKKAGIVASEKNVQKLDGSYYCTNCGKVNDLLGCWRKQLMIAKDARRVDALCFRVSLSHKLLSSSEKYQSLHAIVDDVLKKLEAEVGPLNGIPNMARGIVNRLSVGAEVQKLCANAITDLDSMLSANSPTGPLMQKPGPMSSNLIKFEDILSSSVTVVLGSEDGPAPAMSQELVGYSLWYREAQTDDYPLGPAYTIHEPKRRFLVTGLAPATEYVFKVSAFGNSGELAKWEMNLNTVDVVKDVEMDSVDEGASLQQLETQKENSSGLSHPSSEGDESNNSTVYRDLNKSPASCFGYSDKPEVLDLEKLPEETPKNFDVLEPLEREETPRRSVSALDEEPNSANSTEQNIVSDVPKSDNESNAPTRDEMTIVPYTHTESSLPLTPCRMENAKEASGRSGRAKPCNSMLENGEKKTEREPHVGSSSKKRVGGKCEALCPKEGSLEREYEYCVKVIRWLECEGHIETNFRVKFLTWFSLRATLQERKIVSVYIDTLIDDPGSLAGQLVDSFSEVLCSKGRPPLPTGFCMQLWH >DRNTG_35361.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18199274:18203231:1 gene:DRNTG_35361 transcript:DRNTG_35361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTRILACGCFAAPSPILKLNLVACPAILNALLSMKKAGIVASEKNVQKLDGSYYCTNCGKVNDLLGCWRKQLMIAKDARRVDALCFRVSLSHKLLSSSEKYQSLHAIVDDVLKKLEAEVGPLNGIPNMARGIVNRLSVGAEVQKLCANAITDLDSMLSANSPTGPLMQKPGPMSSNLIKFEDILSSSVTVVLGSEDGPAPAMSQELVGYSLWYREAQTDDYPLGPAYTIHEPKRRFLVTGLAPATEYVFKVSAFGNSGELAKWEMNLNTVDVVKDVEMDSVDEGASLQQLETQKENSSGLSHPSSEGDESNNSTVYRDLNKSPASCFGYSDKPEVLDLEKLPEETPKNFDVLEPLEREETPRRSVSALDEEPNSANSTEQNIVSDVPKSDNESNAPTRDEMTIVPYTHTESSLPLTPCRMENAKEASGRSGRAKPCNSMLENGEKKTEREPHVGSSSKKRVGGKCEALCPKEGSLEREYEYCVKVIRWLECEGHIETNFRVKFLTWFSLRATLQERKIVSVYIDTLIDDPGSLAGQLVDSFSEVLCSKGRPPLPTGFCMQLWH >DRNTG_35361.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18200402:18201012:1 gene:DRNTG_35361 transcript:DRNTG_35361.9 gene_biotype:protein_coding transcript_biotype:protein_coding TYLLNLCLQKNPGEHVEHLDSASQHPLHNPQTPAKRQRKTDNPSRLPVGENNLQVKNESVAPSVIHYCTNLACRATINAGDFFCKRCSCCICHKYDDNKDPSLWLFCSSESHSQAQSCGMSCHLEC >DRNTG_35361.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18201078:18203231:1 gene:DRNTG_35361 transcript:DRNTG_35361.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNLIKFEDILSSSVTVVLGSEDGPAPAMSQELVGYSLWYREAQTDDYPLGPAYTIHEPKRRFLVTGLAPATEYVFKVSAFGNSGELAKWEMNLNTVDVVKDVEMDSVDEGASLQQLETQKENSSGLSHPSSEGDESNNSTVYRDLNKSPASCFGYSDKPEVLDLEKLPEETPKNFDVLEPLEREETPRRSVSALDEEPNSANSTEQNIVSDVPKSDNESNAPTRDEMTIVPYTHTESSLPLTPCRMENAKEASGRSGRAKPCNSMLENGEKKTEREPHVGSSSKKRVGGKCEALCPKEGSLEREYEYCVKVIRWLECEGHIETNFRVKFLTWFSLRATLQERKIVSVYIDTLIDDPGSLAGQLVDSFSEVLCSKGRPPLPTGFCMQLWH >DRNTG_35361.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18201078:18203264:1 gene:DRNTG_35361 transcript:DRNTG_35361.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKDARRVDALCFRVSLSHKLLSSSEKYQSLHAIVDDVLKKLEAEVGPLNGIPNMARGIVNRLSVGAEVQKLCANAITDLDSMLSANSPTGPLMQKPGPMSSNLIKFEDILSSSVTVVLGSEDGPAPAMSQELVGYSLWYREAQTDDYPLGPAYTIHEPKRRFLVTGLAPATEYVFKVSAFGNSGELAKWEMNLNTVDVVKDVEMDSVDEGASLQQLETQKENSSGLSHPSSEGDESNNSTVYRDLNKSPASCFGYSDKPEVLDLEKLPEETPKNFDVLEPLEREETPRRSVSALDEEPNSANSTEQNIVSDVPKSDNESNAPTRDEMTIVPYTHTESSLPLTPCRMENAKEASGRSGRAKPCNSMLENGEKKTEREPHVGSSSKKRVGGKCEALCPKEGSLEREYEYCVKVIRWLECEGHIETNFRVKFLTWFSLRATLQERKIVSVYIDTLIDDPGSLAGQLVDSFSEVLCSKGRPPLPTGFCMQLWH >DRNTG_35361.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18201078:18203231:1 gene:DRNTG_35361 transcript:DRNTG_35361.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKDARRVDALCFRVSLSHKLLSSSEKYQSLHAIVDDVLKKLEAEVGPLNGIPNMARGIVNRLSVGAEVQKLCANAITDLDSMLSANSPTGPLMQKPGPMSSNLIKFEDILSSSVTVVLGSEDGPAPAMSQELVGYSLWYREAQTDDYPLGPAYTIHEPKRRFLVTGLAPATEYVFKVSAFGNSGELAKWEMNLNTVDVVKDVEMDSVDEGASLQQLETQKENSSGLSHPSSEGDESNNSTVYRDLNKSPASCFGYSDKPEVLDLEKLPEETPKNFDVLEPLEREETPRRSVSALDEEPNSANSTEQNIVSDVPKSDNESNAPTRDEMTIVPYTHTESSLPLTPCRMENAKEASGRSGRAKPCNSMLENGEKKTEREPHVGSSSKKRVGGKCEALCPKEGSLEREYEYCVKVIRWLECEGHIETNFRVKFLTWFSLRATLQERKIVSVYIDTLIDDPGSLAGQLVDSFSEVLCSKGRPPLPTGFCMQLWH >DRNTG_35361.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18199274:18201012:1 gene:DRNTG_35361 transcript:DRNTG_35361.8 gene_biotype:protein_coding transcript_biotype:protein_coding TYLLNLCLQKNPGEHVEHLDSASQHPLHNPQTPAKRQRKTDNPSRLPVGENNLQVKNESVAPSVIHYCTNLACRATINAGDFFCKRCSCCICHKYDDNKDPSLWLFCSSESHSQAQSCGMSCHLEC >DRNTG_04416.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000207.1:71193:75503:1 gene:DRNTG_04416 transcript:DRNTG_04416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPKTSPYNPFQLVSVVCVVWNMFGP >DRNTG_22154.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3845788:3846407:-1 gene:DRNTG_22154 transcript:DRNTG_22154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHCHHSSLYQNQKHLVEEQLEKAHLKKKKTIMTPFIILSLLLFPPFLILVAIKSSKKTKLPPSPPKLPLIGNLHQLGPQPHLAFHALSKKYGKFISLQLGSVPTLVVTSPEIAMEIMKTKDSIFANRPSFDIAKKILYGPKDIGFSPYGEHWRQAKKICVLHLLSSKKVESFCEVRKEEVAHVVDMI >DRNTG_20610.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2319459:2323615:-1 gene:DRNTG_20610 transcript:DRNTG_20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTPTLRSLHGALWGTPQMQSSLNKPVGAPLQTCSFRNESFFKTSFLGQSLRSSNMNKRMPLLPYVKASEAASEVASDTDSLTKSEQSSSTGENVQKSAFPNGFERLILELCDETTVAELKLKIGGFEMYVRRDISSSNAQIPTIPPNALPIQAPPIPSKPMSELGPLAPPAVPQKPPRTPSSPFINVPSAKDAKLAALEDSGAKTYVIVASPTVGSFRRARTLKGKRQPPSCKEGDMIKEGQVIGYLDQFGNELPIRSDVAGEVLKILYQDGEAVGFGDPLIAVLPAFPGIN >DRNTG_20610.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2319459:2323615:-1 gene:DRNTG_20610 transcript:DRNTG_20610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTPTLRSLHGALWGTPQMQSSLNKPVGAPLQTCSFRNESFFKTSFLGQSLRSSNMNKRMPLLPYVKASEAASEVASDNSLTKSEQSSSTGENVQKSAFPNGFERLILELCDETTVAELKLKIGGFEMYVRRDISSSNAQIPTIPPNALPIQAPPIPSKPMSELGPLAPPAVPQKPPRTPSSPFINVPSAKDAKLAALEDSGAKTYVIVASPTVGSFRRARTLKGKRQPPSCKEGDMIKEGQVIGYLDQFGNELPIRSDVAGEVLKILYQDGEAVGFGDPLIAVLPAFPGIN >DRNTG_10649.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:324814:328308:1 gene:DRNTG_10649 transcript:DRNTG_10649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRATSAAAAAAGAVVAALMERAHADSPFRFSSGSSTPADASSSPSSRGFDPEALERAAKALREINSSPYSKQVFELMRKQEETRQEEIAAERAHLEAFQSHADIEKQRKLAEEQRNLVQQQGQAKAQMARYEDELARKRMQTEHEVQRRQNAELVKMQEESSIRKEQARRAAEEQIQAQVRQTEKERAEIERETIRVKAMAEAEGRAHEAKLTEEHNRKMLLERMNGEKEKWIAAINTTFGHIESGVRMLLTDRNKLITAVGGVTALAAGVYTTREGARVTWGYINRILGQPSLIRESSMSKFPWSRITSGVGSKLSNLSTSAGSVASKESKSSFENIILNPSLKRRIEQLARATENTKSHQAPFRNMLFYGPPGTGKTMVAREMARKSGLDYAMMTGGDVAPLGSEAVTKIHEIFDWAKRSKKGLLLFIDEADAFLCERNSTRMSEAQRSALNALLFRTGDQSRDTVLVLATNRPGDLDAAITDRIDEVIEFPLPGEDERFKLLKLYLNQYIYRDDHNKNNSGPSSLFKKKPQKILLKDVTDDVIREAARKTEGFSGREIAKLVASIQAAVYGQHDCILDAPLFMEMVDYKVKEHHQRVQLAAQSSK >DRNTG_19263.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:383466:385321:1 gene:DRNTG_19263 transcript:DRNTG_19263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKSLLSIFTPIQLLQASFFTLLTLSLTLHAVLSFTPTLLNPHLLLLSQHKALLFSFLYCIILTLLAYLCTRPRPVYLLNYSCFKPDHDRKCSYEVCEYFVRRSKRFSEVSENFMRGIYLKSGLGNEAYAPPFIFQTDYEARLRSAVQEAEEGMITAVDSLLSKTNVPISMIDTVIVTCGMFTPSPSLASLLVNHYKLGDSVKSYNLSGMGCSSGAAAVDLAARLLRSGGKTAGYALVVVTESISLNWYFGDDRSMLVTNCIFRVGCAAALMTNDGARRRDAKLELLHSLRTHHGADDSAYAAAYQAEDTHGNVGVALKKDLIRVAGEGLRSHIKKLAPRVLPVVQMVNYGYRAVMGALKKDGKPHVPDFTTAFEHMCVHTGGKAVIETVGRLMRLSDDLTEPARMCLHRFGNTSSSLVFYELAYFEAKNRVKKGDRVWMLAFGTGFKVCSLVWKSLQDSCMDADNPWMDCIHRYPVKAW >DRNTG_30049.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18605602:18611815:1 gene:DRNTG_30049 transcript:DRNTG_30049.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRCDMAYFVFILLLWNDCSDIYFRICFHNVFLLFSLGRIPSCFNLSFGIQAMSTTQGSIAATKGIPKFEHEPEHLLVLVHGIMSSPSDWTYAKTELTRQLGNKFLIYASSSNTYTKTFGGIDGAGRRLAEEVLTVVQKTKSLRKISFLAHSLGGLFARYAIGLLYSSKSSNELQHNTVTCDQNFGKSSQKFNTDTIAGLEPINFITLATPHLGVKGKKQEFPFWKNLHHL >DRNTG_30049.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18602145:18611815:1 gene:DRNTG_30049 transcript:DRNTG_30049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAPSLARFCCCSGVPRDRIRPRDVGRASFSGFRNYRNPSGRIPSCFNLSFGIQAMSTTQGSIAATKGIPKFEHEPEHLLVLVHGIMSSPSDWTYAKTELTRQLGNKFLIYASSSNTYTKTFGGIDGAGRRLAEEVLTVVQKTKSLRKISFLAHSLGGLFARYAIGLLYSSKSSNELQHNTVTCDQNFGKSSQKFNTDTIAGLEPINFITLATPHLGVKGKKQLPFLLGVPILEKLAPPLAPIFVGRTGRQLFLTDGRPSKPPLLLRMAADYEDKKFISALATFRCRIVYANVSYDHMVGWRTSSIRRESELVQPPRQSLDGYKHIVNVQYCPPVLSERPHFPPEAARAKEAAQTTPTTKTTAKYHDIMEEEMIHGLQSLGWKKVDVSFHSAFWPFLAHNNIHVKSEWLYNAGAGVIAHVANCLKEQESRVSLTANL >DRNTG_30049.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18602145:18611815:1 gene:DRNTG_30049 transcript:DRNTG_30049.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACAPSLARFCCCSGVPRDRIRPRDVGRASFSGFRNYRNPSGRIPSCFNLSFGIQAMSTTQGSIAATKGIPKFEHEPEHLLVLVHGIMSSPSDWTYAKTELTRQLGNKFLIYASSSNTYTKTFGGIDGAGRRLAEEVLTVVQKTKSLRKISFLAHSLGGLFARYAIGLLYSSKSSNELQHNTVTCDQNFGKSSQKFNTDTIAGLEPINFITLATPHLGVKGKKQLPFLLGVPILEKLAPPLAPIFVGRTGRQLFLTDGRPSKPPLLLRMAADYEDKKFISALATFRCRIVYANVSYDRILHMCVCVFS >DRNTG_24460.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4251733:4254302:-1 gene:DRNTG_24460 transcript:DRNTG_24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLDTRTGNMVTCRYGTTIPNDSSCASPCTWATVDPSSFLIHGPTYLQNNQKVKATSTLMKLVGADWSTGEHSLDGIEWSTTMPPVRFVRIVKLKEKEKEKEELGKKRGCQHISSNSPQRRSRPYLSTKTYEQKTNDEDKLTDILDAIKLLKMKVGILLYKVDANNNEDKTHMFTKCYRDAQTRSWNS >DRNTG_12621.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:4819531:4820501:-1 gene:DRNTG_12621 transcript:DRNTG_12621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRGTKAVTFALQLSSTPIRRGVPNPDKGTFGGDEATLQDHRHKYRGGFSMDYLS >DRNTG_12638.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1318572:1320106:-1 gene:DRNTG_12638 transcript:DRNTG_12638.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related small GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT5G54840) UniProtKB/TrEMBL;Acc:Q9SUJ6] MTLVWRHHRTTIVRLDIDWSFVLRFGVLRRFFRFLWDQLLSCSSPSLCGARLRYHRLKLKSCFHEPQVDDVSEAATLVTPASSHFSGDLSDVLALKVSLLGDCHIGKTSFMNKYVGEMNQDEQKGLQMNGLNLMDKTMVVRGARIVFSIWDVGGDQRCVDHVPIACKDAVAILIMFDLTTRSTLNNSINWYLRARKWNKTAIPVLIGTKFDDFVQLPPEMQWTIVNEARASAKAMNATLFFSSATHNINVNKIFKVHHSQALQSAMEMWREILQLESQL >DRNTG_12638.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1318572:1320106:-1 gene:DRNTG_12638 transcript:DRNTG_12638.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related small GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT5G54840) UniProtKB/TrEMBL;Acc:Q9SUJ6] MTLVWRHHRTTIVRLDIDWSFVLRFGVLRRFFRFLWDQLLSCSSPSLCGARLRYHRLKLKSCFHEPQVDDVSEAATLVTPASSHFSGDLSDVLALKVSLLGDCHIGKTSFMNKYVGEMNQDEQKGLQMNGLNLMDKTMVVRGARIVFSIWDVGGDQRCVDHVPIACKDAVAILIMFDLTTRSTLNNSINWYLRARKWNKVLKLK >DRNTG_02986.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9057407:9060941:-1 gene:DRNTG_02986 transcript:DRNTG_02986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGMCKTGSSKINENEDIPIDEQRLVFSRTQLENNRTLADDEIQHNQKTKQL >DRNTG_06491.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:4783536:4784300:1 gene:DRNTG_06491 transcript:DRNTG_06491.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKRMLKSWLKSGLKSALNRTGIGNSHALVDSPPRPCGYSTGACGKSTG >DRNTG_13247.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13792471:13794961:-1 gene:DRNTG_13247 transcript:DRNTG_13247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRLFCLEVDRLTQLRRRFRHQPWGRTHRGKWSQPRLKVHLETIEFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDNIRYESLTNKSILDAHLELFIRLVPDKVNKTLSIIDSGIGMTKAGEFS >DRNTG_09061.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31440476:31442523:-1 gene:DRNTG_09061 transcript:DRNTG_09061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAACRRASSAAVSVSLRALGTREIVRSSALSEPISMRSILSWRHAVGCPNTHSLARFSSLALKKPASDAGLLKVIDFEIKCAEESDHHDRVEEIPEAFPFQIQDDKGMNVITLKRTYQNENIEVVVSMPALVTGEEPENAGEGDNDDEHEKPSQSSLPLGVVMSKKGGPILEFNCTAYPDEVVIDSLSVREKEQAGEDSLAYEGPDFNDLDENLQKAFHKYLELRGVSALNTNFLHEYMINKDSREYLLWLRNLKKFIEK >DRNTG_01990.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13352691:13354060:-1 gene:DRNTG_01990 transcript:DRNTG_01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRYNKAPNDHNFKKIKRSVSYAQEVTSFVEKGEMKKITGVKTKELMLWLSVIEMYIEDPSSGKITFKTGTGLSDNFPTSAFQLD >DRNTG_11341.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23693658:23697823:-1 gene:DRNTG_11341 transcript:DRNTG_11341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQILVTKQTGPQGKVVSKVYLCEKLSLVNEMYFAITLDRTSAGPIIIACRKGGTSIEDLAEKFPDMIVKVPIDVFKGITDEDAAKVVDGLAPKVADRNTAIEQVKKLYNLFCESDCTLLEINPIAETADNQLVAADAKLNFDDNGAFRQKEIFALRDSSQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGNASEGQVVEAFKILTADDKVKAILVNIFGGIMKCDVIASGIVNAAKQVSLKVPVIVRLEGTNVDQGKRILKESGMTLITAEDLDDAAEKAVKASSQ >DRNTG_16351.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7784453:7793925:1 gene:DRNTG_16351 transcript:DRNTG_16351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSTKIRADSALNRAGIGRLHERGCFTRLGATVLVTVLLQSSSIVSGLN >DRNTG_15022.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23788913:23791519:1 gene:DRNTG_15022 transcript:DRNTG_15022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFSSIFGGITTDPAAMVIPMDDHMVHRGHGVFDTAAIMDGKRRSSGKSTI >DRNTG_06622.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:451868:452401:1 gene:DRNTG_06622 transcript:DRNTG_06622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYSQFNSILTQSLPTLEPGFGFTPWVSTNPSPIENKTQTQTQTYSFSGSDGPARPGLSPVDDRRLRRKISNRESARRSRMRKQRHLEDLRIQASRLRSENRELASRLGAVTHNCFLFRRESERLSTEATSLCLRLSEIRRFLLSRQLQRLSSPAAALMCGGGFVSGSAQTGSSIIA >DRNTG_05651.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18067757:18073672:1 gene:DRNTG_05651 transcript:DRNTG_05651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGVDKVTRVLFCGFEHPASHSYTQKYLENCPFIKIDVLAHVDVPDCIHKYHVCVVKMFRLDSQMIARAVKMKLIIQFGVGLEGVDIVAASQHNIKVARIPGKLSGNSKACAEMAIYLILGLLRKKKEMEESIQRKIVGQPLGDTLFGKNSRFFFSSYCHKYI >DRNTG_05651.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18068754:18073672:1 gene:DRNTG_05651 transcript:DRNTG_05651.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGVDKVTRVLFCGFEHPASHSYTQKYLENCPFIKIDVLAHVDVPDCIHKYHVCVVKMFRLDSQMIARAVKMKLIIQFGVGLEGVDIVAASQHNIKVARIPGKLSGNSKACAEMAIYLILGLLRKKKEMEESIQRKIVGQPLGDTLFGKNSRFFFSSYCHKYI >DRNTG_05651.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18071410:18073672:1 gene:DRNTG_05651 transcript:DRNTG_05651.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLDSQMIARAVKMKLIIQFGVGLEGVDIVAASQHNIKVARIPGKLSGNSKACAEMAIYLILGLLRKKKEMEESIQRKIVGQPLGDTLFGKNSRFFFSSYCHKYI >DRNTG_01624.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1019075:1022356:-1 gene:DRNTG_01624 transcript:DRNTG_01624.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLILSLSKVLMSDYKRPVVAPFCYGSFVEAGNSATEPYIVAHHVLIAHATAADIYRKKYKAAQNGIIGISFDVMWFEPMTNSPQDIAATQRAQDFQFGWFMDPLFFGDYPSSMRKRVGNRLPKFSASEAAFDQGILGFRRHKSLHNILCTTQCDKYCWSSVQ >DRNTG_34149.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:31074032:31076068:-1 gene:DRNTG_34149 transcript:DRNTG_34149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSILLWDLDKEQLVTQIQPSSESTISSLSASQVHGGQFAAG >DRNTG_34071.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002082.1:87217:89604:-1 gene:DRNTG_34071 transcript:DRNTG_34071.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMLNARMCISTIPANNCSARYAVLGAGFAGLSVAWHLLQFKGLSPMHRYL >DRNTG_34071.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002082.1:85231:89604:-1 gene:DRNTG_34071 transcript:DRNTG_34071.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTEKNAEIMKENARTCIENCNLELLDKDAAQNLVPRLHVPLNAAVYMPQALSIRPTHYLQALFAACKNQADELCVSFSKKVEVNLYKESIDTLCELAGKYNAVIICLGAKVDMLPEFSGKLPLRTCRGVVAQLNLSAHSRDEYGCNSPSILSDAWLSFQGPRTVLMGSTWDWSSKNYSSSVCEDESSMALQELLPKASAVYPSIREWTFIRARAGLRAMPPLTNFGSLPILGCVNDAVKPKNKCMYWLFGGLGSRGLLYHAFLGKLLARAVVSDDEMVLPPELTSWKQMKVWKYNL >DRNTG_34071.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002082.1:85231:87653:-1 gene:DRNTG_34071 transcript:DRNTG_34071.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPQALSIRPTHYLQALFAACKNQADELCVSFSKKVEVNLYKESIDTLCELAGKYNAVIICLGAKVDMLPEFSGKLPLRTCRGVVAQLNLSAHSRDEYGCNSPSILSDAWLSFQGPRTVLMGSTWDWSSKNYSSSVCEDESSMALQELLPKASAVYPSIREWTFIRARAGLRAMPPLTNFGSLPILGCVNDAVKPKNKCMYWLFGGLGSRGLLYHAFLGKLLARAVVSDDEMVLPPELTSWKQMKVWKYNL >DRNTG_34071.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002082.1:85231:88460:-1 gene:DRNTG_34071 transcript:DRNTG_34071.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRGILRTMMTEKNAEIMKENARTCIENCNLELLDKDAAQNLVPRLHVPLNAAVYMPQALSIRPTHYLQALFAACKNQADELCVSFSKKVEVNLYKESIDTLCELAGKYNAVIICLGAKVDMLPEFSGKLPLRTCRGVVAQLNLSAHSRDEYGCNSPSILSDAWLSFQGPRTVLMGSTWDWSSKNYSSSVCEDESSMALQELLPKASAVYPSIREWTFIRARAGLRAMPPLTNFGSLPILGCVNDAVKPKNKCMYWLFGGLGSRGLLYHAFLGKLLARAVVSDDEMVLPPELTSWKQMKVWKYNL >DRNTG_34071.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002082.1:85162:85704:-1 gene:DRNTG_34071 transcript:DRNTG_34071.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTWDWSSKNYSSSVCEDESSMALQELLPKASAVYPSIREWTFIRARAGLRAMPPLTNFGSLPILGCVNDAVKPKNKCMYWLFGGLGSRGLLYHAFLGKLLARAVVSDDEMVLPPELTSWKQMKVWKYNL >DRNTG_34071.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002082.1:85231:89604:-1 gene:DRNTG_34071 transcript:DRNTG_34071.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTEKNAEIMKENARTCIENCNLELLDKDAAQNLVPRLHVPLNAAVYMPQALSIRPTHYLQALFAACKNQADELCVSFSKKVEVNLYKESIDTLCELAGKYNAVIICLGAKVDMLPEFSGKLPLRTCRGVVAQLNLSAHSRDEYGCNSPSILSDAWLSFQGPRTVLMGSTWDWSSKNYSSSVCEDESSMALQELLPKASAVYPSIREWTFIRARAGLRAMPPLTNFGSLPILGCVNDAVKPKNKCMYWLFGGLGSRGLLYHAFLGKLLARAVVSDDEMVLPPELTSWKQMKVWKYNL >DRNTG_34071.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002082.1:85231:86535:-1 gene:DRNTG_34071 transcript:DRNTG_34071.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEFSGKLPLRTCRGVVAQLNLSAHSRDEYGCNSPSILSDAWLSFQGPRTVLMGSTWDWSSKNYSSSVCEDESSMALQELLPKASAVYPSIREWTFIRARAGLRAMPPLTNFGSLPILGCVNDAVKPKNKCMYWLFGGLGSRGLLYHAFLGKLLARAVVSDDEMVLPPELTSWKQMKVWKYNL >DRNTG_31754.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8295936:8300846:1 gene:DRNTG_31754 transcript:DRNTG_31754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCVVLGVEIERFLDRDTVTCYIVLLQCPATVPARNIPECMLSSSSAGAAGAKSNSVSGNSGGDAGGVLGLLINGEDSNRYSTMSRHAIIHAYYAIFKVRTTVSSDQSSPTALSSL >DRNTG_14551.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:27:37949:38934:1 gene:DRNTG_14551 transcript:DRNTG_14551.5 gene_biotype:protein_coding transcript_biotype:protein_coding TTCHYSEEGSKEKKINQTTPQFSKPHAVLLHTGSNFSYMSLRAA >DRNTG_14551.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:27:35593:39765:1 gene:DRNTG_14551 transcript:DRNTG_14551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHPIFVTTIAHLRPHLHQLLHSLHLSNSLPLCLISDFFLPWTLDVCRLFSVPRLVFHGMSTFSMFICKSIYTHLKPSSFTSSDELYHVPGGPPSLLLSRHQVPDIFLNSGDPNDPTTIILAEHNTSDINSWGIIVNSFSFIEREYTKLFESFFQNGTRAWLIGPLSLLSSPTLTDEGDCLRWLNDKEMNSVVYVAFGTQAHLTAEQLEEVANGLEAAECEYLWVVRDASWRPPERITTGERGKIVRWAPQREVLQHEAVGGFVSHCGWNSVLESMVAGVPVLTWPMIAEQAINEMMIVDEFGAGLRLRKVGADGVVKKEEIKNGVRELMVGEKGKRVRKKMEELGRMAMEAVEDGGSSHKSLSELIEELQRCRNREDDGLELESLHDHQCWIDLNAHFLLLLKLHHCHF >DRNTG_14551.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:27:35593:37028:1 gene:DRNTG_14551 transcript:DRNTG_14551.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHPIFVTTIAHLRPHLHQLLHSLHLSNSLPLCLISDFFLPWTLDVCRLFSVPRLVFHGMSTFSMFICKSIYTHLKPSSFTSSDELYHVPGGPPSLLLSRHQVPDIFLNSGDPNDPTTIILAEHNTSDINSWGIIVNSFSFIEREYTKLFESFFQNGTRAWLIGPLSLLSSPTLTDEGDCLRWLNDKEMNSVVYVAFGTQAHLTAEQLEEVANGLEAAECEYLWVVRDASWRPPERITTGERGKIVRWAPQREVLQHEAVGGFVSHCGWNSVLESMVAGVPVLTWPMIAEQAINEMMIVDEFGAGLRLRKVGADGVVKKEEIKNGVRELMVGEKGKRVRKKMEELGRMAMEAVEDGGSSHKSLSELIEELQRCRNREDDGLELESLHENHQECIKMS >DRNTG_14551.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:27:35462:37028:1 gene:DRNTG_14551 transcript:DRNTG_14551.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGHTIPLLHLATFLSTHHHLQITIITTSGNAPFLRQYLPSSINLSIFPFPSSPLLPIGVESTDHLSSMDLHPIFVTTIAHLRPHLHQLLHSLHLSNSLPLCLISDFFLPWTLDVCRLFSVPRLVFHGMSTFSMFICKSIYTHLKPSSFTSSDELYHVPGGPPSLLLSRHQVPDIFLNSGDPNDPTTIILAEHNTSDINSWGIIVNSFSFIEREYTKLFESFFQNGTRAWLIGPLSLLSSPTLTDEGDCLRWLNDKEMNSVVYVAFGTQAHLTAEQLEEVANGLEAAECEYLWVVRDASWRPPERITTGERGKIVRWAPQREVLQHEAVGGFVSHCGWNSVLESMVAGVPVLTWPMIAEQAINEMMIVDEFGAGLRLRKVGADGVVKKEEIKNGVRELMVGEKGKRVRKKMEELGRMAMEAVEDGGSSHKSLSELIEELQRCRNREDDGLELESLHENHQECIKMS >DRNTG_14551.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:27:37949:39765:1 gene:DRNTG_14551 transcript:DRNTG_14551.2 gene_biotype:protein_coding transcript_biotype:protein_coding DLSPWINRETKYEKIPADRRRARRRRRRQRRGTRPSSPDNGSEEEEMEDF >DRNTG_01058.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3628764:3632573:1 gene:DRNTG_01058 transcript:DRNTG_01058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALVLGKRSNSFFEELHHHSPSSPSSSSPFDTSLAKRVRRSSSSSSSSSSPTRIRFSPPRPSLQSPQVLVSSSGFNSEILDRLRSLFPDMDHQILQRALEAAGNDLDSAIKSLNNLHLKAVKVKLPSALGGSVPESEPSIEPPNEGSVNGNIGMR >DRNTG_32491.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20972206:20972945:1 gene:DRNTG_32491 transcript:DRNTG_32491.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRPPPSPTSSSAIGPSSSLIRPCYMKPTGSSPPLGIRPDSKVLTVIPSVGFVNRLVESFPCELLVATHESLLVLATIKENHDSVRCWQGGIDAVPERFGPFDVVFLCYFPAMGVSIDQALGYLAARCSEALILEIVDADLIVLKASLWRKAPKAQQPAPL >DRNTG_12539.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21109374:21112973:-1 gene:DRNTG_12539 transcript:DRNTG_12539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDELEHILEHCAIRKLPMIVANPDYVTVEARALRVMPGTLADKYEKLGGEVKWMGKPDKVIYKSAMTMAAVDASDCITVGDSLHHDIRGANAAGIDSVFITGGVHATELGLGSFGEVADVDAVHALGRKLDALPSYVLPAFLW >DRNTG_04068.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18718776:18726344:-1 gene:DRNTG_04068 transcript:DRNTG_04068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEILYATIEEAIKNGVDILSLSLGQQSLPFYDDDMMIATLSAIRAKIFVCMAAGNSGPYRKSVENGAPWILTVGASTHDRRVSATVKLGNGVEVEGESGYQPSTFNATGNIIFPGFRGQNGTLGCMKNSFNNTNVKGKIVLCTIEEGKYRDMSVNVKAAGGVGMIVLDTFVQGATTLSYDYVLPTAHVNYTAARKIVNYFKISNSTATATIAFNGTKFGARPSPTIGYFSSRGPHPYNGGIIKPDILGPGVNILSAWPIRPGPNPNGPPGSYFNFDSGTSMATPHLAGIAALLKNTHKNWSTAAIRSAIMTTANRFDLDGNPILDDYNEHINRANATDMGSGQVNPVAVTDPGLIYDINPEQYIQYLCGLGYNDTQASTVNGSSVQCSVVGSIAPENLNYPSISISLDPSKTKSVKRTLTNVGDANEVYNIDVEEPKGINVVVSPSSIQFSQIGQEKNITLEFSSKGMPLNKGNILDGQLKLDSGKHFVRSPISVTIL >DRNTG_26010.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23116116:23119998:1 gene:DRNTG_26010 transcript:DRNTG_26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDPVRVLVTGAAGQIGYALVPMIARGVMLGPDQPVILHMLDIPPAAESLNGVKMELVDAAFPLLKGVVATTDVVEACTGVNVAVMVGGFPRKEGMERKDVMSKNVSIYKSQASALEKHAAANCKVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQISERLSVQVSDVKNVIIWGNHSSTQYPDVNHATVKTPAGEKYVRELVSDDDWLRGEFISTVQQRGAAIIKARKLSSALSAASGACDHIRDWVLGTPEGTWVSMGVYSDGSYNVPAGLIYSFPVTCRNGEWSIVQDLSIDEFSRKKMDATAEELSEEKALAYSCLT >DRNTG_21519.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1507315:1508756:1 gene:DRNTG_21519 transcript:DRNTG_21519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGHSYFILVLITNVAGAGDVQIVSIKGSKTEWKSMLRNWGQNWQISDLLDGQSLSFKVTTSDSQSVISTNVVPTGWSFGQTFTGSQFS >DRNTG_29062.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001466.1:208:4663:-1 gene:DRNTG_29062 transcript:DRNTG_29062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLQYSLLLLPFLCLFLALAFSSGEADPKVPAIFIFGDSSADVGNSKYLSGGQDYYNNFLPYGIDYPSKNARHPTGRYSNGYNGADALAQIMGFKESPPPFRSLLIDNNTCEIDITQAMKGLNFASGGSRLLTESSDGVISMTEQVKCFRKFRSQLTTPYPLLSESLFLISIGDNDIGAFLSETYHKRNDTDFNIFIETASFISNLTATYRNHIMDLYELGGRKFGIVNFVPVGYIPRANVVSRNDTNVLNILPWLCNIALKVLMNNLSSTLPGMKYSIGNSYAVFMKIIDNPGAYGFKNVTDPCCGSALYGCNQTATVCSDRSQYLFWDNVHPTMATAEVFGNLVYDGSTEFASPINFKKLVEDYNELTSVY >DRNTG_19389.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22209237:22212327:-1 gene:DRNTG_19389 transcript:DRNTG_19389.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVSEACKDVASIASSGWDFSCDFEVDYGSEEHATIVHEALAVDQELQPDKVRRHTSVSDGKLIVRFEAVEARFLRASFSSFIDLVVLATKLIEEFSPQN >DRNTG_19389.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22209237:22212327:-1 gene:DRNTG_19389 transcript:DRNTG_19389.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVSEACKDVASIASSGWDFSCDFEVDYGSEEHATIVHEALAVDQELQPDKVRRHTSVSDGKLIVRFEAVEARFLRASFSSFIDLVVLATKLIEEFSPQN >DRNTG_08606.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27913278:27916094:1 gene:DRNTG_08606 transcript:DRNTG_08606.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDISFPNLKNLNLNQSKKPSVLHAPQELKDDPLNWKASTESLTCSFLTQIKHMVTHFHSSNIHLTGFNLTIGHVTAVARNSGHDHPFITLSTTTTHPQVEASYRWFCDSTAKGIDSYGITGFGSTSQRALTTQKFSSKNSSISITPESYQTTPPFQLRPPSPPCSSGPTPCCKATQHSLGDP >DRNTG_08606.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27913373:27916148:1 gene:DRNTG_08606 transcript:DRNTG_08606.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDISFPNLKNLNLNQSKKPSVLHAPQELKDDPLNWKASTESLTCSFLTQIKHMVTHFHSSNIHLTGFNLTIGHVTAVARNSGHDHPFITLSTTTTHPQVEASYRWFCDSTAKGIDSYGITGFGSTSQRALTTQKFSSKNSSISITPESYQTTPPFQLRPPSPPCSSGPTPCCKATQHSLGDP >DRNTG_08606.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27913373:27916094:1 gene:DRNTG_08606 transcript:DRNTG_08606.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDISFPNLKNLNLNQSKKPSVLHAPQELKDDPLNWKASTESLTCSFLTQIKHMVTHFHSSNIHLTGFNLTIGHVTAVARNSGHDHPFITLSTTTTHPQVEASYRWFCDSTAKGIDSYGITGFGSTSQRALTTQKFSSKNSSISITPESYQTTPPFQLRPPSPPCSSGPTPCCKATQHSLGDP >DRNTG_08606.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27913278:27916094:1 gene:DRNTG_08606 transcript:DRNTG_08606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDISFPNLKNLNLNQSKKPSVLHAPQELKDDPLNWKASTESLTCSFLTQIKHMVTHFHSSNIHLTGFNLTIGHVTAVARNSGHDHPFITLSTTTTHPQVEASYRWFCDSTAKGIDSYGITGFGSTSQRALTTQKFSSKNSSISITPESYQTTPPFQLRPPSPPCSSGPTPCCKATQHSLGDP >DRNTG_05599.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:299819:300234:-1 gene:DRNTG_05599 transcript:DRNTG_05599.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNCKFLLCIDLVRSQRFEHLIGLSLKRFCSQD >DRNTG_02258.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1000285:1000716:-1 gene:DRNTG_02258 transcript:DRNTG_02258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRLMLLLFLCSSLAVQGRLLGCMSGNGCMREEEMAPPAEPGKNIKMEEMKGLRPLERRSR >DRNTG_31838.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3356026:3366205:-1 gene:DRNTG_31838 transcript:DRNTG_31838.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of nonsense transcripts 1 homolog [Source:Projected from Arabidopsis thaliana (AT5G47010) UniProtKB/Swiss-Prot;Acc:Q9FJR0] MAAQSVANNLYETASQPDTAGDAYTFLEFSTQGDDFDYHEFQELSQPIRSSSSDLPPQDSSPLPSPSAASAAASSSSAGKGRGGDRSVAVDALATGISGLNFEETVGDEEFGKVGFTEHACRYCGVQNPACVVRCNMPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLNVNALKDMNWDLSQWCPLIDDRCFLQWLVKIPSEQEQLRARQISAQQINKLEELWKTSPDASLEDLEKPGVDDEPQPVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTIRWDIGLNKKRVAYFVFPKEDNELRLVPGDELRLRYSGDGAHPAWQSVGHVIKLTAQEEVALELRASQGVPVDVNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVELQVVRNTLPRRFGAPGLPELNASQVFAVKSVLQKPISLIQGPPGTGKTVTSASIVYHMAKQGQGQVLVCAPSNVAVDQLAEKINTTGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKFKALKRATEREILQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVLLGLKPFRLQVQYRMHPSLSEFPSNSFYEGTLQNGVTINERQSTGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVIPSQIGVITPYEGQRAYIVNYMSRNGALRQQLYKEIEVASVDSFQGREKDFIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNSLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNDRRLYFGGAPGAGPADSFGPIGSTSSNVDKRGGRAKAGHSYMPFGPPNGTPKPGVHPAGYPLPRIPLPPFLGAPHSQPYAIPTRGAVHGPIGAVPQVPQPGNRGFGAGRGNAGGPIGGHLVHHQQASQQGLGGMGSFSFPLDNPNTQPSVGAPLSQSGLMTQVPVQGLSQTFHEGFSMGGMSQDFLGDDFKSQGSHVAYNVADFSTQASQSGYGVEYATQGPQGGFPASYLNQNSQPGYSHLGSGNDFISQDYMAHGSQGLFTQAGYNDPSQGESSQSHFGVAGRSPLQSQGLMNPPLHSQPFTQYNTPNSQPPQQQNQKIHYNG >DRNTG_31838.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3356026:3366205:-1 gene:DRNTG_31838 transcript:DRNTG_31838.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of nonsense transcripts 1 homolog [Source:Projected from Arabidopsis thaliana (AT5G47010) UniProtKB/Swiss-Prot;Acc:Q9FJR0] MAAQSVANNLYETASQPDTAGDAYTFLEFSTQGDDFDYHEFQELSQPIRSSSSDLPPQDSSPLPSPSAASAAASSSSAGKGRGGDRSVAVDALATGISGLNFEETVGDEEFGKVGFTEHACRYCGVQNPACVVRCNMPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLNVNALKDMNWDLSQWCPLIDDRCFLQWLVKIPSEQEQLRARQISAQQINKLEELWKTSPDASLEDLEKPGVDDEPQPVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTIRWDIGLNKKRVAYFVFPKEDNELRLVPGDELRLRYSGDGAHPAWQSVGHVIKLTAQEEVALELRASQGVPVDVNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVELQVVRNTLPRRFGAPGLPELNASQVFAVKSVLQKPISLIQGPPGTGKTVTSASIVYHMAKQGQGQVLVCAPSNVAVDQLAEKINTTGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKFKALKRATEREILQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVLLGLKPFRLQVQYRMHPSLSEFPSNSFYEGTLQNGVTINERQSTGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVIPSQIGVITPYEGQRAYIVNYMSRNGALRQQLYKEIEVASVDSFQGREKDFIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNSLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNDRRLYFGGAPGAGPADSFGPIGSTSSNVDKRGGRAKGHSYMPFGPPNGTPKPGVHPAGYPLPRIPLPPFLGAPHSQPYAIPTRGAVHGPIGAVPQVPQPGNRGFGAGRGNAGGPIGGHLVHHQQASQQGLGGMGSFSFPLDNPNTQPSVGAPLSQSGLMTQVPVQGLSQTFHEGFSMGGMSQDFLGDDFKSQGSHVAYNVADFSTQASQSGYGVEYATQGPQGGFPASYLNQNSQPGYSHLGSGNDFISQDYMAHGSQGLFTQAGYNDPSQGESSQSHFGVAGRSPLQSQGLMNPPLHSQPFTQYNTPNSQPPQQQNQKIHYNG >DRNTG_22932.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23404334:23406904:1 gene:DRNTG_22932 transcript:DRNTG_22932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLALSMRSSSFMRVGLLNTVRTTMTSLKRKRGTKSSRITSSTSMSTMAATTLPLSHSTSSLISLMMSTGIRILVFSRSQKRTRDSRRAIGISSMVMRHCLISIDWRDQGAVVDVKNQLKCGSCWAFSSIAAIEGLNQIITEDLVSLSEQELVDCARKSCEGWQMNNAFEFIINNGGIDSEEDYPYKGYYAGCRRDKLRRKVVSIDGYENVPPYSEDNLKKAVAYQPISVAIDAGSKDFQSYGSGVYKGNCGTNLNHGVSLVGYGNEDGDDYWLIKNSWGEQWGDNGYVKLQRNSGTAEGKCGIAMQPSYPVKNDYNPLEKKALKNIHGDGSKTDAKSKIATA >DRNTG_32948.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30928908:30929386:-1 gene:DRNTG_32948 transcript:DRNTG_32948.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKTFHSATVAVNANYFVAANIRFENTAPYPEVGERGGQAVALRISGDKAAFYNCSFYRTQDTLYDHKGLHYFNNCFIQGSLDFIFGNGRSLYQ >DRNTG_32948.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30928260:30929834:-1 gene:DRNTG_32948 transcript:DRNTG_32948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYREKIVIPKSKPYITFVGSSGDPPIITGNDTAATRGSNGMPLKTFHSATVAVNANYFVAANIRFENTAPYPEVGERGGQAVALRISGDKAAFYNCSFYRTQDTLYDHKGLHYFNNCFIQGSLDFIFGNGRSLYQDCYLNSIAKRVASVTAQKGNTATMDSGFSFTKSTIAGSGLVYLGRAWGDHSRVVFSYTFMDNVVIPQGWNKWHVQRPEESGIYYGEYQCSGPGANWTGRVHWAHLLTDEEAQPFLGTYYVEGDSWLLYPPSYIF >DRNTG_05637.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6264947:6266378:1 gene:DRNTG_05637 transcript:DRNTG_05637.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKWRMIRPFFFILALHCLAVFLYTRGFLLTRTELSSFSRCSDDHPCSAPLNSTAHSDPFQRPDRDHDQWCWTRPAVDRIVIIVLDALRYDFLSPSTFFKEKKPWMDKLTVLQRLAAKEPLSARIFKAIADPPTTSLQRLKGLTTGGLPTFIDVGNSFGAPAIVEDNLIHQMAQNGKRVLMMGDDTWLQLFPNHFKTAYPYPSFNVKDLDTVCMFKVFAFVVILCCSFCMEFRLLCLCF >DRNTG_05637.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6264947:6267822:1 gene:DRNTG_05637 transcript:DRNTG_05637.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKWRMIRPFFFILALHCLAVFLYTRGFLLTRTELSSFSRCSDDHPCSAPLNSTAHSDPFQRPDRDHDQWCWTRPAVDRIVIIVLDALRYDFLSPSTFFKEKKPWMDKLTVLQRLAAKEPLSARIFKAIADPPTTSLQRLKGLTTGGLPTFIDVGNSFGAPAIVEDNLIHQMAQNGKRVLMMGDDTWLQLFPNHFKTAYPYPSFNVKDLDTVDNGVVKHLFPSLYQDDWDVLIAHFLGVVS >DRNTG_04997.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4344762:4351432:1 gene:DRNTG_04997 transcript:DRNTG_04997.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LCV2 [Source:Projected from Arabidopsis thaliana (AT1G43130) UniProtKB/TrEMBL;Acc:A0A178WDP4] MAEEKESTSVPLSQAVDPEDPAKTPPPSPNSTRKACFAVLQSWVSRKFMTGCVVLFPVAVTFFITWWFIQFVDGFFSPLYEKLGVDIFGLGFLTSLLFVFLVGIFVSSWLGATVFWVGEWFIKKMPFVRHIYSASKQISTAISPDQNTTAFKEVAIIRHPRIGEYAFGFITSSVVLQSDKGDEELCSVYVPTNHLYIGDIFLVNSNDIIRPNLSIREGIEIIVSGGMTMPQVIAPLERMHRMNQSICLNRIT >DRNTG_26790.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17182728:17185126:1 gene:DRNTG_26790 transcript:DRNTG_26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRRHIPDVATSPVRERNIHDVEVEDIRRQVQQLQEQLQCLQPSEREQPLGDGFLRVLDFDNLIWSLGVGRHLETIVSHRLPSVKNIGL >DRNTG_15333.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7831383:7862449:-1 gene:DRNTG_15333 transcript:DRNTG_15333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIMNTTKILCWNCRGISDRDTSSRLLRLIKKLRPVLVCLVETRANSDRVDRLCKKIPKHWEWASVLAEGFSGGVNNLDTAISKTEAEICSLELTESDSNSHLVLKSLYAKLSALHRQNSIRWAQRARLNWISDGDKNTSFFHAIYRMRSHINYIPQIEDSHGNVLNDPSSIENAFMNFYKHLWGGSDCYSSTPITFQPMIDKVNKLTSKWSKFHLTPAAKEILINSVLLSVPVYTLIVYPIHDSILSEISKAVRKFFWNRNGNGKGIHNVSWNIITEGKSEGGLGIKNLTLAKHALMSKNIFKYLNNHDSLWVEILLHKYGKVNFWLDSVPAKCSWFFRGLCKSAAFIKHHCKINTVNPLSTSILWDPWLYDIHVALKPTYLNMEVDLDRISFSDIIVNDQWSVHEFNQIFGSNVENLDRKFLSIDHNSDNHWIWVPKASCTKVSSAVYHTLNRQSVFSEVWEGWNIAWSIPVAPRIKHFIWLCLRGRLSTSAFLYNIHLGPDNPCVFCGIHSETVDHLFGQCDRILLIWDQLTSMLNIPISFTNGFSSGNWVIEYRQSMHILALIAACAWFIWLCRCDVIFRNSRPIYNNIVSKATALVDDLSRCNFGLVGRKFLLSNFSSIDDNFLFTHAASNLDLKVSSVGFFFADANYKISLAGCTSSSCSESSFDALLASVALHRCVGFAHPLSSISSD >DRNTG_19797.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1692352:1697775:1 gene:DRNTG_19797 transcript:DRNTG_19797.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGTSSNNEAATKSEQEIRLTLREFIEIDNAIRRGDFTFLQKFMKGSDKKDDDVPRVNLSKDPLLNVIISYGKKTELLALQLIKMMQPGNLMAKNEVGDTALHVAAAMDSLSVATALIDKNPILIEERNNKLETPLLKAALFGSAATFHKLKRQNRDGVHHRTLTGASVLHCAILGNNPDLALEIAQEFGFLIYTRNLKALTPLQLIVTIPQVFRSSLELGPAESFLYTFIPLDSHDESNQREKNIDDEELGKSISHHYWVESNAKSDKLFEASEAMSKRKFVKKYQLIWYFFYVAKLVGLYLDASRVRLFIIFILKKLFNSVEELEILKTNHVQTMKLIAYLARDPEYWDFINKGTFKKKQTDMKPENMIFKRKIGDDDEIDKDFDDEEDFDDFDDDDDDDDDDEEEEEEEEEQQKIDNQNTPLDGSNKRTTSSLHTHKELVSSDSLNERTTSSLPKEKDLILEHAMNLISEQKKLISEQKNLIIEQNNSIIEQNNSIKEFTKQIKSSLPEPAKRQLTRWAESPLIVGAKMGLYDFVEQILKVYPQSAQFKDLEGKNVLQVAIKHGQVKIVKIIAEMIKGPNPMLPSWLLSDVTDDEMNKDDEMNNTILHYAAVTTIKDEGFALQMQREIIWFETVKKLVPKDMVNNRNAEEKTAQELFNENHAEMMKSGRNQLMDIGKTCSGLLAAVVFATSFNIPGGKDSDSRTGPSNNNMTNSAKGNHFNDETVGFKVFSHAYVMGLSFATCSLLLFLSLLTSNYRPEAFRKALPTKYILAVVSFFFALLTLLVAFTCNIYLSIYGGGTPKAKDLLPLVLELTGFPFLCAVALFFGGF >DRNTG_19797.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1693602:1696412:1 gene:DRNTG_19797 transcript:DRNTG_19797.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKFVKKYQLIWYFFYVAKLVGLYLDASRVRLFIIFILKKLFNSVEELEILKTNHVQTMKLIAYLARDPEYWDFINKGTFKKKQTDMKPENMIFKRKIGDDDEIDKDFDDEEDFDDFDDDDDDDDDDEEEEEEEEEQQKIDNQNTPLDGSNKRTTSSLHTHKELVSSDSLNERTTSSLPKEKDLILEHAMNLISEQKKLISEQKNLIIEQNNSIIEQNNSIKEFTKQIKSSLPEPAKRQLTRWAESPLIVGAKMGLYDFVEQILK >DRNTG_19797.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1696950:1697775:1 gene:DRNTG_19797 transcript:DRNTG_19797.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNRNAEEKTAQELFNENHAEMMKSGRNQLMDIGKTCSGLLAAVVFATSFNIPGGKDSDSRTGPSNNNMTNSAKGNHFNDETVGFKVFSHAYVMGLSFATCSLLLFLSLLTSNYRPEAFRKALPTKYILAVVSFFFALLTLLVAFTCNIYLSIYGGGTPKAKDLLPLVLELTGFPFLCAVALFFGGF >DRNTG_09883.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:262290:268543:1 gene:DRNTG_09883 transcript:DRNTG_09883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLLSLRQGDEFTDKVQDLVLVGGWRQLLSIREPAIHELTLEVFSSFEFDRSYSRFDSLDVIKFRALGHHYSLSVTQISVRLSLYEEAFIDTEEYSQLPTDYPGPLISRELTKHYVHWRSEPSGASVLVLDDTAHTDPSRSHRHRVHSTSGPVCQIGSDLLGSIHYEIGSGYVSLGHDSRAEKMSIPVPLSLEMMRLVGMVRRVWTGIYALLLPVSEKAKVEGDDSEASKTTPRASAAPMETEAPHMAKHTPQWSSHHGN >DRNTG_09512.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21708777:21712770:1 gene:DRNTG_09512 transcript:DRNTG_09512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFSKLVEQAAMTAITVAVAAMVENAVDIMRALSLYQPADDGDYTANDLDSRDGNNMMIEGLCHVNKIQEAVEVRWMKQIRILSLACCTGVYSVPATYSIITHKLLELKKANNAIAFLSQILVEGCNVDVTLYCLLINGLCAESSASEAALLFNLMVGDGFTPDSGILQH >DRNTG_21435.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15432582:15435599:1 gene:DRNTG_21435 transcript:DRNTG_21435.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2-like ethylene-responsive transcription factor TOE3 [Source:Projected from Arabidopsis thaliana (AT5G67180) UniProtKB/Swiss-Prot;Acc:Q9FH95] MWDLNDSPDQPPASAMVTAAPGSDEDESVSKGKAVESAASTADDNSSSSAIVIADDDDCAGALAGAGKIFGFSVGESYSGESEPPVVTRQFFPVEKMSSEADGVGASSGCSSLPRAHWVGVQFRQSSEPGGVAGVKPMEVSQPIKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFSLDDYEEDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKDAVTNFDPSIYEPELNSSANQLDHNLDLSLGSSGSKRSGLEVMDEDNNGAMMDQRVPFAMEPDWRSNNRTTRPKFDDKLKLPEYEPQISSKGSEIYRYQQQPPQVFQIIQQQFHNPGSHQYQNSEGMKSIAGNLSLALSEDHHHHHHHHHQHYQQQQQHRQTWPPPGIAMTQFSAAASSGFPPQSTNTATTTTTTTMKSPQNWLQKNLFHSRPY >DRNTG_21435.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15432582:15435599:1 gene:DRNTG_21435 transcript:DRNTG_21435.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2-like ethylene-responsive transcription factor TOE3 [Source:Projected from Arabidopsis thaliana (AT5G67180) UniProtKB/Swiss-Prot;Acc:Q9FH95] MWDLNDSPDQPPASAMVTAAPGSDEDESVSKGKAVESAASTADDNSSSSAIVIADDDDCAGALAGAGKIFGFSVGESYSGESEPPVVTRQFFPVEKMSSEADGVGASSGCSSLPRAHWVGVQFRQSSEPGGVAGVKPMEVSQPIKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGMISCFSEFYLCLTLYEWGLIFCFWFFYDFVGGFDTAHAAARAYDRAAIKFRGVDADINFSLDDYEEDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKDAVTNFDPSIYEPELNSSANQLDHNLDLSLGSSGSKRSGLEVMDEDNNGAMMDQRVPFAMEPDWRSNNRTTRPKFDDKLKLPEYEPQISSKGSEIYRYQQQPPQVFQIIQQQFHNPGSHQYQNSEGMKSIAGNLSLALSEDHHHHHHHHHQHYQQQQQHRQTWPPPGIAMTQFSAAASSGFPPQSTNTATTTTTTTMKSPQNWLQKNLFHSRPY >DRNTG_21435.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15432372:15435599:1 gene:DRNTG_21435 transcript:DRNTG_21435.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2-like ethylene-responsive transcription factor TOE3 [Source:Projected from Arabidopsis thaliana (AT5G67180) UniProtKB/Swiss-Prot;Acc:Q9FH95] MSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKDAVTNFDPSIYEPELNSSANQLDHNLDLSLGSSGSKRSGLEVMDEDNNGAMMDQRVPFAMEPDWRSNNRTTRPKFDDKLKLPEYEPQISSKGSEIYRYQQQPPQVFQIIQQQFHNPGSHQYQNSEGMKSIAGNLSLALSEDHHHHHHHHHQHYQQQQQHRQTWPPPGIAMTQFSAAASSGFPPQSTNTATTTTTTTMKSPQNWLQKNLFHSRPY >DRNTG_32464.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2477694:2482698:1 gene:DRNTG_32464 transcript:DRNTG_32464.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VHA-E2 [Source:Projected from Arabidopsis thaliana (AT3G08560) UniProtKB/TrEMBL;Acc:A0A178VP35] MNDADVSRQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEAEKRKIRQEYERKEKQVEVRRKIEYSMQLNASRIKVLQAQDDFVNSMKESASKELLRVSENAKSYKQLLKDLIVQSLLRLKEPSVLLRCRECDRKLVESVLEEAKQQYAEKAEVHPPKVTIDGKVYLPPPPTKPEAHGLFCSGGVVLASQDGKIVLENTLDARLEVLFRQKLPEIRKTLYAKVAT >DRNTG_27333.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17114318:17115143:-1 gene:DRNTG_27333 transcript:DRNTG_27333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPTFVLTILLLLFFPSPSLHIQKGNQISIERLALLAFKKGIFDDPKGALSNWTESTDFCKWNGITCWQGRVSKLKQGRVSQLNLKGQDLKGTISPFLSNLSQILLIDLSENALHGPIPEEFGALSMLQNFSIQQNGVQRQVPHSLGMLKHLIYIDLSSNELHGTLPTSLLYNCTDLFYVDLSNNSFTGLIPPEIG >DRNTG_08597.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30359638:30365044:-1 gene:DRNTG_08597 transcript:DRNTG_08597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELGSPRELQIKESELSERITSLEKKIHYSNIEEKTIQEKLSKLKVEKQNVREEIARLNPELEKLTRLIEEGAQNIQDRERQINDKVDQIYKDFSESVGVKNIREYEEQQLKIAQESSEERLKLTNQMSKCKYQLEYERKRDMDSPIARLMSLLDSLVDELKEIQTKEADAKLAAKEIAEQMEQLKDEADEWKSKLDECDKVIEELKKHNSGVTGNIAKLKRQINAKVAHRDQLDTSKKDVLEKCELEQIKLPVVADPMEIESSAPEPVYDYSELGRTYLQELRPSEREKLEADFKQKMDSLVAEIERTAPNLKALDQYEALQGKEREIIAKFELARKEEKEISDRYNAVKQKRYELFMEAFDHISKSIDKIYKQLTKSHTHPLGGTAYLNLENEDEPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFSIHSFRPSPFFILDEVDAALDNLNVAKVAGFIRSKSCDEGRSSQDPDGGSGFQSIVISLKDSFYDKAEALVGVYRDSERSCSRTLTFDLTKYRES >DRNTG_08597.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30359638:30364323:-1 gene:DRNTG_08597 transcript:DRNTG_08597.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELGSPRELQIKESELSERITSLEKKIHYSNIEEKTIQEKLSKLKVEKQNVREEIARLNPELEKLTRLIEEGAQNIQDRERQINDKVDQIYKDFSESVGVKNIREYEEQQLKIAQESSEERLKLTNQMSKCKYQLEYERKRDMDSPIARLMSLLDSLVDELKEIQTKEADAKLAAKEIAEQMEQLKDEADEWKSKLDECDKVIEELKKHNSGVTGNIAKLKRQINAKVAHRDQLDTSKKDVLEKCELEQIKLPVVADPMEIESSAPEPVYDYSELGRTYLQELRPSEREKLEADFKQKMDSLVAEIERTAPNLKALDQYEALQGKEREIIAKFELARKEEKEISDRYNAVKQKRYELFMEAFDHISKSIDKIYKQLTKSHTHPLGGTAYLNLENEDEPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFSIHSFRPSPFFILDEVDAALDNLNVAKVAGFIRSKSCDEGRSSQDPDGGSGFQSIVISLKDSFYDKAEALVGVYRDSERSCSRTLTFDLTKYRES >DRNTG_08597.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30359638:30364323:-1 gene:DRNTG_08597 transcript:DRNTG_08597.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEELGSPRELQIKESELSERITSLEKKIHYSNIEEKTIQEKLSKLKVEKQNVREEIARLNPELEKLTRLIEEGAQNIQDRERQINDKVDQIYKDFSESVGVKNIREYEEQQLKIAQESSEERLKLTNQMSKCKYQLEYERKRDMDSPIARLMSLLDSLVDELKEIQTKEADAKLAAKEIAEQMEQLKDEADEWKSKLDECDKVIEELKKHNSGVTGNIAKLKRQINAKVAHRDQLDTSKKDVLEKCELEQIKLPVVADPMEIESSAPEPVYDYSELGRTYLQELRPSEREKLEADFKQKMDSLVAEIERTAPNLKALDQYEALQGKEREIIAKFELARKEEKEISDRYNAVKQKRYELFMEAFDHISKSIDKIYKQLTKSHTHPLGGTAYLNLENEDEPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFSIHSFRPSPFFILDEVDAALDNLNVAKVAGFIRSKSCDEGRSSQDPDGGSGFQSIVISLKDSFYDKAEALVGVYRDSERSCSRTLTFDLTKYRES >DRNTG_24200.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22481797:22483706:-1 gene:DRNTG_24200 transcript:DRNTG_24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSFYYLLTISIALILITKRYLQIHFSKPLALPILGHLHLLQTPVHQSLTKLAQKHGHILHLRFGSRPVLLISSPSAAKECFTNNDIIFANRPVMLAGKYLGYNSTIVGFSPYGQHWRQLRRFMALHALSPTHLPSFSSDIHSLVLKLYSSAGEGYKCFKKVEVRDMLFELMMNVMTGLIAGKKYYGEGCEDSEEGRRFRKIVEEVFLLSGASTVEDFIPFVRWMGIGGAEKRMEKVGKEMDEFYQKILEERRRVVKWKEKDDGDQEKKSNIIDIMLAMQEKEKDNYSDIDIKGMISTLLVAGTETTAGTMEWAMALLLNHPDALKKAKAEIKEQVGHGHLIKDSDISKLHYLNNVIKETLRLFPAGPLLVPHESSEDCTVSGVHIPKGTMLLVNIYAMQRDNQLWDNPLEFKPERFDSDELVHGDEGYKYIPFGIGRRRCPGESLAWKVMLLTLGALIQCFEWERVGKELVDLSEGVGLSLPMAKPLQAVYKPCIDMHAVLSQL >DRNTG_26884.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21449021:21451055:-1 gene:DRNTG_26884 transcript:DRNTG_26884.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKHGMVPKHSFFQAITSCVLAILPEKFYEKVEEGSIVIKKSKCFSFCKEGVMVDGESSPIESDLVILATGFRGDNKLKYLFTSPYFQNIILGPSSATVPLYRECISPRVPQMAILGYSESLSNLFTSEMRAKWLAHFLDGGFTLPSIKAMEDNVKEWDKFMKTYCGDHFRRSISTVHIWYSDQLCKDMGCNPRRKKGFFAEWFQPY >DRNTG_26884.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21449021:21456664:-1 gene:DRNTG_26884 transcript:DRNTG_26884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWLAGIFGLAPARAFSGGGRKGRWRLTVQLDKEQSMEEYEFDFVILCIGKFSGVPNIPSFPEKKGPEAFDGQVIHSMDYSKLDKDAAIQLVKDKRVTIVGFLKSAIDITYECAIANGVEYPCTVICRTPRWIIPDFFAWGIPIFYFYATRFSELLFHKPGEGNLLSLLATLLSPLRWAFSKFTESYYKWAVPMQKHGMVPKHSFFQAITSCVLAILPEKFYEKVEEGSIVIKKSKCFSFCKEGVMVDGESSPIESDLVILATGFRGDNKLKYLFTSPYFQNIILGPSSATVPLYRECISPRVPQMAILGYSESLSNLFTSEMRAKWLAHFLDGGFTLPSIKAMEDNVKEWDKFMKTYCGDHFRRSISTVHIWYSDQLCKDMGCNPRRKKGFFAEWFQPY >DRNTG_26884.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21449021:21449336:-1 gene:DRNTG_26884 transcript:DRNTG_26884.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAILGYSESLSNLFTSEMRAKWLAHFLDGGFTLPSIKAMEDNVKEWDKFMKTYCGDHFRRSISTVHIWYSDQLCKDMGCNPRRKKGFFAEWFQPY >DRNTG_08031.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30220499:30221825:1 gene:DRNTG_08031 transcript:DRNTG_08031.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05040) UniProtKB/TrEMBL;Acc:A0A1I9LRW7] MKHKSLAVPALRICIEAFAWTDGEAMAKVTYFCGALILLAVSSNDVELREFVAKDLFCAIIQGLALESNAIISTDLVGLCREIFVYLSDRDAAPRQVLLSLPCITRDDLVAFEDALTKTSSPKEQKQHMRSLLMLATGNKLKALAAQKPTNTITNVTARNRTSARTPAQGIQDDGVIGLAAIT >DRNTG_08031.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30217089:30221756:1 gene:DRNTG_08031 transcript:DRNTG_08031.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05040) UniProtKB/TrEMBL;Acc:A0A1I9LRW7] MKGIADSMAYLEGEGRLLRSEHNILGEAVLIMASFAGSQQQQEVLAWLLEPLSKQWMLLDWQNAYLSNPLGLTQLCTNTQFMWSIFHTVTFFEKALKRSGVKKSSLHLQNSPTNNNSTHSHPLSSHLTWMLPPLFRLLRSIHSLWSQPIDQALAGEIRAAKGMSHVEQASLLGEGNFKQLKDQPGFVDGIESTNEVETKENNIRNWLKGIRDSGYNVIGLATTIGDAFFRCIDSPSVTLALMENIQSMEFRHIRQLIHSVLIPLVKSCPVDLWSSWLENLLQPLFLHCHQVLTCSWSGLIHESRAKIPDSFGNLSGLELKVEVMEEKLLRDLTREVCFLLSVLASPGLNSALPSLEQLGNANRMEASLGDLDSFASNSMIGFVMKHKSLAVPALRICIEAFAWTDGEAMAKVTYFCGALILLAVSSNDVELREFVAKDLFCAIIQGLALESNAIISTDLVGLCREIFVYLSDRDAAPRQVLLSLPCITRDDLVAFEDALTKTSSPKEQKQHMRSLLMLATGNKLKALAAQKPTNTITNVTARNRTSARTPAQGIQDDGVIGLAAIT >DRNTG_08031.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30211314:30221756:1 gene:DRNTG_08031 transcript:DRNTG_08031.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05040) UniProtKB/TrEMBL;Acc:A0A1I9LRW7] MEDSSGSSVGNNVARAIVAAFDWSSPADARNAAVAYLDSFKSGDIRVLASTSFHLVRKEWSSEIRLHGFKMLQHLVRFRWDELVIKERTEFANATVNMILEMASPGEEWVLKSQTAALVAEVVRREGVSLWLELLPSLVSLSNKGPIEAELVAMTLRWLPEDITVHNEDLEAHATGDRRRVLLRGLTESLTDILPLLYSLLEKHFGAALSESGMNHFDIAKQHAATVIAALNAIHAYAEWAPVPDLAKCGIIHGCGFLLSSLDFRLHACEFFKLVAQRKRPTDAATPDFDSAMKNIFQILINISREFLSRFGSSSSVIDENDFEFMECLCETMVALGSSHLQSITTDGSMIGLFLQQMLGYFQHFKFALHFQSLLFWLVLMRESAPKAKAAAQNAGNNSPVSNQEFGFAQLENEKKGVSSYIDDGSCSAILDVSFQRMLKKNVTSGTVASDETLELWNDEFDGKSDFSQYRSRLLDLIRLVASQKPVVAVTRVSQRINTVVVSRVHSSVPTQDVALMESMQLGLETVVSAIFDSSAVFVTCSSETKFQLQRILEGLLQQLLSVKWTEPALAVVLARYLDSFGSYLKHFPDVVVGVVNKLFELLTSLPFQDPSNTARFARLQICSSFIRIAKSAEESLVPQMKGIADSMAYLEGEGRLLRSEHNILGEAVLIMASFAGSQQQQEVLAWLLEPLSKQWMLLDWQNAYLSNPLGLTQLCTNTQFMWSIFHTVTFFEKALKRSGVKKSSLHLQNSPTNNNSTHSHPLSSHLTWMLPPLFRLLRSIHSLWSQPIDQALAGEIRAAKGMSHVEQASLLGEGNFKQLKDQPGFVDGIESTNEVETKENNIRNWLKGIRDSGYNVIGLATTIGDAFFRCIDSPSVTLALMENIQSMEFRHIRQLIHSVLIPLVKSCPVDLWSSWLENLLQPLFLHCHQVLTCSWSGLIHESRAKIPDSFGNLSGLELKVEVMEEKLLRDLTREVCFLLSVLASPGLNSALPSLEQLGNANRMEASLGDLDSFASNSMIGFVMKHKSLAVPALRICIEAFAWTDGEAMAKVTYFCGALILLAVSSNDVELREFVAKDLFCAIIQGLALESNAIISTDLVGLCREIFVYLSDRDAAPRQVLLSLPCITRDDLVAFEDALTKTSSPKEQKQHMRSLLMLATGNKLKALAAQKPTNTITNVTARNRTSARTPAQGIQDDGVIGLAAIT >DRNTG_08031.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30211314:30221756:1 gene:DRNTG_08031 transcript:DRNTG_08031.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05040) UniProtKB/TrEMBL;Acc:A0A1I9LRW7] MEDSSGSSVGNNVARAIVAAFDWSSPADARNAAVAYLDSFKSGDIRVLASTSFHLVRKEWSSEIRLHGFKMLQHLVRFRWDELVIKERTEFANATVNMILEMASPGEEWVLKSQTAALVAEVVRREGVSLWLELLPSLVSLSNKGPIEAELVAMTLRWLPEDITVHNEDLEGDRRRVLLRGLTESLTDILPLLYSLLEKHFGAALSESGMNHFDIAKQHAATVIAALNAIHAYAEWAPVPDLAKCGIIHGCGFLLSSLDFRLHACEFFKLVAQRKRPTDAATPDFDSAMKNIFQILINISREFLSRFGSSSSVIDENDFEFMECLCETMVALGSSHLQSITTDGSMIGLFLQQMLGYFQHFKFALHFQSLLFWLVLMRESAPKAKAAAQNAGNNSPVSNQEFGFAQLENEKKGVSSYIDDGSCSAILDVSFQRMLKKNVTSGTVASDETLELWNDEFDGKSDFSQYRSRLLDLIRLVASQKPVVAVTRVSQRINTVVVSRVHSSVPTQDVALMESMQLGLETVVSAIFDSSAVFVTCSSETKFQLQRILEGLLQQLLSVKWTEPALAVVLARYLDSFGSYLKHFPDVVVGVVNKLFELLTSLPFQDPSNTARFARLQICSSFIRIAKSAEESLVPQMKGIADSMAYLEGEGRLLRSEHNILGEAVLIMASFAGSQQQQEVLAWLLEPLSKQWMLLDWQNAYLSNPLGLTQLCTNTQFMWSIFHTVTFFEKALKRSGVKKSSLHLQNSPTNNNSTHSHPLSSHLTWMLPPLFRLLRSIHSLWSQPIDQALAGEIRAAKGMSHVEQASLLGEGNFKQLKDQPGFVDGIESTNEVETKENNIRNWLKGIRDSGYNVIGLATTIGDAFFRCIDSPSVTLALMENIQSMEFRHIRQLIHSVLIPLVKSCPVDLWSSWLENLLQPLFLHCHQVLTCSWSGLIHESRAKIPDSFGNLSGLELKVEVMEEKLLRDLTREVCFLLSVLASPGLNSALPSLEQLGNANRMEASLGDLDSFASNSMIGFVMKHKSLAVPALRICIEAFAWTDGEAMAKVTYFCGALILLAVSSNDVELREFVAKDLFCAIIQGLALESNAIISTDLVGLCREIFVYLSDRDAAPRQVLLSLPCITRDDLVAFEDALTKTSSPKEQKQHMRSLLMLATGNKLKALAAQKPTNTITNVTARNRTSARTPAQGIQDDGVIGLAAIT >DRNTG_05529.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000250.1:3552:3916:-1 gene:DRNTG_05529 transcript:DRNTG_05529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLWKGEGWGCLHPKYSCSTASAVTVRSTIRRLRDQTEA >DRNTG_30316.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001561.1:22546:23350:1 gene:DRNTG_30316 transcript:DRNTG_30316.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSVFCHRGRESAAIAGKDKGSAIGSDLKTMYFCVNVCQYNHIEIIVDDQGNHITPSYITFTIVGQP >DRNTG_11406.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13903807:13912733:-1 gene:DRNTG_11406 transcript:DRNTG_11406.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folate transporter 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66380) UniProtKB/Swiss-Prot;Acc:Q7XA87] MPLLDALNWKWENATAGAVAGFSTVAILHPLDVVRTRFQVNDGRLANLPSYKNTGHAIYTIARTEGIRGLYAGFFPAVFGSSVSWGLYFFLYARAKSRYSKGSDGPLSAGYHLISAAEAGSLVCLFTNPIWLVKTRLQLQPSLHQNRSYSGFYDAIRTILKEEGWHAFFRGAAPGLILVSHGAIQFTIYEELRKLAIHFKRNKRTENSDGDILNSFDFATIGATSKIAAMMLTYPYQVIRARLQVIVVIIPI >DRNTG_11406.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13903807:13912733:-1 gene:DRNTG_11406 transcript:DRNTG_11406.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folate transporter 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66380) UniProtKB/Swiss-Prot;Acc:Q7XA87] MPLLDALNWKWENATAGAVAGFSTVAILHPLDVVRTRFQVNDGRLANLPSYKNTGHAIYTIARTEGIRGLYAGFFPAVFGSSVSWGLYFFLYARAKSRYSKGSDGPLSAGYHLISAAEAGSLVCLFTNPIWLVKTRLQLQPSLHQNRSYSGFYDAIRTILKEEGWHAFFRGAAPGLILVSHGAIQFTIYEELRKLAIHFKRNKRTENSDGDILNSFDFATIGATSKIAAMMLTYPYQVIRARLQQRPNCDGTPKYLDSWHVMRETAR >DRNTG_11406.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13910513:13912733:-1 gene:DRNTG_11406 transcript:DRNTG_11406.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folate transporter 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66380) UniProtKB/Swiss-Prot;Acc:Q7XA87] MPLLDALNWKWENATAGAVAGFSTVAILHPLDVVRTRFQVNDGRLANLPSYKNTGHAIYTIARTEGIRGLYAGFFPAVFGSSVSWGLYFFLYARAKSRYSKGSDGPLSAGYHLISAAEAGSLVCFCITY >DRNTG_11406.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13904208:13912733:-1 gene:DRNTG_11406 transcript:DRNTG_11406.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folate transporter 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66380) UniProtKB/Swiss-Prot;Acc:Q7XA87] MMDDWPIFRRIRTQDTPFTLSHVLSYARAKSRYSKGSDGPLSAGYHLISAAEAGSLVCLFTNPIWLVKTRLQLQPSLHQNRSYSGFYDAIRTILKEEGWHAFFRGAAPGLILVSHGAIQFTIYEELRKLAIHFKRNKRTENSDGDILNSFDFATIGATSKIAAMMLTYPYQAIFFPG >DRNTG_13663.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17679086:17680202:-1 gene:DRNTG_13663 transcript:DRNTG_13663.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVLIPLLAGMPNSGLKVDKSFKRQAFIEALNVVNNRFPNACMGVDNVENHMRTLKQKYQNIKKLMNLSGVEWNDLERMLVLEDQKYHKYVEGQPKTKEYLNKPIPLFEELRLFAGDDHTIGDNAQSIYDQCGGIIPEDDNVPTLNEPMDCEAFEVTSVQCS >DRNTG_16643.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1995262:1996607:1 gene:DRNTG_16643 transcript:DRNTG_16643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLAQYAESLAGKDEDVGMVMDQIKGKAGKLVDIIQNLEEEVDCSCVDLRKAALTLLQTVTDQVGNSDQQVSIEIRT >DRNTG_22171.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:859833:865989:1 gene:DRNTG_22171 transcript:DRNTG_22171.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase PRT1 [Source:Projected from Arabidopsis thaliana (AT3G24800) UniProtKB/Swiss-Prot;Acc:Q8LBL5] MAGIEPPPVDSPASPSPASMEDAASECSTHPSFQCCICLDLLYKPVVLACGHMSCFWCVHKAMHAERVSQCPICRKPFYHFPSICWLLHFLLLKIEPLAYKRREEEVLEEEKHLDCFSPQVLDHVISDRACLEDVKGSSDGPKINDLPEIKFNSTTAKQVSLDDVICPLCKKLLFRPVVLNCGHVFCESCLSGLVDGPLKCQVCRSPHPGGIPNVCLDLDHFLEEQFPRHHITMKEGVGLERTQGQKGELSSGVPQAEKQTLKSSYNSGDGQLWFQGESSDVQIGVGCDSCGMYPIIGNRYRCKDCKEKIGFDLCEACYSTNSKFPGRFNQQHRPDHTFELDNSMLELYKKLMSQNHFI >DRNTG_22171.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:859833:865989:1 gene:DRNTG_22171 transcript:DRNTG_22171.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase PRT1 [Source:Projected from Arabidopsis thaliana (AT3G24800) UniProtKB/Swiss-Prot;Acc:Q8LBL5] MAGIEPPPVDSPASPSPASMEDAASECSTHPSFQCCICLDLLYKPVVLACGHMSCFWCVHKAMHAERVSQCPICRKPFYHFPSICWLLHFLLLKIEPLAYKRREEEVLEEEKHLDCFSPQVLDHVISDRACLEDVKGSSDGPKINDLPEIKFNSTTAKQVSLDDVICPLCKKLLFRPVVLNCGHVFCESCLSGLVDGPLKCQVCRSPHPGGIPNVCLDLDHFLEEQFPRHHITMKEGVGLERTQGQKGELSSGVPQAEKQTLKSSYNSGDGQLWFQGESSDVQIGVGCDSCGMYPIIGNRYRCKDCKEKIGFDLCEACYSTNSKFPGRFNQQHRPDHTFELDNSMLELYKLMSQNHFI >DRNTG_05183.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22553143:22557389:-1 gene:DRNTG_05183 transcript:DRNTG_05183.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGYLRTYNFNMASWLPSNFTTIQAISTIVVLSVLALLFSNLCFPFPMATASKIESQGRALLQWKSTLETQGFLHTWTSKTSPCNWTGITCRYDGHLMATITRVQLGEFGLEGNLETLNFSALPSLRVLNLSDNYLHGFIPTSISALSKLTTLDLSNNQISGSIPSSFSNLTRLETMLLFDNKLLGSIPMEIGNLGNLKSLGLYKNFLLGSIPSVFGNLTKLNFLYLWGNNLSGSIPDEIGYMVNLKELDIGINNIIGTIPPTIGNLTKLNQLSLSENQLYGPIPSHVENLINLEIAYLNSNNINGSIPNEIGNLVNLKELEISYNQITSSIPRSIGNLTKLEAFYIFSNNISGFIPSEIGNLVNLKSFAIYNNQIIGSIPRGIGNLTKLEAFYLYNNNINGSIPNEIEYLVNLKILTIQSNKITDSIPHGIGNLTKLEIFQINNNDINSFIPSEMGKLVNLKDFDISFNQIIGSIPRGISNLTKLETFYLNGNNIEGFIASEIGNLVDLKDFEVSNNQLTGYIPHSIGNLTKLAKFIIVGTQIYGSIPPTIGNMKELKSLWLYENKLSGLIPPSLGSLKGLTDLMLADNHLFGLWPKEMVNLTNLIFLELYNNSFSGDLPPDFAKGGLLQHLALGYNNFQGPIPVSLKNSTNLFRVLLERNQFTGDVSESFGVHSHLDYIDLSFNKLSGTLSPSWGACLNLTSLKISNNRISGLIPLEIGHLPKLHLLDISSNNLVGKIPAEFGKLSSIFHLNMSNNHLTGTIPLEFGDLSSLEILDLSSNNLRGEIPIQLENCIKLNSLKLSNNELNGAIPFQLGNLNLHEVLDLSNNLFTSEIPPELSKLIELQELNLSHNELVGHIPSSFQFMKGLASLDLSYNSLDGPVPKDLFFQTAPIEWFTHNKGLCGQVHGLPPCNQLPSSSKSRNDQKKHHKVIFLTILLVLGILFLLFLIFGIMILYYKRKTFTANGTSEESGGHFSFIWSINNGAKTCREIIQAAENFDDKYCIGSGAYSIVYKVTLSSLGTLAIKKIREEESQVNEQAFRNEIHALTEIRHRNIVKFYGFCSTTNFKFLA >DRNTG_05183.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22553143:22557389:-1 gene:DRNTG_05183 transcript:DRNTG_05183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGYLRTYNFNMASWLPSNFTTIQAISTIVVLSVLALLFSNLCFPFPMATASKIESQGRALLQWKSTLETQGFLHTWTSKTSPCNWTGITCRYDGHLMATITRVQLGEFGLEGNLETLNFSALPSLRVLNLSDNYLHGFIPTSISALSKLTTLDLSNNQISGSIPSSFSNLTRLETMLLFDNKLLGSIPMEIGNLGNLKSLGLYKNFLLGSIPSVFGNLTKLNFLYLWGNNLSGSIPDEIGYMVNLKELDIGINNIIGTIPPTIGNLTKLNQLSLSENQLYGPIPSHVENLINLEIAYLNSNNINGSIPNEIGNLVNLKELEISYNQITSSIPRSIGNLTKLEAFYIFSNNISGFIPSEIGNLVNLKSFAIYNNQIIGSIPRGIGNLTKLEAFYLYNNNINGSIPNEIEYLVNLKILTIQSNKITDSIPHGIGNLTKLEIFQINNNDINSFIPSEMGKLVNLKDFDISFNQIIGSIPRGISNLTKLETFYLNGNNIEGFIASEIGNLVDLKDFEVSNNQLTGYIPHSIGNLTKLAKFIIVGTQIYGSIPPTIGNMKELKSLWLYENKLSGLIPPSLGSLKGLTDLMLADNHLFGLWPKEMVNLTNLIFLELYNNSFSGDLPPDFAKGGLLQHLALGYNNFQGPIPVSLKNSTNLFRVLLERNQFTGDVSESFGVHSHLDYIDLSFNKLSGTLSPSWGACLNLTSLKISNNRISGLIPLEIGHLPKLHLLDISSNNLVGKIPAEFGKLSSIFHLNMSNNHLTGTIPLEFGDLSSLEILDLSSNNLRGEIPIQLENCIKLNSLKLSNNELNGAIPFQLGNLNLHEVLDLSNNLFTSEIPPELSKLIELQELNLSHNELVGHIPSSFQFMKGLASLDLSYNSLDGPVPKDLFFQTAPIEWFTHNKGLCGQVHGLPPCNQLPSSSKSRNDQKKHHKVIFLTILLVLGILFLLFLIFGIMILYYKRKTFTANGTSEESGGHFSFIWSINNGAKTCREIIQAAENFDDKYCIGSGAYSIVYKVTLSSLGTLAIKKIREEESQVNEQAFRNEIHALTEIRHRNIVKFYGFCSTTNFKFLA >DRNTG_10658.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18003987:18049943:1 gene:DRNTG_10658 transcript:DRNTG_10658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHSGRKSYHLESVKATLAAALEKATLEDGFERYWPRSWRGSTALTSSFLRKKVGRGASVEAYPIPDEGILRRRVEGELPSRRILYRTRESLDDE >DRNTG_15315.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4854297:4855138:-1 gene:DRNTG_15315 transcript:DRNTG_15315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEAELVVAPVADEQPEKKLRTSKAPKDKKPVEAAKPASPNHPPYYQMIKEAILALHGKEGSSSYAIAKYMEEKHKEELPGNFKKMLAVQLRSFAAKGKLLKVKASFKLPESEKKDNKDDHEEEMKVADQPKKEMKKKAVVSLKSEINKMVGVRKTRKAALVKAKQPKSIKKG >DRNTG_20954.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1177398:1179017:1 gene:DRNTG_20954 transcript:DRNTG_20954.8 gene_biotype:protein_coding transcript_biotype:protein_coding MYNKPLRHYYTLKNISRRRSKKKNHHKAKPN >DRNTG_20954.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1174777:1182799:1 gene:DRNTG_20954 transcript:DRNTG_20954.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRRKRKQGERDEVEKETKWRESVRGSRVCLS >DRNTG_20954.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1170163:1182799:1 gene:DRNTG_20954 transcript:DRNTG_20954.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRRKRKQGERDEVEKETKWRESVRGSRVCLS >DRNTG_20954.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1183050:1185127:1 gene:DRNTG_20954 transcript:DRNTG_20954.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTLIDTLLEVVLVGPRRTESGFKADECTKVVNKVLERCQVVLIVVHVRARMKTLKIEFKEITELFMTSGFGLDANGQITADPLVWEKHLQVKFHFIAFILPC >DRNTG_20954.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1170163:1185541:1 gene:DRNTG_20954 transcript:DRNTG_20954.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTLIDTLLEVVLVGPRRTESGFKADECTKVVNKVLERCQVVLIVVHVRARMKTLKIEFKEITELFMTSGFGLDANGQITADPLVWEKHLQDNPNANNLKGKLCPRYYDLLQFFAMTTQLEIEISRGMTLYKSRLSDPDWPGRFNRKNRESVMWSVRIYPIEPGIKKSGVNPVIRAVQTGTG >DRNTG_32814.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21586322:21589904:1 gene:DRNTG_32814 transcript:DRNTG_32814.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKGKITALHQREQIPERRREQSVDVEIREMGKHQDSNLELEGPSSLDQRVRSKSRKTFITWNP >DRNTG_01698.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23715952:23718565:-1 gene:DRNTG_01698 transcript:DRNTG_01698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVVPNGHFKKHWQNFVKTWFNQPARKTRRRIARQKKAVKIFPRPTAGPLRPIVQCQTLKYNMKPRAGRGFSLEELKAAGIPKKLAPTIGIAVDHRRKNRSLEGLQSNVQRLKTYKAKLVIFPRRARKFKSGDSAPEELATATQVQGTYMPIVREQPSVELVKVTDEMKSFSAYGKLRVERMNQRQVGARLKKAAEAEKDEKK >DRNTG_08836.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27929345:27934954:-1 gene:DRNTG_08836 transcript:DRNTG_08836.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGLVFDRLLQAFRGTPTYSQLLIFVAVSSGGLVAYADAKSDDEIKSSQMSTRKRVVVLGTGWAGTSFLKNIDSRVYDVQVVSPRNYFAFTPLLPSVTCGTVDARSIVEPIRKIIKKKDGEITFWDGECLKIDSINKKVHCLPCIATKSDSSREFIVSYDYLVIAVGARVNTFNTPGVVENCHFLKEVEDAQNIRKDVMLCFERANLPDLDEEERRKDVHFVIVGGGPTGVEFAAELHDFITEDLAKLYPKVKDLVRISLIQSGEHILNMFDERIANFAEEKFQRDGIEVKTGFRVVKVSDKDITMKSKSMGEISVPYGMAVWSTGIATRPVILDFMKQIGQSNRRVLATNEWLRVCGCEDVYALGDCATIDQRKVMEDISAIFKVADKDNSGTLTVEEIQDVLEDIYARYPQVELYLKTKHMSDFLDLLKDAKGDAAKASVDINIEQFKEALADVDSQVKTLPATAQVAAQQGHYLAQCFNKIKVCAENPEGPLRMTQSGRHRFKPFRYKHFGQFAPLGGEQAAAELPGDWISIGHGSQWLWYSVYASKQVSWRTRVLVVSDWLKRAIFGRDSSCI >DRNTG_09159.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7053395:7055174:-1 gene:DRNTG_09159 transcript:DRNTG_09159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTVIPRVELGTQGLQVSKLGFGCMGLTGAYNSPLPEEEGIAIIKHAFNQGITFFDTSDVYGPLTNEILIGKALKELPREEIQLATKFGIVRGSPGLGMQVNGKPEYVRACCEASLNRLQVNYIDLYYQHRIDQTVPIEETIGELKKLVEEGKVKYIGLSEASPDTIRRAHAVHPISAVQMEWSLWTRDLEQEIVPLCRELGIGIVPYSPLGRGFFGGKAVVERLPENTNLAQHPRFTGENLEKNKALYVRVENLAKKHQCSPAQLALAWVLHQGDDVVPIPGTTKIKNLDSNIGTLQVKLTEDDMKEITDLVSEEEVAGARTFYGTAEKFIWKHANTPLPRLA >DRNTG_02735.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19804015:19804230:-1 gene:DRNTG_02735 transcript:DRNTG_02735.1 gene_biotype:protein_coding transcript_biotype:protein_coding NHTKIRDKIKETEKEMNLDGLSRMN >DRNTG_01912.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20874976:20904269:1 gene:DRNTG_01912 transcript:DRNTG_01912.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G51660) UniProtKB/Swiss-Prot;Acc:Q9FGR0] MTYAGFKILHPATGIENCASGFVTHSPSESVPKIAVVQSGDDLEAEWPARRSLGAVPNLIVTAGNILEVYVVRVQEDDARSSQPSADPRSSGGVMDGIRGARLELVCHYRLHGNVESMAILSVGGDDQSKKRDSIVLAFRDAKISVLEYDDAAHGLRTSSMHCFEGPEWRYLKRGRESFDRGPLVKADPLGRCGGVLLYGLQMIILKAAQAGQGLVGEDELAGVGSNVSVRVESSYIIDLRDLDMKHVKDFTFIHGYIEPVMVILQEKEPSWAGRIPWKHSTCMISAISISTALKQHPPLIWSAANLPYDAYKLVPVPPPIGGVLVICANSIHYHSQESVSCALVLNGFYVQSESSSEMLKSNFSVELDAAHAAWLSYDAILTTKTGELLLLTLVYDGRVVQRLDLMKSKASVLTSGIATIGNSFFFLGSRLGDSLLVQYSHGPSIMTSSHAKDEGADIEGDGSLGKPLRRSSSDVLQDVASGEELSLYSTAPNSSESAQKISYAVRDSLINIGPLKDFSYGYRINADLNATGITKQSNYELVCCSGHGKNGAVCVLQQSIRPESITQVELPGCKGIWTVYHKSSRGHTTDPSKITTEDDEYHAYLIISMESRTMVLETADDLGEVTERVDYYVQGSTIAAGNLFGRRRVVQIYGCGARILDGSYMTQELNFVVQNSEPASNSDSFTVSFVSIADPYVLVKMVDGSIQLLVGDPSTCTMSVNVPPIATSNEPISACTLYHDKGPEPWLRKTSTDAWLSSGVAEAVDGNDGLYQFQGDIYCLICYVSGRLDIYEVPSFRCVFSVDNFISGKTHVVDKHIWAPSWNLHKIKNKNLEETTGQQRKEPAQSMRITELAMYRWPSQYSRPFLFAILNDGTMLCYHAYLYEGAEGANKVEDGLSPHDSVDLSGAGTSRLRNLRFLRVAIDTMTREEPSDVVSGPRMTIFTNVGGYQGFFLTGSRPAWVFVSRERLRVHPQRCDGPIVAFTNLHNVNCNHGLIYVTSQGLLKICQLPTAFSYDNYWPVQKVPLKATPHQIAYFAEKNLYPLILSVPVVKPLNQVLSSMIDQDIGYQMDRDKLTDDSDKTYTIDEFEVRIMEPEKSSGRWETRATIPMQNFEHALTLRVVTLLNTTTMENEVLLAIGTAYVQGEDVAARGRVLLYSIGKNTENPDNLVSEVYSKELKGAISALASLQGHLLIASGPKIILHKWTGAELNGVAFYDAPLHVVSMNIVKNFVLLGDIHKSIYFLNWKEQGAQLTMLSKDFGSLDCYATEFLIDGTQLSMVVSDDQKNIQIFYYAPKSPESWKGQKLLSRAEFHAGAHVMKFWRLQMPPNASDRTPASVASEKSNRFALLFCTLDGSIGCVAALDELTFRRLQTLQRKLVDAIPHVCGLNPRSFRQFHSNGKVHRPGPDNMIDCELLSEYEMMSLEEQLDIAHQIGTTRAQILLNLTDLSHGTSFL >DRNTG_01912.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20891359:20904269:1 gene:DRNTG_01912 transcript:DRNTG_01912.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G51660) UniProtKB/Swiss-Prot;Acc:Q9FGR0] MTQELNFVVQNSEPASNSDSFTVSFVSIADPYVLVKMVDGSIQLLVGDPSTCTMSVNVPPIATSNEPISACTLYHDKGPEPWLRKTSTDAWLSSGVAEAVDGNDGLYQFQGDIYCLICYVSGRLDIYEVPSFRCVFSVDNFISGKTHVVDKHIWAPSWNLHKIKNKNLEETTGQQRKEPAQSMRITELAMYRWPSQYSRPFLFAILNDGTMLCYHAYLYEGAEGANKVEDGLSPHDSVDLSGAGTSRLRNLRFLRVAIDTMTREEPSDVVSGPRMTIFTNVGGYQGFFLTGSRPAWVFVSRERLRVHPQRCDGPIVAFTNLHNVNCNHGLIYVTSQGLLKICQLPTAFSYDNYWPVQKVPLKATPHQIAYFAEKNLYPLILSVPVVKPLNQVLSSMIDQDIGYQMDRDKLTDDSDKTYTIDEFEVRIMEPEKSSGRWETRATIPMQNFEHALTLRVVTLLNTTTMENEVLLAIGTAYVQGEDVAARGRVLLYSIGKNTENPDNLVSEVYSKELKGAISALASLQGHLLIASGPKIILHKWTGAELNGVAFYDAPLHVVSMNIVKNFVLLGDIHKSIYFLNWKEQGAQLTMLSKDFGSLDCYATEFLIDGTQLSMVVSDDQKNIQIFYYAPKSPESWKGQKLLSRAEFHAGAHVMKFWRLQMPPNASDRTPASVASEKSNRFALLFCTLDGSIGCVAALDELTFRRLQTLQRKLVDAIPHVCGLNPRSFRQFHSNGKVHRPGPDNMIDCELLSEYEMMSLEEQLDIAHQIGTTRAQILLNLTDLSHGTSFL >DRNTG_01912.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20874976:20904269:1 gene:DRNTG_01912 transcript:DRNTG_01912.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G51660) UniProtKB/Swiss-Prot;Acc:Q9FGR0] MVIESMLILMQQELPNRATMNWLVLQVLVCCSGHGKNGAVCVLQQSIRPESITQVELPGCKGIWTVYHKSSRGHTTDPSKITTEDDEYHAYLIISMESRTMVLETADDLGEVTERVDYYVQGSTIAAGNLFGRRRVVQIYGCGARILDGSYMTQELNFVVQNSEPASNSDSFTVSFVSIADPYVLVKMVDGSIQLLVGDPSTCTMSVNVPPIATSNEPISACTLYHDKGPEPWLRKTSTDAWLSSGVAEAVDGNDGLYQFQGDIYCLICYVSGRLDIYEVPSFRCVFSVDNFISGKTHVVDKHIWAPSWNLHKIKNKNLEETTGQQRKEPAQSMRITELAMYRWPSQYSRPFLFAILNDGTMLCYHAYLYEGAEGANKVEDGLSPHDSVDLSGAGTSRLRNLRFLRVAIDTMTREEPSDVVSGPRMTIFTNVGGYQGFFLTGSRPAWVFVSRERLRVHPQRCDGPIVAFTNLHNVNCNHGLIYVTSQGLLKICQLPTAFSYDNYWPVQKVPLKATPHQIAYFAEKNLYPLILSVPVVKPLNQVLSSMIDQDIGYQMDRDKLTDDSDKTYTIDEFEVRIMEPEKSSGRWETRATIPMQNFEHALTLRVVTLLNTTTMENEVLLAIGTAYVQGEDVAARGRVLLYSIGKNTENPDNLVSEVYSKELKGAISALASLQGHLLIASGPKIILHKWTGAELNGVAFYDAPLHVVSMNIVKNFVLLGDIHKSIYFLNWKEQGAQLTMLSKDFGSLDCYATEFLIDGTQLSMVVSDDQKNIQIFYYAPKSPESWKGQKLLSRAEFHAGAHVMKFWRLQMPPNASDRTPASVASEKSNRFALLFCTLDGSIGCVAALDELTFRRLQTLQRKLVDAIPHVCGLNPRSFRQFHSNGKVHRPGPDNMIDCELLSEYEMMSLEEQLDIAHQIGTTRAQILLNLTDLSHGTSFL >DRNTG_01912.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20874976:20904269:1 gene:DRNTG_01912 transcript:DRNTG_01912.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G51660) UniProtKB/Swiss-Prot;Acc:Q9FGR0] MVIESMLILMQQELPNRATMNWLVLQVLVCCSGHGKNGAVCVLQQSIRPESITQVELPGCKGIWTVYHKSSRGHTTDPSKITTEDDEYHAYLIISMESRTMVLETADDLGEVTERVDYYVQGSTIAAGNLFGRRRVVQIYGCGARILDGSYMTQELNFVVQNSEPASNSDSFTVSFVSIADPYVLVKMVDGSIQLLVGDPSTCTMSVNVPPIATSNEPISACTLYHDKGPEPWLRKTSTDAWLSSGVAEAVDGNDGLYQFQGDIYCLICYVSGRLDIYEVPSFRCVFSVDNFISGKTHVVDKHIWAPSWNLHKIKNKNLEETTGQQRKEPAQSMRITELAMYRWPSQYSRPFLFAILNDGTMLCYHAYLYEGAEGANKVEDGLSPHDSVDLSGAGTSRLRNLRFLRVAIDTMTREEPSDVVSGPRMTIFTNVGGYQGFFLTGSRPAWVFVSRERLRVHPQRCDGPIVAFTNLHNVNCNHGLIYVTSQGLLKICQLPTAFSYDNYWPVQKVPLKATPHQIAYFAEKNLYPLILSVPVVKPLNQVLSSMIDQDIGYQMDRDKLTDDSDKTYTIDEFEVRIMEPEKSSGRWETRATIPMQNFEHALTLRVVTLLNTTTMENEVLLAIGTAYVQGEDVAARGRVLLYSIGKNTENPDNLVSEVYSKELKGAISALASLQGHLLIASGPKIILHKWTGAELNGVAFYDAPLHVVSMNIVKNFVLLGDIHKSIYFLNWKEQGAQLTMLSKDFGSLDCYATEFLIDGTQLSMVVSDDQKNIQIFYYAPKSPESWKGQKLLSRAEFHAGAHVMKFWRLQMPPNASDRTPASVASEKSNRFALLFCTLDGSIGCVAALDELTFRRLQTLQRKLVDAIPHVCGLNPRSFRQFHSNGKVHRPGPDNMIDCELLSEYEMMSLEEQLDIAHQIGTTRAQILLNLTDLSHGTSFL >DRNTG_02053.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18769397:18771140:-1 gene:DRNTG_02053 transcript:DRNTG_02053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLLSIKSANALLEVPATGEILPAGTSLQAILISDISNFHLSKGFTDLCIQSNAESKQTSSASPETKVKVAILTVSDTVSSGAGPDRSGPRAVSVVNSFSDKMGGACIVATRVVPDDINMIKEVLQTWCDHDKIDL >DRNTG_05907.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18550222:18552252:1 gene:DRNTG_05907 transcript:DRNTG_05907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVIPEVELAGGGRKMPVVGLGTASSPPPTPEEFQEAMLNAMRLGYRHFDTASLYGTEAPLGEAIGEALRRGIIKSRQELFITSKLWCPDCYADRVLPAIQQSLRKLQLEYLDLYLVHWPLCLTPGEMKQPIQMDGLPPFDYKAVWSAMEECHKLGLAKFIGVSNFTCKKLDQILNIAKIPPSVNQVEMHPVWQQKKLMEFCKEKGIVITAYSPLGAYGTYWGSNDVLDSPVLKQIANARGKTPAQISLKWLYQQGATIVMKSFKVERMKSNVDIFDWELSGEELQKIREIPQNRGIKGEDFISLNGPFKSLEELWDGEI >DRNTG_29339.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29786361:29792343:-1 gene:DRNTG_29339 transcript:DRNTG_29339.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase SAC8 [Source:Projected from Arabidopsis thaliana (AT3G51830) UniProtKB/Swiss-Prot;Acc:Q96328] MATSQLRRDLRDRLSKDLPIASSSSKMEEEKLCIDSGVAPVRKRSSCCREMLLLEFPDKFVIRSTDLESPDLAFSVGRSDGFLHPLSIEDSSCANPSKVMTIYGVVGTIRLLAGIYVLVITAREEMGTYHGFSVFRVKSLKFICCNEALRHSTSQEKRDEAYFMTLLRTIESTTGLYYSYEMDLTLNLQRTCKLAEERVYKPLWKQADPRFVWNRNLLEELIEAKLDSFIIPMIQGSFQIAEFTIKNLSAKITLLSRRCNRRLGTRMWRRGANLEGDTANFLETEQLLEFEGFKSSFLQVRGSIPLLWEQIVDLSYKPQLNIISHEETPKVVERHFHDLEQRYGEIVAVDLTDKHDDEGQLSSAFAAEMDKLPHVRYVSFDFHHFCGKGNFDNLQLLYDQIFEDVEKQG >DRNTG_29339.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29786361:29792343:-1 gene:DRNTG_29339 transcript:DRNTG_29339.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase SAC8 [Source:Projected from Arabidopsis thaliana (AT3G51830) UniProtKB/Swiss-Prot;Acc:Q96328] MATSQLRRDLRDRLSKDLPIASSSSKMEEEKLCIDSGVAPVRKRSSCCREMLLLEFPDKFVIRSTDLESPDLAFSVGRSDGFLHPLSIEDSSCANPSKVMTIYGVVGTIRLLAGIYVLVITAREEMGTYHGFSVFRVKSLKFICCNEALRHSTSQEKRDEAYFMTLLRTIESTTGLYYSYEMDLTLNLQRTCKLAEERVYKPLWKQADPRFVWNRNLLEELIEAKLDSFIIPMIQGSFQIAEFTIKNLSAKITLLSRRCNRRLGTRMWRRGANLEGDTANFLETEQLLEFEGFKSSFLQVRGSIPLLWEQIVDLSYKPQLNIISHEETPKVVERHFHDLEQRYGEIVAVDLTDKHDDEGQLSSAFAAEMDKLPHVRYVSFDFHHFCGKGNFDNLQLLYDQIFEDVEKQGYFLINSEGAILQEQSGVVRANCIDCLDRTNVTQSYLARKSLNSQLQKMGAFSSNECISMHPENFEIYNILWVTHGDEVSLQYSGTHALKGDLVRYGRQTFSGLIKDGMSALSRYYLNNFHDGIRQDALDLISGHYNINKDAPSPFQLNGFESLSYLPVASVLIVGGLTVTTFTLNQAGRNAHHFFSTVLWAGLTAGVMAVVKANGKQFCSRPRLCGLL >DRNTG_29339.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29786361:29792343:-1 gene:DRNTG_29339 transcript:DRNTG_29339.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase SAC8 [Source:Projected from Arabidopsis thaliana (AT3G51830) UniProtKB/Swiss-Prot;Acc:Q96328] MATSQLRRDLRDRLSKDLPIASSSSKMEEEKLCIDSGVAPVRKRSSCCREMLLLEFPDKFVIRSTDLESPDLAFSVGRSDGFLHPLSIEDSSCANPSKVMTIYGVVGTIRLLAGIYVLVITAREEMGTYHGFSVFRVKSLKFICCNEALRHSTSQEKRDEAYFMTLLRTIESTTGLYYSYEMDLTLNLQRTCKLAEERVYKPLWKQADPRFVWNRNLLEELIEAKLDSFIIPMIQGNILKL >DRNTG_29339.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29786361:29792343:-1 gene:DRNTG_29339 transcript:DRNTG_29339.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase SAC8 [Source:Projected from Arabidopsis thaliana (AT3G51830) UniProtKB/Swiss-Prot;Acc:Q96328] MDMLYIYLNRVLRFQIAEFTIKNLSAKITLLSRRCNRRLGTRMWRRGANLEGDTANFLETEQLLEFEGFKSSFLQVRGSIPLLWEQIVDLSYKPQLNIISHEETPKVVERHFHDLEQRYGEIVAVDLTDKHDDEGQLSSAFAAEMDKLPHVRYVSFDFHHFCGKGNFDNLQLLYDQIFEDVEKQGYFLINSEGAILQEQSGVVRANCIDCLDRTNVTQSYLARKSLNSQLQKMGAFSSNECISMHPENFEIYNILWVTHGDEVSLQYSGTHALKGDLVRYGRQTFSGLIKDGMSALSRYYLNNFHDGIRQDALDLISGHYNINKDAPSPFQLNGFESLSYLPVASVLIVGGLTVTTFTLNQAGRNAHHFFSTVLWAGLTAGVMAVVKANGKQFCSRPRLCGLL >DRNTG_13391.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22924008:22927594:-1 gene:DRNTG_13391 transcript:DRNTG_13391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASIVFKHSDPAYSNQLLNHAKQLFEFADKYRSKYDRSITVAQDYYQSISGYMDELLWAAAWLYQATDENYYLDYLANNADEFGGTGWAITEFGWDVKFAGVQVLASKFLLQGKAAHHSSVLERYKQKAENFMCSCIGKGVRNVQRTPGGLLFWQRWNNLQFVTSSAFLLTVYSDYLTSSGQKAQCANRIAPPSELLSFAKSQVDYILGDNPRATSYMVGYGRTYPQQVHHRGSSIVSIKANPSFVSCRGGYQTWFSRKASDPNLLDGAIVGGPDAYDDFADERHNYEQTEPATYNNAPLLGVLARLNVGNAGLNQLLPVMINTKPVNSPAPSVAKVSPPLSITQTVTTSWNANGKTYYRYSTILTNKASKTVKNLKISISKLYGPLWGLRNSGNGYEFPAWIDSLPAGKSFEFVYIHSASPAEIWVSEYTLV >DRNTG_13391.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22925014:22925311:-1 gene:DRNTG_13391 transcript:DRNTG_13391.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCSCIGKGVRNVQRTPGGLLFWQRWNNLQFVTSSAFLLTVYSDYLTSSGQKAQCANRIAPPSELLSFAKSQVHS >DRNTG_13391.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22927379:22927594:-1 gene:DRNTG_13391 transcript:DRNTG_13391.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTITMMSWSIVEYGKQMSRSGELKNAMEAVKWGTDYLIKAHPEPYVLYGE >DRNTG_13391.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22924008:22924954:-1 gene:DRNTG_13391 transcript:DRNTG_13391.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYGRTYPQQVHHRGSSIVSIKANPSFVSCRGGYQTWFSRKASDPNLLDGAIVGGPDAYDDFADERHNYEQTEPATYNNAPLLGVLARLNVGNAGLNQLLPVMINTKPVNSPAPSVAKVSPPLSITQTVTTSWNANGKTYYRYSTILTNKASKTVKNLKISISKLYGPLWGLRNSGNGYEFPAWIDSLPAGKSFEFVYIHSASPAEIWVSEYTLV >DRNTG_28329.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:89464:92774:-1 gene:DRNTG_28329 transcript:DRNTG_28329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTPLNLQVRGVGQRVIEEEKGQIVVGKQQQQQQQQQQQQQQKQLVCGIKRKKEDFGSFNFKEALAEPRSVLDHRRSPSPPNSTSTSTLSSSLGGTSSDTAGVAAVSDAPATAPAQKWPSSPPPPAADRREDWPSDLQSIPASLVSSQKTSALAAEDWETMLSDPAPSPGQEQTFLRWIMGDIDDSSPALKHHLVSQGTLDLDPSPNLSFGLVDPSFGFDATAINATPHLPSAPSPAMFHSMTGGTNNKITPPTPQNQLPAPLPGMKVPNFGAPPGAGLSLPPGMLFQESMDEKPQPQLFPPGLLQSHAAQNPAAFFYPDPTHQLLLPPQPKRHHPIDPHSHPLPKIPIPDPGGPDLFLRRNNPQVQPQPSQLQPLPFQPQRPMKPKLPTGDEQQQQQQALVDQLFKAAGLVEAGNSVGARGILARLNHQLPPSHVGKPLIRSAFYFREALQLLLSPSPSSPSTLSNPLDVVLKLSAYMTFSEVSPVLQFTNFTSIQAILEELGGSDRIHIIDFDIGVGGQWSSFMQELAQRRCASAPPPMLKITAFVPLNSAHHPLELHLTRENLSHFARDLSIPFEFNVMSLESFDPVAIIGMCQDEAIAVNLPVGSAIGSSIPTILRLVKQLSPKIVVSVDHGFDRSDLSFSQHFLHALQSNMVLLDSIDAAGTSPDAAGKIERFLLQPRIEAAVLGRHRAEKMLPWRTLFASTGFVPLPFSNFTETQAECLLKRVQVRGFHVEKRQASLVLYWQRGELASVSAWRC >DRNTG_25121.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23733132:23740184:-1 gene:DRNTG_25121 transcript:DRNTG_25121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAILSTVLGQIIELTASPVIRYLRPIWGGVDGDLKKLDSYLVQIKPLVQGAEERQLMEDNVKSWLMLLKDAAYDAEDILNQANTHVLLIQRKAEFYGPLKSKVRDFFSLHHNPLLFQLQLGHKLKSINKRIDDVIEEMHKFNFKVADNNNNNNRPWRNRPQTHSYVPDSEVIGRDEDKEQIVQMLIHDHFEEKVAVVSIVGMGGLGKTTLAQLIYGDENVKNHFQLCIWVCVSDDFDVAKLARNIMLAASKKPYADTNMEVLQQDLRQVLGQKRYLLVLDDVWNEDHMKWDALRHLLLDGAEGSRILVTTRNDNCSRIMGAKKSCLLQGLSEESSWALFERTVFTTSLSRQPKFLEIGKKIVNKCKGLPLAIQVMGSLLQSNIEESQWQAVLDNEIWDIPRATDKIRPELWLSYVNLPSEVKKCFAFCALFPKDSVIEVDMLVQFWIAHGFIPSQTGKDIDVEGHEIFSELIWRSLLQNVTDMSAGITHYYSSDGIYHGHRRFCKMHDLIHDLAQFVTGDECSTLPGSNEFKKISKRTRHFLLNRYVGNDLGDRAPSVRTVLPVGTNFIGLSKLKLVRVLQLGYEANVDKLLSTSIEYLHHLRYLKLSDTDIRELPESICMLVNLQTLNLNGCSQLAKLPMSIVYMNSLRHLHLSDCPKLKIMPSGLSRLRCLKTLTKYIVSEKAGNKIGELKHWNLDGELGLYDLHEVKNADEAKEANMSSRQSINSLSLSWGTSVENAEQVLEALKPHAALKVLSLHDYPGTQFSMWIRDGQLLQNLVEINLDGCQRCEQLPPLEQLPYLEELIISRMDGIKYIINNATGDALSLFPALRYLSLYEMANLEGWYVEDYRETAPPMFPRLKRLTITRCPELTTMPPQISTLEYLEITESYCGTQTALMPKEKGFFKHLKSLEELWLRRCEELALLLEDKEETRPLSSSLRSLSIIDCSKFPLSTALQNLTSIETLSMYHFEELVSWPVEMLRGLESIRSLNIGSCKNLTGAASSQGDCGLSFLEDLDVYDCDALIELPKCPTSLKSLSVCMCPSIKSLCSDMGHLTSLSQLNLFKCPKLESLPEGMQGLTSLQYLLIKDCPALKSFPEGLYQRLPTLKSLEISGCPKLERRCSPGGDYFHLVSSISERSINSSPRRTLLAPCL >DRNTG_25121.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23733427:23740184:-1 gene:DRNTG_25121 transcript:DRNTG_25121.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAILSTVLGQIIELTASPVIRYLRPIWGGVDGDLKKLDSYLVQIKPLVQGAEERQLMEDNVKSWLMLLKDAAYDAEDILNQANTHVLLIQRKAEFYGPLKSKVRDFFSLHHNPLLFQLQLGHKLKSINKRIDDVIEEMHKFNFKVADNNNNNNRPWRNRPQTHSYVPDSEVIGRDEDKEQIVQMLIHDHFEEKVAVVSIVGMGGLGKTTLAQLIYGDENVKNHFQLCIWVCVSDDFDVAKLARNIMLAASKKPYADTNMEVLQQDLRQVLGQKRYLLVLDDVWNEDHMKWDALRHLLLDGAEGSRILVTTRNDNCSRIMGAKKSCLLQGLSEESSWALFERTVFTTSLSRQPKFLEIGKKIVNKCKGLPLAIQVMGSLLQSNIEESQWQAVLDNEIWDIPRATDKIRPELWLSYVNLPSEVKKCFAFCALFPKDSVIEVDMLVQFWIAHGFIPSQTGKDIDVEGHEIFSELIWRSLLQNVTDMSAGITHYYSSDGIYHGHRRFCKMHDLIHDLAQFVTGDECSTLPGSNEFKKISKRTRHFLLNRYVGNDLGDRAPSVRTVLPVGTNFIGLSKLKLVRVLQLGYEANVDKLLSTSIEYLHHLRYLKLSDTDIRELPESICMLVNLQTLNLNGCSQLAKLPMSIVYMNSLRHLHLSDCPKLKIMPSGLSRLRCLKTLTKYIVSEKAGNKIGELKHWNLDGELGLYDLHEVKNADEAKEANMSSRQSINSLSLSWGTSVENAEQVLEALKPHAALKVLSLHDYPGTQFSMWIRDGQLLQNLVEINLDGCQRCEQLPPLEQLPYLEELIISRMDGIKYIINNATGDALSLFPALRYLSLYEMANLEGWYVEDYRETAPPMFPRLKRLTITRCPELTTMPPQISTLEYLEITESYCGTQTALMPKEKGFFKHLKSLEELWLRRCEELALLLEDKEETRPLSSSLRSLSIIDCSKFPLSTALQNLTSIETLSMYHFEELVSWPVEMLRGLESIRSLNIGSCKNLTGAASSQGDCGLSFLEDLDVYDCDALIELPKCPTSLKSLSVCMCPSIKSLCSDMGHLTSLSQLNLFKCPKLESLPEGMQGLTSLQYLLIKDCPALKSFPEGLYQRLPTLKSLEISGCPKLERRCSPGGDYFHLVSSISERSINSSPRRTLLAPCL >DRNTG_25121.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23736508:23740184:-1 gene:DRNTG_25121 transcript:DRNTG_25121.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAILSTVLGQIIELTASPVIRYLRPIWGGVDGDLKKLDSYLVQIKPLVQGAEERQLMEDNVKSWLMLLKDAAYDAEDILNQANTHVLLIQRKAEFYGPLKSKVRDFFSLHHNPLLFQLQLGHKLKSINKRIDDVIEEMHKFNFKVADNNNNNNRPWRNRPQTHSYVPDSEVIGRDEDKEQIVQMLIHDHFEEKVAVVSIVGMGGLGKTTLAQLIYGDENVKNHFQLCIWVCVSDDFDVAKLARNIMLAASKKPYADTNMEVLQQDLRQVLGQKRYLLVLDDVWNEDHMKWDALRHLLLDGAEGSRILVTTRNDNCSRIMGAKKSCLLQGLSEESSWALFERTVFTTSLSRQPKFLEIGKKIVNKCKGLPLAIQVMGSLLQSNIEESQWQAVLDNEIWDIPRATDKIRPELWLSYVNLPSEVKKCFAFCALFPKDSVIEVDMLVQFWIAHGFIPSQTGKDIDVEGHEIFSELIWRSLLQNVTDMSAGITHYYSSDGIYHGHRRFCKMHDLIHDLAQFVTGDECSTLPGSNEFKKISKRTRHFLLNRYVGNDLGDRAPSVRTVLPVGTNFIGLSKLKLVRVLQLGYEANVDKLLSTSIEYLHHLRYLKLSDTDIRELPESICMLVNLQTLNLNGCSQLAKLPMSIVYMNSLRHLHLSDCPKLKIMPSGLSRLRCLKTLTKYIVSEKAGNKIGELKHWNLDGELGLYDLHEVKNADEAKEANMSSRQSINSLSLSWGTSVENAEQVLEALKPHAALKVLSLHDYPGTQFSMWIRDGQLLQNLVEINLDGCQRCEQLPPLEQLPYLEELIISRMDGIKYIINNATGDALSLFPALRYLSLYEMANLEGWYVEDYRETAPPMFPRLKRLTITRCPELTTMPPQISTLEYLEITESYCGTQTALMPKEKGFFKHLKSLEELWLRRCEELALLLEDKEETRPLSSSLRSLSIIDCSKFPLSTALQNLTSIETLSMYHFEELVSWPVEMLRGLESIRSLNIGSCKNLTGAASSQGDCGLSFLEDLDVYDCDALIELPKCPTSLKSLSVCMCPSIKSLCSDMGHLTSLSQLNLFKCPKLESLPEGMQGLTSLQYLLIKDCPALKSFPEGLYQRLPTLKSLEISGCPKLERRCSPGGDYFHLVSSISERSINSSPRRTLLAPCL >DRNTG_25121.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23733427:23740184:-1 gene:DRNTG_25121 transcript:DRNTG_25121.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAILSTVLGQIIELTASPVIRYLRPIWGGVDGDLKKLDSYLVQIKPLVQGAEERQLMEDNVKSWLMLLKDAAYDAEDILNQANTHVLLIQRKAEFYGPLKSKVRDFFSLHHNPLLFQLQLGHKLKSINKRIDDVIEEMHKFNFKVADNNNNNNRPWRNRPQTHSYVPDSEVIGRDEDKEQIVQMLIHDHFEEKVAVVSIVGMGGLGKTTLAQLIYGDENVKNHFQLCIWVCVSDDFDVAKLARNIMLAASKKPYADTNMEVLQQDLRQVLGQKRYLLVLDDVWNEDHMKWDALRHLLLDGAEGSRILVTTRNDNCSRIMGAKKSCLLQGLSEESSWALFERTVFTTSLSRQPKFLEIGKKIVNKCKGLPLAIQVMGSLLQSNIEESQWQAVLDNEIWDIPRATDKIRPELWLSYVNLPSEVKKCFAFCALFPKDSVIEVDMLVQFWIAHGFIPSQTGKDIDVEGHEIFSELIWRSLLQNVTDMSAGITHYYSSDGIYHGHRRFCKMHDLIHDLAQFVTGDECSTLPGSNEFKKISKRTRHFLLNRYVGNDLGDRAPSVRTVLPVGTNFIGLSKLKLVRVLQLGYEANVDKLLSTSIEYLHHLRYLKLSDTDIRELPESICMLVNLQTLNLNGCSQLAKLPMSIVYMNSLRHLHLSDCPKLKIMPSGLSRLRCLKTLTKYIVSEKAGNKIGELKHWNLDGELGLYDLHEVKNADEAKEANMSSRQSINSLSLSWGTSVENAEQVLEALKPHAALKVLSLHDYPGTQFSMWIRDGQLLQNLVEINLDGCQRCEQLPPLEQLPYLEELIISRMDGIKYIINNATGDALSLFPALRYLSLYEMANLEGWYVEDYRETAPPMFPRLKRLTITRCPELTTMPPQISTLEYLEITESYCGTQTALMPKEKGFFKHLKSLEELWLRRCEELALLLEDKEETRPLSSSLRSLSIIDCSKFPLSTALQNLTSIETLSMYHFEELVSWPVEMLRGLESIRSLNIGSCKNLTGAASSQGDCGLSFLEDLDVYDCDALIELPKCPTSLKSLSVCMCPSIKSLCSDMGHLTSLSQLNLFKCPKLESLPEGMQGLTSLQYLLIKDCPALKSFPEGLYQRLPTLKSLEISGCPKLERRCSPGGDYFHLVSSISERSINSSPRRTLLAPCL >DRNTG_07831.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:131604:134806:-1 gene:DRNTG_07831 transcript:DRNTG_07831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTLVMLVCALGRREFHSVGCCLVPSTSATFACKKMATLRGFNVGNDCNRRRTRTFAANHGKKNLEGGEEWLYPSHLCSGCDGDVKTWPFPIFPGPLQPPSPCFLLQ >DRNTG_10191.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2181313:2184030:1 gene:DRNTG_10191 transcript:DRNTG_10191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALPCPTLGFTSHRCVLATIAPSTGSLSIAKQWRRMNGCGLAFELWSRPRSLDLLVVRSSADGGSGGGGDGGLAIRWEDWLKLPQKQAGAVSLSDVVWPSAGAFLAMAALGRMDQMVASKGISFTVAPLGAVCAVLFATPNSPAAQKYNMFLAQIGCAAFGVLAFLIFGPGWLARAAALAASIAFMIVTGSTHPPAASLPIMFIDGAKFHHLQFWYALFPGATGCILLCLIQELVNYLKANYKF >DRNTG_24670.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6893741:6894611:1 gene:DRNTG_24670 transcript:DRNTG_24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRFERVLDCFSFPNCCTSYLCANAMEDEECERMTLIKVPEDQKLKLGDHLNNACKTLAFHLEPKTVVLRVSMHCNGCARKVEKHISKMEGVTSFEVDLQSKKVVVVGDINPFEVLDSVSKIKFAELCLFT >DRNTG_17084.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17295075:17296875:-1 gene:DRNTG_17084 transcript:DRNTG_17084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYISLTDNRNEQHEAGSSTVKSKQNRISTAGAGFSTAVGTETHV >DRNTG_18036.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:8046299:8050416:1 gene:DRNTG_18036 transcript:DRNTG_18036.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPWMFFSFCKQLKVMSDRNREWMALPRASAEYISGVDGFFDMAFQRTAEGQEILCPCKKCLNRNWYYRATVRDHLIVYGFVEDYTEWVFHGEGLPMYSE >DRNTG_18036.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:8046299:8050416:1 gene:DRNTG_18036 transcript:DRNTG_18036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRNREWMALPRASAEYISGVDGFFDMAFQRTAEGQEILCPCKKCLNRNWYYRATVRDHLIVYGFVEDYTEWVFHGEGLPMYSE >DRNTG_18036.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:8046299:8050416:1 gene:DRNTG_18036 transcript:DRNTG_18036.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRNREWMALPRASAEYISGVDGFFDMAFQRTAEGQEILCPCKKCLNRNWYYRATVRDHLIVYGFVEDYTEWVFHGEGLPMYSE >DRNTG_32632.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:9567759:9568562:-1 gene:DRNTG_32632 transcript:DRNTG_32632.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQQQAPPPSYPPPGQAYPAPTEGYPTYAAPPHAGYPAQR >DRNTG_29658.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:644131:644512:-1 gene:DRNTG_29658 transcript:DRNTG_29658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRWKLLAHTVPGHIVSCRCAEESSCKDNLSGTARTPLSSILSKLEYERLNSKDPSTSKVSIQIAGSRMDNNRLELPMKINSSILSPISSPK >DRNTG_18004.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24225139:24227577:1 gene:DRNTG_18004 transcript:DRNTG_18004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILVDLRPEVAVVSDHQEGIRSLGSKRVSILCDYKFLLVSLSFLHITLVWKSYTFIMQDCNAVMYDHGEPIWASQTYGRGSRCYITLQCDGNLVIYNDSNTAVWASNPNLAEATYVLIMKKDRNLVLYGPAHWATNTNIGFSGAMFIESKAIIFGALPANKPTKEAKASGIISMVVNN >DRNTG_11028.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:817505:822666:1 gene:DRNTG_11028 transcript:DRNTG_11028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEHQELPNYPTMVQSLSYKDTHPPQIQCPSETQDQSVLNKLKANSNDFIHLDSDTMTKACRRFQNSLFGKFFGKPPAFDQVKKILLNMWSNLGETMISDLPNGYLLIRCASHESMQKILFECPWAVNGIVLQLAQWQPFFEPAFTKLTTAAVWVQLHNLPVEFWDGETLETITSCLGQLLKIDEFTCSLSRSKFARVCVEIDLAKPLKQGFWIGDDSHRVFVVVLYERLPTFCYSCGVIGHGTNTCSRRNLENQSLSSPPLCPDPGVRQRMAVMEAQGLQGTGMEVGAGSISIDLELAPEKQDNFIDSDYGPWMLVTRRRGRGGGRGGAGGSRPESHEQHARSRAPVSEITKAATVRSDAVRAPRGGLLRGRGGHVSSRPRISLEQCSIAPLVASESNQHSVQAPLSTVLSPTEALETDRGDMTAPFSSPKGSAGLKGKGKTGNYDVNISPVLRSSLDPGMGLESGEPSDNHIMVVDRLADILQPSAPSQDNTYVEMNDSEDSSGSESDYEEDDDENDAMSDDVENDEPDDNMTLDQFQNGIRKENLVRRASLEAPSSLKKGRLDMGVEDA >DRNTG_11028.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:819447:822666:1 gene:DRNTG_11028 transcript:DRNTG_11028.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKACRRFQNSLFGKFFGKPPAFDQVKKILLNMWSNLGETMISDLPNGYLLIRCASHESMQKILFECPWAVNGIVLQLAQWQPFFEPAFTKLTTAAVWVQLHNLPVEFWDGETLETITSCLGQLLKIDEFTCSLSRSKFARVCVEIDLAKPLKQGFWIGDDSHRVFVVVLYERLPTFCYSCGVIGHGTNTCSRRNLENQSLSSPPLCPDPGVRQRMAVMEAQGLQGTGMEVGAGSISIDLELAPEKQDNFIDSDYGPWMLVTRRRGRGGGRGGAGGSRPESHEQHARSRAPVSEITKAATVRSDAVRAPRGGLLRGRGGHVSSRPRISLEQCSIAPLVASESNQHSVQAPLSTVLSPTEALETDRGDMTAPFSSPKGSAGLKGKGKTGNYDVNISPVLRSSLDPGMGLESGEPSDNHIMVVDRLADILQPSAPSQDNTYVEMNDSEDSSGSESDYEEDDDENDAMSDDVENDEPDDNMTLDQFQNGIRKENLVRRASLEAPSSLKKGRLDMGVEDA >DRNTG_14780.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30027221:30030775:-1 gene:DRNTG_14780 transcript:DRNTG_14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRASKALFFCPEAASLLLHNFCIYHISPPGHELGAAPISLDIPVPSVDDLADQVADVLDFFGLSSVMCLGVTAGAYILTLFAIKYRKRVLGLLLVSPLCKVPSWTEWLYNKVLSNLLYYYGMCGLVKECLLQRYFSKEVRGSGQVLESDIVQACRSLLDERQNTNVWRLLQSINGRSDITEAFKKIQCRTLIFVGENSPFHSEAIHLTSKLDRRYCAFVEVKACGSLVTEEQPHAMLIPMEYFFTWYGLFRPCQFNGSPRSPLSPLCISPELLSPESMGLKLKPIKTRVSLQA >DRNTG_29392.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7587370:7587809:1 gene:DRNTG_29392 transcript:DRNTG_29392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILPPCGIAPSGFRPLRKIPHCYLLLRGISNCFQLLFPSQG >DRNTG_22802.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3822679:3823497:1 gene:DRNTG_22802 transcript:DRNTG_22802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHFQQQQHVLKVSKTSKFKGRNKNNNNNNNKHKNKNKNKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETAEEAARAYDEAACLLRGSNARTNFITHPSSNSPLASRIRNLLINKKLNNNNNTNDHISMAMAMTPSPSPPNNDTSSSSSSSLSSSPSASISVHEDDQGKQESYDTVQFGECQDQFDVGCCSSLDLSWLYDPGFEGFEVHKNSVAHQSVEMMSEFDRMKVERQISASLYAMNGVHEYFETLTDPSSDHMWDLPPLYFYGL >DRNTG_06115.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000279.1:14548:15095:1 gene:DRNTG_06115 transcript:DRNTG_06115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFAKYSSSTRAEHDGALSHKLSLPGGMPNENSSKRTLSGQTRSGHERVPLTEYFRVSLSSLFGNSSLHREDFEESENQASPHLLRVSRMSRRHKRSGVSISELD >DRNTG_34495.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1524193:1527166:1 gene:DRNTG_34495 transcript:DRNTG_34495.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALFQAPTPTQWAAYPTRCTAWSCAASDTNTTSCRNCLNVAKQDVLQLCPNSKGAVVWYDPCFLRYSNQNFLSSNLNSNPPVLTDQQSVSEPDKFSELVSELMDMVAKYASYNSSRKYATGEANFTVSNPKIYGQAQCTLDLSGDQCYGCLRDSFHLIAGNQDKEGLRVLGVRCNFRYDVNSFYSGRSMLLLPSSSSSSLLSLMVQIHLRPWTPRKEKVGNCTTCPCKQPRN >DRNTG_34495.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1524193:1527166:1 gene:DRNTG_34495 transcript:DRNTG_34495.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALFQAPTPTQWAAYPTRCTAWSCAASDTNTTSCRNCLNVAKQDVLQLCPNSKGAVVWYDPCFLRYSNQNFLSSNLNSNPPVLTDQQSVSEPDKFSELVSELMDMVAKYASYNSSRKYATGEANFTVSNPKIYGQAQCTLDLSGDQCYGCLRDSFHLIAGNQDKEGLRVLGVRCNFRYDVNSFYSGRSMLLLPSSSSSSLLSLMVQIHLRPWTPRKEKETKTIRTLLLRLLSLWSLLS >DRNTG_34495.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1524193:1527166:1 gene:DRNTG_34495 transcript:DRNTG_34495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYEYVPNRSLDTILFDSIKREQLDWQRRYKIISGIARGLLYLHEDSQPKIIHRDLKASNILLDDDMNPKISDFGLARLFVGDQLGGTTRRVVGTFGYMAPEYALRGQYSAKSDIFSFGVLVLEILTGQKNSNFLETGLAKDLLSYTWQHWRKRTISEIIDPSLGDHWPRSEVLRCIHIGLLCVQEVPASRPSMSMVVLMLNSYSMSLQAPSKPAFFVAPNGGMNSDAFQKDNCFSAGEFSRSTDSFIPMTSNEVSISEIEPR >DRNTG_34495.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1524193:1527166:1 gene:DRNTG_34495 transcript:DRNTG_34495.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYEYVPNRSLDTILFDSIKREQLDWQRRYKIISGIARGLLYLHEDSQPKIIHRDLKASNILLDDDMNPKISDFGLARLFVGDQLGGTTRRVVGTFGYMAPEYALRGQYSAKSDIFSFGVLVLEILTGQKNSNFLETGLAKDLLSYTWQHWRKRTISEIIDPSLGDHWPRSEVLRCIHIGLLCVQEVPASRPSMSMVVLMLNSYSMSLQAPSKPAFFVAPNGGMNSDAFQKDNCFSAGEFSRSTDSFIPMTSNEVSISEIEPR >DRNTG_13502.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21898218:21900135:-1 gene:DRNTG_13502 transcript:DRNTG_13502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVEIMEDEILIEFKPMIKCRTEIHVRSLHHTDIITFKVQSTAPSRFHVTPPAGLLHPLSTVALRITLRPQPELPTSTDRFLIRASLDSKTQDTKLRVSYTGPSTYRDFSYFNPLFKTSAYINKPEELIEETAEEMVLLVAARRGDIAKLQSLLKKKPAVAGVSVSTHSKDQYDTTALHCAALKGHREAVSMLVAFGIEIESQDVEGHTALHLAVEGGSLDTTEMLINLGADVEAKTNRGATPLRMARSMGYAHIEHLLTSRGASI >DRNTG_22099.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:127256:129881:1 gene:DRNTG_22099 transcript:DRNTG_22099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGLLLPVNKTKIEEVAVESMVGNELLASSDVKWSYSVLLGRNVSFADKELIKDLSILAPCSNDLYFPHSVQSFGNEAKAKLEDSMTTIRRSMEFPGPRAEESSGVPTLEALGPSVG >DRNTG_34849.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2428109:2429819:-1 gene:DRNTG_34849 transcript:DRNTG_34849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSVTVAGGGGSSSTPTTPTSPSTTTPSTTITPPSSTTTTTTGTHYSGAGALSPATAILVGVLSLLKLTLL >DRNTG_34849.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2428109:2429589:-1 gene:DRNTG_34849 transcript:DRNTG_34849.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVCSIVVLLLLVCCVSLVLSTDYTVGDTSGWNSGVDYSSWTSGKTFNVGDNLVFSYTSGVHTVAEVSSSDYDSCSSSNAISTDSSGSTTVALKATGTRYFICTVPGHCSNGMKLSVTVAGGGGSSSTPTTPTSPSTTTPSTTITPPSSTTTTTTGTHYSGAGALSPATAILVGVLSLLKLTLL >DRNTG_08888.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27609065:27611894:1 gene:DRNTG_08888 transcript:DRNTG_08888.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALIARTGRHRQRYENHMRLVAGCIPYRLKPTVENHACVPIDRLEVLMISSPNRHDLVFPKGGWENDETVYEAACREALEEAGVRGILHKYELGVWEFRSKSSEKSCSLEGLCRGHMFALEVTEELDCWPEQASHKRRWVNVMEAEQLCRYPWMREALKVCIRQLRTGLAKPPTERSEPAMPYLFKPTERVDSAIDACC >DRNTG_08888.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27609065:27611894:1 gene:DRNTG_08888 transcript:DRNTG_08888.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIARTGRHRQRYENHMRLVAGCIPYRLKPTVENHACVPIDRLEVLMISSPNRHDLVFPKGGWENDETVYEAACREALEEAGVRGILHKYELGVWEFRSKSSEKSCSLEGLCRGHMFALEVTEELDCWPEQASHKRRWVNVMEAEQLCRYPWMREALKVCIRQLRTGLAKPPTERSEPAMPYLFKPTERVDSAIDACC >DRNTG_08888.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27609065:27611894:1 gene:DRNTG_08888 transcript:DRNTG_08888.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISSPNRHDLVFPKGGWENDETVYEAACREALEEAGVRGILHKYELGVWEFRSKSSEKSCSLEGLCRGHMFALEVTEELDCWPEQASHKRRWVNVMEAEQLCRYPWMREALKVCIRQLRTGLAKPPTERSEPAMPYLFKPTERVDSAIDACC >DRNTG_18745.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1242717:1246411:1 gene:DRNTG_18745 transcript:DRNTG_18745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESEEAVACIDSMNETVLKFLSALDELDSRKNPSLQSKIPVESSKECVQKLQKFFQKEFRQLEEKENFFEEMKSEIQEVLAEREATIADRERTLLDRLQEMKDISVSALVEACKKRKMAPPMKSGSELGPPRGSHKSEKVAEAKDNEVMTLHELKQFCKKMDVEGVLRYVTCKWKHFNLCSYYEELSSAIKSAPQPARLVLNILESLLFPSIPSGSIAKGKDISPNIKFKACLVLIESLVFRHGRQKYYEIKNQAKAIARKWKATLGHVDLNSWYVNKLQAQGLVQLLVTYGITAEFDENELCKLVLAIPNAKRASVLCQSLGLAHRVPEVVEALVNSGKQIPAIHFIFAFDLGKSYPPIYLLEDYLNSVRSKAHLKVQTDGAAGVKNANNEELVALRNVVWCAREYELQREYNLDPLQTRIMQLEDAKAKKRKVAEDAAKNSVKRARVDDYRSHAHKRPANDQEPSLFMLQSNKYPVKISSC >DRNTG_18745.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1246029:1246411:1 gene:DRNTG_18745 transcript:DRNTG_18745.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEDAKAKKRKVAEDAAKNSVKRARVDDYRSHAHKRPANDQEPSLFMLQSNKYPVKISSC >DRNTG_11107.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30602177:30603053:-1 gene:DRNTG_11107 transcript:DRNTG_11107.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIRRSILALQLLVLFLTTISFNVVVLGVASKHPSPPPPPSAISVAPALAPIALPPTIIEPPPPPPPPPIGKLLISVEGVVYCRYCKFPGYDKILNASPLRGALAKLVCYNKKEKRGVVVTTKTDRRGYFLIQSYKLSGFQSRSCKVVVTSPLKVCSKLLHPGFPLRFERVVKVGKTQIALFTAGFFEFGPPYGTKCKP >DRNTG_24293.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21239493:21240916:-1 gene:DRNTG_24293 transcript:DRNTG_24293.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNTNATVRNLEDQVAQISKLLEERLPRTLPSNTIVNPKEYLKGVTLRNRKLLPNSVKNEPKLEGTVTKHYRILLQQYCSQPTENHISRVPTRACENSQRPCGWLILALLKPRFSPILASSFPSFLQLLRGRRLGFRGVFTRLLEWFSGFDTAIFLEDSY >DRNTG_00564.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30098797:30100898:1 gene:DRNTG_00564 transcript:DRNTG_00564.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPDICSFNSVLHGLCLAGRVQDAVEVFDEMKVSGFEPDRFTYRTLILGCCKAFRIDEALRVFREMEYNNVKCDTLVYNNILDGLLKAKKLDDACQMFDKMVSGGIGVSPYSYNILIDGLFKNGRPAAAMMMFNELKKKGQFVDGVTYGIVVLHMCREGRVKRGLELLKEMEERGIVADLATITTVLIALDKNRKSDTTRQLKKYARDNALLPSVLSWKAKLNSLLRDPQDKSKDFTRIFPTRGNLSDIMGWIKSSEGDSDDDGDHDLDGETKDEWSSSPYLDCLAKKLEKADDLQTFTLAKGIRVQKDCIRSFDIDMVNTYLSIFLSKGELSKACKLFEIFTKLCEDGPVSYTYNSLLRSFVKKGYMNVAWGVLQEMGDKLCPADIATYNLVIQGLGKMGEADLASAVLEQLLKKGGHLDIVMYNTLFNALGKAGRIEQVNKLFKQMTGSGINPDVVTFNTLIEVHAKAGKIEGAHKFLRKMLAAGCSPNHVTDTILDFLEQEVEKLKKQMVTHDKDSE >DRNTG_00564.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30098412:30100898:1 gene:DRNTG_00564 transcript:DRNTG_00564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPDICSFNSVLHGLCLAGRVQDAVEVFDEMKVSGFEPDRFTYRTLILGCCKAFRIDEALRVFREMEYNNVKCDTLVYNNILDGLLKAKKLDDACQMFDKMVSGGIGVSPYSYNILIDGLFKNGRPAAAMMMFNELKKKGQFVDGVTYGIVVLHMCREGRVKRGLELLKEMEERGIVADLATITTVLIALDKNRKSDTTRQLKKYARDNALLPSVLSWKAKLNSLLRDPQDKSKDFTRIFPTRGNLSDIMGWIKSSEGDSDDDGDHDLDGETKDEWSSSPYLDCLAKKLEKADDLQTFTLAKGIRVQKDCIRSFDIDMVNTYLSIFLSKGELSKACKLFEIFTKLCEDGPVSYTYNSLLRSFVKKGYMNVAWGVLQEMGDKLCPADIATYNLVIQGLGKMGEADLASAVLEQLLKKGGHLDIVMYNTLFNALGKAGRIEQVNKLFKQMTGSGINPDVVTFNTLIEVHAKAGKIEGAHKFLRKMLAAGCSPNHVTDTILDFLEQEVEKLKKQMVTHDKDSE >DRNTG_33480.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17913150:17915179:-1 gene:DRNTG_33480 transcript:DRNTG_33480.2 gene_biotype:protein_coding transcript_biotype:protein_coding NPGFDFDERIVGYIKDYRRVFNLACIDHRGTLHPARTCTLEAKKGAICVSRGHGQMRRIHG >DRNTG_33480.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17913827:17916030:-1 gene:DRNTG_33480 transcript:DRNTG_33480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNFQNRFKATGFFFLLTLSCISLSSQVLGLL >DRNTG_26018.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23172739:23173306:1 gene:DRNTG_26018 transcript:DRNTG_26018.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLELLTGKRPTNEVFQQGLSLEKWVRMAFPDRIMEVMDPKLVTSYELITNGGLMISTEKQEGCVISMVRVGLACATDSPEARIGMRDVIQQLKDIKDNLMKPEVDFNVV >DRNTG_26018.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23170861:23173306:1 gene:DRNTG_26018 transcript:DRNTG_26018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNFLDGTVPPGLNMLSELTMYNIGYNRLVSTEANGLDLITSLTNSSKLAFLAFDDNFFEGVIPDTVGNLSTRLSSLYMGENHIFGSIPKSIGQLTSLTLLNVSQNSISGEIPEEISKLSKLQKLLLSGNQIQGSIPAALGSLTKLINLDLSDNSLAGTIPSTFSNYQSLQSLDLSNNKLNGSIPTEIFSISSLSSLLNLSRNLLSGPLPEEIGGLENVVAIDFSDNLLSGDIPKSVGNCRSLQVLSMSNNSFTGFIPEQISNLKGLQSLDLSSNQLSGSIPSDLGKLGGLQFLNLSFNDLQGVIPNEGIFKNASRIHLQGNSKLCSSSSSNWCQQQSTKHGKKISTSHLIIILVAICVAVFVLVIVAWIVFIRTTRRKNTAKVSANSNSNTNIFNGLHPLISYEELFRSTENFSTSNLIGTGSFGSVFKGVLGDGMTIAIKVLNLATHGAARSFLAECEALKNAKHRNLVKLITSCSSIDFENRDFIALVYEFMSGGSLEDLIHGARELSILDRLNIAMDVTSAVDYLHNDCQPPVVHCDLKPCNVLLDEDMNIAKVGDFGLAKLMVDQEPSSSTNWIKGSIGYIPPEYGYGVRASTRGDVYSYGVMLLELLTGKRPTNEVFQQGLSLEKWVRMAFPDRIMEVMDPKLVTSYELITNGGLMISTEKQEGCVISMVRVGLACATDSPEARIGMRDVIQQLKDIKDNLMKPEVDFNVV >DRNTG_13351.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4395975:4396913:-1 gene:DRNTG_13351 transcript:DRNTG_13351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTDDCLREILSWLPPKSLRRLQRVSKKFLNIISDPFFKTLHTNHFQTRYNINNINFRKCHHSELQPVKTLAFFRDDDYGDNRGPYCTIRFFNNNIICREVPLPRVCHNHPPEPTSSPPPSPPPSPPPPPPPSSSRGRSRYNKRTRAGSDEVTGSVGRLPTRKREAGSASPAEA >DRNTG_08884.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27647378:27651579:1 gene:DRNTG_08884 transcript:DRNTG_08884.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMLYDTIACFVLSVYGVYHMISAARSHLKPTSRGTSLSGDYSARPYYPFPLHSHRHHLLRHLPFYFGIVILSISIVHLSFSSSGAQHFFSLSSAAALLLLLILLPFAALSSASAPPDLIFLLASLAFALLSYSSFRFSSSFPPSDLQAKSYSISALISAASAVASLSLALFPKLFVSELSLAASIFLKGLWFFVSGLLLYIEAFIPEGCHSLIDLPDGPTRCDLDEFRVRATAILDLAFALNCVFVAVVVLALYAMAGRVFGGLGRRLNGGGSYEALPTSSSTATLSDMEPVQMKAIGKNSMQE >DRNTG_08884.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27647593:27651449:1 gene:DRNTG_08884 transcript:DRNTG_08884.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMLYDTIACFVLSVYGVYHMISAARSHLKPTSRGTSLSGDYSARPYYPFPLHSHRHHLLRHLPFYFGIVILSISIVHLSFSSSGAQHFFSLSSAAALLLLLILLPFAALSSASAPPDLIFLLASLAFALLSYSSFRFSSSFPPSDLQAKSYSISALISAASAVASLSLALFPKLFVSELSLAASIFLKGLWFFVSGLLLYIEAFIPEGCHSLIDLPDGPTRCDLDEFRVRATAILDLAFALNCVFVAVVVLALYAMAGRVFGGLGRRLNGGGSYEALPTSSSTATLSDMEPVQMKAIGKNSMQE >DRNTG_08884.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27647378:27651748:1 gene:DRNTG_08884 transcript:DRNTG_08884.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMLYDTIACFVLSVYGVYHMISAARSHLKPTSRGTSLSGDYSARPYYPFPLHSHRHHLLRHLPFYFGIVILSISIVHLSFSSSGAQHFFSLSSAAALLLLLILLPFAALSSASAPPDLIFLLASLAFALLSYSSFRFSSSFPPSDLQAKSYSISALISAASAVASLSLALFPKLFVSELSLAASIFLKGLWFFVSGLLLYIEAFIPEGCHSLIDLPDGPTRCDLDEFRVRATAILDLAFALNCVFVAVVVLALYAMAGRVFGGLGRRLNGGGSYEALPTSSSTATLSDMEPVQMKAIGKNSMQE >DRNTG_08884.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27636419:27646863:1 gene:DRNTG_08884 transcript:DRNTG_08884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKASPLPFVIPNRRGPSLLFAGFCRPFRVAPRPFIPIRIRAFYTGAGSVSVSPQKEKHLTELDDIAILNERIRRDLGKREGLRPGKKVDSEEAEKYINKVKQQQQRGLQKLKGDSEVKGSGFGYRVDPYTLQSGDYVVHKKVGIGRFVAIKYDVPKGSSEPIEYVFIQYADGMAKLPVAQASKMLYRYNLPNETKKPRTLSKLSDTGAWERRRTKGKIAVQKMVVDLMELYLHRLKQKRPPYAKSPILDEFAAQFPYEPTPDQKQAFIDVEKDLTERETPMDRLICGDVGFGKTEVALRAICCVVFAGKQAMVLAPTIVLAKQHFDVVSERFSCYPHVKVGLLSRFQTKAEKEAYISMIRTGQLDIIVGTHALLGSRVVYNNLGLLVVDEEQRFGVKQKEKIASFKTSVDVLTLSATPIPRTLYLALTGFRDVSLISTPPPERVPIKTHLSSYSKEKVLSAIKFELDRGGQVFYVLPRIKGLEEVMEFLEQSFPNVEIAVAHGKQYSKQLEETMEKFAMGEIKILICTNIVESGLDIQNANTIIVQDVQQFGLAQLYQLRGRVGRADKEAYAYLFYPDKSLLSDQALERLAAMEEYRDLGQGFQLAERDMGIRGFGNIFGEQQTGDIGNVGIDLFFEMLFESLSKVEEHRLISVPYKNVQLEINITTHLSSEFINNLENPMALISGAEKAAARDMWSLMQFTEVLRQQYGKEPHSFELILKKLYIRRMAADLGISRIYTYGKMVIMETNMSKRVFKLMAESMTSELHRNCLSFTGTEIRAELLLELQREQLLNWVFQCLSELYSSLSVLVKY >DRNTG_08884.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27647593:27651579:1 gene:DRNTG_08884 transcript:DRNTG_08884.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMLYDTIACFVLSVYGVYHMISAARSHLKPTSRGTSLSGDYSARPYYPFPLHSHRHHLLRHLPFYFGIVILSISIVHLSFSSSGAQHFFSLSSAAALLLLLILLPFAALSSASAPPDLIFLLASLAFALLSYSSFRFSSSFPPSDLQAKSYSISALISAASAVASLSLALFPKLFVSELSLAASIFLKGLWFFVSGLLLYIEAFIPEGCHSLIDLPDGPTRCDLDEFRVRATAILDLAFALNCVFVAVVVLALYAMAGRVFGGLGRRLNGGGSYEALPTSSSTATLSDMEPVQMKAIGKNSMQE >DRNTG_08884.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27647593:27651748:1 gene:DRNTG_08884 transcript:DRNTG_08884.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMLYDTIACFVLSVYGVYHMISAARSHLKPTSRGTSLSGDYSARPYYPFPLHSHRHHLLRHLPFYFGIVILSISIVHLSFSSSGAQHFFSLSSAAALLLLLILLPFAALSSASAPPDLIFLLASLAFALLSYSSFRFSSSFPPSDLQAKSYSISALISAASAVASLSLALFPKLFVSELSLAASIFLKGLWFFVSGLLLYIEAFIPEGCHSLIDLPDGPTRCDLDEFRVRATAILDLAFALNCVFVAVVVLALYAMAGRVFGGLGRRLNGGGSYEALPTSSSTATLSDMEPVQMKAIGKNSMQE >DRNTG_08884.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27645138:27651449:1 gene:DRNTG_08884 transcript:DRNTG_08884.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMLYDTIACFVLSVYGVYHMISAARSHLKPTSRGTSLSGDYSARPYYPFPLHSHRHHLLRHLPFYFGIVILSISIVHLSFSSSGAQHFFSLSSAAALLLLLILLPFAALSSASAPPDLIFLLASLAFALLSYSSFRFSSSFPPSDLQAKSYSISALISAASAVASLSLALFPKLFVSELSLAASIFLKGLWFFVSGLLLYIEAFIPEGCHSLIDLPDGPTRCDLDEFRVRATAILDLAFALNCVFVAVVVLALYAMAGRVFGGLGRRLNGGGSYEALPTSSSTATLSDMEPVQMKAIGKNSMQE >DRNTG_08884.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27647378:27651449:1 gene:DRNTG_08884 transcript:DRNTG_08884.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMLYDTIACFVLSVYGVYHMISAARSHLKPTSRGTSLSGDYSARPYYPFPLHSHRHHLLRHLPFYFGIVILSISIVHLSFSSSGAQHFFSLSSAAALLLLLILLPFAALSSASAPPDLIFLLASLAFALLSYSSFRFSSSFPPSDLQAKSYSISALISAASAVASLSLALFPKLFVSELSLAASIFLKGLWFFVSGLLLYIEAFIPEGCHSLIDLPDGPTRCDLDEFRVRATAILDLAFALNCVFVAVVVLALYAMAGRVFGGLGRRLNGGGSYEALPTSSSTATLSDMEPVQMKAIGKNSMQE >DRNTG_09525.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17377833:17389968:-1 gene:DRNTG_09525 transcript:DRNTG_09525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNFRTGVRFRSELIKRWHRGVNSPLDLEIFPKKAQGHGLATVDDSVNKCTGIGACICPCELPNKDPRPCGISALECETLREFSRLDREAIGAFVCPCGSSAQAWEFSACPYGCI >DRNTG_19361.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5647401:5648302:-1 gene:DRNTG_19361 transcript:DRNTG_19361.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BOLA2 [Source:Projected from Arabidopsis thaliana (AT5G09830) UniProtKB/Swiss-Prot;Acc:Q9FIC3] MGVTKADVEAALTSTFNPSHLEVIDTSGGCGASFEVEVVSEKFEGKRLLERHRMVNAALVELMQSIHALSIKKALAPSQLPPPAEPAAA >DRNTG_19361.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5647401:5648118:-1 gene:DRNTG_19361 transcript:DRNTG_19361.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BOLA2 [Source:Projected from Arabidopsis thaliana (AT5G09830) UniProtKB/Swiss-Prot;Acc:Q9FIC3] MGVTKADVEAALTSTFNPSHLEVIDTSGGCGASFEVEVVSEKFEGKRLLERHRMVNAALVELMQSIHALSIKKALAPSQLPPPAEPAAA >DRNTG_21706.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:915471:918231:1 gene:DRNTG_21706 transcript:DRNTG_21706.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRSIRDIERERQGLQTQEKKLIAEIKKTAKQGQMGAVKVMAKDLIRTRHQITKFYALKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPALQKIMQEFERQNEKMEMVSEVMGDAIDDALEGDEEEEETEELVNQVLDEIGIDINSELVKAPSTAVATAESTSKVPQAEATGTSDSGIDDDLQARLDNLRKM >DRNTG_16894.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29977143:29978032:1 gene:DRNTG_16894 transcript:DRNTG_16894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILDISSNNFTGNLPLDCFTRMKAMMIHREGDGTIGFSYNSADLVKYNNLKLNKNQARPVTRSCLLIYACPSDGSYNPASTYYEDLVTIVAKGYKRDQTKIPATTSYIDVSNNRLEGTLPEVIGDLKSLNFLNMSLNAFKGHIPQVFGNLRELESLDLSGNQLSGEIPNSLTSLTFLSVLNLSNNNLVGRI >DRNTG_09936.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18342414:18343705:-1 gene:DRNTG_09936 transcript:DRNTG_09936.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:Projected from Arabidopsis thaliana (AT2G18800) UniProtKB/TrEMBL;Acc:A0A178VQ29] MASSCILCAMAFITAVYAANFYQDIDLTWGNDHARILNNGEVLTLSLDKSSGSGFQLKNEYLFGKFDMQIKLIPGDSAGTVTTFYLSSQGSTHDEIDFEFLGNLSGDPYIVHTNVFTQGKGNREQQFYLWFDPTKDFHTYSFLWNPRIVIFYVDGRPIRVFKNNELIGVAFPKNQPMRIYSSLWNADDWATRGGRVKTDWSKAPFTATFREFNANACVSSSGVSSCRSLSNNSWMLQELDSTSLELLRMIQKNYMVYNYCSDLKRFPQGLPSECSTNT >DRNTG_02405.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000113.1:63599:67179:-1 gene:DRNTG_02405 transcript:DRNTG_02405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTVVTPLKSIRETVVQSVLAYQQTSPHGKRCENYAGGAVVYDDDEPDSWADPDEDAMELVQIGAERAKNVLVLMSDTGGGHRASAEAIRDAFRIEFGDSYRVFVKDLGKEHAGWPLNDMERSYKFMVKHTQLWKMAFHSTYPRWVHCFYLATVASFYAKKVEAGLKKYKPDIIISVHPLMQHIPLWVLKWRLQKKVVFVTVITDLNTCHPTWFHAGVNRCYCPSEEVYKRAMLEGLETSQIRVFGLPIRPSFCRAILVKDDLRKELEMDPELPAVLLMGGGEGMGPVKKTAKALGEALFDEELGQPIGQIVIICGRNQTLSSTLQSIQWKVPVKIRGFETQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEVGNVPYVVENGAGVFSKSPKETARLVAHWFGPEKDELKKMSENALKLAQPDAVFDIVKDINELAEQCGPMANISYSLTSSFSNPI >DRNTG_12286.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24726915:24729216:1 gene:DRNTG_12286 transcript:DRNTG_12286.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRITHDVVGGHDALRFGLDGVKGDIVGVHPLQSLREKMKLDLEGKKRSILDLTYGSAFNLRNDFERQILSRFQRPPGVLPSSMLGYEALTGGLEDFGFEDYLNVPQDSETHRPAEMHHGMEVCLGLSKGPVCPSLN >DRNTG_21664.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:11157766:11160469:1 gene:DRNTG_21664 transcript:DRNTG_21664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFVLRFVFFCFAAGVAVAFTDGLLPNGNFELGPQPNQLTGTVIKDPHAIPEWETSGIVEYIKAGQKQKDMILVVPEGSFAVRLGNEASIKQRVKNLEKGEHYSLTFSAARTCAQNEVLNISISPDSDVIPMQTMYSSNGWDSYAWAFKAKSSEVDILIHNPGNSEDPDCGPIIDSVAMKTIRPPKLTNKNMLKNGDFEEGPYIIPNTTWGVLIPTSMEDDDSSLPGWIVESAKAVKYLDSAHFSVPSGKHAIELIAGMESAIAQLVRTSLGRSYALAFSIGDANNTCRGSMLVDAYAGKHTIRVPYESKGNGGFKRAVLKFKAESERTRVVFRSSSYNTRSDDLSSLCGPVVDDVSLLSVRTRRLSL >DRNTG_33506.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002012.1:27000:30494:-1 gene:DRNTG_33506 transcript:DRNTG_33506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDYVGTPKIHHLESKRNRLTWILGVSGLCILFYILGAWQSPTSSTTIPKTTSNLASTESCDTSSNVNLSPKAASSMSSLSSPDLDFEAHHTASLDEAVPTAVQSFPVCPMNFSEYTPCQDTTRGRRFDRKMLIYRERHCPEKDELVKCLIPAPPKYKTPFKWPQSRDYAWYDNIPHKELSIEKAVQNWIQVEGDRFRFPGGGTMFPRGADAYIDDINALIPLTNGGIRTALDTGCGVASWGAFLLKRDILAMSVAPRDTHEAQVQFALERGVPAMIGVIGSLRLTFPARAFDMAHCSRCLIPWYAYDGLYLIEVDRVLRPGGYWILSGPPIHWKKYYKGWERTQEDLKQEQDSIEAVAKRLCWKKVIEKDDLAIWQKPMNHIECIESQQSYKTPPMCKAGYADDAWYKKLEVCVTPLPEVNNAEEVAGGELEKWPERAFAVPPRIRTGTIPGITAEKFQEDNNIWKERVAYYKRIVPLISQGRYRNVMDMNANLGGFAAALVQYPVWVMNVVPTIADIDTLGAIYERGFIGSYQDWCEAFSTYPRTYDLIHVDGVFSMYQDRCDVTYILLEMDRILRPEGTVIFRDKVDTLVKIQELTNGMRWTSKIMDHESGPFNPEKILVAVKTYWTGETTQEQ >DRNTG_24513.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13667782:13670178:1 gene:DRNTG_24513 transcript:DRNTG_24513.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHGRSGDVFSASAWVAHRQYSGTPSGILDAASGGSLCSKQPSASYSLTEEMASNGYQGSSKRNQPVKAAIIYQVDAITTLATQLASQSDPSGSCSSDMGTRKTKQIDFIGQNKRYQNNPYSNTYNAGWRNHPNFSWSKPGQGQVAQQQYRPPQQNVQPSPPPVPSNELTVLLTWYIKDNEVRLQGHEEMTRNTNTTVRNLEHHMAQMSKLIEEI >DRNTG_21064.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4782171:4786387:1 gene:DRNTG_21064 transcript:DRNTG_21064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVKLCLSPLCSCMQSPVVRQDMNHVFSTKEKLDDLKNAMEQLLAKRNDIQRELDDPQNKGKLLGDELQLWLRSVGEKENKVERLLDEYRKG >DRNTG_12373.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1814964:1815557:-1 gene:DRNTG_12373 transcript:DRNTG_12373.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSLFLRKKVGRGAFDEAYPTPVTLGLRVLVGNLVRASPFPSDVIDSTSVPRVTCCHNRVNGLRDDPPLGLNCECNGVKH >DRNTG_11264.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21752978:21757423:1 gene:DRNTG_11264 transcript:DRNTG_11264.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroxy-acid dehydratase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G23940) UniProtKB/Swiss-Prot;Acc:Q9LIR4] MKSVAISSPSYLGPHRLLHRPSSIRASVSTPEVESSPPPAASPLKLNRYSSRITEPKSQGGSQAVLYGVGLSDEDMKKPQVGISSVWYEGNTCNMHLMQLAEAVREGVREAGMVGFRFNTIGVSDAISMGTRGMCYSLQSRDLIADSIETVMAAQWYDGNVSIPGCDKNMPGTIMAMGRLNRPSIMVYGGTIKPGHFQDHSYDIISAFQCYGEYVSGKITDDQRMSVVRNSCPGAGACGGMYTANTMASAIEAMGMSLPYSSSTPAEDPLKLDECRLVGKYLLNLLEMDLKPRDIITEKSIRNAMRIVMALGGSTNAVLHLIAIARSVGLSLTLDDFQKISDEVPFLADLKPSGKYVMEDLHKIGGTPAVIRLLLEEGFLDGDCVTVTGKSLAENAKLVPGLAEGQQIIRPLSNPIKATGHIQILYGNIAPEGSVAKITGKEGLYFSGPALVFEGEESMIAAISENPMNFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKECALLTDGRFSGGISWICCRSYMS >DRNTG_20857.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15976961:15977500:-1 gene:DRNTG_20857 transcript:DRNTG_20857.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLLLRAHSTPGNMPAMFRKLKRRKKEGRRTQLL >DRNTG_02551.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:7886655:7886966:-1 gene:DRNTG_02551 transcript:DRNTG_02551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVVNLEFTLVKSCAEPLTGSSYVRNPGLPHGNLSGQHQGRQYCEF >DRNTG_25128.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20203252:20213026:1 gene:DRNTG_25128 transcript:DRNTG_25128.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVDPMGLVPLPSTSSSDDQAMPIDPSPSQLDTTALVPFVRVSTDKLTASYTGSGTGDFDVGGVRADRPVPGGRMAYYFEMLVKNSGEKGCVAIGFGHENYNLRRQPGWDIDSCGYHGDDGCLFTAEGGYGKPFGPTFSTGDTVGAGINYASKEFFFTKNGKLVGNVRLDIKGPLYPLVALHSPNEEIYVNFGQQPLLFSFEAFMLEERLKQQALIQKSSLPPNACHRIIRSYLLHYGYQDTVDAFEKESEICCQPNTVEDEQDGYALNHRKILREHIKKGEIGLVFDKLREWYPQVVENETSAVCFLLHSQKFIGYVRAGELIEAVDYARIELAKFLKINFFADLLEDIFGLLAYTDPTTSCTWYQLGMCHPEFVADAVNVMVLSTNPNLKNPKNCAASRLEKLLRQVTVCSSENGDRAVFDLHPELWNGSKI >DRNTG_25128.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20203252:20214922:1 gene:DRNTG_25128 transcript:DRNTG_25128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVDPMGLVPLPSTSSSDDQAMPIDPSPSQLDTTALVPFVRVSTDKLTASYTGSGTGDFDVGGVRADRPVPGGRMAYYFEMLVKNSGEKGCVAIGFGHENYNLRRQPGWDIDSCGYHGDDGCLFTAEGGYGKPFGPTFSTGDTVGAGINYASKEFFFTKNGKLVGNVRLDIKGPLYPLVALHSPNEEIYVNFGQQPLLFSFEAFMLEERLKQQALIQKSSLPPNACHRIIRSYLLHYGYQDTVDAFEKESEICCQPNTVEDEQDGYALNHRKILREHIKKGEIGLVFDKLREWYPQVVENETSAVCFLLHSQKFIGYVRAGELIEAVDYARIELAKFLKINFFADLLEDIFGLLAYTDPTTSCTWYQLGMCHPEFVADAVNVMVLSTNPNLKNPKNCAASRLEKLLRQVTVCSSENGDRAVFDLHPELWNGSKI >DRNTG_18269.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1886103:1890307:-1 gene:DRNTG_18269 transcript:DRNTG_18269.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPIHLQFIDLSYKIKYVPRQKKSGTMSQILRMDCSVVGVQEERTIIEGITGMVSPGEVLAILGPSGSGKSTLLNIISGRLQSRHGGAVLANGKRLTRAEIKRIGFVAQDDVLYPHLTVRETLVFAALLRLPRTMGKEEKVMAAEKVMVELGLEKCSETIVGNAYVRGVSGGERRRVSIGKEVLVDPSVMVLDEPTSGLDATAAQRVVKGLGREAKEKGRGVVMAVHQPASQAYQMFDLVMVMSAEGKCVYFGKGFEAMEYFEKLGFVPGFQLNPADFMLDLANGIAHGDNHGDPSSVKQTLVTSYNKTLAPKVKECINATLTRDAVQTETISSNNNKKEEEMQNKKKKKDYCNISWLSQFTILFHRSLKERRHESFSSLRIFQVLATAILAGLMWWHSNINNIQDRLGLLFFITIFLGVFASFNSVFTFPQDRPIFIKERSSGMYSLSSYFMARMVGDLPMELLLPTVFVLVVYWMAGLRPEFGAFMLTLALLLGYVLVAQGLGLALGAIIMDAKQASTMATVTMLAFLLTGGFYVQNLPAGMVWLKYASFTFYCFRLLIGVQYKQSEITRLGLGQGQGRKNDSSDIVGEVEEVGVIASVVALVVMFVGYRILAYVALRRIKI >DRNTG_08061.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18223203:18224835:1 gene:DRNTG_08061 transcript:DRNTG_08061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEEQHAFLEELLALRRDTWDLSSFFLPLSTPPPDFFFFSSPPELSTFPPSLPPPPPPSLPPPPSSSSSSFTGFNSTFDSFSQFYQDPITTPDLHPFSSPPLLTDHCLTDPHPFLVSRSRKRKPDGAPSKNLMAERRRRKRLNDRLSLLRSIVPKITKELLERIKNLSEEMAQFGFDNSKLLNIFKEMNSDDVSVKNSPPKFDVERRDGDTRIEICCTGKPGLLLSTLDSLEVLGLDIQQCVISCFNDFGLQASCSEDVEKRSGMACEEIKQALFRSAGYGGKGL >DRNTG_00510.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30501455:30503596:1 gene:DRNTG_00510 transcript:DRNTG_00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGGTGARRPNFPLQLLEKKETIITTTTTASDPCFSSTPTPSEPSKKPPPKRSSTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISMRSSGSSLSAPSHLRSSPACIFSPSTTSATAHYFGQPLRSRSHDHWDPLQSEVPSSTSMLSFHPDTTSDAANARKRRWEQESHNIQQHHEQQQQQQQQMASYNTTQSNSSSSSSHGQHVPATLWMGSNPSGHFPGGDSMWSFPSMGPGSGTTTMFRGSMSSGLQFMNFHTPMALLPIQQLGLGSGVGGSEGHMGILAALNAAYRPPGAAAAAAAAGDGNGGGAGGSSQQAHGGGDRHDTMSTSDS >DRNTG_35060.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15249530:15250405:-1 gene:DRNTG_35060 transcript:DRNTG_35060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKSQSSIVAAVFSSSCCWHGFSLIYLEFIPMVFSSCCCLVGMALHSE >DRNTG_30182.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5558614:5560155:-1 gene:DRNTG_30182 transcript:DRNTG_30182.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSCISCLIFRWDCDGREALNQSNASKRNWILVWEDGFCDFYEASFAPEIFFKMSHEVYTLGEGVVGKVAAENSHKWMHSGNTHPETNGSHFSSWDSSTYPVNS >DRNTG_30182.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5558614:5560155:-1 gene:DRNTG_30182 transcript:DRNTG_30182.3 gene_biotype:protein_coding transcript_biotype:protein_coding MECGLPLLNCLWQQTLQSFCFPDASSSSKWVYAVFWRILPRNFPPPRWDCDGREALNQSNASKRNWILVWEDGFCDFYEASFAPEIFFKMSHEVYTLGEGVVGKVAAENSHKWMHSGNTHPETNGSHFSSWDSSTYPVNS >DRNTG_30182.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5558614:5560155:-1 gene:DRNTG_30182 transcript:DRNTG_30182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGLPLLNCLWQQTLQSFCFPDASSSSKWVYAVFWRILPRNFPPPRWDCDGREALNQSNASKRNWILVWEDGFCDFYEASFAPEIFFKMSHEVYTLGEGVVGKVAAENSHKWMHSGNTHPETNGSHFSSWDSSTYPQPKVWQCQFNSGIQTIAVIAVKEGAIQLGSLDKVPQDLILVMNIQRKFNYLQSIPGMFAMQRPYQAFPENYIHGFKQSLQITEKEDEENNAAFGVHGSNYLFCDVKTEMFEVSENKLKIRQ >DRNTG_31433.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:685325:690159:1 gene:DRNTG_31433 transcript:DRNTG_31433.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYPLSQFRSRKRPEHSQRRIRRPFSVSEVEALVQAVEMLGTGRWRDVKIRAFDNANHRTYVDLKDKWKTLVHTAKITPQQRRGEPVPQELLDRVLAAHAYWSQQQARLPTKPSPAEDCMLN >DRNTG_31433.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:685325:690159:1 gene:DRNTG_31433 transcript:DRNTG_31433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYPLSQFRSRKRPEHSQRRIRRPFSVSEVEALVQAVEMLGTGRWRDVKIRAFDNANHRTYVDLKDKWKTLVHTAKITPQQRRGEPVPQELLDRVLAAHAYWSQQQARLPTKPSPAEDCMLN >DRNTG_28046.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3321680:3321890:-1 gene:DRNTG_28046 transcript:DRNTG_28046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFTSSSAPTHSSSSPPPHQSKKALPTTTSSSPIAFSCSLPTTSASFLISHPPPPTSTLLSSNCTPAL >DRNTG_05265.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19739808:19744278:-1 gene:DRNTG_05265 transcript:DRNTG_05265.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTWCKAVKDGKLGGVRSMLRAFRTACHYGDDGEKDSSQLNIISSSVFNKIMVFVLNEMDGVLRGLLKAPGSGGKKETILELTSTPLWKKHGNLMRIYLGNALHILNQMTDEQMISFTLKRVKASAVFLAAFPSLLRKYIKVSLHTWGTGRGALPVVSFLLLRDLCVRISTDCLDTCLKGVYKAYVLNCKLSKSASRSNLQHIYFLGNCITELYGIDPPSAYQHAFVFIRQLATILRGAVTERGPKAVKDKKKGKKQQESSKSTKKPSDKAYQKVFDWQFICCLELWTSVICAYNSEADFRPLAYPLTQIISGVACLVPTARYFPVRIRCIKMLNRLAAATGTFIPVSSLLLDMLEMKELNSPTTGGIGKAVNFLGVKQLDKTTLKTRAFQEACVFSIVEELTEHLAQWSYSVAFFELSFIPLVRLRNFCKSTKVDKFRREIKELIREVT >DRNTG_05265.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19739808:19745964:-1 gene:DRNTG_05265 transcript:DRNTG_05265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNRKRRRNMKVDDEVLEDSSTIMSDAEGSVGSDLMEEEANFSTKVKAKEHKEELHRLQEKDPEFYQYLKDFDKELLDFNDEEFDDDEGIDVEDAEHPSDDIQKPLAKAITSEMIDTWCKAVKDGKLGGVRSMLRAFRTACHYGDDGEKDSSQLNIISSSVFNKIMVFVLNEMDGVLRGLLKAPGSGGKKETILELTSTPLWKKHGNLMRIYLGNALHILNQMTDEQMISFTLKRVKASAVFLAAFPSLLRKYIKVSLHTWGTGRGALPVVSFLLLRDLCVRISTDCLDTCLKGVYKAYVLNCKLSKSASRSNLQHIYFLGNCITELYGIDPPSAYQHAFVFIRQLATILRGAVTERGPKAVKDKKKGKKQQESSKSTKKPSDKAYQKVFDWQFICCLELWTSVICAYNSEADFRPLAYPLTQIISGVACLVPTARYFPVRIRCIKMLNRLAAATGTFIPVSSLLLDMLEMKELNSPTTGGIGKAVNFLGVKQLDKTTLKTRAFQEACVFSIVEELTEHLAQWSYSVAFFELSFIPLVRLRNFCKSTKVDKFRREIKELIREIEANLNFTNSKRMNIEFSPDDPAVESFLKIEKESGSSPLSTYAANIRLKAQQRSDAMAESSVIVGAESSVFGSRKTQNEDDDDEDDDDDDDEEEGDVEEGAAVFSSSWMPEKKPKIKEEKSKPSKKRQHDEDHDDGGVADSDEDIVEDLVLSSDDDDDDDDDDDDDGSDSPAEEDRNGSKKMLKHEKNKQTASKSRRRHKPRHKKRKKGAKP >DRNTG_11507.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29507120:29507540:-1 gene:DRNTG_11507 transcript:DRNTG_11507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEASKQLKLCSTAIKKICRKYGISRWPYRKIKSIDKMISNLLNKTRLGDTQNLDEIEKLRERRAQICTGSV >DRNTG_14874.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2877306:2882530:1 gene:DRNTG_14874 transcript:DRNTG_14874.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigB [Source:Projected from Arabidopsis thaliana (AT1G08540) UniProtKB/Swiss-Prot;Acc:O22056] MVKLSELEEAAKVDHSPTFEEESNEDLSTQVMTKSDLSDLTQTKLEDNPLDFPEKIAVRSGRQSERRARRARATTRATATVLSMKSGSSSRRKRPPVQDVDYTDPLRYLRGTTSISRLLTANEELELSKAIQELLKLENLHEELVERNGGQPTFAQWAAAAGIDQRTLRKRLSYGAVCKDKMIKSNIRLVISIAKNYQGAGMNLQDLVQEGCRGLVKGAEKFDASKGFKFSTYAHWWIKQAVRKSLSDQSRTIRLPFHMVEATYRVKEAKKQLYSENGRYPDTQEIVQATGLSMKRLTAVMLTPKAPRSLDQKVGFNQNLKLSEVIADPDAESSEEILMKEFMKQDLNKVLNSLNPREKQVIRWRFGLEDGRMKTLQEIGELMGISRERVRQIESCAFRKLKNKKRIKHLKQYVVS >DRNTG_19359.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5629517:5631574:-1 gene:DRNTG_19359 transcript:DRNTG_19359.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g15130 [Source:Projected from Arabidopsis thaliana (AT3G15130) UniProtKB/Swiss-Prot;Acc:P0C898] MHRGAITELIKTCAKGSLLSGALQVHGNALKMGFACVLTIGNDLIDMYAKCGRLDFAGKVFDELSERNVVSWTAFMVGFLKDGNAEKCLKVFDEMIVSGFQPNEYTLSTTLKACGLVGPAGHGAGIQVHGVCIKSGFELHDVVGNSVIFMYSRSGMVDEGERMFEIMPVKSLVSWNAMIAGFAYGGDGNKCLCLFKQMQKQEVPDEFTFASLLKACSGLGAGREGTQVHASLITNGFVNTNNSILSGALTDLYVKCGCLTEARKVFDLTVQKNVVQWTTLILGYAQEGFVRETMDLFSKFWVSGTRIDGHVLSSVIGVFADFALVVQGRQVHSYTIKSPSGEDVSVANSLIDFYHKCGLPDEAEVHFREMHKRNVVSWTTMINGHGKHGHGKAAINLFNEMQLEGVEPDDVAYLALLSACSHAGLIEQCQYYFSRLISDHQIKPKVEHYACMVDLLGRAGRLQEAKELIENMPLEANVGIWQTLLSACRVHRNLNMGREVGRILLRIDGDNPANYVILSNILSEAGKWSECAELREKMKKKGMKKQGGCSWIEINKEVHFFYGGYNTHPETEKIHFVLKEVEKKMNEELGYVHGVSYAMHDVEDESKEENLRMHSEKLAIGLWLVVNGEKEKGEAIRVYKNLRVCGDCHEFIKGVSKVLDIVLVVRDANRFHRFEHGVCSCGDYW >DRNTG_00816.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:14885582:14886607:1 gene:DRNTG_00816 transcript:DRNTG_00816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGHGHGHGDGHGDGNEVMDMGMKTMFDRRQQGVDPKLQAIVPLINRRDEVIHNGNNEEVEDLLKEADKDR >DRNTG_15174.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:388348:390575:1 gene:DRNTG_15174 transcript:DRNTG_15174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKRKWLWKRLGLLVRVMMISLLQCLRNDCRYAVYDFDFVTEENCQKSKIFFIAWSPSTSRIRAKMLYATSKDRIKRELDGVHYEIQATDPTEMDLEVLRDRAH >DRNTG_15174.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:388348:390575:1 gene:DRNTG_15174 transcript:DRNTG_15174.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKRKWLWKRLGLLVRVMMISLLQCLRNDCRYAVYDFDFVTEENCQKSKIFFIAWSPSTSRIRAKMLYATSKDRIKRELDGVHYEIQATDPTEMDLEVLRDRAH >DRNTG_14265.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:958455:965686:-1 gene:DRNTG_14265 transcript:DRNTG_14265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLIPSPEPPGFFVAAEVQQQQPQAQQLGMALAAGGAVPTTVSSSEDLGKKIRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKAAHPYPQKANKNTPLLSQATTPLQGSSCLIEAGYPIRTDSSSVLKNSSPAATVPSWAHSSVQPVLAARINKDDIGTPGAGFVNNCVCSSTESSPRTWPACETTDQGNHVPSLRVMPDFAQVYSFIGSVFDPSTTGHLQKLKEMDPIDVETVLLLMRNLSINLTSPDFEDHRVLLSTYDDSADEIKHHSTNNALRGDGNMNATLMVKGE >DRNTG_17757.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:670526:671171:1 gene:DRNTG_17757 transcript:DRNTG_17757.1 gene_biotype:protein_coding transcript_biotype:protein_coding DYKQGKPNKSNQQKLEGTNNKRRKLKNKSKFKNALHYPLRQITTMEEKI >DRNTG_06845.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6290897:6294234:-1 gene:DRNTG_06845 transcript:DRNTG_06845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAISCTANPSMPPSKTVRVVIKGRVQGVFFRDWTVHNARELCLKGWVRNRRDGSVEAVFSGEPTAVDEMVDKRCRVGPPAAMVTGLNSFPSDDDPGQGFQRKPTA >DRNTG_03743.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000175.1:27850:30805:1 gene:DRNTG_03743 transcript:DRNTG_03743.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRLKHDNFVELLGYCVDGGLRVLAYEFATMGSLHDVLHGRKGVKGAEPGPVLSWAQRVKIAVGAAKGLEYLHEKAQPHVIHRDIKSSNVLLFDDDVAKIADFDLSNQAPDAAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVKQCVDTRLNGEYPPKAVAKLAAVAALCVQYEADFRPNMSIVVKALQPLLHARSGHPGETA >DRNTG_03743.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000175.1:27850:30805:1 gene:DRNTG_03743 transcript:DRNTG_03743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCCEEDIHGAADNGAPYKSSHSAGNDGFYRASDPTPKGAQVVKVQPIAVPAVSVDELKEITDNFGNQALIGEGSYGRVYYGVLKNGRAAAIKKLDTSKQPDQELLAQVSMVSRLKHDNFVELLGYCVDGGLRVLAYEFATMGSLHDVLHGRKGVKGAEPGPVLSWAQRVKIAVGAAKGLEYLHEKAQPHVIHRDIKSSNVLLFDDDVAKIADFDLSNQAPDAAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVKQCVDTRLNGEYPPKAVAKLAAVAALCVQYEADFRPNMSIVVKALQPLLHARSGHPGETA >DRNTG_32167.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30917105:30919526:1 gene:DRNTG_32167 transcript:DRNTG_32167.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g47530 [Source:Projected from Arabidopsis thaliana (AT3G47530) UniProtKB/Swiss-Prot;Acc:Q9SN85] MMSLVGVHLLQSSPSLSHCIPLIKSCSSKPHLIQIHALLLRTALFQESSISAAFLSRAALLPFHDLKYSKIVFDQITKPCIVHYNIMIRAFSQSDSPKKAFDFYKLMRRNGINGNRFSSSFVLKSCAKISTSCGGKQVHCRILQDGQQSDSLLLTSLMDLYASCGDAGDACQVFEEMSSRDTVAWNVLISCYTRNRRTKDALGLFDLMQSPEYGSKPDDVTCLLLLQACTHLGTLEFGERIHKYIEEHGYINALNIRNSLLTMYLKCGCVDKAYRMFCDTPKKNVISWSAMISGLAMNGYGQDAIEAFNEMKRVGVPPDEQTFTGVLSACSHSGLVDEGFRFFNMMRLEYGLAPNVHHYGCMVDLLGRAGLLDQAYHLITNDMGIKPDAMIWRTLLGACKIHGNVQLGERVTEHLIELKAAQAGDYILLLNIYSSAGNWEKVAEIRRLMKDKGIQTSPGCSTMELNGTVHEFIVDDDSHPRKVEIYQMLDEIGSQLKIAGYVANTESELHAVDATEKESALSYHSEKLAIAFAILATPPGTTIRIAKNLRTCIDCHTFSKVLSSVYNRVVIIRDRSRFHHFKDGHCSCNDYW >DRNTG_29173.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24298213:24304235:-1 gene:DRNTG_29173 transcript:DRNTG_29173.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic glucose transporter 4 [Source:Projected from Arabidopsis thaliana (AT5G16150) UniProtKB/Swiss-Prot;Acc:Q56ZZ7] MVRLTAVESVFRPRRKVGIVRAEASGDVESVHPARHQTKSSGTVFPYVGVACLGAILFGYHLGVVNGALEYLSRDLGIAENTVLQGWVVSSSLAGATVGSFTGGALADKFGRTKTFMLDAIPLAVGAFLSATAQNVQSMMIGRLLAGIGIGISSAVVPLYISEISPTEIRGALGSINQLFICIGILAALVAGLPLAGNPIWWRSMFGIAVIPSVLLALGMAISPESPRWLFQQGKLTQAEASLRTLYGKERVAEAMQGLQAGIQGSTEQDAGWFDLFSKRYGKVVSVGAALFLFQQLAGINAVVYYSTSVFRSAGIASDVAASAFVGAANVFGTAIASSLMDKQGRKSLLITSFAGMAASMLLLSLSFTWKVLAPYSGNLAVIGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAVALSLGMHWVSNFVIGLYFLSVVNKFGISKVYLGFATVCFLAVLYIAGNVVETKGRSLEEIERALNVDV >DRNTG_31331.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4093793:4098074:-1 gene:DRNTG_31331 transcript:DRNTG_31331.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRPPSSIGAVGAIDTAPLLGARGGAGDDRGGRRFGRRPSLRGAARFLRRASSRRMMREPSMLVRETAAEQLEERQSDWAYSKPVVFLDILWNMAFVGVAVGVLILSRDESPSMPLRLWIGGYALQCMLHMVCVVIEYRRRHPSFLVEEGGSGSRGSSSPGSPVEVEEAESYDDEQGEDEDRTSVAKHLESANTMFSFIWWIIGFYWVSAGGQELTRDAPQLYWLCIVFLAFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAVADQEGASDEDIRQLPKFKFRRIGSSEKLGAETSGPFGGIMTESASDSTNEHALSAEDAECCICLSTYDDGVELRELPCRHHFHCACIDKWLYINATCPLCKYNIIKSSNHGREEV >DRNTG_31331.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4093936:4098074:-1 gene:DRNTG_31331 transcript:DRNTG_31331.13 gene_biotype:protein_coding transcript_biotype:protein_coding MTESASDSTNEHALSAEDAECCICLSTYDDGVELRELPCRHHFHCACIDKWLYINATCPLCKYNIIKSSNHGREEV >DRNTG_31331.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4093936:4098074:-1 gene:DRNTG_31331 transcript:DRNTG_31331.11 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRPPSSIGAVGAIDTAPLLGARGGAGDDRGGRRFGRRPSLRGAARFLRRASSRRMMREPSMLVRETAAEQLEERQSDWAYSKPVVFLDILWNMAFVGVAVGVLILSRDESPSMPLRLWIGGYALQCMLHMVCVVIEYRRRHPSFLVEEGGSGSRGSSSPGSPVEVEEAESYDDEQGEDEDRTSSVAKHLESANTMFSFIWWIIGFYWVSAGGQELTRDAPQLYWLCIVFLAFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAVADQEGASDEDIRQLPKFKFRRIGSSEKLGAETSGPFGGIMTESASDSTNEHALSAEDAECCICLSTYDDGVELRELPCRHHFHCACIDKWLYINATCPLCKYNIIKSSNHGREEV >DRNTG_31331.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4093883:4098074:-1 gene:DRNTG_31331 transcript:DRNTG_31331.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRPPSSIGAVGAIDTAPLLGARGGAGDDRGGRRFGRRPSLRGAARFLRRASSRRMMREPSMLVRETAAEQLEERQSDWAYSKPVVFLDILWNMAFVGVAVGVLILSRDESPSMPLRLWIGGYALQCMLHMVCVVIEYRRRHPSFLVEEGGSGSRGSSSPGSPVEVEEAESYDDEQGEDEDRTSVAKHLESANTMFSFIWWIIGFYWVSAGGQELTRDAPQLYWLCIVFLAFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAVADQEGASDEDIRQLPKFKFRRIGSSEKLGAETSGPFGGIMTESASDSTNEHALSAEDAECCICLSTYDDGVELRELPCRHHFHCACIDKWLYINATCPLCKYNIIKSSNHGREEV >DRNTG_31331.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4093936:4098074:-1 gene:DRNTG_31331 transcript:DRNTG_31331.12 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRPPSSIGAVGAIDTAPLLGARGGAGDDRGGRRFGRRPSLRGAARFLRRASSRRMMREPSMLVRETAAEQLEERQSDWAYSKPVVFLDILWNMAFVGVAVGVLILSRDESPSMPLRLWIGGYALQCMLHMVCVVIEYRRRHPSFLVEEGGSGSRGSSSPGSPVEVEEAESYDDEQGEDEDRTSSVAKHLESANTMFSFIWWIIGFYWVSAGGQELTRDAPQLYWLCIVFLAFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAVADQQEGASDEDIRQLPKFKFRRIGSSEKLGAETSGPFGGIMTESASDSTNEHALSAEDAECCICLSTYDDGVELRELPCRHHFHCACIDKWLYINATCPLCKYNIIKSSNHGREEV >DRNTG_31331.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4092609:4098074:-1 gene:DRNTG_31331 transcript:DRNTG_31331.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRPPSSIGAVGAIDTAPLLGARGGAGDDRGGRRFGRRPSLRGAARFLRRASSRRMMREPSMLVRETAAEQLEERQSDWAYSKPVVFLDILWNMAFVGVAVGVLILSRDESPSMPLRLWIGGYALQCMLHMVCVVIEYRRRHPSFLVEEGGSGSRGSSSPGSPVEVEEAESYDDEQGEDEDRTSVAKHLESANTMFSFIWWIIGFYWVSAGGQELTRDAPQLYWLCIVFLAFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAVADQEGASDEDIRQLPKFKFRRIGSSEKLGAETSGPFGGIMTESASDSTNEHALSAEDAECCICLSTYDDGVELRELPCRHHFHCACIDKWLYINATCPLCKYNIIKSSNHGREEV >DRNTG_31331.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4093883:4098074:-1 gene:DRNTG_31331 transcript:DRNTG_31331.9 gene_biotype:protein_coding transcript_biotype:protein_coding MTESASDSTNEHALSAEDAECCICLSTYDDGVELRELPCRHHFHCACIDKWLYINATCPLCKYNIIKSSNHGREEV >DRNTG_31331.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4092609:4098074:-1 gene:DRNTG_31331 transcript:DRNTG_31331.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRPPSSIGAVGAIDTAPLLGARGGAGDDRGGRRFGRRPSLRGAARFLRRASSRRMMREPSMLVRETAAEQLEERQSDWAYSKPVVFLDILWNMAFVGVAVGVLILSRDESPSMPLRLWIGGYALQCMLHMVCVVIEYRRRHPSFLVEEGGSGSRGSSSPGSPVEVEEAESYDDEQGEDEDRTSVAKHLESANTMFSFIWWIIGFYWVSAGGQELTRDAPQLYWLCIVFLAFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAVADQEGASDEDIRQLPKFKFRRIGSSEKLGAETSGPFGGIMTESASDSTNEHALSAEDAECCICLSTYDDGVELRELPCRHHFHCACIDKWLYINATCPLCKYNIIKSSNHGREEV >DRNTG_31331.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4093936:4098074:-1 gene:DRNTG_31331 transcript:DRNTG_31331.10 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRPPSSIGAVGAIDTAPLLGARGGAGDDRGGRRFGRRPSLRGAARFLRRASSRRMMREPSMLVRETAAEQLEERQSDWAYSKPVVFLDILWNMAFVGVAVGVLILSRDESPSMPLRLWIGGYALQCMLHMVCVVIEYRRRHPSFLVEEGGSGSRGSSSPGSPVEVEEAESYDDEQGEDEDRTSVAKHLESANTMFSFIWWIIGFYWVSAGGQELTRDAPQLYWLCIVFLAFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAVADQEGASDEDIRQLPKFKFRRIGSSEKLGAETSGPFGGIMTESASDSTNEHALSAEDAECCICLSTYDDGVELRELPCRHHFHCACIDKWLYINATCPLCKYNIIKSSNHGREEV >DRNTG_31331.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4093883:4098074:-1 gene:DRNTG_31331 transcript:DRNTG_31331.8 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRPPSSIGAVGAIDTAPLLGARGGAGDDRGGRRFGRRPSLRGAARFLRRASSRRMMREPSMLVRETAAEQLEERQSDWAYSKPVVFLDILWNMAFVGVAVGVLILSRDESPSMPLRLWIGGYALQCMLHMVCVVIEYRRRHPSFLVEEGGSGSRGSSSPGSPVEVEEAESYDDEQGEDEDRTSSVAKHLESANTMFSFIWWIIGFYWVSAGGQELTRDAPQLYWLCIVFLAFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAVADQEGASDEDIRQLPKFKFRRIGSSEKLGAETSGPFGGIMTESASDSTNEHALSAEDAECCICLSTYDDGVELRELPCRHHFHCACIDKWLYINATCPLCKYNIIKSSNHGREEV >DRNTG_31331.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4093793:4098074:-1 gene:DRNTG_31331 transcript:DRNTG_31331.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRPPSSIGAVGAIDTAPLLGARGGAGDDRGGRRFGRRPSLRGAARFLRRASSRRMMREPSMLVRETAAEQLEERQSDWAYSKPVVFLDILWNMAFVGVAVGVLILSRDESPSMPLRLWIGGYALQCMLHMVCVVIEYRRRHPSFLVEEGGSGSRGSSSPGSPVEVEEAESYDDEQGEDEDRTSSVAKHLESANTMFSFIWWIIGFYWVSAGGQELTRDAPQLYWLCIVFLAFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAVADQEGASDEDIRQLPKFKFRRIGSSEKLGAETSGPFGGIMTESASDSTNEHALSAEDAECCICLSTYDDGVELRELPCRHHFHCACIDKWLYINATCPLCKYNIIKSSNHGREEV >DRNTG_31331.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4093883:4098150:-1 gene:DRNTG_31331 transcript:DRNTG_31331.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRPPSSIGAVGAIDTAPLLGARGGAGDDRGGRRFGRRPSLRGAARFLRRASSRRMMREPSMLVRETAAEQLEERQSDWAYSKPVVFLDILWNMAFVGVAVGVLILSRDESPSMPLRLWIGGYALQCMLHMVCVVIEYRRRHPSFLVEEGGSGSRGSSSPGSPVEVEEAESYDDEQGEDEDRTSVAKHLESANTMFSFIWWIIGFYWVSAGGQELTRDAPQLYWLCIVFLAFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAVADQEGASDEDIRQLPKFKFRRIGSSEKLGAETSGPFGGIMTESASDSTNEHALSAEDAECCICLSTYDDGVELRELPCRHHFHCACIDKWLYINATCPLCKYNIIKSSNHGREEV >DRNTG_31331.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4093883:4098074:-1 gene:DRNTG_31331 transcript:DRNTG_31331.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRPPSSIGAVGAIDTAPLLGARGGAGDDRGGRRFGRRPSLRGAARFLRRASSRRMMREPSMLVRETAAEQLEERQSDWAYSKPVVFLDILWNMAFVGVAVGVLILSRDESPSMPLRLWIGGYALQCMLHMVCVVIEYRRRHPSFLVEEGGSGSRGSSSPGSPVEVEEAESYDDEQGEDEDRTSSVAKHLESANTMFSFIWWIIGFYWVSAGGQELTRDAPQLYWLCIVFLAFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAVADQQEGASDEDIRQLPKFKFRRIGSSEKLGAETSGPFGGIMTESASDSTNEHALSAEDAECCICLSTYDDGVELRELPCRHHFHCACIDKWLYINATCPLCKYNIIKSSNHGREEV >DRNTG_30243.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:213903:215390:1 gene:DRNTG_30243 transcript:DRNTG_30243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEMEWRKCYVDLVLVPLAILFSVAYNAWLWHKVRSQPLQTLIGINSAGRRKWVIAMIKDNEKKNILAVQSLRNAIMGSTLMATTSILLSTGLAAILSSTYSIKKPLGDTIFGAHGEFMVALKYVTLLLAFIFAFLCYSLSICFINQVNFLINIPFSDDDDNRLLSPEYISDLLDKGFMLNTVGNRLFYAALPLLLWLFGPVLVFLCSLIMVPLLYNLDIVSVNSASDHITKSVAKI >DRNTG_21450.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19353095:19355572:-1 gene:DRNTG_21450 transcript:DRNTG_21450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MISEDEWAFDLLYCVAFMVMDKQWLERNASYMEFNEVLRCTRQQLERELLLDDVMRIEDMPSYSLLSQS >DRNTG_21450.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19353095:19355065:-1 gene:DRNTG_21450 transcript:DRNTG_21450.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYFDASRHEHQEALRALWSATYPDQELIGLISDQWKQMGWQGRDPSTDFRGAGFISLENLLFFAKTFNASFQKLLNKQGGKRSAWEYPFAVAGVNITFMIMQMLDLQSFAILIP >DRNTG_21450.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19353095:19356857:-1 gene:DRNTG_21450 transcript:DRNTG_21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAERSHGYCVAIRTMPPCSISRCSHALVPSDDSTSGSPRWLGRSLSCVCIKRKGAYERIGNNLTPIQVERLQRLKYRMEVYFDASRHEHQEALRALWSATYPDQELIGLISDQWKQMGWQGRDPSTDFRGAGFISLENLLFFAKTFNASFQKLLNKQGGKRSAWEYPFAVAGVNITFMIMQMLDLQSSKPRTFIRTVFIQMISEDEWAFDLLYCVAFMVMDKQWLERNASYMEFNEVLRCTRQQLERELLLDDVMRIEDMPSYSLLSQS >DRNTG_21450.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19353095:19356857:-1 gene:DRNTG_21450 transcript:DRNTG_21450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRAERSHGYCVAIRTMPPCSISRCSHALVPSDDSTSGSPRWLGRSLSCVCIKRKGAYERIGNNLTPIQVERLQRLKYRMEVYFDASRHEHQEALRALWSATYPDQELIGLISDQWKQMGWQGRDPSTDFR >DRNTG_21450.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19353095:19356857:-1 gene:DRNTG_21450 transcript:DRNTG_21450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAERSHGYCVAIRTMPPCSISRCSHALVPSDDSTSGSPRWLGRSLSCVCIKRKGAYERIGNNLTPIQVERLQRLKYRMEVYFDASRHEHQEALRALWSATYPDQELIGLISDQWKQMGWQGRDPSTDFRGAGFISLENLLFFAKTFNASFQKLLNKQGGKRSAWEYPFAVAGVNITFMIMQMLDLQSFAILIP >DRNTG_29026.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18342578:18346433:-1 gene:DRNTG_29026 transcript:DRNTG_29026.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYGRDPWGGSLEISHADSATDDERSRTLQDLDRAALSTTSRQLDETQQSWLLAGPGDQGKKKKKYVDLGCLIVSRKLFLWIVGALLASAVLAGFVTLIVKTVPRHHGPKPPVDNYTLALRKALMFFNAQRSGPLPKHNNVSWRGNSGMKDGLSDSAVHRNLVGGFYDAGDAIKFNFPSSFAMTMLSWSVIEYSAKYEAAGELGHVKEIIKWGTDYILKTFNSSADTIDRIAAQVGQGDTSGGPTPNDHYCWMRPEDIDYPRPVYECHSCSDLAAEMAAALASASLVFKDSKTYSQKLVHGATTLFKFARDQRGRYSAGGTDASKFYNSTSYWDEFVWGGAWMYLATGNTSYLQLATHPKLAQHAGAFWGGPDYGVFSWDNKLTGAQVLLSRLRLFLSPGYPYEEMLRTFHNQTGIIMCSYLPIFTSFNRTKGGLIQLNHGRPQPLQYVVNAAFLASVFSDYLEAAATPGWYCGPNFYSVDVLRNFAKTQIDYILGNNPRKMSYLVGFGNHYPKHVHHRAASIPKNRVKYNCKGGWKWRDSHKPNPHTIVGAMVAGPDRHDGFRDVRTNYNYTEPTLAGNAGLVAALVALSGESNGVDKNTMFSAVPPMFPTPPPPPAPWKP >DRNTG_29026.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18342578:18346433:-1 gene:DRNTG_29026 transcript:DRNTG_29026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYGRDPWGGSLEISHADSATDDERSRTLQDLDRAALSTTSRQLDETQQSWLLAGPGDQGKKKKKYVDLGCLIVSRKLFLWIVGALLASAVLAGFVTLIVKTVPRHHGPKPPVDNYTLALRKALMFFNAQRSGPLPKHNNVSWRGNSGMKDGLSDSAVHRNLVGGFYDAGDAIKFNFPSSFAMTMLSWSVIEYSAKYEAAGELGHVKEIIKWGTDYILKTFNSSADTIDRIAAQVGQGDTSGGPTPNDHYCWMRPEDIDYPRPVYECHSCSDLAAEMAAALASASLVFKDSKTYSQKLVHGATTLFKFARDQRGRYSAGGTDASKFYNSTSYWDEFVWGGAWMYLATGNTSYLQLATHPKLAQHAGAFWGGPDYGVFSWDNKLTGAQVLLSRLRLFLSPGYPYEEMLRTFHNQTGIIMCSYLPIFTSFNRTKGGLIQLNHGRPQPLQYVVNAAFLASVFSDYLEAAATPGWYCGPNFYSVDVLRNFAKTQIDYILGNNPRKMSYLVGFGNHYPKHVHHRAASIPKNRVKYNCKGGWKWRDSHKPNPHTIVGAMVAGPDRHDGFRDVRTNYNYTEPTLAGNAGLVAALVALSGESNGVDKNTMFSAVPPMFPTPPPPPAPWKP >DRNTG_13570.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:975615:980557:-1 gene:DRNTG_13570 transcript:DRNTG_13570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYRKGGYHAVRPGDSFAAGRYIAQRKLGWGHFSTVWLAFDTHSKKFVALKIQKSAAEFAQAALHEIELLSAIAEGDPSNSKCIVRLLDHFKHAGPNGQHLCLVIEFLGDSLLRLIRYNRYRGIGLNNVRDICMPILIALDYLHRELGVIHSDLKPENVLLYSTINPAKDPIRSGFSPILERSEGNPDGKVVVNFIEKRLKMKAKRAVARISERKSSMGGPSQRVRSLEGIDLRCKIVDFGNACWVEKQFMEEIQTRQYRAPEVILGAGYSYSADLWSFACIAFELATGDMMFSPKGGNGYSEDEDHLALMMELLGKMPKKVRAMHLVHFTPIL >DRNTG_13570.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:975615:980557:-1 gene:DRNTG_13570 transcript:DRNTG_13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYRKGGYHAVRPGDSFAAGRYIAQRKLGWGHFSTVWLAFDTHSKKFVALKIQKSAAEFAQAALHEIELLSAIAEGDPSNSKCIVRLLDHFKHAGPNGQHLCLVIEFLGDSLLRLIRYNRYRGIGLNNVRDICMPILIALDYLHRELGVIHSDLKPENVLLYSTINPAKDPIRSGFSPILERSEGNPDGKVVVNFIEKRLKMKAKRAVARISERKSSMGGPSQRVRSLEGIDLRCKIVDFGNACWVEKQFMEEIQTRQYRAPEVILGAGYSYSADLWSFACIAFELATGDMMFSPKGGNGYSEDEDHLALMMELLGKMPKKIATAGSRSKDYFDRHGDLKRIRRLKFWPLDRLLIEKYKFSESDARELADFLCPLLDFSPEKRPTAGQCLQHPWLKNRNQSPTIENQVGIEDLVVGMSKLHVSKNR >DRNTG_16951.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:207881:214155:1 gene:DRNTG_16951 transcript:DRNTG_16951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETPPVFQEAPRCDICKCSFTTFRRRHHCRSCGRTLCHEHSSNYMALPKFGIHSSVRVCSDCFNNSSRSPNDVGQASPDNINAAVDSFSRLDIDEAVDSNPQPAFSDKPVINAAECKCGMPLCICVSVPDSAALETKHVTISNVQPNPRLKKSTGTQSDSALKKTASTSNSKPSPFLNLGQASQSILDEAHRDYDISGEGLREAIKNGDTPAVKNLLAKGVDANYCDKQGLTLLHLATLFNQTEIAFVLMDHGASVECKNAQGETPLDCAPAMLQYKMRTKLQEGA >DRNTG_33316.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23640751:23644051:-1 gene:DRNTG_33316 transcript:DRNTG_33316.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase, H3 lysine-9 specific SUVH4 [Source:Projected from Arabidopsis thaliana (AT5G13960) UniProtKB/Swiss-Prot;Acc:Q8GZB6] MRTAKDLKIPSDAVGCDCVGDCVDLRKCACAGLNGFDFPYVRKDGGRLVEAKDVVFECGPNCSCNCSCVNRISQRGLKYRLEVYRTPKKGWAVRSWDTIPSGAPVCEYIGVLERSDEVDSVSENSYIFDIDCLQTMKGLDGREVVTDEHFLS >DRNTG_33316.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23640751:23643611:-1 gene:DRNTG_33316 transcript:DRNTG_33316.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase, H3 lysine-9 specific SUVH4 [Source:Projected from Arabidopsis thaliana (AT5G13960) UniProtKB/Swiss-Prot;Acc:Q8GZB6] MRTAKDLKIPSDAVGCDCVGDCVDLRKCACAGLNGFDFPYVRKDGGRLVEAKDVVFECGPNCSCNCSCVNRISQRGLKYRLEVYRTPKKGWAVRSWDTIPSGAPVCEYIGVLERSDEVDSVSENSYIFDIDCLQTMKGLDGRERRRGALASLSNIDDKQSDVPEYCINAGSVGNVARFINHSCEPNLFVQCVLSSHHDFKMARVVLFAADTIPPLQELTYDYGYALDSVVGPDGKVKTLNCYCGATGCKKRLY >DRNTG_33316.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23640751:23646046:-1 gene:DRNTG_33316 transcript:DRNTG_33316.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase, H3 lysine-9 specific SUVH4 [Source:Projected from Arabidopsis thaliana (AT5G13960) UniProtKB/Swiss-Prot;Acc:Q8GZB6] MIEDQTVLNHQKRIGSIPGVDVGQQFFSRAEMVVLGLHGHWLNGIDYMGQGYSKLEMYKHLTFPVAVCIVLSGMYEDDTDNADEVVYTGQGGHDLLGSKHQISDQKMARGNLALKNNADTGVPVRVVRGHESTNSYCGKVYTYDGLYQVIKWWGEKGVSGFTVFKYKLRRLDGQPNLTTKQVHFARAQAPRSISELRGLVSEDISDGQENLPIPATNEVDDPPFAPKGFVYSKAMRTAKDLKIPSDAVGCDCVGDCVDLRKCACAGLNGFDFPYVRKDGGRLVEAKDVVFECGPNCSCNCSCVNRISQRGLKYRLEVYRTPKKGWAVRSWDTIPSGAPVCEYIGVLERSDEVDSVSENSYIFDIDCLQTMKGLDGRERRRGALASLSNIDDKQSDVPEYCINAGSVGNVARFINHSCEPNLFVQCVLSSHHDFKMARVVLFAADTIPPLQELTYDYGYALDSVVGPDGKVKTLNCYCGATGCKKRLY >DRNTG_33316.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23640751:23652212:-1 gene:DRNTG_33316 transcript:DRNTG_33316.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase, H3 lysine-9 specific SUVH4 [Source:Projected from Arabidopsis thaliana (AT5G13960) UniProtKB/Swiss-Prot;Acc:Q8GZB6] MVGSIEDAKPISASPVPIASSLVGQNESKESTIGGRRKRGRPKKQAAPPVVSQKATKRDENLCNDVNMSDGGNVETLVPHHVDESSIAGRRKALGRSRKRAADAIKDQVELCSAIPLASLNPVSENCGTSDITGVKDNFVKEGNSDGHCRNSVSRPRKQDIVKCDQNDHLSGAATKDGEPDDAEAKSDHMKVKDTLRLFNKHYLHFVQEEERRYKEVEATLSKASNAVKVKPKGENKKVVNASPKASKSSKAQKSKAEDGNEEIVKRASKRPDLKAISKMIEDQTVLNHQKRIGSIPGVDVGQQFFSRAEMVVLGLHGHWLNGIDYMGQGYSKLEMYKHLTFPVAVCIVLSGMYEDDTDNADEVVYTGQGGHDLLGSKHQISDQKMARGNLALKNNADTGVPVRVVRGHESTNSYCGKVYTYDGLYQVIKWWGEKGVSGFTVFKYKLRRLDGQPNLTTKQVHFARAQAPRSISELRGLVSEDISDGQENLPIPATNEVDDPPFAPKGFVYSKAMRTAKDLKIPSDAVGCDCVGDCVDLRKCACAGLNGFDFPYVRKDGGRLVEAKDVVFECGPNCSCNCSCVNRISQRGLKYRLEVYRTPKKGWAVRSWDTIPSGAPVCEYIGVLERSDEVDSVSENSYIFDIDCLQTMKGLDGRERRRGALASLSNIDDKQSDVPEYCINAGSVGNVARFINHSCEPNLFVQCVLSSHHDFKMARVVLFAADTIPPLQELTYDYGYALDSVVGPDGKVKTLNCYCGATGCKKRLY >DRNTG_33316.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23640751:23652212:-1 gene:DRNTG_33316 transcript:DRNTG_33316.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase, H3 lysine-9 specific SUVH4 [Source:Projected from Arabidopsis thaliana (AT5G13960) UniProtKB/Swiss-Prot;Acc:Q8GZB6] MVGSIEDAKPISASPVPIASSLVGQNESKESTIGGRRKRGRPKKQAAPPVVSQKATKRDENLCNDVNMSDGGNVETLVPHHVDESSIAGRRKALGRSRKRAADAIKDQVELCSAIPLASLNPVSENCGTSDITGVKDNFVKEGNSDGHCRNSVSRPRKQDIVKCDQNDHLSGAATKDGEPDDAEAKSDHMKVKDTLRLFNKHYLHFVQEEERRYKEVEATLSKASNAVKVKPKGENKKVVNASPKASKSSKAQKSKAEDGNEEIVKRASKRPDLKAISKMIEDQTVLNHQKRIGSIPGVDVGQQFFSRAEMVVLGLHGHWLNGIDYMGQGYSKLEMYKHLTFPVAVCIVLSGMYEDDTDNADEVVYTGQGGHDLLGSKHQISDQKMARGNLALKNNADTGVPVRVVRGHESTNSYCGKVYTYDGLYQVIKWWGEKGVSGFTVFKYKLRRLDGQPNLTTKQVHFARAQAPRSISELRGLVSEDISDGQENLPIPATNEVDDPPFAPKGFVYSKAMRTAKDLKIPSDAVGCDCVGDCVDLRKCACAGLNGFDFPYVRKDGGRLVEAKDVVFECGPNCSCNCSCVNRISQRGLKYRLEVYRTPKKGWAVRSWDTIPSGAPVCEYIGVLERSDEVDSVSENSYIFDIDCLQTMKGLDGRERRRGALASLSNIDDKQSDVPEYCINAGSVGNVARFINHSCEPNLFVQCVLSSHHDFKMARVVLFAADTIPPLQELTYDYGYALDSVVGPDGKVKTLNCYCGATGCKKRLY >DRNTG_30100.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31164769:31165255:-1 gene:DRNTG_30100 transcript:DRNTG_30100.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLFERRRCLLLCLTLISFVLLTIMAPYHYYTVYTKSQVPSVIWKTSSPASPPSVHLRNTAKTARVCNVFSGEWVPNPSAPYYTNESCWAIHEHQNCRKYGRPDTQFLKWRWKPNDCELPLFNAAQFLEILRGKSLAFVGDSVARNHMQSLMCLLNR >DRNTG_30100.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31163913:31164684:-1 gene:DRNTG_30100 transcript:DRNTG_30100.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEADQNGPTNTGLFNLYLDEPDDWITKVNEFDYVIMSAGQWFFRPMIFSEKKQVIGCHFCLQENITDLTKYYGYRMAFRTAFQAMNELRGFKGMLFLRTFAPSHFEGGDWNKGGNCLRRLPLRNNETRLDGFNLELYMIQIEEFRRAEKQGRDRGMKYGLMDITEAMLLRPDGHPSKYGHWPNENVTLYNDCVHWCLPGPIDAFNDFLLHMLIDIKKKE >DRNTG_30100.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31163847:31165234:-1 gene:DRNTG_30100 transcript:DRNTG_30100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYHYYTVYTKSQVPSVIWKTSSPASPPSVHLRNTAKTARVCNVFSGEWVPNPSAPYYTNESCWAIHEHQNCRKYGRPDTQFLKWRWKPNDCELPLFNAAQFLEILRGKSLAFVGDSVARNHMQSLMCLLNRVSFPVDVSQTSDERFKRWSYASHSFTLAFFLSPFLVKTMEADQNGPTNTGLFNLYLDEPDDWITKVNEFDYVIMSAGQWFFRPMIFSEKKQVIGCHFCLQENITDLTKYYGYRMAFRTAFQAMNELRGFKGMLFLRTFAPSHFEGGDWNKGGNCLRRLPLRNNETRLDGFNLELYMIQIEEFRRAEKQGRDRGMKYGLMDITEAMLLRPDGHPSKYGHWPNENVTLYNDCVHWCLPGPIDAFNDFLLHMLIDIKKKES >DRNTG_30100.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31163913:31165234:-1 gene:DRNTG_30100 transcript:DRNTG_30100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYHYYTVYTKSQVPSVIWKTSSPASPPSVHLRNTAKTARVCNVFSGEWVPNPSAPYYTNESCWAIHEHQNCRKYGRPDTQFLKWRWKPNDCELPLFNAAQFLEILRGKSLAFVGDSVARNHMQSLMCLLNRVSFPVDVSQTSDERFKRWSYASHSFTLAFFLSPFLVKTMEADQNGPTNTGLFNLYLDEPDDWITKVNEFDYVIMSAGQWFFRPMIFSEKKQVIGCHFCLQENITDLTKYYGYRMAFRTAFQAMNELRGFKGMLFLRTFAPSHFEGGDWNKGGNCLRRLPLRNNETRLDGFNLELYMIQIEEFRRAEKQGRDRGMKYGLMDITEAMLLRPDGHPSKYGHWPNENVTLYNDCVHWCLPGPIDAFNDFLLHMLIDIKKKE >DRNTG_30100.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31163913:31165255:-1 gene:DRNTG_30100 transcript:DRNTG_30100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLFERRRCLLLCLTLISFVLLTIMAPYHYYTVYTKSQVPSVIWKTSSPASPPSVHLRNTAKTARVCNVFSGEWVPNPSAPYYTNESCWAIHEHQNCRKYGRPDTQFLKWRWKPNDCELPLFNAAQFLEILRGKSLAFVGDSVARNHMQSLMCLLNRVSFPVDVSQTSDERFKRWSYASHSFTLAFFLSPFLVKTMEADQNGPTNTGLFNLYLDEPDDWITKVNEFDYVIMSAGQWFFRPMIFSEKKQVIGCHFCLQENITDLTKYYGYRMAFRTAFQAMNELRGFKGMLFLRTFAPSHFEGGDWNKGGNCLRRLPLRNNETRLDGFNLELYMIQIEEFRRAEKQGRDRGMKYGLMDITEAMLLRPDGHPSKYGHWPNENVTLYNDCVHWCLPGPIDAFNDFLLHMLIDIKKKE >DRNTG_30100.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31163779:31165234:-1 gene:DRNTG_30100 transcript:DRNTG_30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYHYYTVYTKSQVPSVIWKTSSPASPPSVHLRNTAKTARVCNVFSGEWVPNPSAPYYTNESCWAIHEHQNCRKYGRPDTQFLKWRWKPNDCELPLFNAAQFLEILRGKSLAFVGDSVARNHMQSLMCLLNRVSFPVDVSQTSDERFKRWSYASHSFTLAFFLSPFLVKTMEADQNGPTNTGLFNLYLDEPDDWITKVNEFDYVIMSAGQWFFRPMIFSEKKQVIGCHFCLQENITDLTKYYGYRMAFRTAFQAMNELRGFKGMLFLRTFAPSHFEGGDWNKGGNCLRRLPLRNNETRLDGFNLELYMIQIEEFRRAEKQGRDRGMKYGLMDITEAMLLRPDGHPSKYGHWPNENVTLYNDCVHWCLPGPIDAFNDFLLHMLIDIKKKES >DRNTG_10316.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27674072:27682780:1 gene:DRNTG_10316 transcript:DRNTG_10316.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARGRSVLEILMEGHGVSGGGQSIFVTMKFAILPIAKVFVMCFMGFLMASKYVNILPSNGRKLLNGLVFSLLLPCLIFSQLGQAITFEKLLEWWFIPVNVILATISGSLIGLIVACIIKPPYPYFKFTIIHIGIGNIGNIPLVLIAALCRDKTNPFGDSRQCNQDGNAYISFGQWVGAIVLYTYVFHMLAPPPGRTYDGIDKEESLPTKNPVNVSAPEQLLPLLTEEPQTIELDTSKSAKIIGYLCYLAEKFKIKQIFQAPIIASILAIVIGSIPFLKNFILTDNAPLFFFTDSCLMLGEAMIPCILLALGGNLVDGPGVGSSRLGFRTTAAIISARLLLIPPAGLGIVTLADKLGFIPKGDKMFKFVLLLQHTMPTSVLSGAVANLRGCGKEAASILFWVHIFAIFSMSGWIVLYMDLLF >DRNTG_10316.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27674072:27682780:1 gene:DRNTG_10316 transcript:DRNTG_10316.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSARGRSVLEILMEGHGVSGGGQSIFVTMKFAILPIAKVFVMCFMGFLMASKYVNILPSNGRKLLNGLVFSLLLPCLIFSQLGQAITFEKLLEWWFIPVNVILATISGSLIGLIVACIIKPPYPYFKFTIIHIGIGNIGNIPLVLIAALCRDKTNPFGDSRQCNQDGNAYISFGQWVGAIVLYTYVFHMLAPPPGRTYDGIDKEESLPTKNPVNVSAPEQLLPLLTEEPQTIELDTSKSAKIIGYLCYLAEKFKIKQIFQAPIIASILAIVIGSIPFLKNFILTDNAPLFFFTDSCLMLGEAMIPCILLALGGNLVDGPGVGSSRLGFRTTAAIISARLLLIPPAGLGIVTLADKLGFIPKGDKMFKFVLLLQHTMPTSVLSGAVANLRGCGKEAASILFWVHIFAIFSMSGWIVLYMDLLF >DRNTG_14049.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4906387:4910053:-1 gene:DRNTG_14049 transcript:DRNTG_14049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGSEGKCSTRSEDGEYAYPFDSDSLDDDDADEVEEGDSSFRKSFSYGTLASANFYGGPVCSDLSIKGDYEEWIPYSHRRSDVGLSNLDQATKPISDQPLRQTSKRSILNWKKRKLSFRLPKAKGEPLLKKGNGDEGGDDIDFDRRQLTSSDESYNGFKADDDGSGQRSSFSEFGDDNFVVGSWESKKFESRDGQMKLFTQVFFASIDQRSERAAGESACTALVAVIADWFQSNVDMMPIKSQFDSLIREGSLEWRNLCDNQNYRELFPDKHFDLETVLQAKIRPLSVNPGKSFIGFFRPEGTTDNNSGFDFLHGAMSFDSIWDEISQAGSDECPVNGSPQLYIVSWNDHFFVLKVERDAYYIIDTLGERLYEGCSQAYILKFDENTLIYKTQEEEKSASSEAGGADKQPATQENNTKCSTREDATNNGAIEEEIVCRGKEACKEYIKSFLAAIPIRELQADINKGLRASTPLHHRLQIEFHYTEASKEIVPVTPMSVEPIPEPEAAVTFAQSANLEVEVV >DRNTG_17599.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7506049:7516861:1 gene:DRNTG_17599 transcript:DRNTG_17599.7 gene_biotype:protein_coding transcript_biotype:protein_coding METMLKPHTGSFLFKIASKMAPKVVMFLPRNVDLNQLAELALSATPPWSLEVERNFLNGKLKAITAYFENTAK >DRNTG_17599.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7506049:7516861:1 gene:DRNTG_17599 transcript:DRNTG_17599.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNIGARESIARHHASRCGNGTVVDCFAGVGGNSIQFAFKSNHVIAIDIDSQRIDYAQHNAAIYGVSDKIDFINADFFQIAHCLKGDSVFLSPPWGGPDYAKVQKYDMETMLKPHTGSFLFKIASKMAPKVVMFLPRNVDLNQLAELALSATPPWSLEVERNFLNGKLKAITAYFENTAK >DRNTG_17599.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7506049:7516861:1 gene:DRNTG_17599 transcript:DRNTG_17599.5 gene_biotype:protein_coding transcript_biotype:protein_coding METMLKPHTGSFLFKIASKMAPKVVMFLPRNVDLNQLAELALSATPPWSLEVERNFLNGKLKAITAYFENTAK >DRNTG_17599.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7506049:7516861:1 gene:DRNTG_17599 transcript:DRNTG_17599.6 gene_biotype:protein_coding transcript_biotype:protein_coding METMLKPHTGSFLFKIASKMAPKVVMFLPRNVDLNQLAELALSATPPWSLEVERNFLNGKLKAITAYFENTAK >DRNTG_17599.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7506049:7516861:1 gene:DRNTG_17599 transcript:DRNTG_17599.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATESGDETPAIRALGSLFKTRDYYLWDDAVVDTSVSCEGFADRYKDCCRNLDAKSQIGPITNEYPTSEDLELAQEREALGLPLAFSTAKEKRNLTTRRKRHGAQTYGKSVSVSKDIENKVSEPFGINESEEVALTRVFHDRTNNNEYILLKEGENDAICFGIDVKHVGEVACSDRELSSVSTVVLDKFEKQRFFVDVTDLRVNNTIDKELTLDGVELGKNIETLECHLSVGIDDCPEMILEDAVLGLHLERESSNKGNESGCSEVSCVSYNGTCVETDCVDTKYSKPFHNSPSRDLDEYRDQECPDNSVCYEFGDWKVAWDTFYMRYYFYNCQTQESTWSPPEGLEFAFSSGSTSNSNDMTVCAAEDDNNLGCACDTLCYHGASSCRTGKLQENICGTEDLGHSSAEVLFGLNETSLTSGFKDELDASQCMAKEENDTCVKFQDVHDVLLQHAVNNSLSANNEDGEFHCPEIFISMTDGETLIQSATMRRKKKLRKRQSTLTMEGDVGDFPANVAKYWCQRYLLFSQFDDGIKMDEEGWFSVTPESIARHHASRCGNGTVVDCFAGVGGNSIQFAFKSNHVIAIDIDSQRIDYAQHNAAIYGVSDKIDFINADFFQIAHCLKGDSVFLSPPWGGPDYAKVQKYDMETMLKPHTGSFLFKIASKMAPKVVMFLPRNVDLNQLAELALSATPPWSLEVERNFLNGKLKAITAYFENTAK >DRNTG_17599.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7512314:7516861:1 gene:DRNTG_17599 transcript:DRNTG_17599.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDVGDFPANVAKYWCQRYLLFSQFDDGIKMDEEGWFSVTPESIARHHASRCGNGTVVDCFAGVGGNSIQFAFKSNHVIAIDIDSQRIDYAQHNAAIYGVSDKIDFINADFFQIAHCLKGDSVFLSPPWGGPDYAKVQKYDMETMLKPHTGSFLFKIASKMAPKVVMFLPRNVDLNQLAELALSATPPWSLEVERNFLNGKLKAITAYFENTAK >DRNTG_17599.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7506049:7516861:1 gene:DRNTG_17599 transcript:DRNTG_17599.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEENDTCVKFQDVHDVLLQHAVNNSLSANNEDGEFHCPEIFISMTDGETLIQSATMRRKKKLRKRQSTLTMEGDVGDFPANVAKYWCQRYLLFSQFDDGIKMDEEGWFSVTPESIARHHASRCGNGTVVDCFAGVGGNSIQFAFKSNHVIAIDIDSQRIDYAQHNAAIYGVSDKIDFINADFFQIAHCLKGDSVFLSPPWGGPDYAKVQKYDMETMLKPHTGSFLFKIASKMAPKVVMFLPRNVDLNQLAELALSATPPWSLEVERNFLNGKLKAITAYFENTAK >DRNTG_17599.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7506049:7516861:1 gene:DRNTG_17599 transcript:DRNTG_17599.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNIGARESIARHHASRCGNGTVVDCFAGVGGNSIQFAFKSNHVIAIDIDSQRIDYAQHNAAIYGVSDKIDFINADFFQIAHCLKGDSVFLSPPWGGPDYAKVQKYDMETMLKPHTGSFLFKIASKMAPKVVMFLPRNVDLNQLAELALSATPPWSLEVERNFLNGKLKAITAYFENTAK >DRNTG_24506.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19050623:19053804:1 gene:DRNTG_24506 transcript:DRNTG_24506.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase iron-protein subunit (SDHB) [Source: Projected from Oryza sativa (Os08g0120000)] MATTRLLLRRCSAATATTAAGRSLPWRLVPSKLQSSQAEPPAKPKRTKTFSIYRWNPDNPSKPYLHNYEIDLNDCGPMVLDALIKIKNEMDPSLTFRRSCREGICGSCAMTIDGDNGLACLTKIQASGGASTITPLPHMFVVKDLVVDMTNFYNQYKSVEPWLKRKDPPPQPGKEIPQSKKDRAKLDGMYECILCACCSTSCPSYWWNPETYLGPAALLHANRWIQDSRDQYTKERLDAVNDEFKLYRCHTIKNCAHACPKGLNPALQIESIKKLQLQ >DRNTG_15841.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25123045:25123606:-1 gene:DRNTG_15841 transcript:DRNTG_15841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIESHREGAEIVKGDAACKKKAAEVLGELGLPNGLFPLDDIQEFGYNRAGGFIWLVQKKKKEHTFKKIKQVVSYATEVTAFVEKGKMMKISGVKTRELLLWLSVVEMYIEDPSSGKITFKTGTGLSDSFPVSAFELE >DRNTG_12684.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13740298:13742458:-1 gene:DRNTG_12684 transcript:DRNTG_12684.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERSQCGLQCTDFGGNLAKVQLQVMTRGNPSSLIEGDPELERTLRRKGKEPVQEQSILADLEVEGSENMAEQNEQQRTLSDYARPSTKNSLFLLIWKLKNHPNFSWSNQGSQKAMGPPGFQQ >DRNTG_10340.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:12800244:12800408:1 gene:DRNTG_10340 transcript:DRNTG_10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEESIKVGDEAPPKPQRPPLQTLAVSPLK >DRNTG_00784.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:10422226:10427023:-1 gene:DRNTG_00784 transcript:DRNTG_00784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSRTLPPRIHDSVYDENRDARSYFQVETHAKPCGDIEAINNQPEHSKCFDDDGRLKRTGNVWTASSHIITAVIGSGVLSLAWAIAQLGWIAGPMVMVLFAFVIYYTSNLLADCYRSGDPLTGKRNPTYMDAVRANLSSTKVTLCGSIQYINLFGTAIGYTIAASISMMAVKRSNCFHASGGKNPCHMSSNMYMIMFGIIEIIFSQIPDFDQVWWLSIVAAVMSFTYSTVGLSLGISMVAENGHFGGSAMGITIGTVTSSGTVITPTQKIWRNLQALGDIAFAYSYSIILLEIQDTVKSPPAENKTMKKATLLSIIVTTTFYLLCGCMGYAAFGDDAPGNLLTGFGFYNPYWLLDIANVAIVVHLVGAYQVYCQPLFAFVEKYCIQRWPKSEFITHDYEVKIPLIGKYKLNLFRLVWRTVFVVVVTVISMLMPFFNDVVGLLGAFSFWPLTVYFPVEMYIEQKKIRKWTGRWLGLQLLSVTCLIVSLAAASGSVAGVVFDLKNYKPFRSTY >DRNTG_02960.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22581426:22582545:1 gene:DRNTG_02960 transcript:DRNTG_02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKMSPLLSFFILTLSSPMVASSRILKSEIAMAERYEQWVVDYGRTYKDADEKQLRFEIFKLNVEFIESFNSAENHKFKLGINQFADLTNKEFKAMYTGFKPPTSDNRSKTNFKYANFTDVPESVDWRDKGAVTPIKDQGACAMEGITKLSTGNLISLSEQELVDCDIHGNDTGCQGGYMDNAFEFIIENGGLTTEENYPYNATDDVCNTQKAASHDASIKGFEDVPANSEDDLLKAVANQPVSVAIDASGLFQFYFSGVLSPIGCGNELDHGVAAVGYGTTSDGTKYWIVKNSWGESWGEQGYVRMQRDVDVEGGTCGITMLASYPTT >DRNTG_13864.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20568998:20576972:1 gene:DRNTG_13864 transcript:DRNTG_13864.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNPYVIAFTALASGTSWPDLAASKISAKRQITADSAIANITCSNSVNIYIGIGIPWLIDTVYNFFMYSEPLHYTLTKQKALAFLYLYSLRHRLAALHSAVLFLAPSLVDRAVGLVNGSVLHASLGRLCHSFLTQSLWFHLKFHYIQMYQHG >DRNTG_13864.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20567815:20576972:1 gene:DRNTG_13864 transcript:DRNTG_13864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNPYVIAFTALASGTSWPDLAASKISAKRQITADSAIANITCSNSVNIYIGIGIPWLIDTVYNFFMYSEPLHYTLTKQKALAFLYLYSLRHRLAALHSAVLFLAPSLVDRAVGLVNGSVLHASLGRLCHSFLTQSLWFHLKFHYIQMYQHG >DRNTG_25480.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1644403:1646751:-1 gene:DRNTG_25480 transcript:DRNTG_25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGLCTRRVVVDARQHMLGRLASIIAKELLNGQRIVVVRCEEICMSGGLVRQKMKFLRFLRKRMNTKPSHGPIHFRSPAKILWRTIRGMIPHKTKRGAAALARLKAYEGVPPPYDKMKRMVIPDALKVLRLQPGHKYCLLGRLSKEVGWNHYDTIKELEEKRKQRAQVAYERRKQLTKLRLKAEKAVEEKLGTQLGILAPLKY >DRNTG_16026.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17503432:17506777:1 gene:DRNTG_16026 transcript:DRNTG_16026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVIMGTGEKEPIFHDFLGMSCAETPVTVPADKGRENRASDMEVEASSAGGHALLSATSDLVSERQGVNTCEVFHFNGRKNTMSGPEISTSFSGRKRSSSDSAYMGMVSDRIIPVGCDSLESSRLMKMLGKETTSERLGKSHDDETVFSMQPPPRPTSLILHPPTGSRPDSLIYKWERSLPANPGQMSHYPSRFAQAGNFGEKPSSSSYPYRDAVVTGASLISQAAADEGSRTGIKSSGALTVANASGGVGERNSAVVLPCSSSRPKAPLVIEQDPSNAPSRHTMASASRQMTIFYAGQAHVFDDVHPNKADVIMALAGSNGVSWSTTYSPKPGVPAPPPEAKLPSRENDIWNTFASSTQGNSSRPLPGQVISRVPVITDSPKLKTAETRSTTIQAAGTDAEGKRDV >DRNTG_16026.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17503432:17506777:1 gene:DRNTG_16026 transcript:DRNTG_16026.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRQMTIFYAGQAHVFDDVHPNKADVIMALAGSNGVSWSTTYSPKPGVPAPPPEAKLPSRENDIWNTFASSTQGNSSRPLPGQVISRVPVITDSPKLKTAETRSTTIQAAGTDAEGKRDV >DRNTG_16026.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17503432:17506777:1 gene:DRNTG_16026 transcript:DRNTG_16026.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRQMTIFYAGQAHVFDDVHPNKVSLIFFVHATRLMRLE >DRNTG_18708.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14744196:14745337:1 gene:DRNTG_18708 transcript:DRNTG_18708.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENYHSGRKSYHLESRVVRPSMKERNQCGSQCTDFGGNLAKVQTRRHRTRASPTCLSLKKQVIHDVNVCLFALLRWKYGFGKLFRSDTVASTVAAFVAGRENKSSENPHGRVEIIHSRVKIPHGRVKHPRPCSRPIPALFKAESTPILGFSSQDHRHDHRGGFFMDSLFFTFYFFNCT >DRNTG_20614.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20693813:20694628:1 gene:DRNTG_20614 transcript:DRNTG_20614.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNRLNNVVGLMNSIIWQTEEKLNKYTCQCINLQ >DRNTG_00661.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16333979:16334495:1 gene:DRNTG_00661 transcript:DRNTG_00661.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQNSGVVNTSEVGSVNYYGRLRDIIELDYYGNFKVVLFKCDWVDVHHNTGIRQDEFGFTLVNFSRLIHTGEKLEHDPYVFSSQVEQVFYVQDLKNENWSIVIKTRPRDLFDMGDIDH >DRNTG_00661.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16333787:16334495:1 gene:DRNTG_00661 transcript:DRNTG_00661.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQNSGVVNTSEVGSVNYYGRLRDIIELDYYGNFKVVLFKCDWVDVHHNTGIRQDEFGFTLVNFSRLIHTGEKLEHDPYVFSSQVEQVFYVQDLKNENWSIVIKTRPRDLFDMGDIDH >DRNTG_01540.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000086.1:7045:8132:1 gene:DRNTG_01540 transcript:DRNTG_01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTGLLNFCTPVRLRRNPRGMAPHVKKNEVKCLRVTPPEPIQMEFSKPEHQARFERLSALGFGQTCFVDLQALRNIQRCDELADEIDNMLAVGSWRRLLTVREPAYSALTLEVLVSFELRYGRIDTMDAIKFRAFGHPFSMSITKFSIRMGLYDVVYTSTEEYERLPVDFPVSVTPNYVYRVLCGHGQIELGMSKATSLSQLSYRYLHAVLSRSV >DRNTG_24089.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001287.1:15406:16963:1 gene:DRNTG_24089 transcript:DRNTG_24089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALERFYGSDITSHKAILERVKRVSREVAEHPLSPPLQVMTRGNPSILIEREPELDRTLRRKGKEHVQEQSNLAKLEVEESENMAEQNA >DRNTG_15835.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25091090:25091494:-1 gene:DRNTG_15835 transcript:DRNTG_15835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIESHREGAEIVKGDAACKKKAAEVLGELGLPNGLFPLDDIQEFGYNRAGGFIWLVQKKKKEHTFKKIKQVVSYATEVTAFVEKGKMMKISGVKTRELLLWLSVVEMYIEDPSSGKITFKT >DRNTG_09049.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31391337:31395950:-1 gene:DRNTG_09049 transcript:DRNTG_09049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAFHSTCILLFSMLAASAFLGAAESPERKVYIVYMGDSPEGMSSIETLHVSMLDQVLGSGASEQRLIRSYKRSFNAFAAELSEEEMKTIADMNGVVSVFPNEKMQLHTTQSWDFMGFPRNVHRAPTEGEVIIGMLDTGIWPESESFNDDGFGPPPSRWKGICQSSPNFTCNNKIIGARFYLHEGDFGEGDIKSPRDAEGHGSHTSSTAAGNQVRGANLDGLARGTARGGVPSARIAVYKICWIAGGCSYANILAAFDDAIADGVDIISLSVGGSDLFDYFEDPIAIGAYHAMKKGILTSNSAGNSGPGPATVTNVSPWSLSVAASTINRRFVSDVKLGNGQIYEGAAINLFNLGKNMYPLVYGGDVPNTSAGYNGSISRYCGNNTLDRHMVKGKIVLCDYYAGVGPVRAGAAGCIVIDDAFKDTAYSFPLPATVIGLQDGQDVAQYINISSEPMAIIYKSKQRINPTAPFVVSFSSRGPNAITKDILKPDLTAPGVDILAAWSPVETPVPYNIISGTSMACPHATAAAAFVKSFNPTWSPSAIKSALMTTAAPMMASKNTDAEFAYGSGHLNPAKAADPGLVYDACEADYVNMLCSQGYSTKNLRIITGDNSTSCSRNRGSVWDLNYPSFALSFRSGHRFSARYPRTVTNVGLAKSVYRVKVTSPSKLKITVKPNVLTFTHKQEKQRFVVKLSGVLTDEEMFSASIVWTDGIHQVRSPIVVYSS >DRNTG_00542.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30254238:30257273:-1 gene:DRNTG_00542 transcript:DRNTG_00542.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACLSFTATRDRCNHLYFSVAGLRSITVNLSGDTIVRCWVPSRPSPAKPKLLLIHGFGANATWQWTPHIRPLLRAGFDLYVPDLLFFGGSFTSLPARSDSFQAETLMSFMESIGVERFGLVGVSYGGFVGYWMAAMYPEAVERLVLCCAGVCLEERDLEDGLFVVRDVNEAASILLPQTPDRLRRLVSLSFVKPPPAIPSLFLADYIHVMCTDYLQEKTELIHELIKHRRLSDLPKINQPTLIVWGEQDQIFPLELGYRLKRHLDENSQLVIIKEAGHAVNLEKAREFYKHIIAFMLDSSSKSKSKSKATMWHRISSSFRSLSMKNFAPNYPLLSGEKTTKDDSVNPFSS >DRNTG_03609.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:180669:183075:1 gene:DRNTG_03609 transcript:DRNTG_03609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAARAATTAAAAVRAVARAAPASAGRELHVQTQPPVQQQQYPWKEKLERFKGELSKGVWGYWHLGAWKPLALSARKRARLRKEVLLAGEDWPYDPARKEMRKKRKGHKCDRVAAEKRAVTAELMKKMPQMLLDYKKRRWEKKMKEEESKKDDD >DRNTG_22779.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3970185:3971035:1 gene:DRNTG_22779 transcript:DRNTG_22779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMPYLNRINETSTKIYATRTLLFLKEDRTLKPIAIELSLPDPRGEEHGAVNQVYTPAEHGVQGSIWQLAKAYAAVNDSGVHQLISHFLNTHAVIEPFVIATNRQLSVMHPIH >DRNTG_11280.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12228928:12231104:1 gene:DRNTG_11280 transcript:DRNTG_11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLESRRRSDRGSRHTGYKPHGGDGASRYFTAVNQARHDITICYKTEDPQASPTPPSPVTVVPCDPPVSVSSPTTVAAEQTANDTDI >DRNTG_33298.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23778723:23779988:1 gene:DRNTG_33298 transcript:DRNTG_33298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNFQNRDVPPSPNQNFQAGPGSMPQRDASPMANQQAPNTMPPPPPPRDVPATGMPGQGYQGQVPNPQGGYMPNRGDGNPPRAPGYQGTGGPGYQGGSPGYQGGGPGYQGGGYQGGGPGYQGGNPGYQGGGPGYSGGAPGYQGGNTGYQGGSAGYQSSGTGYQGGGVNYQGGGSTYQGGSSGSQGGN >DRNTG_24166.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1387175:1391980:-1 gene:DRNTG_24166 transcript:DRNTG_24166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRDTTDELVDEYMLEMFNPDPYEGLFDQEKSNEEVMMLGSTKEVTSTPGILKKVLRKMKRARRRHRKCSKTVGDVHEPRKLDEPLLEKTHGVVEFPHARGCILRAHPEKAQGRVAAPVDNHANIARPWEISARACEVLQSWADFPESTQGRGLAPVGDLVNLTRAWVFSVTPAETRRLFPPSREDTGRTCPRKPQGASATPVGRGHGRGYFPHARVRSFLASESFSRERTGTCIRPCEALEVRRTGVGNFHTPVWMHRTPKVTRFLDTTILQDLQQGDEFADEIEDLVSDDGWQQLLMIREPAIREFALEVLSSFKFDRSYARFDSVDTIQFRVFGPHHSLSITQFSVLLGLLISACAI >DRNTG_33683.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1321001:1321981:-1 gene:DRNTG_33683 transcript:DRNTG_33683.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNSSMPSFYFLGLQGISVDGTQLDIPPTVFSNVGTIIDSGTVISRLPPEAYSSLRDAFRQKMSNYSEAQATNLLDTCYDLSSYDTVEIPTVSLEFEDGMALDLDASQILFLVNGPSQACLGFAGNKDASDVGIIGNTQQRKFSVVYDVPNQVIGFGQGGCD >DRNTG_33683.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1321057:1325481:-1 gene:DRNTG_33683 transcript:DRNTG_33683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNSSMPSFYFLGLQGISVDGTQLDIPPTVFSNVGTIIDSGTVISRLPPEAYSSLRDAFRQKMSNYSEAQATNLLDTCYDLSSYDTVEIPTVSLEFEDGMALDLDASQILFLVNGPSQACLGFAGNKDASDVGIIGNTQQRKFSVVYDVPNQVIGFGQGGCD >DRNTG_13071.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:964828:973306:-1 gene:DRNTG_13071 transcript:DRNTG_13071.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAAKLERERLEQENAKLMELQQKQKEETRKKKEAEIAARKRQREDEARKEKEKKRRCMQETKKPQRLGGERLHAGKDGKKLRQKAADDKELRKKELTEDGRQQKNFDTHKEVAGCREAAELEPSITEVLDVDDRHGNHSEAHDSVKESNNAVKVHNNLENMQAAIQDRMSFTKVSGDILSYEISPYKDSDDEDGEEDTRRKMKRVPSWARKECLKQLLLSKQHIDPVEVFARKSSFNLSEVLSPRVLRRPLSQLR >DRNTG_13071.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:964828:970630:-1 gene:DRNTG_13071 transcript:DRNTG_13071.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAAKLERERLEQENAKLMELQQKQKEETRKKKEAEIAARKRQREDEARKEKEKKRRCMQETKKPQRLGGERLHAGKDGKKLRQKAADDKELRKKELTEDGRQQKNFDTHKEVAGCREAAELEPSITEVLDVDDRHGNHSEAHDSVKESNNAVKVHNNLENMQAAIQDRMSFTKVSGDILSYEISPYKDSDDEDGEEDTRRKMKRVPSWARKECLKQLLLSKQHIDPVEVFARKSSFNLSEVLSPRVLRRPLSQLR >DRNTG_35251.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3434649:3438442:1 gene:DRNTG_35251 transcript:DRNTG_35251.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEITVIGTRHQIVINEAVDLAKRFCDGCAPRIINGCLRTFVKHQNTTNNNALQSLQSS >DRNTG_35251.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3434649:3438442:1 gene:DRNTG_35251 transcript:DRNTG_35251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAISFSSPFNPCNSSLPSSRRSLILSINPKSPKDLTFASNSRNSLRLSPPLAVAEAMEQAVDASGSTPSGNPSKVDRSGRFCSPRAARELSLLIAYAACLEGSDPVRLFDRRVNAKRDPGYVFDKSSLLRYDHMSFGGAPVEAGTEEEASELMLKNEKDSANEAEVLSAPPKVVYNRFVLRLTRDILGAVVDRWNQHVLVIDKIIPQNWKDEPAGRILELCILHIAMAEITVIGTRHQIVINEAVDLAKRFCDGCAPRIINGCLRTFVKHQNTTNNNALQSLQSS >DRNTG_11089.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30745708:30746910:-1 gene:DRNTG_11089 transcript:DRNTG_11089.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein f [Source:Projected from Arabidopsis thaliana (AT2G42820) UniProtKB/Swiss-Prot;Acc:Q682H0] MGFLGAIARHLDTLIGPAVMLLYPLYASMRAIETPSPLDDQQWLTYWVLYSLITLFELSCYKVLAWFPLWPYMKLVFCFWLVLPIFNGAAYIYENFVRRYVKVGSFVSSSYPEEQRRVLQMMSLDARKSVERYIERYGSEAFDRVIRAAETEAKRH >DRNTG_09087.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6050080:6051672:-1 gene:DRNTG_09087 transcript:DRNTG_09087.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNELRVLNQISVYPSPGCATETTLPLTFFDVMWIHGHPVERIFFYSLPQHYSTTDHFINSIVPSLTSSLSLTLHHFYPLAGKLIRHSPNSNDFAIHCKHGDSVSFTVAESLADFQALSSNHPLPFNEVYTLVPILDKFSDDSKPLLSLQVTFFPNAGISIGIAFHHIAGDGSVFGHFLKSWALSCSSLGSVPLLQPPPVFDKSLVKDPHGCYSLFLQQLFSCPSDQGPGSSKLAVLDDAVCSTFCLGLDQIQALKKNFSTKSKDNKTPSTFTVACAYIWVCYVKAQRYPTKERAHFIFPVDCRGRLKPPLPATFFGNCIRPGLAEEDTEKLIGEDGVLVASEAIMRTIDAFKDDAINDVKEWMEKSIAWVGTGRMLSLAGSPKLGIYESDFGWGRPTRVVITSIQKTGAMSLSERRDEDGVEIGFVLPKDIMDEFSSIFSSDLQLLSASD >DRNTG_09087.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6050011:6051883:-1 gene:DRNTG_09087 transcript:DRNTG_09087.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNELRVLNQISVYPSPGCATETTLPLTFFDVMWIHGHPVERIFFYSLPQHYSTTDHFINSIVPSLTSSLSLTLHHFYPLAGKLIRHSPNSNDFAIHCKHGDSVSFTVAESLADFQALSSNHPLPFNEVYTLVPILDKFSDDSKPLLSLQVTFFPNAGISIGIAFHHIAGDGSVFGHFLKSWALSCSSLGSVPLLQPPPVFDKSLVKDPHGCYSLFLQQLFSCPSDQGPGSSKLAVLDDAVCSTFCLGLDQIQALKKNFSTKSKDNKTPSTFTVACAYIWVCYVKAQRYPTKERAHFIFPVDCRGRLKPPLPATFFGNCIRPGLAEEDTEKLIGEDGVLVASEAIMRTIDAFKDDAINDVKEWMEKSIAWVGTGRMLSLAGSPKLGIYESDFGWGRPTRVVITSIQKTGAMSLSERRDEDGVEIGFVLPKDIMDEFSSIFSSDLQLLSASD >DRNTG_09087.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6050011:6051672:-1 gene:DRNTG_09087 transcript:DRNTG_09087.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNELRVLNQISVYPSPGCATETTLPLTFFDVMWIHGHPVERIFFYSLPQHYSTTDHFINSIVPSLTSSLSLTLHHFYPLAGKLIRHSPNSNDFAIHCKHGDSVSFTVAESLADFQALSSNHPLPFNEVYTLVPILDKFSDDSKPLLSLQVTFFPNAGISIGIAFHHIAGDGSVFGHFLKSWALSCSSLGSVPLLQPPPVFDKSLVKDPHGCYSLFLQQLFSCPSDQGPGSSKLAVLDDAVCSTFCLGLDQIQALKKNFSTKSKDNKTPSTFTVACAYIWVCYVKAQRYPTKERAHFIFPVDCRGRLKPPLPATFFGNCIRPGLAEEDTEKLIGEDGVLVASEAIMRTIDAFKDDAINDVKEWMEKSIAWVGTGRMLSLAGSPKLGIYESDFGWGRPTRVVITSIQKTGAMSLSERRDEDGVEIGFVLPKDIMDEFSSIFSSDLQLLSASD >DRNTG_16442.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7728026:7730619:1 gene:DRNTG_16442 transcript:DRNTG_16442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIKTAQSWFTAEAAPKPSSSLLSDWNSYAASQSSADGSAGPSSALGLDLEAAVRTANEKFTGTFSVVSKGVREIPGSLQNATSNVPSGKSLMYFGLFLASGTFFIFIAFTMFLPVMVLMPQKFAICFTIGCAFIIGSFFALKGPKNQLEHMSSKERLPFTLGFIGSMVGTIYVSMVLHSYILSVIFSVLQVLALSYYSVSYFPGGSTGLKFLSSTLMSSVLRCFGR >DRNTG_32680.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1710885:1712721:-1 gene:DRNTG_32680 transcript:DRNTG_32680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 49 [Source:Projected from Arabidopsis thaliana (AT5G49060) UniProtKB/Swiss-Prot;Acc:Q9FH28] MFEGFCLIMDSNKDEAMRCVHLAEAALKSGDKQRVLKFIKIAQRLDHNVPIFELLIACENLKSANSTCDAKEMISDYSGEQVRLVREIRRNNSDCYSILGVDKSCSFEEIKKAYKKLSLKVHPDKNKAPGSEEAFKIVCNAFKCLSNEESRSHYDQVSCRKANGFNYDDEYARKSRRRRTAASYDVFDENFNPDEISGSFYYGTKNNVFQTRQVHRAKTVPRRQGEQMFYRDVLFLIVLLQTLFIFLSLLLFLFSSSEPQYSIRKTYAYHVPMLIEKHGIEYFVKSSDFDHQFPHGSDARHKIEENVLKSYKSLLRRNCHIELQRRQRSRFSSLPHCDRLQSLEAT >DRNTG_19099.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:336745:338367:-1 gene:DRNTG_19099 transcript:DRNTG_19099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTIKEIECKSNESIKKPPRWSCGESSTRRPRIPSSGIGYASTEAPLPTFFLRNDDVGAVEPLQNSSQYPSKP >DRNTG_22940.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16988575:16997028:1 gene:DRNTG_22940 transcript:DRNTG_22940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSEIEEYFTVLVNMDMSLHSMEVVNRLTTAVELPTEFVHMYITNCISSCENIKDKYMQNRLVRLVCFFLQSLIRNKIINVQDLFIEVQAFCIEFSRIREAAGLFRLLKTLE >DRNTG_22940.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16988575:16997028:1 gene:DRNTG_22940 transcript:DRNTG_22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSEIEEYFTVLVNMDMSLHSMEVVNRLTTAVELPTEFVHMYITNCISSCENIKDKYMQNRLVRLVCFFLQSLIRNKIINVQDLFIEVQAFCIEFSRIREAAGLFRLLKTLE >DRNTG_02220.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1194861:1197634:1 gene:DRNTG_02220 transcript:DRNTG_02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKSPAYQVLFVSAGASHSVALLSENVVCCWGRNEDGQLGHGDAEDRLIPTLLHALDNAGIVSIVCGADHTTAYSESKLQVYSWGWGDFGRLGHGNSSDVFTPQPIKALQGIKIKQIACGDSHCLSVTMDGEVQSWGRNQNGQLGLGTTEDSLVPQKIQSFQGIPVKMVAAGAEHTAAVTEDGELYGWGWGRYGNLGLGDRNDRLLPEKVSSIDGIRMVIVACGWRHTITVSSSGSLYTYGWSKYGQLGHGDLEDHLVPHQVEALKHNCISQISGGWRHTMALTSDGKLYGWGWNKFGQVGVGDDADHCSPVQVKFPDEQKVVNISCGWRHTLAVTERKNIFSWGRGTSGQLGHADTLDRNTPKMIEILSADGSACKQIETSKTEEVSGKAWVSPSDRYAIVPDDKVSKGGDANVPGNDAKRIRI >DRNTG_33413.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1593490:1595361:1 gene:DRNTG_33413 transcript:DRNTG_33413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEKEEGSSSEPMVIRTRCHSIAHCFIAMLIWLAAIHLNILLLLLSSFLFFSSSTHAALLIVGLLLVLMAIPLNENSKLGQAFARYVGRYVPGYFPMTLHIEETKAFNPNKSYVLALEPHSVFPLGSLSLLSITGLMPLAKTKGLVSSAFHSGVLHSIP >DRNTG_16193.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12442999:12443516:-1 gene:DRNTG_16193 transcript:DRNTG_16193.2 gene_biotype:protein_coding transcript_biotype:protein_coding IDDEDLNIPVEIIQEEKNNDNNNTETLLD >DRNTG_16193.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12441430:12443516:-1 gene:DRNTG_16193 transcript:DRNTG_16193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKSIKEKSKINSSNIRRRSTKGLGEAMDLVMGLEGPLMSTLQHLMDIPDELDKSMTPADVKELP >DRNTG_10500.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6235953:6238324:-1 gene:DRNTG_10500 transcript:DRNTG_10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFDCHKRNPDIGIIELYVEFSAATFEGAHSQQQRAPNDEVQLNLRWERISSPCQVNKPERLCTEWRAKDDPPSNNYFTNSQHLQGGISYFHDTHETEFGQYCRSSRDDEEDDGDSFGKDTEASVDDIQLEECNLEDIPMTGHNFMMAPMQPPAHMRTLDLEAMSAQEFMEYPLLYADTLTGATTNGDLHTLHFPCRHVLAACSYICLHWEEYVDNAYRLQTVFNVYRKEFEPVSNEGYWNPYNGPRLRPNITMRRPTKGRPKSTRIHNEMDITEGV >DRNTG_18549.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4509848:4511825:-1 gene:DRNTG_18549 transcript:DRNTG_18549.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFATSYLFLMIGRFVAGIGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFSASPPTPPSSLPSASPSTPPSSPSLLQDH >DRNTG_18549.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4509848:4511825:-1 gene:DRNTG_18549 transcript:DRNTG_18549.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFATSYLFLMIGRFVAGIGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFSASPPTPPSSLPSASPSTPPSSPSLLQDH >DRNTG_18549.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4509848:4511825:-1 gene:DRNTG_18549 transcript:DRNTG_18549.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFATSYLFLMIGRFVAGIGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFSASPPTPPSSLPSASPSTPPSSPSLLQDH >DRNTG_18549.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4509848:4511825:-1 gene:DRNTG_18549 transcript:DRNTG_18549.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFATSYLFLMIGRFVAGIGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFSASPPTPPSSLPSASPSTPPSSPSLLQDH >DRNTG_11200.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2244335:2247538:1 gene:DRNTG_11200 transcript:DRNTG_11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTTAERGGGKRRQRRHLGVGEGLERCPNFGRG >DRNTG_11200.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2244335:2247538:1 gene:DRNTG_11200 transcript:DRNTG_11200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTTAERGGGKRRQRRHLGVGEGLERCPNFGRG >DRNTG_11200.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2244335:2247538:1 gene:DRNTG_11200 transcript:DRNTG_11200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTTAERGGGKRRQRRHLGVGEGLERCPNFGRG >DRNTG_30773.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3677442:3679514:1 gene:DRNTG_30773 transcript:DRNTG_30773.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMKEQGKGKGKDRGKRHSSLHHAMLQRSIRTSAALAPIIQSSNEAPTSTPEVQINLPTISDHSPTPSNPVCGGLLMSENDGSTKSSSIGPSVGLLYPLNPNDRVHLTPINVE >DRNTG_30773.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3675460:3678959:1 gene:DRNTG_30773 transcript:DRNTG_30773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEKTKDNIKARKDLELYCRWPQLHLIESNGQTFKPKAFYCLSKEQKKCVCAWVKQLRLPDGFASNIAHYVNEAQSQLYGMKSHDCHVFMQRLLPIAFRGLLPNSIWDVITELSHFFRDICSTENHFEHMILFEGNIIETICKMEKIFPPGFFDSMEHLPIHLPYEAKVGGPVQYRWMYLFERVLNLAS >DRNTG_06644.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1654534:1655947:-1 gene:DRNTG_06644 transcript:DRNTG_06644.10 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGFKVVVLEGRKRCGGRVYTKKMEGASKSAAADLGGSVLTGTLGNPLGIIAKQLGYSLHKVRDKCPLYRPDGKSVDSEVDMKVENAFNRLLDKASRLRQCMGEVSMDVSLGAALETFREVYGDAVTEEEMSLFNWHLANLEYANAGLLSKLSLAFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPILYEKTVHTIRYGGDGVQVIAGGQVYEGDMALCTVPLGVLKNGLIKFMPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWSTELDTFGHLSEDPSRRG >DRNTG_06644.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1652305:1655947:-1 gene:DRNTG_06644 transcript:DRNTG_06644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGFKVVVLEGRKRCGGRVYTKKMEGASKSAAADLGGSVLTGTLGNPLGIIAKQLGYSLHKVRDKCPLYRPDGKSVDSEVDMKVENAFNRLLDKASRLRQCMGEVSMDVSLGAALETFREVYGDAVTEEEMSLFNWHLANLEYANAGLLSKLSLAFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPILYEKTVHTIRYGGDGVQVIAGGQVYEGDMALCTVPLGVLKNGLIKFMPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWSTELDTFGHLSEDPSRRGEFFFCSIVMRPWQVVRFLIALVAGEAAHNFESMPPTDAVALVLQILRGIFEPQGINVPDPLQSVCTRWGTDSFSLGSYSNVAVGATGDDYDILAETVGDGRLFFAGEATTRRYPATMHGAFLSGLREAANMAHHANARALKNEKSTGAQL >DRNTG_06644.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1652305:1653091:-1 gene:DRNTG_06644 transcript:DRNTG_06644.13 gene_biotype:protein_coding transcript_biotype:protein_coding SAAVLRVTLGGSRKKGNNDGLKSDQQHSNKVLFQQLQSHYNQQQQIHLYTLLTRQQALDLREVRGGDEMRLNYLCEKLGIKLVGRRGLGPSADSVIASIRADRSNRRTSSMSLE >DRNTG_06644.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1654534:1655828:-1 gene:DRNTG_06644 transcript:DRNTG_06644.11 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGFKVVVLEGRKRCGGRVYTKKMEGASKSAAADLGGSVLTGTLGNPLGIIAKQLGYSLHKVRDKCPLYRPDGKSVDSEVDMKVENAFNRLLDKASRLRQCMGEVSMDVSLGAALETFREVYGDAVTEEEMSLFNWHLANLEYANAGLLSKLSLAFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPILYEKTVHTIRYGGDGVQVIAGGQVYEGDMALCTVPLGVLKNGLIKFMPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWSTELDTFGHLSEDPSRRG >DRNTG_06644.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1654187:1655947:-1 gene:DRNTG_06644 transcript:DRNTG_06644.8 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGFKVVVLEGRKRCGGRVYTKKMEGASKSAAADLGGSVLTGTLGNPLGIIAKQLGYSLHKVRDKCPLYRPDGKSVDSEVDMKVENAFNRLLDKASRLRQCMGEVSMDVSLGAALETFREVYGDAVTEEEMSLFNWHLANLEYANAGLLSKLSLAFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPILYEKTVHTIRYGGDGVQVIAGGQVYEGDMALCTVPLGVLKNGLIKFMPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWSTELDTFGHLSEDPSRRGEFFFCSIVMRPWQVVRFLIALVAGEAAHNFESMPPTDAVALVLQILRGILLYFNY >DRNTG_06644.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1653268:1655947:-1 gene:DRNTG_06644 transcript:DRNTG_06644.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGFKVVVLEGRKRCGGRVYTKKMEGASKSAAADLGGSVLTGTLGNPLGIIAKQLGYSLHKVRDKCPLYRPDGKSVDSEVDMKVENAFNRLLDKASRLRQCMGEVSMDVSLGAALETFREVYGDAVTEEEMSLFNWHLANLEYANAGLLSKLSLAFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPILYEKTVHTIRYGGDGVQVIAGGQVYEGDMALCTVPLGVLKNGLIKFMPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWSTELDTFGHLSEDPSRRGEFFFCSIVMRPWQVVRFLIALVAGEAAHNFESMPPTDAVALVLQILRGIFEPQGINVPDPLQSVCTRWGTDSFSLGSYSNVAVGATGDDYDILAETVGDGRLFFAGEATTRRYPATMHGAFLSGLR >DRNTG_06644.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1652427:1653091:-1 gene:DRNTG_06644 transcript:DRNTG_06644.15 gene_biotype:protein_coding transcript_biotype:protein_coding SAAVLRVTLGGSRKKGNNDGLKSDQQHSNKVLFQQLQSHYNQQQQIHLYTLLTRQQALDLREVRGGDEMRLNYLCEKLGIKLVGRRGLGPSADSVIASIRADRSNRRTSSMSLE >DRNTG_06644.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1654534:1656034:-1 gene:DRNTG_06644 transcript:DRNTG_06644.9 gene_biotype:protein_coding transcript_biotype:protein_coding MENHQFPPFPNPNPNPNPNLHLHIPFTGGKRKRTGVRRKPPLPLPLPPPPAPLAPNPDLSEEIIVINREATSEALTGLTAGFPADSLTDEEIEFGVVSTVGGIEQVNYILIRNHILSRWRDNVSQYLLKDSIASSIPSHCESLLNSAFNFLLSYGYINFGVSPAIKERIPAEPTKPNVIIIGAGLAGLAAARQLMCFGFKVVVLEGRKRCGGRVYTKKMEGASKSAAADLGGSVLTGTLGNPLGIIAKQLGYSLHKVRDKCPLYRPDGKSVDSEVDMKVENAFNRLLDKASRLRQCMGEVSMDVSLGAALETFREVYGDAVTEEEMSLFNWHLANLEYANAGLLSKLSLAFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPILYEKTVHTIRYGGDGVQVIAGGQVYEGDMALCTVPLGVLKNGLIKFMPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWSTELDTFGHLSEDPSRRG >DRNTG_06644.16.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1653234:1653545:-1 gene:DRNTG_06644 transcript:DRNTG_06644.16 gene_biotype:protein_coding transcript_biotype:protein_coding AGIFEPQGINVPDPLQSVCTRWGTDSFSLGSYSNVAVGATGDDYDILAETVGDGRLFFAGEATTRRYPATMHGAFLSGLREAANMAHHANAR >DRNTG_06644.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1653268:1655828:-1 gene:DRNTG_06644 transcript:DRNTG_06644.6 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGFKVVVLEGRKRCGGRVYTKKMEGASKSAAADLGGSVLTGTLGNPLGIIAKQLGYSLHKVRDKCPLYRPDGKSVDSEVDMKVENAFNRLLDKASRLRQCMGEVSMDVSLGAALETFREVYGDAVTEEEMSLFNWHLANLEYANAGLLSKLSLAFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPILYEKTVHTIRYGGDGVQVIAGGQVYEGDMALCTVPLGVLKNGLIKFMPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWSTELDTFGHLSEDPSRRGEFFFCSIVMRPWQVVRFLIALVAGEAAHNFESMPPTDAVALVLQILRGIFEPQGINVPDPLQSVCTRWGTDSFSLGSYSNVAVGATGDDYDILAETVGDGRLFFAGEATTRRYPATMHGAFLSGLR >DRNTG_06644.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1653234:1656034:-1 gene:DRNTG_06644 transcript:DRNTG_06644.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENHQFPPFPNPNPNPNPNLHLHIPFTGGKRKRTGVRRKPPLPLPLPPPPAPLAPNPDLSEEIIVINREATSEALTGLTAGFPADSLTDEEIEFGVVSTVGGIEQVNYILIRNHILSRWRDNVSQYLLKDSIASSIPSHCESLLNSAFNFLLSYGYINFGVSPAIKERIPAEPTKPNVIIIGAGLAGLAAARQLMCFGFKVVVLEGRKRCGGRVYTKKMEGASKSAAADLGGSVLTGTLGNPLGIIAKQLGYSLHKVRDKCPLYRPDGKSVDSEVDMKVENAFNRLLDKASRLRQCMGEVSMDVSLGAALETFREVYGDAVTEEEMSLFNWHLANLEYANAGLLSKLSLAFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPILYEKTVHTIRYGGDGVQVIAGGQVYEGDMALCTVPLGVLKNGLIKFMPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWSTELDTFGHLSEDPSRRGEFFFCSIVMRPWQVVRFLIALVAGEAAHNFESMPPTDAVALVLQILRGIFEPQGINVPDPLQSVCTRWGTDSFSLGSYSNVAVGATGDDYDILAETVGDGRLFFAGEATTRRYPATMHGAFLSGLREAANMAHHANAR >DRNTG_06644.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1653872:1655947:-1 gene:DRNTG_06644 transcript:DRNTG_06644.7 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGFKVVVLEGRKRCGGRVYTKKMEGASKSAAADLGGSVLTGTLGNPLGIIAKQLGYSLHKVRDKCPLYRPDGKSVDSEVDMKVENAFNRLLDKASRLRQCMGEVSMDVSLGAALETFREVYGDAVTEEEMSLFNWHLANLEYANAGLLSKLSLAFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPILYEKTVHTIRYGGDGVQVIAGGQVYEGDMALCTVPLGVLKNGLIKFMPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWSTELDTFGHLSEDPSRRGEFFFCSIVMRPWQVVRFLIALVAGEAAHNFESMPPTDAVALVLQILRDYWFIVFALYE >DRNTG_06644.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1652362:1653091:-1 gene:DRNTG_06644 transcript:DRNTG_06644.14 gene_biotype:protein_coding transcript_biotype:protein_coding SAAVLRVTLGGSRKKGNNDGLKSDQQHSNKVLFQQLQSHYNQQQQIHLYTLLTRQQALDLREVRGGDEMRLNYLCEKLGIKLVGRRGLGPSADSVIASIRADRSNRRTSSMSLE >DRNTG_06644.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1652220:1653091:-1 gene:DRNTG_06644 transcript:DRNTG_06644.12 gene_biotype:protein_coding transcript_biotype:protein_coding SAAVLRVTLGGSRKKGNNDGLKSDQQHSNKVLFQQLQSHYNQQQQIHLYTLLTRQQALDLREVRGGDEMRLNYLCEKLGIKLVGRRGLGPSADSVIASIRADRSNRRTSSMSLE >DRNTG_06644.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1653268:1656034:-1 gene:DRNTG_06644 transcript:DRNTG_06644.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENHQFPPFPNPNPNPNPNLHLHIPFTGGKRKRTGVRRKPPLPLPLPPPPAPLAPNPDLSEEIIVINREATSEALTGLTAGFPADSLTDEEIEFGVVSTVGGIEQVNYILIRNHILSRWRDNVSQYLLKDSIASSIPSHCESLLNSAFNFLLSYGYINFGVSPAIKERIPAEPTKPNVIIIGAGLAGLAAARQLMCFGFKVVVLEGRKRCGGRVYTKKMEGASKSAAADLGGSVLTGTLGNPLGIIAKQLGYSLHKVRDKCPLYRPDGKSVDSEVDMKVENAFNRLLDKASRLRQCMGEVSMDVSLGAALETFREVYGDAVTEEEMSLFNWHLANLEYANAGLLSKLSLAFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPILYEKTVHTIRYGGDGVQVIAGGQVYEGDMALCTVPLGVLKNGLIKFMPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWSTELDTFGHLSEDPSRRGEFFFCSIVMRPWQVVRFLIALVAGEAAHNFESMPPTDAVALVLQILRGIFEPQGINVPDPLQSVCTRWGTDSFSLGSYSNVAVGATGDDYDILAETVGDGRLFFAGEATTRRYPATMHGAFLSGLR >DRNTG_06644.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1653234:1655947:-1 gene:DRNTG_06644 transcript:DRNTG_06644.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGFKVVVLEGRKRCGGRVYTKKMEGASKSAAADLGGSVLTGTLGNPLGIIAKQLGYSLHKVRDKCPLYRPDGKSVDSEVDMKVENAFNRLLDKASRLRQCMGEVSMDVSLGAALETFREVYGDAVTEEEMSLFNWHLANLEYANAGLLSKLSLAFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPILYEKTVHTIRYGGDGVQVIAGGQVYEGDMALCTVPLGVLKNGLIKFMPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWSTELDTFGHLSEDPSRRGEFFFCSIVMRPWQVVRFLIALVAGEAAHNFESMPPTDAVALVLQILRGIFEPQGINVPDPLQSVCTRWGTDSFSLGSYSNVAVGATGDDYDILAETVGDGRLFFAGEATTRRYPATMHGAFLSGLREAANMAHHANAR >DRNTG_17774.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000910.1:67281:68444:-1 gene:DRNTG_17774 transcript:DRNTG_17774.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAVSQVATRLAGLLSQEFWLLYGVRDEVEWMERELRRIKCFLKDADAKGKRDERMKNWVNEVVQVAYLADDAIDTLLIKVNHDQSHGWLSRIKCR >DRNTG_01706.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23673691:23682005:-1 gene:DRNTG_01706 transcript:DRNTG_01706.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase E-1 [Source:Projected from Arabidopsis thaliana (AT5G63610) UniProtKB/Swiss-Prot;Acc:Q84TI6] MGDGRGGGGGNRPAWLQLYDLVGKIGEGTYGLVFLARPKQRKGCIAIKKFKQSKDGDGVSPTAIREIMLLREINHENVVKLVNVHINHADMSLYLAFDYAEHDLYEIIRHHREKLNHPINQYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGDGEEHGVVKIADFGLARIYQAPLKPLFENGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGVEVKATPNPFQLDQLDKIFKVLGHPTPEKWPTLVNLPFWQTDLQHIQGHKYDNAGLHSYVHLPQKSPAYDLLSKMLEYDPRKRISAAQALEHEYFRLDPLPGRNALVTSQPGEKAVNYPARPVDTTTDFEGTASVQQPMSANAIPGGVTAQSMAGPRPGPRQISMVGMQRMPVGSMAAFNIPSQAGMNLNPGGIPMQRGPAGQAHPQQLRRKDPAMGMQNPGYPQQKRRF >DRNTG_04163.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:463120:467198:1 gene:DRNTG_04163 transcript:DRNTG_04163.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthranilate phosphoribosyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G17990) UniProtKB/Swiss-Prot;Acc:Q02166] MAAFLISPSTYTLKQLDWRRPTPFLSPLPPSRIGLLPTTLRAAAIPSSQSFSLKPKELIESLISRVDLSEEEAEASLDLLLRDGDEALISAFLVLLRAKGETFQEIVGLARAMIKHCRKVPCMGNVVDIVGTGGDGANTVNISTGASVLTAAAGVKVAKQGNRSSSSACGSADVLEALGVNIELGPEGVKKCVEEAGIGFMMAPMYHPAMKVVGPVRKKLKVKTVFNILGPMLNPAQVPFAVVGVYHEDIVVKMAKALQQFGMKRALVVHSSGLDEISPMGSGYYLDVSPDKIDKSHFDPLDFGIPRCSLASLKGGSPEFNAEVLRHVLSGQKGPIADSLILNAAAALLVSGHVSSLAEGVVWAREVQQSGRAVEVLDSWMHLSNKLRGDAVGVAPAPAPAPAPRGGGGGEGVVK >DRNTG_03631.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11751441:11754698:-1 gene:DRNTG_03631 transcript:DRNTG_03631.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGAFGGNRGARPVPPEKGVFPLDHLHECDLEKKEYISCLKSSGHQSDRCRQFSKKYLECRMERNLMAKQDMSELGFREVKETHSSEERNRKDDNQTNPSSGNKTILP >DRNTG_03631.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11751441:11755127:-1 gene:DRNTG_03631 transcript:DRNTG_03631.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGAFGGNRGARPVPPEKGVFPLDHLHECDLEKKEYISCLKSSGHQSDRCRQFSKKYLECRMERNLMAKQDMSELGFREVKETHSSEERNRKDDNQTNPSSGNKTILP >DRNTG_03631.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11751441:11755127:-1 gene:DRNTG_03631 transcript:DRNTG_03631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGAFGGNRGARPVPPEKGVFPLDHLHECDLEKKEYISCLKSSGHQSDRCRQFSKKYLECRMERNLMAKQDMSELGFREVKETHSSEERNRKDDNQTNPSSGNKTILP >DRNTG_33677.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002036.1:774:1401:-1 gene:DRNTG_33677 transcript:DRNTG_33677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEYAMEGLFSVKSDIYSFGVMLLEIVSGKKNTCFQHANNTVNLLGYAWELWTEGRSLELVDPILGDLYPPQEVSRCIHVALLCVQDHAADRPNISAVISMLHNETCLPFPKQPAFYIARDEGPSSDKGDIYTLNNVSFTSMQGR >DRNTG_06413.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29724323:29725624:-1 gene:DRNTG_06413 transcript:DRNTG_06413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVKVASSTILTSPISHPSDVPLTIFDRFALNLHVAVLYAFTPPTSTNADIISALSKTLVHFPTLTANLSTDSHGRPCFTVGGSDGGALVVEATVSSKLKDHLPLTPSPDFRFLHPEVKDAKHLLQVQLNRFQCGGLVIGVTAHHRVADGQSMSTFTVAWGKMVRGVPIDPLPVYDQSWLKPRDPPLVQFNHWGTDFIPLSPQPNEFCVTPVYADPSEITNILLHYTPEFIMKLKAQTNKLSTEKHTTFETLAGYIWRKVTIARQLDDEENTKLTVSVNGRRRLMPPVPPEFFGNMVLNAYSKAKARELIKGGVAMAAGIIRQAVRATGDNYFRSFIDLAEVYRDRDLVPCFEKDGNVLSPNMEIDCWLGLGFNEIDFGGGGKLCGISLTWVPFEGLSILIPSLSQDGGLDVFLSLLEKHAPKFREISHSLD >DRNTG_25994.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1010862:1013177:1 gene:DRNTG_25994 transcript:DRNTG_25994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPFRLRSIWNAWDLRTFMLASLSLQIILIFSGSLRKRKASRWVSLILWSAYLLADWVATFALGILSNTHDDDHDCILPAPALSHSQNDDLLAFWSPFLLLHLGGPDTITAFSLEDNELWMRHLLGLAFQVSLALYVFMRSLPDTRLKVPAFLMFIAGIVKYGERSWSLMCASMDCLRNSMVTPPDPGPNYAKFMEEYASKSAAGLHAEIEVEKEPESKPRALHTNVEEISNVMLLSKAHYFFKTFKRLTVDLILTFHDRNESQSFFLKRSPAQAFKLIEFELSFIYEELFTKSTALHTVAGPFLRLLTFSFILSSLLLFFFTKKQGYVDVDVAITYTLLVGAVALEIYTVGLLFFSEWTFLQLKKLGFYQLSNMIFTIISYFRPTSKPRWSNSMAQYSLISFCLADDEPSAFKTTLEFISMKDTWDKYRHTNYIPVTSELKEFIFEEIKGKASSAEDSKSYKRFSDYRGEWALQKKGYGKELGWSVEVELDESILLWHIATDLCYYSHDENKQSPPVSNRQMSKALSDYMLYLLLVRPFMLTAGIGQVRYGDTCAEAKNFFQRGEAAVLDERQASKMLLSVETKVPPVQVKGDRSKSVLFDACILAKVLLELNVGKRWRIISAVWVEMLCYAASHCRSYFHAKQLSSGGELITLIWFLMAHLGIGEQYRIEAGHARAKLIVEK >DRNTG_27458.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21831296:21831809:-1 gene:DRNTG_27458 transcript:DRNTG_27458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWKDKLLPKIKKVFGSKRESKEAKKREVEGPGAVESSSKEVSLEIETKVKKEEVEEEKMVVAPESKPVKPVEYV >DRNTG_17169.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23723112:23724280:1 gene:DRNTG_17169 transcript:DRNTG_17169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGFHASVFNSDVSQGEPEVVVDSNSLPSDGTHNMEVSDNEL >DRNTG_33393.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:13599:14636:-1 gene:DRNTG_33393 transcript:DRNTG_33393.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSPSPPPPYLYNSPPPPSPSPPPPYHYQSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSESPPPTYHYKSPPPPSPSPPPTYIYASPPPPIHY >DRNTG_33393.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:13772:14636:-1 gene:DRNTG_33393 transcript:DRNTG_33393.2 gene_biotype:protein_coding transcript_biotype:protein_coding PSPSPPPPYLYNSPPPPSPSPPPPYHYQSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSESPPPTYHYKSPPPPSPSPPPTYIYASPPPPIHY >DRNTG_08924.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27378274:27379104:-1 gene:DRNTG_08924 transcript:DRNTG_08924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGSEPQALAPSDPPDHSAKRKPDPDCAVESEANRKSKLLKPLEGEDNGEEEEEANKKEKGVITAVDKGKGKMVVEDEQVDGDDEDSSSDDVSNGGVDGGDEDGSDFDDDPLAEVDLDNILPSRTRRRVPPPPGAYLVVDQDEDEEDDEDEDGEE >DRNTG_15544.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9049045:9050760:1 gene:DRNTG_15544 transcript:DRNTG_15544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITPLDFILQCIKSDTLVCLIADLASLGRLIGMFSRRWDLKKKFRGPLAYIPQVHGLVEVIIPGERGRIHREAGIGQLHGRGQYTRPCGISTWPWIISTRPCGFSVSAIFGRLRTVTAIVIAKVLLQCSATVFDLINFPIPYFHRGNANGHTFTS >DRNTG_28150.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20758145:20760236:-1 gene:DRNTG_28150 transcript:DRNTG_28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICCNKWNPLYVVFSSCILIHACFTNQRKKYSVISKPSTNKVELFTDIKLLTNVNCYSEFINICDKYDLKLRMQVVFDKYILIKYS >DRNTG_29816.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23267391:23269498:-1 gene:DRNTG_29816 transcript:DRNTG_29816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSRRLADRKVAKFQKNITKRGSVPETVVKKGNDYPVGPILIGFFIFVVIGSSLFQIIRTAMSGGMA >DRNTG_00228.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000033.1:52458:55993:-1 gene:DRNTG_00228 transcript:DRNTG_00228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSSSSHVAFLAFPFGSHASLLFSLAGHLAISLPSGTFSFFSTARSNSFLVRSNHLWPTNLRSYGLVPRNFYKRMAAVEEEIGRVTCVVSDGFWGFAGDLAAERGALWVTHWCCCPKALLAHLCTDLLRQSAGPDGDREIAEGLQASGTPFLWSLKEIAWGHLPADFLDYVASRGLIVPWAPQPQVLEHSAVGAFLTHGGWNSVLDGITAGVPMIIKPYLGDQFLDARMVTDVWNIGVGFEGGVIKKDEMVNALNVILGSKRGKTMKEKINELKSMALQGIKPGGSSTKNLDALEKMVASCQHN >DRNTG_02122.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28639539:28645421:-1 gene:DRNTG_02122 transcript:DRNTG_02122.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLRHLNPSTSLLMKEKSCGISVFGVLGRCLSQFSEDEKAGNAKLSSGNDNSSTVGKHYDSELCKDSSDDEDFSRKKWKLELAWLSKALEPALQVYKWALTKGSAEQEMIPPSSRSLADILSNLQLSKAGILDWSLSDLTIGLYLIYLSQASAVKVDDFKGVQILTDHVVQDLIYHVELAKGSYKDNATGLARRSMLLERNILKFVRNSSVLRPGYYIGIDTRNKLVILGIRGTDTVYDLITDVVTLSDQEVSFEGFSTHFGTTEAARWFLRHELGTIRKCLEKHKNFKLRLVGHSLGGAAAALLAIMLRKKSAEELGFDPEIVSAVGFGTPPCVSEDLAGSCSSYVSTVILQDDIIPRLSTASLAKLRNEILETDWMSVLEKDDWKRIVDLVTNAKQVVSSVQEVARKLADYAANISNASDDSRRNKSVHPSKPIAGSNNIVKQDGAMPVELFTPGMIYYLKREIEDDGVNQKSKELYTLWKGHPAKYFQRIRLSGNLISDHKCDNHYYALRDVLKCLPGDETA >DRNTG_02122.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28639539:28645421:-1 gene:DRNTG_02122 transcript:DRNTG_02122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKSCGISVFGVLGRCLSQFSEDEKAGNAKLSSGNDNSSTVGKHYDSELCKDSSDDEDFSRKKWKLELAWLSKALEPALQVYKWALTKGSAEQEMIPPSSRSLADILSNLQLSKAGILDWSLSDLTIGLYLIYLSQASAVKVDDFKGVQILTDHVVQDLIYHVELAKGSYKDNATGLARRSMLLERNILKFVRNSSVLRPGYYIGIDTRNKLVILGIRGTDTVYDLITDVVTLSDQEVSFEGFSTHFGTTEAARWFLRHELGTIRKCLEKHKNFKLRLVGHSLGGAAAALLAIMLRKKSAEELGFDPEIVSAVGFGTPPCVSEDLAGSCSSYVSTVILQDDIIPRLSTASLAKLRNEILETDWMSVLEKDDWKRIVDLVTNAKQVVSSVQEVARKLADYAANISNASDDSRRNKSVHPSKPIAGSNNIVKQDGAMPVELFTPGMIYYLKREIEDDGVNQKSKELYTLWKGHPAKYFQRIRLSGNLISDHKCDNHYYALRDVLKCLPGDETA >DRNTG_02666.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000122.1:46348:46886:-1 gene:DRNTG_02666 transcript:DRNTG_02666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILIQVKTKLSGHQKRITGLAFSNSLGILVSSGADAQLCIWNTDSWEKKKSIAIQLPAGKPPAGDTESSSIPIKFAC >DRNTG_06586.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:566720:567027:1 gene:DRNTG_06586 transcript:DRNTG_06586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPDRCDDGDRDCMKRRMMSEAHLDYIYTQHQEP >DRNTG_10620.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:171291:172675:-1 gene:DRNTG_10620 transcript:DRNTG_10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSGASSGTGTGTGTGTGTGTGSSSHGQNSSFELIDQRKQKRMLSNRESARRSRMRKQKHLDDLMALANELRKENSKFITSLNYTTQQYLAVEADNSVLRTQMMELTNRLQSLNEIIQFMSLNNFANVNVAATAFLSDDSSPPSMVNDNLMMPWNMMMMMMYMNHNHHQLQQQQQHEEQEPMLMNMFEYC >DRNTG_08422.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31042679:31048360:-1 gene:DRNTG_08422 transcript:DRNTG_08422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILSGDRRCSTARGKMTVIGKLPKPINLPSQKLENRGLDPNVEIVPKGTLTWGSKSSLPTPNAWASSAVSSPYTDGTASSPVTGRPSSGGGGPRPSTSGSDRSHEAVSSAWGSNSRPSSASGILATNQTSLAASRPQSAETRPGSSQLSRFAENSSENTTSSWVGNTGTAEKGGSGTSKSSGFMLISGEFPSLGSEKNPEQQLQRGHSSQGRPTSASNSSLQKEEFGNPADGKIEPCSGNNNSGKMEPQQLTGGAPPPNNENWQRNPLQGQPYPTVNMPPPQFDAWRGPPVRPPDGIWYTVGTAGGPFRPAGPPGSYPIDHYAFYPSQHPGPLPNSQPVPRPGPSGVHYLKNGEAYRPQLSQESYAVPNHPIISVRPGLYQNAPYDGYLGPRASFCNPTEREVSTMGTVGPGIYSQFNRNANVESGKFLVRPDIRPSIIAKEHVKSGQFSDIHHGQYKVLLKQHDSCEGKDVEEKKEQSTLDGLPYSEERKQYDSTTHEDGMKNRSKDEGSAFAKAVPMTETSQSATDWDGRSSNANTKNSLKDSSKITNEALVKRPDGFTGIPAHDQRPYSVVKKDASLMEKIEVLNNKARNVDGDCEGAQFPSKEVKNHKVLKSHIENPVQVLCANNNSSRTSGTYPKGRSAPYQTVAPKAEKIQFGNVIPQPFESQVITVGVSSCPEDNQKLRPQVEKRVSVTQGPVDYHTESRLAGQNDNESIKRTSGRSFSETVPSRKSEIGTVTNLPDCHTSQEMVEKLEVNYTSNAGSRSYAASSIENNDHKTQASKVKRRCELCEI >DRNTG_21332.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9092796:9094771:-1 gene:DRNTG_21332 transcript:DRNTG_21332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTNTTRVSRNRQLNNHAYRVQTY >DRNTG_21332.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9092796:9093913:-1 gene:DRNTG_21332 transcript:DRNTG_21332.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTMKNTKGRNQRNRSPYLASLKK >DRNTG_18166.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2036141:2037851:-1 gene:DRNTG_18166 transcript:DRNTG_18166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCRMISITKLFVHGLCFQHYASSKDRFKRELDGIQVKLQDTNPTEMALDVIRGCANWILLSSSAPMEI >DRNTG_17640.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4191397:4192687:-1 gene:DRNTG_17640 transcript:DRNTG_17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPCCEEIGIKKGPWTPEEDKILIEHIQKHGQGSWIQLPKLAGLNRSGKSCRLRWINYLRPDIKRGRFDDEEQRLIIHLHSILGNKWSTMAAHLPGRTDNEIKNYWNTHLKKKLLQMGIDPVTHQPRTDLNLFSSLRNLVLASTNSNDSLTCSTDINALRLQADAANLAKLQLLQILMQFLACRYPTMESSSALIGTHQVNDQFDQGLVHGSMGVSQDALSVPAVISNPDSPGSNNGLFGNYSANPAPSVVPVSPENQKDIQDHMNWTEDCNSSRDWDELNLENLDNELGWKDILE >DRNTG_19983.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:826784:827624:-1 gene:DRNTG_19983 transcript:DRNTG_19983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRELREDDKLMQSMSFEEYMEHKKEVSGVGMRVVPAMFLMGKQLQDHTLQCLDSRSKFHDKMALFLSLLDDVTKHKNKKNDDEEMMTRRRVGLAVEYYMKGKKCNEAEAVGNIEEMMKEMVEELVHEYLKPSKVPRRFRRLMFEHAKITNFNGFITETCSAAATTANQMLTTAMETPV >DRNTG_31296.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1185602:1187220:1 gene:DRNTG_31296 transcript:DRNTG_31296.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSMPVSTLGLPTAPQNMGSTLQPSPNVDQIQGNSFGSLQTASISSAQQSGVGVLQNVMTTPPHTSMNTLPPSSVNSLQTNSNLFQQQHLKLQQESQQIKQMQQQRQQLMFHQQQKQQLLQQQQQQQQQSGVQQQLLQQQKQQPNAQLPGLYQQHFQANARHAYYHPQLKTGTSFPISSPQNIQASSPQISQHSSPQVDQHAQHGLLSSLPKTGTPLQSAGSPFVVPSPSTPIAPSPITTDSEKPLSGITSLPTTSNMVHQQTTLTPTQPQSIAVGTPGISASPLLAEFTNSEANHPNISGNAAKSSATEHPLERLIKVVSELFLSKFFLLIYHVS >DRNTG_02826.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20153090:20156097:1 gene:DRNTG_02826 transcript:DRNTG_02826.4 gene_biotype:protein_coding transcript_biotype:protein_coding PITSGPKPGPHPTPQPIPIPMPQKVPIVGCTGFHLSYLLNNPFIIIGTRTSLPSNPSPV >DRNTG_02826.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20153090:20156097:1 gene:DRNTG_02826 transcript:DRNTG_02826.3 gene_biotype:protein_coding transcript_biotype:protein_coding PITSGPKPGPHPTPQPIPIPMPQKVPIVGCTGFHLSYLLNNPFIIIGTRTSLPSNPSPV >DRNTG_02826.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20152982:20156097:1 gene:DRNTG_02826 transcript:DRNTG_02826.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGSNYLRPWFLRQHLYLMFWTPKHHSSLQTMHLNELA >DRNTG_02826.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20153090:20156136:1 gene:DRNTG_02826 transcript:DRNTG_02826.2 gene_biotype:protein_coding transcript_biotype:protein_coding PITSGPKPGPHPTPQPIPIPMPQKVPIVGCTGFHLSYLLNNPFIIIGTRTSLPSNPSPV >DRNTG_10447.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22383322:22391573:1 gene:DRNTG_10447 transcript:DRNTG_10447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYCSKCVFACPANAINFSHSFSASSY >DRNTG_12083.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18876384:18885125:-1 gene:DRNTG_12083 transcript:DRNTG_12083.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSGGKVETPAIGYCCDGDERLLVAEFMPNDTLAIAKHRFENQSMKWAKRFRAAYYIAGLGILQQ >DRNTG_12083.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18875746:18885125:-1 gene:DRNTG_12083 transcript:DRNTG_12083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSGGKVETPAIGYCCDGDERLLVAEFMPNDTLAIAKHRFENQSMKWAKRFRAAYYIAGLGILQQ >DRNTG_13682.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22735587:22739345:1 gene:DRNTG_13682 transcript:DRNTG_13682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSIPPPLGSLKSLTTLSLFDNQLSGSLPIEMVNLTNLMSLDLFNNIFSGNLPPDLAKGGLLKHLLLGDNNFHGPIPMSLKNSTKLIRVRLESNQFTGDVSESFGIHPQLDYIDLSFNRLYGTLSPLWGACLNLKNLKISSNKISGFIPSEIGQLRKLQLLDISSNNIVQKIPKEFGKLSHIFQLNMSNNHLTGTIPPEFGDLSSLEILDLSSNYLTGEIPVQLKHCLKLKLLKLSGNELSGAIPSQLGDLNLHDVLDLSDNLFTREIPPQLSKLMMLQTMNLSHNELVGGIPSSFQLMMGLTSFDISYNSLEGPIPENHLFQAAPMEWFTHNKGLCGQVHGLPRCNQSSSASRGDGEKHQKVIMLTALPIFGILFLLLLIVAITLLLYKRKNFIAKDSGEEVGGYSIWNMNHGKKAYKEIIQATKNFDNMFRIGAGACSIVYKATLSSGETLAIKKIQNEGGVNEQVFRNEIHALTETRHRNIVRFYGFCSTNKYSFLAYEYMERGCLGATLRSEERAMELDWIKRINIVQDVAQALSYLHHDCAPPIIHRDITSNNILLDEEYKACVSDFGISRPLKPNSSHWSLLAGTYGYMAPELACVMRVTEKCDVYSFGIVALEVIHGTHPGDLLSNLSLSMLVKDILDPRLPLHIANQVITNQVLSVISIAMQCINTDPQARPTMQQVSQRLSSPKSLPASNIYPFEALTLDHLIKIAQTHIDDQAFE >DRNTG_28194.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001428.1:45325:47005:1 gene:DRNTG_28194 transcript:DRNTG_28194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVNKDKQLLGRPSHYPAKLPLTRPWQQGLSPLVGNVTFPYKVEVKKDYVDKSEEGHVGMVAEHQSQEDLDEESTHPKVREDGKLSGKEEFNWCEASSPCLYVAFVTIVAEYYEVYGFCSTNKFNFIAYEYMERGSLGATLRSEKRAMDLDWIKRISIIQDIAQALSYLHHDCAPPIIHRDIMSNNILLNEEYKAFISDFGISRLLKPSSSHWSLLAGTYGYMAPELAYVMRVTKKCDIHGFGIVALEVIHGTHPGDLLSNFSLHMLVKDTLDPRLPLHIADQVITNQVLSAILVAM >DRNTG_14002.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27716997:27722294:-1 gene:DRNTG_14002 transcript:DRNTG_14002.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTGRDLQLTSITGGRPRPGTAIVARPDDVDLEDVRLLDSYGDEEEGLAKEVRRIQVKVTGMTCSACSNSVEGAIMALRGVEMATVSLLQNKAHVVFDPRLLKDEDIKDAIEDAGFEAEVLPDSSTSSSKSQKTLSGQFRIGGMTCAACVNSVEGILRALPGVKRAVVALATSLGEVEYDPSVISKDEIINAIEDAGFDAVFLQSNEQDKALLNVSGLMCGKNFHVLEDILKNINGLKQFELRDSLSEVETIFDPEVVGLRDIVDAIKRRSNGKLIASVRNPYACGTSNDTEQASKMFRLFITSLLISVPVVLLHIPCIHSFFQRRWGPFFLSDLLKCVLVSFVQFGVGKRFYIAAYRALRNGSTNMDVLVALGTTASYFYSVCALLYGALTGFRSPLFFETSAMLITSVLLGKYLEVLAKGKTSDAIKKLVELAPAIALLLVKDTEGKHTVEREIDALLIQPGDVLKVLPGTKIPSDGMVVWGTSYSDESMVTGESEPIPKGVSSLVIGGTMNLHGVLHVQATKVGSNTVLSQIISLVETAQMSKAPIQKFADYVASIFVPAVVLMSLITFLGWFLCGSLGAYPEAWLSDSSNCFVFSLMFSISVVVIACPCALGLATPTAVMVATGVGANHGVLIKGGDALERAQNIRYVIFDKTGTLTQGKASVTTAKVFSGMELGDFLTLVASAEASSEHPLAKAIIDYAYHYHFFSKLPTSKAIGKQSKEDIISEWLLEAVEFSALPGRGVQCLINWEKGFGKSQLQNISVHPVYTVYSKPNLIVMSCDILNRLGTGSS >DRNTG_14002.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27716997:27722294:-1 gene:DRNTG_14002 transcript:DRNTG_14002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTGRDLQLTSITGGRPRPGTAIVARPDDVDLEDVRLLDSYGDEEEGLAKEVRRIQVKVTGMTCSACSNSVEGAIMALRGVEMATVSLLQNKAHVVFDPRLLKDEDIKDAIEDAGFEAEVLPDSSTSSSKSQKTLSGQFRIGGMTCAACVNSVEGILRALPGVKRAVVALATSLGEVEYDPSVISKDEIINAIEDAGFDAVFLQSNEQDKALLNVSGLMCGKNFHVLEDILKNINGLKQFELRDSLSEVETIFDPEVVGLRDIVDAIKRRSNGKLIASVRNPYACGTSNDTEQASKMFRLFITSLLISVPVVLLHIPCIHSFFQRRWGPFFLSDLLKCVLVSFVQFGVGKRFYIAAYRALRNGSTNMDVLVALGTTASYFYSVCALLYGALTGFRSPLFFETSAMLITSVLLGKYLEVLAKGKTSDAIKKLVELAPAIALLLVKDTEGKHTVEREIDALLIQPGDVLKVLPGTKIPSDGMVVWGTSYSDESMVTGESEPIPKGVSSLVIGGTMNLHGVLHVQATKVGSNTVLSQIISLVETAQMSKAPIQKFADYVASIFVPAVVLMSLITFLGWFLCGSLGAYPEAWLSDSSNCFVFSLMFSISVVVIACPCALGLATPTAVMVATGVGANHGVLIKGGDALERAQNIRYVIFDKTGTLTQGKASVTTAKVFSGMELGDFLTLVASAEASSEHPLAKAIIDYAYHYHFFSKLPTSKAIGKQSKEDIISEWLLEAVEFSALPGRGVQCLINWEKGFGWEQEALDGKQGLDSNGS >DRNTG_11078.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:7242177:7243140:-1 gene:DRNTG_11078 transcript:DRNTG_11078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSTSVPRVLSGHNRVNGLRDELPLWLSCACNRLQVMTRGNPSILIEGDPKLERTLRRKAKEPVQEQHNSADLEGEESENITEQNEQQ >DRNTG_20626.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20582034:20582546:-1 gene:DRNTG_20626 transcript:DRNTG_20626.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQQSQETHHLGSTQRRKPKESKATLHQQLMLPSSYQIKSNQVLTIPQPKTMKSNTKTKLDSRMILESKNRCRSMGRRTAPTRIELCRHGAVEGPGTMNGIW >DRNTG_21358.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001220.1:19915:21143:-1 gene:DRNTG_21358 transcript:DRNTG_21358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDYLERRYCGSSQAQLYTLYQALTSLQQGEDTVDQFYSRYCALWRQIDALTPPYCAVHAAQILTCSESCSRRRSHDETRRMYEFIMRLRPEFEQTRAQLLHTPSVYSLDDAFTFVRAEETRLRASFTGGGSALAVSRPPPLSSSSFTRPPAPPVSSRASSTRPKRTVICHYCGLFGHLERECRKK >DRNTG_12442.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21015984:21018499:-1 gene:DRNTG_12442 transcript:DRNTG_12442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVIYLVDAYDKERFAESKKELDALLSDDSLATVPFLVLGNKIDIPYAASEEELRYSLGLNNFTTGKGKVNLAESNVRPLEVFMCSIVRKMGYGEGFKWISQYIK >DRNTG_26991.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19283555:19288065:-1 gene:DRNTG_26991 transcript:DRNTG_26991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYELVRDIGSGNFGVARLMRQKETKELVAMKYIERGHKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARYFFQQLISGVSYCHHMQICHRDLKLENTLLDGSAAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQEDPKNFRKTISRIMSVQYKIPDYVHISQDCKQLLSRIFVANPMRRISIREIKSHPWFLKNLPRELTEAAQAVYYKRDNTAPTYSLQTIEEIMKIVGDARTPPLASRSISGFGWAEEDEDDEGDGEGKENEDDKEEEEEEEDEYDKTVKQVHASGEFHIS >DRNTG_27992.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:10897125:10900146:-1 gene:DRNTG_27992 transcript:DRNTG_27992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLRRLSISSSREIVGLPPVIVKQNCLRTLMLFSTPPSLDMHILGRLSYVRVLILNGKKIDRVPDNIGEFIHLRLLDLKFTGIHELPDSIGSLTSLEFLNLEDCESLHTIPESIAKLCNLRCLRLKGTPLSHVPNGIGKLEHLSYLNGFVIGSGGDGERPQEGHNLEELQSLKNLRILHISSLEMALSGASVLSNKPHLRQLMLHCTVRNTIQLHTVQETNRIEQVFDKLSPPSSLEKLVIDGFFGSRYPKWMSSTMISTSLIDLTILHLMNCISCPQLPPLGQLPELNYLKVGNASAIVSIGSEFFGSGVKDGNGKPQTAFPSLEYLIFDDMPNLEEWSFSGQNSEESSKMVTFGHLRQVSLHICPKLKALPRGLNQVNMHRLYIEGAHSLTTVENFPNLTEWLQVINNHRLAQVSNLPALRALIVRDCPMLQCVEKLDSLLRLQLIDTMSSSLPEWLITFLRERQQAHDDWFQLDLDCTVQALKGFIKGCPGWSILEQVPQVVAYAENKSKYLLYTKEPFSYKTNLED >DRNTG_22765.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4048524:4054271:1 gene:DRNTG_22765 transcript:DRNTG_22765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHLLFIIFFFPYFPLSKSHPLDPLTPSELTIIQQTIKTSNLFLSSAPLTFHYIGLADIDKHVLLSWLSNTSNSPPPRRAFIIARSGHQTHELHLDISTKSIISNTIHTGSSFPLLSLEEQTAASNLPFNYTPFMNSIKKRGLKSSDVVCAAFTVGWFGEVKKSKRLVKILCFATGDTVNLYARPLEGITIVVDLDLMKILDYTDRFVVPVPVAGGTDYRSKKQKPPFGPRGKPVTVVQPEGKGFSIDGHSIR >DRNTG_22765.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4048524:4054271:1 gene:DRNTG_22765 transcript:DRNTG_22765.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHLLFIIFFFPYFPLSKSHPLDPLTPSELTIIQQTIKTSNLFSPTPLTFHYIGLADIDKHVLLSWLSNTSNSPPPRRAFVIARSGHQTHELHVDISTKSIISNTIHTGSSFPLLSLEEQIAASNLPFNYTPFMNSIKKRGLKSSEVVCASSTVGWFGEVKKSKRLVKILCFATGDTVNLYARPLEGITIVVDLDLMKILDYTDRFVVPVPVAGGTDYRSKKQKPPFGPRGKPVTVVQPEGKGFSIDGHSIR >DRNTG_34794.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1360103:1360442:-1 gene:DRNTG_34794 transcript:DRNTG_34794.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIMDDLLTTGPRTQFHRHRPSSGLLRRRRPIRA >DRNTG_32996.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19930224:19934696:-1 gene:DRNTG_32996 transcript:DRNTG_32996.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLSAHIPLYLYPFLNTTSKTRPFEYLRLTSLGVIGALVKVDDTEVISFLLQTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDIGLRYICATAERFYAVGSVLATMVATLAEQPSTRLLKHIIRCYLRLSDNQRACEALQNCLPDMLKDGTFNNCLRDDPATRRWLQQLLHNVAMGSPVPVGMQTARLDHMMGN >DRNTG_32996.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19930224:19934696:-1 gene:DRNTG_32996 transcript:DRNTG_32996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPQGLSLNTPFGSPPPSSPIAAGTPQPGKERKMASAEQLVLDLCDPELRENALLDLSKKREIFQDLAPLLWHSFGTIAALLQEIVSIYPALSPPTLTPVASNRVCNALALLQCVASHPDTRILFLNAHIPLYLYPFLNTTSKTRPFEYLRLTSLGVIGALVKVDDTEVISFLLQTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDIGLRYICATAERFYAVGSVLATMVATLAEQPSTRLLKHIIRCYLRLSDNQRACEALQNCLPDMLKDGTFNNCLRDDPATRRWLQQLLHNVAMGSPVPVGMQTARLDHMMGN >DRNTG_07811.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1321063:1324868:1 gene:DRNTG_07811 transcript:DRNTG_07811.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKF1 [Source:Projected from Arabidopsis thaliana (AT1G68050) UniProtKB/TrEMBL;Acc:A0A178W8F6] MDGEESVGKRLKCSSEEEEEDVELLLEEEEMGMKGMLYYPVSLSSFVVADALEPDYPIIYVNTVFEMSTGYRADEVLGRNCRFLQFRDPRAQRRHPLVDPMIVSEIHRSLEQGIEFQGELLNFRKDGTPLVNRLRLIPILGDDGIVTHIIGIQLFSEANIDVNRLSYPVFKQSSYQKSSNWDPCISISEKNHTSHQSNYCGILQLSDEVLAYNILSRLSPRDVASVGSVCIRMQQLTKNEHLRKMVCQNAWGREVIGNLELSTKRLGWGRLARELTTLEAAAWKKFTVGGRVEPSRCNFSACAVGNRLVLFGGEGINMQPMDDTFVLNLESANPEWHRVQVASSPPGRWGHTLSCLNGSWLVVFGGCGRQGLLNDVFVLDLDAKQPAWKEVAGATPPLPRSWHSSCTVDGTKLVVSGGCTDAGVLLSDTFLLDLTKEKPTWREIPSSSWTPPSRLGHTLSVYGKNKILMFGGLAKSGSLRLRSCDTYTIDLEEDEPQWRQLEAGEFIGESPPPRLDHVAVSLPCGKIIVFGGSIAGLHSPSQLFLFDPSDEKPTWRMLNVPGHPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRPD >DRNTG_22328.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:536930:537444:1 gene:DRNTG_22328 transcript:DRNTG_22328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWLVVATGENAEPVMPEMKGREMFHGSVLHSSEYKNGMEYKDKKVLVVGCGNSGMEMCLDLCEHGAIPFLSVRTGVHVLPREILGTSTFKLAMKLMKWLPLRMVDKVLVMVAKLVIGDTEKYGLKRPQMGPL >DRNTG_16424.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7525757:7527670:1 gene:DRNTG_16424 transcript:DRNTG_16424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEPHFVIIPLLAQGHIIPACDMARLLATNGAIVTVVITPANLTRLHSFSTLISTSNLPIRLASLPFPSTAASIPDSCQNVDLVHSPDLFSNFFLAMSLLQTPLLEYLHGQHPPPTCIISDHFQPWGMNIARELSIPNLIFHGFSSFTLTAMLTIKNHKIYQSLTDVHKPFIIPGLPQPIETTKAKAPPFFYEEAPGFEKLAQEAIMAEDTCEGIVLNTCSEMESYFTQQLEELTGKKVYAIGPLPLANKDAASKAARGNKPSIDESQCLQWLDSMPESSVIYVSFGSITHTVAAQLIEIGAGLEASGLRFIWVIKESELMAAPEVGKWLTEEEGFEKRVEGRGMVIKGWAPQAAILGHSAVGGFVTHCGWNSVMESVSAGLPMLTWPHFADQFLNEKVVVEVLKVGVPVGIGKPIMYVFGEEMVVVGREVVEKGVKCLMECGGEGEERRKRVRELGEKVRKAVDKGGSSFENLIKLIEVGSKKLMKST >DRNTG_16021.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17539015:17541476:1 gene:DRNTG_16021 transcript:DRNTG_16021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHILPKKPGVPAPPTEAKLPSTENEIRTEHFCLIHARPNSSRPLPNLVINFMGSCYH >DRNTG_16021.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17540518:17541476:1 gene:DRNTG_16021 transcript:DRNTG_16021.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHILPKKPGVPAPPTEAKLPSTENEIRTEHFCLIHARPNSSRPLPNLVINFMGSCYH >DRNTG_00266.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5346901:5347856:-1 gene:DRNTG_00266 transcript:DRNTG_00266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLVIFYIGTPNYRHKVKKTKSPAREMIRVWLTAFANRKLNLPQNPSELYELEHQHYVVTGKRRVHHTMAVGFLDKAAVKAEGGTTTTPPCTVTQVEETKLLVAMGLIWLATLIPSTIWAQVNTLFVKQGTTLDRDIGNGFRLPAASLGSFITISMLLSVPLYDRYFVPFMQRRTDNPRGITLLQRLGTGFGFHIIVTMVAYIVELKRMHEIKSHNVNGPKDIVPMSIFWLLPQYVLLGVGDVFHAIGLLEFFYDQSPEDMQSLGTTFFTSGIGVGNFLNSLLVTLVDNV >DRNTG_13692.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5291747:5294058:-1 gene:DRNTG_13692 transcript:DRNTG_13692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMLIKSEISGNGKRLLGVAGGSSESGDQVVKCIKRRRREPALPPISVDHNHGGVLEQSPSSSTIKRSSRFRGVSRHRWTGRYEAHLWDKGSWNATQKKKGKQVYLGAYDEEESAARAYDLAALKYWGPTTFTNFPVPDYEKELEIMQSVTREEYLASLRSRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAAHAYDIAAIEYRGINAVTNFDLSTYIRWLRPGGSSTTTPIMQTPQEQQQQHQNTTTTINGAPLRAMQTPSSAALFANTNNNNNESDHQLVLSPRNPFVAASTGSSSPLKQEIGTHYNQHLHNQFINGSSGSKSSPTALSLLLRSSVFRELVEKNSSVCSPNEEIIEGEEVKDDVQYNHGMICQGIAEHVNAYAGNGSAIELQDGAPFLWNGVMAMPSIQ >DRNTG_15347.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20805532:20806950:-1 gene:DRNTG_15347 transcript:DRNTG_15347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNRDNKVPILVVPFPAQGHLNTLLHFSLLLSSHGLPVHFASSSIHNNQARHRLRGWTSASLHNITFHDIPIPSLPPSTPNPNSTHNFPSHLVPIWEFVIHHLRSPLSSLLHSLSSSSPLILIYDTLMSFVSNDAASIPSIHTFNFQCVPANFILSYHYESTSKTWSDNHILFSPSPSPPPPPLQDSVPEEVITFCKKHYYETPCEGMLFNTSLAIEGAFIDLLAKQDDFVGKKIFAVGPVSPLAVSTDHKSGPCHPCLEWLDKQPPKSVVYVAFGSSTTIQVEQIEQIALGLEKSKQRFIWVVRDADRGDVSQEAEPDHERVKSLLLDFEKRVEGMGMVVRGWAPQLDILAHGSTAAFMSHCGWNSCMEGMSMGVAMLTWPIHSEQPRNALLITEYLKVGAMVREWEKRHEVLKWEKVDGAIRKVMVDEEGFEIRRRAKELGEKIRDSIEGGSSYEQLLAFINYISGFK >DRNTG_04522.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19236419:19237567:1 gene:DRNTG_04522 transcript:DRNTG_04522.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSLLGDLWSGDPASLEVSATTAKERKGKTVEGDSGIRPGFTYAQAACSSSSGEHTTSGPRRNESRMERKVVVGTKRPRSPREGACGHVAARCPVKDRQRPRRRKVHVRSKLMSGKDRASGLERAVGRRPEKETKSQGRVEGEMLDEKRDRLKINRASLSLALSPENYELREELAKVAILSMVGGHVNDGSVLEILPSILNFKMAGPVVSLNENSFLLLFENRDVVREVVKLKTFDAMTKDGKCRLSLAHWTAELGASGRADGEGKWISIWNLPLHGWCWSIIEQVIRLVGKLIALSKASEPHKKFVKALVRRRRGMDLPMELDFSFGMRRYHVLLMEERGVLPEFCRMSGRYVL >DRNTG_18736.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1183569:1186231:-1 gene:DRNTG_18736 transcript:DRNTG_18736.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSELRRQKVQKFEDFVEGRLKPDLVNAIAQRDKVFEQQKIFSDLKRNIQVLEKSGATSLRTRVNLGSEVYVQADVPDTRRVFVDIGLGFHVEFTWSEALDFISVREARLTRQVEEYTHLIANIKAQIKLVLEGIRELLQLPTE >DRNTG_31486.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2005000:2007838:1 gene:DRNTG_31486 transcript:DRNTG_31486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQVVEPESNKKRCKGERRSSGMLTP >DRNTG_00411.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000038.1:105288:105576:1 gene:DRNTG_00411 transcript:DRNTG_00411.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPSSQDPQVLASASDDGSVKIWELVDVSQ >DRNTG_12058.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5652134:5654334:-1 gene:DRNTG_12058 transcript:DRNTG_12058.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLKQSATDYIKGFDSSSYVLLPSDQLRKQFSEKSQPESYTSIFKSAAVKSAIPDPDVPCDADSSSSEPSFVPPGVKPRNGDGDQDAAITGLLQNLSLDGLEPQWIRPLPPRLPVLDGELVWLNPDNNHDLMWDYGMCADTSRGAAVKDLIAKALKGPLAPAQQEQVLVELANDPKLVYHCGLTPKKLPELVEYNPIIAVEVR >DRNTG_00545.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30240156:30242906:1 gene:DRNTG_00545 transcript:DRNTG_00545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVEGVGVVLFLAFLVPAGGKELGLCLRVSALASFLGLHECLVSDSLLAGSVPPIGVLEGDNIVLQRMALNIVHKNKRKHVAVLFHASWCPFSKSFRPNFDAMSSMFPTIPHFAYEESVIPPRYGVHGFPLLFLLNSTMGVRHHGSRAVSSLVTFYMDVTGIKPVSQLRVEKAEGQAHLTELKGAAEQENCPFSWIRSLEKLLEQDPYLALSSAFVLLRMLYVLLPRLNSCVMWAWGQRIRPASPLNTWDYFQASLLQAKQSFSKLIPFKQSDFQEGALHAKIWASKSLASVSFGDPSSSGRSPSTLDRR >DRNTG_11704.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14262959:14264251:1 gene:DRNTG_11704 transcript:DRNTG_11704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLRGGLCGFGGASSPTLIDSSSVIASRKPPVNLTSEWVLQDIEALHQGHQFIYKGVYFYGFNRSQGLSKADPYPWEVTSGLTD >DRNTG_20721.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17876353:17879499:1 gene:DRNTG_20721 transcript:DRNTG_20721.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 27 [Source:Projected from Arabidopsis thaliana (AT5G50870) UniProtKB/TrEMBL;Acc:F4KAG5] MLDVSRVQKELAECNKDKAISGVSINLHGGDDLSHLEGTISGPVGTPYEGGTFRIDVRLPSGYPFEPPKMQFVTKVWHPNISSQNGAICLDILKDQWSPALTLKTALLSLQALLSAPEPDDPQDAVVAQQYLQNHPTFVATAQYWTEAFAKRTSVGIEEKVQKLVEMGFPEALVKSTLDSVNGDENMALEKLCSS >DRNTG_05477.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23234070:23366191:1 gene:DRNTG_05477 transcript:DRNTG_05477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSCLSLLLLFLLLISLVVATVAKQTYIVHMSRSQMPSTFTHHLQWYISTLQSLSRETTEEGDDEAIIYSYETAFHGFAAKLSEKEAEELETSHGVLAVLPDTVYHLHTTRSPEFLGLEPETSNNAWSSTVRDHDVIVGVLDTGIWPESPSFSDRGMGPVPARWKGACETGRGFTTKNCNKKIIGARIFYRGYEASTGAIDDKDEFKSPRDQDGHGTHTAATATGVPVSGANLLGYARGTARGMAPRARVAAYKVCWSGGCFSSDILAAVDRAVADGVDVLSISLGGGVSSYYRDSLSIAAFGAMEMGVFVACSAGNSGPDPISLTNVSPWITTVGASTMDRDFPAVVKLGNGMNITGVSLYRGRRNLVVGKQYRLVSMGSNLTTPDPRSLCLEGTLDPHLVAGKIVICDRGVSPRVQKGQVVKDAGGVGMILANTAANGEELVADAHLLPAVAVGETSGKVIKHYSSTTPRATATLTFEGTKVGIRPSPVLDIIKVAVTDVEK >DRNTG_05477.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23234070:23237083:1 gene:DRNTG_05477 transcript:DRNTG_05477.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSCLSLLLLFLLLISLVVATVAKQTYIVHMSRSQMPSTFTHHLQWYISTLQSLSRETTEEGDDEAIIYSYETAFHGFAAKLSEKEAEELETSHGVLAVLPDTVYHLHTTRSPEFLGLEPETSNNAWSSTVRDHDVIVGVLDTGIWPESPSFSDRGMGPVPARWKGACETGRGFTTKNCNKKIIGARIFYRGYEASTGAIDDKDEFKSPRDQDGHGTHTAATATGVPVSGANLLGYARGTARGMAPRARVAAYKVCWSGGCFSSDILAAVDRAVADGVDVLSISLGGGVSSYYRDSLSIAAFGAMEMGVFVACSAGNSGPDPISLTNVSPWITTVGASTMDRDFPAVVKLGNGMNITGVSLYRGRRNLVVGKQYRLVSMGSNLTTPDPRSLCLEGTLDPHLVAGKIVICDRGVSPRVQKGQVVKDAGGVGMILANTAANGEELVADAHLLPAVAVGETSGKVIKHYSSTTPRATATLTFEGTKVGIRPSPVVAAFSSRGPNLLTLEILKPDIVAPGVNILAAWSGLASPSSLSTDHRRVGFNILSGTSMSCPHVGGVAALIKASHPEWSPAAIKSALMTTAYVHDNTYRPLKDAATGEASSPYDHGAGHIHPTKAMDPGLVFDISPDDYYDFLCSQKLTPLQLKAFTKTMNRTCRRTLVTAGDLNYPAISVVFPEKNAAELTVHRTVTNVGHAVSTYHVKVSPFKGADVVVQPDTLHFSHQNQKMTFKIIFKTRKPQMEPEFGGLVWSDGVHKVRSPVVLTWLPPM >DRNTG_07063.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3879643:3881703:-1 gene:DRNTG_07063 transcript:DRNTG_07063.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSMTSMKKLAIQLPSRRKIQEDSNTFH >DRNTG_07063.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3879643:3881019:-1 gene:DRNTG_07063 transcript:DRNTG_07063.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSKIGRNLSRHYKDDSSGVDQVELHLQSMGARQKYNDLGSTTDQRTVVAKKEVVGLSNSKHIETEGWSYPSNELREKCSVRRMNKDENIAVHTVSGFRRESQLSSRNSIKLDMVKSKEEFVVPPQVEGSSTGFNLLDLDKPHFQIKRTILYEQHATGSNTTGSVLEEKESEDQKTYSLGNQFEKKYGIGVVSLPNRRLTRFAAITRNSFHLHSLINAALDEEFHDKHEEVSHPTP >DRNTG_28786.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21700383:21701362:1 gene:DRNTG_28786 transcript:DRNTG_28786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTLDNEVSECDNTKLRRQHTKQRSERVKMR >DRNTG_03294.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000153.1:31415:32312:-1 gene:DRNTG_03294 transcript:DRNTG_03294.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSLLIFKDQAYSDYLHGIQDTKLHRLDKVVNVSECMKLQKQEPSLSLEAESNHAIDETGFKRTDTRVSLTCRLVLKVHKNLFKF >DRNTG_03294.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000153.1:31415:33773:-1 gene:DRNTG_03294 transcript:DRNTG_03294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEFADDKPSDQQELKIKDYVVGDLPTLIYIPNFIADSEQSQLLHHIYEVPTSKWKNLKNRRLQNWGGVVHEKGLLPQELPAWLKKVTGRIRQCTGLFPSELNHVLINEYLPNQGIMPHQDGPAYFPVVAILSLKSPVVIDFTPHPRLRECASKESSGEELTIQSKAEEAEHDERHDGLLNTPKDSISPCSLLLMPCSLLIFKDQAYSDYLHGIQDTKLHRLDKVVNVSECMKLQKQEPSLSLEAESNHAIDETGFKRTDTRVSLTCRLVLKVHKNLFKF >DRNTG_18396.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3870043:3872705:1 gene:DRNTG_18396 transcript:DRNTG_18396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLTEVKDKGLLHEGSSSSMENREKSRMTSGIKIIKQL >DRNTG_18396.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3870043:3871360:1 gene:DRNTG_18396 transcript:DRNTG_18396.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLTEVKDKGLLHEGSSSSMENREKSRMTSGIKIIKQL >DRNTG_19400.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22144617:22149888:1 gene:DRNTG_19400 transcript:DRNTG_19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAEQISRRSSVLLRHLRLPTSISSSSSSELAPMPCLHYTPPEISEKPAAFDTKELRCVLDGHHVEIRDWVFRVMEESHLFRRVSSGMGIVMPDYNQTMEQQREATMRRIGYLLDKRVFDGWVTSFGPESELKKLAMNEVLGIYDHSLAIKLGVHFFLWGGAIQFFGTKRHHDKWLKDTENYLVKGCFAMTELGHGSNVRGIETIATYDVNNGEFVINTPCESAQKYWIGGAAKHATHTIIFAQLHINGTNEGVHAFIAQIREADGNVCPNIRIADCGHKIGLNGVDNGRIWFDNLRVPRENLLNSVADVMPDGSYVSAIKDPDQRFAAFLAPLTFGRVNIAVNAIYISKLGLSIATRYALTRRAFSTTPNGPEVLLLDYPSHQRRLLPLIAKTCAMSSAGNFLKKLYVKRTPATNKIVHVYSSALKAMLTWHNMKALQECREACGGQGLKTENRIGHLKGEFDVQLTFEGDNNILMQQVSKALLAEYINVQKKKKPFEGLGLEHMNYPSPSMPYNLTRDVLRSIKFQTDIFCLRERDLLTRLASEVAQLQTQGESKEIAIIQSYQLAQELARAFTERTILQTFIEDELNLHTGPLKDVMGLLRSMYALICIEEDASFLRYGHLSPSNDALVRKEVMKLCSDLRPHALAIISSFGIPDSFLAPLAFDWIEANSWSHVNAK >DRNTG_21317.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16293384:16297254:1 gene:DRNTG_21317 transcript:DRNTG_21317.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCPSIACLWPPSPPFHRVIAAVAIGSPPVLYTGASDNSIVWWLLSNSNSKIRPIALLCGHAAPILSLIPCVSYAEPSLFSISSDGVLCVWSRTTGRCHRRRRLPPWVGTPVAMSRLPSSSGNLCVTGVSHDSIAVVVVDSHGLNVVQTVFHGNLVISRSVKSVVVEDKGKDLTVILVDGRGQSQLLPVSKDSDPGGGSSSTMPRSSSLSSSDLANYSSGDGLSEDVEAVAVEAHGELLAVIFRARCEFRSVKNGVLIGEISSEETCWIGGMFLETDGSGMQGLSGDNVRSFAIWSANGVAMIYSIYSYGFSDSPLKFEIVCEISALSHCSSETAIVLFCELDHSLIHLESLCMAVGDSFLWKPHVTMWSVSEFESQRWVA >DRNTG_19632.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7517383:7532749:-1 gene:DRNTG_19632 transcript:DRNTG_19632.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) UniProtKB/TrEMBL;Acc:F4JH01] MLSRLRSRGPSVFFLLSDLPSSSELPSLLSRRSLGLLARASSSNPHFLALSRAVLMFSTHDSERISDCSLDSFTVAEEFVADVDCFVEAMDGISGGRFLRGEVEGLGAPWVEMDWLKDMGYYSMEAFLASRIEVALRLSWLASMKGKKMKVGKVKEKEIAAAVAGAGVAANMFWRKKRCIDWWVGLGVECRRTMMVTILGKASKFLVNDFVMCSTTANVEDDLSVGHQAGNSWQSMRQNPYLRCDVMLSFPHHQKLPSMGDCLNRLAVIHEISLMFSKLQEEEFEKEAIFFSSLTSSSSISDFILRKLRGFLMIVSIDYVNLELIGDPKLNPIQNKNKDEFGMSYRKGKKKLHNSKRLSSSSKLSVINAANQKPSLDHACNAPSVGNRCSGLGARQNTLSLLAKKSALVVDTVESKTPLRGSDMEPSKHLVDCTVRVTKKKSGRKRSKNKAASSKSGGNPDTVNKKFPSPVPVSVSTIHLKADPIMCEQSPKSSSANVSDKPDIAGESESINVAQINHFPHPSPGCSFDGVISCPCSSIYEDGDIVVSHCDIELQGSSEKTFTPVANDNTHELIGNHATSPKWNPGNTYSCFTLSAQCLENVSIEEHEPQKSGFLCDTTSESNSPNLSKDVLNKKNTLIQCNSSDYYVATSSGSTSHEWPNLASFHYASVNSQNLPTATDRLHLDVSQKLPNHHQSFLPPRHQVRSSSTECGRSRILPSLAVPMSFDWPPMVKSYSRLSQTVTSSYDSCYASRLQPSFCPGFSSHRMQINGSSSVNDWKHTWDVMDAYDSKIMSEFMDDTDSYWLSEEESDAHTLSTRDYNQFFGGGVMYWNTSEHVGAGFSRPPSYSSEDSSWAWHEAELNRTIDDMVGMPGISASYNTNGLASPPAAPFCSPFDSLGPGHQAVGYAITRNDMIGKPMNSVPSVSDATDEKHPKSLNNSPISVEGTKGDPLPYPVLRPIIVPTIARKGSRSEFMLSHDHKNPCLPSTIRDAPRIKRPPSPVVLSVPRVPRPPPPSPVGGSRKRGFPIVRSGSSSPRHWGMRTWYREEALDESHISIDSTEVLWPSWGNKGISAFPVVQSIGGPLLQDHLLNVSQLACDQEHPDIALPLQPPDLLNSNDKTPLSLLQCLLHDEIDSFWKQVSAEHLTRKPYINWAVMRVTRALQVLWPRSRTNIFGSCATGLALPTSDVDLVVSLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQDWVRNDSLKTIENTAIPVINLVAEVPHDYISSNRNSSNSDAQKVWASNAHLGHGGGPHSDQPLSENKSLPLSSKLMKDDYIDVKLIRLDISFKSPSHTGLQTSELVGELTQQFPAIIPLALVLKQFLADRSLDDSYSGGLSSYCLVLLVTRFLQHEHHIGRSINQSLGSLLMDFLYFFGNVFDPRQMRISIQGSGVYMKRERGLSFDPVHIDDPLYPTNNVGRNCFRIHQCIKAFADAYAVLENEQSQFLDNRPPTSLASFRLLEKIIPSIGQEFQSSVCSG >DRNTG_19632.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7517383:7518861:-1 gene:DRNTG_19632 transcript:DRNTG_19632.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) UniProtKB/TrEMBL;Acc:F4JH01] MLYSFKGVLLTAAAQGCKFFYADPATSGQTMM >DRNTG_19632.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7526219:7531254:-1 gene:DRNTG_19632 transcript:DRNTG_19632.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) UniProtKB/TrEMBL;Acc:F4JH01] MNGESKVSAEHLTRKPYINWAVMRVTRALQVLWPRSRTNIFGSCATGLALPTSDVDLVVSLPPVRNLEPIKEAGILEGRNGIKETCLQVGIMAAKKQKNECKGKRFLMMVVQFSH >DRNTG_19632.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7517383:7521071:-1 gene:DRNTG_19632 transcript:DRNTG_19632.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) UniProtKB/TrEMBL;Acc:F4JH01] MRISIQGSGVYMKRERGLSFDPVHIDDPLYPTNNVGRNCFRIHQCIKAFADAYAVLENEQSQFLDNRPPTSLASFRLLEKIIPSIGQEFQSSVCSG >DRNTG_19632.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7517383:7532749:-1 gene:DRNTG_19632 transcript:DRNTG_19632.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) UniProtKB/TrEMBL;Acc:F4JH01] MEPSKHLVDCTVRVTKKKSGRKRSKNKAASSKSGGNPDTVNKKFPSPVPVSVSTIHLKADPIMCEQSPKSSSANVSDKPDIAGESESINVAQINHFPHPSPGCSFDGVISCPCSSIYEDGDIVVSHCDIELQGSSEKTFTPVANDNTHELIGNHATSPKWNPGNTYSCFTLSAQCLENVSIEEHEPQKSGFLCDTTSESNSPNLSKDVLNKKNTLIQCNSSDYYVATSSGSTSHEWPNLASFHYASVNSQNLPTATDRLHLDVSQKLPNHHQSFLPPRHQVRSSSTECGRSRILPSLAVPMSFDWPPMVKSYSRLSQTVTSSYDSCYASRLQPSFCPGFSSHRMQINGSSSVNDWKHTWDVMDAYDSKIMSEFMDDTDSYWLSEEESDAHTLSTRDYNQFFGGGVMYWNTSEHVGAGFSRPPSYSSEDSSWAWHEAELNRTIDDMVGMPGISASYNTNGLASPPAAPFCSPFDSLGPGHQAVGYAITRNDMIGKPMNSVPSVSDATDEKHPKSLNNSPISVEGTKGDPLPYPVLRPIIVPTIARKGSRSEFMLSHDHKNPCLPSTIRDAPRIKRPPSPVVLSVPRVPRPPPPSPVGGSRKRGFPIVRSGSSSPRHWGMRTWYREEALDESHISIDSTEVLWPSWGNKGISAFPVVQSIGGPLLQDHLLNVSQLACDQEHPDIALPLQPPDLLNSNDKTPLSLLQCLLHDEIDSFWKQVSAEHLTRKPYINWAVMRVTRALQVLWPRSRTNIFGSCATGLALPTSDVDLVVSLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQDWVRNDSLKTIENTAIPVINLVAEVPHDYISSNRNSSNSDAQKVWASNAHLGHGGGPHSDQPLSENKSLPLSSKLMKDDYIDVKLIRLDISFKSPSHTGLQTSELVGELTQQFPAIIPLALVLKQFLADRSLDDSYSGGLSSYCLVLLVTRFLQHEHHIGRSINQSLGSLLMDFLYFFGNVFDPRQMRISIQGSGVYMKRERGLSFDPVHIDDPLYPTNNVGRNCFRIHQCIKAFADAYAVLENEQSQFLDNRPPTSLASFRLLEKIIPSIGQEFQSSVCSG >DRNTG_19632.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7517383:7524868:-1 gene:DRNTG_19632 transcript:DRNTG_19632.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) UniProtKB/TrEMBL;Acc:F4JH01] MKDDYIDVKLIRLDISFKSPSHTGLQTSELVGELTQQFPAIIPLALVLKQFLADRSLDDSYSGGLSSYCLVLLVTRFLQHEHHIGRSINQSLGSLLMDFLYFFGNVFDPRQMRISIQGSGVYMKRERGLSFDPVHIDDPLYPTNNVGRNCFRIHQCIKAFADAYAVLENEQSQFLDNRPPTSLASFRLLEKIIPSIGQEFQSSVCSG >DRNTG_26450.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:420093:420862:1 gene:DRNTG_26450 transcript:DRNTG_26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRTLLDWTAVVRLQSTASISQGMMTLSCPTQASRFQTLLAGLYHPHNRAVYKTYLARYPPSQPSSSNPPPPPPNPALVVSCVC >DRNTG_05437.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12094560:12096023:-1 gene:DRNTG_05437 transcript:DRNTG_05437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSNHAVNNTSGCDAGGATRSAKLPDVTPHSSIPPIHVGSTREYVLKSLGKKWRDYKHDLKTKHFKLEEGLQESANEKLVGCQTIDEDMQMVETEI >DRNTG_30967.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001649.1:31547:34706:1 gene:DRNTG_30967 transcript:DRNTG_30967.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEISKRLGLPCDSEKGDVFEFLKNKDFLLLLDDIWNRVDLPETLGIPLPHQQDQNCGDRGQRYKHKVIFTSRKDDVCAQMKADRRIKVECLCTEDAWRLFKQHANEGFISSSTYHEKLARKVMKKCCGLPLALKVVGSAMSNKKTPEEWKDMLTSLCKLDYQTITHDMEELLFHTLKLSYDNLVSDTLRECFLCCAQWPEDERILAYDLIEYWIGFGLIYDFENIGEAFNRGYSLIRKLNEVCLLELEYDFDSNEDYVKLHDVIHDMALWIFSECGEKKNKLIVVTSDGLRHSLKWEAVSWQETERILLRGFNMKSHLELLSYQNIDENDQASITPTSPRYPNLKSVSIKLNGHCLWYHDSLLNFFPYMPSLIYLNLSGSSISDLSKEIRLLVNLRYLNISCTDIQSLPPELEDLNELKYFICRGFPYGRRVDGLSIMSRLPKLQVLDLFHTTGLEADDLSLLKGRVKGIGMNVTSVGILGLLKYLPTWNISIEGLQNMCTLQLCDLSDKHCEGLMKLRISMCDFDELLINGSVRHIKLGNLKKLKQITWPETVPSECVSRLTYVSFMSCNSLKSLSSVVHLPCLRTLVVECCSAMEELIDPADMQLASFGRAIFPSLQSLNIYNLPNLVSLSTCPLDFPVLSDLYLESCPKLKKLNFKSSIVNNKFKEVMVIGRGLWEGLEWEDTSIQSHLTKFRNI >DRNTG_30967.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001649.1:31547:33890:1 gene:DRNTG_30967 transcript:DRNTG_30967.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEISKRLGLPCDSEKGDVFEFLKNKDFLLLLDDIWNRVDLPETLGIPLPHQQDQNCGDRGQRYKHKVIFTSRKDDVCAQMKADRRIKVECLCTEDAWRLFKQHANEGFISSSTYHEKLARKVMKKCCGLPLALKVVGSAMSNKKTPEEWKDMLTSLCKLDYQTITHDMEELLFHTLKLSYDNLVSDTLRECFLCCAQWPEDERILAYDLIEYWIGFGLIYDFENIGEAFNRGYSLIRKLNEVCLLELEYDFDSNEDYVKLHDVIHDMALWIFSECGEKKNKLIVVTSDGLRHSLKWEAVSWQETERILLRGFNMKSHLELLSYQNIDENDQASITPTSPRYPNLKSVSIKLNGHCLWYHDSLLNFFPYMPSLIYLNLSGSSISDLSKEIRLLVNLRYLNISCTDIQSLPPELEDLNELKYFICRGFPYGRRVDGLSIMSRLPKLQVLDLFHTTGLEADDLSLLKGRVKGIGMNVTSVGILGLLKYLPTWNISIEGLQNMCTLQLCDLSDKHCEGLMKLRISMCDFDELLINGSVRHIKLGNLKKLKQITWPETVPSECVSRLTYVSFMSCNSLKSLSSVVHLPCLRTLVVECCSAMEELIDPADMQLASFGRAIFPSLQSLNIYNLPNLVSLSTCPLDFPVLSDLYLESCPKLKKLNFKSSIVNNKFKEVMVIGRGLWEGLEWEDTSIQSHLTKFRNI >DRNTG_30967.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001649.1:15266:35592:1 gene:DRNTG_30967 transcript:DRNTG_30967.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTPIVMPIVSACLGAFCACINPDIQEKLKFIKKSTKDFLDDAERALKDLKDNYVLRDQELLASRHGMQLTPQAQRWHEKECKDRAIKNFSTVDACASSAIAWMASRSSVPWHRFSLSAEL >DRNTG_30967.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001649.1:15266:15989:1 gene:DRNTG_30967 transcript:DRNTG_30967.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTPIVMPIVSACLGAFCACINPDIQEKLKFIKKSTKDFLDDAERALKDLKDNYVLRDQELLASRHGMQLTPQAQRWHEKV >DRNTG_30967.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001649.1:31547:35159:1 gene:DRNTG_30967 transcript:DRNTG_30967.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISKRLGLPCDSEKGDVFEFLKNKDFLLLLDDIWNRVDLPETLGIPLPHQQDQNCGDRGQRYKHKVIFTSRKDDVCAQMKADRRIKVECLCTEDAWRLFKQHANEGFISSSTYHEKLARKVMKKCCGLPLALKVVGSAMSNKKTPEEWKDMLTSLCKLDYQTITHDMEELLFHTLKLSYDNLVSDTLRECFLCCAQWPEDERILAYDLIEYWIGFGLIYDFENIGEAFNRGYSLIRKLNEVCLLELEYDFDSNEDYVKLHDVIHDMALWIFSECGEKKNKLIVVTSDGLRHSLKWEAVSWQETERILLRGFNMKSHLELLSYQNIDENDQASITPTSPRYPNLKSVSIKLNGHCLWYHDSLLNFFPYMPSLIYLNLSGSSISDLSKEIRLLVNLRYLNISCTDIQSLPPELEDLNELKYFICRGFPYGRRVDGLSIMSRLPKLQVLDLFHTTGLEADDLSLLKGRVKGIGMNVTSVGILGLLKYLPTWNISIEGLQNMCTLQLCDLSDKHCEGLMKLRISMCDFDELLINGSVRHIKLGNLKKLKQITWPETVPSECVSRLTYVSFMSCNSLKSLSSVVHLPCLRTLVVECCSAMEELIDPADMQLASFGRAIFPSLQSLNIYNLPNLVSLSTCPLDFPVLSDLYLESCPKLKKLNFKSSIVNNKFKEVMVIGRGLWEGLEWEDTSIQSHLTKFRNI >DRNTG_35187.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18713140:18713751:1 gene:DRNTG_35187 transcript:DRNTG_35187.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRLLKAPSPEVGEVISASKSSGSEGERRDADHEVEVDKNVYMDVDEDAANGNVAEHLSDTDSDDDVDR >DRNTG_35187.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18713140:18713934:1 gene:DRNTG_35187 transcript:DRNTG_35187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRLLKAPSPEVGEVISASKSSGSEGERRDADHEVEVDKNVYMDVDEDAANGNVAEHLSDTDSDDDVDRD >DRNTG_06206.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000283.1:13876:15789:1 gene:DRNTG_06206 transcript:DRNTG_06206.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNGNLGPSAGVASIGVPFNLVNHDGKSITKKDFLGKWTLIYFGFTHCPDICPDECQNLLLLLTN >DRNTG_06206.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000283.1:13876:15789:1 gene:DRNTG_06206 transcript:DRNTG_06206.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNGNLGPSAGVASIGVPFNLVNHDGKSITKKDFLGKWTLIYFGFTHCPDICPDECQNLLLLLTN >DRNTG_06206.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000283.1:13876:15789:1 gene:DRNTG_06206 transcript:DRNTG_06206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNGNLGPSAGVASIGVPFNLVNHDGKSITKKDFLGKWTLIYFGFTHCPDICPDECQNLLLLLTN >DRNTG_18399.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3901640:3908808:-1 gene:DRNTG_18399 transcript:DRNTG_18399.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPFNGTLFRFPLRSADQAAASKLSRQAYLEDDICSMFNQLYKEAVFTLLFQKNIVSIEMYIWEAGEKEPQKVYAWSINSMNEKTVWHRQALQRFSSSAEFSNWQMDSFSLDILSEAGMGTTVEKRMDTFFLVQGMAPISSQIGAFATAAAKQYDLQLLPWASVAACISDNLTEDDVLKQGQAFCFLPLPVRTGLAVQVNGFFEVSSNRRNIWYGADMDRGGKLRSDWNRLLLEDVVAPLFSELLLNLRNVLGPTKLYYSFWPNGSFEEPWSILVEQIYKIVCSTPVCYSNSEGGKWISPSEAFIHDEEFCKNNHLCEALVLLGMPIVHLPKLLVEMFFRYCTHLKQRLVNTITVRHFLKKCDTLFVLRRSHKLVLVEYCLSDLSNACDHIEGLPLLPLANGEFGPFSVSSMGFSYFLCNEMEFKLLSLVPDRIIDCNIPTNLYNRLSEIASQGKTNITFLDGQSFLQLFPRFFPVEWKFKFRVAWNPESDMMHPTRTWFMLFWQYLRDQCHDLSVYSEWPILPSTSGYLYRASESSKLINAQHLSAIMNELLVKIGCKILNPKYGVEHRQLLLYVYDGDAAGVLNSVFDTVSSDVNQLQILFQELSVDEKNELHSFLLNPDWYYGRNLSDIHIKMCKRLPIYRVHCGGYSDVIQFSDLQISRKYLPPVGIPDHLLDGEFLFCTSASEEAILVKFYGMEHMQKTTFYRQKILNRLDELQPQVRDSVMLSILQDLPQLAVEDSSFKGYLRIMKFVPTNNGSLESPHSLYDPRMEELYALLEESDYFPCDMFQEEHILDMLLLLGLRTSVSADTILQSARQIESLIQKDQSKAYLRGKVLLSYLEINASKWSCNPHNAGQGLVSTVLSKVGRRCHDTSLEADLHRFWNDLKMICWCPVLVDALHPSLPWPSVSSMVAPPKLVRQRGDMWLVSASTRLLAGECSSCLSVSLGWSSPPGGSILAAQLLELGKDNEIVTEQLLRQELALEMPRIYNLLTNLIGSDEMDIVKAVLEGCRWIWVGDGFATVNEVVLDGHLHLAPYIRVIPADLAVFKALFLELGVKEYLKPIDYANILSRMAVRKALNPLDGEEIRAAVLVVQHLAEVQFQDLPAEIYLPDTSCRLFLATDLVFNDAPWLFDIGEHASRDIPNFAFNSATFVHKFAHSNISNDVAERLGVRSLRRLLLAESSDSMNLSLSGVAEAFGQHEALTTRLKHIVEMYADGPGILFELVQNAEDARASEVVFLLDKTHYGTSSILSPEMAEWQGPALYCFNNSVFSSQDLYAISRIGQDSKLEKPFSIGRFGLGFNCVYHFTDIPGFVSGENIVIFDPHANYLPGVSPSHPGLRIRFVGRRILEQFPDQFTPFLHFGCNLQHPFPGTLFRFPLRNEVTASRSQIKQERYTPGDVEALFSCFSEVISEALLFLRNVKCISIFVKDGSGHDMQLVHRVSRKKVVGLQQEPHSLHPMLNFVHGNQQSGMDRDQFLDKLSKIVDKDLPWYCQKVAVVERSPLYCRTHFWVISECIGGGKAKTQAITLGKRYKNFIPWASVAAYLHSVDMKNAEEVCHNMSDEEEIDINQFQLPVDSKFDRKEFEGRAFCFLPLPINTRLPAHINAHFELSSNRRDIWFGNDMAGGGRIRSECNVCLLEDVIGPAYGHLLAVLAEEIGPCDLFFSLWPTDVKLEPWASMVRKLYLSIIDLQFPVLYTKARGGKWITT >DRNTG_18399.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3884897:3904420:-1 gene:DRNTG_18399 transcript:DRNTG_18399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQEEHILDMLLLLGLRTSVSADTILQSARQIESLIQKDQSKAYLRGKVLLSYLEINASKWSCNPHNAGQGLVSTVLSKVGRRCHDTSLEADLHRFWNDLKMICWCPVLVDALHPSLPWPSVSSMVAPPKLVRQRGDMWLVSASTRLLAGECSSCLSVSLGWSSPPGGSILAAQLLELGKDNEIVTEQLLRQELALEMPRIYNLLTNLIGSDEMDIVKAVLEGCRWIWVGDGFATVNEVVLDGHLHLAPYIRVIPADLAVFKALFLELGVKEYLKPIDYANILSRMAVRKALNPLDGEEIRAAVLVVQHLAEVQFQDLPAEIYLPDTSCRLFLATDLVFNDAPWLFDIGEHASRDIPNFAFNSATFVHKFAHSNISNDVAERLGVRSLRRLLLAESSDSMNLSLSGVAEAFGQHEALTTRLKHIVEMYADGPGILFELVQNAEDARASEVVFLLDKTHYGTSSILSPEMAEWQGPALYCFNNSVFSSQDLYAISRIGQDSKLEKPFSIGRFGLGFNCVYHFTDIPGFVSGENIVIFDPHANYLPGVSPSHPGLRIRFVGRRILEQFPDQFTPFLHFGCNLQHPFPGTLFRFPLRNEVTASRSQIKQERYTPGDVEALFSCFSEVISEALLFLRNVKCISIFVKDGSGHDMQLVHRVSRKKVVGLQQEPHSLHPMLNFVHGNQQSGMDRDQFLDKLSKIVDKDLPWYCQKVAVVERSPLYCRTHFWVISECIGGGKAKTQAITLGKRYKNFIPWASVAAYLHSVDMKNAEEVCHNMSDEEEIDINQFQLPVDSKFDRKEFEGRAFCFLPLPINTRLPAHINAHFELSSNRRDIWFGNDMAGGGRIRSECNVCLLEDVIGPAYGHLLAVLAEEIGPCDLFFSLWPTDVKLEPWASMVRKLYLSIIDLQFPVLYTKARGGKWITTRQAIFPDFNFPKVTELAETLSEVGLPLVCVSKQVVDRFMEACPSLHFLSPNLLRSLLIRRKREFKSKAAVLMALEYSLSDMNGSKLSANLQGLPLVPLANGHFTTFSKQGEDDRIFVANQKEYDLLKDSIPHLLLDCSIPDEIFKKLHDLAHSGESNLSPLTCHALVELLPRILPIEWQYARQVSWSPGHLGQPSSEWMRLLWSYLKESCTELSMFSKWPILPVGNDCLLQLVENSNVIRDDGWSENMISLLQKLGCFFLRSDLPIDHPQLKKYVQDATASGVLNAVGAVAHQVQDIDKLFMNASRGELHELRSFIFQSRWFSGGQINSQHTNIIRILPIFEFYGTKELGKLGNSRKWLKPVGVNDDLLDANFIQTESEREKSILKGYLGIQELSKAEFYKDYVLNRMSDFLSHSNLLSAILVDVELLIEDNPAIKNALSETTFVLTANGSWQHPSRLYDPRVPGLQNLLHKEVFFPCDKFLNAEILETLVSLGLRRTLGFTGLLDSARSISMMHDSGNMDALTYGQKLLAYMNALGCKLDSEKKGQRTTSMLLENDDKSHGMRVQSENHECKPCAYDQDLVSHLSDFSYDMSEDEFWLKIKTIAWCPVLITPPVEGVPWFTSDYRIAPPNITRPKSQMWTVSSKMRILDGECCTTYLQCKLGWMDSPNVGVLCTQLIELSKSYQLLKLLSKQEPRLYSALEREIPQIYSKLQNFVGTDDFKDIKEALNGIPWVWVGDNFVLAEALAFDSPVKYHPYLYVVPSELSEFRVLLLDLGVKLTLDTSDYLHVLWRLQHDLKGEQLTAEQLNFVHCILEAFAEASSEINASDAVLNSILIPDISGVLRCTLDLVYNDAPWMDNGKPADKYFVHPCISDDLAKKLGVQSLCFLSLVDEKLTRDLPCMDYARICELLTMYGDNEFLLFDLLELADCCKAKKLHIIYDKREHPRQSLLQHNFGEFQGSSLTVVLEGATLSMEEICGFQHSPPWKIQGNALNYGLGLLSSYFICDFLTIISSGYFYMFDPLGLALAASSSAGPSAKSFSLSGTDLTRRFHDQFIPMIIDKETQLVSSDSTVIRMPLSVKFMNELETGGNRVMKIFDRFIHQASSTLLFLKSVLQVSISSWEKGNLQPSLDFSVSIDPSFAIMRNPFSEKKWRKFQIARLFSSSSAAIKTHVIDVHVSRSGSNTTDKWLVVLCLGSGQTRNMALDKQYLAYNLTPVAGIAACVSQNRQPISAHLSSCMLSPLPLSGNISLPVTVLGCFLACHNGGRYLFSNARTVGFQKQQSHSRNQLIESWNKELLLCVRDSYIEMVMEFQKLKKDPLTSDIESSSARLVSYILRAYGDKIYSFWPRSKKRSAFPVQVGDSANDSNPFKATEADWESLIEQVIRPFYARLVDLPVWQLYSGNVVRADEGMFLFQPGNGEDNDFPPAEVCNFIKEHYPVFSVPSELVSEIQAVGVKVREIKPKMVRDLLKVSTLLLQFVDAYVEVLDYCLSDIHLQHLSEHLRSAMGEARTSYALESMPTQNLNSSIILATSSSSHTRRSNHSGAQSSASSGVDTLEIVSSLGRALYDFGRGVVEDISVAGGLQIQKTAEAGSTIVADQSILLMATELKGVPFPTSSKCLVRLGTTELWIGSKEQQELMHPLAENFIHHRCLDKPTLTELLSNPTLQNLLKLRSFCPRLLASHLKFLFPERWVSHVMNHKLMPWVSWERSPESRSDEPDPEWIRLFWKTFWTLKGEFSLISDWPLIPAFLNRPILCRVKQYQLVFCPPITDTTVDNGVSNLNNEGSEMLNSSQSDTTQSEEVKLYRSEFELMKLRNPWLLHLLNQFNVPVYDVSFLDLGAFCSIFPAPNHSLGQIVVSKLLASKQNGYLTEPTDLSSEDRDRLFDLFVSDFRPSTSYVYKREELDFLRELPIYKTVLGTYTRLYGPEQCIVSPTGFFHPNDERCLSSSAEAGIFFHALGVKELSDQEVFLKFALPGFEGKGPDEQEKILSYLYTNWKDLQLDSNIVTSLKETNFVLSANEQCKDLFKPRNLLDPSDSLLASVFSGERNKFPGERYMSDGWLHILRKTGLRTSSQADVIVECARKLETLGSQTMAHVEDSDDFEAEFSGAHNEISFEIWSLAGSVVDCLFANFATLYDNNFCEMIGKIAFIPAERGFPSIGGKRGGKRILTSYRDAILLKDWPLAWSVAPILIKESFIPPEFSWGAFHLRSPPAFSTVLKHLQVVGRNNGEDTLAHWPTTSGMMTVDDACVEILKYLEKVWGTLSSSDILELQRLSFVPVANGTRLATGKCLFARLTINLSPFAFELPALYLPFVKILKEMGMQEILSIMHARDFLSNIQKLCGYQRLNPNEFRAVMEILNFICDGGSQKGSVGTEWVCDAIVPDDGCRLVLARSCVYMDSYGYHFIGNIDTSRLRFVHPELAENICMALGIKKLSDVVVEELDESLQLEVVDQIGSVLVHKITDKLLSKSFQDAVWILMNSITNHFPSFDGRNLCQIQSSLRHVAEKLQFVRSLHTRYLLLPKYVDITRITKVSVIQEWEGSTGHRVVHFVDKTHSRILVADPPSCISLYDVIAVVVSQVLRAPTVLPIGLLFSCPENSDKALLSLLNLGSEGGVVKSSGKNKLLVGEELLPQDALQVQFLPLRPVYSGELVAWKTLKNGEKLRYGRVLEDARPSAGQALYRFPVEIAPGENQALLSSQVFSFKSVSTIELASSSSLPERSESETQNLTQHSQTSEARGKGIPVSKNAQELQYGRVSASELVQAVQDMLSSAGINMDAEKQSLIHTTLTLQEQLKESQVALLVEQEKADASSKEADVAKAAWVCRVCLNNEVNVTIVPCGHVLCQRCSAAVSRCPFCRAQVSKIMKIFRP >DRNTG_21682.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1046927:1047810:1 gene:DRNTG_21682 transcript:DRNTG_21682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSSASYIRMVQHLIERCLLFNMSKEESVEAISQHANINPIITSTVWKELEKENKEFFEGYTRAREESLAETNNTSPV >DRNTG_08140.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5386955:5389982:-1 gene:DRNTG_08140 transcript:DRNTG_08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sm-like protein LSM2 [Source:Projected from Arabidopsis thaliana (AT1G03330) UniProtKB/TrEMBL;Acc:A0A178W785] MLFFSYFKELVGKEVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMLSVRNCFIRGSVVRYVQLPPDGVDIDILHDATRREARGA >DRNTG_01611.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11981148:11983711:1 gene:DRNTG_01611 transcript:DRNTG_01611.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCHISVRPTHRGSRTPLWLLFPTKRIIKVSRTPVWIFRTGVSIHKPNPQGQTHAHVSFVTGSPTGSSPRPGASPHPCAVSGWALNKNARPCGNSTRWCFFLGYL >DRNTG_02301.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:769646:772717:1 gene:DRNTG_02301 transcript:DRNTG_02301.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKNRVFSLLIVLVLWFMISGKFLQTAESLTVGINYGQIANNLPSPTRVAGLVSSLNISKVKLYDADPNVLRAFLNSQVEFVIGIGNENVANMTDYSKALSWVQNHIQPYLPRTRITCVTVGNEVYSGNDTTLKSNLLPAMQSIYAALVALGLNKQVNVTTAHSVAILGNSYPPSAGSFRSDVAEFIQPLLNFHAMANSPFLINVYPFFAYKDNPDSISLDYVLFPTKFWNHRPQHQSQL >DRNTG_02301.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:769646:772717:1 gene:DRNTG_02301 transcript:DRNTG_02301.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKNRVFSLLIVLVLWFMISGKFLQTAESLTVGINYGQIANNLPSPTRVAGLVSSLNISKVKLYDADPNVLRAFLNSQVEFVIGIGNENVANMTDYSKALSWVQNHIQPYLPRTRITCVTVGNEVYSGNDTTLKSNLLPAMQSIYAALVALGLNKQVNVTTAHSVAILGNSYPPSAGSFRSDVAEFIQPLLNFHAMANSPFLINVYPFFAYKDNPDSISLDYVLFPTKFWNHRPQHQSQL >DRNTG_02301.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:772970:775214:1 gene:DRNTG_02301 transcript:DRNTG_02301.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMKTRGRLPNAVTFTALINGFSRCGEMDHARHLFDEMLTSGVSPNSLTYSVLLKGVLKQRRVEQADELMRELWSRMAMEKDSSINSAAFANLVDALCREGFFHEVFRIAEEMPQGKFVCEEFAYSQMIDSLCGAGKHHGASRIVYIMRKRGFFPSIVSYSCIIHGLSKEGEGGCMRAYQLFKEGIGFGYSLPEPTYKALIEGLCRQKDLDKAKEVLEFMLQKDGVDNTRIYNMFLSSLHLVDNPSEQLNVLVLMLQKQCQPDVVTLNIVIHGFCKIGRVNEAIKILDDMLSGTFAGPDVVTFTAIIGGLLEIAKPKEAVDLLRRKMAECQCTPNVVTYNVVIGGLCKLKMIDEAMEIFNEMVAKGVIADCTTYTVIIDGLFNASRLEDAKKFWDETVWPSKLHNDYVYAAIFRGLCHLGELNEACDFLYELVNCGVTPGIVSYNILIDNACKQGLKREAYQIMSEIRKNGLEPDAVTWRILGKFHEKERKAEDSGSESSSTMREDEECDNKNEQCADTLEGLVDPSLSNALFEDNIEDENCKASEGIRQQTHSNQEQREPLSRIARRVFGLL >DRNTG_02301.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:770604:774998:1 gene:DRNTG_02301 transcript:DRNTG_02301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSLTNLVVTPPTKNLVIILRLLSNTSAHQNHDEEKQSVSNRAYWTKRIHGLCAQDHNPDEALRLLDRLRLRGYRPDPLNIASIIHALCDLHRFADAHRRLLFSIASLCLPDDRTANVLLARLLDARTPLPTLQVLNSLIAAKPSFVPSLTNYNRLIDQLSSDSHPSEARDLMVSMKTRGRLPNAVTFTALINGFSRCGEMDHARHLFDEMLTSGVSPNSLTYSVLLKGVLKQRRVEQADELMRELWSRMAMEKDSSINSAAFANLVDALCREGFFHEVFRIAEEMPQGKFVCEEFAYSQMIDSLCGAGKHHGASRIVYIMRKRGFFPSIVSYSCIIHGLSKEGEGGCMRAYQLFKEGIGFGYSLPEPTYKALIEGLCRQKDLDKAKEVLEFMLQKDGVDNTRIYNMFLSSLHLVDNPSEQLNVLVLMLQKQCQPDVVTLNIVIHGFCKIGRVNEAIKILDDMLSGTFAGPDVVTFTAIIGGLLEIAKPKEAVDLLRRKMAECQCTPNVVTYNVVIGGLCKLKMIDEAMEIFNEMVAKGVIADCTTYTVIIDGLFNASRLEDAKKFWDETVWPSKLHNDYVYAAIFRGLCHLGELNEACDFLYELVNCGVTPGIVSYNILIDNACKQGLKREAYQIMSEIRKNGLEPDAVTWRILGKFHEKERKAEDSGSESSSTMREDEECDNKNEQCADTLEGLVDPSLSNA >DRNTG_02301.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:772970:774998:1 gene:DRNTG_02301 transcript:DRNTG_02301.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMKTRGRLPNAVTFTALINGFSRCGEMDHARHLFDEMLTSGVSPNSLTYSVLLKGVLKQRRVEQADELMRELWSRMAMEKDSSINSAAFANLVDALCREGFFHEVFRIAEEMPQGKFVCEEFAYSQMIDSLCGAGKHHGASRIVYIMRKRGFFPSIVSYSCIIHGLSKEGEGGCMRAYQLFKEGIGFGYSLPEPTYKALIEGLCRQKDLDKAKEVLEFMLQKDGVDNTRIYNMFLSSLHLVDNPSEQLNVLVLMLQKQCQPDVVTLNIVIHGFCKIGRVNEAIKILDDMLSGTFAGPDVVTFTAIIGGLLEIAKPKEAVDLLRRKMAECQCTPNVVTYNVVIGGLCKLKMIDEAMEIFNEMVAKGVIADCTTYTVIIDGLFNASRLEDAKKFWDETVWPSKLHNDYVYAAIFRGLCHLGELNEACDFLYELVNCGVTPGIVSYNILIDNACKQGLKREAYQIMSEIRKNGLEPDAVTWRILGKFHEKERKAEDSGSESSSTMREDEECDNKNEQCADTLEGLVDPSLSNA >DRNTG_02301.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:772857:775362:1 gene:DRNTG_02301 transcript:DRNTG_02301.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSLTNLVVTPPTKNLVIILRLLSNTSAHQNHDEEKQSVSNRAYWTKRIHGLCAQDHNPDEALRLLDRLRLRGYRPDPLNIASIIHALCDLHRFADAHRRLLFSIASLCLPDDRTANVLLARLLDARTPLPTLQVLNSLIAAKPSFVPSLTNYNRLIDQLSSDSHPSEARDLMVSMKTRGRLPNAVTFTALINGFSRCGEMDHARHLFDEMLTSGVSPNSLTYSVLLKGVLKQRRVEQADELMRELWSRMAMEKDSSINSAAFANLVDALCREGFFHEVFRIAEEMPQGKFVCEEFAYSQMIDSLCGAGKHHGASRIVYIMRKRGFFPSIVSYSCIIHGLSKEGEGGCMRAYQLFKEGIGFGYSLPEPTYKALIEGLCRQKDLDKAKEVLEFMLQKDGVDNTRIYNMFLSSLHLVDNPSEQLNVLVLMLQKQCQPDVVTLNIVIHGFCKIGRVNEAIKILDDMLSGTFAGPDVVTFTAIIGGLLEIAKPKEAVDLLRRKMAECQCTPNVVTYNVVIGGLCKLKMIDEAMEIFNEMVAKGVIADCTTYTVIIDGLFNASRLEDAKKFWDETVWPSKLHNDYVYAAIFRGLCHLGELNEACDFLYELVNCGVTPGIVSYNILIDNACKQGLKREAYQIMSEIRKNGLEPDAVTWRILGKFHEKERKAEDSGSESSSTMREDEECDNKNEQCADTLEGLVDPSLSNALFEDNIEDENCKASEGIRQQTHSNQEQREPLSRIARRVFGLL >DRNTG_02301.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:772970:775362:1 gene:DRNTG_02301 transcript:DRNTG_02301.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMKTRGRLPNAVTFTALINGFSRCGEMDHARHLFDEMLTSGVSPNSLTYSVLLKGVLKQRRVEQADELMRELWSRMAMEKDSSINSAAFANLVDALCREGFFHEVFRIAEEMPQGKFVCEEFAYSQMIDSLCGAGKHHGASRIVYIMRKRGFFPSIVSYSCIIHGLSKEGEGGCMRAYQLFKEGIGFGYSLPEPTYKALIEGLCRQKDLDKAKEVLEFMLQKDGVDNTRIYNMFLSSLHLVDNPSEQLNVLVLMLQKQCQPDVVTLNIVIHGFCKIGRVNEAIKILDDMLSGTFAGPDVVTFTAIIGGLLEIAKPKEAVDLLRRKMAECQCTPNVVTYNVVIGGLCKLKMIDEAMEIFNEMVAKGVIADCTTYTVIIDGLFNASRLEDAKKFWDETVWPSKLHNDYVYAAIFRGLCHLGELNEACDFLYELVNCGVTPGIVSYNILIDNACKQGLKREAYQIMSEIRKNGLEPDAVTWRILGKFHEKERKAEDSGSESSSTMREDEECDNKNEQCADTLEGLVDPSLSNALFEDNIEDENCKASEGIRQQTHSNQEQREPLSRIARRVFGLL >DRNTG_23126.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:250857:252387:-1 gene:DRNTG_23126 transcript:DRNTG_23126.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRERSNDAKKERPKRSVSFNWPGTKMMVSSPESPRQMRRPKTQPDLFSWRTADHAPPPALQDHLHLRPLKTAKEERLPSKLLVNVTITRSLGAVQVMASTLWTVNDLIAATVELYIKEGRRPLLPTTLLSQFTLHYSQFSLEGLDPNEKLKELGSRTFFLYIKSTAATASCSDQVQNSSKIDERWFNFASFLL >DRNTG_23126.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:250857:253470:-1 gene:DRNTG_23126 transcript:DRNTG_23126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRERSNDAKKERPKRSVSFNWPGTKMMVSSPESPRQMRRPKTQPDLFSWRTADHAPPPALQDHLHLRPLKTAKEERLPSKLLVNVTITRSLGAVQVMASTLWTVNDLIAATVELYIKEGRRPLLPTTLLSQFTLHYSQFSLEGLDPNEKLKELGSRTFFLYIKSTAATASCSDQVQNSSKIDERWFNFASFLL >DRNTG_04804.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2382171:2386539:1 gene:DRNTG_04804 transcript:DRNTG_04804.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEEAHNVRVVGSGERTIVLAHGFGTDQSVWKHLVPHLVNEYRVVLFDNMGAGPTNPDYFDFERYASLQGYVLDLLAIFEELVITSPVIYVGHSVSGAIGLIASIHRPDLFSKIVLISASPRYINDVDYYGGFDQEELDQLFDAMKQNYKSWASGWAPLCVGGDMESVAVQEFSRTLFNIRPDIALSVAQTIFQSDLRGILGLVSVPCHILQSTKDLAVPIVISEYLHKHLGGDSIVEVMPSDGHLPQLSSPEIVISVILRHIRFDIET >DRNTG_04804.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2382218:2386459:1 gene:DRNTG_04804 transcript:DRNTG_04804.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEEAHNVRVVGSGERTIVLAHGFGTDQSVWKHLVPHLVNEYRVVLFDNMGAGPTNPDYFDFERYASLQGYVLDLLAIFEELVITSPVIYVGHSVSGAIGLIASIHRPDLFSKIVLISASPRYINDVDYYGGFDQEELDQLFDAMKQNYKSWASGWAPLCVGGDMESVAVQEFSRTLFNIRPDIALSVAQTIFQSDLRGILGLVSVPCHILQSTKDLAVPIVISEYLHKHLGGDSIVEVMPSDGHLPQLSSPEIVISVILRHIRFDIET >DRNTG_04804.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2382052:2386539:1 gene:DRNTG_04804 transcript:DRNTG_04804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEEAHNVRVVGSGERTIVLAHGFGTDQSVWKHLVPHLVNEYRVVLFDNMGAGPTNPDYFDFERYASLQGYVLDLLAIFEELVITSPVIYVGHSVSGAIGLIASIHRPDLFSKIVLISASPRYINDVDYYGGFDQEELDQLFDAMKQNYKSWASGWAPLCVGGDMESVAVQEFSRTLFNIRPDIALSVAQTIFQSDLRGILGLVSVPCHILQSTKDLAVPIVISEYLHKHLGGDSIVEVMPSDGHLPQLSSPEIVISVILRHIRFDIET >DRNTG_04804.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2382218:2386539:1 gene:DRNTG_04804 transcript:DRNTG_04804.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEEAHNVRVVGSGERTIVLAHGFGTDQSVWKHLVPHLVNEYRVVLFDNMGAGPTNPDYFDFERYASLQGYVLDLLAIFEELVITSPVIYVGHSVSGAIGLIASIHRPDLFSKIVLISASPRYINDVDYYGGFDQEELDQLFDAMKQNYKSWASGWAPLCVGGDMESVAVQEFSRTLFNIRPDIALSVAQTIFQSDLRGILGLVSVPCHILQSTKDLAVPIVISEYLHKHLGGDSIVEVMPSDGHLPQLSSPEIVISVILRHIRFDIET >DRNTG_04804.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2382171:2386459:1 gene:DRNTG_04804 transcript:DRNTG_04804.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEEAHNVRVVGSGERTIVLAHGFGTDQSVWKHLVPHLVNEYRVVLFDNMGAGPTNPDYFDFERYASLQGYVLDLLAIFEELVITSPVIYVGHSVSGAIGLIASIHRPDLFSKIVLISASPRYINDVDYYGGFDQEELDQLFDAMKQNYKSWASGWAPLCVGGDMESVAVQEFSRTLFNIRPDIALSVAQTIFQSDLRGILGLVSVPCHILQSTKDLAVPIVISEYLHKHLGGDSIVEVMPSDGHLPQLSSPEIVISVILRHIRFDIET >DRNTG_34097.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23008431:23015183:-1 gene:DRNTG_34097 transcript:DRNTG_34097.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:no exine formation 1 [Source:Projected from Arabidopsis thaliana (AT5G13390) TAIR;Acc:AT5G13390] MMTDDPFISRPHPRAFISTSASAPSFPTFSSPSHSPSQNPSPNPSSRHSSGGRLHHQSAASGFTHNARIAIALAPSAAFLLSLGGTPSLLVLSAGLLISYLLDSLRLPTGSFLTLWLSLSTSLIALVFSSPLPHSSVPLSILAILFSSLTTFFIGVWASLQFKWLHIENPSIVLALERLLFACLPIASPVLFTWAVVSALGFSSAAFFFAAVSCIFYWLYALPRPSSFKSSDSQILGPFEGCIHTLYLLFAPVLFRIGSHHSTVFSSFSSFCDILLLFFVPFLFQLYASTRGALWWVTRDNYQMHRIRVVNGAVAMVVVVIALEVRVVFHSFGRYLHAPPPLNYLLVTVTMLGGASAVGAYAVGLIEDAFTSAAFTALSVLVSAAGAIVIGFPIVFIPLPMISGFYLARFFTKKSLSSYFGFVVLATLMVLWFVVHNYWDLNIWMAGMPLKSFCKLIVGSVLLALAIPGLALLPPKLRFLTELGLISHTLLLCYIEDRFFNYTTIYYFGFDGDVMYPSYMVLLTTFLGLALVRRLSVDHRIGPKAVWLLTCLYSSKLAMLFLSSKSVVWVSAVLLLAISPPLLLYKDKFKGASRMKPWQGYAHASVVAISAWLCRETIFEALQWWNGRPPSDGLLLGSYILLSGIACIPIVALHFPHVQSAKRFLVLVAAAGLLFIFMQPPVPLSWAYRSDLIRAAHQSSDDISIYGFVAAKPTWPSWLLIATVLLSLAAITSAIPIKYMVELRAFYAVGVGLTLGIYIAAEYFFQSIILYPFIVATIVCASVFAVFTHLPSASSTRLLPWVFALLVALFPVTYLLEGQVRAKNIGEDEEADRFSTMLAVEGARLSLLGLYAMLFMVIALEIKFELASLLHGKTVDKGVASNQPGRNPGFPPRLRLTQQRRTSAPPSFSIKRLAAEAAWMPAVGNVSTVLCFTICLLLNMHLTGGSNRAIFFLAPILLLLNQDSDIFAGFGDRQRYFPVTLAISGYLVLTALYRIWEEAWHGNAGWGLEIGGPGWFFAVKNVALLVLTLPNHILFNRFMWDFVKQTDTMLLLTMPLNLPSIMMTDIVTIRVLGLLGIIYSLAQYLVSRQIRIAGMKYI >DRNTG_18196.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000938.1:10564:11916:1 gene:DRNTG_18196 transcript:DRNTG_18196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKSPRPCVTETRVEIARCQARRMTKKPSNELPKLNPKSRKQQKNETHGHGFVTHG >DRNTG_27539.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:829012:834179:-1 gene:DRNTG_27539 transcript:DRNTG_27539.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic recombination protein DMC1 homolog [Source:Projected from Arabidopsis thaliana (AT3G22880) UniProtKB/Swiss-Prot;Acc:Q39009] MMHTKKSLTSIKGLSEAKVEKICEAAEKLVNVGYVTGSDLLIKRKSVIKITTGSQALDELLGGGIETLAITEAFGEFRSGKTQLAHTLCVSTQLPIHMHGGNGKVAYIDTEGTFRPDRIVPIAERFGMDAGAVLDNIIYARAYTYEHQYNLLLGLAAKMSEEPFRLLIVDSVIALFRVDFTGRGELAERQQKLAQMLSRLIKIAEEFNVAVYMTNQVIADPGGGVFISDPKKPAGGHVLAHASTIRLMLRKGKGEQRVCKIFDAPNLPEAEAIFQITPGGIADAKD >DRNTG_27539.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:830309:834179:-1 gene:DRNTG_27539 transcript:DRNTG_27539.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic recombination protein DMC1 homolog [Source:Projected from Arabidopsis thaliana (AT3G22880) UniProtKB/Swiss-Prot;Acc:Q39009] MIDLKYEDQGQLQLVDREEVEDEDDCFEAIDKLIAQGINAGDIKKLQDAGIYTVNGVMMHTKKSLTSIKGLSEAKVEKICEAAEKLVNVGYVTGSDLLIKRKSVIKITTGSQALDELLGGGIETLAITEAFGEFRSGKTQLAHTLCVSTQLPIHMHGGNGKVAYIDTEGTFRPDRIVPIAERFGMDAGAVLDNIIYARAYTYEHQYNLLLGLAAKMSEEPFRLLIVDSVIALFRVDFTGRGELAERQQKLAQMLSRLIKIAEEFNVAVYMTNQVIADPGGGVFISDPKKPAGGHVLAHASTIRLMLRKGKGEQRVCKIFDAPNLPEAEAISFFHFPSFIYLKNPLKISIFKKLILNH >DRNTG_13960.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:12246350:12247826:1 gene:DRNTG_13960 transcript:DRNTG_13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKTELCNKWEELGECPYGEHCQFAHGISELRPVIRHPRYKTEVCRMVLSGDTLPLWPSLPFPPCYLPSDRLN >DRNTG_30545.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:2358220:2362031:1 gene:DRNTG_30545 transcript:DRNTG_30545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPSMPVCGSQGRPKGRVHAPVCSQDKPPNSAGIDTPMRKLATGV >DRNTG_26324.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21457816:21458913:1 gene:DRNTG_26324 transcript:DRNTG_26324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVINSTHNLLFLLLLLLLSNLLTFLLFSSPSCPSIPSQPSPPSLPPEFLTFTSPQPLPFGHNPNFDSSTLHPPVGHSCTLFLPDLLRFSSYPINGSCPDDELLSQKLLLRGCEPLPRRRCRPPSPPNFPEPSPLPLSLWSTPPDSSIHWSSYSCKSFSCLIARKHLPNFDDCKDCFDLSGRELSRWVTPSTNPLDFTIDSVLSSKPPGTIRIGLDIGGGSGTFAVRMLERNVTIVTTSMNLNGPFNSFIAARGVVPLYVSVSQRLPFFDNTLDIVHSMHVLSNWIPTTLLHFIMFDVYRVLRPGGVFWLDHFFFVEDQMEVYVGVIESVGFEKVKWVVGRKLDRGPEIREMYISALLEKPLKNSW >DRNTG_13645.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15789184:15789558:-1 gene:DRNTG_13645 transcript:DRNTG_13645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKILEMSSSSSAKDANVPFFKGDNYNLWSLMMKTMFRSKDLWKLVEKGFNEEEDTTRINESLKMDAKALYLIQQAVDPRILVKIFEAKAAKEAWDIIKIEFLWRFRQHHNSITFSSVGI >DRNTG_02074.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000104.1:68158:71858:1 gene:DRNTG_02074 transcript:DRNTG_02074.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSHLLLLCQLTFILLIFSSSNAFKYNILSFGAKPDGRSDSTKSFIKAWQAACKSSSSATIYVPQGKFVLGLTKFNGPCKNNDIRFSIDGTLLAQSSKVGLGKLSQWIVFDNVQGVKIDGGTFDGRGKAVWAYKTSTSCWGCSLGASSITFTNSKDIEITRLISMNSELYHIVILKCKDVKVAEVSVKAPWKSPNTDGIHVQMSTGVSITKTTIKTGDDCISIGPGTKNLMIEQVFCGPGHGISIGSLGKGEDEVEAVENVTVRNSMLTGTENGVRIKTWARPSNGYVKAITFENILMRDVNNPIIIDQNYCPHGKSCPDKSSGIKISQVMYKNIKGSSATPIAMKFECSESNPCSALTLQNIALTYQNHPSKSSCQHALGHISGSIVPPSCF >DRNTG_02074.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000104.1:70205:71858:1 gene:DRNTG_02074 transcript:DRNTG_02074.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGTENGVRIKTWARPSNGYVKAITFENILMRDVNNPIIIDQNYCPHGKSCPDKSSGIKISQVMYKNIKGSSATPIAMKFECSESNPCSALTLQNIALTYQNHPSKSSCQHALGHISGSIVPPSCF >DRNTG_05229.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000236.1:72090:81958:-1 gene:DRNTG_05229 transcript:DRNTG_05229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEVFYGSDIVILEEEELIATLFECDVERLSNLSSGTCIELGIVDLSTGQKYCRIHAVIERTPLDGKQVNKQKSLQRFTLLHSQLSPSGRLSLSPLTILWPQKLLEHGGNKEAMENKKISFGRKEKKTGISYYPHTTQFNSLTSSMDAQFGKVNAQLTQHAEQFSEICSVLRNLQASVKSLEHQLGEHAKTHSECPLGCLPSNTEDNPREH >DRNTG_31882.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21069758:21070354:1 gene:DRNTG_31882 transcript:DRNTG_31882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINETVTPDRTGRLPVILLSLSSSPSSSPTSLQRRKSTKLGPPNSLLVPSLPSPSSFLGFDQVHRKARRTSAGH >DRNTG_16769.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22177039:22178025:1 gene:DRNTG_16769 transcript:DRNTG_16769.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAIGTTPTPTPPPAQLTTTSDAGESCWAKRKRSKRHHRYFDHPPTEEEYLALCLVMLARGGSDHRPVFRSPPPLFPATQNSYQCSVCDKAFSSYQALGGHKASHRKPMDESTAATAVQVAPASSATSGSVSGGVRVHRCGICSKVFPSGQALGGHKRCHYDGTPGSASGSGAAVSETTTTTTSSVFRGFDLNLPAVPELARCLSVVGEEEEVQSPLPLKKPRLLLA >DRNTG_14372.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:397154:398289:1 gene:DRNTG_14372 transcript:DRNTG_14372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLQYRRFHGFFFVCQVAAMLGRFVSDTCTYFQQYGGLLNALHFSAEPRNTVERLWDILLWAIQWEVWHLLHITPRTNPNEGRDNPIPPRPVLATHTTIMILQEHLNQAWRWIEELERLVVSHSHGLEFFNVHMENMRISYLGAAYGHVPNVPTAIPLFGPPLCPENSHSDLEPPSADYGDEAASDFFIADL >DRNTG_32402.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17642005:17645003:1 gene:DRNTG_32402 transcript:DRNTG_32402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSISKLYNLQTLRLKHCNELMCLPRGITGLSNLRHLEANILLTSEIAGIGKLTYLQKLAKFTVRQRMGFHITELKDMTELRGRLCISDLENDQWRRVIEDMPCLEEWAIAQDGVFPCITKIRPHHQHQGRNQGGLAGASTPPQLQRSLYPAGGTNGLSILFSPSSPSTSRLQPLQLPRRRGEVGAFLVAVFFHHLCPLAWLLHMNILWKRALRRSQQHGQHDHMISLTTKPHNIKHLKISDYFELNYFPKETRLPDTLKLLTLCNCANLLCLPPLLHVSGLETLVITNCPLAKLPVDGLPAELQELHIDSCLDDVKEWDKMVHVLGKSEKNTQRTLKEDEIIFDAVNQNSPPFYLLY >DRNTG_28199.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19686407:19695395:-1 gene:DRNTG_28199 transcript:DRNTG_28199.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEKPAVAGPARVPTMVEGPPAASSSEPQKLAPVNDQRVRFTVELRPGETTIVSWKRLLKESGRGGGSAAVSSGVDPAFGGQVQDAEGELKDGQPSNRFSAVIEKIERLYMGKHSSDEEELDDVPDDDEYDTEDSFIDDAELDEYFQVEKSTTKHNGYFVNKGKLERIEPSSSPNPEPKKRKRKDSTRNHSEKDGEHNLREPLNMGNMRIKSAARDVPSTGKKSIPSTVLTPFPEHNQETKNLKSKVNVPSIAHKKRTLDLDVNSENLLYMKTPYKVASTLPPESKDYDKQKAGLIPSRDSYKSRGPVESSDAMYPVPWDKAVPSQVESQPKKLSNGETDTEASMKIRRKERSRYSDFSDFNSSADIYHGQHSSSQRMKEDAVAVRPKGTTLERAIRDLEKIVAACRPPDLNVQEIDPSTQAIKRRLPQEVKQKLAKVARLSVNHCKISKNNLIDRLMGILGHLVQRRTLKRNMKEMVELGLSAKQEKAYRFQQIKKEVNEMIRSQPSLLKSKVAEKQDGSADDFQEAPVTDEKRALKKAFIMDKALEDKICDLYDLYVEGMDEDKGPQSRKLYVELAELWPSGHMDNAGIKEAIYRSKQRRRATYTRHKVRDEERIKRKRLALATRPDETLSAQVRTGQERPAAESSSQLNILPDKQLSNHINAPLGRPTESTQHMSAQGHHFGSENYEKARGSGSTNIMLTEDGYYNVKKKVKRRPESDQGEIQVHPVKPSYQDGKERHKPPKQGDDPSSNNLYRPNLALPAPSVSEFQN >DRNTG_28199.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19686407:19695395:-1 gene:DRNTG_28199 transcript:DRNTG_28199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEKPAVAGPARVPTMVEGPPAASSSEPQKLAPVNDQRVRFTVELRPGETTIVSWKRLLKESGRGGGSAAVSSGVDPAFGGQVFSGANVQDAEGELKDGQPSNRFSAVIEKIERLYMGKHSSDEEELDDVPDDDEYDTEDSFIDDAELDEYFQVEKSTTKHNGYFVNKGKLERIEPSSSPNPEPKKRKRKDSTRNHSEKDGEHNLREPLNMGNMRIKSAARDVPSTGKKSIPSTVLTPFPEHNQETKNLKSKVNVPSIAHKKRTLDLDVNSENLLYMKTPYKVASTLPPESKDYDKQKAGLIPSRDSYKSRGPVESSDAMYPVPWDKAVPSQVESQPKKLSNGETDTEASMKIRRKERSRYSDFSDFNSSADIYHGQRMKEDAVAVRPKGTTLERAIRDLEKIVAACRPPDLNVQEIDPSTQAIKRRLPQEVKQKLAKVARLSVNHCKISKNNLIDRLMGILGHLVQRRTLKRNMKEMVELGLSAKQEKAYRFQQIKKEVNEMIRSQPSLLKSKVAEKQDGSADDFQEAPVTDEKRALKKAFIMDKALEDKICDLYDLYVEGMDEDKGPQSRKLYVELAELWPSGHMDNAGIKEAIYRSKQRRRATYTRHKVRDEERIKRKRLALATRPDETLSAQVRTGQERPAAESSSQLNILPDKQLSNHINAPLGRPTESTQHMSAQGHHFGSENYEKARGSGSTNIMLTEDGYYNVKKKVKRRPESDQGEIQVHPVKPSYQDGKERHKPPKQGDDPSSNNLYRPNLALPAPSVSEFQN >DRNTG_28199.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19686407:19695395:-1 gene:DRNTG_28199 transcript:DRNTG_28199.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEKPAVAGPARVPTMVEGPPAASSSEPQKLAPVNDQRVRFTVELRPGETTIVSWKRLLKESGRGGGSAAVSSGVDPAFGGQVFSGANVQDAEGELKDGQPSNRFSAVIEKIERLYMGKHSSDEEELDDVPDDDEYDTEDSFIDDAELDEYFQVEKSTTKHNGYFVNKGKLERIEPSSSPNPEPKKRKRKDSTRNHSEKDGEHNLREPLNMGNMRIKSAARDVPSTGKKSIPSTVLTPFPEHNQETKNLKSKVNVPSIAHKKRTLDLDVNSENLLYMKTPYKVASTLPPESKDYDKQKAGLIPSRDSYKSRGPVESSDAMYPVPWDKAVPSQVESQPKKLSNGETDTEASMKIRRKERSRYSDFSDFNSSADIYHGQHSSSQRMKEDAVAVRPKGTTLERAIRDLEKIVAACRPPDLNVQEIDPSTQAIKRRLPQEVKQKLAKVARLSVNHCKISKNNLIDRLMGILGHLVQRRTLKRNMKEMVELGLSAKQEKAYRFQQIKKEVNEMIRSQPSLLKSKVAEKQDGSADDFQEAPVTDEKRALKKAFIMDKALEDKICDLYDLYVEGMDEDKGPQSRKLYVELAELWPSGHMDNAGIKEAIYRSKQRRRATYTRHKVRDEERIKRKRLALATRPDETLSAQVRTGQERPAAESSSQLNILPDKQLSNHINAPLGRPTESTQHMSAQGHHFGSENYEKARGSGSTNIMLTEDGYYNVKKKVKRRPESDQGEIQVHPVKPSYQDGKERHKPPKQGDDPSSNNLYRPNLALPAPSVSEFQN >DRNTG_24021.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16914858:16915294:-1 gene:DRNTG_24021 transcript:DRNTG_24021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTHVLATKLSAKLIEIRKNKTCPWLMAR >DRNTG_21524.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1487378:1489083:1 gene:DRNTG_21524 transcript:DRNTG_21524.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKGLVELIVLNIGKDRKVLNDETFAIMILMALFTTFITTPIVMAIYKPARPAIPYKHRTIKRNDTQSELRILTCFRGNSNIPTLVNLIESSRGIRRHGLTVYALHLMELSERSSAIYMVHRARRNGLPFWNKTANSGSGDHYVVAFEAFQRLSHVSVRPMTCISDLQTMHGDILDSAQQKRVALIVLPFHKTMQPDGSFQSFGRAYQQINQRVLERAPCSVGILIDRGFGGKAQILASDVSYRIGVIFFGGADDREALAYGARVAEHPGIALIVFRLSLLSTEVSITMDATDRAADDAAIAAVRASDESIRFQESEVGTHAEFATSIKDLGRCNLLLVGKSTPTLGPRPSLDCPELGPVGSYLATDEFSNTTSVLVLQQYDAAAHRVQTQQVQCADLADSSDTG >DRNTG_20303.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5422089:5426068:1 gene:DRNTG_20303 transcript:DRNTG_20303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNVPTKKFGGLWRSRHWVAFRKIHGIWYNLDSDLKAGKPFQNDEHVLEYLDNIISQGGQVFIILHEKCSL >DRNTG_09584.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23642979:23646071:-1 gene:DRNTG_09584 transcript:DRNTG_09584.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYPLTTVLVTGAGGKTGQLAYEKLKQRADQFIGRGLVRRPESKEKLGGADDVFVGDIRDTESIIPALQGVDALIILTSAVPKMKPGFDPTKGGRPEFYFEDGLFPEQVDWIGQKNQIDAAKAVGVKHIVLVGSMGGTNPNHPLNSLGNGNILVWKRKSEQYLADSGIPYTIIRAGGLQDKDGGLRELIVGEDDELLQTENKLIPRADVAEVCIQALQFEEAKFKAFDLGSKPDGVGTPTKDFKALFSQVSARF >DRNTG_09584.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23645107:23646071:-1 gene:DRNTG_09584 transcript:DRNTG_09584.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYPLTTVLVTGAGGKTGQLAYEKLKQRADQFIGRGLVRRPESKEKLGGADDVFVGDIRDTESIIPALQGVDALIILTSAVPKMKPGFDPTKGGRPEFYFEDGLFPEQVDWIGQKNQIDAAKAVGVKHIVLVGSMGGTNPNHPLNSLGNGNILVWKRKSEQYLADSGIPYTIIRYFFVDFAVRWDEM >DRNTG_19076.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16612588:16613420:-1 gene:DRNTG_19076 transcript:DRNTG_19076.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGQQTFKISAKNSTHGNFNGGKRWT >DRNTG_06811.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000308.1:12300:16145:1 gene:DRNTG_06811 transcript:DRNTG_06811.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPIVKVVLGSIAFGIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVIFRVISPDEAYAAIDLPILGLLFGTMVVSIYLERADMFKYLGKLLCWKSQGGKDLLCRIVIVSAVSSALFTNDTCCVVLTEFILKIARQNNLPPQPFLLALASSANIGSSATPIGNPQNLVIAVQSKISFGKFLFGILPAMLAGIVVNCIILLCYFWKLLSVQKDVEVVASASEVVAEDDVISHRFSPATMSHVGSPNSQQWTPALDGVMPSFQSGRDAGQNESLRNRTFDNENNIQAVLNDAVDSAKISTSSREAAGSAGTSQRRDEPASTRLMRTFSSHTNGSRELTSLQSEDGKEGLIERWKILLWKTSVYLVTLGMLISLLMGLNMSWTAVTAALALIVLDFKDAGPSLEKVSYSLLIFFCGMFITVDGFNRTGIPSALWDFMEPHAQINSVGGTALLSLVIIVLSNIASNVPTVLLLGARVAASAAAISPAEESKAWLLLAWVSTVAGNLSLLGSAANLIVCEQARRAKYFGYNLSFFGHLRFGLPSTLVVTAIGLLLIRSY >DRNTG_06811.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000308.1:12145:16145:1 gene:DRNTG_06811 transcript:DRNTG_06811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPIVKVVLGSIAFGIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVIFRVISPDEAYAAIDLPILGLLFGTMVVSIYLERADMFKYLGKLLCWKSQGGKDLLCRIVIVSAVSSALFTNDTCCVVLTEFILKIARQNNLPPQPFLLALASSANIGSSATPIGNPQNLVIAVQSKISFGKFLFGILPAMLAGIVVNCIILLCYFWKLLSVQKDVEVVASASEVVAEDDVISHRFSPATMSHVGSPNSQQWTPALDGVMPSFQSGRDAGQNESLRNRTFDNENNIQAVLNDAVDSAKISTSSREAAGSAGTSQRRDEPASTRLMRTFSSHTNGSRELTSLQSEDGKEGLIERWKILLWKTSVYLVTLGMLISLLMGLNMSWTAVTAALALIVLDFKDAGPSLEKVSYSLLIFFCGMFITVDGFNRTGIPSALWDFMEPHAQINSVGGTALLSLVIIVLSNIASNVPTVLLLGARVAASAAAISPAEESKAWLLLAWVSTVAGNLSLLGSAANLIVCEQARRAKYFGYNLSFFGHLRFGLPSTLVVTAIGLLLIRSY >DRNTG_18350.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14780021:14784794:1 gene:DRNTG_18350 transcript:DRNTG_18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPIKLRVPQVYLLLFFLLIVHFDALTLTQKPEKSSALVPAIIVFGDSIVDPGNNNVINTLVKCDFPPYGIDFVDQMPTGRFCDGRIPSDLIASKLGVKELLPAYLDPNLESQDLLTGVSFASGGAGYDPITSETAHVISMDDQLELYKEYQEKLRAIGGDARAENIISKGLHVICVGSDDIANTYFPTLFRKLQYDIPSYADLVVTYASRFFQELIKLGARKIGIVGIPPIGCVPSQRTLAGGLFRECAPFHNQLAELVNSKLNQEIQRLGSIYQGTLLVYINIYDTLLDIIQNPNKYDFEVATNGCCGTGLLEVSILCNSVTSFVCANVSDHVFWDSYHPTERAYQILVDVVIDDVLPLIIS >DRNTG_09902.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18374969:18375700:-1 gene:DRNTG_09902 transcript:DRNTG_09902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDEANKEKKELVLSSPDVFTKYKSAADIVNKALQFVVSQCKLGVKAVDLCEKGNVFIRDQVGSVYKNVKKKIERGVAFPICISVNNIVFHFLLLATDETILVENDIVKIDMGCHISGFITVVAHTHVIYEGPVTGRAADVIVVANTTTKIALRLARPDKHNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVVLSVASPETRVDDAKFKENEVYSIVTSTGEGKPRLLDECQTTI >DRNTG_23264.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18526155:18567963:1 gene:DRNTG_23264 transcript:DRNTG_23264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSKLGPGFPSWIQKLENLSVAAFSNAGISDTLPDWFWNFSKNLQLVDLSYNDIKGKLPASLEHLSDLRYVGLSRNSFEGSVSRFPANLEYLLLSSNKIAGRIPETLCYLKKLAVLDLSKNQLIGEIPDCWNHSLQPKLSTFDLSDNQLSGGIPTTICSPSLAYLHL >DRNTG_23264.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18533571:18535424:1 gene:DRNTG_23264 transcript:DRNTG_23264.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSKLGPGFPSWIQKLENLSVAAFSNAGISDTLPDWFWNFSKNLQLVDLSYNDIKGKLPASLEHLSDLRYVGLSRNSFEGSVSRFPANLEYLLLSSNKIAGRIPETLCYLKKLAVLDLSKNQLIGEIPDCWNHSLQPKLSTFDLSDNQLSGGIPTTISSPSLAYLHLNNNNLSGELPLSLRNCRALRTLDLGQNKLSGSIPTWFAKSLLNLEVLRLRDNMIVGDIPPELGNLTNLRVIDFAYNHLSGTIPYNLGNLRAMKFAPKIFYNTKVALEIYDKISFDYIDNMEILYLVSNKLLIGYMDNVNVNLKGRDVRYDKLLPLLISIDLSRNELCGEIPEELMYLSYLQNLNLSGNHLMGRIPDKIGLLLRLESLDLSKNDLSGAILTTMITLSFLSHLNLSYNNLSGKIPDSGQFLALPDPSIYFGNYALCGFPLDNDCENVDKPPGVRYPKDEDEAEKIGFYMSIALGYILGFWVLWGVLLLNKK >DRNTG_23264.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18525387:18535424:1 gene:DRNTG_23264 transcript:DRNTG_23264.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFGGLAKLQHLGFSANEFRVDLGSQWVPPVGLNTLAMWNSKLGPGFPSWIQKLENLSVAAFSNAGISDTLPDWFWNFSKNLQLVDLSYNDIKGKLPASLEHLSDLRYVGLSRNSFEGSVSRFPANLEYLLLSSNKIAGRIPETLCYLKKLAVLDLSKNQLIGEIPDCWNHSLQPKLSTFDLSDNQLSGGIPTTISSPSLAYLHLNNNNLSGELPLSLRNCRALRTLDLGQNKLSGSIPTWFAKSLLNLEVLRLRDNMIVGDIPPELGNLTNLRVIDFAYNHLSGTIPYNLGNLRAMKFAPKIFYNTKVALEIYDKISFDYIDNMEILYLVSNKLLIGYMDNVNVNLKGRDVRYDKLLPLLISIDLSRNELCGEIPEELMYLSYLQNLNLSGNHLMGRIPDKIGLLLRLESLDLSKNDLSGAILTTMITLSFLSHLNLSYNNLSGKIPDSGQFLALPDPSIYFGNYALCGFPLDNDCENVDKPPGVRYPKDEDEAEKIGFYMSIALGYILGFWVLWGVLLLNKK >DRNTG_04155.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:411020:417189:1 gene:DRNTG_04155 transcript:DRNTG_04155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPFRGIKNDLQGRWQCYRQDWAGGFNSGLRILAPTTYIFFASAIPVISFGEQLERSTDGTLTAVQTLASTALCGIIHSIVGGQPLLILGVAEPTVLMYTFMFDFAKDRPDLGHKLFLAWTGWVCMWTAFLLFMMSILGACSIINRFTRLAGELFGLLIAMLFMQQAIRGLIDEFRIPKRENPKQIQFVPPWRFGNGMFALVLSFGLLLTALRSRKARSWRYGTGWLRGVVADYGVPLMVFVWTTISYIPVNSVPRGIPRRLFSPNPWSDGAYSNWTVIKDMSDVPPIYIVGAFIPATMIAVLYYFDHSVASQLAQQQEFNLKKPSSYHYDLLLLGFLTMLCGLIGVPPSNGVIPQSPMHTKGLATLKHQILRDKLLSTARRSISQNSSLGQLYGSMRDAYNQMQTPLVYQISSTMGLKELKESTIQMASSSGCIDAPVDESVFDVEKDIDDLLHVEVKEQRLSNLLQAVMVAGCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLDEYHATFVESVPFKAIAIFTLFQTSYLLLCFGLTWIPIAGVLFPLLIMLLVPVRQYILPKFFKGAHLTDLDAAVYEEAPALPFNIDGQDPSRRVTRNDLADDGEIFDEMITRSRGEIRHTHSPKITSSTPTSSVDLKSLHSPVISERTVSPQISQLRVGGSPGFGTKGREVMKTPSPWSPLGESSQMSRANLNPQQDSKSLS >DRNTG_14219.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6592672:6612713:-1 gene:DRNTG_14219 transcript:DRNTG_14219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLEALCEMLYNSLDPAERAHAESTLKCFSVNVDYISQCQYILDNALTPYALMLASSSLLKQVTEHNLSLQLRLDIRNYIINYLATRGPEMQTFVTGSLIQLFCRITKFGWFDDDRFKEAVKEATDFLSQASSDHYSIGLKILNQLVSEMNQPNQGMPLTHHRRVACSFRDQSLFQIFQISLTSLQQLKNDVNKQVSGMLKQLTLSLSVRCLSFDFVGTSLDESSEEFGTVQIPTPWRPVLEDPSTLQVFFDYYKITEPPLSKEALECLVRLASVRRSLFADEPARSRFLAHLMAGTKEILQSGQGLADHDNYHEFCRLLGRFKVNYQLSELMNVEIYSEWIRLVAEFTAKSLQSWQWASNSVYYLLGLWSRLVTSVPYLKGDTPSLLEETVPKITEGFITSRFDSVQAGIQDDLSENSLDNVELLQDQLDCFPYLCRFQYERSSLYIMNIMEPLLQLYQERAASPATGDGNELSVLEGQITWIVHIIAAILKIRQTVGCSTESQEIIDAELAARVLRLINVTDSGLHSQRYHQISKQRLDRAIITFFQNFRKSYVGDQAVHSSRLYSRLSELIGLHDHLVLLNVIVGKIATNLKCYTECEEVIEHTLCLFLELASGYMTGKLLLKLDTVKFIIRNHTRENFPFLEDYKCSRSRTTFYYTLGYLIFMEDSPIKFRSSMDPLLQVMAKLESTPDTAFRTEAVKYAFIGLMRDLRGIAMATNSRRTYGVLFDWLYPAHMPLLLKATSHWADVPEVTTPLLKFMAEFVLNKAQRLIFDSSSPNGILLFREVSKLIVAYGSRILSLPNSSDMYANKYKGIWISLTILTRALAGNYVNFGVFELYGDRALSDALDIALKMTLSIPLADILAFRKLTRAYYAFVEVLFNNHIAFILNLDTNTFMHIVGSLESGLKGLDSGISSQCASAVDNLAAFYFNNITVGEVPPSPAALNLARHIAECPTLFPEILKTLFEIVLFEDCGNQWSLSRPMLSLIVISEQMFNDLKAHILSSQPMDQHQRLSQCFDKLMADVARSLDPKNRDKFTQNLTVFRHEFRVK >DRNTG_14219.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6592672:6609396:-1 gene:DRNTG_14219 transcript:DRNTG_14219.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPNQGMPLTHHRRVACSFRDQSLFQIFQISLTSLQQLKNDVNKQVSGMLKQLTLSLSVRCLSFDFVGTSLDESSEEFGTVQIPTPWRPVLEDPSTLQVFFDYYKITEPPLSKEALECLVRLASVRRSLFADEPARSRFLAHLMAGTKEILQSGQGLADHDNYHEFCRLLGRFKVNYQLSELMNVEIYSEWIRLVAEFTAKSLQSWQWASNSVYYLLGLWSRLVTSVPYLKGDTPSLLEETVPKITEGFITSRFDSVQAGIQDDLSENSLDNVELLQDQLDCFPYLCRFQYERSSLYIMNIMEPLLQLYQERAASPATGDGNELSVLEGQITWIVHIIAAILKIRQTVGCSTESQEIIDAELAARVLRLINVTDSGLHSQRYHQISKQRLDRAIITFFQNFRKSYVGDQAVHSSRQLYSRLSELIGLHDHLVLLNVIVGKIATNLKCYTECEEVIEHTLCLFLELASGYMTGKLLLKLDTVKFIIRNHTRENFPFLEDYKCSRSRTTFYYTLGYLIFMEDSPIKFRSSMDPLLQVMAKLESTPDTAFRTEAVKYAFIGLMRDLRGIAMATNSRRTYGVLFDWLYPAHMPLLLKATSHWADVPEVTTPLLKFMAEFVLNKAQRLIFDSSSPNGILLFREVSKLIVAYGSRILSLPNSSDMYANKYKGIWISLTILTRALAGNYVNFGVFELYGDRALSDALDIALKMTLSIPLADILAFRKLTRAYYAFVEVLFNNHIAFILNLDTNTFMHIVGSLESGLKGLDSGISSQCASAVDNLAAFYFNNITVGEVPPSPAALNLARHIAECPTLFPEILKTLFEIVLFEDCGNQWSLSRPMLSLIVISEQMFNDLKAHILSSQPMDQHQRLSQCFDKLMADVARSLDPKNRDKFTQNLTVFRHEFRVK >DRNTG_14219.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6609510:6612713:-1 gene:DRNTG_14219 transcript:DRNTG_14219.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLEALCEMLYNSLDPAERAHAESTLKCFSVNVDYISQCQYILDNALTPYALMLASSSLLKQVTEHNLSLQLRLDIRNYIINYLATRGPEMQTFVTGSLIQLFCRITKFGWFDDDRFKEAVKEATDFLSQ >DRNTG_14219.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6606606:6609396:-1 gene:DRNTG_14219 transcript:DRNTG_14219.6 gene_biotype:protein_coding transcript_biotype:protein_coding QASSDHYSIGLKILNQLVSEMNQPNQGMPLTHHRRVACSFRDQSLFQIFQISLTSLQQLKNDVNKQVSGMLKQLTLSLSVRCLSFDFVGTSLDESSEEFGTVQIPTPWRPVLEDPSTLQVFFDYYKITEPPLSKEVQELDAFSVIWSFIIIFTV >DRNTG_14219.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6609935:6612713:-1 gene:DRNTG_14219 transcript:DRNTG_14219.7 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLEALCEMLYNSLDPAERAHAESTLKCFSVNVDYISQCQYILDNALTPYALMLASSSLLKQVTEHNLSLQLRLDISNVLVLTVFIYLISSGELLG >DRNTG_14219.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6592672:6612713:-1 gene:DRNTG_14219 transcript:DRNTG_14219.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLEALCEMLYNSLDPAERAHAESTLKCFSVNVDYISQCQYILDNALTPYALMLASSSLLKQVTEHNLSLQLRLDIRNYIINYLATRGPEMQTFVTGSLIQLFCRITKFGWFDDDRFKEAVKEATDFLSQASSDHYSIGLKILNQLVSEMNQPNQGMPLTHHRRVACSFRDQSLFQIFQISLTSLQQLKNDVNKQVSGMLKQLTLSLSVRCLSFDFVGTSLDESSEEFGTVQIPTPWRPVLEDPSTLQVFFDYYKITEPPLSKEALECLVRLASVRRSLFADEPARSRFLAHLMAGTKEILQSGQGLADHDNYHEFCRLLGRFKVNYQLSELMNVEIYSEWIRLVAEFTAKSLQSWQWASNSVYYLLGLWSRLVTSVPYLKGDTPSLLEETVPKITEGFITSRFDSVQAGIQDDLSENSLDNVELLQDQLDCFPYLCRFQYERSSLYIMNIMEPLLQLYQERAASPATGDGNELSVLEGQITWIVHIIAAILKIRQTVGCSTESQEIIDAELAARVLRLINVTDSGLHSQRYHQISKQRLDRAIITFFQNFRKSYVGDQAVHSSRQLYSRLSELIGLHDHLVLLNVIVGKIATNLKCYTECEEVIEHTLCLFLELASGYMTGKLLLKLDTVKFIIRNHTRENFPFLEDYKCSRSRTTFYYTLGYLIFMEDSPIKFRSSMDPLLQVMAKLESTPDTAFRTEAVKYAFIGLMRDLRGIAMATNSRRTYGVLFDWLYPAHMPLLLKATSHWADVPEVTTPLLKFMAEFVLNKAQRLIFDSSSPNGILLFREVSKLIVAYGSRILSLPNSSDMYANKYKGIWISLTILTRALAGNYVNFGVFELYGDRALSDALDIALKMTLSIPLADILAFRKLTRAYYAFVEVLFNNHIAFILNLDTNTFMHIVGSLESGLKGLDSGISSQCASAVDNLAAFYFNNITVGEVPPSPAALNLARHIAECPTLFPEILKTLFEIVLFEDCGNQWSLSRPMLSLIVISEQMFNDLKAHILSSQPMDQHQRLSQCFDKLMADVARSLDPKNRDKFTQNLTVFRHEFRVK >DRNTG_14219.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6592672:6606491:-1 gene:DRNTG_14219 transcript:DRNTG_14219.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKEILQSGQGLADHDNYHEFCRLLGRFKVNYQLSELMNVEIYSEWIRLVAEFTAKSLQSWQWASNSVYYLLGLWSRLVTSVPYLKGDTPSLLEETVPKITEGFITSRFDSVQAGIQDDLSENSLDNVELLQDQLDCFPYLCRFQYERSSLYIMNIMEPLLQLYQERAASPATGDGNELSVLEGQITWIVHIIAAILKIRQTVGCSTESQEIIDAELAARVLRLINVTDSGLHSQRYHQISKQRLDRAIITFFQNFRKSYVGDQAVHSSRLYSRLSELIGLHDHLVLLNVIVGKIATNLKCYTECEEVIEHTLCLFLELASGYMTGKLLLKLDTVKFIIRNHTRENFPFLEDYKCSRSRTTFYYTLGYLIFMEDSPIKFRSSMDPLLQVMAKLESTPDTAFRTEAVKYAFIGLMRDLRGIAMATNSRRTYGVLFDWLYPAHMPLLLKATSHWADVPEVTTPLLKFMAEFVLNKAQRLIFDSSSPNGILLFREVSKLIVAYGSRILSLPNSSDMYANKYKGIWISLTILTRALAGNYVNFGVFELYGDRALSDALDIALKMTLSIPLADILAFRKLTRAYYAFVEVLFNNHIAFILNLDTNTFMHIVGSLESGLKGLDSGISSQCASAVDNLAAFYFNNITVGEVPPSPAALNLARHIAECPTLFPEILKTLFEIVLFEDCGNQWSLSRPMLSLIVISEQMFNDLKAHILSSQPMDQHQRLSQCFDKLMADVARSLDPKNRDKFTQNLTVFRHEFRVK >DRNTG_30717.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21957348:21959860:1 gene:DRNTG_30717 transcript:DRNTG_30717.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVLASATELLASHCIEIAEQAGAEHDLVASAVRSAVDVRTPGDLMTLTAAAATALRGAATLKMRVQREARSNAAVIPYEKSQLSSPDIWCKEGDLLKRTGNGTLRWKRASVYINKKSQVIVKLKSKHLGGALSKKKKTVVYAVYDEVPARLAPVRRTAEDGCSFALKTAQGLLIFECENQICKQKWVEGVQNLLQQVYEISRGTEQVEKSFEFLNLGERDLMYG >DRNTG_30717.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21957348:21958637:1 gene:DRNTG_30717 transcript:DRNTG_30717.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGAYLWGRGRSERKELIVVEEGEEVEMEMEMEMEMEKRGVMPLIPPPQTPKEPMEFLARSWSVSASEISKALLAGKKSKGFACETVPEIIVPESLVFTTSSQHQQRCVSNVVNSLVARHSTAKKWFHNRD >DRNTG_30717.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21957155:21959860:1 gene:DRNTG_30717 transcript:DRNTG_30717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGAYLWGRGRSERKELIVVEEGEEVEMEMEMEMEMEKRGVMPLIPPPQTPKEPMEFLARSWSVSASEISKALLAGKKSKGFACETVPEIIVPESLVFTTSSQHQQRCVSNVVNSLVARHSTAKKWFHNRD >DRNTG_30717.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21957348:21957781:1 gene:DRNTG_30717 transcript:DRNTG_30717.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGAYLWGRGRSERKELIVVEEGEEVEMEMEMEMEMEKRGVMPLIPPPQTPKEPMEFLARSWSVSASEISKALLAGKKSKGFACETVPEIIVPESLVFTTSSQHQQRC >DRNTG_06422.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29791663:29799319:-1 gene:DRNTG_06422 transcript:DRNTG_06422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKKISSTILKVPISHPYELPLTIFDRFADNIHIPVLYVFTPTTSSNADIISALSKTLFHFPTLTANLSTNSHGRPCLTVGDPQGGALLVEATVSSKLEDHLPFTPSPDFTLLHPEDENAKHSLQVQLNRFQCGGLVIGVTNHHRVADGQSMGSFFVTWGKMVRGIHNDPLPAVYDQSWLKPRHPPLVQFDHWGTDFMPLSSQPKECCLFNPIVVDPSEITNMLLHFSPEFIMKLKAQTGKLTTEKHTTFETLLGYLWRKVTIARQLDDKECTMLRISVNGRSRLWPPVPLEFFGNLVLNAYPKANSKELIEGGVATAAEIIRKGLRFIGEDYFRSFIDLGEVYGDRDLVPCYDKDTNLLSPILEVNSWANLERPPGSLPSNTENNSREHLKAVTLRSGKQVEARAEEGSSTKHNGVDIQEDPKPSESEVEGERFLEKAFGGVLGANFHQFRGGKITRFGEGESKGEACVTIALELDTPSLEGIHVQIRRDHRLPKRHSLGGVRFAVSANAASLVSHSPPPAEGLQRTKNSPVKEGVSFADIARLSTPQETVASLNRRRSPDSEETCKRCLRSWHKVEDCRHQLTCRRCSGIGHYAARCPFKPPSSVPHPEKPIQKKKLPSPKPLLHVPISRTTSKTTSLRISLPISEAIIQPKEDLKRRVIIKVLSGNTSVNSLHDALPYHLNSDQCENLTPFGDFFILTLFSAKAAASIVKINKFTLSTKHGPCTISLSHWTPEFGSHVVAAGNYNWIRITNLPLHCWNWDSIVEVLRPLGELIFVRKQEDILLVHMRVLVRLKSRTSFPIEMTVDVGVRSFLVSLEGDGVPIIRSKTIQGPTPLPLLKPSVASSSRLPSSAEKTLIFVESSDSLSFPKERQSSCDLPTAIPQIPPFSRERHEEGDALCLHSGHMKRALTMAVDVHRDQAIPDQLGRLRVSESPRDCISPDSLNNSNENQSQDNQAKSLDPNECGAPRDLNSPVIKDNTHAELIMPRDMVASNISQLELIQSTPLIKNQNLVNSDPNLRTLPVNIHDISSITLAGDKGKEIVTLMETDSSNTDVLPTHSNPEPPNIPITDGYNWIFVHGGWTLAPIVNSEKFFSQDPTPPITPRDDHSDEELLDWGEDDDFPSDVITDDDHILNEKNLNQFESVIIPEELTSVTLTVPGIGLLSGRDSSSDMFVEKAPVDSISGKCPTAATTPGHIHQQVRRSDRPRKPSGRWNEEAGFIPIPPRSSKKIVPVDPRDGTPNSFNAAIFSSWTDAQFLNYSNACGVKLQGSPNHMLKCLDNVRRLESSRVGTLIHKGTFSITMKFINLSLNTKWACTSVYGPNATSLRIGFWNELRFIRNLHEIPWLICGDFNSPFTLSDKNKGDPNPRDIACSQRFLGDLNLIDPPLHGRNFTWTNGQSDPTWVRLDRFLYSHDWPLLFPRTLQSSLPRIGSDHSPIFLEFGNHCSRHRTFKFEKSWYTNVQLENLIQSWWSNENFDGCGACLL >DRNTG_19684.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001039.1:12683:13858:-1 gene:DRNTG_19684 transcript:DRNTG_19684.1 gene_biotype:protein_coding transcript_biotype:protein_coding PHPLQKPVAKSLDFGHKHHHSHTVPSLSTKRANSNSSLTVSKPPLKPLA >DRNTG_14401.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:17499742:17503221:-1 gene:DRNTG_14401 transcript:DRNTG_14401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPHARGSALRAHPEEPQGRAAAPMKDHANIARPWVISARACDLLHSLAIYLESTQGSELTLVGDLVKPARPWLISARPYESLQKSSLHPDKAHGRGFALNHRGVTAAPVGRAHGHGYFLQARARMFRVNGCFPREHTRACTCPCGWRQLLTIREPAIRELTLEVLSSFEFEKSYASFDSLDAIKFRALGHHHSLSITQFSILLGLYKEAFTETEEYS >DRNTG_25004.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27218762:27221520:-1 gene:DRNTG_25004 transcript:DRNTG_25004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGGKAPVPAKKKPEKVVNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLASSLFKMLLKYRPEDNAAKKERLLKRAQAEAEGKTVEVKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKSRLGAIVHKKTAAALCLTTVKNEDKLEFSKILEAIKANFNDKFDEIRKKWGGGIMGTKSQAKTKVKERLLAKEAAQRMT >DRNTG_34113.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11145053:11146114:-1 gene:DRNTG_34113 transcript:DRNTG_34113.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLSLRMTENSSRGCRVSGCRGLARQRAWRDARGQGILITGRYGRSRDMYGRSPIGWLGALVV >DRNTG_26015.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23142778:23143833:1 gene:DRNTG_26015 transcript:DRNTG_26015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRSMLYMRMTHRSISFLIFSLVPISSNGSPAVGSPMPEPEAAAIIAQLMEALHACHQRGVAHRDVKPDNVMFDSRGRLRLVDFGSAECFGEGRPMRGVVGTPYYVAPEVVAGREYGEKVDVWSAGVILYMMLGGIPPFYGETAVDIFEAVLRG >DRNTG_05689.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:181684:182845:1 gene:DRNTG_05689 transcript:DRNTG_05689.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMICRKLTKELCGVIEDYSLVNFTTLDIQDKESVGNLVKVIDKSNGYIFCWDRRKCCRVQQNCSSSS >DRNTG_05689.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:181684:182845:1 gene:DRNTG_05689 transcript:DRNTG_05689.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMICRKLTKELCGVIEDYSLVNFTTLDIQDKESVGNLVKVIDKSNGYIFCWDRRKCCRVQQNCSSSS >DRNTG_05689.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:181684:182964:1 gene:DRNTG_05689 transcript:DRNTG_05689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMICRKLTKELCGVIEDYSLVNFTTLDIQDKESVGNLVKVIDKSNGYIFCWDRRKCCRVQQNCSSSS >DRNTG_19896.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18187170:18192986:-1 gene:DRNTG_19896 transcript:DRNTG_19896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGETGIGIADHEAEGATAPSPAEVGMRKKEIGGEAEEEMSVEKAFEGERVPTWREQLTVRALVVSFFMSVMFSVIVMKLNLTTGIIPSLNVSAGLLGFFFVKSWTKLLEKSGFLKQPFTRQENTVIQTCVVAAYGIAFSGGFGSYIFGMSDRVASQTTELNDPQNTKNPQIGWLIGFLFLVSFLGLFSLVPLRKVMIIDYKLIYPSGTATAHLINSFHTPEGAKLAKKQVKALGKFFVGSFLWGFFQWFYTAGDDCGFQSFPSLGLKAYKNKFFFDFSATYVGVGMICPYIVNISILLGGILSWGVMWPLIGDKKGSWYPATLIDSNLHGLQGYRVFISIALILGDGLYNFVKVLCRTIPVIIANARKGPATTLPISENGTPTTTDAVGTFDEQRRIEMFLRGQIPKKVAFVGYVAAAFIAIFTLPHIFPPLKWYYIVVVYILAPALAFCNAYGCGLTDWSLASTYGKLAIFTIGAWVGASHGGVLAGLAACGVMMSIVSTASDLMQDFKTGYLTLASPRSMFISQVIGTAMGCIISPCVFWLFYKAFKDLGETGSQYPAPFGVVYRNMAILGVDGFGSLPKHCLTLCYVFFAAAIVICLIRDFVPKKFAGYIPLPMAMAIPFYLGPYFAIDMCVGSFILFVWELVDKKKADAFGSAVASGLICGDGIWVLPQSILALAGVQPPICMKFLGRKMNDKVDTYIAGLS >DRNTG_22255.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:887149:896332:-1 gene:DRNTG_22255 transcript:DRNTG_22255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKSFALEAINKEAVDLENIPIEEVFDALKCTREGLTSDAVQERLGVFGFNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIALAHGGKDLRGKERTIDFHDFIGIVTLLLINSSISFIEENNAGNAAAALVARLAPKAKVLRNGKWSEEDASVLVPGDIISIKLGDIIPADARLLEGDPLKIDQAALTGESLPVTKSPGDGVYSGSTCKQGEIEAVVIATGIHTFFGKAAHLVESTTHIGHFQKVLTAIGNFCICSIAIGMIIDVIVMCGVHDRKYRTVIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDENLIEVFAKGVKKDTVVLMAARASRLENQDAIDAAIVSMLSDPKEARAGIKEVHFLPFNPTDKRTALTYIDASGKMHRVSKGAPEQILNLASNKADIERKVHGIIGKFADRGLRSLAVAIQDVPAGTKESPGGPWEFVGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGSNKDGEFAVLPVDELIEKADGFAGVFPEHKYEIVQRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTVIWHIDKYIYSNSFCNIYIYSILSSHCHAT >DRNTG_35453.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3650341:3653209:1 gene:DRNTG_35453 transcript:DRNTG_35453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVEEIHRAAIESSQRVLGLLSHSDEQLQCRNLLAETGEAVSKFKKMVSMLGNTVGHARFRRLKESQSSVDNRKILSDNPVIPKPEPSSTSTPPQLLPSTVLDSSSMIPQHVPKTIFLENPVLEMDLINKSRIQLGSEAPSNQFQFLQQQQQQQNSQRFQLQQQQQQQQQQQQQQLRFQADMYRRSNSGINLKFDSSSCAPSMSSARSFASSLSMDRSVASLDGKPFHLIGGSQMSDPVNLRATHKKRCSGRGEDGSGKCATTGRCHCLKRRKLRVKRSIKVPAISNKLADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEDPSMLIVTYEGEHSHNRLMSQSAHT >DRNTG_11004.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18822905:18825936:-1 gene:DRNTG_11004 transcript:DRNTG_11004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWVFGYGSLVWNPGFDFDERIVGYIKDYRRVFDLACIDHRGTPEHPARTCTLEAKKGAICWGAAYCVKGSIERERVAMQYLEKRECEYDLKSSVDFYKEGDDLRPALTKVLVFTSTPDKEANRYYLGPAPLEQMARQIATATGPCGNNRDYLFFVGEGNVQHRT >DRNTG_08787.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5870752:5880506:1 gene:DRNTG_08787 transcript:DRNTG_08787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPQGCVGAPVGWAHRRGEFPHARVGVFKGKECYSESTQGRVTALVRLSRKKKHADLSALNEVQLADDMANEIEEMLEMGSWRQLFIRVPTIHIFTLEVLASLEFDRSYSSFDSIDIIQFRAFGKHYSMKPFKAEVDVAEGSQAMQEPQAKQTETEAPPTAQDPPLVTNRTEVMARLDILHQLLERDVTSPFVKRFQTLQASPLPPSLVPAPVDLLSPPVPIVAPAETTKDTDA >DRNTG_23401.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22203760:22209311:1 gene:DRNTG_23401 transcript:DRNTG_23401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEIALIIFSSRGKLYEFGSAGTSNTLERYKRCCYNAHDLTIANRDSQNWCQEVSKLKAKFESLQRSQRHLLGEDLGPLSVKELQQLERQLETALAQARQRKTQIMLDQMEELRKKERHLGDINKQLKSKLQEEGVSFSAIQGSWEGDISTALPNHGFTLHPHSSSMDAPILQIGYHPFVPPEAAAMPRNSNTTNHGENNFMHGWIL >DRNTG_14836.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4215107:4218795:1 gene:DRNTG_14836 transcript:DRNTG_14836.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDKGWLALVAVHSDAWLQAVAFYFGARFGFDKEARRRLFNMINGLPTIYEVVTGTAKRQSQGREKTPNSSSKNKSNSKMLSQAKTLKMAPPKEDGESEGEGEGEEEEEEEEEGGHGNTFCVACGENYAQDEFWICCDLCEKWFHGKCVRITPARAEHIKQYKCPACSNKRARV >DRNTG_14836.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4215107:4218795:1 gene:DRNTG_14836 transcript:DRNTG_14836.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNDKGWLALVAVHSDAWLQAVAFYFGARFGFDKEARRRLFNMINGLPTIYEVVTGTAKRQSQGREKTPNSSSKNKSNSKMLSQAKTLKMAPPKEDGESEGEGEGEEEEEEEEEGGHGNTFCVACGENYAQDEFWICCDLCEKWFHGKCVRITPARAEHIKQYKCPACSNKRARV >DRNTG_14836.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4215107:4218795:1 gene:DRNTG_14836 transcript:DRNTG_14836.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDKGWLALVAVHSDAWLQAVAFYFGARFGFDKEARRRLFNMINGLPTIYEVVTGTAKRQSQGREKTPNSSSKNKSNSKMLSQAKTLKMAPPKEDGESEGEGEGEEEEEEEEEGGHGNTFCVACGENYAQDEFWICCDLCEKWFHGKCVRITPARAEHIKQYKCPACSNKRARV >DRNTG_12776.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000572.1:3343:8606:-1 gene:DRNTG_12776 transcript:DRNTG_12776.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHDVLLGRAPGREHIHGQMPHRLRY >DRNTG_31318.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3997028:3997554:-1 gene:DRNTG_31318 transcript:DRNTG_31318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAVMTVVVVFEFTVGGCIYKGLNRAMATLVAGGLALGVHWLASISGDKFEPIILGLSVFILASIATFYRFDTKIKVWFDYGVVIFILTFSLIAVSGYQRDTLF >DRNTG_13833.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:26819:28881:1 gene:DRNTG_13833 transcript:DRNTG_13833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYYYPDLSFTCLAYVRDPGFRSQNNSYDDEHTRVPLSIYPSRPEDMFSPWRLFEERVRTGPYNLSRFPSQPFSPVRILVYDNTNERVNPRLRQFIQDSPPHIQGEWHLPSSTQESGLTNEEFKKAMKKVRKQVYNPPYPRKKAWKRSLFNNKTSSNTTNNNSGDEEKDEGKTTCVICLESFEPNEQVLVTPCNHMFHHDCFVPWLKSQGKCPICRFSLCEGKDMALALSSNNSSAVNNDLNDLAADLAALIRAMEEAFNWVNLRRSV >DRNTG_26833.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1944962:1947554:-1 gene:DRNTG_26833 transcript:DRNTG_26833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKACLISRALPSSCEQESKWMYMTYELLERSNNKRPMSDEVTDRDTGEKLKRKKSPQSMVSLNDEEMDTSTHENDGNGSGGEFSDSNSLISAIGRDNSINCLLHCSRSDYGSIASLNRSFRSLIQSGELYRLRRQMGITEHWVYFSCHVLEWEAYDPYRGRWLTLPSLPHNECFMCSDKESLAVGTELLVFGKEVTSHIVLRYSILTNSWTDGEAMNHPRCLFGSASLGEKAIVAGGTDVHGTILSSAELYNSETRTWVTLPSMNKPRKMCSGVFMDGKFYVIGGMSSSTQLLTCGEEYDLGKRTWRVIPNMSSGLNGASGAPPLVAVVNNELYAAHYSEKEIRKYDKVNNNWITLGRLPERPVSMNGWGLAFRACGERLIVIGGPRALGGGMIELHSWIPKEGPPEWNMIASKHSGSFVFNCAVMGC >DRNTG_25403.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24517948:24519183:1 gene:DRNTG_25403 transcript:DRNTG_25403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRSIMASSFHSRSPPASHYTTTSPKRMFDSSS >DRNTG_34073.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002084.1:9913:14799:-1 gene:DRNTG_34073 transcript:DRNTG_34073.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPNPILLLLAAIATITTVSSAASSAHPSYDSLATSCPTFADADLVPIRREEYDGRRILDITHVYHEDMPAFESDDGIGQFLWLRESMKNGSFANISEMKFLTHTGTHVDAPGHFYQHYFEAGFDVDTLDLVILNGPALVVDVPRDKNITAEVMESLHIPRGVRRVLFRTLNTDRA >DRNTG_21588.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19146220:19147969:-1 gene:DRNTG_21588 transcript:DRNTG_21588.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGCSFHEKTHNAQTAGFKAAIVYNNFVGYFLPSGNASF >DRNTG_14485.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14770661:14772269:1 gene:DRNTG_14485 transcript:DRNTG_14485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSRIIWKKVIGRAFVDTDPARCILGRTKDPLRRVEDSNINLRIGGSRSDNNLLQLPNANSSSISSAISSPC >DRNTG_06361.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24385028:24387768:1 gene:DRNTG_06361 transcript:DRNTG_06361.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSSGDDLQSCENPLQTSDWVPPRPQHPCQLTQRQMDAGSCNSSYCEHQGECSKSAGQYPLQSQIWHNLLFGTSW >DRNTG_13430.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9621515:9623021:-1 gene:DRNTG_13430 transcript:DRNTG_13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVPKISVDGERFDYASKMLNIFRSLIQQLETVNPSKMKHEEQLAFWINIHNALVMHAFLAYGLHQNQMKSSTLSILKAAYNIGGCSVNAYDIQSSILRCQPHRSALWLRVIFSPTMKFTKANDKHKYTIDHPEP >DRNTG_35164.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28845974:28846569:-1 gene:DRNTG_35164 transcript:DRNTG_35164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHFEIWIQIRIELNERDNTSKQTNKKAMDGTQRDDEVS >DRNTG_01743.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4248031:4248871:-1 gene:DRNTG_01743 transcript:DRNTG_01743.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSYSPFISSRDRHQISGAMQPLTRPLFGNVPESTVYGGPKPQSPNQRVTLNSLRQKHLACEPITMVTAYDYPSAVQVNTAGIDMCLVGDSAAMVVHGYENTLPITLDEMLIHCRAVTRGATRSFLVGDLPFGSYESSSAQAVDSAVRVLKEGNMDAIKLEGAPPSRIGAARVIVEAGIAVMGHVGLTPQAISALGGFRPQGKTINSAVKVSFFFSLVYIS >DRNTG_01743.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4247668:4248871:-1 gene:DRNTG_01743 transcript:DRNTG_01743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSYSPFISSRDRHQISGAMQPLTRPLFGNVPESTVYGGPKPQSPNQRVTLNSLRQKHLACEPITMVTAYDYPSAVQVNTAGIDMCLVGDSAAMVVHGYENTLPITLDEMLIHCRAVTRGATRSFLVGDLPFGSYESSSAQAVDSAVRVLKEGNMDAIKLEGAPPSRIGAARVIVEAGIAVMGHVGLTPQAISALGGFRPQGKTINSAVKVVEMALELQEVGCFSVVLECVPAPVAAAVTAALKIPTIGIGAGPYCSGQVISSFASHPLV >DRNTG_08472.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24997001:25003487:-1 gene:DRNTG_08472 transcript:DRNTG_08472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRILAETVSRSVGAIIKTFVADAQRLSSAVDRRKTRSISSLDMYEKIMPKLNDIFEMVIEKSNAPEHSLSTKQNEENQEVIGSCSLPTFSCDNDVYGSHACNGFSLICVPLYDTLGCCSSEYFSLVCFCLIPPEGDQDNGYKLNYNLLDRHQCKREKSSYHTLVLSGKFILRVCRWSTTDQESHVTAEMEGFC >DRNTG_18395.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3858245:3863661:-1 gene:DRNTG_18395 transcript:DRNTG_18395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSSGLLILARHTRNPFTLVSTRSPEPTPPSTWPGCHPSGARAPSSRSQKPYSNPTLN >DRNTG_18395.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3862841:3865574:-1 gene:DRNTG_18395 transcript:DRNTG_18395.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSSGLLILARHTRNPFTLVSTRSPEPTPPSTWPGCHPSGARAPSSRSQKPYSNPTLN >DRNTG_18395.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3862841:3863661:-1 gene:DRNTG_18395 transcript:DRNTG_18395.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSSGLLILARHTRNPFTLVSTRSPEPTPPSTWPGCHPSGARAPSSRSQKPYSNPTLN >DRNTG_12228.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:64778:66310:1 gene:DRNTG_12228 transcript:DRNTG_12228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYQGMTSMASSTHWKASRRLTVVSYQWFLVHSMAACFPATACTSFTLPQVLTGFLRLIIQVPLDLQNEENVKINRGKLCISKTSPPCVLEAYSKQFQRDFSLFLKCRGEELVPGGCMVLTLLSRSTSENSDPSSLGIGLQWEILAQALVDMASEVFFSFSFHIYLFI >DRNTG_12228.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:64778:66282:1 gene:DRNTG_12228 transcript:DRNTG_12228.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTYQGMTSMASSTHWKASRRLTVVSYQWFLVHSMAACFPATACTSFTLPQVLTGFLRLIIQVPLDLQNEENVKINRGKLCISKTSPPCVLEAYSKQFQRDFSLFLKCRGEELVPGGCMVLTLLSRSTSENSDPSSLGIGLQWEILAQALVDMASEGIVDEKKIDLCNAPFYVPSLEEMKHAIETEGSFSIKSIESFDVTYNGTKVEDTVTTALKLSINAQQMAKMIRAVTESILVSHFGEEIIDELFVRYSYLLEDYYSKNMHGCTTAVVFMKRNVP >DRNTG_12228.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:64778:66282:1 gene:DRNTG_12228 transcript:DRNTG_12228.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSVRQSAIMEAYKSTSFPKTMSIGDLGCSLGPNTLLVASDAIDAVEIVHKELNQPLPEIHIQLNDLPGNDFNGLINSLEGFKKTHRCFISVVPGSFYGRLFPSHSLHFIHSSSSLNWLSQVPLDLQNEENVKINRGKLCISKTSPPCVLEAYSKQFQRDFSLFLKCRGEELVPGGCMVLTLLSRSTSENSDPSSLGIGLQWEILAQALVDMASEGIVDEKKIDLCNAPFYVPSLEEMKHAIETEGSFSIKSIESFDVTYNGTKVEDTVTTALKLSINAQQMAKMIRAVTESILVSHFGEEIIDELFVRYSYLLEDYYSKNMHGCTTAVVFMKRNVP >DRNTG_12228.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:64778:66282:1 gene:DRNTG_12228 transcript:DRNTG_12228.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEQVLHMNSGDDDKSYAQNSTIQNVRLSMTRSVRQSAIMEAYKSTSFPKTMSIGDLGCSLGPNTLLVASDAIDAVEIVHKELNQPLPEIHIQLNDLPGNDFNGLINSLEGFKKTHRCFISVVPGSFYGRLFPSHSLHFIHSSSSLNWLSQVPLDLQNEENVKINRGKLCISKTSPPCVLEAYSKQFQRDFSLFLKCRGEELVPGGCMVLTLLSRSTSENSDPSSLGIGLQWEILAQALVDMASEGIVDEKKIDLCNAPFYVPSLEEMKHAIETEGSFSIKSIESFDVTYNGTKVEDTVTTALKLSINAQQMAKMIRAVTESILVSHFGEEIIDELFVRYSYLLEDYYSKNMHGCTTAVVFMKRNVP >DRNTG_03820.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28018887:28022161:1 gene:DRNTG_03820 transcript:DRNTG_03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLECEAEAEKSVAECRAREHQLETVEKEAEEFQRVRSFYFFIFSSLR >DRNTG_24100.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4742139:4753253:-1 gene:DRNTG_24100 transcript:DRNTG_24100.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA-specific adenosine deaminase TAD2 [Source:Projected from Arabidopsis thaliana (AT1G48175) UniProtKB/Swiss-Prot;Acc:Q6IDB6] MLDMAFEETLISVSNNAFMELALEQARIALDNLEVPVGCVIVEDGNVIASGRNRTTETRNATRHAEMDAIDSLLRKWQKIGLDQLQVAEKFSKCDIHVTCEPCIMCASALFILGIRNVYYGCGNDKFGGCGSILSLHENDPENLSRGTTSNRKGFKCIGGIMAEEAIALFRSFYEQGNPNAPKPHRPVRITQ >DRNTG_24100.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4742139:4753253:-1 gene:DRNTG_24100 transcript:DRNTG_24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA-specific adenosine deaminase TAD2 [Source:Projected from Arabidopsis thaliana (AT1G48175) UniProtKB/Swiss-Prot;Acc:Q6IDB6] MAFEETLISVSNNAFMELALEQARIALDNLEVPVGCVIVEDGNVIASGRNRTTETRNATRHAEMDAIDSLLRKWQKIGLDQLQVAEKFSKCDIHVTCEPCIMCASALFILGIRNVYYGCGNDKFGGCGSILSLHENDPENLSRGTTSNRKGFKCIGGIMAEEAIALFRSFYEQGNPNAPKPHRPVRITQ >DRNTG_24100.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4742139:4749465:-1 gene:DRNTG_24100 transcript:DRNTG_24100.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA-specific adenosine deaminase TAD2 [Source:Projected from Arabidopsis thaliana (AT1G48175) UniProtKB/Swiss-Prot;Acc:Q6IDB6] MDAIDSLLRKWQKIGLDQLQVAEKFSKCDIHVTCEPCIMCASALFILGIRNVYYGCGNDKFGGCGSILSLHENDPENLSRGTTSNRKGFKCIGGIMAEEAIALFRSFYEQGNPNAPKPHRPVRITQ >DRNTG_24100.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4742139:4753253:-1 gene:DRNTG_24100 transcript:DRNTG_24100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA-specific adenosine deaminase TAD2 [Source:Projected from Arabidopsis thaliana (AT1G48175) UniProtKB/Swiss-Prot;Acc:Q6IDB6] MLDMAFEETLISVSNNAFMELALEQARIALDNLEVPVGCVIVEDGNVIASGRNRTTETRNATRHAEMDAIDSLLRKWQKIGLDQLQVAEKFSKCDIHVTCEPCIMCASALFILGIRNVYYGCGNDKFGGCGSILSLHENDPENLSRGTTSNRKGFKCIGGIMAEEAIALFRSFYEQGNPNAPKPHRPVRITQ >DRNTG_01699.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23712766:23715054:-1 gene:DRNTG_01699 transcript:DRNTG_01699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKEDFEEHAEKAKTLPENTTNENKLILYGLYKQATVGSVNTSRPGLFSPKERAKWDAWKAVEGKSQEEAMNDYITKVKQLHEEAATAAA >DRNTG_29133.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1321046:1322730:1 gene:DRNTG_29133 transcript:DRNTG_29133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLLRISGFISAFMAIIVLAPSLQSFPPAEAIRSSHFDPYLRFPGRISSPDRFSFRQASPFRNAAECATVAENSTICDPSLVHIAITLDEEYLRGSIAAVHSVLQHALCPQSIFFHFLVSDPGLQTLVLSAFPDLRFKIYYFDPDRVRRLISTSVRSALEQPLNYARNYLADILEPCVRRVIYLDSDLVLVDDIAKLWRTRLGSRTIGAPEYCHANFTKYFTSRFWSNPRLAGTFADRRPCYFNTGVMVMDLHQWRKAAFTRRIEKWMEIQKGGGLSGRIYELGSLPPFLLVFAGHVAPIEHRWNQHGLGGDNVKGSCRDLHPGPVSLLHWSGSGKPWARLDSNRPCPLDAIWAHYDLYGPTSTATATAAAAAAADSSSSSR >DRNTG_08634.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000389.1:6216:13860:-1 gene:DRNTG_08634 transcript:DRNTG_08634.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACVPTSLHSSEHIHLEGHKGSHTRAFRLMHTRTGAPPTYISLKKQVIQVKDCSRVRARLRYPEQHCSKAVLIEVTRLDQIRADKGTEEPWKTRRYNLDKKEKKTMSRVMEPNIEEGSKSRLRLHFVDGILEVVKLCFEK >DRNTG_05799.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19158343:19159927:1 gene:DRNTG_05799 transcript:DRNTG_05799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDSPKKLTFVFKRSVLAEMKKEGMKTKKKKRMRNEKPCCFLS >DRNTG_18603.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22234008:22237381:-1 gene:DRNTG_18603 transcript:DRNTG_18603.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPTSAFSAKAHPSPRLSAIALRTALSPRSLTLSRRHASAFPTLITHSRTLVVSATATEMPQKAKGFVDEMRAVAMKLHTRDQAKEGQKEPQGQPVAKWEPTIEGYLKFLVDSKLVYDTLDSILHKAPYQWYAEFWNTGLERSESLAKDLEWFKEQGHIIPAPSSPGLSYAQYLEELSENDPQAFICHFYNIYFAHTAGGRMIGKKVAEKLLDNKELEFYQWEGNLSQLLQNVREKINQVSNSWSREEKDHCLEETEKSFKYSGEILRLILS >DRNTG_18603.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22233805:22237120:-1 gene:DRNTG_18603 transcript:DRNTG_18603.10 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPTSAFSAKAHPSPRLSAIALRTALSPRSLTLSRRHASAFPTLITHSRTLVVSATATEMPQKAKGFVDEMRAVAMKLHTRDQAKEGQKEPQGQPVAKWEPTIEGYLKFLVDSKLVYDTLDSILHKAPYQWYAEFWNTGLERSESLAKDLEWFKEQGHIIPAPSSPGLSYAQYLEELSENDPQAFICHFYNIYFAHTAGGRMIGKKVAEKLLDNKELEFYQWEGNLSQLLQNVREKINQVSNSWSREEKDHCLEETEKSFKYSGEILRLILS >DRNTG_18603.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22233943:22237605:-1 gene:DRNTG_18603 transcript:DRNTG_18603.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPTSAFSAKAHPSPRLSAIALRTALSPRSLTLSRRHASAFPTLITHSRTLVVSATATEMPQKAKGFVDEMRAVAMKLHTRDQAKEGQKEPQGQPVAKWEPTIEGYLKFLVDSKLVYDTLDSILHKAPYQWYAEFWNTGLERSESLAKDLEWFKEQGHIIPAPSSPGLSYAQYLEELSENDPQAFICHFYNIYFAHTAGGRMIGKKVAEKLLDNKELEFYQWEGNLSQLLQNVREKINQVSNSWSREEKDHCLEETEKSFKYSGEILRLILS >DRNTG_18603.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22234008:22237120:-1 gene:DRNTG_18603 transcript:DRNTG_18603.12 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPTSAFSAKAHPSPRLSAIALRTALSPRSLTLSRRHASAFPTLITHSRTLVVSATATEMPQKAKGFVDEMRAVAMKLHTRDQAKEGQKEPQGQPVAKWEPTIEGYLKFLVDSKLVYDTLDSILHKAPYQWYAEFWNTGLERSESLAKDLEWFKEQGHIIPAPSSPGLSYAQYLEELSENDPQAFICHFYNIYFAHTAGGRMIGKKVAEKLLDNKELEFYQWEGNLSQLLQNVREKINQVSNSWSREEKDHCLEETEKSFKYSGEILRLILS >DRNTG_18603.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22233943:22237120:-1 gene:DRNTG_18603 transcript:DRNTG_18603.11 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPTSAFSAKAHPSPRLSAIALRTALSPRSLTLSRRHASAFPTLITHSRTLVVSATATEMPQKAKGFVDEMRAVAMKLHTRDQAKEGQKEPQGQPVAKWEPTIEGYLKFLVDSKLVYDTLDSILHKAPYQWYAEFWNTGLERSESLAKDLEWFKEQGHIIPAPSSPGLSYAQYLEELSENDPQAFICHFYNIYFAHTAGGRMIGKKVAEKLLDNKELEFYQWEGNLSQLLQNVREKINQVSNSWSREEKDHCLEETEKSFKYSGEILRLILS >DRNTG_18603.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22233943:22237472:-1 gene:DRNTG_18603 transcript:DRNTG_18603.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPTSAFSAKAHPSPRLSAIALRTALSPRSLTLSRRHASAFPTLITHSRTLVVSATATEMPQKAKGFVDEMRAVAMKLHTRDQAKEGQKEPQGQPVAKWEPTIEGYLKFLVDSKLVYDTLDSILHKAPYQWYAEFWNTGLERSESLAKDLEWFKEQGHIIPAPSSPGLSYAQYLEELSENDPQAFICHFYNIYFAHTAGGRMIGKKVAEKLLDNKELEFYQWEGNLSQLLQNVREKINQVSNSWSREEKDHCLEETEKSFKYSGEILRLILS >DRNTG_18603.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22234008:22237348:-1 gene:DRNTG_18603 transcript:DRNTG_18603.9 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPTSAFSAKAHPSPRLSAIALRTALSPRSLTLSRRHASAFPTLITHSRTLVVSATATEMPQKAKGFVDEMRAVAMKLHTRDQAKEGQKEPQGQPVAKWEPTIEGYLKFLVDSKLVYDTLDSILHKAPYQWYAEFWNTGLERSESLAKDLEWFKEQGHIIPAPSSPGLSYAQYLEELSENDPQAFICHFYNIYFAHTAGGRMIGKKVAEKLLDNKELEFYQWEGNLSQLLQNVREKINQVSNSWSREEKDHCLEETEKSFKYSGEILRLILS >DRNTG_18603.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22233805:22237348:-1 gene:DRNTG_18603 transcript:DRNTG_18603.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPTSAFSAKAHPSPRLSAIALRTALSPRSLTLSRRHASAFPTLITHSRTLVVSATATEMPQKAKGFVDEMRAVAMKLHTRDQAKEGQKEPQGQPVAKWEPTIEGYLKFLVDSKLVYDTLDSILHKAPYQWYAEFWNTGLERSESLAKDLEWFKEQGHIIPAPSSPGLSYAQYLEELSENDPQAFICHFYNIYFAHTAGGRMIGKKVAEKLLDNKELEFYQWEGNLSQLLQNVREKINQVSNSWSREEKDHCLEETEKSFKYSGEILRLILS >DRNTG_18603.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22233805:22237472:-1 gene:DRNTG_18603 transcript:DRNTG_18603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPTSAFSAKAHPSPRLSAIALRTALSPRSLTLSRRHASAFPTLITHSRTLVVSATATEMPQKAKGFVDEMRAVAMKLHTRDQAKEGQKEPQGQPVAKWEPTIEGYLKFLVDSKLVYDTLDSILHKAPYQWYAEFWNTGLERSESLAKDLEWFKEQGHIIPAPSSPGLSYAQYLEELSENDPQAFICHFYNIYFAHTAGGRMIGKKVAEKLLDNKELEFYQWEGNLSQLLQNVREKINQVSNSWSREEKDHCLEETEKSFKYSGEILRLILS >DRNTG_18603.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22234008:22237348:-1 gene:DRNTG_18603 transcript:DRNTG_18603.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPTSAFSAKAHPSPRLSAIALRTALSPRSLTLSRRHASAFPTLITHSRTLVVSATATEMPQKAKGFVDEMRAVAMKLHTRDQAKEGQKEPQGQPVAKWEPTIEGYLKFLVDSKLVYDTLDSILHKAPYQWYAEFWNTGLERSESLAKDLEWFKEQGHIIPAPSSPGLSYAQYLEELSENDPQAFICHFYNIYFAHTAGGRMIGKKVAEKLLDNKELEFYQWEGNLSQLLQNVREKINQVSNSWSREEKDHCLEETEKSFKYSGEILRLILS >DRNTG_18603.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22234008:22237605:-1 gene:DRNTG_18603 transcript:DRNTG_18603.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPTSAFSAKAHPSPRLSAIALRTALSPRSLTLSRRHASAFPTLITHSRTLVVSATATEMPQKAKGFVDEMRAVAMKLHTRDQAKEGQKEPQGQPVAKWEPTIEGYLKFLVDSKLVYDTLDSILHKAPYQWYAEFWNTGLERSESLAKDLEWFKEQGHIIPAPSSPGLSYAQYLEELSENDPQAFICHFYNIYFAHTAGGRMIGKKVAEKLLDNKELEFYQWEGNLSQLLQNVREKINQVSNSWSREEKDHCLEETEKSFKYSGEILRLILS >DRNTG_18603.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22234008:22237472:-1 gene:DRNTG_18603 transcript:DRNTG_18603.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPTSAFSAKAHPSPRLSAIALRTALSPRSLTLSRRHASAFPTLITHSRTLVVSATATEMPQKAKGFVDEMRAVAMKLHTRDQAKEGQKEPQGQPVAKWEPTIEGYLKFLVDSKLVYDTLDSILHKAPYQWYAEFWNTGLERSESLAKDLEWFKEQGHIIPAPSSPGLSYAQYLEELSENDPQAFICHFYNIYFAHTAGGRMIGKKVAEKLLDNKELEFYQWEGNLSQLLQNVREKINQVSNSWSREEKDHCLEETEKSFKYSGEILRLILS >DRNTG_23111.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9910717:9916565:1 gene:DRNTG_23111 transcript:DRNTG_23111.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTITEDYGTEGRYTLSDAMSSQSGDSLAEWRSSEQVENGTPSTSPPFWDTDDDEDDCGPKPSDLYGKFTWKIENFSTINKRELRSNAFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNREPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVSDGFIVNDTLMIKAQVQVIREKAHRPFRCLDCQYRRELVRVYLSNVEQICRRFVEERRNKICKLIEDGSRWSSFHAFWVGIDQNARRRMSRDKTEAILKVVVKQFFIEKEVTSTLVMDSLYSGLKALECQSKNKKGKSEPVEMEEFPAPMVFVEKDMFVLADDVLKLLDRVASEPLPHLPLPPKDDKGSQNRTKVGKIILHDLIIDFPAFSDFFVLVKLFDCFSHVF >DRNTG_23111.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9910717:9919150:1 gene:DRNTG_23111 transcript:DRNTG_23111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTITEDYGTEGRYTLSDAMSSQSGDSLAEWRSSEQVENGTPSTSPPFWDTDDDEDDCGPKPSDLYGKFTWKIENFSTINKRELRSNAFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNREPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVSDGFIVNDTLMIKAQVQVIREKAHRPFRCLDCQYRRELVRVYLSNVEQICRRFVEERRNKICKLIEDGSRWSSFHAFWVGIDQNARRRMSRDKTEAILKVVVKQFFIEKEVTSTLVMDSLYSGLKALECQSKNKKGKSEPVEMEEFPAPMVFVEKDMFVLADDVLKLLDRVASEPLPHLPLPPKDDKGSQNRTKDPNSLDDFNKYTIERDKRRLTELGRRTVEIYVLTHIFSSRIEVAYQEAVALK >DRNTG_23111.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9910717:9917786:1 gene:DRNTG_23111 transcript:DRNTG_23111.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTITEDYGTEGRYTLSDAMSSQSGDSLAEWRSSEQVENGTPSTSPPFWDTDDDEDDCGPKPSDLYGKFTWKIENFSTINKRELRSNAFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNREPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVSDGFIVNDTLMIKAQVQVIREKAHRPFRCLDCQYRRELVRVYLSNVEQICRRFVEERRNKICKLIEDGSRWSSFHAFWVGIDQNARRRMSRDKTEAILKVVVKQFFIEKEVTSTLVMDSLYSGLKALECQSKNKKGKSEPVEMEEFPAPMVFVEKDMFVLADDVLKLLDRVASEPLPHLPLPPKDDKGSQNRTKDPNSLDDFNKYTIERDKRRLTELGRRTVEIYVLTHIFRYVTCFQCLVLLKAV >DRNTG_23111.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9910717:9919150:1 gene:DRNTG_23111 transcript:DRNTG_23111.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTITEDYGTEGRYTLSDAMSSQSGDSLAEWRSSEQVENGTPSTSPPFWDTDDDEDDCGPKPSDLYGKFTWKIENFSTINKRELRSNAFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNREPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVSDGFIVNDTLMIKAQVQVIREKAHRPFRCLDCQYRRELVRVYLSNVEQICRRFVEERRNKICKLIEDGSRWSSFHAFWVGIDQNARRRMSRDKTEAILKVVVKQFFIEKEVTSTLVMDSLYSGLKALECQSKNKKGKSEPVEMEEFPAPMVFVEKDMFVLADDVLKLLDRVASEPLPHLPLPPKDDKGSQNRTKDPNSLDDFNKYTIERDKRRLTELGRRTVEIYVLTHIFSSRIEVAYQEAVALK >DRNTG_15496.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20881788:20882392:-1 gene:DRNTG_15496 transcript:DRNTG_15496.2 gene_biotype:protein_coding transcript_biotype:protein_coding VHCSIIPSSSSSSSSKPRTSSSSSSSYNHKKQTSLISPPGSSRYLLDDKAFFNVFPDSNPPPPLRASLLRPSSSSRQQDQEVVLRVSLHCKGCEGKVRRHISKMEG >DRNTG_15496.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20881949:20882392:-1 gene:DRNTG_15496 transcript:DRNTG_15496.3 gene_biotype:protein_coding transcript_biotype:protein_coding VHCSIIPSSSSSSSSKPRTSSSSSSSYNHKKQTSLISPPGSSRYLLDDKAFFNVFPDSNPPPPLRASLLRPSSSSRQQDQ >DRNTG_15496.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20881363:20882392:-1 gene:DRNTG_15496 transcript:DRNTG_15496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVRSFSIDLAEKKVTVIGDVTPLGVLNSVSKVKNAQFWPSGTTSPARASASF >DRNTG_35106.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002231.1:26042:31579:-1 gene:DRNTG_35106 transcript:DRNTG_35106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPANIIQPEERGEPTKDPGRAQASVEQVGTGPCSRGHCNHSYTTTFHNSQKCALSRESNSHHSVRLYRRPVYQ >DRNTG_35106.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002231.1:25717:27837:-1 gene:DRNTG_35106 transcript:DRNTG_35106.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPANIIQPDSCYHDVIEHPMDFRTIRKKLDLFDEIE >DRNTG_35106.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002231.1:25717:27428:-1 gene:DRNTG_35106 transcript:DRNTG_35106.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPANIIQPDSCYHDVIEHPMDFRTIRKKLDLFDEIE >DRNTG_35106.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002231.1:27514:28390:-1 gene:DRNTG_35106 transcript:DRNTG_35106.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSGCLSLSKVRVCGSTAYVAQTAWIQNGTIQENILFGMPMNSSKYKEVL >DRNTG_35106.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002231.1:27514:31579:-1 gene:DRNTG_35106 transcript:DRNTG_35106.2 gene_biotype:protein_coding transcript_biotype:protein_coding EGSSLILVRVCGSTAYVAQTAWIQNGTIQENILFGMPMNSSKYKEVL >DRNTG_07333.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23444410:23445233:1 gene:DRNTG_07333 transcript:DRNTG_07333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVQAIFRLVGGEGDDHQPLGQCLCSSKMMMFSADVASSMCEWKVVARVEHSNDDQLWLEIEEEIKMFGHVSIIYLSNVIRMDLLTQGQRIVARDSRLMLINREIISQAYWDSMAGEINEKLQECSQIFLAVLAA >DRNTG_11006.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18816502:18822554:-1 gene:DRNTG_11006 transcript:DRNTG_11006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYERLPTFCYNCGLIGHGSKSCNRPSLSGAGEPFLPSRVLREPVENTPLVSHDVDQCMDPADPIFVPGEDDNPGSEFGPWLLVSRRRGRARGHGGGAVRAAHVSSRSAAGQEIENTEFRGTALHRLRGGSRVVGSGRSSTMHASHDGTLFGAVTNSSDQDPVVNLAVDPNMENPTIRIESNPSDPSHYLPVLPNPKVPLQHVSSLQNSLTINARDNSPPFSPTQNATFQ >DRNTG_21489.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10300683:10301284:-1 gene:DRNTG_21489 transcript:DRNTG_21489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFIKSSSCITSTRSPLANDYMFLSSLRSLSISLTLGIFMRDRKYQNGSQLNRETRKGHWKATRFDKIVCPKGSSKALAIKKALVFYHGKAPKGLKNGWIMHEYQLTDVDRAPNKKGSRGGGINGREILEERKNL >DRNTG_06104.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20068158:20072022:1 gene:DRNTG_06104 transcript:DRNTG_06104.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G02990) UniProtKB/Swiss-Prot;Acc:Q9ZT96] MKSMATTAMAKPSLFSSLRMQLAKPHPSPRRSYVRASPPSPSTLYARPSLQQMKIQSAENRARVYDFLRSIGIVPDELEGLELPVTVEVMRDRIDFLHSLGLTLDDINNYPLVLGCSVKKNMIPVLDYLGKLGVRKSTFTEFLRRYPQVLHSSVVIDLAPVVKYLQGMDIKAGDIPRVLEKYPELLGFKLEGTMSTSVAYLVGIGVARREIGRILTKFPELLGMRVGRVIKPFVEHLVCIGLPRLAVARLIEKQPQILGFGLENRVKPNVEALVEFGVRNEAIPSVIAQYPDIIGIELMPKLALQQSLFESSILIGREDFGRVLEKMPQAVSLARGTILKHVDFFTGNGFMLSQVSRMVVGCPQLLALNLDVMKMSFEYFKAEMDRDLEALVEFPAFFTYGLESAIRPRQQMIAKKGVECSLAWMLNCTDEKFKERMSYESIGIEEMEVESSAFDMNSLLEPREEDDEDDEDDELEHEDDLDDEDSDDEYV >DRNTG_06104.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20068158:20071088:1 gene:DRNTG_06104 transcript:DRNTG_06104.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G02990) UniProtKB/Swiss-Prot;Acc:Q9ZT96] MKSMATTAMAKPSLFSSLRMQLAKPHPSPRRSYVRASPPSPSTLYARPSLQQMKIQSAENRARVYDFLRSIGIVPDELEGLELPVTVEVMRDRIDFLHSLGLTLDDINNYPLVLGCSVKKNMIPVLDYLGKLGVRKSTFTEFLRRYPQVLHSSVVIDLAPVVKYLQGMDIKAGDIPRVLEKYPELLGFKLEGTMSTSVAYLVGIGVARREIGRILTKFPELLGMRVGRVIKPFVEHLVCIGLPRLAVARLIEKQPQILGFGLENRVKPNVEALVEFGVRNEAIPSVIAQYPDIIGIELMPKLALQQSLFESSILIGREDFGRVLEKMPQAVSLARGTILKHVDFFTGNGFMLSQVSRMVVGCPQLLALNLDVMKMSFEYFKAEMDRDLEALVEFPAFFTYGLESAIRPRQQMIAKKGVECSLAWMLNCTDEKFKERMSYESIGIEEMEVESSAFDMNSLLEPREEDDEDDEDDELEHEDDLDDEDSDDEYV >DRNTG_06104.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20068158:20070201:1 gene:DRNTG_06104 transcript:DRNTG_06104.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G02990) UniProtKB/Swiss-Prot;Acc:Q9ZT96] MKSMATTAMAKPSLFSSLRMQLAKPHPSPRRSYVRASPPSPSTLYARPSLQQMKIQSAENRARVYDFLRSIGIVPDELEGLELPVTVEVMRDRIDFLHSLGLTLDDINNYPLVLGCSVKKNMIPVLDYLGKLGVRKSTFTEFLRRYPQVLHSSVVIDLAPVVKYLQGMDIKAGDIPRVLEKYPELLGFKLEGTMSTSVAYLVGIGVARREIGRILTKFPELLGMRVGRVIKPFVEHLVCIGLPRLAVARLIEKQPQILGFGLENRVKPNVEALVEFGVRNEAIPSVIAQYPDIIGIELMPKLALQQSLFESSILIGREDFGRVLEKMPQAVSLARGTILKHVDFFTGNGFMLSQVSRMVVGCPQLLALNLDVMKMSFEYFKAEMDRDLEALVEFPAFFTYGLESAIRPRQQMIAKKGVECSLAWMLNCTDEKFKERMSYESIGIEEMEVESSAFDMNSLLEPREEDDEDDEDDELEHEDDLDDEDSDDEYV >DRNTG_09487.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21535647:21537131:-1 gene:DRNTG_09487 transcript:DRNTG_09487.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVKPDMLFGKRGKSGLVALNLAHFVKGRLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVLERLGCTISFSECGGIEIEENWDKVRTIFLPTEKPMTSEACAPLIATLPLDVRSEGKLGTSSRVYLQYSKIWTSLSLR >DRNTG_09487.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21536412:21537131:-1 gene:DRNTG_09487 transcript:DRNTG_09487.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVKPDMLFGKRGKSGLVALNLAHFVKGRLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVLERLGCTISFSECGGIEIEENWDK >DRNTG_09487.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21534084:21534918:-1 gene:DRNTG_09487 transcript:DRNTG_09487.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYVRRSGPNYQTGLAKMQALGKELGIPLEVYGPEATMTGICKEAIDCVMAAD >DRNTG_09487.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21535647:21536276:-1 gene:DRNTG_09487 transcript:DRNTG_09487.9 gene_biotype:protein_coding transcript_biotype:protein_coding SLCPFDCNSSSGCEVRGKIGDFIKGVFAVFQDLDFTFLEMNPFTLVNGEPYSLDMRGELDDTVTF >DRNTG_09487.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21534084:21537131:-1 gene:DRNTG_09487 transcript:DRNTG_09487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYVRRSGPNYQTGLAKMQALGKELGIPLEVYGPEATMTGICKEAIDCVMAAD >DRNTG_09487.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21535647:21536577:-1 gene:DRNTG_09487 transcript:DRNTG_09487.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCKAPITTFIVEPFVPHDQEYYLSIVLERLGCTISFSECGGIEIEENWDKVRTIFLPTEKPMTSEACAPLIATLPLDVRSEGKLGTSSRVYLQYSKIWTSLSLR >DRNTG_09487.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21534084:21535385:-1 gene:DRNTG_09487 transcript:DRNTG_09487.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVCALTVLKLFLFQTSASLKLTVLNPKGRIWTMVAGGGASVIYSDTVGDLGYASELGNYAEYSGAPKEDEVLQYARVVLDV >DRNTG_09487.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21534979:21536577:-1 gene:DRNTG_09487 transcript:DRNTG_09487.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCKAPITTFIVEPFVPHDQEYYLSIVLERLGCTISFSECGGIEIEENWDKVRTIFLPTEKPMTSEACAPLIATLPLDVRGKIGDFIKGVFAVFQDLDFTFLEMNPFTLVNGEPYSLDMRGELDDTVTF >DRNTG_09487.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21534084:21537131:-1 gene:DRNTG_09487 transcript:DRNTG_09487.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVKPDMLFGKRGKSGLVALNLAHFVKGRLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVLERLGCTISFSECGGIEIEENWDKVRTIFLPTEKPMTSEACAPLIATLPLDVRGKIGDFIKGVFAVFQDLDFTFLEMNPFTLVNGEPYSLDMRGELDDTVTF >DRNTG_09487.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21536670:21537131:-1 gene:DRNTG_09487 transcript:DRNTG_09487.10 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVKPDMLFGKRGKSGLVALNLAHFVKGRLGVE >DRNTG_26201.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001349.1:30573:33523:1 gene:DRNTG_26201 transcript:DRNTG_26201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGREMSISLDAVRDKNVMQLKKLNTALFPVRYNDKYYSDALSSGDFTKLAYYSDICVGSIACRLEKNEGGSIRAYIMTLGVLAPYRGLGIGSKLLNHVLDLSAKQNISEIYLHVQTNNDDAIAFYKKFGFEITDTIQNYYANITPPDCYVLSKFTGQAPSKK >DRNTG_23967.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30844294:30846603:-1 gene:DRNTG_23967 transcript:DRNTG_23967.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGVCGKRLGFEEFFGSSPAAKKSRCFYGSPISSSDFGFASDDKVSSLLRMFPSINREVVETILKSHEDKVDDAIKSLHALCLVDGSTMNGAGVLEPTFHSNDDSIKGVLGAQTSEVKVEAASNNSLLHDRSSWVEFFVQEMMNTSNWDEVRGRISRVLEAFERSVLEQPTSSEDAIHREQQEIASLKEQLACLLRDNQILKKAVAIQHERNLENDERLKEVQQLKHIISQYEEQVRTLELHNYTLRVHLQRAQEDTSIPSQFHPDIF >DRNTG_25207.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20159321:20165098:-1 gene:DRNTG_25207 transcript:DRNTG_25207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHMRLSVNVPVLSQTRQQDIRNSEIIAEAKLIMESRMFNKVEEVDVPVDTINIETTEKIATQAESISPSSNPQPSPSAVAPPTIGAGSTST >DRNTG_11925.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:979242:981699:-1 gene:DRNTG_11925 transcript:DRNTG_11925.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSTPFLSCSFRPSISIDASNFSALPVRCPPTHHRLSRGFQRLSTIPQRQLTPRVQAMKQTFSSFDELLEKSDKPVLVDFYATWCGPCQMMVPVLEKVSEALKDKIQVVKIDTEKYTKIAGDYRIEALPTFILFRDGKPYDRFEGAMPPEKLIQWIENALSVSK >DRNTG_04451.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23986890:23987318:1 gene:DRNTG_04451 transcript:DRNTG_04451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPQGCVVVTAQEAKKNSLSICLQMPFYNPKSLSPNGLYPGCYTPFYDFNPGCYTPLYEDLIESLIDIFCGAIVATTVVFLRCLCYNATVTVLQLQCFATLNMI >DRNTG_20463.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3233970:3244188:1 gene:DRNTG_20463 transcript:DRNTG_20463.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGEDCCVKVAVHIRPLIGDERLQGCKDCVSVVPGKPQVQLGSHSFTFDHVYGSTASPSSAMFEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGGRDSSQSGLIPQVMNALFSKIESLKHQTEFQLRVSFIEILKEEVRDLLDPASTGKVEAGNVHVGKVTVPGKPVVQIREASNGVITLAGSTEVDVSSQAEMAACLEQGSSSRATGSTNMNNQSSRSHAIFTITLEQMRKLDPIFAADGSPIEDMNEDYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEESLNTLKYANRARNIQNKPIVNRNPMSAEMQKMRQQLEYLQAELLARGGGTSSDEVQVLKERISWLESTNEDLRRELHEYRHQLGLADEHYENEAQLATLSGGRSCFVKSEGLKRSLQCSDSFEYQMADNLEVDNSKEIDEEVAKEWEHTMLQNTMGKELNELNKRLEEKESEMKSFGGFETFALKQHFGKKLVELEDEKRIVQQERDRLLAEVESLAGNSDGQTHKLPDAHLQKLKSLEAQILDLKKKQENQVQLLKQKQRSDEAAKKLQDEIQFIKAQKVQLQHKIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALNQRQKLVLQRKTEEAAMATKKLKELLEARKLAGRENSVAANGNSPGTQVSEKSFQRWLDHELEVMVHVHEVRSEYEKQSQVRAALAEELAVLKQDDAFLGGHSPSRGKNGSSRIYPMSPNARLARIASLENMVSISSNTLVAMASQLSEAEERERAFTGRGRWNQLRSMGDAKSLLQYMFSVAVDARCQLREKEIEIKELKEQMNELVGILRLSEARRKEAEKQLKSREDTMASAPATPPPSEKSNGLLKHSADETSTPLSPVPVPAQKQLKYTPGIANSPSRAFNMPQQKMVPVRQLPVAKKLALAGQAGKLWKWKRSHHQWLLQFKWKWQKPWRLSEYVRQCDETITRSQPRPRPQLQPRRPIL >DRNTG_20463.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3233970:3244188:1 gene:DRNTG_20463 transcript:DRNTG_20463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGEDCCVKVAVHIRPLIGDERLQGCKDCVSVVPGKPQVQLGSHSFTFDHVYGSTASPSSAMFEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGGRDSSQSGLIPQVMNALFSKIESLKHQTEFQLRVSFIEILKEEVRDLLDPASTGKVEAGNVHVGKVTVPGKPVVQIREASNGVITLAGSTEVDVSSQAEMAACLEQGSSSRATGSTNMNNQSSRSHAIFTITLEQMRKLDPIFAADGSPIEDMNEDYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEESLNTLKYANRARNIQNKPIVNRNPMSAEMQKMRQQLEYLQAELLARGGGTSSDEVQVLKERISWLESTNEDLRRELHEYRHQLGLADEHYENEAQLATLSGGRSCFVKSEGLKRSLQCSDSFEYQMADNLEVVDNSKEIDEEVAKEWEHTMLQNTMGKELNELNKRLEEKESEMKSFGGFETFALKQHFGKKLVELEDEKRIVQQERDRLLAEVESLAGNSDGQTHKLPDAHLQKLKSLEAQILDLKKKQENQVQLLKQKQRSDEAAKKLQDEIQFIKAQKVQLQHKIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALNQRQKLVLQRKTEEAAMATKKLKELLEARKLAGRENSVAANGNSPGTQVSEKSFQRWLDHELEVMVHVHEVRSEYEKQSQVRAALAEELAVLKQDDAFLGGHSPSRGKNGSSRIYPMSPNARLARIASLENMVSISSNTLVAMASQLSEAEERERAFTGRGRWNQLRSMGDAKSLLQYMFSVAVDARCQLREKEIEIKELKEQMNELVGILRLSEARRKEAEKQLKSREDTMASAPATPPPSEKSNGLLKHSADETSTPLSPVPVPAQKQLKYTPGIANSPSRAFNMPQQKMVPVRQLPVAKKLALAGQAGKLWKWKRSHHQWLLQFKWKWQKPWRLSEYVRQCDETITRSQPRPRPQLQPRRPIL >DRNTG_20463.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3235941:3244188:1 gene:DRNTG_20463 transcript:DRNTG_20463.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAACLEQGSSSRATGSTNMNNQSSRSHAIFTITLEQMRKLDPIFAADGSPIEDMNEDYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEESLNTLKYANRARNIQNKPIVNRNPMSAEMQKMRQQLEYLQAELLARGGGTSSDEVQVLKERISWLESTNEDLRRELHEYRHQLGLADEHYENEAQLATLSGGRSCFVKSEGLKRSLQCSDSFEYQMADNLEVDNSKEIDEEVAKEWEHTMLQNTMGKELNELNKRLEEKESEMKSFGGFETFALKQHFGKKLVELEDEKRIVQQERDRLLAEVESLAGNSDGQTHKLPDAHLQKLKSLEAQILDLKKKQENQVQLLKQKQRSDEAAKKLQDEIQFIKAQKVQLQHKIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALNQRQKLVLQRKTEEAAMATKKLKELLEARKLAGRENSVAANGNSPGTQVSEKSFQRWLDHELEVMVHVHEVRSEYEKQSQVRAALAEELAVLKQDDAFLGGHSPSRGKNGSSRIYPMSPNARLARIASLENMVSISSNTLVAMASQLSEAEERERAFTGRGRWNQLRSMGDAKSLLQYMFSVAVDARCQLREKEIEIKELKEQMNELVGILRLSEARRKEAEKQLKSREDTMASAPATPPPSEKSNGLLKHSADETSTPLSPVPVPAQKQLKYTPGIANSPSRAFNMPQQKMVPVRQLPVAKKLALAGQAGKLWKWKRSHHQWLLQFKWKWQKPWRLSEYVRQCDETITRSQPRPRPQLQPRRPIL >DRNTG_00085.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21177621:21179788:1 gene:DRNTG_00085 transcript:DRNTG_00085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTISAFTFLFAFFFIQVHGAAIGVNYGRLANNLPPPPQVARFLAQTTTITGVKLFDADPFVLQAFAGTNLSINLAIPNELIPKLTNLSFAQHWVHVTILPHIQVTNITRILVGNEILSTANKSLIRSLVPAMQNIHTALTGVELHHRIKVSSTHSLSILSTSTPPSTGQFRKGYDTQMIKPMLSFLRATNSTFMVNAYPFFGCNADTLDYALFRGNTRVFDENTGLVYMNMLDGQLDAVYSAMKLLGFTDIEIVISETGWPSVGDESEAGVDIESARDYNAMLLQHVTSGVGTPLMPNRTFETYIFSLFNEDLKPGPRSERNFGLFHPDMTPVYDIGILRSEGELPMPVRSTVPPEVPDQGQMKQWCIPKLNADIKALQENIDFVCSQGLDCNPILPGGICFSPDITRAHAAYAMNEYFQAFGRNSYNCDFGQTGEITTTDPSYGSCKFN >DRNTG_00085.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21177621:21179788:1 gene:DRNTG_00085 transcript:DRNTG_00085.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQNIHTALTGVELHHRIKVSSTHSLSILSTSTPPSTGQFRKGYDTQMIKPMLSFLRATNSTFMVNAYPFFGCNADTLDYALFRGNTRVFDENTGLVYMNMLDGQLDAVYSAMKLLGFTDIEIVISETGWPSVGDESEAGVDIESARDYNAMLLQHVTSGVGTPLMPNRTFETYIFSLFNEDLKPGPRSERNFGLFHPDMTPVYDIGILRSEVHKYNKLR >DRNTG_00085.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21177621:21179788:1 gene:DRNTG_00085 transcript:DRNTG_00085.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTISAFTFLFAFFFIQAVHGAAIGVNYGRLANNLPPPPQVARFLAQTTTITGVKLFDADPFVLQAFAGTNLSINLAIPNELIPKLTNLSFAQHWVHVTILPHIQVTNITRILVGNEILSTANKSLIRSLVPAMQNIHTALTGVELHHRIKVSSTHSLSILSTSTPPSTGQFRKGYDTQMIKPMLSFLRATNSTFMVNAYPFFGCNADTLDYALFRGNTRVFDENTGLVYMNMLDGQLDAVYSAMKLLGFTDIEIVISETGWPSVGDESEAGVDIESARDYNAMLLQHVTSGVGTPLMPNRTFETYIFSLFNEDLKPGPRSERNFGLFHPDMTPVYDIGILRSEVHKYNKLR >DRNTG_00085.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21177621:21179788:1 gene:DRNTG_00085 transcript:DRNTG_00085.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTISAFTFLFAFFFIQAVHGAAIGVNYGRLANNLPPPPQVARFLAQTTTITGVKLFDADPFVLQAFAGTNLSINLAIPNELIPKLTNLSFAQHWVHVTILPHIQVTNITRILVGNEILSTANKSLIRSLVPAMQNIHTALTGVELHHRIKVSSTHSLSILSTSTPPSTGQFRKGYDTQMIKPMLSFLRATNSTFMVNAYPFFGCNADTLDYALFRGNTRVFDENTGLVYMNMLDGQLDAVYSAMKLLGFTDIEIVISETGWPSVGDESEAGVDIESARDYNAMLLQHVTSGVGTPLMPNRTFETYIFSLFNEDLKPGPRSERNFGLFHPDMTPVYDIGILRSEGELPMPVRSTVPPEVPDQGQMKQWCIPKLNADIKALQENIDFVCSQGLDCNPILPGGICFSPDITRAHAAYAMNEYFQAFGRNSYNCDFGQTGEITTTDPSYGSCKFN >DRNTG_07464.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26836134:26836686:-1 gene:DRNTG_07464 transcript:DRNTG_07464.1 gene_biotype:protein_coding transcript_biotype:protein_coding GNIINKNNDKKSNEEVIDLTTGSAKGNVHHV >DRNTG_13881.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2538750:2541681:1 gene:DRNTG_13881 transcript:DRNTG_13881.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMLQSTDDLPVNIGFTGKGNSAKPEGLTEIVKAGAMGLKLQEDWGSTPAAINNCLTVAEIFDIQVNIHTDTLNESGCVEHTIDAFKERTIHTCHSEGAGGGHAPDIIKVCGVKNALPSSTNPTRPFTSNTVDEHLDMLMVSRHLDKNIKEDVAFAESHIRAETIAAEDILHDMGAISIITSGSQGMGRVGEVITRTWQTADKMKVQRGRSIEPNRCENDNFRIKRYIAKYTINPAIANGFSNYVGSVEIGKIADLVMWKPSFFGAKPEMVIKGGVIAWANMGDPNASIPTPEPVMMRPMFGAFGKAASVNSIAFVSKLAKEADVGTKYGLKKRVEAVGNVRRLTKLDMKLNDALPKISVDPESYTVTTDGECCRISQIAATMKE >DRNTG_13881.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2535440:2541681:1 gene:DRNTG_13881 transcript:DRNTG_13881.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSTEVIAGEGMIVTAGGIDCHVHFICPQLAQEAIASGITTLVGGGTGPADGTRATTCTTSPCHMQLMLQSTDDLPVNIGFTGKGNSAKPEGLTEIVKAGAMGLKLQEDWGSTPAAINNCLTVAEIFDIQVNIHTDTLNESGCVEHTIDAFKERTIHTCHSEGAGGGHAPDIIKVCGVKNALPSSTNPTRPFTSNTVDEHLDMLMVSRHLDKNIKEDVAFAESHIRAETIAAEDILHDMGAISIITSGSQGMGRVGEVITRTWQTADKMKVQRGRSIEPNRCENDNFRIKRYIAKYTINPAIANGFSNYVGSVEIGKIADLVMWKPSFFGAKPEMVIKGGVIAWANMGDPNASIPTPEPVMMRPMFGAFGKAASVNSIAFVSKLAKEADVGTKYGLKKRVEAVGNVRRLTKLDMKLNDALPKISVDPESYTVTTDGECCRISQIAATMKE >DRNTG_13881.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2535175:2541681:1 gene:DRNTG_13881 transcript:DRNTG_13881.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSTEVIAGEGMIVTAGGIDCHVHFICPQLAQEAIASGITTLVGGGTGPADGTRATTCTTSPCHMQLMLQSTDDLPVNIGFTGKGNSAKPEGLTEIVKAGAMGLKLQEDWGSTPAAINNCLTVAEIFDIQVNIHTDTLNESGCVEHTIDAFKERTIHTCHSEGAGGGHAPDIIKVCGVKNALPSSTNPTRPFTSNTVDEHLDMLMVSRHLDKNIKEDVAFAESHIRAETIAAEDILHDMGAISIITSGSQGMGRVGEVITRTWQTADKMKVQRGRSIEPNRCENDNFRIKRYIAKYTINPAIANGFSNYVGSVEIGKIADLVMWKPSFFGAKPEMVIKGGVIAWANMGDPNASIPTPEPVMMRPMFGAFGKAASVNSIAFVSKLAKEADVGTKYGLKKRVEAVGNVRRLTKLDMKLNDALPKISVDPESYTVTTDGECCRISQIAATMKE >DRNTG_13881.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2541446:2541681:1 gene:DRNTG_13881 transcript:DRNTG_13881.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNDALPKISVDPESYTVTTDGECCRISQIAATMKE >DRNTG_13881.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2534333:2541681:1 gene:DRNTG_13881 transcript:DRNTG_13881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSTEVIAGEGMIVTAGGIDCHVHFICPQLAQEAIASGITTLVGGGTGPADGTRATTCTTSPCHMQLMLQSTDDLPVNIGFTGKGNSAKPEGLTEIVKAGAMGLKLQEDWGSTPAAINNCLTVAEIFDIQVNIHTDTLNESGCVEHTIDAFKERTIHTCHSEGAGGGHAPDIIKVCGVKNALPSSTNPTRPFTSNTVDEHLDMLMVSRHLDKNIKEDVAFAESHIRAETIAAEDILHDMGAISIITSGSQGMGRVGEVITRTWQTADKMKVQRGRSIEPNRCENDNFRIKRYIAKYTINPAIANGFSNYVGSVEIGKIADLVMWKPSFFGAKPEMVIKGGVIAWANMGDPNASIPTPEPVMMRPMFGAFGKAASVNSIAFVSKLAKEADVGTKYGLKKRVEAVGNVRRLTKLDMKLNDALPKISVDPESYTVTTDGECCRISQIAATMKE >DRNTG_13881.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2534333:2541681:1 gene:DRNTG_13881 transcript:DRNTG_13881.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSTEVIAGEGMIVTAGGIDCHVHFICPQLAQEAIASGITTLVGGGTGPADGTRATTCTTSPCHMQLMLQSTDDLPVNIGFTGKGNSAKPEGLTEIVKAGAMGLKLQEDWGSTPAAINNCLTVAEIFDIQVNIHTDTLNESGCVEHTIDAFKERTIHTCHSEGAGGGHAPDIIKVCGVKNALPSSTNPTRPFTSNTVDEHLDMLMVSRHLDKNIKEDVAFAESHIRAETIAAEDILHDMGAISIITSGSQGMGRVGEVITRTWQTADKMKVQRGRSIEPNRCENDNFRIKRYIAKYTINPAIANGFSNYVGSVEIGKIADLVMWKPSFFGAKPEMVIKGGVIAWANMGDPNASIPTPEPVMMRPMFGAFGKAASVNSIAFVSKLAKEADVGTKYGLKKRVEAVGNVRRLTKLDMKLNDALPKISVDPESYTVTTDGECCRISQIAATMKE >DRNTG_25319.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20379988:20386503:1 gene:DRNTG_25319 transcript:DRNTG_25319.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQEASSYADENGLFFKETSAKTAVNVNDIFVEIGRRLRRLNQIS >DRNTG_15036.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4183509:4186823:1 gene:DRNTG_15036 transcript:DRNTG_15036.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFTFVPAACVVGSSRTGWQSFLLANSRPIEELDCMIILPAYGAIIGSWFGAWPMPLDWERPWQEWPICVTYGAVIGYLMGMLVLTPAFILGMKRQARIKGD >DRNTG_15036.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4181750:4185485:1 gene:DRNTG_15036 transcript:DRNTG_15036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFTFVPAACVVGSSRTGWQSFLLANSRPIEELDCMIILPAYGAIIGSWFGAWPMPLDWERPWQEWPICVTYGAVIGYLMGMLVLTPAFILGMKRQARIKGD >DRNTG_10727.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1933858:1941225:-1 gene:DRNTG_10727 transcript:DRNTG_10727.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLIGRLSSLHHRAFSKLAESEALSEKSSTIVPIMPPFDYSPPPYDGPPAAEIIRKRSEFLSPALFHFYKKPLNVVAGKMQYLFDENGRRYLDAFAGIATVCCGHCHPEIIDAIINQTKKLQHSTVVYLNHAIADFAEALASKMPGDLKVVFFTNSGTEANELAMLIARLYTGCQDIVSLRNAYHGNAAGTMGATAQSNYKFNVVQSGVHHALNPDQYRGVFGSDGEKYAKDVQEIIDFGTSGHVAGFISEAIQGVGGILELAPGYLPAVYKIIKKAGGLCIADEVQSGFARTGSHFWGFEAHGVVP >DRNTG_20012.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4500326:4503052:1 gene:DRNTG_20012 transcript:DRNTG_20012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYGVQGAPVKVRRPSDYNPSLAAALGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGLLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGAAQPRPEQESVLLQAQQQVALQRLVYQAGSLPTKVICLSQAINEDELKDDEEYEDILDDMRGEGEKYGKLVNVVIPRPGPNNEPYPGVGKVFLEYADTDASSKARQALNGRKFGGNTVVAVFYPENKFAQGEYA >DRNTG_24537.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001306.1:23318:26155:-1 gene:DRNTG_24537 transcript:DRNTG_24537.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQLLYRHPDRAMREMIKANQQWDKTARQVFVLKKKKWVGQSRLNKYEQELMRIFLNCRMDSTVVWKNDDVSTTRSNLYTLLEGKEMVTDDVMDAFICIIQKSLSKVPYPYKKRASITRPLALFMSKQDDVHETTMAMIGDAVRSLHEDQIVILPIIMNGHFYVVVLDNDKQEYMHFSSCTGYEKDASDMRNLFDTAVDMEFGESATAKYPLLYDMETPRQKQGSVDCAVYVMRFIEQLLWGEKLRLPQTDVPYLRLKYVTRILKEGRAAGVHEKGGSSEVG >DRNTG_09458.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21300741:21302126:1 gene:DRNTG_09458 transcript:DRNTG_09458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAGGLYINPKKFGAVGKPCMKEMVSFLGCLSLNKNNDDKCVRQKDLLLACVESQRGKPKNPARTINYHLQRLGRDKFF >DRNTG_34351.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21208136:21210888:-1 gene:DRNTG_34351 transcript:DRNTG_34351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSEDHAGCSTPSADSLEYSRTISEVSSYSEHSCLDDAFSLGWPISAKSTTTTVRSPAVLTKLGMKQHCDLVPSGKPNNEEEEKIAELELMKERFSKLLLGEDMSGSGKGVCTAVTISNAITNLYATVFGQCWRLEPLSPEKKSMWRREMECLLSVCDYIVEFYPSVQTLPDGTTLDVMATRPRADIYMNLPALEKLDSMLIEILDSFENNEFWYVDEENVSANGDISKSCRKVIHRNEEKWWLPIPCVPAVGLPEKTRKELQQKKDCANQIHKAAMAINNSILTEMEIPETYMATLPKSGRTSVGDSIYKYMSTVEKFSPDFLLNCLDITSEHEALEIADRVEASMYVWRRKASMNNSKSSWEMVKDLMNDGDKNQLLASRAETLLLCLKQRYPGLSQTTLDTCKIQYNKDVGQAILESYSRVLESLAFKIVSWIGDVLYIDGSVKKP >DRNTG_34351.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21208136:21210888:-1 gene:DRNTG_34351 transcript:DRNTG_34351.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSEDHAGCSTPSADSLEYSRTISEVSSYSEHSCLDDAFSLGWPISAKSTTTTVRSPAVLTKLGMKQHCDLVPSGKPNNEEEEKIAELELMKERFSKLLLGEDMSGSGKGVCTAVTISNAITNLYATVFGQCWRLEPLSPEKKSMWRREMECLLSVCDYIVEFYPSVQTLPDGTTLDVMATRPRADIYMNLPALEKLDSMLIEILDSFENNEFWYVDEENVSANGDISKSCRKVIHRNEEKWWLPIPCVPAVGLPEKTRKELQQKKDCANQIHKAAMAINNSILTEMEIPETYMATLPKSGRTSVGDSIYKYMSTVEKFSPDFLLNCLDITSEHEALEIADRVEASMYVWRRKASMNNSKSSWEMVKDLMNDGDKNQLLASRAETLLLCLKQRYPGLSQTTLDTCKIQYNKDVGQAILESYSRVLESLAFKIVSWIGDVLYIDGSVKKP >DRNTG_34351.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21208136:21210888:-1 gene:DRNTG_34351 transcript:DRNTG_34351.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSEDHAGCSTPSADSLEYSRTISEVSSYSEHSCLDDAFSLGWPISAKSTTTTVRSPAVLTKLGMKQHCDLVPSGKPNNEEEEKIAELELMKERFSKLLLGEDMSGSGKGVCTAVTISNAITNLYATVFGQCWRLEPLSPEKKSMWRREMECLLSVCDYIVEFYPSVQTLPDGTTLDVMATRPRADIYMNLPALEKLDSMLIEILDSFENNEFWYVDEENVSANGDISKSCRKVIHRNEEKWWLPIPCVPAVGLPEKTRKELQQKKDCANQIHKAAMAINNSILTEMEIPETYMATLPKSGRTSVGDSIYKYMSTVEKFSPDFLLNCLDITSEHEALEIADRVEASMYVWRRKASMNNSKSSWEMVKDLMNDGDKNQLLASRAETLLLCLKQRYPGLSQTTLDTCKIQYNKDVGQAILESYSRVLESLAFKIVSWIGDVLYIDGSVKKP >DRNTG_33581.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20060039:20062504:-1 gene:DRNTG_33581 transcript:DRNTG_33581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSYNFLTGSLPSSLFNIITLNKLMLISNDFSGELSKDIGNCTGLSRLRLGFNQFSGEIPKEIGFLQSLTFLELSENKLTGEIPEEIGRCIELEMVDLHENRIHGVIPNSFESLVHLNVLDLSRNRLSGSVPEALGKLKSLNKLALNGNYITGMIPKSIALCTDLQSLDMSSNRITGPIPDEISNLLGLDILLNLSWNYLLGPLPAGFRNLSKLGSLDLSHNMLTGSLSLLGELDDLISLDVSFNNFSGVLPDTTLFHQLPDSAFYGNQELCKCFHGRNKITASHHPSRKLIFGLVFSIILVTLFATIATLLRIRSRRIIASSKNMNGDEDGLWEWELTPFQKLAFSAGEVVDGLVDSNVIGKGSSGLVYRVETRTGPTIAVKKLRSDHKQGQFSERDFFNAEVKILSSIRHKNIIRLLGCCSKRNNNAKLLIYDYMSNGSLNDLLHHSKVFIDWDSRYKIALGAAQGIAYLHHGCNPPIIHRDIKSNNILISQQLEACIADFGLAKPLNQHSQQARFNSIVAGSFGYIAPEYSYSLRITEKSDVYSYGIVLLEILTGLQPTDERILGGGHIVELVRKEVRKGVNEAVELFEECLRKNQNDMEIQEMLQVLGIALLCVNPSPQDRPEMKDVVAMMKEIRFEFKSSVMEVSESTRIAAGCSSFSQSSESLVSCSSSLI >DRNTG_28412.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24613693:24614997:1 gene:DRNTG_28412 transcript:DRNTG_28412.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSVDIVEKFLRQQQIFVPNRYSYTDIIALTSNFSDKLGQGGFGFVFKGRLSWNRLIAIKMLANSKNNTGEEFINEVSTIGRIHHVNVVKLVGFCAEGQQRALVYEYMANGSLDKYIFSSNNGPNHKFSLDKLIDIAIGVARGIDYLHNGCDMQILHFDIKPHNILLDHNFNPKISDFGLAKLYPRDNKFVSLSVARGTIGYIAPELISRSFGIISHKSDVYSFGMLLLEMAGGRRNSNLKVENTSQVYYPSWIYDKLINNMVKHDAVEADTNTSIVIDKGEKKLCMIGLWCIQMSPSDRPSMSQVLDMLEGDVHSLQMPPKPFFSEPTQTPQEMSYLHS >DRNTG_28412.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24613410:24614997:1 gene:DRNTG_28412 transcript:DRNTG_28412.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVVLMQISKGYIAFVVFGRFVFAPLTIFAFLFCKWYQMMSSVDIVEKFLRQQQIFVPNRYSYTDIIALTSNFSDKLGQGGFGFVFKGRLSWNRLIAIKMLANSKNNTGEEFINEVSTIGRIHHVNVVKLVGFCAEGQQRALVYEYMANGSLDKYIFSSNNGPNHKFSLDKLIDIAIGVARGIDYLHNGCDMQILHFDIKPHNILLDHNFNPKISDFGLAKLYPRDNKFVSLSVARGTIGYIAPELISRSFGIISHKSDVYSFGMLLLEMAGGRRNSNLKVENTSQVYYPSWIYDKLINNMVKHDAVEADTNTSIVIDKGEKKLCMIGLWCIQMSPSDRPSMSQVLDMLEGDVHSLQMPPKPFFSEPTQTPQEMSYLHS >DRNTG_28412.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24612547:24614997:1 gene:DRNTG_28412 transcript:DRNTG_28412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSASAAPLLSIFFFFFFSSSVVVSGGRHDCPPSSCGNITNIKNPFRLKDDPSNCGDPNYELTCDGLNRTILTLLNNSYYVINITYLSYDFYIEVDFKIEVVDVGMVNDGSCHLPLPHLTLFEMNSNSYYMTRQDSWVTLVNCSKEVKNNSKYRPVPCLSDNNSFIYFINYTYESYVVRNLMCSCRSLAIFPVKYYEFSDQLPTDIIKFLAQGFTLSRNISMPNTFRDCLWSSIRRAYRFFKDKENPILYRIPVFVIGSEFSFVFCLFDGGCIKDHHILLVPMVLVVVLMQISKGYIAFVVFGRFVFAPLTIFAFLFCKWYQMMSSVDIVEKFLRQQQIFVPNRYSYTDIIALTSNFSDKLGQGGFGFVFKGRLSWNRLIAIKMLANSKNNTGEEFINEVSTIGRIHHVNVVKLVGFCAEGQQRALVYEYMANGSLDKYIFSSNNGPNHKFSLDKLIDIAIGVARGIDYLHNGCDMQILHFDIKPHNILLDHNFNPKISDFGLAKLYPRDNKFVSLSVARGTIGYIAPELISRSFGIISHKSDVYSFGMLLLEMAGGRRNSNLKVENTSQVYYPSWIYDKLINNMVKHDAVEADTNTSIVIDKGEKKLCMIGLWCIQMSPSDRPSMSQVLDMLEGDVHSLQMPPKPFFSEPTQTPQEMSYLHS >DRNTG_35143.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1234621:1236335:1 gene:DRNTG_35143 transcript:DRNTG_35143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALTTVRSGFRRLSTFSAFSPPPPAQAPPKADPSTNLFVSGLSKRTTTEGLRDAFQKFGEVVSAKVVTDRVSGYSKGFGFVRYATLEDAAKGIEGMDGKFLDGWVIFAEYARPRPPPPTPEAPSGYQNGYQNPPTY >DRNTG_10892.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13421748:13431970:-1 gene:DRNTG_10892 transcript:DRNTG_10892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPPHGRSDCDMAPRTKKQANKCPREPFPEPENMEFTVPEHHVQFERLSKLKFRQSRFPDTCILRKIQQGDEFADEVEDLVLVGGWRQLLLIRESAICTLILEVLSSFEFGRSYARFDSINAIQFRALEHHYNYPGSLNSS >DRNTG_17626.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4395984:4397693:-1 gene:DRNTG_17626 transcript:DRNTG_17626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTVEEITDGVAIAAVDKINDSVMNPVERAADITVEPTADIAASKADTIPQQQETCKDMSPLDAAVVPASKEDYAGAKHRQPSTTVQHDDPKTAVDEGQGNAAEMTTREKINANKKLEEVRKVFIPKKKKYVGQSTVVWKNDSVSLTRSRLFDLLEGKEMVADDVMDAFRNLFDLCIDIEFGESATAKYPLIHDTETPRQKQESVDCAVYVMQFIEQLLADEKLRLPQTDVPYLRLKYASRILKEGRAAGITAKGECLKADS >DRNTG_12496.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2439441:2440543:-1 gene:DRNTG_12496 transcript:DRNTG_12496.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGCINSTAADSPTIRRSTRRAISTVATDAATLHSLSFTALRAAASALIDVNRDALDNIGQLKDEISSNPDLLFFVKHYLQISIDTLQFFTALKDSLSKARETELLVRDALLLFEDGHDPSAALEKLQEFKDEGDPFTEKFVDEFKLLCERQQSILHDLLLRKKDLDQKLREVKAWRKVWNIVYSAVFAAVLISSVVLAAVAAPPAVTAAAAAASGAMAPLQQWLDSLWDNFQNPYEEGRKIFDSLGKETSFAIHELNSIRSLVDNLEGKIKSMIHRAEFAINGEKEEEVKVVMIEIKLKAGEFAKSVEQLEKEVDRRGDELKRATATILQTVTD >DRNTG_12496.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2439441:2440457:-1 gene:DRNTG_12496 transcript:DRNTG_12496.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLQQWLDSLWDNFQNPYEEGRKIFDSLGKETSFAIHELNSIRSLVDNLEGKIKSMIHRAEFAINGEKEEEVKVVMIEIKLKAGEFAKSVEQLEKEVDRRGDELKRATATILQTVTD >DRNTG_12496.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2447623:2448759:-1 gene:DRNTG_12496 transcript:DRNTG_12496.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIHSTPAADESPSVSPTLPRSTRSAISTVATDAATLHSLSFTALRAAASALIDVNRDALDNIGQLKDEISSNPDLLFFVKYYLQTSIATLRFFTALKDSLSKARETELLVRDAVLLFEEGHDPSAALEKLQEFKDEGDPFTAKFVDEFKLVCERQQSILHDLLLRKKDLDQKLREVKAWRKVWNIVYSAVFAAVLISSVVLAAVAAPPAVTAAAAAASGAMAPLQQWLDSMWDKFQNPFEADRKIIDSLGKETSFAIHELNSIRSLVDSLEGKIRSMIHRAELAIDGEDEEEVKVVMIEIKLKAGEFAKSVEQLEKEVDRRGDELKRATATILQAVTD >DRNTG_12496.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2439148:2448759:-1 gene:DRNTG_12496 transcript:DRNTG_12496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIHSTPAADESPSVSPTLPRSTRSAISTVATDAATLHSLSFTALRAAASALIDVNRDALDNIGQLKDEISSNPDLLFFVKYYLQTSIATLRFFTALKDSLSKARETELLVRDAVLLFEEGHDPSAALEKLQEFKDEGDPFTAKFVDEFKLVCERQQSILHDLLLRKKDLDQKLREVKAWRKVWNIVYSAVFAAVLISSVVLAAVAAPPAVTAAAAAASGAMAPLQQWLDSMWDKFQNPFEADRKIIDSLGKETSFAIHELNSIRSLVDSLEGKIRSMIHRAELAIDGEDEEEVKVVMIEIKLKAGEFAKSVEQLEKEVDRRGDELKRATATILQTVTD >DRNTG_12496.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2439441:2448759:-1 gene:DRNTG_12496 transcript:DRNTG_12496.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIHSTPAADESPSVSPTLPRSTRSAISTVATDAATLHSLSFTALRAAASALIDVNRDALDNIGQLKDEISSNPDLLFFVKYYLQTSIATLRFFTALKDSLSKARETELLVRDAVLLFEEGHDPSAALEKLQEFKDEGDPFTAKFVDEFKLVCERQQSILHDLLLRKKDLDQKLREVKAWRKVWNIVYSAVFAAVLISSVVLAAVAAPPAVTAAAAAASGAMAPLQQWLDSMWDKFQNPFEADRKIIDSLGKETSFAIHELNSIRSLVDSLEGKIRSMIHRAELAIDGEDEEEVKVVMIEIKLKAGEFAKSVEQLEKEVDRRGDELKRATATILQTVTD >DRNTG_27475.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26438994:26441582:1 gene:DRNTG_27475 transcript:DRNTG_27475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNAKVPKFGDWEGTDVPYTQCFDDARRVKNAGRMINPNDPQENPEAFSQDRPSAQDEGEHRRPKHERRASREDSEFHRSTDPSHQRRARRASTGSDRSVEQSPLHHHHQSKSAKGGVSPSALERRASSEAHGVGSRTPVRSRLKNAGRGDETPDKGSTVPEFGGWEKDPNSADGYTGIFDNLRAEKQDGSARVPIVSNDPYQTNGHKRSSQNTGCSCFSWLKK >DRNTG_35472.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3792456:3794165:1 gene:DRNTG_35472 transcript:DRNTG_35472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRVSMGVDSGDHEEVPSWGTSLPVESVQEIVRNDPSNVPEKYIHDFDYTQTDVVLTHLSSDIPVIDLSLLSYGDEVELQKLDMACRDWGFFQIINHGIEAQILQEVKENSAKFLELPIEEKNKYSMASDDVQGFGQVYVVSEEQKLDWADLFILVVYPTKFRKWTFWPTTPPEFIKAIEAYSSEANRVAKELFGSLSILLGMEKESLLKLHDEVMQALRINYYPTCSMPDKVIGVSPHSDTSTLTVLLQDDEVTGLQIRHSGGWVPVKPIPNALVLNVGDVLEVLSNGKYKSIEHRAMTNQNKVRMSIASFICPQDDVQIEPLDTMVNGTKNKRMYRKIRYGDFLRYSLQRNMDGKSHTNLIKLENE >DRNTG_06797.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3932585:3939909:1 gene:DRNTG_06797 transcript:DRNTG_06797.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLILFPFKDKAIILFLLLSQSIVLILLLQLHHHQGMASTISYSLGSVGLVRGIEDQRYIGLRPLAAQVHFHAAPFVKAQRSMHVKASNEQETKVKSSGLSIEECEAAAVAGKFPDPPPFVRPSGPKGTPVITPQELTKRPRRNRKSPALRAAFQETILSPANFVYPLFIHEGEEDTPIGAMPGCFRLGWRHGLLDEVYKARDVGVNSFVLFPKVPDALKSASGDEAYNDNGLIPRSIRLLKDKYPDIVIYTDVALDPYSSDGHDGIVREDGVIMNDETVHQLCKQAVSQARAGADVVSPSDMMDGRVGAIRAALDAEGFHDVSIMSYTAKYASAFYGPFREALDSNPRFGDKKTYQMNPANYREALIETEADEAEGADILLVKPALPYLDVVRLLRDNSALPIAAYQVSGEYSMIKAGGVLKMIDEEKVMMESLLCIKRAGADIILTYFARQAAGVLCGMR >DRNTG_30900.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001640.1:49022:51243:-1 gene:DRNTG_30900 transcript:DRNTG_30900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHGFVDWKGNPINKKQHGGVRAACFIYFLVVMLNMAYVPNMLTLVTYLHGTMHTGVASSSTIVTNFIGATCAFALLGAFLSDSYISRLTTIFIFGPFELLGFGLLALQAHFPSLHPPTCDPDAQSSNCRSVHGFNSILLYIALYTIALGEGCIRASLASLGADQFDTDDPIESRQWSSFFNWFTFGISSGAFSGLILIVWLEDNKGWDIGFAVGALLVLLGLLVLAFGFPYYRNQRPQGSPLTRVLQVFVVAFKNRKLTFPETLEDVKQEDTSQEEVIPQTKDFKFLEKSSIYQGKAGGWSYCSINQVEETKIILRMIPVLISSIVAYITTPLLLTFSVQQGGTMNTRIGKIHIAPASLMLIPVTFQMIILVVYDQFFVPFARKITGYTSGITSLQRIGIGFLFMPISTCVAALVEMKRKHYAEEHGEPMSVFWLSMQFFILGINDVTNFVGLLEFFNGEVSRGMKSIGTAIFWCAIGLPSLLGSVLVNVVNKISRNGDREGTGWLEGKTLNESQLDKFYWLMSVIGVIAFFNYLVWARKYVYRHNPRISVS >DRNTG_30900.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001640.1:49022:50906:-1 gene:DRNTG_30900 transcript:DRNTG_30900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMAYVPNMLTLVTYLHGTMHTGVASSSTIVTNFIGATCAFALLGAFLSDSYISRLTTIFIFGPFELLGFGLLALQAHFPSLHPPTCDPDAQSSNCRSVHGFNSILLYIALYTIALGEGCIRASLASLGADQFDTDDPIESRQWSSFFNWFTFGISSGAFSGLILIVWLEDNKGWDIGFAVGALLVLLGLLVLAFGFPYYRNQRPQGSPLTRVLQVFVVAFKNRKLTFPETLEDVKQEDTSQEEVIPQTKDFKFLEKSSIYQGKAGGWSYCSINQVEETKIILRMIPVLISSIVAYITTPLLLTFSVQQGGTMNTRIGKIHIAPASLMLIPVTFQMIILVVYDQFFVPFARKITGYTSGITSLQRIGIGFLFMPISTCVAALVEMKRKHYAEEHGEPMSVFWLSMQFFILGINDVTNFVGLLEFFNGEVSRGMKSIGTAIFWCAIGLPSLLGSVLVNVVNKISRNGDREGTGWLEGKTLNESQLDKFYWLMSVIGVIAFFNYLVWARKYVYRHNPRISVS >DRNTG_05096.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1907443:1912165:-1 gene:DRNTG_05096 transcript:DRNTG_05096.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMLRMQRKQKPQTREEIRNRRWEAQGISDGKPDREEARARMMPISKLQLLSCRKIQQPNKPTPQEQLLILKWERIQYNQQPNQEKSED >DRNTG_05096.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1907443:1912165:-1 gene:DRNTG_05096 transcript:DRNTG_05096.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMLRMQRKQKPQTREEIRNRRWEAQGISDGKPDREEARARMMPISKLQLLSWRFLYTLAQAKGIQRGKSIREMREFRS >DRNTG_05096.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1907443:1916086:-1 gene:DRNTG_05096 transcript:DRNTG_05096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMLRMQRKQKPQTREEIRNRRWEAQGISDGKPDREEARARMMPISKLQLLSWRFLYTLAQAKGIQRGKSIREMREFRS >DRNTG_05096.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1909714:1912215:-1 gene:DRNTG_05096 transcript:DRNTG_05096.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIPPNDNDKPSGIQAKHSVFDLHRHTRVF >DRNTG_05096.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1907443:1912165:-1 gene:DRNTG_05096 transcript:DRNTG_05096.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMLRMQRKQKPQTREEIRNRRWEAQGISDGKPDREEARARMMPISKLQLLSWRFLYTLAQAKGIQRGKSIREMREFRS >DRNTG_05096.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1907443:1912165:-1 gene:DRNTG_05096 transcript:DRNTG_05096.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMLRMQRKQKPQTREEIRNRRWEAQGISDGKPDREEARARMMPISKLQLLSCRKIQQPNKPTPQEQLLILKWERIQYNQQPNQEKSED >DRNTG_05096.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1907443:1912165:-1 gene:DRNTG_05096 transcript:DRNTG_05096.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMLRMQRKQKPQTREEIRNRRWEAQGISDGKPDREEARARMMPISKLQLLSCRKIQQPNKPTPQEQLLILKWERIQYNQQPNQEKSED >DRNTG_07958.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:935511:936954:1 gene:DRNTG_07958 transcript:DRNTG_07958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNGAADDHHHKKNKAGGLASVLALATANPPNVVYQDNFPDYFFSVTNSEHMVELKEKLKRVCDKSMIKKRHFYLNEEKLKEHPNLCAFMDQSSLDTRHDIVIEEVPKLGAKAATKALEEWGRPRSEITHIIFCSTGGVDLPGADYRIIKLLGLSPSTKRVMLYSQGCFAGGTVLRIAKDLAENNENARILIVCSESTVISFRGPSEANEHFDNLVGQAIFADGAASLVIGAKPIPGVENPFFEIVSNDQYIIPESDGFIGGHLREVGLTFYLHSQVPSTIGRNIEKTLVKAFSPLGISDWNSLFYITHPGGRAILDKVEEKLELKPEKMRATRHVLSEYGNMSSPSVFFIMDEMRKRSMADGLRTAGEGLDYGVLHGLGPGITVETVVLHALPLPPSSKEN >DRNTG_33438.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2986529:2990274:-1 gene:DRNTG_33438 transcript:DRNTG_33438.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLIAFAAAGTVVFSPHPYVFHNPCFSSNHRELCTSEPLRRRRDFALVRCSISKVHNYGTVDYENKKALKWSSLYRRIAMMEDPEAGTAAVLQRWEAEEKTLGKWELCRVAKELRKFRRFNLALEVYEWMGAQGTRFNFTSSDLAIQLDLIAKVHGISRAEEYFSQLSEVFKDKRTFGALVNAYGKARMKEKAEAVVDIMKRKGFATDPLVYNVMMTLYMNVGEHEKIDMIIQEMRERNVPLDVYSYNIWITNCAAMENLEEMEWVFEQMASDSSINANWTTYTTLASMYIKLGAKEKAFHYLKEAEIRMTGRNRVSFNHLLGLYAAVGKKEDIFRVWSRYKSSFPSILNLGYQAMLSALIKLQDNEGAENIFDEWLSTTPLPDPRICNLLMGWYARQGLTLKAKSVLNRMVEKGGKPKPITWEILAQGYILEKQIFEALSCLQEAASFEGTHNYLWRPKPVNVANFLALCKEQNDMESMHVFLDMLKKRGCLENVEYESLVSSYTDTMVPGRR >DRNTG_33438.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2987147:2990274:-1 gene:DRNTG_33438 transcript:DRNTG_33438.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHLIAFAAAGTVVFSPHPYVFHNPCFSSNHRELCTSEPLRRRRDFALVRCSISKVHNYGTVDYENKKALKWSSLYRRIAMMEDPEAGTAAVLQRWEAEEKTLGKWELCRVAKELRKFRRFNLALEVYEWMGAQGTRFNFTSSDLAIQLDLIAKVHGISRAEEYFSQLSEVFKDKRTFGALVNAYGKARMKEKAEAVVDIMKRKGFATDPLVYNVMMTLYMNVGEHEKIDMIIQEMRERNVPLDVYSYNIWITNCAAMENLEEMEWVFEQMASDSSINANWTTYTTLASMYIKLGAKEKAFHYLKEAEIRMTGRNRVSFNHLLGLYAAVGKKEDIFRVWSRYKSSFPSILNLGYQAMLSALIKLQDNEGAENIFDEWLSTTPLPDPRICNLLMGWYARQGLTLKAKSVLNRMVEKGGKPKPITWEILAQGYILEKQIFEALSCLQEAASFEGTHNYLWRPKPVNVANFLALCKEQNDMESMHVFLDMLKKRGCLENVEYESLVSSYTDTMVPGRR >DRNTG_33438.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2986529:2990274:-1 gene:DRNTG_33438 transcript:DRNTG_33438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLIAFAAAGTVVFSPHPYVFHNPCFSSNHRELCTSEPLRRRRDFALVRCSISKVHNYGTVDYENKKALKWSSLYRRIAMMEDPEAGTAAVLQRWEAEEKTLGKWELCRVAKELRKFRRFNLALEVYEWMGAQGTRFNFTSSDLAIQLDLIAKVHGISRAEEYFSQLSEVFKDKRTFGALVNAYGKARMKEKAEAVVDIMKRKGFATDPLVYNVMMTLYMNVGEHEKIDMIIQEMRERNVPLDVYSYNIWITNCAAMENLEEMEWVFEQMASDSSINANWTTYTTLASMYIKLGAKEKAFHYLKEAEIRMTGRNRVSFNHLLGLYAAVGKKEDIFRVWSRYKSSFPSILNLGYQAMLSALIKLQDNEGAENIFDEWLSTTPLPDPRICNLLMGWYARQGLTLKAKSVLNRMVEKGGKPKPITWEILAQGYILEKQIFEALSCLQEAASFEGTHNYLWRPKPVNVANFLALCKEQNDMESMHVFLDMLKKRGCLENVEYESLVSSYTDTMVPGRR >DRNTG_22394.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16825425:16828335:-1 gene:DRNTG_22394 transcript:DRNTG_22394.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:scarecrow-like 5 [Source:Projected from Arabidopsis thaliana (AT1G50600) TAIR;Acc:AT1G50600] MIASHIKHSAGYKSMQASDKHKNLKKPQQSYYQQNSMSLREVHSQLLPPNYQSLRNSYSGDCSQLKSTVPQTSHEQYCTLESFTSAGYTVHTSPSTLSYSPSTESPVSQQDCLSDNYGSPISVSCITEDPIDLKHKLRQLENVMLGPGSDIIDSFEGTFNSQLILEPEKWKQILEIPRGDLRQGLIACARALAENDMPVADWLMTELRPMVSVSGEPLQRLGAYLLEGLVARLASSGSSIYKALKCKEPASSELLSYMHILYEVCPFFKFGYMSANGAIAEAVKGEERVHIIDFQIAMGSQWVTLIQALAARPGGPPCLRITGVDDPVSAYARGGGLHLVGKRLSRLAESCNVPFEFNAAAISGCEVELNNLEIRSGEALAVNFPFQLHHMPDESVSTENHRDRLLRLVKSLSPKVVTLVEQESNTNTAPFFPRFVETLDYYTAIFESIDVALPRENNER >DRNTG_33495.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002008.1:40736:41823:1 gene:DRNTG_33495 transcript:DRNTG_33495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMNYYLGIEISQTTKHITLCQSAYAKNILKEMGMMNCNPAHTPMEERLKLKSDGGAMKVNKTEYRSLIGSMRYLTTRPDLMYSVGILSRFLEAPTTEHMAAVKRVLRFVKGTIDYGIVYYKRSKEKELTGYSDSDHAGDVEDRKSTSGMVFMLGNSLISWSFVKRKMVALSSCEAEYIALIGAACQGIWLARLKSELNGEPEETVKLLVDNMSTTALARIQSTTIGPNILKPGFISSDTV >DRNTG_32199.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001797.1:52:1815:-1 gene:DRNTG_32199 transcript:DRNTG_32199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIILKDSAWVGHLLSGYSLLMDYPNQKKEQNKEEVVGGTWKHAIKVSYEATQQAFPGGVILAHLDHMSFKSWQKNAITSFLAEQNIQVGKPSDFF >DRNTG_32199.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001797.1:52:1815:-1 gene:DRNTG_32199 transcript:DRNTG_32199.4 gene_biotype:protein_coding transcript_biotype:protein_coding ECNELTLSFLFFEVTATYLGLICRNNDNKKVLLGLGAHHMDIILKDSAWVGHLLSGYSLLMDYPNQKKEQNKEEVVGGTWKHAIKVSYEATQQAFPGGVILAHLDHMYVRLSSIQSLTII >DRNTG_32199.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001797.1:52:1815:-1 gene:DRNTG_32199 transcript:DRNTG_32199.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIILKDSAWVGHLLSGYSLLMDYPNQKKEQNKEEVVGGTWKHAIKVSYEATQQAFPGGVILAHLDHMSFKSWQKNAITSFLAEQNIQVGKPSDFF >DRNTG_32199.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001797.1:52:1815:-1 gene:DRNTG_32199 transcript:DRNTG_32199.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDIILKDSAWVGHLLSGYSLLMDYPNQKKEQNKEEVVGGTWKHAIKVSYEATQQAFPGGVILAHLDHMSFKSWQKNAITSFLAEQNIQVGKPSDFF >DRNTG_28458.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11170553:11171948:-1 gene:DRNTG_28458 transcript:DRNTG_28458.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTRYTSEHRIANSLPLNNAPSHPIPQQVCNLEAETFSVKITTKIKQDVQQKH >DRNTG_01349.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:234298:235430:-1 gene:DRNTG_01349 transcript:DRNTG_01349.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase, Strigolactone receptor, Strigolactone perception, Reguration of shoot branchin [Source: Projected from Oryza sativa (Os03g0203200)] MVGGHANTTTSTNGRTIKLLEILNVRVVGNGDRFLILSHGFGTDQSAWQRILPFLTRDYKVILYDLVCAGSVNPDHFHFDRYTTLDAFVDDLLAILDALRIHRCAFIGHSFSAMIGILAAIRRPELFSKLILVGASPRFLNDGDYHGGFNREEIERVLAAMKANYEAWAMGYAPLAVGSDVPAVVREFSRTLFNMRPDISLFVARTVFNSDLRGVLELVCTPCVIVQTARDVSVPMSVAAYLRDHLGGRSTVEILPTEGHLPHLSAPQLLGPVLRRALTR >DRNTG_09895.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29295363:29300144:1 gene:DRNTG_09895 transcript:DRNTG_09895.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPALSRVHSVRERLVDNLSAHRNELVALFSRFVNQGKGMLQPHQLLTEYETVISEADRKALKDGIFEDVLKAAQEAIVIPPWVALAIRPRPGVWEYVRVNVNELAVEDLTVPEYLEFKEKLVDDHTESNFTLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMSMMLNDRIQSLSALQASLRKAEQYLLTIPNDTPYSEFTHRFQELGLEKGWGDNAQRVHENIHLLLDLLEAPDPCTLEKFLSTVPMMFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLDITPRILIVTRLLPDAVGTTCGQHLEKVLGTEYTHILRVPFRTEKGIVRKWISRFEVWPYLETYTEDVANELARELQATPDLIIGNYSDGNLVASLLAHKLGVTQCTIAHALEKTKYPNSDIYWKKFEEQYHFSCQFTADLIAMNHADFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDTKFNIVSPGADMSIYFPYTEQNNRLTALHPEIEELLYSPVENSLHKFVLKDRSKPIIFSMARLDRVKNITGLVEFYGKNARLRELVNLVVVAGDHGKESKDLEEQAEMKKMYDLIAEHKLDGHIRWISAQMNRVRNGELYRYICDTRGAFVQPAFYEAFGLTVVESMTCGLPTFATAHGGPGEIIIDGVSGFHIDPYHGDKAADILVSFFEKCKQDPTYWEKISQGGLKRIHEKYTWKLYSERLMTLTGVYGFWKYVSNLDRRETRRYLEMFYALKYRNLAKSVPLAVDGEVMTNGNA >DRNTG_27316.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:210445:211202:-1 gene:DRNTG_27316 transcript:DRNTG_27316.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDNMRATWGATLFLLIFIVAVVNLDAREIIRGNGDHGVADDKHFLYGPRHGFGGGLGHGIYSRGPFRHGGFGRDGGLGGGAGAGAGFGGGAGGGAGGGLGGGGGGLGGGAGGGLGGGGGLGGGAGGGIGGGAGGGIGVGAGGGGGFGGGAGGGGGMGGGAGVGGGIGGGAGGGGGIGGGGGAGGGGGIGGGAGGGGGAGGGFGG >DRNTG_13302.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2954360:2955175:-1 gene:DRNTG_13302 transcript:DRNTG_13302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAAVTTFGVLQLTNTSTNVICHAFFPSSIPSLLPVNKTISFSTTLVFQILKSATSSSGSHGLGFTFSPTKITPSPGCCPYLGLFGRENNGNFSNHVFAVEFNTARGFGFFTDEIHVGIDINSIVSVSSASPSYYDNTTNSNVNLDFLQGDPLEAWIEYDGVSKDLNVMLASLNVAKPSKPLISYATDLSNVFKENMYVGFSASIGTQPNSHYISGWSFHVNGEAQALDLSSLPFPQ >DRNTG_04874.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2870281:2879518:1 gene:DRNTG_04874 transcript:DRNTG_04874.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKTEMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGKLYEFASSSMQETINRYMAHSKNINIEKRMPEQNAQQLKLEVAAMAKKIELLEGYKRKLLGESLGSCSMFELHEIESQLEKSLRNIRGKKVSVKT >DRNTG_04874.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2831525:2844057:1 gene:DRNTG_04874 transcript:DRNTG_04874.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKTEMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGKLYEFASSSMQETINRYMVHSKNTNIEKRMPEQNAQQLKLEVAAMAKKIELLEGYKRKLLGESLGSCSMFELHEIESQLEKSLRNIRGKKQHMLAEQIAELKEREKTLTEENLVLRHKAEVSLQLNENRESVENNENDEEDIEVETELFIGRPGSRRQCNINA >DRNTG_04874.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2865326:2879518:1 gene:DRNTG_04874 transcript:DRNTG_04874.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVRGWVWNFVIYQMGRGKTEMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGKLYEFASSSMQETINRYMAHSKNINIEKRMPEQNAQQLKLEVAAMAKKIELLEGYKRKLLGESLGSCSMFELHEIESQLEKSLRNIRGKKHHMLAEQIAELKEKEKTLTEENLVLRHKAEFSLESNENRETVENDENGEEDIEVETELFIGRPGSRRQCNINA >DRNTG_04874.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2831525:2879518:1 gene:DRNTG_04874 transcript:DRNTG_04874.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKTEMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGKLYEFASSSMQETINRYMAHSKNINIEKRMPEQNAQQLKLEVAAMAKKIELLEGYKRKLLGESLGSCSMFELHEIESQLEKSLRNIRGKKVSVKT >DRNTG_30552.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:710871:711836:-1 gene:DRNTG_30552 transcript:DRNTG_30552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNSEVDQEGPSHLQEYKDGNMEVFTAPDFVQVVYDLINEVSFKDIIIDRKTGVSARLYLPKQVENQQEKKFPILVYYHGGGFCSGSAFSPQYHNYLNSLSSKASIIIVSVEYRLAPEHPLPTGYEDSWQALQWVVLETNNDAWLQNHADFGRVFVGGDSAGGNIAHHMVMKINGSSEGMKLVKGMVLVHPYFWGVERLECEIDKGEDESTSLSFDLADKIWLIACPGTSGKDDVRINPFVGCAPSLVGLGCEKVMVCVGGKDVLRGRGRLYYEKLKVSGWKGMVELLESHGEDHVFHLFNPACDKALEMMKLLVDFFNY >DRNTG_16992.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000863.1:1014:2929:1 gene:DRNTG_16992 transcript:DRNTG_16992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIAYSHGALVLVDNSILSPVLYIVMTSATKFISGHSDVTVGILAVKEKSLAEQIVFLQNAEGSALAPFDCWLLLRGIRTMALRLEKQHESV >DRNTG_09530.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000400.1:11284:11635:-1 gene:DRNTG_09530 transcript:DRNTG_09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIAPISIPLSMRKAWPPNRAKNSEVELELNSCYSHLPSGLEYERFHFTSFDSLRYGALIMGLLIAMWVGMAAI >DRNTG_15502.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20859433:20862989:-1 gene:DRNTG_15502 transcript:DRNTG_15502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQIEKGVRDLEMGLTTPSPSTSATSSLPSPSPAPSPTTAPALVLSNSGKRMDQSGKKKYVKQVTGRHNDTELHIAAKRGDLAAVRQILREIDAQMTGTASGADFDAEVAEIRSAMVNEVNEVDETALFAAAERGFLDVVVELLKYSDRESIARKNRSEYDALHAAAKEGHKDVVQVLLDHDPTLSKTFGPSNTTPLIQAATRGHTEVVNLLLEQDSSMIELSKANGKNALHFAARQGHVDIVKALLDKDPQLARRTDKKGQTALHMAVKGTNCDVVKSLVEADPAIVMLPDKFGNTALHVATRKKRAEIVSVLLLLPDTHVNALTRDHKTAYDIAEGLALSEESADIKECLARYGAVRANELNQPRDELRKTVTEIKKDVHTQLEQTRKTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNLNNGVAVAINTVSFKVFFIFNAIALFTSLAVVVVQITLVRGETKSERRVVGVINKLMWLASVCTTVAFIASSYIVVGRRFQWAAILVTLIGGVIMAGVLGTMTYFVVKSKRSRSIRKRRKSSRSGSNSWHPNSEFSDSDINPIYAI >DRNTG_26792.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:17832219:17836870:-1 gene:DRNTG_26792 transcript:DRNTG_26792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCCYCWISPTIVLFLLSISHCASAAVEDGLLNNGDFETPPPGGFSSSGGVSGMGEGATTIPGWNIGGTVELLESGQKQGGMILIVPQGSHAVRLGNEAEIRQNLELEKGSKYSVTFSAARTCAQLESLNVSVGTASQAVDLQTLYNVMGWDAYAFSFDAQSDDGEDDVLAFRNTGMEDDPTCGPIIDNVAIKKLFTPDKPQDNAVINGDFEEGTLDVP >DRNTG_11438.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1104721:1110320:-1 gene:DRNTG_11438 transcript:DRNTG_11438.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVFVFSKDAEFEKDPGEAFKVFDKDQNGLISADAENSYLKIERVED >DRNTG_11438.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1104721:1110320:-1 gene:DRNTG_11438 transcript:DRNTG_11438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVFVFSKDAEFEKDPGEAFKVFDKDQNGLISADAENSYLKIERVED >DRNTG_11438.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1104721:1110320:-1 gene:DRNTG_11438 transcript:DRNTG_11438.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVFVFSKDAEFEKDPGEAFKVFDKDQNGLISADAENSYLKIERVED >DRNTG_11438.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1104721:1110320:-1 gene:DRNTG_11438 transcript:DRNTG_11438.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVFVFSKDAEFEKDPGEAFKVFDKDQNGLISADAENSYLKIERVED >DRNTG_11438.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1104721:1110320:-1 gene:DRNTG_11438 transcript:DRNTG_11438.4 gene_biotype:protein_coding transcript_biotype:protein_coding ERSRDAEFEKDPGEAFKVFDKDQNGLISADAENSYLKIERVED >DRNTG_11438.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1104721:1107948:-1 gene:DRNTG_11438 transcript:DRNTG_11438.7 gene_biotype:protein_coding transcript_biotype:protein_coding ERSRDAEFEKDPGEAFKVFDKDQNGLISADAENSYLKIERVED >DRNTG_11438.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1104721:1110320:-1 gene:DRNTG_11438 transcript:DRNTG_11438.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVFVFSKDAEFEKDPGEAFKVFDKDQNGLISADAENSYLKIERVED >DRNTG_11438.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1104721:1106398:-1 gene:DRNTG_11438 transcript:DRNTG_11438.9 gene_biotype:protein_coding transcript_biotype:protein_coding MFREGNDSVMVRFCKVFHCINFSIWFLRKKQSNFHITYKDAEFEKDPGEAFKVFDKDQNGLISADAENSYLKIERVED >DRNTG_19434.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6867773:6869990:-1 gene:DRNTG_19434 transcript:DRNTG_19434.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGQPRRGEISIGGQEPIKYGDVFEVSGELAGEVVKPEDAAMMQAAETVGLGHTQPGGPAAVMQSAAKENELAGIVSHDEASDLTAQLGVSVTDSHLPGLHQVTESVAAQVVAQYPSPAPPSPPAGGAPRTNIRDVISIGEALEAVAFTAGDKPVSRSDAAAIQAAEARVTGGTVAASGGLAAEAQAAASANELTMSNENKITLSDVLSDASRKLVGGDKEATREDAERVVGAEMRNNPAMVTHPGGVVDVKPLM >DRNTG_19434.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6863190:6869990:-1 gene:DRNTG_19434 transcript:DRNTG_19434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGQPRRGEISIGGQEPIKYGDVFEVSGELAGEVVKPEDAAMMQAAETVGLGHTQPGGPAAVMQSAAKENELAGIVSHDEASDLTAQLGVSVTDSHLPGLHQVTESVAAQVVAQYPSPAPPSPPAGGAPRTNIRDVISIGEALEAVAFTAGDKPVSRSDAAAIQAAEARVTGGTVAASGGLAAEAQAAASANELTMSNENKITLSDVLSDASRKLVGGDKEATREDAERVVGAEMRNNPAMVTHPGGVVDVKPLM >DRNTG_33667.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2188089:2189711:-1 gene:DRNTG_33667 transcript:DRNTG_33667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQSTDHYHALARARALIPTALSCAHSQPTLTTRWSTIISTLQALPPSLSNLSTHPSFSKSPLCLTLLHSISTTLSHLIHLSSSSSSSSLGKLHLQSQLHSLNSNLELHLHDCKLLLKTTSISDDEPLTRLQLGDSDSKHRALDELLTSMKANVNSVHAAELSAASVAALASLLTHTSMPKIKEKAVSLVCLLAEFESYESSLSSDHLLKTLIKLAESGSHYCRVKSLMSLQRLSIKPDAAHIVVAHDGVRVLIEMIYEHGDDSITLSAATATLKNLSVLPELMNYDIMVITRVMVTILDSPNAEASKQHAAEFLANVTFIHESLKSLVISEGAVRSLLSYLDEPLPQEPAISAIRNLVDLVSIESLVSLGLFPRLVRILKDGTQGSKQAAAFTVAKVSSYREVQELVGEFGIMDLLVEMINGKTKGSREAAAQAMAGLMSGCHKNCRKIVVKKRNCQSALPGLVQLLDWNTNNRANKYAVACLLCLSKSSKSRRLMIAHGAIGYLKKLTEMGVPGSTELMERLEKGKLINLFTRSVSF >DRNTG_23983.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30918688:30921116:-1 gene:DRNTG_23983 transcript:DRNTG_23983.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPNSGLFVGMNKGHIVTKRELAPRPSSRKGKTSKRVHFVKNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMANVLRKMRSAGVSEKKK >DRNTG_23983.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30918636:30921116:-1 gene:DRNTG_23983 transcript:DRNTG_23983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPNSGLFVGMNKGHIVTKRELAPRPSSRKGKTSKRVHFVKNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMANVLRKMRSAGVSEKKK >DRNTG_23983.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30918688:30921116:-1 gene:DRNTG_23983 transcript:DRNTG_23983.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPNSGLFVGMNKGHIVTKRELAPRPSSRKGKTSKRVHFVKNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMANVLRKMRSAGVSEKKK >DRNTG_26689.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9150794:9152431:1 gene:DRNTG_26689 transcript:DRNTG_26689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQCCHGGYCSCEVLHGTVPFSILFPLRNNKASMQRGGREEEEEEEFYSSSFNISSSSNNNNNNNNVDCTLSLGTPSTRQTTHNYSNSTTNIKPSFCSWDFFSHSSTSTTTTTNNNNINNNNNNGNSNTTTSTACLAGDPLLLARRCANCDTTSTPLWRNGPRGPKSLCNACGIRYKKEERRAAASSKGPSISMSFSGDIDEKNNYLSWRLNVVPRDINRTSLFQYQ >DRNTG_31992.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23731364:23732407:1 gene:DRNTG_31992 transcript:DRNTG_31992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSFHHKLGEGGFGSVFKGNFTDGHTVAVKLLNNTRGDGEEFLNEVASIGRTSHINIVTLLGFCFQGSKRALIYDFMPNGSLDKYIYAEDPKTMLCWDKLFQIAVGIARGLEYLHRGCNTRIVHFDIKPHNILLDEDFCPKISDFGLAKFGTHKESIILSMADTRGTMGFIAPEVFSRSFGVVSSKSDVYSYGMMVLEMVGGRKNLKASADRTSEIYFPHWIYEHKDQDGDLQQYGVTTETEEIAKKMILIGLWCIQTRPEDRPSMNKVVEMLQGSIDELQIPPKPYLSSPSRSLQCSSLTMALS >DRNTG_07121.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4807203:4807656:-1 gene:DRNTG_07121 transcript:DRNTG_07121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISFSSFSMIEGTTTCKFGFQIQARAQNSGDEGKKSMSIVDANMGVLKERVGMIKWRERLKKGYNRKRAWMELHEEL >DRNTG_13060.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:895242:898940:1 gene:DRNTG_13060 transcript:DRNTG_13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWIKILHQGHPSETFVRFLKARDWSVSKAHKMLIDCLTWRLQNEIDNILSKPIIPIDLYKDVRDSQLVGLSGFSREGLPVFGIGVGMSTLDRASVHYYVQSHIQINEYRDRVVLPATSDLHGRYIGTCLKVLDMTGLKLSALSQIKLLTIISTVDDLNYPEKTDIYYIVNAPYIFSACWKVVKPLLQERTRKKIQVLHGCGRDELLKIMDYASLPHFCKREGSAKLSRHSSPDIDDCFSLDHPFHQELYNYVKQQSQNKALKIPIKLGSFHVDVPDPDPEGTMIVQTIESELHKIGDQNGVTHDDD >DRNTG_34852.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2423551:2423879:-1 gene:DRNTG_34852 transcript:DRNTG_34852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRKTRTTLSLTHNVYKDSIKIRIKKNNNKYQKLKKRKRKKK >DRNTG_18017.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24534216:24534718:1 gene:DRNTG_18017 transcript:DRNTG_18017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQFHPFINPISREMFNYCHCGVMSSKSFIRKPGPSQGRCFFGCGNWTASRRAVCNYFAWASP >DRNTG_04662.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30559006:30566071:-1 gene:DRNTG_04662 transcript:DRNTG_04662.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFSLITGLPGPSGFGSASTAEQVTEGIDASNLTAIITGGASGIGAETARVLALRGAHVIIAARNMDAANEAKNLILESNPTARIDLLKLDLCSLKSVRAFANSFIAMNLPLNILINNAGVMFCPYQLSEDGIEMHFATNHLGHFLLTNLLLDKMKSTAKATGIEGRIVNLSSIAHLHTYEEGIRFDKLNEQDGYSDKKAYGQSKLANILHASELSRRLQEDGANITVNSVHPGLIMTNLMRHSMNLMRILMVFTYLFWKNVPQGASTTCYVALHPSLKGVTGKYLLDCNLMAPSRVARDKILAKKLWDFSEKLVQLTR >DRNTG_04662.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30559006:30561914:-1 gene:DRNTG_04662 transcript:DRNTG_04662.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFSLITGLPGPSGFGSASTAEQVTEGIDASNLTAIITGGASGIGAETARVLALRGAHVIIAARNMDAANEAKNLILESNPTARIDLLKLDLCSLKSVRAFANSFIAMNLPLNILINNAGVMFCPYQLSEDGIEMHFATNHLGHFLLTNLLLDKMKSTAKATGIEGRIVNLSSIAHLHTYEEGIRFDKLNEQDGYSDKKAYGQSKLANILHASELSRRLQEDGANITVNSVHPGLIMTNLMRHSMNLMRILMVFTYLFWKNVPQGASTTCYVALHPSLKGVTGKYLLDCNLMAPSRVARDKILAKKLWDFSEKLVQLTR >DRNTG_04662.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30559006:30568372:-1 gene:DRNTG_04662 transcript:DRNTG_04662.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFSLITGLPGPSGFGSASTAEQVTEGIDASNLTAIITGGASGIGAETARVLALRGAHVIIAARNMDAANEAKNLILESNPTARIDLLKLDLCSLKSVRAFANSFIAMNLPLNILINNAGVMFCPYQLSEDGIEMHFATNHLGHFLLTNLLLDKMKSTAKATGIEGRIVNLSSIAHLHTYEEGIRFDKLNEQDGYSDKKAYGQSKLANILHASELSRRLQEDGANITVNSVHPGLIMTNLMRHSMNLMRILMVFTYLFWKNVPQGASTTCYVALHPSLKGVTGKYLLDCNLMAPSRVARDKILAKKLWDFSEKLVQLTR >DRNTG_04662.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30559006:30568372:-1 gene:DRNTG_04662 transcript:DRNTG_04662.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFSLITGLPGPSGFGSASTAEQVTEGIDASNLTAIITGGASGIGAETARVLALRGAHVIIAARNMDAANEAKNLILESNPTARIDLLKLDLCSLKSVRAFANSFIAMNLPLNILINNAGVMFCPYQLSEDGIEMHFATNHLGHFLLTNLLLDKMKSTAKATGIEGRIVNLSSIAHLHTYEEGIRFDKLNEQDGYSDKKAYGQSKLANILHASELSRRLQEDGANITVNSVHPGLIMTNLMRHSMNLMRILMVFTYLFWKNVPQGASTTCYVALHPSLKGVTGKYLLDCNLMAPSRVARDKILAKKLWDFSEKLVQLTR >DRNTG_04662.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30559006:30568372:-1 gene:DRNTG_04662 transcript:DRNTG_04662.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFSLITGLPGPSGFGSASTAEQVTEGIDASNLTAIITGGASGIGAETARVLALRGAHVIIAARNMDAANEAKNLILESNPTARIDLLKLDLCSLKSVRAFANSFIAMNLPLNILINNAGVMFCPYQLSEDGIEMHFATNHLGHFLLTNLLLDKMKSTAKATGIEGRIVNLSSIAHLHTYEEGIRFDKLNEQDGYSDKKAYGQSKLANILHASELSRRLQEDGANITVNSVHPGLIMTNLMRHSMNLMRILMVFTYLFWKNVPQGASTTCYVALHPSLKGVTGKYLLDCNLMAPSRVARDKILAKKLWDFSEKLVQLTR >DRNTG_26242.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1843559:1847156:-1 gene:DRNTG_26242 transcript:DRNTG_26242.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSYSNLLDLVASSDPPASFGRPVKRLPRVMTVAGIISDLDEESTNSVGSDAISSVSQERMIIVGNQLPIRAHRRPDNDGWNFSWDEDSLLLQLKDGLGEDTEVIYIGCLKEEVVLKEQDDVSQTLLETFKCVPAFLPPDLFSKFYHGFCKQQLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVINPDEDYIWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRDELLRALLNSDLIGFHTFDYARHFLSCCSRMLGIGYQSKRGYIGLEYYGRTVGIKILPVGIHLGQLKSVMNLPETETKVAELNEQFKDQTVLLGVDDMDIFKGISLKLLAMEQLLVQHPDWVGKLVLVQIANPARGRGRDVQEVQSETYSTVKRINEKFGRPGYDPVILIDTTLQFYERIAYYVIAECCLVTAVRDGMNLIPYEYIICRQGNDKLDMILQLDPQVPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVAEAMDGALVTRESEKQLRHEKHYRYVSTHDVAYWANSFLQDLERTCKDHVRRRCWGIGFGLGFRVIALDPNFRKLSVEHIVSAYKRTNNRAILLDYDGTLMSQTSISKNPGSEVVNILNSLCKDPKNVVFLVSGRSKNILSEWFSTCDKLVIAAEHGYFLRPKLDAEWETCVPVADFDWIQIAEPVMKLYTETTDGSSIEAKESALVWRYQYADRDFGACQAKELLDHLESVLANEPVSVKSGQHIVEVKPQGVTKGLVAEWLLSTMRERGMLPDFVLCIGDDRSDEDMFEVITDAISGPLLSPVAETFLCTVGQKPSKAKYYLEDTTEVVRMLQGLASASDHTARLAAMPSLA >DRNTG_26242.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1843559:1847482:-1 gene:DRNTG_26242 transcript:DRNTG_26242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSYSNLLDLVASSDPPASFGRPVKRLPRVMTVAGIISDLDEESTNSVGSDAISSVSQERMIIVGNQLPIRAHRRPDNDGWNFSWDEDSLLLQLKDGLGEDTEVIYIGCLKEEVVLKEQDDVSQTLLETFKCVPAFLPPDLFSKFYHGFCKQQLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVINPDEDYIWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRDELLRALLNSDLIGFHTFDYARHFLSCCSRMLGIGYQSKRGYIGLEYYGRTVGIKILPVGIHLGQLKSVMNLPETETKVAELNEQFKDQTVLLGVDDMDIFKGISLKLLAMEQLLVQHPDWVGKLVLVQIANPARGRGRDVQEVQSETYSTVKRINEKFGRPGYDPVILIDTTLQFYERIAYYVIAECCLVTAVRDGMNLIPYEYIICRQGNDKLDMILQLDPQVPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVAEAMDGALVTRESEKQLRHEKHYRYVSTHDVAYWANSFLQDLERTCKDHVRRRCWGIGFGLGFRVIALDPNFRKLSVEHIVSAYKRTNNRAILLDYDGTLMSQTSISKNPGSEVVNILNSLCKDPKNVVFLVSGRSKNILSEWFSTCDKLVIAAEHGYFLRPKLDAEWETCVPVADFDWIQIAEPVMKLYTETTDGSSIEAKESALVWRYQYADRDFGACQAKELLDHLESVLANEPVSVKSGQHIVEVKPQGVTKGLVAEWLLSTMRERGMLPDFVLCIGDDRSDEDMFEVITDAISGPLLSPVAETFLCTVGQKPSKAKYYLEDTTEVVRMLQGLASASDHTARLAAMPSLA >DRNTG_03375.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30120956:30123156:1 gene:DRNTG_03375 transcript:DRNTG_03375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGYAKSGRVDAAEKVFDIMPERDVISWNSLIMGYVQNGILEKGLEVFRQMIDLGLMVNEATLVTVLSASAQLGLLEYGRFIHSTIKDLKFPISVALGTALVDMYAKCGCIDISKELFNELPERDAFSWNAMICGLATHGLGKEALELFEKFINEGLHPASVTFVGVLNACSRAGLVAEARRYFELMVKDYGIEPEMEHYGCMVDLLGRAGLVSEALELIEGMKIAPDPVLWGTLLGACKMHGLVDLGITIGQKLIELEPGHDGHYVLLAGIYAKARKWKDVITVRKLMSSRGTNKVAGLSLIEANGMVHRFVAGDREHEQSISIHKMLEVINQRLTEAGYMPDVAPILHDIGDEEKVHVIKEHSERLAIAFGFIVSKPGSPIRIVKNLRVCGDCHEFSKMVSKVFEREIIVRDGSRFHHFKEGKCSCSDYW >DRNTG_16905.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4949545:4951251:-1 gene:DRNTG_16905 transcript:DRNTG_16905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPMEIRLWNDKREREMYDSFADLYAILKTMEKLEKAYVRDLVGSAEYEAECLKLIAQFRTLTASLAGAVPSVDRFVEAYRIDCPAALHRLVTAGVPATVEHRLQSASASGSAASAAVVAECTQHFITAMDTLKLNMVAVDQVHPLLSDLSSSLLRLGGNLLPPDFEGKVKVKEWIARLAKMRAADELTEQQTRQLLFDLESSYNAFMAVLNTT >DRNTG_15572.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:531933:533629:1 gene:DRNTG_15572 transcript:DRNTG_15572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTQPWEKLEGKVVMVTGASSGIGRELCLDLARAGCLIVAAARRTDRLRTLCDQINGSGSKEVDRETKAVRSVAVELDVCGKSTEIEAAVKKAWDAFGRIDALVNNAGIRGWVYSPLDWSEEDWNTNIKTNLTGLWLVSKHVCTRMRDAKQKGSVINISSITALDRGQLPGALAYCASKAGVNMVTKVMALELGVYNIRVNALAPGLFKSEITQGLMGKEWVNKVAEKIVPLKTFGTSDPALTRVVRYLIHDLSEYVTGNIFIVDAGATLPGFPLFSSL >DRNTG_19163.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6204318:6207052:-1 gene:DRNTG_19163 transcript:DRNTG_19163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLVIAGQQHRNQHYGRNNSRVPNGFHSSPPRSFKGMNCRSFESSPGILPPPPAKLHSYGSLPDPKSPFSFSEPPKPRKRSTPIAIKRKQSPPKVNLFGDGFSNSELWAGPAYTNSPPPSSLPIPKFSLRQKRSISLELPNLDAEIKPHPVSKSAPSSPSGASRSHASGFFRDTASATENLRRILHLDINDD >DRNTG_35493.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23525433:23528522:1 gene:DRNTG_35493 transcript:DRNTG_35493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPKEEEEFDDEEKLLRTVFVGNLPLRTKKKALMKEFGRFGEIESVRIRSVPLLDSKIPRKGAVIKGKINESVDSVHAYIVFKQEQSANAALSHNMAEVGGNHIRVDMACPPRKKLKGASPLYDRKRTVFVGNLPFDVKDEELYRLFSGTSQSDSGLEAVRVIRDPNTSLGKGIAYVLFKTRDAANTVVRQKNLKIRDRLLRVCHAKAETLTTNTPSKRKSPGPKKDSPPKRLALSSGEASPGSKDNKPKAKAASLSYQGIRSSKSGVLKKTGVPRRPTGNTKNEANKAGRAEQKTSKTKRPAVAARKAKLQKKRKQEGGTPENTRRNKKARKH >DRNTG_26222.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:387262:391260:-1 gene:DRNTG_26222 transcript:DRNTG_26222.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protoporphyrinogen oxidase [Source:Projected from Arabidopsis thaliana (AT4G01690) UniProtKB/TrEMBL;Acc:A0A178UVN5] MAASATSISTASFSLKPFSNARSRSMTRRRWIRCSIASESTISPSQGAGEPAGRISVDCVVVGGGISGLCTAQALITRHSSAVGEVLVTEARGRVGGNITTVERDGYLWEEGPNSFQPSDPVLSMAVDSGLKDDLVLGDPEAPRFVLWDGKLRPVPGKPTDLPFFDLMSLGGKIRAGFGAIGIRPPPPGHEETVEEFVRRNLGDEVFERLIEPFCSGVYAGDPAKLSMKAAFGRVWKLEQNGGSIIGGTFKAIREKSKSPKTPRDPYSNSYPLIFLLLVFLLFILFPFPKSCKMQAVA >DRNTG_26222.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:387262:391260:-1 gene:DRNTG_26222 transcript:DRNTG_26222.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protoporphyrinogen oxidase [Source:Projected from Arabidopsis thaliana (AT4G01690) UniProtKB/TrEMBL;Acc:A0A178UVN5] MAASATSISTASFSLKPFSNARSRSMTRRRWIRCSIASESTISPSQGAGEPAGRISVDCVVVGGGISGLCTAQALITRHSSAVGEVLVTEARGRVGGNITTVERDGYLWEEGPNSFQPSDPVLSMAVDSGLKDDLVLGDPEAPRFVLWDGKLRPVPGKPTDLPFFDLMSLGGKIRAGFGAIGIRPPPPGHEETVEEFVRRNLGDEVFERLIEPFCSGVYAGDPAKLSMKAAFGRVWKLEQNGGSIIGGTFKAIREKSKSPKTPRDPRLPKPKGQTVGSFRKGLGMLPEAICSRLGSRIKLSWKLTSIKKMDSQGYTLTYETPEGLMSVQTRSVVMTIPSYVAASLLHPLSVDAADALSKFYYPPVAAVTISYPKEAIRKECLIDGELKGFGQLHPRSQGVETLGTIYSSSLFPNRAPSGRVLLLNYIGGATNTGIVSKTEDELVEAVDRDLRKMLINPKAEDPLPLGVRVWPQAIPQFLIGHLDHLESAKTALSKAGYEGLFLGGNYVAGVALGRCVEGAYESASVVSDFLAKYAYK >DRNTG_26222.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:387262:391260:-1 gene:DRNTG_26222 transcript:DRNTG_26222.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protoporphyrinogen oxidase [Source:Projected from Arabidopsis thaliana (AT4G01690) UniProtKB/TrEMBL;Acc:A0A178UVN5] MAASATSISTASFSLKPFSNARSRSMTRRRWIRCSIASESTISPSQGAGEPAGRISVDCVVVGGGISGLCTAQALITRHSSAVGEVLVTEARGRVGGNITTVERDGYLWEEGPNSFQPSDPVLSMAVDSGLKDDLVLGDPEAPRFVLWDGKLRPVPGKPTDLPFFDLMSLGGKIRAGFGAIGIRPPPPGHEETVEEFVRRNLGDEVFERLIEPFCSGVYAGDPAKLSMKAAFGRVWKLEQNGGSIIGGTFKAIREKSKSPKTPRDPRLPKPKGQTVGSFRKGLGMLPEAICSRLGSRIKLSWKLTSIKKMDSQGYTLTYETPEGLMSVQTRSVVMTIPSYVAASLLHPLSVCYSAKAFQVDFE >DRNTG_09021.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31241302:31243798:-1 gene:DRNTG_09021 transcript:DRNTG_09021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQMRTLSMVIQGPMSTCATSQDGFKLKVDSGKTYMLRIINAALNEELFFKVAGHQLTVVEVDAVYTKPFKTDTILIAPGQTTNVLLTADQGAGRYLVTTSPFMDSPIAVDNKTATATVHYTNTVATSTITTTNPPPQNATPVASNFIDSLRSLNSEQYPAKVPLKVDHSLLFTVGLGINPCPTCAAGNGSRAVAAINNVSFVMPTTPLLQAHYFNISGVFTKDFPGNPPIAFNFTSSGPANRQTMSGTRLYPLAYNSTVQIVLQDTGIIVPENHPLHLHGFNFFAVGRGLGNYDPKTSPKTFNLIDPIERNTIGVPSGGWTAIRFRADNPGVWFLHCHLEVHTTWGLKMAFVVDNGKGPNESLLPPPKDLPIC >DRNTG_09021.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31241302:31243798:-1 gene:DRNTG_09021 transcript:DRNTG_09021.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQMRTLSMVIQGPMSTCATSQDGFKLKVDSGKTYMLRIINAALNEELFFKVAGHQLTVVEVDAVYTKPFKTDTILIAPGQTTNVLLTADQGAGRYLVTTSPFMDSPIAVDNKTATATVHYTNTVATSTITTTNPPPQNATPVASNFIDSLRSLNSEQYPAKVPLKVDHSLLFTVGLGINPCPTCAAGNGSRAVAAINNVSFVMPTTPLLQAHYFNISGVFTKDFPGNPPIAFNFTSSGPANRQTMSGTRLYPLAYNSTVQIVLQDTGIIVPENHPLHLHGFNFFAVGRGLGNYDPKTSPKTFNLIDPIERNTIGVPSGGWTAIRFRADNPGVWFLHCHLEVHTTWGLKMAFVVDNGKGPNESLLPPPKDLPIC >DRNTG_31085.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15628655:15631097:1 gene:DRNTG_31085 transcript:DRNTG_31085.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKSTFDPPPLPLDLKAHSSSPPLRRTSKAEQPPSIAKSSRRSRNDFLGAFICLA >DRNTG_26528.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3346905:3348526:-1 gene:DRNTG_26528 transcript:DRNTG_26528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYAKMEISPEVGGVRTRARTMALRAAAAAAAAKKRKTAAVAVMGPEGVQILYLQLRSRSLVMTRRVARSTVHSDHQQGGGEADCVSRCSSNATSEVVPRRASEMESRDSECNRARRESTPMSELQAVESDGGESTAARLPARSTSKIFPPADEIEAFFSAAEREDQQRFTSKYNFDVVNDTPLSGRYDWVRLKP >DRNTG_26528.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3346905:3348291:-1 gene:DRNTG_26528 transcript:DRNTG_26528.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYAKMEISPEVGGVRTRARTMALRAAAAAAAAKKRKTAAVAVMGPEGVQILYLQLRSRSLVMTRRVARSTVHSDHQQGGGEADCVSRCSSNATSEVVPRRASEMESRDSECNRARRESTPMSELQAVESDGGESTAARLPARSTSKIFPPADEIEAFFSAAEREDQQRFTSKYNFDVVNDTPLSGRYDWVRLKP >DRNTG_26528.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3346787:3348291:-1 gene:DRNTG_26528 transcript:DRNTG_26528.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYAKMEISPEVGGVRTRARTMALRAAAAAAAAKKRKTAAVAVMGPEGVQILYLQLRSRSLVMTRRVARSTVHSDHQQGGGEADCVSRCSSNATSEVVPRRASEMESRDSECNRARRESTPMSELQAVESDGGESTAARLPARSTSKIFPPADEIEAFFSAAEREDQQRFTSKYNFDVVNDTPLSGRYDWVRLKP >DRNTG_26794.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19578748:19584183:1 gene:DRNTG_26794 transcript:DRNTG_26794.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLLLFPSSSFVSQRPASLIPIRPSGHHLRDGFEKKGGRSRIHVAVCRASGPVYFSDLDADDFRHPLDKQNTLLLRAIPGLKDLGKALLGPVTEEVMFLENIGTSVLVTENQLSELYQLLIEAAKVLNIEAPDLYVRQNPSPNAYTLAISGRKPFIVVHTSLVGLLTKRELQDFQLGCFGS >DRNTG_26794.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19578748:19584183:1 gene:DRNTG_26794 transcript:DRNTG_26794.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLLLFPSSSFVSQRPASLIPIRPSGHHLRDGFEKKGGRSRIHVAVCRASGPVYFSDLDADDFRHPLDKQNTLLLRAIPGLKDLGKALLGPVTEEVMFLENIGTSVLVTENQLSELYQLLIEAAKVLNIEAPDLYVRQNPSPNAYTLAISGRKPFIVVHTSLVGLLTKRELQAVLAHELGHLKCDHGLWLTFANIVTLGAYSIPGLGGLIAQRLEEQLFRWIRAAELTCDRAALLVAQDPKVVISVLMKLAGGCPSLSEQLNVDAFLEQARSYDKASSSPIGWYIRNAQTSQLSHPLPVLRAREIDEWSRSQQYKLLLKRAIRPDAAQKI >DRNTG_28307.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8057458:8060561:-1 gene:DRNTG_28307 transcript:DRNTG_28307.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAGSKGSFINISQMTACVGQQNVEGKRIPYGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIETQKLDSLKMKKAEFDHVYRYEIDDDNWNPSYMLQEHVEDLKTIREFRNVFDAEARKLEADRYQLGMEIATTGDSNWHLPVNLRRLIWNAQKMFKVDLRRPSDMHPMEIVEAVDKLQERLKVVPGDDLMSMEAQKNATLFFNILLRSTFASKRVLKEYRLTREAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPEVHGKKEMAKNVQCSLEYTTLRSVTHATEVWYDPDPTSTIIEEDVEFVKSYYEMPDEEVAPEKISPWLLRIELNREMMVDKKLSMADIAEKIGHEFGDDLTCIFNDDNAEKLILRIRIMNDDAPKGELQDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKQGKINKFDQEEGFKSESEWMLDTEGVNLLAVICHEDVDATRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAEADHLRGVTENIMLGQLAPIGTGGCALYLNDQMLQQAIELQLPSYMEGLDFGMTPSRSPVSGTPYHEGMMSPSYLLSPNARSSPITDAQFSPYVGGMGFSPTSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPVYSPTSPVYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPPSAKYSPSIAYSPSSPRISPSSPYSPTSPNY >DRNTG_28307.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8057458:8060561:-1 gene:DRNTG_28307 transcript:DRNTG_28307.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAGSKGSFINISQMTACVGQQNVEGKRIPYGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIETQKLDSLKMKKAEFDHVYRYEIDDDNWNPSYMLQEHVEDLKTIREFRNVFDAEARKLEADRYQLGMEIATTGDSNWHLPVNLRRLIWNAQKMFKVDLRRPSDMHPMEIVEAVDKLQERLKVVPGDDLMSMEAQKNATLFFNILLRSTFASKRVLKEYRLTREAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPEVHGKKEMAKNVQCSLEYTTLRSVTHATEVWYDPDPTSTIIEEDVEFVKSYYEMPDEEVAPEKISPWLLRIELNREMMVDKKLSMADIAEKIGHEFGDDLTCIFNDDNAEKLILRIRIMNDDAPKGELQDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKQGKINKFDQEEGFKSESEWMLDTEGVNLLAVICHEDVDATRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAEADHLRGVTENIMLGQLAPIGTGGCALYLNDQMLQQAIELQLPSYMEGLDFGMTPSRSPVSGTPYHEGMMSPSYLLSPNARSSPITDAQFSPYVGGMGFSPTSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPVYSPTSPVYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPPSAKYSPSIAYSPSSPRISPSSPYSPTSPNY >DRNTG_28307.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8057458:8060561:-1 gene:DRNTG_28307 transcript:DRNTG_28307.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAGSKGSFINISQMTACVGQQNVEGKRIPYGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIETQKLDSLKMKKAEFDHVYRYEIDDDNWNPSYMLQEHVEDLKTIREFRNVFDAEARKLEADRYQLGMEIATTGDSNWHLPVNLRRLIWNAQKMFKVDLRRPSDMHPMEIVEAVDKLQERLKVVPGDDLMSMEAQKNATLFFNILLRSTFASKRVLKEYRLTREAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPEVHGKKEMAKNVQCSLEYTTLRSVTHATEVWYDPDPTSTIIEEDVEFVKSYYEMPDEEVAPEKISPWLLRIELNREMMVDKKLSMADIAEKIGHEFGDDLTCIFNDDNAEKLILRIRIMNDDAPKGELQDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKQGKINKFDQEEGFKSESEWMLDTEGVNLLAVICHEDVDATRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAEADHLRGVTENIMLGQLAPIGTGGCALYLNDQMLQQAIELQLPSYMEGLDFGMTPSRSPVSGTPYHEGMMSPSYLLSPNARSSPITDAQFSPYVGGMGFSPTSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPVYSPTSPVYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPPSAKYSPSIAYSPSSPRISPSSPYSPTSPNY >DRNTG_28307.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8057458:8060561:-1 gene:DRNTG_28307 transcript:DRNTG_28307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAGSKGSFINISQMTACVGQQNVEGKRIPYGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIETQKLDSLKMKKAEFDHVYRYEIDDDNWNPSYMLQEHVEDLKTIREFRNVFDAEARKLEADRYQLGMEIATTGDSNWHLPVNLRRLIWNAQKMFKVDLRRPSDMHPMEIVEAVDKLQERLKVVPGDDLMSMEAQKNATLFFNILLRSTFASKRVLKEYRLTREAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPEVHGKKEMAKNVQCSLEYTTLRSVTHATEVWYDPDPTSTIIEEDVEFVKSYYEMPDEEVAPEKISPWLLRIELNREMMVDKKLSMADIAEKIGHEFGDDLTCIFNDDNAEKLILRIRIMNDDAPKGELQDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKQGKINKFDQEEGFKSESEWMLDTEGVNLLAVICHEDVDATRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAEADHLRGVTENIMLGQLAPIGTGGCALYLNDQMLQQAIELQLPSYMEGLDFGMTPSRSPVSGTPYHEGMMSPSYLLSPNARSSPITDAQFSPYVGGMGFSPTSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPVYSPTSPVYSPTSPAYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPPSAKYSPSIAYSPSSPRISPSSPYSPTSPNY >DRNTG_28307.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8057458:8060561:-1 gene:DRNTG_28307 transcript:DRNTG_28307.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAGSKGSFINISQMTACVGQQNVEGKRIPYGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIETQKLDSLKMKKAEFDHVYRYEIDDDNWNPSYMLQEHVEDLKTIREFRNVFDAEARKLEADRYQLGMEIATTGDSNWHLPVNLRRLIWNAQKMFKVDLRRPSDMHPMEIVEAVDKLQERLKVVPGDDLMSMEAQKNATLFFNILLRSTFASKRVLKEYRLTREAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPEVHGKKEMAKNVQCSLEYTTLRSVTHATEVWYDPDPTSTIIEEDVEFVKSYYEMPDEEVAPEKISPWLLRIELNREMMVDKKLSMADIAEKIGHEFGDDLTCIFNDDNAEKLILRIRIMNDDAPKGELQDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKQGKINKFDQEEGFKSESEWMLDTEGVNLLAVICHEDVDATRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAEADHLRGVTENIMLGQLAPIGTGGCALYLNDQMLQQAIELQLPSYMEGLDFGMTPSRSPVSGTPYHEGMMSPSYLLSPNARSSPITDAQFSPYVGGMGFSPTSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPVYSPTSPVYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPPSAKYSPSIAYSPSSPRISPSSPYSPTSPNY >DRNTG_05937.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5656697:5661561:-1 gene:DRNTG_05937 transcript:DRNTG_05937.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKMKLNIAVSGGVQLWAMVTVGGSQVARIPFMFVSNNLTSTYFLSHLRQVGCKEIPHEMVLIMGNGNTKDIITYEHDK >DRNTG_02513.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7873822:7875193:-1 gene:DRNTG_02513 transcript:DRNTG_02513.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRTSSFETDLDNLKATELRLGLPGTEKPMKNNRGSKRTLADEERSETNSAESGLETAPPVAKAQVVGWPPIRSYRKNSFQAKKEESEGAGIYVKVSMDGAPYLRKIDLQLYNGYKELVVALEGMFKCFSLGELSGMEGHSGSEYAVTYEDKDGDWMLVGDVPWGMFISSCKRLRIMKGSEARGLGASS >DRNTG_15917.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:961756:964635:1 gene:DRNTG_15917 transcript:DRNTG_15917.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CST complex subunit TEN1 [Source:Projected from Arabidopsis thaliana (AT1G56260) UniProtKB/Swiss-Prot;Acc:Q6NME7] MASSSAIQHGIPATLQELEPSSPLFKQGASLRVTGKLQTYNAETAVAIIADGGTSLKIHTQYLRGISFRIGSVYQFIGELLIQSDNDVILQARVGRNVDGIDLNLYYQSLQFLRKFETEFMNSRAT >DRNTG_15917.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:963305:964280:1 gene:DRNTG_15917 transcript:DRNTG_15917.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CST complex subunit TEN1 [Source:Projected from Arabidopsis thaliana (AT1G56260) UniProtKB/Swiss-Prot;Acc:Q6NME7] MASSSAIQHGIPATLQELEPSSPLFKQGASLRVTGKLQTYNAETAVAIIADGGTSLKIHTQYLRGISFRIGSVYQFIGELLIQSDNDV >DRNTG_33764.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32482155:32483827:-1 gene:DRNTG_33764 transcript:DRNTG_33764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHESGTKTLVIYQQMNESKQIKDVHATLKTRSSSMRYRSNSCKTQSSSSLQRARSLSPSYRKMSYISPSQRAGKFLSSDKRRAISPHPSSDVLIRSGSFSCRPISRSSSIVKRQHPSKPQKSASMRLHGERSDCKESEQNHSKSKSLLKSLLSRHKGRNEDMLFSYLDEY >DRNTG_33764.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32482155:32483534:-1 gene:DRNTG_33764 transcript:DRNTG_33764.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYISPSQRAGKFLSSDKRRAISPHPSSDVLIRSGSFSCRPISRSSSIVKRQHPSKPQKSASMRLHGERSDCKESEQNHSKSKSLLKSLLSRHKGRNEDMLFSYLDEY >DRNTG_14402.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:17497551:17499714:-1 gene:DRNTG_14402 transcript:DRNTG_14402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERSQCGYNAPILEEIFLRTTSPPTFPSLKKQSDPRRERVPVCVTSMKVWIREVFQARYYSRAL >DRNTG_20308.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5555619:5557129:1 gene:DRNTG_20308 transcript:DRNTG_20308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKEKKLLWWLFVCLLFLLGEGREFRVGGSMGWTVPNDLNSITYNQWAESHRFHYGDSLLFVYPPEKDSVLKVNKESYNSCNTASYIKKYSDGNTVILLDRSGPFYFISGVQENCLKNESMIVVVMGERSSSNSSPSSSHASSLIRVSGFMAKFGAFLAAMLLGYAVSVYSI >DRNTG_08934.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27335426:27336370:1 gene:DRNTG_08934 transcript:DRNTG_08934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSYSNLEPDENRPPAGRFSLQWLRLRRGKNCVISTTELLHRDNPDAATTATPCSDWKVHSGRSKLTLFKENEEERVSSTMRSNNEELPGSPSFRFYFNTQPVASDDDGNGAQIMKRETQRRHD >DRNTG_08934.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27335426:27335858:1 gene:DRNTG_08934 transcript:DRNTG_08934.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSYSNLEPDENRPPAGRFSLQWLRLRRGKNCVISTTELLHRDNPDAATTATPCSDWKVHSGRSKLTLFKENEEERVSSTMRSNNEELPGSPSFRFYFNTQPVASDDDGDFF >DRNTG_24621.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31120444:31122498:-1 gene:DRNTG_24621 transcript:DRNTG_24621.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAHPQLHKVSPNRALSQRRKDQNCSNLQRSIVGTDHPLPTNCQLGSLYGSDSDQKDQSNQQL >DRNTG_24621.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31120444:31122498:-1 gene:DRNTG_24621 transcript:DRNTG_24621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAHPQLHKVSPNRALSQRRKDQNCSNLQRSIVGTDHPLPTNCQLGSLYGSDSDQKDQSNQQL >DRNTG_10391.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23012949:23015955:1 gene:DRNTG_10391 transcript:DRNTG_10391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKGPPKHQNSFAWNPNAGHKKNETEVGGRLRPYSEITGVCPRCKDQIDWKRKYGKYKPLVEPAKCQKCSKRTVRQAYHNICSGCSKNLNVCAKCCCRVEQIVGRDIAEVDAEQKALEEAIKNARERDRRTLLRAMNKNKGGKAASTPKIGDRSREGELFSASSIDEYAELSRPDESDEDDILVCK >DRNTG_22849.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2549896:2554381:-1 gene:DRNTG_22849 transcript:DRNTG_22849.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAATFATSLLALSVAKRKVCNPKRVSSSRSSFFLSGSISLEPQSLLLSRKPLRVKATTLQEEDEKVVVEDSFPAKTSSIDQEEAIDSGVSTSTPGRVDSWIIKFEQMLNIALTESVIKILDAFYHDRHYARFFVLETIARVPYFSFISVLHMYESFGWWRRADYLKVHFAESWNELHHLLIMEELGGNSFWLDRFLAQLIAVFYYFMTVFMYMLSPRMAFRDANDH >DRNTG_22849.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2549896:2554381:-1 gene:DRNTG_22849 transcript:DRNTG_22849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAATFATSLLALSVAKRKVCNPKRVSSSRSSFFLSGSISLEPQSLLLSRKPLRVKATTLQEEDEKVVVEDSFPAKTSSIDQEEAIDSGVSTSTPGRVDSWIIKFEQMLNIALTESVIKILDAFYHDRHYARFFVLETIARVPYFSFISVLHMYESFGWWRRADYLKVHFAESWNELHHLLIMEELGGNSFWLDRFLAQLIAVFYYFMTVFMYMLSPRMAYHFSECVERHAYSTYDKFLKLKEEELKKLPAPEAAIKYYMSEDLYLFDEFQTARVPCTRRPKIENLYDVFVNIRDDEAEHCKTMKACQTHGNLRSPHSNMNMNINTNSVEDEPESGCSAPEADCEGIIDCVKKSLTPHN >DRNTG_22849.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2549896:2554381:-1 gene:DRNTG_22849 transcript:DRNTG_22849.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAATFATSLLALSVAKRKVCNPKRVSSSRSSFFLSGSISLEPQSLLLSRKPLRVKATTLQEEDEKVVVEDSFPAKTSSIDQEEAIDSGVSTSTPGRVDSWIIKFEQMLNIALTESVIKILDAFYHDRHYARFFVLETIARVPYFSFISVLHMYESFGWWRRADYLKVHFAESWNELHHLLIMEELGGNSFWLDRFLAQLIAVFYYFMTVFMYMLSPRMAYHFSECVERHAYSTYDKFLKLKEEELKKLPAPEAAIKYYMSEDLYLFDEFQTARVPCTRRPKIARKFV >DRNTG_13968.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21507041:21509689:-1 gene:DRNTG_13968 transcript:DRNTG_13968.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSCLPCKNKAKINLALYSGWIVLLFFIIFFFCILYFTNSPLISKSTSSITNMATVTQQALTYPQTIIPFTCNNMTNLACSSSKIPIPPHTLPPSSSCPEYFRWIHEDLGPWALTGITQEAVMRARDRATFRLIILNGRVYFEKLKYIFQTRDVFTVWGILQLIRRYPGRVPDLDIMFNCEDPPMIKKADYIAVGSPIPPPLFRYCKDGTTLDIVFPDWSFWGWAEINIKSWERALKEIKEGNKRTKWVDREPYAFWKGNPTVAGTRVDLLRCNVSKDHEWNARVYVNDWFKEIRTNFKESNLEDQCTHRYKIYIEGGAWSVSEKFILACDSPTFVCEHSF >DRNTG_06443.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29912965:29914697:1 gene:DRNTG_06443 transcript:DRNTG_06443.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVYSGMRGLILVIFGCASFLAFCYASILSKILPPSENRFLSAVRNDWYYCLLVPLTLPILVIAIYLHWLSMKLFKHA >DRNTG_06443.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29912965:29914697:1 gene:DRNTG_06443 transcript:DRNTG_06443.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVYSGMRGLILVIFGCASFLAFCYASILSKILPPSENRFLSAVRNDWYYCLLVPLTLPILVIAIYLHWLSMKLFKHA >DRNTG_09898.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29260742:29262302:1 gene:DRNTG_09898 transcript:DRNTG_09898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVHAGFLHALGFKSSKIKLNTELEDQDEDLLKPLAYNTIRNSLKAILLLHRNAKIIVTGHSLGGACTCHSLPCIA >DRNTG_03555.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21622051:21624551:1 gene:DRNTG_03555 transcript:DRNTG_03555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQNTASVEKTSPPVVNPAASSCRKKKTEGASFIADVREHIDEFIHASMDEHKTCFKKTIQKMFGMSKVVAERSAETAETAEKKSKSLCPCRQVFLSRH >DRNTG_29370.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1359634:1363762:1 gene:DRNTG_29370 transcript:DRNTG_29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSSASSFSPSLHSTASISNPSPFLFSYRIPLPIRKPLSRIRCIGTRPESEVSSQDPIQKFLNRDYKWGFVSDIDTFSIPKGLSEATVRSISNIKNEPQWLLDFRLAALRRFLSMREPRWSDNVYPPLDLQSICFYSEPRRKPTLASLDDADPELIDTFRKLGIPLNEQKRLANVAVDAVLDSTSIATTHRETLAKAGVIFCSISEAVKEYPDLVRSYLGKVVPMEDNYYAALNSAVFSDGSFCYVPKNTVSPMEISTYFRINDRETGQFERTLIIAEEGSFVSYLEGCTAPSYDKNQLHAAVVELYCAEGAEIKYSTVQNWYAGDKDGKGGHL >DRNTG_24336.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:351698:354171:1 gene:DRNTG_24336 transcript:DRNTG_24336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKISREDVQAAVAKAMELRALHAALLHGSTSSPTVPRLLAGSSPSLARAPGYLSAEDYPVFTPSYGEESSNWRGIRMEEEEERDEQELEFSNNGALNKSYFPREEEEQLQHTCSEEDRKSNKSLCISHLSLSQNTPGTDVLPNCRRMGSDDRKLVTTGNKCKPEYMSGENGSYGKILKNDNAKKVPSSDIKNRGLVFSWFLPKGRRKPKSEMSPNVMESEDVSQLLKSWGMFSVDSLKKELLEANANKDAALTQVSDMKSTLIELKQKLVSLEAYCEELKKALKHALQAKGTQTLDRPNLSKRSKSNNDSRDNSMPVSLEVMVEGFLQIVSEARLSVKQFCKTLISLIEETDTSLVEKLSSELKTTSASKHSKAMLYHLEALVNQSLYQDFENCVFEKNGTPKVLDPHQDRIENFSAFVALRNLSWNEVLRKGTKYYSEDFSRFCDQKMSSIVSIIDWSRPWPEHLLQSFFVSAKCIWLLHLLAFSFNPPLMILRVEENRSFDPLYMEDVLRDKHRQAQASACVKFMVMPGFYVEDRVLRCRVLCKA >DRNTG_32197.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001796.1:50412:51105:-1 gene:DRNTG_32197 transcript:DRNTG_32197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNSSMPTFYFLGLQSISVAGTKLSISPTVFSNPGTLIDSG >DRNTG_32784.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3117082:3120245:-1 gene:DRNTG_32784 transcript:DRNTG_32784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLKSNVANVVILTSNGWKGRPQSLSLLYRANRVSPSFVEESDNCAS >DRNTG_29715.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26039687:26047450:-1 gene:DRNTG_29715 transcript:DRNTG_29715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETTTPTLDSSPISLSHPSPPLSPPSYDTPPTPSPAHTTLMPNLKLWLIKSRRRKWKFGARREAFTKFIFPIQSLTPGTHDVSPFELLSFGSEHDVHSQFLLVSLIGSFHLRVGIVDVVIEVGSSVLRRGGDGGREGNMDAAPPRRSLEFYAVLVKSCLTRQNQRFGGLNF >DRNTG_28087.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20464861:20466298:-1 gene:DRNTG_28087 transcript:DRNTG_28087.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKMTLPFLSVLFLAITSCMLVQASLPFYPRWKSQPYPPSMNNLFPEVSPTRVSVPFVLHHRFAMPPQGFSFSAPDERYRFSAPSPFTEDPHTNPCTGNGCRL >DRNTG_34623.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:77480:77721:1 gene:DRNTG_34623 transcript:DRNTG_34623.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDVAVKSLWRRCPSLRFAYPMRRGTLRHCSSSASSIDLQSNGGNRREEPYVPVLIVGAGPVGLVLSILLTKLG >DRNTG_17196.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:375097:379220:1 gene:DRNTG_17196 transcript:DRNTG_17196.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTGSNRTLEKNGSKRRFPRVGAGMNCCPSSPASPHHLSEV >DRNTG_17196.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:374506:379220:1 gene:DRNTG_17196 transcript:DRNTG_17196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRRKKIQPWPWIFNTTNEKKRLSSWSQHSLSIPNKEPHGSQRWVQKCNL >DRNTG_17196.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:374506:379220:1 gene:DRNTG_17196 transcript:DRNTG_17196.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRRKKIQPWPWIFNTTNEKKRLSSWSQHSLSIPNKEPHGSQRWVQKCNL >DRNTG_17196.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:374506:374945:1 gene:DRNTG_17196 transcript:DRNTG_17196.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRRKKIQPWPWIFNTTNEKKRLSSWSQHSLSIPNKEPHGSQRWVQN >DRNTG_15779.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17248878:17258091:-1 gene:DRNTG_15779 transcript:DRNTG_15779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWKFPMPVWKFSKPVGNNHMACGCPIPAYLSRDSARFWVFFHLFSSTFLHRLGGCWLGF >DRNTG_20998.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001192.1:25465:26426:-1 gene:DRNTG_20998 transcript:DRNTG_20998.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEDVVEECKLFYFAGQETTSVLLTWTMILLGMYPNWQAKAREEVLQVFGKNTPDMDGLSRLKIVTMILYEVLRLYPPGSWLLREVRKPTEVGGITYPPGVTLLLPILLIHHDTEFWGEDAKEFKPERFAEGISKASKVAGAFFPFGGGPRICIGQNFALIEAKIGLSMILQHFSFELSPSYIHAPCLVFSVQPQHGAQLRLGKL >DRNTG_20998.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001192.1:25465:29059:-1 gene:DRNTG_20998 transcript:DRNTG_20998.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIMMLCAVVLLVLLMWAWRTLDWVWFTPKRIERELRRKGLRGTHYRIFYGDSKDFSRFMGDARSRPLPLHCHDIAPRVIPFIHNIIKDHGKTSFTWLGPIPRVSLMEPKLITEILSKKFDEFTKPKSTTPLVKFVFQGLVGHDGEKWAKHRKIINPAFALEKLKIMFPAFSISCAELIRKWNMMIPDEGYLELDVFPDIQNLTKDVISRAAFGSSYEEGRRIFQLLMEKLQLIIPTSQSAYIPGFRFIPTPFNKKVSQVEKEMERILKGIIEKREKAMRMGKEVRMIFWVYYWSLI >DRNTG_20960.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1266822:1267277:1 gene:DRNTG_20960 transcript:DRNTG_20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHHLLPFLALILLILPSSSQAQQQPTALFSDTSGYLGSNYNITISNHTLSLTHDCGLYYYDQYDNGSSKVIDFNTPTDEEGCYLTINNFGQLVIKYSNERKKPVTLGTAGKYGTYALLTTKIWDWDLQ >DRNTG_21629.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:25245:28100:-1 gene:DRNTG_21629 transcript:DRNTG_21629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKERSEERRKEKPYEIQAPKPPRVWKSDKMGRRKLATTTTRFSTGGLPEVAGGDHNSLSLSLSLSKRIEERMLKARAPLSITRWQQGQI >DRNTG_33815.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2897705:2900453:-1 gene:DRNTG_33815 transcript:DRNTG_33815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKKKKKKKKEQRSIFLQCLLILLLLLLHCSHSNGAPSLSSDLAALLTFKSLSDSSNHLLTWNSSSPFPCSSWIGISCSQSRITGLVLESLALSGAAIAPLSNLTELRVLNLKSNHLSGPIPDLSSLSALKLLFLSNNSLSGLIPSSLSSLSRLYRLDLSFNLLSGQIPLSLNHLPHLLTLHLNSNNLSGPIPVLSLPNLQDLNLSSNSLTGPIPPSLSSFPPASFAGNPTGSPTTTTTTVSSSPGSKPDQGIHHSGMSHAALIAIIAGDLAALLIAFTILFLYFWRKIGSKPPSHHLHEGEKIVFSSSPYAGIERGHMVFFDETPARFELEDLLRASAEMLGKGGYGTAYKAVLQDKNIVAVKRLRETGSGLGKREFEQRMEILGRIQHPNLVSLKAYYYAPDEKLLVYEFMPGGSVFALIHGNRGPGRTPVGWEVRLRVALAAARALAHIHTASRSPRLAHGNVKTTNILLDKAGTARLSDFGLSTLGPSSTARCCGYRAPEAPSAERPWASQRADVYAFGVVLLELLTGRAASEMVDLPRWVQSVVREEWTAEVFDLELLRCKGIEEQMVAMLQLALGCTAIVPDQRPKIRHVVRMIEDISSGGIGDASVSPSLDSFDSVSDSPSVSEESGRAR >DRNTG_31389.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28024834:28025460:-1 gene:DRNTG_31389 transcript:DRNTG_31389.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEAENPRRETRGMRDREVAENPRTGSGLGIGERWGKSNASRDNT >DRNTG_30751.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2228024:2228634:-1 gene:DRNTG_30751 transcript:DRNTG_30751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFSTAHQPQNPDSDNDDHHDAPDTNVNPAEVMALSQDGWNKITEQHQKGTAPFRQYTLRELMVATDGFIFQNFLSKGGGEITNNTHRGRLPGGQRIAVKRFTLLAWPDEAQFREMAIRGGRLRHRRLVNLIGYCCDADERFLVAEFMPNDSLATHLSK >DRNTG_13040.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000587.1:26681:30315:1 gene:DRNTG_13040 transcript:DRNTG_13040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAGQVIRCRAAVAWEAGKALVIEEVDVAPPQAMEVRLKILYTSVCRSDIYFWEAKGQIPLFPRIFGHEAAGIVESVGDGVTDLKTGDHVIPVHTGECKECIHCKSKEGNMCDLLRVNTGRGVMISDGQSRFSINGKPIYHFLGTSTYSEYTVVHVGCAAKINPSAPLDKVCILGCGISSGLGAVLNVAKPPKGSSVAVFGLGAVGLAAAEGARISGASRIIGVDVNPRKFDQAKISVSASL >DRNTG_13040.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000587.1:26681:30315:1 gene:DRNTG_13040 transcript:DRNTG_13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAGQVIRCRAAVAWEAGKALVIEEVDVAPPQAMEVRLKILYTSVCRSDIYFWEAKGQIPLFPRIFGHEAAGIVESVGDGVTDLKTGDHVIPVHTGECKECIHCKSKEGNMCDLLRVNTGRGVMISDGQSRFSINGKPIYHFLGTSTYSEYTVVHVGCAAKINPSAPLDKVCILGCGISSGLGAVLNVAKPPKGSSVAVFGLGAVGLAAAEGARISGASRIIGVDVNPRKFDQGL >DRNTG_13040.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000587.1:26681:30315:1 gene:DRNTG_13040 transcript:DRNTG_13040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAGQVIRCRAAVAWEAGKALVIEEVDVAPPQAMEVRLKILYTSVCRSDIYFWEAKGQIPLFPRIFGHEAAGIVESVGDGVTDLKTGDHVIPVHTGECKECIHCKSKEGNMCDLLRVNTGRGVMISDGQSRFSINGKPIYHFLGTSTYSEYTVVHVGCAAKINPSAPLDKVCILGCGISSGLGAVLNVAKPPKGSSVAVFGLGAVGLAAAEGARISGASRIIGVDVNPRKFDQAKISVSASL >DRNTG_14693.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15094559:15097093:-1 gene:DRNTG_14693 transcript:DRNTG_14693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVISTFMHQKSIIKILSKSRQSTRHTLVVVEEVRCHIRKRPKNGLGWEKSMPRWSSTTMTSPAMICCFGMWIRWLRQTPPALRSWKETVIILNVHSFLLERVLWDSRGLVGCSMFLDVTHLLGKHRGTLLGATGKYGNNGFFDVALGIINNETDANWTWFISKLDDALYEEGDYHEIITFVFYKSKGHVNAIARVFPSSQHEYCLRYLEANFMKANATSPEAHHWLINKSDMAHWSNYLFRGNHWGEMYSNVTKSFNAWIKRGSSFTGDENGRLHKVQVDAHVM >DRNTG_15612.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000774.1:34236:34625:-1 gene:DRNTG_15612 transcript:DRNTG_15612.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQRDIKKNRIVLRHIQLAVRYDEELSKTFGVGDD >DRNTG_02118.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10866711:10870808:-1 gene:DRNTG_02118 transcript:DRNTG_02118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACGGKVYLFNMMTFKVMTAFMPPPTLTYLAFYLLDNNIIAIGTEDITIHIYNVWVDEVKTKLSGHQKRITGLAFSNNLGIMVSSGVDA >DRNTG_02118.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10866711:10868392:-1 gene:DRNTG_02118 transcript:DRNTG_02118.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFMPPPTLTYLAFYLLDNNIIAIGTEDITIHIYNVWVDEVKTKLSGHQKRITGLAFSNNLGIMVSSGVDA >DRNTG_02118.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10867802:10868585:-1 gene:DRNTG_02118 transcript:DRNTG_02118.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSACGGKVYLFNMMTFKVMTAFMPPPTLTYLAFYLLDNNIIAIGTEDITIHIYNVWVDEVKTKLSGHQKRITGLAFSNNLGIMVSSGVDA >DRNTG_02118.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10866711:10870808:-1 gene:DRNTG_02118 transcript:DRNTG_02118.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSACGGKVYLFNMMTFKVMTAFMPPPTLTYLAFYLLDNNIIAIGTEDITIHIYNVWVDEVKTKLSGHQKRITGLAFSNNLGIMVSSGVDA >DRNTG_02118.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10866711:10869713:-1 gene:DRNTG_02118 transcript:DRNTG_02118.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSACGGKVYLFNMMTFKVMTAFMPPPTLTYLAFYLLDNNIIAIGTEDITIHIYNVWVDEVKTKLSGHQKRITGLAFSNNLGIMVSSGVDA >DRNTG_02118.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10866711:10869713:-1 gene:DRNTG_02118 transcript:DRNTG_02118.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWREGLFIQHDDIQDNNIIAIGTEDITIHIYNVWVDEVKTKLSGHQKRITGLAFSNNLGIMVSSGVDA >DRNTG_08657.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16851219:16854445:1 gene:DRNTG_08657 transcript:DRNTG_08657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSMSSTGYNSTNSDFNESDYDLDDDDDQAFEINVNLGIERDMDSVHNNLGSGLGNVVDSDVVNEESGYDNSDSLQSVVDSDDASNRSFRKFLEFNIETDMENPMLAKGMLFPSRESLKEAVKQYGRKNSKCYRAKTLALEVVFGNAKEQYSRIYDYLQELWETNEGTTTICRLEARLFLRMYVCLQGCKSGFKTGCRPIISLDACFLKGYYRGHLMAAVGIVANDCMYPIAFAAIESENFDSWCWFIDLMIQNLEIVNSYHWSFMATYMKEFEDAMSKMRSLSKAAHKWILGKDPRQWREMPELYVDPCYHKETQLQIYLHFINPIRGANQWSVVQGMEPIIPPMMRRPLGTTLEHVGGRWGV >DRNTG_31494.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23386864:23394107:1 gene:DRNTG_31494 transcript:DRNTG_31494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFSNALEMIKELSTPHAIQYLEPIWSGVDEQLQKLQSSLLLIQQLIEDAEARQLTDKAVRCWLVLIKDAVYDAEDILDEAQTRELVIQRKAELSGRPRSKVRELFSLDHNPLLFKLQLGKKLRNVNTRINGLIEEMDKFKLRVVENNSKPLGNRPQTYSYVHESRGILDRDEDKEKLVQMLIRDSFDEKVGVVSIVGMGGLGKTTLAQLVCRDEEVQKHFQLHIWVCVSDDFDVPKLAGKIICTASGKKCDDTNMEVLQQRLRKELGQKRYLLVLDDVWNEDFRKWDALRNMLLDGGEGSIILVTTRNEKCSRVMGAQKHHILSCLSKESSWVLFEQKAFAVGAPKSAKLVEIGKKIVEKCQGLPLAIEVMGCIMHDKSEEGEWQAVLENKIWNLQDAEEYIKPELWLSYVDLPTHLKKCFAFCAIYPKDHEIEEVELIQLWMAHGFIASQKGNDMEVKGREIFRELIRRSLLQRKIFTEFRSRSLLPIKYYSYSNEKGRVCTMHDLIHDLAHFVMENECFTSLNSSAAPEISTRPRHLNLLIDKNYNQANCSTIHTVLYCRRDSNVLSRLKFVRVLDLRSTRIEELPASIEHLHHLRYLDLSHTNIRKLPETICMLVNLQTLKLDGCYEIYKLPKSITYMNNLRHLIFDPLRVKSLPAGLSQLQNLKTLTRYTVGDDAENNIGQLRSLNPFGELVLDNLEWVKNADDAIKADMGNKQLIQTLILSWMKFGLVDDDEYCLMENGEELLEALKPPSGVKKLAVRCYPGKQFPMWMEEMQQFQYLHHIELSECRECKQLPPLEILPILAYLSISGMDGIKHILNNSRGNALQSFPALKELILYGMKNLEGWCLEEGKEANLSLFPCLTRMEIITCPKLTTMPSIPTLRDLYIDQSFCETQISLLSKERRFFKHLESLQSLTIKSCPDELVSLLEDEEETRTMKSSLKNLNIDNCNQLSLTLVFQNLPSLIQLKVRSLEKLVSWPDEIQSLKFLNDLAISSCRYFTGVSSQGDSGPPFLKSLRVSGSDALRELPTCPTSLQYLSIVQCRGMESLGPEMGHLTSLCNLQVSNCPKLVSLPDGMQALTSFKSLRIIGCPALKSFPEGLQQLLPTLKALIIKGCPELERLCKPGGDYYNLLSTISDKQIGEESKPEETIQVPHDINTSAKQALKCITTNRFLLSVILICAIACFIIFLFNQLDSQNDEEFWFIPPT >DRNTG_06094.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4740274:4745093:-1 gene:DRNTG_06094 transcript:DRNTG_06094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGALQYLETQRNARPELAEWYSALADLYQRKLWHQLTLKLEQFVALAVVQAGDALIQLYNDFITDFETKINLLKLAHFAVIVSRQYSDKQVAISYLDGVIEKLQATKEMRIEEPILYVKMQIAVFKLEQGNQKECKKLLEDGKATLDSMTDVDPSVHASFYWISSQYHKSRQEFAEFYKHTLLYLAYTTVESLSDSFKLDLAFDLSLSALLGDNIYNFGELLAHPIINSLLGSKVEWLYHILQAFNTGDLVRYQELCHAHNAALNAQPALVANEKKLLEKINILCLMEIIFSRPSEDRTIPLSVIAERTKLLIEDVEYLLMKSLSVHLIEGIIDQVESTVYVSWVQPRVLGIPQIKSLRDRLDVWLGKVHSALLSVEAETPDLVAS >DRNTG_12968.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30498570:30500898:-1 gene:DRNTG_12968 transcript:DRNTG_12968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFNGGFVSFFVIEFVLSFNIFLLVHGQLLPIVSDHGENTSQIQTYIVHVLKPEGSNFLGAEDLENWHKSFLPNTTLDTGEPRLRFSYKEAISGFAARLTPEEVRDMEKMDGFLRANPSRTLHLHTTYTHEFLNLSTLFGVWSTSNSFYGEGIIIGVLDTGIHMPHPSFDDTGMPPRPAGWNVSCYLQTPCNDKVIAAQSFDIANSTTPPSDIDQGHGTHVAGIAAGNFVDNAEVLDQALGRAAGMAPKAFISVYKVCWKFGGCGTDGVLAGIDKAIQDGVHILQMSFGARRGYLPTSFTDDDVAVGTFSAMQKGIFPCTAAGNNGPDPETLSHAAPWDMVVGATTTDRRIRATVTLGNGTQLHGESAYQPNLVTNQFYPLVFPGSNGRNRQLYCLRNSLNGIDVRDKIVMCDVGAKDNIVTGKVVRNAGGAGMILMNFDIVGYTTFSEAHHLPVSHVSYKDALQLKDYIISNSTPTAKITFGGTIFDIRPSPALAFFSSRGPVKYNGNIVKPDVTAPGVNILSAWPVEVGPFPSGLKTKTFNFVSGTSMATPHVSGIVALIMSKLKYENKRQWSVPEIQSALITTTNTLDLDGRPIFDQATFNDNANILQRGAGQVNATNAMDPGLVYNIEPDDYVAYLCGIFSNNSAEVQRFTKNNKQCTRSISGEQLNYPSIGIPMASSSASTTISRTVTNVGDASEIYNATFIEPPFVKMYLSQYQLSFSRLDQQITYDITFTMNGSHPGSGVIGQGELSWVSSKHNVTSP >DRNTG_19123.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29640382:29643722:-1 gene:DRNTG_19123 transcript:DRNTG_19123.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein coupled receptor 1 [Source:Projected from Arabidopsis thaliana (AT1G48270) UniProtKB/Swiss-Prot;Acc:O04714] MSQFTHYCFCMQVAHFMTFYAVLWGAILYNGFTYFQVIRMLNNARRMAVGISDRSNHLDSRADVKALNRWGYYPLILIGSWTFGTINRIHDFIYPGHKLFWLSVLDVLFAGLMGLFNSIAYGLNSSVRRAIAERLDMWIPERHQRWFSPWLKFRGEQQESELVSLKIEGQQ >DRNTG_19123.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29640382:29646871:-1 gene:DRNTG_19123 transcript:DRNTG_19123.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein coupled receptor 1 [Source:Projected from Arabidopsis thaliana (AT1G48270) UniProtKB/Swiss-Prot;Acc:O04714] MVAEAPPGITAGERRVLAAVGSGSAALSLAGSAFIVLCYLLFRDLRKFSFKLVFFLALSDMFCSFFSIVGDPSTTFFCYVQDYSAHFFCVASFLWTTTIAFTLHRTVVKHKTDVEDFGSIFHLYVWGASLVMTILRSIGSDYGQSGAWCWTENGSTGKASHFMTFYAVLWGAILYNGFTYFQVIRMLNNARRMAVGISDRSNHLDSRADVKALNRWGYYPLILIGSWTFGTINRIHDFIYPGHKLFWLSVLDVLFAGLMGLFNSIAYGLNSSVRRAIAERLDMWIPERHQRWFSPWLKFRGEQQESELVSLKIEGQQ >DRNTG_19123.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29640382:29646871:-1 gene:DRNTG_19123 transcript:DRNTG_19123.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein coupled receptor 1 [Source:Projected from Arabidopsis thaliana (AT1G48270) UniProtKB/Swiss-Prot;Acc:O04714] MTILRSIGSDYGQSGAWCWTENGSTGKASHFMTFYAVLWGAILYNGFTYFQVIRMLNNARRMAVGISDRSNHLDSRADVKALNRWGYYPLILIGSWTFGTINRIHDFIYPGHKLFWLSVLDVLFAGLMGLFNSIAYGLNSSVRRAIAERLDMWIPERHQRWFSPWLKFRGEQQESELVSLKIEGQQ >DRNTG_14197.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000660.1:57006:58605:1 gene:DRNTG_14197 transcript:DRNTG_14197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTHWVKTKHVDAGAVRRELLLEMRKS >DRNTG_14197.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000660.1:57316:58605:1 gene:DRNTG_14197 transcript:DRNTG_14197.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGMMTRAKAVDEQLSAIADQLARHDAVFTKIDSLCATVQQHSDSFDLLRKSHAESFDILRSSLAAQQSVMAEMMMKLQQLDKATTHPPLLPLPTPKQGTNLLQASPLSPLSLHS >DRNTG_35461.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3712952:3716715:1 gene:DRNTG_35461 transcript:DRNTG_35461.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPRTCSKTWIGKPLAILLPVLQPKWSQRSDFQKG >DRNTG_35461.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3708943:3716715:1 gene:DRNTG_35461 transcript:DRNTG_35461.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPRTCSKTWIGKPLAILLPVLQPKWSQRSDFQKG >DRNTG_35461.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3708943:3716715:1 gene:DRNTG_35461 transcript:DRNTG_35461.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPRTCSKTWIGKPLAILLPVLQPKWSQRSDFQKG >DRNTG_09734.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1665263:1666649:-1 gene:DRNTG_09734 transcript:DRNTG_09734.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGLEEMKRRKAMWLYPKVLGFNPSERWGHSSCFFDGVVYVFGGCCRGLHFSDVLTLNLDTMVWKSLVTTGPKPGNRDSHGTALVGHRMVVLGGTNGSKKLNDLHILDLRTKEWSKPNFKGMPPSPRESHTITAVGDAKLVIFGGSGEVKTNYLNDIHIFDLKSMT >DRNTG_09734.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1665263:1666649:-1 gene:DRNTG_09734 transcript:DRNTG_09734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGLEEMKRRKAMWLYPKVLGFNPSERWGHSSCFFDGVVYVFGGCCRGLHFSDVLTLNLDTMVWKSLVTTGPKPGNRDSHGTALVGHRMVVLGGTNGSKKLNDLHILDLRTKEWSKPNFKGMPPSPRESHTITAVGDAKLVIFGGSGEVKTNYLNDIHIFDLKSMT >DRNTG_21566.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8913633:8914413:-1 gene:DRNTG_21566 transcript:DRNTG_21566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLYMLSFNLSTVVWKNDAVSTTRDKLYTLLEGKEMVTDDVMDAFVCIIQKVASKEPYPYKKRLHHRAPLCAFFMSKQDDAHETIMAMVGDAVRNLHEVQIVILPIIMNGHFHVVVLDNDKQEYRHYSSCPGYDKDALDMRNLFDNCVDMQFGESATAKYPLVHDMETPR >DRNTG_07488.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3924485:3925384:-1 gene:DRNTG_07488 transcript:DRNTG_07488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDEEVKKGQIMEARARNISHNVRCTDAASSSSSSSTVTSVSSSPSADLLKWTEDDARTIAIIYQSFRRYATPCYRLSASPINCLALPQDHDYLRTYEFLMHLWPEFEAVRAQLQHRVTPPSASDTLAYVLAEETRLRSLDVVPPPVAPHTVLAAPSRTQYRPL >DRNTG_17331.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32002252:32005059:1 gene:DRNTG_17331 transcript:DRNTG_17331.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g01030, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G01030) UniProtKB/Swiss-Prot;Acc:Q9SV26] MEVSMSLPQLNQSASPHHHFTATRKRRRRSNAINQYTGFKNQTLTSQPYTCPSSSSPMYEELMSLTSLASLKQAHCRILKSVEASQCDWLLMNRMLQLYSEFGDFQSAAMVFLIGLQHEALSWTDLIEKFRNEDNPSKLLEVLGELHGMGVVFTARVLITALKISSKLEDLLFGLQMLTFVIKLGFDSDPYVMCAVMDFHADFFGIESANEFFEETPMKSSVLWNKVVTLNAEYGEWLNALQYFQKMQTLGIKADEVTIAKALHVCGRLQTLKEGKALHGYAIRSGYSSALLVGNVLIAMYSHNASAELARRVFQSMDSRNVVSWNSIISGFALNGFLDDAFELFNEMISSGLQPDLVSWNCLLSGHSLHGSTNEAMNILRRMLPMDLKPNSSSISSVLRAVSDSGLLDVGKQIHGYVMRHGLASNVYVGTSLIDMYVKCCRLDDARRVFHLMKHRNVYTWNSLISGFAHGGFLDESLELLKLMEREGVQPDLTTWNGLIDGYTIKGMSKQASRLIHQLKAIGIRPNVVSWTSIISGCCQNAHYEDSLYYFKEMQNDGIEPNSVTLASVLRACAALAFLKKGAELHCFALSKGIDCDTFVATGLIDMYCKSGSLAAARRVFESMSNKTLASWNAMIMGFAAHGLWKEATSLFDEMCEAGVKPDGISFTAVLSACRHSGLTSEGWKYFDNMRNTYNVIPTVEHYACMVDLLARGGYLDEAWDFIRDMPLEPDASVWGALLGGCRNHRNVEIAEIAAKHLFKLEPYNPANYLLMMSIYAYENRWRDVEIMSDAMNAAGVKSRAGWSWIQIGQNVHVFHVDGKLHPEIGEIYFELYQLASEMKSLGYVPDISCIIHNVNETEKEKLLMSHTEKLAITYGLINTERDTTIRVITNTRVCNDCHSMAKFISKIRGREILLRDGARYHRFKDGNCSCNDYW >DRNTG_20758.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2201361:2202442:-1 gene:DRNTG_20758 transcript:DRNTG_20758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEMLTPTPSLISTQSHPSISPPPPRDQEHSAIVSALSHVLSGDTTTTTTTTKGRKRMKTNKYRGVRKRPWGRWAAEIRDPRRAVRKWLGTFDTAEEAARAYDSAAIEFRGPRAKLNFPFPEINGNGGGGDCGGLEREEGGGGGGGEKEEKMNIWDGLQDLLEMDVID >DRNTG_02489.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000117.1:78294:85384:1 gene:DRNTG_02489 transcript:DRNTG_02489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKAPPITLFQRDVVLKAIEPLQKPCQRLSKPSGMPLASASCAGATSESEVMSSSKVF >DRNTG_09051.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31399583:31402101:1 gene:DRNTG_09051 transcript:DRNTG_09051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRGDHEYDYLFKIVLVGDSGVGKSNILSRFTRNEFSLESKSTIGVEFATRTLQIEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVQRWLHELREHADSNIVIMMAGNKSDLSHLRSVQEDDGQALAEKEGLSFLETSALGAQNIEKAFQTILTEIYHIISKKALAAQQAAAAATPTQGTTINVTDSTGGFTKRNCCST >DRNTG_09051.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31399583:31401959:1 gene:DRNTG_09051 transcript:DRNTG_09051.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLMNATIISLCEQIEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVQRWLHELREHADSNIVIMMAGNKSDLSHLRSVQEDDGQALAEKEGLSFLETSALGAQNIEKAFQTILTEIYHIISKKALAAQQAAAAATPTQGTTINVTDSTGGFTKRNCCST >DRNTG_09051.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31399583:31401959:1 gene:DRNTG_09051 transcript:DRNTG_09051.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRGDHEYDYLFKIVLVGDSGVGKSNILSRFTRNEFSLESKSTIGVEFATRTLQIEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVQRWLHELREHADSNIVIMMAGNKSDLSHLRSVQEDDGQALAEKEGLSFLETSALGAQNIEKAFQTILTEIYHIISKKALAAQQAAAAATPTQGTTINVTDSTGGFTKRNCCST >DRNTG_09051.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31399583:31401959:1 gene:DRNTG_09051 transcript:DRNTG_09051.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQASSSSSSSFHHQCLGSPLFFSFFAVFIFISSSFLLHQGIYRSYMAQRGDHEYDYLFKIVLVGDSGVGKSNILSRFTRNEFSLESKSTIGVEFATRTLQIEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVQRWLHELREHADSNIVIMMAGNKSDLSHLRSVQEDDGQALAEKEGLSFLETSALGAQNIEKAFQTILTEIYHIISKKALAAQQAAAAATPTQGTTINVTDSTGGFTKRNCCST >DRNTG_09051.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31399583:31401959:1 gene:DRNTG_09051 transcript:DRNTG_09051.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRGDHEYDYLFKIVLVGDSGVGKSNILSRFTRNEFSLESKSTIGVEFATRTLQIEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVQRWLHELREHADSNIVIMMAGNKSDLSHLRSVQEDDGQALAEKEGLSFLETSALGAQNIEKAFQTILTEIYHIISKKALAAQQAAAAATPTQGTTINVTDSTGGFTKRNCCST >DRNTG_09051.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31399583:31401959:1 gene:DRNTG_09051 transcript:DRNTG_09051.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRGDHEYDYLFKIVLVGDSGVGKSNILSRFTRNEFSLESKSTIGVEFATRTLQIEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVQRWLHELREHADSNIVIMMAGNKSDLSHLRSVQEDDGQALAEKEGLSFLETSALGAQNIEKAFQTILTEIYHIISKKALAAQQAAAAATPTQGTTINVTDSTGGFTKRNCCST >DRNTG_09051.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31399474:31401959:1 gene:DRNTG_09051 transcript:DRNTG_09051.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRGDHEYDYLFKIVLVGDSGVGKSNILSRFTRNEFSLESKSTIGVEFATRTLQIEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVQRWLHELREHADSNIVIMMAGNKSDLSHLRSVQEDDGQALAEKEGLSFLETSALGAQNIEKAFQTILTEIYHIISKKALAAQQAAAAATPTQGTTINVTDSTGGFTKRNCCST >DRNTG_09051.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31399583:31401959:1 gene:DRNTG_09051 transcript:DRNTG_09051.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLMNATIISLCEQIEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVQRWLHELREHADSNIVIMMAGNKSDLSHLRSVQEDDGQALAEKEGLSFLETSALGAQNIEKAFQTILTEIYHIISKKALAAQQAAAAATPTQGTTINVTDSTGGFTKRNCCST >DRNTG_26728.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1240077:1242197:-1 gene:DRNTG_26728 transcript:DRNTG_26728.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTSATFACAFLNTTPALTFLIAVGFRLETLNLKSKTGIAKMLGTFMCVTGAMLMTFYKGTTLNKTSHIPVPVHHHQPTTIHEHSSEKWMLGSMALIAGSISWSSWFVVQTRLGHKYPALYSCTAIIFFISFLQAATLSIVTERHFSIWALTNKYEIFVVLFAGLIGSGFGFLAMSWCVQKRGPVFHNRIQSIDTNHCCRCRLLCPTWTPLPWKCFGVWPCDCRTLLLTLGQEQ >DRNTG_26728.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1240077:1242197:-1 gene:DRNTG_26728 transcript:DRNTG_26728.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWGEWKPAILMSLVVVAFAAMNTMIKKVIDEGMNKLVLITFRQLIATLVLTPIAYFSERKSRPKMTTQIFVYLFFSALFGASLTQYLFFLGMEYTSATFACAFLNTTPALTFLIAVGFRLETLNLKSKTGIAKMLGTFMCVTGAMLMTFYKGTTLNKTSHIPVPVHHHQPTTIHEHSSEKWMLGSMALIAGSISWSSWFVVQTRLGHKYPALYSCTAIIFFISFLQAATLSIVTERHFSIWALTNKYEIFVVLFAGLIGSGFGFLAMSWCVQKRGPVFHNRIQSIDTNHCCRCRLLCPTWTPLPWKCFGVWPCDCRTLLLTLGQEQ >DRNTG_31732.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11228967:11229452:-1 gene:DRNTG_31732 transcript:DRNTG_31732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQCPRTCSGAVAPAVKPTPAPPKDGQRGGPSPPSSFSQPRQVALSVHSPVVATSVHAPNRVIRSSETS >DRNTG_20227.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4131816:4134401:-1 gene:DRNTG_20227 transcript:DRNTG_20227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKGIGLDANSCLHASLAVRQDSAATETTQSKPKKKICCACPDTKRLRDECVVTHGEAACTKWIEAHKRCLRAEGFNV >DRNTG_20227.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4131816:4132294:-1 gene:DRNTG_20227 transcript:DRNTG_20227.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKGIGLDANSCLHASLAVRQDSAATETTQSKPKKKICCACPDTKRLRDECVVTHGEAACTKWIEAHKRCLRAEGFNV >DRNTG_10994.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18853264:18854997:-1 gene:DRNTG_10994 transcript:DRNTG_10994.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVYGFGVVLLEMLIGRKATDKSRPSREHNLVEWARPLLIRPNKLLRITDPRMEGQFSNKRMQMVANLAYQCLSHNPKGRPTMSEVVRILDPFNDMHNDGDDDILVHSSGGAVTLYEASPAAGAGAGAGAENDMKRNKAAPNGRSKE >DRNTG_10994.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18853264:18854997:-1 gene:DRNTG_10994 transcript:DRNTG_10994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVYGFGVVLLEMLIGRKATDKSRPSREHNLVEWARPLLIRPNKLLRITDPRMEGQFSNKRMQMVANLAYQCLSHNPKGRPTMSEVVRILDPFNDMHNDGDDDILVHSSGGAVTLYEASPAAGAGAGAGAENDMKRNKAAPNGRSKE >DRNTG_10994.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18853264:18854997:-1 gene:DRNTG_10994 transcript:DRNTG_10994.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVYGFGVVLLEMLIGRKATDKSRPSREHNLVEWARPLLIRPNKLLRITDPRMEGQFSNKRMQMVANLAYQCLSHNPKGRPTMSEVVRILDPFNDMHNDGDDDILVHSSGGAVTLYEASPAAGAGAGAGAENDMKRNKAAPNGRSKE >DRNTG_07211.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27977803:27980180:1 gene:DRNTG_07211 transcript:DRNTG_07211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVLDEFTVELADAEKRKKKGMKGKVYNLFFSQVRYKMADKMKEIMERFDEIAKESSIFGLKVGAIKEEEVGKREETHSYVNESEVYGRDVDREKIVNFLINSLSSASSEANPDVMAIIGLGGLGKTTLAQLAFNDIRVSKAFTKKIWVCVSEQFDVKKLTRSIIASITESECNLQDMDSLQRFLREKLREDRFFLVLDDVWNEDQEKWGNLKDLLSGCAAKRSKVIVTTHSERVASIVGTVLPHLLTGLSDQDCWVLFEKTAFGFGGAVKTPNLVAIGKDIVSKCAGLPLAAKALGSLMRFRRGERNGWL >DRNTG_24562.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25942285:25968784:-1 gene:DRNTG_24562 transcript:DRNTG_24562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEQARPEIGRRPPGRRRGSRVSQPKKKISDMLGPQWSKEELERFYEAYRKYGKDWKKVAGSVRNNRSPDMVETLYNMNKAYLSLPEGTATLAGLIAMMTDHYNIMDASNSDRESNDVSRTPRKPQQRSRGKVRLISKGSDGNSPDHLQNQSTSSSYGCLSLLKKKRSGDIFAGSQPRAVGKRTPRIPVSYAYGKDDRAKFTPTKPGVRSGVNSADDEGAHVAALALAEASQRGGSPQISRTPGRRTDHVRSSPPPSDGRKNGDSEMVNSKFTCDGGDAMEGSLESREAENADFGKDINYLMETEGAGTTEILEKVKRLPGKASKENGSAHLDDEREACSGTEEGLNIRKVKDEEDVEVADGKYAQVSRGSRKRSRQLYFGDESSGLDALETLADLSLNILMPASTVESESSVQVKEEEKNIDVDEKPSVAESLPINHHRERSKISGKKEKSISAVGGVDVVTRRSSKLLKGMPYDSKALNELKDEICASSSRAMRKRKRKPMNEKVSKSDPDNEYCASESQKMEASMEEGKRSTIKSKRVNQGTPPAKQGKLVKPLERSSPNADSGRAARDLTEATAQISMTKQSSLPTKARTLRKSELKALAQKDLKPSENSTDEHLDQSVYPVNDKSILLKEKFSHCVGSRSLRRWCVYEWFYSAIDYPWFAKSEFVEYLNHVRLGHIPRLTRVEWGVIRSSLGKPRRLSDHFLREEREKLEQYRESVRTHYSELRSGVRDGLPTDLARPLSVGQRVIAYHPKTREIHDGSILTVDSNRCRVQFDRPDLGVEFVMDINCMPLNPSENMPEVLRRQNIGVDRYCNSLTDIKLDDQPKDWKIKGAPKSGLAENLDMTDGIAHITSNYSMNTLLKQAKEDTVDATVQAKAAANEVAVAAQQAMYSQPCTLAQLQAREADIRALTELTRALDKKEALLLELRHMNEEVSGKQKDGDFIKDFEIFKKQYALVLLQLRDANDQVAAALLYLRQRNSYNGNSTPPWIRPMENSEGPALPPSSFNPSAFLNREAGCHVVEIVDTSRRKARVLVGAAVQAVCSLKEGDDAFVRVGEALDFPNSRNSEPCVNSRQVHADSGPGNSVNQENTSPCLSEPTMLGPLGSKPQISSDSNGTHYPSELITSCVATLLMIQTCTERQYPPAEVAQILDSALTSLQPYSQQNLPVYREIETCMGIIKNQMLALLPTPSAVETPIM >DRNTG_00084.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21152214:21153524:1 gene:DRNTG_00084 transcript:DRNTG_00084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLSTSIARQPSTSCTTELATAIHRRSSSPASPLRRWLDKA >DRNTG_18809.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000974.1:1431:10311:-1 gene:DRNTG_18809 transcript:DRNTG_18809.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMASEVFRSLDSYWRRRTYQRLQGSSSSSKKRVIKLGARRCRSSKLRVTRLIVRLRLSIPSPVKIFSGLRDAYVNAMLVLAGEKQRAPLMSMAKNKRGTCHEVMLMERIPKALKISKKDNFPIIAPPPPPRLMYKFSQVHVGDHSENYQNPISAPPPPRSINRFLQAPVMDHSVNDPNSMYFPLPIHNPSPPRSVFPQAPVNYPSINQIRLPDLMSHSDLMRMEKQHMDLFWQSQKTRFENLQASDYKNHEFAKSRMKRLVKSSNSAQNEGTSSRPGV >DRNTG_17081.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17244608:17246100:-1 gene:DRNTG_17081 transcript:DRNTG_17081.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVVGIKPTVGLTSRSGVILISSRQDTIGPICRTVSDAVHVLDAIVGYDPRDAEATRDASGYVPAGGYLQFLRASGLEGKRLGILRKGFFDAYPEGSIQALTFEQHFTTLREKGAILVDDLEIANASTVVDFMNNGEFLVLPAEFKQSLNEYLSELIYSPVRSLADIIDFNNKHKREERMEEIGQSILLLAESTKGIGPVEERAIDRMNQLSMQGLEKLMKENKLDGIVTPGAKIVSVLGIGGYPGISVPAGYASDGVPFGICFAGLKGSEPTLIELAYAFEQATNVRVPPSFKPSFMSVLDDIDSL >DRNTG_17081.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17244608:17245128:-1 gene:DRNTG_17081 transcript:DRNTG_17081.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIGQSILLLAESTKGIGPVEERAIDRMNQLSMQGLEKLMKENKLDGIVTPGAKIVSVLGIGGYPGISVPAGYASDGVPFGICFAGLKGSEPTLIELAYAFEQATNVRVPPSFKPSFMSVLDDIDSL >DRNTG_17081.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17244608:17247627:-1 gene:DRNTG_17081 transcript:DRNTG_17081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVADLSMGPAVGLGRDKHNQLTSRQLVQYYLDRIQTLNPLLRAVIEVNPDALDQADNADSERQTAQQRQLSGLHGIPILLKDSIGTKDRLNTTAGSLALLGSVVPRDAGVVEKLRQSGAVILGKASLTEWANFRSRRAPNGWCARSGQGRNPYVLTADTCSSSSGSAIAAAADLATVTLGTETDGSIICPSAMNSVVGIKPTVGLTSRSGVILISSRQDTIGPICRTVSDAVHVLDAIVGYDPRDAEATRDASGYVPAGGYLQFLRASGLEGKRLGILRKGFFDAYPEGSIQALTFEQHFTTLREKGAILVDDLEIANASTVVDFMNNGEFLVLPAEFKQSLNEYLSELIYSPVRSLADIIDFNNKHKREERMEEIGQSILLLAESTKGIGPVEERAIDRMNQLSMQGLEKLMKENKLDGIVTPGAKIVSVLGIGGYPGISVPAGYASDGVPFGICFAGLKGSEPTLIELAYAFEQATNVRVPPSFKPSFMSVLDDIDSL >DRNTG_06847.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6205086:6206255:1 gene:DRNTG_06847 transcript:DRNTG_06847.1 gene_biotype:protein_coding transcript_biotype:protein_coding RHGRANRSRRALPSPQCPSFSSSATRSALTSDGHEHDPPPVTPPKLYSPPGPISDVQTHFLGAPPDVVLLSFQPQAQSLSWCCVSM >DRNTG_06847.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6205780:6206255:1 gene:DRNTG_06847 transcript:DRNTG_06847.4 gene_biotype:protein_coding transcript_biotype:protein_coding RHGRANRSRRALPSPQCPSFSSSATRSALTSDGHEHDPPPVTPPKLYSPPGPISDVQTHFLGAPPDVVLLSFQPQAQSLSWCCVSM >DRNTG_06847.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6205086:6206255:1 gene:DRNTG_06847 transcript:DRNTG_06847.2 gene_biotype:protein_coding transcript_biotype:protein_coding RHGRANRSRRALPSPQCPSFSSSATRSALTSDGHEHDPPPVTPPKLYSPPGPISDVQTHFLGAPPDVVLLSFQPQAQSLSWCCVSM >DRNTG_13780.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13213968:13214502:1 gene:DRNTG_13780 transcript:DRNTG_13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASFPFALPTLRSHGYQSGVRVGSRYHEPSVLHIYQEASVVHRFHRMLLSLGKNHHLRKKRRTSFSDSSITL >DRNTG_08687.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17931014:17933668:1 gene:DRNTG_08687 transcript:DRNTG_08687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKVPEISPPTIRAHITHVIFDMDGLLLDTEKFYTEVQENILARFGKTFDWSLKAKMMGKKAIESARVFVEEMGLTEFLTPEGFLEEREAMLQELFPTAEIMPGVKRLISHLHTNGIPICVATGSHTPHFKLKTQNHSEIFSIMHHVVTGDDPDVKKGKPSPDVFLAAARRFEGTVDPRNVLVFEDAPSGVAAAKNAGMSVVMVPDSRLDASHHKEADQVLSSLLDFSPIEWDLPPF >DRNTG_13198.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2480848:2481159:-1 gene:DRNTG_13198 transcript:DRNTG_13198.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLPYHLSKPSTPHHAPTSSLSLSLSNSTPTDLRT >DRNTG_13253.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13760360:13768456:-1 gene:DRNTG_13253 transcript:DRNTG_13253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSECVSRRDDGFTDLSPTLQYGVPRSGLMGGGVVNPEFTLVKRFFDNDIVFLLFQILCFLHFWFSSFLAVNAPAFAVTTILLLLYFSKFLPLLHSLLPVAPFPGHRYTGGFSNSIAVFTSAGLLYVVANTLYSSMPLWWEMTQRMVAIMSNYPAIRTALDVGYGKGILLNSVVMLQKKCGSSRKVIGLLNIDGYYNSLLSFIEQAVEEGFIKQSAHHIIVSTSNTKELIDKLEVDSSKNNAEKSFQSNSSPKNPSVMTLIGLNLPAAMDDDVEHKILSDAHP >DRNTG_27955.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3549731:3553261:1 gene:DRNTG_27955 transcript:DRNTG_27955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRDIKAGQSDLTTKVQKKPVKDFVRKYERKKMQQPISNSHSRTTISCSICLSGESCQIVRARTQRMDILIKGKKAHEAQIVFDGLIEQGHKPSLVTYTTLLAAMTAQKKFKSIMSLISQVESSGMKPDSIFFNAIINAFSEAGKIDEAMKTFWNMKESGCKSTTSTFNTLIKGYGIVGKPEESYKLLDMMSQEENTKANTKTYNILIKAWCDQQNMGEAWNIMHMMHASGNQPDVVTYNTIARAYAKNGETMKAEELILDMDGKIRPNERTLAIIVGGYCTEGSTKDALRCLHQMRVYGVWPNVIVFNTLIKGFLDTEDMDGVNEALSLMEELGVRPDVVTYSHQMNAWGAMGLMPKCLEIFDDMVAKGVTPDEQVYSILAKGYVRARDPAKAEGVLQTMYDLGIQPNVVTYTTIISGWCSAANMDNAMKIYEKMCESGVSPNIKTFETLIWGFGEVKQPWKAEEMLQLMKNAGVIPKRNTVRLVAETWRAVGLQDEASRVLESLNNHGATNKLNVSANGNQNLNASSRNVLADGLQLGGSNGRKIVLQDTELMSDSLKTAVKSMFQGRAQVFGVKPPIICRRQCQMQYGIFGFNSCKVVFLS >DRNTG_27955.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3549731:3553261:1 gene:DRNTG_27955 transcript:DRNTG_27955.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRDIKAGQSDLTTKVQKKPVKDFVRKYERKKMQQPISNSHSRTTISCSICLSGESCQIVRARTQRMDILIKGKKAHEAQIVFDGLIEQGHKPSLVTYTTLLAAMTAQKKFKSIMSLISQVESSGMKPDSIFFNAIINAFSEAGKIDEAMKTFWNMKESGCKSTTSTFNTLIKGYGIVGKPEESYKLLDMMSQEENTKANTKTYNILIKAWCDQQNMGEAWNIMHMMHASGNQPDVVTYNTIARAYAKNGETMKAEELILDMDGKIRPNERTLAIIVGGYCTEGSTKDALRCLHQMRVYGVWPNVIVFNTLIKGFLDTEDMDGVNEALSLMEELGVRPDVVTYSHQMNAWGAMGLMPKCLEIFDDMVAKGVTPDEQVYSILAKGYVRARDPAKAEGVLQTMYDLGIQPNVVTYTTIISGWCSAANMDNAMKIYEKMCESGVSPNIKTFETLIWGFGEVKQPWKAEEMLQLMKNAGVIPKRNTVRLVAETWRAVGLQDEASRVLESLNNHGATNKLNVSANGNQNLNASSRNVLADGLQLGGSNGRKIVLQDTELMSDSLKTAVKSMFQGRAQVFGVKPPIICRRQCQMQYGIFGFNSCKVVFLS >DRNTG_06089.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000278.1:27943:31702:-1 gene:DRNTG_06089 transcript:DRNTG_06089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSISPNLMVGSSDELFDFIAAELAKFVASEGEGFHLSAGRQRELGFTFSFPVRQTSIASGTLIKWTKGFSIEETVGEDVVVELTKAMERQGLDMRVAALVNDTIGTLAGGRYYDNDVVAAVILGTGTNAAYVERAHAIPKWHGLLPKSGEMVINMEWGNFRSSHLPLMEYDQALDSESLNPGEQIFEKLISGMYLGEVLRLVLLKLAEEAAFFGDTIPPKLKTPFTLRTPDMSAMHHDTSSDLRVVGNKLKENLSISGTSLKARKLIIHICDIIAMRGARLSAAGIVGILKKQGRDTVTSSGSEKTEDSDCPGWRAVRTLHQI >DRNTG_32811.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21560079:21577506:1 gene:DRNTG_32811 transcript:DRNTG_32811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRCRSNRSSPKPWPIPLETLAKALSQVGEKNTKIGTESALNRARIGQLHGRGRYTRPCGISTWAWIISARPCGFPVSLVSRPAMNSAAIVLLHCCYSALLQSSTCMRVCLTKRRTMKK >DRNTG_10630.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:245733:246592:-1 gene:DRNTG_10630 transcript:DRNTG_10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRIVLKVDVSCQKCKRKLMQAISRSQVTVTGDADPIEVIMRTRKAGKFVEIISIGPPPPPPKQAVESVKKTEDKKVDDKKKTEEKKPTNTTTKEKKPEVPLLPSPLPLPPPMPAIVIHTPSTCPMCQHIGVHDPQPSCVVM >DRNTG_26535.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:892495:899246:-1 gene:DRNTG_26535 transcript:DRNTG_26535.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G35520) TAIR;Acc:AT4G35520] MGCAKICSGMPASNSDSAFMKDSIFSFEKANLDTNCLRLRWGDNSCRGDRSLEDVSAQMLYNCDPCFVKNNTEDAFRLVGSVGFNKPDLKANRGIESEHLDSASYSTCSPVEFEPGHSKHLPVHDFRHSPGVGYGSKTSKFELMWHQQVGDSVLEKCQATRELDILLGDSLNPFSPVYSSFTKNSSFHSSLITQLWGNGSNYVSREMGRYIGSPYYASGRTRFDDFAYTYNFDAKSMSFENDFGKSDGVERSFKNMSANSQRTLYTTSFKGSKFNYLDRFSTDKTLCHGQPSDGVSFEVKVNDDPDRWFLDHSDAIYSDNPKRHAFNGHMDVADSILKHKQDKQETQDSRLSHGFLNIRSGRSQSAPPFYKGKCKFSIVNTCHHTTVEGKENVGVKFKPGTIYSSKELAQPSNASQPLLETSLAEISSCSRESSGKVSVTKATDEALDNKQPQKADYNNAINNVNEDELKYASGNLTKWRNHDAQPTGGEMHHDNNDDILDISSGLLHLTSSSLVPDSISRECLENARVLFQVDKKFIPVIAGDTLIIIDQHAADERIRLEELRRKVLSGEGNHISYLGEEQDLILPEIGFQILHNYADQIQKWGWICNIHTECSDSFVKNMNLMNKRPHAVSLLAVPCILGIDLTDKDLLEYLEQLAETDGSSTLPPAVIRILNFKACRGAIMFGDSLLPSECSLIVDELKATSLCFQCAHGRPTTAPLLNMVALHEQLTLLEMRLRAPENEWHGLSQHRPSVKRARQRLASAKRFQKG >DRNTG_26535.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:892495:897281:-1 gene:DRNTG_26535 transcript:DRNTG_26535.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G35520) TAIR;Acc:AT4G35520] MHHDNNDDILDISSGLLHLTSSSLVPDSISRECLENARVLFQVDKKFIPVIAGDTLIIIDQHAADERIRLEELRRKVLSGEGNHISYLGEEQDLILPEIGFQILHNYADQIQKWGWICNIHTECSDSFVKNMNLMNKRPHAVSLLAVPCILGIDLTDKDLLEYLEQVCLFFFKFIILLVFHYCCALNSSIGLSISYMLLRK >DRNTG_26535.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:892495:901659:-1 gene:DRNTG_26535 transcript:DRNTG_26535.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G35520) TAIR;Acc:AT4G35520] MHHDNNDDILDISSGLLHLTSSSLVPDSISRECLENARVLFQVDKKFIPVIAGDTLIIIDQHAADERIRLEELRRKVLSGEGNHISYLGEEQDLILPEIGFQILHNYADQIQKWGWICNIHTECSDSFVKNMNLMNKRPHAVSLLAVPCILGIDLTDKDLLEYLEQLAETDGSSTLPPAVIRILNFKACRGAIMFGDSLLPSECSLIVDELKATSLCFQCAHGRPTTAPLLNMVALHEQLTLLEMRLRAPENEWHGLSQHRPSVKRARQRLASAKRFQKG >DRNTG_26535.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:892495:903793:-1 gene:DRNTG_26535 transcript:DRNTG_26535.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G35520) TAIR;Acc:AT4G35520] MLSPQRLFSMSVSFLPFPNCWYFLHYLILSSTNLDINSRFVCKGPIHNLLNSLASSLQSSTEIKFHSGKRPRTQTYPAYILNICCPISMYDLMFEPSKTILEFKDWTVVLSVLKQAIWQHWEKLLAQQSQGKAFGNNNTVPIESEIQNKVASKSCTIRKRKDFVQSPQSSSHPTAKSSVDFKNHRLARTVKRSLPELKPCRSNSGDVWQTNCSSQGQIADKEVSFSFKEDHMGCAKICSGMPASNSDSAFMKDSIFSFEKANLDTNCLRLRWGDNSCRGDRSLEDVSAQMLYNCDPCFVKNNTEDAFRLVGSVGFNKPDLKANRGIESEHLDSASYSTCSPVEFEPGHSKHLPVHDFRHSPGVGYGSKTSKFELMWHQQVGDSVLEKCQATRELDILLGDSLNPFSPVYSSFTKNSSFHSSLITQLWGNGSNYVSREMGRYIGSPYYASGRTRFDDFAYTYNFDAKSMSFENDFGKSDGVERSFKNMSANSQRTLYTTSFKGSKFNYLDRFSTDKTLCHGQPSDGVSFEVKVNDDPDRWFLDHSDAIYSDNPKRHAFNGHMDVADSILKHKQDKQETQDSRLSHGFLNIRSGRSQSAPPFYKGKCKFSIVNTCHHTTVEGKENVGVKFKPGTIYSSKELAQPSNASQPLLETSLAEISSCSRESSGKVSVTKATDEALDNKQPQKADYNNAINNVNEDELKYASGNLTKWRNHDAQPTGGEMHHDNNDDILDISSGLLHLTSSSLVPDSISRECLENARVLFQVDKKFIPVIAGDTLIIIDQHAADERIRLEELRRKVLSGEGNHISYLGEEQDLILPEIGFQILHNYADQIQKWGWICNIHTECSDSFVKNMNLMNKRPHAVSLLAVPCILGIDLTDKDLLEYLEQLAETDGSSTLPPAVIRILNFKACRGAIMFGDSLLPSECSLIVDELKATSLCFQCAHGRPTTAPLLNMVALHEQLTLLEMRLRAPENEWHGLSQHRPSVKRARQRLASAKRFQKG >DRNTG_26535.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:892495:905706:-1 gene:DRNTG_26535 transcript:DRNTG_26535.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G35520) TAIR;Acc:AT4G35520] MSDKLCKETMQATISLKIEKSVIVRDLFYNQPVRRKYIQSSLKKVLHSVKKCVLRTALVNPEILFKVVDLESEAELLRTLPSSSPLHLISIFFGDEVSGSLQEITFSDRDIKFSGYISSPRDAFSTKAFQYVYINSRFVCKGPIHNLLNSLASSLQSSTEIKFHSGKRPRTQTYPAYILNICCPISMYDLMFEPSKTILEFKDWTVVLSVLKQAIWQHWEKLLAQQSQGKAFGNNNTVPIESEIQNKVASKSCTIRKRKDFVQSPQSSSHPTAKSSVDFKNHRLARTVKRSLPELKPCRSNSGDVWQTNCSSQGQIADKEVSFSFKEDHMGCAKICSGMPASNSDSAFMKDSIFSFEKANLDTNCLRLRWGDNSCRGDRSLEDVSAQMLYNCDPCFVKNNTEDAFRLVGSVGFNKPDLKANRGIESEHLDSASYSTCSPVEFEPGHSKHLPVHDFRHSPGVGYGSKTSKFELMWHQQVGDSVLEKCQATRELDILLGDSLNPFSPVYSSFTKNSSFHSSLITQLWGNGSNYVSREMGRYIGSPYYASGRTRFDDFAYTYNFDAKSMSFENDFGKSDGVERSFKNMSANSQRTLYTTSFKGSKFNYLDRFSTDKTLCHGQPSDGVSFEVKVNDDPDRWFLDHSDAIYSDNPKRHAFNGHMDVADSILKHKQDKQETQDSRLSHGFLNIRSGRSQSAPPFYKGKCKFSIVNTCHHTTVEGKENVGVKFKPGTIYSSKELAQPSNASQPLLETSLAEISSCSRESSGKVSVTKATDEALDNKQPQKADYNNAINNVNEDELKYASGNLTKWRNHDAQPTGGEMHHDNNDDILDISSGLLHLTSSSLVPDSISRECLENARVLFQVDKKFIPVIAGDTLIIIDQHAADERIRLEELRRKVLSGEGNHISYLGEEQDLILPEIGFQILHNYADQIQKWGWICNIHTECSDSFVKNMNLMNKRPHAVSLLAVPCILGIDLTDKDLLEYLEQLAETDGSSTLPPAVIRILNFKACRGAIMFGDSLLPSECSLIVDELKATSLCFQCAHGRPTTAPLLNMVALHEQLTLLEMRLRAPENEWHGLSQHRPSVKRARQRLASAKRFQKG >DRNTG_26535.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:892495:903793:-1 gene:DRNTG_26535 transcript:DRNTG_26535.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G35520) TAIR;Acc:AT4G35520] MHHDNNDDILDISSGLLHLTSSSLVPDSISRECLENARVLFQVDKKFIPVIAGDTLIIIDQHAADERIRLEELRRKVLSGEGNHISYLGEEQDLILPEIGFQILHNYADQIQKWGWICNIHTECSDSFVKNMNLMNKRPHAVSLLAVPCILGIDLTDKDLLEYLEQLAETDGSSTLPPAVIRILNFKACRGAIMFGDSLLPSECSLIVDELKATSLCFQCAHGRPTTAPLLNMVALHEQLTLLEMRLRAPENEWHGLSQHRPSVKRARQRLASAKRFQKG >DRNTG_26535.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:892495:905706:-1 gene:DRNTG_26535 transcript:DRNTG_26535.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G35520) TAIR;Acc:AT4G35520] MEEISVILHASVLKFFLFFLVQAFQYVYINSRFVCKGPIHNLLNSLASSLQSSTEIKFHSGKRPRTQTYPAYILNICCPISMYDLMFEPSKTILEFKDWTVVLSVLKQAIWQHWEKLLAQQSQGKAFGNNNTVPIESEIQNKVASKSCTIRKRKDFVQSPQSSSHPTAKSSVDFKNHRLARTVKRSLPELKPCRSNSGDVWQTNCSSQGQIADKEVSFSFKEDHMGCAKICSGMPASNSDSAFMKDSIFSFEKANLDTNCLRLRWGDNSCRGDRSLEDVSAQMLYNCDPCFVKNNTEDAFRLVGSVGFNKPDLKANRGIESEHLDSASYSTCSPVEFEPGHSKHLPVHDFRHSPGVGYGSKTSKFELMWHQQVGDSVLEKCQATRELDILLGDSLNPFSPVYSSFTKNSSFHSSLITQLWGNGSNYVSREMGRYIGSPYYASGRTRFDDFAYTYNFDAKSMSFENDFGKSDGVERSFKNMSANSQRTLYTTSFKGSKFNYLDRFSTDKTLCHGQPSDGVSFEVKVNDDPDRWFLDHSDAIYSDNPKRHAFNGHMDVADSILKHKQDKQETQDSRLSHGFLNIRSGRSQSAPPFYKGKCKFSIVNTCHHTTVEGKENVGVKFKPGTIYSSKELAQPSNASQPLLETSLAEISSCSRESSGKVSVTKATDEALDNKQPQKADYNNAINNVNEDELKYASGNLTKWRNHDAQPTGGEMHHDNNDDILDISSGLLHLTSSSLVPDSISRECLENARVLFQVDKKFIPVIAGDTLIIIDQHAADERIRLEELRRKVLSGEGNHISYLGEEQDLILPEIGFQILHNYADQIQKWGWICNIHTECSDSFVKNMNLMNKRPHAVSLLAVPCILGIDLTDKDLLEYLEQLAETDGSSTLPPAVIRILNFKACRGAIMFGDSLLPSECSLIVDELKATSLCFQCAHGRPTTAPLLNMVALHEQLTLLEMRLRAPENEWHGLSQHRPSVKRARQRLASAKRFQKG >DRNTG_26535.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:892495:895403:-1 gene:DRNTG_26535 transcript:DRNTG_26535.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G35520) TAIR;Acc:AT4G35520] MNLMNKRPHAVSLLAVPCILGIDLTDKDLLEYLEQLAETDGSSTLPPAVIRILNFKACRGAIMFGDSLLPSECSLIVDELKATSLCFQCAHGRPTTAPLLNMVALHEQLTLLEMRLRAPENEWHGLSQHRPSVKRARQRLASAKRFQKG >DRNTG_26535.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:892495:903932:-1 gene:DRNTG_26535 transcript:DRNTG_26535.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G35520) TAIR;Acc:AT4G35520] MYDLMFEPSKTILEFKDWTVVLSVLKQAIWQHWEKLLAQQSQGKAFGNNNTVPIESEIQNKVASKSCTIRKRKDFVQSPQSSSHPTAKSSVDFKNHRLARTVKRSLPELKPCRSNSGDVWQTNCSSQGQIADKEVSFSFKEDHMGCAKICSGMPASNSDSAFMKDSIFSFEKANLDTNCLRLRWGDNSCRGDRSLEDVSAQMLYNCDPCFVKNNTEDAFRLVGSVGFNKPDLKANRGIESEHLDSASYSTCSPVEFEPGHSKHLPVHDFRHSPGVGYGSKTSKFELMWHQQVGDSVLEKCQATRELDILLGDSLNPFSPVYSSFTKNSSFHSSLITQLWGNGSNYVSREMGRYIGSPYYASGRTRFDDFAYTYNFDAKSMSFENDFGKSDGVERSFKNMSANSQRTLYTTSFKGSKFNYLDRFSTDKTLCHGQPSDGVSFEVKVNDDPDRWFLDHSDAIYSDNPKRHAFNGHMDVADSILKHKQDKQETQDSRLSHGFLNIRSGRSQSAPPFYKGKCKFSIVNTCHHTTVEGKENVGVKFKPGTIYSSKELAQPSNASQPLLETSLAEISSCSRESSGKVSVTKATDEALDNKQPQKADYNNAINNVNEDELKYASGNLTKWRNHDAQPTGGEMHHDNNDDILDISSGLLHLTSSSLVPDSISRECLENARVLFQVDKKFIPVIAGDTLIIIDQHAADERIRLEELRRKVLSGEGNHISYLGEEQDLILPEIGFQILHNYADQIQKWGWICNIHTECSDSFVKNMNLMNKRPHAVSLLAVPCILGIDLTDKDLLEYLEQLAETDGSSTLPPAVIRILNFKACRGAIMFGDSLLPSECSLIVDELKATSLCFQCAHGRPTTAPLLNMVALHEQLTLLEMRLRAPENEWHGLSQHRPSVKRARQRLASAKRFQKG >DRNTG_26535.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:892495:903366:-1 gene:DRNTG_26535 transcript:DRNTG_26535.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G35520) TAIR;Acc:AT4G35520] MHHDNNDDILDISSGLLHLTSSSLVPDSISRECLENARVLFQVDKKFIPVIAGDTLIIIDQHAADERIRLEELRRKVLSGEGNHISYLGEEQDLILPEIGFQILHNYADQIQKWGWICNIHTECSDSFVKNMNLMNKRPHAVSLLAVPCILGIDLTDKDLLEYLEQLAETDGSSTLPPAVIRILNFKACRGAIMFGDSLLPSECSLIVDELKATSLCFQCAHGRPTTAPLLNMVALHEQLTLLEMRLRAPENEWHGLSQHRPSVKRARQRLASAKRFQKG >DRNTG_26535.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:904668:905706:-1 gene:DRNTG_26535 transcript:DRNTG_26535.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G35520) TAIR;Acc:AT4G35520] MKDIKRLSPNVCNSLRSSVVLFDLPRVVEELIFNSVEACATK >DRNTG_26535.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:892495:899832:-1 gene:DRNTG_26535 transcript:DRNTG_26535.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G35520) TAIR;Acc:AT4G35520] MHHDNNDDILDISSGLLHLTSSSLVPDSISRECLENARVLFQVDKKFIPVIAGDTLIIIDQHAADERIRLEELRRKVLSGEGNHISYLGEEQDLILPEIGFQILHNYADQIQKWGWICNIHTECSDSFVKNMNLMNKRPHAVSLLAVPCILGIDLTDKDLLEYLEQLAETDGSSTLPPAVIRILNFKACRGAIMFGDSLLPSECSLIVDELKATSLCFQCAHGRPTTAPLLNMVALHEQLTLLEMRLRAPENEWHGLSQHRPSVKRARQRLASAKRFQKG >DRNTG_26535.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:892495:903366:-1 gene:DRNTG_26535 transcript:DRNTG_26535.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G35520) TAIR;Acc:AT4G35520] MHYPDYCDNLAALFSFLQGGEMHHDNNDDILDISSGLLHLTSSSLVPDSISRECLENARVLFQVDKKFIPVIAGDTLIIIDQHAADERIRLEELRRKVLSGEGNHISYLGEEQDLILPEIGFQILHNYADQIQKWGWICNIHTECSDSFVKNMNLMNKRPHAVSLLAVPCILGIDLTDKDLLEYLEQLAETDGSSTLPPAVIRILNFKACRGAIMFGDSLLPSECSLIVDELKATSLCFQCAHGRPTTAPLLNMVALHEQLTLLEMRLRAPENEWHGLSQHRPSVKRARQRLASAKRFQKG >DRNTG_08253.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:217085:218066:1 gene:DRNTG_08253 transcript:DRNTG_08253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCIEDRNLEAEFPVQNLQKRLEQLEKVKAEKKKSPSPNSPANKRTRANNGGPMPPAKAGRLTNNAYVSSFPAAPTYVRSPSHHTYPAAASPYGQVGNGAYGSRSPPAIRDPYGYPAEEMSPHARGAPYLPAPTSYPSPPMTYPTYGGYSNGLAPAYQQGYYR >DRNTG_15282.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4566331:4566836:1 gene:DRNTG_15282 transcript:DRNTG_15282.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALWKLPIVFVVENNLWAIGMSHLRAASDPEIWKKGPPFGMPGVHVDGMDVLKVREVAKEAIERARRGEGPSLVECETYRFRGHSLAYHVELHRPGERFSLLLNFFLFNFF >DRNTG_15282.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4566331:4571558:1 gene:DRNTG_15282 transcript:DRNTG_15282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALWKLPIVFVVENNLWAIGMSHLRAASDPEIWKKGPPFGMPGVHVDGMDVLKVREVAKEAIERARRGEGPSLVECETYRFRGHSLAYHVELHRPDEKAHYVARDPILGLKKYNLEHNLATESELKTIESKIDDVIEDAVEFADASPVPPRSQLLENVFADPKGFGIGPDEKYRCEDPGFTQGTAQV >DRNTG_20700.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17313271:17316113:-1 gene:DRNTG_20700 transcript:DRNTG_20700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYCAPVQRHQKTQQVGLTGSNQTANSSDIATISEAYSKMLTIFIPLLVDESFFFAHFMCFEVPALMPTVKIGSDGNQTNDDNMSLMAAYGSDVSPNNSSSELGTLNEALQELLDGIQEHFYAVVDWAYKIDPLLCISMHGITEHYLSGQKADAAGFVSQLLDDLESRISMQFGRFVEEACHQIERNELNV >DRNTG_20700.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17313271:17315739:-1 gene:DRNTG_20700 transcript:DRNTG_20700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYCAPVQRHQKTQQVGLTGSNQTANSSDIATISEAYSKMLTIFIPLLVDESFFFAHFMCFEVPALMPTVKIGSDGNQTNDDNMSLMAAYGSDVSPNNSSSELGTLNEALQELLDGIQEHFYAVVDWAYKIDPLLCISMHGITEHYLSGQKADAAGFVSQLLDDLESRISMQFGRFVEEACHQIERNELNV >DRNTG_20700.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17311990:17316113:-1 gene:DRNTG_20700 transcript:DRNTG_20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCAPVQRHQKTQQVGLTGSNQTANSSDIATISEAYSKMLTIFIPLLVDESFFFAHFMCFEVPALMPTVKIGSDGNQTNDDNMSLMAAYGSDVSPNNSSSELGTLNEALQELLDGIQEHFYAVVDWAYKIDPLLCISMHGITEHYLSGQKADAAGFVSQLLDDLESRISMQFGRFVEEACHQIERNELNV >DRNTG_20700.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17312309:17316113:-1 gene:DRNTG_20700 transcript:DRNTG_20700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYCAPVQRHQKTQQVGLTGSNQTANSSDIATISEAYSKMLTIFIPLLVDESFFFAHFMCFEVPALMPTVKIGSDGNQTNDDNMSLMAAYGSDVSPNNSSSELGTLNEALQELLDGIQEHFYAVVDWAYKIDPLLCISMHGITEHYLSGQKADAAGFVSQLLDDLESRISMQFGRFVEEACHQIERNELNV >DRNTG_10433.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22788231:22789372:-1 gene:DRNTG_10433 transcript:DRNTG_10433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFDSTPMGRILTRASSDMSVMDFQVPYSLVFTLVSAIEVIGTVTVMATVTWQVLIIAIPITFAAGQVQTYYLASARKLVRINGTTKAPLTNYAAETSNGVVTIKAFSMIQWFIENNLKLIDTDATMFFHTIA >DRNTG_13449.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19250922:19253289:-1 gene:DRNTG_13449 transcript:DRNTG_13449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTKKFVKPGNIASYLRTQRKLCRSQAHVNVVHTQDHALEEATQTNHDQEHEVQMEQEMRIGLLQEDLDHIDSENQREINQNSTMEIALPPLIVARVATEDAITSSTASNRVATS >DRNTG_14938.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27093626:27095101:1 gene:DRNTG_14938 transcript:DRNTG_14938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDNSLKHNSSDVGWEFAVLADPTNPDKLKCKFCMKVVSGGIYRMKQHIANIKGNISSCSMCTEEAKAKCKAAIEEAKSKKQNKTRHELEKREEVYITPIDEEDYEDVEVGGSRKRPRVRGPMDRFTSSINHQASLGVSIDKSLN >DRNTG_27522.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21104379:21107914:1 gene:DRNTG_27522 transcript:DRNTG_27522.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g31400, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31400) UniProtKB/Swiss-Prot;Acc:Q9SIC9] MPPPMASSTPTRYYIPSSKPSHPPSQAPLSKPSKPSPKLISSSSSPCPSPSLSSSTSASSSHGRSPVLGPEFRRSRSTRVISKRNTTSPKPPNLTSRPASLASRDCLAAITRSSDATTFNTLRSFHPRLSIPEDYCSILHELGDREKSPFKATEIYNFSMPLMRNLTEKGKLLTAAISSFGKMGSHDLARNVFDKGLSDGYGNTVYAFSALISAYARNGMSSEALVVFETMKGRGLRPNNVSYNAVIDACGKGGVDPIISMALFREMLGVGLLPDRKTFNSLLAGFSRVGHLDNARMLFDEMIFSGIGPDIYTYNTFIDAVCKCGNMELAVQVVSEMPAKGVHPNVVTYSTVIDGYSKLGRFDEALNLYEEMRSRGIQLDRVCYNTLLSIYVKTGKYEEIAKVCNEMEVMGIEKDTVTYNSLINGYGKQGKFDVVSRLIQEMRERKVPPSVLTYSTLIDIYSKAGMYGDAANMFLEFRESGLKADVVLYSSFIDTLSKNGLVEFAVWLLNEMVKMGIKPNVVTYNAVIDAFGKSRISVENDGVQQDSDNPESCGGQIVKAFSQLARGIGRPVADETKKSEELLCILDLFQKMIEQGVKPNVVTFSAILNACSRCNSFEDAKLLLEQLRLFDDFVYGVTHGLLMGCNDVWIQARSLFDELRRMDQPTSSAFYNALTDVLWHFGQRLGAQKVVLEGVHRQVWENTCSEFSLDLHLMSSGAAQAMVHAWLLSIRSVVFAGRKLPEFVSILTGWGKHSKIVGASTLRRVIEALLNTIGAPFRLERYNIGRFVSPGAVVSAWLMESGTINILLLHDNRSSEPSIPANLLPRLQALQL >DRNTG_09024.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31260319:31263199:1 gene:DRNTG_09024 transcript:DRNTG_09024.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 43 [Source:Projected from Arabidopsis thaliana (AT3G46980) UniProtKB/TrEMBL;Acc:A0A1I9LP04] MLSFSCPRSTPWWPSPLCSLPSACSQLSTPLISCRKDQNLHESCCQLRAPCPVRASSNDASESLFPQSELQSPSFLEFITSERVKVVSMLGLALALCNADRVVMSVAIVPLSLAHSWTQSFSGIVQSSFLWGYLMSPIVGGALVDYYGGKLVMAWGVTLWSMATFLTPWAAETSLWALLAMRILLGAAEGVALPSMNNMISRWFPKTERSRAVGIGMAGFHLGSAIGLLISPIIMARAGIFGPFVIFGLFGFLWVLVWLSATSSSPDRHPQISNYELHYIINNRTTPLPQEKEFGKSRVIPPFKKLLSKMPTWALISANAMHSWGYFVMLSWMPIYFNTIFRVDLRQAAWFSALPWAMMAILGYAAGTISDMLIRSGVTVTYTRKVMQSIGFVGPGIALLGLNVAKDPSVASAWLTAAVGLSSFSHSGFLVNLQEIAPNFAGVLHGMSNTAGTLAAIVGTIGAGFFVEKMGSFQGFLVLTSLLYMACALFWNLFATGEQVNFDNEINT >DRNTG_09024.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31260319:31263199:1 gene:DRNTG_09024 transcript:DRNTG_09024.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 43 [Source:Projected from Arabidopsis thaliana (AT3G46980) UniProtKB/TrEMBL;Acc:A0A1I9LP04] MAWGVTLWSMATFLTPWAAETSLWALLAMRILLGAAEGVALPSMNNMISRWFPKTERSRAVGIGMAGFHLGSAIGLLISPIIMARAGIFGPFVIFGLFGFLWVLVWLSATSSSPDRHPQISNYELHYIINNRTTPLPQEKEFGKSRVIPPFKKLLSKMPTWALISANAMHSWGYFVMLSWMPIYFNTIFRVDLRQAAWFSALPWAMMAILGYAAGTISDMLIRSGVTVTYTRKVMQSIGFVGPGIALLGLNVAKDPSVASAWLTAAVGLSSFSHSGFLVNLQEIAPNFAGVLHGMSNTAGTLAAIVGTIGAGFFVEKMGSFQGFLVLTSLLYMACALFWNLFATGEQVNFDNEINT >DRNTG_15449.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:307695:310313:1 gene:DRNTG_15449 transcript:DRNTG_15449.12 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSSSHPPPLSGKPSSVLPHKTPNIRDHYRVGKKLGQGQFGTTYLCVDKSDGKEYACKSIPKRKLLCREDYEDVWREIQIMHHLSEHANVVRIRGAYEDALFVHLVMELCAGGELFDRIIQKGHFSEREAAQLIKTIVGVVESCHSLGVMHRDLKPENFLFLSADDDAVLKATDFGLSVFYKPGDTFSDVVGSPYYVAPEVLRKFYGPEADIWSAGVILYILLSGVPPFWAETEAGIFRQILKGHLDFKSEPWPGISESAKDLISKMLNRDPKKRFTAHEVLCHPWITDDGVAP >DRNTG_15449.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:305919:310313:1 gene:DRNTG_15449 transcript:DRNTG_15449.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSSSHPPPLSGKPSSVLPHKTPNIRDHYRVGKKLGQGQFGTTYLCVDKSDGKEYACKSIPKRKLLCREDYEDVWREIQIMHHLSEHANVVRIRGAYEDALFVHLVMELCAGGELFDRIIQKGHFSEREAAQLIKTIVGVVESCHSLGVMHRDLKPENFLFLSADDDAVLKATDFGLSVFYKPGDTFSDVVGSPYYVAPEVLRKFYGPEADIWSAGVILYILLSGVPPFWAETEAGIFRQILKGHLDFKSEPWPGISESAKDLISKMLNRDPKKRFTAHEVLCHPWITDDGVAP >DRNTG_15449.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:305919:310313:1 gene:DRNTG_15449 transcript:DRNTG_15449.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSSSHPPPLSGKPSSVLPHKTPNIRDHYRVGKKLGQGQFGTTYLCVDKSDGKEYACKSIPKRKLLCREDYEDVWREIQIMHHLSEHANVVRIRGAYEDALFVHLVMELCAGGELFDRIIQKGHFSEREAAQLIKTIVGVVESCHSLGVMHRDLKPENFLFLSADDDAVLKATDFGLSVFYKPGDTFSDVVGSPYYVAPEVLRKFYGPEADIWSAGVILYILLSGVPPFWAETEAGIFRQILKGHLDFKSEPWPGISESAKDLISKMLNRDPKKRFTAHEVLCHPWITDDGVAP >DRNTG_15449.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:305919:310313:1 gene:DRNTG_15449 transcript:DRNTG_15449.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTMSLNLCFYSNSPTITPPRSNLYTFPKPHRIKCLDRSQEKAPQNKSKLRLQNILSTAASLYPVYVTAGGALACVKPSAFSWFVHLGPTSYSLSLAFIMLAMGLTLELKDLLGLFKQKPLSILFGCVAQYTIMPVFGAIVSRTLGLSPSLSVGLILLACCPGGTASNVVTLIAQGDVPLSIVMTVCTTLAAVVATPLLTKLLAGTYVPVDALKLSLSTLQVVVAPVLLGSYMQSKFPSFVKAILPFAPLLAVLTSSLLASSVFSENFVRLKSSLAGDLGTVILSVLLLHFAGFFIGYLVSLIAGFGERQRRAISIEVGMQNSSLGVVLATSHFSSPLVALPAALSAILMNIMGSTLGFIWRYIDPSDTKENQNSIVNNY >DRNTG_15449.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:307695:310313:1 gene:DRNTG_15449 transcript:DRNTG_15449.13 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSSSHPPPLSGKPSSVLPHKTPNIRDHYRVGKKLGQGQFGTTYLCVDKSDGKEYACKSIPKRKLLCREDYEDVWREIQIMHHLSEHANVVRIRGAYEDALFVHLVMELCAGGELFDRIIQKGHFSEREAAQLIKTIVGVVESCHSLGVMHRDLKPENFLFLSADDDAVLKATDFGLSVFYKPGLSSSSSSSSSSNVVCSELIQIEGIQWNLQ >DRNTG_15449.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:305919:310313:1 gene:DRNTG_15449 transcript:DRNTG_15449.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSSSHPPPLSGKPSSVLPHKTPNIRDHYRVGKKLGQGQFGTTYLCVDKSDGKEYACKSIPKRKLLCREDYEDVWREIQIMHHLSEHANVVRIRGAYEDALFVHLVMELCAGGELFDRIIQKGHFSEREAAQLIKTIVGVVESCHSLGVMHRDLKPENFLFLSADDDAVLKATDFGLSVFYKPGDTFSDVVGSPYYVAPEVLRKFYGPEADIWSAGVILYILLSGVPPFWAETEAGIFRQILKGHLDFKSEPWPGISESAKDLISKMLNRDPKKRFTAHEVLCHPWITDDGVAP >DRNTG_15449.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:305919:310313:1 gene:DRNTG_15449 transcript:DRNTG_15449.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFTMSLNLCFYSNSPTITPPRSNLYTFPKPHRIKCLDRSQEKAPQNKSKLRLQNILSTAASLYPVYVTAGGALACVKPSAFSWFVHLGPTSYSLSLAFIMLAMGLTLELKDLLGLFKQKPLSILFGCVAQYTIMPVFGAIVSRTLGLSPSLSVGLILLACCPGGTASNVVKLCRNLASFLKLSLDLHWFILNSNAGDFDSSRRCSFIHSDDSLHYSCCSGCHSSFN >DRNTG_15449.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:305859:310313:1 gene:DRNTG_15449 transcript:DRNTG_15449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSSSHPPPLSGKPSSVLPHKTPNIRDHYRVGKKLGQGQFGTTYLCVDKSDGKEYACKSIPKRKLLCREDYEDVWREIQIMHHLSEHANVVRIRGAYEDALFVHLVMELCAGGELFDRIIQKGHFSEREAAQLIKTIVGVVESCHSLGVMHRDLKPENFLFLSADDDAVLKATDFGLSVFYKPGDTFSDVVGSPYYVAPEVLRKFYGPEADIWSAGVILYILLSGVPPFWAETEAGIFRQILKGHLDFKSEPWPGISESAKDLISKMLNRDPKKRFTAHEVLCHPWITDDGVAP >DRNTG_15449.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:307695:310404:1 gene:DRNTG_15449 transcript:DRNTG_15449.10 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSSSHPPPLSGKPSSVLPHKTPNIRDHYRVGKKLGQGQFGTTYLCVDKSDGKEYACKSIPKRKLLCREDYEDVWREIQIMHHLSEHANVVRIRGAYEDALFVHLVMELCAGGELFDRIIQKGHFSEREAAQLIKTIVGVVESCHSLGVMHRDLKPENFLFLSADDDAVLKATDFGLSVFYKPGLSSSSSSSSSSNVVCSELIQIEGIQWNLQ >DRNTG_15449.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:307654:310313:1 gene:DRNTG_15449 transcript:DRNTG_15449.11 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSSSHPPPLSGKPSSVLPHKTPNIRDHYRVGKKLGQGQFGTTYLCVDKSDGKEYACKSIPKRKLLCREDYEDVWREIQIMHHLSEHANVVRIRGAYEDALFVHLVMELCAGGELFDRIIQKGHFSEREAAQLIKTIVGVVESCHSLGVMHRDLKPENFLFLSADDDAVLKATDFGLSVFYKPGDTFSDVVGSPYYVAPEVLRKFYGPEADIWSAGVILYILLSGVPPFWAETEAGIFRQILKGHLDFKSEPWPGISESAKDLISKMLNRDPKKRFTAHEVLCHPWITDDGVAP >DRNTG_15449.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:305919:310313:1 gene:DRNTG_15449 transcript:DRNTG_15449.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSSSHPPPLSGKPSSVLPHKTPNIRDHYRVGKKLGQGQFGTTYLCVDKSDGKEYACKSIPKRKLLCREDYEDVWREIQIMHHLSEHANVVRIRGAYEDALFVHLVMELCAGGELFDRIIQKGHFSEREAAQLIKTIVGVVESCHSLGVMHRDLKPENFLFLSADDDAVLKATDFGLSVFYKPGDTFSDVVGSPYYVAPEVLRKFYGPEADIWSAGVILYILLSGVPPFWAETEAGIFRQILKGHLDFKSEPWPGISESAKDLISKMLNRDPKKRFTAHEVLCHPWITDDGVAP >DRNTG_15449.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:307695:310404:1 gene:DRNTG_15449 transcript:DRNTG_15449.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSSSHPPPLSGKPSSVLPHKTPNIRDHYRVGKKLGQGQFGTTYLCVDKSDGKEYACKSIPKRKLLCREDYEDVWREIQIMHHLSEHANVVRIRGAYEDALFVHLVMELCAGGELFDRIIQKGHFSEREAAQLIKTIVGVVESCHSLGVMHRDLKPENFLFLSADDDAVLKATDFGLSVFYKPGDTFSDVVGSPYYVAPEVLRKFYGPEADIWSAGVILYILLSGVPPFWAETEAGIFRQILKGHLDFKSEPWPGISESAKDLISKMLNRDPKKRFTAHEVLCHPWITDDGVAP >DRNTG_15449.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:305919:310313:1 gene:DRNTG_15449 transcript:DRNTG_15449.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSSSHPPPLSGKPSSVLPHKTPNIRDHYRVGKKLGQGQFGTTYLCVDKSDGKEYACKSIPKRKLLCREDYEDVWREIQIMHHLSEHANVVRIRGAYEDALFVHLVMELCAGGELFDRIIQKGHFSEREAAQLIKTIVGVVESCHSLGVMHRDLKPENFLFLSADDDAVLKATDFGLSVFYKPGDTFSDVVGSPYYVAPEVLRKFYGPEADIWSAGVILYILLSGVPPFWAETEAGIFRQILKGHLDFKSEPWPGISESAKDLISKMLNRDPKKRFTAHEVLCKPCNQT >DRNTG_04199.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7144694:7146129:-1 gene:DRNTG_04199 transcript:DRNTG_04199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFERRQQLGSSMEAPATEESTLHWHLTNNLRDPIVSFSSWDLLNPTS >DRNTG_20100.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:328634:330347:1 gene:DRNTG_20100 transcript:DRNTG_20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKDVESTLPPGFRFHPSDEELVFHYLYKKVANERVPAGRTLVEVDLHTREPWELPEVAKLTANEWYFFSFRDRKYATGSRTNRATRSGYWKATGKDRIIHDPATHAIVGMRKTLVFYRGRAPNGLKSGWVMHEFRLENPHTPPKEDWVLCRVFHKRKGESVNHKNFENSDQHGNIIDCSSPQMDASSAPPPPLMDHAILDGCLDLVSSSFTNAAIHPQGISSNPFHNLAVLHCDLAVDFPHEIDTSQMMEMGSRSGDDCRFFFDDTGAEDDHDMGCVPSVAKDKPFFFS >DRNTG_20100.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:328634:330347:1 gene:DRNTG_20100 transcript:DRNTG_20100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFNSMVTEVAKLTANEWYFFSFRDRKYATGSRTNRATRSGYWKATGKDRIIHDPATHAIVGMRKTLVFYRGRAPNGLKSGWVMHEFRLENPHTPPKEDWVLCRVFHKRKGESVNHKNFENSDQHGNIIDCSSPQMDASSAPPPPLMDHAILDGCLDLVSSSFTNAAIHPQGISSNPFHNLAVLHCDLAVDFPHEIDTSQMMEMGSRSGDDCRFFFDDTGAEDDHDMGCVPSVAKDKPFFFS >DRNTG_10023.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2992062:2997246:1 gene:DRNTG_10023 transcript:DRNTG_10023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSGGASRSLGHALSSRSSPPVHDDEPSVVVDDAQRSFWASMPPELLRDVLMRIEAEASSWPARQFVVACAGVCKSWRGVVKEIVMTPEVSGRLTLPISVKQPGPRNFPLQCFIRRNRTTRTYHLYLGLTQALTDSGKFLLAARKYRRPTCTEYIISLNADDMSKGSGTYIGKLRSNFFGTRFVVYDAQPPHSGVTISRSRPTRLVGSKQIAPRVPAGNYPVVHIAYELNVLGSRGPRRIQCIMNSIPASAIEPGGTAATPTELSFGNLDSLPSMPSSGSKSSWTENVSGSLFAKDEMLVLKNKSPRWHDQLQCWCLNFRGRVTVASVKNFQLVASDSAGQEQDMVLLQFGKVGKDLFTMDYQYPISAFQAFGICLSSFDTKLACE >DRNTG_14257.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1004866:1008544:1 gene:DRNTG_14257 transcript:DRNTG_14257.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein BEL1 homolog [Source:Projected from Arabidopsis thaliana (AT5G41410) UniProtKB/Swiss-Prot;Acc:Q38897] MAQEARYYTEFTGENPASVTQGFDQGPELFNLQAGMEMLGIPSKNHRGGHQSQNPSLWRGFNTDDDDDTTNGNNTHHNDIIVTHDGNPWQQQQQQQHAGRLIVDDSSLRCLFPPSGVNSDQHHHHHHQQQQLAGLSLFPADTLFAKSLTTNHHHHQQQQQQQQQMVIQDGRFYTTYIPSPSAPQSQSMQLRSSKYLTPAQELLNEFCNLGSSSSNNNNNNKIAKEKQQRSSQWEEGGTSSSSNTFTQSLLSMDLLELQKRKSRLLSMLEEVDRRYRKYCEQMRAVVSSFEAVAGDGAATVYSTLASKAMSRHFRCLRDGIVAQIKATRKAMGEKDPVAPGTTKGETPRLRLLDQCLRQQKAFQQAGMMESHPWRPQRGLPERSVSVLRAWLFEHFLHPYPSDVDKHILARQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEVKEQENNSEDTANPNPNPNPNQLMHSDQDQKPILNDSDSLSSIINNSHQKNHHHQIQTTDNFGVVDLDFPSYNECSAAHQNFGNGVSLTLGLQQHSGGGMSLSFSPASQHSLFFSRENLDDCQPVQFSILDGDQAQNLPYRNLMGAQLLHDLAG >DRNTG_14257.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1004866:1008544:1 gene:DRNTG_14257 transcript:DRNTG_14257.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein BEL1 homolog [Source:Projected from Arabidopsis thaliana (AT5G41410) UniProtKB/Swiss-Prot;Acc:Q38897] MHENMLICDCVGLIELKELLYIYIERERVFSLFVMAQEARYYTEFTGENPASVTQGFDQGPELFNLQAGMEMLGIPSKNHRGGHQSQNPSLWRGFNTDDDDDTTNGNNTHHNDIIVTHDGNPWQQQQQQQHAGRLIVDDSSLRCLFPPSGVNSDQHHHHHHQQQQLAGLSLFPADTLFAKSLTTNHHHHQQQQQQQQQMVIQDGRFYTTYIPSPSAPQSQSMQLRSSKYLTPAQELLNEFCNLGSSSSNNNNNNKIAKEKQQRSSQWEEGGTSSSSNTFTQSLLSMDLLELQKRKSRLLSMLEEVDRRYRKYCEQMRAVVSSFEAVAGDGAATVYSTLASKAMSRHFRCLRDGIVAQIKATRKAMGEKDPVAPGTTKGETPRLRLLDQCLRQQKAFQQAGMMESHPWRPQRGLPERSVSVLRAWLFEHFLHPYPSDVDKHILARQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEVKEQENNSEDTANPNPNPNPNQLMHSDQDQKPILNDSDSLSSIINNSHQKNHHHQIQTTDNFGVVDLDFPSYNECSAAHQNFGNGVSLTLGLQQHSGGGMSLSFSPASQHSLFFSRENLDDCQPVQFSILDGDQAQNLPYRNLMGAQLLHDLAG >DRNTG_32174.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30879169:30880943:-1 gene:DRNTG_32174 transcript:DRNTG_32174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMMRNEMDNEVMLPGFRFHPTDEELVGFYLKRKIQQKPLSIELIRQLDIYKYDPWDLPKFASTGEKEWYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRPIYSSEGSTKCIGLKKSLVFYRGRAAKGIKTDWMMHEFRLPSLIDTKKPLDKNIHPNDSWAICRIFKKTSSMAQRALQSHAWASPSSSSASPSQENIESHDMFSTPQQNTTQFMLQKCATADDHYNYPPLDVSTYRAINLMNVSCKPSLIPVNYSPVVDHEAAVNQPTNFIFSSVPSQAQTFPLKQDNAVDVIASMLEDEEGKSFACIDFAHPEQTNGFMLDLPPLEMNGSQFSNIYNGNNNHGPSFPFSLTANLSDDHWIPCSPDKQYS >DRNTG_22065.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20381707:20385180:-1 gene:DRNTG_22065 transcript:DRNTG_22065.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQHQQAAAHPMPAIPPANITTEQIQKYLDENKQLILAILENQNLGKLAECAQYQNQLQKNLLYLAAIADAQPQASAVRPPQMMPHGAVPPGGHYMQQAPIFPTKPPLQFNHNPQQVMQEQQQQMHQLHHPSQVLPFPGHMGMRPGLMNGMHPMHPEATLGGGSTNDLQPSAMADYPRGISNAPSSSIDARGNKQDAVGTASDATGAADGHRTTSMDRGSGDGEPTQSKRPEDNKTP >DRNTG_22065.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20381707:20385180:-1 gene:DRNTG_22065 transcript:DRNTG_22065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQHQQAAAHPMPAIPPANITTEQIQKYLDENKQLILAILENQNLGKLAECAQYQNQLQKNLLYLAAIADAQPQASAVRPPMMPHGAVPPGGHYMQQAPIFPTKPPLQFNHNPQQVMQEQQQQMHQLHHPSQVLPFPGHMGMRPGLMNGMHPMHPEATLGGGSTNDLQPSAMADYPRGISNAPSSSIDARGNKQDAVGTASDATGAADGHRTTSMDRGSGDGEPTQSKRPEDNKTP >DRNTG_22484.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2620078:2623116:-1 gene:DRNTG_22484 transcript:DRNTG_22484.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDVVGSMCSFSNSLSSSWIRVPFHGRSMWRVCFRKEGNLNGCKGLSFEKQYGSLIKPVVNAELNGLLQLPSEDNAGNSDFGVDSGCSGDHSFDVDDMERLRRRKISEANKGKVPWNKGRKHSAETIRRIRERTKIAMQDPKVMSC >DRNTG_22484.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2620078:2621950:-1 gene:DRNTG_22484 transcript:DRNTG_22484.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKMKLTNLGHPQSEETRVKIGIGIRQGWQRRRRRFQVQEKCFFEWQNIIAESSRIGCVGEQEVQWNSYEILKEQLNQEWLKSIEKRKTRPKGSRRAPKSLEQRRKISLAIAAKWADQGYRERVCLALARYHGKSIGALTKPRKNSSGGIQSQGEGRKTKKAMKLTEKVDNVTTETKGVTFRNTKNTPLSYTDLLSDFKLAFIKQIKVKREAMENQKKHALARAKLLITEAEKAADALELFAEKSPSAFAALMEARKMVAEAKRTIGSVDIRELNTQANGHDAENLLSNENLYEFSSFDNSLREPENAGQIADAVNDLRFKAAPAKNTKKWVQGKLVEVQED >DRNTG_22484.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2620078:2623116:-1 gene:DRNTG_22484 transcript:DRNTG_22484.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDVVGSMCSFSNSLSSSWIRVPFHGRSMWRVCFRKEGNLNGCKGLSFEKQYGSLIKPVVNAELNGLLQLPSEDNAGNSDFGVDSGCSGDHSFDVDDMERLRRRKISEANKGKVPWNKGRKHSAETIRRIRERTKIAMQDPKVMSC >DRNTG_22484.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2620078:2623116:-1 gene:DRNTG_22484 transcript:DRNTG_22484.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDVVGSMCSFSNSLSSSWIRVPFHGRSMWRVCFRKEGNLNGCKGLSFEKQYGSLIKPVVNAELNGLLQLPSEDNAGNSDFGVDSGCSGDHSFDVDDMERLRRRKISEANKGKVPWNKGRKHSAETIRRIRERTKIAMQDPKVMSC >DRNTG_22484.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2620078:2623116:-1 gene:DRNTG_22484 transcript:DRNTG_22484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFSNSLSSSWIRVPFHGRSMWRVCFRKEGNLNGCKGLSFEKQYGSLIKPVVNAELNGLLQLPSEDNAGNSDFGVDSGCSGDHSFDVDDMERLRRRKISEANKGKVPWNKGRKHSAETIRRIRERTKIAMQDPKVMSC >DRNTG_07976.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2268570:2270870:1 gene:DRNTG_07976 transcript:DRNTG_07976.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSINTEMLQSSSFPTRFSFRGKNKGKTEI >DRNTG_07976.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2268607:2270870:1 gene:DRNTG_07976 transcript:DRNTG_07976.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSINTEMLQSSSFPTRFSFRGKNKGKTEI >DRNTG_32283.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001817.1:6923:7387:-1 gene:DRNTG_32283 transcript:DRNTG_32283.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSKKQAEKRPHEVDDLVSVGDWRQLLSTREPAIRELLTQITELQAIQTTQYTEMVARFDVLYQLLISRSPSPSVSPIPPPPAPFDQAPTSAAEEPERGTDT >DRNTG_22927.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9836096:9839912:-1 gene:DRNTG_22927 transcript:DRNTG_22927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLMARVQSSWCLHALIQECARRWCSTSNLGEAFTVRNIANIVPPYDKTKYAGAGAAVEYAVLNLKVENIVVIGHSRCGGIKGLMSIKDDGSTTTDFIEEWMKIGWPAREKVLASFTDLPFLDQCTLCEKEAVNVSIENLKTYPFVKEGLEKGTLALNGAHYDFVTGNFETWDA >DRNTG_10430.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22774041:22775252:-1 gene:DRNTG_10430 transcript:DRNTG_10430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILVGVCALLRTIAVVSLPLLVRAFVCLSSSEGKDTYQCVLLVVCLIFIKLVESFSQRHWFFNSRRYGMRMRSALMAAVFEKQFKLSSTARRRHSMGQIVNYIAVDAYRLGELLWWFNMAWSLPLQLLLGIAVLFSSVGIGLLPGLVPLVLFGLANIPFAKRLQICQSCVVNAQDERIRATTEILNNMKIIKLQSWEDKFKNVIDSIREVEFKWMKESHIKKAYGSAFYWMSPTFISSLVFVGCSLMKSAPLEASTIFTVLSTLRVMAEPTKMFAEVISMIIQAKISMDRLNAFLQEDECKQGDVIRIPAAKSDTSIRIHGDFSWEANATALTLEKINLFVNRGEKVAVCGPVGCGKSSLLLAIIGEIPKTSGL >DRNTG_10430.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22770943:22775252:-1 gene:DRNTG_10430 transcript:DRNTG_10430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILVGVCALLRTIAVVSLPLLVRAFVCLSSSEGKDTYQCVLLVVCLIFIKLVESFSQRHWFFNSRRYGMRMRSALMAAVFEKQFKLSSTARRRHSMGQIVNYIAVDAYRLGELLWWFNMAWSLPLQLLLGIAVLFSSVGIGLLPGLVPLVLFGLANIPFAKRLQICQSCVVNAQDERIRATTEILNNMKIIKLQSWEDKFKNVIDSIREVEFKWMKESHIKKAYGSAFYWMSPTFISSLVFVGCSLMKSAPLEASTIFTVLSTLRVMAEPTKMFAEVISMIIQAKISMDRLNAFLQEDECKQGDVIRIPAAKSDTSIRIHGDFSWEANATALTLEKINLFVNRGEKVAVCGPVGCGKSSLLLAIIGEIPKTSGLVEICGSIAYVSQTSWIQSGSIRDNILFGKAMDNNKYQMTIKACALDKDIGDFEYGDLTEIGQRGLNLSGGQKQRVQLARAVYSDADIYLLDDPFSAVDSHTASYLFEKCINGTLEKKTVILVTHQIDFLTETNKIMVMKDGKITQSGRYEELLKSGTVFEQLVNAHQSAMEELNSGNNVQREKPKETHSDLSNEKIHSMSKKALPKVQLTEKEQIETGNSRWKPYLDYVLFPKGSLLLGMVVICQSSFIVLQAISTFWLAFATRLPKISDSMLIGIYSVFSILSAFSAFMRSLLGAHLGLKASKAFFSGLLESIFKAPMQFFDSTPMGRILTRASSDMSVIDFQVPYSLVFTLVSVIEVIGTVSVMATVTWQVLIIAIPISFVARHVQAHYLASARKLVRINGTTKAPITNYAAETSNGVITIKAFSMKEWFIENNLKLIDTDATMFFHTIAAMEWVLLRVEALQNLTIFTSAILLVLLPQGTITPGLVGLALSYALTLTTSQVHLTRWYCNLDNHIISVERIIQYMRIPSEPPAIIESNRPPFSWPSKGRIDLQDLQIKYRPNAPLVLKGITCTFQAGHKIGVVGRTGSGKTTLINALFRLVHPAEGRILIDGLDICTIGLKDLRMKLSIIPQEPTLFKGSIRNNLDPLGQYDDQKLWEAIRKCQLEPVIRSLPDLLDSSICDDGENWSVGQRQLFCLGRVLLRRNKILVLDEATASIDSATDNIIQQIIKQEFSSCTVITIAHRVPTVIDSQMVMLLSYGMPSLNPSDVYYNHCFS >DRNTG_10430.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22770527:22775252:-1 gene:DRNTG_10430 transcript:DRNTG_10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVGVCALLRTIAVVSLPLLVRAFVCLSSSEGKDTYQCVLLVVCLIFIKLVESFSQRHWFFNSRRYGMRMRSALMAAVFEKQFKLSSTARRRHSMGQIVNYIAVDAYRLGELLWWFNMAWSLPLQLLLGIAVLFSSVGIGLLPGLVPLVLFGLANIPFAKRLQICQSCVVNAQDERIRATTEILNNMKIIKLQSWEDKFKNVIDSIREVEFKWMKESHIKKAYGSAFYWMSPTFISSLVFVGCSLMKSAPLEASTIFTVLSTLRVMAEPTKMFAEVISMIIQAKISMDRLNAFLQEDECKQGDVIRIPAAKSDTSIRIHGDFSWEANATALTLEKINLFVNRGEKVAVCGPVGCGKSSLLLAIIGEIPKTSGLVEICGSIAYVSQTSWIQSGSIRDNILFGKAMDNNKYQMTIKACALDKDIGDFEYGDLTEIGQRGLNLSGGQKQRVQLARAVYSDADIYLLDDPFSAVDSHTASYLFEKCINGTLEKKTVILVTHQIDFLTETNKIMVMKDGKITQSGRYEELLKSGTVFEQLVNAHQSAMEELNSGNNVQREKPKETHSDLSNEKIHSMSKKALPKVQLTEKEQIETGNSRWKPYLDYVLFPKGSLLLGMVVICQSSFIVLQAISTFWLAFATRLPKISDSMLIGIYSVFSILSAFSAFMRSLLGAHLGLKASKAFFSGLLESIFKAPMQFFDSTPMGRILTRASSDMSVIDFQVPYSLVFTLVSVIEVIGTVSVMATVTWQVLIIAIPISFVARHVQAHYLASARKLVRINGTTKAPITNYAAETSNGVITIKAFSMKEWFIENNLKLIDTDATMFFHTIAAMEWVLLRVEALQNLTIFTSAILLVLLPQGTITPGLVGLALSYALTLTTSQVHLTRWYCNLDNHIISVERIIQYMRIPSEPPAIIESNRPPFSWPSKGRIDLQDLQIKYRPNAPLVLKGITCTFQAGHKIGVVGRTGSGKTTLINALFRLVHPAEGRILIDGLDICTIGLKDLRMKLSIIPQEPTLFKGSIRNNLDPLGQYDDQKLWEAIRKCQLEPVIRSLPDLLDSSICDDGENWSVGQRQLFCLGRVLLRRNKILVLDEATASIDSATDNIIQQIIKQEFSSCTVITIAHRVPTVIDSQMVMLLSYGEVVEYDKPSNLMQYNSYFSKLVAEYWSNHKRKFS >DRNTG_04089.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5437285:5437908:-1 gene:DRNTG_04089 transcript:DRNTG_04089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAAPAQTKAAPNQAATATGRGRPYRGVRMRKWGKWVAEIREPNKRSRIWLGSYATAVAAARAYDTAVFHLRGRSARLNFPDEIEADGADLDAEPLPAAAIRKKAMEVGARVDAAQTELVLNHHQSKNKNPDLNLRPSPETSDED >DRNTG_15940.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000790.1:18798:24360:1 gene:DRNTG_15940 transcript:DRNTG_15940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESLITITTDIVKHAWSPISRHLGYLICYTSKIEKLESIFNKLDDLQKDVQERVNAARRERLEEATREVRTWLCNVNNMKGEVQKIKLEASSTLTSNNCFQHIKLRYKLGREAAHHIKNTDDLIIEGKFDSVSHKRPPPSTTDSLLYNEDFVIFDSRKSRVKKILEALKDDAVHSIGLWGMGGVGKTMLVKDVAKQAKEQSLFGEVMMVTVSQNIDLKRIQTVMAESLGLHLSDESVEVRAVKLADKLETTDNKVLVILDDLWETLDLSMVRIPRSLMGTTCKVVITTRNKNVCGGMKCREIIELKTLSDEESWILFKNRAGDAVESPTIRKLAQKVAKECAGLPLALVVLGTTLKDKSSLTWDTVLNQLKGSKEVYLQGVSKQVYQSIKLSFDFLEIEAAKSCFLHCCVYPEDWEIPKEELMHMMAGGGLLADVETLNEAQSRVDLLLDQLKACGLLLQGRDERYVRMHDVVRDVAIHIGDVADHAFYTRAGQGLTGWPRTTESDMRNCRRLSLMHNDIEDLPPDPMQYPKLEMMILSFNRRLISIPEMFFLHMGSLMILDLSCTDIKSLPKSFSYLTNLRILNLSGCRSLKDISHINGLKMLEILILVGCPVSIVPEAVGCAQSLRFLNLSLVAPVSSCLDIFFSKELPRFHRLEQLFMVKFAGSFQELISLRHLTHLFITKVVDLDDSLSHELVLPDSWPDRLLKFSLCFVEGLPMNFFFGQKSRALRLMGTKPLAVWVKKLLEKTRQLALVEFQKTELISINSDIPPLVFSSLESLDIMSWPKLTKLLDDELSLQEEIPLSQLQHMIISNCPGLTNLIPSRFYQRSMLPGLRDLQLSLLQSLHNVLQPFQCLPNLTTLYIDKCGVRYVVSSEMETVAILADPFPALDNLEINNCKEMIEMISPPASLQVRCFFQRLRQLQIYSCPRLKHLFTYEQAIGMQHLKKLDIRYCAALEAVVISTENKEEASSSTHVADHESYNSPFPNLTDLKLNNLPQLTAFHHPTPPPVEWLHLQSYSIRKCPKLQEPLEERVQSLWARMNEGEDSEEEDSDDL >DRNTG_15940.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000790.1:18798:24360:1 gene:DRNTG_15940 transcript:DRNTG_15940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESLITITTDIVKHAWSPISRHLGYLICYTSKIEKLESIFNKLDDLQKDVQERVNAARRERLEEATREVRTWLCNVNNMKGEVQKIKLEASSTLTSNNCFQHIKLRYKLGREAAHHIKNTDDLIIEGKFDSVSHKRPPPSTTDSLLYNEDFVIFDSRKSRVKKILEALKDDAVHSIGLWGMGGVGKTMLVKDVAKQAKEQSLFGEVMMVTVSQNIDLKRIQTVMAESLGLHLSDESVEVRAVKLADKLETTDNKVLVILDDLWETLDLSMVRIPRSLMGTTCKVVITTRNKNVCGGMKCREIIELKTLSDEESWILFKNRAGDAVESPTIRKLAQKVAKECAGLPLALVVLGTTLKDKSSLTWDTVLNQLKGSKEVYLQGVSKQVYQSIKLSFDFLEIEAAKSCFLHCCVYPEDWEIPKEELMHMMAGGGLLADVETLNEAQSRVDLLLDQLKACGLLLQGRDERYVRMHDVVRDVAIHIGDVADHAFYTRAGQGLTGWPRTTESDMRNCRRLSLMHNDIEDLPPDPMQYPKLEMMILSFNRRLISIPEMFFLHMGSLMILDLSCTDIKSLPKSFSYLTNLRILNLSGCRSLKDISHINGLKMLEILILVGCPVSIVPEAVGCAQSLRFLNLSLVAPVSSCLDIFFSKELPRFHRLEQLFMVKFAGSFQELISLRHLTHLFITKVVDLDDSLSHELVLPDSWPDRLLKFSLCFVEGLPMNFFFGQKSRALRLMGTKPLAVWVKKLLEKTRQLALVEFQKTELISINSDIPPLVFSSLESLDIMSWPKLTKLLDDELSLQEEIPLSQLQHMIISNCPGLTNLIPSRFYQRSMLPGLRDLQLSLLQSLHNVLQPFQCLPNLTTLYIDKCGVRYVVSSEMETVAILADPFPALDNLEINNCKEMIEMISPPASLQVRCFFQRLRQLQIYSCPRLKHLFTYEQAIGMQHLKKLDIRYCAALEAVVISTENKEEASSSTHVADHESYNSPFPNLTDLKLNNLPQLTAFHHPTPPPVEWLHLQSYSIRKCPKLQEPLEERVQSLWARMNEGEDSEEEDSDDL >DRNTG_15940.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000790.1:18798:24360:1 gene:DRNTG_15940 transcript:DRNTG_15940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLITITTDIVKHAWSPISRHLGYLICYTSKIEKLESIFNKLDDLQKDVQERVNAARRERLEEATREVRTWLCNVNNMKGEVQKIKLEASSTLTSNNCFQHIKLRYKLGREAAHHIKNTDDLIIEGKFDSVSHKRPPPSTTDSLLYNEDFVIFDSRKSRVKKILEALKDDAVHSIGLWGMGGVGKTMLVKDVAKQAKEQSLFGEVMMVTVSQNIDLKRIQTVMAESLGLHLSDESVEVRAVKLADKLETTDNKVLVILDDLWETLDLSMVRIPRSLMGTTCKVVITTRNKNVCGGMKCREIIELKTLSDEESWILFKNRAGDAVESPTIRKLAQKVAKECAGLPLALVVLGTTLKDKSSLTWDTVLNQLKGSKEVYLQGVSKQVYQSIKLSFDFLEIEAAKSCFLHCCVYPEDWEIPKEELMHMMAGGGLLADVETLNEAQSRVDLLLDQLKACGLLLQGRDERYVRMHDVVRDVAIHIGDVADHAFYTRAGQGLTGWPRTTESDMRNCRRLSLMHNDIEDLPPDPMQYPKLEMMILSFNRRLISIPEMFFLHMGSLMILDLSCTDIKSLPKSFSYLTNLRILNLSGCRSLKDISHINGLKMLEILILVGCPVSIVPEAVGCAQSLRFLNLSLVAPVSSCLDIFFSKELPRFHRLEQLFMVKFAGSFQELISLRHLTHLFITKVVDLDDSLSHELVLPDSWPDRLLKFSLCFVEGLPMNFFFGQKSRALRLMGTKPLAVWVKKLLEKTRQLALVEFQKTELISINSDIPPLVFSSLESLDIMSWPKLTKLLDDELSLQEEIPLSQLQHMIISNCPGLTNLIPSRFYQRSMLPGLRDLQLSLLQSLHNVLQPFQCLPNLTTLYIDKCGVRYVVSSEMETVAILADPFPALDNLEINNCKEMIEMISPPASLQVRCFFQRLRQLQIYSCPRLKHLFTYEQAIGMQHLKKLDIRYCAALEAVVISTENKEEASSSTHVADHESYNSPFPNLTDLKLNNLPQLTAFHHPTPPPVEWLHLQSYSIRKCPKLQEPLEERVQSLWARMNEGEDSEEEDSDDL >DRNTG_15940.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000790.1:18798:24360:1 gene:DRNTG_15940 transcript:DRNTG_15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLITITTDIVKHAWSPISRHLGYLICYTSKIEKLESIFNKLDDLQKDVQERVNAARRERLEEATREVRTWLCNVNNMKGEVQKIKLEASSTLTSNNCFQHIKLRYKLGREAAHHIKNTDDLIIEGKFDSVSHKRPPPSTTDSLLYNEDFVIFDSRKSRVKKILEALKDDAVHSIGLWGMGGVGKTMLVKDVAKQAKEQSLFGEVMMVTVSQNIDLKRIQTVMAESLGLHLSDESVEVRAVKLADKLETTDNKVLVILDDLWETLDLSMVRIPRSLMGTTCKVVITTRNKNVCGGMKCREIIELKTLSDEESWILFKNRAGDAVESPTIRKLAQKVAKECAGLPLALVVLGTTLKDKSSLTWDTVLNQLKGSKEVYLQGVSKQVYQSIKLSFDFLEIEAAKSCFLHCCVYPEDWEIPKEELMHMMAGGGLLADVETLNEAQSRVDLLLDQLKACGLLLQGRDERYVRMHDVVRDVAIHIGDVADHAFYTRAGQGLTGWPRTTESDMRNCRRLSLMHNDIEDLPPDPMQYPKLEMMILSFNRRLISIPEMFFLHMGSLMILDLSCTDIKSLPKSFSYLTNLRILNLSGCRSLKDISHINGLKMLEILILVGCPVSIVPEAVGCAQSLRFLNLSLVAPVSSCLDIFFSKELPRFHRLEQLFMVKFAGSFQELISLRHLTHLFITKVVDLDDSLSHELVLPDSWPDRLLKFSLCFVEGLPMNFFFGQKSRALRLMGTKPLAVWVKKLLEKTRQLALVEFQKTELISINSDIPPLVFSSLESLDIMSWPKLTKLLDDELSLQEEIPLSQLQHMIISNCPGLTNLIPSRFYQRSMLPGLRDLQLSLLQSLHNVLQPFQCLPNLTTLYIDKCGVRYVVSSEMETVAILADPFPALDNLEINNCKEMIEMISPPASLQVRCFFQRLRQLQIYSCPRLKHLFTYEQAIGMQHLKKLDIRYCAALEAVVISTENKEEASSSTHVADHESYNSPFPNLTDLKLNNLPQLTAFHHPTPPPVEWLHLQSYSIRKCPKLQEPLEERVQSLWARMNEGEDSEEEDSDDL >DRNTG_15940.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000790.1:19296:24360:1 gene:DRNTG_15940 transcript:DRNTG_15940.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESLITITTDIVKHAWSPISRHLGYLICYTSKIEKLESIFNKLDDLQKDVQERVNAARRERLEEATREVRTWLCNVNNMKGEVQKIKLEASSTLTSNNCFQHIKLRYKLGREAAHHIKNTDDLIIEGKFDSVSHKRPPPSTTDSLLYNEDFVIFDSRKSRVKKILEALKDDAVHSIGLWGMGGVGKTMLVKDVAKQAKEQSLFGEVMMVTVSQNIDLKRIQTVMAESLGLHLSDESVEVRAVKLADKLETTDNKVLVILDDLWETLDLSMVRIPRSLMGTTCKVVITTRNKNVCGGMKCREIIELKTLSDEESWILFKNRAGDAVESPTIRKLAQKVAKECAGLPLALVVLGTTLKDKSSLTWDTVLNQLKGSKEVYLQGVSKQVYQSIKLSFDFLEIEAAKSCFLHCCVYPEDWEIPKEELMHMMAGGGLLADVETLNEAQSRVDLLLDQLKACGLLLQGRDERYVRMHDVVRDVAIHIGDVADHAFYTRAGQGLTGWPRTTESDMRNCRRLSLMHNDIEDLPPDPMQYPKLEMMILSFNRRLISIPEMFFLHMGSLMILDLSCTDIKSLPKSFSYLTNLRILNLSGCRSLKDISHINGLKMLEILILVGCPVSIVPEAVGCAQSLRFLNLSLVAPVSSCLDIFFSKELPRFHRLEQLFMVKFAGSFQELISLRHLTHLFITKVVDLDDSLSHELVLPDSWPDRLLKFSLCFVEGLPMNFFFGQKSRALRLMGTKPLAVWVKKLLEKTRQLALVEFQKTELISINSDIPPLVFSSLESLDIMSWPKLTKLLDDELSLQEEIPLSQLQHMIISNCPGLTNLIPSRFYQRSMLPGLRDLQLSLLQSLHNVLQPFQCLPNLTTLYIDKCGVRYVVSSEMETVAILADPFPALDNLEINNCKEMIEMISPPASLQVRCFFQRLRQLQIYSCPRLKHLFTYEQAIGMQHLKKLDIRYCAALEAVVISTENKEEASSSTHVADHESYNSPFPNLTDLKLNNLPQLTAFHHPTPPPVEWLHLQSYSIRKCPKLQEPLEERVQSLWARMNEGEDSEEEDSDDL >DRNTG_34127.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15047005:15050397:-1 gene:DRNTG_34127 transcript:DRNTG_34127.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDILERKGLSVPSDPYVCGVCGRKCRTNLELKRHFKQLHERERQKKLARMRSLKGKKKQKYKERFLSGNHKYEESARELLTPKTGYGLASELRRAGVFVRTVADKPQAADAALKRQMQHSMARGMDWMVLVSDDSDFTDMVRRVKEADLRTVVVGDGRRSLGRHADFWVPWMRVENGEVDEESVFSGRNAVLTDTMDVDEEDEELEEGEFFVHDSSNWYYQSGDEDSTLLDNVVDELFGQNSGIGGVKISAFSEEEIVDDAGDASLRIAYDSEEEEDVFI >DRNTG_34127.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15047131:15050612:-1 gene:DRNTG_34127 transcript:DRNTG_34127.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGRDGVWSARQQKVVVLWDLDNKPPRGPPYEAAVALRRVAGFFGHVVDVSAYANRHAFDHLPQWILDQRRERRRMDILERKGLSVPSDPYVCGVCGRKCRTNLELKRHFKQLHERERQKKLARMRSLKGKKKQKYKERFLSGNHKYEESARELLTPKTGYGLASELRRAGVFVRTVADKPQAADAALKRQMQHSMARGMDWMVLVSDDSDFTDMVRRVKEADLRTVVVGDGRRSLGRHADFWVPWMRVENGEVDEESVFSGRNAVLTDTMDVDEEDEELEEGEFFVHDSSNWYYQSGDEDSTLLDNVVDELFGQNSGIGGVKISAFSEEEIVDDAGDASLRIAYDSEEEEDVFI >DRNTG_15286.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4587420:4588046:-1 gene:DRNTG_15286 transcript:DRNTG_15286.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVIACTYASLILHHDGISITGPLSLSLSLGLHLPL >DRNTG_14279.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:884042:884554:1 gene:DRNTG_14279 transcript:DRNTG_14279.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAMIPIPDPSSGSALKALGRKTATATAEEEEELEELRKRNAELERKVKEGREREERLATDLERVLERLRTVEEAEERLCAQLGELEAESVAHARSYHHRIKELHDRLANAQRILDSSSSSIVP >DRNTG_14279.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:883417:884554:1 gene:DRNTG_14279 transcript:DRNTG_14279.4 gene_biotype:protein_coding transcript_biotype:protein_coding MITTWHPTQIWILFTRYIEPGRHSLFLSNLASPRSSRFSIRILTTSRFLPEMAPPPAMIPIPDPSSGSALKALGRKTATATAEEEEELEELRKRNAELERKVKEGREREERLATDLERVLERLRTVEEAEERLCAQLGELEAESVAHARSYHHRIKELHDRLANAQRILDSSSSSIVP >DRNTG_14279.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:884001:884742:1 gene:DRNTG_14279 transcript:DRNTG_14279.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAMIPIPDPSSGSALKALGRKTATATAEEEEELEELRKRNAELERKVKEGREREERLATDLERVLERLRTVEEAEERLCAQLGELEAESVAHARSYHHRIKELHDRLANAQRILDSSSSSIVP >DRNTG_14279.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:881694:884554:1 gene:DRNTG_14279 transcript:DRNTG_14279.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITTWHPTQIWILFTRYIEPGRHSLFLSNLASPRSSRFSIRILTTSRFLPEMAPPPAMIPIPDPSSGSALKALGRKTATATAEEEEELEELRKRNAELERKVKEGREREERLATDLERVLERLRTVEEAEERLCAQLGELEAESVAHARSYHHRIKELHDRLANAQRILDSSSSSIVP >DRNTG_14279.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:882456:882833:1 gene:DRNTG_14279 transcript:DRNTG_14279.7 gene_biotype:protein_coding transcript_biotype:protein_coding IFTPISHGASTKIENQRLNKSKLKLKKKRERITREKTTR >DRNTG_14279.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:881694:884554:1 gene:DRNTG_14279 transcript:DRNTG_14279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTWHPTQIWILFTRYIEPGRHSLFLSNLASPRSSRFSIRILTTSRFLPEMAPPPAMIPIPDPSSGSALKALGRKTATATAEEEEELEELRKRNAELERKVKEGREREERLATDLERVLERLRTVEEAEERLCAQLGELEAESVAHARSYHHRIKELHDRLANAQRILDSSSSSIVP >DRNTG_05166.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:14845031:14975798:1 gene:DRNTG_05166 transcript:DRNTG_05166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVLVQFKDQDTRAVHKHGDVCQLPRRFKSIHYLEGNKGSHIFIFLLFVKIARPLKDTSMKKSFIAYHMEQNYYSRGRVENPHGRMRTRDRCDLRHINSRFALFFLIFCAALEGSMQPYLV >DRNTG_11745.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1933437:1934121:-1 gene:DRNTG_11745 transcript:DRNTG_11745.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTRPLSLNNNNPKLSAPPPEGPGSGILVIQDEAAEAEAVCCMGLREDSRIYKLPFPQNRKTVISYTTFII >DRNTG_11745.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1932820:1934121:-1 gene:DRNTG_11745 transcript:DRNTG_11745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTRPLSLNNNNPKLSAPPPEGPGSGILVIQDEAAEAEAVCCMGLREDSRIYKLPFPQNRKTVISYTTFII >DRNTG_21274.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4881401:4884773:1 gene:DRNTG_21274 transcript:DRNTG_21274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPTETDDFIRESIEDSLGIPVSSRTLRLKLLASHDDRRRLQDQIFALHDRLKDADKRLELSRAEASMNAQALRKCVEEKEVLVSTYTEMVRHCDKLENECSLYERDLERIMDTCDELGKENEELKARVNENSEIMTLVAEVESLKRDKEHLRMNLCTAEEEVKVLYEDNKLLDEENKRLLRQLNRERHHHGSDSKHTASSSAKGKRKSRVKDSSPEHAIDYNRGDSPRQPLSPLQPNSPDLRLHKKQNGFTSTD >DRNTG_16917.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5018123:5021691:-1 gene:DRNTG_16917 transcript:DRNTG_16917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTLVIGAGGFIGGFVAAAHLESNIPTFLLRRSGSNSETAFQMFKEKGATIIEGSFAEKEMMEKLLREHNIEVVICATGGGNILDQIALIEAIKSVGTIKRFLPSEFGHDIDKANPVEPGLTFYEHKRLIRRAIEKTGIPYTYICCNSIASWPYYNNIHPSKVTPPLDYFQIYGDGSVGAYFVSGKDIGKITVKAANDPRTLNKAIHFRPPSNLFNINQMASLWEAKLGHKLPRLTITAHHLLSIAAEMKIPESVVAALTHDIFFNGCQTNFSLDEEHDLEACKLYPEITFQTLDHCFDEFALTLKNKIHNINNNNINNNIKHFAKPLMLINNNTVSQALDEAHAINNNGHAATFA >DRNTG_01106.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21566494:21568617:1 gene:DRNTG_01106 transcript:DRNTG_01106.10 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSISTTVKFLMIFFFSSRIAMQTYNTDVDSTLSELSLDGEFDFHNVSHAAKDFGNRFQFQPFVVLTPKTISDISATIKHVYQMGQSSSLTVAARGHGHSLQGQAQAPGGIVIQMESLQQQEIYVHSGRLSSYVDVSAGELWINVLLESLKHGLAPKSWTDYLHLTVGGTLSNAGISGQAFKHGPQISNVHQLEIVTGSSALLEKMLISSMVLLEDLVSLESSPKLELHLSQHHKW >DRNTG_01106.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21566879:21573429:1 gene:DRNTG_01106 transcript:DRNTG_01106.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSISTTVKFLMIFFFSSRIAMQTYNTDVDSTLSELSLDGEFDFHNVSHAAKDFGNRFQFQPFVVLTPKTISDISATIKHVYQMGQSSSLTVAARGHGHSLQGQAQAPGGIVIQMESLQQQEIYVHSGRLSSYVDVSAGELWINVLLESLKHGLAPKSWTDYLHLTVGGTLSNAGISGQAFKHGPQISNVHQLEIVTGSSALLEKMLISSMVLLEDLVSLESSPKLELHLSQHHKW >DRNTG_01106.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21566879:21575945:1 gene:DRNTG_01106 transcript:DRNTG_01106.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSISTTVKFLMIFFFSSRIAMQTYNTDVDSTLSELSLDGEFDFHNVSHAAKDFGNRFQFQPFVVLTPKTISDISATIKHVYQMGQSSSLTVAARGHGHSLQGQAQAPGGIVIQMESLQQQEIYVHSGRLSSYVDVSAGELWINVLLESLKHGLAPKSWTDYLHLTVGGTLSNAGISGQAFKHGPQISNVHQLEIVTGSSALLEKMLISSMVLLEDLVSLESSPKLELHLSQHHKW >DRNTG_01106.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21566879:21568617:1 gene:DRNTG_01106 transcript:DRNTG_01106.11 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSISTTVKFLMIFFFSSRIAMQTYNTDVDSTLSELSLDGEFDFHNVSHAAKDFGNRFQFQPFVVLTPKTISDISATIKHVYQMGQSSSLTVAARGHGHSLQGQAQAPGGIVIQMESLQQQEIYVHSGRLSSYVDVSAGELWINVLLESLKHGLAPKSWTDYLHLTVGGTLSNAGISGQAFKHGPQISNVHQLEIVTGSSALLEKMLISSMVLLEDLVSLESSPKLELHLSQHHKW >DRNTG_01106.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21566879:21575945:1 gene:DRNTG_01106 transcript:DRNTG_01106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSISTTVKFLMIFFFSSRIAMQTYNTDVDSTLSELSLDGEFDFHNVSHAAKDFGNRFQFQPFVVLTPKTISDISATIKHVYQMGQSSSLTVAARGHGHSLQGQAQAPGGIVIQMESLQQQEIYVHSGRLSSYVDVSAGELWINVLLESLKHGLAPKSWTDYLHLTVGGTLSNAGISGQAFKHGPQISNVHQLEIVTGSSALLEKMLISSMVLLEDLVSLESSPKLELHLSQHHKW >DRNTG_23106.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9776290:9777427:-1 gene:DRNTG_23106 transcript:DRNTG_23106.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSLSKVNKPSLLDDLPSKIWRKTPFHSQSLVIKKLFQNPCQNLLYLATYGLLTSVRTGL >DRNTG_11779.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:566129:574578:-1 gene:DRNTG_11779 transcript:DRNTG_11779.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lon protease homolog 2, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G47040) UniProtKB/Swiss-Prot;Acc:O64948] MAESVELPSRLAILPFRNKVLLPGAIIRIRCTSPSSVKLVEQELWQREEKGLIGVLPVRDSEAVLVGSVLSPGMGNDSGERSLKAPTETLPDSHKQDGKNQQELIHWHDRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFSVQDLSARGTYYVARISRLDMTKAELEQAEHDPDLISLSRQFKATAMELISVLEQKQKTVGRTKVLLETVPVHRLADIFVASFEISFEEQLSMLDSVDLKVRLSKATELVERHLQSIRVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDLVALERKMQSAGMPPNIWKHAQRELRRLRKMQPQQPGYSSSRGYLELLADLPWQKVSEENELDLRAAKERLDSDHYGLVKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAAALNRKFVRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVAVSNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLNVPFDLSKVIFVATANRIQPIPPPLLDRMEVIELPGYTPEEKLKIAMKHLIPRVLDQHGLSSDYLQIPEDIVKLVIQRYTREAGVRNLERSLAALARDAAVKVAGQETIQLSKGVNPIAAPLLDTRLSDGAEVEMEVIPMGVNGNEISNALRSTSALVVDEAMLEKVLGPPRFDDRETAERVATPGVSVGLVWTSFGGEVQFVEATTMVGKGDLHLTGQLGDVIKESAQIALTWVRARAADLKLASPNEINLLENRDIHIHFPAGAVPKDGPSAGVTLVTSLVSLFSQRKVRADTAMTGEMTLRGLVLPVGGIKDKVLAAHRYGIKRVILPERNLKDLVEVPPAILSGMEILLAKRMEDVLEQAFEDGCPWKHRRSKL >DRNTG_25219.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20092681:20096335:-1 gene:DRNTG_25219 transcript:DRNTG_25219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEELMKFMVEVQGDKEVTREEAQAIIDAHHEHNKHVGALHHHHHHHLLHHHRKGLTLEGFFHYVFSEVNNPPVSSSLGVHQDMKAPLSHYFIYTGHNSYLTGNQISSVCSDVPIVKALKKGVRVIELDMWPNSTKDDIDILHGGTLTTPVELIKCLRSIKEHAFTTSPYPVILTLEDHLTPDLQAKAAKMISETFGESLFVTKSDYLEEFPSPEELKMKIIISTKPPKEYLESKSFKDKEQESSKVTEDEAWGAEVEDLKLENELQIENEEQEDELHGDTDLKSQPSLAPEYKHLIAIKAIKRMSSLKDSLMVDPNKVTRLSLGEQKFAKAVASHPDEVVRFAQRNLLRIYPKATRVTSSNYNPLLGWTHGAQMVALNMQGYGRSLWLMQGMFRANGECGYVKKPDILIKDIFNPKAVLPVKKTLKVTVYMGDGWRYDFGKTHFDTYSPPDFYTRVGIAGVQCDAVMKRTKAIEDNWSPVWNEEFVFPLCVPELALLIIEVHEYDMSEKDDFGGQICLPVWELRPGIRTVRLCDRKR >DRNTG_33314.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23669844:23670902:1 gene:DRNTG_33314 transcript:DRNTG_33314.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 13 [Source:Projected from Arabidopsis thaliana (AT1G52630) UniProtKB/Swiss-Prot;Acc:Q8RY81] MVAYTQCEYDALSPSSLKAIEAARGDRKPWTGDAARLWRERGKCPLTPNETAFILQALAIPTNTTIYLAAGDGILELEGFTSVYTNVHTKSSLLSADDFVAMHGNTKAVLDYYISINSEAYIATHFGNMDKMVSAMRALNGMYKTLVLSRKAFASSTSKGLVGKELASALWEAHKEDFVMGRGTALPDCFCEIGM >DRNTG_33314.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23668011:23670772:1 gene:DRNTG_33314 transcript:DRNTG_33314.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 13 [Source:Projected from Arabidopsis thaliana (AT1G52630) UniProtKB/Swiss-Prot;Acc:Q8RY81] MPSYPSWLHPLSPVLLLAIPFLLAILILSPSIPFSNRALLTSPRFFSRVRSSEEIWSVTRVVEWKPCHWWTDRPLTALPPKTNGFIRVDCYGGLNQMRRDLCDGIGIARLLNATLVLPKFEVAAYWNESSGFADVFDVDFFIERTKGFVDIVKELPEEIAGKEPFRVDCSKRMGSFDYAEVVLPELLKHQFISITPAMSQRRDRYPLYAKAALCQACYNSLRLNKALEKKGFELLSAIPKPFLSLHLRFEPDMVAYTQCEYDALSPSSLKAIEAARGDRKPWTGDAARLWRERGKCPLTPNETAFILQALAIPTNTTIYLAAGDGILELEGFTSVYTNVHTKSSLLSADDFVAMHGNTKAVLDYYISINSEAYIATHFGNMDKMVSAMRALNGMYKTLVLSRKAFASSTSKGLVGKELASALWEAHKEDFVMGRGTALPDCFCEIGM >DRNTG_33314.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23668011:23669388:1 gene:DRNTG_33314 transcript:DRNTG_33314.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 13 [Source:Projected from Arabidopsis thaliana (AT1G52630) UniProtKB/Swiss-Prot;Acc:Q8RY81] MRRDLCDGIGIARLLNATLVLPKFEVAAYWNESSGFADVFDVDFFIERTKGFVDIVKELPEEIAGKEPFRVDCSKRMGSFDYAEVVLPELLKHQFISITPAMSQRRDRYHTQHCHQHIFG >DRNTG_33314.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23668011:23670772:1 gene:DRNTG_33314 transcript:DRNTG_33314.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 13 [Source:Projected from Arabidopsis thaliana (AT1G52630) UniProtKB/Swiss-Prot;Acc:Q8RY81] MRHLSYRNLRLLLIGTNQDVFDVDFFIERTKGFVDIVKELPEEIAGKEPFRVDCSKRMGSFDYAEVVLPELLKHQFISITPAMSQRRDRYPLYAKAALCQACYNSLRLNKALEKKGFELLSAIPKPFLSLHLRFEPDMVAYTQCEYDALSPSSLKAIEAARGDRKPWTGDAARLWRERGKCPLTPNETAFILQALAIPTNTTIYLAAGDGILELEGFTSVYTNVHTKSSLLSADDFVAMHGNTKAVLDYYISINSEAYIATHFGNMDKMVSAMRALNGMYKTLVLSRKAFASSTSKGLVGKELASALWEAHKEDFVMGRGTALPDCFCEIGM >DRNTG_33314.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23668011:23670772:1 gene:DRNTG_33314 transcript:DRNTG_33314.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 13 [Source:Projected from Arabidopsis thaliana (AT1G52630) UniProtKB/Swiss-Prot;Acc:Q8RY81] MRRDLCDGIGIARLLNATLVLPKFEVAAYWNESSGFADVFDVDFFIERTKGFVDIVKELPEEIAGKEPFRVDCSKRMGSFDYAEVVLPELLKHQFISITPAMSQRRDRYPLYAKAALCQACYNSLRLNKALEKKGFELLSAIPKPFLSLHLRFEPDMVAYTQCEYDALSPSSLKAIEAARGDRKPWTGDAARLWRERGKCPLTPNETAFILQALAIPTNTTIYLAAGDGILELEGFTSVYTNVHTKSSLLSADDFVAMHGNTKAVLDYYISINSEAYIATHFGNMDKMVSAMRALNGMYKTLVLSRKAFASSTSKGLVGKELASALWEAHKEDFVMGRGTALPDCFCEIGM >DRNTG_33314.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23668011:23670772:1 gene:DRNTG_33314 transcript:DRNTG_33314.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 13 [Source:Projected from Arabidopsis thaliana (AT1G52630) UniProtKB/Swiss-Prot;Acc:Q8RY81] MRRDLCDGIGIARLLNATLVLPKFEVAAYWNESSGFADVFDVDFFIERTKGFVDIVKELPEEIAGKEPFRVDCSKRMGSFDYAEVVLPELLKHQFISITPAMSQRRDRYPLYAKAALCQACYNSLRLNKALEKKGFELLSAIPKPFLSLHLRFEPDMVAYTQCEYDALSPSSLKAIEAARGDRKPWTGDAARLWRERGKCPLTPNETAFILQALAIPTNTTIYLAAGDGILELEGFTSVYTNVHTKSSLLSADDFVAMHGNTKAVLDYYISINSEAYIATHFGNMDKMVSAMRALNGMYKTLVLSRKAFASSTSKGLVGKELASALWEAHKEDFVMGRGTALPDCFCEIGM >DRNTG_06548.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22709135:22710322:-1 gene:DRNTG_06548 transcript:DRNTG_06548.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASALLFSSSPSHSGSRRQAIRLGFTLKAGLGTDARREEVVIVGGGIAGLATALSLHRGNRFGREGHR >DRNTG_06548.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22708293:22710322:-1 gene:DRNTG_06548 transcript:DRNTG_06548.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASALLFSSSPSHSGSRRQAIRLGFTLKAGLGTDARREEVVIVGGGIAGLATALSLHRLGVRSLVLEQGESLRTGGTSLTLFKNGWRVLDAIGVGDELRSQFIPIQGMVMRSEDGRELRSFMFEEEAPG >DRNTG_06548.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22708293:22710322:-1 gene:DRNTG_06548 transcript:DRNTG_06548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASALLFSSSPSHSGSRRQAIRLGFTLKAGLGTDARREEVVIVGGGIAGLATALSLHRLGVRSLVLEQGESLRTGGTSLTLFKNGWRVLDAIGVGDELRSQFIPIQGMVMRSEDGRELRSFMFEEEAPGQEVRAVERRLLLETLASRLPAGAISFSSRVRKIEKQGMDETLLELDNGNKVLAKIVIGCDGVRSPIAKWMGFAEPNYVGHCAF >DRNTG_06548.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22708293:22710322:-1 gene:DRNTG_06548 transcript:DRNTG_06548.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASALLFSSSPSHSGSRRQAIRLGFTLKAGLGTDARREEVVIVGGGIAGLATALSLHRLGVRSLVLEQGESLRTGGTSLTLFKNGWRVLDAIGVGDELRSQFIPIQGCLQDGDEIGGWERAAIVHV >DRNTG_27491.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26545919:26550262:1 gene:DRNTG_27491 transcript:DRNTG_27491.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDEERDADNSMRRHKKKKINADELSNKKEIEVLDNSPKYGLSTDRERNRANGSSKVMEPQDADYSFVPFLSDEIALLILARVPRSEYQRLCYVNRRYQTLVRSGELYSIRKEIGIKEPSILMLASGESNWWCYDPRSRVRRNLPVLPADECFSSFDKESLCAGTHLLVSGKEISGSVIWRYELASDQWFKGPLMINPRCLFASANCGNEACVAGGIAPTMEILNTAEKYDPESKTWNQLPRMKQRRKLCSGCYMDKKFYVIGGQNEKGDNLTCGEFYDTEKNVWELIPDMLQKDAPTWSSRSPPLIAVVNNELYSLEASSNRLKVYLKMSNCWKDLGEVPVRADHSRGWGVAFKSLGDELLVIGSRALSHAFRGMTICTCKPDPELDSPPWRVLENDGLHWSSFIFNCSIMVA >DRNTG_27491.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26545919:26550262:1 gene:DRNTG_27491 transcript:DRNTG_27491.11 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDEERDADNSMRRHKKKKINADELSNKKEIEVLDNSPKYGLSTDRERNRANGSSKVMEPQDADYSFVPFLSDEIALLILARVPRSEYQRLCYVNRRYQTLVRSGELYSIRKEIGIKEPSILMLASGESNWWCYDPRSRVRRNLPVLPADECFSSFDKESLCAGTHLLVSGKEISGSVIWRYELASDQWFKGPLMINPRCLFASANCGNEACVAGGIAPTMEILNTAEKYDPESKTWNQLPRMKQRRKLCSGCYMDKKFYVIGGQNEKGDNLTCGEFYDTEKNVWELIPDMLQKDAPTWSSRSPPLIAVVNNELYSLEASSNRLKVYLKMSNCWKDLGEVPVRADHSRGWGVAFKSLGDELLVIGSRALSHAFRGMTICTCKPDPELDSPPWRVLENDGLHWSSFIFNCSIMVA >DRNTG_27491.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26545919:26550262:1 gene:DRNTG_27491 transcript:DRNTG_27491.10 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSYDEFCSVSKVTINTMLKDEERDADNSMRRHKKKKINADELSNKKEIEVLDNSPKYGLSTDRERNRANGSSKVMEPQDADYSFVPFLSDEIALLILARVPRSEYQRLCYVNRRYQTLVRSGELYSIRKEIGIKEPSILMLASGESNWWCYDPRSRVRRNLPVLPADECFSSFDKESLCAGTHLLVSGKEISGSVIWRYELASDQWFKGPLMINPRCLFASANCGNEACVAGGIAPTMEILNTAEKYDPESKTWNQLPRMKQRRKLCSGCYMDKKFYVIGGQNEKGDNLTCGEFYDTEKNVWELIPDMLQKDAPTWSSRSPPLIAVVNNELYSLEASSNRLKVYLKMSNCWKDLGEVPVRADHSRGWGVAFKSLGDELLVIGSRALSHAFRGMTICTCKPDPELDSPPWRVLENDGLHWSSFIFNCSIMVA >DRNTG_27491.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26545919:26550262:1 gene:DRNTG_27491 transcript:DRNTG_27491.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSYDEFCSVSKVTINTMLKDEERDADNSMRRHKKKKINADELSNKKEIEVLDNSPKYGLSTDRERNRANGSSKVMEPQDADYSFVPFLSDEIALLILARVPRSEYQRLCYVNRRYQTLVRSGELYSIRKEIGIKEPSILMLASGESNWWCYDPRSRVRRNLPVLPADECFSSFDKESLCAGTHLLVSGKEISGSVIWRYELASDQWFKGPLMINPRCLFASANCGNEACVAGGIAPTMEILNTAEKYDPESKTWNQLPRMKQRRKLCSGCYMDKKFYVIGGQNEKGDNLTCGEFYDTEKNVWELIPDMLQKDAPTWSSRSPPLIAVVNNELYSLEASSNRLKVYLKMSNCWKDLGEVPVRADHSRGWGVAFKSLGDELLVIGSRALSHAFRGMTICTCKPDPELDSPPWRVLENDGLHWSSFIFNCSIMVA >DRNTG_27491.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26545919:26550262:1 gene:DRNTG_27491 transcript:DRNTG_27491.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSYDEFCSVSKVTINTMLKDEERDADNSMRRHKKKKINADELSNKKEIEVLDNSPKYGLSTDRERNRANGSSKVMEPQDADYSFVPFLSDEIALLILARVPRSEYQRLCYVNRRYQTLVRSGELYSIRKEIGIKEPSILMLASGESNWWCYDPRSRVRRNLPVLPADECFSSFDKESLCAGTHLLVSGKEISGSVIWRYELASDQWFKGPLMINPRCLFASANCGNEACVAGGIAPTMEILNTAEKYDPESKTWNQLPRMKQRRKLCSGCYMDKKFYVIGGQNEKGDNLTCGEFYDTEKNVWELIPDMLQKDAPTWSSRSPPLIAVVNNELYSLEASSNRLKVYLKMSNCWKDLGEVPVRADHSRGWGVAFKSLGDELLVIGSRALSHAFRGMTICTCKPDPELDSPPWRVLENDGLHWSSFIFNCSIMVA >DRNTG_27491.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26545776:26550262:1 gene:DRNTG_27491 transcript:DRNTG_27491.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDEERDADNSMRRHKKKKINADELSNKKEIEVLDNSPKYGLSTDRERNRANGSSKVMEPQDADYSFVPFLSDEIALLILARVPRSEYQRLCYVNRRYQTLVRSGELYSIRKEIGIKEPSILMLASGESNWWCYDPRSRVRRNLPVLPADECFSSFDKESLCAGTHLLVSGKEISGSVIWRYELASDQWFKGPLMINPRCLFASANCGNEACVAGGIAPTMEILNTAEKYDPESKTWNQLPRMKQRRKLCSGCYMDKKFYVIGGQNEKGDNLTCGEFYDTEKNVWELIPDMLQKDAPTWSSRSPPLIAVVNNELYSLEASSNRLKVYLKMSNCWKDLGEVPVRADHSRGWGVAFKSLGDELLVIGSRALSHAFRGMTICTCKPDPELDSPPWRVLENDGLHWSSFIFNCSIMVA >DRNTG_27491.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26545835:26550262:1 gene:DRNTG_27491 transcript:DRNTG_27491.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDEERDADNSMRRHKKKKINADELSNKKEIEVLDNSPKYGLSTDRERNRANGSSKVMEPQDADYSFVPFLSDEIALLILARVPRSEYQRLCYVNRRYQTLVRSGELYSIRKEIGIKEPSILMLASGESNWWCYDPRSRVRRNLPVLPADECFSSFDKESLCAGTHLLVSGKEISGSVIWRYELASDQWFKGPLMINPRCLFASANCGNEACVAGGIAPTMEILNTAEKYDPESKTWNQLPRMKQRRKLCSGCYMDKKFYVIGGQNEKGDNLTCGEFYDTEKNVWELIPDMLQKDAPTWSSRSPPLIAVVNNELYSLEASSNRLKVYLKMSNCWKDLGEVPVRADHSRGWGVAFKSLGDELLVIGSRALSHAFRGMTICTCKPDPELDSPPWRVLENDGLHWSSFIFNCSIMVA >DRNTG_27491.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26545835:26550262:1 gene:DRNTG_27491 transcript:DRNTG_27491.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSYDEFCSVSKVTINTMLKDEERDADNSMRRHKKKKINADELSNKKEIEVLDNSPKYGLSTDRERNRANGSSKVMEPQDADYSFVPFLSDEIALLILARVPRSEYQRLCYVNRRYQTLVRSGELYSIRKEIGIKEPSILMLASGESNWWCYDPRSRVRRNLPVLPADECFSSFDKESLCAGTHLLVSGKEISGSVIWRYELASDQWFKGPLMINPRCLFASANCGNEACVAGGIAPTMEILNTAEKYDPESKTWNQLPRMKQRRKLCSGCYMDKKFYVIGGQNEKGDNLTCGEFYDTEKNVWELIPDMLQKDAPTWSSRSPPLIAVVNNELYSLEASSNRLKVYLKMSNCWKDLGEVPVRADHSRGWGVAFKSLGDELLVIGSRALSHAFRGMTICTCKPDPELDSPPWRVLENDGLHWSSFIFNCSIMVA >DRNTG_27491.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26545835:26550262:1 gene:DRNTG_27491 transcript:DRNTG_27491.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDEERDADNSMRRHKKKKINADELSNKKEIEVLDNSPKYGLSTDRERNRANGSSKVMEPQDADYSFVPFLSDEIALLILARVPRSEYQRLCYVNRRYQTLVRSGELYSIRKEIGIKEPSILMLASGESNWWCYDPRSRVRRNLPVLPADECFSSFDKESLCAGTHLLVSGKEISGSVIWRYELASDQWFKGPLMINPRCLFASANCGNEACVAGGIAPTMEILNTAEKYDPESKTWNQLPRMKQRRKLCSGCYMDKKFYVIGGQNEKGDNLTCGEFYDTEKNVWELIPDMLQKDAPTWSSRSPPLIAVVNNELYSLEASSNRLKVYLKMSNCWKDLGEVPVRADHSRGWGVAFKSLGDELLVIGSRALSHAFRGMTICTCKPDPELDSPPWRVLENDGLHWSSFIFNCSIMVA >DRNTG_27491.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26545835:26550536:1 gene:DRNTG_27491 transcript:DRNTG_27491.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDEERDADNSMRRHKKKKINADELSNKKEIEVLDNSPKYGLSTDRERNRANGSSKVMEPQDADYSFVPFLSDEIALLILARVPRSEYQRLCYVNRRYQTLVRSGELYSIRKEIGIKEPSILMLASGESNWWCYDPRSRVRRNLPVLPADECFSSFDKESLCAGTHLLVSGKEISGSVIWRYELASDQWFKGPLMINPRCLFASANCGNEACVAGGIAPTMEILNTAEKYDPESKTWNQLPRMKQRRKLCSGCYMDKKFYVIGGQNEKGDNLTCGEFYDTEKNVWELIPDMLQKDAPTWSSRSPPLIAVVNNELYSLEASSNRLKVYLKMSNCWKDLGEVPVRADHSRGWGVAFKSLGDELLVIGSRALSHAFRGMTICTCKPDPELDSPPWRVLENDGLHWSSFIFNCSIMVA >DRNTG_27491.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26545919:26550262:1 gene:DRNTG_27491 transcript:DRNTG_27491.9 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSYDEFCSVSKVTINTMLKDEERDADNSMRRHKKKKINADELSNKKEIEVLDNSPKYGLSTDRERNRANGSSKVMEPQDADYSFVPFLSDEIALLILARVPRSEYQRLCYVNRRYQTLVRSGELYSIRKEIGIKEPSILMLASGESNWWCYDPRSRVRRNLPVLPADECFSSFDKESLCAGTHLLVSGKEISGSVIWRYELASDQWFKGPLMINPRCLFASANCGNEACVAGGIAPTMEILNTAEKYDPESKTWNQLPRMKQRRKLCSGCYMDKKFYVIGGQNEKGDNLTCGEFYDTEKNVWELIPDMLQKDAPTWSSRSPPLIAVVNNELYSLEASSNRLKVYLKMSNCWKDLGEVPVRADHSRGWGVAFKSLGDELLVIGSRALSHAFRGMTICTCKPDPELDSPPWRVLENDGLHWSSFIFNCSIMVA >DRNTG_16796.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25395062:25397126:1 gene:DRNTG_16796 transcript:DRNTG_16796.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNCYRDLLQYLLQCSTRNNPESTFHRDTTDEIVDEYMQEMFNLDPYEGLFDQEEDYEEVMMLGSTDEAPSNPGILKKVVRKMKRARRHHQKHSKSVGIICELKKLDKSLNFLQRLQVFLRHLVKTHGHVEFPGFVLRAHPKKAQGRAASPVNDYANIALPWVISAQACEFLQSLADYLESIQGRELAPVGDLVKRTRAWVISTLPCETLQRSPFHLEKAQWRVGALGRAVAPVGRAHRRGYFPCANGRVFIDNEVFSRECRRACVRPSGSLVMRHTGVGNFRTAMWMHRIQRGASLPIKSLHALFLFIFNHLKTISDHIADFSSLFWRIFLRISSRFSEFPHLFHR >DRNTG_35496.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12424379:12425953:1 gene:DRNTG_35496 transcript:DRNTG_35496.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASQHYHELLLSTLLFLFISLSTQSHETLVFPVRKDPTTLQYITGIYQRTPLVPVKLLVDIGSGFMWVNCDNHYISSSYRPAQCNSKECALAESMTCNTCNGSPGPNCNNNTCGLFPENSIINLSTTGDVIDDVVLFRSIHGNFATVPHFLFSCGGNNLMEGLASGVDGAVGFGRTKIGIPTQLSSVFNIHPQFALCLSSSPSSNGFVFVGNGGNYKLAPGIDVSSSLMRTPLIINPVSTGGVSFEGETSSEYFIGVSSIKIDGKAVKINTTLLEIDDEGVGGTKISTVTPFTSVETSIYKSVTRVFIKAAEAKKMKSVAPVKPFKACFSSESIKSTRVGPDVPIIDLVFGSEDVYWRVFGANSMVEVKEKGVVCLGLVDGGLSPRTSIVIGGHQLENNFLGV >DRNTG_35496.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12424155:12425953:1 gene:DRNTG_35496 transcript:DRNTG_35496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEFMKPIKSSPTSSTSIINSMASQHYHELLLSTLLFLFISLSTQSHETLVFPVRKDPTTLQYITGIYQRTPLVPVKLLVDIGSGFMWVNCDNHYISSSYRPAQCNSKECALAESMTCNTCNGSPGPNCNNNTCGLFPENSIINLSTTGDVIDDVVLFRSIHGNFATVPHFLFSCGGNNLMEGLASGVDGAVGFGRTKIGIPTQLSSVFNIHPQFALCLSSSPSSNGFVFVGNGGNYKLAPGIDVSSSLMRTPLIINPVSTGGVSFEGETSSEYFIGVSSIKIDGKAVKINTTLLEIDDEGVGGTKISTVTPFTSVETSIYKSVTRVFIKAAEAKKMKSVAPVKPFKACFSSESIKSTRVGPDVPIIDLVFGSEDVYWRVFGANSMVEVKEKGVVCLGLVDGGLSPRTSIVIGGHQLENNFLGV >DRNTG_35496.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12424379:12425860:1 gene:DRNTG_35496 transcript:DRNTG_35496.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASQHYHELLLSTLLFLFISLSTQSHETLVFPVRKDPTTLQYITGIYQRTPLVPVKLLVDIGSGFMWVNCDNHYISSSYRPAQCNSKECALAESMTCNTCNGSPGPNCNNNTCGLFPENSIINLSTTGDVIDDVVLFRSIHGNFATVPHFLFSCGGNNLMEGLASGVDGAVGFGRTKIGIPTQLSSVFNIHPQFALCLSSSPSSNGFVFVGNGGNYKLAPGIDVSSSLMRTPLIINPVSTGGVSFEGETSSEYFIGVSSIKIDGKAVKINTTLLEIDDEGVGGTKISTVTPFTSVETSIYKSVTRVFIKAAEAKKMKSVAPVKPFKACFSSESIKSTRVGPDVPIIDLVFGSEDVYWRVFGANSMVEVKEKGVVCLGLVDGGLSPRTSIVIGGHQLENNFLGV >DRNTG_35496.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12424155:12425860:1 gene:DRNTG_35496 transcript:DRNTG_35496.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHEFMKPIKSSPTSSTSIINSMASQHYHELLLSTLLFLFISLSTQSHETLVFPVRKDPTTLQYITGIYQRTPLVPVKLLVDIGSGFMWVNCDNHYISSSYRPAQCNSKECALAESMTCNTCNGSPGPNCNNNTCGLFPENSIINLSTTGDVIDDVVLFRSIHGNFATVPHFLFSCGGNNLMEGLASGVDGAVGFGRTKIGIPTQLSSVFNIHPQFALCLSSSPSSNGFVFVGNGGNYKLAPGIDVSSSLMRTPLIINPVSTGGVSFEGETSSEYFIGVSSIKIDGKAVKINTTLLEIDDEGVGGTKISTVTPFTSVETSIYKSVTRVFIKAAEAKKMKSVAPVKPFKACFSSESIKSTRVGPDVPIIDLVFGSEDVYWRVFGANSMVEVKEKGVVCLGLVDGGLSPRTSIVIGGHQLENNFLGV >DRNTG_04098.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5357092:5360631:1 gene:DRNTG_04098 transcript:DRNTG_04098.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNFAANIEMYGILDSIASGPVEARTSENSTMVGVGMCMEGIEQNPVVYDLMSEMAFHQKKVDVKSWIKLYPERRYGRSIPALQDAWRILYESIYNCTDGASDKNRDVIVAFPDVDPSFISLTGNFQPANMNFGKPLQRQLKTMSDSYDRPHLWYSTADVVHALKLFLASGDYVSDSNTFRCNHD >DRNTG_04098.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5356545:5360631:1 gene:DRNTG_04098 transcript:DRNTG_04098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALLHSVPIGRLVVLDLFAEVKPLWNTSEQFFNVPYIWCMLHNFAANIEMYGILDSIASGPVEARTSENSTMVGVGMCMEGIEQNPVVYDLMSEMAFHQKKVDVKSWIKLYPERRYGRSIPALQDAWRILYESIYNCTDGASDKNRDVIVAFPDVDPSFISLTGNFQPANMNFGKPLQRQLKTMSDSYDRPHLWYSTADVVHALKLFLASGDYVSDSNTFRYDLVDLTRQALAKYANQVFLKTVEAYQNNDFERVNGFSQHFLGLVRDLDLLLSCHDGFLLGPWLESAKHLARNTEQKKQYEWNARTQITMWFDNTETQASLLRDYGNKYWSGLLRDYYEPRAAIYFKYMLNSLLAGHEFELENWRREWIDLTNTWQNSKKVFTGKASSDALNVSRWLYNKYLQNTSFELVFTV >DRNTG_11359.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23786690:23790847:-1 gene:DRNTG_11359 transcript:DRNTG_11359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWKIILFLMKTTSINLTVIHLLLFLHLKIPVFWKIFQKKPPTDSKQLRRSDRPKKPSGRWNEEAGFVPIPPCSSKKKIPDDPREAADRHQQSLNGASQKIKRSLSFLSARDSDPAGDPAHDIA >DRNTG_22480.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2642483:2652551:1 gene:DRNTG_22480 transcript:DRNTG_22480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQALAMFLVFLFLFIGPSQGKVYKVGDSSGWTIIGNVNYTAWASSKTFRVGDTIVSITSNSTMYWR >DRNTG_22480.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2642483:2652551:1 gene:DRNTG_22480 transcript:DRNTG_22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCIHCRQTNIKGPSQGKVYKVGDSSGWTIIGNVNYTAWASSKTFRVGDTIVSITSNSTMYWR >DRNTG_22480.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2642483:2651396:1 gene:DRNTG_22480 transcript:DRNTG_22480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQALAMFLVFLFLFIGPSQGKVYKVGDSSGWTIIGNVNYTAWASSKTFRVGDTIGQFLPSSLQFLYQNFL >DRNTG_22480.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2651627:2652551:1 gene:DRNTG_22480 transcript:DRNTG_22480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKLTSSSAAPPTSSAVVPSTSPGASPTNPNNAASETHKALYYFSLAVVFVSTLLLV >DRNTG_04434.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9800390:9802291:1 gene:DRNTG_04434 transcript:DRNTG_04434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLWRSLDSSIEPAIVATRNTPKSMFSSSLVFSKAPLVRLRAQLGGSVPTKAPPITFFQAQRSVESVEPLHRLAKSRSKPYPMASQKIGKSWKEG >DRNTG_11648.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:101965:106263:-1 gene:DRNTG_11648 transcript:DRNTG_11648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQKQAEEAIVVSQADGDHESNQREEEEHHGGFSMKNILWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGVLLQVFYGIMGSWTAYLISVLYVEYRTRKEKENVSFKNHVIQWFEVLDGLLGPCWKAIGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIGAFVQGQAEGVTHAGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTLPSASAMYWAFGDQLLTHSNAFSLLPKNGFRDTAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRTASARQNAAEKPPFFMPSWTAMYVLNAFVVAWVFVVGFGFGGWASVTNFVRQVDTFGLFAKCYQCKPPTPPATVVAAPPIQHH >DRNTG_11648.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:101965:106263:-1 gene:DRNTG_11648 transcript:DRNTG_11648.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQKQAEEAIVVSQADGDHESNQREEEEHHGGFSMKNILWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGVLLQVFYGIMGSWTAYLISVLYVEYRTRKEKENVSFKNHVIQWFEVLDGLLGPCWKAIGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIGAFVQGQAEGVTHAGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTLPSASAMYWAFGDQLLTHSNAFSLLPKNGFRDTAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRTASARQVLLCHTITTYFSFLCALSLSFMHA >DRNTG_23323.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1069222:1071576:-1 gene:DRNTG_23323 transcript:DRNTG_23323.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQQHDSLAHRKHGISNCPYQWPRDARVHPPENSCLHQST >DRNTG_24106.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27230104:27232652:1 gene:DRNTG_24106 transcript:DRNTG_24106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLMKVERKVLEKKRRIQMKALCLELNSLIPNENKDSSSSKSSSSQLHLLDQAAKYIKELRERVDTLKEMKKIKINNNNNNNNVNSNDDEEMPVIQVKKVDSNLEVVLVSKLNKQVMFHEVIRVLGEEGAEINNASFSIVGDKIFHTIHSQVNCSGMDFNCPAMLERLQELVHRRDALCSRKMQE >DRNTG_19532.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:91874:92368:1 gene:DRNTG_19532 transcript:DRNTG_19532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVRNPIIIDQYYCLSKECANQTKAVSVSNIDYTNIKGTYDVRSPAVHFGCSDSRPCTNITVSEIELLPANGVSIADPFCWNVYGAMDTITIPPLFCILDGLPRTIMDDDAE >DRNTG_00748.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28021576:28023964:1 gene:DRNTG_00748 transcript:DRNTG_00748.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFCLSSNAHGSIRRPFPFPSFHHLSIFLSSTFKPLLKDLEQVKLKLDVPKLMKDASLKMLDELVDSTFQFINQPTLPSQRNFLPVKEIGEETVVTSIDGDIPADFPEGTYIRNGPNPLFGALQSTVSPLGKSSNIWVEGDGMLHAIYFSKNNINDTFIISYKNRYVESETFKIEKEKSRPCFLPAVEGDSLAILTAYILNQLRFGKVNKYISNTNVFEHSGRCFSIAENHIPQEIDLLSLDTLSNWDVNGEWNRPFTAHPKKAPGSGELIITGADAVKPFLVLGVISADGKRLAHKVDVELERSILCHDMGVTERYNIIMDMPLTINIPRLLQGGPLIKYEKESYARIGVMPRYGDAEAIKWFQVQPYCIFHLINCYEDGDEVVVRGCRAEESIIPGPEHGLGKFQWFSRGLKFQKMQDELDNYVRDEGFLFSRLYEWRLNIKNAGIKERYLTGKEFSVDFPMINENFIGLRNKFAYCQVIDSIASSECGKGYFF >DRNTG_23048.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3321720:3327691:1 gene:DRNTG_23048 transcript:DRNTG_23048.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQDHSTPKGPSHIPLWKGGLKDGTNAWGIPLGKNSYHASSDASLFSTSLPVLPHEKLTLGYSGPAINSADDTSIKLDNLGKGVEGKESSKDDLDLQGIGILLPDDEDELLAGIMDDFDLSGLPSQLEELEDYDLFGSGGGMELDSDPIDHLTTGIGKASLSDGYAGNGMHYGIPNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEHYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSDKDMNQGTLVVFNLDPSVSNDDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALRSLNRSDIAGKRIKLEPSRPGGARRNLMQQLTQELEQDNIRGYRHHVGSPVANSPPGIWAQFGSPTDNHLQAISKSPAAGTMGPMGGNLLPGLASVLPPLISNAVKIAPIGKDQSRINHADQMLTTGNSTHGVAFPHSHSVSEHSNGMTPNMSSSPGAASPVGPSTSGGSGIGTLSGPQFLWGSPTPYSEHSQSSGWPSSSPSAGRPFTPNGQMQQGQGFPYSNRHGSFLPSSNPHHHVGSAPSGIPFERPFGYFPESPETSFMSQVPFGGMGVNRNEGSLLMNMGSRAPMNPGVALSGSIDGSSSNFRMLSSPRFGHAFFGTPPYPSPGSIGMDGFIDRGRARRVDNSGSQLDNKKQYHLDLEKIISGEDTRTTLMIKNIPNKYTSKMLLAAIDENHKGTYDFLYLPIDFKNKCNVGYAFINMLSPSHIVSFYQAFNGKKWEKFNSEKVASLAYARIQGKTALVTHFQNSSLMNEDKRCRPILFHSDGPEAGDQEPFPQNGLDIPVHQEDDLSGDIPEFQNNDLSEKLENSGFLGSGLES >DRNTG_23048.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3321720:3327691:1 gene:DRNTG_23048 transcript:DRNTG_23048.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQDHSTPKGPSHIPLWKGGLKDGTNAWGIPLGKNSYHASSDASLFSTSLPVLPHEKLTLGYSGPAINSADDTSIKLDNLGKGVEGKESSKDDLDLQGIGILLPDDEDELLAGIMDDFDLSGLPSQLEELEDYDLFGSGGGMELDSDPIDHLTTGIGKASLSDGYAGNGMHYGIPNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEHYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSDKDMNQGTLVVFNLDPSVSNDDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALRSLNRSDIAGKRIKLEPSRPGGARRNLMQQLTQELEQDNIRGYRHHVGSPVANSPPGIWAQFGSPTDNHLQAISKSPAAGTMGPMGGNLLPGLASVLPPLISNAVKIAPIGKDQSRINHADQMLTTGNSTHGVAFPHSHSVSEHSNGMTPNMSSSPGAASPVGPSTSGGSGIGTLSGPQFLWGSPTPYSEHSQSSGWPSSSPSAGRPFTPNGQMQQGQGFPYSNRHGSFLPSSNPHHHVGSAPSGIPFERPFGYFPESPETSFMSQVPFGGMGVNRNEGSLLMNMGSRAPMNPGVALSGSIDGSSSNFRMLSSPRFGHAFFGTPPYPSPGSIGMDGFIDRGRARRVDNSGSQLDNKKQYHLDLEKIISGEDTRTTLMIKNIPNKYTSKMLLAAIDENHKGTYDFLYLPIDFKNKCNVGYAFINMLSPSHIVSFYQAFNGKKWEKFNSEKVASLAYARIQGKTALVTHFQNSSLMNEDKRCRPILFHSDGPEAGDQEPFPQNGLDIPVHQEDDLSGDIPEFQNNDLSEKLENSGFLGSGLES >DRNTG_23048.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3321720:3327691:1 gene:DRNTG_23048 transcript:DRNTG_23048.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQDHSTPKGPSHIPLWKGGLKDGTNAWGIPLGKNSYHASSDASLFSTSLPVLPHEKLTLGYSGPAINSADDTSIKLDNLGKGVEGKESSKDDLDLQGIGILLPDDEDELLAGIMDDFDLSGLPSQLEELEDYDLFGSGGGMELDSDPIDHLTTGIGKASLSDGYAGNGMHYGIPNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEHYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSDKDMNQGTLVVFNLDPSVSNDDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALRSLNRSDIAGKRIKLEPSRPGGARRNLMQQLTQELEQDNIRGYRHHVGSPVANSPPGIWAQFGSPTDNHLQAISKSPAAGTMGPMGGNLLPGLASVLPPLISNAVKIAPIGKDQSRINHADQMLTTGNSTHGVAFPHSHSVSEHSNGMTPNMSSSPGAASPVGPSTSGGSGIGTLSGPQFLWGSPTPYSEHSQSSGWPSSSPSAGRPFTPNGQMQQGQGFPYSNRHGSFLPSSNPHHHVGSAPSGIPFERPFGYFPESPETSFMSQVPFGGMGVNRNEGSLLMNMGSRAPMNPGVALSGSIDGSSSNFRMLSSPRFGHAFFGTPPYPSPGSIGMDGFIDRGRARRVDNSGSQLDNKKQYHLDLEKIISGEDTRTTLMIKNIPNKYTSKMLLAAIDENHKGTYDFLYLPIDFKNKCNVGYAFINMLSPSHIVSFYQAFNGKKWEKFNSEKVASLAYARIQGKTALVTHFQNSSLMNEDKRCRPILFHSDGPEAGDQEPFPQNGLDIPVHQEDDLSGDIPEFQNNDLSEKLENSGFLGSGLES >DRNTG_23048.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3321720:3327691:1 gene:DRNTG_23048 transcript:DRNTG_23048.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQDHSTPKGPSHIPLWKGGLKDGTNAWGIPLGKNSYHASSDASLFSTSLPVLPHEKLTLGYSGPAINSADDTSIKLDNLGKGVEGKESSKDDLDLQGIGILLPDDEDELLAGIMDDFDLSGLPSQLEELEDYDLFGSGGGMELDSDPIDHLTTGIGKASLSDGYAGNGMHYGIPNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEHYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSDKDMNQGTLVVFNLDPSVSNDDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALRSLNRSDIAGKRIKLEPSRPGGARRNSLMQQLTQELEQDNIRGYRHHVGSPVANSPPGIWAQFGSPTDNHLQAISKSPAAGTMGPMGGNLLPGLASVLPPLISNAVKIAPIGKDQSRINHADQMLTTGNSTHGVAFPHSHSVSEHSNGMTPNMSSSPGAASPVGPSTSGGSGIGTLSGPQFLWGSPTPYSEHSQSSGWPSSSPSAGRPFTPNGQMQQGQGFPYSNRHGSFLPSSNPHHHVGSAPSGIPFERPFGYFPESPETSFMSQVPFGGMGVNRNEGSLLMNMGSRAPMNPGVALSGSIDGSSSNFRMLSSPRFGHAFFGTPPYPSPGSIGMDGFIDRGRARRVDNSGSQLDNKKQYHLDLEKIISGEDTRTTLMIKNIPNKYTSKMLLAAIDENHKGTYDFLYLPIDFKNKCNVGYAFINMLSPSHIVSFYQAFNGKKWEKFNSEKVASLAYARIQGKTALVTHFQNSSLMNEDKRCRPILFHSDGPEAGDQEPFPQNGLDIPVHQEDDLSGDIPEFQNNDLSEKLENSGFLGSGLES >DRNTG_04035.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11308104:11324639:1 gene:DRNTG_04035 transcript:DRNTG_04035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDSLEATSSQVAGDNLESTIQINIKTLDSQIHTFRVGKNMLVQNLKERIAETTGVPAGQQRLIFRGRVLKDEHVLSEYHVEDGHTLHLVVKQPTVAHPAPGTNSMEARGSNENNSIGGVPRARIGQVSHGVVLGTVNAAEQNEDLVADIGRLVGTVLSSFGIGGSNISTTLTPPSAPPSAPPIVGTNGEPIVGNRTQMGNLGPQGMVNSNHPVQPFAQLSSFPSSVPFSRHMAIPDSLTTLSEFLRRMEQALPYDGSQPSPSPTQGSSRPDIPSLNSRGLPTPDMLGSVIQRVRQLLSTNLAMTLARLAERLEREWSSSDLGVRSQIQNEAGHLGVAVQHVGAMLLELGRAMMMLRMGQSPAESFVNAGPAVFISPTGPNPIMVQPFPNQSSSFFGIPPNGNGVSSPLGSVDPSRNINIHIHAGTSVAPGISSSGARASSGEPVHGESPSLQQTSTNANGLRDSTPRGLPARTIVAAIPARPAAEASGHVLSIIYPVQVRSQQLSVPGHPGSTETSHSNVNNGTEPSMPNPVVQPSSMSDVVPSIVAQINANIAAALSNSAQAHVSSNSSMQSADSRDPNTGPQPQIVHLTTAPSSGHDHNHSSSSDQSGSDASGFRAFGQAPLGSMSSGLGVETLISQAQSRDNNEASESGCDHATLQVDDMLGSEQSELFQVHDGTDPRNLGLDSLSSCSLVGALPSSSSSSQSVVKSTDKNPDSVGVPHPSCSSHNNDMSEGGRPLPLGLGLGGLQPKRRSKPAKPRVMEDASRDMASANQNQGSIATGQQGMQSLVSEGSSTSRENAAGSLFPMAPYISQIMNNLPLGEQGANGQVDMGSMMSSILQSPAFNRLLTGVAEQTGVGSTADLRNMLEQCTQTPAVRNALNQIIEQVDGQSSDAGGLLSGLRRNQGGINLASMMQQMMPVVSQALGRGLTRSPVSSGIESETATEQADGTSGDDLADTNFQADLHQVVETIEQSDSPADIFRSVLESAARLNGLEDHDLVEELGNDVELANEFVEMLKDLLRESNSRV >DRNTG_07382.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20581910:20584193:1 gene:DRNTG_07382 transcript:DRNTG_07382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIQKAWRTAAFGIYGFTQFTKSGFQEHSKNFKAEDMQIQMDGKNCMVTGANSGIGYATAEGLASRGATVYIVCRNAEKGEAAVSEMQTKTGNPNIHLEVCDLSSISEVKAFVSKFSSQDKPLHVLVNNAGLMEHDRVITSEGLELNFAVNVAATYTLTELMLPLLEKAAPEARIITVSSGGMYTSPLTKDLQFSETKFDGTLQYARNKRVQVALTEKWAEMYSSKGVGFYSMHPGWAATPGVTKSLPGFSEKLSGKLRTSEEGADTAVWLALQPKEKLVSGAFYFDRAEAPKHLALAGTAKSHAVIDTIIDSLQSLCGFSSN >DRNTG_26876.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2160271:2162100:-1 gene:DRNTG_26876 transcript:DRNTG_26876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMECSLMVDEVHHQPLMAMDELSCITGNKDTWSEEEDKTLIQIHREVGNKWAEIAKKLPGRTENSIKNHWNATKRRLLSRRRLRSSRHQKSNSLLENYIKSLNLQITPTRRRKNTHNSDNSNPMKPTQSLKDEHEVQHEVWNYEDECFVPNCEFGDLSELLFDESSPALMSENYRCEEEEDVGFLFDKLGFGDGEENEGLDMEVVEEMEGWDEMQRGFMDESEM >DRNTG_01404.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000078.1:8438:9135:1 gene:DRNTG_01404 transcript:DRNTG_01404.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLMSPPSPPPSQQSVFSRFGSLQLDHHERGRLDDEYNRHGMKKRKRSSQHKPLLSRSRSPFARLKSPTPSRRRSSQCRSPLTRWIG >DRNTG_01608.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11004070:11156602:-1 gene:DRNTG_01608 transcript:DRNTG_01608.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARRRHQIRCKAVVLAAAIWLGVSRAPCARMDARETTREAAPIAASVEAFPPSLACLPSSITARSPDAGEPWGELVYLDDLSLFIAIVVF >DRNTG_00270.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1644451:1647115:-1 gene:DRNTG_00270 transcript:DRNTG_00270.2 gene_biotype:protein_coding transcript_biotype:protein_coding PRTRLTRPGAQTNGRRPTRREPHRPARPQNLIQDWQNYNNPTELQDYKLYKVHNTWI >DRNTG_00270.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1645998:1647115:-1 gene:DRNTG_00270 transcript:DRNTG_00270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRNSKPRNTISKIQKISRQDKYLCFCRNSKSKGQTQKK >DRNTG_00270.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1644451:1920983:-1 gene:DRNTG_00270 transcript:DRNTG_00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding QELKIYNRIRQQLQTISQDPRRSITFDLKENPSTSKTPVDTKATSWGL >DRNTG_18553.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4584456:4586200:1 gene:DRNTG_18553 transcript:DRNTG_18553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRNNENALKVAVADQPVAAAVEGYGKNFQLYGNGIFTQFCGTKVDHAVALIGYDSEGGKDYWIVRNSWGDGWGENGYMKLERNIQSRSGKCGIASWPYYPIKYSNMKNKGNTLEVEAEEEKARRSGEK >DRNTG_33200.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:346715:347126:1 gene:DRNTG_33200 transcript:DRNTG_33200.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 33 [Source:Projected from Arabidopsis thaliana (AT5G35670) UniProtKB/TrEMBL;Acc:Q8L8M9] MGLTGGLVRRVFAKNPRSEKSRWSSVKVYLCGDEFNSLLAEDDLNSIKSSDAATVTKPSTEVSSSTLALEQSCNSTIIKTEKEENSQTLAAAIFIQSAFRGFL >DRNTG_33200.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:346715:351545:1 gene:DRNTG_33200 transcript:DRNTG_33200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 33 [Source:Projected from Arabidopsis thaliana (AT5G35670) UniProtKB/TrEMBL;Acc:Q8L8M9] MGLTGGLVRRVFAKNPRSEKSRWSSVKVYLCGDEFNSLLAEDDLNSIKSSDAATVTKPSTEVSSSTLALEQSCNSTIIKTEKEENSQTLAAAIFIQSAFRGFLARKQHQEIRKSDERIVDHVELLQEPSVESEAISTEVQIGGSVDSLRIRDENAGIQHRILRKSQSQVHRLKEEWDDSTVSSNISRMRIQNRLEAMTRRERALAYAFSQQLRTCSAAKKKSARTDDNKSNMGWSWLERWMATRLPR >DRNTG_26523.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2386853:2390164:1 gene:DRNTG_26523 transcript:DRNTG_26523.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTFMVSFLLLLFLPITSFAFPLCTNSIAPVTLKAPLAFCSYNGSSCCDATDDANLQKKFQSMNISDSACGSLVKSILCTKCNPFSAELFGVESNIRTVPLLCNSTASVSSTQSKDAASNFCAQVWDTCKGISIRNSPFAPSLQGSTGVPVSSSKLIDLWQSNSDFCQSFGGSSDDTSVCFDGNSVSFNTTPNSPPKGVCLERIHNGSYISMSAHPDGSNRIFLSNQAGMIWLATVPEEGSGKTLEFDELNPFVDLTDEIHFDTQFGLMGMAFHPNFTTNGRFFVSFNCDRTQSTSCSGRCACNSDVGCDPSNLAPDNGAQPCQYQTVIAEFSANSSSSTPSTATTASPLEVRRILTMGLPFTSHHGGQILFGPKDGYLYFMMGDGGGKGDPLNFSQNKKSLLGKILRLDINNIPTSQEIADLRLWGNYSIPEDNPFSDDSEAQAEIWAYGLRNPWRCSFDSGRPFYFYCADTGEDTYEEIDLISKGGNYGWPVYEGPNRFQPIQTSQVNTTIANSTNITNPIFPVMGYTHAMVNKDIGSASVIGGYAYRSMEDPCMYGRYLYADLYAGAMWAGTESPESSGNYTSTLLPFSCAKDSLIPCDSVAGSSLPSLGYIFSFGEDNRKDVFILTSKGVYRVVRPSRCNYACAKEKNYK >DRNTG_03691.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21223096:21227303:-1 gene:DRNTG_03691 transcript:DRNTG_03691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASKSKSKPAARAAKEQSKVPSKASPSPSNGNGIPASAYNPISGTFHSLEMAPPASVPSPQNNGRFRTIDETEDHSGSSFGTTAEFDSLSNNGSCSGESEDQKDKAALTPPPRTDSIPGADADKRDKIRQKNEKKHQRQRERRAQELHERCNNFLMSRKLGALAQQLVSMGFPCERATMALILNEGRLEQSVAWLFEGCEEGKSQVTANVTENLKIDIADELARMAEMEVRFKCTKQEVERAVVACDGHLENAVEYLKLQSQKQESTAGAPVKTEDLGGVASANGSVNKVAASTQNPSARTPVKGAAPASNQQQRRDERDFNYTKAVPLSSMPEEVANRNLQSLQALRRIQAKPDWARSQVAAALEKRWPSTSASPSVSYSLASPLQVAVPPVKSEARFAMLGNEVKTTAQTGTLREPVIVMQRPQSMNGKQGLPLVGLGMNGGCIPVSSGWHPNDSSGLEMMANGGGGGQHNLSKLSANGTGGQPYYPQSGFQPLILNPVESGGTGRVGARSTTGTSASASSTSLAMPSSLGLFTGWGSSGSSGSSSPVDWSTGRSVSHIDYASIDWSLDPIQLKTERASTIRSPSVGVKPSRSSLNFVGLQDANGFLVDPSATVGVGSHEWTSPFAGKDLFRVPRQFVTSPSLQELGATWKA >DRNTG_18332.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1680201:1685683:-1 gene:DRNTG_18332 transcript:DRNTG_18332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGTSPSEQTRNVHVGYKRKEGGTQALSYPVRTGTHACGPVGKRAPESNRVAGQPGKTRAKEARTRARPGARPLLSVHVPWFMHTCQLLLVLDRVVKLSVRERERVIHRGEKEKVRGMPFNHVITEGTVGNNSFSIRVGWEELIDHIFYHFIWKSSSFTGDRNGLELSHIWNLTTSGVYMVACAKSAYLMPMNHPHRLHDMACHLALASCGCARWIDAANAAAASAPYVIKRAANLTVRHLLLAAELLFPFDFHVLNPPRRQTNTSWPSFLPNPSIAGSSLTLVEKPLIASDKDVISVA >DRNTG_24219.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22638826:22644002:1 gene:DRNTG_24219 transcript:DRNTG_24219.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopentenyl phosphate kinase [Source:Projected from Arabidopsis thaliana (AT1G26640) UniProtKB/Swiss-Prot;Acc:Q8H1F7] MAESESSNQTLKSEKPKPLRCIVKLGGAAITCKNELETINGTNLRLFCQQLREAMARSSVAKVFGMDWSRVSGDQIVIDDVEEPAFDLDTNFIVVHGAGSFGHFQASRSGVHKGGLQRPLVKAGFVATRISVTTLNLEIVRALAREGVPSVGVSPFASGWTTRRRNIASADASHIGEALHAGLVPVLHGDAVLDNLQECTILSGDVIIRHLAQLLLPEYVVFLTDVLGVYDRPPTDTNAILLKEIAVDEDGNWSIIKPLLGHLKKEVEITVAAHDTTGGMETKIAEAAAIAKLGIDVYITKAGTVHSLRALKGEVGNSSVPDDWLGTAIRSAKQVRPL >DRNTG_07339.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23579422:23582919:-1 gene:DRNTG_07339 transcript:DRNTG_07339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAGTTTSCIFLEWTVAVLIWNLKVMKKLQDKAKMTDGKSSMLTKEDLKTMCFTASRTLSWRATRLPQKQK >DRNTG_19306.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:625179:627775:-1 gene:DRNTG_19306 transcript:DRNTG_19306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKAVAIDDDEYSFPDDPSEKASEGPEKENRGVAGKKNKKGGGGGGKAQRNKVVEDEEADENEKEKEKEKEDDDDEPINVVFSGKKKSSKSKKVGGSSSSASAFSSFNLIAEDEGNDEVEETRVVSRFDIGNHVENEGDEIRDPDGEVDRFGGGEDGASKKKKKKKQKGGRSAQEEEDLDKLLAELGEGPVPSDPAPAPVPVPAPIVDDVDESKDQLGGGVDEAGSKKQQKKKKKKGGRTAQEEEDLDKLLAELGEGPAPTPQPLAPPVVAVEESVSAPPEQGEVEAEEKDQEVDGVGTAASKKKKKKKKDDKKAAPAVAEAKEEKEEEVKGKGPAKKLPKHVREMQEALARRKEAEERQKKEEEERLRKEEEERKRLEEEERLKEEAKRRKKEKEKELREKKRQEGKLLTGKQKEERRRLEAMRNQFLAQRDVPLGEVVGETKKRPKYETKKSKANQAKALVTGKVEENQKEASEPDVELAEDDSVIEEEIQSQVEEPEDKVEDKLVEEVGEPMEEEEEEEEEEDEDEWDAKSLDDLVVKLPATSPFAEEDKDDEEDAPVTTKKSLERVASISSATNDSQAKNSAPAKPAVKKVVASRAPSKNEDVDGSEVDRATERTMQVKGKKEPASSEKKSGNDLRSPICCILGHVDTGKTKLLDCIRRTNVQEGEAGGITQQIGATYFPTEYIRERTKELKADATLKVPGLLVIDTPGHESFTNLRSRGSSLCDIAILVVDIMHGLEQQTIESLNLLKSRNTEFIVALNKILCQG >DRNTG_22307.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001238.1:18030:23347:1 gene:DRNTG_22307 transcript:DRNTG_22307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGSLKSAIFDKEEKKLQYQSHIRGLNAYDRHKKFINDYVRFYGNSKNTHDNLPIKTDQDTIRENYRFIVNEEDDMDSTWEKRLVKRYYDKLFKEYCIADMSHYKKGKIGLRWRTEKEVVSGKGQFVCGNKHCDEKDGLGSYEVNFSYLEAGENKQALVKLVACKRCAEKLVYKRQKEKERSEAQAKDKEKRKRERTRHDESSEDGYSSNPDKRKKGKITSSSATSKKRRDEEDFDEFLEGMFL >DRNTG_22307.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001238.1:18030:23347:1 gene:DRNTG_22307 transcript:DRNTG_22307.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGSLKSAIFDKEEKKLQYQSHIRGLNAYDRHKKFINDYVRFYGNSKNTHDNLPIKTDQDTIRENYRFIVNEEDDMDSTWEKRLVKRYYDKLFKEYCIADMSHYKKGKIGLRWRTEKEVVSGKGQFVCGNKHCDEKDGLGSYEVNFSYLEAGENKQALVKLVACKRKACL >DRNTG_22307.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001238.1:18030:23347:1 gene:DRNTG_22307 transcript:DRNTG_22307.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGSLKSAIFDKEEKKLQYQSHIRGLNAYDRHKKFINDYVRFYGNSKNTHDNLPIKTDQDTIRENYRFIVNEEDDMDSTWEKRLVKRYYDKLFKEYCIADMSHYKKGKIGLRWRTEKEVVSGKGQFVCGNKHCDEKDGLGSYEKSLSIRGRKKRRDQKHKQKTRRKGREKGQGMMKARKMDTAVILIKGKRGK >DRNTG_11683.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:335311:338798:-1 gene:DRNTG_11683 transcript:DRNTG_11683.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSHALYAICRDEGVRGLYKGLGATLLGVGPSIAISFSVYDTLKSHWRSVRPQDSPVLVSLACGSLSGIASSTATFPLDLVRRRKQLEGAGGRACVYNSGLLGTFQHIFRTEGFRGLYRGILPEYYKVVPSVGIVFMTYETLKAYLSGPGANDQC >DRNTG_11683.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:335311:338798:-1 gene:DRNTG_11683 transcript:DRNTG_11683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDVATLSKTGIWREVYRILNEEGVRAFWRGNMVTIIHRLPYSSISFYAYEHYKNLLRMVPGLDKRQDNAGADVLLRLLGGGLAGITAATITYPLDLVRTRLAAQTKHVYYRGMSHALYAICRDEGVRGLYKGLGATLLGVGPSIAISFSVYDTLKSHWRSVRPQDSPVLVSLACGSLSGIASSTATFPLDLVRRRKQLEGAGGRACVYNSGLLGTFQHIFRTEGFRGLYRGILPEYYKVVPSVGIVFMTYETLKAYLSGPGANDQC >DRNTG_11683.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:335311:338798:-1 gene:DRNTG_11683 transcript:DRNTG_11683.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDVATLSKTGIWREVYRILNEEGVRAFWRGNMVTIIHRLPYSSISFYAYEHYKNLLRMVPGLDKRQDNAGADVLLRLLGGGLAGITAATITYPLDLVRTRLAAQTKHVYYRGMSHALYAICRDEGVRGLYKGLGATLLGVGPSIAISFSVYDTLKSHWRSVRPQDSPVLVSLACGSLSGIASSTATFPLDLVRRRKQLEGAGGRACVYNSGLLGTFQHIFRTEGFRGLYRGILPEYYKVVPSVGIVFMTYETLKAYLSGPGANDQC >DRNTG_11683.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:335311:338798:-1 gene:DRNTG_11683 transcript:DRNTG_11683.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSHALYAICRDEGVRGLYKGLGATLLGVGPSIAISFSVYDTLKSHWRSVRPQDSPVLVSLACGSLSGIASSTATFPLDLVRRRKQLEGAGGRACVYNSGLLGTFQHIFRTEGFRGLYRGILPEYYKVVPSVGIVFMTYETLKAYLSGPGANDQC >DRNTG_30867.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001638.1:15735:28935:-1 gene:DRNTG_30867 transcript:DRNTG_30867.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase 1 [Source:Projected from Arabidopsis thaliana (AT2G31320) UniProtKB/Swiss-Prot;Acc:Q9ZP54] MAANPPKPWKAEYAKSGRSSCKSCKSPIDKEQLRLGKMVAATQFDGFMPMWNHAGCILKKKNQIKSLDDVEGLDLLRWEDQQKLRKHVEGTTLDTSSTSKSSMAVNNECGIEVSQTSRASCKHCNEKITKGTVRVSTKPEGQGARALGWHHVKCFIEMSPSTIIEKISGWDKLTPEEKQTVSSLVKDKSPQKGALAGVAEDKPLQGATSKGIKRKNTENIKLKSKVPKHDVEQPKIGASSKTHDSNVSDLDTKLEEQTKALWNIKDELKKHVSASELREMLQENGQYSSGSEFDLRDRCADGMLFGPLGSCPICSGPLCYSGGQYRCNGHVSAWSKCSYSTTEPVRLKEKWKIPAESSSQYLKNWFKSQKVKKPVRVLPPLSDKSVRLQTSSMSLPSASGKLEELKVALAGEPQEDWKRKLEDVGVQIHAKIKKDTSCLVVIEEVNDSDFEIRKARKMKIPVVREDYLSDCMKKQGTLPFDLYKVEPASEAQREGTLTVKVKGNSAVHEDSGLQDTGHILEDGKSIYNTTLNMSDLSTGVNSYYILQIIQEDKGSACYVFRKWGRVGNKKIGGTKLEEMSKSDAIQEFKRLFFEKTGNTWESWEAKENFEKQPGRFFPLEIDYGIKEAPKTRILRKRRVHSHLNSWN >DRNTG_30867.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001638.1:15735:20498:-1 gene:DRNTG_30867 transcript:DRNTG_30867.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase 1 [Source:Projected from Arabidopsis thaliana (AT2G31320) UniProtKB/Swiss-Prot;Acc:Q9ZP54] MLEALQDIEIASRIVGFDSEMDESLDEKYNKLHCTVAPLPHDSEDFLIVEKYLLNTHAPTHKDWTLELEEVYALDREGEIDKYASYRDKLENKMLLWHGSRVTNFVGILSQGLRIAPPEAPVTGYMFGKGIYFADLVSKSAQYCYVDKKNPVGLMLLSEVALGKMHELKKATYMDKPPKGKHSTKGLGKNVPLESEFVKWKDEVVVPCGRPVPSSVWASELLYNEYIVYDTSQVKMQFLLKVRFHHKG >DRNTG_03317.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15419414:15423743:1 gene:DRNTG_03317 transcript:DRNTG_03317.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-mediated development protein DET1 [Source:Projected from Arabidopsis thaliana (AT4G10180) UniProtKB/Swiss-Prot;Acc:P48732] MFRSNNVAARIFERQIVTPRPGTSVNCVRQFYENLVPNYTVYDVDCPDHSFRKFTDDGQYLISFSRNHQELIVYRPILLSFSYKGENCDFVDIHQKTKRFDSYFTQLYSLPLVSSNEFIFKDFFLYVESHQFGIFATTTAQSQDASATEGAIHGVPSIEKITFHLVRLKDGDILDEKVFCNDYINLVHSMGVFLYDDLLCILSLRYQTIHIMQIRDSGNLVLVRIIGPFCREDDELYLNSHVPVAEGSHFLNGIKQRLLSFIFRITWNDETDPILRVHHLKKFYFHFQDYINLIMWKAQFLDRHHLLIKFGSVDGGVSRSTDHHHHPSFFAVYNMESTEIIGFYQNSAEELYCLFEQFYDHFQATSRNSLHLRFISSPCNNVHALDQLLGMKTKAC >DRNTG_21660.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:11181822:11182937:1 gene:DRNTG_21660 transcript:DRNTG_21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSVGSYQTTEDIAWNRIEAEKPDFSFAAIKIIKPSARVSSPQPQRAAHLQIEASDGIILLLSFIFRLFCCFEPSVPALPNQQTVDYPCFKLVIVADGGKAVVPRKFF >DRNTG_34308.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:12649846:12651244:-1 gene:DRNTG_34308 transcript:DRNTG_34308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQQKRKKWTEEEERSLIEKYSEMQSSGTLRRLPTREKRFRPIADHVNASHHALDPSSFPFVWSWKDVSTKAQNMRNQYLLVKQKLLPSSPSSGDPSDLDALSLWPNFLLYRQVFGDAPLKPADPADADPGLGFGSEIRGEDEFSPVPVVKRRKKKGWGAVAAMVARMGEWEERMEERELKREKERRRRAAADEMAARRRAEEEREWEERREKRREEWRKRMEETMARHRAEMEQVHARVLHDQQAIISQLLAFISPDSGAHHHHHHHHHSAFLSQMMNGMVAGENRDGGDGQEDQFIVDE >DRNTG_06295.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20794350:20794963:-1 gene:DRNTG_06295 transcript:DRNTG_06295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVKVGAPFFYKYSATKTQSHDGPNVALFFLVMDLSPSSKVILGFTKMTSGSLFISHSQANTIPFSSNKLIEILTYFQVKPSSVIVKQLRSQVSRASHGRGDKLCATSLESMVEFSMMSLGCSTTVKYKNGDAEVKNTQCCSGWHKVALKRNDGMKVKVAAMYHLNTTKWN >DRNTG_24044.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26581707:26588251:1 gene:DRNTG_24044 transcript:DRNTG_24044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKEEAIRARGIAEDKMQKKDFVAARKIALKAQQLFADLDNISQLLTVCEVHCSAAVKVNGETDWYGILKVEPTAEESLIKKQYRKLALSLHPDKNKFPGAEAAFKLIGEAYMTLSDKGKRSQHDLKRSSRLAVPPRQSSQQTSRTSYVKKQPAYANSVQNTTTGRSNGLNQQQPTTSGLQTFWTVCPYCGMRYQYYKSILNRALRCQNCLKPYVAYDLNAQAAASGANTAQSGIPQEFSGQHTHNNLGQRSNFGIPTPNTGFQGNVGRTSASDPRPKSKINDASGRSMNNGKDCYTRAGNTGNEVRFEKVELEEVKKRERVVKPAVGNSSHKRSRKMAVESSDSESTDDDTESSDIEEVKCTSGQNTGATSRYPRRSSRQKQNVKYNEEESDDADDDDAGDDDDAAADDDYDAASSFVKSPDLKRLKKSGTSGDANQSGKTYYEGRDNVVGGQSSGIGTTVADKDANKQNTTLPHDKFQNGNELNGDHMDTETDGAEEKEGMLKAGSRSTVDLSSEPSPYLGSFSYPDPEFYDFDKDRGTDKFSVDQMWAIYDDLDGMPRYYARIRSVDTKNFKLCYTWLEHVPFGESELAWSCENLPVSCGNFRLGKSDSTDDRMIFSHLIFCEKGTKRNTYKVHPRKGEVWALFKGWDIGWSSYADNHRTYQYEVVEVLSDYVEGHDINVIHLFKVKGFVSLFMQAPGSRTGMLKIPHYEILRFSHKVPCYRMTGNEREGIPEGLLELDSASLPNDFGHTAPSISLETATLGVKQPVTKCVSDHAENVAACSAGLNGKGMQGQANTSTDHHAEHVNGVDTVGHHWRSSKRDPASEAWIHVGSESMSHKHQVAEETVIDHQGSNVKAAAAAAGQQNVCDTEASSPTCYEYPDSEFHSFEEERSCDKFERGQVWALYSDVDKYPKYYGWISKVEVEKFRVYVTWLECCPQNEVEKQWAEQDLPIGCGRFKFTRDTVDFDSTDTFSHLVNAKPAVRRDQFFIHPIISQVWAVYRNWHNGWTRKDLENCEYDVVEIYRHTGSQLEALLLEKVEGYRAVFKPARKDGTWHKMEVLANEYARFSHRIPAFKLTQERGGKLGGFWELDPASVPDVLLFPS >DRNTG_24044.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26581707:26588251:1 gene:DRNTG_24044 transcript:DRNTG_24044.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKEEAIRARGIAEDKMQKKDFVAARKIALKAQQLFADLDNISQLLTVCEVHCSAAVKVNGETDWYGILKVEPTAEESLIKKQYRKLALSLHPDKNKFPGAEAAFKLIGEAYMTLSDKGKRSQHDLKRSSRLAVPPRQSSQQTSRTSYVKKQPAYANSVQNTTTGRSNGLNQQQPTTSGLQTFWTVCPYCGMRYQYYKSILNRALRCQNCLKPYVAYDLNAQAAASGANTAQSGIPQEFSGQHTHNNLGQRSNFGIPTPNTGFQGNVGRTSASDPRPKSKINDASGRSMNNGKDCYTRAGNTGNEVRFEKVELEEVKKRERVVKPAVGNSSHKRSRKMAVESSDSESTDDDTESSDIEEVKCTSGQNTGATSRYPRRSSRQKQNVKYNEEESDDADDDDAGDDDDAAADDDYDAASSFVKSPDLKRLKKSGTSGDANQSGKTYYEGRDNVVGGQSSGIGTTVADKDANKQNTTLPHDKFQNGNELNGDHMDTETDGAEEKEGMLKAGSRSTVDLSSEPSPYLGSFSYPDPEFYDFDKDRGTDKFSVDQMWAIYDDLDGMPRYYARIRSVDTKNFKLCYTWLEHVPFGESELAWSCENLPVSCGNFRLGKSDSTDDRMIFSHLIFCEKGTKRNTYKVHPRKGEVWALFKGWDIGWSSYADNHRTYQYEVVEVLSDYVEGHDINVIHLFKVKGFVSLFMQAPGSRTGMLKIPHYEILRFSHKVPCYRMTGNEREGIPEGLLELDSASLPNDFGHTAPSISLETATLGVKQPVTKCVSDHAENVAACSAGLNGKGMQGQANTSTDHHAEHVNGVDTVGHHWRSSKRDPASEAWIHVGSESMSHKHQVAEETVIDHQGSNVKAAAAAAGQQNVCDTEASSPTCYEYPDSEFHSFEEERSCDKFERGQVWALYSDVDKYPKYYGWISKVEVEKFRVYVTWLECCPQNEVEKQWAEQDLPIGCGRFKFTRDTVDFDSTDTFSHLVNAKPAVRRDQFFIHPIISQVWAVYRNWHNGWTRKDLENCEYDVVEIYRHTGSQLEALLLEKVEGYRAVFKPARKDGTWHKMEVLANEYARFSHRIPAFKLTQERGGKLGGFWELDPASVPDVLLFPS >DRNTG_24044.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26582269:26588251:1 gene:DRNTG_24044 transcript:DRNTG_24044.3 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKEEAIRARGIAEDKMQKKDFVAARKIALKAQQLFADLDNISQLLTVCEVHCSAAVKVNGETDWYGILKVEPTAEESLIKKQYRKLALSLHPDKNKFPGAEAAFKLIGEAYMTLSDKGKRSQHDLKRSSRLAVPPRQSSQQTSRTSYVKKQPAYANSVQNTTTGRSNGLNQQQPTTSGLQTFWTVCPYCGMRYQYYKSILNRALRCQNCLKPYVAYDLNAQAAASGANTAQSGIPQEFSGQHTHNNLGQRSNFGIPTPNTGFQGNVGRTSASDPRPKSKINDASGRSMNNGKDCYTRAGNTGNEVRFEKVELEEVKKRERVVKPAVGNSSHKRSRKMAVESSDSESTDDDTESSDIEEVKCTSGQNTGATSRYPRRSSRQKQNVKYNEEESDDADDDDAGDDDDAAADDDYDAASSFVKSPDLKRLKKSGTSGDANQSGKTYYEGRDNVVGGQSSGIGTTVADKDANKQNTTLPHDKFQNGNELNGDHMDTETDGAEEKEGMLKAGSRSTVDLSSEPSPYLGSFSYPDPEFYDFDKDRGTDKFSVDQMWAIYDDLDGMPRYYARIRSVDTKNFKLCYTWLEHVPFGESELAWSCENLPVSCGNFRLGKSDSTDDRMIFSHLIFCEKGTKRNTYKVHPRKGEVWALFKGWDIGWSSYADNHRTYQYEVVEVLSDYVEGHDINVIHLFKVKGFVSLFMQAPGSRTGMLKIPHYEILRFSHKVPCYRMTGNEREGIPEGLLELDSASLPNDFGHTAPSISLETATLGVKQPVTKCVSDHAENVAACSAGLNGKGMQGQANTSTDHHAEHVNGVDTVGHHWRSSKRDPASEAWIHVGSESMSHKHQVAEETVIDHQGSNVKAAAAAAGQQNVCDTEASSPTCYEYPDSEFHSFEEERSCDKFERGQVWALYSDVDKYPKYYGWISKVEVEKFRVYVTWLECCPQNEVEKQWAEQDLPIGCGRFKFTRDTVDFDSTDTFSHLVNAKPAVRRDQFFIHPIISQVWAVYRNWHNGWTRKDLENCEYDVVEIYRHTGSQLEALLLEKVEGYRAVFKPARKDGTWHKMEVLANEYARFSHRIPAFKLTQERGGKLGGFWELDPASVPDVLLFPS >DRNTG_14524.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:12939046:12943631:-1 gene:DRNTG_14524 transcript:DRNTG_14524.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTCSRGIDTPFSTHPTSQTPKISSTSFTSLLSSFSFLVALTYL >DRNTG_00619.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22487832:22495016:-1 gene:DRNTG_00619 transcript:DRNTG_00619.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSNCVGSPDLRCCSMTLASSQSRRQRLGLLGSLHRVLFAPFVPRSTAAVWELMRARSDPSLFYVRKRRAVDLGGDLPASKRRLMLALRRDLSESRWNDGMKHLDFGSRTFSFSKVQIEVPDCLAKVDCATDMTALQYSSYSLFEGSTSLPFLRSFNHVQSNVRSEDIGHIMGVERSCSNDETRAIIVHKELPIPSNNSVHVSVASERTNAYEEIANCEMKKCAHDERMSHFDTGLNKSKDAICLLLENRVISTPMIFPGTDESHNGPLTVVSSALSHEATLVENVRFDDIIFSNKGSLLDTSHLRIVPEEATGKASVLGSRVVSPVETATVQNHQSKTSDKLKVMGAQASTADSKCSLGLLDNTTDDNSFKPLDAVRDRKNASVNQKPRRNCKSNLSHKEVQLNTAKECKIDASYKAPKALESKSLPEFESFVVEEEEGAGGYGTVYRARRKVDGRIFAIKCPHANAHLHHVNNELKMLERFGGRNFVIKFEGSFKSGDAECFVLEHVEHDRPELLKKEIDIFELQWYGYCMFRALASLHKQGIVHRDVKPGNFLFSRKLNKGYLIDFNLAHDLQRKYFKGRKETMSKTNTDSVSLGSTKPTSFSQSRKNVYDGILAQIDDSKKALVSKNMKKKSRQGQAEKIDVIDLKNKYGSQAADVSGVTSAKDPTSTKTSGDRLKQPIPSKGRKELINFVHEAMQVPNHKGESASIPVSHRKRVTVPMGKVDRRGLMPTPMPLHPGEIGKVKREGPCVGTKGFRAPEVLFKSHHQGCKLDVWSAGVTLLYLVIGRAPFGGDPEQNIKEIAKLRGSEDLWEVAKLHDREFSFPTDLLNVQSLQSMNLKRLVSHEHKKNWVY >DRNTG_00619.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22487832:22490516:-1 gene:DRNTG_00619 transcript:DRNTG_00619.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTNTDSVSLGSTKPTSFSQSRKNVYDGILAQIDDSKKALVSKNMKKKSRQGQAEKIDVIDLKNKYGSQAADVSGVTSAKDPTSTKTSGDRLKQPIPSKGRKELINFVHEAMQVPNHKGESASIPVSHRKRVTVPMGKVDRRGLMPTPMPLHPGEIGKVKREGPCVGTKGFRAPEVLFKSHHQGCKLDVWSAGVTLLYLVIGRAPFGGDPEQNIKEIAKLRGSEDLWEVAKLHDREFSFPTDLLNVQSLQSMNLKRLVSHEHKKNWVY >DRNTG_00619.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22487832:22489468:-1 gene:DRNTG_00619 transcript:DRNTG_00619.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTNTDSVSLGSTKPTSFSQSRKNVYDGILAQIDDSKKALVSKNMKKKSRQGQAEKIDVIDLKNKYGSQAADVSGVTSAKDPTSTKTSGDRLKQPIPSKGRKELINFVHEAMQVPNHKGESASIPVSHRKRVTVPMGKVDRRGLMPTPMPLHPGEIGKVKREGPCVGTKGFRAPEVLFKSHHQGCKLDVWSAGVTLLYLVIGRAPFGGDPEQNIKEIAKLRGSEDLWEVAKLHDREFSFPTDLLNVQSLQSMNLKRLVSHEHKKNWVY >DRNTG_00619.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22487832:22495016:-1 gene:DRNTG_00619 transcript:DRNTG_00619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSNCVGSPDLRCCSMTLASSQSRRQRLGLLGSLHRVLFAPFVPRSTAAVWELMRARSDPSLFYVRKRRAVDLGGDLPASKRRLMLALRRDLSESRWNDGMKHLDFGSRTFSFSKVQIEVPDCLAKVDCATDMTALQYSSYSLFEGSTSLPFLRSFNHVQSNVRSEDIGHIMGVERSCSNDETRAIIVHKELPIPSNNSVHVSVASERTNAYEEIANCEMKKCAHDERMSHFDTGLNKSKDAICLLLENRVISTPMIFPGTDESHNGPLTVVSSALSHEATLVENVRFDDIIFSNKGSLLDTSHLRIVPEEATGKGSRVVSPVETATVQNHQSKTSDKLKVMGAQASTADSKCSLGLLDNTTDDNSFKPLDAVRDRKNASVNQKPRRNCKSNLSHKEVQLNTAKECKIDASYKAPKALESKSLPEFESFVVEEEEGAGGYGTVYRARRKVDGRIFAIKCPHANAHLHHVNNELKMLERFGGRNFVIKFEGSFKSGDAECFVLEHVEHDRPELLKKEIDIFELQWYGYCMFRALASLHKQGIVHRDVKPGNFLFSRKLNKGYLIDFNLAHDLQRKYFKGRKETMSKTNTDSVSLGSTKPTSFSQSRKNVYDGILAQIDDSKKALVSKNMKKKSRQGQAEKIDVIDLKNKYGSQAADVSGVTSAKDPTSTKTSGDRLKQPIPSKGRKELINFVHEAMQVPNHKGESASIPVSHRKRVTVPMGKVDRRGLMPTPMPLHPGEIGKVKREGPCVGTKGFRAPEVLFKSHHQGCKLDVWSAGVTLLYLVIGRAPFGGDPEQNIKEIAKLRGSEDLWEVAKLHDREFSFPTDLLNVQSLQSMNLKRLVSHEHKKNWVY >DRNTG_05668.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:42532:44795:-1 gene:DRNTG_05668 transcript:DRNTG_05668.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVIGNKWDTYLDLLQADYSEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNSLEKTEAN >DRNTG_11247.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21847046:21850004:-1 gene:DRNTG_11247 transcript:DRNTG_11247.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAAKHALGPYLRRVGASSRHASSISLMSGSGSYPSVLPGQCIPVVLFVFNDDFSESSGSVTNGEEPADASLLNQSLNVGGIPKLGMTLKGSGSVLMLARPVNKSEGGFRKKLHSSLETQIRVLIKKCRTLVGGDSAHLGVRGAANLSSLPLFALDASRVVALFDRSASQRGEPLDFVTSFVEEALDSKTALDMVMLEKHCQNVAHEDIQVIKDFVQRQSDALRGRGGLPSNANSGSVAGVGIAAAAAAAAAASAASGKTVSAPELPSLENWLSSASSILDALLSVKHGFVDENIGASHQRFASETQDEKSGYRAIEAATSLLESSKGLNMRFSVSWCQKALPAAKDVYLKDLPACYPTALHKTRLEKALNAFHSMVKGPAREMFAEKLVNECTSLWESGRQLCDAVSLTGKPCIHQRHNTELGNSPLAAVVKQHSSGFVFLHACACGRSRLMRDDPFDFESANVSFNCFANCEDVLPTLVLPKGTSTSPLPRNSWRLVRLGGAKYYKPAKGLLQVGFCSTEKFLLKWTISFVKQSAMTSSSVVDINTTVLQNSTSDTKTGTHLNKESKNFGSGHMAKYQPSGSGPENQKSTDVVSHDNSVIKFGKGLPTFSIKKPFAEVVAGTNAINLTLPVLQQRKQPKASSEKGVIQKSTGDQPDNQARIVDDRQGSQRADPKIGQESIERIGTNSNMNGDPFLQIGSNIVPVNLSAGMKIKPNDYLKQVIVYIGWEHECSHGHRFLLSPKHLNELETQHDTSEKSHSSTEDSERNFIKSKNMSTEEVFPNLSGIDGVNIVRTANKSIEPTVNYSQQRDTSADTLEDNEGDILHVRHDDGQAAYSLLNQNLPIYMNCPHCRNSNEDCSQKTKFTSTLSQLQRIFVVSTLPKCSLVDMHFAVLSFCNIYMYICDSVLIFIGWFFCMLNTRKQREPLKFF >DRNTG_11247.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21846280:21850996:-1 gene:DRNTG_11247 transcript:DRNTG_11247.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMMFLVCHVVVFLREGIRFDTNILKKLRMLQAAKHALGPYLRRVGASSRHASSISLMSGSGSYPSVLPGQCIPVVLFVFNDDFSESSGSVTNGEEPADASLLNQSLNVGGIPKLGMTLKGSGSVLMLARPVNKSEGGFRKKLHSSLETQIRVLIKKCRTLVGGDSAHLGVRGAANLSSLPLFALDASRVVALFDRSASQRGEPLDFVTSFVEEALDSKTALDMVMLEKHCQNVAHEDIQVIKDFVQRQSDALRGRGGLPSNANSGSVAGVGIAAAAAAAAAASAASGKTVSAPELPSLENWLSSASSILDALLSVKHGFVDENIGASHQRFASETQDEKSGYRAIEAATSLLESSKGLNMRFSVSWCQKALPAAKDVYLKDLPACYPTALHKTRLEKALNAFHSMVKGPAREMFAEKLVNECTSLWESGRQLCDAVSLTGKPCIHQRHNTELGNSPLAAVVKQHSSGFVFLHACACGRSRLMRDDPFDFESANVSFNCFANCEDVLPTLVLPKGTSTSPLPRNSWRLVRLGGAKYYKPAKGLLQVGFCSTEKFLLKWTISFVKQSAMTSSSVVDINTTVLQNSTSDTKTGTHLNKESKNFGSGHMAKYQPSGSGPENQKSTDVVSHDNSVIKFGKGLPTFSIKKPFAEVVAGTNAINLTLPVLQQRKQPKASSEKGVIQKSTGDQPDNQARIVDDRQGSQRADPKIGQESIERIGTNSNMNGDPFLQIGSNIVPVNLSAGMKIKPNDYLKQVIVYIGWEHECSHGHRFLLSPKHLNELETQHDTSEKSHSSTEDSERNFIKSKNMSTEEVFPNLSGIDGVNIVRTANKSIEPTVNYSQQRDTSADTLEDNEGDILHVRHDDGQAAYSLLNQNLPIYMNCPHCRNSNEDCSQKTKFTSTLSQLQRIFVVTPAFPTVLAISPVIQFRASISKYSNLIFSLQV >DRNTG_11247.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21844864:21850996:-1 gene:DRNTG_11247 transcript:DRNTG_11247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMMFLVCHVVVFLREGIRFDTNILKKLRMLQAAKHALGPYLRRVGASSRHASSISLMSGSGSYPSVLPGQCIPVVLFVFNDDFSESSGSVTNGEEPADASLLNQSLNVGGIPKLGMTLKGSGSVLMLARPVNKSEGGFRKKLHSSLETQIRVLIKKCRTLVGGDSAHLGVRGAANLSSLPLFALDASRVVALFDRSASQRGEPLDFVTSFVEEALDSKTALDMVMLEKHCQNVAHEDIQVIKDFVQRQSDALRGRGGLPSNANSGSVAGVGIAAAAAAAAAASAASGKTVSAPELPSLENWLSSASSILDALLSVKHGFVDENIGASHQRFASETQDEKSGYRAIEAATSLLESSKGLNMRFSVSWCQKALPAAKDVYLKDLPACYPTALHKTRLEKALNAFHSMVKGPAREMFAEKLVNECTSLWESGRQLCDAVSLTGKPCIHQRHNTELGNSPLAAVVKQHSSGFVFLHACACGRSRLMRDDPFDFESANVSFNCFANCEDVLPTLVLPKGTSTSPLPRNSWRLVRLGGAKYYKPAKGLLQVGFCSTEKFLLKWTISFVKQSAMTSSSVVDINTTVLQNSTSDTKTGTHLNKESKNFGSGHMAKYQPSGSGPENQKSTDVVSHDNSVIKFGKGLPTFSIKKPFAEVVAGTNAINLTLPVLQQRKQPKASSEKGVIQKSTGDQPDNQARIVDDRQGSQRADPKIGQESIERIGTNSNMNGDPFLQIGSNIVPVNLSAGMKIKPNDYLKQVIVYIGWEHECSHGHRFLLSPKHLNELETQHDTSEKSHSSTEDSERNFIKSKNMSTEEVFPNLSGIDGVNIVRTANKSIEPTVNYSQQRDTSADTLEDNEGDILHVRHDDGQAAYSLLNQNLPIYMNCPHCRNSNEDCSQKTKFTSTLSQLQRIFVVTPAFPTVLAISPVIQFRDSCLPASITDREQHSQFSLGCQVILPPESFLTLRLPFIYGVRMGDGNLHPLKPLENQPELSAWLMKGTTLQVVSKAHVSDEVHE >DRNTG_11913.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:437206:440642:-1 gene:DRNTG_11913 transcript:DRNTG_11913.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDYSPPLLNDELSKRTVIFGLHLWVIVGIGVGVAFVLLLVIISLWIASKRSTTVPPPSRKPTIPSISKEIQEIHVDPSVPKPLAQILSHSQPDSDPLTERQALLVSPDEEAAMGMNRIQIEIGKDHRIVYPERGSGGGSSHGSGDSRSAEQTAPAPPEVSHLGWGHWYTLRELEAATNMFADENVIGEGGYGIVYHGVLGDNTQVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEYVDNGNLEQWLHGDVGPCSPLTWDIRMNIILGTAKGLMYLHEGLEPKVVHRDVKSSNILLDRQWHAKVSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRNPVDYSRPPGEVNLVDWLKSMVSNRNSEGVLDPKLPEKPSSRALKRVLLVALRCVDPDAQKRPKMGHVIHMLEIDDFPYRDDRRIGREGRMQEKPGE >DRNTG_18251.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4280182:4284158:1 gene:DRNTG_18251 transcript:DRNTG_18251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASSETLFLLVFISFLRFQSPLSIRINLHFPFSKTQPACPRPSTATSIPIAKTLYPVAATVTVLIPLPRRMPCSAPYHPPPRSLPP >DRNTG_10541.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3341658:3343988:-1 gene:DRNTG_10541 transcript:DRNTG_10541.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDACEKSPATVICCADEAALCASCDVEIHAANKLASKHQRLLLQCLSNKLPRCDICQEKPAFIFCVEDRALFCRECDEPIHVAGSLSGNHQRFLATGIRVALSSGCNNDINKECFEPPNRHAPLQAPNNLSTQQTPSAFTQSAWAVDEFLQLSDYESADKKETPAGIGELEWLQT >DRNTG_02799.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1051446:1065222:1 gene:DRNTG_02799 transcript:DRNTG_02799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYNPLSLYAVPTYSSQVPKRWNISRRRCYVVAKIGTSDSPRKTGHVAEYFKDISPRNQSDPRTAHKWHGPNNRKTILAFYHLVHSNHLVHILHMLEKQYMCGPCMSFLSRRDGAGTETGIALLSPYGHFQVKHELQAGGAQVESTATRRTERTGRLKVADSGASGLNSVIFSKTIVKQKTMTNFCKMLLKISIWALIVKLAFSANDDLITYNGFKGATNLSFDSLAAVTPDGLLSLTNCTNHSKGHAFFSVPIQFKKSPAGKVVTFSTLFVFAVVPEYPAFGDNGLAFVLSPSKDLSHAWNENYLGLFNETNDGKSSNHIFAVELDTTLNPQNKDINDNHVGIDINSIISNYSTPAGFTSDDDGQFKNVNLKSGDPIQVWIEYDGLNMQFNVTLSPLWMPKPKVALFSSTINLSSIILDHMYVGFSASTGLAYAYHYILGWSFKTDGKVPELNISSLPQLPRTTTPSKDKLKDITIWLPLSLSVLVLMAAAGAKIIMARKKMFSELHEDWEVDFELHRFSYKQLYKATRGFRDEYLLGVGGFGRVYRGVLPDTKVEVAIKRVCHESRQGVREFVAEIVSLGQLQHRNLVPLLGYSRREGELILVYEYMPNRSLDKFLFSDDESTLSWSQRIWIIKGVASGLMYLHEDWDRVVIHRDVKASNVLLDGDMNGRLGDFGLARLYDHGGVPQTTHLAGTVEVACGRRPIEPDKAEDQQVLMDWVVANWRKGTIMETKDERLGEEYVAEELELVLKLGLLCSHPLPTARPSMRQVTQILHGGIPFPDLMLNQLGTVDSTFVKYEGSSAYVMSFTSMSSESLLFSGR >DRNTG_26396.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18104825:18108521:-1 gene:DRNTG_26396 transcript:DRNTG_26396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAIAGSSRRRRRQRNFDSNFPKGMRVMAVDDDLVCLKFLEILLLHCGYAVTATQYPCLALNLLRQNSDAYDLVIIDVQMPDMDGFQLLESIVVEMDIPVIMLSIHSDTKSVTKCIEHGACDYMVKPARFEELKLIWKHVVKKSMSETAFEHPSATAALPLGSGDGSSQASGNDHPDQGKGKENELPANVSDEDSSLVKKARVTWSSDLHAVFVRAVNTVGLDRAGPKRILEVMGVPGLSRQNVASHLQKYRRALRLYGRDFFNIVEGDAGAGASGGADTSGVKAKPQRTMALALTQPVPDNGRLTEDLRVAGFPNNQTAAPVRETPMFSHPMSNQNALVQTRPSTSLGSQQTIRHISSPSYPALIDLTGRQATLDYRNQQSFISTLDQINDYIRKTSQNFLPSSIPPVPTFASGPSTGWTTGFVTDVNSSLAQHGNQQYQSTAPLVSVIPSKQDVQWGSGAYTKTGPSSGAQNNEATLNVDNHAVAPLHGTSYAESGIYLSEPSIADGTFLFDDDNDGDDLHAMLRQFSVSK >DRNTG_26396.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18106506:18108521:-1 gene:DRNTG_26396 transcript:DRNTG_26396.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAIAGSSRRRRRQRNFDSNFPKGMRVMAVDDDLVCLKFLEILLLHCGYAVTATQYPCLALNLLRQNSDAYDLVIIDVQMPDMDGFQLLESIVVEMDIPVIMLSIHSDTKSVTKCIEHGACDYMVKPARFEELKLIWKHVVKKSMSETAFEHPSATAALPLGSGDGSSQASGNDHPDQGKGKENELPANVSDEDSSLVKKARVTWSSDLHAVFVRAVNTVGLD >DRNTG_26396.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18105103:18108521:-1 gene:DRNTG_26396 transcript:DRNTG_26396.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAIAGSSRRRRRQRNFDSNFPKGMRVMAVDDDLVCLKFLEILLLHCGYAVTATQYPCLALNLLRQNSDAYDLVIIDVQMPDMDGFQLLESIVVEMDIPVIMLSIHSDTKSVTKCIEHGACDYMVKPARFEELKLIWKHVVKKSMSETAFEHPSATAALPLGSGDGSSQASGNDHPDQGKGKENELPANVSDEDSSLVKKARVTWSSDLHAVFVRAVNTVGLDRAGPKRILEVMGVPGLSRQNVASHLQKYRRALRLYGRDFFNIVEGDAGAGASGGADTSGVKAKPQRTMALALTQPVPDNGRLTEDLRVAGFPNNQTAAPVRETPMFSHPMSNQNALVQTRPSTSLGSQQTIRHISSPSYPALIDLTGRQATLDYRNQQSFISTLDQINDYIRKTSQNFLPSSIPPVPTFASGPSTGWTTGFVTDVNSSLAQHGNQQYQSTAPLVSVIPSKQDVQWGSGAYTKTGPSSGAQNNEATLNVDNHAVAPLHGTSYAESGIYLSEPSIADGTFLFDDDNDGDDLHAMLRQFSVSK >DRNTG_16865.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15810766:15811919:1 gene:DRNTG_16865 transcript:DRNTG_16865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGSKFQQRGKQRFGGSLELKERKEKRRRYHLLKEVALGALRATQANASSVTKNAAA >DRNTG_25654.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21715989:21721206:-1 gene:DRNTG_25654 transcript:DRNTG_25654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDSRENECGPDYFGYWKHLFLDLLSPNGSALLPLKESDTEPAAGTCSVGGNGSVSYFSEALSKGLSELKKEKLNLILKQSISCLNNEADEMLDNIYAAFQLEADLRGKELLGCYASASQEDLSLHSGHRKRKAPSSPMSSYPDNHTLQTAKQVYDAIKSLQGSGEIGHEAVEKYLNEMLLKLGKMEVDLEDFLNVLVSKCSRPMTTAEKRRLGRLVQKLPSKAVDRLAEIIKNRNPATNQNSDTIQVDFEELDDVTLWRLHFYVENVAKANCLHT >DRNTG_25654.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21715989:21721206:-1 gene:DRNTG_25654 transcript:DRNTG_25654.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDSRENECGPDYFGYWKHLFLDLLSPNGSALLPLKESDTEPAAGTCSVGGNGSVSYFSEALSKGLSELKKEKLNLILKQSISCLNNEADEMLDNIYAAFQLEADLRGKELLGCYASASQEDLSLHSGHRKRKAPSSPMSSYPDNHTLQTAKQVYDAIKSLQGSGEIGHEAVEKYLNEMLLKLGKMEVDLEDFLNVLVSKCRPMTTAEKRRLGRLVQKLPSKAVDRLAEIIKNRNPATNQNSDTIQVDFEELDDVTLWRLHFYVENVAKANCLHT >DRNTG_19942.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:19013211:19017380:1 gene:DRNTG_19942 transcript:DRNTG_19942.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger CCCH domain-containing protein 16 [Source:Projected from Arabidopsis thaliana (AT1G75340) UniProtKB/Swiss-Prot;Acc:Q9FWS3] MNRRRELCRNFQRGSCQFGDRCKFLHATQPQSKPNPFGFVTQNPSQPPHTAQQQRPNPFGFGVQNNSNDRDASNFGARRQVPTKPFENKWTRNSVTNQSQQTEAQPQAPVHKCTDSDSCKRQIADDFKNEAPIWKLTCYGHCKYGPCDVSGDISYEELRAAAYEDSKQGLGLQSIVSELVNGPLNSVFFSVHLVYHKAFLG >DRNTG_19942.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:19013211:19017380:1 gene:DRNTG_19942 transcript:DRNTG_19942.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger CCCH domain-containing protein 16 [Source:Projected from Arabidopsis thaliana (AT1G75340) UniProtKB/Swiss-Prot;Acc:Q9FWS3] MNRRRELCRNFQRGSCQFGDRCKFLHATQPQSKPNPFGFVTQNPSQPPHTAQQQRPNPFGFGVQNNSNDRDASNFGARRQVPTKPFENKWTRNSVTNQSQQTEAQPQAPVHKCTDSDSCKRQIADDFKNEAPIWKLTCYGHCKYGPCDVSGDISYEELRAAAYEDSKQGLGLQSIVDRERNLLNSKLIEFGNFTPKSICSFTKS >DRNTG_32578.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:11014168:11014950:1 gene:DRNTG_32578 transcript:DRNTG_32578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNVDGYYDSLLSFIDKGVQEGFIKPNGLHIIISASNAKELIKKLKVVFTRF >DRNTG_29955.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:11641522:11641846:-1 gene:DRNTG_29955 transcript:DRNTG_29955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSAAADKSLVPVDQLVVLEMPKGFGTHNHCVRTSVKSLWSTPHSTLELSKQSWARGGEKMPTGGGPYAGGGTSASIDIG >DRNTG_31961.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23344089:23344869:-1 gene:DRNTG_31961 transcript:DRNTG_31961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLKCVKGCEISSYVFTYCYVPFGQLSKQSTPLKNLLQPLSNNAFRKEAFFKVLSVGHGLVASKVNHGVSFLPCVKASEAALAMASNGEKNIKLVLSLFNKAYSIPHFEW >DRNTG_21864.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19284906:19289711:-1 gene:DRNTG_21864 transcript:DRNTG_21864.9 gene_biotype:protein_coding transcript_biotype:protein_coding MNLISKKSELVTMLQMVDHRYSQCSDQIRGVISAFCDATESHYSQLHTRLALQMITSFYKNLRHRIMNQILLMSQQSGNQCPSDKEKTFESSFIQRQWALQHLRRNDQQSWRPQRGLPEKSVSVLRAWMFQNFLHPYPKDNEKQLLAIKSGLTRSQVSNWFINARVRLWKPMIEEMYSELNRKNRDESGGDRRGHGNIINLRMQNMS >DRNTG_21864.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19284906:19289711:-1 gene:DRNTG_21864 transcript:DRNTG_21864.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNLISKKSELVTMLQMVDHRYSQCSDQIRGVISAFCDATESHYSQLHTRLALQMITSFYKNLRHRIMNQILLMSQQSGNQCPSDKEKTFESSFIQRQWALQHLRRNDQQSWRPQRGLPEKSVSVLRAWMFQNFLHPYPKDNEKQLLAIKSGLTRSQVSNWFINARVRLWKPMIEEMYSELNRKNRDESGGDRRGHGNIINLRMQNMS >DRNTG_21864.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19284906:19291175:-1 gene:DRNTG_21864 transcript:DRNTG_21864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLISKKSELVTMLQMVDHRYSQCSDQIRGVISAFCDATESHYSQLHTRLALQMITSFYKNLRHRIMNQILLMSQQSGNQCPSDKEKTFESSFIQRQWALQHLRRNDQQSWRPQRGLPEKSVSVLRAWMFQNFLHPYPKDNEKQLLAIKSGLTRSQVSNWFINARVRLWKPMIEEMYSELNRKNRDESGGDRRGHGNIINLRMQNMS >DRNTG_21864.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19284906:19289711:-1 gene:DRNTG_21864 transcript:DRNTG_21864.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNLISKKSELVTMLQMVDHRYSQCSDQIRGVISAFCDATESHYSQLHTRLALQMITSFYKNLRHRIMNQILLMSQQSGNQCPSDKEKTFESSFIQRQWALQHLRRNDQQSWRPQRGLPEKSVSVLRAWMFQNFLHPYPKDNEKQLLAIKSGLTRSQVSNWFINARVRLWKPMIEEMYSELNRKNRDESGGDRRGHGNIINLRMQNMS >DRNTG_21864.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19284906:19289711:-1 gene:DRNTG_21864 transcript:DRNTG_21864.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNLISKKSELVTMLQMVDHRYSQCSDQIRGVISAFCDATESHYSQLHTRLALQMITSFYKNLRHRIMNQILLMSQQSGNQCPSDKEKTFESSFIQRQWALQHLRRNDQQSWRPQRGLPEKSVSVLRAWMFQNFLHPYPKDNEKQLLAIKSGLTRSQVSNWFINARVRLWKPMIEEMYSELNRKNRDESGGDRRGHGNIINLRMQNMS >DRNTG_21864.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19284906:19289711:-1 gene:DRNTG_21864 transcript:DRNTG_21864.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNLISKKSELVTMLQMVDHRYSQCSDQIRGVISAFCDATESHYSQLHTRLALQMITSFYKNLRHRIMNQILLMSQQSGNQCPSDKEKTFESSFIQRQWALQHLRRNDQQSWRPQRGLPEKSVSVLRAWMFQNFLHPYPKDNEKQLLAIKSGLTRSQVSNWFINARVRLWKPMIEEMYSELNRKNRDESGGDRRGHGNIINLRMQNMS >DRNTG_21864.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19284906:19289711:-1 gene:DRNTG_21864 transcript:DRNTG_21864.8 gene_biotype:protein_coding transcript_biotype:protein_coding MNLISKKSELVTMLQMVDHRYSQCSDQIRGVISAFCDATESHYSQLHTRLALQMITSFYKNLRHRIMNQILLMSQQSGNQCPSDKEKTFESSFIQRQWALQHLRRNDQQSWRPQRGLPEKSVSVLRAWMFQNFLHPYPKDNEKQLLAIKSGLTRSQVSNWFINARVRLWKPMIEEMYSELNRKNRDESGGDRRGHGNIINLRMQNMS >DRNTG_21864.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19284906:19290294:-1 gene:DRNTG_21864 transcript:DRNTG_21864.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLISKKSELVTMLQMVDHRYSQCSDQIRGVISAFCDATESHYSQLHTRLALQMITSFYKNLRHRIMNQILLMSQQSGNQCPSDKEKTFESSFIQRQWALQHLRRNDQQSWRPQRGLPEKSVSVLRAWMFQNFLHPYPKDNEKQLLAIKSGLTRSQVSNWFINARVRLWKPMIEEMYSELNRKNRDESGGDRRGHGNIINLRMQNMS >DRNTG_21864.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19284906:19291069:-1 gene:DRNTG_21864 transcript:DRNTG_21864.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLISKKSELVTMLQMVDHRYSQCSDQIRGVISAFCDATESHYSQLHTRLALQMITSFYKNLRHRIMNQILLMSQQSGNQCPSDKEKTFESSFIQRQWALQHLRRNDQQSWRPQRGLPEKSVSVLRAWMFQNFLHPYPKDNEKQLLAIKSGLTRSQVSNWFINARVRLWKPMIEEMYSELNRKNRDESGGDRRGHGNIINLRMQNMS >DRNTG_27959.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3535251:3535778:1 gene:DRNTG_27959 transcript:DRNTG_27959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDDPNYKKWFKFDHVWHIVRNFEKCKDNVMISRQISRKHVFDYLSLESENPMSESQALESPGLSQFSLNLDDGVVGSPFERPIGQKKAKLKKKMDDEEAFSFCRLKDANSKIMEMLEKTNADRQMFIEMQNKNLAFQQMKYEDEILMRDLNSITDPNIRACIQAQQQEILQKR >DRNTG_30289.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16920744:16922722:1 gene:DRNTG_30289 transcript:DRNTG_30289.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLSVSTADSIISKIPPSSKTPCFSQQTAPRHKED >DRNTG_30289.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16920744:16921429:1 gene:DRNTG_30289 transcript:DRNTG_30289.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLSVSTADSIISKIPPSSKTPCFSQQTAPRHKED >DRNTG_30289.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16920744:16922722:1 gene:DRNTG_30289 transcript:DRNTG_30289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGWGVRRMAQVGEEMRCVAHVQAEAFHVPMFFFNNLFFEFFKAEVLSALIYKIRNSPPDRYACLVAESVNANTAEIVAETGIVGVVDVTVQRDNDIVKHLQGAEEYVYVSGICVPTKFRRRKIATALLEACDALAVHWGFNCIALRAYEDDSAARKLYKNAGYKMVSADPSWFVLLGRKRRILMVKQQSSFH >DRNTG_30289.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16920744:16922722:1 gene:DRNTG_30289 transcript:DRNTG_30289.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLSVSTADSIISKIPPSSKTPCFSQQTAPRHKED >DRNTG_29735.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:617698:622911:-1 gene:DRNTG_29735 transcript:DRNTG_29735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHRLRNKFHRPILNLDRIWSLVPEDVAAKADASSGSVPLVDVTQFGYFKVLGKGQLPEGKSVIVKAKLVSKIAEKKIKEAGGAVVLTA >DRNTG_29735.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:622135:622911:-1 gene:DRNTG_29735 transcript:DRNTG_29735.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHRLRNKFHRPILNLDRIWSLVPEDVAAKADASSGSVPLVDVTQFGYFKVLGKGQLPEGKSVIVKAKLVSKIAEKKIKEAGGAVVLTA >DRNTG_12842.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21154249:21154968:1 gene:DRNTG_12842 transcript:DRNTG_12842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPWRDRPVSESLKLFDDMRRGLIDEGKATLRLKQDMQSDNKNMYDLIAYRIKFTPHPHDGDKWCIYPSYDYAHCIVDSLENITHS >DRNTG_12842.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21154249:21154776:1 gene:DRNTG_12842 transcript:DRNTG_12842.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPWRDRPVSESLKLFDDMRRGLIDEGKATLRLKQDMQSDNKNMYDLIAYRIKV >DRNTG_02223.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1168831:1171550:-1 gene:DRNTG_02223 transcript:DRNTG_02223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVDSDQVKSEALHPVGPSPVGCGRSVNGPGFGAGA >DRNTG_14827.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:16418657:16422356:-1 gene:DRNTG_14827 transcript:DRNTG_14827.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLSNNLVGLLNFIVFLLSIPILAGGIWLSTRASTDCEKFLEKPIIAIGAFLLIVSLAGLIGACCRVSWLLWIYLLVMFLLIVLLFCFTIFAFVVTNKGAGEVVSQRGYKEYRLGDYSNWLQKRVNNEGNWAKIRSCIQDSKVCTSLSEKKQTFDQFINENLSPLQSGCCKPPTACNFTYQSETVWTKPTNFISGNSDCNTWQNDPKILCYDCQSCKAGVLANLKHDWKKVAIVNIIFLIFLIIVYSVGCCAFRNNREDNAYPRWKGHA >DRNTG_14827.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:16418657:16422580:-1 gene:DRNTG_14827 transcript:DRNTG_14827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLSNNLVGLLNFIVFLLSIPILAGGIWLSTRASTDCEKFLEKPIIAIGAFLLIVSLAGLIGACCRVSWLLWIYLLVMFLLIVLLFCFTIFAFVVTNKGAGEVVSQRGYKEYRLGDYSNWLQKRVNNEGNWAKIRSCIQDSKVCTSLSEKKQTFDQFINENLSPLQSGCCKPPTACNFTYQSETVWTKPTNFISGNSDCNTWQNDPKILCYDCQSCKAGVLANLKHDWKKVAIVNIIFLIFLIIVYSVGCCAFRNNREDNAYPRWKGHA >DRNTG_13686.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15723600:15723757:1 gene:DRNTG_13686 transcript:DRNTG_13686.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQDAMTSKEPIKVGEELPLNWRRPSPQTLAASLSMSMLKAPQKVPLESSK >DRNTG_24946.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9746791:9748828:1 gene:DRNTG_24946 transcript:DRNTG_24946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANDVMDAFVCILQKSISVVPYCYKKRASITRPLALFMSKQGDDVHKSTMAMIGDAERNLHEVDIVILPIIMSGHFHVVILDNDKQEYMHYSS >DRNTG_24426.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8349061:8349938:1 gene:DRNTG_24426 transcript:DRNTG_24426.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMITENNLFLIQNQAILLIPSLPPLSSSSSQMGFKALISLAFLALLSFHVNSQSCNLYEGSWIFDESFPLYNSSICPHLRREFDCQKYGRPDKNYLNYRWQPSSELESMAIFALHATLGGTEQHQNTLRRHRCHLLHHI >DRNTG_28523.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6441581:6449014:1 gene:DRNTG_28523 transcript:DRNTG_28523.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMEGGGNGVGVAEGGAGGGVAMEFPAGESSGRVPRRIRRRLMESKGSGPSSVEEIEAKLREADLRRQQFHEWLSSKARQKPRSPSWSSQEEELGQRLEAKLYAAEQKRLSLLGKAQMRLARLDKLRQAAKTGVEKRFETEREKLGTKVESRVQQAEENRMRLLKAHMQRQAAAQERKARSVLQRITRENKYKECVRSAILQKRAAAEKKRLGFLEAEKSRVHARVMQVHTVAKSVCHQRESERRRLKEQLENRLQRARRQRAEYLRQRGSSQSSLCINVSKHGEFLSQKLARCWRCFVRSRKTTFTLAKAYDALELNESTLKAMPFEQLALRIESASALQTVKLLLERLECRFLLSQTSSSGPENIDHLLKRLASPNRKGASGKATRTRVPAKQVGSRESRSTLASSLPRYSVRVVLCAYMILGHPSAVFSEQGDREHALMESAAKFVREFELLIKIILDGPNKSLSSRKSSLEIMSDDADNPPDTSSRLASQLNFRNQLVAFDAAWRSYLYCFVVWKVKDARSLEDDLVRAACQLELSMIQTCKLTSEGQTCELSHDMRAIQMQVAKDQQLLREKVRHLSGNAGIERMEYALKDTRSKYFEAKENGSPPAAHIPHISSPVSSTTTSESAPGQGSLKSRPVARSLFNGNSVTPKAAADTPSLDAELSLPLKKQTPTDNEVLVNEIIHASRGGIEGYSYVSDRDELSIRAKVKETMEKAFWDGIMEALNKEEPDYGRIVSLVKEVRDELCEMSPQSWKQEILESIDLEILSQVLESGVQDTDYLGRILEYALRMLQKLSAPAAEEEMKKTHEELLSELAAIPHSGGKSNSSSIIATVKGLRFVLDEIQVLKKEISKARILMMEPIIKGSAGLEYLHKAFADRYGPPDTANALPLTVQWITSVKSNLEEWEEHSNSISTLSTTNGAAFVTTLRTGGSIPLVSKEGMSKSQPSVADAPGAAGEHPGCSGDRIDILLRLGLLKLVSRIQGLDLDTLPETLELNLKRLRSVQSQLQKIIVIATSMLVLRQVLVSEKASSDLENMISNSVKRLSELLDNATDAGIEEIIDSIVGSSTEDPKMQGRREMMARMLTKSLQNNDPVFTKVSKSIYLAARGLVFRRDWNARASTRRCCASPCWGCRPP >DRNTG_02715.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19539753:19548052:-1 gene:DRNTG_02715 transcript:DRNTG_02715.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTNTGVVGDDEEFYESLDRILSSSCSSTSVSDDDAGDLHRRRFRHHHFPWFPPSSAFSYDLWISEPSSVEERRRRLLQCMGLAGDVSGESGVVVESAVTPLPARVRDVEIARSVSCEGFMWHPHAAVARVERSRSDGCVDPGVHSGQPPLMPKSQLSKEVLDGDGDPRLTIKNLDDGREFVVREDGSWDKVREVGTGRQLTMDEFEMCVGRSPIVQELMRRQSGTGTSPSCNGCGISGGSSAASRSSGSARSKKRGSWLRSIKNVAGSVVAGGYYQRDRPSSDEKDTSSEKGGRRSSSATDDSQDGIHHFPERIRVRQYGKTQKDLTAMCLSQVIQAHSGAIWCIKFSLDGRFLASAGEDCVIHVWQVTGYERNEELFLEEGTKENGNCKPFFASLTDGLAEPTLELAGVEGSYWEKKRTKTLSNWKYISSDHLVVPEKFFALGEKPVCSFKGHVADVLNLSWSKTQYLLSSSMDKTVRLWHLSSSSCLKTFSHSDYVPPNIDFPWPSDLYSI >DRNTG_02715.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19539753:19548171:-1 gene:DRNTG_02715 transcript:DRNTG_02715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTNTGVVGDDEEFYESLDRILSSSCSSTSVSDDDAGDLHRRRFRHHHFPWFPPSSAFSYDLWISEPSSVEERRRRLLQCMGLAGDVSGESGVVVESAVTPLPARVRDVEIARSVSCEGFMWHPHAAVARVERSRSDGCVDPGVHSGQPPLMPKSQLSKEVLDGDGDPRLTIKNLDDGREFVVREDGSWDKVREVGTGRQLTMDEFEMCVGRSPIVQELMRRQSGTGTSPSCNGCGISGGSSAASRSSGSARSKKRGSWLRSIKNVAGSVVAGGYYQRDRPSSDEKDTSSEKGGRRSSSATDDSQDGIHHFPERIRVRQYGKTQKDLTAMCLSQVIQAHSGAIWCIKFSLDGRFLASAGEDCVIHVWQVTGYERNEELFLEEGTKENGNCKPFFASLTDGLAEPTLELAGVEGSYWEKKRTKTLSNWKYISSDHLVVPEKFFALGEKPVCSFKGHVADVLNLSWSKTQYLLSSSMDKTVRLWHLSSSSCLKTFSHSDYVTCIQFNPVDDRYFISGSLDEKVRIWSIPDRQVADWNDLHEMVTAASYTPDGQAALVGTHRGSCHLYNTFDNKLQQKSQIDLQNKKRGYSHKKITGFQIHESVSLMVLG >DRNTG_02715.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19539753:19548052:-1 gene:DRNTG_02715 transcript:DRNTG_02715.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTNTGVVGDDEEFYESLDRILSSSCSSTSVSDDDAGDLHRRRFRHHHFPWFPPSSAFSYDLWISEPSSVEERRRRLLQCMGLAGDVSGESGVVVESAVTPLPARVRDVEIARSVSCEGFMWHPHAAVARVERSRSDGCVDPGVHSGQPPLMPKSQLSKEVLDGDGDPRLTIKNLDDGREFVVREDGSWDKVREVGTGRQLTMDEFEMCVGRSPIVQELMRRQSGTGTSPSCNGCGISGGSSAASRSSGSARSKKRGSWLRSIKNVAGSVVAGGYYQRDRPSSDEKDTSSEKGGRRSSSATDDSQDGIHHFPERIRVRQYGKTQKDLTAMCLSQVIQAHSGAIWCIKFSLDGRFLASAGEDCVIHVWQVTGYERNEELFLEEGTKENGNCKPFFASLTDGLAEPTLELAGVEGSYWEKKRTKTLSNWKYISSDHLVVPEKFFALGEKPVCSFKGHVADVLNLSWSKTQYLLSSSMDKTVRLWHLSSSSCLKTFSHSDYVTCIQFNPVDDRYFISGSLDEKVRIWSIPDRQVADWNDLHEMVTAASYTPDGQAALVGTHRGSCHLYNTFDNKLQQKSQIDLQNKKRGYSHKKITGFQFAPGSSSEVLITSADSRIRVIDGAGLVHKFKGLRNTNSQISASFTTSGDHVISASEDSQVYIWRYGIDSRPSRRKGVIPVTQSYEYFHCKDVTVAVPWPGVNVGTTTATAAQNDRLNDLNETFQANFNLQSEANEQEGMPTYRRTDGSQQDCILNTNSSNFGDRISSTWPEEKLLMASKINAGFSNAGMFLQSSSALGLVIVTAGRGGEIKIHQNFGLPVRI >DRNTG_02254.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1017702:1018793:1 gene:DRNTG_02254 transcript:DRNTG_02254.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKGDCGIHGSCKRRKLYWRVFACVLAIIILILLIILIIWLVLRPTKPRFYLQDVTVQQFNLSSPNLLSSSIQITISSRNPNDNVGIYYDRLDSFIFYKNQQITLATSLPTGYQGHNDITMWSPYLEGSMVPIAPYLCDAIQQDESAGLLLLYIKIDGRLRWKVGSWISGHYHIYVNCPALLTFGNGKANGYSPSLKFNQISTCSVDV >DRNTG_02254.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1017753:1018793:1 gene:DRNTG_02254 transcript:DRNTG_02254.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKGDCGIHGSCKRRKLYWRVFACVLAIIILILLIILIIWLVLRPTKPRFYLQDVTVQQFNLSSPNLLSSSIQITISSRNPNDNVGIYYDRLDSFIFYKNQQITLATSLPTGYQGHNDITMWSPYLEGSMVPIAPYLCDAIQQDESAGLLLLYIKIDGRLRWKVGSWISGHYHIYVNCPALLTFGNGKANGYSPSLKFNQISTCSVDV >DRNTG_29574.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2274229:2275733:-1 gene:DRNTG_29574 transcript:DRNTG_29574.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLAKCGEFLAVAGEDEDGVVSREMDKIKCKAGELVDKIENLENEVDCSCVDLRGAALTLLQTVTEQVGNSDQQVSIEIRT >DRNTG_31520.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11096628:11100279:-1 gene:DRNTG_31520 transcript:DRNTG_31520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRSHGEHENMIRAKKKEKTRVHITAVKTL >DRNTG_17150.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17510982:17548188:1 gene:DRNTG_17150 transcript:DRNTG_17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWKVLYSLSKDFLIRAGIERLHGRGYFTRPCGISTRAWIISTCPCGFSELLFSRPAVNIVATVLYSVATLLCYSIQPE >DRNTG_32605.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15427101:15430238:1 gene:DRNTG_32605 transcript:DRNTG_32605.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHWNQHKHPNNPSNINTLKLNMKIWRGIDLDVVRLLPEHRVEHEEQYATGSGSGGGTGGDDEVCM >DRNTG_14766.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000742.1:11019:14167:-1 gene:DRNTG_14766 transcript:DRNTG_14766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRIMKSGMKLALNRAGIGNPHAHVGATMDFLHRRVEFPHAHVDSLFYTLFGRLCTLPPIVFATVSFYSTSPKHSRIHVFIGKFCTGVDIHRPNSHGQAHAPMPSRDGKSSSAEFRTGMRKLPMPVHFSQDHPQ >DRNTG_30971.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8428697:8432739:-1 gene:DRNTG_30971 transcript:DRNTG_30971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEGDHRRRHHDGGLVPLAALISRELRSEKIERPLVRYGCAAQSKKGEDYFLMRPDCQRIPGNPSSSTFSVFAIFDGHNGNAAAIFSRDHLLNHVMGAMPRGLSRDEWLHALPRALVAGFVKTDKEFQSKGQTSGTTVTFVIVDGWTVTVASVGDSRCILDSQGGEVSLLTVDHRLEENAEERERVTASGGEVGRLSIVGGAEIGPLRCWPGGLCLSRSIGDMDVGEFIVPVPYVKQVKLSNAGGRLIIASDGIWDAIPSEFAAKSCRGLPAELAAKQLVKEALKSRGLKDDTTCIVVDVIPPDQPAPPPSPPKKQNKFKSLIFRKKSQDSASKLAKKLSAVGIVEELFEEGSAMLAERLGSDTRSGQTTTSGLFMCAICQVDLAPSEGISVHAGSIFSTSSKPWQGPFLCVDCRNKKDAMEGKRPSGVKVI >DRNTG_21598.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2787519:2788877:1 gene:DRNTG_21598 transcript:DRNTG_21598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFERVYDYATYNDLGNPDKGEDLARPNLGGNQKPYPRRCRTGRPPAKSDPTAEGRVEKPHPIYVPRDEAFEEVKQTTFSSGALRALLHNLIPALKAALSRSDKQFECFSDIDQLYKVGVLLQRDEPKATTKLLL >DRNTG_21598.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2787797:2788877:1 gene:DRNTG_21598 transcript:DRNTG_21598.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFERVYDYATYNDLGNPDKGEDLARPNLGGNQKPYPRRCRTGRPPAKSDPTAEGRVEKPHPIYVPRDEAFEEVKQTTFSSGALRALLHNLIPALKAALSRSDKQFECFSDIDQLYKVGVLLQRDEPKATTKLLL >DRNTG_16073.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25645147:25646094:1 gene:DRNTG_16073 transcript:DRNTG_16073.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFKNDAKIAINVLLIACLLVMSQPRVVSALTCDELVPYLWPCMTYVEGTGPLTDSCCKGVKDLNDKTKNSQDRRAVCNCLKKAASCNSVAKSKIVSGIPSKCGINIPYTISSSTDCSKVK >DRNTG_24465.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18776700:18777907:-1 gene:DRNTG_24465 transcript:DRNTG_24465.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCEELRQFFDTPLQDIKTPSIFDSLSSWNQLKNINNPIESSFTEIFGELHFHEKTETPLSPDLKKHPLDPPPGVPKNQQNFDSLQLCTEGLGFESLADVEEEDFMNDDNDSSEYLSGGCRLKESRPVTDYSRSLSGKAGKGSRSFPPPISTLGRSGKPLVYFKSYRDDGRFILREVRIPTHEFLHASRQDGRLKLQFVVHPNENNIVVNGGATRGGEEEEDDDDDEHEEMKII >DRNTG_10823.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5076160:5078051:1 gene:DRNTG_10823 transcript:DRNTG_10823.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCEDDDMRSSKKQESQGQSTVRTRRVKRTFQKREPRSPSYAIAANDDYVEIVRFVNTSVGFFFVIIEMEGVEEIYRAAIESNQRVLGLLSHYDDQLQCRNLLEKIGEVVSKFKKMVSMLGNTVGYAKFRRLKESQSSLDNHKILSDNSVISKPEPSSTCTPPQLLPSTVLDSSSMIPQHVPKTIFLENLVLEMDCISKSRIQLGS >DRNTG_09621.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:22020486:22021359:-1 gene:DRNTG_09621 transcript:DRNTG_09621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLSAKILPLLFYLLLLCLLITTRSDAANEEKHWCVADEQTPDDVLQKALDWACGFGGADCKMIQKNKECFVPNTLKDHASYAFNSYWQKYKHQGGSCYFDAAAMVTDLDPSHGSCKYETLP >DRNTG_19367.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5807107:5810768:-1 gene:DRNTG_19367 transcript:DRNTG_19367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADDVMDVYVLIIMEHMNSKPYPYNIRAAITRPLALLMSSTEHTGEESLLMMADAVRRFADVDVILMPIILHGHFHLLLLDKVKKEYIHYSSSVSLEYDQDAIHMRELFDRCLAIEFGDNAVETYPLRHERNCPWQKPGTVDCPVYVMRFIEQILAGEKLKLPQTDIPHLRLRFVARILMDGIARGRRTSGQ >DRNTG_28172.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18177171:18181363:-1 gene:DRNTG_28172 transcript:DRNTG_28172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVKVGPVFPTWLKTQKYLRYLCLSDAGISGNIPAWFGYLSPSSSYFLDLSNNNLEGRLSTSLKNYTFHSIDLSSNKFEGPLPELDPSSLHVIHLSNNSFSGSIPSYFANATYIHFVSLSNNHISGSIPSFICNLTILELFDVSNNDMSGALPNCWNSASALQIIHLSDNNFTGKIPDALVSLTNLRSLHLRNNGFFGDLPLSLKMANKLVTLDLGENKLSGSIPTWIGENLSSLIVLRLRSNYFEGIIPEQLSKLSSLQILDFAQNNLSGCIPHSFGDFKAMAVTNHNETLSVVLDFGFFLSFVGGIDNSNFAFWESFFIRAKGLQIEYSKLLSLVTSIDLSNNKLSCDLPKELTKLQGLHFLNLSSNLLNGRIPENIGDMKQLESLDLSENNLFGTIPSSISTLNFLAYLNVSHNNLSGKIPSGTQLQSFDQSAYNWNHGLCGSPLQNCANEMNPPAVYEEDRKGDWAEMLWLYIGLAMGFIIGLWMIFGTFIIKQTIRIAYFQSIDKVYDWIYVKVVVHSRRLKLTFSRRN >DRNTG_25260.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19344815:19349235:1 gene:DRNTG_25260 transcript:DRNTG_25260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyhypusine synthase [Source:Projected from Arabidopsis thaliana (AT5G05920) UniProtKB/Swiss-Prot;Acc:Q9FI94] MGDADGKGDGEILQTLRSVVFKQSEVLDEQKFQKIQGYDFNHGVDFMGILGSMASTGFQASNLGDAIDVVNQMLNWRLSHEAIAEDCSEEERDPAYRESIRCKIFLGFTSNLVSSGIRDVIRFLVQHKMVDVLVTTAGGIEEDIIKCLAPTYRGDFSLPGATLRSKGLNRIGNLLVPNENYCKFEDWIIPIFDKMLQEQQTENVIWTPSKVIARLGKEINDESSYLYWAQKVNLIIFCY >DRNTG_25260.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19344815:19349235:1 gene:DRNTG_25260 transcript:DRNTG_25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyhypusine synthase [Source:Projected from Arabidopsis thaliana (AT5G05920) UniProtKB/Swiss-Prot;Acc:Q9FI94] MGDADGKGDGEILQTLRSVVFKQSEVLDEQKFQKIQGYDFNHGVDFMGILGSMASTGFQASNLGDAIDVVNQMLNWRLSHEAIAEDCSEEERDPAYRESIRCKIFLGFTSNLVSSGIRDVIRFLVQHKMVDVLVTTAGGIEEDIIKCLAPTYRGDFSLPGATLRSKGLNRIGNLLVPNENYCKFEDWIIPIFDKMLQEQQTENVIWTPSKVIARLGKEINDESSYLYWAQKNGIPVFCPALTDGSLGDMLYFHSYRGPGLVIDIVQDIRAINGEAVHASPRKTGVIILGGGLPKHHICNANMMRNGADYAVYINTAQEFDGSDSGAQPDEAVSWGKIRGSAKPVKIHCDATIAFPLLVAATFAKQLKETKALKL >DRNTG_15118.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18189072:18192683:1 gene:DRNTG_15118 transcript:DRNTG_15118.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BES1/BZR1 homolog protein 4 [Source:Projected from Arabidopsis thaliana (AT1G78700) UniProtKB/Swiss-Prot;Acc:Q9ZV88] MDIVGGSVSPSPCSSYQPSPCASYNPSPTSSTFPSPRSSPYFTHGTNDVDGNSLIPWLKNISTAPSAKLQHLYIPGGSISAPVTPPLSSPTARTPRIKTDWDDGVTLPPWAASSSYTSLASSTPPSPGRQILPDSGWLSDLRIPTGSPSSPTFSLVASNPFGFYKEAYAGGGSSKLWTPGQSGTCSPAAPGALNQADVQMSDGISDEFAFGSSSTGNQPENGVVKPWEGETIHEECGSDELELTLGSSRTRADA >DRNTG_34980.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002222.1:14013:17106:-1 gene:DRNTG_34980 transcript:DRNTG_34980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENELILEPEVPILENVYWKQLGLLVLVWLTFLVLQIIKNNTTTCSVWYWIVNLLQIPVSVGVTLYEAINLYKGKRVIASRGHEGKPWKIQQLFLYSLCGVLGGMVGGLLGLGGGFILGPLFLELGIPPQVSSATATFSMTFSSSMSVIEYHLLHRFPVPYAMYFVIVAAIAAIIGQHVVKRLIIWMGRASLIIFILAFTIFVSAVSLGGVGISRMIEQIKQHEYMGFENLCRY >DRNTG_20194.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12669687:12670049:1 gene:DRNTG_20194 transcript:DRNTG_20194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGCSASGERLVSAARDGDLTEARMILEFNPSLAKYSTFSGLNSPLHFTVAKGHCKIVMLLLENGAEVNSRNYCG >DRNTG_07559.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21767442:21768013:-1 gene:DRNTG_07559 transcript:DRNTG_07559.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPKPSLLPFPLLLLLLFVFSTCSYAADDPCAVATAASCPVTCFRTDPVCGEDGNTYWCGCAEAACSAVRVARRGFCEVGNGGVSGQALLLVHIVWLFVLGFSVVLGLL >DRNTG_35286.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:707716:709672:1 gene:DRNTG_35286 transcript:DRNTG_35286.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLLLSNMYQRPDMITPGVDPQGQAIDPRKIQEHFEDFYEDIFEELSKFGEIESLNICDNLADHMVGNVYVQFKEEDQAAAALNALQGRFYSGRPIIADFSPVTDFREATCRQFEENSCNRGGYCNFMHVKQIGRELRRKLFGRYRRSRGSRSRSRSASPHFRRGNRDRRDHRDRDGDRDYRGSGRRGGGERHERYDDGGRRRHGSPKRTRSPVREGSEERRARIEQWNREREEKHE >DRNTG_35286.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:707716:709725:1 gene:DRNTG_35286 transcript:DRNTG_35286.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLLLSNMYQRPDMITPGVDPQGQAIDPRKIQEHFEDFYEDIFEELSKFGEIESLNICDNLADHMVGNVYVQFKEEDQAAAALNALQGRFYSGRPIIADFSPVTDFREATCRQFEENSCNRGGYCNFMHVKQIGRELRRKLFGRYRRSRGSRSRSRSASPHFRRGNRDRRDHRDRDGDRDYRGSGRRGGGERHERYDDGGRRRHGSPKRTRSPVREGSEERRARIEQWNREREEKHE >DRNTG_35286.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:707716:711435:1 gene:DRNTG_35286 transcript:DRNTG_35286.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLLLSNMYQRPDMITPGVDPQGQAIDPRKIQEHFEDFYEDIFEELSKFGEIESLNICDNLADHMVGNVYVQFKEEDQAAAALNALQGRFYSGRPIIADFSPVTDFREATCRQFEENSCNRGGYCNFMHVKQIGRELRRKLFGRYRRSRGSRSRSRSASPHFRRGNRDRRDHRDRDGDRDYRGSGRRGGGERHERYDDGGRRRHGSPKRTRSPVREGSEERRARIEQWNREREEKHE >DRNTG_35286.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:707716:709672:1 gene:DRNTG_35286 transcript:DRNTG_35286.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLLLSNMYQRPDMITPGVDPQGQAIDPRKIQEHFEDFYEDIFEELSKFGEIESLNICDNLADHMVGNVYVQFKEEDQAAAALNALQGRFYSGRPIIADFSPVTDFREATCRQFEENSCNRGGYCNFMHVKQIGRELRRKLFGRYRRSRGSRSRSRSASPHFRRGNRDRRDHRDRDGDRDYRGSGRRGGGERHERYDDGGRRRHGSPKRTRSPVREGSEERRARIEQWNREREEKHE >DRNTG_35286.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:707716:709672:1 gene:DRNTG_35286 transcript:DRNTG_35286.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLLLSNMYQRPDMITPGVDPQGQAIDPRKIQEHFEDFYEDIFEELSKFGEIESLNICDNLADHMVGNVYVQFKEEDQAAAALNALQGRFYSGRPIIADFSPVTDFREATCRQFEENSCNRGGYCNFMHVKQIGRELRRKLFGRYRRSRGSRSRSRSASPHFRRGNRDRRDHRDRDGDRDYRGSGRRGGGERHERYDDGGRRRHGSPKRTRSPVREGSEERRARIEQWNREREEKHE >DRNTG_35286.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:707716:709915:1 gene:DRNTG_35286 transcript:DRNTG_35286.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLLLSNMYQRPDMITPGVDPQGQAIDPRKIQEHFEDFYEDIFEELSKFGEIESLNICDNLADHMVGNVYVQFKEEDQAAAALNALQGRFYSGRPIIADFSPVTDFREATCRQFEENSCNRGGYCNFMHVKQIGRELRRKLFGRYRRSRGSRSRSRSASPHFRRGNRDRRDHRDRDGDRDYRGSGRRGGGERHERYDDGGRRRHGSPKRTRSPVREGSEERRARIEQWNREREEKHE >DRNTG_35286.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:707716:709672:1 gene:DRNTG_35286 transcript:DRNTG_35286.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLLLSNMYQRPDMITPGVDPQGQAIDPRKIQEHFEDFYEDIFEELSKFGEIESLNICDNLADHMVGNVYVQFKEEDQAAAALNALQGRFYSGRPIIADFSPVTDFREATCRQFEENSCNRGGYCNFMHVKQIGRELRRKLFGRYRRSRGSRSRSRSASPHFRRGNRDRRDHRDRDGDRDYRGSGRRGGGERHERYDDGGRRRHGSPKRTRSPVREGSEERRARIEQWNREREEKHE >DRNTG_35286.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:707716:711197:1 gene:DRNTG_35286 transcript:DRNTG_35286.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLLLSNMYQRPDMITPGVDPQGQAIDPRKIQEHFEDFYEDIFEELSKFGEIESLNICDNLADHMVGNVYVQFKEEDQAAAALNALQGRFYSGRPIIADFSPVTDFREATCRQFEENSCNRGGYCNFMHVKQIGRELRRKLFGRYRRSRGSRSRSRSASPHFRRGNRDRRDHRDRDGDRDYRGSGRRGGGERHERYDDGGRRRHGSPKRTRSPVREGSEERRARIEQWNREREEKHE >DRNTG_35286.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:707716:709915:1 gene:DRNTG_35286 transcript:DRNTG_35286.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLLLSNMYQRPDMITPGVDPQGQAIDPRKIQEHFEDFYEDIFEELSKFGEIESLNICDNLADHMVGNVYVQFKEEDQAAAALNALQGRFYSGRPIIADFSPVTDFREATCRQFEENSCNRGGYCNFMHVKQIGRELRRKLFGRYRRSRGSRSRSRSASPHFRRGNRDRRDHRDRDGDRDYRGSGRRGGGERHERYDDGGRRRHGSPKRTRSPVREGSEERRARIEQWNREREEKHE >DRNTG_35286.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:707716:709725:1 gene:DRNTG_35286 transcript:DRNTG_35286.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLLLSNMYQRPDMITPGVDPQGQAIDPRKIQEHFEDFYEDIFEELSKFGEIESLNICDNLADHMVGNVYVQFKEEDQAAAALNALQGRFYSGRPIIADFSPVTDFREATCRQFEENSCNRGGYCNFMHVKQIGRELRRKLFGRYRRSRGSRSRSRSASPHFRRGNRDRRDHRDRDGDRDYRGSGRRGGGERHERYDDGGRRRHGSPKRTRSPVREGSEERRARIEQWNREREEKHE >DRNTG_35286.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:707716:709725:1 gene:DRNTG_35286 transcript:DRNTG_35286.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLLLSNMYQRPDMITPGVDPQGQAIDPRKIQEHFEDFYEDIFEELSKFGEIESLNICDNLADHMVGNVYVQFKEEDQAAAALNALQGRFYSGRPIIADFSPVTDFREATCRQFEENSCNRGGYCNFMHVKQIGRELRRKLFGRYRRSRGSRSRSRSASPHFRRGNRDRRDHRDRDGDRDYRGSGRRGGGERHERYDDGGRRRHGSPKRTRSPVREGSEERRARIEQWNREREEKHE >DRNTG_25331.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6469411:6471140:-1 gene:DRNTG_25331 transcript:DRNTG_25331.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNGSRIIPGGELPLLNLAGQDATDAFIAFHHGISWKQLDRFFIGHYSDYRVSEVSKDYQKLVAEFVKMGLFGKKGHGVFFSLCFMFCLFVTAVLGVVATRNVWIHLLSGGLMGFLWIQSGWVGHDAGHYQIMTNRRFNRLAQLITGNCLTGISIAWWKLTHNAHHISCNNLNFDPDLQHMPMFVVSSHFFKSLTSNFYERKMNFNAVTRFLVSYQHWTFYPVMSVARINLFVQSLVLLLLSKRKVPDRWQEIVGVLVFWTWYPFLVSFLPNWEERFMFVFASFLVTGLQHVQFCLNHFSSNVYIGHPKGNDWFEKQTMGSLDITCSPWMDWLHGGLQFQVEHHLFPRLPRCHLRRISPVVKELCKKHNLSYSSASFIEANCRTLATLRTAALQARDLGNPVPKNLVWEAVNTHG >DRNTG_06320.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6942635:6944209:-1 gene:DRNTG_06320 transcript:DRNTG_06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRILARAMRLCKTRRQLEQVHVQILCNPGLSLRTTSSLLSRLLLLYSSLPSSTSFLLFVYIPSPTLSSFNTVLRSLAAAPPSSLPHLHPISLYSQLLSSGLLPNHLTFPFLFKHCSLRLLATSGQCLHSHVFKLGFHLDVFIQNSMVFFYATCGFIRRARRVFDEMPQKDLVSWNSLLIGYLRCGEADEAMDVFHRMGDRNVISWNSIITGFVQCGREKAALSLFHEMQAGDFVVKPDKITVASVISACASLGAFDQGRWVHGYLKMCGIELDVVIGTALIDMYGKCGCLARALEVFDEMPDKDVLAWTAMISAFAIHGFGEEAFTLLEKMERHGTKPNQVTFGALLCACAHSGMVERGRWCFEAMRRVYMIEPQQQHYACMVDLLGRAGLFAEALILIGSMPMEADTFVWGALLGACRMHGNVELGERVAGRLIGMDPLNHAFYIILSDIYAKADRFEDVKKVRKLMQEHGIKKAAPGCSSVEIDGVVREFSVKLAPCDDDDVLDELVLILDLMHEELKIQ >DRNTG_31175.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11572077:11584383:1 gene:DRNTG_31175 transcript:DRNTG_31175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRNKESQTIPHFPPGFNSPLLPPSPPLKPWQSPAEHCAIRILDSTQVWCRVCPRTRVPISAHFHGLTLGLDIVLSTSQGFITASRKVISGSPLVLAEFQDVTATASVS >DRNTG_00450.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27293334:27294620:1 gene:DRNTG_00450 transcript:DRNTG_00450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMASALVNNVGISPEGFLDCPPAYGWLSPRISFSRDLPDDPKPSSKQEKPDPEDPVKDLVDFEFRLHDPVAMLPADELFSDGKLVPLQLRPSAADLSTAENRSLEEIKPRRRVEIAVSEVYALSPKAPRCSSRWRELLGLKKLQSPKSEPQKQSSAPGKISNARSLKHLLHKNPRSSALDSSLNLPLLRDSDSESVSISSCRLSLSSSSSSGPDHEDLPRLSLDSEKPCHAPVSLGRNPPRVRVSRPRAEINPSVSRMGRSPIRRGEAVTPRGASVDSPRMNASGRVVFQGLERSSSSPGSFNGGPRAKHRGVERSYSANVVRVSPVLNVPVCSLRGSSKSVSVFGFGQLFSPQKKDRDGSATRNTTAAAAGVTTKTKTERA >DRNTG_00450.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27293334:27294775:1 gene:DRNTG_00450 transcript:DRNTG_00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASALVNNVGISPEGFLDCPPAYGWLSPRISFSRDLPDDPKPSSKQEKPDPEDPVKDLVDFEFRLHDPVAMLPADELFSDGKLVPLQLRPSAADLSTAENRSLEEIKPRRRVEIAVSEVYALSPKAPRCSSRWRELLGLKKLQSPKSEPQKQSSAPGKISNARSLKHLLHKNPRSSALDSSLNLPLLRDSDSESVSISSCRLSLSSSSSSGPDHEDLPRLSLDSEKPCHAPVSLGRNPPRVRVSRPRAEINPSVSRMGRSPIRRGEAVTPRGASVDSPRMNASGRVVFQGLERSSSSPGSFNGGPRAKHRGVERSYSANVVRVSPVLNVPVCSLRGSSKSVSVFGFGQLFSPQKKDRDGSATRNTTAAAAGVTTKTKTERA >DRNTG_02459.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19438539:19441401:-1 gene:DRNTG_02459 transcript:DRNTG_02459.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRELAIRKEGNLNLREVAARATLRDIQVKGHVYVELRQVGKRSIFFCTLCLAQCFNDSVLFDHLGGNLHARRYAAAKLTLFGPVPWPFSDGVLFFGNSHEKDHSFVCSDSESDMALVVRDACDGVDVNGEEVTSNANGHSNSNDERCNPGMKNGSSICEHDDADGNPGTELLVNGHGKPLRCYAGVAMDKNYDKDICMSIPGVLYKDEISNLNAHFIGFGHIASRVYETNVIGNRIGKIWCAWLGEGSSDENDLFLKSPKCDFGIVIFSYTYDLGRKWTLDEPKLLLSPGSCSEVDDCESPGKRGKKSFSDPEDSCAASSEDCSGMHGCTSNGSHEHQVSKFSSSKALRKELRKQKRLAAERMCDICGQPMLPEKDVGTLLNLKTGKLACSSRNTNGAFHLFHASCLIHWILLCETEIWADQSTKKKTTRRRKRKIADKNGISSVLCPECQGTGIHTVGEELEKPSISLSEMFLYKLKTIEAHKAWMKNPEVLQKCSTGLHFPSDSADNVQEIVVPLNLLYFYRLEELCAEYVAGGAGEL >DRNTG_09644.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21905417:21908281:-1 gene:DRNTG_09644 transcript:DRNTG_09644.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSKSFPKHESRHVSEHKNVARCARFSPDGRFIATGSTDTSIKLFEVLKIKQMMLADARDGPVRPVIRTFYDHSQVMWFNLLELCHVGHKVCLTKKICIILIVFFGDELIIIFISNYCFSPFLSTLHLFYSLIG >DRNTG_09644.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21906918:21907894:-1 gene:DRNTG_09644 transcript:DRNTG_09644.11 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLNTEAPPNRLLELVAKGLSVERDEVAKGTPASGLFAGAVAAGHASVPVPTNVIDFSETQDMKGSSKSFPKHESRHVSEHKNVARCARFSPDGRFIATGSTDTSIKLFE >DRNTG_09644.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21905138:21905521:-1 gene:DRNTG_09644 transcript:DRNTG_09644.15 gene_biotype:protein_coding transcript_biotype:protein_coding GTDHPIPHLYDVNTFQCYLSANAQELNAKAAINQVRYSCTGGVYVTASKDGSIRIWDGATAQCVRPIIGAHGLNEATSACFTKDQR >DRNTG_09644.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21905970:21907894:-1 gene:DRNTG_09644 transcript:DRNTG_09644.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMLADARDGPVRPVIRTFYDHSQPINDLDFHPQSPILISGAKDCTIKFFDYSKSVARRAYRVIQ >DRNTG_24857.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001316.1:47936:53383:-1 gene:DRNTG_24857 transcript:DRNTG_24857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSLTVDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHATFENVERWLRELRDHTDPNIVVMLVGNKADLRHLVAVSPEDGKAFAERESLYFMETSALESTNVENAFSEVLTQIYRIVVKKAVETGDDAAPAVPGKGEKINVKDDVSAMKKIGCCSS >DRNTG_34774.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3072047:3080355:-1 gene:DRNTG_34774 transcript:DRNTG_34774.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKFQILRELIPHSDQKRDKASFLLEVIEYIRFLQEKAQKCEASYPGWNQESAWNNNQGPADGPNDPSQVIKGGSVPAAFMFSGRFHDNSITVAPVMSNSQNPSESDNLMADISYKTIENSPVAAVHVPLQPNLYATVGNETAAAQNQQRMLSDGDNFVDQSQSQNDCAVNSDMLNEQEELTVDEGTISVSSVYSQGLLTSLTEALQSSGVDLSQASISVRINFGKRVTDRRPGMGNAKEHGDGHRALGHSRMGSTGGEEAERMPKRRRSENR >DRNTG_34774.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3076824:3080355:-1 gene:DRNTG_34774 transcript:DRNTG_34774.12 gene_biotype:protein_coding transcript_biotype:protein_coding MIQDLLLLILLLHLKPFEKSHARESPIDSAAAGPTERPPDHVLPGGVGTYTIGHVSGLASIRSSIKHEQVTCVPSARAAESSGHYAGVPFSLWEEPGANKDPTGSRGQWPPAIVARRIGAFGSISSSRQQGVQERKRPMETVSRSSDDDEEFGKRDGSSSHKGELSGKVERRSSDPSTPRSKHSATEQRRRSKINDRQVRLFCVFLFLFVCLYVCLFVCFIVKMAVFVVYFSCLNCCVGDFLLTRKPCVIMWVAWNRHVCFKVFCHYAACECAPGILFDDLFLLFSLFIVLF >DRNTG_34774.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3072373:3080355:-1 gene:DRNTG_34774 transcript:DRNTG_34774.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIQDLLLLILLLHLKPFEKSHARESPIDSAAAGPTERPPDHVLPGGVGTYTIGHVSGLASIRSSIKHEQVTCVPSARAAESSGHYAGVPFSLWEEPGANKDPTGSRGQWPPAIVARRIGAFGSISSSRQQGVQERKRPMETVSRSSDDDEEFGKRDGSSSHKGELSGKVERRSSDPSTPRSKHSATEQRRRSKINDRFQILRELIPHSDQKRDKASFLLEVIEYIRFLQEKAQKCEASYPGWNQESAWNNNQGPADGPNDPSQVIKGGSVPAAFMFSGRFHDNSITVAPVMSNSQNPSESDNLMADISYKTIENSPVAAVHVPLQPNLYATVGNETAAAQNQQRMLSDGDNFVDQSQSQNDCAVNSDMLNEQEELTVDEGTISVSSVYSQGLLTSLTEALQSSGVDLSQASISVRINFGKRVTDRRPGMGNAKEHGDGHRALGHSRMGSTGGEEAERMPKRRRSENR >DRNTG_34774.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3072373:3077635:-1 gene:DRNTG_34774 transcript:DRNTG_34774.6 gene_biotype:protein_coding transcript_biotype:protein_coding METVSRSSDDDEEFGKRDGSSSHKGELSGKVERRSSDPSTPRSKHSATEQRRRSKINDRFQILRELIPHSDQKRDKASFLLEVIEYIRFLQEKAQKCEASYPGWNQESAWNNNQGPADGPNDPSQVIKGGSVPAAFMFSGRFHDNSITVAPVMSNSQNPSESDNLMADISYKTIENSPVAAVHVPLQPNLYATVGNETAAAQNQQRMLSDGDNFVDQSQSQNDCAVNSDMLNEQEELTVDEGTISVSSVYSQGLLTSLTEALQSSGVDLSQASISVRINFGKRVTDRRPGMGNAKEHGDGHRALGHSRMGSTGGEEAERMPKRRRSENR >DRNTG_34774.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3076824:3080355:-1 gene:DRNTG_34774 transcript:DRNTG_34774.13 gene_biotype:protein_coding transcript_biotype:protein_coding MWMELQGKKATHDFLSLYNKDSSFQLHDPRPPPPHPPPSSQGLYLKTHDFLQPFEKSHARESPIDSAAAGPTERPPDHVLPGGVGTYTIGHVSGLASIRSSIKHEQVTCVPSARAAESSGHYAGVPFSLWEEPGANKDPTGSRGQWPPAIVARRIGAFGSISSSRQQGVQERKRPMETVSRSSDDDEEFGKRDGSSSHKGELSGKVERRSSDPSTPRSKHSATEQRRRSKINDRQVRLFCVFLFLFVCLYVCLFVCFIVKMAVFVVYFSCLNCCVGDFLLTRKPCVIMWVAWNRHVCFKVFCHYAACECAPGILFDDLFLLFSLFIVLF >DRNTG_34774.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3072373:3076424:-1 gene:DRNTG_34774 transcript:DRNTG_34774.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRFQILRELIPHSDQKRDKASFLLEVIEYIRFLQEKAQKCEASYPGWNQESAWNNNQGPADGPNDPSQVIKGGSVPAAFMFSGRFHDNSITVAPVMSNSQNPSESDNLMADISYKTIENSPVAAVHVPLQPNLYATVGNETAAAQNQQRMLSDGDNFVDQSQSQNDCAVNSDMLNEQEELTVDEGTISVSSVYSQGLLTSLTEALQSSGVDLSQASISVRINFGKRVTDRRPGMGNAKEHGDGHRALGHSRMGSTGGEEAERMPKRRRSENR >DRNTG_34774.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3072373:3080355:-1 gene:DRNTG_34774 transcript:DRNTG_34774.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWMELQGKKATHDFLSLYNKDSSFQLHDPRPPPPHPPPSSQGLYLKTHDFLQPFEKSHARESPIDSAAAGPTERPPDHVLPGGVGTYTIGHVSGLASIRSSIKHEQVTCVPSARAAESSGHYAGVPFSLWEEPGANKDPTGSRGQWPPAIVARRIGAFGSISSSRQQGVQERKRPMETVSRSSDDDEEFGKRDGSSSHKGELSGKVERRSSDPSTPRSKHSATEQRRRSKINDRFQILRELIPHSDQKRDKASFLLEVIEYIRFLQEKAQKCEASYPGWNQESAWNNNQGPADGPNDPSQVIKGGSVPAAFMFSGRFHDNSITVAPVMSNSQNPSESDNLMADISYKTIENSPVAAVHVPLQPNLYATVGNETAAAQNQQRMLSDGDNFVDQSQSQNDCAVNSDMLNEQEELTVDEGTISVSSVYSQGLLTSLTEALQSSGVDLSQASISVRINFGKRVTDRRPGMGNAKEHGDGHRALGHSRMGSTGGEEAERMPKRRRSENR >DRNTG_34774.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3072373:3076424:-1 gene:DRNTG_34774 transcript:DRNTG_34774.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRFQILRELIPHSDQKRDKASFLLEVIEYIRFLQEKAQKCEASYPGWNQESAWEKAYFRSIWKNARNNNQGPADGPNDPSQVIKGGSVPAAFMFSGRFHDNSITVAPVMSNSQNPSESDNLMADISYKTIENSPVAAVHVPLQPNLYATVGNETAAAQNQQRMLSDGDNFVDQSQSQNDCAVNSDMLNEQEELTVDEGTISVSSVYSQGLLTSLTEALQSSGVDLSQASISVRINFGKRVTDRRPGMGNAKEHGDGHRALGHSRMGSTGGEEAERMPKRRRSENR >DRNTG_34774.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3072373:3077635:-1 gene:DRNTG_34774 transcript:DRNTG_34774.7 gene_biotype:protein_coding transcript_biotype:protein_coding METVSRSSDDDEEFGKRDGSSSHKGELSGKVERRSSDPSTPRSKHSATEQRRRSKINDRFQILRELIPHSDQKRDKASFLLEVIEYIRFLQEKAQKCEASYPGWNQESAWEKAYFRSIWKNARNNNQGPADGPNDPSQVIKGGSVPAAFMFSGRFHDNSITVAPVMSNSQNPSESDNLMADISYKTIENSPVAAVHVPLQPNLYATVGNETAAAQNQQRMLSDGDNFVDQSQSQNDCAVNSDMLNEQEELTVDEGTISVSSVYSQGLLTSLTEALQSSGVDLSQASISVRINFGKRVTDRRPGMGNAKEHGDGHRALGHSRMGSTGGEEAERMPKRRRSENR >DRNTG_34774.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3072373:3080355:-1 gene:DRNTG_34774 transcript:DRNTG_34774.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWMELQGKKATHDFLSLYNKDSSFQLHDPRPPPPHPPPSSQGLYLKTHDFLQPFEKSHARESPIDSAAAGPTERPPDHVLPGGVGTYTIGHVSGLASIRSSIKHEQVTCVPSARAAESSGHYAGVPFSLWEEPGANKDPTGSRGQWPPAIVARRIGAFGSISSSRQQGVQERKRPMETVSRSSDDDEEFGKRDGSSSHKGELSGKVERRSSDPSTPRSKHSATEQRRRSKINDRFQILRELIPHSDQKRDKASFLLEVIEYIRFLQEKAQKCEASYPGWNQESAWEKAYFRSIWKNARNNNQGPADGPNDPSQVIKGGSVPAAFMFSGRFHDNSITVAPVMSNSQNPSESDNLMADISYKTIENSPVAAVHVPLQPNLYATVGNETAAAQNQQRMLSDGDNFVDQSQSQNDCAVNSDMLNEQEELTVDEGTISVSSVYSQGLLTSLTEALQSSGVDLSQASISVRINFGKRVTDRRPGMGNAKEHGDGHRALGHSRMGSTGGEEAERMPKRRRSENR >DRNTG_34774.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3072047:3080355:-1 gene:DRNTG_34774 transcript:DRNTG_34774.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKFQILRELIPHSDQKRDKASFLLEVIEYIRFLQEKAQKCEASYPGWNQESAWEKAYFRSIWKNARNNNQGPADGPNDPSQVIKGGSVPAAFMFSGRFHDNSITVAPVMSNSQNPSESDNLMADISYKTIENSPVAAVHVPLQPNLYATVGNETAAAQNQQRMLSDGDNFVDQSQSQNDCAVNSDMLNEQEELTVDEGTISVSSVYSQGLLTSLTEALQSSGVDLSQASISVRINFGKRVTDRRPGMGNAKEHGDGHRALGHSRMGSTGGEEAERMPKRRRSENR >DRNTG_34774.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3072047:3076424:-1 gene:DRNTG_34774 transcript:DRNTG_34774.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRFQILRELIPHSDQKRDKASFLLEVIEYIRFLQEKAQKCEASYPGWNQESAWNNNQGPADGPNDPSQVIKGGSVPAAFMFSGRFHDNSITVAPVMSNSQNPSESDNLMADISYKTIENSPVAAVHVPLQPNLYATVGNETAAAQNQQRMLSDGDNFVDQSQSQNDCAVNSDMLNEQEELTVDEGTISVSSVYSQGLLTSLTEALQSSGVDLSQASISVRINFGKRVTDRRPGMGNAKEHGDGHRALGHSRMGSTGGEEAERMPKRRRSENR >DRNTG_34774.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3072373:3076424:-1 gene:DRNTG_34774 transcript:DRNTG_34774.11 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRFQILRELIPHSDQKRDKASFLLEVIEYIRFLQEKAQKCEASYPGWNQESAWEKAYFRSIWKNARVSVIKST >DRNTG_24250.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001293.1:2787:6172:1 gene:DRNTG_24250 transcript:DRNTG_24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLEKHLARFVQSANTRFESVEATLRNHTASLHNLENQVGQIAKSLSERPHGSLPSNTKTNPREHVKAIALRSGHEIMAPRTKKQTDKRPRESSSEPEGMRFAIPEHQARYECLSRLRFGQTRFLDMTILRDLQQEDEFADEVEDFVSEGGWRQLLTIREPAIREFALEVLSSFELDSAYASFNSLGSIQFRVFGRHHSLSITQFSILHGLYEEVFTDSEEYAQLPTNYPRTLTLQRAYRVLCGQGQYEPGVSKATYLSRPAYRYLHAIMSRSVNGLGDSTGVLSH >DRNTG_05263.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19794841:19796200:-1 gene:DRNTG_05263 transcript:DRNTG_05263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSKFKTICVLCGISPGKKKKYQDAAINLGKELVCLLLLLYLRGYGTLEKLFEVISWPQLGIHDKPIGLLNIDGYCNSLLSFIEQIVEEGFIKQNAHHIITSASNAKELIEKPEDYYPCHDEVSSKLNWNSEQLKRSQRSVICT >DRNTG_15712.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4384061:4386596:1 gene:DRNTG_15712 transcript:DRNTG_15712.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGTVEGTTVLEMKQTKPREADDVLKFLVSDDARVSSLQFRIRNGSNESAQEGSSMEVQIPLSSGVTLQTLNYVVAIELGGRKMTVIVDTGSDLTWVQCKPCTSCYNQQDPVFDPSASSSYRNIPCNSPTCDSLSLATGNSGVCGTDEQSCSYSLSYGDGSYSHGVLSSESINLGGTLVKNFIFGCGESNQGLFGGTSGLMGLGRTQLSLVSQTAFQFGGVFSYCLPASEFDSSGSLVLGSNSSVYRNSTPIVYTRLISDPLQGPFYYLNLTSISVGGMTLQASGFSNGRILIDSGTVITRLVPSIYRALRDEFVKQFSGFSPAPAFSILDTCFNLTGYTEVSVPTLRLQFEGEVELDVDATGVLYFVQKDASQVCLALASLLYEDETTIFGNYQQKNLRIVYDTAGNRLGFAEEACGYN >DRNTG_24178.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3402396:3405753:-1 gene:DRNTG_24178 transcript:DRNTG_24178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAAHRVFIIPDRENRHSTPAVEVLPSKIAHPYKYAGENVDLQGLNIFKGKYSVADIIGFSSSETICSKSDGSFKSWEGPIDLVNVLKHEIRDGQLSFRGKRILELGCGYGLPGIFACLKGASTMHFQDLSAEAIRCTTIPNVLANLEQARDRQSGQQDSQLTPTRQQLAPDVHFYAGDWEELHSVLSVVQKEGFEPAGRMSISFSEEDFMDGCSSQDGSVLGHETSSRQRSRKLSGSRAWERASETDPGDCGYDIILITEIPHSINALRKFYALITKCLRPPYGVLYLAAKKNYVGSTSAARQLKALVDEGGVFGAHLVSELTDREVWKYFLQISAELNWNLLPQHYNSLRAPCSQCCTLLHLALPLFPFLLIIKSKDLIKLSMISAQCNTANIL >DRNTG_08167.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11813700:11814719:1 gene:DRNTG_08167 transcript:DRNTG_08167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVWRNYQMKSMPRDGKWGDQAKGLFPGDQNASRIPIC >DRNTG_17019.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10584291:10587784:1 gene:DRNTG_17019 transcript:DRNTG_17019.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 12 [Source:Projected from Arabidopsis thaliana (AT5G64470) UniProtKB/Swiss-Prot;Acc:Q9FGE9] MISYIEKEVPKRTLKYWRSQSPRHFHGGEWDHNGSCLFTKPLSAGELDSWFDPGNKGVNKEAREVNFLIKEAIDGRDIQLLNLTHMSEFRADAHPAIWLGKKDAVAIWGQDCMHWCLPGVPDTWVDILATKIIHDFGAG >DRNTG_17019.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10584291:10587784:1 gene:DRNTG_17019 transcript:DRNTG_17019.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 12 [Source:Projected from Arabidopsis thaliana (AT5G64470) UniProtKB/Swiss-Prot;Acc:Q9FGE9] MASINAFSWLPNGCGGSPLPPIDPAAFLSSMRGRRIGFVGDSLNENFLVAFLCALHSADPGARKWKRKGAWRGGYFPTFDVTVAYHRAVLLARYRWQPVENSSASFHEDGLKGIYRVDVDVPADDWVNVTNFYDVLVFNTGHWWGSDKFPKETPLVFFKDGKPIVPALGIVDGLKVVLKSMISYIEKEVPKRTLKYWRSQSPRHFHGGEWDHNGSCLFTKPLSAGELDSWFDPGNKGVNKEAREVNFLIKEAIDGRDIQLLNLTHMSEFRADAHPAIWLGKKDAVAIWGQDCMHWCLPGVPDTWVDILATKIIHDFGAG >DRNTG_18819.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000974.1:60478:62124:1 gene:DRNTG_18819 transcript:DRNTG_18819.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSGASIKSAMRYWGCAIQAGTQISGAFGVGSQSSLSIKELSQQFSPLAFACIPHLSTGSSLDWDTIINSLSNDAKRILHSATDNSQPSVRFDTSQKSVTLFMPGFEKAEIKLYQYRGGAELLVEAGDQRRIIRLPHAMQGKVGGAKFINSSLVVTLR >DRNTG_18819.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000974.1:60478:62124:1 gene:DRNTG_18819 transcript:DRNTG_18819.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSGASIKSAMRYWGCAIQAGTQISGAFGVGSQSSLSIKELSQQFSPLAFACIPHLSTGSSLDWDTIINSLSNDAKRILHSATDNSQPSVRFDTSQKSVTLFMPGFEKAEIKLYQYRGGAELLVEAGDQRRIIRLPHAMQGKVGGAKFINSSLVVTLR >DRNTG_18819.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000974.1:60478:62124:1 gene:DRNTG_18819 transcript:DRNTG_18819.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSGASIKSAMRYWGCAIQAGTQISGAFGVGSQSSLSIKELSQQFSPLAFACIPHLSTGSSLDWDTIINSLSNDAKRILHSATDNSQPSVRFDTSQKSVTLFMPGFEKAEIKLYQYRGGAELLVEAGDQRRIIRLPHAMQGKVGGAKFINSSLVVTLR >DRNTG_18819.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000974.1:61588:62124:1 gene:DRNTG_18819 transcript:DRNTG_18819.5 gene_biotype:protein_coding transcript_biotype:protein_coding SIDEQYRGGAELLVEAGDQRRIIRLPHAMQGKVGGAKFINSSLVVTLR >DRNTG_18819.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000974.1:60478:62164:1 gene:DRNTG_18819 transcript:DRNTG_18819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQNVYFILQLIIHNHQLDLIQARNRLPFSCQASRRRRSSYIKGGAELLVEAGDQRRIIRLPHAMQGKVGGAKFINSSLVVTLR >DRNTG_01604.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:500691:505143:1 gene:DRNTG_01604 transcript:DRNTG_01604.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPDPYEGLFDQEESNEEVMMLGSTEEVTSTPGILKKVLRKIKRARTQGRGLAPVGNLLNDAHVWVISARPFENLQRSLLHPEKTQGRVTAHVTRPQGLASTTVNPSFEGERAWTMPARPCRSPGVKRPSALPLQQSHLSPQTTLSHPKSIFPTFSSPPTVVLESIDCTLCGL >DRNTG_24397.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2681953:2682661:-1 gene:DRNTG_24397 transcript:DRNTG_24397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSELKRMYKHGARKFLIIGAGAQGCLPAERVKQKRGVCNEEANQLSKMFNQNLVSLLEEAKSKFSDFRYAFFSMYTGYVELYHNRRTYGFDEVDVACCGGGFLNSTIFCNPKTVPPCSNRTNHLFWDGIHNTEATAGVYMSIAYHGSSPFVYPMNLKQLSDV >DRNTG_07255.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22900178:22914555:1 gene:DRNTG_07255 transcript:DRNTG_07255.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein 14, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G17611) UniProtKB/Swiss-Prot;Acc:Q8RXW0] MEFGRRRRRVSMRMLPLLALHVAYEYRRAVWKPPVTAGLLLANTLVYLRPGALHHTLPTIDRVFFNPHLILKYGDAKRFFLSPFYHIDDSHLVCNMMSLLWKGIQLETSMGSIEFASMVTALVGISQGITLLLAKALLIFFGYEDAYYNHYSVGFSGVLFAMKMVLNSQADSPTNVHGLIIPARYAAWAELILIQMFVPRASFLGHLGGILAGLLYLQWRRAHSGSDPLSALFWKVFRIVSWPLRFMRGLFGFRQGRVLGRGRVGTSQFERGLHGVWRCNLCTYDNSVLTDVCEMCSTERGGYGFSSGFQQSNRTSDLSVEELRRRRLQRFDR >DRNTG_07255.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22900281:22915099:1 gene:DRNTG_07255 transcript:DRNTG_07255.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein 14, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G17611) UniProtKB/Swiss-Prot;Acc:Q8RXW0] MEFGRRRRRVSMRMLPLLALHVAYEYRRAVWKPPVTAGLLLANTLVYLRPGALHHTLPTIDRVFFNPHLILKYGDAKRFFLSPFYHIDDSHLVCNMMSLLWKGIQLETSMGSIEFASMVTALVGISQGITLLLAKALLIFFGYEDAYYNHYSVGFSGVLFAMKMVLNSQADSPTNVHGLIIPARYAAWAELILIQMFVPRASFLGHLGGILAGLLYLQWRRAHSGSDPLSALFWKVFRIVSWPLRFMRGLFGFRQGRVLGRGRVGTSQFERGLHGVWRCNLCTYDNSVLTDVCEMCSTERGGYGFSSGFQQSNRTSDLSVEELRRRRLQRFDR >DRNTG_07255.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22900281:22914555:1 gene:DRNTG_07255 transcript:DRNTG_07255.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein 14, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G17611) UniProtKB/Swiss-Prot;Acc:Q8RXW0] MEFGRRRRRVSMRMLPLLALHVAYEYRRAVWKPPVTAGLLLANTLVYLRPGALHHTLPTIDRVFFNPHLILKYGDAKRFFLSPFYHIDDSHLVCNMMSLLWKGIQLETSMGSIEFASMVTALVGISQGITLLLAKALLIFFGYEDAYYNHYSVGFSGVLFAMKMVLNSQADSPTNVHGLIIPARYAAWAELILIQMFVPRASFLGHLGGILAGLLYLQWRRAHSGSDPLSALFWKVFRIVSWPLRFMRGLFGFRQGRVLGRGRVGTSQFERGLHGVWRCNLCTYDNSVLTDVCEMCSTERGGYGFSSGFQQSNRTSDLSVEELRRRRLQRFDR >DRNTG_17503.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19150079:19165187:1 gene:DRNTG_17503 transcript:DRNTG_17503.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDENEYRASNLHTSIELERFTTRSASMSIPPPIEYDESEEAVLFHTGPLRNQRNPLFIPMSGPLCGLNQKSSRNVFGSNHSKQRNKFHAVTPEEIGRRDQSDISASERNDHLLKSGPLAICSNPNCVVCPGAYKTEPSGYKQSVSLKNRLHKNIYEDVDGWLEKFHNLFDSYFPIMNPHTKRVQQWNKFFVISCLVAIFIDPLFFFLLSLRQVDKCIVLDWSLATTIAVVRSLTDFFYLLHMLLQFRLAYVAPESRVVGAGDLVVKPKKIALHYLRGYFIIDLFVVLPLPQLMILVLIPKYVGTSSANYAKNLLRTIILLQYIPRIFRFLPLLAGQSAGGFIFESAWANFVINLLMFVLAGHVVGSCWYLFGLQRVNQCLRDACYLSNITDCQWFIDCGRRPHIIRFDTYPTWTDWKNDSISSDCFNSDGGHFEYGIYQQAVNLTTRHSIVTRYIYSLFWGFQQISTLAGNLVPSYFEWEVLFTMAIVGLGLLLFALLIGNMQNFLQALGRRKLEMQLRRRDVEQWMSHRRMPEELRRQVRQAERFSWAATRGINEEELIECLPEDIQIDVRRHYFQFIKKVRIFTRMDEPFLDAICAKLRQKLYIQGSNVLYKGGQIETMIFIVRGKMESVGADGNTSPLSEGDVCGEELLTWYLEYSSVNKDGGKIKVPGHRLISTRMVKCSTNVEAFALHASDIEEVTATFSRYLRNPRVRGVIRYESPYWRYMAATRIQVAWRYRQRRLKRTETPNRTDTPKHPSGYSNSSIWRQQ >DRNTG_25821.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1040439:1041065:1 gene:DRNTG_25821 transcript:DRNTG_25821.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGSAIAHLIPPKDRSESMSLPPKDGKRKRERKNEYRGIRRRPWGKWAAEIRDPTKGVRVWLGTFSTPEDAARAYDREALRIRGSKAKLNFPNQELPSSSRIFYNSDASIVPERKNTKIKGVST >DRNTG_21008.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:7378:9547:1 gene:DRNTG_21008 transcript:DRNTG_21008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSTKIKKSLHYYLLTFIILYYTTTFSAATTTCHSGDKAALLAFKAGITDDPSGLLSTWNSTTNCCSNWDGVSCHFTTGRVVNLSRPGLSSGPDSIIDTSMAGTISPALGRLTALRVLDLSSLKQLSGQIPPSLGHLSKLTVLFLFSNNLTGPIPHTLRNLKHLQRLLLDSNQLSGEIPFSLFTPSSPMTDLTLNQNQLSGVIPNSIGHLTALKRLDIHSNNFSGSIPNSIGNLQQLTYLDFSENQISGVLPASIGRLVNLGLLYLNDNFLNGNIPSSISGLASLQFFRLSNNQLTGEIPASIGELQQLQRVTFENNKLNGKLPSSLGGLTSLTEIYLSGNQFTGRIPSSIGDLSSLQTLDLSNNKLSGPLPRSLTKLKNLQELYLSFNPLRLVTIPDWLIDMKLFKLMLAGTGIKGQLPVKLLSTASSTSSLDLSSNELTGELPHWIGEMSGLSFLNLSNNGFHSRIPEEFKNLTLLMDLDLHSNAFSGELRPVLAKSTSDPLGHYNSLDLSCNQFTGGIDEDIGELPAMDTLESLVLSDNPLGGNIPATLRKLENLRVVALAKNGLTGGVPAGVLDIASLTEFDVPDNRLTGEIPAHTARVPAAGFRGNPGLCGNPLPPCRREVP >DRNTG_05791.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1157301:1160832:-1 gene:DRNTG_05791 transcript:DRNTG_05791.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGLEIVLPGSPYLHPESHPCEKLDMNKPDFSMEKLNETEPEKEVNVNILELDTDENSGEPCNQDADLIGGIANSVDFQMGTRSSKDPDSFPNVSETVNKTIIGSNEWPSLELSLKRLQSSEDDGTAIHDDHNILRHSDQSAFSRYNASALSAQAPTGNRGSYLRPLDNSSKATRTDSTHNMLSNSNGAPLKQSSNANTDKNDMGSTTVNAFTKSASPKEMLTSSSMVKFINPTSAIHPVQNQNLATQLVVQEKFNDVGTAATGAQSRGIHIQVHFQYHHHHYNHHHHHVHSMQQEQQEQQQQPLADHDNLSLENMETDDMQCGSSKLFIIATEGNAPHLSLNGSRSGSNHGSNGQNGSSTAAKGGGVNMDSDNGIAGKSGIYGASGSGSGGGGSGDGVDQNHSTHREAALNKFRQKRKERNFEKKVRYQSRKRLAEQRPRLRGQFVRQIVYEHTCREAKS >DRNTG_05791.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1158170:1163552:-1 gene:DRNTG_05791 transcript:DRNTG_05791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSHQLPNRHDSTCAHVIHPKPETFFYGLMPMTTTRNAQEQKEFADNTSMGKGLEIVLPGSPYLHPESHPCEKLDMNKPDFSMEKLNETEPEKEVNVNILELDTDENSGEPCNQDADLIGGIANSVDFQMGTRSSKDPDSFPNVSETVNKTIIGSNEWPSLELSLKRLQSSEDDGTAIHDDHNILRHSDQSAFSRYNASALSAQAPTGNRGSYLRPLDNSSKATRTDSTHNMLSNSNGAPLKQSSNANTDKNDMGSTTVNAFTKSASPKEMLTSSSMVKFINPTSAIHPVQNQNLATQLVVQEKFNDVGTAATGAQSRGIHIQVHFQYHHHHYNHHHHHVHSMQQEQQEQQQQPLADHDNLSLENMETDDMQCGSSKLFIIATEGNAPHLSLNGSRSGSNHGSNGQNGSSTAAKGGGVNMDSDNGIAGKSGIYGASGSGSGGGGSGDGVDQNHSTHREAALNKFRQKRKERNFEKKVRYQSRKRLAEQRPRLRGQFVRQIVYEHTCREAKS >DRNTG_25645.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21797462:21802233:1 gene:DRNTG_25645 transcript:DRNTG_25645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFSRPQPRSSMPSKRSRSKIRKPKFISLRRQLSLPSPTPPPLPPTSMSPPPPTIDHDRDPPVTSLLDPGDGPSLTSLLGASSSIAVSETTSTPSSSSMNWDGEIAIARRALRGRERWVFCCSASSPSPASEQEASSASHVDLWCAVPQGLSLKLDYDEILSAWSDKGSLFIDANSGHQVVPEVYHTLSSPSTTTVFVEVGGGGGKAAAAAGGGSAWRVPEIGDEKAQSMVMKTKKEQEEEEESSKRKETKLGTREERVRRYKEKRQNRLFSKRIRYEVRKLNAEKRPRMKGRFVKRKDDVV >DRNTG_26666.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1037897:1039700:1 gene:DRNTG_26666 transcript:DRNTG_26666.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRALADNFRQSTTLHSLFLSSLLSSWCSCS >DRNTG_26666.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1032346:1039700:1 gene:DRNTG_26666 transcript:DRNTG_26666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDNNHDITLDSTAFSLHFRNIAPPDDRTANSVGSVRTPTEASMPADSSNFMVPTGHKKPIPHRKLSNGNIGGSASDSNNMSLIMENPNRYDYGKISPRLEALLAEVNRNFEPDSLRTDSRVVTSDHHRVEYTDATVTRNEQILTDGITEHCDNGNNYSVSARQPEVVSLGSGVDQVGDRSYVSNMSAGQQSHSFQNQETQGAISSFFNNVAMQDVSLAGTKVIRSENHEIFSPKDTNSKINAAQEFDNVNHDSFRDKTDGAKTHSISSPLSENKVPPMKTAEGQQQILEYESGMHTPKCAIQLFQSPVHGSVSSILAKRQQLFSDPVNGSTKEQTPSSIKMELERHSERILAIKSSISKFKVLEKLKLIPHLSFSMEDNAQSQLEGYSERTHDNSCRSPLSSPVVPGKENANSASVKIKDQEFSRELSGLETEILNDNRGLRLAQSTPSFQSPKSGINIRKQATSQKLVEGPSTSNAYGSFQKHTVVELRENGVRQDSLSKAIYSTEVPDPNLSSIQKPDRAVKSSSVLKEKAFHLTHSSVNHDKLQNFATATDIVDNVLCYHNSQMEAEIPTNVCVGIHDKDSETRNYSPRLREKLFKEDSVASSQDFTSENSYDVAATKKNSVKWLGPVTAENPLNGIDSYSSPPFKCMNTAEHHPNQDIVKYGNGNPDDHHQKFLSSPEPFSSKDVDKIGKKRRINEVLLMDKSLTYEGSKTQKSPKTLPEVVSSISGLSLRNAVDDDNGHNFGVQGPLKHWADILSKVSGATKQSFSPSVYNLEAKQLDILEDVLGELHMARKYEGLSKKILSLKNQDPLADLHQERVAEARRLQEMLAYEQAKSQLNHVKLDHLHKTSRQCQSGIQECYKLKSILMKFRPYGTRSSQTREASLSTVSLDSESNNQDEQHKCTSMQQELEMLDKKVNQLMKSFAASCKIKGNVDCNGVIKVVRQHLDKREHCWAIHQNLQLWKLSKIEKKQEQCDIFLNYRNLLSERFILKTRPASNLSMYNSLNQTDIEKNFPSMNVCTAFEFVFNARNDRRLAGSKSLQQETLATSLLLGTLLDVLEEVQIALFQIANLVSSTFHSQCSGQLELQLCFLSNKSGRKLSLSLSMTDLKCAIYPSEPAQLDIKILERQTTLPISSFDKVMSVVRSLQSGRTLVLRLCESVSEVVQVSS >DRNTG_01197.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29512006:29513562:-1 gene:DRNTG_01197 transcript:DRNTG_01197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCAPKTNSCAPKTNSLMRPPMNSLKEDVLLRVPGASVHLLEDSEPVGLAKGDFSIIRITEGGVVLATKAKVGLDLQWPLTKDEPVVKLDQLHYLFSLPDKDAGFLNYGVSFSGPDGRLAQLDECLKEFVCFSYNDRQSSRKTGPSYDVHWKDYAPKIEDYNSVLAKAIAQGTGEIVKGIFKCSNVYTNQVQKGADLIRYGEKYKAETKPRTNKNPGEINKTIRRVRKISETTEKMSRALLEGVLTVSGAMAVPLIQSKAGQAFFGKGPGEVMLASLDAMNKVLDAVEIAQRKALAATSTAVTGEVTKRFGENPGEVTEDVFATAGHAVGTAWNLLKIRKAVKPSSLPSNMLKNAVKRG >DRNTG_27040.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2571711:2573143:-1 gene:DRNTG_27040 transcript:DRNTG_27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDVDGDDNFVDQADAATFEPTTTANSLASFSTSGEPSSPSEEEETRPPSQTPPNSTRGDKK >DRNTG_06133.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25549687:25556731:-1 gene:DRNTG_06133 transcript:DRNTG_06133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRRRLASLSASSSRRRGRRRAGDVGLVPGDRGRIDVDEERAVKAFREALIARDLLPARHDDYYTMLRFMKARGFNVKKAISMWSDMLQWRTEFGTDSILQDFVFHELEEVLKYYPHGYHGVDKEGRPLYIERLGKIDLDKLLNVTTVERFLKYHVQTLEKLFTEKYPACSVAARRHIHTMTTILDVQGVNWMSVGKLVHDIVISINKIDSDNYPEILNQMYIVNAGSGFRLLWNALKNFIDPRTSAKIQVLGNTYLNTLLEFIDISQIPDFLGGSCTCLNEGGCLRSNKGPWTDPEIMRLSREQKKSSIRRVTSQSHDQDSSFQGTQSEKIATYSDRENVVSSAVATTNKSTLDECATSNRLSNQSSARKELGLFPEYCCDIQHRGSSHESLAERIMLRKFYLQVTRTMPSLVLKVLAILHVIFVWFGDFVLHQVVKLWNYQNRVSTNSLTTDHLGTNELKEDAIIPVLERLQKLEDQVFELNNKPMKIPPEKDSMIMESLDRIRSIEHDLQKTKNALSATSLKQVELAESLENLREINIHRKSCCFND >DRNTG_23462.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:714425:717979:-1 gene:DRNTG_23462 transcript:DRNTG_23462.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEYKVRKVEPGQTKIRDVPIAVTPEGFWCCPSQVVFQKTIKNQSQQTKQRPENPPATSKSSSKKLSSPSSPETRVQPSPSRSRVSSEDQKCLNSSTSASSPPVTSEGTLKPNGESHQRKISVGFGQPETSDLKVNLSGKEGIIVKMSVHSNILSEKSNFFSDKLSGQSPVSCIEIDDCEDVEIYVEAVGLMYCKEMKQRLMKQSVPRVLRILEAAKSLGFSACIKSCLEYLEAVPWVGEEEENVIASVTHIKSDTYGISSILKRVSSDISNPPNATFSRIMEMVLKSNDERGRREMKSLMLKLLKENSLLTNGSADICVETLYNSCQSCLTSLLASFRQAAEPGFLDDKSLGCKDPIMQQIVLEADNLLWLLEILADRRVADEFALMWATQAELAKLHPRLPIVSRHLVSCITARLFVGIGKGEMLPPKDTRQLLLHVWLQPLIEDYNWLQHGCRSFDRKVVEEGIGRTILTLPLDDQQSILLSWLGSFLKVGDSCPNLQRAFEVWWRRTFIRPFMEQQGARLRSDRN >DRNTG_23462.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:711839:717891:-1 gene:DRNTG_23462 transcript:DRNTG_23462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIGHGQISHSGIKAVSLQKNCKFSSSPSSVLCLCIVTWNMNGKLSTKDFIELVGKEPRFDLLAIGLQEAPRQNIAQLLQSALTETHSLLGEATMQSLQLFLFGPKNSEIHMKEVKIDKHAVGGYGGLRGRKKGAVAIYINFNGVRMIFVCCHLSAHEHKVEKRNSQCRHISEKLFSKDRNPYSRPSHLTVWLGDLNYRIHGISTLPVRSLIQRNLLSLLTSKDQLLQEAERGQVFNGFCEGTLSFKPTYKYNIGSSDYDTSYKIRIPSWTDRILFKVDSGAGIDAVLRSYESIDCINSSDHKPVRAHLCLKMNNVQMPV >DRNTG_23462.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:711839:717891:-1 gene:DRNTG_23462 transcript:DRNTG_23462.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLQLFLFGPKNSEIHMKEVKIDKHAVGGYGGLRGRKKGAVAIYINFNGVRMIFVCCHLSAHEHKVEKRNSQCRHISEKLFSKDRNPYSRPSHLTVWLGDLNYRIHGISTLPVRSLIQRNLLSLLTSKDQLLQEAERGQVFNGFCEGTLSFKPTYKYNIGSSDYDTSYKIRIPSWTDRILFKVDSGAGIDAVLRSYESIDCINSSDHKPVRAHLCLKMNNVQMPV >DRNTG_23462.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:711839:717891:-1 gene:DRNTG_23462 transcript:DRNTG_23462.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSHDSIIMKMKVSSNCRRRSSKPKDQRMDLIGHGQISHSGIKAVSLQKNCKFSSSPSSVLCLCIVTWNMNGKLSTKDFIELVGKEPRFDLLAIGLQEAPRQNIAQLLQSALTETHSLLGEATMQSLQLFLFGPKNSEIHMKEVKIDKHAVGGYGGLRGRKKGAVAIYINFNGVRMIFVCCHLSAHEHKVEKRNSQCRHISEKLFSKDRNPYSRPSHLTVWLGDLNYRIHGISTLPVRSLIQRNLLSLLTSKDQLLQEAERGQVFNGFCEGTLSFKPTYKYNIGSSDYDTSYKIRIPSWTDRILFKVDSGAGIDAVLRSYESIDCINSSDHKPVRAHLCLKMNNVQMPV >DRNTG_21770.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3007933:3009380:-1 gene:DRNTG_21770 transcript:DRNTG_21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVKGRLLKKLKYFSDEEKENIKPPLPKRKDLGDKNQDLEVFRRPDLNSSTLFDPGLLAAFQEALMDYKDVVLDDRDEEQGVDDIVPFMDYKVRILEIRDKGQQEDVSLMDNEGIITDLRDDKQTDVSLMEYKGGIFDFIDEGLEGADVSLTENKDTILDVRDEEEEEGDDVPLMECKDTILDVRDEEEEGDEVPLMEVKDDEQEGDGISLMEFEERCPPGWMGSVILYTTSLRGIRKTFEECSSLKFLLRSLKVMFYERDVSMHMEFREELWRVLGGRAIPPRLFIKGRYIGGADEVLGLHEQGKLRTLLKGLPVDCSNGGVCEECGGMMFWVCSSCHGSRKKVIGDEVLQCFQCNENGLVLCSLCC >DRNTG_20830.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:681229:685056:-1 gene:DRNTG_20830 transcript:DRNTG_20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSSTESPSIHDTIIDIPVPYRSTRNTNHQPTPPTNVFYNIMHYRCCCSNTYNYYRPKKSSLQALNKNLFAFAVQSIATLLALCFSSSSSSLSSSYSRKVFTMSTIVLFCFSLISTAMVLPLKFQSPNYSCDETTSISSHHITIHIQHDHRHRCRLRSSNNHQQTPPTILNFMQQDNTFNYTETKPFKKSSLQALNKILFAFAVQSISTLLALCFTSSSSSSSSSYSRKVLTVISTTMVLPLKFQRLAQAITYQQLWHSSLCPPCFLTLKITTSCFSLSLGLFC >DRNTG_22884.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001253.1:31497:32127:1 gene:DRNTG_22884 transcript:DRNTG_22884.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSDHTQWGCFSVFSKEKLSEAATYARKNRVVLKGDLPIGVDRNSVDTWVYPNLFHMNTSTGAPPDYFDKNGQN >DRNTG_03122.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21604055:21609843:1 gene:DRNTG_03122 transcript:DRNTG_03122.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMASSPPLLLLLLLFFVSVSTAHLHRSIHRVFENSMIEPSTIPPSTFFEVTKPISIPDSTPCSTLLLQHDFAFTYGKPPVTAPYSFPSHCPQNPPSKIVLEWTATSRGRQFDRIFGVWLSGVELLRSCTAEPRLNGIIWTVRKDVTRYSSIFARSSTLAVYLGNLVDSTYTGVYHVNISLHFYYDGSDHSSATPGFNSPADLILPISRSLPLNDGLWLPIQNSTDAQSKQVRIPTNTYRAVLEVFVSFHSNDEFWYTNPPNDYITENNITGMPGNGAFREVVVFLDDSVIGAVWPFPVIYTGGINPLLWRPITGIGSFDLPSYDIEVTPFLGKILDGKLHEFGFRVTDALDVWFVDANLHLWLDGKNDHVHGEVVEYKAPALEQSSVYKFKGLDGSFRRNARRELSATGWVNSSYGKISTHFFQKLEYENLMVFDGNGSVQVINQTTGSHFGTYVRHPSSGLYSEQVFQAFPLYVYTATTDQVNDSYSLLANLSRGFNEKKLLGERDGLVFSSLWNLQDSQGEMRVKGNLVTSGVGGSKQVYRYESTGGCYFRNVSSYNYTLLNDESGASCAASSLFGSENLFTKFLPYPTRRASLSLELGDAEKGD >DRNTG_03122.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21604055:21609843:1 gene:DRNTG_03122 transcript:DRNTG_03122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMASSPPLLLLLLLFFVSVSTAHLHRSIHRVFENSMIEPSTIPPSTFFEVTKPISIPDSTPCSTLLLQHDFAFTYGKPPVTAPYSFPSHCPQNPPSKIVLEWTATSRGRQFDRIFGVWLSGVELLRSCTAEPRLNGIIWTVRKDVTRYSSIFARSSTLAVYLGNLVDSTYTGVYHVNISLHFYYDGSDHSSATPGFNSPADLILPISRSLPLNDGLWLPIQNSTDAQSKQVRIPTNTYRAVLEVFVSFHSNDEFWYTNPPNDYITENNITGMPGNGAFREVVVFLDDSVIGAVWPFPVIYTGGINPLLWRPITGIGSFDLPSYDIEVTPFLGKILDGKLHEFGFRVTDALDVWFVDANLHLWLDGKNDHVHGEVVEYKAPALEQSSVYKFKGLDGSFRRNARRELSATGWVNSSYGKISTHFFQKLEYENLMVFDGNGSVQVINQTTGSHFGTYVRHPSSGLYSEQVFQAFPLYVYTATTDQVNDSYSLLANLSRGFNEKKLLGERDGLVFSSLWNLQDSQGEMRVKGNLVTSGVGGSKQVYRYESTGGCYFRNVSSYNYTLLNDESGASCAASSLFGSENLFTKFLPYPTRRASLSLELGDAEKGD >DRNTG_07890.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20335217:20337443:1 gene:DRNTG_07890 transcript:DRNTG_07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWNFHTPMWILLNFDFRPAMNGNHASVLHRRAHRGRHMPFCALGIASKHIHTGVWKFLTPVYPTH >DRNTG_20802.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22057139:22059926:-1 gene:DRNTG_20802 transcript:DRNTG_20802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSQFHFICRGWLMMNINGAPFPYFNKDGNGYIEAEELHQALMEDGPDDSTDVANDILREVDTDKDGKISYDEFVAMMKTGTDWRKAYRQYSRGRFNSLSVRLMKDGSLNMGSEN >DRNTG_20802.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22057139:22059926:-1 gene:DRNTG_20802 transcript:DRNTG_20802.9 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSQFHFICRGWLMMNINGAPFPYFNKDGNGYIEAEELHQALMEDGPDDSTDVANDILREVDTDKDGKISYDEFVAMMKTGTDWRKAYRQYSRGRFNSLSVRLMKDGSLNMGSEN >DRNTG_20802.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22057139:22059926:-1 gene:DRNTG_20802 transcript:DRNTG_20802.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMNINGAPFPYFNKDGNGYIEAEELHQALMEDGPDDSTDVANDILREVDTDKDGKISYDEFVAMMKTGTDWRKAYRQYSRGRFNSLSVRLMKDGSLNMGSEN >DRNTG_20802.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22057139:22059926:-1 gene:DRNTG_20802 transcript:DRNTG_20802.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNINGAPFPYFNKDGNGYIEAEELHQALMEDGPDDSTDVANDILREVDTDKDGKISYDEFVAMMKTGTDWRKAYRQYSRGRFNSLSVRLMKDGSLNMGSEN >DRNTG_20802.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22057139:22059926:-1 gene:DRNTG_20802 transcript:DRNTG_20802.6 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSQFHFICRGWLMMNINGAPFPYFNKDGNGYIEAEELHQALMEDGPDDSTDVANDILREVDTDKDGKISYDEFVAMMKTGTDWRKAYRQYSRGRFNSLSVRLMKDGSLNMGSEN >DRNTG_20802.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22057139:22059926:-1 gene:DRNTG_20802 transcript:DRNTG_20802.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMNINGAPFPYFNKDGNGYIEAEELHQALMEDGPDDSTDVANDILREVDTDKDGKISYDEFVAMMKTGTDWRKAYRQYSRGRFNSLSVRLMKDGSLNMGSEN >DRNTG_20802.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22057139:22059926:-1 gene:DRNTG_20802 transcript:DRNTG_20802.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMNINGAPFPYFNKDGNGYIEAEELHQALMEDGPDDSTDVANDILREVDTDKDGKISYDEFVAMMKTGTDWRKAYRQYSRGRFNSLSVRLMKDGSLNMGSEN >DRNTG_20802.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22057139:22059926:-1 gene:DRNTG_20802 transcript:DRNTG_20802.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMNINGAPFPYFNKDGNGYIEAEELHQALMEDGPDDSTDVANDILREVDTDKDGKISYDEFVAMMKTGTDWRKAYRQYSRGRFNSLSVRLMKDGSLNMGSEN >DRNTG_20802.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22057139:22059926:-1 gene:DRNTG_20802 transcript:DRNTG_20802.8 gene_biotype:protein_coding transcript_biotype:protein_coding MMNINGAPFPYFNKDGNGYIEAEELHQALMEDGPDDSTDVANDILREVDTDKDGKISYDEFVAMMKTGTDWRKAYRQYSRGRFNSLSVRLMKDGSLNMGSEN >DRNTG_33722.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1245404:1247262:-1 gene:DRNTG_33722 transcript:DRNTG_33722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKLTRGLTELELIVRKMVVEGLGAEKHFESLVKSTTHIMRMAEYGPPVNQETMVAMTTHKDMNLLTIICQHHGEGLELQTKSGDWFHASPCSFNVIIGESFEAWSNGRLTPTPHRVKMSNNDTRRSIGLASQFKYGWIIQAPDELVNQDNPLQYKPYTYEGYLNFLFSEEPWGKKLKTLKAYCGVEGKEMVP >DRNTG_17916.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:155988:156632:1 gene:DRNTG_17916 transcript:DRNTG_17916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRSFPKYSSSYSGRFGYEERAKSYSFNGPNGKHEGFASSGDPELKRKRRIASYNMFAMEGKLKSSFRTGFKWIKTKFSDARYGGV >DRNTG_25871.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9009501:9014311:-1 gene:DRNTG_25871 transcript:DRNTG_25871.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYTNSMSQKYGVDESSHPEFDPQAWCEVIGGMKTTRTHVYGFGITHVGKKKLISPPTSIGRLLFIGL >DRNTG_25871.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9009501:9010851:-1 gene:DRNTG_25871 transcript:DRNTG_25871.12 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYTNSMSQKYGVDESSHPEFDPQAWCEVIGGMKTTRTHVYGFGITHVGKKKLISPPTSIGRLLFIGL >DRNTG_25871.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9009501:9014311:-1 gene:DRNTG_25871 transcript:DRNTG_25871.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYTNSMSQKYGVDESSHPEFDPQAWCEVIGGMKTTRTHVYGFGITHVGKKKLISPPTSIGRLLFIGL >DRNTG_25871.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9009397:9010851:-1 gene:DRNTG_25871 transcript:DRNTG_25871.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYTNSMSQKYGVDESSHPEFDPQAWCEVIGGMKTTRTHVYGFGITHVGKKKLISPPTSIGRLLFIGL >DRNTG_25871.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9009501:9014311:-1 gene:DRNTG_25871 transcript:DRNTG_25871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYTNSMSQKYGVDESSHPEFDPQAWCEVIGGMKTTRTHVYGFGITHVGKKKLISPPTSIGRLLFIGL >DRNTG_25871.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9009501:9014311:-1 gene:DRNTG_25871 transcript:DRNTG_25871.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYTNSMSQKYGVDESSHPEFDPQAWCEVIGGMKTTRTHVYGFGITHVGKKKLISPPTSIGRLLFIGL >DRNTG_25871.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9009501:9014311:-1 gene:DRNTG_25871 transcript:DRNTG_25871.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYTNSMSQKYGVDESSHPEFDPQAWCEVIGGMKTTRTHVYGFGITHVGKKKLISPPTSIGRLLFIGL >DRNTG_25871.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9009501:9014311:-1 gene:DRNTG_25871 transcript:DRNTG_25871.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYTNSMSQKYGVDESSHPEFDPQAWCEVIGGMKTTRTHVYGFGITHVGKKKLISPPTSIGRLLFIGL >DRNTG_25871.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9009501:9014311:-1 gene:DRNTG_25871 transcript:DRNTG_25871.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYTNSMSQKYGVDESSHPEFDPQAWCEVIGGMKTTRTHVYGFGITHVGKKKLISPPTSIGRLLFIGL >DRNTG_25871.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9011012:9014311:-1 gene:DRNTG_25871 transcript:DRNTG_25871.9 gene_biotype:protein_coding transcript_biotype:protein_coding IGVSILRVTWGIYITGSSLISAAIKAPRITSKNLINWEKERNLVPKSLHKRGRKRDKGGKTKEE >DRNTG_25871.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9009501:9014311:-1 gene:DRNTG_25871 transcript:DRNTG_25871.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYTNSMSQKYGVDESSHPEFDPQAWCEVIGGMKTTRTHVYGFGITHVGKKKLISPPTSIGRLLFIGL >DRNTG_25871.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9009397:9010851:-1 gene:DRNTG_25871 transcript:DRNTG_25871.11 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYTNSMSQKYGVDESSHPEFDPQAWCEVIGGMKTTRTHVYGFGITHVGKKKLISPPTSIGRLLFIGL >DRNTG_30319.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1104419:1105917:-1 gene:DRNTG_30319 transcript:DRNTG_30319.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFESKPSASRGFLSGTSFDLLRNRNSVTGSLGSQTSVPPLGCRPLHASSILSESAKGITSNRPLSPNLILKKPQLSATYSISHRIFGAALGTAILITPNYHEVQCCL >DRNTG_35310.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22763534:22766251:1 gene:DRNTG_35310 transcript:DRNTG_35310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALAQQSFMEIQTMNSTCCSRNLKDDDDFIKEKLLSLFSLMKKQYHSFLTSEQMKEVDITIHSLSFEKMKTPEDKSIMLRNFKGCFPTSMPLQPPTSVERKETAILNNEKCPRTNVLLINPKKHKASDKPLLNEHVSKRPKSEILEPKAMLQSMDVEVNAKKSNESSSRVLQIQRQNYWDSNHDMGKIQLKIRDPRRILFEDTMQKSSNSLHQLTSNVIDTKVFASSLSKLCGNGNKQDKKSEIEEQNKLFASHKLCLVLDLDHTLLNSIKFEDLDPIYNEKLREIEKKEREKPQQQNLFCLEHMHLWTKLRPGIRNFLEKASELYELYVYTMGTKSYADEMAKILDPTGMLFAGRIISRENNKDLNKDLKKVSWMTSSVLIIDDTIEVWPQNKPNVIVVERYIYFPHNQCKSKHLNSSLFEVGHDERADIGTLASILKVIVRVHQNFFSLSSVTGTDVKNILALEQQKILSGCKVLFSHIFPIGETNPQQHPLWQTCEKFGAICTNQIDEDITHVVTNSLGTEKVKWAFSTGKFVVNPGW >DRNTG_11338.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23672067:23683277:-1 gene:DRNTG_11338 transcript:DRNTG_11338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISMDLNASPLPEEDEQPLEEHAVDGVIEEGHYESSVELLRREREERRRRLKRENPDDGQKRASQQFKNDYIPQRSTSSYRRVKQLPQGWLDCPSAGQCISLIVPSKVPLDESFNDCIPPGRRYSSKQVVNSQRRAGRDLGLVVDLTNTSRYYSPSEWTKQGIKHVKIPCKGRDAVPDNESVNIFVYEVMQFLSRQKHSKKYVLVHCTHGHNRTGFMIVHFLMRTQLTHVSDALHAFSQARPPGIYKQDYIEALYTFYHENPENLVCPPTPEWKRSSDLDLNGEAAQDDDGDDDGDTAGHLHVETESKVITNDDVLGDAIPYDQQDAMRHYCYQLLDLPLPGRGNAQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLITTHGCYLIDRNFCFRRVQMRFPLKSTTTSEGFHNLTLIDGEMIIDTIPGLGLKRRYLAYDLVALNSYSVVKLPFSERWKIA >DRNTG_11338.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23672067:23683277:-1 gene:DRNTG_11338 transcript:DRNTG_11338.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIISMDLNASPLPEEDEQPLEEHAVDGVIEEGHYESSVELLRREREERRRRLKRENPDDGQKRASQQFKNDYIPQRSTSSYRRVKQLPQGWLDCPSAGQCISLIVPSKVPLDESFNDCIPPGRRYSSKQVVNSQRRAGRDLGLVVDLTNTSRYYSPSEWTKQGIKHVKIPCKGRDAVPDNESVNIFVYEVMQFLSRQKHSKKYVLVHCTHGHNRTGFMIVHFLMRTQLTHVSDALHAFSQARPPGIYKQDYIEALYTFYHENPENLVCPPTPEWKRSSDLDLNGEAAQDDDGDDDGDTAGHLHVETESKVITNDDVLGDAIPYDQQDAMRHYCYQLLDLPLPVVDPQSIVTYHLILPLNYLIFKIFFCGCLPLLKKFLLMS >DRNTG_11338.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23672067:23683277:-1 gene:DRNTG_11338 transcript:DRNTG_11338.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIISMDLNASPLPEEDEQPLEEHAVDGVIEEGHYESSVELLRREREERRRRLKRENPDDGQKRASQQFKNDYIPQRSTSSYRRVKQLPQGWLDCPSAGQCISLIVPSKVPLDESFNDCIPPGRRYSSKQVVNSQRRAGRDLGLVVDLTNTSRYYSPSEWTKQGIKHVKIPCKGRDAVPDNESVNIFVYEVMQFLSRQKHSKKYVLVHCTHGHNRTGFMIVHFLMRTQLTHVSDALHAFSQARPPGIYKQDYIEALYTFYHENPENLVCPPTPEWKRSSDLDLNGEAAQDDDGDDDGDTAGHLHVETESKVITNDDVLGDAIPYDQQDAMRHYCYQLLDLPLPGRGNAQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLITTHGCYLIDRNFCFRRVQMRFPLKSTTTSEGFHNLTLIDGEMIIDTIPGLGLKRRYLAYDLVALNSYSVVKLPFSERWKIA >DRNTG_26589.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20080245:20081739:-1 gene:DRNTG_26589 transcript:DRNTG_26589.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNRVRFVDFEPRQGDAQNNPIYAENFNTGPQEGGQRNPVDVDDVNQMRNNHIQFVDLEHPRSGTSQNNPIYAENFNAGLHGLLPKSKQSDQRNPVDVDVLQFNHPVPLDEPDDDDIFYRIAVYNSLSDYGVTEVINLDDYYPMGKKYMQAIDTYVNVGESSSSSQKILTVDCSLCFEKVLIAEMFDMIGCSHSYCSDCVRKLITAKLSEGVVKVLCPEVGCKDGFLLPYACRMILPIDVYSMWGDKLCEEMLPEKTKLYCPFKDCSALLINDDEKIAQSECPHCNRLFCAQCKVPWHINFNCKDYQKLGQDERQTEDLLLMKVAKDKKWGRCPRCRFFVERTQGCMYMVCR >DRNTG_26589.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20079867:20082201:-1 gene:DRNTG_26589 transcript:DRNTG_26589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSVLKLRFGFRSKRRGNDHLIAVDKMRNNRVRFVDFEPRQGDAQNNPIYAENFNTGPQEGGQRNPVDVDDVNQMRNNHIQFVDLEHPRSGTSQNNPIYAENFNAGLHGLLPKSKQSDQRNPVDVDVLQFNHPVPLDEPDDDDIFYRIAVYNSLSDYGVTEVINLDDYYPMGKKYMQAIDTYVNVGESSSSSQKILTVDCSLCFEKVLIAEMFDMIGCSHSYCSDCVRKLITAKLSEGVVKVLCPEVGCKDGFLLPYACRMILPIDVYSMWGDKLCEEMLPEKTKLYCPFKDCSALLINDDEKIAQSECPHCNRLFCAQCKVPWHINFNCKDYQKLGQDERQTEDLLLMKVAKDKKWGRCPRCRFFVERTQGCMYMVCRCGNTFCYGCGSKMDKNYHYCPKCRR >DRNTG_07895.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2468275:2470771:1 gene:DRNTG_07895 transcript:DRNTG_07895.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGKQKIEAQRRNAERNQKPKGSQLEARAVALKVSCPICKVQLANQNQIADHYASKHPKEKPPSDSG >DRNTG_16204.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30882970:30885564:-1 gene:DRNTG_16204 transcript:DRNTG_16204.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAEEDFGHLNMLDGDEDDNRYNASSKPRTFSTSKVNKLLRQLEGKALSYKMFARDTKASRSIGPPTECFMFHARATESRLPHADEVFVLLHYLFTE >DRNTG_16204.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30881764:30885564:-1 gene:DRNTG_16204 transcript:DRNTG_16204.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNACVHYNHTDPHSSSRWTARESYQYMYARPWHKVLAFYSQLVRSGDGASSLSTLFFSERHNTYHVTEEFQVFEDTKLANAPIRDRKGRWARLTFKIVVSYHGPSFDGWQKQPGLNTVQGLIEKGLGRFVDDKKAQQLRDRSLPVEGCAVVAGRTDKGVTALQQVCSFYTWRKEVKCGEIEDAINKLAPGKLRTLHITEVPRVFHPNFSAKWRRYFYVFPLNEEEDETDFSVKDLTSTAGGVDEEKETMQFAEEDFGHLNMLDGDEDDNRYNASSKPRTFSTSKVNKLLRQLEGKALSYKMFARDTKASRSIGPPTECFMFHARATESRLPHADEACKGQRVMCVELVANRFLRKMVRVLVATAIREAAAGADDDALLKLVDATCRRATAPPAPPVGLCLVDVGYSGFNQDTCLII >DRNTG_16204.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30881764:30885564:-1 gene:DRNTG_16204 transcript:DRNTG_16204.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAEEDFGHLNMLDGDEDDNRYNASSKPRTFSTSKVNKLLRQLEGKALSYKMFARDTKASRSIGPPTECFMFHARATESRLPHADEACKGQRVMCVELVANRFLRKMVRVLVATAIREAAAGADDDALLKLVDATCRRATAPPAPPVGLCLVDVGYSGFNQDTCLII >DRNTG_16204.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30881764:30885564:-1 gene:DRNTG_16204 transcript:DRNTG_16204.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAEEDFGHLNMLDGDEDDNRYNASSKPRTFSTSKVNKLLRQLEGKALSYKMFARDTKASRSIGPPTECFMFHARATESRLPHADEACKGQRVMCVELVANRFLRKMVRVLVATAIREAAAGADDDALLKLVDATCRRATAPPAPPVGLCLVDVGYSGFNQDTCLII >DRNTG_32229.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1456422:1456801:1 gene:DRNTG_32229 transcript:DRNTG_32229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEGGEMRQALASSNFPSVYKGTLILPKPSNTNWDHHHHHQQQQQQQALFKASSSSSCNYYHSYYSSSTSSSERSASALRVHSLNEKRRRERINAHLSTLRRMLPPHALK >DRNTG_13402.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000610.1:29144:36156:-1 gene:DRNTG_13402 transcript:DRNTG_13402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDLIVVVGEMASAIKNPTHWTESLYAKVMEVDGFEKKELVQVFDFLREEKGRLITGSSVSIEDIRDAEEERAVHAFRQELIARDLLPEKHDDYHMLLRFLKARKFNFEKASEMWAGMLRWRNEFGTDTILEPETESGNFNSSVAENY >DRNTG_34313.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:7110651:7115249:1 gene:DRNTG_34313 transcript:DRNTG_34313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSLTLRSSPSLAMAALSPKLGTTAPSLSMSSSSHPLPLTPHLSAASSTFTSVPSLAHSDVLPNRNRRPEHGILLGNGKAKL >DRNTG_30481.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:603704:604939:-1 gene:DRNTG_30481 transcript:DRNTG_30481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCSGDSIGDNDSATDIKFVSLVGAHYLSGPIKVFDKEGIPAKPGDLLVVEICNLGPLPADE >DRNTG_02863.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:13144262:13146664:-1 gene:DRNTG_02863 transcript:DRNTG_02863.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNFLQSLQVFLRHPVKTHGRVEFPHARGFVLRTHPEKAHGRAAAPVNDHATVTRPPVRNVVEEFSPSRENTGACGCPWKPVRAGTHWSWAHGRGYFPHARARAVRVEGVFSRESTGIMAPRSKKQCDKRSRESSSKPEDMSFVIRKPAIREFRQTRFLDASGWSQLLTIREPAIREFALEVLSSFEFDRSYASFDSLDTIQFRALGHHHSSSITQFSVMLGLELTEYCMVKVNDKCLCDMNVKHSFLMLSITFLRSKTVAEHCSKYCSNTVHSRSRNQRNKESTYACGNYPRPCGNSHGRVYRPRSWSCTIPALFKADSAPILVFFSPSFPQLARGLRLGFRVVLAKVLERFYGSDIVILRKKVGRGVSVEAYPIPDEGILG >DRNTG_24008.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001286.1:4466:12785:-1 gene:DRNTG_24008 transcript:DRNTG_24008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLLGSSCLKRALFSSPESSTWQLYRQGTILPVFQLRSFSAKGRRKSKSDGSDSGEENLSKKDLALQQALDQITTSFGKGAIMWLGRSNTPREVPVVSTGSFSLDMALGIGGLPKGRVVEIYGPEASGKTTLALHVIAEAQKSGGYCAFVDAEHALDPALAEAIGVKTDNLLLSQPDCGEQALGLVDTLIRSGSVDVVVVDSVAALVPKSELDGEMGDAHMALQARLMSQALRKLSHSLSLSQTILLFINQVRSKLSTFGGFGGPTEVTSGGNALKFYASVRLNIKRIGLVKKGEETVGSQVLVKIVKNKHAPPFKTAQFELEFGKGICRDSEIIELGCKHKLITKGGGGYYNLNGQNLRGKDAVKRYFTENEDAREELIMKLREKLMHPEKENKAERDSLTPDAITSDTTTTDEEVVTAVEA >DRNTG_24008.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001286.1:4466:12785:-1 gene:DRNTG_24008 transcript:DRNTG_24008.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLLGSSCLKRALFSSPESSTWQLYRQGTILPVFQLRSFSAKGRRKSKSDGSDSGEENLSKKDLALQQALDQITTSFGKGAIMWLGRSNTPREVPVVSTGSFSLDMALGIGGLPKGRVVEIYGPEASGKTTLALHVIAEAQKSGGYCAFVDAEHALDPALAEAIGVKTDNLLLSQPDCGEQALGLVDTLIRSGSVDVVVVDSVSKIRCYKFTKYSLFHLLVQIFGSYHSIRIQWNPNFGPKSLP >DRNTG_28345.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:222697:225591:-1 gene:DRNTG_28345 transcript:DRNTG_28345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWVLSHIPILLCLILIIPQSEETRSIRRTECHGRWIHIRSLPPRFNTELLESCNAFPLMDEPLCPYAANHGLGPRTHNRSRSWYRTDPRLLEPLIHRRLLEHPCLTPDPLLADAIYLPYYASLDALPFLYSPPLFNSSALHALPLHHHLLSNQPHVYSRRHGHDHFLVLAGPAWDFSQAPDADPVLWGTSFLSRSEFFNLTVLALESRPSPWQEHAIPHPTSFHPATLARLDAWLARARRSRRNTLMLFAGGGGGGGANIRGSIRAECENRTDLCQMVDCSDGVCSHDPIRFMRPMLRSSFCLQPPGDTPTRRSTFDGILAGCIPVFFEEISARKQYGWHLPEDQYEDFSVYIPKEDVVFGGVRITEVLAAIPEADVRTMRERVLELAPGVMYRRHGSSAGLRSIKDAVDLAIDGVLRRIRRKVRPLGSPELITVDDEEDAAG >DRNTG_07131.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4758473:4759863:1 gene:DRNTG_07131 transcript:DRNTG_07131.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICCRNCTVCKETMNSVTSPANRVIASPGIHSGGSRWLRSKGRFLSIGGAVISCRNERAPDGLVADAHLADGFLHLIMIKDCPRPHYLWHLTQLTRKGSDPLNFEFIEHHKTSAFTFVSTHDESFWNLDGELLQACQISVQVFRGLVNLFASGPEV >DRNTG_07131.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4758473:4759863:1 gene:DRNTG_07131 transcript:DRNTG_07131.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVRYDYAGTKVFLKHRSFEAEVAFLETESVETTTRSSSKTQSAQLSPERPKKMICCRNCTVCKETMNSVTSPANRVIASPGIHSGGSRWLRSKGRFLSIGGAVISCRNERAPDGLVADAHLADGFLHLIMIKDCPRPHYLWHLTQLTRKGSDPLNFEFIEHHKTSAFTFVSTHDESFWNLDGELLQACQISVQVFRGLVNLFASGPEV >DRNTG_34382.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17956411:17964381:1 gene:DRNTG_34382 transcript:DRNTG_34382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGFNRQILEEKLSKLNNSQQSIETLSQWCILHRKKANHIVETWEKYFNNSPNERRIPFLYLANDILQNSRRKGSEFVNEFWKVLAGAMKSVYANGNSHGKHEVIRLVTIWDERKVFGSRGRGLKEEIFGNEPLPVLENSGKSSQSIKIVKKDSHSMRVKLAVGGMLEKIVTAYQPILDEHLTEDTTLNKCKSTASLLEKMQKDVDDACTQDHQNVPHVLNNLQEQETISETVCRETRKCSGLKSCFDSSAERCTS >DRNTG_01019.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18098267:18099658:1 gene:DRNTG_01019 transcript:DRNTG_01019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINHPLLHRILHALFVLLVAAPTCMSATSFSTELSLKESECDIWTGKWERDTEVPYYTNETCSTIQDHQNCMKNGRPDTEFLKWRWKPDDCELPRFDPKAFFKLVRGKTVEYPEDISETTDENFKRMYYSAYNFTISIFWSPFLIKTKQPVYEAPSLDHMWKLYLDETDDNWTSKIDNFDYLIISGGTWFTRPLLFYEKRQVIGCQYCDIKNFPKIHVSYFHRMAFRTALQGINKSPSYRGTTIVRTISPPHFENGAWDHGGDCRRTRPARRGEKKMEKIYEEMYRNQIEEFEVGRKEGRKRGLEFMLMDMTGAMLQRPDGHPSGYWSSNGKKGKEKHNDCVHWCLPGPVDLWNDLLFHMLRRQGNWELE >DRNTG_30129.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3173455:3174099:1 gene:DRNTG_30129 transcript:DRNTG_30129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVLPTIFPPIVLPKCSTISQFYKIHTFSFSILHHHHHHHQGIAVGPYHSAAMEMENANMTGGDEQMPMNTNMSMSTSMHDGGGMSLMHMTFYWGKNSAILFSGWPGTSTGMYVLALVLVFVLAVLVEWLGHCRVAANGLVRTAVHTVRVALAYVVMLALMSFNVGVFIVAVVGHCVGFLIFGSSSLFWPAAPDQPAVSADDCAKAPLPPLAC >DRNTG_11641.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:61650:64817:1 gene:DRNTG_11641 transcript:DRNTG_11641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLVNLEGKMYSCKHCSTHLALPEDIISRSFHCKHGKAYLFNKVVNVTVGAKEDRMMMTGLHTVVDIFCVGCGSILGWKYEAAHEKNQKYKEGKFILERFKVAGPNGNYYWTSTDAHVAGSDPDDA >DRNTG_22983.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001255.1:57893:59425:1 gene:DRNTG_22983 transcript:DRNTG_22983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLKKKALKVSFPMLCQDLTNFHTMSMNYGFVIAEHLSMEELVEMKEMFRIMDVNNNGKITLEELRHGLHKMGYQLLYTDVKILMDALTLTIMTLDYGEFVVVSIHVQKMVNDERLYKSFLPHFYISMHT >DRNTG_29470.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:845447:850473:-1 gene:DRNTG_29470 transcript:DRNTG_29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVELDTLRHALVGLPGSTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGRVIYGGLLGVNSQVMVDYFQRIDGVPPIPVGYNPATWMLEISTQASEERLGQDFANVYKNSHQFREVEELIQQASVATMEPLKFSSKHPQDSLTQFKICYWKQNLVYWRSPKYNVVRLFFTTFAAFIFGSVFWNVGLKRETTKDLFVVMGALYSACLFLGVNNASTIQPVISIERTVYYREKATGMYSAFPYAFAQGLVELPYIALQAILYGLITYFMINYERTWGKFLLYLVFMFLTFTYFTFYGMMAIGLTPSQQLAAVISSAFYSLWNLLSGFLVLKPSIPVWWLWFYYICPVAWTLRGIITSQLGDVETQLVGPGFNGTVKEYLETQLGFDAGMTGVCVAVLIGFAMLFFFIYAFSIKFINYQRR >DRNTG_29470.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:845447:849157:-1 gene:DRNTG_29470 transcript:DRNTG_29470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEISTQASEERLGQDFANVYKNSHQFREVEELIQQASVATMEPLKFSSKHPQDSLTQFKICYWKQNLVYWRSPKYNVVRLFFTTFAAFIFGSVFWNVGLKRETTKDLFVVMGALYSACLFLGVNNASTIQPVISIERTVYYREKATGMYSAFPYAFAQGLVELPYIALQAILYGLITYFMINYERTWGKFLLYLVFMFLTFTYFTFYGMMAIGLTPSQQLAAVISSAFYSLWNLLSGFLVLKPSIPVWWLWFYYICPVAWTLRGIITSQLGDVETQLVGPGFNGTVKEYLETQLGFDAGMTGVCVAVLIGFAMLFFFIYAFSIKFINYQRR >DRNTG_29470.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:845447:847113:-1 gene:DRNTG_29470 transcript:DRNTG_29470.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTFTYFTFYGMMAIGLTPSQQLAAVISSAFYSLWNLLSGFLVLKPSIPVWWLWFYYICPVAWTLRGIITSQLGDVETQLVGPGFNGTVKEYLETQLGFDAGMTGVCVAVLIGFAMLFFFIYAFSIKFINYQRR >DRNTG_29470.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:848708:848933:-1 gene:DRNTG_29470 transcript:DRNTG_29470.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLKFSSKHPQDSLTQFKICYWKQNLVYWRSPKYNVVRLFFTTFAAFIFGSVFWNVGLKR >DRNTG_29470.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:845447:848008:-1 gene:DRNTG_29470 transcript:DRNTG_29470.5 gene_biotype:protein_coding transcript_biotype:protein_coding MINYERTWGKFLLYLVFMFLTFTYFTFYGMMAIGLTPSQQLAAVISSAFYSLWNLLSGFLVLKPSIPVWWLWFYYICPVAWTLRGIITSQLGDVETQLVGPGFNGTVKEYLETQLGFDAGMTGVCVAVLIGFAMLFFFIYAFSIKFINYQRR >DRNTG_29470.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:845447:850074:-1 gene:DRNTG_29470 transcript:DRNTG_29470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGGRVIYGGLLGVNSQVMVDYFQRIDGVPPIPVGYNPATWMLEISTQASEERLGQDFANVYKNSHQFREVEELIQQASVATMEPLKFSSKHPQDSLTQFKICYWKQNLVYWRSPKYNVVRLFFTTFAAFIFGSVFWNVGLKRETTKDLFVVMGALYSACLFLGVNNASTIQPVISIERTVYYREKATGMYSAFPYAFAQGLVELPYIALQAILYGLITYFMINYERTWGKFLLYLVFMFLTFTYFTFYGMMAIGLTPSQQLAAVISSAFYSLWNLLSGFLVLKPSIPVWWLWFYYICPVAWTLRGIITSQLGDVETQLVGPGFNGTVKEYLETQLGFDAGMTGVCVAVLIGFAMLFFFIYAFSIKFINYQRR >DRNTG_29470.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:847917:848260:-1 gene:DRNTG_29470 transcript:DRNTG_29470.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGALYSACLFLGVNNASTIQPVISIERTVYYREKATGMYSAFPYAFAQGLVELPYIALQAILYGLITYFMINYERTW >DRNTG_29470.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:845447:848260:-1 gene:DRNTG_29470 transcript:DRNTG_29470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGALYSACLFLGVNNASTIQPVISIERTVYYREKATGMYSAFPYAFAQGLVELPYIALQAILYGLITYFMINYERTWGKFLLYLVFMFLTFTYFTFYGMMAIGLTPSQQLAAVISSAFYSLWNLLSGFLVLKPSIPVWWLWFYYICPVAWTLRGIITSQLGDVETQLVGPGFNGTVKEYLETQLGFDAGMTGVCVAVLIGFAMLFFFIYAFSIKFINYQRR >DRNTG_35474.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3807712:3814079:1 gene:DRNTG_35474 transcript:DRNTG_35474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGNLLVIQSPHKKLVIILGLVFVLIGYHCCGQQFKWIDKMMVFWVFPLSMQSLAAMREGRNRMMSEIRNALDLMRRGENLRFDDVFLLDHPAFFGGADLHDRHRDMRLDVDNMSYEELLALEERIGNVSTGLGEEKIMKHLKTRLYLPVTLESPSEVEPCCICQEEYVDGEDLGTLDCGHDFHTGCIKQWLMCKNLCPICKTNGLVT >DRNTG_24160.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001288.1:32067:33403:1 gene:DRNTG_24160 transcript:DRNTG_24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSFSPLITLQPRPRPHLSGRLSRQRKPLRIHASRNNEEEDYQNIVDKNMVTLRRRITEVKALDRTEECVELEKDYCYKLYDSDVCEILGWLQVHMISSEPSMGIGITLMLRLNVPTSVLVIMLHLMDAAKCILDAVHVDH >DRNTG_28673.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5162499:5167454:1 gene:DRNTG_28673 transcript:DRNTG_28673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRIHSRALSVFNVLKSTRRTPLGIWRDSITSAVMFLSLGTSTTNAGPLRTARSRVSTSNPASK >DRNTG_33767.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32492398:32495029:-1 gene:DRNTG_33767 transcript:DRNTG_33767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGDDCLESLREGVDGGEYAMWFGISCAFAALGVVEMEGKRLELSELMMRGSTQLLGLMVERRQRKVEALEEKMKRAEMEVKEMKLRRREDAKANEKVVAIFASHEQSWIAERKRLGFQIQTLVRELRGSKEVVEEKEGVIRAKDEELEKGLKERKELEERMKKEEEEHSAELWKHKTAFMELVSDQRQLEAEMGRVLRQAETAKRELEELSEKKEEAVAMVDKLSDEILKLQKDAEQKDKILSAMLRKSKIDSAEKQMLLKEVKISKAKKKQAEMEMDRWRSLCESRNKKSLRALPLMEAGSSRANNSETSITETESFDHCSSCQVNAELGCVDDMQKLRDWVRMEAEKYAMVLEQRHYAEIEAFTEQMRLKDEKLEAFRWQLLSVELEAKQLQSHIEGLDGTVSQFRDENLRLETLLLEKEKELKLLRDQLDFYNVQHYQKKKERSSGDSSMNDFASQDNQVDEFYVEQCEETANNLILTSKNSEEIEEEKVVSIDPGHITSQNNSKEQSVAIVKNNNSWKMDIHALGISYKIKRLKQQLIVLEKLTGEQAMKQTATADDSQRKVGAGGENKQQVKGLLLLISLLNKQVKRYQSLEEKTDELCRKMNESHRTVGKRDQFNNGKTKEQTETLQKFLEETFQLQRFMVATGQKLMDIEAKIACSFVGNVGLDESSGFNMSQFADIVKNLFKEVQRGLEVRIARIIGDLEGTLACDGILYR >DRNTG_06234.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5335482:5345348:-1 gene:DRNTG_06234 transcript:DRNTG_06234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKYARHNLLSSLVPLQQGSLNHHHSQSIGRLRTFSSQFRRFNSSNGKGPIFSEKDKVNGQYPPPWFSVAPMMDWTDNHYRFLARLISKRAWLFTEMVVAETIVHQKDNLDRFLAFPPEQHPIVLQIGGSNLDNLAKAAELANAYDYDEINLNCGCPSGKVAGHGCFGARLMFDPKFVGDAMSTIATNCDAPVSVKCRIGVDDRDSYNELCEFVYTVSSKSPTKHFIIHARKALLNGLSPAENRTIPPLKYEYYFALLRDFPDLQFTLNGGITCIDQVAAARRQGAHNVMVGRAAYNNPWHMLGHVDSAIYGLPPSVLSRRQVLEQFQIYGDSILKEDGRKKPNVRQLVKPLLNLFHGERGNGLWKRKADTALHHCTTMKSFLEETLSEIPDTVLDSVPERNTPESDGDFVDLDGLLPPPYRCEQQLHSLNDQKASPLLC >DRNTG_06234.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5335482:5339238:-1 gene:DRNTG_06234 transcript:DRNTG_06234.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFDPKFVGDAMSTIATNCDAPVSVKCRIGVDDRDSYNELCEFVYTVSSKSPTKHFIIHARKALLNGLSPAENRTIPPLKYEYYFALLRDFPDLQFTLNGGITCIDQVAAARRQGAHNVMVGRAAYNNPWHMLGHVDSAIYGLPPSVLSRRQVLEQFQIYGDSILKEDGRKKPNVRQLVKPLLNLFHGERGNGLWKRKADTALHHCTTMKSFLEETLSEIPDTVLDSVPERNTPESDGDFVDLDGLLPPPYRCEQQLHSLNDQKASPLLC >DRNTG_06234.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5335482:5338213:-1 gene:DRNTG_06234 transcript:DRNTG_06234.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAYILILVLKYCGLSHFVFAGEFVYTVSSKSPTKHFIIHARKALLNGLSPAENRTIPPLKYEYYFALLRDFPDLQFTLNGGITCIDQVAAARRQGAHNVMVGRAAYNNPWHMLGHVDSAIYGLPPSVLSRRQVLEQFQIYGDSILKEDGRKKPNVRQLVKPLLNLFHGERGNGLWKRKADTALHHCTTMKSFLEETLSEIPDTVLDSVPERNTPESDGDFVDLDGLLPPPYRCEQQLHSLNDQKASPLLC >DRNTG_06234.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5343751:5345348:-1 gene:DRNTG_06234 transcript:DRNTG_06234.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMKYARHNLLSSLVPLQQGSLNHHHSQSIGRLRTFSSQFRRFNSSNGKGPIFSEKDKVNGQYPPPWFSVAPMMDWTDNHYRFLARLISKRAWLFTEMVVAETIVHQKDNL >DRNTG_06234.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5335482:5345348:-1 gene:DRNTG_06234 transcript:DRNTG_06234.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIATNCDAPVSVKCRIGVDDRDSYNELCEFVYTVSSKSPTKHFIIHARKALLNGLSPAENRTIPPLKYEYYFALLRDFPDLQFTLNGGITCIDQVAAARRQGAHNVMVGRAAYNNPWHMLGHVDSAIYGLPPSVLSRRQVLEQFQIYGDSILKEDGRKKPNVRQLVKPLLNLFHGERGNGLWKRKADTALHHCTTMKSFLEETLSEIPDTVLDSVPERNTPESDGDFVDLDGLLPPPYRCEQQLHSLNDQKASPLLC >DRNTG_24606.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1311732:1317755:-1 gene:DRNTG_24606 transcript:DRNTG_24606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDRTEQSTQTSIKFRCKKAHTGEAKKNDTGPTATKIMGIDENDKSEKTLVSQTPEKPEWRIKGKEIGYSSRMKHLSENFQSHDHIIEPTTTDYADDSTKGPAISEYSERYMCGERRSPVHEAVDIPEKCKTLADLFNGMVCSIRLLNLCKMATTFQNISTQVEILTKRKFLSNHLAQMKYLFPEAIQMEKVLVHEVSSLCMKQDLKITLLLHIVDCPSDPAKSAFAALSEAFNSKLKDFFNAQPEVADVPEAILPDPFDQRKDTTLINKLTERSATVPPLQSFGNVDSVSSTSFILSSFHKQFSGKVVIPETLKTQQLANPDSLDSIKPDDETAAFSRSPQKKDNITFVSTINSSHMICIPNQLTGSKFSEGTPTKSFINSDELRVETPALQTPKRALLTPNEKPVIEGGGFVTEAKVSGSVRRSLIYSPANTDGDVSYLVQSGEQDGRVKSFCEKTTAKRCLTDEVCDSEIKDQQMRQTGSEKRQETLACLPNLFNMIKLIFRSVNCSFITKKELIHKIITIDLEIEDSREVEEQLRLLEELVPDWISKKTETTGDFLYSINNSVNPDLVRARLLEAQ >DRNTG_14746.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:164580:165736:1 gene:DRNTG_14746 transcript:DRNTG_14746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHKALEFEDGAGEGADDLLERAHQHKPSSLKVDDHDHDHLHDHSFLHLPSCRS >DRNTG_26480.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:596601:600633:-1 gene:DRNTG_26480 transcript:DRNTG_26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g03510 [Source:Projected from Arabidopsis thaliana (AT1G03510) UniProtKB/Swiss-Prot;Acc:Q9LR72] MMSSLLGSMHQRLLQLTKLLTSYVNQGRHNQALTLFSHMFANPDLTLDPFAFPLALKSCAALHLPSSVAAIHSHSLKSNLLPNPFVASSLVDSYGKSDSIVHARQLFDECPQRNVVVWNAMISLYSHSNDVASALRLFDMMDVPPTVSSYNCVIAALAESEGGSSRALDLYGRMRASGMTPNLITVLALLPACVGVGALSSIKEIHGFAFRINIHKHVQVGSGIVEAYGRCGCLVNARRVFDLMPQRDVVVWSSMVSSYAFHGQADIAMLTLKQMESDNVRPDWIMFLGVLKACSHAGLTDNALHFFDLMTKHYGIEACSEHYSCLVDVLSRAGRLRDAYDVIQGMPMKATPKAWGALLAACRNYGEVELAEIASQALFEIEPENSGNFLLLANSYAGAGRYEEAEKVRREMIERGVNRRGPGSSWVISQ >DRNTG_26480.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:596601:600633:-1 gene:DRNTG_26480 transcript:DRNTG_26480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g03510 [Source:Projected from Arabidopsis thaliana (AT1G03510) UniProtKB/Swiss-Prot;Acc:Q9LR72] MMSSLLGSMHQRLLQLTKLLTSYVNQGRHNQALTLFSHMFANPDLTLDPFAFPLALKSCAALHLPSSVAAIHSHSLKSNLLPNPFVASSLVDSYGKSDSIVHARQLFDECPQRNVVVWNAMISLYSHSNDVASALRLFDMMDVPPTVSSYNCVIAALAESEGGSSRALDLYGRMRASGMTPNLITVLALLPACVGVGALSSIKEIHGFAFRINIHKHVQVGSGIVEAYGRCGCLVNARRVFDLMPQRDVVVWSSMVSSYAFHGQADIAMLTLKQMESDNVRPDWIMFLGVLKACSHAGLTDNALHFFDLMTKHYGIEACSEHYSCLVDVLSRAGRLRDAYDVIQGMPMKATPKAWGALLAACRNYGEVELAEIASQALFEIEPENSGNFLLLANSYAGAGRYEEAEKVRREMIERGVNRRGPGSSWVISQ >DRNTG_26480.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:596601:600633:-1 gene:DRNTG_26480 transcript:DRNTG_26480.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g03510 [Source:Projected from Arabidopsis thaliana (AT1G03510) UniProtKB/Swiss-Prot;Acc:Q9LR72] MMSSLLGSMHQRLLQLTKLLTSYVNQGRHNQALTLFSHMFANPDLTLDPFAFPLALKSCAALHLPSSVAAIHSHSLKSNLLPNPFVASSLVDSYGKSDSIVHARQLFDECPQRNVVVWNAMISLYSHSNDVASALRLFDMMDVPPTVSSYNCVIAALAESEGGSSRALDLYGRMRASGMTPNLITVLALLPACVGVGALSSIKEIHGFAFRINIHKHVQVGSGIVEAYGRCGCLVNARRVFDLMPQRDVVVWSSMVSSYAFHGQADIAMLTLKQMESDNVRPDWIMFLGVLKACSHAGLTDNALHFFDLMTKHYGIEACSEHYSCLVDVLSRAGRLRDAYDVIQGMPMKATPKAWGALLAACRNYGEVELAEIASQALFEIEPENSGNFLLLANSYAGAGRYEEAEKVRREMIERGVNRRGPGSSWVISQ >DRNTG_26480.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:596601:600633:-1 gene:DRNTG_26480 transcript:DRNTG_26480.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g03510 [Source:Projected from Arabidopsis thaliana (AT1G03510) UniProtKB/Swiss-Prot;Acc:Q9LR72] MMSSLLGSMHQRLLQLTKLLTSYVNQGRHNQALTLFSHMFANPDLTLDPFAFPLALKSCAALHLPSSVAAIHSHSLKSNLLPNPFVASSLVDSYGKSDSIVHARQLFDECPQRNVVVWNAMISLYSHSNDVASALRLFDMMDVPPTVSSYNCVIAALAESEGGSSRALDLYGRMRASGMTPNLITVLALLPACVGVGALSSIKEIHGFAFRINIHKHVQVGSGIVEAYGRCGCLVNARRVFDLMPQRDVVVWSSMVSSYAFHGQADIAMLTLKQMESDNVRPDWIMFLGVLKACSHAGLTDNALHFFDLMTKHYGIEACSEHYSCLVDVLSRAGRLRDAYDVIQGMPMKATPKAWGALLAACRNYGEVELAEIASQALFEIEPENSGNFLLLANSYAGAGRYEEAEKVRREMIERGVNRRGPGSSWVISQ >DRNTG_06795.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11892701:11893356:1 gene:DRNTG_06795 transcript:DRNTG_06795.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVESRETVAQLKKMIGIKGLAAGRMVLMVGGVAWRCRIRRGH >DRNTG_06795.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11892299:11893356:1 gene:DRNTG_06795 transcript:DRNTG_06795.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCQNETSIDLLAKLWHICDEWWIAMDVESRETVAQLKKMIGIKGLAAGRMVLMVGGVAWRCRIRRGH >DRNTG_03314.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9297844:9299171:1 gene:DRNTG_03314 transcript:DRNTG_03314.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCRKDGASFINDEFVKGFEEFIFFAYSQTSIVNEVKDEDEDENGNKEGMMKTMWMMEMMEMMEMNMKMMKKMIFITPMII >DRNTG_11623.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000513.1:1:6878:-1 gene:DRNTG_11623 transcript:DRNTG_11623.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKHQVAEETVIDHQGSNVRAIAGQQNACDIELSSPTCYEYHDSEFHSFEEERSCEKLECCQVWALYSDIDQYLKYYGWISKVEVLRSPTTAQSVITAITLSRIMSILLESIKDAPNVAIKVCGDIYFLVHGYEDARLDSSILTPCHGEVIKALLLLTDRLDTSSSRASAYETLNEIVRCSRSLETSNILCQLLQEITKRLKQTMELQVFSSDDKEKQGELQALFCGVLQVLVQSSTVHEEAMNSIGAFAYATDPQFAKYMPKFYKYLDMGLQNFEEYQICSISVGVTLPLPLESILRSAYLLPCQCCKSLLVRSIFEKEKNMEKNGRVS >DRNTG_02021.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12949076:12950910:-1 gene:DRNTG_02021 transcript:DRNTG_02021.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEFTTAELVEAQAQVWNLMFGYLKSMCLKCSLELGIADVLKKHGKPMELSELTSALSIPPSKFEPFDRFMATLVHLELFGKKQDDLGATKYMLTPASHLLVKDEALNITPLIILNLDPFICDSSHVLAPWFKSPKESPFELYFGKGITDVLGEKPEFNKMLNEGMASDSRFVCNVVMTSCRDVFKGLKSVVDVGGGTGTMARSIAHAFPGIKCTVFDLPHVIDTVEDQQPGVEYVGGDMFASVPHANAVLLKWILNCWNNEECVKILQCCKEAIPPRADGGKIIIIDMVIGAVANKHVCAVETQLLHDLLLMSLAGGKERNEREWHTIFVSAGFTDYKITHFLGIRSIIELYP >DRNTG_02021.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12943236:12950910:-1 gene:DRNTG_02021 transcript:DRNTG_02021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEFTTAELVEAQAQVWNLMFGYLKSMCLKCSLELGIADVLKKHGKPMELSELTSALSIPPSKFEPFDRFMATLVHLELFGKKQDDLGATKYMLTPASHLLVKDEALNITPLIILNLDPFICDSSHVLAPWFKSPKESPFELYFGKGITDVLGEKPEFNKMLNEGMASDSRFVCNVVMTSCRDVFKGLKSVVDVGGGTGTMARSIAHAFPGIKCTVFDLPHVIDTVEDQQPGVEYVGGDMFASVPHANAVLLKWILNCWNNEECVKILQCCKEAIPPRADGGKIIIIDMVIGAVANKHVCAVETQLLHDLLLMSLAGGKERNEREWHTIFVSAGFTDYKITHFLGIRSIIELYP >DRNTG_02021.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12943236:12944991:-1 gene:DRNTG_02021 transcript:DRNTG_02021.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMEFTTVELVEAQAQVWNLLFGYLKSMCLKCSLELGIADVLKKHGKPMELSELTSALSIPPSKFEPFDRFMTTLVHLELFGKKQDDFGATKYMLTPASHLLVKDEALNITPLIILNLDPFICDSSHVLAPWFKSPKESPFELYFGKRFWDVVGEKPEFNKMFNEGMASDSRFVCNVVMTSCRDVFKGLKSVVDVGGGTGTMARSIDHAFPGIKCTVFDLPHVIDTMEDQQPGVEYVGGDMFASIPHANAVLLKWILNGWNNEECVKILQRCKEAIPPRADGGKIIIIDMVIGAVTNKHVCAVETQLLFDLLVMSLGGGKERNEHEWHNIFVSAGFTDYKITHFLGIRSIIELYP >DRNTG_29635.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5886144:5892443:-1 gene:DRNTG_29635 transcript:DRNTG_29635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAATSATQRGQVDLIDFVDWSGVECLNQSSSHSLVNAMKQGYRDDDGLHLESDSDEQLLIYVPFTQVIKLHSILINGPEDEGPRNLKLFANKENMGFSDVNEYPPSDSIVLSPENLKGKPVIVKYVKFQSVRSLTIFVENNQGGNDISKVHKIILYGTTVDTTNMKDLKKIEDH >DRNTG_23757.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21148036:21149021:1 gene:DRNTG_23757 transcript:DRNTG_23757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSSATICDLFLIFNLLFFTFGTSSLTSIPVLHPPTPAATPPRSVQPGSGGHAPAPTPASPAPGNGGHGVPIPNPITPPASPAPGNGGHGVPTPQPITPPASPPSGNGHGGPSPPASPPSGNGGQCPVKLASLKVCAGVLNGLVSVNGPCCPLINGLASLDAEVCLCAAIKANVLGYIQIDATVAVKLIVGSCGKPTSPGFKCPN >DRNTG_30951.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2441260:2445518:1 gene:DRNTG_30951 transcript:DRNTG_30951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGEPFYQDADLGDFGSRWYFSRREIEDSSPSRKDGIDLKKETYLRKSYCTFLQDLGIRLKVPQVTIATAIVLCHRFFLRQSHAKNDRRTIATVCMFLAGKAEETPRPLKDVILVSYEIMHKKDPLAVQRIKQKEVCDQQKELIQLAERVVLSTLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKFWWQEFDVTPRQLEDVSNQMLELYEQSRPVQASHANEVEGSTSGGTNQTVVTSSTINENPPSTNVRSHASEALNTKQVVRVPQPAKLNVPPTASDFQTLPQSSSLTHENASSEVFDRKTDNEEEDCLHNEVGQHGRRSENMAGASMHTSSFTDRQARETVTSYAGEAIETRERKDDASASISKLDRNKFKAALERRRKQGSDASTETNLMDDDDLIAREIENGIELAVEGEKLKQEKRTGWPKPLNHAGPKNQNVNSEEGELSSLKSFNGSDRAPSKWQHDLPHQSRDAGGRSFIENTGRDHKRLRHDGYA >DRNTG_32441.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9121131:9133292:1 gene:DRNTG_32441 transcript:DRNTG_32441.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC12-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G52190) UniProtKB/Swiss-Prot;Acc:Q8GYE0] MDAMSSSACASWIRRPENKHLVIMGRTKTRSSPPLLEIFSFDPKTASLASDSLTRVLMGDEDGDPMGFAVHPSGDEIVCSTSIGCKLFELDFEDLNIKLVSKDFSPLQSIGPQKCVAFSTDGSKFAVGGEDGHLRIFEWPSLHVLLDEPNAHKSFGDMDISLDSEFLASTSTDGSARIWKINEGVPLTTLTRSSEEKIECCRFSRDGMRPFLFCTVKKGAQVTTGVWDISTWNKIGHKRLLKKPASVLSVSLDGKYLALGSNDGDIAVVEVKKMEISHWSKKLHLGASISSIEFCPTERVVLSTSSRGGTTITRLNVPADWKEWQIYVLLLGLFLVSAMIFYLLYENSDSFWNFPLERTQPAKTREAFFSDAQFADDQNPW >DRNTG_03980.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25534864:25535316:1 gene:DRNTG_03980 transcript:DRNTG_03980.2 gene_biotype:protein_coding transcript_biotype:protein_coding KTEHENGTKQGINPHCSSPAYIHFQESTNASL >DRNTG_03980.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25534616:25535529:1 gene:DRNTG_03980 transcript:DRNTG_03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGVVDPPASKPLLLTSSAHRPPAPA >DRNTG_22832.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7769160:7773761:1 gene:DRNTG_22832 transcript:DRNTG_22832.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLMSQLKVDLKRIHDLGVRKVVVTNLHPLGCTPMIARVSNYSSCNSTINLAVQLHNQKLDAAVKELLIETRSYDTFMSLDVHGAFWSILAQGTEKFKNGLKPCCEGDTNAINCGEVDDKGVKLYKLCSDPQDYFYWDSVHPTQAGWTAVYQTLKPSLSKIFK >DRNTG_22832.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7769425:7773761:1 gene:DRNTG_22832 transcript:DRNTG_22832.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYSVFYSCIDHASHVIMSSYLLLHSSFMRIRSPIPYKYRKFGFKLLHYGMNFAVGGTGVFDTGDFQRNLTVQIDVFERQIKNGIFSLCDLDSSIALVAVSGNDYGTYQSSGHPFNEISTFMNSLMSQLKVDLKRIHDLGVRKVVVTNLHPLGCTPMIARVSNYSSCNSTINLAVQLHNQKLDAAVKELLIETRSYDTFMSLDVHGAFWSILAQGTEKFKNGLKPCCEGDTNAINCGEVDDKGVKLYKLCSDPQDYFYWDSVHPTQAGWTAVYQTLKPSLSKIFK >DRNTG_22832.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7768955:7773761:1 gene:DRNTG_22832 transcript:DRNTG_22832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSKCFFLYAAFFLPFVIIVSAAHSCEYPKPGGNHLQKLFVFGDSFVDNGNLGKIGKLARSWTYPYGITFPHHPTGRFSDGRVLSDFIVGGTGVFDTGDFQRNLTVQIDVFERQIKNGIFSLCDLDSSIALVAVSGNDYGTYQSSGHPFNEISTFMNSLMSQLKVDLKRIHDLGVRKVVVTNLHPLGCTPMIARVSNYSSCNSTINLAVQLHNQKLDAAVKELLIETRSYDTFMSLDVHGAFWSILAQGTEKFKNGLKPCCEGDTNAINCGEVDDKGVKLYKLCSDPQDYFYWDSVHPTQAGWTAVYQTLKPSLSKIFK >DRNTG_20698.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17323192:17323537:-1 gene:DRNTG_20698 transcript:DRNTG_20698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNEQRIETQMQEDSICPCTSSVTENNSEKCSKPQKSQSCEVTSSNT >DRNTG_14937.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27105366:27108552:1 gene:DRNTG_14937 transcript:DRNTG_14937.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-damage-repair/toleration protein DRT111, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G30480) UniProtKB/Swiss-Prot;Acc:P42698] MLGGLYGDLPPPSSAEEEKSNSSVVWSSSAKMAPPALRKPASVLAPPQSVLKSQQSQNKSKNSLPPQSKTATSTLPPPALPDSGMKSPTFQPALVGVTSTVIEEYDPARPNDYEDYRREKKRRAVEAEMKRELERRRREEEEREREREQREREASERLERDHHSRSSSLNISGEEAWKRRAAMSGAAPRSPSPPPNGEGFSIGKSGTAGLGVGAGGQMTAAQRMMAKMGWKEGQGLGKQEQGITTPLMAKKTDRRAGVIVNASESKSDKKPKSVNLNGPPTRVLLLRNMVGPGEVDDELEDEVASECAKYGSVTRVLIFEITEPNFPSDEAVRIFIQFERSEETTKALIDLDGRYFGGRVVRASFYEEERFNRNELAPIPGEIPGFS >DRNTG_21040.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001194.1:47047:47517:-1 gene:DRNTG_21040 transcript:DRNTG_21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDDVMDVFVCIIQKSLKRVPYPYKKHASITWSLVLFMSKQEDAVETTLSMIDEVSCNLHDVDIVILPIILNGHFHVVVLDNEKQEYMHYSSCKSVEYDRDKLFHNRVEMEFGETAMTAL >DRNTG_28997.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22378418:22381232:-1 gene:DRNTG_28997 transcript:DRNTG_28997.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQHPLSPPHRINKKFARALLPLLVWLAVSVALSLKYGNYGNHRLVLGSNSSRMVKTSSIFVKEIKAKGIPANKGLFIYGFSNKPKLGLATNRSTRYSMFLNSYGHKKLSMWLNKGSKISINWNIVVHGGASNGELLLVLIKGSSSDHHNLKGLKRYDQLRSHGFNDNKMTYAIKEDNTYNIEIINLNPQSIQMDLFVNVSLTMYDTTKATSFCSLTNTTTCKLKLDFPRYHYFVFTTPSNEDHNEELDLVLAFTGRIITYFFLFGMIMAIVWIIIEQIQSCQPTERTQEEVMENEPILPTKEVTCNYGTSEDPETSLSSSFTDTYDEKICILCYEQNKACFFTPCGHSISCFSCAQRIMKEENKICPVCRRLIQKIRKLPGL >DRNTG_28997.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22378418:22381232:-1 gene:DRNTG_28997 transcript:DRNTG_28997.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQHPLSPPHRINKKFARALLPLLVWLAVALSLKYGNYGNHRLVLGSNSSRMVKTSSIFVKEIKAKGIPANKGLFIYGFSNKPKLGLATNRSTRYSMFLNSYGHKKLSMWLNKGSKISINWNIVVHGGASNGELLLVLIKGSSSDHHNLKGLKRYDQLRSHGFNDNKMTYAIKEDNTYNIEIINLNPQSIQMDLFVNVSLTMYDTTKATSFCSLTNTTTCKLKLDFPRYHYFVFTTPSNEDHNEELDLVLAFTGRIITYFFLFGMIMAIVWIIIEQIQSCQPTERTQEEVMENEPILPTKEVTCNYGTSEDPETSLSSSFTDTYDEKICILCYEQNKACFFTPCGHSISCFSCAQRIMKEENKICPVCRRLIQKIRKLPGL >DRNTG_30056.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18655388:18658491:-1 gene:DRNTG_30056 transcript:DRNTG_30056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEMEKKISDVVLDILSTADMEKTTEFKVRTAAAERLGIDLSHPDRKRFVRSVVESFLKSKQAEAEAETVQEGGEEESGQQGDDQEEEDGGGNRGEKEFDDDGDLIVCRLSNKRRVTLQEFRGKTLVSIREFFEKDGKQFPTSKGISLSIDQWEAFRNLVPEIDDAIKKLESGED >DRNTG_13413.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5909355:5910416:-1 gene:DRNTG_13413 transcript:DRNTG_13413.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRHLRISAAFAFLFFILALGGDELVGMMRREKMENEEMRQRENDEWVLLSRRRLSGPGSSPPTCRERCGRCFPCRPVHVAIQPGRSIPLEYYPEAWRCKCGNKLFMP >DRNTG_13413.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5909316:5910416:-1 gene:DRNTG_13413 transcript:DRNTG_13413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRHLRISAAFAFLFFILALGGDELVGMMRREKMENEEMRQRENDEWVLLSRRRLSGPGSSPPTCRERCGRCFPCRPVHVAIQPGRSIPLEYYPEAWRCKCGNKLFMP >DRNTG_13413.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5909446:5910416:-1 gene:DRNTG_13413 transcript:DRNTG_13413.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRHLRISAAFAFLFFILALGGDELVGMMRREKMENEEMRQRENDEWVLLSRRRLSGPGSSPPTCRERCGRCFPCRPVHVAIQPGRSIPLEYYPEAWRCKCGNKLFMP >DRNTG_13413.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5909446:5910362:-1 gene:DRNTG_13413 transcript:DRNTG_13413.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRHLRISAAFAFLFFILALGGDELVGMMRREKMENEEMRQRENDEWVLLSRRRLSGPGSSPPTCRERCGRCFPCRPVHVAIQPGRSIPLEYYPEAWRCKCGNKLFMP >DRNTG_13413.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5909355:5910362:-1 gene:DRNTG_13413 transcript:DRNTG_13413.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRHLRISAAFAFLFFILALGGDELVGMMRREKMENEEMRQRENDEWVLLSRRRLSGPGSSPPTCRERCGRCFPCRPVHVAIQPGRSIPLEYYPEAWRCKCGNKLFMP >DRNTG_13413.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5909316:5910362:-1 gene:DRNTG_13413 transcript:DRNTG_13413.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRHLRISAAFAFLFFILALGGDELVGMMRREKMENEEMRQRENDEWVLLSRRRLSGPGSSPPTCRERCGRCFPCRPVHVAIQPGRSIPLEYYPEAWRCKCGNKLFMP >DRNTG_24492.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18963477:18968332:1 gene:DRNTG_24492 transcript:DRNTG_24492.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 30 [Source:Projected from Arabidopsis thaliana (AT5G63120) UniProtKB/Swiss-Prot;Acc:Q8W4R3] MNPFDVRYGDPNSYRDRRSDLMRPPVGAPMGGGGMGFTAAGRGGSAPFAGPLGPGFSAGRDMDRGPMGRGRGGFMDPGFSGFGPGGVRGHRDFDAGKGGSSGRDGCRGGRGGGGRGRGSGRVFDGGRGGGRGGSRDFGKSRDELDSVALPKQDFQDLIHFEKNFYVESPSVQAMSEQEVVFYRRSRDITVEGRDVPKPIRSFSEANFPEYCLQAIAKCGFVEPTPIQSQGWPMALKGRDLIGIAETGSGKTLAYLLPALVHVNAQSRLAHGDGPIVLVLAPTRELAVQIQEEAIKFGSHSNVRCTCVYGGAPKGPQIRDLKRGVEIVIATPGRLIDMLEACHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVSQIRPDRQTLYWSATWPREVEALARQFLHNPYKVIIGSPVLKANQSINQIVEVMSEHEKYPRLIKLLGELMDGSRILVFLETKKGCDQVTRKLRMDGWPALSIHGDKAQAERDWVLSEFKSGNSPIMTATDVAARGLDVKDIKCVINYDFPTSLEDYVHRIGRTGRAGAKGTAFTFFTHSNAKFARELSKILQEAGQMVSPALSTIVRSSGATAGGSRGNFRSRGRGYGNRSTISGSNMTPLGHSRPW >DRNTG_24492.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18963477:18968332:1 gene:DRNTG_24492 transcript:DRNTG_24492.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 30 [Source:Projected from Arabidopsis thaliana (AT5G63120) UniProtKB/Swiss-Prot;Acc:Q8W4R3] MNPFDVRYGDPNSYRDRRSDLMRPPVGAPMGGGGMGFTAAGRGGSAPFAGPLGPGFSAGRDMDRGPMGRGRGGFMDPGFSGFGPGGVRGHRDFDAGKGGSSGRDGCRGGRGGGGRGRGSGRVFDGGRGGGRGGSRDFGKSRDELDSVALPKQDFQDLIHFEKNFYVESPSVQAMSEQEVVFYRRSRDITVEGRDVPKPIRSFSEANFPEYCLQAIAKCGFVEPTPIQSQGWPMALKGRDLIGIAETGSGKTLAYLLPALVHVNAQSRLAHGDGPIVLVLAPTRELAVQIQEEAIKFGSHSNVRCTCVYGGAPKGPQIRDLKRGVEIVIATPGRLIDMLEACHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVSQIRPDRQTLYWSATWPREVEALARQFLHNPYKVIIGSPVLKANQSINQIVEVMSEHEKYPRLIKLLGELMDGSRILVFLETKKGCDQVTRKLRMDGWPALSIHGDKAQAERDWVLSEFKSGNSPIMTATDVAARGLGRAILC >DRNTG_24492.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18963477:18968332:1 gene:DRNTG_24492 transcript:DRNTG_24492.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 30 [Source:Projected from Arabidopsis thaliana (AT5G63120) UniProtKB/Swiss-Prot;Acc:Q8W4R3] MNPFDVRYGDPNSYRDRRSDLMRPPVGAPMGGGGMGFTAAGRGGSAPFAGPLGPGFSAGRDMDRGPMGRGRGGFMDPGFSGFGPGGVRGHRDFDAGKGGSSGRDGCRGGRGGGGRGRGSGRVFDGGRGGGRGGSRDFGKSRDELDSVALPKQDFQDLIHFEKNFYVESPSVQAMSEQEVVFYRRSRDITVEGRDVPKPIRSFSEANFPEYCLQAIAKCGFVEPTPIQSQGWPMALKGRDLIGIAETGSGKTLAYLLPALVHVNAQSRLAHGDGPIVLVLAPTRELAVQIQEEAIKFGSHSNVRCTCVYGGAPKGPQIRDLKRGVEIVIATPGRLIDMLEACHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVSQIRPDRQTLYWSATWPREVEALARQFLHNPYKVIIGSPVLKANQSINQIVEVMSEHEKYPRLIKLLGELMDGSRILVFLETKKGCDQVTRKLRMDGWPALSIHGDKAQAERDWVLSEFKSGNSPIMTATDVAARGLGRAILC >DRNTG_16973.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19900737:19902613:-1 gene:DRNTG_16973 transcript:DRNTG_16973.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLLSMLSHFSSPPPRSSSLLASMASVEIPNALPHSSLELIGPRCSLLSSFSSLQRPYKPFPIIAWNCHVETLFAAFFRSLPSVTLRRECLRTPDDGAVALDWVAADDRLLPFESPPGLTGGSGDTYVRHLLVRARSMGWRVVVFNSRGCGDSPVTTPQFYSASFTDDLCQVVDHVSKRYHKSNVYAVGWSLGGNILVRHLGQESDKCRLSGAVSLANPFNLVYADEDFKQGFNVVYDRALARALSKIFNK >DRNTG_16973.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19900737:19902127:-1 gene:DRNTG_16973 transcript:DRNTG_16973.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRVVVFNSRGCGDSPVTTPQFYSASFTDDLCQVVDHVSKRYHKSNVYAVGWSLGGNILVRHLGQESDKCRLSGAVSLANPFNLVYADEDFKQGFNVVYDRALARALSKIFNK >DRNTG_16973.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19897630:19902613:-1 gene:DRNTG_16973 transcript:DRNTG_16973.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLLSMLSHFSSPPPRSSSLLASMASVEIPNALPHSSLELIGPRCSLLSSFSSLQRPYKPFPIIAWNCHVETLFAAFFRSLPSVTLRRECLRTPDDGAVALDWVAADDRLLPFESPPGLTGGSGDTYVRHLLVRARSMGWRVVVFNSRGCGDSPVTTPQFYSASFTDDLCQVVDHVSKRYHKSNVYAVGWSLGGNILVRHLGQESDKCRLSGAVSLANPFNLVYADEDFKQGFNVVYDRALARALSKIFNKHALLFEGIEGEYDMAVAANARSIREFDEALTRVSFGFKSVDDYYYKASSSKSIEHVRTPLLCIQAANDPFAPSRGIPREEIKDNPYCLLIVTPQGGHLGWVAGDEAPFGAPWTDEVVMEFLGHLEKEGTEKAEIWHPMKVDGIQQLEPSISVHTQS >DRNTG_02031.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13094724:13096415:-1 gene:DRNTG_02031 transcript:DRNTG_02031.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSLVLVYYNGSITASEVTTIFSSEDQSYFYVEDDISYENLKRSIEESIETVDNQGVSCIKYWLPISSGSDKICYRSFKLRNDRDVRMITSSFHNTHETEFGQYGRSSGDDEDDDGDSFGEDTEANVDDIQLEECNLEDVPITGHNFTMTSMEPPGHIRTLDLEAMSAQEFPEYPLLYTDTLSEATTNGDLHVGMRFRSKDDAVTVIKHYCLLKSVKYKVIESDPTRYSGKCKSYGDGCNWRVRASYSKQRHLWETTKYTGPHTCSSAMISQDHSKLDSNMICRQIQALVQQQPSINVSVLIAEIKNRYGYTPTYRKVWIAKQKAVEAAFGNWEESYNELPRWLSALQQFVPGTIVDLETQPAYDGPYLIDGIHIYGKYKGTFLLAIAQDGNKFILPIGFAIVEGEMLGVWTFFLRNLRSSVTPQEGICLISDCHESIKSAFRSVGC >DRNTG_27468.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26398701:26409403:1 gene:DRNTG_27468 transcript:DRNTG_27468.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBP5 [Source:Projected from Arabidopsis thaliana (AT2G40930) UniProtKB/TrEMBL;Acc:A0A178VRF5] MYLSLPIQSASSRNITVTIFTSDGSALPTPCTVSVPKQGRCKDLIQALSNACSLKSWEKLVLAEIRGHRVHQFLDDPLVLLSTIKDDDHLAAYKLPNLARSTVFLQLVHRQGELAPGNSNNSMLWKPFGIPLMSSISQEEITTRGDLNDIVQAIVHKMLTPMQQSEDLQASGLSNSSNFTVTHTGQAINTREVCKDSSENNVNDKESCDGKTTGFKLFLQVDDEDNACIDLSIDEEKTMELPLSSSFLLFINWSQNDLERYNTKYMENLPEVFKHTPAPKRSRGEPLSLYACLDAFLREEPLVPEDMWYCPRCKEQRQASKKLDLWRLPEVLVIHLKRFSFSRSTKHKLETFVNFPIHDFDLTNYVANKNSSQRQLYELYALSNHYGNMASGHYTAHIKMQLLDENRWYNFDDSHISAINEDEVKSNAAYVLFYRRVKGEGAATSNGAHSYATHNPNPSRR >DRNTG_27468.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26398701:26409403:1 gene:DRNTG_27468 transcript:DRNTG_27468.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBP5 [Source:Projected from Arabidopsis thaliana (AT2G40930) UniProtKB/TrEMBL;Acc:A0A178VRF5] MEVVECAQPELSREEERLLIRDITVAAEANSKEGDTFYLINYRWWQHWLDYVNHDMTSNANHVTSSYGSHYYESASSPRRPPPIDNSELIYDAASEVSNVEMELHDTLVEGRDYILLPEEVWEKLYGWYGGGPMLPRKAISSGLSQTDLAIEVYPLRLQLLLNPKGERAMVRISKKEKVRELHRKACEVFDLIMDQVCIWDYYGCQKHALMDDMEKTLDDANIQMDQDILVEIMGNGNGNTFGGGSSPAQENGSIEKDPASVVAEPCKSSLSVVEGLSTSKNASRSCSSEFSQSQILASPSSDLDNVQGTNSINTRGTSVGLTGLLNLGNTCFMNSAIQCLVHTPEFARYFREDYHQEINWQNPLGMVGELALAFGELLRKLWAPGRTPVSPRPFKTKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYIKSKDADGRSDEEVADEYWANHIARNDSIIVDVCQVSFAHGSIMLQWSNIYYCL >DRNTG_27468.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26398701:26409403:1 gene:DRNTG_27468 transcript:DRNTG_27468.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBP5 [Source:Projected from Arabidopsis thaliana (AT2G40930) UniProtKB/TrEMBL;Acc:A0A178VRF5] MEVVECAQPELSREEERLLIRDITVAAEANSKEGDTFYLINYRWWQHWLDYVNHDMTSNANHVTSSYGSHYYESASSPRRPPPIDNSELIYDAASEVSNVEMELHDTLVEGRDYILLPEEVWEKLYGWYGGGPMLPRKAISSGLSQTDLAIEVYPLRLQLLLNPKGERAMVRISKKEKVRELHRKACEVFDLIMDQVCIWDYYGCQKHALMDDMEKTLDDANIQMDQDILVEIMGNGNGNTFGGGSSPAQENGSIEKDPASVVAEPCKSSLSVVEGLSTSKNASRSCSSEFSQSQILASPSSDLDNVQGTNSINTRGTSVGLTGLLNLGNTCFMNSAIQCLVHTPEFARYFREDYHQEINWQNPLGMVGELALAFGELLRKLWAPGRTPVSPRPFKTKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYIKSKDADGRSDEEVADEYWANHIARNDSIIVDVCQGQYKSTLVCPVCGKVSVTFDPFMYLSLPIQSASSRNITVTIFTSDGSALPTPCTVSVPKQGRCKDLIQALSNACSLKSWEKLVLAEIRGHRVHQFLDDPLVLLSTIKDDDHLAAYKLPNLARSTVFLQLVHRQGELAPGNSNNSMLWKPFGIPLMSSISQEEITTRGDLNDIVQAIVHKMLTPMQQSEDLQASGLSNSSNFTVTHTGQAINTREVCKDSSENNVNDKESCDGKTTGFKLFLQVDDEDNACIDLSIDEEKTMELPLSSSFLLFINWSQNDLERYNTKYMENLPEVFKHTPAPKRSRGEPLSLYACLDAFLREEPLVPEDMWYCPRCKEQRQASKKLDLWRLPEVLVIHLKRFSFSRSTKHKLETFVNFPIHDFDLTNYVANKNSSQRQLYELYALSNHYGNMASGHYTAHIKMQLLDENRWYNFDDSHISAINEDEVKSNAAYVLFYRRVKGEGAATSNGAHSYATHNPNPSRR >DRNTG_32930.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001931.1:31633:34046:-1 gene:DRNTG_32930 transcript:DRNTG_32930.1 gene_biotype:protein_coding transcript_biotype:protein_coding WPDLSKAYSCERQEVISHDGVVIPLNILYSHKIHSSGNSPGLIYGIWSLWRSLG >DRNTG_28435.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20604020:20607906:1 gene:DRNTG_28435 transcript:DRNTG_28435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFEHYSSNLKDFELLGSLVYPTDNWTEIGNFTAGNVKHAQRFSLQEPNWARYLKLNLLSHYGAEFYCTLSVVEVYGVDAVERMLEDLISAQKNHLEPEEHNKEQTAVQEPSDGGVDLDQERESAIDSEAQGDSVKLKQEARRNNVPDPTLESKPPQVGRMPADTVLKILMQKVQSLDVNFSVLERYLDELNGRYGRIFKDFDDDIANKDKLLEKIILDIKNLESQRDVFASDIGELLSWKTKASSQLEQLIKDNSILRSEFKKLHDHHVDVENKILAVIFMSFVFGCLAAAKLFMGLLLRIYRIHNSDKFCQNNSAWLVLLLSSVIIAIILAL >DRNTG_03090.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:469063:473764:-1 gene:DRNTG_03090 transcript:DRNTG_03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLFVLLFMSFSHAVGRDTITPAQPLTSNETLISSNEKFALGFFKPVNSNKSYVGLWYNKISKRTIVWVANRKDPVTTSTGTLSISDNGSLLITDQNSTVVWSSGVANVTNPVAQLLNTGNLVVREDEGDDGNYSWQGFDYPTDTLIAGMKVGVDFVKGLNRTMTAWTSDSDPSPSQYYAMMDIHGDPQLLLCAGSKKLGRTGPWNGYQFTGLPTSATRTYTGFDVSFINNKQEITYSFNTNLPILSKMTVNQSGLVQRSIWEESSGFWNEIWHAPVDQCDSIQACGPFTICNPNNLPICDCLQGFTPKSPEKWYYTDASDGCVRKTQLDCKDGTDGFLVIPYTKLADTTKANVDTSLSLEECRTKCLNNCTCTAYAPSTDVDNGKSGCITWTDELRDVKLFTNDAHVQDFYVRIAAADLDSAPSAFSKSRKWVIPFVIIFVVTMLILAFVGYIVWKRRKTRRARAIQESKNSFHDPGSRGLAARNALELSQGSDLELPLLDLGTIASATENFSADNKLGEGGFGPVYKGMLEDGKEIAVKRLAKTSSQGLVEFKNEVLLIAKLQHRNLVRLLACCIEAEERILVYEYMPNKSLDFFLFAKSKDEVLNWQTRFKIIMGIARGLLYLHQDSRLRVIHRDLKASNILLDKEMNPKISDFGMARIFGGDEAEGNTKKVVGTYGYMSPEYAMDGIFSQKSDAFSFGVLVLEIISGKKNKGVYSAAPHKNLLDHVWSLFKEGNNLQIVDESLGSSYDVNEVMRCINVGLLCVQDHPGDRPLMSSVLLMLGSDRAILPYPKEPGFSVRNVSYQMESGSSKASSSAACDTSATLIEPR >DRNTG_10189.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2175106:2176288:-1 gene:DRNTG_10189 transcript:DRNTG_10189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECYKEEIFGPVLLFMQADSLDKAINIVNSNKYGNGASIFTTSGVAARKFQNEIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVQFYTQIKTVTQQWKDLAGQSASLAMPTSQKV >DRNTG_24514.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5956306:5964983:1 gene:DRNTG_24514 transcript:DRNTG_24514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFAINVWKLMENTGAFSANSKNQVVLDAIMMTMKIVVSGCTEENQSLIVQKGYSVLLSTLSLISAKQRAAFPAFNLEGFGFVPNLVSLACKDEWLISLFSSVLIALRPQTIIPDVKLILKLLMLLLLQGHVPATQALASAVNKWPENNNETQGSNVCSFEEAIDLIFEMDLSGLLYSCPFKKLRDLISSEEICSLFCSNDRNPFIIHALEGLAWIGKGLLMRGHEKVKEIVMLFMKCLLLNQDEIITTLQDGSADQFSFLTGLAADAFRVLLCDSDVCLDKKFHATIKPLHKQRFFSSILVVLLSSIKGSGSWRTRAVLYRAFGHVISNTPLAAVIVQANQILPPLLDALSVLSSDVMDKDLIYSLLMALSGILMDDNGKEAVLNNVHSIIGRLIGLISYPHMMIVRETAIQCLVAMSGLPHARIYPMRSQVLRAVSNALDDHRRAVRLEAVRCRQAWASIASRSLHF >DRNTG_24514.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5957620:5964983:1 gene:DRNTG_24514 transcript:DRNTG_24514.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTMKIVVSGCTEENQSLIVQKGYSVLLSTLSLISAKQRAAFPAFNLEGFGFVPNLVSLACKDEWLISLFSSVLIALRPQTIIPDVKLILKLLMLLLLQGHVPATQALASAVNKWPENNNETQGSNVCSFEEAIDLIFEMDLSGLLYSCPFKKLRDLISSEEICSLFCSNDRNPFIIHALEGLAWIGKGLLMRGHEKVKEIVMLFMKCLLLNQDEIITTLQDGSADQFSFLTGLAADAFRVLLCDSDVCLDKKFHATIKPLHKQRFFSSILVVLLSSIKGSGSWRTRAVLYRAFGHVISNTPLAAVIVQANQILPPLLDALSVLSSDVMDKDLIYSLLMALSGILMDDNGKEAVLNNVHSIIGRLIGLISYPHMMIVRETAIQCLVAMSGLPHARIYPMRSQVLRAVSNALDDHRRAVRLEAVRCRQAWASIASRSLHF >DRNTG_08637.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000389.1:27414:33407:1 gene:DRNTG_08637 transcript:DRNTG_08637.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTPKAAFLGFLHCSITVEGSLFMLRSFACELHGLHVLVWVPVSMTIALVLDTPSLEGIHVQIRHDHRGYDPRNPTILIERDPELERKIRRKGKEPVFESVEATLHNHTASLHNLENQVKVITLRSGRKVEGKLPNTTDEIVDEYVEEIFNLDPYQGLFDQKEDYEETLQFFLRHPRKMHGRVEFPHARGSALRAHLEEAQGRVAAPVNDHANIARSWVIAARAWHKEAMENKKISFGRKEKKTGISYYNHTAQAEKGDFWLDHFLADFGEITWEILGDLGKKRNGKEARRSFKPKVQDSQGKKATSFKGRFRTI >DRNTG_26692.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001362.1:32752:33690:1 gene:DRNTG_26692 transcript:DRNTG_26692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCLPRCPSPMRASHTPRHEHLACRPPLIVRRSTPMSTRNLDAKHITEPNNGTI >DRNTG_31541.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001718.1:43867:44832:-1 gene:DRNTG_31541 transcript:DRNTG_31541.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSKAEGRISAERGCKARSSGSSLCPIRIRVQAEYEHCRQGSHTSSFTTEACSTRRGSLSY >DRNTG_31541.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001718.1:43867:45161:-1 gene:DRNTG_31541 transcript:DRNTG_31541.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGQQSLVTWATPRLSEDKVKQCVDPRLKGEYPPKGVAKLAAVAALCVQYESEFRPNMSIVVKALTPLLSQPKPAPPAEAPSPTEL >DRNTG_33652.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2056889:2061607:-1 gene:DRNTG_33652 transcript:DRNTG_33652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDKMTTFLFLIVFSCLALSFQSYGDERKVHIVYMGGRSKGVSSSSLSSIHHSMLNKVLSSGVSSSESIVYSYGRSFNGFAARLTDEEVEKLSEMDGVVSVLPNTKFQPHTTRSWDFMGLTRNLSLRYPNQGNVIVGVLDTGIWPESESFSGDGLGSLPSKFKGTCQTGGTNFTCNNKLIGARYYNADNFFDPREFKSPRDAIGHGTHTSSTAAGRAVGGASYFGLAEGEARGAVPEARIAMYKVCWLGYGCSGADILKAFDDAIADGVDIISVSLGSGFPFEYYEDPIAIGSFHAMKNGILTSNSAGNAGPFPISVANYAPWSLTVAASSIDRKFVSNVVLGNGNTYIGIAINSFNLGNSVSPLIYGGDAVNVSTGSSELISSYCFDGYMNSQKVKGNLVLCNGLFGEEGIPNADGLGVILSSDNYKDVAFNFRLPATVLSSQDVQEVLAYIRSSSNPIATIKMSDEWNDTLAPTVVSFSSRGPNPITPDILKPDLTAPGVDIIAAWSLAASPTFNPEDQRITKFNIISGTSMSCPHATGAAAYVKSAHPSWSPAAIKSALMTTATPMDSRKNEDAEFAYGAGHINPVKAVDPGLIFDASEQDYITFLCKQGYNTSTLQRLTGDNCTCNGIAPGKAWNLNYPSFSLSVPDNGYALGSFYRTVTNVGFPNSTYYATVFAPENLKISVEPASLSFTQVGEKKSFVVKVDGGKLFQQPIMSACITWFDGVHSVRTPVVVFTTVLPLPFNVDQGADLRSIGKANFPRLNGNLMGN >DRNTG_13218.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2697542:2701170:-1 gene:DRNTG_13218 transcript:DRNTG_13218.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:6,7-dimethyl-8-ribityllumazine synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G44050) UniProtKB/Swiss-Prot;Acc:O80575] MASFAAALELHRHILSPVYSPDFSRMNPKSAPRTLISFSSSVRFPSTHLSNGRTSERLESLVASASNKRLIGSLMNAKGLRFAVVVARFNEVVTKLLLEGALETFRRYSVKDDDVTVVEVPGSFEIPVVAQKLGKSGDFDAILCIGAVIRGDTTHYDAVANSAASGVLSAGLSSGVPCIFGVLTCDDMDQALNRAGGKSGNKGAETALTAIEMASLFQHHLK >DRNTG_02294.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:804269:804453:-1 gene:DRNTG_02294 transcript:DRNTG_02294.5 gene_biotype:protein_coding transcript_biotype:protein_coding RLAIARALYQKPSVLILDEATSALDSRSELLVRQALEHLMANHTVSEIDFSCFAFLLIL >DRNTG_02294.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:806588:807528:-1 gene:DRNTG_02294 transcript:DRNTG_02294.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHRAPLLLSIPSSHNLISSTSSSSSFQTLTLTLTLPSRSSRSFSLSASTIPSSCSPSPLFDISPFLRSEYRPILSGWLCSAISVCCLSTAVPCLGRVPSALAAASPDRIVRDGLRLAFLLSLRSVACYLQQAFLWEAALGAAYRIRVHVFDRVLEQDMGFFEGNGGIPSGDVANRITTEASDIAETVHALLNSTVPNTLQLIAMASQMINISPVLSLASGM >DRNTG_02294.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:805250:805529:-1 gene:DRNTG_02294 transcript:DRNTG_02294.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVLNELDLHIKAGETVAFIGPSGGGKTSLAKLLLRLYDPTY >DRNTG_02294.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:806398:807528:-1 gene:DRNTG_02294 transcript:DRNTG_02294.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHRAPLLLSIPSSHNLISSTSSSSSFQTLTLTLTLPSRSSRSFSLSASTIPSSCSPSPLFDISPFLRSEYRPILSGWLCSAISVCCLSTAVPCLGRVPSALAAASPDRIVRDGLRLAFLLSLRSVACYLQQAFLWEAALGAAYRIRVHVFDRVLEQDMGFFEGNGGIPSGDVANRITTEASDIAETVHALLNSTVPNTLQLIAMASQMINISPVLSLASGMSIKQSTINWRYACCLPK >DRNTG_02294.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:803946:807528:-1 gene:DRNTG_02294 transcript:DRNTG_02294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHRAPLLLSIPSSHNLISSTSSSSSFQTLTLTLTLPSRSSRSFSLSASTIPSSCSPSPLFDISPFLRSEYRPILSGWLCSAISVCCLSTAVPCLGRVPSALAAASPDRIVRDGLRLAFLLSLRSVACYLQQAFLWEAALGAAYRIRVHVFDRVLEQDMGFFEGNGGIPSGDVANRITTEASDIAETVHALLNSTVPNTLQLIAMASQMINISPVLSLASGMSIKQSTINWRYACCLPK >DRNTG_14679.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000738.1:2017:2416:1 gene:DRNTG_14679 transcript:DRNTG_14679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSALPMAREHVETLSSASPSPLAIHPHSESSNVSALTTQIHSKDVSKMKRPTLYYRI >DRNTG_03889.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3915654:3919354:-1 gene:DRNTG_03889 transcript:DRNTG_03889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFFRSASRSPQWTPLELVYAIREGLRALDTETGAKALEEVEKNILSMKQLLSGNGEAELDPNQVSQVAVEVCKDDLLSLFVLKLPTLGWQKVGSSYCCVDYIDNHVELLDFLIVWVVSCRGRMTDEAKIGQIPSPNKTLVVRWPICGLQFRMVENPSRECRARVHGHGAAGYRELAKQRAWRDARGRGITTEEPRSI >DRNTG_00160.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30915746:30919346:-1 gene:DRNTG_00160 transcript:DRNTG_00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGLHKVTMSKTPGFMNSRNGNQDNQPKKFRNENF >DRNTG_03520.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000165.1:15096:15326:1 gene:DRNTG_03520 transcript:DRNTG_03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKEPKKAKNEMEDSVEVMVYRSTIAAELKKSMFSAKVGLVQMLRGRCDHGYRHTQVGTHMPLRRSPHSQNHRC >DRNTG_03614.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11222124:11222747:1 gene:DRNTG_03614 transcript:DRNTG_03614.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLCQEAEIKNREVFLNCFKLTVSIVSNASWINDSSHAGLGCLITLNDNIFLLADSNIATMACPILAEVAAMTLALEECIKKNWWPSHLFTDCIGLTNLVKDFQISVA >DRNTG_08236.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000373.1:45715:47483:1 gene:DRNTG_08236 transcript:DRNTG_08236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSLRIHMPVQKFSKGVPGLHGHSQGRVHAPVSSLDKLAI >DRNTG_03566.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5572425:5574939:1 gene:DRNTG_03566 transcript:DRNTG_03566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVLVIFSLLSTLIFLRAAWITLSCYYLTPKRIKKIMASQNVSGPEPGFLVGNLTDIASLIAKSTSADMESIDHDIVGRLMPHYVLWSKIYGKRFMFWYGSEPRLCLTEIDMIKELLSSKYVHISGKSWLQQQGSKHFIGQGLLMANGDNWFRQRHVVAPAFMADKLKDHVRYMVDCTKKMIKALRCSIETGDDEVEISSYLKRLAGDIISRTEFDCSYEKGTQISHLLNLLQQLTAQSSRHLWFPGSRFFPSKFRREIKALKMEVERLLMEIIQNRKDCFEIARSSSYGKGLLAMLLAEAQKKREGFRYSLQTVMDECKTFFFAGHDTSALLLTWTIMLLSTNTSWQDKGREEVERVCGDEPPLAEHLPKLNMLQMIINESLRLYPPASLLPRMVFEDIKLGDLNIPKGLSIWIPVLAIHHSEDIWGKDVNEFNPGRFSGKSFAQTRYFMPFAAGPRNCVGQAYAMMEAKIILAMLLSNFSFSISKNYRHAPVNVLTLKPKHGVLVHLKPLRP >DRNTG_00852.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21561387:21562126:-1 gene:DRNTG_00852 transcript:DRNTG_00852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFSKADPLQDFCVADLSLPQSPAGYSCKSVSEVTVDDFVFTGFRNPGNTSNTNMISVTPAFVAQWPALNGLGVSAGYVEIAPGGRSPIHSHPGGTELIVLIEGTILAGFISSSNKVYMKKLEAHDALIFPQGLLHFQVNAGSVKAKAIVSFSSSNPGLQMTPLALFGNDLPSEILEKVSSIDVAEVKKLKAMFGGTN >DRNTG_13159.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:15575451:15580795:1 gene:DRNTG_13159 transcript:DRNTG_13159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPGYGYHGTSFEQTYRCYPASFIDKPQLEIGDKIIMPPSALDRLASLHIDYPMLFELHNAAAERISHCGVLEFIAEEGMVYMPYWMMQNMLLQEGDTVRVKNATLPKGTYVKLQPHTKDFLDISNPKAILETTLRNFSCLTTGDSIMVAYNNKKYYIDIVETKPASAISIIETDCEVDFAPPLDYKEPERPQPSVPSSNTPSQGAEVEPPAEPKFSPFTGIGRRLDGKPSKQPTPASLPTNGPTVATNGAKPATTNTSQASSSKQIAGKLVFGSSRSTAAGKEAQKGPATEPKENHPKAEELKFQAFTGKKYSLKG >DRNTG_10753.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26069277:26070788:1 gene:DRNTG_10753 transcript:DRNTG_10753.9 gene_biotype:protein_coding transcript_biotype:protein_coding MENQHVLIVTLGSQGQLNPARRLAVQLSRLSFSGRITLAIPLHFFRRLFPSSPPNQPQPLPGPPSPHQIFFSSFSDGCDDGFDPNTGDGHLFMVKFKKVGSQTLSTLIRNLSSQGHPVTGIIYTIILSWVPDIAGEFNIPSFFYWIQPASVFSIYYHYFTVFKDLTKTQLPGLPPLSSKDLPSLLSNPTSDPYLPDFELIFKTLNKTKTKVLVNSFTALESGVISSLSDKLELLTIGPLVDDDEDVSLYEHDDSDNYMQWLDSKEEGSIVYLSFGSLSVLSEKQVEEILKGLEESGRPFLWVLRKNNRGQGIDEKLIKKINYEERGKVVGWCSQVKVLKHSSVGCFVSHCGWNSMSEGLLAGVPMVMVPQWMDQMTNARLGEAEWRVGVRVEVEEDGMVTGEELRRCLEVVMGGEEISEGVEIRKRAAFWKDKALEALGQDDDDDDDGSSVINLKNFIKDVF >DRNTG_10753.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26069105:26070857:1 gene:DRNTG_10753 transcript:DRNTG_10753.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQHVLIVTLGSQGQLNPARRLAVQLSRLSFSGRITLAIPLHFFRRLFPSSPPNQPQPLPGPPSPHQIFFSSFSDGCDDGFDPNTGDGHLFMVKFKKVGSQTLSTLIRNLSSQGHPVTGIIYTIILSWVPDIAGEFNIPSFFYWIQPASVFSIYYHYFTVFKDLTKTQLPGLPPLSSKDLPSLLSNPTSDPYLPDFELIFKTLNKTKTKVLVNSFTALESGVISSLSDKLELLTIGPLVDDDEDVSLYEHDDSDNYMQWLDSKEEGSIVYLSFGSLSVLSEKQVEEILKGLEESGRPFLWVLRKNNRGQGIDEKLIKKINYEERGKVVGWCSQVKVLKHSSVGCFVSHCGWNSMSEGLLAGVPMVMVPQWMDQMTNARLGEAEWRVGVRVEVEEDGMVTGEELRRCLEVVMGGEEISEGVEIRKRAAFWKDKALEALGQDDDDDDDGSSVINLKNFIKDVF >DRNTG_10753.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26069105:26070894:1 gene:DRNTG_10753 transcript:DRNTG_10753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQHVLIVTLGSQGQLNPARRLAVQLSRLSFSGRITLAIPLHFFRRLFPSSPPNQPQPLPGPPSPHQIFFSSFSDGCDDGFDPNTGDGHLFMVKFKKVGSQTLSTLIRNLSSQGHPVTGIIYTIILSWVPDIAGEFNIPSFFYWIQPASVFSIYYHYFTVFKDLTKTQLPGLPPLSSKDLPSLLSNPTSDPYLPDFELIFKTLNKTKTKVLVNSFTALESGVISSLSDKLELLTIGPLVDDDEDVSLYEHDDSDNYMQWLDSKEEGSIVYLSFGSLSVLSEKQVEEILKGLEESGRPFLWVLRKNNRGQGIDEKLIKKINYEERGKVVGWCSQVKVLKHSSVGCFVSHCGWNSMSEGLLAGVPMVMVPQWMDQMTNARLGEAEWRVGVRVEVEEDGMVTGEELRRCLEVVMGGEEISEGVEIRKRAAFWKDKALEALGQDDDDDDDGSSVINLKNFIKDVF >DRNTG_10753.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26069257:26070788:1 gene:DRNTG_10753 transcript:DRNTG_10753.8 gene_biotype:protein_coding transcript_biotype:protein_coding MENQHVLIVTLGSQGQLNPARRLAVQLSRLSFSGRITLAIPLHFFRRLFPSSPPNQPQPLPGPPSPHQIFFSSFSDGCDDGFDPNTGDGHLFMVKFKKVGSQTLSTLIRNLSSQGHPVTGIIYTIILSWVPDIAGEFNIPSFFYWIQPASVFSIYYHYFTVFKDLTKTQLPGLPPLSSKDLPSLLSNPTSDPYLPDFELIFKTLNKTKTKVLVNSFTALESGVISSLSDKLELLTIGPLVDDDEDVSLYEHDDSDNYMQWLDSKEEGSIVYLSFGSLSVLSEKQVEEILKGLEESGRPFLWVLRKNNRGQGIDEKLIKKINYEERGKVVGWCSQVKVLKHSSVGCFVSHCGWNSMSEGLLAGVPMVMVPQWMDQMTNARLGEAEWRVGVRVEVEEDGMVTGEELRRCLEVVMGGEEISEGVEIRKRAAFWKDKALEALGQDDDDDDDGSSVINLKNFIKDVF >DRNTG_10753.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26069277:26070894:1 gene:DRNTG_10753 transcript:DRNTG_10753.5 gene_biotype:protein_coding transcript_biotype:protein_coding MENQHVLIVTLGSQGQLNPARRLAVQLSRLSFSGRITLAIPLHFFRRLFPSSPPNQPQPLPGPPSPHQIFFSSFSDGCDDGFDPNTGDGHLFMVKFKKVGSQTLSTLIRNLSSQGHPVTGIIYTIILSWVPDIAGEFNIPSFFYWIQPASVFSIYYHYFTVFKDLTKTQLPGLPPLSSKDLPSLLSNPTSDPYLPDFELIFKTLNKTKTKVLVNSFTALESGVISSLSDKLELLTIGPLVDDDEDVSLYEHDDSDNYMQWLDSKEEGSIVYLSFGSLSVLSEKQVEEILKGLEESGRPFLWVLRKNNRGQGIDEKLIKKINYEERGKVVGWCSQVKVLKHSSVGCFVSHCGWNSMSEGLLAGVPMVMVPQWMDQMTNARLGEAEWRVGVRVEVEEDGMVTGEELRRCLEVVMGGEEISEGVEIRKRAAFWKDKALEALGQDDDDDDDGSSVINLKNFIKDVF >DRNTG_10753.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26069105:26070788:1 gene:DRNTG_10753 transcript:DRNTG_10753.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENQHVLIVTLGSQGQLNPARRLAVQLSRLSFSGRITLAIPLHFFRRLFPSSPPNQPQPLPGPPSPHQIFFSSFSDGCDDGFDPNTGDGHLFMVKFKKVGSQTLSTLIRNLSSQGHPVTGIIYTIILSWVPDIAGEFNIPSFFYWIQPASVFSIYYHYFTVFKDLTKTQLPGLPPLSSKDLPSLLSNPTSDPYLPDFELIFKTLNKTKTKVLVNSFTALESGVISSLSDKLELLTIGPLVDDDEDVSLYEHDDSDNYMQWLDSKEEGSIVYLSFGSLSVLSEKQVEEILKGLEESGRPFLWVLRKNNRGQGIDEKLIKKINYEERGKVVGWCSQVKVLKHSSVGCFVSHCGWNSMSEGLLAGVPMVMVPQWMDQMTNARLGEAEWRVGVRVEVEEDGMVTGEELRRCLEVVMGGEEISEGVEIRKRAAFWKDKALEALGQDDDDDDDGSSVINLKNFIKDVF >DRNTG_10753.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26069257:26070857:1 gene:DRNTG_10753 transcript:DRNTG_10753.6 gene_biotype:protein_coding transcript_biotype:protein_coding MENQHVLIVTLGSQGQLNPARRLAVQLSRLSFSGRITLAIPLHFFRRLFPSSPPNQPQPLPGPPSPHQIFFSSFSDGCDDGFDPNTGDGHLFMVKFKKVGSQTLSTLIRNLSSQGHPVTGIIYTIILSWVPDIAGEFNIPSFFYWIQPASVFSIYYHYFTVFKDLTKTQLPGLPPLSSKDLPSLLSNPTSDPYLPDFELIFKTLNKTKTKVLVNSFTALESGVISSLSDKLELLTIGPLVDDDEDVSLYEHDDSDNYMQWLDSKEEGSIVYLSFGSLSVLSEKQVEEILKGLEESGRPFLWVLRKNNRGQGIDEKLIKKINYEERGKVVGWCSQVKVLKHSSVGCFVSHCGWNSMSEGLLAGVPMVMVPQWMDQMTNARLGEAEWRVGVRVEVEEDGMVTGEELRRCLEVVMGGEEISEGVEIRKRAAFWKDKALEALGQDDDDDDDGSSVINLKNFIKDVF >DRNTG_10753.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26069257:26070894:1 gene:DRNTG_10753 transcript:DRNTG_10753.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENQHVLIVTLGSQGQLNPARRLAVQLSRLSFSGRITLAIPLHFFRRLFPSSPPNQPQPLPGPPSPHQIFFSSFSDGCDDGFDPNTGDGHLFMVKFKKVGSQTLSTLIRNLSSQGHPVTGIIYTIILSWVPDIAGEFNIPSFFYWIQPASVFSIYYHYFTVFKDLTKTQLPGLPPLSSKDLPSLLSNPTSDPYLPDFELIFKTLNKTKTKVLVNSFTALESGVISSLSDKLELLTIGPLVDDDEDVSLYEHDDSDNYMQWLDSKEEGSIVYLSFGSLSVLSEKQVEEILKGLEESGRPFLWVLRKNNRGQGIDEKLIKKINYEERGKVVGWCSQVKVLKHSSVGCFVSHCGWNSMSEGLLAGVPMVMVPQWMDQMTNARLGEAEWRVGVRVEVEEDGMVTGEELRRCLEVVMGGEEISEGVEIRKRAAFWKDKALEALGQDDDDDDDGSSVINLKNFIKDVF >DRNTG_10753.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26069277:26070857:1 gene:DRNTG_10753 transcript:DRNTG_10753.7 gene_biotype:protein_coding transcript_biotype:protein_coding MENQHVLIVTLGSQGQLNPARRLAVQLSRLSFSGRITLAIPLHFFRRLFPSSPPNQPQPLPGPPSPHQIFFSSFSDGCDDGFDPNTGDGHLFMVKFKKVGSQTLSTLIRNLSSQGHPVTGIIYTIILSWVPDIAGEFNIPSFFYWIQPASVFSIYYHYFTVFKDLTKTQLPGLPPLSSKDLPSLLSNPTSDPYLPDFELIFKTLNKTKTKVLVNSFTALESGVISSLSDKLELLTIGPLVDDDEDVSLYEHDDSDNYMQWLDSKEEGSIVYLSFGSLSVLSEKQVEEILKGLEESGRPFLWVLRKNNRGQGIDEKLIKKINYEERGKVVGWCSQVKVLKHSSVGCFVSHCGWNSMSEGLLAGVPMVMVPQWMDQMTNARLGEAEWRVGVRVEVEEDGMVTGEELRRCLEVVMGGEEISEGVEIRKRAAFWKDKALEALGQDDDDDDDGSSVINLKNFIKDVF >DRNTG_11910.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:425313:428138:1 gene:DRNTG_11910 transcript:DRNTG_11910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQTRFVLAILLLLSLQLPLFSYAAKIGQTCLLDKNCDSGLHCETCIANGNLRPRCTRVQPLDPISKGVKGLPFNRYSWLTTHNSFALLGQKSLTGIPIVAPTNQQDSVTAQLQNGVRGLMLDMYDFENDIWLCHSFGGTCYNFTAFQPAINVLKEINVFMEANPSAVITIFIEDYVTSPKGLTKVFNASGLFKYWFPVSRMPKNGGDWPLLTDMISSNQRLVVFTSKQAKESSEGIAYEWRYVVENQYGDDGMKAGLCPNRAESPPMNTMSRSLVLMNYFPTTPDLVTSCKDNSAPLVNMLNTCHNLSGNRWPNFIAVNFYKRSDGGGAPEATDIANGHLICGCNSITDCKVNASFGSCQDLPKAELSENSTSMASMIMWNKWFGFYMVLLVMVFSCYLY >DRNTG_11910.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:425088:428138:1 gene:DRNTG_11910 transcript:DRNTG_11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDMYDFENDIWLCHSFGGTCYNFTAFQPAINVLKEINVFMEANPSAVITIFIEDYVTSPKGLTKVFNASGLFKYWFPVSRMPKNGGDWPLLTDMISSNQRLVVFTSKQAKESSEGIAYEWRYVVENQYGDDGMKAGLCPNRAESPPMNTMSRSLVLMNYFPTTPDLVTSCKDNSAPLVNMLNTCHNLSGNRWPNFIAVNFYKRSDGGGAPEATDIANGHLICGCNSITDCKVNASFGSCQDLPKAELSENSTSMASMIMWNKWFGFYMVLLVMVFSCYLY >DRNTG_28311.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29330820:29337954:-1 gene:DRNTG_28311 transcript:DRNTG_28311.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHDSKYFSTTKKGEIPELKEELNSQYKDKKKDAVKKVIAAMTVGKDVSSLFADVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLETLRDLISDNNPMVVANAVAALAEIQENNTGPVFEITSHTLSKLLTALNECTEWGQVFILDALSRYKAADAREAENIVERVTPRLQHANCAVVLSAVKMILLQMELITSPDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLESFPEEPALVQLQLLTATVKLFLKKPTEGPQQMIQVVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDPSLLDELLANIATLSSVYHKPPEAFVSRAKLATPKPDDDEEPDAAEAGYSESSPSRVVNGAPAPSGSSPVSHTSTSQAAPVPPTATPATAAPVPDLLGDLIGLDNAIVPADTAPTTAPSLPPLPVLLPKATGQGLQISGQLLQRDGQIFYALLFENNSQTVLDGFMIQFNKNTFGLAAAGALQVPQLAPGASCSTLLPMVLFQNLSPGPPSSLLQVAVKNNQQPVWYFNDKILLQVLFTEDGGLDRPEFLEAWKTLPDANEISKDLQNSVIHNVDATTKHLLAHNIFTVAKRNNANKDIIYLSAKLPRGITFLVELTSVIGVPGVKCAVKTSNPEMASLFFETMESLLK >DRNTG_12448.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20988781:20989669:1 gene:DRNTG_12448 transcript:DRNTG_12448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTATATQSVRKDILNTLRIPHALVLETSFDRPNLKYEVVAKSKDPLKQLGQLLKDHFHNMCGIVYCLSKNECVDVSAFLNDKCKIKTVYYHAGLAARQRVDVQKKWHTGEVQIVCATIAF >DRNTG_21431.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7435275:7441033:1 gene:DRNTG_21431 transcript:DRNTG_21431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPLIPYRDEELLHLKGDNVTGKFQEWDRIYNYAYYNDLGSPDLGSKLVRPILRGSEEFPYPHRGKTGRGPTLTDPNSESRLLLINSLDIYVPRDEKF >DRNTG_19208.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5063381:5068550:1 gene:DRNTG_19208 transcript:DRNTG_19208.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGDILAALIPSWSSVVILFSYLGYLAIAGSVLPGRVIPGAILSDGTRLHYRCNGLLSLILLVVLLGIGVNTKMISPMVVADKGIELLSATFIFSLIVSLALYAVGGKSREQSSSLKAHVTGNFIHDWWFGVQLNPHFMGVDLKFFFVRAGMMGWLFINLSIFMKSIQAGNGNLSVILYQLFVALYILDYFFYEEFMTSTWDIIAERLGYMLVFGDLVFIPFTFSIQACDLYLIHVYSFKHFL >DRNTG_19208.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5063381:5070177:1 gene:DRNTG_19208 transcript:DRNTG_19208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGDILAALIPSWSSVVILFSYLGYLAIAGSVLPGRVIPGAILSDGTRLHYRCNGLLSLILLVVLLGIGVNTKMISPMVVADKGIELLSATFIFSLIVSLALYAVGGKSREQSSSLKAHVTGNFIHDWWFGVQLNPHFMGVDLKFFFVRAGMMGWLFINLSIFMKSIQAGNGNLSVILYQLFVALYILDYFFYEEFMTSTWDIIAERLGYMLVFGDLVFIPFTFSIQGWWLLKNKVVLSKVAVIANCLVFLVGYCVFRGANKQKHVFKKNPKAHIWGKPPKLVGGKLLVSGYWGVSRHCNYLGDLLLALSFSLPCGTSSPIPYFYPIYLLILLVWRERRDEERCAQKYKEIWVEYCKLVPWRIIPYVY >DRNTG_11093.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30709757:30720146:-1 gene:DRNTG_11093 transcript:DRNTG_11093.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLASAGFQTEHMPGNKCGNRNSTRVERLMREHELRKLNRAFNFEEGSSRGEIPWGPGPIEHDAEDEQTPAAAAAAAAVADDDDDDDDDDDEEEEETSEDTSAIPRMNSSQGFCIGRDRTPFKQRLLVVANRLPVSAIRRGEESWSLEISAGGLVSALLGVRDVDAKWIGWAGVNVPDEVGQKALTKALATKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFDAYKRANQMFADVVSQHYEEGDVIWCHDYHLMFLPKCLKEHDRNMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKMTRVAAFPIGIDSDRFRRALELSTVKERIRELEKRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPSWHDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTGVPLLHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQNAKKGVLILSEFAGAAQSLGAGALLVNPWNITEVANSIFIALTMNPEEREKRHRHNFAHVTTHTAQEWAQTFVSELNDTVVEAQIRTRQVPPLLPSQTAVEQYMHAKNRLLILGFNSTLTGSVESSGRRGGDQIKEMELKLHPELKGPLSNLCDDPKTTVVVLSGSDRSVLDDNFGEYHMWLAAENGMFLRRTEGDWMTTMPEHLNMDWVESVKHVFDYFTARTPRSHFEHRETSLVWNYKYADIEFGRLQARDMLQHLWTGPISNAAVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSKSMVTPIDYVLCIGHFLGKDEDIYTFFEPELPPESVSSTRAKAADAKAPNDRGPAVKPFNNKSNSKPSHGKTQKVTVGPERKVAMPASPQIGGQFPQEITAWHEGSSVLDLKGENYFSCAVGRKRSNARYLLNSSDDVVMFIKQLAEASNEADGKS >DRNTG_11093.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30709757:30720146:-1 gene:DRNTG_11093 transcript:DRNTG_11093.9 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFDAYKRANQMFADVVSQHYEEGDVIWCHDYHLMFLPKCLKEHDRNMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKMTRVAAFPIGIDSDRFRRALELSTVKERIRELEKRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPSWHDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTGVPLLHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQNAKKGVLILSEFAGAAQSLGAGALLVNPWNITEVANSIFIALTMNPEEREKRHRHNFAHVTTHTAQEWAQTFVSELNDTVVEAQIRTRQVPPLLPSQTAVEQYMHAKNRLLILGFNSTLTGSVESSGRRGGDQIKEMELKLHPELKGPLSNLCDDPKTTVVVLSGSDRSVLDDNFGEYHMWLAAENGMFLRRTEGDWMTTMPEHLNMDWVESVKHVFDYFTARTPRSHFEHRETSLVWNYKYADIEFGRLQARDMLQHLWTGPISNAAVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSKSMVTPIDYVLCIGHFLGKDEDIYTFFEPELPPESVSSTRAKAADAKAPNDRGPAVKPFNNKSNSKPSHGKTQKVTVGPERKVAMPASPQIGGQFPQEITAWHEGSSVLDLKGENYFSCAVGRKRSNARYLLNSSDDVVMFIKQLAEASNEADGKS >DRNTG_11093.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30709757:30720146:-1 gene:DRNTG_11093 transcript:DRNTG_11093.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKLASAGFQTEHMPGNKCGNRNSTRVERLMREHELRKLNRAFNFEEGSSRGEIPWGPGPIEHDAEDEQTPAAAAAAAAVADDDDDDDDDDDEEEEETSEDTSAIPRMNSSQGFCIGRDRTPFKQRLLVVANRLPVSAIRRGEESWSLEISAGGLVSALLGVRDVDAKWIGWAGVNVPDEVGQKALTKALATKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFDAYKRANQMFADVVSQHYEEGDVIWCHDYHLMFLPKCLKEHDRNMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKMTRVAAFPIGIDSDRFRRALELSTVKERIRELEKRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPSWHDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTGVPLLHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQNAKKGVLILSEFAGAAQSLGAGALLVNPWNITEVANSIFIALTMNPEEREKRHRHNFAHVTTHTAQEWAQTFVSELNDTVVEAQIRTRQVPPLLPSQTAVEQYMHAKNRLLILGFNSTLTGSVESSGRRGGDQIKEMELKLHPELKGPLSNLCDDPKTTVVVLSGSDRSVLDDNFGEYHMWLAAENGMFLRRTEGDWMTTMPEHLNMDWVESVKHVFDYFTARTPRSHFEHRETSLVWNYKYADIEFGRLQARDMLQHLWTGPISNAAVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSKSMVTPIDYVLCIGHFLGKDEDIYTFFEPELPPESVSSTRAKAADAKAPNDRGPAVKPFNNKSNSKPSHGKTQKVTVGPERKVAMPASPQIGGQFPQEITAWHEGSSVLDLKGENYFSCAVGRKRSNARYLLNSSDDVVMFIKQLAEASNEADGKS >DRNTG_11093.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30709757:30720146:-1 gene:DRNTG_11093 transcript:DRNTG_11093.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLASAGFQTEHMPGNKCGNRNSTRVERLMREHELRKLNRAFNFEEGSSRGEIPWGPGPIEHDAEDEQTPAAAAAAAAVADDDDDDDDDDDEEEEETSEDTSAIPRMNSSQGFCIGRDRTPFKQRLLVVANRLPVSAIRRGEESWSLEISAGGLVSALLGVRDVDAKWIGWAGVNVPDEVGQKALTKALATKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFDAYKRANQMFADVVSQHYEEGDVIWCHDYHLMFLPKCLKEHDRNMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKMTRVAAFPIGIDSDRFRRALELSTVKERIRELEKRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPSWHDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTGVPLLHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQNAKKGVLILSEFAGAAQSLGAGALLVNPWNITEVANSIFIALTMNPEEREKRHRHNFAHVTTHTAQEWAQTFVSELNDTVVEAQIRTRQVPPLLPSQTAVEQYMHAKNRLLILGFNSTLTGSVESSGRRGGDQIKEMELKLHPELKGPLSNLCDDPKTTVVVLSGSDRSVLDDNFGEYHMWLAAENGMFLRRTEGDWMTTMPEHLNMDWVESVKHVFDYFTARTPRSHFEHRETSLVWNYKYADIEFGRLQARDMLQHLWTGPISNAAVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSKSMVTPIDYVLCIGHFLGKDEDIYTFFEPELPPESVSSTRAKAADAKAPNDRGPAVKPFNNKSNSKPSHGKTQKVTVGPERKVAMPASPQIGGQFPQEITAWHEGSSVLDLKGENYFSCAVGRKRSNARYLLNSSDDVVMFIKQLAEASNEADGKS >DRNTG_11093.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30709757:30720146:-1 gene:DRNTG_11093 transcript:DRNTG_11093.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFDAYKRANQMFADVVSQHYEEGDVIWCHDYHLMFLPKCLKEHDRNMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKMTRVAAFPIGIDSDRFRRALELSTVKERIRELEKRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPSWHDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTGVPLLHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQNAKKGVLILSEFAGAAQSLGAGALLVNPWNITEVANSIFIALTMNPEEREKRHRHNFAHVTTHTAQEWAQTFVSELNDTVVEAQIRTRQVPPLLPSQTAVEQYMHAKNRLLILGFNSTLTGSVESSGRRGGDQIKEMELKLHPELKGPLSNLCDDPKTTVVVLSGSDRSVLDDNFGEYHMWLAAENGMFLRRTEGDWMTTMPEHLNMDWVESVKHVFDYFTARTPRSHFEHRETSLVWNYKYADIEFGRLQARDMLQHLWTGPISNAAVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSKSMVTPIDYVLCIGHFLGKDEDIYTFFEPELPPESVSSTRAKAADAKAPNDRGPAVKPFNNKSNSKPSHGKTQKVTVGPERKVAMPASPQIGGQFPQEITAWHEGSSVLDLKGENYFSCAVGRKRSNARYLLNSSDDVVMFIKQLAEASNEADGKS >DRNTG_11093.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30709757:30720146:-1 gene:DRNTG_11093 transcript:DRNTG_11093.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFDAYKRANQMFADVVSQHYEEGDVIWCHDYHLMFLPKCLKEHDRNMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKMTRVAAFPIGIDSDRFRRALELSTVKERIRELEKRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPSWHDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTGVPLLHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQNAKKGVLILSEFAGAAQSLGAGALLVNPWNITEVANSIFIALTMNPEEREKRHRHNFAHVTTHTAQEWAQTFVSELNDTVVEAQIRTRQVPPLLPSQTAVEQYMHAKNRLLILGFNSTLTGSVESSGRRGGDQIKEMELKLHPELKGPLSNLCDDPKTTVVVLSGSDRSVLDDNFGEYHMWLAAENGMFLRRTEGDWMTTMPEHLNMDWVESVKHVFDYFTARTPRSHFEHRETSLVWNYKYADIEFGRLQARDMLQHLWTGPISNAAVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSKSMVTPIDYVLCIGHFLGKDEDIYTFFEPELPPESVSSTRAKAADAKAPNDRGPAVKPFNNKSNSKPSHGKTQKVTVGPERKVAMPASPQIGGQFPQEITAWHEGSSVLDLKGENYFSCAVGRKRSNARYLLNSSDDVVMFIKQLAEASNEADGKS >DRNTG_11093.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30709757:30720146:-1 gene:DRNTG_11093 transcript:DRNTG_11093.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLASAGFQTEHMPGNKCGNRNSTRVERLMREHELRKLNRAFNFEEGSSRGEIPWGPGPIEHDAEDEQTPAAAAAAAAVADDDDDDDDDDDEEEEETSEDTSAIPRMNSSQGFCIGRDRTPFKQRLLVVANRLPVSAIRRGEESWSLEISAGGLVSALLGVRDVDAKWIGWAGVNVPDEVGQKALTKALATKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFDAYKRANQMFADVVSQHYEEGDVIWCHDYHLMFLPKCLKEHDRNMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKMTRVAAFPIGIDSDRFRRALELSTVKERIRELEKRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPSWHDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTGVPLLHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQNAKKGVLILSEFAGAAQSLGAGALLVNPWNITEVANSIFIALTMNPEEREKRHRHNFAHVTTHTAQEWAQTFVSELNDTVVEAQIRTRQVPPLLPSQTAVEQYMHAKNRLLILGFNSTLTGSVESSGRRGGDQIKEMELKLHPELKGPLSNLCDDPKTTVVVLSGSDRSVLDDNFGEYHMWLAAENGMFLRRTEGDWMTTMPEHLNMDWVESVKHVFDYFTARTPRSHFEHRETSLVWNYKYADIEFGRLQARDMLQHLWTGPISNAAVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSKSMVTPIDYVLCIGHFLGKDEDIYTFFEPELPPESVSSTRAKAADAKAPNDRGPAVKPFNNKSNSKPSHGKTQKVTVGPERKVAMPASPQIGGQFPQEITAWHEGSSVLDLKGENYFSCAVGRKRSNARYLLNSSDDVVMFIKQLAEASNEADGKS >DRNTG_11093.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30709757:30717566:-1 gene:DRNTG_11093 transcript:DRNTG_11093.10 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVAAFPIGIDSDRFRRALELSTVKERIRELEKRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPSWHDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTGVPLLHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQNAKKGVLILSEFAGAAQSLGAGALLVNPWNITEVANSIFIALTMNPEEREKRHRHNFAHVTTHTAQEWAQTFVSELNDTVVEAQIRTRQVPPLLPSQTAVEQYMHAKNRLLILGFNSTLTGSVESSGRRGGDQIKEMELKLHPELKGPLSNLCDDPKTTVVVLSGSDRSVLDDNFGEYHMWLAAENGMFLRRTEGDWMTTMPEHLNMDWVESVKHVFDYFTARTPRSHFEHRETSLVWNYKYADIEFGRLQARDMLQHLWTGPISNAAVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSKSMVTPIDYVLCIGHFLGKDEDIYTFFEPELPPESVSSTRAKAADAKAPNDRGPAVKPFNNKSNSKPSHGKTQKVTVGPERKVAMPASPQIGGQFPQEITAWHEGSSVLDLKGENYFSCAVGRKRSNARYLLNSSDDVVMFIKQLAEASNEADGKS >DRNTG_11093.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30709757:30720632:-1 gene:DRNTG_11093 transcript:DRNTG_11093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLASAGFQTEHMPGNKCGNRNSTRVERLMREHELRKLNRAFNFEEGSSRGEIPWGPGPIEHDAEDEQTPAAAAAAAAVADDDDDDDDDDDEEEEETSEDTSAIPRMNSSQGFCIGRDRTPFKQRLLVVANRLPVSAIRRGEESWSLEISAGGLVSALLGVRDVDAKWIGWAGVNVPDEVGQKALTKALATKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFDAYKRANQMFADVVSQHYEEGDVIWCHDYHLMFLPKCLKEHDRNMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKMTRVAAFPIGIDSDRFRRALELSTVKERIRELEKRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPSWHDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTGVPLLHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQNAKKGVLILSEFAGAAQSLGAGALLVNPWNITEVANSIFIALTMNPEEREKRHRHNFAHVTTHTAQEWAQTFVSELNDTVVEAQIRTRQVPPLLPSQTAVEQYMHAKNRLLILGFNSTLTGSVESSGRRGGDQIKEMELKLHPELKGPLSNLCDDPKTTVVVLSGSDRSVLDDNFGEYHMWLAAENGMFLRRTEGDWMTTMPEHLNMDWVESVKHVFDYFTARTPRSHFEHRETSLVWNYKYADIEFGRLQARDMLQHLWTGPISNAAVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSKSMVTPIDYVLCIGHFLGKDEDIYTFFEPELPPESVSSTRAKAADAKAPNDRGPAVKPFNNKSNSKPSHGKTQKVTVGPERKVAMPASPQIGGQFPQEITAWHEGSSVLDLKGENYFSCAVGRKRSNARYLLNSSDDVVMFIKQLAEASNEADGKS >DRNTG_11093.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30709757:30720146:-1 gene:DRNTG_11093 transcript:DRNTG_11093.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKLASAGFQTEHMPGNKCGNRNSTRVERLMREHELRKLNRAFNFEEGSSRGEIPWGPGPIEHDAEDEQTPAAAAAAAAVADDDDDDDDDDDEEEEETSEDTSAIPRMNSSQGFCIGRDRTPFKQRLLVVANRLPVSAIRRGEESWSLEISAGGLVSALLGVRDVDAKWIGWAGVNVPDEVGQKALTKALATKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFDAYKRANQMFADVVSQHYEEGDVIWCHDYHLMFLPKCLKEHDRNMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKMTRVAAFPIGIDSDRFRRALELSTVKERIRELEKRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPSWHDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTGVPLLHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQNAKKGVLILSEFAGAAQSLGAGALLVNPWNITEVANSIFIALTMNPEEREKRHRHNFAHVTTHTAQEWAQTFVSELNDTVVEAQIRTRQVPPLLPSQTAVEQYMHAKNRLLILGFNSTLTGSVESSGRRGGDQIKEMELKLHPELKGPLSNLCDDPKTTVVVLSGSDRSVLDDNFGEYHMWLAAENGMFLRRTEGDWMTTMPEHLNMDWVESVKHVFDYFTARTPRSHFEHRETSLVWNYKYADIEFGRLQARDMLQHLWTGPISNAAVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSKSMVTPIDYVLCIGHFLGKDEDIYTFFEPELPPESVSSTRAKAADAKAPNDRGPAVKPFNNKSNSKPSHGKTQKVTVGPERKVAMPASPQIGGQFPQEITAWHEGSSVLDLKGENYFSCAVGRKRSNARYLLNSSDDVVMFIKQLAEASNEADGKS >DRNTG_18536.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3320053:3320718:1 gene:DRNTG_18536 transcript:DRNTG_18536.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVDCRSLIEFCRAFERHRRTAASSTESSEHHRFRKSKSLNPLSHPFCEHSTFAVVDIVILCLALGALGVLTVPCLKLIFQESSYLLTFAVAFMEEVICNAPVAYIAGLIMFVTAIVVWEWISYQGRKCGNPYCKGLKKAVEFDIQLESEECVRYLPPSPKDLLGACPLELGGDHKELEAELKKMAPLNGRTVLIFRLRCGCPAGRMEVWGEKKVRRIKK >DRNTG_28969.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001462.1:66945:69073:-1 gene:DRNTG_28969 transcript:DRNTG_28969.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIHVASMKRMDSGAFSRSTGNPHGRVEISTHPCGCPIPPIKVLWRRTIGEVFICIDSARCALGLTREPLEKMRRFRKT >DRNTG_33753.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32397029:32397979:1 gene:DRNTG_33753 transcript:DRNTG_33753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLNGYLTIGDTSSAKRKTEDFQNASHKKSKINATMVQGSVATATAETANWQSFSCYSSGSESYASQELDAVVQTSSSSKSFEVVNPNGKSKSSRGAATDPQSLYARKRRERINERLRMLQNLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDELWMYAPFAYNGLDLKIFPPQQ >DRNTG_05335.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18739884:18743515:-1 gene:DRNTG_05335 transcript:DRNTG_05335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLELLSMAKELDLQEEGCTFWWLRFNGNGLSLAEIKNDADALEMASNVSRNRLMSIYAKVCSISTSNDADKPFAEDNVNLQDASLGNNAKEQVEVENVDMQNDSAGNDDEE >DRNTG_01126.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000067.1:20396:21521:1 gene:DRNTG_01126 transcript:DRNTG_01126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIFLGYSSEHKGYRCYDPTSRRLRISRHVTFLEDTTFFTSPPPDLSFLRTSSSFPTPKPLVILPDFSDIPAASTTSPTISSGISPPSSTHLPANVDTLGVSPVSSSSPTSPEDAPAIPRRYPDRVRHPPARYALSLSSTYSPHFQTFLAAVHTYHEPQSYREAAQHPHWQQAMTEELGALERMHTWDLVPLPSGVTPISCRWIYRIKTRADGTIERYKARLVARGFTQEYGIDYDETFAPVAKLTTVRLLLAVAATRQWPLYQLDVTNAFLHGDLSEEVYMTPPPGFSHPPQHVCHLRRAIYGLKQAPRAWFERFRSVILALGFTESSHDYALFTRQTPRGLTILLLYVDDMVISGDDAETIISLKQQ >DRNTG_00628.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22556580:22556958:1 gene:DRNTG_00628 transcript:DRNTG_00628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPAPVVPASTAIDSAVEQQLDSASTASEAPDFNIPSNKATTSKHRDKNTRRKNRYHNSRRQQQNAYSNRKQTMD >DRNTG_12367.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7485364:7488941:-1 gene:DRNTG_12367 transcript:DRNTG_12367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPPSPTPQVYLGDQYVNNSTLSDVTFLVEGCLVQFSKLLC >DRNTG_12770.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000570.1:8423:9016:-1 gene:DRNTG_12770 transcript:DRNTG_12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTVSRRSEPSSRTALMGEQPNPWNILQPQVAKSRHRGAKPSRRCELLGKISLLSL >DRNTG_17281.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18489399:18490344:-1 gene:DRNTG_17281 transcript:DRNTG_17281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIERRHMDVQSNTFAFGMLLLELISGRPSYCGDRGCSLDLAMEYLQKPEKMSQIIEPHFEKMSSLMISL >DRNTG_17281.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18489399:18490267:-1 gene:DRNTG_17281 transcript:DRNTG_17281.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIERRHMDVQSNTFAFGMLLLELISGRPSYCGDRGCSLDLAMEYLQKPEKMSQIIEPHFEKMSSLMISL >DRNTG_03900.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18957846:18959779:-1 gene:DRNTG_03900 transcript:DRNTG_03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQTLETPDLHPQTILILNPVAPAVIAAVSHRFNLLKLWESSLSKPQFLAAHADSTRALIVNGSTLVDAEILDALPRLQLIFSTSAGLNHIDLPECARRGVSIANAPEIFSADVADYAVGLLIDVLRRVSSSDRYVRKGLWPVNGDFPLGFKLGGKRVGIIGLGSIGSKIAKRLDAFGCIISYNSRTKKPSVPYTF >DRNTG_23422.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21192374:21195478:1 gene:DRNTG_23422 transcript:DRNTG_23422.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKRISSPADALYVGLGTHFVPSGNLASVKETLLALNFSEDSHKDIDTLLASFAKEPEYEAPLRPLLPYIISSFGEHRSVPEIVEDLKKHQLSTDARVAEWAGEALAGLEKGAPFALSVTDKHFSQVASAHGNDHHLSKLVGVMKTEYRIALRTSLRGDFIEGVRAVLVDKDQNPKWYPPRLEDVDMADIESVFDPLPTEMELDV >DRNTG_23422.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21190375:21195478:1 gene:DRNTG_23422 transcript:DRNTG_23422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFRFISRFQPFRPSRHPLFSHSQPPNPSPLHRLPVVVGAPALRRCLTAMAGAAELFVKGTVFPNGVAVITLDRPKALNAMNLDMDIRYKSYLDEWENNPGVKCVMVEGSSSRAFSAGMDIKGVAAEIQKDKNTPLVPKVFAAEYSLICKISEYKKPYISFMDGVTMGFGIGLSGHGRYRIVTERTLLAMPENGIGLFPDVGFAYIAAQSPGGGAVGAYLAMTGKRISSPADALYVGLGTHFVPSGNLASVKETLLALNFSEDSHKDIDTLLASFAKEPEYEAPLRPLLPYIISSFGEHRSVPEIVEDLKKHQLSTDARVAEWAGEALAGLEKGAPFALSVTDKHFSQVASAHGNDHHLSKLVGVMKTEYRIALRTSLRGDFIEGVRAVLVDKDQNPKWYPPRLEDVDMADIESVFDPLPTEMELDV >DRNTG_10571.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1614204:1619272:-1 gene:DRNTG_10571 transcript:DRNTG_10571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFGSSAEAEAALGRSLTAVESFWFWYSAGMHDFWLYAHNIVFLLIVYTIAPLPLAIIELRWPKSIGKYKLQPKVRNPPSAVIRCYKDVVMTFVLAVGPLQILSFPTIKGVGIRTGLPLPSWWEVVAQLVVYFLVEDYFNYWIHRMLHSKWGYQYIHHVHHEFTAPIGFAAPYAHWSEVLILGIPAFLGPAIVPGHIITFWLWMVIRHVEAIETHCGYDFPQTPTKYIPFYGGAEYHDYHHYVGGQSHSNFASVFTYCDYIYGTDKGYRYQKSQLAQLREEWKTAEQEEGIKGNGKLEKDK >DRNTG_25151.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5868746:5869121:-1 gene:DRNTG_25151 transcript:DRNTG_25151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVPSLSDLNEVKGSTTTISSDSHEEVVEIIKMERKGEVEE >DRNTG_23269.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001262.1:8278:8842:-1 gene:DRNTG_23269 transcript:DRNTG_23269.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSTTTTTTTNKDQETNSNHGFTTYVQADPTNFRSLVQKLTGAPEGSPANLPVTIPPRRAPPHPDMPISKKQRLQDRRQAPTKLELKLNPSSSSSTSPYTKLHHFICPPPIPSPFTTPLVSPVSTMDFSSSLLSSPSTPTPLSPADQVEKAIAEKGFYLHPSPRNNGQHPPKVTSLVPTCYF >DRNTG_07032.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3474676:3476453:-1 gene:DRNTG_07032 transcript:DRNTG_07032.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLVSRQGRQLQRYSDGGRRLVVGCIPYKFNVDKAISEGVDQRMEVLVISNQKGCGMMFPKGGWEIDETIKEAASREALEEAGVQGKIERKLGKWRYKSKSHDAFYEGIMFPLNVTEELVRWPEMDVRERRWVSVEEAKEGCQHLWMREALERLVRRLGHKSDST >DRNTG_07032.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3474626:3476453:-1 gene:DRNTG_07032 transcript:DRNTG_07032.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLVSRQGRQLQRYSDGGRRLVVGCIPYKFNVDKAISEGVDQRMEVLVISNQKGCGMMFPKGGWEIDETIKEAASREALEEAGVQGKIERKLGKWRYKSKSHDAFYEGIMFPLNVTEELVRWPEMDVRERRWVSVEEAKEGCQHLWMREALERLVRRLGHKSDST >DRNTG_07032.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3474748:3476621:-1 gene:DRNTG_07032 transcript:DRNTG_07032.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLVSRQGRQLQRYSDGGRRLVVGCIPYKFNVDKAISEGVDQRMEVLVISNQKGCGMMFPKGGWEIDETIKEAASREALEEAGVQGKIERKLGKWRYKSKSHDAFYEGIMFPLNVTEELVRWPEMDVRERRWVSVEEAKEGCQHLWMREALERLVRRLGHKSDST >DRNTG_07032.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3474748:3476453:-1 gene:DRNTG_07032 transcript:DRNTG_07032.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLVSRQGRQLQRYSDGGRRLVVGCIPYKFNVDKAISEGVDQRMEVLVISNQKGCGMMFPKGGWEIDETIKEAASREALEEAGVQGKIERKLGKWRYKSKSHDAFYEGIMFPLNVTEELVRWPEMDVRERRWVSVEEAKEGCQHLWMREALERLVRRLGHKSDST >DRNTG_07032.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3474676:3476562:-1 gene:DRNTG_07032 transcript:DRNTG_07032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLVSRQGRQLQRYSDGGRRLVVGCIPYKFNVDKAISEGVDQRMEVLVISNQKGCGMMFPKGGWEIDETIKEAASREALEEAGVQGKIERKLGKWRYKSKSHDAFYEGIMFPLNVTEELVRWPEMDVRERRWVSVEEAKEGCQHLWMREALERLVRRLGHKSDST >DRNTG_26086.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20685774:20688474:-1 gene:DRNTG_26086 transcript:DRNTG_26086.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID MOVEMENT IMPAIRED 1 [Source:Projected from Arabidopsis thaliana (AT1G42550) UniProtKB/Swiss-Prot;Acc:Q9C8E6] MVDRKDSNTQLLQELESLSQSLYPPRRTASLALQRSSDSTAPVTDEPRPRSRRLSMSPFRSRPNDLTTTTTTTKELQPSSSSEKKKLWNWKPIRALSHIGMRRLSCLLSVEVVSVHGLPASMNGLRLSVTVRKKESKDSAIQTMPARVLQGAADFEEMLFIRCHVYCSGGSSSGKPLKFEPRPFLISVTAIDAPELDFGRSSVDLSDLVKESMDRSLEGSRVRQWDTTFSLAGKAKGGELVLKLGFQIMEDGGVGIYNQPSSKGSSSTSSSLARRQSKSSFSVTSPKITRSELSTMTTPSKNSQRIDLKGIDDFSLDEPGPPSLLSPATTHKSELEEVKVDDLDLPEFEVVDKGIEIQEAQSAVENEVAGEESSVSSEVVKEVVVQQDSAHLMRLTELDEIAKQIKALELVIGDGYESVKAEVSEEDEMQRLDAEEETVTREFLQLLELEGGKDELAEAPTPTMSGPESGVGEEDASNLVFLPDLGKSIGSVVQTRDGGYLVAINPSDVIMPKKEIPKLAMQISKTLVLGDENQANGFEVFQRLASLGSEGLGSQLMSLLAMDELMGKTAEQIAFEGIASAIISGRNKEVASSSAAKSMAVLKLMSVALSQGRKERIKSGIWNVKEEPVTVEEVLALALQKIEAMSIDALKIQADMPDEAAPFHVSPATGMNNPLDTATPVEEWMKNSNAGNTSSVSLMVVVQLRDPLRRYEAVGAPVMAVLQAALIDEEEKFKMTSLHVSSVKVRTGGRRSVWDGEKQRLTAMQWLVAYGLVKAGRKGMVTAGKGGNRGQDFLWSLSARIMADMWLKPMRNPDVKMNEK >DRNTG_07022.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3385447:3388268:1 gene:DRNTG_07022 transcript:DRNTG_07022.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVMVLSSSIAKRCESLNTMASFLWWVVGFYWVISGGETLMRSAPKLYWLAVVFLSFDVFFAIFCVAIAFVIGIALCCCLPCIIAILYAVAGQEGASDADISILPMYRYSESHEDGEKATPGTGAMIPIAPGSISGQRVLSSEDAECCICLTSYEDKVELHALPCNHHFHSACIVKWLRINATCPLCKYNILKGGDV >DRNTG_07022.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3383515:3388268:1 gene:DRNTG_07022 transcript:DRNTG_07022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEASGEALLRPSSEQAVRPTSMLAGLLGRRRGGAARVRETAARQLEERRAEWGYSRPIVALDIVWNLAFAAAAAAVLAASLSERPCTPLRVWVVGYALQCSVHVVLVYAEYRRRRSARRGVEDGVVGASESEGNESEEDGDELFGASGEHRSSIAKRCESLNTMASFLWWVVGFYWVISGGETLMRSAPKLYWLAVVFLSFDVFFAIFCVAIAFVIGIALCCCLPCIIAILYAVAGQEGASDADISILPMYRYSESHEDGEKATPGTGAMIPIAPGSISGQRVLSSEDAECCICLTSYEDKVELHALPCNHHFHSACIVKWLRINATCPLCKYNILKGGDV >DRNTG_25868.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8994509:8996280:1 gene:DRNTG_25868 transcript:DRNTG_25868.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGWVATTLQLPSRTQLSLNQHCLHLQPCSSLPEVFLILEELVMYKECLRNHAASIGGHVVDGCGEFMPGSGDDAMKCDACGCHRSFHRKDSYADNRVPLLLPLPPPSSATESSSEELNAVAAHHRPAAVASASAPRKRFRTKFTAEQKERMLGFAESVGWRIQRQDEATVEQFCTEVGVKRQVLKVWMHNNKHSIRKHIHQLPQQQQQQQHHHHQQEHEQEQEQAQDQDQDQQQTHHQQQQMQEE >DRNTG_25868.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8994509:8996280:1 gene:DRNTG_25868 transcript:DRNTG_25868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRGGGGQDEGMGGYNPSTPIKNSAFSKPTLSPSSTLLISPRGFPHPGGARNGNCAFEIPSSSSSPLNPLHSRALDSTEPPPATNVQYKECLRNHAASIGGHVVDGCGEFMPGSGDDAMKCDACGCHRSFHRKDSYADNRVPLLLPLPPPSSATESSSEELNAVAAHHRPAAVASASAPRKRFRTKFTAEQKERMLGFAESVGWRIQRQDEATVEQFCTEVGVKRQVLKVWMHNNKHSIRKHIHQLPQQQQQQQHHHHQQEHEQEQEQAQDQDQDQQQTHHQQQQMQEE >DRNTG_28691.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21285702:21287232:-1 gene:DRNTG_28691 transcript:DRNTG_28691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSFSTPTMNNNNNNKLSLLLPTLLLLHLSFPKISAYIGVNGGIGVWINGRGGGISTTTPPPTLSTPTPSTSTPSNKEYTALQTWKAAITEDPNGVISTWVGPNPCSYKGVFCSNLPGGLSTITGIDLNHAHLKGTLVPALSLLSNLNILHLNSNSFTGTVPDTFQDLQSLTELDLSNNLFSGPFPTQALYIPNLIYLDLRFNSFSGPIPGELFDKQLDAIFLNNNQFEGEIPMNLWTSPASVITLANNKLSGSIPDSFGYSVIKEVLFLNNKLTGCIPDGLGQLQDIEVLDLSFNSLTGHVPSSVSCLTGIEVLNIGHNQLSGTVPDFCDLRSLMNLTVAFNFFSGFSEDCGRSLLRVVGFDFSRNCIPGGGMQRPPMECLGLPGDGGALSCLRLPSTGPVSCPGVMVSIGVGGVGGIGVSFSSSSPSSSSPPSSIP >DRNTG_20432.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001116.1:103113:106988:1 gene:DRNTG_20432 transcript:DRNTG_20432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPENYSKDMPKASKNPSRRPLKRWRKYSAETLPIPHFSSRQYKRQHVYTVDRNSSSIKSIVGEDLAINEQVRIHKCDCLRALQLNVLFPVYDLSFQCLDYLKPMSKGCLRDTLDASHSVRLLEALEIPSDKPYCLV >DRNTG_22659.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20500794:20507810:1 gene:DRNTG_22659 transcript:DRNTG_22659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSDESLDISDSEVGEYEEKFYLKLKKGDVRVKHADNAYRCPFCAGKKKQDYHYKDLLQHATGIGNSHRGGKVKANHLALARFLKNDLPDTGGPSQKPLSIGQQPVPKPKNEEKFVWPWAGILANVPIEKQMDGRYVGESGNRIKDRLSRFNPLKVIPIWGYHGHSGYAIVEFSKDWSGFNDAMAFEKHFESDHCGKQDFDRRRRHHRQQSYLYGWVARAEDYHSRDPFGNHLRKNGDLKTVSEITDEEQRKTGKLVKNLANVIEAKNKHLLDLECKFTQTTMSLEKMIEDHDKLQHQHNKEIEKLQREASEHSRKVILENGKLKSELETKRKQLHTRSRQLDKLVAQTDAERKKLDDERQKNAMINSSLQKATDEQKMADENVLKLLEEQKRERMINNEKILQLERQLDAKQKLELQIEQLKGNLQVLQHMENEDIDSKQKIDDMKEDLETKIEELDYIQTLNQNLIIKERMSNDELQEARKELIMGLKGALGGRSFIGIKRMGELDEKPFREACKQKFPQDEPDIKAAILCSEWQEKLKNPDWHPFKVIITDGKEKEVLEEEDQNLQSLKSELGDDVYQTVIKALLEINEYNPSGRYVVPELWNFKEGRKATMKEVVQFILRNYKNHKRKR >DRNTG_22659.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20501665:20507810:1 gene:DRNTG_22659 transcript:DRNTG_22659.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSDESLDISDSEVGEYEEKFYLKLKKGDVRVKHADNAYRCPFCAGKKKQDYHYKDLLQHATGIGNSHRGGKVKANHLALARFLKNDLPDTGGPSQKPLSIGQQPVPKPKNEEKFVWPWAGILANVPIEKQMDGRYVGESGNRIKDRLSRFNPLKVIPIWGYHGHSGYAIVEFSKDWSGFNDAMAFEKHFESDHCGKQDFDRRRRHHRQQSYLYGWVARAEDYHSRDPFGNHLRKNGDLKTVSEITDEEQRKTGKLVKNLANVIEAKNKHLLDLECKFTQTTMSLEKMIEDHDKLQHQHNKEIEKLQREASEHSRKVILENGKLKSELETKRKQLHTRSRQLDKLVAQTDAERKKLDDERQKNAMINSSLQKATDEQKMADENVLKLLEEQKRERMINNEKILQLERQLDAKQKLELQIEQLKGNLQVLQHMENEDIDSKQKIDDMKEDLETKIEELDYIQTLNQNLIIKERMSNDELQEARKELIMGLKGALGGRSFIGIKRMGELDEKPFREACKQKFPQDEPDIKAAILCSEWQEKLKNPDWHPFKVIITDGKEKEVLEEEDQNLQSLKSELGDDVYQTVIKALLEINEYNPSGRYVVPELWNFKEGRKATMKEVVQFILRNYKNHKRKR >DRNTG_02453.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19398064:19400462:1 gene:DRNTG_02453 transcript:DRNTG_02453.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLKVKPRPKRQKIWVDYLVEFRWIIIVFVVLPISAFLYFITYINEQRAESKPHKERRKEHEENVRKVVKRLKQRNPKKDGLVCTARKPYIVVGMRNVDYKRARHFEVDLSAFGNILEIDEEKMIARVEPLVTMGQLSRVTVPMNLALAVVSELDDLTIGGLVNGYGIEGSSHIYGLFADTLVSLEVVLADGSVVKATKDNEYSDLFYAIPWSQGTLGLLVSAEIKLIRIKEYMKLTYKPARGNLSELAQAYADSFAPRDGDPAKVPDFVESLIYTPTEGVFMTGMYASKQEAARKGNVINNIGWWFKPWFYQHAETALKRGEFVEYIPTREYYHRHTRCLFWEMKLILPFGDQWWFRWLMGWSLPPKISLLKITQGEAIRNYYHDMHVIQDMLLPLHRVADALEFLHEEMEVYPIWLCPHRLFKLPMKTMVYPEPGFENNLRQGDTNFAQMFTDIGVYYAPGPVLRGEVFDGAEAVHRLEEWLIRNHGFQPQYSVSELSEKNFWRMFDAELYEKCRVKYGAVGTFMDVYYKCKKGKKTEKEVLEAEAAIAEKAFAETY >DRNTG_02453.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19398064:19400462:1 gene:DRNTG_02453 transcript:DRNTG_02453.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLKVKPRPKRQKIWVDYLVEFRWIIIVFVVLPISAFLYFITYINEQRAESKPHKERRKEHEENVRKVVKRLKQRNPKKDGLVCTARKPYIVVGMRNVDYKRARHFEVDLSAFGNILEIDEEKMIARVEPLVTMGQLSRVTVPMNLALAVVSELDDLTIGGLVNGYGIEGSSHIYGLFADTLVSLEVVLADGSVVKATKDNEYSDLFYAIPWSQGTLGLLVSAEIKLIRIKEYMKLTYKPARGNLSELAQAYADSFAPRDGDPAKVPDFVESLIYTPTEGVFMTGMYASKQEAARKGNVINNIGWWFKPWFYQHAETALKRGEFVEYIPTREYYHRHTRCLFWEMKLILPFGDQWWFRWLMGWSLPPKISLLKITQGEAIRNYYHDMHVIQDMLLPLHRVADALEFLHEEMEVYPIWLCPHRLFKLPMKTMVYPEPGFENNLRQGDTNFAQMFTDIGVYYAPGPVLRGEVFDGAEAVHRLEEWLIRNHGFQPQYSVSELSEKNFWRMFDAELYEKCRVKYGAVGTFMDVYYKCKKGKKTEKEVLEAEAAIAEKAFAETY >DRNTG_02453.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19398064:19400462:1 gene:DRNTG_02453 transcript:DRNTG_02453.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLKVKPRPKRQKIWVDYLVEFRWIIIVFVVLPISAFLYFITYINEQRAESKPHKERRKEHEENVRKVVKRLKQRNPKKDGLVCTARKPYIVVGMRNVDYKRARHFEVDLSAFGNILEIDEEKMIARVEPLVTMGQLSRVTVPMNLALAVVSELDDLTIGGLVNGYGIEGSSHIYGLFADTLVSLEVVLADGSVVKATKDNEYSDLFYAIPWSQGTLGLLVSAEIKLIRIKEYMKLTYKPARGNLSELAQAYADSFAPRDGDPAKVPDFVESLIYTPTEGVFMTGMYASKQEAARKGNVINNIGWWFKPWFYQHAETALKRGEFVEYIPTREYYHRHTRCLFWEMKLILPFGDQWWFRWLMGWSLPPKISLLKITQGEAIRNYYHDMHVIQDMLLPLHRVADALEFLHEEMEVYPIWLCPHRLFKLPMKTMVYPEPGFENNLRQGDTNFAQMFTDIGVYYAPGPVLRGEVFDGAEAVHRLEEWLIRNHGFQPQYSVSELSEKNFWRMFDAELYEKCRVKYGAVGTFMDVYYKCKKGKKTEKEVLEAEAAIAEKAFAETY >DRNTG_02453.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19396405:19400462:1 gene:DRNTG_02453 transcript:DRNTG_02453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLKVKPRPKRQKIWVDYLVEFRWIIIVFVVLPISAFLYFITYINEQRAESKPHKERRKEHEENVRKVVKRLKQRNPKKDGLVCTARKPYIVVGMRNVDYKRARHFEVDLSAFGNILEIDEEKMIARVEPLVTMGQLSRVTVPMNLALAVVSELDDLTIGGLVNGYGIEGSSHIYGLFADTLVSLEVVLADGSVVKATKDNEYSDLFYAIPWSQGTLGLLVSAEIKLIRIKEYMKLTYKPARGNLSELAQAYADSFAPRDGDPAKVPDFVESLIYTPTEGVFMTGMYASKQEAARKGNVINNIGWWFKPWFYQHAETALKRGEFVEYIPTREYYHRHTRCLFWEMKLILPFGDQWWFRWLMGWSLPPKISLLKITQGEAIRNYYHDMHVIQDMLLPLHRVADALEFLHEEMEVYPIWLCPHRLFKLPMKTMVYPEPGFENNLRQGDTNFAQMFTDIGVYYAPGPVLRGEVFDGAEAVHRLEEWLIRNHGFQPQYSVSELSEKNFWRMFDAELYEKCRVKYGAVGTFMDVYYKCKKGKKTEKEVLEAEAAIAEKAFAETY >DRNTG_02453.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19396405:19400462:1 gene:DRNTG_02453 transcript:DRNTG_02453.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLKVKPRPKRQKIWVDYLVEFRWIIIVFVVLPISAFLYFITYINEQRAESKPHKERRKEHEENVRKVVKRLKQRNPKKDGLVCTARKPYIVVGMRNVDYKRARHFEVDLSAFGNILEIDEEKMIARVEPLVTMGQLSRVTVPMNLALAVVSELDDLTIGGLVNGYGIEGSSHIYGLFADTLVSLEVVLADGSVVKATKDNEYSDLFYAIPWSQGTLGLLVSAEIKLIRIKEYMKLTYKPARGNLSELAQAYADSFAPRDGDPAKVPDFVESLIYTPTEGVFMTGMYASKQEAARKGNVINNIGWWFKPWFYQHAETALKRGEFVEYIPTREYYHRHTRCLFWEMKLILPFGDQWWFRWLMGWSLPPKISLLKITQGEAIRNYYHDMHVIQDMLLPLHRVADALEFLHEEMEVYPIWLCPHRLFKLPMKTMVYPEPGFENNLRQGDTNFAQMFTDIGVYYAPGPVLRGEVFDGAEAVHRLEEWLIRNHGFQPQYSVSELSEKNFWRMFDAELYEKCRVKYGAVGTFMDVYYKCKKGKKTEKEVLEAEAAIAEKAFAETY >DRNTG_31471.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1934060:1937106:1 gene:DRNTG_31471 transcript:DRNTG_31471.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDRPPASSGQSDGFENGSSGEVSDDNLFDIQNQGRSNGLSTENFGSSLWSNSSSRGNWLHENQIGSNRGNDQSFEKEMQSKSYEMMSELNTSCVSDTSPKKLSLEFTLGTPH >DRNTG_16272.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7756010:7763220:1 gene:DRNTG_16272 transcript:DRNTG_16272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHECEGEDFVGPPYEKSAREHEIQDVVRFPDKYSSRAHEVEDVIRSPDKISATENKGTDENAVNYNISKDKTGISSSRGAGTILLKKKDKIDASVTDEFRNSDIIYNPDLIVRNIMAPVQLNSNSKNVVNFKNFRKKDIVSGNSFRDLIPFSKDYYKEGDDRTTDYMREERKRKQMEAIAEDLFNNEKARKRAGPGTSL >DRNTG_03177.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4516796:4526177:-1 gene:DRNTG_03177 transcript:DRNTG_03177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEDSEKLIEKILPNGDIYVGNFEGLLPQGMGKYSWVDGACYQGEWEKGKMTGRGKICWPSGATYEGDFRGGFLHGSGTFSGVDGSVYTGSWRMNMQHGMGCKSYSNSDFYEGFWREGLQEGIGRYTWSNGNTYIGNWKAGKMSGRGLMKWANDDLYDGLWLDGLQHGSGYYKFADGAYYFGTWSKGLKDGWGTFYPCGSKLSYQHKRLESFRYNQKALSFCAGTSMNPEGHRSRTFRLKKSRCGRWNISRFFRSSGRISHTTTSFDGVWNVGDSRRSFQSLDGSLTPSSPDEDDHELQDDNVMASEREYMQGVLIRERSLTPDSRMSHKNKWRHRIRIKQKGPGERIYKGHKSYYLMLDLQLGIRYTVGKITPVPMREVRSSDFGPRARIRMYFPRKGSRFTPPHYSTDFFWKDYCPMVFRNLREMFKIDAADYMMSICGGEGLKELSSPGKSGSIFYLSQDERFVIKTLRRYELKSLLKMLPKYYNHVGNHENTLITKFFGLHRITIRSGRKIRFVVMGNMFCTELRIHRRYDLKGSTQGRSTSKHNITENTTLKDLDLSYVFYLEKSWREALFRQISLDCMFLESQCIIDYSMLLGLHFRAPEHLKSLLEPQHAQHGASSSAGDYVDTPTQGEMIIPPKGLLLVTHEPGSVSNMPGSHIRGGTLRASAAGDEEVDLLLPGTGRLRVQLGVNMPAQANRKLLHDEGPDLAEIDLFEVYDVVLYLGIIDILQEYNLTKKIEHACKSLRYHPMSISAVDPQTYSKRFISFLEKVFPEQV >DRNTG_34025.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31535823:31544123:1 gene:DRNTG_34025 transcript:DRNTG_34025.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTSLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRVIQKKAKFDIMDLNVIQFSPKTFIPALFGHGSEDIFIQPHHSDRIFKLYAGDKNIIKFDGDHNSPRPQFYYDSVSIFFYNVLHPPQISSTVPSRIEKYYDLGDLKVGSGMDESLLYEIITGMRANGSDTASSSSAPPTISNGPTTKSVVELLSESVAQISLENDMDFLLDHDIAEKGGNDKEFLVQEKANAQNEEFNSYSSSNRESWGRCSSLGASEESSSAECTVTSKSKHQMTLRALATPLRRIHRRSTAHTKDKKKGLSISKKPVNNDKVEKAETLSQRLRRSILRRVNHKRHRSS >DRNTG_34025.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31535823:31544123:1 gene:DRNTG_34025 transcript:DRNTG_34025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQFINFVIRPPRAEYNPDQYLWESEFTLAGRKYKRQDLELTNGRGHTLQCSHYVPSVIPENTTLPCVIYCHGNSGCRADANEAAVILLPTNITVFTLDFSGSGLSGGDYVSLGWHEKDDLKIVVSHLRSNKQVSCIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRVIQKKAKFDIMDLNVIQFSPKTFIPALFGHGSEDIFIQPHHSDRIFKLYAGDKNIIKFDGDHNSPRPQFYYDSVSIFFYNVLHPPQISSTVPSRIEKYYDLGDLKVGSGMDESLLYEIITGMRANGSDTASSSSAPPTISNGPTTKSVVELLSESVAQISLENDMLQDFLLDHDIAEKGGNDKEFLVQEKANAQNEEFNSYSSSNRESWGRCSSLGASEESSSAECTVTSKSKHQMTLRALATPLRRIHRRSTAHTKDKKKGLSISKKPVNNDKVEKAETLSQRLRRSILRRVNHKRHRSS >DRNTG_34025.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31535823:31544123:1 gene:DRNTG_34025 transcript:DRNTG_34025.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTSLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRVIQKKAKFDIMDLNVIQFSPKTFIPALFGHGSEDIFIQPHHSDRIFKLYAGDKNIIKFDGDHNSPRPQFYYDSVSIFFYNVLHPPQISSTVPSRIEKYYDLGDLKVGSGMDESLLYEIITGMRANGSDTASSSSAPPTISNGPTTKSVVELLSESVAQISLENDMLQDFLLDHDIAEKGGNDKEFLVQEKANAQNEEFNSYSSSNRESWGRCSSLGASEESSSAECTVTSKSKHQMTLRALATPLRRIHRRSTAHTKDKKKGLSISKKPVNNDKVEKAETLSQRLRRSILRRVNHKRHRSS >DRNTG_15679.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4070837:4071752:-1 gene:DRNTG_15679 transcript:DRNTG_15679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLGKDYSLKKIPLALEKKDWQDATYSVCMEFPHNVVLLLWSSHDKSCHPYMCGTNYRHSNCLDQFKKAYGKVMPSNEANVGNQSLTFMNSSWQTFNKSELELVCPLCRGQELHKHVRVEHPLAGPHKVDPALEQKWMSMERQNEREDIISTIRSSKPRSVVLGDYVIEMDDSDTDTDGEDLGEGAPRGLRGRSHNFIFLYFLFQEGARLTRLHRDNRASEDGVEDGGNVLPTDASVAAPDLASSFPLDGDDDSEINQGMLVNMFRSERR >DRNTG_26701.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31292550:31293421:1 gene:DRNTG_26701 transcript:DRNTG_26701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLQAMMLQRRLRGYEPPSQHAAAVAPPPPIKCPRCDSTNTKFCYYNNYNLSQPRHFCKACRRYWTKGGVLRNVPVGGGSRKSSSKRSSSSSSKKPSAVADSDRRRPVVSRSNSDASSLSNPNPNPNRSPPRHPEPDLNPDAGGSLTGLIAAAEKQSTVGMGFGFSDPTAGITDLGPRMVGGEINGLDWPAPVFEQGEFWNQGQWSETDPNPDPSLFLP >DRNTG_31313.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3964298:3969350:-1 gene:DRNTG_31313 transcript:DRNTG_31313.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:N6-adenosine-methyltransferase MT-A70-like [Source:Projected from Arabidopsis thaliana (AT4G10760) UniProtKB/Swiss-Prot;Acc:O82486] MMMGAGNLPPKPIKPQRAEYCSEVELGEPQWINCDIRNFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRNLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPEVNRNIDTDVIVAEVRETSRKPDEMYPLLERISPRTRKLELFARMHNTHAGWLSLGNQLNGVRLVDDGLRARFKAAYPDVEVQPASPPRATSTMDTDLNHSQIRSPFVAADTKEQQSQSGEPTPSNDLENVS >DRNTG_31313.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3964298:3969350:-1 gene:DRNTG_31313 transcript:DRNTG_31313.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N6-adenosine-methyltransferase MT-A70-like [Source:Projected from Arabidopsis thaliana (AT4G10760) UniProtKB/Swiss-Prot;Acc:O82486] MDAQNAMDSDDPSTLKEMRTQLEEAIQARRLSQHSLIASLQNLVPDLVSSLDLSLRLISAINHRPFSPTPSPSDPQPLTPRRRPHPDIHPLSRSRPKLSSSEAHSQSPTSPSSDRLALVRSAVAVCLLDLVPFVELDSAALLRRLESGNSSVSATDLAAIAELGGDLGPVSAVESALRCIASETGAVQLEEFSLSGKSMLMVWSIDRHKLAKELPENLQHPPTPAPSSTVQETVNLNEGSNHSQASPMVPRGPPVPPEMWMRTPDHQMAGLSPMFPGGGAAARGMGLMGPRTMFSPHARTLMGPAAPMGGPSPVQPKMKSDDEELKDLEMLLSKKTFRELQKSKTGEELLDLIHRPTARETAVHAKFKTKGGSQLKMYCLHLTKEDCRRQNGSFIACDKVHYKRIIAPHTDTNLGDCSFLDTCRHTKTCKYVHYEYDPTPDLPPMMMGAGNLPPKPIKPQRAEYCSEVELGEPQWINCDIRNFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRNLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPEVNRNIDTDVIVAEVRETSRKPDEMYPLLERISPRTRKLELFARMHNTHAGWLSLGNQLNGVRLVDDGLRARFKAAYPDVEVQPASPPRATSTMDTDLNHSQIRSPFVAADTKEQQSQSGEPTPSNDLENVS >DRNTG_25122.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23736539:23740182:-1 gene:DRNTG_25122 transcript:DRNTG_25122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAILSTVLGQIIELTASPVIRYLRPIWGGVDGDLKKLDSYLVQIKPLVQGAEERQLMEDNVKSWLMLLKDAAYDAEDILNQANTHVLLIQRKAEFYGPLKSKVRDFFSLHHNPLLFQLQLGHKLKSINKRIDDVIEEMHKFNFKVADNNNNNNRPWRNRPQTHSYVPDSEVIGRDEDKEQIVQMLIHDHFEEKVAVVSIVGMGGLGKTTLAQLIYGDENVKNHFQLCIWVCVSDDFDVAKLARNIMLAASKKPYADTNMEVLQQDLRQVLGQKRYLLVLDDVWNEDHMKWDALRHLLLDGAEGSRILVTTRNDNCSRIMGAKKSCLLQGLSEESSWALFERTVFTTSLSRQPKFLEIGKKIVNKCKGLPLAIQVMGSLLQSNIEESQWQAVLDNEIWDIPRATDKIRPELWLSYVNLPSEVKKCFAFCALFPKDSVIEVDMLVQFWIAHGFIPSQTGKDIDVEGHEIFSELIWRSLLQNVTDMSAGITHYYSSDGIYHGHRRFCKMHDLIHDLAQFVTGDECSTLPGSNEFKKISKRTRHFLLNRYVGNDLGDRAPSVRTVLPVGTNFIGLSKLKLVRVLQLGYEANVDKLLSTSIEYLHHLRYLKLSDTDIRELPESICMLVNLQTLNLNGCSQLAKLPMSIVYMNSLRHLHLSDCPKLKIMPSGLSRLRCLKTLTKYIVSEKAGNKIGELKHWNLDGELGLYDLHEVKNADEAKEANMSSRQSINSLSLSWGTSVENAEQVLEALKPHAALKVLSLHDYPGTQFSMWIRDGQLLQNLVEINLDGCQRCEQLPPLEQLPYLEELIISRMDGIKYIINNATGDALSLFPALRYLSLYEMANLEGWYVEDYRETAPPMFPRLKRLTITRCPELTTMPPQISTLEYLEITESYCGTQTALMPKEKGFFKHLKSLEELWLRRCEELALLLEDKEETRPLSSSLRSLSIIDCSKFPLSTALQNLTSIETLSMYHFEELVSWPVEMLRGLESIRSLNIGSCKNLTGAASSQGDCGLSFLEDLDVYDCDALIELPKCPTSLKSLSVCMCPSIKSLCSDMGHLTSLSQLNLFKCPKLESLPEGMQGLTSLQYLLIKDCPALKSFPEGLYQRLPTLKSLEISGCPKLERRCSPGGDYFHLVSSISERSINSSPRRTLLAPCL >DRNTG_13470.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5984722:5988117:-1 gene:DRNTG_13470 transcript:DRNTG_13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKGWFPLSSTSYNQALGIDLAQNHTLLIESSLEMPSKALFYHQSKMVVKSSKKNASPPLQNALKNPLKDDLRMVSELVQVLFSSNWLAAIVFTAVRLPVGFTLILHGGLIIEIVTPELACIVSFIATFKCVQAVIDNSSHLCITIHALSAPVSLHHGHKAPSSTTLSTSSLMRILTSALMAMEPLRMSSFVDSSCIEDYEECRLVYNKISKKFGKHRLVKPKEAVQSLATSLWMYDRMDDGELVNKNVEL >DRNTG_26582.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20134668:20135568:-1 gene:DRNTG_26582 transcript:DRNTG_26582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQTSKEELSINGSQAWQGESAQAATANVWRNKP >DRNTG_17329.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31991141:31992568:1 gene:DRNTG_17329 transcript:DRNTG_17329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRQRVKKSTNAKQDTGEVSSIEWEFINMTDQEEDLIYRMYRLVGANWVLIAGRIPGRKAEEIERFWLMRHDQAFAERRNQRN >DRNTG_30700.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1799347:1804886:1 gene:DRNTG_30700 transcript:DRNTG_30700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISRGQAYKFSARINVEGCTTSTIWGPYCNQTIDSPFCSETSIFKNVRNLLGSQLYHRIGSLNHPVSSKSRLGGVVVRKQEASNASSVFVTAESLITCNNSFQSSCIGYGEFKYYSLDIVGMTSQFTIMATEFKLSGMLLMCYARYNAMPLHTTYDYSADISKDSLIVKSPKIGRWYIAVQAVNQSSTYSNSSVCFSFMWQLQECLDGKAGVNCTWDLHKLQRIPRRGSGVPYESYYLPVDENESITSSPFSMESLLSNASVNNVSWTYFSLEIPPGAAGANMHVQLTSNTQVNYELFARYGGMASIDNWDYYANSTSSRNGSMISVIDDSDKGQINFYILYPREGTWSFGLKNTPETRQKSETAMSIALVGCPRHCSSNGDCRYSVEGSGFTFISYCWCDRDHGGFDCSDVLVTRQGHIWQSIFLIASNAAAILPAYSALRQKAFAEWVLFTSSGISSGLYHACDVGTWCVLSFRVLQFMDFWLSFMAVVSTFIYMTTIDESLKRSIHTCMSIFTALLAVTGATRSANITLVVAIGTLGLIVGWLLEYSTTNRFRCYSLPELHLNMPERWLSVRNWFHNLINTLRRRFRWLYLLSGFVALAAAAISWKLETNQSYWIWHSLWHITIYTSSFFFLCSTVLKSTDEDEQPHYELTRQNSASRLE >DRNTG_30700.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1799347:1804886:1 gene:DRNTG_30700 transcript:DRNTG_30700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISRGQAYKFSARINVEGCTTSTIWGPYCNQTIDSPFCSETSIFKNVRNLLGSQLYHRIGSLNHPVSSKSRLGGVVVRKQEASNASSVFVTAESLITCNNSFQSSCIGYGEFKYYSLDIVGMTSQFTIMATEFKLSGMLLMCYARYNAMPLHTTYDYSADISKDSLIVKSPKIGRWYIAVQAVNQSSTYSNSSVCFSFMWQLQECLDGKAGVNCTWDLHKLQRIPRRGSGVPYESYYLPVDENESITSSPFSMESLLSNASVNNVSWTYFSLEIPPGAAGANMHVQLTSNTQVNYELFARYGGMASIDNWDYYANSTSSRNGSMISVIDDSDKGQINFYILYPREGTWSFGLKNTPETRQKSETAMSIALVGCPRHCSSNGDCRYSVEGSGFTFISYCWCDRDHGGFDCSDVLVTRQGHIWQSIFLIASNAAAILPAYSALRQKAFAEWVLFTSSGISSGLYHACDVGTWCVLSFRVLQFMDFWLSFMAVVSTFIYMTTIDESLKRSIHTCMSIFTALLAVTGATRSANITLVVAIGTLGLIVGWLLEYSTTNRFRCYSLPELHLNMPERWLSVRNWFHNLINTLRRRFRWLYLLSGFVALAAAAISWKLETNQSYWIWHSLWHITIYTSSFFFLCSTVLKSTDEDEQPHYELTRQNSASRLE >DRNTG_30700.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1799347:1804886:1 gene:DRNTG_30700 transcript:DRNTG_30700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MISRGQAYKFSARINVEGCTTSTIWGPYCNQTIDSPFCSETSIFKNVRNLLGSQLYHRIGSLNHPVSSKSRLGGVVVRKQEASNASSVFVTAESLITCNNSFQSSCIGYGEFKYYSLDIVGMTSQFTIMATEFKLSGMLLMCYARYNAMPLHTTYDYSADISKDSLIVKSPKIGRWYIAVQAVNQSSTYSNSSVCFSFMWQLQECLDGKAGVNCTWDLHKLQRIPRRGSGVPYESYYLPVDENESITSSPFSMESLLSNASVNNVSWTYFSLEIPPGAAGANMHVQLTSNTQVNYELFARYGGMASIDNWDYYANSTSSRNGSMISVIDDSDKGQINFYILYPREGTWSFGLKNTPETRQKSETAMSIALVGCPRHCSSNGDCRYSVEGSGFTFISYCWCDRDHGGFDCSDVLVTRQGHIWQSIFLIASNAAAILPAYSALRQKAFAEWVLFTSSGISSGLYHACDVGTWCVLSFRVLQFMDFWLSFMAVVSTFIYMTTIDESLKRSIHTCMSIFTALLAVTGATRSANITLVVAIGTLGLIVGWLLEYSTTNRFRCYSLPELHLNMPERWLSVRNWFHNLINTLRRRFRWLYLLSGFVALAAAAISWKLETNQSYWIWHSLWHITIYTSSFFFLCSTVLKSTDEDEQPHYELTRQNSASRLE >DRNTG_30700.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1799347:1804886:1 gene:DRNTG_30700 transcript:DRNTG_30700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDWRFLLFFGLLWFGFSAAQVPVLLSSYSHPETWLRPYDWAYLRVDLPPWFSSMVVTFVSNVNIDKDSARLVPKSKLPVICLKSGSPPLLDISSTYPTDLLLTFPLNGSFGAFYNLSSLDLCIPFQENLTLTLTNEQISPGVLYFGFFNGLGPERTQSKMISRGQAYKFSARINVEGCTTSTIWGPYCNQTIDSPFCSETSIFKNVRNLLGSQLYHRIGSLNHPVSSKSRLGGVVVRKQEASNASSVFVTAESLITCNNSFQSSCIGYGEFKYYSLDIVGMTSQFTIMATEFKLSGMLLMCYARYNAMPLHTTYDYSADISKDSLIVKSPKIGRWYIAVQAVNQSSTYSNSSVCFSFMWQLQECLDGKAGVNCTWDLHKLQRIPRRGSGVPYESYYLPVDENESITSSPFSMESLLSNASVNNVSWTYFSLEIPPGAAGANMHVQLTSNTQVNYELFARYGGMASIDNWDYYANSTSSRNGSMISVIDDSDKGQINFYILYPREGTWSFGLKNTPETRQKSETAMSIALVGCPRHCSSNGDCRYSVEGSGFTFISYCWCDRDHGGFDCSDVLVTRQGHIWQSIFLIASNAAAILPAYSALRQKAFAEWVLFTSSGISSGLYHACDVGTWCVLSFRVLQFMDFWLSFMAVVSTFIYMTTIDESLKRSIHTCMSIFTALLAVTGATRSANITLVVAIGTLGLIVGWLLEYSTTNRFRCYSLPELHLNMPERWLSVRNWFHNLINTLRRRFRWLYLLSGFVALAAAAISWKLETNQSYWIWHSLWHITIYTSSFFFLCSTVLKSTDEDEQPHYELTRQNSASRLE >DRNTG_20927.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7408043:7408780:-1 gene:DRNTG_20927 transcript:DRNTG_20927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKTPSPGHPLLLVLLVRFVLDEFLLQESRILASHHKGCVMIILFWRINQPQSRNKLNYLFLPKSKCPRNCLNAEDYPAEDDGQAIKRAFRLEQEKKVFTYCLIAEDSPEKDNHESSFKTEFKLMRMLCDRYERKRIESQVSASE >DRNTG_28104.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27995099:27996362:-1 gene:DRNTG_28104 transcript:DRNTG_28104.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDKGILRVEDSPQDHRHDYRGGFSVPPEVDNKSWFACHLYKLAMKAAYAVGKGYARSLSKPVEAQ >DRNTG_20008.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3161868:3162146:1 gene:DRNTG_20008 transcript:DRNTG_20008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTALTSWESVLAEIYDVASYPTENEFFSL >DRNTG_10449.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4974508:4975735:1 gene:DRNTG_10449 transcript:DRNTG_10449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVVNPEFSLVKRCTELFTEYPLCEKPGVTTRESQWPTPRKDPRVLSETARISHILRVVAKRILWYELSFSHVLGTLNAYLIDNLLTLPNSSSSATDPNPAVMSV >DRNTG_24737.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2289040:2292807:1 gene:DRNTG_24737 transcript:DRNTG_24737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGRFRLVNGQLEAKSFEIININRDKMKKIGYWTSEHGISGKLDHGTNLKGIIWPGDAITAPNGLDWQSNNKTLRIGVPVMKGFMEFVNREWNPLTNRNGSGFCIEVFDTIMASLPYKIPYEYIPFEDDKGKMNGTYNDLVYQVYLGNFDAVVGDVTITPNRSLYVDFSVAYTELGMAMVVPIKDDRGKSPWIFLKPLTTDLWLASGAFFVFTGFAIWVLEHRINEGFRGPALHQLGTIFYFSFSTLVFAHREKVLSNFTRVVVIIWLFVVLILTSSYTASLTSMLTVQQLHPTATSLHEIIRNGEYIGYMGDSGMLRLLNIDASKLKAFDSAEAYDEALSKGSARGGVSAIIDEIPYIKVFLSKYCGKYTMVGTIYRTDGFGFAFQKGSPLVSEVSRTILKATEELDKKLYRNKTACPDQNNMASSNSLTLDSFRGLFLLSGITTSMAIISSLLVFLLRNRQVLAKMDSESSMLRRLITFVKLFDQKDESFHGGKKGDLKEFSMKAGSDDGPSVWPRKNGGPASPW >DRNTG_24737.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2289040:2292807:1 gene:DRNTG_24737 transcript:DRNTG_24737.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGEKVLSNFTRVVVIIWLFVVLILTSSYTASLTSMLTVQQLHPTATSLHEIIRNGEYIGYMGDSGMLRLLNIDASKLKAFDSAEAYDEALSKGSARGGVSAIIDEIPYIKVFLSKYCGKYTMVGTIYRTDGFGFAFQKGSPLVSEVSRTILKATEELDKKLYRNKTACPDQNNMASSNSLTLDSFRGLFLLSGITTSMAIISSLLVFLLRNRQVLAKMDSESSMLRRLITFVKLFDQKDESFHGGKKGDLKEFSMKAGSDDGPSVWPRKNGGPASPW >DRNTG_19804.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001062.1:4624:9895:1 gene:DRNTG_19804 transcript:DRNTG_19804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSWELRLSHGHGQGAEALGRVSLSTRRYTTPMELAPSNATLGLNKSLILRALRSIPWVDLSLFDREEDNEEIMMLGSTEEVPSTPGILNKGRGLAPMGNLVKIARAWVISTRACEISRGALFIPEVTRACACPRELGL >DRNTG_14225.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6675655:6684464:-1 gene:DRNTG_14225 transcript:DRNTG_14225.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYILRATQIQPVAPELPSVDEVEPQETLQPMSKPVTTLEGLIAEDPYPNHPINDNIEKETEGIGDASAVAADSGLKNHISIGNHSDVTEDEGWITIPCEKLPNDWCDAPSIHELRSLDRSFIFPGEQLHILVCLSESKQDSEIITPFRVAAVMTKNGHSAQSGKRKMKILENKAYSENAKEDANGVHEEATGQVVEENSSSVSTNEDNPRSDISATESFLRMEDHKQRTETLLARFKNSTFFARIAGSDEPLWSKRSKLEISEGRSHSNDEGARKISRDNLHNAVIDQGGFDGVTSGGVARDTVKCYLLSNGDIVVVLQINVGISDVKDPVLEVLQFEKYQDSDLAIRGPDNLLASNLEDPCRELLSWLLPIDRSLPPPRPLSPPLGSTPGIGPVHQRSSSSGSQLFSFSHFRSYSMPSLPQAAVPPTQAIPPSPKPAFDLEELDRFSPEKPMRSHDIGNEGLLSFRGVALEPERFSVHCGLEGIFLPGRKWRRKLEIVQPIEISSFAAECATEDLLCVQIKNISPAHLPDLVIFLDSITIAFEEASKGGPPLSLPIASIETGNAHSLPNLALRRGEEHSLVLRPATTMARDFFKAKGGSSGLPPHPKIGAAATSSHQISRVSEGIRSSSNEDRFAVLVSCRSNCSESKLFFKQPTNWRPRVARDLVISVSSETKEQTCGPIGRVPQLPVQVLTLQASNLTSEDLTLTVNAPISSTCPPSVVPLNSTPTMPLDTTGIPEVTTRAGGGDKRAVTTQRLSSMPTVMENQNDTTGGGHRSFSLGQHTTTTSDVISSSDSGCTHLWLQSKVPSGRVPAHSSATVKLELLPLTDGIITLDTLQVAIKEKGLTYVPEQPLKVYSTSSIATGFV >DRNTG_14225.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6675655:6684464:-1 gene:DRNTG_14225 transcript:DRNTG_14225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYILRATQIQPVAPELPSVDEVEPQETLQPMSKPVTTLEGLIAEDPYPNHPINDNIEKETEGIGDASAVAADSGLKNHISIGNHSDVTEDEGWITIPCEKLPNDWCDAPSIHELRSLDRSFIFPGEQLHILVCLSESKQDSEIITPFRVAAVMTKNGHSAQSGKRKMKILENKAYSENAKEDANGVHEEATGQVVEENSSSVSTNEDNPRSDISATESFLRMEDHKQRTETLLARFKNSTFFARIAGSDEPLWSKRSKLEISEGRSHSNDEGARKISRDNLHNAVIDQGGFDGVTSGGVARDTVKCYLLSNGDIVVVLQINVGISDVKDPVLEVLQFEKYQDSDLAIRGPDNLLASNLEDPCRELLSWLLPIDRSLPPPRPLSPPLGSTPGIGPVHQRSSSSGSQLFSFSHFRSYSMPSLPQAAVPPTQAIPPSPKPAFDLEELDRFSPEKPMRSHDIGNEGLLSFRGVALEPERFSVHCGLEGIFLPGRKWRRKLEIVQPIEISSFAAECATEDLLCVQIKNISPAHLPDLVIFLDSITIAFEEASKGGPPLSLPIASIETGNAHSLPNLALRRGEEHSLVLRPATTMARDFFKAKGGSSGLPPHPKIGAAATSSHQISRVSEGIRSSSNEDRFAVLVSCRSNCSESKLFFKQPTNWRPRVARDLVISVSSETKEQTCGPIGRVPQLPVQVLTLQASNLTSEDLTLTVNAPISSTCPPSVVPLNSTPTMPLDTTGIPEVTTRAGGGDKRAVTTQRLSSMPTVMENQNDTTGGGHRSFSLGQHTTTTSDVISSSDSGCTHLWLQSKVPSGVISCTYAIFN >DRNTG_22414.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23265431:23271099:-1 gene:DRNTG_22414 transcript:DRNTG_22414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCTLNGCPLFFFVLVVTSRREGEKRAPLSSSSFSSSRAGEMERGGRR >DRNTG_20952.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001178.1:10238:25178:-1 gene:DRNTG_20952 transcript:DRNTG_20952.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMNGDLQDLFLYEAFLYYNPLLLVTMTVWLWGVNLWVFAQSTVNYAKVFDLDNSHLTHHEIWKCATWMTIVVPTSMTAYLYLYSHGEVSLAASQPVILYVVVVMILIFPFDIFYLSSRYYFLRTLLRIAFPLQAITFCDFFLADILTSMSKVFSDLERSICRMVNKQVATIAWFEADSICGSHSVVIPLVLVFPYLCRLLQCLRQYKDTKEKTSLLNALKYSTAFPVIFLSALKYHVFPDNWTNFYRPLWLLSSVINSFYSFYWDVSRDWDLSVLSRIFKFKTHHFLTTLLYGRQWVYYWLIGSNLILRCTWTYKLSAHLRHNYLTVFTISALEILRRFQWVFFRVENEWNKMTSKPSIELSLEETPEEEDRLLGNENHNV >DRNTG_20952.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001178.1:10238:25178:-1 gene:DRNTG_20952 transcript:DRNTG_20952.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMNGDLQDLFLYEAFLYYNPLLLVTMTVWLWGVNLWVFAQSTVNYAKVFDLDNSHLTHHEIWKCATWMTIVVPTSMTAYLYLYSHGEVSLAASQPVILYVVVVMILIFPFDIFYLSSRYYFLRTLLRIAFPLQAITFCDFFLADILTSMSKVFSDLERSICRMVNKQVATIAWFEADSICGSHSVVIPLVLVFPYLCRLLQCLRQYKDTKEKTSLLNALKYSTAFPVIFLSALKYHVFPDNWTNFYRPLWLLSSVINSFYSFYWDVSRDWDLSVLSRIFKFKTHHFLTTLLYGRQWVYYWLIGSNLILRCTWTYKLSAHLRHNYLTVFTISALEILRRFQWVFFRVENEWNKMTSKPSIELSLEETPEEEDRLLGNENHNV >DRNTG_20952.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001178.1:10238:25178:-1 gene:DRNTG_20952 transcript:DRNTG_20952.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRMNGDLQDLFLYEAFLYYNPLLLVTMTVWLWGVNLWVFAQSTVNYAKVFDLDNSHLTHHEIWKCATWMTIVVPTSMTAYLYLYSHGEVSLAASQPVILYVVVVMILIFPFDIFYLSSRYYFLRTLLRIAFPLQAITFCDFFLADILTSMSKVFSDLERSICRMVNKQVATIAWFEADSICGSHSVVIPLVLVFPYLCRLLQCLRQYKDTKEKTSLLNALKYSTAFPVIFLSALKYHVFPDNWTNFYRPLWLLSSVINSFYSFYWDVSRDWDLSVLSRIFKFKTHHFLTTLLYGRQWVYYWLIGSNLILRCTWTYKLSAHLRHNYLTVFTISALEILRRFQWVFFRVENEWNKMTSKPSIELSLEETPEEEDRLLGNENHNV >DRNTG_20952.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001178.1:10238:13757:-1 gene:DRNTG_20952 transcript:DRNTG_20952.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQVATIAWFEADSICGSHSVVIPLVLVFPYLCRLLQCLRQYKDTKEKTSLLNGILTTSTLFYCFVNCKHNTANILTC >DRNTG_20952.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001178.1:10238:25178:-1 gene:DRNTG_20952 transcript:DRNTG_20952.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCHVDDYRGSDKHDGIPLSLLTWRSVSCCITTSVILYVVVVMILIFPFDIFYLSSRYYFLRTLLRIAFPLQAITFCDFFLADILTSMSKVFSDLERSICRMVNKQVATIAWFEADSICGSHSVVIPLVLVFPYLCRLLQCLRQYKDTKEKTSLLNALKYSTAFPVIFLSALKYHVFPDNWTNFYRPLWLLSSVINSFYSFYWDVSRDWDLSVLSRIFKFKTHHFLTTLLYGRQWVYYWLIGSNLILRCTWTYKLSAHLRHNYLTVFTISALEILRRFQWVFFRVENEWNKMTSKPSIELSLEETPEEEDRLLGNENHNV >DRNTG_08209.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8826:12496:-1 gene:DRNTG_08209 transcript:DRNTG_08209.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRDNPPRPSNEPPPLPSSSSSSSSSSSSSSLIERKNHLMSGTRSSFAFSSSTPLMEIPSSPLSRELKIEITGGNLDFPQKAHALAEKIPLLSSGPSSSCSTLPSEASGEVPELPRPLEALQSSPIPPFLSKTYDLVDDPVLDRLISWGPNGLSFIVWDPAEFSMSILPRHFKHNNFSSFIRQLNTYIASEEDSVEPLLHGLKA >DRNTG_08209.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8826:10048:-1 gene:DRNTG_08209 transcript:DRNTG_08209.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPINSLVNEVKPEVKQSGLEIELKKLRGEKKSLMQEVFQLQQEHLATIRQVDSLNQRMQSAERRQKQMVTFLARVFQNPEFLAQIRKQKAQREIVPARAKRKFLKQHSCSSEPVKSLEQKIVDYGQCSVDVGGSSSQLGIQAGVHKPLEDNFLEDMVEKLGLDPSTKEVLLAASEHDGLEMAPLPPASDACTQEVSLQTDDSFAVTEYYVSFPEDISPEKTLSETIFPPDITMGDMEAWSTGLEIGGSSFSSGLDLWADLDQNVPELEGAAGYSELWDPVLQTLDEGLHIDEFLSADSYSYQCESQIDLPDEDSDKIDKP >DRNTG_08209.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10953:12496:-1 gene:DRNTG_08209 transcript:DRNTG_08209.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRDNPPRPSNEPPPLPSSSSSSSSSSSSSSLIERKNHLMSGTRSSFAFSSSTPLMEIPSSPLSRELKIEITGGNLDFPQKAHALAEKIPLLSSGPSSSCSTLPSEASGEVPELPRPLEALQSSPIPPFLSKTYDLVDDPVLDRLISWGPNGLSFIVWDPAEFSMSILPRHFKHNNFSSFIRQLNTYIASEEDSVEPLCILYTIL >DRNTG_08209.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10953:12496:-1 gene:DRNTG_08209 transcript:DRNTG_08209.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRDNPPRPSNEPPPLPSSSSSSSSSSSSSSLIERKNHLMSGTRSSFAFSSSTPLMEIPSSPLSRELKIEITGGNLDFPQKAHALAEKIPLLSSGPSSSCSTLPSEASGEVPELPRPLEALQSSPIPPFLSKTYDLVDDPVLDRLISWGPNGLSFIVWDPAEFSMSILPRHFKHNNFSSFIRQLNTYIASEEDSVEPLLHGLKA >DRNTG_08209.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8826:10048:-1 gene:DRNTG_08209 transcript:DRNTG_08209.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPINSLVNEVKPEVKQSGLEIELKKLRGEKKSLMQEVFQLQQEHLATIRQVDSLNQRMQSAERRQKQMVTFLARVFQNPEFLAQIRKQKAQREIVPARAKRKFLKQHSCSSEPVKSLEQKIVDYGQCSVDVGGSSSQLGIQAGVHKPLEDNFLEDMVEKLGLDPSTKEVLLAASEHDGLEMAPLPPASDACTQEVSLQTDDSFAVTEYYVSFPEDISPEKTLSETIFPPGEDTTMPFPEFIAQEKVLPDAATSATDITMGDMEAWSTGLEIGGSSFSSGLDLWADLDQNVPELEGAAGYSELWDPVLQTLDEGLHIDEFLSADSYSYQCESQIDLPDEDSDKIDKP >DRNTG_08209.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8826:12496:-1 gene:DRNTG_08209 transcript:DRNTG_08209.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRDNPPRPSNEPPPLPSSSSSSSSSSSSSSLIERKNHLMSGTRSSFAFSSSTPLMEIPSSPLSRELKIEITGGNLDFPQKAHALAEKIPLLSSGPSSSCSTLPSEASGEVPELPRPLEALQSSPIPPFLSKTYDLVDDPVLDRLISWGPNGLSFIVWDPAEFSMSILPRHFKHNNFSSFIRQLNTYIASEEDSVEPLCILYTIL >DRNTG_08209.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7543:12496:-1 gene:DRNTG_08209 transcript:DRNTG_08209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRDNPPRPSNEPPPLPSSSSSSSSSSSSSSLIERKNHLMSGTRSSFAFSSSTPLMEIPSSPLSRELKIEITGGNLDFPQKAHALAEKIPLLSSGPSSSCSTLPSEASGEVPELPRPLEALQSSPIPPFLSKTYDLVDDPVLDRLISWGPNGLSFIVWDPAEFSMSILPRHFKHNNFSSFIRQLNTYIASEEDSVEPLCILYTIL >DRNTG_03685.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000171.1:1749:2443:1 gene:DRNTG_03685 transcript:DRNTG_03685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTALTSWESVLAETYELSRVMVKFITPDGHKTVCPIENKVDFQRMYHVYSIFKYAVVDLVVEIDDVSLSYPTENDFFSLSKGLVNTIARVFFSFPYTYCLRHLEANFMKANVRLGKALSDECWSIYFYIAWASTVKEFDDTVNELQATSPRAYQWLIHKSDMSHWSNYQFRGERWGEMYTNVAESFNALIKEAWHLPMISTP >DRNTG_32580.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12974968:12977270:1 gene:DRNTG_32580 transcript:DRNTG_32580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNTLADKHTKEERDIPSSTEFSSPICSGKRSLPSTLSMFFKVIFMEFSWSPTPAKTPEFGVHTVAWRAHATAWAGHALGGGPHGRVAQPQGLDKRPIGPVFPPARCSCACGAQFHCIHAQLMLLSAFFIDYSTTDQERFERLQQLKIGQSRFIDWDALEDICLAEEVRALVSVGGWDRLFSIQELVRRDITLEVLASFSFDRDIVDISFDVSNDIRFRAFCQPHRMSLTRFSIYLGLYDQNYADTIEYTELLTLGPYITRLMFKMGLIRSVRGEERVSSPAPLGLATLRLMGIVRAYRLGRICTSRIIIRGR >DRNTG_20361.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2694562:2697127:-1 gene:DRNTG_20361 transcript:DRNTG_20361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNGSFKIILGSSSSSRKQILAEMGYDFTVKTADIDEKEIRKDKPEELVVALAHAKADAIISRLQISEFREVDAEPTLLITADQVVVHGGMIREKPADADEAREFIKGYSAGHASTVGSVLVTNLKTGIRKDGWDKAEIYFHKIPDEVIENLIKEGDVLYVAGGLMVEHPLTSPFVEAVVGTIDSVMGLPKALTEKLIQEAL >DRNTG_24418.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1302377:1303611:1 gene:DRNTG_24418 transcript:DRNTG_24418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINTFKSSLIFRESTKQNQIKTHPKNNKTTLEEADKMTN >DRNTG_27019.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001378.1:777:10425:-1 gene:DRNTG_27019 transcript:DRNTG_27019.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSRHKSHRQHRDRSSREGRDRSESEEEERDSRVEEVVVASKTRVSRDSEAGKRKSSGRDLTGPGDDEFIEEHGRKRKERGDDSGRWNGGDEDDGMVDKVLQNEEIGDLEREKTAKSTVLLVDSASKSSRRVEGSVERNEDSSGRRRPEKDLSRRESSSQHRETKEKGKERRPDEDLSRRGSSSHYKDAKERDSERRSADDSSRRESSSHYKKDGKERDKDQRSEEDMSWRESINQYKDSKDRDRERRSERDSKKAPDTGHEKYDDVANRKQSNTKEKQKDNDDSEWQIRDDLQNPELEKDLEKRRRRRDGSGDKEKWIGDDRDNDDRRLFSKDEQLSSRDDRTKNGSYKDERHRDRHRGDYDRDHRRHDDKYRDEHSSRSHPRDRSESKHLREDKVLEGQYKKSKLQNSDLDGSSYIDERETKFKDKRERKRVSDETEDYSDLKPRNAKEPRVDRERASSGSRKVDAQIDGNRFDHAHSDKVDSHISNSRRKGSPSSNPYGAKDRSRHIQRQQERGSPSGERLHVGTTSTGDHGGFSGHRSRDSEPQSSAKHKLNDDIHSSELLESAPASQNDQTPRSDTHASPVHSIEKSPSTSDYRSSDRNDLRSSREIQKAGQRNAASKDAKDFSSEDRGFELPSEKLIMENYSRTDTYSRELASGGQSSFQRTGHQPSHLPPPPPVRHGVDSPSVLGSYEDDVRVQGGDRKSGRYRRSADPGMARAHGNSWKATSTWPSPAANGFIPLQPPPTGFHPNVQQFPNQPLFSVRPSIDLHHSGVPYNNYDATDRFPGHVRPFGWHNPVGDSCPPHMQVWDGNNGMFPDETHVYGRSEWDQNRHMIENRGWEWKGSAWEHECGISCATERI >DRNTG_27019.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001378.1:777:10425:-1 gene:DRNTG_27019 transcript:DRNTG_27019.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSRHKSHRQHRDRSSREGRDRSESEEEERDSRVEEVVVASKTRVSRDSEAGKRKSSGRDLTGPGDDEFIEEHGRKRKERGDDSGRWNGGDEDDGMVDKVLQNEEIGDLEREKTAKSTVLLVDSASKSSRRVEGSVERNEDSSGRRRPEKDLSRRESSSQHRETKEKGKERRPDEDLSRRGSSSHYKDAKERDSERRSADDSSRRESSSHYKKDGKERDKDQRSEEDMSWRESINQYKDSKDRDRERRSERDSKKAPDTGHEKYDDVANRKQSNTKEKQKDNDDSEWQIRDDLQNPELEKDLEKRRRRRDGSGDKEKWIGDDRDNDDRRLFSKDEQLSSRDDRTKNGSYKDERHRDRHRGDYDRDHRRHDDKYRDEHSSRSHPRDRSESKHLREDKVLEGQYKKSKLQNSDLDGSSYIDERETKFKDKRERKRVSDETEDYSDLKPRNAKEPRVDRERASSGSRKVDAQIDGNRFDHAHSDKVDSHISNSRRKGSPSSNPYGAKDRSRHIQRQQERGSPSGERLHVGTTSTGDHGGFSGHRSRDSEPQSSAKHKLNDDIHSSELLESAPASQNDQTPRSDTHASPVHSIEKSPSTSDYRSSDRNDLRSSREIQKAGQRNAASKDAKDFSSEDRGFELPSEKLIMENYSRTDTYSRELASGGQSSFQRTGHQPSHLPPPPPVRHGVDSPSVLGSYEDDVRVQGGDRKSGRYRRSADPGMARAHGNSWKATSTWPSPAANGFIPLQPPPTGFHPNVQQFPNQPLFSVRPSIDLHHSGVPYNNYDATDRFPGHVRPFGWHNPVGDSCPPHMQVWDGNNGMFPDETHVYGRSEWDQNRHMIENRGWEWKGSAWEHECGISCATERI >DRNTG_27019.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001378.1:777:10425:-1 gene:DRNTG_27019 transcript:DRNTG_27019.7 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSRHKSHRQHRDRSSREGRDRSESEEEERDSRVEEVVVASKTRVSRDSEAGKRKSSGRDLTGPGDDEFIEEHGRKRKERGDDSGRWNGGDEDDGMVDKVLQNEEIGDLEREKTAKSTVLLVDSASKSSRRVEGSVERNEDSSGRRRPEKDLSRRESSSQHRETKEKGKERRPDEDLSRRGSSSHYKDAKERDSERRSADDSSRRESSSHYKKDGKERDKDQRSEEDMSWRESINQYKDSKDRDRERRSERDSKKAPDTGHEKYDDVANRKQSNTKEKQKDNDDSEWQIRDDLQNPELEKDLEKRRRRRDGSGDKEKWIGDDRDNDDRRLFSKDEQLSSRDDRTKNGSYKDERHRDRHRGDYDRDHRRHDDKYRDEHSSRSHPRDRSESKHLREDKVLEGQYKKSKLQNSDLDGSSYIDERETKFKDKRERKRVSDETEDYSDLKPRNAKEPRVDRERASSGSRKVDAQIDGNRFDHAHSDKVDSHISNSRRKGSPSSNPYGAKDRSRHIQRQQERGSPSGERLHVGTTSTGDHGGFSGHRSRDSEPQSSAKHKLNDDIHSSELLESAPASQNDQTPRSDTHASPVHSIEKSPSTSDYRSSDRNDLRSSREIQKAGQRNAASKDAKDFSSEDRGFELPSEKLIMENYSRTDTYSRELASGGQSSFQRTGHQPSHLPPPPPVRHGVDSPSVLGSYEDDVRVQGGDRKSGRYRRSADPGMARAHGNSWKATSTWPSPAANGFIPLQPPPTGFHPNVQQFPNQPLFSVRPSIDLHHSGVPYNNYDATDRFPGHVRPFGWHNPVGDSCPPHMQVWDGNNGMFPDETHVYGRSEWDQNRHMIENRGWEWKGSAWEHECGISCATERI >DRNTG_27019.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001378.1:777:10425:-1 gene:DRNTG_27019 transcript:DRNTG_27019.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSRHKSHRQHRDRSSREGRDRSESEEEERDSRVEEVVVASKTRVSRDSEAGKRKSSGRDLTGPGDDEFIEEHGRKRKERGDDSGRWNGGDEDDGMVDKVLQNEEIGDLEREKTAKSTVLLVDSASKSSRRVEGSVERNEDSSGRRRPEKDLSRRESSSQHRETKEKGKERRPDEDLSRRGSSSHYKDAKERDSERRSADDSSRRESSSHYKKDGKERDKDQRSEEDMSWRESINQYKDSKDRDRERRSERDSKKAPDTGHEKYDDVANRKQSNTKEKQKDNDDSEWQIRDDLQNPELEKDLEKRRRRRDGSGDKEKWIGDDRDNDDRRLFSKDEQLSSRDDRTKNGSYKDERHRDRHRGDYDRDHRRHDDKYRDEHSSRSHPRDRSESKHLREDKVLEGQYKKSKLQNSDLDGSSYIDERETKFKDKRERKRVSDETEDYSDLKPRNAKEPRVDRERASSGSRKVDAQIDGNRFDHAHSDKVDSHISNSRRKGSPSSNPYGAKDRSRHIQRQQERGSPSGERLHVGTTSTGDHGGFSGHRSRDSEPQSSAKHKLNDDIHSSELLESAPASQNDQTPRSDTHASPVHSIEKSPSTSDYRSSDRNDLRSSREIQKAGQRNAASKDAKDFSSEDRGFELPSEKLIMENYSRTDTYSRELASGGQSSFQRTGHQPSHLPPPPPVRHGVDSPSVLGSYEDDVRVQGGDRKSGRYRRSADPGMARAHGNSWKATSTWPSPAANGFIPLQPPPTGFHPNVQQFPNQPLFSVRPSIDLHHSGVPYNNYDATDRFPGHVRPFGWHNPVGDSCPPHMQVWDGNNGMFPDETHVYGRSEWDQNRHMIENRGWEWKGSAWEHECGISCATERI >DRNTG_27019.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001378.1:777:10425:-1 gene:DRNTG_27019 transcript:DRNTG_27019.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSRHKSHRQHRDRSSREGRDRSESEEEERDSRVEEVVVASKTRVSRDSEAGKRKSSGRDLTGPGDDEFIEEHGRKRKERGDDSGRWNGGDEDDGMVDKVLQNEEIGDLEREKTAKSTVLLVDSASKSSRRVEGSVERNEDSSGRRRPEKDLSRRESSSQHRETKEKGKERRPDEDLSRRGSSSHYKDAKERDSERRSADDSSRRESSSHYKKDGKERDKDQRSEEDMSWRESINQYKDSKDRDRERRSERDSKKAPDTGHEKYDDVANRKQSNTKEKQKDNDDSEWQIRDDLQNPELEKDLEKRRRRRDGSGDKEKWIGDDRDNDDRRLFSKDEQLSSRDDRTKNGSYKDERHRDRHRGDYDRDHRRHDDKYRDEHSSRSHPRDRSESKHLREDKVLEGQYKKSKLQNSDLDGSSYIDERETKFKDKRERKRVSDETEDYSDLKPRNAKEPRVDRERASSGSRKVDAQIDGNRFDHAHSDKVDSHISNSRRKGSPSSNPYGAKDRSRHIQRQQERGSPSGERLHVGTTSTGDHGGFSGHRSRDSEPQSSAKHKLNDDIHSSELLESAPASQNDQTPRSDTHASPVHSIEKSPSTSDYRSSDRNDLRSSREIQKAGQRNAASKDAKDFSSEDRGFELPSEKLIMENYSRTDTYSRELASGGQSSFQRTGHQPSHLPPPPPVRHGVDSPSVLGSYEDDVRVQGGDRKSGRYRRSADPGMARAHGNSWKATSTWPSPAANGFIPLQPPPTGFHPNVQQFPNQPLFSVRPSIDLHHSGVPYNNYDATDRFPGHVRPFGWHNPVGDSCPPHMQVWDGNNGMFPDETHVYGRSEWDQNRHMIENRGWEWKGSAWEHECGISCATERI >DRNTG_27019.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001378.1:668:10425:-1 gene:DRNTG_27019 transcript:DRNTG_27019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSRHKSHRQHRDRSSREGRDRSESEEEERDSRVEEVVVASKTRVSRDSEAGKRKSSGRDLTGPGDDEFIEEHGRKRKERGDDSGRWNGGDEDDGMVDKVLQNEEIGDLEREKTAKSTVLLVDSASKSSRRVEGSVERNEDSSGRRRPEKDLSRRESSSQHRETKEKGKERRPDEDLSRRGSSSHYKDAKERDSERRSADDSSRRESSSHYKKDGKERDKDQRSEEDMSWRESINQYKDSKDRDRERRSERDSKKAPDTGHEKYDDVANRKQSNTKEKQKDNDDSEWQIRDDLQNPELEKDLEKRRRRRDGSGDKEKWIGDDRDNDDRRLFSKDEQLSSRDDRTKNGSYKDERHRDRHRGDYDRDHRRHDDKYRDEHSSRSHPRDRSESKHLREDKVLEGQYKKSKLQNSDLDGSSYIDERETKFKDKRERKRVSDETEDYSDLKPRNAKEPRVDRERASSGSRKVDAQIDGNRFDHAHSDKVDSHISNSRRKGSPSSNPYGAKDRSRHIQRQQERGSPSGERLHVGTTSTGDHGGFSGHRSRDSEPQSSAKHKLNDDIHSSELLESAPASQNDQTPRSDTHASPVHSIEKSPSTSDYRSSDRNDLRSSREIQKAGQRNAASKDAKDFSSEDRGFELPSEKLIMENYSRTDTYSRELASGGQSSFQRTGHQPSHLPPPPPVRHGVDSPSVLGSYEDDVRVQGGDRKSGRYRRSADPGMARAHGNSWKATSTWPSPAANGFIPLQPPPTGFHPNVQQFPNQPLFSVRPSIDLHHSGVPYNNYDATDRFPGHVRPFGWHNPVGDSCPPHMQVWDGNNGMFPDETHVYGRSEWDQNRHMIENRGWEWKGSAWEHECGISCATERI >DRNTG_27019.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001378.1:777:10425:-1 gene:DRNTG_27019 transcript:DRNTG_27019.6 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSRHKSHRQHRDRSSREGRDRSESEEEERDSRVEEVVVASKTRVSRDSEAGKRKSSGRDLTGPGDDEFIEEHGRKRKERGDDSGRWNGGDEDDGMVDKVLQNEEIGDLEREKTAKSTVLLVDSASKSSRRVEGSVERNEDSSGRRRPEKDLSRRESSSQHRETKEKGKERRPDEDLSRRGSSSHYKDAKERDSERRSADDSSRRESSSHYKKDGKERDKDQRSEEDMSWRESINQYKDSKDRDRERRSERDSKKAPDTGHEKYDDVANRKQSNTKEKQKDNDDSEWQIRDDLQNPELEKDLEKRRRRRDGSGDKEKWIGDDRDNDDRRLFSKDEQLSSRDDRTKNGSYKDERHRDRHRGDYDRDHRRHDDKYRDEHSSRSHPRDRSESKHLREDKVLEGQYKKSKLQNSDLDGSSYIDERETKFKDKRERKRVSDETEDYSDLKPRNAKEPRVDRERASSGSRKVDAQIDGNRFDHAHSDKVDSHISNSRRKGSPSSNPYGAKDRSRHIQRQQERGSPSGERLHVGTTSTGDHGGFSGHRSRDSEPQSSAKHKLNDDIHSSELLESAPASQNDQTPRSDTHASPVHSIEKSPSTSDYRSSDRNDLRSSREIQKAGQRNAASKDAKDFSSEDRGFELPSEKLIMENYSRTDTYSRELASGGQSSFQRTGHQPSHLPPPPPVRHGVDSPSVLGSYEDDVRVQGGDRKSGRYRRSADPGMARAHGNSWKATSTWPSPAANGFIPLQPPPTGFHPNVQQFPNQPLFSVRPSIDLHHSGVPYNNYDATDRFPGHVRPFGWHNPVGDSCPPHMQVWDGNNGMFPDETHVYGRSEWDQNRHMIENRGWEWKGSAWEHECGISCATERI >DRNTG_13292.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2868447:2871768:1 gene:DRNTG_13292 transcript:DRNTG_13292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTKQNIRKTASKNEGRRSFQRCHSKVWLPLSSTSSKQALRMDLAQN >DRNTG_31191.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26950530:26952698:1 gene:DRNTG_31191 transcript:DRNTG_31191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >DRNTG_10046.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1717902:1719936:-1 gene:DRNTG_10046 transcript:DRNTG_10046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGEQPVIYACLALHDDGIPITTEKINTLLKAANVTTEPYWAPLFAKLLEKRSIDDLILSCSSGGGGAAVAIAAAPTAGGAAPAAAAAPC >DRNTG_02116.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10827699:10834368:1 gene:DRNTG_02116 transcript:DRNTG_02116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKNEGHIFKPDRETKREDSGRHVHILESIEGEDQPNPRGGGWREVYVYVKLGDVDYTHGTVQNDCNDEGVGEQTRGPKFQQELGVDDLEDVQELEYVDEVEEVVFYYRKGTDENTVDEENAFHDSDYSLNRETDEENGVASARIRAQQEIEGEDIRMRNDDNVESDYARKGCPSTYGLLPWSVVGTQSMQKRSKQNSNGQNHGEASQSQAIYTEMHYENKVAAAYRQSIDEPQQHVNISSD >DRNTG_24316.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001297.1:16840:17365:1 gene:DRNTG_24316 transcript:DRNTG_24316.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGEIPEELGQLSLLQSLNLSKNQLSGQLSEKIGQLRWLEVLDLSVNNLSGVIPPTMTNLTSLNHLNLSYNNFYGEIPYGGQLQVLPDPSIYNGNQGLCGYPLDKKCEITAPAQPPSLPNNEDDDNNLETIWFYLSMSLGFIFGFWVISGALILKKRW >DRNTG_12248.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24951162:24959254:-1 gene:DRNTG_12248 transcript:DRNTG_12248.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-kinase VPS34 [Source:Projected from Arabidopsis thaliana (AT1G60490) UniProtKB/Swiss-Prot;Acc:P42339] MAANEFRFFLSCDINLPVTFRIERLEGQLAQSPSPTGNDTTDGSKNAELFAECTLYIDGAPFGLPTRTRLESSGHPYCWNELVTLSAKYRDLTSQAQLALTVWDVSCDKDGALVGGATVLLFNRKKQLKTGKQKLRLWPKKEADGKHPTSTPGKVPRHERGEVERLERLVNKYERGQMQRVDWLDRLTFRAIDKIKESESGRIGNSHLSLIVDFCSFEHRVVFQESGSNFFTPPPISTTNELVIVWDPEVGRTNPSEHKQLKLARSLKREIIDKDLKPSSSEWKSIQRILKYPPTCNLSGDEKHLLWKFRLSLMSDKRALTKFLRCVEWSDVQEAKHAIDLMGRWETIDVTDALELLSPVFESEEVRAYAVGILERADDEELQCYLLQLVQALRFERSDKSRLALFLVQRSLYNIELASFLRWYVAVELHDPAYAKRFYCTHEILEDSMMNATGFNGEDGRKLWQSLVRQTELTAQLCSIMRDVRNVRGGTQKKIEKLRQLLSGLLSELTYFDEPIRSPLAPGVLITGIVPAESSIFKSALHPLRLTFRTASGGTCKVIFKKGDDLRQDQLVIQMVSLMDRLLKLENLDLHLTPYRVLATGQDEGMLEFIPSSSLAQILSEHRTIVNYLQKFHPDEDGPFGITATCLETFIKSCAGYSVITYILGIGDRHLDNLLLRDDGRLFHVDFGFILGRDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSNLILNLFYLMARSSIPDIASDPEKGILKLQEKFRLDLDDEASIHFFQDLINDSVSALFPQMVETIHRWAQYWR >DRNTG_19901.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18259149:18265315:-1 gene:DRNTG_19901 transcript:DRNTG_19901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDRSGGFKVGEEVEVSCDDEGFHGAWYEATVARRLNGSRRLSIIYTSLLSDTDPSQRLQESVDLTHVRPRPPRLRKRAFEVFQLVEGYHRDGWWPGVVAGVRGKQCLVSFPSTKEELEFPASKIRPRLNWFKGRWITAGDEGCLEDKFSVGAQVEVTRDKEIYGAAWFPGRVVKVVGDTYFLVEYENLRPNDNTCTKGNEPLREIVDDQYMRPSPPDTAYVKDFCIQDEVEVLVCGRWLAGVISKIILFGSKYIVKLKYQDLEDGFDISELRLHYDWTDGQWICTSMRNRGKSVVAGKTMSVDGRQKSGVEGSFPQVQINGIIANESSEPLSHNMIPACKGKRTSRQKQVSNSCSRKRTETEPELDIVMPKRNKLDGSGSEDLCEDPQPHRNSNEEETTLVEIMEEQCHSESYLQGKSTTTTQLASESPPDTSEDLLYEQFKRNLYEVTGTPDLSTADMGNHENSNGANINTTKRIKKIAVRNSSRLRKTLDLDVLDEPTEEERIETTGTPLKKFATLENVSVACEQEHIKKSAEVVVESENGRKEVALPSPNPPSYLNNEMESVMRNEFPTPVGDIIGDPSETYVSAERINRRLKILDTWTLPKKDYHAAPCLNHSTRSKPRESTENSPQCDFNEAVIEVPLDEDSSNIHQEPMTNWLALKQTSVDKNGASGKFLANNSAGKGHTLPFEKNEVMWKPFESLEIFHMMPQQPHFRALEQYCEEFREGMAIGFMVTFANLINDLAKLRITDSTEVFLAKLKALPRLEANGFDVQCLRSRIEKLLKIKCEQNQHEMKRASLDEQISERNNENTQYNTMIAGLDKNIADVEKTLAHLHEKRSSTIMLKKTNDSEIVNMQKDLRSTEEAFLSSEHDFHATLVAPWW >DRNTG_19901.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18259149:18265315:-1 gene:DRNTG_19901 transcript:DRNTG_19901.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDRSGGFKVGEEVEVSCDDEGFHGAWYEATVARRLNGSRRLSIIYTSLLSDTDPSQRLQESVDLTHVRPRPPRLRKRAFEVFQLVEGYHRDGWWPGVVAGVRGKQCLVSFPSTKEELEFPASKIRPRLNWFKGRWITAGDEGCLEDKFSVGAQVEVTRDKEIYGAAWFPGRVVKVVGDTYFLVEYENLRPNDNTCTKGNEPLREIVDDQYMRPSPPDTAYVKDFCIQDEVEVLVCGRWLAGVISKIILFGSKYIVKLKYQDLEDGFDISELRLHYDWTDGQWICTSMRNRGKSVVAGKTMSVDGRQKSGVEGSFPQVQINGIIANESSEPLSHNMIPACKGKRTSRQKQVSNSCSRKRTETEPELDIVMPKRNKLDGSGSEDLCEDPQPHRNSNEEETTLVEIMEEQCHSESYLQGKSTTTTQLASESPPDTSEDLLYEQFKRNLYEVTGTPDLSTADMGNHENSNGANINTTKRIKKIAVRNSSRLRKTLDLDVLDEPTEEERIETTGTPLKKFATLENVSVACEQEHIKKSAEVVVESENGRKEVALPSPNPPSYLNNEMESVMRNEFPTPVGDIIETYVSAERINRRLKILDTWTLPKKDYHAAPCLNHSTRSKPRESTENSPQCDFNEAVIEVPLDEDSSNIHQEPMTNWLALKQTSVDKNGASGKFLANNSAGKGHTLPFEKNEVMWKPFESLEIFHMMPQQPHFRALEQYCEEFREGMAIGFMVTFANLINDLAKLRITDSTEVFLAKLKALPRLEANGFDVQCLRSRIEKLLKIKCEQNQHEMKRASLDEQISERNNENTQYNTMIAGLDKNIADVEKTLAHLHEKRSSTIMLKKTNDSEIVNMQKDLRSTEEAFLSSEHDFHATLVAPWW >DRNTG_02850.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20748624:20750453:1 gene:DRNTG_02850 transcript:DRNTG_02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKATRWLKALINGRKPISAAENQIPAKQKKRWSFVKSIPEINPKPPTASLPPMKLPAASISKREEWAAVKIQASFRGYLARRALKALKGLVKLQALVKGHIVRKQTAETLRCMQALVRAQAQLRAHARHANKSSRPLSNPESLTPEKTEAYQQRISNSWIDGWIKSKNECRRRLFSDEFEHCPSYMGNTVSFQAKVRAQSVPKQRPKELLVKLRSQSSQRTCSSATSSCCSNSSAVHAKFGNGACYQGSGRLDRFGMPIRI >DRNTG_26967.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30251195:30254148:-1 gene:DRNTG_26967 transcript:DRNTG_26967.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVGQQSFRRKKMTKQLTGKRDDTPLHSAARSGNLATFKEALSGKCPEELAELLSKQNNAGETPLYVAAEYGYVDVVAEIIKYHDVAIASMRAKNGYDALHIAARQGDVDVVRELLKALPELSMTVDLTNTTALNTAATQGHIEVVNLLLEADASLAKIARSNGKTALHAAARNGHVEVVKALLSEDPGIATRNDKKGQTALHMAVKGTNLEIVDELINCEPALINLEDTERQYGVAYSNSQGSCSDSEETSFTQRGECQSHQQIRRNSTRHG >DRNTG_26967.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30251195:30252109:-1 gene:DRNTG_26967 transcript:DRNTG_26967.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFVFDSVALFISLAVVVVQTSVVVVESKAKKQMMAIINKLMWLACVLISVAFLALSFVVVGQHARWLAISVTFHRDYNNGYNTWNNVLLGDMAQN >DRNTG_26967.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30251195:30253532:-1 gene:DRNTG_26967 transcript:DRNTG_26967.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVGQQSFRRKKMTKQLTGKRDDTPLHSAARSGNLATFKEALSGKCPEELAELLSKQNNAGETPLYVAAEYGYVDVVAEIIKYHDVAIASMRAKNGYDALHIAARQGDVDVVRELLKALPELSMTVDLTNTTALNTAATQGHIEVVNLLLEADASLAKIARSNGKTALHAAARNGHVEVVKALLSEDPGIATRNDKKGQTALHMAVKGTNLEIVDELINCEPALINLEDTERQYGVAYSNSQGSCSDSEETSFTQRGECQSHQQIRRNSTRHG >DRNTG_06989.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3011934:3012570:-1 gene:DRNTG_06989 transcript:DRNTG_06989.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEVLSIFELWQGYEVTVSISHQGTSACVSELFCQPIYYSVSPPSGRSLGDRLDASGRRRGSYVPRDVADKIPLLISRKCMRLSK >DRNTG_26325.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16661432:16664712:-1 gene:DRNTG_26325 transcript:DRNTG_26325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRGTLPPPHLFPHISWHHIKLFAQWAKPGTREPYGHSIAVHAMSLAMVSTTLAAPSAPKQREHRCSGRVVEHH >DRNTG_24826.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31774515:31777568:1 gene:DRNTG_24826 transcript:DRNTG_24826.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein C2-DOMAIN ABA-RELATED 11 [Source:Projected from Arabidopsis thaliana (AT5G47710) UniProtKB/Swiss-Prot;Acc:Q9FIK8] MGATTGILKVIIVRGKRLAIRDFTSSDPYVIVRVENQSAKTRVINSCLNPTWNEEFTFSTVDALGVLKLEVFDWDRFKHDDKMGNAFVNLQPIASASKLKRALKLSTGETKLRKVAPDVDNCLMEDSYVRFVDGEIIQDVRLRLCDVESGELYLTIKWIDHTTNSSTLETAQSL >DRNTG_33983.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1104923:1105401:-1 gene:DRNTG_33983 transcript:DRNTG_33983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEECSSSESGWTMYLTSPLHDDYVDYEDHEVYVKHAHVDDDHEDDDDSMASDASTGDKKKDDDDVVLVVEDHDEKHEKEVEKKKKKKRKEKIMCDRKQDVVKKKESVSTSVSVASFYHHKMKTK >DRNTG_08192.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6771537:6772703:1 gene:DRNTG_08192 transcript:DRNTG_08192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKSQSSIVAAVFSSCCCWHDFSLIYLEFIPMVFSSSCCLMYEFCLIHRVG >DRNTG_23538.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22342062:22349087:1 gene:DRNTG_23538 transcript:DRNTG_23538.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEARGLDLNSRADHPESSSVDPVEALAMSPSAVAEADRADAGDGAGGELQSAEIDGQREDGGDLKMEMVEGRSLECGEVVAGVRAGCGEVDDVDRLGKDGSCGDLEMVVDVRGGEVGGASGKVVSGLEISDQVEQIEEGKERGLVESGQSESVTTDVVPCSSETQKGDAFAGVEERKNDGVTEVQGSVEDLEGVNADVEEVDIQEEVKEEGVSLASDLRGSELTSGSGERVIADEKRDMVVGEVEALSTVENDELVATPNSGKDSDVEGEVGVLDNRRIEVTIAHRADASEAGPMSVSDVATEAVVQVDRELELVDRSGGDEKMMLDTINAPCSSKTAGLESLEGATDLGICDQAEFVDDAASSLEHCERLIGDVPECDSEREMGSRKSGDQRLKLTEEREVSMDEITEPPSVAPSVPKSDSVEVSADLGDVDERHQEKKAVQGSCSNFLNPLVVVEEPTIEDKLGEHETVVLDLIDKNPDVQEVANASASEEEQKIESVSLDNNRPITLTKESDTINVHEGDRGDQKAAINLVPLINKNLEVEADAVLANEEVAKAVVSVPADDPSLVPIMKNTVSANVIAEAHDRDLNPICESVRGHLVNTEDSICAHDPENSIMDSDVQTSYANSVEVDIAQGGAKANVNLESSGSAEKSKNRNIQLVIENPDDGGESSNDNPYLLDKDMMEIDEPKPSMLSSIQSFTPQEKQEAKATIASTDDDNLGNNSYEAVSPVFPQSEPVGLDASPGRVQMLNTESMNYTANTSLDEFCRHADVVRESGPSAGLPFKGESALQDGSSTDVMDPCIDGEADILQSAFVDGDENFTMTEGGQDVMNTDLCNDGTDKVHDKEDKVLERVDGVTVEDCEVSNMEKGQHARYYLASQDTTSVSISDLVWGKVKSHPWWPGQIFDSSDASELALKYQKKDNFLVAYFGDKTFAWCEESQLKPFLEYFSQMANQSTSDAFINALDDVLQEVSRRVQLGMTCQCTPEVTYTDIKYQKIDNAGVRKGTSGKVFDRSQIVNSFQPDRLLLYVRTLAQFSKRETDRLDLVLAQAHLNAFYMLKGYSQHPVLFLCGGLAENDDEVSPPGEDISEPQNAVEHHYGKNKLRGRKHTSDKQNASEDISEQNVYELMEAETNFQFVDGDRKKSGLELPGKSYSRLSGRKHKIDDFDPMDLEKNKKERFDSLGDFKPKPSLIGSFKIGECIRRAASQLTGSTPVLKSPSEALQKSVSKGGDHTSFDVSGFDDSPHTPVETRRTKARISDDNSSSSEMLSQLCLAARDPFKHYSFIPQIVNFFSDFRNSSVSDSSKDSEPVPAPVEKIAAKRGRKKKTDTQPSSVPASSVTSAPDYMSDSYWSDMLFHSSPEGNLSPNGMKRKGSYMRSQRKKRKSLLETTSSLQPDPMLGNAKQQVVNASPNLKQELAAERPIIGLGRKHSDECTPTELVLCFNKPDSMPSRTDLIKIFGRYGPLKESATEVMKKTNRVKVVFKRRADAEIAFSSAGKYSIFGPSLISYRLRYLPSIPEDPTDSSPQEMQDALPDTTQQKAEDAPAEVNIQNAKDAPPGEIPQEARDGDQGTTPDASSNVISQDVEDVPTKTTHQDTNDAIPDITPDTHSEIMAQDAEDTPAKITQQDANKATPDTTADAPSETAPPDAEDTLPVAMSDAEDSLPVATSDAAPNVILQEAEHSLAETRQQDAEDILPDATADVLLLEMEDTPAETTQLDAEDTLPGTTSVAPPDIMLQDGEDTAAGNRPQDAEDTLPDTTSVAPPDIMLQDGEDSAAEIRLQDAEDALPDVIPDVTFQQAEDTHAETTQQDTEDALQDATSVAPPDVVLQDMEDIPAQTVASDANNALPDKISSATPDVTLQEAEDTPAGITQQGAEVAVADTTLDAPSDTILQDMEDTPAEAIPQDAEVAPSVIVLQEVEAAPAETILQDAEDALPDITSDAHADMVLHDMEHAHAEATPQDPEDSLPDTTSDAPTDKILNCMEVTPAESSPQGTLDETLDATPEMMLQDVEDTPTETKQQDAEVLVPDPKLDALPDKVLQDAEVSPAETSPQYADAALPDSTTLDLLPNKVAQDVEDTLLQTTPQDANDALPDTTLQDPRKALQSTIPEDSQGIASETKPQDVKDVASEDSAEAEGEIVVDAAQVEAIS >DRNTG_23538.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22342062:22349087:1 gene:DRNTG_23538 transcript:DRNTG_23538.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVCLSLRVRSSPKGCRVWSMDEARGLDLNSRADHPESSSVDPVEALAMSPSAVAEADRADAGDGAGGELQSAEIDGQREDGGDLKMEMVEGRSLECGEVVAGVRAGCGEVDDVDRLGKDGSCGDLEMVVDVRGGEVGGASGKVVSGLEISDQVEQIEEGKERGLVESGQSESVTTDVVPCSSETQKGDAFAGVEERKNDGVTEVQGSVEDLEGVNADVEEVDIQEEVKEEGVSLASDLRGSELTSGSGERVIADEKRDMVVGEVEALSTVENDELVATPNSGKDSDVEGEVGVLDNRRIEVTIAHRADASEAGPMSVSDVATEAVVQVDRELELVDRSGGDEKMMLDTINAPCSSKTAGLESLEGATDLGICDQAEFVDDAASSLEHCERLIGDVPECDSEREMGSRKSGDQRLKLTEEREVSMDEITEPPSVAPSVPKSDSVEVSADLGDVDERHQEKKAVQGSCSNFLNPLVVVEEPTIEDKLGEHETVVLDLIDKNPDVQEVANASASEEEQKIESVSLDNNRPITLTKESDTINVHEGDRGDQKAAINLVPLINKNLEVEADAVLANEEVAKAVVSVPADDPSLVPIMKNTVSANVIAEAHDRDLNPICESVRGHLVNTEDSICAHDPENSIMDSDVQTSYANSVEVDIAQGGAKANVNLESSGSAEKSKNRNIQLVIENPDDGGESSNDNPYLLDKDMMEIDEPKPSMLSSIQSFTPQEKQEAKATIASTDDDNLGNNSYEAVSPVFPQSEPVGLDASPGRVQMLNTESMNYTANTSLDEFCRHADVVRESGPSAGLPFKGESALQDGSSTDVMDPCIDGEADILQSAFVDGDENFTMTEGGQDVMNTDLCNDGTDKVHDKEDKVLERVDGVTVEDCEVSNMEKGQHARYYLASQDTTSVSISDLVWGKVKSHPWWPGQIFDSSDASELALKYQKKDNFLVAYFGDKTFAWCEESQLKPFLEYFSQMANQSTSDAFINALDDVLQEVSRRVQLGMTCQCTPEVTYTDIKYQKIDNAGVRKGTSGKVFDRSQIVNSFQPDRLLLYVRTLAQFSKRETDRLDLVLAQAHLNAFYMLKGYSQHPVLFLCGGLAENDDEVSPPGEDISEPQNAVEHHYGKNKLRGRKHTSDKQNASEDISEQNVYELMEAETNFQFVDGDRKKSGLELPGKSYSRLSGRKHKIDDFDPMDLEKNKKERFDSLGDFKPKPSLIGSFKIGECIRRAASQLTGSTPVLKSPSEALQKSVSKGGDHTSFDVSGFDDSPHTPVETRRTKARISDDNSSSSEMLSQLCLAARDPFKHYSFIPQIVNFFSDFRNSSVSDSSKDSEPVPAPVEKIAAKRGRKKKTDTQPSSVPASSVTSAPDYMSDSYWSDMLFHSSPEGNLSPNGMKRKGSYMRSQRKKRKSLLETTSSLQPDPMLGNAKQQVVNASPNLKQELAAERPIIGLGRKHSDECTPTELVLCFNKPDSMPSRTDLIKIFGRYGPLKESATEVMKKTNRVKVVFKRRADAEIAFSSAGKYSIFGPSLISYRLRYLPSIPEDPTDSSPQEMQDALPDTTQQKAEDAPAEVNIQNAKDAPPGEIPQEARDGDQGTTPDASSNVISQDVEDVPTKTTHQDTNDAIPDITPDTHSEIMAQDAEDTPAKITQQDANKATPDTTADAPSETAPPDAEDTLPVAMSDAEDSLPVATSDAAPNVILQEAEHSLAETRQQDAEDILPDATADVLLLEMEDTPAETTQLDAEDTLPGTTSVAPPDIMLQDGEDTAAGNRPQDAEDTLPDTTSVAPPDIMLQDGEDSAAEIRLQDAEDALPDVIPDVTFQQAEDTHAETTQQDTEDALQDATSVAPPDVVLQDMEDIPAQTVASDANNALPDKISSATPDVTLQEAEDTPAGITQQGAEVAVADTTLDAPSDTILQDMEDTPAEAIPQDAEVAPSVIVLQEVEAAPAETILQDAEDALPDITSDAHADMVLHDMEHAHAEATPQDPEDSLPDTTSDAPTDKILNCMEVTPAESSPQGTLDETLDATPEMMLQDVEDTPTETKQQDAEVLVPDPKLDALPDKVLQDAEVSPAETSPQYADAALPDSTTLDLLPNKVAQDVEDTLLQTTPQDANDALPDTTLQDPRKALQSTIPEDSQGIASETKPQDVKDVASEDSAEAEGEIVVDAAQVEAIS >DRNTG_23538.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22342062:22349087:1 gene:DRNTG_23538 transcript:DRNTG_23538.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCVCLSLRVRSSPKGCRVWSMDEARGLDLNSRADHPESSSVDPVEALAMSPSAVAEADRADAGDGAGGELQSAEIDGQREDGGDLKMEMVEGRSLECGEVVAGVRAGCGEVDDVDRLGKDGSCGDLEMVVDVRGGEVGGASGKVVSGLEISDQVEQIEEGKERGLVESGQSESVTTDVVPCSSETQKGDAFAGVEERKNDGVTEVQGSVEDLEGVNADVEEVDIQEEVKEEGVSLASDLRGSELTSGSGERVIADEKRDMVVGEVEALSTVENDELVATPNSGKDSDVEGEVGVLDNRRIEVTIAHRADASEAGPMSVSDVATEAVVQVDRELELVDRSGGDEKMMLDTINAPCSSKTAGLESLEGATDLGICDQAEFVDDAASSLEHCERLIGDVPECDSEREMGSRKSGDQRLKLTEEREVSMDEITEPPSVAPSVPKSDSVEVSADLGDVDERHQEKKAVQGSCSNFLNPLVVVEEPTIEDKLGEHETVVLDLIDKNPDVQEVANASASEEEQKIESVSLDNNRPITLTKESDTINVHEGDRGDQKAAINLVPLINKNLEVEADAVLANEEVAKAVVSVPADDPSLVPIMKNTVSANVIAEAHDRDLNPICESVRGHLVNTEDSICAHDPENSIMDSDVQTSYANSVEVDIAQGGAKANVNLESSGSAEKSKNRNIQLVIENPDDGGESSNDNPYLLDKDMMEIDEPKPSMLSSIQSFTPQEKQEAKATIASTDDDNLGNNSYEAVSPVFPQSEPVGLDASPGRVQMLNTESMNYTANTSLDEFCRHADVVRESGPSAGLPFKGESALQDGSSTDVMDPCIDGEADILQSAFVDGDENFTMTEGGQDVMNTDLCNDGTDKVHDKEDKVLERVDGVTVEDCEVSNMEKGQHARYYLASQDTTSVSISDLVWGKVKSHPWWPGQIFDSSDASELALKYQKKDNFLVAYFGDKTFAWCEESQLKPFLEYFSQMANQSTSDAFINALDDVLQEVSRRVQLGMTCQCTPEVTYTDIKYQKIDNAGVRKGTSGKVFDRSQIVNSFQPDRLLLYVRTLAQFSKRETDRLDLVLAQAHLNAFYMLKGYSQHPVLFLCGGLAENDDEVSPPGEDISEPQNAVEHHYGKNKLRGRKHTSDKQNASEDISEQNVYELMEAETNFQFVDGDRKKSGLELPGKSYSRLSGRKHKIDDFDPMDLEKNKKERFDSLGDFKPKPSLIGSFKIGECIRRAASQLTGSTPVLKSPSEALQKSVSKGGDHTSFDVSGFDDSPHTPVETRRTKARISDDNSSSSEMLSQLCLAARDPFKHYSFIPQIVNFFSDFRNSSVSDSSKDSEPVPAPVEKIAAKRGRKKKTDTQPSSVPASSVTSAPDYMSDSYWSDMLFHSSPEGNLSPNGMKRKGSYMRSQRKKRKSLLETTSSLQPDPMLGNAKQQVVNASPNLKQELAAERPIIGLGRKHSDECTPTELVLCFNKPDSMPSRTDLIKIFGRYGPLKESATEVMKKTNRVKVVFKRRADAEIAFSSAGKYSIFGPSLISYRLRYLPSIPEDPTDSSPQEMQDALPDTTQQKAEDAPAEVNIQNAKDAPPGEIPQEARDGDQGTTPDASSNVISQDVEDVPTKTTHQDTNDAIPDITPDTHSEIMAQDAEDTPAKITQQDANKATPDTTADAPSETAPPDAEDTLPVAMSDAEDSLPVATSDAAPNVILQEAEHSLAETRQQDAEDILPDATADVLLLEMEDTPAETTQLDAEDTLPGTTSVAPPDIMLQDGEDTAAGNRPQDAEDTLPDTTSVAPPDIMLQDGEDSAAEIRLQDAEDALPDVIPDVTFQQAEDTHAETTQQDTEDALQDATSVAPPDVVLQDMEDIPAQTVASDANNALPDKISSATPDVTLQEAEDTPAGITQQGAEVAVADTTLDAPSDTILQDMEDTPAEAIPQDAEVAPSVIVLQEVEAAPAETILQDAEDALPDITSDAHADMVLHDMEHAHAEATPQDPEDSLPDTTSDAPTDKILNCMEVTPAESSPQGTLDETLDATPEMMLQDVEDTPTETKQQDAEVLVPDPKLDALPDKVLQDAEVSPAETSPQYADAALPDSTTLDLLPNKVAQDVEDTLLQTTPQDANDALPDTTLQDPRKALQSTIPEDSQGIASETKPQDVKDVASEDSEGEIVVDAAQVEAIS >DRNTG_13059.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:884466:887481:1 gene:DRNTG_13059 transcript:DRNTG_13059.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKQHQSKPKPNKLDPMTLRTLPKLSQQGDSSQLDQEKKNSDQSCAKDSLGSSKLIDDNDMVTEMNDCAKINGQADFVESGKSSMCRASASSDVSDVSTCSSVSNNLSKPHKANDIRWEAIQRFRARDGVPSLSHFRLLKKLGSGDIGSVYLSELSGTRCYFAMKVMDKNSLASRKKLVRAQTEREILECLDHPFLPTLYTHFETDKFLCLVMEYCPGGDLHTLRQRQPGKHFSEQSVRFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVNPTLIKSTNSDSDPNRKNNPVYFVQPACIEPSCIQPSCVVPTTCFSPRIFLSKSKKQRKPKPEVGNQVMPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGRTPFRGSGNRVTLFNVVGQPLRFPESPTVSFAAKDLIRGLLVKEPQSRLAYKRGATEIKQHVFFEGVNWALIRCASPPEIPRLVDIEKCQASAASSSGKTQSTNDQKCADNYLEFGFF >DRNTG_13059.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:884773:887444:1 gene:DRNTG_13059 transcript:DRNTG_13059.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKQHQSKPKPNKLDPMTLRTLPKLSQQGDSSQLDQEKKNSDQSCAKDSLGSSKLIDDNDMVTEMNDCAKINGQADFVESGKSSMCRASASSDVSDVSTCSSVSNNLSKPHKANDIRWEAIQRFRARDGVPSLSHFRLLKKLGSGDIGSVYLSELSGTRCYFAMKVMDKNSLASRKKLVRAQTEREILECLDHPFLPTLYTHFETDKFLCLVMEYCPGGDLHTLRQRQPGKHFSEQSVRFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVNPTLIKSTNSDSDPNRKNNPVYFVQPACIEPSCIQPSCVVPTTCFSPRIFLSKSKKQRKPKPEVGNQVMPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGRTPFRGSGNRVTLFNVVGQPLRFPESPTVSFAAKDLIRGLLVKEPQSRLAYKRGATEIKQHVFFEGVNWALIRCASPPEIPRLVDIEKCQASAASSSGKTQSTNDQKCADNYLEFGFF >DRNTG_13059.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:884466:887601:1 gene:DRNTG_13059 transcript:DRNTG_13059.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKQHQSKPKPNKLDPMTLRTLPKLSQQGDSSQLDQEKKNSDQSCAKDSLGSSKLIDDNDMVTEMNDCAKINGQADFVESGKSSMCRASASSDVSDVSTCSSVSNNLSKPHKANDIRWEAIQRFRARDGVPSLSHFRLLKKLGSGDIGSVYLSELSGTRCYFAMKVMDKNSLASRKKLVRAQTEREILECLDHPFLPTLYTHFETDKFLCLVMEYCPGGDLHTLRQRQPGKHFSEQSVRFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVNPTLIKSTNSDSDPNRKNNPVYFVQPACIEPSCIQPSCVVPTTCFSPRIFLSKSKKQRKPKPEVGNQVMPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGRTPFRGSGNRVTLFNVVGQPLRFPESPTVSFAAKDLIRGLLVKEPQSRLAYKRGATEIKQHVFFEGVNWALIRCASPPEIPRLVDIEKCQASAASSSGKTQSTNDQKCADNYLEFGFF >DRNTG_13059.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:884773:887601:1 gene:DRNTG_13059 transcript:DRNTG_13059.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKQHQSKPKPNKLDPMTLRTLPKLSQQGDSSQLDQEKKNSDQSCAKDSLGSSKLIDDNDMVTEMNDCAKINGQADFVESGKSSMCRASASSDVSDVSTCSSVSNNLSKPHKANDIRWEAIQRFRARDGVPSLSHFRLLKKLGSGDIGSVYLSELSGTRCYFAMKVMDKNSLASRKKLVRAQTEREILECLDHPFLPTLYTHFETDKFLCLVMEYCPGGDLHTLRQRQPGKHFSEQSVRFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVNPTLIKSTNSDSDPNRKNNPVYFVQPACIEPSCIQPSCVVPTTCFSPRIFLSKSKKQRKPKPEVGNQVMPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGRTPFRGSGNRVTLFNVVGQPLRFPESPTVSFAAKDLIRGLLVKEPQSRLAYKRGATEIKQHVFFEGVNWALIRCASPPEIPRLVDIEKCQASAASSSGKTQSTNDQKCADNYLEFGFF >DRNTG_13059.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:884466:887444:1 gene:DRNTG_13059 transcript:DRNTG_13059.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKQHQSKPKPNKLDPMTLRTLPKLSQQGDSSQLDQEKKNSDQSCAKDSLGSSKLIDDNDMVTEMNDCAKINGQADFVESGKSSMCRASASSDVSDVSTCSSVSNNLSKPHKANDIRWEAIQRFRARDGVPSLSHFRLLKKLGSGDIGSVYLSELSGTRCYFAMKVMDKNSLASRKKLVRAQTEREILECLDHPFLPTLYTHFETDKFLCLVMEYCPGGDLHTLRQRQPGKHFSEQSVRFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVNPTLIKSTNSDSDPNRKNNPVYFVQPACIEPSCIQPSCVVPTTCFSPRIFLSKSKKQRKPKPEVGNQVMPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGRTPFRGSGNRVTLFNVVGQPLRFPESPTVSFAAKDLIRGLLVKEPQSRLAYKRGATEIKQHVFFEGVNWALIRCASPPEIPRLVDIEKCQASAASSSGKTQSTNDQKCADNYLEFGFF >DRNTG_13059.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:884324:887481:1 gene:DRNTG_13059 transcript:DRNTG_13059.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKQHQSKPKPNKLDPMTLRTLPKLSQQGDSSQLDQEKKNSDQSCAKDSLGSSKLIDDNDMVTEMNDCAKINGQADFVESGKSSMCRASASSDVSDVSTCSSVSNNLSKPHKANDIRWEAIQRFRARDGVPSLSHFRLLKKLGSGDIGSVYLSELSGTRCYFAMKVMDKNSLASRKKLVRAQTEREILECLDHPFLPTLYTHFETDKFLCLVMEYCPGGDLHTLRQRQPGKHFSEQSVRFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVNPTLIKSTNSDSDPNRKNNPVYFVQPACIEPSCIQPSCVVPTTCFSPRIFLSKSKKQRKPKPEVGNQVMPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGRTPFRGSGNRVTLFNVVGQPLRFPESPTVSFAAKDLIRGLLVKEPQSRLAYKRGATEIKQHVFFEGVNWALIRCASPPEIPRLVDIEKCQASAASSSGKTQSTNDQKCADNYLEFGFF >DRNTG_13059.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:884324:887601:1 gene:DRNTG_13059 transcript:DRNTG_13059.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKQHQSKPKPNKLDPMTLRTLPKLSQQGDSSQLDQEKKNSDQSCAKDSLGSSKLIDDNDMVTEMNDCAKINGQADFVESGKSSMCRASASSDVSDVSTCSSVSNNLSKPHKANDIRWEAIQRFRARDGVPSLSHFRLLKKLGSGDIGSVYLSELSGTRCYFAMKVMDKNSLASRKKLVRAQTEREILECLDHPFLPTLYTHFETDKFLCLVMEYCPGGDLHTLRQRQPGKHFSEQSVRFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVNPTLIKSTNSDSDPNRKNNPVYFVQPACIEPSCIQPSCVVPTTCFSPRIFLSKSKKQRKPKPEVGNQVMPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGRTPFRGSGNRVTLFNVVGQPLRFPESPTVSFAAKDLIRGLLVKEPQSRLAYKRGATEIKQHVFFEGVNWALIRCASPPEIPRLVDIEKCQASAASSSGKTQSTNDQKCADNYLEFGFF >DRNTG_13059.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:885322:887444:1 gene:DRNTG_13059 transcript:DRNTG_13059.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKQHQSKPKPNKLDPMTLRTLPKLSQQGDSSQLDQEKKNSDQSCAKDSLGSSKLIDDNDMVTEMNDCAKINGQADFVESGKSSMCRASASSDVSDVSTCSSVSNNLSKPHKANDIRWEAIQRFRARDGVPSLSHFRLLKKLGSGDIGSVYLSELSGTRCYFAMKVMDKNSLASRKKLVRAQTEREILECLDHPFLPTLYTHFETDKFLCLVMEYCPGGDLHTLRQRQPGKHFSEQSVRFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVNPTLIKSTNSDSDPNRKNNPVYFVQPACIEPSCIQPSCVVPTTCFSPRIFLSKSKKQRKPKPEVGNQVMPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGRTPFRGSGNRVTLFNVVGQPLRFPESPTVSFAAKDLIRGLLVKEPQSRLAYKRGATEIKQHVFFEGVNWALIRCASPPEIPRLVDIEKCQASAASSSGKTQSTNDQKCADNYLEFGFF >DRNTG_13059.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:884324:887444:1 gene:DRNTG_13059 transcript:DRNTG_13059.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKQHQSKPKPNKLDPMTLRTLPKLSQQGDSSQLDQEKKNSDQSCAKDSLGSSKLIDDNDMVTEMNDCAKINGQADFVESGKSSMCRASASSDVSDVSTCSSVSNNLSKPHKANDIRWEAIQRFRARDGVPSLSHFRLLKKLGSGDIGSVYLSELSGTRCYFAMKVMDKNSLASRKKLVRAQTEREILECLDHPFLPTLYTHFETDKFLCLVMEYCPGGDLHTLRQRQPGKHFSEQSVRFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVNPTLIKSTNSDSDPNRKNNPVYFVQPACIEPSCIQPSCVVPTTCFSPRIFLSKSKKQRKPKPEVGNQVMPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGRTPFRGSGNRVTLFNVVGQPLRFPESPTVSFAAKDLIRGLLVKEPQSRLAYKRGATEIKQHVFFEGVNWALIRCASPPEIPRLVDIEKCQASAASSSGKTQSTNDQKCADNYLEFGFF >DRNTG_13059.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:884466:887481:1 gene:DRNTG_13059 transcript:DRNTG_13059.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKQHQSKPKPNKLDPMTLRTLPKLSQQGDSSQLDQEKKNSDQSCAKDSLGSSKLIDDNDMVTEMNDCAKINGQADFVESGKSSMCRASASSDVSDVSTCSSVSNNLSKPHKANDIRWEAIQRFRARDGVPSLSHFRLLKKLGSGDIGSVYLSELSGTRCYFAMKVMDKNSLASRKKLVRAQTEREILECLDHPFLPTLYTHFETDKFLCLVMEYCPGGDLHTLRQRQPGKHFSEQSVRFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVNPTLIKSTNSDSDPNRKNNPVYFVQPACIEPSCIQPSCVVPTTCFSPRIFLSKSKKQRKPKPEVGNQVMPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGRTPFRGSGNRVTLFNVVGQPLRFPESPTVSFAAKDLIRGLLVKEPQSRLAYKRGATEIKQHVFFEGVNWALIRCASPPEIPRLVDIEKCQASAASSSGKTQSTNDQKCADNYLEFGFF >DRNTG_13059.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:884773:887481:1 gene:DRNTG_13059 transcript:DRNTG_13059.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKQHQSKPKPNKLDPMTLRTLPKLSQQGDSSQLDQEKKNSDQSCAKDSLGSSKLIDDNDMVTEMNDCAKINGQADFVESGKSSMCRASASSDVSDVSTCSSVSNNLSKPHKANDIRWEAIQRFRARDGVPSLSHFRLLKKLGSGDIGSVYLSELSGTRCYFAMKVMDKNSLASRKKLVRAQTEREILECLDHPFLPTLYTHFETDKFLCLVMEYCPGGDLHTLRQRQPGKHFSEQSVRFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVNPTLIKSTNSDSDPNRKNNPVYFVQPACIEPSCIQPSCVVPTTCFSPRIFLSKSKKQRKPKPEVGNQVMPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGRTPFRGSGNRVTLFNVVGQPLRFPESPTVSFAAKDLIRGLLVKEPQSRLAYKRGATEIKQHVFFEGVNWALIRCASPPEIPRLVDIEKCQASAASSSGKTQSTNDQKCADNYLEFGFF >DRNTG_00929.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21319192:21323605:1 gene:DRNTG_00929 transcript:DRNTG_00929.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRKVTAEEEKEVQGRGSCSITALTAADVELKALEAAIACAIQRGVLIKHIFLTNVDAYKAVLLQMHPSANVSAVHLLLISAGNPPVYLIPRSWAFPAFKLAIHGVNLHSLNLFLHGRDLPYWIMKCFRHNGKLMKNKTNDPPEATGALPVIGHLHLFRGPKPLYQVLSDMADKYGPAFIVRFGSCRTLILSNWEAVKDCFTTNDKVVAARPVNAATEHLGHNYAMFGFAPYGNYWRAVRKITLTELLSPARLEMLKHVPAAEVNTCMKELFTMCTSDSHIVARVDMKKWFGNLNYNIVVQMIASKRYFGSGSVSEEAWRFRDAATQFFHLILSFVPSDMFPFLGWMDIGGYIKAMKKASKEMDDEMDRLVEEHRKRRACRDDCDHDQHNHSPDFMDLMISSLEGKQLEGFDWKTVIKTTSLTMILGGTDTTSTSLTKVLINLLNHKEVLKKVQLELDEQVGKGRVVNELDTKNLVYLQAVIKESFRMTPPDPFLIRRATQEDCILAGYHVPKGTQVLVNAWKVHNDPQVWPEPEKFQPERFLSSYKDIDVKGQHHELIPFGTGRRVCPGVTMALQIMYLTLARLIQGFELRTLNDFPVEMEDGLVSLASDSAPFMVELIPRLTPELY >DRNTG_11254.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21804039:21809596:1 gene:DRNTG_11254 transcript:DRNTG_11254.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLQQGMSHMQRMLEACMDMQLELQRSVRQEVSAALNRSDGGQGFCEASEDGSKWAQVRKGTCCVCCDSHIDSLLYRCGHMCTCSRCANELVRGGGKCPLCRAPIVEVIRAYSIL >DRNTG_11254.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21804039:21809596:1 gene:DRNTG_11254 transcript:DRNTG_11254.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLQQGMSHMQRMLEACMDMQLELQRSVRQEVSAALNRSDGGQGFCEASEDGSKWAQVRKGTCCVCCDSHIDSLLYRCGHMCTCSRCANELVRGGGKCPLCRAPIVEVIRAYSIL >DRNTG_07944.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1099430:1100266:1 gene:DRNTG_07944 transcript:DRNTG_07944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGSCNAVDDHKENIGGWLGGLASVLALATANSPNVFYQDAYPDYYFRITDNEHMTDQLKEKFKRICERSTIKKRYMFLTEEILKQKLNLCSFMEENSLDTRHDIVVEEVLKLGAKAAVKAVTHQTRCADKWPHSYGPKPDRHARPQTCLSIKHNI >DRNTG_13760.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1033936:1034675:-1 gene:DRNTG_13760 transcript:DRNTG_13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDVLYPGESLKSGQSLTAGSYKFKMQEDCDLVLLDGTQKPCWTSGTAYLGRNCYLSFKTDGEISIYDKNSNKKVVVWKRDSSSGQGNYVLILEKIGKVVIYGPNRYSTTIKATSFGALPANKAAEEAKAANISMFNVPEIYVSWDDDDDDDDDVMRSCVHVAFLTACLIG >DRNTG_23137.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7245344:7246789:1 gene:DRNTG_23137 transcript:DRNTG_23137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEDISGSGRRIGAESGGGWARACDVCRGAPCSVFCRADEAYLCASCDARVHAANRVASRHERVWVCEACERAPATLTCRADNAALCPACDAQVHSANPLARRHQRVPILPIQPGRLILGPDFPQVTDRETNEVTCEDEDEAASWLLPEPAKNNVEFLFDGEVDEYLNFAEYNNTLIGECECVVPVQQQQQQHQAQQQELQEQHQSYQMEMEYEVPKPGFTYTASLSHSVSLSSMEASVVPDTTMTDISNCRIRPAKGTIDLFSGAPIQMAAQFTPMDREARVLRYREKKKARKFEKMIRYASRKAYAETRPRIKGRFAKRSEVKLKVADQTFSASMVSESSYGIVPSF >DRNTG_16631.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31471796:31472344:-1 gene:DRNTG_16631 transcript:DRNTG_16631.1 gene_biotype:protein_coding transcript_biotype:protein_coding WKEFASVSGGLQAHSHGHPGAYQLIDEHTGEKFIVWGGSDDGGDDSIPSEQVLSWKPEAVKSSDSLADDDRAARSRTGFSKLKASKIRGLMIKRS >DRNTG_06470.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:572207:572512:1 gene:DRNTG_06470 transcript:DRNTG_06470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCQVKVERLQQRDQLLTAQNEMLKLDKKNLHRKIAQLDETIKKLAGPQSIIRDQIQQPINTRR >DRNTG_06470.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:571137:571928:1 gene:DRNTG_06470 transcript:DRNTG_06470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISEFKADPPPSKTTASVTSRGEKTPLRTRRSGSPFRCISNLVQQMSCENDQELSIARTRIQELESLAANRQKEICMLSARLAAVDSMTHDVIRDLLGVKLDMTNFANLIDEEQLENLVEKAQQQAGETEEK >DRNTG_06470.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:571290:571928:1 gene:DRNTG_06470 transcript:DRNTG_06470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISEFKADPPPSKTTASVTSRGEKTPLRTRRSGSPFRCISNLVQQMSCENDQELSIARTRIQELESLAANRQKEICMLSARLAAVDSMTHDVIRDLLGVKLDMTNFANLIDEEQLENLVEKAQQQAGETEEK >DRNTG_06470.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:569740:572834:1 gene:DRNTG_06470 transcript:DRNTG_06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEELARKHDLVEGLLFDIRLLQESATNVKGMKDETDKIALSLEVIRAKLVIKTAQVDDLLVQQQGLEARLIESETALSSTRSELEQSQETLATLSYENSRLRMLLEDAYFQKSQTDELLKDEKKVIEGLEKEILSMNTSIEAKDLSSVEEVMDELRMLSNERDHLRAEIVALNDKLEMASALADENEAIAVEARQVAEASKIYAEEKEEEVKVLERSINELESTVEILEKKVNELQEEAESHKLVRRDSELKVQALSQRMLTVEGIAGNLMAEDFQLSRHSDGEVAELFEARKCIEELETEIAYKEEENKQYRDHITELLLHSEAQSSLYQEKFKALEDMISEFKADPPPSKTTASVTSRGEKTPLRTRRSGSPFRCISNLVQQMSCENDQELSIARTRIQELESLAANRQKEICMLSARLAAVDSMTHDVIRDLLGVKLDMTNFANLIDEEQLENLVEKAQQQAGETEEKENEILNLKDQIDDLLLERNSWLEEINQRKVEMLTCQVKVERLQQRDQLLTAQNEMLKLDKKNLHRKIAQLDETIKKLAGPQSIIRDQIQQPINTRRASGFMRVDSDELQRRSATPCRSNVRPQFDQH >DRNTG_01232.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000074.1:27898:29612:-1 gene:DRNTG_01232 transcript:DRNTG_01232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIKNPTHWMEPLYAKVMEVDGFQKKELVQAKSDIALRHTRVLWDKNQNGALAGQNDWQFLAILLQPLRISFGNCFPMHFRMFIKMVAWVTAIIRSLLIIASRKHYTVDIVVKWYTVNLVVFFVDDKLPEAENIVEWDSFEKWRSCPS >DRNTG_01655.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12645193:12647629:-1 gene:DRNTG_01655 transcript:DRNTG_01655.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVLWSSPVFPNGPLVQPRAHLTGSVPTKASPITFFQAKRGVKSGELPQRRAKSLSKNKPTASQKMEKNRNSSSIKSLIGEDLAINAQVGMRKWHCLRIPPTHCNGLDTRSVSMPFQHRFLQKDCY >DRNTG_24351.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:403497:407375:-1 gene:DRNTG_24351 transcript:DRNTG_24351.11 gene_biotype:protein_coding transcript_biotype:protein_coding MFATGPYAHETQLVSPPVFSTYTTEPSTAPLTPPPELAHLTTPSSPDVPYARFLSSSMDLKGSGKVNGVPYMSSSYAAGGDLQATYPLYPGSPSSSLISPASGTPRTGVSSPFPEQEISTQWGSSFSGRTSPYSRNSSSKLFGINSGTSRNFMLSPDSSFFCPATSAQFYLDQAQQSLPPYTHCGGRLSVSKEADMYSSGGNRHNKTCKQDVEEIEAYRASFGFSADEIITTQNYVEITDVLDDSFTMSPFPNTKSSPEHCPVTVFASGHQKMGSSPSVMLDPESPKMAYIVNGDSEAMGPCNPFAGSKPDGKSDTIPLLGDGGESISMANGVDEMTLNIPATLVNKRIRIGESCSDAEIDYRRVRSLKEANRILAWQSSLP >DRNTG_24351.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:403531:407301:-1 gene:DRNTG_24351 transcript:DRNTG_24351.16 gene_biotype:protein_coding transcript_biotype:protein_coding MFATGPYAHETQLVSPPVFSTYTTEPSTAPLTPPPELAHLTTPSSPDVPYARFLSSSMDLKGSGKVNGVPYMSSSYAAGGDLQATYPLYPGSPSSSLISPASGTPRTGVSSPFPEQEISTQWGSSFSGRTSPYSRNSSSKLFGINSGTSRNFMLSPDSSFFCPATSAQFYLDQAQQSLPPYTHCGGRLSVSKEADMYSSGGNRHNKTCKQDVEEIEAYRASFGFSADEIITTQNYVEITDVLDDSFTMSPFPNTKSSPEHCPVTVFASGHQKMGSSPSVMLDPESPKMAYIVNGDSEAMGPCNPFAGSKPDGKSDTIPLLGDGGESISMANGVDEMTLNIPATLVNKRIRIGESCSDAEIDYRRVRSLKEANRILAWQSSLP >DRNTG_24351.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:403497:407301:-1 gene:DRNTG_24351 transcript:DRNTG_24351.14 gene_biotype:protein_coding transcript_biotype:protein_coding MFATGPYAHETQLVSPPVFSTYTTEPSTAPLTPPPELAHLTTPSSPDVPYARFLSSSMDLKGSGKVNGVPYMSSSYAAGGDLQATYPLYPGSPSSSLISPASGTPRTGVSSPFPEQEISTQWGSSFSGRTSPYSRNSSSKLFGINSGTSRNFMLSPDSSFFCPATSAQFYLDQAQQSLPPYTHCGGRLSVSKEADMYSSGGNRHNKTCKQDVEEIEAYRASFGFSADEIITTQNYVEITDVLDDSFTMSPFPNTKSSPEHCPVTVFASGHQKMGSSPSVMLDPESPKMAYIVNGDSEAMGPCNPFAGSKPDGKSDTIPLLGDGGESISMANGVDEMTLNIPATLVNKRIRIGESCSDAEIDYRRVRSLKEANRILAWQSSLP >DRNTG_24351.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:403464:407508:-1 gene:DRNTG_24351 transcript:DRNTG_24351.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFATGPYAHETQLVSPPVFSTYTTEPSTAPLTPPPELAHLTTPSSPDVPYARFLSSSMDLKGSGKVNGVPYMSSSYAAGGDLQATYPLYPGSPSSSLISPASGTPRTGVSSPFPEQEISTQWGSSFSGRTSPYSRNSSSKLFGINSGTSRNFMLSPDSSFFCPATSAQFYLDQAQQSLPPYTHCGGRLSVSKEADMYSSGGNRHNKTCKQDVEEIEAYRASFGFSADEIITTQNYVEITDVLDDSFTMSPFPNTKSSPEHCPVTVFASGHQKMGSSPSVMLDPESPKMAYIVNGDSEAMGPCNPFAGSKPDGKSDTIPLLGDGGESISMANGVDEMTLNIPATLVNKRIRIGESCSDAEIDYRRVRSLKEANRILAWQSSLP >DRNTG_24351.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:403464:407251:-1 gene:DRNTG_24351 transcript:DRNTG_24351.15 gene_biotype:protein_coding transcript_biotype:protein_coding MFATGPYAHETQLVSPPVFSTYTTEPSTAPLTPPPELAHLTTPSSPDVPYARFLSSSMDLKGSGKVNGVPYMSSSYAAGGDLQATYPLYPGSPSSSLISPASGTPRTGVSSPFPEQEISTQWGSSFSGRTSPYSRNSSSKLFGINSGTSRNFMLSPDSSFFCPATSAQFYLDQAQQSLPPYTHCGGRLSVSKEADMYSSGGNRHNKTCKQDVEEIEAYRASFGFSADEIITTQNYVEITDVLDDSFTMSPFPNTKSSPEHCPVTVFASGHQKMGSSPSVMLDPESPKMAYIVNGDSEAMGPCNPFAGSKPDGKSDTIPLLGDGGESISMANGVDEMTLNIPATLVNKRIRIGESCSDAEIDYRRVRSLKEANRILAWQSSLP >DRNTG_24351.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:403464:407301:-1 gene:DRNTG_24351 transcript:DRNTG_24351.13 gene_biotype:protein_coding transcript_biotype:protein_coding MFATGPYAHETQLVSPPVFSTYTTEPSTAPLTPPPELAHLTTPSSPDVPYARFLSSSMDLKGSGKVNGVPYMSSSYAAGGDLQATYPLYPGSPSSSLISPASGTPRTGVSSPFPEQEISTQWGSSFSGRTSPYSRNSSSKLFGINSGTSRNFMLSPDSSFFCPATSAQFYLDQAQQSLPPYTHCGGRLSVSKEADMYSSGGNRHNKTCKQDVEEIEAYRASFGFSADEIITTQNYVEITDVLDDSFTMSPFPNTKSSPEHCPVTVFASGHQKMGSSPSVMLDPESPKMAYIVNGDSEAMGPCNPFAGSKPDGKSDTIPLLGDGGESISMANGVDEMTLNIPATLVNKRIRIGESCSDAEIDYRRVRSLKEANRILAWQSSLP >DRNTG_24351.21 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:403531:407145:-1 gene:DRNTG_24351 transcript:DRNTG_24351.21 gene_biotype:protein_coding transcript_biotype:protein_coding MFATGPYAHETQLVSPPVFSTYTTEPSTAPLTPPPELAHLTTPSSPDVPYARFLSSSMDLKGSGKVNGVPYMSSSYAAGGDLQATYPLYPGSPSSSLISPASGTPRTGVSSPFPEQEISTQWGSSFSGRTSPYSRNSSSKLFGINSGTSRNFMLSPDSSFFCPATSAQFYLDQAQQSLPPYTHCGGRLSVSKEADMYSSGGNRHNKTCKQDVEEIEAYRASFGFSADEIITTQNYVEITDVLDDSFTMSPFPNTKSSPEHCPVTVFASGHQKMGSSPSVMLDPESPKMAYIVNGDSEAMGPCNPFAGSKPDGKSDTIPLLGDGGESISMANGVDEMTLNIPATLVNKRIRIGESCSDAEIDYRRVRSLKEANRILAWQSSLP >DRNTG_24351.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:403531:407461:-1 gene:DRNTG_24351 transcript:DRNTG_24351.9 gene_biotype:protein_coding transcript_biotype:protein_coding MFATGPYAHETQLVSPPVFSTYTTEPSTAPLTPPPELAHLTTPSSPDVPYARFLSSSMDLKGSGKVNGVPYMSSSYAAGGDLQATYPLYPGSPSSSLISPASGTPRTGVSSPFPEQEISTQWGSSFSGRTSPYSRNSSSKLFGINSGTSRNFMLSPDSSFFCPATSAQFYLDQAQQSLPPYTHCGGRLSVSKEADMYSSGGNRHNKTCKQDVEEIEAYRASFGFSADEIITTQNYVEITDVLDDSFTMSPFPNTKSSPEHCPVTVFASGHQKMGSSPSVMLDPESPKMAYIVNGDSEAMGPCNPFAGSKPDGKSDTIPLLGDGGESISMANGVDEMTLNIPATLVNKRIRIGESCSDAEIDYRRVRSLKEANRILAWQSSLP >DRNTG_24351.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:403531:407375:-1 gene:DRNTG_24351 transcript:DRNTG_24351.12 gene_biotype:protein_coding transcript_biotype:protein_coding MFATGPYAHETQLVSPPVFSTYTTEPSTAPLTPPPELAHLTTPSSPDVPYARFLSSSMDLKGSGKVNGVPYMSSSYAAGGDLQATYPLYPGSPSSSLISPASGTPRTGVSSPFPEQEISTQWGSSFSGRTSPYSRNSSSKLFGINSGTSRNFMLSPDSSFFCPATSAQFYLDQAQQSLPPYTHCGGRLSVSKEADMYSSGGNRHNKTCKQDVEEIEAYRASFGFSADEIITTQNYVEITDVLDDSFTMSPFPNTKSSPEHCPVTVFASGHQKMGSSPSVMLDPESPKMAYIVNGDSEAMGPCNPFAGSKPDGKSDTIPLLGDGGESISMANGVDEMTLNIPATLVNKRIRIGESCSDAEIDYRRVRSLKEANRILAWQSSLP >DRNTG_24351.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:402861:407301:-1 gene:DRNTG_24351 transcript:DRNTG_24351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFATGPYAHETQLVSPPVFSTYTTEPSTAPLTPPPELAHLTTPSSPDVPYARFLSSSMDLKGSGKVNGVPYMSSSYAAGGDLQATYPLYPGSPSSSLISPASGTPRTGVSSPFPEQEISTQWGSSFSGRTSPYSRNSSSKLFGINSGTSRNFMLSPDSSFFCPATSAQFYLDQAQQSLPPYTHCGGRLSVSKEADMYSSGGNRHNKTCKQDVEEIEAYRASFGFSADEIITTQNYVEITDVLDDSFTMSPFPNTKSSPEHCPVTVFASGHQKMGSSPSVMLDPESPKMAYIVNGDSEAMGPCNPFAGSKPDGKSDTIPLLGDGGESISMANGVDEMTLNIPATLVNKRIRIGESCSDAEIDYRRVRSLKEANRILAWQSSLP >DRNTG_24351.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:402861:407145:-1 gene:DRNTG_24351 transcript:DRNTG_24351.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFATGPYAHETQLVSPPVFSTYTTEPSTAPLTPPPELAHLTTPSSPDVPYARFLSSSMDLKGSGKVNGVPYMSSSYAAGGDLQATYPLYPGSPSSSLISPASGTPRTGVSSPFPEQEISTQWGSSFSGRTSPYSRNSSSKLFGINSGTSRNFMLSPDSSFFCPATSAQFYLDQAQQSLPPYTHCGGRLSVSKEADMYSSGGNRHNKTCKQDVEEIEAYRASFGFSADEIITTQNYVEITDVLDDSFTMSPFPNTKSSPEHCPVTVFASGHQKMGSSPSVMLDPESPKMAYIVNGDSEAMGPCNPFAGSKPDGKSDTIPLLGDGGESISMANGVDEMTLNIPATLVNKRIRIGESCSDAEIDYRRVRSLKEANRILAWQSSLP >DRNTG_24351.20 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:403497:407145:-1 gene:DRNTG_24351 transcript:DRNTG_24351.20 gene_biotype:protein_coding transcript_biotype:protein_coding MFATGPYAHETQLVSPPVFSTYTTEPSTAPLTPPPELAHLTTPSSPDVPYARFLSSSMDLKGSGKVNGVPYMSSSYAAGGDLQATYPLYPGSPSSSLISPASGTPRTGVSSPFPEQEISTQWGSSFSGRTSPYSRNSSSKLFGINSGTSRNFMLSPDSSFFCPATSAQFYLDQAQQSLPPYTHCGGRLSVSKEADMYSSGGNRHNKTCKQDVEEIEAYRASFGFSADEIITTQNYVEITDVLDDSFTMSPFPNTKSSPEHCPVTVFASGHQKMGSSPSVMLDPESPKMAYIVNGDSEAMGPCNPFAGSKPDGKSDTIPLLGDGGESISMANGVDEMTLNIPATLVNKRIRIGESCSDAEIDYRRVRSLKEANRILAWQSSLP >DRNTG_24351.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:403497:407251:-1 gene:DRNTG_24351 transcript:DRNTG_24351.17 gene_biotype:protein_coding transcript_biotype:protein_coding MFATGPYAHETQLVSPPVFSTYTTEPSTAPLTPPPELAHLTTPSSPDVPYARFLSSSMDLKGSGKVNGVPYMSSSYAAGGDLQATYPLYPGSPSSSLISPASGTPRTGVSSPFPEQEISTQWGSSFSGRTSPYSRNSSSKLFGINSGTSRNFMLSPDSSFFCPATSAQFYLDQAQQSLPPYTHCGGRLSVSKEADMYSSGGNRHNKTCKQDVEEIEAYRASFGFSADEIITTQNYVEITDVLDDSFTMSPFPNTKSSPEHCPVTVFASGHQKMGSSPSVMLDPESPKMAYIVNGDSEAMGPCNPFAGSKPDGKSDTIPLLGDGGESISMANGVDEMTLNIPATLVNKRIRIGESCSDAEIDYRRVRSLKEANRILAWQSSLP >DRNTG_24351.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:403497:407508:-1 gene:DRNTG_24351 transcript:DRNTG_24351.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFATGPYAHETQLVSPPVFSTYTTEPSTAPLTPPPELAHLTTPSSPDVPYARFLSSSMDLKGSGKVNGVPYMSSSYAAGGDLQATYPLYPGSPSSSLISPASGTPRTGVSSPFPEQEISTQWGSSFSGRTSPYSRNSSSKLFGINSGTSRNFMLSPDSSFFCPATSAQFYLDQAQQSLPPYTHCGGRLSVSKEADMYSSGGNRHNKTCKQDVEEIEAYRASFGFSADEIITTQNYVEITDVLDDSFTMSPFPNTKSSPEHCPVTVFASGHQKMGSSPSVMLDPESPKMAYIVNGDSEAMGPCNPFAGSKPDGKSDTIPLLGDGGESISMANGVDEMTLNIPATLVNKRIRIGESCSDAEIDYRRVRSLKEANRILAWQSSLP >DRNTG_24351.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:403464:407145:-1 gene:DRNTG_24351 transcript:DRNTG_24351.19 gene_biotype:protein_coding transcript_biotype:protein_coding MFATGPYAHETQLVSPPVFSTYTTEPSTAPLTPPPELAHLTTPSSPDVPYARFLSSSMDLKGSGKVNGVPYMSSSYAAGGDLQATYPLYPGSPSSSLISPASGTPRTGVSSPFPEQEISTQWGSSFSGRTSPYSRNSSSKLFGINSGTSRNFMLSPDSSFFCPATSAQFYLDQAQQSLPPYTHCGGRLSVSKEADMYSSGGNRHNKTCKQDVEEIEAYRASFGFSADEIITTQNYVEITDVLDDSFTMSPFPNTKSSPEHCPVTVFASGHQKMGSSPSVMLDPESPKMAYIVNGDSEAMGPCNPFAGSKPDGKSDTIPLLGDGGESISMANGVDEMTLNIPATLVNKRIRIGESCSDAEIDYRRVRSLKEANRILAWQSSLP >DRNTG_24351.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:403464:407375:-1 gene:DRNTG_24351 transcript:DRNTG_24351.10 gene_biotype:protein_coding transcript_biotype:protein_coding MFATGPYAHETQLVSPPVFSTYTTEPSTAPLTPPPELAHLTTPSSPDVPYARFLSSSMDLKGSGKVNGVPYMSSSYAAGGDLQATYPLYPGSPSSSLISPASGTPRTGVSSPFPEQEISTQWGSSFSGRTSPYSRNSSSKLFGINSGTSRNFMLSPDSSFFCPATSAQFYLDQAQQSLPPYTHCGGRLSVSKEADMYSSGGNRHNKTCKQDVEEIEAYRASFGFSADEIITTQNYVEITDVLDDSFTMSPFPNTKSSPEHCPVTVFASGHQKMGSSPSVMLDPESPKMAYIVNGDSEAMGPCNPFAGSKPDGKSDTIPLLGDGGESISMANGVDEMTLNIPATLVNKRIRIGESCSDAEIDYRRVRSLKEANRILAWQSSLP >DRNTG_24351.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:403531:407251:-1 gene:DRNTG_24351 transcript:DRNTG_24351.18 gene_biotype:protein_coding transcript_biotype:protein_coding MFATGPYAHETQLVSPPVFSTYTTEPSTAPLTPPPELAHLTTPSSPDVPYARFLSSSMDLKGSGKVNGVPYMSSSYAAGGDLQATYPLYPGSPSSSLISPASGTPRTGVSSPFPEQEISTQWGSSFSGRTSPYSRNSSSKLFGINSGTSRNFMLSPDSSFFCPATSAQFYLDQAQQSLPPYTHCGGRLSVSKEADMYSSGGNRHNKTCKQDVEEIEAYRASFGFSADEIITTQNYVEITDVLDDSFTMSPFPNTKSSPEHCPVTVFASGHQKMGSSPSVMLDPESPKMAYIVNGDSEAMGPCNPFAGSKPDGKSDTIPLLGDGGESISMANGVDEMTLNIPATLVNKRIRIGESCSDAEIDYRRVRSLKEANRILAWQSSLP >DRNTG_24351.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:402861:407251:-1 gene:DRNTG_24351 transcript:DRNTG_24351.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFATGPYAHETQLVSPPVFSTYTTEPSTAPLTPPPELAHLTTPSSPDVPYARFLSSSMDLKGSGKVNGVPYMSSSYAAGGDLQATYPLYPGSPSSSLISPASGTPRTGVSSPFPEQEISTQWGSSFSGRTSPYSRNSSSKLFGINSGTSRNFMLSPDSSFFCPATSAQFYLDQAQQSLPPYTHCGGRLSVSKEADMYSSGGNRHNKTCKQDVEEIEAYRASFGFSADEIITTQNYVEITDVLDDSFTMSPFPNTKSSPEHCPVTVFASGHQKMGSSPSVMLDPESPKMAYIVNGDSEAMGPCNPFAGSKPDGKSDTIPLLGDGGESISMANGVDEMTLNIPATLVNKRIRIGESCSDAEIDYRRVRSLKEANRILAWQSSLP >DRNTG_24351.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:403464:407461:-1 gene:DRNTG_24351 transcript:DRNTG_24351.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFATGPYAHETQLVSPPVFSTYTTEPSTAPLTPPPELAHLTTPSSPDVPYARFLSSSMDLKGSGKVNGVPYMSSSYAAGGDLQATYPLYPGSPSSSLISPASGTPRTGVSSPFPEQEISTQWGSSFSGRTSPYSRNSSSKLFGINSGTSRNFMLSPDSSFFCPATSAQFYLDQAQQSLPPYTHCGGRLSVSKEADMYSSGGNRHNKTCKQDVEEIEAYRASFGFSADEIITTQNYVEITDVLDDSFTMSPFPNTKSSPEHCPVTVFASGHQKMGSSPSVMLDPESPKMAYIVNGDSEAMGPCNPFAGSKPDGKSDTIPLLGDGGESISMANGVDEMTLNIPATLVNKRIRIGESCSDAEIDYRRVRSLKEANRILAWQSSLP >DRNTG_24351.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:403497:407461:-1 gene:DRNTG_24351 transcript:DRNTG_24351.8 gene_biotype:protein_coding transcript_biotype:protein_coding MFATGPYAHETQLVSPPVFSTYTTEPSTAPLTPPPELAHLTTPSSPDVPYARFLSSSMDLKGSGKVNGVPYMSSSYAAGGDLQATYPLYPGSPSSSLISPASGTPRTGVSSPFPEQEISTQWGSSFSGRTSPYSRNSSSKLFGINSGTSRNFMLSPDSSFFCPATSAQFYLDQAQQSLPPYTHCGGRLSVSKEADMYSSGGNRHNKTCKQDVEEIEAYRASFGFSADEIITTQNYVEITDVLDDSFTMSPFPNTKSSPEHCPVTVFASGHQKMGSSPSVMLDPESPKMAYIVNGDSEAMGPCNPFAGSKPDGKSDTIPLLGDGGESISMANGVDEMTLNIPATLVNKRIRIGESCSDAEIDYRRVRSLKEANRILAWQSSLP >DRNTG_12416.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12312642:12313045:-1 gene:DRNTG_12416 transcript:DRNTG_12416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLSTPVWKFRTGTWSIHARVVTRFKPYLKPIQPRFCSEIVHRLEESYWESFRRHLSGEVYLRPDKGSLATSRGLSTRQLIRPSRGFLYGFIAFTFDFFDCS >DRNTG_06380.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16670342:16674647:1 gene:DRNTG_06380 transcript:DRNTG_06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSRRSGACVRCCLVLCAVASALCVSAPALYWRFNKTLASSKSSCPPCVCDCPPPLSLHNIAPGLVNLSVSDCGKHDPELNQEMEKQFVDLVTEELKLQEAVAEEHSHHMNATLVEAKRLASQYQIEAEKCNVATETCEGARERSEALLTKEKKITALWERRARQLGWQGV >DRNTG_25883.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9096957:9099550:-1 gene:DRNTG_25883 transcript:DRNTG_25883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKHTFIRTSYNAWENNEKNPHEPSYRKFPVGQGNRQ >DRNTG_13837.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16846399:16849034:-1 gene:DRNTG_13837 transcript:DRNTG_13837.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L34, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G29070) UniProtKB/Swiss-Prot;Acc:Q9LP37] MALSLVSSPTASLTLLGGARAITPNSISLPRIPMRSPPPFRSSFFSSTSASLSFTSSFSGLSLGIDLGFNKVVIEKRRGFQVRAGKPALCQTKRSRSRKSLARTHGFRRRMRTPGGRAILQRRRAKGRKVLCTKSYPNSGKRA >DRNTG_22681.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:13728711:13729574:1 gene:DRNTG_22681 transcript:DRNTG_22681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRRELSCCATPPPINADKSSPNNLFQSGVWYRIRRTTPKACQKPLKILADDLPKDKEKFNRRWKRISHNRAESWLK >DRNTG_30757.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28681539:28684743:-1 gene:DRNTG_30757 transcript:DRNTG_30757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDHRPVIAVLLVLALHQPCLHFVSAVGVNWGTFSSHPLPPSKVVQSLLRTNNIGRVKLSDANSDVLESLSGTGIGVVVGIPNEMLKSLSSSKKAAQSWVHDNITRYIPATGGGVRIEYIAVGDEPFLLSYGQQFQSFVVGAATNVHLALTAAKLSSKMKIIVPCSSDVYQSDSNFPSEGHFRPDLNKTMTDLLSFLDKNGSPFVVDINPFLSLQKNKNLSMDFVLFQTKAHAVKDGHLKYKNSFDANMDTLISSLSKAGFGDMDVIVGRIGWPTDGALNATPSVAQTFMKGLIDHLQSNAGTPLRPKKPPAETYLFSLLDEDQRSITSGNFERHWGIFTFDGQAKYNVDLGQGSKNLVNARNVDYLASKWCVVNNNKDLSNVSASFSNACSHGDCTALSPGGSCFGISWPGNVSYAFNNYYQQHDQSGDSCDFGGLGLITTVDPSVGECRFQVAIRSSFSSLQRVLVIWWSVALSACVSIYLLGFSWC >DRNTG_30757.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28681539:28684691:-1 gene:DRNTG_30757 transcript:DRNTG_30757.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDHRPVIAVLLVLALHQPCLHFVSAVGVNWGTFSSHPLPPSKVVQSLLRTNNIGRVKLSDANSDVLESLSGTGIGVVVGIPNEMLKSLSSSKKAAQSWVHDNITRYIPATGGGVRIEYIAVGDEPFLLSYGQQFQSFVVGAATNVHLALTAAKLSSKMKIIVPCSSDVYQSDSNFPSEGHFRPDLNKTMTDLLSFLDKNGSPFVVDINPFLSLQKNKNLSMDFVLFQTKAHAVKDGHLKYKNSFDANMDTLISSLSKAGFGDMDVIVGRIGWPTDGALNATPSVAQTFMKGLIDHLQSNAGTPLRPKKPPAETYLFSLLDEDQRSITSGNFERHWGIFTFDGQAKYNVDLGQGSKNLVNARNVDYLASKWCVVNNNKDLSNVSASFSNACSHGDCTALSPGGSCFGISWPGNVSYAFNNYYQQHDQSGDSCDFGGLGLITTVDPSVGECRFQVAIRSSFSSLQRVLVIWWSVALSACVSIYLLGFSWC >DRNTG_30757.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28681591:28684691:-1 gene:DRNTG_30757 transcript:DRNTG_30757.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDHRPVIAVLLVLALHQPCLHFVSAVGVNWGTFSSHPLPPSKVVQSLLRTNNIGRVKLSDANSDVLESLSGTGIGVVVGIPNEMLKSLSSSKKAAQSWVHDNITRYIPATGGGVRIEYIAVGDEPFLLSYGQQFQSFVVGAATNVHLALTAAKLSSKMKIIVPCSSDVYQSDSNFPSEGHFRPDLNKTMTDLLSFLDKNGSPFVVDINPFLSLQKNKNLSMDFVLFQTKAHAVKDGHLKYKNSFDANMDTLISSLSKAGFGDMDVIVGRIGWPTDGALNATPSVAQTFMKGLIDHLQSNAGTPLRPKKPPAETYLFSLLDEDQRSITSGNFERHWGIFTFDGQAKYNVDLGQGSKNLVNARNVDYLASKWCVVNNNKDLSNVSASFSNACSHGDCTALSPGGSCFGISWPGNVSYAFNNYYQQHDQSGDSCDFGGLGLITTVDPSVGECRFQVAIRSSFSSLQRVLVIWWSVALSACVSIYLLGFSWC >DRNTG_18211.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1125419:1125744:1 gene:DRNTG_18211 transcript:DRNTG_18211.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGKPRKNRSIQEPPTPSVEIELDGRDRMRLPIDQ >DRNTG_32868.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1118108:1128004:-1 gene:DRNTG_32868 transcript:DRNTG_32868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRAKEELTMDGHIQSGISFEDFGRLMQVPDDERIPPEPKPYIPGELTVDGHIQSCISFEDFERLMQVADDERIPPEPKPYIPGEKQITQLVFLLLHEHLLKWLSDKRKREQFVIRGTSFTEVFWNNVFRKNTGPELIDRRQHWTDDFVQWSSRGTYLTTVHAEGAAVWGGATSFRRMIDYAHPQVKLIDFSPGEKYLVTYSTDEPNNTRHTQRVVLKIFDVRTAKVMREFEGNVDEFTIGGSERVSGVSWPVFRWGGGDKFFASISKNLISVYDTETFSLIDEKSLRVECVADFSWSPTDFIISLFVPELRGEAQPARIRLVQFPSKETIRERSLFCVSDCQMFWQSKGAYLAVKVDHYTKGKKSTYMRLQLFRIKERDIPVEVLNFGHIVADFAWEPKGHRFVVVLQGDSLLPDVVVYSMLSVDRTACISKLVTLESRQVSGIYWAPKGRYMLLAGLQGFNGQLEFFDSKELRTLQTAEHLMANSVQWDFTGRYVATASTTSAHIWSLMGVLLYRIPTDHLYQAWEGVPGLARNPRRPELVFHSWYRNGIVDPGFYSGIMHCYTFWHWLCGKIMVISVM >DRNTG_07968.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000353.1:8090:20342:1 gene:DRNTG_07968 transcript:DRNTG_07968.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAQNYLVPEPSRPWWHVLQISAMVRGSSSSSLSPASSSPLPPPSRWKPQLFSSSPSLLSWSRSPPRAPAPSSNLKLGLVLHQECFLGQLQCLRQCYHG >DRNTG_07968.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000353.1:7996:20342:1 gene:DRNTG_07968 transcript:DRNTG_07968.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAQNYLVPEPSRPWWHVLQISAMVRGSSSSSLSPASSSPLPPPSRWKPQLFSSSPSLLSWSRSPPRAPAPSSNLKLGLVLHQECFLGQLQCLRQCYHG >DRNTG_07968.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000353.1:8090:20342:1 gene:DRNTG_07968 transcript:DRNTG_07968.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAQNYLVPEPSRPWWHVLQISAMVRGSSSSSLSPASSSPLPPPSRWKPQLFSSSPSLLSWSRSPPRAPAPSSNLKLGLVLHQECFLGQLQCLRQCYHG >DRNTG_07968.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000353.1:7996:20342:1 gene:DRNTG_07968 transcript:DRNTG_07968.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFSVIAILFFLFSHFSLLLGCALPKWMSSGYNDRPLVPFAGILSLGIGDTMASMVGHKYGVLRWSKTGKKTIEGTVAGIASVLVACFILLHLASAGYILSQVLSPSHLFS >DRNTG_07968.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000353.1:7996:20342:1 gene:DRNTG_07968 transcript:DRNTG_07968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAQNYLVPEPSRPWWHVLQISAMVRGSSSSSLSPASSSPLPPPSRWKPQLFSSSPSLLSWSRSPPRAPAPSSNLKLGLVLHQECFLGQLQCLRQCYHG >DRNTG_03482.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:842536:843640:1 gene:DRNTG_03482 transcript:DRNTG_03482.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRVRPVLLKYWGGKDEDMKIYGPLPNRVAKKMSYAQHMKSSKFCICPMGFEVNSPRIVEAIYYECVPVIIADHFVPPFDEVLDWSAFSVIVAENDIPNLKDILLGIPLRKYISMQTNVKRLQKHFMWHARPVKYDLFHMILHSIWFNRLNQIEAPPVDV >DRNTG_03482.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:840796:843640:1 gene:DRNTG_03482 transcript:DRNTG_03482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRLRGCSGGGWRVLTLAMAAVATAIVAVLRLVLSAPLPITSLSDSSLSPVPGAVPNVSLALGLAPRPISSDPPLNFAFATPVASPPPPVLVHLPSGDVNSGSAYSVVKVDSSDQKPRLVEPKEPVQLLTKDRELIYAKREIGRAPLVSDDPELYAPLFRNLSVFKRSYELMEKILKVYIYQEGTRPIFHQPDLKGIYASEGWFMKLMEVNKHYAVKDPRKAHLFYLPYGSRQLELALYVPDSHRMKPLSLFLKNYVNMIAAKYPFWNRTKGSDHFLVACHDWGPYTTKEHNELWKNTIKALCNADVSEDVFIRGKDVSLPETNIRNPRRPLRDIGGNPVSQRSILAFFAGNMHGRVRPVLLKYWGGKDEDMKIYGPLPNRVAKKMSYAQHMKSSKFCICPMGFEVNSPRIVEAIYYECVPVIIADHFVPPFDEVLDWSAFSVIVAENDIPNLKDILLGIPLRKYISMQTNVKRLQKHFMWHARPVKYDLFHMILHSIWFNRLNQIEAPPVDV >DRNTG_03482.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:840796:841580:1 gene:DRNTG_03482 transcript:DRNTG_03482.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRWLEGPHPRHGSGGYCHSSRSSPGTLCSAPNYLPFRLQLIPRSWCRSQCLLSPRSCSSSDFL >DRNTG_19456.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000998.1:326669:333119:1 gene:DRNTG_19456 transcript:DRNTG_19456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAQEIPALEPGGGRWDDGPGGAITNTPIRKAEKVDRKKCSEGTSSLMTIPQLIVVWAGVIQGFEDDFVGVEGLAKGSAHLLVCKSKDFVLSSEPAEKGGVFLVTVHFPSDYPFKPSKLSIISLLHGTWSHCSDKVHIFSKQDFHPKINSNGSICLDILEEQQTPTLTLLKVLFLSLIIKALNYFSNLQVLLSICSLVMDMKPDDPLVPGIARIYNTDRTEYS >DRNTG_15331.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7869326:7870006:-1 gene:DRNTG_15331 transcript:DRNTG_15331.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGASSPKSPPQSPPSPSCLTPPAHHPPMSPP >DRNTG_17229.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2659996:2661369:-1 gene:DRNTG_17229 transcript:DRNTG_17229.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLFSNSLIFAGGTALAVFLFWSMYSFLLPNPTSPTTFPTTTTTTTTSSNSNSKITNWDTHRKTWLLSNPSLSKPLLMLTGSQPTPCRNPIGDHLLLKFYKNKADYCRLHNIDLFYNTALFHPLMPKFWAKLPLLRSAMLAHPEADWLWWVDSDAAITDMDFSLPLHKYQNHNLVVHGWPHLVYEKRSWVSLNAGVFLIRNCQWSLDFMDVWASMGPQTPHYESWGKTLKADLSDKLFDESDDQSALVYLLLHEKQKWGDKIYLENEFYFEGYWLEIVGKLNKIEEKYLEIEKRSPELQERKAEKVAVMENAAVREKYLQEMKMRFGKEGWRRPFITHFTGCQPCSGDHNKMYSGENCWEGMMRALNFADDQVLRNYGFRHEKVVGNESASAAVVRPLPFGFPATG >DRNTG_17229.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2659715:2661574:-1 gene:DRNTG_17229 transcript:DRNTG_17229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLFSNSLIFAGGTALAVFLFWSMYSFLLPNPTSPTTFPTTTTTTTTSSNSNSKITNWDTHRKTWLLSNPSLSKPLLMLTGSQPTPCRNPIGDHLLLKFYKNKADYCRLHNIDLFYNTALFHPLMPKFWAKLPLLRSAMLAHPEADWLWWVDSDAAITDMDFSLPLHKYQNHNLVVHGWPHLVYEKRSWVSLNAGVFLIRNCQWSLDFMDVWASMGPQTPHYESWGKTLKADLSDKLFDESDDQSALVYLLLHEKQKWGDKIYLENEFYFEGYWLEIVGKLNKIEEKYLEIEKRSPELQERKAEKVAVMENAAVREKYLQEMKMRFGKEGWRRPFITHFTGCQPCSGDHNKMYSGENCWEGMMRALNFADDQVLRNYGFRHEKVVGNESASAAVVRPLPFGFPATG >DRNTG_17229.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2659715:2661369:-1 gene:DRNTG_17229 transcript:DRNTG_17229.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLFSNSLIFAGGTALAVFLFWSMYSFLLPNPTSPTTFPTTTTTTTTSSNSNSKITNWDTHRKTWLLSNPSLSKPLLMLTGSQPTPCRNPIGDHLLLKFYKNKADYCRLHNIDLFYNTALFHPLMPKFWAKLPLLRSAMLAHPEADWLWWVDSDAAITDMDFSLPLHKYQNHNLVVHGWPHLVYEKRSWVSLNAGVFLIRNCQWSLDFMDVWASMGPQTPHYESWGKTLKADLSDKLFDESDDQSALVYLLLHEKQKWGDKIYLENEFYFEGYWLEIVGKLNKIEEKYLEIEKRSPELQERKAEKVAVMENAAVREKYLQEMKMRFGKEGWRRPFITHFTGCQPCSGDHNKMYSGENCWEGMMRALNFADDQVLRNYGFRHEKVVGNESASAAVVRPLPFGFPATG >DRNTG_17229.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2659715:2661218:-1 gene:DRNTG_17229 transcript:DRNTG_17229.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGSQPTPCRNPIGDHLLLKFYKNKADYCRLHNIDLFYNTALFHPLMPKFWAKLPLLRSAMLAHPEADWLWWVDSDAAITDMDFSLPLHKYQNHNLVVHGWPHLVYEKRSWVSLNAGVFLIRNCQWSLDFMDVWASMGPQTPHYESWGKTLKADLSDKLFDESDDQSALVYLLLHEKQKWGDKIYLENEFYFEGYWLEIVGKLNKIEEKYLEIEKRSPELQERKAEKVAVMENAAVREKYLQEMKMRFGKEGWRRPFITHFTGCQPCSGDHNKMYSGENCWEGMMRALNFADDQVLRNYGFRHEKVVGNESASAAVVRPLPFGFPATG >DRNTG_17229.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2659996:2661218:-1 gene:DRNTG_17229 transcript:DRNTG_17229.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGSQPTPCRNPIGDHLLLKFYKNKADYCRLHNIDLFYNTALFHPLMPKFWAKLPLLRSAMLAHPEADWLWWVDSDAAITDMDFSLPLHKYQNHNLVVHGWPHLVYEKRSWVSLNAGVFLIRNCQWSLDFMDVWASMGPQTPHYESWGKTLKADLSDKLFDESDDQSALVYLLLHEKQKWGDKIYLENEFYFEGYWLEIVGKLNKIEEKYLEIEKRSPELQERKAEKVAVMENAAVREKYLQEMKMRFGKEGWRRPFITHFTGCQPCSGDHNKMYSGENCWEGMMRALNFADDQVLRNYGFRHEKVVGNESASAAVVRPLPFGFPATG >DRNTG_17229.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2659996:2661574:-1 gene:DRNTG_17229 transcript:DRNTG_17229.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLFSNSLIFAGGTALAVFLFWSMYSFLLPNPTSPTTFPTTTTTTTTSSNSNSKITNWDTHRKTWLLSNPSLSKPLLMLTGSQPTPCRNPIGDHLLLKFYKNKADYCRLHNIDLFYNTALFHPLMPKFWAKLPLLRSAMLAHPEADWLWWVDSDAAITDMDFSLPLHKYQNHNLVVHGWPHLVYEKRSWVSLNAGVFLIRNCQWSLDFMDVWASMGPQTPHYESWGKTLKADLSDKLFDESDDQSALVYLLLHEKQKWGDKIYLENEFYFEGYWLEIVGKLNKIEEKYLEIEKRSPELQERKAEKVAVMENAAVREKYLQEMKMRFGKEGWRRPFITHFTGCQPCSGDHNKMYSGENCWEGMMRALNFADDQVLRNYGFRHEKVVGNESASAAVVRPLPFGFPATG >DRNTG_24081.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26394274:26395579:1 gene:DRNTG_24081 transcript:DRNTG_24081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLLITTNSFTFSFLLKACANLSSLRQGSQLHGLVVKRGFESNVYVHTSLLDMYVCCRALVDARKVFDEMPERNAVTWNVIITGMARHGEISMAWALFQQMPERNAIAWTGMIDGYTRARRPVEALSLLVQMLAEGVSPTEVTILAVVPAISTVGALGFGESMHAFCDKNGIGLLDVRVENSFIDMYAKCGSIDSSMKVFERMSWRRNLVSWTSVISAFAMHGMASEAVGLLKEMKQDNVRPNRVTFLSVLNACSHGGLVEEGMRFFSSMVYEYGIEPEIKHFGCMIDMLGRAGRLWEAEKLIGDLPGEVNVIVWRTLLGCCSKHGDVEMGERVMRRILEMEKGYGGDYVILSNMLSEAGRFGDAEGIRRLMDERHALKVPGLSLV >DRNTG_03728.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2217741:2218313:-1 gene:DRNTG_03728 transcript:DRNTG_03728.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNIGERFLKYEVPSIISRDSFSWLRDNEFARQTLAGVNPVDIERLR >DRNTG_03728.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2224398:2225158:-1 gene:DRNTG_03728 transcript:DRNTG_03728.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAKPPISTPPPLPLPSFPPLSSSPIASQPEHSSPCWPAAVAPLLAALSGL >DRNTG_03728.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2216837:2225158:-1 gene:DRNTG_03728 transcript:DRNTG_03728.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKLVEKIEDQWESFVNGIGCGIVVQLVSEEIDPDPTAEGRVEKPHPIYVPRDEAFEEVKQTTFSSGALRALLHNLIPALKAVLSKTDKQFECFSDIDQLYKVGVLLQRDEPKATTKLLLPSILSSIMNIGERFLKYEVPSIISRDSFSWLRDNEFARQTLAGVNPVDIERLREFPIISKLDPAVYGPPESAITKDCIEHDLNGMSLEEAMENDRLFILDYHDLLLPFVKKINSLKGRKVYASRTIFFCTRFGSLRPIAIELSLPPTASNPPKKRVYTRGHDATSHWIWKLAKAYVCSVDVGVHQLVNHWLRTHACMEPYIIAAQSSLVSCTQFSSCCIHICDRQRKSTHWHDKV >DRNTG_06603.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:574859:578881:-1 gene:DRNTG_06603 transcript:DRNTG_06603.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFYFIYLFFVNQKEVKERCASEEDEGILKNPHFESGLNHWSGRGCKLVLHDSLEHRQVLPLHGNFFVSATHRSQTWNGIQQDITGKVKRKVAYEVTAVVRIYGAANADVRATLWVQTPNGSEQYIGIAKLQASNKEWVQLRGKFLLNGVASKAIIYLEGPPPGVDVLVDSLVLKCAKKALPSNPPNIEGSRVQAIGLLRNINKNIITNYDFSEGLRSWTLNCCDGYAVSGEFNLFEGIPAKTGGNYAVIRNRTQSWQGLEQDITKKVSSGSTYTVLANVRVWGHHQEPAIVLATLKLKNSDSSISYMQVGRALVSKERWETLEGSFTLKNIPKQVVFFLEGPSPGVDLLIDSMEVSCNNDMNRHQQNVMYGVNIIENSTFNDGLKKWVPLGSCTLSIHTGSPRLLPPLASESLDDQQRLSGLYVCATNRSETWMGPSQTITDKLNLHLTYQVAAWVRVSSAVSGPQNINVAFNVDNSWVNGGQVEVEDNEWHEIAGSFRVEKQPSKVVIYLQGPSPGVDLMLAGLHVFPVDRKARFAHLKEQTDKVRKRDIILKFTESDAISLPGSAVIIRQVENSFPFGSCMNRSNIDNEEFVDFFVKNFNWAVFGNELKWYHTESQQGKFNYHDADEMLEFCEKHGINVRGHCIFWEVENTVQPWVRSLDRNNLMIAVQNRLKGLLSRYKGRFKHYDVNNEMLHGSFYQDRLGKDIRAYMFRESHQLDPTATLFVNDYNVEDGRDSKSTPEMYIQQILDLQERGAAVGGIGIQGHIDHPVGPIVGAALNKLAILGLPIWFTELDVVAENEHIRADDLEIILREAYAHPAVEGIVLWGFWEFFMCRDHSHLVDAEGDVNEAGKRYLALKQEWLSHADGHIDAHGQYKFRGYQGKYTLEINTPAKKFSRSFVVDKGR >DRNTG_06603.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:574859:581407:-1 gene:DRNTG_06603 transcript:DRNTG_06603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFYFIYLFFVNQKEVKERCASEEDEGILKNPHFESGLNHWSGRGCKLVLHDSLEHRQVLPLHGNFFVSATHRSQTWNGIQQDITGKVKRKVAYEVTAVVRIYGAANADVRATLWVQTPNGSEQYIGIAKLQASNKEWVQLRGKFLLNGVASKAIIYLEGPPPGVDVLVDSLVLKCAKKALPSNPPNIEGSRVQAIGLLRNINKNIITNYDFSEGLRSWTLNCCDGYAVSGEFNLFEGIPAKTGGNYAVIRNRTQSWQGLEQDITKKVSSGSTYTVLANVRVWGHHQEPAIVLATLKLKNSDSSISYMQVGRALVSKERWETLEGSFTLKNIPKQVVFFLEGPSPGVDLLIDSMEVSCNNDMNRHQQNVMYGVNIIENSTFNDGLKKWVPLGSCTLSIHTGSPRLLPPLASESLDDQQRLSGLYVCATNRSETWMGPSQTITDKLNLHLTYQVAAWVRVSSAVSGPQNINVAFNVDNSWVNGGQVEVEDNEWHEIAGSFRVEKQPSKVVIYLQGPSPGVDLMLAGLHVFPVDRKARFAHLKEQTDKVRKRDIILKFTESDAISLPGSAVIIRQVENSFPFGSCMNRSNIDNEEFVDFFVKNFNWAVFGNELKWYHTESQQGKFNYHDADEMLEFCEKHGINVRGHCIFWEVENTVQPWVRSLDRNNLMIAVQNRLKGLLSRYKGRFKHYDVNNEMLHGSFYQDRLGKDIRAYMFRESHQLDPTATLFVNDYNVEDGRDSKSTPEMYIQQILDLQERGAAVGGIGIQGHIDHPVGPIVGAALNKLAILGLPIWFTELDVVAENEHIRADDLEIILREAYAHPAVEGIVLWGFWEFFMCRDHSHLVDAEGDVNEAGKRYLALKQEWLSHADGHIDAHGQYKFRGYQGKYTLEINTPAKKFSRSFVVDKGR >DRNTG_06603.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:574859:581226:-1 gene:DRNTG_06603 transcript:DRNTG_06603.8 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVNIIENSTFNDGLKKWVPLGSCTLSIHTGSPRLLPPLASESLDDQQRLSGLYVCATNRSETWMGPSQTITDKLNLHLTYQVAAWVRVSSAVSGPQNINVAFNVDNSWVNGGQVEVEDNEWHEIAGSFRVEKQPSKVVIYLQGPSPGVDLMLAGLHVFPVDRKARFAHLKEQTDKVRKRDIILKFTESDAISLPGSAVIIRQVENSFPFGSCMNRSNIDNEEFVDFFVKNFNWAVFGNELKWYHTESQQGKFNYHDADEMLEFCEKHGINVRGHCIFWEVENTVQPWVRSLDRNNLMIAVQNRLKGLLSRYKGRFKHYDVNNEMLHGSFYQDRLGKDIRAYMFRESHQLDPTATLFVNDYNVEDGRDSKSTPEMYIQQILDLQERGAAVGGIGIQGHIDHPVGPIVGAALNKLAILGLPIWFTELDVVAENEHIRADDLEIILREAYAHPAVEGIVLWGFWEFFMCRDHSHLVDAEGDVNEAGKRYLALKQEWLSHADGHIDAHGQYKFRGYQGKYTLEINTPAKKFSRSFVVDKGR >DRNTG_06603.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:574859:581226:-1 gene:DRNTG_06603 transcript:DRNTG_06603.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWASSLVPKGRRSSGKAHSQPEAQEASHSMAESQRNDQHTDGSELMLKAMECNTTRLEALEQRISALEKIINDRFDALLEFLNERIPESLKLSASSAPLEIASPREPDEKRLTQESKSKFHDMIGEQPTSHANNIISNHDFSQGLDTWHPNSCHAYVASRDSGLLKGITANSGGCYAVVTRRTQIWQGLEQDITRKVSVCSTYTVSAFVRVCGNLQQPTSVQATLKLEHTDSPTRYLSVGSASVSEECWVKLEGSFSLPNLPKRVVFYLEGPPPGVDLLIDSVIVSSSSITQIEEVKERCASEEDEGILKNPHFESGLNHWSGRGCKLVLHDSLEHRQVLPLHGNFFVSATHRSQTWNGIQQDITGKVKRKVAYEVTAVVRIYGAANADVRATLWVQTPNGSEQYIGIAKLQASNKEWVQLRGKFLLNGVASKAIIYLEGPPPGVDVLVDSLVLKCAKKALPSNPPNIEGSRVQAIGLLRNINKNIITNYDFSEGLRSWTLNCCDGYAVSGEFNLFEGIPAKTGGNYAVIRNRTQSWQGLEQDITKKVSSGSTYTVLANVRVWGHHQEPAIVLATLKLKNSDSSISYMQVGRALVSKERWETLEGSFTLKNIPKQVVFFLEGPSPGVDLLIDSMEVSCNNDMNRHQNVMYGVNIIENSTFNDGLKKWVPLGSCTLSIHTGSPRLLPPLASESLDDQQRLSGLYVCATNRSETWMGPSQTITDKLNLHLTYQVAAWVRVSSAVSGPQNINVAFNVDNSWVNGGQVEVEDNEWHEIAGSFRVEKQPSKVVIYLQGPSPGVDLMLAGLHVFPVDRKARFAHLKEQTDKVRKRDIILKFTESDAISLPGSAVIIRQVENSFPFGSCMNRSNIDNEEFVDFFVKNFNWAVFGNELKWYHTESQQGKFNYHDADEMLEFCEKHGINVRGHCIFWEVENTVQPWVRSLDRNNLMIAVQNRLKGLLSRYKGRFKHYDVNNEMLHGSFYQDRLGKDIRAYMFRESHQLDPTATLFVNDYNVEDGRDSKSTPEMYIQQILDLQERGAAVGGIGIQGHIDHPVGPIVGAALNKLAILGLPIWFTELDVVAENEHIRADDLEIILREAYAHPAVEGIVLWGFWEFFMCRDHSHLVDAEGDVNEAGKRYLALKQEWLSHADGHIDAHGQYKFRGYQGKYTLEINTPAKKFSRSFVVDKGR >DRNTG_06603.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:574859:581226:-1 gene:DRNTG_06603 transcript:DRNTG_06603.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFYFIYLFFVNQKEVKERCASEEDEGILKNPHFESGLNHWSGRGCKLVLHDSLEHRQVLPLHGNFFVSATHRSQTWNGIQQDITGKVKRKVAYEVTAVVRIYGAANADVRATLWVQTPNGSEQYIGIAKLQASNKEWVQLRGKFLLNGVASKAIIYLEGPPPGVDVLVDSLVLKCAKKALPSNPPNIEGSRVQAIGLLRNINKNIITNYDFSEGLRSWTLNCCDGYAVSGEFNLFEGIPAKTGGNYAVIRNRTQSWQGLEQDITKKVSSGSTYTVLANVRVWGHHQEPAIVLATLKLKNSDSSISYMQVGRALVSKERWETLEGSFTLKNIPKQVVFFLEGPSPGVDLLIDSMEVSCNNDMNRHQQNVMYGVNIIENSTFNDGLKKWVPLGSCTLSIHTGSPRLLPPLASESLDDQQRLSGLYVCATNRSETWMGPSQTITDKLNLHLTYQVAAWVRVSSAVSGPQNINVAFNVDNSWVNGGQVEVEDNEWHEIAGSFRVEKQPSKVVIYLQGPSPGVDLMLAGLHVFPVDRKARFAHLKEQTDKVRKRDIILKFTESDAISLPGSAVIIRQVENSFPFGSCMNRSNIDNEEFVDFFVKNFNWAVFGNELKWYHTESQQGKFNYHDADEMLEFCEKHGINVRGHCIFWEVENTVQPWVRSLDRNNLMIAVQNRLKGLLSRYKGRFKHYDVNNEMLHGSFYQDRLGKDIRAYMFRESHQLDPTATLFVNDYNVEDGRDSKSTPEMYIQQILDLQERGAAVGGIGIQGHIDHPVGPIVGAALNKLAILGLPIWFTELDVVAENEHIRADDLEIILREAYAHPAVEGIVLWGFWEFFMCRDHSHLVDAEGDVNEAGKRYLALKQEWLSHADGHIDAHGQYKFRGYQGKYTLEINTPAKKFSRSFVVDKGR >DRNTG_06603.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:574859:581329:-1 gene:DRNTG_06603 transcript:DRNTG_06603.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGRALVSKERWETLEGSFTLKNIPKQVVFFLEGPSPGVDLLIDSMEVSCNNDMNRHQNVMYGVNIIENSTFNDGLKKWVPLGSCTLSIHTGSPRLLPPLASESLDDQQRLSGLYVCATNRSETWMGPSQTITDKLNLHLTYQVAAWVRVSSAVSGPQNINVAFNVDNSWVNGGQVEVEDNEWHEIAGSFRVEKQPSKVVIYLQGPSPGVDLMLAGLHVFPVDRKARFAHLKEQTDKVRKRDIILKFTESDAISLPGSAVIIRQVENSFPFGSCMNRSNIDNEEFVDFFVKNFNWAVFGNELKWYHTESQQGKFNYHDADEMLEFCEKHGINVRGHCIFWEVENTVQPWVRSLDRNNLMIAVQNRLKGLLSRYKGRFKHYDVNNEMLHGSFYQDRLGKDIRAYMFRESHQLDPTATLFVNDYNVEDGRDSKSTPEMYIQQILDLQERGAAVGGIGIQGHIDHPVGPIVGAALNKLAILGLPIWFTELDVVAENEHIRADDLEIILREAYAHPAVEGIVLWGFWEFFMCRDHSHLVDAEGDVNEAGKRYLALKQEWLSHADGHIDAHGQYKFRGYQGKYTLEINTPAKKFSRSFVVDKGR >DRNTG_06603.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:574834:581226:-1 gene:DRNTG_06603 transcript:DRNTG_06603.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVNIIENSTFNDGLKKWVPLGSCTLSIHTGSPRLLPPLASESLDDQQRLSGLYVCATNRSETWMGPSQTITDKLNLHLTYQVAAWVRVSSAVSGPQNINVAFNVDNSWVNGGQVEVEDNEWHEIAGSFRVEKQPSKVVIYLQGPSPGVDLMLAGLHVFPVDRKARFAHLKEQTDKVRKRDIILKFTESDAISLPGSAVIIRQVENSFPFGSCMNRSNIDNEEFVDFFVKNFNWAVFGNELKWYHTESQQGKFNYHDADEMLEFCEKHGINVRGHCIFWEVENTVQPWVRSLDRNNLMIAVQNRLKGLLSRYKGRFKHYDVNNEMLHGSFYQDRLGKDIRAYMFRESHQLDPTATLFVNDYNVEDGRDSKSTPEMYIQQILDLQERGAAVGGIGIQGHIDHPVGPIVGAALNKLAILGLPIWFTELDVVAENEHIRADDLEIILREAYAHPAVEGIVLWGFWEFFMCRDHSHLVDAEGDVNEAGKRYLALKQEWLSHADGHIDAHGQYKFRGYQGKYTLEINTPAKKFSRSFVVDKGR >DRNTG_06603.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:574859:577627:-1 gene:DRNTG_06603 transcript:DRNTG_06603.10 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGRALVSKERWETLEGSFTLKNIPKQVVFFLEGPSPGVDLLIDSMEVSCNNDMNRHQQNVMYGVNIIENSTFNDGLKKWVPLGSCTLSIHTGSPRLLPPLASESLDDQQRLSGLYVCATNRSETWMGPSQTITDKLNLHLTYQVAAWVRVSSAVSGPQNINVAFNVDNSWVNGGQVEVEDNEWHEIAGSFRVEKQPSKVVIYLQGPSPGVDLMLAGLHVFPVDRKARFAHLKEQTDKVRKRDIILKFTESDAISLPGSAVIIRQVENSFPFGSCMNRSNIDNEEFVDFFVKNFNWAVFGNELKWYHTESQQGKFNYHDADEMLEFCEKHGINVRGHCIFWEVENTVQPWVRSLDRNNLMIAVQNRLKGLLSRYKGRFKHYDVNNEMLHGSFYQDRLGKDIRAYMFRESHQLDPTATLFVNDYNVEDGRDSKSTPEMYIQQILDLQERGAAVGGIGIQGHIDHPVGPIVGAALNKLAILGLPIWFTELDVVAENEHIRADDLEIILREAYAHPAVEGIVLWGFWEFFMCRDHSHLVDAEGDVNEAGKRYLALKQEWLSHADGHIDAHGQYKFRGYQGKYTLEINTPAKKFSRSFVVDKGR >DRNTG_06603.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:574859:581226:-1 gene:DRNTG_06603 transcript:DRNTG_06603.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWASSLVPKGRRSSGKAHSQPEAQEASHSMAESQRNDQHTDGSEIGEQPTSHANNIISNHDFSQGLDTWHPNSCHAYVASRDSGLLKGITANSGGCYAVVTRRTQIWQGLEQDITRKVSVCSTYTVSAFVRVCGNLQQPTSVQATLKLEHTDSPTRYLSVGSASVSEECWVKLEGSFSLPNLPKRVVFYLEGPPPGVDLLIDSVIVSSSSITQIEEVKERCASEEDEGILKNPHFESGLNHWSGRGCKLVLHDSLEHRQVLPLHGNFFVSATHRSQTWNGIQQDITGKVKRKVAYEVTAVVRIYGAANADVRATLWVQTPNGSEQYIGIAKLQASNKEWVQLRGKFLLNGVASKAIIYLEGPPPGVDVLVDSLVLKCAKKALPSNPPNIEGSRVQAIGLLRNINKNIITNYDFSEGLRSWTLNCCDGYAVSGEFNLFEGIPAKTGGNYAVIRNRTQSWQGLEQDITKKVSSGSTYTVLANVRVWGHHQEPAIVLATLKLKNSDSSISYMQVGRALVSKERWETLEGSFTLKNIPKQVVFFLEGPSPGVDLLIDSMEVSCNNDMNRHQQNVMYGVNIIENSTFNDGLKKWVPLGSCTLSIHTGSPRLLPPLASESLDDQQRLSGLYVCATNRSETWMGPSQTITDKLNLHLTYQVAAWVRVSSAVSGPQNINVAFNVDNSWVNGGQVEVEDNEWHEIAGSFRVEKQPSKVVIYLQGPSPGVDLMLAGLHVFPVDRKARFAHLKEQTDKVRKRDIILKFTESDAISLPGSAVIIRQVENSFPFGSCMNRSNIDNEEFVDFFVKNFNWAVFGNELKWYHTESQQGKFNYHDADEMLEFCEKHGINVRGHCIFWEVENTVQPWVRSLDRNNLMIAVQNRLKGLLSRYKGRFKHYDVNNEMLHGSFYQDRLGKDIRAYMFRESHQLDPTATLFVNDYNVEDGRDSKSTPEMYIQQILDLQERGAAVGGIGIQGHIDHPVGPIVGAALNKLAILGLPIWFTELDVVAENEHIRADDLEIILREAYAHPAVEGIVLWGFWEFFMCRDHSHLVDAEGDVNEAGKRYLALKQEWLSHADGHIDAHGQYKFRGYQGKYTLEINTPAKKFSRSFVVDKGR >DRNTG_06603.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:574859:581226:-1 gene:DRNTG_06603 transcript:DRNTG_06603.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFYFIYLFFVNQKEVKERCASEEDEGILKNPHFESGLNHWSGRGCKLVLHDSLEHRQVLPLHGNFFVSATHRSQTWNGIQQDITGKVKRKVAYEVTAVVRIYGAANADVRATLWVQTPNGSEQYIGIAKLQASNKEWVQLRGKFLLNGVASKAIIYLEGPPPGVDVLVDSLVLKCAKKALPSNPPNIEGSRVQAIGLLRNINKNIITNYDFSEGLRSWTLNCCDGYAVSGEFNLFEGIPAKTGGNYAVIRNRTQSWQGLEQDITKKVSSGSTYTVLANVRVWGHHQEPAIVLATLKLKNSDSSISYMQVGRALVSKERWETLEGSFTLKNIPKQVVFFLEGPSPGVDLLIDSMEVSCNNDMNRHQNVMYGVNIIENSTFNDGLKKWVPLGSCTLSIHTGSPRLLPPLASESLDDQQRLSGLYVCATNRSETWMGPSQTITDKLNLHLTYQVAAWVRVSSAVSGPQNINVAFNVDNSWVNGGQVEVEDNEWHEIAGSFRVEKQPSKVVIYLQGPSPGVDLMLAGLHVFPVDRKARFAHLKEQTDKVRKRDIILKFTESDAISLPGSAVIIRQVENSFPFGSCMNRSNIDNEEFVDFFVKNFNWAVFGNELKWYHTESQQGKFNYHDADEMLEFCEKHGINVRGHCIFWEVENTVQPWVRSLDRNNLMIAVQNRLKGLLSRYKGRFKHYDVNNEMLHGSFYQDRLGKDIRAYMFRESHQLDPTATLFVNDYNVEDGRDSKSTPEMYIQQILDLQERGAAVGGIGIQGHIDHPVGPIVGAALNKLAILGLPIWFTELDVVAENEHIRADDLEIILREAYAHPAVEGIVLWGFWEFFMCRDHSHLVDAEGDVNEAGKRYLALKQEWLSHADGHIDAHGQYKFRGYQGKYTLEINTPAKKFSRSFVVDKGR >DRNTG_02178.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1405846:1409078:-1 gene:DRNTG_02178 transcript:DRNTG_02178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDVKEKLAYVALDYEQELETAKTSSSVEKTYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPSIVHRKCF >DRNTG_23069.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2377189:2379282:-1 gene:DRNTG_23069 transcript:DRNTG_23069.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g08510 [Source:Projected from Arabidopsis thaliana (AT5G08510) UniProtKB/Swiss-Prot;Acc:Q9FNN7] MAPSTIVSPFSTLSSSSFTLLPPLSHPFSPPAPPSTNLSTAELSTHCSSSFGFIFDPFVSTSLLTMYSKCGLVDSARKVFDEMPHRDVASWNSLLDGYAKSGDLVHAKELFDAMPVRNVISWTSMVAGFCQNGRYEDALQVFVKMWEDCEVRPNEVTLASVLPACANLGSLELGERIEKYARENGFVRNLFVANALVEMFAKCGDIRNAWRVFEEIGDRRNLCSWNSMIMGLAVHGSWKDALELFHEMKARRITADDITFVGVLMACTHGGLVEQGRTYFRSMEREISNLSKTRALWLHGRSFGACRMFERGL >DRNTG_23069.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2378346:2379282:-1 gene:DRNTG_23069 transcript:DRNTG_23069.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g08510 [Source:Projected from Arabidopsis thaliana (AT5G08510) UniProtKB/Swiss-Prot;Acc:Q9FNN7] MAPSTIVSPFSTLSSSSFTLLPPLSHPFSPPAPPSTNLSTAELSTHCSSSFGFIFDPFVSTSLLTMYSKCGLVDSARKVFDEMPHRDVASWNSLLDGYAKSGDLVHAKELFDAMPVRNVISWTSMVAGFCQNGRYEDALQVFVKMWEDCEVRPNEVTLASVLPACANLGSLELGERIEKYARENGFVRNLFVANALVEMFAKCGDIRNAWRVFEEIGDRRNLCSWNSMIMGLAVHGSWKDALELFHEMKVSFFL >DRNTG_06335.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20233966:20234973:-1 gene:DRNTG_06335 transcript:DRNTG_06335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRMVLGSRAHSLFLLFSLFLCLFSFVKGELRLNFYSESCPQAEDIVKEEVEKLYHKHGNTAVSWVRNLFHDCMVKSCDASLLLEDTSSTISEQNSPRSFGMRNFKYVKVIKDALEKECPNTVSCADIVALSAREGVVMLKGPYFNMRTGRRDSKESYSQDVINSIPNHNDSLSFILSRFQSIGINAEGTTAL >DRNTG_15724.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4305069:4306769:1 gene:DRNTG_15724 transcript:DRNTG_15724.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g03380, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G03380) UniProtKB/Swiss-Prot;Acc:Q9ZQ74] MESIWLYKGVGRHVVLQDNVLLSMLLKACAKLPDLRLGKIVHCDIIKFGSPDCFVINGLINLYVKCGKMNCSRRLFDGLQQRNVVSWTNIISGLIQNDSSEEGLALFNQMRQENVRPSEYTVVSLLTGASRLGALHQGKWIHGCIVKNGICMNSFVGTGLLDMYVKCGEVIDARSVFDELCDVDLVSWTAMVVGYTQKGYPIDALELFADKKWAAIVPNSVTIASALSASAQLRYLFFGKLIHALGLKLGFEEYDVMKNALVDMYAKCSEMLEAKFVFESVLKKNVVTWNAMMAGYAQNNFGYECLVLFQQMQTADCSPDAITVVSVLSASACLGALQYGCSLHVYAMKHAFLSNVYVSTALLNFYNKCGEANSARLVFNEMNEKNTVTWCAMMGGHGVHGDSADSIALFGKMLEEELHPNHVTFTNILSACGHTGMVTEGQKYFDIMSRDHGITPSMKHYACMVDMLARAGQLEDALKFIERMPIKPGVNIWGAFLHGCRIHSRLDLGKMAAQRVIELHPETADYYALVSNFYALMGMWTEADNVRTLMKSRRLNKSPGLSLVFL >DRNTG_00409.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000038.1:100980:102361:1 gene:DRNTG_00409 transcript:DRNTG_00409.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMDGKLELRETQKLEGHSDRVWSLAWNPVTGTGGVPAMLASCSGDKTVRIWQQGSSKSFDCTMPNEQRLAEELFNWPGISSSFFF >DRNTG_00409.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000038.1:100980:101533:1 gene:DRNTG_00409 transcript:DRNTG_00409.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMDGKLELRETQKLEGHSDRVWSLAWNPVTGTGGVPAMLASCSGDKTVRIWQQGSSKSFDCTV >DRNTG_00409.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000038.1:100980:102078:1 gene:DRNTG_00409 transcript:DRNTG_00409.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMDGKLELRETQKLEGHSDRVWSLAWNPVTGTGGVPAMLASCSGDKTVRIWQQGSSKSFDCTIRTQHKEGILGFFLVVFSSSSWLHILLN >DRNTG_00409.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000038.1:100980:102629:1 gene:DRNTG_00409 transcript:DRNTG_00409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMDGKLELRETQKLEGHSDRVWSLAWNPVTGTGGVPAMLASCSGDKTVRIWQQGSSKSFDCTAVLEDTHTRTVGFVCMVT >DRNTG_30058.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001541.1:10176:11391:1 gene:DRNTG_30058 transcript:DRNTG_30058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLEKHLARFVQSANTRPHGNLLSNTDTNPREHVKAITLRSGRDVEGSLPSEKPKEHAPEEMFNPDLYEGLFDQEDSNEEVMMLGSNGEETSTPGILKNVLRKMKRARRRH >DRNTG_02825.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20157520:20161990:-1 gene:DRNTG_02825 transcript:DRNTG_02825.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECA1 [Source:Projected from Arabidopsis thaliana (AT1G79050) UniProtKB/TrEMBL;Acc:A0A178WA75] MAASMVPIKAQCFTTSMNNNLRLFLSPSPLQSLPPCSLSLPLFSNKIRNPRCEFDVKGNGALSGDTDPHLIDRQKALEAAMNDINNSFGKGSVTRLGSAGGALVETFPSGCLTLDFALGGGLPKGRIVEIYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSRALGVDVENLIVCQPDNGEMALEVADRMCRSGAIDLICVDSVSALTPRAEIEEVRSFI >DRNTG_02825.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20157520:20161990:-1 gene:DRNTG_02825 transcript:DRNTG_02825.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECA1 [Source:Projected from Arabidopsis thaliana (AT1G79050) UniProtKB/TrEMBL;Acc:A0A178WA75] MAASMVPIKAQCFTTSMNNNLRLFLSPSPLQSLPPCSLSLPLFSNKIRNPRCEFDVKGNGALSGDTDPHLIDRQKALEAAMNDINNSFGKGSVTRLGSAGGALVETFPSGCLTLDFALGGGLPKGRIVEIYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSRALGVDVENLIVCQPDNGEMALEVADRMCRSGAIDLICVDSVSALTPRAEIEVSICHSLHLVYVLNSSNS >DRNTG_02825.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20157520:20161990:-1 gene:DRNTG_02825 transcript:DRNTG_02825.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECA1 [Source:Projected from Arabidopsis thaliana (AT1G79050) UniProtKB/TrEMBL;Acc:A0A178WA75] MAASMVPIKAQCFTTSMNNNLRLFLSPSPLQSLPPCSLSLPLFSNKIRNPRCEFDVKGNGALSGDTDPHLIDRQKALEAAMNDINNSFGKGSVTRLGSAGGALVETFPSGCLTLDFALGGGLPKGRIVEIYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSRALGVDVENLIVCQPDNGEMALEVADRMCRSGAIDLICVDSVSALTPRAEIEGEIGMQQIGLQARLMSQALRKMSGNASKAGCTLIFLNQIRYKIGVFYGNPEVTSGGIALKFFASVRLEIRPIGKIKSVKGDEDIGVKVRVRVQKSKVSRPYKQAEFEIIFGEGVSTLGCILDCAEMLDIVAKKGSWYSYNDHRLGQGRDKALQFLRENPLICNEVEKAVRSMMLAGVNHVSSVTLGHSPTIEEDDQILYER >DRNTG_17129.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20884963:20887277:1 gene:DRNTG_17129 transcript:DRNTG_17129.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEAFQVLRRKPVQGYDLSFLITNYHCEDMQKHKLIDFIVQFMEDIDKEISELKLSVNTRGRLVATEFLKQFI >DRNTG_17129.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20884881:20887277:1 gene:DRNTG_17129 transcript:DRNTG_17129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEAFQVLRRKPVQGYDLSFLITNYHCEDMQKHKLIDFIVQFMEDIDKEISELKLSVNTRGRLVATEFLKQFI >DRNTG_27865.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7775750:7777245:1 gene:DRNTG_27865 transcript:DRNTG_27865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSCSSHPKSQPKQMALLLLLPNKSLSLAILCLLVHHVNAGILSFSPITANCTGGNYTDNSIFSTNLNSLLSTFNSKSSSSNSTYQISGTVYGLFFCTGDLSQENCQACIQSAIKNISEKCPSSKQAIIWYDYCELRYSDTNFFGFPDTNGFSMINPYENTSSSEPMEVMSQLVKEAPSQVPVMFSYRALPPERLYALAQCSPDLTAEGCSRCLTTILANIKACCTMRKGWRYLATSCWIRYEATPFLQNLQGVYIEVTQSSCPYQDSIPNDLILNDILSDLMTNTPLKGGFYNTSEGETMNKLYGLALCRGDLAPQGDSCETCLQNARNSILEDCTNKTQAIEWYKSCFIKYSNQSFFGVVDTDGRTMCGTEQSNQIAANITTGMVQGLIRDAVNSPTFLGVGKIAINSSLESYALVQCTRDLSREGCEDCL >DRNTG_34189.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7103478:7103765:-1 gene:DRNTG_34189 transcript:DRNTG_34189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFHPSSTLKNTITILYLLLLLFLLLLSQSHGYRLRGIGFPITGAQPEAHRRNADMIGRTFNMLPRGVPIPPSGPSKRHNSAIDSINEQGFNSP >DRNTG_30815.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18421351:18421887:-1 gene:DRNTG_30815 transcript:DRNTG_30815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDDVRGCNLLFLLMGCQFGSQLLYI >DRNTG_03296.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29057252:29058820:1 gene:DRNTG_03296 transcript:DRNTG_03296.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPFQDVAFSTPIGVTSAPFKSTHGYHIILSEGRKN >DRNTG_27606.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16614672:16615827:-1 gene:DRNTG_27606 transcript:DRNTG_27606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCRSGQAFIRALAAIATLTAALLMGLTQHTVDFGVISMHASYKSSIAFEFFMYGNAIASGYSLFSLLLMAFLGNSYFMHMLDMMAMGGVMGIATGAAAIGYVGKYGNNKIGWGQVCPYLGNYCNKMMYSFGASYLGFILLFIVSLFSAIRKSN >DRNTG_30991.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18902123:18903597:-1 gene:DRNTG_30991 transcript:DRNTG_30991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKNSVFAALQTGQQKRARDNETLKLSQPGSAHILTLGASPPPKRLKSPTSKGANLVDSEVVKASSKGSDPNPDAPQENIDVAERLLVEGAQRSSLPFGLMRSLNQRLEDLNIHQKKLQLTIEDLRKHNKEITTHLQTSEAELASLRGYASKCSTLNDENKRLGDKVSSLCSTITSLEKDLASEQQATSALKDEISSLCQTIDAERQSICATAVQEYRESLNCYQRKCAVGVTFSKTGFYLARELLEKEHGRAFPS >DRNTG_01028.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18185702:18186915:-1 gene:DRNTG_01028 transcript:DRNTG_01028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLVTNNTTFIRVVVTWQEIDMHYINAAHSFTLCQLSPKLKSLQSQSSFPPSSVAVEKPSNAQMKTKGHGFREETGPRFAGRDLDSLDSNRHQKKRTTQPINSELTVTMITITLSLAASSGAVPSPLVRIVVMRSSIESFITA >DRNTG_26165.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29250080:29253701:-1 gene:DRNTG_26165 transcript:DRNTG_26165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPGTPKRTAASAGGGNLPVSPPSRRRRLAEEALELGWKVGRLTKPFEHVGVVGLFSLALFLVLLLFCWLLPNYWSFAPGSNGGDGGKSWGLGVEIKGGGGGGGGGDVGGAGEECDWFDGEWVWDEKYPLYESKDCGLLDEGFKCSEYGRPDRFYTHWRWQPKHCNLPRFNAKKMLKKLRNKRLVFVGDSIGRNQWESLLCMLSSAVPDKSSIYEVNGSPITKHKGFLVFKFKDYNCTVEYYRAPFLVVQGRSPAGAPEKVKTTLRLDIMDWTSKQWRDADILIFNTGHWWNYEKTIRGGCYFQVGNKVMFKMSVDSAYQRAIATLFDWIHREVNKNKTRVFFRSYAPVHFRGGDWKNGGSCHLETLPELGASPLSLKPWAHLLEPFKNLTSAVAPMPAVEFLNVTQMTARRKDGHLSLYYLGPNSPAPVHRQDCSHWCLPGIPDTWNELLYAQFFRRKFMIHQA >DRNTG_17204.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:322924:334138:1 gene:DRNTG_17204 transcript:DRNTG_17204.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRADSSLYPLHRSKTLHLVRHAQGIHNVAGEKDHKAYSSPKFFDAHITPLGWSQVDNLREHVNECGLAKRIELVITSPLLRTMQTAVGVFGGESYLDGMDVHVHPLMIENVGNSNRSAISSLNCPPFIAVETCRERLGVNPCDKRRNTSEYKLLFPAIDFSLMENEEDILWDADKRESNEALATRGLKFINWLWTRPEKEIAIVTHSAFLECTLQMFGNDCHPSIKNEINKHFANCELRSMVLVDTNMLAMVDTSSSNYPGQKPHGLGLPSDVAHEELPKKEDQNYTCYCWIL >DRNTG_16489.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6120305:6122347:1 gene:DRNTG_16489 transcript:DRNTG_16489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLAVVLYHLKQDEEAETLALEAVRIRETTFGKESLPVGEALDCLVSIQTRLGKDDGDMLRKLKRVLSIQEKELGFQSEETMTTLKKVVFYLNKMGKKDELFPLQRRLRLLKTKIMQKAPV >DRNTG_28381.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1152669:1153987:-1 gene:DRNTG_28381 transcript:DRNTG_28381.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLIVNNCLQPPTDTRSSTSSANSSPCCRSLNILVSRNRTLRLGR >DRNTG_24101.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4767107:4772224:-1 gene:DRNTG_24101 transcript:DRNTG_24101.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQSQRARKEGLERFTNLAAESGDGTSAANALDEQEPAVDAAAGGSQVESTAMRRIERTGRLKVTDSGASGVQVRKSPRILKEVRGAIGEVGAPFIVEVDDQGFEIAKAGDQESELGGDGGAGGGYRHHDDSAGEVDFMEVKADNQGLEIAKVGDQGLEPRGGGRDGGGHRRYDNFAARMMVAGREGLRVPAYIVLR >DRNTG_31256.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2991690:2994564:1 gene:DRNTG_31256 transcript:DRNTG_31256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANNASSSTATVEDAAVRAASKRYEGLMMVRTKAIKGKGAWYWAHLEPILVRNTDTGLAKAVKLRCLLCDALFSASNPSRTASEHLKRGTCPNFSSSPSPHPRPISSIAPPPNSRKRSPPFHVSPLALVDPSSYSSTPPPPPPPPPPPQQQLVLSGGKEDLSALEMFEASVKRLKSPKASPGPALSKPQIDTAMALLADWVHESCGAVSLSALSHPKFRSFLHQVGLPPISPRDLTGPLLDSRFDEARLDSDARLRDALFFQLASHGWKPPFPAADPVVSLTANLPNGTTLFCNTVFVHNPRVPSKYAEEILWDAVTGLCAGGLEQRCVGIIADRFKNKALRELENRKQWMINIPCQLQALRSLLKDFARELPLFHSAAANCSKLASFFNSESQVRVLFHKYQLQELDHSGLLRVPPRQSESSHDFDPIFAMFEDVMGNARPLQSVVHDENYKLACAEDSTARELSEMIRDVRLWNELEAVLSLVKVVKSMAAELETERPLLGQCLPLWDDLRSKVKQWCSKFSIDHGVADKVIEKRFKKNYHPAWSAAFILDPLYLIKDASGKYLPPFKCLTSEQEKDVDKLITRLVSPEEAHIALMELMKWRSEGLDPLYAQAVQMKQPDPMTGKMRIANPTSSRLVWETCLSEFKSLGKVAVRLIFLHCTSCSFKSNPSLLRLATTHAPPASRTAIDRIQKMIFVAAHSKLERRDFSSEEDKDAELFASEREDDVLNEAFMDV >DRNTG_35393.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1650573:1654489:1 gene:DRNTG_35393 transcript:DRNTG_35393.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCCSKDMDSKSNEYTYQRPAAAQHATKPSPSPPPPPPPPPPPSGTKNAPPSPLKPSAPNPSPPKPQIHGDTILGKPFVDVRSFYTLGKELGRGQFGVTYLCTENATGLSYACKSISKRKLTSKSDREDIKKEIQIMQHLTGQTNIVEFKGAYEDKYSVHLVMELCAGGELFDRIIAKGHYSERAAATMCRAIVNVVHICHFMGVMHRDLKPENFLLSTKDEGAMLKATDFGLSVFFEDGKVYRDMVGSAYYVAPEVLRRNYGKEIDIWSAGVILYILLSGVPPFWAESEKGIFDAILQGHIDFDSAPWPSISKSAKDLVRRMLTQDPKKRITSAQVLEHPWIREDGDAPDKPIDSAVLSRMKQFRAMNKLKKMALKVIAENLSEEEIKGLKQMFTNMDTDKSGTITYEELKTGLARLGSKLSEAEVKQLMDAADVDGNGSIDYIEFITATMHRHKLERDEHLYNAFQYFDKDNSGYITREELRSAMEEHGMGDAATIKEIISEVDTDNDGRINYEEFCAMMRSGVQQSVKVL >DRNTG_35393.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1650573:1654489:1 gene:DRNTG_35393 transcript:DRNTG_35393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCCSKDMDSKSNEYTYQRPAAAQHATKPSPSPPPPPPPPPPPSGTKNAPPSPLKPSAPNPSPPKPQIHGDTILGKPFVDVRSFYTLGKELGRGQFGVTYLCTENATGLSYACKSISKRKLTSKSDREDIKKEIQIMQHLTGQTNIVEFKGAYEDKYSVHLVMELCAGGELFDRIIAKGHYSERAAATMCRAIVNVVHICHFMGVMHRDLKPENFLLSTKDEGAMLKATDFGLSVFFEDGKVYRDMVGSAYYVAPEVLRRNYGKEIDIWSAGVILYILLSGVPPFWAESEKGIFDAILQGHIDFDSAPWPSISKSAKDLVRRMLTQDPKKRITSAQVLEHPWIREDGDAPDKPIDSAVLSRMKQFRAMNKLKKMALKVIAENLSEEEIKGLKQMFTNMDTDKSGTITYEELKTGLARLGSKLSEAEVKQLMDAADVDGNGSIDYIEFITATMHRHKLERDEHLYNAFQYFDKDNSGYITREELRSAMEEHGMGDAATIKEIISEVDTDNDGRINYEEFCAMMRSGVQQSVKVL >DRNTG_09133.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26825396:26826939:-1 gene:DRNTG_09133 transcript:DRNTG_09133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLAHSLLLLLFTFLPFSFSLSDAEAAFIARRQLFTFKHSSGDLPLNYDFGFKINIKIKNPRLKKAFIALHSLKRAIYSDPKNFTGNWVGPNVCSYNGVFCSKAPDDPTVNAVSVLDLNGADIAGYLPSELGLLTDIALLHVNSNRFCGVVPESFSKLTRMSELDLSNNRLVGKFPDVLIGLPELRYLDLRFNEFEGELPKALFDKKLDALFLNDNRFISKIPENIGNSTVSVLVLANNKLNGCIPTTIGKMSSTLNELILTNNELTGCLPSELGFLGNATVLDMGYNSLSGELPKSLAGLKNLEYLGLSHNVLTGVVSEGVCGLPKLTNFSFGNNYFMGEAKECEVFDDKSNCIGERP >DRNTG_15229.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23351247:23351878:-1 gene:DRNTG_15229 transcript:DRNTG_15229.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQPYGMVRPGPRPEADTKLTTSIHLLSPNSPNSSFMVVKCQFSSNSQPILWMMIMKMDNIVLNLSLSLLVADYAVMTPEKRQAMRKFREHYMTYSYCYDVLRYPVAFPDCDIVPSEQRRFLESGNIKYTPRKHRKSKRRDPSFENLDVVDKEADL >DRNTG_15229.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23351247:23353246:-1 gene:DRNTG_15229 transcript:DRNTG_15229.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQAYLVAMAVAMMVISSFPAPAMGFFNVTPIGFDEGYTPLFGDGNLVRSEDGRSVNLVLNRYSGSGFISSDSYRYGLFSASVKLPFDYTAGVVVAFYMSNGDAFEKTHDELDFEFLGNVRGQEWKVQTNVYGNGSTSRGREERYLLPFDPTSESHQYSILWTPENIIFYIDETPIREVTPSETMGGDYPSKPMLLYATIWDGSAWATAGGRYKVNYKYSPFVAEFSELILHGCQMPILQQFSANSLDDDNEDGQHCAELESEFAGC >DRNTG_15229.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23351247:23352284:-1 gene:DRNTG_15229 transcript:DRNTG_15229.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGDAFEKTHDELDFEFLGNVRGQEWKVQTNVYGNGSTSRGREERYLLPFDPTSESHQYSILWTPENIIFYIDETPIREVTPSETMGGDYPSKPMLLYATIWDGSAWATAGGRYKVNYKYSPFVAEFSELILHGCQMPILQQFSANSLDDDNEDGQHCAELESEFAGC >DRNTG_15229.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23351247:23353246:-1 gene:DRNTG_15229 transcript:DRNTG_15229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGDAFEKTHDELDFEFLGNVRGQEWKVQTNVYGNGSTSRGREERYLLPFDPTSESHQYSILWTPENIIFYIDETPIREVTPSETMGGDYPSKPMLLYATIWDGSAWATAGGRYKVNYKYSPFVAEFSELILHGCQMPILQQFSANSLDDDNEDGQHCAELESEFAGC >DRNTG_23701.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:643679:644424:1 gene:DRNTG_23701 transcript:DRNTG_23701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSKKMVVVSVMVMVVVFGLLDDGVKAQQASNVRATYHYYNPSQNNWDLNAVSAYCATWDADKSLAWRQKYGWTAFCGPSGPTGQAACGKCLLVTNTKTNAQVTVRIVDQCSNGGLDLDWSVFQQIDTDGSGYAQGHLIVNYQFVDCGDN >DRNTG_20356.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2663093:2665007:1 gene:DRNTG_20356 transcript:DRNTG_20356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNTTPSPLSKSHLFNLNNHTKLPMHSNEDLDLHRWPTLSEVVEEVRAIGKISVPTAITGLVLYSRSMISMLFLGYLGELELAGGSLAIGFANITGYSVLSGLALGMEPICGQAFGARQRKVLGLTLQRTVLLLLSTSFPISLVWLNMKTILVWSGQDEEIAASARIFIIFAIPDLFILCFLNPLRIYLRSQSITMPVTYCSLLSIILHIPLNFLLVTHFKMGISGVALAMSLTNLNLFFFLLIYLLVSRVYKDSWVSPCMDCLRGWSALLKLAVPTCISVCLEWWWYELMIMLCGLLSNPRASVASMGILIQTTALVYVFPSSLSLGVSTRVGNELGANRPAKARMAAMVSLLCAVFIGLMAMVFTTSVRHRWGKLFTNDLDILELTAVALPIAGLCELGNCPQTTGCGVLRGSARPSTGANINLGSFYMVGMPVAVLLGFVAGLGFPGLWLGLLAAQASCAACMALALAKTDWLVEVERARLLTICSSSSSSSCCYDGDNDNGNSESGVISSVCDKGEEEVNKKKVGCLEEKPCIVDIDDEKKGSLETDPLLIPHVGV >DRNTG_20356.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2663093:2665007:1 gene:DRNTG_20356 transcript:DRNTG_20356.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLTSIDGQLSQRYIYLFIHIQVVYEIVWTMIDKEITYMNKIQVVEEVRAIGKISVPTAITGLVLYSRSMISMLFLGYLGELELAGGSLAIGFANITGYSVLSGLALGMEPICGQAFGARQRKVLGLTLQRTVLLLLSTSFPISLVWLNMKTILVWSGQDEEIAASARIFIIFAIPDLFILCFLNPLRIYLRSQSITMPVTYCSLLSIILHIPLNFLLVTHFKMGISGVALAMSLTNLNLFFFLLIYLLVSRVYKDSWVSPCMDCLRGWSALLKLAVPTCISVCLEWWWYELMIMLCGLLSNPRASVASMGILIQTTALVYVFPSSLSLGVSTRVGNELGANRPAKARMAAMVSLLCAVFIGLMAMVFTTSVRHRWGKLFTNDLDILELTAVALPIAGLCELGNCPQTTGCGVLRGSARPSTGANINLGSFYMVGMPVAVLLGFVAGLGFPGLWLGLLAAQASCAACMALALAKTDWLVEVERARLLTICSSSSSSSCCYDGDNDNGNSESGVISSVCDKGEEEVNKKKVGCLEEKPCIVDIDDEKKGSLETDPLLIPHVGV >DRNTG_07544.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13083042:13085238:1 gene:DRNTG_07544 transcript:DRNTG_07544.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAIAMASQREAEAHETAIILAKENEEIRMKLKDLIEDNNKLIELYESTAAGCTGKLAQDTVQVESNEGQKNIREEFIMHDDSSHQNLCSDTRDVGYLENQLREMHEENEKLMVLYENAMQEKDEFKRMFFSNELMNVEPKEEICCPEKLVEMDQGNNDQNLGHAEPEEHTEQVEASEEKLQYVQTKLCEARLKLVTSADAIRASALIENGTVEVDQLLQKCETVTQDLQSKQEELTALKFALSEKQERKAVIENKLLAAKSAMENFSSKSHYWEEREFHARARVEACSKPLATKNEELMRLQMQKEEIDAAYLRARQSESDLRSSIDLQKSRFRDAETQRKETERVLFAIDNLDNSEAQVQRGMHFGKASELLKSEEERIKISSDLKQLREKLLVIQKQVSNFRKTSEALDTEIQSLEAGMKSELILLEEAKLGLEKAAKEKEMPSEMRQEGLGHLGKLLVEYQECIFKSDLKEGEIELCQEEIKQKTTNLEDLRLKRRIAVEKLNEMISEKRFNYQKVEEGLRDVEMSLSEAIIAAAK >DRNTG_07544.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13083042:13085238:1 gene:DRNTG_07544 transcript:DRNTG_07544.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAIAMASQREAEAHETAIILAKENEEIRMKLKDLIEDNNKLIELYESTAAGCTGKLAQDTVQVESNEGQKNIREEFIMHDDSSHQNLCSDTRDVGYLENQLREMHEENEKLMVLYENAMQEKDEFKRMFFSNELMNVEPKEEICCPEKLVEMDQGNNDQNLGHAEPEEHTEQVEASEEKLQYVQTKLCEARLKLVTSADAIRASALIENGTVEVDQLLQKCETVTQDLQSKQEELTALKFALSLATKNEELMRLQMQKEEIDAAYLRARQSESDLRSSIDLQKSRFRDAETQRKETERVLFAIDNLDNSEAQVQRGMHFGKASELLKSEEERIKISSDLKQLREKLLVIQKQVSNFRKTSEALDTEIQSLEAGMKSELILLEEAKLGLEKAAKEKEMPSEMRQEGLGHLGKLLVEYQECIFKSDLKEGEIELCQEEIKQKTTNLEDLRLKRRIAVEKLNEMISEKRFNYQKVEEGLRDVEMSLSEAIIAAAK >DRNTG_07544.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13083042:13085238:1 gene:DRNTG_07544 transcript:DRNTG_07544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAIAMASQREAEAHETAIILAKENEEIRMKLKDLIEDNNKLIELYESTAAGCTGKLAQDTVQVESNEGQKNIREEFIMHDDSSHQNLCSDTRDVGYLENQLREMHEENEKLMVLYENAMQEKDEFKRMFFSNELMNVEPKEEICCPEKLVEMDQGNNDQNLGHAEPEEHTEQVEASEEKLQYVQTKLCEARLKLVTSADAIRASALIENGTVEVDQLLQKCETVTQDLQSKQEELTALKFALSEKQERKAVIENKLLAAKSAMENFSSKSHYWEEREFHARARVEACSKPLATKNEELMRLQMQKEEIDAAYLRARQSESDLRSSIDLQKSRFRDAETQRKETERVLFAIDNLKQLREKLLVIQKQVSNFRKTSEALDTEIQSLEAGMKSELILLEEAKLGLEKAAKEKEMPSEMRQEGLGHLGKLLVEYQECIFKSDLKEGEIELCQEEIKQKTTNLEDLRLKRRIAVEKLNEMISEKRFNYQKVEEGLRDVEMSLSEAIIAAAK >DRNTG_19778.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001058.1:5432:6821:-1 gene:DRNTG_19778 transcript:DRNTG_19778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTIPCSHLIVTVVSLLTIISITTSLPTTQSDDPLLKILHTSLTQLNTTISTATHLHRRINEQKQQAELEDCLQLLDLSRDRVLSSVRAITKSAHTDARTWLSAVMTNYDTCLDGLNGYVKLSMESRLKSLMKSTSVSLALLASSDDDDDVITQVVEFPSWISKRDRKLLEARTPKDVKANVVVAKDGSGKFKTVQEAVNSVPDNGKTRYVIYVKKGVYKETVRLEKKKKNVMIVGDGMDATVITGSLNFVDGTTTFNSATLAAVGDGLILQDLKIENTAGAKKHQAVALRVGADRSVINRCNIEGYQDTLYTHSLRQFYRDSTISGTVDFIFGNAAVVFQNCKLVARRPLDNQQNLVTAQGRIDPNQNTGTSIQNCQIVPSNDLKLAKGAIKSYLGRPWKEYSRTVFMQSYRFTY >DRNTG_28804.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28748124:28749212:1 gene:DRNTG_28804 transcript:DRNTG_28804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGLSSCTDSTWWLFALPAFISSEAGFSGNALLLLSLFIAFFSTILLAWAFSPGGPAWSNGRSRRGPISIPGPRGLPILGSLLTLTRGLPHRSLAHLSRLHSATSLMAFSIGSTPAVVSSSPSTARELLTHPSLSDRPLKQSARELMFARAIGFAPSGSYWRLLRRIAASHLFSPRRVAAHELGRQFDCSVMLTSISAEQASCGSVLLRPFLQAAALNNIMGSVFGTRFDLTLETGDTKASHLQSLVREGFDLLGAFNWSDHLPWLTTFFDPSNIKQRCAILVPRVRSFVKDIIDDHKRSKPTAGDRTQVTDNADFVDVLLSLQGDEKLHEDDMIAILW >DRNTG_21302.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27409498:27418509:1 gene:DRNTG_21302 transcript:DRNTG_21302.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGETMANLNLLLLFILSISSSMILLASSSTTIRSSKFLQCFINKTNSTNNIYTPNTNSYTNVLLSSVQNTRFITSNTTTKPSFIITAATFSDVQASITCSRLAGLHVRVRSGGHDYEAMSYVSSGDPFITIDLANLRSITVDKAQGTAWVQAGATVGELYYTIAKNNRTVAFPAGICPTIGVGGHFTGGGIGSLTRTYGTSADNIVDALIVNSKGKLMNRKAMGEDLFWAIRGGGGASFGVVLSFKIKLVSVPSTVTVFNVIKNLGENATELVERWQTIAPKFDEKLFIRVVAQAANGGTTIQAVFNSFYLGRIEELLPVMKKSFPELGLKREDCTEVSWLESVLFFNGELGKSVDILLDREPQSNGSFKAKSDFVKKSINKEGLEKIWEFLMEAKDEPLTLIFDPFGGKMYEILESDIAFPHRKGNLYNIQYFMRWSETASAVSEKHLEWMRKMYEFMAPYVSSQPRTAYYNYKDIDLGVAHGSYLEDEVWGVKYFKDNFKRLALVKGKVDPDNFFRNEQSIPPLVLAKH >DRNTG_21302.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27409498:27418509:1 gene:DRNTG_21302 transcript:DRNTG_21302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETMANLNLLLLFILSISSSMILLASSSTTIRSSKFLQCFINKTNSTNNIYTPNTNSYTNVLLSSVQNTRFITSNTTTKPSFIITAATFSDVQASITCSRLAGLHVRVRSGGHDYEAMSYVSSGDPFITIDLANLRSITVDKAQGTAWVQAGATVGELYYTIAKNNRTVAFPAGICTTLGLGGHFTGGGIGTLMRMYGTSADNIVDALIVDSKGKLMNRKAMGEDLFWAIRGGGGASFGVVLSFKIKLVSVPSTVTVFNVIKNLGENATELVERWQTIAPKFDEKLFIRVVAQAANGGTTIQAVFNSFYLGRIEELLPVMKKSFPELGLKREDCTEVSWLESVLFFNGELGKSVDILLDREPQSNGSFKAKSDFVKKSINKEGLEKIWEFLMEAKDEPLTLIFDPFGGKMYEILESDIAFPHRKGNLYNIQYFMRWSETASAVSEKHLEWMRKMYEFMAPYVSSQPRTAYYNYKDIDLGVAHGSYLEDEVWGVKYFKDNFKRLALVKGKVDPDNFFRNEQSIPPLVLAKH >DRNTG_19053.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000976.1:28004:29755:-1 gene:DRNTG_19053 transcript:DRNTG_19053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPWPSAPPRPGTSWANVVSSGPKDDPFTIPLHLQKDHFTKLKNSSVSSVVVSPHLWTKVREGMKSSLYAKFLGKALPLDQVKLALDDAWRGLGSFMVADLPNGFYYIKCETEEMVGHGEAHCPFVSSHRRSEQPVPSVSFETEMVQDDPESLVDVVDKMQEGLAEESVPLPHSETEEFGPWLKPRRRQLASRGRGGSRGGARNPITRGRSGDNDGGLDRWPTAGASGQHVADSSRLLESRGNQLNSEGVPCNFPSCSNPSVGNPEAPPPVEINLKNDGGVSGPEVAPSGLPIVRTVIIPSSKETSEPPALVIPSVTSNRNSPALNAPLHPGNLENRPLDSHLSLETPPSSLSFLTEPNLPQPLLLTSINIQTSSSQLPPDDSHLLVVEKMVYVLADSPHSDRSMEDFSSDDPGSSQEVDDDMTLSLYQKDAKSAAIARRAPSKRSTKPKKREKFPDQ >DRNTG_35462.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3720081:3721425:-1 gene:DRNTG_35462 transcript:DRNTG_35462.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRNYETDIDNFKATELTLGLPGTDQSSRGSKRTLTDVTDEPLSKSSSSEIDDSVKGGNETGPPVAKAQVVGWPPIRSYRKNSFQARKEMEETTTGIYVKVSMDGAPYLRKIDLKVYKGYNELREGLGDMFKCFSLGMEGQNGSEYAVTYEDKDGDWMLVGDVPWG >DRNTG_35462.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3720081:3721425:-1 gene:DRNTG_35462 transcript:DRNTG_35462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRNYETDIDNFKATELTLGLPGTDQSSRGSKRTLTDVTDEPLSKSSSSEIDDSVKGGNETGPPVAKAQVVGWPPIRSYRKNSFQARKEMEETTTGIYVKVSMDGAPYLRKIDLKVYKGYNELREGLGDMFKCFSLGMEGQNGSEYAVTYEDKDGDWMLVGDVPWGMFISSCKRLRIMRGTEARGLSSSS >DRNTG_34410.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002147.1:37107:44034:-1 gene:DRNTG_34410 transcript:DRNTG_34410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLMAVKPRGARSLERNHCWELGRGDGRSGGRSGVCQEFGKSFVNVVSLKTRCYLPHGCVPIHVASMKRVASGAFWRTDCHGILCLPASPELGLFSLHAGALALISVASSLTLGVSFVPRFPTSRIASFELRLPLSSAALALTLVLGFLFEGFIVIDLVFCIL >DRNTG_32223.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1401053:1403248:-1 gene:DRNTG_32223 transcript:DRNTG_32223.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional monothiol glutaredoxin-S16, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G38270) UniProtKB/Swiss-Prot;Acc:Q8H7F6] MAAVMKLSHSPAFSPFPFPKSSSKLLSTTLSFPLFSSSFSSPPSLISRRPPTSFNLRKRALLVVSAIGKLSETELVSIPTGSDGLESIIPSSPGIYGVYDKNGDLQFIGVTRNIAASIASHCKSVPDLCSSIKVGLVEEASADRETLTNAWKSWMEEHIAVTGKIPPGNETGNQTFVRRPMQKPDIQLMPGRHVQLTVPLEELIDRLVKEKQVVAFIKGSRYAPQCGFSQRVVGILQSHGVDFESVDVLDEEHNYGLRETLKTYSNWPTFPQVFVKGEFVGGCDIISSMAEKGELASLFQK >DRNTG_14036.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27523182:27523650:1 gene:DRNTG_14036 transcript:DRNTG_14036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRVLGGDEVEREKREEGEAEEQRDGALHRRSSRLLVAKLYTELFL >DRNTG_14036.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27523182:27523598:1 gene:DRNTG_14036 transcript:DRNTG_14036.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRVLGGDEVEREKREEGEAEEQRDGALHRRSS >DRNTG_01025.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18170734:18171442:1 gene:DRNTG_01025 transcript:DRNTG_01025.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGRQGRHTIVGSGCRDQGHNREGSGCRALMPQDRGKRRPRAVVQEGGDAV >DRNTG_01025.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18170066:18171442:1 gene:DRNTG_01025 transcript:DRNTG_01025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGRQGRHTIVGSGCRDQGHNREGSGCRALMPQDRGKRRPRAVVQEGGDAV >DRNTG_01025.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18170066:18171442:1 gene:DRNTG_01025 transcript:DRNTG_01025.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGRQGRHTIVGSGCRDQGHNREGSGCRALMPQDRGKRRPRAVVQEGGDAV >DRNTG_01025.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18170066:18171442:1 gene:DRNTG_01025 transcript:DRNTG_01025.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGRQGRHTIVGSGCRDQGHNREGSGCRALMPQDRGKRRPRAVVQEGGDAV >DRNTG_01025.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18170734:18171442:1 gene:DRNTG_01025 transcript:DRNTG_01025.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGRQGRHTIVGSGCRDQGHNREGSGCRALMPQDRGKRRPRAVVQEGGDAV >DRNTG_25179.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3980506:3983790:1 gene:DRNTG_25179 transcript:DRNTG_25179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLFISILLFTTTSFSSAVPVRTDYEVSLLYEGWLVKNNKSYNDSLEKEKRYKIFQDNLNFIDKHNAGNHTYSLALNVFADLTVEEYRATYLRTLTPRKWKKMEYDRFVFNDTVDAAPDSIDWRDMSAINPIRNQGGCYSCWAFAVLTTVEAINQIVTGDLASLSEQQLVDCFNKGCQASYMDDAYQYIIDNGGIDTEEDYPYNAIYSGYCDKFKQNTKVVSIDGYRMARRNNENALKLAVADQPVAAAVEGYGQHFQLYGNGIFTKYCGTKVDHAVAVIGYDSEGGKDYWIIRNSWGDGWGEFGYMKLERNIQSRSGKCGIASWPYYPIKYNNVKKGNSLEGKAPVARQENQVKYDSVRPVGCENVK >DRNTG_26348.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18276027:18284689:1 gene:DRNTG_26348 transcript:DRNTG_26348.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(6)GlcNAc(2)-PP-Dol alpha-1,2-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G16900) UniProtKB/Swiss-Prot;Acc:Q9FZ49] MALSAARHRRPSAAEGSSRTSDQYSKDDKREKKGSDNEEKGLGWAVPLMALGFLRYMSATSNIIHDCDEVFNYWEPLHYLLYKSGFQTWEYSSEFALRSYLYIFLHAVVGGPASWFFSEEKVRVFYIIRVFLGVISTVTEALLVIALSRKYGKRLASYTLAMLCLTSGCFFASTSFLPSTFSMYAVTLSSSLYLLGKYSLAVSVAAAGVILGWPFSILVVFPVTIYSLINGRLKVFLSGLVTSAFILGLSILVDYYCYGRWTSSVFNLLHYNVLGGGESHLYGTEGPLFYLRNGFNNFNLCFVLALLFLLFLKISRKKYAPDLLIVVSPIYIWLAFMSLQAHKEERFLYPIYPLICVAAAAVIESFPDLFQDKYAVDDSIIVKIAKVFRPIILGLILCVSHSRTFSLLHGYSAPMEIYKHLDYYEDAGNGSVLCVGSEWHRYPSSFFVPSYVGEIRWVDDGFRGLLPLPFNSTLGGTASAPTYFNNKNKAAEAQYLRNIDACTFFVELDLKRPYPSRGADLSTWETVAAIPFLDRELSPTVHRSFFIPFLWQQRNVFGTYKLLKRIPKSSSEQ >DRNTG_13930.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19627216:19630122:1 gene:DRNTG_13930 transcript:DRNTG_13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRICHOME BIREFRINGENCE-LIKE 35 [Source:Projected from Arabidopsis thaliana (AT5G01620) TAIR;Acc:AT5G01620] MHKWSRRRAHLFLLISLFTIILLSTFLSNHNSKTIHSSTDHPPSFPTHTTTSNSSLFSPNQWLPGGPGWSRACSAPRSYRPRRDGAAAQRKWWKERSLDGNCDLFSGRWVYDERLYPLYNESECPWMSDQLACRKHGRPEEQYKHWRWQPHGCHLKRWNALEMLEKLRGKRLMFVGDSLNRGQWISMVCLLQSVIPADKKSMSPNAALTIFKMEEYNATVEFYWAPLLVESNSDDPVNHRLDDRIILPDKLLKHASQWENADILVFNSYLWWRSGPKIKLLWSREDLICEEADGLDAMTLAMETWANWVSSRADPRRQRVFFVTMSPTHLWSREWNPGSEGNCYQETTPINIEGYWGSGSDLDTMRMVDNVLNSLGSKATVINITQLSEYRKDGHPSIYRKFWETISPEQLSNPASYSDCIHWCLPGVPDVWNELLFNLL >DRNTG_02218.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1209483:1213104:-1 gene:DRNTG_02218 transcript:DRNTG_02218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFVLVFLFPCFLCFLFSICLLVEGRNNISFSPLSEDQNNIMSNLSTILSHQPSFKTNSNPNPCSWTSWVICSTSSSSSSSLEVTGINLSEYGLSTETTKNTSFFSLLCQIDSLQSLNLSHNNFESLPDSFLSNNCTASSRLRILDISFNQLSGQLPNLSQFTALVSLNLSMNKLSGNIDRQLHGLVLLKSLNLRNNFITGNLPMNLSSALEELLLSYNKFEGSIPGEMFKYANLDVLDLSQNQLNGFIPDGIERLQKLKKIFLSGNNLAGELPSNMAMIKTLSQFAANKNHFNGRIPSGITTNLQFLDLSYNNLAGDLPHDLLSHGSLGHLDLTNNFLSGPIPPISGNLLTNLTRLRLGQNKLNGSIPPAIGDISGLMYLELNDNHFEGYIPVQLQNCKNLSLLNLAGNNLTGAFPKELASLTQLQVLKLQMNNLNGSIPDEIYQLANLSTLNLSRNGFTGGISASISNLKKIAFLNLNDNKLGGLIPDSIGNIGPSLIELQLANNKFNGSVPSMSRDSQMTTLNLSSNLLMGPLPESLSVLNNLEVLDLSDNNFTGDIPSSFTGLGSLTILDLSNNHLTGILPNFPKYVNVIITGNNIQNVTNPNTPTPSQSRRRTNVLVVVVAVVGSVVVFGLFAALLFFVVSQRFNRVEDEDPLVRYNPSQVIKGCFITSNNTHRSNIDFMKAMVAVSNPENVVLKTRFATHYKAVMANVSTYTVKKLNWGDKMFQMGSHEKFEEELQVLGKLSNSNVMVPLAYALTQDNAYLFYENVHKGTVFDFLHKGLETALDWSSRYSIALGVAQGLTFLHGCTQPVVLLDLSTKSIHLKSLKEPQIGDIELCKVIDSFKSFGSLSAIAGSVGYMPPEYPYTMRVTMAGNVYSFGVILLELLTGKPPVSKGIELAKWALSYSARTIGKDQILDSSIKRTSPEVHSQMLSVLKVALSCVCASPESRPKMRNVLRMLFNAR >DRNTG_02218.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1209665:1213268:-1 gene:DRNTG_02218 transcript:DRNTG_02218.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFVLVFLFPCFLCFLFSICLLVEGRNNISFSPLSEDQNNIMSNLSTILSHQPSFKTNSNPNPCSWTSWVICSTSSSSSSSLEVTGINLSEYGLSTETTKNTSFFSLLCQIDSLQSLNLSHNNFESLPDSFLSNNCTASSRLRILDISFNQLSGQLPNLSQFTALVSLNLSMNKLSGNIDRQLHGLVLLKSLNLRNNFITGNLPMNLSSALEELLLSYNKFEGSIPGEMFKYANLDVLDLSQNQLNGFIPDGIERLQKLKKIFLSGNNLAGELPSNMAMIKTLSQFAANKNHFNGRIPSGITTNLQFLDLSYNNLAGDLPHDLLSHGSLGHLDLTNNFLSGPIPPISGNLLTNLTRLRLGQNKLNGSIPPAIGDISGLMYLELNDNHFEGYIPVQLQNCKNLSLLNLAGNNLTGAFPKELASLTQLQVLKLQMNNLNGSIPDEIYQLANLSTLNLSRNGFTGGISASISNLKKIAFLNLNDNKLGGLIPDSIGNIGPSLIELQLANNKFNGSVPSMSRDSQMTTLNLSSNLLMGPLPESLSVLNNLEVLDLSDNNFTGDIPSSFTGLGSLTILDLSNNHLTGILPNFPKYVNVIITGNNIQNVTNPNTPTPSQSRRRTNVLVVVVAVVGSVVVFGLFAALLFFVVSQRFNRVEDEDPLVRYNPSQVIKGCFITSNNTHRSNIDFMKAMVAVSNPENVVLKTRFATHYKAVMANVSTYTVKKLNWGDKMFQMGSHEKFEEELQVLGKLSNSNVMVPLAYALTQDNAYLFYENVHKGTVFDFLHKGLETALDWSSRYSIALGVAQGLTFLHGCTQPVVLLDLSTKSIHLKSLKEPQIGDIELCKVIDSFKSFGSLSAIAGSVGYMPPEYPYTMRVTMAGNVYSFGVILLELLTGKPPVSKGIELAKWALSYSARTIGKDQILDSSIKRTSPEVHSQMLSVLKVALSCVCASPESRPKMRNVLRMLFNAR >DRNTG_14698.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20902759:20910170:1 gene:DRNTG_14698 transcript:DRNTG_14698.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLSQLNLRSNDLDQHSAGNLLEVLSGFSSLQTLEVDIPGPLGNSAIAIIESLPSLSLLNGVSASSILGGGKHMIDSMLPPRLPEWAVTDSLADRVVGAMWLYVMTYRLADEEKIDETSVWYVMDELGSALRHSDVANFRIAPFLFMPEGNLTSAVSFSVLWPTQDVQNGDECTRDFLFGIGEDKQRSARLTAWFHTPENYFIQEFKKYHQLLQSKCPEPYNLSSFITKSIFPSNALPLRVYTDIPQIEEFLKRPEFVLTNDPKNADIIWTSLQVDNEVKNALGLTDCQYVNQFPFEACLVMKHHLAETIHKARGSPEWLQPTYNLESQLSQLIGDFCKRHRERRDNLWILKPWNMARTIDTTVTGDISAIIRLMETGPKICQKYIERPALFRGKKFDLRYIVLVRSMSPVEVFLADVFWVRLANNPYTLERSSLFEYETHFTVMNYIGRLNHMNTAEFVREFEVEHQVKWLDIHERIKNMIRSVFDSAATVHPEMHNPNSRAMYGVDVMLDSSFMPKLLEVTYCPDCHRACKYDMESVVGNKDGVIKARDFFNTVFGCLFLNESVHVSPL >DRNTG_14698.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20902759:20910170:1 gene:DRNTG_14698 transcript:DRNTG_14698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSSPAMPPASRIQTFEDFVKVHAMLLAAAGIPSSLHERLFRKLSSETFDGGNFFSVEACEGGRWRRLLFTAQSLAKESDVFLVDHAWTFRLPDALKQLKEVPGLVERMAALMCVDVDLESDSEKEGIESGDGKTNEEKVREILEREMEKVKERGIDGAAWLELEELGIDDEMLCSLDLSSKFPNLVALNLWGNKLQNAETILLEIAKCRNLKALWLNENPVLKNLGDDFGLAIFNCNPGLEILNSQFTGNAGEWALGFCSGMFCMENPFCSHAVINPLESITVLDLSNRCIRKLPEAFSPAAMPRLSQLNLRSNDLDQHSAGNLLEVLSGFSSLQTLEVDIPGPLGNSAIAIIESLPSLSLLNGVSASSILGGGKHMIDSMLPPRLPEWAVTDSLADRVVGAMWLYVMTYRLADEEKIDETSVWYVMDELGSALRHSDVANFRIAPFLFMPEGNLTSAVSFSVLWPTQDVQNGDECTRDFLFGIGEDKQRSARLTAWFHTPENYFIQEFKKYHQLLQSKCPEPYNLSSFITKSIFPSNALPLRVYTDIPQIEEFLKRPEFVLTNDPKNADIIWTSLQVDNEVKNALGLTDCQYVNQFPFEACLVMKHHLAETIHKARGSPEWLQPTYNLESQLSQLIGDFCKRHRERRDNLWILKPWNMARTIDTTVTGDISAIIRLMETGPKICQKYIERPALFRGKKFDLRYIVLVRSMSPVEVFLADVFWVRLANNPYTLERSSLFEYETHFTVMNYIGRLNHMNTAEFVREFEVEHQVKWLDIHERIKNMIRSVFDSAATVHPEMHNPNSRAMYGVDVMLDSSFMPKLLEVTYCPDCHRACKYDMESVVGNKDGVIKARDFFNTVFGCLFLNESVHVSPL >DRNTG_00857.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:380663:381317:-1 gene:DRNTG_00857 transcript:DRNTG_00857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLVSMYAKCGSLDDARQVFAEMRERNLFAWSAMINGCSREHRWEEVIDLFAEMMWEGVILDKLLFPKILQACANTGDLKTGMLLHSLAVRGGFLNSPVEAQVGNAILAMYAKCGIFGAAKKFFRKMAVKDLVSWNSIISAHCQCGEHEQAFRLFERMKDEGIEPSVVTWNILISSYNHLGHTDEAMQLMKQMESSGVVPDVFTWTCLIS >DRNTG_23219.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7816618:7816935:-1 gene:DRNTG_23219 transcript:DRNTG_23219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPFGVTTLRAMRYLYYCSSTDPSSSSYCCYFSSFDYCTDYINSINS >DRNTG_08453.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21393586:21394593:-1 gene:DRNTG_08453 transcript:DRNTG_08453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALVVVEPSSHNGNPVVELGSHDGDVEAPPRLWMMEQWTTGTTNIQCRTDDWDVARSFERERSMQHVGFEASTCRSLAGLAAD >DRNTG_05315.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1932485:1936316:-1 gene:DRNTG_05315 transcript:DRNTG_05315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIEDIVIVGAGLAGLATALGLYRKGVRSVVLESSEVLRAAGFAFTTWTNAWKALDALGIGDELRRHHIRLEGLAIYSASSGAITSKRPIKPSEGIEIRNVRRDLLLETLAKELPSDTIRYSSKVVLIEESGNLKVLHLADGSILKTKVLIGCDGVNSVVSRWLGLKKPSFAGRSATRGFTNFPDGHGFSPEFVQYFGEGFRAGTLPCDEKSIYWFFTWFSSSKDNEMEKDATKMRQFVITKMKEANVPQNFIEVVERSDMSGLVSSPLRFRWPLELLWGNVCKGNVTVAGDALHPMTPDLGQGGCSALEDGVVLARCLAEAFKEDNNEGAKEEHVRIRSGLEKYVAERKWRGFDLVTTAYVLGTLQQSDNPFISFLREKVLAGIMARTLIKKSYYDCGMI >DRNTG_33363.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26498700:26505359:-1 gene:DRNTG_33363 transcript:DRNTG_33363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQITQCTVGVQFHPFSKPHLQSQPLPPSAPNPTIDGSFGAPPLCNTTIEPCTNLD >DRNTG_09477.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15915469:15917302:-1 gene:DRNTG_09477 transcript:DRNTG_09477.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEVENPSNGGEIEGSSSGFEVDSCCSTPYVSAPSSPGRGPVPSYFFSAPASPMHYVLASTAFSSSTSSSVAGEGEAEASISGSFEFEFSARGSMISADEIFLNGQIRPMRLASHLQRPQALAPLIDGDEDDDEDDHQRDGVEADVRGRDLRLRSGSVHRRPRSMSPLRNSRFQWVEDEDEQAGGGADLETDPDLKQIEIEIETMTPSSASASSSRSSSSGRNSKRWIFLKDLLYRSKSEGRGKEKEKFWHSISFSIIIIIIIIIEGERQIQAPNSLSSFSILRQAKTQQAGDEEDGHRFPPALGA >DRNTG_09477.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15913377:15917302:-1 gene:DRNTG_09477 transcript:DRNTG_09477.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVENPSNGGEIEGSSSGFEVDSCCSTPYVSAPSSPGRGPVPSYFFSAPASPMHYVLASTAFSSSTSSSVAGEGEAEASISGSFEFEFSARGSMISADEIFLNGQIRPMRLASHLQRPQALAPLIDGDEDDDEDDHQRDGVEADVRGRDLRLRSGSVHRRPRSMSPLRNSRFQWVEDEDEQAGGGADLETDPDLKQIEIEIETMTPSSASASSSRSSSSGRNSKRWIFLKDLLYRSKSEGRGKEKEKFWHSISFSIIIIIIIIIEGERQIQAPNSLSSFSILRQAKTQQAGDEEDGHRFPPALGA >DRNTG_09477.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15913198:15917302:-1 gene:DRNTG_09477 transcript:DRNTG_09477.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVENPSNGGEIEGSSSGFEVDSCCSTPYVSAPSSPGRGPVPSYFFSAPASPMHYVLASTAFSSSTSSSVAGEGEAEASISGSFEFEFSARGSMISADEIFLNGQIRPMRLASHLQRPQALAPLIDGDEDDDEDDHQRDGVEADVRGRDLRLRSGSVHRRPRSMSPLRNSRFQWVEDEDEQAGGGADLETDPDLKQIEIEIETMTPSSASASSSRSSSSGRNSKRWIFLKDLLYRSKSEGRGKEKEKFWHSISFSIIIIIIIIIEGERQIQAPNSLSSFSILRQAKTQQAGDEEDGHRFPPALGA >DRNTG_09477.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15913298:15917403:-1 gene:DRNTG_09477 transcript:DRNTG_09477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVENPSNGGEIEGSSSGFEVDSCCSTPYVSAPSSPGRGPVPSYFFSAPASPMHYVLASTAFSSSTSSSVAGEGEAEASISGSFEFEFSARGSMISADEIFLNGQIRPMRLASHLQRPQALAPLIDGDEDDDEDDHQRDGVEADVRGRDLRLRSGSVHRRPRSMSPLRNSRFQWVEDEDEQAGGGADLETDPDLKQIEIEIETMTPSSASASSSRSSSSGRNSKRWIFLKDLLYRSKSEGRGKEKEKFWHSISFSIIIIIIIIIEGERQIQAPNSLSSFSILRQAKTQQAGDEEDGHRFPPALGA >DRNTG_09477.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15915469:15917403:-1 gene:DRNTG_09477 transcript:DRNTG_09477.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEVENPSNGGEIEGSSSGFEVDSCCSTPYVSAPSSPGRGPVPSYFFSAPASPMHYVLASTAFSSSTSSSVAGEGEAEASISGSFEFEFSARGSMISADEIFLNGQIRPMRLASHLQRPQALAPLIDGDEDDDEDDHQRDGVEADVRGRDLRLRSGSVHRRPRSMSPLRNSRFQWVEDEDEQAGGGADLETDPDLKQIEIEIETMTPSSASASSSRSSSSGRNSKRWIFLKDLLYRSKSEGRGKEKEKFWHSISFSIIIIIIIIIEGERQIQAPNSLSSFSILRQAKTQQAGDEEDGHRFPPALGA >DRNTG_09477.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15913377:15917403:-1 gene:DRNTG_09477 transcript:DRNTG_09477.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVENPSNGGEIEGSSSGFEVDSCCSTPYVSAPSSPGRGPVPSYFFSAPASPMHYVLASTAFSSSTSSSVAGEGEAEASISGSFEFEFSARGSMISADEIFLNGQIRPMRLASHLQRPQALAPLIDGDEDDDEDDHQRDGVEADVRGRDLRLRSGSVHRRPRSMSPLRNSRFQWVEDEDEQAGGGADLETDPDLKQIEIEIETMTPSSASASSSRSSSSGRNSKRWIFLKDLLYRSKSEGRGKEKEKFWHSISFSIIIIIIIIIEGERQIQAPNSLSSFSILRQAKTQQAGDEEDGHRFPPALGA >DRNTG_09477.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15913298:15917302:-1 gene:DRNTG_09477 transcript:DRNTG_09477.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVENPSNGGEIEGSSSGFEVDSCCSTPYVSAPSSPGRGPVPSYFFSAPASPMHYVLASTAFSSSTSSSVAGEGEAEASISGSFEFEFSARGSMISADEIFLNGQIRPMRLASHLQRPQALAPLIDGDEDDDEDDHQRDGVEADVRGRDLRLRSGSVHRRPRSMSPLRNSRFQWVEDEDEQAGGGADLETDPDLKQIEIEIETMTPSSASASSSRSSSSGRNSKRWIFLKDLLYRSKSEGRGKEKEKFWHSISFSIIIIIIIIIEGERQIQAPNSLSSFSILRQAKTQQAGDEEDGHRFPPALGA >DRNTG_32683.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1730233:1731424:1 gene:DRNTG_32683 transcript:DRNTG_32683.1 gene_biotype:protein_coding transcript_biotype:protein_coding TWSKHRRAYVFRLKTSKHTTFHQRTSPTKTNTLERTWKRRQRQGKGH >DRNTG_32683.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1730539:1731424:1 gene:DRNTG_32683 transcript:DRNTG_32683.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQANNQTLRLSSLNNKEKHENGGLPQSFKGKMDESWLLCWKNKARNFSYNSKKTLRK >DRNTG_08215.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16886840:16887597:1 gene:DRNTG_08215 transcript:DRNTG_08215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLQKEGEKNREKISSAPLPLVEHFGLVLERKSSYSRGLGLKGITSTFQQNSQILVEAEAAKKRANDLDDEVARLNEITKNQEEKIQAQHVAIEKQAMEMKQVSTIFAHLGDSGLIPSILLNPSTPIGHPSTSPTSSAPTSHVTIKFYKMLNRIKCIGSASS >DRNTG_11175.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4292422:4305654:1 gene:DRNTG_11175 transcript:DRNTG_11175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGGHTNTWPWCAAWCVTTRRTHMQQERLCGLYTAHTNSMRPVHNPHKQSGEQSGV >DRNTG_23571.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18232440:18232777:1 gene:DRNTG_23571 transcript:DRNTG_23571.3 gene_biotype:protein_coding transcript_biotype:protein_coding PFSCSNRMKNNTTSKNKENQRIEATKLPKIAGRNLSLLDLFPFTCEKTQRCKSSNEFFTRCVEKISQPNKERRIKDFSRNATKLLTPQFEQVPQKLSDHRLRQKP >DRNTG_23571.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18226621:18232777:1 gene:DRNTG_23571 transcript:DRNTG_23571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCMPVESSCPQKSGQRSQAGTSLYSIYFHSLARRHRDANPRMNSSHDV >DRNTG_00649.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16532823:16538575:-1 gene:DRNTG_00649 transcript:DRNTG_00649.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTPNPNWNPMMWEWDSLSFTAKPSELSIGTNPLPKVVSDAEKKNKGEECSKVITLSKSLEDGENLTLKLASGAYLVEEQILRPTKKVRSSSPSSGVNYPICQVDDCRADLSRAKDYHRRHKVCEVHSKTAKALVGNLIQRFCQQCSRV >DRNTG_00649.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16532823:16538575:-1 gene:DRNTG_00649 transcript:DRNTG_00649.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTPNPNWNPMMWEWDSLSFTAKPSELSIGTNPLPKVVSDAEKKNKGEECSKVITLSKSLEDGENLTLKLASGAYLVEEQILRPTKKVRSSSPSSGVNYPICQVDDCRADLSRAKDYHRRHKVCEVHSKTAKALVGNLIQRFCQQCSRFHPLTEFDEGKRSCRRRLAGHNRRRRKTQPEIASSHPSHPGCQETANKGGMEIVNLLAALKQLKGANIDNLVSGPSLPDKDELVQILCRLNSASSMISTPKLPLPGGFDLPVSQTCQPVPLEASHKLSAPSTRDLLAVLSATVAASSPVAISSLSQGSSDVNADVRAKIHHSNPEPDGVSHSKSYQMYPTVEVGQHSSILQSAVELCERPVEEAQSSLPFQLFSSIENDASPKPQSRKYLSSDSSNQMEERSPSSSPLVVQNLFPLRSEHECIKSDVTVVSREDMAVEASTSCPFQLFKEAERRDGNGVVRSHPCHVGTDHSPSSSNSDSQDRTGRIIFKLFDKDPSTIPSTVRNEILNWLSHSPSEMESYIRPGCVVLSVYTSMTSVAWDELEDGLYQRVHLLLQSSDSDFWRKGRFLVRTSKQLVSYKDGRIRLCKSRKTWSAPELFSVSPVAVVSGHETSLALRGRNLTVPGTKIHCTYMGVYTSTEVLGSVYPGTIYNDFSLESFSFSRGTPHAFGRCFIEVENGFKGNSFPIIIADSTICRELRTLESEIEGHLGIADVILEDQIYDYQQPKSREDILHFLNELGWLFQRKNKSSGPFLSEFSGTRFKFLLTFSVERDWTALIKTLLDILVERSSKNHDMIQEYLEMLAEAQLLNRAVKRKCKRMVDLLLRYSVNGVVDASKIYLFIPNVAGHGGLTPLHLAASTQGSEDIVDALTNDPQEIGLNCWNSIWDDKGQSPCTYASMRHNHSYNSLVARKLADKEKGQVSIAVQNGDLSSNMPHSVAKPLYSRSCAQCACIDASRIRPTTRSRGFLQRPYLHSMLAIAAVCVCVCLFFRGSPDLGHVAPFQWENLDFGPT >DRNTG_00649.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16532823:16538575:-1 gene:DRNTG_00649 transcript:DRNTG_00649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTPNPNWNPMMWEWDSLSFTAKPSELSIGTNPLPKVVSDAEKKNKGEECSKVITLSKSLEDGENLTLKLASGAYLVEEQILRPTKKVRSSSPSSGVNYPICQVDDCRADLSRAKDYHRRHKVCEVHSKTAKALVGNLIQRFCQQCSRV >DRNTG_15642.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14390368:14394424:1 gene:DRNTG_15642 transcript:DRNTG_15642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYEEVREKWRIERERERERERERDGVVHVICFF >DRNTG_15195.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5877946:5888349:-1 gene:DRNTG_15195 transcript:DRNTG_15195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLVFLAMVIEKTSHTPRKSSVNVMSMKLFTWGDGDKNHLGHGDEEARLVPTCVRSLTDYNFHQLACGHSITIAFTISGHDFTMGSKPHRVCDSFYAKLKALEASNASNVTRRNIIPHISVDGKEMLEQGELRPSRILLFPNVEPIKADYFTQVKNMRRKIEVHDAILQKAEKKVEEAIIVAIEESAKLNVSVKVIMVLDAQIENKTVTDTITKENTIHQSTENGL >DRNTG_14147.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17894857:17898991:1 gene:DRNTG_14147 transcript:DRNTG_14147.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLMGITLMVYVEVKMGWVWGFGVPMVSTVTSVLVLVSGAPVYRYQKATGSALTRFVQVVVAAWRNHWKGVRVVRVEELYEVKTRESVIVGARKLGHTSQYSFLDKAAVITGLHDPQNTSNWRLCTVTQVEELKSFIRVLPIWASTIALSISFAQLSTFFITQSTTMDRKLGNHFLIPPGSVTVFAALNGLLLVPLYESIVVPILRGITGHRRGITSLQRIGVGLFISIFAMASAAIIESKRQHNHNSLEMTVFWLLPQFFLMGSAEVFTYVGQLEFFYDEATDGTRSLSSAMFLSEIGIGSWLSTALVKIIEKVTGGVEKGWLRNSLNESRLDKFYCVLCAINAVNFVGYVFVAMVYRGRDESHANDAKVVDGGSVV >DRNTG_21577.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19221311:19223330:1 gene:DRNTG_21577 transcript:DRNTG_21577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLRSALKEHADLAAHLFEKFSNELRTGFQPAYENFIGFFHAIDWKEPWLVCLIAFHVTLMLVVILSRRRINFQLCLFFLAFSGVYLAEKINSLLGKNWEKFAGQNYFDPNGLFISVLWSGPLLIATIIILVNTLLTLCQLIVKWKRAELRHRARLSRKQD >DRNTG_33185.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23541234:23543417:-1 gene:DRNTG_33185 transcript:DRNTG_33185.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ribonuclease P/MRP protein subunit POP5 [Source:Projected from Arabidopsis thaliana (AT1G04635) UniProtKB/Swiss-Prot;Acc:Q6AWV1] MVQFKNRYIVMEVFIDPNKDLGGTEPIVISQFNLTKAIKDSILLNFGECGLALSLRSFQVKYVNPVTKVCVIRTSRDDHEKIWAAITMVRSIGKCPITFNLLDLSGCIRACKAAALKCDEAKFETYKLASGGSVKAEITHTVESCFEKLKNLES >DRNTG_05122.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30558371:30564669:1 gene:DRNTG_05122 transcript:DRNTG_05122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLLAGSSLRPFSSSPSPITAADLESLVLAQYHGGRFHNLLATTITTPSTLAAAASALADRAHSSPSSIAAPILLDFPSHCSKLLPSRLKGLPLILPDLPLKVLIEALRSVLEVVYNPRLATFAYGGRPSLGRHTAVRYLKASVENPTWWFRPVLLRQPFDHRHVSRLASVLREKIDDPPLISLIESLFESQTIGFHLGCHDLGRGLPQESGLVPILLNIYFDVLDRKIQEIRSEIHKKNPRIKDPILDASSRVFHNPVRVYAVRYLDEILVITSGSKMLTMSIKDQIFECLEGELGLKVDRLKSSIHSAVSEKMNFMGYELQAVPPSVLNPPMSEKAIRARKKYLKRKAAQAQELKNARETRRKKLGLKILNHCFKKLKRCPEGFKFDFGIENEVREIFRGWADDVVAEFLQNREECWSWHRMLSYGDFLSLPRVRDQLPLELVEAFDRFQQNVDKYLMPVKPSKVIEEEERREEDEEERTYARRTVEDLAKLRMRVNAPVELVRKAVKLAGFTNSMGRPRPIKLLVCLDDADIIKWYAGVGRRWLDFFCCCHNFKTVKMVVNYHLRFSCFLTLAEKHESTKLETIKHYKKDLQVIDGQGVREVNFPTEREIKMMGEGNLSDPKPVDSTLCLILARLATNEPQCCCVAHFCDRMDTTLYRVRLLQSRLNVDPLNENKWVPGMGAIHESLNKKCLPLCAKHSSDLLLGRITLQDIDCTSSLNLE >DRNTG_18625.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2839469:2841755:-1 gene:DRNTG_18625 transcript:DRNTG_18625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHWDVPQALEDAYGGFLNKKIMFDFKDYCEICFKNFGNRVKHWITLNEPWTFSSMGYRFGQHAPGRCSIAEPYIVTHNLLLAHGAAARLYKDEYQGTQGGQVGITLVCMWYQPYDQTHRHVEAASRALDFTLAWYLEPLMHGDYPFNMRVIVGDRLPTFSEEEADMIKGSYDFIGINYYTARYAREIRYSQAPPSLYMDDSYAESLAKKDEVPIGESNGSWINVYPRGLRYLLLHIKSQYENPAIYITENGISNVDKSDIPKEEEALADEMRKNYLAAHLAQICEAIREGANVKGYFAWSLMDNYEWQKGYTERFGLNYVDYNTLERTPKDSAKWFSKFLQPKPQN >DRNTG_18625.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2839469:2840815:-1 gene:DRNTG_18625 transcript:DRNTG_18625.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNYLAAHLAQICEAIREGANVKGYFAWSLMDNYEWQKGYTERFGLNYVDYNTLERTPKDSAKWFSKFLQPKPQN >DRNTG_27964.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3494471:3498334:-1 gene:DRNTG_27964 transcript:DRNTG_27964.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVSLSFVSSSSEPSSSSSLQSLPLRTTTTSFISSKPFLPPLRSPSLRLRPPLQALLRKSIKPDDDETLEKFQENNAITDFMRFRTGDVSRAGGGGSGELQTAVVSYKKQFPWSLFQPFLQVDLVSTIHIADKKYFETLQKGLESYDCVLYEMVASRESLENRRNSKAAKRLKAARSRGFNVLGFIQRQMARILALDFQLDCLDYDGDKWLHADLDFETFKMLQDERGESFFTFARDMTLRSTKALVQSAAIREDLDPWRSKLLWASRVLPMPLVGLLIIGSVCSPLENQTTEYSELKALSELNIGAALKIFLAKRLTSEFTQITAAVEEKSVIIGERNRAATEALQRAIDSGYKRIAVLYGGGHMPDLGRRLREEFDMVPSQVHWITAWSIQNRILDNRSIPFLKTLAELSGWPLNRYQTLGLLIFSSVLAVDLWFWELFFGTMVHLASLAASEVDLLIHGIRFM >DRNTG_24910.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:488714:492455:-1 gene:DRNTG_24910 transcript:DRNTG_24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDNGKVSARLIGTLPVDNVQALASTLSDSDHIPTRYIRPEAESESVITPGDTEDDIPVIDFHKLLDAELSEAESSKLHLACQNWGFFQLINHDVPEEVIQRMMLVIEEFFKLPLDEKMLFKQPPGQVEGYGQMFIFSEEQKLDWADMLFFYTLPLHVRKIGLWPTNPSTFRDALDKYSMEVKKLANCLLGFMAKNLGLDAAEMATMLENGAQRVRINCYPPCPEDKKVLGLSPHSDASFLTLVLQVNDVPGLQIRRNDKWFAVKPLPGAFVANIGDALEILSNGKYKSIEHRAVTNTEKERFSIAAFHGPNTDATIGPHPELVLKGEPLYKSVDYESYMKLYFASKLDGKSFLGRMKLNK >DRNTG_11025.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:807759:809103:-1 gene:DRNTG_11025 transcript:DRNTG_11025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQYQQPAHSWRLEGSGGQILDLETAVKDGILGGGGGIAVGAVEKVDLKKMIEEVDAAAAEDIPTVFICPISLEPMLDPVTLCTGQTYERSNILRWLSMGHRTCPTTMQELWDDALTPNRTLQQLIHAWFSQRYLRIKKRSEDVQGRAAELLQSLKKVKGQARVQALKELQRLVVAHSSTKKTIVDSGGVQLLSSLLGPLTSHAVGSEAIAILVNLSLDSDSKSNLMQPAKVSLVVDMLNEGTIETKINCTRFIEMLMEEPDFRSEIVSSLSLLVGLVRLIKDRRFPNGSSSGLGLLKTICAHKQVRSLVVSVGAVPQLVELLPELTPDPLEQALQILDDLAAIPEGRSALKECPHTIPNLVRLLMRVSEACTQSSLSILWAVCKLAPDECASLAVEAGLAAKLLLVLQSGCNPALKAARR >DRNTG_26196.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29046624:29046868:1 gene:DRNTG_26196 transcript:DRNTG_26196.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPLLRSHSKPFNQTTHHETLEFLKTTPRTPDSSITPQIHRRNKLAEQKGSEI >DRNTG_25506.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1112060:1113505:1 gene:DRNTG_25506 transcript:DRNTG_25506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLALGDVEIEIIDKLISVPYVEMTLKLMERFGVKAEHSDSWDKFIVKGGQKYKSPGKAFVEGDASSASYFLAGAAVTGGTVTVEGCGTSSLQGDVRFAEVLEKMGAKVTWTETSVTVTGPPLDPSKKKRLRAIDVNMNKMPDVAMTLAVVALFADGPTAIRDVASWRVKETERMIAICTELRKLGATVEEGPDYCVITPPEKLNITSIDTYDDHRMAMSFSLAACSDVPVTINDPGCTRKTFPDYFEVLQQLTKH >DRNTG_09261.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:490841:499089:1 gene:DRNTG_09261 transcript:DRNTG_09261.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTIKQKELACRFVANGKSLEGLIINDRAKQKLKGTGFDSLLLLSSQFNIPTNLLTAVVDAYNIERRCFRLGDTNIFFGLGDVLRIMAIPIDGRPIIETDEDPSELCKEYFGEDISINPDDRKGGITLKSLRKYCNDRKDHALEGQDLDCYIRALVLYLIGSFIIPTGSHIVAPVYLLFLKNIEEIGSYAWGAALLANLHRHLENHIKYRGFIYANVHFLTVFLLEHIPKVAYYILHPEERNGPPIEFPLMVGWNKKLKKSMKKQDRKSIEFFRNCFNELQEDDIEWKPYDRLPKDFLFGSYAIQLPLELAFTSLLCLERAILHDPGVSSGRQLFFSDKLFKLQLCDAHTKHDVGTKSKSRQGKKQTWAVVHGEFVNAWNDRWQNLYIDTNDAGEEKEIETAEPAAQWASACPADDMPEEAFTEPAAQGISFSLADDMPEKVFLGVVAREVRRSVGVTPRENLAARRQHSVPMGQTNEENADGQQIVELGAFSEVEKNITILHLYNK >DRNTG_09261.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:490055:499089:1 gene:DRNTG_09261 transcript:DRNTG_09261.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTIKQKELACRFVANGKSLEGLIINDRAKQKLKGTGFDSLLLLSSQFNIPTNLLTAVVDAYNIERRCFRLGDTNIFFGLGDVLRIMAIPIDGRPIIETDEDPSELCKEYFGEDISINPDDRKGGITLKSLRKYCNDRKDHALEGQDLDCYIRALVLYLIGSFIIPTGSHIVAPVYLLFLKNIEEIGSYAWGAALLANLHRHLENHIKYRGFIYANVHFLTVFLLEHIPKVAYYILHPEERNGPPIEFPLMVGWNKKLKKSMKKQDRKSIEFFRNCFNELQEDDIEWKPYDRLPKDFLFGSYAIQLPLELAFTSLLCLERAILHDPGVSSGRQLFFSDKLFKLQLCDAHTKHDVGTKSKSRQGKKQTWAVVHGEFVNAWNDRWQNLYIDTNDAGEEKEIETAEPAAQWASACPADDMPEEAFTEPAAQGISFSLADDMPEKVFLGVVAREVRRSVGVTPRENLAARRQHSVPMGQTNEENADGQQIVELGAFSEVRRVRGRNHRQLPTPPQRMRTQGQPPTSQV >DRNTG_09261.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:490841:499089:1 gene:DRNTG_09261 transcript:DRNTG_09261.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTIKQKELACRFVANGKSLEGLIINDRAKQKLKGTGFDSLLLLSSQFNIPTNLLTAVVDAYNIERRCFRLGDTNIFFGLGDVLRIMAIPIDGRPIIETDEDPSELCKEYFGEDISINPDDRKGGITLKSLRKYCNDRKDHALEGQDLDCYIRALVLYLIGSFIIPTGSHIVAPVYLLFLKNIEEIGSYAWGAALLANLHRHLENHIKYRGFIYANVHFLTVFLLEHIPKVAYYILHPEERNGPPIEFPLMVGWNKKLKKSMKKQDRKSIEFFRNCFNELQEDDMF >DRNTG_09261.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:490841:499089:1 gene:DRNTG_09261 transcript:DRNTG_09261.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTIKQKELACRFVANGKSLEGLIINDRAKQKLKGTGFDSLLLLSSQFNIPTNLLTAVVDAYNIERRCFRLGDTNIFFGLGDVLRIMAIPIDGRPIIETDEDPSELCKEYFGEDISINPDDRKGGITLKSLRKYCNDRKDHALEGQDLDCYIRALVLYLIGSFIIPTGSHIVAPVYLLFLKNIEEIGSYAWGAALLANLHRHLENHIKYRGFIYANVHFLTVFLLEHIPKVAYYILHPEERNGPPIEFPLMVGWNKKLKKSMKKQDRKSIEFFRNCFNELQEDDIEWKPYDRLPKDFLFGSYAIQLPLELAFTSLLCLERAILHDPGVSSGRQLFFSDKLFKLQLCDAHTKHDVGTKSKSRQGKKQTWAVVHGEFVNAWNDRWQNLYIDTNDAGEEKEIETAEPAAQWASACPADDMPEEAFTEPAAQGISFSLADDMPEKVFLGVVAREVRRSVGVTPRENLAARRQHSVPMGQTNEENADGQQIVELGAFSEVRRVRGRNHRQLPTPPQRMRTQGQPPTSQV >DRNTG_09261.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:497604:499089:1 gene:DRNTG_09261 transcript:DRNTG_09261.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEAFTEPAAQGISFSLADDMPEKVFLGVVAREVRRSVGVTPRENLAARRQHSVPMGQTNEENADGQQIVELGAFSEVRRVRGRNHRQLPTPPQRMRTQGQPPTSQV >DRNTG_09261.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:495775:497528:1 gene:DRNTG_09261 transcript:DRNTG_09261.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSTADFCNKFLVFYQHARMGRTIKQKELACRFVANGKSLEGLIINDRAKQKLKGTGFDSLLLLSSQFNIPTNLLTAVVDAYNIERRCFRLGDTNIFFGLGDVLRIMAIPIDGRPIIETDEDPSELCKEYFGEDISINPDDRKGGITLKSLRKYCNDRKDHALEGQDLDCYIRALVLYLIGSFIIPTGSHIVAPVYLLFLKNIEEIGSYAWGAALLANLHRHLENHIKYRGFIYANVHFLTVFLLEHIPKVAYYILHPEERNGPPIEFPLMVGWNKKLKKSMKKQDRKSIEFFRNCFNELQEDDIEWKPYDRLPKDFLFGSYAIQLPLELAFTSLLCLERAILHDPGVSSGRQLFFSDKLFKLQLCDAHTKHDVGTKSKSRQGKKQTWAVVHGEFVNAWNDRWQNLYIDTNDAG >DRNTG_08066.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18309068:18310009:1 gene:DRNTG_08066 transcript:DRNTG_08066.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYISLTNNRNQQHEAGPSTMKSKQNRTEYQQPKPDLRPQSGSSASTESVCTWLGLTPPSWTSQQVP >DRNTG_08066.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18309068:18317272:1 gene:DRNTG_08066 transcript:DRNTG_08066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRHTPILDLIFSTNPSDDPPVKENSL >DRNTG_05981.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000273.1:77976:78513:1 gene:DRNTG_05981 transcript:DRNTG_05981.1 gene_biotype:protein_coding transcript_biotype:protein_coding EQVEAWKPIVKAVHGKGGVFFCQLGHVGRVSSYGKVQRIHSCKDLCV >DRNTG_11625.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000513.1:22522:23073:-1 gene:DRNTG_11625 transcript:DRNTG_11625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVNHLLILLLMLLFVLTLVSQGHAHFFGKEKMASFIFYNQERVTGDDPPVVLVAKPEGTTVNASSLIPFGAVYAFDTPFTERQDPNSKVLGEAQGLVVSAGRDKSLLVFILDFGFTSGRFAGSSFSVLSRNPIMEAEREIAIVGGRGKFRLARGFAYLRTVANTTSYGFIVEYKVTIFYYE >DRNTG_15042.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5703770:5715209:-1 gene:DRNTG_15042 transcript:DRNTG_15042.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPGRARRGNRSDSGSRSSSLSRSSSDSGSRSRSLSRSRSRSRSRSFSSSSSQSRSVSSGSRSPRPKRRSSPPSARKSSPPPRDSSPPPGRSSPAPRKSSPNSRKSPLPPPPPPPPLGKSPSSAGRRMRSPSPPSKRLSSPRKMSPVVESLVLHIDHLSRNINEGHLKEIFSNFGEVVNVELVMDRTVNLPRGYGYVEFRKHSDADKARLHMDGGQIDGNVVRVNFTKPQRLKVSPPPKAVPVPKREGPPSDRTGASNEKDARQRQREPSPRRKLSPSRKRSPIHRRPDSPRRRPDSPVRRRDSPIRRRPADSPQRRDDTPPRRRMTPPARRRSPSPISRRARSPARVSPRRGRGSPVRKRSPFPLRRRSPPRRARSPPRRSPPSRRRSRSPVRRPFRSRSRSLSPRRGRGPPAQRRVRSSSSLSGSPSPRRGRKAPESRSPKRRARGRSTSNSRSSSSLSPIPN >DRNTG_22904.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:6777852:6788395:-1 gene:DRNTG_22904 transcript:DRNTG_22904.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRKASDEFEPDPNAPPPPRLVDRFGFVKQDQTNSPEGFKSRPANEHEREERRVKKWRKMIGVGGSDWKHYVRRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPEVYEQLVLYETSASELDIIRDISRTFPSHVFFQQRHGPGQRSLYNVLKAYSVYDRDVGYVQGMGFIAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQAGLPLVQQYLFQFEQLVKEYMPKLGEHFSQEMINPSMYASQWFITVFSYSFPFPLALRIWDVFLYEGVKIVFQIGLALIRFCHDDLIKLPFEKLIHALKNFPEEAMNPDTLLPLAFSIKVSKRLDELEEEYQKKNEGPLQKSGPSHKRMMSLRLKQFSSKS >DRNTG_17633.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4321728:4323902:-1 gene:DRNTG_17633 transcript:DRNTG_17633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNVYGNGSTNRGREERYLLPFDPTKETHRYSILWTDETIIFYIDETPIREVRRRVGGDYPMKPMSLYATIWDASNWATSGGKYKVDYRYSPFVSRFSDLVLLGCRSDPIQLPENEQCDDAMAELISSGIDTITSERRQAMLRFRQKYMTYSVCYDTMRYPEGLPDCDIVLSEKIKFRDNGHLRITNTRRRYRRRSRIPVTGGYRRQIDT >DRNTG_10005.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3168104:3174263:1 gene:DRNTG_10005 transcript:DRNTG_10005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVLGRPAAPLPSSSSRLDGDRVASGSKHQASLSKSETSVDAPDPEAAEEKPEKPQGERRRRPRPNPRLSNLTKGVHGEQVAAGWPAWLSAVAGEAINGWTPRRADSFEKLDKIGQGTYSNVYKARDTLSGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNIVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPGIKFTEPQVKCYMKQLLLGLEHCHGRNVLHRDIKGSNLLLDNGGILKIADFGLASMFDPDHRHPMTSRVVTLWYRPPELLLGATYYGVGVDLWSAGCILTELLAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQPYKRCIQETFKDFPPSSLPLVETLLAIDPAERQTASAALRSEFFRTEPLACEPSSLPQYPPSKEMDAKRRDEEARRLRAAGGKAHHGDGTKKTRTRDRPAKAVPAPEANAELQVNLDRRRLITHANAKCKSEKFPPPHQDGALGFPVGSSQHMEPPAFDPPDISSFSTVFPYQKGGQASTWSGPLVDPAAVVYPRKKKRPPKETQKDKMNIRMR >DRNTG_34250.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28110519:28111689:-1 gene:DRNTG_34250 transcript:DRNTG_34250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETVVFPQELFGHHACKELVSIDGGEWMMNENWDLSCTSMVVQNFEEEWETKSSSTTLDPCKTAIANKRKRRESKSFKNKEEIESQRMIHIATERNRRRLMNEYLAVLRSLMPPSYSQKGDQASIVGGAINYVKELEQVVQTLEAHKRMKQGLNVGDYSELTSSSCNGLNDDGDHHEVVERVRMDDIEVKMVENHASLKVLLKKKPKQLLKLVLGLQGLRLTTFHLNITTLDQMVLYSFSLKVEEECGLSSSDEIVNAVHEIIRKDNIDG >DRNTG_10327.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3080961:3081679:-1 gene:DRNTG_10327 transcript:DRNTG_10327.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLLKVPSLKRMISSPRADRHSDFNECSTSSVAEKGHFFVYTSEGKRFMVPLAYLTNNIFKELLKISEEEFGLPGDGPITLPCDAASMEYVLAMLRRGVSEEVERALLCSIFISCQSTCSALAVENTPQFTVCSC >DRNTG_09892.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29303960:29306189:-1 gene:DRNTG_09892 transcript:DRNTG_09892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISGFIPPARSRKLTAELLWPDYKKRHGSEKKKQQSRRVVVVEDDDDDDDAGGYDFEADFREFNDDPVDSYEEPFGGVHHAPQNPFFHESSKTLKPVEFSGLVEKSAKRKRKNQYRGIRQRPWGKWAAEIRNPCKGVRVWLGTFNTAEEAARAYDAEARRIRGNKAKVNFPEEPSPAAQKRRPKPVASKASQS >DRNTG_09720.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000407.1:28161:32175:-1 gene:DRNTG_09720 transcript:DRNTG_09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFEGLYTITYHHGGILEKGEVKYVNGKAARFTVNPEKLSYWDLLGDAKELGYDIKKDVTLSYTDMQGVLKSIYNDKDIAGLHEKISAQYTSDVYIECSESYHGKQLPKVLLSGSDDNAIKDVLNDVGISSVSECSTDDEESLAAFLFETENSEANNEIQEARQKVKRYIEVKNKIIEESSGDGEVQVGDEGQQNQNRECRDLVIVNPNVGDSEKTTGYESDYFDSSGPGSYESTSHDSNADDAKRQKSRGIYYNPNKPLKYFYLDLSSGTLKLFKSELVAFSVRIGFEFQYVKNDSKRVRAKCSAKGCKWIILCSWCSGKETFVVKNYVSEHSCFVGTSKNKRVTGSVVAKRFGDVIGAMPFIKPWHLRVMNTLANKYRRPIATTNGEENARETLKKRRKEPLESKSKSQSKISREDRVMKCGICHTQGHNKLRCPQRTQINFSSSSRMRTKAQAAANYGNYTTLRGAHTGEHIVGRERMPSTSFITAQGLLVRRHKMIVEMASHSEERYQQTSATMEFPDIPSQNSVLDTGNTTNL >DRNTG_28495.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8101971:8103170:-1 gene:DRNTG_28495 transcript:DRNTG_28495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEAYVLFSEAFALFQQVTGPMHREVASCCRYLAMVLCHAGDIDGAIMQQHKELIINERCLGLDHLDTAHSYGNMALFYHGLNQIELVMRHMSRTLLLLSLSSGPDHPDVAATFINIAMMYQDIGKMDTTLRYLQEALKKNERLLSSEHIQTAVCYHAPAIAFNFNRSMN >DRNTG_28033.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5295479:5299208:-1 gene:DRNTG_28033 transcript:DRNTG_28033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVEQQQRMQLIKGERHLFSSSDDAIVMKQILATHAPDGREVEVRPILNLVEDILRRATPTAVMVPQAHELELVEDKAQKYEVTSMLEALAYPIHRISSEITYKCSGGGEAHATALALFQTLSTYTWDAKLVLTLAAFAVTYGGFWLSAQIYTVNPLAKSIAQLKQLPDILEQTDVLKPRFDAINNLIKAMLDVTKCIIEFKELPTEYIPLDSPDINLAMAHIPTAVYWIVRSVLACASQIIALIGLGHEYMSSTTEAWELSSLAHKVANIHGHL >DRNTG_28033.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5295479:5297029:-1 gene:DRNTG_28033 transcript:DRNTG_28033.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKILKALIYSKDDLPLIEGTTKKRVRVDVLRRKIVLLFITDLDITPEELFVLIQIYNDTHQGKVERHYEIVWLPIINLHVSLLPSKETTFDQLSSVMPWYSLQHPALLDKAVVKYIRDVWHFDKKPILVVLDPQGKVVCPNALHMMWIWGSLAFPFTSAREEALWKEETWRLELLIDEIDPTIVEWAREGRHICLYGGEDIDWIRQFTTTMKHITQETRIPIEMVYVGKSNRKEKNKKIISIIAAEKLSSYWEEPAMIWFFWVRIESMWHSKRQHGHTVDTDQIMQEVTQMLSFDGSDEGWAVFSRGLMEIVKSSAKKLLDCLNEF >DRNTG_30805.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19712766:19716613:1 gene:DRNTG_30805 transcript:DRNTG_30805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPISHSLPSLTHGFVPKTLALKRTPSFIVSRRSDYYEPQKVTSRPPPPEDSLSAASQPSRVYVGYSIYKGKAALTIEPRPPEFSPLDSGAFKVSKEGFALMQFAPAVGTRQYDWSRKQVFSLSVTEIGTLMSLGAKDSCEFFHDPFKGRSDEGKVRKLLKAEPLPDGTGHFFNLSVQNRLLNVDESIYIPISKAEFTILNSSFNFIIPYLLGWHAFANTIKPEDSIQTNNAISRSGVELEWGR >DRNTG_00910.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21572216:21574813:1 gene:DRNTG_00910 transcript:DRNTG_00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVTVSYSGFLASHIRSGVTGGAAPCRIFNDCAVRSLCSLFSSDPPKRPLRDPPPSAAARSSSNDCRSAFAIGVLSAMTSGSGSGAGAYSGAFAVSSSMGVGFKPSSLLPFFQATKWFPCSDLFPGLSKSADNSSVDKVTVDAGNRDRDKEEKEKPLSAESVKKMTFMDEKVSEVREGHGWLSRWMNSCSDEAKTAFVAVTVPLLYGSRLAEPRSIPTRSMYPTFDVGDRILAEKVSYLFREPEVTDIVIFRAPPILLANGYSSGDVFIKRVVAKSGDIVEVRDGKLLVNGVVQNEEFILEPLAYEMEPMFVPEGYVFVLGDNRNNSFDSHNWGPLPVSHILGRSVLRYWPPSKISDTIYDPTMVHNMLSVS >DRNTG_06457.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:11343568:11345839:1 gene:DRNTG_06457 transcript:DRNTG_06457.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALRRLENAIGVVRAEVAEIRATASHSSTQSSWHVSTYYSRS >DRNTG_32032.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8382350:8392076:1 gene:DRNTG_32032 transcript:DRNTG_32032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAKVCPENAFRYNGSLCACNPGMFFSNGSCSLFATSEKDWSIGSGVTSTPTFLTTVLPLESIRRITQSQAVLLEATLVALVIWLLFCVGVRFGRVDGGRSVWFRIRWWISRLDVSFATNHWLDDCQVVQKRQTELGGTFSVACWMLFVGLLSALLYQIIAKRNIEVHRVRPANAPDLLAFVNDFEFNITTISSMTCSNLRSPDTLVTGLPGFVDYRVFPLSTYINYHCYNTSMGPTVSLRCSNCQVPRRDHFISWHFVDLPNNPATAVGFLFNLTAKEHGYGKYMSYVNGTLNSNSDNKPQTFRGPDLNILKIHLFPQNYIRLHKLKLIQPLFHDFIPGSSIAEVNKLQSSLQSPNGGIINTTLYISYLADYVVEIDKENNNGPVGFLADIGGLYSISLAIFLYLLWQCEGRFKKLRYEDSVMREIKSRRRAQRNWNKLRKFVMYTWGPSNLDGNGMINKGKGKFRLDYSCGIESLHNREQPRRKDTNCSNKMVDFNVETVS >DRNTG_14286.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:807279:809941:1 gene:DRNTG_14286 transcript:DRNTG_14286.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLVKRQEIQAKLLDEIQEVVGSEAEEVKEEELQGISYLRVVVLEGLRRHPAGHFLLPHSVAEDVLINGYVISKGVTINFIVADVGRDDKQELDTSHTQA >DRNTG_22633.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001246.1:80655:81763:1 gene:DRNTG_22633 transcript:DRNTG_22633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPKLAGKSQMVAVVPGMVGDLLIYCKFLRNFEQSDKWIRTLWGEANNERMHLMTFMEGHQLQKLPAILVTKLFEDVNMEKKTPTKHRDSIDEDLHIYQDAYSKRHQLQNWLKVDIEVGSFHGDLVEDNDQIPRIQVAAAPACLHEFSLGAPCVGTQNKKEMNAV >DRNTG_11455.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12479126:12480533:-1 gene:DRNTG_11455 transcript:DRNTG_11455.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTTAVWAVFAAAAAVIYWFVWVMGAAEVKGKRAVDLKMGSITSDKVQDNYKQYWSFFRRPKETAGDSTNVPAFVDTFYNLVTDIYEWGWGQSFHFSPSIPNLSHRDATRIHEERAADLINARPGLKVLDVGCGVGGPMRAIASHSRANITGITINEYQVARARAHNRKAGLDSICDVVCGNFLSMPFSDASFDAAYSIEATCHAPKLQDVYREIYRVLKPGALYVSYEWVTTPLFRADNEEHVEIIHGIERGDALPGLRSHDEIAVIANEIGFEVLEEKDLAKPPAGPWWTRLKMGKIAYWRNHLLVSALTMLRIAPKGVVDVHEMLYETAGHLTRGGETGIFTPMHMILCRKPSTATNVAAADE >DRNTG_16084.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25572398:25574570:1 gene:DRNTG_16084 transcript:DRNTG_16084.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:Projected from Arabidopsis thaliana (AT5G01830) UniProtKB/TrEMBL;Acc:A0A178UNI7] MAVSSFPMPIPLPSPPSPPALSDADLLRSLLRLSKEIAHSEPPGDLFHRGFASVARKIRVLSVIFEDLQRDRIPRLRRSAELCFKEILVVLQHLKALLADCSARSRMRLLLQSESLSNDLHELTLDLSTLLDILPMAELDLSEDVRELVDLLRRQCRRSDPPSDLEEESLRLEILAMIREIENEIVPDRERLEKIFDRLGLVDSRSCSDEIECLEREIGDRVVENLTPAMLALVGLIRYGKCVLFGASTPRSVSAEKPAFLQPNPVIPDDFRCPISLDLMRDPVVVSSGQTYDRDSITRWFSSGHATCPKTGQTLDRSDLVSNRALKNLISRWCREENVPYHSPESGNGETNGVSSNKAALEAARMTASFLVEKLAASQSTEAANRVVHELRQLTKTSSESRGFAAEAGAIPLLLPLLSENEPSLQLNAVTALLNLSIIDTNKRRIMHTDGALDALLRVLSDGATWQAKQNSATTLRSLSVNHSYRRRFGRNPRVVEELLNLVKVPPASKDALLALHALAGDRANIGKLVESGAVTVALESLVDHETAEEATALLAAIAKRGGASSLASAKGSIKMLVKVLRDGSESAQENAAAALVLVCRRTGGAAVAEITTTPGIEWAICEVMSSGTPRARRKAASLGRICRRWLAAEEACSINGSAVTE >DRNTG_16084.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25572331:25574697:1 gene:DRNTG_16084 transcript:DRNTG_16084.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:Projected from Arabidopsis thaliana (AT5G01830) UniProtKB/TrEMBL;Acc:A0A178UNI7] MEGIINLREARAHAPPLLSSPFKLLGTPSMAVSSFPMPIPLPSPPSPPALSDADLLRSLLRLSKEIAHSEPPGDLFHRGFASVARKIRVLSVIFEDLQRDRIPRLRRSAELCFKEILVVLQHLKALLADCSARSRMRLLLQSESLSNDLHELTLDLSTLLDILPMAELDLSEDVRELVDLLRRQCRRSDPPSDLEEESLRLEILAMIREIENEIVPDRERLEKIFDRLGLVDSRSCSDEIECLEREIGDRVVENLTPAMLALVGLIRYGKCVLFGASTPRSVSAEKPAFLQPNPVIPDDFRCPISLDLMRDPVVVSSGQTYDRDSITRWFSSGHATCPKTGQTLDRSDLVSNRALKNLISRWCREENVPYHSPESGNGETNGVSSNKAALEAARMTASFLVEKLAASQSTEAANRVVHELRQLTKTSSESRGFAAEAGAIPLLLPLLSENEPSLQLNAVTALLNLSIIDTNKRRIMHTDGALDALLRVLSDGATWQAKQNSATTLRSLSVNHSYRRRFGRNPRVVEELLNLVKVPPASKDALLALHALAGDRANIGKLVESGAVTVALESLVDHETAEEATALLAAIAKRGGASSLASAKGSIKMLVKVLRDGSESAQENAAAALVLVCRRTGGAAVAEITTTPGIEWAICEVMSSGTPRARRKAASLGRICRRWLAAEEACSINGSAVTE >DRNTG_16084.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25572171:25574570:1 gene:DRNTG_16084 transcript:DRNTG_16084.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:Projected from Arabidopsis thaliana (AT5G01830) UniProtKB/TrEMBL;Acc:A0A178UNI7] MEGIINLREARAHAPPLLSSPFKLLGTPSMAVSSFPMPIPLPSPPSPPALSDADLLRSLLRLSKEIAHSEPPGDLFHRGFASVARKIRVLSVIFEDLQRDRIPRLRRSAELCFKEILVVLQHLKALLADCSARSRMRLLLQSESLSNDLHELTLDLSTLLDILPMAELDLSEDVRELVDLLRRQCRRSDPPSDLEEESLRLEILAMIREIENEIVPDRERLEKIFDRLGLVDSRSCSDEIECLEREIGDRVVENLTPAMLALVGLIRYGKCVLFGASTPRSVSAEKPAFLQPNPVIPDDFRCPISLDLMRDPVVVSSGQTYDRDSITRWFSSGHATCPKTGQTLDRSDLVSNRALKNLISRWCREENVPYHSPESGNGETNGVSSNKAALEAARMTASFLVEKLAASQSTEAANRVVHELRQLTKTSSESRGFAAEAGAIPLLLPLLSENEPSLQLNAVTALLNLSIIDTNKRRIMHTDGALDALLRVLSDGATWQAKQNSATTLRSLSVNHSYRRRFGRNPRVVEELLNLVKVPPASKDALLALHALAGDRANIGKLVESGAVTVALESLVDHETAEEATALLAAIAKRGGASSLASAKGSIKMLVKVLRDGSESAQENAAAALVLVCRRTGGAAVAEITTTPGIEWAICEVMSSGTPRARRKAASLGRICRRWLAAEEACSINGSAVTE >DRNTG_16084.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25572398:25574697:1 gene:DRNTG_16084 transcript:DRNTG_16084.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:Projected from Arabidopsis thaliana (AT5G01830) UniProtKB/TrEMBL;Acc:A0A178UNI7] MAVSSFPMPIPLPSPPSPPALSDADLLRSLLRLSKEIAHSEPPGDLFHRGFASVARKIRVLSVIFEDLQRDRIPRLRRSAELCFKEILVVLQHLKALLADCSARSRMRLLLQSESLSNDLHELTLDLSTLLDILPMAELDLSEDVRELVDLLRRQCRRSDPPSDLEEESLRLEILAMIREIENEIVPDRERLEKIFDRLGLVDSRSCSDEIECLEREIGDRVVENLTPAMLALVGLIRYGKCVLFGASTPRSVSAEKPAFLQPNPVIPDDFRCPISLDLMRDPVVVSSGQTYDRDSITRWFSSGHATCPKTGQTLDRSDLVSNRALKNLISRWCREENVPYHSPESGNGETNGVSSNKAALEAARMTASFLVEKLAASQSTEAANRVVHELRQLTKTSSESRGFAAEAGAIPLLLPLLSENEPSLQLNAVTALLNLSIIDTNKRRIMHTDGALDALLRVLSDGATWQAKQNSATTLRSLSVNHSYRRRFGRNPRVVEELLNLVKVPPASKDALLALHALAGDRANIGKLVESGAVTVALESLVDHETAEEATALLAAIAKRGGASSLASAKGSIKMLVKVLRDGSESAQENAAAALVLVCRRTGGAAVAEITTTPGIEWAICEVMSSGTPRARRKAASLGRICRRWLAAEEACSINGSAVTE >DRNTG_12066.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15667817:15670220:1 gene:DRNTG_12066 transcript:DRNTG_12066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLINEKGNIQTDKDKGHAYLKELKDACMIESVEDDNKLVRMHDLIRDLAIKITRENPEQPLFLAKAGLGLTESPEEEEWIESLQRVSLMKNDIKAFGGQPNCPQLSTLLLHHNFGSEVTFSDTFFKHMHNLRVLNLSDTRIKSLPDSISDLVHLQALILTSCDQLKRLPSVAKLQKLRQLELGGLESLKELPHGLENLVKLRHLDISEGGWGGFPSGALSKMSCLEILYMHNGQWTREGWVSGRWRFSYRSNGDAEDTSTVGEFISLKNLTRFSADFTDVLTFNNYINGVDEFEILKNFDYFLFTVSQKYDGHNIESESMEKVMLPCTANYLGIDGYNFIQLLDIFGSDGLRQLIDCRIQRCNEMEWIGRDGEIVLPSIKRLIFADLHSFKGLCKGKTHEDTFKNLTHLFIAYCHKLKYLMPIDLLVNNLQNLEEISIVECDEMEDIISGKASADMTILPKLNKLSLWYLPRLTSVCQGKLVCNSLCDIVLIHCTELKKLPFLINNKSSISAMISGSKEWWEILTWEDPYLKERLQPFFKSYEMAYYGIRHRHTILDESIEQMFKVSPQSFCCLSRLYLFV >DRNTG_10192.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2189599:2192555:1 gene:DRNTG_10192 transcript:DRNTG_10192.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSASNDDHTNSVPDSAKEAVTKFIVPKTRPQSSSPSNNDHTNGLPDSAEEAAPCTATYSKSSMPSSGKLSYHSSDDLVDDWSSFKSNKLIDVSPQARCNSPELPCSLMETNIDPSEIDDACNPTVKTGTVTARPKIIVRTSNFKTRLIGKDDQNNQKKGHQADTEYACGVLNSCPLSKGAFSRNNNENGIIKKRKLQHVGDEIQGAHQSKNMSLSASLSEEGMPCNLTNEENTNEVQANFGCDISHLKNYSSIAEKSMERFASLMSSFKYNSSGSRASGLRAPLRDVQNTSSSRARICTANSIKHSFNKNKR >DRNTG_10192.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2184945:2192555:1 gene:DRNTG_10192 transcript:DRNTG_10192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQGLLPLLKSIMVPIAIEELRGQTVAVDTYSWLHKGALSCSMQLCKGLPTSKHIDYCMHRVNLLRHHGVKPILVFDGGFLPIKIDQETKRARVRKENLERALEHEATGNSSVAYECYQKAVDISPSIAWELIQVLKKERVNYIVAPYEADAQMTFLSINKLVDAVITEDSDLVPFGCHRIIFKMDKFGQGVEFKSSMLGKNKELDFTGFTKTMLLEMCIFSGCDYLQSLPGMGLKRAHALVQKFKSYEKVIKHLRYNSVAVPPHYEETFKKAMWAFKHQRVYDPAKKDIVHLSDIPHDLTLDLEFLGPWLSQSLVQGIAKGDIDPLTKAPFQENEASANVVSKNYTEKEFVPPIGRKRLDLPVQKNILTNYFCLASIEARRKFIAPKTRMQSSSASNDDHTNSVPDSAKEAVTKFIVPKTRPQSSSPSNNDHTNGLPDSAEEAAPCTATYSKSSMPSSGKLSYHSSDDLVDDWSSFKSNKLIDVSPQARCNSPELPCSLMETNIDPSEIDDACNPTVKTGTVTARPKIIVRTSNFKTRLIGKDDQNNQKKGHQADTEYACGVLNSCPLSKGAFSRNNNENGIIKKRKLQHVGDEIQGAHQSKNMSLSASLSEEGMPCNLTNEENTNEVQANFGCDISHLKNYSSIAEKSMERFASLMSSFKYNSSGSRASGLRAPLRDVQNTSSSRARICTANSIKHSFNKNKR >DRNTG_14672.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5686347:5689267:1 gene:DRNTG_14672 transcript:DRNTG_14672.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCKLVICFLFFEMFWCWTEGLGVNWGTMAVRQLPPKTVVQMLQDNGIKKVKLFDADFNTMSALAGTDIEVMVAIPNDMLAIMTDYDAAKDWVKRNVTRYNWDGGVKIKYVAVGNEPFLSSYNGSFLNVTFPALQNIQNALIDAGFGDTIKATVPLNADVYNSPSVPSAGQFRTDISELMTQIVQFFNQNGAPFTVNIYPFLSLYADVHFPVDFAFFDGGSTPIVDGQIQYTNVFDANFDTLVSALKAVGLGNLPIIVGEVGWPTDGDINAKASYAERFYNGLLKRLAANQGTPLRPNTNIEVYLFGLIDEDAKSIAPGAFERHWGIFRYDGQPKFPMDLSGQGQNKLLVAAKNVQYLPQKWCVFNPNAKDLSKLGDNINYACTFSDCTSLGYGSTCSNLDTQGNASYAFNMYFQVQGQKELSCVFEGLAMITTQNYSQGTCDFIIQLASSPSLRTSQFVMFVLVLIVILFFQSEQL >DRNTG_14672.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5686724:5689842:1 gene:DRNTG_14672 transcript:DRNTG_14672.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCKLVICFLFFEMFWCWTEGLGVNWGTMAVRQLPPKTVVQMLQDNGIKKVKLFDADFNTMSALAGTDIEVMVAIPNDMLAIMTDYDAAKDWVKRNVTRYNWDGGVKIKYVAVGNEPFLSSYNGSFLNVTFPALQNIQNALIDAGFGDTIKATVPLNADVYNSPSVPSAGQFRTDISELMTQIVQFFNQNGAPFTVNIYPFLSLYADVHFPVDFAFFDGGSTPIVDGQIQYTNVFDANFDTLVSALKAVGLGNLPIIVGEVGWPTDGDINAKASYAERFYNGLLKRLAANQGTPLRPNTNIEVYLFGLIDEDAKSIAPGAFERHWGIFRYDGQPKFPMDLSGQGQNKLLVAAKNVQYLPQKWCVFNPNAKDLSKLGDNINYACTFSDCTSLGYGSTCSNLDTQGNASYAFNMYFQVQGQKELSCVFEGLAMITTQNYSQGTCDFIIQLASSPSLRTSQFVMFVLVLIVILFFQSEQL >DRNTG_14672.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5686724:5689492:1 gene:DRNTG_14672 transcript:DRNTG_14672.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCKLVICFLFFEMFWCWTEGLGVNWGTMAVRQLPPKTVVQMLQDNGIKKVKLFDADFNTMSALAGTDIEVMVAIPNDMLAIMTDYDAAKDWVKRNVTRYNWDGGVKIKYVAVGNEPFLSSYNGSFLNVTFPALQNIQNALIDAGFGDTIKATVPLNADVYNSPSVPSAGQFRTDISELMTQIVQFFNQNGAPFTVNIYPFLSLYADVHFPVDFAFFDGGSTPIVDGQIQYTNVFDANFDTLVSALKAVGLGNLPIIVGEVGWPTDGDINAKASYAERFYNGLLKRLAANQGTPLRPNTNIEVYLFGLIDEDAKSIAPGAFERHWGIFRYDGQPKFPMDLSGQGQNKLLVAAKNVQYLPQKWCVFNPNAKDLSKLGDNINYACTFSDCTSLGYGSTCSNLDTQGNASYAFNMYFQVQGQKELSCVFEGLAMITTQNYSQGTCDFIIQLASSPSLRTSQFVMFVLVLIVILFFQSEQL >DRNTG_14672.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5686628:5689842:1 gene:DRNTG_14672 transcript:DRNTG_14672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCKLVICFLFFEMFWCWTEGLGVNWGTMAVRQLPPKTVVQMLQDNGIKKVKLFDADFNTMSALAGTDIEVMVAIPNDMLAIMTDYDAAKDWVKRNVTRYNWDGGVKIKYVAVGNEPFLSSYNGSFLNVTFPALQNIQNALIDAGFGDTIKATVPLNADVYNSPSVPSAGQFRTDISELMTQIVQFFNQNGAPFTVNIYPFLSLYADVHFPVDFAFFDGGSTPIVDGQIQYTNVFDANFDTLVSALKAVGLGNLPIIVGEVGWPTDGDINAKASYAERFYNGLLKRLAANQGTPLRPNTNIEVYLFGLIDEDAKSIAPGAFERHWGIFRYDGQPKFPMDLSGQGQNKLLVAAKNVQYLPQKWCVFNPNAKDLSKLGDNINYACTFSDCTSLGYGSTCSNLDTQGNASYAFNMYFQVQGQKELSCVFEGLAMITTQNYSQGTCDFIIQLASSPSLRTSQFVMFVLVLIVILFFQSEQL >DRNTG_14672.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5687955:5689267:1 gene:DRNTG_14672 transcript:DRNTG_14672.11 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYRYVAVGNEPFLSSYNGSFLNVTFPALQNIQNALIDAGFGDTIKATVPLNADVYNSPSVPSAGQFRTDISELMTQIVQFFNQNGAPFTVNIYPFLSLYADVHFPVDFAFFDGGSTPIVDGQIQYTNVFDANFDTLVSALKAVGLGNLPIIVGEVGWPTDGDINAKASYAERFYNGLLKRLAANQGTPLRPNTNIEVYLFGLIDEDAKSIAPGAFERHWGIFRYDGQPKFPMDLSGQGQNKLLVAAKNVQYLPQKWCVFNPNAKDLSKLGDNINYACTFSDCTSLGYGSTCSNLDTQGNASYAFNMYFQVQGQKELSCVFEGLAMITTQNYSQGTCDFIIQLASSPSLRTSQFVMFVLVLIVILFFQSEQL >DRNTG_14672.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5686724:5689366:1 gene:DRNTG_14672 transcript:DRNTG_14672.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCKLVICFLFFEMFWCWTEGLGVNWGTMAVRQLPPKTVVQMLQDNGIKKVKLFDADFNTMSALAGTDIEVMVAIPNDMLAIMTDYDAAKDWVKRNVTRYNWDGGVKIKYVAVGNEPFLSSYNGSFLNVTFPALQNIQNALIDAGFGDTIKATVPLNADVYNSPSVPSAGQFRTDISELMTQIVQFFNQNGAPFTVNIYPFLSLYADVHFPVDFAFFDGGSTPIVDGQIQYTNVFDANFDTLVSALKAVGLGNLPIIVGEVGWPTDGDINAKASYAERFYNGLLKRLAANQGTPLRPNTNIEVYLFGLIDEDAKSIAPGAFERHWGIFRYDGQPKFPMDLSGQGQNKLLVAAKNVQYLPQKWCVFNPNAKDLSKLGDNINYACTFSDCTSLGYGSTCSNLDTQGNASYAFNMYFQVQGQKELSCVFEGLAMITTQNYSQGTCDFIIQLASSPSLRTSQFVMFVLVLIVILFFQSEQL >DRNTG_14672.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5686724:5689267:1 gene:DRNTG_14672 transcript:DRNTG_14672.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCKLVICFLFFEMFWCWTEGLGVNWGTMAVRQLPPKTVVQMLQDNGIKKVKLFDADFNTMSALAGTDIEVMVAIPNDMLAIMTDYDAAKDWVKRNVTRYNWDGGVKIKYVAVGNEPFLSSYNGSFLNVTFPALQNIQNALIDAGFGDTIKATVPLNADVYNSPSVPSAGQFRTDISELMTQIVQFFNQNGAPFTVNIYPFLSLYADVHFPVDFAFFDGGSTPIVDGQIQYTNVFDANFDTLVSALKAVGLGNLPIIVGEVGWPTDGDINAKASYAERFYNGLLKRLAANQGTPLRPNTNIEVYLFGLIDEDAKSIAPGAFERHWGIFRYDGQPKFPMDLSGQGQNKLLVAAKNVQYLPQKWCVFNPNAKDLSKLGDNINYACTFSDCTSLGYGSTCSNLDTQGNASYAFNMYFQVQGQKELSCVFEGLAMITTQNYSQGTCDFIIQLASSPSLRTSQFVMFVLVLIVILFFQSEQL >DRNTG_14672.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5686628:5689267:1 gene:DRNTG_14672 transcript:DRNTG_14672.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCKLVICFLFFEMFWCWTEGLGVNWGTMAVRQLPPKTVVQMLQDNGIKKVKLFDADFNTMSALAGTDIEVMVAIPNDMLAIMTDYDAAKDWVKRNVTRYNWDGGVKIKYVAVGNEPFLSSYNGSFLNVTFPALQNIQNALIDAGFGDTIKATVPLNADVYNSPSVPSAGQFRTDISELMTQIVQFFNQNGAPFTVNIYPFLSLYADVHFPVDFAFFDGGSTPIVDGQIQYTNVFDANFDTLVSALKAVGLGNLPIIVGEVGWPTDGDINAKASYAERFYNGLLKRLAANQGTPLRPNTNIEVYLFGLIDEDAKSIAPGAFERHWGIFRYDGQPKFPMDLSGQGQNKLLVAAKNVQYLPQKWCVFNPNAKDLSKLGDNINYACTFSDCTSLGYGSTCSNLDTQGNASYAFNMYFQVQGQKELSCVFEGLAMITTQNYSQGTCDFIIQLASSPSLRTSQFVMFVLVLIVILFFQSEQL >DRNTG_14672.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5686347:5689366:1 gene:DRNTG_14672 transcript:DRNTG_14672.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCKLVICFLFFEMFWCWTEGLGVNWGTMAVRQLPPKTVVQMLQDNGIKKVKLFDADFNTMSALAGTDIEVMVAIPNDMLAIMTDYDAAKDWVKRNVTRYNWDGGVKIKYVAVGNEPFLSSYNGSFLNVTFPALQNIQNALIDAGFGDTIKATVPLNADVYNSPSVPSAGQFRTDISELMTQIVQFFNQNGAPFTVNIYPFLSLYADVHFPVDFAFFDGGSTPIVDGQIQYTNVFDANFDTLVSALKAVGLGNLPIIVGEVGWPTDGDINAKASYAERFYNGLLKRLAANQGTPLRPNTNIEVYLFGLIDEDAKSIAPGAFERHWGIFRYDGQPKFPMDLSGQGQNKLLVAAKNVQYLPQKWCVFNPNAKDLSKLGDNINYACTFSDCTSLGYGSTCSNLDTQGNASYAFNMYFQVQGQKELSCVFEGLAMITTQNYSQGTCDFIIQLASSPSLRTSQFVMFVLVLIVILFFQSEQL >DRNTG_14672.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5686628:5689492:1 gene:DRNTG_14672 transcript:DRNTG_14672.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCKLVICFLFFEMFWCWTEGLGVNWGTMAVRQLPPKTVVQMLQDNGIKKVKLFDADFNTMSALAGTDIEVMVAIPNDMLAIMTDYDAAKDWVKRNVTRYNWDGGVKIKYVAVGNEPFLSSYNGSFLNVTFPALQNIQNALIDAGFGDTIKATVPLNADVYNSPSVPSAGQFRTDISELMTQIVQFFNQNGAPFTVNIYPFLSLYADVHFPVDFAFFDGGSTPIVDGQIQYTNVFDANFDTLVSALKAVGLGNLPIIVGEVGWPTDGDINAKASYAERFYNGLLKRLAANQGTPLRPNTNIEVYLFGLIDEDAKSIAPGAFERHWGIFRYDGQPKFPMDLSGQGQNKLLVAAKNVQYLPQKWCVFNPNAKDLSKLGDNINYACTFSDCTSLGYGSTCSNLDTQGNASYAFNMYFQVQGQKELSCVFEGLAMITTQNYSQGTCDFIIQLASSPSLRTSQFVMFVLVLIVILFFQSEQL >DRNTG_14672.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5686628:5689366:1 gene:DRNTG_14672 transcript:DRNTG_14672.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCKLVICFLFFEMFWCWTEGLGVNWGTMAVRQLPPKTVVQMLQDNGIKKVKLFDADFNTMSALAGTDIEVMVAIPNDMLAIMTDYDAAKDWVKRNVTRYNWDGGVKIKYVAVGNEPFLSSYNGSFLNVTFPALQNIQNALIDAGFGDTIKATVPLNADVYNSPSVPSAGQFRTDISELMTQIVQFFNQNGAPFTVNIYPFLSLYADVHFPVDFAFFDGGSTPIVDGQIQYTNVFDANFDTLVSALKAVGLGNLPIIVGEVGWPTDGDINAKASYAERFYNGLLKRLAANQGTPLRPNTNIEVYLFGLIDEDAKSIAPGAFERHWGIFRYDGQPKFPMDLSGQGQNKLLVAAKNVQYLPQKWCVFNPNAKDLSKLGDNINYACTFSDCTSLGYGSTCSNLDTQGNASYAFNMYFQVQGQKELSCVFEGLAMITTQNYSQGTCDFIIQLASSPSLRTSQFVMFVLVLIVILFFQSEQL >DRNTG_03270.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10648349:10649009:-1 gene:DRNTG_03270 transcript:DRNTG_03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNYMSRPEHGALTNGRRPTRRGPVNLQGLKS >DRNTG_21375.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19376459:19381712:-1 gene:DRNTG_21375 transcript:DRNTG_21375.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein Brevis radix-like 4 [Source:Projected from Arabidopsis thaliana (AT5G20540) UniProtKB/Swiss-Prot;Acc:Q8GZ92] MLTCIACSKQLGGSLHEQTDNDGAGTPSTRQAIKALTAQIKEMALRASGAYRQCKPCAGAGARARGYEESEATGSGSERYVCGYRRAGESSASTPRAYVASGEATPSMSGRTDAGASIFMEEDEDDAPREWVAQVEPGVLITFVSVPRGGNDLKRIRFSREMFNKWQAQRWWAENYDRVMELYNVQRFNRQAVPLTTPPRSEDESSKIESAEDSPVTPPLSKERLPPSFHRPIGGAMGYSSSDSLDHHHSQTYRPHNRHYYDSGGLTSTPKLSSISGAKTETSSMDASIRTSSSPEEADRSDELSVSFSNASDQETEWVEQDEPGVYITIRALPNGIRELRRVRFSRERFGEMHARLWWEENRARIREQYL >DRNTG_06067.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3930955:3931294:1 gene:DRNTG_06067 transcript:DRNTG_06067.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQHKLKQQSSITIKHVFPTQIHHYLHQIHQIHIYTCIHTLIHQKYTKKSSYQLKPSLRRAPSGDLPSPTSNLPFFSPHF >DRNTG_00673.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16016493:16018511:-1 gene:DRNTG_00673 transcript:DRNTG_00673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSPTEYKYNPTVCKTQIQSAYKPYTHKGGNTEILTEADNMTPRHPRS >DRNTG_02081.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000105.1:13263:15220:-1 gene:DRNTG_02081 transcript:DRNTG_02081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDRRPAPDPSPSPVQPSSWAKIASSLSKSSDNSLLHNPHILNKLKDTTTDFIRLDGDAMNRARMRFQHAVYGKLFGKPPPFDHVKSDLLAKWSSLGEVSISDLPNGFLLIRCSSQKTMQHLLVDGPWSVNGIILQLSPRKPFFEPTFAKLTTAAIWLQLHNLPVEFWEGETLETIASQFGSLLKVDDFTASLNRSKFARICVEIDLSKPLCRGFWIGNDLHRVFVVVLYERLPTFCYTCGMIGHGSSSCSRSSTAGTAGTSATHRKWRVETGPSLVSAVQDQRMDDSDPIPDLNSSDPSVNAVQSHPDSDFGPWLLVSRRRGSVRGRGGGARAGHVTHNAAAAPSTEGETSRGTTFRSIRGGRVHGSSGRHTNSQATFSAITINEQLPTPVNHTSHSLPVALPLPNVQKEDSHRSLGDDLSHLSALPPNSTSQISEARREALVRKGSNIPDSSPKKGRLKTGGSRASPSETPLLASFGSFVLISQPLSVSLRLELTPTALIVFAGKFRRTGIGPRSFPMASRG >DRNTG_05157.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4664663:4666820:1 gene:DRNTG_05157 transcript:DRNTG_05157.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPFEAEAISAGFGEGDLGSRNDAKRQSAKEEKERSEAASRSNAYQTAYAKAEEASKVLRQGPPLTSKASEEDALVFGEDYEDLQKSLEQSRKLALKRREEAAASGPVAVAILAVADREQSEIHSPELSHKKTKLSLLRWRSLYWVYR >DRNTG_05157.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4660810:4666820:1 gene:DRNTG_05157 transcript:DRNTG_05157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREERLKRKSDGSSEISAWVSKSRKVEENRNAEKEKAVRLCKILDGQESFLAESDDEDATRHSGKDLAGVKILHGLDKVREGGAVVLTLKDQDILAGGDLNEDVDMLENVEIGEQKRRDEAYKAAKKNTGIYFDKFNDDTGAQRPILPQYDDPVEDEVKQRRN >DRNTG_05157.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4660810:4663626:1 gene:DRNTG_05157 transcript:DRNTG_05157.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKVRLESRERRKDDWEYDKDREKDKVRENDHDRDMHRWKERDRDTERYYDEENDHDRGRERKVDRDRDLPLEYERDRNRERDRDGDKGHDRSKGRDKDHGKENERELERVRGKDKDRDRGKEKERE >DRNTG_05157.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4665538:4666820:1 gene:DRNTG_05157 transcript:DRNTG_05157.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSELEVKDTGGGGLEDFEETADGELQDNEEKEDISPDEIIHETAVGKGLSGALKLLNERGTLKEDIDWGGGNMDKKKSKLVSINNAGPKEIRIERLDEFGRIMTPKEAFWMISH >DRNTG_26847.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1584309:1587431:1 gene:DRNTG_26847 transcript:DRNTG_26847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEARKGGAGAGQAAAAAAAAARKVTVKSADMKEEMQKEAIDCAIEAFEKQGVEKDVAEHIKEGV >DRNTG_00643.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:12447716:12453305:-1 gene:DRNTG_00643 transcript:DRNTG_00643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGIWKPWRTRRYHFGKKKKSGISYYPHTTRLWGPGLFVGRLFGRSFGRFLGDLGEEKKGKKARRSFKPKVQGSQGKKATSFKGEIDHDLKEGERG >DRNTG_22064.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20386823:20393991:-1 gene:DRNTG_22064 transcript:DRNTG_22064.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 23 [Source:Projected from Arabidopsis thaliana (AT1G72320) UniProtKB/Swiss-Prot;Acc:Q9C552] MSSIYGSHVLRSLLCLCKGVPLESLEQFHVSKSSIILAKRLNNHPARQIGKNHESSQLGFENVFKSLIMEILNHAKDEMKNLVCDKCSSFVLQTALKLLVGDDQLMSDAILTILQCHGMNMTKDKSFEVVMKKNVLSLLQDPSATRLLEVIVEVAPDSLYDKLVNEVFKGSMYTISLQHCGNFVVQALISSSRTCDQVGVMWDEIGPNIRELLDSQKAGVVASLLAACQRLQTHAQECCEALASAVSSKSESLSCIIPHLLFLESYLHGRSCWEWPEDEKMHTLGCLMLQTIFRFPKDFIRPFVNNLKSMDVNCILQIAQDAGGSRVLEAFISSDVSAKEKQEVISKLQGYFGELSMHPSSSFTVEKCFHASNMTLKEAIATELRTIQAELSKRKHGPHLLRNLEIDEFAKRPNQWKAQQATKERVRQDFQAIFGSKTKHQKGDASAHQFSESPRKKRKRHQEINEATFDDDAKSNATPSKQGFAGNKHVAKSGYSASGSADTNFIKNPAATSFVANSKKRKSTTNELADLASKKSLSQSDVQKLFETSTQNKGKHLESKKVPFLSRKQKK >DRNTG_22064.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20386823:20393991:-1 gene:DRNTG_22064 transcript:DRNTG_22064.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 23 [Source:Projected from Arabidopsis thaliana (AT1G72320) UniProtKB/Swiss-Prot;Acc:Q9C552] MAAENLSNKRERKKSKTVKHSKRHNFKDGSSEDPLGVPSEIAPAQITAKRILDSSKSMQTLLRKKVDPLTAQYFSQIRDSLEDNSIDLESRPIFCSKALRATRGIEVELSTDMIMSHTIETLLDGCELDPLCEFLRSCAEVFPSITLDKCGSRVAEKALKSLSLHLQDEESHSIVGDTLTKICQVVARDAINLMSSIYGSHVLRSLLCLCKGVPLESLEQFHVSKSSIILAKRLNNHPARQIGKNHESSQLGFENVFKSLIMEILNHAKDEMKNLVCDKCSSFVLQTALKLLVGDDQLMSDAILTILQCHGMNMTKDKSFEVVMKKNVLSLLQDPSATRLLEVIVEVAPDSLYDKLVNEVFKGSMYTISLQHCGNFVVQALISSSRTCDQVGVMWDEIGPNIRELLDSQKAGVVASLLAACQRLQTHAQECCEALASAVSSKSESLSCIIPHLLFLESYLHGRSCWEWPEDEKMHTLGCLMLQTIFRFPKDFIRPFVNNLKSMDVNCILQIAQDAGGSRVLEAFISSDVSAKEKQEVISKLQGYFGELSMHPSSSFTVEKCFHASNMTLKEAIATELRTIQAELSKRKHGPHLLRNLEIDEFAKRPNQWKAQQATKERVRQDFQAIFGSKTKHQKGDASAHQFSESPRKKRKRHQEINEATFDDDAKSNATPSKQGFAGNKHVAKSGYSASGSADTNFIKNPAATSFVANSKKRKSTTNELADLASKKSLSQSDVQKLFETSTQNKGKHLESKKVPFLSRKQKK >DRNTG_22064.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20387545:20389782:-1 gene:DRNTG_22064 transcript:DRNTG_22064.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 23 [Source:Projected from Arabidopsis thaliana (AT1G72320) UniProtKB/Swiss-Prot;Acc:Q9C552] MWDEIGPNIRELLDSQKAGVVASLLAACQRLQTHAQECCEALASAVSSKSESLSCIIPHLLFLESYLHGRSCWEWPEDEKMHTLGCLMLQTIFRFPKDFIRPFVNNLKSMDVNCILQIAQDAGGSRVLEAFISSDVSAKEKQEVISKLQGYFGELSMHPSSSFTVEKCFHASNMTLKEAIATELRTIQAELSKRKHGPHLLRNLEIDEFAKRPNQWKAQQATKERVRQDFQAIFGSKTKHQKGDASAHQFSESPRKKRKRHQEINEATFDDDAKSNATPSKQGFAGNKHVAKSGYSASGSADTNFIKNPAATSFVANSKKRKSTTNELADLASKKSLSQSDVQKLFETSTQNKGKHLESKKVPFLSRKQKK >DRNTG_22064.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20386823:20393991:-1 gene:DRNTG_22064 transcript:DRNTG_22064.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 23 [Source:Projected from Arabidopsis thaliana (AT1G72320) UniProtKB/Swiss-Prot;Acc:Q9C552] MAAENLSNKRERKKSKTVKHSKRHNFKDGSSEDPLGVPSEIAPAQITAKRILDSSKSMQTLLRKKVDPLTAQYFSQIRDSLEDNSIDLESRPIFCSKALRATRGIEVELSTDMIMSHTIETLLDGCELDPLCEFLRSCAEVFPSITLDKCGSRVAEKALKSLSLHLQDEESHSIVGDTLTKICQVVARDAINLMSSIYGSHVLRSLLCLCKGVPLESLEQFHVSKSSIILAKRLNNHPARQIGKNHESSQLGFENVFKSLIMEILNHAKDEMKNLVCDKCSSFVLQTALKLLVGDDQLMSDAILTILQCHGMNMTKDKSFEVVMKKNVLSLLQDPSATRLLEVIVEVAPDSLYDKLVNEVFKGSMYTISLQHCGNFVVQALISSSRTCDQVGVMWDEIGPNIRELLDSQKAGVVASLLAACQRLQTHAQECCEALASAVSSKSESLSCIIPHLLFLESYLHGRSCWEWPEDEKMHTLGCLMLQTIFRFPKDFIRPFVNNLKSMDVNCILQIAQDAGGSRVLEAFISSDVSAKEKQEVISKLQGYFGELSMHPSSSFTVEKCFHASNMTLKEAIATELRTIQAELSKRKHGPHLLRNLEIDEFAKRPNQWKAQQATKERVRQDFQAIFGSKTKHQKGDASAHQFSESPRKKRKRHQEINEATFDDDAKSNATPSKQGFAGNKHVAKSGYSASGSADTNFIKNPAATSFVANSKKRKSTTNELADLASKKSLSQSDVQKLFETSTQNKGKHLESKKVPFLSRKQKK >DRNTG_22064.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20386016:20393991:-1 gene:DRNTG_22064 transcript:DRNTG_22064.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 23 [Source:Projected from Arabidopsis thaliana (AT1G72320) UniProtKB/Swiss-Prot;Acc:Q9C552] MSSIYGSHVLRSLLCLCKGVPLESLEQFHVSKSSIILAKRLNNHPARQIGKNHESSQLGFENVFKSLIMEILNHAKDEMKNLVCDKCSSFVLQTALKLLVGDDQLMSDAILTILQCHGMNMTKDKSFEVVMKKNVLSLLQDPSATRLLEVIVEVAPDSLYDKLVNEVFKGSMYTISLQHCGNFVVQALISSSRTCDQVGVMWDEIGPNIRELLDSQKAGVVASLLAACQRLQTHAQECCEALASAVSSKSESLSCIIPHLLFLESYLHGRSCWEWPEDEKMHTLGCLMLQTIFRFPKDFIRPFVNNLKSMDVNCILQIAQDAGGSRVLEAFISSDVSAKEKQEVISKLQGYFGELSMHPSSSFTVEKCFHASNMTLKEAIATELRTIQAELSKRKHGPHLLRNLEIDEFAKRPNQWKAQQATKERVRQDFQAIFGSKTKHQKGDASAHQFSESPRKKRKRHQEINEATFDDDAKSNATPSKQGFAGNKHVAKSGYSASGSADTNFIKNPAATSFVANSKKRKSTTNELADLASKKSLSQSDVQKLFETSTQNKGKHLESKKVPFLSRKQKK >DRNTG_24624.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31127814:31136013:-1 gene:DRNTG_24624 transcript:DRNTG_24624.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMU1 [Source:Projected from Arabidopsis thaliana (AT1G73720) UniProtKB/TrEMBL;Acc:A0A178WHG8] MSTLEIEARDVIKIVLQFCKENSLHQTFQTLQSECQVSLNTVDSLETFVADINGGRWDAILPVVAQLKLPRKKLEDLYEQIVLEMIELRELDTARAILRQTQAMGVMKQEQPERYLRLEHLLVRTYFDPNEAYQDSTKEKRRAQIAQVIAAEVSVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDEDEAYPTSLGHQIKFGKKSHPECACFSPDGQYLVSCSVDGFIEVWDYISGKLKKDLQYQADEAFMMHDEAVLCVDFSRDSEMLASGSQDGKIKVWRIRTGQCLRRFERAHSQGVTSLVFSRDGSQLLSTSFDSTARIHGLKSGKLLKEFRGHTSYVNDAIFTNDGSRVISASSDCTVKVWDMKTTDCLHTFKPPPPLRGGDASVNSVHLSPKNAEHVIVCNKTSSIYLMTLQGQVVKSFSSGKREGGDFVAACISPKGEWIYCVGEDMNLYCFSHQSGKLEHLMKVHEKDVIGVSHHPHRNLLVTYGEDCTMKIWKS >DRNTG_27868.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7848521:7853683:1 gene:DRNTG_27868 transcript:DRNTG_27868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYGLLLLLHRRTIFSFFYLLIQWTFLHAYSANLTLESRKTECTGSTDKQNSNFSANLNSLLSTLEAKSLSSLSTNQTSGDSPATVFGLYFCTGDLSKDNCQACIQTAIKDIIDTCPSSKQAIIWYDYCELRYSDVNFFGVPDTNGFSMINDKENTTSTRPVEVVSQLVREAPTTPLMFKSQALIS >DRNTG_04227.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:801640:802252:-1 gene:DRNTG_04227 transcript:DRNTG_04227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRRKRSSGAGFLCFNASAIYDDEEEVKTETSTGSPVKILEEESGSSSRRRTLPHLN >DRNTG_04227.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:801848:802252:-1 gene:DRNTG_04227 transcript:DRNTG_04227.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRRKRSSGAGFLCFNASAIYDDEEEVKTETSTGSPVKILEEESGSSSRRRTLPHLN >DRNTG_01443.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8241734:8244172:1 gene:DRNTG_01443 transcript:DRNTG_01443.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVNGEELHNLIGYVIYQLHLSECAEVRESCLTKFELRWSLKLSRMFQNGELRFLTRAITRPCVFSGYRGLLCRKSHGHVEITHAVCNAQGIGRCSTALTSRSIWKKVSSRAFVGTDPVRVNGLRDDLLLRLSCECNGVKR >DRNTG_15743.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20384528:20389968:1 gene:DRNTG_15743 transcript:DRNTG_15743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLNQQLPLKCSMICLKDVQTRIKCSTICFRESKALQRAQSHPLLKLRASKALDLVLGNRSDDDDDDEESSDDDGPFLMSGEERMELRRKIRQVLDLQPEVEEEMDPEKLRMKALKLARDYSLVVDEEDPDWPEDAEGRGFKLDQFFDKFYIKNVKKDDADEDDEEEKEIVWKDDNYIKAVKDITSSEWEDTVFKDFNPLVILVHHRYRRPKENEKARIELEKAVQMFWDTGLPSPRCVAVDAVVEDELASVLKVSKFPEIIFTKAGKILHRDKGFRLHFKHKMSNLEVWDGTISQKDFYVALRTLVERWKQVNPSLPQWTWIPSKSTLMGSSCKEEGYLSLENMYDIDRNEECLIVDSFSEREETDDVATLVHSTSNEAHIYDFHIVYSFSYQVPVLYFHGYQSDGQPLNLDEIENDLPPSSSKILRESKWTYMTLGEHPYLHRPWYTLHPCATSDWMKLLLGGTSMKDLDISGYLLSWLSVVGQAVGLSIPLELQQRSVKHPSASCFIINN >DRNTG_15743.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20384528:20387246:1 gene:DRNTG_15743 transcript:DRNTG_15743.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLNQQLPLKCSMICLKDVQTRIKCSTICFRESKALQRAQSHPLLKLRASKALDLVLGNRSDDDDDDEESSDDDGPFLMSGEERMELRRKIRQVLDLQPEVEEEMDPEKLRMKALKLARDYSLVVDEEDPDWPEDAEGRGFKLDQFFDKFYIKNVKKDDADEDDEEEKEIVWKDDNYIKAVKDITSSEWEDTVFKDFNPLVILVHHRYRRPKENEKARIELEKAVQMFWDTGLPSPRCVAVDAVVEDELASVLKVSKFPEIIFTKAGKILHRDKGTCIYIYIYI >DRNTG_15743.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20384528:20389968:1 gene:DRNTG_15743 transcript:DRNTG_15743.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLNQQLPLKCSMICLKDVQTRIKCSTICFRESKALQRAQSHPLLKLRASKALDLVLGNRSDDDDDDEESSDDDGPFLMSGEERMELRRKIRQVLDLQPEVEEEMDPEKLRMKALKLARDYSLVVDEEDPDWPEDAEGRGFKLDQFFDKFYIKNVKKDDADEDDEEEKEIVWKDDNYIKAVKDITSSEWEDTVFKDFNPLVILVHHRYRRPKENEKARIELEKAVQMFWDTGLPSPRCVAVDAVVEDELASVLKVSKFPEIIFTKAGKILHRDKVVRSGDEIMAFFYYKAVRPACLEKSAGFRLHFKHKMSNLEVWDGTISQKDFYVALRTLVERWKQVNPSLPQWTWIPSKSTLMGSSCKEEGYLSLENMYDIDRNEECLIVDSFSEREETDDVATLVHSTSNEAHIYDFHIVYSFSYQVPVLYFHGYQSDGQPLNLDEIENDLPPSSSKILRESKWTYMTLGEHPYLHRPWYTLHPCATSDWMKLLLGGTSMKDLDISGYLLSWLSVVGQAVGLSIPLELQQRSVKHPSASCFIINN >DRNTG_15743.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20384528:20387246:1 gene:DRNTG_15743 transcript:DRNTG_15743.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLNQQLPLKCSMICLKDVQTRIKCSTICFRESKALQRAQSHPLLKLRASKALDLVLGNRSDDDDDDEESSDDDGPFLMSGEERMELRRKIRQVLDLQPEVEEEMDPEKLRMKALKLARDYSLVVDEEDPDWPEDAEGRGFKLDQFFDKFYIKNVKKDDADEDDEEEKEIVWKDDNYIKAVKDITSSEWEDTVFKDFNPLVILVHHRYRRPKENEKARIELEKAVQMFWDTGLPSPRCVAVDAVVEDELASVLKVSKFPEIIFTKAGKILHRDKVVRSGDEIMAFFYYKAVRPACLEKSAGENHEKIPSL >DRNTG_15743.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20384528:20385163:1 gene:DRNTG_15743 transcript:DRNTG_15743.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLNQQLPLKCSMICLKDVQTRIKCSTICFRESKALQRAQSHPLLKLRASKALDLVLGNRSDDDDDDEESSDDDGPFLMSGEERMELRRKIRQVLDLQPEVEEEMDPEKLRMKALKLARDYSLVVDEEDPDWPEDAEGRGFKLDQFFDKFYIKNVKKDDADEDDEEEKEIVWKDDNYIKAVKDITSSEWEDTVFKDFNPLVILVHHRYRR >DRNTG_04275.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9162265:9166293:1 gene:DRNTG_04275 transcript:DRNTG_04275.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPRQVPFDNSCCFIHSMQIKADQERNNLLLCRSLQGIRIVQAAVGAGRTMLISDTGRVYAFGKDTFGETEFGVDWSKLLTTPQLIESLKDVFVVQAAIGNFFTAVLSREGRVYTFSWGSDERLGHHTQLNDVEPHQLKGPLENIPVVQISAGHCYLLALAYAPRGMSVYSVGCGLGGKLGHGTRSDEKYPKLIEEFHTLNLQPVMISAGSWHAAVVGRDGRVCTWGWGRHGCLGHGNEDCAIAPKVVEALSQVKVAHVATGDYTTFAVSEDGNVYSFGNGESLSLGHATGADGQPSRENVLIPKLVTSLKLEKEKVVQISLTNSVYWNAHTFALTDNGNVYAFGSGDKGQLGIQLDMQQMERGNPEQVEIDLS >DRNTG_04275.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9162265:9166293:1 gene:DRNTG_04275 transcript:DRNTG_04275.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTSGASPPLPYHNIIDQPLSLITPLQQTCQRLQRRCYGISTPGEFPLAAHPSVVLHVLTSNHLDPQELAYLEATCKFFRQPANFAPDTELSMSELAALDMCQERTIFKPMSFEEREVLKQRCGGSWKLVLRFLLAGEYCSRREKSQVLAGPGHSVAVTSKGEVYTFGSNSLGQLGHGTLVEEWKPRPVRSLQGIRIVQAAVGAGRTMLISDTGRVYAFGKDTFGETEFGVDWSKLLTTPQLIESLKDVFVVQAAIGNFFTAVLSREGRVYTFSWGSDERLGHHTQLNDVEPHQLKGPLENIPVVQISAGHCYLLALAYAPRGMSVYSVGCGLGGKLGHGTRSDEKYPKLIEEFHTLNLQPVMISAGSWHAAVVGRDGRVCTWGWGRHGCLGHGNEDCAIAPKVVEALSQVKVAHVATGDYTTFAVSEDGNVYSFGNGESLSLGHATGADGQPSRENVLIPKLVTSLKLEKEKVVQISLTNSVYWNAHTFALTDNGNVYAFGSGDKGQLGIQLDMQQMERGNPEQVEIDLS >DRNTG_04275.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9162265:9166293:1 gene:DRNTG_04275 transcript:DRNTG_04275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELAALDMCQERTIFKPMSFEEREVLKQRCGGSWKLVLRFLLAGEYCSRREKSQVLAGPGHSVAVTSKGEVYTFGSNSLGQLGHGTLVEEWKPRPVRSLQGIRIVQAAVGAGRTMLISDTGRVYAFGKDTFGETEFGVDWSKLLTTPQLIESLKDVFVVQAAIGNFFTAVLSREGRVYTFSWGSDERLGHHTQLNDVEPHQLKGPLENIPVVQISAGHCYLLALAYAPRGMSVYSVGCGLGGKLGHGTRSDEKYPKLIEEFHTLNLQPVMISAGSWHAAVVGRDGRVCTWGWGRHGCLGHGNEDCAIAPKVVEALSQVKVAHVATGDYTTFAVSEDGNVYSFGNGESLSLGHATGADGQPSRENVLIPKLVTSLKLEKEKVVQISLTNSVYWNAHTFALTDNGNVYAFGSGDKGQLGIQLDMQQMERGNPEQVEIDLS >DRNTG_14739.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:133562:136963:-1 gene:DRNTG_14739 transcript:DRNTG_14739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKDVAYDIDDLLDEYTAATPRPKVTRHLLTCNFCVNEALLTSKIARKIKGINKRLENIFRERDVLGLQVLGRRIEIEIENEIEERPQTSSLVDGSHVFGREQDKENVVKLLLTSSEGLGFNNRRKVVILPVVGMGGLGKTTLTQLVYNDSRVKEHFQLRMWVCVSENFDERKLTRETLEGTLVDYNHNNSNHSTTTTTTTTTNMNMLQEDLFKRLKGKRFLLVLDDVWNEDHQRWQRYYAALTAGDIGSKIMVTTQNENVGRIMGGLPAYHLKPLSDHDCWSVFRNCAFVDGNSSGHPKLEEIGKEIVKNLKGFPLAAKALGSLLYSKLDENDWKNILRSEIWELQPDQNNNILPALRLSYKHLSPNLKQCFAFCAVFHKDYVFEKDNLVQMWMALGFIQPQGRKSMEDIGNGYLDDLVSRSFFEAQNGSYFMHDAIHELARSVAAMECVRLEDGWQNTTFSKKARHSSFSCSNTMDTSFEQFYGFKSLRTLLVLEGYKSRTRPIPNDLFLKLKFLRVLDLQRRDIDKLPSSIENLQQLRYLCLSRTGIKALPSSLTRLCGLQTLKLKYCTELSHLPVDVTNLINLRHLEVNSSLILDIARIGKLAHLQKLAEFLVRRSNGFRITELKHMAELRGHLRISGLENVTSGDEAVEAMLSAKSSLSSLELVWCNESLMDGQSIQQDVLRGLHPHVELRELIIKGYSGFRFPGWLGSSSLSSLHTIQLSNCNKCMLLPPLGQLPFLKRLDIDGLQAVTHIGQEILGQGEIMGFPSLNVLVLQDMTYLEEWSVAEGQIVLPCITEIQVSECPKLRRLPPVPPTVNRLTISEVGVNCLPQLKKTSANTTSTALSSLYVHECSSLKSLSNGLLSQELNSLRELTIANCEELVSLPMDGFKPLVSLTNLHIYNCPKLKCGLPEATDLLPESLEDLRISSCSTELINPMLKCLSCLTSLTHLNLTDCSQLSHFPEEAQLPNMLKFLVFWNCVKLWRLPPLLHVSGLESLVISNCPLVSCLPEEGLPAELQELCINGCPLLIDLLEDDSGREWAKIAPVSKVEIDHVRRAGMDGKAAFGSIKWRLGTRS >DRNTG_16288.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10732551:10732843:-1 gene:DRNTG_16288 transcript:DRNTG_16288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSVNGRGDSTGVLSRQELLYLYSVTGSNLLGPLHYEISAGHGSLGFDSRGRED >DRNTG_13103.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9990594:9991543:1 gene:DRNTG_13103 transcript:DRNTG_13103.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPPTRAKSPKLGRRKSCSDAAKPSPAADNHLAACNRLNRFSLSNIKEDSNKLNHTGRKTNNAATKEKPGPKSARENSKLLAQKVADQIATSDDAVQS >DRNTG_25570.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29713056:29718484:1 gene:DRNTG_25570 transcript:DRNTG_25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALVISTCVRIPRPSSLFAKPLAPSALSCFSLRFSRPPPRSLVHLRAIMASAPRATLGLTKAVTVESPQIAFSAKEIDIIEWKGDMLAVAVSEKDMSRDSNSKFENSILKRLDEKLGGLLAEATAEEDFTGKAGQSTVLRLPGLGFRRIGLTGIGQYSPVSTASTYRGVGEAVAAAAKAAQANSVAVVLASEISEECKLKVASAIASGTVLGVHEDNRFKSEQKKTHLKTVDVVGLGSGVELDKKLQYASDVCSGVIFGKELVNAPANVLTPGVLAEEASKVASLYSDVFTAKILDEEQCKELKMGSYLGVAAASANPPHFIHLCYKPPGGDVKTKLAIVGKGLTFDSGGYNIKTGPGCSIELMKFDMGGSAAVFGAAKAIGQIKPPGVEVHFIVAACENMISGTGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALIYACNQGVKKVIDLATLTGACVVALGPSIAGTFTPNDELAKEVAEASEITGEKFWRMPLEESYWESMKSGVADMVNTGGRQGGAITAALFLKQFVDEKVQWMHIDMAGPVWNEKKKTGTGFGVSTLVEWVLKNSS >DRNTG_31870.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7656019:7657992:1 gene:DRNTG_31870 transcript:DRNTG_31870.1 gene_biotype:protein_coding transcript_biotype:protein_coding QTKKRRGKRQDLSLFLGLNENLNREKQEVAARV >DRNTG_10137.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19135024:19136600:-1 gene:DRNTG_10137 transcript:DRNTG_10137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILCYMDTSGTQQGANMVMDNTLMHVRHGCKLADELRRCLPDMAREPHLLLQACEEIVSTFNKAIHGVISQPVFYFPVTGNTDKLHQDPYTSLGILWPSSGYPSTQILEADTMIGSSSGVNQRLGDKSSKRPKNKRKDGEGMHTVRVPAPFSGNTEIPPDDGFTWRKYGQKDILGSRFPRSYYRCTHKTFYGCNAKKKVQRLNEDPLTYEVAYSGNHTCMTTTTPVTIPGVPGELDTGVSSSISTSIPLGTWFMGNIEGTQRGNTEEHAGGDADYQPSDLADELFNSLGSRSTMEGIFPTKQDK >DRNTG_05356.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:90402:94030:-1 gene:DRNTG_05356 transcript:DRNTG_05356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKVQRIMTQPINLIFRFLQSKSRIQIWLFEQKDSRIEGRIIGFDEYMNLVLDDAEELNVKKNTRKQLGRILLKGDNITLMMNTGK >DRNTG_22279.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21840466:21845166:-1 gene:DRNTG_22279 transcript:DRNTG_22279.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPATESMTHPKPEVVGRAFVEQYYKVFHHSPEIVHKFYLDSSVLSRPDSNGVMTSVTTLDEIDKLVLSLDSQNFFVELETVDSQTSYKDGVFILVTGCLKGTSDGIRRKFSQSFFLAHQDKGAYFVLNDVFRYVDESQPRETEQVFVNGANGYSVVPPPTLEQEPASIEEHHVEEPAIPPPSEVVLENGNEVSNPTENGGSAVEVEIVMDQQSLPNGNAAPNVPEPTASVPREPAPMKSYASIVKFTKGSTPIVSDFVSIAKDRPSTVTEKVSVISTKANIPSKPTAPPTETPSSASSNNVVESNNPHDVEGHSIYIKNLPLNATAAQVEEVFSKFGTIRPGGVQVRNHKIDRFCFGFVEFESLASMQAAIEASPVMITGRPVFVEEKKTTTRGKC >DRNTG_22279.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21841253:21845166:-1 gene:DRNTG_22279 transcript:DRNTG_22279.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPATESMTHPKPEVVGRAFVEQYYKVFHHSPEIVHKFYLDSSVLSRPDSNGVMTSVTTLDEIDKLVLSLDSQNFFVELETVDSQTSYKDGVFILVTGCLKGTSDGIRRKFSQSFFLAHQDKGAYFVLNDVFRYVDESQPRETEQVFVNGANGYSVVPPPTLEQEPASIEEHHVEEPAIPPPSEVVLENGNEVSNPTENGGSAVEVEIVMDQQSLPNGNAAPNVPEPTASVPREPAPMKSYASIVKFTKGSTPIVSDFVSIAKDRPSTVTEKVSVISTKANIPSKPTAPPTETPSSASSNNVVESNNPHDVEGHSIYIKNLPLNATAAQVEEVFSKFGTIRPGGVQVRNHKIDRFCFGFVEFESLASMQAAIEASPVMITGRPVFVEEKKTTTRGKC >DRNTG_22279.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21840466:21845166:-1 gene:DRNTG_22279 transcript:DRNTG_22279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPATESMTHPKPEVVGRAFVEQYYKVFHHSPEIVHKFYLDSSVLSRPDSNGVMTSVTTLDEIDKLVLSLDSQNFFVELETVDSQTSYKDGVFILVTGCLKGTSDGIRRKFSQSFFLAHQDKGAYFVLNDVFRYVDESQPRETEQVFVNGANGYSVVPPPTLEQEPASIEEHHVEEPAIPPPSEVVLENGNEVSNPTENGGSAVEVEIVMDQQSLPNGNAAPNVPEPTASVPREPAPMKSYASIVKFTKGSTPIVSDFVSIAKDRPSTVTEKVSVISTKANIPSKPTAPPTETPSSASSNNVVESNNPHDVEGHSIYIKNLPLNATAAQVEEVFSKFGTIRPGGVQVRNHKIDRFCFGFVEFESLASMQAAIEASPVMITGRPVFVEEKKTTTRVINGVVSIGSGTGGSSSTGSNARGRYPSARGGFRNDNFRGRGGFGNNQSIGRGDFRSRNDYAGRGQYANRGRGQAGRNGETYQQRVPNGNGRVDHPISINQSAAPA >DRNTG_25577.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29742129:29745586:-1 gene:DRNTG_25577 transcript:DRNTG_25577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSALCPSFPSARICHRLNTKIHVGTPLLAWQNSKKSSIRRSFQIYGLFGGKKEKNENSDDTSSKAGFLGNMQNLYETVKKAQMVVQVEAVRVQKELAAAEFDGYCEGELIKVTLSGNQQPIRTEITEAAMELGPEKLSLLVTEAYKDAHQKSVQAMKERMSNLAQSLGMPPNLGEGLK >DRNTG_10661.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000448.1:4709:5317:-1 gene:DRNTG_10661 transcript:DRNTG_10661.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKIKKVSRNPIRTSETQRKSSNFSIAWIKHSKHIKFCPDPKKNAAQTSEL >DRNTG_04648.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15489549:15502918:1 gene:DRNTG_04648 transcript:DRNTG_04648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGDLVDDAEQYMHDSYAHMDDNANFVPISSDDSFMPMDDHIDSPPPNSYDNCASSSIGRKRKNCAKEQNIAAISAKFHHFVNLVGPGFKTLAECVVRDSEAKATEALTLGFSINRHGFVAATASGITAVASDSAEVAARQ >DRNTG_13069.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:935114:952976:-1 gene:DRNTG_13069 transcript:DRNTG_13069.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKRRRALEVPPKIESFISVVVATPLENIEEPLKGFAWEFDKGDFHHWFELFNHFDSYFEKYIKSRKDLQLEDNFLKADSPFPREAVLQILRVTRIILENCTNKHFHSSYEHLLSLLASTDADIVEASLQTLTAFLKKTVGKSCLRDSSLTSKLFTFSQGWGNKEEGLGLIACSVENGCDPTAAEIGSTLHFEFYEVCDTSHEHNVGRPMNQGLQVIHVPNSTLQGENDLELLHKLVHDYNVPSNLRFSLLTRLRLAKVFGSLPARRQYIRIRLYAFIVLVQAGNDAEDLASFFTNEPEFINELVSLLSHEDSVPEEIRILGILSLAALCQDRSHQPSVLNAVTSGSQRSILPSLMQKTVDSIISGSAKWSIVLAEALFSLASVLVSSTPGSLALREVGLIPIILPLLKDTKPQHLHLVSTAVHVLEGFLDFNNNPVAASFRDLGGLDDTITRLKIEISHAEKGLKKNEDNQQSSKGKEVLSNSSELDMQPLYSDALVSYHRKLLMKALLRTISLAIYVPGSTARIDGSEEALLPHCLCTIFRRSKDFGGGVFSLAANVMVDLIHKDPTCFPVLDAADLPRAFLDAIMSGVLISSEAVKCIAPCLDALCLNNNGLQLVKDRSVLMCFVKILTSRTYLRALSGDTLATLSNGLDELFRHASSLRASGVDMLIELLNTISIIGSASETSPSMESLNSSAPVPMETDQEENKSSSADHMTGTSSDSVQVSVESFLPECITNAARILGTILQNSDICRLFIEKKGIEAVLKLFTLPLLPGSVSLGQSVSFAFKAFSNQHSAALARTLCSFMRERLKLTNELLASICGTKLSEVETVKQAEILRCLSSLEGLFSLSNFLLKGTTNMVSEFGSSDADIFKDLSMVYKEILWQDSLSSETKIEEKKNTAQEAVPGDVAVNNIAGSEIDDDVPIATRYMNPVSLRSNSSSQWSAEQDFLTAVRSAERIHRHGRHGLSRMRGSRISRQLDLSYIDSDSSPNTHESSQDNKKKSPDVLIVELLIKLGFAMRSCFSAVLKGTFRRRAETATLSPVSKNVMTALAKLFLDALSYSGHSSAGHTPLAVKCQYLGKVVADMTALTFDSRRRTCNTALVNSFYVNGTFKELLTTFEATSQLLWNLPCSLPSSGSDQGKANDENKLSHSLWLLVTLQSYCQLLECFVNSSLLLSPTSPSQGQLLVQPAATGLSIGLFSVPKEPEMFVRMLQSQVLNVILTVWSHPKFPSCNSALITSVVSIITHIYSGVGDPKRVRSGASGNGAGQRLTVPPPLDESTIATIVEMGFTRIQAEEALRSVGTNSVELATDWLVNHPEEVVQEDVQLAQALALSLGNSSDTSKDDNSDKAKAELIEEQVIELPPIDDILATSMKLFQSNISVAFPLTDLLATLCSRNKGEDRSKVALYLIQQLKLCPLDLSKDMGALCSMSHILALLLSEDSNTREIAAENGVVSSLLDILTNMRVTNETKNEVSVTKSISALLLVLDNMLQPIPKVYTETAEGSSGSVPVSSDVDISLEIPASAAEKKPLSADNAKVSENVFEKILGKPTGYLTFEESQRALNIVCGFIKQHVPALVMQAALQLCARLTKSHAIATQFLESGCLSILFSLPRSCVFPGFDSLASAIIRHLLEDPQTLQTAMELEIRQTLAGNLSRHAGRLSPRLFLTSMAPVISRDPAIFVRAAAAVCQLESSGGRMNIVLLKEKEKDREKSKAFANENGVSPNEPIRMIENKPNDTQGKCSRSHKKVPASLYQVIDQLLEIIMNYPSTGLDEHDSSSTPMEIDEPVSKEKGKSKVDDTKKVDSDSLSERSIWLAKVTFVLKLMSDILLMYVHAVGVVLKRDIEACQARGSGQVDGAGHGGIIHHILHQLLPLSSKKNTENPDEWKVKLSERASWFLVILCGRSTEGRRRVISEIVKAFTSFSSLYCNSSKATLLPDKRVLSLADLVNSILSKNSASNNLPGCSPDIAKAMIDGGMVQSLSRILQVIDLDHPNAPKFVNLILKALESLTRAANASDQTLKLDGLSKKRSSGAHARTDHSAAQDIGTEREQTRNYQLEASDAVQGEDQQIQDSPQNDRGPDTNPDHSVEQDIQVEREENIAANPAMEHGVEFLRDMDEGGELPNSNEVGIAFRVERRTDDDMVDEDDEDMGEDGDDDDEDDDEDDEDDEDIVEEGAAVMSLADTDVEDRDDHGLGDEYNDDMVDDEDDDFPENRVIEVRWREGLSGLDNLRVLRTSGDAGGIIDFAAETFQGVNVDDMFHLRRPLGVDRRRQSGNRTLLDRSGLDGGAFQHPLLLRPSQSGEPGGSIRATSGTSTRDLEALPFGGFDVAHLYMFDTGLSTEHTAANIFGERLVGGAPPPLIDFSIGMDSLHSGGRRGPGDSRWTDDGQPQAGGQAAAIAQAIEEQFVSQLRCVLPANNPSGHRRSGHYVEQSNQQSLLLNTGSQVPADVDNQPPEQGQAHDQEFGTSPVHQLGNLAERDLGHGNLSHITIDGQAVIGTEESQEAPDTVPRFPDDLNISHDAGEGMCEAEEDGLANGLPLDTIPDVDMSSVDQHVNQQIQEGDGMPTNPDGSSGLESHSSSYALIDSGSAIPDLSDGHASLDHVTTDVDMNGIHANENQVENSVPASNEEDSSAMQSAGIPQESSQADQFNNNEASSTNAIDPTFLEALPEDLRAEVLASQLASRRTQPPQLSTYAPPAAEEIDPEFLAALPPDIQAEVLAQQRAQRMMQSQQAEGQPVEMDSASIIATFPPELREEVLLTSSEAVLSALPSALLAEAQMLRDRAASHYHARSLFGGSHRLGGRRLAVDRQTVMDRGVGVMGRRAFSAIPSSLKVKEIEGTPLLDRNALKALIRLLRVAQPLGKGLLQRLLFNLCSHSVTRAILAGLLIDMIRPEADGSCGISNRTDIKAVWLPVECCLWSSSILKWPATSCLTSCA >DRNTG_13069.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:935114:952976:-1 gene:DRNTG_13069 transcript:DRNTG_13069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKRRRALEVPPKIESFISVVVATPLENIEEPLKGFAWEFDKGDFHHWFELFNHFDSYFEKYIKSRKDLQLEDNFLKADSPFPREAVLQILRVTRIILENCTNKHFHSSYEQHLLSLLASTDADIVEASLQTLTAFLKKTVGKSCLRDSSLTSKLFTFSQGWGNKEEGLGLIACSVENGCDPTAAEIGSTLHFEFYEVCDTSHEHNVGRPMNQGLQVIHVPNSTLQGENDLELLHKLVHDYNVPSNLRFSLLTRLRLAKVFGSLPARRQYIRIRLYAFIVLVQAGNDAEDLASFFTNEPEFINELVSLLSHEDSVPEEIRILGILSLAALCQDRSHQPSVLNAVTSGSQRSILPSLMQKTVDSIISGSAKWSIVLAEALFSLASVLVSSTPGSLALREVGLIPIILPLLKDTKPQHLHLVSTAVHVLEGFLDFNNNPVAASFRDLGGLDDTITRLKIEISHAEKGLKKNEDNQQSSKGKEVLSNSSELDMQPLYSDALVSYHRKLLMKALLRTISLAIYVPGSTARIDGSEEALLPHCLCTIFRRSKDFGGGVFSLAANVMVDLIHKDPTCFPVLDAADLPRAFLDAIMSGVLISSEAVKCIAPCLDALCLNNNGLQLVKDRSVLMCFVKILTSRTYLRALSGDTLATLSNGLDELFRHASSLRASGVDMLIELLNTISIIGSASETSPSMESLNSSAPVPMETDQEENKSSSADHMTGTSSDSVQVSVESFLPECITNAARILGTILQNSDICRLFIEKKGIEAVLKLFTLPLLPGSVSLGQSVSFAFKAFSNQHSAALARTLCSFMRERLKLTNELLASICGTKLSEVETVKQAEILRCLSSLEGLFSLSNFLLKGTTNMVSEFGSSDADIFKDLSMVYKEILWQDSLSSETKIEEKKNTAQEAVPGDVAVNNIAGSEIDDDVPIATRYMNPVSLRSNSSSQWSAEQDFLTAVRSAERIHRHGRHGLSRMRGSRISRQLDLSYIDSDSSPNTHESSQDNKKKSPDVLIVELLIKLGFAMRSCFSAVLKGTFRRRAETATLSPVSKNVMTALAKLFLDALSYSGHSSAGHTPLAVKCQYLGKVVADMTALTFDSRRRTCNTALVNSFYVNGTFKELLTTFEATSQLLWNLPCSLPSSGSDQGKANDENKLSHSLWLLVTLQSYCQLLECFVNSSLLLSPTSPSQGQLLVQPAATGLSIGLFSVPKEPEMFVRMLQSQVLNVILTVWSHPKFPSCNSALITSVVSIITHIYSGVGDPKRVRSGASGNGAGQRLTVPPPLDESTIATIVEMGFTRIQAEEALRSVGTNSVELATDWLVNHPEEVVQEDVQLAQALALSLGNSSDTSKDDNSDKAKAELIEEQVIELPPIDDILATSMKLFQSNISVAFPLTDLLATLCSRNKGEDRSKVALYLIQQLKLCPLDLSKDMGALCSMSHILALLLSEDSNTREIAAENGVVSSLLDILTNMRVTNETKNEVSVTKSISALLLVLDNMLQPIPKVYTETAEGSSGSVPVSSDVDISLEIPASAAEKKPLSADNAKVSENVFEKILGKPTGYLTFEESQRALNIVCGFIKQHVPALVMQAALQLCARLTKSHAIATQFLESGCLSILFSLPRSCVFPGFDSLASAIIRHLLEDPQTLQTAMELEIRQTLAGNLSRHAGRLSPRLFLTSMAPVISRDPAIFVRAAAAVCQLESSGGRMNIVLLKEKEKDREKSKAFANENGVSPNEPIRMIENKPNDTQGKCSRSHKKVPASLYQVIDQLLEIIMNYPSTGLDEHDSSSTPMEIDEPVSKEKGKSKVDDTKKVDSDSLSERSIWLAKVTFVLKLMSDILLMYVHAVGVVLKRDIEACQARGSGQVDGAGHGGIIHHILHQLLPLSSKKNTENPDEWKVKLSERASWFLVILCGRSTEGRRRVISEIVKAFTSFSSLYCNSSKATLLPDKRVLSLADLVNSILSKNSASNNLPGCSPDIAKAMIDGGMVQSLSRILQVIDLDHPNAPKFVNLILKALESLTRAANASDQTLKLDGLSKKRSSGAHARTDHSAAQDIGTEREQTRNYQLEASDAVQGEDQQIQDSPQNDRGPDTNPDHSVEQDIQVEREENIAANPAMEHGVEFLRDMDEGGELPNSNEVGIAFRVERRTDDDMVDEDDEDMGEDGDDDDEDDDEDDEDDEDIVEEGAAVMSLADTDVEDRDDHGLGDEYNDDMVDDEDDDFPENRVIEVRWREGLSGLDNLRVLRTSGDAGGIIDFAAETFQGVNVDDMFHLRRPLGVDRRRQSGNRTLLDRSGLDGGAFQHPLLLRPSQSGEPGGSIRATSGTSTRDLEALPFGGFDVAHLYMFDTGLSTEHTAANIFGERLVGGAPPPLIDFSIGMDSLHSGGRRGPGDSRWTDDGQPQAGGQAAAIAQAIEEQFVSQLRCVLPANNPSGHRRSGHYVEQSNQQSLLLNTGSQVPADVDNQPPEQGQAHDQEFGTSPVHQLGNLAERDLGHGNLSHITIDGQAVIGTEESQEAPDTVPRFPDDLNISHDAGEGMCEAEEDGLANGLPLDTIPDVDMSSVDQHVNQQIQEGDGMPTNPDGSSGLESHSSSYALIDSGSAIPDLSDGHASLDHVTTDVDMNGIHANENQVENSVPASNEEDSSAMQSAGIPQESSQADQFNNNEASSTNAIDPTFLEALPEDLRAEVLASQLASRRTQPPQLSTYAPPAAEEIDPEFLAALPPDIQAEVLAQQRAQRMMQSQQAEGQPVEMDSASIIATFPPELREEVLLTSSEAVLSALPSALLAEAQMLRDRAASHYHARSLFGGSHRLGGRRLAVDRQTVMDRGVGVMGRRAFSAIPSSLKVKEIEGTPLLDRNALKALIRLLRVAQPLGKGLLQRLLFNLCSHSVTRAILAGLLIDMIRPEADGSCGISNRTDIKAVWLPVECCLWSSSILKWPATSCLTSCA >DRNTG_13069.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:935114:950370:-1 gene:DRNTG_13069 transcript:DRNTG_13069.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGLQVIHVPNSTLQGENDLELLHKLVHDYNVPSNLRFSLLTRLRLAKVFGSLPARRQYIRIRLYAFIVLVQAGNDAEDLASFFTNEPEFINELVSLLSHEDSVPEEIRILGILSLAALCQDRSHQPSVLNAVTSGSQRSILPSLMQKTVDSIISGSAKWSIVLAEALFSLASVLVSSTPGSLALREVGLIPIILPLLKDTKPQHLHLVSTAVHVLEGFLDFNNNPVAASFRDLGGLDDTITRLKIEISHAEKGLKKNEDNQQSSKGKEVLSNSSELDMQPLYSDALVSYHRKLLMKALLRTISLAIYVPGSTARIDGSEEALLPHCLCTIFRRSKDFGGGVFSLAANVMVDLIHKDPTCFPVLDAADLPRAFLDAIMSGVLISSEAVKCIAPCLDALCLNNNGLQLVKDRSVLMCFVKILTSRTYLRALSGDTLATLSNGLDELFRHASSLRASGVDMLIELLNTISIIGSASETSPSMESLNSSAPVPMETDQEENKSSSADHMTGTSSDSVQVSVESFLPECITNAARILGTILQNSDICRLFIEKKGIEAVLKLFTLPLLPGSVSLGQSVSFAFKAFSNQHSAALARTLCSFMRERLKLTNELLASICGTKLSEVETVKQAEILRCLSSLEGLFSLSNFLLKGTTNMVSEFGSSDADIFKDLSMVYKEILWQDSLSSETKIEEKKNTAQEAVPGDVAVNNIAGSEIDDDVPIATRYMNPVSLRSNSSSQWSAEQDFLTAVRSAERIHRHGRHGLSRMRGSRISRQLDLSYIDSDSSPNTHESSQDNKKKSPDVLIVELLIKLGFAMRSCFSAVLKGTFRRRAETATLSPVSKNVMTALAKLFLDALSYSGHSSAGHTPLAVKCQYLGKVVADMTALTFDSRRRTCNTALVNSFYVNGTFKELLTTFEATSQLLWNLPCSLPSSGSDQGKANDENKLSHSLWLLVTLQSYCQLLECFVNSSLLLSPTSPSQGQLLVQPAATGLSIGLFSVPKEPEMFVRMLQSQVLNVILTVWSHPKFPSCNSALITSVVSIITHIYSGVGDPKRVRSGASGNGAGQRLTVPPPLDESTIATIVEMGFTRIQAEEALRSVGTNSVELATDWLVNHPEEVVQEDVQLAQALALSLGNSSDTSKDDNSDKAKAELIEEQVIELPPIDDILATSMKLFQSNISVAFPLTDLLATLCSRNKGEDRSKVALYLIQQLKLCPLDLSKDMGALCSMSHILALLLSEDSNTREIAAENGVVSSLLDILTNMRVTNETKNEVSVTKSISALLLVLDNMLQPIPKVYTETAEGSSGSVPVSSDVDISLEIPASAAEKKPLSADNAKVSENVFEKILGKPTGYLTFEESQRALNIVCGFIKQHVPALVMQAALQLCARLTKSHAIATQFLESGCLSILFSLPRSCVFPGFDSLASAIIRHLLEDPQTLQTAMELEIRQTLAGNLSRHAGRLSPRLFLTSMAPVISRDPAIFVRAAAAVCQLESSGGRMNIVLLKEKEKDREKSKAFANENGVSPNEPIRMIENKPNDTQGKCSRSHKKVPASLYQVIDQLLEIIMNYPSTGLDEHDSSSTPMEIDEPVSKEKGKSKVDDTKKVDSDSLSERSIWLAKVTFVLKLMSDILLMYVHAVGVVLKRDIEACQARGSGQVDGAGHGGIIHHILHQLLPLSSKKNTENPDEWKVKLSERASWFLVILCGRSTEGRRRVISEIVKAFTSFSSLYCNSSKATLLPDKRVLSLADLVNSILSKNSASNNLPGCSPDIAKAMIDGGMVQSLSRILQVIDLDHPNAPKFVNLILKALESLTRAANASDQTLKLDGLSKKRSSGAHARTDHSAAQDIGTEREQTRNYQLEASDAVQGEDQQIQDSPQNDRGPDTNPDHSVEQDIQVEREENIAANPAMEHGVEFLRDMDEGGELPNSNEVGIAFRVERRTDDDMVDEDDEDMGEDGDDDDEDDDEDDEDDEDIVEEGAAVMSLADTDVEDRDDHGLGDEYNDDMVDDEDDDFPENRVIEVRWREGLSGLDNLRVLRTSGDAGGIIDFAAETFQGVNVDDMFHLRRPLGVDRRRQSGNRTLLDRSGLDGGAFQHPLLLRPSQSGEPGGSIRATSGTSTRDLEALPFGGFDVAHLYMFDTGLSTEHTAANIFGERLVGGAPPPLIDFSIGMDSLHSGGRRGPGDSRWTDDGQPQAGGQAAAIAQAIEEQFVSQLRCVLPANNPSGHRRSGHYVEQSNQQSLLLNTGSQVPADVDNQPPEQGQAHDQEFGTSPVHQLGNLAERDLGHGNLSHITIDGQAVIGTEESQEAPDTVPRFPDDLNISHDAGEGMCEAEEDGLANGLPLDTIPDVDMSSVDQHVNQQIQEGDGMPTNPDGSSGLESHSSSYALIDSGSAIPDLSDGHASLDHVTTDVDMNGIHANENQVENSVPASNEEDSSAMQSAGIPQESSQADQFNNNEASSTNAIDPTFLEALPEDLRAEVLASQLASRRTQPPQLSTYAPPAAEEIDPEFLAALPPDIQAEVLAQQRAQRMMQSQQAEGQPVEMDSASIIATFPPELREEVLLTSSEAVLSALPSALLAEAQMLRDRAASHYHARSLFGGSHRLGGRRLAVDRQTVMDRGVGVMGRRAFSAIPSSLKVKEIEGTPLLDRNALKALIRLLRVAQPLGKGLLQRLLFNLCSHSVTRAILAGLLIDMIRPEADGSCGISNRTDIKAVWLPVECCLWSSSILKWPATSCLTSCA >DRNTG_13069.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:935114:949883:-1 gene:DRNTG_13069 transcript:DRNTG_13069.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGLQVIHVPNSTLQGENDLELLHKLVHDYNVPSNLRFSLLTRLRLAKVFGSLPARRQYIRIRLYAFIVLVQAGNDAEDLASFFTNEPEFINELVSLLSHEDSVPEEIRILGILSLAALCQDRSHQPSVLNAVTSGSQRSILPSLMQKTVDSIISGSAKWSIVLAEALFSLASVLVSSTPGSLALREVGLIPIILPLLKDTKPQHLHLVSTAVHVLEGFLDFNNNPVAASFRDLGGLDDTITRLKIEISHAEKGLKKNEDNQQSSKGKEVLSNSSELDMQPLYSDALVSYHRKLLMKALLRTISLAIYVPGSTARIDGSEEALLPHCLCTIFRRSKDFGGGVFSLAANVMVDLIHKDPTCFPVLDAADLPRAFLDAIMSGVLISSEAVKCIAPCLDALCLNNNGLQLVKDRSVLMCFVKILTSRTYLRALSGDTLATLSNGLDELFRHASSLRASGVDMLIELLNTISIIGSASETSPSMESLNSSAPVPMETDQEENKSSSADHMTGTSSDSVQVSVESFLPECITNAARILGTILQNSDICRLFIEKKGIEAVLKLFTLPLLPGSVSLGQSVSFAFKAFSNQHSAALARTLCSFMRERLKLTNELLASICGTKLSEVETVKQAEILRCLSSLEGLFSLSNFLLKGTTNMVSEFGSSDADIFKDLSMVYKEILWQDSLSSETKIEEKKNTAQEAVPGDVAVNNIAGSEIDDDVPIATRYMNPVSLRSNSSSQWSAEQDFLTAVRSAERIHRHGRHGLSRMRGSRISRQLDLSYIDSDSSPNTHESSQDNKKKSPDVLIVELLIKLGFAMRSCFSAVLKGTFRRRAETATLSPVSKNVMTALAKLFLDALSYSGHSSAGHTPLAVKCQYLGKVVADMTALTFDSRRRTCNTALVNSFYVNGTFKELLTTFEATSQLLWNLPCSLPSSGSDQGKANDENKLSHSLWLLVTLQSYCQLLECFVNSSLLLSPTSPSQGQLLVQPAATGLSIGLFSVPKEPEMFVRMLQSQVLNVILTVWSHPKFPSCNSALITSVVSIITHIYSGVGDPKRVRSGASGNGAGQRLTVPPPLDESTIATIVEMGFTRIQAEEALRSVGTNSVELATDWLVNHPEEVVQEDVQLAQALALSLGNSSDTSKDDNSDKAKAELIEEQVIELPPIDDILATSMKLFQSNISVAFPLTDLLATLCSRNKGEDRSKVALYLIQQLKLCPLDLSKDMGALCSMSHILALLLSEDSNTREIAAENGVVSSLLDILTNMRVTNETKNEVSVTKSISALLLVLDNMLQPIPKVYTETAEGSSGSVPVSSDVDISLEIPASAAEKKPLSADNAKVSENVFEKILGKPTGYLTFEESQRALNIVCGFIKQHVPALVMQAALQLCARLTKSHAIATQFLESGCLSILFSLPRSCVFPGFDSLASAIIRHLLEDPQTLQTAMELEIRQTLAGNLSRHAGRLSPRLFLTSMAPVISRDPAIFVRAAAAVCQLESSGGRMNIVLLKEKEKDREKSKAFANENGVSPNEPIRMIENKPNDTQGKCSRSHKKVPASLYQVIDQLLEIIMNYPSTGLDEHDSSSTPMEIDEPVSKEKGKSKVDDTKKVDSDSLSERSIWLAKVTFVLKLMSDILLMYVHAVGVVLKRDIEACQARGSGQVDGAGHGGIIHHILHQLLPLSSKKNTENPDEWKVKLSERASWFLVILCGRSTEGRRRVISEIVKAFTSFSSLYCNSSKATLLPDKRVLSLADLVNSILSKNSASNNLPGCSPDIAKAMIDGGMVQSLSRILQVIDLDHPNAPKFVNLILKALESLTRAANASDQTLKLDGLSKKRSSGAHARTDHSAAQDIGTEREQTRNYQLEASDAVQGEDQQIQDSPQNDRGPDTNPDHSVEQDIQVEREENIAANPAMEHGVEFLRDMDEGGELPNSNEVGIAFRVERRTDDDMVDEDDEDMGEDGDDDDEDDDEDDEDDEDIVEEGAAVMSLADTDVEDRDDHGLGDEYNDDMVDDEDDDFPENRVIEVRWREGLSGLDNLRVLRTSGDAGGIIDFAAETFQGVNVDDMFHLRRPLGVDRRRQSGNRTLLDRSGLDGGAFQHPLLLRPSQSGEPGGSIRATSGTSTRDLEALPFGGFDVAHLYMFDTGLSTEHTAANIFGERLVGGAPPPLIDFSIGMDSLHSGGRRGPGDSRWTDDGQPQAGGQAAAIAQAIEEQFVSQLRCVLPANNPSGHRRSGHYVEQSNQQSLLLNTGSQVPADVDNQPPEQGQAHDQEFGTSPVHQLGNLAERDLGHGNLSHITIDGQAVIGTEESQEAPDTVPRFPDDLNISHDAGEGMCEAEEDGLANGLPLDTIPDVDMSSVDQHVNQQIQEGDGMPTNPDGSSGLESHSSSYALIDSGSAIPDLSDGHASLDHVTTDVDMNGIHANENQVENSVPASNEEDSSAMQSAGIPQESSQADQFNNNEASSTNAIDPTFLEALPEDLRAEVLASQLASRRTQPPQLSTYAPPAAEEIDPEFLAALPPDIQAEVLAQQRAQRMMQSQQAEGQPVEMDSASIIATFPPELREEVLLTSSEAVLSALPSALLAEAQMLRDRAASHYHARSLFGGSHRLGGRRLAVDRQTVMDRGVGVMGRRAFSAIPSSLKVKEIEGTPLLDRNALKALIRLLRVAQPLGKGLLQRLLFNLCSHSVTRAILAGLLIDMIRPEADGSCGISNRTDIKAVWLPVECCLWSSSILKWPATSCLTSCA >DRNTG_13069.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:950449:952976:-1 gene:DRNTG_13069 transcript:DRNTG_13069.5 gene_biotype:protein_coding transcript_biotype:protein_coding SGFETLIICSTPPIIGSRTVQSLLESVDIWVGFDPNSFSETLPVVYRGF >DRNTG_13848.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9689065:9689907:-1 gene:DRNTG_13848 transcript:DRNTG_13848.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMKKYCHIPAFGDWDFSDGVPITQYFDSARNFFVEDKDLFPVPVQIIPAYAYHHQIKVIKNVKPESEEKKQKKKKKKKKQQQRKACDLVTQKPRKHSAPKIEEEDLYRISPELLYQKSKRKKFAKGFWFGCMGCIH >DRNTG_13848.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9688896:9689907:-1 gene:DRNTG_13848 transcript:DRNTG_13848.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMKKYCHIPAFGDWDFSDGVPITQYFDSARNFFVEDKDLFPVPVQIIPAYAYHHQIKVIKNVKPESEEKKQKKKKKKKKQQQRKACDLVTQKPRKHSAPKIEEEDLYRISPELLYQKSKRKKFAKGFWFGCMGCIH >DRNTG_13848.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9688982:9690037:-1 gene:DRNTG_13848 transcript:DRNTG_13848.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCRPQQPSPSFYLIISFKLETLLWETLVLLFTAQHTMEEMKKYCHIPAFGDWDFSDGVPITQYFDSARNFFVEDKDLFPVPVQIIPAYAYHHQIKVIKNVKPESEEKKQKKKKKKKKQQQRKACDLVTQKPRKHSAPKIEEEDLYRISPELLYQKSKRKKFAKGFWFGCMGCIH >DRNTG_32919.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1222720:1223382:1 gene:DRNTG_32919 transcript:DRNTG_32919.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGKEMKMIMNRRANVNQNMDLDHKKAAAEKEEEEEETTAKEKQGNDHSITLMFSKVVTPSDVGKLNRLVIPKKDALKFFPLDPSKEVQGLILSFEDRTCKKWQFRYSYWKSSQSYVITKGWSRFVKEKKLKAGDTVSFGHRIGLTGDKLLFIDWKRHGTQTKDGMRVTLGLKPLNSPLMIPFMQYSLLPPLIMMPFMQSRANAPKQVRLFGVNLVSKE >DRNTG_02912.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23632984:23636006:-1 gene:DRNTG_02912 transcript:DRNTG_02912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKDVLISALGVGLGVGTGLGLASRKTVGNWTAAGDSSPILMPEIVEEELMRMVFDGRESKVAFHEFPCYLRLCFPSCSLGGLIRLESLDGGNGAFLDNILDFDDSEQTRVLLVSAAYVHLKKRDFSKFTRNLSPASQAIWLSGSAELYQQMLVKALAHYFEAKFLLLDVTEFSLKNQHSVQKNILWSLCRQGSSAETVSSGSERVIIGSKLRRYASVSTDMSDIVSHRPPVNPGTQDNPVKDIRRTLVLFED >DRNTG_23604.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26602650:26603559:-1 gene:DRNTG_23604 transcript:DRNTG_23604.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIKQSKYFQKFFQKSKGHAVCEPVRITSLGSKLLAQQDSVLRENNKYQVDLVESPHHQESLRTTKPMTQTTLTSIYGSKFSKPNNVSYSKLLSSESSRYVECIDVEKEHASCHNLLKANSEPVVLLIDAKDKPHGMAQKTKRRHTEFTSPICESTRSPTNNEEFNADISVNGFVTARTKL >DRNTG_23604.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26598708:26599556:-1 gene:DRNTG_23604 transcript:DRNTG_23604.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLVKDASMGPLREALRRGIEITKLQKEDMRPVTLQDFENALQEVRPSVSSNELNTYEDWNRQFGSLAV >DRNTG_23604.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26598708:26599393:-1 gene:DRNTG_23604 transcript:DRNTG_23604.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLVKDASMGPLREALRRGIEITKLQKEDMRPVTLQDFENALQEVRPSVSSNELNTYEDWNRQFGSLAV >DRNTG_23604.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26598708:26599188:-1 gene:DRNTG_23604 transcript:DRNTG_23604.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLVKDASMGPLREALRRGIEITKLQKEDMRPVTLQDFENALQEVRPSVSSNELNTYEDWNRQFGSLAV >DRNTG_23604.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26598708:26604789:-1 gene:DRNTG_23604 transcript:DRNTG_23604.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDGADAGERSWRGDADERLKRLHSLLFGAELALERGDPAMAQILSLRLIGFLDSKTLSSIDAAYIAPIRADASSKLVSASRALASDADRQAFEQARRDSSHVFVKRGDIDMEKIKQSKYFQKFFQKSKGHAVCEPVRITSLGSKLLAQQDSVLRENNKYQVDLVESPHHQESLRTTKPMTQTTLTSIYGSKFSKPNNVSYSKLLSSESSRYVECIDVEKEHASCHNLLKANSEPVVLLIDAKDKPHGMAQKTKRRHTEFTSPICESTRSPTNNEEFNADISVNGFVTARTKLEMDAKQRHGLIGNQSPVVSPTNDNIGGNIRRYGMQYAAISRRGLRGNFVPPVRSQGGGSTSNMIGSRISGKSDDALEDSTRKCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNVRWNDIAGLEHAKKCVVEMVIWPMLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVASCRQPAVIFVDEIDSLLSQRKSEGEHESSRRLKTQFLIEMEGFDSGNEQILLIGATNRPQELDEAARRRLTKRLYIPLPSAEARAWIIRNLLEKDGLFKLSEEDTVAICRLTEGYSGSDMKNLVKDASMGPLREALRRGIEITKLQKEDMRPVTLQDFENALQEVRPSVSSNELNTYEDWNRQFGSLAV >DRNTG_23604.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26600877:26601227:-1 gene:DRNTG_23604 transcript:DRNTG_23604.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNVRWNDIAGLEHAKKCVVEMVIWPMLRPDIFRGCRSPGRGLLLFGPP >DRNTG_23604.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26598708:26599942:-1 gene:DRNTG_23604 transcript:DRNTG_23604.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFDSGNEQILLIGATNRPQELDEAARRRLTKRLYIPLPSAEARAWIIRNLLEKDGLFKLSEEDTVAICRLTEGYSGSDMKNLVKDASMGPLREALRRGIEITKLQKEDMRPVTLQDFENALQEVRPSVSSNELNTYEDWNRQFGSLAV >DRNTG_02262.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:987698:989492:-1 gene:DRNTG_02262 transcript:DRNTG_02262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKLNNKLLWPLSCFNHCFIYCPFSLDIMQFWKIRSIEKMWFLVYETLVVLENQVTRNMNPISQIRGYKEDETWLEELRNDNSCGSPLNEMELSLDAMTTTINQLLLETEH >DRNTG_00977.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20868125:20872069:-1 gene:DRNTG_00977 transcript:DRNTG_00977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAECLFGGIVAVLLWFLLSRKSKERNAGSLAEIPDVLDASVDRGTDVIIVGAGVAGSALAYTLGKDGRRVHVIERDLSEPDRIVGELLQPGGYLKLIELGLDDGKNTKLSYPLEKYHSDVAGRSFHNGRFIQRMREKAATLPNVRLEQGTVNALLEENGIVKGVAYKTRAGEELKAYAPLTIVCDGCFSNLRRSLCSPKVDVPSCFVGLVLENCELPFPNHGHVVLADPSPILFYPISSTEVRCLVDVPGQKVPSIANGEMADYLKTVVAPQIPKELYDSFIAAIDKGSMRTMPNKSMPANPHPTPGALLMGDAFNMRHPLTGGGMTVALADIVVLRNLFKPLRNLHDASALCKYLEAFYTLRKPVASTINTLAGALYKVFCASPDQARNEMRQACFDYLSLGGVFSTGPVALLSGLNPRPFSLVAHFFAVAIYGVGRLLVPFPSPKRLWIGARLISSASGIIFPIMRAEGFRQMFFPATIPAYYRAPPSD >DRNTG_35326.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1491518:1494748:-1 gene:DRNTG_35326 transcript:DRNTG_35326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIDPSKKNSFQRFISDGDLVIVYERHDNMKAVKVKKGSELQNRFGVFKHSDWIGKPFGSKLLSSKGGFVYILAPTPELWTLVLSHRTQILYIADISFVISYLEIVPGCVILESGTGSGSLTTSLARAVAPSGHVHTFDFHEQRAGLARDDFERNGLSNLVTVAVRDIQGEGFPDEFQGIIDSVFLDLPQPWLAIPSAARMLKQDGVLCSFSPCIEQVQRSCEALRSNFTDIRTFEVLLRTYEIQEGRIQSSDGGDESYRRITHTRRKRQCISSEDTGENADKATSTTTVMVRPCQEARGHTGYLTFARLNCQ >DRNTG_16852.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11060743:11061208:-1 gene:DRNTG_16852 transcript:DRNTG_16852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIARSCSDPLIISVWRWLSDGPSRGMSPIQARSNHDHASLPHYLCLEGFLLYLENIDEGEDQASPHHLRGSRTSWRYKGSGVSIKESQLGRIS >DRNTG_09675.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1325795:1326287:-1 gene:DRNTG_09675 transcript:DRNTG_09675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLGVISSDNVFENPEELRKQLKKLKSAAVDGVMMDVWWGLIESKPRSYDWTAYRKLFQMVKEEGLRLQAIMSFHQCGGNVGDVVYIPIPQWVRDIGGDNPDIFYTNRMGKRN >DRNTG_22785.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3950346:3951057:1 gene:DRNTG_22785 transcript:DRNTG_22785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGALLQSRSTTSPHPEASSSSSLTWLTVKHRRVKTNLQILRLPIRGEHKTRPSHGEGEDECEPRFVVVSVCLFVSRFAWSWGPLGWLIASEIFTLETRTTGYAFAVSSNMLFTFLIAQAFMSLLCQVKPGIFFFFFTAWIIIMALLQYFMLQETKGIPMKEMTHTFWKNHWYWKRFMVEDECELSKMEKAISP >DRNTG_20658.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20336697:20343021:-1 gene:DRNTG_20658 transcript:DRNTG_20658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHEQGVPAETARFSLIAPHSFLSSRLAGIPGIKASLLEEFRVRLITYDLPGFGESDPHPGRNLNTSAMDMLSLANAVGVQEKFWVLGYSAGGIHAWAALRYIPHKIAGAAMFAPMGNPYESEMTREERYKTWEKWTTKRKLMYILARRFPSFLPYFYRRSFLSGMHGQPEKWLSLSLGKKDKALIENQIFREFWERDVEESVRQRDTKPFVEEAVLQVSRWGFSLADLQVQKHDGKGFVSWLKSLFNPTEREWAGFQGPIHIWQGTDDRVVPQSMTEFVRKVVPGATMHRLLGEGHFSYFCFCDECHRQIFSTLFGIPEGPLSTAFNDDENIEDAEDIETPSEQNCEEISDNHTEQNELS >DRNTG_28752.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7008424:7008979:1 gene:DRNTG_28752 transcript:DRNTG_28752.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMERSLPDTPTWSIVTVLIAMVLLGTLIHVSILRFRKWLLRTQKKPLLAAVETIMQDIMVFGLLSLLMGHWTVWISHICIKETAVSNEFYPCLKNAYVNNSHSSRFWEIEKHGSC >DRNTG_23036.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3273862:3277440:-1 gene:DRNTG_23036 transcript:DRNTG_23036.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILVLQVHHDPDFWGDDAEEFNPQRFSRGVSNASKQNAFFPFGWGPRICVGQTFAMIEAKLAQAMILQHFSFELSPSYVHAPFIVITLEPQYGAHLILHQL >DRNTG_23036.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3273862:3277440:-1 gene:DRNTG_23036 transcript:DRNTG_23036.4 gene_biotype:protein_coding transcript_biotype:protein_coding MILVLQVHHDPDFWGDDAEEFNPQRFSRGVSNASKQNAFFPFGWGPRICVGQTFAMIEAKLAQAMILQHFSFELSPSYVHAPFIVITLEPQYGAHLILHQL >DRNTG_23036.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3273862:3277440:-1 gene:DRNTG_23036 transcript:DRNTG_23036.5 gene_biotype:protein_coding transcript_biotype:protein_coding MILVLQVHHDPDFWGDDAEEFNPQRFSRGVSNASKQNAFFPFGWGPRICVGQTFAMIEAKLAQAMILQHFSFELSPSYVHAPFIVITLEPQYGAHLILHQL >DRNTG_23036.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3273862:3277440:-1 gene:DRNTG_23036 transcript:DRNTG_23036.6 gene_biotype:protein_coding transcript_biotype:protein_coding MILVLQVHHDPDFWGDDAEEFNPQRFSRGVSNASKQNAFFPFGWGPRICVGQTFAMIEAKLAQAMILQHFSFELSPSYVHAPFIVITLEPQYGAHLILHQL >DRNTG_23036.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3273862:3277440:-1 gene:DRNTG_23036 transcript:DRNTG_23036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVLQVHHDPDFWGDDAEEFNPQRFSRGVSNASKQNAFFPFGWGPRICVGQTFAMIEAKLAQAMILQHFSFELSPSYVHAPFIVITLEPQYGAHLILTVLCW >DRNTG_23036.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3273862:3277440:-1 gene:DRNTG_23036 transcript:DRNTG_23036.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILVLQVHHDPDFWGDDAEEFNPQRFSRGVSNASKQNAFFPFGWGPRICVGQTFAMIEAKLAQAMILQHFSFELSPSYVHAPFIVITLEPQYGAHLILHQL >DRNTG_15559.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000767.1:28964:82298:1 gene:DRNTG_15559 transcript:DRNTG_15559.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLPTFFQRNDDVGAIEPLQNPSQYLSKPSSYLS >DRNTG_29280.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28649290:28652605:1 gene:DRNTG_29280 transcript:DRNTG_29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLVAFPSLLAGFRCFKRLILVTIRSMEACLMNLGILESSKLLICLRIPLEEASLLLCVICLHWFSSILMAMKFDNEIPEAIDGLKNISVLSLKHNRFNGPIPESLGNISGLSQLDLSENNLTGKIPISLVRLVNLSSFNVSNNNLSGQVPLLLSEKFNSSSFRGNIQLCGYSVSVPCPSPSPSNNTPPVPPGKSRNRKLSAKDIILIVAGIILALLLLLCCFLLCCLLKKRSTLSKKSTASAAAAAAAARGEKPSPAAATEVESGGDTGGKLVHFDGPLAFTADDLLCATAEIMGKSTYGTVYKATLEDGSQVAVKRLREKIAKGQKEFETEVSMLGKIRHPKSIGSSSLLLRSEKERNSLVFDYMPKGSLAAFLHARGPDTAIDWPIRMKIAMGIAHGLRYLHNDLNMVHGNLTSGNVLLDENTNAKISDFSLSRLMTTAANSNVIATAGALGYRAPELSKLKKANTKTDVYSLGVIILELLTGKSPGEAMNGVDLPQWVASIVKEEWTNEVFDLELMRDAAGDTGDELLNTLKLALHCVDPSPAARPEVQQVLQQLEEIKPELAIAAGSTEEVGSSGTAVAEAKVVESEK >DRNTG_27051.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2652579:2654805:1 gene:DRNTG_27051 transcript:DRNTG_27051.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ABA DEFICIENT 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67080) UniProtKB/Swiss-Prot;Acc:Q8LFP9] MEEQKVLGFRSTNNEFIGMRIAGLGNKLRIQWNFLGGSRVMVHPKVTRLNNLRKGPIISASWLASSQIASSAFTLGTIAVLPFYTLMVLAPNTDLTRRTMESSMPYVLLGVLYAYLLYLSWTPDTLRAMFASKYWLPELPGITRMFSNEMTVSSAWIHLLAVDLFAARQVFHDGLKNKIETRHSVSLCLLFCPVGIVTHVITKVITQMAKRSH >DRNTG_27051.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2652579:2654805:1 gene:DRNTG_27051 transcript:DRNTG_27051.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ABA DEFICIENT 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67080) UniProtKB/Swiss-Prot;Acc:Q8LFP9] MSLLLCSPSSSRISLQRKPSWTASRHQSFISMEEQKVLGFRSTNNEFIGMRIAGLGNKLRIQWNFLGGSRVMVHPKVTRLNNLRKGPIISASWLASSQIASSAFTLGTIAVLPFYTLMVLAPNTDLTRRTMESSMPYVLLGVLYAYLLYLSWTPDTLRAMFASKYWLPELPGITRMFSNEMTVSSAWIHLLAVDLFAARQVFHDGLKNKIETRHSVSLCLLFCPVGIVTHVITKVITQMAKRSH >DRNTG_27234.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1500975:1501870:1 gene:DRNTG_27234 transcript:DRNTG_27234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVGSEGAKVLRELGNRVKTMTRLSSPNILFEVHEAAEDLQRKIDRKSYLLVNSQHWEIGKRPEGIEHVDGVNIGNRFLATKSLSETVIDFRAINNLSKSWDVQNSVNTGLDLSTQTAGSPEALLTKQISWPGRQISILDNIPDEEEPSTYESASALSLATFTSLLIEFVARLQNLVDAFEELSEKAKFKEPEQELIVQNSGFWTKVRNCFGWRD >DRNTG_22562.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001244.1:203711:205967:-1 gene:DRNTG_22562 transcript:DRNTG_22562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCSNSNRDTQEVNVHFECSISTSSDDSNDNEACASNEALNLVAVNSAGKRVRRGPTTLKELYSLPPNEKILVSSNELGQPIGPEGQLLAGLLGMLARCGQQVGLHCENWHKVPKTLKEELLKFVEEKANELLGQYEGTNDDAQMVETKILTKVIGKERHGLVRGLGLGPTPKMYYGSSTSKVSTGVSNKTGNSDDNFITTLLLLFYKIDFPGKMSLFLI >DRNTG_23787.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8766852:8770510:-1 gene:DRNTG_23787 transcript:DRNTG_23787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSGSKSSPSSVLEGLHGVKIVHKFPCNKEKTLHASDFDLSTAEASEIGGNLSLPLQRIWQQRPPCLRPVRCNLRGDQSIMETIANVLTSLPFIFLGFQAPRQECRKNMSSALYANSLIGVGVASTLYHCSRGEVRKYFRWADYTAIAATTVCLSRALREENPKLLMAASVLLLPFQPFMVSAVHTGMMEVAFVKRASTNPGLRTAHSMHTMSSILGGLLFVADDYFPETPYIHAAWHLAAALGVHTCNSLLN >DRNTG_02139.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28881457:28882092:-1 gene:DRNTG_02139 transcript:DRNTG_02139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLERERERERERERFVEEEGIEGYL >DRNTG_06909.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14274000:14289630:1 gene:DRNTG_06909 transcript:DRNTG_06909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSATRLLSSIRSKTNTIVLLIDGGAIPPCAGGRRGMSTAARAKEDKEAWWKESLERMRNIGISAHIDSGKTTLTERVLFYTGRIHEIHEVRGRDGVGAKMDSMDLEREKGITIQSAATYCTWNGYQINIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEVPRLAFINKLDRMGADPWKVLNQARSKLRHHSAAVQIPIGLEEDFQGLVDLVQLKAYYFHGANGENVVAFDIPSNLEASAVEKRRELIEVVSEVDDQLAEIFLNDEPISPRDLKMAIRRTTIARKFVPVYMGSAFKNKGVQPLLDGVLDYLPCPTEVENHALDQNNSEERVLLSGTPAGPLVALAFKLEEGRFGQLTYLRIYEGVIKKGEYIVNINTGKKIKVPRLVRMHSNEMEDIQEAHAGQIVAVFGVDCASGDTFTDGSVRYTMTSMNVPEPVMSLAVSPVSKDSGGQFSKALNRFTKEDPTFRVGLDAESGQTIISGMGELHLDIYVERIRREYKVDAKVGKTPC >DRNTG_06909.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14274000:14289197:1 gene:DRNTG_06909 transcript:DRNTG_06909.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSATRLLSSIRSKTNTIVLLIDGGAIPPCAGGRRGMSTAARAKEDKEAWWKESLERMRNIGISAHIDSGKTTLTERVLFYTGRIHEIHEVRGRDGVGAKMDSMDLEREKGITIQSAATYCTWNGYQINIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEVPRLAFINKLDRMGADPWKVLNQARSKLRHHSAAVQIPIGLEEDFQGLVDLVQLKAYYFHGANGENVVAFDIPSNLEASAVEKRRELIEVVSEVDDQLAEIFLNDEPISPRDLKMAIRRTTIARKFVPVYMGSAFKNKGVQPLLDGVLDYLPCPTEVENHALDQNNSEERVLLSGTPAGPLVALAFKLEEGRFGQLTYLRIYEGVIKKGEYIVNINTGKKIKVPRLVRMHSNEMEDIQEAHAGQIVAVFGVDCASGDTFTDGSVRYTMTSMNVPEPVMSLAVSPVSKDSGGQFSKALNRFTKEDPTFRVGLDAESGQTIISGMGELHLDIYVERIRREYKVDAKVGKTPC >DRNTG_17109.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000873.1:32488:33758:1 gene:DRNTG_17109 transcript:DRNTG_17109.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGNCGNDGVNARSTKGKCGTPNKRWKAEFDNFLIPLLVEQANKGLKCDKSFKRVAFAHAASAMNTKFNTNFIVENVENHYQILKSRYVEIKKARDLSGAGWDDEPKMIILNLIVAFTYTEKNVGDEDNENENSESPSQTS >DRNTG_17109.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000873.1:28436:33758:1 gene:DRNTG_17109 transcript:DRNTG_17109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGNCGNDGVNARSTKGKCGTPNKRWKAEFDNFLIPLLVEQANKGLKCDKSFKRVAFAHAASAMNTKFNTNFIVENVENHYQILKSRYVEIKKARDLSGAGWDDEPKMIILNLIVAFTYTEKNVGDEDNENENSESPSQTS >DRNTG_31301.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1209229:1209811:-1 gene:DRNTG_31301 transcript:DRNTG_31301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINHSSFSTKLSIRIFSGKNRSNVSSDKKKIKSEQKQYRDCH >DRNTG_31074.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30748311:30753039:-1 gene:DRNTG_31074 transcript:DRNTG_31074.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSQPSIITPSLLLLLLLFVILGCRGGDARSAFACGGGATAGLPFCRQSLPIRARVRDLIGRLTLDEKVRLLVNNAAGVQRLGIGGYEWWSEALHGVSNVGPGVHFGGKFPGATSFPQVISSAASFNASLWELIGRVVSDEARAMYNGGQAGLTYWSPNVNIYRDPRWGRGQETPGEDPTIAGRYAAAYVRGLQQPYGGRSGYNRLKLAACCKHYTAYDLDNWNGYDRYHFNAEVSKQDLEDTFDVPFKACVVEGKVASVMCSYNQVNGVPTCADPNLLRNTIRSQWGLDGYIVSDCDSVGVMYNSQHYTSTPEEAAADAIKAGLDLDCGPFLAQYTEGAMKQGKLNQMDVDNALTNTLTVQMRLGMYDGDPSRQPFGNLGPQHVCTQAHQNLALEAARQGIVLLKNQANALPLSHSRYRNIAVIGPNSDATVTMIGNYAGVPCRYTSPLQGIANYARTIHQVGCTNVACSGNQPIEAAVAAASKSDATILIMGLDQSIEAEAIDRVELLLPGRQPELVTKVAAASKGPCILVLICGGSVDISFAKNDPKISAILWAGYPGQAGGAAISDVIFGAFNPGGKLPMTWYPQQFVEKVPMTNMNMRPNPSEGYPGRTYRFYKGPVVYPFGHGLSYTRFTHSISHAPSELTVRLDGRKAGSENSTMLNSLIRVTHAKCEGLIMPIHLDVKNEGDWDGSHTVLVFSRPPSSSSDHSPPQKQLVGFEKVQVFAKSQTRVTLNIDVCKDLSIADNNGIRRIPIGDHSIQIGDLSHSLSLKAETLAF >DRNTG_35241.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3514795:3515987:-1 gene:DRNTG_35241 transcript:DRNTG_35241.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLATLRPFPTTFSSKPFPIPPNLHPLLRLPRRRPPRIHQISAYKVTLEHEGATRTLEVEEDETILGRVLDEGLNVPHDCKLGVCMTCPARLLSGSVDQSEGMLSDDVVERGYALLCVSYPRSDCHIRTIPEDELLDLQLATAND >DRNTG_35241.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3515274:3515987:-1 gene:DRNTG_35241 transcript:DRNTG_35241.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLATLRPFPTTFSSKPFPIPPNLHPLLRLPRRRPPRIHQISAYKVTLEHEGATRTLEVEEDETILGRVLDEGLNVPHDCKLGVCMTCPARLLSGSVDQSEGMLSDDVVERGYALLCVSYPRSDCHIRTIPEDELLDLQLATAND >DRNTG_35241.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3513382:3515987:-1 gene:DRNTG_35241 transcript:DRNTG_35241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLATLRPFPTTFSSKPFPIPPNLHPLLRLPRRRPPRIHQISAYKVTLEHEGATRTLEVEEDETILGRVLDEGLNVPHDCKLGVCMTCPARLLSGSVDQSEGMLSDDVVERGYALLCVSYPRSDCHIRTIPEDELLDLQLATAND >DRNTG_30659.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8514133:8517624:-1 gene:DRNTG_30659 transcript:DRNTG_30659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLGNFVDGSAVLAQRLSTANCPMQYMFNQFICTICRIEMKPGGHSENVCDQEFQFVNFMLSELCIKFCLLQYGVNMNALTKPYLEESDKYYLKGSTQNPEKNELVLTIAPSLVNPTHWGQQVARYVHAILSRHVLVDYLHHQSQYLRVDALFAGPYVTRLIRGMVFFKAIWGEEKIIVPTPLELIAL >DRNTG_04530.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4985309:4990813:1 gene:DRNTG_04530 transcript:DRNTG_04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKSDHGSTSDRRVDQNCAHKTGNNKPLLSSLFSSLIAVRLFHVVQPKPKNKITKRRFSWKP >DRNTG_33161.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6532921:6545336:-1 gene:DRNTG_33161 transcript:DRNTG_33161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRALFKIPEIQVFGRITIVGVGIQRADEEDGAEADIGDGDDREVSEVELGNVDGVEQVFVVNLTLPKD >DRNTG_23923.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3211347:3221590:1 gene:DRNTG_23923 transcript:DRNTG_23923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQFTDDLHPLDVGNSKTLLARHVHPRDADNNKTLLARHCMASPKASLASTNAARPISEYDNDADRGDDVTVIVDCFNGISELVRYPSMYEKARTTMACWMGKLASGPSPKGSWSL >DRNTG_06468.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:559805:561226:-1 gene:DRNTG_06468 transcript:DRNTG_06468.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGLVFLLALMAMQAIIPGSSSASLKLGYYKYECKGAEKIIRQTMANFIRYNPTVAPSIIRMHFHDCFVRGCDGSVLIDSTASNKAEKDAPPNNPSLKGFEVIDQIKAKLEAYCPNTVSCADILAFAARDASYYVGGINYNVPAGRRDGRISIDSEVIQNLPAPNFNAKQLIGNFANKGLSADEMVTLSGAHSIGVSHCSSFSPRLYAFNSTHPQDPSIDPQFAAFLKTKCPQGSSNDPNVFLDNVTPTRLDNQYYKNLKYKRGLLTSDQTLEGSPETANTVTMNAKNYRAWSAKFAAAMVRMGSIEVLTGNQGEIRKKCRVVN >DRNTG_01575.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5385857:5387538:1 gene:DRNTG_01575 transcript:DRNTG_01575.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPARGKETAAERIKGPWSPEEDEALQRLVGKHGPRNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRPFHAGGG >DRNTG_01575.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5386125:5387758:1 gene:DRNTG_01575 transcript:DRNTG_01575.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPARGKETAAERIKGPWSPEEDEALQRLVGKHGPRNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRPFHAGGG >DRNTG_01575.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5386125:5387538:1 gene:DRNTG_01575 transcript:DRNTG_01575.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPARGKETAAERIKGPWSPEEDEALQRLVGKHGPRNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRPFHAGGG >DRNTG_01575.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5385857:5387758:1 gene:DRNTG_01575 transcript:DRNTG_01575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPARGKETAAERIKGPWSPEEDEALQRLVGKHGPRNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRPFHAGGG >DRNTG_27086.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17457782:17461248:1 gene:DRNTG_27086 transcript:DRNTG_27086.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNVIKMMQKMMQSITSESCELTELSALQDVLKEELKGKRLLLVLDDVWNENLSLWDCFRAGLMGRGQQKDHSYYS >DRNTG_29080.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6798635:6799006:1 gene:DRNTG_29080 transcript:DRNTG_29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVLWSGLILSKGPVVKPRAHLAELVPTKAPPITIFQENRCVKAGIGHPHAPVDVPHAPMEFRDEHVEFPHTRVDSLEF >DRNTG_10503.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6335617:6336056:-1 gene:DRNTG_10503 transcript:DRNTG_10503.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLKSGSTVAQAILFWEIFFLAFAYLFGRARLGFGDVLLGFWSISTTFNIDFLRRRVIGGASDSFDSVRCALGFDGGVFGEDEAALEDHRHGRQ >DRNTG_12560.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20977646:20978990:1 gene:DRNTG_12560 transcript:DRNTG_12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AS2 [Source:Projected from Arabidopsis thaliana (AT1G65620) UniProtKB/TrEMBL;Acc:A0A178WIA0] MAASSSSPMGSTISIASSLATTTTTTTSSSSSSSSSSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFIHVHRVFGASNVTKLLNELHPYQREDAVNSLAYEADMRLRDPVYGCVGVISVLQHQLRQLQIEISCAKSELSKYQSTFGPPSFSSSNPPTTNLININAQPTAMGSGIGHFFPTSHAREPPQPHLMMMRNSYDTYDDSLVAAAMNASAASFVPFAGGGQFARPNAAAAAAADDRPGIGPSEKPLCS >DRNTG_29740.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001503.1:28984:35654:-1 gene:DRNTG_29740 transcript:DRNTG_29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCERDLSARDCGECIAEAVQKAEVKCGGAASWPGLSR >DRNTG_28374.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2344180:2345735:-1 gene:DRNTG_28374 transcript:DRNTG_28374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMGSRSAGSLLRQLGPRLFSTAVISRAAAEPSQALLYGSSIFATPARAPTTWVKLLSVRMASSSTPAQEEVGQQEEPATTPGDERKEVVSYWGMAPLKLMKEDGTEWKWNCFRPWDTYSSDQTIDLKKHHKPTTLLDKIAYWTVKTLRVPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRRFEHSGGWIRALLEEAENERMHLMTFMEVSNPRWYERALVLAVQGVFFNAYFIGYLISPKFAHRVVGYLEEEAIHSYTEFLKEIDAGRIDNVPAPAIAIDYWRLPANSKLRDVVMVVRADEAHHRDVNHYASDIHYQGLELQKTPAPLGYH >DRNTG_03763.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18117405:18125351:-1 gene:DRNTG_03763 transcript:DRNTG_03763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSRLAYRYLHAIMSMSVNGHGDSISVLSRQELLYLYSMVLSTTIHLGHIITEYLRHQGGTKKASIPVLLGIETLRLMGMVRRVLSGVYALITLRPRGLCLEFRGILARLLEWFYDFDTMFLLEDSYWESFRQHQSSKLCPRLDEGTFGEDQTTQHDHQHDY >DRNTG_31002.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30393668:30395425:-1 gene:DRNTG_31002 transcript:DRNTG_31002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENYQQVVKAATAVVTGGSTLMLCGLTLVSTVIFLTVATPLMVIFSPVLIPAVITVCLIFSGFLVSGGFGVAGASVLYWMYRVITGKHPPGADQLDEARYKLA >DRNTG_20585.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3274055:3281670:1 gene:DRNTG_20585 transcript:DRNTG_20585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSKAESRRLYSWWWDSHNSPKNSRWLQENLSDMDAKVKAMIKFLEEDADSFARRAEMFYKKRPELLKLVEEFYRAYRALAERYDHATGALRQAQRTMAEAFPNQVPFVITDEPNSGSSAFEPEIRSPDKRSFPTYFDPDDLQKDTPHFHAVKRNGAYSDEHDALSGKNGLKQLNELFSAGEVVAQSKLGQDKGYEPKPRRGLRDLQKHDFAEKENISNEIKRLQEELSQMSKEKKVLDNQIISESHRADKAEAEIQSLKDIMSKLNSEKEAAYLQYQLCLERLSNLEAQMSRTQEEFRKLNDNMRTGVEKLNSAEERSLLLKKENQTLQLELETLKLSRHDILEQKLSTDRVLKSLQVSLKMMENEKMGLEDICKKLEDEKQHLLERLKILESVEEKNVVLENSLSDVHVELEGLREKIKSLEETCDSLRRQISVHISENTVLIAQLEVVSKQMEKLSEKNTFLGNSLTDTYGELENLRGKLKGLEESFQSLNDQNSALLVEKNTLLVEMGSINQCLKSLERRHAELQQKYLALRKEQELALGQVQELHDVLEAEKQEHENLIQSSKRRQEMLDNQIQLLQEEAWIKDDQFETEQLKYMNAQVEIFILQKCLLEMEESNLLLSRECQKNIETLKCLEKQKSDLEHEYLLQKQTVASLSEHNEKLNEGIHGVLKELRSNKQCQSIDDMRDELLLQIVKDETKHLLSSLSDVQDENQSLILDRLVILAMLKQVSLDAMDMRSEKDFLVQELILRNEELACLQSEKHELMGTKEQLVLDVQNSNKRQDELNQEIKDLLGQLADWKEHHNILKGQNLKLLNEYQSLSNKFDHLRVINEALEEENRSILSEAMTLEFLYSFFQSVTAERTVKLGSFSNDLDSLSSAKNELDQEIRALNDKIIQIEVENTDLKDTLVNFDECKNHLMVLENDLEAARNVVCTLSEENIHKDNEVVSLHQVNELLNGKIGKLHKEVEQAKQREELLASELHNSENELKCCEVETATLLDELHLSTTSAAIFEDKLFELILECESS >DRNTG_03337.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15079306:15080263:1 gene:DRNTG_03337 transcript:DRNTG_03337.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVDEAIEKLMDNTTCKEKFTPTLSEATIVPHQQFLTPLKMRRNRIVMQVAYIAQEQNTSP >DRNTG_29716.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26053121:26055020:-1 gene:DRNTG_29716 transcript:DRNTG_29716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCANLLVFKLAQLFGGVQGQSHSSIPTCAYRTRSSPTYMLLKKQSDSRRKRVSVCVTLMKAWIRKYFGQGCASRTVYGVHGTFRHITSDHRARRRLVICPAAEDSAGPSVSPAPPSPIPATDDPPCTSSPASAAADPERDIDT >DRNTG_04705.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3915804:3921408:-1 gene:DRNTG_04705 transcript:DRNTG_04705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSDREATTLQFRVDALVRTTSFVGGVSEIVECWVAGLLVVSAALHEEDMDEYNNGDFIDDDDDEEEEIGLSARDTSAHIFKIIRMYKPSLNEFKGGNFSYYNRKARFFKDFVDLNNLLDLNYTGPPFTWCNNQNGPARLWAKLDRCLVNLDLINVVRVSCSGSKSLELLSSWEPYASLFSFTISLSLSVPRSRTRMVSSSPTSLTSFLPSVLSIPSFRQTPSKYVPNILELLPDNIPMLSDADSSFLIREVTKEEIYLTILDLPNGCFSGPANDVFSGDLIALEATLHTT >DRNTG_01812.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3120443:3122921:1 gene:DRNTG_01812 transcript:DRNTG_01812.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKIVEKKGKENDEDDKEHKKEKKHKEKKDKHESEEGDDHKKDGKSSEKKEKKKDKKHEKDEDEKKHGELEEKQKEKSKKDNDGKSTDTQKDGDGELKKKEKDKEKDKNKDKDKTKDKNKDKDESKKAKEDEENTEKEEKKKMKKEKDEKSKDKEKEKKDKHEDAEVEDKETKKKEKKSKDKDGESKKNKKNDEEDSKEDNIKDRELKLTKEGDKTEKKVEAKEKKKKNDEEDESEKKVKDKKDKKKESKDDEEADEENKEKSKKDKCEKKRKLDGKEKSKDVAKLKQKLEKIDAKIEALQAKKAEILSLIQNSSEEKKETITDAA >DRNTG_29041.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2683368:2684463:1 gene:DRNTG_29041 transcript:DRNTG_29041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVHPNSGDLPENGGREVITGTTTTLTVWHKSLLFNCNGFTVYDDKGNLVFRVDNYSSTTSGEVVLMDAAGKPLLTVRRKKLSLKDQWLIYNGEEMRNPRFTARKHMNILQSKSLTQVSTCQKNSSVGSGCCYNIEGSYTQRSCMIYDERHNPVAEIKRKEDVGGVAFGSEVFRLVVQPEIESTLAMAIVVLLDQMFGSKGALSLIRS >DRNTG_04094.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5373638:5376573:1 gene:DRNTG_04094 transcript:DRNTG_04094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSFGSLGWPLINTVIFGVVIGDHYGDDKVVTSGKDLRRTFTVTFVCTLVLELFYFPKLSMPGFLFCGFLMWLSVQELVACLPNFVEIGSSDPSESLYSMIMNPLRHIMTERKSRKIALFLLINTAYMVVEFVAGFMSNSLGLISDACHMLFDCAALAIGLYASYISRLPANHHYSYGRGRFEVLSGYVNAVLLVLVGALIVLESFERILDPQEISTNSLLTVSIGGLLVNVVGLVFFHEEHHHAHGGSGACSHSHHSHHPHHSHDHHDHHHHNHHHSHDHHDHHYGIVMQDLDAKIEQHQHNVHSHDSSSGNLHTVHVNHCCNHNNHHNDDHHHLHTDVKNGNACKSSHEHQNQDHSSHGHFPDHTNNGCHVEKESCSHKETVHSSSEHQNNHSSSSKQQHHHIDHNMEGIFLHVLADTMGSLGVVISTLLIKYKGWFAADPACSIFISIMIVSSVVPLLKNSAETLLQRVPRANEQDLKVALHNLMKVDSVCGFRNLHVWNFTNTEPVATVHLHLSAEGDKTRVKKRSMNLFHEAGIRDVTVQVEYVNT >DRNTG_30489.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:654022:654284:1 gene:DRNTG_30489 transcript:DRNTG_30489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPLSIPSQSKQQKRTLFLHPGKYTTKTQGYTTH >DRNTG_18771.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1371560:1375123:1 gene:DRNTG_18771 transcript:DRNTG_18771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINLLRGGGGGGGQGAAVARRLLLRHLTRSPAPESLSRPFVRSRLFSNGLKESPVAPSLSVPSSRASSTTTRNAFVTWYLGRIEARPVLTKSLTAGAIFVAADISSQMITLESSDSLDLIRTIRMASYGMVLSGPSLHLWFNFVARIIPKRDLISTLKKLFIGQTVYGPIMTSIFFSSNAAAQGETSAEIYARLKRDLIPTLKSGLIYWPFCDFITFKFIPVRLQPLVSNSFSFLWTIYITYMASLEKAIVEEKSND >DRNTG_11392.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14169323:14173567:-1 gene:DRNTG_11392 transcript:DRNTG_11392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVLDQAKLLPLAIILFHHLNSLVQYEAASTWGYNTDHHALMEFKNGVAATGDHLATVLSSWNDTIHFCDWQGITCNQNSRRHQQRVTALSLPSQRLTGSLSPYLGNLSYLQELNLGNNFLQGEIPQELGKLNLLRILNVTDNHFGGQIPSNISNCKALTHLDLGNNELQGEIPMEITSLSKLKTLHLLVNNLTGPIPQSIGNLSSLTTLTMGRNTLSGSLPAGIGMIPQLQFLQIAENQLHGVIPPSIYNLSSLTFIALATNKLQGSLPPDMGQLLPNLSTLYLGDNQITGSIPASITNLSSLANLDLAYNNFTGPVPTDLGRLSNLVWFNLEGNQLGFRDRYGLDFITSLSNCTSLETLDIYNNNFESQLPISVANLSTRLSMLILAGNKIHGHIHEGITNLVSLTVLRLENNDLQGPLPITIGRLENLQLLSASSNKLSGQIPSSIGNLTQLIDLRLADNLLQGGIPSTLGNCKILQLFDLSENMLNGTIPPQVIGIPSLEIFFGVSGNSITGSLPAEVGKLQHLREIDLSENRISGEIPGALGDCQSLEYLHMQGNIFQGVIPISLNNLKAIQYLDLSRNKLSGRIPEYLGKLHSLAYLNLSSNNLEGLVPQSGVFEIASAISIQGNTNLCGGAGFLRLPDLLH >DRNTG_02040.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000100.1:77000:77890:-1 gene:DRNTG_02040 transcript:DRNTG_02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSKHPHRTQDYTNSSVIIRLLRQYEADTEYNIDEVVWYLGTVFLKFHIEFVHRLRLLAKLSDELCMLRVNLGNK >DRNTG_24560.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25930210:25932992:-1 gene:DRNTG_24560 transcript:DRNTG_24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLFVMLGTVIPMGIPYKLSRISSLALAINSPTGIDRVSIRLRRTLCPVKSPLSQCSIKWAQRARMLWIKDGDRNTSFFHASTRIRAHTNFISQVIDASGSRCQNHSSIEDPYHQSQPRSHFWLDPWKAARNINQCLELYNHISGQRPNRTKSQIFFPTWCNKHVSSRICSILNLTQASFPFKYLGILISPKRLAASSFNHLVDRIRHLCSRWSNYNLSQAAKTTLINTTILSIPIYTLSVYPIPDFIVSEISRVVRKFFWCRSSNGKGIHNLNWNTVTDSKAEGGIGIRNLSLAKYSLMAKHFFNFLNNGDAIWVDILRFKYGDFNFWRNNPPSKCSWFFRYLTRIATRIKPNCRINSINPDITSFLWDPWCFEIPIALKPTFLNVDTDIDSLAISDFISGDRWNEPQLFHVFGQNFNFHDLSSSIIDSNSCNHWVWNPSTKQHKIAATVYKHLNHSDILSESWSGWHVLWKLFIAPRAKHFLWLLFHGRLSTSNFLFQLRLGPDNPCILCGLFPETIEHLFCQCSLTKQVWNFLCLKLNASIYFPNGFAEGSWLTNGNFSMHCISVIAAIAWFIWKSRCDAIFRDTPINIPVIVCRALSHVQEYTTCHR >DRNTG_01199.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000072.1:7967:11367:1 gene:DRNTG_01199 transcript:DRNTG_01199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVQTMLPTLNEGSAINQIDHSTRQN >DRNTG_16485.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28479762:28481950:-1 gene:DRNTG_16485 transcript:DRNTG_16485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPLYGSGPLRSREGLTARPVAGTDEIQLRIDPMHGDLDEEISGLHQKIRRLKGVAQEIETEAKYQNDFISQLQMTLIKAQAGVKNNMRRLNKSIIQQGSNHVVHVVLFALFCFFLVYLWTKFSRR >DRNTG_04170.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:491911:498678:1 gene:DRNTG_04170 transcript:DRNTG_04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyribonucleotide nucleotidyltransferase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G14580) UniProtKB/Swiss-Prot;Acc:Q9S7G6] MAAAVRRKLTPLLAAAPHLLARRRHWIRSHCTSHLGFLSRSPLSEPEVDANASPVPGVKVLESFSEEFEIGSRIITIETGKIARFANGAVVIGMGDTKVLATVASSKGDGVRDFLPLTVDYQEKQYAQGIIPTTYMRREGAPKERELLCGRIIDRPIRPLFPAGFYHEVQVMASVLSSDGNQDPDVMAANATSAALMLSDIPWNGPIGVIRVGRIDGQFVFNPSMDELSSSDLNLVYACTQDKTLMIDVQARGISERDLEAGLKLAHPEAVKYIEPQIRLAEKAGKRKREYKLSMITERTIEKIKSLAEGPIEAVFTDSAYGKFERGEALDKITQDVKAKLEEENDEESLKVLPKTVDMVRKKIVRRRIIEKGLRVDGRRLDEVRPLFCEAGTYPILHGSSLFSRGDTQVLCTVTLGAPGDAQRLDSIVGPPTKRFMLHYSFPPFSINEVGKRTSLNRREVGHGTLAEKALLAVLPPEDELPYTVRINSEVMASDGSTSMATVCGGSMALMDAGIPLREQVAGVSVGLVSDVDPSTGAINDYCILTDILGLEDHLGDMDFKVAGTRKGITAIQLDIKPAGIPLDIICECLEPARKGRTHILNRMEQEIDSARTTHHGNPPPVSLRYSNDSLRRLLGPLGSHRKKIEQETGARIAVSDGTVTIVAKNQMIMEKAREKLDFLVGREIEVGRIYKGVVTSVKEYGAFVEFNGGQQGLLHISELSHEQVPKVSDAVSVGQELSLMCIGQDVRGNIKLSLKATLPQRGSKTKDAEETHDPFAPTKQMNVWASVENVSVCEENDEASNSNDSEGDETHTATHSTSSIVIRSASECDARDISATQHIKKKKKTRQTTKSTPRPYDRSSNDKSGRRSAVSSTQVRVKKENDNFQTILHSFVKDDANNEKKPISSGLSKVDASSLRLGDIVTAKVCQIRSHGLVLELGGGIRGMYKFEANGRRDFEVGNELHVRCSAFSSRGIPVFSLLRGD >DRNTG_04170.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:491911:498678:1 gene:DRNTG_04170 transcript:DRNTG_04170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyribonucleotide nucleotidyltransferase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G14580) UniProtKB/Swiss-Prot;Acc:Q9S7G6] MRREGAPKERELLCGRIIDRPIRPLFPAGFYHEVQVMASVLSSDGNQDPDVMAANATSAALMLSDIPWNGPIGVIRVGRIDGQFVFNPSMDELSSSDLNLVYACTQDKTLMIDVQARGISERDLEAGLKLAHPEAVKYIEPQIRLAEKAGKRKREYKLSMITERTIEKIKSLAEGPIEAVFTDSAYGKFERGEALDKITQDVKAKLEEENDEESLKVLPKTVDMVRKKIVRRRIIEKGLRVDGRRLDEVRPLFCEAGTYPILHGSSLFSRGDTQVLCTVTLGAPGDAQRLDSIVGPPTKRFMLHYSFPPFSINEVGKRTSLNRREVGHGTLAEKALLAVLPPEDELPYTVRINSEVMASDGSTSMATVCGGSMALMDAGIPLREQVAGVSVGLVSDVDPSTGAINDYCILTDILGLEDHLGDMDFKVAGTRKGITAIQLDIKPAGIPLDIICECLEPARKGRTHILNRMEQEIDSARTTHHGNPPPVSLRYSNDSLRRLLGPLGSHRKKIEQETGARIAVSDGTVTIVAKNQMIMEKAREKLDFLVGREIEVGRIYKGVVTSVKEYGAFVEFNGGQQGLLHISELSHEQVPKVSDAVSVGQELSLMCIGQDVRGNIKLSLKATLPQRGSKTKDAEETHDPFAPTKQMNVWASVENVSVCEENDEASNSNDSEGDETHTATHSTSSIVIRSASECDARDISATQHIKKKKKTRQTTKSTPRPYDRSSNDKSGRRSAVSSTQVRVKKENDNFQTILHSFVKDDANNEKKPISSGLSKVDASSLRLGDIVTAKVCQIRSHGLVLELGGGIRGMYKFEANGRRDFEVGNELHVRCSAFSSRGIPVFSLLRGD >DRNTG_04933.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8415378:8417391:-1 gene:DRNTG_04933 transcript:DRNTG_04933.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSCRAESSVMTCRSVAALAPNQLQKPNSSIDLDHPDAAPPIRVFSYRELETATSSFSDKSLLGRGSHGTVYKAVLGDGRPVAVKRPSRRPAHAQARRNDEVENEIRILSRLRSPRLVNLIGFTDPEPSRLLVVEFMPNGTLYDFLHSNRRPPGWARRLRLVLQTAKALLTLHSARPPVIHRDVKAANVLIDHRFNARLGDFGLALRDDSTGLSSSSVRSTPPAGTLGYLDPCYVTPENLSTKTDVFSFGILLLEIMSGRKAIDVAYSPPSVVEWAVPLLKKGKVLALYDPRIEPPRDTTARRQLASLAASCVRSSKERRPSMEEVVERLKVLCKALSSKSWNGLSVVNPCLMVETEQTVLKLNVKNLDSNLNSSPISRCASLDEDSVRDEEAAMEGKKLHSLVRPVRPVRNARKVFSDGGAKSSVNLMDLMGGSDGEAINGGVRIGGKVNHGSMVRRARIVQVARA >DRNTG_04933.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8415290:8417391:-1 gene:DRNTG_04933 transcript:DRNTG_04933.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSCRAESSVMTCRSVAALAPNQLQKPNSSIDLDHPDAAPPIRVFSYRELETATSSFSDKSLLGRGSHGTVYKAVLGDGRPVAVKRPSRRPAHAQARRNDEVENEIRILSRLRSPRLVNLIGFTDPEPSRLLVVEFMPNGTLYDFLHSNRRPPGWARRLRLVLQTAKALLTLHSARPPVIHRDVKAANVLIDHRFNARLGDFGLALRDDSTGLSSSSVRSTPPAGTLGYLDPCYVTPENLSTKTDVFSFGILLLEIMSGRKAIDVAYSPPSVVEWAVPLLKKGKVLALYDPRIEPPRDTTARRQLASLAASCVRSSKERRPSMEEVVERLKVLCKALSSKSWNGLSVVNPCLMVETEQTVLKLNVKNLDSNLNSSPISRCASLDEDSVRDEEAAMEGKKLHSLVRPVRPVRNARKVFSDGGAKSSVNLMDLMGGSDGEAINGGVRIGGKVNHGSMVRRARIVQVARA >DRNTG_04933.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8415143:8417323:-1 gene:DRNTG_04933 transcript:DRNTG_04933.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSCRAESSVMTCRSVAALAPNQLQKPNSSIDLDHPDAAPPIRVFSYRELETATSSFSDKSLLGRGSHGTVYKAVLGDGRPVAVKRPSRRPAHAQARRNDEVENEIRILSRLRSPRLVNLIGFTDPEPSRLLVVEFMPNGTLYDFLHSNRRPPGWARRLRLVLQTAKALLTLHSARPPVIHRDVKAANVLIDHRFNARLGDFGLALRDDSTGLSSSSVRSTPPAGTLGYLDPCYVTPENLSTKTDVFSFGILLLEIMSGRKAIDVAYSPPSVVEWAVPLLKKGKVLALYDPRIEPPRDTTARRQLASLAASCVRSSKERRPSMEEVVERLKVLCKALSSKSWNGLSVVNPCLMVETEQTVLKLNVKNLDSNLNSSPISRCASLDEDSVRDEEAAMEGKKLHSLVRPVRPVRNARKVFSDGGAKSSVNLMDLMGGSDGEAINGGVRIGGKVNHGSMVRRARIVQVARA >DRNTG_04933.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8415378:8417323:-1 gene:DRNTG_04933 transcript:DRNTG_04933.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSCRAESSVMTCRSVAALAPNQLQKPNSSIDLDHPDAAPPIRVFSYRELETATSSFSDKSLLGRGSHGTVYKAVLGDGRPVAVKRPSRRPAHAQARRNDEVENEIRILSRLRSPRLVNLIGFTDPEPSRLLVVEFMPNGTLYDFLHSNRRPPGWARRLRLVLQTAKALLTLHSARPPVIHRDVKAANVLIDHRFNARLGDFGLALRDDSTGLSSSSVRSTPPAGTLGYLDPCYVTPENLSTKTDVFSFGILLLEIMSGRKAIDVAYSPPSVVEWAVPLLKKGKVLALYDPRIEPPRDTTARRQLASLAASCVRSSKERRPSMEEVVERLKVLCKALSSKSWNGLSVVNPCLMVETEQTVLKLNVKNLDSNLNSSPISRCASLDEDSVRDEEAAMEGKKLHSLVRPVRPVRNARKVFSDGGAKSSVNLMDLMGGSDGEAINGGVRIGGKVNHGSMVRRARIVQVARA >DRNTG_04933.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8415425:8417391:-1 gene:DRNTG_04933 transcript:DRNTG_04933.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSCRAESSVMTCRSVAALAPNQLQKPNSSIDLDHPDAAPPIRVFSYRELETATSSFSDKSLLGRGSHGTVYKAVLGDGRPVAVKRPSRRPAHAQARRNDEVENEIRILSRLRSPRLVNLIGFTDPEPSRLLVVEFMPNGTLYDFLHSNRRPPGWARRLRLVLQTAKALLTLHSARPPVIHRDVKAANVLIDHRFNARLGDFGLALRDDSTGLSSSSVRSTPPAGTLGYLDPCYVTPENLSTKTDVFSFGILLLEIMSGRKAIDVAYSPPSVVEWAVPLLKKGKVLALYDPRIEPPRDTTARRQLASLAASCVRSSKERRPSMEEVVERLKVLCKALSSKSWNGLSVVNPCLMVETEQTVLKLNVKNLDSNLNSSPISRCASLDEDSVRDEEAAMEGKKLHSLVRPVRPVRNARKVFSDGGAKSSVNLMDLMGGSDGEAINGGVRIGGKVNHGSMVRRARIVQVARA >DRNTG_04933.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8415290:8417323:-1 gene:DRNTG_04933 transcript:DRNTG_04933.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSCRAESSVMTCRSVAALAPNQLQKPNSSIDLDHPDAAPPIRVFSYRELETATSSFSDKSLLGRGSHGTVYKAVLGDGRPVAVKRPSRRPAHAQARRNDEVENEIRILSRLRSPRLVNLIGFTDPEPSRLLVVEFMPNGTLYDFLHSNRRPPGWARRLRLVLQTAKALLTLHSARPPVIHRDVKAANVLIDHRFNARLGDFGLALRDDSTGLSSSSVRSTPPAGTLGYLDPCYVTPENLSTKTDVFSFGILLLEIMSGRKAIDVAYSPPSVVEWAVPLLKKGKVLALYDPRIEPPRDTTARRQLASLAASCVRSSKERRPSMEEVVERLKVLCKALSSKSWNGLSVVNPCLMVETEQTVLKLNVKNLDSNLNSSPISRCASLDEDSVRDEEAAMEGKKLHSLVRPVRPVRNARKVFSDGGAKSSVNLMDLMGGSDGEAINGGVRIGGKVNHGSMVRRARIVQVARA >DRNTG_04933.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8415143:8417391:-1 gene:DRNTG_04933 transcript:DRNTG_04933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSCRAESSVMTCRSVAALAPNQLQKPNSSIDLDHPDAAPPIRVFSYRELETATSSFSDKSLLGRGSHGTVYKAVLGDGRPVAVKRPSRRPAHAQARRNDEVENEIRILSRLRSPRLVNLIGFTDPEPSRLLVVEFMPNGTLYDFLHSNRRPPGWARRLRLVLQTAKALLTLHSARPPVIHRDVKAANVLIDHRFNARLGDFGLALRDDSTGLSSSSVRSTPPAGTLGYLDPCYVTPENLSTKTDVFSFGILLLEIMSGRKAIDVAYSPPSVVEWAVPLLKKGKVLALYDPRIEPPRDTTARRQLASLAASCVRSSKERRPSMEEVVERLKVLCKALSSKSWNGLSVVNPCLMVETEQTVLKLNVKNLDSNLNSSPISRCASLDEDSVRDEEAAMEGKKLHSLVRPVRPVRNARKVFSDGGAKSSVNLMDLMGGSDGEAINGGVRIGGKVNHGSMVRRARIVQVARA >DRNTG_04933.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8415425:8417323:-1 gene:DRNTG_04933 transcript:DRNTG_04933.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSCRAESSVMTCRSVAALAPNQLQKPNSSIDLDHPDAAPPIRVFSYRELETATSSFSDKSLLGRGSHGTVYKAVLGDGRPVAVKRPSRRPAHAQARRNDEVENEIRILSRLRSPRLVNLIGFTDPEPSRLLVVEFMPNGTLYDFLHSNRRPPGWARRLRLVLQTAKALLTLHSARPPVIHRDVKAANVLIDHRFNARLGDFGLALRDDSTGLSSSSVRSTPPAGTLGYLDPCYVTPENLSTKTDVFSFGILLLEIMSGRKAIDVAYSPPSVVEWAVPLLKKGKVLALYDPRIEPPRDTTARRQLASLAASCVRSSKERRPSMEEVVERLKVLCKALSSKSWNGLSVVNPCLMVETEQTVLKLNVKNLDSNLNSSPISRCASLDEDSVRDEEAAMEGKKLHSLVRPVRPVRNARKVFSDGGAKSSVNLMDLMGGSDGEAINGGVRIGGKVNHGSMVRRARIVQVARA >DRNTG_15081.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4041318:4042171:1 gene:DRNTG_15081 transcript:DRNTG_15081.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNKALKSSPPPPPSSPPPPPSPPPCPCHQKESVFMEVMGDSEMDSLPRTCQYCMQRDERMMGVEVEEDAESCCGKFEGERVFDCEDFDDVVVVDDDDDDGDDGFRQFWRSWRQDGVVLNTAEEDRLFWLSCLADHGFP >DRNTG_00193.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:134176:136169:1 gene:DRNTG_00193 transcript:DRNTG_00193.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA modification 11 protein [Source:Projected from Arabidopsis thaliana (AT3G26410) UniProtKB/TrEMBL;Acc:Q9LIN4] MDQVFDAIICDPPYGVRAGGRKSGGRKLLKGAIDPYTVPEEKKSDHIPSTAPYSLAECMHDLLDLAAKMLVMGGRLVFFYPVLREDGTTNAQFPEHPCFTQIAACEQILSLRYSRYLLTMVKTGAYTEEIAKSAKKMHLNFKENHLKWLEEGNLHSAVFSPADSQSLSGNPKFNRDSKPKYRGKKV >DRNTG_00193.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:131453:134067:1 gene:DRNTG_00193 transcript:DRNTG_00193.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA modification 11 protein [Source:Projected from Arabidopsis thaliana (AT3G26410) UniProtKB/TrEMBL;Acc:Q9LIN4] MWFLCVFFHRLLDYRRAEVESLAVLFGSDGDGNRSLEWKLPEGHHIDSPFHFVSLPSEDIARKIANRSILVKGIYEVWGQGDNHEELEVAIRKFPEERMSPYLTEDSTFRIIVDSFGKVISFQEQNERIEGLSYIPFKGRVDLRNPDHKFWLMETDDYGSNNGLPPVMKRKIFFSREVGAADRKLLPTYQLKSRKYLGPTAMDAEVAFLMANQGLAQPGKLVYDPFVGTGSILVAAAHFGAMTMGADIDIRVVRDGRGPDCNVWSNFKQYGLPMPLSLLRADNNLPPWRPGLKEVV >DRNTG_00193.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:132985:136169:1 gene:DRNTG_00193 transcript:DRNTG_00193.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA modification 11 protein [Source:Projected from Arabidopsis thaliana (AT3G26410) UniProtKB/TrEMBL;Acc:Q9LIN4] METDDYGSNNGLPPVMKRKIFFSREVGAADRKLLPTYQLKSRKYLGPTAMDAEVAFLMANQGLAQPGKLVYDPFVGTGSILVAAAHFGAMTMGADIDIRVVRDGRGPDCNVWSNFKQYGLPMPLSLLRADNNLPPWRPGLKEVFDAIICDPPYGVRAGGRKSGGRKLLKGAIDPYTVPEEKKSDHIPSTAPYSLAECMHDLLDLAAKMLVMGGRLVFFYPVLREDGTTNAQFPEHPCFTQIAACEQILSLRYSRYLLTMVKTGAYTEEIAKSAKKMHLNFKENHLKWLEEGNLHSAVFSPADSQSLSGNPKFNRDSKPKYRGKKV >DRNTG_00193.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:133955:135555:1 gene:DRNTG_00193 transcript:DRNTG_00193.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA modification 11 protein [Source:Projected from Arabidopsis thaliana (AT3G26410) UniProtKB/TrEMBL;Acc:Q9LIN4] MPLSLLRADNNLPPWRPGLKEVFDAIICDPPYGVRAGGRKSGGRKLLKGAIDPYTVPEEKKSDHIPSTAPYSLAECMHDLLDLAAKMLVMGGRLVFFYPVLREDGTTNAQFPEHPCFTQIAACEQILSLRYSRYLLTMVKTGAYTEEIAKSAKKMHLNFKENHLKWLEEGNLHSAVFSPADSQSLSGNPKFNRDSKPKYRGKKV >DRNTG_00193.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:131453:135555:1 gene:DRNTG_00193 transcript:DRNTG_00193.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA modification 11 protein [Source:Projected from Arabidopsis thaliana (AT3G26410) UniProtKB/TrEMBL;Acc:Q9LIN4] MWFLCVFFHRLLDYRRAEVESLAVLFGSDGDGNRSLEWKLPEGHHIDSPFHFVSLPSEDIARKIANRSILVKGIYEVWGQGDNHEELEVAIRKFPEERMSPYLTEDSTFRIIVDSFGKVISFQEQNERIEGLSYIPFKGRVDLRNPDHKFWLMETDDYGSNNGLPPVMKRKIFFSREVGAADRKLLPTYQLKSRKYLGPTAMDAEVAFLMANQGLAQPGKLVYDPFVGTGSILVAAAHFGAMTMGADIDIRVVRDGRGPDCNVWSNFKQYGLPMPLSLLRADNNLPPWRPGLKEVFDAIICDPPYGVRAGGRKSGGRKLLKGAIDPYTVPEEKKSDHIPSTAPYSLAECMHDLLDLAAKMLVMGGRLVFFYPVLREDGTTNAQFPEHPCFTQIAACEQILSLRYSRYLLTMVKTGAYTEEIAKSAKKMHLNFKENHLKWLEEGNLHSAVFSPADSQSLSGNPKFNRDSKPKYRGKKV >DRNTG_26550.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22202505:22203100:-1 gene:DRNTG_26550 transcript:DRNTG_26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIINNLNEIKQPKQLQGHSTQESKSVKTWDSSVVEVLEALQSCGHESE >DRNTG_07129.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4774941:4776435:1 gene:DRNTG_07129 transcript:DRNTG_07129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIANEDLGLSLSLSFFPPPLKQSQHHHHQWPHDFAAVGSNGLSHLPTSHLLPRSEGIDVNQAPAGEREGDSEGEGDESSPNNSAVSSVSGKRDAGGGGQGSDDEDGDGSRKKLRLSKDQSAILEESFKEHNTLNPKQKAALAKQLKLRPRQVEVWFQNRRARTKLKQTEVDCELLKRCCETLTEENRRLHKELAELRALKLSPHLYMHMAPPTTLTMCPSCERIQSPTGPCRTDENSAVGANPSPANPPPCSGGATTFVLRLILLIWVVFANTPLVFLYLGKR >DRNTG_05355.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:86384:87259:1 gene:DRNTG_05355 transcript:DRNTG_05355.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c-type biogenesis protein CcmE homolog, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G51790) UniProtKB/Swiss-Prot;Acc:Q96326] MASRLLAARLRPLLRSTALLRPIPISQNLDPYLLRGLSTFPARRPPSRPRPIDIGARARQLQSRRLWTYALTFSCVAGFIVIVLANFQDQLVFYITPSDALQKFNADPSKSRFRLGGLVLEGSVVYPSSSPEMEFVITDLITDVLVRFEGSLPDLFREGHSVVVEGFVKPAPPEGFGSGPKVSEKARQIGCFFKATEVLAKHDEKYMPKEVAAALEKNKKLLEAEADAGAAGDGETRS >DRNTG_05355.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:86573:87528:1 gene:DRNTG_05355 transcript:DRNTG_05355.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c-type biogenesis protein CcmE homolog, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G51790) UniProtKB/Swiss-Prot;Acc:Q96326] MEFVITDLITDVLVRFEGSLPDLFREGHSVVVEGFVKPAPPEGFGSGPKVSEKARQIGCFFKATEVLAKHDEKYMPKEVAAALEKNKKLLEAEADAGAAGDGETRS >DRNTG_05355.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:86573:87396:1 gene:DRNTG_05355 transcript:DRNTG_05355.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c-type biogenesis protein CcmE homolog, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G51790) UniProtKB/Swiss-Prot;Acc:Q96326] MEFVITDLITDVLVRFEGSLPDLFREGHSVVVEGFVKPAPPEGFGSGPKVSEKARQIGCFFKATEVLAKHDEKYMPKEVAAALEKNKKLLEAEADAGAAGDGETRS >DRNTG_05355.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:86384:93164:1 gene:DRNTG_05355 transcript:DRNTG_05355.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c-type biogenesis protein CcmE homolog, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G51790) UniProtKB/Swiss-Prot;Acc:Q96326] MASRLLAARLRPLLRSTALLRPIPISQNLDPYLLRGLSTFPARRPPSRPRPIDIGARARQLQSRRLWTYALTFSCVAGFIVIVLANFQDQLVFYITPSDALQKFNADPSKSRFRLGGLVLEGSVVYPSSSPEMEFVITDLITDVLVRFEGSLPDLFREGHSVVVEGFVKPAPPEGFGSGPKVSEKARQIGCFFKATEVLAKHDEKYMPKEVAAALEKNKKLLEAEADAGAAGDGETRS >DRNTG_05355.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:86573:87259:1 gene:DRNTG_05355 transcript:DRNTG_05355.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c-type biogenesis protein CcmE homolog, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G51790) UniProtKB/Swiss-Prot;Acc:Q96326] MEFVITDLITDVLVRFEGSLPDLFREGHSVVVEGFVKPAPPEGFGSGPKVSEKARQIGCFFKATEVLAKHDEKYMPKEVAAALEKNKKLLEAEADAGAAGDGETRS >DRNTG_05355.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:86384:87396:1 gene:DRNTG_05355 transcript:DRNTG_05355.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c-type biogenesis protein CcmE homolog, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G51790) UniProtKB/Swiss-Prot;Acc:Q96326] MASRLLAARLRPLLRSTALLRPIPISQNLDPYLLRGLSTFPARRPPSRPRPIDIGARARQLQSRRLWTYALTFSCVAGFIVIVLANFQDQLVFYITPSDALQKFNADPSKSRFRLGGLVLEGSVVYPSSSPEMEFVITDLITDVLVRFEGSLPDLFREGHSVVVEGFVKPAPPEGFGSGPKVSEKARQIGCFFKATEVLAKHDEKYMPKEVAAALEKNKKLLEAEADAGAAGDGETRS >DRNTG_05355.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:86640:87259:1 gene:DRNTG_05355 transcript:DRNTG_05355.14 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c-type biogenesis protein CcmE homolog, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G51790) UniProtKB/Swiss-Prot;Acc:Q96326] MEFVITDLITDVLVRFEGSLPDLFREGHSVVVEGFVKPAPPEGFGSGPKVSEKARQIGCFFKATEVLAKHDEKYMPKEVAAALEKNKKLLEAEADAGAAGDGETRS >DRNTG_05355.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:86362:87329:1 gene:DRNTG_05355 transcript:DRNTG_05355.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c-type biogenesis protein CcmE homolog, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G51790) UniProtKB/Swiss-Prot;Acc:Q96326] MASRLLAARLRPLLRSTALLRPIPISQNLDPYLLRGLSTFPARRPPSRPRPIDIGARARQLQSRRLWTYALTFSCVAGFIVIVLANFQDQLVFYITPSDALQKFNADPSKSRFRLGGLVLEGSVVYPSSSPEMEFVITDLITDVLVRFEGSLPDLFREGHSVVVEGFVKPAPPEGFGSGPKVSEKARQIGCFFKATEVLAKHDEKYMPKEVAAALEKNKKLLEAEADAGAAGDGETRS >DRNTG_05355.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:86384:87528:1 gene:DRNTG_05355 transcript:DRNTG_05355.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c-type biogenesis protein CcmE homolog, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G51790) UniProtKB/Swiss-Prot;Acc:Q96326] MASRLLAARLRPLLRSTALLRPIPISQNLDPYLLRGLSTFPARRPPSRPRPIDIGARARQLQSRRLWTYALTFSCVAGFIVIVLANFQDQLVFYITPSDALQKFNADPSKSRFRLGGLVLEGSVVYPSSSPEMEFVITDLITDVLVRFEGSLPDLFREGHSVVVEGFVKPAPPEGFGSGPKVSEKARQIGCFFKATEVLAKHDEKYMPKEVAAALEKNKKLLEAEADAGAAGDGETRS >DRNTG_05355.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:86640:87528:1 gene:DRNTG_05355 transcript:DRNTG_05355.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c-type biogenesis protein CcmE homolog, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G51790) UniProtKB/Swiss-Prot;Acc:Q96326] MEFVITDLITDVLVRFEGSLPDLFREGHSVVVEGFVKPAPPEGFGSGPKVSEKARQIGCFFKATEVLAKHDEKYMPKEVAAALEKNKKLLEAEADAGAAGDGETRS >DRNTG_05355.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:86640:87329:1 gene:DRNTG_05355 transcript:DRNTG_05355.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c-type biogenesis protein CcmE homolog, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G51790) UniProtKB/Swiss-Prot;Acc:Q96326] MEFVITDLITDVLVRFEGSLPDLFREGHSVVVEGFVKPAPPEGFGSGPKVSEKARQIGCFFKATEVLAKHDEKYMPKEVAAALEKNKKLLEAEADAGAAGDGETRS >DRNTG_05355.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:86573:87329:1 gene:DRNTG_05355 transcript:DRNTG_05355.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c-type biogenesis protein CcmE homolog, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G51790) UniProtKB/Swiss-Prot;Acc:Q96326] MEFVITDLITDVLVRFEGSLPDLFREGHSVVVEGFVKPAPPEGFGSGPKVSEKARQIGCFFKATEVLAKHDEKYMPKEVAAALEKNKKLLEAEADAGAAGDGETRS >DRNTG_05355.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:86362:87396:1 gene:DRNTG_05355 transcript:DRNTG_05355.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c-type biogenesis protein CcmE homolog, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G51790) UniProtKB/Swiss-Prot;Acc:Q96326] MASRLLAARLRPLLRSTALLRPIPISQNLDPYLLRGLSTFPARRPPSRPRPIDIGARARQLQSRRLWTYALTFSCVAGFIVIVLANFQDQLVFYITPSDALQKFNADPSKSRFRLGGLVLEGSVVYPSSSPEMEFVITDLITDVLVRFEGSLPDLFREGHSVVVEGFVKPAPPEGFGSGPKVSEKARQIGCFFKATEVLAKHDEKYMPKEVAAALEKNKKLLEAEADAGAAGDGETRS >DRNTG_05355.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:86384:87329:1 gene:DRNTG_05355 transcript:DRNTG_05355.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c-type biogenesis protein CcmE homolog, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G51790) UniProtKB/Swiss-Prot;Acc:Q96326] MASRLLAARLRPLLRSTALLRPIPISQNLDPYLLRGLSTFPARRPPSRPRPIDIGARARQLQSRRLWTYALTFSCVAGFIVIVLANFQDQLVFYITPSDALQKFNADPSKSRFRLGGLVLEGSVVYPSSSPEMEFVITDLITDVLVRFEGSLPDLFREGHSVVVEGFVKPAPPEGFGSGPKVSEKARQIGCFFKATEVLAKHDEKYMPKEVAAALEKNKKLLEAEADAGAAGDGETRS >DRNTG_04615.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3113933:3115657:1 gene:DRNTG_04615 transcript:DRNTG_04615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKVFGSPTSTEVARVLACLFEKDVDFQLIRIDSFKGMARKPDYLRLQPTGQALTFEHGGKTLVESRDICRYIAEKFADQGNKDLLGKGTLERASIEQWLQTEMQSFDPPTSALVFNLAFAPILDLEPDQEVITKSKAKLANLLDVYEQRLEETNYLAGDKFTLADLSHLPNTHYLIADMRCGHLFRSKKRVSNWWDKISGRSSWKKVLELQQQPPPVL >DRNTG_34837.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28985522:28989040:-1 gene:DRNTG_34837 transcript:DRNTG_34837.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAGSRLLLAVVFIFWFSAVEPSIHTYDFETFKEVGNAFLLSGGSEGIVASRDSSDSHGSSGIHDGRSFIKFENITFWRSKEAADQHSGMERSTGLIQAIIFEAADRDNIGGSAYGGQRSICCTPDLAKLEGCRQGEVIRRPSSVDFNWPVVLNTDFVANYLSTQMETKEVNITKTGMYNLFFISCDPKLRGLKMSGKTSWKNPDGYLPGRMAPLMTFYIFMSLSYLLLSIIWFAQYVRFWKDILPIQNWMTLVIALGLFEMTLWYF >DRNTG_04738.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:80858:82767:-1 gene:DRNTG_04738 transcript:DRNTG_04738.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g49510 [Source:Projected from Arabidopsis thaliana (AT1G49510) UniProtKB/TrEMBL;Acc:Q9XIB8] MVSPTVASGNFPVGFARFYKNEFQSQSRMIFCAGFQDLFCTHVGLSSSFYHRVKLPPSQSHRKRHLVPHGINVTAGNYERPEKMDISGVINALGKLWENLPQPVKDFPWTKAAWSFYSLIFELACAVVKYLCLPLLVVSSLSEMSYCAHERKMRLIPIPFLLGFAVAGVLKDTAKDLYPDLEEGEGFPRHLLLLAIFFLLLKLPGPYYPYWGRLVIPHFANGGLWRTAWSAFMWLRHPQHMPETTLEASHTENSSEER >DRNTG_03298.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29048740:29049770:1 gene:DRNTG_03298 transcript:DRNTG_03298.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of subcomplex B 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G18730) UniProtKB/Swiss-Prot;Acc:Q8RXS1] MAMVKSTMTFSMPRPCLPTPTLHSSKPKTYQRPKWSISNGRASSFKVKALPDLTLMAVMVEHAFLQRDFYVHKTVWHLSDEAIKNIYTIYIMFTVWGCCFFGSTKDPFYDGEQYRKDGGDGTVHWYYEKQEDIEETARAELWREELIEEIEKKVGSLRELEEATK >DRNTG_03298.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29048740:29049770:1 gene:DRNTG_03298 transcript:DRNTG_03298.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of subcomplex B 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G18730) UniProtKB/Swiss-Prot;Acc:Q8RXS1] MKILDTIYIMFTVWGCCFFGSTKDPFYDGEQYRKDGGDGTVHWYYEKQEDIEETARAELWREELIEEIEKKVGSLRELEEATK >DRNTG_03298.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29048740:29049770:1 gene:DRNTG_03298 transcript:DRNTG_03298.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of subcomplex B 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G18730) UniProtKB/Swiss-Prot;Acc:Q8RXS1] MAVMVEHAFLQRDFYVHKTVWHLSDEAIKNIYTIYIMFTVWGCCFFGSTKDPFYDGEQYRKDGGDGTVHWYYEKQEDIEETARAELWREELIEEIEKKVGSLRELEEATK >DRNTG_28982.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25312404:25313559:-1 gene:DRNTG_28982 transcript:DRNTG_28982.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAVVGVVLKRAKLPLVTPHQVERSTRGHPNQSLMTHQNEQIALEAFEYGISALSPHQGLLEGKEHALGRDNHAEARSMIVFSGRTPSLFE >DRNTG_01542.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000086.1:18244:19037:1 gene:DRNTG_01542 transcript:DRNTG_01542.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSSVTAFVPLQMDVLTRMRGATPKTAGSIGDTVEDSGQVPRSRAAQGPRAYDRIKRLESDVREIRTEISELCAIQSAQCTDLMAHFDFLRDLLRSRPSASPAPPSPITAPVDPPYVSPPPAVAEEPTQRDTNI >DRNTG_27492.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26552161:26554823:-1 gene:DRNTG_27492 transcript:DRNTG_27492.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSKEPENPTKSAKAMGRDLRVHFKNTRETAHAIRKLPLAKAKRYLEDVIAHKQAIPFTRFCGGVGRTAQAKNRHPNGQGRWPMKSARFILDLLKNAESNADVKGLDVDTLFISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEESVRKEEETQLATQKPKKGQALRSGASS >DRNTG_32972.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001934.1:1804:19016:-1 gene:DRNTG_32972 transcript:DRNTG_32972.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGELPPMAGTSTTVIIRGIVAYVPQVSWIFNATVRDNILVVISDRLVKGGNISGGQKKRVSMATAGYSDLDVYLFDDPLSYMLMLADRTYLQHPQSSIIKGMLTGSILDLE >DRNTG_35065.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002228.1:14183:15325:-1 gene:DRNTG_35065 transcript:DRNTG_35065.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFPDYYQLCGPIKERYIQVGNDCDGGPLFSVPLSSQQHELTSLTFSLFFPSLVHLLLPLPISLVASLTFFILVSSNHLPQYVMPTMTLYFLRCVYL >DRNTG_24069.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26458174:26461974:1 gene:DRNTG_24069 transcript:DRNTG_24069.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RGS1-HXK1-interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT4G26410) UniProtKB/Swiss-Prot;Acc:Q84K90] MASPVPTSSSPEDSRPSNSRSWFSDLVVARSPPETRDWSLLKLQALIPQLRSEYQVYEDAFVEKVKDGLLIARENSGLVLGVGAAAGLLLLRGPRRFLFRQTLGRLQSEEARFVKAEKGLQELTESVGKLNTDVNKLIKSAKAGEEEMLHGGTKIKNTGKEIQRLLKSIYAVESEAIDIMDGLRALPGRSALRLRAEVASMASELKQKRTEMNKKIMEIADLGIKV >DRNTG_27799.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3187159:3189126:1 gene:DRNTG_27799 transcript:DRNTG_27799.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRKIHSQTPPDPRLDLLLAPNYRIGFTKSRELGLATKISNLASERNLLQAQALAFSQREASSQGKGEEQGEEAALP >DRNTG_27799.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3187159:3189126:1 gene:DRNTG_27799 transcript:DRNTG_27799.3 gene_biotype:protein_coding transcript_biotype:protein_coding SSPARTEFTECMSHKGPVGSQKQQIKQILQNTISYFIQNTENTEYRNNPKLRKTRRFSHVHLPG >DRNTG_27799.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3187159:3189126:1 gene:DRNTG_27799 transcript:DRNTG_27799.2 gene_biotype:protein_coding transcript_biotype:protein_coding ILSEYKPYTHRKVYYRDTDNSGKYTPRHPPILDLIFSSNLASERNLLQAQALAFSQREASSQGKGEEQGEEAALP >DRNTG_15439.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1486130:1487007:-1 gene:DRNTG_15439 transcript:DRNTG_15439.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFTKQASTLDRRIGSSFIIPSASLQSITCISIIAFIPIYGHILVPAVRKLTGLQSGITMLQRIGIGMAISTTEMVVAALVEMKRIKTAREYRLIDLPNVPIPMSLWWLMPQYVLTGLSEVFAMIGLQEFFYDQMPDALRSLGLALYLSIFGVGSFISGFIVSLIDKVSAAQGESWFPDNLNHAHLDYFFWLLAALNALGLLIYIYFAQAYAYRKKGSSPML >DRNTG_07737.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2602326:2605496:1 gene:DRNTG_07737 transcript:DRNTG_07737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFWHKSWSRNMMNLREDMNKINKA >DRNTG_29304.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29606941:29610353:1 gene:DRNTG_29304 transcript:DRNTG_29304.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MODIFIER OF SNC1 11 [Source:Projected from Arabidopsis thaliana (AT5G02770) UniProtKB/Swiss-Prot;Acc:Q9LZ08] MEGQKPDPRAKTLALELGITTGLAATAPAAEEEEPSKEGFEAGKVKEMEPKGNLSASAAKAAKEAAAGLVTDLQKKLLRAERFGVPVNLSEQEKRNTRAERFGYGSSSSGTLNSNAELLKRQARAARFGLAAETALEEEAKKKARLDRFALNKKPDTLEEAKTKARAARFSQTSTASSATNEQANPEMTTVDQIKGGT >DRNTG_12276.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24778333:24778993:-1 gene:DRNTG_12276 transcript:DRNTG_12276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALCFSSSSSDPSSSSLSESPSEGVAGVAASSSVPFTSSSPSTLSTLISDLLII >DRNTG_26092.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20727431:20732832:-1 gene:DRNTG_26092 transcript:DRNTG_26092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIAATTLQTEEKLSKLRSAVAGLNQISENEKSGFINLVSRYLSGEAEQIEWSKIQTPTDEVVVPYDTLAPPPEDLEATKKLLNKLVVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGCNVPLLLMNSFNTHDDTLKIVEKYSDSDIEIHTFNQSQYPRIVAEDFQPLPCKGEVGKDGWYPPGHGDVFPSLMNSGKLDALISQGKEYVFVANSDNLGAIVDMKILNHLIHNQNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDAHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEADALKMEIIPNPKEVNGVKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNQARSNPANPTIELGPEYKKVGDFLRRFKSIPSIVDLDSLKVSGDVWFGAGIVLKGKVTITNKSGKKLEIPDGIVLENKDVNGPEDI >DRNTG_03910.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14717487:14719899:1 gene:DRNTG_03910 transcript:DRNTG_03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNLGSKFNLQFTKTISGSLFISCSHVNTILFALNKLPEILTHFQVKPRVSLTSVQALSREKLVMCHDQPYPYTVFYLHAIGKSKEYTVALESNDEMKVKGEDDVYGPLIVSVYLKDDT >DRNTG_30935.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2519833:2522048:1 gene:DRNTG_30935 transcript:DRNTG_30935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGSLQSSSGGDEEYDSLIPSSTAACATISTTTTTHHHHHPHPPPHSSLYDTLSYYDSFPRSPPNPFLHLETPWSRTPSATDITGLLPTSTSTTTNTTNPFSLTTPSKPSSAPPEPPPPPPPPPPPRNSKKRSRASRRAPTTVLTTDTSNFRAMVQEFTGIPTSPFATPSSSSSFPRSRLDIFSGRSSFNDSLLSPPPYLLRPFPQKLQPSSSFPPLSSSSSSSSSSLSVTASTLNATTIARPSSSCTTNPNPTNNFQLPHDHHHHHHHHLGLTMQNQMFTFQSLLQPPINSLSNIPAFTDKSRSNDHHHHQAIPDLGAGNLDHGDVNNLTGNLSSLVGSAEGGDCKINF >DRNTG_12273.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24791974:24794842:-1 gene:DRNTG_12273 transcript:DRNTG_12273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPYAVKGRKHKKRKMEQREEAVEEEVARGGEEEEEEVAAGNEKKVDAVLDELPGIPSVAPVEKSKQPNVIFILEKASLEIGKVGKNFQLLNSDDHGNFLRKQNLNPADYRPDIVHHALLAILDSPLTKAGRLQALYVRTEKGVLFEVKPNVRMPRTIKRFSGLMLQLLQKLSITTTGSHDKLLNVIKNPVTRYLPVNSRIIGLSYSSEKLVQLKDYVAAASDDATLVFVVGAMAHGKISNENTDDYISVSGYPLSAACCINRICTALEQKWKIL >DRNTG_23447.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:790439:790799:1 gene:DRNTG_23447 transcript:DRNTG_23447.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRIPSTSRRRKTIKKARRRPRCVRKTAREAISIHTATDCSQEGALRSPIKFTNLPSKFPTLLSAAQFIYELIK >DRNTG_23938.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5858422:5870122:1 gene:DRNTG_23938 transcript:DRNTG_23938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMLRTLTSYMPAHSVPFTSCLTTPIVRGLPRLMLEFIFIPVFKPFIPNFILNPNRLLTSLFIILVPPARLDIDKNSM >DRNTG_32265.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:7167415:7169033:1 gene:DRNTG_32265 transcript:DRNTG_32265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDNFHTSVWILWKSDLWPAVNSNYYSVLLQYLLQHSAETLPIPHFSSRQHKWEHIYAIDRNSSSIKGLVGEDLPINAQVGIRKCDCLRAPPTLCNGLNTWSVAL >DRNTG_02591.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21142632:21143169:1 gene:DRNTG_02591 transcript:DRNTG_02591.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPPPILNPSPAPPTPASNPSPAPPTPAPNPGPGAATPTLAPTPNPPPPPPSLPPIPKFNPIPAPACPPRPAPPPRST >DRNTG_33042.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26993120:26999791:1 gene:DRNTG_33042 transcript:DRNTG_33042.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSSSPSHQGQEGEQKSLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEIDSHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSPQEQKDPFLPAELGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHGNDWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNENNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYAKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPSGLPSLHGMRDEDIAMNPSLMWLRDGGDRGFQSLNFQGIGASPWMQPRLDTSFLGLQPDMYQAMAAAALQDMRTIDPSKQANPAILQFQQPQNMTSSSVLPSQVLQQIQPQSQQTLLQIIQGSQVQNQAQPQFLQHQLQHCNSFTNQQHQPTSPLPQKQHQQVQHQHQQIQQQQHAQQQQQHTQQQKHVGDAQQNPNVLSSISQLIAASQPQPPTLQAISPFTQHQNFPDSNCNPVPASGVSPLHSIFQSLSSEESSNLLNLPRTNPLVASGIWPSKRVAVESTIPSGAQLEQLGNLQSNVPQNSVALPSFPGRECSVEQEGLRSVGNESESSVMPYAAANFLSPTDSDFPLNQTLTGSNCFDESTLLQNSDNNGGQVNPQNGTFVKVYKSGSFGRSLDITRFSSYAELRSELARLFGLEGQLEDPLRSGWQLVFVDRENDVLLLGDDPWQEFVNNVWCIKILSPQEVQQMGKQGVDLLNSVPLKRLSSNSCDDYRQDSRSLNTGITSVGTLDY >DRNTG_33042.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26993120:26999791:1 gene:DRNTG_33042 transcript:DRNTG_33042.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSSSPSHQGQEGEQKSLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEIDSHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSPQEQKDPFLPAELGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHGNDWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNENNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYAKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPSGLPSLHGMRDEDIAMNPSLMWLRDGGDRGFQSLNFQGIGASPWMQPRLDTSFLGLQPDMYQAMAAAALQDMRTIDPSKQANPAILQFQQPQNMTSSSVLPSQVLQQIQPQSQQTLLQIIQGSQVQNQAQPQFLQHQLQHCNSFTNQQHQPTSPLPQKQHQQVQHQHQQIQQQQHAQQQQQHTQQQKHVGDAQQNPNVLSSISQLIAASQPQPPTLQAISPFTQHQNFPDSNCNPVPASGVSPLHSIFQSLSSEESSNLLNLPRTNPLVASGIWPSKRVAVESTIPSGAQLEQLGNLQSNVPQNSVALPSFPGRECSVEQEGSIDPQSHLLFGVNIDSSLLMQNGMPGLRSVGNESESSVMPYAAANFLSPTDSDFPLNQTLTGSNCFDESTLLQNSDNNGGQVNPQNGTFVKVYKSGSFGRSLDITRFSSYAELRSELARLFGLEGQLEDPLRSGWQLVFVDRENDVLLLGDDPWQEFVNNVWCIKILSPQEVQQMGKQGVDLLNSVPLKRLSSNSCDDYRQDSRSLNTGITSVGTLDY >DRNTG_03315.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9280186:9283845:1 gene:DRNTG_03315 transcript:DRNTG_03315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPTRTRGDMTRGKERTRLRGGRSGGRTSHAHSNIKGSSNIDSVPFSDANIPSVEGIVNGIGSQQPNQNVVAVSDTQANYGSIQDASTIVGRLRVTVVNGL >DRNTG_05139.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30657412:30660599:1 gene:DRNTG_05139 transcript:DRNTG_05139.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 22 [Source:Projected from Arabidopsis thaliana (AT5G05080) UniProtKB/Swiss-Prot;Acc:Q9FF66] MATNENLPPTVIKQLAKELKNLDETPPEGIKVVVNDDDFSTIFADIEGPSGTPYENGVFRMKLLLSHDFPHSPPKGYFLTKIFHPNIATNGEICVNTLKKDWNPSLGLRHVLLVVRCLLIEPFPESALNEQAGKMLLENYEEYARHARLYTGIHALKPSSRSKTGAITESTTALNVDQTNTVLSNSKVPLAPTALSTSTASKGTAATGLDPNVVISGPTNEPATGPAAAIQKKEAPNAAAAAKSQVDKKKIDARKKSLKRL >DRNTG_16366.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7666298:7671884:-1 gene:DRNTG_16366 transcript:DRNTG_16366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASVPCSSNGPKYSRIHDFIELAYKEVGTHSSILNPTHVSAFVPSQDLLQIMRKNASHSYRTSTYQASYKNLEHQLGGVLDTLSKEQQAFEQAIQVPDKVPLRISRTLVKLLFYVHKSECPSVIAFVPLQMDVPTRIRGVNDIEEVGKIEYIGIENEKEKLNTIFRFWTV >DRNTG_29313.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29655206:29655672:1 gene:DRNTG_29313 transcript:DRNTG_29313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIITGRRAIDTSRPMHEQNLTTWAQPMFKDQKRFPELVDPLLRGEYPGRALNQAVAVAAMCLQEDPLVRPMMADVVMALNVLATGCEDNVGRSSPSFRLSLQNEEQIQEQQ >DRNTG_30826.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:500904:502534:-1 gene:DRNTG_30826 transcript:DRNTG_30826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRIRSEETKETMRFEIPDPCSFSDLKTLIASKLSSSSNGLAPQSIRLTLNRKDELSPDSPSATLRSLGITSGDLIFFSFDPSLVLDPMGIDSNEKVVPVAPISGSVEELLGSEKKLEEGTSSQGETLDVDVEDGSELSEEDVETFVIEKSSSVPCFLKRVFDLEKGVAKGNLGLVVIAVHAVFLESGFVALDGSGLKLPGGWATAVGTISVSYTLPELIGSDAEKDAKVAVLKFSLMGTYVSIYGFLNVGGSGVHRACLDVSKLLPLLCLPMDSIGEMEEEVVFKFWKGVKDGVSLPLLIDICDKNGLPPPPCLACLPTDLKIRILELLPGVDLARVGCVNSEMRYLTSNDELWRKKFLEELGPVNENGGVVARWRDKYAMHWLRMKEIKRGRLFLRPHSSFRRYIRFRPFTGGRPYLNDVDDFGLGPRSGFLPRRRRNISLHCDFDQHDAGFRY >DRNTG_05663.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18239011:18240381:1 gene:DRNTG_05663 transcript:DRNTG_05663.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYPLHADDETITSPSAADAVIESIAVDDMAVTMEEIVDDVVIVAVDKIVDSIVNEIFVTIEPVVDMPHDDLKTVVDKGQVSATEKITRDMILANQQYEKVRKDFIPKKKKYPGQSRLNQYEQELIRIFYNCTMNQTVVWRNDSVSTTRKRLFTLLDGKEMLADDVMDSFVCIIQKSLSIVPYPYKKRASITRPLALFMSKQEDAADTTFAMNRDAMRNVHDVDIVIFPIIMNGHFHLVVLDNNKQEYMHYSSCQSEEYDKDGLEMTLFDTCIDMEFG >DRNTG_06634.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19965427:19966048:1 gene:DRNTG_06634 transcript:DRNTG_06634.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFGRDTTVEIRFTRPTGQVTRKVLLQGKCRGGLYPLPSSSSSQSQKQAFSVTKPSLTRWHDRLGHPSSAIVRQVISRNKLSFSKESNKVSVCDA >DRNTG_24968.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21249944:21252455:-1 gene:DRNTG_24968 transcript:DRNTG_24968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEILAFNDAMDLGCGIALPMMMKAMIELDVLETMAAAGSGALLSPEEIASKIQTSNPDAHEVLDRMLRFLAAHKVMTCEVVVGEEDGKSKRRYGLGPVCKFFTKDEDGVSLAPFMLVHLSKAWADTWANIKHIVLDGSAPFVKTHGTTLFEYEDKDPHFSEMFNKAMFNQTTMLMKKMLENYKGFESINVLVDVAGGHGGILSIILSKYPHIKSVNFDLPKVVSQAQPIEGVEFAGGDMFESVPSGDAILLKEVLHNWSDADCMKVLKKTV >DRNTG_00779.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:10680989:10688399:1 gene:DRNTG_00779 transcript:DRNTG_00779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSDNQSLNHVLISGSLDCTVRVWNWDNGCLLWILHHHIAPLKEIILPPPQTARPWNDCFLFVGEDGCVALVSIQTLRVERMFPVHPSCPSMVVWGSRKGYIAYLCRNTSESSDVASILYLWDLKTGAQDRVIHFTASRSMFDHFCRSASENTGTRNIFGRTTSASSLLPPFEDASFPQSNARKTEKRATLGRSPDHAELDYSLAQRIKGKSATHDTSLELAGNTSVRHGRSNQFY >DRNTG_00779.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:10680989:10684052:1 gene:DRNTG_00779 transcript:DRNTG_00779.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSDNQSLNHVLISGSLDCTVRVWNWDNGCLLWILHHHIAPLKEIILPPPQTARPWNDCFLFVGEDGCVALVSIQTLRVERMFPVHPSCPSMVVWGSRKGYIAYLCRNTSESSDVASILYLWDLKTGAQDRVIHFTASRSMFDHFCRSASENTGTRNIFGRTTSASSLLPPFEDASFPQSNARKTEKRATLGRSPDHAELDYSLAQRIKGKSATHDTSLELAGNTSVRHGRSNQFY >DRNTG_22777.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3979230:3985631:1 gene:DRNTG_22777 transcript:DRNTG_22777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKTSFCNLALLCIHSLRSIHTYTHLLHNQTVYCQTLSKQKLLPLPQYYSPPSHHSDDCSVSSPAPCSSSPS >DRNTG_09278.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21568635:21569205:-1 gene:DRNTG_09278 transcript:DRNTG_09278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLAFADAVEVGCGIALPMTLKAMIELDVLEIMAAAGPGALLSPEEIASKIQTSNPEAHEVLDRMLRFLATHKVVTCEVVVGEDDGKSKRRYGLGPVCKFFTKDENGVSLSPLLLMHHSKVTADAW >DRNTG_22122.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17341055:17342601:-1 gene:DRNTG_22122 transcript:DRNTG_22122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNMKMMWSFGIILTLLLLHVDARFDFMSITRGTRCNSCLEASRKADKALKNKDMFNDVNVLSNQVCQSLPSDLQSKCLRKSSDQIEQSRVQMQEIFHEQNLCNKTGLCFDEVTDVIDNKNSSENTNCVNRADECSDCTYAIENFIYQLADPKVKNKVTDAALDYCDESEGNRKRCKQAVYRYGRIVLHKLEQVKAKDLCMVLNLCDVI >DRNTG_22122.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17341055:17342104:-1 gene:DRNTG_22122 transcript:DRNTG_22122.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNDVNVLSNQVCQSLPSDLQSKCLRKSSDQIEQSRVQMQEIFHEQNLCNKTGLCFDEVTDVIDNKNSSENTNCVNRADECSDCTYAIENFIYQLADPKVKNKVTDAALDYCDESEGNRKRCKQAVYRYGRIVLHKLEQVKAKDLCMVLNLCDVI >DRNTG_26259.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21765455:21776765:1 gene:DRNTG_26259 transcript:DRNTG_26259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKPRINAHRMKPMPTPCYQFHHLLLRQLPQTHHAPLFPSPDPQTVIAFRISRSTPRFRLGFEMGSGLRRRWVEGERKRRAQMEKKARKKREEMRKRANRERNREIWKAGGNRDGEEGLRFGEGDGEEEDDTGMSMVCDGSVDNGVAASMETARRQCEEMLRSGEGGGTCPNLGGVSGDGAHAHMSAETTRTQPPLTSPVNAASPSNRTRDHSS >DRNTG_00876.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21832188:21835280:-1 gene:DRNTG_00876 transcript:DRNTG_00876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTAVMLATVLVPQMGGNHGDKARTIQTMLFMTGVNTLLQTFIGTRLPTVMSPSFAFIIPVISIIRDFTLRPFDDEHERFVHTMRAIQGALIISSCLNIVIGYTKAWGNYSRFFSPVVIVPVVCVVGLGQFERGFPQVGKCVEIGLPMLIILVIIQQYMKNIHERTQLMFERFALLLCIVVVWSFAAILTVGGAYNNVPEKTKIHCRTDRTYLMSSAPWIKIPYPFQWGAPIFSASHVFGMMGATLVAAVESSGTYYAAARLAGATPPPAHVLTRSIGLQGVGMLLEGIFGAAAGSTASVENAGLLGLTRVGSRRVVQISSGFMIFFSIFGKFGAFFASIPFPIFAAMYCVLFGIVAAVVISFIQFSNNNSMRNLYIIGLSLFLGMSVPQYFNEFTASWTCQDQCWMVR >DRNTG_18312.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2537330:2539612:1 gene:DRNTG_18312 transcript:DRNTG_18312.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSISTTIPSCHCSHSIFLGTPKLSPFGSLPSASPRHLFPSSHRRLATIPQRRIIHRVQAMKETFSSLDELLKTKEKDKLVLVEFYATWSNPCQYMVPILEEVVPELEAVSEIMKDKIEVVRIDTDKYSRVADYYRIEALPTFIIFINGKSCDRFEGAMPKDILIRRIYSHIPFTSYQ >DRNTG_18312.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2537330:2539612:1 gene:DRNTG_18312 transcript:DRNTG_18312.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVPILEEVVPELEAVSEIMKDKIEVVRIDTDKYSRVADYYRIEALPTFIIFINGKSCDRFEGAMPKDILIRRIYSHIPFTSYQ >DRNTG_18312.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2537330:2539612:1 gene:DRNTG_18312 transcript:DRNTG_18312.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVPILEEVVPELEAVSEIMKDKIEVVRIDTDKYSRVADYYRIEALPTFIIFINGKSCDRFEGAMPKDILIRRIYSHIPFTSYQ >DRNTG_18312.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2537330:2539612:1 gene:DRNTG_18312 transcript:DRNTG_18312.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPILEEVVPELEAVSEIMKDKIEVVRIDTDKYSRVADYYRIEALPTFIIFINGKSCDRFEGAMPKDILIRRIYSHIPFTSYQ >DRNTG_18312.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2537330:2539612:1 gene:DRNTG_18312 transcript:DRNTG_18312.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKETFSSLDELLKTKEKDKLVLVEFYATWSNPCQYMVPILEEVVPELEAVSEIMKDKIEVVRIDTDKYSRVADYYRIEALPTFIIFINGKSCDRFEGAMPKDILIRRIYSHIPFTSYQ >DRNTG_07858.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000349.1:24899:26748:1 gene:DRNTG_07858 transcript:DRNTG_07858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNAVLFDQASYDKMLSEVPKFKQITPSVLSERLRINGSLARRAIKDLMARGAIRMVSAHASQQIYTRATNT >DRNTG_14810.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29812748:29813188:1 gene:DRNTG_14810 transcript:DRNTG_14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGREIPAKSASIRDQGRNGAEAWGTTKQGGGPEKREAGDGSPLEEERRRRRGFGGIE >DRNTG_24917.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19322216:19323194:-1 gene:DRNTG_24917 transcript:DRNTG_24917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPTQTFIFLAFFALLTSSLCFASDPSPLQDFCVADAASNVFVNGFVCKNPAIVKANDFFLSGLNKPGDTSNPVGSNVTQANVAQIPGLNTLGVSLVRIDYAPGGLNPPHTHPRATEILVVLKGTLYVGFITSNPNNTLFSKILYEGDAFRISTRSYTLPVQL >DRNTG_31634.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:838533:839799:1 gene:DRNTG_31634 transcript:DRNTG_31634.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLFSLLLLLPLFAGAGAATCNPSDREALLAIRDALKEPFLGVFSSWTGKDCCKWYAVGCDPTTGRVADLSLRGESEDPILTRSGIMTGRISPSVCRLTALSTLILADWKQISGPIPSCIPSSLPSLRILDLIGNRLSGPLPSNIGLLRHLTVLNVADNQISGKIPRSLPFLSSLMHLDLSNNLISGSIPRNFGNLHMLSRALLARNSLRGSIPISIGSMPRLADLDLSRNHISGQIPATLGSSRVLSSLILDSNRISGNIPASILKSQGLGILNLSRNSIAGEIPDVFTARSYFTALDLSFNRLRGHVPRTLSSATYVGHLDLSHNHLCGPIPTGPPFDHLDATSFAKNDCLCGSPLQSCV >DRNTG_08409.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4840430:4844578:-1 gene:DRNTG_08409 transcript:DRNTG_08409.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELTTIEDAFERAIKKQKLSASKTKELIDGMLLAVEQAMKEIQAIPSEGASSSPNSVLMELKSKLTEMAPMNQLEASQKDLAISLGKYGKLLEKQFNTDLSHAYRNVDWDTHTVNHIIAGHFYRQGMFEIGDCFVNEANEVDATSKLRSQYVEMYQILAETRSRNLEPALAWAIKHREHLLKNGSNLELKLHTMQFVEILYKGGRNDALAYAKAYLGPFANNYKTEIQKLMACLLWAGRLDQSPYADLVSQSRWDDLANELVRQFCNLLGHSFSSPLDMVVRAGVQALPTILKMASVMAATNQDWQTMKQLPMPVDLGSEFQFHSIFVCPVLWEPASEENPPMFMPCGHVLCKQAVLKLSKSSTRLFKCPYCPADTTVAECKQLHF >DRNTG_08409.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4840430:4844578:-1 gene:DRNTG_08409 transcript:DRNTG_08409.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELTTIEDAFERAIKKQKLSASKTKELIDGMLLAVEQAMKEIQAIPSEGASSSPNSVLMELKSKLTEMAPMNQLEASQKDLAISLGKYGKLLEKQFNTDLSHAYRNVDWDTHTVNHIIAGHFYRQGMFEIGDCFVNEANEVDATSKLRSQYVEMYQILAETRSRNLEPALAWAIKHREHLLKNGSNLELKLHTMQFVEILYKGGRNDALAYAKAYLGPFANNYKTEIQKLMACLLWAGRLDQSPYADLVSQSRWDDLANELVRQFCNLLGHSFSSPLDMVVRAGVQALPTILKMASVMAATNQDWQTMKQLPMPVDLGSEFQFHSIFVCPVLWEPASEENPPMFMPCGHVLCKQAVLKLSKSSTRLFKCPYCPADTTVAECKQLHF >DRNTG_08409.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4840363:4844578:-1 gene:DRNTG_08409 transcript:DRNTG_08409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTTIEDAFERAIKKQKLSASKTKELIDGMLLAVEQAMKEIQAIPSEGASSSPNSVLMELKSKLTEMAPMNQLEASQKDLAISLGKYGKLLEKQFNTDLSHAYRNVDWDTHTVNHIIAGHFYRQGMFEIGDCFVNEANEVDATSKLRSQYVEMYQILAETRSRNLEPALAWAIKHREHLLKNGSNLELKLHTMQFVEILYKGGRNDALAYAKAYLGPFANNYKTEIQKLMACLLWAGRLDQSPYADLVSQSRWDDLANELVRQFCNLLGHSFSSPLDMVVRAGVQALPTILKMASVMAATNQDWQTMKQLPMPVDLGSEFQFHSIFVCPVLWEPASEENPPMFMPCGHVLCKQAVLKLSKSSTRLFKCPYCPADTTVAECKQLHF >DRNTG_08409.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4840430:4844578:-1 gene:DRNTG_08409 transcript:DRNTG_08409.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELTTIEDAFERAIKKQKLSASKTKELIDGMLLAVEQAMKEIQAIPSEGASSSPNSVLMELKSKLTEMAPMNQLEASQKDLAISLGKYGKLLEKQFNTDLSHAYRNVDWDTHTVNHIIAGHFYRQGMFEIGDCFVNEANEVDATSKLRSQYVEMYQILAETRSRNLEPALAWAIKHREHLLKNGSNLELKLHTMQFVEILYKGGRNDALAYAKAYLGPFANNYKTEIQKLMACLLWAGRLDQSPYADLVSQSRWDDLANELVRQFCNLLGHSFSSPLDMVVRAGVQALPTILKMASVMAATNQDWQTMKQLPMPVDLGSEFQFHSIFVCPVLWEPASEENPPMFMPCGHVLCKQAVLKLSKSSTRLFKCPYCPADTTVAECKQLHF >DRNTG_20529.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001126.1:7821:8884:1 gene:DRNTG_20529 transcript:DRNTG_20529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDVTRLAPRLMGMCKNRVRVTNPCAVTNSISPHLPSKDYIHHAFRAQLLHHEKTKGRVNAPVDDLVTVTCPWVISTWAYVSLQSSELYPEKTQGRVSATVDDPGHVKHLEEFLGWTEKPQERVGAHVGRAHGHREFPYARVDAFRESQRLSQEYIGGVYTL >DRNTG_09771.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20190663:20193473:-1 gene:DRNTG_09771 transcript:DRNTG_09771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGKSESPYYQSMGQCQGHKYLDGSEPGRSHGEGLERFQDHYLLGALMTRAKWTITDPSKRARIEVSTFRPDTIGLVDKLEGQKVVSGTTSLEMDTLHMMVMVVHRGSHYILASNTFDPPTVSCKNTLEDEFGDSICSLNSVDYFIFAD >DRNTG_31881.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21064133:21070357:-1 gene:DRNTG_31881 transcript:DRNTG_31881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAFRQIHPMYFAKSMAKVVQTNYEKKMDWPSNGVSIVGYLRPAFFDEESCIRRLFHHDDSEDYVSDYRGTSDETENEELLSGAYDLNDDEIFDFRTKSESSMSSTIYKLEIMTMELISVYGDQTMIDLQDFLDAEPDVLAHSAPAIIDRFSEYGVQGSIALKALCRKKKGLIVERANLIGVDSLGMDVRVFSGLEAQTLRFSFNARALSQSAAEKKIKRMLFPRYHRRNSKTSTDGVRD >DRNTG_31881.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21064133:21070304:-1 gene:DRNTG_31881 transcript:DRNTG_31881.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAFRQIHPMYFAKSMAKVVQTNYEKKMDWPSNGVSIVGYLRPAFFDEESCIRRLFHHDDSEDYVSDYRDETENEELLSGAYDLNDDEIFDFRTKSESSMSSTIYKLEIMTMELISVYGDQTMIDLQDFLDAEPDVLAHSAPAIIDRFSEYGVQGSIALKALCRKKKGLIVERANLIGVDSLGMDVRVFSGLEAQTLRFSFNARALSQSAAEKKIKRMLFPRYHRRNSKTSTDGVRD >DRNTG_31881.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21064184:21070304:-1 gene:DRNTG_31881 transcript:DRNTG_31881.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCVAAKWIRPPFDGRRVSDYCGIGCRGPLFGASHSYSMPNAHDQILSKARVAADYSDSVPDSPKYAENRGYHPLEELKDRAKDRDRLLSDAEIARTTVEGKNKALLVFPGRVHHEPHGHASWAEYQYVVDEYGDMFFEFFEDENILEDHSASNPVTVLIGLESPMHLTGRMLTDEYDDNIVSSTDILYDDEDDEVDDLEIPDLLIKWGMPEAFRQIHPMYFAKSMAKVVQTNYEKKMDWPSNGVSIVGYLRPAFFDEESCIRRLFHHDDSEDYVSDYRGTSDETENEELLSGAYDLNDDEIFDFRTKSESSMSSTIYKLEIMTMELISVYGDQTMIDLQDFLDAEPDVLAHSAPAIIDRFSEYGVQGSIALKALCRKKKGLIVERANLIGVDSLGMDVRVFSGLEAQTLRFSFNARALSQSAAEKKIKRMLFPRYHRRNSKTSTDGVRD >DRNTG_31881.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21064184:21064630:-1 gene:DRNTG_31881 transcript:DRNTG_31881.12 gene_biotype:protein_coding transcript_biotype:protein_coding ALSQSAAEKKIKRMLFPRYHRRNSKTSTDGVRD >DRNTG_31881.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21064184:21070304:-1 gene:DRNTG_31881 transcript:DRNTG_31881.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCVAAKWIRPPFDGRRVSDYCGIGGPLFGASHSYSMPNAHDQILSKARVAADYSDSVPDSPKYAENRGYHPLEELKDRAKDRDRLLSDAEIARTTVEGKNKALLVFPGRVHHEPHGHASWAEYQYVVDEYGDMFFEFFEDENILEDHSASNPVTVLIGLESPMHLTGRMLTDEYDDNIVSSTDILYDDEDDEVDDLEIPDLLIKWGMPEAFRQIHPMYFAKSMAKVVQTNYEKKMDWPSNGVSIVGYLRPAFFDEESCIRRLFHHDDSEDYVSDYRDETENEELLSGAYDLNDDEIFDFRTKSESSMSSTIYKLEIMTMELISVYGDQTMIDLQDFLDAEPDVLAHSAPAIIDRFSEYGVQGSIALKALCRKKKGLIVERANLIGVDSLGMDVRVFSGLEAQTLRFSFNARALSQSAAEKKIKRMLFPRYHRRNSKTSTDGVRD >DRNTG_31881.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21064133:21065721:-1 gene:DRNTG_31881 transcript:DRNTG_31881.11 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMQTMIDLQDFLDAEPDVLAHSAPAIIDRFSEYGVQGSIALKALCRKKKGLIVERANLIGVDSLGMDVRVFSGLEAQTLRFSFNARALSQSAAEKKIKRMLFPRYHRRNSKTSTDGVRD >DRNTG_31881.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21064184:21070304:-1 gene:DRNTG_31881 transcript:DRNTG_31881.9 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTGRMLTDEYDDNIVSSTDILYDDEDDEVDDLEIPDLLIKWGMPEAFRQIHPMYFAKSMAKVVQTNYEKKMDWPSNGVSIVGYLRPAFFDEESCIRRLFHHDDSEDYVSDYRGTSDETENEELLSGAYDLNDDEIFDFRTKSESSMSSTIYKLEIMTMELISVYGDQTMIDLQDFLDAEPDVLAHSAPAIIDRFSEYGVQGSIALKALCRKKKGLIVERANLIGVDSLGMDVRVFSGLEAQTLRFSFNARALSQSAAEKKIKRMLFPRYHRRNSKTSTDGVRD >DRNTG_31881.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21064184:21070304:-1 gene:DRNTG_31881 transcript:DRNTG_31881.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCVAAKWIRPPFDGRRVSDYCGIGCRGPLFGASHSYSMPNAHDQILSKARVAADYSDSVPDSPKYAENRGYHPLEELKDRAKDRDRLLSDAEIARTTVEGKNKALLVFPGRVHHEPHGHASWAEYQYVVDEYGDMFFEFFEDENILEDHSASNPVTVLIGLESPMHLTGRMLTDEYDDNIVSSTDILYDDEDDEVDDLEIPDLLIKWGMPEAFRQIHPMYFAKSMAKVVQTNYEKKMDWPSNGVSIVGYLRPAFFDEESCIRRLFHHDDSEDYVSDYRDETENEELLSGAYDLNDDEIFDFRTKSESSMSSTIYKLEIMTMELISVYGDQTMIDLQDFLDAEPDVLAHSAPAIIDRFSEYGVQGSIALKALCRKKKGLIVERANLIGVDSLGMDVRVFSGLEAQTLRFSFNARALSQSAAEKKIKRMLFPRYHRRNSKTSTDGVRD >DRNTG_31881.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21068074:21070357:-1 gene:DRNTG_31881 transcript:DRNTG_31881.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCVAAKWIRPPFDGRRVSDYCGIGCRGPLFGASHSYSMPNAHDQILSKARVAADYSDSVPDSPKYAENRGYHPLEELKDRAKDRDRLLSDAEIARTTVE >DRNTG_31881.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21064184:21070304:-1 gene:DRNTG_31881 transcript:DRNTG_31881.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTIYKLEIMTMELISVYGDQTMIDLQDFLDAEPDVLAHSAPAIIDRFSEYGVQGSIALKALCRKKKGLIVERANLIGVDSLGMDVRVFSGLEAQTLRFSFNARALSQSAAEKKIKRMLFPRYHRRNSKTSTDGVRD >DRNTG_31881.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21064184:21070304:-1 gene:DRNTG_31881 transcript:DRNTG_31881.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTGRMLTDEYDDNIVSSTDILYDDEDDEVDDLEIPDLLIKWGMPEAFRQIHPMYFAKSMAKVVQTNYEKKMDWPSNGVSIVGYLRPAFFDEESCIRRLFHHDDSEDYVSDYRGTSDETENEELLSGAYDLNDDEIFDFRTKSESSMSSTIYKLEIMTMELISVYGDQTMIDLQDFLDAEPDVLAHSAPAIIDRFSEYGVQGSIALKALCRKKKGLIVERANLIGVDSLGMDVRVFSGLEAQTLRFSFNARALSQSAAEKKIKRMLFPRYHRRNSKTSTDGVRD >DRNTG_31881.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21064184:21070304:-1 gene:DRNTG_31881 transcript:DRNTG_31881.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTIYKLEIMTMELISVYGDQTMIDLQDFLDAEPDVLAHSAPAIIDRFSEYGVQGSIALKALCRKKKGLIVERANLIGVDSLGMDVRVFSGLEAQTLRFSFNARALSQSAAEKKIKRMLFPRYHRRNSKTSTDGVRD >DRNTG_28685.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21166328:21167065:1 gene:DRNTG_28685 transcript:DRNTG_28685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKAVLGLPITEKSSFIPSSSSLFLRGTKQSMLCFNNSPLVFPLDQRRTCRSIKVKAPVASVSEEVRKKVVENPRKLKVRAAVTVRRKNKEDFKEALVKQLDALTDKIGRNVVLELISTEVNPKTRIQKKSGEAVIKDWYEKKNVKGERVVYTADFTVDTAFGLPGAVTVLNRHQREFFLESITIEGFEFGP >DRNTG_01728.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23543277:23544121:1 gene:DRNTG_01728 transcript:DRNTG_01728.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYNAILKEKRAKNQERKRAIHGDPATGKLKQRASSVSLSGKRKNKLLKKWRRDQKEAIEKGLVTMNDVEMAIADSEGTSKNTSEKPPMKFSLKKASKLKIKKLKRKGKGKKGKG >DRNTG_29784.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27449794:27451580:1 gene:DRNTG_29784 transcript:DRNTG_29784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAFLQAIILLLLLLLIFVADALVLHLPSGRVKCFSEKLRPRTVSTASYRVVSDEDPSSGADRKISLRVTGPDGEPLHVADAVESGRFGYVADEAGTYMACLWSPRFELSATVTVDFEWREGIAAKE >DRNTG_20003.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3206032:3214151:1 gene:DRNTG_20003 transcript:DRNTG_20003.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-activating enzyme 17, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G23050) UniProtKB/Swiss-Prot;Acc:F4KBF3] MEYQPLDLITVDEISAVGVPSDDAERLCSELAAIVAEHGSSGPETWKSISRRLLSPDQPFAVHQMMYYGCYKGYYSDTPPAWIPDRETAVLTNIGKLLESRGKELLGLRYKDPISSFSDFQEFSTLNPEAYWKMVLEEMRISFFVQPSCILQENPSFPGGQWFPGAFLNSAWNCLNLNDKKSLEDVAVIWRDEGYDLLPVHRMTLNELRAEVCLVANAIETLGLSKGSAIAIDMPMTVNAVIIYLAIVLAGFVVVSIADSFAPLEISTRLTISSAKAIFTQDFIPRSDKKLPLYSRVIDANAPLAIVIPASGSTFSCELRTGDISWLDFLGRVACSKPNEYDAEKQPVDAFTNILFSSGTTGEPKAIPWTHATPIKAAADAWCHMDVQKGDVVAWPTNLGWMMGPWLVYATLLNGASMALYNGSPLGSGFAKFVQDAKVTMLGVVPSIVRAWKNANCTAGFDWSNIRCFSSTGEASSVNEYLWLMGRAHYKPVIEYCGGTEIGGGFVTGSLQQPQAVSAFSTPAMGCNLLILDSDGNPLPRNTPGIGELALDPTMFGSSTTLLNADHYDVYFKGMPTWNGKVLRRHGDEFEYTAGGYYKAHGRADDTMNLGGIKISSIEIERVCNGVDESILETAAFGLPPSGGGPEQLVIAVVFKDQTLSSQINMDQLKQAFNSALQKKLNPLFKVSSVMAVPSLPRTASNKVMRRVLRQQSSQLGKLSKF >DRNTG_24944.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21333794:21337045:-1 gene:DRNTG_24944 transcript:DRNTG_24944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLLYLLQLLLLLLTFQCTHDFPLCNAVALVLITEHPANCIENERMALLDFKKNIIDPNNNLYSWAGQDCCSWKGVNCDNQTGNIDRLELGWQISDQQYFNLRGEISLSLLHLQHLKHLDLSGNFFLGESIPSFLSQFKELRYLNLSGSYFAGHIPASFGNLSSLHTLDLSYNYGVHVDDPTHQWLSHLTSLQHLVVSGVTFGSNSSSSLFLALNKLPSIKEIRLSECELESVSLFIPHLNFSSLFILDISYNYINFSVSSLLFNLKSLQYLYLSNNHFNNIEHHYQWLSHLTSLQHFDMSYNTISNSSRTLFLALNKLPSIKEIHLTRCEFKSIPVSFPQLNFSSLSLLDLSYNNINFSGISWMFNLKSLQYLDLGHNELYPDSLFSPPDDHPFRQILIPAENIKQTNEISIPESIGSLCSLKTLDLSGLNINMTLIELGHVFSGCLMNSLAHLHLASVNLKGDIIAGWIWDIKNLKSLDLSDNSLSGSVPLSLAKLAQLEYMNLANNQLRGAISEAHFTQLEKLETLDISHNSLVFNVSSNWAPPFLLKEMRISSCSVGPKFPAWLQTQHKLHALDMSQTGISDTMPDWFWNLTSRHIVHLDVSNNQIQGIIPKSLHFINLEWIDLSSNRFYGPLPKIPGSRVMSINLSNNSFSGFIPHNIIDDSHQSYLQILLSMNKLNGTIPSSFCQIRRLILLDISTNQLSGELPDCWLNSSILTDLNVADNNLSGTIPNSLCYVPYLQSLQLSHNKLSGEFPVSLKNCSKLPGLDLSHNNFSGRIPNWVGENLSSLSVLILKSNSFTDHIPQEISQLKYLQILDLSNNNVSGPIPKSLGNLTTMQMTPKNTYWLPVFLAGPQSMLLNLNQREDEYKSNRLPYIKYIDLSNNNLSENIPEELASLYGLQSLNLSGNTLEGEIPDKLGRMQQLESLDLSRNKFLGNIPATLSNLTFLSLFNVSHNNLSGRIPSGNQFNTFRDPFIYIGNHLCGFPLSDNCTKEAVTSKEGPNDDKAEMDEDDDDILWLYIGSLTGFPVGSSIVWVVLALKKKWRHNYFRCADNTYDKIYVFVVVRFRREKKNFLPNN >DRNTG_02391.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:6873941:6878825:-1 gene:DRNTG_02391 transcript:DRNTG_02391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQILPLRVLTFRGAFGIFSRHLTPYLKPRILPSDLRRNLDASGSNVGVRMFSSRSGRSRDHHRRGGVAGQGKSLIDDEAELSDWVSDLKTDSFQLGLSSDDDRANRDRGRRSGGRDSGRDAAPSMRNQGALKRSRDTDSGGFLRFSDKRQSRDVRGSGSFSRKRFDSALEEDEDEDEDEVEDDLLSSRRRKERGGKAGFSNGIVRRGGKEVETVFRQQRSVRGPSLDASSEEEDDDEEDASGSEDDFFGDKPDMKKGNSRNGLKTASSRELGEENNATLAPRRSIEQSESYLSETRFDQCSISPLSLKGIKAAGYERMTIVQEATLPVILKGKDVLAKAKTGTGKTVAFLLPAIEVIAKLPPVDRDQKRPPVNVLVICPTRELASQAATEAETLLKFHPSIGVQVVIGGTRLSLEQKRMQANPCQILVATPGRLRDHLENTPGFATRLMGVKVLVLDEADRLLDMGFRKDIEKIVAAVPKQRQTLLFSATVPDEVRQVCYFAMKRDHEFVNTVEEGSEETHSQVKQMQVVAPLEKQFSILYALLTEHIAEDVDYKVIVFCTTAMVTRLVADLLAELHLNVREIHSRKPQSYRTRVSKEFKESKGLILVSSDVSARGVDYPDVTLVIQVGIPADREQYIHRLGRTGRKGKEGKGILMLAPWEDFFLSSVKDLPITKAPLPLIDPDTRKKVERALAHVQMKNKESAYQAWLGYYNSNKSIGRDKHHLVTLANEFSSSMGLDTPPAIPKLVLRKMGLNNVPGLRTK >DRNTG_32889.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:710510:713201:-1 gene:DRNTG_32889 transcript:DRNTG_32889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFAITDEVYEYITYDDQKHICLASLPGMQERTIVTSSLSKTFSVTGWRIGWACATPNIASAIRNIHVRITDSAPSPFQEAALTALTSSPSYFTSLRREYEARRDHIVAILSKLGFEIQCKPQGSVFVFAEIPKNWLHSDVDFVRALIQKAGVAAVPGCGFFHLDSNGEIYRNRYIRFAFCKSEATLAAAARKMQDIANSDGSLQLD >DRNTG_32889.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:711330:712030:-1 gene:DRNTG_32889 transcript:DRNTG_32889.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQERTIVTSSLSKTFSVTGWRIGWACATPNIASAIRNIHVRITDSAPSPFQEAALTALTSSPSYFTSLRRVSISNQLSIN >DRNTG_01312.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1815282:1817930:1 gene:DRNTG_01312 transcript:DRNTG_01312.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g69310 [Source:Projected from Arabidopsis thaliana (AT1G69310) UniProtKB/TrEMBL;Acc:Q147N6] MEEKGRALRSEASGDSSWAFAGDGAGISSPSYFHDGESSLLSEFGWSIPLESSGVSGMDLIDPPPPTLIHALSPRSAAGSGDAASSSSSDDTAPPPPPPRGSSEPGEKSASETPSKATKKGQKRTRQPRVAFMTKSDIDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCMVKKRVERSSEDPGIVITTYEGQHCHHSVTFPRGGGAGHIHGAAALATQLATSSIPHIYYPPISFPQNNSIHPVQLLQLPHEEVHDSPTLIERVSANSPPTDQGLLGDIVPPGMRNR >DRNTG_25533.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2966500:2972204:1 gene:DRNTG_25533 transcript:DRNTG_25533.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxysomal processing protease, glyoxysomal [Source:Projected from Arabidopsis thaliana (AT1G28320) UniProtKB/Swiss-Prot;Acc:Q8VZD4] MGSVSSSPGSPSMSQLSLEKALPSIVLVTVDGGAWASGVLLNDQGLILTNAHLLEPWRFDKTSLLGQNKTTTSSTECKINPFKLEGNHSQWRNMLPSQLENSNAMVDDERTASLLNLSRKSYNIAVRLDDKKCQIWSSARVVYVSRGPFDVALLQLESLPFQLRAITPEFAHPTAGINVRVIGHGLFGPRSVLGPSVSSGVLANVVRLPAPLHKSNSTSAENKENLVPVMLQTTAAVHPGASGGAVVNSDGRMIGLVTSNAKHGGGAIIPNMNFSISSAALKPIFEFAEKQDMSILHVLDKPNELLTAVWALTPPSTTRQQPFIDNKEGRGSQFARFLSERQTDFGSLKIGDNVIEKPSDLFRRSKM >DRNTG_25533.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2966500:2972204:1 gene:DRNTG_25533 transcript:DRNTG_25533.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxysomal processing protease, glyoxysomal [Source:Projected from Arabidopsis thaliana (AT1G28320) UniProtKB/Swiss-Prot;Acc:Q8VZD4] MTSILRLLKDRNFASEDSSNSTHMAQPAMRIAFLGVSSLDVKVMLNVEVSQPQKNGDLLLVIGSPFGILSPFHFFNSVSVGAVANCCSQDSHHCSLMMADVRCLPGMEGGPVFDKHGCLTGILMRPLKQKGGNAEIQLVVTWDAIATVWGERPHKEFQRPNRNLIYKDMGSVSSSPGSPSMSQLSLEKALPSIVLVTVDGGAWASGVLLNDQGLILTNAHLLEPWRFDKTSLLGQNKTTTSSTECKINPFKLEGNHSQWRNMLPSQLENSNAMVDDERTASLLNLSRKSYNIAVRLDDKKCQIWSSARVVYVSRGPFDVALLQLESLPFQLRAITPEFAHPTAGINVRVIGHGLFGPRSVLGPSVSSGVLANVVRLPAPLHKSNSTSAENKENLVPVMLQTTAAVHPGASGGAVVNSDGRMIGLVTSNAKHGGGAIIPNMNFSISSAALKPIFEFAEKQDMSILHVLDKPNELLTAVWALTPPSTTRQQPFIDNKEGRGSQFARFLSERQTDFGSLKIGDNVIEKPSDLFRRSKM >DRNTG_25533.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2966500:2972204:1 gene:DRNTG_25533 transcript:DRNTG_25533.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxysomal processing protease, glyoxysomal [Source:Projected from Arabidopsis thaliana (AT1G28320) UniProtKB/Swiss-Prot;Acc:Q8VZD4] MVADGIPGERKTMEPAEIAQFARNLSVMVRVQGPDPKGLKMRRRAFHLHQSGRTTLSASGILLPPGSLDETPPIIDHVCEIHKHAGAVIVTCASVIEPFLITEQRNDPRQLFSPKLIHDAHIDVLFEGGEKGGDGVAGPCWVPVKLLAVVDVPASSAALRSLIGAQSGSLGNPSWEVGWSLAPVIDDLNSEASKRQVGNDIGFTFESRRNFASEDSSNSTHMAQPAMRIAFLGVSSLDVKVMLNVEVSQPQKNGDLLLVIGSPFGILSPFHFFNSVSVGAVANCCSQDSHHCSLMMADVRCLPGMEGGPVFDKHGCLTGILMRPLKQKGGNAEIQLVVTWDAIATVWGERPHKEFQRPNRNLIYKDMGSVSSSPGSPSMSQLSLEKALPSIVLVTVDGGAWASGVLLNDQGLILTNAHLLEPWRFDKTSLLGQNKTTTSSTECKINPFKLEGNHSQWRNMLPSQLENSNAMVDDERTASLLNLSRKSYNIAVRLDDKKCQIWSSARVVYVSRGPFDVALLQLESLPFQLRAITPEFAHPTAGINVRVIGHGLFGPRSVLGPSVSSGVLANVVRLPAPLHKSNSTSAENKENLVPVMLQTTAAVHPGASGGAVVNSDGRMIGLVTSNAKHGGGAIIPNMNFSISSAALKPIFEFAEKQDMSILHVLDKPNELLTAVWALTPPSTTRQQPFIDNKEGRGSQFARFLSERQTDFGSLKIGDNVIEKPSDLFRRSKM >DRNTG_25533.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2966500:2972204:1 gene:DRNTG_25533 transcript:DRNTG_25533.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxysomal processing protease, glyoxysomal [Source:Projected from Arabidopsis thaliana (AT1G28320) UniProtKB/Swiss-Prot;Acc:Q8VZD4] MEAPLIHFSIGKASSFCLFLLVIFFDCLFRSGRTTLSASGILLPPGSLDETPPIIDHVCEIHKHAGAVIVTCASVIEPFLITEQRNDPRQLFSPKLIHDAHIDVLFEGGEKGGDGVAGPCWVPVKLLAVVDVPASSAALRSLIGAQSGSLGNPSWEVGWSLAPVIDDLNSEASKRQVGNDIGFTFESRRNFASEDSSNSTHMAQPAMRIAFLGVSSLDVKVMLNVEVSQPQKNGDLLLVIGSPFGILSPFHFFNSVSVGAVANCCSQDSHHCSLMMADVRCLPGMEGGPVFDKHGCLTGILMRPLKQKGGNAEIQLVVTWDAIATVWGERPHKEFQRPNRNLIYKDMGSVSSSPGSPSMSQLSLEKALPSIVLVTVDGGAWASGVLLNDQGLILTNAHLLEPWRFDKTSLLGQNKTTTSSTECKINPFKLEGNHSQWRNMLPSQLENSNAMVDDERTASLLNLSRKSYNIAVRLDDKKCQIWSSARVVYVSRGPFDVALLQLESLPFQLRAITPEFAHPTAGINVRVIGHGLFGPRSVLGPSVSSGVLANVVRLPAPLHKSNSTSAENKENLVPVMLQTTAAVHPGASGGAVVNSDGRMIGLVTSNAKHGGGAIIPNMNFSISSAALKPIFEFAEKQDMSILHVLDKPNELLTAVWALTPPSTTRQQPFIDNKEGRGSQFARFLSERQTDFGSLKIGDNVIEKPSDLFRRSKM >DRNTG_25533.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2966500:2972204:1 gene:DRNTG_25533 transcript:DRNTG_25533.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxysomal processing protease, glyoxysomal [Source:Projected from Arabidopsis thaliana (AT1G28320) UniProtKB/Swiss-Prot;Acc:Q8VZD4] MEAPLIHFSIGKASSFCLFLLVIFFDCLFRSGRTTLSASGILLPPGSLDETPPIIDHVCEIHKHAGAVIVTCASVIEPFLITEQRNDPRQLFSPKLIHDAHIDVLFEGGEKGGDGVAGPCWVPVKLLAVVDVPASSAALRSLIGAQSGSLGNPSWEVGWSLAPVIDDLNSEASKRQVGNDIGFTFESRRNFASEDSSNSTHMAQPAMRIAFLGVSSLDVKVMLNVEVSQPQKNGDLLLVIGSPFGILSPFHFFNSVSVGAVANCCSQDSHHCSLMMADVRCLPGMEGGPVFDKHGCLTGILMRPLKQKGGNAEIQVSKQ >DRNTG_05167.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:14868308:14873062:-1 gene:DRNTG_05167 transcript:DRNTG_05167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNFEDRVDMGQVSARVQECNLGQQFEHHEGLGNNAECYELRVHGMPNTKRLAFKPPRTTGPSSTPDEPVFKLSHHREIYDRLKTKPFGTLC >DRNTG_34387.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17896269:17898274:1 gene:DRNTG_34387 transcript:DRNTG_34387.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGTNRAEYNGHSPFASLDYESQVSNLESSVKKMTAMLQVQLEVLDKKIENGIGLVKRDLKKEIEERAVLIENELKELEGRTNSLGRSLGELKEMGLLSKEEFERFWNELKKSRNFDGSGNDVSLDEVRVLAKAIVEKEIERHAADGIGRPDYALASGGARIVGHSEPGFFGRPSSWLRVWGRNHIHQNAKKMLEPSFGEPGQCFALKGSTGFVDIKLRTAVFIEAITLEHVSEVFLVLLVTASMHWKYLINLEFCSYYLHCELSSVDIENIWVTSGFSPLFIVGKLL >DRNTG_34387.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17899768:17900457:1 gene:DRNTG_34387 transcript:DRNTG_34387.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLFKSNVFLWHTQSVSHDRSAAPKKGRVYGWIQSEVTGEINKAQSMVQQLLDFEYDLEKNNVQTFDIDPHTMIVVDTVRLEVTSNHGNSNQTCIYRLRVHGFEADPVNKVLALH >DRNTG_34387.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17896269:17900457:1 gene:DRNTG_34387 transcript:DRNTG_34387.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGTNRAEYNGHSPFASLDYESQVSNLESSVKKMTAMLQVQLEVLDKKIENGIGLVKRDLKKEIEERAVLIENELKELEGRTNSLGRSLGELKEMGLLSKEEFERFWNELKKSRNFDGSGNDVSLDEVRVLAKAIVEKEIERHAADGIGRPDYALASGGARIVGHSEPGFFGRPSSWLRVWGRNHIHQNAKKMLEPSFGEPGQCFALKGSTGFVDIKLRTAVFIEAITLEHVSESVSHDRSAAPKKGRVYGWIQSEVTGEINKAQSMVQQLLDFEYDLEKNNVQTFDIDPHTMIVVDTVRLEVTSNHGNSNQTCIYRLRVHGFEADPVNKVLALH >DRNTG_34387.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17896269:17900457:1 gene:DRNTG_34387 transcript:DRNTG_34387.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGTNRAEYNGHSPFASLDYESQVSNLESSVKKMTAMLQVQLEVLDKKIENGIGLVKRDLKKEIEERAVLIENELKELEGRTNSLGRSLGELKEMGLLSKEEFERFWNELKKSRNFDGSGNDVSLDEVRVLAKAIVEKEIERHAADGIGRPDYALASGGARIVGHSEPGFFGRPSSWLRVWGRNHIHQNAKKMLEPSFGEPGQCFALKGSTGFVDIKLRTAVFIEAITLEHVSESVSHDRSAAPKKGRVYGWIQSEVTGEINKAQSMVQQLLDFEYDLEKNNVQTFDIDPHTMIVVDTVRLEVTSNHGNSNQTCIYRLRVHGFEADPVNKVLALH >DRNTG_34387.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17897429:17900457:1 gene:DRNTG_34387 transcript:DRNTG_34387.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMLQVQLEVLDKKIENGIGLVKRDLKKEIEERAVLIENELKELEGRTNSLGRSLGELKEMGLLSKEEFERFWNELKKSRNFDGSGNDVSLDEVRVLAKAIVEKEIERHAADGIGRPDYALASGGARIVGHSEPGFFGRPSSWLRVWGRNHIHQNAKKMLEPSFGEPGQCFALKGSTGFVDIKLRTAVFIEAITLEHVSESVSHDRSAAPKKGRVYGWIQSEVTGEINKAQSMVQQLLDFEYDLEKNNVQTFDIDPHTMIVVDTVRLEVTSNHGNSNQTCIYRLRVHGFEADPVNKVLALH >DRNTG_34387.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17896269:17900457:1 gene:DRNTG_34387 transcript:DRNTG_34387.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGTNRAEYNGHSPFASLDYESQVSNLESSVKKMTAMLQVQLEVLDKKIENGIGLVKRDLKKEIEERAVLIENELKELEGRTNSLGRSLGELKEMGLLSKEEFERFWNELKKSRNFDGSGNDVSLDEVRVLAKAIVEKEIERHAADGIGRPDYALASGGARIVGHSEPGFFGRPSSWLRVWGRNHIHQNAKKMLEPSFGEPGQCFALKGSTGFVDIKLRTAVFIEAITLEHVSESVSHDRSAAPKKGRVYGWIQSEVTGEINKAQSMVQQLLDFEYDLEKNNVQTFDIDPHTMIVVDTVRLEVTSNHGNSNQTCIYRLRVHGFEADPVNKVLALH >DRNTG_13862.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20587252:20593155:1 gene:DRNTG_13862 transcript:DRNTG_13862.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSRRRKNPCFSHGMAPLLLLLLFVLVIPSLAGKSSGVCVSPGGRFPPFSSEGKAPGFVSRGPRDLALCRVFRKSTCCDLAQTYPALLAIRRLASAGEASQECFSLWELLECSICHPLVGVQPGPPLICESFCDGIFQACANAYFSIDEKTQVLFPCGPSDVVCGRASEWVSNGTELCHLAGFAVQPANFISRSDSVPFCYGEKSSIESVAGSWSTPRSRSLFKGGNSMVLEDFRQWLGNTEKVSWAIGGMVLTAGLLFVRGMQAKKTRRFLLGYNHPKFALIDHCDLSPFSGAASLKQTSPFSFLDLRRVHPFVDIQLRNRLHPLIF >DRNTG_13862.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20587252:20593155:1 gene:DRNTG_13862 transcript:DRNTG_13862.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSRRRKNPCFSHGMAPLLLLLLFVLVIPSLAGKSSGVCVSPGGRFPPFSSEGKAPGFVSRGPRDLALCRVFRKSTCCDLAQTYPALLAIRRLASAGEASQECFSLWELLECSICHPLVGVQPGPPLICESFCDGIFQACANAYFSIDEKTQVLFPCGPSDVVCGRASEWVSNGTELCHLAGFAVQPANFISRSDSVPFCYGEKSSIESVAGSWSTPRSRSLFKGGNSMVLEDFRQWLGNTEKVSWAIGGMVLTAGLLFVRGMQAKKTRRFLLGYNHPKFALIDHCDLSPFSGAASLKQTSPFSFLDLRRVHPFVDIQDKKWQARAT >DRNTG_13862.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20587252:20593155:1 gene:DRNTG_13862 transcript:DRNTG_13862.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLENFSGKSSGVCVSPGGRFPPFSSEGKAPGFVSRGPRDLALCRVFRKSTCCDLAQTYPALLAIRRLASAGEASQECFSLWELLECSICHPLVGVQPGPPLICESFCDGIFQACANAYFSIDEKTQVLFPCGPSDVVCGRASEWVSNGTELCHLAGFAVQPANFISRSDSVPFCYGEKSSIESVAGSWSTPRSRSLFKGGNSMVLEDFRQWLGNTEKVSWAIGGMVLTAGLLFVRGMQAKKTRRFLLGYNHPKFALIDHCDLSPFSGAASLKQTSPFSFLDLRRVHPFVDIQDKKWQARAT >DRNTG_13862.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20591241:20593155:1 gene:DRNTG_13862 transcript:DRNTG_13862.9 gene_biotype:protein_coding transcript_biotype:protein_coding MMVMVAISLEKDYQNQEKEASLFEAKNYKLLDDDDDDDDDEGAGSVTATSATSQPEKKESHRKRFRMKNETQDDADDGVFLHSTFPFIW >DRNTG_13862.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20591241:20593155:1 gene:DRNTG_13862 transcript:DRNTG_13862.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSYLKTRFSDKLRTLMGYIKSFVLQYVSSLSKESSSPSDLLSKLLEYGFSSSAETRSFAEEIFAKVPHKQSGTSGSLSISVAMMVMVAISLEKDYQNQEKEASLFEAKNYKLLDDDDDDDDDEGAGSVTATSATSQPEKKESHRKRFRMKNETQDDADDGVFLHSTFPFIW >DRNTG_13862.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20587200:20589455:1 gene:DRNTG_13862 transcript:DRNTG_13862.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLENFSGKSSGVCVSPGGRFPPFSSEGKAPGFVSRGPRDLALCRVFRKSTCCDLAQTYPALLAIRRLASAGEASQECFSLWELLECSICHPLVGVQPGPPLICESFCDGIFQACANAYFSIDEKTQVLFPCGPSDVVCGRASEWVSNGTELCHLAGFAVQPANFISRSDSVPFCYGEKSSIESVAGSWSTPRSRSLFKGGNSMVLEDFRQWLGNTEKVSWAIGGMVLTAGLLFVRLVL >DRNTG_13862.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20587252:20593155:1 gene:DRNTG_13862 transcript:DRNTG_13862.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLENFSGKSSGVCVSPGGRFPPFSSEGKAPGFVSRGPRDLALCRVFRKSTCCDLAQTYPALLAIRRLASAGEASQECFSLWELLECSICHPLVGVQPGPPLICESFCDGIFQACANAYFSIDEKTQVLFPCGPSDVVCGRASEWVSNGTELCHLAGFAVQPANFISRSDSVPFCYGEKSSIESVAGSWSTPRSRSLFKGGNSMVLEDFRQWLGNTEKVSWAIGGMVLTAGLLFVRGMQAKKTRRFLLGYNHPKFALIDHCDLSPFSGAASLKQTSPFSFLDLRRVHPFVDIQLRNRLHPLIF >DRNTG_13862.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20587095:20590056:1 gene:DRNTG_13862 transcript:DRNTG_13862.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLENFSGKSSGVCVSPGGRFPPFSSEGKAPGFVSRGPRDLALCRVFRKSTCCDLAQTYPALLAIRRLASAGEASQECFSLWELLECSICHPLVGVQPGPPLICESFCDGIFQACANAYFSIDEKTQVLFPCGPSDVVCGRASEWVSNGTELCHLAGFAVQPANFISRSDSVPFCYGEKSSIESVAGSWSTPRSRSLFKGGNSMVLEDFRQWLGNTEKVSWAIGGMVLTAGLLFVRLVL >DRNTG_13862.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20587252:20593155:1 gene:DRNTG_13862 transcript:DRNTG_13862.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSRRRKNPCFSHGMAPLLLLLLFVLVIPSLAGKSSGVCVSPGGRFPPFSSEGKAPGFVSRGPRDLALCRVFRKSTCCDLAQTYPALLAIRRLASAGEASQECFSLWELLECSICHPLVGVQPGPPLICESFCDGIFQACANAYFSIDEKTQVLFPCGPSDVVCGRASEWVSNGTELCHLAGFAVQPANFISRSDSVPFCYGEKSSIESVAGSWSTPRSRSLFKGGNSMVLEDFRQWLGNTEKVSWAIGGMVLTAGLLFVRGMQAKKTRRFLLGYNHPKFALIDHCDLSPFSGAASLKQTSPFSFLDLRRVHPFVDIQDKKWQARAT >DRNTG_27305.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:170148:174236:1 gene:DRNTG_27305 transcript:DRNTG_27305.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDQGKLFIGGISWETTEEKLKEHFGNYGEVLEAVIMRDKATGRPRGFGFVAFADPSLLDRVLQDTHSIDGRTVDAKRALSREEQQTAVKYGNSVGGRNAGGNSGGNFRTKKIFVGGLPPSITDTGFRQYFENYGTVTDVVLMYDQNTHRPRGFGFITFDSEDAVDRVLHKNFHEVCGKLAEVKRALPKDANPNSGGVRPGGGGAYPTYGGSGGNNSSYDARMDGNRYMQPQAASGGFPGYGSSGYGAPGYGYGAANNGVPYGGYGVAGYGGGAAGYGGPAGAYGNPNAPPGGYASGPPGGPRSPWGSQPPSAYGAAGYSANAPYGAAWNGPAGSGGGGAAPTGQSPTGASGYGSQGYGYGGYGGADVSYGGHGGYGAVGGRGGGSATIHPGGGAGEQGSGYMGGGYGDPTGGSGYSSAWRSDPSQGGGYGSGQVNGPAGGGASYGGGYGGAQPRQAQQQ >DRNTG_27305.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:170148:174236:1 gene:DRNTG_27305 transcript:DRNTG_27305.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDQGKLFIGGISWETTEEKLKEHFGNYGEVLEAVIMRDKATGRPRGFGFVAFADPSLLDRVLQDTHSIDGRTVDAKRALSREEQQTAVKYGNSVGGRNAGGNSGGNFRTKKIFVGGLPPSITDTGFRQYFENYGTVTDVVLMYDQNTHRPRGFGFITFDSEDAVDRVLHKNFHEVCGKLAEVKRALPKDANPNSGGVRPGGGGAYPTYGGSGGNNSSYDARMDGNRYMQPQAASGGFPGYGSSGYGAPGYGYGAANNGVPYGGYGVAGYGGGAAGYGGPAGAYGNPNAPPGGYASGPPGGPRSPWGSQPPSAYGAAGYSANAPYGAAWNGPAGSGGGGAAPTGQSPTGASGYGSQGYGYGGYGGADVSYGGHGGYGAVGGRGGGSATIHPGGGAGEQGSGYMGGGYGDPTGGSGYSSAWRSDPSQGGGYGSGQVNGPAGGGASYGGGYGGAQPRQAQQQ >DRNTG_27305.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:170148:174236:1 gene:DRNTG_27305 transcript:DRNTG_27305.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDQGKLFIGGISWETTEEKLKEHFGNYGEVLEAVIMRDKATGRPRGFGFVAFADPSLLDRVLQDTHSIDGRTVDAKRALSREEQQTAVKYGNSVGGRNAGGNSGGNFRTKKIFVGGLPPSITDTGFRQYFENYGTVTDVVLMYDQNTHRPRGFGFITFDSEDAVDRVLHKNFHEVCGKLAEVKRALPKDANPNSGGVRPGGGGAYPTYGGSGGNNSSYDARMDGNRYMQPQAASGGFPGYGSSGYGAPGYGYGAANNGVPYGGYGVAGYGGGAAGYGGPAGAYGNPNAPPGGYASGPPGGPRSPWGSQPPSAYGAAGYSANAPYGAAWNGPAGSGGGGAAPTGQSPTGASGYGSQGYGYGGYGGADVSYGGHGGYGAVGGRGGGSATIHPGGGAGEQGSGYMGGGYGDPTGGSGYSSAWRSDPSQGGGYGSGQVNGPAGGGASYGGGYGGAQPRQAQQQ >DRNTG_21422.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3432255:3440359:1 gene:DRNTG_21422 transcript:DRNTG_21422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVLRVHLPSEIPIVGCEITPYVLVRRPDGNVSNDDVPESAPLNGRHMRFRWYRSQSDKKLTICSVHPTVQATIQCLGCVKAKIPINKSYHCSPRCFSDSWQHHRALHDRASSAVNESGAEDEELFGKVSGSGSGGQSTSLIHGPVPSFPAASAERSGGESWFEVGRSRSYTPTTDDIGHVLKFECVVVDVETKNSVGAANTVFTARVIPAPSPAPRRMIPVNDSMQLNAVGSFNVLSYNILADSNATSELYGYCPTWALSWPYRRQNLLREIVGYHADIVCLQEVQNDHFEEFFAPELDKHGYQALYKKKTSEVYGGNSRAVDGCATFFRKDRFSHVKKYEVEFNKAAQSLTDAVISANQKKGALNRLIKDNVALIVVLEAKFTNHGADNPGKRQLLCVANTHVNVPHDCKDVKLWQVHTLLKGLEKIAASADIPMLVCGDFNSTPNSAPHTLLSIGKVDPAHPDLVVDPYGILRPNSKLSHNLVLVSAYSSFARTKGIGLQAEQRRRVDPMSNEPLFTKYTRDYIGTVDYIFYTADSLTVEALLELLDEESLMKETALPSPEWSSDHVALRAEFRCTQRSRR >DRNTG_17784.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17861006:17865163:-1 gene:DRNTG_17784 transcript:DRNTG_17784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNYHFSLVLLFFFSFICCSFDKHGGGLLLPAFGMAQNTTIVHVGLVLDLEILAGKRSHASISMALDDFYASHPSYKTRLLIHSRDSKQDIIHAASAALDLMKNTQAQVIIGPYRSSQAEFIINIGNKIQVPVISFSATSPALAPERTPYFVRTTNNDSSQVAAISAFVKSFGWREVVLVYEDTSFGSGIIPYLVDVFQSIGAKVPYRSIISLSVNDDQLKEELYKLMAMQTRVFIVHMEPHLASRLFTKVNEVGMMEEGYVWIMTDVIGNMLDSLNSRSVEAMQGAVAMRSYMPRSEEMENFTRRWKARFRQENPISEPPEPTVFEARAYDTLWTLAMAVENATVSSSSFRKLPQGYNSTDLGGLSVSQNGPKLLEAILSTRFRGIAGDFVLVNGQLNSSVYEIVNVIGKAGRRIAFWTPELGIFKQLNSTSSVSLKTVIWPGDTTSLPKGWEMPRDKERKLKILVPVKNGFKEFVNIAFDNTTNRTTVTGYCIDVFEAVMQLLPYAVSYEYVPYPNAKGQIADNYTNMVLQVSSQFDAVVGDTTIIASRSKYVDFTLPYTESGVSMIVPVKAEKRNMWIFLTPLSSELWLWTLGLFLFIGLILCLIETRINPEFQGPPLQQLGMIFYFSFSILTFAHRERLVSNLSRIIVLLWVFVVLILTSAYTASLTSFLTVQPLEPTVTDVSELLKNNKIVGYQDGSYVADLLKGLGFKAYQLKNYSSLEQYIDALNKSEVAAIFDDIPSLKLFVSQNCANVAMAGKNIIGKIYKAAHIAMVGKTFKTGGFGFVFPLGSPLARDVSRAILSLTQSNKMTTIERKWFGDVTSCPHGGDDDPGLSNLKLQSFGGLFLITGVVTALTFFLHISHVLFSKQG >DRNTG_19342.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5238235:5243074:1 gene:DRNTG_19342 transcript:DRNTG_19342.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAIKLEVMTPAADLPDKGCLAVDFYMPPVCTPTVRPGRPAEAAPVISKQLSDVILSSGMINFEELSLISGKAAWMAYLDIYCLNADGSLFDAALLSAVAAFSHLKVPLVSVNDNGRVTTVTGELLESKSELELVNKERRKLSFKSLPFSLTCLLHGKYILADPTAEEEDIMDTLVTVVVDSLGRLVSLYKAGGVVLANTSTVKDCIALAKQRAAELHNILVESISEMEVD >DRNTG_19342.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5240867:5243074:1 gene:DRNTG_19342 transcript:DRNTG_19342.3 gene_biotype:protein_coding transcript_biotype:protein_coding MINFEELSLISGKAAWMAYLDIYCLNADGSLFDAALLSAVAAFSHLKVPLVSVNDNGRVTTVTGELLESKSELELVNKERRKLSFKSLPFSLTCLLHGKYILADPTAEEEDIMDTLVTVVVDSLGRLVSLYKAGGVVLANTSTVKDCIALAKQRAAELHNILVESISEMEVD >DRNTG_19342.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5238235:5243074:1 gene:DRNTG_19342 transcript:DRNTG_19342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSLEIDGDMEVEAFRRLFPLRYYEHYLGQSVRPDARPLAHARETTIALGPVTSVDGSALVKIGETTMLAAIKLEVMTPAADLPDKGCLAVDFYMPPVCTPTVRPGRPAEAAPVISKQLSDVILSSGMINFEELSLISGKAAWMAYLDIYCLNADGSLFDAALLSAVAAFSHLKVPLVSVNDNGRVTTVTGELLESKSELELVNKERRKLSFKSLPFSLTCLLHGKYILADPTAEEEDIMDTLVTVVVDSLGRLVSLYKAGGVVLANTSTVKDCIALAKQRAAELHNILVESISEMEVD >DRNTG_25417.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24613260:24615297:-1 gene:DRNTG_25417 transcript:DRNTG_25417.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSWIPATCPLSLVPAPLRRTSIAAIPAGKEAAAAVATEFDFKGYMLSKAAGVNRALDLAVPLAEPRRIHEAMRYSLLAGGKRVRPVLCIAACELAGGHESVAMPPALAVEMIHTMSLIHDDLPCMDDDDLRRGQPTCHRAFGEPVAVLAGDALLALAFRTLSNPQSYPSNLVVPPSRIVNAVAELARCVGSEGLVAGQVVDIESTGLAEPVPIDRLEYIHLHKTAALLEASVVIGAIVGGGSDSQVERLRRYARCIGLLFQVVDDILDVTKSSHELGKTAGKDLASDKTTYPKLMGLEKSKEFAEELNRDAKQQLEDFDREKAAPLVHLANYIAYRQK >DRNTG_25417.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24613260:24615297:-1 gene:DRNTG_25417 transcript:DRNTG_25417.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSWIPATCPLSLVPAPLRRTSIAAIPAGKEAAAAVATEFDFKGYMLSKAAGVNRALDLAVPLAEPRRIHEAMRYSLLAGGKRVRPVLCIAACELAGGHESVAMPPALAVEMIHTMSLIHDDLPCMDDDDLRRGQPTCHRAFGEPVAVLAGDALLALAFRTLSNPQSYPSNLVVPPSRIVNAVAELARCVGSEGLVAGQVVDIESTGLAEPVPIDRLEYIHLHKTAALLEASVVIGAIVGGGSDSQVERLRRYARCIGLLFQVVDDILDVTKSSHELGKTAGKDLASDKTTYPKLMGLEKSKEFAEELNRDAKQQLEDFDREKAAPLVHLANYIAYRQK >DRNTG_25417.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24613816:24615297:-1 gene:DRNTG_25417 transcript:DRNTG_25417.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSWIPATCPLSLVPAPLRRTSIAAIPAGKEAAAAVATEFDFKGYMLSKAAGVNRALDLAVPLAEPRRIHEAMRYSLLAGGKRVRPVLCIAACELAGGHESVAMPPALAVEMIHTMSLIHDDLPCMDDDDLRRGQPTCHRAFGEPVAVLAGDALLALAFRTLSNPQSYPSNLVVPPSRIVNAVAELARCVGSEGLVAGQVVDIESTGLAEPVPIDRLEYIHLHKTAALLEASVVIGAIVGGGSDSQVERLRRYARCIGLLFQVVDDILDVTKSSHELGKTAGKDLASDKTTYPKLMGLEKSKEFAEELNRDAKQQLEDFDREKAAPLVHLANYIAYRQK >DRNTG_25417.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24613039:24615297:-1 gene:DRNTG_25417 transcript:DRNTG_25417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSWIPATCPLSLVPAPLRRTSIAAIPAGKEAAAAVATEFDFKGYMLSKAAGVNRALDLAVPLAEPRRIHEAMRYSLLAGGKRVRPVLCIAACELAGGHESVAMPPALAVEMIHTMSLIHDDLPCMDDDDLRRGQPTCHRAFGEPVAVLAGDALLALAFRTLSNPQSYPSNLVVPPSRIVNAVAELARCVGSEGLVAGQVVDIESTGLAEPVPIDRLEYIHLHKTAALLEASVVIGAIVGGGSDSQVERLRRYARCIGLLFQVVDDILDVTKSSHELGKTAGKDLASDKTTYPKLMGLEKSKEFAEELNRDAKQQLEDFDREKAAPLVHLANYIAYRQK >DRNTG_25417.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24613260:24615297:-1 gene:DRNTG_25417 transcript:DRNTG_25417.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSWIPATCPLSLVPAPLRRTSIAAIPAGKEAAAAVATEFDFKGYMLSKAAGVNRALDLAVPLAEPRRIHEAMRYSLLAGGKRVRPVLCIAACELAGGHESVAMPPALAVEMIHTMSLIHDDLPCMDDDDLRRGQPTCHRAFGEPVAVLAGDALLALAFRTLSNPQSYPSNLVVPPSRIVNAVAELARCVGSEGLVAGQVVDIESTGLAEPVPIDRLEYIHLHKTAALLEASVVIGAIVGGGSDSQVERLRRYARCIGLLFQVVDDILDVTKSSHELGKTAGKDLASDKTTYPKLMGLEKSKEFAEELNRDAKQQLEDFDREKAAPLVHLANYIAYRQK >DRNTG_14933.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27124062:27128719:-1 gene:DRNTG_14933 transcript:DRNTG_14933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNSISHSTEQKISSHHKSPLFHSLLHHSRTGHSMSSLPTEQVGGHQANSALPLH >DRNTG_30231.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:147757:148667:1 gene:DRNTG_30231 transcript:DRNTG_30231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPETRMRSRKSFETGAAVGLGIVAAMSGDSAGSQRSEPVSIVLAGAMRPVRKEPPAEEMMSESYTCVISYVGGNAVKKRVYFDDGMGCNYERSSEKLYKPFPPSPEFLSRCYLCRKRLHGKDIFMYRGEMGFCSEDCRWEQMMMNDEQMEKFGGSDQQTLKPFACSLSPCSATPFIFMPRVAAV >DRNTG_30231.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:147757:148623:1 gene:DRNTG_30231 transcript:DRNTG_30231.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISPETRMRSRKSFETGAAVGLGIVAAMSGDSAGSQRSEPVSIVLAGAMRPVRKEPPAEEMMSESYTCVISYVGGNAVKKRVYFDDGMGCNYERSSEKLYKPFPPSPEFLSRCYLCRKRLHGKDIFMYRGEMGFCSEDCRWEQMMMNDEQMEKFGGSDQQTLKPFACSLSPCSATPFIFMPRVAAV >DRNTG_32993.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19908529:19913736:-1 gene:DRNTG_32993 transcript:DRNTG_32993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVMESSVVLDSGGGLSVNGPIRLSTGNNEVDSVSDDLMFLDDLDSYWDDVNARLTVSRMVSNSVMKGIVNAVMEEASEKIASKEAEITFLSEKLMSCDSNVVGDQNSQSVLMLPELSRMIVEPQGTNLELCSCYFDGNAHLKFDEKMSRLRIKSEEQFLKLKDEIRNLRAGESLDEGKAVDRFCNIDGCVNALEETLAAMYERIGGKFFSLKPVVAEQQSEDGLQNEIGSVMVGLQDEFEKGLHEQRTLVDTCNEKWQKHVSELSDIRQELDTISRSLFSLESGFMFSQSNTECLEEWNNAKRKDHISRNVMGKSCLSHSDENCNPLVDKSDEAVKQAIEVTVSPLLKHMKKEELIGYHTTEMTKMKRQHELALQEKTEELFSLKRELLKEKGSNPSHFRKDKEFELLRKKISEFLGRLDVMLLENGEFPVVCDDQDVVENFMNKIHTLLALTRSKDVASSQLSCQAYHHSLAEIDLQKQIRKLELDFEDAKIEASITNEVQKIALKGVVEEIESCLEAEEIENKFIQEIYLTLYMGTVMHALSSIHPAVDKWYEEKNCMEAALLEKEKSLSFRIEENEKLEQVISSISTLLKEKENFASVVASKFIEQKKHLDLASQELERLRGMVNKQETLIFENKIEYDLVKGRLDEALLQIQGYQFEINKLDQNSKFLMDALDDAEKQKNILHTIIQEKNVALSSVISKEKDQTEHMKSIILSMTELSRIIEEFESRLTCDVGKNESRLNILNQQCGQLVQQADLYERESMRHRQKYEVMCSDFQKAEIEVDLLGNEVDALLTILEMIYIALDHYSNVLQYYPGVKEILDLLRRELKKRYITDVKLSED >DRNTG_02076.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000104.1:85782:88262:1 gene:DRNTG_02076 transcript:DRNTG_02076.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVKNKQVVLKWFVVGAPTEKDMEVREATVQLKAPQGSGGLVVKNLYLSCDPYMRGRMREDYSSYIPPFQPGNAIEGFGVAKVLDSDNPNFSVGDYVAGFTGWEEYSLITRTESLRKVEKNDISLSFHVGLLGMPGFTAYAGFYEVCAPKKGDAVFVSAASGAVGQLVGQLAKLHGCYVVGSAGSKQKVQLLKDKLGFNEAFNYKEETDLTATLQRYFPNGIDIYFDNVGGAMLDAALLNMKVHGRVAVCGMVSQHSFTDSEGIHNMFAVVRKRIRIEGFLQSDYLHLFPKFVETVSNYYREGKIIYLEDIAEGLEKASSAFVGLFSGKNVGKQVVCVAKE >DRNTG_01862.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21228380:21231540:1 gene:DRNTG_01862 transcript:DRNTG_01862.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSEAKLAFFVYLWYPKTKGTMYVYNTFFRPYVAKHESEIDRNLLELRTRAGDIVVLYWQKAASYGQTRFFDILQYVAAQSQSPPARPRPVQRQPPLQTHLAQPPAQQQAATASRQAAPAVQQAAATNRQAPSTGMPNQQQAVPQATQQPMRGVPASPAKGKQQQDGARVGGPQQTSSSSVTSPLSSENADSLAQNEVGAKQVEDVKGTASEGTSPPPQEMLIEEAIRVTRGRLRKRAATAGPAGR >DRNTG_01316.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:6718:8655:-1 gene:DRNTG_01316 transcript:DRNTG_01316.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSSQSGPRAERKAPAACLHASAASRVSASRFWKRRKPASAGASRAQSQKRRQRRIRLRKAGASLGMDRAEEMVWWWRFGGEGRGGEGDRKMTSLRSWRRRGGMGAERGQGLESQRVLLGFEGWKPWRMMEKVTRVSVLEPAVRSALRRMDLVEEFARVSVRDGSDGGQSVENGRFVSHDHMLSICFSLFCYLYSPESSGRRREEKMI >DRNTG_01316.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:6689:8655:-1 gene:DRNTG_01316 transcript:DRNTG_01316.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSSQSGPRAERKAPAACLHASAASRVSASRFWKRRKPASAGASRAQSQKRRQRRIRLRKAGASLGMDRAEEMVWWWRFGGEGRGGEGDRKMTSLRSWRRRGGMGAERGQGLESQRVLLGFEGWKPWRMMEKVTRVSVLEPAVRSALRRMDLVEEFARVSVRDGSDGGQSVENGRFVSHDHMLSICFSLFCYLYSPESSGRRREEKMI >DRNTG_01316.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:6718:8759:-1 gene:DRNTG_01316 transcript:DRNTG_01316.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSSQSGPRAERKAPAACLHASAASRVSASRFWKRRKPASAGASRAQSQKRRQRRIRLRKAGASLGMDRAEEMVWWWRFGGEGRGGEGDRKMTSLRSWRRRGGMGAERGQGLESQRVLLGFEGWKPWRMMEKVTRVSVLEPAVRSALRRMDLVEEFARVSVRDGSDGGQSVENGRFVSHDHMLSICFSLFCYLYSPESSGRRREEKMI >DRNTG_01316.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:6689:8759:-1 gene:DRNTG_01316 transcript:DRNTG_01316.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSSQSGPRAERKAPAACLHASAASRVSASRFWKRRKPASAGASRAQSQKRRQRRIRLRKAGASLGMDRAEEMVWWWRFGGEGRGGEGDRKMTSLRSWRRRGGMGAERGQGLESQRVLLGFEGWKPWRMMEKVTRVSVLEPAVRSALRRMDLVEEFARVSVRDGSDGGQSVENGRFVSHDHMLSICFSLFCYLYSPESSGRRREEKMI >DRNTG_16896.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26003811:26006085:1 gene:DRNTG_16896 transcript:DRNTG_16896.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNGNMEEVQDEQEIRTAPRLSRLRDYRIRIPSINMRLLEQRTRKKRLLDFLKARPSVDWFRNFRISSPLAIFRRHVNDREEISLSVPSPVGIRRRFSIHFIRKIDWSSIFKMCKRWLRHPMNLALLLWLLCVAAAGLMLGMLLVGLLNNAFPSKAMRNYWIEFDNQVLNALFTLMSIYQHPILCYQFFLLCRWNSEDIIELRKVYCKDGAYRPHEWSHMMVVVILLHFTCIFQYILCGLYWGYSSDTRPEILENLFFALGILAPVTAGVYTVYSPLGREYDSESDEESQKATDAEDIKKPNKLRRRFSKRVLVNRPEWVGGLFDCNEDWTVGYLSFFCTFCVFGWNMERLGFGNMYVHIGTFILLCLAPLWILGITAMKTHNEVIEEIMGISGLVLCFFGLLYGGFWRIQMRKRFKLPRNTFCCGSGTMTDYMQWLFCWSCSLAQEVRTGNFYDVEDDSLYRRLMSGDLSALIQEGAKSPYRRSFTSTTPLPVSSMVMTPSSAIIGECSSPAPQLVVVVNPDDQMTAPVPQEPPTVAEKQVVADTEVKVEISELVSEDVITETLVKPLIRVEAIVSDSNVVSTPLAEPLLRPEERNPDGDVTEAPGSSRRELVKKTVKVVNAVSLLLILSFLWTRLPALLR >DRNTG_16896.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26004000:26005951:1 gene:DRNTG_16896 transcript:DRNTG_16896.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNGNMEEVQDEQEIRTAPRLSRLRDYRIRIPSINMRLLEQRTRKKRLLDFLKARPSVDWFRNFRISSPLAIFRRHVNDREEISLSVPSPVGIRRRFSIHFIRKIDWSSIFKMCKRWLRHPMNLALLLWLLCVAAAGLMLGMLLVGLLNNAFPSKAMRNYWIEFDNQVLNALFTLMSIYQHPILCYQFFLLCRWNSEDIIELRKVYCKDGAYRPHEWSHMMVVVILLHFTCIFQYILCGLYWGYSSDTRPEILENLFFALGILAPVTAGVYTVYSPLGREYDSESDEESQKATDAEDIKKPNKLRRRFSKRVLVNRPEWVGGLFDCNEDWTVGYLSFFCTFCVFGWNMERLGFGNMYVHIGTFILLCLAPLWILGITAMKTHNEVIEEIMGISGLVLCFFGLLYGGFWRIQMRKRFKLPRNTFCCGSGTMTDYMQWLFCWSCSLAQEVRTGNFYDVEDDSLYRRLMSGDLSALIQEGAKSPYRRSFTSTTPLPVSSMVMTPSSAIIGECSSPAPQLVVVVNPDDQMTAPVPQEPPTVAEKQVVADTEVKVEISELVSEDVITETLVKPLIRVEAIVSDSNVVSTPLAEPLLRPEERNPDGDVTEAPGSSRRELVKKTVKVVNAVSLLLILSFLWTRLPALLR >DRNTG_16896.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26003685:26006245:1 gene:DRNTG_16896 transcript:DRNTG_16896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNGNMEEVQDEQEIRTAPRLSRLRDYRIRIPSINMRLLEQRTRKKRLLDFLKARPSVDWFRNFRISSPLAIFRRHVNDREEISLSVPSPVGIRRRFSIHFIRKIDWSSIFKMCKRWLRHPMNLALLLWLLCVAAAGLMLGMLLVGLLNNAFPSKAMRNYWIEFDNQVLNALFTLMSIYQHPILCYQFFLLCRWNSEDIIELRKVYCKDGAYRPHEWSHMMVVVILLHFTCIFQYILCGLYWGYSSDTRPEILENLFFALGILAPVTAGVYTVYSPLGREYDSESDEESQKATDAEDIKKPNKLRRRFSKRVLVNRPEWVGGLFDCNEDWTVGYLSFFCTFCVFGWNMERLGFGNMYVHIGTFILLCLAPLWILGITAMKTHNEVIEEIMGISGLVLCFFGLLYGGFWRIQMRKRFKLPRNTFCCGSGTMTDYMQWLFCWSCSLAQEVRTGNFYDVEDDSLYRRLMSGDLSALIQEGAKSPYRRSFTSTTPLPVSSMVMTPSSAIIGECSSPAPQLVVVVNPDDQMTAPVPQEPPTVAEKQVVADTEVKVEISELVSEDVITETLVKPLIRVEAIVSDSNVVSTPLAEPLLRPEERNPDGDVTEAPGSSRRELVKKTVKVVNAVSLLLILSFLWTRLPALLR >DRNTG_16896.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26003685:26006085:1 gene:DRNTG_16896 transcript:DRNTG_16896.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNGNMEEVQDEQEIRTAPRLSRLRDYRIRIPSINMRLLEQRTRKKRLLDFLKARPSVDWFRNFRISSPLAIFRRHVNDREEISLSVPSPVGIRRRFSIHFIRKIDWSSIFKMCKRWLRHPMNLALLLWLLCVAAAGLMLGMLLVGLLNNAFPSKAMRNYWIEFDNQVLNALFTLMSIYQHPILCYQFFLLCRWNSEDIIELRKVYCKDGAYRPHEWSHMMVVVILLHFTCIFQYILCGLYWGYSSDTRPEILENLFFALGILAPVTAGVYTVYSPLGREYDSESDEESQKATDAEDIKKPNKLRRRFSKRVLVNRPEWVGGLFDCNEDWTVGYLSFFCTFCVFGWNMERLGFGNMYVHIGTFILLCLAPLWILGITAMKTHNEVIEEIMGISGLVLCFFGLLYGGFWRIQMRKRFKLPRNTFCCGSGTMTDYMQWLFCWSCSLAQEVRTGNFYDVEDDSLYRRLMSGDLSALIQEGAKSPYRRSFTSTTPLPVSSMVMTPSSAIIGECSSPAPQLVVVVNPDDQMTAPVPQEPPTVAEKQVVADTEVKVEISELVSEDVITETLVKPLIRVEAIVSDSNVVSTPLAEPLLRPEERNPDGDVTEAPGSSRRELVKKTVKVVNAVSLLLILSFLWTRLPALLR >DRNTG_16896.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26003685:26005951:1 gene:DRNTG_16896 transcript:DRNTG_16896.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNGNMEEVQDEQEIRTAPRLSRLRDYRIRIPSINMRLLEQRTRKKRLLDFLKARPSVDWFRNFRISSPLAIFRRHVNDREEISLSVPSPVGIRRRFSIHFIRKIDWSSIFKMCKRWLRHPMNLALLLWLLCVAAAGLMLGMLLVGLLNNAFPSKAMRNYWIEFDNQVLNALFTLMSIYQHPILCYQFFLLCRWNSEDIIELRKVYCKDGAYRPHEWSHMMVVVILLHFTCIFQYILCGLYWGYSSDTRPEILENLFFALGILAPVTAGVYTVYSPLGREYDSESDEESQKATDAEDIKKPNKLRRRFSKRVLVNRPEWVGGLFDCNEDWTVGYLSFFCTFCVFGWNMERLGFGNMYVHIGTFILLCLAPLWILGITAMKTHNEVIEEIMGISGLVLCFFGLLYGGFWRIQMRKRFKLPRNTFCCGSGTMTDYMQWLFCWSCSLAQEVRTGNFYDVEDDSLYRRLMSGDLSALIQEGAKSPYRRSFTSTTPLPVSSMVMTPSSAIIGECSSPAPQLVVVVNPDDQMTAPVPQEPPTVAEKQVVADTEVKVEISELVSEDVITETLVKPLIRVEAIVSDSNVVSTPLAEPLLRPEERNPDGDVTEAPGSSRRELVKKTVKVVNAVSLLLILSFLWTRLPALLR >DRNTG_16896.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26003811:26005951:1 gene:DRNTG_16896 transcript:DRNTG_16896.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNGNMEEVQDEQEIRTAPRLSRLRDYRIRIPSINMRLLEQRTRKKRLLDFLKARPSVDWFRNFRISSPLAIFRRHVNDREEISLSVPSPVGIRRRFSIHFIRKIDWSSIFKMCKRWLRHPMNLALLLWLLCVAAAGLMLGMLLVGLLNNAFPSKAMRNYWIEFDNQVLNALFTLMSIYQHPILCYQFFLLCRWNSEDIIELRKVYCKDGAYRPHEWSHMMVVVILLHFTCIFQYILCGLYWGYSSDTRPEILENLFFALGILAPVTAGVYTVYSPLGREYDSESDEESQKATDAEDIKKPNKLRRRFSKRVLVNRPEWVGGLFDCNEDWTVGYLSFFCTFCVFGWNMERLGFGNMYVHIGTFILLCLAPLWILGITAMKTHNEVIEEIMGISGLVLCFFGLLYGGFWRIQMRKRFKLPRNTFCCGSGTMTDYMQWLFCWSCSLAQEVRTGNFYDVEDDSLYRRLMSGDLSALIQEGAKSPYRRSFTSTTPLPVSSMVMTPSSAIIGECSSPAPQLVVVVNPDDQMTAPVPQEPPTVAEKQVVADTEVKVEISELVSEDVITETLVKPLIRVEAIVSDSNVVSTPLAEPLLRPEERNPDGDVTEAPGSSRRELVKKTVKVVNAVSLLLILSFLWTRLPALLR >DRNTG_16896.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26004000:26006085:1 gene:DRNTG_16896 transcript:DRNTG_16896.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNGNMEEVQDEQEIRTAPRLSRLRDYRIRIPSINMRLLEQRTRKKRLLDFLKARPSVDWFRNFRISSPLAIFRRHVNDREEISLSVPSPVGIRRRFSIHFIRKIDWSSIFKMCKRWLRHPMNLALLLWLLCVAAAGLMLGMLLVGLLNNAFPSKAMRNYWIEFDNQVLNALFTLMSIYQHPILCYQFFLLCRWNSEDIIELRKVYCKDGAYRPHEWSHMMVVVILLHFTCIFQYILCGLYWGYSSDTRPEILENLFFALGILAPVTAGVYTVYSPLGREYDSESDEESQKATDAEDIKKPNKLRRRFSKRVLVNRPEWVGGLFDCNEDWTVGYLSFFCTFCVFGWNMERLGFGNMYVHIGTFILLCLAPLWILGITAMKTHNEVIEEIMGISGLVLCFFGLLYGGFWRIQMRKRFKLPRNTFCCGSGTMTDYMQWLFCWSCSLAQEVRTGNFYDVEDDSLYRRLMSGDLSALIQEGAKSPYRRSFTSTTPLPVSSMVMTPSSAIIGECSSPAPQLVVVVNPDDQMTAPVPQEPPTVAEKQVVADTEVKVEISELVSEDVITETLVKPLIRVEAIVSDSNVVSTPLAEPLLRPEERNPDGDVTEAPGSSRRELVKKTVKVVNAVSLLLILSFLWTRLPALLR >DRNTG_16896.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26003811:26006245:1 gene:DRNTG_16896 transcript:DRNTG_16896.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNGNMEEVQDEQEIRTAPRLSRLRDYRIRIPSINMRLLEQRTRKKRLLDFLKARPSVDWFRNFRISSPLAIFRRHVNDREEISLSVPSPVGIRRRFSIHFIRKIDWSSIFKMCKRWLRHPMNLALLLWLLCVAAAGLMLGMLLVGLLNNAFPSKAMRNYWIEFDNQVLNALFTLMSIYQHPILCYQFFLLCRWNSEDIIELRKVYCKDGAYRPHEWSHMMVVVILLHFTCIFQYILCGLYWGYSSDTRPEILENLFFALGILAPVTAGVYTVYSPLGREYDSESDEESQKATDAEDIKKPNKLRRRFSKRVLVNRPEWVGGLFDCNEDWTVGYLSFFCTFCVFGWNMERLGFGNMYVHIGTFILLCLAPLWILGITAMKTHNEVIEEIMGISGLVLCFFGLLYGGFWRIQMRKRFKLPRNTFCCGSGTMTDYMQWLFCWSCSLAQEVRTGNFYDVEDDSLYRRLMSGDLSALIQEGAKSPYRRSFTSTTPLPVSSMVMTPSSAIIGECSSPAPQLVVVVNPDDQMTAPVPQEPPTVAEKQVVADTEVKVEISELVSEDVITETLVKPLIRVEAIVSDSNVVSTPLAEPLLRPEERNPDGDVTEAPGSSRRELVKKTVKVVNAVSLLLILSFLWTRLPALLR >DRNTG_13850.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13236467:13237804:-1 gene:DRNTG_13850 transcript:DRNTG_13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSQKFSLQEHRPKQKSERLIRSTTPRY >DRNTG_07930.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1262332:1263860:1 gene:DRNTG_07930 transcript:DRNTG_07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIQAIQRPEKPLGPAAILALATANPSNVVDQSTFADYYFRITKNEDKLALKEKFQRISAKTTVRKRHIHLTEEILKENPNMCEYMAPSLDARQGILISAVPNLARDAAEKALNEWGQPRDGITHMIFCTMTGADMPGADYRLLKLLDLKPNVKRLMFYHLGCYAGGTALRVAKDLAENNKNARVLVVCTEISVHNFRGPEETNYHNLCGQAIFGDGAAALIIGADPVIPVEKPLYEIVSAMQVILPGSEGAVEGHLKEVGLTFHSTSRLPDIIAKNLEPSLKEAFKPLGISDWNELFWLAHPGGPGVLDRVAAELRLGPNKLDDSRHVLREYGNMSSTTVLFIMDEMRRRSVAEKKETTGDGLEWGVLYGFGPGLTVEMVVLHGLPI >DRNTG_28622.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28410895:28413697:-1 gene:DRNTG_28622 transcript:DRNTG_28622.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 17 [Source:Projected from Arabidopsis thaliana (AT2G22420) UniProtKB/Swiss-Prot;Acc:Q9SJZ2] MSEMAPKQLLPFLFLVPILLLLNVCKEAMAVKQLRRGFYSESCPAAESTVRKVIQRAMVKDPRSPASVMRLQFHDCFVNGCDASLLLDDTETMLGEKLSLSNINSLRSYEVIDEAKAELERICPGVVSCADIIIMASRDAVSLTGGPTWEVKLGRKDSLTASQEASDKVMPSPRANATYLINLFSQFNLSITDLVALSGSHSIGKGRCFSIVFRLYNQSGSGQPDPTIEPRFRRMLDGLCPKDGDGNVTGGLDATPLKFDNQYFKDLVQGRGFLNSDQSLFSGDARTREIVIKYSRDEKAFFKAFVEGMVRMGDLQSEEPGEIRKNCRVANHHPPDQMLHSIFLEA >DRNTG_03695.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8257093:8260506:-1 gene:DRNTG_03695 transcript:DRNTG_03695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPATARPPSSSSPPPLGSNRRPLLLLRLMSRMFDNHHHCCHVEDFRSRILCCNDLGAWGFLSVTRDSWLFISFEHKVEEALLAFDAEEKRCAELNDSGENSSDEISAYKADFLKRKCMLVD >DRNTG_04184.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:576763:581250:-1 gene:DRNTG_04184 transcript:DRNTG_04184.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVGKWRRPALLSFTLILFLSIHVSAIDNQGAALLDWKRTLNGSLEALSDWNPNDPTPCQWSGVSCNAENDVMELTLQYMDLFGRVPSNLTALSALTKLVLCGTNLTGPIPHQLGDLPRLIHLDLSGNALTGVIPDGLCRPGSQLEKLFINSNRLEGSIPDSIGNLSALKSFIFYDNQLEGQIPSSIGRLVNLEVVRGGGNKNLRGPLPVEIGNCSSLVMLGLAETSISGPLPRTLGQLQKLETLAVYTAMLTGSIPAELGQCAQLQNIYLYENSLSGSIPSSLGNLDKLQNLLLWQNSLVGFIPPELGRCRKLALVDLSMNSLTGPIPPTLGNVTALQELQLSMNQISGTIPVELAKCGNLTDLELDNNLISGGIPQELGRLGNLRMLYLWQNKLEGNIPAEIGNCANLEAMDLSQNGLTGPIPRGIFALPRLGKLLLLGNELSGIIPPEIGNCSSLIRFRANGNHLAGPIPPEIGSLKNLSFLDLGSNRLVGPIPSEIAGCRNLTFLDLHSNAIAGNLPDNLFDGLVFLQFLDLSNNSIAGPLPQNLGMLTSLTKLILSGNKFSGRIPQEIGSCGRLQLLDLSNNELSDGIPATIGGIPALEIALNLSCNDLSGDLPAEFAGLARLGVLDLSHNRLSGDLKPLAGLQNLVALNLSYNNFSGSVPEGPFFSKLPVGDLEGNPALCLSRCSTSSNSTGSTRRAARIAAIVLLSAAATLLAVAGFVFLNKKKRTRGSQGMDDEEKEGEMGPPWEVTLYQKLEIGVADVARNLSSANVIGRGWSGVVYKARVPSTGTVIAVKRFRSADEASIAAFASEIGALARVRHRNIVRLLGWAANRRTRLLFYDYMPNGTLGELLHGGGGLTVGWDWEVRLGIAVGVAEGLAYLHHDCVPGILHRDVKAENVLLGERYEACLADFGLARVVEDGVGGNSTPPPFAGSYGYIAPEYGCMMKITRKSDVYSFGVLLLEMITGKKPVDPSFQEGHNVIQWVREHLRAKRDPAEVVDPRLQGRPDTQVQEMLQALGIALLCASNRAEDRPTMKDVAALLRGIRHDDPQQSGEARKPSSTVSCKRSEPAVARSPSRLDVPSLSPHCSLAYSSSASDNFK >DRNTG_03223.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4542809:4543234:1 gene:DRNTG_03223 transcript:DRNTG_03223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETQAKPTEEVVVVPPAEEEESNIVSYLKDPEKKALEELKQLIHALQSAEVVEEKTVEAIEEIIVPAVTSSAGDPPPPTAVEEKSAEAIEETTIPVDMPAVVDKIDANPD >DRNTG_03496.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:744834:747645:-1 gene:DRNTG_03496 transcript:DRNTG_03496.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELQASDIAAVKDEDALFHCQNSDGEIVHKIAQLLLPGLAAACVDNTTGDPFKTPASVAVGLRKEMVDYLNARSETFVAEFVVPDDSEPDSIQEIPEDPAEIVSIIMDDFTASKRSLLGRLSSWLLSESREDKIDDFVQEMEAKGLWMIDRREAISDPLLRNVDFKNAYTCSMKFPTKEELDEHKQRCGFRPASCTNDGCKTKFCAIHAEKHDEVCPFKVLPCEQKCEQRIVRREMDRHCITVCPMRLVNCPFYQIGCHSTFPLRTLENHCSEFIRSHLLYVLQVVHKDEASIDELKERVQLMQKAELLEELSQARDVRSLALAVKEHAARIRSLEEKMDKVSLT >DRNTG_03496.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:746415:747556:-1 gene:DRNTG_03496 transcript:DRNTG_03496.5 gene_biotype:protein_coding transcript_biotype:protein_coding MELQASDIAAVKDEDALFHCQNSDGEIVHKIAQLLLPGLAAACVDNTTGDPFKTPASVAVGLRKEMVDYLNARSETFVAEFVVPDDSEPDSIQEIPEDPAEIVSIIMDDFTASKRSLLGRLSSWLLSESREDKIDDFVQEMEAKGLWMIDRREAISDPLLRNVDFKNAYTCSMKFPTKEELDEHKQRCGFRPASCTNDGCKTKFCAIHAEKHDEVCPFKVLPCEQKCEQRIVRREMDRHCITVCPMRLVNCPFYQIGCHSTFPLRTLENHCSEFIRSHLLYVLQVVHKDEASIDELKERVQLMQKVILLFLFFLFL >DRNTG_03496.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:744727:747556:-1 gene:DRNTG_03496 transcript:DRNTG_03496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQASDIAAVKDEDALFHCQNSDGEIVHKIAQLLLPGLAAACVDNTTGDPFKTPASVAVGLRKEMVDYLNARSETFVAEFVVPDDSEPDSIQEIPEDPAEIVSIIMDDFTASKRSLLGRLSSWLLSESREDKIDDFVQEMEAKGLWMIDRREAISDPLLRNVDFKNAYTCSMKFPTKEELDEHKQRCGFRPASCTNDGCKTKFCAIHAEKHDEVCPFKVLPCEQKCEQRIVRREMDRHCITVCPMRLVNCPFYQIGCHSTFPLRTLENHCSEFIRSHLLYVLQVVHKDEASIDELKERVQLMQKAELLEELSQARDVRSLALAVKEHAARIRSLEEKMDKVSLT >DRNTG_03496.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:744834:747556:-1 gene:DRNTG_03496 transcript:DRNTG_03496.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELQASDIAAVKDEDALFHCQNSDGEIVHKIAQLLLPGLAAACVDNTTGDPFKTPASVAVGLRKEMVDYLNARSETFVAEFVVPDDSEPDSIQEIPEDPAEIVSIIMDDFTASKRSLLGRLSSWLLSESREDKIDDFVQEMEAKGLWMIDRREAISDPLLRNVDFKNAYTCSMKFPTKEELDEHKQRCGFRPASCTNDGCKTKFCAIHAEKHDEVCPFKVLPCEQKCEQRIVRREMDRHCITVCPMRLVNCPFYQIGCHSTFPLRTLENHCSEFIRSHLLYVLQVVHKDEASIDELKERVQLMQKAELLEELSQARDVRSLALAVKEHAARIRSLEEKMDKVSLT >DRNTG_03496.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:744791:747556:-1 gene:DRNTG_03496 transcript:DRNTG_03496.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELQASDIAAVKDEDALFHCQNSDGEIVHKIAQLLLPGLAAACVDNTTGDPFKTPASVAVGLRKEMVDYLNARSETFVAEFVVPDDSEPDSIQEIPEDPAEIVSIIMDDFTASKRSLLGRLSSWLLSESREDKIDDFVQEMEAKGLWMIDRREAISDPLLRNVDFKNAYTCSMKFPTKEELDEHKQRCGFRPASCTNDGCKTKFCAIHAEKHDEVCPFKVLPCEQKCEQRIVRREMDRHCITVCPMRLVNCPFYQIGCHSTFPLRTLENHCSEFIRSHLLYVLQVVHKDEASIDELKERVQLMQKAELLEELSQARDVRSLALAVKEHAARIRSLEEKMDKVSLT >DRNTG_10895.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000476.1:6334:7990:1 gene:DRNTG_10895 transcript:DRNTG_10895.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSWELRLSHGHGQGRVVRPSMKEIGQCGSQCTDFGVNLAKNKSSTNVYTFEEASDPRRELMHCSNIVVCIVEALFTADRETREIENPHRRVEIIHARVEILHGRVEHPRPWSRPIPALFNTDSAPILVFFSPYFSQLARGLRLGFRGVLARFLERFYGSDIPRHLEEGYWESFRQHRSGEVYPRPDKGSLATSRGLSTRPLTRLSRGFLYRFIAFTFYFFDCT >DRNTG_11829.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:250024:253272:1 gene:DRNTG_11829 transcript:DRNTG_11829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVRGVVKAIKEKGFGNFIRELREEGYIRCLADGNLLKTKIYSLGATPVGVDKFGNKYYEKLEGTQFGRHRWVEYAQKGRYNASQVPPEWHGWLHYITDHTGDELLMLKPKRYSVEHKENFSGEGEEFIYHSKGHTLNPGQRDWTRYQSWQPAKKE >DRNTG_22544.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3693745:3701349:1 gene:DRNTG_22544 transcript:DRNTG_22544.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:root hair initiation protein root hairless 1 (RHL1) [Source:Projected from Arabidopsis thaliana (AT1G48380) TAIR;Acc:AT1G48380] MGKKKTPDELRPADPETEEKKRLRSLAVSQRLLRHSPANPSNPLQPSKSVLKLDGRDIAKRGQRRSRYLFSFPGLIAPLSGGKVGELADLATKNPKLYLEFPQGRMKLFGTHVYPKNKYLTLQLTRSSKGVVCEDCFESMIVFSDAWWIGLKEENPEEKRLEFPMEFKMGEHAGVDFKGGAGALPEEISDGNQPGKEYKAVSPNTVLEDDSQDDSYSLAEKNTGNAMETTPVRQSGRTAGRTQNYAELSSGDDSIANDDEVTEVMDVKVAGTMIKSAGKCEESSSLLKSNVDSKKKGTMVQATLSTLFGKVAEKKSKRQADESPRPKGRKRLRLDPEQMADQTPVRKLKKEPLSRRKKTGTVTGTLQKHSQDDDIEDISSESQDLNDSDEDWAAE >DRNTG_22544.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3693745:3701349:1 gene:DRNTG_22544 transcript:DRNTG_22544.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:root hair initiation protein root hairless 1 (RHL1) [Source:Projected from Arabidopsis thaliana (AT1G48380) TAIR;Acc:AT1G48380] MGKKKTPDELRPADPETEEKKRLRSLAVSQRLLRHSPANPSNPLQPSKSVLKLDGRDIAKRGQRRSRYLFSFPGLIAPLSGGKVGELADLATKNPKLYLEFPQGRMKLFGTHVYPKNKYLTLQLTRSSKGVVCEDCFESMIVFSDAWWIGLKEENPEEKRLEFPMEFKMGEHAGVDFKGGAGALPEEISDGNQPGKEYKAVSPNTVLEDDSQDDSYSLAEKNTGNAMETTPVRQSGRTAGRTQNYAELSSGDDSIANDDEVTEVMDVKIIDTKEITISQIGSSKVAGTMIKSAGKCEESSSLLKSNVDSKKKGTMVQATLSTLFGKVAEKKSKRQADESPRPKGRKRLRLDPEQMADQTPVRKLKKEPLSRRKKTGTVTGTLQKHSQDDDIEDISSESQDLNDSDEDWAAE >DRNTG_11143.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30364260:30366764:-1 gene:DRNTG_11143 transcript:DRNTG_11143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFLHHSHNQTHHSLLHILLHLHLHLHLHLQTHSHISMAKETNNENTNTQPQQPPWQELLGSKKWQGLLNPLNNSLLNLILHCGDLTQATYDTFISDDHSPYCGASRYNTSDLLNRVFFPSFFNYTIPSFLYATSQISLPSSFLLFSLSRESWSKESNWMGFIAVSSDSYALSTGRREIYVAFRGTIRDLEWLDVVQPLLTSVRPLLSTSAAQDDDDDPKIMKGWYTIYTSSDPKSPFSKASARCQLLSKIKQLVQLYKDEALSIVCVGHSLGASLAILSAFDIVENGLSKIENTSENFPVCAVVFGSPQIGNKAFNDRLEKLPMLNVLHVKNKIDMVPIYPSRVLGYVYSGVELVVDARKSPFLKDSKNPSDWHNLQGILHAVAGWNGEDGEFEMKVKRSVGLVNKSSEYLKDECLIPGSWWVEKNKGVVLGEDGEWKVEPLSEDDVPVPPSVEDIDLSFNTPEIESGEIMVAKVMKKKKQKKNRCGAGLPLCCSFIPCLGSEE >DRNTG_11143.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30364260:30366764:-1 gene:DRNTG_11143 transcript:DRNTG_11143.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTILLTIFSWAGWYTIYTSSDPKSPFSKASARCQLLSKIKQLVQLYKDEALSIVCVGHSLGASLAILSAFDIVENGLSKIENTSENFPVCAVVFGSPQIGNKAFNDRLEKLPMLNVLHVKNKIDMVPIYPSRVLGYVYSGVELVVDARKSPFLKDSKNPSDWHNLQGILHAVAGWNGEDGEFEMKVKRSVGLVNKSSEYLKDECLIPGSWWVEKNKGVVLGEDGEWKVEPLSEDDVPVPPSVEDIDLSFNTPEIESGEIMVAKVMKKKKQKKNRCGAGLPLCCSFIPCLGSEE >DRNTG_11949.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5213037:5216440:1 gene:DRNTG_11949 transcript:DRNTG_11949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNSSHPTYDRRSALRNRAPPVRWFKEWVPQDLAATGGKCSLLKWVTEDTLKALKEKTMEAEQRDLEPEPTTEVLFLCSYEGCGKTFIDAGALRKHAHIHGERQYICTYEGCGRKFLDSSKLKRHYLIHTGERDFVCPHEGCGKAFSLDFNLRAHMKTHSLENYHICPHKECGKKYTHECKLRTHIKMQHEKNTATDVAKHTPPGTKIQSTPKIPASAYGSASSDRPYVCPYEGCGKDYIHEYKLNLHLRREHPGHNSEENGKHTAAAATTDHDMDEASDQDAYIAKPTSVKNSKRKPSAVQKALPTKVANQRGAMTSTPPTTAAPATKKQWPSQPMYEEEDSEETEEEQDNGEEGWRYQEAIGDDEETEDED >DRNTG_00678.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15946002:15949739:-1 gene:DRNTG_00678 transcript:DRNTG_00678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIKVLFFTLFLAGAAAEVIDAGAISRFQQYLQINTAHPSPDYPSAVRFLESVASSIAGIRSETLEFVPGKPLLLLTWPGRDPSLTAVLLYSHTDVVPAEPSKWSHHPFSAAIGADGEIFARGSQDMKCISMQHLEAARRLSAAGFTPDRTVYFVFAPDEEIGGKDGAGALAASEKFREMRVGVVLDEGLASPGEEYRVFYAERVPRWLVIKAQGAPGHGAKLYDGSAMENLMKSVETIRRFRAAQFDMLKSGEKAEGEVVSVNFAFLKAGTPTPTGFVMNLQPSEAEVGLDIRVPPNVDIEALERRVAEEWAPSSRNMTFMFKQKASVVDKHGKPMLTVADSSNPWWTLLEEAVKKANGKLSKPEIFPASTDARFFRELGLPAFGFSPMANTPVLLHDHNEFLKQDEYLKGIEVFESIVKTFTSYKHQHKDDESRAEL >DRNTG_23935.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5833850:5835470:-1 gene:DRNTG_23935 transcript:DRNTG_23935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSTPCCPTCLQLPRDTLKLMISRMLVKNRVIQKVPGMSFIEINGIVHWFTAEGIATVSAMVDEENAGDAD >DRNTG_35032.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15323933:15324481:1 gene:DRNTG_35032 transcript:DRNTG_35032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYTSSTVSRSSSSVASAELEALLLTHPDITDAAVIPLQELNERPGSIRSDGPKYPGWWNSNSTGGRYSTTWAAATVLRLLQ >DRNTG_18134.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:520179:527300:-1 gene:DRNTG_18134 transcript:DRNTG_18134.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHRVYHRFSCSPPYSLTLLSHKLSPFSLPLHPPALLKLPLRPPSRPMRFHSVSASSRLPKLAPLASLSEKVVGDSNGSSTSEIEDTEGNGYCLPPKEIRDIVDAPPLPVLSFSPQRDKILFLKRRSLPPLSDLAKPEEKLAGIRIDGNCNARSRMSFYTGIGIHCLMDDGTLGPEKEVHGFPDGSKINFVSWSRDGHHLSFSIRGDEDDESNSKMKLWVADVETGNARPLFQSPDLYLNAIFDNFVWVDDSTLLVCTIPATRGAPPKKPLVPSGPKIQSNEEQSVIQVRTFQDLLKDEYDADLFDYYCTSQLVLVSLDGKMKPIGPPAVYTSMDPSPDRKYFMITSIHRPYSFIVPCGRFPKKVDLWTCNGKFVRELCDLPLAEDIPIAFNSVRKGKRSINWRADKPSTLYWAETQDGGDAKVEVSPRDIIYTEPAEIVNGEQPEVLHVLDLRYGGISWCDDSLALVYESWYKTRRTKTWVIAPGNKEITPRILFDRSSEDAYSDPGSPMMRRTDNGTYVIAKIKKEDEGTFLLLNGSGATPEGNVPFLDLFDINTGNKERIWESDKEKYFETVVALMSDNGEGELPIDQLKVLTSKESKTENTQYYIQSWPDKKAVQITNFPHPYPQLAALQKEMIKYQRKDGVQLTATLYLPPSYDPSKDGPLPCLVWSYPGEFKSKEAAGQVRGSPNEFAGIGPTSALLWLARGFAILSGPTIPIIGEGDEEANDRYVEQLVASAEAAVEEVIRRGVADSNKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATGTYVEMSPFMSANKIKKPILLIHGEEDNNSGTLTMQSDRFFNALKGHGALCRLVILPFESHGYSARESIMHVLWETDRWLQQYCVNNLEKSVSLDPTNDEAPKETKDAALSASGGGPNRGLEQDGSHLTPRSLL >DRNTG_18134.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:515901:527300:-1 gene:DRNTG_18134 transcript:DRNTG_18134.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHRVYHRFSCSPPYSLTLLSHKLSPFSLPLHPPALLKLPLRPPSRPMRFHSVSASSRLPKLAPLASLSEKVVGDSNGSSTSEIEDTEGNGYCLPPKEIRDIVDAPPLPVLSFSPQRDKILFLKRRSLPPLSDLAKPEEKLAGIRIDGNCNARSRMSFYTGIGIHCLMDDGTLGPEKEVHGFPDGSKINFVSWSRDGHHLSFSIRGDEDDESNSKMKLWVADVETGNARPLFQSPDLYLNAIFDNFVWVDDSTLLVCTIPATRGAPPKKPLVPSGPKIQSNEEQSVIQVRTFQDLLKDEYDADLFDYYCTSQLVLVSLDGKMKPIGPPAVYTSMDPSPDRKYFMITSIHRPYSFIVPCGRFPKKVDLWTCNGKFVRELCDLPLAEDIPIAFNSVRKGKRSINWRADKPSTLYWAETQDGGDAKVEVSPRDIIYTEPAEIVNGEQPEVLHVLDLRYGGISWCDDSLALVYESWYKTRRTKTWVIAPGNKEITPRILFDRSSEDAYSDPGSPMMRRTDNGTYVIAKIKKEDEGTFLLLNGSGATPEGNVPFLDLFDINTGNKERIWESDKEKYFETVVALMSDNGEGELPIDQLKVLTSKESKTENTQYYIQSWPDKKAVQITNFPHPYPQLAALQKEMIKYQRKDGVQLTATLYLPPSYDPSKDGPLPCLVWSYPGEFKSKEAAGQVRGSPNEFAGIGPTSALLWLARGFAILSGPTIPIIGEGDEEANDRYVEQLVASAEAAVEEVIRRGVADSNKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATGTYVEMSPFMSANKIKKPILLIHGEEDNNSGTLTMQSDRFFNALKGHGALCRLVILPFESHGYSARESIMHVLWETDRWLQQYCVNNLEKSVSLDPTNDEAPKETKDAALSASGGGPNRGLEQDGSHLTPRSLL >DRNTG_25715.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17273227:17273704:1 gene:DRNTG_25715 transcript:DRNTG_25715.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRKWTYDRNLPGQKGLTDGFIAGVDEFIQFAVSQDDSYKQGEDIRTLCFKCKNTLFLHLDDVVLHLYLRGFKLCYWNWTCHGEELFPVNEELNEDREIKQTE >DRNTG_25715.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17269673:17274054:1 gene:DRNTG_25715 transcript:DRNTG_25715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRKWTYDRNLPGQKGLTDGFIAGVDEFIQFAVSQDDSYKQGEDIRTLCFKCKNTLFLHLDDVVLHLYLRGFKLCYWNWTCHGEELFPVNEELNEDREIKQTE >DRNTG_18610.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22276369:22279627:1 gene:DRNTG_18610 transcript:DRNTG_18610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNTSFVEFPPVHQEMNADQCPPAKKPGPVSMDHVLLALRETREQRESRIRGLFNFFDSAGLGYLDYAQIEAGLSTMRIPAEYRYARDLLKVCDANRDGRVDYLEFRRYMDEKELDLYRIFEAIDVEHNGCILPEELLEALAKAGIEIGDEELAHFVEHVDKDNNGIITFEEWRDFLLLDPHEATIENIYHYWERVCLVDIGEQAVIPQGISKHVNASKYLIAGGVAGAISRTATAPLDRLKVVMQVQTTRAQLVPAIKDIWRDSRFLGFFRGNGLNVMKVAPESAIRFYTYEMLKDFIVSVRGEDKSDIGTSGRLIAGGLAGAVAQTVIYPLDLVKTRLQTYVCEAGKVPKIGKLSKDIWIQEGPRAFYRGLIPSVIGMIPYAGIDLAAYETLKDISRTYLLNDNGMFSYYNYYYCFSYVKCFFLLDYSYVTFFL >DRNTG_18610.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22276369:22279627:1 gene:DRNTG_18610 transcript:DRNTG_18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNTSFVEFPPVHQEMNADQCPPAKKPGPVSMDHVLLALRETREQRESRIRGLFNFFDSAGLGYLDYAQIEAGLSTMRIPAEYRYARDLLKVCDANRDGRVDYLEFRRYMDEKELDLYRIFEAIDVEHNGCILPEELLEALAKAGIEIGDEELAHFVEHVDKDNNGIITFEEWRDFLLLDPHEATIENIYHYWERVCLVDIGEQAVIPQGISKHVNASKYLIAGGVAGAISRTATAPLDRLKVVMQVQTTRAQLVPAIKDIWRDSRFLGFFRGNGLNVMKVAPESAIRFYTYEMLKDFIVSVRGEDKSDIGTSGRLIAGGLAGAVAQTVIYPLDLVKTRLQTYVCEAGKVPKIGKLSKDIWIQEGPRAFYRGLIPSVIGMIPYAGIDLAAYETLKDISRTYLLNDNEPGPLVQLGCGTVSGALGATCVYPLQVIRTRMQAQRTNSSAAYKGMSDVFWRTLHHEGFTGFYKGILPNLLKVVPSASITYLVYESMKKNLSLD >DRNTG_15237.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23412603:23417686:-1 gene:DRNTG_15237 transcript:DRNTG_15237.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate--CoA ligase 3 [Source:Projected from Arabidopsis thaliana (AT1G65060) UniProtKB/Swiss-Prot;Acc:Q9S777] MAASFLGATTTTANPFYTPSEISKQFNASNAKLIVTQSLYTDKLQDLNTTIIITDDDNNNINTNNQQCFNFNSIIQDADENSIPEITINPNDPVALPFSSGTTGLPKGVILTHKSLISSVAQQVDGENPNFHLKGKEDVVLCVLPMFHIFSLNSVLLCSLRAGAGVLIMPKFEIGLMLELIERHRVTVAAVVPPLVLALAKSTMVEKYDLSSIRIVLSGAAPLGKELEQALHRRLPHAVFGQGYGMTEAGPVLSMCSAFAKQPVPAKSGSCGTVVRNAELKIIDPETGFSLGRNKPGEICIRGPQIMKGYLNDPEATASTIDVEGWLHTGDIGYIDDDDEVFIVDRVKELIKFKGFQVPPAELEALLISHPAIADAAVVPQKDEVAGEVPVAFIVRAKDSDLTEEVVKEFIAKQVVFYKRLQTVYFIHAIPKSPSGKILRKDLRAKLANSSS >DRNTG_14390.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:522581:529935:1 gene:DRNTG_14390 transcript:DRNTG_14390.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G10490) UniProtKB/Swiss-Prot;Acc:Q56X46] MSGFRPKIEGYEVSGSVEHVGWWSPTIIRGEDREAVHIPNHKFTVNVVRNLSQKSHWRIKTHLAISHLDVNKINNIVADMRKVLAKNPQVEQQRLHRRVFLDNIDPENQALRILVSCFVKTSHFEEYLCVKEAILLDLLRVISHHRARLATPIRTVQKIYGDGDIENVPFAETILNRSGSVTNRPLLLIESPARINGDDKVKPRTTPKSNSDEQSTKSSTGASDAKTTSVPEATSPVTTEKQQQHQQKKTNAGEVSPKSSKAETSAAPPASSSTTRSQLEGSESVGLGPKSSNEKPPPPPPTSSEVANSEKPSPPAARPVLEDNIVLGVALEGSKRTLPIEEGMGPADAKELAALRNGNGSSSSTKDKKEGPVPPVPGAVAGDQRGDHDR >DRNTG_14390.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:522581:529935:1 gene:DRNTG_14390 transcript:DRNTG_14390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G10490) UniProtKB/Swiss-Prot;Acc:Q56X46] MSGFRPKIEGYEVSGSVEHVGWWSPTIIRGEDREAVHIPNHKFTVNVVRNLSQKSHWRIKTHLAISHLDVNKINNIVADMRKVLAKNPQVEQQRLHRRVFLDNIDPENQALRILVSCFVKTSHFEEYLCVKEAILLDLLRVISHHRARLATPIRTVQKIYGDGDIENVPFAETILNRSGSVTNRPLLLIESPARINGDDKVKPRTTPKSNSDEQSTKSSTGASDAKTTSVPEATSPVTTEKQQQHQQKKTNAGEVSPKSSKAETSAAPPASSSTTRSQLEGSESVGLGPKSSNEKPPPPPPTSSEVANSEKPSPPAARPVLEDNIVLGVALEGSKRTLPIEEGMGPADAKELAALRNGNGSSSSTKDKKEGPVPPVPGAVAGDQRGDHDR >DRNTG_14390.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:522581:529935:1 gene:DRNTG_14390 transcript:DRNTG_14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G10490) UniProtKB/Swiss-Prot;Acc:Q56X46] MSGFRPKIEGYEVSGSVEHVGWWSPTIIRGEDREAVHIPNHKFTVNVVRNLSQKSHWRIKTHLAISHLDVNKINNIVADMRKVLAKNPQVEQQRLHRRVFLDNIDPENQALRILVSCFVKTSHFEEYLCVKEAILLDLLRVISHHRARLATPIRTVQKIYGDGDIENVPFAETILNRSGSVTNRPLLLIESPARINGDDKVKPRTTPKSNSDEQSTKSSTGASDAKTTSVPEATSPVTTEKQQQHQQKKTNAGEVSPKSSKAETSAAPPASSSTTRSQLEGSESVGLGPKSSNEKPPPPPPTSSEVANSEKPSPPAARPVLEDNIVLGVALEGSKRTLPIEEGMGPADAKELAALRNGNGSSSSTKDKKEGPVPPVPGAVAGDQRGDHDR >DRNTG_10973.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1081326:1083122:-1 gene:DRNTG_10973 transcript:DRNTG_10973.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVTGLHFIDRLIYPMAFSVLVIMVKQMTSLCMVLFLWQGRLKSVEQELELLRNLLTMNLFGVQMTGMAISMFLVVTSGFHCHLKVIKLLAMLSLKSPDKPSLEEVRCVQSDLTETCETKDLIFKSEAGLFEFQVWKSRPCCRGMWAKGLPVGTFCCSSYNFSGEMVDVSCLKNLDSSLYAMPNLEQINALISHYGPTVFFHPKEVYLPSSVSWFFKNGATLHRNGKTLGEPIDIEGSNLPSGGVNDGEYWIDLPDDDRNSYVKHGDMDSAELYAHVKPALGGTFTDIAMWVFCPFNGPATIKIGLLNFALHKIGQHVSDWEHFTLRISNFTGELWGIYFSQHSGGEWVSVCDLEFIKDNKAIVYSSKSGHASFPHPGNYLQGSEKLGIGVRNDAASSNFSVDSSVKYQIVAAEYLADVVTEPCWLQFMREWGPTITYNSRSDLDKIIGFLPINLRFSVETIFDKLPMELYGEEGPTGPKEKNNWEGDERW >DRNTG_10973.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1081771:1083838:-1 gene:DRNTG_10973 transcript:DRNTG_10973.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVTGLHFIDRLIYPMAFSVLVIMVKQMTSLCMVLFLWQGRLKSVEQELELLRNLLTMNLFGVQMTGMAISMFLVVTSGFHCHLKVIKLLAMLSLKSPDKPSLEEVRCVQSDLTETCETKDLIFKSEAGLFEFQVWKSRPCCRGMWAKGLPVGTFCCSSYNFSGEMVDVSCLKNLDSSLYAMPNLEQINALISHYGPTVFFHPKEVYLPSSVSWFFKNGATLHRNGKTLGEPIDIEGSNLPSGGVNDGEYWIDLPDDDRNSYVKHGDMDSAELYAHVKPALGGTFTDIAMWVFCPFNGPATIKIGLLNFALHKIGQHVSDWEHFTLRISNFTGELWGIYFSQHSGGEWVSVCDLEFIKDNKAIVYSSKSGHASFPHP >DRNTG_10973.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1081771:1083890:-1 gene:DRNTG_10973 transcript:DRNTG_10973.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVTGLHFIDRLIYPMAFSVLVIMVKQMTSLCMVLFLWQGRLKSVEQELELLRNLLTMNLFGVQMTGMAISMFLVVTSGFHCHLKVIKLLAMLSLKSPDKPSLEEVRCVQSDLTETCETKDLIFKSEAGLFEFQVWKSRPCCRGMWAKGLPVGTFCCSSYNFSGEMVDVSCLKNLDSSLYAMPNLEQINALISHYGPTVFFHPKEVYLPSSVSWFFKNGATLHRNGKTLGEPIDIEGSNLPSGGVNDGEYWIDLPDDDRNSYVKHGDMDSAELYAHVKPALGGTFTDIAMWVFCPFNGPATIKIGLLNFALHKIGQHVSDWEHFTLRISNFTGELWGIYFSQHSGGEWVSVCDLEFIKDNKAIVYSSKSGHASFPHP >DRNTG_10973.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1081326:1083838:-1 gene:DRNTG_10973 transcript:DRNTG_10973.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVTGLHFIDRLIYPMAFSVLVIMVKQMTSLCMVLFLWQGRLKSVEQELELLRNLLTMNLFGVQMTGMAISMFLVVTSGFHCHLKVIKLLAMLSLKSPDKPSLEEVRCVQSDLTETCETKDLIFKSEAGLFEFQVWKSRPCCRGMWAKGLPVGTFCCSSYNFSGEMVDVSCLKNLDSSLYAMPNLEQINALISHYGPTVFFHPKEVYLPSSVSWFFKNGATLHRNGKTLGEPIDIEGSNLPSGGVNDGEYWIDLPDDDRNSYVKHGDMDSAELYAHVKPALGGTFTDIAMWVFCPFNGPATIKIGLLNFALHKIGQHVSDWEHFTLRISNFTGELWGIYFSQHSGGEWVSVCDLEFIKDNKAIVYSSKSGHASFPHPGNYLQGSEKLGIGVRNDAASSNFSVDSSVKYQIVAAEYLADVVTEPCWLQFMREWGPTITYNSRSDLDKIIGFLPINLRFSVETIFDKLPMELYGEEGPTGPKEKNNWEGDERW >DRNTG_10973.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1081326:1081709:-1 gene:DRNTG_10973 transcript:DRNTG_10973.6 gene_biotype:protein_coding transcript_biotype:protein_coding MREWGPTITYNSRSDLDKIIGFLPINLRFSVETIFDKLPMELYGEEGPTGPKEKNNWEGDERW >DRNTG_02132.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28822913:28823368:1 gene:DRNTG_02132 transcript:DRNTG_02132.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor 1B [Source:Projected from Arabidopsis thaliana (AT3G23240) UniProtKB/Swiss-Prot;Acc:Q8LDC8] MASSSSSSFETLSSYTEPSEKKKNMTYRGVRRRPWGKFAAEIRDSTRNGVRVWLGTFESAEEAAMAYDQAAFSMRGPRAMLNFPVEKVVESLKSLGCGEEEDDYGNCSPAMALKRKHSMRRRRRRSERLVLEDLGSQYLEELLSASLMDSC >DRNTG_32264.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:7171035:7171463:1 gene:DRNTG_32264 transcript:DRNTG_32264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIAAFLSSIHPHRHVEISNTRVALQGKPTRAEFSHKRGSSQGPFIWPDARPCVFSGSTGARGNHPSPCVCHSVIHRGKSMPLCLLGKNAKSLQDNTRRAEIPHGHPFSLDT >DRNTG_33909.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15156552:15157388:1 gene:DRNTG_33909 transcript:DRNTG_33909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFREKSLSHCRMTNAHVEITHERGPSQDLSQGRVHAAVSSLDELAVETTRSHP >DRNTG_02753.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000126.1:91858:96235:1 gene:DRNTG_02753 transcript:DRNTG_02753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRSDTMEAIQFWAFGHPFSMSVTEFSIWMGLYDVAYTGTVEYGRLLADFPVSVTPHHMYRILCGHGQYEPGISKMTSLSRLRYRYLHAVISRSVSGRADNTTALTRQDLPNLYSMARISLSGLMLLHRSGSI >DRNTG_31597.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:627081:628605:-1 gene:DRNTG_31597 transcript:DRNTG_31597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSITNLLLFLSICLTTCLALPSDFSILGYSEDDLKTEDRSIDLFESWLAKHGKSYASFEEKWRRFEVFLDNLKHINERNKQISSYFLGLNEFADMTHEEFKAKYLGLNRPQLPARTRDGNPLNFKYENAANLPKSMDWRKKGAVTAVKNQGACGSCWAFSTVAAVEGINQIVTGNLTSLSEQELIDCDTEFNSGCNGGLMDYAFSYIASKGGLHTEDDYPYIMEEGTCDEKRGELEVVTIEGYEDVPQNNEQSLIKALAHQPVSVAIEASGRDFQFYSGGVYDGHCGSELDHGVAAVGYGTSKGQDYIIVKNSWGPKWGEKGYIRMKRNTGKPEGLCGINKMASYPTKKK >DRNTG_23912.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001282.1:25535:25795:-1 gene:DRNTG_23912 transcript:DRNTG_23912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSATMATSICRSSPLFKEERNKNRRCRWRQASQRGKASRRYGDNVGDMSVVSSAAAR >DRNTG_15734.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11618315:11619357:-1 gene:DRNTG_15734 transcript:DRNTG_15734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLFRCGHRLLRPIPSTATIRISGCFYSQPTAARKEEEHEIFDQRKLPADYDPANFDPTDHRGPPTARVWRLVDEVSSLTLSEVTDLSSILASKLRMKEPPVIAVMNSGTGFAGGISPGGNEAGVTKEDKKQEKTVFELKLESFEVASKIKVIKEVRGFTDLGLKEAKELVEKTPIVIKGGVSKEEGQQIIEKMKAVGAKVVLE >DRNTG_27450.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28787604:28793820:-1 gene:DRNTG_27450 transcript:DRNTG_27450.3 gene_biotype:protein_coding transcript_biotype:protein_coding METRNQELKKMEETLKGFLRETVEKQAEKHDKDMQEMREKQDRDINGLRTLLLNLQPRGSDPVPSAAGGPGRTEKELSYLKSIRPKLTKLEFPRFNGDHIYDWLFKCEQFFEFDETPDGIKVKIASLHLEGAALQWHQNYLRSKGGVNSPSWEEYITQLDTRFGSELHYDPMEELKDLKQLGSVHSYLIKFEELLNRIELSDGHILSLFMGGLKGEIKDTIKLLRPANLQEAISLAKIQEIAIENALKRGKPVLRMGSVPSLPNSKKFPGSNSVEDTNKNSKGMGFRPFKKLSSGELEEKRRKGLCYWCDEKYTAGHTCKMKKLYSLEVVGDDEIDEEEEIDINESREDVEPNEETSAIISMKALSGIQTLADYNTMKVSGSVNGQKIHILIDTGSTHNFIDLFTAQQLGCKMVDHSPMTVVVANGEKLKCDKGCSELRWKMQGVEFKSDLMVLPLEGCQMVLGIQWLILLGSILWNFKELRMEFRVNNKRVVLRGSPQPRMQMMQCKSLIKSLHLPPELCQAKLCSLVVIPESQSEERRPNQERVSLPAVNQAQLDQLLQSYEDIFREVDQLPPVRSHDHKIILKEGTNPISVRPYRYPAFQKNIIEDMVKEMMSKGIIRPSLSPFSAPIVLVRKKDNSWRLCIDYRAINEKTVKDKFPIPLIEELLDELHGAEYFSKLDLRSGYHQIRMDEQDVNKTAFRTHEGHYEFLVMPFGLTNAPSTFQSLMNKIFKEFLRKFVLVFFDDILVYSNSWEIHLQHLEAVLEVLRSNQLYAKKSKCTFATTKIDYLGHVITRQGVEMDPTKIEAIDSWPIPKNIRELRAFLGLTGYYRRFIKGYGLLSRPLTELLKKNNFNWNEDSTKAFYELRKMMVTKPLLALPNFKEEFVVETDACDEGVGAVLMQHGNPIAYMSKALAERHKPLSIYEKEMLAIVLALQKWRPYLLGRHFKIKTDHQSLKFLIQQRISTPMQQKWLAKLMGYDFEIIYKKGKDNVVADALSRRPSLAVLSSVKSELWEKIQKQWEEDPELKQIIQQLQQGDQSYQHFEWAQEQLKRKGKLVIGSDNAIKQLILQEFHDSSAGGHSGAEATRRRISDHFYWKGMKRDVKEYVRCCVICQQNKPDNTSPAGLLQPLPIPEGIWEDISMDFITGLPNSHGKDAILVIVDRMSKYAHFIALSHPYTAISVAQLFLDQFYRLHGLPKSIVSDRDPIFLSQFWKELFKLQGVKLNYSTAYHPQSDGQTEVVNRCLEQYLRCMTGEKPKDWGKWLPLAEWWYNSSYHSSIKVSPFEAVYGKSPPLHLPYKTTSSKLELLDRSLLSRENMLKLLKENLNKARNRMKQIADKRRTDKELEVGDTVYIRLKPYRQMSVAQRVNHKLSPKFFGPYRVMERVGAVAYRLQLPAEARIHNVFHISQLKKKIGDQVATANWPNFVNETAERHKTPIAILDRQLAKRFNKAGVKVLVHWSDSSPEEATWEFFDVLQKRFPDFCSLNP >DRNTG_27450.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28779095:28793820:-1 gene:DRNTG_27450 transcript:DRNTG_27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRNQELKKMEETLKGFLRETVEKQAEKHDKDMQEMREKQDRDINGLRTLLLNLQPRGSDPVPSAAGGPGRTEKELSYLKSIRPKLTKLEFPRFNGDHIYDWLFKCEQFFEFDETPDGIKVKIASLHLEGAALQWHQNYLRSKGGVNSPSWEEYITQLDTRFGSELHYDPMEELKDLKQLGSVHSYLIKFEELLNRIELSDGHILSLFMGGLKGEIKDTIKLLRPANLQEAISLAKIQEIAIENALKRGKPVLRMGSVPSLPNSKKFPGSNSVEDTNKNSKGMGFRPFKKLSSGELEEKRRKGLCYWCDEKYTAGHTCKMKKLYSLEVVGDDEIDEEEEIDINESREDVEPNEETSAIISMKALSGIQTLADYNTMKVSGSVNGQKIHILIDTGSTHNFIDLFTAQQLGCKMVDHSPMTVVVANGEKLKCDKGCSELRWKMQGVEFKSDLMVLPLEGCQMVLGIQWLILLGSILWNFKELRMEFRVNNKRVVLRGSPQPRMQMMQCKSLIKSLHLPPELCQAKLCSLVVIPESQSEERRPNQERVSLPAVNQAQLDQLLQSYEDIFREVDQLPPVRSHDHKIILKEGTNPISVRPYRYPAFQKNIIEDMVKEMMSKGIIRPSLSPFSAPIVLVRKKDNSWRLCIDYRAINEKTVKDKFPIPLIEELLDELHGAEYFSKLDLRSGYHQIRMDEQDVNKTAFRTHEGHYEFLVMPFGLTNAPSTFQSLMNKIFKEFLRKFVLVFFDDILVYSNSWEIHLQHLEAVLEVLRSNQLYAKKSKCTFATTKIDYLGHVITRQGVEMDPTKIEAIDSWPIPKNIRELRAFLGLTGYYRRFIKGYGLLSRPLTELLKKNNFNWNEDSTKAFYELRKMMVTKPLLALPNFKEEFVVETDACDEGVGAVLMQHGNPIAYMSKALAERHKPLSIYEKEMLAIVLALQKWRPYLLGRHFKIKTDHQSLKFLIQQRISTPMQQKWLAKLMGYDFEIIYKKGKDNVVADALSRRPSLAVLSSVKSELWEKIQKQWEEDPELKQIIQQLQQGDQSYQHFEWAQEQLKRKGKLVIGSDNAIKQLILQEFHDSSAGGHSGAEATRRRISDHFYWKGMKRDVKEYVRCCVICQQNKPDNTSPAGLLQPLPIPEGIWEDISMDFITGLPNSHGKDAILVIVDRMSKYAHFIALSHPYTAISVAQLFLDQFYRLHGLPKSIVSDRDPIFLSQFWKELFKLQGVKLNYSTAYHPQSDGQTEVVNRCLEQYLRCMTGEKPKDWGKWLPLAEWWYNSSYHSSIKVSPFEAVYGKSPPLHLPYKTTSSKLELLDRSLLSRENMLKLLKENLNKARNRMKQIADKRRTDKELEVGDTVYIRLKPYRQMSVAQRVNHKLSPKFFGPYRVMERVGAVAYRLQLPAEARIHNVFHISQLKKKIGDQVATANWPNFVNETAERHKTPIAILDRQLAKRFNKAGVKVLVHWSDSSPEEATWEFFDVLQKRFPDFCSLNP >DRNTG_27450.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28784402:28793820:-1 gene:DRNTG_27450 transcript:DRNTG_27450.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRNQELKKMEETLKGFLRETVEKQAEKHDKDMQEMREKQDRDINGLRTLLLNLQPRGSDPVPSAAGGPGRTEKELSYLKSIRPKLTKLEFPRFNGDHIYDWLFKCEQFFEFDETPDGIKVKIASLHLEGAALQWHQNYLRSKGGVNSPSWEEYITQLDTRFGSELHYDPMEELKDLKQLGSVHSYLIKFEELLNRIELSDGHILSLFMGGLKGEIKDTIKLLRPANLQEAISLAKIQEIAIENALKRGKPVLRMGSVPSLPNSKKFPGSNSVEDTNKNSKGMGFRPFKKLSSGELEEKRRKGLCYWCDEKYTAGHTCKMKKLYSLEVVGDDEIDEEEEIDINESREDVEPNEETSAIISMKALSGIQTLADYNTMKVSGSVNGQKIHILIDTGSTHNFIDLFTAQQLGCKMVDHSPMTVVVANGEKLKCDKGCSELRWKMQGVEFKSDLMVLPLEGCQMVLGIQWLILLGSILWNFKELRMEFRVNNKRVVLRGSPQPRMQMMQCKSLIKSLHLPPELCQAKLCSLVVIPESQSEERRPNQERVSLPAVNQAQLDQLLQSYEDIFREVDQLPPVRSHDHKIILKEGTNPISVRPYRYPAFQKNIIEDMVKEMMSKGIIRPSLSPFSAPIVLVRKKDNSWRLCIDYRAINEKTVKDKFPIPLIEELLDELHGAEYFSKLDLRSGYHQIRMDEQDVNKTAFRTHEGHYEFLVMPFGLTNAPSTFQSLMNKIFKEFLRKFVLVFFDDILVYSNSWEIHLQHLEAVLEVLRSNQLYAKKSKCTFATTKIDYLGHVITRQGVEMDPTKIEAIDSWPIPKNIRELRAFLGLTGYYRRFIKGYGLLSRPLTELLKKNNFNWNEDSTKAFYELRKMMVTKPLLALPNFKEEFVVETDACDEGVGAVLMQHGNPIAYMSKALAERHKPLSIYEKEMLAIVLALQKWRPYLLGRHFKIKTDHQSLKFLIQQRISTPMQQKWLAKLMGYDFEIIYKKGKDNVVADALSRRPSLAVLSSVKSELWEKIQKQWEEDPELKQIIQQLQQGDQSYQHFEWAQEQLKRKGKLVIGSDNAIKQLILQEFHDSSAGGHSGAEATRRRISDHFYWKGMKRDVKEYVRCCVICQQNKPDNTSPAGLLQPLPIPEGIWEDISMDFITGLPNSHGKDAILVIVDRMSKYAHFIALSHPYTAISVAQLFLDQFYRLHGLPKSIVSDRDPIFLSQFWKELFKLQGVKLNYSTAYHPQSDGQTEVVNRCLEQYLRCMTGEKPKDWGKWLPLAEWWYNSSYHSSIKVSPFEAVYGKSPPLHLPYKTTSSKLELLDRSLLSRENMLKLLKENLNKARNRMKQIADKRRTDKELEVGDTVYIRLKPYRQMSVAQRVNHKLSPKFFGPYRVMERVGAVAYRLQLPAEARIHNVFHISQLKKKIGDQVATANWPNFVNETAERHKTPIAILDRQLAKRFNKAGVKVLVHWSDSSPEEATWEFFDVLQKRFPDFCSLNP >DRNTG_27450.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28779095:28783755:-1 gene:DRNTG_27450 transcript:DRNTG_27450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYDQRLSFFSSRSGIEALYGKLDHLRLSLSLSGSEDSSDSRESRDRFLSYDRADPLTADDSPLVKSSGGKSDGSGINGALPPLLTPHTLSSPKLTSSEGTSDGGGLRPPVLTPPPVPLPRLKQVLPLPPSRQTLPPLPPSRQTLLPLPPSRQTLPPPSMVLPVLEKMGSTWDLLRSFGPDDDEEERKYVDLVDKVVEDEWALEFRMGETFGDMMGSCSYSTSNDDDSSSTIFVISPNGRFKRSINSWMRGRLLGSGSFGTVYEGINDDGFFFAVKEISLLDQRSNANQCIIELEQEITFLSQFEHDNIVQYFGSDKEEAKLYIFLELVSQGSLASLYQRNHLPDSQVSTYTRQILSGLKYLHDRNVVHRDIKCANILVSSNGSVKLADFGLAKEITKLNVLKSCKGSVYWMAPEVVNPKSSYGTAADIWSLGCTVLEMLTRQVPYPNLEWPQAFFKIGRGEKPPIPNILSRDARDFILKCVQFNPEDRPTASELMEHPFIKTSASTSTD >DRNTG_07332.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23433636:23436930:-1 gene:DRNTG_07332 transcript:DRNTG_07332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERVSREVAEHPLSPPVVGMSSAVGVDADDSMYPIAWATGRSEASVSQNAGQTEEGHTNPMDNIDSQVLDEHFTMVASLIRGDQHHSTTNQPQFSQEPMSQVINAANQGQSKRGFPAESRLPRQKMHTIGGKANTS >DRNTG_27987.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:10942987:10946700:-1 gene:DRNTG_27987 transcript:DRNTG_27987.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMDANTDERDPTIEAHDVDDIQEEMFDEIYRKATQDPNQSVNSNEPELPSKNTMHVDLNPVERSTEEIQNQVTNNNAVEADKIQITEEQRVRMEANRLKAIERAAARARLSQAS >DRNTG_27987.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:10942987:10946291:-1 gene:DRNTG_27987 transcript:DRNTG_27987.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMDANTDERDPTIEAHDVDDIQEEMFDEIYRKATQDPNQSVNSNEPELPSKNTMHVDLNPVERSTEEIQNQVTNNNAVEADKIQITEEQRVRMEANRLKAIERAAARARLSQAS >DRNTG_06449.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29937910:29940035:1 gene:DRNTG_06449 transcript:DRNTG_06449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSMSIYKNVLDWDDSAALEAFQKAKAQFWAKYNGLRSDVSLPDPDMYIDKVNYDAIIDPELIEDLYKEPPAPANQVATGNDGLDSFLATDKPIPATGWDDAEDNAPSGVFAALPRLNNWDVHIDKPFRQNEASDANNTCSAWDVNPVKLEDNGDRGGNKWSCNDGWTDGFARNEEWGSKQHSLWDNRVDKSWGPCQSNNESGGRHSRKRYRGGRFGSRFQIDDYQKNNNSWRNCRGRNNRSHSNEHTFDTWQSRNNQEPHDSVAAWD >DRNTG_06449.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29938510:29940035:1 gene:DRNTG_06449 transcript:DRNTG_06449.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSMSIYKNVLDWDDSAALEAFQKAKAQFWAKYNGLRSDVSLPDPDMYIDKVNYDAIIDPELIEDLYKEPPAPANQVATGNDGLDSFLATDKPIPATGWDDAEDNAPSGVFAALPRLNNWDVHIDKPFRQNEASDANNTCSAWDVNPVKLEDNGDRGGNKWSCNDGWTDGFARNEEWGSKQHSLWDNRVDKSWGPCQSNNESGGRHSRKRYRGGRFGSRFQIDDYQKNNNSWRNCRGRNNRSHSNEHTFDTWQSRNNQEPHDSVAAWD >DRNTG_02738.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19811635:19812668:-1 gene:DRNTG_02738 transcript:DRNTG_02738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLPYDYIVVGGGTAGCPLAATLSEKFRVLVLERGGSPYGNANISRLENYDINLAHSTPTSPVQRFLSTDGVFNHRARVLGGNTCINGGFYSRAQPRFVSSLFLNFEIIILLFIYQVKGFF >DRNTG_11335.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23637580:23644452:1 gene:DRNTG_11335 transcript:DRNTG_11335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVIAARRGELLLIYIELYIAGGICDSCVHEIEGSLNPFQGFRKGLLISLRRCGKPRNERVSHFVDYSKMRHGYGSLLTSVLDDQNYKKDNQLLTYIVHVRRPMHHELLSDEDLEHWHRSFLPNTTLDTGEQRLVHSYRHAISGFAARITLEEVKAMESMEGFLIARPSKTLKLATTHTPNFLGLNRQSGLWPDSFMGSGVIIGVIDSGITPNHASFIYDGTMPPKPLKWSGTCGFHNKTLCNNKLIGALGFSGTRRPSPKDDKKDGHGTHVAGIAAGNFVDNADILGLAKGTASGIAPKAHLATYKVCDKKGCSGTDVAAAIDEAIKNGVDIINLSLGDLNHSPFYDDDIMIATFSAVREKIFVCMVAGNTGPYPSSLWDNGAPWILTVGASSHDRRFKGTVRLGNLVEVEGEFGYQPSTFNATGNMIFPGYPGHNGTLGCKNTSFNNVEVKGKIVLCSMEGGSYKDMSINVKDAGGAGMIVLNGFFRGSTTFADDHVVPTVHVNYSTAVKIVDYFLNSTSMATATIAFNGTKFGARPCPTVSYFSSRGPHAYNGGIIKPDILGPGVNILSSFPSAPGPFPNLPSGSFFKSMSGTSTAAPHLAGIAALLKNTHKNWSTSAIRSTIMTTANRIDLDGNPIFDDAYQDYAKLADIGSGQVNPLIANDPGLIYDISTDHYLQYLCGLGYNDTQLSIVAGNSLQCSVVGSIAPENLNYPSISISLNSSTMKSIHRTLTNVGDANELYNIDVEEPNGIRVVVSPASIQFSSIGEEKNITLEFSSKGMPLIKDHVWDGQLKLDSGKHFVRSPISVTII >DRNTG_08047.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30299594:30303150:1 gene:DRNTG_08047 transcript:DRNTG_08047.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLDH [Source:Projected from Arabidopsis thaliana (AT3G47930) UniProtKB/TrEMBL;Acc:A0A178VN92] MVSSASMLDILCFCSDVALPRTTPSPCRRTRSTRKLRFFAMPLSLTTSIRSLTGVAPMRLVPVLFSSRSPSGTSRLLCGMLIRASRRSGLLDRDFRRMGLGLQRAGMINLALMDKVLEVDREKKRVRVQAGIRVSQLVDELKDHGLTLQNFASIREQQIGGIIQVGAHGTGASLPPIDEQIVSMKLVTPAKGTIEISKEQNPELFYLARCGLGGLGVVAEVTIQCVDRHELLEHTFVSHLKEIRKNHKRWLAENMHVKYLWIPYTDTIVVVQCNPLSNWRNPPKFKPKYSEDEAVQHVRDLYRDSLRKYRAEESADINQLSFTELRDKLIALDPLNKDHIIKVNQAEAEFWRKVRGISSWLE >DRNTG_34070.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002082.1:82098:82638:1 gene:DRNTG_34070 transcript:DRNTG_34070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRPDRRRTWIKAPRSGGSGKRGGRRRRRRRSRRRSGGGRSRSCGGRDGGGRRSRRRRGRRRRGTEAARKPLR >DRNTG_08107.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13621653:13624018:1 gene:DRNTG_08107 transcript:DRNTG_08107.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWRSLYSSKGPFVWPRIHLAGSVPTKALPLTFFQTERNVRAVEPLPIPSRRPLSSILLKVLI >DRNTG_09226.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000396.1:14836:15873:1 gene:DRNTG_09226 transcript:DRNTG_09226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLRSGHELESKLPIEKVMVESPKEAEVLDRVSLDFHDSLDFH >DRNTG_05525.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000246.1:11598:20878:-1 gene:DRNTG_05525 transcript:DRNTG_05525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPISTQDGDMQESMPVEKTIELIPPMEPTPFMNQIRMNKDSILHLPPLTHHIIPNLNKDSLTCLSPSTSNPHYPSTTVHPCAILEDLHSLSLHHHPQTHVQVECHINNPRESLTCVRKKVGRGASVEAYPIPDEGILGRRVEDFPQDHRHDYRGGHAPSDCPISIGDVSSVENVDFVGNGMRSQGNPYSNTYNLGWKNHPNFSWSNQGPQKAMGPPGFQQQQQTSQVENRVSGLETRMNDLEKHLTRFVQFANTRFESVEATLRNHTVSLHNLENQVGQIAKSLSEWPHGSLPSNTETNPREHVKAITLRSGREVEGRLPNTTDEIVDEYMQEMLNPDPYEGLFDQEEDYEEVMMLGSTEEVPFTPGILKKVLRKMKRARRRHQKHSKAVGDIRKPKELDEPSLGGPKPDNSPSILKTLCTSCFQVMGKRATFIYEPPAGSECVNMFRNFLQSLQVILRHPVKTHGLVEFLHARGFVSRAHPEKAQGRVATPVNDHANIARPWVISARACEFLQRSPLHPEETKGRVGALVSWACECPRPCGISALACGTLSDFSRMSREATGACCCPCGSGARAWVFSARPYESVQRQCEFLPKAHRGVHLPMRSSLDESFRIYFIGNLFILFSSPTLVFHRLNICMAPRVKKNEVKRLRVTSPEPIHIDIQRGDELADEIDDMLAVGSWRRLLTIRESAHRALTLEVLASFELRYGIIDTMDAIQFRAFGHSFSMSVTEFSIRMGLYDVSYTSTEEYGRLPVNFPVSVTPNYVYRVLCGHGQIELGMSKATSLSRLSYRCHTHPFYRAAIATRLGAAKVFITLTLAHRSLHGDSGIPMYHPIRALRSHL >DRNTG_09113.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:75185:76458:-1 gene:DRNTG_09113 transcript:DRNTG_09113.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKQQKTLARLNRSATEESTVHPFPTLFRLLGLTPFKKAQITPDDICSKKRRIESKDSSGAHTAVPPAEKCRKLSTQGSKMESSHDSISDHDFNKIVGITDGSELEEMDAPDTLLCELRPYQKQALHWMVQLEKGKCPEEAATSLHPCWDAYRVADKRKLVIYLNSFSGEATTEFPSSLHMSRGGILADAMGLGKTIMTLCLLLTDSDKGGSLFSSMSRSFGEANGNNHISDQSLTGLKSSEGISGSGKLFKSKTLFRGGNLIVCPMTLLGQWK >DRNTG_09113.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:74421:76101:-1 gene:DRNTG_09113 transcript:DRNTG_09113.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESSHDSISDHDFNKIVGITDGSELEEMDAPDTLLCELRPYQKQALHWMVQLEKGKCPEEAATSLHPCWDAYRVADKRKLVIYLNSFSGEATTEFPSSLHMSRGGILADAMGLGKTIMTLCLLLTDSDKGGSLFSSMSRSFGEANGNNHISDQSLTGLKSSEGISGSGKLFKSKTLFRGGNLIVCPMTLLGQWKAEIETHARCGSLTLYVHYGQSRPKDAKLLAENDVVLTTYGVLGSEFSAENAEDNGVLYSVRWFRIVLDEAHTIKSSKSQISIAAAALTADRRWCLTGTPIQNNLEDIYSLLRFLRMEPW >DRNTG_09113.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:74548:76768:-1 gene:DRNTG_09113 transcript:DRNTG_09113.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTILLSVSVYINGLMFRKQQKTLARLNRSATEESTVHPFPTLFRLLGLTPFKKAQITPDDICSKKRRIESKDSSGAHTAVPPAEKCRKLSTQGSKMESSHDSISDHDFNKIVGITDGSELEEMDAPDTLLCELRPYQKQALHWMVQLEKGKCPEEAATSLHPCWDAYRVADKRKLVIYLNSFSGEATTEFPSSLHMSRGGILADAMGLGKTIMTLCLLLTDSDKGGSLFSSMSRSFGEANGNNHISDQSLTGLKSSEGISGSGKLFKSKTLFRGGNLIVCPMTLLGQWKAEIETHARCGSLTLYVHYGQSRPKDAKLLAENDVVLTTYGVLGSEFSAENAEDNGVLYSVRWFRIVLDEAHTIKSSKSQISIAAAALTADRRWCLTGTPIQVCHSLSLFKWHLIFQFILKHHLAFRCVI >DRNTG_09113.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:76180:76768:-1 gene:DRNTG_09113 transcript:DRNTG_09113.6 gene_biotype:protein_coding transcript_biotype:protein_coding IGRIPNEWARCLSPLVREKKIRIEGFCSSAPEILAIMDTILLSVSVYINGLMFRKQQKTLARLNRSATEESTVHPFPTLFRLLGLTPFKKAQITPDDICSKKRRIESK >DRNTG_09113.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:75700:76101:-1 gene:DRNTG_09113 transcript:DRNTG_09113.7 gene_biotype:protein_coding transcript_biotype:protein_coding MESSHDSISDHDFNKIVGITDGSELEEMDAPDTLLCELRPYQKQALHWMVQLEKGKCPEEAATSLHPCWDAYRVADK >DRNTG_09113.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:73688:75854:-1 gene:DRNTG_09113 transcript:DRNTG_09113.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPDTLLCELRPYQKQALHWMVQLEKGKCPEEAATSLHPCWDAYRVADKRKLVIYLNSFSGEATTEFPSSLHMSRGGILADAMGLGKTIMTLCLLLTDSDKGGSLFSSMSRSFGEANGNNHISDQSLTGLKSSEGISGSGKLFKSKTLFRGGNLIVCPMTLLGQWKAEIETHARCGSLTLYVHYGQSRPKDAKLLAENDVVLTTYGVLGSEFSAENAEDNGVLYSVRWFRIVLDEAHTIKSSKSQISIAAAALTADRRWCLTGTPIQVA >DRNTG_09113.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:74421:77543:-1 gene:DRNTG_09113 transcript:DRNTG_09113.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSEIVRFSTQESGEIGRIPNEWARCLSPLVREKKIRIEGFCSSAPEILAIMDTILLSVSVYINGLMFRKQQKTLARLNRSATEESTVHPFPTLFRLLGLTPFKKAQITPDDICSKKRRIESKDSSGAHTAVPPAEKCRKLSTQGSKMESSHDSISDHDFNKIVGITDGSELEEMDAPDTLLCELRPYQKQALHWMVQLEKGKCPEEAATSLHPCWDAYRVADKRKLVIYLNSFSGEATTEFPSSLHMSRGGILADAMGLGKTIMTLCLLLTDSDKGGSLFSSMSRSFGEANGNNHISDQSLTGLKSSEGISGSGKLFKSKTLFRGGNLIVCPMTLLGQWKAEIETHARCGSLTLYVHYGQSRPKDAKLLAENDVVLTTYGVLGSEFSAENAEDNGVLYSVRWFRIVLDEAHTIKSSKSQISIAAAALTADRRWCLTGTPIQNNLEDIYSLLRFLRMEPW >DRNTG_27586.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:19759176:19760749:-1 gene:DRNTG_27586 transcript:DRNTG_27586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECGCLCAPPNGCANSNTRSPCGILQYSFLSFFDHFLRHSSHVWHRAQRSKGVSVLETSPKLAHIEFSKPEHQARFDRLSRLRFRKSRFVDLSVGQNGRVGVLFSGPYITGLILGMGLMDAIRDNEKTVIPSPLGLNTIRRMGAVRRYGPGVHILVTSTTESTECGGDAVGDFAPMATRP >DRNTG_35200.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2703274:2704572:-1 gene:DRNTG_35200 transcript:DRNTG_35200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFLNLKSVRKSLGVGDIEFVSCSPTVYQAMLTDWMRNLEVGIPALLEDGVKVLIYAGEYDLICNWLGNSRWVHSMEWSGQQKFVSSSELPFTVDGAEAGLLKTYGPLSFLKVHDAGHMVPMDQPKAALEMLKRWTRGELAQYSESNELHAEI >DRNTG_00511.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30489460:30494252:1 gene:DRNTG_00511 transcript:DRNTG_00511.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDDCVERLLFGGAISSYFPLRFQDVSAIREVPDHQEVFADPTRDESLIFELLDLKHEVEDNNSAIWFLQDIAREQDAEESMVLEHSGTLEATGLQNRGSPVIVSTAIAQMAISKSRQGREAQNIVRVYLANLRLKEVGTDVLITANEPIIINPLSESAVAVTAGVAVPAAQAGCMPAAEVFKLAVTSFKVHDWNLFGGAAS >DRNTG_00511.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30489460:30494252:1 gene:DRNTG_00511 transcript:DRNTG_00511.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEHSGTLEATGLQNRGSPVIVSTAIAQMAISKSRQGREAQNIVRVYLANLRLKEVGTDVLITANEPIIINPLSESAVAVTAGVAVPAAQAGCMPAAEVFKLAVTSFKVHDWNLFGGAAS >DRNTG_00511.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30489460:30494252:1 gene:DRNTG_00511 transcript:DRNTG_00511.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDDCVERLLFGGAISSYFPLRFQDVSAIREVPDHQEVFADPTRDESLIFELLDLKHEVEDNNSAIWFLQDIAREQDAEESMVLEHSGTLEATGLQNRGSPVIVSTAIAQMAISKSRQGREAQNIVRVYLANLRLKEVGTDVLITANEPIIINPLSESAVAVTAGVAVPAAQAGCMPAAEVFKLAVTSFKVHDWNLFGGAAS >DRNTG_28881.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001455.1:33070:34579:-1 gene:DRNTG_28881 transcript:DRNTG_28881.2 gene_biotype:protein_coding transcript_biotype:protein_coding IIIIARNTEYTVREPTWLRATELGSPSPANHSPVWISNLSEDPP >DRNTG_28881.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001455.1:25987:34579:-1 gene:DRNTG_28881 transcript:DRNTG_28881.1 gene_biotype:protein_coding transcript_biotype:protein_coding IIIIARNTEYTVREPTWLRATELGSPSPANHSPVWISNLSEDP >DRNTG_16645.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:19113963:19115315:-1 gene:DRNTG_16645 transcript:DRNTG_16645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMGMVHRVQTGVFALVLPAPEIAGDEGDEAEASQPAPEPQPATMETEPPPVAVEPHHCMVLSSWLLRLSHGHGQGAEALGHVSLSTRRNTTPMELASSNATLGVRGVLF >DRNTG_33721.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1240487:1245062:1 gene:DRNTG_33721 transcript:DRNTG_33721.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRLVFDPSRCGGVLVLAARGDPVLRDGGKKRTRSFFMSSEEVEEECYYDELLPEKKHRLTPEQVDLLERSFEVENKLEPERKSELARKLGVQPRQVAVWFQNRRARWKTKQLENDFDRLKSSYDSLLDDHQSLLKDNDRLRSQVVLLTDKLQAMESSILRLEDHAPSSSGDIALHAELKANDCLSPKSAGSEVLGEKARQQLIDSSVDSYFPTTYKDLGCSVGREEENSCNFLQCELEHQQPDVGQIGWWDWS >DRNTG_04623.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3213618:3214988:1 gene:DRNTG_04623 transcript:DRNTG_04623.1 gene_biotype:protein_coding transcript_biotype:protein_coding EHIQQINTTNQSQSRRQHSDNTNKKPQRKTCIYTQALDKQVLE >DRNTG_16733.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000845.1:24923:37383:-1 gene:DRNTG_16733 transcript:DRNTG_16733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMARRRHKKHSKAVGDVREQKELDEPLLGGKPQGRATAPVGRAHGRGYFPHARARAVRVEGVFSRERTWACRVVRPSMKEIGQCVSQCTDFGRNLAKVQYYSNIVGYTVAALFTAGRETRETENPNGRVEIMHARVEIPYGRVEHPRPCSRPIPALLKADSAPILNIPCYNAYHPIKVGYPNILWDNGEQHWEAPQEECQTGEILGEDELQLQRVLGNFIEASDVCIQNIETTLRCHEASFKNLEHQLGGILDTLSKKQQAFEQAIQVPCRDDVVVNDNEEVGQIEYIGVENEKGEAEYHFKILDSVNEDCACEREIFQGDLLVSCSFQAENTQEEVNPKEMEQALLFGIDQFINCKKEILGLGEDVGRRLKPSNDPPKLSLYNSELKLFPWRPKSLQNFLRHPEKTHGCVEFLHAREFALRAHPEKAQGRAAAPVNDHATVTRPWRLSHGHSQGTEVLGRVSFTTGRVVRPSMKEIGQCGSQCTDFGGNLAKVQTQRHRSNTIAKHCSNIIVYNVAALFTVGRETREIENPHGPVEIIHVCVEIPYGRVEHPCPCSRRIPSIFKADSAPILVFFSPSFPQLERGLRLGFRGVLARFLERFYGSDIVRRLEEGYWESFRRHPSG >DRNTG_08510.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28901400:28902176:-1 gene:DRNTG_08510 transcript:DRNTG_08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFRVSTQPPPMNRGTKAKKSLISYQTSSIKSSSTSPTKTSRLMPDLYTTINPDLSFPFSATLILKT >DRNTG_23890.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2096434:2098693:-1 gene:DRNTG_23890 transcript:DRNTG_23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDERVKNQALEILGLFQAIPRLVVFDLDYTLWPFYCECRSKRETPSPYPHAKGILYALKEKGVDVAIASRSPTPDIAKAFLDKLEIKSLFVAQEIFSSWSHKTEHFQRIFRRTGVPFKSMLFFDDEYRNIEAVSNMGVTSILVDNGVNLEKFRLGLKNFEKNSSDQK >DRNTG_07208.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27933386:27934973:-1 gene:DRNTG_07208 transcript:DRNTG_07208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIDKSKILIIGVTGYIGKFIVEVSLSMGHPTFALVRVTTMANHPEMTHYIQDLKDSGITILFRYFPSEFGFDVDKVQILEPAKSTLAIKAHIREEIRKAGIPFTFVLCNLFSNYFLSRLGQVESTRIPNEETRGNGQENGRRILCKYELYLIGMVKHGAVEKLVSLLLRACDVDKKHSDNIRYSNVPLLALGFSVHGAKVWQVESSIEDGLQVPGVVGSRG >DRNTG_20756.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001146.1:30792:32387:1 gene:DRNTG_20756 transcript:DRNTG_20756.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDACYLICISEILDSIFLSALKYHVFPDNFYHPRVINSFYSFLWDATDDRDLSDLSRIFKFKTHHFLTILLYERQWVYYWVIGSNLISRSMHMDIQTFGHLRHNYLNIIHNLGIGYLCGDFSG >DRNTG_26237.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:470364:477005:1 gene:DRNTG_26237 transcript:DRNTG_26237.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C 32 [Source:Projected from Arabidopsis thaliana (AT2G46920) UniProtKB/Swiss-Prot;Acc:Q8RWN7] MGNSTSRVVGCFAPFGNGKDGVNLEFLEPLDEGLGHSFCYVRPVIFDSPAITPSNSERFTLDSSTFDSETHSGGSFRHDFAVAEDSSSACLQLQRPNKNFSETTFKTISGASVSANASTARTVNPSVLSSGDAQEPAASFESTSSFAAIPLQPVPRGSGPLNSFMSGPLERGFASGPLERGGVGFMSGPLDKGVFMSGPLDSTDRSNFSAPLAYSRRAGGLGRLVRSMSRPMRNALTRTFTRRLPGPGWVQRFFLHPMTKFVWHPKEAKFRAEAPRNCAEVGPSEPEYSNTRNLQWAHGKAGEDRVHVVLSEEQGWLFVGIYDGFSGPDAPDFLMANLYKAIDKELQGLLWDYEDNPEKSTPASGILQTEVSVDHSNPPDEDTITSSSNIDGLEKDSVNCQSSKENLENQFNGRVIGSSSTEDSCTETSAIDKGSQCDSVKDNEIVEDKDGMATTDLLIKATNVSEDSSSNVSDRKVDIPTVTNLPIPDGSSSKLPRQYKKSKRLYELLQMELLEEHDLKDSMSVSEFGSRRMSSSDLQSNASEDICVRETLTTAELVSSSASIRGEDSGRMDEVGRTRDNEGVLGEDSKESRLPTGPSFSVSGHKQMIRRSLIGSRLRKMYRKQKSLRKKLFPWSYDWHRDQCHVDEKVANATAVIRRCKSGPVDHDAVLKAMSRALESIEDAYMEMVESSLDKNPELALMGSCVLVMLMKDQDVYVMNLGDSRVILAQDRLSDRYIGSNLVKDDSRQRNRPRESVVRVELDRISEESPIHNLNTQVCKTNKNREISICRLKMRAVQLTTDHSTNIQEEVLRIRAEHVDDIQAVFNERVKGQLKVTRAFGAGFLKKPKFNEALLEMFRIDYIGSSPYVSCVPSVVHHRLCSSDRFLVLSSDGLYQYFSNEEVVSHVAWFMENVPEGDPAQYLIAELLFRAAKKNGMDFHELLDIPHGDRRKYHDDVSVMVISLEGRIWRSSG >DRNTG_32899.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1102601:1104331:-1 gene:DRNTG_32899 transcript:DRNTG_32899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTSALCHLTPYSEMKTRSSSLIYGNKKPKRTTSTSPMARLFGPSIFEASKLKVLFVGIHEKKNKTTTLLPRTYTLTHSDVTSHLTLAISHTIHHPQLQGWYHWLQRDEVVAEWKKVRGEMSLHVHCHISGGHFLLNLFASFRHYIFSKELPVVLKAFVHGDESLFSTYPELEHALVWIHFHSNIPEFDRVECWGTLREAATAGRVGPNHNFSSESYSFSVWKRWCDGECCCCFPRSQSIIDMQLLNGIHEQP >DRNTG_24231.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19826717:19830547:1 gene:DRNTG_24231 transcript:DRNTG_24231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLQLHVETPRSSVDPLKISKQGSSSVPSSLITCSNPTSLTMPSYSEKSASEGLPTPRTEGEILSSSNLKDFSFSELRSATRNFRPESLIGEGGFGYVFKGWIDEQSLAAVKPGYGMVVAVKKLKPESFQGHKEWLTEVNYLGQFHHPNLVKLIGYCLDGDNRLLVYEYMPKGSLENHLFRRSSQPLSWAIRIHVAVGAARGLSFLHDAENQVIYRDVKTSNILLDSDFNAKLSDFGLAKDGPTGDKTHVSTQVMGTHGYAAPEYVATGRLSAKADVYSFGVVLLELLSGRRALDRSKVGIEQNLADWAKPWLADKRKLFRIMDSRLEGQYPKKGAHAVATIALQCLANNPRSRPPMSEVMATLEKLRDTKEVMKLSKEEQEKVLSPKRSPMRHQHSVPPLSPL >DRNTG_24231.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19826717:19830547:1 gene:DRNTG_24231 transcript:DRNTG_24231.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGSLENHLFRRSSQPLSWAIRIHVAVGAARGLSFLHDAENQVIYRDVKTSNILLDSDFNAKLSDFGLAKDGPTGDKTHVSTQVMGTHGYAAPEYVATGRLSAKADVYSFGVVLLELLSGRRALDRSKVGIEQNLADWAKPWLADKRKLFRIMDSRLEGQYPKKGAHAVATIALQCLANNPRSRPPMSEVMATLEKLRDTKEVMKLSKEEQEKVLSPKRSPMRHQHSVPPLSPLRSPLKPQQKSFHVY >DRNTG_24231.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19826717:19830547:1 gene:DRNTG_24231 transcript:DRNTG_24231.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLQLHVETPRSSVDPLKISKQGSSSVPSSLITCSNPTSLTMPSYSEKSASEGLPTPRTEGEILSSSNLKDFSFSELRSATRNFRPESLIGEGGFGYVFKGWIDEQSLAAVKPGYGMVVAVKKLKPESFQGHKEWLTEVNYLGQFHHPNLVKLIGYCLDGDNRLLVYEYMPKGSLENHLFRRSSQPLSWAIRIHVAVGAARGLSFLHDAENQVIYRDVKTSNILLDSDFNAKLSDFGLAKDGPTGDKTHVSTQVMGTHGYAAPEYVATGRLSAKADVYSFGVVLLELLSGRRALDRSKVGIEQNLADWAKPWLADKRKLFRIMDSRLEGQYPKKGAHAVATIALQCLANNPRSRPPMSEVMATLEKLRDTKEVMKLSKEEQEKVLSPKRSPMRHQHSVPPLSPLRSPLKPQQKSFHVY >DRNTG_24231.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19826717:19830547:1 gene:DRNTG_24231 transcript:DRNTG_24231.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLQLHVETPRSSVDPLKISKQGSSSVPSSLITCSNPTSLTMPSYSEKSASEGLPTPRTEGEILSSSNLKDFSFSELRSATRNFRPESLIGEGGFGYVFKGWIDEQSLAAVKPGYGMVVAVKKLKPESFQGHKEWLTEVNYLGQFHHPNLVKLIGYCLDGDNRLLVYEYMPKGSLENHLFRRSSQPLSWAIRIHVAVGAARGLSFLHDAENQVIYRDVKTSNILLDSDFNAKLSDFGLAKDGPTGDKTHVSTQVMGTHGYAAPEYVATGRLSAKADVYSFGVVLLELLSGRRALDRSKVGIEQNLADWAKPWLADKRKLFRIMDSRLEGQYPKKGAHAVATIALQCLANNPRSRPPMSEVMATLEKLRDTKEVMKLSKEEQEKVLSPKRSPMRHQHSVPPLSPLRSPLKPQQKSFHVY >DRNTG_04808.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2356745:2359663:1 gene:DRNTG_04808 transcript:DRNTG_04808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSCCGGMGWWGAGGDELQWHLELKPHTSGDFSIAVAQANVVLEDQSQVMSSPSATLVGVYDGHGGPEASRFVNSRLFSHLHKFASEHGAFSEEVMRKAFDVTEQEFVHLVRRSWVSRPQLASVGSCCLVGAIANGVLYVANLGDSRAVLGRRATNGRGVVAERLSSDHNVAVEEVRKELREQHPDDPHIVVYNRGIWRIKGIIQVSRSIGDVYLKRPELSREPLFQRYVCPVPLKRPVMSAEPSIRVRKLMSDDLFMIFASDGLWEQLTDDAVVEIVSRNPRSGIAKRLVRAALDETARKREIRYNDIIKIEKGTRRHFHDDITVIVIYLDQHRNNASRSKCNTFDYTNAPIDIFSLNSDCEAEDPGIVI >DRNTG_10173.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22990893:22992994:1 gene:DRNTG_10173 transcript:DRNTG_10173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLEAGFPKLVLLFVAIIISLIAIRLTYVFLRSGKPFVVPPERVSTMIVLGSGGHTAEMLSIVNVLNKERFSPRFYVSAATDNMSLQKAQVLEQSLLHQGKGNAEAAQFMQIYRSREVGQSYITSVGTSLIAIAHAIWFILKIRPQVIICNGPGTCIPLCVAAFMFKAAGLRWSSIFYIESIARVRKLSLSGLLLYKLHLTDQFVVQWPQLQSKYPRSQYVGRIM >DRNTG_21205.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001209.1:22007:28685:-1 gene:DRNTG_21205 transcript:DRNTG_21205.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSEPLVLSGGKDKTVVLWSIQDHITASGESSSTKSAGTPSGGSSGKQPAITGNESSSESPRVGPRGIYQGHTDTVEDVQFCPSSAQEFCSVGDDSCLILWDARSGTTPAIKVEKAHDADLHCVDWNPHDVNLILTGSADNSVRLFDRRRLTSNGIGSPIHKFEGHSAAVLCVQWFPDKASVFGSSAEDGLLNVWDLEKVDHLGAVTRAPSPPGLFFQHAGHRDKVVDFHWNAADPWTIVSVSDDCESTGGGGTLQIWRMIDLIYRPQEEVLAELASYKDHILGCAPNT >DRNTG_21205.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001209.1:29167:30988:-1 gene:DRNTG_21205 transcript:DRNTG_21205.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRGKSGPASVDERYTQWKTLVPVLYDWLANHNLVWPSLSCRWGPQLDQAKFKNRQRLYLSEQASGFIIVYFILRKGFMVLLFLLRYYNSYFLV >DRNTG_21205.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001209.1:22007:30988:-1 gene:DRNTG_21205 transcript:DRNTG_21205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRGKSGPASVDERYTQWKTLVPVLYDWLANHNLVWPSLSCRWGPQLDQAKFKNRQRLYLSEQTDGSVPNTLVIANCEIVKKRIAAAEHISQFNEEARSPFVKKFKTIIHPGEVNRIRELPQNSRIVATHTDSPDVLIWDVETQPNRHAVLGAADSRPDLILTGHSDNAEFALAMSPSEPLVLSGGKDKTVVLWSIQDHITASGESSSTKSAGTPSGGSSGKQPAITGNESSSESPRVGPRGIYQGHTDTVEDVQFCPSSAQEFCSVGDDSCLILWDARSGTTPAIKVEKAHDADLHCVDWNPHDVNLILTGSADNSVRLFDRRRLTSNGIGSPIHKFEGHSAAVLCVQWFPDKASVFGSSAEDGLLNVWDLEKVDHLGAVTRAPSPPGLFFQHAGHRDKVVDFHWNAADPWTIVSVSDDCESTGGGGTLQIWRMIDLIYRPQEEVLAELASYKDHILGCAPNT >DRNTG_21205.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001209.1:22007:23631:-1 gene:DRNTG_21205 transcript:DRNTG_21205.4 gene_biotype:protein_coding transcript_biotype:protein_coding QWFPDKASVFGSSAEDGLLNVWDLEKVDHLGAVTRAPSPPGLFFQHAGHRDKVVDFHWNAADPWTIVSVSDDCESTGGGGTLQIWRMIDLIYRPQEEVLAELASYKDHILGCAPNT >DRNTG_19650.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3042318:3047395:-1 gene:DRNTG_19650 transcript:DRNTG_19650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLTGMSSLNYVDLSNNTFNRSETPLWFSTLDTLTSLVVSYGRLSGPVPQKLFSLPQIQQVILDNNEFTGTLGMGSNISSHLQTVNFENNNISLFTPPPNFHGIIMLHGNEVCETVSVLKNTIYCSDHPQALTPYSTSLASCGSSSCSSGLSVRPLSCNCACPLTGMLTFRAPYFSDVTNSTVFQDLENQLWQNLSLSPGSVYLENPFFDENSYMQVQVKLFPPTGTSFNQSEVSRISSQLGQQNEFKPPKIFGPYLFTANPYPCLDNQSGGSSLSKGAAAGIAAGCAIVLLTLIGMGIYAFRQKRRAERATEISKPFAAWASTKGVDGVPQLKGARWFTFDELTKITNNFAEMNEIGAGGYGKVYKGQLTNGQVVAIKRAQAGSLQGAAEFKTEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEFISNGTLRENLSAENGKQQLDWMKRLKIALGSARGLAYLHELADPPIIHRDIKSANILLDKLLNAKVADFGLSKLVSDAEKGHVSTQVKGTLGYLDPEYYMTQLLTEKSDVYSYGVVMLELITGKPPLHKGKYIVREVRLALNMMGEDPYCGLEDMVDTVIRNSETLIAFKSFVQLAMLCVEETGARRPKMNQVVKEIELMLQSDGFQIEPESEPSSATDFYREAGVGTALHGHLYNDQQLPTKEASSSGFDYSGAYALSTKIEPK >DRNTG_19650.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3042318:3047395:-1 gene:DRNTG_19650 transcript:DRNTG_19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTGILLFLVCLSGFHVCFGNTDPQDVAALRSLMEQWQNTPSAWGQTDDPCGTAWQGITCTGSRVVTLILSNQNIQGTLSGDIGQLSQLQTLDLSLNGGLGGPLTENIGNLKQLTSLSLQNCSFTGSIPGVLGSLSKLSFLALNSNQFSGTIPASLGNLSNVYWLDLSYNQLSGQLPISSGTSPGLDLLVHTQHFHFNQNNLSGTIPEKLFSSQMQLIHILFDGNNFTGEIPASIGLVNSLEALRLDRNGFSGPVPSSIANLTNLHELNLANNKLTGLMPNLTGMSSLNYVDLSNNTFNRSETPLWFSTLDTLTSLVVSYGRLSGPVPQKLFSLPQIQQVILDNNEFTGTLGMGSNISSHLQTVNFENNNISLFTPPPNFHGIIMLHGNEVCETVSVLKNTIYCSDHPQALTPYSTSLASCGSSSCSSGLSVRPLSCNCACPLTGMLTFRAPYFSDVTNSTVFQDLENQLWQNLSLSPGSVYLENPFFDENSYMQVQVKLFPPTGTSFNQSEVSRISSQLGQQNEFKPPKIFGPYLFTANPYPCLDNQSGGSSLSKGAAAGIAAGCAIVLLTLIGMGIYAFRQKRRAERATEISKPFAAWASTKGVDGVPQLKGARWFTFDELTKITNNFAEMNEIGAGGYGKVYKGQLTNGQVVAIKRAQAGSLQGAAEFKTEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEFISNGTLRENLSAENGKQQLDWMKRLKIALGSARGLAYLHELADPPIIHRDIKSANILLDKLLNAKVADFGLSKLVSDAEKGHVSTQVKGTLGYLDPEYYMTQLLTEKSDVYSYGVVMLELITGKPPLHKGKYIVREVRLALNMMGEDPYCGLEDMVDTVIRNSETLIAFKSFVQLAMLCVEETGARRPKMNQVVKEIELMLQSDGFQIEPESEPSSATDFYREAGVGTALHGHLYNDQQLPTKEASSSGFDYSGAYALSTKIEPK >DRNTG_31525.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19759653:19760090:1 gene:DRNTG_31525 transcript:DRNTG_31525.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALGLQQRRNLRRLLKQKRAQYKALPRQT >DRNTG_19702.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001043.1:7528:8702:1 gene:DRNTG_19702 transcript:DRNTG_19702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASRSSVQIRSVWADNLETEFELIRSTVDRFPYAAMDTEFPGVIHRSRRHPNLLSPGDRYTLLKSNVDSLNLIQIGLTLSNANGELPDLGTDDGARFIWEFNFNDFDPLRDRHAPESIDLLRSNGIDFEMNREKGISSVRFAELMASSGLICNDSAVAWVTFHSAYDFGYLIKVLTGRTLPKGLPEFMALVRVFFGERVFDVKHMMRYCEGLYGGLERMAKELKVERVVGKCHQAGSDSLLTWHAFLKIKDRFFSDDVGADHHRHHAGVLYGLELQVY >DRNTG_26504.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:731341:732930:-1 gene:DRNTG_26504 transcript:DRNTG_26504.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g28690, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G28690) UniProtKB/Swiss-Prot;Acc:Q1PFQ9] MMNYVSKNLNPINIISRAISFVPRRNNPSDSLRSSTAIASLLQSFIDSSSPSQGQAIHSHILKSGIRSNTNVSIKLLILHLKCGSLFYARQVLDQMPNPTLSAFNFMIAGYFKGGCTEEILYLVRKLAFSNERPDSFTLSMVLKLSVALFLPDLAKQVHLFIIKLLCELDDVLLAALVDSYVKNGKLGYARSVFDAMPQKNVVCSTTLIYGYMNQKSFGNAEEIFENIEEKDVVVFNAMIEGYSKTTKTALRSLEVYKDMQSLGFQPNISTFPNYATFLGALSACGHAGLVLEGQDIFNSMERDYSLKPRMEHYACVVYLLGRMGSLQEAYNFIKRIPEKPNSDVWAAMLASSRLHGDVKLADIAANEVFKLSRDGRPGAYLALSNTLAAAGKWEDVCEVRELMRERGVSKDAGCSWVGRDKGLCDFHEAEKE >DRNTG_13995.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27769827:27771418:-1 gene:DRNTG_13995 transcript:DRNTG_13995.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase 8 [Source:Projected from Arabidopsis thaliana (AT1G05310) UniProtKB/Swiss-Prot;Acc:O23038] MNSRETYLLLMSAILAALISINLDFTAYTSPNSILSMLTCRNPISRRHHRHHDFIPKVNICDDFPPDIPPPETNTTVTLCVDHNGCCNFTKVQSAVDAVTDFNQKRTVIWINKGIYYEKVMIPRTKTNITFQGQGLDSTAIVWNDTANSAHGTFFSASVTIYGPNFIAKNISFMNVAPMPKPGDVGAQAVAIRVGGDQAAFWGCGFFGAQDTLHDDRGRHYFKECFIQGSIDFIFGDGLSLYENCQLISIASPVAPGLKTINGAVTAHGRVTADENTGFAFVNCMIGGTGRIWLGRAWRPYSRVIYAYTTMTDIIAPEGWNDFNDPTRDQTIFYGEYKCSGSGANVTLRAPYVKKLNDTEAAPFLTTSFIEGLQWLQPFSS >DRNTG_24768.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26648029:26648742:1 gene:DRNTG_24768 transcript:DRNTG_24768.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIREKNLKLSIDRRKLIQPKPNYKPSSLPLTSPK >DRNTG_24768.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26647696:26648742:1 gene:DRNTG_24768 transcript:DRNTG_24768.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIREKNLKLSIDRRKLIQPKPNYKPSSLPLTSPK >DRNTG_28370.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2358967:2361598:1 gene:DRNTG_28370 transcript:DRNTG_28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYNFKKITVVPSGKEFVDIILSRTQRQTPTVVHKGYAISRLRAFYMRKVKFTQQNFNEKLSTIIEEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNIIKKISNDYIRLLKYGDSLYRCKCLKVAALGRMCTVIKRIGPSLAYLEQIRQHMARLPSIDPNVRTILICGYPNVGKSSFINKITRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLKAAILFFLDISGSCGYTIAQQAALFHSIKSLFMNKPLVIVCNKIDLQPMEGLSEDDMKLVMEMKNDALKTVIAQGGEPKDNEVLLTMSTLTDDGVIGVKNTACERLLDQRVEMKMKSKKINDCLNRFHVAIPKPRDTKERPPCIPQAVLEAQARGNAAAVEKKKLEKDLENENGGAGVYSASLKKHYILADAEWKEDNMPEILDGHNVYDFMDPDILQRLEELEREEGVRLEAEADEDAFEIDGMELTPEEKEALAEIRKKKSLLIQEHRMKKSTAESRPTVPRKFDKDKKFTTERMGRQLSSLGLDPTAAMDRARSRSVSKRGRKRERSAAGAGEAMDIDTEQSSKKLRSRSRSRSRSQSRPPGEVAPGEGFKDSAQKLKALKIGKKAVKGRNKAARRGEADRVIPNLKPKHLFSGKRSIGKTSRR >DRNTG_10127.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30504717:30504933:1 gene:DRNTG_10127 transcript:DRNTG_10127.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNVIEYDGKALLNFKQSKQTTTSQNEVSSAQANCSASYDQHKVSQASPSVDTGGTMG >DRNTG_10127.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30503562:30506327:1 gene:DRNTG_10127 transcript:DRNTG_10127.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKMWESLVEHAKTCVLSENYYVYYSDDTRNFGAIFNIIYEFLGFIAGGQYYSAESLSDSQKVFVDTLVKKAYDNWMNVIEYDGKALLNFKQSKQTTTSQNEVSSAQANCSASYDQHKVSQASPSVDTGGTMGGGVISSRYGGNQSARYSTQTEHMAPNAQLQYESSSYNLQNQFIDSSQQAQITRNDSTGLVLTPSQQPSLGFQSMSQALQPSNLNSYADWTTCQRDSRVDDLLSEEDIHMISRQLLENEDMQHLPHVPSIDSAANLSEDGFSFPSFMPSPCSNYNFDEDRNHTSGNAVIGWRIFFQKQVAEERAQLELVELDE >DRNTG_10127.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30500987:30507753:1 gene:DRNTG_10127 transcript:DRNTG_10127.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERRIRFLERSGTMGREKRGLELSADGEEHGSNAKRQKAPALASVIIEALKVDSLQKLCSSLEPVLRRVVRGEVERGLAKLGPAMLGTRSSPIRIEGSDGRNLQLHFRSRLSLPLFTGGKVKGEHGASLHVVLLDANTGHVVTSALESSAKLDVVVLEGDFNNEDDWTDEDFESHVVKEREGKRPLLTGDLQVSLKEGVGTVGELTFTDNSSWKQSRKFRLGLKIASGFCEGIRIREAKTEPFAVKDHRSELNKKHYPPDLKDDVWRLEKIGKDGSFHKRLNEAKIYTVEDFLRLVVRDSQKLRNILGSGMTDKMWESLVEHAKTCVLSENYYVYYSDDTRNFGAIFNIIYEFLGFIAGGQYYSAESLSDSQKVFVDTLVKKAYDNWMNVIEYDGKALLNFKQSKQTTTSQNEVSSAQANCSASYDQHKVSQASPSVDTGGTMGGGVISSRYGGNQSARYSTQTEHMAPNAQLQYESSSYNLQNQFIDSSQQAQITRNDSTGLVLTPSQQPSLGFQSMSQALQPSNLNSYADWTTCQRDSRVDDLLSEEDIHMISRQLLENEDMQHLPHVPSIDSAANLSEDGFSFPSFMPSPCSNYNFDEDRNHTSGNAVIGWRIFFQKQVAEERAQLELVELDE >DRNTG_10127.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30503562:30504584:1 gene:DRNTG_10127 transcript:DRNTG_10127.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKMWESLVEHAKTCVLSENYYVYYSDDTRNFGAIFNIIYEFLGFIAGGQYYSAESLSDSQK >DRNTG_10127.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30500283:30507753:1 gene:DRNTG_10127 transcript:DRNTG_10127.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPILCGGVSSDPSGVEKHDYDFEKAQTMPSQIHNPDDDTFHGPAMSRIPLSSDSYPQSKGMEEAATEFGQLKSTVGELKTQFLEMERRIRFLERSGTMGREKRGLELSADGEEHGSNAKRQKAPALASVIIEALKVDSLQKLCSSLEPVLRRVVRGEVERGLAKLGPAMLGTRSSPIRIEGSDGRNLQLHFRSRLSLPLFTGGKVKGEHGASLHVVLLDANTGHVVTSALESSAKLDVVVLEGDFNNEDDWTDEDFESHVVKEREGKRPLLTGDLQVSLKEGVGTVGELTFTDNSSWKQSRKFRLGLKIASGFCEGIRIREAKTEPFAVKDHRSELNKKHYPPDLKDDVWRLEKIGKDGSFHKRLNEAKIYTVEDFLRLVVRDSQKLRNILGSGMTDKMWESLVEHAKTCVLSENYYVYYSDDTRNFGAIFNIIYEFLGFIAGGQYYSAESLSDSQKVFVDTLVKKAYDNWMNVIEYDGKALLNFKQSKQTTTSQNEVSSAQANCSASYDQHKVSQASPSVDTGGTMGGGVISSRYGGNQSARYSTQTEHMAPNAQLQYESSSYNLQNQFIDSSQQAQITRNDSTGLVLTPSQQPSLGFQSMSQALQPSNLNSYADWTTCQRDSRVDDLLSEEDIHMISRQLLENEDMQHLPHVPSIDSAANLSEDGFSFPSFMPSPCSNYNFDEDRNHTSGNAVIGWRIFFQKQVAEERAQLELVELDE >DRNTG_10127.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30499557:30507753:1 gene:DRNTG_10127 transcript:DRNTG_10127.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAMPDASETTTSFPRQFTTNQFPVVPLPTVDNPLPTDFPNEQGFIAPPSSGTGGPFNSLSEMPILCGGVSSDPSGVEKHDYDFEKAQTMPSQIHNPDDDTFHGPAMSRIPLSSDSYPQSKGMEEAATEFGQLKSTVGELKTQFLEMERRIRFLERSGTMGREKRGLELSADGEEHGSNAKRQKAPALASVIIEALKVDSLQKLCSSLEPVLRRVVRGEVERGLAKLGPAMLGTRSSPIRIEGSDGRNLQLHFRSRLSLPLFTGGKVKGEHGASLHVVLLDANTGHVVTSALESSAKLDVVVLEGDFNNEDDWTDEDFESHVVKEREGKRPLLTGDLQVSLKEGVGTVGELTFTDNSSWKQSRKFRLGLKIASGFCEGIRIREAKTEPFAVKDHRSELNKKHYPPDLKDDVWRLEKIGKDGSFHKRLNEAKIYTVEDFLRLVVRDSQKLRNILGSGMTDKMWESLVEHAKTCVLSENYYVYYSDDTRNFGAIFNIIYEFLGFIAGGQYYSAESLSDSQKVFVDTLVKKAYDNWMNVIEYDGKALLNFKQSKQTTTSQNEVSSAQANCSASYDQHKVSQASPSVDTGGTMGGGVISSRYGGNQSARYSTQTEHMAPNAQLQYESSSYNLQNQFIDSSQQAQITRNDSTGLVLTPSQQPSLGFQSMSQALQPSNLNSYADWTTCQRDSRVDDLLSEEDIHMISRQLLENEDMQHLPHVPSIDSAANLSEDGFSFPSFMPSPCSNYNFDEDRNHTSGNAVIGWRIFFQKQVAEERAQLELVELDE >DRNTG_17580.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3537698:3543494:-1 gene:DRNTG_17580 transcript:DRNTG_17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKETPWDIALTDLALAGLRPEEATAFYSDLHRVLGPQRRPPADAAEVWRSVVDSGLLKPSHSHPLHQLVYYSVFARWDFAARGPPPYWFPDPVLAKRTNLGKLMEAHGPSLLGSSYKDPITSFNLFQKFTVEHPEIYWSIAMKEISVLFREGPKCILDTSDKSREGGIWFPGSVLNIAECCLLPMNFPKKTNESIAIVWRDEGSDDSPINAMSLKELRDQVMMVANAIDKLFSKGDPIAIDMPMTTTAVIIYLGIILAGCIVVSVADSFAAKEIAVRLSISKAKGIFTQDFIVRGGRKFPLYSRVIEGSNCKAIVVPARGNEIGVQLRSHDLSWKDFLSCAASQPRPCSYIPVYESAENVINILFSSGTTGDPKAIPWTQLSAIRSAADSWAHTDIQSGDICCWPTNLGWVMGPILLFTCFLNGATLALYQGSPLGRGFGKFVQDAGVTFLGTIPSLVKAWKSSKCMEGLDWGKIRTLASTGEASDVDDDLWLSSRASYKPIIECCGGTELASSYILSTLLQPQAFGAFSGKSMSTGFVIFDDQGIAYPDDQPCIGEVGLFPLYMGASSRLLNGDHKKVYFDGMPSYRGMKLRRHGDIIQRTVGGYYIVQGRADDTMNLGGIKISAVEIERACNRADEAVLETAAISIRKGTGGPEQLVVMVVLKEGSSNCDPYQLRAKFQKAIQKNLNPLFKVSSVRIVPEFPRTASNKLLRRVLRDQLTKELSTLSKL >DRNTG_11915.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:458988:463952:-1 gene:DRNTG_11915 transcript:DRNTG_11915.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDIESFHCLPLTPLQAKISDVVDGCTLVDCIKHFIAPEHVENYRCGRCWHDSAMKYLSSKSQKDEEKMETISNCVKIDSCDCKSLFLPEEVMWNGFSDAVKRLRIARCPKILCIHLQRASMSSDGDLIKLQGYISFPQVLDLFPFTEVAIEMEQQTFFENTSKRGIGPQHLLPTHMQWKMQMLSQIYKISGENIAREASLKNKMQNSFCDSHTDYPFSKSDVVPDSIKPLISGGSSSSSRKIQYHLSSVVEHYGRPESGHYAVYRRVSFDSACSETPGQQWLYISDDKVSRVSEEAVFTAEASLLFYERLEEHL >DRNTG_11915.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:458988:463794:-1 gene:DRNTG_11915 transcript:DRNTG_11915.9 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLSSKSQKDEEKMETISNCVKIDSCDCKSLFLPEEVMWNGFSDAVKRLRIARCPKILCIHLQRASMSSDGDLIKLQGYISFPQVLDLFPFTEVAIEMEQQTFFENTSKRGIGPQHLLPTHMQWKMQMLSQIYKISGENIAREASLKNKMQNSFCDSHTDYPFSKSDVVPDSIKPLISGGSSSSSRKIQYHLSSVVEHYGRPESGHYAVYRRVSFDSACSETPGQQWLYISDDKVSRVSEEAVFTAEASLLFYERLEEHL >DRNTG_11915.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:458988:463952:-1 gene:DRNTG_11915 transcript:DRNTG_11915.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLSSKSQKDEEKMETISNCVKIDSCDCKSLFLPEEVMWNGFSDAVKRLRIARCPKILCIHLQRASMSSDGDLIKLQGYISFPQVLDLFPFTEVAIEMEQQTFFENTSKRGIGPQHLLPTHMQWKMQMLSQIYKISGENIAREASLKNKMQNSFCDSHTDYPFSKSDVVPDSIKPLISGGSSSSSRKIQYHLSSVVEHYGRPESGHYAVYRRVSFDSACSETPGQQWLYISDDKVSRVSEEAVFTAEASLLFYERLEEHL >DRNTG_11915.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:458988:463952:-1 gene:DRNTG_11915 transcript:DRNTG_11915.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLSSKSQKDEEKMETISNCVKIDSCDCKSLFLPEEVMWNGFSDAVKRLRIARCPKILCIHLQRASMSSDGDLIKLQGYISFPQVLDLFPFTEVAIEMEQQTFFENTSKRGIGPQHLLPTHMQWKMQMLSQIYKISGENIAREASLKNKMQNSFCDSHTDYPFSKSDVVPDSIKPLISGGSSSSSRKIQYHLSSVVEHYGRPESGHYAVYRRVSFDSACSETPGQQWLYISDDKVSRVSEEAVFTAEASLLFYERLEEHL >DRNTG_11915.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:458988:462748:-1 gene:DRNTG_11915 transcript:DRNTG_11915.10 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLSSKSQKDEEKMETISNCVKIDSCDCKSLFLPEEVMWNGFSDAVKRLRIARCPKILCIHLQRASMSSDGDLIKLQGYISFPQVLDLFPFTEVAIEMEQQTFFENTSKRGIGPQHLLPTHMQWKMQMLSQIYKISGENIAREASLKNKMQNSFCDSHTDYPFSKSDVVPDSIKPLISGGSSSSSRKIQYHLSSVVEHYGRPESGHYAVYRRVSFDSACSETPGQQWLYISDDKVSRVSEEAVFTAEASLLFYERLEEHL >DRNTG_11915.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:458988:463952:-1 gene:DRNTG_11915 transcript:DRNTG_11915.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMGNYVSSFNLTRQQDAAEAFLHLLSSLEEEVLQYYVPLDSSLADIVSLPSRIHQPIREGLSDCAQWRKHYIGPLAGTISSILVCRSCSSVLSMDIESFHCLPLTPLQAKISDVVDGCTLVDCIKHFIAPEHVENYRCGRCWHDSAMKYLSSKSQKDEEKMETISNCVKIDSCDCKSLFLPEEVMWNGFSDAVKRLRIARCPKILCIHLQRASMSSDGDLIKLQGYISFPQVLDLFPFTEVAIEMEQQTFFENTSKRGIGPQHLLPTHMQWKMQMLSQIYKISGENIAREASLKNKMQNSFCDSHTDYPFSKSDVVPDSIKPLISGGSSSSSRKIQYHLSSVVEHYGRPESGHYAVYRRVSFDSACSETPGQQWLYISDDKVSRVSEEAVFTAEASLLFYERLEEHL >DRNTG_11915.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:458988:463952:-1 gene:DRNTG_11915 transcript:DRNTG_11915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIESFHCLPLTPLQAKISDVVDGCTLVDCIKHFIAPEHVENYRCGRCWHDSAMKYLSSKSQKDEEKMETISNCVKIDSCDCKSLFLPEEVMWNGFSDAVKRLRIARCPKILCIHLQRASMSSDGDLIKLQGYISFPQVLDLFPFTEVAIEMEQQTFFENTSKRGIGPQHLLPTHMQWKMQMLSQIYKISGENIAREASLKNKMQNSFCDSHTDYPFSKSDVVPDSIKPLISGGSSSSSRKIQYHLSSVVEHYGRPESGHYAVYRRVSFDSACSETPGQQWLYISDDKVSRVSEEAVFTAEASLLFYERLEEHL >DRNTG_11915.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:458988:463952:-1 gene:DRNTG_11915 transcript:DRNTG_11915.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMGNYVSSFNLTRQQDAAEAFLHLLSSLEEEVLQYYVPLDSSLADIVSLPSRIHQPIREGLSDCAQWRKHYIGPLAGTISSILVCRSCSSVLSMDIESFHCLPLTPLQAKISDVVDGCTLVDCIKHFIAPEHVENYRCGRCWHDSAMKYLSSKSQKDEEKMETISNCVKIDSCDCKSLFLPEEVMWNGFSDAVKRLRIARCPKILCIHLQRASMSSDGDLIKLQGYISFPQVLDLFPFTEVAIEMEQQTFFENTSKRGIGPQHLLPTHMQWKMQMLSQIYKISGENIAREASLKNKMQNSFCDSHTDYPFSKSDVVPDSIKPLISGGSSSSSRKIQYHLSSVVEHYGRPESGHYAVYRRVSFDSACSETPGQQWLYISDDKVSRVSEEAVFTAEASLLFYERLEEHL >DRNTG_11915.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:458988:463952:-1 gene:DRNTG_11915 transcript:DRNTG_11915.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLSSKSQKDEEKMETISNCVKIDSCDCKSLFLPEEVMWNGFSDAVKRLRIARCPKILCIHLQRASMSSDGDLIKLQGYISFPQVLDLFPFTEVAIEMEQQTFFENTSKRGIGPQHLLPTHMQWKMQMLSQIYKISGENIAREASLKNKMQNSFCDSHTDYPFSKSDVVPDSIKPLISGGSSSSSRKIQYHLSSVVEHYGRPESGHYAVYRRVSFDSACSETPGQQWLYISDDKVSRVSEEAVFTAEASLLFYERLEEHL >DRNTG_11915.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:458988:460320:-1 gene:DRNTG_11915 transcript:DRNTG_11915.11 gene_biotype:protein_coding transcript_biotype:protein_coding METISNCVKIDSCDCKSLFLPEEVMWNGFSDAVKRLRIARCPKILCIHLQRASMSSDGDLIKLQGYISFPQVLDLFPFTEVAIEMEQQTFFENTSKRGIGPQHLLPTHMQWKMQMLSQIYKISGENIAREASLKNKMQNSFCDSHTDYPFSKSDVVPDSIKPLISGGSSSSSRKIQYHLSSVVEHYGRPESGHYAVYRRVSFDSACSETPGQQWLYISDDKVSRVSEEAVFTAEASLLFYERLEEHL >DRNTG_11915.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:458988:463952:-1 gene:DRNTG_11915 transcript:DRNTG_11915.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKRQISVHHLLNKLKHGCDVLSLCKGLSPQFHQVVVILVGVGVGVAGLCVAIRKGYFGWSDIPGASGQKGSSEFIYYVAGLQNLGSNCFLNVIMQALASCSCFYPFLQDILIDGDLLLDQKPEKMPLRVALTSLLEDLCVVRDEKTVLNPRKVMLAMGNYVSSFNLTRQQDAAEAFLHLLSSLEEEVLQYYVPLDSSLADIVSLPSRIHQPIREGLSDCAQWRKHYIGPLAGTISSILVCRSCSSVLSMDIESFHCLPLTPLQAKISDVVDGCTLVDCIKHFIAPEHVENYRCGRCWHDSAMKYLSSKSQKDEEKMETISNCVKIDSCDCKSLFLPEEVMWNGFSDAVKRLRIARCPKILCIHLQRASMSSDGDLIKLQGYISFPQVLDLFPFTEVAIEMEQQTFFENTSKRGIGPQHLLPTHMQWKMQMLSQIYKISGENIAREASLKNKMQNSFCDSHTDYPFSKSDVVPDSIKPLISGGSSSSSRKIQYHLSSVVEHYGRPESGHYAVYRRVSFDSACSETPGQQWLYISDDKVSRVSEEAVFTAEASLLFYERLEEHL >DRNTG_11915.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:458988:459782:-1 gene:DRNTG_11915 transcript:DRNTG_11915.12 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQTFFENTSKRGIGPQHLLPTHMQWKMQMLSQIYKISGENIAREASLKNKMQNSFCDSHTDYPFSKSDVVPDSIKPLISGGSSSSSRKIQYHLSSVVEHYGRPESGHYAVYRRVSFDSACSETPGQQWLYISDDKVSRVSEEAVFTAEASLLFYERLEEHL >DRNTG_31765.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18345450:18350709:-1 gene:DRNTG_31765 transcript:DRNTG_31765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLQAQLYTLYQTLSSLQQSEDTVDQFYSRYCALWRQIDALTPPYCAAHAAQILTCSESCSRRRSHDGTRRMYEFIMRLRPEFEQTRAQLLHAPSVYSLDDAFTFVRAEETRLRASITGGGSALAAPRLPSVSSFSSTRPPASSVSSRPSSSTAKRSDGFVTLVACLVILSVSVVRSSVDFPVLFPHHLFYRCLTPTNRFILFRALLLHHLRLPHRLRLCLLRIISCWRCLAISLISPRRSHPVIAVSSGSSLLLG >DRNTG_32270.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2616388:2617797:1 gene:DRNTG_32270 transcript:DRNTG_32270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPILCSSLSECEAKLGNSLFIVGAIGGNDYHIAMLKGSSDYEIKTLLVPVMIQAISSAIKDLIKYGARTLVVPGNYPVGCLSASLTCFPREGDYDQKTGCLNWLNELTELYNQRLHIELQDIQHQHPHVTIFFVDYYGIIMEMLQSPKKYG >DRNTG_20967.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001181.1:53317:53988:1 gene:DRNTG_20967 transcript:DRNTG_20967.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSWTLIYSEDLRQDLERNLARHSRELEIVAVKL >DRNTG_27813.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27275424:27277194:-1 gene:DRNTG_27813 transcript:DRNTG_27813.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKCPMNSEKIKDKKKSKPLSSSSSMNFPSNFRLLIVP >DRNTG_35294.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22592660:22598002:1 gene:DRNTG_35294 transcript:DRNTG_35294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDHIDPTVSSTAIIERVSQDTLDRSQADFISGGGSRLVSQSAPIFPDKKFDSSEKLKEMRPSSTRKFHTYVLPTPGDTQLAAFTGSNNPVSKAQASLWHSSPLEPNKFGNGIKPPSILKESNLNSGPIKLPPPLSGELSIPQYNLRTASATKKIKRQAFSGPITSKGWSNKPIFSGYNSMSSVEYPGINSSRQNRSPTHQTSVSAKMSPKYLTSSPCIIPQDQRTS >DRNTG_13606.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000625.1:12516:14654:-1 gene:DRNTG_13606 transcript:DRNTG_13606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSRSHDGVLIPYRSVFLCRYLDIYAEKTQRRVFAFVSDPVTNTRAWVMSTRLCGSLQRVLLHLEKIKGRVSAPVSNPVKDPHALVQFLHAVGNSYKNFSGQYAKVRLLFTGPYITRAILGLGLLDAIRGAKKMIVPSPLGRDTMRLMGLVCRYGPRAYILTTPTPEIAEGGGDAVEGHSRSPSLSRSIRRPRTPQAPPTLPSPDPPARFDYASTPAIADLL >DRNTG_20589.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3220026:3230904:-1 gene:DRNTG_20589 transcript:DRNTG_20589.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVVRILESCMLNIAGANHFNPLLVGHISSCDLKSQEKESIAHNSVRSSISIKQYKDARSVCLKIISVVLNKYDSHDFGSDFWDIFFRSVKPLIDSFKQEGSSSEKPSSLFSCFVAMSRSPTMFLLLHREESLVPNIFSILTVRTASDAIISAVLNFAWNLLNLVDDLSQQVDDSLKAILLLHIDTLVSNLSMLVQTHKEVIGKQAIWPGESQLSVFKLSVKHIRDPLTAGQLIDILLQFFRQKAKIHDDYLEGLHVIKDILPVLDDKKMGNVLNAIRPLLTHVDLDLRLLICDILDGLMMNDPSLTFLAKLLRRLNAVSASSLEIGEPDYDTRVEAYGSIKPELFSVLKDDHALIILSQCVYDMSSEELVFRQSASRALLSFVQFAGPIVNSEKKYCDEIISKFEPQGDKVNTTQRSSDTSVTWTKVSIQKIIKNIFLSNMGEAMKKDISVQKEWVILLRDMVYNFNGEPALNSLRPLYCEDVETDFFNNILHLQIHRRIKALAHFRNVISVGNISENVTVKIFVPLVLNMLFYVKDGKGEHLRNACVETLASISSRMQWDSYRTLLMRCFREIKFKPDKQKILLRLMSAILDMFHFSEPNSGHEVVDGDSAASISCSISGNSKIASTTSYSGLRIPCHIQNYLHETVLPKIQKLLTSDSEKVNVNISLAVLKLLKLLPVDTMDSQLPSVIHRISNFLKNRLESIRDEARHALTACLKELGMEYLQFIVKVLQATLKRGYELHVLGYTLNFILSNTLTVPVAGTLDYCLEELLCIVENDVLGDVAEEKEVDKIASKMKETRKSRSFDTLKLIGQRITFRTHALKLLLPLSKYLEKHIKPKTKAKLEMMLQHVASGIECNPSVQLEELFIFVYGLIEDNIHEDDSKVDKASVVKSFNHGLAKSHLFIVFALGLLHNRLNNMKLDKKDEHLLTLLDPFVKLLGECLNSKYEDVLSASFRCLAPLVRLPLPSLEAEATKIKILLLDIAQTSGNPGSTLVQSCLKLLTVLLHSGRISLSDDQLHSLVQFPLFVDLQTNPSPVALSLLKSIIGRKLVVHEIYDLVMRVGELMVTNQSESIRKKCSQILLQFLLDYHLSDKRLQQHMDFLLTNLSYEHCSGREAVLEMLHTVLVKFPRSVLDAQAHAFFLHLVVALANEHDDKIRSMIATVIKELLSRTSRHALDPILAYSLSWYTSEKQHLWSAAAQVLGLLVDVLKKGFQKHINIILDVTRNILEHSVDASGETYDASNNLIMPFWKEAYHSLIMLEKMLLNIPRLAFEKDLEDIWEMICKLLLHPHVSLRNISSRLVALYFSVISEGGIGDNDRLKFETLSLLNPGNLFAITVSFLNQLRMPLTDDSTSHIVTQNLVFSVCGLHAFTRKSNNVFLHELWSTLDPHEQDSYLRAFESLGSKKARSVFLQATSSKVSAKLNQSEQESKGDLQALLVLPLLKRMGKIALETDNAQMTVIFNSFKTIASQIGSEGCQEYAVYLLLPLYKVCEGFAGKVIGDEVKQLAEEVRNSIRNELGVDNFVRTYNEIRKMLSMKRDKRRQKWRVAAVADPVRYAKRKLRIADKHRAHKKRKIELMKFGRWRR >DRNTG_20589.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3220026:3227502:-1 gene:DRNTG_20589 transcript:DRNTG_20589.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVLNAIRPLLTHVDLDLRLLICDILDGLMMNDPSLTFLAKLLRRLNAVSASSLEIGEPDYDTRVEAYGSIKPELFSVLKDDHALIILSQCVYDMSSEELVFRQSASRALLSFVQFAGPIVNSEKKYCDEIISKFEPQGDKVNTTQRSSDTSVTWTKVSIQKIIKNIFLSNMGEAMKKDISVQKEWVILLRDMVYNFNGEPALNSLRPLYCEDVETDFFNNILHLQIHRRIKALAHFRNVISVGNISENVTVKIFVPLVLNMLFYVKDGKGEHLRNACVETLASISSRMQWDSYRTLLMRCFREIKFKPDKQKILLRLMSAILDMFHFSEPNSGHEVVDGDSAASISCSISGNSKIASTTSYSGLRIPCHIQNYLHETVLPKIQKLLTSDSEKVNVNISLAVLKLLKLLPVDTMDSQLPSVIHRISNFLKNRLESIRDEARHALTACLKELGMEYLQFIVKVLQATLKRGYELHVLGYTLNFILSNTLTVPVAGTLDYCLEELLCIVENDVLGDVAEEKEVDKIASKMKETRKSRSFDTLKLIGQRITFRTHALKLLLPLSKYLEKHIKPKTKAKLEMMLQHVASGIECNPSVQLEELFIFVYGLIEDNIHEDDSKVDKASVVKSFNHGLAKSHLFIVFALGLLHNRLNNMKLDKKDEHLLTLLDPFVKLLGECLNSKYEDVLSASFRCLAPLVRLPLPSLEAEATKIKILLLDIAQTSGNPGSTLVQSCLKLLTVLLHSGRISLSDDQLHSLVQFPLFVDLQTNPSPVALSLLKSIIGRKLVVHEIYDLVMRVGELMVTNQSESIRKKCSQILLQFLLDYHLSDKRLQQHMDFLLTNLSYEHCSGREAVLEMLHTVLVKFPRSVLDAQAHAFFLHLVVALANEHDDKIRSMIATVIKELLSRTSRHALDPILAYSLSWYTSEKQHLWSAAAQVLGLLVDVLKKGFQKHINIILDVTRNILEHSVDASGETYDASNNLIMPFWKEAYHSLIMLEKMLLNIPRLAFEKDLEDIWEMICKLLLHPHVSLRNISSRLVALYFSVISEGGIGDNDRLKFETLSLLNPGNLFAITVSFLNQLRMPLTDDSTSHIVTQNLVFSVCGLHAFTRKSNNVFLHELWSTLDPHEQDSYLRAFESLGSKKARSVFLQATSSKVSAKLNQSEQESKGDLQALLVLPLLKRMGKIALETDNAQMTVIFNSFKTIASQIGSEGCQEYAVYLLLPLYKVCEGFAGKVIGDEVKQLAEEVRNSIRNELGVDNFVRTYNEIRKMLSMKRDKRRQKWRVAAVADPVRYAKRKLRIADKHRAHKKRKIELMKFGRWRR >DRNTG_20589.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3220026:3226878:-1 gene:DRNTG_20589 transcript:DRNTG_20589.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEELVFRQSASRALLSFVQFAGPIVNSEKKYCDEIISKFEPQGDKVNTTQRSSDTSVTWTKVSIQKIIKNIFLSNMGEAMKKDISVQKEWVILLRDMVYNFNGEPALNSLRPLYCEDVETDFFNNILHLQIHRRIKALAHFRNVISVGNISENVTVKIFVPLVLNMLFYVKDGKGEHLRNACVETLASISSRMQWDSYRTLLMRCFREIKFKPDKQKILLRLMSAILDMFHFSEPNSGHEVVDGDSAASISCSISGNSKIASTTSYSGLRIPCHIQNYLHETVLPKIQKLLTSDSEKVNVNISLAVLKLLKLLPVDTMDSQLPSVIHRISNFLKNRLESIRDEARHALTACLKELGMEYLQFIVKVLQATLKRGYELHVLGYTLNFILSNTLTVPVAGTLDYCLEELLCIVENDVLGDVAEEKEVDKIASKMKETRKSRSFDTLKLIGQRITFRTHALKLLLPLSKYLEKHIKPKTKAKLEMMLQHVASGIECNPSVQLEELFIFVYGLIEDNIHEDDSKVDKASVVKSFNHGLAKSHLFIVFALGLLHNRLNNMKLDKKDEHLLTLLDPFVKLLGECLNSKYEDVLSASFRCLAPLVRLPLPSLEAEATKIKILLLDIAQTSGNPGSTLVQSCLKLLTVLLHSGRISLSDDQLHSLVQFPLFVDLQTNPSPVALSLLKSIIGRKLVVHEIYDLVMRVGELMVTNQSESIRKKCSQILLQFLLDYHLSDKRLQQHMDFLLTNLSYEHCSGREAVLEMLHTVLVKFPRSVLDAQAHAFFLHLVVALANEHDDKIRSMIATVIKELLSRTSRHALDPILAYSLSWYTSEKQHLWSAAAQVLGLLVDVLKKGFQKHINIILDVTRNILEHSVDASGETYDASNNLIMPFWKEAYHSLIMLEKMLLNIPRLAFEKDLEDIWEMICKLLLHPHVSLRNISSRLVALYFSVISEGGIGDNDRLKFETLSLLNPGNLFAITVSFLNQLRMPLTDDSTSHIVTQNLVFSVCGLHAFTRKSNNVFLHELWSTLDPHEQDSYLRAFESLGSKKARSVFLQATSSKVSAKLNQSEQESKGDLQALLVLPLLKRMGKIALETDNAQMTVIFNSFKTIASQIGSEGCQEYAVYLLLPLYKVCEGFAGKVIGDEVKQLAEEVRNSIRNELGVDNFVRTYNEIRKMLSMKRDKRRQKWRVAAVADPVRYAKRKLRIADKHRAHKKRKIELMKFGRWRR >DRNTG_20589.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3220026:3231500:-1 gene:DRNTG_20589 transcript:DRNTG_20589.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLKSLQKVPELAESRSRQLIPLFLKYLGYREGVDVSVDLFDCHNSKEKEWSIVLKEWLSLLRLFRNAQSLYQSQILKKVLINRLLDEIDPDIQLKVLDCLLNWRDEYFIPYDQHLRNLVASKNLREELTVWAVSKESQSIQEGHRDYLIPIIIRLLTPKVRKPKTDIANKHAGVHHRRAVLCFLAQLDVDELQLFFSLLLKPLFALGHGTDSSCEKFKDGLQVFDLVEFSRTIGDLSWKKIYGFLHVVKDILKAFDEIHIRPFLKLLMEIVVRILESCMLNIAGANHFNPLLVGHISSCDLKSQEKESIAHNSVRSSISIKQYKDARSVCLKIISVVLNKYDSHDFGSDFWDIFFRSVKPLIDSFKQEGSSSEKPSSLFSCFVAMSRSPTMFLLLHREESLVPNIFSILTVRTASDAIISAVLNFAWNLLNLVDDLSQQVDDSLKAILLLHIDTLVSNLSMLVQTHKEVIGKQAIWPGESQLSVFKLSVKHIRDPLTAGQLIDILLQFFRQKAKIHDDYLEGLHVIKDILPVLDDKKMGNVLNAIRPLLTHVDLDLRLLICDILDGLMMNDPSLTFLAKLLRRLNAVSASSLEIGEPDYDTRVEAYGSIKPELFSVLKDDHALIILSQCVYDMSSEELVFRQSASRALLSFVQFAGPIVNSEKKYCDEIISKFEPQGDKVNTTQRSSDTSVTWTKVSIQKIIKNIFLSNMGEAMKKDISVQKEWVILLRDMVYNFNGEPALNSLRPLYCEDVETDFFNNILHLQIHRRIKALAHFRNVISVGNISENVTVKIFVPLVLNMLFYVKDGKGEHLRNACVETLASISSRMQWDSYRTLLMRCFREIKFKPDKQKILLRLMSAILDMFHFSEPNSGHEVVDGDSAASISCSISGNSKIASTTSYSGLRIPCHIQNYLHETVLPKIQKLLTSDSEKVNVNISLAVLKLLKLLPVDTMDSQLPSVIHRISNFLKNRLESIRDEARHALTACLKELGMEYLQFIVKVLQATLKRGYELHVLGYTLNFILSNTLTVPVAGTLDYCLEELLCIVENDVLGDVAEEKEVDKIASKMKETRKSRSFDTLKLIGQRITFRTHALKLLLPLSKYLEKHIKPKTKAKLEMMLQHVASGIECNPSVQLEELFIFVYGLIEDNIHEDDSKVDKASVVKSFNHGLAKSHLFIVFALGLLHNRLNNMKLDKKDEHLLTLLDPFVKLLGECLNSKYEDVLSASFRCLAPLVRLPLPSLEAEATKIKILLLDIAQTSGNPGSTLVQSCLKLLTVLLHSGRISLSDDQLHSLVQFPLFVDLQTNPSPVALSLLKSIIGRKLVVHEIYDLVMRVGELMVTNQSESIRKKCSQILLQFLLDYHLSDKRLQQHMDFLLTNLSYEHCSGREAVLEMLHTVLVKFPRSVLDAQAHAFFLHLVVALANEHDDKIRSMIATVIKELLSRTSRHALDPILAYSLSWYTSEKQHLWSAAAQVLGLLVDVLKKGFQKHINIILDVTRNILEHSVDASGETYDASNNLIMPFWKEAYHSLIMLEKMLLNIPRLAFEKDLEDIWEMICKLLLHPHVSLRNISSRLVALYFSVISEGGIGDNDRLKFETLSLLNPGNLFAITVSFLNQLRMPLTDDSTSHIVTQNLVFSVCGLHAFTRKSNNVFLHELWSTLDPHEQDSYLRAFESLGSKKARSVFLQATSSKVSAKLNQSEQESKGDLQALLVLPLLKRMGKIALETDNAQMTVIFNSFKTIASQIGSEGCQEYAVYLLLPLYKVCEGFAGKVIGDEVKQLAEEVRNSIRNELGVDNFVRTYNEIRKMLSMKRDKRRQKWRVAAVADPVRYAKRKLRIADKHRAHKKRKIELMKFGRWRR >DRNTG_20589.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3220026:3237056:-1 gene:DRNTG_20589 transcript:DRNTG_20589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSNLMVCDKFSQGSILVFEVVSEALKRLCKDIDHQDLSLIYKRLLKEISSYLSDGCPIHLSRLLALLSSIVRHSDWAKISDKERMLEIVRLLIQAYIMPCERLEYEDRTLVVNEILHLMLCLLDVLPTSVDLSSFVVLYAPAFELRDSSLLTFIKGLVQKDPSIVYSFRTHIIRSLDNLIEASPDEVVFLILTLFGQGKEQLNFSIMDNVSKDQVLKIHQFFEEALCSWTKLIKDIAGNDSQTKIEVNEPTLTTLWGSLCCCPHFQLQENNLLAMHDFIINLNQLLETNSDSIAGLSKFTWQSLLGASLESYYKVLLLDRNKLVETCDILDFAKRHKNSPHVLSAVAEILDSVFGYLRDDGRTEGVSQELDIPKALDSISTFSDNLSNSNKSIRLSTLRILSHHAVLHEMQSMPDEPPVKKLRTEAAESCNKDAQYRVIDILLSVEKVPLSVSTSRKAIILISRLQMGISSAKIHKDYLPLLLHGIIGILHNRFCNLWDPAVDCLSVLIGKYKELIWDRFVQFFGNYQSKFLSSCDQLVKLHPEYPKQNALSDYFKRFLAPDSDNTPCATVMTLLLKSLQKVPELAESRSRQLIPLFLKYLGYREGVDVSVDLFDCHNSKEKEWSIVLKEWLSLLRLFRNAQSLYQSQILKKVLINRLLDEIDPDIQLKVLDCLLNWRDEYFIPYDQHLRNLVASKNLREELTVWAVSKESQSIQEGHRDYLIPIIIRLLTPKVRKPKTDIANKHAGVHHRRAVLCFLAQLDVDELQLFFSLLLKPLFALGHGTDSSCEKFKDGLQVFDLVEFSRTIGDLSWKKIYGFLHVVKDILKAFDEIHIRPFLKLLMEIVVRILESCMLNIAGANHFNPLLVGHISSCDLKSQEKESIAHNSVRSSISIKQYKDARSVCLKIISVVLNKYDSHDFGSDFWDIFFRSVKPLIDSFKQEGSSSEKPSSLFSCFVAMSRSPTMFLLLHREESLVPNIFSILTVRTASDAIISAVLNFAWNLLNLVDDLSQQVDDSLKAILLLHIDTLVSNLSMLVQTHKEVIGKQAIWPGESQLSVFKLSVKHIRDPLTAGQLIDILLQFFRQKAKIHDDYLEGLHVIKDILPVLDDKKMGNVLNAIRPLLTHVDLDLRLLICDILDGLMMNDPSLTFLAKLLRRLNAVSASSLEIGEPDYDTRVEAYGSIKPELFSVLKDDHALIILSQCVYDMSSEELVFRQSASRALLSFVQFAGPIVNSEKKYCDEIISKFEPQGDKVNTTQRSSDTSVTWTKVSIQKIIKNIFLSNMGEAMKKDISVQKEWVILLRDMVYNFNGEPALNSLRPLYCEDVETDFFNNILHLQIHRRIKALAHFRNVISVGNISENVTVKIFVPLVLNMLFYVKDGKGEHLRNACVETLASISSRMQWDSYRTLLMRCFREIKFKPDKQKILLRLMSAILDMFHFSEPNSGHEVVDGDSAASISCSISGNSKIASTTSYSGLRIPCHIQNYLHETVLPKIQKLLTSDSEKVNVNISLAVLKLLKLLPVDTMDSQLPSVIHRISNFLKNRLESIRDEARHALTACLKELGMEYLQFIVKVLQATLKRGYELHVLGYTLNFILSNTLTVPVAGTLDYCLEELLCIVENDVLGDVAEEKEVDKIASKMKETRKSRSFDTLKLIGQRITFRTHALKLLLPLSKYLEKHIKPKTKAKLEMMLQHVASGIECNPSVQLEELFIFVYGLIEDNIHEDDSKVDKASVVKSFNHGLAKSHLFIVFALGLLHNRLNNMKLDKKDEHLLTLLDPFVKLLGECLNSKYEDVLSASFRCLAPLVRLPLPSLEAEATKIKILLLDIAQTSGNPGSTLVQSCLKLLTVLLHSGRISLSDDQLHSLVQFPLFVDLQTNPSPVALSLLKSIIGRKLVVHEIYDLVMRVGELMVTNQSESIRKKCSQILLQFLLDYHLSDKRLQQHMDFLLTNLSYEHCSGREAVLEMLHTVLVKFPRSVLDAQAHAFFLHLVVALANEHDDKIRSMIATVIKELLSRTSRHALDPILAYSLSWYTSEKQHLWSAAAQVLGLLVDVLKKGFQKHINIILDVTRNILEHSVDASGETYDASNNLIMPFWKEAYHSLIMLEKMLLNIPRLAFEKDLEDIWEMICKLLLHPHVSLRNISSRLVALYFSVISEGGIGDNDRLKFETLSLLNPGNLFAITVSFLNQLRMPLTDDSTSHIVTQNLVFSVCGLHAFTRKSNNVFLHELWSTLDPHEQDSYLRAFESLGSKKARSVFLQATSSKVSAKLNQSEQESKGDLQALLVLPLLKRMGKIALETDNAQMTVIFNSFKTIASQIGSEGCQEYAVYLLLPLYKVCEGFAGKVIGDEVKQLAEEVRNSIRNELGVDNFVRTYNEIRKMLSMKRDKRRQKWRVAAVADPVRYAKRKLRIADKHRAHKKRKIELMKFGRWRR >DRNTG_20589.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3220026:3227177:-1 gene:DRNTG_20589 transcript:DRNTG_20589.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVLNAIRPLLTHVDLDLRLLICDILDGLMMNDPSLTFLAKLLRRLNAVSASSLEIGEPDYDTRVEAYGSIKPELFSVLKDDHALIILSQCVYDMSSEELVFRQSASRALLSFVQFAGPIVNSEKKYCDEIISKFEPQGDKVNTTQRSSDTSVTWTKVSIQKIIKNIFLSNMGEAMKKDISVQKEWVILLRDMVYNFNGEPALNSLRPLYCEDVETDFFNNILHLQIHRRIKALAHFRNVISVGNISENVTVKIFVPLVLNMLFYVKDGKGEHLRNACVETLASISSRMQWDSYRTLLMRCFREIKFKPDKQKILLRLMSAILDMFHFSEPNSGHEVVDGDSAASISCSISGNSKIASTTSYSGLRIPCHIQNYLHETVLPKIQKLLTSDSEKVNVNISLAVLKLLKLLPVDTMDSQLPSVIHRISNFLKNRLESIRDEARHALTACLKELGMEYLQFIVKVLQATLKRGYELHVLGYTLNFILSNTLTVPVAGTLDYCLEELLCIVENDVLGDVAEEKEVDKIASKMKETRKSRSFDTLKLIGQRITFRTHALKLLLPLSKYLEKHIKPKTKAKLEMMLQHVASGIECNPSVQLEELFIFVYGLIEDNIHEDDSKVDKASVVKSFNHGLAKSHLFIVFALGLLHNRLNNMKLDKKDEHLLTLLDPFVKLLGECLNSKYEDVLSASFRCLAPLVRLPLPSLEAEATKIKILLLDIAQTSGNPGSTLVQSCLKLLTVLLHSGRISLSDDQLHSLVQFPLFVDLQTNPSPVALSLLKSIIGRKLVVHEIYDLVMRVGELMVTNQSESIRKKCSQILLQFLLDYHLSDKRLQQHMDFLLTNLSYEHCSGREAVLEMLHTVLVKFPRSVLDAQAHAFFLHLVVALANEHDDKIRSMIATVIKELLSRTSRHALDPILAYSLSWYTSEKQHLWSAAAQVLGLLVDVLKKGFQKHINIILDVTRNILEHSVDASGETYDASNNLIMPFWKEAYHSLIMLEKMLLNIPRLAFEKDLEDIWEMICKLLLHPHVSLRNISSRLVALYFSVISEGGIGDNDRLKFETLSLLNPGNLFAITVSFLNQLRMPLTDDSTSHIVTQNLVFSVCGLHAFTRKSNNVFLHELWSTLDPHEQDSYLRAFESLGSKKARSVFLQATSSKVSAKLNQSEQESKGDLQALLVLPLLKRMGKIALETDNAQMTVIFNSFKTIASQIGSEGCQEYAVYLLLPLYKVCEGFAGKVIGDEVKQLAEEVRNSIRNELGVDNFVRTYNEIRKMLSMKRDKRRQKWRVAAVADPVRYAKRKLRIADKHRAHKKRKIELMKFGRWRR >DRNTG_20589.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3220026:3233587:-1 gene:DRNTG_20589 transcript:DRNTG_20589.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIVRLLIQAYIMPCERLEYEDRTLVVNEILHLMLCLLDVLPTSVDLSSFVVLYAPAFELRDSSLLTFIKGLVQKDPSIVYSFRTHIIRSLDNLIEASPDEVVFLILTLFGQGKEQLNFSIMDNVSKDQVLKIHQFFEEALCSWTKLIKDIAGNDSQTKIEVNEPTLTTLWGSLCCCPHFQLQENNLLAMHDFIINLNQLLETNSDSIAGLSKFTWQSLLGASLESYYKVLLLDRNKLVETCDILDFAKRHKNSPHVLSAVAEILDSVFGYLRDDGRTEGVSQELDIPKALDSISTFSDNLSNSNKSIRLSTLRILSHHAVLHEMQSMPDEPPVKKLRTEAAESCNKDAQYRVIDILLSVEKVPLSVSTSRKAIILISRLQMGISSAKIHKDYLPLLLHGIIGILHNRFCNLWDPAVDCLSVLIGKYKELIWDRFVQFFGNYQSKFLSSCDQLVKLHPEYPKQNALSDYFKRFLAPDSDNTPCATVMTLLLKSLQKVPELAESRSRQLIPLFLKYLGYREGVDVSVDLFDCHNSKEKEWSIVLKEWLSLLRLFRNAQSLYQSQILKKVLINRLLDEIDPDIQLKVLDCLLNWRDEYFIPYDQHLRNLVASKNLREELTVWAVSKESQSIQEGHRDYLIPIIIRLLTPKVRKPKTDIANKHAGVHHRRAVLCFLAQLDVDELQLFFSLLLKPLFALGHGTDSSCEKFKDGLQVFDLVEFSRTIGDLSWKKIYGFLHVVKDILKAFDEIHIRPFLKLLMEIVVRILESCMLNIAGANHFNPLLVGHISSCDLKSQEKESIAHNSVRSSISIKQYKDARSVCLKIISVVLNKYDSHDFGSDFWDIFFRSVKPLIDSFKQEGSSSEKPSSLFSCFVAMSRSPTMFLLLHREESLVPNIFSILTVRTASDAIISAVLNFAWNLLNLVDDLSQQVDDSLKAILLLHIDTLVSNLSMLVQTHKEVIGKQAIWPGESQLSVFKLSVKHIRDPLTAGQLIDILLQFFRQKAKIHDDYLEGLHVIKDILPVLDDKKMGNVLNAIRPLLTHVDLDLRLLICDILDGLMMNDPSLTFLAKLLRRLNAVSASSLEIGEPDYDTRVEAYGSIKPELFSVLKDDHALIILSQCVYDMSSEELVFRQSASRALLSFVQFAGPIVNSEKKYCDEIISKFEPQGDKVNTTQRSSDTSVTWTKVSIQKIIKNIFLSNMGEAMKKDISVQKEWVILLRDMVYNFNGEPALNSLRPLYCEDVETDFFNNILHLQIHRRIKALAHFRNVISVGNISENVTVKIFVPLVLNMLFYVKDGKGEHLRNACVETLASISSRMQWDSYRTLLMRCFREIKFKPDKQKILLRLMSAILDMFHFSEPNSGHEVVDGDSAASISCSISGNSKIASTTSYSGLRIPCHIQNYLHETVLPKIQKLLTSDSEKVNVNISLAVLKLLKLLPVDTMDSQLPSVIHRISNFLKNRLESIRDEARHALTACLKELGMEYLQFIVKVLQATLKRGYELHVLGYTLNFILSNTLTVPVAGTLDYCLEELLCIVENDVLGDVAEEKEVDKIASKMKETRKSRSFDTLKLIGQRITFRTHALKLLLPLSKYLEKHIKPKTKAKLEMMLQHVASGIECNPSVQLEELFIFVYGLIEDNIHEDDSKVDKASVVKSFNHGLAKSHLFIVFALGLLHNRLNNMKLDKKDEHLLTLLDPFVKLLGECLNSKYEDVLSASFRCLAPLVRLPLPSLEAEATKIKILLLDIAQTSGNPGSTLVQSCLKLLTVLLHSGRISLSDDQLHSLVQFPLFVDLQTNPSPVALSLLKSIIGRKLVVHEIYDLVMRVGELMVTNQSESIRKKCSQILLQFLLDYHLSDKRLQQHMDFLLTNLSYEHCSGREAVLEMLHTVLVKFPRSVLDAQAHAFFLHLVVALANEHDDKIRSMIATVIKELLSRTSRHALDPILAYSLSWYTSEKQHLWSAAAQVLGLLVDVLKKGFQKHINIILDVTRNILEHSVDASGETYDASNNLIMPFWKEAYHSLIMLEKMLLNIPRLAFEKDLEDIWEMICKLLLHPHVSLRNISSRLVALYFSVISEGGIGDNDRLKFETLSLLNPGNLFAITVSFLNQLRMPLTDDSTSHIVTQNLVFSVCGLHAFTRKSNNVFLHELWSTLDPHEQDSYLRAFESLGSKKARSVFLQATSSKVSAKLNQSEQESKGDLQALLVLPLLKRMGKIALETDNAQMTVIFNSFKTIASQIGSEGCQEYAVYLLLPLYKVCEGFAGKVIGDEVKQLAEEVRNSIRNELGVDNFVRTYNEIRKMLSMKRDKRRQKWRVAAVADPVRYAKRKLRIADKHRAHKKRKIELMKFGRWRR >DRNTG_20589.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3236746:3237056:-1 gene:DRNTG_20589 transcript:DRNTG_20589.14 gene_biotype:protein_coding transcript_biotype:protein_coding LPRALQWRTTSPWRSSPSTPPPAAAALSLRPSPNEWRISTSTYSVASTL >DRNTG_20589.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3231060:3233050:-1 gene:DRNTG_20589 transcript:DRNTG_20589.12 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVSKDQVLKIHQFFEEALCSWTKLIKDIAGNDSQTKIEVNEPTLTTLWGSLCCCPHFQLQENNLLAMHDFIINLNQLLETNSDSIAGLSKFTWQSLLGASLESYYKVLLLDRNKLVETCDILDFAKRHKNSPHVLSAVAEILDSVFGYLRDDGRTEGVSQELDIPKALDSISTFSDNLSNSNKSIRLSTLRILSHHAVLHEMQSMPDEPPVKKLRTEAAESCNKDAQYRVIDILLSVEKVPLSVSTSRKAIILISRLQMGISSAKIHKDYLPLLLHGIIGILHNRFCNLWDPAVDCLSVLIGKYKELIWDRFVQFFGNYQSKFLSSCDQLVKLHPEYPKQNALSDYFKRFLAPDSDNTPCATVMTLLLKSLQKVPELAESRSRQLIPLFLKYLGYREGVDVSVDLFDCHNSKEKEWSIVLKEWLSLLRLFRNAQSLYQSQILKKVLINR >DRNTG_20589.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3220026:3234052:-1 gene:DRNTG_20589 transcript:DRNTG_20589.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKWDYILAVSKERNCLRCFYIFAGSILVFEVVSEALKRLCKDIDHQDLSLIYKRLLKEISSYLSDGCPIHLSRLLALLSSIVRHSDWAKISDKERMLEIVRLLIQAYIMPCERLEYEDRTLVVNEILHLMLCLLDVLPTSVDLSSFVVLYAPAFELRDSSLLTFIKGLVQKDPSIVYSFRTHIIRSLDNLIEASPDEVVFLILTLFGQGKEQLNFSIMDNVSKDQVLKIHQFFEEALCSWTKLIKDIAGNDSQTKIEVNEPTLTTLWGSLCCCPHFQLQENNLLAMHDFIINLNQLLETNSDSIAGLSKFTWQSLLGASLESYYKVLLLDRNKLVETCDILDFAKRHKNSPHVLSAVAEILDSVFGYLRDDGRTEGVSQELDIPKALDSISTFSDNLSNSNKSIRLSTLRILSHHAVLHEMQSMPDEPPVKKLRTEAAESCNKDAQYRVIDILLSVEKVPLSVSTSRKAIILISRLQMGISSAKIHKDYLPLLLHGIIGILHNRFCNLWDPAVDCLSVLIGKYKELIWDRFVQFFGNYQSKFLSSCDQLVKLHPEYPKQNALSDYFKRFLAPDSDNTPCATVMTLLLKSLQKVPELAESRSRQLIPLFLKYLGYREGVDVSVDLFDCHNSKEKEWSIVLKEWLSLLRLFRNAQSLYQSQILKKVLINRLLDEIDPDIQLKVLDCLLNWRDEYFIPYDQHLRNLVASKNLREELTVWAVSKESQSIQEGHRDYLIPIIIRLLTPKVRKPKTDIANKHAGVHHRRAVLCFLAQLDVDELQLFFSLLLKPLFALGHGTDSSCEKFKDGLQVFDLVEFSRTIGDLSWKKIYGFLHVVKDILKAFDEIHIRPFLKLLMEIVVRILESCMLNIAGANHFNPLLVGHISSCDLKSQEKESIAHNSVRSSISIKQYKDARSVCLKIISVVLNKYDSHDFGSDFWDIFFRSVKPLIDSFKQEGSSSEKPSSLFSCFVAMSRSPTMFLLLHREESLVPNIFSILTVRTASDAIISAVLNFAWNLLNLVDDLSQQVDDSLKAILLLHIDTLVSNLSMLVQTHKEVIGKQAIWPGESQLSVFKLSVKHIRDPLTAGQLIDILLQFFRQKAKIHDDYLEGLHVIKDILPVLDDKKMGNVLNAIRPLLTHVDLDLRLLICDILDGLMMNDPSLTFLAKLLRRLNAVSASSLEIGEPDYDTRVEAYGSIKPELFSVLKDDHALIILSQCVYDMSSEELVFRQSASRALLSFVQFAGPIVNSEKKYCDEIISKFEPQGDKVNTTQRSSDTSVTWTKVSIQKIIKNIFLSNMGEAMKKDISVQKEWVILLRDMVYNFNGEPALNSLRPLYCEDVETDFFNNILHLQIHRRIKALAHFRNVISVGNISENVTVKIFVPLVLNMLFYVKDGKGEHLRNACVETLASISSRMQWDSYRTLLMRCFREIKFKPDKQKILLRLMSAILDMFHFSEPNSGHEVVDGDSAASISCSISGNSKIASTTSYSGLRIPCHIQNYLHETVLPKIQKLLTSDSEKVNVNISLAVLKLLKLLPVDTMDSQLPSVIHRISNFLKNRLESIRDEARHALTACLKELGMEYLQFIVKVLQATLKRGYELHVLGYTLNFILSNTLTVPVAGTLDYCLEELLCIVENDVLGDVAEEKEVDKIASKMKETRKSRSFDTLKLIGQRITFRTHALKLLLPLSKYLEKHIKPKTKAKLEMMLQHVASGIECNPSVQLEELFIFVYGLIEDNIHEDDSKVDKASVVKSFNHGLAKSHLFIVFALGLLHNRLNNMKLDKKDEHLLTLLDPFVKLLGECLNSKYEDVLSASFRCLAPLVRLPLPSLEAEATKIKILLLDIAQTSGNPGSTLVQSCLKLLTVLLHSGRISLSDDQLHSLVQFPLFVDLQTNPSPVALSLLKSIIGRKLVVHEIYDLVMRVGELMVTNQSESIRKKCSQILLQFLLDYHLSDKRLQQHMDFLLTNLSYEHCSGREAVLEMLHTVLVKFPRSVLDAQAHAFFLHLVVALANEHDDKIRSMIATVIKELLSRTSRHALDPILAYSLSWYTSEKQHLWSAAAQVLGLLVDVLKKGFQKHINIILDVTRNILEHSVDASGETYDASNNLIMPFWKEAYHSLIMLEKMLLNIPRLAFEKDLEDIWEMICKLLLHPHVSLRNISSRLVALYFSVISEGGIGDNDRLKFETLSLLNPGNLFAITVSFLNQLRMPLTDDSTSHIVTQNLVFSVCGLHAFTRKSNNVFLHELWSTLDPHEQDSYLRAFESLGSKKARSVFLQATSSKVSAKLNQSEQESKGDLQALLVLPLLKRMGKIALETDNAQMTVIFNSFKTIASQIGSEGCQEYAVYLLLPLYKVCEGFAGKVIGDEVKQLAEEVRNSIRNELGVDNFVRTYNEIRKMLSMKRDKRRQKWRVAAVADPVRYAKRKLRIADKHRAHKKRKIELMKFGRWRR >DRNTG_20589.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3236394:3237056:-1 gene:DRNTG_20589 transcript:DRNTG_20589.13 gene_biotype:protein_coding transcript_biotype:protein_coding LPRALQWRTTSPWRSSPSTPPPAAAALSLRPSPNEWRISTSTYSVASTL >DRNTG_20589.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3220026:3225431:-1 gene:DRNTG_20589 transcript:DRNTG_20589.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYVKDGKGEHLRNACVETLASISSRMQWDSYRTLLMRCFREIKFKPDKQKILLRLMSAILDMFHFSEPNSGHEVVDGDSAASISCSISGNSKIASTTSYSGLRIPCHIQNYLHETVLPKIQKLLTSDSEKVNVNISLAVLKLLKLLPVDTMDSQLPSVIHRISNFLKNRLESIRDEARHALTACLKELGMEYLQFIVKVLQATLKRGYELHVLGYTLNFILSNTLTVPVAGTLDYCLEELLCIVENDVLGDVAEEKEVDKIASKMKETRKSRSFDTLKLIGQRITFRTHALKLLLPLSKYLEKHIKPKTKAKLEMMLQHVASGIECNPSVQLEELFIFVYGLIEDNIHEDDSKVDKASVVKSFNHGLAKSHLFIVFALGLLHNRLNNMKLDKKDEHLLTLLDPFVKLLGECLNSKYEDVLSASFRCLAPLVRLPLPSLEAEATKIKILLLDIAQTSGNPGSTLVQSCLKLLTVLLHSGRISLSDDQLHSLVQFPLFVDLQTNPSPVALSLLKSIIGRKLVVHEIYDLVMRVGELMVTNQSESIRKKCSQILLQFLLDYHLSDKRLQQHMDFLLTNLSYEHCSGREAVLEMLHTVLVKFPRSVLDAQAHAFFLHLVVALANEHDDKIRSMIATVIKELLSRTSRHALDPILAYSLSWYTSEKQHLWSAAAQVLGLLVDVLKKGFQKHINIILDVTRNILEHSVDASGETYDASNNLIMPFWKEAYHSLIMLEKMLLNIPRLAFEKDLEDIWEMICKLLLHPHVSLRNISSRLVALYFSVISEGGIGDNDRLKFETLSLLNPGNLFAITVSFLNQLRMPLTDDSTSHIVTQNLVFSVCGLHAFTRKSNNVFLHELWSTLDPHEQDSYLRAFESLGSKKARSVFLQATSSKVSAKLNQSEQESKGDLQALLVLPLLKRMGKIALETDNAQMTVIFNSFKTIASQIGSEGCQEYAVYLLLPLYKVCEGFAGKVIGDEVKQLAEEVRNSIRNELGVDNFVRTYNEIRKMLSMKRDKRRQKWRVAAVADPVRYAKRKLRIADKHRAHKKRKIELMKFGRWRR >DRNTG_20589.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3220026:3232627:-1 gene:DRNTG_20589 transcript:DRNTG_20589.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQAIWPGESQLSVFKLSVKHIRDPLTAGQLIDILLQFFRQKAKIHDDYLEGLHVIKDILPVLDDKKMGNVLNAIRPLLTHVDLDLRLLICDILDGLMMNDPSLTFLAKLLRRLNAVSASSLEIGEPDYDTRVEAYGSIKPELFSVLKDDHALIILSQCVYDMSSEELVFRQSASRALLSFVQFAGPIVNSEKKYCDEIISKFEPQGDKVNTTQRSSDTSVTWTKVSIQKIIKNIFLSNMGEAMKKDISVQKEWVILLRDMVYNFNGEPALNSLRPLYCEDVETDFFNNILHLQIHRRIKALAHFRNVISVGNISENVTVKIFVPLVLNMLFYVKDGKGEHLRNACVETLASISSRMQWDSYRTLLMRCFREIKFKPDKQKILLRLMSAILDMFHFSEPNSGHEVVDGDSAASISCSISGNSKIASTTSYSGLRIPCHIQNYLHETVLPKIQKLLTSDSEKVNVNISLAVLKLLKLLPVDTMDSQLPSVIHRISNFLKNRLESIRDEARHALTACLKELGMEYLQFIVKVLQATLKRGYELHVLGYTLNFILSNTLTVPVAGTLDYCLEELLCIVENDVLGDVAEEKEVDKIASKMKETRKSRSFDTLKLIGQRITFRTHALKLLLPLSKYLEKHIKPKTKAKLEMMLQHVASGIECNPSVQLEELFIFVYGLIEDNIHEDDSKVDKASVVKSFNHGLAKSHLFIVFALGLLHNRLNNMKLDKKDEHLLTLLDPFVKLLGECLNSKYEDVLSASFRCLAPLVRLPLPSLEAEATKIKILLLDIAQTSGNPGSTLVQSCLKLLTVLLHSGRISLSDDQLHSLVQFPLFVDLQTNPSPVALSLLKSIIGRKLVVHEIYDLVMRVGELMVTNQSESIRKKCSQILLQFLLDYHLSDKRLQQHMDFLLTNLSYEHCSGREAVLEMLHTVLVKFPRSVLDAQAHAFFLHLVVALANEHDDKIRSMIATVIKELLSRTSRHALDPILAYSLSWYTSEKQHLWSAAAQVLGLLVDVLKKGFQKHINIILDVTRNILEHSVDASGETYDASNNLIMPFWKEAYHSLIMLEKMLLNIPRLAFEKDLEDIWEMICKLLLHPHVSLRNISSRLVALYFSVISEGGIGDNDRLKFETLSLLNPGNLFAITVSFLNQLRMPLTDDSTSHIVTQNLVFSVCGLHAFTRKSNNVFLHELWSTLDPHEQDSYLRAFESLGSKKARSVFLQATSSKVSAKLNQSEQESKGDLQALLVLPLLKRMGKIALETDNAQMTVIFNSFKTIASQIGSEGCQEYAVYLLLPLYKVCEGFAGKVIGDEVKQLAEEVRNSIRNELGVDNFVRTYNEIRKMLSMKRDKRRQKWRVAAVADPVRYAKRKLRIADKHRAHKKRKIELMKFGRWRR >DRNTG_14269.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:931840:933718:1 gene:DRNTG_14269 transcript:DRNTG_14269.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPATQRRRSGAQPRGQPGGGNSAVKREQGTGEASELSGRSRGEEAASRRRRSDGGGGELGNG >DRNTG_14269.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:932082:933718:1 gene:DRNTG_14269 transcript:DRNTG_14269.10 gene_biotype:protein_coding transcript_biotype:protein_coding VYQSQNLIATKSSSSNDPITPYLHFFFKLIHSYKVDQSIAYLRESSETHNPTMASSHTRAFKDWKNHVP >DRNTG_14269.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:932021:933780:1 gene:DRNTG_14269 transcript:DRNTG_14269.2 gene_biotype:protein_coding transcript_biotype:protein_coding SPATQRRRSGAQPRGQPGGGNSAVKREQGTGEASELSGRSRGEEAASRRRRSDGGGGELGNG >DRNTG_14269.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:932082:933780:1 gene:DRNTG_14269 transcript:DRNTG_14269.3 gene_biotype:protein_coding transcript_biotype:protein_coding SPATQRRRSGAQPRGQPGGGNSAVKREQGTGEASELSGRSRGEEAASRRRRSDGGGGELGNG >DRNTG_14269.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:932082:933718:1 gene:DRNTG_14269 transcript:DRNTG_14269.11 gene_biotype:protein_coding transcript_biotype:protein_coding SPATQRRRSGAQPRGQPGGGNSAVKREQGTGEASELSGRSRGEEAASRRRRSDGGGGELGNG >DRNTG_14269.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:932082:933718:1 gene:DRNTG_14269 transcript:DRNTG_14269.9 gene_biotype:protein_coding transcript_biotype:protein_coding SPATQRRRSGAQPRGQPGGGNSAVKREQGTGEASELSGRSRGEEAASRRRRSDGGGGELGNG >DRNTG_14269.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:932021:933718:1 gene:DRNTG_14269 transcript:DRNTG_14269.6 gene_biotype:protein_coding transcript_biotype:protein_coding SPATQRRRSGAQPRGQPGGGNSAVKREQGTGEASELSGRSRGEEAASRRRRSDGGGGELGNG >DRNTG_14269.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:932021:933718:1 gene:DRNTG_14269 transcript:DRNTG_14269.5 gene_biotype:protein_coding transcript_biotype:protein_coding SPATQRRRSGAQPRGQPGGGNSAVKREQGTGEASELSGRSRGEEAASRRRRSDGGGGELGNG >DRNTG_14269.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:932082:933718:1 gene:DRNTG_14269 transcript:DRNTG_14269.8 gene_biotype:protein_coding transcript_biotype:protein_coding SPATQRRRSGAQPRGQPGGGNSAVKREQGTGEASELSGRSRGEEAASRRRRSDGGGGELGNG >DRNTG_14269.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:932082:933718:1 gene:DRNTG_14269 transcript:DRNTG_14269.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAKIFTYHQFHLRESSETHNPTMASSHTRAFKDWKNHVP >DRNTG_14269.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:932082:933780:1 gene:DRNTG_14269 transcript:DRNTG_14269.4 gene_biotype:protein_coding transcript_biotype:protein_coding SPATQRRRSGAQPRGQPGGGNSAVKREQGTGEASELSGRSRGEEAASRRRRSDGGGGELGNG >DRNTG_08337.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22475496:22478629:-1 gene:DRNTG_08337 transcript:DRNTG_08337.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDQEIPSFHKGLADHIDDAYQAFLEEDLASSLLEGVAGLVFMVLLLLANINLSMQACNSSGFLNGKSGSCNTDLNAECCKSGEKYPQFKCSPPVTDKTRATMTINSFDEGGDGGGESACDSRFHSDKELVVALSSGWFDDGSRCNKKIRINAKGKSVLAKVVDECDSVNGCDEEHDFQPPCRNNIVDASPAVWKALGITGEDVGELDITWTDA >DRNTG_02902.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23581573:23584551:1 gene:DRNTG_02902 transcript:DRNTG_02902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIPSSEMPFATPLKPSKPRPPTALILQANTHTIWNQP >DRNTG_02902.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23584029:23584551:1 gene:DRNTG_02902 transcript:DRNTG_02902.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKNRVLLIIFGDGFTWTRAPIPSNATTNVNHHDSNPFFRDALRHTTKTLEAETTNCVDSPSKHTHNLESTMKEDDN >DRNTG_25344.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24080933:24083874:-1 gene:DRNTG_25344 transcript:DRNTG_25344.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase WNK11 [Source:Projected from Arabidopsis thaliana (AT5G55560) UniProtKB/Swiss-Prot;Acc:Q6ICW6] MPCMGPSTEVDSDSEPFVEVDPTGRYGRYSDLLGAGAVKRVYRAFDQEDGIEVAWNQVRLRGLRGNEEMLDRLFSEIRLLRSLRHSNIITLYKVWSSDDHGGTINFITEVCTSGNLREYRMKHRHVSLKALKKWSLQILLGLEYLHNHEPCIIHRDLNCSNVFVNGNLGQVKIGDLGMAAIVERDHVAHSLLGTPEFMAPELYEEEYTEQVDIYAFGLCVLELVTLELPYSECDSVAKIYRKVSAGIRPAALGKVRDSEVKAFIERCLGKPRARPSATELLKDPFFFGLDDVPPELSPPPTPDSANPESLSLSCSEESSPDIASLSLQ >DRNTG_25344.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24080933:24083874:-1 gene:DRNTG_25344 transcript:DRNTG_25344.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase WNK11 [Source:Projected from Arabidopsis thaliana (AT5G55560) UniProtKB/Swiss-Prot;Acc:Q6ICW6] MPCMGPSTEVDSDSEPFVEVDPTGRYGRYSDLLGAGAVKRVYRAFDQEDGIEVAWNQVRLRGLRGNEEMLDRLFSEIRLLRSLRHSNIITLYKVWSSDDHGGTINFITEVCTSGNLREYRMKHRHVSLKALKKWSLQILLGLEYLHNHEPCIIHRDLNCSNVFVNGNLGQVKIGDLGMAAIVERDHVAHSLLGTPEFMAPELYEEEYTEQVDIYAFGLCVLELVTLELPYSECDSVAKIYRKVSAGIRPAALGKVRDSEVKAFIERCLGKPRARPSATELLKDPFFFGLDDVPPELSPPPTPDSANPESLSLSCSEESSPDIASLSLQ >DRNTG_25344.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24080933:24083874:-1 gene:DRNTG_25344 transcript:DRNTG_25344.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase WNK11 [Source:Projected from Arabidopsis thaliana (AT5G55560) UniProtKB/Swiss-Prot;Acc:Q6ICW6] MPCMGPSTEVDSDSEPFVEVDPTGRYGRYSDLLGAGAVKRVYRAFDQEDGIEVAWNQVRLRGLRGNEEMLDRLFSEIRLLRSLRHSNIITLYKVWSSDDHGGTINFITEVCTSGNLREYRMKHRHVSLKALKKWSLQILLGLEYLHNHEPCIIHRDLNCSNVFVNGNLGQVKIGDLGMAAIVERDHVAHSLLGTPEFMAPELYEEEYTEQVDIYAFGLCVLELVTLELPYSECDSVAKIYRKVSAGIRPAALGKVRDSEVKAFIERCLGKPRARPSATELLKDPFFFGLDDVPPELSPPPTPDSANPESLSLSCSEESSPDIASLSLQ >DRNTG_25344.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24080933:24083874:-1 gene:DRNTG_25344 transcript:DRNTG_25344.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase WNK11 [Source:Projected from Arabidopsis thaliana (AT5G55560) UniProtKB/Swiss-Prot;Acc:Q6ICW6] MPCMGPSTEVDSDSEPFVEVDPTGRYGRYSDLLGAGAVKRVYRAFDQEDGIEVAWNQVRLRGLRGNEEMLDRLFSEIRLLRSLRHSNIITLYKVWSSDDHGGTINFITEVCTSGNLREYRMKHRHVSLKALKKWSLQILLGLEYLHNHEPCIIHRDLNCSNVFVNGNLGQVKIGDLGMAAIVERDHVAHSLLGTPEFMAPELYEEEYTEQVDIYAFGLCVLELVTLELPYSECDSVAKIYRKVSAGIRPAALGKVRDSEVKAFIERCLGKPRARPSATELLKDPFFFGLDDVPPELSPPPTPDSANPESLSLSCSEESSPDIASLSLQ >DRNTG_25344.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24080933:24083874:-1 gene:DRNTG_25344 transcript:DRNTG_25344.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase WNK11 [Source:Projected from Arabidopsis thaliana (AT5G55560) UniProtKB/Swiss-Prot;Acc:Q6ICW6] MPCMGPSTEVDSDSEPFVEVDPTGRYGRYSDLLGAGAVKRVYRAFDQEDGIEVAWNQVRLRGLRGNEEMLDRLFSEIRLLRSLRHSNIITLYKVWSSDDHGGTINFITEVCTSGNLREYRMKHRHVSLKALKKWSLQILLGLEYLHNHEPCIIHRDLNCSNVFVNGNLGQVKIGDLGMAAIVERDHVAHSLLGTPEFMAPELYEEEYTEQVDIYAFGLCVLELVTLELPYSECDSVAKIYRKVSAGIRPAALGKVRDSEVKAFIERCLGKPRARPSATELLKDPFFFGLDDVPPELSPPPTPDSANPESLSLSCSEESSPDIASLSLQ >DRNTG_25344.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24080933:24083874:-1 gene:DRNTG_25344 transcript:DRNTG_25344.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase WNK11 [Source:Projected from Arabidopsis thaliana (AT5G55560) UniProtKB/Swiss-Prot;Acc:Q6ICW6] MPCMGPSTEVDSDSEPFVEVDPTGRYGRYSDLLGAGAVKRVYRAFDQEDGIEVAWNQVRLRGLRGNEEMLDRLFSEIRLLRSLRHSNIITLYKVWSSDDHGGTINFITEVCTSGNLREYRMKHRHVSLKALKKWSLQILLGLEYLHNHEPCIIHRDLNCSNVFVNGNLGQVKIGDLGMAAIVERDHVAHSLLGTPEFMAPELYEEEYTEQVDIYAFGLCVLELVTLELPYSECDSVAKIYRKVSAGIRPAALGKVRDSEVKAFIERCLGKPRARPSATELLKDPFFFGLDDVPPELSPPPTPDSANPESLSLSCSEESSPDIASLSLQ >DRNTG_30702.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1778110:1781586:-1 gene:DRNTG_30702 transcript:DRNTG_30702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTAEYHSRDFDWEELRDEVENDPSLLYHLTPSAPSLPPCNADAWRSFHRRHSSGKFFKERRYLLEEFPELRSSDGFAKVLEVGCGNGSTVLPILRAKKNMVIYACDCSAEVVERAKEIIFSTIGVSSEQRFHPFLLDFSVNKFPEWLFCSSCQNSPSRNQSSSSADAEEEMMMLNDTKAQCCIGGVDFVTLIFTLSAVPLQNMPFVLAECHSTLKPGCLLLFRDYGLYDMTMLRFPVEQKVGYREYMRLEGTLSYFFSLDIVRDLFVGAGFIELELEYCCVTSMNRKNGKKMQRVWVHGKFAKPS >DRNTG_30702.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1778110:1780831:-1 gene:DRNTG_30702 transcript:DRNTG_30702.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVIYACDCSAEVVERAKEIIFSTIGVSSEQRFHPFLLDFSVNKFPEWLFCSSCQNSPSRNQSSSSADAEEEMMMLNDTKAQCCIGGVDFVTLIFTLSAVPLQNMPFVLAECHSTLKPGCLLLFRDYGLYDMTMLRFPVEQKVGYREYMRLEGTLSYFFSLDIVRDLFVGAGFIELELEYCCVTSMNRKNGKKMQRVWVHGKFAKPS >DRNTG_30702.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1778110:1781586:-1 gene:DRNTG_30702 transcript:DRNTG_30702.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIYACDCSAEVVERAKEIIFSTIGVSSEQRFHPFLLDFSVNKFPEWLFCSSCQNSPSRNQSSSSADAEEEMMMLNDTKAQCCIGGVDFVTLIFTLSAVPLQNMPFVLAECHSTLKPGCLLLFRDYGLYDMTMLRFPVEQKVGYREYMRLEGTLSYFFSLDIVRDLFVGAGFIELELEYCCVTSMNRKNGKKMQRVWVHGKFAKPS >DRNTG_01967.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12971443:12971914:-1 gene:DRNTG_01967 transcript:DRNTG_01967.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFLPAPPMATERYMKRRFKPTDRIRPRSPLSLSLLLLLVAPPMAAKRERERERERERERERSNGWR >DRNTG_01967.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12971443:12972623:-1 gene:DRNTG_01967 transcript:DRNTG_01967.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSIVKLKPEERKAPKCYNASIQLTASDRTTSNPPVHADASLPPPSSCNGHTEREKHIQILRRTHYSESPLDFKEKKQTKDSDLTTNRHRCSSSFQLLQWQQRDI >DRNTG_26997.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11658706:11659078:-1 gene:DRNTG_26997 transcript:DRNTG_26997.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSMVLWRVLYSSSKDSFIRYRIRLDRSSPTNLLPKSGLNRL >DRNTG_28529.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6391743:6392441:-1 gene:DRNTG_28529 transcript:DRNTG_28529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVDEKRAAPPAIHRPKEAQGWKGASCPIRGPCSFKESASHQVDKCHSSEIVLCRGTTDTFTKLHSSHEIHLLQGSNPRPTVCLALSASASHQVFLSVCREQSLAKKVYAFSKLLHLPPQHNLGADEIEEYPPMEKPPIPRPLS >DRNTG_17910.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000924.1:22916:73180:1 gene:DRNTG_17910 transcript:DRNTG_17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDIFCTPVGDCSKSIMAPRSKKQADKRPCESSSEPEGMRFAIPEHQVRYEHLSRLRFGHTRFLDTTILRDLKQGDEFADEVEDLVSEGGWQRLLTIREPAIREFTLEVSSSFEFDRAYASFDSLGTIQFRVFGRHHSLSIT >DRNTG_03115.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13905036:13909873:1 gene:DRNTG_03115 transcript:DRNTG_03115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSRSICKKVSGRAFVNTDPVSDTPRELDKARLEKG >DRNTG_02566.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19478633:19479156:-1 gene:DRNTG_02566 transcript:DRNTG_02566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQWHLPAPSLGESECSLAKSQTVVVLLGWLGAKQKHLNKYAEWYTARGFHAITFTFPMGDIIGYKVGGKVEQNLDLFAEHLAGCVAEEDGKKLVFHTFSNTGWLTYGVLLEKFKKNDPSLMGKIKGCIVDSAPVAAPDPQVRD >DRNTG_28447.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3408088:3409894:1 gene:DRNTG_28447 transcript:DRNTG_28447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLERSGARFVWCVRDTSAVPDEEFEMRVRGRGVVIRGWAPQVELLSHRAVGSFLTHCGWNSVLEAVASGVVMLTWPFGADQFVGARLMSEAGVAVRVCEGSDSVPDPDELGRIFG >DRNTG_08782.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7344977:7345621:1 gene:DRNTG_08782 transcript:DRNTG_08782.1 gene_biotype:protein_coding transcript_biotype:protein_coding QQRKRTMSGTRKNIKSLFSGPSKMRTKLS >DRNTG_29182.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24256934:24260495:1 gene:DRNTG_29182 transcript:DRNTG_29182.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSIQIGNKKYHVMKGISYRDRVLQLLDFDLLLDGPSCPQKMSNTSFDFSLFTYPDDNQDTNLTVFLDCSSPLFMDFLFPTQCYNNGFFGHYSYFTLQSFGQKLPMHDLLANCDTTVLLPVSNLNFSPETFRNGTMNFFEAFEVGFNLTWTVDQGWCENECLKTGGICGSDPNGTKANACFCPSGTMSNGTSCTGNHFSFSISFPSFPELSNTALIMELYTFRALAFKLIAFIRPIVCCR >DRNTG_29182.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24253320:24260603:1 gene:DRNTG_29182 transcript:DRNTG_29182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVEYGSKLYPTHIFRYEELQEATSNFAEANELGDGGFGTVYKGKLGDGRTVAVKRLYENNYRRVEQFANEVQILSGLRHNNLVSLYGCTSRHSRELLLVYEFVPNGTVADHLHGDRVQQGSLPWSVRMSIAIETADALSYLHAVDIIHRDVKTHNILLDNDFHVKVADFGLSRLFPAHVTHVSTAPQGTPGYVDPEYHQCYQLTGKSDVYSFGSSVG >DRNTG_29182.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24253320:24260495:1 gene:DRNTG_29182 transcript:DRNTG_29182.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVEYGSKLYPTHIFRYEELQEATSNFAEANELGDGGFGTVYKGKLGDGRTVAVKRLYENNYRRVEQFANEVQILSGLRHNNLVSLYGCTSRHSRELLLVYEFVPNGTVADHLHGDRVQQGSLPWSVRMSIAIETADALSYLHAVDIIHRDVKTHNILLDNDFHVKVADFGLSRLFPAHVTHVSTAPQGTPGYVDPEYHQCYQLTGKSDVYSFGSSVG >DRNTG_29182.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24253320:24260603:1 gene:DRNTG_29182 transcript:DRNTG_29182.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVEYGSKLYPTHIFRYEELQEATSNFAEANELGDGGFGTVYKGKLGDGRTVAVKRLYENNYRRVEQFANEVQILSGLRHNNLVSLYGCTSRHSRELLLVYEFVPNGTVADHLHGDRVQQGSLPWSVRMSIAIETADALSYLHAVDIIHRDVKTHNILLDNDFHVKVADFGLSRLFPAHVTHVSTAPQGTPGYVDPEYHQCYQLTGKSDVYSFGSSVG >DRNTG_29182.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24253320:24254461:1 gene:DRNTG_29182 transcript:DRNTG_29182.8 gene_biotype:protein_coding transcript_biotype:protein_coding LNCSISTILNLNKFFPIPCTSYFPDFFGQKAFFTLAKEHIEFPENEQCNVTVLIPVYDQFNLGDFITGAKNFSDVLKAGFGVKWTIGQGWCDDCTKSGGLCGSNDINPACFCPVGTTTVGTICSHGINVLALSSLFPFLIFLVARDDHIRDSGSRVPFVC >DRNTG_29182.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24256934:24260495:1 gene:DRNTG_29182 transcript:DRNTG_29182.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSIQIGNKKYHVMKGISYRDRVLQLLDFDLLLDGPSCPQKMSNTSFDFSLFTYPDDNQDTNLTVFLDCSSPLFMDFLFPTQCYNNGFFGHYSYFTLQSFGQKLPMHDLLANCDTTVLLPVSNLNFSPETFRNGTMNFFEAFEVGFNLTWTVDQGWCENECLKTGGICGSDPNGTKANACFCPSGTMSNGTSCTGNHFSFSISFPSFPELSNTALIMELYTFRALAFKLIAFIRPIVCCR >DRNTG_29182.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24256934:24260603:1 gene:DRNTG_29182 transcript:DRNTG_29182.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSIQIGNKKYHVMKGISYRDRVLQLLDFDLLLDGPSCPQKMSNTSFDFSLFTYPDDNQDTNLTVFLDCSSPLFMDFLFPTQCYNNGFFGHYSYFTLQSFGQKLPMHDLLANCDTTVLLPVSNLNFSPETFRNGTMNFFEAFEVGFNLTWTVDQGWCENECLKTGGICGSDPNGTKANACFCPSGTMSNGTSCTGNHFSFSISFPSFPELSNTALIMELYTFRALAFKLIAFIRPIVCCR >DRNTG_29182.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24256934:24260603:1 gene:DRNTG_29182 transcript:DRNTG_29182.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSIQIGNKKYHVMKGISYRDRVLQLLDFDLLLDGPSCPQKMSNTSFDFSLFTYPDDNQDTNLTVFLDCSSPLFMDFLFPTQCYNNGFFGHYSYFTLQSFGQKLPMHDLLANCDTTVLLPVSNLNFSPETFRNGTMNFFEAFEVGFNLTWTVDQGWCENECLKTGGICGSDPNGTKANACFCPSGTMSNGTSCTGKSNRNKIIIGVVLGVAGILAICCLWLLYSRRKRKQRSSSTLLGRSSPEEPSSMKDVEYGSKLYPTHIFRYEELQEATSNFAEANELGDGGFGTVYKGKLGDGRTVAVKRLYENNYRRVEQFANEVQILSGLRHNNLVSLYGCTSRHSRELLLVYEFVPNGTVADHLHGDRVQQGSLPWSVRMSIAIETADALSYLHAVDIIHRDVKTHNILLDNDFHVKVADFGLSRLFPAHVTHVSTAPQGTPGYVDPEYHQCYQLTGKSDVYSFGSSVG >DRNTG_02632.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21404510:21404856:-1 gene:DRNTG_02632 transcript:DRNTG_02632.1 gene_biotype:protein_coding transcript_biotype:protein_coding DKNTTPSGFTLKLRKHIRTRRLEDVRQLGYDRIILFQFGLGANAHYVILELYAQGNILLTDSEYTVLTLLRSH >DRNTG_17642.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4148923:4152262:1 gene:DRNTG_17642 transcript:DRNTG_17642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSITSMPLIFQPFNFIVFPLLLCFFFIPLSCLLGSRPVDLRPFVCAPGPASRVRGVTLKPST >DRNTG_13568.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:966357:968405:-1 gene:DRNTG_13568 transcript:DRNTG_13568.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKNTITTTTTTTTTTTTITITTTTTMLSLLHLLLFFLFSSSMYTSIQVQAASPYPQYNNTKQQLELRTKTSSNQSTNIPLSTSKKYEGSSELIHLRYHMGPVLSSPINLFLIWYGHWSSLHAKLQSATSSSPSPTPTPAPDPIQLFPNGGDPQPHSTPIKQDPTSPAE >DRNTG_13568.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:966357:968405:-1 gene:DRNTG_13568 transcript:DRNTG_13568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKNTITTTTTTTTTTTTITITTTTTMLSLLHLLLFFLFSSSMYTSIQVQAASPYPQYNNTKQQLELRTKTSSNQSTNIPLSTSKKYEGSSELIHLRYHMGPVLSSPINLFLIWYGHWSSLHAKLQSATSSSPSPTPTPAPDPIQLFPNGGDPQPHSTPIKQDPTSPAE >DRNTG_13568.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:966357:968276:-1 gene:DRNTG_13568 transcript:DRNTG_13568.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKNTITTTTTTTTTTTTITITTTTTMLSLLHLLLFFLFSSSMYTSIQVQAASPYPQYNNTKQQLELRTKTSSNQSTNIPLSTSKKYEGSSELIHLRYHMGPVLSSPINLFLIWYGHWSSLHAKLQSATSSSPSPTPTPAPDPIQLFPNGGDPQPHSTPIKQDPTSPAE >DRNTG_13568.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:966357:968276:-1 gene:DRNTG_13568 transcript:DRNTG_13568.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKNTITTTTTTTTTTTTITITTTTTMLSLLHLLLFFLFSSSMYTSIQVQAASPYPQYNNTKQQLELRTKTSSNQSTNIPLSTSKKYEGSSELIHLRYHMGPVLSSPINLFLIWYGHWSSLHAKLQSATSSSPSPTPTPAPDPIQLFPNGGDPQPHSTPIKQDPTSPAE >DRNTG_32016.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23594911:23598010:1 gene:DRNTG_32016 transcript:DRNTG_32016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEQRNFPSVTHCQPSDRESHSVAADLDGTLLVSRSSFPYFFLLAVEAGSFLRAAILLIISPIILAVYRLISEAAGIQIMIYVTVRGLRMRDVELVARAVLPRFYAADVRADSWKPFRSCGRRRVVVTANPTVMVEPFVKEYLGGDRVLGTELEVNPKTRRLTGFVTPPGVLVGVRKRDAVVKEFGDESPDLGLGDRETDHDFMALCKEAYMVFPQQESGESSPIRAGQPDHLPRRSSRSSPQNPARRR >DRNTG_32016.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23594911:23595594:1 gene:DRNTG_32016 transcript:DRNTG_32016.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEQRNFPSVTHCQPSDRESHSVAADLDGTLLVSRSSFPYFFLLAVEAGSFLRAAILLIISPIILAVYRLISEAAGIQIMIYVTVRGLRMRDVELVARAVLPRFYAADVRADSWKPFRSCGRRRVVVTANPTVMVEPFVKEYLGGDRVLGTELEVNPKTRRLTGFVTPPGVLVGVRKRDAVVKEFGDESPDLGLGDRETDHDFMALCK >DRNTG_15577.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:514093:515821:-1 gene:DRNTG_15577 transcript:DRNTG_15577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNGLVLTRKLPKLKERGLNSINISLDTLVPAKFEFMTRRKGHNKVLESIDAAVDLGFRPVKVNCVVIRGVNDEEICDFVELTRDKPINVRFIEFMPFDGNVWSSKKLVSYAEMMDTVRQQFNSIKRLKDHPTDTAKNFMIDGHCGTVSFITSMTENFCAGCNRIRLLADGNFKVCLFGPSEVSLRDPLRAGIDDLGLKEIIGAAIKRKKAAHAGMFDIAKTSNRPMIHIGG >DRNTG_02165.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29226606:29233382:1 gene:DRNTG_02165 transcript:DRNTG_02165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGVSPSSPDLDSTSELLGNLDLIGRILDMPDMDGMDVDLVLEVPDTPESVVRNRGSRFSAFNEDVPGLNSLSSDRRAGRARKTYGHQSSESSSCDLVGTDNSQDETELLFRQARLARLLSEDRDGKASHPTFERNVVSNGNGRSSNHQSDSHSPGHRDSRRRIEKELHPGHEGSGNFHLKHTDKGKGIVLRSDSKDKIKQTLLGGSPIDAHRNTGRRRLVVIHNGCTSPFGTAKSGISPVHDGQNNAESAHVQISSSTSGISSRNVKKQVDVDSDSKLKTGQLNSKHSEMDSFPCRNGQRRLVRNGCIAPCNIKRDNSHAGIKGDDFGCNTHNEGSLVKEVHVISPDSSDRCADKGKGKAITDDNVGASFQTSGLTSQSTRFCPFPIKEVISGGNPGCRSLKSSEDKLRRPAHNSQASVALSEYTTDFHKIENVNSKSHGTTRNRDCVDVVDGNPEIIAPCSEYNSNTARELSDLVSDDRSLGRHTLVRGKRKSSSIRTNGGECSSSTADEAEVMFVRSSAQPTNQRSSRTQNTQLHGCSLLKPILEGDELDPANFRSGADPDEQCYGACEDSSAKARQVESDEILARQLQEQLFNESPVFEEMDATIALSLQQEENLQRAASFLRQGQPHPRNNSTAPVYAPPTRASGRSASARPTARSRIPASSRMAYLRSLNRPARDLETRLNFLEALEAEFDNRNRLNNVLQLQREFNENDYEMLLALDDNNEQAGASQRQINNLPQSVVQNENFEEACAVCLETPSIGDTIRHLPCLHKFHKDCIDSWLKRKTLCPICKCGIT >DRNTG_10467.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3947651:3948414:1 gene:DRNTG_10467 transcript:DRNTG_10467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMRRRRTRRSMGIGVAAVRERERKR >DRNTG_12880.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11123636:11126669:-1 gene:DRNTG_12880 transcript:DRNTG_12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLWELAGNFHLGREISVHDHRSVNWLFGTTSLVPGLSLSVMDTVIIVFSTLFSVAIHELGHAIAAASTGLQIEYIAVFLAIIFPGALVAFNYDVLQSLPRFSMLRIYCAGIWLNAV >DRNTG_26318.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21414357:21416724:1 gene:DRNTG_26318 transcript:DRNTG_26318.13 gene_biotype:protein_coding transcript_biotype:protein_coding MVWEAVQERCTVKGTCGPNAICVPENYNEPSCVCPPGYRWTNVEQVACVLKTNFSGADSKFLRLDFVAFDNGPGTVDSTPQSFDDCRTKCLVNSSCAGFSYRYNGDRYCLQYSEQLAYGYWSPATKTVTYIRVARSERDVSNFTGMTSLVDTVCPVNISLPEPAKESKTTARNLAIIITLFAAELLVAVMSFWAFLSKYSKYQDMARAFGLEFLPAGGPKRFSYAELKAATNDFSNLLGRGAFGQVFKGELPDHRVVAVKRLKNVDTGEAEFWAEVTIIARMHHLNLVRMWGFCAEREQRMLVYEYIPNGSLDKYLFQPRGMKMNNAIDTPERPLLDWNIRYRIAVGVARAIAYLHEECLEWVLHCDIKPENILLEDDFCPKVSDFGLSKLANKEDMVSMSNVRGTPGYMAPEWVMPGQHVTAKADVFSFGMVLLEIVSGERNNEFRQSTMVSEEWYYPKWAFEKVYLEKKVEDILDRRILDKFDDREHFALVDRMVKTAMWCLQGRPEMRPSMGKVAKMLEGSVEIMEPEKPTIFYLGDAH >DRNTG_26318.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21414214:21416823:1 gene:DRNTG_26318 transcript:DRNTG_26318.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLISTMIPLLQLLLLFTIQPKPSLALQLLSTFTSTKSPWNFSDDLLLVSSDQVFAAGFRTSPPSFAVFVYNSTNQTTVWSVKTSPLSSIVISSSGEASLNDSSGRNIFSNAAISNDTKLVLNNDGSLVLNNWTSFDSPTDTILANQAIHSNGTSLTSSNGKYQFINATNLVFNNNSTYWGPSNVGAIRNLSSDGKLIGDGGSLIASNFGSTRALRRLTLDSDGNLRVYSLRRSGGWRMVWEAVQERCTVKGTCGPNAICVPENYNEPSCVCPPGYRWTNVEQVACVLKTNFSGADSKFLRLDFVAFDNGPGTVDSTPQSFDDCRTKCLVNSSCAGFSYRYNGDRYCLQYSEQLAYGYWSPATKTVTYIRVARSERDVSNFTGMTSLVDTVCPVNISLPEPAKESKTTARNLAIIITLFAAELLVAVMSFWAFLSKYSKYQDMARAFGLEFLPAGGPKRFSYAELKAATNDFSNLLGRGAFGQVFKGELPDHRVVAVKRLKNVDTGEAEFWAEVTIIARMHHLNLVRMWGFCAEREQRMLVYEYIPNGSLDKYLFQPRGMKMNNAIDTPERPLLDWNIRYRIAVGVARAIAYLHEECLEWVLHCDIKPENILLEDDFCPKVSDFGLSKLANKEDMVSMSNVRGTPGYMAPEWVMPGQHVTAKADVFSFGMVLLEIVSGERNNEFRQSTMVSEEWYYPKWAFEKVYLEKKVEDILDRRILDKFDDREHFALVDRMVKTAMWCLQGRPEMRPSMGKVAKMLEGSVEIMEPEKPTIFYLGDAH >DRNTG_26318.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21414357:21416823:1 gene:DRNTG_26318 transcript:DRNTG_26318.12 gene_biotype:protein_coding transcript_biotype:protein_coding MVWEAVQERCTVKGTCGPNAICVPENYNEPSCVCPPGYRWTNVEQVACVLKTNFSGADSKFLRLDFVAFDNGPGTVDSTPQSFDDCRTKCLVNSSCAGFSYRYNGDRYCLQYSEQLAYGYWSPATKTVTYIRVARSERDVSNFTGMTSLVDTVCPVNISLPEPAKESKTTARNLAIIITLFAAELLVAVMSFWAFLSKYSKYQDMARAFGLEFLPAGGPKRFSYAELKAATNDFSNLLGRGAFGQVFKGELPDHRVVAVKRLKNVDTGEAEFWAEVTIIARMHHLNLVRMWGFCAEREQRMLVYEYIPNGSLDKYLFQPRGMKMNNAIDTPERPLLDWNIRYRIAVGVARAIAYLHEECLEWVLHCDIKPENILLEDDFCPKVSDFGLSKLANKEDMVSMSNVRGTPGYMAPEWVMPGQHVTAKADVFSFGMVLLEIVSGERNNEFRQSTMVSEEWYYPKWAFEKVYLEKKVEDILDRRILDKFDDREHFALVDRMVKTAMWCLQGRPEMRPSMGKVAKMLEGSVEIMEPEKPTIFYLGDAH >DRNTG_26318.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21414214:21416724:1 gene:DRNTG_26318 transcript:DRNTG_26318.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLISTMIPLLQLLLLFTIQPKPSLALQLLSTFTSTKSPWNFSDDLLLVSSDQVFAAGFRTSPPSFAVFVYNSTNQTTVWSVKTSPLSSIVISSSGEASLNDSSGRNIFSNAAISNDTKLVLNNDGSLVLNNWTSFDSPTDTILANQAIHSNGTSLTSSNGKYQFINATNLVFNNNSTYWGPSNVGAIRNLSSDGKLIGDGGSLIASNFGSTRALRRLTLDSDGNLRVYSLRRSGGWRMVWEAVQERCTVKGTCGPNAICVPENYNEPSCVCPPGYRWTNVEQVACVLKTNFSGADSKFLRLDFVAFDNGPGTVDSTPQSFDDCRTKCLVNSSCAGFSYRYNGDRYCLQYSEQLAYGYWSPATKTVTYIRVARSERDVSNFTGMTSLVDTVCPVNISLPEPAKESKTTARNLAIIITLFAAELLVAVMSFWAFLSKYSKYQDMARAFGLEFLPAGGPKRFSYAELKAATNDFSNLLGRGAFGQVFKGELPDHRVVAVKRLKNVDTGEAEFWAEVTIIARMHHLNLVRMWGFCAEREQRMLVYEYIPNGSLDKYLFQPRGMKMNNAIDTPERPLLDWNIRYRIAVGVARAIAYLHEECLEWVLHCDIKPENILLEDDFCPKVSDFGLSKLANKEDMVSMSNVRGTPGYMAPEWVMPGQHVTAKADVFSFGMVLLEIVSGERNNEFRQSTMVSEEWYYPKWAFEKVYLEKKVEDILDRRILDKFDDREHFALVDRMVKTAMWCLQGRPEMRPSMGKVAKMLEGSVEIMEPEKPTIFYLGDAH >DRNTG_26318.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21414098:21416724:1 gene:DRNTG_26318 transcript:DRNTG_26318.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLISTMIPLLQLLLLFTIQPKPSLALQLLSTFTSTKSPWNFSDDLLLVSSDQVFAAGFRTSPPSFAVFVYNSTNQTTVWSVKTSPLSSIVISSSGEASLNDSSGRNIFSNAAISNDTKLVLNNDGSLVLNNWTSFDSPTDTILANQAIHSNGTSLTSSNGKYQFINATNLVFNNNSTYWGPSNVGAIRNLSSDGKLIGDGGSLIASNFGSTRALRRLTLDSDGNLRVYSLRRSGGWRMVWEAVQERCTVKGTCGPNAICVPENYNEPSCVCPPGYRWTNVEQVACVLKTNFSGADSKFLRLDFVAFDNGPGTVDSTPQSFDDCRTKCLVNSSCAGFSYRYNGDRYCLQYSEQLAYGYWSPATKTVTYIRVARSERDVSNFTGMTSLVDTVCPVNISLPEPAKESKTTARNLAIIITLFAAELLVAVMSFWAFLSKYSKYQDMARAFGLEFLPAGGPKRFSYAELKAATNDFSNLLGRGAFGQVFKGELPDHRVVAVKRLKNVDTGEAEFWAEVTIIARMHHLNLVRMWGFCAEREQRMLVYEYIPNGSLDKYLFQPRGMKMNNAIDTPERPLLDWNIRYRIAVGVARAIAYLHEECLEWVLHCDIKPENILLEDDFCPKVSDFGLSKLANKEDMVSMSNVRGTPGYMAPEWVMPGQHVTAKADVFSFGMVLLEIVSGERNNEFRQSTMVSEEWYYPKWAFEKVYLEKKVEDILDRRILDKFDDREHFALVDRMVKTAMWCLQGRPEMRPSMGKVAKMLEGSVEIMEPEKPTIFYLGDAH >DRNTG_26318.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21414098:21416946:1 gene:DRNTG_26318 transcript:DRNTG_26318.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLISTMIPLLQLLLLFTIQPKPSLALQLLSTFTSTKSPWNFSDDLLLVSSDQVFAAGFRTSPPSFAVFVYNSTNQTTVWSVKTSPLSSIVISSSGEASLNDSSGRNIFSNAAISNDTKLVLNNDGSLVLNNWTSFDSPTDTILANQAIHSNGTSLTSSNGKYQFINATNLVFNNNSTYWGPSNVGAIRNLSSDGKLIGDGGSLIASNFGSTRALRRLTLDSDGNLRVYSLRRSGGWRMVWEAVQERCTVKGTCGPNAICVPENYNEPSCVCPPGYRWTNVEQVACVLKTNFSGADSKFLRLDFVAFDNGPGTVDSTPQSFDDCRTKCLVNSSCAGFSYRYNGDRYCLQYSEQLAYGYWSPATKTVTYIRVARSERDVSNFTGMTSLVDTVCPVNISLPEPAKESKTTARNLAIIITLFAAELLVAVMSFWAFLSKYSKYQDMARAFGLEFLPAGGPKRFSYAELKAATNDFSNLLGRGAFGQVFKGELPDHRVVAVKRLKNVDTGEAEFWAEVTIIARMHHLNLVRMWGFCAEREQRMLVYEYIPNGSLDKYLFQPRGMKMNNAIDTPERPLLDWNIRYRIAVGVARAIAYLHEECLEWVLHCDIKPENILLEDDFCPKVSDFGLSKLANKEDMVSMSNVRGTPGYMAPEWVMPGQHVTAKADVFSFGMVLLEIVSGERNNEFRQSTMVSEEWYYPKWAFEKVYLEKKVEDILDRRILDKFDDREHFALVDRMVKTAMWCLQGRPEMRPSMGKVAKMLEGSVEIMEPEKPTIFYLGDAH >DRNTG_26318.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21414357:21416946:1 gene:DRNTG_26318 transcript:DRNTG_26318.10 gene_biotype:protein_coding transcript_biotype:protein_coding MVWEAVQERCTVKGTCGPNAICVPENYNEPSCVCPPGYRWTNVEQVACVLKTNFSGADSKFLRLDFVAFDNGPGTVDSTPQSFDDCRTKCLVNSSCAGFSYRYNGDRYCLQYSEQLAYGYWSPATKTVTYIRVARSERDVSNFTGMTSLVDTVCPVNISLPEPAKESKTTARNLAIIITLFAAELLVAVMSFWAFLSKYSKYQDMARAFGLEFLPAGGPKRFSYAELKAATNDFSNLLGRGAFGQVFKGELPDHRVVAVKRLKNVDTGEAEFWAEVTIIARMHHLNLVRMWGFCAEREQRMLVYEYIPNGSLDKYLFQPRGMKMNNAIDTPERPLLDWNIRYRIAVGVARAIAYLHEECLEWVLHCDIKPENILLEDDFCPKVSDFGLSKLANKEDMVSMSNVRGTPGYMAPEWVMPGQHVTAKADVFSFGMVLLEIVSGERNNEFRQSTMVSEEWYYPKWAFEKVYLEKKVEDILDRRILDKFDDREHFALVDRMVKTAMWCLQGRPEMRPSMGKVAKMLEGSVEIMEPEKPTIFYLGDAH >DRNTG_26318.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21414214:21416946:1 gene:DRNTG_26318 transcript:DRNTG_26318.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLISTMIPLLQLLLLFTIQPKPSLALQLLSTFTSTKSPWNFSDDLLLVSSDQVFAAGFRTSPPSFAVFVYNSTNQTTVWSVKTSPLSSIVISSSGEASLNDSSGRNIFSNAAISNDTKLVLNNDGSLVLNNWTSFDSPTDTILANQAIHSNGTSLTSSNGKYQFINATNLVFNNNSTYWGPSNVGAIRNLSSDGKLIGDGGSLIASNFGSTRALRRLTLDSDGNLRVYSLRRSGGWRMVWEAVQERCTVKGTCGPNAICVPENYNEPSCVCPPGYRWTNVEQVACVLKTNFSGADSKFLRLDFVAFDNGPGTVDSTPQSFDDCRTKCLVNSSCAGFSYRYNGDRYCLQYSEQLAYGYWSPATKTVTYIRVARSERDVSNFTGMTSLVDTVCPVNISLPEPAKESKTTARNLAIIITLFAAELLVAVMSFWAFLSKYSKYQDMARAFGLEFLPAGGPKRFSYAELKAATNDFSNLLGRGAFGQVFKGELPDHRVVAVKRLKNVDTGEAEFWAEVTIIARMHHLNLVRMWGFCAEREQRMLVYEYIPNGSLDKYLFQPRGMKMNNAIDTPERPLLDWNIRYRIAVGVARAIAYLHEECLEWVLHCDIKPENILLEDDFCPKVSDFGLSKLANKEDMVSMSNVRGTPGYMAPEWVMPGQHVTAKADVFSFGMVLLEIVSGERNNEFRQSTMVSEEWYYPKWAFEKVYLEKKVEDILDRRILDKFDDREHFALVDRMVKTAMWCLQGRPEMRPSMGKVAKMLEGSVEIMEPEKPTIFYLGDAH >DRNTG_26318.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21413789:21416823:1 gene:DRNTG_26318 transcript:DRNTG_26318.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLISTMIPLLQLLLLFTIQPKPSLALQLLSTFTSTKSPWNFSDDLLLVSSDQVFAAGFRTSPPSFAVFVYNSTNQTTVWSVKTSPLSSIVISSSGEASLNDSSGRNIFSNAAISNDTKLVLNNDGSLVLNNWTSFDSPTDTILANQAIHSNGTSLTSSNGKYQFINATNLVFNNNSTYWGPSNVGAIRNLSSDGKLIGDGGSLIASNFGSTRALRRLTLDSDGNLRVYSLRRSGGWRMVWEAVQERCTVKGTCGPNAICVPENYNEPSCVCPPGYRWTNVEQVACVLKTNFSGADSKFLRLDFVAFDNGPGTVDSTPQSFDDCRTKCLVNSSCAGFSYRYNGDRYCLQYSEQLAYGYWSPATKTVTYIRVARSERDVSNFTGMTSLVDTVCPVNISLPEPAKESKTTARNLAIIITLFAAELLVAVMSFWAFLSKYSKYQDMARAFGLEFLPAGGPKRFSYAELKAATNDFSNLLGRGAFGQVFKGELPDHRVVAVKRLKNVDTGEAEFWAEVTIIARMHHLNLVRMWGFCAEREQRMLVYEYIPNGSLDKYLFQPRGMKMNNAIDTPERPLLDWNIRYRIAVGVARAIAYLHEECLEWVLHCDIKPENILLEDDFCPKVSDFGLSKLANKEDMVSMSNVRGTPGYMAPEWVMPGQHVTAKADVFSFGMVLLEIVSGERNNEFRQSTMVSEEWYYPKWAFEKVYLEKKVEDILDRRILDKFDDREHFALVDRMVKTAMWCLQGRPEMRPSMGKVAKMLEGSVEIMEPEKPTIFYLGDAH >DRNTG_26318.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21413789:21416946:1 gene:DRNTG_26318 transcript:DRNTG_26318.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLISTMIPLLQLLLLFTIQPKPSLALQLLSTFTSTKSPWNFSDDLLLVSSDQVFAAGFRTSPPSFAVFVYNSTNQTTVWSVKTSPLSSIVISSSGEASLNDSSGRNIFSNAAISNDTKLVLNNDGSLVLNNWTSFDSPTDTILANQAIHSNGTSLTSSNGKYQFINATNLVFNNNSTYWGPSNVGAIRNLSSDGKLIGDGGSLIASNFGSTRALRRLTLDSDGNLRVYSLRRSGGWRMVWEAVQERCTVKGTCGPNAICVPENYNEPSCVCPPGYRWTNVEQVACVLKTNFSGADSKFLRLDFVAFDNGPGTVDSTPQSFDDCRTKCLVNSSCAGFSYRYNGDRYCLQYSEQLAYGYWSPATKTVTYIRVARSERDVSNFTGMTSLVDTVCPVNISLPEPAKESKTTARNLAIIITLFAAELLVAVMSFWAFLSKYSKYQDMARAFGLEFLPAGGPKRFSYAELKAATNDFSNLLGRGAFGQVFKGELPDHRVVAVKRLKNVDTGEAEFWAEVTIIARMHHLNLVRMWGFCAEREQRMLVYEYIPNGSLDKYLFQPRGMKMNNAIDTPERPLLDWNIRYRIAVGVARAIAYLHEECLEWVLHCDIKPENILLEDDFCPKVSDFGLSKLANKEDMVSMSNVRGTPGYMAPEWVMPGQHVTAKADVFSFGMVLLEIVSGERNNEFRQSTMVSEEWYYPKWAFEKVYLEKKVEDILDRRILDKFDDREHFALVDRMVKTAMWCLQGRPEMRPSMGKVAKMLEGSVEIMEPEKPTIFYLGDAH >DRNTG_26318.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21414098:21416823:1 gene:DRNTG_26318 transcript:DRNTG_26318.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLISTMIPLLQLLLLFTIQPKPSLALQLLSTFTSTKSPWNFSDDLLLVSSDQVFAAGFRTSPPSFAVFVYNSTNQTTVWSVKTSPLSSIVISSSGEASLNDSSGRNIFSNAAISNDTKLVLNNDGSLVLNNWTSFDSPTDTILANQAIHSNGTSLTSSNGKYQFINATNLVFNNNSTYWGPSNVGAIRNLSSDGKLIGDGGSLIASNFGSTRALRRLTLDSDGNLRVYSLRRSGGWRMVWEAVQERCTVKGTCGPNAICVPENYNEPSCVCPPGYRWTNVEQVACVLKTNFSGADSKFLRLDFVAFDNGPGTVDSTPQSFDDCRTKCLVNSSCAGFSYRYNGDRYCLQYSEQLAYGYWSPATKTVTYIRVARSERDVSNFTGMTSLVDTVCPVNISLPEPAKESKTTARNLAIIITLFAAELLVAVMSFWAFLSKYSKYQDMARAFGLEFLPAGGPKRFSYAELKAATNDFSNLLGRGAFGQVFKGELPDHRVVAVKRLKNVDTGEAEFWAEVTIIARMHHLNLVRMWGFCAEREQRMLVYEYIPNGSLDKYLFQPRGMKMNNAIDTPERPLLDWNIRYRIAVGVARAIAYLHEECLEWVLHCDIKPENILLEDDFCPKVSDFGLSKLANKEDMVSMSNVRGTPGYMAPEWVMPGQHVTAKADVFSFGMVLLEIVSGERNNEFRQSTMVSEEWYYPKWAFEKVYLEKKVEDILDRRILDKFDDREHFALVDRMVKTAMWCLQGRPEMRPSMGKVAKMLEGSVEIMEPEKPTIFYLGDAH >DRNTG_26318.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21413789:21416724:1 gene:DRNTG_26318 transcript:DRNTG_26318.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLISTMIPLLQLLLLFTIQPKPSLALQLLSTFTSTKSPWNFSDDLLLVSSDQVFAAGFRTSPPSFAVFVYNSTNQTTVWSVKTSPLSSIVISSSGEASLNDSSGRNIFSNAAISNDTKLVLNNDGSLVLNNWTSFDSPTDTILANQAIHSNGTSLTSSNGKYQFINATNLVFNNNSTYWGPSNVGAIRNLSSDGKLIGDGGSLIASNFGSTRALRRLTLDSDGNLRVYSLRRSGGWRMVWEAVQERCTVKGTCGPNAICVPENYNEPSCVCPPGYRWTNVEQVACVLKTNFSGADSKFLRLDFVAFDNGPGTVDSTPQSFDDCRTKCLVNSSCAGFSYRYNGDRYCLQYSEQLAYGYWSPATKTVTYIRVARSERDVSNFTGMTSLVDTVCPVNISLPEPAKESKTTARNLAIIITLFAAELLVAVMSFWAFLSKYSKYQDMARAFGLEFLPAGGPKRFSYAELKAATNDFSNLLGRGAFGQVFKGELPDHRVVAVKRLKNVDTGEAEFWAEVTIIARMHHLNLVRMWGFCAEREQRMLVYEYIPNGSLDKYLFQPRGMKMNNAIDTPERPLLDWNIRYRIAVGVARAIAYLHEECLEWVLHCDIKPENILLEDDFCPKVSDFGLSKLANKEDMVSMSNVRGTPGYMAPEWVMPGQHVTAKADVFSFGMVLLEIVSGERNNEFRQSTMVSEEWYYPKWAFEKVYLEKKVEDILDRRILDKFDDREHFALVDRMVKTAMWCLQGRPEMRPSMGKVAKMLEGSVEIMEPEKPTIFYLGDAH >DRNTG_26318.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21414357:21418590:1 gene:DRNTG_26318 transcript:DRNTG_26318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWEAVQERCTVKGTCGPNAICVPENYNEPSCVCPPGYRWTNVEQVACVLKTNFSGADSKFLRLDFVAFDNGPGTVDSTPQSFDDCRTKCLVNSSCAGFSYRYNGDRYCLQYSEQLAYGYWSPATKTVTYIRVARSERDVSNFTGMTSLVDTVCPVNISLPEPAKESKTTARNLAIIITLFAAELLVAVMSFWAFLSKYSKYQDMARAFGLEFLPAGGPKRFSYAELKAATNDFSNLLGRGAFGQVFKGELPDHRVVAVKRLKNVDTGEAEFWAEVTIIARMHHLNLVRMWGFCAEREQRMLVYEYIPNGSLDKYLFQPRGMKMNNAIDTPERPLLDWNIRYRIAVGVARAIAYLHEECLEWVLHCDIKPENILLEDDFCPKVSDFGLSKLANKEDMVSMSNVRGTPGYMAPEWVMPGQHVTAKADVFSFGMVLLEIVSGERNNEFRQSTMVSEEWYYPKWAFEKVYLEKKVEDILDRRILDKFDDREHFALVDRMVKTAMWCLQGRPEMRPSMGKVAKMLEGSVEIMEPEKPTIFYLGDAH >DRNTG_28876.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001453.1:43854:45511:-1 gene:DRNTG_28876 transcript:DRNTG_28876.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine desulfurase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G65720) UniProtKB/Swiss-Prot;Acc:O49543] MATTRHLAAVRPLLRRLSSSSAAAVSADPIDSPSLDPNSGVISMKGVKISGRPLYLDMQATTPVDPRVLDAMLPFYLSRFGNPHSRTHLYGWESDAAVEHARSQIASLVHANPKELFFTSGATESNNISIKGVMHFVSSSKRHVITTQTEHKCVLDSCRYLQQQGYDVTYLPVRSDGLLDLETLTAAFRPDTGLVSVMAVNNEIGVVQPMEDIGRICRERNVPFHTDAAQALGKIPIDVDRMNISLMSLSGHKIYGPKGVGALYLRRRPRVRVEPQMSGGGQERGIRSGTVPTPLVVGFGAACDIAMKEMEYDSKRISSLQERLLNGIRAKLDGVVVNGSVEHRYPGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVDEDMAHTSIRFGIGRFTTEAEIDKAVELTVRQVEKLREMSPLYEMVKEGIDIKSIQWSQH >DRNTG_28775.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9175478:9182618:1 gene:DRNTG_28775 transcript:DRNTG_28775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPMIDMARLFSARGVQTTIVTTTANASLITPTLHTANSVTTTPINLLLLPFPSVEVELPSGQENLLHFPTPDVPDPFLTAINLLETPFKLLLHQHHPDCIISDVFFTWSASLGVPRIAFHGSSFFTNVISGVVSRLKLHDFISGDQEPFLVPGLPHQITLTKSQLPDMLLNENHELRVQMGESVRRSFGFIGNSFYHLEHDYVDRLKSFGMKLWNVGPVSLCNKDELDMTARGGSGLMSKNSVQVNECAVWLAKKRRNSVLYVSFGSLTRFTKAQLKEIAAGLEISGHPFIWVVKDDNNVDEWMPDGFKERVLDEKKGMIVFGWAPQVLLLNQAEVGGFVTHCGWNSCLESICAGVPMITWPMFAEQSFNEKLIVDVLKVGVALGVKVCSSKEEERVLVKREEIRKAVEELMGDGEEKVRRRRRVEELKEMAKKAVEEGGTSYEDLSNLIEELVELKKKKKKKSDGIIDLASRGRPGSGNTVNARRFMQLMVVCPGCRPCDYPERCAELDDESPEQLAARLRERTDGEGQWEAQQWYLWKLWRRQTKGSCRKRRRNLPYAQSCGE >DRNTG_24844.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29363743:29370607:1 gene:DRNTG_24844 transcript:DRNTG_24844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARNEGFGPPGQRITPASSGSGSASAKPPEFHVSGGVAPVLNYSIRTGEEFALEFMRERVIPRKSSVPSSSSDQNPPATAYMDLRGTQGSSHTGSESGPDVSKLVAGDKVQVKEVEKKKKSFSEVDNKAHYVSAGSVSHASSADGSNRGVSHRYTSSEASDYSSPKLKFLCSFGGRILPRPSDRKLRYAGGHTRILRLNRDISWRELMHKTLAVCHQPHTIKYQLPGEDLDALVTVSSDEDVQIMMEECSLLGGESSQKLRLFLLPSGDSDDIHYGLGSMDNDSEIHYVVAVNGIDVGAGKNSDGQGIGSVSSDLEQLFNLNVENERSNASMVATESAGTSAKPLAGSSELPPSLSSKLQDSFSSDYSSIFYSHQGSAMQYFEGNPYPQTAIPPPVNIHNLDQMSIPSSVPSDFDYNNHFVSHGGSSAPMPPPQLNIYSQPMNEGLPRVTRTQAKEVNLTVDGASLHKNKSEHIPSFQDAPEQHHGVSVSKFSQAVESYGPSALEHVPSGLPSKHGTKNVESAQTISSHDAVNPGQICDSNEDDCQSAGAFTSGYSDYEGDMNDLGYNDLSARPLRVYHSEMIPRELGESRNRLSKSDDSIGSQYLILHSRSGIAQDSIAEAVDPSLEGKANEQSSLAGKPLNSYSTTTTEDYCMQLGKHELTDAVSQLNQAEVTSVPEKSKTANSDHQPGDAVVNQVAGIKFDRTNGKSDEKNQKIGRRMQNPEFDQELKSSFNDNNAIGGNKILQESHASKSSEHSVNVDEKVAGKTKMKKPLVDEAEAVDLVQKAVVADIASSSAGAAGEEPAPVSGKKDILIDINDRFPPGLLSDIFSQTWPSDDQSSLRPLHKDDAGLSLNMQTHEPQRWSFFRNLAPEEFSRKDVSLIDQDHLGYSSLLKNADEVIARTYEFGPSKNEGVNLSHMNPQIDFGDEMPQEFSSKTVDDTNILHPAGISSQVLHTHLKDKGGESLQVDNPYSKLGENIRFPVSEYEDLKFEIEGAVGPVFDVSAGEFDLSNLQIIKNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFTGRSSEQERLTIEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVTEYMVNGSLRHVLLRKDRYLDYRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPPLPASCDPEWRRLMEQCWAPDPVQRPSFTQIASRLRAMSVALQSKSSK >DRNTG_24844.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29363743:29370607:1 gene:DRNTG_24844 transcript:DRNTG_24844.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDARNEGFGPPGQRITPASSGSGSASAKPPEFHVSGGVAPVLNYSIRTGEEFALEFMRERVIPRKSSVPSSSSDQNPPATAYMDLRGTQGSSHTGSESGPDVSKLVAGDKVQVKEVEKKKKSFSEVDNKAHYVSAGSVSHASSADGSNRGVSHRYTSSEASDYSSPKLKFLCSFGGRILPRPSDRKLRYAGGHTRILRLNRDISWRELMHKTLAVCHQPHTIKYQLPGEDLDALVTVSSDEDVQIMMEECSLLGGESSQKLRLFLLPSGDSDDIHYGLGSMDNDSEIHYVVAVNGIDVGAGKNSDGQGIGSVSSDLEQLFNLNVENERSNASMVATESAGTSAKPLAGSSELPPSLSSKLQDSFSSDYSSIFYSHQGSAMQYFEGNPYPQTAIPPPVNIHNLDQMSIPSSVPSDFDYNNHFVSHGGSSAPMPPPQLNIYSQPMNEGLPRVTRTQAKEVNLTVDGASLHKNKSEHIPSFQDAPEQHHGVSVSKFSQAVESYGPSALEHVPSGLPSKHGTKNVESAQTISSHDAVNPGQICDSNEDDCQSAGAFTSGYSDYEGDMNDLGYNDLSARPLRVYHSEMIPRELGESRNRLSKSDDSIGSQYLILHSRSGIAQDSIAEAVDPSLEGKANEQSSLAGKPLNSYSTTTTEDYCMQLGKHELTDAVSQLNQAEVTSVPEKSKTANSDHQPGDAVVNQVAGIKFDRTNGKSDEKNQKIGRRMQNPEFDQELKSSFNDNNAIGGNKILQESHASKSSEHSVNVDEKVAGKTKMKKPLVDEAEAVDLVQKAVVADIASKQQENLASVLPEIHWDDLTAKDTYDVAGSSAGAAGEEPAPVSGKKDILIDINDRFPPGLLSDIFSQTWPSDDQSSLRPLHKDDAGLSLNMQTHEPQRWSFFRNLAPEEFSRKDVSLIDQDHLGYSSLLKNADEVIARTYEFGPSKNEGVNLSHMNPQIDFGDEMPQEFSSKTVDDTNILHPAGISSQVLHTHLKDKGGESLQVDNPYSKLGENIRFPVSEYEDLKFEIEGAVGPVFDVSAGEFDLSNLQIIKNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFTGRSSEQERLTIEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVTEYMVNGSLRHVLLRKDRYLDYRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPPLPASCDPEWRRLMEQCWAPDPVQRPSFTQIASRLRAMSVALQSKSSK >DRNTG_05795.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19190930:19195546:1 gene:DRNTG_05795 transcript:DRNTG_05795.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVRHTRAYPGRRTSPYMLVLGMLLMLSVALLMLLALGIVSLPVGSDESSLAGRRPHRSAVETDGMGQRKEPWTEVLSWEPRAFIYHNFLSKEECEYLINLAKPHMQKSTVVDGETGRSKDSRVRTSSGTFLKRGRDKIIRKIEKKISDYTFLPVEHGEGLQILHYEVGQKYEPHFDYFQDEFNTKNGGQRIATVLMYLSDVEEGGETVFPSAKINSSSLPWYNELSDCGKKALSVKPKMGDALLFWSMKPDAIPDPMSLHGGCPVIKGNKWSSTKWIRINEYKT >DRNTG_34441.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21262789:21273029:-1 gene:DRNTG_34441 transcript:DRNTG_34441.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQGKKLVNDPNAVVTEFIEGLVETFPGLQYLDGFPQVKVVLRADIPGNKYDKVAVISGGGSGHEPGQAGFVGEGMLTAAICGDVFTSPPVDSILAGIRAVTGPKGCLLIVTNYTGDRLNFGLAAEEAKSEGYKVEMVIVGDDCALPPPRGITGRRGLAGTVLIHKVAGAAAAAGLSLAEVAAEAQHASELVGTMGVALSVCTLPGQVTSDRLGPGLMELGLGIHGEPGAAVAELQPVEVVVSHVLKQILSMETQYVPIKRGSRVVLMINGLGATPIMELMIASGKAIPQLQLEHGLAVDRVYTGSFMTSLDMAGFSISIMKSEPSILDRLDAPTKAPYWPVGVEGDRPPAKIPVPVPPSHSSRNEEEFNQPLELNEQGRILECAILAAVNAIIDVKDKLNDWDSKVGDGDCGSTMYRGAMAILDDMKKCYPLNVASETVNEIGTSIRRVMGGTSGILYAIFCKAAYTSLKESPDVTPEQWSRALEASIAAVSKYGRAERGYRTMLDALIPASIVLKENLDKGNDPVAAFVLSSEAAVNGAESTKEMQPQAGRSSYITGDVLSTVPDPGAMAAATWYRAAALAVEHKLKNAEN >DRNTG_34441.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21262733:21273029:-1 gene:DRNTG_34441 transcript:DRNTG_34441.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVGDDCALPPPRGITGRRGLAGTVLIHKVAGAAAAAGLSLAEVAAEAQHASELVGTMGVALSVCTLPGQVTSDRLGPGLMELGLGIHGEPGAAVAELQPVEVVVSHVLKQILSMETQYVPIKRGSRVVLMINGLGATPIMELMIASGKAIPQLQLEHGLAVDRVYTGSFMTSLDMAGFSISIMKSEPSILDRLDAPTKAPYWPVGVEGDRPPAKIPVPVPPSHSSRNEEEFNQPLELNEQGRILECAILAAVNAIIDVKDKLNDWDSKVGDGDCGSTMYRGAMAILDDMKKW >DRNTG_34441.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21262733:21273029:-1 gene:DRNTG_34441 transcript:DRNTG_34441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQGKKLVNDPNAVVTEFIEGLVETFPGLQYLDGFPQVKVVLRADIPGNKYDKVAVISGGGSGHEPGQAGFVGEGMLTAAICGDVFTSPPVDSILAGIRAVTGPKGCLLIVTNYTGDRLNFGLAAEEAKSEGYKVEMVIVGDDCALPPPRGITGRRGLAGTVLIHKVAGAAAAAGLSLAEVAAEAQHASELVGTMGVALSVCTLPGQVTSDRLGPGLMELGLGIHGEPGAAVAELQPVEVVVSHVLKQILSMETQYVPIKRGSRVVLMINGLGATPIMELMIASGKAIPQLQLEHGLAVDRVYTGSFMTSLDMAGFSISIMKSEPSILDRLDAPTKAPYWPVGVEGDRPPAKIPVPVPPSHSSRNEEEFNQPLELNEQGRILECAILAAVNAIIDVKDKLNDWDSKVGDGDCGSTMYRGAMAILDDMKKW >DRNTG_26527.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3358913:3365340:1 gene:DRNTG_26527 transcript:DRNTG_26527.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGDGGRFYWASRGPAGIVVLFAWLSSQERNLKPYVDLYSSLGWGSLICHVDFLTLFLPEKAASLAHNLLSELVKEVKIKPLPIILASFSGGSKGCMYKVLQLIQGKCVGQPYQILRDCICGQIYDSSPVDFTSDLGTRFVLHPSVLKMSHPPRVVSWMAKAIASGLDTLFINRFEAQRVEYWQTLYSSVSAGPILIFCSENDELAPYQVVYNFAQSLQELGADVKLVKWNDSPHVAHYKNHQAEYKAALTEFLSKTITVYSQSSQLKRETTGTGHGRDDISKSVCHLQKAVGSSNRSLQRFAVGPNDHFYLPSSLEYHETKDAGSVPDEQKGGLFQMQNPPGINAHSVLGQMLFDVCVPKNIEGWDIKPSKSSNNIQTFGSSRRHSPFNPIKCIRRSKL >DRNTG_26527.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3358913:3365340:1 gene:DRNTG_26527 transcript:DRNTG_26527.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGDGGRFYWASRGPAGIVVLFAWLSSQERNLKPYVDLYSSLGWGSLICHVDFLTLFLPEKAASLAHNLLSELVKEVKIKPLPIILASFSGGSKGCMYKVLQLIQGKCVGQPYQDDYQILRDCICGQIYDSSPVDFTSDLGTRFVLHPSVLKMSHPPRVVSWMAKAIASGLDTLFINRFEAQRVEYWQTLYSSVSAGPILIFCSENDELAPYQVVYNFAQSLQELGADVKLVKWNDSPHVAHYKNHQAEYKAALTEFLSKTITVYSQSSQLKRETTGTGHGRDDISKSVCHLQKAVGSSNRSLQRFAVGPNDHFYLPSSLEYHETKDAGSVPDEQKGGLFQMQNPPGINAHSVLGQMLFDVCVPKNIEGWDIKPSKSSNNIQTFGSSRRHSPFNPIKCIRRSKL >DRNTG_09948.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6484170:6487813:1 gene:DRNTG_09948 transcript:DRNTG_09948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHPLKIITKDQKEEEKLQVREKSRWRSNTGGF >DRNTG_17066.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:71451:74502:1 gene:DRNTG_17066 transcript:DRNTG_17066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSPVSHHKQGTQNQNQCIFTMYSSSFSSSSSSILQFILTLFILLLLLNSIEVQCRKKKLRHGKTNRFSVNKTIAQVREVEFKRWVNSIRGLRHTTFNRGRDMLLPSFSITVDKNPCKGDFKTIQAAIDSLPLINIARVVIRVHAGTYREKVNISPLRAFITIQGAGADKTVIQWGDTAQTLGANGKPMGTFNSATFAINAPYFIAKNITFKNTNSIPKPGEKGLQAVALRISGDRAAFVGCKFLGAQDTLYDHVGKHYYKDCYIEGSVDFIFGNALSLFEGCHVHAIAEKYGALTAQNRMSFLENTGFSFLRCRVTGSGVLYLGRAWGYFSRVVFAYTYMDNIIIPRGWNNWGDPQRQMAVFYGQYKCTGAGANHGGRVSWSRELTDEEAKPFISLNFIDGLDWIKL >DRNTG_02671.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000122.1:64748:66634:1 gene:DRNTG_02671 transcript:DRNTG_02671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMISALRRASCQSATSRKQAAPLLLGLAFSRHISTGFREERDTFGPILVPSDKLWGAQTQRSLQNFEIGGERERMPEQIIRAFGILKKCAAKVNMDYGLDPTIGNAIMQASQEVAEGKLDDHFPLVIWQTGSGTQSNMNANEVIANRAAEILGHKRGGKFVHPNDHVNKAQSSNDTFP >DRNTG_02033.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13115451:13120081:-1 gene:DRNTG_02033 transcript:DRNTG_02033.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITTTTTSKPRPPAKMMKTKSAPSTTTTTTTTSSSSSLLMKKSITLHQIHEFWQRKRLEEEEHLVAAQKSAARIRARSLTEREYKMFEERLMRSTFGDEDEKEEDADADADHYKDLPPVIKDWWTKSKYAYLNQPAIKYMEKNALPRRTTSGYTPQDICCYFSTKKHQQFIISSLGVH >DRNTG_02033.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13115451:13119953:-1 gene:DRNTG_02033 transcript:DRNTG_02033.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAITTTTTSKPRPPAKMMKTKSAPSTTTTTTTTSSSSSLLMKKSITLHQIHEFWQRKRLEEEEHLVAAQKSAARIRARSLTEREYKMFEERLMRSTFGDEDEKEEDADADADHYKDLPPVIKDWWTKSKYAYLNQPAIKYMEKNALPRRTTSGYTPQDICCYFSTKKHQQFIISSLGVH >DRNTG_02033.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13115451:13120081:-1 gene:DRNTG_02033 transcript:DRNTG_02033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITTTTTSKPRPPAKMMKTKSAPSTTTTTTTTSSSSSLLMKKSITLHQIHEFWQRKRLEEEEHLVAAQKSAARIRARSLTEREYKMFEERLMRSTFGDEDEKEEDADADADHYKDLPPVIKDWWTKSKYAYLNQPAIKYMEKNALPRRTTSGYTPQDICCYFSTKKHQQFIISSLGVH >DRNTG_10497.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6130610:6131335:-1 gene:DRNTG_10497 transcript:DRNTG_10497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGEKAIQRRVALALAHLCLPDDQKSIFLDNNGLELLLGLLGSTNLKQQLDGAAALYKLANKAITLSPMDAAPPSPTPQV >DRNTG_02855.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20822206:20832038:1 gene:DRNTG_02855 transcript:DRNTG_02855.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDRKYSCESAAETREWMNAIADFLRPFKPLVDAHVVNFFKGRLWELVDEQWMACLRKESVENLLNLPSGVIQDYWPLSLQEFVRNLTSLVLPREQELSHPIFPNLRVSSLGSVLTQGMNMKKKHEVATNAIASGVGAQKIIDVGSGQGYLAQALSFHYQLVVIAIDASLHHADVTNARAERIKKHYAAKLRKSQQGNVHMKVPQTVTCHVLSSETLTTMSSTSSDKEYVKQSSDGSGKSTEINALESSKAGRGSTLCNQVTPLVLVGLHSCGDLSVNMLRSFVECEQVKALICIGCCYNLLSEECSMKFDVPCGFPLSNFAKPAGMKLGKNARDLACQSAERWKCLTKDAALQNFDLHAFRAAFQMVLDKHYPETLKLSPTIGRQGKALRRRLLRRNLASQLDVKEVDCSAITPEMAFQGKKSSLAQNSSEVEVINHLHNHLVPEDGPCTMSGHGQSSQSCACYNNKCDFICSSAKAHKDVLFEEFVKSGLDHLGFSLAQNINVFEIWKEIRPYTELVGPFWSLRASLGPLIETYILLDRLLFLQEQGESVQAFLIPLFHPTISPRNMAIVAWKTGSDTMWT >DRNTG_02855.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20822206:20836920:1 gene:DRNTG_02855 transcript:DRNTG_02855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDRKYSCESAAETREWMNAIADFLRPFKPLVDAHVVNFFKGRLWELVDEQWMACLRKESVENLLNLPSGVIQDYWPLSLQEFVRNLTSLVLPREQELSHPIFPNLRVSSLGSVLTQGMNMKKKHEVEILAAATNAIASGVGAQKIIDVGSGQGYLAQALSFHYQLVVIAIDASLHHADVTNARAERIKKHYAAKLRKSQQGNVHMKVPQTVTCHVLSSETLTTMSSTSSDKEYVKQSSDGSGKSTEINALESSKAGRGSTLCNQVTPLVLVGLHSCGDLSVNMLRSFVECEQVKALICIGCCYNLLSEECSMKFDVPCGFPLSNFAKPAGMKLGKNARDLACQSAERWKCLTKDAALQNFDLHAFRAAFQMVLDKHYPETLKLSPTIGRQGKALRRRLLRRNLASQLDVKEVDCSAITPEMAFQGKKSSLAQNSSEVEVINHLHNHLVPEDGPCTMSGHGQSSQSCACYNNKCDFICSSAKAHKDVLFEEFVKSGLDHLGFSLAQNINVFEIWKEIRPYTELVGPFWSLRASLGPLIETYILLDRLLFLQEQGESVQAFLIPLFHPTISPRNMAIVAWKTGSDTMWT >DRNTG_02855.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20822206:20825746:1 gene:DRNTG_02855 transcript:DRNTG_02855.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPQTVTCHVLSSETLTTMSSTSSDKEYVKQSSDGSGKSTEINALESSKAGRGSTLCNQVTPLVLVGLHSCGDLSVNMLR >DRNTG_00227.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000033.1:38777:40287:1 gene:DRNTG_00227 transcript:DRNTG_00227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVVSRHTRNTVTSLIIGVYLGGSPMLSQYLCHRHPL >DRNTG_07664.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23428240:23429986:1 gene:DRNTG_07664 transcript:DRNTG_07664.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKGHTYRRCGAAAGVPTTTVLLTAEMTLEGASSIRLAYGLEAEEGRKALLELEKAQLQLLLRP >DRNTG_07664.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23428335:23429851:1 gene:DRNTG_07664 transcript:DRNTG_07664.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKGHTYRRCGAAAGVPTTTVLLTAEMTLEGASSIRLAYGLEAEEGRKALLELEKAQLQLLLRP >DRNTG_07664.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23428240:23429851:1 gene:DRNTG_07664 transcript:DRNTG_07664.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKGHTYRRCGAAAGVPTTTVLLTAEMTLEGASSIRLAYGLEAEEGRKALLELEKAQLQLLLRP >DRNTG_07664.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23428240:23430137:1 gene:DRNTG_07664 transcript:DRNTG_07664.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKGHTYRRCGAAAGVPTTTVLLTAEMTLEGASSIRLAYGLEAEEGRKALLELEKAQLQLLLRP >DRNTG_07664.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23427947:23429851:1 gene:DRNTG_07664 transcript:DRNTG_07664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKGHTYRRCGAAAGVPTTTVLLTAEMTLEGASSIRLAYGLEAEEGRKALLELEKAQLQLLLRP >DRNTG_05706.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1783280:1784711:-1 gene:DRNTG_05706 transcript:DRNTG_05706.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEVVIVGAGPAGLAVAACLNSFSIPNIIFEKEDCIASLWKKKAYDRLKLHLAKDFCSLPLMPHSKTTPTFIPKDQFIQYLDHYAKHFMINPVFCTEVESARCVMNERSHKWVIMTRNKITGEVHEHMSNFLVVATGENSEGIIPEVAGLESFAGEVMHSSEYKSGAGFEGKRVLVVGSGNSGMEIAYDLSNFGAMTSISIRSPVHVMTKEMIYLGMVLVKYLPVKLVDVLLVILARLKYGDLSKYGIVRPKNGPLTNKISTGKSAVIDVGTVHNIKTGEIKVVKGLLSIRGNEVVFTDDKSYHFDAIVFATGYKSTANTWLKDGGYLLNEEGFPKQSFPKHWKGMNGVYCAGLARRGLDGVSMDAQNIANDIKEQIKCS >DRNTG_13123.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17255864:17256271:1 gene:DRNTG_13123 transcript:DRNTG_13123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQLGQVPTLVVSSSQMAKEILKTHDLIFASRPKVNATHIMIYGSLFSFTNGMLFRTILDRFFDEKGVNKMKFHEMIEETAVLLGGFNVEDYFPSLGWLCSLFGLDEQAKRTSSKWDSILDQMIEDHVNRKKKG >DRNTG_26581.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20154083:20158431:1 gene:DRNTG_26581 transcript:DRNTG_26581.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor [Source:Projected from Arabidopsis thaliana (AT2G17250) UniProtKB/TrEMBL;Acc:F4IMH3] MNEFISLPFCEIVAGLSSKKPKRSSKVLVTTEDSQKLEEANEEASSLGHLTKKIKLKFTKAWLSFLKLPLPLDVYKEVLVTLHQNVMPYMANPAILCDFLTRSYDIGGVISVMALSGLFVLMTQHGLEYPKFYEKLYALLTPAIFMAKHRAKFFQHILRLHLQRN >DRNTG_26581.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20152181:20158431:1 gene:DRNTG_26581 transcript:DRNTG_26581.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor [Source:Projected from Arabidopsis thaliana (AT2G17250) UniProtKB/TrEMBL;Acc:F4IMH3] MAKTKTKRKGETLTLDQVKTLGNELLSSRAHVNNLPVLLSLLSPSSPLDLALESLISLQSFFTPLIPEIPSSFAARSKSLASGEDFDGAESVYKLWLRSRFDEFVNSLIEITVSSLSIEALRDVSLDAIMEFGKLGKEGKFQSAIYHRFIQNIIQATSSVDSLLELMASKYFKYIDVCYFTYTSIDKIAQRYESASMSDTGGMSLQNNGEMSQSRVDVAAHVIFKILSHIPPLDSEAAYAMWSQFGLSSKKPKRSSKVLVTTEDSQKLEEANEEASSLGHLTKKIKLKFTKAWLSFLKLPLPLDVYKEVLVTLHQNVMPYMANPAILCDFLTRSYDIGGVISVMALSGLFVLMTQHGLEYPKFYEKLYALLTPAIFMAKHRAKFFQLLDTCLKSAYLPAYLAAAFAKKLSRLSLSAPPSGALIIIAIIHNLLRRHPSINFLVHQMVNDESTKDESLNNTEPSENLSISYATQGVDLFNSEETDPAKSGAMRSSLWEIDTLRHHYCPAVSRFVASLENDLTVRAKTTEVTVGDFSSGSYSTIFQQEIRRRVKQVPLAFYKVNPTSLFCEADFPGWTFGKQETGHKENEAINPIEEDGQKNIKRQRVECC >DRNTG_26830.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1971102:1972850:-1 gene:DRNTG_26830 transcript:DRNTG_26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTSSKQARRDRRRGPSAAVARCRSLPPSGQHVVALTASTLGSLKLDDAAGDEEMMKTCNDHLGLSPELATAAKAWSEMIEKKIPKTPTVTPPNEPETINAWELMAGLEDTTPPRAGTGAADTERSFSFHSSSPKPMWMVLGGEEDSIIADFDPEMISAFRKAFKSFSPEPETITGIVKARVTEFQEKIDAKKDEKMLSNNQEKVLLYFTSLRGVRKTYEDCANVRMILKGYGVEVDERDVSMHGGFKEELNEILGGGFEGRMLPRVFVNGRYIGGVEEVRHLHDAGDLWDILRGCRKMVEEKGQCEACFDVRFVPCETCSGSCKVFVEDEEDEEMEIVSGFRRCFDCNENGLVRCPFCCC >DRNTG_26830.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1971102:1972205:-1 gene:DRNTG_26830 transcript:DRNTG_26830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTCNDHLGLSPELATAAKAWSEMIEKKIPKTPTVTPPNEPETINAWELMAGLEDTTPPRAGTGAADTERSFSFHSSSPKPMWMVLGGEEDSIIADFDPEMISAFRKAFKSFSPEPETITGIVKARVTEFQEKIDAKKDEKMLSNNQEKVLLYFTSLRGVRKTYEDCANVRMILKGYGVEVDERDVSMHGGFKEELNEILGGGFEGRMLPRVFVNGRYIGGVEEVRHLHDAGDLWDILRGCRKMVEEKGQCEACFDVRFVPCETCSGSCKVFVEDEEDEEMEIVSGFRRCFDCNENGLVRCPFCCC >DRNTG_26830.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1971102:1972473:-1 gene:DRNTG_26830 transcript:DRNTG_26830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTSSKQARRDRRRGPSAAVARCRSLPPSGQHVVALTASTLGSLKLDDAAGDEEMMKTCNDHLGLSPELATAAKAWSEMIEKKIPKTPTVTPPNEPETINAWELMAGLEDTTPPRAGTGAADTERSFSFHSSSPKPMWMVLGGEEDSIIADFDPEMISAFRKAFKSFSPEPETITGIVKARVTEFQEKIDAKKDEKMLSNNQEKVLLYFTSLRGVRKTYEDCANVRMILKGYGVEVDERDVSMHGGFKEELNEILGGGFEGRMLPRVFVNGRYIGGVEEVRHLHDAGDLWDILRGCRKMVEEKGQCEACFDVRFVPCETCSGSCKVFVEDEEDEEMEIVSGFRRCFDCNENGLVRCPFCCC >DRNTG_26830.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1971102:1972286:-1 gene:DRNTG_26830 transcript:DRNTG_26830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTCNDHLGLSPELATAAKAWSEMIEKKIPKTPTVTPPNEPETINAWELMAGLEDTTPPRAGTGAADTERSFSFHSSSPKPMWMVLGGEEDSIIADFDPEMISAFRKAFKSFSPEPETITGIVKARVTEFQEKIDAKKDEKMLSNNQEKVLLYFTSLRGVRKTYEDCANVRMILKGYGVEVDERDVSMHGGFKEELNEILGGGFEGRMLPRVFVNGRYIGGVEEVRHLHDAGDLWDILRGCRKMVEEKGQCEACFDVRFVPCETCSGSCKVFVEDEEDEEMEIVSGFRRCFDCNENGLVRCPFCCC >DRNTG_13492.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21809379:21810809:-1 gene:DRNTG_13492 transcript:DRNTG_13492.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSSSSSFIFIFFMASLLLLPLFAHADCDCSSDEEGRDKSKARPLKIIAVFSILVAGAIGVCLPILGKWIPAISPDKDVFFIIKAFAAGVILATGFIHILPDGFDNLTSPCLKDNPWHNFPFAGLAAMVAAIGTLMVDTMASSYYTRSSVRNVALATDEMKTVEDGTVAGDMHAHTHATHGHSHGPAFSDHLSSDLIRHRIISQVLEMGIVVHSVIIGISLGASESPSTIRPLVAALSFHQFFEGMGLGGCIVQAKFKVRSMATMVLFFSLTTPVGIAVGIGISSVYNENSPTALIVEGLLNSAAAGILIYMALVDLLAADFMNPRVQSKLKLQIGINISLLIGAGLMSLLAKWA >DRNTG_11063.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000483.1:48074:49056:-1 gene:DRNTG_11063 transcript:DRNTG_11063.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNNNPFKRTLSSWSSVHPHGHVKITQAHVPPK >DRNTG_30497.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:702246:706525:1 gene:DRNTG_30497 transcript:DRNTG_30497.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIP41-like protein [Source:Projected from Arabidopsis thaliana (AT4G34270) UniProtKB/Swiss-Prot;Acc:Q8VXY4] MAGDHGGGEEEWKQEESELKAAGAVLLPDGHFGIRLKGWHIESSKRAILSSSAVHQWEQRLGTSHLPEMVFGDSALFLLHVESGIKIHFNAFDALMGWKQEALPPVEVPAAAKWKFRSKPSQQVILDYDYTFTTPYCGSEIFVPNSPRESVEDGCCSLHWEDCDQQIDLAALSSREPILFYDEVILYEDELADNGVSLLTVKVRVMPTCWFLLLRFWLRVDGVLMRLRDTRMHCAFVTDEIARPIILRESCWREATLQSLTAKGFPSDSAAYGDPNLISQTLPCRRS >DRNTG_00521.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30409672:30413054:1 gene:DRNTG_00521 transcript:DRNTG_00521.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGWIELKFRLFDGTDIGPNKYDASSTVASLKESILARWPQDKELAPKTINDVKLINGGKILENNRTLAESRVAVGELPGGVTTMHVVIRPPLPDKNNEKQLVNAPKQNRCVCSIL >DRNTG_00521.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30409672:30413054:1 gene:DRNTG_00521 transcript:DRNTG_00521.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGWIELKFRLFDGTDIGPNKYDASSTVASLKESILARWPQDKELAPKTINDVKLINGGKILENNRTLAESRVAVGELPGGVTTMHVVIRPPLPDKNNEKQLVNAPKQNRCVCSIL >DRNTG_00521.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30409672:30412976:1 gene:DRNTG_00521 transcript:DRNTG_00521.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGWIELKFRLFDGTDIGPNKYDASSTVASLKESILARWPQDKELAPKTINDVKLINGGKILENNRTLAESRVAVGELPGGVTTMHVVIRPPLPDKNNEKQLVNAPKQNRCVCSIL >DRNTG_00521.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30409672:30412976:1 gene:DRNTG_00521 transcript:DRNTG_00521.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGWIELKFRLFDGTDIGPNKYDASSTVASLKESILARWPQDKELAPKTINDVKLINGGKILENNRTLAESRVAVGELPGGVTTMHVVIRPPLPDKNNEKQLVNAPKQNRCVCSIL >DRNTG_00521.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30409672:30412976:1 gene:DRNTG_00521 transcript:DRNTG_00521.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGWIELKFRLFDGTDIGPNKYDASSTVASLKESILARWPQDKELAPKTINDVKLINGGKILENNRTLAESRVAVGELPGGVTTMHVVIRPPLPDKNNEKQLVNAPKQNRCVCSIL >DRNTG_18786.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:297647:302467:-1 gene:DRNTG_18786 transcript:DRNTG_18786.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSGGEVVEKDRTVVCIGDIHGYFSKLQALWSNLEIHLGPSSFASALVIFLGDYCDRGPQTLEVLDFLISLPARYPAQTHVFLCGNHDFAFAAFVGALPAPPDGSHFSSTWSEYECNEEREGWFKGLGFEEMHLQGRRWAGTIKVKWNSEKGMEYKGSIYDAAPTFQSYGVPHGSADLIKAVPNAHKKFLANLVWVHEEDNVWIDSPDGRLCCELIAVHAGLEKSKNVDAQMKRLRAKDTSQPKVTALSGRHDVWEIPKELTEKPTIVLSGHHGKLFIEGLRLVIDEGGGMQDLPVAAIVLPQMVIIRDTDKISAMEQM >DRNTG_18786.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:297647:299554:-1 gene:DRNTG_18786 transcript:DRNTG_18786.6 gene_biotype:protein_coding transcript_biotype:protein_coding MENSGGEVVEKDRTVVCIGDIHGYFSKLQALWSNLEIHLGPSAFASALVIFLGDYCDRGPQTLEVLDFLISLPARYPAQTHVFLCGNHDFAFAAFVGALPAPPDGSHFSSTWSEYECNEEREGWFKGLGFEEMHLQGRRWAGTIKVKWNSEKGMEYKGSIYDAAPTFQSYGVPHGSADLIKAVPNAHKKFLANLVWVHEEDNVWIDSPDGRLCCELIAVHAGLEKSKNVDAQMKRLRAKDTSQPKVTALSGRHDVWEIPKELTEKPTIVLSGHHGKLFIEGLRLVIDEGGGMQDLPVAAIVLPQMVIIRDTDKISAMEQM >DRNTG_18786.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:300505:302467:-1 gene:DRNTG_18786 transcript:DRNTG_18786.5 gene_biotype:protein_coding transcript_biotype:protein_coding MENSGGEVVEKDRTVVCIGDIHGYFSKLQALWSNLEIHLGPSSFASALVIFLGDYCDRGPQTLEVLDFLISLPARYPAQTHVFLCGNHEFAFAAFVGALPAPPDGSPFSSTWSEYDCNEEREGWFKGSGYEEMHLQGRRWAGTIEAKWNSQKGMGCKGSIYDAAPTFQSYGVPHGSADLIKAVPDAHKKFLANLVWVHEEDNVWIDSPDGRLCCKLIAVHAGLEKSKNVDEQMKQLRAKDTSLPKVTALNGRRDVWEIPKELTEKPTILLSGHHGKLFIEGLRLVIDEGGGKQDLPIAAIVLPQMVIIRDTDKISA >DRNTG_18786.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:297647:302467:-1 gene:DRNTG_18786 transcript:DRNTG_18786.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENSGGEVVEKDRTVVCIGDIHGYFSKLQALWSNLEIHLGPSSFASALVIFLGDYCDRGPQTLEVLDFLISLPARYPAQTHVFLCGNHEFAFAAFVGALPAPPDGSPFSSTWSEYDCNEEREGWFKGSGYEEMHLQGRRWAGTIEAKWNSQKGMGCKGSIYDAAPTFQSYGVPHGSADLIKAVPDAHKKFLANLVWVHEEDNVWIDSPDGRLCCKLIAVHAGLEKSKNVDEQMKQLRAKDTSLPKVTALNGRRDVWEIPKELTEKPTILLSGHHGKLFIEGLRLVIDEGGGMQDLPVAAIVLPQMVIIRDTDKISAMEQM >DRNTG_18786.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:297647:302467:-1 gene:DRNTG_18786 transcript:DRNTG_18786.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENSGGEVVEKDRTVVCIGDIHGYFSKLQALWSNLEIHLGPSSFASALVIFLGDYCDRGPQTLEVLDFLISLPARYPAQTHVFLCGNHEFAFAAFVGALPAPPDGSPFSSTWSEYDCNEEREGWFKGSGYEEMHLQGRRWAGTIEAKWNSQKGMGCKGSIYDAAPTFQSYGVPHGSADLIKAVPNAHKKFLANLVWVHEEDNVWIDSPDGRLCCELIAVHAGLEKSKNVDAQMKRLRAKDTSQPKVTALSGRHDVWEIPKELTEKPTIVLSGHHGKLFIEGLRLVIDEGGGMQDLPVAAIVLPQMVIIRDTDKISAMEQM >DRNTG_18786.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:297647:302467:-1 gene:DRNTG_18786 transcript:DRNTG_18786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSGGEVVEKDRTVVCIGDIHGYFSKLQALWSNLEIHLGPSSFASALVIFLGDYCDRGPQTLEVLDFLISLPARYPAQTHVFLCGNHEFAFAAFVGALPAPPDGSPFSSTWSEYDCNEEREGWFKGSGYEEMHLQGRRWAGTIEAKWNSQKGMGCKGSIYDAAPTFQSYGVPHGSADLIKAVPDAHKKFLANLVWVHEEDNVWIDSPDGRLCCELIAVHAGLEKSKNVDAQMKRLRAKDTSQPKVTALSGRHDVWEIPKELTEKPTIVLSGHHGKLFIEGLRLVIDEGGGMQDLPVAAIVLPQMVIIRDTDKISAMEQM >DRNTG_13017.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26729317:26729885:-1 gene:DRNTG_13017 transcript:DRNTG_13017.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRFHIVVQHGRGLPPSLSQPPPPPYTEPPSPPVTPAPPGAGTGQEESFYNGGGRRGVGVWVKFSGFFFGVLLLMV >DRNTG_13017.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26729317:26730308:-1 gene:DRNTG_13017 transcript:DRNTG_13017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMAVTVTAAILAVILSAVSSPMTVVADNSTPPPYVNHTVGGAAGWIFNVSSNISAVNFSDWAKSQSFFLGDYLIFKTGTALPVIQTYNVTTYARCNADDDNGNETYVYDGSASDASPAVIPVPLTVEGDNYYFSGAGDDRFQCSHGMRFHIVVQHGRGLPPSLSQPPPPPYTEPPSPPVTPAPPGAGTGQEESFYNGGGRRGVGVWVKFSGFFFGVLLLMV >DRNTG_13017.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26729365:26730308:-1 gene:DRNTG_13017 transcript:DRNTG_13017.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARMAVTVTAAILAVILSAVSSPMTVVADNSTPPPYVNHTVGGAAGWIFNVSSNISAVNFSDWAKSQSFFLGDYLIFKTGTALPVIQTYNVTTYARCNADDDNGNETYVYDGSASDASPAVIPVPLTVEGDNYYFSGAGDDRFQCSHGMRFHIVVQHGRGLPPSLSQPPPPPYTEPPSPPVTPAPPGAGTGQEESFYNGGGRRGVGVWVKFS >DRNTG_02398.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18516274:18517858:-1 gene:DRNTG_02398 transcript:DRNTG_02398.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLFLLLISLLISVSMVLWCCLIFSKGSLIKPRAQLAELTLTNAPPIALFQRNVMSKAVEPLRKPRQSLSKP >DRNTG_13803.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10258543:10264312:1 gene:DRNTG_13803 transcript:DRNTG_13803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFQFLTNLPVEFWDDDSLETIAGQLGTLIKIDEFTSNLTRSKYARVC >DRNTG_24150.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26252844:26255600:1 gene:DRNTG_24150 transcript:DRNTG_24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding TAEESPSYEQTMEPEQPHLHHHHHHPHLHIHPHCAYHHLLHFHCCRSTPHIHFHHHCPNLSPLPSFGFPHRPPPFPIRSISEEPIVSAVDHPTTMREGLSLQKQEFNEDLQLDDEDEEVEFVLTDEWREFFAKSEAKRRADKQRKQKARNRK >DRNTG_32053.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3852283:3854454:1 gene:DRNTG_32053 transcript:DRNTG_32053.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGKTSIVLRFVKGQYFDCQESTIGAAFFSQTISLNEVTIKFDIWDTAGQERYHSLAPMYYRGAAAAIVVYDISSDDSFTRAKKWVEELQRQDCFQGNPYMVMALVANKADLETNRKVLAEEGEQYAEENDMFFIETSAKTSQNVNELFYEIAKRLSKTRPTRITGMHLHNERENNSRRLFCCSST >DRNTG_32053.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3852283:3854454:1 gene:DRNTG_32053 transcript:DRNTG_32053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNGITNMQAKLVLVGDMGTGKTSIVLRFVKGQYFDCQESTIGAAFFSQTISLNEVTIKFDIWDTAGQERYHSLAPMYYRGAAAAIVVYDISSDDSFTRAKKWVEELQRQGNPYMVMALVANKADLETNRKVLAEEGEQYAEENDMFFIETSAKTSQNVNELFYEIAKRLSKTRPTRITGMHLHNERENNSRRLFCCSST >DRNTG_05219.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6616716:6617222:-1 gene:DRNTG_05219 transcript:DRNTG_05219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTCVLILVQSKNFIKNGVHDLLLLLMWTNSNPRSTPLCTSHPLSPFAPTISNRSWHLKKEKTPTPFVRPPSSKFYKFKAPNKLTVVFWAKTVGAVYGDTIKRPSRKVTFV >DRNTG_12429.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20251742:20253179:1 gene:DRNTG_12429 transcript:DRNTG_12429.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPNQDTKIPILVVPFPAQGHLNQLLHFSLLLSSHGFPIHFASSSIHNHQARHRLRGWSSTSPHNITFHDIPIPSLPPSNPNPNATHNFPSHLIPVWDSVIHHLRSPLASLLHSLSSSSPLILIHDPLMSHVGHDAALIPSIHTFKFQCVPAFTALAFTYELSSKPFPQDNDILFSPLPNCCPEEFWTFLMKHDYDSPCEGVVINTSRTIEEPFIDRLAKEDDFVGKKIFTVGPVSPLTVTDHKSGPRHPCLEWLDKQPPRSVVYVAFGSTTTMSDEQLKEIALGLEKSKQRFIWVLREADRGDISKEGELIREKSLLLDFDKRVEGMGIVVRGWAPQLDILAHGSTAAFMSHCGWNSCMEGMSMGVALLTWPMHSDQPRNALMITEHLKVGVMVREWEKRDEVLKGEKVDEAIRKVMVDEGGVEIRKRAKELGERIRSGTKEGGSSYEQLLAFIDHISRCY >DRNTG_12429.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20251742:20263876:1 gene:DRNTG_12429 transcript:DRNTG_12429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPNQDTKIPILVVPFPAQGHLNQLLHFSLLLSSHGFPIHFASSSIHNHQARHRLRGWSSTSPHNITFHDIPIPSLPPSNPNPNATHNFPSHLIAVWDSVIHHIRSPLSSLLHSLSSSSPLILIHDPLMSFVGHDAAFIPSIHTFKFQCIPAFAKLAFIYEFSSKPSPTDNHILFSPLPNCCPEEFWVFFMQQNCESPCEGILLNTSRTIEEPFIDLLAKEDDYVGKKIFTVGPVSPLTVKDHKSGPRHPCLAWLDKQPLKSVVYVAFGSTTTMANEQLKEIALGLEKSKQRFIWVLREADRGDISKEEELAREKSLLLNFDKRVEGRGMVVRGWAPQLDILAHGSIAAFMSHCGWNSCMEGMSMGVAMLTWPMHSDQPRNALMITAHLKVGVMVREWEKRDEVLKGEKVDEAIQKVMVHEEGVEMRRRAKELGEKIRSGTNEGGSSYEQVMAFIDHISRYY >DRNTG_14084.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10359761:10360478:-1 gene:DRNTG_14084 transcript:DRNTG_14084.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKENNSNNSSAIVPMVDGEPAITLRDLTNKMADFAMERDWDQFHSPRNLLLALVGEVGELSEIFQWRGEVPRGLPGWDEKEKEHLGEELSDVLLYLVRLADICGVDLGVAALRKMEINARKYPVQYCKGSSKKRNHYSSENPTEEL >DRNTG_14084.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10359929:10360478:-1 gene:DRNTG_14084 transcript:DRNTG_14084.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKENNSNNSSAIVPMVDGEPAITLRDLTNKMADFAMERDWDQFHSPRNLLLALVGEVGELSEIFQWRGEVPRGLPGWDEKEKEHLGEELSDVLLYLVRLADICGVDLGVAALRKMEINARKYPVQYCKGSSKKRNHYSSENPTEEL >DRNTG_14084.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10359408:10360478:-1 gene:DRNTG_14084 transcript:DRNTG_14084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENNSNNSSAIVPMVDGEPAITLRDLTNKMADFAMERDWDQFHSPRNLLLALVGEVGELSEIFQWRGEVPRGLPGWDEKEKEHLGEELSDVLLYLVRLADICGVDLGVAALRKMEINARKYPVQYCKGSSKKRNHYSSENPTEEL >DRNTG_14084.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10359408:10360478:-1 gene:DRNTG_14084 transcript:DRNTG_14084.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLCFPLDFKRFNGFFFKVGEVGELSEIFQWRGEVPRGLPGWDEKEKEHLGEELSDVLLYLVRLADICGVDLGVAALRKMEINARKYPVQYCKGSSKKRNHYSSENPTEEL >DRNTG_14084.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10359929:10360582:-1 gene:DRNTG_14084 transcript:DRNTG_14084.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLCFPLDFKRFNGFFFKVGEVGELSEIFQWRGEVPRGLPGWDEKEKEHLGEELSDVLLYLVRLADICGVDLGVAALRKMEINARKYPVQYCKGSSKKRNHYSSENPTEEL >DRNTG_14084.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10359761:10360582:-1 gene:DRNTG_14084 transcript:DRNTG_14084.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKENNSNNSSAIVPMVDGEPAITLRDLTNKMADFAMERDWDQFHSPRNLLLALVGEVGELSEIFQWRGEVPRGLPGWDEKEKEHLGEELSDVLLYLVRLADICGVDLGVAALRKMEINARKYPVQYCKGSSKKRNHYSSENPTEEL >DRNTG_28283.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11843184:11844518:-1 gene:DRNTG_28283 transcript:DRNTG_28283.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGTRSNERTVSLVLPTFFKLLDWRLSLLIILPLFLLTLFYPSSLYLSPLKSVLPSTRPVVLDRSPDRIAICLVGGARRFELTGPSIIKNLLNQYPDADMFLHSPMDKDAYKFLLLRDAPRIAAVRIFVPHPMNETEPQARVLTARNSPNGIQGLLQYFNLVEGCLEMITSHESRGNFTYDWIVRTRVDGYWSGRLGRDAFVPGVYVVPPGSRYGGLNDRLGVGDRKTSVAALSRLSLIPSLDRAGYRELNSETAFKAQLEVEGVAWQEKETPFCVVTERRYGFPPGRYGVPVASMGSPGPLSGAKCRPCKAVCVGVCVSEVVGVGLDQGWSWTEWRNGSLELCDSSGGWESGWEALFDRFAGPDAAAGRKRLKRIDVDDCMRGFEVMRDRATFWESPPADEICRLGLPIPDTWS >DRNTG_09244.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000397.1:18490:19578:-1 gene:DRNTG_09244 transcript:DRNTG_09244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWKVLYSSSKDSLVRYRIRLDGSSPTNLLPKEDHVPIHLGHINAEYIRHQGHYARLGVIFSGPYIMRLVLGMGLLDSIRGAEKMSTPTPLSLETLRLMGMVRRVRTGVYTLVLLAPEIAEGEGDHVEATHPAPQPQLALMETEAPSAAEDASPVRMFSPSRAHDHFERLESAVGVIQTEVAEAQQILERDVASSFVLRPRTPQPPSPSPAPPSPIPAPVDSPYVSPPPAVAEELTKHDTDI >DRNTG_25713.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001334.1:48761:49601:-1 gene:DRNTG_25713 transcript:DRNTG_25713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNLRNGGRIYHVGGERGRSCTSRLTLSTLSDKSLNASKKLNWARERNCGEISTKNHVLEAKNTIAGLFYEGDLFFLLHHHISFPYWRLSAGATSPELIEGKSDDLERGNQGEKRHWARSSSIPPLRSLETTWEAAPIAAFVEDIRGLPLPPLVLIV >DRNTG_26474.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:558613:567156:1 gene:DRNTG_26474 transcript:DRNTG_26474.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLDDATENGSGSSQNDDGAWAGFQSAEITPTTDGNNSANQDKNKVQPAQGIEDLFKASPPLTQPLAPMKSQAAVKNDIMSLFEKSNMVSPYSLHQQQLALLSQQQAFLMAATKSASTPLTTVLRAPNSDLSAQRWGNIGYQIPTLTSIVGQTAINNANQFGNIQPPSLVGNNGSTSTTNMYSSPASSAAPKDYDFSSLTQGMFSKH >DRNTG_26474.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:555631:567156:1 gene:DRNTG_26474 transcript:DRNTG_26474.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKASISKELNAQHKKILEGLLKLPENRECADCNAKGPRWASVNLGIFICMECSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANRYWEAELPPNYGRVGIENFIRAKYEDKRWVPRDTTLRPGSNPRGEKRPESWQGSGDRNGNDLNSNVKYSEEQSKLPSHAAKRTVSVPHKGPHQVSSLSRTQPALPKADSPQSPEIVPAKIDVLSVKIDGQPTTVDTPPAATPKVDYPTDLFNMLSLDDATENGSGSSQNDDGAWAGFQSAEITPTTDGNNSANQDKNKVQPAQGIEDLFKASPPLTQPLAPMKSQAAVKNDIMSLFEKSNMVSPYSLHQQQLALLSQQQAFLMAATKSASTPLTTVLRAPNSDLSAQRWGNIGYQIPTLTSIVGQTAINNANQFGNIQPPSLVGNNGSTSTTNMYSSPASSAAPKDYDFSSLTQGMFSKH >DRNTG_26474.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:555631:567156:1 gene:DRNTG_26474 transcript:DRNTG_26474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKASISKELNAQHKKILEGLLKLPENRECADCNAKGPRWASVNLGIFICMECSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANRYWEAELPPNYGRVGIENFIRAKYEDKRWVPRDTTLRPGSNPRGEKRPESWQGSGDRNGNDLNSNVKYSEEQSKLPSHAAKRTVSVPHKGPHQVSSLSRTQPALPKADSPQSPEIVPAKIDVLSVKIDGQPTTVDTPPAATPKVDYPTDLFNMLSLDDATENGSGSSQNDDGAWAGFQSAAAEITPTTDGNNSANQDKNKVQPAQGIEDLFKASPPLTQPLAPMKSQAAVKNDIMSLFEKSNMVSPYSLHQQQLALLSQQQAFLMAATKSASTPLTTVLRAPNSDLSAQRWGNIGYQIPTLTSIVGQTAINNANQFGNIQPPSLVGNNGSTSTTNMYSSPASSAAPKDYDFSSLTQGMFSKH >DRNTG_10861.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32127319:32130870:1 gene:DRNTG_10861 transcript:DRNTG_10861.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGTKSEYGYKNHMNPMRKREREREREKQCMNDSTTRTYEASTLFFPNVHG >DRNTG_10861.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32127319:32130870:1 gene:DRNTG_10861 transcript:DRNTG_10861.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGTKSEYGYKNHMNPMRKREREREREKQCMNDSTTRTYEASTLFFPNVHG >DRNTG_04878.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000230.1:13222:13659:1 gene:DRNTG_04878 transcript:DRNTG_04878.1 gene_biotype:protein_coding transcript_biotype:protein_coding RNGGGTFTVKVADRVVPRHSHLPEPGQPPDTL >DRNTG_22101.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:148446:151790:1 gene:DRNTG_22101 transcript:DRNTG_22101.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKKKHLRELLREEQEPFLLKSFIQERRVLLRRRPPSPKKSSTTALVPSTPTNAKTPLFLPSNSKTPSLFSLAAKKTPASSSSSTAINPTAYFILEAALRIQEKQSMNHSRRSIRGLGIGFFGSVLKRLVSFRKPRREIQARDATLSIKDIKVWDSPHAGDCRKRIQVTHCLEKRRSASHGDGNHGMEKNELVEDKSFCFSSSEDGRGGTSSCSDENRSPEFESPAASPTRRKPEGQLVSGTGPEEEYDEEQRQEKEQQLSPVSVLDLDPTLEEEEEDHLERHVDSYERSLAIVQRAKQQLMHHLRRFQRLTSLDPIELDRLLAEDELDDADYDLNNSDSHIMLHTHNQRSQLAEEEEEEEEEEEEEEACSCSCSCSPSCIENSRMDMKKLTMDLIDEEKYTTEKFGEEEEQVLLEKVRQRFESWKEVESNTIDMMVSLDLRHETQGWGGPCKEQMLEIATLLEAAIFELLIFEFSDELTNYDHHYQHHHHHHHHQQQQQQQLLVVVS >DRNTG_22101.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:148446:151790:1 gene:DRNTG_22101 transcript:DRNTG_22101.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKKKHLRELLREEQEPFLLKSFIQERRVLLRRRPPSPKKSSTTALVPSTPTNAKTPLFLPSNSKTPSLFSLAAKKTPASSSSSTAINPTAYFILEAALRIQEKQSMNHSRRSIRGLGIGFFGSVLKRLVSFRKPRREIQARDATLSIKDIKVWDSPHAGDCRKRIQVTHCLEKRRSASHGDGNHGMEKNELVEDKSFCFSSSEDGRGGTSSCSDENRSPEFESPAASPTRRKPEELINQGQLVSGTGPEEEYDEEQRQEKEQQLSPVSVLDLDPTLEEEEEDHLERHVDSYERSLAIVQRAKQQLMHHLRRFQRLTSLDPIELDRLLAEDELDDADYDLNNSDSHIMLHTHNQRSQLAEEEEEEEEEEEEEEACSCSCSCSPSCIENSRMDMKKLTMDLIDEEKYTTEKFGEEEEQVLLEKVRQRFESWKEVESNTIDMMVSLDLRHETQGWGGPCKEQMLEIATLLEAAIFELLIFEFSDELTNYDHHYQHHHHHHHHQQQQQQQLLVVVS >DRNTG_29935.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2320299:2323865:-1 gene:DRNTG_29935 transcript:DRNTG_29935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLILLISLLMAMRSSWGDDNKLLSPSKLEMFVDDLPIMPKVQGFSVRDDGALVPGNLTIGMFQKLWKFHRDLPATRVFAYGLSKESATVPGPTIEARRGVHTDITWENHLPSRHILPWDPTIPTAKPSSGGVPTVVHLHGGVHPPFSDGNSNSWFTSGFHSTGPTWSSPTSSYPNVQPPGNLWYHDHAMGLTRVNLLAGLIGAYILRDPSLESPLPLPSSPFDLNLIVFDRSFRTDGSIYMNSTGNNPSIHPEWQPEYFGDAIIVNGKAWPRLHVQRRRYRFRILNASNARFFRFSFSNALPFFHIGSDSTYLPKPVKTTGFLLAPSEIADVIVDFSDSPTDSAILLNHAKYPFPSGDPVDEINSKVMKFVIERQRSPDPSRIPRHLLRFPKPSMQSAVKTRYITMYEYTTPSDEPTHLYLNGKAYEEPATETPKSGTSEIWHVINLTDDNHPLHIHLAHLSVLEQREILELEKFKDCMTRLNDAIKCHVDDHAVGKKDKVAKHERGWKNVFKAQPGFVTTMLVRFKLLGPGNTTYPFDATAEPGYVYHCHILDHEDNAMMRPLKLKP >DRNTG_05931.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5308975:5310189:-1 gene:DRNTG_05931 transcript:DRNTG_05931.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KAR-UP F-box 1 [Source:Projected from Arabidopsis thaliana (AT1G31350) TAIR;Acc:AT1G31350] MPEAPQLPSPSPSPSSQRAKRPKNSPPEIAGDVEEEGGGGVDQQALLPGLPDHLSQQCLSLLPPSFLFSVCRSWRRLLYSPCFPPFLSLYALLSHSNPSSFPSPDSKLDLDPIGFNAFDPISATWTPLPPPPPDALLRRLLLRHPSFIARNFPVQSVSAGDHLVVIAGTTDHLLPALSHPIAFHPSSGRWLLGPPFPSPRRWCVAGAASGAVYLASGVGSGYSTEIARSAERWDLRRRGAAWEAVASLRDSKFSREAVEAVASNGKLCMVNLRGRGPKYGAVYDIESDRWEEMPTGLLAGWTGPAAADDESGGPIFVADEASGELRCYSWEADTWRVVIKSELLKGAAQMACAGGKVCVASSVGDAAIVVDVRRSLGKIWTVDPPLGMRIMALHILPRMSWAQS >DRNTG_27146.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:8280206:8284381:-1 gene:DRNTG_27146 transcript:DRNTG_27146.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWYLVHECINKTLELECKGDIKEDGAANHFQTIQDGAATFHRSIKPLPNRRSRALFSSAVTLHRKIKPPQNPRSQALFSSATSEKNQVFPKP >DRNTG_27146.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:8279648:8284381:-1 gene:DRNTG_27146 transcript:DRNTG_27146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWYLVHECINKTLELECKGDIKEDGAANHFQTIQDGAATFHRSIKPLPNRRSRALFSSAVTLHRKIKPPQNPRSQALFSSATSEKNQVFPKP >DRNTG_11935.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:927583:928488:-1 gene:DRNTG_11935 transcript:DRNTG_11935.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGRPLSCVLFILILHLFIINSHSIQTQVLHIADSLVLFNHKQQVLRTLESSSTTITTTGKAFPPVAAPPPSSGGDLPSLPSDGWVTTSPTTTNNEPSPSPSSEDGIPFISSNPAVPIPTGETDTTTIRPLPMSGDGTQAVGLGWKDRIQMEVVVGLDVIMCFALSLWW >DRNTG_11935.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:927583:931964:-1 gene:DRNTG_11935 transcript:DRNTG_11935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVDRATLQRQKRMIKNRESAARSRERKQAYTLELETLVTQLEEENGRLLKELEEQNRERLQQLMKNLIPVTEKRKPQRVLRRTCSAT >DRNTG_06766.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21598285:21620987:-1 gene:DRNTG_06766 transcript:DRNTG_06766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRGNPARSGAGIISRIRLENFMCHGSLTIELGDWVNFITGQNGSGKSAILTALCVAFGCRAKGTQRASSLKDFIKNGCNYAAIFVEIKNQGEEAFKHETYGDLIILERRITESSSTTNLKDCQGRKVATRRDELRELVEHFNIDVENPCVIMSQDKSREFLHSGNDKDKFKFFFKATLLQNVNELLLNIRVQLDAASAILDELVSLIRPIENELKDLQDKIKNMEHVEEIAHEVQNLKKKLAWSWVFDVDRQIQEQNVKLEKLKERVPTCQERIDKYLAKVEKLKETLLTKRTKITSMTEKTSEVRRGKEELQQKLSLATKESLEVEEEHSRVNNVIRKISAQIVSLERQVQDIQEQHMKATQAEEFEIEERMKEINDEVDIVQKSVTRLLEEENSLSENLALAKSTVKEIAKEIEEYERKNRDLNSYIRDLQRRQTNKVTAFGGDRVLNLLQAIERHHRKFKSPPIGPIGAHVTLVSGDMWALAVDCAIGRLLDAFIVTEHKDSLLLRACAREANYQNLQIIIYDFSRPRLNIPNYLLPSTNHPTTLSVIHSDNHTIMNVLVDMGNAERQVLVQDYEMGKSVAFDQRIQNLKEVYTSDGYKMFYRGSVQTTLPPNKRAKTGRLCSSIDDQLYEIEMEASKVQELIQEGKGRKRISERSVEDLEARMHSVKKRRTNEERVLMAKKLALRDMKSAYDAEFNSADHTPNVEELYQEITDLQEHMQVKQMSLEKIKVRMTIAQEKASDLRSSFEKLCESAKGEIDAIEARERELMLAEDDLRSAEDERTHYEGIMNNKVLPDIKEAEALLEELQHNRQENSRKATIICAESEVEALGGCVGSTPEQLSAQLNRLKQKLQHESRRYSESIDDLRAIYEKKERRILSKRQNYEAFREK >DRNTG_22573.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14515029:14517268:1 gene:DRNTG_22573 transcript:DRNTG_22573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRLRFLLLLQDHSGTIIGACRHLNGVYVLDTLHLSSSARFLHQCHATVLSHHMWHNRLGHLSSSRMSTLVRLGVLGAVSPSSDVVCIGCKLGKQLQRPYPVSVSQTT >DRNTG_22573.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14515029:14516693:1 gene:DRNTG_22573 transcript:DRNTG_22573.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMICHTVTLDIRTQIEDLPTAREMWDYLERRYCGSSQAQLYTLYQSLTSL >DRNTG_07373.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20636499:20645943:1 gene:DRNTG_07373 transcript:DRNTG_07373.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GIGANTEA [Source:Projected from Arabidopsis thaliana (AT1G22770) UniProtKB/Swiss-Prot;Acc:Q9SQI2] MSTSHEKWIDGLHFSSLFWPPPQDEQQRQAQTMAYVEYFGQFTSEQFPEDVAQLIQNNYPSKEKRLLDEVLATFVLHHPEHGHAMVHPILSCIIDGTLVYDKNDPPFCSFISLVSQSTVKEFPEQWALACGEILRVLTHYNRPIYKTEVQNSEIERSSSGSQATTSDAHEGEACQSMVQEHDRKPLRPLTPWITDILLAAPLGIRSDYFRWCGGVMGKYAAGGELKPPTTASSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYESAYLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGMRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPLRHAEGVEIYHDASSHKKQVEAPIAEATTEATAQGIASMLCAHGPDVEWRICTIWEAAYGLLPLSSSSVDLPEIIVATPLQPPALSWSLYLPLLKVLEYLPRGSPSEACLMRIFVATVEAILRRTFPPATSTEHARKSSHHGGMWSTAKSLAVAELRTMIHSLFLESCASMDLASRLLFVVLTVCVSHEALPNGSKRPTGSESHSNGAITEEPQTVNGKEPNRFKTRKKQGPVATFDSYVLAAVCALACELQLFPLIAKNGIQSDPKDTTRMAKGIKSNGVTSDLQNGIGSAICHTRRILGILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFVRSKACINALSILRRCKWDTEISTRASSLYHLIDIHGKTVASIVNKAEPFEAHLVLTPAWKEDTMRLSTRKSMASASSSSSGPENKLLLQSKGSSSGAFLESEKAKLLNDAMLRTTGKNMANLPVDASDLANFLTMDKNTGYNCGAQALLRSVIAEKQELCFSVVSLLWHKLIAAPETQMSPESTSAQQGWRQVVDALCDVVSASPTKATTAIVLQAEKDLQPWIARDDEQGQKMWIINQRIVKLIVELMRNHDSPEALIILASASDLLLRATDGMLVDGEACTLPQLELLEVTARAVHLVIGWGESGLAVADGLSNLLKCRLSATVRCLSHPSAHVRALSTSVLRDIMQGSSAKSSSLKNKERNGICDHPYRCMSIGTIDWHADIDKCIKWEAHSRLATGLTLVFLDAAAKDLGCLLPC >DRNTG_34538.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:977495:980284:-1 gene:DRNTG_34538 transcript:DRNTG_34538.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPELEVEIAAGTYLKQSQIRIMGAGASARNTEKAAVTIDLVPLEGKFDNMTAFLIYERFWQKKVPINVSLFGDYNVIYVLYPGLPPSPPMPGGSSGSIPSTIGSHEDPISANVPPRKKHSMNSASIAIIVFTICSFALVCFGVSFVLWKLKSVGRSQSAIAATFATSTSKTDGIRSIQSPSAVTSSRSMSFASNVATLPASVKTFSLSELEKATDTFSSEKVLGEGGFGRVYHGIMEDESEVAVKLLTRKDHNGQREFVAEIEMLSRLHHRNLVKLIGICIEGNIRCLVFELVSNGSVESHLHGVDKWKGLLDWDARLKIALGAARALAYLHEDSNPCVIHRDFKASNVLLKDDFTPKVSDFGLAKEASEGSKHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLVELLTGRKPVDMSQPQGSENLVTWARPLLTSQEGLQKLVDPSLHGNFDFDNLAKVAVIASMCVHSEPSQRPFMGEVVQALKLICNSMDENNEETCIAAEYESNSWNESASQLNHGLTPFSFVSMEYGSNSF >DRNTG_34538.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:977495:980284:-1 gene:DRNTG_34538 transcript:DRNTG_34538.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIPELEVEIAAGTYLKQSQIRIMGAGASARNTEKAAVTIDLVPLEGKFDNMTAFLIYERFWQKKVPINVSLFGDYNVIYVLYPGLPPSPPMPGGSSGSIPSTIGSHEDPISANVPPRKKHSMNSASIAIIVFTICSFALVCFGVSFVLWKLKSVGRSQSAIAATFATSTSKTDGIRSIQSPSAVTSSRSMSFASNVATLPASVKTFSLSELEKATDTFSSEKVLGEGGFGRVYHGIMEDESEVAVKLLTRKDHNGQREFVAEIEMLSRLHHRNLVKLIGICIEGNIRCLVFELVSNGSVESHLHGVDKWKGLLDWDARLKIALGAARALAYLHEDSNPCVIHRDFKASNVLLKDDFTPKVSDFGLAKEASEGSKHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLVELLTGRKPVDMSQPQGSENLVTWARPLLTSQEGLQKLVDPSLHGNFDFDNLAKVAVIASMCVHSEPSQRPFMGEVVQALKLICNSMDENNEETCIAAEYESNSWNESASQLNHGLTPFSFVSMEYGSNSF >DRNTG_34538.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:977495:980284:-1 gene:DRNTG_34538 transcript:DRNTG_34538.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLIVFVLCVLFFVSPCPARGIPPSYPPLAVRPIHSPRHSVSSSLSKYHHSAPPQIQDCEGISCSDPLTLTPIGSPCACVYPVQVEIDLGVAPYQLFTMIPELEVEIAAGTYLKQSQIRIMGAGASARNTEKAAVTIDLVPLEGKFDNMTAFLIYERFWQKKVPINVSLFGDYNVIYVLYPGLPPSPPMPGGSSGSIPSTIGSHEDPISANVPPRKKHSMNSASIAIIVFTICSFALVCFGVSFVLWKLKSVGRSQSAIAATFATSTSKTDGIRSIQSPSAVTSSRSMSFASNVATLPASVKTFSLSELEKATDTFSSEKVLGEGGFGRVYHGIMEDESEVAVKLLTRKDHNGQREFVAEIEMLSRLHHRNLVKLIGICIEGNIRCLVFELVSNGSVESHLHGVDKWKGLLDWDARLKIALGAARALAYLHEDSNPCVIHRDFKASNVLLKDDFTPKVSDFGLAKEASEGSKHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLVELLTGRKPVDMSQPQGSENLVTWARPLLTSQEGLQKLVDPSLHGNFDFDNLAKVAVIASMCVHSEPSQRPFMGEVVQALKLICNSMDENNEETCIAAEYESNSWNESASQLNHGLTPFSFVSMEYGSNSF >DRNTG_34538.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:977495:980284:-1 gene:DRNTG_34538 transcript:DRNTG_34538.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLIVFVLCVLFFVSPCPGMYIYLSFSFLFIRCLFVFLRELKFDNLRTKFSILMSLYFVARGIPPSYPPLAVRPIHSPRHSVSSSLSKYHHSAPPQIQDCEGISCSDPLTLTPIGSPCACVYPVQVEIDLGVAPYQLFTMIPELEVEIAAGTYLKQSQIRIMGAGASARNTEKAAVTIDLVPLEGKFDNMTAFLIYERFWQKKVPINVSLFGDYNVIYVLYPGNLMHPLILILLSMCHRFHFVVNSCNMISGLPPSPPMPGGSSGSIPSTIGSHEDPISANVPPRKKHSMNSASIAIIVFTICSFALVCFGVSFVLWKLKSVGRSQSAIAATFATSTSKTDGIRSIQSPSAVTSSRSMSFASNVATLPASVKTFSLSELEKATDTFSSEKVLGEGGFGRVYHGIMEDESEVAVKLLTRKDHNGQREFVAEIEMLSRLHHRNLVKLIGICIEGNIRCLVFELVSNGSVESHLHGVDKWKGLLDWDARLKIALGAARALAYLHEDSNPCVIHRDFKASNVLLKDDFTPKVSDFGLAKEASEGSKHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLVELLTGRKPVDMSQPQGSENLVTWARPLLTSQEGLQKLVDPSLHGNFDFDNLAKVAVIASMCVHSEPSQRPFMGEVVQALKLICNSMDENNEETCIAAEYESNSWNESASQLNHGLTPFSFVSMEYGSNSF >DRNTG_31270.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19864000:19867554:1 gene:DRNTG_31270 transcript:DRNTG_31270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G26100) UniProtKB/TrEMBL;Acc:W8PV11] MPPTSSTFLPYSKDDKAKRHKPFAAVPPPPRISLSFLISGVFFFVGAVGIVFAIVVLLRPPRTVQVSVFRCGRAEDTLRNFRSKSSVGGGAGLEDRPKLLGFVGVQTGFGSADRRAALRSTWFPSDPEALARMEQSTGLAFRFVIGRTKDAKKMAELRREEAKYHDFLFIDAEEEYLKLPQKTLAYFKAAYSLFDAEFYVKADDAIYLRPDRLAILLAKDRAHRRTYIGCMKKGPVVTDPKMKWYESSGHLIGNEYFLHAYGPIYALSAEVVGALAATKNDSLRMFSNEDVTIGSWMLSMNVNHEDNRALCDPKCTSTSIAVWDIPKCSGLCNPAEKIKELHKTGMCSKSPTLPPDDDR >DRNTG_22526.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3499085:3500060:-1 gene:DRNTG_22526 transcript:DRNTG_22526.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISACKMMMMMMMMIMIMVLITTAVGMEPETEKETGEKAMTDMEIIERRSWGMRKVAEDGGFHEHGSSSSVEYENEKERKRMINGDHEKKNDNKGVVESCMMSQEHCEKKKAACMKSCIHEHPRIKKESVAVKCSFKCKKCAPEC >DRNTG_31209.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21633232:21634168:-1 gene:DRNTG_31209 transcript:DRNTG_31209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEDVGGWAAGDAEWPATPQDSILGFLFLVLGFETWGLRKNYSFFREN >DRNTG_18451.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000953.1:2955:7760:1 gene:DRNTG_18451 transcript:DRNTG_18451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSLASSDYGRHARKQPRSSQSRF >DRNTG_03938.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000187.1:49965:50958:1 gene:DRNTG_03938 transcript:DRNTG_03938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRAEIPQWRVFFLEASKTLQALFGSRTSPTGLGHFMWRLLARFILWSTFFMIPGVDGTFSSRPSTITSSLTTSWSSNPSYGSGLN >DRNTG_23970.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30857724:30863128:-1 gene:DRNTG_23970 transcript:DRNTG_23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALB3 [Source:Projected from Arabidopsis thaliana (AT2G28800) UniProtKB/TrEMBL;Acc:A0A178VUV3] MTKSLISSSLSFISSPLLPPSPRHGGSGFPLYRPRRGITRVKMSFQEIPPLHSFDPFLDLQGIVSRAEGLLYTLADAAVVADPSSATAANDAAQKNGGWFGFISEAMEVVLKVLKDGFSAIHVPYAYGFAIILLTVIVKIATLPLTKRQVESTLAMQNLQPKIKAIQERYAGNQERIQLETSRLYRQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPTLSGPTTIAARQSGAGISWLIPFVDGHPPLGWSDTAAYLVLPVLLVISQYASMELMKPPQTDDPASKNSLLVFKFLPLMIGYFSLSVPSGLSLYWLTNNLLSTAQQVWLRKLGGAKPAVGQDGGSIISAGQAKRSTSQPAKTGERFKQIKEEEKKKTNQALQPEEMQVLATVSDSDDTPDEKSKDEVLEQAYSSNSNKDLPTYTGSRKGKRSKRKRTAK >DRNTG_31689.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14154709:14155118:-1 gene:DRNTG_31689 transcript:DRNTG_31689.5 gene_biotype:protein_coding transcript_biotype:protein_coding LVSRLRSRSLSELTRQIAPPTKNGHAPPPIESRKSSQSVNPCYVWTW >DRNTG_31689.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14153511:14154366:-1 gene:DRNTG_31689 transcript:DRNTG_31689.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSHANVSRAWACFEHSNFFKVTAPEARPGQLRLGAHRRQRGWDGWCTPGSGPTGPAQGPTTSFLTATT >DRNTG_31689.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14153511:14155118:-1 gene:DRNTG_31689 transcript:DRNTG_31689.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRNLNDASPARGPCDPSSYHESSERRGEPASAFYLINASLPEVGVCCTY >DRNTG_31689.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14153511:14155118:-1 gene:DRNTG_31689 transcript:DRNTG_31689.3 gene_biotype:protein_coding transcript_biotype:protein_coding GRNLNDASPARGPCDPSSYHESSERRGEPASAFYLINASLPEVGVCCTY >DRNTG_31689.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14153511:14155118:-1 gene:DRNTG_31689 transcript:DRNTG_31689.2 gene_biotype:protein_coding transcript_biotype:protein_coding GRNLNDASPARGPCDPSSYHESSERRGEPASAFYLINASLPEVGVCCTY >DRNTG_00806.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12055288:12058956:-1 gene:DRNTG_00806 transcript:DRNTG_00806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKSGKVGIFSILFFCLWLSSSSPPSLAELLSPKGVNFEVQALMAIKEMLKDPHDVLNNWDGNSVDPCSWAMVTCSNQNFVTGLGSPSQNLSGTLSPSIGNLTNLETILLQSNNISGTIPSEIGKLPKLHTLDLSNNKFSGEIPNALGNLMSLEYLRLNNNSLSGAFPLSLANITQLAFLDLSYNNLSGPIPRFPARTFSIIGNPLICATGSEHECNGTMPMPISFNLNNSQSAPLVGRTKTHKVALAFGLSLGCVCVVVTGLGLFLWWRQHRNQQIFFDLNDQHKEEICLGNLRRFQFRELQIATENFSSKNILGKGGFGNVYKGHLQDGTVVAVKRLKDGNAAGGEIQFQTEVEMISLAVHRNLLKLYGFCMTATERLLVYPYMSNGSVASRLKAKPPLDWSTRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAIVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQRALEFGKAANQKGAMLDWVKKLHQEKKLDMMVDKDLKNGYDRIELEETVQVALLCTQYLPGHRPKMSEVVRMLEGDGLAERWEASQRVESHKFKAPELSSSERYSDLTDDSSLLVQAMELSGPR >DRNTG_32762.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20106487:20108657:-1 gene:DRNTG_32762 transcript:DRNTG_32762.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPSKALKDVQSELERLRQQAVSKVFEFIVQKIHALRNLKTSVQILQHSILLKYKCLIIFLKEHGREIYNEVKATYIDTMSKILSAHFHAYIQAMGKLQLDIATASDLIGVEARSTGLSLSREPLKNGSAVFALGDRINILKVFFYVDSLIKIFFPPFGAF >DRNTG_32762.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20103865:20107278:-1 gene:DRNTG_32762 transcript:DRNTG_32762.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDDLLIKLAKTFTKPKLQTAFLINNYDLIVAVLMNVPGGGRTMIYFGKLLESNIDIFAEEMLLEHFNDLIKFLNSCGAGESSSGAEKPSATDLEPLVRDFLSRRETAIELMNKDVITFFSNCLCGGRNIMDVATIKLHVYYGRLRECARRMEGSFDCRDPLPVFRLSYKDKYFKMV >DRNTG_32762.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20103865:20108657:-1 gene:DRNTG_32762 transcript:DRNTG_32762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLEKNLYSMKSLQDHLLFLMNISMWYFQIVMMLFGLMLMIHIIHQQQMVMFRRQIPCLDSYLDKVNISLWSRFKLVFDMHLNSLRNANVSTLWVDDVHPHYVMRRYAEFIASLVHLNVEHGDGQLDLNFERLEMAIDDLLIKLAKTFTKPKLQTAFLINNYDLIVAVLMNVPGGGRTMIYFGKLLESNIDIFAEEMLLEHFNDLIKFLNSCGAGESSSGAEKPSATDLEPLVRDFLSRRETAIELMNKDVITFFSNCLCGGRNIMDVATIKLHVYYGRLRECARRMEGSFDCRDPLPVFRLSYKDKYFKMV >DRNTG_32153.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27940701:27944624:1 gene:DRNTG_32153 transcript:DRNTG_32153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSQGHPHAPVSSRDKKGSSAEYHTAMWKLPTPVYVSQACTQGRVHAPVCSRDNRSNSVGIHMPLRKLPAGVRYSASRFTGSTLEKNLRGLFFKIPGVDGTSSVEPKIITFGSLHVLSRVGFYIVRQASMWFFKERLCNLPHLIFKVMQRGGVVAKCSLD >DRNTG_00755.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2651074:2654442:-1 gene:DRNTG_00755 transcript:DRNTG_00755.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIITIQVGQCGNQIGMEFWKQLCLEHGIGKDGLLEDFATQGGDRKDVFFYQADDQHYIPRALLMDLEPRVINGIQNSEYRNLYNHENIFLSDHGGGAGNNWASGYHQGEQVVDDIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNERYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLAVNADCVVVLDNTALNRIAVERLHLSNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQVTQRLFKPRFFTSNYSGDQVGSWFS >DRNTG_00755.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2654192:2654442:-1 gene:DRNTG_00755 transcript:DRNTG_00755.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIITIQVGQCGNQIGMEFWKQLCLEHGIGKDGLLEDFATQ >DRNTG_00755.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2649940:2654442:-1 gene:DRNTG_00755 transcript:DRNTG_00755.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIITIQVGQCGNQIGMEFWKQLCLEHGIGKDGLLEDFATQGGDRKDVFFYQADDQHYIPRALLMDLEPRVINGIQNSEYRNLYNHENIFLSDHGGGAGNNWASGYHQGEQVVDDIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNERYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLAVNADCVVVLDNTALNRIAVERLHLSNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQVNVIRKTTVLDVMRRLLQAKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQ >DRNTG_00755.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2646134:2654442:-1 gene:DRNTG_00755 transcript:DRNTG_00755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIITIQVGQCGNQIGMEFWKQLCLEHGIGKDGLLEDFATQGGDRKDVFFYQADDQHYIPRALLMDLEPRVINGIQNSEYRNLYNHENIFLSDHGGGAGNNWASGYHQGEQVVDDIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNERYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLAVNADCVVVLDNTALNRIAVERLHLSNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQVNVIRKTTVLDVMRRLLQAKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLANHTSIRHLFSKCLNQYEKLRKKQAFLDNYRKFPMFADNDLSEFDESHEIIESLVDEYKACESPDYIKWGMEKDMDTTLPGEASLSGALDAKLNL >DRNTG_00755.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2646134:2652914:-1 gene:DRNTG_00755 transcript:DRNTG_00755.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEPRVINGIQNSEYRNLYNHENIFLSDHGGGAGNNWASGYHQGEQVVDDIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNERYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLAVNADCVVVLDNTALNRIAVERLHLSNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQVNVIRKTTVLDVMRRLLQAKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLANHTSIRHLFSKCLNQYEKLRKKQAFLDNYRKFPMFADNDLSEFDESHEIIESLVDEYKACESPDYIKWGMEKDMDTTLPGEASLSGALDAKLNL >DRNTG_00755.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2646134:2652914:-1 gene:DRNTG_00755 transcript:DRNTG_00755.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEPRVINGIQNSEYRNLYNHENIFLSDHGGGAGNNWASGYHQGEQVVDDIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNERYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLAVNADCVVVLDNTALNRIAVERLHLSNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQVNVIRKTTVLDVMRRLLQAKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLANHTSIRHLFSKCLNQYEKLRKKQAFLDNYRKFPMFADNDLSEFDESHEIIESLVDEYKACESPDYIKWGMEKDMDTTLPGEASLSGALDAKLNL >DRNTG_00755.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2654192:2654509:-1 gene:DRNTG_00755 transcript:DRNTG_00755.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIITIQVGQCGNQIGMEFWKQLCLEHGIGKDGLLEDFATQ >DRNTG_06705.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:24267391:24268605:-1 gene:DRNTG_06705 transcript:DRNTG_06705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHIKKLASKRPRQEFPTPDVPRFSSSLHKTRYENLKNKPFATVRHIDWSVLGELGWDEQVRDLFSCNGWTELFSIDEPTFHQLTLEVLSTFEARQDERSVLIRRPDAIKFQAFGKTHAMNHMEFAKYMGIYDDEFISASLLNRPRIDFPLGMNANKFWNSLAPSDTTNTRKATRMVNPLHRYIHALITRSIGGRKDSTGVVSQSDLFTMYGILEEYPIHLGHLIAESFIHQAQFARLGAIFAGPYITRLIRGMNLLDRARDMTMVGEMAPLGAPVLRAIGLLQRKSSTCSLAPHLATGKSSQQNPDKSESESDDAPTQDPPGTSFPSDFDSRFKGMEDDIQAIRHEQREMRGQIYQILDGQRQLTEHFQQFVISHRGSSSQATTASCSIPPPSAAPFDDIFHF >DRNTG_19348.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5199246:5202403:1 gene:DRNTG_19348 transcript:DRNTG_19348.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase WAV3 [Source:Projected from Arabidopsis thaliana (AT5G49665) UniProtKB/Swiss-Prot;Acc:Q9LTA6] MGTGWRRAFCTSARRESPAEKQQVSPSPSPRSCTKLGGLFSSVSNPSTPRLIQQPESAVSTPTLRCRTRSPPPPPPPAASVDEPKLQCKTKLNPITPPTFNIRLSAASPNPSSPISPSRFALFKASLRLSRNRCGICAQSVKKGQGTAVFTAECSHSFHFPCISSHIRNHGCLVCPVCSATWRQAPFLSSLPQMNNSSASEPEQGNAEHGRRNREDKSCSVEAPKSKLYDDDEPLLLSSPGCAGGGGAAAGGSRFVPIPEADDEDDDGEFQGFSVTPTSTSPRSPHPAAAAAAVAAGTVVGVDVSVVPVAALVARGRSHQNYVVALKVRAPPTTALTSSASFPNPSQRAPIDLVVVLDVSDSMTGPKLCMLKRAMRLLISSLGSADRLALVAFSSSAKRLLPLRRMSPQGQRSARHIIDRLVISPNKGQGPRCVSEALRKATRVLEDRRERNPVATVMLLSDGQQGDENKDNTHRRHQHQHHPTSVPTRFAHLEIPIHDSGFGDASKQQQEQRNHIGGAEDSFAKCVGGLLSVVLQDVHLQLLFPLGEVSAVYSFGASGERAVALGAGNSIRLGDLYAEEERELLVELRVPTSAASHLMHHHNHMSVKCSYRDTATHELIRSGERHIQLPPLQHRPSTATVAVEEQLRNLFVTTRAIAESRRLAELNDHATAIHLLTSARSLLLQYASISASSDHESLLRSLEAEMADIQLRRHHHNQQQQLQLSLSQRRRRERRESAPPSSSDGAEPLTPTSAWRAAERLAKVAIMRKSLNRVSDLHGFENARF >DRNTG_14377.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:424479:427552:-1 gene:DRNTG_14377 transcript:DRNTG_14377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQTWQLDELEVEPLEIREVLRCIVHTIMFHRVLGLVRPKDTDSELFEITYVKCGDEELERKIEEKIDQLIVWIEKHPNKKISVCLSFFEIKNKQTKWFNKTDRVYWEHWYINLQLVKSRTITTFHLIEQTTKLEEASSKHAALESALREVMFQIVRFANEKSNHVPSVPSSHDSVSFPFDITIPSLSDFSFGWSADVFKRMLQTGHPNLLN >DRNTG_14377.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:424479:426493:-1 gene:DRNTG_14377 transcript:DRNTG_14377.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHRVLGLVRPKDTDSELFEITYVKCGDEELERKIEEKIDQLIVWIEKHPNKKISVCLSFFEIKNKQTKWFNKTDRVYWEHWYINLQLVKSRTITTFHLIEQTTKLEEASSKHAALESALREVMFQIVRFANEKSNHVPSVPSSHDSVSFPFDITIPSLSDFSFGWSADVFKRMLQTGHPNLLN >DRNTG_27983.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11029901:11033860:-1 gene:DRNTG_27983 transcript:DRNTG_27983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLSAVAGAFLSPLRDISLDKLIGYLWDYLSSSPTSSDEAENQQQLEDSLEALEEAKMNVKLMQSRIMRLFEKHKQNERVVGLHNKLKDVDYEIQDLESDMKYMELQKKVEEINKAAEADTTGQSSRGLKRLFGFYRPTAQSSEKKRRLSSSPQSLNLSPDADVVKKISSIINQINIIEARMKDEIKLEEWFEKIKLNGVYDPWEQHHLTQNKRVTTSSTNERKLYGRVGDMCAFLNHGAFKRIRVLIVFDTNIQEFPDAIAHLKHLQYLDLKKTNIRSIPESLCDLYQLRVLKLPRLYTLPSLFHNLINLRECRLFQDTDETYNQERLVYHVQRVRGYVIAQLRNMNELRGALSIEGLENIDSRKEAMKGKLKEKCHIKDLDISWTDIGHDCKHDVQEEVLEGLQPHHNLEQLRIKGYAGFKPPSWLMTLALRRIELNKCKNWKNLPVGLGLLPSLQELNLIDIESITIEFDDSVTEMFPSLRWLQLRKATLSFEGLLSSSSSTSSSLTSTPGPRKLFPRLQHLIVEECNGVNGPWPLLSALETLKINACPGLQCHVPKCLQSLNSLTSLEIKGLKIETTDTVVQQQQVCLLPKLRAIEIECCQNMAVLLRVPSVENLSISKCSPVSLSALGHLSFLTYISLQEMEVTMEDQVTPKFPSLHTLELKKASLIFQNMSSSSSSSLSVATQNHCCFPRLTTLRILECHEVNGLQWPMFSVLTELCIRNSLGLDDQLPGCLNGLSSLSRLELTGAKIKTFPEDVMATLHPLWWLQLEDCPELISLEGLQALPSLKRLFITKCPKFKSWCMEGMIENKFLLPNLLGISIDSCENLESLPDWLSRLPVLKQLSIIQCPKFHSMPEGGLPSSLERLNIIGCDPGLMERCQREGSSEWQMIQHIPKQNYI >DRNTG_34665.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23038282:23038885:1 gene:DRNTG_34665 transcript:DRNTG_34665.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYDDIFKLKSAAAKADVFHLLSGMWKTPAERCFQWLGGFRSSELLKLLTRQLEPLTEQQLAGICNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGPS >DRNTG_28985.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16706297:16707575:1 gene:DRNTG_28985 transcript:DRNTG_28985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGNLSRSSSVRLANRTSGLNSPRHSFSVSSFSHRKWIPFSAAAPSLISIAGTFFLSALLLAFACALYLYLLRGTFFTGFAVPEEKCDVFSGSWVPDTTYPLYNSSDCPFVERGFNCLANGREDTGYLKWHWKPNTCDLPRFDAREALEMLRGKRVVFVGDSMSRTQWESMICMLMTGVDDKNSVHEVNGNRISKTIRFLGVRFEGYNLSVEFFRSVFLVQLGFPPKHGPKRVKTTLKLDRLDDISHRWVDSDILIFNS >DRNTG_25818.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1000171:1006635:-1 gene:DRNTG_25818 transcript:DRNTG_25818.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase (Fragment) [Source:Projected from Arabidopsis thaliana (AT4G02280) UniProtKB/TrEMBL;Acc:W8Q6L8] MAAAKLGRVPSIRERVEDTLSAHRNDLVSLLSRYMGEGKGILQPHHLLDGLATVIGTDDDRKLADDPFFDVLKSAQEAIVLPPFVAIAVRPRPGVWEYVRVNVHELNVEQLSVAEYLRFKEELVGHFNDNYPLELDFEPFNANFPRPNRSSSIGNGVQFLNRHLSSIMFRNKESLEPLLNFLRAHKYKGHVMMLNDRIQSISRLQSALAKAEEYLSKLPADTPFSEFAYKFQEMGLEKGWGDTSERVLEMIHLLLDILQAPDPSTLETFLGRIPMVFNVVIVSPHGYFGQANVLGLPDTGGQVVYILDQVRALESEMLLRIKKQGLNVDPRILIVTRLIPDAKGTTCNQRLERVTGTEHTHILRVPFRTEKGILRKWISRFDVWPYLETFAVDAASEIAAELHGIPDLIIGNYSDGNLVASLLSYKLGITQCNIAHALEKTKYPDSDIYWENYEDKYHFSCQFTADLMAMNHADFIITSTYQEIAGSKNTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMCIYFPYSEKEKRLTSFHGSIEKLLYDPEQSEEHVGWLDDRSKPIIFSMARLDRVKNITGLVESFGKNTQLRELANLVVVAGYNDVKKSNDREEIQEIDKMHQLIKTYNLFGQFRWISAQTNRARNGELYRYIADTRGIFVQPAFYEAFGLTVVEAMTCGLPTFATCHGGPAEIIEHGISGFHIDPYHPDQAAGIMVEFFEQCKKDSSYWNKISEGGLCRIFERYTWKIYSERLMTLAGVYRFWKYVSKLERRETRRYLEMFYILKFRNLVKSVPLAVDDH >DRNTG_08572.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:18107150:18112656:-1 gene:DRNTG_08572 transcript:DRNTG_08572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEVENGPNDASPTKGMPNDASSSCWSPSFKWLSISP >DRNTG_06244.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000285.1:17603:19278:-1 gene:DRNTG_06244 transcript:DRNTG_06244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNPSILIDGDPELERTLRRKGKEPVQEQSNLADLEVEGLQGFML >DRNTG_03245.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000148.1:14606:15634:-1 gene:DRNTG_03245 transcript:DRNTG_03245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPVKMEATLTHGGRFVRYCLCGNRRIVFEVTSKYNPPIIPLRNDPYGVTWSALNSETGERVAIKRISHAFDNMIYFKRMLMEVKLLRHMDHENVSELNSLCLHRSSFLE >DRNTG_00809.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12032140:12036802:-1 gene:DRNTG_00809 transcript:DRNTG_00809.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMHTATLPVFSSFSAYKTNVSDRIRLGLAPLPASLAGRKGSILVIRSEAGFACGSNARARSSKLITNAVATKPDASASSTGSKPGHELLLFEALREGLDEEMARDPRVCVMGEDVGHYGGSYKVTKGLATKYGDLRVLDTPIAENSFTGMGIGAAMTGLRPVIEGMNMGFLLLAFNQISNNCGMLHYTSGGQFTIPVVIRGPGGVGRQLGAEHSQRLESYFQSIPGLQMVACSTAYNAKGLMKAAIRSENPVVLFEHVLLYNLKERIPDEEYICCLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLYTIGKSIKKTHRVLIVEECMRTGGIGASLQAAIIKEFWDYLDAPIVCLSSQDVPTPYAGTLEEWTVVQPAQIVKAVEDLCK >DRNTG_15123.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18248323:18253060:1 gene:DRNTG_15123 transcript:DRNTG_15123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFQVMEEKVDWKGRPTKKGVHGGIFPALFILVMFAFNSCAWVALAGNLVMYFTGVLHLDLASASNSVTNFMGTTYILAQIAAYLADAHVGRCKIVLISGFIEFLALLLLAVQAHIPSLKPQPCDIYDIKSQCEKIHGGNATFFYIALYSVAVGLAGAFAGVPSHGADQFDDKDPKELRHMSSFFNYLTASNAIGSILSLTLVVWIQTHVGWDWGFGTSAACVFVATLIFAVGVPKYRIHAIGGPNPIVQILQVLVAAVRNWRLPLPKDSAELYEIKNENGEEFLPHRDIFRFLDKAAIQRKKTNMNSETDKKSNDKWELCRVTQVENAKTIVGMLPIFICSIFMSTCLAQLQTFTIQQGFTMDNKLGKHIHIAPANLAIAPMIFMLVGIPIYDRLIVPLLRRITGHPKGISDLKRIGIGLLLSCVAMIVGAIVEIKRKHVAKSHGMIDANPLVNKLPISIFWLAIQHFTFGISDMFTYVGLMEFFYSEAPKPMKSIASSLLWCSLGLGYFLSSILVDIVNHATRNNTKSGGWLAGNNLNRNHVDLFFWTLAILTFINLLNYLYWANRYEYKSQPLHNASKVEVSQSQDCV >DRNTG_15123.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18248482:18253060:1 gene:DRNTG_15123 transcript:DRNTG_15123.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVDWKGRPTKKGVHGGIFPALFILVMFAFNSCAWVALAGNLVMYFTGVLHLDLASASNSVTNFMGTTYILAQIAAYLADAHVGRCKIVLISGFIEFLALLLLAVQAHIPSLKPQPCDIYDIKSQCEKIHGGNATFFYIALYSVAVGLAGAFAGVPSHGADQFDDKDPKELRHMSSFFNYLTASNAIGSILSLTLVVWIQTHVGWDWGFGTSAACVFVATLIFAVGVPKYRIHAIGGPNPIVQILQVLVAAVRNWRLPLPKDSAELYEIKNENGEEFLPHRDIFRFLDKAAIQRKKTNMNSETDKKSNDKWELCRVTQVENAKTIVGMLPIFICSIFMSTCLAQLQTFTIQQGFTMDNKLGKHIHIAPANLAIAPMIFMLVGIPIYDRLIVPLLRRITGHPKGISDLKRIGIGLLLSCVAMIVGAIVEIKRKHVAKSHGMIDANPLVNKLPISIFWLAIQHFTFGISDMFTYVGLMEFFYSEAPKPMKSIASSLLWCSLGLGYFLSSILVDIVNHATRNNTKSGGWLAGNNLNRNHVDLFFWTLAILTFINLLNYLYWANRYEYKSQPLHNASKVEVSQSQDCV >DRNTG_14413.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:9726208:9729247:-1 gene:DRNTG_14413 transcript:DRNTG_14413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATMSSCCGNDVDEERFMGSTGASSTGDGIRSTWKIFTYKELHNATNGFSEDNKLGEGGFGSVYWGKTSDGLQIAVKKLKAMNSKAEMEFAVEVEVLGRVRHKNLLGLRGYCAGSDQRLIVYDYMPNLSLLSHLHGQFANEVQLDWKKRMNIIIGSAEGLVYLHHEVTPHIIHRDIKASNVLLDSNFEPLVADFGFAKLIPDGVSHMTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLEIITGRKPIEKLPGGVKRTITEWVEPLIAKSRFKDLVDPRLRGNFDEAQLRQTINVAALCVQAEPEKRLTMKEVVNLLKDYAPSRSKLNGLRMESIKYGDDLMNMDQNSEDEKEMDTESNVYGVFGAMEVRKMTDPYNNYGGDRKKILHG >DRNTG_14413.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:9726208:9728807:-1 gene:DRNTG_14413 transcript:DRNTG_14413.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGASSTGDGIRSTWKIFTYKELHNATNGFSEDNKLGEGGFGSVYWGKTSDGLQIAVKKLKAMNSKAEMEFAVEVEVLGRVRHKNLLGLRGYCAGSDQRLIVYDYMPNLSLLSHLHGQFANEVQLDWKKRMNIIIGSAEGLVYLHHEVTPHIIHRDIKASNVLLDSNFEPLVADFGFAKLIPDGVSHMTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLEIITGRKPIEKLPGGVKRTITEWVEPLIAKSRFKDLVDPRLRGNFDEAQLRQTINVAALCVQAEPEKRLTMKEVVNLLKDYAPSRSKLNGLRMESIKYGDDLMNMDQNSEDEKEMDTESNVYGVFGAMEVRKMTDPYNNYGGDRKKILHG >DRNTG_34321.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29355284:29355762:1 gene:DRNTG_34321 transcript:DRNTG_34321.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aberrant root formation protein 4 [Source:Projected from Arabidopsis thaliana (AT5G11030) UniProtKB/Swiss-Prot;Acc:Q84VX3] YRWTKHGGHEACKHSCGGRAVDQSPGLKR >DRNTG_34321.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29353944:29355762:1 gene:DRNTG_34321 transcript:DRNTG_34321.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aberrant root formation protein 4 [Source:Projected from Arabidopsis thaliana (AT5G11030) UniProtKB/Swiss-Prot;Acc:Q84VX3] MRKLLLQRGSSKLSSGGAQKNAGTRKRSSVAR >DRNTG_34321.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29349589:29355712:1 gene:DRNTG_34321 transcript:DRNTG_34321.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aberrant root formation protein 4 [Source:Projected from Arabidopsis thaliana (AT5G11030) UniProtKB/Swiss-Prot;Acc:Q84VX3] MDIAGIHVADDSSPSIFNRLQVSLQVADASSSSISNSLQVSLQSIHDSFESGDFRRSDEAIASTIALLDSTAESLSSDAEEALSFILSYLSSSSSSQMVVEALSLELPKVVVRLAGLSDECRAIAGAIVDRLVSVSSAREMLVVLCEALHSHIKVSKAPIDHILLLSRLPKVLNCTQRHHVQQVKAALPAVLEVLYAISSESDEEEDSLYDLFCESILVGRSIQALCQKLDGRRKEELCAILGLYALQSMALISRSRLAHNTSTCVDAIKQVSEFLLFCGLSYVDLLAGTAVDALISKISIEDGDDFMTCFSFSMIGASLAVMWGHIFEEVAKAAGEEIIAALNILQNDNAKRWQAIGMFKHVLASIDYTWEIKLQCIELLSSILDGGNSDESSGDEIQFSSFMTTIFAALQVCFLSFFLNDTSVLLFIQNLNVLGYSTIYYWSFQSIIQEESI >DRNTG_34321.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29353944:29355712:1 gene:DRNTG_34321 transcript:DRNTG_34321.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aberrant root formation protein 4 [Source:Projected from Arabidopsis thaliana (AT5G11030) UniProtKB/Swiss-Prot;Acc:Q84VX3] MRKLLLQRGSSKLSSGGAQKNAGTRKRSSVAR >DRNTG_34321.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29349589:29355712:1 gene:DRNTG_34321 transcript:DRNTG_34321.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aberrant root formation protein 4 [Source:Projected from Arabidopsis thaliana (AT5G11030) UniProtKB/Swiss-Prot;Acc:Q84VX3] MDIAGIHVADDSSPSIFNRLQVSLQVADASSSSISNSLQVSLQSIHDSFESGDFRRSDEAIASTIALLDSTAESLSSDAEEALSFILSYLSSSSSSQMVVEALSLELPKVVVRLAGLSDECRAIAGAIVDRLVSVSSAREMLVVLCEALHSHIKVSKAPIDHILLLSRLPKVLNCTQRHHVQQVKAALPAVLEVLYAISSESDEEEDSLYDLFCESILVGRSIQALCQKLDGRRKEELCAILGLYALQSMALISRSRLAHNTSTCVDAIKQVSEFLLFCGLSYVDLLAGTAVDALISKISIEDGDDFMTCFSFSMIGASLAVMWGHIFEEVAKAAGEEIIAALNILQNDNAKRWQAIGMFKHVLASIDYTWEIKLQCIELLSSILDGGNSDESSGDEIQFSSFMTTIFAALQGIQQFIIGASKASSRKKAFNTFQKVLSDIPTSFRLDMLKALITNSNSPSMIAILIDIVRMQMVIENNQGNNSARNQEKNQQNRMICSPFWSYGALEMVELVLRPPKGGPPTLPEDSEAVLSALNLYRFILIAESTGKTNRTGVMSPSNLRKAYEKWLLPLRTLLSGIQSENAENDSELADNILCALNPVQFVLHRCIDLVEECMKSQS >DRNTG_34321.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29350582:29353793:1 gene:DRNTG_34321 transcript:DRNTG_34321.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aberrant root formation protein 4 [Source:Projected from Arabidopsis thaliana (AT5G11030) UniProtKB/Swiss-Prot;Acc:Q84VX3] MALISRSRLAHNTSTCVDAIKQVSEFLLFCGLSYVDLLAGTAVDALISKISIEDGDDFMTCFSFSMIGASLAVMWGHIFEEVAKAAGEEIIAALNILQNDNAKRWQAIGMFKHVLASIDYTWEIKLQCIELLSSILDGGNSDESSGDEIQFSSFMTTIFAALQGIQQFIIGASKASSRKKAFNTFQKVLSDIPTSFRLDMLKALITNSNSPSMIAILIDIVRMQMVIENNQGNNSARNQEKNQQNRMICSPFWSYGALEMVELVLRPPKGGPPTLPEDSEAVLPLCQLTVPVF >DRNTG_03078.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:352821:359509:1 gene:DRNTG_03078 transcript:DRNTG_03078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVLLDTGNLVIVNTLTYTKLLWQSFDHPSHTWMPGGWLGLNKLTGEFQSITSWRNSEDPSPGLYTEGIHPDGSNQFVLLYNGSLVYWSTGLWNGQFFSGVLGTKEKSAFNFSFVDNKERKYATYTLDPRVNSYTLMDPSGQVKQMLWMNTSQRWFPFYNQPVALCDVYSVCGAFGVCDHKGSPICSCYVGFKPVFTKDWKLGAWSCGCTRKIILQCDNKNASSTHEDGFFDMKMVRLPSNPVKLINAVQSNTQCEQVCRRNCSCTAYTFDDGQCSIWSGQLHNAKQLYDGDSDGDGEDKDNAGSGTLHIRLAASDIPPPTPSSINRHLGAPVIFSVVAGTLVIIFLVFMGLTWVRKSRRNSRLAKHAEGSLISFTYADLRRMTKNFSDVVGRGGFGSVFRGKLPDSTVIAVKKLEGLRQGEKQFRTEISTLGSIQHVNLVHLQGFCCEGNKRLLVYNYMSGVSLDSHLFRVPKVLDWKIRFKIIVGVAKGLAYLHEKCRECIIHCDIKPENILLDEEFSPKVADFGMAKLMGRDFTKVDVYSFGMMLFELISGERNSKVSINSEDDGEENFFPFRAANLVVDGDIIELLDKRLQGEVDLEELKRVCKVACWCIQDSDSLRPTIGQVVQILEGVLDVNTPPKIKRSLNFLSARESTAAGDPDYGAAQAISHLQMVLPIPVAKEVIFEKSLVVQW >DRNTG_23739.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001275.1:238714:241540:1 gene:DRNTG_23739 transcript:DRNTG_23739.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGITFTCPGADAFDEGIESLIVRSLSFNGDNEKSPLRSSFSFNSNDNESSSILRALGSGKLIIEGSLDLDKKEMDISIKSPKTTEKEDSPKSDGFKNSGFRLFNDNLPQTLLAQPNEPKHLAAVKLQKVYKSFRTRRQLADCAVLVEQRWWKLLDFALLRRSSISFFDIEKQETAVSRWSRARTKAAKVGKGLSKNEKARKLALQHWLEAIDPRHRYGHNLHFYYDSWLKCESRQPFFYWLDVGEGKEVNIEERCSRSKLQQQCIKYLGPKEREAYEVIIKDGKFYYKCSRQLLDTTQSLKGTKWIFVLSTSKAMYVGQKKKGVFQHSSFLAGGATSAAGRLVVENGSLKVIIREYFYFDTDKIFLPSCTNQSIMHCFYRLCGLTVVITGQPKKIFRTS >DRNTG_23739.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001275.1:238714:241540:1 gene:DRNTG_23739 transcript:DRNTG_23739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNTIFSREGKTLDWIGMGITFTCPGADAFDEGIESLIVRSLSFNGDNEKSPLRSSFSFNSNDNESSSILRALGSGKLIIEGSLDLDKKEMDISIKSPKTTEKEDSPKSDGFKNSGFRLFNDNLPQTLLAQPNEPKHLAAVKLQKVYKSFRTRRQLADCAVLVEQRWWKLLDFALLRRSSISFFDIEKQETAVSRWSRARTKAAKVGKGLSKNEKARKLALQHWLEAIDPRHRYGHNLHFYYDSWLKCESRQPFFYWLDVGEGKEVNIEERCSRSKLQQQCIKYLGPKEREAYEVIIKDGKFYYKCSRQLLDTTQSLKGTKWIFVLSTSKAMYVGQKKKGVFQHSSFLAGGATSAAGRLVVENGSLKAVWPHSGHYRPTEENFQDFMSFLKEHYVDLTDVKKSPAEDDDESGFSLRCNTGLACNGSQEDLTEKSLTEKTPATEGLTNIEMPPQVLNSCSHAEEEEEQEQTSMMTNNVKKQAENEESSDDEEETNMETNLKTQFKRPRRLKIGNSMVAKDEEDESMASYMLRKENLFVEESAEEEHVAVPQDWILRRIDSRRGAKSYQLGKQLSFKWTTGAGPRIGCVRDYPSELQVLALEQVSLSPRDTRKSRSCCASPLIPASPCTKKSQVPSTKDDTL >DRNTG_23739.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001275.1:238714:241540:1 gene:DRNTG_23739 transcript:DRNTG_23739.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGITFTCPGADAFDEGIESLIVRSLSFNGDNEKSPLRSSFSFNSNDNESSSILRALGSGKLIIEGSLDLDKKEMDISIKSPKTTEKEDSPKSDGFKNSGFRLFNDNLPQTLLAQPNEPKHLAAVKLQKVYKSFRTRRQLADCAVLVEQRWWKLLDFALLRRSSISFFDIEKQETAVSRWSRARTKAAKVGKGLSKNEKARKLALQHWLEAIDPRHRYGHNLHFYYDSWLKCESRQPFFYWLDVGEGKEVNIEERCSRSKLQQQCIKYLGPKEREAYEVIIKDGKFYYKCSRQLLDTTQSLKGTKWIFVLSTSKAMYVGQVKSHLHKITDGSNTSYRF >DRNTG_23739.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001275.1:238714:241540:1 gene:DRNTG_23739 transcript:DRNTG_23739.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGITFTCPGADAFDEGIESLIVRSLSFNGDNEKSPLRSSFSFNSNDNESSSILRALGSGKLIIEGSLDLDKKEMDISIKSPKTTEKEDSPKSDGFKNSGFRLFNDNLPQTLLAQPNEPKHLAAVKLQKVYKSFRTRRQLADCAVLVEQRWWKLLDFALLRRSSISFFDIEKQETAVSRWSRARTKAAKVGKGLSKNEKARKLALQHWLEAIDPRHRYGHNLHFYYDSWLKCESRQPFFYWLDVGEGKEVNIEERCSRSKLQQQCIKYLGPKEREAYEVIIKDGKFYYKCSRQLLDTTQSLKGTKWIFVLSTSKAMYVGQKKKGVFQHSSFLAGGATSAAGRLVVENGSLKAVWPHSGHYRPTEENFQDFMSFLKEHYVDLTDVKKSPAEDDDESGFSLRCNTGLACNGSQEDLTEKSLTEKTPATEGLTNIEMPPQVLNSCSHAEEEEEQEQTSMMTNNVKKQAENEESSDDEEETNMETNLKTQFKRPRRLKIGNSMVAKDEEDESMASYMLRKENLFVEESAEEEHVAVPQDWILRRIDSRRGAKSYQLGKQLSFKWTTGAGPRIGCVRDYPSELQVLALEQVSLSPRDTRKSRSCCASPLIPASPCTKKSQVPSTKDDTL >DRNTG_28634.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28333384:28338505:1 gene:DRNTG_28634 transcript:DRNTG_28634.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPVNEDAMTTEATQAPITRARKLNADLQDQVAKPYLARALEAVDPSHPNGTEGKDHRNMSVLQQHVAFFDRNNDGIVYPWETFQGFRAIGCDLLTSIGGAILINLVLSYSTQPSWIPNPLLPIYIKNIHKCKHGSDSETYDTEGRFEPSKFDAIFSKYGLTHPNALSSEELSTMLKANRNLTDFNGWILSYSEWQLLYKLGKDEKGLLHRETIRGVYDGSLFEQLEKKRASHSKRS >DRNTG_06475.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16892693:16893035:-1 gene:DRNTG_06475 transcript:DRNTG_06475.1 gene_biotype:protein_coding transcript_biotype:protein_coding GYDESDTHLGPSDYSKCRTSSPNDRLSSQIDDVFFHCDH >DRNTG_20682.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001138.1:10091:11975:-1 gene:DRNTG_20682 transcript:DRNTG_20682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLDAAVVHTSKEDDAGAKHRQLSTTVPHDDPKIAVDEGQGNAAEMTTRKKINANKKLEEVRKVFIPKKKKYVGQSRLNKYKEELIRIFLNCPMGNTVVWKNDSVSLTRSRLSDLLEGKEMVAYDVMDAFLCIIRNSLMILPYRYKKRASITRPLALFMSMQEDTHDTTMVMIRDAARNMHDVEIVILPIIMNGHFHVIVLDNDKQEYRHYSSCQSKEYDKDALDMNLFDLCIDMEFGESATAKYPLVHDTETPRQKRGSVDCAVYVMQFIEQLLTDEKLCLP >DRNTG_13699.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5322081:5324836:1 gene:DRNTG_13699 transcript:DRNTG_13699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRTLLKVIVLGDSGVGKTSLMNQYVHKKFSQQYKATIGADFVTKELQIDDKLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVHARKSFENLDNWHEEFLHQASPSDPSSFPFVILGNKVDVDGGNSRVISEKRANEWCASKGNIPYFETSAKEDCNVDDAFLCVAKFALQNDREQDVYFRNIQEPILEAEQRSGCSC >DRNTG_20514.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16687910:16690261:1 gene:DRNTG_20514 transcript:DRNTG_20514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKADLCSVMHAEMIPGKGLGFNYSSSRMFSNLNFRNDAWTSLPYLSLRNQKQYPKYNTSAQQVAERNAVLVKPLKVEDRSLKVEEAKEPALTVPRQGQPCTTRVVSVDTLFGPRGAIGEICHIVLDHGGNFNFEEGHYLGVILPPNDNDGSGQTRTRVKFDDFSVASCRDGDAFGGKRLSLCVRRAELSPDSVSNFLCDRQEGDEVEIIGPFGYKMIWPNDLEAKHIMIATSTGIAPFRSNLQHVFVNPYSQVAFNGLTWLIAGADNGNSLLYNGEFTQILGTHPIHFRYQKALADHNTTVADVIYQNGDQIFSLLNGGAYIYFAGLQTMMPGILKTFERIAQERGENWADTLAELVRNDQWRVEVY >DRNTG_29868.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1945083:1949271:-1 gene:DRNTG_29868 transcript:DRNTG_29868.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGYCYINGIEALLQNLKLNNYEMHAFTNYPIWYTLIEEKLKLSKYLSWTFCSCLTGKRKPAPDSYAEVLTRLGVDPANCVFIDDRITNVEAAKNAGMVGLQFKNADTLKEDLSLLGIGLVTQDN >DRNTG_29868.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1945083:1949271:-1 gene:DRNTG_29868 transcript:DRNTG_29868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGYCYINGIEALLQNLKLNNYEMHAFTNYPIWYTLIEEKLKLSKYLSWTFCSCLTGKRKPAPDSYAEVLTRLGVDPANCVFIDDRITNVEAAKNAGMVGLQFKNADTLKEDLSLLGIGLVTQDN >DRNTG_29868.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1945083:1948012:-1 gene:DRNTG_29868 transcript:DRNTG_29868.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGYCYINGIEALLQNLKLNNYEMHAFTNYPIWYTLIEEKLKLSKYLSWTFCSCLTGKRKPAPDSYAEVLTRLGVDPANCVFIDDRITNVEAAKNAGMVGLQFKNADTLKEDLSLLGIGLVTQDN >DRNTG_29868.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1946116:1947274:-1 gene:DRNTG_29868 transcript:DRNTG_29868.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGYCYINGIEALLQNLKLNNYEMHAFTNYPIWYTLIEEKLKLSKYLSWTFCSCLTGKRKPAPDSYAEVLTRLGVDPANCVFIDDRITNVEAAKNAGMVGLQFKNADTLKEDLSLLGIGLVTQDN >DRNTG_21871.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19215292:19219226:1 gene:DRNTG_21871 transcript:DRNTG_21871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIDLLTRVDAICKKYEKYDVEKHRGDASSTGGDDAFARLYASVESDIDAALEKSERAQQDKNRAAVVAMNAEIRRTKARLAEEVPKLQRLALKKVKGLSREELAARSDLVLALPDRIQSIPDGSTTGIKQTGGWTASGSRTEIRFDSTDGNFDNEYFQQTEESNQFRQEYEMRKIKQDQGLDVIAEGLDTLKNMAHDMNEELDRQVPLIDEIDSKVDKATSDLKNTNVRLKETVNQLRSSRNFCIDIILLCIILGIAAYLYNVLKK >DRNTG_31698.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2158555:2162212:1 gene:DRNTG_31698 transcript:DRNTG_31698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKPSSGPHKGRECLPLILIMRNRLKYALTYREVIAILMQRHVLVDGKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSIKDEEAKFKLCKVRSVQFGHKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGSFETIHVQDATGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLSIIEEARKRAAAAAATTAA >DRNTG_31698.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2160834:2162212:1 gene:DRNTG_31698 transcript:DRNTG_31698.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKHWMLDKLGGAFAPKPSSGPHKGRECLPLILIMRNRLKYALTYREVIAILMQRHVLVDGKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSIKDEEAKFKLCKVRSVQFGHKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGSFETIHVQDATGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLSIIEEARKRAAAAAATTAA >DRNTG_03853.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000183.1:1362:4257:1 gene:DRNTG_03853 transcript:DRNTG_03853.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHEPREATATTEPPKMPFSVSNSSNLYF >DRNTG_35079.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19560457:19566692:1 gene:DRNTG_35079 transcript:DRNTG_35079.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVDVDEPPSKRVKVSSLELGSLSNTLSPLEPTGPLGGLMARHLPSQGNEDMVGSKGLIKRVEFVRIITKALYSLGYEGTGALLEEESGIHMHSAAVKLFRKQVLDGNWDGSVAALQKIGLSDDNILRSASFKILERKFLELVEKDKVMDAINTLRYEIAPLNIDKKRMHELCSCMISPSQHGLLGFDNLSGEATNSRWELLQELQKLLPPSVMIPERRLEHLVEQALNVQRNACYLHNALDSSLSLFVDHHCGKDQIPSRTAQVLQAHNDEVWFIQFSNNGRFLASSSIDKTAIIWEVHEDGGVLLKHTLNGHDRPVTMVAWSPDDKQLLTCGLEENVRRWDVHTGNCLHIYGKSGLGLISCGWFPDGEHFFTGVSDKTICMWDLDGKEIECWKGQRTTKTSDMAVTKDGKRIIILCRETGILLLDKETKLEQLIEEDQIITSFSLSKDDKYLLVNLINQEIHLWSILEDPELIIKYRGHKRSRFLVTSCFGGFEQAFIASGSEDSQVYIWHRNTGDLIWALPGHSGAVNCVSWNPTNPHMLASASDDRTIRIWGVNQVNLKCKEVHSNGSNGISHHCNGNIKW >DRNTG_35079.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19560457:19566738:1 gene:DRNTG_35079 transcript:DRNTG_35079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVDVDEPPSKRVKVSSLELGSLSNTLSPLEPTGPLGGLMARHLPSQGNEDMVGSKGLIKRVEFVRIITKALYSLGYEGTGALLEEESGIHMHSAAVKLFRKQVLDGNWDGSVAALQKIGLSDDNILRSASFKILERKFLELVEKDKVMDAINTLRYEIAPLNIDKKRMHELCSCMISPSQHGLLGFDNLSGEATNSRWELLQELQKLLPPSVMIPERRLEHLVEQALNVQRNACYLHNALDSSLSLFVDHHCGKDQIPSRTAQVLQAHNDEVWFIQFSNNGRFLASSSIDKTAIIWEVHEDGGVLLKHTLNGHDRPVTMVAWSPDDKQLLTCGLEENVRRWDVHTGNCLHIYGKSGLGLISCGWFPDGEHFFTGVSDKTICMWDLDGKEIECWKGQRTTKTSDMAVTKDGKRIIILCRETGILLLDKETKLEQLIEEDQIITSFSLSKDDKYLLVNLINQEIHLWSILEDPELIIKYRGHKRSRFLVTSCFGGFEQAFIASGSEDSQVYIWHRNTGDLIWALPGHSGAVNCVSWNPTNPHMLASASDDRTIRIWGVNQVNLKCKEVHSNGSNGISHHCNGNIKW >DRNTG_35079.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19560457:19566692:1 gene:DRNTG_35079 transcript:DRNTG_35079.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVDVDEPPSKRVKVSSLELGSLSNTLSPLEPTGPLGGLMARHLPSQGNEDMVGSKGLIKRVEFVRIITKALYSLGYEGTGALLEEESGIHMHSAAVKLFRKQVLDGNWDGSVAALQKIGLSDDNILRSASFKILERKFLELVEKDKVMDAINTLRYEIAPLNIDKKRMHELCSCMISPSQHGLLGFDNLSGEATNSRWELLQELQKLLPPSVMIPERRLEHLVEQALNVQRNACYLHNALDSSLSLFVDHHCGKDQIPSRTAQVLQAHNDEVWFIQFSNNGRFLASSSIDKTAIIWEVHEDGGVLLKHTLNGHDRPVTMVAWSPDDKQLLTCGLEENVRRWDVHTGNCLHIYGKSGLGLISCGWFPDGEHFFTGVSDKTICMWDLDGKEIECWKGQRTTKTSDMAVTKDGKRIIILCRETGILLLDKETKLEQLIEEDQIITSFSLSKDDKYLLVNLINQEIHLWSILEDPELIIKYRGHKRSRFLVTSCFGGFEQAFIASGSEDSQVYIWHRNTGDLIWALPGHSGAVNCVSWNPTNPHMLASASDDRTIRIWGVNQVNLKCKEVHSNGSNGISHHCNGNIKW >DRNTG_35079.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19560457:19566692:1 gene:DRNTG_35079 transcript:DRNTG_35079.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVDVDEPPSKRVKVSSLELGSLSNTLSPLEPTGPLGGLMARHLPSQGNEDMVGSKGLIKRVEFVRIITKALYSLGYEGTGALLEEESGIHMHSAAVKLFRKQVLDGNWDGSVAALQKIGLSDDNILRSASFKILERKFLELVEKDKVMDAINTLRYEIAPLNIDKKRMHELCSCMISPSQHGLLGFDNLSGEATNSRWELLQELQKLLPPSVMIPERRLEHLVEQALNVQRNACYLHNALDSSLSLFVDHHCGKDQIPSRTAQVLQAHNDEVWFIQFSNNGRFLASSSIDKTAIIWEVHEDGGVLLKHTLNGHDRPVTMVAWSPDDKQLLTCGLEENVRRWDVHTGNCLHIYGKSGLGLISCGWFPDGEHFFTGVSDKTICMWDLDGKEIECWKGQRTTKTSDMAVTKDGKRIIILCRETGILLLDKETKLEQLIEEDQIITSFSLSKDDKYLLVNLINQEIHLWSILEDPELIIKYRGHKRSRFLVTSCFGGFEQAFIASGSEDSQVYIWHRNTGDLIWALPGHSGAVNCVSWNPTNPHMLASASDDRTIRIWGVNQVNLKCKEVHSNGSNGISHHCNGNIKW >DRNTG_35079.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19560457:19566692:1 gene:DRNTG_35079 transcript:DRNTG_35079.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVDVDEPPSKRVKVSSLELGSLSNTLSPLEPTGPLGGLMARHLPSQGNEDMVGSKGLIKRVEFVRIITKALYSLGYEGTGALLEEESGIHMHSAAVKLFRKQVLDGNWDGSVAALQKIGLSDDNILRSASFKILERKFLELVEKDKVMDAINTLRYEIAPLNIDKKRMHELCSCMISPSQHGLLGFDNLSGEATNSRWELLQELQKLLPPSVMIPERRLEHLVEQALNVQRNACYLHNALDSSLSLFVDHHCGKDQIPSRTAQVLQAHNDEVWFIQFSNNGRFLASSSIDKTAIIWEVHEDGGVLLKHTLNGHDRPVTMVAWSPDDKQLLTCGLEENVRRWDVHTGNCLHIYGKSGLGLISCGWFPDGEHFFTGVSDKTICMWDLDGKEIECWKGQRTTKTSDMAVTKDGKRIIILCRETGILLLDKETKLEQLIEEDQIITSFSLSKDDKYLLVNLINQEIHLWSILEDPELIIKYRGHKRSRFLVTSCFGGFEQAFIASGSEDSQVYIWHRNTGDLIWALPGHSGAVNCVSWNPTNPHMLASASDDRTIRIWGVNQVNLKCKEVHSNGSNGISHHCNGNIKW >DRNTG_35079.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19560457:19566692:1 gene:DRNTG_35079 transcript:DRNTG_35079.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVDVDEPPSKRVKVSSLELGSLSNTLSPLEPTGPLGGLMARHLPSQGNEDMVGSKGLIKRVEFVRIITKALYSLGYEGTGALLEEESGIHMHSAAVKLFRKQVLDGNWDGSVAALQKIGLSDDNILRSASFKILERKFLELVEKDKVMDAINTLRYEIAPLNIDKKRMHELCSCMISPSQHGLLGFDNLSGEATNSRWELLQELQKLLPPSVMIPERRLEHLVEQALNVQRNACYLHNALDSSLSLFVDHHCGKDQIPSRTAQVLQAHNDEVWFIQFSNNGRFLASSSIDKTAIIWEVHEDGGVLLKHTLNGHDRPVTMVAWSPDDKQLLTCGLEENVRRWDVHTGNCLHIYGKSGLGLISCGWFPDGEHFFTGVSDKTICMWDLDGKEIECWKGQRTTKTSDMAVTKDGKRIIILCRETGILLLDKETKLEQLIEEDQIITSFSLSKDDKYLLVNLINQEIHLWSILEDPELIIKYRGHKRSRFLVTSCFGGFEQAFIASGSEDSQVYIWHRNTGDLIWALPGHSGAVNCVSWNPTNPHMLASASDDRTIRIWGVNQVNLKCKEVHSNGSNGISHHCNGNIKW >DRNTG_35079.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19560457:19566692:1 gene:DRNTG_35079 transcript:DRNTG_35079.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVDVDEPPSKRVKVSSLELGSLSNTLSPLEPTGPLGGLMARHLPSQGNEDMVGSKGLIKRVEFVRIITKALYSLGYEGTGALLEEESGIHMHSAAVKLFRKQVLDGNWDGSVAALQKIGLSDDNILRSASFKILERKFLELVEKDKVMDAINTLRYEIAPLNIDKKRMHELCSCMISPSQHGLLGFDNLSGEATNSRWELLQELQKLLPPSVMIPERRLEHLVEQALNVQRNACYLHNALDSSLSLFVDHHCGKDQIPSRTAQVLQAHNDEVWFIQFSNNGRFLASSSIDKTAIIWEVHEDGGVLLKHTLNGHDRPVTMVAWSPDDKQLLTCGLEENVRRWDVHTGNCLHIYGKSGLGLISCGWFPDGEHFFTGVSDKTICMWDLDGKEIECWKGQRTTKTSDMAVTKDGKRIIILCRETGILLLDKETKLEQLIEEDQIITSFSLSKDDKYLLVNLINQEIHLWSILEDPELIIKYRGHKRSRFLVTSCFGGFEQAFIASGSEDSQVYIWHRNTGDLIWALPGHSGAVNCVSWNPTNPHMLASASDDRTIRIWGVNQVNLKCKEVHSNGSNGISHHCNGNIKW >DRNTG_35079.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19560457:19566692:1 gene:DRNTG_35079 transcript:DRNTG_35079.11 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVDVDEPPSKRVKVSSLELGSLSNTLSPLEPTGPLGGLMARHLPSQGNEDMVGSKGLIKRVEFVRIITKALYSLGYEGTGALLEEESGIHMHSAAVKLFRKQVLDGNWDGSVAALQKIGLSDDNILRSASFKILERKFLELVEKDKVMDAINTLRYEIAPLNIDKKRMHELCSCMISPSQHGLLGFDNLSGEATNSRWELLQELQKLLPPSVMIPERRLEHLVEQALNVQRNACYLHNALDSSLSLFVDHHCGKDQIPSRTAQVLQAHNDEVWFIQFSNNGRFLASSSIDKTAIIWEVHEDGGVLLKHTLNGHDRPVTMVAWSPDDKQLLTCGLEENVRRWDVHTGNCLHIYGKSGLGLISCGWFPDGEHFFTGVSDKTICMWDLDGKEIECWKGQRTTKTSDMAVTKDGKRIIILCRETGILLLDKETKLEQLIEEDQIITSFSLSKDDKYLLVNLINQEIHLWSILEDPELIIKYRGHKRSRFLVTSCFGGFEQAFIASGSEDSQVYIWHRNTGDLIWALPGHSGAVNCVSWNPTNPHMLASASDDRTIRIWGVNQVNLKCKEVHSNGSNGISHHCNGNIKW >DRNTG_35079.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19560457:19566692:1 gene:DRNTG_35079 transcript:DRNTG_35079.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVDVDEPPSKRVKVSSLELGSLSNTLSPLEPTGPLGGLMARHLPSQGNEDMVGSKGLIKRVEFVRIITKALYSLGYEGTGALLEEESGIHMHSAAVKLFRKQVLDGNWDGSVAALQKIGLSDDNILRSASFKILERKFLELVEKDKVMDAINTLRYEIAPLNIDKKRMHELCSCMISPSQHGLLGFDNLSGEATNSRWELLQELQKLLPPSVMIPERRLEHLVEQALNVQRNACYLHNALDSSLSLFVDHHCGKDQIPSRTAQVLQAHNDEVWFIQFSNNGRFLASSSIDKTAIIWEVHEDGGVLLKHTLNGHDRPVTMVAWSPDDKQLLTCGLEENVRRWDVHTGNCLHIYGKSGLGLISCGWFPDGEHFFTGVSDKTICMWDLDGKEIECWKGQRTTKTSDMAVTKDGKRIIILCRETGILLLDKETKLEQLIEEDQIITSFSLSKDDKYLLVNLINQEIHLWSILEDPELIIKYRGHKRSRFLVTSCFGGFEQAFIASGSEDSQVYIWHRNTGDLIWALPGHSGAVNCVSWNPTNPHMLASASDDRTIRIWGVNQVNLKCKEVHSNGSNGISHHCNGNIKW >DRNTG_35079.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19560457:19566692:1 gene:DRNTG_35079 transcript:DRNTG_35079.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVDVDEPPSKRVKVSSLELGSLSNTLSPLEPTGPLGGLMARHLPSQGNEDMVGSKGLIKRVEFVRIITKALYSLGYEGTGALLEEESGIHMHSAAVKLFRKQVLDGNWDGSVAALQKIGLSDDNILRSASFKILERKFLELVEKDKVMDAINTLRYEIAPLNIDKKRMHELCSCMISPSQHGLLGFDNLSGEATNSRWELLQELQKLLPPSVMIPERRLEHLVEQALNVQRNACYLHNALDSSLSLFVDHHCGKDQIPSRTAQVLQAHNDEVWFIQFSNNGRFLASSSIDKTAIIWEVHEDGGVLLKHTLNGHDRPVTMVAWSPDDKQLLTCGLEENVRRWDVHTGNCLHIYGKSGLGLISCGWFPDGEHFFTGVSDKTICMWDLDGKEIECWKGQRTTKTSDMAVTKDGKRIIILCRETGILLLDKETKLEQLIEEDQIITSFSLSKDDKYLLVNLINQEIHLWSILEDPELIIKYRGHKRSRFLVTSCFGGFEQAFIASGSEDSQVYIWHRNTGDLIWALPGHSGAVNCVSWNPTNPHMLASASDDRTIRIWGVNQVNLKCKEVHSNGSNGISHHCNGNIKW >DRNTG_35079.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19560457:19566692:1 gene:DRNTG_35079 transcript:DRNTG_35079.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVDVDEPPSKRVKVSSLELGSLSNTLSPLEPTGPLGGLMARHLPSQGNEDMVGSKGLIKRVEFVRIITKALYSLGYEGTGALLEEESGIHMHSAAVKLFRKQVLDGNWDGSVAALQKIGLSDDNILRSASFKILERKFLELVEKDKVMDAINTLRYEIAPLNIDKKRMHELCSCMISPSQHGLLGFDNLSGEATNSRWELLQELQKLLPPSVMIPERRLEHLVEQALNVQRNACYLHNALDSSLSLFVDHHCGKDQIPSRTAQVLQAHNDEVWFIQFSNNGRFLASSSIDKTAIIWEVHEDGGVLLKHTLNGHDRPVTMVAWSPDDKQLLTCGLEENVRRWDVHTGNCLHIYGKSGLGLISCGWFPDGEHFFTGVSDKTICMWDLDGKEIECWKGQRTTKTSDMAVTKDGKRIIILCRETGILLLDKETKLEQLIEEDQIITSFSLSKDDKYLLVNLINQEIHLWSILEDPELIIKYRGHKRSRFLVTSCFGGFEQAFIASGSEDSQVYIWHRNTGDLIWALPGHSGAVNCVSWNPTNPHMLASASDDRTIRIWGVNQVNLKCKEVHSNGSNGISHHCNGNIKW >DRNTG_21808.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17536149:17537265:-1 gene:DRNTG_21808 transcript:DRNTG_21808.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILIDHPNVLKAHCSFVHGHDLWIVMPYMAGGSCHHIMNSAFSSGFEEVIIASILREVLKGLEYLHQHGEMHRDIKAGNILVDSRGGIKLGDFGVSASLYDSGDRQRTRNTFVGTPCWMAPEVLERSRGYDFKADIWSFGITALELAHGHPPFSKYPAMK >DRNTG_21808.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17534135:17539017:-1 gene:DRNTG_21808 transcript:DRNTG_21808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRKYPIRAEDYRLCEMVGKGGSATVHRAVCIPLDEVVAIKILDFERNNSDLNTISHEAKTMILIDHPNVLKAHCSFVHGHDLWIVMPYMAGGSCHHIMNSAFSSGFEEVIIASILREVLKGLEYLHQHGEMHRDIKAGNILVDSRGGIKLGDFGVSASLYDSGDRQRTRNTFVGTPCWMAPEVLERSRGYDFKADIWSFGITALELAHGHPPFSKYPAMKALLMTLQHAPPCLEDVKNKKFSRSFKRMIAMCLVKDPSNRPTAQKLLKHSFFKQARSSDYISRKILEGLPTLVGRYEALRAKEEELLAQKKMPDEQKEELSQNGYKRGISCWNFDIEDLKAQASQIEA >DRNTG_21808.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17534966:17537265:-1 gene:DRNTG_21808 transcript:DRNTG_21808.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILIDHPNVLKAHCSFVHGHDLWIVMPYMAGGSCHHIMNSAFSSGFEEVIIASILREVLKGLEYLHQHGEMHRDIKAGNILVDSRGGIKLGDFGVSASLYDSGDRQRTRNTFVGTPCWMAPEVLERSRGYDFKADIWSFGITALELAHGHPPFSKYPAMKALLMTLQHAPPCLEDVKNKKFSRSFKRMIAMCLVKDPSNRPTAQKLLKHSFFKQARSSDYISRKILEGLPTLVGRYEALRAKEEELLAQKKMPDEQKEELSQNGYKRGISCWNFDIEDLKAQASQIEA >DRNTG_06358.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24365297:24369252:-1 gene:DRNTG_06358 transcript:DRNTG_06358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAQGIMGARKSYTLQGLSEESSWALFEQRAFTTSVSRQPKFLEIGKKIVNKCKGLPLAIQVMGSLMQSKIEESQWQAVLDNEIWDIPRATDKIRPELWLSYVNLPSEVKKCFAFCALFPKDSFIKVDMLVQFWIAHGFIPSQTGKDIEVEGHEIFSELIWRSLLQYASYDCGHCKMHDLIHDLAQFVTGDECSTLAERNEFMKISKRTRHFELNFDIGYNMGDCPPSVRTALNVQTNFIGLSKLKLVRVLKIRYEANVDELSTSIQYLHHLRYLNLFGTKIKELPESICMLINLQTLNLNHCYYLTKLPMSIVYMNSLRHLYISRCPELKIMRSGLSRLRCLKTLTKYIVSEKAGNKIGELKHWNLDGELGLYDLHEVKNADEAKEANMSSRQNINSLSLSWGASVANAEQVLEALKPHAALKVLSLHDYPGTQFSMWIRDGQQLQNLVRIRLEGCQGCQQLPPLEQLLYLEELTIRRMDSIKYIINSTTGDALSLFPALRFLKLREMANLEGWYPGEDRETAPPMFPCLANLRITRCPKLTTMPPQIPTLIDLSITESYCGTQIAHMSKEKGFFKHLKSLAALSLERCDELTLLLEDKEETRPLSSSLHYLDIDDCHQFSLSAALHNLTSLETLSMGHCEELLSWPDEMLRDSGASSQRDCGLPFLEDLSVSACDALIELPKCPTSLKSLSVFNCPSIKSLCSNMGHLTSLFKLALFKCPVLESLPEGMQGLTSLEELSIEDCPALKSFPEGLQQRLPTLKRLEISGCPKLERRCGPGGEYFHLVSSISERSIESSPRRTLLAPCL >DRNTG_24317.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:9466897:9471647:-1 gene:DRNTG_24317 transcript:DRNTG_24317.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLATTLASSPSSSIRSSSSSFLSFISAHRLLRPLTKTGDLPTRSFSVRSQTLDFSGSFFEGGREDEGADSSMGSSAAAVVALEDKEEPQCPPGLRQYETMAVLRPDMTEDERLAFTQRYEELLVAGGGMYVEVFNRGVIPLAYSIKKKNKAGESNTYLDGIYLLFTYFTKPESLSVLEFSLNADDDVIRSSSFKIRKRKY >DRNTG_02415.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000113.1:223530:224981:-1 gene:DRNTG_02415 transcript:DRNTG_02415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGEWIKVTTSPDALIFNIGDQMEIMSNGIFKSPVHRVVTFSDKDRISIAMLCANLPEKMIGPADELVNDMRPRMYKNVKVKDYTEVFFQRFYQGKRAIDWAQF >DRNTG_11920.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:487406:490806:-1 gene:DRNTG_11920 transcript:DRNTG_11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPTKPCKLTAFLGYKAGMTHIVREVDKPGSKLHKKETCEAVTIIETPPMVVVGVVGYVKTPRGLRSLNTVWAQHLSEEVRRRFYKNWYRSKRSAFKNYSKKYDSEEGKKEIQAQLEKMKKYATVVRVLAHTQIKKMKGLKQKKAHLMEIQVNGGTVAQKVDYAYSFFEKQIPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKVYKLGKSGDESHKAITDFDRTEKDITPMGGFPHYGQVKDDYILIKGCCVGPKKRVVTLRQSLLKQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKQKFYGRLKFKGLSHMSRVAI >DRNTG_02658.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13471334:13471743:1 gene:DRNTG_02658 transcript:DRNTG_02658.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRAGREEVLDSIYIIVAQVLILVACVELCDAASVVDVYRLIQYDLSGAPLGSRLAGLNHHAGAAPFASGADLSRTAVIMPIRELNLTFLRGMAFSCVYLRLLLI >DRNTG_02658.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13471334:13472629:1 gene:DRNTG_02658 transcript:DRNTG_02658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRAGREEVLDSIYIIVAQVLILVACVELCDAASVVDVYRLIQYDLSGAPLGSRLAGLNHHAGAAPFASGADLSRTAVIMPIRELNLTFLRDYITNRRPLG >DRNTG_07329.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23411666:23413297:1 gene:DRNTG_07329 transcript:DRNTG_07329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFSNALELIKELSTPHALQYLEPIWTGVDEQLQKLHRFLLFIQPFVEDAEERQLTDQAIRCWLLLLKDAAYDAEDILDEANTHELLIQRKAELSGRPRSKVREFFSLDFNPLLFKLKLGKKLRNINERINDLIEEMGKFKLRVLENNSKPLKNRPQTYSYVHESRVIFGRDEDKEKLLQMLISDCFDEKVAVVSVIGMGGLGKTTLAQLVYADERVKKQFELCIWVCVSDDFDVAKLARKIIHTASGKICDHTNMEVLQQDLRQILRKKRYLLVLDDVWNEDFKKWTDLKNMLLGGGEGSRILVTTRNEKCSRVMGAGKHYILKGLSEKSSWALFAQKAFATHVPKQPKLVEIGEKIVEKCQGLPLAIEVLGSIMHCKNEENEWQAVLENETWKLQHTENKIIPELWLSYVDLPTHLKKCFAFCAIFPKDHKIEEEKLIQFWMAHGFIPSQKGNDMEDEGREIFTELTRRSLLQYRYYRRASTIGRVCKMHDLIHDLAHFVMENECFTSMKSSVPNKIPIR >DRNTG_25156.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23801715:23809861:1 gene:DRNTG_25156 transcript:DRNTG_25156.1 gene_biotype:protein_coding transcript_biotype:protein_coding ICSNLDSTMKGEGRYEDPCSKMAPRGIIRERE >DRNTG_25156.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23801850:23809861:1 gene:DRNTG_25156 transcript:DRNTG_25156.3 gene_biotype:protein_coding transcript_biotype:protein_coding INQNRILTSCNTSSTNWGDPKLDEKGEV >DRNTG_25156.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23801850:23802280:1 gene:DRNTG_25156 transcript:DRNTG_25156.6 gene_biotype:protein_coding transcript_biotype:protein_coding INQNRILTSCNTSSTNWGDPKLDEKGEV >DRNTG_25156.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23801850:23809861:1 gene:DRNTG_25156 transcript:DRNTG_25156.2 gene_biotype:protein_coding transcript_biotype:protein_coding ICSNLDSTMKGEGRYEDPCSKMAPRGIIRERE >DRNTG_22290.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21890897:21891228:-1 gene:DRNTG_22290 transcript:DRNTG_22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding RYKGFIRESPRTRESQHISQHLKRRNHPTKTDFFEQTRRIGIAGTRTSAKDSKIRSDLRIEEFQ >DRNTG_19020.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22580223:22583213:-1 gene:DRNTG_19020 transcript:DRNTG_19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSRKFGGGRPPTGTPSLAWSCAVVVVSLLAGASLVHNIYKPDLTLPPVSDEGETKKGQDE >DRNTG_25513.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001329.1:708:1668:-1 gene:DRNTG_25513 transcript:DRNTG_25513.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLRTTVDFYRTNSQGWTHALVTSRDRERSSAEIHKSANVDHNPPILEEILLRFKREDIGACDIIHAGVVARFQPYLKPISAPISVFFSPSFPQLVRGFQLGFRGVLA >DRNTG_26951.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30160843:30163487:-1 gene:DRNTG_26951 transcript:DRNTG_26951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESQESNRRGGRGKATTIEASSCRLI >DRNTG_27015.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26918375:26920962:1 gene:DRNTG_27015 transcript:DRNTG_27015.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKNTQTKAAPAPATRSSDVSVTEKAMDSSQDKMENLKTLNSMLLKETVEHRGQLADLRSKLDELSIEHGFMSSIEHQVFGVSFSNLLLDAFSRLDDEAWKRVGIEKSLHLKEIRIRELECEIVCLVEQMEVVMKNREEVDRIRLELGVLEVEKKEVERRLVEKEHFIAELEVGSNALEKNRREMEVRLGGEIDGLKRRMAELVNDEEAASRRFAERELYIKQLDSEKSVLEQEKKGIELKLMAEIGELRQKMADRELYLKLVEGEKAEMEMRFRAEIDMAKKRMEEMELYIKQLGVAKFSAEEEKKDMEVHFEWKIQGMLVEMDGLNVRIAEIGSEKEALERKVAETEADACRLTQSFNSGIDNLKGRIAELEHCVKVLEGEGDSAEEEKQRMEIGFELMKRDMVANVEELKQRIVAFGNERQVFERKLAEMDSLRGRVKELELCVKTLEKIRDAVEEEKRDMKEMFESKTQVMVADMNLLNNKIADIGKEKDVFERKLAEKEDYARQLERDGEKISHGMRMQIEELKKSKSVIEENLQELEGMIKNVQLDNEVKNKSVVALMQEKELIERKLKASESKSTRFLTMLRSMEDENMNADYDDMDEEVRNFAAEMKVIRDSFESRLAKEKAKNDSKRWGWVYPATSTIVAAISLAYAARGR >DRNTG_27015.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26918487:26926751:1 gene:DRNTG_27015 transcript:DRNTG_27015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKNTQTKAAPAPATRSSDVSVTEKAMDSSQDKMENLKTLNSMLLKETVEHRGQLADLRSKLDELSIEHGFMSSIEHQVFGVSFSNLLLDAFSRLDDEAWKRVGIEKSLHLKEIRIRELECEIVCLVEQMEVVMKNREEVDRIRLELGVLEVEKKEVERRLVEKEHFIAELEVGSNALEKNRREMEVRLGGEIDGLKRRMAELVNDEEAASRRFAERELYIKQLDSEKSVLEQEKKGIELKLMAEIGELRQKMADRELYLKLVEGEKAEMEMRFRAEIDMAKKRMEEMELYIKQLGVAKFSAEEEKKDMEVHFEWKIQGMLVEMDGLNVRIAEIGSEKEALERKVAETEADACRLTQSFNSGIDNLKGRIAELEHCVKVLEGEGDSAEEEKQRMEIGFELMKRDMVANVEELKQRIVAFGNERQVFERKLAEMDSLRGRVKELELCVKTLEKIRDAVEEEKRDMKEMFESKTQVMVADMNLLNNKIADIGKEKDVFERKLAEKEDYARQLERDGEKISHGMRMQIEELKKSKSVIEENLQELEGMIKNVQLDNEVKNKSVVALMQEKELIERKLKASESKSTRFLTMLRSMEDENMNADYDDMDEEVRNFAAEMKVIRDSFESRLAKEKAKNDSKRWGWVYPATSTIVAAISLAYAARGR >DRNTG_27015.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26918248:26920775:1 gene:DRNTG_27015 transcript:DRNTG_27015.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKNTQTKAAPAPATRSSDVSVTEKAMDSSQDKMENLKTLNSMLLKETVEHRGQLADLRSKLDELSIEHGFMSSIEHQVFGVSFSNLLLDAFSRLDDEAWKRVGIEKSLHLKEIRIRELECEIVCLVEQMEVVMKNREEVDRIRLELGVLEVEKKEVERRLVEKEHFIAELEVGSNALEKNRREMEVRLGGEIDGLKRRMAELVNDEEAASRRFAERELYIKQLDSEKSVLEQEKKGIELKLMAEIGELRQKMADRELYLKLVEGEKAEMEMRFRAEIDMAKKRMEEMELYIKQLGVAKFSAEEEKKDMEVHFEWKIQGMLVEMDGLNVRIAEIGSEKEALERKVAETEADACRLTQSFNSGIDNLKGRIAELEHCVKVLEGEGDSAEEEKQRMEIGFELMKRDMVANVEELKQRIVAFGNERQVFERKLAEMDSLRGRVKELELCVKTLEKIRDAVEEEKRDMKEMFESKTQVMVADMNLLNNKIADIGKEKDVFERKLAEKEDYARQLERDGEKISHGMRMQIEELKKSKSVIEENLQELEGMIKNVQLDNEVKNKSVVALMQEKELIERKLKASESKSTRFLTMLRSMEDENMNADYDDMDEEVRNFAAEMKVIRDSFESRLAKEKAKNDSKRWGWVYPATSTIVAAISLAYAARGR >DRNTG_27015.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26918487:26920962:1 gene:DRNTG_27015 transcript:DRNTG_27015.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKNTQTKAAPAPATRSSDVSVTEKAMDSSQDKMENLKTLNSMLLKETVEHRGQLADLRSKLDELSIEHGFMSSIEHQVFGVSFSNLLLDAFSRLDDEAWKRVGIEKSLHLKEIRIRELECEIVCLVEQMEVVMKNREEVDRIRLELGVLEVEKKEVERRLVEKEHFIAELEVGSNALEKNRREMEVRLGGEIDGLKRRMAELVNDEEAASRRFAERELYIKQLDSEKSVLEQEKKGIELKLMAEIGELRQKMADRELYLKLVEGEKAEMEMRFRAEIDMAKKRMEEMELYIKQLGVAKFSAEEEKKDMEVHFEWKIQGMLVEMDGLNVRIAEIGSEKEALERKVAETEADACRLTQSFNSGIDNLKGRIAELEHCVKVLEGEGDSAEEEKQRMEIGFELMKRDMVANVEELKQRIVAFGNERQVFERKLAEMDSLRGRVKELELCVKTLEKIRDAVEEEKRDMKEMFESKTQVMVADMNLLNNKIADIGKEKDVFERKLAEKEDYARQLERDGEKISHGMRMQIEELKKSKSVIEENLQELEGMIKNVQLDNEVKNKSVVALMQEKELIERKLKASESKSTRFLTMLRSMEDENMNADYDDMDEEVRNFAAEMKVIRDSFESRLAKEKAKNDSKRWGWVYPATSTIVAAISLAYAARGR >DRNTG_27015.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26918248:26920734:1 gene:DRNTG_27015 transcript:DRNTG_27015.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKNTQTKAAPAPATRSSDVSVTEKAMDSSQDKMENLKTLNSMLLKETVEHRGQLADLRSKLDELSIEHGFMSSIEHQVFGVSFSNLLLDAFSRLDDEAWKRVGIEKSLHLKEIRIRELECEIVCLVEQMEVVMKNREEVDRIRLELGVLEVEKKEVERRLVEKEHFIAELEVGSNALEKNRREMEVRLGGEIDGLKRRMAELVNDEEAASRRFAERELYIKQLDSEKSVLEQEKKGIELKLMAEIGELRQKMADRELYLKLVEGEKAEMEMRFRAEIDMAKKRMEEMELYIKQLGVAKFSAEEEKKDMEVHFEWKIQGMLVEMDGLNVRIAEIGSEKEALERKVAETEADACRLTQSFNSGIDNLKGRIAELEHCVKVLEGEGDSAEEEKQRMEIGFELMKRDMVANVEELKQRIVAFGNERQVFERKLAEMDSLRGRVKELELCVKTLEKIRDAVEEEKRDMKEMFESKTQVMVADMNLLNNKIADIGKEKDVFERKLAEKEDYARQLERDGEKISHGMRMQIEELKKSKSVIEENLQELEGMIKNVQLDNEVKNKSVVALMQEKELIERKLKASESKSTRFLTMLRSMEDENMNADYDDMDEEVRNFAAEMKVIRDSFESRLAKEKAKNDSKRWGWVYPATSTIVAAISLAYAARGR >DRNTG_10397.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23047164:23049475:1 gene:DRNTG_10397 transcript:DRNTG_10397.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHHFPLLLPCIYFLFVLAEAYDPLDPNGNITIKWDIMQWTPDGYVAVVTIYNFQKYRHIQAPGWTLGWSWAKKEVIWSMVGGQATEQGDCSRFKGNIPHCCKKNPAIVDLLPGTPYNMQIANCCKGGVLNSLIQDPSTASSSFQLSVGAAGTSNKTVRVPKNFTLKAPGPGYTCGIAKVVRPSKFASQDGRRTTQALMTWNVTCTYSQFLAQKTPSCCVSLSSFYNDTIVNCPTCACGCQNNIAQPGSCVEGDSPYFASAINRLDKTSSAPIVQCTSHMCPIRVHWHVKLNYKEYWRTKITVTNFNYQMNYSQWNLVIQHPNFDNLTQIFSFNYNSLTPYGNINDTAMLWGIKYYNDLLIEAGPYGNVQSELLFKKDLSTFTFDKGWAFPRRVYFNGDNCVMPPPDSYPWLPNASIRTKSPMLLPIIVFLAAFWCLSFGYGR >DRNTG_10397.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23046538:23049475:1 gene:DRNTG_10397 transcript:DRNTG_10397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISKFTRFIIFLALFVFFFSCLSSTEAYDPLDPNGNITIKWDIMQWTPDGYVAVVTIYNFQKYRHIQAPGWTLGWSWAKKEVIWSMVGGQATEQGDCSRFKGNIPHCCKKNPAIVDLLPGTPYNMQIANCCKGGVLNSLIQDPSTASSSFQLSVGAAGTSNKTVRVPKNFTLKAPGPGYTCGIAKVVRPSKFASQDGRRTTQALMTWNVTCTYSQFLAQKTPSCCVSLSSFYNDTIVNCPTCACGCQNNIAQPGSCVEGDSPYFASAINRLDKTSSAPIVQCTSHMCPIRVHWHVKLNYKEYWRTKITVTNFNYQMNYSQWNLVIQHPNFDNLTQIFSFNYNSLTPYGNINDTAMLWGIKYYNDLLIEAGPYGNVQSELLFKKDLSTFTFDKGWAFPRRVYFNGDNCVMPPPDSYPWLPNASIRTKSPMLLPIIVFLAAFWCLSFGYGR >DRNTG_10397.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23047164:23047940:1 gene:DRNTG_10397 transcript:DRNTG_10397.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHHFPLLLPCIYFLFVLAEAYDPLDPNGNITIKWDIMQWTPDGYVAVVTIYNFQKYRHIQAPGWTLGWSWAKKEVIWSMVGGQATEQGDCSRFKGNIPHCCKKNPAIVDLLPGTPYNMQIANCCKGGVLNSLIQDPSTASSSFQLSVGAAGTSNKTVRVPKNFTLKAPGPGYTCGIAKVVRPSKFASQDGRRTTQALSKLQNIINLNQLV >DRNTG_10397.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23045952:23047940:1 gene:DRNTG_10397 transcript:DRNTG_10397.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIAASKEFFIINKDMPSTKGLSGPFFNGKKQKAYDPLDPNGNITIKWDIMQWTPDGYVAVVTIYNFQKYRHIQAPGWTLGWSWAKKEVIWSMVGGQATEQGDCSRFKGNIPHCCKKNPAIVDLLPGTPYNMQIANCCKGGVLNSLIQDPSTASSSFQLSVGAAGTSNKTVRVPKNFTLKAPGPGYTCGIAKVVRPSKFASQDGRRTTQALSKLQNIINLNQLV >DRNTG_17770.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000910.1:33588:36630:1 gene:DRNTG_17770 transcript:DRNTG_17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQKGWGGRESLQKVDKLSSAVLSWCSNDKARLYIDDLENILDENMVLSFNAEIEDDNIEEELEVGWATRSTMTSSAVVFGMAVGQVKLEARREAGFANPLPGSISRSLPLVLQVETFLIRAAAWSGRVLHLFKQ >DRNTG_30046.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18531508:18534678:1 gene:DRNTG_30046 transcript:DRNTG_30046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMILDAFGGLLADKLVTVLKEQAIMILGVKDELQKLQTRMKTTSLYLKNAEERRINDPAVNGWVTDLKAFMYDAYDVIDFCMIEGTKLLEDDDHLADSTCSAVRCSFSFFSCVHSVPFRHEIANRVKSLNDTLDQILSEKNKFKFEESASNSFQATRRDRGHQSSPLPELDIVGWNIRDDSQELVNLLVSEDEQKCSVFAITGMGGIGKTTLAQVIYNESKIKEGFMQHSWSFVSRDYSSDTDILKGILRNIGKHCEDADATSADLIPMLKDALSGKTFFLVLDDVWKEDVWVNLLRNPVESGAAKIRILITTRDKNVAEKMGAVHIHNVSKLSVDDGWELLCKKIFRNKDAAEMQRLRDVGMKIVEKCDRLPLAIKTVAGVLNTKHRKEEWEKVLNSNTWTRSGLPEKIQAALYLSYEDLPSEIKPCFHHCALRPPGRVLYRENLVREWIAEGFVTAEANASLEDIAEDYYMELLRRSFLHPDPAYVDHSQCTMHDLLRSLALFLAQEESFFGDPKEVKTTAEMRLRRLSISSKEETVSLPNDIIKKNCLRTLMLLKAPPQSLETDIIGKLSHLRVLILNVVKVERVPKNIGDLVLLRLLDLSHTQISELPDSLGNLSNLQFLNIEYCKSLHSLPKSITKLCNLRCLGVDHTPLSHLPKGIGKLKHLNDLRGFLVGNDNYEEGQQEGCSLEELDPLDNLRYLVLNKLERTITCASVLSNKDQLRQLVLNCTSFDKRAHPHSVQEISRIEQVFDELSPPCLDRLVIRNYFGSRYPKWMSPTSISSSLSELTSLHLISCKSWSQLPPLGRLPQLSFLKIHGASEVVSIGPEFLGSGVQDVNVKPPTAFPKLKHVQIRFMPKWEEWSLSRNDNDESSKLVMTCNLQRLNLHECRRLKALPRGLSQVSIQNLYIGYAHRLNVVKDLPALTDQLSLTSNHGLLSISNLPALKNLVVSDCPMLNCVEKLDSLQSLHVVDSKSSNVPQWLITFLQERQLASPGLSRLHLECNVKALKECLKASSGWSVLQHVLHVVAYAEKKSKYLLYTKEPYSYKTNVEE >DRNTG_04097.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5363980:5366450:-1 gene:DRNTG_04097 transcript:DRNTG_04097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMEKSSAGLSKSCILIIVIASVERFAYKGVASNLVTYLTDVVNFSNSSAAKSLSSWVGFTSMLPLISAILADSYWDRYYTIVASSFIYVVGLVGLTLWALLFTWMPASSLFLPLYLISIGQGGYNPSLQAFGADQLQMDENLSCGKDEKTKFFQWWYFGICSGSLLGNSLMSYIQDNISWSLGFTIPTIAMVMSIIFFLCGTRFYVRKQILTDNMNTEGGIIQAIKVAASKLFSQKNCSLQKDTEKMELELEEKPFRDDYEVPDIREQISANPQPGVASVILRLLPIWTMLLMFAVIFQQPATFFTKQGMTMKRNIGKSFEIPPAMLQSTITISIILLMPLYDKLIIPILRVLTRDDKGITVLQRIGIGMLLSIVAMVVAALVESKRLEIERKGIELATESQLSEMHLSVFWLLPQYILLGISDVFTVVGMQEFFYTEVPASMRTIGIALYLSVFGVGSFLGAILITIVEFVIKLKGHKCSWFSDNMKEARLDNYYWFLAVLSSISFLMFVLLCKYYNGASASASVGNNSK >DRNTG_04097.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5363980:5366450:-1 gene:DRNTG_04097 transcript:DRNTG_04097.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSLFLPLYLISIGQGGYNPSLQAFGADQLQMDENLSCGKDEKTKFFQWWYFGICSGSLLGNSLMSYIQDNISWSLGFTIPTIAMVMSIIFFLCGTRFYVRKQILTDNMNTEGGIIQAIKVAASKLFSQKNCSLQKDTEKMELELEEKPFRDDYEVPDIREQISANPQPGVASVILRLLPIWTMLLMFAVIFQQPATFFTKQGMTMKRNIGKSFEIPPAMLQSTITISIILLMPLYDKLIIPILRVLTRDDKGITVLQRIGIGMLLSIVAMVVAALVESKRLEIERKGIELATESQLSEMHLSVFWLLPQYILLGISDVFTVVGMQEFFYTEVPASMRTIGIALYLSVFGVGSFLGAILITIVEFVIKLKGHKCSWFSDNMKEARLDNYYWFLAVLSSISFLMFVLLCKYYNGASASASVGNNSK >DRNTG_14721.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:41932:46307:1 gene:DRNTG_14721 transcript:DRNTG_14721.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIRSSVSQPFSSSSASFQPSELPFFFQPPPFLSLLSPTLKPIFWSFYSQRWKLGPSHFTDHKYLSQTTDRLCFFPVSRVS >DRNTG_14721.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:41932:46186:1 gene:DRNTG_14721 transcript:DRNTG_14721.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIRSSVSQPFSSSSASFQPSELPFFFQPPPFLSLLSPTLKPIFWSFYSQRWKLGPSHFTDHKYLSQTTDRLCFFPVSRVS >DRNTG_14721.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:41104:46186:1 gene:DRNTG_14721 transcript:DRNTG_14721.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIRSSVSQPFSSSSASFQPSELPFFFQPPPFLSLLSPTLKPIFWSFYSQRWKLGPSHFTDHKYLSQTTDRLCFFPVSRVS >DRNTG_14721.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:41366:46186:1 gene:DRNTG_14721 transcript:DRNTG_14721.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIRSSVSQPFSSSSASFQPSELPFFFQPPPFLSLLSPTLKPIFWSFYSQRWKLGPSHFTDHKYLSQTTDRLCFFPVSRVS >DRNTG_03444.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3141245:3142400:-1 gene:DRNTG_03444 transcript:DRNTG_03444.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLTTTELLQAQSHALNLIFGYFKSISFKAAIDLGIADILHKHGKPMSLAQLTTSLSIPTSKSDPFRRLMRALVHQGIFSTDQETQSLYSLTPTSQLLLPGNSTPITPFLSLIVDPTVSHPSYDLGSWFKSPEDTPFEFLHGKAIIEVAGEKPEFKRLLNEGKASYAGLVMDAVMRSCGDVFGGVESLVDVGGGTGAMAIEIKKAFPEMKCTVLDLPHVVQGKIEIGGVGFVAGDMFESVPPASVAMLKVCVQFY >DRNTG_03444.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3138826:3142400:-1 gene:DRNTG_03444 transcript:DRNTG_03444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLTTTELLQAQSHALNLIFGYFKSISFKAAIDLGIADILHKHGKPMSLAQLTTSLSIPTSKSDPFRRLMRALVHQGIFSTDQETQSLYSLTPTSQLLLPGNSTPITPFLSLIVDPTVSHPSYDLGSWFKSPEDTPFEFLHGKAIIEVAGEKPEFKRLLNEGKASYAGLVMDAVMRSCGDVFGGVESLVDVGGGTGAMAIEIKKAFPEMKCTVLDLPHVVQGKIEIGGVGFVAGDMFESVPPASVAMLKWVLHDWSDEDCIKILKRCKEAIPNKDNEGKIIIIDTVVGPTKDNYVSEVETQVLFDLLMLVTARGKQRNESEWRNIFTAAGFDHYKVTPLMALQSVIEVYP >DRNTG_25131.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3999040:4001888:1 gene:DRNTG_25131 transcript:DRNTG_25131.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPSDQPENAAAAAAAAADDQAADPPVQAPEASPDSLSILEDTGAAEQIEKHNKYMTLYSRRLKGKYFSKKVFNGAANIFDHETTIDDETIKSSRWPCTRLFADPIPKLENRNISLPAESSAIPNNKESPKASC >DRNTG_25131.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3999040:4001888:1 gene:DRNTG_25131 transcript:DRNTG_25131.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPSDQPENAAAAAAAAADDQAADPPVQAPEASPDSLSILEDTGAAEQIEKHNKYMTLYSRRLKGKYFSKKVFNGEIKCKNLLSKLQILAVYKGAISLTSNFLYNRHVVRKGIDGHCLCLFITFLFSNLHHY >DRNTG_25131.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3999040:4001888:1 gene:DRNTG_25131 transcript:DRNTG_25131.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPSDQPENAAAAAAAAADDQAADPPVQAPEASPDSLSILEDTGAAEQIEKHNKYMTLYSRRLKGKYFSKKVFNGGKFLHYL >DRNTG_25240.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27537855:27539873:-1 gene:DRNTG_25240 transcript:DRNTG_25240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTCQRTPFGWAYARFMGTRNFSPDDRPPVRFMDSEDLAYVATRAREVHDFWHVLFGLPTNLIGESALKVIEFEQMLLPMCFLSVIGGSARFSEKQRALFFRHYFPWAARAGMSCTDLMCVYYEKHFNENLDELRRKWGIIPCPDPKAKT >DRNTG_25240.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27537855:27540856:-1 gene:DRNTG_25240 transcript:DRNTG_25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTCQRTPFGWAYARFMGTRNFSPDDRPPVRFMDSEDLAYVATRAREVHDFWHVLFGLPTNLIGESALKVIEFEQMLLPMCFLSVIGGSARFSEKQRALFFRHYFPWAARAGMSCTDLMCVYYEKHFNENLDELRRKWGIIPCPDPKAKT >DRNTG_07595.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15075303:15078201:-1 gene:DRNTG_07595 transcript:DRNTG_07595.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPTLLDIDDQGIGGTKISIVTPFTTMETSIYKAVTMAFMKKAKTKKMKSVALVKPFKTCFSSKNMKSIRIGFEMPIIELVLGREDFYWRSPFGLRKRERSRLFCLLPFWCVVPAARMEIRLIVKGFVSLSFYGLVGMWPFVNAMMEVMEKGVVFLGLVDEELSPRTSIVIGAHQLEDNFL >DRNTG_33973.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2309595:2310039:1 gene:DRNTG_33973 transcript:DRNTG_33973.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSGGAVKELEYETEKNGTIEIKVRGPGVFGAYSSIKPKRITVDAEEVEFIYNEESGLLTLELGFGEKKELQKWSVSVELW >DRNTG_04519.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19181222:19183918:1 gene:DRNTG_04519 transcript:DRNTG_04519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGNVGVMSGAILFIQKDLHITEVQQEVLVGCLSIVSLFGSLGGGRTSDAIGRKWTMGFAAIVFQIGAAIMAFAPSFLVLMIGRLFAGVGIGFGVMIAPVYIAEIAPAVARGSLTSFPEIFINIGILLGYVSNYVFSGLSYHINWRIMLGVGILPSVFIAFALFVIPESPRWLVMQNRVDEARTVLLKINEDEAEAHQRLAEIEEAAGVLNADKNNENKEVWREILRPSPSLRRMLITGCGIQSFQQITGIDATVYYSPTIFSDAGIKSDTELLAATVAVGFTKTIFILVAILLIDKVGRKPLLYVSTIGMTICLFILSLSLTLMHGLGLMSPNVGIGIAILAVCGNVAFFSVGIGPVCWVLTSEIYPLRLRAQASALGAVGNRLCSGLIAMSFLSVSRAISVGGTFFLFSVISAVSVMFVYSCVPETKGKSLEEIERLFQNGREWQKGEVELGDVEHLVQDK >DRNTG_23736.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001275.1:72241:77643:-1 gene:DRNTG_23736 transcript:DRNTG_23736.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKRARASGSEGNQVLRSAARRSADPSPAASADPPTQHRHQR >DRNTG_15287.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4588689:4590747:1 gene:DRNTG_15287 transcript:DRNTG_15287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGGPCKKVADISSIQSIGVRQAHRSEMTDNSKPPTMAAVPILLLLSLLLHCATRASALSSSPVGVNYGLVADNLPSPTSVGPLLASINVGRVKLYDTDSAVLSALSTTGFELIVGLPDRCVVKLADPSAALVWVKANLQPHLPAAKIAAVTVGNEVLTGNDTAVMAALVPAMRSLRSALDSLGLRSVAVTTPHSLAILANSFPPSAGRFRQELIPYLCPLLDFLAETGSPFFINAYPYFAYKAEPDNVTLNYALFEPNPGVLDPGSGLKYENMLDAQVDAVYAAISAATGGKGKGLEVRVSETGWPSDGDENEVGATAANAAKYNGNLMKMVAEGKGTPARPSQVLRVYVFALFNENLKPGPKSERNYGLFKPDGTPAYDLGIKPETESPTTSGGGSSGGRGGGSSDEGDGSSSSGYYSISAAAAVTVSCPPVHFKLFNFNYFVLIIILTILL >DRNTG_15287.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4588937:4590747:1 gene:DRNTG_15287 transcript:DRNTG_15287.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREGGPCKKVADISSIQSIGVRQAHRSEMTDNSKPPTMAAVPILLLLSLLLHCATRASALSSSPVGVNYGLVADNLPSPTSVGPLLASINVGRVKLYDTDSAVLSALSTTGFELIVGLPDRCVVKLADPSAALVWVKANLQPHLPAAKIAAVTVGNEVLTGNDTAVMAALVPAMRSLRSALDSLGLRSVAVTTPHSLAILANSFPPSAGRFRQELIPYLCPLLDFLAETGSPFFINAYPYFAYKAEPDNVTLNYALFEPNPGVLDPGSGLKYENMLDAQVDAVYAAISAATGGKGKGLEVRVSETGWPSDGDENEVGATAANAAKYNGNLMKMVAEGKGTPARPSQVLRVYVFALFNENLKPGPKSERNYGLFKPDGTPAYDLGIKPETESPTTSGGGSSGGRGGGSSDEGDGSSSSGYYSISAAAAVTVSCPPVHFKLFNFNYFVLIIILTILL >DRNTG_04640.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19112948:19113988:1 gene:DRNTG_04640 transcript:DRNTG_04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNHWNTTPKDLAPSNATLGRFYGSNISYHLEEGYWESFRRHRSGEVYPRPDKGSLVTSRGLSGRPSTQPSRGFCYGFIALTFYSFDCI >DRNTG_25944.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001341.1:43962:46861:1 gene:DRNTG_25944 transcript:DRNTG_25944.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPKFSEDQSSGHGRKPERGRLRCFRSQPAALHKRDSQRRRRSPRWRLPVLPPVTQMISTLDLLSSTRCFIPTQHDSRNYGQENEYLGKILSSTFFCYT >DRNTG_21072.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20027657:20030611:1 gene:DRNTG_21072 transcript:DRNTG_21072.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKVGAISESFLSLFSSSCSLLRLQWEELVVRWRIIRVMVVVPLLKVAVLLCLIMSAMLVFEKVTMALTSLYAKVFRRRPERRYKFEPLKEDEELGNSAFPMVLVQIPMYNEREVYKLSIGAACRLSYPADRVIIQVLDDSTDPEIKELVELECKIWQKSGKNIRYAVRDNRCGYKAGALKEGMNHDYVQLCEFVAIFDADFQPESDFLLLTVPFLVHNSELSLVQARWKFVNANECLMTRIQEMSMDYHFKIEQEAGSTMWSFFGFNGTAGVWRINALVESGGWKDRTTVEDMDLAVRASIEGWKFVYVGNVKVQSELPSTYKAYRNQQYRWSCGPANLFRKTAFEILKTKKISWWKKIYLLYSFFLVRRVIAHIVTFVFYCIIIPFAVLIPEVTIPAWGVVYIPTTITFLNVFGTPSSAHLLLFWIFYENVVALHRMRAVITGLLEVGRVNEWVVTDKLGDTLKNNTIKKIITKPNSKVHERIYIPELVVSVFLFICACYDLKYAKGHYYIYIYPQSVAFLIMGLGYVGTFVPNK >DRNTG_33186.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23544115:23547000:1 gene:DRNTG_33186 transcript:DRNTG_33186.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 10, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G46910) UniProtKB/Swiss-Prot;Acc:Q8W4F1] MEFAFASALSIPSPASSPPVRRLRLFKPHPRPSLVVLAAFATPDAELENKKHALLREVQDTQRGLVTTADQRVSIQEALISVEAYGAGAAIDLAKLDGTWRLNYSSASDVVVLFEAADRLPFLQVGQIFQKFECRDGADRGIVRNVVRWSIPAFLEEQEGATLVVSAKFSVLSKRHIYLQFEEIAIENIKISEELQALIAPAIIPRSFLSLQILQFLRTFRAQVPVRSPDRRSPGGLYYLSYLDRDMLLGRAVGGGGVFVFTRSQPLT >DRNTG_33186.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23544356:23547000:1 gene:DRNTG_33186 transcript:DRNTG_33186.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 10, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G46910) UniProtKB/Swiss-Prot;Acc:Q8W4F1] DAELENKKHALLREVQDTQRGLVTTADQRVSIQEALISVEAYGAGAAIDLAKLDGTWRLNYSSASDVVVLFEAADRLPFLQVGQIFQKFECRDGADRGIVRNVVRWSIPAFLEIAIENIKISEELQALIAPAIIPRSFLSLQILQFLRTFRAQVPVRSPDR >DRNTG_09453.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21280047:21280328:-1 gene:DRNTG_09453 transcript:DRNTG_09453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSFQISLSSSAMASQRSPASSMDAPFTPSPSSSTLFISVSSTTTPSSTCTSVLA >DRNTG_20977.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11415735:11419422:-1 gene:DRNTG_20977 transcript:DRNTG_20977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTADFSPTLQCGVPQSGLIGGDVVNPGLTWSRVRMPAQRPHTRRGASVPSGEPTPAQPPSPPRVANRHIRNIVTFLVVGACLGDSPKLSQCLCHHHPQCLQFRLNLSVIEKMAVTGNQSFKAVVQLALRAEKLVFEGKRLRENLAKRRNSDFSRPSERSNSEDTSSGFSGSSSVRPPSGQVGSQKGATSASGHLKSACPELGRGTLGSAPPPTGRQSQSKGVPSTASTPVAPTRSITASNSPQGGTSQPQTRSQTRVFAMTNEKAEERPNVITG >DRNTG_15493.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20906077:20906517:1 gene:DRNTG_15493 transcript:DRNTG_15493.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPGDGRSEETSPIPAGNGGFEGDP >DRNTG_15493.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20901097:20906517:1 gene:DRNTG_15493 transcript:DRNTG_15493.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPGDGRSEETSPIPAGNGGFEGDP >DRNTG_15493.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20901097:20906517:1 gene:DRNTG_15493 transcript:DRNTG_15493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKD >DRNTG_14644.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000731.1:22735:23183:-1 gene:DRNTG_14644 transcript:DRNTG_14644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARRHHRKHSKAIGDVRKPKELDEPSLDAFAEIFLWALSIDREFSCGIREVVSFELYSMFFTGKTCIL >DRNTG_01636.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18250924:18257376:1 gene:DRNTG_01636 transcript:DRNTG_01636.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGNPSTLVEGDHEHERTLRRRGKEPVQERNHST >DRNTG_01255.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1794339:1794897:1 gene:DRNTG_01255 transcript:DRNTG_01255.1 gene_biotype:protein_coding transcript_biotype:protein_coding NVPHLPHNASPAQNQDPSRNDNQTQDQPQKPTKIQKKKSLKIQQQRWGFNREANRIKIALSFAEGSNGRGWSSVA >DRNTG_25967.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22425422:22428113:1 gene:DRNTG_25967 transcript:DRNTG_25967.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHMVDGLFSMLKTIASSESSLTPFCPLRKMTRSICILLTHAKPTTIDQVFNSILSDNDFYLSSIISMTLILEGFPLLSLSDNVKIIANQKVQSEFCGFLENYFKEHETKDCISGLHGLPVYALSSALLSCQIKESSIIDEKLASQILRFAISLLHSYKQATDSTKENHAKLLSAALDIISNMKHVYARNDIGELILELKTLFVSCSSTDSNALFYQVKPSLASFMAKLCHMELAEGEDSTLCSAIYDLYHAFTEGPTLGIYPSSNCCIRLFCCSHFLD >DRNTG_25967.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22427115:22428113:1 gene:DRNTG_25967 transcript:DRNTG_25967.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKHVYARNDIGELILELKTLFVSCSSTDSNALFYQVKPSLASFMAKLCHMELAEGEDSTLCSAIYDLYHAFTEGPTLGIYPSSNCCIRLFCCSHFLD >DRNTG_25967.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22423921:22428113:1 gene:DRNTG_25967 transcript:DRNTG_25967.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESFGELAEEQHSIIFFQIILDSLNFSSASIAKLTRSPVIGKEVDMHIIEDFILEQLNLTKSSILEIQRFHSIASEVLKMVQVILDALIKLCRAYAQASDLDSYKLNRRNVDSVTRIDYARHFISITASTIENLYELGTFAASGGGSLVTILNLSWKGVVSLLQLTKGIQVERLNVGDIILSLVSLATESLRCATESWSSFPRETVPISDAKRAFLPIKFFLINAVRISSDYPREAIGIFKEITQCVLSISTLSVLWGNEMHLRSVSEGLVELVEPTALLLLHTLLNSADVNF >DRNTG_25967.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22424741:22428113:1 gene:DRNTG_25967 transcript:DRNTG_25967.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHMVDGLFSMLKTIASSESSLTPFCPLRKMTRSICILLTHAKPTTIDQVFNSILSDNDFYLSSIISMTLILEGFPLLSLSDNVKIIANQKVQSEFCGFLENYFKEHETKDCISGLHGLPVYALSSALLSCQIKESSIIDEKLASQILRFAISLLHSYKQATDSTKENHAKLLSAALDIISNMKHVYARNDIGELILELKTLFVSCSSTDSNALFYQVKPSLASFMAKLCHMELAEGEDSTLCSAIYDLYHAFTEGPTLGIYPSSNCCIRLFCCSHFLD >DRNTG_19874.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001079.1:17690:23379:1 gene:DRNTG_19874 transcript:DRNTG_19874.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHDSRNPMTTNPFGSLEHKDPLALWSFRTPGSSCPKTQSQNLEINQHFFKKKTPKKKQKGNS >DRNTG_07955.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:967169:973007:-1 gene:DRNTG_07955 transcript:DRNTG_07955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDGERTCPLCAEEMDLTDQQLKPCKCGYEFSIRRCCARCG >DRNTG_07955.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:967169:971984:-1 gene:DRNTG_07955 transcript:DRNTG_07955.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDGERTCPLCAEEMDLTDQQLKPCKCGYEFSIRRCCARCG >DRNTG_07955.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:972217:973007:-1 gene:DRNTG_07955 transcript:DRNTG_07955.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHQSKSESSANEGLRKTPSRPDNQNLGINPHTPLARAFLSGESSGLGQ >DRNTG_07485.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3969570:3969827:-1 gene:DRNTG_07485 transcript:DRNTG_07485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSMPPLVALLPLIVVAAAKHAVHQAPLLLLRFLSFVSQLGFVASTSTLMARLELLFSHGRRHLSAPKPPFLWFLRSFPPVPPL >DRNTG_21620.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1226801:1230060:-1 gene:DRNTG_21620 transcript:DRNTG_21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAIAGGHFAAPPTLKTLAFSKNHATTSASIAPSQPSTWSLDSWKLKRALQIPKYPNGEELESVLRTLSSFPPLVFAGEARRLEERLAEAAVGRAFVLQGGDCAESFRDFTANNIRDTLRVFLQMGVVLAFGGQMPIVKVGRMAGQFAKPRSDPFETKDGVKLPSYQGDIINSDVFDEKAREPDPHRMIQAYNQAAGTLNLLRAFTTGGYASMQRVTQWNLDFLQHSEQADRYMELAQRVDDAIGFMVAAGLTPDHPIMTTTDFWTSHECLLLPYEQALTRKDSTSGSYYDCSAHMIWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMDPSELVKLCEILNPHNRPGRLTVITRMGAANTHVKLPHLIRAIRQAGLIVTWVSDPMHGNTTKAPCNLKTRSFDSIRSELRAFFDVHEQEGSYPGGVHLEMTGQNVTECIGGSKVVTYDDLSSRYHTHCDPRLNASQSLELAFAIAERLRRRRSRSWSKMVSYEQPAQQAVF >DRNTG_01874.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21143147:21146900:1 gene:DRNTG_01874 transcript:DRNTG_01874.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH121 [Source:Projected from Arabidopsis thaliana (AT3G19860) UniProtKB/Swiss-Prot;Acc:Q9LT23] MDPWKPSDAFFQPPPSVPLTTSGFHTSCADLRPSSDQQQPGSSCQKIEQENKDTISARKVQKADREKLRRDKLNEQFSELGNTLDPDRPKNDKATILTDAIQVLKDLTAQVNRMKVEHASLCDESQELIQEKNELREEKATLKADIDNLNAQYHQRLRALFPWAAMDPSVVMGPPPPYSFPMPVPMASGPFPVHPTPPYHFFRNQNPGTISIPYSMYTPYSSSFANAQVDPSSNHRRTPHHHASSNNSHTTSAQDSKKKTSNDQRQNCNERSDDFSDVATELELKTPGSSVPSAHSEAAKDQDPSPEEGKRRKQWSGSVHPKVNIVTEATSSSMCSSSVLPDSSCTSAGDEMVTDNR >DRNTG_15738.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20364498:20370257:1 gene:DRNTG_15738 transcript:DRNTG_15738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRKDLGSILPFIPLIQRSSALLWPSPAEEALKALSLGPDLSRVDSGEVFFDAILDLRDALGLSHDVLASKAANGYALFFDKLMSRMDSRMWFGEVVPGLARLLLCLPSLLETHYQDLVEKFEEQAGLRIMGQQESGIVFLSQELIAALLTCSLFCLFPMRVRHGKDLPSPINFDYLFAALHANTKQSQEQKIRCLIHYFERVCLRMPTGYVSFERKVLSVEPRSDCVTYPKDDFWRNSSVPLCSFEVFPEGFIEDQNHEALEVDFANEFLGGGALVWGCVQEEIRFMINPELIAGMLFMASMEGNEAIEIVGAERFSSYIGYGSSFRFVGDFLDQKPSDLMGRRKTRIVAIDALDRPRFRQYTVEGLLRETNKAFCGFSDQSNYQCYHKIFQGIGSPKNLSHQYNREVHNQPPNIVIVGNSTSTQPEETDCTNNIVYEYLDIGIATGNWGCGAFGGDPEIKSIVQWLAASQALRPFIHYYTFGDAALQRLEQVTRWILLHGWTVGDLWSILMEYSAQRLNREINIGFFSWLLPRLNHSIEDSS >DRNTG_15738.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20367231:20370257:1 gene:DRNTG_15738 transcript:DRNTG_15738.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINPELIAGMLFMASMEGNEAIEIVGAERFSSYIGYGSSFRFVGDFLDQKPSDLMGRRKTRIVAIDALDRPRFRQYTVEGLLRETNKAFCGFSDQSNYQCYHKIFQGIGSPKNLSHQYNREVHNQPPNIVIVGNSTSTQPEETDCTNNIVYEYLDIGIATGNWGCGAFGGDPEIKSIVQWLAASQALRPFIHYYTFGDAALQRLEQVTRWILLHGWTVGDLWSILMEYSAQRLNREINIGFFSWLLPRLNHSIEDSS >DRNTG_02320.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:656587:659268:1 gene:DRNTG_02320 transcript:DRNTG_02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSQQTMEETILVGDDLMLGPPSPIIPPEIATHVLEGVDLCDGILRNLFLCLQINDIEPFCQDEIVLYRQCAEKRDKELRRRLQDSERKLGLSMPIDEAKGRAAQLQSEVTNLERRMILASGMEGMEGFRQRWSFHGRLEDTKKRLEALNNGMSKRISEEASKTPEGVTGKRRWFFW >DRNTG_02320.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:656587:659268:1 gene:DRNTG_02320 transcript:DRNTG_02320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDEAKGRAAQLQSEVTNLERRMILASGMEGMEGFRQRWSFHGRLEDTKKRLEALNNGMSKRISEEASKTPEGVTGKRRWFFW >DRNTG_16112.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1536705:1539227:-1 gene:DRNTG_16112 transcript:DRNTG_16112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGALTLLLLLLLFSSSASSRRVSLAIYYESLCPYCSSFIVDRLPSIFNNGLISYVDLHLVPFGNAEIGSDGSITCQHGSDECFLNYIEACAISVWPDPHVHFSFIHCVENLVEQNLYNQWSSCFRSTGLNSQPVVDCYNKGTGSKLELQYAAQTNALQPPHQYVPWVLVDGQPLYSDYNNFEAYICNALNGELPTACEGHSLMTFLETDTNRTAGVCRIH >DRNTG_20428.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001116.1:47275:50296:-1 gene:DRNTG_20428 transcript:DRNTG_20428.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSTPPTVPSASTFFAPCVRERCTHLTMKRVTIAGVWLCVSRGQTYEADVIGHQWQHTYLCAIFVGPYITRFIGEMVLVDKLKWQEAVGSHYIISPTPPISQPIEVRMAHKMSQMTMTHHCGHPPHYLQTMEGHIEALLVLSPITSTPPPTPHFPTDSMPPSDTKTPR >DRNTG_08268.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17557072:17561778:-1 gene:DRNTG_08268 transcript:DRNTG_08268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASKANKKAALDAASWMFNIVTSVGIILVNKALMATHGYSFATTLTGLHFATTTLMTLVLRWLGYIQPTQLPFSELVKFVLFANLSIVGMNVSLMWNSVGFYQIAKLCMIPVSCLLEVLLDKVRYSRDTKLSIVVVLVGVAVCTVTDVSVNGRGLLAAVIAVWSTSLQQYYVHFLQKKYALGSFNLLGHTAPAQAVSLLLLGPFLDYWLTNKRVDMFDYNVTAVVFIILSCTIAIGTNLSQFICIGRFTAVSFQVLGHMKTILVFGFGIHILRQRGS >DRNTG_08268.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17557072:17561778:-1 gene:DRNTG_08268 transcript:DRNTG_08268.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVLGMIWYGNASSKPGGKERKSFSLPIDNKSQKHGLLSDSKELDEKV >DRNTG_22946.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9341753:9345221:-1 gene:DRNTG_22946 transcript:DRNTG_22946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVIWPGTANALGINGCNFIQLSDIFSSDEFRELIECIIHSCNKMEWLIEDGEIVLPSLETLDLFDLHSFKCLCKEKAHEETLKNLRHLCIVKCHKLKYLISIDLLVNNLQDLQEISILDCNIMEDIISCKSSAALTTPSKLKTLKLQNLPTLASIYQGKLVCDSLCDIQIVLCPKLKKLPFLINNKPPVSMRIMASQEWWKMLEWDDLQLKELLQPFFENGSMDWASRQLERVNLRGKSKGEEGHTRGKTSRSSLVLIRQILLSPPLVYI >DRNTG_27984.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:10978085:10979149:-1 gene:DRNTG_27984 transcript:DRNTG_27984.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTYTHSSKIHQEKLLPTKTIPPASSLRRSPIPHLKSPIFLLISSFLFYFSSRVTVAQRWRRR >DRNTG_21395.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19512505:19513216:-1 gene:DRNTG_21395 transcript:DRNTG_21395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIAKLASQFEGYYVNLSIQKFSSNVVEKCLKVVSEDHRAKIILELISVPRFELLIQDPFANYVIQSALQSSKGSLHAALVDAIRPHASHLRTSPFCKRIFSRAQLKK >DRNTG_28660.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6182739:6184720:1 gene:DRNTG_28660 transcript:DRNTG_28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFVMKTYKMVDDPETDMVIRWGVENNSFVVLDPFVFAKTLLPAHFKHCNFSSFVRQLNTYGFRKVDPDKWEFAHASFLRGQTCLLSTIVRRNNNGKRRNQTLIMVLV >DRNTG_28273.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2872126:2886652:1 gene:DRNTG_28273 transcript:DRNTG_28273.2 gene_biotype:protein_coding transcript_biotype:protein_coding SASDYPPLWIRTFNKELLRTMSFSEHEAFDHPVACLLVVSSKDERPINKFVDLFNTDQLPSLLNEGAMDPKILKHYLLLHDAQDGALEKATNILADMRSTFGSNDCNLLCMNSSLDGLVEWQNNPWAPYANSPSDRDVGCFLNIDDLYEIKDFMQNLTSKLVIPQMEQKIRILNQQVSATRKGFRNQIKNLWWRKGKEDSPDISNGPMYTFSSIESQIRVLGDYAFMLRDYELALSNYRLLSTDYKLDKAWKRYAGVQEMMGLSYFMLDQSRKESEYCMESAFNTYLKLGSSGQRNATRCGLWWTEMLKARGQFKEAAGIYFRISSEEPSLHAAVMLEQASYCYLFSNPPMLRKYGFHLVLAGNRYYISDQRQHAIRTYKNAIFVYKGNGWNYISDHVHFNIGRWYAFLGVFDVAVKHMQGVLSCKHQSLITQDLFLNDFLHAAQNLGTTFEVNELQLPVIDMSSLRVIYEDHRTYASSADIRANESIWQSLEEDLVPSVSTFKSNWLESQPKFSLLNKYNNSSLCVVGEPIKISLEFKNPLQIPISLSGISLIFELSPRSEATEFEDAEVEGDAELAVPPISRDIDADSQCTLSEVGLVLGGAEIKRVQLDITPKIEGVLKIIGVQWRLSGSVTGYRYFGVDAKKKYKQGTRVSRQSPSNNLNFVVIKGLPKLEGCILGIPKKTFAGDLRLVKLELKKQTNSSVKNVKMKISHPRFLIPGNIEDLSADFPRSLEKQTTSEDKDAPDCIMEKSRSHIFSFPEAAALTEGGTSFTWPLWFHASLAGTISLYISIYYEMDNGCSELGYRTLRMHYDIEVLPSVDVSLLFSPCPSRLQEFLVRMDIVNRTISESFCLNQLSSIGSQWEISSLPGFISICPLEVLLAGQKISCFFKLKDCQKISGYENKHLVASNDVLLNSDGKAEMLIDISQSPVVDFHHFERLNQEAPQGSSTAVDFILFSKVQGSNLHEEPETTPKLLSNHVCNCSITTKSPIWWLMDGPRTIMHDFSTFCEVNLTMAIHNCSEAIVSVRIATFDFVSETKQSAETTQSSDSSGCQGGWSDISLVNDIKLSPDPLRNMLNIQSSGSTPPFVWCASSSTRIKLAKGSAMEVPLKICVFSPGTYNLSQYELHWNQESSEGSGAELSITSSGMVSGHPFYLTVLQP >DRNTG_28273.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2872126:2886652:1 gene:DRNTG_28273 transcript:DRNTG_28273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPINSVLGKLLLEEISPVVMVLSTPLAEQACAKSGLNFVEMLSPFSVFSKIDVPVRTASDQPYRLQMFKLRLVYASDIHQQNYEATVERLKQVVSDASAKISDLQSDPPQLEDALQMSASDYPPLWIRTFNKELLRTMSFSEHEAFDHPVACLLVVSSKDERPINKFVDLFNTDQLPSLLNEGAMDPKILKHYLLLHDAQDGALEKATNILADMRSTFGSNDCNLLCMNSSLDGLVEWQNNPWAPYANSPSDRDVGCFLNIDDLYEIKDFMQNLTSKLVIPQMEQKIRILNQQVSATRKGFRNQIKNLWWRKGKEDSPDISNGPMYTFSSIESQIRVLGDYAFMLRDYELALSNYRLLSTDYKLDKAWKRYAGVQEMMGLSYFMLDQSRKESEYCMESAFNTYLKLGSSGQRNATRCGLWWTEMLKARGQFKEAAGIYFRISSEEPSLHAAVMLEQASYCYLFSNPPMLRKYGFHLVLAGNRYYISDQRQHAIRTYKNAIFVYKGNGWNYISDHVHFNIGRWYAFLGVFDVAVKHMQGVLSCKHQSLITQDLFLNDFLHAAQNLGTTFEVNELQLPVIDMSSLRVIYEDHRTYASSADIRANESIWQSLEEDLVPSVSTFKSNWLESQPKFSLLNKYNNSSLCVVGEPIKISLEFKNPLQIPISLSGISLIFELSPRSEATEFEDAEVEGDAELAVPPISRDIDADSQCTLSEVGLVLGGAEIKRVQLDITPKIEGVLKIIGVQWRLSGSVTGYRYFGVDAKKKYKQGTRVSRQSPSNNLNFVVIKGLPKLEGCILGIPKKTFAGDLRLVKLELKKQTNSSVKNVKMKISHPRFLIPGNIEDLSADFPRSLEKQTTSEDKDAPDCIMEKSRSHIFSFPEAAALTEGGTSFTWPLWFHASLAGTISLYISIYYEMDNGCSELGYRTLRMHYDIEVLPSVDVSLLFSPCPSRLQEFLVRMDIVNRTISESFCLNQLSSIGSQWEISSLPGFISICPLEVLLAGQKISCFFKLKDCQKISGYENKHLVASNDVLLNSDGKAEMLIDISQSPVVDFHHFERLNQEAPQGSSTAVDFILFSKVQGSNLHEEPETTPKLLSNHVCNCSITTKSPIWWLMDGPRTIMHDFSTFCEVNLTMAIHNCSEAIVSVRIATFDFVSETKQSAETTQSSDSSGCQGGWSDISLVNDIKLSPDPLRNMLNIQSSGSTPPFVWCASSSTRIKLAKGSAMEVPLKICVFSPGTYNLSQYELHWNQESSEGSGAELSITSSGMVSGHPFYLTVLQP >DRNTG_28273.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2872126:2886652:1 gene:DRNTG_28273 transcript:DRNTG_28273.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQASYCYLFSNPPMLRKYGFHLVLAGNRYYISDQRQHAIRTYKNAIFVYKGNGWNYISDHVHFNIGRWYAFLGVFDVAVKHMQGVLSCKHQSLITQDLFLNDFLHAAQNLGTTFEVNELQLPVIDMSSLRVIYEDHRTYASSADIRANESIWQSLEEDLVPSVSTFKSNWLESQPKFSLLNKYNNSSLCVVGEPIKISLEFKNPLQIPISLSGISLIFELSPRSEATEFEDAEVEGDAELAVPPISRDIDADSQCTLSEVGLVLGGAEIKRVQLDITPKIEGVLKIIGVQWRLSGSVTGYRYFGVDAKKKYKQGTRVSRQSPSNNLNFVVIKGLPKLEGCILGIPKKTFAGDLRLVKLELKKQTNSSVKNVKMKISHPRFLIPGNIEDLSADFPRSLEKQTTSEDKDAPDCIMEKSRSHIFSFPEAAALTEGGTSFTWPLWFHASLAGTISLYISIYYEMDNGCSELGYRTLRMHYDIEVLPSVDVSLLFSPCPSRLQEFLVRMDIVNRTISESFCLNQLSSIGSQWEISSLPGFISICPLEVLLAGQKISCFFKLKVQNYFQESNILYLVFSPCS >DRNTG_23254.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9421448:9424656:1 gene:DRNTG_23254 transcript:DRNTG_23254.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLSPRWLIVNHCQRHGGDEEMKKKISDVVLDILSIADMEKTTEFKWLSPSSNPSKLKLKLRLPKKEEKRNQDGGGKRGEKEFDDDGDLIVCRVILRFDSLVSIDFCLVSKGRNSHGVFFRRSYDASKNLQKVYCFEVVGGLGKAPLLDKHEPQLLNMLNDHNC >DRNTG_10167.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22967962:22969520:-1 gene:DRNTG_10167 transcript:DRNTG_10167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKNDCSVLKNIIGSLPVENVQWLAASVEESKLPDRYVRPEINAEPVLNNEEDGEIPVIDLNRLLHVHHKEEEIMKLGLACQEWGFFQIINHGVPEEVIERMKCEIQGFFRLPLEEKKTYAQQPGSLEGYGQAFVVSDEQKLDWGDMYFLATQPLFARNVELWPSNPPAFRAALDQYSLELKRVSSILVKTMARCLGVEALTDMFIDGLQSMRMNYYPACRQTNKVLGLSPHSDAVGLTLLLQVNQVQGLQIKKNGAWMPIKPLPGAFIVNIGDILEIMSNGRYKSIEHRAVINPDKERISIAAFHGSKA >DRNTG_20972.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001183.1:31551:32715:-1 gene:DRNTG_20972 transcript:DRNTG_20972.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARRRHRKHSKTVGDAHEPRRLDEQLLERAQGRAAAPVNDHATVTRPWVISAWASGFLQSYADFPESTQGRGLAPMARPQGLVSTTVNPSFEGARAWTMPARQCGSPGVKRPSALPLQQSHLFPQTTLSRPKSIFPTFSSPPTVVLESIDCTYCGL >DRNTG_17207.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:313284:315793:1 gene:DRNTG_17207 transcript:DRNTG_17207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISSSLSALPFSVASSRSSSLAIGRPSTQRYHRRRPFTIATPFAHSAASDSRSDMDGCAASSLYPLHRSKTLHLVRHAQGIHNVEGEKDFKAYLSPELFDAHLTPLGWNQVDNLRKHVNACGLAKKIELVITSPLLRTMQTAVGVFGGESYVDGVNALPLMVENAGNSNHSAISSLNCPPFIAVENCREHLGVHPCDKRRNISEYKLLFPGLDFSLIENDEDILWEADIREANEAVAARG >DRNTG_17207.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:313284:315793:1 gene:DRNTG_17207 transcript:DRNTG_17207.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTISSSLSALPFSVASSRSSSLAIGRPSTQRYHRRRPFTIATPFAHSAASDSRSDMDGCAASSLYPLHRSKTLHLVCFDQNLNNNGYHCFCF >DRNTG_22131.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17446242:17451368:1 gene:DRNTG_22131 transcript:DRNTG_22131.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTALVRSATPLVSGARDSFHSDSAPPAADFVKVGCSSAIRSSVFGSALSPVEPISSRDLSGRSIQPIRATATEAPPAVSRSSRGGKARIGINGFGRIGRLVLRVAASRDDVEVLAVNDPFIDAKYMAYMFKYDSTHGTFRGTIKVVDESTLEINGKRIAVTSKRDPAEIPWGDFGVDYVVESSGVFTTLEKASAHKKGGAKKVVISAPSADAPMFVVGVNENTYKPGMDIVSNASCTTNCLAPLAKVVHEEFGIAEGLMTTVHATTATQKTVDGPSMKDWRGGRGASQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRLEKNASYDDVKAAIKYASEGPLLGILGYTDDDVVSNDFVGDSRSSIFDAKAGIGLSSSFMKFIAWYDNEWGYSNRVLDLIEHIALVSALN >DRNTG_35259.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3369123:3378437:1 gene:DRNTG_35259 transcript:DRNTG_35259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGLSTRLLAVFLLPFLVIFLAPSAEEENSVLRDVGLDNPIVQFAPSSLVGSSCERIHVTSLSRLNLGSYASSLHVSLMVSEAIPEKLHGKIEVCFHRNASIGLCECKKDGWQALTNGKWSTVMSPYETRFIDVKFKDKIYGTLTVAVEEEFQNWRLVCLGFGFFLLIIAPIVSHWVPFYYSTSMALGILLVVLIILFQGMKLLPMGRKNVFYLTVYGSVLGVGSFIAHYFSTIVNSILVSFGLNEEMHNPVSVFLLVGIILAGASLGYWIVRKFILSGDGNVDAGIAQFVRWALRVVAVASIFQSTLDPLLALAASALCFGSCFAISSIKWRHPLARKQLPHQKDKNLWQQRARHASAGFRQAQFFSRSAERGTEKLGLRNLSTPGTERTGSRNLTNPFLKSNSPTQGQIYSSPAKISQHQDYYSTFHKMPRRRFSKREWEDFTSESTKEALSEWAASPEVSKWFAENAHRMRLDPDNNSDDDSMASSSGSSEETAVEDGTGYNIWKWY >DRNTG_22815.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14889928:14895233:-1 gene:DRNTG_22815 transcript:DRNTG_22815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHLEESLERIHTQFDCSYHEQRKEIFSVGVSISEGKLCGMDTLISVEDRIEINFQVEERENNCGYETNDFMEIDRLKESRLRPSIIEPPELELKALPAHLEYSLADYPDIAQGRELAPVGDLVNFAWAWIISAHPCESLQRGSLHPEKTQGTVQLAHDMANEVEKLLLVGSWHKLLNIRDPAIRPLTLEVLAAFEFDRSYAHFDSVSAIQFRAFGQHHSMSVTQFSVRLCLYDEEYTKTEEYESLPIDMSGLSPEDAYKMLCDKGRYEPGVSKASCFSLPSYRYLHAIISRSVNGLGDSTGVINMQELRYLYSMVRNEPVHLGHILAEYLKHQG >DRNTG_23921.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3198723:3206452:1 gene:DRNTG_23921 transcript:DRNTG_23921.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLHLNTLKNLKEATQKMTLNQSDWVEISSEVEFQHSSNTKTNASLLKSKSTPSKIGSMSPDAQKSVSGARATDSARIAKFTKELSGTSVIMEKLRELAWSGVPPYMRPNIWRLLLGYASPNSDRREGALRRKRLEYVDCVSQYYDIPETERSEDEINMLRQIAVDCPRTVPDVAFFQQEQVQKSLERVLYTWAIRHPASGYVQGINDLVTPFMIVFLSEYLQGSMENWLISDLSPEKISDVEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDEPVSRHMEEQGLDFLQFAFRWFNCLLIREIPFCLVTRLWDTYLAEGDSLPDFLVYIAASFLLTWSDMLLKLEFQEMVMFLQHLPTQNWTHHELEMVLSRAFMWHAMFDRSPSHLAS >DRNTG_23921.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3198723:3206452:1 gene:DRNTG_23921 transcript:DRNTG_23921.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDAQKSVSGARATDSARIAKFTKELSGTSVIMEKLRELAWSGVPPYMRPNIWRLLLGYASPNSDRREGALRRKRLEYVDCVSQYYDIPETERSEDEINMLRQIAVDCPRTVPDVAFFQQEQVQKSLERVLYTWAIRHPASGYVQGINDLVTPFMIVFLSEYLQGSMENWLISDLSPEKISDVEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDEPVSRHMEEQGLDFLQFAFRWFNCLLIREIPFCLVTRLWDTYLAEGDSLPDFLVYIAASFLLTWSDMLLKLEFQEMVMFLQHLPTQNWTHHELEMVLSRAFMWHAMFDRSPSHLAS >DRNTG_12379.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1839096:1840900:-1 gene:DRNTG_12379 transcript:DRNTG_12379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSGDDSCGHFSTCFLDRGAIRHACAPPQESFTRADARPCALSRKPSLPQTRKSLDDE >DRNTG_09930.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18398731:18400993:-1 gene:DRNTG_09930 transcript:DRNTG_09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSCKPYLIMTFTLLLLAIYMALLQVVLDPSRGIDTIVLFVYESTVSAVVLSSLAFIVERGRRPKLSFTIVLWALLIGCLEVPLGQLMMTASLRYVTAKFQSVALNTTPVVVFVVAVVCRRESFRFLSVNGQAKLWGVFISATGALVMVIVSSKESLSSAESYGSLSVTGFWILGCLMNGLAVLAISSGTLLVEKVSMTYPAILTLTAMINVFGTALTTIAAVFMERKPSSWKNYLESKPTTRHHFLWGNCCHWRNILG >DRNTG_09930.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18399939:18400993:-1 gene:DRNTG_09930 transcript:DRNTG_09930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSCKPYLIMTFTLLLLAIYMALLQVVLDPSRGIDTIVLFVYESTVSAVVLSSLAFIVERGRRPKLSFTIVLWALLIGCLEVPLGQLMMTASLRYVTAKFQSVALNTTPVVVFVVAVVCRRESFRFLSVNGQAKLWGVFISATGALVMVIVSSKESLSSAESYGSLSVTGFWILGCLMNGLAVLAISSGTLLVVGFLSLNVTMDRPNIVSIMFI >DRNTG_26683.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9118798:9120638:1 gene:DRNTG_26683 transcript:DRNTG_26683.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSASFNIVGFSWVTSPTATELEVIVLDWLAKMLKLPNEFF >DRNTG_26683.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9118798:9120695:1 gene:DRNTG_26683 transcript:DRNTG_26683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSASFNIVGFSWVTSPTATELEVIVLDWLAKMLKLPNEFF >DRNTG_26683.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9118798:9120638:1 gene:DRNTG_26683 transcript:DRNTG_26683.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLSASFNIVGFSWVTSPTATELEVIVLDWLAKMLKLPNEFF >DRNTG_26683.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9118798:9120638:1 gene:DRNTG_26683 transcript:DRNTG_26683.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSASFNIVGFSWVTSPTATELEVIVLDWLAKMLKLPNEFF >DRNTG_26683.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9118798:9120638:1 gene:DRNTG_26683 transcript:DRNTG_26683.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSASFNIVGFSWVTSPTATELEVIVLDWLAKMLKLPNEFF >DRNTG_26683.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9118798:9120638:1 gene:DRNTG_26683 transcript:DRNTG_26683.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLSASFNIVGFSWVTSPTATELEVIVLDWLAKMLKLPNEFF >DRNTG_04062.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000194.1:3336:5281:1 gene:DRNTG_04062 transcript:DRNTG_04062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFRCKPASKKSSKIEGEISKTEVAQGNDDDQTDTGEENSLGSLKTGQKRHRFHRRL >DRNTG_17802.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:7903312:7917135:1 gene:DRNTG_17802 transcript:DRNTG_17802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGELTLRVGDDKLTYRLTEAMRHSLDFDNTLMSFMALIVDDIPGINRERCIKMAIVHNIAKAIVGDITPFDGVPKEEKSRLEKAALNEMWRFLVMLEFIDIIKSMTVKSST >DRNTG_20447.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4553251:4554444:1 gene:DRNTG_20447 transcript:DRNTG_20447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQTPPPPSLPLPLSIPLPPLPTLPFFPPPSPPPPPPPPTYIKFENKISPSILLIIVILTIIFFISGLLHLLIRFILKPINREAEDHLDNNVTVLQGQLQQLFHLHDSGVDQSCIDTLPIFLYKAIIGLKDPFDCAVCLCEFEPDDKLRLLPMCSHAFHLGCIDTWLLSHSTCPLCRTSLLLPHHDHCLVSPACCIPMVLVLESGNVSSREICSSDQVLAEHDGHGHDLEHDDQSMQIVQVLDDVLEEKLMVPVKLGKFRSTTSTTTTAHDQVHEGTSSDQKIDQRRCFSMGSCEYVMDHRSLLQVAIKPSSNKKKIMSGRFHSSRSFESSDHHHNHRAIGNVLQKESFSFSKIWLKEKHKESSSVLSRSEEAPSFARRTLLWLVGKQENKMVNQV >DRNTG_21470.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2665300:2677752:1 gene:DRNTG_21470 transcript:DRNTG_21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIEAELRDIAGSIEAAKVRDKDESQFRRIGRRRYRAQLVMAVAMPLFQQMTGVVVIAFFAPVLFRTVGFGSQSALMSAVILGGVNLISILIASVVVDRYGRKVLFLQGGLQIIIFPEGTPSLCWC >DRNTG_21470.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2665300:2667065:1 gene:DRNTG_21470 transcript:DRNTG_21470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANIEAELRDIAGSIEAAKVRDKDESQFRRIGRRRYRAQLVMAVAMPLFQQMTGVVVIAFFAPVLFRTVGFGSQSALMSAVILGGVNLISILIASVVVDRYGRKVLFLQGGLQIIIFPEGTPSLCWC >DRNTG_35377.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1737599:1741004:-1 gene:DRNTG_35377 transcript:DRNTG_35377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAEGKTVPDCENALEWVQNDKRRFLHAVYRVGDLDRTIKFYTECFGMQLLRKRDVPEEKYSNAFLGFGPEDSHFVVELTYNYGVDKYDIGAGFGHFAIASEDVYKLVETIKAKGGKITREPGPVKGGTAVIAFAQDPDGYLFELIQRGPTPEPLCQVMLRVGDLDRSIKFYEKACGMKLLRTIDNPAYKYTLAMMGYADEYETTVLELTYNYGVTEYTKGNAYAQLAISTEDVYKSGAAVELVTKELGGKITRQPGPIPGINTKITSFLDPDGWKVVLVDNSDFLKELGL >DRNTG_34415.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002151.1:21586:22626:-1 gene:DRNTG_34415 transcript:DRNTG_34415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIALSYEYWSKYWPEWMAPEVLRNEPSNEKCDVYSFGVIIWELATLRIPWSGMNPIQVVGVVGFQDRHLEIPKGVDPLVAKII >DRNTG_03398.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20914876:20915850:-1 gene:DRNTG_03398 transcript:DRNTG_03398.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSNSSRISVSTTLNGLQTAAISH >DRNTG_32091.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22315271:22320770:1 gene:DRNTG_32091 transcript:DRNTG_32091.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LUTEIN DEFICIENT 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31800) UniProtKB/Swiss-Prot;Acc:Q93VK5] MASTTAFFPSFRFPVPSNAKARLASAPRSGTISCGFSNGREPEEPPGLKDVQRILEEKRRAELAARIASGEFSVPQSRLRSAFRRLGPVGKFLDEMLERWVGVGDAQRLKIPQAKGSIGAVGGQAFFIPLYELFITYGGIFRLTFGPKSFLIVSDPEIAKRILRDNAQDYSKGILAEILEFVMGKGLIPADYDVWKVRRKAIVPALHQKYVAKMIGLFGKASYGLCEKLDKAASDGEDSEMESLFSRMTLDVIGKAVFNYNFDSLTHDNGIIEAVYTVLREAEMRSTSLIPTWEIPIWKDISPRQKKASQALKLVNDTLDDLIAICKRMVEQEELQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTLLIAGHETSAAVLTWTFYLLSKNPHVMSKLQDEVDSVLGDRLPTIEDMKKLKYTTRVINESLRLYPQPPVLIRRSLDDDILGGFPIKRGEDIFISVWNLHRSPKHWTDPDCFNPERWPLDGPNPNEINQNFSYLPFGGGQRKCVGDMFATFETVVATAMLVRRFNFQMALGAPPVEMTTGATIHTTEGLLMTVTRRPQPPIIPKLETRTQIVNGDETVTLQEDQQGEVSVAPL >DRNTG_28880.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001454.1:5166:6766:-1 gene:DRNTG_28880 transcript:DRNTG_28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan galactosyltransferase GT17 [Source:Projected from Arabidopsis thaliana (AT1G68470) UniProtKB/Swiss-Prot;Acc:Q9CA34] MALSPPRERKHSKLIPLLSIFFSLWIILLLFSFPSPSSPPPPPTSQCPQDMPKFYIYNLPSNFNHHLLHHCKSLNIYTNMCPYVSNEGLGRQLSGKSSSWFVTHQFLAEMVFHARALRHPCRTLDPTSANLFHVPFYAGLYASSHFRTANLTLRDELAVTFSDYLTRQPHWHRHHGRDHFIVVGRTAWDFMRNDDSTADFGANRLLLLPEIKNMTVLTVERQPWAGRNQYGIPYPSYFHPRNRSELTSWQELVRKSTRTHLFSFVGGSRPAANQMAAVRGEILKQCNASAQCLQVECEAGTSRCYEPDRVLNLMMRAEFCLQPPGDSFTRRSVFDSILAGCVPVFFSEHTAYTQYKWYMPNRTQDWSVFLGSDQWIRIEEELGKIQKIQIQQMRIQIIDLIPSMTYAHPDVVHGDEIGFRDAVDVALVELNRLVWSGRKPV >DRNTG_16229.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000799.1:1599:4040:-1 gene:DRNTG_16229 transcript:DRNTG_16229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFSSPLPSTLLPTLSTKPYLSLKHPATFLLSPLIRRQYSLFLARSSDANGAGVVAAVEDKVETKEVEKESGEGLGSNGAAAATAAAVVIEEPPKFKDPRWVGGTWDLKQFGKDGKTDWDAVIDAEARRRKWLEDNPEASSENEPIIFDTSIIPWWAWMKRYHLPEAELLNGRAAMIGFFMAYFVDSLTGAGLVDQMGNFFCKTLLFIAVVGVLVIRKNEDIETVKKLIEETTFYDKQWQATWKDDTPSSDR >DRNTG_14674.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:17163590:17184562:-1 gene:DRNTG_14674 transcript:DRNTG_14674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISSTAYLDYHLMVTNCHSLGLWIKSPEFPLGVEEEAIAAMLASVTQILWLIRSHWQKLVLCLGFTFHVKMSKDNWRELIQNIKANGMRPGVSLKPGTPIEEVYQLEEVKNVSNDAFPAKGVPNDASSSRGSPSFKSCFLALLLLPKVAQNLPSDLPKIAKKRL >DRNTG_11364.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3690227:3695035:1 gene:DRNTG_11364 transcript:DRNTG_11364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVLRRWHARSCGSGTRRIFFLENGVVAESAIRSDDQLSGTGAGRDQEFQSHVKKLVDLLSKLNPSAKEFVPSSRAGVLAGDGWQADGHRLSTDTPVFVSSVVGGKEWV >DRNTG_22325.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:503969:507032:-1 gene:DRNTG_22325 transcript:DRNTG_22325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSWFSTFPASLKLLFIHTFSSSSSTIPSPPPPSPSPAAASGPLFPTSIRKIWINEGQKVVFRTISGNHPLANSMANVIGFRNSLGGHCVNKVHSVSNFGWPSINHYQQWRRLQQSTSSWLCHSNIHASSDEDYRSSRNIAISLFRWYRNVIDRGGGDNLKEFISAGVNAYALGCTDEGLRKELISMKDSNTEIEELQNYGGGTSIRFKILSEEISECILWLSIVFITILCTPQPTVVRWSSTQPVSTETMLQWKGFCALIANAYYLRGMAWLPVKTLQLEQMAVMGSAEEPSVVASRMRLVFSTLEVVSPQWPRV >DRNTG_08925.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27371860:27372751:1 gene:DRNTG_08925 transcript:DRNTG_08925.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPSIEMIAESLKNCSLGRNRARARARARAPPVTPPPPPPPPPQLEEEEESEGLTVELNSDVALPRNWEQCLDMRTGEVYYVNWRTGMRTKEDPRNQVRNLPSTTCYCSESSFSGSGDNASSSDEEVEEEEEEEEEEEEEEETRDTASSSGISSICSSSSFEVGGRVGGGDITGQVLVAAGCKACFMYFMVPKSVEACPKCGAALLHL >DRNTG_31655.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:920616:921183:1 gene:DRNTG_31655 transcript:DRNTG_31655.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADQVHHPSVIQKVAGQYQISSGFSRDLKACNQSFYSPSLYARRFTTGNYVNGALQNPLMQPYKATCDLSMVPSVSPVFAHAPAEKGFASFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGISDCFGRTIKDEGFASLWRGNTANVIRYFPTQVS >DRNTG_31655.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:920616:926087:1 gene:DRNTG_31655 transcript:DRNTG_31655.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQVHHPSVIQKVAGQYQISSGFSRDLKACNQSFYSPSLYARRFTTGNYVNGALQNPLMQPYKATCDLSMVPSVSPVFAHAPAEKGFASFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGISDCFARTIKDEGFASLWRGNTANVIRYFPTQ >DRNTG_31655.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:925565:930939:1 gene:DRNTG_31655 transcript:DRNTG_31655.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQVHHPSVIQKVAGQYQISSGFSRDLKACQSFYAPSLYARRFTTGNYVNGALQNPLMQPYKATCDLSMVPSVSPVFAHAPAEKGFTSFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGITDCFARTIKDEGFASLWRGNTANVIRYFPT >DRNTG_19630.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001034.1:16914:18738:-1 gene:DRNTG_19630 transcript:DRNTG_19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTPADVLHSWAVPSSGVKCDAVPGRSNQTSILVQREGVYYGQCSEIRGTNHAFTRAPGYIGRLLSPLWLSRTTR >DRNTG_19630.10.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001034.1:16982:17917:-1 gene:DRNTG_19630 transcript:DRNTG_19630.10 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTPADVLHSWAVPSSGVKCDAVPGRSNQTSILVQREGVYYGQCSEIRGTNH >DRNTG_19630.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001034.1:16914:18306:-1 gene:DRNTG_19630 transcript:DRNTG_19630.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTPADVLHSWAVPSSGVKCDAVPGRSNQTSILVQREGVYYGQCSEIRGTNHAFTRAPGYIGRLLSPLWLSRTTR >DRNTG_19630.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001034.1:17276:18306:-1 gene:DRNTG_19630 transcript:DRNTG_19630.8 gene_biotype:protein_coding transcript_biotype:protein_coding MIYIEDIDFVLIYNKMTQSIGGGTCWHNARWNVGIRDLMNDFFFVDKLSLWMDPFRSTGAGRSHEHKESILERSTWPLTG >DRNTG_19630.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001034.1:17276:18738:-1 gene:DRNTG_19630 transcript:DRNTG_19630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFIAIPSFALLYSMDEVVVDPAITIKAIGHQWYRSAPLNKGDISATKCTGGSRSIWLTGNLPFPSSRDYKNYSMPERVS >DRNTG_19630.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001034.1:17276:18520:-1 gene:DRNTG_19630 transcript:DRNTG_19630.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIYIEDIDFVLIYNKMTQSIGGGTCWHNARWNVGIRDLMNDFFFVDKLSLWMDPFRSTGAGRSHEHKESILERSTWPLTG >DRNTG_19630.11.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001034.1:17276:17917:-1 gene:DRNTG_19630 transcript:DRNTG_19630.11 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSIGGGTCWHNARWNVGIRDLMNDFFFVDKLSLWMDPFRSTGAGRSHEHKESILERSTWPLTG >DRNTG_19630.9.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001034.1:16914:17917:-1 gene:DRNTG_19630 transcript:DRNTG_19630.9 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTPADVLHSWAVPSSGVKCDAVPGRSNQTSILVQREGVYYGQCSEIRGTNHAFTRAPGYIGRLLSPLWLSRTTR >DRNTG_19630.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001034.1:16982:18306:-1 gene:DRNTG_19630 transcript:DRNTG_19630.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTPADVLHSWAVPSSGVKCDAVPGRSNQTSILVQREGVYYGQCSEIRGTNH >DRNTG_19630.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001034.1:16982:18520:-1 gene:DRNTG_19630 transcript:DRNTG_19630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTPADVLHSWAVPSSGVKCDAVPGRSNQTSILVQREGVYYGQCSEIRGTNH >DRNTG_19630.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001034.1:16982:18738:-1 gene:DRNTG_19630 transcript:DRNTG_19630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTPADVLHSWAVPSSGVKCDAVPGRSNQTSILVQREGVYYGQCSEIRGTNH >DRNTG_05228.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000236.1:66859:89126:1 gene:DRNTG_05228 transcript:DRNTG_05228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQFSSLFAQMISSCSPWLPYSSLGRRLAGELPLRRILYRKRESLDDE >DRNTG_16779.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3425565:3428123:-1 gene:DRNTG_16779 transcript:DRNTG_16779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVFSGEVVEAPEELLAAGSRTPSPKTRAGELVDRFIKKEHAAMSVRVGSRAQLAFSHSHQSPLRPRLFGVKDDICCMFEGVLDNLGSLRQHYGLTKNTDEVLLVIEAYKTLRDRAPYTPSSMLAYLTGSFAFVVFDMATSTLLVSCDPDGKVPLYWGITADGFVAFADDLDLLKGSCGKSLAPFPQGCFYSNSLGGLKCYENPKNKITAIPANEEEICGATFQVEGPVVLAARH >DRNTG_23468.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2762052:2785179:1 gene:DRNTG_23468 transcript:DRNTG_23468.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVLSQTHSVLKDIVHEEIANNAQRNRFTYSDLCVQIPESKFRQCLLKTLDVLFRLMCSYHAIMCFHPEKMEDLKKLNNEDDRSYQAHYANEEINDRIREGEFSSCSSQNLEAEPSSEDINRSNDLCDGNMTDNMGSTCLNSQTSLYATDVDTATTSVDSPFNLLRKDATAFVAQTLQRGRKNLWQLTTSRVSVLLSCSAVSSTSTYQFLRNYEDLNVFVLAGEAFCGVEASEFRHRLKIVCENYVAAFHKQNIYALKMVLEKENWVKMPAEALQLINLAGLTGDGAALIAPSGGDSLTRSMLRSKDLSQPVVNAKQKDGFSYWLNVESPFSHKVTSNSQESPTAHILLNGSPDASLSDRNIVDFVNHDRTSAKHNQRNQMNGGVSVLEDEDEDLLADFIDEDSQMPSRISKSLHPKNNAAKLNDEEITAQTGSSLCLLRLMDKYARLMQKLEIVNIEFFKGIFQLFGIFYHFVFEAFGQRDINQSGNLSSRLKIAISKIMQECDQWIRPQHSPTASSSSMNTPFTQMDVMPTIPSSTIYGHAPNTLFGLKERCAAAETISLVARLLHRSRAHLQSMLVQHSTNLVEDFFGLLVDSVPDLVEHIHRTTARMLLHINGYVDKISNAKWEIKELGLEHNGYVDLLLGEYKHYKTMLAHGGISKEVQNALLEYGLEHVAEILIEGLSRVRKCTDEGRVLMSLDLQVLINGLQHFVPVNVKPKLQMVETFIKAYYLPETEYVHWARAHPEYNRTQIVGLVNLVANMKGWKRKTRLEVLERIESST >DRNTG_23468.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2774987:2785179:1 gene:DRNTG_23468 transcript:DRNTG_23468.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNMGSTCLNSQTSLYATDVDTATTSVDSPFNLLRKDATAFVAQTLQRGRKNLWQLTTSRVSVLLSCSAVSSTSTYQFLRNYEDLNVFVLAGEAFCGVEASEFRHRLKIVCENYVAAFHKQNIYALKMVLEKENWVKMPAEALQLINLAGLTGDGAALIAPSGGDSLTRSMLRSKDLSQPVVNAKQKDGFSYWLNVESPFSHKVTSNSQESPTAHILLNGSPDASLSDRNIVDFVNHDRTSAKHNQRNQMNGGVSVLEDEDEDLLADFIDEDSQMPSRISKSLHPKNNAAKLNDEEITAQTGSSLCLLRLMDKYARLMQKLEIVNIEFFKGIFQLFGIFYHFVFEAFGQRDINQSGNLSSRLKIAISKIMQECDQWIRPQHSPTASSSSMNTPFTQMDVMPTIPSSTIYGHAPNTLFGLKERCAAAETISLVARLLHRSRAHLQSMLVQHSTNLVEDFFGLLVDSVPDLVEHIHRTTARMLLHINGYVDKISNAKWEIKELGLEHNGYVDLLLGEYKHYKTMLAHGGISKEVQNALLEYGLEHVAEILIEGLSRVRKCTDEGRVLMSLDLQVLINGLQHFVPVNVKPKLQMVETFIKAYYLPETEYVHWARAHPEYNRTQIVGLVNLVANMKGWKRKTRLEVLERIESST >DRNTG_23468.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2762052:2785179:1 gene:DRNTG_23468 transcript:DRNTG_23468.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVSRDLVVNSYSKKKQALLDMLPILGELRHAVDMQMELEALVEKENFFRAFQVLSEYLQVLDSYSQLSAIQEMGRGVEAWLARTLQKLDALLLGVCQEFKEERYVTAVDAYALIGDVSGLAEKIQSFFMQEVLSQTHSVLKDIVHEEIANNAQRNRFTYSDLCVQIPESKFRQCLLKTLDVLFRLMCSYHAIMCFHPEKMEDLKKLNNEDDRSYQAHYANEEINDRIREGEFSSCSSQNLEAEPSSEDINRSNDLCDGNMTDNMGSTCLNSQTSLYATDVDTATTSVDSPFNLLRKDATAFVAQTLQRGRKNLWQLTTSRVSVLLSCSAVSSTSTYQFLRNYEDLNVFVLAGEAFCGVEASEFRHRLKIVCENYVAAFHKQNIYALKMVLEKENWVKMPAEALQLINLAGLTGDGAALIAPSGGDSLTRSMLRSKDLSQPVVNAKQKDGFSYWLNVESPFSHKVTSNSQESPTAHILLNGSPDASLSDRNIVDFVNHDRTSAKHNQRNQMNGGVSVLEDEDEDLLADFIDEDSQMPSRISKSLHPKNNAAKLNDEEITAQTGSSLCLLRLMDKYARLMQKLEIVNIEFFKGIFQLFGIFYHFVFEAFGQRDINQSGNLSSRLKIAISKIMQECDQWIRPQHSPTASSSSMNTPFTQMDVMPTIPSSTIYGHAPNTLFGLKERCAAAETISLVARLLHRSRAHLQSMLVQHSTNLVEDFFGLLVDSVPDLVEHIHRTTARMLLHINGYVDKISNAKWEIKELGLEHNGYVDLLLGEYKHYKTMLAHGGISKEVQNALLEYGLEHVAEILIEGLSRVRKCTDEGRVLMSLDLQVLINGLQHFVPVNVKPKLQMVETFIKAYYLPETEYVHWARAHPEYNRTQIVGLVNLVANMKGWKRKTRLEVLERIESST >DRNTG_23468.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2762052:2785179:1 gene:DRNTG_23468 transcript:DRNTG_23468.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDPPREFPNSGSAISDLEIPGAPLLLFSLLFLQGGGMDLSRVGEKILSSVRSARSIGLLPPPPSDRPEVPARAIAAAAVARALAGIPPHQKLALPSNSLDSASIYASGPQGQITEELEEEFYGEEFDPVKYALENIPSEENDANYFETKAALRLAQLDRLTERLSRHVMEHHEEMVKGMQLVTELEQDLKVASVICMNGRRHIVSSMNEVSRDLVVNSYSKKKQALLDMLPILGELRHAVDMQMELEALVEKENFFRAFQVLSEYLQVLDSYSQLSAIQEMGRGVEAWLARTLQKLDALLLGVCQEFKEERYVTAVDAYALIGDVSGLAEKIQSFFMQEVLSQTHSVLKDIVHEEIANNAQRNRFTYSDLCVQIPESKFRQCLLKTLDVLFRLMCSYHAIMCFHPEKMEDLKKLNNEDDRSYQAHYANEEINDRIREGEFSSCSSQNLEAEPSSEDINRSNDLCDGNMTDNMGSTCLNSQTSLYATDVDTATTSVDSPFNLLRKDATAFVAQTLQRGRKNLWQLTTSRVSVLLSCSAVSSTSTYQFLRNYEDLNVFVLAGEAFCGVEASEFRHRLKIVCENYVAAFHKQNIYALKMVLEKENWVKMPAEALQLINLAGLTGDGAALIAPSGGDSLTRSMLRSKDLSQPVVNAKQKDGFSYWLNVESPFSHKVTSNSQESPTAHILLNGSPDASLSDRNIVDFVNHDRTSAKHNQRNQMNGGVSVLEDEDEDLLADFIDEDSQMPSRISKSLHPKNNAAKLNDEEITAQTGSSLCLLRLMDKYARLMQKLEIVNIEFFKGIFQLFGIFYHFVFEAFGQRDINQSGNLSSRLKIAISKIMQECDQWIRPQHSPTASSSSMNTPFTQMDVMPTIPSSTIYGHAPNTLFGLKERCAAAETISLVARLLHRSRAHLQSMLVQHSTNLVEDFFGLLVDSVPDLVEHIHRTTARMLLHINGYVDKISNAKWEIKELGLEHNGYVDLLLGEYKHYKTMLAHGGISKEVQNALLEYGLEHVAEILIEGLSRVRKCTDEGRVLMSLDLQVLINGLQHFVPVNVKPKLQMVETFIKAYYLPETEYVHWARAHPEYNRTQIVGLVNLVANMKGWKRKTRLEVLERIESST >DRNTG_23468.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2770060:2785179:1 gene:DRNTG_23468 transcript:DRNTG_23468.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFSCLCSRFTYSDLCVQIPESKFRQCLLKTLDVLFRLMCSYHAIMCFHPEKMEDLKKLNNEDDRSYQAHYANEEINDRIREGEFSSCSSQNLEAEPSSEDINRSNDLCDGNMTDNMGSTCLNSQTSLYATDVDTATTSVDSPFNLLRKDATAFVAQTLQRGRKNLWQLTTSRVSVLLSCSAVSSTSTYQFLRNYEDLNVFVLAGEAFCGVEASEFRHRLKIVCENYVAAFHKQNIYALKMVLEKENWVKMPAEALQLINLAGLTGDGAALIAPSGGDSLTRSMLRSKDLSQPVVNAKQKDGFSYWLNVESPFSHKVTSNSQESPTAHILLNGSPDASLSDRNIVDFVNHDRTSAKHNQRNQMNGGVSVLEDEDEDLLADFIDEDSQMPSRISKSLHPKNNAAKLNDEEITAQTGSSLCLLRLMDKYARLMQKLEIVNIEFFKGIFQLFGIFYHFVFEAFGQRDINQSGNLSSRLKIAISKIMQECDQWIRPQHSPTASSSSMNTPFTQMDVMPTIPSSTIYGHAPNTLFGLKERCAAAETISLVARLLHRSRAHLQSMLVQHSTNLVEDFFGLLVDSVPDLVEHIHRTTARMLLHINGYVDKISNAKWEIKELGLEHNGYVDLLLGEYKHYKTMLAHGGISKEVQNALLEYGLEHVAEILIEGLSRVRKCTDEGRVLMSLDLQVLINGLQHFVPVNVKPKLQMVETFIKAYYLPETEYVHWARAHPEYNRTQIVGLVNLVANMKGWKRKTRLEVLERIESST >DRNTG_23468.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2764315:2785179:1 gene:DRNTG_23468 transcript:DRNTG_23468.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHEEMVKGMQLVTELEQDLKVASVICMNGRRHIVSSMNEVSRDLVVNSYSKKKQALLDMLPILGELRHAVDMQMELEALVEKENFFRAFQVLSEYLQVLDSYSQLSAIQEMGRGVEAWLARTLQKLDALLLGVCQEFKEERYVTAVDAYALIGDVSGLAEKIQSFFMQEVLSQTHSVLKDIVHEEIANNAQRNRFTYSDLCVQIPESKFRQCLLKTLDVLFRLMCSYHAIMCFHPEKMEDLKKLNNEDDRSYQAHYANEEINDRIREGEFSSCSSQNLEAEPSSEDINRSNDLCDGNMTDNMGSTCLNSQTSLYATDVDTATTSVDSPFNLLRKDATAFVAQTLQRGRKNLWQLTTSRVSVLLSCSAVSSTSTYQFLRNYEDLNVFVLAGEAFCGVEASEFRHRLKIVCENYVAAFHKQNIYALKMVLEKENWVKMPAEALQLINLAGLTGDGAALIAPSGGDSLTRSMLRSKDLSQPVVNAKQKDGFSYWLNVESPFSHKVTSNSQESPTAHILLNGSPDASLSDRNIVDFVNHDRTSAKHNQRNQMNGGVSVLEDEDEDLLADFIDEDSQMPSRISKSLHPKNNAAKLNDEEITAQTGSSLCLLRLMDKYARLMQKLEIVNIEFFKGIFQLFGIFYHFVFEAFGQRDINQSGNLSSRLKIAISKIMQECDQWIRPQHSPTASSSSMNTPFTQMDVMPTIPSSTIYGHAPNTLFGLKERCAAAETISLVARLLHRSRAHLQSMLVQHSTNLVEDFFGLLVDSVPDLVEHIHRTTARMLLHINGYVDKISNAKWEIKELGLEHNGYVDLLLGEYKHYKTMLAHGGISKEVQNALLEYGLEHVAEILIEGLSRVRKCTDEGRVLMSLDLQVLINGLQHFVPVNVKPKLQMVETFIKAYYLPETEYVHWARAHPEYNRTQIVGLVNLVANMKGWKRKTRLEVLERIESST >DRNTG_20215.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6371201:6373792:-1 gene:DRNTG_20215 transcript:DRNTG_20215.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10510) UniProtKB/TrEMBL;Acc:Q93ZV8] MLQGAERIADALKENRTITTIDLGGNNIHAKGASEIARALKDNSVLTTLELGYNPIGPEGVKALCDILKFHGKVETLKLGWCQIGPKGAEYIADALKYNTTLSTLDLRANGLGDDGAVRLAQSLKIVNEALTSLDLGFNEIRDKGAFALAQALKANEDLAVTSLNLSSNFFTKYGQVALTEARDHVYEMSEKEINICF >DRNTG_20215.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6371201:6373792:-1 gene:DRNTG_20215 transcript:DRNTG_20215.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10510) UniProtKB/TrEMBL;Acc:Q93ZV8] MSGLSAHKGKITLLDIGNNEIGSKGAFHVAEYIKKSKSLLWLNLYMNDIGDEGAERIADALKENRTITTIDLGGNNIHAKGASEIARALKDNSVLTTLELGYNPIGPEGVKALCDILKFHGKVETLKLGWCQIGPKGAEYIADALKYNTTLSTLDLRANGLGDDGAVRLAQSLKIVNEALTSLDLGFNEIRDKGAFALAQALKANEDLAVTSLNLSSNFFTKYGQVALTEARDHVYEMSEKEINICF >DRNTG_20215.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6371201:6373622:-1 gene:DRNTG_20215 transcript:DRNTG_20215.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10510) UniProtKB/TrEMBL;Acc:Q93ZV8] MNDIGDEGAERIADALKENRTITTIDLGGNNIHAKGASEIARALKDNSVLTTLELGYNPIGPEGVKALCDILKFHGKVETLKLGWCQIGPKGAEYIADALKYNTTLSTLDLRANGLGDDGAVRLAQSLKIVNEALTSLDLGFNEIRDKGAFALAQALKANEDLAVTSLNLSSNFFTKYGQVALTEARDHVYEMSEKEINICF >DRNTG_33712.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1189029:1191905:-1 gene:DRNTG_33712 transcript:DRNTG_33712.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNSKPVTEVGEWSWEENKAFEVALDKVAEETPNRWEVIAASYVPGKKPEEIAEHYEKLVYDVKLIESGYYDHVSSSTAQNNTSAAKDASTTTTISSKEAGGNGVGGGGSGGKKKKKKKKNEKKN >DRNTG_26633.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10297314:10301814:-1 gene:DRNTG_26633 transcript:DRNTG_26633.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLKQRSMRSWLYLIYPLAQTTSMQSSPCSPIPPLISLLKSCKKSSKTAEKCSALLESLSSFDEARNALTSEEGGVLTVVEVLEEGSLQSREHAVGALLTMCESDRCRYREVILNEGVIPGLLELTVQGSPKAQSKAQTLLKLLRNSPYPRPELQAEALENIVSNIVSKIDGDDRAEKARKMLAEMVQVSMEQSWRHLQQRALVCTPSEVPLGNCPF >DRNTG_14685.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000738.1:21548:34702:-1 gene:DRNTG_14685 transcript:DRNTG_14685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSFLRKKVGRGASVEASTIYPGDPEEGAQKMKRARRRHQKHSKAIGDVRERKELDESLLGSLPLLRILRSILGSSSTNVEVLRLEGFLSEARSPMAPQNAMTSKERIKVGVELPLNQRRPSPQTLAASLSMSMLKAPQKVPLESAKRCIYSLTSCLSRAPTRPRGLQEFPRGRADFLRGRMNSNFATVIFTKTR >DRNTG_19345.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5231142:5231937:1 gene:DRNTG_19345 transcript:DRNTG_19345.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTASYELEVGLQPEKIWAVYRELRLAELMVELLPNLIEKFDIVQGDGEVGTVLCLY >DRNTG_19345.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5231142:5231937:1 gene:DRNTG_19345 transcript:DRNTG_19345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTASYELEVGLQPEKIWAVYRELRLAELMVELLPNLIEKFDIVQGDGEVGTVLCLY >DRNTG_05906.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18559557:18566851:1 gene:DRNTG_05906 transcript:DRNTG_05906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSKNFPNNSLWLRTIKEEDAAKAILCGVATNANITKMDGPSGEKKSGNITSVDEVIENFRDKEAEEEESNEDVQEVQLQQSGQLHEDTEEEKGNEGVYKAVRKLEKIVANLIGQLTAISHVHKVFYLCCGSTYEHKHWTISFTVLLLPTTLTGVCYNHKLLWMVLTSVSLTHKVAKHPPRGRKPPISVRVPHEATCSVGVCEPCDDSRVEWCVFLLKIVEAVVTWRARGSGRDTYGLKGNRTLLVSHPICLALILMMPRELQLHRLRRELRSSVGSSLGPSDDSCPYEASYVIQPDPYAR >DRNTG_22439.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23424770:23427005:-1 gene:DRNTG_22439 transcript:DRNTG_22439.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCKAIRPNVVTYSVVMKGLCYQGKLERAMDILERMSSNGIVADQIAYNTIIQGFCETRKKKMAFKFFLEMGRQNLIPTPVTFNLLINLLCMTGEVGNAEKFLKYLSDDGVHLRKFAYSTIIKAQCAKGMPYNAIQVFERMVKAGFVVSIEDYSGAINRLCKRNYTNESKIFLNMMLRAGILPDQELCAVMCNAFYKNRDLCSFSAVQAIIVKCGFSYP >DRNTG_16336.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12890611:12891219:-1 gene:DRNTG_16336 transcript:DRNTG_16336.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALQSGIVWINCSQPCFSQAPWGGTKRSGFGRELGEWGLENYLSVKQVTEYISNDAWGWYPPPSKL >DRNTG_22519.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3447915:3452055:1 gene:DRNTG_22519 transcript:DRNTG_22519.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transport protein SUC3 [Source:Projected from Arabidopsis thaliana (AT2G02860) UniProtKB/Swiss-Prot;Acc:O80605] MALGNILGFSSGANGNWHRWFPFLTTKACCEACGNLKAAFLVAVIFLMFCTFVTLYFAKEVPLEAKPTQQFSDGAPLLNDKQQHGHRGSPTNSPKLENGEKLSVDLMADKASSRNPSNFDRNAKNDEMEALSDGPGAVLVKLLTSLRHLPPGMYSVLLVMALTWSSWFPFFLFDTDWMGREVYRGDPSGTESEIGSYQKGVRDGAFGLLLNSVVLLVASFLIDPICRRMGARMVWAMSNFIVFICMAATTIISLWSINEHLTGIQHVVGANKAVRVGALVIFSLLGFPLAITYSVPFSVTAELTADSGGGQGLATGVLNLAIVIPQMVVALGAGPWDALFGGGNIPAFALASAFALAASFLAFKRLPTLSGSYSSVGFHGFG >DRNTG_22519.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3445623:3447801:1 gene:DRNTG_22519 transcript:DRNTG_22519.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transport protein SUC3 [Source:Projected from Arabidopsis thaliana (AT2G02860) UniProtKB/Swiss-Prot;Acc:O80605] MDAVSIRVPYRNLKEAELELEMGHLNGDPSGEIHDLGSRPSGGLPPSFPSASPSSPDEYGSSDASHRSQPRSSMKTLVLSCIVAAGVQFGWALQLSLLTPYIQTLGIEHAFSSFIWLCGPITGFIVQPCVGIWSDKCTLKLGRRRPFILAGSLMISFAVILIGFAADIGYLLGDTDQDCRSYKGPRWRAAAIFVIGFWMLDLANNTVQGPARALLADLS >DRNTG_22519.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3445623:3452055:1 gene:DRNTG_22519 transcript:DRNTG_22519.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transport protein SUC3 [Source:Projected from Arabidopsis thaliana (AT2G02860) UniProtKB/Swiss-Prot;Acc:O80605] MDAVSIRVPYRNLKEAELELEMGHLNGDPSGEIHDLGSRPSGGLPPSFPSASPSSPDEYGSSDASHRSQPRSSMKTLVLSCIVAAGVQFGWALQLSLLTPYIQTLGIEHAFSSFIWLCGPITGFIVQPCVGIWSDKCTLKLGRRRPFILAGSLMISFAVILIGFAADIGYLLGDTDQDCRSYKGPRWRAAAIFVIGFWMLDLANNTVQVSFSTNDLFCLSFDRFYRLHSVCKYTHDIIEKYCRVPLVPFSQTSQGLISAILRMQYFALGWLLETFLDFRLVPMGTGTGGSLS >DRNTG_22519.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3449732:3452055:1 gene:DRNTG_22519 transcript:DRNTG_22519.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transport protein SUC3 [Source:Projected from Arabidopsis thaliana (AT2G02860) UniProtKB/Swiss-Prot;Acc:O80605] MGREVYRGDPSGTESEIGSYQKGVRDGAFGLLLNSVVLLVASFLIDPICRRMGARMVWAMSNFIVFICMAATTIISLWSINEHLTGIQHVVGANKAVRVGALVIFSLLGFPLAITYSVPFSVTAELTADSGGGQGLATGVLNLAIVIPQMVVALGAGPWDALFGGGNIPAFALASAFALAASFLAFKRLPTLSGSYSSVGFHGFG >DRNTG_22519.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3445623:3452055:1 gene:DRNTG_22519 transcript:DRNTG_22519.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transport protein SUC3 [Source:Projected from Arabidopsis thaliana (AT2G02860) UniProtKB/Swiss-Prot;Acc:O80605] MDAVSIRVPYRNLKEAELELEMGHLNGDPSGEIHDLGSRPSGGLPPSFPSASPSSPDEYGSSDASHRSQPRSSMKTLVLSCIVAAGVQFGWALQLSLLTPYIQTLGIEHAFSSFIWLCGPITGFIVQPCVGIWSDKCTLKLGRRRPFILAGSLMISFAVILIGFAADIGYLLGDTDQDCRSYKGPRWRAAAIFVIGFWMLDLANNTVQGPARALLADLSGPDQCNSANAIFCSWMALGNILGFSSGANGNWHRWFPFLTTKACCEACGNLKAAFLVAVIFLMFCTFVTLYFAKEVPLEAKPTQQFSDGAPLLNDKQQHGHRGSPTNSPKLENGEKLSVDLMADKASSRNPSNFDRNAKNDEMEALSDGPGAVLVKLLTSLRHLPPGMYSVLLVMALTWSSWFPFFLFDTDWMGREVYRGDPSGTESEIGSYQKGVRDGAFGLLLNSVVLLVASFLIDPICRRMGARMVWAMSNFIVFICMAATTIISLWSINEHLTGIQHVVGANKAVRVGALVIFSLLGFPLAITYSVPFSVTAELTADSGGGQGLATGVLNLAIVIPQMVVALGAGPWDALFGGGNIPAFALASAFALAASFLAFKRLPTLSGSYSSVGFHGFG >DRNTG_22519.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3448212:3452055:1 gene:DRNTG_22519 transcript:DRNTG_22519.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transport protein SUC3 [Source:Projected from Arabidopsis thaliana (AT2G02860) UniProtKB/Swiss-Prot;Acc:O80605] MFCTFVTLYFAKEVPLEAKPTQQFSDGAPLLNDKQQHGHRGSPTNSPKLENGEKLSVDLMADKASSRNPSNFDRNAKNDEMEALSDGPGAVLVKLLTSLRHLPPGMYSVLLVMALTWSSWFPFFLFDTDWMGREVYRGDPSGTESEIGSYQKGVRDGAFGLLLNSVVLLVASFLIDPICRRMGARMVWAMSNFIVFICMAATTIISLWSINEHLTGIQHVVGANKAVRVGALVIFSLLGFPLAITYSVPFSVTAELTADSGGGQGLATGVLNLAIVIPQMVVALGAGPWDALFGGGNIPAFALASAFALAASFLAFKRLPTLSGSYSSVGFHGFG >DRNTG_05892.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18797415:18803136:1 gene:DRNTG_05892 transcript:DRNTG_05892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGVWSFKRMAKLSSKQGDNHKIPRQRVEEEN >DRNTG_11358.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23775371:23776728:-1 gene:DRNTG_11358 transcript:DRNTG_11358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLILSITLLTSSIAIFIINTVKKMRHQNCYLIDYACFKPSDEFKLPTNICGELMFRNKFLGFRRVQVLAESPRQLRHRRRNIRPQKHLPRAKKQHRHSMMLSLRWTSVLEHTISDVLASSGVKPTDIDILVVNVSMFAPEPSLASRIVNKFKMRDDIKIYNLSGMGCSASLISVDLIRRTFMSEFNKLALIFTSESITPNWYCGNKRSMLLPICLFRCGGCAMLLTNDPKRKNQAKTQAQTRCKDSSLS >DRNTG_22599.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17594937:17596492:1 gene:DRNTG_22599 transcript:DRNTG_22599.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEIVNRILGVSGWFKRQLPSSLGVMKIEASFVGKDNELGWRSQPSGDTGLSSDDRSILLLARDIPENGFSVEIPNNPEGRVQRGDPIGPDIGYRGWKNQVKESSWSFVLFIIAFRIMAISSGEAVLKGLPRELSI >DRNTG_14215.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15953069:15955769:-1 gene:DRNTG_14215 transcript:DRNTG_14215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLVRRFGPGVYILATATADTTKSEGDIAGGVQQIPTPSVAPGRVVRPSKKEIGQSGSQCTDFGGNLAKNKSSTNVYTIEEASDPRRDRVPVCVTPMKVWNWEAIQVEYCRRAL >DRNTG_18181.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29099635:29100805:-1 gene:DRNTG_18181 transcript:DRNTG_18181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEIKISNWFIRQITQRVHCQDFPGNHFTIIQGTSQERRVAARSTLPAYLDGSLPGDNGFDPLGLAEDPENLKWYIQAELVNSRWAMLGVAGMLLPEVFTKIGIINAPQWFDAGKAEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGCVNQDPIFKNYSLAPHQCGYPGGPFNPA >DRNTG_13564.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29929713:29933779:1 gene:DRNTG_13564 transcript:DRNTG_13564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSPWNLQFLQSSMAVTFSLATAAGVLTGGFLIMVLVTATFFFLLFHSQNPNEHGKDSGHGGNGGGGSLPPGPAALPIVGSLPMMLWRKPHFRWVLRKAEGKDITCIRLGNVHVIVVNSPELAREFLKKNDAIFASRPKTMATEYSGRGFLSVVFTPWGDQWKKMRRVIVSHVVNHQQFQKMAKMRVEEADNLVWYIQHQSKAGEEINLRKTLRYYTGNIIRRMLFGCRHFGKGAKDGMPGPGEEELEHIEAAFTSLSLIYALCASDFIPSLRILDIDGHEKTMKKAINVINKYHDPIIEKRVQQWRSNGGVNGEPEDILEVFISLKDDEGKPLLRIEEIKAQSTELIFEVVDNPSNTVEWAMAEMLNQPDILRKAIDELDRVIGPHRLVEESDFPNLPT >DRNTG_34445.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8086032:8087382:-1 gene:DRNTG_34445 transcript:DRNTG_34445.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDFVIDVFVLILLDSLKKFPHEFNRSTTICRPMVLALSQQEHSVDGLDKMMSPTLEDYVREKLVLMPVALNKHYHIIVLDKDEKEYFYYSSVRNRIYNQAAAEMSWTMSIVQCT >DRNTG_25510.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1149646:1150275:-1 gene:DRNTG_25510 transcript:DRNTG_25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKYGCLEEALQVFERSGMQTVDHWNTMIGGLAMHGFGELSLELFAEMKRRSLKPDNITFISVLNACSHRGLVEEGLTCFKSMIKDYELEPKVQHYGCMVDILGRARLLKEAVRLIEAMHVEPNDVVWRSLLSACRNHGNIEIGQKVVTSMTECDNCRSSSYVLLSNLYAGCGMWGDATRIRMMMKDEDFRKIPRCRLVVGLSLTDCS >DRNTG_03499.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:724713:735684:1 gene:DRNTG_03499 transcript:DRNTG_03499.8 gene_biotype:protein_coding transcript_biotype:protein_coding MFREEAEKLQDDRDKFLSLALEAYQQCLVIGGKYDLRVVFRLVSLWFSLSSRQSVVKAMLSTVKEVQSFKFIPLVYQIASRLSSPKNSQGSNSFQVALASLVKKMSIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDMDKKQAAEDLLHELYSHHGRVIQQMRQMVEIYIRLAELETKKEDTNKKVALPRDVRSIRQLELVPVVTANVPIDPSCNYVEGSFPYFKGLTESIIVMNGINAPKLVECFGSDGHRYRQLAKSGNDDLRQDAVMEQFFGLVNTFLENHRDTWKRKLRIRTYKVVPFTPSAGVLEWVNGTIPLGEYLLGSNRNGGAHLRYGAGDWTFSQCREYMANEKDKRNAFIKVCDNFRPVMHYFFLEKFLQPADWFERRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDVIDGMGITGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQEETDDVLGSSLESSPDADEGNKDAARAKLRVKQKLDGYEEGELRSVQGQVQQLIQDAIDIDRLCQMFPGWGAWL >DRNTG_03499.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:714105:735684:1 gene:DRNTG_03499 transcript:DRNTG_03499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIIQLESNGILKLHNCNHWNADPEVVSAVWSLVRLCGSNGMNDMCALLADFISRVGIGDPYDVIFHLPGDPSQKHLTPASYHDTSKDFNLQCDNGVTDEILIEILRLLMKYLLDESVKIVDKASLTLQGILSTEKGHGALLSLNFHERSLIEVHSKGVHLALVEKLLVDSEKHSMGTISLEDSSLWVTETKAYEEWVCPLVHSLISHSDDIILRLCQDIVLLKAEVAELLFPNVLMNLAGRADSNADICHLISGKVQENIFLESNDLMKSIHVILDALNRLRSCYIIARQYSFLPPVKQGRISRYAKAHCTPEKGKEHSSNVLLSTKLWEKVYWLSIDYLAVARAAIRTGSYFTAVMYVEHWCEENFNGLTLGCPDFSHFETLPPHIELMIAAITHINEPDSIYGIIQSQKLTSQTVTYEHEGNWSKALECYDLHVRTAGLGDTDSISSEGASDAYYISSGDKKMAHWKPYKGLMRSLNKIGCSHVLDFYCQGLLSQKVQFQHDLEFTELQYEAAWRAGNWDFSLLSAEENSRKHLRGEHFNENLFSCLRALKEGDSSEFHTNLMKTKKELVLSVSNTSQESTEYIFSSVVKLQILYHLGIAWDLRWTHEPSGENTCFKLNKILPEPVVPTKVQLEPLNKEWSFIRMQAQQNMNLLEPFVAFRRSFFRVLDCQESILEHLLESACILRKGSQFSLAAAALHELKLLFCQSEKLTTSHTYLLGRLEEAKVLRAQGQNEMAISLGRYIIQDYKMDEEASNVHRLVGKWLSETRSSNSRTILDQYLKHAVELTEQSNSRDQKSLSRQCQTYFHLAHYTDGLFKSYEERLASSEWQATLRLRKHKTREMEALIRGLRSSRKGEKVDCSIKIQELQKQLTMFREEAEKLQDDRDKFLSLALEAYQQCLVIGGKYDLRVVFRLVSLWFSLSSRQSVVKAMLSTVKEVQSFKFIPLVYQIASRLSSPKNSQGSNSFQVALASLVKKMSIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDMDKKQAAEDLLHELYSHHGRVIQQMRQMVEIYIRLAELETKKEDTNKKVALPRDVRSIRQLELVPVVTANVPIDPSCNYVEGSFPYFKGLTESIIVMNGINAPKLVECFGSDGHRYRQLAKSGNDDLRQDAVMEQFFGLVNTFLENHRDTWKRKLRIRTYKVVPFTPSAGVLEWVNGTIPLGEYLLGSNRNGGAHLRYGAGDWTFSQCREYMANEKDKRNAFIKVCDNFRPVMHYFFLEKFLQPADWFERRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDVIDGMGITGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQEETDDVLGSSLESSPDADEGNKDAARAKLRVKQKLDGYEEGELRSVQGQVQQLIQDAIDIDRLCQMFPGWGAWL >DRNTG_03499.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:716326:735684:1 gene:DRNTG_03499 transcript:DRNTG_03499.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAGRADSNADICHLISGKVQENIFLESNDLMKSIHVILDALNRLRSCYIIARQYSFLPPVKQGRISRYAKAHCTPEKGKEHSSNVLLSTKLWEKVYWLSIDYLAVARAAIRTGSYFTAVMYVEHWCEENFNGLTLGCPDFSHFETLPPHIELMIAAITHINEPDSIYGIIQSQKLTSQTVTYEHEGNWSKALECYDLHVRTAGLGDTDSISSEGASDAYYISSGDKKMAHWKPYKGLMRSLNKIGCSHVLDFYCQGLLSQKVQFQHDLEFTELQYEAAWRAGNWDFSLLSAEENSRKHLRGEHFNENLFSCLRALKEGDSSEFHTNLMKTKKELVLSVSNTSQESTEYIFSSVVKLQILYHLGIAWDLRWTHEPSGENTCFKLNKILPEPVVPTKVQLEPLNKEWSFIRMQAQQNMNLLEPFVAFRRSFFRVLDCQESILEHLLESACILRKGSQFSLAAAALHELKLLFCQSEKLTTSHTYLLGRLEEAKVLRAQGQNEMAISLGRYIIQDYKMDEEASNVHRLVGKWLSETRSSNSRTILDQYLKHAVELTEQSNSRDQKSLSRQCQTYFHLAHYTDGLFKSYEERLASSEWQATLRLRKHKTREMEALIRGLRSSRKGEKVDCSIKIQELQKQLTMFREEAEKLQDDRDKFLSLALEAYQQCLVIGGKYDLRVVFRLVSLWFSLSSRQSVVKAMLSTVKEVQSFKFIPLVYQIASRLSSPKNSQGSNSFQVALASLVKKMSIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDMDKKQAAEDLLHELYSHHGRVIQQMRQMVEIYIRLAELETKKEDTNKKVALPRDVRSIRQLELVPVVTANVPIDPSCNYVEGSFPYFKGLTESIIVMNGINAPKLVECFGSDGHRYRQLAKSGNDDLRQDAVMEQFFGLVNTFLENHRDTWKRKLRIRTYKVVPFTPSAGVLEWVNGTIPLGEYLLGSNRNGGAHLRYGAGDWTFSQCREYMANEKDKRNAFIKVCDNFRPVMHYFFLEKFLQPADWFERRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDVIDGMGITGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQEETDDVLGSSLESSPDADEGNKDAARAKLRVKQKLDGYEEGELRSVQGQVQQLIQDAIDIDRLCQMFPGWGAWL >DRNTG_03499.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:732950:735684:1 gene:DRNTG_03499 transcript:DRNTG_03499.12 gene_biotype:protein_coding transcript_biotype:protein_coding MHYFFLEKFLQPADWFERRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDVIDGMGITGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQEETDDVLGSSLESSPDADEGNKDAARAKLRVKQKLDGYEEGELRSVQGQVQQLIQDAIDIDRLCQMFPGWGAWL >DRNTG_03499.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:724943:735684:1 gene:DRNTG_03499 transcript:DRNTG_03499.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTVKEVQSFKFIPLVYQIASRLSSPKNSQGSNSFQVALASLVKKMSIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDMDKKQAAEDLLHELYSHHGRVIQQMRQMVEIYIRLAELETKKEDTNKKVALPRDVRSIRQLELVPVVTANVPIDPSCNYVEGSFPYFKGLTESIIVMNGINAPKLVECFGSDGHRYRQLAKSGNDDLRQDAVMEQFFGLVNTFLENHRDTWKRKLRIRTYKVVPFTPSAGVLEWVNGTIPLGEYLLGSNRNGGAHLRYGAGDWTFSQCREYMANEKDKRNAFIKVCDNFRPVMHYFFLEKFLQPADWFERRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDVIDGMGITGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQEETDDVLGSSLESSPDADEGNKDAARAKLRVKQKLDGYEEGELRSVQGQVQQLIQDAIDIDRLCQMFPGWGAWL >DRNTG_03499.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:714332:735684:1 gene:DRNTG_03499 transcript:DRNTG_03499.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIIQLESNGILKLHNCNHWNADPEVVSAVWSLVRLCGSNGMNDMCALLADFISRVGIGDPYDVIFHLPGDPSQKHLTPASYHDTSKDFNLQCDNGVTDEILIEILRLLMKYLLDESVKIVDKASLTLQGILSTEKGHGALLSLNFHERSLIEVHSKGVHLALVEKLLVDSEKHSMGTISLEDSSLWVTETKAYEEWVCPLVHSLISHSDDIILRLCQDIVLLKAEVAELLFPNVLMNLAGRADSNADICHLISGKVQENIFLESNDLMKSIHVILDALNRLRSCYIIARQYSFLPPVKQGRISRYAKAHCTPEKGKEHSSNVLLSTKLWEKVYWLSIDYLAVARAAIRTGSYFTAVMYVEHWCEENFNGLTLGCPDFSHFETLPPHIELMIAAITHINEPDSIYGIIQSQKLTSQTVTYEHEGNWSKALECYDLHVRTAGLGDTDSISSEGASDAYYISSGDKKMAHWKPYKGLMRSLNKIGCSHVLDFYCQGLLSQKVQFQHDLEFTELQYEAAWRAGNWDFSLLSAEENSRKHLRGEHFNENLFSCLRALKEGDSSEFHTNLMKTKKELVLSVSNTSQESTEYIFSSVVKLQILYHLGIAWDLRWTHEPSGENTCFKLNKILPEPVVPTKVQLEPLNKEWSFIRMQAQQNMNLLEPFVAFRRSFFRVLDCQESILEHLLESACILRKGSQFSLAAAALHELKLLFCQSEKLTTSHTYLLGRLEEAKVLRAQGQNEMAISLGRYIIQDYKMDEEASNVHRLVGKWLSETRSSNSRTILDQYLKHAVELTEQSNSRDQKSLSRQCQTYFHLAHYTDGLFKSYEERLASSEWQATLRLRKHKTREMEALIRGLRSSRKGEKVDCSIKIQELQKQLTMFREEAEKLQDDRDKFLSLALEAYQQCLVIGGKYDLRVVFRLVSLWFSLSSRQSVVKAMLSTVKEVQSFKFIPLVYQIASRLSSPKNSQGSNSFQVALASLVKKMSIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDMDKKQAAEDLLHELYSHHGRVIQQMRQMVEIYIRLAELETKKEDTNKKVALPRDVRSIRQLELVPVVTANVPIDPSCNYVEGSFPYFKGLTESIIVMNGINAPKLVECFGSDGHRYRQLAKSGNDDLRQDAVMEQFFGLVNTFLENHRDTWKRKLRIRTYKVVPFTPSAGVLEWVNGTIPLGEYLLGSNRNGGAHLRYGAGDWTFSQCREYMANEKDKRNAFIKVCDNFRPVMHYFFLEKFLQPADWFERRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDVIDGMGITGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQEETDDVLGSSLESSPDADEGNKDAARAKLRVKQKLDGYEEGELRSVQGQVQQLIQDAIDIDRLCQMFPGWGAWL >DRNTG_03499.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:714105:735684:1 gene:DRNTG_03499 transcript:DRNTG_03499.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIIQLESNGILKLHNCNHWNADPEVVSAVWSLVRLCGSNGMNDMCALLADFISRVGIGDPYDVIFHLPGDPSQKHLTPASYHDTSKDFNLQCDNGVTDEILIEILRLLMKYLLDESVKIVDKASLTLQVHSKGVHLALVEKLLVDSEKHSMGTISLEDSSLWVTETKAYEEWVCPLVHSLISHSDDIILRLCQDIVLLKAEVAELLFPNVLMNLAGRADSNADICHLISGKVQENIFLESNDLMKSIHVILDALNRLRSCYIIARQYSFLPPVKQGRISRYAKAHCTPEKGKEHSSNVLLSTKLWEKVYWLSIDYLAVARAAIRTGSYFTAVMYVEHWCEENFNGLTLGCPDFSHFETLPPHIELMIAAITHINEPDSIYGIIQSQKLTSQTVTYEHEGNWSKALECYDLHVRTAGLGDTDSISSEGASDAYYISSGDKKMAHWKPYKGLMRSLNKIGCSHVLDFYCQGLLSQKVQFQHDLEFTELQYEAAWRAGNWDFSLLSAEENSRKHLRGEHFNENLFSCLRALKEGDSSEFHTNLMKTKKELVLSVSNTSQESTEYIFSSVVKLQILYHLGIAWDLRWTHEPSGENTCFKLNKILPEPVVPTKVQLEPLNKEWSFIRMQAQQNMNLLEPFVAFRRSFFRVLDCQESILEHLLESACILRKGSQFSLAAAALHELKLLFCQSEKLTTSHTYLLGRLEEAKVLRAQGQNEMAISLGRYIIQDYKMDEEASNVHRLVGKWLSETRSSNSRTILDQYLKHAVELTEQSNSRDQKSLSRQCQTYFHLAHYTDGLFKSYEERLASSEWQATLRLRKHKTREMEALIRGLRSSRKGEKVDCSIKIQELQKQLTMFREEAEKLQDDRDKFLSLALEAYQQCLVIGGKYDLRVVFRLVSLWFSLSSRQSVVKAMLSTVKEVQSFKFIPLVYQIASRLSSPKNSQGSNSFQVALASLVKKMSIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDMDKKQAAEDLLHELYSHHGRVIQQMRQMVEIYIRLAELETKKEDTNKKVALPRDVRSIRQLELVPVVTANVPIDPSCNYVEGSFPYFKGLTESIIVMNGINAPKLVECFGSDGHRYRQLAKSGNDDLRQDAVMEQFFGLVNTFLENHRDTWKRKLRIRTYKVVPFTPSAGVLEWVNGTIPLGEYLLGSNRNGGAHLRYGAGDWTFSQCREYMANEKDKRNAFIKVCDNFRPVMHYFFLEKFLQPADWFERRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDVIDGMGITGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQEETDDVLGSSLESSPDADEGNKDAARAKLRVKQKLDGYEEGELRSVQGQVQQLIQDAIDIDRLCQMFPGWGAWL >DRNTG_03499.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:717350:735684:1 gene:DRNTG_03499 transcript:DRNTG_03499.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIHVILDALNRLRSCYIIARQYSFLPPVKQGRISRYAKAHCTPEKGKEHSSNVLLSTKLWEKVYWLSIDYLAVARAAIRTGSYFTAVMYVEHWCEENFNGLTLGCPDFSHFETLPPHIELMIAAITHINEPDSIYGIIQSQKLTSQTVTYEHEGNWSKALECYDLHVRTAGLGDTDSISSEGASDAYYISSGDKKMAHWKPYKGLMRSLNKIGCSHVLDFYCQGLLSQKVQFQHDLEFTELQYEAAWRAGNWDFSLLSAEENSRKHLRGEHFNENLFSCLRALKEGDSSEFHTNLMKTKKELVLSVSNTSQESTEYIFSSVVKLQILYHLGIAWDLRWTHEPSGENTCFKLNKILPEPVVPTKVQLEPLNKEWSFIRMQAQQNMNLLEPFVAFRRSFFRVLDCQESILEHLLESACILRKGSQFSLAAAALHELKLLFCQSEKLTTSHTYLLGRLEEAKVLRAQGQNEMAISLGRYIIQDYKMDEEASNVHRLVGKWLSETRSSNSRTILDQYLKHAVELTEQSNSRDQKSLSRQCQTYFHLAHYTDGLFKSYEERLASSEWQATLRLRKHKTREMEALIRGLRSSRKGEKVDCSIKIQELQKQLTMFREEAEKLQDDRDKFLSLALEAYQQCLVIGGKYDLRVVFRLVSLWFSLSSRQSVVKAMLSTVKEVQSFKFIPLVYQIASRLSSPKNSQGSNSFQVALASLVKKMSIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDMDKKQAAEDLLHELYSHHGRVIQQMRQMVEIYIRLAELETKKEDTNKKVALPRDVRSIRQLELVPVVTANVPIDPSCNYVEGSFPYFKGLTESIIVMNGINAPKLVECFGSDGHRYRQLAKSGNDDLRQDAVMEQFFGLVNTFLENHRDTWKRKLRIRTYKVVPFTPSAGVLEWVNGTIPLGEYLLGSNRNGGAHLRYGAGDWTFSQCREYMANEKDKRNAFIKVCDNFRPVMHYFFLEKFLQPADWFERRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDVIDGMGITGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQEETDDVLGSSLESSPDADEGNKDAARAKLRVKQKLDGYEEGELRSVQGQVQQLIQDAIDIDRLCQMFPGWGAWL >DRNTG_03499.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:719042:735684:1 gene:DRNTG_03499 transcript:DRNTG_03499.7 gene_biotype:protein_coding transcript_biotype:protein_coding MYVEHWCEENFNGLTLGCPDFSHFETLPPHIELMIAAITHINEPDSIYGIIQSQKLTSQTVTYEHEGNWSKALECYDLHVRTAGLGDTDSISSEGASDAYYISSGDKKMAHWKPYKGLMRSLNKIGCSHVLDFYCQGLLSQKVQFQHDLEFTELQYEAAWRAGNWDFSLLSAEENSRKHLRGEHFNENLFSCLRALKEGDSSEFHTNLMKTKKELVLSVSNTSQESTEYIFSSVVKLQILYHLGIAWDLRWTHEPSGENTCFKLNKILPEPVVPTKVQLEPLNKEWSFIRMQAQQNMNLLEPFVAFRRSFFRVLDCQESILEHLLESACILRKGSQFSLAAAALHELKLLFCQSEKLTTSHTYLLGRLEEAKVLRAQGQNEMAISLGRYIIQDYKMDEEASNVHRLVGKWLSETRSSNSRTILDQYLKHAVELTEQSNSRDQKSLSRQCQTYFHLAHYTDGLFKSYEERLASSEWQATLRLRKHKTREMEALIRGLRSSRKGEKVDCSIKIQELQKQLTMFREEAEKLQDDRDKFLSLALEAYQQCLVIGGKYDLRVVFRLVSLWFSLSSRQSVVKAMLSTVKEVQSFKFIPLVYQIASRLSSPKNSQGSNSFQVALASLVKKMSIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDMDKKQAAEDLLHELYSHHGRVIQQMRQMVEIYIRLAELETKKEDTNKKVALPRDVRSIRQLELVPVVTANVPIDPSCNYVEGSFPYFKGLTESIIVMNGINAPKLVECFGSDGHRYRQLAKSGNDDLRQDAVMEQFFGLVNTFLENHRDTWKRKLRIRTYKVVPFTPSAGVLEWVNGTIPLGEYLLGSNRNGGAHLRYGAGDWTFSQCREYMANEKDKRNAFIKVCDNFRPVMHYFFLEKFLQPADWFERRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDVIDGMGITGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQEETDDVLGSSLESSPDADEGNKDAARAKLRVKQKLDGYEEGELRSVQGQVQQLIQDAIDIDRLCQMFPGWGAWL >DRNTG_03499.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:726504:735684:1 gene:DRNTG_03499 transcript:DRNTG_03499.10 gene_biotype:protein_coding transcript_biotype:protein_coding MYKIHMINLGQVFHCMTIIEKFCMYQVFRLVSLWFSLSSRQSVVKAMLSTVKEVQSFKFIPLVYQIASRLSSPKNSQGSNSFQVALASLVKKMSIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDMDKKQAAEDLLHELYSHHGRVIQQMRQMVEIYIRLAELETKKEDTNKKVALPRDVRSIRQLELVPVVTANVPIDPSCNYVEGSFPYFKGLTESIIVMNGINAPKLVECFGSDGHRYRQLAKSGNDDLRQDAVMEQFFGLVNTFLENHRDTWKRKLRIRTYKVVPFTPSAGVLEWVNGTIPLGEYLLGSNRNGGAHLRYGAGDWTFSQCREYMANEKDKRNAFIKVCDNFRPVMHYFFLEKFLQPADWFERRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDVIDGMGITGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQEETDDVLGSSLESSPDADEGNKDAARAKLRVKQKLDGYEEGELRSVQGQVQQLIQDAIDIDRLCQMFPGWGAWL >DRNTG_03499.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:717036:735684:1 gene:DRNTG_03499 transcript:DRNTG_03499.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAGRADSNADICHLISGKVQENIFLESNDLMKSIHVILDALNRLRSCYIIARQYSFLPPVKQGRISRYAKAHCTPEKGKEHSSNVLLSTKLWEKVYWLSIDYLAVARAAIRTGSYFTAVMYVEHWCEENFNGLTLGCPDFSHFETLPPHIELMIAAITHINEPDSIYGIIQSQKLTSQTVTYEHEGNWSKALECYDLHVRTAGLGDTDSISSEGASDAYYISSGDKKMAHWKPYKGLMRSLNKIGCSHVLDFYCQGLLSQKVQFQHDLEFTELQYEAAWRAGNWDFSLLSAEENSRKHLRGEHFNENLFSCLRALKEGDSSEFHTNLMKTKKELVLSVSNTSQESTEYIFSSVVKLQILYHLGIAWDLRWTHEPSGENTCFKLNKILPEPVVPTKVQLEPLNKEWSFIRMQAQQNMNLLEPFVAFRRSFFRVLDCQESILEHLLESACILRKGSQFSLAAAALHELKLLFCQSEKLTTSHTYLLGRLEEAKVLRAQGQNEMAISLGRYIIQDYKMDEEASNVHRLVGKWLSETRSSNSRTILDQYLKHAVELTEQSNSRDQKSLSRQCQTYFHLAHYTDGLFKSYEERLASSEWQATLRLRKHKTREMEALIRGLRSSRKGEKVDCSIKIQELQKQLTMFREEAEKLQDDRDKFLSLALEAYQQCLVIGGKYDLRVVFRLVSLWFSLSSRQSVVKAMLSTVKEVQSFKFIPLVYQIASRLSSPKNSQGSNSFQVALASLVKKMSIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDMDKKQAAEDLLHELYSHHGRVIQQMRQMVEIYIRLAELETKKEDTNKKVALPRDVRSIRQLELVPVVTANVPIDPSCNYVEGSFPYFKGLTESIIVMNGINAPKLVECFGSDGHRYRQLAKSGNDDLRQDAVMEQFFGLVNTFLENHRDTWKRKLRIRTYKVVPFTPSAGVLEWVNGTIPLGEYLLGSNRNGGAHLRYGAGDWTFSQCREYMANEKDKRNAFIKVCDNFRPVMHYFFLEKFLQPADWFERRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDVIDGMGITGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQEETDDVLGSSLESSPDADEGNKDAARAKLRVKQKLDGYEEGELRSVQGQVQQLIQDAIDIDRLCQMFPGWGAWL >DRNTG_28592.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7895870:7896490:-1 gene:DRNTG_28592 transcript:DRNTG_28592.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSIVMLITCLLFLTLTTSEVEPMAIKVDSHETKDEYDVKSMKRSPIITPPPPKGNEHPDPPPTSP >DRNTG_32858.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32181242:32183934:1 gene:DRNTG_32858 transcript:DRNTG_32858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDRSQTSEGAVVAIELVAGSSKAEEWSGGVPQTGDVVEEIRIGAGQATRAPFKGGRAGVQKLLHSAFRRGDTSIRVKARRAAGDSAELHACIVPRDPPAGGRRQYVLRSIHDPNYAVGFVDRSVSECIALQIHIMFARMKSRKSDVESVVSGSMSSRVVCALSNAQLQDGYVAYPWDKKMRESLEIPNSSGFLSVLILPKASDSSGLHYNSLEDTLARANAWLNSSQASGVPILFINIQTEALLTKISGETASCTVNAGSLSDLSNLGNVSLYGFEDYHGVDIGVVRAVRLWYTPLGGEVAIEIKLQETDTKLGFAISRTEEGFIYISSVIDDEDDKEVASTRSGLKDLYKAAMRASKLLVISRVSNEKVLPWMVSSSGAIRCFDTVSLSQKLSLHRHALRPILLHVFLWEKTPLTMPPPSPPPPLTKTPAAEQAPRNVLVYDNDDRSGAILHRDTAGDVSFRFHDFSLPNNWV >DRNTG_00213.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15751786:15755694:-1 gene:DRNTG_00213 transcript:DRNTG_00213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGYYSLINALAKDLDIHLNHRMDNEDSQVSSMRTPTRKTGGNKRWTTTESRFFIRFLATQVEQGLKVDKGFKPQAIHAAITAIKNEFGILVTDANVTNHLRTLRKRWARIKKLKELSGMGWDNTLKMIVMGEVEYRNYVQIHPQDEPYLNKPIEDHDLLEIICGNDQATGRRAVQFGDEIGRQLDDNAESRRTSQLDDFDGMFFEETDYNVDMSTATHNASESIEPRGASSTQSKKARCKRRCPPKQKQYTR >DRNTG_07336.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23518687:23522499:1 gene:DRNTG_07336 transcript:DRNTG_07336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGFIASQKGIDMEVEGREIFRELIRRSLLQRKIVTESRSRPLLPISSYTYSSEKGRVCTMHDLIHDLAHFVMENECFMSLYSSAAPEISTRPCHLNLLIDKNYNQVDCSTIHTGLHCRRDSSVLSRLKFVRVLDLSSTRIKELPASIEHLHHLRYLDISDNPIRKLPESICMLVNLQTLKLYSCRKLSELPKSITYMNSLRHFLFDRLNLKALPTGLSQLQNLKTLTGYTVGDDAENSIGQLKSLNPFGEFALYNIQKMKNADDARKADMGNKKLIQTLKLNWIASSPGNDYEYCLMENAEEVLEALKPPSGVKELTVSYYPGKQLPMWMGEMQQFQYLYCIELSECRECEQLPPLETLPNLADLRISGMDGIKHILNNSRGNALQSFPALRGLNLYSMMNLEGWCVEEGREANLSLFPCLIQMNIRRCPKLTTMPPIPTLQELSMEQSFCKTQISLVSKERRFFKHLKSLRRLKINPCTEELVLLLADEKNFQVSRHGVFGARNATPYFTIQIRIVSLS >DRNTG_07336.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23518687:23522233:1 gene:DRNTG_07336 transcript:DRNTG_07336.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGFIASQKGIDMEVEGREIFRELIRRSLLQRKIVTESRSRPLLPISSYTYSSEKGRVCTMHDLIHDLAHFVMENECFMSLYSSAAPEISTRPCHLNLLIDKNYNQVDCSTIHTGLHCRRDSSVLSRLKFVRVLDLSSTRIKELPASIEHLHHLRYLDISDNPIRKLPESICMLVNLQTLKLYSCRKLSELPKSITYMNSLRHFLFDRLNLKALPTGLSQLQNLKTLTGYTVGDDAENSIGQLKSLNPFGEFALYNIQKMKNADDARKADMGNKKLIQTLKLNWIASSPGNDYEYCLMENAEEVLEALKPPSGVKELTVSYYPGKQLPMWMGEMQQFQYLYCIELSECRECEQLPPLETLPNLADLRISGMDGIKHILNNSRGNALQSFPALRGLNLYSMMNLEGWCVEEGREANLSLFPCLIQMNIRRCPKLTTMPPIPTLQELSMEQSFCKTQISLVSKERRFFKHLKSLRRLKINPCTEELVLLLADEKNFQVSRHGVFGARNATPYFTIQIRIVSLS >DRNTG_24716.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17907223:17918993:1 gene:DRNTG_24716 transcript:DRNTG_24716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSSSPQPENPNSNNDDHHHTPDTNANRPHHPPSQPPAGRTAPIPHYTLAEIMEATNGFSFENIISEGGASREAGAKVLNSVYRGCLHSGQQIVVKRFSWDAWPDEEQFREQAIAVGRLRHRRLVNLIGYCCDEDERLLVAEFMPNDTLAKHLFNQNRTGELTKLRAISGHMGQIAPVISSIGKNFQVKISRSGLHREWHCIKTETMEWSRRLRVACYVAQALEYCSDEGRTVYHDLTSYKVLFDETGNPCLSCFGLVKNIRDGISDNTNIAYIPPEYVEGLITFESVIFSFGNVLLFLLTGKQIPPDLALDKIRRNNMHTFMDSRVKGQYSIKEVVALVKLASQCLQYLPKDRPTIKQVIASLEQVQSNARDAGAPTNAMQGTQGQDKTSSKTQTKDKTLLMSRSSKQDKTSPIPQPTSLQMAEAVAIMDLPAIHQILVFEGYKGDATSRELTFRDSTQHMEEMLQTRWKGDLAFNSKDLKTAFECYSQFLDGAKVISPTVYVRRSLCYLMFDQPHDALRDAMQAQCIQQRINADWPIACYMQAVALSLLNRDIDSANKLKEATAIDEALDTLLKRMLSDTSVLISPKKLNANGFKPLVEKIQRTCSRWKHAKLSLAAKTTLINSSLLAIPTYYLSIYPISDDLKLEGGLAIRNLSVVKHSLKAKYVFNYLNKEDLIWVNIVQRKAINQVKDLYYAHNSSCRKLIFNNFCSSDGPFLFSASVWNVLNQVCKGGFFIAQANYHIVLAGRQVAAADGHIAAEFQTLIVALQCALDCSTMVQNISLPIPVSWMCFILQTQCLPGIYPLGSVTLTGCLPWLDLRVSV >DRNTG_05418.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5193676:5201956:-1 gene:DRNTG_05418 transcript:DRNTG_05418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSPNDEEEEECRPNDASSSPKGAECFSSLDDEASP >DRNTG_05418.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5193676:5194505:-1 gene:DRNTG_05418 transcript:DRNTG_05418.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSPNDEEEEECRPNDASSSPKGAECFSSLDDEASP >DRNTG_00425.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21942096:21944638:1 gene:DRNTG_00425 transcript:DRNTG_00425.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin-10 [Source:Projected from Arabidopsis thaliana (AT1G63260) UniProtKB/Swiss-Prot;Acc:F4I214] MSTGTSTFVIRWINFLTMILAIAVICFGVWMSTHHDACRRTLTLPVLGLGALIFVVSLIGFLGALKNVSWLLWIVSFLISLSAVFCIGNGFVSSARRTIAVTLTVRL >DRNTG_00425.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21942096:21944638:1 gene:DRNTG_00425 transcript:DRNTG_00425.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin-10 [Source:Projected from Arabidopsis thaliana (AT1G63260) UniProtKB/Swiss-Prot;Acc:F4I214] MSTGTSTFVIRWINFLTMILAIAVICFGVWMSTHHDACRRTLTLPVLGLGALIFVVSLIGFLGALKNVSWLLWIYLIMLCFILVGILVFTVLAFIVTNNGSGHAVTGLRYKEYHLQDYSSWFLRRLNNTRNWRRLKSCLVKLEDCNNLPKRYKTLKAYKSAELSPIEAGCCRPPSECGYPAVNASYYDLSYHPVSSNKDCKTYKNSQNIKCYNCDSCKAGVAQYMKIEWRVVAIFNLILFVILAAVYFSRMLCKEKCCSE >DRNTG_00425.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21942096:21944638:1 gene:DRNTG_00425 transcript:DRNTG_00425.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin-10 [Source:Projected from Arabidopsis thaliana (AT1G63260) UniProtKB/Swiss-Prot;Acc:F4I214] MLFIYNTMTLLLLNEILVNKCFPCRFIVTNNGSGHAVTGLRYKEYHLQDYSSWFLRRLNNTRNWRRLKSCLVKLEDCNNLPKRYKTLKAYKSAELSPIEAGCCRPPSECGYPAVNASYYDLSYHPVSSNKDCKTYKNSQNIKCYNCDSCKAGVAQYMKIEWRVVAIFNLILFVILAAVYFSRMLCKEKCCSE >DRNTG_21341.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11398866:11399373:-1 gene:DRNTG_21341 transcript:DRNTG_21341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSMTSRSMKAMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAAGIQVIQIDEVALREGLPHCKSEQSFYLDWDIHSFRITNCGVKDTTQ >DRNTG_06908.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14267998:14270243:-1 gene:DRNTG_06908 transcript:DRNTG_06908.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTYKNPPVNGLAHLLTKVNSRLTTRPPIRPDRGTLQGRQFPSPGSKLCHPVQTLLGIAWMQHR >DRNTG_33627.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002030.1:74403:77050:1 gene:DRNTG_33627 transcript:DRNTG_33627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLETFHLSDNSINGSIPCEIGNLVKLIMFSLSDNQITGHIPHSIGSLTKLETFYLYNNHVYGLVPIEMVNLTNLTHLDLSNNHLFGNLPPNLPKGGLLQSLILAENNFQGPIPVSLKNSTMLFRVRLDRNQFTGDISQSFGVHPHLVYIDLSFNRLSGTLSPSWGECLNLTSFKISGNKISGQIPHEIIELPKLHLLDISSNNFVGNIPRDFGKLSYIFQLNMSNNHLTGTIPPEFGGLSLLEVLDMSSNNLRGEIPIQLENCIKLNSLKLSGNQLSGAIPFQLGNLNLHDVLDLSHNLFIGEMPQQLSKLMELRELNLSHNKLVGPIPSSFQSMTGLMSLDLSYNYLEGPVPGSHFFQEAPLEWFIHNKGLCGQVHGLPPCHQSWSTSKGDEEK >DRNTG_23903.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2039456:2041374:1 gene:DRNTG_23903 transcript:DRNTG_23903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSKINGRPVLQPAGNRVPIEPGRMTKKPILKPIHSSPPLSPKSPLNLNTEKSVTSPANVQKASKKYSNSNVSVVLPLDSFSTDTAPGSIAAAQREQALLMHAQRKMKIAHYGRTHAKLEASKIVPIDHSSANATVLSTHEEKRCSFITPNSDPIYVAYHDEEWGVPVHDDKMLFELLVLTGAQVGLDWTTILKKRNDFRSEAFAGFDAETVSKFTERRIAAISINQGMDLGRVRGIIDNAKRILEKNPSQDIEIRNN >DRNTG_16105.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25415787:25418186:1 gene:DRNTG_16105 transcript:DRNTG_16105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSKAFASFRLTSYSLFLTRSSLNYSSIPSNSTNHHQISPLSSTNDPLAFAAALFLSSTKNSPILGTQIHGKSFKLGFSNDIFSQNNLLGMYSKCGHLWDAFKVFEEMPERNLVSWTSMISAFVHNGEYWMSLKLYLEMLRAGFCPNEFGLAAVLTACAAMNIVEFGRSIHSVALKIGLDCNPFVGSSLLHMYAKCRCIGFAEFVFEFIEHKDLACWNAMVEGYAINGYGCDAIRTVSLMHCNGLIADQFTYVSALKGCIITGNLSYAQQIHGMIVSSGLEFSTSVMNALTDTYYRNGMKDSALKIFCRIKGKDIVSWNTVISSFAQEEESQEVVALFCKLLLSGLRPNEVTLSIIFRLCGSMKDLSLGLQFFCFTYRLGLFNDMLVVSSLVSMFSKYGLVENAYHMFRSAPARIIVTWNEMLTGYVSNDHFKEALQLFRRAIWSDVNLDEYTYSTIFSACQGPEDLKLGEEIHARIIKLGFCSSCAVSSAMSHAYSRFGSVESSFKIFQDSEVLDMVSWGTIISAFSKHGFTNGTISLINCLRGRDENLDEFILSSALNACANVAAFNHCRCIHAHVQKTGYESHVAVASAAVDAYSKCGDISSSKLAFDSSSREDDAVLFNAMITAYAHHGLIAEAVELFEKMEHVNVSPSHTTFVAVISACNHLGLVEEGQLFFNSISTDYGMSPSKDNFACLVDLLARNGLLEKARHVIECMPFEPWPAIWRSLLNGSRIHGDKVMATLAAERIFQLMPGDVDAHVLLSNVCAEDGRWEDANKVKMKMEEEGLNKIPGFSKIEI >DRNTG_26610.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:229657:234724:1 gene:DRNTG_26610 transcript:DRNTG_26610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHGEIKKLDLEVRRCQSGVSSPPSRKRKLSQILSDGGDDEQSQNMDSGRKNHSVVEDGADPNRVFEKFDVELPQNPVIEMEEDRVHTRNISTSRWADVDDEDDEARAVSSPEVGEIVTRGSSRSSGAKSSQSEQSGASHSDGLMDVDGGDDVADFDSLESSPPKGINMLQGCRSVDEFEKLNKVNEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPITSLREINILLSSHHSSIVEVKEVVIGSSLDSIFMVMEFMDHDLKGLMDSMKQPFSQSDVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGAKQYTTAIDMWSLGCIMAELLTKEPLFKGKTEMDQLDKLFKMLGTPNDGIWPGFSKLVHPNVKFTKQKGDKLREKFRPTFFSGHPVLSLAGLDLLHRLLCYNPAERITAEEALQHEWFREVPLPKSKDFLPTFPSQNTRERNLGATLEQKLFHLK >DRNTG_26610.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:229657:234724:1 gene:DRNTG_26610 transcript:DRNTG_26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARHGGCRFNEIGKQGFHGEFQRRNEYYQDRFLDRNFDGGRVRDCKGRSDVMHGEIKKLDLEVRRCQSGVSSPPSRKRKLSQILSDGGDDEQSQNMDSGRKNHSVVEDGADPNRVFEKFDVELPQNPVIEMEEDRVHTRNISTSRWADVDDEDDEARAVSSPEVGEIVTRGSSRSSGAKSSQSEQSGASHSDGLMDVDGGDDVADFDSLESSPPKGINMLQGCRSVDEFEKLNKVNEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPITSLREINILLSSHHSSIVEVKEVVIGSSLDSIFMVMEFMDHDLKGLMDSMKQPFSQSDVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGAKQYTTAIDMWSLGCIMAELLTKEPLFKGKTEMDQLDKLFKMLGTPNDGIWPGFSKLVHPNVKFTKQKGDKLREKFRPTFFSGHPVLSLAGLDLLHRLLCYNPAERITAEEALQHEWFREVPLPKSKDFLPTFPSQNTRERNLGATLEQKLFHLK >DRNTG_26610.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:229657:234724:1 gene:DRNTG_26610 transcript:DRNTG_26610.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHGEIKKLDLEVRRCQSGVSSPPSRKRKLSQILSDGGDDEQSQNMDSGRKNHSVVEDGADPNRVFEKFDVELPQNPVIEMEEDRVHTRNISTSRWADVDDEDDEARAVSSPEVGEIVTRGSSRSSGAKSSQSEQSGASHSDGLMDVDGGDDVADFDSLESSPPKGINMLQGCRSVDEFEKLNKVNEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPITSLREINILLSSHHSSIVEVKEVVIGSSLDSIFMVMEFMDHDLKGLMDSMKQPFSQSDVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGAKQYTTAIDMWSLGCIMAELLTKEPLFKGKTEMDQLDKLFKMLGTPNDGIWPGFSKLVHPNVKFTKQKGDKLREKFRPTFFSGHPVLSLAGLDLLHRLLCYNPAERITAEEALQHEWFREVPLPKSKDFLPTFPSQNTRERNLGATLEQKLFHLK >DRNTG_26610.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:229657:234724:1 gene:DRNTG_26610 transcript:DRNTG_26610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGEIKKLDLEVRRCQSGVSSPPSRKRKLSQILSDGGDDEQSQNMDSGRKNHSVVEDGADPNRVFEKFDVELPQNPVIEMEEDRVHTRNISTSRWADVDDEDDEARAVSSPEVGEIVTRGSSRSSGAKSSQSEQSGASHSDGLMDVDGGDDVADFDSLESSPPKGINMLQGCRSVDEFEKLNKVNEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPITSLREINILLSSHHSSIVEVKEVVIGSSLDSIFMVMEFMDHDLKGLMDSMKQPFSQSDVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGAKQYTTAIDMWSLGCIMAELLTKEPLFKGKTEMDQLDKLFKMLGTPNDGIWPGFSKLVHPNVKFTKQKGDKLREKFRPTFFSGHPVLSLAGLDLLHRLLCYNPAERITAEEALQHEWFREVPLPKSKDFLPTFPSQNTRERNLGATLEQKLFHLK >DRNTG_26610.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:229657:234724:1 gene:DRNTG_26610 transcript:DRNTG_26610.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARHGGCRFNEIGKQGFHGEFQRRNEYYQDRFLDRNFDGGRVRDCKGRSDVMHGEIKKLDLEVRRCQSGVSSPPSRKRKLSQILSDGGDDEQSQNMDSGRKNHSVVEDGADPNRVFEKFDVELPQNPVIEMEEDRVHTRNISTSRWADVDDEDDEARAVSSPEVGEIVTRGSSRSSGAKSSQSEQSGASHSDGLMDVDGGDDVADFDSLESSPPKGINMLQGCRSVDEFEKLNKVNEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPITSLREINILLSSHHSSIVEVKEVVIGSSLDSIFMVMEFMDHDLKGLMDSMKQPFSQSDVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGAKQYTTAIDMWSLGCIMAELLTKEPLFKGKTEMDQLDKLFKMLGTPNDGIWPGFSKLVHPNVKFTKQKGDKLREKFRPTFFSGHPVLSLAGLDLLHRLLCYNPAERITAEEALQHEWFREVPLPKSKDFLPTFPSQNTRERNLGATLEQKLFHLK >DRNTG_26610.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:229657:234724:1 gene:DRNTG_26610 transcript:DRNTG_26610.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARHGGCRFNEIGKQGFHGEFQRRNEYYQDRFLDRNFDGGRVRDCKGRSDVMHGEIKKLDLEVRRCQSGVSSPPSRKRKLSQILSDGGDDEQSQNMDSGRKNHSVVEDGADPNRVFEKFDVELPQNPVIEMEEDRVHTRNISTSRWADVDDEDDEARAVSSPEVGEIVTRGSSRSSGAKSSQSEQSGASHSDGLMDVDGGDDVADFDSLESSPPKGINMLQGCRSVDEFEKLNKVNEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPITSLREINILLSSHHSSIVEVKEVVIGSSLDSIFMVMEFMDHDLKGLMDSMKQPFSQSDVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGAKQYTTAIDMWSLGCIMAELLTKEPLFKGKTEMDQLDKLFKMLGTPNDGIWPGFSKLVHPNVKFTKQKGDKLREKFRPTFFSGHPVLSLAGLDLLHRLLCYNPAERITAEEALQHEWFREVPLPKSKDFLPTFPSQNTRERNLGATLEQKLFHLK >DRNTG_26610.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:229657:234724:1 gene:DRNTG_26610 transcript:DRNTG_26610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHGEIKKLDLEVRRCQSGVSSPPSRKRKLSQILSDGGDDEQSQNMDSGRKNHSVVEDGADPNRVFEKFDVELPQNPVIEMEEDRVHTRNISTSRWADVDDEDDEARAVSSPEVGEIVTRGSSRSSGAKSSQSEQSGASHSDGLMDVDGGDDVADFDSLESSPPKGINMLQGCRSVDEFEKLNKVNEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPITSLREINILLSSHHSSIVEVKEVVIGSSLDSIFMVMEFMDHDLKGLMDSMKQPFSQSDVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGAKQYTTAIDMWSLGCIMAELLTKEPLFKGKTEMDQLDKLFKMLGTPNDGIWPGFSKLVHPNVKFTKQKGDKLREKFRPTFFSGHPVLSLAGLDLLHRLLCYNPAERITAEEALQHEWFREVPLPKSKDFLPTFPSQNTRERNLGATLEQKLFHLK >DRNTG_26610.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:229657:234724:1 gene:DRNTG_26610 transcript:DRNTG_26610.9 gene_biotype:protein_coding transcript_biotype:protein_coding MHGEIKKLDLEVRRCQSGVSSPPSRKRKLSQILSDGGDDEQSQNMDSGRKNHSVVEDGADPNRVFEKFDVELPQNPVIEMEEDRVHTRNISTSRWADVDDEDDEARAVSSPEVGEIVTRGSSRSSGAKSSQSEQSGASHSDGLMDVDGGDDVADFDSLESSPPKGINMLQGCRSVDEFEKLNKVNEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPITSLREINILLSSHHSSIVEVKEVVIGSSLDSIFMVMEFMDHDLKGLMDSMKQPFSQSDVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGAKQYTTAIDMWSLGCIMAELLTKEPLFKGKTEMDQLDKLFKMLGTPNDGIWPGFSKLVHPNVKFTKQKGDKLREKFRPTFFSGHPVLSLAGLDLLHRLLCYNPAERITAEEALQHEWFREVPLPKSKDFLPTFPSQNTRERNLGATLEQKLFHLK >DRNTG_26610.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:229657:234724:1 gene:DRNTG_26610 transcript:DRNTG_26610.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARHGGCRFNEIGKQGFHGEFQRRNEYYQDRFLDRNFDGGRVRDCKGRSDVMHGEIKKLDLEVRRCQSGVSSPPSRKRKLSQILSDGGDDEQSQNMDSGRKNHSVVEDGADPNRVFEKFDVELPQNPVIEMEEDRVHTRNISTSRWADVDDEDDEARAVSSPEVGEIVTRGSSRSSGAKSSQSEQSGASHSDGLMDVDGGDDVADFDSLESSPPKGINMLQGCRSVDEFEKLNKVNEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPITSLREINILLSSHHSSIVEVKEVVIGSSLDSIFMVMEFMDHDLKGLMDSMKQPFSQSDVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGAKQYTTAIDMWSLGCIMAELLTKEPLFKGKTEMDQLDKLFKMLGTPNDGIWPGFSKLVHPNVKFTKQKGDKLREKFRPTFFSGHPVLSLAGLDLLHRLLCYNPAERITAEEALQHEWFREVPLPKSKDFLPTFPSQNTRERNLGATLEQKLFHLK >DRNTG_08670.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4759682:4763423:1 gene:DRNTG_08670 transcript:DRNTG_08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIFGGKKEDPPPTVQDATETINKRGENVDEKIRKLDAELTRYKEQIKKTRPGPAQEAVKARAMRVLKQKRMYEGQRDMLYNQTFNLDQVAFAAEGIKDAQQTMAAMKSANKELKGMMKTVKIQDIDSMQDEMMDLMDVSTEIQESLGRSYNVPDDIDEEELMGELDALEADMGTEIESDAMPSYLQPDNEPNLDAEINLPGVQPNQQNAQAEDELGLPAAPKASIRS >DRNTG_08054.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18105467:18108122:-1 gene:DRNTG_08054 transcript:DRNTG_08054.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSFLRVALNKKSALADVPKGHFVVYVGEAEKRFVIPLSYLKHPSFQKLLHRAEEEFGFSHGMSGIRVPSSEQAFKSLIHRPKVGQSQTTCQQDIKHVPPLWYVTPNKKRALADVPKGHFVVYVGEAEKRYVIPISYLNHPLFQKLLHRAEEEFGFSHGMSGIRVPSSEQAFQSLMHQLKGLH >DRNTG_07719.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2363761:2364719:1 gene:DRNTG_07719 transcript:DRNTG_07719.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKPLENAETELSPSSEPPAFNYYSLLSSHANNKMRNPSSR >DRNTG_07719.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2363879:2364719:1 gene:DRNTG_07719 transcript:DRNTG_07719.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKPLENAETELSPSSEPPAFNYYSLLSSHANNKMRNPSSR >DRNTG_07719.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2363962:2364719:1 gene:DRNTG_07719 transcript:DRNTG_07719.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKPLENAETELSPSSEPPAFNYYSLLSSHANNKMRNPSSR >DRNTG_07719.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2363962:2364806:1 gene:DRNTG_07719 transcript:DRNTG_07719.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKPLENAETELSPSSEPPAFNYYSLLSSHANNKMRNPSSR >DRNTG_07719.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2363879:2364806:1 gene:DRNTG_07719 transcript:DRNTG_07719.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKPLENAETELSPSSEPPAFNYYSLLSSHANNKMRNPSSR >DRNTG_07719.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2363761:2364806:1 gene:DRNTG_07719 transcript:DRNTG_07719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKPLENAETELSPSSEPPAFNYYSLLSSHANNKMRNPSSR >DRNTG_07719.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2364006:2364719:1 gene:DRNTG_07719 transcript:DRNTG_07719.8 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKPLENAETELSPSSEPPAFNYYSLLSSHANNKMRNPSSR >DRNTG_07719.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2364006:2364806:1 gene:DRNTG_07719 transcript:DRNTG_07719.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKPLENAETELSPSSEPPAFNYYSLLSSHANNKMRNPSSR >DRNTG_15772.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17467745:17473098:-1 gene:DRNTG_15772 transcript:DRNTG_15772.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLRRSLPLLCRSISSKITSPKPSPPLFSKSISNPNPIPNPSSQWRRFYYFDRGQLQHFRRRSSRRWYQNSGTILIVVVLSGCAILTIYYGHLETVPYTKRSHLILLSPSVERQLGEHGFQQMKDSLKGKILPAIHPDSVRVRLIAKDIIEALQRGLRHDTRQWGDLGYASEVPEWEVDPEKSKETLLALRQGDEAKHKKKEGWSSEDEILDDKWVHTSRKKAAETHPQTRHLEGLNWEVLVVKDKTVNAFCLPGGKIVVFTGLLDHFRTDAEIATVLGHEVAHAIARHSAEMITKSLWLAIVQLILLQFFFMPDLINAMSTLLLRLPFSRRMEIEADYVGLLLMASAGYDPRVAPGVYERLGQIGGESLLNDYISTHPSSKTRAKLLSQAQVMEEALSVYRDAVSGHAVSGFL >DRNTG_15772.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17468045:17473098:-1 gene:DRNTG_15772 transcript:DRNTG_15772.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLRRSLPLLCRSISSKITSPKPSPPLFSKSISNPNPIPNPSSQWRRFYYFDRGQLQHFRRRSSRRWYQNSGTILIVVVLSGCAILTIYYGHLETVPYTKRSHLILLSPSVERQLGEHGFQQMKDSLKGKILPAIHPDSVRVRLIAKDIIEALQRGLRHDTRQWGDLGYASEVPEWEVDPEKSKETLLALRQGDEAKHKKKEGWSSEDEILDDKWVHTSRKKAAETHPQTRHLEGLNWEVLVVKDKTVNAFCLPGGKIVVFTGLLDHFRTDAEIATVLGHEVAHAIARHSAEMITKSLWLAIVQLILLQFFFMPDLINAMSTLLLRLPFSRRMEIEADYVGLLLMASAGYDPRVAPGVYERLGQIGGESLLNDYISTHPSSKTRAKLLSQAQVMEEALSVYRDAVSGHAVSGFL >DRNTG_15772.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17467745:17473134:-1 gene:DRNTG_15772 transcript:DRNTG_15772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLRRSLPLLCRSISSKITSPKPSPPLFSKSISNPNPIPNPSSQWRRFYYFDRGQLQHFRRRSSRRWYQNSGTILIVVVLSGCAILTIYYGHLETVPYTKRSHLILLSPSVERQLGEHGFQQMKDSLKGKILPAIHPDSVRVRLIAKDIIEALQRGLRHDTRQWGDLGYASEVPEWEVDPEKSKETLLALRQGDEAKHKKKEGWSSEDEILDDKWVHTSRKKAAETHPQTRHLEGLNWEVLVVKDKTVNAFCLPGGKIVVFTGLLDHFRTDAEIATVLGHEVAHAIARHSAEMITKSLWLAIVQLILLQFFFMPDLINAMSTLLLRLPFSRRMEIEADYVGLLLMASAGYDPRVAPGVYERLGQIGGESLLNDYISTHPSSKTRAKLLSQAQVMEEALSVYRDAVSGHAVSGFL >DRNTG_15772.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17472137:17473061:-1 gene:DRNTG_15772 transcript:DRNTG_15772.15 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLRRSLPLLCRSISSKITSPKPSPPLFSKSISNPNPIPNPSSQWRRFYYFDRGQLQHFRRRSSRRWYQNSGTILIVVVLSGCAILTIYYGHLETVPYTKRSHLILLSPSVERQLGEHGFQQMKDSLKGKILPAIHPDSVRVRLIAKDIIEALQRGLRHDTRQWGDLGYASEVPEWEVDPEKSKETLLALRQGDEAKHKKKEGWSSEDEILDDKWVHTSRKKAAETHPQTRHLEGLNWEVLVVKDKTVNAFCLPGGKIVVFTGLLDHFRTDAEIATVLGHEV >DRNTG_15772.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17468119:17473098:-1 gene:DRNTG_15772 transcript:DRNTG_15772.9 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLRRSLPLLCRSISSKITSPKPSPPLFSKSISNPNPIPNPSSQWRRFYYFDRGQLQHFRRRSSRRWYQNSGTILIVVVLSGCAILTIYYGHLETVPYTKRSHLILLSPSVERQLGEHGFQQMKDSLKGKILPAIHPDSVRVRLIAKDIIEALQRGLRHDTRQWGDLGYASEVPEWEVDPEKSKETLLALRQGDEAKHKKKEGWSSEDEILDDKWVHTSRKKAAETHPQTRHLEGLNWEVLVVKDKTVNAFCLPGGKIVVFTGLLDHFRTDAEIATVLGHEVAHAIARHSAEMITKSLWLAIVQLILLQFFFMPDLINAMSTLLLRLPFSRRMEIEADYVGLLLMASAGYDPRVAPGVYERLGQIGGESLLNDYISTHPSSKTRAKLLSQAQVMEEALSVYRDAVSGHAVSGFL >DRNTG_15772.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17468045:17473134:-1 gene:DRNTG_15772 transcript:DRNTG_15772.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLRRSLPLLCRSISSKITSPKPSPPLFSKSISNPNPIPNPSSQWRRFYYFDRGQLQHFRRRSSRRWYQNSGTILIVVVLSGCAILTIYYGHLETVPYTKRSHLILLSPSVERQLGEHGFQQMKDSLKGKILPAIHPDSVRVRLIAKDIIEALQRGLRHDTRQWGDLGYASEVPEWEVDPEKSKETLLALRQGDEAKHKKKEGWSSEDEILDDKWVHTSRKKAAETHPQTRHLEGLNWEVLVVKDKTVNAFCLPGGKIVVFTGLLDHFRTDAEIATVLGHEVAHAIARHSAEMITKSLWLAIVQLILLQFFFMPDLINAMSTLLLRLPFSRRMEIEADYVGLLLMASAGYDPRVAPGVYERLGQIGGESLLNDYISTHPSSKTRAKLLSQAQVMEEALSVYRDAVSGHAVSGFL >DRNTG_15772.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17468119:17472991:-1 gene:DRNTG_15772 transcript:DRNTG_15772.12 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLRRSLPLLCRSISSKITSPKPSPPLFSKSISNPNPIPNPSSQWRRFYYFDRGQLQHFRRRSSRRWYQNSGTILIVVVLSGCAILTIYYGHLETVPYTKRSHLILLSPSVERQLGEHGFQQMKDSLKGKILPAIHPDSVRVRLIAKDIIEALQRGLRHDTRQWGDLGYASEVPEWEVDPEKSKETLLALRQGDEAKHKKKEGWSSEDEILDDKWVHTSRKKAAETHPQTRHLEGLNWEVLVVKDKTVNAFCLPGGKIVVFTGLLDHFRTDAEIATVLGHEVAHAIARHSAEMITKSLWLAIVQLILLQFFFMPDLINAMSTLLLRLPFSRRMEIEADYVGLLLMASAGYDPRVAPGVYERLGQIGGESLLNDYISTHPSSKTRAKLLSQAQVMEEALSVYRDAVSGHAVSGFL >DRNTG_15772.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17468119:17472079:-1 gene:DRNTG_15772 transcript:DRNTG_15772.14 gene_biotype:protein_coding transcript_biotype:protein_coding MITKSLWLAIVQLILLQFFFMPDLINAMSTLLLRLPFSRRMEIEADYVGLLLMASAGYDPRVAPGVYERLGQIGGESLLNDYISTHPSSKTRAKLLSQAQVMEEALSVYRDAVSGHAVSGFL >DRNTG_15772.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17467745:17472079:-1 gene:DRNTG_15772 transcript:DRNTG_15772.13 gene_biotype:protein_coding transcript_biotype:protein_coding MITKSLWLAIVQLILLQFFFMPDLINAMSTLLLRLPFSRRMEIEADYVGLLLMASAGYDPRVAPGVYERLGQIGGESLLNDYISTHPSSKTRAKLLSQAQVMEEALSVYRDAVSGHAVSGFL >DRNTG_15772.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17467745:17472991:-1 gene:DRNTG_15772 transcript:DRNTG_15772.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLRRSLPLLCRSISSKITSPKPSPPLFSKSISNPNPIPNPSSQWRRFYYFDRGQLQHFRRRSSRRWYQNSGTILIVVVLSGCAILTIYYGHLETVPYTKRSHLILLSPSVERQLGEHGFQQMKDSLKGKILPAIHPDSVRVRLIAKDIIEALQRGLRHDTRQWGDLGYASEVPEWEVDPEKSKETLLALRQGDEAKHKKKEGWSSEDEILDDKWVHTSRKKAAETHPQTRHLEGLNWEVLVVKDKTVNAFCLPGGKIVVFTGLLDHFRTDAEIATVLGHEVAHAIARHSAEMITKSLWLAIVQLILLQFFFMPDLINAMSTLLLRLPFSRRMEIEADYVGLLLMASAGYDPRVAPGVYERLGQIGGESLLNDYISTHPSSKTRAKLLSQAQVMEEALSVYRDAVSGHAVSGFL >DRNTG_15772.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17467745:17473061:-1 gene:DRNTG_15772 transcript:DRNTG_15772.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLRRSLPLLCRSISSKITSPKPSPPLFSKSISNPNPIPNPSSQWRRFYYFDRGQLQHFRRRSSRRWYQNSGTILIVVVLSGCAILTIYYGHLETVPYTKRSHLILLSPSVERQLGEHGFQQMKDSLKGKILPAIHPDSVRVRLIAKDIIEALQRGLRHDTRQWGDLGYASEVPEWEVDPEKSKETLLALRQGDEAKHKKKEGWSSEDEILDDKWVHTSRKKAAETHPQTRHLEGLNWEVLVVKDKTVNAFCLPGGKIVVFTGLLDHFRTDAEIATVLGHEVAHAIARHSAEMITKSLWLAIVQLILLQFFFMPDLINAMSTLLLRLPFSRRMEIEADYVGLLLMASAGYDPRVAPGVYERLGQIGGESLLNDYISTHPSSKTRAKLLSQAQVMEEALSVYRDAVSGHAVSGFL >DRNTG_15772.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17468045:17472991:-1 gene:DRNTG_15772 transcript:DRNTG_15772.10 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLRRSLPLLCRSISSKITSPKPSPPLFSKSISNPNPIPNPSSQWRRFYYFDRGQLQHFRRRSSRRWYQNSGTILIVVVLSGCAILTIYYGHLETVPYTKRSHLILLSPSVERQLGEHGFQQMKDSLKGKILPAIHPDSVRVRLIAKDIIEALQRGLRHDTRQWGDLGYASEVPEWEVDPEKSKETLLALRQGDEAKHKKKEGWSSEDEILDDKWVHTSRKKAAETHPQTRHLEGLNWEVLVVKDKTVNAFCLPGGKIVVFTGLLDHFRTDAEIATVLGHEVAHAIARHSAEMITKSLWLAIVQLILLQFFFMPDLINAMSTLLLRLPFSRRMEIEADYVGLLLMASAGYDPRVAPGVYERLGQIGGESLLNDYISTHPSSKTRAKLLSQAQVMEEALSVYRDAVSGHAVSGFL >DRNTG_15772.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17468119:17473061:-1 gene:DRNTG_15772 transcript:DRNTG_15772.11 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLRRSLPLLCRSISSKITSPKPSPPLFSKSISNPNPIPNPSSQWRRFYYFDRGQLQHFRRRSSRRWYQNSGTILIVVVLSGCAILTIYYGHLETVPYTKRSHLILLSPSVERQLGEHGFQQMKDSLKGKILPAIHPDSVRVRLIAKDIIEALQRGLRHDTRQWGDLGYASEVPEWEVDPEKSKETLLALRQGDEAKHKKKEGWSSEDEILDDKWVHTSRKKAAETHPQTRHLEGLNWEVLVVKDKTVNAFCLPGGKIVVFTGLLDHFRTDAEIATVLGHEVAHAIARHSAEMITKSLWLAIVQLILLQFFFMPDLINAMSTLLLRLPFSRRMEIEADYVGLLLMASAGYDPRVAPGVYERLGQIGGESLLNDYISTHPSSKTRAKLLSQAQVMEEALSVYRDAVSGHAVSGFL >DRNTG_15772.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17468119:17473134:-1 gene:DRNTG_15772 transcript:DRNTG_15772.8 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLRRSLPLLCRSISSKITSPKPSPPLFSKSISNPNPIPNPSSQWRRFYYFDRGQLQHFRRRSSRRWYQNSGTILIVVVLSGCAILTIYYGHLETVPYTKRSHLILLSPSVERQLGEHGFQQMKDSLKGKILPAIHPDSVRVRLIAKDIIEALQRGLRHDTRQWGDLGYASEVPEWEVDPEKSKETLLALRQGDEAKHKKKEGWSSEDEILDDKWVHTSRKKAAETHPQTRHLEGLNWEVLVVKDKTVNAFCLPGGKIVVFTGLLDHFRTDAEIATVLGHEVAHAIARHSAEMITKSLWLAIVQLILLQFFFMPDLINAMSTLLLRLPFSRRMEIEADYVGLLLMASAGYDPRVAPGVYERLGQIGGESLLNDYISTHPSSKTRAKLLSQAQVMEEALSVYRDAVSGHAVSGFL >DRNTG_15772.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17468045:17473061:-1 gene:DRNTG_15772 transcript:DRNTG_15772.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLRRSLPLLCRSISSKITSPKPSPPLFSKSISNPNPIPNPSSQWRRFYYFDRGQLQHFRRRSSRRWYQNSGTILIVVVLSGCAILTIYYGHLETVPYTKRSHLILLSPSVERQLGEHGFQQMKDSLKGKILPAIHPDSVRVRLIAKDIIEALQRGLRHDTRQWGDLGYASEVPEWEVDPEKSKETLLALRQGDEAKHKKKEGWSSEDEILDDKWVHTSRKKAAETHPQTRHLEGLNWEVLVVKDKTVNAFCLPGGKIVVFTGLLDHFRTDAEIATVLGHEVAHAIARHSAEMITKSLWLAIVQLILLQFFFMPDLINAMSTLLLRLPFSRRMEIEADYVGLLLMASAGYDPRVAPGVYERLGQIGGESLLNDYISTHPSSKTRAKLLSQAQVMEEALSVYRDAVSGHAVSGFL >DRNTG_16723.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000844.1:16901:23277:1 gene:DRNTG_16723 transcript:DRNTG_16723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITWQETQDPGRRDKQQNRGLNPRKSPSSRSSSGHSRGEHQSRPNSRPPAPSSPSGRRGSASSVPQEIRYPTCGGRHSQSECRRAGRTCFRCGSQEHFVAQCPQTPPWIQGGDGTQTVAVEPPRSSGGSRQRYRTCVSVPTAVEDPAPAVDILFCSDFTVDDPALALVAHVLCEFSFHRRRSSLVSVGYSGASSVVVICQYLFLQVVNLVRYFILYEVDSDQVNLRPCTQWGPVSLDAADLSVH >DRNTG_03149.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21362353:21362901:-1 gene:DRNTG_03149 transcript:DRNTG_03149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINVKNKNLSYFVEWIPNNVKSTNDLSDHFTNNLSLMFTFFLDHQQQLHLFSTPSDSSSSSKSQDQSPIEFTVMRFEEEDDEEGCKGLRRRERMNCAPTSHADEYTNKTINDDLIFQQWLLMVKWIIKYAKAIELQNEDIFLSISLLDRLLSEGYFTIDKNLLLLGTLDFPSILLSVLMVII >DRNTG_01013.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17995478:17996755:1 gene:DRNTG_01013 transcript:DRNTG_01013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAKNTNVGILIPNDFRCPISLELMKDPVTLPTGITYDRQSIERWLETGSRTCPVSNKVLDEYATDEDIIIPNHSIRRMIQDWCVANQSFGIERIPTPPIPITLAIAVEMLTKIASAARDQDRNRCGILVRNLVASTKKCERNKRYIEGAGADHVLATSFEVFAKDDSVVAEVESSKNVFFEEILSALTLVFPLDKEALRHIGSPESLDRIVSILKFGTIAGRLNAALVVKELVSSGKECLVGGTQGLVQALTKLIREPVSPQITKASLTGIYYMVSADEKLASEFAEMNLVSLLVEKLVDLDKSTCEKVLAVLDGIFGSETGREKGREHVLTIPVLVKKMFRVSAMATEFAVSALWRLCKALKEECLIEAVQVGAFQKLLLLLQVGCCEITKEKVSELLKLMNGYRELECIETMDFKGLKRSF >DRNTG_14030.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27572751:27575457:-1 gene:DRNTG_14030 transcript:DRNTG_14030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKSCELEEEFQSCCGEDEEWQDSEECLDEGFVEEIDEFSVRMFFKGVSVSKGEGLGAGVSGIGVVMERPVGGAALQVQKKLDFFVEHAVAEHLALMDGLVEALANGVQRVFAYTDSQQVYDQIAKAEILEDPLLVALGLRILEHADKLETFNLKLVPSSDLKLPLRMALEATGVYNGPFGICGMCCETKQHSETIKLNCSHQFCYDCLVMYVEDGVQTSQSPISSLMASSSSQSTTGCLECPQCHTLACVNCGAPWHYTRTCEEYYNLAAEDGEIDDPDLGQLAQSNNWRRCQQCRQLIELTDGCHHMICRCGHEFCFSCGAEYREGLQSCQCALSDEDNIEFPTNPSIDESNLWVPAVMDAYSEQERAQLALIQRFLAGGFGLSDHQPCQSPPPCSDSYMDTIKDLYQLPWLERFVSVISDSYNDEHIQ >DRNTG_14030.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27572751:27575457:-1 gene:DRNTG_14030 transcript:DRNTG_14030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGWGSEEMEGGKSCELEEEFQSCCGEDEEWQDSEECLDEGFVEEIDEFSVRMFFKGVSVSKGEGLGAGVSGIGVVMERPVGGAALQVQKKLDFFVEHAVAEHLALMDGLVEALANGVQRVFAYTDSQQVYDQIAKAEILEDPLLVALGLRILEHADKLETFNLKLVPSSDLKLPLRMALEATGVYNGPFGICGMCCETKQHSETIKLNCSHQFCYDCLVMYVEDGVQTSQSPISSLMASSSSQSTTGCLECPQCHTLACVNCGAPWHYTRTCEEYYNLAAEDGEIDDPDLGQLAQSNNWRRCQQCRQLIELTDGCHHMICRCGHEFCFSCGAEYREGLQSCQCALSDEDNIEFPTNPSIDESNLWVPAVMDAYSEQERAQLALIQRFLAGGFGLSDHQPCQSPPPCSDSYMDTIKDLYQLPWLERFVSVISDSYNDEHIQ >DRNTG_14030.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27572751:27575457:-1 gene:DRNTG_14030 transcript:DRNTG_14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKSCELEEEFQSCCGEDEEWQDSEECLDEGFVEEIDEFSVRMFFKGVSVSKGEGLGAGVSGIGVVMERPVGGAALQVQKKLDFFVEHAVAEHLALMDGLVEALANGVQRVFAYTDSQQVYDQIAKAEILEDPLLVALGLRILEHADKLETFNLKLVPSSDLKLPLRMALEATGVYNGPFGICGMCCETKQHSETIKLNCSHQFCYDCLVMYVEDGVQTSQSPISSLMASSSSQSTTGCLECPQCHTLACVNCGAPWHYTRTCEEYYNLAAEDGEIDDPDLGQLAQSNNWRRCQQCRQLIELTDGCHHMICRCGHEFCFSCGAEYREGLQSCQCALSDEDNIEFPTNPSIDESNLWVPAVMDAYSEQERAQLALIQRFLAGGFGLSDHQPCQSPPPCSDSYMDTIKDLYQLPWLERFVSVISDSYNDEHIQ >DRNTG_13821.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6271492:6271946:1 gene:DRNTG_13821 transcript:DRNTG_13821.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYQREESQEKTWTGRRQASEVPKHMSSRASLRHHLHHLEFRSHSILDAISLQLGIGSDPLPPCFLKAGLKARRSRRSKEPSGEAKVEAIERSMKRRTMEDVVRRREAIGAVTKLRDRVLSVDR >DRNTG_13821.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6268949:6271946:1 gene:DRNTG_13821 transcript:DRNTG_13821.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPEFLQSMSYCHGKSSGRGGARPQRCRSTCRQERHCGTICTTWSFARTRSSTPSPCSWGSARIPCRPVSSKRD >DRNTG_24033.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26670147:26672956:1 gene:DRNTG_24033 transcript:DRNTG_24033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSIGILGMMELYVSSMVHLLYGFYIFSTAVAWDMSQSITGRFRLSNSNNERQSNGTVPLDGSVPPIVLVHGIFGFGKGRLGGLSYFAGAEMKDDHVLVPDLGSLTSIYDRARELFYYLKGGRVDYGEEHSRACGHSRFGRVYDQGHYPIWDDQHPIHVVGHSAGAQVIRVLQQMLADKAFEGYENTNEDWVLSVTSLSGALNGTTRTYFDGMQPEDGRTMKPISLLQLCRIGVIVYDWLNISWLKKYYNFGFDHFEMEWRKAGVSGLADLLLGNSGPFASGDWILPDLTLQGSLNLNSNLQTFPNTFYFSYATKRTRKFFGVTLPSSLLGIHPLLFIRVLQMTQWRHPSDVPLPYKGYRDEDWEDNDGALNTISMTHPRIPVEHPSHFIDDDSECHPLQPGIWYYNKIVEADHIFFVINRDRAGVQFDVLYDSIFQRCRKHLFRTTSPPTLPNQIIS >DRNTG_24033.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26671329:26672956:1 gene:DRNTG_24033 transcript:DRNTG_24033.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPEDGRTMKPISLLQLCRIGVIVYDWLNISWLKKYYNFGFDHFEMEWRKAGVSGLADLLLGNSGPFASGDWILPDLTLQGSLNLNSNLQTFPNTFYFSYATKRTRKFFGVTLPSSLLGIHPLLFIRVLQMTQWRHPSDVPLPYKGYRDEDWEDNDGALNTISMTHPRIPVEHPSHFIDDDSECHPLQPGIWFVFCLFIYLYVSEEFLNSTFFFFC >DRNTG_18241.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:21575969:21576636:1 gene:DRNTG_18241 transcript:DRNTG_18241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARRRHRKHSKTVEGVRKLIIQREHFPHARGVVLRAHPEKTQGREIVPVSDPAIITRPAVWNFRTGVCRSTET >DRNTG_05700.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1743700:1745312:-1 gene:DRNTG_05700 transcript:DRNTG_05700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKSVDDSLRLWIKGRKFSIQGLLGVGLSSEAFMHGSLVIFRLAPQDYHRFHLPVSGVIESFLEIPGCLYTVNPIAVNSKYCNVFTENKRTVSIISTKEFGKVAFVAIGATMVGSMTFVRKQGDYVHKGDEFGYFSFGGSTVICIFEKDAIQIDEDLVANSERSLETLVSVGMKLGISTRKETQEELPSLEKCSIEA >DRNTG_05700.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1743700:1750619:-1 gene:DRNTG_05700 transcript:DRNTG_05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNESSRAGSGGGDDDAPEPSFLSRFRRRLHRRRNPGSLTVDAPLDFAGIARIEIISAEMQFKDRWLACLSLGERTYKTVISDQTDKPEWKSEKKVLLEKHGPHIARISVFETNRLSKNNFVGYCEIDLLEVLNKDSEDNIEVIHLLDPSSSDKTVGSLTISCYVEDPIETEKSFARRVLAVVDYNEDGKLSYSEFSDLINAFGNRVAARKKEELFNQADKNGDGVVDMDELATLLAIQQEKESLISSCPVCGETLEKLNRINAVIHLTLCFDEGTGNQIMTGGFLTDKQASYGWMFKLSEWAHFSSYDVGLNSGSSASHILVIDRRTKRLVEELIDGKIVMSMRAIYQSKVGLILIDTGAKEILQNLSEKQGRQMNSLESAKDIPKFLEFFKDQIRLDEIKYPIEHFKTFNEFFIRELKPGARPIAHEDDGNIAVCAADSRLMAFKSVDDSLRLWIKGRKFSIQGLLGVGLSSEAFMHGSLVIFRLAPQDYHRFHLPVSGVIESFLEIPGCLYTVNPIAVNSKYCNVFTENKRTVSIISTKEFGKVAFVAIGATMVGSMTFVRKQGDYVHKGDEFGYFSFGGSTVICIFEKDAIQIDEDLVANSERSLETLVSVGMKLGISTRKETQEELPSLEKCSIEA >DRNTG_27636.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18637092:18637393:1 gene:DRNTG_27636 transcript:DRNTG_27636.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNKESSNPPPSLRINHRCSNHPLLQSGGPFHRKKPFLD >DRNTG_27636.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18633048:18639505:1 gene:DRNTG_27636 transcript:DRNTG_27636.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEFVQSSVFTVVVLWALIDFLNFRREHEAHNHLILFTSQTLDKVTLLSLSWLFAAVFAFYCKIRSRSCCRHCKWPLVLVSWWIFSLFFDPVSIYKSRTNVVLGVVIFILTAYLCFIAIFMNSGEPLRELRQPLLVEGDKEVFGDAGFFSRVLFQWLNPVFEIGKRRRLELHHIPPVPEDETAEKSYCLLQDSLFMQKTEVSSLPRAIIHSVWKPLAINALFAGINTLCSYIGPFLITTFVEFLSGFNQSKWNGSYGYILAFLFFLGKTVESLSQRQWYFGARQIGIRARASLMVSIYNRSLTLKYSTLSNGKVMNFLNVDVERIGDFFWYFHSIWLLPFQVSLALVILYRNLGAAASFSAFIATILIMISNTPLANSQERLHSMIMEAKDSRIKTTAETLKCMRILKLHSWENAYLNKILKLRDLERKWLKRYLYTCSAIAFLFWASPMLVSVVTFGVCILVKMPLTPGSVLSALATFRILQDPIYNLPELVSVITQTKVSFDRIQDFVTEEQQKNSRSNFSKRGSNIAIEIEPGEYAWEADSNTKKATLKIDRKLMIMRGQMVAVCGSVGSGKSSLLCSIIGEIPKIGRAGINVFGSTAYVPQSAWIQTGTVRDNVLFGKHMEQRLYDEVIQGCALDRDIGLWIDGDMTLIGERGINLSGGQKQRIQLARAIYSDSDIYLLDDPFSAVDAHTGAHLFKECLMRLLACKTVFFVTHQLEFLLAADLVLVMRDGKIVQSGKYEELIADTDGELMQHMAAHKQSLSQVTPSSKNA >DRNTG_27636.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18637092:18638568:1 gene:DRNTG_27636 transcript:DRNTG_27636.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPVSHSTTFATMEWLSVRINFLFNLVLFFVLIILVTLPKNAIDPSLAGLAATYGLNLNVLQAWVIWNLCNVENKMISVERILQFSGIPSEAPTVIDDCQLEEDWPTCGTIEFDDLHVSYNPRLPKVLRGISCTFPGQRKIGVVGRTGSGKSTLIQALFRMVEPLEGKILIDGVNILKIGLHDLRSRLSIIPQDPTLFQGTVRTNLDPLQQHSDSELWEALRKCHLWEIVKQDHRLLDAPVAEDGENWSVGQRQLVCLARVLLQKRRILVLDEATASVDTATDNLIQKTIREETSNCTIITVAHRIPTVIDSDLVLVLDEG >DRNTG_27636.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18637092:18639505:1 gene:DRNTG_27636 transcript:DRNTG_27636.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPVSHSTTFATMEWLSVRINFLFNLVLFFVLIILVTLPKNAIDPSLAGLAATYGLNLNVLQAWVIWNLCNVENKMISVERILQFSGIPSEAPTVIDDCQLEEDWPTCGTIEFDDLHVSYNPRLPKVLRGISCTFPGQRKIGVVGRTGSGKSTLIQALFRMVEPLEGKILIDGVNILKIGLHDLRSRLSIIPQDPTLFQGTVRTNLDPLQQHSDSELWEALRKCHLWEIVKQDHRLLDAPVAEDGENWSVGQRQLVCLARVLLQKRRILVLDEATASVDTATDNLIQKTIREETSNCTIITVAHRIPTVIDSDLVLVLDEGRILEFDSPNNLLRDSSSAFSKLVIEYLGRNQRDNGFEFT >DRNTG_27636.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18634177:18637036:1 gene:DRNTG_27636 transcript:DRNTG_27636.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIYNRSLTLKYSTLSNGKVMNFLNVDVERIGDFFWYFHSIWLLPFQVSLALVILYRNLGAAASFSAFIATILIMISNTPLANSQERLHSMIMEAKDSRIKTTAETLKCMRILKLHSWENAYLNKILKLRDLERKWLKRYLYTCSAIAFLFWASPMLVSVVTFGVCILVKMPLTPGSVLSALATFRILQDPIYNLPELVSVITQTKVSFDRIQDFVTEEQQKNSRSNFSKRGSNIAIEIEPGEYAWEADSNTKKATLKIDRKLMIMRGQMVAVCGSVGSGKSSLLCSIIGEIPKIGRAGINVFGSTAYVPQSAWIQTGTVRDNVLFGKHMEQRLYDEVIQGCALDRDIGLWIDGDMTLIGERGINLSGGQKQRIQLARAIYSDSDIYLLDDPFSAVDAHTGAHLFKECLMRLLACKTVFFVTHQLEFLLAADLVLVMRDGKIVQSGKYEELIADTDGELMQHMAAHKQSLSQVTPSSKNA >DRNTG_09155.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7012162:7021172:-1 gene:DRNTG_09155 transcript:DRNTG_09155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVAPPTCTREVEDVEILLERGEGDEEMEEEPLLGTLWIWNFVLYNLLKLENQSPFFVLTILFDLVMKVSSRQVELKRSSRLSEDACYVTKLVHFFLVYEGEVVEAVEFWCVYEGSVKVVVMEGYEHWSYRDEGIDLVNLVNLAFKLFSDIRPSINSQTYPVLPPANQVHHLLHLFHSTLVPSVSHNVLDITQAVNAPKRIPNATELQQAGNCLFDHTNTVFSNLIAFERCYPDTRTYVTIYAAFMDCIIDTPKDVLLLHLNGILTNGLNTDEAAANLFKKLCYQIHYASDTNYLHELFFDGNKYNDSRWNQWRARLMCPNRQDREMLIHNVNARLPTWKARCFP >DRNTG_27168.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:715683:718293:1 gene:DRNTG_27168 transcript:DRNTG_27168.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINGPRERRSSGLKAWSNRRRSVEN >DRNTG_27168.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:715683:718293:1 gene:DRNTG_27168 transcript:DRNTG_27168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINGPRERRSSGLKAWSNRRRSSPPVLWSLRWGISLRNKLRNPMGFS >DRNTG_13849.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13203246:13204202:1 gene:DRNTG_13849 transcript:DRNTG_13849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDATLSRRAAKDGREQMMNNNSGEKMNQTPPAAGMRWSFSYIVRLLGQHPSLCLFGLSLLFFMGVEYTIRMIPSTSPPFDIGFVLTHSLNNFLSTHPSLNSVLAALNTVFVVMQTTYIIWTLLMEGRPRATISALFMFTCRGILGCSTQLPLPKDFLGSGVDFPVGNVSFFLFFSGHVAGSVIASVDMRRMKRYQMARCFDALNALQALRLLATRGHYTIDLAVGVGAGLLFDNLAGKYERGSAKSKHGGSCCCNCGFFT >DRNTG_02688.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19773016:19773327:-1 gene:DRNTG_02688 transcript:DRNTG_02688.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQHQQHEVHQGRFKDNTQPQGFNTPYHIPKASIRVCLNDSKFNTNMR >DRNTG_05676.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:95449:98758:-1 gene:DRNTG_05676 transcript:DRNTG_05676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGKEDEEKQGMVAEFGKRAAKEEEGRTVMVGVRMDSQSRELLTWALVKVAAPGDRVIALHVLPSSSIEVSEKNGKCSSLISLVKSFDSVLAVYEGFCNLKQIDLKLKICRGSSIRKALIREAKAYSATKLILGVAKNPSILGSSWISIAKHCAKKLPLECSVLAVNNGKVVYQREALPASQCQEKNKADNKNKSGRNSSAPERKEERSLALVPMKKSLEMRPGWSLLRRAIMNDRKTSTEKSKISVFQWAMWLPSRWSFSSSIHPDRKPTNPELDPSVNCNEENNVDEDEVKILEELQSLQVKYSSICRLFSYQDLMDATSNFSTENLVGKGGSSMVYKGCLSDGRELAVKILKPSEDALKEFTSEIEIITSLNHKNIISLLGFCFENNNLVLVYDFLSRGSLEECLHGEQESKIGLKWIDRYKVAVGIAEALDYLHGSGNAQPAIHRDVKSSNILLTSDFEPQLSDFGLAKWATASTSSIACRDVAGTFGYLAPEYFMYGKVTEKIDVYAFGVVLLELLSGKKPINTGCSKDQESLVMWAKKILQEGKAKQLVDGSLGDDYDSDQMDRMILAATLCIQRAPHSRPRISIVLKLLQGDDDVLKWARSQVSASECSDDLDEVTNPTPDIQSYINLALQDIDEDSHSVSSTEPSVDFITGNTSLEDYLQGRWSRSSSFD >DRNTG_08313.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5695989:5709115:1 gene:DRNTG_08313 transcript:DRNTG_08313.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLKSLQLFTPLHSQLRPSRRSSLSPFTRQIGADESSPNSSLPKETRC >DRNTG_15064.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13179763:13180416:1 gene:DRNTG_15064 transcript:DRNTG_15064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPDSSALWLHQQGLLKNELTSARDSSNTTALGAAIERAMASYEAYYSARAESIHADPVRFFCAPCATPLERATQWMAGWRPSVFIHLLYSESGIRLQAQLNDLLNGVHSGDLGDLSPRQLGKVDEVQRRTIREEEEISQEMGELQLGVGEGDWDLEEKVGTLETIIQKADDLRLRTLKDIVDFLEPVQVVDLLVAAADFEIGMRCVSIDRNITGQ >DRNTG_17923.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:203059:209174:1 gene:DRNTG_17923 transcript:DRNTG_17923.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin ligase SUD1 [Source:Projected from Arabidopsis thaliana (AT4G34100) UniProtKB/Swiss-Prot;Acc:F4JKK0] MEDEVVAGLAMESSSSSAAAAAAVEGVGMRYEDDEDEGDVCRICRNPGDAENPLRYPCACSGSIKYVHQECLLQWLNHSNARQCEVCKHAFAFSPVYADNAPVRLPFQEFVFGMTMKAFHVLQFFLRLAFVLSVWLLIIPFITFWIWRLTFVRSLGEAQRLFMSRVTAPLVLTDCLHGFLLSASIVFIFLGATSLRDYFRNLRDLGQDAEREDDGHERHGARAVRRLPGAANRIPAVDGNADDAGGGIAGAGQMIRRNAENVAARLEMQAARLEAHVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAITVLASNAIFLGVVILIPFSLGRIVSYNLSWFLSSASSPMLATVMPLKESALSLANITLTNAIGAFKNLSSESHNDSVLGHVVEAVAETVKVNGTGIDNLASIISRHASPDISKGTDLGSSRLSDVTTLAIGYLFIFCLVFLYFGFVGLLRYARGERFTFGRLYGIASIVEAIPSLCRQFWGGMRHLMTMVKVAFLLVIELGVFPLMCGWWLDVCTIRMLGTSITQRIEFFSVSPLASSLLHWLVGIIYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRLAPSIFPLDITVSDPFTEIPADMLLFQICIPFAIEHFKPRATVKAVLRHWFMAVGWALGLTDFLLPGPEENAANENANAEHARRDRWHDGIQGGGQNDHPLAPVLIADDHNRGGRAIGSNDVADDSEGYDQADSEYGFVVRIVLLLVLAWMTLLLFNSTLIVLPISLGRALFNAFPRLPFAHGIKCNDLYAFNIGCYVIWTLATGIRYSIDYIRTRRAGILASQIWKWCGIIVKSSVLLSIWIIVIPVLIGLLFELLVIVPMRVPVDESPVFLLYQDWALGLIFLKIWTRLVMLDQMAPLVDETWRVKFERVREDGFSRLRGLWVMREIVIPILMKLLTALCVPYVFARGVFPMLGYPLIVNSAVYRFAWLACLFFTVFCFCARRFHVWFTNLHNSIRDDRYLIGRRLHNFGEESRMSTGVESETILQDFNGDEPHQDADVRLRLRRPNQRPMGLVG >DRNTG_19682.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4656868:4663316:-1 gene:DRNTG_19682 transcript:DRNTG_19682.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELELQWDGNPNIVLDIRTKLGVALPIQVKNIGFTGVFRLIFKPLVEEFPCFGAVCYSLREKKKLDFTLKVVGGDLSSIPGVSGAIEETIRNAIEDTLTWPVRKVVPILPGDYSDLELKPEGVLEVKLVQAKGLTNKDLIGKSDPFAVVYIRPLPDRMKKSKTINNDLNPIWNEHFEFIVEDASTQNLILKVYDDEGIQAAELIGCSQVRLKDLQPGKVKDIWLDLVKDLEIQRDRKNRGQVHLELLYCPYGMENGFTNPFALQNFSMTSLEKVLKSGSNGTDAADIEKQSTNKKKEVIVRGVLSVTVISAEDLPAMDLMGKADPYVVLSMKKTETKFKTRVVNESLNPTWNQNFDFVVEDGLHDMLILEVWDHDTFGKDFMGRCIMTLTRVILER >DRNTG_19682.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4656868:4663316:-1 gene:DRNTG_19682 transcript:DRNTG_19682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFLGLVLGVAVGVGLIIAFVRSENSRSKRRTELAATIAAFARMTVEDSRKILPTEYYPSWVVFSQRQKLNWLNLELAKIWPYINEAASDLIRTSVEPVLEQYKSIVLSSLKFSKLTLGTVAPQFTGVSIVEDDGSGITMELELQWDGNPNIVLDIRTKLGVALPIQVKNIGFTGVFRLIFKPLVEEFPCFGAVCYSLREKKKLDFTLKVVGGDLSSIPGVSGAIEETIRNAIEDTLTWPVRKVVPILPGDYSDLELKPEGVLEVKLVQAKGLTNKDLIGKSDPFAVVYIRPLPDRMKKSKTINNDLNPIWNEHFEFIVEDASTQNLILKVYDDEGIQAAELIGCSQVRLKDLQPGKVKDIWLDLVKDLEIQRDRKNRGQVHLELLYCPYGMENGFTNPFALQNFSMTSLEKVLKSGSNGTDAADIEKQSTNKKKEVIVRGVLSVTVISAEDLPAMDLMGKADPYVVLSMKKTETKFKTRVVNESLNPTWNQNFDFVVEDGLHDMLILEVWDHDTFGKDFMGRCIMTLTRVILER >DRNTG_19682.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4656868:4663316:-1 gene:DRNTG_19682 transcript:DRNTG_19682.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELELQWDGNPNIVLDIRTKLGVALPIQVKNIGFTGVFRLIFKPLVEEFPCFGAVCYSLREKKKLDFTLKVVGGDLSSIPGVSGAIEETIRNAIEDTLTWPVRKVVPILPGDYSDLELKPEGVLEVKLVQAKGLTNKDLIGKSDPFAVVYIRPLPDRMKKSKTINNDLNPIWNEHFEFIVEDASTQNLILKVYDDEGIQAAELIGCSQVRLKDLQPGKVKDIWLDLVKDLEIQRDRKNRGQVHLELLYCPYGMENGFTNPFALQNFSMTSLEKVLKSGSNGTDAADIEKQSTNKKKEVIVRGVLSVTVISAEDLPAMDLMGKADPYVVLSMKKTETKFKTRVVNESLNPTWNQNFDFVVEDGLHDMLILEVWDHDTFGKDFMGRCIMTLTRVILER >DRNTG_32351.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001828.1:62541:63149:-1 gene:DRNTG_32351 transcript:DRNTG_32351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMLVNPSLSRLKLPPNPILIPISKPSLRFLLTVSSLLSAPPFSKTSSLPPAPETMTRTMIPLLTARSTRSSEAAQSLRRSSTTMAPSPLPPPLSTSSNGKTAMNLLGFHPHRSPPTSSPSTKPHGGQQPRRPTRPPSLLSSRTKPQSGIRTRRTPTGARHCISRRG >DRNTG_08905.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27499008:27501709:1 gene:DRNTG_08905 transcript:DRNTG_08905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESFELRVKRLFGSHLFDTVPNSSFPTSSWSVASGEVERREWNRERGADLEREDIPCASAFDEGGCFSKKYKGGRGRKENFEADLDDLGDDDDDDDDDEEEGKGEDAEEREIRSSVGLDPTLDNEDEEDEYDKAAFFKDDMDERVYMRDVKDHGPHLSYYSIISDPFDESFEEINPYQRDPRADHFAARNRINEDKEAAITDPHVNTDKPAMDLQGKITEVDAGLKPILKRKEVVVNPRPKKRVRFDPGCENNHDKVPHEVQDFHMVPQSMETTAVSDEDSTLHQETTPAVPDYIKNPAKYTRYTFDSSDDFDDRANRSAFEDFRNLLKQSKPENLEPEFTLDLPHSILFTPQKKKAGDPMSVDDSQKILVMGKEPEHNLVHTMGIAAGEAQENDACEMEEDDITERNLNARKADRKYRSKTSTSDPA >DRNTG_35193.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20920377:20925304:-1 gene:DRNTG_35193 transcript:DRNTG_35193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFLNCRMDSTVVWKEMVTDDVMDAFICIIQKSLSKVPYLYKKRASITRPLALFMLKQDDAHETTMTMVGDAVHSLHEVQIVILPIIMNGHFHVVLAMINKNTCIILHARGTKKTRWTCSASRRPQSTHSFTTWKPHAKNKEASLAPSMSCGLSSNYFGDAQAAFSSQAVSNEGSQFSSEGLSSSGMGNIAFLYASL >DRNTG_17979.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5502331:5503406:-1 gene:DRNTG_17979 transcript:DRNTG_17979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGIFRAPVWFAFREIECYPESTQGSAFALWLSPVEAHRCGALSHHFLTSHCRFGRIFTWFSDLFKIFNLNSSLLSTREPVIREITLEVLSLFEFDRSFARFDSLDTVQFRAFGHYHIMSITKFSVRLGLYEEAFIDTKEYSQLPTDYP >DRNTG_09205.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4644856:4645500:-1 gene:DRNTG_09205 transcript:DRNTG_09205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHWSNYLFRGDHWGEMYSNVAESFNAWIKEARHLPVTKMVDSIRFKLMRMLCNRREQANKWETYLCPDIHLKVEIIVEDSRNLRVGRCVDDRYEVIDQCSNSVDLAIRTCSRRR >DRNTG_09144.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26884994:26886503:1 gene:DRNTG_09144 transcript:DRNTG_09144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAAGIFIPELLTKLGILNTPSWYTAGSLEYFTDTTTLFIIELILIGWAEGRRWADILKPGCVNVDPIFPNNKLTGTDVGYPGGLWFDPLGWGTGSPEKIKELRTKEIKNGRLAMLAVMGAWFQAIYTGTGPIDNLFAHLADPGHATVFAAFTPK >DRNTG_01805.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3158727:3161354:-1 gene:DRNTG_01805 transcript:DRNTG_01805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIAELKAAGATWIQFDEPTLVLDLQSHQLQAFTEAYSELESSFSGLNVLIETYFADVPAEAFKTITGLSGISGIGFDLVRGTQTLDLIKGGFPSGKFLFAGVVDGRNIWANDLASSLSTLEALEAIVGKDKLVVSTSCSLMHSAVDLVNETKLDSEIKSWFSFAAQKVVEVNALAKALAGTKDEAFFSSNVAAQASRKSSPRVNNEEVQKAAAALKGSDHRRATPVSARLDAQQKNLNLPILPTATISSFPQTMD >DRNTG_01805.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3158727:3161354:-1 gene:DRNTG_01805 transcript:DRNTG_01805.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLRHLSQWPCLSHEFFLCFPVCNLISPLCCFRTITGLSGISGIGFDLVRGTQTLDLIKGGFPSGKFLFAGVVDGRNIWANDLASSLSTLEALEAIVGKDKLVVSTSCSLMHSAVDLVNETKLDSEIKSWFSFAAQKVVEVNALAKALAGTKDEAFFSSNVAAQASRKSSPRVNNEEVQKAAAALKGSDHRRATPVSARLDAQQKNLNLPILPTATISSFPQTMD >DRNTG_01805.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3159485:3161354:-1 gene:DRNTG_01805 transcript:DRNTG_01805.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAVPPKYGWTGGEIGFDIYFSMARGNLSQPAMEMTKWFDTNYHFIVPELGPETKFSYASHKAVSEYKEAKALGIDTVPVLVGPVSYLLLSKPAKGVEKSFSLLSLLRKVLPVYMEVIAELKAAGATWIQFDEPTLVLDLQSHQLQAFTEAYSELESSFSGLNVLIETYFADVPAEAFKTITGLSGISGIGFDLVRGTQTLDLIKGGFPSGKFLFAGVVDGRNIWANDLASSLSTLEALEAIVGKDKLVVSTSCSLMHSAVDLVNETKLDSEIKSWFSFAAQKVVEVNALAKALAGTKDE >DRNTG_01805.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3158727:3161354:-1 gene:DRNTG_01805 transcript:DRNTG_01805.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAVPPKYGWTGGEIGFDIYFSMARGNLSQPAMEMTKWFDTNYHFIVPELGPETKFSYASHKAVSEYKEAKALGIDTVPVLVGPVSYLLLSKPAKGVEKSFSLLSLLRKVLPVYMEVIAELKAAGATWIQFDEPTLVLDLQSHQLQAFTEAYSELESSFSGLNVLIETYFADVPAEAFKTITGLSGISGIGFDLVRGTQTLDLIKGGFPSGKFLFAGVVDGRNIWANDLASSLSTLEALEAIVGKDKLVVSTSCSLMHSAVDLVNETKLDSEIKSWFSFAAQKVVEVNALAKALAGTKDEAFFSSNVAAQASRKSSPRVNNEEVQKAAAALKGSDHRRATPVSARLDAQQKNLNLPILPTATISSFPQTMD >DRNTG_00524.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30402418:30404317:1 gene:DRNTG_00524 transcript:DRNTG_00524.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPRTARLAALSSQRLAAIPAALPYSSSTSPSPTPYARPAPPATSSPAGLSKAAEYVISKVDDLLNWARRGSIWPMTFGLACCAVEMMHTGAARYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRKDFLLWWTK >DRNTG_31450.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:765399:766331:-1 gene:DRNTG_31450 transcript:DRNTG_31450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIEDMIDHHPSHPGYTLKEEKIDRLYTCDGCKEVGFGTCYTCTNTACNFHLHKQCANPVEIISHPFFPKLHFRFLKEGGPNKRCDACGRDINGYVYRCDKEDLDLHPCCAKLPYYEDVVINEGEKIRLVLKKKVSSKCCKCKEKKLWGEVKNTWSYVSENQEVHFHLSCVKDLVCESWRDGWQIEKVDANWPKPKLEVYKESKWKGSGRSKFGMLKKVLKIALTFVIAVVIGDPTAMLVGVVTSLITH >DRNTG_31450.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:765399:767011:-1 gene:DRNTG_31450 transcript:DRNTG_31450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIEDMIDHHPSHPGYTLKEEKIDRLYTCDGCKEVGFGTCYTCTNTACNFHLHKQCANPVEIISHPFFPKLHFRFLKEGGPNKRCDACGRDINGYVYRCDKEDLDLHPCCAKLPYYEDVVINEGEKIRLVLKKKVSSKCCKCKEKKLWGEVKNTWSYVSENQEVHFHLSCVKDLVCESWRDGWQIEKVDANWPKPKLEVYKESKWKGSGRSKFGMLKKVLKIALTFVIAVVIGDPTAMLVGVVTSLITH >DRNTG_16219.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30821110:30821646:1 gene:DRNTG_16219 transcript:DRNTG_16219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAGPLNSAEQESQTITSTYTLMDSDNKGNNKITEIVRLQQMLKKWKKLAVSPKNNSKKSIKFLKKTLSFSETSSSSSSSSLSADVPKGFLAVCVGEEMRRFVIPTDYLSHRAFGVLLREAEEEFGFQQEGVLRIPCEVSVFERILKVVEKNKEGFFYCSGEADFAYAHRPPKPICR >DRNTG_10925.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:40120:41831:-1 gene:DRNTG_10925 transcript:DRNTG_10925.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component 8 [Source:Projected from Arabidopsis thaliana (AT5G15100) UniProtKB/Swiss-Prot;Acc:Q9LFP6] MISGANVYRVFEAIIPLYVAMLSAYISKKWWKLFTPDQCSGINKFVAKFSIPLLSFQVISSNNPYKMNLKLILSDTTQKLLAIFIFIIFVKLRYKCSLDWLITGFSLSTLPNTLIIGLPLLKAMYGDEASKLLSQIIVLQSLVWYTLLLFLFEVRAADEIIPDPTDSSKAPEGIQIKPETDEVKTLSPRGTKTHHILRIVGRKLLKNPNTHSCLAGFIWALIVFKYEDAGGESSFQQ >DRNTG_24170.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3490207:3492380:1 gene:DRNTG_24170 transcript:DRNTG_24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIC1 [Source:Projected from Arabidopsis thaliana (AT2G22570) UniProtKB/TrEMBL;Acc:A0A178VQ19] MGSEMEMVDLLKAQMAFEEEENLSLMHLTDSGTVLGLVLVDVVNGFCTVGAGNLAPTEPNKQISTMVEEAARLAKVFCDKKWPIFALLDTHQPDKPEPPYPPHCIIGTGEENLVPELKWLEKEPNVTIKCKDCIDGFLASMEKDGSNTFANWVKTNEIKVVLVLGICTDICVLDFVCSILSGRNIGLVPPLQEVVVYSRGCATYDFPVHVARNIKGAFAHPQNLLHHIGLYMAKGRGARIVKNVSLDSTCCK >DRNTG_33163.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11080705:11084535:-1 gene:DRNTG_33163 transcript:DRNTG_33163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSCAIWKKVSGRAFVSTDPARCSVMRARKPCILETLSRSKVVGLHF >DRNTG_00762.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13225127:13225721:-1 gene:DRNTG_00762 transcript:DRNTG_00762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSFLRKKVGRGASVEAVTLGLRVLVGNLVRASPFPSDVIDSTSVP >DRNTG_10743.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000457.1:39863:43131:1 gene:DRNTG_10743 transcript:DRNTG_10743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRKFHTGMDIHMPNSQGHPHAPVSSRDKEGSSAEFHTGVQKLPTPMHLS >DRNTG_15384.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:909477:912252:-1 gene:DRNTG_15384 transcript:DRNTG_15384.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKEAVHFHDNMPLYPASKALQGHGKARIQSMTRQ >DRNTG_15384.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:909477:916969:-1 gene:DRNTG_15384 transcript:DRNTG_15384.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCHPDIVQLKHILLPPSLTEFKDICDVFELMESDLHQIIETNNDLTPVHHQFSLYQLLRA >DRNTG_15384.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:913700:916969:-1 gene:DRNTG_15384 transcript:DRNTG_15384.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCHPDIVQLKHILLPPSLTEFKDICDVFELMESDLHQIIETNNDLTPVHHQFSLYQLLRA >DRNTG_15384.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:912338:916969:-1 gene:DRNTG_15384 transcript:DRNTG_15384.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCHPDIVQLKHILLPPSLTEFKDICDVFELMESDLHQIIETNNDLTPVHHQFSLYQLLRA >DRNTG_15384.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:909477:913690:-1 gene:DRNTG_15384 transcript:DRNTG_15384.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKEAVHFHDNMPLYPASKALQGHGKARIQSMTRQ >DRNTG_15384.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:909477:916969:-1 gene:DRNTG_15384 transcript:DRNTG_15384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCHPDIVQLKHILLPPSLTEFKDICDVFELMESDLHQIIETNNDLTPVHHQFSLYQLLRA >DRNTG_15384.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:909477:913690:-1 gene:DRNTG_15384 transcript:DRNTG_15384.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKEAVHFHDNMPLYPASKALQGHGKARIQSMTRQ >DRNTG_01497.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:14099967:14100412:-1 gene:DRNTG_01497 transcript:DRNTG_01497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNVTESFNAWIKEARHLPVTKMVDSIRFKLMRMLCNRREQANKSETYLRPDIHSKVEILVEDSRNLRVGCCVDDRYEVID >DRNTG_06330.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20112017:20113947:1 gene:DRNTG_06330 transcript:DRNTG_06330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMHNEGFLSLYKGLTPALMSIGPASAVFYAVYDILKTSYLLHGKQRGSEKDIGIARTLLYGAIAGACAETLTYPLEVIRRQLQLQQSRSLGLGPAFIKMIQREGVSSLFTGLIPSTLQVLPSASLSYLFYETMKSVLKIS >DRNTG_06330.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20112017:20113947:1 gene:DRNTG_06330 transcript:DRNTG_06330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSESMSTFHKYFPSLSLDKDEDVPFPSESPQVLFQQSFSSNHLAAKILQPDDEFPSLASLSLTKVAKVRAFRNFLSNLNKTKASGVGKGGPKHSWSDMPKFLLAGAVSTVLSRTCIAPLERIKLECIVQGSKHSWIEIIKCIWVSEGLKGFWKGNMLNLFRMVPFKSINFICYDMYLDCLLSMPEKKEITNHDRLIGGGISGVTATIFCLPLDTIRTRLAAPGGDALGGVAGCFYHMMHNEGFLSLYKGLTPALMSIGPASAVFYAVYDILKTSYLLHGKQRGSEKDIGIARTLLYGAIAGACAETLTYPLEVIRRQLQLQQSRSLGLGPAFIKMIQREGVSSLFTGLIPSTLQVWFFLDEVFLCTLCLVVMFGLLCLQVLPSASLSYLFYETMKSVLKIS >DRNTG_06330.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20112017:20113947:1 gene:DRNTG_06330 transcript:DRNTG_06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHNEGFLSLYKGLTPALMSIGPASAVFYAVYDILKTSYLLHGKQRGSEKDIGIARTLLYGAIAGACAETLTYPLEVIRRQLQLQQSRSLGLGPAFIKMIQREGVSSLFTGLIPSTLQVWFFLDEVFLCTLCLVVMFGLLCLQVLPSASLSYLFYETMKSVLKIS >DRNTG_06330.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20112017:20113947:1 gene:DRNTG_06330 transcript:DRNTG_06330.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSESMSTFHKYFPSLSLDKDEDVPFPSESPQVLFQQSFSSNHLAAKILQPDDEFPSLASLSLTKVAKVRAFRNFLSNLNKTKASGVGKGGPKHSWSDMPKFLLAGAVSTVLSRTCIAPLERIKLECIVQGSKHSWIEIIKCIWVSEGLKGFWKGNMLNLFRMVPFKSINFICYDMYLDCLLSMPEKKEITNHDRLIGGGISGVTATIFCLPLDTVSEEIDDQK >DRNTG_06330.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20112017:20113947:1 gene:DRNTG_06330 transcript:DRNTG_06330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDSFNSIHLLRTCIAPLERIKLECIVQGSKHSWIEIIKCIWVSEGLKGFWKGNMLNLFRMVPFKSINFICYDMYLDCLLSMPEKKEITNHDRLIGGGISGVTATIFCLPLDTIRTRLAAPGGDALGGVAGCFYHMMHNEGFLSLYKGLTPALMSIGPASAVFYAVYDILKTSYLLHGKQRGSEKDIGIARTLLYGAIAGACAETLTYPLEVIRRQLQLQQSRSLGLGPAFIKMIQREGVSSLFTGLIPSTLQVWFFLDEVFLCTLCLVVMFGLLCLQVLPSASLSYLFYETMKSVLKIS >DRNTG_24582.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:10224:11107:-1 gene:DRNTG_24582 transcript:DRNTG_24582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVCGNDQATGSFARTGSQSSRSLGARMEQQPPTPIIDLDNQTQGFNDFDEVGQSQSPINDAPTSSTSKAKDGKKRTKRAKADEEIMRDVKFELGRIANALEADKSKFINKDLFDEIMTLSDRYTEYELGRAYDYLLQNLPLANGFMNKTHSFWCIWMDDFS >DRNTG_13719.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5410460:5413608:1 gene:DRNTG_13719 transcript:DRNTG_13719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLLRSSLLLSLFNAPLLPPSPNAALHKPSSSKIPARLAAVASSTPYPEKRLSRFKVYAVVEEEDGPKWWEKNAGPNMIDVHSTQEFIDVLSKAGDSLVIVEFYGTWCGSCRALFPKLCKTAEEHPEILFVKVNFDENKPMCKRLNVRVLPYFHFYRGADGQLESFSCSLAKFQKIKDAIQTHNTDRCSIGPPRGVGDLDLLGTSSTLEKPAEAPSR >DRNTG_17872.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28308744:28309227:-1 gene:DRNTG_17872 transcript:DRNTG_17872.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFKNLEMKPLKALETGQCLPDQPTPAIFTVGQLLASKKEEEDEDEEEERKKHECTKWLDEQPVKSVVFLCCGSMGYFDEKAVKKIALGLERSWQRFLWALRTSSRENALIPSDADLGQWRNQGKR >DRNTG_30266.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5098713:5105255:-1 gene:DRNTG_30266 transcript:DRNTG_30266.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SE [Source:Projected from Arabidopsis thaliana (AT2G27100) UniProtKB/TrEMBL;Acc:A0A178VZD4] MADETETQVEDQRGESPGGSPMPPPPPPPPSRRRDRDSRERRDERDDRGPPPSGRGDRERDYRRRGSGSPPPYRDRRHSPPRRSPSPGPFKRSRRDDGGYDRRRGGSRGGYGADDRRYGYDYGGGYERGGRGGYGDERPRFGGRQSDWIDSGRGFGDGFNSGMIPREGLMSYKQFIQELEDDILPAEAERRYEEYRSEYITTQKRAYFDAHKEEEWLKDKYHPTNLVAVIERRNEQARATAKEFLLDLQSGNLDLGPGLIASTPNKSGHGSDANSDDEADTGGKRRRHGRGPAKENDLLSAAPKAHPSSSETRRIQVDIDQAQALVRKLDTEKGIEDNVLSSSDRDKFDGEKSHAGSMGPIIIIRGLTTVKGLEGIELLDTLITYLWRIHGIDYYGMSETSEAKGLRHVRADSKTYDGYDKSGAEWEKKLDSTWQGRLHGQDPLEVMTAKEKIDAAAVEILDPYVRKIRDEKYGWKYGCGAKGCTKLFHAGEFVHKHLKLKHTDLVSELTSKVREDIYFQNYMNDPTAPGGTPVMQQPVLKDRAPRRRPILENRLRDERGNRREHDRLDEDRFDRNENSPTDDMHNNIGPDGGNPDEVMYDAYGAPRLRGGPFPSDIPPPPVLMPVPGAGPLGPFVPAPPEVAMRMLREQGGPSQFEANGGRGRKARPGPGPAPILAMSPAFRPDPRRLRSYQDLDAPEDEVTVIDYRSL >DRNTG_30266.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5098713:5105255:-1 gene:DRNTG_30266 transcript:DRNTG_30266.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SE [Source:Projected from Arabidopsis thaliana (AT2G27100) UniProtKB/TrEMBL;Acc:A0A178VZD4] MADETETQVEDQRGESPGGSPMPPPPPPPPSRRRDRDSRERRDERDDRGPPPSGRGDRERDYRRRGSGSPPPYRDRRHSPPRRSPSPGPFKRSRRDDGGYDRRRGGSRGGYGADDRRYGYDYGGGYERGGRGGYGDERPRFGGRQSGRGFGDGFNSGMIPREGLMSYKQFIQELEDDILPAEAERRYEEYRSEYITTQKRAYFDAHKEEEWLKDKYHPTNLVAVIERRNEQARATAKEFLLDLQSGNLDLGPGLIASTPNKSGHGSDANSDDEADTGGKRRRHGRGPAKENDLLSAAPKAHPSSSETRRIQVDIDQAQALVRKLDTEKGIEDNVLSSSDRDKFDGEKSHAGSMGPIIIIRGLTTVKGLEGIELLDTLITYLWRIHGIDYYGMSETSEAKGLRHVRADSKTYDGYDKSGAEWEKKLDSTWQGRLHGQDPLEVMTAKEKIDAAAVEILDPYVRKIRDEKYGWKYGCGAKGCTKLFHAGEFVHKHLKLKHTDLVSELTSKVREDIYFQNYMNDPTAPGGTPVMQQPVLKDRAPRRRPILENRLRDERGNRREHDRLDEDRFDRNENSPTDDMHNNIGPDGGNPDEVMYDAYGAPRLRGGPFPSDIPPPPVLMPVPGAGPLGPFVPAPPEVAMRMLREQGGPSQFEANGGRGRKARPGPGPAPILAMSPAFRPDPRRLRSYQDLDAPEDEVTVIDYRSL >DRNTG_31490.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2025598:2027524:-1 gene:DRNTG_31490 transcript:DRNTG_31490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFRLLADLTHLLSIIILLVKIRTTKSCAGISLKTQDLYVLVFISRYLDLFTRPLSLYNTPFKLVFLATSITIVYYMRYHKVVKQTYNKDSDTFKHYFLILPCAVLAFFINPKFTFIEVLWSFSIYLEAVAILPQLVLLQSSSNIDNLTGNYVFMLGAYRALYLLNWIYRFFAEDVVRWIPWIAGLVQTALYADFFYYYIKSWRNNERLKLPE >DRNTG_31490.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2025598:2027524:-1 gene:DRNTG_31490 transcript:DRNTG_31490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFRLLADLTHLLSIIILLVKIRTTKSCAGISLKTQDLYVLVFISRYLDLFTRPLSLYNTPFKLVFLATSITIVYYMRYHKVVKQTYNKDSDTFKHYFLILPCAVLAFFINPKFTFIEVLWSFSIYLEAVAILPQLVLLQSSSNIDNLTGNYVFMLGAYRALYLLNWIYRFFAEDVVRWIPWIAGLVQTALYADFFYYYIKSWRNNERLKLPE >DRNTG_07748.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2717979:2718989:-1 gene:DRNTG_07748 transcript:DRNTG_07748.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRHDDDKTNPSATRQGHHWSSNWTSGAKHEAGFDAFMTGCLFAQECAHLGIKFDLSLPLTNLAANEKLKDYINLLYPSWNSGIVIDLTTGIEKPESNYKRKYPTVLFSNIVLLWGFLSKFKPKDLKDCITKVFGAS >DRNTG_26834.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1936773:1941251:-1 gene:DRNTG_26834 transcript:DRNTG_26834.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT1-like protein MAG2 [Source:Projected from Arabidopsis thaliana (AT3G47700) UniProtKB/Swiss-Prot;Acc:Q9STU3] MNKDLKTKYCNSFLSLCKLQELQSRRKSRQLEGHNLQIALRQPLWVIEELVNPISLASQRHFSKWIEKPEFIFTLVYKITRDFVDSMDEILQPMVDKARLVGYSCREEWISAMVTSLTTYLAKEIFPKYIDHLQEGDLSDVSSQARISWLHLVDLMITFDKRVQSMITNSGLSISVADEENLQRVSFLSVFCDRPDWLDIWAEIELADVLSKLKPAIEDDKIWKTKIQGTVLMSGSEDYKSAAVSGVVLQCLSAIIDRSRPLPSISLRTRFIRLAGAPIIREFLECLLRRCQEAEGLTALADDDALTKVSNSINAARYCESILTEWCEDVFFLEMETISKEGNGGSCIFEEELNALKKFRIEWVDKISTVVLRGFDARCREYIKNRKQWQEKAEEWTVSKTFLAALDYLQGKISNLEQEINGTDFVAVWRTVAGAVDQLLFSGILMSNAKFYNGGVQRFGSDMEVLFRVFAAWCLRPEGFFPRLSEALRMLKMEEKQLKDAILISKEIWLKEKGFRLITTAEAEKIIKNRVFSG >DRNTG_13491.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21800497:21801849:-1 gene:DRNTG_13491 transcript:DRNTG_13491.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKSISIIFFMALLLLLPFSAHADCDDGKGDRDKSKAQPLKIAAIFSILVAGSIGICLPILSKWIPVISPEKDFFFVIKAFAAGVILATGFVHILPDAFENLTSSSLDCHPWQDFPFAGFTAMIAAIGTLMVDTMATSYFTTRFSSNEAALVVDEAKQAEEGGTLAHNHAVHGHAHVSSELMRHRVISQVLEMGIVVHSVIIGISLGASESPSAIRPLVVALSFHQFFEGMGLGGCIAQAKFKVRSMVTMVLFFSLTTPVGIAIGIGISKGYDEDSPRALIIEGLLNSAAAGILIYMSLVDLLAADFMNPRVQKKLKLQLLINISLLIGTGLMSLLAKWA >DRNTG_10364.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4924203:4933161:1 gene:DRNTG_10364 transcript:DRNTG_10364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISPAMETLTEKANYLRESLNKSKTITESMVSILGSFDNRLSLLETAMRPTQVRTHAIRVAHENIDKTLKSAEVIINQFDLYRKVENRIQKGPHEDLENYLQAVDQLRNIGNFFGSNKTLKRGDGAANNTSSLLAKAILKLEEEFKELLNTYSKAVEPQQLFNCLPNSLRPSSGSPGHQGEDGKSQSATSDHQAKSAENTVYTPPTLIPPRILPLLHNLAKQLVQAGRQQQALKIYREARSSALEESLEKLGVEKLSKDDVQKMQWEALEHKIGNWIHYMRIAVKLLFAGERKVCDQIFDGIDSLKDQCFAEVTSNSVAMLLSFGEAIAKSKRSPEKLFVLLDMYEIMCELQSEIETIFEGKACSEMRESAVSLTKRLAQTARDTFGDFEEAVEKDTTKTTVHDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFETGGETNSQLASVTMRIMQALQSNLDMKSKQYKDPALMYLFLMNNIHYMVRSVRRSEAKDLLGDDWVQRHRRIVQQNANQYKRVAWAKILQSLSTQGLSSSGGGSSAAGSDVTSTSNVSRATVKERFRSFNMQFEELYQRQSQWTVPDPELRESLRLAVAEVLLPAYRSFIKRFGPLLDNGKNPQKYAKYTAEDLDQMLGEFFEGKPGEQKR >DRNTG_09865.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000417.1:148146:159213:1 gene:DRNTG_09865 transcript:DRNTG_09865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKISPEASQTTSRFSLGTNLSNSEDIYSMLQCTHDLSVGSCEQSLNQVIQRMRNYCSGKIGCQILTVSCLVRYETSFFFSSSLLSPPLSPPAASSPPATANGGTQNIESGGNGSNTTTIVLATVIPIVALILFSFALFKFLRRKPVRKAHIEGVQGEDGQEFRSAESLLFDLSTIREATDDFSDDNKLGEGGFGPVYKGMLRDGQEIAVKRLATTSTQGLVELKNEVILVAKLQHKNLVRLLGCCLEEGEKLLVYEYLRNASLDKFLFDPTKRGQLDWTRRYKIIEGIGRGLLYLHEDSRLRIIHRDLKASNILLDEDMTPKISDFGFAKLFGIDETQGNTSRIAGTYGYMAPEYAMHGLFSVKSDVYSYGVMVLEIVTGLKNSIFQESGSAPDLITTVWRRWNEGKGLELIDPSLGDKIRAEEVLRCIHIGLLCIQEEPTERPTMASVVLMLRSYSLSLPRPSTPAFFMQSYTTS >DRNTG_00904.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21602371:21616415:1 gene:DRNTG_00904 transcript:DRNTG_00904.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQIDPSFIQPTEHRPKITISDAGSIPLIDLSPLLHHQIPSDPSDPAIADEISNLIAQIGEACKNWGFFQVVNHGVELELLERVEAVAREFFALPAEEKKKVKKREVNPMGYYDAEHTKNVRDWREVFDFTVTELETTSLRLENQWPENLPELRSVFQT >DRNTG_00904.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21615787:21616415:1 gene:DRNTG_00904 transcript:DRNTG_00904.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQIDPTFVQAAEHRPKSTISDAGSIPLIDLSPLLHHQIPSDPSDPAIADEISNLIAQIGEACKNWGFFQVVNHGVELELLERVEAVAREFFALPAEEKKKVKKREVNPMGYYDAEHTKNVRDWREVFDFTVTELETTSLRLENQWPENLPELRSVFQT >DRNTG_00904.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21609669:21616415:1 gene:DRNTG_00904 transcript:DRNTG_00904.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQVDPAFIQAAEHRPKPTISDAGSIPLIDLSPLLHHQIPSDPSDPAIADEISNLIAQIGEACKNWGFFQVVNHGVELELLERVEAVAREFFALPAEEKKKVKKREVNPMGYYDAEHTKNVRDWREVFDFTVTELETTSLRLENQWPENLPELRSVFQT >DRNTG_00688.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30059891:30078585:-1 gene:DRNTG_00688 transcript:DRNTG_00688.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDNCPIEMGCCGCFGFLRKPQRSLVPIRGTGPRFSQEFLLPEGGDDVDGALFNADGTEIMNGNVSGFRPPSKGAEEILLFRARSGLICREIPVKETRQVIRTEDENGNKMVNEYVREYKIGSGSYGKVVLYRSTKDGKHYAIKVFHKSHLLKLRVAPSETAMTDVLREVSIMKMLDHPNIVNLIEVIDDPTTDHFYMVLEYVKGKRVCDGSGSCLSESTSRRYLRDIVAGLIYLHAHNIVHGDIKPDNLLVTSNGNVKIGDFSVSQVFEDDNDELRRSPGTPVFTAPECCLGVTYHGKAADTWAVGITLYCMVVGQYPFLGDTLQDTYDKIVNNPLTVPIDMNPLLRSLLEGLLCKDPKQRMTLRATAEHPWVVGDEGPISEFLCRCRRNSSS >DRNTG_00688.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30059839:30078585:-1 gene:DRNTG_00688 transcript:DRNTG_00688.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFHKSHLLKLRVAPSETAMTDVLREVSIMKMLDHPNIVNLIEVIDDPTTDHFYMVLEYVKGKRVCDGSGSCLSESTSRRYLRDIVAGLIYLHAHNIVHGDIKPDNLLVTSNGNVKIGDFSVSQVFEDDNDELRRSPGTPVFTAPECCLGAAF >DRNTG_32217.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2016636:2019951:-1 gene:DRNTG_32217 transcript:DRNTG_32217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRNHPLPLPLFSSLASPPLTPTKSLPRSTRKPRRLPFRSDGLYRILPI >DRNTG_05506.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30186578:30190175:-1 gene:DRNTG_05506 transcript:DRNTG_05506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYLLSFTAELENLTNLQPRDGCDDPNYVYGFKLKCENCGEVTQKETYVTLSETVSIPNSKGTANLVQKCKLCGRDGTIQMVPKYGEPLTLDASQKEALAKLMVFECRGFEPIDFIFGDGWVAESTSGTKFDVDLSGGEFAEYDEQGECPVGISNLQAKFKVAKKQERYGRTTYV >DRNTG_23009.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3068216:3068970:-1 gene:DRNTG_23009 transcript:DRNTG_23009.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLMSFLFIVSLTSMILLLQSSPVISVNCNVAELSPCAGSLSSGGVPTTQCCSKLKEQKPCLCQYKKNPAFAGYFNSPKAKEVAQSCGVSIPSC >DRNTG_23009.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3068141:3068970:-1 gene:DRNTG_23009 transcript:DRNTG_23009.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLMSFLFIVSLTSMILLLQSSPVISVNCNVAELSPCAGSLSSGGVPTTQCCSKLKEQKPCLCQYKKNPAFAGYFNSPKAKEVAQSCGVSIPSC >DRNTG_23009.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3068252:3069105:-1 gene:DRNTG_23009 transcript:DRNTG_23009.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLMSFLFIVSLTSMILLLQSSPVISVNCNVAELSPCAGSLSSGGVPTTQCCSKLKEQKPCLCQYKKNPAFAGYFNSPKAKEVAQSCGVSIPSC >DRNTG_23009.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3068216:3069105:-1 gene:DRNTG_23009 transcript:DRNTG_23009.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLMSFLFIVSLTSMILLLQSSPVISVNCNVAELSPCAGSLSSGGVPTTQCCSKLKEQKPCLCQYKKNPAFAGYFNSPKAKEVAQSCGVSIPSC >DRNTG_23009.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3068216:3068866:-1 gene:DRNTG_23009 transcript:DRNTG_23009.11 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLMSFLFIVSLTSMILLLQSSPVISVNCNVAELSPCAGSLSSGGVPTTQCCSKLKEQKPCLCQYKKNPAFAGYFNSPKAKEVAQSCGVSIPSC >DRNTG_23009.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3068252:3068970:-1 gene:DRNTG_23009 transcript:DRNTG_23009.9 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLMSFLFIVSLTSMILLLQSSPVISVNCNVAELSPCAGSLSSGGVPTTQCCSKLKEQKPCLCQYKKNPAFAGYFNSPKAKEVAQSCGVSIPSC >DRNTG_23009.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3068141:3068866:-1 gene:DRNTG_23009 transcript:DRNTG_23009.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLMSFLFIVSLTSMILLLQSSPVISVNCNVAELSPCAGSLSSGGVPTTQCCSKLKEQKPCLCQYKKNPAFAGYFNSPKAKEVAQSCGVSIPSC >DRNTG_23009.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3068176:3068866:-1 gene:DRNTG_23009 transcript:DRNTG_23009.10 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLMSFLFIVSLTSMILLLQSSPVISVNCNVAELSPCAGSLSSGGVPTTQCCSKLKEQKPCLCQYKKNPAFAGYFNSPKAKEVAQSCGVSIPSC >DRNTG_23009.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3068252:3068866:-1 gene:DRNTG_23009 transcript:DRNTG_23009.12 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLMSFLFIVSLTSMILLLQSSPVISVNCNVAELSPCAGSLSSGGVPTTQCCSKLKEQKPCLCQYKKNPAFAGYFNSPKAKEVAQSCGVSIPSC >DRNTG_23009.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3068176:3068970:-1 gene:DRNTG_23009 transcript:DRNTG_23009.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLMSFLFIVSLTSMILLLQSSPVISVNCNVAELSPCAGSLSSGGVPTTQCCSKLKEQKPCLCQYKKNPAFAGYFNSPKAKEVAQSCGVSIPSC >DRNTG_23009.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3068176:3069105:-1 gene:DRNTG_23009 transcript:DRNTG_23009.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLMSFLFIVSLTSMILLLQSSPVISVNCNVAELSPCAGSLSSGGVPTTQCCSKLKEQKPCLCQYKKNPAFAGYFNSPKAKEVAQSCGVSIPSC >DRNTG_23009.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3068141:3069105:-1 gene:DRNTG_23009 transcript:DRNTG_23009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLMSFLFIVSLTSMILLLQSSPVISVNCNVAELSPCAGSLSSGGVPTTQCCSKLKEQKPCLCQYKKNPAFAGYFNSPKAKEVAQSCGVSIPSC >DRNTG_10610.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:79091:80469:1 gene:DRNTG_10610 transcript:DRNTG_10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVTFFFVLTTLLLSPLVCIAATIGKLDPKFYVHSCPKAKKIIKSIVAEAVTKEARMAASLLRLHFHDCFVKGCDASVLLDSSGTIISEKRSNPNRNSARGFEVIDKIKSALEKECPNTVSCADILAIAARDSTVLAGGPSWEVPLGRRDSLGASISGSNHNIPAPNDTLPTIITKFKLKGLNLVDLVTLSGSHTIGQARCTSFRQRLYNQTGKGFPDTTLNMVYANQLKSRCPRSGGDQNLFPLDLASPTKFDNQYFKNIVAGKGLLSSDEILFTKSKATMELVNKYAKSVDSFFKQFSKSMVKMGNITPLTGTKGEIRKHCRKINN >DRNTG_19508.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2819896:2823855:-1 gene:DRNTG_19508 transcript:DRNTG_19508.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:Projected from Arabidopsis thaliana (AT2G39060) UniProtKB/TrEMBL;Acc:A0A178VR23] MGFINIDNPWVVIAGVLGNIISFLVTLAPLPTFYRICKKRSTESFQSTPYVVAMFSALTWLYYALLTSNILVLTINTITLLIESIYISIFLAFAPKKAKVSTMKLLLFLNLGVFGALVLLTLTLLKGTQRIDIAGMICATFAISVFAAPLSIIKLVIKTKSVEYMPISLSFFLTLSAIAWLSYGVLLKDLFISLPNVVGFMFGIAQMILYCIYMKRKNDDKFNDNSIEPLPITTKSTEMEVVINDQEMKSVCIDHMIKVDVVSETTTNDLTQKP >DRNTG_09289.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22847419:22851752:1 gene:DRNTG_09289 transcript:DRNTG_09289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDSDSSGGGFQAPGLVLKALVLVGGALLLKRLRKSTTQWDHARVVAEALSGEKFSQEQACRDPDNYFNLRTLTCPATDVVDGSQVLYFEQAFWRAPQKPFRQRFYMVKPCPREMRCDVELSSYAIRDAEVYKNFCDRMKDQRPKTEEVIEDIAEHLTTIHLSHCERGKRCLYKGSTPPGGFPNSWNGASHCTSELTIHKNGELQMWDRGYNDEENQVWGSKEGPYIFKTAPSSTYNEMFLLPSEFNPYIEH >DRNTG_23926.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1133880:1135349:-1 gene:DRNTG_23926 transcript:DRNTG_23926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHYHQDHHPNNNNNNNNMLSSLFEGSYNPPLFTNSSPSSSSSSTSSLFSSSLPSCHLFRSTSSHSFSLHNTTTTTTTTTTTNLPYFSPSSSSPPSSSFDFLDFHAEPVRRVYSTGDLQGMNVVQVSSDLIGQEGGGVTGKIGRYSAEERKERIERYRSKRNQRNFQKKITYACRKTLADSRPRVRGRFARNGEAEMENEGGVIERNYHEEYENCNYDHNDDRRDGGAGGGGEWWGQMKKST >DRNTG_07502.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4257681:4260796:1 gene:DRNTG_07502 transcript:DRNTG_07502.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSTRSMRDGLGMLCLYLLLLIPRVPINLAPRPRHLLHMLELGYNVMYNDVDMVWLADPFSYLEGNHDVYFTDDMAAVKALDHPHDLPPPGKKGRTYICSCMIFLRPTVGAKDVMRNWIEELQAQPWSKKAKSNDQPAFNWALNKTAG >DRNTG_07502.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4257681:4260796:1 gene:DRNTG_07502 transcript:DRNTG_07502.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHQRQQQQQHLIDPSPLSTADAQHKRATPLFSRTGLLILLSLILVLGVVFPWIRSTDSLFSSSPKGSLAKWRSYTLSQAAAFVGKNGTVVVCAVSEPYIPFLNNWLISVSRQKHQDKVLVIAEDYATLYKVNERWPGHAVLVPPAPDTQSAHKFGSQGFFNFTSRRPRHLLHMLELGYNVMYNDVDMVWLADPFSYLEGNHDVYFTDDMAAVKALDHPHDLPPPGKKGRTYICSCMIFLRPTVGAKDVMRNWIEELQAQPWSKKAKSNDQPAFNWALNKTAG >DRNTG_07502.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4257681:4260796:1 gene:DRNTG_07502 transcript:DRNTG_07502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHQRQQQQQHLIDPSPLSTADAQHKRATPLFSRTGLLILLSLILVLGVVFPWIRSTDSLFSSSPKGSLAKWRSYTLSQAAAFVGKNGTVVVCAVSEPYIPFLNNWLISVSRQKHQDKVLVIAEDYATLYKVNERWPGHAVLVPPAPDTQSAHKFGSQGFFNFTSRRPRHLLHMLELGYNVMYNDVDMVWLADPFSYLEGNHDVYFTDDMAAVKALDHPHDLPPPGKKGRTYICSCMIFLRPTVGAKDVMRNWIEELQAQPWSKKAKSNDQPAFNWALNKTAG >DRNTG_07502.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4257681:4260386:1 gene:DRNTG_07502 transcript:DRNTG_07502.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHQRQQQQQHLIDPSPLSTADAQHKRATPLFSRTGLLILLSLILVLGVVFPWIRSTDSLFSSSPKGSLAKWRSYTLSQAAAFVGKNGTVVVCAVSEPYIPFLNNWLISVSRQKHQDKVLVIAEDYATLYKVNERWPGHAVLVPPAPDTQSAHKFGSQGFFNFTSRRPRHLLHMLELGYNVMYNDVDMVWLADPFSYLEGNHDVYFTDDMAA >DRNTG_07502.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4259474:4260796:1 gene:DRNTG_07502 transcript:DRNTG_07502.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHQRQQQQQHLIDPSPLSTADAQHKRATPLFSRTGLLILLSLILVLGVVFPWIRSTDSLFSSSPKGSLAKWRSYTLSQAAAFVGKNGTVVVCAVSEPYIPFLNNWLISVSRQKHQDKVLVIAEDYATLYKVNERWPGHAVLVPPAPDTQSAHKFGSQGFFNFTSRRPRHLLHMLELGYNVMYNDVDMVWLADPFSYLEGNHDVYFTDDMAAVKALDHPHDLPPPGKKGRTYICSCMIFLRPTVGAKDVMRNWIEELQAQPWSKKAKSNDQPAFNWALNKTAG >DRNTG_04720.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8286901:8290773:-1 gene:DRNTG_04720 transcript:DRNTG_04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFSDLHTEAGLKALDEFLSGKTYISGEEISRDDIKVFAAVTNNPGAGFPNVARWYESVSSVVAARFPGKAVGVKIVGQAASSTPEPPVEAAKEAAGDDDDDLDLFGEETEEDKKAAEAREAAKASSKKKESGKSSVLMDVKPWDDETDMKKLEEAVRNVKMDGLLWGASKLVPVGFGIKKLQIMLTIVDDLVSVDELIEDHLTAEPANEHIQSCDIVAFNKI >DRNTG_34526.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21107969:21108404:-1 gene:DRNTG_34526 transcript:DRNTG_34526.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPLMNSSAAPTHTPPGSSTSILLMRRLLIG >DRNTG_34526.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21107969:21108404:-1 gene:DRNTG_34526 transcript:DRNTG_34526.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPLMNSSAAPTHTPPGSSTRLLLFFSSSFSL >DRNTG_18163.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3977809:3983063:1 gene:DRNTG_18163 transcript:DRNTG_18163.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease regulatory subunit CLPX3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G33360) UniProtKB/Swiss-Prot;Acc:Q66GN9] MDVLFLNRQPTSIGGGGDRGGYQALNLCPVCRSAFYFRPHKLVPLQGTFVEIGRVRSRGDESGQDNRIGTSFWETLRQSYDGEPPENWPPMPGNPLPDGGGLAVHAPPGPPFPPGMNVVRATGPVGVGGGGGGGGGFGEEGWGGANLGNNLPTPKEICKGLDKFVIGQERAKKVLSVAVYNHYKRIYHSLLQKGFEPDSEKGESKNNDSEVELEKSNVLLLGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLSVADFNVQAAQQGMVYIDEVDKITKKAENLNMSRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFVELEKTISERRQDSSIGFGAPVRANMRTGGLTNAVVTSSLLESVESGDLIAYGLIPEFIGRFPILVSLSALDEKQLVQVLLEPKNALGKQFKKLFSMNNVKLHFTDTALRLIAKKAMAKNTGARGLRTILESILTEAMYEIPDVKTGDEQVDAVVVDEEAVGSIDRPGLGAKILRGDGALDCYLSENKQKPSQGEGSEEVEGEPELPSRAAMS >DRNTG_15018.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23822526:23822787:-1 gene:DRNTG_15018 transcript:DRNTG_15018.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKASKPETSPHPQASANNTITRGSISSTEEVGPGSSNAFPTFSNFLPPKAGRPTTKENGNIKPKLENSEL >DRNTG_15018.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23822526:23824017:-1 gene:DRNTG_15018 transcript:DRNTG_15018.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSCEESGFDGASDVPKDGRPMSPGTLALMCDEQDEMFMTSQCTNTSTRLSYDNNLTEVYAEQERCVLTEFRDCLWKLVTCGKMKEEKYAMKASKPETSPHPQASANNTITRGSISSTEEVGPGSSNAFPTFSNFLPPKAGRPTTKENGNIKPKLENSEL >DRNTG_15018.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23825554:23828464:-1 gene:DRNTG_15018 transcript:DRNTG_15018.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMEPGVQPVAAEMPPKKLVRQLDFTAAFGASTAAAQAQPQEKPSAQPLSQSSVPASRPPVPMPVKPESPRSRARPIYEVKDSTPKKQKQCNCRHSKCLKLYCECFASGVYCDGCNCVNCCNNVENEAARQEAVEVTLERNPNAFRPKIGNSPHAIRDIR >DRNTG_15018.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23822526:23828464:-1 gene:DRNTG_15018 transcript:DRNTG_15018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMEPGVQPVAAEMPPKKLVRQLDFTAAFGASTAAAQAQPQEKPSAQPLSQSSVPASRPPVPMPVKPESPRSRARPIYEVKDSTPKKQKQCNCRHSKCLKLYCECFASGVYCDGCNCVNCCNNVENEAARQEAVEVTLERNPNAFRPKIGNSPHAIRDIREEAGDLPLLGKHNKGCHCKKSNCLKKYCECFQANILCSENCKCIDCKNFDGSEERRALFHGDHGGNLTYMQQAANAAANGAIGSSGYVSSPVSKKRKSQDLSFSAAVKDQPINRLVQFQPPNPLKTLGSASFGTTPVARAVNSATPGPSKVVYRPLLADIIQPDDVKELCKLLVVVSGEAAKRFADTKVHDENPRQKEDQAEIALASSNQHRDHNQKEPDNRRTSADNCSHVSNADKMSCEESGFDGASDVPKDGRPMSPGTLALMCDEQDEMFMTSQCTNTSTRLSYDNNLTEVYAEQERCVLTEFRDCLWKLVTCGKMKEEKYAMKASKPETSPHPQASANNTITRGSISSTEEVGPGSSNAFPTFSNFLPPKAGRPTTKENGNIKPKLENSEL >DRNTG_28797.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28785593:28788088:-1 gene:DRNTG_28797 transcript:DRNTG_28797.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVQLVRRAETPHHSSDPSKASSKKAVSSAHSSQTTYSNPSTLTVPSYTSTLTVPSYIESSSYTERSNTENPPSRTEEEILASSSLKDFPFNELRIATRNFRPESLIGEGGFGNVYKGWIDEQTFTAAKPGSGMIVAVKKLKPESFQGHKEWLAEVNYLGQLRHPNLVKLIGYCSDGENRLLVYEYLLKGSLENHLFRRSTQPLNWALRIKVAVGAARGLTFLHESEFQIIYRDVKSSNILLDSEYNVKLSDFGLAKEGPTGGKTHISTQIMGTQGYAAPEYIATGRLSVKADVYSYGVVLLELLSGRRAVDNSRGGIEQNLVEWARPLLADKRKQNLIMDSRLEGRYPKKDAHAVATLASQCISTQAKSRPKMSEVLATLEALQT >DRNTG_13943.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21257804:21260939:1 gene:DRNTG_13943 transcript:DRNTG_13943.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 4 [Source:Projected from Arabidopsis thaliana (AT1G50710) UniProtKB/Swiss-Prot;Acc:Q8GYM3] MLSYFQVYEALEHRLVVAEAAQRLRLPLISKDGEIHEEEIEKWSTMSSSLDSSITLSSSSNSTSYVNSNASMLSGAPSANNSDVVELGVGGVPNCFLGITSSYLWQVQQQQPALDVDMIEYQRSVVREIESRLEAKCDTLADVFAMDEIDSSSISHISTARLPERVKLIIEEIEREEAVLLEDLNSMDRKFAEHYNVLEQILGVLIKFVKDLKLQHQHEFDKLRKTWLCKRCQTMNAKLRVLEHLLLRDTYTKDSVPALHRIRKYLVEATEEASIAYNKAVTRLREYHGVDPHFDTIARQYHDIVKKLEGMQWTIHQVEMDLKRSLNHSVS >DRNTG_13943.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21256705:21260939:1 gene:DRNTG_13943 transcript:DRNTG_13943.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 4 [Source:Projected from Arabidopsis thaliana (AT1G50710) UniProtKB/Swiss-Prot;Acc:Q8GYM3] MAKVLQGQSLPADVVQLIEQLERHCLAADGSLVSKSSHSDLQLAREEMSRERTRYLEAMAVYCEALAMVEEYQQSMSSANLGSGRDYSQQGLKCSSQVYEALEHRLVVAEAAQRLRLPLISKDGEIHEEEIEKWSTMSSSLDSSITLSSSSNSTSYVNSNASMLSGAPSANNSDVVELGVGGVPNCFLGITSSYLWQVQQQQPALDVDMIEYQRSVVREIESRLEAKCDTLADVFAMDEIDSSSISHISTARLPERVKLIIEEIEREEAVLLEDLNSMDRKFAEHYNVLEQILGVLIKFVKDLKLQHQHEFDKLRKTWLCKRCQTMNAKLRVLEHLLLRDTYTKDSVPALHRIRKYLVEATEEASIAYNKAVTRLREYHGVDPHFDTIARQYHDIVKKLEGMQWTIHQVEMDLKRSLNHSVS >DRNTG_34013.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31624861:31627630:1 gene:DRNTG_34013 transcript:DRNTG_34013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRWASRWRRAANKIGLPCVSFSSTNHAPHLQQQPKTISSSVVSKDAETSGLSANKNLCAICLEPLRASGDGDDSGGGGGGGGGGESGATVFTAQCWHSFHFTCIASNVRHGNMTCPICRAHWPNLPRGLAPPCHRNDPILRILDDSIATSRVNRRTSLRSTRYNDDDPVEPDISPPGPHLDFALIPCPVSLNTSPNCLNQNRFYLSVRLAHQQAMDIVLVASPNGPHLRLLKQAMALVIFSLRSVDRLAIVTYSSSASRAFALRRMTAHGKRTALQAIDRLFYMGEADPVEGLSKGVKILEDRSHQNPLACIIHLSDSPTRAYANDELMVHFPVHRFHIGFGYGLSNGFVMHEFEEFLAKLLGGVVKEVQLMIGDNAGWIRLGELRGGEERRIPVDVMSNDCMFVCVGYSFIEGGGDEERMRAGEVVVEVGEKSERGCRVCGVRDRELIDEGSAQGRLSNVHRRDYLDPFMARRWAKHLHGYRA >DRNTG_07315.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3332123:3335379:-1 gene:DRNTG_07315 transcript:DRNTG_07315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVKAQKTKAYFKRFQVKFKRRRQGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDIIAQIVSASIAGDMILASAYAHELPRYGLEVGLTNYAAAYCTGLLLARRVLKMLEMDEEYQGNVEATGEDFSIEPAESRRPFRALLDVGLLRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFKKDAKKLDADAHRNYIFGGHVVSYMRSLLDDETEKYQTHFSRYIKKGLEVDDIEEMYKKVHAAIRADPTAVKSTKEPPKQHKRYNLKKLTYEERKAKLVERLNALNSTADDDEDDDE >DRNTG_25422.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24629565:24632514:1 gene:DRNTG_25422 transcript:DRNTG_25422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCTSVSNSVVLGINALSTDVEIVLFLGGRRVGDPIPATVITEVNPFSIEPWNSPEHILYLYNLDDGQRKKGSNEIRETKDGYWKTMGEVSKISTGSDVMGRKTALEFYIGSPPFGDKTCWMMHEYQTEWKTCQGIRIVQEYSSLCKVFWQSDWRPEHEEQHSSVGLDCTDDADAEYLEAIMLSLLEEDEGNLSPHYDANSTQAVHGEDQRNGAASDNTMVDKDANLDFINEEYIELNDIDHQESSSSSSSSSSSNSCNSSVMEMDSNEYFDADALLKELTNDKHTNCKFNISASAKSNQIIIRPSPAGSIISSNNNNNNNNNNNKEPPKPDKSPNIQQSSGKSGSVGRITKLGKRYCCFASF >DRNTG_31287.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1154696:1158244:1 gene:DRNTG_31287 transcript:DRNTG_31287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRRLVEQGDAGGVPPAGGDWRSQLHPDARRRIVNKITETLAKHLPISVPEGSAELEKIAVRFEDRIYIAATSQSDYLRKISLKMISMETKTSASISPPSLNPAGGN >DRNTG_18839.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2615271:2616835:1 gene:DRNTG_18839 transcript:DRNTG_18839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGYGDEDVSGCGVSGIHYSISNPNPSTTPHYMQHQMQHLSYFSPIPVSQQVHLFQDLNEEQESSIKEPFWRPLDLDYKKEKDNYKIFSELEAICKPTSANAIAQNDEKKRKKKKKKKKRKKEQRLNSIVLFFESLTKKLIEHQEELHRKFLDAMEKKDQERMRKQEAWMKQEAEKAMARAEEQAISSARVATIVSFLENITKENANLIPDEINKDNDRTIFQEAEKEKNIVINTDIRMYSNRWPKAEGEALIGVRSKLEFMFKEAGVKGHLWEEVSSRMRRMGFNRSAKRCKEKWENINKYFRKTKDSGKARPVNSKTCPYYHQLDQLYSSSSMVASTSSELLDAIVVPNYEQVSFKFSEDEDGEYDDDDDVVDDDEEEEEEEEKGEI >DRNTG_00207.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15599464:15602325:1 gene:DRNTG_00207 transcript:DRNTG_00207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGELTLRVGDDKLTYRLAEAMRNSLVDEYVQEMFNPDPYEGLFDQEESNKEVMMLCSTGGETSTPGILKKVLRKMKRAQSLHRKCSKIVGDVHEPRKLDEPLLEKTHGRVEFPHARGCILRAHPEKPQGRAAAPVNDHATGARPWIISARACKLLQRCALHPEKTQGRADAPEWVWATLYVVLKGQLCPLLLRSIRSG >DRNTG_19744.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16184173:16230577:1 gene:DRNTG_19744 transcript:DRNTG_19744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIYRDNRLIIHVNGLQDIRHTSPESMLTKASPIALLQRKSMSNTIELPKF >DRNTG_15903.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6029572:6030205:1 gene:DRNTG_15903 transcript:DRNTG_15903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLFNGSLEVPPPPCRRRRRASPSPCLSPACSSLSVSSGSSSPLSCSFTPRGHA >DRNTG_09972.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000419.1:17067:21859:-1 gene:DRNTG_09972 transcript:DRNTG_09972.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAARFLAFRRSFSSSVTAGGAETLSGKKWDALVIGGGHNGLTAAAYLARAGLSVAVLERRHIIGGAAVTEEIVPGFRFSRCSYLQSLLRPSVIQELDLKRHGLKLLRRNPSSFTPCLDGRYLLLGPDAALNHSEIAKFSKKDAESYQAYEKQLERFCEFMDFLLDSRTPEIRQDLSSFVSQVKDKLQASAFWSRCLQQTFTLGQKDMLDFVELLVSPASKVLNNWFEAEVLKATLATDAVIGAMASVHTPGSGYVLLHHVMGETDGARGVWS >DRNTG_09972.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000419.1:9556:21859:-1 gene:DRNTG_09972 transcript:DRNTG_09972.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAARFLAFRRSFSSSVTAGGAETLSGKKWDALVIGGGHNGLTAAAYLARAGLSVAVLERRHIIGGAAVTEEIVPGFRFSRCSYLQSLLRPSVIQELDLKRHGLKLLRRNPSSFTPCLDGRYLLLGPDAALNHSEIAKFSKKDAESYQAYEKQLERFCEFMDFLLDSRTPEIRQDLSSFVSQVKDKLQASAFWSRCLQQTFTLGQKDMLDFVELLVSPASKVLNNWFEAEVLKATLATDAVIGAMASVHTPGSGYVLLHHVMGETDGARGVWSYVEGGMGSVSSAISTAAREAGAHIVTNAEVSQITVDAASGAITGVDLADGTHLHSSVILSNATPHRTFMELVSSDVLPENFLSVIKNTDYSSGTTKINIAVDRLPQFHCCNSIHSDVGSEHMGTIHIGSESMEEIDLGYRDALNGIPSKRPIIEMTIPSALDRTISPPGQHVVNLFIQYTPYKLSEGSWQDHNIRESFAQKCFSLIDEYAPGFSSSIVGYDMLTPPDLEREFGLTGGNIFHGAMGLDSLFLMRPVKGWSDYRTPLKGLYLCGSGAHPGGGVMGASGRNAASVVLQDLKRSSNA >DRNTG_09972.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000419.1:9556:16094:-1 gene:DRNTG_09972 transcript:DRNTG_09972.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVSSDVLPENFLSVIKNTDYSSGTTKINIAVDRLPQFHCCNSIHSDVGSEHMGTIHIGSESMEEIDLGYRDALNGIPSKRPIIEMTIPSALDRTISPPGQHVVNLFIQYTPYKLSEGSWQDHNIRESFAQKCFSLIDEYAPGFSSSIVGYDMLTPPDLEREFGLTGGNIFHGAMGLDSLFLMRPVKGWSDYRTPLKGLYLCGSGAHPGGGVMGASGRNAASVVLQDLKRSSNA >DRNTG_27509.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17045519:17048002:1 gene:DRNTG_27509 transcript:DRNTG_27509.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g79490, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G79490) UniProtKB/Swiss-Prot;Acc:Q9SAK0] MLLRSRTHLFLRRSQTLINPTLPRCLFSSGDRSAPWTDEILYLDESGSVLDSALGVRPVDPSLDSHLLVGHLRQPLSSSAAVSKLVELSLRWRWGPDLDSHLDLLPFSPSSSLLARALPLIPNSDSSFALFRWARRNPSFRLSDELLSLLLDRFISISDFPSIQSLFDDALKTLDSNPHPFSSSLNRAIQHLAMASNLEIAYCCFKKLKDDPNYADQITTKTYNSLITLFLSKALPYKAFEIYESMEASGCSLDSSTYDLMIPSLAKSGRLDAALRLFNDLKSRCSAGPRPGFPIYAALIDSMGKAGRLDAAVKLYQEMKLAGFKPSVTMYVSMIESMVKAGKLDAGMSLWDEMKIARFRPNFGLYTLMVETQAKSGRLEAACSIFSDMEKAGYLPTPSTYACLIELHSSAGNVDNAMKLYNSMTNAGLKPGLTTYTCLLSVLANKKLIDLAAKVLLEMKSLGFSVDVNASDVLMIYIKDGSTELALKWLRFMGSAGIRTNNFIVRQLFESCMKTGLYDSARPLLEMYVNSAAKVDLILYTSILAHSVRCQNEKNEKAIMDIMSVTRHKAHEFMCGLFTGPEQRKKPVLSFVREFFQGIDYETEESAARYFVNVLLNYLVLMGQMNRARCVWKVAYEHKLFPKAIVFDQHIAWSLDVRNLSVGAALVAVVHTLHRFRKRMLYYGVVPRRIKLVTGSTLKMVVAQVLASVESPFEVSKVVLRAPGDSVLDWFKKPIVQQFLLNDIPSRADVLMHKLNVLFPSSAPDVRSLSPVKPLVMSRMM >DRNTG_27509.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17045519:17047964:1 gene:DRNTG_27509 transcript:DRNTG_27509.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g79490, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G79490) UniProtKB/Swiss-Prot;Acc:Q9SAK0] MLLRSRTHLFLRRSQTLINPTLPRCLFSSGDRSAPWTDEILYLDESGSVLDSALGVRPVDPSLDSHLLVGHLRQPLSSSAAVSKLVELSLRWRWGPDLDSHLDLLPFSPSSSLLARALPLIPNSDSSFALFRWARRNPSFRLSDELLSLLLDRFISISDFPSIQSLFDDALKTLDSNPHPFSSSLNRAIQHLAMASNLEIAYCCFKKLKDDPNYADQITTKTYNSLITLFLSKALPYKAFEIYESMEASGCSLDSSTYDLMIPSLAKSGRLDAALRLFNDLKSRCSAGPRPGFPIYAALIDSMGKAGRLDAAVKLYQEMKLAGFKPSVTMYVSMIESMVKAGKLDAGMSLWDEMKIARFRPNFGLYTLMVETQAKSGRLEAACSIFSDMEKAGYLPTPSTYACLIELHSSAGNVDNAMKLYNSMTNAGLKPGLTTYTCLLSVLANKKLIDLAAKVLLEMKSLGFSVDVNASDVLMIYIKDGSTELALKWLRFMGSAGIRTNNFIVRQLFESCMKTGLYDSARPLLEMYVNSAAKVDLILYTSILAHSVRCQNEKNEKAIMDIMSVTRHKAHEFMCGLFTGPEQRKKPVLSFVREFFQGIDYETEESAARYFVNVLLNYLVLMGQMNRARCVWKVAYEHKLFPKAIVFDQHIAWSLDVRNLSVGAALVAVVHTLHRFRKRMLYYGVVPRRIKLVTGSTLKMVVAQVLASVESPFEVSKVVLRAPGDSVLDWFKKPIVQQFLLNDIPSRADVLMHKLNVLFPSSAPDVRSLSPVKPLVMSRMM >DRNTG_27509.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17045519:17048058:1 gene:DRNTG_27509 transcript:DRNTG_27509.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g79490, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G79490) UniProtKB/Swiss-Prot;Acc:Q9SAK0] MLLRSRTHLFLRRSQTLINPTLPRCLFSSGDRSAPWTDEILYLDESGSVLDSALGVRPVDPSLDSHLLVGHLRQPLSSSAAVSKLVELSLRWRWGPDLDSHLDLLPFSPSSSLLARALPLIPNSDSSFALFRWARRNPSFRLSDELLSLLLDRFISISDFPSIQSLFDDALKTLDSNPHPFSSSLNRAIQHLAMASNLEIAYCCFKKLKDDPNYADQITTKTYNSLITLFLSKALPYKAFEIYESMEASGCSLDSSTYDLMIPSLAKSGRLDAALRLFNDLKSRCSAGPRPGFPIYAALIDSMGKAGRLDAAVKLYQEMKLAGFKPSVTMYVSMIESMVKAGKLDAGMSLWDEMKIARFRPNFGLYTLMVETQAKSGRLEAACSIFSDMEKAGYLPTPSTYACLIELHSSAGNVDNAMKLYNSMTNAGLKPGLTTYTCLLSVLANKKLIDLAAKVLLEMKSLGFSVDVNASDVLMIYIKDGSTELALKWLRFMGSAGIRTNNFIVRQLFESCMKTGLYDSARPLLEMYVNSAAKVDLILYTSILAHSVRCQNEKNEKAIMDIMSVTRHKAHEFMCGLFTGPEQRKKPVLSFVREFFQGIDYETEESAARYFVNVLLNYLVLMGQMNRARCVWKVAYEHKLFPKAIVFDQHIAWSLDVRNLSVGAALVAVVHTLHRFRKRMLYYGVVPRRIKLVTGSTLKMVVAQVLASVESPFEVSKVVLRAPGDSVLDWFKKPIVQQFLLNDIPSRADVLMHKLNVLFPSSAPDVRSLSPVKPLVMSRMM >DRNTG_10223.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:40368:43401:1 gene:DRNTG_10223 transcript:DRNTG_10223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIAAIALDSCLHRKNGIVDDDDDHHHHHHRNSKSPHPHPSFFPALYATPVSVQIADSSPTPDFPNPYLLNHKRRDCVLPAAHHGRVQPDHDPDEADETSIRTEGYQAAAAEMSTPDCKGSGMESDQDDDVIDDFFDLRDSMSVISSSEAEDGGDNVRHPRCSTRSVYTEHSDFYDAEEFLSDCSISRPSLASSNFEVALQSLRLSLFEETEKRKGAEEALLHMHKQWQKLLTYFSQLGLSLPDIQVSGNLCSEIDPEPICQEIVVTRLVSEAVQRGVIRAEIEAIAEAVIEEKNYEISRLRDRLQYYEAVNHEMSQRNQEVIEFARQRRQRRNTRQKWVWACIGFSITVGVSLFGCSYIPNFGKDQLHDSSSDASSGIMETQKI >DRNTG_16329.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18869796:18870629:1 gene:DRNTG_16329 transcript:DRNTG_16329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGFHARSNSLPTRSHPMISTAEEELNKLKACAMVSPKMICKSLSSLSVFYGCIEELLQMPSNQQALSHSQEKIWVEEELEASLRLVELCGVIKDTLAVTKEHAKELEMVLRRKRSMTNESKQQYHIQSDKKTRKSIKNCVKALKQMDGKDSHKSTVSKMFTEAREVTISLLKSVASSLPPSSTKKSSRWSLVSKALHKKKVTCEEFEDENCRDFSFNSMYECVSCKDIDGLRVVKAQDQLAEIMSSLEGLEIELESLYRRLIQNRVSLLNLLSQ >DRNTG_16860.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21918558:21926807:1 gene:DRNTG_16860 transcript:DRNTG_16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQISSLRFLSSNPLFKSSFTSTIFLDKPRSLLKPYSPFTVLACRKPHRSRSGGGGGRGGWIFPQRRSSGGSGTLREKKVEMEKEFGFNKRRAEGRDKSGKPKTLQLKMRKLNPVSTICYVQILGTGMDTQDTSPSVLLFFDKQRFIFNTGEGLQRFCTEHKIKLSKIDHMFLTRVCSETAGGLPGLLLSLAGMGEEGMSVNIWGPSDLNYLVDAMKSFIPNAAMVHTHSFGAMENSDHTTFSNSEAGKHTDPIVLIDDEVVRISAILLRPRNSGKLTDVMNESNVSLLTSLAREHNTDQIHDPLVQCSDNILIKKESPLKPGDIAVLYACELPEIKGKFDPDKAASLGLKPGPKYRELQLGNSVMSDRLNIMVHPSDVLGPSSPGPIILLVDCPSGVHIPDLLSAESLKCYYVDSVDQQRECTKTVNCIIHLGPASVTKRLEYQKWMERFAHAQHIMAGHEM >DRNTG_16860.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21918558:21920038:1 gene:DRNTG_16860 transcript:DRNTG_16860.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSQISSLRFLSSNPLFKSSFTSTIFLDKPRSLLKPYSPFTVLACRKPHRSRSGGGGGRGGWIFPQRRSSGGSGTLREKKVEMEKEFGFNKRRAEGRDKSGKPKTLQLKMRKLNPVSTICYVQILGTGMDTQDTSPSVLLFFDKQRFIFNTGEGLQRFCTEHKIKLSKIDHMFLTRVCSETAGGLPG >DRNTG_16860.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21922145:21926807:1 gene:DRNTG_16860 transcript:DRNTG_16860.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEPWIRESACVPSENMGEQMAAASRNDFSCPKGSWENKVEHSSEVPACLNNISREDMEIILLGTGSSQPSKYRNVSSIFINLFSRGSLLLDCGEGTLAQLKRRFGVKGADDAVKSLKFIWISHIHADHHAGIARVLSLRCQLLKDVPHEPLLVIGPRPLKQFLNAYSRLEDLDVQFLDCRHTTEESLESLNLVRNSGKDCSPEYADKDYVGEKNEFPRSQKLKSTLFSQSGKMQSFWKRHGSPVDTTTVLPQLMNLKHVLKEAGLETSYSVPVVHCPHAFGIVLKAAVRINNMGKSIPGWKFVYSGDTRPCQALIDASHDATVLIHEATFEDNLEDEAIAKNHSTTKEAIKVGTSAGAYRVILTHFSQRYPKFPVFDERHMRNTCIAFDLMSVNVADLPVLPKVLPYLKVLFRNEMILDEQDDAVELASQQ >DRNTG_16860.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21918558:21919405:1 gene:DRNTG_16860 transcript:DRNTG_16860.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSQISSLRFLSSNPLFKSSFTSTIFLDKPRSLLKPYSPFTVLACRKPHRSRSGGGGGRGGWIFPQRRSSGGSGTLREKKVEMEKEFGFNKRRAEGRDKSGKPKTLQLKMRKLNPVSTICYVQILGTGMDTQDTSPSVLLFFDKQRFIFNTGE >DRNTG_16860.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21918558:21926807:1 gene:DRNTG_16860 transcript:DRNTG_16860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQISSLRFLSSNPLFKSSFTSTIFLDKPRSLLKPYSPFTVLACRKPHRSRSGGGGGRGGWIFPQRRSSGGSGTLREKKVEMEKEFGFNKRRAEGRDKSGKPKTLQLKMRKLNPVSTICYVQILGTGMDTQDTSPSVLLFFDKQRFIFNTGEGLQRFCTEHKIKLSKIDHMFLTRVCSETAGGLPGLLLSLAGMGEEGMSVNIWGPSDLNYLVDAMKSFIPNAAMVHTHSFGAMENSDHTTFSNSEAGKHTDPIVLIDDEVVRISAILLRPRNSGKLTDVMNESNVSLLTSLAREHNTDQIHDPLVQCSDNILIKKESPLKPGDIAVLYACELPEIKGKFDPDKAASLGLKPGPKYRELQLGNSVMSDRLNIMVHPSDVLGPSSPGPIILLVDCPSGVHIPDLLSAESLKCYYVDSVDQQRECTKTVNCIIHLGPASVTKRLEYQKWMERFAHAQHIMAGHEMKNVEIPILRSSARISSRLNYLCPQLFPAPSLQSLHINGQSLKLDGSCEASSSSICENISAENLLKFHLRPYAQLGLDRSAIPSSLKTTEVVNELLSEIPEIVDISTHVSQLWHGNLEENHDALPKDHIDMVEEPWIRESACVPSENMGEQMAAASRNDFSCPKGSWENKVEHSSEVPACLNNISREDMEIILLGTGSSQPSKYRNVSSIFINLFSRGSLLLDCGEGTLAQLKRRFGVKGADDAVKSLKFIWISHIHADHHAGIARVLSLRCQLLKDVPHEPLLVIGPRPLKQFLNAYSRLEDLDVQFLDCRHTTEESLESLNLVRNSGKDCSPEYADKDYVGEKNEFPRSQKLKSTLFSQSGKMQSFWKRHGSPVDTTTVLPQLMNLKHVLKEAGLETSYSVPVVHCPHAFGIVLKAAVRINNMGKSIPGWKFVYSGDTRPCQALIDASHDATVLIHEATFEDNLEDEAIAKNHSTTKEAIKVGTSAGAYRVILTHFSQRYPKFPVFDERHMRNTCIAFDLMSVNVADLPVLPKVLPYLKVLFRNEMILDEQDDAVELASQQ >DRNTG_16860.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21923817:21926807:1 gene:DRNTG_16860 transcript:DRNTG_16860.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEPWIRESACVPSENMGEQMAAASRNDFSCPKGSWENKVEHSSEVPACLNNISREDMEIILLGTGSSQPSKYRNVSSIFINLFSRGSLLLDCGEGTLAQLKRRFGVKGADDAVKSLKFIWISHIHADHHAGIARVLSLRCQLLKDVPHEPLLVIGPRPLKQFLNAYSRLEDLDVQFLDCRHTTEESLESLNLVRNSGKDCSPEYADKDYVGEKNEFPRSQKLKSTLFSQSGKMQSFWKRHGSPVDTTTVLPQLMNLKHVLKEAGLETSYSVPVVHCPHAFGIVLKAAVRINNMGKSIPGWKFVYSGDTRPCQALIDASHDATVLIHEATFEDNLEDEAIAKNHSTTKEAIKVGTSAGAYRVILTHFSQRYPKFPVFDERHMRNTCIAFDLMSVNVADLPVLPKVLPYLKVLFRNEMILDEQDDAVELASQQ >DRNTG_16860.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21920115:21926807:1 gene:DRNTG_16860 transcript:DRNTG_16860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGMSVNIWGPSDLNYLVDAMKSFIPNAAMVHTHSFGAMENSDHTTFSNSEAGKHTDPIVLIDDEVVRISAILLRPRNSGKLTDVMNESNVSLLTSLAREHNTDQIHDPLVQCSDNILIKKESPLKPGDIAVLYACELPEIKGKFDPDKAASLGLKPGPKYRELQLGNSVMSDRLNIMVHPSDVLGPSSPGPIILLVDCPSGVHIPDLLSAESLKCYYVDSVDQQRECTKTVNCIIHLGPASVTKRLEYQKWMERFAHAQHIMAGHEMKNVEIPILRSSARISSRLNYLCPQLFPAPSLQSLHINGQSLKLDGSCEASSSSICENISAENLLKFHLRPYAQLGLDRSAIPSSLKTTEVVNELLSEIPEIVDISTHVSQLWHGNLEENHDALPKDHIDMVEEPWIRESACVPSENMGEQMAAASRNDFSCPKGSWENKVEHSSEVPACLNNISREDMEIILLGTGSSQPSKYRNVSSIFINLFSRGSLLLDCGEGTLAQLKRRFGVKGADDAVKSLKFIWISHIHADHHAGIARVLSLRCQLLKDVPHEPLLVIGPRPLKQFLNAYSRLEDLDVQFLDCRHTTEESLESLNLVRNSGKDCSPEYADKDYVGEKNEFPRSQKLKSTLFSQSGKMQSFWKRHGSPVDTTTVLPQLMNLKHVLKEAGLETSYSVPVVHCPHAFGIVLKAAVRINNMGKSIPGWKFVYSGDTRPCQALIDASHDATVLIHEATFEDNLEDEAIAKNHSTTKEAIKVGTSAGAYRVILTHFSQRYPKFPVFDERHMRNTCIAFDLMSVNVADLPVLPKVLPYLKVLFRNEMILDEQDDAVELASQQ >DRNTG_16860.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21918558:21926807:1 gene:DRNTG_16860 transcript:DRNTG_16860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFWKRHGSPVDTTTVLPQLMNLKHVLKEAGLETSYSVPVVHCPHAFGIVLKAAVRINNMGKSIPGWKFVYSGDTRPCQALIDASHDATVLIHEATFEDNLEDEAIAKNHSTTKEAIKVGTSAGAYRVILTHFSQRYPKFPVFDERHMRNTCIAFDLMSVNVADLPVLPKVLPYLKVLFRNEMILDEQDDAVELASQQ >DRNTG_26897.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3377460:3380533:-1 gene:DRNTG_26897 transcript:DRNTG_26897.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVNSGPPPNVIHNATMEGCLKVAKNEDVVVRPIANFHPSLWGDYFITNATLSSTHQEEQMKRRVQVVVKDVKILLKDANGSMREEMQLIDALQRLGVAYHFEQEINEALCFINTTSSSGHHSYSDNDLHFVALRFRLLRQHHYYVPPDVFNQFMDDKGKFREEMSNDLNGLLSLYEAAYLGIPGEDLLDEAIDFTRSHLQSLVKHIGPCLARKVKHALETPLRRRISRLNARLCISIYEEDTEAKNEVVLELAKLDFHILQLLHREEVKKISMWWKDVGVPTKLTFARDRIVELYFWMLGAYFEPQYSRARMMLVKAIFMLSLMDDVYDSYGTLAELQHFTGAIQRWDLKAADEMEECLRVAFLAIYQTMGELEAEVLKDGKLYRIDYLRREFEKSAIAYLEEAKWRDECYVPSLAEHLELSIKTAVLNVVTCASFIGMGEIAGKHPFDWLASFPQIIKDASKMGRIMDDIGSFEIDAKMGRKHVVSTIHCCMNEFGDSLEEAKARLLHLAEDAWKGINKECLHLTIPPALLARVVNIACMMEAIYYGNIDGYTEASVLKNSISLLLVQPI >DRNTG_02745.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000125.1:2883:6689:-1 gene:DRNTG_02745 transcript:DRNTG_02745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTWRRTGGMVIWRSGTSILLYRGAGYGFPLIVKENVLNQSSQCSEFLKTVSCTSRSDPLEDTEDCNMQPPAERKKHVGTVPENKSQIEIENLLDNLGPRYTDWPGSDPSPVDADLLPGTVPGYKPPFRVLPYGVKSSLGPKEGTTLRRLARLLPPHFALGRNRQYQGLAAAMLVLWEKCPIAKIALKHSVQLKTSERMAEDIKKMTGGTLLSRNKHYLVFYRGKSFLSLEVAEMLLEWERSAKALE >DRNTG_10576.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1587484:1591740:1 gene:DRNTG_10576 transcript:DRNTG_10576.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATIELVKARQIFDSRGNPTVEADVRLTNGIVARAAVPSGASTGVYEALELRDGGSDYLGKGVLKAVENVNSIIGPALVGKDPTEQTLIDNFMVQELDGTKNEWGWCKQKLGANAILAVSLAVCKAGAMVKGIPLYQHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKIGAEVYHHLKSVIKKKYGQDATNVGDEGGFAPNILENKEGLELLKTAIAKAGYTDKVVIGMDVAASEFYNDKDKTYDLNFKEENNDGSQKISGDKLKDLYKSFVKEYPIASIEDPFDQDDWTHYAKLTAEIGEQVQIVGDDLLVTNPTRVSKAIKEKACNALLLKVNQIGSVTESIEAVKMSKHAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGDAAIYAGPKFRAPVEPY >DRNTG_10576.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1587484:1591740:1 gene:DRNTG_10576 transcript:DRNTG_10576.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIELVKARQIFDSRGNPTVEADVRLTNGIVARAAVPSGASTGVYEALELRDGGSDYLGKGVLKAVENVNSIIGPALVGKDPTEQTLIDNFMVQELDGTKNEWGWCKQKLGANAILAVSLAVCKAGAMVKGIPLYQHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKIGAEVYHHLKSVIKKKYGQDATNVGDEGGFAPNILENKEGLELLKTAIAKAGYTDKVVIGMDVAASEFYNDKDKTYDLNFKEENNDGSQKISGDKLKDLYKSFVKEYPIASIEDPFDQDDWTHYAKLTAEIGEQVQIVGDDLLVTNPTRVSKAIKEKACNALLLKVNQIGSVTESIEAVKMSKHAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQVLHKGSCSRE >DRNTG_10576.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1587484:1591740:1 gene:DRNTG_10576 transcript:DRNTG_10576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIELVKARQIFDSRGNPTVEADVRLTNGIVARAAVPSGASTGVYEALELRDGGSDYLGKGVLKAVENVNSIIGPALVGKDPTEQTLIDNFMVQELDGTKNEWGWCKQKLGANAILAVSLAVCKAGAMVKGIPLYQHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKIGAEVYHHLKSVIKKKYGQDATNVGDEGGFAPNILENKEGLELLKTAIAKAGYTDKVVIGMDVAASEFYNDKDKTYDLNFKEENNDGSQKISGDKLKDLYKSFVKEYPIASIEDPFDQDDWTHYAKLTAEIGEQVQIVGDDLLVTNPTRVSKAIKEKACNALLLKVNQIGSVTESIEAVKMSKHAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQASSYSMLVFFSLMCPTKIDNYYCYFLGLSNAGTAQGLMLS >DRNTG_04859.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22409736:22410587:1 gene:DRNTG_04859 transcript:DRNTG_04859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQWLQALQLLSFSYASSPSPSEQLAIHSPLNNSSNASKAKPHQITSPKSELLYFPNTTSYTTVLHSSISNTRFTTPQTPKPLLIITPTQESHVQASVLCCKNYSLSLRVRSGGHDFEGLSYRTVDNQSFMVLDLTDYRKVTVDVEHRTALAQAGAGGVGTLVRKYGLAADNVIDVRLVDAHGRILDKNSMGENLFWAVRCGGAASFCVVLSWKLRLVPVPQNVTLFNVVKSLQDDGLDIIYKWQRAVA >DRNTG_13105.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9936321:9960514:1 gene:DRNTG_13105 transcript:DRNTG_13105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKKLIQIILDVSTFVLYSFISNLSMKFLRE >DRNTG_12749.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25847584:25848538:1 gene:DRNTG_12749 transcript:DRNTG_12749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRIIDDDDGHLSDPATVPSSKPRNSAPEHPRRPMSHSQPLVVRDPAYALSNSAKRNALRKHSLDDDRILPPESSPSSSSNPFCVDTPRSYSYSHYIPPHLPSPGRPNPASLSSGEPNGSPVAELSEFLVPPGALPEFIGRGGGTGIFKPPLRAPTLPNRPPPLEIRPHPYRETQVNSFLRTIAYAPSQLWAGHESGVRVWELEDVYKAWDDKDGGFSGFNVKRGDEQSAPFRESCCPTTPTLCLVVDAAN >DRNTG_20166.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4798905:4801279:1 gene:DRNTG_20166 transcript:DRNTG_20166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIGDRRAQTLHEVQIVILPIIMNDHFHVVVLDNDKQEYMHYSSYAGYEKDALDMRNLFDTAVNMQFGESATAKYPLVYDMETPRQK >DRNTG_32268.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:7137057:7151200:1 gene:DRNTG_32268 transcript:DRNTG_32268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWKVLYSSSKDSLVRAGIRRLHGRGCSTRPCRISTRAWMLHCSTTVYDLNSFPIPYFHRDNARLERVERVSREVAEGPSGVFRLNQRVRSTHRNRVYHMESLELYATLHSICAIVGYTVPIGRGYTSQAQRFIAQFRKSSGQFVKSLSERPQGSLPSNTETNPREDMKVITLRNGHEIEGRFPWEKTNVEALEVMEYPLEKRLFNIT >DRNTG_29059.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001464.1:15573:17504:1 gene:DRNTG_29059 transcript:DRNTG_29059.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKVAFLPRSVSQIKCLPLELPLNSKFSFVTPRSAGPWMQQPPREPEEGYRHATPARLTTP >DRNTG_31218.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2373634:2390190:1 gene:DRNTG_31218 transcript:DRNTG_31218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPSKFVSVNLNKSYGQPPAPSSSSAHGRPRPGTQGMVVLSRPRSSGSGGPKSVPKLSVPPPLNLPSLRKEHERFDSATGLGSGAGAGSSGSGSGTATLGWTKPGVPLADGGQQSSGSRFGGQPLAAAAALPARAVEKAVVLRGEDFPSLLASFSSPQKAKDSLKQKQEHGSVEKLELDYPLHMRPQMRSSLHLPSNVNEGDSLSVQKQPQKKDGLLPGPLPIVRLSHTSDWADDERDTGLSIPERERDRGFSRTTDSPDVWAARDSEGPGSSTRDFLRGDLFGRNLNDQNKEAGSWRMGQQQKDGFASRGAGVSRDGIGARPFNVSREMNKSNSFGHQDNARDGYGNGPQDSRYGRRDFSSGMNAQNGHEQNLRGRYNDMSGNRFRGDTFTNGLAAKGPFTSGSKGFSVNDPVLNFGREKRTSVNMGKPYAEAPGFDSGDLFSGDLSGDLNVKLFRKRKDVLKQADFHDPVRESFEAELERVQHMQEQQRQRVLEEQARALEIARKEEEERERLVREEEERRRLVEEEAREAAWRAEQERLEAVRRADELKIAREEERRRIQMEEERRKEAARQKLMELEAKIARRQAESDIKDDKMPPVVTEERVVGSVKETDAPRVTEAREWEDSERMVVGVTSSASSDSLAMNKSFDTGSRPQSLREGNLAFMDRGKPGDYWKRDAYDNGGNSMFFPQDQQNEYPSPRRDAFGSGRGFPRKDFYGNPGKLSTRSFAKGGTTEPSRMPDDHRYLRGDRWNAAGDGDCYSRNADLDAEFLDNDKFGDGGWGTSPSHGRPHSPYGERLFQNPEADGFSSFARSRHSMRQPRVLPPPVSSMQRSSFRAPSEHRTSSSYVDCDSMYPHSRDNEQEVSQAAYHGKFQEGIHRHGSTGLLEESSFSSRQEKNSPQCDSQSSLSVSSPPSSPSQPSHDDIDESGESATRPSTAIEGQSVLTDNENAVSSVLGEDAVMMTSSSVTHGEDEWAVDNNEEIQEQDEYDEEGDDYQEEDEAHERDNVNLDLSHEFEDLQADLQNTVDEMGQLVLGFNEGVEVKIPLNDETLSNSWDNGNTIGIEEGLGGGMTKLVSNDMDALQNEKAFPDGVMSSPAEMINESEKALQDLVLDQEAPCNQPLSNVDTFNISGMPPQQLPLPSASSIQPILSSVSTVSSQAEAPLKLQFGLFSGPSLIPSPVPAIQIGSIQMPLQLHPHVGPSMTQLHSSQPSFFQFGQLRYPPPISQGVLPLPQAMSFVQPSASPHYSFNQNLGGSLQNRVTGDTSSQNRFRDSVILDKQSAPFKKPFDLPQDERRQLNMLPEPSANEGQASHTHGNDTSSAENKNKLSSVSQAERHGVRNGMVKRNYRPVASKKESQPQIHAEPITSQYFSGEKNINQSKYTGIGSGSRGKRHVYTARITESRTPSLGPDASQIKSGGFQRRTRRNIRRTEFRVRGNIDGRQTEGVESYNYAGQEEEPIGIEMVSGTSYREKRDNALIKSSSMIENENTSSGSSGARVFSGDDKMVRVPGREVESNLQKLPTDNIPVGTLKRNGISEEDVDAPLQSGVVRVFKQPGIEAPSDEDDFIEVRSKRQMLNDRREQREKEIKAKSRTMKAPRKRSAVTQTIPVISNSNTAVTSCVGDVAINACSDPVVTSGRGFANAEPSPVFAANMTSQILPPIGAPAVNGESAARHNKLKSTQTPVSVISNTGPRLGPGLAIESKNAHDTAFGSWGHTDISQQVIALTQTQLDEAMNPARSDSKVSSNLALEHKVSMSNMTQDKPFTVSTSPLTSLLAGEKIQFGAVTLPPILPPVSRSVSIGIGPPEKHLEQPCAHLEDPEAEAEAEAAASAVAVAAISNNDEIIGNRASGSSVSVSDSKSLCSVDASGLTSGGGTANQEVTNHSAGEESLTVALPADLSVDTPTMSLWPPLPSPQNSSGPMLSHFPGAPPSHFPCFEMNPILGAPIFAFGSHDESVGTQAQPQRNSMLGSGPPGAWPPSAVDSFYGTPTGFSGPFISPSGIPGVQGPPHMVVYNHFTPVGQFGQVGLSFMGTTYIPTGKQLDWKQNPASSSADVREGDIGNLNVASGQRNPPSLQGPIQHIAPGSPLMPPLAMFDMSPFQSSAEIPMQARWPHIPAPSPLHTVPLTVAQQQHQVENGMPPHFSQSLHSDASTGINRLNEARSSTSGDVNRNFSLPTDASAPFPTEFAMVESSSAATSSVQTMRTSSIPASGNNTRDSSSTKGSTRHATANASDMGEIGTTSNNTDGHSVVPSLKSQSAQQLTSQGQQQYIHSVAYVADQRAPGASQKMVSGSDWHRRVGFHGRNQSLGSEKNFGSAKVKQIYVAKQPTGGPANPG >DRNTG_31941.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001764.1:5599:9888:1 gene:DRNTG_31941 transcript:DRNTG_31941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVKNLLKSDDLLKYMLETNVYPREHEQLKELREVTMKDIRGEMSVPADEGQLLSVILKLMNAKKTIEIGVFTGYSLLTTALALPKDGKIIAIDMDRSSFEIGLPFIQKAGVEEKINFIESEAIPILDKMIEEAKDGEEDLYDFAFVDADKTNYGEYHERLLKLVKIGGAIIYDNT >DRNTG_31941.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001764.1:5749:6600:1 gene:DRNTG_31941 transcript:DRNTG_31941.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLETNVYPREHEQLKELREVTMKDIRGEMSVPADEGQLLSVILKLMNAKKTIEIGVFTGYSLLTTALALPKDGKIIAIDMDRSSFEIGLPFIQKAGVEEKINFIESEAIPILDKMIEEVKS >DRNTG_31941.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001764.1:5599:6600:1 gene:DRNTG_31941 transcript:DRNTG_31941.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVKNLLKSDDLLKYMLETNVYPREHEQLKELREVTMKDIRGEMSVPADEGQLLSVILKLMNAKKTIEIGVFTGYSLLTTALALPKDGKIIAIDMDRSSFEIGLPFIQKAGVEEKINFIESEAIPILDKMIEEVKS >DRNTG_03569.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5871943:5875799:1 gene:DRNTG_03569 transcript:DRNTG_03569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDEGLNLDDALVLYYAIENYSCEVVKALLDADVAAADVFAAAIAAAVDVADVIGVFDEEARAKIISELLTVPQFEQLVQDPYANYVIQSALVNSKGSLHAALVEAIQPYPSVLRASPYCKRIFSQSSTEEVIVPTAFDIDFLQRRVVGGAFDGLDSAGCPLGLMKKSLEKMRGLLKTIDTDDKGVISMDFLHLLLISSMTLNCSIAS >DRNTG_27777.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24344308:24350445:-1 gene:DRNTG_27777 transcript:DRNTG_27777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSASGCPKPRSGILQAPTFPSPPAISNPHASSIPRQSANEEAAPLFLSRKTPLSSRLFEEMHTSVQRLNTLVTFAAVLLAVLCGVASFFDGFNTHSVHGTVEVVKIRKFRKQLNGNEEVTMNLNISLDLQSTFTWNTKQISIWDHIIPDKEHAKFQTQVPSKYPLTDQGSNLKGKKIDLVLHWHIMPKSGKMIQDKLPLSHFYLPEAYL >DRNTG_27777.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24344308:24348443:-1 gene:DRNTG_27777 transcript:DRNTG_27777.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSVQRLNTLVTFAAVLLAVLCGVASFFDGFNTHSVHGTVEVVKIRKFRKQLNGNEEVTMNLNISLDLQSTFTWNTKQISIWDHIIPDKEHAKFQTQVPSKYPLTDQGSNLKGKKIDLVLHWHIMPKSGKMIQDKLPLSHFYLPEAYL >DRNTG_11757.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:711519:711634:1 gene:DRNTG_11757 transcript:DRNTG_11757.2 gene_biotype:protein_coding transcript_biotype:protein_coding LPQWKETLAGARSRYYAIFKDLANKFPHENLLLVTHGE >DRNTG_11757.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:709835:712101:1 gene:DRNTG_11757 transcript:DRNTG_11757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTAVQNLVVMRHGDRMDVAVPMWLTHADRPWDPPLTDDGLIRAWTTGKRLRAIGFPIHRVIVSPFLRCLQTAREVVSALCAIVDDETQLLAMETSEGVVIDPSKVKVSIEYGLCEALCVEAIKPEYAPKDGIWFPDLSDLEAKLPIGTIDHSVDMVYKELPQWKETLAGARSRYYAIFKDLANKFPHENLLLVTHGEGVGVSVSSFLKDAQVFEVEYCAYSHLQRQMSFDSSEVTETDFKVLTASGKTGVHFLEAIDSKLLE >DRNTG_25983.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001343.1:31383:36997:1 gene:DRNTG_25983 transcript:DRNTG_25983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWISHLHNVNKHHHHQPRFFLDLIHTHVLDPTLLHSEQTLLSPPPPPSPLQHRHVPPSLPPPYKPPSPSSPSKTQTSPTPPATPCALPTFLDFDCALVMEFSGDLRSQELDSDGMV >DRNTG_03041.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16460317:16464843:1 gene:DRNTG_03041 transcript:DRNTG_03041.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKRRLGQGFIDEIKLGENNQDDDISPNPIKNLYFEPMICLYFVYMMG >DRNTG_06323.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6929829:6932247:-1 gene:DRNTG_06323 transcript:DRNTG_06323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEFMSGLYRLITVIIVLLVTIFVIKILRRIWSKGDNKKLFPPMAGTIFHQLLNFSTVHDYHTKISRKYKTFRLFTPFGNQTYTVDPENIEYILRTNFKNYGKDLFMRSTMDSIFNVGFGVELDSLGGPDAGNTFTKAFDNSSEYITRRYFNPFWKIMRLMNIGPEAELKEGIKLVDDFVYKIIHARMEHKSTQDSDSMKKEDILSRFLVEREKDPANMTDKYLRDIILNFLIAGKDTTAGTLSWFIYMLCKHPWIQDKVAQQVKEATEACKNTEIDEFARNLTDKALDGMHYLHAALTETLRLYPSVPLDAKVCFSDDTLPDGFTVEKGDIIFYQPYPMGRMKYIWGDDAEIFRPERWLDDNGIFKPESPFKFTAFQAGPRICLGKDFAYRQMKIFAAVLLHFFSFKLSDEDKVVKYRTMITLHIDQGLHINAFHR >DRNTG_06323.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6929829:6931268:-1 gene:DRNTG_06323 transcript:DRNTG_06323.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTMDSIFNVGFGVELDSLGGPDAGNTFTKAFDNSSEYITRRYFNPFWKIMRLMNIGPEAELKEGIKLVDDFVYKIIHARMEHKSTQDSDSMKKEDILSRFLVEREKDPANMTDKYLRDIILNFLIAGKDTTAGTLSWFIYMLCKHPWIQDKVAQQVKEATEACKNTEIDEFARNLTDKALDGMHYLHAALTETLRLYPSVPLDAKVCFSDDTLPDGFTVEKGDIIFYQPYPMGRMKYIWGDDAEIFRPERWLDDNGIFKPESPFKFTAFQAGPRICLGKDFAYRQMKIFAAVLLHFFSFKLSDEDKVVKYRTMITLHIDQGLHINAFHR >DRNTG_17554.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29130938:29134107:1 gene:DRNTG_17554 transcript:DRNTG_17554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAPTTDFPVVLTHGGRYLQYDIFGNLFEISAKYQPPIMPIGRGAYGIVCSVMNSETREMVAIKKIANAFDNHMDAKRTLREIKLLRHLDHENIIGIRDVIPPSNPEAFNDVYVATELMDSDLHNIIRSNQNLSEEHCQYFLYQILRGLKYIHSANVIHRDLKPSNLLLNANCDLKICDFGLARPTSENDIMTEYVVTRWYRAPELLLNSTDYTAAIDVWSVGCIFHGANEQEATIPRKGSHASNASDYGAHWHTQ >DRNTG_32112.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001785.1:1869:3345:-1 gene:DRNTG_32112 transcript:DRNTG_32112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCDGGHQGGEGYDRWIVKMETLFRSQNLWKIVEEGPLSEVTEVQKMESVKDNAKALSMIQQALEKASFDRIIRLKTAKEAWGYIKTEIKRLGFDTEDKEVVSKVMRSLTQNQQNKEEVANLFKKEEEVASILKVKEELVLKEVGVVMIVPKVDISEVIKEAEVMVEISLLVKGFLVIQGDGSVNSVGS >DRNTG_04448.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23979940:23983405:-1 gene:DRNTG_04448 transcript:DRNTG_04448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSGSAIAANDNDAVAAAKRKKIRRACGNYPRPCASIGETSQGQMHAPVRSQKNTHMKFPTGVDMYKASSQRHSHALVYFRDRRDLLCRDPHGLAKITHACAFVTRLLIGASPRPYALSGKISKIHLAGSVPTKALSLIFVQIECDVEAIEPPKSLANTSPNPSQSHLSRWGKDGEKNAQIGAEIGFK >DRNTG_09728.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32238698:32244222:1 gene:DRNTG_09728 transcript:DRNTG_09728.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 6 subunit B [Source:Projected from Arabidopsis thaliana (AT3G20780) UniProtKB/Swiss-Prot;Acc:Q9C5V6] MDTGGSSESPTEPKNPKRGRPKTSHKAKESSLKQKSPAEFFAENKNIAGFDNPGKSLYTTVRELVENSLDSAESISELPVIEITIEEISKSKFNMMIGLIDRERVDEELYDDFESAKAREKRLAKEARIQETQAKKAALGKKMKEPASVKGVKGRGEASFYRVTCKDNGRGMPHDEIPNMFGRVLSGTKYGLKQTRGKFGLGAKMALIWSKMSTGLPIEIASSMRGQNFKSFCKLDIDIHNLL >DRNTG_09728.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32242871:32244222:1 gene:DRNTG_09728 transcript:DRNTG_09728.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 6 subunit B [Source:Projected from Arabidopsis thaliana (AT3G20780) UniProtKB/Swiss-Prot;Acc:Q9C5V6] TAIQQCCVQLKSKIVKKLQARERQERKRNLTRYIPDASNAIYDILEKISQNKETKRHCYEAEDNELLEKVSSQEITEISLRDRLTQHVEQVDYEMALEYAAQSGVSEEPREDIYVNSLDGSHNYIDFQSPIFVFRLCI >DRNTG_09728.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32238698:32244222:1 gene:DRNTG_09728 transcript:DRNTG_09728.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 6 subunit B [Source:Projected from Arabidopsis thaliana (AT3G20780) UniProtKB/Swiss-Prot;Acc:Q9C5V6] MDTGGSSESPTEPKNPKRGRPKTSHKAKESSLKQKSPAEFFAENKNIAGFDNPGKSLYTTVRELVENSLDSAESISELPVIEITIEEISKSKFNMMIGLIDRERVDEELYDDFESAKAREKRLAKEARIQETQAKKAALGKKMKEPASVKGVKGRGEASFYRVTCKDNGRGMPHDEIPNMFGRVLSGTKYGLKQTRGKFGLGAKMALIWSKMSTGLPIEIASSMRGQNFKSFCKLDIDIHNLL >DRNTG_09728.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32238698:32244222:1 gene:DRNTG_09728 transcript:DRNTG_09728.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 6 subunit B [Source:Projected from Arabidopsis thaliana (AT3G20780) UniProtKB/Swiss-Prot;Acc:Q9C5V6] MDTGGSSESPTEPKNPKRGRPKTSHKAKESSLKQKSPAEFFAENKNIAGFDNPGKSLYTTVRELVENSLDSAESISELPVIEITIEEISKSKFNMMIGLIDRERVDEELYDDFESAKAREKRLAKEARIQETQAKKAALGKKMKEPASVKGVKGRGEASFYRVTCKDNGRGMPHDEIPNMFGRVLSGTKYGLKQTRGKFGLGAKMALIWSKMSTGLPIEIASSMRGQNFKSFCKLDIDIHNLL >DRNTG_14778.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30037067:30041033:-1 gene:DRNTG_14778 transcript:DRNTG_14778.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFKDGIVLPDKASLYLTAIEDAEYKDDKIEFWNSVYGFDMSCIKKQAMVEPLVDTVDQNQIVTNCQLLKTMDISKMTPGDASFTAPFKLVAERNDYIHALVAYFDVSFTKCHKLMGFSTGPRSKATHWKQTVLYLEDVLTICEGEALVGSMTVEPNKKNPRDVEIMLKYTLNGRHCQVSRTQHYKMR >DRNTG_14778.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30037067:30041033:-1 gene:DRNTG_14778 transcript:DRNTG_14778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFKDGIVLPDKASLYLTAIEDAEYKDDKIEFWNSVYGFDMSCIKKQAMVEPLVDTVDQNQIVTNCQLLKTMDISKMTPGDASFTAPFKLVAERNDYIHALVAYFDVSFTKCHKLMGFSTGPRSKATHWKQTVLYLEDVLTICEGEALVGSMTVEPNKKNPRDVEIMLKYTLNGRHCQVSRTQHYKMR >DRNTG_14778.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30037067:30041033:-1 gene:DRNTG_14778 transcript:DRNTG_14778.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQFKDGIVLPDKASLYLTAIEDAEYKDDKIEFWNSVYGFDMSCIKKQAMVEPLVDTVDQNQIVTNCQLLKTMDISKMTPGDASFTAPFKLVAERNDYIHALVAYFDVSFTKCHKLMGFSTGPRSKATHWKQTVLYLEDVLTICEGEALVGSMTVEPNKKNPRDVEIMLKYTLNGRHCQVSRTQHYKMR >DRNTG_14778.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30040637:30041033:-1 gene:DRNTG_14778 transcript:DRNTG_14778.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAATQTSAAVVEEDKAESSNLEEPIGSYAANRR >DRNTG_14778.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30037067:30039739:-1 gene:DRNTG_14778 transcript:DRNTG_14778.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQFKDGIVLPDKASLYLTAIEDAEYKDDKIEFWNSVYGFDMSCIKKQAMVEPLVDTVDQNQIVTNCQLLKTMDISKMTPGDASFTAPFKLVAERNDYIHALVAYFDVSFTKCHKLMGFSTGPRSKATHWKQTVLYLEDVLTICEGEALVGSMTVEPNKKNPRDVEIMLKYTLNGRHCQVSRTQHYKMR >DRNTG_32702.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10868150:10868926:1 gene:DRNTG_32702 transcript:DRNTG_32702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISMPVLFYTITGMVENAEQNVQMFAVQDTPCHFLQESYTIQQPMEESVEDYIVRIQEQNCELDNVLDQFERSTLASMSDCLEESLERVLAQFDSSYYEQRQELFSVGVSMSGREICGMEVLIAVKDHTETYAQVEEEENYYEHEVSNFEEIDRLKESRLHPSIDEPPELELKTLPAHLEYDS >DRNTG_16669.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30847454:30850557:-1 gene:DRNTG_16669 transcript:DRNTG_16669.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQAFRTLKWSKYAEKKQEHEEMSISLASHENKRNKTKSLTATAHYLYIQTNLIPCHQLTGQWKSMQ >DRNTG_13746.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5571368:5571610:1 gene:DRNTG_13746 transcript:DRNTG_13746.1 gene_biotype:protein_coding transcript_biotype:protein_coding IIWEDQTWTKFGKKIGRSSVTIFAEIVGVPCCRQEEFGSSQLLRGGLKEPGRLKVANSGATS >DRNTG_25989.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1016260:1020951:1 gene:DRNTG_25989 transcript:DRNTG_25989.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADSTGDNSENTPTGQSVRLMCSYGGRILPRPHDHQLRYVGGDTRIVAIPRHTSWSSLLSKLAKLSGSSPDSASLIVKYQLPNEDLDALVSLTSDEDLENMMDEYDRLLLLSSPSRPPRLRLFLFPPGTNVISSSSSAAFGSLLESSTSKRDTWFLDALNGGTSPGLLPLERGRSEASSVISEVPDYLFGLDSNSDDPKPKSSDPSSPAIATSSPHYRSASSPPSVAVIPDFPTTTAKKPEPAVHPIPNPNPNPVYYVHDSAVPVYYVPSSVPVRPMQYGPVYGGPAYVGGPPTLASARPGLAGAFEYQGGMVYPMAGVGPVGGDVVAPPGVIGPEMRIGPTSRHYTT >DRNTG_25989.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1016260:1019188:1 gene:DRNTG_25989 transcript:DRNTG_25989.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADADSTGDNSENTPTGQSVRLMCSYGGRILPRPHDHQLRYVGGDTRIVAIPRHTSWSSLLSKLAKLSGSSPDSASLIVKYQLPNEDLDALVSLTSDEDLENMMDEYDRLLLLSSPSRPPRLRLFLFPPGTNVISSSSSAAFGSLLESSTSKRDTWFLDALNGGTSPGLLPLERGRSEASSVISEVPDYLFGLDSNSDDPKPKSSDPSSPAIATSSPHYRSASSPPSVAVIPDFPTTTAKKPEPAVHPIPNPNPNPVYYVHDSAVPVYYVPSSVPVRPMQYGPVYGGPAYVGGPPTLASARPGLAGAFEYQGGMVYPMAGVGPVGGDVVAPPGVIGPEMRIGPTSRHYTT >DRNTG_25989.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1016492:1019188:1 gene:DRNTG_25989 transcript:DRNTG_25989.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADADSTGDNSENTPTGQSVRLMCSYGGRILPRPHDHQLRYVGGDTRIVAIPRHTSWSSLLSKLAKLSGSSPDSASLIVKYQLPNEDLDALVSLTSDEDLENMMDEYDRLLLLSSPSRPPRLRLFLFPPGTNVISSSSSAAFGSLLESSTSKRDTWFLDALNGGTSPGLLPLERGRSEASSVISEVPDYLFGLDSNSDDPKPKSSDPSSPAIATSSPHYRSASSPPSVAVIPDFPTTTAKKPEPAVHPIPNPNPNPVYYVHDSAVPVYYVPSSVPVRPMQYGPVYGGPAYVGGPPTLASARPGLAGAFEYQGGMVYPMAGVGPVGGDVVAPPGVIGPEMRIGPTSRHYTT >DRNTG_25989.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1016492:1020951:1 gene:DRNTG_25989 transcript:DRNTG_25989.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADADSTGDNSENTPTGQSVRLMCSYGGRILPRPHDHQLRYVGGDTRIVAIPRHTSWSSLLSKLAKLSGSSPDSASLIVKYQLPNEDLDALVSLTSDEDLENMMDEYDRLLLLSSPSRPPRLRLFLFPPGTNVISSSSSAAFGSLLESSTSKRDTWFLDALNGGTSPGLLPLERGRSEASSVISEVPDYLFGLDSNSDDPKPKSSDPSSPAIATSSPHYRSASSPPSVAVIPDFPTTTAKKPEPAVHPIPNPNPNPVYYVHDSAVPVYYVPSSVPVRPMQYGPVYGGPAYVGGPPTLASARPGLAGAFEYQGGMVYPMAGVGPVGGDVVAPPGVIGPEMRIGPTSRHYTT >DRNTG_09569.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22553085:22558098:1 gene:DRNTG_09569 transcript:DRNTG_09569.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-mannosidase 3 [Source:Projected from Arabidopsis thaliana (AT1G30000) TAIR;Acc:AT1G30000] MASRPLPYSTRDLHYDNAKFRHRSPFKVITQALVSSNVRHDCGRCSIGKFLILLMFGGLTYLMLVHRSYNLDTPAFEKTDHGRVGIGRIGNFLRKPPRLPPRLSPDEVRNISSNVEIGKPSTESEWISRQGKVKEAFIHAWSGYKSFAMGKDELMPLTKRGIDGLGGLGATVIDSLDTAMIMGINEIAAEAGTWIEKNLMQRIREKGQVNLFETTIRVLGGLLSAYHLSGSDSGKSLPSKGPKREVFLETAKGLADRLLVAFTASPTAIPFSDVVLRDQSAHPAPDGLSSTSEVSTLQLEFNYLSAITGDPKYSAKAIKVLEHMRTLPKVEGLVPIYISPHSGQFSGENIRLGSRGDSYYEYLIKVWLQQKASRDGQLKYLHEMYEEAMKGVRHLLVQKSIPKGLIFVGELPFGTKDSFSPKMDHLVCFLPGTLALGATKGITKKKAIEQNLLNAEDMENLNLAEDLAKTCFEMYAVTSTGLAPEIAYFHTEGAYEGGHDGGNKSSEYINDIIIKPNDRHNLLRPETVESLFVLYRITEDIKYREWGWQIFKAFEKHTKIDSGGYTSLDDVTTLPPRKRDKMETFFLGETLKYLYLLFGDTNVLPLDKYVFNTEAHPFPIIESTG >DRNTG_20839.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5305873:5310497:1 gene:DRNTG_20839 transcript:DRNTG_20839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIFFLSFFLLINLTSSDFAGDQAECGDQLVGLAGCLPYVQGDAKSPTPDCCTSLKSVLLKSPKCLCVLIKDHDDPQLGLKINVTLAVSLPSTCGVHANVSECPKLLNLKPNSKDAQMFKQLAEATQDNANSDNGNTTSAGTTPSASANDANTCGMNIHLRGWSLPNLGVEMILLVLPLILLVG >DRNTG_00616.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22468839:22470883:1 gene:DRNTG_00616 transcript:DRNTG_00616.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase [Source:Projected from Arabidopsis thaliana (AT4G09320) UniProtKB/TrEMBL;Acc:A0A178UXQ6] MEQSFIMIKPDGVQRGLVGEIISRFEKKGFYLKGMKLLTVEKSFAEKHYADLSAKPFFPGLVEYIVSGPVVAMVWEGKNVVVTGRKIIGATNPSDSAPGTIRGDLAIEVGRNVIHGSDSIESARKEIALWFPEGIAAWHSSQHPWIYE >DRNTG_22712.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1465472:1472518:-1 gene:DRNTG_22712 transcript:DRNTG_22712.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKATLQRGRPRTHERAAPAGAQTMGPIYKYNKDNNN >DRNTG_32048.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3892278:3893846:1 gene:DRNTG_32048 transcript:DRNTG_32048.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAATMTTVTAVWITPCSLSSPQCTNPKLFSLVTNRSRGIIWSSSRNGMKSRTRLRVSQRSGQSTRR >DRNTG_16328.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18863931:18865094:1 gene:DRNTG_16328 transcript:DRNTG_16328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGFHARSNSLPARSHPMIASAEEELNKLKACVMVSPKMICKSLSSLCVFYDCIEDLLHFSSTQQVLSHSQEKKWVEEELDASLRLVELCGIIRDTLAVTKEHAQELEMVLRRKRNLNTESKAPGHIRSAKMARKSIKDCLKAMKQMDDKHSDGSTIAKMFNEARYVTISLLQSVASSLYPSSTHRNSKWSFVSKALHKKKVVDANGIDFSLNSIYEFVSCKNVDGLRMVKAQDHLTEMMSNLEGIEMELESLYKGLIR >DRNTG_32273.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001815.1:1:95:-1 gene:DRNTG_32273 transcript:DRNTG_32273.1 gene_biotype:protein_coding transcript_biotype:protein_coding HPRASSVLSLTIRKILDRGNHKEMACPCSTR >DRNTG_32941.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30950027:30952608:-1 gene:DRNTG_32941 transcript:DRNTG_32941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPQVVTVHVTGFKKFHGVSDNPTEIIVRNLKEYMEKKGLPKGLVLGSCNVLETAGEGALTTLYEILEAAITSQDNEPSGSRQVIWLHFGVNSGSKRFAIESQAVNEATFRCEDELGWKPQKIPIIPSDGDISQTRKTVLPVNDIVKDSAAMGYDVARSDDAGRFVCNYVYYHSLRFAEQQRIKSLFVHVPLFYTINEETQLKFAASLLEILASLH >DRNTG_03292.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10747665:10750361:-1 gene:DRNTG_03292 transcript:DRNTG_03292.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin D4 [Source:Projected from Arabidopsis thaliana (AT2G38750) UniProtKB/Swiss-Prot;Acc:Q9ZVJ6] MAVSLPDEELEVLSHAFSGCGGLGVDENSLVDILKRWKQPEQRSLFRKSSSKFFRPDGHPHHYLFERWDDALIRTLKFEFARFKELVVLWTMHPWERDARFAHYVLHKAYPFTILVELGCTRSSEELLGARRAYQALYHHSLEEDVSIHVKQDYTNLLLGIVSAYRYEGPSWSEERAKLEAKVLGEAIRNPNAVKPVENQEVIRILTTRSKLQLRATFEHYKDIYGKSIDEDVGDDLCLQETVLCLKSPPTYFSKVINEALRDDVSKSTKEALTRVIVTRADADMKDIKDEYQRLYGVELENMISKGTHGNYRDALLSLVARQE >DRNTG_15586.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1560476:1561911:-1 gene:DRNTG_15586 transcript:DRNTG_15586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKFRLSGSSLLFVIVLSHLLVELARGRVILIGKNVEKSFDDADANFTPEIKESGENGVLYLAEPRNGCSLLRSRAIEGSESSFVLIVRGGCTFDEKVRRAQEAGFKAAIVYDNEYRGALLSMAGNPEGINIHAVFVSRVSGEILKKYAHRRELTVWLIPSVESSAWSVMAISFILLLAFLVVLATCFFVRRQQIRRDRRRASRIQEFHGMSRQLVKAMPIVVFTTVLEDNCTSRTCAICLEDYNP >DRNTG_00119.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:16423175:16423609:1 gene:DRNTG_00119 transcript:DRNTG_00119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPQASTSSSGVAGVSKECSHPSPRECVESMRLRGMPTRSRGFSMRRRGLPGHDFQPTQSRGSQAMAKNAMPNLNGGLKRVCSSRLDIA >DRNTG_33311.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23698174:23698877:1 gene:DRNTG_33311 transcript:DRNTG_33311.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGHHTIQAHSILLRRRDLEERKA >DRNTG_11909.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:421708:423307:-1 gene:DRNTG_11909 transcript:DRNTG_11909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKTDSEVTSIAPSSPTRSPRRPVYYVQSPSRDSHDGEKTTASFHSTPVLSPTGSPPHSNSSVGHHSRESSSSRFSGSLKPGSRKVTPNDTTRTTTGASRKGGGKHWKECAVIEEEGLLDDEDDHKGVIPKKCYFLAFILGFFILFTLFSLILWGASKSQKPQISLKSMKFENFIIQAGTDATLVPTDMVTMNSTLMLTFKNTATFFGVHVTSTPVNLNYYELTLATGDIKEFYQSRKSQRTLNVVVVGNRIPLYGGGASLTSSNGKSNNSPVPLNLSFQVRSRAYVLGKLVKPKFYINVQCSLVLNQNKLNTPVSLKNSCQYS >DRNTG_11909.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:421793:423355:-1 gene:DRNTG_11909 transcript:DRNTG_11909.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKTDSEVTSIAPSSPTRSPRRPVYYVQSPSRDSHDGEKTTASFHSTPVLSPTGSPPHSNSSVGHHSRESSSSRFSGSLKPGSRKVTPNDTTRTTTGASRKGGGKHWKECAVIEEEGLLDDEDDHKGVIPKKCYFLAFILGFFILFTLFSLILWGASKSQKPQISLKSMKFENFIIQAGTDATLVPTDMVTMNSTLMLTFKNTATFFGVHVTSTPVNLNYYELTLATGDIKEFYQSRKSQRTLNVVVVGNRIPLYGGGASLTSSNGKSNNSPVPLNLSFQVRSRAYVLGKLVKPKFYINVQCSLVLNQNKLNTPVSLKNSCQYS >DRNTG_11909.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:421793:423307:-1 gene:DRNTG_11909 transcript:DRNTG_11909.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKTDSEVTSIAPSSPTRSPRRPVYYVQSPSRDSHDGEKTTASFHSTPVLSPTGSPPHSNSSVGHHSRESSSSRFSGSLKPGSRKVTPNDTTRTTTGASRKGGGKHWKECAVIEEEGLLDDEDDHKGVIPKKCYFLAFILGFFILFTLFSLILWGASKSQKPQISLKSMKFENFIIQAGTDATLVPTDMVTMNSTLMLTFKNTATFFGVHVTSTPVNLNYYELTLATGDIKEFYQSRKSQRTLNVVVVGNRIPLYGGGASLTSSNGKSNNSPVPLNLSFQVRSRAYVLGKLVKPKFYINVQCSLVLNQNKLNTPVSLKNSCQYS >DRNTG_07085.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2041411:2044371:1 gene:DRNTG_07085 transcript:DRNTG_07085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQKKFLIFVPSAQLIFLLGFLIPTLCINQSKLRCRESERAALLEFKEGLRDPHSLLSSWEGSECCNWRGIICDNETQHVVSLDLGYRHLFDGPSTSWRLSGEITPLLSLKHLNYLDLSFNDFRGIDIPEFIGSIQGLSYLNLSNAGFGGRIPSQIGNLSRLQYLDLNSFYSWYTLYADDLQWLSHLSSLRYLDMNSVNLANADDWFYAINMLPVLSVLYFPNCQLKEFTTPLAVPNLTSLASLDLSNNQFVSMLPNWLFNMSKLECINLQFNQIRGSIPDAFANMTSLEVIQLRKNELLGGIPQSIGKLCNLRILDLSSNNITDDVSILATISSECAGGTLEILNLRGNNLVGNLSDWLAHFKVLTYLDLGSNLLQGSIPASIGNISTLRSLFLSQNTLNGTLPETMGQLSRLNLFDVSHNSLSGIISQVHFQNLSKLEHLSLGSNSFVFNMSSDWEPPFRLRLIGLRRCRIGPKFPPWLKKQRDYNILDLSYAEINDTAPDWIWNYDQHIFLLDISHNQIAGEVPGRLKYASMSIIDLSFNQFEGPLPGLPASIEYIDFTGNAFSGTIFPLFAQPMLIFSHLIITDNIINGTIPDSICNYLDMFVIDLSSNLLSGELPECWADLGDLSGLNLANNKLTGKIPSSLGTLSWLQALHLNNNSFHGELPTALKSCTMLITFDVGDNKLSGEIPTWIGESLLYLRILRLRSNMFNGNIPAQLSLLTSLQILDLAGNKLSGNIPSSLGNISAMAQTHKPEARMMEVLQGAVQSSLHNYGPSGYSDTLVLVTKGRKMEYTKNLQYVASIDLSENILSGNIPHQLANLYGLQNLNLSGNKLTGKMPENIGQLELLESLDFSRNNLSGSIPSSISLLTSLSLLNLSYNNLSGRIPTGHQLQTLNDPSMYIGNSALCGPPLEECEQNETHSATLPIKDDEESKMLEFYISMILGFVAGFWVVWGILLSIDSVRYAYFEFTDSLLERLSSAFR >DRNTG_17429.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6096509:6097000:1 gene:DRNTG_17429 transcript:DRNTG_17429.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDCKVYGYLTNTNVKFIMVTTDTDLKDGDLRSVSYGCFVFIPCLHN >DRNTG_17429.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6091813:6097000:1 gene:DRNTG_17429 transcript:DRNTG_17429.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCVAVVGHQNNPVFLQSFTDSDDALKLHHIVHCSLDVIDERVNNPKKSGPTLNDTFLGVLYPMDDCKVYGYLTNTNVKFIMVTTDTDLKDGDLRSVSYGCFVFIPCLHN >DRNTG_17429.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6091813:6092826:1 gene:DRNTG_17429 transcript:DRNTG_17429.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCVAVVGHQNNPVFLQSFTDSDDALKLHHIVHCSLDVIDERGLPCYLSSLFI >DRNTG_17429.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6091813:6097791:1 gene:DRNTG_17429 transcript:DRNTG_17429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCVAVVGHQNNPVFLQSFTDSDDALKLHHIVHCSLDVIDERVNNPKKSGPTLNDTFLGVLYPMDDCKVYGYLTNTNVKFIMVTTDTDLKDGDLRSFFKDLHSAYVDAVSNPFHIPGKKITSKSFAERVSSIVKSFRSGNIS >DRNTG_34738.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002186.1:24687:27710:-1 gene:DRNTG_34738 transcript:DRNTG_34738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIIHVLLLLGFAVQIKEDLGISHAKAFLVSSAEHPPANCIESERMALVDFKKHINDPNNKLSSWAGRYCCSWEGVRCDNTTGNVIGLDLRNRIPYYQRAGDIFLYSPRHNGSLGGKISPSLLHLQHLKHLDLSRNYFSVTSIPAFISQFKELRYLNLSKSVFHGPIPASLGNLSSLHTLDLSYNGVYDDPAHQWLSRLTSLQLLDMSGVDFGSSSSSSTLFQALNKLPSIKEIRLAECELGSIPLSIPHLNFSSLTVLDLSENSINSSVPGWVFNLTELEYLDLSYNYFHGKLSSRLASMASLETLILAMSGFSDENGIPESIGSLCCLKTLDLSGWNISQRLAEFRNVFSGCLRNSLTDLHLSSANLKGDVPDWIGDIKNLKVLDFMFNSLFGSLPSSLAKLSHLEELLLHMNQLNGTLPEEIGQLAELVNIGLSGNQLSGVITEAHFARLEKLDALDMTGNSLVFNVSSNWIPPFHLKTLRIRSCSVGLEFPSWLRKQSRLHVLDMSYTGISEAIPDWFWNLTQNLYTLDLSHNLIEGIISKSLSFDQIDKIDLSCNLFYGPFPQFHSATLAILDLSNNSFSGFIPLNIADYMSNLFYLSLTRNNLGGTVPSSMCQMKKLAGLFLSKNKLINKLPDCWSNSSALVVFDAGDNHLSGSIPDSLCQVPALQSLHLGDNVLSGEFPVSLKNCTQLYALDLSDNSFSGSIPTWLAESLSGLGILSLKSNTFIGYIPAEISQLTSLQILDLSSNNLSGPIPRSLGNFSTMKVPHKGGSRLVVVQTYEESLLLNLKGRQDTYTSKLLSLVKMIDLSNNHLSGVIPEELASLYGLQGFNLSRNYLHGEIPAKFGQLQQLETLDLSRNHFSGSIPATLSNLTFLAHFNVSYNNLSGRIPSGNQFNTFTDPSIYIGNHLCGFPLTDNCTKGGGPNQEKPSDHGNEDDGDDEMVWMYIGSLSGFAVGFWTIWGVLIFKNKWRYAYFRYTDTTCDNIHVWVVVNFVRMKSKIIS >DRNTG_05358.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8636091:8637052:-1 gene:DRNTG_05358 transcript:DRNTG_05358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRRKLLQNPTTLRALFSRPKGLPANPSPFLNSQSILFPIPNSFSPDPKTKSSSPSPGFLNPKFYPKSTKYQGFQESQWLIPRFSSSSPEKPDSGDSQKPPETPDFKHQEIESPTVERDLSNLADETRQSLDSLRKSVYDLSSSLALLGVANLTVGAAIIYFLQPNGVFAVQGLVAFAFPFSVAFVMRRSLKPMAFFRKVEEQGRLQLLTLCLQVSKTLNLLFLRIRVVSLGCVAGLVAGSLVTLWPQ >DRNTG_08689.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17962791:17971254:-1 gene:DRNTG_08689 transcript:DRNTG_08689.14 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRRLNGKLQLRDKVLTVISQKQKAALREAYKKNKFLPRDLRPKKTCAIRRRLTKHQGPLWNYVKRKAKTQGSRFQLDPAALPQSSRYCTAGSRVAGHFSTFTSSTDSELRRKRKLRTCMSQYLRRMMLPSHPDIVTRKILVPTPYLSPIPLPSPREAHFWYMVPDEVNDESLLDQYSELLSSCERDNVFGMKDKRLWKGALLARALLRTTLSRCTAFQLFLFIFSCILSVTIAFSNFCSVKAIHLTRSESNHFII >DRNTG_08689.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17962791:17971254:-1 gene:DRNTG_08689 transcript:DRNTG_08689.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRRLNGKLQLRDKVLTVISQKQKAALREAYKKNKFLPRDLRPKKTCAIRRRLTKHQGPLWNYVKRKAKTQGSRFQLDPAALPQSSRYCTAGSRVAGHFSTFTSSTDSELRRKRKLRTCMSQYLRRMMLPSHPDIVTRKILVPTPYLSPIPLPSPREAHFWYMVPDEVNDESLLDQYSELLSSCERDNVFGMKDKRLWKGALLARALLRTTLSRYQTQGVRSSVLDH >DRNTG_08689.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17962791:17971254:-1 gene:DRNTG_08689 transcript:DRNTG_08689.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRRLNGKLQLRDKVLTVISQKQKAALREAYKKNKFLPRDLRPKKTCAIRRRLTKHQGPLWNYVKRKAKTQGSRFQLDPAALPQSSRYCTAGSRVAGHFSTFTSSTDSELRRKRKLRTCMSQYLRRMMLPSHPDIVTRKILVPTPYLSPIPLPSPREAHFWYMVPDEVNDESLLDQYSELLSSCERDNVFGMKDKRLWKGALLARALLRTTLSRYTRCEIISPRSLKFKKNKYGKPEVDWQCDGQWVPPSLHFNISHTSSLIACGVTLDVPIGIDLEEKQRRLKSDILSFARRYFSTFEVEFLQAIADPENQRQEFVKLWTLKEAYVKALGRGFSAAPFSRFTIQFRDDEITVEDSENSKNLTSNWQFALFELDGSHYASVCMEKNKISDGRGREPMRLKVWKTLPFVNDEFVSGTDAVISLNGLS >DRNTG_08689.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17962791:17971254:-1 gene:DRNTG_08689 transcript:DRNTG_08689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRRLNGKLQLRDKVLTVISQKQKAALREAYKKNKFLPRDLRPKKTCAIRRRLTKHQGPLWNYVKRKAKTQGSRFQLDPAALPQSSRYCTAGSRVAGHFSTFTSSTDSELRRKRKLRTCMSQYLRRMMLPSHPDIVTRKILVPTPYLSPIPLPSPREAHFWYMVPDEVNDESLLDQYSELLSSCERDNVFGMKDKRLWKGALLARALLRTTLSRYTRCEIISPRSLKFKKNKYGKPEVDWQCDGQWVPPSLHFNISHTSSLIACGVTLDVPVTSASFSILI >DRNTG_08689.21 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17968024:17971254:-1 gene:DRNTG_08689 transcript:DRNTG_08689.21 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRRLNGKLQLRDKVLTVISQKQKAALREAYKKNKFLPRDLRPKKTCAIRRRLTKHQVVFIFNLFGIRFSYHINNFVS >DRNTG_08689.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17967826:17971254:-1 gene:DRNTG_08689 transcript:DRNTG_08689.19 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRRLNGKLQLRDKVLTVISQKQKAALREAYKKNKFLPRDLRPKKTCAIRRRLTKHQVVFIFNLFGIRFSYHINNFVS >DRNTG_08689.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17962791:17971254:-1 gene:DRNTG_08689 transcript:DRNTG_08689.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRRLNGKLQLRDKVLTVISQKQKAALREAYKKNKFLPRDLRPKKTCAIRRRLTKHQGPLWNYVKRKAKTQGSRFQLDPAALPQSSRYCTAGSRVAGHFSTFTSSTDSELRRKRKLRTCMSQYLRRMMLPSHPDIVTRKILVPTPYLSPIPLPSPREAHFWYMVPDEVNDESLLDQYSELLSSCERDNVFGMKDKRLWKGALLARALLRTTLSRCTAFQLFLFIFSCILSVTIAFSNFCSVKAIHLTRSESNHFII >DRNTG_08689.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17962791:17971254:-1 gene:DRNTG_08689 transcript:DRNTG_08689.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRRLNGKLQLRDKVLTVISQKQKAALREAYKKNKFLPRDLRPKKTCAIRRRLTKHQGPLWNYVKRKAKTQGSRFQLDPAALPQSSRYCTAGSRVAGHFSTFTSSTDSELRRKRKLRTCMSQYLRRMMLPSHPDIVTRKILVPTPYLSPIPLPSPREAHFWYMVPDEVNDESLLDQYSELLSSCERDNVFGMKDKRLWKGALLARALLRTTLSRYTRCEIISPRSLKFKKNKYGKPEVTFQTFDNEIWTIGDLPITTLF >DRNTG_08689.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17962791:17971254:-1 gene:DRNTG_08689 transcript:DRNTG_08689.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRRLNGKLQLRDKVLTVISQKQKAALREAYKKNKFLPRDLRPKKTCAIRRRLTKHQGPLWNYVKRKAKTQGSRFQLDPAALPQSSRYCTAGSRVAGHFSTFTSSTDSELRRKRKLRTCMSQYLRRMMLPSHPDIVTRKILVPTPYLSPIPLPSPREAHFWYMVPDEVNDESLLDQYSELLSSCERDNVFGMKDKRLWKGALLARALLRTTLSRCTAFQLFLFIFSCILSVTIAFSNFCSVKAIHLTRSESNHFII >DRNTG_08689.22 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17968024:17971254:-1 gene:DRNTG_08689 transcript:DRNTG_08689.22 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRRLNGKLQLRDKVLTVISQKQKAALREAYKKNKFLPRDLRPKKTCAIRRRLTKHQVVFIFNLFGIRFSYHINNFVS >DRNTG_08689.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17962791:17971254:-1 gene:DRNTG_08689 transcript:DRNTG_08689.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRRLNGKLQLRDKVLTVISQKQKAALREAYKKNKFLPRDLRPKKTCAIRRRLTKHQGPLWNYVKRKAKTQGSRFQLDPAALPQSSRYCTAGSRVAGHFSTFTSSTDSELRRKRKLRTCMSQYLRRMMLPSHPDIVTRKILVPTPYLSPIPLPSPREAHFWYMVPDEVNDESLLDQYSELLSSCERDNVFGMKDKRLWKGALLARALLRTTLSRYTRCEIISPRSLKFKKNKYGKPEVDWQCDGQWVPPSLHFNISHTSSLIACGVTLDVPVTSASFSILI >DRNTG_08689.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17962791:17971254:-1 gene:DRNTG_08689 transcript:DRNTG_08689.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRRLNGKLQLRDKVLTVISQKQKAALREAYKKNKFLPRDLRPKKTCAIRRRLTKHQGPLWNYVKRKAKTQGSRFQLDPAALPQSSRYCTAGSRVAGHFSTFTSSTDSELRRKRKLRTCMSQYLRRMMLPSHPDIVTRKILVPTPYLSPIPLPSPREAHFWYMVPDEVNDESLLDQYSELLSSCERDNVFGMKDKRLWKGALLARALLRTTLSRYQTQGVRSSVLDH >DRNTG_08689.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17962791:17971254:-1 gene:DRNTG_08689 transcript:DRNTG_08689.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRRLNGKLQLRDKVLTVISQKQKAALREAYKKNKFLPRDLRPKKTCAIRRRLTKHQGPLWNYVKRKAKTQGSRFQLDPAALPQSSRYCTAGSRVAGHFSTFTSSTDSELRRKRKLRTCMSQYLRRMMLPSHPDIVTRKILVPTPYLSPIPLPSPREAHFWYMVPDEVNDESLLDQYSELLSSCERDNVFGMKDKRLWKGALLARALLRTTLSRYQTQGVRSSVLDH >DRNTG_08689.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17962791:17971254:-1 gene:DRNTG_08689 transcript:DRNTG_08689.17 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRRLNGKLQLRDKVLTVISQKQKAALREAYKKNKFLPRDLRPKKTCAIRRRLTKHQGPLWNYVKRKAKTQGSRFQLDPAALPQSSRYCTAGSRVAGHFSTFTSSTDSELRRKRKLRTCMSQYLRRMMLPSHPDIVTRKILVPTPYLSPIPLPSPREAHFWYMVPDEVNDESLLDQYSELLSSCERDNVFGMKDKRLWKGALLARALLRTTLSRLSRLST >DRNTG_08689.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17962791:17971254:-1 gene:DRNTG_08689 transcript:DRNTG_08689.12 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRRLNGKLQLRDKVLTVISQKQKAALREAYKKNKFLPRDLRPKKTCAIRRRLTKHQGPLWNYVKRKAKTQGSRFQLDPAALPQSSRYCTAGSRVAGHFSTFTSSTDSELRRKRKLRTCMSQYLRRMMLPSHPDIVTRKILVPTPYLSPIPLPSPREAHFWYMVPDEVNDESLLDQYSELLSSCERDNVFGMKDKRLWKGALLARALLRTTLSRYQTQGVRSSVLDH >DRNTG_08689.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17962791:17971254:-1 gene:DRNTG_08689 transcript:DRNTG_08689.13 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRRLNGKLQLRDKVLTVISQKQKAALREAYKKNKFLPRDLRPKKTCAIRRRLTKHQGPLWNYVKRKAKTQGSRFQLDPAALPQSSRYCTAGSRVAGHFSTFTSSTDSELRWQQRRR >DRNTG_08689.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17962791:17971254:-1 gene:DRNTG_08689 transcript:DRNTG_08689.15 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRRLNGKLQLRDKVLTVISQKQKAALREAYKKNKFLPRDLRPKKTCAIRRRLTKHQGPLWNYVKRKAKTQGSRFQLDPAALPQSSRYCTAGSRVAGHFSTFTSSTDSELRRKRKLRTCMSQYLRRMMLPSHPDIVTRKILVPTPYLSPIPLPSPREAHFWYMVPDEVNDESLLDQYSELLSSCERDNVFGMKDKRLWKGALLARALLRTTLSRYQTQGVRSSVLDH >DRNTG_08689.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17962791:17971254:-1 gene:DRNTG_08689 transcript:DRNTG_08689.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRRLNGKLQLRDKVLTVISQKQKAALREAYKKNKFLPRDLRPKKTCAIRRRLTKHQGPLWNYVKRKAKTQGSRFQLDPAALPQSSRYCTAGSRVAGHFSTFTSSTDSELRRKRKLRTCMSQYLRRMMLPSHPDIVTRKILVPTPYLSPIPLPSPREAHFWYMVPDEVNDESLLDQYSELLSSCERDNVFGMKDKRLWKGALLARALLRTTLSRYTRCEIISPRSLKFKKNKYGKPEVTFQTFDNEIWTIGRLAM >DRNTG_08689.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17962791:17966953:-1 gene:DRNTG_08689 transcript:DRNTG_08689.18 gene_biotype:protein_coding transcript_biotype:protein_coding QIGIDLEEKQRRLKSDILSFARRYFSTFEVEFLQAIADPENQRQEFVKLWTLKEAYVKALGRGFSAAPFSRFTIQFRDDEITVEDSENSKNLTSNWQFALFELDGSHYASVCMEKNKISDGRGREPMRLKVWKTLPFVNDEFVSGTDAVISLNGLS >DRNTG_08689.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17962791:17971254:-1 gene:DRNTG_08689 transcript:DRNTG_08689.11 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRRLNGKLQLRDKVLTVISQKQKAALREAYKKNKFLPRDLRPKKTCAIRRRLTKHQGPLWNYVKRKAKTQGSRFQLDPAALPQSSRYCTAGSRVAGHFSTFTSSTDSELRRKRKLRTCMSQYLRRMMLPSHPDIVTRKILVPTPYLSPIPLPSPREAHFWYMVPDEVNDESLLDQYSELLSSCERDNVFGMKDKRLWKGALLARALLRTTLSRYTRCEIISPRSLKFKKNKYGKPEVDWQCDGQWVPPSLHFNISHTSSLIACGVTLDVPIGIDLEEKQRRLKSDILSFARRYFSTFEVEFLQAIADPENQRQEFVKLWTLKEAYVKALGRGFSAAPFSRFTIQFRDDEITVEDSENSKNLTSNWQFALFELDGSHYASVCMEKNKISDGRGREPMRLKVWKTLPFVNDEFVSGTDAVISLNGLS >DRNTG_22214.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13570958:13572495:-1 gene:DRNTG_22214 transcript:DRNTG_22214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKSEHMSVTAFMPLYFVYSLEHNGAVIGGDRYDKASDLPGSEIIAGYLKNQ >DRNTG_26096.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20762612:20765158:1 gene:DRNTG_26096 transcript:DRNTG_26096.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 57 [Source:Projected from Arabidopsis thaliana (AT3G17730) UniProtKB/TrEMBL;Acc:Q9LSH5] MAPVGLPPGFRFHPTDEELVNYYLKRKIHGLKIELDIIPEVDLYKCEPWELSEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVSCQNRAIGMKKTLVYYRGRAPQGIRTDWVMHEYRLDDKECEDTMGIQDSYALCRVFKKNVVCAEIEDQGQCSLSMIESSQGLTSSSTHDQYYDQLETVSPDTAVNGSSPCIDEDDKDDSWMQFITEEAWCSNVSTSEPCDDLSTVAAYAN >DRNTG_26096.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20763040:20765158:1 gene:DRNTG_26096 transcript:DRNTG_26096.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 57 [Source:Projected from Arabidopsis thaliana (AT3G17730) UniProtKB/TrEMBL;Acc:Q9LSH5] MKKTLVYYRGRAPQGIRTDWVMHEYRLDDKECEDTMGIQDSYALCRVFKKNVVCAEIEDQGQCSLSMIESSQGLTSSSTHDQYYDQLETVSPDTAVNGSSPCIDEDDKDDSWMQFITEEAWCSNVSTSEPCDDLSTVAAYAN >DRNTG_20139.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22597624:22599547:1 gene:DRNTG_20139 transcript:DRNTG_20139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIMMRLGIAPKIPSTQNIKPGSFPSKSAQSSIGSVLNLGTKTTGSTQQHLLSDSPHQKFIISSPESKETSSLFGSRSVKFIYEFLQNPVLNKEETKVSELAENLRDQNNVLQVLLKHQQVIEQLIEENQMLRRVLTEDLHVQPSKLYSSREIKKGTNYQCP >DRNTG_20139.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22597624:22599324:1 gene:DRNTG_20139 transcript:DRNTG_20139.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIMMRLGIAPKIPSTQNIKPGSFPSKSAQSSIGSVLNLGTKTTGSTQQHLLSDSPHQKFIISSPESKETSSLFGSRSVKFIYEFLQNPVLNKEETKVSELAENLRDQNNVLQVVGS >DRNTG_27824.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20177786:20180220:-1 gene:DRNTG_27824 transcript:DRNTG_27824.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRILQQVSKSLEEKKKKKKKKKSWRREEKQSDRKRRNRRAMKRMDLDVSKCGDLVNSARDKTE >DRNTG_27824.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20177786:20180220:-1 gene:DRNTG_27824 transcript:DRNTG_27824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRILQQVSKSLEEKKKKKKKKKSWRREEKQSDRKRRNRRAMKRMDLDVSKCGDLVNSARDKTE >DRNTG_27824.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20177786:20178722:-1 gene:DRNTG_27824 transcript:DRNTG_27824.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRILQQVSKSLEEKKKKKKKKKSWRREEKQSDRKRRNRRAMKRMDLDVSKCGDLVNSARDKTE >DRNTG_27824.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20177786:20180220:-1 gene:DRNTG_27824 transcript:DRNTG_27824.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRILQQVSKSLEEKKKKKKKKKSWRREEKQSDRKRRNRRAMKRMDLDVSKCGDLVNSARDKTE >DRNTG_34926.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20949622:20951428:1 gene:DRNTG_34926 transcript:DRNTG_34926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSACAGSVEKAIKRLPGIHDAAVDVLGNRAQVVFYPAFVSEEQIQETIEDVGFEAALIVEEMNEKSTLICRIRIKGMTCTSCSGTVESALQGVHGVQKAIVALATEEAEIQYDPKIVSADKLMEAVEDTGFEAILMSTGEDRSKIDLRLDGVRTERSMRIIENSLQALPGIENISTDFLLKKISLSYKPDRTGPRTFVKLLNQLVLDVS >DRNTG_10345.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22798994:22804329:-1 gene:DRNTG_10345 transcript:DRNTG_10345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHSNSASKAIDDDVVLDAKPIRSLSPLFPAPSGCTTTSSSSAPPLVYVTPFTPFSSDSPSGFPSGFTPSTFPPFANSPSVVPPFATPQSTRASPASNGAAQVGLNSNGPLHATPLSSSFQTPPTMATPLNVVDNSFTSASGRKIKQPMHLSGYSVTDSDKEDSDGKRAVRKRPKKMPAQDIIVLPTSQDPRESVEMILMTFDALRRRILQVDETKETGKRPDLRASAIMMSSDLRVNKGKRIGPVPGIEIGDMFYFRMELCLVGLHAPSMGGIDYMITSHDNQDDPIAVSVVSAGGYENDDDDVDVLVYSGQGGNSKDDQKLERGNLALERSLHRSNQIRVIRGAKDLNVVNGRIYIYDGLYKVHESWVEKGKSGFKVFKYKFVREPGQPDGIVVWKMTQKWIENPSSRGRVILPDISSGAENVPVCLVNDVDNEKGPSHFQYATKVKYLRPITSMKPLQGCSCLSVCLPDDKHCSCADHNGGQLPYSSSGFLIRRKRIIFECNTSCQCTLNCRNRVTQKGVRLHFEIFKTKDRGWGLRSWDPIRAGTFLCEYIGEVIDENKVNSHGEEDEYIFQTSHVDDKTLKWNYGPELLGEPSTDTANEVLKSFPIIISAKNMGNISRFMNHSCSPNVFWQPVQHDHCDEGYPHIMFFAVKHIPPMTELTYDYGTRGLGFGRPKKCLCESPKCRGYFG >DRNTG_07964.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:18254954:18257663:-1 gene:DRNTG_07964 transcript:DRNTG_07964.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSGLKSAFKGLESGIHTPLATVFCYIICCNTCYSAGPKYSRIYAFIELQGLVPGMNINQVPAFNLNFGSPGDAVSAPTQGIHARNISSASSHEL >DRNTG_12651.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1250800:1252213:-1 gene:DRNTG_12651 transcript:DRNTG_12651.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLMILIALLILAASIAEASIYRTTTVTTYETMDENDNQMPRKCRQEMMQTRMDSCREFMRSGRTAMLRTILQEDEEQEDQQPPQECCSNLSNVSRECRCPILQKMMQDMQQSRPGEQGQQQQKMVSRAERLPEMCGLRPQRCHIRPQPSFF >DRNTG_12651.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1249939:1252213:-1 gene:DRNTG_12651 transcript:DRNTG_12651.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLMILIALLILAASIAEASIYRTTTVTTYETMDENDNQMPRKCRQEMMQTRMDSCREFMRSGRTAMLRTILQEDEEQEDQQPPQECCSNLSNVSRECRCPILQKMMQDMQQSRPGEQGQQQQKMVSRAERLPEMCGLRPQRCHIRPQPSFF >DRNTG_12651.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1249939:1252286:-1 gene:DRNTG_12651 transcript:DRNTG_12651.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLMILIALLILAASIAEASIYRTTTVTTYETMDENDNQMPRKCRQEMMQTRMDSCREFMRSGRTAMLRTILQEDEEQEDQQPPQECCSNLSNVSRECRCPILQKMMQDMQQSRPGEQGQQQQKMVSRAERLPEMCGLRPQRCHIRPQPSFF >DRNTG_12651.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1249939:1252439:-1 gene:DRNTG_12651 transcript:DRNTG_12651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLMILIALLILAASIAEASIYRTTTVTTYETMDENDNQMPRKCRQEMMQTRMDSCREFMRSGRTAMLRTILQEDEEQEDQQPPQECCSNLSNVSRECRCPILQKMMQDMQQSRPGEQGQQQQKMVSRAERLPEMCGLRPQRCHIRPQPSFF >DRNTG_01083.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4149567:4155515:1 gene:DRNTG_01083 transcript:DRNTG_01083.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNSSRLHRLLTLLDTGSTQATRLAAARQIGDIAKLYPQDLNSLLKKVSGYLRSKNWDTRVAAALARCMHDLRMLSTFL >DRNTG_01083.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4149567:4155515:1 gene:DRNTG_01083 transcript:DRNTG_01083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNSSRLHRLLTLLDTGSTQATRLAAARQIGDIAKLYPQDLNSLLKKVSGYLRSKNWDTRVAAALARCMHDLRMLSTFL >DRNTG_24585.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:16977:20465:1 gene:DRNTG_24585 transcript:DRNTG_24585.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g22410, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G22410) UniProtKB/Swiss-Prot;Acc:Q9SJZ3] MLSSTTHRALNLLPNCQSSLVHNQNLIFHPPHASLLLSLLDRCSSLRHLKQIHAQMILSNLISSPFAAGRLVAFCALSDTPALPYCVAVLRNLCNPNTFSWNVAIRGYSDSDDPKESIFLYKDMLRSSTRPDNRTFPFLFKACSKISGCFLTGNAVLGHVVQLGLISDVFIFNAALHMFAVCGGLVNARKLFDGSGVRDLVSWNTLINAYVQCGKPQEGLGLFREMEVGGVRPDEVTMIGLVSCCSQLQDLELGRNFHRYVEENELEFTVPLTNALMDMYIKCESLKPAENLFHGMKKRTAISWTTMIVGYAKFGLLDKARKVFDEMPEKDVIPWNALIAGYVQCRRGKEALALFHEMQTSDVKPNEVTMVSLLSACTQLGALDMGLWIHRYIEKQNFSLNVALGTALVDMYAKCGKIKKSLQVFEEIPERNALTWTSIICGLASHGCARDAIEHFHSMTEIGLVPDDVTFLGVLSACCHAGLVDEGRKYFAQMSSVYKLPPRIKHYSCMVDLLGRAGLLNEALEVVRTMHIEPDAVVWGALFFACRIHRNVSVGEYAAARLLELDPHDSGIYVLLANMYAEANMRDESDKVWELMKQKGVEKTPGCSLIEVDGMVHEFIVNMDSNTTSPEEVAVPFVN >DRNTG_00467.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30767153:30768714:-1 gene:DRNTG_00467 transcript:DRNTG_00467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGISDELLGTFVPIAVYWLYSGLYILLDGFDKYRLHTRAEEAEKNVVSRSSVVKGVLLQQAVQVIVSLLLFMVVKDDTAVAPKQPSILVIATQFAVAMFVMDTWQYFMHRYMHINKFLYKHIHSKHHSLIVPYAFGALYNHPAEGLILDTIGGVLSFLISGMTPRTSIFFFSFATIKTVDDHCGLWLPGNILHVFFRNNSAYHDVHHQLYGNKYNFSQPFFTTWDKILGTHMPYSLENRKEGGFEAKPIKRD >DRNTG_02558.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5168561:5169445:1 gene:DRNTG_02558 transcript:DRNTG_02558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSVACATKPQQSSSLSTSLYCDCKELLERGGKINHTGGKRVRSATSRLTLSTFSNLALSDHLRLSLIYKDY >DRNTG_14729.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:81044:85015:-1 gene:DRNTG_14729 transcript:DRNTG_14729.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMNFNFNVSHHGNYVGIASEPVCPVGLDIVSIHIPLHETALELVKNFSSYFTNSEWGKIMNAGSSDDIFSDFLRCWSLKEAFVKALGAGLGYGLHRLEFHHNNWTHISVDIDGVKSTEWRFWHFKIDNLHFATVAKGPPGAAESNQSTLMQVANKEELNTIHPHEDQFIFRTVEDLISVFLREKGLADEFNLL >DRNTG_14729.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:81044:84827:-1 gene:DRNTG_14729 transcript:DRNTG_14729.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMNFNFNVSHHGNYVGIASEPVCPVGLDIVSIHIPLHETALELVKNFSSYFTNSEWGKIMNAGSSDDIFSDFLRCWSLKEAFVKALGAGLGYGLHRLEFHHNNWTHISVDIDGVKSTEWRFWHFKIDNLHFATVAKGPPGAAESNQSTLMQVANKEELNTIHPHEDQFIFRTVEDLISVFLREKGLADEFNLL >DRNTG_26087.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20689788:20694926:-1 gene:DRNTG_26087 transcript:DRNTG_26087.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGQHLPRLFDEHQVFPQLRRSHRPLLLLLLLPHPPSQLLLRRRRTPQTRPPKPATMRRGADMHSSCILGHKTANIRDLYTLGRKLGQGQFGTTYLCTEISTGVEYACKSISKRKLISSDDVDDVRREIQIMHHLSGHRNVVTIKGAYEDPLYVHIVMELCAGGELFDRIIQRGHYSERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDSSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLCKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSDPWPVISDSAKDLIRKMLCSRPSDRLTAHQVLCHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFQAMDTDDSGAITFDELKAGLRRYGSTLKESEIRDLMDAADVDNSGTIDYGEFIAATVHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMEDVFLEDIIREVDQDNDGRIDYGEFVAMMRKGNMGLGLGRRTMRNSMNINTRDASGAH >DRNTG_26087.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20693282:20694926:-1 gene:DRNTG_26087 transcript:DRNTG_26087.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGQHLPRLFDEHQVFPQLRRSHRPLLLLLLLPHPPSQLLLRRRRTPQTRPPKPATMRRGADMHSSCILGHKTANIRDLYTLGRKLGQGQFGTTYLCTEISTGVEYACKSISKRKLISSDDVDDVRREIQIMHHLSGHRNVVTIKGAYEDPLYVHIVMELCAGGELFDRIIQRGHYSERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDSSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLCKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSDPWPVISDSAKDLIRKMLCSRPSDRLTAHQVLCMLAFLLLTLLVLDKCEASIS >DRNTG_26087.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20693546:20694926:-1 gene:DRNTG_26087 transcript:DRNTG_26087.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGQHLPRLFDEHQVFPQLRRSHRPLLLLLLLPHPPSQLLLRRRRTPQTRPPKPATMRRGADMHSSCILGHKTANIRDLYTLGRKLGQGQFGTTYLCTEISTGVEYACKSISKRKLISSDDVDDVRREIQIMHHLSGHRNVVTIKGAYEDPLYVHIVMELCAGGELFDRIIQRGHYSERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDSSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLCKHYGPEADVWTAGVILYILLSGVPPFWAGVTFL >DRNTG_30650.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:4730312:4742039:1 gene:DRNTG_30650 transcript:DRNTG_30650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSTQIRFHPFKRSVESLKGNRIALRRSRVEAGWNIFSLQKFSLLFDPTTDHWSIDGPANLWQTATVRPASGDQKLAVRPASGDRPPIQPASGDTPAVHQWSGRPLEAGHRSTGGLVTYRLASNDRSLVVRPSANGPQMVKPDFR >DRNTG_21321.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9418263:9422355:-1 gene:DRNTG_21321 transcript:DRNTG_21321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMLRCLPHSNIVSQQWSIRGIRPRKGSNPRRTLCLALKASTSHLLICGSIDYTQKFRRLKVKVVQKAQDYITRVLDIVFRIRLLEEEVLYKVVVSKILRSLAPRFKHVVSSIIEAKDLKTLSVEVLSGSLKTHESMLALTLDDGEEKAFHAGSSEVNSFKGG >DRNTG_12071.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19716731:19717714:1 gene:DRNTG_12071 transcript:DRNTG_12071.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAITTTQDTLLLGALRPPSVTRETLSSEERR >DRNTG_12071.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19714837:19717714:1 gene:DRNTG_12071 transcript:DRNTG_12071.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAITTTQDTLLLGALRPPSVTRETLSSEERR >DRNTG_12071.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19712945:19717714:1 gene:DRNTG_12071 transcript:DRNTG_12071.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAITTTQDTLLLGALRPPSVTRETLSSEERR >DRNTG_13825.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6300902:6305154:1 gene:DRNTG_13825 transcript:DRNTG_13825.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVELLLLLLLVFAVWIGSLKAQSLAPLVADDAIFRSSDGSSCPSPDPSLYHRPVIGILTHPGDGANGRLSNATNASNIPASYVKFVESAGARVIPIIYNEPEDLIIQKLKLVNGVLFTGGWVKTGLYYDVANKIFEIVLAKNDAGDHFPLFAICLGFELLSMFISQNPDICERFYGEDRASTLQFGISETSGTLFERFPPDLLTKMSSECLAMQNHMFGISPKRLRENRALSNFFKILTTTADANNDDYISTAQAYNYPVTAFQWHPEKNAFEWGTDKIPHTEDAVRVTQHTANYFISEARKSSTRPDPVKLLSHLIYNYKPTYGGYAGKGYDEVYIFT >DRNTG_34102.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002087.1:1:5204:1 gene:DRNTG_34102 transcript:DRNTG_34102.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQPGYSRADLLLAYAVAVAAALSVSNPFIMQYEAVSGTSDTKDQEEKKDEKPDTDKMKDKMEKQLRKKEKATARDARSRFCNPSSDALTVAHALQLFELADDRSSFCKTSALHFKTMEEMSKLRKQLLQLVFYQSKVCEEFSWRHGRIDDVELSWRKYPEKQPLLMNEEKVLGQAICAGWADRVAKRIRAVSNSFDKDQKVRAPRYESCALKDTVFLHRWSSTSQSAPEFVVYTELLCSKRPYMHGVTAVNADWLVKYASPLCNFSAPLTDPKPYYDPQIDQVLCWVSPTFGPHNWQLPLHSLPIKNDALRVSVFALALLEGNVLPCLKPAQKFLAASPSIILRPGALSQRRVGDLLNRLRIRSRTIDSRAMLREIWSENPQFLHAEIQQWFQEKFHDCFAEIWEKMLEEIKLECHELFLKNIKKDKKIKKH >DRNTG_10759.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23192725:23194197:-1 gene:DRNTG_10759 transcript:DRNTG_10759.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQCYAFLEQKRQRRASEREGRIERRRRA >DRNTG_16284.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3499438:3500443:1 gene:DRNTG_16284 transcript:DRNTG_16284.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEVASSISRLKDDNSKIMEMLEKTNADRQMFLEMQNKNLAFQQMRYEDKILMRDLNSIADPNIRACIQAQQQEILQKRGHFQQPPPSGSNMFSDIFGNIGGSGDNMADY >DRNTG_17459.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21509231:21515430:1 gene:DRNTG_17459 transcript:DRNTG_17459.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAQKLKESEITEQDSLLLTRNLLRIAIYNISYIRGLFPEKYFNDKSVPALEMKIKKLMPMDAESRRLIDWMEKGVYDALQKKYLKTLLFCICEEINGPMIEEYAFSFNYASSNGQEVFMNVSGSGNRKNSATFKSNSTDITPDQMRSSACKMVRTLVQLMRTLDQMPNNRTILMKLLYYDDVTPEDYEPPFFRCCSENEASNAWAKNPLKMEVGNVNSKHFVLALKVKSVLDPCEDENDDLLEDEEVNLGADSMQEDNSSSDSEAHPCENQYFVAPVGQRCVGKDNTMASEDDTQDAAQEDEQTSRMKEWINARQVTTVDLTDILSNFPEISVALTEDIVERLLKEGLLTKAGKDSYTINKEEVDMQDIAKAERTSKSADADFMYMKALYHALPMDYVTVAKLHSKLDGEVNQNTIRKFIDKMAQEGFVQNTGNRRLGKRVIHSESSSRKLMEVKKALEGKQAELEPNSNKPFAFNETTILSIGLNTKDGSTCGVLHSIGSDLTRTRGRSETYQNGSVRSGQTAHTPTSNAEPIASLESGVLGIDHERAKGRRISCDGNDCRSSQDKRSRKASTVKEPILQYLKRQKSLQA >DRNTG_17459.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21509231:21510100:1 gene:DRNTG_17459 transcript:DRNTG_17459.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAQKLKESEITEQDSLLLTRNLLRIAIYNISYIRGLFPEKYFNDKSVPALEMKIKKLMPMDAESRRLIDWMEK >DRNTG_17459.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21510029:21512170:1 gene:DRNTG_17459 transcript:DRNTG_17459.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKKLMPMDAESRRLIDWMEKGVYDALQKKYLKTLLFCICEEINGPMIEEYAFSFNYASSNGQEVFMNVSGSGNRKNSATFKSNSTDITPDQMRSSACKMVRTLVQLMRTLDQMPNNRTILMKLLYYDDVTPEDYEPPFFRCCSENEASNAWAKNPLKMEVGNVNSKHFVLALKVKSVLDPCEDENDDLLEDEEVNLGADSMQEDNSSSDSE >DRNTG_17459.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21511084:21512170:1 gene:DRNTG_17459 transcript:DRNTG_17459.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTLVQLMRTLDQMPNNRTILMKLLYYDDVTPEDYEPPFFRCCSENEASNAWAKNPLKMEVGNVNSKHFVLALKVKSVLDPCEDENDDLLEDEEVNLGADSMQEDNSSSDSE >DRNTG_02302.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:767150:768320:1 gene:DRNTG_02302 transcript:DRNTG_02302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLEYLASGYTMSTVALPTVSTFKGCVKSQGPSLLLRRSPSSLSFSKSYSKSFGLKSSNGFRATAMSVYKVKLIGPDGQQHEFDAPDDTYILDAAETAGVELPYSCRAGACSTCAGQLKSGDVDQSDGSFLDDSQMSKGYILTCVSYPRSDCIIHTHKEGELY >DRNTG_06753.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29420162:29452801:1 gene:DRNTG_06753 transcript:DRNTG_06753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEEPYFSKKLIVLIGMLSTYRLKSNVKCIIFVERVVVARSLAYIIGNLKSLDFWKCAFLVGCRSGLRSMSRKNMNAVVQEFSLGKLNLLVATNVAEEGLDIQTCCLVVRFDLPQTVSSFIQSRGRARMLTSEYVFLLERGNERDEMLLESFSTREDIMKKEVISRTSKESFNDLEEKIYKVYSTGASVSTGCSISLLHHYCAKLPRDMLTVLLVHLRMKLRELLPYKPALLCMNEVLCRITFCLIMEKGQEKLMLLNVKKMKMTILEKNSMKC >DRNTG_06753.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29451102:29452801:1 gene:DRNTG_06753 transcript:DRNTG_06753.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKNMNAVVQEFSLGKLNLLVATNVAEEGLDIQTCCLVVRFDLPQTVSSFIQSRGRARMLTSEYVFLLERGNERDEMLLESFSTREDIMKKEVISRTSKESFNDLEEKIYKVYSTGASVSTGCSISLLHHYCAKLPRDMLTVLLVHLRMKLRELLPYKPALLCMNEVLCRITFCLIMEKGQEKLMLLNVKKMKMTILEKNSMKC >DRNTG_06753.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29424203:29427730:1 gene:DRNTG_06753 transcript:DRNTG_06753.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTASPIVGKGGLNQLEYSRRINSLENLLEAKICSVDHNLQLECVAATPDIKIYLYGPVANHSSGFIVTCTQKLEETKDECTRTIRKEQCDDFQELQKQIKTLERIHGNLVFCLENIGLQGASY >DRNTG_06753.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29423983:29424126:1 gene:DRNTG_06753 transcript:DRNTG_06753.9 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQILLHSLQHCFIRMEHIVLLIFDECHHAQAQSRHPYAQIMK >DRNTG_06753.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29420320:29420752:1 gene:DRNTG_06753 transcript:DRNTG_06753.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSRSPLKDPRKIARNYQLEVCKKAVDQNLIVFLRTGGGKTHIAVLLMYELRNEILKPSRSICVFLAPTGALVKQVSLNLLLFDVFLAVLF >DRNTG_06753.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29451995:29452801:1 gene:DRNTG_06753 transcript:DRNTG_06753.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQHFTSSSLLCQTSSRHVDSSPCSSKDEAKRVASLQACITLHERGALSNYLLPDHGKGTRKANASECEENEDDYLREELHEMLVPSGLKEPWSDSEAHVPLHFYEIKFIPIPEDRVYRKFGLVV >DRNTG_06753.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29442990:29452801:1 gene:DRNTG_06753 transcript:DRNTG_06753.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEEPYFSKKLIVLIGMLSTYRLKSNVKCIIFVERVVVARSLAYIIGNLKSLDFWKCAFLVGCRSGLRSMSRKNMNAVVQEFSLGKLNLLVATNVAEEGLDIQTCCLVVRFDLPQTVSSFIQSRGRARMLTSEYVFLLERGNERDEMLLESFSTREDIMKKEVISRTSKESFNDLEEKIYKVYSTGASVSTGCSISLLHHYCAKLPRDMLTVLLVHLRMKLRELLPYKPALLCMNEVLCRITFCLIMEKGQEKLMLLNVKKMKMTILEKNSMKC >DRNTG_06753.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29427372:29451896:1 gene:DRNTG_06753 transcript:DRNTG_06753.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEEPYFSKKLIVLIGMLSTYRLKSNVKCIIFVERVVVARSLAYIIGNLKSLDFWKCAFLVGCRSGLRSMSRKNMNAVVQEFSLGKLNLLVATNVAEEGLDIQTCCLVVRFDLPQTVSSFIQSRGRARMLTSEYVFLLER >DRNTG_06753.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29427220:29452801:1 gene:DRNTG_06753 transcript:DRNTG_06753.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEEPYFSKKLIVLIGMLSTYRLKSNVKCIIFVERVVVARSLAYIIGNLKSLDFWKCAFLVGCRSGLRSMSRKNMNAVVQEFSLGKLNLLVATNVAEEGLDIQTCCLVVRFDLPQTVSSFIQSRGRARMLTSEYVFLLERGNERDEMLLESFSTREDIMKKEVISRTSKESFNDLEEKIYKVYSTGASVSTGCSISLLHHYCAKLPRDMLTVLLVHLRMKLRELLPYKPALLCMNEVLCRITFCLIMEKGQEKLMLLNVKKMKMTILEKNSMKC >DRNTG_28384.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20518753:20521919:1 gene:DRNTG_28384 transcript:DRNTG_28384.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLFNITSIQSLNLGYNSFDESPLLEIGNLANLTHLNLSNSGVFGQVPLGISHLKKLVSLDLSWSPPLQLRKLVGGLSNLKELYLNGVNVSANGTEWCGVISESTPKLEVLSLVGCSLSGPIDSSLSKLRYLSRLRLDNNDLSTEVPVFFEDFSSLVALSLFNCNLQGLFPKRVFQLKNLKYIDISRNDMLSGSLPEFPKDSTLESLLVSSTNFSGFLPDSLGNLKTLMGLDLSACHFSGSIPLSFRNLSKLVYLNLSHNNFSGKIPLILGGDWISEILLSNNNLTGSIPQSFGQLNRLVTLDLQKNSLSGPIPMSLFTLPALQVLQLNENKFFGQLEEFLNASSVLEVVDLGMNNLQAEVPRSMFDLSGLMSLTLSSNNFSGTIELDLFRNLQNLEYLDLSNNKLTVLDGADDSSLLPSLAVLRMESCNLMTIPAFLKHKNNTECVDLSNNRIGGTIPDWIWSIGDISMSYCYLNLSHNFFTGIEGPPSHIKMSIGFILDLRSNLLEGPIPLPPPNSFIVDYSNNHFTSSIPSNMSYYLTSAVFLSLSDNNLTGEIPVSICNATNLRVLDVSFNSLNGSLPGCLMESLSELLVLRARGNRFQGAIPQQISSRCALQKINLHGNKLEGRVPRSLANCNKLEFLDFGSNKLVDSFPYWLGNLPALKVLVLRENGFYGPFGNTDGNCEGNYTFSMVHILDISSNNFTGTLPSDCFKNMKAMMSDQEMLDTSGGLVTNFSFFVGLSGDIINLFDSSDYWDLVTVALKGVKRNLVNTITIFVAIDMSNNQFEGHLPEAIGDLTALISLNMSGNAFNDQIPQVFENLMELQSLDLSQNHLSGQIPNSLASLTFLSFLNLSNNNLVGRIPYGNQFSTFSRYSFEGNPGLCGNQLSRQCVSSSVEPSSDFSDTSTEFDMEVVWTWLFTGLGFGLGLAFVIGFQMLFPRWKIW >DRNTG_28384.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20518589:20521774:1 gene:DRNTG_28384 transcript:DRNTG_28384.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLFNITSIQSLNLGYNSFDESPLLEIGNLANLTHLNLSNSGVFGQVPLGISHLKKLVSLDLSWSPPLQLRKLVGGLSNLKELYLNGVNVSANGTEWCGVISESTPKLEVLSLVGCSLSGPIDSSLSKLRYLSRLRLDNNDLSTEVPVFFEDFSSLVALSLFNCNLQGLFPKRVFQLKNLKYIDISRNDMLSGSLPEFPKDSTLESLLVSSTNFSGFLPDSLGNLKTLMGLDLSACHFSGSIPLSFRNLSKLVYLNLSHNNFSGKIPLILGGDWISEILLSNNNLTGSIPQSFGQLNRLVTLDLQKNSLSGPIPMSLFTLPALQVLQLNENKFFGQLEEFLNASSVLEVVDLGMNNLQAEVPRSMFDLSGLMSLTLSSNNFSGTIELDLFRNLQNLEYLDLSNNKLTVLDGADDSSLLPSLAVLRMESCNLMTIPAFLKHKNNTECVDLSNNRIGGTIPDWIWSIGDISMSYCYLNLSHNFFTGIEGPPSHIKMSIGFILDLRSNLLEGPIPLPPPNSFIVDYSNNHFTSSIPSNMSYYLTSAVFLSLSDNNLTGEIPVSICNATNLRVLDVSFNSLNGSLPGCLMESLSELLVLRARGNRFQGAIPQQISSRCALQKINLHGNKLEGRVPRSLANCNKLEFLDFGSNKLVDSFPYWLGNLPALKVLVLRENGFYGPFGNTDGNCEGNYTFSMVHILDISSNNFTGTLPSDCFKNMKAMMSDQEMLDTSGGLVTNFSFFVGLSGDIINLFDSSDYWDLVTVALKGVKRNLVNTITIFVAIDMSNNQFEGHLPEAIGDLTALISLNMSGNAFNDQIPQVFENLMELQSLDLSQNHLSGQIPNSLASLTFLSFLNLSNNNLVGRIPYGNQFSTFSRYSFEGNPGLCGNQLSRQCVSSSVEPSSDFSDTSTEFDMEVVWTWLFTGLGFGLGLAFVIGFQMLFPRWKIW >DRNTG_28384.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20518556:20521812:1 gene:DRNTG_28384 transcript:DRNTG_28384.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMCNHSNPITFFLVCLAFLLRFRSSASAFTSHSQCLHLLELKKGFLFSNATTTSLSSWLPATDCCAWEGITCEQVSGLVVSLDLSDRGISGKIMPSLFNITSIQSLNLGYNSFDESPLLEIGNLANLTHLNLSNSGVFGQVPLGISHLKKLVSLDLSWSPPLQLRKLVGGLSNLKELYLNGVNVSANGTEWCGVISESTPKLEVLSLVGCSLSGPIDSSLSKLRYLSRLRLDNNDLSTEVPVFFEDFSSLVALSLFNCNLQGLFPKRVFQLKNLKYIDISRNDMLSGSLPEFPKDSTLESLLVSSTNFSGFLPDSLGNLKTLMGLDLSACHFSGSIPLSFRNLSKLVYLNLSHNNFSGKIPLILGGDWISEILLSNNNLTGSIPQSFGQLNRLVTLDLQKNSLSGPIPMSLFTLPALQVLQLNENKFFGQLEEFLNASSVLEVVDLGMNNLQAEVPRSMFDLSGLMSLTLSSNNFSGTIELDLFRNLQNLEYLDLSNNKLTVLDGADDSSLLPSLAVLRMESCNLMTIPAFLKHKNNTECVDLSNNRIGGTIPDWIWSIGDISMSYCYLNLSHNFFTGIEGPPSHIKMSIGFILDLRSNLLEGPIPLPPPNSFIVDYSNNHFTSSIPSNMSYYLTSAVFLSLSDNNLTGEIPVSICNATNLRVLDVSFNSLNGSLPGCLMESLSELLVLRARGNRFQGAIPQQISSRCALQKINLHGNKLEGRVPRSLANCNKLEFLDFGSNKLVDSFPYWLGNLPALKVLVLRENGFYGPFGNTDGNCEGNYTFSMVHILDISSNNFTGTLPSDCFKNMKAMMSDQEMLDTSGGLVTNFSFFVGLSGDIINLFDSSDYWDLVTVALKGVKRNLVNTITIFVAIDMSNNQFEGHLPEAIGDLTALISLNMSGNAFNDQIPQVFENLMELQSLDLSQNHLSGQIPNSLASLTFLSFLNLSNNNLVGRIPYGNQFSTFSRYSFEGNPGLCGNQLSRQCVSSSVEPSSDFSDTSTEFDMEVVWTWLFTGLGFGLGLAFVIGFQMLFPRWKIW >DRNTG_28384.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20518589:20521857:1 gene:DRNTG_28384 transcript:DRNTG_28384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLFNITSIQSLNLGYNSFDESPLLEIGNLANLTHLNLSNSGVFGQVPLGISHLKKLVSLDLSWSPPLQLRKLVGGLSNLKELYLNGVNVSANGTEWCGVISESTPKLEVLSLVGCSLSGPIDSSLSKLRYLSRLRLDNNDLSTEVPVFFEDFSSLVALSLFNCNLQGLFPKRVFQLKNLKYIDISRNDMLSGSLPEFPKDSTLESLLVSSTNFSGFLPDSLGNLKTLMGLDLSACHFSGSIPLSFRNLSKLVYLNLSHNNFSGKIPLILGGDWISEILLSNNNLTGSIPQSFGQLNRLVTLDLQKNSLSGPIPMSLFTLPALQVLQLNENKFFGQLEEFLNASSVLEVVDLGMNNLQAEVPRSMFDLSGLMSLTLSSNNFSGTIELDLFRNLQNLEYLDLSNNKLTVLDGADDSSLLPSLAVLRMESCNLMTIPAFLKHKNNTECVDLSNNRIGGTIPDWIWSIGDISMSYCYLNLSHNFFTGIEGPPSHIKMSIGFILDLRSNLLEGPIPLPPPNSFIVDYSNNHFTSSIPSNMSYYLTSAVFLSLSDNNLTGEIPVSICNATNLRVLDVSFNSLNGSLPGCLMESLSELLVLRARGNRFQGAIPQQISSRCALQKINLHGNKLEGRVPRSLANCNKLEFLDFGSNKLVDSFPYWLGNLPALKVLVLRENGFYGPFGNTDGNCEGNYTFSMVHILDISSNNFTGTLPSDCFKNMKAMMSDQEMLDTSGGLVTNFSFFVGLSGDIINLFDSSDYWDLVTVALKGVKRNLVNTITIFVAIDMSNNQFEGHLPEAIGDLTALISLNMSGNAFNDQIPQVFENLMELQSLDLSQNHLSGQIPNSLASLTFLSFLNLSNNNLVGRIPYGNQFSTFSRYSFEGNPGLCGNQLSRQCVSSSVEPSSDFSDTSTEFDMEVVWTWLFTGLGFGLGLAFVIGFQMLFPRWKIW >DRNTG_28384.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20519723:20521857:1 gene:DRNTG_28384 transcript:DRNTG_28384.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFTLPALQVLQLNENKFFGQLEEFLNASSVLEVVDLGMNNLQAEVPRSMFDLSGLMSLTLSSNNFSGTIELDLFRNLQNLEYLDLSNNKLTVLDGADDSSLLPSLAVLRMESCNLMTIPAFLKHKNNTECVDLSNNRIGGTIPDWIWSIGDISMSYCYLNLSHNFFTGIEGPPSHIKMSIGFILDLRSNLLEGPIPLPPPNSFIVDYSNNHFTSSIPSNMSYYLTSAVFLSLSDNNLTGEIPVSICNATNLRVLDVSFNSLNGSLPGCLMESLSELLVLRARGNRFQGAIPQQISSRCALQKINLHGNKLEGRVPRSLANCNKLEFLDFGSNKLVDSFPYWLGNLPALKVLVLRENGFYGPFGNTDGNCEGNYTFSMVHILDISSNNFTGTLPSDCFKNMKAMMSDQEMLDTSGGLVTNFSFFVGLSGDIINLFDSSDYWDLVTVALKGVKRNLVNTITIFVAIDMSNNQFEGHLPEAIGDLTALISLNMSGNAFNDQIPQVFENLMELQSLDLSQNHLSGQIPNSLASLTFLSFLNLSNNNLVGRIPYGNQFSTFSRYSFEGNPGLCGNQLSRQCVSSSVEPSSDFSDTSTEFDMEVVWTWLFTGLGFGLGLAFVIGFQMLFPRWKIW >DRNTG_28384.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20518753:20521774:1 gene:DRNTG_28384 transcript:DRNTG_28384.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLFNITSIQSLNLGYNSFDESPLLEIGNLANLTHLNLSNSGVFGQVPLGISHLKKLVSLDLSWSPPLQLRKLVGGLSNLKELYLNGVNVSANGTEWCGVISESTPKLEVLSLVGCSLSGPIDSSLSKLRYLSRLRLDNNDLSTEVPVFFEDFSSLVALSLFNCNLQGLFPKRVFQLKNLKYIDISRNDMLSGSLPEFPKDSTLESLLVSSTNFSGFLPDSLGNLKTLMGLDLSACHFSGSIPLSFRNLSKLVYLNLSHNNFSGKIPLILGGDWISEILLSNNNLTGSIPQSFGQLNRLVTLDLQKNSLSGPIPMSLFTLPALQVLQLNENKFFGQLEEFLNASSVLEVVDLGMNNLQAEVPRSMFDLSGLMSLTLSSNNFSGTIELDLFRNLQNLEYLDLSNNKLTVLDGADDSSLLPSLAVLRMESCNLMTIPAFLKHKNNTECVDLSNNRIGGTIPDWIWSIGDISMSYCYLNLSHNFFTGIEGPPSHIKMSIGFILDLRSNLLEGPIPLPPPNSFIVDYSNNHFTSSIPSNMSYYLTSAVFLSLSDNNLTGEIPVSICNATNLRVLDVSFNSLNGSLPGCLMESLSELLVLRARGNRFQGAIPQQISSRCALQKINLHGNKLEGRVPRSLANCNKLEFLDFGSNKLVDSFPYWLGNLPALKVLVLRENGFYGPFGNTDGNCEGNYTFSMVHILDISSNNFTGTLPSDCFKNMKAMMSDQEMLDTSGGLVTNFSFFVGLSGDIINLFDSSDYWDLVTVALKGVKRNLVNTITIFVAIDMSNNQFEGHLPEAIGDLTALISLNMSGNAFNDQIPQVFENLMELQSLDLSQNHLSGQIPNSLASLTFLSFLNLSNNNLVGRIPYGNQFSTFSRYSFEGNPGLCGNQLSRQCVSSSVEPSSDFSDTSTEFDMEVVWTWLFTGLGFGLGLAFVIGFQMLFPRWKIW >DRNTG_28384.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20518639:20521857:1 gene:DRNTG_28384 transcript:DRNTG_28384.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLFNITSIQSLNLGYNSFDESPLLEIGNLANLTHLNLSNSGVFGQVPLGISHLKKLVSLDLSWSPPLQLRKLVGGLSNLKELYLNGVNVSANGTEWCGVISESTPKLEVLSLVGCSLSGPIDSSLSKLRYLSRLRLDNNDLSTEVPVFFEDFSSLVALSLFNCNLQGLFPKRVFQLKNLKYIDISRNDMLSGSLPEFPKDSTLESLLVSSTNFSGFLPDSLGNLKTLMGLDLSACHFSGSIPLSFRNLSKLVYLNLSHNNFSGKIPLILGGDWISEILLSNNNLTGSIPQSFGQLNRLVTLDLQKNSLSGPIPMSLFTLPALQVLQLNENKFFGQLEEFLNASSVLEVVDLGMNNLQAEVPRSMFDLSGLMSLTLSSNNFSGTIELDLFRNLQNLEYLDLSNNKLTVLDGADDSSLLPSLAVLRMESCNLMTIPAFLKHKNNTECVDLSNNRIGGTIPDWIWSIGDISMSYCYLNLSHNFFTGIEGPPSHIKMSIGFILDLRSNLLEGPIPLPPPNSFIVDYSNNHFTSSIPSNMSYYLTSAVFLSLSDNNLTGEIPVSICNATNLRVLDVSFNSLNGSLPGCLMESLSELLVLRARGNRFQGAIPQQISSRCALQKINLHGNKLEGRVPRSLANCNKLEFLDFGSNKLVDSFPYWLGNLPALKVLVLRENGFYGPFGNTDGNCEGNYTFSMVHILDISSNNFTGTLPSDCFKNMKAMMSDQEMLDTSGGLVTNFSFFVGLSGDIINLFDSSDYWDLVTVALKGVKRNLVNTITIFVAIDMSNNQFEGHLPEAIGDLTALISLNMSGNAFNDQIPQVFENLMELQSLDLSQNHLSGQIPNSLASLTFLSFLNLSNNNLVGRIPYGNQFSTFSRYSFEGNPGLCGNQLSRQCVSSSVEPSSDFSDTSTEFDMEVVWTWLFTGLGFGLGLAFVIGFQMLFPRWKIW >DRNTG_28384.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20518589:20521812:1 gene:DRNTG_28384 transcript:DRNTG_28384.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLFNITSIQSLNLGYNSFDESPLLEIGNLANLTHLNLSNSGVFGQVPLGISHLKKLVSLDLSWSPPLQLRKLVGGLSNLKELYLNGVNVSANGTEWCGVISESTPKLEVLSLVGCSLSGPIDSSLSKLRYLSRLRLDNNDLSTEVPVFFEDFSSLVALSLFNCNLQGLFPKRVFQLKNLKYIDISRNDMLSGSLPEFPKDSTLESLLVSSTNFSGFLPDSLGNLKTLMGLDLSACHFSGSIPLSFRNLSKLVYLNLSHNNFSGKIPLILGGDWISEILLSNNNLTGSIPQSFGQLNRLVTLDLQKNSLSGPIPMSLFTLPALQVLQLNENKFFGQLEEFLNASSVLEVVDLGMNNLQAEVPRSMFDLSGLMSLTLSSNNFSGTIELDLFRNLQNLEYLDLSNNKLTVLDGADDSSLLPSLAVLRMESCNLMTIPAFLKHKNNTECVDLSNNRIGGTIPDWIWSIGDISMSYCYLNLSHNFFTGIEGPPSHIKMSIGFILDLRSNLLEGPIPLPPPNSFIVDYSNNHFTSSIPSNMSYYLTSAVFLSLSDNNLTGEIPVSICNATNLRVLDVSFNSLNGSLPGCLMESLSELLVLRARGNRFQGAIPQQISSRCALQKINLHGNKLEGRVPRSLANCNKLEFLDFGSNKLVDSFPYWLGNLPALKVLVLRENGFYGPFGNTDGNCEGNYTFSMVHILDISSNNFTGTLPSDCFKNMKAMMSDQEMLDTSGGLVTNFSFFVGLSGDIINLFDSSDYWDLVTVALKGVKRNLVNTITIFVAIDMSNNQFEGHLPEAIGDLTALISLNMSGNAFNDQIPQVFENLMELQSLDLSQNHLSGQIPNSLASLTFLSFLNLSNNNLVGRIPYGNQFSTFSRYSFEGNPGLCGNQLSRQCVSSSVEPSSDFSDTSTEFDMEVVWTWLFTGLGFGLGLAFVIGFQMLFPRWKIW >DRNTG_28384.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20518753:20521857:1 gene:DRNTG_28384 transcript:DRNTG_28384.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLFNITSIQSLNLGYNSFDESPLLEIGNLANLTHLNLSNSGVFGQVPLGISHLKKLVSLDLSWSPPLQLRKLVGGLSNLKELYLNGVNVSANGTEWCGVISESTPKLEVLSLVGCSLSGPIDSSLSKLRYLSRLRLDNNDLSTEVPVFFEDFSSLVALSLFNCNLQGLFPKRVFQLKNLKYIDISRNDMLSGSLPEFPKDSTLESLLVSSTNFSGFLPDSLGNLKTLMGLDLSACHFSGSIPLSFRNLSKLVYLNLSHNNFSGKIPLILGGDWISEILLSNNNLTGSIPQSFGQLNRLVTLDLQKNSLSGPIPMSLFTLPALQVLQLNENKFFGQLEEFLNASSVLEVVDLGMNNLQAEVPRSMFDLSGLMSLTLSSNNFSGTIELDLFRNLQNLEYLDLSNNKLTVLDGADDSSLLPSLAVLRMESCNLMTIPAFLKHKNNTECVDLSNNRIGGTIPDWIWSIGDISMSYCYLNLSHNFFTGIEGPPSHIKMSIGFILDLRSNLLEGPIPLPPPNSFIVDYSNNHFTSSIPSNMSYYLTSAVFLSLSDNNLTGEIPVSICNATNLRVLDVSFNSLNGSLPGCLMESLSELLVLRARGNRFQGAIPQQISSRCALQKINLHGNKLEGRVPRSLANCNKLEFLDFGSNKLVDSFPYWLGNLPALKVLVLRENGFYGPFGNTDGNCEGNYTFSMVHILDISSNNFTGTLPSDCFKNMKAMMSDQEMLDTSGGLVTNFSFFVGLSGDIINLFDSSDYWDLVTVALKGVKRNLVNTITIFVAIDMSNNQFEGHLPEAIGDLTALISLNMSGNAFNDQIPQVFENLMELQSLDLSQNHLSGQIPNSLASLTFLSFLNLSNNNLVGRIPYGNQFSTFSRYSFEGNPGLCGNQLSRQCVSSSVEPSSDFSDTSTEFDMEVVWTWLFTGLGFGLGLAFVIGFQMLFPRWKIW >DRNTG_28384.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20520346:20521857:1 gene:DRNTG_28384 transcript:DRNTG_28384.12 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYLTSAVFLSLSDNNLTGEIPVSICNATNLRVLDVSFNSLNGSLPGCLMESLSELLVLRARGNRFQGAIPQQISSRCALQKINLHGNKLEGRVPRSLANCNKLEFLDFGSNKLVDSFPYWLGNLPALKVLVLRENGFYGPFGNTDGNCEGNYTFSMVHILDISSNNFTGTLPSDCFKNMKAMMSDQEMLDTSGGLVTNFSFFVGLSGDIINLFDSSDYWDLVTVALKGVKRNLVNTITIFVAIDMSNNQFEGHLPEAIGDLTALISLNMSGNAFNDQIPQVFENLMELQSLDLSQNHLSGQIPNSLASLTFLSFLNLSNNNLVGRIPYGNQFSTFSRYSFEGNPGLCGNQLSRQCVSSSVEPSSDFSDTSTEFDMEVVWTWLFTGLGFGLGLAFVIGFQMLFPRWKIW >DRNTG_28384.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20520346:20521812:1 gene:DRNTG_28384 transcript:DRNTG_28384.13 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYLTSAVFLSLSDNNLTGEIPVSICNATNLRVLDVSFNSLNGSLPGCLMESLSELLVLRARGNRFQGAIPQQISSRCALQKINLHGNKLEGRVPRSLANCNKLEFLDFGSNKLVDSFPYWLGNLPALKVLVLRENGFYGPFGNTDGNCEGNYTFSMVHILDISSNNFTGTLPSDCFKNMKAMMSDQEMLDTSGGLVTNFSFFVGLSGDIINLFDSSDYWDLVTVALKGVKRNLVNTITIFVAIDMSNNQFEGHLPEAIGDLTALISLNMSGNAFNDQIPQVFENLMELQSLDLSQNHLSGQIPNSLASLTFLSFLNLSNNNLVGRIPYGNQFSTFSRYSFEGNPGLCGNQLSRQCVSSSVEPSSDFSDTSTEFDMEVVWTWLFTGLGFGLGLAFVIGFQMLFPRWKIW >DRNTG_28384.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20520346:20521919:1 gene:DRNTG_28384 transcript:DRNTG_28384.11 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYLTSAVFLSLSDNNLTGEIPVSICNATNLRVLDVSFNSLNGSLPGCLMESLSELLVLRARGNRFQGAIPQQISSRCALQKINLHGNKLEGRVPRSLANCNKLEFLDFGSNKLVDSFPYWLGNLPALKVLVLRENGFYGPFGNTDGNCEGNYTFSMVHILDISSNNFTGTLPSDCFKNMKAMMSDQEMLDTSGGLVTNFSFFVGLSGDIINLFDSSDYWDLVTVALKGVKRNLVNTITIFVAIDMSNNQFEGHLPEAIGDLTALISLNMSGNAFNDQIPQVFENLMELQSLDLSQNHLSGQIPNSLASLTFLSFLNLSNNNLVGRIPYGNQFSTFSRYSFEGNPGLCGNQLSRQCVSSSVEPSSDFSDTSTEFDMEVVWTWLFTGLGFGLGLAFVIGFQMLFPRWKIW >DRNTG_28384.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20519723:20521774:1 gene:DRNTG_28384 transcript:DRNTG_28384.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFTLPALQVLQLNENKFFGQLEEFLNASSVLEVVDLGMNNLQAEVPRSMFDLSGLMSLTLSSNNFSGTIELDLFRNLQNLEYLDLSNNKLTVLDGADDSSLLPSLAVLRMESCNLMTIPAFLKHKNNTECVDLSNNRIGGTIPDWIWSIGDISMSYCYLNLSHNFFTGIEGPPSHIKMSIGFILDLRSNLLEGPIPLPPPNSFIVDYSNNHFTSSIPSNMSYYLTSAVFLSLSDNNLTGEIPVSICNATNLRVLDVSFNSLNGSLPGCLMESLSELLVLRARGNRFQGAIPQQISSRCALQKINLHGNKLEGRVPRSLANCNKLEFLDFGSNKLVDSFPYWLGNLPALKVLVLRENGFYGPFGNTDGNCEGNYTFSMVHILDISSNNFTGTLPSDCFKNMKAMMSDQEMLDTSGGLVTNFSFFVGLSGDIINLFDSSDYWDLVTVALKGVKRNLVNTITIFVAIDMSNNQFEGHLPEAIGDLTALISLNMSGNAFNDQIPQVFENLMELQSLDLSQNHLSGQIPNSLASLTFLSFLNLSNNNLVGRIPYGNQFSTFSRYSFEGNPGLCGNQLSRQCVSSSVEPSSDFSDTSTEFDMEVVWTWLFTGLGFGLGLAFVIGFQMLFPRWKIW >DRNTG_28384.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20520346:20521774:1 gene:DRNTG_28384 transcript:DRNTG_28384.14 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYLTSAVFLSLSDNNLTGEIPVSICNATNLRVLDVSFNSLNGSLPGCLMESLSELLVLRARGNRFQGAIPQQISSRCALQKINLHGNKLEGRVPRSLANCNKLEFLDFGSNKLVDSFPYWLGNLPALKVLVLRENGFYGPFGNTDGNCEGNYTFSMVHILDISSNNFTGTLPSDCFKNMKAMMSDQEMLDTSGGLVTNFSFFVGLSGDIINLFDSSDYWDLVTVALKGVKRNLVNTITIFVAIDMSNNQFEGHLPEAIGDLTALISLNMSGNAFNDQIPQVFENLMELQSLDLSQNHLSGQIPNSLASLTFLSFLNLSNNNLVGRIPYGNQFSTFSRYSFEGNPGLCGNQLSRQCVSSSVEPSSDFSDTSTEFDMEVVWTWLFTGLGFGLGLAFVIGFQMLFPRWKIW >DRNTG_33844.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002047.1:25454:26185:-1 gene:DRNTG_33844 transcript:DRNTG_33844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTEFSIRLELYDVEFTRTPAYDELLITQPSGESVGEAWRRLRATPIYDPRWTKATTLRSLPLWYIHYIISHSLTIHGDSNRVVSHRDFNFLLSMVDGFHIHLGYEVAVSIAHQGSDPRIGALFVDPYITRIIRHMGLLQGTDHIRIPTTSTAASDIPPTSDNAPTPPPLTAASRLRSRLPPRASDHITCSTTAFRWPQRSVTRIAEHL >DRNTG_00396.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000037.1:9635:16588:1 gene:DRNTG_00396 transcript:DRNTG_00396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFLLDLSYTSMMRFLSFVGRVFFVAIFIQSAYTDRPYIFLLSSTMAKTLLVFVYYNGSLTFSAVTFEGASSRQQTTTYDQVQSNMGQERILSPYQVNKLERLSTEWRAHDDPPPNIDFTNSQHPEDGTSYFYDIHETEFGRYGQSRETMRMTTVTTLQRTLKQTLMAFT >DRNTG_31368.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28440893:28443579:1 gene:DRNTG_31368 transcript:DRNTG_31368.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box protein AGL24 [Source:Projected from Arabidopsis thaliana (AT4G24540) UniProtKB/Swiss-Prot;Acc:O82794] MAREKIKIKKIDNATARQVTFSKRRRGLFKKAEELAILCDADVGLIIFSASGKLFEYASSSMCEIIDKHSTQFDAARSQTNKLLTCL >DRNTG_19217.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000990.1:76326:81227:-1 gene:DRNTG_19217 transcript:DRNTG_19217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKQLIQILTLNWINSYWDHHGEYCLMENAEDVLEALKPPSGVKQLTISYYPGKQFPMWTGEMQQFQYLHSIELSECRECEQLPPLETLPNLADLSISDMDGIRHIVNNSRGNALQSFPALKGLILYRMKNLEGWCVEEGREANQSLFPCLIRMDIGRCPKLTTMPPIPTLQELYMVRSFRKTQISLVSKERRFFKHLKSLRRLGINSCTEELVLLLADEEETRAMKSTLESLDIGNCNQLSLTLVLQNLPSLRQLKVESLEKLVSWPDKIQSLKFLNDLTISSCRYFTGVSSQGDSGPPFLKSLRVSGSDALRELPTCPTSLQYLKIDNCRGMESLGPEMGHLTSLSRLELSRCPKLKSFPEGLQQLLPTLKSLEIKGCPDLERLCKPGGDYYNILSTISYKQIGKESESESEPEEIIQVPNEISTGAKQAFKCITTNRFLVSAILICAIAYFINFLFNQLDSQNEEGLWYIPPT >DRNTG_16368.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000810.1:24:3418:-1 gene:DRNTG_16368 transcript:DRNTG_16368.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFSFQDEIMQLEDIYFPTFSPDPFALIPIPVETEIQCPASVLPFEIERQECIAPCFVEYSRLRDEHVRRKLELKFNEQKNVHQRVIEYLRKIPQPNNPKMEMRVERNCSRLFRHMMKERLRREKISQCYTDLYSIILPTPKADKNSIVQSAAVYLKELLMIKEELHRQNKMLREKIIDTGNILQSKGLDSRTEHKMKDDNMSIEEAKIEVQLMNPVSTIDSMIEALQCMKGMGVKAMSIHSEFYGDEFTTMMTIYTKVEKSEERGVEGTPVEMERKMRLQLAITKKVSVDPNKAATTTTTSPCGQWRT >DRNTG_16368.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000810.1:24:1225:-1 gene:DRNTG_16368 transcript:DRNTG_16368.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFWCQKEFLEGATLNYSFSPAPPINVVAGISENNSLIIAPTSAFKRYFRPLNIIQKCDHSPNVHQRVISFLKSIWKPNPKPKICEIDDVGSRDFRHMMRERHRREKLSQNYSELYSILAPMSKADKNSIVQSAAVYLKELLMIKEELHRQNKMLREKIIDTGNILQSKGLDSRTEHKMKDDNMSIEEAKIEVQLMNPVSTIDSMIEALQCMKGMGVKAMSIHSEFYGDEFTTMMTIYTKVEKSEERGVEGTPVEMERKMRLQLAITKKVSVDPNKAATTTTTSPCGQWRT >DRNTG_01225.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5005795:5006663:-1 gene:DRNTG_01225 transcript:DRNTG_01225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLLLIILVFFVHMVSVFSVEDISKKVNIILDGEIGKSSNRVDLHGENIGGKMNPNSVTFIDGTRPDGPTHPNPEERELCKQNPKLPFCKSVPGS >DRNTG_30788.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10506888:10507997:-1 gene:DRNTG_30788 transcript:DRNTG_30788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAIKMAWQRKVAERYRALMCNLRKGKEKSMHVSDSAWKTWTEAWNSPEFKTRCEKATANRLTEIAGPGSGISRHTGGSISHASHADRLQSRLGRDPRPFELFEVTHTKKGTSMLVDARAQSVKDRYLELVEQASQTQEGLDELPIVDETALYYEAVGGGKKKSGLWNRVPGVYLLSTFIFEFVYRFII >DRNTG_16494.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6177903:6180966:1 gene:DRNTG_16494 transcript:DRNTG_16494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKTPNHDYAPTLRITSTLHSVAHTTKPQWSLSLSTSLYRDRKELLERGGRINHVRRERGVPLPLDSPFNLFSLAKSNPNEVVTPS >DRNTG_03945.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000187.1:85724:93828:1 gene:DRNTG_03945 transcript:DRNTG_03945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLLFLRKKVGRGASDEAYPIPDEGILGRQVEDSPQDHRHDHRGGFFMDSLLLHSISLILQVMTRGNPSILIEGDPELEYATDEIVDEYMQEMFNLDLYEGLFDQEEDNEEIMMLGSTEEVPFSPGILKKVLRRLKRARRRHREHSKAVGDVHKLNKLGESFQESTEFSKDLEKTHGRMELTHTRGFVLLAHPEKAQERGLGYVNDHAILTCPWRSFPHPEKTQGHALAPVSWACECPRLCGISTRACGTPTKFSRMSRAATGRGDELMRELEALMAVGGRQRLLSIREPVFRPLTFGVLASFEFVDDIQFRVFGHQFAMSISEFSVHMGLYDEAYIETEEYGHLLTDYFSTLSPYQAYQICGWLRFVPIHLGHIVADYMHYQGQNGRVAVLFVGPYITRLILGMGLSDALRDTDQTVISSLLGLDTLRMMGLVRRYCPRAYILATTTTESTGGSRDTAEDSAPMVTATSGGQGTLSGAYDRIERLESTVSALWSEIIEMRSI >DRNTG_28599.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001442.1:214:4951:-1 gene:DRNTG_28599 transcript:DRNTG_28599.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLFSSLFAQMISSCSPWLPYAPVWCKYHSMVVCQFLYSQNSAPYGLGRLLGRSGWHPRRPSMGGRMGGRLRCHRATGLALCSSQTASIRPSGMVLN >DRNTG_04934.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8406730:8415799:1 gene:DRNTG_04934 transcript:DRNTG_04934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSVVGATMAAEPNSRDHLPRGSNLIHQIAIYRKENQI >DRNTG_00822.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19362481:19363591:-1 gene:DRNTG_00822 transcript:DRNTG_00822.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARVRTSRLKRVEKVTHEIVECPLSLLIECILSPFLKLYATIFGVRREIERFLRRDLVG >DRNTG_08243.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:67332:71125:-1 gene:DRNTG_08243 transcript:DRNTG_08243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINEFISLTMEKKMTLERIAQFREAMGLPKKLKELLFLHIG >DRNTG_28214.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11751234:11759770:-1 gene:DRNTG_28214 transcript:DRNTG_28214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAILQPARVCGKRLTRLLGGTDTDTTDEIVDEYMQEMFNPDPYEGLFVQEESNEEVMMLGSTEEVTFTPGILKKVLRKMTRARRRHRKCSKTVGGVKEPGKLDEPLLCGPKPDKRAQGRAAAPLDDHATGARPCEFSARACEFLQSWADFPENTHRRGLALVGDLVNYTRAWVISSRQCETLQGSHRGMRLPLWVWRTGVDIFRTPVGDRSKSIMAPRSKKQVDKRPRESSSEPEGMRFAIPEHQVRYKRLSRLRFGQTRFLDATILRELQQGDEFADEIEDLVSEGGWRQLLTIREPAIREFTLEVLSSFKFDRAYASFDSLGTNQFRVFGRHHSLSITQFSVLLGLYEKAFTAIEEYAQLPTDYPGTLTPQRAYRVLCGQGQYEARVSKATCLSRPAYRYLHAIMSRSVNGRGDSTGVLSRQELLYLYSMVERVPIHLGHILADYIRHQGQYARLGAIFSGPYITRLVLGMGLLDSIRGAEKTSSYQPQRLLRMKVMTPEHLSPPPEPQPASMETEAPPAAEEPPPVHMFSPSRANDRFERLENAIGVVQAEVAEIRATQATQYTEFMARFDILQQILERDVASSFVLQPRTLQAPSVPPAPPSSTTAPEDPLYASTSAAAAAEPESDSDT >DRNTG_31775.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16986380:16990521:1 gene:DRNTG_31775 transcript:DRNTG_31775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRMRSVQSLIFAILVLISVWRAGARSPFEVQEKERVVKLPGQPNVNFTHYSGYVTVNKESGRNLFFWFFEAVDKPEEKPLLLWLNGGPGCSSIAYGLAEEVGPFHVNPDGQSLYLNPYSWNQVANILFLDSPVGVGFSHANNSQDILNNGDARTANDSLIFLTKWLKRYPQYKGRDFFISGESYAGHYVPQLAQVIVRYNDATGDKSINLKGYMVGNALTDDYHDHFGVFQFMWSAGLISDQTYKNLNVRCDFQSFIHPSAECEKIIEVADKELGNIDPYSIFTPSCPANAVLSKNNLLKRLRLLGRLGEKYDPCTEKHSTIYFNLPHVQKALHVKPAFAPSKWETCSEIVNSNWKDSPSSMLPIYHELIKHGLRIWIFSGDTDAVIPVTGTRYNVDALKLPTVTPWHAWYNNGQVGGWTQVYQGLTFVTVRGAGHEVPLHRPKQALVLIKSFLAGSPMPTDPQIIDS >DRNTG_02314.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:698974:700343:1 gene:DRNTG_02314 transcript:DRNTG_02314.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZPR3 [Source:Projected from Arabidopsis thaliana (AT3G52770) UniProtKB/TrEMBL;Acc:A0A178VBM1] MEKLNTKLYLQNCYIMKENEKLKKKAQLLNKENQALVSELKQRMSKANVKNSNPIQDLKP >DRNTG_19893.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18170109:18183129:1 gene:DRNTG_19893 transcript:DRNTG_19893.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKLLLFNVILLASLLPSCSANGFSSATTTGDYEQRGTSSREQASVPQWQLLTNRNFSSEIRLHQHILLMVTVPWCGESRSLMKEISHLVAIKQEELGHLSLMVVYRNYDKLLADVLGANEGITFLYYHHSMAYKYRGRLSARNILTSIYQVMPLGLEDFRLKHLQTKEDLDSFYHSTDKAILLLEFCGWSTKLLHKQKNESNGNDMYMQNFSENVGDLLDDQNRDANGTVILDNQEIHEDLENEELTCRVEMGLSTCPWAGEFTWVNASDFKGTKTRDSGLGLSCTRQEFQRFESFFTKFMSTAKEYSLSHERQRFGLVTETSLLSFLGVSNPETWLIVLPFPACPNCSKVVQEVDDIHAVLKMDDSLVMELDVVDQNSQLAFPADRPSIILFVDRSSSSSEIKNASKLALETFKQFARDCHLSTQVNNNSRASSAQVISGVWHKNTKDPFSGMKSKVSPVNKLAKLKDDMAIMIMNEGENTSLDDIASQAQHGQFYNILAELLHRREPAVKTKETKISLLAKEVGFQLLSDDFHVQVVDKSLSHTVEHSQPDKMIESDAVSLVDQNSKQTEESTEQSSDLSGYIAMNDEGAPELVHMEGDLLQNQEVVPSRESSTSTTDNEHMAVSQQEVSESTCSVDNSSQSSQKSPLVEEHTDKEKVHNFDYSLSEVFSSGFAKNLVHETSQVVSVEEGSSEHAKGSMEMFRSSEPFVEHRPFLGSFFFSDGNHQFLETMTASSKIPSLILLDPLLQQHYVLSEKENTTFSSIANFIDQFLDGNLTPYQKSEAYLADSRETPHPPFVNLDFREADSIPRVTAKTFCELIVGFKHCETKGKLQTSNTENLRPAYNVDVLVLFSTTWCGFCQRMELVVREVYRAFKSFSVLLKNESLRRNSRNIQENNEDTLIGLPSIYLLDCSLNDCGSFLLPLGKEERYPTLFLFPAGNKEPISYEGYLSVGSIIEFLISHGSNSHHLNMHKGLLWTQKPKPGKSTHMFGDTSSPLEDNFNEIIISERTSGDNGYSADNLSYDQRVVPGSVLTATDKLLNSFPFNNSTILIVTADKDQGFQGLIINKQISWNIFKEFSPETESIKLAPLSYGGPVVVDSLPLVSLVQNPRAGYTTVHAGLYFGNAVATSLSIKEIKSGKQSASDYWFFLGYSSWGWNQLFNELAEGSWHLSDSPITSLDWPHS >DRNTG_19893.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18170109:18183129:1 gene:DRNTG_19893 transcript:DRNTG_19893.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHYEFILDQIQDLENEELTCRVEMGLSTCPWAGEFTWVNASDFKGTKTRDSGLGLSCTRQEFQRFESFFTKFMSTAKEYSLSHERQRFGLVTETSLLSFLGVSNPETWLIVLPFPACPNCSKVVQEVDDIHAVLKMDDSLVMELDVVDQNSQLAFPADRPSIILFVDRSSSSSEIKNASKLALETFKQFARDCHLSTQVNNNSRASSAQVISGVWHKNTKDPFSGMKSKVSPVNKLAKLKDDMAIMIMNEGENTSLDDIASQAQHGQFYNILAELLHRREPAVKTKETKISLLAKEVGFQLLSDDFHVQVVDKSLSHTVEHSQPDKMIESDAVSLVDQNSKQTEESTEQSSDLSGYIAMNDEGAPELVHMEGDLLQNQEVVPSRESSTSTTDNEHMAVSQQEVSESTCSVDNSSQSSQKSPLVEEHTDKEKVHNFDYSLSEVFSSGFAKNLVHETSQVVSVEEGSSEHAKGSMEMFRSSEPFVEHRPFLGSFFFSDGNHQFLETMTASSKIPSLILLDPLLQQHYVLSEKENTTFSSIANFIDQFLDGNLTPYQKSEAYLADSRETPHPPFVNLDFREADSIPRVTAKTFCELIVGFKHCETKGKLQTSNTENLRPAYNVDVLVLFSTTWCGFCQRMELVVREVYRAFKSFSVLLKNESLRRNSRNIQENNEDTLIGLPSIYLLDCSLNDCGSFLLPLGKEERYPTLFLFPAGNKEPISYEGYLSVGSIIEFLISHGSNSHHLNMHKGLLWTQKPKPGKSTHMFGDTSSPLEDNFNEIIISERTSGDNGYSADNLSYDQRVVPGSVLTATDKLLNSFPFNNSTILIVTADKDQGFQGLIINKQISWNIFKEFSPETESIKLAPLSYGGPVVVDSLPLVSLVQNPRAGYTTVHAGLYFGNAVATSLSIKEIKSGKQSASDYWFFLGYSSWGWNQLFNELAEGSWHLSDSPITSLDWPHS >DRNTG_30793.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10398519:10402539:1 gene:DRNTG_30793 transcript:DRNTG_30793.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTANTCLGISVNRKLSASEIRSVSLHPCGKTVNTRWGLNNDGTLVDLISGLCAVIVPKKVNVDAGNIRSWIATGRR >DRNTG_30793.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10394525:10407833:1 gene:DRNTG_30793 transcript:DRNTG_30793.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSSLASMRASVSFIILVSLICRELYKVKSVSEAGEVAMLPPRGWNSYDSFSWVIDEDAFLYNAEILSRRLLQYGYEYAVVDYLWYRKNVNGSSANAYGYDNIDPWGRVCPDPDRWPSSKGGNGFKEVAQIVHKMGLKFGIHVMTGISVQAVDANTPILDVDTGGAYKKDGRTWRARDIGLKNMTCKWMDKGFMSVDTSLAAGRAFLRSLYRQYAQWGVDFVKLDCVFGTDLDAKQIITVSELLQELDRPVVLSLSPGEQVMPSMSNGISNHVNMYRITGDDWDKWEDVAAHFDVSRTFAAANKIGAEGLNGRSWPDLDMLPLGWLTDPSVQQGPHRKCYLTLDEQRTQMTLWSMSKSPLMFGGDLRHLDGSTFNLITNPTLLEINHYSSSNMEFPYVFSTKMRRNRLRSLPHRFMNLVHQNNAENNILSTSSCEDDKAKRWTISSFDRDLDQICWLFDTNSTGVKSYCLYKRDKKIKYKQEETETFQLSMSSTANTCLGISVNRKLSASEIRSVSLHPCGKTVNTRWGLNNDGTLVDLISGLCAVIVPKKVNVDAGNIRSWIATGRRGEIYLAFFNLYNQRNRISAKVEDFAEVLKGNFSSNCSYDGEEIWTGKQFHMLSGTVSNKK >DRNTG_30793.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10395456:10407833:1 gene:DRNTG_30793 transcript:DRNTG_30793.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKFGIHVMTGISVQAVDANTPILDVDTGGAYKKDGRTWRARDIGLKNMTCKWMDKGFMSVDTSLAAGRAFLRSLYRQYAQWGVDFVKLDCVFGTDLDAKQIITVSELLQELDRPVVLSLSPGEQVMPSMSNGISNHVNMYRITGDDWDKWEDVAAHFDVSRTFAAANKIGAEGLNGRSWPDLDMLPLGWLTDPSVQQGPHRKCYLTLDEQRTQMTLWSMSKSPLMFGGDLRHLDGSTFNLITNPTLLEINHYSSSNMEFPYVFSTKMRRNRLRSLPHRFMNLVHQNNAENNILSTSSCEDDKAKRWTISSFDRDLDQICWLFDTNSTGVKSYCLYKRDKKIKYKQEETETFQLSMSSTANTCLGISVNRKLSASEIRSVSLHPCGKTVNTRWGLNNDGTLVDLISGLCAVIVPKKVNVDAGNIRSWIATGRRGEIYLAFFNLYNQRNRISAKVEDFAEVLKGNFSSNCSYDGEEIWTGKQFHMLSGTVSNKK >DRNTG_30793.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10397135:10398977:1 gene:DRNTG_30793 transcript:DRNTG_30793.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMSNGISNHVNMYRITGDDWDKWEDVAAHFDVSRTFAAANKIGAEGLNGRSWPDLDMLPLGWLTDPSVQQGPHRKCYLTLDEQRTQMTLWSMSKSPLMFGGDLRHLDGSTFNLITNPTLLEINHYSSSNMEFPYVFSTKMRRNRLRSLPHRFMNLVHQNNAENNILSTSSCEDDKAKRWTISSFDRDLDQICWLFDTNSTGVKSYCLYKRDKKIKYKQEETETFQLSMSSTANTCLGISVNRKLSASEIRSVSLHPCGKTVNTVG >DRNTG_30793.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10400940:10407833:1 gene:DRNTG_30793 transcript:DRNTG_30793.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKLTLIELQRWGLNNDGTLVDLISGLCAVIVPKKVNVDAGNIRSWIATGRRGEIYLAFFNLYNQRNRISAKVEDFAEVLKGNFSSNCSYDGEEIWTGKQFHMLSGTVSNKK >DRNTG_26412.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23873252:23878169:-1 gene:DRNTG_26412 transcript:DRNTG_26412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDSSMGKEQQWKRTKLVSMLDEVCKGYTHYYQQAQAVIQAFESVAGLSSAAPYVSMALQTMSKQFKCLANLISGHLLDLTNKAFGKEVINKEAVPSFRMTNCAACGPRAANNAAFKQQPVWRPQRGLPERAVAVLRKWLFEHFLHPYPTDIDKQMLAKQTGLSRNQVSNWFINARVRLWKPMVEEIHSLEMRQSNKVPSFDKNFNFSEQPQLQSEFTAINSENQPTANTREWKNHCMPVKQFRNEICQIPTHNEHPFGFGSHDLSSHHDVGVGVGSSGGNGGVTLTLGLRQSNGVCLAEPLHLNAVHGYGLEFNDSYCMGTMETQDRQYARGMDGHLVRGFDG >DRNTG_31142.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:300254:302013:-1 gene:DRNTG_31142 transcript:DRNTG_31142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDLQLPPGFRFHPTDEELVMHYLCRKCASLTVSAEVIKEIDIYNYNPWQLPEMASYGEKEWYFFSPRNRKYPNGSRPNRAAGSGYWKATGADKPIGMPKTVAIKKSLVFYAGKAPNGFKTNWIMHEYRLADIDRKKNGLLLDNWVLCRIYEKKEGVEKQGHSPRKPASSVQNKPTHVRFNQTGSHVQTPPVTNMLCFDVSDSPPSLHTDSTLSEHVLSPDLTCEMEVRSRPRWQLGWDLAPEVLFNNMDATVAGDFSPVSPGFRDALQDMFLLLESM >DRNTG_31142.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:300254:302013:-1 gene:DRNTG_31142 transcript:DRNTG_31142.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDLQLPPGFRFHPTDEELVMHYLCRKCASLTVSAEVIKEIDIYNYNPWQLPEMASYGEKEWYFFSPRNRKYPNGSRPNRAAGSGYWKATGADKPIGMPKTVAIKKSLVFYAGKAPNGFKTNWIMHEYRLADIDRKKNGLLVCI >DRNTG_07938.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1127428:1166783:1 gene:DRNTG_07938 transcript:DRNTG_07938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGADFRLIKLLGLPLSTKRVMLYCLGCYAGGTVIRIAKDLAENNQNARVLVVCSEMTVSRFRGTDDVHIDSLIANAIFGDGSAAMVVGANPIPGVETPFFEVVSTDQFIIPDSEKALHCHLREVGMTFHLLNDVPITISKDMEKSLLKVFEPLGIPISDWNSLFWITHTGGRAILDRIQEKLGLNPEKLKLTRHVMSEYGNMASCCVFFVMDEMRKRSMAEELPTAGEGLEWGVLHGFGPGLTVETIVLRAPPLKANGLVSDENH >DRNTG_08676.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4826409:4827160:1 gene:DRNTG_08676 transcript:DRNTG_08676.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAKKVGFSSPAMITTNLTSEHCCVTVLIISCFMLVISRSSS >DRNTG_08676.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4826326:4827093:1 gene:DRNTG_08676 transcript:DRNTG_08676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAKKVGFSSPAMITTNLTSEHCCVTVLIISCFMLVISRSSS >DRNTG_22116.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9019422:9027897:1 gene:DRNTG_22116 transcript:DRNTG_22116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLAQVGNNVNLALVHSKSLDKPYRVVSSSHHFQQVFSPEERAHQGTCSHLTKDSHRRSPDLLSKLGPSLVFPNDLRL >DRNTG_03390.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3988215:3988909:1 gene:DRNTG_03390 transcript:DRNTG_03390.13 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIHSLKPTFAHYWCMANLHWSLGMVQEAEEVLMGMPEDTESQVWSTLFGSCRFRGDIELGEQIGKRLIELEPYNSSRYALLWNIYSVAERWEDVEKVKEMLKQRAVKTIPGHSLFDLKEIVHSFKAGDRSQPEMKDIYSMMDEIAARLKFRAQAHGHKLPV >DRNTG_03390.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3987651:3988909:1 gene:DRNTG_03390 transcript:DRNTG_03390.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRDLVSWNSLVDGYVKVRDLRSARCLFDRMPERDVVSWNIMISGCLKCRCPESGLELFREMERVGVLADVQTMVSIATACGRLGLIRYGRSVHGYFVRSFREKNNLIFETALVDMYSKCKRVDVARKVFDWIAEKNLVSWNTMILGHCMHACAQDGLALFDEMVQIGEEDSELKPDETTFVGILLGCSRAGLLDEGRRYFDEMTSIHSLKPTFAHYWCMANLHWSLGMVQEAEEVLMGMPEDTESQVWSTLFGSCRFRGDIELGEQIGKRLIELEPYNSSRYALLWNIYSVAERWEDVEKVKEMLKQRAVKTIPGHSLFDLKEIVHSFKAGDRSQPEMKDIYSMMDEIAARLKFRAQAHGHKLPV >DRNTG_03390.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3987651:3988196:1 gene:DRNTG_03390 transcript:DRNTG_03390.14 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRDLVSWNSLVDGYVKVRDLRSARCLFDRMPERDVVSWNIMISGCLKCRCPESGLELFREMERVGVLADVQTMVSIATACGRLGLIRYGRSVHGYFVRSFREKNNLIFETALVDMYSKCKRVDVARKVFDWIAEKNLVSWNTMILGHCMHACAQDGLALFDEMVQIGRFRFS >DRNTG_03390.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3987102:3988944:1 gene:DRNTG_03390 transcript:DRNTG_03390.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSGLIPDTFTFPPLAVSCARSASQTDVEVIHAQAIRRGADSVVIVCNSLIHAYAECGLVGHARVLFDEMPLRDLVSWNSLVDGYVKVRDLRSARCLFDRMPERDVVSWNIMISGCLKCRCPESGLELFREMERVGVLADVQTMVSIATACGRLGLIRYGRSVHGYFVRSFREKNNLIFETALVDMYSKCKRVDVARKVFDWIAEKNLVSWNTMILGHCMHACAQDGLALFDEMVQIGEEDSELKPDETTFVGILLGCSRAGLLDEGRRYFDEMTSIHSLKPTFAHYWCMANLHWSLGMVQEAEEVLMGMPEDTESQVWSTLFGSCRFRGDIELGEQIGKRLIELEPYNSSRYALLWNIYSVAERWEDVEKVKEMLKQRAVKTIPGHSLFDLKEIVHSFKAGDRSQPEMKDIYSMMDEIAARLKFRAQAHGHKLPV >DRNTG_03390.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3987051:3988944:1 gene:DRNTG_03390 transcript:DRNTG_03390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIISIPSRRQVSEPSTTSSSPELLSERPPYLYHPSLLPLRFSSSFRHLLQCQAHLTTSSLLRHPFAASRLLKLSSSLAPLAHTLLLFSHLPFPPYLCSFNILLKSLSLSPSPHLAVSFFSSMLRSGLIPDTFTFPPLAVSCARSASQTDVEVIHAQAIRRGADSVVIVCNSLIHAYAECGLVGHARVLFDEMPLRDLVSWNSLVDGYVKVRDLRSARCLFDRMPERDVVSWNIMISGCLKCRCPESGLELFREMERVGVLADVQTMVSIATACGRLGLIRYGRSVHGYFVRSFREKNNLIFETALVDMYSKCKRVDVARKVFDWIAEKNLVSWNTMILGHCMHACAQDGLALFDEMVQIGEEDSELKPDETTFVGILLGCSRAGLLDEGRRYFDEMTSIHSLKPTFAHYWCMANLHWSLGMVQEAEEVLMGMPEDTESQVWSTLFGSCRFRGDIELGEQIGKRLIELEPYNSSRYALLWNIYSVAERWEDVEKVKEMLKQRAVKTIPGHSLFDLKEIVHSFKAGDRSQPEMKDIYSMMDEIAARLKFRAQAHGHKLPV >DRNTG_03390.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3987051:3989108:1 gene:DRNTG_03390 transcript:DRNTG_03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIISIPSRRQVSEPSTTSSSPELLSERPPYLYHPSLLPLRFSSSFRHLLQCQAHLTTSSLLRHPFAASRLLKLSSSLAPLAHTLLLFSHLPFPPYLCSFNILLKSLSLSPSPHLAVSFFSSMLRSGLIPDTFTFPPLAVSCARSASQTDVEVIHAQAIRRGADSVVIVCNSLIHAYAECGLVGHARVLFDEMPLRDLVSWNSLVDGYVKVRDLRSARCLFDRMPERDVVSWNIMISGCLKCRCPESGLELFREMERVGVLADVQTMVSIATACGRLGLIRYGRSVHGYFVRSFREKNNLIFETALVDMYSKCKRVDVARKVFDWIAEKNLVSWNTMILGHCMHACAQDGLALFDEMVQIGEEDSELKPDETTFVGILLGCSRAGLLDEGRRYFDEMTSIHSLKPTFAHYWCMANLHWSLGMVQEAEEVLMGMPEDTESQVWSTLFGSCRFRGDIELGEQIGKRLIELEPYNSSRYALLWNIYSVAERWEDVEKVKEMLKQRAVKTIPGHSLFDLKEIVHSFKAGDRSQPEMKDIYSMMDEIAARLKFRAQAHGHKLPV >DRNTG_03390.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3987651:3989108:1 gene:DRNTG_03390 transcript:DRNTG_03390.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRDLVSWNSLVDGYVKVRDLRSARCLFDRMPERDVVSWNIMISGCLKCRCPESGLELFREMERVGVLADVQTMVSIATACGRLGLIRYGRSVHGYFVRSFREKNNLIFETALVDMYSKCKRVDVARKVFDWIAEKNLVSWNTMILGHCMHACAQDGLALFDEMVQIGEEDSELKPDETTFVGILLGCSRAGLLDEGRRYFDEMTSIHSLKPTFAHYWCMANLHWSLGMVQEAEEVLMGMPEDTESQVWSTLFGSCRFRGDIELGEQIGKRLIELEPYNSSRYALLWNIYSVAERWEDVEKVKEMLKQRAVKTIPGHSLFDLKEIVHSFKAGDRSQPEMKDIYSMMDEIAARLKFRAQAHGHKLPV >DRNTG_03390.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3987051:3988909:1 gene:DRNTG_03390 transcript:DRNTG_03390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIISIPSRRQVSEPSTTSSSPELLSERPPYLYHPSLLPLRFSSSFRHLLQCQAHLTTSSLLRHPFAASRLLKLSSSLAPLAHTLLLFSHLPFPPYLCSFNILLKSLSLSPSPHLAVSFFSSMLRSGLIPDTFTFPPLAVSCARSASQTDVEVIHAQAIRRGADSVVIVCNSLIHAYAECGLVGHARVLFDEMPLRDLVSWNSLVDGYVKVRDLRSARCLFDRMPERDVVSWNIMISGCLKCRCPESGLELFREMERVGVLADVQTMVSIATACGRLGLIRYGRSVHGYFVRSFREKNNLIFETALVDMYSKCKRVDVARKVFDWIAEKNLVSWNTMILGHCMHACAQDGLALFDEMVQIGEEDSELKPDETTFVGILLGCSRAGLLDEGRRYFDEMTSIHSLKPTFAHYWCMANLHWSLGMVQEAEEVLMGMPEDTESQVWSTLFGSCRFRGDIELGEQIGKRLIELEPYNSSRYALLWNIYSVAERWEDVEKVKEMLKQRAVKTIPGHSLFDLKEIVHSFKAGDRSQPEMKDIYSMMDEIAARLKFRAQAHGHKLPV >DRNTG_03390.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3987102:3988909:1 gene:DRNTG_03390 transcript:DRNTG_03390.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSGLIPDTFTFPPLAVSCARSASQTDVEVIHAQAIRRGADSVVIVCNSLIHAYAECGLVGHARVLFDEMPLRDLVSWNSLVDGYVKVRDLRSARCLFDRMPERDVVSWNIMISGCLKCRCPESGLELFREMERVGVLADVQTMVSIATACGRLGLIRYGRSVHGYFVRSFREKNNLIFETALVDMYSKCKRVDVARKVFDWIAEKNLVSWNTMILGHCMHACAQDGLALFDEMVQIGEEDSELKPDETTFVGILLGCSRAGLLDEGRRYFDEMTSIHSLKPTFAHYWCMANLHWSLGMVQEAEEVLMGMPEDTESQVWSTLFGSCRFRGDIELGEQIGKRLIELEPYNSSRYALLWNIYSVAERWEDVEKVKEMLKQRAVKTIPGHSLFDLKEIVHSFKAGDRSQPEMKDIYSMMDEIAARLKFRAQAHGHKLPV >DRNTG_03390.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3987051:3988196:1 gene:DRNTG_03390 transcript:DRNTG_03390.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIISIPSRRQVSEPSTTSSSPELLSERPPYLYHPSLLPLRFSSSFRHLLQCQAHLTTSSLLRHPFAASRLLKLSSSLAPLAHTLLLFSHLPFPPYLCSFNILLKSLSLSPSPHLAVSFFSSMLRSGLIPDTFTFPPLAVSCARSASQTDVEVIHAQAIRRGADSVVIVCNSLIHAYAECGLVGHARVLFDEMPLRDLVSWNSLVDGYVKVRDLRSARCLFDRMPERDVVSWNIMISGCLKCRCPESGLELFREMERVGVLADVQTMVSIATACGRLGLIRYGRSVHGYFVRSFREKNNLIFETALVDMYSKCKRVDVARKVFDWIAEKNLVSWNTMILGHCMHACAQDGLALFDEMVQIGRFRFS >DRNTG_03390.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3987102:3988196:1 gene:DRNTG_03390 transcript:DRNTG_03390.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSGLIPDTFTFPPLAVSCARSASQTDVEVIHAQAIRRGADSVVIVCNSLIHAYAECGLVGHARVLFDEMPLRDLVSWNSLVDGYVKVRDLRSARCLFDRMPERDVVSWNIMISGCLKCRCPESGLELFREMERVGVLADVQTMVSIATACGRLGLIRYGRSVHGYFVRSFREKNNLIFETALVDMYSKCKRVDVARKVFDWIAEKNLVSWNTMILGHCMHACAQDGLALFDEMVQIGRFRFS >DRNTG_03390.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3988215:3989108:1 gene:DRNTG_03390 transcript:DRNTG_03390.11 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIHSLKPTFAHYWCMANLHWSLGMVQEAEEVLMGMPEDTESQVWSTLFGSCRFRGDIELGEQIGKRLIELEPYNSSRYALLWNIYSVAERWEDVEKVKEMLKQRAVKTIPGHSLFDLKEIVHSFKAGDRSQPEMKDIYSMMDEIAARLKFRAQAHGHKLPV >DRNTG_03390.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3988215:3988944:1 gene:DRNTG_03390 transcript:DRNTG_03390.12 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIHSLKPTFAHYWCMANLHWSLGMVQEAEEVLMGMPEDTESQVWSTLFGSCRFRGDIELGEQIGKRLIELEPYNSSRYALLWNIYSVAERWEDVEKVKEMLKQRAVKTIPGHSLFDLKEIVHSFKAGDRSQPEMKDIYSMMDEIAARLKFRAQAHGHKLPV >DRNTG_03390.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3987102:3989108:1 gene:DRNTG_03390 transcript:DRNTG_03390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSGLIPDTFTFPPLAVSCARSASQTDVEVIHAQAIRRGADSVVIVCNSLIHAYAECGLVGHARVLFDEMPLRDLVSWNSLVDGYVKVRDLRSARCLFDRMPERDVVSWNIMISGCLKCRCPESGLELFREMERVGVLADVQTMVSIATACGRLGLIRYGRSVHGYFVRSFREKNNLIFETALVDMYSKCKRVDVARKVFDWIAEKNLVSWNTMILGHCMHACAQDGLALFDEMVQIGEEDSELKPDETTFVGILLGCSRAGLLDEGRRYFDEMTSIHSLKPTFAHYWCMANLHWSLGMVQEAEEVLMGMPEDTESQVWSTLFGSCRFRGDIELGEQIGKRLIELEPYNSSRYALLWNIYSVAERWEDVEKVKEMLKQRAVKTIPGHSLFDLKEIVHSFKAGDRSQPEMKDIYSMMDEIAARLKFRAQAHGHKLPV >DRNTG_23453.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:759015:760327:-1 gene:DRNTG_23453 transcript:DRNTG_23453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAFVIYIIRRRSSLCRMKKSMRRLLSEASCAVPLYSYKDIERATMGFAASGRLGNGAYGTVYAGKLNNGDLVAVKRIKHREADGMEQVMNEIKLLSSVSHPNLVQLLGCCIERGEQILVYEFMPNGTLSQQLQRERGDGLPWTVRLTIATETAQAIAYLHSSVHPPIYHRDVKSSNILLDYNYNSKVADFGLSRVGITGFSHISTAPQGTPGYLDPQYHQSFHLSDKSDVYSFGVVLVEIITGLKVVDFSRVPGEVNLAALAIDKIGRGLVEEIIDPFLEPHRDAWTLSSIHKVAELAFRCLAFHRDMRPSMMEVAEELDQIKLSGWAATDERNIFLSSSSSIINISSVTPKKCASASSNMSISVIDATVQQEVQVVDSPVSVHDRWFSDQSSPSANSLLANVRHS >DRNTG_26379.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:456929:461425:1 gene:DRNTG_26379 transcript:DRNTG_26379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKAMEVSIWKGKGKASNSPALNDPNQRSPAKSLKITAAGSQEEEEEEQQRKPGMMMNNHNHNYNHAQQHQKKEQDMTDDLPFPPIRRSSSSFYSLTIDEIQNAVCEPGKPFGSMNMDELIASIWNADDYQQLLATSSGPAAAGIQSQNTLAVPASLSRKTVDEVWSEIHRNGEPSDNLNRPNSANNPPRQQTLGEMTLEDFLIKAGVVCDPFRAPMQHYAVVSFSTGSSEAHGAYGGGMMVGGENECSGGLGSPASSVSPDMMAVEHSEADQKVWGLGGCRKRAADDAVAVEKVAERRQRRMIKNRESAARSRARKQAYTVELELELNQLKGENARLREEEKRSLELKKQLVIEIMENQAENNAARKKDRTLRQCNSCRW >DRNTG_26379.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:459491:461425:1 gene:DRNTG_26379 transcript:DRNTG_26379.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNNHNHNYNHAQQHQKKEQDMTDDLPFPPIRRSSSSFYSLTIDEIQNAVCEPGKPFGSMNMDELIASIWNADDYQQLLATSSGPAAAGIQSQNTLAVPASLSRKTVDEVWSEIHRNGEPSDNLNRPNSANNPPRQQTLGEMTLEDFLIKAGVVCDPFRAPMQHYAVVSFSTGSSEAHGAYGGGMMVGGENECSGGLGSPASSVSPDMMAVEHSEADQKVWGLGGCRKRAADDAVAVEKVAERRQRRMIKNRESAARSRARKQAYTVELELELNQLKGENARLREEEKRSLELKKQLVIEIMENQAENNAARKKDRTLRQCNSCRW >DRNTG_04405.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12586858:12587212:1 gene:DRNTG_04405 transcript:DRNTG_04405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLFLDGTHLLGNIVDNETNANWTWFISKLSDALYDEGDYQEIITFVLDRSKDLVNTIVRVFPSFPHTYCLSTFGGQFYESKYQTWEGIGR >DRNTG_08410.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4847334:4849687:-1 gene:DRNTG_08410 transcript:DRNTG_08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVWFSLKKSLHCRSEPCDVYDPKLKRSGRSGCSRSIANLKDVIHGSKRHLERPASYSPRSIGSSEFLNPIAHEVILSDSKCELKITGFGACHESIGTLRPGTPGPWGQLPSDRVVRCATVPKRSAVPFGDRDGAHSVSLATPKAATFAEISAVSCVPVSCPKCGESFAKLEALESHHLSKHAVTELVEGDSSRKIVEIICRASWLKTESNCGRIERVLKVHNTQKTLARFEEYRETVKIKAAKLPKKHPRCLADGNELLRFHGTTISCSLGMNGSSSLCTLDKCSICQIIRNGFSMQEETKEHIGVFTTATSGRAFDSIDLPEDDPFTRKALLVCRVIAGRVHRPLDNYQELAGQSGFDSLAGKVGLYANIEELYLLNPRALLPCFVVICKL >DRNTG_14196.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000660.1:39407:42759:1 gene:DRNTG_14196 transcript:DRNTG_14196.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGILLQVFYGLLGSWTAYLISVLYIEYRTRKEKENVSFKNHVIQWFEVLDGLLGPYWKAIGLAFNCTFLLFGSVIQLIGCASNIYYINDHLDKRTWTYIFGACCATSVFIPSFHNYRIWSFLGLGMTTYTAWYLAIASFAHGQAEGVTHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTLPSAAAMYWAFGDELLNHSNAFALLPKNRFRDAAVVLMLIHQFITFGFACTPLYFVWEKVIGMHDTKSIYIRALIRLPVVVPIWFFAIIFPFFGPINSAVGALLVSFTVYIIPSLAHICTYRTASARRNAAEKPPFFLPSWTGMFALNAFVVAWIFVVGFGFGGWASITNFVKQVDTFGLFAKCYQCAKPPPGPMKPH >DRNTG_14196.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000660.1:39407:42759:1 gene:DRNTG_14196 transcript:DRNTG_14196.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQKQAEEAIVASQNEGEGGDQEEVTAGEDHVAGAQQGFSLKRILWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILLQVFYGLLGSWTAYLISVLYIEYRTRKEKENVSFKNHVIQWFEVLDGLLGPYWKAIGLAFNCTFLLFGSVIQLIGCASNIYYINDHLDKRTWTYIFGACCATSVFIPSFHNYRIWSFLGLGMTTYTAWYLAIASFAHGQAEGVTHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTLPSAAAMYWAFGDELLNHSNAFALLPKNRFRDAAVVLMLIHQFITFGFACTPLYFVWEKVIGMHDTKSIYIRALIRLPVVVPIWFFAIIFPFFGPINSAVGALLVSFTVYIIPSLAHICTYRTASARRNAAEKPPFFLPSWTGMFALNAFVVAWIFVVGFGFGGWASITNFVKQVDTFGLFAKCYQCAKPPPGPMKPH >DRNTG_14196.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000660.1:39407:42759:1 gene:DRNTG_14196 transcript:DRNTG_14196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQKQAEEAIVASQNEGEGGDQEEVTAGEDHVAGAQQGFSLKRILWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILLQVFYGLLGSWTAYLISVLYIEYRTRKEKENVSFKNHVIQWFEVLDGLLGPYWKAIGLAFNCTFLLFGSVIQLIGCASNIYYINDHLDKRTWTYIFGACCATSVFIPSFHNYRIWSFLGLGMTTYTAWYLAIASFAHGQAEGVTHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTLPSAAAMYWAFGDELLNHSNAFALLPKNRFRDAAVVLMLIHQFITFGFACTPLYFVWEKVIGMHDTKSIYIRALIRLPVVVPIWFFAIIFPFFGPINSAVGALLVSFTVYIIPSLAHICTYRTASARRNAAEKPPFFLPSWTGMFALNAFVVAWIFVVGFGFGGWASITNFVKQVDTFGLFAKCYQCAKPPPGPMKPH >DRNTG_14196.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000660.1:40081:42759:1 gene:DRNTG_14196 transcript:DRNTG_14196.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGILLQVFYGLLGSWTAYLISVLYIEYRTRKEKENVSFKNHVIQWFEVLDGLLGPYWKAIGLAFNCTFLLFGSVIQLIGCASNIYYINDHLDKRTWTYIFGACCATSVFIPSFHNYRIWSFLGLGMTTYTAWYLAIASFAHGQAEGVTHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTLPSAAAMYWAFGDELLNHSNAFALLPKNRFRDAAVVLMLIHQFITFGFACTPLYFVWEKVIGMHDTKSIYIRALIRLPVVVPIWFFAIIFPFFGPINSAVGALLVSFTVYIIPSLAHICTYRTASARRNAAEKPPFFLPSWTGMFALNAFVVAWIFVVGFGFGGWASITNFVKQVDTFGLFAKCYQCAKPPPGPMKPH >DRNTG_17969.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20344130:20346494:1 gene:DRNTG_17969 transcript:DRNTG_17969.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 16 [Source:Projected from Arabidopsis thaliana (AT2G45690) UniProtKB/Swiss-Prot;Acc:Q8S8S1] MGSKKSRVHPLSRIPSKRHHMAPPGALLQLRDRPGSRFCYCWVTCLSSLISSQSPSFSSISSVFGSSPIVYALLGIAGDVNQYIIDTAPSRTSSMGSESSSSFPWTLCVSALKNLETVVEVAAQHFFGDDGKWNFIAATEATKVLVRLGMLRDSGWKMLLEGGGTVNSEKSKDLWVTQGGIRRDGRPVENFYGDIPPHLERRAMAALSRFGENAKISSDPMWRQRLRQSYEPPAEVVEKPTFSSIWFEKGLSSQLLLTGEVLSIMRPLLYVLLIRKYGIRSWFPWAVSLGVDLTGISFLSFATNPKRRSKELCYHLSSSEKEEIKRRKRILALYLMRDPFFTKYTKNILEKGDRCFSQIPVAGFLIAKGVELLIGAQTRFTYTLGS >DRNTG_17969.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20344130:20346494:1 gene:DRNTG_17969 transcript:DRNTG_17969.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 16 [Source:Projected from Arabidopsis thaliana (AT2G45690) UniProtKB/Swiss-Prot;Acc:Q8S8S1] MEVYKAWVRRNQEFIHSLESLANGITWLLPERFSNSEIGPEAVYALLGIAGDVNQYIIDTAPSRTSSMGSESSSSFPWTLCVSALKNLETVVEVAAQHFFGDDGKWNFIAATEATKVLVRLGMLRDSGWKMLLEGGGTVNSEKSKDLWVTQGGIRRDGRPVENFYGDIPPHLERRAMAALSRFGENAKISSDPMWRQRLRQSYEPPAEVVEKPTFSSIWFEKGLSSQLLLTGEVLSIMRPLLYVLLIRKYGIRSWFPWAVSLGVDLTGISFLSFATNPKRRSKELCYHLSSSEKEEIKRRKRILALYLMRDPFFTKYTKNILEKGDRCFSQIPVAGFLIAKGVELLIGAQTRFTYTLGS >DRNTG_17969.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20344130:20346494:1 gene:DRNTG_17969 transcript:DRNTG_17969.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 16 [Source:Projected from Arabidopsis thaliana (AT2G45690) UniProtKB/Swiss-Prot;Acc:Q8S8S1] MMNEFRVLVRLGMLRDSGWKMLLEGGGTVNSEKSKDLWVTQGGIRRDGRPVENFYGDIPPHLERRAMAALSRFGENAKISSDPMWRQRLRQSYEPPAEVVEKPTFSSIWFEKGLSSQLLLTGEVLSIMRPLLYVLLIRKYGIRSWFPWAVSLGVDLTGISFLSFATNPKRRSKELCYHLSSSEKEEIKRRKRILALYLMRDPFFTKYTKNILEKGDRCFSQIPVAGFLIAKGVELLIGAQTRFTYTLGS >DRNTG_24693.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19178764:19183893:1 gene:DRNTG_24693 transcript:DRNTG_24693.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGILQFLDFNHASTSRKLFVHKKHNDGLEAPRNSLEFPMEASQSYQIIHEDVPYSCQVKQPSASKMSPCPNTAPMKKLIDDEMSNKTKAKNNTPSVVARLMGMDALPSETTSLPFVESDSVQPRNMMTKTESSKVSSNHHTSISSTSCQQNKRECVPFDTKPEASQLSTQDSRMTKPWSCEHPQEELLQKFKKDFEAWQASKSQERSRILDLDNNRQSKENVIIAQENLAREKVAIYIGAKKSSVDEISIDHLSMNRSKFHVRQGSGYYHEAHAVKPLRSNMKDDQQFRSRRKTYSVEHFPLSKFEEKWDRTSSPTRIVVLRPTSESDEIEESWFGASRMTEKEDSMEDFLQEVRERLRQEIQGKVRSTSTARGNPTKISFNERPMDTKHVARHIVKQIRENVTRDLGTTLQRSESTRSNRSEIQFDGPDSPEFIKRDTRRILSERLKNVLKNEVDIQNPMSRKRQSGTTLAMSEGLRTRQMTEFSKSGKKESYWEEKKSVAESKTHSHSFRRAQVIDTRFDKESLSPRNLIRSFSAPVTGTAFGKLLLEDQHIITGAHIRRKHEASEQSSPDVRKNKKDSFNLKGKVSNLKQNLSLKGKLFGKKAQLVDKSDASEFEPLKHIITGPTVVMNLGFVQDNYTEVPPSPASLSSSPHDEFFRPGHPSPVSPLEAPFIEDPHSCHASAEFSLEFPELTTLSEQAERSGPVDLDIVEKLNEDETFEGTAEVEDHIESYIKDILLAAGLYEGEAFNRTFLRYDALTKPIPKWVFDEVEETYGKNEKDETLKCCCAETHIGHKMLFDLLNEALPQVLAIQMPGSSFKRWLAGPPTVSRGKKLLDCLSHQIKMFSNSPVDEESQSLDSMMIRDLKMTPWSSLSHDDIDSMGREMEMVIVAELIDEFVCEMFC >DRNTG_24693.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19178764:19183893:1 gene:DRNTG_24693 transcript:DRNTG_24693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGILQFLDFNHASTSRKLFVHKKHNDGLEAPRNSLEFPMEASQSYQIIHEDVPYSCQVKQPSASKMSPCPNTAPMKKLIDDEMSNKTKAKNNTPSVVARLMGMDALPSETTSLPFVESDSVQPRNMMTKTESSKVSSNHHTSISSTSCQQNKRECVPFDTKPEASQLSTQDSRMTKPWSCEHPQEELLQKFKKDFEAWQASKSQERSRILDLDNNRQSKENVIIAQENLAREKVAIYIGAKKSSVDEISIDHLSMNRSKFHVRQGSGYYHEAHAVKPLRSNMKDDQQFRSRRKTYSVEHFPLSKFEEKWDRTSSPTRIVVLRPTSESDEIEESWFGASRMTEKEDSMEDFLQEVRERLRQEIQGKVRSTSTARGNPTKISFNERPMDTKHVARHIVKQIRENVTRDLGTTLQRSESTRSNRSEIQFDGPDSPEFIKRDTRRILSERLKNVLKNEVDIQNPMSRKRQSGTTLAMSEGLRTRQMTEFSKSGKKESYWEEKKSVAESKTHSHSFRRAQVIDTRFDKESLSPRNLIRSFSAPVTGTAFGKLLLEDQHIITGAHIRRKHEASEQSSPDVRKNKKDSFNLKGKVSNLKQNLSLKGKLFGKKAQLVDKSDASEFEPLKHIITGPTVVMNLGFVQDNYTEVPPSPASLSSSPHDEFFRPGHPSPVSPLEAPFIEDPHSCHASAEFSLEFPELTTLSEQAERSGPVDLDIVEKLNEDETFEGTAEVEDHIESYIKDILLAAGLYEGEAFNRTFLRYDALTKPIPKWVFDEVEETYGKNEKDETLKCCCAETHIGHKMLFDLLNEALPQVLAIQMPGSSFKRWLAGPPTVSRGKKLLDCLSHQIKMFSNSPVDEESQSLDSMMIRDLKMTPWSSLSHDDIDSMGREMEMVIVAELIDEFVCEMFC >DRNTG_27169.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:719110:723472:1 gene:DRNTG_27169 transcript:DRNTG_27169.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGERDAGNQGSKLQIVKPMSRRAPLRSIFGNLDDYHRFSDADDRRVDMAEEAIVIKTPLKRKLELEDDKVARSSELTPSSGCVAVSSPLLTPVSSKGDRIYGRAKVAKYNNSEPQTPMSDVGSPRNIHSTPVGCRYDCSLGFLTKKFVNLLKQAPDHTIDLNTAAETLEVKKRRIYDITNVLEGIGLIEKAIKNRIHWKGLDELSTGNGDDNVSVMQGEVENLSIQEHDLDDRISEMQEKVKGLSQDENNQRWLFVTEEDIKRIPCFQNETLIAIKAPHGATMEVPDPDEQTGDHHERRYRIVLRSNMGPIDVYLVSQFEEMHPPTSNLVSVERSAVSTVTENITGNAIEQQNAHDTQQAFSNTTTSEDFIGGIRRILPSEIHHDTDYWLLSEADVSMTDMWRTPSDASWNWMDGLNLDALNEGHSMASPQTPSSGVQVTPPSKTDSKT >DRNTG_27169.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:719110:723742:1 gene:DRNTG_27169 transcript:DRNTG_27169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGERDAGNQGSKLQIVKPMSRRAPLRSIFGNLDDYHRFSDADDRRVDMAEEAIVIKTPLKRKLELEDDKVARSSELTPSSGCVAVSSPLLTPVSSKGDRIYGRAKVAKYNNSEPQTPMSDVGSPRNIHSTPVGCRYDCSLGFLTKKFVNLLKQAPDHTIDLNTAAETLEVKKRRIYDITNVLEGIGLIEKAIKNRIHWKGLDELSTGNGDDNVSVMQGEVENLSIQEHDLDDRISEMQEKVKGLSQDENNQRWLFVTEEDIKRIPCFQNETLIAIKAPHGATMEVPDPDEQTGDHHERRYRIVLRSNMGPIDVYLVSQFEEMHPPTSNLVSVERSAVSTVTENITGNAIEQQNAHDTQQAFSNTTTSEDFIGGIRRILPSEIHHDTDYWLLSEADVSMTDMWRTPSDASWNWMDGLNLDALNEGHSMASPQTPSSGVQVTPPSKTDSKT >DRNTG_24471.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18800219:18803228:1 gene:DRNTG_24471 transcript:DRNTG_24471.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINALSPLSAFFTPRRHLFNPFPSRRPFPRRHPPPRVVGDSKGTKTSTDPISERAQSDQLVDGMDFGELCNDFECISSPLVESTARQLVRDILEMREQNRSFGCFSVSIKYKDPVRNFIGREKYNRPLWATDALEKPTATVQEMVMLSTSVLNIKWTLKGKPKFLGFLAGNLIVRVNSRFTLNQISGQVVEHEETWDLSESSPIAQAYFWISRRFFAAIDASKDSFDAAKNMQTSFSTDKENMEPYPDPSTDPTKFFQSDDGMQKDAYQIALFLAIVYFVVQFLRTTL >DRNTG_33459.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20340400:20340934:1 gene:DRNTG_33459 transcript:DRNTG_33459.5 gene_biotype:protein_coding transcript_biotype:protein_coding GRRLTTVISSAWPPIRLVGDARFAFRSTANRFVRIQRASRIYTNSSGRCINEEQQKDGEDDEDDEHRLSARSHT >DRNTG_33459.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20340260:20340983:1 gene:DRNTG_33459 transcript:DRNTG_33459.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRRLTTVISSAWPPIRLVGDARFAFRSTANRFVRIQRASRIYTNSSGRCINEEQQKDGEDDEDDEHRLSARSHT >DRNTG_33459.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20340340:20340934:1 gene:DRNTG_33459 transcript:DRNTG_33459.3 gene_biotype:protein_coding transcript_biotype:protein_coding GRRLTTVISSAWPPIRLVGDARFAFRSTANRFVRIQRASRIYTNSSGRCINEEQQKDGEDDEDDEHRLSARSHT >DRNTG_33459.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20340400:20340983:1 gene:DRNTG_33459 transcript:DRNTG_33459.4 gene_biotype:protein_coding transcript_biotype:protein_coding GRRLTTVISSAWPPIRLVGDARFAFRSTANRFVRIQRASRIYTNSSGRCINEEQQKDGEDDEDDEHRLSARSHT >DRNTG_33459.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20340260:20340934:1 gene:DRNTG_33459 transcript:DRNTG_33459.2 gene_biotype:protein_coding transcript_biotype:protein_coding GRRLTTVISSAWPPIRLVGDARFAFRSTANRFVRIQRASRIYTNSSGRCINEEQQKDGEDDEDDEHRLSARSHT >DRNTG_19157.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6237159:6243128:-1 gene:DRNTG_19157 transcript:DRNTG_19157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVFGLVGDGFALIVADTSAVNSILVHKSNEDKVMVLDSHKLLGASGEGGDRVQFTEYIQKNVHLYQFRNGIPLTTAAAANFTRGELATALRKNPYFVNILMAGYDKDIGPSLYFIDYIATLHKIDKGAFGYGSYFCLSMMDRHYHQGMTVEEAVDLADKCIMEIRSRLVVAPPNFVIKIVDKDGAREYAWRESIKDAGVAATA >DRNTG_04730.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8211411:8216861:-1 gene:DRNTG_04730 transcript:DRNTG_04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKSAEKHCIMLMSYIDLGYPLVNFLRVVSSNLQSQLQKLPNKGENFVPCSRRRKQPHRKELVPTPLVSTYIILCNPGPDSTYSKFLDTYENIFLKRKTRQNAVFEFIYSPLFQLSGDLFGSIHHVIMAMLGLALWLVESRYTECHYWSPIRVNEMEGADIATWTMMRGWDFVSHGSRWKIARGQRTTEKMGDPRYTTPPRALHIWR >DRNTG_11162.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31170894:31173787:-1 gene:DRNTG_11162 transcript:DRNTG_11162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSPKRFSEITAESLSIFQIVRPVPEILILGCGRHIEPVSLELRRFIRSTGMKLEAIDSRNAASTYNILNEEGRVVAAALLPYGETLQ >DRNTG_12212.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10417097:10419483:-1 gene:DRNTG_12212 transcript:DRNTG_12212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLNKPRTASRNPDLIRGIGKFSKSKMYHKRGIWAIKAKNGGALPKHSPAPAAEKPAEKPPKFYPADDVKKPIANHRKPKPTKLRASITPGTVLILLVGRFMGKRVVFLKQLPSGLLLVSGPFKVNGVPLRRVNQSYVIGTSTKVDISGVNVDKFDDKYFKKEAKKKKKKTEGEFFETEKEDKKTLPQEKKDDQKAVDAPLIKAIEAVPDLKAYLGARFSLRSGMKPHELVF >DRNTG_25112.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:896229:901716:1 gene:DRNTG_25112 transcript:DRNTG_25112.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAEIVLEMDPLLDSIKEKLQVIGSQTGEVEEPCTIYRVVPSIRGEEGAAFDPKIISIGPFHRNKKHLLPMEDIKWCYLHKLLSRVQENTLEKLLGVVRAQAPQARKMYSEKLCLEPDAFVKMLLLDGCFIVEFLVRFLFEENHGQLSDTNWKMPLVRSDLLLLENQIPFFILQSLFDSSVASLFDLKNQNEPPITLKELALSYVTLGRLETLPEPVNNVKIHHLLHLFQISLTPNPALGGPQPFSCMKILMQFLRKCKRFIIDLIIWSLSICLCCFAVFRRHSYLLPSKRDSSRAPRTIPSATELQEAGIIFKKRKMNQEMQNMCYLDVKFEDGIMEIPCVPIQAMTISLFHNLIAFEQCYPNSGSHFTSYAALMDNLINTPMDVAVLRDCGIIESKLGSDNEVAIFFNQLCKGGYLDYENHYLAEVFKDVRKFSSSSGHRWRAMLVRDYFSNPWAIISFAAAFVLLGLTIVQTVFSILTYVCPPKQPT >DRNTG_25112.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:896229:901924:1 gene:DRNTG_25112 transcript:DRNTG_25112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMGEGQAVVSAFIQGLCKSLHTSVATSELSLAVKKELGNLLQAFLAIELTVLEAAEEKLNNSKVLITWLRDLKEAAYDADDVLERLALTDPEVNDMKVMVQPSCLILSCTSSLQHPAQKMVGMIKAIRTRVEKLVKKQPKRLDLQLPSSRLTGNTEITSYHPKADEDTEKMIQLLTSEESSSHPGLSIIAIVGRGGVGKTTLARIVFDEHRVASHFQLKVWVTASEMYDAGRLLRSIGESAAGYPFPDSFTLEEIVNNLKNRLVGKRYLLILDDVRNEILGWEILQEKLEDGLSGSKIVITTENEEIAKKMRLPFLLYHLQGLSHEDSWSLAVRMIGCLLCLNFNLNDWNMILNADVWKYKSEDLYGIPAALWLSYQHLPPHIKQCFGYCSIFPRDHKFDKQSTVHMWIAQGLIQPQQGKEMEDLGNEYFDYLLNRSFFQSSGFGDKSVEYELLMFSRTEFSVDYTRPKSSDTSEEYIMHGLIRDLALSITAYESLYLSPVTRNMKKNVRHLSLQSDKNTIAEVRDLGMLYNLRTLLLYRTKNSSFDYDALFMNLKCIRVLCLSDIGLKNLPNSIGNLKQLRCLDLTKTSVDTVPQVLCSLRNLQTLKLSISSSFLQSLPRNMSNLINLRHLKVNGSDEMYKIGKLTSLQELEKFTVLNKDGHRIEELKNMKQLRGKLSISQLENVHTKDEALEAKLNEKEHIEKLNLEWSWDYSWDGSVIESNLAALEGLRPHANLKDLIIRNNCGAKIPTWLEDGSLSSLETLEILDCRKWDLSLLGQFKGLKILRLDGLLQTQPVDL >DRNTG_25112.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:896229:901716:1 gene:DRNTG_25112 transcript:DRNTG_25112.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIMGEGQAVVSAFIQGLCKSLHTSVATSELSLAVKKELGNLLQAFLAIELTVLEAAEEKLNNSKVLITWLRDLKEAAYDADDVLERLALTDPEVNDMKVMVQPSCLILSCTSSLQHPAQKMVGMIKAIRTRVEKLVKKQPKRLDLQLPSSRLTGNTEITSYHPKADEDTEKMIQLLTSEESSSHPGLSIIAIVGRGGVGKTTLARIVFDEHRVASHFQLKVWVTASEMYDAGRLLRSIGESAAGYPFPDSFTLEEIVNNLKNRLVGKRYLLILDDVRNEILGWEILQEKLEDGLSGSKIVITTENEEIAKKMRLPFLLYHLQGLSHEDSWSLAVRMIGCLLCLNFNLNDWNMILNADVWKYKSEDLYGIPAALWLSYQHLPPHIKQCFGYCSIFPRDHKFDKQSTVHMWIAQGLIQPQQGKEMEDLGNEYFDYLLNRSFFQSSGFGDKSVEYELLMFSRTEFSVDYTRPKSSDTSEEYIMHGLIRDLALSITAYESLYLSPVTRNMKKNVRHLSLQSDKNTIAEVRDLGMLYNLRTLLLYRTKNSSFDYDALFMNLKCIRVLCLSDIGLKNLPNSIGNLKQLRCLDLTKTSVDTVPQVLCSLRNLQTLKLSISSSFLQSSLQELEKFTVLNKDGHRIEELKNMKQLRGKLSISQLENVHTKDEALEAKLNEKEHIEKLNLEWSWDYSWDGSVIESNLAALEGLRPHANLKDLIIRNNCGAKIPTWLEDGSLSSLETLEILDCRKWDLSLLGQFKGLKILRLDGLLQTQPVDL >DRNTG_25112.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:896229:901716:1 gene:DRNTG_25112 transcript:DRNTG_25112.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAEIVLEMDPLLDSIKEKLQVIGSQTGEVEEPCTIYRVVPSIRGEEGAAFDPKIISIGPFHRNKKHLLPMEDIKWCYLHKLLSRVQENTLEKLLGVVRAQAPQARKMYSEKLCLEPDAFVKMLLLDGCFIVEFLVRFLFEENHGQLSDTNWKMPLVRSDLLLLENQIPFFILQSLFDSSVASLFDLKNQNEPPITLKELALSYVTLGRLETLPEPVNNVKIHHLLHLFQISLTPNPALGGPQPFSCMKILMQFLRKCKRFIIDLIIWSLSICLCCFAVFRRHSYLLPSKRDSSRAPRTIPSATELQEAGIIFKKRKMNQEMQNMCYLDVKFEDGIMEIPCVPIQAMTISLFHNLIAFEQCYPNSGSHFTSYAALMDNLINTPMDVAVLRDCGIIESKLGSDNEVAIFFNQLCKGGYLDYENHYLAEVFKDVRKFSSSSGHRWRAMLVRDYFSNPWAIISFAAAFVLLGLTIVQTVFSILTYVCPPKQPT >DRNTG_13589.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000622.1:12317:15451:1 gene:DRNTG_13589 transcript:DRNTG_13589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDFKKHIQDPYNKLSSWVMGQDCCSWEGVQCDNLTGNILALELRGPDPYESYYFDDSDYLHYLQLGGEISPSLLQLQHLNYLDLSCNFFDGTSIPSFISHFKELRYLNLSYSGFHGLIPANFGNLSSLHTLDLSYNDGVYVDDPAHNWLSHLSSLQHLVVSGVTFRSNSSSSLFLALNKLPSIKEIRLSDCGGLESIPLYIPHLNFSSLSIFDLSGNYINFAVPSFLFNQKSLQYLDLSYNYFDNIGGHDYEWLSNLTSLQHLDMSLVNLSSMSSSLFLTLNKLPSINELHLPGCELEKLPVSNPHLNFSSLSVLDLSANGIYFSGISWLFNIKSLQSLDLSYNDPFHPTFNPFPRVINEFSMTVPPNEISMPESKGSLLCSLQTLNLSGLDINKRLVELGSVFSGCLKNSLTQLKLSFANLKGDIPNWIWEMKNLKFLDLSGNSLSGYVPSSPAKLSQLEYINLANNQLSGTISEEHFTPLEKLETLDISHNSLVFNVSSNWVPPFLLNKLRISSCSVGPEFPTWLQTQHKLNVLDLSQNGISSTVPDWFWNSTTHNLIYLDLSHNQIQGMIPEFLTFIHIEHFDLSSNLFSGPLANLHMHSPTLYGIYLSNNSFSGPISRIIVNNNTLSDDLVISISMNKLNGSVPDWLCQMKKLSSIDISKNHLSGELPDCWSNSSLLSYINLAYNNIAGGIPNSICHLPHLESLFLSHNKLSGEFPNFLKNSSQLVTLDIMHNNFTGSIPNWIGGHLPSLMFLMLKGNAFVNHIPQEISQLKYLQILDLSSNHLSGPISKCLSNLTAMQILPEAYDLVPDVLQDGETILLSLRGREDEYGDSGSAYTKYIDLSNNELTGNIPEELASLYGLQSINLSGNTLEGEIPDKLGRMKQLQSLDLSRNKLSGSIPATLSNLTFLSLFNVSHNNLSGSIPSGNQFNTFNDPSIYIGNHLCGFPLSDNCTKDSGIFKEEPSDGNDEDDGMLWIYIGFLSGFAMGFWTLWGVLSFNKKWRYAYFRCVDNSFDKIYVYVVALCFARMRIKMMITNQ >DRNTG_08240.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000373.1:73732:77642:-1 gene:DRNTG_08240 transcript:DRNTG_08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDPCVFTRDTTDELVDEYIQEMFNPDPYEGLFDQEESNEEVMMLGSTEEVTSTTGMLKKVLQKMKRARRRHRKRSKTVGDRKHTGVWNFHTPVGVALRAHSEKAQGRAATPVKDHATVTRPWVISPRACEFLQSWADFPDSTQGRGLAPVSNLVNHAQAWVISACPCETLQMSSLHPEKTQGRAAAPGSHRGRAAAPVGRGHGHGYFSHARLREIMAPRSKKQADKRPHESSPDPEDMRFAIPEHQMCYERLSRLHFGQTRFLDMTILRDLQQGDEFADEIEDLVLEGGWRQLLTIRELAIIEFALEFSVLLGLYEEAFIDTEEYAQLPTDYPGTLTPQGAYRVLCGQGQYEPGVFKATCLSRPAYRYLHAIMSRSVNGHGDNTGVLSRQELLYLYSMTGSNLLGPLHNEIVLGMGLLDSIRGAEKTSVPAPLSLETMRLMGMVRTVRTGVFALVLPAPEIAEDEGDEAEASQPAPEPQPATMETEAPPVAKKPPPVRMFSPSRANDRFERLENAIGVVRAEVAEIRTTQATQYTEFMARFNATSVSPAPPSSTPAPVDPPNASPAAAAAQEPESSTDT >DRNTG_21704.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:921077:922924:-1 gene:DRNTG_21704 transcript:DRNTG_21704.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWPFKVIPGPGDKPMIVVLHKGEEKQFAAEEISSMVLIKMKEIAEAYLGTSIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSSGEKNVLIFDLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVEAKNSLENYAYNMRNTIKDEKIASKLAPADKKKIEDAIDEAIQWLDGNQLGEAEEFEDKMKELENICNPIIAKMYQGEGAGMGGAGGVDEDMPSAAAAAGSGSGSGAGPKIEEVD >DRNTG_21704.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:921077:922924:-1 gene:DRNTG_21704 transcript:DRNTG_21704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWPFKVIPGPGDKPMIVVLHKGEEKQFAAEEISSMVLIKMKEIAEAYLGTSIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVEAKNSLENYAYNMRNTIKDEKIASKLAPADKKKIEDAIDEAIQWLDGNQLGEAEEFEDKMKELENICNPIIAKMYQGEGAGMGGAGGVDEDMPSAAAAAGSGSGSGAGPKIEEVD >DRNTG_28511.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9207281:9212360:1 gene:DRNTG_28511 transcript:DRNTG_28511.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWRNRSVSTPHTMAESGIHTPLWTPLWIFHTGMWNFHTPVWILCSAVFSAGCE >DRNTG_33287.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23819981:23820614:-1 gene:DRNTG_33287 transcript:DRNTG_33287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSALAEELRSISLPFLEYLASEVLELAGNAVKDDKKARIIPRHLMLAVRNDEELNNLLKGVTIAEGGRPPFVVDVLLPKKAGNLKSLAKFKNIAH >DRNTG_13883.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2512796:2513648:-1 gene:DRNTG_13883 transcript:DRNTG_13883.9 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNDALPKISVDPESYIVTADGEILKCDPASSVPLSRNYFLF >DRNTG_13883.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2513160:2521568:-1 gene:DRNTG_13883 transcript:DRNTG_13883.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSTEVIAGEGMIVTAGGIDCHVHFICPQLAQEAIASGITTLVGGGTGPADGTRATTCTTAPCHMQLMLQSTDDLPINIGFTGKGNSAKPEGLMEIVEAGAMGLKLHEDWGSTPAAINNCLAVAEIFDIQVNIHTDTLNESGCVEHTIDAFKDRTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTSNTVDEHLDMLLVCHHLCKNIKEDVAFAESRIRPETIAAEDILHDMGAISIIASDSQAMGRVGEVITRTWQTAHKMKVQRRLIEPNGCENDNFRIKRYIAKYTINPAIANGFSNYVGSIEVGKIADLVMWKPSFFGVKPEMVIKGGVIAWANMGDPNASIPTPEPVMMRPMFGAFGKAASVNSIAFVSKVAKEADVGTKYGLKKRVEAVGNVRGLTKLNMKLNDALPKISVDPESYIVTADGEILKCDPASSVPLSRNYFLF >DRNTG_13883.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2513806:2521568:-1 gene:DRNTG_13883 transcript:DRNTG_13883.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSTEVIAGEGMIVTAGGIDCHVHFICPQLAQEAIASGITTLVGGGTGPADGTRATTCTTAPCHMQLMLQSTDDLPINIGFTGKGNSAKPEGLMEIVEAGAMGLKLHEDWGSTPAAINNCLAVAEIFDIQVNIHTDTLNESGCVEHTIDAFKDRTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTSNTVDEHLDMLLVCHHLCKNIKEDVAFAESRIRPETIAAEDILHDMGAISIIASDSQAMGRVGEVITRTWQTAHKMKVQRRLIEPNGCENDNFRIKRYIAKYTINPAIANGFSNYVGSIEVGKIADLVMWKPSFFGVKPEMVIKGGVIAWANMGDPNASIPTPEPVMMRPMFGAFGKAASVNSIAFVSK >DRNTG_13883.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2513160:2515970:-1 gene:DRNTG_13883 transcript:DRNTG_13883.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVEAGAMGLKLHEDWGSTPAAINNCLAVAEIFDIQVNIHTDTLNESGCVEHTIDAFKDRTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTSNTVDEHLDMLLVCHHLCKNIKEDVAFAESRIRPETIAAEDILHDMGAISIIASDSQAMGRVGEVITRTWQTAHKMKVQRRLIEPNGCENDNFRIKRYIAKYTINPAIANGFSNYVGSIEVGKIADLVMWKPSFFGVKPEMVIKGGVIAWANMGDPNASIPTPEPVMMRPMFGAFGKAASVNSIAFVSKVAKEADVGTKYGLKKRVEAVGNVRGLTKLNMKLNDALPKISVDPESYIVTADGEILKCDPASSVPLSRNYFLF >DRNTG_13883.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2516028:2521568:-1 gene:DRNTG_13883 transcript:DRNTG_13883.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTPREVEKLGPREVEKLALHQAGFLAQKRLARGLRLNYTEAVTLIATQILEFVRDGDKTVAELMCIGKQLLGRRQVLPAVPHLLDRVQVNGTFMDGTKLITIHDPIACDNGNLELALHGSVFPVPSLDKFVVDVADCVPGKFICRCDEKIILNVGRKIVRLKIVNKADRPIQIGSHYHFIEVNPYLVFDRRKAYGMRLNICAGTATRFEPGDTKYVTLVSIGGDKIIRGGNGIVDGPVDYSKLDEVMDDVKAKGFGNEEEPDASEGVIGEFDRHDGSHCPLEIIEVSREDYANKYGPTTGDKIKLGDTELYAEIERDFAVYGDECVSRGGKGFA >DRNTG_13883.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2513160:2521568:-1 gene:DRNTG_13883 transcript:DRNTG_13883.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLKGILQFMVMNVYLEVEKVLRDGMGQAAGCPTSACIDTVITNAVIIDYTGIYKADIGIKGGNIIAIGKAGNPDIMDGVLRHMIVGVSTEVIAGEGMIVTAGGIDCHVHFICPQLAQEAIASGITTLVGGGTGPADGTRATTCTTAPCHMQLMLQSTDDLPINIGFTGKGNSAKPEGLMEIVEAGAMGLKLHEDWGSTPAAINNCLAVAEIFDIQVNIHTDTLNESGCVEHTIDAFKDRTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTSNTVDEHLDMLLVCHHLCKNIKEDVAFAESRIRPETIAAEDILHDMGAISIIASDSQAMGRVGEVITRTWQTAHKMKVQRRLIEPNGCENDNFRIKRYIAKYTINPAIANGFSNYVGSIEVGKIADLVMWKPSFFGVKPEMVIKGGVIAWANMGDPNASIPTPEPVMMRPMFGAFGKAASVNSIAFVSKVAKEADVGTKYGLKKRVEAVGNVRGLTKLNMKLNDALPKISVDPESYIVTADGEILKCDPASSVPLSRNYFLF >DRNTG_13883.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2512796:2521568:-1 gene:DRNTG_13883 transcript:DRNTG_13883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTPREVEKLGPREVEKLALHQAGFLAQKRLARGLRLNYTEAVTLIATQILEFVRDGDKTVAELMCIGKQLLGRRQVLPAVPHLLDRVQVNGTFMDGTKLITIHDPIACDNGNLELALHGSVFPVPSLDKFVVDVADCVPGKFICRCDEKIILNVGRKIVRLKIVNKADRPIQIGSHYHFIEVNPYLVFDRRKAYGMRLNICAGTATRFEPGDTKYVTLVSIGGDKIIRGGNGIVDGPVDYSKLDEVMDDVKAKGFGNEEEPDASEGVIGEFDRHDGSHCPLEIIEVSREDYANKYGPTTGDKIKLGDTELYAEIERDFAVYGDECVSRGGKGFA >DRNTG_13883.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2513160:2521568:-1 gene:DRNTG_13883 transcript:DRNTG_13883.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTPREVEKLGPREVEKLALHQAGFLAQKRLARGLRLNYTEAVTLIATQILEFVRDGDKTVAELMCIGKQLLGRRQVLPAVPHLLDRVQVNGTFMDGTKLITIHDPIACDNGNLELALHGSVFPVPSLDKFVVDVADCVPGKFICRCDEKIILNVGRKIVRLKIVNKADRPIQIGSHYHFIEVNPYLVFDRRKAYGMRLNICAGTATRFEPGDTKYVTLVSIGGDKIIRGGNGIVDGPVDYSKLDEVMDDVKAKGFGNEEEPDASEGVIGEFDRHDGSHCPLEIIEVSREDYANKYGPTTGDKIKLGDTELYAEIERDFAVYGDECVSRGGKGFA >DRNTG_13883.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2513160:2513648:-1 gene:DRNTG_13883 transcript:DRNTG_13883.10 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNDALPKISVDPESYIVTADGEILKCDPASSVPLSRNYFLF >DRNTG_13883.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2513160:2521568:-1 gene:DRNTG_13883 transcript:DRNTG_13883.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTPREVEKLGPREVEKLALHQAGFLAQKRLARGLRLNYTEAVTLIATQILEFVRDGDKTVAELMCIGKQLLGRRQVLPAVPHLLDRVQVNGTFMDGTKLITIHDPIACDNGNLELALHGSVFPVPSLDKFVVDVADCVPGKFICRCDEKIILNVGRKIVRLKIVNKADRPIQIGSHYHFIEVNPYLVFDRRKAYGMRLNICAGTATRFEPGDTKYVTLVSIGGDKIIRGGNGIVDGPVDYSKLDEVMDDVKAKGFGNEEEPDASEGVIGEFDRHDGSHCPLEIIEVSREDYANKYGPTTGDKIKLGDTELYAEIERDFAVYGDECVSRGGKGFA >DRNTG_17730.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4094531:4099403:-1 gene:DRNTG_17730 transcript:DRNTG_17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKKENFTAIGEIKGTLVKKIKIIDKTLGHSHTSPSQDGKRGAKKQRKPRRTLRRRLRGVERRGELHNDQRGF >DRNTG_30830.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:514178:524509:-1 gene:DRNTG_30830 transcript:DRNTG_30830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALISPQTLAFSSPRSSFCPSPSRSTVNLSSPFAQSIARKAPVRTISGTRSLTERSMASSVSGFDSSVLDALGDVSILSAATDEPVFFRDLWDQNEGVAVVALLRHFGCFCCWELASVLKEANPTFDSSGVKLIAVGVGTLDKAHILAEQLPFPLDCLYADPNHKAYDVLGLYYGLGRTFFNPASVKVFSRFESIKKALKNYTIAATPDDKSSVLQQGGMFVFKGKQLLYARKDEGTGDHAPLEDILNICCKTPVP >DRNTG_19543.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2594404:2596578:1 gene:DRNTG_19543 transcript:DRNTG_19543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKNKKHSLPLRMFISVDGDDVVRPDCPNASNPFHKCADYCSLRTSDQAKKQEGSKGVKKVVEARRHVDPNCRHASNPYHSCGPYCYEDEGESSYSSYSSSSFRQLKGEKKEEVKKTGDVDPMCKNASNPFHKCSDYCFRGSTDERKESVKDVGKGRDGDETKSNGNLNCPNASNPFHVCGSYCLPVIPKKGQNKGNIKKPTESSNCKYASNPFHNCSEFCSSGEGGFVPKKPEKRNVYSNPEKVSVKTDETEVSTEAVKVSEKKPISRNSSTSCSESSKEHFQSFYEQELLERFGILRGNEDDLVETEKREMHLKHIDSFDLNHQFIAHCRQSNHEDFYQFEKVLIAEKSMGDWQMQDNQWINTCNSDGKISAYCLQKPSHESNQSEDGKTVIPSSSTIRFALLLLSVLYYIAHVIITGGVAMDQNTSRSKERKDEKTRCNVNCFDEMNRDM >DRNTG_19543.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2594404:2596578:1 gene:DRNTG_19543 transcript:DRNTG_19543.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKNKKHSLPLRMFISVDGDDVVRPDCPNASNPFHKCADYCSLRTSDQAKKQEGSKGVKKVVEARRHVDPNCRHASNPYHSCGPYCYEDEGESSYSSYSSSSFRQLKGEKKEEVKKTGDVDPMCKNASNPFHKCSDYCFRGSTDERKESVKDVGKGRDGDETKSNGNLNCPNASNPFHVCGSYCLPVIPKKGQNKGNIKKPTESSNCKYASNPFHNCSEFCSSGEGGFVPKKPEKRNVYSNPEKVSVKTDETVEVSTEAVKVSEKKPISRNSSTSCSESSKEHFQSFYEQELLERFGILRGNEDDLVETEKREMHLKHIDSFDLNHQFIAHCRQSNHEDFYQFEKVLIAEKSMGDWQMQDNQWINTCNSDGKISAYCLQKPSHESNQSEDGKTVIPSSSTIRFALLLLSVLYYIAHVIITGGVAMDQNTSRSKERKDEKTRCNVNCFDEMNRDM >DRNTG_07569.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21894993:21896679:-1 gene:DRNTG_07569 transcript:DRNTG_07569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLKKNNDKQSPKTTANNECSIGKPLLSALTIYCESDSISKSSTPFASNHCKVICNPKASASVGSRERSGPCMTFINWPSSCRMRAPKVDCVNCASHVAYTLHFTHPH >DRNTG_07569.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21894993:21895463:-1 gene:DRNTG_07569 transcript:DRNTG_07569.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANNRNQQHEAGSSTMKSEQNRTEYQQP >DRNTG_07569.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21894477:21895843:-1 gene:DRNTG_07569 transcript:DRNTG_07569.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANNRNQQHEAGSSTMKSEQNRTEYQQP >DRNTG_19320.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:705332:707860:1 gene:DRNTG_19320 transcript:DRNTG_19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTITMEEEMLSLGFPSSSSSSSLSSSSSVHRSAGMEVLLARGKRDMQPLILKLGLGLALTVAGFLFTQLRPRRRCPRRPSSPGGVGGLKDEIFASNSGEEQVKMLKCAAMETGVTTTVVSLIPEDKKSCEDDEGFLLPEFNELVTEEFETQKEDYSGISPVNPTLKSLESEEYIALKQEIDDLKNLVNAYKERERDLETQLIEYYGLKEQNAVIGELENRLKISTVEAQLLSLKIESLQDEKKNLREELSDYSIVMNELKAAEAKINLLEKKLRLDGEVAKEKIAELLRRVTMLQEKELKDGGKDPEIEKRLKDLEDEVADLKRVNSMLAQENSDLVKRLESSQMVQSSSQDSLVELNEKLKNEIEQLQTDRCADVEELVYLKWINACLRYEMRNFQPSPGRTVARDLSKCLSPKSEEKAKQLILEYGNGHDTNMSLLDFDSDCCSSSQTSTGECDDASSMDISPTTKTRHPSKSKLFSKLKKLVLGNDSPENKVASADRSAASCNNSERRGSISICSLEDLFRRDSYDSISSCITAEHSDGSQLTWKESHADEKHQKHAVRASMDISNSRRLDFERLKERCKSDVGTSHFFNRKTFGDYNSIDSYRTDHQPGDEENEIPEKTKLKKLAKALKSPYGKSKSSRRTASLSII >DRNTG_19320.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:705332:707860:1 gene:DRNTG_19320 transcript:DRNTG_19320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTITMEEEMLSLGFPSSSSSSSLSSSSSVHRSAGMEVLLARGKRDMQPLILKLGLGLALTVAGFLFTQLRPRRRCPRRPSSPGGVGGLKDEIFASNSGEEQVKMLKCAAMETGVTTTVVSLIPEDKKSCEDDEGFLLPEFNELVTEEFETQKEDYSGISPVNPTLKSLESEEYIALKQEIDDLKNLVNAYKERERDLETQLIEYYGLKEQNAVIGELENRLKISTVEAQLLSLKIESLQDEKKNLREELSDYSIVMNELKAAEAKINLLEKKLRLDGEVAKEKIAELLRRVTMLQEKELKDGGKDPEIEKRLKDLEDEVADLKRVNSMLAQENSDLVKRLESSQMVQSSSQDSLVVSSLHFHC >DRNTG_11398.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14071577:14072008:-1 gene:DRNTG_11398 transcript:DRNTG_11398.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLGFNRSSKKCKEKRENINKYFKKVKESNKKRPEDAKTCLYFHQLDALYQRKLAAGAVGGGGVGGGSSNVVSSQALQTKSTSSNQVRHDTTMQSPQQVTPAMVVPETEGKSDGGAQIPTSNGRTSMTFFKETSSGSVMKKR >DRNTG_30536.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21345874:21350533:1 gene:DRNTG_30536 transcript:DRNTG_30536.7 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFAGISLVVLEGQWREGFMALAAIGATNIGSIQLYIEPEFRTNRPKLKLLSSEPPEERVYDAEGTGLMLKKGEEVAAFNMGSTVVLIFQAPISGLAGGISSSPEFKFCVKKGDEIRVGEAIGRWGSQ >DRNTG_30536.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21347721:21350533:1 gene:DRNTG_30536 transcript:DRNTG_30536.11 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAIGATNIGSIQLYIEPEFRTNRPKLKLLSSEPPEERVYDAEGTGLMLKKGEEVAAFNMGSTVVLIFQAPISGLAGGISSSPEFKFCVKKGDEIRVGEAIGRWGSQ >DRNTG_30536.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21343988:21350592:1 gene:DRNTG_30536 transcript:DRNTG_30536.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRIGELGGPGAMIEQVKGFSYSPFSLLGPRTSLHKVVDGNACNEPSELSTAQGTTKKSWWRLSFASPKVRNSVPSCQMEGVFYCVLYLHPGSYHRVHSPVDWNVFVRRHFSGNLFPVNERATRTIKNLHIENERVVLEGQWREGFMALAAIGATNIGSIQVRHFYPLTC >DRNTG_30536.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21346353:21347679:1 gene:DRNTG_30536 transcript:DRNTG_30536.15 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRIGELGGPGAMIEQVKGFSYSPFSLLGPRTSLHKVVDGNACNEPSELSTAQGTTKKSWWRLSFASPKVRNSVPSCQMEGVFYCVLYLHPGSYHRVHSPVDWNVFVRRHFSGILSFFTLLYCKFDVN >DRNTG_30536.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21348292:21350592:1 gene:DRNTG_30536 transcript:DRNTG_30536.12 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKGEEVAAFNMGSTVVLIFQAPISGLAGGISSSPEFKFCVKKGDEIRVGEAIGRWGSQ >DRNTG_30536.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21344401:21350533:1 gene:DRNTG_30536 transcript:DRNTG_30536.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRIGELGGPGAMIEQVKGFSYSPFSLLGPRTSLHKVVDGNACNEPSELSTAQGTTKKSWWRLSFASPKVRNSVPSCQMEGVFYCVLYLHPGSYHRVHSPVDWNVFVRRHFSGNLFPVNERATRTIKNLHIENERVVLEGQWREGFMALAAIGATNIGSIQLYIEPEFRTNRPKLKLLSSEPPEERVYDAEGTGLMLKKGEEVAAFNMGSTVVLIFQAPISGLAGGISSSPEFKFCVKKGDEIRVGEAIGRWGSQ >DRNTG_30536.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21347721:21350592:1 gene:DRNTG_30536 transcript:DRNTG_30536.10 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRFVAFGRISCVLFLLCQLHHTYSYFLAFLVLCIEERAIWRLSNFLRYQLYIEPEFRTNRPKLKLLSSEPPEERVYDAEGTGLMLKKGEEVAAFNMGSTVVLIFQAPISGLAGGISSSPEFKFCVKKGDEIRVGEAIGRWGSQ >DRNTG_30536.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21345874:21350533:1 gene:DRNTG_30536 transcript:DRNTG_30536.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRIGELGGPGAMIEQVKGFSYSPFSLLGPRTSLHKVVDGNACNEPSELSTAQGTTKKSWWRLSFASPKVRNSVPSCQMEGVFYCVLYLHPGSYHRVHSPVDWNVFVRRHFSGNLFPVNERATRTIKNLHIENERVVLEGQWREGFMALAAIGATNIGSIQLYIEPEFRTNRPKLKLLSSEPPEERVYDAEGTGLMLKKGEEVAAFNMGSTVVLIFQAPISGLAGGISSSPEFKFCVKKGDEIRVGEAIGRWGSQ >DRNTG_30536.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21348292:21350533:1 gene:DRNTG_30536 transcript:DRNTG_30536.13 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAIGATNIGSIQLYIEPEFRTNRPKLKLLSSEPPEERVYDAEGTGLMLKKGEEVAAFNMGSTVVLIFQAPISGLAGGISSSPEFKFCVKKGDEIRVGEAIGRWGSQ >DRNTG_30536.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21345874:21350592:1 gene:DRNTG_30536 transcript:DRNTG_30536.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRIGELGGPGAMIEQVKGFSYSPFSLLGPRTSLHKVVDGNACNEPSELSTAQGTTKKSWWRLSFASPKVRNSVPSCQMEGVFYCVLYLHPGSYHRVHSPVDWNVFVRRHFSGNLFPVNERATRTIKNLHIENERVVLEGQWREGFMALAAIGATNIGSIQICCIWENLLCLVLAMSATSHLFLFFSIPSVVH >DRNTG_30536.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21343884:21347679:1 gene:DRNTG_30536 transcript:DRNTG_30536.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRIGELGGPGAMIEQVKGFSYSPFSLLGPRTSLHKVVDGNACNEPSELSTAQGTTKKSWWRLSFASPKVRNSVPSCQMEGVFYCVLYLHPGSYHRVHSPVDWNVFVRRHFSGILSFFTLLYCKFDVN >DRNTG_30536.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21343988:21350658:1 gene:DRNTG_30536 transcript:DRNTG_30536.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRIGELGGPGAMIEQVKGFSYSPFSLLGPRTSLHKVVDGNACNEPSELSTAQGTTKKSWWRLSFASPKVRNSVPSCQMEGVFYCVLYLHPGSYHRVHSPVDWNVFVRRHFSGNLFPVNERATRTIKNLHIENERVSGEKALWHLQQLVLPILVPFNCILNQSSGQTGQS >DRNTG_30536.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21345874:21347679:1 gene:DRNTG_30536 transcript:DRNTG_30536.14 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRIGELGGPGAMIEQVKGFSYSPFSLLGPRTSLHKVVDGNACNEPSELSTAQGTTKKSWWRLSFASPKVRNSVPSCQMEGVFYCVLYLHPGSYHRVHSPVDWNVFVRRHFSGILSFFTLLYCKFDVN >DRNTG_30536.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21346353:21350533:1 gene:DRNTG_30536 transcript:DRNTG_30536.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRIGELGGPGAMIEQVKGFSYSPFSLLGPRTSLHKVVDGNACNEPSELSTAQGTTKKSWWRLSFASPKVRNSVPSCQMEGVFYCVLYLHPGSYHRVHSPVDWNVFVRRHFSGNLFPVNERATRTIKNLHIENERVVLEGQWREGFMALAAIGATNIGSIQLYIEPEFRTNRPKLKLLSSEPPEERVYDAEGTGLMLKKGEEVAAFNMGSTVVLIFQAPISGLAGGISSSPEFKFCVKKGDEIRVGEAIGRWGSQ >DRNTG_30536.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21344401:21350533:1 gene:DRNTG_30536 transcript:DRNTG_30536.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFFIFPFQELPVYVRPFVYKAWARAFHSNLEEAALPLEKYASLQEFFVRALKEGARPIDEDPNCLVSPVDGMVLRIGELGGPGAMIEQVKGFSYSPFSLLGPRTSLHKVVDGNACNEPSELSTAQGTTKKSWWRLSFASPKVRNSVPSCQMEGVFYCVLYLHPGSYHRVHSPVDWNVFVRRHFSGNLFPVNERATRTIKNLHIENERVVLEGQWREGFMALAAIGATNIGSIQLYIEPEFRTNRPKLKLLSSEPPEERVYDAEGTGLMLKKGEEVAAFNMGSTVVLIFQAPISGLAGGISSSPEFKFCVKKGDEIRVGEAIGRWGSQ >DRNTG_33338.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3467789:3469077:1 gene:DRNTG_33338 transcript:DRNTG_33338.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVFVPRVESLASSGIDQIPTEYVRPESERDHLGDALEAEKKSINGPQIPIIDIKMFDSDDEAVRKQCVEEVRSAAVNWGVMHIVGHGIPAELIDSLREAGKRFFDLPLEEKEKYANDQSSGMIQGYGSKLANNASGQLEWEDYFFHLMFPENQTNLSIWPRYPPDYIKVTKEFAKELRVVMTKMFNLLSLGVGLPAEKLEAEAGGMENILLQMKINYYPKCPQPSLAVGVEAHTDVSHLSFILHNNVPGLQVFYEGKWVSAKCVPDSIVVHVGDSLEILTNGLYKSVLHRGLVNKEKVRISWAVFAEPDKEKVLLRPLPELVSDDVPPKYEPRTFAQHVRQKLFKKEQEAATK >DRNTG_33338.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3467731:3469077:1 gene:DRNTG_33338 transcript:DRNTG_33338.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVFVPRVESLASSGIDQIPTEYVRPESERDHLGDALEAEKKSINGPQIPIIDIKMFDSDDEAVRKQCVEEVRSAAVNWGVMHIVGHGIPAELIDSLREAGKRFFDLPLEEKEKYANDQSSGMIQGYGSKLANNASGQLEWEDYFFHLMFPENQTNLSIWPRYPPDYIKVTKEFAKELRVVMTKMFNLLSLGVGLPAEKLEAEAGGMENILLQMKINYYPKCPQPSLAVGVEAHTDVSHLSFILHNNVPGLQVFYEGKWVSAKCVPDSIVVHVGDSLEILTNGLYKSVLHRGLVNKEKVRISWAVFAEPDKEKVLLRPLPELVSDDVPPKYEPRTFAQHVRQKLFKKEQEAATK >DRNTG_33338.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3467835:3469468:1 gene:DRNTG_33338 transcript:DRNTG_33338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVFVPRVESLASSGIDQIPTEYVRPESERDHLGDALEAEKKSINGPQIPIIDIKMFDSDDEAVRKQCVEEVRSAAVNWGVMHIVGHGIPAELIDSLREAGKRFFDLPLEEKEKYANDQSSGMIQGYGSKLANNASGQLEWEDYFFHLMFPENQTNLSIWPRYPPDYIKVTKEFAKELRVVMTKMFNLLSLGVGLPAEKLEAEAGGMENILLQMKINYYPKCPQPSLAVGVEAHTDVSHLSFILHNNVPGLQVFYEGKWVSAKCVPDSIVVHVGDSLEILTNGLYKSVLHRGLVNKEKVRISWAVFAEPDKEKVLLRPLPELVSDDVPPKYEPRTFAQHVRQKLFKKEQEAATK >DRNTG_33338.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3467835:3469077:1 gene:DRNTG_33338 transcript:DRNTG_33338.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVFVPRVESLASSGIDQIPTEYVRPESERDHLGDALEAEKKSINGPQIPIIDIKMFDSDDEAVRKQCVEEVRSAAVNWGVMHIVGHGIPAELIDSLREAGKRFFDLPLEEKEKYANDQSSGMIQGYGSKLANNASGQLEWEDYFFHLMFPENQTNLSIWPRYPPDYIKVTKEFAKELRVVMTKMFNLLSLGVGLPAEKLEAEAGGMENILLQMKINYYPKCPQPSLAVGVEAHTDVSHLSFILHNNVPGLQVFYEGKWVSAKCVPDSIVVHVGDSLEILTNGLYKSVLHRGLVNKEKVRISWAVFAEPDKEKVLLRPLPELVSDDVPPKYEPRTFAQHVRQKLFKKEQEAATK >DRNTG_33338.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3467835:3469355:1 gene:DRNTG_33338 transcript:DRNTG_33338.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVFVPRVESLASSGIDQIPTEYVRPESERDHLGDALEAEKKSINGPQIPIIDIKMFDSDDEAVRKQCVEEVRSAAVNWGVMHIVGHGIPAELIDSLREAGKRFFDLPLEEKEKYANDQSSGMIQGYGSKLANNASGQLEWEDYFFHLMFPENQTNLSIWPRYPPDYIKVTKEFAKELRVVMTKMFNLLSLGVGLPAEKLEAEAGGMENILLQMKINYYPKCPQPSLAVGVEAHTDVSHLSFILHNNVPGLQVFYEGKWVSAKCVPDSIVVHVGDSLEILTNGLYKSVLHRGLVNKEKVRISWAVFAEPDKEKVLLRPLPELVSDDVPPKYEPRTFAQHVRQKLFKKEQEAATK >DRNTG_17908.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8154026:8166935:-1 gene:DRNTG_17908 transcript:DRNTG_17908.1 gene_biotype:protein_coding transcript_biotype:protein_coding GPQIPILVCHRSFPCRCGSQLTVPPGRWLTGSFNLTSNLRLFLEEGTMILGTQALALQLRS >DRNTG_17908.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8165999:8172356:-1 gene:DRNTG_17908 transcript:DRNTG_17908.3 gene_biotype:protein_coding transcript_biotype:protein_coding RIQGPQIPILVCHRSFPCRCGSQLTVPPGRWLTGSFNLTSNLRLFLEEGTMILGTQALALQLRS >DRNTG_30324.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1091005:1093280:-1 gene:DRNTG_30324 transcript:DRNTG_30324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNFHPHLLRMEPQSMDQGPHRRHMGAKLFRAASSGDVKAFSTLLTFTPSNHPSLLVDQLNLDVRSVIHAAPDTETESIFSVTAGGNTILHIAAEHGHLKFAQVVFNLEQSLVASVNSMLDTPLHCCAKGGHYQMLCFLIDAAREEVFGHAFQGLLRARNLDGDTVLHHAVQGKHFLVVEKLIAVDYGLSSVVNNSGFSPLYFAVMQTSVRMVKALLKSYSCSFAGPGGRTALHAAVFTSKEITKLLLDWKPELGRVADDSGSIPLHYVAAAGDSHMANILLEHDASTAYIPDKDGSYCIHIAAHMGHVGVICRLLELCPDSIELVDDRFRRSFVHVAVLNHRQGVMKRVLQTKALEKLLNQKDCDGNTPLHLAVKNGMKEIVYDLLSERSVESNVMNNEGLTPLDLSMTSMEMSIAYFFVFHYIKRSGQLVISRCLVENGATFSPQRLDHAYDTITQRFARPKDTKNILSFCKYLTILSVLISTVTFTAGFTLPGGYFSDNNHREGAAVLTNKYPFKVFLISDSIAMCSSLIATFRIIYAGGLEMDNALRVQHLSKSMNLLGVAFACMAVSFGMAALAVVVPESWPIGILVCVIAFSAPFIRVVIFRGPVISSLRVKIARFGFRGWMRRLRLESKHSMRLITDIRDKVSCWALFHGVFFYLLLFLIIFLVALV >DRNTG_05603.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1102950:1104111:1 gene:DRNTG_05603 transcript:DRNTG_05603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHHLLPLILSLILLIRPSSSSSGETPALFTDPTQILFSGQSLIQDDLTLSLYSDCSLILYKAGSQVVDFGTSTSTSYCALLVSEGGQLQLIPDSERTPTQTIGKETYSANYALLFVNGKLGLFGPAIWNNGVKLPTLSNSHKLTLNHKKLKAGSADNFLVSNGVVTGSANGDVVIAQNGDVSAVITPYCKLIVRNDASGESIWQTKPSSAAPVECFLKLTYNGLLLLQGYNDSGLFTQWTGGYEAREGTYVCLLRYFGRITLYRLKTWLYDGSSSSSAAAAAVVAKKIKMVTA >DRNTG_18890.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2207153:2212194:1 gene:DRNTG_18890 transcript:DRNTG_18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGEGAVDMEEENMAAWLVGINTLKIQPFKLPPLGPHDVRVQMKAVGICGSDVHFLKRMSCAGFVVKEPMVIGHECAGVISEVGSEVKSLVVGDRVALEPGISCLRCNYCKGGRYNLCSDMKFFATPPVHGSLAKQINHPAELCFKLPDNVNLEEGAMCEPLSVGIHACRRANVGPETNVLIMGAGPIGLVTMLAARAFGARRIVIVDVDDHRLRVAMSLGADGVVVVSPNIQGVDEDVAQIRKTMCADIDVTFDCAGFSKQCQLL >DRNTG_29202.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3152497:3155878:-1 gene:DRNTG_29202 transcript:DRNTG_29202.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSFLSRALVTILGYVYPAYECYKTVELNRPEIEQLRFWCQYWILVATLSALERVGDAFISWLPMYSEAKLAFFVYLWYPKTRGTTYVYNTFFRPYIANYETEIDRNLLELRTRAGDILVLYWKKSASYVQARFFEILQYVASQSRSQTPASQPVQQRQQSTQTPATTATANVQTAPSSQQLTRIPAISAKVQLQPQAPVKPGASSTAAPTVLQPLKPGAPAAAAPTAQPQNLSVGIPHSTSENVADPAPGEAEVMQIVEIDEVESANATPQEAAIEEAIRLTRGRLRKRATNAGPAGR >DRNTG_15368.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26843541:26845162:1 gene:DRNTG_15368 transcript:DRNTG_15368.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIILLIILSDPEAWTSSIRGKLDTRTHVYGFGTMVNSKAAACTTNSVCGPSTSTPHMDVSLNDDRIVSLEQKLESLTDDVSQVKNVIGDISDLKNQFQIMMSFMMEKFGSNIPPPTSK >DRNTG_07413.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7271415:7275759:-1 gene:DRNTG_07413 transcript:DRNTG_07413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLIGNKCDLAHRRAVSTEEGEQFAKEHGLIFMEASAKTAQNVEEAFISTAATIYKKIQDGVFDVSNESYGIKVGYGGIPGPSGGRDGSSSQAGGCCT >DRNTG_25123.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23761416:23769391:-1 gene:DRNTG_25123 transcript:DRNTG_25123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIKCAIIYSGSNITGYFPALKKLKLDQMRNSERWCMTEEREAGSYFFPVLVSIHILECRKLPTMPCIQSIRKLKLSRSFDETLIPLTCWESGFFRHFTLLESLKISGFEELVLLLEDEEEASALRSFLRKIEISNCNHLSSTGGL >DRNTG_31563.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001722.1:38962:41188:-1 gene:DRNTG_31563 transcript:DRNTG_31563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGVFSGPTTITGLPHRRRAASSPAIPWIILPLKPSSLRFAAFKARAAVEKGGCLGTSFYDLLGISQGGSLEEIKRAYKQMARKYHPDVSPPDLAEEYTRRFIEVQEAYETLSDPCRRAMYDRDLARGLQFALTSRRRTSRRNQCGRTGGRINLNH >DRNTG_32269.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20376834:20397100:-1 gene:DRNTG_32269 transcript:DRNTG_32269.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSGGFLSAMTSKETIKVGEEHQIGLNSLGSWHTPPCT >DRNTG_06126.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25594879:25598298:1 gene:DRNTG_06126 transcript:DRNTG_06126.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVVGKRRWSGAVKQVTKSNFSSALEQIKKHIHESDFIAISTQRTGDFSGASSPSSHRHPWRRVLPLDTSETAYLKAKHAAERFELLQFAICPFRLLSSKVVAYPYNFHLFPRDELNLGMPSYSFSCQSSSLTSMAREGFDFNACIYDGITYSSRAQESVARDRNPVPRMHLMTSSSSLSVADSVFMGRIKSRVETWWKACRDPGKAVDGTFCEIGNP >DRNTG_06126.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25594879:25595325:1 gene:DRNTG_06126 transcript:DRNTG_06126.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVVGKRRWSGAVKQVTKSNFSSALEQIKKHIHESDFIAISTQRTGDFSGASSPSSHRHPWRRVLPLDTSETAYLKAKHAAERFELLQFAICPFRLLSSKVVAYP >DRNTG_06126.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25594879:25598298:1 gene:DRNTG_06126 transcript:DRNTG_06126.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVVGKRRWSGAVKQVTKSNFSSALEQIKKHIHESDFIAISTQRTGDFSGASSPSSHRHPWRRVLPLDTSETAYLKAKHAAERFELLQFAICPFRLLSSKVVAYPYNFHLFPRDELNLGMPSYSFSCQSSSLTSMAREGFDFNACIYDGEHYQVVNL >DRNTG_06126.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25594879:25598298:1 gene:DRNTG_06126 transcript:DRNTG_06126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVVGKRRWSGAVKQVTKSNFSSALEQIKKHIHESDFIAISTQRTGDFSGASSPSSHRHPWRRVLPLDTSETAYLKAKHAAERFELLQFAICPFRLLSSKVVAYPYNFHLFPRDELNLGMPSYSFSCQSSSLTSMAREGFDFNACIYDGITYSSRAQESVARDRNPVPRMHLMTSSSSLSVADSVFMGRIKSRVETWWKACRDPGKAVDGSLVLSLRKLILGGELYGSRPCLGIDVSSDHQVQLVLKVVGQICDDLVPLIVPDKQGEIKTVRLILTSSEDDKNLLLTEIQSLEEEEDLKLRGFREVLDVISRSHKPIIGYNCLHDFTFIHSKFIAPLPPSMAEFMCSLRLAFREILDINHLLKEVGPLRKANNVPAAFSYLRRQFFTPVELEIPSQVDSDDKNYGHNVLQITYLFAKLNNLLKIVPDHQPALEQDTRQVKDFANIFYPCSTTLQDSDDEDIGSQVDKSKKFGTDNVVLLWGFRAGISAAGLKRQLHGIHSVFFENFELQLVDRTCAIVIFHVPGSAGEFIGRHEIRKHRFRFSKGDDV >DRNTG_32251.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3379293:3380702:-1 gene:DRNTG_32251 transcript:DRNTG_32251.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Divinyl chlorophyllide a 8-vinyl-reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G18660) UniProtKB/Swiss-Prot;Acc:Q1H537] MATLSFSILLRPHSSLSSLPPFPPFFSFSPSRPKSSLKSRIFTISNSSPISTSPPLPSFRSKPPSQTTVLVAGATGYIGKFVVRELTSRGFNVISIARRRSGTRGLNGADQTLKLLSGSTVCFSEVTDLAALNLALDDLSLSSIDVVVCCLASRSGGIKDSWAIDYQASINTLLAGRRLGASHFVLLSAICVQKPLLEFQRAKLKFEAELQRMAMESPGFTYSIVRPTAFFKSLGGQVETVKDGKPYVMFGDGKLCACKPISEEDLASFIADCVMDADKACKILPIGGPGQALTPLEQGELLFRLLGKEPNFLKVPIGVMDFVIGVLEFLSKLFPALEDAAEYGKIGRYYAAESMLVLDPETGDYSSEKTPSYGKDTLEEFFERVIREGMAGQELGEQTIF >DRNTG_00005.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21479505:21482471:-1 gene:DRNTG_00005 transcript:DRNTG_00005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSDFLENGSGGAESRYSSDSDSGYTDLGQLAETVSLYKHAMDQHECNLLSTVHSLLLSMNETDLHYAKEGQCNASCIRQFLVKLLRLSGYDAAVCTSKWQGSGKVPGGDHEYIDVIVNGNIGGSDRLIVDIDFRSHFEIARAVESYDAILASLPVVYVGSQARLEQFLQAMVEAAKFSLKQNSMPLPPWRSLAYLQSKWHSKYERNHGSDKPARPGCSSSHHKQCIGHLRRLRGSLQSEIESDRLLKPIKNDKNRRVKFDRRRPSLLSS >DRNTG_20004.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3201556:3202598:1 gene:DRNTG_20004 transcript:DRNTG_20004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKLLDLTNQDTTLHLADQGFLSPRRKASFLCLVRSGLDSRRAIITTYLTVEWSSPHPRGIVGFAPRPKRAPHTLRAPCMLTQNRMEIHLLILDGNARICPSHCPRRHLNFEESWQK >DRNTG_04781.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15442853:15444304:1 gene:DRNTG_04781 transcript:DRNTG_04781.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENVDLTSPPSQKMERKQTLEKEHKDALERIVSLNVPNAEPPISKTAKGSSDDTPVKIIIEDSSDDTPVEIKIEESSSNNLNSSGKTNWDELVEKLFHRNESGNLVLKRNIPVQ >DRNTG_05327.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18878063:18880056:-1 gene:DRNTG_05327 transcript:DRNTG_05327.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLPLTIDESRRPRIKLVNGMLHPSDVCARKITFIFKERMDENGYSWKNVSKETKDFYWNEFQKFFVWDESMLSAIKMAWQRKAAERYRALMCSLRKGKEKSLHVSDSAWKTWTDAWNSPEFKTRCETATANRLTEITGPGSGISRHTGGSISHASHADRLVICLIFI >DRNTG_05327.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18878120:18880056:-1 gene:DRNTG_05327 transcript:DRNTG_05327.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLPLTIDESRRPRIKLVNGMLHPSDVCARKITFIFKERMDENGYSWKNVSKETKDFYWNEFQKFFVWDESMLSAIKMAWQRKAAERYRALMCSLRKGKEKSLHVSDSAWKTWTDAWNSPEFKTRCETATANRLTEITGPGSGISRHTGGSISHASHADRLRSRLGRDPRPFELFEVTHTKKGTSMLVDTRAQSVKDRYLELVEQASQTQEGLDELPIVDETALYYDAVGGGKKSRVYG >DRNTG_05327.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18878789:18880056:-1 gene:DRNTG_05327 transcript:DRNTG_05327.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLPLTIDESRRPRIKLVNGMLHPSDVCARKITFIFKERMDENGYSWKNVSKETKDFYWNEFQKFFVWDESMLSAIKMAWQRKAAERYRALMCSLRKGKEKSLHVSDSAWKTWTDAWNSPEFKTRCETATANRLTEITGPGSGISRHTGGSISHASHADRLVICLIFI >DRNTG_05327.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18878120:18878673:-1 gene:DRNTG_05327 transcript:DRNTG_05327.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMRQMKDMMMSFERRILQQSQFTTQDSQPVTNDHDVGL >DRNTG_05327.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18878063:18878673:-1 gene:DRNTG_05327 transcript:DRNTG_05327.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMRQMKDMMMSFERRILQQSQFTTQDSQPVTNDHDVGL >DRNTG_22133.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17478530:17483364:1 gene:DRNTG_22133 transcript:DRNTG_22133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSGTDLAGAIILFFFFGSVSSRFVVEKNNIRVLSPEHFRAQHDGAIANFGVPNYGGSMTGVVVYPPKASTGCDPFDGPPFKSKSRRPVILLLDRGECFFALKAWNGQKAGAAAVLVADSMDEPLITMDTPSETGDSEDYVEKITIPSVLVNRAFGESLKKALAQGSDEVVVKLDWRESMPHPDERVEYELWTNSNDECGTRCDEQMNFIKNFRGHAQVLEKGGYTQFTPHYITWYCPEPFRLSKQCKSQCINHGRYCAPDPEQDFGEGYDGKDVVFENLRQLCVHRVANESNIPWLWWDFVTDFHVRCSMKKKRYSKECAEEVVQSLGLPLEKVKECMGDPEADVENRVLKSEQELQVGRGSRGDVTILPTLVINEIQYRGVLERTAVLKAVCAGFKETTEPSVCLSGDIETNQCLHRNGGCWRDEQLNATACKDTFRGRVCECPTVNGVEFQGDGYSSCKAVGPGRCAINNGGCWSETKNGQTFSACSDFELSGCRCPFGFRENGNKCEDINECKDGLACQCNGCTCKNTWGGYDCKCKGNLLYIKGEDTCIAKDASKFGWLMAILVISCVVGAALAGYIFYKYRLRSYMDSEIMSIMSQYMPLDNQHNEAQPLRHDTTA >DRNTG_14347.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:312251:321331:1 gene:DRNTG_14347 transcript:DRNTG_14347.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATALRRLSSCVSKKQPLSPGSLFYMSSLPSEAIYEKDKSRVTWPKQLNASLETVDPEIANIIELEKARQWKGLELIPSENFTSISVMQAVGSIMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFRLDSATWGVNVQSLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQLEKSAALFRPKLIVAGASAYARLYDYARIRKVCHKQKAILLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFYRKGVKEINKQGHEVLYDFEDKINAAVFPGLQGGPHNHTIAGLAVALKQATTPEYKAYQEQVLSNCAKFAQRLAEKGYELVSGGTENHLVLVNLQNKGIDGSRVEKVLELVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEDDFAKVADFFDTAVKLALKIKAGTKGGSKLKDFLFTIQTDSSIQSEIAKLRYEVEEYAKQFPTIGFEKETMKYKD >DRNTG_14347.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:312251:321331:1 gene:DRNTG_14347 transcript:DRNTG_14347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATALRRLSSCVSKKQPLSPGSLFYMSSLPSEAIYEKDKSRVTWPKQLNASLETVDPEIANIIELEKARQWKGLELIPSENFTSISVMQAVGSIMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFRLDSATWGVNVQSLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQLEKSAALFRPKLIVAGASAYARLYDYARIRKVCHKQKAILLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFYRKGVKEINKQGHEVLYDFEDKINAAVFPGLQGGPHNHTIAGLAVALKQATTPEYKAYQEQVLSNCAKFAQRLAEKGYELVSGGTENHLVLVNLQNKGIDGSRVEKVLELVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEDDFAKVADFFDTAVKLALKIKAGTKGGSKLKDFLFTIQTDSSIQSEIAKLRYEVEEYAKQFPTIGFEKETMKYKD >DRNTG_14347.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:312251:321331:1 gene:DRNTG_14347 transcript:DRNTG_14347.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATALRRLSSCVSKKQPLSPGSLFYMSSLPSEAIYEKDKSRVTWPKQLNASLETVDPEIANIIELEKARQWKGLELIPSENFTSISVMQAVGSIMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFRLDSATWGVNVQSLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQLEKSAALFRPKLIVAGASAYARLYDYARIRKVCHKQKAILLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFYRKGVKEINKQGHEVLYDFEDKINAAVFPGLQGGPHNHTIAGLAVALKQATTPEYKAYQEQVLSNCAKFAQRLAEKGYELVSGGTENHLVLVNLQNKGIDGSRVEKVLELVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEDDFAKVADFFDTAVKLALKIKAGTKGGSKLKDFLFTIQTDSSIQSEIAKLRYEVEEYAKQFPTIGFEKETMKYKD >DRNTG_14347.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:312251:321331:1 gene:DRNTG_14347 transcript:DRNTG_14347.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFYRKGVKEINKQGHEVLYDFEDKINAAVFPGLQGGPHNHTIAGLAVALKQATTPEYKAYQEQVLSNCAKFAQRLAEKGYELVSGGTENHLVLVNLQNKGIDGSRVEKVLELVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEDDFAKVADFFDTAVKLALKIKAGTKGGSKLKDFLFTIQTDSSIQSEIAKLRYEVEEYAKQFPTIGFEKETMKYKD >DRNTG_14347.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:312251:321331:1 gene:DRNTG_14347 transcript:DRNTG_14347.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRLNESTGYIDYDQLEKSAALFRPKLIVAGASAYARLYDYARIRKVCHKQKAILLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFYRKGVKEINKQGHEVLYDFEDKINAAVFPGLQGGPHNHTIAGLAVALKQATTPEYKAYQEQVLSNCAKFAQRLAEKGYELVSGGTENHLVLVNLQNKGIDGSRVEKVLELVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEDDFAKVADFFDTAVKLALKIKAGTKGGSKLKDFLFTIQTDSSIQSEIAKLRYEVEEYAKQFPTIGFEKETMKYKD >DRNTG_32533.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20197381:20201391:-1 gene:DRNTG_32533 transcript:DRNTG_32533.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNNFSSMAHGKSVDEESAGKYVPLRDEDDPRLEMFNRPLPCFGCGIGWFSLLLGFLCPLTWYYAAILYFCKYYNKDPRERGGLAASAIAALLCTVAIIIAVIIIYA >DRNTG_05194.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22641673:22642891:1 gene:DRNTG_05194 transcript:DRNTG_05194.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center Psb28 protein [Source:Projected from Arabidopsis thaliana (AT4G28660) UniProtKB/TrEMBL;Acc:F4JM05] MATMMHTLALSSSIATIPKHHHNLPGLVSMPGVHYKSIHSSFNGLSLKVGHLNKVKIGGRSNASSSIVMMAKPAIQFILGTDEQTIPDVKLTKSRDGTNGVATFVFDEPSVFDSSSELGDITGFYMIDEEGTIQSTDVNAKFVNGKPATLEAKYIMRTPKEWDRFMRFMERYSNANGLQFVKN >DRNTG_05194.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22641673:22643294:1 gene:DRNTG_05194 transcript:DRNTG_05194.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center Psb28 protein [Source:Projected from Arabidopsis thaliana (AT4G28660) UniProtKB/TrEMBL;Acc:F4JM05] MATMMHTLALSSSIATIPKHHHNLPGLVSMPGVHYKSIHSSFNGLSLKVGHLNKVKIGGRSNASSSIVMMAKPAIQFILGTDEQTIPDVKLTKSRDGTNGVATFVFDEPSVFDSSSELGDITGFYMIDEEGTIQSTDVNAKFVNGKPATLEAKYIMRTPKEWDRFMRFMERYSNANGLQFVKN >DRNTG_34154.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15207009:15208854:-1 gene:DRNTG_34154 transcript:DRNTG_34154.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFENAEAHQSGLVADAVPTGCKTGDFEESESEINIVVQEVESLEVLKEIIVAEDSYPLKFGRTQAPANKPTPETETIAHEKLEGDDLVHNTGLESSILKFALKVDQSIPQLVSHNNSVPLENLEVSASELVNAELDVCSKLNNNESDIDDSQPQQNHILGSKESSLQCNGEDQNRQLGCEYVIDKPELQQNIKASADPEINGSMASEAETSEIPLDASNVDCINLLQDISVKLPHSVGRAKGLVMPQLSNGIIDVNSVPEDVSVQNGVIRNNVRPIIVYKRAERPKKKQKSEAEPMSNVHLSSNEFIRSPCEGLRPRTGRRSLDETADVGAAEKGEGYKTKKRDRPAGQSIIQKAEGTYICDIDGCLISFRTKRELDRHRDNRCIFKGCGKMFSSHALAMRHQRAHEDERPLKCPWKGCGMSFKWAWARTEHVRLHTGERPYKCKVAGCGLTFRFVSDFSRHRRKTGHSGNSKT >DRNTG_34154.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15207057:15208854:-1 gene:DRNTG_34154 transcript:DRNTG_34154.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFENAEAHQSGLVADAVPTGCKTGDFEESESEINIVVQEVESLEVLKEIIVAEDSYPLKFGRTQAPANKPTPETETIAHEKLEGDDLVHNTGLESSILKFALKVDQSIPQLVSHNNSVPLENLEVSASELVNAELDVCSKLNNNESDIDDSQPQQNHILGSKESSLQCNGEDQNRQLGCEYVIDKPELQQNIKASADPEINGSMASEAETSEIPLDASNVDCINLLQDISVKLPHSVGRAKGLVMPQLSNGIIDVNSVPEDVSVQNGVIRNNVRPIIVYKRAERPKKKQKSEAEPMSNVHLSSNEFIRSPCEGLRPRTGRRSLDETADVGAAEKGEGYKTKKRDRPAGQSIIQKAEGTYICDIDGCLISFRTKRELDRHRDNRCIFKGCGKMFSSHALAMRHQRAHEDERPLKCPWKGCGMSFKWAWARTEHVRLHTGERPYKCKVAGCGLTFRFVSDFSRHRRKTGHSGNSKT >DRNTG_34154.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15206981:15208903:-1 gene:DRNTG_34154 transcript:DRNTG_34154.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFENAEAHQSGLVADAVPTGCKTGDFEESESEINIVVQEVESLEVLKEIIVAEDSYPLKFGRTQAPANKPTPETETIAHEKLEGDDLVHNTGLESSILKFALKVDQSIPQLVSHNNSVPLENLEVSASELVNAELDVCSKLNNNESDIDDSQPQQNHILGSKESSLQCNGEDQNRQLGCEYVIDKPELQQNIKASADPEINGSMASEAETSEIPLDASNVDCINLLQDISVKLPHSVGRAKGLVMPQLSNGIIDVNSVPEDVSVQNGVIRNNVRPIIVYKRAERPKKKQKSEAEPMSNVHLSSNEFIRSPCEGLRPRTGRRSLDETADVGAAEKGEGYKTKKRDRPAGQSIIQKAEGTYICDIDGCLISFRTKRELDRHRDNRCIFKGCGKMFSSHALAMRHQRAHEDERPLKCPWKGCGMSFKWAWARTEHVRLHTGERPYKCKVAGCGLTFRFVSDFSRHRRKTGHSGNSKT >DRNTG_34154.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15206884:15208854:-1 gene:DRNTG_34154 transcript:DRNTG_34154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFENAEAHQSGLVADAVPTGCKTGDFEESESEINIVVQEVESLEVLKEIIVAEDSYPLKFGRTQAPANKPTPETETIAHEKLEGDDLVHNTGLESSILKFALKVDQSIPQLVSHNNSVPLENLEVSASELVNAELDVCSKLNNNESDIDDSQPQQNHILGSKESSLQCNGEDQNRQLGCEYVIDKPELQQNIKASADPEINGSMASEAETSEIPLDASNVDCINLLQDISVKLPHSVGRAKGLVMPQLSNGIIDVNSVPEDVSVQNGVIRNNVRPIIVYKRAERPKKKQKSEAEPMSNVHLSSNEFIRSPCEGLRPRTGRRSLDETADVGAAEKGEGYKTKKRDRPAGQSIIQKAEGTYICDIDGCLISFRTKRELDRHRDNRCIFKGCGKMFSSHALAMRHQRAHEDERPLKCPWKGCGMSFKWAWARTEHVRLHTGERPYKCKVAGCGLTFRFVSDFSRHRRKTGHSGNSKT >DRNTG_25374.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24244089:24248285:-1 gene:DRNTG_25374 transcript:DRNTG_25374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFAVSAGSGTQFEAKITPIVIMSCIMAATGGLMFGYDVGISGGVTSMDDFLRKFFPKVYRKKQEKGLESNYCKYDNQDLQLFTSSLYLAGLTATFFASYTTRRLGRRLTMLIAGAFFIAGVIFNGAAQDLGMLIVGRILLGCGVGFANQAVPLFLSEIAPTRIRGGLNILFQLNVTIGILFANLINYGTSKIHPWGWRLSLALAGIPAILLTIGSLVVVDTPNSLIERGKLEEGKAVLKRIRGTDNVEPEFNEILEASRIAQQVKHPFRNLLKRRNRPQLIIAILLQIFQQFTGINAIMFYAPVLFNTLGFKNDASLYSAVITGAVNVLSTVVSIYCVDRVGRRALLLEAGVQMFLSQVIIAVILGIKVTDHSDNLSHGYAILVVVMVCVFVSSFAWSWGPLGWLIPSETFPLEVRSAGQSVTVCVNLLFTFVIAQAFLSMLCHFKYGIFIFFAAWVVIMSIFVLFFLPETKNIPIEEMTERVWKKHWFWKRYVDDDDDDDDIDYNEPKKNYSNGATELQP >DRNTG_20683.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:7861835:7878982:-1 gene:DRNTG_20683 transcript:DRNTG_20683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSFSARMRNVRPRASRAVSRAHGTGETHSPLSKAPREGPFAAAMMPARPWIMPQLSIRAVYSPYGPR >DRNTG_24495.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18973170:18977223:-1 gene:DRNTG_24495 transcript:DRNTG_24495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTLQRLHLPVTPSLFLQFLHSFRNPTITSARRLLRFFSWCRRQENDRFPAPVFGDDAFNMVIRALAQMKDFTAVMAAVADLQKEGRRMDPQTFELVVKTLVSSGKPEEAVRLFRRVEEKRELLVRRSADDGEWSCASAVIQALSAKGHARKAVGVLWHHKDKLVDAGSNISRSILHGWCVHGNVGEARRILDQMKSGGNPPGLASYNDFLYCVCKRNLRFNPSALVPEATNLMAEMRASGVAPTTVSYNILISCLSKTRRVKEACGILQSLIQGKGSCSCSPDWVSYYLVIKLLYLTGRFGRGNKLVEHMFEIGMVPGVKFYHGLIGVLCGMDKMKYALEMFDRMKQSGLVSNGAMYDLLIEKLCRNGEFDMGRCLWDEALERGIVLQSSSDLLDPLKTEVFKPVRPLKKLDASEYKMLMLKAKGVRRARVKLKPNKCHSRS >DRNTG_23962.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30806556:30809629:-1 gene:DRNTG_23962 transcript:DRNTG_23962.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGKGGILLGPSALGRIEAFKARIFPSWSMMTLETVASLGLVYFLFLVGLELDLRSIKRSGRRALSIAMAGISLPFACGVGVAFVFRSTVPGAEAASYAPFLVFMGVALSITAFPVLARILAELKLLTTPLGETAMAAAAFNDVAAWILLALAVALSGGEHHKSPLMPVWVLLTGAAFVAVQMVLVRPLMSWVARRADGQGGGSEMWICLTLMAVLVSGFLTDLIGIHAIFGAFIFGLTVPKEGDFAGRLIERIEDFISILLLPLYFASSGLKTNVASINGGRAWGLLALVISTACAGKIIGTFAVALACKLPLNEAFALGVLMNTKGLVELIVLNIGKERKVLNEETFAIMVLMAIVTTFITTPAVMAVYKPARHYNQQDKSGKLSCASSSSSFFKDPKELRVLACVHGHRDVPSIINLVETIRGGTKKSPLKLYILHLVELTERSSSIIMAHRESRNGIPFNNPRRASRDQIGLAFQAYGSLGRVRVRPMTAVSAMPTMHEDVCNVAENKRAALVVMPFHKHRAAGEDAGMENAGPVWRAVNQRVLKEATCTVAVLVDRGFGGERQVGPAEVAHGVCVVFFGGPDDREALELAGRMAEHPGVTVTAVRFVTDGEPAVKLRPSPLKSTEKRYTFSTAVDGMDHEREKELDDAAVEEFTRRTEGAVRYEERLARNVVEAVLGLGRSKEYELIVVGKGRFPSAMVAELAGRTAEHAELGANWGCFGVVEQWSGLFGACGAAT >DRNTG_09376.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15232362:15234920:1 gene:DRNTG_09376 transcript:DRNTG_09376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIHLIPMSSLLSLFLLSFLLSSVFGQPPSPTFKPSDNFLLDCGATTPTPSLDGRQFLTDSQSTSYLSAKDKVTASTPSTTDVPSPLYLTARIFTEDATYTFPLTSPGWHWIRLHFFPVNDSSHDLTQAVFSVKTDDYVLLHSFNFDDSSQWLLKEYLINATSDRLSIHFDPLRNSIAFINAIEVISAPDILISDYASSLSPVGPTSGLSNYAYQTSYRLNVGGPLITSANDTLSRTWSSDHGYLQSRSTGQKVSVSSGIINFPDGTSPFIAPNFVYSTAVHMADARIGSPNFNVSWVLNVDPSFGYLVRLHFADIISKNLDNLYFNVYINHKMAISGLDLSTLTSKLASAYYKDFVLNSTVAMDRLLIQIGPLNDGAGTNDALLNGVEVLKMSNSVGSLDGEFGVDGSKASEDGGNTKSHAVAAVGFVMMFGAFAGLGAMVVKWHKRPQDWQRRNSFSSWLLPIHAGHSTFMTSKGGSTFGSHKSGYTFSSSLGLGRYFSLAELQDATNNFDEKAVVGVGGFGNVYVGELDDGTKVAVKRGNPQSEQGINEFQTEIQMLSKLRHRHLVSLIGYCDENSEMILVYEYMANGPFRDHLYGKDDLMPLTWKQRLEICIGAARGLHYLHTGTAQGIIHRDVKTTNILLDDDFIAKVSDFGLSKDAPGMNQTHVSTAVKGSFGYLDPEYFRCQRLTDKSDVYSFGVVLLETLCARPALNPALTREQVNLAEWAMKNKRQNTLEQIVDPLLEGTINSDSMSKFVEAAEKCLAEFGVDRPSMGDVLWNLEYALQLQEANPPTPPLSHALKPNTNKSDAGVVAGEIKQENGAAAPSEDQHSNAAMANDVFPHLGEMKGR >DRNTG_22476.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2663504:2666573:-1 gene:DRNTG_22476 transcript:DRNTG_22476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPEFPDLGKHCSVEDCKLIDFLPFTCDRCSEVFCLQHRSYSKHLCPNANLQDVTVLVCPLCAKGVHLVPNQDPNITWESHVNTDCDPSNYQKATKKRKCPVPGCKEILTFSNTIRCRDCTQEHCLKHRFGPDHKCPGPKKPDTSFPFISLLRRSQKSESVTSRSSNVPCTKSELKRFIMVGFWLSQRRIKCSGLS >DRNTG_08017.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30109431:30114878:-1 gene:DRNTG_08017 transcript:DRNTG_08017.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor APG3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G62910) UniProtKB/Swiss-Prot;Acc:Q8RX79] MYQKYSELNSWKYTPVSSSEAEKGGYKTYVMEIKGKRVYSKLKYESGVHRVQRVPQTETQGRVHTSTATVAIMPEADEVEVVIDPKEIELTTARSGGAGGQNVNKVETAVDLFHKPTGIRIFCTEERTQLQNRIRALQLLRAKLYEIKVREQQESIRNQRKSQVGTGARSEKIRTYNYKDNRVTDHRLKMNFELTSFLSGVIETAVQACMALEQKELLEELAESVSTRSS >DRNTG_08017.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30109431:30113556:-1 gene:DRNTG_08017 transcript:DRNTG_08017.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor APG3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G62910) UniProtKB/Swiss-Prot;Acc:Q8RX79] MYQKYSELNSWKYTPVSSSEAEKGGYKTYVMEIKGKRVYSKLKYESGVHRVQRVPQTETQGRVHTSTATVAIMPEADEVEVVIDPKEIELTTARSGGAGGQNVNKVETAVDLFHKPTGIRIFCTEERTQLQNRIRALQLLRAKLYEIKVREQQESIRNQRKSQVGTGARSEKIRTYNYKDNRVTDHRLKMNFELTSFLSGVIETAVQACMALEQKELLEELAESVSTRSS >DRNTG_08017.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30109431:30114878:-1 gene:DRNTG_08017 transcript:DRNTG_08017.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor APG3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G62910) UniProtKB/Swiss-Prot;Acc:Q8RX79] MESLLSSFMARTMAISPIQGALRANLFNPRERTMSRPLTRAPLPKTKQSSTVCMAEPYLISKLDSAERTWKELSVKLADPDVVSNPAEYQKIAQSMAELDEVVSNYRKFKDYEKQLEETKALAKEDGTDPEMQEMIAYEIETLSKQLKEFEEKLKVLLLPSDPLDSRNILLEVRAGTGGDEAGIWAGDLVRMYQKYSELNSWKYTPVSSSEAEKGGYKTYVMEIKGKRVYSKLKYESGVHRVQRVPQTETQGRVHTSTATVAIMPEADEVEVVIDPKEIELTTARSGGAGGQNVNKVETAVDLFHKPTGIRIFCTEERTQLQNRIRALQLLRAKLYEIKVREQQESIRNQRKSQVGTGARSEKIRTYNYKDNRVTDHRLKMNFELTSFLSGVIETAVQACMALEQKELLEELAESVSTRSS >DRNTG_14231.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:895400:897210:1 gene:DRNTG_14231 transcript:DRNTG_14231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRGTRYPFTATQWQELEHQALIFKYMASGIPIPSDLLLPIRRSFLLDSSPSTNPSLAFPHPLPMGWGSYHLGYGKKAEDPEPGRCRRTDGKKWRCSKDAYPDSKYCERHMHRGKNRSRKPVEMSLASHPSTHHSLYHSPPPPLSISTPELFYTGYSSSAKPPNTTHLHLDTGSYYTTDNKDYYRYAHGMRDVDEYSFFSEASGAARETSSWPKLSTATQQHGYADYSTCTEDLLSKEESGKQHCFVLGADFKLEKPVKEDREKVPLRHFFDEWPQKSKETSPWMDLEEHSSSKTQLSISIPAMTSRYHIED >DRNTG_14231.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:895400:897210:1 gene:DRNTG_14231 transcript:DRNTG_14231.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRGTRYPFTATQWQELEHQALIFKYMASGIPIPSDLLLPIRRSFLLDSSPSTNPSLAFPHPLPMGWGSYHLGYGKKAEDPEPGRCRRTDGKKWRCSKDAYPDSKYCERHMHRGKNRSRKPVEMSLASHPSTHHSLYHSPPPPLSISTPELFYTGYSSSAKPPNTTHLHLDTGSYYTTDNKDYYRFVNISTEISYISFHLW >DRNTG_03575.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:7283193:7287554:-1 gene:DRNTG_03575 transcript:DRNTG_03575.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 7 [Source:Projected from Arabidopsis thaliana (AT2G45880) UniProtKB/Swiss-Prot;Acc:O80831] MGLQFVQAGKLAGVTTAAVAPAPAVMATSQAMPLRGVSPTGPFRSLECRPCRLKGVYTPASTPYESRGRMVVMVDRDRTAENSPLVGSSMDTVDDKQVVDITGRLPERDFAGTPYVPVYVMLPLGVVNMKCELVDPDGLLKQLRLLKSINVDGVMVDCWWGIVEAHAPQEYNWSGYKRLFQIVRELKLKLQVVMSFHECGGNVGDDVCIPLPHWVAEIGRTNPDMFFTDKEGRRNPECLTWGIDKERILRGRTAVEVYFDYMRSFRVEFDEFFEDGVISEIEIGLGPCGELRYPSYPVKHGWRYPGIGEFQCYDQYLMKSLRRAAEARGHSFWARGT >DRNTG_03575.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:7283193:7288890:-1 gene:DRNTG_03575 transcript:DRNTG_03575.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 7 [Source:Projected from Arabidopsis thaliana (AT2G45880) UniProtKB/Swiss-Prot;Acc:O80831] MAQEMEKLGGTSEEDEDEEELEMEVKEEEDDDVPSGPEMVIGAMGDAMNAGFFDDDQQRRAMAATAMHQQQGGTGSRRCRPKEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINEVIAALAREAGWVVLPDGTTFPSRPGPGATAPSPQAGKLAGVTTAAVAPAPAVMATSQAMPLRGVSPTGPFRSLECRPCRLKGVYTPASTPYESRGRMVVMVDRDRTAENSPLVGSSMDTVDDKQVVDITGRLPERDFAGTPYVPVYVMLPLGVVNMKCELVDPDGLLKQLRLLKSINVDGVMVDCWWGIVEAHAPQEYNWSGYKRLFQIVRELKLKLQVVMSFHECGGNVGDDVCIPLPHWVAEIGRTNPDMFFTDKEGRRNPECLTWGIDKERILRGRTAVEVYFDYMRSFRVEFDEFFEDGVISEIEIGLGPCGELRYPSYPVKHGWRYPGIGEFQCYDQYLMKSLRRAAEARGHSFWARGT >DRNTG_10284.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20266142:20267834:-1 gene:DRNTG_10284 transcript:DRNTG_10284.1 gene_biotype:protein_coding transcript_biotype:protein_coding QPYRIQIQPEYKPYTHKKGKYRDTDSADNTTP >DRNTG_29829.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23221311:23222382:1 gene:DRNTG_29829 transcript:DRNTG_29829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGGGATLNNHGSIKPAHNLVTSPNPS >DRNTG_14852.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22978354:22983066:1 gene:DRNTG_14852 transcript:DRNTG_14852.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSSLAMQKSDIKQLCSSRVPSVMSSSLPVLPTLEERYPALPDSQQVSMERELRSEPVTSCHTPFISNSGSMGPLFSSAPGFSSDLHFSSVAPLDRQMITTPLVSQSSKSGMWFPAQLHSGASQTSMNNFTRESPDVSWPPVSLQGVIDNASAVTNQVQSNCIMVSDDKQDDLWSDLIADEWKELLNDPNSTDTQPKEPYSLGQASSNISAHKPQTHLAVPSHSGEHYAATSPTSGANNASAKPRMRWTPELHECFVEAVNQLGGSEKATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYRPDSSEGTLEKKASLIEGVSSLDLKTGIEITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGRYLQMMFEQQCKTGMDKLKASPSQSSDPTGLAQTAEAAPSDSVSAKPTESLEQKKTTENEPENIVILDEAHPPPKRLKGAEHDLQ >DRNTG_14852.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22978354:22983066:1 gene:DRNTG_14852 transcript:DRNTG_14852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSSLAMQKSDIKQLCSSRVPSVMSSSLPVLPTLEERYPALPDSQQVSMERELRSEPVTSCHTPFISNSGSMGPLFSSAPGFSSDLHFSSVAPLDRQMITTPLVSQSSKSGMWFPAQLHSGASQTSMNNFTRESPDVSWPPVSLQGVIDNASAVTNQVQSNCIMVSDDKQDDLWSDLIADEWKELLNDPNSTDTQPKEPYSLGQASSNISAHKPQTHLAVPSHSGEHYAATSPTSGANNASAKPRMRWTPELHECFVEAVNQLGGSEKATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYRPDSSEGTLEKKASLIEGVSSLDLKTGIEITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGRYLQMMFEQQCKTGMDKLKASPSQSSDPTGLAQTAEAAPSDSVSAKPTESLEQKKTTENEPENIVILDEAHPPPKRLKGAEHDLQ >DRNTG_14852.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22978354:22983066:1 gene:DRNTG_14852 transcript:DRNTG_14852.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSSLAMQKSDIKQLCSSRVPSVMSSSLPVLPTLEERYPALPDSQQVSMERELRSEPVTSCHTPFISNSGSMGPLFSSAPGFSSDLHFSSVAPLDRQMITTPLVSQSSKSGMWFPAQLHSGASQTSMNNFTRESPDVSWPPVSLQGVIDNASAVTNQVQSNCIMVSDDKQDDLWSDLIADEWKELLNDPNSTDTQPKEPYSLGQASSNISAHKPQTHLAVPSHSGEHYAATSPTSGANNASAKPRMRWTPELHECFVEAVNQLGGSEKATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYRPDSSEGTLEKKASLIEGVSSLDLKTGIEITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGRYLQMMFEQQCKTGMDKLKASPSQSSDPTGLAQTAEAAPSDSVSAKPTESLEQKKTTENEPENIVILDEAHPPPKRLKGAEHDLQ >DRNTG_33897.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002065.1:7534:14163:1 gene:DRNTG_33897 transcript:DRNTG_33897.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLAIIFLLFPTLLIILGMLLSLRNGGNETSKRGLNIPPGSMGWPFLGETIAFRKLHPSTTLGQYMEHRVQRYGKIYKSNLFGSPTVVSADAELNRFVLMNDGKLFEPSWPKSVEDILGKTSMLVVTGEMHRYMKSLSVNFMGIARLRNHFLGDSEKYILENLALWKEGVSFPAKEEACKITFNLMVKNILSMNPGEPETERLRMLYMSFMKGVIAIPLNLPGTAYRKAIQSRATILKAIECLMEDRIAKKKAGTDEIGEADLLGFVLEQSNLDAGQFGDLLLGLLFGGHETSSTAITLAVYFLEQCPKAVQQLREEHLNLVRKKKHRGESSALTWEDYKSMDFAQCVVSETLRLGNIIKFVHRKAVTDVQFKGYDIPKGWSVIPVFAAAHLDPTVYENPQKFDPWRWQTISSSTARIDNYMPFGQGLRNCAGLELAKMEIALFLHHLVLNFDWELAEPDHPLAYAFPEFDKGLPIKVRKLSILEE >DRNTG_01722.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23581938:23583054:-1 gene:DRNTG_01722 transcript:DRNTG_01722.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSYFAINGCKKDGANHPSLQLELKLPCSFDLDGSHGMKDLDNESAGPSSSQSRWRFGEDDDADRKRTRKKLRLSKEQACYLEESFKEHATLNPKQKLAIAKRLGLRPRQVEVWFQNRRARTKLKQTEVDCEYLRQYCEFLTEENQRLQKEIQDLRSLKSPQQQPLQMRAQAATLTLCPSCEHAASIEKDGFVSQVKFRDNYTRLTC >DRNTG_01722.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23581938:23582997:-1 gene:DRNTG_01722 transcript:DRNTG_01722.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSYFAINGCKKDGANHPSLQLELKLPCSFDLDGSHGMKDLDNESAGPSSSQSRWRFGEDDDADRKRTRKKLRLSKEQACYLEESFKEHATLNPKQKLAIAKRLGLRPRQVEVWFQNRRARTKLKQTEVDCEYLRQYCEFLTEENQRLQKEIQDLRSLKSPQQQPLQMRAQAATLTLCPSCEHAASIEKDGFVSQVKFRDNYTRLTC >DRNTG_01722.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23581856:23582997:-1 gene:DRNTG_01722 transcript:DRNTG_01722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSYFAINGCKKDGANHPSLQLELKLPCSFDLDGSHGMKDLDNESAGPSSSQSRWRFGEDDDADRKRTRKKLRLSKEQACYLEESFKEHATLNPKQKLAIAKRLGLRPRQVEVWFQNRRARTKLKQTEVDCEYLRQYCEFLTEENQRLQKEIQDLRSLKSPQQQPLQMRAQAATLTLCPSCEHAASIEKDGFVSQVKFRDNYTRLTC >DRNTG_20771.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21804621:21808291:1 gene:DRNTG_20771 transcript:DRNTG_20771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVLPRFIVINFNDNDEKDDKRHYMGYINEKGKEYNGYLAFTETQAVSAYAKFEVETADRNGLVHIRSSQNNKYWVRTKENDNGGNLAWITTTAEKPENDQCKESCTLFKFIIEDATTNMYRIVHIQSGCYLLAKWRASNFPCFVGANDKTCQEYENDIFKIIDWDSLVILPKYMAFKGDNGQYLCLRQIEGYPYLQFSTDDIGDSTAAFENFTTENGTIRIKSTSNNEFWRRSPNWIWADSNENSNNNKDTLFRPIKVDYQTIGLLNLGNNHFCKRLTTEGKTSCLNAAVPTVTKEAKIKVEEPVLTRNIYDVKYDMENSRVYDETVLVVAKNSATNNTQQTSSLDVKLSYTNTRTSNWKTMLSLKLGMKAIMDFSIPLIFRWKD >DRNTG_26553.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22214832:22215751:1 gene:DRNTG_26553 transcript:DRNTG_26553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINGWEGVFFIGINAAISVRVSNELGSGHPRAAKYSVIIVVLQSLLIGILFMVIILAARDYFAVIFTSDKELQRAVAHIAYLLAVTMVLNSIQPVISGVAIGGGWQALVAYINLGCYYIFGLPLGFVLGYWVKWGVEGIWAGMLCGTALQTLILLYIVWRTNWEDEAAQAAKRVRLWGGQEMQVSQDSETVRLWKGNEETSVL >DRNTG_02557.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5165195:5165693:-1 gene:DRNTG_02557 transcript:DRNTG_02557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWCHVAVEAERSQLSMHNTRACSGHQGKGSKTSKEKDTIVLNARCLCLPSLHTHVQPVFALEKLQKPKPVKISRISRGALCLCLSRTIPGQVFSLAISNKRKMTTKEDTNNKDPTQQLGLALMKCLFNMTSLTYMLCTQGGS >DRNTG_16700.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2504748:2506077:-1 gene:DRNTG_16700 transcript:DRNTG_16700.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDSP32 [Source:Projected from Arabidopsis thaliana (AT1G76080) UniProtKB/TrEMBL;Acc:A0A178WHK1] MATITNFLSKPPYISRLKLDSSSSPSSFLHSSPLTIITRNTKKYNKAFLSRNSISHTSKSSISASGAATRKVESDERVKKVHTIEEFNDALRSAKNRLVVVEYAESQSTDSQRIYPFLVELSRTCGDVDFLLVMGDESEDTKELCRREGIEKVPHFSFYKGMEKVHEEEGIGPDQLVGDVLYYGDNHSAVVQLHSRQDVEELINVHKEDGKLLILDVGLKHCGPCVKVYPTVLKLSRSMGDTVVFARMNGDENESCMGYLKDMDVVQVPTFLFIRDGVICGRYVGSGKGELVGEILRYQGVRVT >DRNTG_16700.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2504655:2506077:-1 gene:DRNTG_16700 transcript:DRNTG_16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDSP32 [Source:Projected from Arabidopsis thaliana (AT1G76080) UniProtKB/TrEMBL;Acc:A0A178WHK1] MATITNFLSKPPYISRLKLDSSSSPSSFLHSSPLTIITRNTKKYNKAFLSRNSISHTSKSSISASGAATRKVESDERVKKVHTIEEFNDALRSAKNRLVVVEYAESQSTDSQRIYPFLVELSRTCGDVDFLLVMGDESEDTKELCRREGIEKVPHFSFYKGMEKVHEEEGIGPDQLVGDVLYYGDNHSAVVQLHSRQDVEELINVHKEDGKLLILDVGLKHCGPCVKVYPTVLKLSRSMGDTVVFARMNGDENESCMGYLKDMDVVQVPTFLFIRDGVICGRYVGSGKGELVGEILRYQGVRVT >DRNTG_16700.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2504748:2505997:-1 gene:DRNTG_16700 transcript:DRNTG_16700.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDSP32 [Source:Projected from Arabidopsis thaliana (AT1G76080) UniProtKB/TrEMBL;Acc:A0A178WHK1] MATITNFLSKPPYISRLKLDSSSSPSSFLHSSPLTIITRNTKKYNKAFLSRNSISHTSKSSISASGAATRKVESDERVKKVHTIEEFNDALRSAKNRLVVVEYAESQSTDSQRIYPFLVELSRTCGDVDFLLVMGDESEDTKELCRREGIEKVPHFSFYKGMEKVHEEEGIGPDQLVGDVLYYGDNHSAVVQLHSRQDVEELINVHKEDGKLLILDVGLKHCGPCVKVYPTVLKLSRSMGDTVVFARMNGDENESCMGYLKDMDVVQVPTFLFIRDGVICGRYVGSGKGELVGEILRYQGVRVT >DRNTG_16700.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2504655:2505997:-1 gene:DRNTG_16700 transcript:DRNTG_16700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDSP32 [Source:Projected from Arabidopsis thaliana (AT1G76080) UniProtKB/TrEMBL;Acc:A0A178WHK1] MATITNFLSKPPYISRLKLDSSSSPSSFLHSSPLTIITRNTKKYNKAFLSRNSISHTSKSSISASGAATRKVESDERVKKVHTIEEFNDALRSAKNRLVVVEYAESQSTDSQRIYPFLVELSRTCGDVDFLLVMGDESEDTKELCRREGIEKVPHFSFYKGMEKVHEEEGIGPDQLVGDVLYYGDNHSAVVQLHSRQDVEELINVHKEDGKLLILDVGLKHCGPCVKVYPTVLKLSRSMGDTVVFARMNGDENESCMGYLKDMDVVQVPTFLFIRDGVICGRYVGSGKGELVGEILRYQGVRVT >DRNTG_16700.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2504748:2505952:-1 gene:DRNTG_16700 transcript:DRNTG_16700.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDSP32 [Source:Projected from Arabidopsis thaliana (AT1G76080) UniProtKB/TrEMBL;Acc:A0A178WHK1] MATITNFLSKPPYISRLKLDSSSSPSSFLHSSPLTIITRNTKKYNKAFLSRNSISHTSKSSISASGAATRKVESDERVKKVHTIEEFNDALRSAKNRLVVVEYAESQSTDSQRIYPFLVELSRTCGDVDFLLVMGDESEDTKELCRREGIEKVPHFSFYKGMEKVHEEEGIGPDQLVGDVLYYGDNHSAVVQLHSRQDVEELINVHKEDGKLLILDVGLKHCGPCVKVYPTVLKLSRSMGDTVVFARMNGDENESCMGYLKDMDVVQVPTFLFIRDGVICGRYVGSGKGELVGEILRYQGVRVT >DRNTG_16700.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2504655:2505952:-1 gene:DRNTG_16700 transcript:DRNTG_16700.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDSP32 [Source:Projected from Arabidopsis thaliana (AT1G76080) UniProtKB/TrEMBL;Acc:A0A178WHK1] MATITNFLSKPPYISRLKLDSSSSPSSFLHSSPLTIITRNTKKYNKAFLSRNSISHTSKSSISASGAATRKVESDERVKKVHTIEEFNDALRSAKNRLVVVEYAESQSTDSQRIYPFLVELSRTCGDVDFLLVMGDESEDTKELCRREGIEKVPHFSFYKGMEKVHEEEGIGPDQLVGDVLYYGDNHSAVVQLHSRQDVEELINVHKEDGKLLILDVGLKHCGPCVKVYPTVLKLSRSMGDTVVFARMNGDENESCMGYLKDMDVVQVPTFLFIRDGVICGRYVGSGKGELVGEILRYQGVRVT >DRNTG_19026.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22563865:22564886:1 gene:DRNTG_19026 transcript:DRNTG_19026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDFRANMRTLISSFAIGLSIMFPLCFLLCCLLYWKKRMANRDFEREKEISCHIFRKKTSSLISSPPLNNQEMCIDSYGEQDSMEAELKRLHNLTGPPRYLFTIIEETKEDMESDDGKSKCGRRSRSEPSLLTPLSSPPFFNPLFSSITDTSSPPPKFQFLKDAEEKLYRKTLMEKRMKAQSPEGEEDGSFITVIIGKNRDMNHSSPPSHGKLISFLNSHP >DRNTG_27488.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26526523:26530510:-1 gene:DRNTG_27488 transcript:DRNTG_27488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLVSAKKWLPESEMCFSGAIPNSSVSCARILTRLTPQASLESYCPILPGLPDDVAKFCLALVPRSYFPVMGTVCKRWMSFIQSSEFVAVRKQAGKLEEWLYILTADANGKGSHWEVLANFGKKRHLVPPMPGPVKTGFGVVVLDGKLLVLAGYAVDNGTDCVSSDVYQYDSRLNRWSMLSKMNVARYDFACAEVNGVIYVVGGYGSSGNSLSSVEVYDPDKNEWTLIESLRRPRWGCFACGFAGKLYVMGGRSSFTIGNTKFVDVYNPEMHSWCQIKNGCVMVTAHAVLRKKLFCMEWKNQRKLAVFNPENNLWQNVPVPLTGSSAIGFQFGILDGKLLLFSLQEDPGYQTLLYDPEAPAGSEWQTSSLKPSGLCLCSVTIEA >DRNTG_27616.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3640632:3643630:1 gene:DRNTG_27616 transcript:DRNTG_27616.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAASLVLAFRLPVTIFSSLRSLRSSSSLPLASPRLSFRSFCSSASSSSALTSSSSLSTGLLHDSNEDEEVPGSQILETEPQPEPEPVLVCASSPPPKLSVKEKKELASYAHSLGKKLKSQQLKVHGSCPGELSEVISQLENATGSVAVGQIGRTVILYRPSISKMKKREAEITRSNSKPREFDTVYSRAQKRGQFRRASLQHLSEAA >DRNTG_03269.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10653697:10655905:1 gene:DRNTG_03269 transcript:DRNTG_03269.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFLHPPSTATPMYGKHRDCQMKGKKRKQNQIFMKNITSIQRRKQHIMFSRNEKEFHQILDKTKCNIFKFQ >DRNTG_03269.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10642445:10655905:1 gene:DRNTG_03269 transcript:DRNTG_03269.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFLHPPSTATPMYGKHRDCQMKGKKRKQNQIFMKNITSIQRRKQHIMFSRNEKEFHQILDKTKCNIFKFQ >DRNTG_03269.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10653697:10657445:1 gene:DRNTG_03269 transcript:DRNTG_03269.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFLHPPSTATPQCISSIFQKPKDSSRE >DRNTG_01347.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:223539:227707:-1 gene:DRNTG_01347 transcript:DRNTG_01347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSVFDAGALKAELESASISPSFIPTIWKYVIQNPECDLDDIPSLPSKAYPILRSKFRAMTTTVTSTSDSKDRVTTKLLIRLQNGSSVEAVIMRYDTRLGKYDGKPRLGGPRSTLCVSSQVGCKMGCKFCATGTMGFKSNLSSGEIVEQLVHANRISHIRNVVFMGMGEPLNNYTALVEAIQAMLGFPFQLSAKRITVSTVGIIHAINKLHDDLPNVNLAVSLHAPDQDVRCQIMPAARAFPLARLIDAMRAYQNKSGQKIFIEYIMLDGVNDQDQHAHQLGKLLEKLKSVVNLIPFNPIGTTSGFKTSSEQNVKSFQRILRGVYNVRTTVRQEMGQDISGACGQLVVNLSEQRSAGGASLLTDIEDLHI >DRNTG_20962.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001181.1:7551:13463:-1 gene:DRNTG_20962 transcript:DRNTG_20962.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKLSSSLVSSLPCSRVSQQASMCPNMRKPWLMKRMVYGVGTLFLRPIKTLRGDCKSFGVSQFLCNITSMASSLHVQLVPCLQDNYAYLLHDTDTGTVGVVDPSEALPVINALNQKNQNLTYILNTHHHYDHTGGNLELKARYGAKVIGFSKDKDRIPGIDIALNDGESWMFASHEVLVMETPGHTKGHVSYYFPGCRAVFTGDTLFSLSCGKLFEGSSEQMHSSLQKILSLPDDTNVYCGHEYTLSNAKFALSIEPNNEALQAYAAEVAQLRSKQLPTIPTTLKREKLCNPFLRTSSPEIRQILNIPATASDAKALGIIRQAKDRF >DRNTG_22691.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:13389704:13396074:-1 gene:DRNTG_22691 transcript:DRNTG_22691.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase 2 [Source:Projected from Arabidopsis thaliana (AT5G14950) UniProtKB/Swiss-Prot;Acc:Q9LFR0] MAFFSGNRRGGGGGFASSLLPSTSKPRPLRKPSSARHRNPLRDILCPSSTFFALGLTISLLFFLAIVLRFGVPNPLSSSSHPRPRLVRRPAFRRPIPSGTASDRSGEIRTAKVDITTKDLYDRIEFMDVDGGPWKQGWRVTYRGDEWNKEKLKVFVVPHSHNDPGWKLTVNEYYDRQSRHILNTIVESLSKDTRRKFIWEEMSYLEKWWRDATSLQKESFTKLVQNGQLEIVGGGWVMNDEANSHYFAIIEQMMEGNMWLNDTIGVIPKNSWSIDPFGYSPTMAYLLRRMGFQNMLIQRTHYELKKELALRKDLEFIWRQSWDLDETTDIFVHMMPFYSYDIPHTCGPEPAVCCQFDFARMRGFSYEACPWRIDPVETNPSNVQERALKLLDQYKKKSTLYRTNTLLVPLGDDFRYVSIDEAEVQFRNYQMLFDHINSSPNLNAEVQFGTLEDYFQTLRNEAERINFSHPGELGSVELGGFPSLSGDFFTYADRQHDYWSGYYVSRPFFKAVDRVLEQTLRATEIMAALVLGYCQRSSCAKLPISFAHKLTAARRNLALFQHHDGVTGTAKDYVVEDYGNRMHISVQDLQIFMCKAVEILLGRFDNKSDPTLYSEFEAEQTRSRYDAQQMHKVIEVGEGHAHPVTFFNPLEQTREEIVMVIITKPDVCVLHSNGTHIRSQISPEWRHDNKGKFFTGNHRLYWKVAIPALGLETYYVANGNMGCEKAIPVELKIQSGADTLPCPAPYVCSKLEGSNAEILSLRYTLKFDVKHGLLQKVIHKDGQQTVIGEELAMYSSSGSGAYLFKPNGEAQSIVKAGGLFVISEGPLVQEAYSLPKVEWNKVPVSHSTRVYSGENTAQEFVIEKEYHVELIGYDFMDKELIVRYKTDVDNKRIFYSDLNGFQMSRRETYDKIPLQGNYYPMPSLAFFQDSLGHRFSVHSKQSLGVANLKSGWLEIMLDRRLSMDDGRGLGQGVMDNRPTNVLFHILMESNVSAASSGQAMLPLQPSLLSHQIGAHLNYPLHAFVSKKLLARSSPLLPRSFAPLSSSLPCDLHVVNFKVPRPLQFLHIAPTDSRFTLFLQRRGWDSSYCRKGGLQCSTLGDDPVNLFYMFKDLSISNVEATSLNLLHDDPEMLGYMEQVGDVAQEGNILIAPMNIQAYRLEVQTQMYGHNQE >DRNTG_31025.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30492974:30493401:-1 gene:DRNTG_31025 transcript:DRNTG_31025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKRLELASGLVGRERWAMDGVSGMMETVEVWKGLEEGAGENSFKAEEQWRQRGFIPPHQREEDETKPKDRYLTVA >DRNTG_00294.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2033413:2034820:1 gene:DRNTG_00294 transcript:DRNTG_00294.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPKCGYVESHRRPVTKEEIARPVRMAKSFKSKYPFVTIVMQKAYVYRGFMLHIPNAFARKHLVKVPMEITLWDPNGIRWEVLCKCHSKRTSFTAGWYRFSLVNNLERNDVCIFELIKSNEMRVHIFRVVEEITPLIK >DRNTG_00294.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2033165:2034820:1 gene:DRNTG_00294 transcript:DRNTG_00294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSKTPALPLRFSARERKKSVTCLCCNSYTDELVSETTSKHPGVSSNDQPKIPDSAIPDKLINEIKEENKTPEPPTKRTKSRSGARHKLQQSQSLNYNINDMHIPKCGYVESHRRPVTKEEIARPVRMAKSFKSKYPFVTIVMQKAYVYRGFMLHIPNAFARKHLVKVPMEITLWDPNGIRWEVLCKCHSKRTSFTAGWYRFSLVNNLERNDVCIFELIKSNEMRVHIFRVVEEITPLIK >DRNTG_23526.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22266308:22268054:1 gene:DRNTG_23526 transcript:DRNTG_23526.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 6 subunit A [Source:Projected from Arabidopsis thaliana (AT5G02820) UniProtKB/TrEMBL;Acc:A0A178UQD3] MSEKKRRRPEPGTSKRSRSSSSSHAHELKNQLKPDTEILSSLHRLRAATQSSSDQTLTLSDLNLSSPCREVSDLDSSSVRLAIERAALSAARSILSGNGFSFSIPSRSSSNQLYLPELDRIVLKDKTSSRPFASLSTVRKATITARVLSLVHAILLNGIHVTKRDLFYTDVKLFGDQTQSDAVLDDVSCMLGCTRSSLHVVASEKGVVVGRLVFSDDGDRIDCTRMGIGGKAIPPNIDRVSDLESDALFILLVEKDAAFMRLAEDRFYNRFPCIIVTAKGQPDVATRLFLKKMKTELKLPVLALVDSDPYGLKILSVYMCGSKNMSYDSSNLTTPDIKWLGIRPSDLDKYRVPEQCRLPMTDQDVKVGKDLLEEDFVKRNEGWVRELEMMVRTRQKAEIQALSSFGFQYLTEVYLPLKIQQQDWL >DRNTG_23526.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22266308:22269035:1 gene:DRNTG_23526 transcript:DRNTG_23526.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 6 subunit A [Source:Projected from Arabidopsis thaliana (AT5G02820) UniProtKB/TrEMBL;Acc:A0A178UQD3] MSEKKRRRPEPGTSKRSRSSSSSHAHELKNQLKPDTEILSSLHRLRAATQSSSDQTLTLSDLNLSSPCREVSDLDSSSVRLAIERAALSAARSILSGNGFSFSIPSRSSSNQLYLPELDRIVLKDKTSSRPFASLSTVRKATITARVLSLVHAILLNGIHVTKRDLFYTDVKLFGDQTQSDAVLDDVSCMLGCTRSSLHVVASEKGVVVGRLVFSDDGDRIDCTRMGIGGKAIPPNIDRVSDLESDALFILLVEKDAAFMRLAEDRFYNRFPCIIVTAKGQPDVATRLFLKKMKTELKLPVLALVDSDPYGLKILSVYMCGSKNMSYDSSNLTTPDIKWLGIRPSDLDKYRVPEQCRLPMTDQDVKVGKDLLEEDFVKRNEGWVRELEMMVRTRQKAEIQALSSFGFQYLTEVYLPLKIQQQDWL >DRNTG_01628.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1042713:1044634:1 gene:DRNTG_01628 transcript:DRNTG_01628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMNTSKKPAAKAKIANPFDSDSENEFDPKKSNDKFKNNGRRDSEGFDNMSVQELQNYAANKAEETTEKTHDCLRIAEMIREDASNTLVTLHKQGEQITRTHQSAVQIDQDLSRGEMLLGSLGGFFSKPWKAKKTRQIKGPSITADDQLKTKVSHIEQRDKLGLTSKSNPRQYAEATSAMQKVEIEKAKQDDALSDLSNILGDLKGMAVDMGSELDRQNIALDHLHDDVDELNQRVKGANQRARRLIGK >DRNTG_01628.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1043483:1044308:1 gene:DRNTG_01628 transcript:DRNTG_01628.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMNTSKKPAAKAKIANPFDSDSENEFDPKKSNDKFKNNGRRDSEGFDNMSVQELQNYAANKAEETTEKTHDCLRIAEMIREDASNTLVTLHKQGEQITRTHQSAVQIDQDLSRGEMLLGSLGGFFSKPWKAKKTRQIKGPSITADDQLKTKVSHIEQRDKLGLTSKSNPRQYAEATSAMQKVEIEKAKQDDALSDLSNILGDLKGMAVDMGSELDR >DRNTG_09426.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21158624:21164983:-1 gene:DRNTG_09426 transcript:DRNTG_09426.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWCLLSQDILSDVAFLIFFVGAGEVLLDGENIKSLKLEWLRSQIGLVTQEPALLSLSIRDNIAYGRSATSDQIEEAAKTAHAHSFISSLEKGYETQVGRAGLALTEEQKIKLSVARSVLANPSILLLDEVTGGLDFEAERAVQEALDMLMLGRSTIIIARRLSLIKNADYIAVMEEGKLVEMGTHDELLTLDGLYAELLRCEEAAKLPRRIPIRNSRESTAFQVEKDSSTSNSFQESSSPKMAKSPSLQRAHGAHAFRHMDTGYNSQESPKVHSPPSEHMVENGLPLVSVERAPSIKRQDSFEMRLPELPKIDIHTINRQSSNASDPESPISPLLTSDPTNERSHSKTFSRPLSHFNDIASRQREAKDLHQRPPSFWRLAELSFAEWLYALLGSTGAAIFGSFNPLLAYTIALIVATYYQHNFRDIRHDVNRWCLIIAGMGIITVVANFLQHFYFGIMGEKMTERIRRMMFSAMLRNEVGWFDEEENSADTLSMRLANDATFVRAAFSNRLSIFIQDTAAVLVALIIGMLLEWRVALIALATLPILALSAVAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYRLQLGRIFKQSFLQGMGIGFAFGISQFLLFACNALLLWYTAHSVKNGHVKLVTALKEYMVFSFATFALVEPFGLAPYILKRRVPSLQYLKL >DRNTG_09426.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21158624:21165651:-1 gene:DRNTG_09426 transcript:DRNTG_09426.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSRGLFGWSPPHMQPLTPVSEVSEPPESPSPYMDIGGEAVPVEEDAPVDELEEIEPPPAAVPFSRLFACADGLDWVLMAIGSLAAAAHGAALVVYLHYFGRIINLLARRDHDFAFMTDDIIHEFKKHALYIIYIASGVFAAGWIEVSCWIITGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFGGLAVGLINCWEIALLTLATGPFIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAISFIRTLYAFTNETLAKYSYATSLQATLRYGIMISLVQGLGLGFTYGLAICSCALQLWVGRYLVTHNKANGGKIITALFAVILSGLGLNQAATNFYSFEQGRIAAYRLFEMISRSTSTVNQEGNTLASVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKSLKLEWLRSQIGLVTQEPALLSLSIRDNIAYGRSATSDQIEEAAKTAHAHSFISSLEKGYETQVGRAGLALTEEQKIKLSVARSVLANPSILLLDEVTGGLDFEAERAVQEALDMLMLGRSTIIIARRLSLIKNADYIAVMEEGKLVEMGTHDELLTLDGLYAELLRCEEAAKLPRRIPIRNSRESTAFQVEKDSSTSNSFQESSSPKMAKSPSLQRAHGAHAFRHMDTGYNSQESPKVHSPPSEHMVENGLPLVSVERAPSIKRQDSFEMRLPELPKIDIHTINRQSSNASDPESPISPLLTSDPTNERSHSKTFSRPLSHFNDIASRQREAKDLHQRPPSFWRLAELSFAEWLYALLGSTGAAIFGSFNPLLAYTIALIVATYYQHNFRDIRHDVNRWCLIIAGMGIITVVANFLQHFYFGIMGEKMTERIRRMMFSAMLRNEVGWFDEEENSADTLSMRLANDATFVRAAFSNRLSIFIQDTAAVLVALIIGMLLEWRVALIALATLPILALSAVAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYRLQLGRIFKQSFLQGMGIGFAFGISQFLLFACNALLLWYTAHSVKNGHVKLVTALKEYMVFSFATFALVEPFGLAPYILKRRVPSLQYLKL >DRNTG_09426.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21158624:21162594:-1 gene:DRNTG_09426 transcript:DRNTG_09426.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLGRSTIIIARRLSLIKNADYIAVMEEGKLVEMGTHDELLTLDGLYAELLRCEEAAKLPRRIPIRNSRESTAFQVEKDSSTSNSFQESSSPKMAKSPSLQRAHGAHAFRHMDTGYNSQESPKVHSPPSEHMVENGLPLVSVERAPSIKRQDSFEMRLPELPKIDIHTINRQSSNASDPESPISPLLTSDPTNERSHSKTFSRPLSHFNDIASRQREAKDLHQRPPSFWRLAELSFAEWLYALLGSTGAAIFGSFNPLLAYTIALIVATYYQHNFRDIRHDVNRWCLIIAGMGIITVVANFLQHFYFGIMGEKMTERIRRMMFSAMLRNEVGWFDEEENSADTLSMRLANDATFVRAAFSNRLSIFIQDTAAVLVALIIGMLLEWRVALIALATLPILALSAVAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYRLQLGRIFKQSFLQGMGIGFAFGISQFLLFACNALLLWYTAHSVKNGHVKLVTALKEYMVFSFATFALVEPFGLAPYILKRRVPSLQYLKL >DRNTG_12229.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:57272:57739:-1 gene:DRNTG_12229 transcript:DRNTG_12229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIADLGCSSGPNALLVVSDAIDAVELVCKELNQKPLPEIHVMLNDLPRNDFNRLIGSFEDFKKNHTCFISVAPGSFYGRLFPSQTLHFVHSS >DRNTG_12229.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:57272:57641:-1 gene:DRNTG_12229 transcript:DRNTG_12229.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIADLGCSSGPNALLVVSDAIDAVELVCKELNQKPLPEIHVMLNDLPRNDFNRLIGSFEDFKKNHTCFISVAPGSFYGRLFPSQTLHFVHSS >DRNTG_02720.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19524716:19525365:-1 gene:DRNTG_02720 transcript:DRNTG_02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCTSSGGGSPPPQSGNPPDKQPISPF >DRNTG_32913.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1186174:1186909:1 gene:DRNTG_32913 transcript:DRNTG_32913.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRQVIERYYSRMTLDFHTNKKVLEEVAIIPSKRLRNKIAGFTTHLMRRIQRGPVRGISLKLQEEERERRMDFVPDESAIKVDQILVDKETIEMLQSLGMGDLPGVEKQTEAPSIPVFSGRPGFAGRRP >DRNTG_26063.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:14215219:14218045:-1 gene:DRNTG_26063 transcript:DRNTG_26063.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKALSRVKPYLAMILLQVGFAGMYIISLASLKHGMNHYVLVVYRNIIAAAVIAPFALWFERTTRPKMTFRVLLKILAMGLLEPVLDQNLYYMGANATSAGFASAMFNILPAITFILAIILRIEKVKMKSATSQAKLVGTVITVVGALVMILYMGPTVKLPWTKSSVHRSTSANQNGGSWLIGTFLLLGSCVCWSGFFIVQSNTLETYTAELSLTTLICLFGAMESSVVALVMARGTKPWSIGFDTRLFTAAYSGIVCSGIAYYVQGIVMKERGPVFVTAFNPMCMIITAILGTIILAEEITLGRVIGAIIIVIGLYALLWGKSKDHASKANEINDEKGGLVQLPITTSSNVGKL >DRNTG_22561.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001244.1:184098:186038:-1 gene:DRNTG_22561 transcript:DRNTG_22561.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLVNFDNINRRKLVSKKTNDGKTNGLKEDTVVTILAVVAGPCKLPIINNLTDLKEALNKLSSIPISQTLAVEVIWTPQSENDTLSESELLENYPLLKSLQEETQAADTR >DRNTG_28657.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6168499:6168840:-1 gene:DRNTG_28657 transcript:DRNTG_28657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPEINSDRRRKQATHIVSTTLALNKSTKLNHRTDAINIPIKWQGSLHEYNIDDDELISGNSEVIPPHILAERRRKTEKMAFSLCSGQGRTLKGRDLKHVRNAVLRMTGYLEG >DRNTG_00216.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15675403:15687783:1 gene:DRNTG_00216 transcript:DRNTG_00216.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC110, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G06950) UniProtKB/Swiss-Prot;Acc:Q8LPR9] MPAAMDLSLLLPSPSTPSRNLLFSPFPFNPLRPKALSFRRRTLRRCRVSASSVDPSAFGGIKELSGPQVIVDALPPPARVLSSAVLVAAALAAGFGLGSRFGGSRAAALGGAAVLGAASGAAVFALNSIAPEVASVSLHNLVAGSDDPMELRKEDVDGVAKKYGVNKQDEAFKAELCDLYSRFVSYVLPPGGENLKGNEVEMIIKFKETLGIDDPDAASVHMEIGRRIFRERLETGDREADVEQRRAFQKLIYVSSLVFGEASKFLLPWKRVFKVTDAQVDIAIRDNAQRLYALKLKSVGRDIEEKQLIDLRESQLLYKLSDELAAEMFKDHVRKLVEENISAALDILKSRTKAVKGTVQVIDELEMVLAFNNLLVSLSKHSEVGQFAPGLGPISLLGGEFDGDRKIDDLKLLYRAYATESFSSGFLDEKKLVGLNQLKIIFGLGNREAEDIMVDITSKVYRRRLSQAFSGGELEAAPSKAAFLQNLCDALHFDPHKASEIHEEIYRQKLQQCVAKGELSEEDVSSLLRLRVLLCIRQQVVDAAHADICGQLFEKVVKDAIASGVEGYDAEIRAAVRKASQGLRLTTESAIAIASKAVRKVFLTYVQRARSAGNRIESAKELKKMIVFNTLVVTPLISDIKGESVTSAEPTKDESKQTEEEDEWESLQTLRKTRPSKELEAKLEKPVQTEITLTDDLPERDRTDLYRTYLLFCLSGEVTVVPFGAQITTKKDNSEYLLLNQLGTILGLTGKQIVEVHRNLAEQAFMKQAEVILADGQLTKARIEQLNEAQKQVGLPGEYAQKVIKNITTTKMAAAIETAVTQGRIGIQQVRELKEAKVDLDSMISERLRENLFKKTVEEIFSSGTGVFDAEEVYVKIPADLSIDAEKAKDVVLELAKNRLSNSLVQAVALLRQRNREGVVASLNDMLACDMAVPSEPLTWSSPEELADLYCIYLKSIPKPENLSRLQYILGISDATAAVLRDTAERGALPIENEEEEFVF >DRNTG_22177.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:909811:912005:1 gene:DRNTG_22177 transcript:DRNTG_22177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLACFGGPKDRKRRRPVVPASPAHRIHESYQPLKPSLSPKKLAPEVALGSPLNLRENQEPGSFSSNRKKVTFDLNVKTYEDVSVPEDSKYSSDEDVENEEKGEEKSSPVLCAFPANHRYQNCVNSDDEEELEECEGAEDEEFEDSDLDAEDEDIGVVGGNEDESYDSYFSLPMDKEREELQEISSPKSINETSPDKQLKILDSKNVRDRSQYIHSVLNPVENISQWKEVKVRVTPAKLQKENISFEPEPVMKVEKPSQKNSLMSPSPISSAKQEVSVDASLSTWLSSPESPIPERPQMSKSHQSNSSLSREDQPILGALTVDDLKQSVTSSPRRSPSKSPDEMPILGTVGSYWNDSISSLSSSAAKGIPNTTSKYREDRRVNWHSTPFEKRLDKALNNRGALETSLS >DRNTG_27747.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4263860:4269820:1 gene:DRNTG_27747 transcript:DRNTG_27747.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPKTSPCSPFQLVSVVRVVWNMFGP >DRNTG_27747.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4263860:4269820:1 gene:DRNTG_27747 transcript:DRNTG_27747.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPKTSPCSPFQLVSVVRVVWNMFGP >DRNTG_25670.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21589773:21592416:-1 gene:DRNTG_25670 transcript:DRNTG_25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRP4 [Source:Projected from Arabidopsis thaliana (AT3G23830) UniProtKB/TrEMBL;Acc:A0A178VD35] MSFQSKLGGLLFRHSSLTIGISKSPIPGMLNFTRCISSTKLFIGGLSYGTDDQSLREAFNPFGNVVEARVITDRDTGRSRGFGFVNFDSEESAKAALSSMDGQVVEGRNIRVSHANERPSGGSRGGFGGGYGGSGSYSG >DRNTG_24549.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001308.1:69624:70043:1 gene:DRNTG_24549 transcript:DRNTG_24549.3 gene_biotype:protein_coding transcript_biotype:protein_coding ARCLCTVLNSGAAQLGIPVNQTQALTLPGVCSVTVPALSQCNAAAGTTQGAQVPTSSAIPLAPPASVGTASPSTPTNPSVTDIPNGKTSQGVSSAALLSAKSCNSMLSLVIIF >DRNTG_24549.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001308.1:69624:70043:1 gene:DRNTG_24549 transcript:DRNTG_24549.2 gene_biotype:protein_coding transcript_biotype:protein_coding ARCLCTVLNSGAAQLGIPVNQTQALTLPGVCSVTVPALSQCNAAAAGTTQGAQVPTSSAIPLAPPASVGTASPSTPTNPSVTDIPNGKTSQGVSSAALLSAKSCNSMLSLVIIF >DRNTG_24549.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001308.1:69624:70043:1 gene:DRNTG_24549 transcript:DRNTG_24549.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARCLCTVLNSGAAQLGIPVNQTQALTLPGVCSVTVPALSQCNGMVLNSKRNITRTYLSLIVLKRLCCSSRCRNNTRSSGTNFLCNSLGTTSISGNCFSINTNKPFSH >DRNTG_16389.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16022847:16024657:1 gene:DRNTG_16389 transcript:DRNTG_16389.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMAVANGGVRSTLNPNAPLFIPASFRQVEDFSPEWWFLVKTSLWFRDHWINEHQDQETFDGGNEDDDDTEFSSLEEELEEVMLEMEAAEQATSLASLKKDKSDADITAMVKSLSLEVPGNGRVSPRTSFEPAKHKEKPLKCLSPKCSPRRIIQQPR >DRNTG_16389.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16022847:16024657:1 gene:DRNTG_16389 transcript:DRNTG_16389.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMAVANGGVRSTLNPNAPLFIPASFRQVEDFSPEWWFLVKTSLWFRDHWINEHQDQETFDGGNEDDDDTEFSSLEEELEEVMLEMEAAEQATSLASLKKDKSDADITAMVKSLSLEVPGNGRVSPRTSFEPAKHKEKPLKCLSPKCSPRRIIQQPR >DRNTG_10959.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22405478:22406728:1 gene:DRNTG_10959 transcript:DRNTG_10959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNESDQTHQNAKSHQSAHKPWSRELVEIKANLDSIPEATIQNP >DRNTG_13346.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1108326:1108965:1 gene:DRNTG_13346 transcript:DRNTG_13346.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLTGMSIFTTGVVPKPEIQKQVSISSWRTFTGYKAGRIALGRVSASGPTTPSNISNKVTESIKNAEEMCSEDPASGECVAAWDEVEELSAAASHARDKLKENSDPLEKYCKDNPETDECRTYDN >DRNTG_13346.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1108326:1108871:1 gene:DRNTG_13346 transcript:DRNTG_13346.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLTGMSIFTTGVVPKPEIQKQVSISSWRTFTGYKAGRIALGRVSASGPTTPSNISNKVTESIKNAEEMCSEDPASGECVAAWDEVEELSAAASHARDKLKENSDPLEKYCKDNPETDECRTYDN >DRNTG_13346.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1108240:1108871:1 gene:DRNTG_13346 transcript:DRNTG_13346.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLTGMSIFTTGVVPKPEIQKQVSISSWRTFTGYKAGRIALGRVSASGPTTPSNISNKVTESIKNAEEMCSEDPASGECVAAWDEVEELSAAASHARDKLKENSDPLEKYCKDNPETDECRTYDN >DRNTG_25653.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21724411:21727162:-1 gene:DRNTG_25653 transcript:DRNTG_25653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVAHGQQQKKVVVVVEFFDTRDAARALSELRGKQVLGMQLMIEFNKPKSSGQQKRRSGVQQNLPLPPRLMGKTSNTNTGQSQRWSQIKGGRGGVTDGGESMRLIKRTSITTNSNSGGIRRGKNNNNNSSSSSSSSSSSSFKQQQRWKNHYHGIIKIEESMFLFKEDVDTKESSSSSSSSSPSCKDSRTTVMIKNIPNKYSQKLLLNMLDSHCIHCNEQSGSGGGEEPMSAYDFVYLPIDFNNKCNVGYGFVNLTSPEAAWRLYKAFHMQPWEVFNSRKICQVTYARLQGLEALKDHFKNSKFACDDDEYMPVFFSPPRDGKQLTEPSPIGSRNSTSITRLRSTTTTTTTTTSTKASSSAEDDDDDDDDDDDEECPDSNSSMLSEALLNLTTISQE >DRNTG_32989.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19890908:19893166:-1 gene:DRNTG_32989 transcript:DRNTG_32989.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWIDPSIDMDKLSYEIFSILETKFLFGYDDAKLLSIARSPPETPTKINEDDQGKVRILSIDGCGDSDGLLAAAALAQLESSLRRCSGDPSARIVDFFDIAAGSGTGGVLAAILFSNPNLSAADALELLAKHHKKIASLSSKKSGFRAMITGCGSGIFRRIFGDSTMKEAAKPLLIPCYDLETGASFMFSRADAVEMDGYDFMMRDACEATCAGNRSVRITSMDGRTKIAAVGAGAAGMGNPAAAAITHVLNNKQEFPRANGFEDLLVLSIGVGNGGSPPSNANGAADMVDQAVAMAFGQNRDNELCSHTGKRVETR >DRNTG_27757.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24449122:24451893:-1 gene:DRNTG_27757 transcript:DRNTG_27757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMGFLKFLLGLSLMITIMVSTPVVEAWGKEGHFMVCKIAEQYLTENTSRVVKELLPESAGGELAEVCSWADKVRFRMRWTSPLHYVNTPGVCTFKYSRDCFNSQGVKDMCVVGAINNYTAQLQSYGDSSTAYDLTQSLMFLAHFVGDVHQPLHAGFKDDEGGNTIVVHWYRRKTNLHHVWDVNMIETAMSDYYNKDLNVMIDSILKKIDKEWSDEVNKWETCRRKTSTCATNYATESTSIACEYAYKDVEQDSVLTDEYFDSRLPVLEKRIAQGGVRLAAILNGLFDSSFSDSVKTE >DRNTG_32822.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27721230:27724253:-1 gene:DRNTG_32822 transcript:DRNTG_32822.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTSESKGDKTSPGRSKEGMGWRGSQRRRSEEGWSSEGGSHGHALWLLCKLSSKDRINPVAT >DRNTG_32822.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27721230:27724253:-1 gene:DRNTG_32822 transcript:DRNTG_32822.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTSESKGDKTSPGRSKEGMGWRGSQRRRSEEGWSSEGGSHGHALWLLCKLSSKDRINPVAT >DRNTG_32822.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27721230:27724253:-1 gene:DRNTG_32822 transcript:DRNTG_32822.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTSESKGDKTSPGRSKEGMGWRGSQRRRSEEGWSSEGGSHGHALWLLCKLSSKDRINPVAT >DRNTG_26188.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29092691:29093735:1 gene:DRNTG_26188 transcript:DRNTG_26188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCYVCMKTKTYELPQNSGRCAAFLVNNDTLNNVSVKFHNAVYELPQKFNILPDCKNVVFNTAAVNAQSGVRSTTAVEHLNQAKNWWALAEGVFDIGKTSFTKNGLLDQLSITKDTIDYLWYTVGYDYTSTEDHQYLLRVNLQAHVHHAFINDEFIGTEHGSHNFKGVVFEKPISLLNGQNNLSFVSVMVGSSDSWAYLEHIIFGIQRGRIQGNINVQDFGNQLWGYQVVIILHSD >DRNTG_25502.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1397198:1403712:1 gene:DRNTG_25502 transcript:DRNTG_25502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIPKVSVERGKEGCSSDSSDTDFHESDYDMDDDDDQVFEINVDLGIQSDMGLGGLNSRDGHLPGFGVENDGAQHETIGTSQCFDRSHTPRRPTTTNEPHVVTVRWMPDGSSQVINQPGSQGIARQEVGIPIGESRQTEPNAQNVPSSQTISLFSTFNLFNLSSDSMLLRVSSMERENEMEMKMKLQWKRGEKELKGGFAWQRGFGRVEEG >DRNTG_06536.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29474223:29475763:-1 gene:DRNTG_06536 transcript:DRNTG_06536.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVEEWYKQMPVITRSYLTAAVVTSVGCSLEIITPYHLYLNPKLVLQNYEIWRLITNFFYFRKIDLDFLFHMFFLARYCKLLEENSFRGRTADFFYML >DRNTG_06501.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21821862:21822614:-1 gene:DRNTG_06501 transcript:DRNTG_06501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGSSPIDGQAHIHQLPGFSTTHNPWLRISVCPIGTRASTHLIRPCHTLALSIMDLGLRWVFCCGMQVEWQPTATVKEIEKLSTMGIERSSEQADHLLYRCRWIKEGGGMKSTPNRFSC >DRNTG_25560.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3587550:3597624:1 gene:DRNTG_25560 transcript:DRNTG_25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPIEPDWRSGFQQVEMKRKGPSAAKKLLRMSLLPTIVASPQWLTFSESMDGNLVVK >DRNTG_06729.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7909687:7911010:-1 gene:DRNTG_06729 transcript:DRNTG_06729.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRPRSLHPLKLATVAAAPADLINVLLTCKRFSGLGMNPLVLLKASKKSMAIRAKNWSESAHKFLKICSDAGNLESCYTLGMIRFYCLASRGSGAALMARAAMASHPAALYSLAIIQFNGSGGSKSDKDLRAGAALCARAALLGHVDALRELGHCLQDGYGVRRSIPDGRRFLLHANARELAAALASRQPLATVPLVGSPCPLLSDFGCVILQPDPHPANRFLIEWFGSRGVGSDGLRPCSHVGCGRVETRRHEFRRCSVCGAVNYCSRACQALDWKLVHKAQCSPMDRWAHVAEP >DRNTG_01719.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23591760:23594166:-1 gene:DRNTG_01719 transcript:DRNTG_01719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEGDEIRIGNMDLNLYLGLPRSPGPRVLDLGSDLALGSILVPSSPSSADADANAGDSHSVMDIGGPEPYSPSNASYDAAQPSSEHAPYTPSYEPIGPSYIPIPPIDEEEEPDDPYSPSDVQPLQFSQEEANESMTQDGVSHSLYGSASPPGLVDEPHVPYSPPYVPLLATPQGHNVPTSLNGDESSGRADGSSSQRDSIQYPVLRFRRLIESQHRLRLRRRFRSSFGFGSERPDLGWHYPSAIPEPPAIESLGKNKVAEEGVVAEGSEEDSEDKSKGAANFECNICLELAKEPVVTSCGHLFCWPCLYQWLHLHSEHKECPVCKGEVTESNMTPIYGRGSSEAGQESKHGEDGQHGLKIPPRPRGNRLESFRQHFRPISTRRLGDGSWRRLFDARLPNSDRFEGHLDPSMHDMFDMGQRRLLARLRSDMQRRERNLERRLNTGDSLLHRNVTPEPQNNNSSLPTQDGAADFWPRFSLYDLGRVVERLASSTNRYGASGSSVSPPNLDPLGGRPSVAAAIAADQASASSTMAVIQGDVAVPDGVVEPNSAGSSRSLRRRGRSSTSGSLDVDGGALHVRKRRRLN >DRNTG_32794.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12264333:12264645:1 gene:DRNTG_32794 transcript:DRNTG_32794.4 gene_biotype:protein_coding transcript_biotype:protein_coding AYHVLNEILIVGELQESSKKMVARFIASQVIGMNELCLSFHNPD >DRNTG_32794.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12264317:12264645:1 gene:DRNTG_32794 transcript:DRNTG_32794.3 gene_biotype:protein_coding transcript_biotype:protein_coding LIIIQAYHVLNEILIVGELQESSKKMVARFIASQVIGMNELCLSFHNPD >DRNTG_32794.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12264317:12264705:1 gene:DRNTG_32794 transcript:DRNTG_32794.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIIIQAYHVLNEILIVGELQESSKKMVARFIASQVIGMNELCLSFHNPD >DRNTG_32794.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12264333:12264705:1 gene:DRNTG_32794 transcript:DRNTG_32794.2 gene_biotype:protein_coding transcript_biotype:protein_coding AYHVLNEILIVGELQESSKKMVARFIASQVIGMNELCLSFHNPD >DRNTG_17158.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21777470:21778011:1 gene:DRNTG_17158 transcript:DRNTG_17158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFHDVEPMFVEAYKKVIGKDVWTVGPLCLYDKDDFCARIERGNKAAVDPEKLFGWLESMEERSVLYVSFGSLTRMNVGQILEIGSGLEASGVPLLWVIKDVEKSPEVEEWLKGFEQRMSLRSFVINGWAPQAAILSHKSVGGFVSHCGWNSTLEAVSNG >DRNTG_23413.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001264.1:61852:63454:1 gene:DRNTG_23413 transcript:DRNTG_23413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKGIETPCQLNPKPKEMENREEVKVLGTWSSLFVLRVRIALNVKQVKYEFLEEVAGLHSKSELLLKSNPVYKKVPVLIHGSNAVCESLVILRYIDEAFVDGDRLSLLPSDPYDRALACFWACYIDDKWFSFLIGVIREQNKEAKEESMKQVQTGLQLLEEVYCKCTNGKPFFNGESIGYLDIVLGSYMLLLKVAEKIKNVKFLDGNKTPKLVTWNQCFCLNDDVKNVMPQFDEFFEYVKMRVGGGG >DRNTG_14772.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20984216:20985394:-1 gene:DRNTG_14772 transcript:DRNTG_14772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGMKLALNRARIGNPHGHVGATMDFLHRRVEFRHAHVDSLFYTLFGWLCTLPPIVFAIVSFYSTSPKHSRIHVFIEPSSSSGAPSSGSSK >DRNTG_27569.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14849972:14854316:1 gene:DRNTG_27569 transcript:DRNTG_27569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREDATLPNGVPFALKPPDLSVAPPSIVPFARVPEQTENGYITSRSQGRSAIYRMSRSPYFKVQTTANVKEKIKLRIGGLFWGSFCNCGLVKHLFLRVDSDQVKSEALHPGGMFARESSTSSQWTTTTNLMHSGGKQALKRGSSVLDSDFGSFGRICRIRQKSNLMSPLKDISKSLSKNLLPSASTPLSKQFLRPRLPFKSISDSMCLDMGPGTQWMCNMMILGALLMVFLLFLMAGEMARKILHQLDKLVPYPKEKASELKATTRDESPSNASVDATNDSDPQRLSQKQEIQGNGHSKSVVSNGMTSWSDSKFCAVTPASNAKSDKRVPDVFLTKSSTIPLHKNPAFKISAPEEDNDDFAIAAALGSAANGKKRHDLKSDCISETQTSEKLVASLPQRMHPTFTKISGEFDGKSTDRPAAFSFPLTSVSSTIVQPPTPIMPVPKLKFQL >DRNTG_11513.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29454857:29459805:-1 gene:DRNTG_11513 transcript:DRNTG_11513.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGSIGEKNQEQIRARSSGKTQQKRNFDAVLWIDFDRKQLGLMLFVSEPTSLL >DRNTG_11513.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29454857:29459805:-1 gene:DRNTG_11513 transcript:DRNTG_11513.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGSIGEKNQEQIRARSSGKTQQKRNFDAVLWIDFDRKQLGLMLFVSEPTSLL >DRNTG_21179.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001205.1:95935:96672:1 gene:DRNTG_21179 transcript:DRNTG_21179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSWWESFGVGVSIGNKLEQLSHLLMGWNKEKGSYSVPNPAVLEAPFTASEIKQAFFELEALKARFSAVFFP >DRNTG_22384.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16965512:16966129:-1 gene:DRNTG_22384 transcript:DRNTG_22384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEELSTWPDDYLARSIVYFCFMSQFTSGIEQDLALAKALKQRGAQFIWCVANDLVAGAGGV >DRNTG_07217.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20272924:20276243:1 gene:DRNTG_07217 transcript:DRNTG_07217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSLFLRKKVGRRASVEAYPIPDEGILGRQVEDFPQDHRHDYRGAPASSSPAAETDVLAIDTDT >DRNTG_10550.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3261271:3284852:1 gene:DRNTG_10550 transcript:DRNTG_10550.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G59410) UniProtKB/TrEMBL;Acc:F4J8A5] MYSLGVVFFELWHPFATAMERHIVLSDLKLKGLPPPSWAIKFPDQAALLQRLMSPSPSDRPSASELLQNALPPRMEDEWLNDILRTIWTSEDTYVYDRVVSTIFDEERLLIKDHQQHVGSGKMSRDESSFGQCNEYDTELQDKIFDVTKEVFRQHGAKRLEISPMSVLDGCHPIDRKSVKLLNRGGNMLQLCNELRSPFVTWISSQQISTFKRYEISWVYRRAIGHSTPLRFLQGDFDIIGGAPSLTEAEVIKVVVDIATRFLNPDAISIQLNHGALLEAIWTWAGIPGEHRQCVAELLSSITSSCPQSAIRKTNWALIRRQLLQDRNISAATVDRLQTADLRFCGSAEQTLARLRGALSPDKFTHKAFEEMSALLSYLRIWGIEKNISADVLLPPPEDYYKDLYFQIHFKENSPGAAYERILFALGGCYNHLLRQKWDHQHKSYSPNAVGVSLALEKIFPHSSVDIRNFRCENHIGILVCSKGGGGLLQERMEIVAELWQANLKAELVPLLDPSLTEQYEYASEHDLKCLIVITEAGLSQTGLVKVRHLELKKEWEVDRNQLVKFLTEAISIQFRNLPNWN >DRNTG_10550.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3251149:3284852:1 gene:DRNTG_10550 transcript:DRNTG_10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G59410) UniProtKB/TrEMBL;Acc:F4J8A5] MGHSSRKKKKRSGSGRKSKGRTSSGDGSSLAWEDQDELFSEELTSLTSIFQEDVKIVSEANHTKISVNIRPFSDETGFEVLDFSVLLVVRCMPGYPHRCPKLQIISEKGLLKEDADRLHSLLVDQANVNARDGRVMIFNLVEAAREFLSEIAPLKPPLISVPSSGSLVGDSNSRFGGSFTYGSIDLFGDLCGEQTSWGGHGSKVANDNSYQLSGKQSSFADKNRRNAFLTHTQTLNKAKNVVEDDHFVKSIHPKTLHDVKHGAMQHAISKLDVLVEDTECDTKSESSSDHNTPLVGEPLGNGSDITLFEDFNVEEHTIADGYSSEGKSSTSSRSVSEKSSKKFQSKKKDLLMVHLLHLVCSSKKSLAHALPELSSELNSLGILSEWGKLLTTKPPKTFSEAFKHTFGQHMIGSQISEFWKTDLDLSQDSSSSLPNSRYLSDFEEVNSLGHGGFGHVVLCKNKLDGRLYAVKKIRLKDKSPHVNDKILREVATLSRLQHQHVVRYYQAWYETGPDTHHGDIYGSRTMESLSCTQSSNSLPNATGLSDNNESAYLYIQMEYCPRTLRQDFEACNDALDKDYTWHLFRQIVEGLVHIHGQGIIHRDLTPNNIFFDVRNDIKIGDFGLAKFLKLEELDHDQHLLTETTGVSVDGTGQVGTYFYTAPEIEQRWPQINEKVDMYSLGVVFFELWHPFATAMERHIVLSDLKLKGLPPPSWAIKFPDQAALLQRLMSPSPSDRPSASELLQNALPPRMEDEWLNDILRTIWTSEDTYVYDRVVSTIFDEERLLIKDHQQHVGSGKMSRDESSFGQCNEYDTELQDKIFDVTKEVFRQHGAKRLEISPMSVLDGCHPIDRKSVKLLNRGGNMLQLCNELRSPFVTWISSQQISTFKRYEISWVYRRAIGHSTPLRFLQGDFDIIGGAPSLTEAEVIKVVVDIATRFLNPDAISIQLNHGALLEAIWTWAGIPGEHRQCVAELLSSITSSCPQSAIRKTNWALIRRQLLQDRNISAATVDRLQTADLRFCGSAEQTLARLRGALSPDKFTHKAFEEMSALLSYLRIWGIEKNISADVLLPPPEDYYKDLYFQIHFKENSPGAAYERILFALGGCYNHLLRQKWDHQHKSYSPNAVGVSLALEKIFPHSSVDIRNFRCENHIGILVCSKGGGGLLQERMEIVAELWQANLKAELVPLLDPSLTEQYEYASEHDLKCLIVITEAGLSQTGLVKVRHLELKKEWEVDRNQLVKFLTEAISIQFRNLPNWN >DRNTG_10550.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3251149:3284852:1 gene:DRNTG_10550 transcript:DRNTG_10550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G59410) UniProtKB/TrEMBL;Acc:F4J8A5] MESLSCTQSSNSLPNATGLSDNNESAYLYIQMEYCPRTLRQDFEACNDALDKDYTWHLFRQIVEGLVHIHGQGIIHRDLTPNNIFFDVRNDIKIGDFGLAKFLKLEELDHDQHLLTETTGVSVDGTGQVGTYFYTAPEIEQRWPQINEKVDMYSLGVVFFELWHPFATAMERHIVLSDLKLKGLPPPSWAIKFPDQAALLQRLMSPSPSDRPSASELLQNALPPRMEDEWLNDILRTIWTSEDTYVYDRVVSTIFDEERLLIKDHQQHVGSGKMSRDESSFGQCNEYDTELQDKIFDVTKEVFRQHGAKRLEISPMSVLDGCHPIDRKSVKLLNRGGNMLQLCNELRSPFVTWISSQQISTFKRYEISWVYRRAIGHSTPLRFLQGDFDIIGGAPSLTEAEVIKVVVDIATRFLNPDAISIQLNHGALLEAIWTWAGIPGEHRQCVAELLSSITSSCPQSAIRKTNWALIRRQLLQDRNISAATVDRLQTADLRFCGSAEQTLARLRGALSPDKFTHKAFEEMSALLSYLRIWGIEKNISADVLLPPPEDYYKDLYFQIHFKENSPGAAYERILFALGGCYNHLLRQKWDHQHKSYSPNAVGVSLALEKIFPHSSVDIRNFRCENHIGILVCSKGGGGLLQERMEIVAELWQANLKAELVPLLDPSLTEQYEYASEHDLKCLIVITEAGLSQTGLVKVRHLELKKEWEVDRNQLVKFLTEAISIQFRNLPNWN >DRNTG_10550.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3251149:3256902:1 gene:DRNTG_10550 transcript:DRNTG_10550.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G59410) UniProtKB/TrEMBL;Acc:F4J8A5] MGHSSRKKKKRSGSGRKSKGRTSSGDGSSLAWEDQDELFSEELTSLTSIFQEDVKIVSEANHTKISVNIRPFSDETGFEVLDFSVLLVVRCMPGYPHRCPKLQIISEKGLLKEDADRLHSLLVDQANVNARDGRVMIFNLVEAAREFLSEIAPLKPPLISVPSSGSLVGDSNSRFGGSFTYGSIDLFGDLCGEQTSWGGHGSKVANDNSYQLSGKQSSFADKNRRNAFLTHTQTLNKAKNVVEDDHFVKSIHPKTLHDVKHGAMQHAISKLDVLVEDTECDTKSESSSDHNTPLVGEPLGNGSDITLFEDFNVEEHTIADGYSSEGKSSTSSRSVSEKSSKKFQSKKKDLLMVHLLHLVCSSKKSLAHALPELSSELNSLGILSEWGKLLTTKPPKTFSEAFKHTFGQHMIGSQISEFWKTDLDLSQDSSSSLPNSRYLSDFEEVNSLGHGGFGHVVLCKNKLDGRLYAVKKIRLKDKSPHVNDKILR >DRNTG_10550.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3260769:3284852:1 gene:DRNTG_10550 transcript:DRNTG_10550.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G59410) UniProtKB/TrEMBL;Acc:F4J8A5] MLLLSGINSIFIFVTILILSCTLQCKLRLLSYIKIIFAKTIGTSLAKFLKLEELDHDQHLLTETTGVSVDGTGQVGTYFYTAPEIEQRWPQINEKVDMYSLGVVFFELWHPFATAMERHIVLSDLKLKGLPPPSWAIKFPDQAALLQRLMSPSPSDRPSASELLQNALPPRMEDEWLNDILRTIWTSEDTYVYDRVVSTIFDEERLLIKDHQQHVGSGKMSRDESSFGQCNEYDTELQDKIFDVTKEVFRQHGAKRLEISPMSVLDGCHPIDRKSVKLLNRGGNMLQLCNELRSPFVTWISSQQISTFKRYEISWVYRRAIGHSTPLRFLQGDFDIIGGAPSLTEAEVIKVVVDIATRFLNPDAISIQLNHGALLEAIWTWAGIPGEHRQCVAELLSSITSSCPQSAIRKTNWALIRRQLLQDRNISAATVDRLQTADLRFCGSAEQTLARLRGALSPDKFTHKAFEEMSALLSYLRIWGIEKNISADVLLPPPEDYYKDLYFQIHFKENSPGAAYERILFALGGCYNHLLRQKWDHQHKSYSPNAVGVSLALEKIFPHSSVDIRNFRCENHIGILVCSKGGGGLLQERMEIVAELWQANLKAELVPLLDPSLTEQYEYASEHDLKCLIVITEAGLSQTGLVKVRHLELKKEWEVDRNQLVKFLTEAISIQFRNLPNWN >DRNTG_18498.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1870483:1871032:1 gene:DRNTG_18498 transcript:DRNTG_18498.1 gene_biotype:protein_coding transcript_biotype:protein_coding EALCNKPSIKKCDVCSFGVILWEPATLRMMPWFGMKVPSRWGGCCWLPGILPS >DRNTG_28462.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22417059:22429248:-1 gene:DRNTG_28462 transcript:DRNTG_28462.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain base (LCB) kinase 1 [Source:Projected from Arabidopsis thaliana (AT5G23450) UniProtKB/TrEMBL;Acc:B3H501] MQKAIYHLQNTGSLKVLPQHAGRRASNRRTQVATGQQSSPTVFPEKRSKVKSSRQNDVNVANEDLEKGMKHEHRIDIGNEQSDLLGYEVFSGKLALDKKSKRPNTEEQTEPGTSKHDSIDSKLTSKALIWGPRMLCLEDVISVSYNAGLRHFTVHSYPIIRKSCFFKPRRDRKDFRFVATNSEEAFQWVSGFADQQCFINCLPHPMVSSKKQPVDVVTDDPLFDQPHVKSKSPPKILVILNPRSGHGRSSKVFHGKVEPIFELAGFKMEVVKTTSAGHAKTLASTVDFSTCPDGIVCVGGDGIVNEVLNGLLSRSDQKEAISIPIGVIPAGSDNSLVWTVLGVRDPISAAIAIVKGGLTATDVFAVEWIQTGVVHYGTTVSYFGFLSDVLELSEKYQKRFGPLRYFVAGFLKFLCLPKYNFDLEYLPISKDISGTKGKVVDDDEKVDMSDLYTDIMQRPNTEGLPRASSLSSIDSIMTPSRMSGGDFDFSGNTLASSEPSDYVRGLDPKAKRLSLGRNNMMQEPEEVIHPQPNLSTTPNWPRTRSRSRTEKGWAGLTAANDTRCSWAAPTMNDKEDISSTMSDPGPIWDSEPKWDTEPTWETEDPIHFPGPPDDIEQGLKKELVPSLEEKWMVKKGQFLGILVCNHSCKTVQSLSSQVVAPKAVPDDNCLDLLLVHGSGRMRLLRFFVRLQFGRHLSLPYVEYVKVKAAKVRPGANSNNGCGIDGELLRVNGQVMCSLLPEQCRLIGRPARECI >DRNTG_28462.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22417059:22429248:-1 gene:DRNTG_28462 transcript:DRNTG_28462.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain base (LCB) kinase 1 [Source:Projected from Arabidopsis thaliana (AT5G23450) UniProtKB/TrEMBL;Acc:B3H501] MQKAIYHLQNTGSLKVLPQHAGRRASNRRTQVATGQQSSPTVFPEKRSKVKSSRQNDVNVANEDLEKGMKHEHRIDIGNEQSDLLGYEVFSGKLALDKKSKRPNTEEQTEPGTSKHDSIDSKLTSKALIWGPRMLCLEDVISVSYNAGLRHFTVHSYPIIRKSCFFKPRRDRKDFRFVATNSEEAFQWVSGFADQQCFINCLPHPMVSSKKQPVDVVTDDPLFDQPHVKSKSPPKILVILNPRSGHGRSSKVFHGKVEPIFELAGFKMEVVKTTSAGHAKTLASTVDFSTCPDGIVCVGGDGIVNEVLNGLLSRSDQKEAISIPIGVIPAGSDNSLVWTVLGVRDPISAAIAIVKGGLTATDVFAVEWIQTGVVHYGTTVSYFGFLSDVLELSEKYQKRFGPLRYFVAGFLKFLCLPKYNFDLEYLPISKDISGTKGKVVDDDEKVDMSDLYTDIMQRPNTEGLPRASSLSSIDSIMTPSRMSGGDFDFSGNTLASSEPSDYVRGLDPKAKRLSLGRNNMMQEPEEVIHPQPNLSTTPNWPRTRSRSRTEKGWAGLTAANDTRCSWAAPTMNDKEDISSTMSDPGPIWDSEPKWDTEPTWETEDPIHFPGPPDDIEQGLKKELVPSLEEKWMVKKGQFLGILVCNHSCKTVQSLSSQVVAPKAVPDDNCLDLLLVHGSGRMRLLRFFVRLQFGRHLSLPYVEYVKVKAAKVRPGANSNNGCGIDGELLRVNGQVMCSLLPEQCRLIGRPARECI >DRNTG_18428.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7348497:7349866:-1 gene:DRNTG_18428 transcript:DRNTG_18428.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKATSLSELGITDDISKAFFRPIQSAAPPSPSKRRTKVSVIGAGNVGMAIAQTILTQDLVDELALVDAKPDKLRGEMLDLQHAAAFLPRTRIFADTDYAITASSDLCIITAGARQIPGESRLDLLQRNLDLFRIIVPPLAKYSPEALLLVVSNPVDVLTYIAWKLSGFPPNRVIGSGTNLDSSRFRFLLADHLEVNAQDVQAYMVGEHGDSSVALWSSISVGGVPVLGSLERHQIEYEEGVLEKIRKAVVESAYEVIQLKGYTSWAIGYSVASLARSLLRDQRRIHPVSLLAKGFYGIDEEKEVFLSLPAQLGRGGVLGVASVHLTEEEAKRLRCSADTLWEIQQKLGI >DRNTG_09385.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15533185:15538445:-1 gene:DRNTG_09385 transcript:DRNTG_09385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWHAEHELKEGVMRHCSDSPAWKHFNSTHPSFASENRNVRLGLCIDGFQPFGQSGQQYSSWPVILTPYNLPFSICMKEEYMFLTIIVPGSRNPKDMLDVYLQPLIVELKHLWEVGV >DRNTG_09385.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15533185:15537562:-1 gene:DRNTG_09385 transcript:DRNTG_09385.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWHAEHELKEGVMRHCSDSPAWKHFNSTHPSFASENRNVRLGLCIDGFQPFGQSGQQYSSWPVILTPYNLPFSICMKEEYMFLTIIVPGSRNPKDMLDVYLQPLIVELKHLWEVGV >DRNTG_11652.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:135193:136117:-1 gene:DRNTG_11652 transcript:DRNTG_11652.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLLYIIGFFGFTSNQDILALAKNTPANKPNSILNKFGKLTGTLKSKIPQIDIGILFNDPTKL >DRNTG_11599.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13629784:13632577:1 gene:DRNTG_11599 transcript:DRNTG_11599.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIICLPRDNGGKRRPTSRQGCRPKRKDEDTERSGKKYNGERRVLMFTVQTSWELVLAEICEQWGLEVSLVMVKFITPDGYKTVCPIENDVDFQRMCHMHSIFKCAVIDLVVETDNVLLSNPTENKFFSLNSDSASAPVQPHGDPDGVGCLPSSSDHFEVLSLDNGQRFDGVEYFRDKLKDRPLYKPIDIQKDMLREHGVHIPYKQAWSGKEHARVVLDGSGISSYDLLLWSKSLVNAIARVFPSSPHAYCLQHLEVNFMKSNVRLGKALREECWSICFRIAWTSTAKEFDDSWGEMYSNVTESLNAWIKEARHLPVTKMVDSVRFKLMRMLCNRREQANKWETYLCPDIHSKEVIFPIPDDNKPLDRNYELRLRPSVTRRQPGRPKQKRIEAQVFDVRELHCSHCHGSGHNRRSCNETVAD >DRNTG_28648.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17356488:17357312:-1 gene:DRNTG_28648 transcript:DRNTG_28648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHRDPGVTTRDRWANVKGTKTLTALNLVATAANVGESDLGHCLSDREFYLFKLVLLLILEFVSLAMTRGLSGVCPSSDRRDGCHMAGPRVGA >DRNTG_13819.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28343788:28366937:1 gene:DRNTG_13819 transcript:DRNTG_13819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIGMRYIEPPHIILRKGLIAGIEYPLLAEHMADFMAKTLYFTSLLYCSTLEHRAA >DRNTG_12198.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10647177:10649638:-1 gene:DRNTG_12198 transcript:DRNTG_12198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQKIVCHEHQQKSLNDDSFGCSIESFGSREEMNQQHLFQPCISDSFPSSFIGSNPASFYATELLMGFPWFDNRLRGAHSPTDTLPQFDCPAVSQNIDRVQFRNTAENELQSVGQSMQMMGIQQEKVSPGKSNTNKTRIRWTQDLHEKFVEAVNRLGGAEKATPKGILKLMSSDGLTIYHIKSHLQKYRIAKYIPEAVAGKSGRRASMNDSQHLDPKCALEITEALRLQLDVQRSLHEQLEIQKNLQMRIEAQGKKLQQMFEQQLKTNINLVEPLNFDILFSDEQPITLDGDLSFEQYKRTD >DRNTG_34817.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8198467:8199270:1 gene:DRNTG_34817 transcript:DRNTG_34817.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSFKRQAFVEAANVVNAKFPVACMDAENVENHMRTLKQKYQDIEKLMNLSGVGWNDESKMLVLEDKTYRTFMEASRKKTWKEKLSDALWEMEGYDDNEMEMVFEKLIKDKKQAENFYLRKLSIRKR >DRNTG_02770.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7358628:7374877:-1 gene:DRNTG_02770 transcript:DRNTG_02770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGSKFPLLPFNFFYDMLLGCIKAFSGSNGTPELGVPSKVVCFGEMLIDFVPTTSGLSLAEAPAFKKAPGGAPANVAVGIARLGGSSAFIGKVGEDEFGYMLADILKENNVNNQGMHFDPGARTALAFVTLRKDGEREFMFYRNPSADMLLEEGELDLDIITQAKIFHYGSISLITEPCKSAHIAAAKAAKDAGVLLSYDPNLRLPLWPSAESAREGIFSIWEAADIIKISEEEISFLTKGEDPYDDAVVRKLFHPNLKLLLVTEGQYGCRYYSEDFQGRIGGLKVDAVDTTGAGDAFVAGILSQVADDFSLLQDEGKLRKALMFANACGGLTVMERGAIPALPTREAVLDAMVNMVA >DRNTG_02770.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7358628:7376332:-1 gene:DRNTG_02770 transcript:DRNTG_02770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHAAIGAAPCFASPVLKPRTRSRSFTHGRVSVTKPLIRGRASRGLELSFYPSGCIKAFSGSNGTPELGVPSKVVCFGEMLIDFVPTTSGLSLAEAPAFKKAPGGAPANVAVGIARLGGSSAFIGKVGEDEFGYMLADILKENNVNNQGMHFDPGARTALAFVTLRKDGEREFMFYRNPSADMLLEEGELDLDIITQAKIFHYGSISLITEPCKSAHIAAAKAAKDAGVLLSYDPNLRLPLWPSAESAREGIFSIWEAADIIKISEEEISFLTKGEDPYDDAVVRKLFHPNLKLLLVTEGQYGCRYYSEDFQGRIGGLKVDAVDTTGAGDAFVAGILSQVADDFSLLQDEGKLRKALMFANACGGLTVMERGAIPALPTREAVLDAMVNMVA >DRNTG_02770.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7358628:7376332:-1 gene:DRNTG_02770 transcript:DRNTG_02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHAAIGAAPCFASPVLKPRTRSRSFTHGRVSVTKPLIRGRASRGCIKAFSGSNGTPELGVPSKVVCFGEMLIDFVPTTSGLSLAEAPAFKKAPGGAPANVAVGIARLGGSSAFIGKVGEDEFGYMLADILKENNVNNQGMHFDPGARTALAFVTLRKDGEREFMFYRNPSADMLLEEGELDLDIITQAKIFHYGSISLITEPCKSAHIAAAKAAKDAGVLLSYDPNLRLPLWPSAESAREGIFSIWEAADIIKISEEEISFLTKGEDPYDDAVVRKLFHPNLKLLLVTEGQYGCRYYSEDFQGRIGGLKVDAVDTTGAGDAFVAGILSQVADDFSLLQDEGKLRKALMFANACGGLTVMERGAIPALPTREAVLDAMVNMVA >DRNTG_28056.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16128517:16131220:1 gene:DRNTG_28056 transcript:DRNTG_28056.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM5 [Source:Projected from Arabidopsis thaliana (AT2G07690) UniProtKB/Swiss-Prot;Acc:O80786] MVLADGGVVCIDEFDKMRSEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPPSGRYDDLKTAEDNIDLQTTILSRFDLIFIVKDIRMYDQDKRIATHIIKVHATGAAGSKKDDVGEGENWLKRFIEYCRVSCQPRLSEKAAEMLQNKYVEIRQKMRQQAHETGKSSVIPITVRQLEAIIRLSESLAKMRLTTVATEEHVEEAFRLFNVSTMDAARSGINEHMNLTPEIANEIKQAETQIKRRMGIGSHISERRLINDLTRMGMSESIVRRALLIMHQRDEVEYKRERHVIVRKA >DRNTG_28056.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16126498:16131220:1 gene:DRNTG_28056 transcript:DRNTG_28056.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM5 [Source:Projected from Arabidopsis thaliana (AT2G07690) UniProtKB/Swiss-Prot;Acc:O80786] MSGYDEGRVSYSDHAQFPRGGDLDGAANLTRHTALRKFKEFIRGFLGSDGGFPYRESLVHNPDHLTIAMEDLDGFDAELSDKIRNLPADYLPMFETAAAEVLASLRSKVAGETGEMEEPVTGDVQIFLSSKEGSVSMRSVGAEHMSKLVKIAGITIAASRVKAKATYVTLMCKNCKSVKTVPCRPGLGGAIVPRSCDHVPQPGEEPCPLDPWIVAPDKSKYVDLQTLKLQENPEDVPTGELPRNMLLSVDRHLVQTIVPGTRLTVMGIYSVFQASAAQKGAVGVKQPYIRVVGMEQTRETNSTGPSTFTADEESEFKEFAQRPDAYAKLCSLIAPSIYGHADVKKALACLLFGGSKKRLPDGVRLRGDIHVLLLGDPSTAKSQFLKFVEKTAPVAVYTSGKGSSAAGLTASVIRDNSSREFYLEGGAMVLADGGVVCIDEFDKMRSEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPPSGRYDDLKTAEDNIDLQTTILSRFDLIFIVKDIRMYDQDKRIATHIIKVHATGAAGSKKDDVGEGENWLKRFIEYCRVSCQPRLSEKAAEMLQNKYVEIRQKMRQQAHETGKSSVIPITVRQLEAIIRLSESLAKMRLTTVATEEHVEEAFRLFNVSTMDAARSGINEHMNLTPEIANEIKQAETQIKRRMGIGSHISERRLINDLTRMGMSESIVRRALLIMHQRDEVEYKRERHVIVRKA >DRNTG_32851.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32206823:32209954:-1 gene:DRNTG_32851 transcript:DRNTG_32851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGWTYCKTSADRKSICKLKMAAPAAVLPQIALIGAGYFARTTYIKNLRQITDRVTLKAIWDLTEEASRALVELARDFAPQVECKWGEKGLNDIMSDDLIIGVAVVVAGQALVDVSLRMIKAGKHVLQEKPAALTVSQGDRALSTYNSIRNNSPYPIWALAENYRFEPAFVEAKKLVKEVGDVLNIKVAIEGYLNSSNPFFSSGWRRNLSTGFLLEMAVHFIAGLRMIIDSEIKTVSSIARHVNKALPPFDTMCTVFQLENGCAGVYAMVVWSVAPKLMWRVDGTKGALQIELNSEFGDFVVSFFSADGQCQTNHYPMIGVIEDLKAFVHDITQATNKDAAVGFKPEERLSYVEGLRDIAVIEAMLESNANNGAQTFVKKFEF >DRNTG_32851.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32207353:32209515:-1 gene:DRNTG_32851 transcript:DRNTG_32851.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAVLPQIALIGAGYFARTTYIKNLRQITDRVTLKAIWDLTEEASRALVELARDFAPQVECKWGEKGLNDIMSDDLIIGVAVVVAGQALVDVSLRMIKAGKHVLQEKPAALTVSQGDRALSTYNSIRNNSPYPIWALAENYRFEPAFVEAKKLVKEVGDVLNIKVAIEGYLNSSNPFFSSGWRRNLSTGFLLEMAVHFIAGLRMIIDSEIKTVSSIARHVNKALPPFDTMCTVFQLENGCAGVYAMVVWSVAPKLMWRVDGTKGALQIELNSEFGDFVVSFFSADGQCQTNHYPMIGVIEDLKAFVHDITQATNK >DRNTG_32851.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32206823:32208023:-1 gene:DRNTG_32851 transcript:DRNTG_32851.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCTVFQLENGCAGVYAMVVWSVAPKLMWRVDGTKGALQIELNSEFGDFVVSFFSADGQCQTNHYPMIGVIEDLKAFVHDITQATNKDAAVGFKPEERLSYVEGLRDIAVIEAMLESNANNGAQTFVKKFEF >DRNTG_31012.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30444913:30447085:1 gene:DRNTG_31012 transcript:DRNTG_31012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSFEAQPWMTSLSCSPSQASTLFQWLSFVFLSPCPQRMLFSIVDGLFLLVLLGFAIQKLIVLFRRRSGTTEEEKPLLAKAQQRQQQPFLTTIRFTVGLVVVGIVTVVYGVVLVVAVFVLGSKPEWLLIESLFLVLQFLSHLAATAVVAHEKRFRVSVHPLTLRLYWFVSFIVCVLFSISSILRLAGGNPIKPDDYISLGILPFSLVLLVLAVNGDTGVVEVNANSSGRESEPGVCITGYAKASIISRATWTWVNPLLAKGYKSPIKMEDVPGLAQEHRAEKLYQLFQSNWPRPASRANHPVRTTLLRCFWPQLLFTAFLSILRLCVMFVGPTLVKRFVDFASGKRSSIYEGYYLCLILLAAKFFEVLCSHQYNFQSAKLGMMIRSTLITNLYRKGLRLSCSARQSHGIGVIVNNMAVDAQQLSDMVLQLHYIWLMPLQVGVAIALLYLYLGPSVITATGAVAGVIVFIVYCTRRNNLFQYFLMGMRDKRMKATSEMLNYMRVIKFQAWENHFSSRIRKFREGEFGWLSKFMYFTSGNTIVLWCATVVISSLVFATCVATGVHLLLQDPSRANAELPASSHLCLPSHYLAATPRCLLDQRRAR >DRNTG_16616.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1501310:1505525:-1 gene:DRNTG_16616 transcript:DRNTG_16616.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIKAAVYDALIMFLWMFCVSTVSIFTPHRYRYQCSRLRPSTSSSPSASSPLLYSSSTSSAMLSVVPASTPPGPPRSTPPAMVMAPSSPWHSASQPRLLARPGVFWRSWSSRLPSTSTCLAGPRSRSMHTPVPSLKGFSPSSSPSLSFGLSSRAPEIRSSKLGLLAACTVAFVIAGTGYTGPSMNPANAFGWAYIHNRHNTWEHFYVYWISPFIGAVMASWLFRIIFPPPAAKVKKA >DRNTG_28245.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2678786:2685979:1 gene:DRNTG_28245 transcript:DRNTG_28245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGESLKQNGSQVCQICGDGVGTTVDGELFVACSVCGFPVCRPCYEYERKDGNQSCPQCKTKYKRHKGSPPVGGEEGEDGDADEVASDFNYSAGNQEQKQKIAERMLSWRMNRGRGEDMGPPKYDSGELPRSHIPLISHSQGFSGELSAASPDHHMMSPGGGVGGKRVHPLPYSSPNPSREFSGGFGNVAWKERVDGWKVKQDKNVVPMTNGTSHAPSEGRGATDIDATTDYNMDDALLNDEARQPLSRKVSIPSSRINPYRMVIILRLVVLCIFLHYRITNPVRNAFALWLLSVICEIWFAISWILDQFPKWFPVNRETYLDRLTLRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFSKKYNIEPRAPEWYFAQKIDYLKDKVHPSFVKDRRAMKREYEEFKVRINGLVAKAQKIPDEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGSYLLNLDCDHYINNSKALREAMCFLMDPNLGKSVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPIKKKAEKNFLLSLCGGSRKKSSKSSKKSSDKKKSSKHVDNTVPIFNLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSTVFVASTLMENGGVPQSATPESLLKEAIHVISCGYEDKTEWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPERPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKFLERFAYINTTIYPLTALPLLLYCTLPAVCLLTGKFIIPQISNFASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTLLIVNLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRIDPFTTRVTGPDTQQCGINC >DRNTG_09692.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000404.1:39343:40017:1 gene:DRNTG_09692 transcript:DRNTG_09692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLTSIDSQEISQGPSMSTQMAHHQQPNSGWHSPVPYLFAGLAAMLRPHRLCSSHPCLLLLETLRVSGVSRNRYFLATPMSSRASSFEDRISEENHKKSSSHEESHEHS >DRNTG_13933.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19604805:19606808:-1 gene:DRNTG_13933 transcript:DRNTG_13933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQILNKVGSYWINKKANKEISSVGDDINSISTSIEGGTKWLVNKIKGKMQKPLPDLLREYDLPIGLFPRDATNYEFNEETRKLTVFIPTVCEVGYRDSSVLRFFTSVSGYLEKGKFADIEGLKTKVLIWTKVSCVTTEGSKVYFTTGVRKTRSRDAYEVQRDGISVDKF >DRNTG_25029.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8691858:8693363:-1 gene:DRNTG_25029 transcript:DRNTG_25029.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRSLAAAAVGMARARARAAVARVRGGHGGGESRWTSDGTQERPNGYLLNRTPPPTGESRKWEDWELPCYITSFLTIVILGVGLNAKPDLTIETWAHQKALERLQQQQQQTVAADAE >DRNTG_08195.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6765552:6766024:1 gene:DRNTG_08195 transcript:DRNTG_08195.1 gene_biotype:protein_coding transcript_biotype:protein_coding LASTINDADQGSADVAFRTPPAPYEKSKSLGSGGSMVARLKLKGIDGRAPPGVEPAA >DRNTG_01643.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:16829501:16841527:-1 gene:DRNTG_01643 transcript:DRNTG_01643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRFQNEEVVACNSSTAKKARLQATLSALLNDPILFDVPRRPTLVDVDTLINMELESAMKVTVIKMDTTSFDVTVFNSPTLKDLKSAISKKINEVEQARMGHCFISWS >DRNTG_24687.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19219115:19221550:1 gene:DRNTG_24687 transcript:DRNTG_24687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGMLAAEATFRKLAEGVPMESYWDSLKKSWVWEELHKARNYRPAFKFGLLPGLAISALERYIFRGRLPITLKHGKPDHEATEFASLHDPIQYPKPDGSVSFDVPTSLYRSNTNHEHDQPAHLRLRDPAIPELVNLPLYAGPESRYCPARVYEYISDEKHGPKLQINAQNCLHCKACDIKDPKHKH >DRNTG_26947.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30139673:30142842:1 gene:DRNTG_26947 transcript:DRNTG_26947.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTPSTRWCPTSEQRMELENMYRSGLHSPDVSQIQLITTYLSCYGKIEGKNVFYWFQNHRAREGQKLRKRVARQLVLHNPNLNNQLIHTFEEPRLHPQQLGGAQDADQAMNLLSKLEAEEGQDGIIGTANGIGISYGYDVHPSCRPLIALELFPCKSSVSNSKKAKLVEPMKKIKRSLEFLTSRDAELGVPPEHVPSPGGS >DRNTG_11312.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13593702:13597234:-1 gene:DRNTG_11312 transcript:DRNTG_11312.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRNTLSSQLLEDSQSSSTVRDKIFSQVIGEDNHGRCRMYGLGVLLKDLHGPHPTRRELMESCECLESQNRRFESQNRYLESQNSLIENDITDLKNKVDILIHTTGR >DRNTG_29534.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2077121:2079277:1 gene:DRNTG_29534 transcript:DRNTG_29534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERCLLTLAIFPQDSIIKKRVLIHWWIGEGLVTPNGDSTAEEVGDQCIEDLISKGFILRGNRKHCSQVKHLKVEQWIREQQGTKRPTTSSGFVLHNFKTCFNVDRHNLRFEENGFKAMKNAAVMQLGRWQTSPKHHIEAASAELLNWLADFNKLRYLSLRGISNITYLPPSIGKLTDLMILDLRACHNLENLPVEVTMLKKLTYLDVSECYLLDHMPKGLHSLTKIRVLKGFVIGNSTSKDPCRLWELASLQNLWKLSIRIGRDSAGKKREFHELTKFTALRSLTITWGATASTPSNGVRKALSGKSTAGIFNLSPPPNLDKLDLRCFPHNRAPEWLTPEKFPKLQKLYIRGGRFRRLGVGTEFNSVQVLRLRYLPDFTMQEPYLLKVFPRLTILEVHQCRNLPSINRKL >DRNTG_03191.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18981779:18983636:1 gene:DRNTG_03191 transcript:DRNTG_03191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKVGCWWEHGYVRRIELPEDANWKKIEAYIDDENLLQIKIRKNNSDSNALQTSAVEPKESEFV >DRNTG_31266.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19893863:19897767:-1 gene:DRNTG_31266 transcript:DRNTG_31266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRGGVAVILLLCLLFACSARAFYLPGVAPRDFHKDDELPVKVNKLSSTKTQLPYDYYFLEYCKPAQIMNNAENLGEVLRGDRIENSVYTFKMRNDETCKVACRRQLNSEAAKNFKEKIEDEYRVNMILDNLPVAVVRQQRDESQTPSYEHGFRVGLKGRQLTGNNDDKNYIHNHLSFKVMYHKDPESEDARIVGFEVIPSSVKHDYVEWDDNNPKVSTCNTNSKITPSSHSHQEVAENEYVVFSYDVTFQPSEIKWASRWDTYLLMNDDQIHWFSIINSFMIVLFLSGMVAMIMLRTLYRDIANYNQLDAQDEAQEESGWKLVHGDVFRPPVNSSLLCVYVGTGVQFFGMALVTMIFALLGFLSPSNRGGLMTAMVLLWVFMGLFAGYSSARLYKTFKGADWKKNTLKTAFMFPGIVFSIFFVLNALIWGEKSSGAVPFGTMFALVFLWFGISVPLVFVGSFLGFKKPAIEDPVKTNKIPRQVPEQAWYMQPTFSVLIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFIILLITCAEITIVLCYFQLCSEDYHWWWRAYLTAGSSALYLFLYSAFYFFSKLDITKVVSGILYFGYMLIVSYAFFVLTGTIGFYACFWFVRKIYSSVKID >DRNTG_15912.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:987942:989452:1 gene:DRNTG_15912 transcript:DRNTG_15912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGFHAGYVEVIKGKEKVVLAHVGDPGQQCPGTCAWPYAVPAYGPPGPALVAPNGVGVDGMVMNLATVIAGAATNPAGDGWYQGDRLAPLEAVTACPGVFGPGAYPGYPGELAVDRRSGASFNAYGAGGRKFLVPAIHSVRRDDHFMRYHEFVEFFAGQDSKV >DRNTG_28609.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28509776:28512584:1 gene:DRNTG_28609 transcript:DRNTG_28609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISVPATVPSPAEDCEQLHKAFSGWGTNEGLIISILAHRTAAQRRVIRDVYSKTHGEDLLKSLDKELTRDFEKAVLTWTLGPAERDALLAGEVIKRWSPANRVLIEIACTRSPEELLTARRAYHDRFKRSLEEDVAAHTSDHFRKLLVPLVSSFRYDGPEVNISLAKSEAKILHGKISEKDYSNDEIIRILASRSKAQLFATLNHYHNEFGNPINKDLKSDPKDEFLSALRAVVKCITAPERYFEKVIRLAINKMGTDEGALTRVITTRAEVDLKIIKEEYYKRNSVPLDRAIAKDTRGDYEDFLLALIGESNA >DRNTG_22222.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16582956:16586471:-1 gene:DRNTG_22222 transcript:DRNTG_22222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELRPTLEDLDWFQKFRETTAFYEAAPQKHGTTSEGEGLSLMELEAQYWRKHYKTKVPQIEVPQVKEQALAKERSPKDKESEEWVTFSEEQKPPPIGVGEHWEKKKIEEVEETYLIDFEQVASINTDEWVYRKRALQGINVSLAKRLKHSLHLSSKKLNNSCPGLFPWRPNFQLMKHLSLKRAQVIRHKEVDCGRMRNMKTIPLALFHILEFDKVILKEFTGKLTHFSFVGINFMSYGQREHFYFQTEILDEDVNSKTLKQKASCILNELIFGKKKMLGIPEFVVGYYSIHCSSSLEKAHNLCAPSTIVLGGEAGACLCWNSMIQLFGHSCDNQGEWYDHAQGVSCPKTTIKSNIGHLLYILSSFFLWAAAKLFPVTFLGPEWSYCGRSRVEQI >DRNTG_04206.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7382209:7389159:1 gene:DRNTG_04206 transcript:DRNTG_04206.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVNALAVARMLMGSRGTVIVLAAEDIAFGSLWWYLYVGISCFLVLFAGIMSGLTLGLMSLGLVELEILQRSGTSTEKQQAATILPVVQKQHQLLVTLLLCNAAAMEALPIFLDKIFHPFVAVVLSVTFVLAFGEVIPQAICTRYGLAVGANFVWLVRILMVVCYPIAYPIGKLLDCALGHNESALFRRAQLKALVSIHSKEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAIGKILARGHSRVPVYSGNPRNIIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKAKGKTKTLLLADLKISEESKEASGDSDLTTPLLSKPEEKSDCVVVDIDKWQNKQVNGNKPASLLQNDASNNLVARSSEDCEEEEVIGIITLEDVFEELLQASMYLVLFPKILAHCSHWMQHDYFTALF >DRNTG_04206.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7382209:7390856:1 gene:DRNTG_04206 transcript:DRNTG_04206.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVNALAVARMLMGSRGTVIVLAAEDIAFGSLWWYLYVGISCFLVLFAGIMSGLTLGLMSLGLVELEILQRSGTSTEKQQAATILPVVQKQHQLLVTLLLCNAAAMEALPIFLDKIFHPFVAVVLSVTFVLAFGEVIPQAICTRYGLAVGANFVWLVRILMVVCYPIAYPIGKLLDCALGHNESALFRRAQLKALVSIHSKEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAIGKILARGHSRVPVYSGNPRNIIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKAKGKTKTLLLADLKISEESKEASGDSDLTTPLLSKPEEKSDCVVVDIDKWQNKQVNGNKPASLLQNDASNNLVARSSEDCEEEEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSVRRLTSHKAAGAQNRQGQPTGGLRKSTDGDSVSSKHQVTIVEPLHGNKR >DRNTG_04206.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7382209:7390856:1 gene:DRNTG_04206 transcript:DRNTG_04206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVNALAVARMLMGSRGTVIVLAAEDIAFGSLWWYLYVGISCFLVLFAGIMSGLTLGLMSLGLVELEILQRSGTSTEKQQAATILPVVQKQHQLLVTLLLCNAAAMEALPIFLDKIFHPFVAVVLSVTFVLAFGEVIPQAICTRYGLAVGANFVWLVRILMVVCYPIAYPIGKLLDCALGHNESALFRRAQLKALVSIHSKEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAIGKILARGHSRVPVYSGNPRNIIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKAKGKTKTLLLADLKISEESKEASGDSDLTTPLLSKPEEKSDCVVVDIDKWQNKQVNGNKPASLLQNDASNNLVARSSEDCEEEEVIGIITLEDVFEELLQASMRKLWMRLMNMLMCTKGYEWLLLLLHLQLHGLHQLGG >DRNTG_22696.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001250.1:8718:17847:1 gene:DRNTG_22696 transcript:DRNTG_22696.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHLVESIKPFSLSSLLVSATTPRCLAEKSTMNRILQSSRSFRKPLMAALLFSCLFLALPFGSFAASGGRMGGSFFPLPPPLPSSPIPSSLDCSCSYHSCSSRSWNHCCSCSRSRSRSCSSSPETSKPRDSSRETSKPLDFDWALLVVPLIAAVALVAGIFIKERRDSMIHVHKIQVGLFCPARSIRRKLNLIAETADTSNKIGLKTILTESSMVLLRLLDYCIYAHSSVDSKRTNEGAEISFSKHSIEERVKLNEETLVNFNNFKKHILLNETTNGKKTNDLKEYTVVTILVVVVGSWKLPVLNNIFDLEDALNKLSAIPISQTLAAEVIWTPQSENDTLSELELLKNYRLLKPLEKGYTVLTKDGPILTYN >DRNTG_22696.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001250.1:8718:12433:1 gene:DRNTG_22696 transcript:DRNTG_22696.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHLVESIKPFSLSSLLVSATTPRCLAEKSTMNRILQSSRSFRKPLMAALLFSCLFLALPFGSFAASGGRMGGSFFPLPPPLPSSPIPSSLDCSCSYHSCSSRSWNHCCSCSRSRSRSCSSSPETSKPRDSSRETSKPLDFDWALLVVPLIAAVALVAGIFIKERRDSMIHVHKIQVGLFCPARSIRRKLNLIAETADTSNKIGLKTILTESSMVLLRLLDYCIYAHSS >DRNTG_15736.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20353998:20355186:1 gene:DRNTG_15736 transcript:DRNTG_15736.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 35 [Source:Projected from Arabidopsis thaliana (AT2G02450) UniProtKB/Swiss-Prot;Acc:Q9ZVP8] MIHDDNNNNTSDDPLMALQLAFHGEVQDHHAEDNHQDDLLMPGFRFHPTDEELIDFYLRRKLEGKSFIIDFIPFINLYSYDPWDLPAWGIIGDKEWYFYVPRDRKYRSGDRPNRVTNSGYWKATGADRKIKAENSREIGLKRTLVFYTGKAPKGSRTSWIMHEYRLLKIKTDQLHKVELSLCRVYKRAGENSQCSDTVSTKVSQSTRSASSKRYKLSSTTFGSCSSSLDSEKFVWHKNNNFIEASTTTTTSQAPSMGDNMTHFFDSESRSDHCIDELGSLVGFYNQSLPKGEII >DRNTG_15736.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20354298:20355186:1 gene:DRNTG_15736 transcript:DRNTG_15736.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 35 [Source:Projected from Arabidopsis thaliana (AT2G02450) UniProtKB/Swiss-Prot;Acc:Q9ZVP8] MHEYRLLKIKTDQLHKVELSLCRVYKRAGENSQCSDTVSTKVSQSTRSASSKRYKLSSTTFGSCSSSLDSEKFVWHKNNNFIEASTTTTTSQAPSMGDNMTHFFDSESRSDHCIDELGSLVGFYNQSLPKGEII >DRNTG_35078.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19570490:19572591:1 gene:DRNTG_35078 transcript:DRNTG_35078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIAPEPLARRQDERAASFRLAKKRRTILPLSPPPADDETITTPSTADDVTESVAVDDMAVTREEIIDNVAISAVEKIVYSLVNEIPDPVEPATESAASKIDTIPEEQEQAKGVSPVDAVAVATVEKIAESLAVADSTASKQDTIPQQQEACKYMSAVNAVVVPASKLDTIPQQQKPCKDMSAVDAVVVSASKEDAAGAEHRQGSTTVPHYYLNQATRDMIKANQKLDETARKVFVPKKKKWVGQSRLNKYEQELIRIFLNCPMDSTVVWKNDAVSTTRDKLYTLLEGKEMVTDDVMDTFRNLFDICVDMEFGESATSKYPLVHDMETPRQKQGSVDCAVYVMRFIEQLLADKKLRLQQTDVPYLRLKYVSRILKGRASGVHEKRGSSQAG >DRNTG_29034.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18281063:18285244:1 gene:DRNTG_29034 transcript:DRNTG_29034.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTSLLLSFDSTLQPFLLPSSSSLPPRPSPSSLACRLVRVASRSIPLGSTSRSTTLYTAANSTLNDFNFRHEFTHGFILIRPMLDNELDETVQLLAESFSEVMFGTDRYVQLLIFLVKQYIEERLKLVPNTTVLVGFYTETNSSESQLVCTAEVSFNAIGANAAAPTPQPPPDYPYICNMTTKKSHRRKGIAWQLLQACEDLILQMKAKRQVYLHCRVVDKGPFALYNKAGYKVVKKDNIMVWLTLQRRKYLMRKILPLTNYVHANIRTEDLSTPNDTQVPQQDVKAGDLLPSNDRHPDIKYLPPQNDRHLDVKPEDRPQQLDSHTTSLHLENDDDDDGIF >DRNTG_21735.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13800502:13803453:1 gene:DRNTG_21735 transcript:DRNTG_21735.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFASEQQSMSADVIRKAFQETEEGFLSVVTRQWPLIPQIAAVGSCCLVGIVCSGTLYVANAGDSRAVLGRLVKATGEVLAIQLSAEHNAVHESVRQELYSLHPDDSQIVVLKHNVWRVKGLIQVSFI >DRNTG_21735.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13800707:13807897:1 gene:DRNTG_21735 transcript:DRNTG_21735.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLMKILSTCWQPTSDRYTAAGLDAAGRQDGLLWYKDIGQHLNGEFSMAVVQANNLLEDQSQIESGALSLLDSGPVGTFVGVYDGHGGPETSRFVNDHLFQNLKRFASEQQSMSADVIRKAFQETEEGFLSVVTRQWPLIPQIAAVGSCCLVGIVCSGTLYVANAGDSRAVLGRLVKATGEVLAIQLSAEHNAVHESVRQELYSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYAKFRLREPFKRPILSAEPSITVQQLQPHDQFLIFASDGLWEHLSNQEAVDIVKNHPHAGIARRLVKTALQAAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDWNLINKSSAYKGSSLSIKGAGINLPVISFAPCSTTT >DRNTG_21735.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13800502:13807897:1 gene:DRNTG_21735 transcript:DRNTG_21735.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLMKILSTCWQPTSDRYTAAGLDAAGRQDGLLWYKDIGQHLNGEFSMAVVQANNLLEDQSQIESGALSLLDSGPVGTFVGVYDGHGGPETSRFVNDHLFQNLKRFASEQQSMSADVIRKAFQETEEGFLSVVTRQWPLIPQIAAVGSCCLVGIVCSGTLYVANAGDSRAVLGRLVKATGEVLAIQLSAEHNAVHESVRQELYSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYAKFRLREPFKRPILSAEPSITVQQLQPHDQFLIFASDGLWEHLSNQEAVDIVKNHPHAGIARRLVKTALQAAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDWNLINKSSAYKGSSLSIKGAGINLPVISFAPCSTTT >DRNTG_21735.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13800502:13807897:1 gene:DRNTG_21735 transcript:DRNTG_21735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLMKILSTCWQPTSDRYTAAGLDAAGRQDGLLWYKDIGQHLNGEFSMAVVQANNLLEDQSQIESGALSLLDSGPVGTFVGVYDGHGGPETSRFVNDHLFQNLKRFASEQQSMSADVIRKAFQETEEGFLSVVTRQWPLIPQIAAVGSCCLVGIVCSGTLYVANAGDSRAVLGRLVKATGEVLAIQLSAEHNAVHESVRQELYSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYAKFRLREPFKRPILSAEPSITVQQLQPHDQFLIFASDGLWEHLSNQEAVDIVKNHPHAGIARRLVKTALQAAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDWNLINKSSAYKGSSLSIKGAGINLPVISFAPCSTTT >DRNTG_21735.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13800502:13807163:1 gene:DRNTG_21735 transcript:DRNTG_21735.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLMKILSTCWQPTSDRYTAAGLDAAGRQDGLLWYKDIGQHLNGEFSMAVVQANNLLEDQSQIESGALSLLDSGPVGTFVGVYDGHGGPETSRFVNDHLFQNLKRFASEQQSMSADVIRKAFQETEEGFLSVVTRQWPLIPQIAAVGSCCLVGIVCSGTLYVANAGDSRAVLGRLVKATGEVLAIQLSAEHNAVHESVRQELYSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYAKFRLREPFKRPILSAEPSITVQQLQPHDQFLIFASDGLWEHLSNQEAVDIVKNHPHAGIARRLVKTALQAAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDWNLINKSSAYKGSSLSIKGAGINLPVISFAPCSTTT >DRNTG_21735.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13800502:13807163:1 gene:DRNTG_21735 transcript:DRNTG_21735.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLMKILSTCWQPTSDRYTAAGLDAAGRQDGLLWYKDIGQHLNGEFSMAVVQANNLLEDQSQIESGALSLLDSGPVGTFVGVYDGHGGPETSRFVNDHLFQNLKRFASEQQSMSADVIRKAFQETEEGFLSVVTRQWPLIPQIAAVGSCCLVGIVCSGTLYVANAGDSRAVLGRLVKATGEVLAIQLSAEHNAVHESVRQELYSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYAKFRLREPFKRPILSAEPSITVQQLQPHDQFLIFASDGLWEHLSNQEAVDIVKNHPHAGIARRLVKTALQAAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDWNLINKSSAYKGSSLSIKGAGINLPVISFAPCSTTT >DRNTG_14264.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:973298:974961:-1 gene:DRNTG_14264 transcript:DRNTG_14264.1 gene_biotype:protein_coding transcript_biotype:protein_coding HEIVDCTLVGNSGYAITGDLNVLSKLVLSSDARYIIVVEKDAIFQRLAEDQLYNQIPCILITAKGYPDIATRFFLHRLSQAFPSLPILALVDWNPAGLAILCTYKFGSIKMGLEAYRYACNVKW >DRNTG_20454.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4596000:4599881:-1 gene:DRNTG_20454 transcript:DRNTG_20454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSFLALYAAPCSSTIKLTISSSSHPHTICRWRPQGKQLLNNVVLRSSRHTLQVTANANAPRGKRTSNDNIIMVDPLEAKRLATKQMQEIKAREKLKRQRRIEAINGTWAMIGLTVGLVIEGQTGNGILAQIAGYITSVIHFFFQ >DRNTG_09949.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6536734:6539044:-1 gene:DRNTG_09949 transcript:DRNTG_09949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSQGTLLLLLLLFATISISSSSSQALRHVLSDLGLPLLSQSDPCTITGVLCRRHRVIAVNLPSQHLSGILSPSLTLLSDLQTLDLRGNRLSGPVPSDLSSLTALRTLNLSSNLFTGDIHFLSTLPNLEQASLSDNLFSGQIPQSLSSLRNLIFLDLSNNPDLYGESTPYYGGLRRSLLPKRYVFAETNNASKSPNHSKSAISPNSAPAPGPSASPRHRHRNHKRRVRNWIVGFIVGSIAGVISGLALSILFRMTMNCIRGRYRNPSGPSIYSPLIKRAEDLAFLEKGEGLATLELIGAGGCGQVYKGQLPPPDPRTPEVPGKFIAIKKVMKITDADAAAEAGEENSKVLDKFKRQIRSEIRTVGQIRHRNLLPLLAHVPRPDCDLLVYEYMKNGSLDNVLKDVAEGQRELDWIARHRIAVGIASGLEYLHMLHSPRIIHRDLKPGNILLDDNMEARIGDFGLAKQVPEAYTHMTTSNVAGTVGFIAPEYHQLLKFTDKCDIYSFGVILAILVIGKQPSDDFFQETEEMSLVKWMRNVVASVNPTLAIDPKLMGYGFEEQMLLVLRIACFCTADDPKERPNSKDVRCMLSQIKH >DRNTG_22839.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2416247:2417641:-1 gene:DRNTG_22839 transcript:DRNTG_22839.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLIQTFSAVTIAWTLGLVIAWRLAVLMIAVQPLVIMCFYARKMLLKNMSGKAIKAQSESSKLAVEAISNLRTITAFSSQDRILRLFELAQEGPKRESVRQSWYAGFGLASSHGLMNCTWALDFWYGGKLISHGYITSKEFFQTFMILVSTGRVIADAGTMTTDLAKGSDAVSSVFTVLDRNSQIEPKDPKGYLPDKLIGNVDICDVNFAYPTRPDIIIFKNFSLSIEAGKSTALVGQSGSGKSTIIGLIERFYDPLKGSIKIDGKDIKTFHLRVLRKHIALVGQEPTLFNDTIMGNIKYGSEEATEAEVEVAARVANAHDFISGLQNGYETLCGDKGVQLSGGQKQRVAIARAVLKNPTILLLDEATSALDSQSEKVVQEALERVMVGRTSVVVAHRLSTIQNCDLIAVLEKGMVKEKGSHASLIAKGPSSTYFSLISLQ >DRNTG_22839.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2416247:2432537:-1 gene:DRNTG_22839 transcript:DRNTG_22839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRVENKKKTGSFFKSILTIFKHADNIDRLLMVFGFIGAIGDGLAVPVMFFITSKAMNNLGDGNSSHSLSIHRINKNALDFLFLACGVFVVCFLEGYCWTRTGERQASAIRVKYLKAVLRQDIEYFDLKVASTSEIINNISTDSLVIQDVISEKVPNFIMNFTMFLGNYAIGFFLMWRLAIVALPTVFLLIIPGIMCGRILMSIARDMREEYSKATHVVEQAISSLRTVYSFVGERKTMEDFSEAMDGSVKLGLRQGLIKGLAIGSNGVTFAIWSFLCWYGSKIVMHHGGHGGTVFAVGAGVIFAGMALGSGLSNVNYFSEAISAGERIMEVVERVPKIDIDTKEGEILENVHGEVEFKVVDFAYPSRPENLILNEFSLKVPAGMTVALVGGSGSGKSTVIALLERFYDPMHGEVLLDGVNIKKLKLKWLRSQMGLVSQEPSLFATSIKENILFGKEDASMDEVVAAAQASNAHNFISQLPQGYDTQVGERGIQMSGGQKQRIAIARALLKSPKILLLDEATSALDSESERIVQEALDNASLGRTSIVIAHRLSTIRNADLITVIQAGKVMETGTHTELIQDEDGLYSTLVHLQQSSKTIKITEEINPSSSIYLPISNIEDRSSQSMSRISSAKSLESNEPQEDQLESKMKPPVPSFSRLVLMNTPEWKQAVIACISATIFGGVQPAYAYGMGSVISVYFLKDHKEHYNFGAMGEYLTKRVRETMLAKMLTFEVGWFDRDENSTGAICSRLAKDANAVRSLVGDRMALLIQTFSAVTIAWTLGLVIAWRLAVLMIAVQPLVIMCFYARKMLLKNMSGKAIKAQSESSKLAVEAISNLRTITAFSSQDRILRLFELAQEGPKRESVRQSWYAGFGLASSHGLMNCTWALDFWYGGKLISHGYITSKEFFQTFMILVSTGRVIADAGTMTTDLAKGSDAVSSVFTVLDRNSQIEPKDPKGYLPDKLIGNVDICDVNFAYPTRPDIIIFKNFSLSIEAGKSTALVGQSGSGKSTIIGLIERFYDPLKGSIKIDGKDIKTFHLRVLRKHIALVGQEPTLFNDTIMGNIKYGSEEATEAEVEVAARVANAHDFISGLQNGYETLCGDKGVQLSGGQKQRVAIARAVLKNPTILLLDEATSALDSQSEKVVQEALERVMVGRTSVVVAHRLSTIQNCDLIAVLEKGMVKEKGSHASLIAKGPSSTYFSLISLQ >DRNTG_22839.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2431826:2432537:-1 gene:DRNTG_22839 transcript:DRNTG_22839.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERRVENKKKTGSFFKSILTIFKHADNIDRLLMVFGFIGAIGDGLAVPVMFFITSKAMNNLGDGNSSHSLSIHRINKNALDFLFLACGVFVVCFLEGYCWTRTGERQASAIRVKYLKAVLRQDIEYFDLKVASTSEIINNISTDSLVIQDVISEK >DRNTG_03767.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18139268:18147097:1 gene:DRNTG_03767 transcript:DRNTG_03767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAVNGRGDSTGVLSRSIHYEITSGYGSHGHDSWGREYEYTYAPQPGDDEIDGHGS >DRNTG_29221.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3240314:3241158:-1 gene:DRNTG_29221 transcript:DRNTG_29221.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFNEGLFDLAVKCFRRSGDNLREKWAQAAGLCATADRIISVDYNVGQTALRNAADIYESIGKPELAASCFLKLGDFEAAGMIYLNKCEASKLEAAGDCFVKAKRLFLAAEAYAKGNCFSKCLSCCTTGGHFDLGLKYLEQWRTGSQSKHPMLRKMEDIKITYLKNCAQHCHSAGDHNHMMAL >DRNTG_04327.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31248293:31249879:1 gene:DRNTG_04327 transcript:DRNTG_04327.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIFTLVFILFHSLHLVLSEDTTHGGFIQCLEKYSPLPIDLSTLIYFPNTTSYSSQLLSSIQNLRYASAATPKPVLIITPNCEFEVQATVYCCKANNLPLRIRSGGHDYEGLSYHSFTNGPFVLLDLAKLRSVDVDVEEGTAWVQVGATIGDLYYRIGEKTSTYGFPAGVCATLGTGGHFSGGGMGTMVRKYGLSADNILDAIMVDANGWLLDRESMDDDLFWAIRGGGGGNFGIILAWKVKLVPVPPTVTVVTITKRSKKEALDLIHKWQTIAPKLHENIFIEAVIRIVKAAGTGVEANFNTMFLGECSELLHLMGASFPELGVKAKDCKKMSWVESTLYFAGFTNGEPLETLMDRKLQRKAFVKAKSDFVMKPVKREVWDDVWERFSKMELAFMSMYPYGGRMAEIEEDEIPFPHRKGNLYNIQYVVPWQDGGVEAAERNMNWIKNLHDMMAPHVSKNPRTAYVNFRDLDLGRNEDEETCYLDARVWGRKYFKGNFWRLAMVKGVVDPDNFFRSEQSIPPLVHWA >DRNTG_07268.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:529801:532652:1 gene:DRNTG_07268 transcript:DRNTG_07268.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGCEKDRHRKEMVVTQVSFGGFDESVSAKELTDYLEFEAGLIWRCRLKTSWTPPDSHPDFGITDMFAMPRKDDFMKVAPHAFVHFASPDAAKKAINAAGRCELVLNGHPLRVNAGTESSFRVNRRRTTDPFKFSNVNVEIGTLVRKYVFWVGWKGPDSGTDFVIDPFDGCCKIHFSKETPFSFKSTREIAVIKCDFKVEFLVRDIDRIEVYKDQAPFVLQLNLTSAPCVYYRTAEDDIHVSVPFNLLDDEDPWIRTTDFTASGAIGRCSSYRVSLSPRFGRKLEKALAYMTEHRIAESRPNYQIEVQDEPDFGICMPDSIFCVQNKNGISFATMYMVNAVVHKGIVNQHQLSEEFFNLLRSQNDLVNAASLRHIWAYKRPIFDALRRLKLVQEWLLKNPKLLKSSKVSVDNIEVRRLVITPTKAYCLPPETELSNRVLRKYKDIADRFLRVTFMDEGMQRLNANVLTSFTAPIVRDITLNSFPQKTTVFNRVRNILSDGFHLCGRKYSFLAFSSNQLRDRSAWFFADEPNTTADTIRHWMGKFSNRNVAKCAARMGQCFSSTYATVIVPAKDANLELDDIERNGYVFSDGIGKITPKLALEVTEKLQLGETPSAFQIRYAGCKGVIAVWPENSDGIHLSLRPSMNK >DRNTG_07268.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:529801:532652:1 gene:DRNTG_07268 transcript:DRNTG_07268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGCEKDRHRKEMVVTQVSFGGFDESVSAKELTDYLEFEAGLIWRCRLKTSWTPPDSHPDFGITDMFAMPRKDDFMKVAPHAFVHFASPDAAKKAINAAGRCELVLNGHPLRVNAGTESSFRVNRRRTTDPFKFSNVNVEIGTLVRKYVFWVGWKGPDSGTDFVIDPFDGCCKIHFSKETPFSFKSTREIAVIKCDFKVEFLVRDIDRIEVYKDQAPFVLQLNLTSAPCVYYRTAEDDIHVSVPFNLLDDEDPWIRTTDFTASGAIGRCSSYRVSLSPRFGRKLEKALAYMTEHRIAESRPNYQIEVQDEPDFGICMPDSIFCVQNKNGISFATMYMVNAVVHKGIVNQHQLSEEFFNLLRSQNDLVNAASLRHIWAYKRPIFDALRRLKLVQEWLLKNPKLLKSSKVSVDNIEVRRLVITPTKAYCLPPETELSNRVLRKYKDIADRFLRVTFMDEGMQRLNANVLTSFTAPIVRDITLNSFPQKTTVFNRVRNILSDGFHLCGRKYSFLAFSSNQLRDRSAWFFADEPNTTADTIRHWMGKFSNRNVAKCAARMGQCFSSTYATVIVPAKDANLELDDIERNGYVFSDGIGKITPKLALEVTEKLQLGETPSAFQIRYAGCKGVIAVWPENSDGIHLSLRPSMNK >DRNTG_07268.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:529801:532652:1 gene:DRNTG_07268 transcript:DRNTG_07268.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGCEKDRHRKEMVVTQVSFGGFDESVSAKELTDYLEFEAGLIWRCRLKTSWTPPDSHPDFGITDMFAMPRKDDFMKVAPHAFVHFASPDAAKKAINAAGRCELVLNGHPLRVNAGTESSFRVNRRRTTDPFKFSNVNVEIGTLVRKYVFWVGWKGPDSGTDFVIDPFDGCCKIHFSKETPFSFKSTREIAVIKCDFKVEFLVRDIDRIEVYKDQAPFVLQLNLTSAPCVYYRTAEDDIHVSVPFNLLDDEDPWIRTTDFTASGAIGRCSSYRVSLSPRFGRKLEKALAYMTEHRIAESRPNYQIEVQDEPDFGICMPDSIFCVQNKNGISFATMYMVNAVVHKGIVNQHQLSEEFFNLLRSQNDLVNAASLRHIWAYKRPIFDALRRLKLVQEWLLKNPKLLKSSKVSVDNIEVRRLVITPTKAYCLPPETELSNRVLRKYKDIADRFLRVTFMDEGMQRLNANVLTSFTAPIVRDITLNSFPQKTTVFNRVRNILSDGFHLCGRKYSFLAFSSNQLRDRSAWFFADEPNTTADTIRHWMGKFSNRNVAKCAARMGQCFSSTYATVIVPAKDANLELDDIERNGYVFSDGIGKITPKLALEVTEKLQLGETPSAFQIRYAGCKGVIAVWPENSDGIHLSLRPSMNK >DRNTG_07268.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:529801:532652:1 gene:DRNTG_07268 transcript:DRNTG_07268.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGCEKDRHRKEMVVTQVSFGGFDESVSAKELTDYLEFEAGLIWRCRLKTSWTPPDSHPDFGITDMFAMPRKDDFMKVAPHAFVHFASPDAAKKAINAAGRCELVLNGHPLRVNAGTESSFRVNRRRTTDPFKFSNVNVEIGTLVRKYVFWVGWKGPDSGTDFVIDPFDGCCKIHFSKETPFSFKSTREIAVIKCDFKVEFLVRDIDRIEVYKDQAPFVLQLNLTSAPCVYYRTAEDDIHVSVPFNLLDDEDPWIRTTDFTASGAIGRCSSYRVSLSPRFGRKLEKALAYMTEHRIAESRPNYQIEVQDEPDFGICMPDSIFCVQNKNGISFATMYMVNAVVHKGIVNQHQLSEEFFNLLRSQNDLVNAASLRHIWAYKRPIFDALRRLKLVQEWLLKNPKLLKSSKVSVDNIEVRRLVITPTKAYCLPPETELSNRVLRKYKDIADRFLRVTFMDEGMQRLNANVLTSFTAPIVRDITLNSFPQKTTVFNRVRNILSDGFHLCGRKYSFLAFSSNQLRDRSAWFFADEPNTTADTIRHWMGKFSNRNVAKCAARMGQCFSSTYATVIVPAKDANLELDDIERNGYVFSDGIGKITPKLALEVTEKLQLGETPSAFQIRYAGCKGVIAVWPENSDGIHLSLRPSMNK >DRNTG_17346.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32133251:32139767:1 gene:DRNTG_17346 transcript:DRNTG_17346.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISGRGGGSEAAENVKLNGAGGSASPKRIPPCCQKAMASLPESEAKCHATVVSGWFSQYKEGKPLYYNNPMWPGEAHSLKVEKILFQGKSDYQEILVFESTVYGKVLVLDGIIQLTEADECAYQEMIAHLPLCSIPSPKTVLVIGGGDGGVVREISRHSSVETIDICEIDKLVIDVCKQFFPDLSVGFEDPRVRLHVDDAVEFLRNASEGAYDAIIVDSSDPIGPAQELVEKPFFETIARALRPGGVLCNQAESMWLHTHLIQDMLSICHEVFKGSVRYAWTSVPTYPSGVIGFILCSKDGSPVDFVNPVNPIEKIKGTTLKSKKELHFYNSEVHKAAFALPSFAKRELRTLTRATTMLGAALTS >DRNTG_17346.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32133937:32139767:1 gene:DRNTG_17346 transcript:DRNTG_17346.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDISGRGGGSEAAENVKLNGAGGSASPKRIPPCCQKAMASLPESEAKCHATVVSGWFSQYKEGKPLYYNNPMWPGEAHSLKVEKILFQGKSDYQEILVFESTVYGKVLVLDGIIQLTEADECAYQEMIAHLPLCSIPSPKTVLVIGGGDGGVVREISRHSSVETIDICEIDKLVIDVCKQFFPDLSVGFEDPRVRLHVDDAVEFLRNASEGAYDAIIVDSSDPIGPAQELVEKPFFETIARALRPGGVLCNQAESMWLHTHLIQDMLSICHEVFKGSVRYAWTSVPTYPSGVIGFILCSKDGSPVDFVNPVNPIEKIKGTTLKSKKELHFYNSEVHKAAFALPSFAKRELRTLTRATTMLGAALTS >DRNTG_17346.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32133251:32139767:1 gene:DRNTG_17346 transcript:DRNTG_17346.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDISGRGGGSEAAENVKLNGAGGSASPKRIPPCCQKAMASLPESEAKCHATVVSGWFSQYKEGKPLYYNNPMWPGEAHSLKVEKILFQGKSDYQEILVFESTVYGKVLVLDGIIQLTEADECAYQEMIAHLPLCSIPSPKTVLVIGGGDGGVVREISRHSSVETIDICEIDKLVIDVCKQFFPDLSVGFEDPRVRLHVDDAVEFLRNASEGAYDAIIVDSSDPIGPAQELVEKPFFETIARALRPGGVLCNQAESMWLHTHLIQDMLSICHEVFKGSVRYAWTSVPTYPSGVIGFILCSKDGSPVDFVNPVNPIEKIKGTTLKSKKELHFYNSEVHKAAFALPSFAKRELRTLTRATTMLGAALTS >DRNTG_17346.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32133251:32139767:1 gene:DRNTG_17346 transcript:DRNTG_17346.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISGRGGGSEAAENVKLNGAGGSASPKRIPPCCQKAMASLPESEAKCHATVVSGWFSQYKEGKPLYYNNPMWPGEAHSLKVEKILFQGKSDYQEILVFESTVYGKVLVLDGIIQLTEADECAYQEMIAHLPLCSIPSPKTVLVIGGGDGGVVREISRHSSVETIDICEIDKLVIDVCKQFFPDLSVGFEDPRVRLHVDDAVEFLRNASEGAYDAIIVDSSDPIGPAQELVEKPFFETIARALRPGGVLCNQAESMWLHTHLIQDMLSICHEVFKGSVRYAWTSVPTYPSGVIGFILCSKDGSPVDFVNPVNPIEKIKGTTLKSKKELHFYNSEVHKAAFALPSFAKRELRTLTRATTMLGAALTS >DRNTG_17346.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32133937:32139767:1 gene:DRNTG_17346 transcript:DRNTG_17346.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDISGRGGGSEAAENVKLNGAGGSASPKRIPPCCQKAMASLPESEAKCHATVVSGWFSQYKEGKPLYYNNPMWPGEAHSLKVEKILFQGKSDYQEILVFESTVYGKVLVLDGIIQLTEADECAYQEMIAHLPLCSIPSPKTVLVIGGGDGGVVREISRHSSVETIDICEIDKLVIDVCKQFFPDLSVGFEDPRVRLHVDDAVEFLRNASEGAYDAIIVDSSDPIGMANFVLVKVFIRPLPFKLYIWCFK >DRNTG_28996.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28210562:28211530:-1 gene:DRNTG_28996 transcript:DRNTG_28996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNTGFQTYEGMEDHDLVSTQGHEEPQTTLLYNLSVLKEKVRQLQSLVTLIITPNHAEQESDTSEAISSADLLVRDLVFTASSTINALHQLHDLNLISKVSYDELSQVHTDPFHATNATTPHHFYSAIKNTDPMPHDNSSSMESAIIELEAGDLLAKYTHYCQVCGKGFRRDANLRMHMRAHGDAYKSNAALSNPMKNINACSDQGYNTTNNNVIRKYSCPQEGCRWNKNHAKFQPLKSMICAKNHYKRSHCPKMYVCKRCNLKHFSVLSDLRTHEKHCGDLRWRCSCGTTFSRKDKLFGHVALFVGHSPVINHNITGKTN >DRNTG_10726.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21718514:21719701:1 gene:DRNTG_10726 transcript:DRNTG_10726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTSSPNPSGIAIQDSPAPAPQTSSGSSINFKVLGIGITNVLLAFGLVMV >DRNTG_03198.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000145.1:14958:20392:1 gene:DRNTG_03198 transcript:DRNTG_03198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLVVAKTSRTGLYQIGFYVSVPDVAKTDKKAKERGLDAIETYVFLNTHEPAKRQYNFEGNLDLVWFMKDVENAGLFFTFFTLVMFVQSGIMDNELWGLHVLWYIVLMQNDPTVTAMSKRKFGFEGFGINRPSKYSFECSQAPQRLYAPPTTSPTTKTSTTSPKTTPAPPLPL >DRNTG_07188.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000323.1:78461:78925:1 gene:DRNTG_07188 transcript:DRNTG_07188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVNNDGSIISNADINFYIDEDIIHVVDSKSEKRYGDYFLRQILTFEDIISKLDRVKFD >DRNTG_16228.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30749420:30756358:1 gene:DRNTG_16228 transcript:DRNTG_16228.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATESPVRLIGSSGSTNWPMNKDSSNFPTSTSNMAAQELGLLLNGDRFQGGKKFSVPSRSGSAPPSVDGSLASLRNLISQQSAGSDQSLENLSNVVESFESEEQLIADPAYLAYYSSNVNLNPRLPPPLISPETRRLVHHIGGYGENWRTLSFDDNNKGPLFVSRPALSTHKEEPEDDSSPKQEPSDRTDRNSGFASARFASPSKGRHKSLVDLIQEDFPRTPSPVYHNQSRPTNHGPAEASIAGVSSGHSGASPPSSLKGELKSGNVNLDNGGLVVSVPEPDIGSLESDMKNLRMSNDGHRGHNTRQHPQQNVSHPRAPPSQGQVGQSQMAGTRRSQNLVDPILHGQTKLASVEAQPVIQSTGLTPPLYATAAAYGGPYYSNLQPSSLFPPQYNVGGYALTAPIMQPFITGYTHNAIPLPVDNPSSPNFSPRISGVATGGNLPPGVDLQQFYKFYGQLGMAMQPSFPDPVYMSYFQPSPVEAYSGANQYDAIASRGTPVGGMPDTYDPQKGLMPASYSSDQRPQLIRTGANNPNPRKGGPGSPTIYGSPPNMGVFMQYPTSPLASPVYQGSPVHGAGFSGRRNETMRLPFSPGRNAANSAGWPGQRGRERVEDIKSPTFLEELKSSKGRRFELSEIAGRIVEFSSDQHGSRFIQQKLETCSAEEKASVFEEVLPHASTLMTDVFGNYVIQKFFEHGSPEQRKQLANQLAGHVLPLSLQMYGCRVIQKALEVIELDQKTSLVLELDGHVMQCVRDQNGNHVIQKCIECVPTERIGFIISAFRGQVATLSTHPYGCRVIQRVLEHCTDEMQSQCIIDEILQSAFLLAQDQYGNYVTQHVLEKGKPHERSLIINKLAGQVVQMSQHKFASNVIEKCLEYGNATEKELLIEEIVGQTEGNDNLLTMMKDQFANYVVQKILETCSDKQRESILERIRIHLPALKKYTYGKHIVVRVEQLSDEEGHQEM >DRNTG_16228.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30749420:30756358:1 gene:DRNTG_16228 transcript:DRNTG_16228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESPVRLIGSSGSTNWPMNKDSSNFPTSTSNMAAQELGLLLNGDRFQGGKKFSVPSRSGSAPPSVDGSLASLRNLISQQSAGSDQSLENLSNVVESFESEEQLIADPAYLAYYSSNVNLNPRLPPPLISPETRRLVHHIGGYGENWRTLSFDDNNKGPLFVSRPALSTHKEEPEDDSSPKQEPSDRTDRNSGFASARFASPSKGRHKSLVDLIQEDFPRTPSPVYHNQSRPTNHGPAEGADSDTTSNSLHDSSIKMAKIAERKIAFGSHARTAIPGSLSVDSISNTDNSAASIAGVSSGHSGASPPSSLKGELKSGNVNLDNGGLVVSVPEPDIGSLESDMKNLRMSNDGHRGHNTRQHPQQNVSHPRAPPSQGQVGQSQMAGTRRSQNLVDPILHGQTKLASVEAQPVIQSTGLTPPLYATAAAYGGPYYSNLQPSSLFPPQYNVGGYALTAPIMQPFITGYTHNAIPLPVDNPSSPNFSPRISGVATGGNLPPGVDLQQFYKFYGQLGMAMQPSFPDPVYMSYFQPSPVEAYSGANQYDAIASRGTPVGGMPDTYDPQKGLMPASYSSDQRPQLIRTGANNPNPRKGGPGSPTIYGSPPNMGVFMQYPTSPLASPVYQGSPVHGAGFSGRRNETMRLPFSPGRNAANSAGWPGQRGRERVEDIKSPTFLEELKSSKGRRFELSEIAGRIVEFSSDQHGSRFIQQKLETCSAEEKASVFEEVLPHASTLMTDVFGNYVIQKFFEHGSPEQRKQLANQLAGHVLPLSLQMYGCRVIQKALEVIELDQKTSLVLELDGHVMQCVRDQNGNHVIQKCIECVPTERIGFIISAFRGQVATLSTHPYGCRVIQRVLEHCTDEMQSQCIIDEILQSAFLLAQDQYGNYVTQHVLEKGKPHERSLIINKLAGQVVQMSQHKFASNVIEKCLEYGNATEKELLIEEIVGQTEGNDNLLTMMKDQFANYVVQKILETCSDKQRESILERIRIHLPALKKYTYGKHIVVRVEQLSDEEGHQEM >DRNTG_35395.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1641433:1642842:1 gene:DRNTG_35395 transcript:DRNTG_35395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVWMKIAGYEVSICTSAMKKVAYVVLFFIFSASGVMIGLVTGAVNGQTKETGMVRGAMIGAIAGAVVGMEVLESCFQGELLSKMRIFKSLVNGKIFREYVGPAILKAYQWQQNEAEINYSETADMFDASKNKGLLPENVKKLPEFIISDRAICCAICLQDCKIGESARRLLSCAHFFHMKCIDEWLVRNATCPICRKDV >DRNTG_19220.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000990.1:120059:120462:1 gene:DRNTG_19220 transcript:DRNTG_19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQQSLAETLAGSFGPSGSSGNVANYMGQMAMAMGKLGTLKNFIRQADNLRQQTLQQMHRMLTTRQFAHALLAINDYFSRLQALSSLGLARPKE >DRNTG_34384.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17940281:17941234:1 gene:DRNTG_34384 transcript:DRNTG_34384.5 gene_biotype:protein_coding transcript_biotype:protein_coding KTRRNYLSIAYFILASLQGLSPFMFVFALIGNATYVGSILVNSLEWHKIKPNLPWLVDAGGCVLLDTFILIQFAYFHYRRPKDLDGE >DRNTG_34384.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17937324:17941234:1 gene:DRNTG_34384 transcript:DRNTG_34384.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFVSRRLLQDKAVQLAIVREGASNGIGTILGWAMAAIYMGGRLPQICLNIRRGNVEGLSPFMFVFALIGNATYVGSILVNSLEWHKIKPNLPWLVDAGGCVLLDTFILIQFAYFHYRRPKDLDGE >DRNTG_34384.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17935825:17941234:1 gene:DRNTG_34384 transcript:DRNTG_34384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLKAGPPVCPSNLHCSEWARVYLSYCLCGVKDGMSLILGLISVISWGVAEVPQIVTSYREKSTEGLSIAFLMTWIVGDLFNVTGCLLEPSTLPTQFYMALLYTATTAILTAQTIYYGHIYPRLKERRRHQFHKKQQEQGEVAKEKLLGSSTSSVAIVQDSDSGSIEDQPRAPSSPIPVSVPLHHFGSAGRDLYYKSARSLSSSPIPTGGSWIAHSRHSPENSAFSQSAFYNRAFD >DRNTG_34384.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17935825:17940125:1 gene:DRNTG_34384 transcript:DRNTG_34384.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLKAGPPVCPSNLHCSEWARVYLSYCLCGVKDGMSLILGLISVISWGVAEVPQIVTSYREKSTEGLSIAFLMTWIVGDLFNVTGCLLEPSTLPTQFYMALLYTATTAILTAQTIYYGHIYPRLKERRRHQFHKKQQEQGEVAKEKLLGSSTSSVAIVQDSDSGSIEDQPRAPSSPIPVSVPLHHFGSAGRDLYYKSARSLSSSPIPTGGSWIAHSRHSPENSAFSQSAFYNRAFD >DRNTG_34384.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17935825:17940125:1 gene:DRNTG_34384 transcript:DRNTG_34384.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLKAGPPVCPSNLHCSEWARVYLSYCLCGVKDGMSLILGLISVISWGVAEVPQIVTSYREKSTEGLSIAFLMTWIVGDLFNVTGCLLEPSTLPTQFYMALLYTATTAILTAQTIYYGHIYPRLKERRRHQFHKKQQEQGEVAKEKLLGSSTSSVAIVQDSDSGSIEDQPRAPSSPIPVSVPLHHFGSAGRDLYYKSARSLSSSPIPTGGSWIAHSRHSPENSAFSQSAFYNRAFD >DRNTG_34384.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17940400:17941234:1 gene:DRNTG_34384 transcript:DRNTG_34384.6 gene_biotype:protein_coding transcript_biotype:protein_coding KTRRNYLSIAYFILASLQGLSPFMFVFALIGNATYVGSILVNSLEWHKIKPNLPWLVDAGGCVLLDTFILIQFAYFHYRRPKDLDGE >DRNTG_02083.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21896257:21897199:-1 gene:DRNTG_02083 transcript:DRNTG_02083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQTPYHLGFVIANSFHHQVTNSRGHIIFSGPYITRLLGGMGLLGDFDHMPTVGGYKPISLSSLHSLGLISPQQSRDIATPSIVVPSSLDPDITTVPPSMSRHSWPLWFGQSLQRIADHCVSSYGPPFDDHSPKDSFSS >DRNTG_13882.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2530796:2531062:1 gene:DRNTG_13882 transcript:DRNTG_13882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPVQLQRPRDLHLPWQQRQGHELR >DRNTG_21065.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4774714:4778912:-1 gene:DRNTG_21065 transcript:DRNTG_21065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPVKDASIMLARWLENSTELRTSLQTSILPIGCIKLGLSRHRALFFKVLVDKVGVSCKLIKGSQEFLVDLMADPGTLIPADVLSAKDAPLSLSNLRLAENFNSWTANKPGDGKALLDGSSGTGSSFVTGRDTSDSKRNGAFSAGRPSEFNKSNISSGLHKMVGCILAKLLHKLINN >DRNTG_18383.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000946.1:89006:89662:1 gene:DRNTG_18383 transcript:DRNTG_18383.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVCLSLVNILTYACVLKLSLIRDSTENISGGIGHLRLIDLIGFMPISCRSSIT >DRNTG_18383.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000946.1:88229:89662:1 gene:DRNTG_18383 transcript:DRNTG_18383.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVCLSLVNILTYACVLKLSLIRDSTENISGGIGHLRLIDLIGFMPISCRSSIT >DRNTG_18383.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000946.1:86420:89662:1 gene:DRNTG_18383 transcript:DRNTG_18383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVCLSLVNILTYACVLKLSLIRDSTENISGGIGHLRLIDLIGFMPISCRSSIT >DRNTG_08993.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28989099:28990327:-1 gene:DRNTG_08993 transcript:DRNTG_08993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLMALLHLPLGRMLTRISSLSCLHFNPLCNNFSTITLPHKPLLKISRIEARANTRTESAKIRNRRRRKKFNGSASKPRLSVFCSGKQLYAMLVDDQNKKTLFYGSTLQKSIRQDLLCSTIEAAQKVGEELIKACKELNISEISSYDRNGFAKGERMAAFEIAISQHGFL >DRNTG_31683.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18443048:18447040:-1 gene:DRNTG_31683 transcript:DRNTG_31683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSPSSLLRHRPLLFAGAAATALPRRFSIISLSGARENPPKQLLKAKESIKSVPSLSPSLEPSGAVPKLLPTQAIGLVAAAQANFMRVIVESAGGPPDNPTIFNEDPRIGRELLCVVRALLKKIKRRVLVGDKVLVGSVDWTDRKGKIDDVFQRKSEMLDPPVANVDHLLVLFSMEQPRLEPFTLTRFLVEAESSGIPFALALNKSELVGEETLSAWNERLNSWGYKPLFCSVETKTGLAALEKILQGQTTVIAGPSGVGKSSLINTLRNTQHINDEEEMSYLKERMEGSKWFGDQRVGVVSKSGRGKHTTRHVSLLPLSGGGYLADTPGFNQPNLLKVTKRSLAETFPEIRQRLEAAKCAFNDCLHLGEKGCVVAADWERYQYYFQLLDEIKIREEFQLRTIGTKREGDVRYKVRDMGVKQAEPRLELKKHRRISRKRLNQSILNELDEEDWSDIEEGSW >DRNTG_20654.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20363935:20366855:-1 gene:DRNTG_20654 transcript:DRNTG_20654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNGKWLGAVKRVFSPESKDKVNKSKKKWGFGKSKQSEPCLSESLDNVAAPSAAPAPPPIPHPEEVKLAENELTMQTQSVALPTAKTAEPTSPAAPTPADFERVITPSKFSVKLSEEAAAIKIQTAFRGYLARRALRALRGLVRLKSLIQGDAVKRQATTTLRCMQTLARVQSQIRSRRIRMSEENQALQRQLLLKNGRDLEKWRGGDDWNDSPQTKEQTDASLLSKQEAAVRRERAMAYAFSHQWKSSSRSGNPLFTDPSNPQWGWSWLERWMAARPWESRSVADKETSNDQASVKSAARSVAGDITKAYARRDSNSERVSGLSLKVNRHSPATPPFKPTPLTGKIKSASPKSGWAMLDDDSRSMISLQSERHRRHSVGGSSIRDDESLASSPAVPSYMAQTESARAKSRFQSSAASDAPETPEKGLVVKKRLSFPAGDKNGVTSPASLGRRHSGPPKVDTASMKDIAINS >DRNTG_34295.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20412447:20415927:-1 gene:DRNTG_34295 transcript:DRNTG_34295.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase-like 1 [Source:Projected from Arabidopsis thaliana (AT4G33410) UniProtKB/Swiss-Prot;Acc:Q93Z32] MESLWKLTYLLEPASLALIVTAIFVTFASAFRALSHGKEMERNRDFSEASITLDQSQALMIPIASSCSLLLMFYLFSSVSHLLTAFTAIASASALYFCFSPYIAYLKNQLGLTDPLVSRCCSKSFTKTQGILLLVCIGTVIAWLVSGHWMLNNLLGIAICIAFVSHVRLPNIKICVLLLVLLFVYDIFWVFFSERFFGANVMVSVATQKASNPVHTVANSLSLPGLQLIAKKLELPVKLVFPRNLLGGIVPGNSAVDYMMLGLGDMAIPGMLLALVLYFDYRKE >DRNTG_25964.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22414475:22416397:-1 gene:DRNTG_25964 transcript:DRNTG_25964.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTTTTMSNKQHIVMLPFMAQGHLIPFVSLAKLIEQRYPDFTITIVNTPFNIQTLQSSLPPHSNIHLLSLPFSTSIHGLPPNVENSHSLTTQQLPSLMHASEFLEPHFNLLISDLSKTSTCRLCIIADVFFGWTISIARRHNAFHATFTTCGAYGTAAYYSLWLHLPHTHSPESMVFSIPWFPPSFCFHRSQLSSYLRKADGSDAWSLFLQKHIRLSFSSDALLCHTAEEVEPLGLRILRCHTGLKVYPIGPLNPLPVWRGGKKPEMACVAWLDTHPAGSVIYVSFGSQNSISASQMKSLAAGLEASRKPFVWVIRPPIGFDVNGEMKEEWLPEGFSKRISESGQGFLVERWAPQLEILAHKSTGVFISHCGWNSVLESLSQGVPIIGWPLISEQFYNSKMMEEELGVCVELARGVEDEVESMDVEKVIGLVLDVEKGKEMKKKALKCMEMMSEAMKDDGSSLIALDEFIKNLFG >DRNTG_14891.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3322760:3328427:1 gene:DRNTG_14891 transcript:DRNTG_14891.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLLRESANPFVLDRDGATLIHYAVQTASSQAIKILLLYNVDINLPDDDGWTPLHLAVQTKRTDIVRLLLIKGADKTLRNRDGLTPLDLCLYSGHDKRTYELIKLLKVFPKSKSLS >DRNTG_29828.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23221545:23223115:-1 gene:DRNTG_29828 transcript:DRNTG_29828.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKFSTLILKVDLSCCQCNRKIKKLLCKIQDRENIRLIAYDDKNNTVTISGPFDPHYLKRKLYCKACKIIKDIQIPPPPPPPPEKKPDPPPPEKKPDPPAEKKPDPPPEKKPDPPPEKKPDPPPEKKPDPPPEKKPDPPPEKKPEKKPEPPKSVWPPGPVCCNQPCYVGMFGGLKCASCGMVYTWTNQGPPPVMVYNQPPVPRPYYYDGYSYGYGHGCACGCGQPKTCQFICEDNSSQCTIM >DRNTG_29828.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23221450:23223115:-1 gene:DRNTG_29828 transcript:DRNTG_29828.4 gene_biotype:protein_coding transcript_biotype:protein_coding SKSKLIESSHSTFPQFSTLILKVDLSCCQCNRKIKKLLCKIQDRENIRLIAYDDKNNTVTISGPFDPHYLKRKLYCKACKIIKDIQIPPPPPPPPEKKPDPPPPEKKPDPPAEKKPDPPPEKKPDPPPEKKPDPPPEKKPDPPPEKKPDPPPEKKPEKKPEPPKSVWPPGPVCCNQPCYVGMFGGLKCASCGMVYTWTNQGPPPVMVYNQPPVPRPYYYDGYSYGYGHGCACGCGQPKTCQFICEDNSSQCTIM >DRNTG_29828.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23221450:23223115:-1 gene:DRNTG_29828 transcript:DRNTG_29828.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKFSTLILKVDLSCCQCNRKIKKLLCKIQDRENIRLIAYDDKNNTVTISGPFDPHYLKRKLYCKACKIIKDIQIPPPPPPPPEKKPDPPPPEKKPDPPAEKKPDPPPEKKPDPPPEKKPDPPPEKKPDPPPEKKPDPPPEKKPEKKPEPPKSVWPPGPVCCNQPCYVGMFGGLKCASCGMVYTWTNQGPPPVMVYNQPPVPRPYYYDGYSYGYGHGCACGCGQPKTCQFICEDNSSQCTIM >DRNTG_29828.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23221311:23223115:-1 gene:DRNTG_29828 transcript:DRNTG_29828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKFSTLILKVDLSCCQCNRKIKKLLCKIQDRENIRLIAYDDKNNTVTISGPFDPHYLKRKLYCKACKIIKDIQIPPPPPPPPEKKPDPPPPEKKPDPPAEKKPDPPPEKKPDPPPEKKPDPPPEKKPDPPPEKKPDPPPEKKPEKKPEPPKSVWPPGPVCCNQPCYVGMFGGLKCASCGMVYTWTNQGPPPVMVYNQPPVPRPYYYDGYSYGYGHGCACGCGQPKTCQFICEDNSSQCTIM >DRNTG_28247.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2689340:2690950:1 gene:DRNTG_28247 transcript:DRNTG_28247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQTAEIAKINNRFKRQEVVINGWESDQVEKASSFFNKVERKLDEQRARAREKMQNDVAKARRKAEEKRASSEAKRGTKVARVLELANLMKAVGRAPSKRSFF >DRNTG_01577.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5458180:5461281:1 gene:DRNTG_01577 transcript:DRNTG_01577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDNSNPTSSAASRRRSMHQFLGGGLIADVLLWRRRNTAIAVLAGFTSTWILFELAGYSLFSLVANALCLLVAILFFWARAASLLNRPLPPLPNLEISEEVAGKVAVEVRVWINWALAIARDIAIGRDKKVFLQVILVLWMVSTIGGLVNFFTFVYIGVLLALTVPVLYDKYQDHVDEKLGVAHKVLLKQYDAILIKTGRKSSKEKKTQ >DRNTG_03466.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12926528:12926848:-1 gene:DRNTG_03466 transcript:DRNTG_03466.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFSGLLATSRAARPPPSPRSEHFTGPFNR >DRNTG_03466.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12926334:12926848:-1 gene:DRNTG_03466 transcript:DRNTG_03466.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFSGLLATSRAARPPPSPRSEHFTGPFNR >DRNTG_08045.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30283212:30286306:-1 gene:DRNTG_08045 transcript:DRNTG_08045.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGNNYFDDMRIKPEVVDPPKVDDLMEISENVNEHAQHTPKPNLTVASSVRELLECPVCLSAMYPPIHQCSNGHTICSVCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYHTLGCSGIYPYYCKLKHESQCTFRPYNCPYAGSECTVVGDIPFLVNHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDTEAKNYSYSLEVGANGRKMIWQGVPRSIRDGHRKVRDSYDGLVIQRNMALFFSGGDRKELKLRVTGRIWKEQ >DRNTG_08045.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30283212:30285333:-1 gene:DRNTG_08045 transcript:DRNTG_08045.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGNNYFDDMRIKPEVVDPPKVDDLMEISENVNEHAQHTPKPNLTVASSVRELLECPVCLSAMYPPIHQCSNGHTICSVCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYHTLGCSGIYPYYCKLKHESQCTFRPYNCPYAGSECTVVGDIPFLVNHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDTEAKNYSYSLEVGANGRKMIWQGVPRSIRDGHRKVRDSYDGLVIQRNMALFFSGGDRKELKLRVTGRIWKEQ >DRNTG_06428.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29861978:29864242:1 gene:DRNTG_06428 transcript:DRNTG_06428.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHFIQVCKPYIAMISLQFGYAGMNIITKVSLNHGMSHYVLVVYRHAFATLSIAPFALILERKLRPKLTFPIFMRIFVLGLLGPVIDQNLYYAGLKFTSPTFSCAMSNILPAMTFVIAVLCRMEKVDIKKVRCQAKVAGTLITVAGAMLMTLYKGPVVEMLQSKYAHFHPHPHPHPHPHPSKVHASQESSDKDWFKGSIFLIIATLAWASLFVLQAATLKKYSAQLSLTSLICFVGTIQAIAVTLVMEHNKPSAWKVGWDINLLAAAYAGIVTSSIAYYVQGLVMEKKGPVFASAFSPLMMIIVAIMGSFILAEKIYLGGVLGGVLIVVGLYSVLWGKYKEGGAMNIQVTELEVKEGSTVENGKRMDVVAIIDEQDPERRSHPNACMAPSTNDSTND >DRNTG_31217.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2391147:2393994:-1 gene:DRNTG_31217 transcript:DRNTG_31217.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLQVTSPPNFHSCTFPSIPSSAKPTFPPFSSPTITKSRLITRAHRPTWLPGLDPPSHLDGKLVGDFGFDPLGLGEDPESLRWYVQAELVHCRFAMAGVAGILFTDG >DRNTG_31217.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2390692:2393994:-1 gene:DRNTG_31217 transcript:DRNTG_31217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVETKRYMDYKNPGSQAQEGTFFGLEASLEGLEPGYPGGPLLNPLGLAKDIQNATELKLKEIKNGRLAMVAMVGFIVQAYVTHAGPIDNLITHLSNPWNKTIIQTLTASYS >DRNTG_31217.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2392736:2393994:-1 gene:DRNTG_31217 transcript:DRNTG_31217.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLQVTSPPNFHSCTFPSIPSSAKPTFPPFSSPTITKSRLITRAHRPTWLPGLDPPSHLDGKLVGDFGFDPLGLGEDPESLRWYVQAELVHCRFAMAGVAGILFTDG >DRNTG_04542.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22453422:22458759:1 gene:DRNTG_04542 transcript:DRNTG_04542.1 gene_biotype:protein_coding transcript_biotype:protein_coding TVHEDKMLIDSIVELSTNPCWTIENGFRNGYQNQLERMIKEKIPQTTLKIVSNIESRVKLFRNKTIAITDILRISGFVWNHEQYTIECDKSSYYEYAKLQVFMENLFHFSLTLSKYLQKIEHKALHEEILVMM >DRNTG_07998.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30010995:30013257:-1 gene:DRNTG_07998 transcript:DRNTG_07998.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional protein FolD 2 [Source:Projected from Arabidopsis thaliana (AT3G12290) UniProtKB/Swiss-Prot;Acc:Q9LHH7] MAKIIDGKAIAKDIHGEIADEVRSLLEKYGKVPGLAVVIVGMRKDSQSYVRMKRKACAEVGIKSFDIDLPEDVSEDEVIATVHQLNANPDVHGILVQLPLPKHINEEKVLSEISIEKDVDGFHPLNIGKLAMKGRDPLFTPCTPKGCLELLSRSGISVRGKRAVVVGRSNIVGLPVALLLLKADATVTIVHSRTPDSEKIIQEADIVIAAAGQAMMIKGDWLKPGAAVIDVGTNSVDDPSRKTGYRLVGDVDFEGASKVAGWLTPVPGGVGPMTVAMLLKNTLDGAKLKIAQ >DRNTG_04001.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25819092:25821455:1 gene:DRNTG_04001 transcript:DRNTG_04001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDETSEKKWLDILLSDIWGHVDRQEAIPPALFLSYLSLPAQLKRCFSYCALFPRDNFFEREKLIRLWMAEGFIQPKEGKITEDIGANYFDELLRRSFLQYFHADQCHFVMHDLVHKLAEIVSGEVICQLENGGMSLISCKARHSSIILHISSTPVKIHSSDESKALWTFLVIHRLLNTCSAIFLMSKSRLRLRIENNIFNNLQCLRTLDLSETDIIRLPDSIDKLIHLRYLGLNHTKIQSLPESVCNLYKLQTIEMLHCGDLIKLAKGITNLRNLRHLCVFSYLNSNPMPKGIGLLTNLQTLSNFCVGSDKEHCEITELKDLVNLRGELRISRLANITYSYDTEGALKDNFITEQKPNPEEERVFESLKPHINLKDLVIQDYCSVRFPNWIADHSFCNLVSIKLDSCGGCKALPPLGQLPSLQDLVMEQFSELECIGPEFISKDYFPSLQRLHFFLGKRSGANPLETQGRAQASMEQVGTGPRSRGAGTTRTQLLFTTPRNVPSAENRTLTTRLVFLEKLKIQFCPGVIFLPDQELPPTLQILDILHCPLLAEWCQGHGRNKLAHIPRVIIDQKDFHPIDSHKGN >DRNTG_17688.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28224773:28228882:1 gene:DRNTG_17688 transcript:DRNTG_17688.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGCIERTVLGEVAESIKIVLKRQASIVIKLDVKKSEDLRLGISAEIVQSAILNHPKLKLKPDEVRVLSLRKLRIIPNVGNRSKLRFGLHTLKSMLPKLVVKGIPTVERAVINNDKGRHHLLVEGTNLLAVMGIPGVCSKETKSNHVIEVHQTLGIEASRRSIINEIQYTMGTHGMSIDSRHMMLLSDLMTCRGEVLGITRFGISKMKDSVLMLASFEKTAEHLFNASFSGREDQIEGVSECIIMGIPMQLGTGILKVRQRVDPLPELKYEPDPILS >DRNTG_17688.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28224535:28228882:1 gene:DRNTG_17688 transcript:DRNTG_17688.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKTFHFAGVASMNVTLGVPRIKEIINASKNISTPIITAILNSDTLFSARMVKGCIERTVLGEVAESIKIVLKRQASIVIKLDVKKSEDLRLGISAEIVQSAILNHPKLKLKPDEVRVLSLRKLRIIPNVGNRSKLRFGLHTLKSMLPKLVVKGIPTVERAVINNDKGRHHLLVEGTNLLAVMGIPGVCSKETKSNHVIEVHQTLGIEASRRSIINEIQYTMGTHGMSIDSRHMMLLSDLMTCRGEVLGITRFGISKMKDSVLMLASFEKTAEHLFNASFSGREDQIEGVSECIIMGIPMQLGTGILKVRQRVDPLPELKYEPDPILS >DRNTG_17688.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28224269:28228882:1 gene:DRNTG_17688 transcript:DRNTG_17688.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKTFHFAGVASMNVTLGVPRIKEIINASKNISTPIITAILNSDTLFSARMVKGCIERTVLGEVAESIKIVLKRQASIVIKLDVKKSEDLRLGISAEIVQSAILNHPKLKLKPDEVRVLSLRKLRIIPNVGNRSKLRFGLHTLKSMLPKLVVKGIPTVERAVINNDKGRHHLLVEGTNLLAVMGIPGVCSKETKSNHVIEVHQTLGIEASRRSIINEIQYTMGTHGMSIDSRHMMLLSDLMTCRGEVLGITRFGISKMKDSVLMLASFEKTAEHLFNASFSGREDQIEGVSECIIMGIPMQLGTGILKVRQRVDPLPELKYEPDPILS >DRNTG_24129.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:187811:208383:1 gene:DRNTG_24129 transcript:DRNTG_24129.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin transport protein BIG [Source:Projected from Arabidopsis thaliana (AT3G02260) UniProtKB/Swiss-Prot;Acc:Q9SRU2] MLLNALSSILKIYLQVLQSAFLSTLDHNISLAGGPYSLLLFKHTRFDGCKLASLLKLSAADSLWLESTCGLLTKLDEIAREEDKGNLNFVSFRCRCHGFPSNGEPSSSTLISCVLIVREIISILDGYLTVKVAEGSLCVEMPVLCQLLESVISIKSDRIFQCISEKCDSVYSMLVSSQKEMSGFSDLLVLKQIGSFLEEMNASDVCNKNVQEMLIVDVVDFIEGLRGDDSKEKVFQSFMGSAENLSEKAKQLFGGQCSNLLVLINALDKCYSEMVNVKVLNLFVDLFAGGMYPGLKVKVERKFMEMDLSCLSSWLENRLLGCTTESPEGAVSVKSSAGSLRESTMNFITHLVSQSTETVSVELQHRIVEAMLMSLDSAFVLHDIHIARAYFSFVVQLLSGKSSIKLLLERTMCLIEKLADNDGLLQGLKFLFGFLGSVLGDSGANKSASDKLSSKLGSGNILGSGSMISKPLGLRKNSENLVLPANQESSSTLIDCDATSADEDEDDGTSDGEVGSIDKDEEEDGNSERALASKVCTFTSSGSNFMEQHWYFCYTCDLTISKGCCSICAKICHRGHRVVYSRSSRFFCDCGAGGVRGSSCQCLKPRKFTGSNNAPANNTSSFQSLLPFSQDSEQAPDSDTDLDDDIYLDIENSFNLPISREVRNELPAVLKDLDMEGRILELCNRLLPEVIDRRNSNSSKDTKVILGDDKVLSYTVDLFQLKKAYKGGSLELKIKTDYPNSRELKSLLISGSLIKSLLSVSIRGRLAAGEGDKVAIFDLGQLIGQPTATPVTADKINVKPLSKNIVRFEIVHLLFNPVVENYLAVAGYEECQVLTVNSRGEVTDRLAIELALQGAYIRKVEWVPGSQVQIMVVTNVFVKIYDLSQDNISPMYYFTLADDLIMDATLVSAPMGKVFVLVLSERGCLYRLELSMEGDIGAKAITDIIHVQHKDFQPKGLSLYFSSTYRLLFLSYQDGTTLIGRLDANATSLTEISAVYEDLDGKAKPAALHHWRELLVSGGVFVSFSSFKSNAALAISIGPHELFAQNMRYGAGLSLHVVGAAAYKPLSKDKAHCVVLHDDGSLQIYSHVPMGSDLATNLSSDHTKKLGSGILNSRAYDSLNPEFPLDFFEKTMCITPDVKLSGDSIKNGDSEGIKQRLASEDGFVESASSAGFKVTVSNSNPDIVMVGFRVHVGNTSPNHIPSEIAIFQRVIKLDEGMRSWYDIPFTIAESLLADEEFTISVGRTFDDINMPRIDSLEVYGRAKDEFGWKEKMDALLDMEAHVLGSGSSVTGAGKKFQAVPAASMQEQVLSDTLRLLSKIYLLCRSDSSEAEDAISDLDKLKCNKLLETIFQSDREPLLQSAACRVLQAVFPKREIYYHVKDTMRLMGVVSSSPMLSSRIGTGGATGGGVVKEFTAQLRAVSKIALHRRSNLATFLKIHGSGIVDGLMQVLWGILDLEQLDTQAVNNIVVPSVELIYSYAECLVLHGTEASGSSVAPAVALLKKLLFAPYEAVQTASSLAISSRLLQVPFPKQTMIATDDAAEHPVTVHAPSDVSASGGNAQLMIEEDSGTSSVQYCCDGCSTVPIVRRRWHCNICPDFDLCEACYEVLDADRLPPPHSRDHPMSAIPIEIDSLGDGNEIHFSLDELTDTSIMPAAMDIGTNNSPSSLHILETNEAGDFPASTSDQRIVSISASKRAVNSLLLRQLVGELKGWMVSTSGLRAIPVMQLFYRLSSAVGGPFMDSSNNENLDLEKFVKWFLDEINLNRPFNAKLRSSFGEATILVFMFFTLMLRNWHQPGNDSSQSKSGGALDSQDKVSVQISSVAAITTPSVDHEKNEFASQLVRACTYLRQQTFVNYLMDILQQLVHIFKSTSINVDGSLSSGSGCGSLLTVRRELPAGNFSPFFSDSYAKAHRADFFADYHKLLLENTFRLVYSLVRPEKQDKLAEKDKVYKSCIGKDLKLEGFQDVLCSYISNPNTTFVRRYARRLFLHLCGSKTHYYSVRDSWQFSNEVKKLYKLVNKAGGFQNPMPYERSVKLVKCLSAISEVASSRPRNWQKYCSKHSDFLPFLMKGIFYFGEESVIQTLKLLNLAFYTGKDMGHSTQKGETGDVGTSSNKGGQQSLDSKKKRKGEDGTENGSEKTCLEMEQAVEIFNDKDGYILRHFIDSFLLEWNSTSVRVEAKCVLYGIWYHGKQSSKEALLIDLLQKVKCLPMYGQNIVEYTELMTLLLGKSPDASVKQYETEFVGRCLTSDFISCMYETLHSQNELLANHPNSHIYNTLSSLVEFDGYYLESEPCVACSCPEVPYSRMKLESLKSETKFTDNRIIVKCTGSYTIQTVTMNVHDARKSKSVKVLNLYYNNRPVADLSELKNNWSLWKRAKSCHLAFNQTELKVEFPIPITACNFMIELDSFYENLQASSVESLQCPRCSRSVTDKHGICGNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFNFMAKPSFTFDTMENDEDMKKGLAAIESESENAHRRYQQLLGFKKPLLKLVSSIGEHDIDSQQKDTVQQMMVSLPGPSCKINRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMAYLHQKNSDDMLASSRFAVSRSPNNCYGCAITFVAQCMELLLVLSKHPNCKKQLVAAGILPELFENNIHQGPKSARVQARAVLCAFSEGDSNAVAELNSLIQKKVMYCLEHHRSMDIALATREELLLLSETCAVVDEFWEARLRVAFQLLFSSIKLGAKHPAISEHIILPCLRIVSQACTPPKSESAEKEQVSGKSASVLHSKNDHSLNTSSHSMSSGTKVLPELSEKNWDGGRKVQDIRLLSYSEWERGASYLDFVRRQYKASQAVKATSQRTRQDPQKLEYISLKYALKWKRRACRRTSKGDLSTFALGSWVSELILSTCSQSIRSEICALISLLCPQNSSRRFQLLNLLTSLLPATLPAGESAAEYFDLFFKMIDSEAARLFLTVRGCLTDICKLITVEVGNVESRERSLNIDISQGFILHKLIELLSKFLEVPNIRTRFMRDELLSEILEAFLIIRGLIVQKTKLISDCNRLLKDLLDSLLLENTGNKRQFIRACISGLQNRGKERKGRTSLFILEQLCNMICPSKPEPVYLLILNKAHTQEEFIRGSMTKNPYSSTEIGPLMRDVKNKICHQLDLLGLLEDDYGMELLVAGNIISLDLSISQVYEQVWKKYHSKAQNTLSSSVAAASGGFSSVKDGPPMTVTYRLQGLDGEATEPMIKELEEEREESQDPEVEFAIAGAVRECGGLEIILSMIQHLRDDELKSNQEEMSSVLNLLRYCCKIRENRRALLRLGALGLLLDTAKRAFSVDAMEPAEGILLIVESLTMEANESDIGIAQSVLTVTNEESGADEQAKKIVLMFLERLCHKKSNKQQRNDEMVARILPYLTYGEPAAMEALVQHFYPFLQDWGEFDRLQKEHQCNPKDEMLAEQAGKQRAALENFVRVSESLKTSSCGERLKDIILEKGITRLAVKHLRECFAVTGKAVFRSTPEWASGLKLPSVPLILSMLRGLSKGHLATQLCIDEEGILPLLHALEGVAGENEIGAKAENLLDTLADKESNGDGFLGEKIDNLRHATKDEMRRRALRRREELLKDLGMRREFASDGGERIIVSKPVIEGLEDVEEEEEDGLACMVCREGYSLRPNDMLGVYSYSKRVNLGSTSSGSGQGDCVYTTVSHFNIIHFQCHQEAKRADAALRNPKKEWEGATLRNNETLCNCIFPLKGPSVPLPQYVRCVDQFWDNLNALGRADGSRLRLLTYDIVLMLARFATGAPFNTDCKGGGRESNSRFLPFMIQMACHLLDQGSSGQQRRTIAKSVTSYLSSTPTDSPTRPSSSFPLPRSSNGPSDETVQFMMVNSLLSESYEDWTQHRPAFLQRGIYHAYMQHKHGRSTLRVSDSDTTAAIAKSDEGSGSSTNTSDTAKLFAIVHPMLVYTGLIEQLQQFFKLHKTSTTTTTTAGSKEPVGDDGGNGKGLERWEVVMREKVVNVKEMVGFSKEMLSWLEEMTSAIDLQEAFDVMGSLGDVVSAGFSRCEDFVQAAILAGKS >DRNTG_24129.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:195892:208383:1 gene:DRNTG_24129 transcript:DRNTG_24129.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin transport protein BIG [Source:Projected from Arabidopsis thaliana (AT3G02260) UniProtKB/Swiss-Prot;Acc:Q9SRU2] MVGFRVHVGNTSPNHIPSEIAIFQRVIKLDEGMRSWYDIPFTIAESLLADEEFTISVGRTFDDINMPRIDSLEVYGRAKDEFGWKEKMDALLDMEAHVLGSGSSVTGAGKKFQAVPAASMQEQVLSDTLRLLSKIYLLCRSDSSEAEDAISDLDKLKCNKLLETIFQSDREPLLQSAACRVLQAVFPKREIYYHVKDTMRLMGVVSSSPMLSSRIGTGGATGGGVVKEFTAQLRAVSKIALHRRSNLATFLKIHGSGIVDGLMQVLWGILDLEQLDTQAVNNIVVPSVELIYSYAECLVLHGTEASGSSVAPAVALLKKLLFAPYEAVQTASSLAISSRLLQVPFPKQTMIATDDAAEHPVTVHAPSDVSASGGNAQLMIEEDSGTSSVQYCCDGCSTVPIVRRRWHCNICPDFDLCEACYEVLDADRLPPPHSRDHPMSAIPIEIDSLGDGNEIHFSLDELTDTSIMPAAMDIGTNNSPSSLHILETNEAGDFPASTSDQRIVSISASKRAVNSLLLRQLVGELKGWMVSTSGLRAIPVMQLFYRLSSAVGGPFMDSSNNENLDLEKFVKWFLDEINLNRPFNAKLRSSFGEATILVFMFFTLMLRNWHQPGNDSSQSKSGGALDSQDKVSVQISSVAAITTPSVDHEKNEFASQLVRACTYLRQQTFVNYLMDILQQLVHIFKSTSINVDGSLSSGSGCGSLLTVRRELPAGNFSPFFSDSYAKAHRADFFADYHKLLLENTFRLVYSLVRPEKQDKLAEKDKVYKSCIGKDLKLEGFQDVLCSYISNPNTTFVRRYARRLFLHLCGSKTHYYSVRDSWQFSNEVKKLYKLVNKAGGFQNPMPYERSVKLVKCLSAISEVASSRPRNWQKYCSKHSDFLPFLMKGIFYFGEESVIQTLKLLNLAFYTGKDMGHSTQKGETGDVGTSSNKGGQQSLDSKKKRKGEDGTENGSEKTCLEMEQAVEIFNDKDGYILRHFIDSFLLEWNSTSVRVEAKCVLYGIWYHGKQSSKEALLIDLLQKVKCLPMYGQNIVEYTELMTLLLGKSPDASVKQYETEFVGRCLTSDFISCMYETLHSQNELLANHPNSHIYNTLSSLVEFDGYYLESEPCVACSCPEVPYSRMKLESLKSETKFTDNRIIVKCTGSYTIQTVTMNVHDARKSKSVKVLNLYYNNRPVADLSELKNNWSLWKRAKSCHLAFNQTELKVEFPIPITACNFMIELDSFYENLQASSVESLQCPRCSRSVTDKHGICGNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFNFMAKPSFTFDTMENDEDMKKGLAAIESESENAHRRYQQLLGFKKPLLKLVSSIGEHDIDSQQKDTVQQMMVSLPGPSCKINRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMAYLHQKNSDDMLASSRFAVSRSPNNCYGCAITFVAQCMELLLVLSKHPNCKKQLVAAGILPELFENNIHQGPKSARVQARAVLCAFSEGDSNAVAELNSLIQKKVMYCLEHHRSMDIALATREELLLLSETCAVVDEFWEARLRVAFQLLFSSIKLGAKHPAISEHIILPCLRIVSQACTPPKSESAEKEQVSGKSASVLHSKNDHSLNTSSHSMSSGTKVLPELSEKNWDGGRKVQDIRLLSYSEWERGASYLDFVRRQYKASQAVKATSQRTRQDPQKLEYISLKYALKWKRRACRRTSKGDLSTFALGSWVSELILSTCSQSIRSEICALISLLCPQNSSRRFQLLNLLTSLLPATLPAGESAAEYFDLFFKMIDSEAARLFLTVRGCLTDICKLITVEVGNVESRERSLNIDISQGFILHKLIELLSKFLEVPNIRTRFMRDELLSEILEAFLIIRGLIVQKTKLISDCNRLLKDLLDSLLLENTGNKRQFIRACISGLQNRGKERKGRTSLFILEQLCNMICPSKPEPVYLLILNKAHTQEEFIRGSMTKNPYSSTEIGPLMRDVKNKICHQLDLLGLLEDDYGMELLVAGNIISLDLSISQVYEQVWKKYHSKAQNTLSSSVAAASGGFSSVKDGPPMTVTYRLQGLDGEATEPMIKELEEEREESQDPEVEFAIAGAVRECGGLEIILSMIQHLRDDELKSNQEEMSSVLNLLRYCCKIRENRRALLRLGALGLLLDTAKRAFSVDAMEPAEGILLIVESLTMEANESDIGIAQSVLTVTNEESGADEQAKKIVLMFLERLCHKKSNKQQRNDEMVARILPYLTYGEPAAMEALVQHFYPFLQDWGEFDRLQKEHQCNPKDEMLAEQAGKQRAALENFVRVSESLKTSSCGERLKDIILEKGITRLAVKHLRECFAVTGKAVFRSTPEWASGLKLPSVPLILSMLRGLSKGHLATQLCIDEEGILPLLHALEGVAGENEIGAKAENLLDTLADKESNGDGFLGEKIDNLRHATKDEMRRRALRRREELLKDLGMRREFASDGGERIIVSKPVIEGLEDVEEEEEDGLACMVCREGYSLRPNDMLGVYSYSKRVNLGSTSSGSGQGDCVYTTVSHFNIIHFQCHQEAKRADAALRNPKKEWEGATLRNNETLCNCIFPLKGPSVPLPQYVRCVDQFWDNLNALGRADGSRLRLLTYDIVLMLARFATGAPFNTDCKGGGRESNSRFLPFMIQMACHLLDQGSSGQQRRTIAKSVTSYLSSTPTDSPTRPSSSFPLPRSSNGPSDETVQFMMVNSLLSESYEDWTQHRPAFLQRGIYHAYMQHKHGRSTLRVSDSDTTAAIAKSDEGSGSSTNTSDTAKLFAIVHPMLVYTGLIEQLQQFFKLHKTSTTTTTTAGSKEPVGDDGGNGKGLERWEVVMREKVVNVKEMVGFSKEMLSWLEEMTSAIDLQEAFDVMGSLGDVVSAGFSRCEDFVQAAILAGKS >DRNTG_24129.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:189635:208383:1 gene:DRNTG_24129 transcript:DRNTG_24129.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin transport protein BIG [Source:Projected from Arabidopsis thaliana (AT3G02260) UniProtKB/Swiss-Prot;Acc:Q9SRU2] MLLNALSSILKIYLQVLQSAFLSTLDHNISLAGGPYSLLLFKHTRFDGCKLASLLKLSAADSLWLESTCGLLTKLDEIAREEDKGNLNFVSFRCRCHGFPSNGEPSSSTLISCVLIVREIISILDGYLTVKVAEGSLCVEMPVLCQLLESVISIKSDRIFQCISEKCDSVYSMLVSSQKEMSGFSDLLVLKQIGSFLEEMNASDVCNKNVQEMLIVDVVDFIEGLRGDDSKEKVFQSFMGSAENLSEKAKQLFGGQCSNLLVLINALDKCYSEMVNVKVLNLFVDLFAGGMYPGLKVKVERKFMEMDLSCLSSWLENRLLGCTTESPEGAVSVKSSAGSLRESTMNFITHLVSQSTETVSVELQHRIVEAMLMSLDSAFVLHDIHIARAYFSFVVQLLSGKSSIKLLLERTMCLIEKLADNDGLLQGLKFLFGFLGSVLGDSGANKSASDKLSSKLGSGNILGSGSMISKPLGLRKNSENLVLPANQESSSTLIDCDATSADEDEDDGTSDGEVGSIDKDEEEDGNSERALASKVCTFTSSGSNFMEQHWYFCYTCDLTISKGCCSICAKICHRGHRVVYSRSSRFFCDCGAGGVRGSSCQCLKPRKFTGSNNAPANNTSSFQSLLPFSQDSEQAPDSDTDLDDDIYLDIENSFNLPISREVRNELPAVLKDLDMEGRILELCNRLLPEVIDRRNSNSSKDTKVILGDDKVLSYTVDLFQLKKAYKGGSLELKIKTDYPNSRELKSLLISGSLIKSLLSVSIRGRLAAGEGDKVAIFDLGQLIGQPTATPVTADKINVKPLSKNIVRFEIVHLLFNPVVENYLAVAGYEECQVLTVNSRGEVTDRLAIELALQGAYIRKVEWVPGSQVQIMVVTNVFVKIYDLSQDNISPMYYFTLADDLIMDATLVSAPMGKVFVLVLSERGCLYRLELSMEGDIGAKAITDIIHVQHKDFQPKGLSLYFSSTYRLLFLSYQDGTTLIGRLDANATSLTEISAVYEDLDGKAKPAALHHWRELLVSGGVFVSFSSFKSNAALAISIGPHELFAQNMRYGAGLSLHVVGAAAYKPLSKDKAHCVVLHDDGSLQIYSHVPMGSDLATNLSSDHTKKLGSGILNSRAYDSLNPEFPLDFFEKTMCITPDVKLSGDSIKNGDSEGIKQRLASEDGFVESASSAGFKVTVSNSNPDIVMVGFRVHVGNTSPNHIPSEIAIFQRVIKLDEGMRSWYDIPFTIAESLLADEEFTISVGRTFDDINMPRIDSLEVYGRAKDEFGWKEKMDALLDMEAHVLGSGSSVTGAGKKFQAVPAASMQEQVLSDTLRLLSKIYLLCRSDSSEAEDAISDLDKLKCNKLLETIFQSDREPLLQSAACRVLQAVFPKREIYYHVKDTMRLMGVVSSSPMLSSRIGTGGATGGGVVKEFTAQLRAVSKIALHRRSNLATFLKIHGSGIVDGLMQVLWGILDLEQLDTQAVNNIVVPSVELIYSYAECLVLHGTEASGSSVAPAVALLKKLLFAPYEAVQTASSLAISSRLLQVPFPKQTMIATDDAAEHPVTVHAPSDVSASGGNAQLMIEEDSGTSSVQYCCDGCSTVPIVRRRWHCNICPDFDLCEACYEVLDADRLPPPHSRDHPMSAIPIEIDSLGDGNEIHFSLDELTDTSIMPAAMDIGTNNSPSSLHILETNEAGDFPASTSDQRIVSISASKRAVNSLLLRQLVGELKGWMVSTSGLRAIPVMQLFYRLSSAVGGPFMDSSNNENLDLEKFVKWFLDEINLNRPFNAKLRSSFGEATILVFMFFTLMLRNWHQPGNDSSQSKSGGALDSQDKVSVQISSVAAITTPSVDHEKNEFASQLVRACTYLRQQTFVNYLMDILQQLVHIFKSTSINVDGSLSSGSGCGSLLTVRRELPAGNFSPFFSDSYAKAHRADFFADYHKLLLENTFRLVYSLVRPEKQDKLAEKDKVYKSCIGKDLKLEGFQDVLCSYISNPNTTFVRRYARRLFLHLCGSKTHYYSVRDSWQFSNEVKKLYKLVNKAGGFQNPMPYERSVKLVKCLSAISEVASSRPRNWQKYCSKHSDFLPFLMKGIFYFGEESVIQTLKLLNLAFYTGKDMGHSTQKGETGDVGTSSNKGGQQSLDSKKKRKGEDGTENGSEKTCLEMEQAVEIFNDKDGYILRHFIDSFLLEWNSTSVRVEAKCVLYGIWYHGKQSSKEALLIDLLQKVKCLPMYGQNIVEYTELMTLLLGKSPDASVKQYETEFVGRCLTSDFISCMYETLHSQNELLANHPNSHIYNTLSSLVEFDGYYLESEPCVACSCPEVPYSRMKLESLKSETKFTDNRIIVKCTGSYTIQTVTMNVHDARKSKSVKVLNLYYNNRPVADLSELKNNWSLWKRAKSCHLAFNQTELKVEFPIPITACNFMIELDSFYENLQASSVESLQCPRCSRSVTDKHGICGNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFNFMAKPSFTFDTMENDEDMKKGLAAIESESENAHRRYQQLLGFKKPLLKLVSSIGEHDIDSQQKDTVQQMMVSLPGPSCKINRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMAYLHQKNSDDMLASSRFAVSRSPNNCYGCAITFVAQCMELLLVLSKHPNCKKQLVAAGILPELFENNIHQGPKSARVQARAVLCAFSEGDSNAVAELNSLIQKKVMYCLEHHRSMDIALATREELLLLSETCAVVDEFWEARLRVAFQLLFSSIKLGAKHPAISEHIILPCLRIVSQACTPPKSESAEKEQVSGKSASVLHSKNDHSLNTSSHSMSSGTKVLPELSEKNWDGGRKVQDIRLLSYSEWERGASYLDFVRRQYKASQAVKATSQRTRQDPQKLEYISLKYALKWKRRACRRTSKGDLSTFALGSWVSELILSTCSQSIRSEICALISLLCPQNSSRRFQLLNLLTSLLPATLPAGESAAEYFDLFFKMIDSEAARLFLTVRGCLTDICKLITVEVGNVESRERSLNIDISQGFILHKLIELLSKFLEVPNIRTRFMRDELLSEILEAFLIIRGLIVQKTKLISDCNRLLKDLLDSLLLENTGNKRQFIRACISGLQNRGKERKGRTSLFILEQLCNMICPSKPEPVYLLILNKAHTQEEFIRGSMTKNPYSSTEIGPLMRDVKNKICHQLDLLGLLEDDYGMELLVAGNIISLDLSISQVYEQVWKKYHSKAQNTLSSSVAAASGGFSSVKDGPPMTVTYRLQGLDGEATEPMIKELEEEREESQDPEVEFAIAGAVRECGGLEIILSMIQHLRDDELKSNQEEMSSVLNLLRYCCKIRENRRALLRLGALGLLLDTAKRAFSVDAMEPAEGILLIVESLTMEANESDIGIAQSVLTVTNEESGADEQAKKIVLMFLERLCHKKSNKQQRNDEMVARILPYLTYGEPAAMEALVQHFYPFLQDWGEFDRLQKEHQCNPKDEMLAEQAGKQRAALENFVRVSESLKTSSCGERLKDIILEKGITRLAVKHLRECFAVTGKAVFRSTPEWASGLKLPSVPLILSMLRGLSKGHLATQLCIDEEGILPLLHALEGVAGENEIGAKAENLLDTLADKESNGDGFLGEKIDNLRHATKDEMRRRALRRREELLKDLGMRREFASDGGERIIVSKPVIEGLEDVEEEEEDGLACMVCREGYSLRPNDMLGVYSYSKRVNLGSTSSGSGQGDCVYTTVSHFNIIHFQCHQEAKRADAALRNPKKEWEGATLRNNETLCNCIFPLKGPSVPLPQYVRCVDQFWDNLNALGRADGSRLRLLTYDIVLMLARFATGAPFNTDCKGGGRESNSRFLPFMIQMACHLLDQGSSGQQRRTIAKSVTSYLSSTPTDSPTRPSSSFPLPRSSNGPSDETVQFMMVNSLLSESYEDWTQHRPAFLQRGIYHAYMQHKHGRSTLRVSDSDTTAAIAKSDEGSGSSTNTSDTAKLFAIVHPMLVYTGLIEQLQQFFKLHKTSTTTTTTAGSKEPVGDDGGNGKGLERWEVVMREKVVNVKEMVGFSKEMLSWLEEMTSAIDLQEAFDVMGSLGDVVSAGFSRCEDFVQAAILAGKS >DRNTG_22636.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20724850:20726392:-1 gene:DRNTG_22636 transcript:DRNTG_22636.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH-dependent cyclic electron flow 1 [Source:Projected from Arabidopsis thaliana (AT1G64770) UniProtKB/TrEMBL;Acc:F4I890] MNNLSYAVEQGQEVFSSMASLLSLHLPRPSPHHLIRASASSSSSSSSSSSSSPTTTTTTTTSTTTQASPQSLEEKFGRKGVKFRDIGGVPTVELTVRNGSFISLRIPDGLITSYKPKVYWKEDGFEELLYTIPTGLDSGCPLKGGLSVVLHDVSKDGSPWQPSQWTVKDSDSDSVDAVQVELSSSNANGSLDIRYVITLSLLCMATAVIVKNQGTNTVKLKSAMLSHLRFKSRRGAAIQGLRGCSYCSHPPLSSVFGILSPAEAMRKEPPNWSLFNTNNDNGNKEGSWLVEDNLYTVLGEKHSRVYAAPPMERLKRVYNTPPSKFETIDQRSGLGFRVIRIGYEDFYICSPGALSEKYGKDYFICTGAASMLVPVDLDPGEEWRGAQVIEHDNL >DRNTG_27696.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21726446:21727361:-1 gene:DRNTG_27696 transcript:DRNTG_27696.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPAPASVPAVIPITTNPSPTPATTPAVRQFITGVTDSVRRVLAQGRPWSEVLDRSAFSRPESLSDATSRLRKNLPYFRANYSALLAAALGLSLLSNPGALLVLLFLLASWCFLYLFRPSDQPLVLLGRTFSDRETLGGLTLLTIIVVFLTSVGSTIISALMVGAAIICAHGAFRMPEDLFLDDPESGSATTGFLSFLGGSPSPAAAVAVRV >DRNTG_21505.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17824236:17827915:-1 gene:DRNTG_21505 transcript:DRNTG_21505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAQEEPHAKVTSIFIYPIKSCRGISVSQAPISPTGFRWDRQWLVVNGKGRAYTQRVEPRLALVQVELPEDAFDEDWEPVGSSNLVIRAPGMDILKIPLKRTCKVIDGVSVWEWAGSAFDEGDEASKWFSDFIGKPSRLVRFNTSSQTRYVDPKYAQGYKTMFSDGYPFLLISQGSLNALNELLGEPVSINRFRPNILVDGCEPFSEDICKSVKLNKLSFNGVKLCSRCKVPTINQENGIGGIEPTQTLMKFRSDRVLHLKGKHQGKVYFGQNLVCKESLTGSSKDKVVKVGDPVFVLEKFSSSADAPI >DRNTG_21287.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27335092:27344077:-1 gene:DRNTG_21287 transcript:DRNTG_21287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVNRTRSQPRSARSWSFTGMEYSERRKPHFLGKAIAAFLLVIFCIATLKQCSRHHRSPILSTSVFSVHDPEIIHVLVTGGAGYIGSHAALRLLKDSYRVTIVDNLSRGNIGAIKVLQEQFPEPGRLQFIFADLGDSKAVNQIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITANTLVILEAMAAYGVRTLIYSSTCATYGEPETMPITEETPQLPINPYGKAKKMAEDIILDFSKNSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDSASGIIPGLKVKGTDYQTADGTCIRDYIDVTDLVDAHVKALDKAKPNKVGIYNVGTGKGRSVKEFVEACKKATGINVKVEYLDRRPGDYAEVYSNPSKINNELNWTAQFTDVEESLRIAWLWQKSHPNGYRS >DRNTG_05434.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:4485472:4489218:1 gene:DRNTG_05434 transcript:DRNTG_05434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLLVMMCARFPQGSSSEDGRKASRVCGGGGPRGQEEVPSKSPHQCPNIPQSFPPN >DRNTG_05007.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9546731:9547784:1 gene:DRNTG_05007 transcript:DRNTG_05007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNKEAIQTEKVPAALGHYSHAIKSNNLLLVSGVLGIVPETGKFISDSIEGQTEQVLKNMGEILKASGADYSLVVKTTIMLADLKDLKAANEIYSKCESADQLLYSVQA >DRNTG_33470.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4398985:4400134:1 gene:DRNTG_33470 transcript:DRNTG_33470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFPRLVARVEALERRSQSTSSSHQTTEAPRTDEASEFYDEDFIEMVIPRRPAPSSADAIIESVIIDDMPITVENIVDNIAIAVIDKIVDSIINEIPVKIVDSVVNEIIITVEPAADSAASKADRIPQQQEVCKDMSPIDAVIIPASKEDASGAKHRQPSTTVPHDDPKIAVDEGTIVSMNDSLSTTRSKLFTLLEGKEMVSDDMMGTFVLSCA >DRNTG_15499.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20872842:20875469:1 gene:DRNTG_15499 transcript:DRNTG_15499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKGILNLLSHHHSNDDNDHHDSHHDHHHHHRFLSMLLPRHHHTQDHLDHNHKVHNHDQHRHGMKSILSHLHLHLHPHHHRHHHRHHHDDLTTEQSVSATVMEENINVAEPIVLKWDDNTSPYAKITWLFSDDRAEAHQFLRAVAELQAAMLFFVSSEHGGASVSRSHSLARAQTLMQTAMRRLEREFYQILSANRDRLDPESISTQSSNSSVSVASDGGDDADEELRAAGDFISEVENTSALAMTDLRDIADAMISAGYGKECVQIYRVIRKSIVDEGLYRLGFENHAPNQIQKLEWEALDLKIRSWTSVAKVAVKTLFSGERILCDHVFGGSEPIRESCFADVARDAAVQFLGFAESVTRTKRSPEKLFRMLELYDTISDLCSDIATIFSFESTAAVRSQAITSLQKLSETTRSTLNDFESTIQKDASKIPPPGAGIHPLTSSATIYITSLADYEAPLAEILEEGAIQTQTSAFYSDGTVSSPSTASFSSNEGIRSTMAARLARLLLVLICKLDSKAGIYKEGSMTYLFLANNLWFISRKVKESKLGFILGEEWVAQQAATARQHAGNYQRAAWGRTTAVAAAAEGVAGAEEAWEKVRAFNVAFEETMRSQGECVIADAELREEVRASIAGSIVPGYRILYDKCCEAREPAMSVARYYSPDDVWIRVSGLFENLTGLGHGSFKVSPRAR >DRNTG_26003.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23076889:23084242:1 gene:DRNTG_26003 transcript:DRNTG_26003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITMGTELLGPCLKDDPESIPPGFSPHPAFTLQRIKENDMATDQTSDPLRTSMVSECSSAGDEKLKKSLRHRPSVNYKCFDNSSDEDEPETAVVKQDGPSKRYLPKGVRRGCSECDTCQKVLARYRPEDACRPVLDDAPVFYPSEEEFKDTLRYIASIRPKAEQYGICRIVPPPSWRPPCPLKENDIWEHSMFGTRIQQVDKLQNRSVKQRCQNHSTMKRKRRKLSRMREDCANRYEKNIEADETDYSSEERFGFVAGPSFTLESFQKYANDFRRQYFCKEDANMITNPEQTEPSLENIEGEYWRIVERPTEEIEVLYGADLETGSFGSAFPKASSTPSDSELKDQYVVSGWNLNNLARLPGSVLSFESGDISGVLVPWLYIGMCFSSFCWHVEDHHLYSLNYLHWGAPKIWYGVPGRDAVKLETAMKKHLPELFEEQPDLLHNLVTQFSPSTLKSEGVPVYRCVQQSGEFVLTFPRAYHSGFNTGFNCAEAVNVAPVDWLPHGQNAVELYREQKRKISISHDKLLLGAAKEAVRAHWNSAFMKKNTSVESRWKDVCGQDGILAMALKARVEMERASRDYLCCSAQSRKMDTAFDANVERECFLCHYDLHLSAAGCSCSPDKFACLSHAKQLCGCDWNSRFFLFRYEVSELHTLVDALGGKLSAVHRWGVSNLGLSLTNCINKDKPRESKPVNRTVSQDAKKQVKELINHGISAGDEKKCSLSKEIKTPMLQPSSSKAPKEVEKMANNSAGSTIMPVDPSSQQLPPKPTIVTPASLETCPPQSSAPLAIADQRSQSISHRSTAAGSSSTSYNEISRKISPNPISLPTTTGGQNSISCSSLVSRVKNLSDSSVVLGLHAYTDRLACNISAISSSINDGKGAQVTRPDKLNRESSMEKQESVARLIKSDEKVICDSQTDSVLVTPETNASTMCERDIEMLEKPETNASAMCERDIEMLEKPVVNLAKELVTKVLNEQKEGTCHSTLLKQPLPTVYSQSASHGTNSNGIYNAKEISASISSREESCGPISNVCSPLNPQQFTGLIRANTETEVGKDGSDRVHNLIDKGQSVIVGASCPPNSIDRHNNHSQKGPRMAKVVRRVKCSVEPLEYGVVLSGKLWSTSQTIFPRGYRSRVRYLSILDPTQMCHYISEILDAGLLGPLFMVKVEQCPSEVFFHVSASKCWDLVRMRVNLEIMRQHNLGKTNLPSLQPQGSLDGLEMFGLTSPTIMQVIEANDHDRVCSEYWKSKQHSAKPPTPCLKDGQVSKDGPLLVGTNTALLSLFKKANPEELRVLQTILSEDNAAASKASIIQVLDQEIKRHNPL >DRNTG_23454.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:754232:758576:-1 gene:DRNTG_23454 transcript:DRNTG_23454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLAMKTDQIKGVAYHTLMLGSDLRILASLAAIYLLILDKTHWRTKMTTSLLVPYIFLSLPSELFYVLRGDIGKWIALIAVVLRLFFPRHFPDWLEMPGSLILLLVVAPGFFADTLRHDVVGVFICLVIGCYLLQEHIKASGCFRNSLTTRHGISNTIGIILLLVYPVWCMLTDYL >DRNTG_23454.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:755931:758576:-1 gene:DRNTG_23454 transcript:DRNTG_23454.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLAMKTDQIKGVAYHTLMLGSDLRILASLAAIYLLILDKTHWRTKMTTSLLVPYIFLSLPSELFYVLRGDIGKWIALIAVVLRLFFPRHFPDWLEMPGSLILLLVVAPGFFADTLRHDVVGVFICLVIGCYLLQEHIKASGCFRNSLTTRHGISNTIGIILLLVYPVWCMLTDYL >DRNTG_05965.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20378914:20381373:1 gene:DRNTG_05965 transcript:DRNTG_05965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKVRHSLLSKPLLSYLSNALLEIRMISLIPRRECSPLQKNVKNKVFSIDLVANDPSVIACDMSHEANKVLKPCGWLLIAEVRSSFDPNNDGADPDKFSLSVSLDTLQFSRFIFCSSFLLMYPFC >DRNTG_27886.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001414.1:62971:63897:1 gene:DRNTG_27886 transcript:DRNTG_27886.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCPRCPGDVDCRLSPAMIANQVKRQADGFTSLYYRGLMICDDELCKHTTRSINLRVIGDAERGTVCPNYPCCNGHLVRQYTEADLYKQFSYLCYILDSKRFLEKLALKDRAPFEKELATIRPAVELASSVIHKIRDRCAYAWVSLGDLSVSV >DRNTG_27886.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001414.1:63559:63897:1 gene:DRNTG_27886 transcript:DRNTG_27886.3 gene_biotype:protein_coding transcript_biotype:protein_coding QYTEADLYKQFSYLCYILDSKRFLEKLALKDRAPFEKELATIRPAVELASSVIHKIRDRCAYAWVSLGDLSVSV >DRNTG_27886.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001414.1:63199:63897:1 gene:DRNTG_27886 transcript:DRNTG_27886.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICDDELCKHTTRSINLRVIGDAERGTVCPNYPCCNGHLVRQYTEADLYKQFSYLCYILDSKRFLEKLALKDRAPFEKELATIRPAVELASSVIHKIRDRCAYAWVSLGDLSVSV >DRNTG_23837.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29430997:29434417:-1 gene:DRNTG_23837 transcript:DRNTG_23837.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLFALVGDKMSGFQCMKGKDLKKPSYGCMGRLMDRFDSNGSIITNKLLTESSNSQFDGSLVHQSCSDVLDNGRLQVEDEVQNDQRNSSSEKELGGMPTRLASEMSRYMPTKKPENVVARLMGLDMNSLPAQPLVVTTTMKSQYGCSADTSTSVHQGNKQLDKDRYFDATSRDNGLRIYEKELKDEQPWTFRNEISDETINTKRMALVHHILATNGNLVQSKELQDAAEALSSDKDFYLKFVGEPNQSHQLQSDPRPKNRIVVLKPSKLVDMKSEKYIPDPQKRQISASSTYPSSDSISKKARIVVLKPKQRKPRCVDSSVTSNIFVPKLDKGLDFIVVIQFLC >DRNTG_24015.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16748649:16749346:1 gene:DRNTG_24015 transcript:DRNTG_24015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKLTKGSSFAGDELALTKAAAWAWYQHGSGNGHNSSFREPELTRPTRSPTHPTRYKLEALLAAESESDSDSDPKLSSPGSANSLFDHYEIERISRHFERLISASANGRDRAKGKQVNGFWMRRGVAICGARADVVEAHVFGQRRQRRRATRVLA >DRNTG_06250.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8370493:8376371:1 gene:DRNTG_06250 transcript:DRNTG_06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDRKSLLRSTDDSGSDSDLEALAPPPVPSPSARRSGISDLLRHLDRGLSGRRTGKPHSEWSGSPLATSSSAGDELGDGAPPEWALLLIGCLLGLATGICVAAFNRGVHVIHEWAWAGTPNEGAAWLRLQRLEDTWHRILLIPLTGGVVVGMMHGLLEIFEQIKQSRSSQTQNVDLVAGIFPTIKAIQAAVTLGTGCSLGPEGPSVDIGKSCANGCSLMMENNQERRIALVAAGAAAGIASGFNAAVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNVLLGERPAFTVPTYELKSAAELPLYLILGMLCGAVSVVFTRLVAWFSKSFKFIKERFDLPAVVCPALGGLGAGMIALRYPGILYWGFTNVDEILHTGKSASAPGIWLLTQLAGAKVVATALCKGSGLVGGVYAPSLMIGAAVGAVFGGSAAELINSAIPGNAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSIANQPKNSESPDKKVPGHGYSTALSARDKSGAICRQPDGVDVTELSIIETDRHHLGIANEESLLDGLKVSQAMSKIFISVLPTATLRETMKLMQDNHQNCALVVDDENFLEGILTLGDIRRRGLEMYGGNPHTPKGDSMIRDVDVPLVSSCCTRGFQYRGSARGLLTCFPDTELMIAKELMEAKGIKQLPVVRRGGAISSGRNDLKRKLVALLHYESINRCLRDAVEHQKG >DRNTG_26287.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22934323:22935668:1 gene:DRNTG_26287 transcript:DRNTG_26287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRKKKRITKLNRKNLRPSKRDRH >DRNTG_29235.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3317852:3320955:-1 gene:DRNTG_29235 transcript:DRNTG_29235.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G25280) TAIR;Acc:AT4G25280] MTQLYPNKKMECASMESLCFQDHMIFYHMQNIVCAGGPGSGKGTQCMMIADTFGFTHLSAGDLLRKEMVSDGENGVMIRNSIKEGKIVPSEITIRLIQKAIESSENYKFLIDGFPRSDENRIAFERIVGVEPDLVLFFECPEEEMMKRVLSRNQGRIDDNIETVKKRLQVFHTLNLPVVNYYSRKGKVHKINAVGTLDEIFEKVRPLFAAFSKDGPRN >DRNTG_29235.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3317852:3322107:-1 gene:DRNTG_29235 transcript:DRNTG_29235.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G25280) TAIR;Acc:AT4G25280] MWRCSSFLFSLVRPLNRRIHPQLALNRFEFAQHFFTTEILRTANEGVFSKRTSPFIAFVLGGPGSGKGTQCMMIADTFGFTHLSAGDLLRKEMVSDGENGVMIRNSIKEGKIVPSEITIRLIQKAIESSENYKFLIDGFPRSDENRIAFERIVGVEPDLVLFFECPEEEMMKRVLSRNQGRIDDNIETVKKRLQVFHTLNLPVVNYYSRKGKVHKINAVGTLDEIFEKVRPLFAAFSKDGPRN >DRNTG_29235.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3317852:3322107:-1 gene:DRNTG_29235 transcript:DRNTG_29235.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G25280) TAIR;Acc:AT4G25280] MWRCSSFLFSLVRPLNRRIHPQLALNRFEFAQHFFTTEILRTANEGVFSKRTSPFIAFVLGGPGSGKGTQCMMIADTFGFTHLSAGDLLRKEMVSDGENGVMIRNSIKEGKIVPSEITIRLIQKAIESSENYKFLIDGFPRSDENRIAFERIVSFPCYQFE >DRNTG_25423.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24633689:24637516:1 gene:DRNTG_25423 transcript:DRNTG_25423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQFSRQKAPPPLAPPRDTAAGVSSGGNNEYTAELSSYEAACRLDPELQTFDTTLQQRTSRVISSLALGVEVRSLSFDSLKEVTGCLLEMNQEVVKIILDCKKDIWRSPELFDLVEDYFDNSLQTLDFCTALERCLKRARDSQLIVHVALQRFEDEDADPSLQEDGKNKYLRTMEELRHFKAAGNPFTDEFFQLFHSVHKQQRCMLEKLQQRKKKLDKKLKSVKSWRKVSSIIFAAAFATVLICSVVAAAISAPPVAAALAAATSIPLGSMGKWFDSLWKDYEDALKGQREVISSMQVGTYITIKDLDSIRVLVDQLENHINVLLQSADFALQEEGAVRFGVEEIKKKLGMFMKSIEDLGEQVDHCSRDIRKARTVVLQRIIRHPK >DRNTG_17507.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19097533:19099179:-1 gene:DRNTG_17507 transcript:DRNTG_17507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCPPPVDDETIAAPLAADAVIESIAVDDIPVTVEPAADNAASKVDTIPEQQEAAKDVSPVDPVAMVTVVKICYNQAVSTASLLRWRDDWLITTRASSGGSVEH >DRNTG_25583.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29768342:29774312:1 gene:DRNTG_25583 transcript:DRNTG_25583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTVISLRPGGGGGRPRLFAPRFDVSALGAETTGFRTHGGVGTELQIKTGDARFEGHEHIHYTRDQLLKLREAVDVPNDILKIKQEIDAELLVEDQTWGHNDANLQNQSQSRYSELDNRDWRSRPTSSQLVSEERSWEAIRDNKESGSSMSRQDQWTSHKDQTSSSQGVGPTPTLLKAEVPWSARRGNLSEKEQVLKTAKGILNKLTPEKFDLLKGQLIDSGITTPDILKSVIELIFDKAVLEPTFCPMYAQLCSELNESLQPFPSEEPGGKEITFRRILLDNCQEAFEGADSLRAEIRKLGPEQEIERQDKERILKLRTLGNIRLIGELLKQKMVPEKLVHHIVQVLLGHDPKVCPAEENVEALCQLFNTIGKQLDENKKSRRFNDAYFNRVKELTRNPQLAARLKFMVRDVLDLRANNWIPRREEMKAKTISEIHTEAEKNLGLRPGATASMRNSHSTGSLGSPGAFPANIPPGIGGMMPGMPGARRMPGMPGLDGDNWEVQRTKSSHKGGVSSAQSHVLGKPSSINPRLLIQGSSSVIAGKTSALLQGSRSSSNPVSVSMNPSKPLAQTITEKAVPASRLSTDELHKKTVSLLEEYFHIRMLDEAFECVVELKSPDYHPELVKEAINLALDKGSNCPELVGRLLEHLFVKKVLTSGDLGTGCLLYGSMIDDIAIDLPKSPSQFGEIVGKLISINAVSFKTVEEILKKVEDSMFKTPIFIAALRNVEVSSSGQAIISAQSADIEACRNLLS >DRNTG_24387.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2711868:2714402:-1 gene:DRNTG_24387 transcript:DRNTG_24387.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTILFFSSSLLLLLLSSTLPLHAEDPYRFFTWNITFGDIYPLGVKQQGILINGQFPGPQIEANTNDNLIINVFNSLTEPFLLSWNGIQQRRNSWQDGVYGTNCPIPPGRNFTYILQVKDQIGSYFYFPSLGMHKAAGAFGGFRILSRPLIPVPFPPPAADYTLLAGDWFKTNHTDLKAMLDGGSNLPFPDGILINGRGAYGNSFTVEQGKTYRFRISNVGLSTCLNIRFQGHTMKLVEVEGTHTVQNSYSSLDVCLGQSYSVLITADQPPLDYFIAVSTRFTTPVLSSTAILHYSNSGGSPVGSPPGGPTVEIDFSLNQARSIRTNLTASGPRPNPQGSYHYGLVNTTRTIRLANSAPVINGKQRYAVNSVSFIPADTPLKIADYYQISGVFSVGSISDNPTFGGGYLQTSVMGVNYRDYIEIVFENFETTVQSWHIDGYSFFVVGMDGGPWSSESRKSYNLRDGVNRCTVQVYPNSWTAIYMPLDNVGMWNVRSENWARQYLGQQFYMRVYTPSTSLRDEYPIPKNALLCGRAAGRRTRPL >DRNTG_28802.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28765619:28770414:-1 gene:DRNTG_28802 transcript:DRNTG_28802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLWVETGVCRYRSKCQFAHGKEELRGARSVKPKTEGSKHAQATAGSKGRYIPPPIAAALALAAKASTTPTVPPREPPSFVWPPTEEEEAVINRVLYGSSQKRRLPVFLEICPS >DRNTG_25338.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22185858:22190059:-1 gene:DRNTG_25338 transcript:DRNTG_25338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAPLLRNSYWVLRHGKSIPNERGLIVSSLENGTLQEFGLAPEGIEQAKLAGELFNKELKEKNVLVENVRICYSPFSRTKQTAKEVAGALGIPFEGAQCKAMEALRERHFGPLFELLSHDKYAEIWALDEKDPFMSPEGGESVADVAFRLATALATIESEYDGCTILVVSHGDPLQILQTVIHAAREHSSSNAENIMARIKGVMVHSFLSGHRKFALLTGELRHVS >DRNTG_03214.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000146.1:416332:421748:1 gene:DRNTG_03214 transcript:DRNTG_03214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWRVLYSSKGLFVRPRIHLARSVPTKALPLTFFQTERDEMFNADPYEGLFDQVLDIEEVLMLGSMEEVASTLGIMKKIFRKMKRVRRRHRKRPKAVGDVREPNK >DRNTG_02169.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29266475:29268558:1 gene:DRNTG_02169 transcript:DRNTG_02169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPTRWISWIKATLSSASFAFNINGHTTNWITSSRGVRQGDPLSPLLFLLTSQSLSAILNKALNLNMVPGFSCSLNRNFNHLMFADDLILITKASRKAAKICLLCLNIYHKLTGQKPNPSKSEVYLPSWCNKKIAHSISKILGFKMGNFPFSYLGVPISPKKLLVRHLDFLPGRVHKAINLWNHSNLSLAGRSVLLNSTIFAIPNYFLSVMNLPLSILDSISRIGRSFLWSNGGNNSGFHSVGWSLTTQKKSEGGLGIRNLRLVQHSLMAKHIFAILNSENKIWIDIFKSKYGNWHPWQINTYPHSSWFFKSICKYANFLKTNFFISSINPEGFDWIRDPWIMDLPLSHKPTYLNVNLDWANFHFKDFLRNNSLCMDSLIMMFGDIMDRDRCKTLKLDVHGPSRWAWSSPNHMASISSTVYEHISNSVDSGAQWSGWNQIWKLSVIPRVKTFIWKLAHGKLSTGAYLYSLNIGPYVNCYFCDLEEDSIDHTIWKCSKVKHIWDSILASMNICPPDLLFLSSGRWLTHWNHKRMENIKNKALITSAAWLIWKERCNFIF >DRNTG_17655.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16907760:16919430:-1 gene:DRNTG_17655 transcript:DRNTG_17655.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPLFNLFGNQRYAKAIWYTLKLDGGAIPYFFSVECDDERSQSIPTDGSDFSSSPSPLCSSSRLRIAMVSFSSECKASSRRSFKGVAEVMAGNKQAYAGRVGYDLFYTPQNPLNSSSISAFSNNLINADDLVDHIRPPAWSKILAVRSRLSSNDWIFWNDATTFISFGSTKSGDNAASKHLIDSLSPDELEATYPNITNAMPVQFLSMVPLLKSVLRLLTLPSTTWKGAYSYGDFMVHLAGVDEKKVWAEKIVREVESN >DRNTG_23380.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1149883:1152406:1 gene:DRNTG_23380 transcript:DRNTG_23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MED32 [Source:Projected from Arabidopsis thaliana (AT1G11760) UniProtKB/TrEMBL;Acc:A0A178WG85] MDSIVDALSSAYNEFVAKAAEVLEAKEQSGGRKSPKTDAALEAFRQRWELFRVACDQAEELVESMKQRIGSECLVDEATGANPRGGLGSSGIPPISAVRLEQMSKAVRWIVIELQNHAGAASGSSNHHSSAPFDARFPEDGAQ >DRNTG_34630.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:124216:127572:1 gene:DRNTG_34630 transcript:DRNTG_34630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosolic Fe-S cluster assembly factor NBP35 [Source:Projected from Arabidopsis thaliana (AT5G50960) UniProtKB/TrEMBL;Acc:A0A178UBK9] MGRAEEREMENGNHEIPENANEHCPGPQSESAGKSDACAGCPNQEACASAPKGPDPDLVAISERMATVKHKILVLSGKGGVGKSTFSAQLSFALAGMDHQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPIYLESNLGVMSIGFMLPHPDEAVIWRGPRKNGLIKQFLKDVHWGELDYLVVDAPPGTSDEHISIVQFLLASGIDGAIIVTTPQQVSLIDVRKEISFCKKVGLPILGVVENMSGLMLPISDVGFAKLSESGEEEDVTEWALNYMKTHAPEMLTLFVRKEVFDSSGGGAARMCSEMGVPFLGKVPMDPQLCKAAEEGRSCFTDKKCIASAPALRRIIEKLIVSQE >DRNTG_00919.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21495935:21497666:1 gene:DRNTG_00919 transcript:DRNTG_00919.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKFLFQLQSIIILFLFVFIVNLWIQKLLRIKSKEPPQPSGALPVIGHLHLLRSSKPLYQVLGDMADKHGPAFILRLGSRRTLVISGWELAKECFTINDKALAARPTNAASKHMGYNSAMFGFAPYGSYWRSIRKIATTELLSNARLDMLKHVILAEIDTCIKELHKLCSDNNNNNRVDMKKWFGDLNFNIMLQMVAGKRFFGSGGVSDEAWRFRKAVNVFFNLLFVSVPSDMFPWLEWMDLGGYVKAMKAAAKEMDSVMVKLVEEHKERRASGVTTGHTDFMDVMLSIIEDDHDLQHYFDKETLIKATSMKPQP >DRNTG_29302.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29601293:29605216:-1 gene:DRNTG_29302 transcript:DRNTG_29302.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid membrane protein TERC, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12130) UniProtKB/Swiss-Prot;Acc:F4JZG9] MGFAPPIHHHPCVQIPLRFAPVIIPRVPSSSIASPFLLHRYASFSSSCPLSVRGRCLDRRLPWILAAGGTKRDNQSVTEEVQGSDPQYNGIENAEDSVPSSVRTVAFWVFAAVTFGIALGLKEGAEKASEYFAGYILEQSLSVDNLFVFVLVFKYFQVPKSYQNRVLSYGIAGAIIFRAIIILLGTATLQRFEVVNLLLASILLYSSYKLFSSEEEDTDLSENFIVKTCQRFIPVTAFYDGDRFLTMQEGAWKATPLLLTVAVIELTDIAFAVDSIPAVFGVTRDPFIVFSSNLFAISGLRALYILISQKMSDLEYLQPAIGVVLGFIGTKMIFDFFGFHIATEASLGIVAATIGTGVVLSLLKESD >DRNTG_29302.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29601293:29603005:-1 gene:DRNTG_29302 transcript:DRNTG_29302.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid membrane protein TERC, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12130) UniProtKB/Swiss-Prot;Acc:F4JZG9] MKCKHTEILLHILCCYNFISTLMVRNSLETYAQATPLLLTVAVIELTDIAFAVDSIPAVFGVTRDPFIVFSSNLFAISGLRALYILISQKMSDLEYLQPAIGVVLGFIGTKMIFDFFGFHIATEASLGIVAATIGTGVVLSLLKESD >DRNTG_29302.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29601207:29602921:-1 gene:DRNTG_29302 transcript:DRNTG_29302.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid membrane protein TERC, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12130) UniProtKB/Swiss-Prot;Acc:F4JZG9] MKCKHTEILLHILCCYNFISTLMVRNSLETYAQATPLLLTVAVIELTDIAFAVDSIPAVFGVTRDPFIVFSSNLFAISGLRALYILISQKMSDLEYLQPAIGVVLGFIGTKMIFDFFGFHIATEASLGIVAATIGTGVVLSLLKESD >DRNTG_29302.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29601293:29602921:-1 gene:DRNTG_29302 transcript:DRNTG_29302.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid membrane protein TERC, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12130) UniProtKB/Swiss-Prot;Acc:F4JZG9] MKCKHTEILLHILCCYNFISTLMVRNSLETYAQATPLLLTVAVIELTDIAFAVDSIPAVFGVTRDPFIVFSSNLFAISGLRALYILISQKMSDLEYLQPAIGVVLGFIGTKMIFDFFGFHIATEASLGIVAATIGTGVVLSLLKESD >DRNTG_32429.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10946056:10949825:1 gene:DRNTG_32429 transcript:DRNTG_32429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMLPGLESNPSHSWSFQEKAEGL >DRNTG_15571.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:542141:548214:1 gene:DRNTG_15571 transcript:DRNTG_15571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMQRQPWEKLEGKVVMVTGASSGIGRELCLHLARAGCLIVAAARRTDRLRSLCDQINGAASAEDDQEPKPVRSVAVELDVSGKSAEIEASVQRAWDAFGRIDALVNNAGIRGEVHSPLDWTEEDWNGNITTNLTGLWLVSKHVCIRMRDAKQKGSVINISSIGGLHRGQLPGGAAYSASKGGVIMLTKVMALELGEYNIRVNAVAPGLFKSEITQGLMQKEWLNKVAEKVVPLRTFGTSDPALTGLVRYLIHDSSEYVTGNTFIVDAGATLPGVPIFSSL >DRNTG_15571.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:542141:543838:1 gene:DRNTG_15571 transcript:DRNTG_15571.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMQRQPWEKLEGKVVMVTGASSGIGRELCLDLTRAGCLIVAAARRTDRLRSLCDQINGAESAEGDRETKAVRSVAVELDLCGKSTEIEAAVQKAWDAFGRIDALVNNAGIRGWVYSPLDWSEEDWNSNIKTNLTGLWLVSKHVCTRMRDAKQKGSVINISSIAALDRGQGPGALAYCASKAGVNMVTKVMALELGVYNIRVNALAPGLFKSEITQGLMRKEWVNKVAEKIVPLKTFGTSDPALTRVVRYLIHDLSEYVTGNIFIVDAGATLPGFPLFSSL >DRNTG_15571.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:544934:548214:1 gene:DRNTG_15571 transcript:DRNTG_15571.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMQRQPWEKLEGKVVMVTGASSGIGRELCLHLARAGCLIVAAARRTDRLRSLCDQINGAASAEDDQEPKPVRSVAVELDVSGKSAEIEASVQRAWDAFGRIDALVNNAGIRGEVHSPLDWTEEDWNGNITTNLTGLWLVSKHVCIRMRDAKQKGSVINISSIGGLHRGQLPGGAAYSASKGGVIMLTKVMALELGEYNIRVNAVAPGLFKSEITQGLMQKEWLNKVAEKVVPLRTFGTSDPALTGLVRYLIHDSSEYVTGNTFIVDAGATLPGVPIFSSL >DRNTG_31089.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15717497:15718651:-1 gene:DRNTG_31089 transcript:DRNTG_31089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCYGENTYRKHVTIETSLSSLEGKEFHELISVNADEDIFVRANRRFDVIAPEPLARRQDERATSSVRARHRRSPPLPRQIATTPPTMTSTVSPIVAAPPTVAASPTALGEDATATLMQACQILMTEFSRLVARVEVLEGRSQSTMSSLRINEALGMDVASDFDDNNIIREAIQNRPHSKRLAKKRKSIMPPSPPPADDETIAAPSAADGVTVDDMAVTVEEITDDVAIAGVNKINDSVMNPVERAADSAASKMDTIPEEQEPSNIVSSDARSASARSRQVIPLVRAREGRIPWAQGATITSLSSIS >DRNTG_24424.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8340581:8346194:1 gene:DRNTG_24424 transcript:DRNTG_24424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPISKKRKPDDNGALSSNLTLDEARRLIDSFSRDQLLDIVSSAICSGAGPVLDAVRPIADGDPARRKLFVRGLGLETTSDSLRVLFSNYGQVEEAAVICDRATGRSKGYAFVTFRHADAALLALRQPSKQIGGRMTVTQLASAAAAAAAPAPAEDVAARKIYVGGVPAEMPAERLLSHFSSYGLVEEGPLGFDKQTGKFRGFALFVYKTVEGAQAALLEPSKNIDGHSLVCKLANDGKKGRPGGAPNGPHGQQVGAGGDGSGLGLQPGSLSSQFGGPGGGLSSYQPLNSAMGGPGLSSVEGMGGSYRGGIGGSLWFFRAVWWTWIWWCWV >DRNTG_29418.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1834587:1835435:1 gene:DRNTG_29418 transcript:DRNTG_29418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFHSLQFLCLALLVSMAAATQFRVGGSKGWTVPTEPNATSYNHWAQSHRFQIGDSLLFVYPPDQDSVLLVDEAAYKTCNTSSHIDIFDDGNTVFTLTRSSTFYFISGNHENCLKNESLIVVVMASRSNATIAIAPSPPPSPSLAPPPPPETLVHTPASSPAVQPTSPPSPSGVSSSKVVSFMSSFGAFLGVFISFVL >DRNTG_24745.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2269332:2269778:-1 gene:DRNTG_24745 transcript:DRNTG_24745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQHGRPATWADDDEDDYEEEEEEMTREISEKKMKMEPVTKEVKIKITKKQLEELLHKAEMQGLPLQQALMVLMSVGNVVGEPENGKHWRPALQSIPEVAE >DRNTG_11367.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3627921:3628459:1 gene:DRNTG_11367 transcript:DRNTG_11367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSYTPTPDISLPVIKGEGYEHLNYRMRTSFRSQRLWKIVEEGISKEEPTEKELEDDAKALFLLQQAIDETILHIITLRQNFDLLHIKEEEIVQHYIIRVLAVVNQIRGRGSDLKDTDVVLKVMRSLLSRFVHVVTLIEEASDMP >DRNTG_34290.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28207158:28207584:-1 gene:DRNTG_34290 transcript:DRNTG_34290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFITLYCNNLQKLVIKTKSVKYMPFYLSLAGCLNGIDWTIYGFIHFDIFVVLPNGIGALLALCQLILYGCYYKSTPVEDEPKGELELPTTMPRIEI >DRNTG_00219.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15643856:15646751:1 gene:DRNTG_00219 transcript:DRNTG_00219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRFVFGRTEDAVHPDTMRAALSEFIATAIFVFAAEGSVLSIGKLYKDTSTGGGLMLVAVAQALAFFVAVAVGFNISGGHVNPAVTFGALIGGHISVIRAVYYWIAQLLGAIVACLLLRITTGGMRPLGFAVGPGIGELQALLLEIVMTFGLVYTFFATAIDPKRGYLGTIAPLAIGFILGANILAGGPFDGAAMNPARAFGPALVGWRWKNHWIYWVGPLIGSGIAGVLYEFVMIPTETPHTHQPLAPEDY >DRNTG_31222.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2328991:2331406:1 gene:DRNTG_31222 transcript:DRNTG_31222.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGEEIMKAAKSKALVIKINFAFLAFFLLVYLALLYQPHTMYGLNAAAFVRCSLRECHMKKIGDMLMGKESIPSFIDELKGGKNLSIGLVNFGDDEVEEWRRVGNVSKVYFDRVSKNFEWKDLFPEFIDEEEEIDGPSCPEIPMPEFSKYSNLDVVVARMPCRRPENGWNRDVFRLQVHLIVAKLAAERSMIGENGTVKVVLLSHCEPMIEIFRCDYMLKQEENWRMYEVNVEELKEKLAMPIGSCKLAMPLWGEGTNEVYNLSKFTAPAIPKRREAYATVLHSSDTYVCGAITLAQSLLKTKTDRDLLLLHDKLIPEEKLKALMAAGWKLHEIKRIRNPRAENGSYNEYNYSKFRLWQLTYYQKIIFIDADIIVLRNLDLLFYFPEMSATGNDGSIFNSGIMVIRPSKCTFKTLMDKRREIISYNGGDQGFLNEVFVWWHRLPRRVNFLKNFWANTTLESSMKNSLFAADPPKLYSIHYLGLKPWLCYRDYDCNWNIDDQRVYASDIAHQRWWKFHDEMDLELQKFCRLSERRKIELAWDRKMAENVKYADEHWKINITDRRKYE >DRNTG_31222.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2328991:2331406:1 gene:DRNTG_31222 transcript:DRNTG_31222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIARMPHEEGKIGDMLMGKESIPSFIDELKGGKNLSIGLVNFGDDEVEEWRRVGNVSKVYFDRVSKNFEWKDLFPEFIDEEEEIDGPSCPEIPMPEFSKYSNLDVVVARMPCRRPENGWNRDVFRLQVHLIVAKLAAERSMIGENGTVKVVLLSHCEPMIEIFRCDYMLKQEENWRMYEVNVEELKEKLAMPIGSCKLAMPLWGEGTNEVYNLSKFTAPAIPKRREAYATVLHSSDTYVCGAITLAQSLLKTKTDRDLLLLHDKLIPEEKLKALMAAGWKLHEIKRIRNPRAENGSYNEYNYSKFRLWQLTYYQKIIFIDADIIVLRNLDLLFYFPEMSATGNDGSIFNSGIMVIRPSKCTFKTLMDKRREIISYNGGDQGFLNEVFVWWHRLPRRVNFLKNFWANTTLESSMKNSLFAADPPKLYSIHYLGLKPWLCYRDYDCNWNIDDQRVYASDIAHQRWWKFHDEMDLELQKFCRLSERRKIELAWDRKMAENVKYADEHWKINITDRRKYE >DRNTG_20491.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2620489:2626522:-1 gene:DRNTG_20491 transcript:DRNTG_20491.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylaminoimidazole-succinocarboxamide synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21110) UniProtKB/Swiss-Prot;Acc:P38025] MALRAPLQNPSKPLRPKHPLVSSFRSRSLIPMARQTRWLPSIAASLHHDAAHRDEVLRAARGSLGHCLSETHLEITVPGLTSKARGKVRDVYDGGDYLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFNMTQHITPNAVVSTPDKNVTIAKKCSVFPVEFVVRGFVTGSTDTSLWTVYNKGMRNYCGNDLPDGMVKNQKLPGNIITPTTKGVDHDVPVSPEEIIKLGLMTQDEFDEVSSKALKLFAYGQQVALENGLLLVDTKYEFGKGPDGKILLVDEVHTPDSSRYWIADSYEERFAAGLEPENVDKEFLRLWFKNHCNPYEDKVLPDAPEELVCELAWRYIFLFEKITRKKFKLPETEEQIHDRISKNVSHALSCL >DRNTG_03990.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25701038:25701831:1 gene:DRNTG_03990 transcript:DRNTG_03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLYSMDSESQQRGRGQNKRYWTAEEDKALIDALVELSVNPIWRAENGFRGGYLLQLEKMIKDKIPQTMLKAVPNIESRVKLLRTKTTAIVDILRVSGFDWNYERSTIMCEKSAYDEYVKAHKEAAGLYGKSFPFFNYLVAVFTKDRAYGNARADIGDEARQVENEDDNIFEEDVGFSQVSIEEFSMPSQENDETPLA >DRNTG_29282.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001474.1:24740:46273:-1 gene:DRNTG_29282 transcript:DRNTG_29282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFEHTIRDVLANSGVKPTDIDILVVNVSMFAPEPSLASRIVNKFKMRDDIKIYNLSGMGCSASLISIDLIRRTFMSQFNKLALIFTSESITPNWYSGNNRSMMLANCLFRCGGCAMLLTNDPKRKIQAKLKLKHLIRTDLGANDEAFNCAYETEDELGHTGMCLSKDLPKAAALAFVQNLKTLAPKVLPVTELVRFVMAGIYRRSKGSRGGGSNSVNFKAGIDHFVIHSGGAAVIDGVGKSLRLSEWDLEPARMTLHRFGNTSSSSIWYALGYMEAKKRLKKGQRVFMIGFGAGFLGNSCVWIVNRDLKDEGVWKECIDQYPPKTLENPFMKMYGWINDQDI >DRNTG_19151.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6357143:6359843:1 gene:DRNTG_19151 transcript:DRNTG_19151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTQLAIAAWQDETLSLIMNWKREEIMAIWSRGGHEPVNQARRVRVGQRRTLNRLGNHLRDTDKKPTLFLHTITAKVYEIICLFSEYQYEEESFKLTLEFRKNTSTPEWEHIDDAFDLQMDAWQFMREDVDKVLDSQYGLIIYHSKSKELRVFNPVTKAYVYDFVPSWKPAAGHQ >DRNTG_17005.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19984381:19985100:-1 gene:DRNTG_17005 transcript:DRNTG_17005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSEEEAARIKGSWSPEEDAALTRLVERHGARNWTTISAGVPGRSGKSCRLRWCNQLSPSVHHRPFTPAEDAAILAAHAKHGNRWATIARLLPGRTDNAIKNHWNSTLRRRGLSQSQIAPSTSNSLPSPPRSSVPETPENSESASKRQCRREDEVDETGLCLSLSPPGGADVAGSGVDAAGGGEKRWQTEIKDVDFVKIMREMIADEVRIYINRFRSENGDRSSLFDTDLKRTANGQD >DRNTG_15524.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8801285:8803278:-1 gene:DRNTG_15524 transcript:DRNTG_15524.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGLSAGVGKVVMRPEVRNLAFQQIRYEDKILMRDLNSITDPNIHAS >DRNTG_33784.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:99815:103946:-1 gene:DRNTG_33784 transcript:DRNTG_33784.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIQRRSGALSLAVMPLPQCGSYSRGGNLRPCMPSRESSSSLLSRSLDERSSGPGEHLRSDKRCPRSPPQHKAPKMGSCGTATATATTANGCSALNSDAKPPSDQETGGIAAGNAHLLEKPNLDQFEWPRIYISLSRKEKEDDFLAMKGTKLPQRPKKRAKNVDKTLQYCFPGMWLSDLTRGRYEVREKKCVKKQKRRGLKGMESMESESE >DRNTG_33784.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:99815:103946:-1 gene:DRNTG_33784 transcript:DRNTG_33784.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIQRRSGALSLAVMPLPQCGSYSRGGNLRPCMPSRESSSSLLSRSLDERSSGPGEHLRSDKRCPRSPPQHKAPKMGSCGTATATATTANGCSALNSDAKPPSDQETGGIAAGNAHLLEKPNLDQFEWPRIYISLSRKEKEDDFLAMKGTKLPQRPKKRAKNVDKTLQYCFPGMWLSDLTRGRYEVREKKCVKKKRRGLKGMESMESESE >DRNTG_33784.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:99815:103946:-1 gene:DRNTG_33784 transcript:DRNTG_33784.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHAFPRVLVVPSQSLDERSSGPGEHLRSDKRCPRSPPQHKAPKMGSCGTATATATTANGCSALNSDAKPPSDQETGGIAAGNAHLLEKPNLDQFEWPRIYISLSRKEKEDDFLAMKGTKLPQRPKKRAKNVDKTLQYCFPGMWLSDLTRGRYEVREKKCVKKQKRRGLKGMESMESESE >DRNTG_33784.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:99815:103946:-1 gene:DRNTG_33784 transcript:DRNTG_33784.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHAFPRVLVVPSQSLDERSSGPGEHLRSDKRCPRSPPQHKAPKMGSCGTATATATTANGCSALNSDAKPPSDQETGGIAAGNAHLLEKPNLDQFEWPRIYISLSRKEKEDDFLAMKGTKLPQRPKKRAKNVDKTLQYCFPGMWLSDLTRGRYEVREKKCVKKKRRGLKGMESMESESE >DRNTG_33784.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:99815:103946:-1 gene:DRNTG_33784 transcript:DRNTG_33784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRYHRVSPDYLPVSNGKKPNPTLRPFKEEDPDRFPNFSSSPSTFDPKSLRFRSTTTTTITTTTSTPSQEPQLPHLQPSPDAPTPPAPKSQPHHEIINGSGGDVLLQWGHNKRSRGSRAERRAAAVDESSGLTRPMVKIQRRSGALSLAVMPLPQCGSYSRGGNLRPCMPSRESSSSLLSRSLDERSSGPGEHLRSDKRCPRSPPQHKAPKMGSCGTATATATTANGCSALNSDAKPPSDQETGGIAAGNAHLLEKPNLDQFEWPRIYISLSRKEKEDDFLAMKGTKLPQRPKKRAKNVDKTLQYCFPGMWLSDLTRGRYEVREKKCVKKQKRRGLKGMESMESESE >DRNTG_22000.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5530855:5536320:-1 gene:DRNTG_22000 transcript:DRNTG_22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVTDGLPLAEGLDDGRDLKDSEFYKQQAKLLFKNLSNGHNEASRMSVETGPYVFHYIIENRVCYLTLCDRSYPKKLAFQYLEDLKNEFERVNGSQIETAARPYAFIKFDTFIQRMKKLYLDTRTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSEMSSRLTSDTRIYADKARDLNRQALIRKWAPVAIVLGVVFLLFWVRKKIW >DRNTG_21174.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001205.1:45917:49779:-1 gene:DRNTG_21174 transcript:DRNTG_21174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDVGFDSQVAAKMVEELRSGFRKGRTAEYEWRVTQLKGLVRMIDERETEIVDALDKDLKKPKMESFLHEISLVRSSCLMALKKLKQWMKPEKVPSLLAAHPSSSYIVSEPLGIVLVISAWNYPFLLSLEPVVGAIAAGNAVVLKPSEIAPAVSSLFANILPKYVDNSSIKVVEGGVAETTALLEQKWDKILYTGNSRVGRIVMTAAAKHLTPVVLELGGKSPVVVDSNSDLKVAANRIAVGKWGCNNGQACIAPDYIITTKSSAPLLVDAFKFSLEKFYGKDPQESKDLSRIVNSNHFARLTRLLDDDKVSDKVVHGGQRDEKQLFIAPTLLLDIPLDSLVMNEEIFGPLLPIITVEKIEESLDIINDRAKPLSAYLFTKDKKLEENFIKNISAGGILVNDTTLQVASPHLPFGGVGESGMGAYHGKFSFDTFSHKKAVMSRGFGGDLPARYPPYTPQKQKLLRGLLSGSILALISAIIGWPRIE >DRNTG_25483.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1655151:1655867:1 gene:DRNTG_25483 transcript:DRNTG_25483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALFSCFSPSSPPPPQRRRSVAGGRRQASPPPAGSSGRWTRMRSGRKEDPGLLTHEQALAAAAILIQHHRENGGVAEPTIPFDRSTSTRYPASKKKDALPRSSSTRPRSLADPLLQPQQLVNQGLLLLLFFFSFFLDFFQWFFFFLGFFSDFSIIDQCFL >DRNTG_23083.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001256.1:15930:16976:1 gene:DRNTG_23083 transcript:DRNTG_23083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKHTPIGPSKRSLVGEPAPDVDSTPVFHTQMHQEQFAHFGNCSRLLIALTSGSRLRC >DRNTG_33465.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18620602:18628077:-1 gene:DRNTG_33465 transcript:DRNTG_33465.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYTPKGMQLAQETLEKMNARGIFLNARMCGQTTPLEYVTKLIYVIWNHHEEIKQIDTVRAYTFGSHYFVEVDIVLPGDMPLSQAHDIAMEILEGAQNHLLVSSKDPKIKMPESFNKALQHSKYGSHYRNVQSMRQVLDTLKVNGVTDGEICIGNILPEFVDEVYVLTPSLKDNNDNNEGSTKDVPRAKNKCRTSKVEEEEEVNECKACYKAYEGYISGEDAERVIEKLGIVRLEECEEFSFELLDGAYQLLEEKEANIGELSAAFAVFDEDGDGMVSEKDLWRVFQRLGFEELVRKEEECKKMISVYDEDGDGKISLQEFKCMLEGST >DRNTG_09493.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21589017:21591410:-1 gene:DRNTG_09493 transcript:DRNTG_09493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASLELEGRGVDDQISKSSSIPVIDLLSSSWKLGRREQLVSKEIVKASEKYGIFKVVNHGVGMDVVERMEEKGMEFFSLPENEKHKAGPPNPVGYGVRSIGFNGDMGDLEYLLLHTNPSSLAHKARTICKSNPNSFSYVVNEYVEEVRDLACQILDMLALGLGLGKLPRIKQVVERL >DRNTG_34764.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3134279:3134816:-1 gene:DRNTG_34764 transcript:DRNTG_34764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLSLEFQGVEGNTCSARSENFRGWCWIDAECSLVCLTEFYLTGSCHFQLIPFGRHCICERPC >DRNTG_23049.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3356496:3359345:1 gene:DRNTG_23049 transcript:DRNTG_23049.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase B1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G53670) UniProtKB/Swiss-Prot;Acc:Q9C8M2] MATTGFALPFAGKLGSPLLRSKPSPLLSIPHSFRKPLSLRVMGSASSSQRPEKLQEAGKSNFTSLSEDEWKSRLTEEQYKVTRQKGTERAFTGQYWNTKTTGTYHCICCDTPLFESTAKFDSGTGWPSYYEPIGNNVKSKLDMSIIIMPRSEVLCAVCDAHLGHVFDDGPPPTGKRYCINSASLKLIPKE >DRNTG_21796.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17434715:17435398:-1 gene:DRNTG_21796 transcript:DRNTG_21796.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein 11 [Source:Projected from Arabidopsis thaliana (AT1G80450) UniProtKB/Swiss-Prot;Acc:Q9M8L3] MERPSKPSSPAAMAPTVYVQAEEHTFRDLVQRLTGASPSDGSPTPSSSHSAVGPRRSSYKLQDRRGSSFRNLEIHQLAVPSFLSHRSSSPSISSPITPLTSDLLFSPAEDLEERAIAEKGFYLHPSHRDGAPPELLHLFPMSSPSQSQCDLLRST >DRNTG_34982.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002222.1:25581:28848:-1 gene:DRNTG_34982 transcript:DRNTG_34982.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRMLLKVIILGDSGVGKTSLMNQYVNRKFSNQYKATIGADFLTKEIQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDNLNNWREEFLIQAGPSDPENFPFVVLGNKVDVDGGNSRVVSEKKAKAWCASKGIPYFETSAKEGFNVEAAFECIAKNALKNEPEEEMYLPDTIDVTGGGRQQRSSGCEC >DRNTG_32849.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:22998758:22999383:1 gene:DRNTG_32849 transcript:DRNTG_32849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHTTTVNTHQHSVRELSRKKIPPKRSLVKKTPPKIPQPKRAPRKRLPPPLKEKNC >DRNTG_15667.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000782.1:9669:12369:1 gene:DRNTG_15667 transcript:DRNTG_15667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVGEVEELVALEEEKEKSEEGEKLGNHTKFLIEETKEKENVVAEEISRDELGENLEVVMVRDAKEFESMVAKKGSDEHILHQYINSCRPLPLQGRAFPTDSVVKPEGEPKEPKPIKKKHTNKHKETHNVGNPVRHCLSSGYVADDLKTHQPPGHA >DRNTG_19204.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000988.1:5527:6120:-1 gene:DRNTG_19204 transcript:DRNTG_19204.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECVPNSSHSSEHIHLEVHKGSHTRAFRLMHIRTRAPPIWFRGVLAKVLESSMALTS >DRNTG_07159.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31686501:31688139:1 gene:DRNTG_07159 transcript:DRNTG_07159.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGVSSQAILWKALSTVPSMKFLHVSLKVNLNLWFISLALTCIISFIYSLKIIFYFEAVKREYHHPIRVNFFFAPWIACLFLALGVPPSVTQNLHVSLWYALMAPILCLELKIYGQWMSGGQRKLSKVANPSNHLSIVSNFVGALLGASMGLKQASIFFFSVGLAHYTVLFITLYQRLPTNQPLPKELHPVFFLFVAPPSVACMAWAKIQNHFDYISRITYFIALFLYASLVIFLFVLKN >DRNTG_07159.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31686321:31688139:1 gene:DRNTG_07159 transcript:DRNTG_07159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRAHANSLNHEYSQEQVASESSNQVIKRDTQYNSFKTCSGKLERQLSELIGKTQELDLEANEAVPDVHLYFDALEGPELDTLKATETLALPEDKTWPFLLRFPISSFGMCLGVSSQAILWKALSTVPSMKFLHVSLKVNLNLWFISLALTCIISFIYSLKIIFYFEAVKREYHHPIRVNFFFAPWIACLFLALGVPPSVTQNLHVSLWYALMAPILCLELKIYGQWMSGGQRKLSKVANPSNHLSIVSNFVGALLGASMGLKQASIFFFSVGLAHYTVLFITLYQRLPTNQPLPKELHPVFFLFVAPPSVACMAWAKIQNHFDYISRITYFIALFLYASLAVRINFFRGFKFSLAWWAYTFPITGASIANIRYSNEAENVISPTLSVVLSSISTFTVASVFVSTFIHAFVLHDLIPNDISIAITHKRPPKLKKKKSSHFKSIANDAH >DRNTG_07159.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31686501:31688139:1 gene:DRNTG_07159 transcript:DRNTG_07159.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGVSSQAILWKALSTVPSMKFLHVSLKVNLNLWFISLALTCIISFIYSLKIIFYFEAVKREYHHPIRVNFFFAPWIACLFLALGVPPSVTQNLHVSLWYALMAPILCLELKIYGQWMSGGQRKLSKVANPSNHLSIVSNFVGALLGASMGLKQASIFFFSVGLAHYTVLFITLYQRLPTNQPLPKELHPVFFLFVAPPSVACMAWAKIQNHFDYISRITYFIALFLYASLAVRINFFRGFKFSLAWWAYTFPITGASIANIRYSNEAENVISPTLSVVLSSISTFTVASVFVSTFIHAFVLHDLIPNDISIAITHKRPPKLKKKKSSHFKSIANDAH >DRNTG_04392.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1180530:1181286:1 gene:DRNTG_04392 transcript:DRNTG_04392.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYGAKLRPAATAILSRARSTGKAITRFARPRSFSVPSDADAGAIRTVRNLIHFRHYYIVFLYIILLSSLIPRRHAAMLCLMATSKVAASYVALLKAFPNSPVLRFVDQRIVMVLFLMVIVVQMVITRAAKHLMISMAVGIPIVLAHAVFRARDDLAGEEAEPIADKKDVDLESQPE >DRNTG_04392.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1180530:1181403:1 gene:DRNTG_04392 transcript:DRNTG_04392.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYGAKLRPAATAILSRARSTGKAITRFARPRSFSVPSDADAGAIRTVRNLIHFRHYYIVFLYIILLSSLIPRRHAAMLCLMATSKVAASYVALLKAFPNSPVLRFVDQRIVMVLFLMVIVVQMVITRAAKHLMISMAVGIPIVLAHAVFRARDDLAGEEAEPIADKKDVDLESQPE >DRNTG_04392.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1180391:1181313:1 gene:DRNTG_04392 transcript:DRNTG_04392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYGAKLRPAATAILSRARSTGKAITRFARPRSFSVPSDADAGAIRTVRNLIHFRHYYIVFLYIILLSSLIPRRHAAMLCLMATSKVAASYVALLKAFPNSPVLRFVDQRIVMVLFLMVIVVQMVITRAAKHLMISMAVGIPIVLAHAVFRARDDLAGEEAEPIADKKDVDLESQPE >DRNTG_04392.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1180530:1181313:1 gene:DRNTG_04392 transcript:DRNTG_04392.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYGAKLRPAATAILSRARSTGKAITRFARPRSFSVPSDADAGAIRTVRNLIHFRHYYIVFLYIILLSSLIPRRHAAMLCLMATSKVAASYVALLKAFPNSPVLRFVDQRIVMVLFLMVIVVQMVITRAAKHLMISMAVGIPIVLAHAVFRARDDLAGEEAEPIADKKDVDLESQPE >DRNTG_04392.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1180391:1181286:1 gene:DRNTG_04392 transcript:DRNTG_04392.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYGAKLRPAATAILSRARSTGKAITRFARPRSFSVPSDADAGAIRTVRNLIHFRHYYIVFLYIILLSSLIPRRHAAMLCLMATSKVAASYVALLKAFPNSPVLRFVDQRIVMVLFLMVIVVQMVITRAAKHLMISMAVGIPIVLAHAVFRARDDLAGEEAEPIADKKDVDLESQPE >DRNTG_16957.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:180455:182990:1 gene:DRNTG_16957 transcript:DRNTG_16957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMSMSQKLTLGYAALVGGLSAALLAVMGSRFRKSGKIFPAGVVSLVSFVMVGGYLHGILRGLHA >DRNTG_22506.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001243.1:103334:104529:1 gene:DRNTG_22506 transcript:DRNTG_22506.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQRISAARKHIEEIRRTKFSIGAPEMSVETKELVDSAERLAEEIDKDGFSFVQELIQNADENTYAADIIPTLEFKVVTKDLTFSGCAQTLFVMCNDVGFSEQDIERICSIGKSTKKARRHEGFVVQRGDSFFCLIFDIIAMLDLSSITILCLCFLGLFCLYLLQK >DRNTG_22506.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001243.1:103334:107261:1 gene:DRNTG_22506 transcript:DRNTG_22506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQRISAARKHIEEIRRTKFSIGAPEMSVETKELVDSAERLAEEIDKDGFSFVQELIQNADENTYAADIIPTLEFKVVTKDLTFSGCAQTLFVMCNDVGFSEQDIERICSIGKSTKKARRHEGFVVQRGIGFKSVLKISSQPYIFSNGYRIRFTETTDYKWPIPIVPEWVDSDLIVKAVENQFSLRKEHIGTIIVLPLRPEKMNFVIGQFGQVRGENLLFLSKLERLVLIYLEPPFPFRFLLFKMVSRKTKYTVTNESHTSSSVVSLSINNGGQLFSCDYYLYRQIFPMKPELRINGRELMENVVIAMACPLGPRLTEGFPVGIFSQFPSRIITIFPFLVQSDIVQTDPMDIDFDSKWNKEVFNNVPVAFLNAVRTFVNNVQMSKDEAGAHVICWLPANVLSIGRSKEAEAINSIMLAIQHKVKDLAIVPCESLSDGNIQFARPSDVRMILPCFRRILTCLKYEEIHLSPMRPGEKSPLCQPLDNKENRGIMEYLGVDSSESNPDWYDKCIQDCNLVQQLDEDMYAVFLLALASNWSVLRKSAVGDTPILKYIAEDNKEKLFSMNTLRKGEVKLCFAVNAREHAWISQWHQKFGFPGNLLFLPNEIQDVITTHRRSNIMCAWLNLEANVSQILVCDYSCILIKYLQEKQNLDSLIQLPLFLHESKVNNFLWESEVFRFCQKMPIVDDCRNVHVDRTLTLVPAAGSKWMKLFGFNPFADSKYIPLGSFYNQIQGETSDFMSYLCKYTNAVDLPELWPPNVKLPCTCSLLTAEQAFILLDWLKCLRKKKDVVLPELFIESIKDGQWMKTITGCASPKHCILSTPYEVSCSVTASITSIDKEFYNNRLHLYKDELEFIGVTINCSTKNPQGWSYYRVSLLF >DRNTG_20048.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26185823:26186921:-1 gene:DRNTG_20048 transcript:DRNTG_20048.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVHPNVGAQELSGEFNRQPATAETSLTVWRKSLLFNCNGFTVFDCKGNLVFRVDNYSSTCAREVVLMDAAGKPLLTIRRKRLSMSDEWLVYNGEETCKPWLSMKKQMNILQSKSVLVYATRVGGVGGYSVEGSYSQRSCMVYDERRQLVAEIRRKEAVGGVGFGGDVFRLVVQPGLESAVAMAVVVLLEQMFGSKGSFSLIR >DRNTG_09751.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24769294:24770625:1 gene:DRNTG_09751 transcript:DRNTG_09751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLIHDLAHFALENECFTSLNSSAAPEIPIRPHHLNLYSDKNYNQADCSIIRTVLKFKTDLSVLSRLKFVRVLDLSDTHINELPASIEHLHHLRYLDLSYTKIRKLPESICMLVNLQTLKLYGCYKLSELPKSITYMNSLKHLIFNPPDFKALPAGLSQLQNLKTLTRYTVGDEAKNNIGQLRSLNPFSELALDNLQKVKNADDARKADMGKQFPIWMGEMQQFQYLHRIELSECRECEQLPPLETLPNLADLSISDMDGIKHIVNNSKGNALQSFPALKGLILYRMKNLEGWCVEEGREANQSLFPCLIRMDIGRCPKLTTMPPIPTLQELYMVRPFRKTQISLVSKERRFFKHLKSLRRLGISSCTEELVLLLADEEETRAMTSTLRV >DRNTG_22225.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:12338940:12340706:-1 gene:DRNTG_22225 transcript:DRNTG_22225.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNLPSFFHIWPHNPNLGEYSPHECYFSADSLSRAEPSPPSKLQTTQSLARRRDSQLLE >DRNTG_19922.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5969126:5969636:1 gene:DRNTG_19922 transcript:DRNTG_19922.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLPLLSATVAAAAIHRRHAAAAATMPFHALVMPVQMRSDKQVVSCNAKSNNYNYKHTSSIEIHPICRKDLIGLLSKVHNSQGNLGPGW >DRNTG_02506.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7766765:7772500:-1 gene:DRNTG_02506 transcript:DRNTG_02506.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAHIRVYVVATFYSMFNQTKIGKYHLLVCGTTPCMIRDSREIEEALLKHRAKQNGLLCKWSNDHCC >DRNTG_02506.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7766765:7772500:-1 gene:DRNTG_02506 transcript:DRNTG_02506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYVLYTYLYLSIYIYIYYILPFSSFLSLCLSIQVITQFAKVMEVAHIRVYVVATFYSMFNQTKVSGLSHDAISNIMFCHIIVIFNP >DRNTG_02506.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7766765:7772500:-1 gene:DRNTG_02506 transcript:DRNTG_02506.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYVLYTYLYLSIYIYIYYILPFSSFLSLCLSIQVITQFAKVMEVAHIRVYVVATFYSMFNQTKIGKYHLLVCGTTPCMIRDSREIEEALLKHRAKQNGLLCKWSNDHCC >DRNTG_28694.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7078113:7080656:-1 gene:DRNTG_28694 transcript:DRNTG_28694.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSSTTLPLPSKGFAHHAFKSWVRGKPSSMSPREVRKGIFRRACSSLKASIENTRASLLSAHPEKVQRRGFGPVNDFGRGLAPVDDPVINTRAWVISTRPCGSLQRNFLPSKEYTGASECPCDQALYKSTPGCASTPVSLSDGGARAWSTGENYTMNVTQFSTRLGLYEEAFTDTEEYTQLLTDYPDTLYIDICISIFFPIQSVNDRGDSIGVLSRQELLYLYSMVQSTPIHLGHIIGSLHYVTGYRHRDVEADGDGLQSPVRSPIPEPQPTLVEAEASPVAEEAPHYICVHRLEPMFILKDSRVRWGAMDWIAEVRATQATQYIEFMARFDTLQ >DRNTG_26635.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10258609:10258926:-1 gene:DRNTG_26635 transcript:DRNTG_26635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYHVCAGGGGGGGGLHEVPFGGDGGGGDGGGGGGLHEVPFGGDGGGGDGGGGGGGITSPPQPHGLHVHFISPGTTGVSSSCFSVVEEAIEKHAVNNKRKTRRCS >DRNTG_06906.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:27884588:27887068:-1 gene:DRNTG_06906 transcript:DRNTG_06906.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase III precursor (EC 3.4.16.5) [Source: Projected from Oryza sativa (Os02g0114200)] MAKFNLLFIFLSGCFLFSLISSNPLGFHDDITLPLDALLPSLNAEKLIRALNLHPKDASAVSSNVSGIDKGIKIVEREFRLPGLSGGVPVEDLHHYAGYYRLPHSNDARMFYLFFESRNSKKDPVVIWLTGGPGCSSELAVFYENGPFTITDNMSLEWNDFGWDKASNLLYVDQPTGTGFSYSSDERDLRHDEKGVSNDLYDFLQQFFKEHKEYAMNDFYITGESYAGHYIPAFASRVHQGNKAEEGIHINLKGFAIGNGLTNPEIQYKAYTDYALEMGIIQESDYKEINKIYPACQHAIKLCGTSGKTACVSAYTVCNTIFRSILNIVGNMNYYDIRKECEGSLCYDFSNMENFLNLKTTRDALGVGDIDFVSCSTTVYQAMLTDWMQNLEVGIPALLEDGIKLLIYAGEYDLICNWLGNSRWVQSMEWSGQQKFVSSEEMQFSVDGEEAGILKSHGPLSFLKVHNAGHMVPMDQPKAALEMLRRWTNGQLGETSESAKEIIHLEL >DRNTG_30556.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:764132:770841:1 gene:DRNTG_30556 transcript:DRNTG_30556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHYRESGGNVFRLPCLVTVVVVMLMNVDTNANCQNIIADRELNNRPSRPGHMVDSKHSDINGATQKEAHEYARIGNQACENKDPMNDPCGQKVGKNLFVQKKFYEQTTKNSLGNYCQTAPKGRKLDKFMLERSMCFGRKQLFLSVVQWDGMDSPSFQSNGSTDAGNNAISYVLGIRIMTKGMSPKDASSLNLGMGVGLIFLLVRSTNEFNKMTELRKEMEVLLRDVKFEIQKKDAVSTHADSRSISFFVSDCSRNKNTSGNYRSSLCSIRTHCPTEFDGSRSKGEIISESTQYLKMDQMEAELEAEFQSVEDDNSLVLPQHLETQLADGGSSPTESLSGIFGGDDDINNEDSNEQSGVSPHELERKLHELLEAQQQERIAELESALELAEQGLKEKEMEICWWRDCKTSFTL >DRNTG_33054.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001942.1:9082:11179:1 gene:DRNTG_33054 transcript:DRNTG_33054.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEILKASGADYSAVVKTTIMLADLKDFKAVNEIYSKYFSYPAPARSTYQVAALPMNARIEIECIAAL >DRNTG_19994.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21051672:21056338:1 gene:DRNTG_19994 transcript:DRNTG_19994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRENTPSTLTAIAQACGKYPRPCIRPTGEAARPCGFSNNDTSNFFGSRMSPTALDCFPWHLLALFIFQSIFFKIPGVDATSSVDPSIFTSSCPFSWSDKPS >DRNTG_26585.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20107580:20113251:-1 gene:DRNTG_26585 transcript:DRNTG_26585.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLNIDMSSSAFIEPLPVIDFVTQLLNKDVSARPLSDADRVKIKKALRGVKIEVTHRGNMRRKYRIAGLTTQATRELTFPVDDRGTRKSVVQYFQETYGFNIQHTTLPCLQVGNQKRQNYLPMEVCKIIEGQKYYKKLNEKQISALLNVTCQHPQDRERDIIQTVHHNAYHNDQYAREFGIRISPSLALVDARILPAPRLKYHDTGRERDVLPRVGQWNMMNKKVINGGRVSYWACINFSRNVRNGVAHRFCQELAQMCQISGMDFAPDPILPLLSSQPEQVERALNERYSDAMKMLKPQGKELELLIAILPDNNGPLYGELKRICETDLGLISQCCLTKHVCKTGKQQYLANVALKINVKVGGRNTVLVDALMRRMPLVSDLPTIIFGADVTHPHPGEESSPSIAAVVASQDWPEVTKYAVLVGAQSHGQELIQDLFTEQKDLRGGTITGGMIKELLLSFYQATNQKPLRIIFYRDGVSEGQFFQVLVHEVQAIRKACESLEPNYQPLITFVVVQKRHHTRLFANNHADHRSIDRSGNILPGTVVDTKICHPTEFDFYLCSHAGVKGTSRPAHYHVLLDENGFTADQLQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYLLADTSDNGSTTNGASGRGARSPRGHGTAAAPASPAPVKPLPALKENVKKVMFFC >DRNTG_26585.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20109879:20110290:-1 gene:DRNTG_26585 transcript:DRNTG_26585.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCQISGMDFAPDPILPLLSSQPEQVERALNERYSDAMKMLKPQGKELELLIAILPDNNGPLYG >DRNTG_26585.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20107580:20113525:-1 gene:DRNTG_26585 transcript:DRNTG_26585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLNIDMSSSAFIEPLPVIDFVTQLLNKDVSARPLSDADRVKIKKALRGVKIEVTHRGNMRRKYRIAGLTTQATRELTFPVDDRGTRKSVVQYFQETYGFNIQHTTLPCLQVGNQKRQNYLPMEVCKIIEGQKYYKKLNEKQISALLNVTCQHPQDRERDIIQTVHHNAYHNDQYAREFGIRISPSLALVDARILPAPRLKYHDTGRERDVLPRVGQWNMMNKKVINGGRVSYWACINFSRNVRNGVAHRFCQELAQMCQISGMDFAPDPILPLLSSQPEQVERALNERYSDAMKMLKPQGKELELLIAILPDNNGPLYGELKRICETDLGLISQCCLTKHVCKTGKQQYLANVALKINVKVGGRNTVLVDALMRRMPLVSDLPTIIFGADVTHPHPGEESSPSIAAVVASQDWPEVTKYAVLVGAQSHGQELIQDLFTEQKDLRGGTITGGMIKELLLSFYQATNQKPLRIIFYRDGVSEGQFFQVLVHEVQAIRKACESLEPNYQPLITFVVVQKRHHTRLFANNHADHRSIDRSGNILPGTVVDTKICHPTEFDFYLCSHAGVKGTSRPAHYHVLLDENGFTADQLQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYLLADTSDNGSTTNGASGRGARSPRGHGTAAAPASPAPVKPLPALKENVKKVMFFC >DRNTG_09637.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21928415:21930837:1 gene:DRNTG_09637 transcript:DRNTG_09637.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGMNLGFNRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVICDHFGLGVKTGLPYIWHSKASNPFVNLKKEYNGIYWQEEIIPFFQNVVIPKECTTVQKCYLELAKQVKSKLTKVDPYFDKLADAMVTWIDAWDELNPSAALTINGSDKK >DRNTG_13284.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2730316:2733025:1 gene:DRNTG_13284 transcript:DRNTG_13284.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPQILFNFTLSNSISQISKNFDQLKIQLKFGLQLRYYENVYLELTNTKGSTVRSPVTVQASVLSDIGSRILLPDRLKELAAIIIGPDAKNLGLDHAIFGKVKCVQLSSYLKDSISSIGSPSPSPSPSPSPSSSPSLSPSPASSPSPSPSPPPSEAPASSPAPCLIPICCTPSTSPYPATPELGSSPQSSSPDTTRRKGSHIHPSLPPKASPRHHVDPPASGNSPSPVPLKAGTWGSTPKMSPSLTPFPSPSRHGSQPRSVQGNREASIAPAFVFLEISRSLPSHKKESIYFNVEDFVESYLKMHDHPRFGKTYSGRKRPDLQQDRESVSTN >DRNTG_13284.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2730125:2733025:1 gene:DRNTG_13284 transcript:DRNTG_13284.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKPFSQLVPHVQKLEYDIFEEIGVPESKVSVISLHPSTPTNVTGVVFGVLPYSKYVSISSPFLSLLKSSFVELALQQVNLSLSPALFGHSSAFEVLKFPGGISITPGQPVSLWKMPQILFNFTLSNSISQISKNFDQLKIQLKFGLQLRYYENVYLELTNTKGSTVRSPVTVQASVLSDIGSRILLPDRLKELAAIIIGPDAKNLGLDHAIFGKVKCVQLSSYLKDSISSIGSPSPSPSPSPSPSSSPSLSPSPASSPSPSPSPPPSEAPASSPAPCLIPICCTPSTSPYPATPELGSSPQSSSPDTTRRKGSHIHPSLPPKASPRHHVDPPASGNSPSPVPLKAGTWGSTPKMSPSLTPFPSPSRHGSQPRSVQGNREASIAPAFVFLEISRSLPSHKKESIYFNVEDFVESYLKMHDHPRFGKTYSGRKRPDLQQDRESVSTN >DRNTG_13284.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2727622:2741446:1 gene:DRNTG_13284 transcript:DRNTG_13284.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSIENLEGVSDAGAGAGCGHRLVCPFPIITLRCVLVLILSVCVLLSALFWLPPFRSSRSSFHPSDDALAADIQATFMLAKPFSQLVPHVQKLEYDIFEEIGVPESKVSVISLHPSTPTNVTGVVFGVLPYSKYVSISSPFLSLLKSSFVELALQQVNLSLSPALFGHSSAFEVLKFPGGISITPGQPVSLWKMPQILFNFTLSNSISQISKNFDQLKIQLKFGLQLRYYENVYLELTNTKGSTVRSPVTVQASVLSDIGSRILLPDRLKELAAIIIGPDAKNLGLDHAIFGKVKCVQLSSYLKDSISSIGSPSPSPSPSPSPSSSPSLSPSPASSPSPSPSPPPSEAPASSPAPCLIPICCTPSTSPYPATPELGSSPQSSSPDTTRRKGSHIHPSLPPKASPRHHVDPPASGNSPSPVPLKAGTWGSTPKMSPSLTPFPSPSRHGSQPRSVQGNREASIAPAFVFLEISRSLPSRKSHAYIWHMLLLGFVAFHLIHRR >DRNTG_03360.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18043849:18050761:1 gene:DRNTG_03360 transcript:DRNTG_03360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLHEWKGLLKKVKQLQLLLKGWRNNGEHQFQVLIHLLKLFERKCLGHHCLPSFLRIQSRVVAVSPTDSVLTTTKKMLEHKLSSAVVTVDNKPQGILTSRDILMRVIAQNLSPDSTPVEKVMTPDPECATIDTPIVDALHKMHEGRFLHLPVIDRDGNIVSVIDVIHITHAAVATVGKSGGIGSESTSSLMQKFWDSAMALPLDDDDDSRSEGSTKFTSEGTEMGRLASYPHSSLSDNFGFKLEDKKGRMHRFNCETQTITNLVTSILQRVGDDIDRDHLPEILYEDAEHDKVLLASDSDLAAAVEHARLSGWKSLRLHLDYSGSGVQKRGGRSGSLDVSHKDAWASAYSTVAAGAALVAGLGVMAYLKRSS >DRNTG_03360.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18043849:18050761:1 gene:DRNTG_03360 transcript:DRNTG_03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGGLGVPARRSVAGRKKASENGNPDGGRRHLSSRSSSLGGERTVRRLRLSRALTIPESTTVHEACRRMVARKVDAVLLTDSNALLCGILTDKDITTRVIARELKLEETPVSKVMTRNPLFVLSDTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKQWGTSISGPNTFIETLREKMFRPSLSTIIPENPKVVAVSPTDSVLTTTKKMLEHKLSSAVVTVDNKPQGILTSRDILMRVIAQNLSPDSTPVEKVMTPDPECATIDTPIVDALHKMHEGRFLHLPVIDRDGNIVSVIDVIHITHAAVATVGKSGGIGSESTSSLMQKFWDSAMALPLDDDDDSRSEGSTKFTSEGTEMGRLASYPHSSLSDNFGFKLEDKKGRMHRFNCETQTITNLVTSILQRVGDDIDRDHLPEILYEDAEHDKVLLASDSDLAAAVEHARLSGWKSLRLHLDYSGSGVQKRGGRSGSLDVSHKDAWASAYSTVAAGAALVAGLGVMAYLKRSS >DRNTG_20058.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26300038:26304602:1 gene:DRNTG_20058 transcript:DRNTG_20058.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQISIGYFLSDLAMILWLYPALGGKEYILHHGLSLYAIFLSLISGKAQAYILMVLFSEITTPFVNLRW >DRNTG_20058.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26300038:26304602:1 gene:DRNTG_20058 transcript:DRNTG_20058.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQISIGYFLSDLAMILWLYPALGGKEYILHHGLSLYAIFLSLISGKAQAYILMVLFSEITTPFVNLRWLRGSFFSYTSSYTCIFILTR >DRNTG_20058.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26300038:26304602:1 gene:DRNTG_20058 transcript:DRNTG_20058.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNFGPMERVVWPVSVVSGIIMCKMVYDITGWISTLYFKGYNVLSKAQQIEWNNRGFSTFHAILAAAVSFYLLVVSDLFKDNFLDVPMIARKSVVSDAMFGISIGYFLSDLAMILWLYPALGGKEYILHHGLSLYAIFLSLISGKAQAYILMVLFSEITTPFVNLRWLRGSFFSYTSSYTCIFILTR >DRNTG_20058.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26300038:26304602:1 gene:DRNTG_20058 transcript:DRNTG_20058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNFGPMERVVWPVSVVSGIIMCKMVYDITGWISTLYFKGYNVLSKAQQIEWNNRGFSTFHAILAAAVSFYLLVVSDLFKDNFLDVPMIARKSVVSDAMFGISIGYFLSDLAMILWLYPALGGKEYILHHGLSLYAIFLSLISGKAQAYILMVLFSEITTPFVNLRWYLDLAGQKNSMLYLYNGIALFFGWLAARIVLFIYFFVHVYLHFDQVKSIFPLGFYSLLTAPSLLTVMNLFWFWKIFKGMVKTLFRKRHIQ >DRNTG_20058.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26300038:26304602:1 gene:DRNTG_20058 transcript:DRNTG_20058.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNFGPMERVVWPVSVVSGIIMCKMVYDITGWISTLYFKGYNVLSKAQQIEWNNRGFSTFHAILAAAVSFYLLVVSDLFKDNFLDVPMIARKSVVSDAMFGISIGYFLSDLAMILWLYPALGGKEYILHHGLSLYAIFLSLISGKAQAYILMVLFSEITTPFVNLRWYLDLAGQKNSMLYLYNGIALFFGWLVCKSLLRNVHCDTKSCIICQSPCHIHQSSLSFHAQFLVKAM >DRNTG_20058.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26300038:26304602:1 gene:DRNTG_20058 transcript:DRNTG_20058.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQISIGYFLSDLAMILWLYPALGGKEYILHHGLSLYAIFLSLISGKAQAYILMVLFSEITTPFVNLRWYLDLAGQKNSMLYLYNGIALFFGWLAARIVLFIYFFVHVYLHFDQVKSIFPLGFYSLLTAPSLLTVMNLFWFWKIFKGMVKTLFRKRHIQ >DRNTG_20058.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26300038:26304602:1 gene:DRNTG_20058 transcript:DRNTG_20058.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQISIGYFLSDLAMILWLYPALGGKEYILHHGLSLYAIFLSLISGKAQAYILMVLFSEITTPFVNLRWYLDLAGQKNSMLYLYNGIALFFGWLVCKSLLRNVHCDTKSCIICQSPCHIHQSSLSFHAQFLVKAM >DRNTG_20058.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26301030:26304602:1 gene:DRNTG_20058 transcript:DRNTG_20058.8 gene_biotype:protein_coding transcript_biotype:protein_coding MIARKSVVSDAMFGISIGYFLSDLAMILWLYPALGGKEYILHHGLSLYAIFLSLISGKAQAYILMVLFSEITTPFVNLRWYLDLAGQKNSMLYLYNGIALFFGWLVCKSLLRNVHCDTKSCIICQSPCHIHQSSLSFHAQFLVKAM >DRNTG_20116.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22609184:22610189:-1 gene:DRNTG_20116 transcript:DRNTG_20116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSHGFYMVENGGSLVAQRVEVEGSDVWLFGVFDQNMGNEMKDYMHTHLFEEDINQDQIWKRSKEVVKKAFICTKRKMYDEDIIIGDAGGFATVIVMKSDKFIAANFGKYKAVVVCRNGMASQIGWTYQIIERGWWPISELLHLRCSVGEGDERIGRSSRLVVTAQKVEHNTSLVILASQGVWEVMRIQEAADLISHIEDAETAAECLAEEAINRMSKSTISCIVIRFH >DRNTG_33189.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8711803:8712334:1 gene:DRNTG_33189 transcript:DRNTG_33189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDTTRNLHDIDIVILPVIMNSHFHLVVLDNDKQEYRHCTSAESEECDRDIGEMYTLRKKRGSVDCAVYLIRFIEQLLDGKKLQLPQAEVPYLCLKYVTRILKEGSTAGITEKGDSSTGVKKD >DRNTG_31667.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:12815632:12827818:-1 gene:DRNTG_31667 transcript:DRNTG_31667.1 gene_biotype:protein_coding transcript_biotype:protein_coding KVIPDRLKAAEDRQKSYADNRRRDLEFQVGDKLIDYICTRSVVCT >DRNTG_32499.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21009918:21011931:1 gene:DRNTG_32499 transcript:DRNTG_32499.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g37170 [Source:Projected from Arabidopsis thaliana (AT4G37170) UniProtKB/Swiss-Prot;Acc:O23169] MQSVEEWVMLDVCLMLLLEKDVVSWTGMIGRYFDCGWREQGFKLFSDMLINRVRPTEFTFAAVLDACSVSAAEELGKQVHGSMVRMGIESSSFAGSALVHMYSKCGSIKKASVVFQRMPRRDLVSWTTMISGYAQNGHPEEALMYYELLLRSGMKPDHVTFVGVLSACTHAGLVDKGLEVFHSIKDEFGIAYTGDHYACVVDLLSRAGRFQEVEEIITSMPTKPNKFLWASLLGGCRIYRNLKLAKMAAKALFEIEPENAATYVTLANVYASNNMWEEVERIRQRMEEKQIEKNPGSSWIEIKRQIHVFLVGDKSHPRTDEIYLLLKKLHRRMREEGYVPDINYVLHDVEDEQKEEDLVYHSEKLAVAFGIIAGPAGVPLKVFKNLRICGDCHTAIKFLSRIAEREIVVRDFSRFHHFKNGACSCRDYW >DRNTG_29611.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19891037:19895120:-1 gene:DRNTG_29611 transcript:DRNTG_29611.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ C76, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G23240) UniProtKB/Swiss-Prot;Acc:Q9FMX6] MSSSSSLLVNIAPPSSLKPGNKLHSLTKRRPCPPRHTTSSSIRCASKPSSPSSFASTAGYDLYDLLGIDSTADQSQIKRAYRSLQKRCHPDIAGPAGHDMAIVLNEIYSLLSDPISRFAYDQEQSKLLEFRGFTGSPMYSSWFGSESEERAVFVDEVKCVGCLKCALSARKTFAIEAAYGRARVVSQWGDPEEKILEAIQTCPVDCISMVERSDLAVLEFLMSKQPRGPVRLTAGNSVGASVSNIFVDMKKFQKRFNEMNEKASKESQFAESQRKSRDSAAQGIRSILNFRYWHSPSTTATPANNNASLSLTISSIKTSQPNTEKLREFAAKQKAGEAPTMNFRHDEEYWTPTLVLPPSPTSFKHSKISEPLTKQSAGK >DRNTG_06650.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000293.1:40834:41061:-1 gene:DRNTG_06650 transcript:DRNTG_06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding IAGYIEGDCSGRMDHIKNGEVETEGQHPREKDYEHR >DRNTG_32874.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001928.1:119648:121724:1 gene:DRNTG_32874 transcript:DRNTG_32874.1 gene_biotype:protein_coding transcript_biotype:protein_coding CFNKPLRCGALRQALGKRLRRFAYSPLARPSSPLVPISPTSTSSHSVLAPSPATISSVNTSAISTATARSSFFLFLHEFLSNRTSLPC >DRNTG_07323.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3228111:3229592:1 gene:DRNTG_07323 transcript:DRNTG_07323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGDEKGVVEGNKKVLLKKYVNGFVQESDMEVVTDETILLELPHEGSKDTVLVKNLYLSCDPFMRALMSKMSESHYIEAFVPGNVIKGFGVSKVLESGHPDFKEGEYVWGITGWEEYSLITDTEKIFKIPFTEVPLSYYTGLLGMTGFTAYVGFNEICSPKKGEYVFISAASGAVGQLVGQLAKLMGCYVVGTAGSDEKVELLKTKFGFDDAFNYKKEKSLRASLRRCFS >DRNTG_05144.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30683286:30689986:1 gene:DRNTG_05144 transcript:DRNTG_05144.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 8 [Source:Projected from Arabidopsis thaliana (AT2G03070) UniProtKB/Swiss-Prot;Acc:Q4V3C1] MEAATGAVAPPQEQQQQPAAGKTERLNTAVQQQLNLDSVKTRALSLFKAISRILEDFDAYARTSTTPKWQDALGQFSMVNMELFNIVEDIKKVSKAFVVYPKNVTVENATILPVMLSSKLLPEMEAEDNAKRDQLLSGMQNVPIPTQIEKIKARIDMIAAACEAAEKVIADARKAYGLGSRQGPTLVPTLDKVQAAKIQEQENQLRAAVNYGEGLRIPVDQRHLPSSLPSHLVDVLTFGDSTQSFGDNSGIYQKNTPTFSSNNVNAQGGVMQAAGAQLIGRSVPSPSGVTGNTNFDNVNASTPPMPYANSPRSGTNMMNTPSPQQQSQQQQQRQKMMQIPQHQQQLLAQQQLRQSTNPGVLGQNTISQLHDLQGQAQQKFQQVPGQHQMQFSQSLSQSQFQNRQMQAAHLQHNIAQNQLTQGNQLRNHLSQFTGSANSALFNAAQSQPNSQMLANMSATMQSQSLMPRVQFGLSGALPQRNHSSQMLNDQMFGVGGSNNASMVAMQQQQHGIQGGYGNMNLQANTQNLQAGMVGLPNASQNPNFPQQRQQNQQ >DRNTG_30130.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3175994:3179288:1 gene:DRNTG_30130 transcript:DRNTG_30130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAASPPTFSLLPSTSTSTSASSRRQPFSTHLSFSRSPVALRSPIHRLGFAGAAADPLLAIHVAGKTSAVVGRGVRGVVSMAKKSVGDLTEAELKGKVVFMRADLNVPLDESQNITDDTRIRAAVPTIKHLMSKGAKVLLSSHLGRPKGVTPKFSLAPLVPRLSELLGVQVGKTDDCIGPEVEKKAAALPEGGVLLLENVRFYPEEEKNDPEFAKKLAAVADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSSPKRPFAAIVGGSKVSSKIGVIESLLEKTDILLLGGGMIFTFYKAQGFSVGSSLVEEDKLELATTLLAQAKAKGVSLMLPTDVVIADKFAPDAASKIVPCSAIPDGWMGLDIGPDSIKAFSEALDTTKTIIWNGPMGVFEFDKFAAGTEAIANKLAELSSKGVTTIIGGGDSVAAVEKVGVADVMSHISTGGGASLELLEGKELPGVLALDEAVPVPV >DRNTG_30130.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3175979:3179316:1 gene:DRNTG_30130 transcript:DRNTG_30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIARLSHSRPLLPDKTNPFSSIYPNSSHHPPSLSLTTNPSSTFIHPHSMASSAASPPTFSLLPSTSTSTSASSRRQPFSTHLSFSRSPVALRSPIHRLGFAGAAADPLLAIHVAGKTSAVVGRGVRGVVSMAKKSVGDLTEAELKGKVVFMRADLNVPLDESQNITDDTRIRAAVPTIKHLMSKGAKVLLSSHLGRPKGVTPKFSLAPLVPRLSELLGVQVGKTDDCIGPEVEKKAAALPEGGVLLLENVRFYPEEEKNDPEFAKKLAAVADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSSPKRPFAAIVGGSKVSSKIGVIESLLEKTDILLLGGGMIFTFYKAQGFSVGSSLVEEDKLELATTLLAQAKAKGVSLMLPTDVVIADKFAPDAASKIVPCSAIPDGWMGLDIGPDSIKAFSEALDTTKTIIWNGPMGVFEFDKFAAGTEAIANKLAELSSKGVTTIIGGGDSVAAVEKVGVADVMSHISTGGGASLELLEGKELPGVLALDEAVPVPV >DRNTG_30130.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3175994:3179316:1 gene:DRNTG_30130 transcript:DRNTG_30130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAASPPTFSLLPSTSTSTSASSRRQPFSTHLSFSRSPVALRSPIHRLGFAGAAADPLLAIHVAGKTSAVVGRGVRGVVSMAKKSVGDLTEAELKGKVVFMRADLNVPLDESQNITDDTRIRAAVPTIKHLMSKGAKVLLSSHLGRPKGVTPKFSLAPLVPRLSELLGVQVGKTDDCIGPEVEKKAAALPEGGVLLLENVRFYPEEEKNDPEFAKKLAAVADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSSPKRPFAAIVGGSKVSSKIGVIESLLEKTDILLLGGGMIFTFYKAQGFSVGSSLVEEDKLELATTLLAQAKAKGVSLMLPTDVVIADKFAPDAASKIVPCSAIPDGWMGLDIGPDSIKAFSEALDTTKTIIWNGPMGVFEFDKFAAGTEAIANKLAELSSKGVTTIIGGGDSVAAVEKVGVADVMSHISTGGGASLELLEGKELPGVLALDEAVPVPV >DRNTG_04939.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8049141:8052022:-1 gene:DRNTG_04939 transcript:DRNTG_04939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPHGLVDVPHAPSPPPPRAPKTLPNPLF >DRNTG_32620.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23795526:23796282:-1 gene:DRNTG_32620 transcript:DRNTG_32620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGTISRLLDSERLGVASRKQQKYTHTSGSKSFARKEKEMQNFYCLKCPIK >DRNTG_23592.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:652964:653642:-1 gene:DRNTG_23592 transcript:DRNTG_23592.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPTGFETVGHIAHLNLRDEHLPYKNLIAQVVLDKNKPKIQTVVNKMDAIHNEYRTMQLEVLAGNHSLVTTVIENGYRFQVDLATV >DRNTG_23592.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:652208:654904:-1 gene:DRNTG_23592 transcript:DRNTG_23592.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSEGDGGDRLRSLAKRVDGESDGDEEHRLSPVLYREKLVKDFNCRGFLNFRNLAKMSRPKKKKKNSLKNGKEEGLEVKCGVGKNDFYAVEVVGDGGVGEEEDMSGFALEWVFGPGRWRGPTRLLLLDERFAKKGADELPNAVKAVLEGCATREKLSVAELVQCHLTLFYDYWQMNELLEALLPEDMVVPTGFETVGHIAHLNLRDEHLPYKNLIAQVVLDKNKPKIQTVVNKMDAIHNEYRTMQLEVLAGNHSLVTTVIENGYRFQVDLATV >DRNTG_23592.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:651763:654904:-1 gene:DRNTG_23592 transcript:DRNTG_23592.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSEGDGGDRLRSLAKRVDGESDGDEEHRLSPVLYREKLVKDFNCRGFLNFRNLAKMSRPKKKKKNSLKNGKEEGLEVKCGVGKNDFYAVEVVGDGGVGEEEDMSGFALEWVFGPGRWRGPTRLLLLDERFAKKGADELPNAVKAVLEGCATREKLSVAELVQCHLTLFYDYWQMNELLEALLPEDMVVPTGFETVGHIAHLNLRDEHLPYKNLIAQVVLDKNKPKIQTVVNKMDAIHNEYRTMQLEVLAGNHSLVTTVIENGYRFQVDLATV >DRNTG_32864.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32140350:32140815:1 gene:DRNTG_32864 transcript:DRNTG_32864.1 gene_biotype:protein_coding transcript_biotype:protein_coding YNINLSPSRNNEKKNKEKLSERKDKEPRVKQRLRNRGLQLTLLNQKHRRLAAKETAPAH >DRNTG_05705.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1782210:1783083:1 gene:DRNTG_05705 transcript:DRNTG_05705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNSSVLACNYVLSSGSDMSLKLASISSPPVTTKLLVIKAQQAHTVVETKKTKGSEGRRVALLFLAGALFSASTSSANASIFDEYLEKSKANKELNDKKRLATTGANFARAYTVEFGTCKFPENFTGCQDLAKQKKVPFISDDLEIECEGKDKFKCGSNVFWKW >DRNTG_10975.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1090342:1092144:-1 gene:DRNTG_10975 transcript:DRNTG_10975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASFITLIISLVGLVTLGTLTSTEARAFFVFGDSLVDSGNNNFLATTARADSPPYGIDFPTRRATGRFSNGLNIPDIISEYLGAEPTLPYLSPELRGERLLVGANFASAGIGILNDTGIQFLNIIRITKQLQYFQQYQQRLSSLVGPEQTQQLVNQALVLITLGGNDFVNNYYLIPYSVRSREFSLPDYVRYIVSEYKKILAKLYELGARRVLVTGTGPLGCVPAELAMRSRDGQCDVELQRAGDMFNGQLVQMINDLNSQLGSDVFIAANAFRMHMDFISNPRAYGFITSKIACCGQGPYNGIGLCTIASNLCPDRNILHFGMLSIRPKELTGSL >DRNTG_13835.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8090:8679:1 gene:DRNTG_13835 transcript:DRNTG_13835.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVTYSSGHNHSWPLSSDRNYRAEPPLKLKVSVSASDSDPAPADADADSEPKKVDPKGGWSVDLIGGDQGEFRWFSDVGSPSTSASPTEGSDDTLLYGSIAGCGVAMLWTEEMERDGEEDEDSLFAGLGELPECSAVFRPRIMAAAETKS >DRNTG_13835.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7673:8679:1 gene:DRNTG_13835 transcript:DRNTG_13835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEGPSPKKGRREVKKRVVTVPVAGEAAPSTDTWAWRKYGQKPIKGSPYPRNYYRCSSWKGCTARKQVERSRVDPTMLVVTYSSGHNHSWPLSSDRNYRAEPPLKLKVSVSASDSDPAPADADADSEPKKVDPKGGWSVDLIGGDQGEFRWFSDVGSPSTSASPTEGSDDTLLYGSIAGCGVAMLWTEEMERDGEEDEDSLFAGLGELPECSAVFRPRIMAAAETKS >DRNTG_31083.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:24004847:24005610:-1 gene:DRNTG_31083 transcript:DRNTG_31083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDDVMDAFVCIIQKSLSKEPYPYKKRRPITGSACAFYVNARRRIMKQLWLWSGMLVRNSRIEVQIVILPIIMNGHFHVVVLDNDKQEYKHYSSCPGYDKDALDMRNLFDNCVDMEFGESATTKYPLVHDMETPT >DRNTG_03156.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000141.1:7191:10767:1 gene:DRNTG_03156 transcript:DRNTG_03156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDIEFLWRRTIGGAFIGIDLLLCALGLTREHFEKTRLLRKTIDTDYKGIFSMDYLHFSFTSYLLLYCSTESKTPSGCLRHSPLGFGEALARFCSGSMAFDTVFLWKIVIVVVFVSIDSAICALGLTREPLKKTQTLHKTIDTNTKRQPHAPVPSLDKLAIQIHGCAEIPHARAFSLDALEK >DRNTG_21641.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:112018:113076:-1 gene:DRNTG_21641 transcript:DRNTG_21641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLTLSPPLPSSNKPPPNSISTSINTIRVAFQGSPGAYSEFAAKTAIPSCTTLPCRSFSDAISSLSLNLADHAILPVESTMEGTALRNYDFLLRHPLFITQEINLFVHYCLLAIPGVLPTHLKTVISHPMALAHCHRSLTRLGLNRQPVEDTAGAVEMLLDNRLLDTAAIASPRAAQLYGLNVLAHGLQDESWNVTRFLILSKHPNNNNNNNIVDPLTPAAAAAGAAAANHDEQIVIRKTSIVIAHRGGCMLVILKVLKAFSSRNLNMTKLEVINHDEEEEGKSPVMILDVRLDGKKGSLRAFPHVLYVDFEGSMEDFRVREAIDEISKFSVFVRILGTYSADPNVYDLHY >DRNTG_17865.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2981963:2985038:1 gene:DRNTG_17865 transcript:DRNTG_17865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQLELFQDYKLKLQENVGKIRANTIVEKSLYVISSGSNDVANTYFTGLLRSTHYSFSDYAALLVQSASSFIQDLHQLGARNIAILSLPPLGCLPSQRTLAGGLLRDCVPLYNQAAQEYNTLLIKEIKSLSNKFSGSKIIYVDIYNKLLDIIERPKHYGFEVSTNGCCGTGRLELGILCKLSGKTCIDDTKYVFWDTFHPTQRAYEILVDLVVKEDIALLY >DRNTG_22608.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9377558:9378051:-1 gene:DRNTG_22608 transcript:DRNTG_22608.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRPRGRMVGPRGRMGWLAEWLCDNVGTWLAARPRTHAPAWAGQPCSQAPHARVAGPRSHVAPWPSPEHAHGGAWLAHTSEWAGKVPRLAPRTKKLAQNRSRQVRGPSRPLMAHQQHQHVTSMLDEFHS >DRNTG_04801.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2400804:2403120:1 gene:DRNTG_04801 transcript:DRNTG_04801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVFSHPLSSPLLSPSSAAPPSPDAASSLLSALKSTGAVPDAFAYTSLISALARNRRYRDALKVFDEMRLQECRPTIITYNVVLHVYGKLFAPWPEITSLVDAMKSDGVSADQYTYNTLISCCRRGALHQEATEIFEEMKTAGFEPDKVTYNSLLDVYGKSHRHREAMDVLREMEAKGFPPSIVTYNSLISSYAKDGILDEALELKEQMEAKGIKPDVITYTTLLSGFEKAGKDEFALRIADEMERKGCKPNLCTYNALIKMYGNRGKFEEMMKVFEELRDNGYVPDIVTWNSLLSVFGQNGMYSEVSGVFKEMKRAGFVPERDTYNTLISAYSRCGSFDQAMEIYKTMITAGIGPDLSTYNAVLAALARGGLWQQAEKILCRDEGWQM >DRNTG_11170.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4420835:4423351:1 gene:DRNTG_11170 transcript:DRNTG_11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVVAVVFAAAFGPFVSYGQVESIVLSFISMLSSPNDESPSNIEAAVSISSSEVVIEMAMRKVAESAIRTLGSRSCQSRQLHATPGSKKIVGVFYKAREHAEKNPNFVGCVERGLGIRSTLCGFM >DRNTG_11170.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4420835:4423351:1 gene:DRNTG_11170 transcript:DRNTG_11170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVVAVVFAAAFGPFVSYGQVESIVLSFISMLSSPNDESPSNIEAAVSISSSEVVIEMAMRKVAESAIRTLGSRSCQSRQLHATPGSKKIVGVFYKAREHAEKNPNFVGCVERGLGIRSTLCGFM >DRNTG_11170.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4420835:4423351:1 gene:DRNTG_11170 transcript:DRNTG_11170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLILLWTGTRRLLTMGVVVAVVFAAAFGPFVSYGQVESIVLSFISMLSSPNDESPSNIEAAVSISSSEVVIEMAMRKVAESAIRTLGSRSCQSRQLHATPGSKKIVGVFYKAREHAEKNPNFVGCVERGLGIRSTLCGFM >DRNTG_26555.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22236258:22239321:1 gene:DRNTG_26555 transcript:DRNTG_26555.6 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRFKLLSFFLLSIFLVSPTLSDPLYQICGKTGNYTTNSTYESNLLALLPSLASSGSRQGFYRERVGRIPGKVSAFILCRGDTNTSTCRSCLNLAGQDIVQLCAYAKDATIWYDYCLLRYSNENILTSVENSNVFYMWNVNNVTEPNKFNKLVSELVSMTSQYAAFNSTRRFATGEANFTAADPKIYGLAQCTRDLCGDECYQCLKSMFSVMPNFAGKRGGRVIGDRCYFRYELYSFYEGKSSLQLPSSSSSSSSSSSSSSPPPFQSNGTETPGTAIPPSVPSVAGGDFSNPEDITSVDSILFHLSTLKSATSNFSEENKLGQGGFGSVFKGTLPDGREIAVKRLLSGSGQGLKELKNELVLVAKLEHRNLVRLLGVCLEAQEMMLVYEYVPNRSLDTILFDSAKSEQLDWGRRYNIISGIAGGLLYLHEDSQIKIIHRDLKASNILLDEEMNPKISDFGLARLFGGDQSGATTSLVVGTL >DRNTG_26555.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22236258:22239321:1 gene:DRNTG_26555 transcript:DRNTG_26555.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRFKLLSFFLLSIFLVSPTLSDPLYQICGKTGNYTTNSTYESNLLALLPSLASSGSRQGFYRERVGRIPGKVSAFILCRGDTNTSTCRSCLNLAGQDIVQLCAYAKDATIWYDYCLLRYSNENILTSVENSNVFYMWNVNNVTEPNKFNKLVSELVSMTSQYAAFNSTRRFATGEANFTAADPKIYGLAQCTRDLCGDECYQCLKSMFSVMPNFAGKRGGRVIGDRCYFRYELYSFYEGKSSLQLPSSSSSSSSSSSSSSPPPFQSNGTETPGTAIPPSVPSVAGGGKLQNYCFLFFYFFFLKSRISLMFDVMIY >DRNTG_26555.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22236258:22239321:1 gene:DRNTG_26555 transcript:DRNTG_26555.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTPPFDFSNPEDITSVDSILFHLSTLKSATSNFSEENKLGQGGFGSVFKGTLPDGREIAVKRLLSGSGQGLKELKNELVLVAKLEHRNLVRLLGVCLEAQEMMLVYEYVPNRSLDTILFDSAKSEQLDWGRRYNIISGIAGGLLYLHEDSQIKIIHRDLKASNILLDEEMNPKISDFGLARLFGGDQSGATTSLVVGTFGYMAPEYVMCGQYSAKSDIFSYGVLVLEILTGRKNTSFRDSEQAENLISYTWEHWIRGTISEILDPCLGDQWSRSEALRCIQIGLLCVQEDPANRPTMSMVVLILYSYSESLQSPSRPAYCARLSGGMDSDAFRKGYNLSTVQGSIPEIPCEDSVSEMEPR >DRNTG_26555.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22236258:22239321:1 gene:DRNTG_26555 transcript:DRNTG_26555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRFKLLSFFLLSIFLVSPTLSDPLYQICGKTGNYTTNSTYESNLLALLPSLASSGSRQGFYRERVGRIPGKVSAFILCRGDTNTSTCRSCLNLAGQDIVQLCAYAKDATIWYDYCLLRYSNENILTSVENSNVFYMWNVNNVTEPNKFNKLVSELVSMTSQYAAFNSTRRFATGEANFTAADPKIYGLAQCTRDLCGDECYQCLKSMFSVMPNFAGKRGGRVIGDRCYFRYELYSFYEGKSSLQLPSSSSSSSSSSSSSSPPPFQSNGTETPGTAIPPSVPSVAGGGSKNVNVKILVIVIPLGNAFLLICTFSVWFWRRKRRRSLARKIPDFSNPEDITSVDSILFHLSTLKSATSNFSEENKLGQGGFGSVFKGTLPDGREIAVKRLLSGSGQGLKELKNELVLVAKLEHRNLVRLLGVCLEAQEMMLVYEYVPNRSLDTILFDSAKSEQLDWGRRYNIISGIAGGLLYLHEDSQIKIIHRDLKASNILLDEEMNPKISDFGLARLFGGDQSGATTSLVVGTFGYMAPEYVMCGQYSAKSDIFSYGVLVLEILTGRKNTSFRDSEQAENLISYTWEHWIRGTISEILDPCLGDQWSRSEALRCIQIGLLCVQEDPANRPTMSMVVLILYSYSESLQSPSRPAYCARLSGGMDSDAFRKGYNLSTVQGSIPEIPCEDSVSEMEPR >DRNTG_26555.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22236258:22239321:1 gene:DRNTG_26555 transcript:DRNTG_26555.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRFKLLSFFLLSIFLVSPTLSDPLYQICGKTGNYTTNSTYESNLLALLPSLASSGSRQGFYRERVGRIPGKVSAFILCRGDTNTSTCRSCLNLAGQDIVQLCAYAKDATIWYDYCLLRYSNENILTSVENSNVFYMWNVNNVTEPNKFNKLVSELVSMTSQYAAFNSTRRFATGEANFTAADPKIYGLAQCTRDLCGDECYQCLKSMFSVMPNFAGKRGGRVIGDRCYFRYELYSFYEGKSSLQLPSSSSSSSSSSSSSSPPPFQSNGTETPGTAIPPSVPSVAGGGKLQNYCFLFFYFFFLKSRISLMFDVMIY >DRNTG_26555.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22236258:22239321:1 gene:DRNTG_26555 transcript:DRNTG_26555.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIIFYFFSFLYVDFSNPEDITSVDSILFHLSTLKSATSNFSEENKLGQGGFGSVFKGTLPDGREIAVKRLLSGSGQGLKELKNELVLVAKLEHRNLVRLLGVCLEAQEMMLVYEYVPNRSLDTILFDSAKSEQLDWGRRYNIISGIAGGLLYLHEDSQIKIIHRDLKASNILLDEEMNPKISDFGLARLFGGDQSGATTSLVVGTFGYMAPEYVMCGQYSAKSDIFSYGVLVLEILTGRKNTSFRDSEQAENLISYTWEHWIRGTISEILDPCLGDQWSRSEALRCIQIGLLCVQEDPANRPTMSMVVLILYSYSESLQSPSRPAYCARLSGGMDSDAFRKGYNLSTVQGSIPEIPCEDSVSEMEPR >DRNTG_26555.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22236258:22239321:1 gene:DRNTG_26555 transcript:DRNTG_26555.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRFKLLSFFLLSIFLVSPTLSDPLYQICGKTGNYTTNSTYESNLLALLPSLASSGSRQGFYRERVGRIPGKVSAFILCRGDTNTSTCRSCLNLAGQDIVQLCAYAKDATIWYDYCLLRYSNENILTSVENSNVFYMWNVNNVTEPNKFNKLVSELVSMTSQYAAFNSTRRFATGEANFTAADPKIYGLAQCTRDLCGDECYQCLKSMFSVMPNFAGKRGGRVIGDRCYFRYELYSFYEGKSSLQLPSSSSSSSSSSSSSSPPPFQSNGTETPGTAIPPSVPSVAGGGKLQNYCFLFFYFFFLKSRISLMFDVMIY >DRNTG_23523.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22253840:22254999:1 gene:DRNTG_23523 transcript:DRNTG_23523.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLLFMMLSDLLFCVLFIVFIMMNLFKIICWNCRGISARDTSNQVLRFIRKHKLDMICLTETRANEDRVNRFCRCLPAMWDWSAILADGFSGGILVAWRKVIGRVSPIAISRRALHLIISPNQFGNCIISVIYNSVHFHSQCSLWNELSRFSSIGIPWLVIGDFNTICSSDEHRGGPFYYYACKARYFVNFIESTNLL >DRNTG_08486.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6726345:6727430:1 gene:DRNTG_08486 transcript:DRNTG_08486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLFLFYPSSTLRHLSKFPFLVPRTPKPSQNAPRPRPSLPTRGLSLSVPSLVSLPEKPPKFSSLSPAAEKLVFLESLGIDPFSYRPILSIPLSDLKSTVDFLLSLSFSSPEIRRIAGMCPEILASSPSDLAHAITFLLREAGVSGSDLRHVINRRPRLLVSSVAGRLRPTLYFLQMLGISHVTRHTDLLSCSVEEKLIPRLEFLERSGFSSREARAMVRRFPQLFCYSIEENLRPKLRFLLEKMERGLEEVREFPQYFSFSLEKRIRPRHIACVEKKVVLMLPALLRPKDEEFASRLEVCVSSSPPMRCSPLCQ >DRNTG_15138.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1764358:1767803:1 gene:DRNTG_15138 transcript:DRNTG_15138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYQHCEAIRRTMHIVNLDPAAEHFDYPVAMDIRELISLDDVMEELGLGPNGGLIYCMEHLEENLDDWLAEELDNYLDDDYLVFDCPGQIELFSHVPVLRNFVDHLKRKNFNVCAVYLLDSQFMTDVPKYISGCMASLSAMIQLELPHVNILSKMDLVTNKKDIENYLNPEATTLLSELNQNMAPKFAKLNKALAGLVDEFSMVNFVPLDLRKESSIQYVLSYIDNCIQYGEDADVKIRDFDPEDD >DRNTG_15138.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1764358:1767803:1 gene:DRNTG_15138 transcript:DRNTG_15138.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYQHCEAIRRTMHIVNLDPAAEHFDYPVAMDIRELISLDDVMEELGLGPNGGLIYCMEHLEENLDDWLAEELDNYLDDDYLVFDCPGQIELFSHVPVLRNFVDHLKRKNFNVCAVYLLDSQFMTDVPKYISGCMASLSAMIQLELPHVNILSKMDLVTNKKDIENYLNPEATTLLSELNQNMAPKFAKLNKALAGLVDEFSMVNFVPLDLRKESSIQYVLSYIDNCIQYGEDADVKIRDFDPEDD >DRNTG_10337.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:12772607:12774610:1 gene:DRNTG_10337 transcript:DRNTG_10337.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNPSILIEGDPELERTLRRKAKEPVQKQSNLADLEVEKSENMAEQNEQQ >DRNTG_22597.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17583492:17585713:-1 gene:DRNTG_22597 transcript:DRNTG_22597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLMRSGVKSTQDFVKLMVMDGCFMLEIIRLAFIHKINRHEPIFSQPNKKNDYPSYDPVFSQHGLAYNMIDVQKDMMLLENQLPLLLLRLLFSVLDFSDDIRIWESEATKFIYSNMGFKSVLRASPASKDIFHHLDYVYRCMDVQFPPVLFRQKYNSSPTMRTTIELSNAGAELQRSQDNIINFYKGTLTVPRTVVDDTTKRKLFNLIAYERLRCTGAVVNAYVIFMANLMKKPEDVTLLCAVGILENNLGSPEQVVELYSAISDGLVDSEGHLESIHGMINEYCDTKWRRCRAYLKRTYFRSPWALFSLVYALVLFLFTVAQTYYAIAAYYAPKEESHPK >DRNTG_08432.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21288773:21293055:1 gene:DRNTG_08432 transcript:DRNTG_08432.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGNTLVAICQYGGEFVTNSDGSMFYYGGEAHAVDVNRETLLDDLKSEITSVFGIDLSGMSMKYFLPNNRRTLISISSDKDLQRMVEFNLNVRNTEVYIVNKVDNRATRSTVADSGTSVIAVSDTGCGVRRKRVTNGGRMTRSRTRLDEASNLLASTNAAMNTVVEKEHIEDEKVDLRPIVANMGPPAVVAAANAVNVKDWRLTVGDQLDETATGNIQAEPSTPLFASLTTLDDVRPVNSNPVFGTTITGVGQEFDNVKDFRAQLCRYGLEKGFIYRFIKNETSRVTARCIGENCPWRIYASESSRKQKFIIKKMNHLHTCGGGNGKDGNRRASRQWLTGFIKEKLHESLQCKPKEIVKELYDIFGVNVSYSQVWRGREVAQREYINTIKETHNQLPWFCGRILETNPGSAVFHITSPDYKFQRFFVAFNASLHGFEHGCRPLLFLDRISLRSNTQYKLLSAVAVDGDDAVFPVAFALVEDESYNSWLWFLEHLRYALPTTTGSITFVSNRQKGLEEAIPQVFVDCHHCYCLHHLMEEFKEELKKGMWPQQVKDAMANDFTRAAQACTIDEFNSCIQNIRNISTDVADWVIASKPENWSDALFKGSRYDHFATNIMDSLNSWIPLKHESTIVQIVNSILCKLLEVMQLRKEVSSTWCSILTPATEQKLEKEIANARKLDVLCSSESVFEVRGNMVHVVNTGSWECTCRRWQVSGLPCMHAIAALNKIGRSVYDYCSKYFRADVYQMMYSVCVQPIPDIETVDTIDFAGEGNLFPMPVRRPPGRPRRKRINPNKPVTMQRLCSKCKEAGHNKATCEALL >DRNTG_08432.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21288773:21293055:1 gene:DRNTG_08432 transcript:DRNTG_08432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGNTLVAICQYGGEFVTNSDGSMFYYGGEAHAVDVNRETLLDDLKSEITSVFGIDLSGMSMKYFLPNNRRTLISISSDKDLQRMVEFNLNVRNTEVYIVNKVDNRATRSTVADSGTSVIAVSDTGCGVRRKRVTNGGRMTRSRTRLDEASNLLASTNAAMNTVVEKEHIEDEKVDLRPIVANMGPPAVVAAANAVNVKDWRLTVGDQLDETATGNIQAEPSTPLFASLTTLDDVRPVNSNPVFGTTITGVGQEFDNVKDFRAQLCRYGLEKGFIYRFIKNETSRVTARCIGENCPWRIYASESSRKQKFIIKKMNHLHTCGGGNGKDGNRRASRQWLTGFIKEKLHESLQCKPKEIVKELYDIFGVNVSYSQVWRGREVAQREYINTIKETHNQLPWFCGRILETNPGSAVFHITSPDYKFQRFFVAFNASLHGFEHGCRPLLFLDRISLRSNTQYKLLSAVAVDGDDAVFPVAFALVEDESYNSWLWFLEHLRYALPTTTGSITFVSNRQKGLEEAIPQVFVDCHHCYCLHHLMEEFKEELKKGMWPQQVKDAMANDFTRAAQACTIDEFNSCIQNIRNISTDVADWVIASKPENWSDALFKGSRYDHFATNIMDSLNSWIPLKHESTIVQIVNSILCKLLEVMQLRKEVSSTWCSILTPATEQKLEKEIANARKLDVLCSSESVFEVRGNMVHVVNTGSWECTCRRWQVSGLPCMHAIAALNKIGRSVYDYCSKYFRADVYQMMYSVCVQPIPDIETVDTIDFAGEGNLFPMPVRRPPGRPRRKRINPNKPVTMQRLCSKCKEAGHNKATCEALL >DRNTG_13687.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15787814:15792210:-1 gene:DRNTG_13687 transcript:DRNTG_13687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKISKFFESVGSFFCGGDSIPWCDRDIIAGCEREVAQAGSAEHRTESLTRLSWALVHSRQPEDVNRGIGMLEASLDGSNSSSQIREKLYLLAVGYYRSGDYSKSRQLLDRCLEIAPDWRQALTLKKVVEDRITKDGVIGVGIAATAVGLLVGGVAAALARKK >DRNTG_33589.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12398319:12409911:1 gene:DRNTG_33589 transcript:DRNTG_33589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNNVVRWWGSSASVAAAKASDELGGTLVMDLDVSKEERFQVTGQWVMGFVGLLPRKFARVTLRNLKSNAYSCKLDRNTKLRILWMGITTVSVPIRLKTHYPQLLTAMSLSPRHSWQPLLGDKIEENKDINKKNILKAREVTKSLIFKGRESSPRKEKSRGFAYIDMLENWMSVALRILRIYLDLPGNTEENPRDHLKAIALRSGRLVETRVEVDPSVKESEGAYGLNEDLIEAICPIQRRKLRAYGLNEMETAAVEMLQTTVAIRCSTWRIFEIGNIPSHTASIQPGIDPRIDTVVMEAKELA >DRNTG_27574.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6620333:6624700:1 gene:DRNTG_27574 transcript:DRNTG_27574.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTRALPFLLVFLSSWNPSAGDPRTSFVAQFCNSTQSANGPLLADYFVPAMDNLSSLVHSNGYGTTVYGQAPNAVYGLGQCLGDLDSIDCQLCFSEIRSQLPKCYPKTGGRIYLDGCFGRYENYSFFNRIFDANDTSVCNNTRKSSNSGVFTGLLREIVGNVTSSAVGAGGYAVNSASNSGSTIYVLAQCWQNLNKALCNSCLTAATSSILGCAPALEGRALFAGCFIRYSNSLFWNTYQTNSSSSGSDLPWIIPVSVVGALLLIVGFVVWWKIVRKKKSKYFEEFYQPGLTISQSNLHFKYGELKKATGNFSQSNKLGQGSYGTVYKAVLSDNKEVAVKRLLLNTRQWIDQFFNEVNLVNQVRHKNLVKLLGFSVDGPESLLLYEYFANRSLDLFIFDTTPDKHLDWDQRYDIIQGVAEGLAYLHEESETRIIHRDIKASNILLDDKFKPKITDFGLARSFPEDQTHLSTGVAGTLGYMAPEYVVHGHLTEKADVYSFGMLILEIVTGKKCSNAIGPRTGQSLLSKAWNHYRDDTLSEIITESIYTESAKDEIVHVIKTGLFCTQANPHDRPTMLKVVELLRNSKREEEFVLTDPPFLDVDIDVEEGEVSCLLTNSSAQAMSTSSSQFSAR >DRNTG_26874.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2137947:2139053:-1 gene:DRNTG_26874 transcript:DRNTG_26874.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPMTAPVDDQTFTAMRYHKGALLTGSISVNFIWYGKFTASQRAILSDLITSLYSPGESTAGPSVATWWKTVGEYYKQSNSQLPKLTLGDQILDEECSLGKSLTDDDIEKLAAKGSPNNAVNVLLTSADVSVSGFCMSRCGTHAASNKTKSGRFTYIWVGDSATQCPGQCAWPFHQPIYGPQTPPLVAPNGDVGLDGMVINVAKLLAGTVTNPFGNGFFQGPKEAPLEAASACPGVYGSGAYPGYAGALLVDPVSGASYNANGAHGRKFLLPALMNPATSQCSTLV >DRNTG_12470.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20892173:20896625:-1 gene:DRNTG_12470 transcript:DRNTG_12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSKQKKPQPEKKQSNVAAVKKKGKEVDLPQFRPQLNALGLKIIQITADGNCFFRALADQLEGNEEEHIKYRHMVVKYILNHREDFEPFIEGDVPFDKYCHSMGKQGTWAGHMELQAASLVTGVNICIHRAMFPRWYIRNFQGQEARMIHLSYHHGKHYNSVRLREDSCEGPARQIIIKADSDILVPSHNKKVSSCLPKAASVKMVMSGTGCENFDKAEQVLQEFGGDVDAAIEYLIAEQETKDCENDDNDIPSENNISNGNFPSEDVTIAQSSLDSDSQSVTEHKVQVVDKKTSNNKQCSCGSKKRYKACCGSGVASTSAVTNSNAKCTSSKDRKDRKSCRRKEAVGEAEESRSSNQLDLSALCL >DRNTG_12470.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20894487:20894638:-1 gene:DRNTG_12470 transcript:DRNTG_12470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGTWAGHMELQAASLVTGVNICIHR >DRNTG_12470.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20892173:20893175:-1 gene:DRNTG_12470 transcript:DRNTG_12470.2 gene_biotype:protein_coding transcript_biotype:protein_coding VLQEFGGDVDAAIEYLIAEQETKDCENDDNDIPSENNISNGNFPSEDVTIAQSSLDSDSQSVTEHKVQVVDKKTSNNKQCSCGSKKRYKACCGSGVASTSAVTNSNAKCTSSKDRKDRKSCRRKEAVGEAEESRSSNQLDLSALCL >DRNTG_12470.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20892173:20892462:-1 gene:DRNTG_12470 transcript:DRNTG_12470.3 gene_biotype:protein_coding transcript_biotype:protein_coding SNAKCTSSKDRKDRKSCRRKEAVGEAEESRSSNQLDLSALCL >DRNTG_09263.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:499199:499895:1 gene:DRNTG_09263 transcript:DRNTG_09263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNQTSTNVTTTNQPRITLRWMPDGSSQVTPSQQNFVTRAVNINTHEVAKRPPNRQVPSRYMAGRPQAAKRRNIQDEGNSQNSYRRCEGKGGKCYWGGCLYCVNLHS >DRNTG_09263.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:499199:499895:1 gene:DRNTG_09263 transcript:DRNTG_09263.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGSSQVTPSQQNFVTRAVNINTHEVAKRPPNRQVPSRYMAGRPQAAKRRNIQDEGNSQNSYRRCEGKGGKCYWGGCLYCVNLHS >DRNTG_14067.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8314033:8314558:1 gene:DRNTG_14067 transcript:DRNTG_14067.4 gene_biotype:protein_coding transcript_biotype:protein_coding DRSLRVTHNLAVFGGCGLVLSIITGLFGINVDGIPGAANTPYAFGLFTAILFFIGIVLICLGMLYLGLRNPITEERVNIRKLELQQLVSTFQHDAETHAKVRQGVSRHNLPPTAADMIAETGYVLIP >DRNTG_14067.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8310423:8314558:1 gene:DRNTG_14067 transcript:DRNTG_14067.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAVDEQSSSSGNRMKKQEVSKFPLSKDSALGCDLWTDGLICAFEFIRGHRLTHKSGTRVMQQRDKQDRNKQTYRSGLSNVSAQTIKGNSLPESTSLIDLNNSTTISDSDEIVHPDENKENHKNVKDLSHSHWIPIGWARISELVQMVQTDASWNSCQIDFTDEEDDITVADVAAPYWERPVGPTWWCHVHPGHSYVEAWLNHAQWLHPAISTALRDESRLISERMKHLLYEVPVRVAGGLLFELLGQSVGDPFRDEDDIPIVLRSWKAQNFLITALHVKGSASNINVLGITEVQELLLAGGSTAPKSVHEVIAHLTSRLARWDDRLFRKYVFGAADEIELKFVNRRSHEDLNLLSIILNQEIKRLATQVIRVKWSLHAREEIVFELIQHLRGNATKVLLEGTKKNTREMLEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRVTHNLAVFGGCGLVLSIITGLFGINVDGIPGAANTPYAFGLFTAILFFIGIVLICLGMLYLGLRNPITEERVNIRKLELQQLVSTFQHDAETHAKVRQGVSRHNLPPTAADMIAETGYVLIP >DRNTG_14067.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8312655:8313938:1 gene:DRNTG_14067 transcript:DRNTG_14067.3 gene_biotype:protein_coding transcript_biotype:protein_coding EKPRRFESAEHNTESRNQKISNTGHQS >DRNTG_14067.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8308943:8314558:1 gene:DRNTG_14067 transcript:DRNTG_14067.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAVDEQSSSSGNRMKKQEVSKFPLSKDSALGCDLWTDGLICAFEFIRGHRLTHKSGTRVMQQRDKQDRNKQTYRSGLSNVSAQTIKGNSLPESTSLIDLNNSTTISDSDEIVHPDENKENHKNVKDLSHSHWIPIGWARISELVQMVQTDASWNSCQIDFTDEEDDITVADVAAPYWERPVGPTWWCHVHPGHSYVEAWLNHAQWLHPAISTALRDESRLISERMKHLLYEVPVRVAGGLLFELLGQSVGDPFRDEDDIPIVLRSWKAQNFLITALHVKGSASNINVLGITEVQELLLAGGSTAPKSVHEVIAHLTSRLARWDDRLFRKYVFGAADEIELKFVNRRSHEDLNLLSIILNQEIKRLATQVIRVKWSLHAREEIVFELIQHLRGNATKVLLEGTKKNTREMLEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRVTHNLAVFGGCGLVLSIITGLFGINVDGIPGAANTPYAFGLFTAILFFIGIVLICLGMLYLGLRNPITEERVNIRKLELQQLVSTFQHDAETHAKVRQGVSRHNLPPTAADMIAETGYVLIP >DRNTG_23377.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1163345:1163989:1 gene:DRNTG_23377 transcript:DRNTG_23377.1 gene_biotype:protein_coding transcript_biotype:protein_coding KENIRSITYDEKGNAVIISGPFDPQKLSKKLKCKACKVIKDIQIKEDKEKPKEKPKVIDPPPPKPKEEPPKPKVTPIKPEPEPPQVVPVSVVQEPEMYPPMWPQGPVCCGRPWFDGGYYVGCKCWTCGRGFGFAEGPCYDGYPKSTCQFFCEEDQSTCVVM >DRNTG_25799.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2755264:2760470:1 gene:DRNTG_25799 transcript:DRNTG_25799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVSTFAANLVERLADVLEEKAIMALGVKDELQKLQRRMKRIASVLKDAEKKKIQDETVKLWVYELKDLMYDADDIIDLCLIQGMGLLQDDHNSPAESSATTASTRVRCCNFPMLSCVRSVQFRYEIADKIKSLNDRLTEISEDKDKFHFITSSKSSSDDAYVVNNKLYSRQSSSLPEPDIVGWDIRDATNSLVELLVSPNEQKCRLFAIVGMGGIGKTTLAQQIYNDSKINDHFVLHSWIWVSKSFRSEADLLKEIIRNVGGSYGESTTVAELQKILSNVLHLKSLFLVLDDVWNADVWIDLIKNPIQIATTKCRVLVTTRDRNIAMRMGAIHTHNVNKLSLDFGWELLCKKVFTDNDVSDMQRMKDIGLQIVEKCDGLPVAIKVIAGVLITKDRNKREWENVLNSDAWTMTGLQEELRGALYLSYEALPPALKQCFLYCSLNPHGDNFDMDQLVPEWIAEGFIKPSGNASMEDVAKDYYMELIRRSFLQPHPDFADMSVCIMHDLLWDLAQSLAGDESFVGDPQDAQGSDSVTRVRRLTVSSKKESMTIQHFDRLRRVSLFTPPSLNTQVIGSLKRLRLLILNGDGIENIPDSIGDLVHLRLLDLYGTKICKLPDSLGNLINLQFLMLNRCKSLHILPKSITNLCNLRHLNLKYASLNYVPKGIGKLEHLNYLSGFIIEGNDIDEGEQCGLEELQMLRNLSYLEIMNLEKARGESVLTLSNKPRLRQLSLRCTPNTCGHIQQQEMDKIVQVLDNLSPSPGLTNLNIVNFFGPRYPKWMTSASISANLPELTGLHLIDCCNCPQLPQLGQLPQLDYLRIDGATAIVLIGPEFLGNGELAAKAFPKLEYLILRNMINWGQWSLISGDEGNKHESSKQLLFPCLKRISIQNCPKLEALPAGLNQSSVQQLTIAGAQSLSRISDLPALRELEVSDCPMLGCIEKLESLQSLKIINEGSNGLPEWLISFLHEREEHHDNQFHLHLECTAQALRRCLKGRRYWVFLQQVPRLEAYAKGGSMYLKYTKEPYSYQTNLIEDTSTDEDSDESLKV >DRNTG_25799.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2755264:2760470:1 gene:DRNTG_25799 transcript:DRNTG_25799.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVSTFAANLVERLADVLEEKAIMALGVKDELQKLQRRMKRIASVLKDAEKKKIQDETVKLWVYELKDLMYDADDIIDLCLIQGMGLLQDDHNSPAESSATTASTRVRCCNFPMLSCVRSVQFRYEIADKIKSLNDRLTEISEDKDKFHFITSSKSSSDDAYVVNNKLYSRQSSSLPEPDIVGWDIRDATNSLVELLVSPNEQKCRLFAIVGMGGIGKTTLAQQIYNDSKINDHFVLHSWIWVSKSFRSEADLLKEIIRNVGGSYGESTTVAELQKILSNVLHLKSLFLVLDDVWNADVWIDLIKNPIQIATTKCRVLVTTRDRNIAMRMGAIHTHNVNKLSLDFGWELLCKKVFTDNDVSDMQRMKDIGLQIVEKCDGLPVAIKVIAGVLITKDRNKREWENVLNSDAWTMTGLQEELRGALYLSYEALPPALKQCFLYCSLNPHGDNFDMDQLVPEWIAEGFIKPSGNASMEDVAKDYYMELIRRSFLQPHPDFADMSVCIMHDLLWDLAQSLAGDESFVGDPQDAQGSDSVTRVRRLTVSSKKESMTIQHFDRLRRVSLFTPPSLNTQVIGSLKRLRLLILNGDGIENIPDSIGDLVHLRLLDLYGTKICKLPDSLGNLINLQFLMLNRCKSLHILPKSITNLCNLRHLNLKYASLNYVPKGIGKLEHLNYLSGFIIEGNDIDEGEQCGLEELQMLRNLSYLEIMNLEKARGESVLTLSNKPRLRQLSLRCTPNTCGHIQQQEMDKIVQVLDNLSPSPGLTNLNIVNFFGPRYPKWMTSASISANLPELTGLHLIDCCNCPQLPQLGQLPQLDYLRIDGATAIVLIGPEFLGNGELAAKAFPKLEYLILRNMINWGQWSLISGDEGNKHESSKQLLFPCLKRISIQNCPKLEALPAGLNQSSVQQLTIAGAQSLSRISDLPALRELEVSDCPMLGCIEKLESLQSLKIINEGSNGLPEWLISFLHEREEHHDNQFHLHLECTAQALRRCLKGRRYWVFLQQVPRLEAYAKGGSMYLKYTKEPYSYQTNLIEDTSTDEDSDESLKV >DRNTG_11593.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13672933:13678364:1 gene:DRNTG_11593 transcript:DRNTG_11593.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAVGVFGGESYVDGVNALPLMVENAGNSNHSAISSLNCPPFIAVENCREHLGVHPCDKRRNISEYKLLFPGLDFSLIENDEDILWEADIREANEAVAARGVKFINWLWTRKEKEIAIVTHSGFLYHTLQMFGNDCHPSIKNEISKHFANCELRSMVLVDRSMQGADTSVSNYPGKNPQGLDLPSDVAHEKHPEKKGVC >DRNTG_11593.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13672933:13678364:1 gene:DRNTG_11593 transcript:DRNTG_11593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISSSLSALPFSVASSRSSSLAIGRPSTQRCHRRRPFTIATPFAHSAASDSSSDMDGCAASSLYPLHRSKTLHLVRHAQGIHNVEGEKDFKAYLSPELFDAHLTPLGWNQVDNLRKHVNACGLAKKIELVITSPLLRTMQTAVGVFGGESYVDGVNALPLMVENAGNSNHSAISSLNCPPFIAVENCREHLGVHPCDKRRNISEYKLLFPGLDFSLIENDEDILWEADIREANEAVAARGVKFINWLWTRKEKEIAIVTHSGFLYHTLQMFGNDCHPSIKNEISKHFANCELRSMVLVDRSMQGADTSVSNYPGKNPQGLDLPSDVAHEKHPEKKGVC >DRNTG_20233.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3985153:3988321:-1 gene:DRNTG_20233 transcript:DRNTG_20233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGRSLSTMGPMNLSGVVSSCTLTQGSCFLLPHCNGNSSSGSIRGGLWLLFISFSISKPSNPSFKWSR >DRNTG_33447.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3028644:3032348:1 gene:DRNTG_33447 transcript:DRNTG_33447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRNQGSFSRDNGSSTIGWSSFFERLADANISSVHVGETSSAGSSSQVRSGSMTSTSGVQNGSSIFQGLISRARKTVLGSADDIGWLQRATNLPPVQDGTKRFNQLLERIRSGEHKLPDSLVYLLVPGLFSNHGPLYFVNTKSFFSKMGLACHIAKIHSEASVEKNAREIKEYIEEIYWGSKKRVLLFGHSKGGVDSAAALSLYWSDLKDKVAGLVLAQSPYGGSPIASDLLREGQLGDYVKLRTLTEILICKVLKGDLQALEDLTYEKRREFLNKHPLPTELPVVSFHTETGIAPGILTSLSHVAHAELPIVAPRADSQPTKLPVVMPLAAAMAACAQLLQIRYSEKSDGLVTRRDAEVPGSVVVRPDRKLDHAWMVYSPMNVDNSETDASHTCEALLTLLVEVSQKKMHEITRKNE >DRNTG_33447.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3028644:3032348:1 gene:DRNTG_33447 transcript:DRNTG_33447.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMENQSSEKVESTTALTVHNDDSTVESYTNQIFNSIPALSEAASYLQDTTSYLTSCFTASDGILDEGIELRTMPPSRNQGSFSRDNGSSTIGWSSFFERLADANISSVHVGETSSAGSSSQVRSGSMTSTSGVQNGSSIFQGLISRARKTVLGSADDIGWLQRATNLPPVQDGTKRFNQLLERIRSGEHKLPDSLVYLLVPGLFSNHGPLYFVNTKSFFSKMGLACHIAKIHSEASVEKNAREIKEYIEEIYWGSKKRVLLFGHSKGGVDSAAALSLYWSDLKDKVAGLVLAQSPYGGSPIASDLLREGQLGDYVKLRTLTEILICKVLKGDLQALEDLTYEKRREFLNKHPLPTELPVVSFHTETGIAPGILTSLSHVAHAELPIVAPRADSQPTKLPVVMPLAAAMAACAQLLQIRYSEKSDGLVTRRDAEVPGSVVVRPDRKLDHAWMVYSPMNVDNSETDASHTCEALLTLLVEVSQKKMHEITRKNE >DRNTG_32846.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:22981753:22983280:-1 gene:DRNTG_32846 transcript:DRNTG_32846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFCPPPANDETIAVSLTADVVIESVAIDNMAVTVEKSVDDVAITAVDKIVESVVNEIPVTVEPATDRAASKMDTIPKEQEPAKGVSPVDTVVVAGVEKIIDSIVNEIIVTVEPAADSTTSKADTIPQQQQALSHDDCRTVVDKWQGNATKKSTRDMILANQQYEEVRKDFILKKKKYLGQSGLNKFEQELIRIFLNCSMDKDGVRRCDGRFHMHNTKLEDTSNTTFTMIGDVARNLHDVDIVILPIIMNGYFHLVVLDNNKQEYRHYSSCQSEEYDKDALEMMVTTNYLLIHDIETPRQKKGSVDCAVYVMRFIDQLIDNEKLWLPQIDIPYLRL >DRNTG_15271.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4492409:4494030:1 gene:DRNTG_15271 transcript:DRNTG_15271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFSPRSITPIIIPSSDPNPSAPDPSPSIDFGNPDSLSEIRSLTDVGTMTHLLHECVAYQRSLDLRLESLLSTRTDLDRHLSSLSRSSHLLDLARSEASHLLLSLQSASSLADSVSRKVRSLDLAQSRALSALSRADAILRRSHLLDLCRRSLSSDDLESAADSIRSFLEIDAQFPDPSSDHRDQLLHLKRDLESTIRKRLSAAIDQRDHPSILRYVRIFPPLGLQEEGLQTYVSYLKKVIALRSRLEFEHLAELAEQAPPQSNQLNFVGCITNLFKDIVLAVEENDEILRSLCGEDGIVYAILELQDECDSRGTQILKKYMDHRKLARLASEINSYSKNLLSVGVLEGPDPREVEMYLEEILSLTQLGEDYTEFMISKIRGLASVDPKLGPRATKAFRSGSFSRMVQDLTGFYVILEEFFMVENVRKAIKIDEHVPDSLTTSMVDDVFYVLQSCCRRAISTTSINSVFAVLSGAMNLLSNEYQEALQQKMRELNLGAKLFLGGVGVQKTGTD >DRNTG_26202.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001349.1:60336:62780:1 gene:DRNTG_26202 transcript:DRNTG_26202.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKGFILVPLIILLFVTSGHASQTSDIELPSEVGQSINANEMKTYIIHLTKSESRKLLDYKELESWHKSFLPNLTLDSGEPRLVYSYFEVMIGFAAKLTPDEVQVMQHMDSFLHAELDVQKDLLETTYTPKYLGLSDPSTGIWHTESNMGEGIVIGVIDSGIPRNHPSFSDDQMPTKPANWKGNCNFTNFTCNKKIIGGGTYGINSKVTDPPVDTGGHGSHVASIATGNFVKDAMVVGKYRYTASGMAPRAHLAIYKTNLSRADSLKSYDQAMIDGVNIINYSISAEPTDNFYLDTAAFSGYKATKKGISVSVSAGNRGAPKSLSHSAPWLMVVGASWPDRRLAATVRLGNGDEFIGETGFYQPTQFNSSNFLPIVYPGANNKKETLGCWSGSLNGIDVKNKIVLCWAGDKNINKGSVVRSAGGAAMILMGSIKTTRNDQHVLPVCHVNSDDARKILAYYTSLGVSPPNATIIFNGQVSGRRPAPTIATNSSSGPSLTNGGILKPDVIAPGYEILGASIHKDNPFNNYFKFDTGTSMASPHVVGVMALLKKKYPTWSPAAIQSAIITTADDVDLAGNPFIHMKNWKPSNIFDRGAGHINPIKAMNPGLVYDRNFNDYIGYMCFLNYNPTYMQRFNSRKVDCSKEKKIKPSQLNYPSIMVTLSSNSPDETVMRTVTNVGNANSDYTPRIFHPANANLILSTNRLQFSAQNQQLSFNVKITIGQPAPVKGTISEGKLEWVSTSGGHVVRSPIAVVFG >DRNTG_18712.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14733615:14738621:1 gene:DRNTG_18712 transcript:DRNTG_18712.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTLLKLACALSKSNEESTSSANTRIGGSLIINHYHQPPSETRSSTSLTNSSPC >DRNTG_25071.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:601172:609146:-1 gene:DRNTG_25071 transcript:DRNTG_25071.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NSF [Source:Projected from Arabidopsis thaliana (AT4G04910) UniProtKB/TrEMBL;Acc:A0A178UTB9] MQRRHTKVSAGDSISISRFIPPDNFNLALLTLEIEFVKGKVTRNEQLDAIVLAQQIRKRFVNQVMTTGQKVSFEFCGTNYIFTVNQAALEGQESSKGLERGFITADTYIVFETSPNSGIKIINQREAASSSIFRQKEFNLQKLGIGGLSEEFTDIFRRAFASRVFPPHVTNKLGIKHVKGMLLYGPPGTGKTLMARQIGKLLNGREPKIVNGPEVLSKFVGETEKNVRDLFADAEADQKAKGDQSDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVESLNNVLLIGMTNRKDLLDEALLRPGRLEVHVEINLPDENGRLQILQIHTNKMKENSFLAADVSLEELAARTKNYSGAELEGVVKSAVSFALNRQISMDDLTKPLDEESIKVTMDDFLHALEEIIPAFGASTDDLARCRLNGIVDCGERHKHIYQRAMLLVEQVKVSKGSPLVTCLLEGPSGSGKTAMAASIGLDSDFPYVKIISAETMIGLSEGSKCAQIVKVFEDAYRSQLSIVILDDIERLLEYVPIGPRFSNLISQTLMVLLKRLPPKGKNILVIGTTSEVGFLESLGIRDAFSVSYHVPTLDKADAKKVLQALNVFAEADIDSASEAVHNMPIKKLYMLVEMAAQGVNGGSSEAIYSGKEKININHFYDILGDIVPVY >DRNTG_13456.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19312666:19320697:-1 gene:DRNTG_13456 transcript:DRNTG_13456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTQFSIYLGLYDQDYTDTIVYNELLTHSPYDLTPGQVFHDLCGSCQYSPGQSKASLLTRPSRTRSFVRLLRSEMARFWRGGLYSFGGASSPTLIDSSSVIASRKPPANLTSEWVLQDVEAVHQCHQFIYKGITVEGTVHISQEIDFWKSTHPCGCPILASINAVISDILKDPFLIFSPSLEAVTVRVWSGSTPVNTAFLQKRVIGGAFVCIDSARCALGLWIAYFKVSSSSLFGNLLLHEEDFDEDEDRDYPQLLGHKGASWRHKKLYVGGKS >DRNTG_01742.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4232045:4232433:-1 gene:DRNTG_01742 transcript:DRNTG_01742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLARPLFSNVPESTVYGGPKPQSPNQRVTLNSLRQKHLACEPITMVTAYDYPSAVQVNTAGIDMCLVGDSAAMVVHGYENTLPITLDEMLIHCRAVTRGATRSFLVGDLPSVPMSRLPRRNIL >DRNTG_12389.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6538426:6539312:1 gene:DRNTG_12389 transcript:DRNTG_12389.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPRSFLAWRGVRVEGVAARGSSGRRGRQGGEGWQQPGEEKVGFDVVGKAVVEAKRMLQIGK >DRNTG_08144.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5429107:5433338:-1 gene:DRNTG_08144 transcript:DRNTG_08144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQESQQRGRGLNKHYWTTHEDKALIEALVELSTNTMWRAENGFRNGYLHQLEKMIKEKFPHTTLKAVPNIESRVKLFRTKTTAIADILSISGFVWNNEHSTIECEKSAYDEYVKEVVGLYGKSFPFFNDLAPVFTKDRAQGNARGDLGDDVDQYMHENISLDDNAGFSQFHMEDVFMATHEPAEPPSPITSDNGASSSNAQKKKKTKGYNVEEAMMSMLKLEDDKQGTLLAMFIEKCLEKLKDFSLEEEEEEEIMPVRKNQLSEGGSSIREKQAIQHQPGCKTLKTSTKITGRGLLKRNLSSSLFLENVASGLVALGFIWATVVLLGGFSSSMDRRDFWFVTVILLTVGNKIFSQSHELDWQHQATWTITDAGKHSFQKIASSFQFFIHVIKVIFQPFSAIKPQSAQHQHIANDIQIITQTQVPPPTRQHT >DRNTG_06059.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:24969030:24971331:1 gene:DRNTG_06059 transcript:DRNTG_06059.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWKVLYSSSKDSLVRNLRLVLNLERIGYILEANIPTKPPPEASKEEQDVYLKWLEDDLRVWSYMPSKPKKKKMAKKQKALAPKAVGGVGKKKGKAPAVSAGENSKQAGKCFHYGEAGHWKRDCPQLKVSGAIGSGKKKEAGRTRGDDQCGKRT >DRNTG_06418.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29762486:29771692:-1 gene:DRNTG_06418 transcript:DRNTG_06418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPSFLRTSLVLQLRNLALRVSLPYSEEIIKSKEELRKMVIINGFSGNASVSTLPQALLEILNSGPRGTITPFADNFILTMNSARATSAIVKRGSVPLNSSHGPCSIAFSHWTLEFGSHGLAIGEYHWISLSNMPLHCWNWDSIVVVLPPLGDLIYMQKKQESSLEFLRVLARLKKPISFPMVIIVNVGVRSFKVLLEDSGIQVIRSKVVQGPVIQPTSSPPDPVRNSSASNLDMEVPRRSTHQVEKVLKGKLLERTITEKSPAVENLGKPVELSSGLGQSTEAGLDLLSSSSTVTRDRLSSPSHVAIQTSSSSCLDPNSIENFSKPLDGPCDVAHLEKNPLKHASRDPMPRDEISADDPMIHSDISALPQDLTSFQPVPMLVDELPRDMATQVNKEHSSIILPLSSEKTLPLDIEDILEKHQPGKASVASMHGKKITSRGGILFHPKMGKNASSSVTGFAISHLRVHEITEAWGIANRLDLVSPIVVRSLFQAQVSRHFHAVLKSIILCHDVLLKKPKPILENPDDYRWKMFKNHKEAAGLYGKSFPFFNDLAPVFTKDRAQGNARGDLGDDVDQYMHENISLDENVAFSQFQSEDFFIPTEEPIQPPSPMTSNNSASTSATHRKKKRAKDTNIASISKKFRQFVELVGAGFKTLAECAVRNADANALKEAAHSHKSKSTSSGFSLPCHLRTQPPAMEIPDRWGEDDEQDLLTEGFVDDDHYLEEENIHNDDQEDFMQEDLLHMPYEAGFPQVPRVQSSMSFSQSNPVIGPFCAFGCNQTSRDAVYMQWPSTAMFYAHSYEHFKHAVFQAPFYQQPLSFDGKPQQ >DRNTG_20561.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3555427:3566199:1 gene:DRNTG_20561 transcript:DRNTG_20561.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVEQIMAYAMRKLKFHVDECDGGESSGEAVLNQKNGELANSDHEIFHLTKLRSEPSEALRKSTHGPRSAPVSTVKMLLGREVNYSGRGKFSSADCSHVLGRYLPVNGPWHVDRMDSRAYVSQFSADGSLFVAGFQGSHIKIYDVENGWKVRKNILAKSLRWTITDTSLSPDQRYLVYSSMSPIIHIVNVGSESTESYANINDIHDGLDMSCHDDGAYSFGIFSVKFSTDGRELVAGSSDDSIYVYDLEENKLTLRIPAHSSDVNTVTFADESGHIIYSGSDDHLCKVWDRRCFNTREQAAGVLTGHLQGITFIDSRGDGRYFISNGKDQGIKLWDIRRMSSKADCSGPRLSSWDYRWMEYPAHAKHLRHPSDQSLATYQGHSVLRTLIRCYFSPAYSTGQRYIYTGSSDNCVYIYDVVTGELVSKLDNHYLTVRDCSWHPYYPTLGSSSWDGLVARWEFSSSVADPSLVKKNSRIVSEDSMFNHIFL >DRNTG_07907.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2541521:2545097:1 gene:DRNTG_07907 transcript:DRNTG_07907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGTNRTIRRSQKLDHIQRDGPNWVLIAGSAVLSALSIRIGCKLKQAFETKRANTPDFTTKAKRRPATCRLHSNLYCFTQVDDNCCHCLAGLADASGDIKQQSNNPISKETDLSLPLVKISTAESNTQNSSVMWASSPDRLELPQKPFHHSNSSESPCVSESGSDIYSKREVIQKLRQQLKRRDEMIMEMQAQIVDLQNSLTVQMAQSVNLQSQLDSANRDLFDSEREIQRLRKAIADHCVAEAGSPERSTTIRNWRPEPINGHANGYHDTGSDLDLTYAGAGKSRGDSERVEMLKREVGELKEVIEGKEFLLQSYKEEKVELCSKLKDLQLRLAPQQVPNIL >DRNTG_31530.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001714.1:16061:17475:1 gene:DRNTG_31530 transcript:DRNTG_31530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEMALWYFDYAKFNETGVRPGGITFWAVTFGAVKRTVARVLILVVSMGFGVVRPTLGGLTSKVMMLGATFFLASEVLEVAENVGAVSDLSGKARLFLVFPVALLDGFFILWIFRSLYKTLDKLQARRMAAKLEIYMKFRNALAAATIVSVCWICFEVYFKSTDGYNERWENAWIIPAFWHVLSFSLLCVVCALWSPSQNSMR >DRNTG_31530.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001714.1:15348:17972:1 gene:DRNTG_31530 transcript:DRNTG_31530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEMALWYFDYAKFNETGVRPGGITFWAVTFGAVKRTVARVLILVVSMGFGVVRPTLGGLTSKVMMLGATFFLASEVLEVAENVGAVSDLSGKARLFLVFPVALLDGFFILWIFRSLYKTLDKLQARRMAAKLEIYMKFRNALAAATIVSVCWICFEVYFKSTDGYNERWENAWIIPAFWHVLSFSLLCVVCALWSPSQNSMRYAYSDGKQRRFRQRKLSSTDKTSYISHQRTTTKPDYSTTLNSKLEDQQNGDLEQDKRE >DRNTG_29358.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1565965:1568624:1 gene:DRNTG_29358 transcript:DRNTG_29358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRICLQTGRLAGVAILVSGGIVLQILACALYNNWWPMLTVLMYVILPMPLLFFASSDTSLMSSDSNSGWEKFTKFLTGASVVGSIAIPSILKHAGIIGWPALLMELSSFVVFGVAILWHLKMGNEDEYSMF >DRNTG_29358.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1565965:1568624:1 gene:DRNTG_29358 transcript:DRNTG_29358.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRICLQTGRLAGVAILVSGGIVLQILACALYNNWWPMLTVLMYVILPMPLLFFASSDTSLMSSDSNSGWEKFTKFLTGASVVGSIAIPSILKHAGIIGWPALLMELSSFVVFGVAILWHLKMGNEDEYSMF >DRNTG_22826.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14794694:14795568:-1 gene:DRNTG_22826 transcript:DRNTG_22826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSMVLWKVLYSSSKDSLVRPGNVAEHCSSIVVSLFRAGREDRNSENPHGLVEIIHASVQIPHGRVKNPQARVVARFQPYLKPIQPRFHHSFLHLPPTLERVSARVLRDIG >DRNTG_04280.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9063952:9066196:1 gene:DRNTG_04280 transcript:DRNTG_04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding KISRFFALYCITTTSRARRPGKCSANGSVLRRACALTWRPFLYACSEDSSPDSVQEEGERPRSSVPFQGR >DRNTG_20801.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22055282:22056187:1 gene:DRNTG_20801 transcript:DRNTG_20801.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVILLTGAALVFGPGYILKLYIAPYFVFIMWLDLVTYLHHHGYHEKLPWYRGNEWSYLRGALTTLDRDYGWINNIHHDIGTHVIHHIFPQIPHYNLIEATRAAKSVLGKYYREPEKSRPLPVHLFGVLVKSMRIDHFVSDVG >DRNTG_20801.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22055282:22066540:1 gene:DRNTG_20801 transcript:DRNTG_20801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVILLTGAALVFGPGYILKLYIAPYFVFIMWLDLVTYLHHHGYHEKLPWYRGNEWSYLRGALTTLDRDYGWINNIHHDIGTHVIHHIFPQIPHYNLIEATRAAKPVLGKYYREPEKSRPLPVHLFGVLVKSMRIDHFVSDVGDVVYYQTDPNLHGNHHAKQQKQKQTLVNNKLY >DRNTG_20801.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22055102:22056187:1 gene:DRNTG_20801 transcript:DRNTG_20801.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYPVYLLRRSPGKQGSHFHPDSDLFHPKDRKDVITSTFCYMAMVILLTGAALVFGPGYILKLYIAPYFVFIMWLDLVTYLHHHGYHEKLPWYRGNEWSYLRGALTTLDRDYGWINNIHHDIGTHVIHHIFPQIPHYNLIEATRAAKSVLGKYYREPEKSRPLPVHLFGVLVKSMRIDHFVSDVG >DRNTG_15811.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:10187476:10192081:1 gene:DRNTG_15811 transcript:DRNTG_15811.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGEDKEIIYQSKLPDIYIPNHLPLHTYCFENLSQFSSRPCIIDGATHEIYTYADVDLISRRVAVGLNNLGISQGHVIMLLLQNTPEFAFAFLAASHLGAISTTANPFYTPAEILKQALASTSRLIITESCYVPKLQPLLADHDIKVVVIDDSVPDGCIPFSTLMSSDENQLPEVTIDSNDVVALPYSSGTTGLPKGVMLTHKGLITSVAQQVDGDNPNLYFHSEDVILCVLPLFHIYSLNSVLLCGLRAGAAILIMKKFDIIKLMELVQNYKVTIAPFVPPIVVEIAKSPVVDDYDLSSIRTVMSGAAPMGKELQDTLRAKIPNAKVMG >DRNTG_15811.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:10187476:10192081:1 gene:DRNTG_15811 transcript:DRNTG_15811.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGEDKEIIYQSKLPDIYIPNHLPLHTYCFENLSQFSSRPCIIDGATHEIYTYADVDLISRRVAVGLNNLGISQGHVIMLLLQNTPEFAFAFLAASHLGAISTTANPFYTPAEILKQALASTSRLIITESCYVPKLQPLLADHDIKVVVIDDSVPDGCIPFSTLMSSDENQLPEVTIDSNDVVALPYSSGTTGLPKGVMLTHKGLITSVAQQVDGDNPNLYFHSEDVILCVLPLFHIYSLNSVLLCGLRAGAAILIMKKFDIIKLMELVQNYKVTIAPFVPPIVVEIAKSPVVDDYDLSSIRTVMSGAAPMGKELQDTLRAKIPNAKLGPGYYYYYYYYYYYY >DRNTG_15811.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:10187476:10192081:1 gene:DRNTG_15811 transcript:DRNTG_15811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGEDKEIIYQSKLPDIYIPNHLPLHTYCFENLSQFSSRPCIIDGATHEIYTYADVDLISRRVAVGLNNLGISQGHVIMLLLQNTPEFAFAFLAASHLGAISTTANPFYTPAEILKQALASTSRLIITESCYVPKLQPLLADHDIKVVVIDDSVPDGCIPFSTLMSSDENQLPEVTIDSNDVVALPYSSGTTGLPKGVMLTHKGLITSVAQQVDGDNPNLYFHSEDVILCVLPLFHIYSLNSVLLCGLRAGAAILIMKKFDIIKLMELVQNYKVTIAPFVPPIVVEIAKSPVVDDYDLSSIRTVMSGAAPMGKELQDTLRAKIPNAKLGPGYYYYYYYYYYYY >DRNTG_12619.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20484002:20485334:1 gene:DRNTG_12619 transcript:DRNTG_12619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPSPTNGEATEPLKYQTLILKVSIHCEGCKKQVKKALQNIDGVYKTTIDSQLHKVTVTGNVSAETLIKKLFKTGKHAELWPQIKLNNTNNNNQNSTPNSNNNNNNNNNNNNKNGGNGGGGGGGGGKKNKSKNKNEDTELNENTNEDASKPPKKSNKITGETPTNTEDSGNSKPKKKNQKEPETNVNTGTATGEQGVLENAQKVSVGNGGMSLPIYLPPPPPPAAAAPPPPAYVMSYNTMHPSASYGAAAYYPMPVQHNGYVFSGGYYAQPPPPPPLMISSPAMTPETYHQVYDEDNASVCSIM >DRNTG_18494.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000956.1:8745:9785:-1 gene:DRNTG_18494 transcript:DRNTG_18494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLFHHHLLPHRAREPDHLPALGIRLLVLQHHFSGYKGVSLRSLSI >DRNTG_20277.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5115415:5115744:1 gene:DRNTG_20277 transcript:DRNTG_20277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKAMPTFVLIKDGKEVDRMVGANPEEINKRIHAFLQPLNQLNSE >DRNTG_27349.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17501838:17507243:-1 gene:DRNTG_27349 transcript:DRNTG_27349.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTVRYAVIDAFTDTVFKGNPAAVCFLEDGHQVDDEWMQSVAKEFNISETAFLTRAVSGDNPRFNLRWFTPVAEVDLCGHATLAAAHFLLSYGLIKCDVIEFATKSGILTATKIYGIKQSTLCNVKDENFIKSNEEKENFSIELNFPVCKVVECNPAEIPSIPETLNGASVINVTKRGSSDDLIVEVASGLDVVNLKPKSDEIRNCAGRGVIVTGPASPGSGYDIFSRFFCPKLGVDEDPVCGSAHCALAPYWSKKLGKNNLIAYMASPRGGRLDLTLDENAERVYIRGKAVVVMEGSLLA >DRNTG_15420.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17834577:17836032:-1 gene:DRNTG_15420 transcript:DRNTG_15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPEYLHGGLCRGGGSQYPADKQGDQFAVEDLLDFSNEDDDEEEPIVSDVHADEGGSHASGGSCGDSSSASMITAVDSCNSSLSGYGARFSGEFSLSGDLCEPVYDELADLEWLSNLVEESFSSEDMEKLQLISGVKASSASTPADGDVSAAASQPRFLPETPVPGKARSKRSRAAPCNWSSRLLALSPLDSPTADDAAASPAAVSEIPVKKPTAAKKKKDPSEGPTYAASDGRKCLHCQTEKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPASSPTFVLSKHSNSHRKVLELRRQKDVLHQQQFLISTAGGYDSVPGDDFLIHHRIGPDFRQLI >DRNTG_33329.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3415779:3418857:1 gene:DRNTG_33329 transcript:DRNTG_33329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVKGIHYLRKLNAANVPSALIEKGQSRVIDASLTLIRERAKLKGELLRALGGVKASASLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSSTEEGKELNDPRVLTDVGDVPIQEIRDCGVDDDRLMNIISESVKLVMEEHPLRPLVLGGDHSISFPVVRAVSEKLGGPVDILHLDAHPDIYDCFEGNKYSHASSFARIMEGGYARRLLQVGIRSITKEGREQGKRFGVEQYEMRTFSRDRQILENLKLGEGVKGVYISIDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQADVVAADVVEFNPQRDTVDGMTAMVAAKLVRELTAKISK >DRNTG_31899.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11288172:11288651:-1 gene:DRNTG_31899 transcript:DRNTG_31899.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGVRSSQVESGGNKDKAVVSGGRLAVVREEAAVRGRMCGDGSSRNGVAGRWGEPVLGN >DRNTG_31899.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11287695:11288864:-1 gene:DRNTG_31899 transcript:DRNTG_31899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGVRSSQVESGGNKDKAVVSGGRLAVVREEAAVRGRMCGDGSSRNGVAGRWGEPVLGN >DRNTG_31899.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11287695:11288651:-1 gene:DRNTG_31899 transcript:DRNTG_31899.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGVRSSQVESGGNKDKAVVSGGRLAVVREEAAVRGRMCGDGSSRNGVAGRWGEPVLGN >DRNTG_31899.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11287904:11288864:-1 gene:DRNTG_31899 transcript:DRNTG_31899.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGVRSSQVESGGNKDKAVVSGGRLAVVREEAAVRGRMCGDGSSRNGVAGRWGEPVLGN >DRNTG_31899.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11287904:11288651:-1 gene:DRNTG_31899 transcript:DRNTG_31899.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGVRSSQVESGGNKDKAVVSGGRLAVVREEAAVRGRMCGDGSSRNGVAGRWGEPVLGN >DRNTG_12722.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000563.1:52951:61909:1 gene:DRNTG_12722 transcript:DRNTG_12722.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLETWLNGFNDVLGDAADSNAIGMVGREDPALDALFELLKLDLAELSLSGRREEDQAGEFTDRDGLKIAVIDDASAAKDDTKKDNEGVEEDGMVVVVPFLELESIEVVEPAA >DRNTG_12722.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000563.1:52951:61909:1 gene:DRNTG_12722 transcript:DRNTG_12722.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLETWLNGFNDVLGDAADSNAIGMVGREDPALDALFELLKLDLAELSLSGRREEDQAGEFTDRDGLKIAVIDDASAAKDDTKKDNEGVEEDGMVVVVPFLELESIEVVEPAA >DRNTG_12722.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000563.1:46766:61909:1 gene:DRNTG_12722 transcript:DRNTG_12722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETWLNGFNDVLGDAADSNAIGMVGREDPALDALFELLKLDLAELSLSGRREEDQAGEFTDRDGLKIAVIDDASAAKDDTKKDNEGVEEDGMVVVVPFLELESIEVVEPAA >DRNTG_12722.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000563.1:52951:61909:1 gene:DRNTG_12722 transcript:DRNTG_12722.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLETWLNGFNDVLGDAADSNAIGMVGREDPALDALFELLKLDLAELSLSGRREEDQAGEFTDRDGLKIAVIDDASAAKDDTKKDNEGVEEDGMVVVVPFLELESIEVVEPAA >DRNTG_28313.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29368512:29371235:1 gene:DRNTG_28313 transcript:DRNTG_28313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVMFEKLLQFVFQSFLIIAELLKDRGFSETLRDLISDNNPMVVANAVAAVAEIPENNTGPFFEITSD >DRNTG_28313.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29368512:29370030:1 gene:DRNTG_28313 transcript:DRNTG_28313.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVMFEKLLQFVFQSFLIIAELLKDRGFSETLRDLISDNNPMVVANAVAAVAEIPENNTGPFFEITSD >DRNTG_10309.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19610471:19614606:-1 gene:DRNTG_10309 transcript:DRNTG_10309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKILSAHFRAYIQAMGKLQLDIATSSDLIGVETKSTGLSLGGREPLKNGSAVFALGGRINILKEIDQPALIPHTAEENSQRYPYEVLFRSLHKLLMDTAASEYLFCGDFFGEEFIFYDIFAGPFAVLYEHFNMVLPNCYDALGLMLMIRIVHEHQMVMFRQRIPCLDSYLDKVNTSLWFRFKLVLGMHLDSLRNGNIRILLEDDVHPHYVMQHYAEFIASLDVESLVNIDDQHGDGQLDLILGRLQMAIDDLLIKLAKTFTKPKLQATFLINNYDMTTAVLKKAGLKCERTMTHCMHLAYSNGQIFVEEMLLEHFNDLIKFVESQDSEESSSSAEKPLPTDLEPLVRDFLSRWKAAIKLMYEDVITTFSDSLCRKMILTLAHIQLTSYYKRFRECARRIEGSFNGSFNRDMFPVPYIFYEFKRYLKTL >DRNTG_10309.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19610471:19611749:-1 gene:DRNTG_10309 transcript:DRNTG_10309.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDSLRNGNIRILLEDDVHPHYVMQHYAEFIASLDVESLVNIDDQHGDGQLDLILGRLQMAIDDLLIKLAKTFTKPKLQATFLINNYDMTTAVLKEEMLLEHFNDLIKFVESQDSEESSSSAEKPLPTDLEPLVRDFLSRWKAAIKLMYEDVITTFSDSLCRKMILTLAHIQLTSYYKRFRECARRIEGSFNGSFNRDMFPVPYIFYEFKRYLKTL >DRNTG_10309.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19612063:19614606:-1 gene:DRNTG_10309 transcript:DRNTG_10309.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLFLHWVEIDQPALIPHTAEENSQRYPYEVLFRSLHKLLMDTAASEYLFCGDFFGEEFIFYDIFAGPFAVLYEHFNMVLPNCYDALGLMLMIRIVHEHQVQSKKQKA >DRNTG_10309.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19610471:19614606:-1 gene:DRNTG_10309 transcript:DRNTG_10309.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLFLHWVEIDQPALIPHTAEENSQRYPYEVLFRSLHKLLMDTAASEYLFCGDFFGEEFIFYDIFAGPFAVLYEHFNMVLPNCYDALGLMLMIRIVHEHQMVMFRQRIPCLDSYLDKVNTSLWFRFKLVLGMHLDSLRNGNIRILLEDDVHPHYVMQHYAEFIASLDVESLVNIDDQHGDGQLDLILGRLQMAIDDLLIKLAKTFTKPKLQATFLINNYDMTTAVLKKAGLKCERTMTHCMHLAYSNGQIFVEEMLLEHFNDLIKFVESQDSEESSSSAEKPLPTDLEPLVRDFLSRWKAAIKLMYEDVITTFSDSLCRKMILTLAHIQLTSYYKRFRECARRIEGSFNGSFNRDMFPVPYIFYEFKRYLKTL >DRNTG_10309.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19610471:19614606:-1 gene:DRNTG_10309 transcript:DRNTG_10309.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLFLHWVEIDQPALIPHTAEENSQRYPYEVLFRSLHKLLMDTAASEYLFCGDFFGEEFIFYDIFAGPFAVLYEHFNMVLPNCYDALGLMLMIRIVHEHQMVMFRQRIPCLDSYLDKVNTSLWFRFKLVLGMHLDSLRNGNIRILLEDDVHPHYVMQHYAEFIASLDVESLVNIDDQHGDGQLDLILGRLQMAIDDLLIKLAKTFTKPKLQATFLINNYDMTTAVLKVRTCCLFSIFTSPPLSFAFVLACRKLVIESRFKM >DRNTG_10886.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11681460:11691566:-1 gene:DRNTG_10886 transcript:DRNTG_10886.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRILRMELGLDLLLGIGFTLRAHQETAQGRGLAPVSNLVMVHARAEFVHGRVFLCKEFLLHPEKTQGCALALVNCPRSDRGVRLALWVSPGESHGRGPLKVATQPRFLHLFPYLFFHLSPSFEAPAARVWRGFGKALEWFDGLRHRVSFIRELLGKLLSALIRRVEATLRNHTASLHNLENQVGQIAKSLSERPHRSLPSNTETNPREHVKAIALRSGREVEERLPNTTDEIVDEYIQEMFNPDPYEGLFDQEESNEEVLMLGSTREETSTPGILKKSLQVFLSHPEKTHGRVEFPHARVYSLRAHSEKAQGRAAAPVDDHPTSARPWVISARACECLQSWTVFSESTQGRGLTPVGNLVNHTRPWVLPTTAGETRRLLPPSRENTRDVRPPTEATGACVFPCRSSARAWVFSARPCECIECQKSRVLFKKGMISLLLHDSFSLENTLTTYSRVHSAGF >DRNTG_24626.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31139103:31143309:-1 gene:DRNTG_24626 transcript:DRNTG_24626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEGVEGEYGAARTSVWWDIENCHVPKGYDAHVIAQNITSALAAVGYKGPVTISVYGDTNKIPTVVQHALSGTGIALNHVPSGVKDASDKKILVDMLFWAVDNPPPANYLLISGDRDFSNALHQLRMRRYNILLAHAPNVSQALVAAAKTVWQWTSLLAGGPPFPNSGSTQQSNASNGKSIAEIYKLTVPETGQKKQHPEPFSDISSLGNQKGCADGKADNRSKVKPVWRTSSQVNANKPQTLSNDFRQLSLGDQEGCASVGFDNSDLKGKQSIQQPIQVSMPMTSTSQVSMPMITTSQVSTSMISASLLKPSDQPNYTPVSTSYPNFPSQKPMDTPFPPRETPHKFCATNMPSTSGPSPNVPPYPPYPLNNEHHFSSGHQKQTQNSQPLRPSGLPPLQPTNTSSHFHSSYSPLLRPGAPSFTTAPANLPMINNPSVSKYPNSINHTTSFTPPMHEPNMVPGLKPFNGPHITHHNNKQRPQLHPANNSIPNNTILGTTGIPLSSSADQDIIGRILFALNSLKEEKLAPTLSNITDCIQYGEMKLKNFDVNKGLELAIKHQVVVMHKLGGNLPFFIGRNDKLWKCVNVMDVTVRHPKATWDTVQKFLSSNAGRSALMVSQCQYEAAIILKKSCLSNLAVGDVLQLLYVITNVKKWVTPHPSGWQPLSITIKV >DRNTG_19092.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:219850:221062:1 gene:DRNTG_19092 transcript:DRNTG_19092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVIKSTGSLPPISTPQDFSYSMEGDEQDVSLEWLSIFVEDCLSSTTTSFMALPSTIPSNSIPSQTPKDINSPSKPRTKRRKTTTPNPNPNPKPKPKPKASCDFSHNSILYDPPLLHQTQWLADSELIFPKKDPLIVDKVEIQDDKDEVDEDDDEEEEEQQQHQEEQEQQHEKQQQQQQQQQQQARRCSHCLSQRTPQWRAGPLGPKTLCNACGVRFKSGRLLPEYRPAKSPTFVSYKHSNSHKKVMEMRMSIMSTNSISN >DRNTG_16189.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12265428:12272763:-1 gene:DRNTG_16189 transcript:DRNTG_16189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVRREVHGDLRADRGSLKLGLNHTGPEFVDPIILGESEVTVGLGDTVLKAACDPVLLCEVGCDLGPSHSNQGSVHIGPGMLMLSDPLVVLAKHFETNKEAGEHGIHDNVTEVNQGLGDGLLVLAQQVEANREKDSREILVGKTESAFGLIDGLLNKGVDIEHGPNLSLVEHGRGGIILAPVGLQSPTLVIESSDSNENSDNSFSAFEKNLRELLPGLPGGLKTGDGKQPCITRKSERKKKPLSRFNEEAGFIVEPPRSCKKKGLKGDTGEDMAGLKTNFSKTCLYSSRMGELSDLAATAMATLQCKVNRLPVTYLGVLTCLPALRNCISHEVSSGKDTLFWKDGWLNGIAPMNLWPEEFYTCRRPNGTIHELGHLLDEVPCIDNDLIDQVRAKVRRPDNLLGDKKKWRLTGNEIFSVKTFYNFLIDGGLRCPVSRFFWRGGWPKKINLFNWLAWKNKILSLENLTVRRCNRLPTDTCVLCHAGSESCDHLFIDCSYTKQVWEHFIRLLHFPSAPTSLKDLWGHWRTGLANKKRIFGDLVAKAIVWNICLARNDCIFNDNVLHINSLILKIAQEPLHNETSSINAHLVFCHFIDLSEWRCRHCNIIWSSLSPLVIFIPSKLATTCPGYSKDDLPEGGLFAAASSGIWDNGAACGRRYKLRCLSGLKRPCKDASIVVEVVDLCKTNPCSATLLLSNEAFDLVSKTSSTKINIEYSQI >DRNTG_07045.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3738821:3745582:1 gene:DRNTG_07045 transcript:DRNTG_07045.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDELDLLPLSKRLKLLVSMKPSSPLVVSSIPAPPQCSGGVSVEPVLNEVYQKWPGLPRGVKFDPSDEDLIWHLLGKTGKGKVMPHPFINIFVTSLDDDIMIGHTHPDFLPGIKQDGRPSYFFHRILKTQFERQNICWNKIGKAKPVLVDGIYPGSKNIMILYVNEQNGEKLERTNWVMHQYQLGAEEVEEGALVLSKVFYQQRHKASRKGGQDMASDSIGVVLNEVKPLAGAELVLSESHSENQHSDINYVLKPSQMSTCYQIGSNDDYFEQQKLGYGNHPESFASEEENCAKSCECGQSQMSCDLGQDMRRCQKDAQVSVSTSTPNSSESVITQSPSVVVQILSITNSGKSNSLVQTDYHTESKEIGQILSCQVSASDINSDHRTCDTFKTNDLEYLRTDTCAPEKNINSSYMVPDARSHSDSPSSVIPLSLEGQDSNHRQSYETRTLDANLSSSQELKPENINGDNLLLDPSFPVKVKREPSEGEAGSTCEVHNVVTHYASKENHAQDDNLSGGRNIQNCLSLSGLPLESPFVNTQCSKKAAKYPDNVLLGKDNMPAESDSIGYGRSLHPEERNLKAVNRHSNSASSNSIGKVKFEPLENCIPVPGQTDFPRDEVKIELANDNSSDIDNIPLIDRMRMLVSLSVTGVECSGKKRCPEPTALPASSFDSFNSENPKRKSFSLRKKRKKTATNSVETALEEDAPGLLEVLLDKGITAEEIKLYGDVDDDDDDVGDVFFK >DRNTG_07045.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3738821:3745582:1 gene:DRNTG_07045 transcript:DRNTG_07045.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDELDLLPLSKRLKLLVSMKPSSPLVVSSIPAPPQCSGGVSVEPVLNEVYQKWPGLPRGVKFDPSDEDLIWHLLGKTGKGKVMPHPFINIFVTSLDDDIMIGHTHPDFLPGIKQDGRPSYFFHRILKTQFERQNICWNKIGKAKPVLVDGIYPGSKNIMILYVNEQNGEKLERTNWVMHQYQLGAEEVEEGALVLSKVFYQQRHKASRKGGQDMASDSIGVVLNEVKPLAGAELVLSESHSENQHSDINYVLKPSQMSTCYQIGSNDDYFEQQKLGYGNHPESFASEEENCAKSCECGQSQMSCDLGQDMRRCQKDAQVSVSTSTPNSSESVITQSPSVVVQILSITNSGKSNSLVQTDYHTESKEIGQILSCQVSASDINSDHRTCDTFKTNDLEYLRTDTCAPEKNINSSYMVPDARSHSDSPSSVIPLSLEGQDSNHRQSYETRTLDANLSSSQELKPENINGDNLLLDPSFPVKVKREPSEGEAGSTCEVHNVVTHYASKENHAQDDNLSGGRNIQNCLSLSGLPLESPFVNTQCSKKAAKYPDNVLLGKDNMPAESDSIGYGRSLHPEERNLKAVNRHSNSASSNSIGKVKFEPLENCIPVPGQTDFPRDEVKIELANDNSSDIDNIPLIDRMRMLVSLSVTGVECSGKKRCPEPTALPASSFDSFNSENPKRKSFSLRKKRKKTATNSVETALEEDAPGLLEVLLDKGITAEEIKLYGDVDDDDDDVGDVFFK >DRNTG_02582.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000118.1:23233:24639:-1 gene:DRNTG_02582 transcript:DRNTG_02582.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQQQAPPPSYPPPGQAYPAPTEGYPTYVAPPPAGYPTKDEATYSQNAPAQTQSRGDGGFWEGCCAALCCCCLLDMCT >DRNTG_02582.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000118.1:19194:20083:-1 gene:DRNTG_02582 transcript:DRNTG_02582.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQQQAPPPSYPPPGQAYPAPTEGYPTYAAPPPAGYPTKDEATNPQNAPAQTQSRGEGGFWEGCCAALCCCCLLDMCT >DRNTG_02582.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000118.1:12601:20083:-1 gene:DRNTG_02582 transcript:DRNTG_02582.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQQQAPPPSYPPPGQAYPAPTEGYPTYAAPPPAGYPTKDEATNPQNAPAQTQSRGEGGFWEGCCAALCCCCLLDMCT >DRNTG_02582.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000118.1:12601:20083:-1 gene:DRNTG_02582 transcript:DRNTG_02582.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQQQAPPPSYPPPGQAYPAPTEGYPTYAAPPPAGYPTKDEATNPQNAPAQTQSRGEGGFWEGCCAALCCCCLLDMCT >DRNTG_02582.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000118.1:12601:24639:-1 gene:DRNTG_02582 transcript:DRNTG_02582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQQQAPPPSYPPPGQAYPAPTEGYPTYAAPPPAGYPTKDEATNPQNAPAQTQSRGEGGFWEGCCAALCCCCLLDMCT >DRNTG_02582.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000118.1:12601:20083:-1 gene:DRNTG_02582 transcript:DRNTG_02582.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQQQAPPPSYPPPGQAYPAPTEGYPTYAAPPPAGYPTKDEATNPQNAPAQTQSRGEGGFWEGCCAALCCCCLLDMCT >DRNTG_02582.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000118.1:12601:13683:-1 gene:DRNTG_02582 transcript:DRNTG_02582.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQQQAPPPSYPPPGQAYPAPTEGYPTYAAPPPAGYPTKDEATNPQNAPAQTQSRGEGGFWEGCCAALCCCCLLDMCT >DRNTG_07969.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000353.1:20401:21196:-1 gene:DRNTG_07969 transcript:DRNTG_07969.1 gene_biotype:protein_coding transcript_biotype:protein_coding TAKKIEIPDGSSRDSPSLHMGTRIIRTQPLLTHPEMCPHP >DRNTG_13108.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11187317:11187740:1 gene:DRNTG_13108 transcript:DRNTG_13108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRCSLHPEKTQGRMAAPGRAAAPVGRAHGRGYFPHARAKAVRVEVVFSRERTAACNSKKRDFFLKNLVNRLIPHLQSPENYL >DRNTG_18252.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4266861:4270491:1 gene:DRNTG_18252 transcript:DRNTG_18252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDYSQEMKMKSYFTGTILLFIIVSPSVAIVTPSPPPFFYVFGDSLFDVGNNNYLQYPAPKANFPFNGIDYPGNISTGRFGNGYIGPDYVGFTDLTNACCGIGRFNGQAPCTPISNLCSNRDNHYSWDPVHPTQAVARLVSNMSYYGLLHVFPMNIQQLVNA >DRNTG_02890.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:787939:790361:1 gene:DRNTG_02890 transcript:DRNTG_02890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKFFGSLIERAGRALKASEDDIGWLQRVPGMPFVQDGTGKFDNLLFNIKNYNQHTLPKSLAYLLVPGLFSNFGPPSFADTQAYFQRLGLDCEIAKIDSEAGVINNAKKIKNCIKTLHNRSGKKVVILGHSKGGLDAAAALSIYWHKLEDKVAGLVLAQCPYAGNPIASDILRQGSFQRVNLKREVMELVMSGILKGDLRAIEDVTYKKRREFLEVNPLPKQLPVVSFHTQTKINHITLKKFYEVAKVEFSSSSTSNDSNASEPWSISSGLAVLATVLELRYGTKSDGLVTREDAEAPGSIVVRYGRNLDHCWIAGNCSSNDDQEGRKANNSQVCEALFALVIEMAQMKGFINLRPRRSSSSDY >DRNTG_02890.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:787939:790361:1 gene:DRNTG_02890 transcript:DRNTG_02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKFFGSLIERAGRALKASEDDIGWLQRVPGMPFVQDGTGKFDNLLFNIKNYNQHTLPKSLAYLLVPGLFSNFGPPSFADTQAYFQRLGLDCEIAKIDSEAGVINNAKKIKNCIKTLHNRSGKKVVILGHSKGGLDAAAALSIYWHKLEDKVAGLVLAQCPYAGNPIASDILRQGSFQRVNLKREVMELVMSGILKGDLRAIEDVTYKKRREFLEVNPLPKQLPVVSFHTQTKINHITLKKFYEVAKVEFSSSSTSNDSNASEPWSISSGLAVLATVLELRYGTKSDGLVTREDAEAPGSIVVRYGRNLDHCWIAGNCSSNDDQEGRKANNSQVCEALFALVIEMAQMKGFINLRPRRSSSSDY >DRNTG_32479.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001842.1:33808:34169:-1 gene:DRNTG_32479 transcript:DRNTG_32479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRAMIIGPKGTPYHDALFFFDFNFLANYPRSPPVAYHYYRGYWLNINLYNDALFALAS >DRNTG_15878.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13100203:13105422:-1 gene:DRNTG_15878 transcript:DRNTG_15878.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASMRAHGAREAHSQMPRNHAGATALSYNIFQPNARSKNNDLRT >DRNTG_21193.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:2220122:2221349:1 gene:DRNTG_21193 transcript:DRNTG_21193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFISTLKSSWFALLVLSICISMAASRVLNEKLMIDRHEQWMVKHGKVYKDIAKKQHRFKIFKSNVEYIETFNSGEHTFKLGANHFADMTIEEFKAKYTGFRPSLSNNPLKTSSFKYENVAKVPDSLNWTAKGAVTPVKDQGQCEQELVSCDTKGEVEGCTGGMMDDAFKFIVSNGLATEKSYPYTARDSSCAKFTSVVHITGYEDVPQSNETALLKAVANQPVSIGLLGDNIMFYHSGIVDAGSCVAKNVAELDHAVTAVGYGVENGTKYWLMKNSWGDQWGDSGYVKLVRDGIFKEGTCGLAMYANYPVMHRHKKMD >DRNTG_09117.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:84629:88373:1 gene:DRNTG_09117 transcript:DRNTG_09117.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACISDFGLALLLNPAHTTSRLGGYRAPEQAENKRLSQEADIYAFGVLLLEVLTGRAPPQYPSPVTSRGGSLIATNLPEWVRSVVREEWTAEVFDVELKRYKNIEGEMVAMLQVALACVSQQPDARPAMSEVVKMIEEIRVEQSPLAEDMDEPRASLSPSLATATTTEDGRLSY >DRNTG_09117.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:84596:88295:1 gene:DRNTG_09117 transcript:DRNTG_09117.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACISDFGLALLLNPAHTTSRLGGYRAPEQAENKRLSQEADIYAFGVLLLEVLTGRAPPQYPSPVTSRGGSLIATNLPEWVRSVVREEWTAEVFDVELKRYKNIEGEMVAMLQVALACVSQQPDARPAMSEVVKMIEEIRVEQSPLAEDMDEPRASLSPSLATATTTEDGRLSY >DRNTG_09117.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:84596:88373:1 gene:DRNTG_09117 transcript:DRNTG_09117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACISDFGLALLLNPAHTTSRLGGYRAPEQAENKRLSQEADIYAFGVLLLEVLTGRAPPQYPSPVTSRGGSLIATNLPEWVRSVVREEWTAEVFDVELKRYKNIEGEMVAMLQVALACVSQQPDARPAMSEVVKMIEEIRVEQSPLAEDMDEPRASLSPSLATATTTEDGRLSY >DRNTG_09117.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:84596:88262:1 gene:DRNTG_09117 transcript:DRNTG_09117.4 gene_biotype:protein_coding transcript_biotype:protein_coding MACISDFGLALLLNPAHTTSRLGGYRAPEQAENKRLSQEADIYAFGVLLLEVLTGRAPPQYPSPVTSRGGSLIATNLPEWVRSVVREEWTAEVFDVELKRYKNIEGEMVAMLQVALACVSQQPDARPAMSEVVKMIEEIRVEQSPLAEDMDEPRASLSPSLATATTTEDGRLSY >DRNTG_09117.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:84629:88295:1 gene:DRNTG_09117 transcript:DRNTG_09117.5 gene_biotype:protein_coding transcript_biotype:protein_coding MACISDFGLALLLNPAHTTSRLGGYRAPEQAENKRLSQEADIYAFGVLLLEVLTGRAPPQYPSPVTSRGGSLIATNLPEWVRSVVREEWTAEVFDVELKRYKNIEGEMVAMLQVALACVSQQPDARPAMSEVVKMIEEIRVEQSPLAEDMDEPRASLSPSLATATTTEDGRLSY >DRNTG_09117.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:84629:88262:1 gene:DRNTG_09117 transcript:DRNTG_09117.6 gene_biotype:protein_coding transcript_biotype:protein_coding MACISDFGLALLLNPAHTTSRLGGYRAPEQAENKRLSQEADIYAFGVLLLEVLTGRAPPQYPSPVTSRGGSLIATNLPEWVRSVVREEWTAEVFDVELKRYKNIEGEMVAMLQVALACVSQQPDARPAMSEVVKMIEEIRVEQSPLAEDMDEPRASLSPSLATATTTEDGRLSY >DRNTG_34402.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25963855:25966049:-1 gene:DRNTG_34402 transcript:DRNTG_34402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLSKKIIKKRVKKFKRPQSDRKICVKPSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNRFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTKKRKEIVERAAQLDIVVTNKLARLRSQEDE >DRNTG_20281.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5164953:5166360:1 gene:DRNTG_20281 transcript:DRNTG_20281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPQVHECQSNKIPDELGSRIHRKEKF >DRNTG_13420.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17386227:17387693:1 gene:DRNTG_13420 transcript:DRNTG_13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDVLRPVGELVAMSQAQTPHKEFLSVLVRRREGVALPMEIELSLGMRRYVVLVTGERCVHPAAAVQKHRIATISKGKAVVEPRSHAGPENMGSAEVQRPEMRSPTVVEPCSHVVVGKDSDRDRGPVGRTDGPPKTRVRHRVAQSPERRRGVHVQSTTPRRVVLWRERTSAAPLEPVKERSRGALVAAEASSVQFKDLREQVKDATAAETDLTLSNDVGRSAVPLVHDKLGLRLDSANGPELCEKGDVGRIVEDPLLQDVGLHLRMDYSEGLNDNVCSEDPLLMCEVEPQVGRATLNDTILGQVLVSGPGVELAEDVQPLGHKDGLSGGATRGKEEGSENKVVETLSQEIEVESDESVSDFERKIRELLPDLHGGSISLPSEQAPGVRKSERQKKAPA >DRNTG_04759.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3051005:3055983:-1 gene:DRNTG_04759 transcript:DRNTG_04759.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock 70 kDa protein 8 [Source:Projected from Arabidopsis thaliana (AT2G32120) UniProtKB/Swiss-Prot;Acc:Q9SKY8] MAGLHVMRLMPEPTAVALLYAQQQQQSLHENMGSGTEKVALIFNMGAGYCDVAVTATAGGVSQIKALSGSTLGGEDILDNVLRHLVPNFYNLYSSPSVDKIKSMGLLRIATQDAIHRLSSEISVSINVDAGDGTKISRDLDRSEFEEVNRSVFEKCERLVSQCMLDAKVIAEDITDVILVGGCSNIPKIKNLLRVLCKRKEVYQGIDPLEAIVYGAALEGAIACGVNDPSGSLDLLTIQATPLSLGIRADGNAFAIIIHRNIAIPARKEMLFTTAHDNQTEALIMVYEGEGKKVEENRILGFFKIAGIPPAPKGSAEISVCMDIDASNSLRVFAGVFSAGTQQPLSPFIEVRMPTLDGGHEWCEALIKKHGSELDLATMPRKLQP >DRNTG_04759.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3051050:3055983:-1 gene:DRNTG_04759 transcript:DRNTG_04759.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock 70 kDa protein 8 [Source:Projected from Arabidopsis thaliana (AT2G32120) UniProtKB/Swiss-Prot;Acc:Q9SKY8] MAGLHVMRLMPEPTAVALLYAQQQQQSLHENMGSGTEKVALIFNMGAGYCDVAVTATAGGVSQIKALSGSTLGGEDILDNVLRHLVPNFYNLYSSPSVDKIKSMGLLRIATQDAIHRLSSEISVSINVDAGDGTKISRDLDRSEFEEVNRSVFEKCERLVSQCMLDAKVIAEDITDVILVGGCSNIPKIKNLLRVLCKRKEVYQGIDPLEAIVYGAALEGAIACGVNDPSGSLDLLTIQATPLSLGIRADGNAFAIIIHRNIAIPARKEMLFTTAHDNQTEALIMVYEGEGKKVEENRILGFFKIAGIPPAPKGSAEISVCMDIDASNSLRVFAGVFSAGTQQPLSPFIEVRMPTLDGGHEWCEALIKKHGSELDLATMPRKLQP >DRNTG_04759.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3051050:3055983:-1 gene:DRNTG_04759 transcript:DRNTG_04759.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock 70 kDa protein 8 [Source:Projected from Arabidopsis thaliana (AT2G32120) UniProtKB/Swiss-Prot;Acc:Q9SKY8] MAGLHVMRLMPEPTAVALLYAQQQQQSLHENMGSGTEKVALIFNMGAGYCDVAVTATAGGVSQIKALSGSTLGGEDILDNVLRHLVPNFYNLYSSPSVDKIKSMGLLRIATQDAIHRLSSEISVSINVDAGDGTKISRDLDRSEFEEVNRSVFEKCERLVSQCMLDAKVIAEDITDVILVGGCSNIPKIKNLLRVLCKRKEVYQGIDPLEAIVYGAALEGAIACGVNDPSGSLDLLTIQATPLSLGIRADGNAFAIIIHRNIAIPARKEMLFTTAHDNQTEALIMVYEGEGKKVEENRILGFFKIAGIPPAPKGSAEISVCMDIDASNSLRVFAGVFSAGTQQPLSPFIEVRMPTLDGGHEWCEALIKKHGSELDLATMPRKLQP >DRNTG_04759.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3051050:3055983:-1 gene:DRNTG_04759 transcript:DRNTG_04759.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock 70 kDa protein 8 [Source:Projected from Arabidopsis thaliana (AT2G32120) UniProtKB/Swiss-Prot;Acc:Q9SKY8] MAGLHVMRLMPEPTAVALLYAQQQQQSLHENMGSGTEKVALIFNMGAGYCDVAVTATAGGVSQIKALSGSTLGGEDILDNVLRHLVPNFYNLYSSPSVDKIKSMGLLRIATQDAIHRLSSEISVSINVDAGDGTKISRDLDRSEFEEVNRSVFEKCERLVSQCMLDAKVIAEDITDVILVGGCSNIPKIKNLLRVLCKRKEVYQGIDPLEAIVYGAALEGAIACGVNDPSGSLDLLTIQATPLSLGIRADGNAFAIIIHRNIAIPARKEMLFTTAHDNQTEALIMVYEGEGKKVEENRILGFFKIAGIPPAPKGSAEISVCMDIDASNSLRVFAGVFSAGTQQPLSPFIEVRMPTLDGGHEWCEALIKKHGSELDLATMPRKLQP >DRNTG_05916.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5095639:5102468:1 gene:DRNTG_05916 transcript:DRNTG_05916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECYKVIKEVGDGTFGTVWRALNKQSGEVVAIKKMKKKYYSWEECVNLREVKSLRRMNHPNIVKLKEVIRENNVLYFIFEYMECSLYQLMKDRSKPFSETEVRNWCFQILQALAYMHHRGYFHRDLKPENLLVTKETIKIADFGLAREISSQAPYTEYVSTRWYRAPEILLQSSIYDSAVDMWAMGAIIAELLTLRPIFPGSSEADEIYKICSVIGTPDYISWAEGLQLADSLNYRFPQFAPVHLSSLLPSASEDAISLISSLCSWNPKKRPTALEALQHSFFQPCFYVPPSLRPKAPGIPKTPTSAGLKGPSEQKTYNRRSSGVQSYTARASDSSPAKNSNAYWRTGVQRKLEMDYQVQEPGKYGKSLKNIPKESSSPYLPPARKNNPGGYTARSVGKGCSDISDKVASNKTVPNSSDYNGALDVSKKFAQLSVNSDGGARPPPSSMKAGGWRSNQFPATTYRSYSRKVMG >DRNTG_23130.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5082387:5082906:-1 gene:DRNTG_23130 transcript:DRNTG_23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSQSSTKQKDGDDGGGMEKKVVETVDFRSPAGHGQTEKKPVQVVHEFVDDKSSHAHANKESK >DRNTG_18466.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5015922:5020724:-1 gene:DRNTG_18466 transcript:DRNTG_18466.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3-like centromeric protein HTR12 [Source:Projected from Arabidopsis thaliana (AT1G01370) UniProtKB/Swiss-Prot;Acc:Q8RVQ9] MARTKHISSHPSRRSKKKLNWGQSPLQQGQADVSTPPSRSTRRAKENDPQVGGSAAQASGKKRRFRPGTVALREIRKLQKSWNLLIPHAPFFRVVREITSTYSREVSRWTPEALMAIQEAAEYYLEELFADAYLCSIHAKRVTLMQKDIQLARRIGGRRAW >DRNTG_18466.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5015922:5020724:-1 gene:DRNTG_18466 transcript:DRNTG_18466.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3-like centromeric protein HTR12 [Source:Projected from Arabidopsis thaliana (AT1G01370) UniProtKB/Swiss-Prot;Acc:Q8RVQ9] MARTKHISSHPSRRSKKKLNWGQSPLQQGQADVSTPPSRSTRQRAKENDPQVGGSAAQASGKKRRFRPGTVALREIRKLQKSWNLLIPHAPFFRVVREITSTYSREVSRWTPEALMAIQEAAEYYLEELFADAYLCSIHAKRVTLMQKDIQLARRIGGRRAW >DRNTG_25204.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20192241:20192718:-1 gene:DRNTG_25204 transcript:DRNTG_25204.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLLNLIHIFQGRTALWDAILLLCHRIKVGYGAVVRGVHLGSSALNILSVLDSANES >DRNTG_30379.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001567.1:43576:44013:-1 gene:DRNTG_30379 transcript:DRNTG_30379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAPSSSTPPLLSPSCASDHPKLLIIKNMNGKALLDGSSGTGSSFVTSRDTSDSKRNGAFSVGRPKFNKSNISSGLHQDGLDWMYIGQTTPQANKQLNQPSERKSRT >DRNTG_31895.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4517831:4522769:1 gene:DRNTG_31895 transcript:DRNTG_31895.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRRAASLRGWARRGRHPIPAAPFCTDGDGFQSSRFKIFDRDFKRKQRDRAAWLMNSSDEFLDSVADNLLDRLQDCRKTFPTALCLGGASEAVRRLLHGRGGIEKLTMMDMSFDMVNMLKASDRKDSDNTLETFYVVGDEEFLPVKENSLDLVISCLDLHWTNDLPGAMIQCRLALKPDGLFLAAILGGETLKYISRCYDYNGVWSFRFLCSALLFFVVL >DRNTG_31895.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4517831:4518730:1 gene:DRNTG_31895 transcript:DRNTG_31895.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGTGTETSGVSEGMGTEGKASHSCCPFLHGRRWVPELSLQDFRP >DRNTG_31895.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4517831:4522769:1 gene:DRNTG_31895 transcript:DRNTG_31895.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRRAASLRGWARRGRHPIPAAPFCTDGDGFQSSRFKIFDRDFKRKQRDRAAWLMNSSDEFLDSVADNLLDRLQDCRKTFPTALCLGGASEAVRRLLHGRGGIEKLTMMDMSFDMVNMLKASDRKDSDNTLETFYVVGDEEFLPVKENSLDLVISCLDLHWTNDLPGAMIQCRLALKPDGLFLAAILGGETLKELRMACTIAQMEREGGISPRMSPLAQVRDAGNLLTRAGFTLPGVDVDQYTVRYNSALELIEHLRKMGETNALLQRNNILKRETALATAAVYESMFAAEDGTIPATFQVIYMTGWKEHPSQQKAKARGSATISFKDIQKQFGNPNN >DRNTG_31895.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4519459:4522769:1 gene:DRNTG_31895 transcript:DRNTG_31895.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGIEKLTMMDMSFDMVNMLKASDRKDSDNTLETFYVVGDEEFLPVKENSLDLVISCLDLHWTNDLPGAMIQCRLALKPDGLFLAAILGGETLKELRMACTIAQMEREGGISPRMSPLAQVRDAGNLLTRAGFTLPGVDVDQYTVRYNSALELIEHLRKMGETNALLQRNNILKRETALATAAVYESMFAAEDGTIPATFQVIYMTGWKEHPSQQKAKARGSATISFKDIQKQFGNPNN >DRNTG_15251.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:16960584:16961254:1 gene:DRNTG_15251 transcript:DRNTG_15251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGMTTQNNNKIKLKTKQGRKKNLESLTQTSHS >DRNTG_24882.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17008616:17009859:-1 gene:DRNTG_24882 transcript:DRNTG_24882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGKPSIFIQGVSKVTCLSRPSYRYMHAVLSRSVNGHGDSTGVLSRQGQYARISSLFAGPYITRLIMGMGLIGAIRGAEKAIIPTPLDIKMIRQMGMLPRYGPVEPQREQRESEVPHSPQEPPPARIFVSTTYPRSL >DRNTG_22074.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20325750:20326610:1 gene:DRNTG_22074 transcript:DRNTG_22074.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISAAIATGFRSNRCTTITTISPLVLQGCGSTTRVLGWKTNRVQHMRFSNAIIFIIIIRSSDFQLHNLHRHPSL >DRNTG_22074.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20325750:20326610:1 gene:DRNTG_22074 transcript:DRNTG_22074.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQEEWQIQMLPIQFLFATVMPVVVMRLKCRSLGKNYPSGVPLHATRVLDLQAVMLPCYI >DRNTG_22074.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20325750:20328153:1 gene:DRNTG_22074 transcript:DRNTG_22074.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYSSSSSGPVTFSSTISTDIPLYEPPGQASFDDYIQDRPRVFNAMFPDKRRSKRLNDEEWQIQMLPIQFLFATVMPVVVMRLKCRSLGKNYPSGVPLHATRVLDLQATRWELRGLENMYVPSHFSLSVQGALYAEKKRSNGGGNSRLKGHLKMSISVVLPAILAIVPENILRVAAETVLKRLVEKMKQEVDGALLSDFQSFRKEMLMTKRAQAIASAAHRDRDTSSNQL >DRNTG_22074.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20325750:20326610:1 gene:DRNTG_22074 transcript:DRNTG_22074.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYSSSSSGPVTFSSTISTDIPLYEPPGQASFDDYIQDRPRVFNAMFPDKRRSKRLNDEEWQIQMLPIQFLFATVMPVVVMRLKCRSLGKNYPSGVPLHATRVLDLQAVMLPCYI >DRNTG_12994.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7121621:7122132:-1 gene:DRNTG_12994 transcript:DRNTG_12994.1 gene_biotype:protein_coding transcript_biotype:protein_coding QNNNCPIHDKTSFNKKGLPSTPPKNNLV >DRNTG_33047.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26971088:26972990:-1 gene:DRNTG_33047 transcript:DRNTG_33047.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDEWRNWAELPHDVLSVIFGKLGAFEVLFPAQWVCRAWNRFSHEPALWRCVDMRFHADMVNVAPMEEIAMRAVDRAAGQLEGFYGENSGSDELLRYIADRTNSFRSLRLRSCYNISDEGLIEMANRSPLLEELEITLCSFGTDAYESIGRACSQLKYFGLNSHWYQLLDDYEVPEVGRNKNALAIANTMHELRHLQLIGDLLTNTGLLAILDNCPHLESLDIRCCFNVRIDGDLSRRLSKIKEVRASH >DRNTG_25601.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:247647:251787:1 gene:DRNTG_25601 transcript:DRNTG_25601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTTETNLLLELALLSGPISVAVLVGLLLGWAWKPKWAVDLVGGLQQPTGLPRLDSVKPHLPSFIASFIPDKVEPTEFPPRSTEMGLGSRKLAVMDDDLEYLHRLVELTDGGPVWLPMMERSLPSFSYQAWRRDPESGPPQYRSKTIFEDATPEIVRDLFWDDEFRLSNKWDDMLIYHSTLEECPTTGTMVVHWVRKFPFFCSDREYIIGRRIWKFGNTYYCVTKGEPNASVPRRNKPRRVDLYYSSWCIRPAESIRGNGQRTACEVLLFHYEDMGIPWEIAKLGVRQGMWGCVKKIEPGLRAYQIARRSSKPLSRCGTMAQINTSINANYFSSLEDNNGSSDIIGTDEKPSGRNIPRLIVVGGAIALACTLDGGLLTKAIIFGVARRFAWPRRAM >DRNTG_07169.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31720499:31724273:-1 gene:DRNTG_07169 transcript:DRNTG_07169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDRPGAVYILSSDACGNRNKILSGSEFGTDTTLRLNSPGTATLFDSTLNGTKRKRDAINKVEGSAHHSLLFLGLGPSPSSSDNSKGSSLTVSSMSSAKEIDEGSSIDLDLNFDLHLDNENMAGITDFAAAPNGTGLQFDLQLSLLTGTTNSGMTSLSPISKQCQNILEASAEISPLPTAEEGSVSSAQLKFGNCLLQSSGTTWRTSMFAPDLNAPATVETVPAIPEENPVACASEIALRQQRNTNTKNCQFLGCGKGARGASGLCIAHGGGRRCQKIGCHKGAEGKTIYCKAHGGGRRCQFLGCTKSAEGRTDYCISHGGGRRCSHESCTKAARGKSGLCIRHGGGKRCQKENCTKSAEGYSGLCISHGGGRRCQFPECTKGAQGSTKFCKAHGGGKRCTFPGCDKGAEGSTQFCKGHGGGRRCSFEGGGVCPKSVHGGTQFCVAHGGGKRCTAPECTKSARGRTEFCVRHGGGKRCKSDGCGKSAQGSTDFCKAHGGGRRCSWGQAGSIFEIGGAPCERFARGKTGLCAAHSALVQDQCVHGGGSMEMAPPCAELLTTVKPEKPKDALLMNHADGFSGLNNSEEKMLVMPSPITLQTTMASLPEGRVHGGSMMAKLFGCATVQALWM >DRNTG_17079.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17223686:17302398:-1 gene:DRNTG_17079 transcript:DRNTG_17079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPKVFFDMTIGGAPAGRIVMELYADVVPNTAENFRALCTGEKGIGKCGKPLHYKGSTFHRVIPGFMCQGGDFTAGNGTGGESIYGSKFKDENFSRKHTGPGVLSMANAGPNTNGSQFFICTAQTSWLDGKHVVFGQVIDGLDVVKKVESVGSQGGRTSKAVTVADCGQLS >DRNTG_23132.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16017295:16018858:1 gene:DRNTG_23132 transcript:DRNTG_23132.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIRSETNTSRNVATTNEVEISTAQIPDAQPRTSTHSLDIDSNHNGDDENEDHDNILENTRRGRTTLKELWVLPPGEKVLVSANGLGQPIGLEAQLFSSFLGIRKGWGFLKKVAKVHTHTSGSKSFARKEKEMELRSGKKIGRFEFFKATNTKKDGSYLNKETKEIMEKANEKLSEYESIDVGEGMVETEILTQLIGKERHGRVRGLGLGPTPTSYYGHSGSRRLATCGGHSSECIEFHQQMNEKF >DRNTG_14963.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000748.1:4027:9295:1 gene:DRNTG_14963 transcript:DRNTG_14963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVARGDKTGEDVPMLLKIPRMFDPWGGYSIIGFGDILLPGLLVAFSLRYDFLAKKKLRNGYFLWSMTAYGAGLLGTYLALTLMDGHGQPALLYIVPIMLGTLLMIGKRRGELKNLWTRGEPERACPHIQSTE >DRNTG_14532.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7403005:7406192:1 gene:DRNTG_14532 transcript:DRNTG_14532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESTDLWNKELRYWKCFEGVLGHKTNDEATSRSKIHYNMSKRAMNYVCCVACVARISATSVRASATSVRIIPTAVLSLTKRSWARYGENICTGWILCHMRCLGLHRCWLRFGGSGVQVYSSSQPRKSYPREPYP >DRNTG_25546.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3042395:3043026:1 gene:DRNTG_25546 transcript:DRNTG_25546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAEEAARAYDTAAREFRGSKAKTNFPYPESYQTPVAGVVEGRSPE >DRNTG_25340.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22267592:22267816:-1 gene:DRNTG_25340 transcript:DRNTG_25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTLKEALSGKKSTRDLEFAKELIGECMKLKTYGYSGYEINKAYDWLMADNSRAMGFLAKDEELRKYWVEDFF >DRNTG_27986.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:10953847:10955424:-1 gene:DRNTG_27986 transcript:DRNTG_27986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNISEPIMQHMPNEASQTQEGHDELPIVKEIALYYEAIGGGKKRRVYRIGSKVCIFYPHSSSSLSTGSSYEALHADARDLRQTLSQVQDREERFNRHLAKYKLIIRSFNKVCLK >DRNTG_32331.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24551568:24552056:-1 gene:DRNTG_32331 transcript:DRNTG_32331.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTTSCTPCMASNGSIKVIDMNGVTKEYKQIIKAEDLMLEHNGKFICDSSHLKIGCRVPGLADKDELRRHRLYFLLPMDMLFSVLTEEEMVTLSFMVTRSVKKRGSKKLARIFPILTDFFLCPASSPEPASPKPETNVKFEKNVSRQWSWRPSLDTIEESA >DRNTG_12753.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25864320:25865478:-1 gene:DRNTG_12753 transcript:DRNTG_12753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQSLSTLSLLFIHTISLWKKRGICSKFQFISSQLITITAINQQQQQQQQQQQQQQQQQQQRRMGKEELRNRAKCVM >DRNTG_35324.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1499868:1500578:1 gene:DRNTG_35324 transcript:DRNTG_35324.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGTGGDGNLSSIWTPGGKMTMTAMIIVTALFILLFFHLYSRLFLRPREPYSLPRPPVIRRRPLIFVETRPSTIPSYEPPRGLDPIVIESISPVVVFHNDGEIGSVCAVCLGEIEEGELARIVPKCGHGFHVECIDMWLASHATCPLCRAAVEPIGMRGLGIDSKVSGGGVHPGGDTVAPELDLERGMVAEWAPPVTARRERVR >DRNTG_35324.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1498736:1500578:1 gene:DRNTG_35324 transcript:DRNTG_35324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSTGGDGNAGAFAGTIAPAPRGYAMSGKIMLTAIVVLFSAVLAVLFIHLYARWYLLRRNAVRRQRRRRDRRGHVFAQAQAQAQAPAVAAVKGLDPAVLKSLPVVIFSEEEEEREEEVECAVCLCELMEGEKVRILPKCGHGFHIECIDMWFGFSRYMPSLPRRRGACIRAAGVRGGRGAFNLGNGGGDGIQVSEKRDHHAEKALE >DRNTG_26093.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20741056:20744775:1 gene:DRNTG_26093 transcript:DRNTG_26093.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein REVEILLE 2 [Source:Projected from Arabidopsis thaliana (AT5G37260) UniProtKB/Swiss-Prot;Acc:F4K5X6] MKGNSGSSMLSLTEVSKNQMLVDIQVSCANGLQLKDLATSVDEHVPKVRKPYTITKQREKWTEEEHDKFLEALKLYGRAWRRIEEHIGTKTAVQIRSHAQKFFTKVVRESGSSNMTTVNSIEIPPPRPKRKPMHPYPRKLGIPSTKGIQGPERPSWSISANPALPEQEKGSPTSVISAIGSDASGSSGPNSPIRCTSPLFSASGSDPDGSFNEQENGSGLCSLSTEKQGTPMIGSVCAKLTADDTSVMELDSGIDDAFLSKEGFSEQTQGTSLKLFGKTVMISDHQKPLSPVAENKVQIIKSSPADDNGSHQQSTGIDPENSDQALHNNAWNPWVVGMPHPMFFCSHLPIHPIHSADASSLPLPLWWPFSGGLPFPDFNSEENSPKYQAEAPDRIEAQKESSWSGSDTSSGSEVVDSQIAIDLKEETELVSTSKLKPSVNSAFRTLNSGIKISSKGFSPYKRCTSLNEVQHQQHPQMVSEEEYGGRVELCL >DRNTG_24290.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21187129:21192660:1 gene:DRNTG_24290 transcript:DRNTG_24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLGYKGAREDSHEPYKNAYTCVNCDHKLLWMVLTDVLMAIRQDASSGHDPALAASVHAPVVQGAALVLASASTPEALEAFRALWASKGRQPTYQEYQDFLDYWRIFGAQAHITPTPVPASTRAPPQASIVQLAPGEASGPSHALALSRLLKETR >DRNTG_28714.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18046086:18047208:1 gene:DRNTG_28714 transcript:DRNTG_28714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQAGERRQGMDAPCRQWGQWTLLPQDSHHHPSLILFLRYSSTHHTTRLLSGHPSSWQAGVPGESPHDRFALCEISMWINNNFLNRNNLSTHSGGLKQKNSPKYPIAKLTHNPL >DRNTG_01776.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10853524:10856456:-1 gene:DRNTG_01776 transcript:DRNTG_01776.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRHSPLLTPPLTPTTTQGFFERKKKEW >DRNTG_00561.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30106584:30110151:1 gene:DRNTG_00561 transcript:DRNTG_00561.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVKGAGIKLSVCSAATKSSVILCLENLLGLERFQGLDCFLAGDDVKEKKPDPSIYLTASQKLGVLGKNCLVVEDSVIGLQAATGAGMPCIITYTSSTANQDFKDAIATYPDLSNVRLEDLELLLQKTLVAS >DRNTG_00561.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30106584:30110151:1 gene:DRNTG_00561 transcript:DRNTG_00561.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGIKLSVCSAATKSSVILCLENLLGLERFQGLDCFLAGDDVKEKKPDPSIYLTASQKLGVLGKNCLVVEDSVIGLQAATGAGMPCIITYTSSTANQDFKDAIATYPDLSNVRLEDLELLLQKTLVAS >DRNTG_00561.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30106584:30110151:1 gene:DRNTG_00561 transcript:DRNTG_00561.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSLLHLSISSSLPSRRRGASPLLRPHPPLLRRSPLRSRAPISAAAGPAEGASPLHALIFDCDGVILESEHLHRQAYNDAFAHFSVRSPASSSEILFWETDFYDELQNRIGGGKPKMRWYFNENGWPSSSVFEDPPVESADKEKLIDILQDWKTERYKEIIKSGVVKPRPGVLRLMDDVKGAGIKLSVCSAATKSSVILCLENLLGLERFQGLDCFLAGDDVKEKKPDPSIYLTASQKLGVLGKNCLVVEDSVIGLQAATGAGMPCIITYTSSTANQDFKDAIATYPDLSNVRLEDLELLLQKTLVAS >DRNTG_26725.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1248699:1256371:1 gene:DRNTG_26725 transcript:DRNTG_26725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSELGKITLDKTFEERDTLNENIVKAINEAASDWGLQCLRYEIRDISPPPGVKAAMEMQAEAERRRRAQVLESEGERQSNINIADGKKNSVILASEAAMMDQMNRAKGEAEAILARSRATADGLRLLSAAMQESRGAEAASLRVAEQYIQAFGNIAKEGTTLLLPSAPSDPSSMIAQALAIYQKLNLSRPHPSGNSSKAPGDDSSNHLLHSGEVTDTTASVSTSPTQTTVFSLQSPPKKQ >DRNTG_04634.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8374872:8378382:-1 gene:DRNTG_04634 transcript:DRNTG_04634.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRILKSGLKSAFKGLESGIHKPIAGTVFLLQFSIVPYYNTGPKYSRIHAFIEVTQTGTRLRRGSLCFFNNGHVGGDLVLYAQVGMLECDYPCAPPNGYTNLNTRRLAHIPASRTRPVSSRLNLTKISSKLVIKHSECSHDPGRVFLSEDRNDYPSECIVSKIKLFMWHQIPISSMTSDSESSQSTKKMSLTLLDLYSRAKSFKKAYLFDRRPLELNEDDYQHVCAIPKQKGVNFRDLKEVRVGEDNVVEWDP >DRNTG_32240.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9997171:9998860:-1 gene:DRNTG_32240 transcript:DRNTG_32240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARETTHTFSKLKFWMALPWVIVAAAHLQPQTMAIFSRSPDIQGERQYGQDVRTQNVVACQAVANIVKSSLGPVGLDKVPAFHPINRNYFVFTPVLCQQLSIKSLFWFSKENV >DRNTG_27497.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17168649:17170633:-1 gene:DRNTG_27497 transcript:DRNTG_27497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCFSLSVVAAMKKSSSMDLDNMKKSLDYMKANEIFELIERAINIAASQHPKELLQQRDRIVDMLLNSHINISDTHDEKRIINDGDDLWGDIQTIDEAESLLTHEVASLDPLKLLNKIIALDDVNEDNGYKLLNQNEHEINTERKKDSISACSSSSLIDDNSEICSKPQSNGTNPKLKVSQLCKVTSSSSTKQDQNQQKDFLAFLDDASLQAKVEKSEKKFIERQQQQQQQQQQQQREKEEKIID >DRNTG_28135.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24025645:24027683:-1 gene:DRNTG_28135 transcript:DRNTG_28135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTDFSSTLQCGVPRSGLMGGGVVNHEFTLVKRCAEPLIGVLYVRNPGSPHGDLNSQHEGYEDLGDFQPSCDDG >DRNTG_29264.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:17868857:17871428:1 gene:DRNTG_29264 transcript:DRNTG_29264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLRSVASTNWNLISDDWTNHAKAFSKLVIWVSKPFYEVFFQIQDLLQPMFKGSLGL >DRNTG_20515.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16691632:16696299:1 gene:DRNTG_20515 transcript:DRNTG_20515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGTGIDSGITEKEHDNDVAWFQMTCPSSDDVDTSRKHNECSREVDSAFPVAMQGKGVGNHWVTCRYYNMASGRGCSRGKCIVGSASTPTPPTQESNPFQDVESPMEQSGGGYNTFNRHLEKKHPDKLGHTRLQPKLDLLLMKKVEGLQEYLEAYYKFLEFNGGQDFQPVAMGLPMSDVDTQDSKLDSDNIVDVNEIVADAKSQLVELYDSYCIRYSHIVPQQGNGNPCPILSLMAKDIFVCLMSTIACKQTFSAGGNMLDMTRSQLTPQNIEIQVCTDDWKCAQVWQQEAEQESPDASDFFHTDNMMSTPCATTDQDLDVNN >DRNTG_29033.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18292129:18295511:1 gene:DRNTG_29033 transcript:DRNTG_29033.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSIASPSPCSESSQRTSTCKHPIFFPSRNFSGRRSFISKPVYPLIFHNPISDYEANDVVSATSTDSKMPPVNSYSTLNLDLKFQNSLAELQQMEGSPEPGASSRREGFRLSSASSYYDFGSEPDAIDITESISIESLMPSNNLARYQKCGLCKRFLWQKSLCSSYKIVRNCDLPIAAVLPCDHIFHAECLEEITPKNNVHDPPCPQCLKSVILEGSTSFSKPLQISLGSATKNQDVNTSHSAETDMKQRGFLIKDHLKKRFSKDLFFSTKVFKRNALPSSSASVNHNTLGCIST >DRNTG_29033.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18292129:18295511:1 gene:DRNTG_29033 transcript:DRNTG_29033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSIASPSPCSESSQRTSTCKHPIFFPSRNFSGRRSFISKPVYPLIFHNPISDYEANDVVSATSTDSKMPPVNSYSTLNLDLKFQNSLAELQQMEGSPEPGASSRREGFRLSSASSYYDFGSEPDAIDITESISIESLMPSNNLARYQKCGLCKRFLWQKSLCSSYKIVRNCDLPIAAVLPCDHIFHAECLEEITPKNNVHDPPCPQCLKSVILEGSTSFSKPLQISLGSATKNQDVNTSHSAETDMKQRGFLIKDHLKKRFSKDLFFSTKVFKRNALPSSSASVNHNTLGCIST >DRNTG_29033.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18292129:18295511:1 gene:DRNTG_29033 transcript:DRNTG_29033.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSIASPSPCSESSQRTSTCKHPIFFPSRNFSGRRSFISKPVYPLIFHNPISDYEANDVVSATSTDSKMPPVNSYSTLNLDLKFQNSLAELQQMEGSPEPGASSRREGFRLSSASSYYDFGSEPDAIDITESISIESLMPSNNLARYQKCGLCKRFLWQKSLCSSYKIVRNCDLPIAAVLPCDHIFHAECLEEITPKNNVHDPPCPQCLKSVILEGSTSFSKPLQISLGSATKNQDVNTSHSAETDMKQRGFLIKDHLKKRFSKDLFFSTKVFKRNALPSSSASVNHNTLGCIST >DRNTG_29033.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18292129:18295511:1 gene:DRNTG_29033 transcript:DRNTG_29033.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSIASPSPCSESSQRTSTCKHPIFFPSRNFSGRRSFISKPVYPLIFHNPISDYEANDVVSATSTDSKMPPVNSYSTLNLDLKFQNSLAELQQMEGSPEPGASSRREGFRLSSASSYYDFGSEPDAIDITESISIESLMPSNNLARYQKCGLCKRFLWQKSLCSSYKIVRNCDLPIAAVLPCDHIFHAECLEEITPKNNVHDPPCPQCLKSVILEGSTSFSKPLQISLGSATKNQDVNTSHSAETDMKQRGFLIKDHLKKRFSKDLFFSTKVFKRNALPSSSASVNHNTLGCIST >DRNTG_08408.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4833697:4835783:-1 gene:DRNTG_08408 transcript:DRNTG_08408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKACVIGGNGYLALAIIKQLLEKGYHVNATVRNPDDSAKVAYLKKIGEIGTLKLFQADMTVEGSFDDAVIGCDYVFQVAAPTNLMSEDPENDLIKPAIQGTLNILRSCLKAKTVKRVVHTSSACTVSVNQQKGTGYVLNEEAWSDIDYMLKEKPPTWGYIVAKTRAEKEAFKFAKENKIDLITINPTFIIGIPLNGEVPPPINLALCFFTGDKMWMDILKMTQMISGSVSAAHLEDTARAHIFLAENDKASGRYICNAVNTSAPELASFLNKQYPQYKVFNDVVDSPGPAKLSLSSEKLIQAGFEFKYKSLEEIIDSIFEYGKSKGFLSKKDSANDTRE >DRNTG_01477.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13566660:13570708:1 gene:DRNTG_01477 transcript:DRNTG_01477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTIEAIFSGDMCSKIRCFVWGLTKCLAQTASETFDTFDGSVGSDATKTTCFDGSVHPLTRYVKYLFGYIAGLLAERQKLGLLRKFCHFVASF >DRNTG_11211.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2349712:2350213:-1 gene:DRNTG_11211 transcript:DRNTG_11211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGNRVAQVETWWLWLVLIVIFLSCSAGHHRYVQTASTCILCCLSNKLHLEG >DRNTG_23053.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3372469:3375159:-1 gene:DRNTG_23053 transcript:DRNTG_23053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVALYITICCLAFIVSKIIISVLLYKRWTRKHRVMEDSMTGGKMVVFRSSATQSLTSKAFMKKTMKLTNKDIIGSGGYGTVYRLVLDHNTAFAVKKLNKGSSDRDRGFERELEAMGDIKHRNIVVLHGYCMTAQYNLLIYELMPNGSLDAMLHGKLSGENVLDWSTRYKIAVGAARGLSYLHHDCIPHIIHRDIKSSNILLDQNMEARVSDFGLATLLRPDRSHVSTIVAGTFGYLPPECFDTGKATTKGDVYSFGVVLLELLTGKRPTDESFIENGTKLVTWVKEVAVENREEYAIDSNLVSFPVDEVKTMFIIAEKCLEPDPCNRPTMSEVVKMLEQIKSDKSNTAS >DRNTG_23053.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3372469:3375159:-1 gene:DRNTG_23053 transcript:DRNTG_23053.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEARVSDFGLATLLRPDRSHVSTIVAGTFGYLPPECFDTGKATTKGDVYSFGVVLLELLTGKRPTDESFIENGTKLVTWVKEVAVENREEYAIDSNLVSFPVDEVKTMFIIAEKCLEPDPCNRPTMSEVVKMLEQIKSDKSNTAS >DRNTG_23053.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3372469:3375159:-1 gene:DRNTG_23053 transcript:DRNTG_23053.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFRSSATQSLTSKAFMKKTMKLTNKDIIGSGGYGTVYRLVLDHNTAFAVKKLNKGSSDRDRGFERELEAMGDIKHRNIVVLHGYCMTAQYNLLIYELMPNGSLDAMLHGKLSGENVLDWSTRYKIAVGAARGLSYLHHDCIPHIIHRDIKSSNILLDQNMEARVSDFGLATLLRPDRSHVSTIVAGTFGYLPPECFDTGKATTKGDVYSFGVVLLELLTGKRPTDESFIENGTKLVTWVKEVAVENREEYAIDSNLVSFPVDEVKTMFIIAEKCLEPDPCNRPTMSEVVKMLEQIKSDKSNTAS >DRNTG_23999.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001285.1:24787:30231:-1 gene:DRNTG_23999 transcript:DRNTG_23999.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosyl-DNA phosphodiesterase 1 [Source:Projected from Arabidopsis thaliana (AT5G15170) UniProtKB/Swiss-Prot;Acc:Q8H1D9] MLLIYPKGLRVVVHTANLIFVDWNNKTQGLWMQDFPWKDQNSGTGSPFENDLVDYLSMLKWPEFSVNLPNVGHININASFFRKFDYSNAAVRLIASVPGYHIGPNLKKWGHMKVRSILEEFVFDKEFHKSPLIYQFSSLGSLDEKWLSEFSFSMSSGVTHDKSPLGIGKPLIIWPTVEDVRCSLEGYAAGSCIPSPQKNVEKDVLNKYWAKWKATHVGRCRAMPHIKTYTRYNGQNLAWFLLTSANLSKAAWGALQKNNSQLMIRSYELGVLFLPTTVQRHGSVFSCTANGKPEQGERVPPKNGDTFKSKLVTLCWKENGRISSSTEAVQLPVPYQLPPQPYTHEDVPWSWDRRYTKKDVYGRVWP >DRNTG_23999.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001285.1:24787:33400:-1 gene:DRNTG_23999 transcript:DRNTG_23999.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosyl-DNA phosphodiesterase 1 [Source:Projected from Arabidopsis thaliana (AT5G15170) UniProtKB/Swiss-Prot;Acc:Q8H1D9] MAATPAKIGLLVPLRSDFVVDKSISEIPILEGVNVIGRNNLNVSDKRVSRKHVSLQASPDGSSELVVEGSNPLVLKSGNQRKKLYTGEKAALLHGDILELVPGSHCFKYELVCVDHASSSNPSSNFTFKGKRTSVDEALQIKKHRQADGTGAIAVDFQGEHQNISVMRSSSISSCSQSELATNLDSCNGLEVLRQFHFLEDIASLTFRLMQVKGLPSWVNSSSVTIKDVIQGDVLVAILSNYMVDIDWLLSACPAMKRIPHVLVVHGESGAAVEHIKKTKPPNWILHKPSLPISYGTHHSKAMLLIYPKGLRVVVHTANLIFVDWNNKTQGLWMQDFPWKDQNSGTGSPFENDLVDYLSMLKWPEFSVNLPNVGHININASFFRKFDYSNAAVRLIASVPGYHIGPNLKKWGHMKVRSILEEFVFDKEFHKSPLIYQFSSLGSLDEKWLSEFSFSMSSGVTHDKSPLGIGKPLIIWPTVEDVRCSLEGYAAGSCIPSPQKNVEKDVLNKYWAKWKATHVGRCRAMPHIKTYTRYNGQNLAWFLLTSANLSKAAWGALQKNNSQLMIRSYELGVLFLPTTVQRHGSVFSCTANGKPEQGERVPPKNGDTFKSKLVTLCWKENGRISSSTEAVQLPVPYQLPPQPYTHEDVPWSWDRRYTKKDVYGRVWP >DRNTG_23999.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001285.1:24787:33400:-1 gene:DRNTG_23999 transcript:DRNTG_23999.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosyl-DNA phosphodiesterase 1 [Source:Projected from Arabidopsis thaliana (AT5G15170) UniProtKB/Swiss-Prot;Acc:Q8H1D9] MLLIYPKGLRVVVHTANLIFVDWNNKTQGLWMQDFPWKDQNSGTGSPFENDLVDYLSMLKWPEFSVNLPNVGHININASFFRKFDYSNAAVRLIASVPGYHIGPNLKKWGHMKVRSILEEFVFDKEFHKSPLIYQFSSLGSLDEKWLSEFSFSMSSGVTHDKSPLGIGKPLIIWPTVEDVRCSLEGYAAGSCIPSPQKNVEKDVLNKYWAKWKATHVGRCRAMPHIKTYTRYNGQNLAWFLLTSANLSKAAWGALQKNNSQLMIRSYELGVLFLPTTVQRHGSVFSCTANGKPEQGERVPPKNGDTFKSKLVTLCWKENGRISSSTEAVQLPVPYQLPPQPYTHEDVPWSWDRRYTKKDVYGRVWP >DRNTG_23999.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001285.1:24787:27619:-1 gene:DRNTG_23999 transcript:DRNTG_23999.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosyl-DNA phosphodiesterase 1 [Source:Projected from Arabidopsis thaliana (AT5G15170) UniProtKB/Swiss-Prot;Acc:Q8H1D9] MSSGVTHDKSPLGIGKPLIIWPTVEDVRCSLEGYAAGSCIPSPQKNVEKDVLNKYWAKWKATHVGRCRAMPHIKTYTRYNGQNLAWFLLTSANLSKAAWGALQKNNSQLMIRSYELGVLFLPTTVQRHGSVFSCTANGKPEQGERVPPKNGDTFKSKLVTLCWKENGRISSSTEAVQLPVPYQLPPQPYTHEDVPWSWDRRYTKKDVYGRVWP >DRNTG_17542.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29060453:29063826:-1 gene:DRNTG_17542 transcript:DRNTG_17542.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGKWFGAVKRVFSPESKEKQIKKSKKKWGFGKSKSSDPSESLNNEAPDPVKDLEVKMMEDEQSKHVYSVAIASAKAAEAAVTAAHAAAEVVRLTNFTRLSQKSKEEVAAIKIQTTFRGYMARRALRALRGLVRLKSMIEGNSVKRQATTTLRCMQTLARVQSQIRSRRIRMTEENQALQRQLLLNREREFEKFRMGEEWDDSIQSKEQIEASLLSKQEATIRRERALAYAFSHQWKSSSRSMTQLFTDPNNPQWGWSWLERWMASRPWECRSTTDKEFSYEHSSVKSITSAYTRRDTISDQPSLSSQKSSRPTSHFSPSTPPSKASAITGKVKSANSKGAFALSEDDSRSMLSLRSERYRHYSVAGSSVRDDESLASSPAVPSYMAPTESARAKSRFHSPVTSDKNETPEKLSASSTKKRLSFPVAEKHGMSSPAGIRRYSGPPKVDIASVKGIAMQS >DRNTG_17542.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29060453:29063826:-1 gene:DRNTG_17542 transcript:DRNTG_17542.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCENKEKKRMGRKGKWFGAVKRVFSPESKEKQIKKSKKKWGFGKSKSSDPSESLNNEAPDPVKDLEVKMMEDEQSKHVYSVAIASAKAAEAAVTAAHAAAEVVRLTNFTRLSQKSKEEVAAIKIQTTFRGYMARRALRALRGLVRLKSMIEGNSVKRQATTTLRCMQTLARVQSQIRSRRIRMTEENQALQRQLLLNREREFEKFRMGEEWDDSIQSKEQIEASLLSKQEATIRRERALAYAFSHQWKSSSRSMTQLFTDPNNPQWGWSWLERWMASRPWECRSTTDKEFSYEHSSVKSITSAYTRRDTISDQPSLSSQKSSRPTSHFSPSTPPSKASAITGKVKSANSKGAFALSEDDSRSMLSLRSERYRHYSVAGSSVRDDESLASSPAVPSYMAPTESARAKSRFHSPVTSDKNETPEKLSASSTKKRLSFPVAEKHGMSSPAGIRRYSGPPKVDIASVKGIAMQS >DRNTG_17542.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29060453:29063826:-1 gene:DRNTG_17542 transcript:DRNTG_17542.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGKWFGAVKRVFSPESKEKQIKKSKKKWGFGKSKSSDPSESLNNEAPDPVKDLEVKMMEDEQSKHVYSVAIASAKAAEAAVTAAHAAAEVVRLTNFTRLSQKSKEEVAAIKIQTTFRGYMARRALRALRGLVRLKSMIEGNSVKRQATTTLRCMQTLARVQSQIRSRRIRMTEENQALQRQLLLNREREFEKFRMGEEWDDSIQSKEQIEASLLSKQEATIRRERALAYAFSHQWKSSSRSMTQLFTDPNNPQWGWSWLERWMASRPWECRSTTDKEFSYEHSSVKSITSAYTRRDTISDQPSLSSQKSSRPTSHFSPSTPPSKASAITGKVKSANSKGAFALSEDDSRSMLSLRSERYRHYSVAGSSVRDDESLASSPAVPSYMAPTESARAKSRFHSPVTSDKNETPEKLSASSTKKRLSFPVAEKHGMSSPAGIRRYSGPPKVDIASVKGIAMQS >DRNTG_17542.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29060453:29063826:-1 gene:DRNTG_17542 transcript:DRNTG_17542.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGKWFGAVKRVFSPESKEKQIKKSKKKWGFGKSKSSDPSESLNNEAPDPVKDLEVKMMEDEQSKHVYSVAIASAKAAEAAVTAAHAAAEVVRLTNFTRLSQKSKEEVAAIKIQTTFRGYMARRALRALRGLVRLKSMIEGNSVKRQATTTLRCMQTLARVQSQIRSRRIRMTEENQALQRQLLLNREREFEKFRMGEEWDDSIQSKEQIEASLLSKQEATIRRERALAYAFSHQWKSSSRSMTQLFTDPNNPQWGWSWLERWMASRPWECRSTTDKEFSYEHSSVKSITSAYTRRDTISDQPSLSSQKSSRPTSHFSPSTPPSKASAITGKVKSANSKGAFALSEDDSRSMLSLRSERYRHYSVAGSSVRDDESLASSPAVPSYMAPTESARAKSRFHSPVTSDKNETPEKLSASSTKKRLSFPVAEKHGMSSPAGIRRYSGPPKVDIASVKGIAMQS >DRNTG_11393.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14170024:14173378:-1 gene:DRNTG_11393 transcript:DRNTG_11393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITSLSKLKTLHLLVNNLTGPIPQSIGNLSSLTTLTMGRNTLSGSLPAGIGMIPQLQFLQIAENQLHGVIPPSIYNLSSLTFIALATNKLQGSLPPDMGQLLPNLSTLYLGDNQITGSIPASITNLSSLANLDLAYNNFTGPVPTDLGRLSNLVWFNLEGNQLGFRDRYGLDFITSLSNCTSLETLDIYNNNFESQLPISVANLSTRLSMLILAGNKIHGHIHEGITNLVSLTVLRLENNDLQGPLPITIGRLENLQLLSASSNKLSGQIPSSIGNLTQLIDLRLADNLLQGGIPSTLGNCKILQLFDLSENMLNGTIPPQVIGIPSLEIFFGVSGNSITGSLPAEVGKLQHLREIDLSENRISGEIPGALGDCQSLEYLHMQGNIFQGVIPISLNNLKAIQYLDLSRNKLSGRIPEYLGKLHSLAYLNLSSNNLEGLVPQSGVFEIASAISIQGNTNLCGGAGFLRLPACPNEISINKRSKKVKNG >DRNTG_25968.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22433138:22436648:1 gene:DRNTG_25968 transcript:DRNTG_25968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDVSKYAHSPVHKAVITRDYAALKRILDTLPSLAKPSEIRTEAASIAEEDKADAISAIIDRRDVPNRETPLHLAVKLADTTAAEMLMAAGADWSLQNEQGWSALQEAICTREETLAKIIVRHYQPLAWAKWCRRLPRVVAAMKRMRDFYMELTFHFESSVIPFISRIAPSDTYKIWKRGSNLRADMTLAGFDGLRIQRSDQSILFLGDGSEDGKVLPGSLCMISHKDKEVMNALDGAGAQASEAEIQQEVTAMSQANIFRPGIDVTQAVLFPQLTWRRQEKTEMVGSWKAKVYDMHHVVVSVKSRRVPGAPMDDDEFFAPGNENDTESEDYEDILTAEERRQLENALKMESSDMVEDGVADDYVAHRRSCYERREIPIEGVSSCTSSSGGGDVRSDKKGWFGNWGKRGQSQKPEEQKKMMPPRNSLCIDEKVSDLLGDSPAKIQKGRHSIEIPIKSEDFRKGRDKESKKSASSSENAHRRKDSGKESEYKKGLRPVLWLSPDFPLRTDELLPLLDILANKVKAIRRFERVAHNKASQLGLFLSRLQFR >DRNTG_25968.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22433138:22436256:1 gene:DRNTG_25968 transcript:DRNTG_25968.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDVSKYAHSPVHKAVITRDYAALKRILDTLPSLAKPSEIRTEAASIAEEDKADAISAIIDRRDVPNRETPLHLAVKLADTTAAEMLMAAGADWSLQNEQGWSALQEAICTREETLAKIIVRHYQPLAWAKWCRRLPRVVAAMKRMRDFYMELTFHFESSVIPFISRIAPSDTYKIWKRGSNLRADMTLAGFDGLRIQRSDQSILFLGDGSEDGKVLPGSLCMISHKDKEVMNALDGAGAQASEAEIQQEVTAMSQANIFRPGIDVTQAVLFPQLTWRRQEKTEMVGSWKAKVYDMHHVVVSVKSRRVPGAPMDDDEFFAPGNENDTESEDYEDILTAEERRQLENALKMESSDMVEDGVADDYVAHRRSCYERREIPIEGVSSCTSSSGGGDVRSDKKGWFGNWGKRGQSQKPEEQKKMMPPRNSLCIDEKVSDLLGDSPAKIQKGRHSIEIPIKSEDFRKGRDKESKKSASSSENAHRRKDSGKESEYKKGLRPVLWLSPDFPLRTDELLPLLDILANKVKAIRRFERVAHNKASQLGLFLSRLQFR >DRNTG_25968.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22433152:22436308:1 gene:DRNTG_25968 transcript:DRNTG_25968.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDVSKYAHSPVHKAVITRDYAALKRILDTLPSLAKPSEIRTEAASIAEEDKADAISAIIDRRDVPNRETPLHLAVKLADTTAAEMLMAAGADWSLQNEQGWSALQEAICTREETLAKIIVRHYQPLAWAKWCRRLPRVVAAMKRMRDFYMELTFHFESSVIPFISRIAPSDTYKIWKRGSNLRADMTLAGFDGLRIQRSDQSILFLGDGSEDGKVLPGSLCMISHKDKEVMNALDGAGAQASEAEIQQEVTAMSQANIFRPGIDVTQAVLFPQLTWRRQEKTEMVGSWKAKVYDMHHVVVSVKSRRVPGAPMDDDEFFAPGNENDTESEDYEDILTAEERRQLENALKMESSDMVEDGVADDYVAHRRSCYERREIPIEGVSSCTSSSGGGDVRSDKKGWFGNWGKRGQSQKPEEQKKMMPPRNSLCIDEKVSDLLGDSPAKIQKGRHSIEIPIKSEDFRKGRDKESKKSASSSENAHRRKDSGKESEYKKGLRPVLWLSPDFPLRTDELLPLLDILANKVKAIRRFERVAHNKASQLGLFLSRLQFR >DRNTG_25968.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22433152:22436256:1 gene:DRNTG_25968 transcript:DRNTG_25968.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDVSKYAHSPVHKAVITRDYAALKRILDTLPSLAKPSEIRTEAASIAEEDKADAISAIIDRRDVPNRETPLHLAVKLADTTAAEMLMAAGADWSLQNEQGWSALQEAICTREETLAKIIVRHYQPLAWAKWCRRLPRVVAAMKRMRDFYMELTFHFESSVIPFISRIAPSDTYKIWKRGSNLRADMTLAGFDGLRIQRSDQSILFLGDGSEDGKVLPGSLCMISHKDKEVMNALDGAGAQASEAEIQQEVTAMSQANIFRPGIDVTQAVLFPQLTWRRQEKTEMVGSWKAKVYDMHHVVVSVKSRRVPGAPMDDDEFFAPGNENDTESEDYEDILTAEERRQLENALKMESSDMVEDGVADDYVAHRRSCYERREIPIEGVSSCTSSSGGGDVRSDKKGWFGNWGKRGQSQKPEEQKKMMPPRNSLCIDEKVSDLLGDSPAKIQKGRHSIEIPIKSEDFRKGRDKESKKSASSSENAHRRKDSGKESEYKKGLRPVLWLSPDFPLRTDELLPLLDILANKVKAIRRFERVAHNKASQLGLFLSRLQFR >DRNTG_25968.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22433138:22436308:1 gene:DRNTG_25968 transcript:DRNTG_25968.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDVSKYAHSPVHKAVITRDYAALKRILDTLPSLAKPSEIRTEAASIAEEDKADAISAIIDRRDVPNRETPLHLAVKLADTTAAEMLMAAGADWSLQNEQGWSALQEAICTREETLAKIIVRHYQPLAWAKWCRRLPRVVAAMKRMRDFYMELTFHFESSVIPFISRIAPSDTYKIWKRGSNLRADMTLAGFDGLRIQRSDQSILFLGDGSEDGKVLPGSLCMISHKDKEVMNALDGAGAQASEAEIQQEVTAMSQANIFRPGIDVTQAVLFPQLTWRRQEKTEMVGSWKAKVYDMHHVVVSVKSRRVPGAPMDDDEFFAPGNENDTESEDYEDILTAEERRQLENALKMESSDMVEDGVADDYVAHRRSCYERREIPIEGVSSCTSSSGGGDVRSDKKGWFGNWGKRGQSQKPEEQKKMMPPRNSLCIDEKVSDLLGDSPAKIQKGRHSIEIPIKSEDFRKGRDKESKKSASSSENAHRRKDSGKESEYKKGLRPVLWLSPDFPLRTDELLPLLDILANKVKAIRRFERVAHNKASQLGLFLSRLQFR >DRNTG_25968.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22433152:22436648:1 gene:DRNTG_25968 transcript:DRNTG_25968.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDVSKYAHSPVHKAVITRDYAALKRILDTLPSLAKPSEIRTEAASIAEEDKADAISAIIDRRDVPNRETPLHLAVKLADTTAAEMLMAAGADWSLQNEQGWSALQEAICTREETLAKIIVRHYQPLAWAKWCRRLPRVVAAMKRMRDFYMELTFHFESSVIPFISRIAPSDTYKIWKRGSNLRADMTLAGFDGLRIQRSDQSILFLGDGSEDGKVLPGSLCMISHKDKEVMNALDGAGAQASEAEIQQEVTAMSQANIFRPGIDVTQAVLFPQLTWRRQEKTEMVGSWKAKVYDMHHVVVSVKSRRVPGAPMDDDEFFAPGNENDTESEDYEDILTAEERRQLENALKMESSDMVEDGVADDYVAHRRSCYERREIPIEGVSSCTSSSGGGDVRSDKKGWFGNWGKRGQSQKPEEQKKMMPPRNSLCIDEKVSDLLGDSPAKIQKGRHSIEIPIKSEDFRKGRDKESKKSASSSENAHRRKDSGKESEYKKGLRPVLWLSPDFPLRTDELLPLLDILANKVKAIRRFERVAHNKASQLGLFLSRLQFR >DRNTG_01734.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4108953:4112102:-1 gene:DRNTG_01734 transcript:DRNTG_01734.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial arginine transporter BAC2 [Source:Projected from Arabidopsis thaliana (AT1G79900) UniProtKB/Swiss-Prot;Acc:Q9CA93] MEFWPEFLASSWGMEFIAGGLGGVAGVIAGHPLDTLRIRLQQPPPPAYSSLRNQRPRPISAPALLRRIISSEGPAALYRGMAAPVASVAFQNAVVFQVYAVLSRAFDSNINEPPSYKSVALAGVGTGALQSMMLSPVELIKIRLQLQMAGNRHHPNKREGPLEIAKKILRKEGVRGIYRGLLITVLRDAPAHGVYFLSYERAREQLHPGCRKSGQESLMTMLVAGGLAGVASWVVCYPLDVMKSRLQAQSESSSEGIPPRYRGVIDCFRKSVEEEGYAVLWRGLGTAVSRAFLVNGAIFTAYELALRFLVNNTHQIRLEEN >DRNTG_01734.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4108922:4112068:-1 gene:DRNTG_01734 transcript:DRNTG_01734.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial arginine transporter BAC2 [Source:Projected from Arabidopsis thaliana (AT1G79900) UniProtKB/Swiss-Prot;Acc:Q9CA93] MEFWPEFLASSWGMEFIAGGLGGVAGVIAGHPLDTLRIRLQQPPPPAYSSLRNQRPRPISAPALLRRIISSEGPAALYRGMAAPVASVAFQNAVVFQVYAVLSRAFDSNINEPPSYKSVALAGVGTGALQSMMLSPVELIKIRLQLQMAGNRHHPNKREGPLEIAKKILRKEGVRGIYRGLLITVLRDAPAHGVYFLSYERAREQLHPGCRKSGQESLMTMLVAGGLAGVASWVVCYPLDVMKSRLQAQSESSSEGIPPRYRGVIDCFRKSVEEEGYAVLWRGLGTAVSRAFLVNGAIFTAYELALRFLVNNTHQIRLEEN >DRNTG_01734.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4108953:4112068:-1 gene:DRNTG_01734 transcript:DRNTG_01734.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial arginine transporter BAC2 [Source:Projected from Arabidopsis thaliana (AT1G79900) UniProtKB/Swiss-Prot;Acc:Q9CA93] MEFWPEFLASSWGMEFIAGGLGGVAGVIAGHPLDTLRIRLQQPPPPAYSSLRNQRPRPISAPALLRRIISSEGPAALYRGMAAPVASVAFQNAVVFQVYAVLSRAFDSNINEPPSYKSVALAGVGTGALQSMMLSPVELIKIRLQLQMAGNRHHPNKREGPLEIAKKILRKEGVRGIYRGLLITVLRDAPAHGVYFLSYERAREQLHPGCRKSGQESLMTMLVAGGLAGVASWVVCYPLDVMKSRLQAQSESSSEGIPPRYRGVIDCFRKSVEEEGYAVLWRGLGTAVSRAFLVNGAIFTAYELALRFLVNNTHQIRLEEN >DRNTG_01734.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4108922:4112102:-1 gene:DRNTG_01734 transcript:DRNTG_01734.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial arginine transporter BAC2 [Source:Projected from Arabidopsis thaliana (AT1G79900) UniProtKB/Swiss-Prot;Acc:Q9CA93] MEFWPEFLASSWGMEFIAGGLGGVAGVIAGHPLDTLRIRLQQPPPPAYSSLRNQRPRPISAPALLRRIISSEGPAALYRGMAAPVASVAFQNAVVFQVYAVLSRAFDSNINEPPSYKSVALAGVGTGALQSMMLSPVELIKIRLQLQMAGNRHHPNKREGPLEIAKKILRKEGVRGIYRGLLITVLRDAPAHGVYFLSYERAREQLHPGCRKSGQESLMTMLVAGGLAGVASWVVCYPLDVMKSRLQAQSESSSEGIPPRYRGVIDCFRKSVEEEGYAVLWRGLGTAVSRAFLVNGAIFTAYELALRFLVNNTHQIRLEEN >DRNTG_20066.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1547082:1555480:1 gene:DRNTG_20066 transcript:DRNTG_20066.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPEPESFNPRTTALVAIWPLTHFKRGHLCNYCSVSKLQRAPSSTKHAANSNTQTDQL >DRNTG_20066.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1547035:1555480:1 gene:DRNTG_20066 transcript:DRNTG_20066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPEPESFNPRTTALVAIWPLTHFKRGHLCNYCSVSKLQRAPSSTKHAANSNTQTDQL >DRNTG_20066.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1547082:1555480:1 gene:DRNTG_20066 transcript:DRNTG_20066.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPEPESFNPRTTALVAIWPLTHFKRGHLCNYCSVSKLQRAPSSTKHAANSNTQTDQL >DRNTG_20066.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1547082:1555480:1 gene:DRNTG_20066 transcript:DRNTG_20066.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYPEKSAIQEDPQVPSVTTALSANYKEPPLPPSTLQTQTPKLTNCRPALHMERLLLRLDSIKQTDQKPHSRDEIISGKN >DRNTG_19628.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001033.1:27331:29495:-1 gene:DRNTG_19628 transcript:DRNTG_19628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKRDKKKKTSPLASKLQRTLSIHYLECNDYVLSDELSSDSEASTVESNSCEDESCTSSGPATPMWEDFDGARQFEAYGIVSTISHAGHNQLNEIGNQFVENQVVLTEKLTQAKDAWIKLKSLKYDTKGQSADVAVYQSKDFSDMLDLFNTNKELFQQISQDPNSVFIHHLPEKHASSSEMALTKSGSFPGTALSGKKRSGLSRFKREGEGGDSGNSRESQADNSASVSSTNDNSLQHGAPRAHMQFGFLKPEHAAMNSSGTNSNLESLLASPRGFNNQMDAVAVSSRFKGLKQRIQDLIQETKKEQQRISMDGLLHKIPYGRKVSEKDERHNLWDGLAPEKAFSANSKHYSRGFRRSRSLTESLESYSRLLESVSFSEYDHKVSENVKGERHILGDGLVPEKPLSANSKHYSSSFRRSRSLTESLESYGRLLDSVSFRESTRLPEELGLVQEDPVMQNKKNPRTLGRILSNPEYGSYLQTKVLLTGDGAVNPVGSYVPKPIDSIIPEEECKETNMLSPHALASETIDGGLEEIATMNELDQNQKGISKDLVHVEEAGDGLVSVEHMLRANHGDIADGNSEKEMSVNALDQSSQPDAMDDLKGEQNSYSVINEQEVTIEEEPCNKQTKPSPISDLNSCIEEEPVCPAKYSAIEGKTPNISKVFILFFFLFWSYIISILLYKHSSPK >DRNTG_00642.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:12530175:12530619:1 gene:DRNTG_00642 transcript:DRNTG_00642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPMPRIFSLSSLPKLATLLVHTSSMRANGACEAHCHFAQKRSWCYSTQLQCFYYSFATVFLLQLRILNFQQTSRALMRAHGPREAHSRMPHNMNNDSTTVPL >DRNTG_09767.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8514222:8517009:-1 gene:DRNTG_09767 transcript:DRNTG_09767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQIEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVQRWLRELRDHADSNIVIMMAGNKSDLKHLRSVQEDDAQELAEKEGLSFLETSALEAYNIEKAFQTILTEIYHIISKKALAAQEAAATAPPILGTAINVGDSSGGFSRTSCCST >DRNTG_24721.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17870445:17874176:1 gene:DRNTG_24721 transcript:DRNTG_24721.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCISAPKQDDDDHHHHGATVTNVNPPGHPPLQPPTEETAPSETPDEGTMRFPQYNFAELSAATDEFSLQNVLSQLIGDEFPSFVYRGRLHGGQQIAVKRFCKDAWPDAEEFKEVATAVGRLRHRRLVKLIGYCCHEDERLLVAEFMPNDTLATRLFNLKFKTMEWSRRLRVACYIAEVLEYCNIEGQTLYHGLNSNKVVFDEAGNPCLSCFDLVKNSRDGRSYNINFDYMPAYMPPECLNGIDLLLLEIHLF >DRNTG_06735.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000296.1:59471:60474:1 gene:DRNTG_06735 transcript:DRNTG_06735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCFDQKSDLLFLLILGMGLGDALSGAERTVVPTPLAFDTIRMMWLVRRFGLGVYILCTATAETTRSEGDTAGGVQQIPAPSVAPGTRAYDRIEGLETDVRAIRTKVAELRAM >DRNTG_02839.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20018284:20019009:-1 gene:DRNTG_02839 transcript:DRNTG_02839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDIREEKERKREILNACFKQGKIQPQSPSRHTLEKRERERESDGW >DRNTG_02204.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1290909:1294779:-1 gene:DRNTG_02204 transcript:DRNTG_02204.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPDSDALFSGGGISFLTGNKKGWFSYGYSSFKGKRASMEDFFVTRISEVDGQMAAFFGVFDGHGGPRVAEYLKNNLFKNVSNHPEFVNDTKSAIAEAFEQTDVDYLNQDKGMLKDAGSTASTAVLLGNHIFVANVGDSRVVACRSGSAIPLSVDHKPDRSDERERIENAGGFIIWAGTWRVGGVLAVSRAFGDRLLKPYVVAEPEIQEEEIEGIEFLIIASDGLWNVVSNKDAVEIVQSISDAETASRKLIHEAYTRGSGDNITCIVVLFNNP >DRNTG_02204.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1290909:1294107:-1 gene:DRNTG_02204 transcript:DRNTG_02204.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFFVTRISEVDGQMAAFFGVFDGHGGPRVAEYLKNNLFKNVSNHPEFVNDTKSAIAEAFEQTDVDYLNQDKGMLKDAGSTASTAVLLGNHIFVANVGDSRVVACRSGSAIPLSVDHKPDRSDERERIENAGGFIIWAGTWRVGGVLAVSRAFGDRLLKPYVVAEPEIQEEEIEGIEFLIIASDGLWNVVSNKDAVEIVQSISDAETASRKLIHEAYTRGSGDNITCIVVLFNNP >DRNTG_12118.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7098391:7102458:-1 gene:DRNTG_12118 transcript:DRNTG_12118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNAITTASVLSSPRREGLRRGMAGWLPQRAVAGKSHRRFSVRATAKEIAFGQSSRTSLQAGVEKLADAVGVTLGPRGRNVVLDEFGNPRVVNDGVTIARAIELADPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSIKKGIDKTVQGLVEELEKKARPVEGRGDIKAVASISAGNDEFIGEMIADAIDKVGPDGVLSIESSSSFETTVDVEEGMEIDRGYISPQFVNNPEKLLVEFENARVLVTDQKISTIKEIIPLLEKTTQLRAPLLIIAEDVTGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAIVTGAEFQAKDLGLLIENTTVEELGTARKITISQSSTTMIADAATKDEIQARIAQIKKELAETDSIYDSEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAAYVHLSACVPAIKDKIEDNDEKLGADIVQKALVAPAALIAHNAGVEGEVVVEKIKDSDWEIGYNAMTDKYENLVESGVIDPAKVTRCALQNSASVAGMVLTTQAIVVEKPKPKAPVAAPAPGTLMV >DRNTG_10012.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3083757:3084703:-1 gene:DRNTG_10012 transcript:DRNTG_10012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAQAPTQAQVHSIGSPMETETKVYSATRPVAASSNSTQLLGFIIRILAVKLTFVATIVMGVAKQTVKVDNSDLGDYDGGYTWVTVKSTYNSSLVYFIVVNTLAFIYSTFSLALSIANRTNFTNLQVFISIADLIMLIFLFSTNGAAAAVAIILEKGDAHLGILKICGYVGTFCGHITASIVLSMIASLAYALLVLLAIVSLRNSFL >DRNTG_27389.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1243891:1245414:-1 gene:DRNTG_27389 transcript:DRNTG_27389.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTSSSSSSSSPAMESFGAFVVLSEDLVLKILAKLEADPRDWARISCVSSRFSTLVSNVCCRSKCTRSIPSVVSDLVPLSPSSPDPPGGWASLHKLSVCCPGLLHAGVLLEHSDFGLELEIGPDLPFLPRNKPQAPPLPSDPSSASSSWSLFDDLYLDTVYDVSESQIPPAPQPPADAVVQAPCRKRKRQLSGHVDSHLASGVWNLSREQGNKLLASRFRGDCLYICDWPGCLHVEEKRNYMLFRGVFKDFKRSRVWRTINDGNRSKIDLCCAFCACKETWDLHSAFCLRRVFGFHDDGEPVVRAYVCENGHVSGAWTERPMYT >DRNTG_27389.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1244154:1245414:-1 gene:DRNTG_27389 transcript:DRNTG_27389.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTSSSSSSSSPAMESFGAFVVLSEDLVLKILAKLEADPRDWARISCVSSRFSTLVSNVCCRSKCTRSIPSVVSDLVPLSPSSPDPPGGWASLHKLSVCCPGLLHAGVLLEHSDFGLELEIGPDLPFLPRNKPQAPPLPSDPSSASSSWSLFDDLYLDTVYDVSESQIPPAPQPPADAVVQAPCRKRKRQLSGHVDSHLASGVWNLSREQGNKLLASRFRGDCLYICDWPGCLHVEEKRNYMLFRGVFKDFKRSRVWRTINDGNRSKIDLCCAFCACKETWDLHSAFCLRRVFGFHDDGEPVVRAYVCENGHVSGAWTERPMYT >DRNTG_10240.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:193755:195565:-1 gene:DRNTG_10240 transcript:DRNTG_10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQPACGLHTIYDKEEPFPWTTTPRHPHPHPPPHLLLVFKLMRMLCNRREHSNVQILGESNRNLNRRTRVDSRVSKVEEDVINVVTVSFCGIARYNGEGRVLMFTAQTSWELVLAEICERWGLEVSLVRVKFITPDGYKTVCPIENDVDFQRMCHVHSIFKCAVVDLVVETDSVPLSNHNENEFFSL >DRNTG_01169.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29076208:29080368:-1 gene:DRNTG_01169 transcript:DRNTG_01169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMALSSPSLAGKAVKVAPTASEIFGEGRVSMRKTGGRPRPVSGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLVHAQSILAIWACQVILMGAVEGYRIAGGPLGEITDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >DRNTG_33521.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20560183:20562226:1 gene:DRNTG_33521 transcript:DRNTG_33521.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 7 [Source:Projected from Arabidopsis thaliana (AT5G53660) UniProtKB/Swiss-Prot;Acc:Q9FJB8] MASVPVPPDLLLPSSAKCFPEAPPHLLFYTNTNNEIGVGGGYYRFSAGGDPEPGRCRRTDGKKWRCSRDVEPGMKYCERHVHRGRPRSRKPVELLHKTTKLTTTTTTTPTKTTAPPPSTTQQLPVFKFPFQSMEPAAKDPRYVEPGSHEWELMHLKMELGSDSVLQHYEDQYSNLNCTTLNNHCFPFLSPDLSSLEDPPRSFIDAWSNENSVKTTTTSVSTVGKFPLSTLTLAMSGIAEETDQIEMGLSLSDIGIVKSQTSSWMGSGGPLAEVLHNNGCSSSSSRNSSGELMNLMGNGSSHEVSPEDSPVRPVSSPSGVLQKALVSLSDCSSSSNSGSSPTLATTSKQEMALQWLNQSK >DRNTG_33521.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20560183:20562226:1 gene:DRNTG_33521 transcript:DRNTG_33521.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 7 [Source:Projected from Arabidopsis thaliana (AT5G53660) UniProtKB/Swiss-Prot;Acc:Q9FJB8] MKLSNNNNNNRTRTITVGREGESLTNLHHPQKLMRSATHAHYLSSSLHAFHQTTTLGSQGVLRGFPFTSAQWQELQRQALIFKHFMASVPVPPDLLLPSSAKCFPEAPPHLLFYTNTNNEIGVGGGYYRFSAGGDPEPGRCRRTDGKKWRCSRDVEPGMKYCERHVHRGRPRSRKPVELLHKTTKLTTTTTTTPTKTTAPPPSTTQQLPVFKFPFQSMEPAAKDPRYVEPGSHEWELMHLKMELGSDSVLQHYEDQYSNLNCTTLNNHCFPFLSPDLSSLEDPPRSFIDAWSNENSVKTTTTSVSTVGKFPLSTLTLAMSGIAEETDQIEMGLSLSDIGIVKSQTSSWMGSGGPLAEVLHNNGCSSSSSRNSSGELMNLMGNGSSHEVSPEDSPVRPVSSPSGVLQKALVSLSDCSSSSNSGSSPTLATTSKQEMALQWLNQSK >DRNTG_00565.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30088559:30090444:-1 gene:DRNTG_00565 transcript:DRNTG_00565.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVIHNGGCHCRRVRWQAEAPSSVTAVTCNCSNCAMRGSTAFVVPKAKFKLLGESEQHLNTYTFGTHTAKHLFCNVCGITSFYIQREHPENPDCVAIVVSCVDSGTLKHVQIRHFDGKSWE >DRNTG_22629.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001246.1:27153:31099:1 gene:DRNTG_22629 transcript:DRNTG_22629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALTLAALLGHLLKPALAVAPIPQSETLRESLTDDEKVHPLEDHPLIQTPSRHSDRYLSSK >DRNTG_12411.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12335523:12341622:-1 gene:DRNTG_12411 transcript:DRNTG_12411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYADGELETMNAKNFNAQQSLQSMENKMGEYSKESVKLPPSFEALFENTTRNVEKINEIVVEAHIEVLEVEEANDVASIPFEQMVEVSPQREIEEGKGEDCIMAMKTCEEVEETQYRPHVKMLLSLT >DRNTG_29710.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001499.1:13939:24246:-1 gene:DRNTG_29710 transcript:DRNTG_29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAKCSLLNTKSLKIYCLSRVIYNWACREILAEDVIPILGIDSQREQVLRCFDWLLQTRRDRDSQLTRSSPPSTPSPKSPFVSLSLGSLGSSSRTANISELNPIRLSRGKPA >DRNTG_29710.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001499.1:13939:24246:-1 gene:DRNTG_29710 transcript:DRNTG_29710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAKCSLLNTKSLKIYCLSRVIYNWACREILAEDVIPILGIDSQREQVLRCFDWLLQTRRDRDSQLTRSSPPSTPSPKSPFVSLSLGSLGSSSRTANISELNPIRLSRGKPA >DRNTG_22118.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9068445:9068775:-1 gene:DRNTG_22118 transcript:DRNTG_22118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCLIAPTNVRRALVIILIISRNPLVICILLQ >DRNTG_09737.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1692548:1694858:-1 gene:DRNTG_09737 transcript:DRNTG_09737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRSTARIVWVLSNFIVFLAMTAFGILSVILMNEHHITGPNHNIIIIALVIFTILGFPLAILYSVPFAVIAQLAKNEELCIGVLNISIVIPEVIILLGAGPWDAIFGKGNTPAFAVAAAFAFVYAFIGFFMLPKLSKCDYIVVSEVVSTA >DRNTG_13536.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1911473:1915998:1 gene:DRNTG_13536 transcript:DRNTG_13536.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDKKVKVECLDGEEAWHLFMQHANEEIINSNVVIKKLARKVMERSRSLPLCPLKSLVEPCRIRRHPKSGATCLKLLIKVDIKTVTRYRRIIVSQLKGQL >DRNTG_16125.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14607007:14610814:-1 gene:DRNTG_16125 transcript:DRNTG_16125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSELEPIVISAKLDVVESYSCNIALEHTLEPKNGLGAREDGREPYRNTYGYKSHSLKLWSQAPLDNSYRHPYECKLC >DRNTG_27588.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21055226:21056314:1 gene:DRNTG_27588 transcript:DRNTG_27588.2 gene_biotype:protein_coding transcript_biotype:protein_coding PAIKNSKDGKAELLTNGHLLGEVGQP >DRNTG_27588.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21052754:21056314:1 gene:DRNTG_27588 transcript:DRNTG_27588.1 gene_biotype:protein_coding transcript_biotype:protein_coding TITRTQNLQQDKTTSTGSLTRSTPVYHS >DRNTG_27588.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21052754:21053109:1 gene:DRNTG_27588 transcript:DRNTG_27588.3 gene_biotype:protein_coding transcript_biotype:protein_coding TITRTQNLQQDKTTSTGSLTRSTPVYHS >DRNTG_16848.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000853.1:38489:40032:1 gene:DRNTG_16848 transcript:DRNTG_16848.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSSFLRKKEMPLSEPALAMAQAQAMSLQLHLPWP >DRNTG_27216.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:839440:845309:-1 gene:DRNTG_27216 transcript:DRNTG_27216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSLSLSFSLSLVSRSIGILSSRRAERGRAREGEVANPVVTLLLHKAPFPIFSPPSLLEFLIAIMNEKASVSKELNARHKKILEGLLKLPENRECADCKSKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNERSNSYWEAELPPNYDRVGIENFIRAKYEDKRWIPRDEKSRPPSRGREEKTFDYRQKPSERNGNSLSNKLESSEECRLPAHTAKKSITATKVSNQVSSESKAEINLPKAEPPQTSEIAPAKTLSPPSKADNSPAKADATPVPPVKVDTPPVPPPKVDYATDLFNMLTMDASPSETGSKSSSADDNAWAGFQSADATTANNSGTTTNPVERKNQATGVEDLFVASPPMSQTLAPTKSQATVKNDIMSLFEKTNIVSPYSVHQQQLAFLSQQQAAHMAAAKSSGSSLPTVPLPPNGSPPIQNWTNHGYQIPARAPMVGQNGVNNLSQVTQFGNIRAAYPYGSYGAFPTPPSMYPGMSNNGISNGVASRPSAPSVSPVRSGSDYDFSSLTQGMFSKP >DRNTG_20379.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:105837:108166:1 gene:DRNTG_20379 transcript:DRNTG_20379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKLDERVEKVKKGCEGLEEDDLLASVAAQSNITRDQILDLILSMLFAGHETSSAAISLAIFFLESSPKALQQLREEHMNIAKMKKEKGESGLTWDDYKQMEFTHCVINETLRLDNIVKFLHRKAIKDVQYKGYEIPCGWEVVPIISSAHLDPSIYDDPQSYNPWRWETISTATSKNNSIMSFSGGPRLCPGAELAKMEMAVFLHHLVQKFTWELAEHDYPVSFPFLGFPKHLPIKVHAIDHNHEA >DRNTG_20379.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:107710:108166:1 gene:DRNTG_20379 transcript:DRNTG_20379.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSGGPRLCPGAELAKMEMAVFLHHLVQKFTWELAEHDYPVSFPFLGFPKHLPIKVHAIDHNHEA >DRNTG_26509.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2304758:2308452:-1 gene:DRNTG_26509 transcript:DRNTG_26509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSRPQRGYGAGKILKSTDVIVGIIDTGIWPESKSFSDEGFGPPPEKWKGSCNKNFTCNNKIIGAKYYAREGISVNEPSPRDTEGHGSHTASTVAGVKVRNVSFYGIAKGKARGAMPGARLAIYKVCWPDQGCSDEDILAAFDDAIADGVDIISISIGEFTREYFSNSIAIGSFHAMKKGIVTSASAGNAGPFRESLSNMAPWMISVAASSIDRRIIDKVVVGNNKSFVGVSVNPFPTTKLLPFISPPSCYTGKPKAKGDIILCTGDDGGEAAMSIHAAGLVSIDSSYIDFGIQYPLPALDVIPEVGRQLNKYINSTRNPVAKILKSEEIPDPKAPVVVSFSSRGPSTITPDILKPDISAPGVNIIAAWSPKAKLTPIESDKRSVNYNIISGTSMACPHVAGVAAYIKYFYPSWSPAAILSALVTTATPMNPLYHPDAELDHGAGQLNPVNAVKPGLIYNASVADYIEMLCNMGYNITKLRIITGDKSTCNSSKTKNGTVRDLNYPSLALKAKEGMLVHASFPRTVTNVGLANSVYKAKIITSNSKLNVTVKPQALKFEALNQTLEFVVTVSGPAMKIGSVASASLVWFDGNYSVRSPIVVFV >DRNTG_34425.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21191886:21195766:1 gene:DRNTG_34425 transcript:DRNTG_34425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKTTSESDVSVHSTFASRYVRSSLPRYKMPESSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMAAINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGETETAIGVGTVGSSEAIMLAGLAFKRKWQNKRKAEGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLSDGYYVMDPKKAVELVDENTICVAAILGSTLNGEFEDVKLLNDLLTEKNKETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRSKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGFEGYSNIMENCKENAMVLKEGLEKTGRFNIVSKDNGVSLVAFSLKDRRLHDEFEVSEYLRRFGWIVPAYTMPPDAQHITVLRVVVREDFSRTLAERLVMDIEKVLRELDLLPSKFLKLNDGKNGHWTKKSELETQKSVTDAWKKFVLAKKMNGVC >DRNTG_19594.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001023.1:64401:66679:-1 gene:DRNTG_19594 transcript:DRNTG_19594.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLASSKLLKNPKESSFSRDEEKSLSFLLNSKQG >DRNTG_13209.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2609437:2610726:1 gene:DRNTG_13209 transcript:DRNTG_13209.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGYVIPRLVIVVFVQLVCSYSTLPLYAIVSHMGTSFKKSIFDENVQEGLAGWAKKAKRKKGHSTENTVSNRGGSTTNGGSTINGSPTSVMLHNMVSHHDPSFMEEGRQLEEEQRA >DRNTG_13209.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2608651:2610726:1 gene:DRNTG_13209 transcript:DRNTG_13209.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGFIMTHCKGNPKFNFHKYMVRALEADFKKVVGISWYLWIFVIVFMLLNVHGWHAYFWLSFVPLIVLLAVGTKLEQVISQLAQEVAEKHSAIEGDLVVSPSDDHFWFHRPQIILLLIHFILFQNAFEVAFFFWILTTFSFNSCIMGSIGYVIPRLVIVVFVQLVCSYSTLPLYAIVSHMGTSFKKSIFDENVQEGLAGWAKKAKRKKGHSTENTVSNRGGSTTNGGSTINGSPTSVMLHNMVSHHDPSFMEEGRQLEEEQRA >DRNTG_13209.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2607657:2610726:1 gene:DRNTG_13209 transcript:DRNTG_13209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGETEGLSLQNTPTWIVALVCSVIVIISLFVERLLHYLGKFLKKKNQKPLFDALLKVKEELMLLGFISLLLVVFQGALQKICVSGDILKHMLPCKRNTETVSEHFVVGGRRSLLSSGGADSDYCVKKGKFPLLSVEAIHDLHIFIFVLAITHVVFSVITMLLGSMKIRQWKKWEDSIQTNNTGSSGQGITHVHQLEFVRKRFRRFGVDWPILTWVHSFFKQFFGSLTKSDYETMRLGFIMTHCKGNPKFNFHKYMVRALEADFKKVVGISWYLWIFVIVFMLLNVHGWHAYFWLSFVPLIVLLAVGTKLEQVISQLAQEVAEKHSAIEGDLVVSPSDDHFWFHRPQIILLLIHFILFQNAFEVAFFFWILTTFSFNSCIMGSIGYVIPRLVIVVFVQLVCSYSTLPLYAIVSHMGTSFKKSIFDENVQEGLAGWAKKAKRKKGHSTENTVSNRGGSTTNGGSTINGSPTSVMLHNMVSHHDPSFMEEGRQLEEEQRA >DRNTG_20244.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20826570:20829098:1 gene:DRNTG_20244 transcript:DRNTG_20244.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable phospholipid hydroperoxide glutathione peroxidase 6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G11600) UniProtKB/Swiss-Prot;Acc:O48646] MLCSLRSFSLRFVPRFVSSSIPSLKSLLSASQIHPWVLSSSSRASPHCFSSHSYRQSFAFYSTSAPEMAQSSSSVHDFTVKDAKGNDVDLSTYKGKVLLIVNVASQCGFTNTNYTELSQLYEKYKDNGFEILAFPCNQFGGQEPGTNEEILQFACTRFKAEYPIFDKVDVNGNNAAPIYKFLKSSKGSIFGDSIKWNFSKFLVDKDGNVIDRYAPTTSPLSIEKDIKKLLGVA >DRNTG_32020.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18879899:18881514:-1 gene:DRNTG_32020 transcript:DRNTG_32020.1 gene_biotype:protein_coding transcript_biotype:protein_coding PYRIQIQSEYKPYTHRKGKYRDTDSADNTTP >DRNTG_04714.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29985338:29987211:-1 gene:DRNTG_04714 transcript:DRNTG_04714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTFSLATAAGVLTGLLPVMVLVTATFFFVLFYSQNPNEHGKDGGSGAGVGAGLPPGPAALPIVGSLLMMLWRKPHFRWVLRKAEGKDITCIRLGNVHVIVVNSPELAREFLKKNDAVFASRPKTMATEYSGRCFFSVVFTPWCDQWKKMRRVMVSQVLNHQQFQKMAKMRVEEADNLVQYIQHQSKAGEEINLRKTLRYYTGTIIRRMLFGCRHFGKGAKDGVPGPGEEELEHVEAAFTVLSLIYSFCASDFIPSLRILDIDGHEKTMKKAINVINKYHDPIIEKRVQQWRSNGGVNGEPENILDVFISLKDDEGKPLLRIEEIKAQSAELIFVTVDNPSNTVEWAMAEMLNQPDILWKAIDELDRVIGPHRLVEESDFPNLPYLRACAREALRLHPIAPFNVPHVSSIDTTVAGFFIPKGSQVLLSRVGLGRNPKIWEDSMRFNPDRHLNEKNVDLAEPELRFISFSTGRRGCIGGQLGT >DRNTG_23612.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001268.1:81649:82306:-1 gene:DRNTG_23612 transcript:DRNTG_23612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPLVVNKKRGRRTLLRRKEFGEEVRGFTNREVSKKGGHVRCGLCGEKGHNRRFHANQGNNESATAEMSQTPIERIESMQASHPHGSQDPLSQVISTPELHGRTMVEGDNRDKGKKIGH >DRNTG_24756.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2217140:2219651:1 gene:DRNTG_24756 transcript:DRNTG_24756.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISQLQDQVNAIAAIAVDIFGTLQKDAPPVRLSPNYPEPPANPSDENVNIPELTKTMSGALVQAAKKFDTLVSALPLSGGEEAQLKRIAELQAENEVVGLELQKQLEAADQELKQVQELFNQAADNCLNLKKPT >DRNTG_24965.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6556449:6557401:-1 gene:DRNTG_24965 transcript:DRNTG_24965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLVLRGTLKGHSDMVTAIATPIDNSDMIVSSSRDKSVLVWHLTKETGTGDPANTVYGVPRRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLATGVTTRRFVGHAKDVLSVAFSIDNRQIVSASRDRTIKLWNTLGECKYTIQDADSHTGWVSCVRFSPNAYQPTIVSGSWDRTVKIWNLTNCKLRSTLTGHGGYVNAVAVSPDGSLCASGGKDGVTLLWDLAEGKKLYSLEAGAIIHSLCFSPNRYWLCAATEVSVKIWDLESKSIVQDLKPEATTGKNQ >DRNTG_11932.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:943048:944680:1 gene:DRNTG_11932 transcript:DRNTG_11932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHDVVIIGAGIAGLATALALKKIGMQSIVLERSHDLRTTGAALTLSSNAWRALDALGVANKLASLYQAFQLGHVTNLINGTTQVMSFTGTIDSGDMGVRPLHRKVLLETLANELPPNTIKFSTNITSIKSQDQDITVLHLQDGSVIKTKALIGCDGVHSVVAQWLGLAAPVNSGRSAVRGLAVFPAGHGFKHEVQQFVGNGIRAGFVPINDTEIYWFITSCFTPREPEMARKPELILKEVTEHLAKDCPKNFHNVVQHSELSTVTWAPLMFRVPWNVLFGPAYGRAITVAGDAFHPMTPDLGQGGGAALEDAVVLGRCLASSPGNMSSGMERYVKERRWRVAGLITGAFLSGWVQQGGSGWSGWMVKLFRDHLFYRFVQPRIVNAVHFVCGVLPEKS >DRNTG_03665.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13645218:13646329:-1 gene:DRNTG_03665 transcript:DRNTG_03665.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLADELAFIGSPISDEDLCMYVINSLGPQYNAFVVAITTST >DRNTG_17993.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15716237:15717014:-1 gene:DRNTG_17993 transcript:DRNTG_17993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHLLDHSLYYDHKELEEMEQRIHRKPLNSHGDGLVESPLLVQGSLCPAKDTPRRIANTSQNPSRSSLSSWGNDGDQNPQIVLKSALNRAGIENPHNHVCAPVDFSHGRVDSLFYSFFG >DRNTG_03505.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16095060:16099925:1 gene:DRNTG_03505 transcript:DRNTG_03505.3 gene_biotype:protein_coding transcript_biotype:protein_coding RQSSLSNFIQSYRQGCLLRTFDHQWKSCFHASDTRWQGREQFSQRCYKK >DRNTG_03505.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16095060:16099925:1 gene:DRNTG_03505 transcript:DRNTG_03505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLYTISFRNMTLCRYQIGTHIESCCNEYVDRPLTDDHCKEPCDCHQSASCCDSLTNANVSSPPRDWLDMSSRANVPLADVD >DRNTG_03505.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16098422:16099925:1 gene:DRNTG_03505 transcript:DRNTG_03505.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLQAFEPPIEMNQGVNFDDHDGQGDTVEGNPLSATLSNPIDRDVCSEHLTISGSHVSMHLTLDGKEENNLVNDATRSNGKEGTHIESCCNEYVDRPLTDDHCKEPCDCHQSASCCDSLTNANVSSPPRDWLDMSSRANVPLADVD >DRNTG_03505.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16095060:16099925:1 gene:DRNTG_03505 transcript:DRNTG_03505.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEVMVKRPLTDDHCKEPCDCHQSASCCDSLTNANVSSPPRDWLDMSSRANVPLADVD >DRNTG_03505.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16095060:16099925:1 gene:DRNTG_03505 transcript:DRNTG_03505.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARRYSRQEEALEIKSLRRILSAYLNYSDAAEEDVRRYERSFAKLSPAHKDLLSHLPVKYKRIRWCISVNTFFIMSMLQAFEPPIEMNQGVNFDDHDGQGDTVEGNPLSATLSNPIDRDVCSEHLTISGSHVSMHLTLDGKEENNLVNDATRSNGKEGTHIESCCNEYVDRPLTDDHCKEPCDCHQSASCCDSLTNANVSSPPRDWLDMSSRANVPLADVD >DRNTG_03505.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16095060:16099431:1 gene:DRNTG_03505 transcript:DRNTG_03505.6 gene_biotype:protein_coding transcript_biotype:protein_coding RQSSLSNFIQSYRQGCLLRTFDHQWKSCFHASDTRWQGREQFSQRCYKK >DRNTG_03505.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16095060:16099431:1 gene:DRNTG_03505 transcript:DRNTG_03505.5 gene_biotype:protein_coding transcript_biotype:protein_coding RQSSLSNFIQSYRQGCLLRTFDHQWKSCFHASDTRWQGREQFSQRCYKK >DRNTG_22194.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1473948:1479377:1 gene:DRNTG_22194 transcript:DRNTG_22194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSNSCLKIITCGAGDSSDNEELAPSETKSSLDKRRWSFRKKSAGHRVLSNSVNSEPVSTAFNKETLETTPATFDSPIHLSIPEKTRAEDKQRETSPLPSAVFNSEEANTQVSDRNTPLDDKKQDSVAVVIQASVRRYLARKELVKLKNVVKLQSAIRGHLIRREAVETLRLSQAIVKFQALVRVRLACQLVQKSATKEFKKPGSALLGKGNRGKTHSSIQIILSNGFAQQLLESMPKTKTFHIKCDFSSSDSSWRWLERWMTVTSSSIHEHKLPLDNQEVLEEDTNPATRRLSNEVMATQVSAKPEVTDGDLMNNTFGSFEFKAPFSCSSSADKYEQDEDQFFNAIKSNHTKTEDIVVQESSFCFSDHKPLHSHENEISHPLRDVESAIEINTSEKHDDGREPSETEGKRFAFGSRRTCNPAFIAAQSKFEELSSAQSASRSIQSKSDSVHSQVNVSSIKTKDHTLLEISTADSRIQMASSECGTEISISSTLDSPDRSEAEGGEIVLEIGPVEKENYAANRSDGNMFNYEKNLNAEANKIDTNKY >DRNTG_09894.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29298181:29300167:1 gene:DRNTG_09894 transcript:DRNTG_09894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLDRVKNITGLVEFYGKNARLRELVNLVVVAGDHGKESKDLEEQAEMKKMYDLIAEHKLDGHIRWISAQMNRVRNGELYRYICDTRGAFVQVLATFASPIALLKSV >DRNTG_11027.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:813054:819157:-1 gene:DRNTG_11027 transcript:DRNTG_11027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLFLMVASLCLCHNSIAVDLHPLVLVPGSGGNQLEARLTSKYKPSTLICKLSALQTKSSWFRLWFSPSVIISPFTQCFAERMMLYYDPELDDYHNAPGVETRVPHFGSTEGLMYLDPHLKHITEYMATLVNSLEEVGYESGKNLFGAPYDFRYGLAAEGHPCHIGNQYLQDLKKLIESAFVSNGKKPVIIVSHSLGGLFVLQLLNRSPLSWRHKYIKHFIAVSVPWGGTVLQMLTFASGYTLGVPIVDPLLVRGEQRSSESNLWLMPSPKVFDRKPLVITEKKSYMASDIPEFLMDIGFGEGVCPYKTRIVPLYYSLKAPGVPVTCIVGTGVETPEMFVYGNEGFDVQPRIFYGDGDGSVNIESLLALESLWSGLEGQHMLKVINVSGVSHMSLVKEKVAVNEILAQVQSINSLLLTSFA >DRNTG_11027.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:817558:819157:-1 gene:DRNTG_11027 transcript:DRNTG_11027.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLFLMVASLCLCHNSIAVDLHPLVLVPGSGGNQLEARLTSKYKPSTLICKLSALQTKSSWFRLWFSPSVIISPFTQCFAERMMLYYDPELDDYHNAPGVETRVPHFGSTEGLMYLDPHLKHITEYMATLVNSLEEVGYESGKNLFGAPYDFRYGLAAEGHPCHIGNQYLQDLKKLIESAFVSNGKKPVIIVSHSLGGLFVLQLLNRSPLSWRHKYIKHFISVSAPWGGTVLQMLTFASGYTLGIPVVNPLVVREEQRSSESNLWLMPSPKVFAAKPLVITEKKSYMASDVPEFLMDIGFGEGVCPYKTRIEPLLDSLEAPGVPVTCIVGTGVETPEKLVYGNQGFDVQPGIVYGDGDGTVNLESLLALESLWSGLKGQQVLKVIKVSGVSHMSLVKDEVAVNEILAQVQSINSIH >DRNTG_11027.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:813054:814786:-1 gene:DRNTG_11027 transcript:DRNTG_11027.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLFLMLALLCLCRNSVAVDLHPVVLVPGRGGNQLEARLTPKYKSSTLICKLSALFTKGSWLRLWFSPSVIISPFTQCFVERMMLYYDPELDDYHNAPGVETRAPHFGSTEGLMHLDPHLKHITDYMATLVNSLEEMGYESGKNLFGAPYDFRYGLAAEGHPCHVGNQYLQDLKKLIESAFRFQ >DRNTG_09759.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10931403:10933231:-1 gene:DRNTG_09759 transcript:DRNTG_09759.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPRESHTTTVVADVKLVIFGASRESKANYLNDVHIVDLKSMTWSSPHVKGELPALRDTNTAVSMGNKLLIYGGDCGDHYHGEVDVLGMENMTWSRLVIQGSSHGVRAGHAAVTYGSKGSTHDDDV >DRNTG_09759.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10929180:10933231:-1 gene:DRNTG_09759 transcript:DRNTG_09759.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPRESHTTTVVADVKLVIFGASRESKANYLNDVHIVDLKSMTWSSPHVKGELPALRDTNTAVSMGNKLLIYGGDCGDHYHGEVDVLGMENMTWSRLVIQGSSHGVRAGHAAVTYGSKGSTHDDDV >DRNTG_09759.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10929180:10933231:-1 gene:DRNTG_09759 transcript:DRNTG_09759.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPRESHTTTVVADVKLVIFGASRESKANYLNDVHIVDLKSMTWSSPHVKGELPALRDTNTAVSMGNKLLIYGGDCGDHYHGEVDVLGMENMTWSRLVIQGSSHGVRAGHAAVTYGSKGSTHDDDV >DRNTG_09759.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10931403:10933231:-1 gene:DRNTG_09759 transcript:DRNTG_09759.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPRESHTTTVVADVKLVIFGASRESKANYLNDVHIVDLKSMTWSSPHVKGELPALRDTNTAVSMGNKLLIYGGDCGDHYHGEVDVLGMENMTWSRLVIQGSSHGVRAGHAAVTYGSKGSTHDDDV >DRNTG_09759.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10931403:10933231:-1 gene:DRNTG_09759 transcript:DRNTG_09759.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPRESHTTTVVADVKLVIFGASRESKANYLNDVHIVDLKSMTWSSPHVKGELPALRDTNTAVSMGNKLLIYGGDCGDHYHGEVDVLGMENMTWSRLVIQGSSHGVRAGHAAVTYGSKGSTHDDDV >DRNTG_09759.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10931403:10933231:-1 gene:DRNTG_09759 transcript:DRNTG_09759.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPRESHTTTVVADVKLVIFGASRESKANYLNDVHIVDLKSMTWSSPHVKGELPALRDTNTAVSMGNKLLIYGGDCGDHYHGEVDVLGMENMTWSRLVIQGSSHGVRAGHAAVTYGSKGSTHDDDV >DRNTG_13050.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23767343:23769012:-1 gene:DRNTG_13050 transcript:DRNTG_13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHKTWLSELRAKVSNNNNDVNKHEEVLGILSFEAAATMSNLVSLHKALSETELHHLRSDTMRAQGVSYLNSTDQSFLLRLACAEFMSDLDHAAEAISRLETKCHTPRTKSFIQVYSDLKTGNSDQEQLGPGSFCKKKAEKKVKKMEKYIVSTSKLCVEMEAMDVSSQKLNKQWNRYSGPIPNYNNELLVEMKSQQQKIQRLKEESLWNKTFDKVVRLMSASTFFIFARVCSVFGPFVPGLPPVVTLHGKSSSIWFAPVSKLRTRTRLASSGPIERRVPNEFPIRPNSCPIISSKEAEVSGKWSEMLEAPPNTVGWSCMALRYADVIVSAEKLLHVRSIDEPMTAKEQRCELAARDEFYRMLPKGLHGALRRKLREYRRDAGFCDRFMAEGWKDAVERILKWLGPMAHDTLRWQTERNMDRRQWFDPKPKALLLQTLHFSDKVKTEAAIVEVLVGLSCVCMYMNCPTEEAQHSY >DRNTG_24680.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19256825:19257355:1 gene:DRNTG_24680 transcript:DRNTG_24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNLVVFITITLVSHACHAYLGKEKVTKLHFFLHDTISGDHPTTVPVAHPNGTVITPDNLVPFGAVYVLDDPLTDGPDLNSTVVGHAQGFYASAGQKDLVLVVAFDFGFTSGEFNGSSISVFSRNPILESDREMPVVGGRGKFRMARGFAKLKTYFFNATSGNAVVEYNITVFHY >DRNTG_16428.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7581916:7598002:1 gene:DRNTG_16428 transcript:DRNTG_16428.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHGWLEQEEILKHGAVGGFVSHCGWNSVTEAAMHGVRMLAWPQGGGDQRVTAEVVRRSGLGIWVEEWSWGGHEGKLVKGEEITEKVKELMESPVVRSSAARVAAEAVKAVGEGGSSEKN >DRNTG_16428.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7596987:7598002:1 gene:DRNTG_16428 transcript:DRNTG_16428.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHGWLEQEEILKHGAVGGFVSHCGWNSVTEAAMHGVRMLAWPQGGGDQRVTAEVVRRSGLGIWVEEWSWGGHEGKLVKGEEITEKVKELMESPVVRSSAARVAAEAVKAVGEGGSSEKN >DRNTG_16428.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7564844:7583405:1 gene:DRNTG_16428 transcript:DRNTG_16428.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSGEQPRPHIAIIPSAGMGHLTPSCRLATTLAAQGCHVSLIVFHPMVSSAESKSMTNFFSSFPSIHPIHFNVVPLPGSGDPFFLQFEAIRQSPHLLLPLLTSSSSPPITSIIVDISLASTFLPAISPTGISTFIFFTASASMLALCAYFPTHIDIDQNKRSKDHVDVPGVGVIPMASIPPRLHNPSDLFTTQFVANGRALMQANGVLINTFEALEPETLTAFNNGVVLPGFPPVMAVGPVKQLPLMETSAALPWLDAQMERSVVYVSFGSRTGMSVEQIRELGVGLERSGAKFLWVIKTKMVDKEEAQVELKELLGEELVERIKEIGSMVVHGWVEQEEILKHGAVGGFVSHCGWNSVMEAALHGVPVLAWPQIGDQKVNAEVVRRSGLGIWVEEWSWGGQEGKVVKGEEIAERVKELMDSPVVCSSAARVAAEAVKAVGDGGSSEKSLAEFIAKLEGVKYMGLIN >DRNTG_20574.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8441179:8441493:1 gene:DRNTG_20574 transcript:DRNTG_20574.1 gene_biotype:protein_coding transcript_biotype:protein_coding KELSLQIKQQEGQKGNRNPESISKFQDPTSSSRSYYLYKEDQDPTAKATLTMREGRVSPVSPLFY >DRNTG_33986.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32015521:32022201:1 gene:DRNTG_33986 transcript:DRNTG_33986.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFVGVLVSDPWLQSQFTQVELRSLKSRYLSTRRETGHVTAGDLSSVMVKLKGLNEVLTEKEISAVVDESYSDYSHEIDFETFLRVYLNLQQCAAAKLGGAKNSSSFLKATTTTLLHTIDESEKSSYVAHINSYLRDDPFLKNYLPLDPASNDLFNLAKDGVLLCKLINVAVPGTIDERAINTKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLIEGRPHLVLGLISQIIKIQLLADLNLKKTPQLVELVEDNKDVEELLGLAPEKMLLKWMNFHLKKAGYKKTVMNFSSDVKDGEAYAYLLNVLAPEHCSPSTLDTKDPTERAKLVLDHAERMDCKRYLNPKDIVEGSPNLNLAFVAQIFHHRNGLSTDSKKISFAEMMPDDILVSREERAFRLWINSLGIVTYVNNVFEDVRNGWVLLEVLDKVSPGSVIWKQATKPPIKMPFRKVENCNQVIRIGKQLKFSLVNVAGNDIVQGNKKLILAFLWQLMRYNILQLLKNLRYHSQGREITDADILIWANKTVKSTGRTTQMESFKDKSLSSGIFFLELLSSVEPRVVNWNIVTKGESDEEKRLNATYIISVARKLGCSIFLLPEDIMEVNQKMILTLTASIMYWSLERQRAEDSEQSSEISVEDASSQKAPSSDGEDGSIAADSMSNLTVDDAASDTSSIAADSATASVENEGQKENILI >DRNTG_33986.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32015521:32022201:1 gene:DRNTG_33986 transcript:DRNTG_33986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFVGVLVSDPWLQSQFTQVELRSLKSRYLSTRRETGHVTAGDLSSVMVKLKGLNEVLTEKEISAVVDESYSDYSHEIDFETFLRVYLNLQQCAAAKLGGAKNSSSFLKATTTTLLHTIDESEKSSYVAHINSYLRDDPFLKNYLPLDPASNDLFNLAKDGVLLCKLINVAVPGTIDERAINTKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLIEGRPHLVLGLISQIIKIQLLADLNLKKTPQLVELVEDNKDVEELLGLAPEKMLLKWMNFHLKKAGYKKTVMNFSSDVKDGEAYAYLLNVLAPEHCSPSTLDTKDPTERAKLVLDHAERMDCKRYLNPKDIVEGSPNLNLAFVAQIFHHRNGLSTDSKKISFAEMMPDDILVSREERAFRLWINSLGIVTYVNNVFEDVRNGWVLLEVLDKVSPGSVIWKQATKPPIKMPFRKVENCNQVIRIGKQLKFSLVNVAGNDIVQGNKKLILAFLWQLMRYNILQLLKNLRYHSQGREITDADILIWANKTVKSTGRTTQMESFKDKSLSSGIFFLELLSSVEPRVVNWNIVTKGESDEEKRLNATYIISVARKLGCSIFLLPEDIMEVNQKMILTLTASIMYWSLERQRAEDSEQSSEISVEDASSQKAPSSDGEDGSIAADSMSNLTVDDAASDTSSIAADSATASVENEGQKENILI >DRNTG_33986.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32015874:32022201:1 gene:DRNTG_33986 transcript:DRNTG_33986.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFVGVLVSDPWLQSQFTQVELRSLKSRYLSTRRETGHVTAGDLSSVMVKLKGLNEVLTEKEISAVVDESYSDYSHEIDFETFLRVYLNLQQCAAAKLGGAKNSSSFLKATTTTLLHTIDESEKSSYVAHINSYLRDDPFLKNYLPLDPASNDLFNLAKDGVLLCKLINVAVPGTIDERAINTKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLIEGRPHLVLGLISQIIKIQLLADLNLKKTPQLVELVEDNKDVEELLGLAPEKMLLKWMNFHLKKAGYKKTVMNFSSDVKDGEAYAYLLNVLAPEHCSPSTLDTKDPTERAKLVLDHAERMDCKRYLNPKDIVEGSPNLNLAFVAQIFHHRNGLSTDSKKISFAEMMPDDILVSREERAFRLWINSLGIVTYVNNVFEDVRNGWVLLEVLDKVSPGSVIWKQATKPPIKMPFRKVENCNQVIRIGKQLKFSLVNVAGNDIVQGNKKLILAFLWQLMRYNILQLLKNLRYHSQGREITDADILIWANKTVKSTGRTTQMESFKDKSLSSGIFFLELLSSVEPRVVNWNIVTKGESDEEKRLNATYIISVARKLGCSIFLLPEDIMEVNQKMILTLTASIMYWSLERQRAEDSEQSSEISVEDASSQKAPSSDGEDGSIAADSMSNLTVDDAASDTSSIAADSATASVENEGQKENILI >DRNTG_33986.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32015874:32022201:1 gene:DRNTG_33986 transcript:DRNTG_33986.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTCHMHGDNIPMLDVFVFLLLSKLINVAVPGTIDERAINTKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLIEGRPHLVLGLISQIIKIQLLADLNLKKTPQLVELVEDNKDVEELLGLAPEKMLLKWMNFHLKKAGYKKTVMNFSSDVKDGEAYAYLLNVLAPEHCSPSTLDTKDPTERAKLVLDHAERMDCKRYLNPKDIVEGSPNLNLAFVAQIFHHRNGLSTDSKKISFAEMMPDDILVSREERAFRLWINSLGIVTYVNNVFEDVRNGWVLLEVLDKVSPGSVIWKQATKPPIKMPFRKVENCNQVIRIGKQLKFSLVNVAGNDIVQGNKKLILAFLWQLMRYNILQLLKNLRYHSQGREITDADILIWANKTVKSTGRTTQMESFKDKSLSSGIFFLELLSSVEPRVVNWNIVTKGESDEEKRLNATYIISVARKLGCSIFLLPEDIMEVNQKMILTLTASIMYWSLERQRAEDSEQSSEISVEDASSQKAPSSDGEDGSIAADSMSNLTVDDAASDTSSIAADSATASVENEGQKENILI >DRNTG_01510.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:13944552:13945493:1 gene:DRNTG_01510 transcript:DRNTG_01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRFTPLHSQLSPSGRSSLSPFTLPRSVPTKALPLTFFRTAHDVGAIESLQSPS >DRNTG_03432.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3203615:3204028:-1 gene:DRNTG_03432 transcript:DRNTG_03432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLLKVPSLKRMISSPRADKPSDFNECSTSYVAEKGHFFVYTSEGKRFMVPLAYLTNNIFKELLRISEEEFGLPCDGPITLPCDAASMEYVLSLLRRGVSKEIEMQLLSSIFFSCQSACSMLAVEKPQQLAVCSF >DRNTG_05063.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3696387:3700726:-1 gene:DRNTG_05063 transcript:DRNTG_05063.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLRDGTPHHGTVTSMEPNRGTFVLHSELEKKDKIKPIHLVDVPGHSRLRPILDDFLAQVAGIIFVVDAVEFLPKCRATADNKLRASRTAVSSAYVTNEYTLGATVGGFFILPMP >DRNTG_27135.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19064910:19068932:-1 gene:DRNTG_27135 transcript:DRNTG_27135.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVSSVVAVNMIERAHQMYRQGQHDEALELYTEALAMAKSKAQKIALHSNRAACYLKLHDFKKAAEECTAVLELDVEHTGALMLRAQTLVTLKEYQSAMFDVNRLMELNPSSDVYRNLQARLRTQLSLAPIPESEEEEHHLEEDEEEEEEEEVEVPEIEEKKEIISEKEDRKIDPPRVQVEAPSTKNQNIGAVDATQDVIPKIKGWEAIPKPKGHSGLDYSRWDRVEDDSSEEDDDEEEEQKPQYRFRLKTVGVRPVK >DRNTG_27135.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19064836:19068932:-1 gene:DRNTG_27135 transcript:DRNTG_27135.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVSSVVAVNMIERAHQMYRQGQHDEALELYTEALAMAKSKAQKIALHSNRAACYLKLHDFKKAAEECTAVLELDVEHTGALMLRAQTLVTLKEYQSAMFDVNRLMELNPSSDVYRNLQARLRTQLSLAPIPESEEEEHHLEEDEEEEEEEEVEVPEIEEKKEIISEKEDRKIDPPRVQVEAPSTKNQNIGAVDATQDVIPKIKGWEAIPKPKGHSGLDYSRWDRVEDDSSEEDDDEEEEQKPQYRFRLKTVGVRPVK >DRNTG_27135.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19064701:19068932:-1 gene:DRNTG_27135 transcript:DRNTG_27135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVSSVVAVNMIERAHQMYRQGQHDEALELYTEALAMAKSKAQKIALHSNRAACYLKLHDFKKAAEECTAVLELDVEHTGALMLRAQTLVTLKEYQSAMFDVNRLMELNPSSDVYRNLQARLRTQLSLAPIPESEEEEHHLEEDEEEEEEEEVEVPEIEEKKEIISEKEDRKIDPPRVQVEAPSTKNQNIGAVDATQDVIPKIKGWEAIPKPKGHSGLDYSRWDRVEDDSSEEDDDEEEEQKPQYRFRLKTVGVRPVK >DRNTG_27135.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19064836:19068957:-1 gene:DRNTG_27135 transcript:DRNTG_27135.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVSSVVAVNMIERAHQMYRQGQHDEALELYTEALAMAKSKAQKIALHSNRAACYLKLHDFKKAAEECTAVLELDVEHTGALMLRAQTLVTLKEYQSAMFDVNRLMELNPSSDVYRNLQARLRTQLSLAPIPESEEEEHHLEEDEEEEEEEEVEVPEIEEKKEIISEKEDRKIDPPRVQVEAPSTKNQNIGAVDATQDVIPKIKGWEAIPKPKGHSGLDYSRWDRVEDDSSEEDDDEEEEQKPQYRFRLKTVGVRPVK >DRNTG_22243.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1220754:1221771:-1 gene:DRNTG_22243 transcript:DRNTG_22243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDYAFEAGAMVLADRGLCCIDEFDKMSAEHQALLEAMEQQCVSVAKAGLVASLSARTSVLAAANPVGGHYKYL >DRNTG_10379.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15628693:15631874:-1 gene:DRNTG_10379 transcript:DRNTG_10379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSFLRKKVGRGASVEAHPIPDEGILGRRVEDFPQDHRHDHRGVGYTNLLWKNGEQHWEAPQEECQRGEILGENALQLQRVLANFIEASDVCVQNMETTRRCHEASYKNLEHQLGGILDTLSKEQQVFEQASQVPCREDVVVNDNGEVGWNEYDVVEIEKIQEEPFIQYDDCLSGQYVWELPWRRILYRMRESFDDE >DRNTG_03707.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000173.1:7857:10359:-1 gene:DRNTG_03707 transcript:DRNTG_03707.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVKLCLSPFCSFMQSPVVRQDMSHVFSTKEKIDDLKNAMEQLLAKRNDIQRELHDSQHKGKLLRDELRLWLRNVGEKENKVERLLDEYRKGNCVAGSCSLNCFSRYKISIDAIKLEKEINQLITKQPEIKFTNIPPPKPVPESYKIVGEKIRSNVDIARSYLADERVGIIGIWGMGGVGKTTLLKKIRQSLSGDANMGFNHVLFIKASKDIQLKELRKKISESLQLQRDDKEDILNVLKISNFVLLLDNIWEEVDLIDLGIPHPYSDKNSTKQYKHKVIFTTRSEDVCAKMGAGENTIKVECLESDEAWDLFKNNVNLAVIESDEKFKEIAWQVMEKCGGLPLALKVVGKALSNKKSVQDWKFILNSIKNSGTEVVQGVQESLLPILKFSYDNLTNDFKECFLSICMLRGQHKQDIVEFLMGLGLIRDFDNLQEAYGTGENILKILEESCLLYSSDHGYVRLHDVIYEMAMWIATDCGRNMNKWIVKRHDRFAVELASINAENWRFANRVIIEDKVELLPILSHQCSDLLCLMINSNSCFKNIPEGFFKQMPNLTYLGLKGTHIKNLPKDIKCLVNLQYLNISYTKISSLPKELVYLKKLQYLICKYTNNLGKVEDGLLSRLQNLKVIDIYPYGWVEPEELKLLKKHNSIKAIGMRVVSEEVLQQLSCL >DRNTG_03707.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000173.1:7844:10294:-1 gene:DRNTG_03707 transcript:DRNTG_03707.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVKLCLSPFCSFMQSPVVRQDMSHVFSTKEKIDDLKNAMEQLLAKRNDIQRELHDSQHKGKLLRDELRLWLRNVGEKENKVERLLDEYRKGNCVAGSCSLNCFSRYKISIDAIKLEKEINQLITKQPEIKFTNIPPPKPVPESYKIVGEKIRSNVDIARSYLADERVGIIGIWGMGGVGKTTLLKKIRQSLSGDANMGFNHVLFIKASKDIQLKELRKKISESLQLQRDDKEDILNVLKISNFVLLLDNIWEEVDLIDLGIPHPYSDKNSTKQYKHKVIFTTRSEDVCAKMGAGENTIKVECLESDEAWDLFKNNVNLAVIESDEKFKEIAWQVMEKCGGLPLALKVVGKALSNKKSVQDWKFILNSIKNSGTEVVQGVQESLLPILKFSYDNLTNDFKECFLSICMLRGQHKQDIVEFLMGLGLIRDFDNLQEAYGTGENILKILEESCLLYSSDHGYVRLHDVIYEMAMWIATDCGRNMNKWIVKRHDRFAVELASINAENWRFANRVIIEDKVELLPILSHQCSDLLCLMINSNSCFKNIPEGFFKQMPNLTYLGLKGTHIKNLPKDIKCLVNLQYLNISYTKISSLPKELVYLKKLQYLICKYTNNLGKVEDGLLSRLQNLKVIDIYPYGWVEPEELKLLKKHNSIKAIGMRVVSEEVLQQLSCLPTTW >DRNTG_03707.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000173.1:5278:10294:-1 gene:DRNTG_03707 transcript:DRNTG_03707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVKLCLSPFCSFMQSPVVRQDMSHVFSTKEKIDDLKNAMEQLLAKRNDIQRELHDSQHKGKLLRDELRLWLRNVGEKENKVERLLDEYRKG >DRNTG_03707.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000173.1:7857:10294:-1 gene:DRNTG_03707 transcript:DRNTG_03707.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVKLCLSPFCSFMQSPVVRQDMSHVFSTKEKIDDLKNAMEQLLAKRNDIQRELHDSQHKGKLLRDELRLWLRNVGEKENKVERLLDEYRKGNCVAGSCSLNCFSRYKISIDAIKLEKEINQLITKQPEIKFTNIPPPKPVPESYKIVGEKIRSNVDIARSYLADERVGIIGIWGMGGVGKTTLLKKIRQSLSGDANMGFNHVLFIKASKDIQLKELRKKISESLQLQRDDKEDILNVLKISNFVLLLDNIWEEVDLIDLGIPHPYSDKNSTKQYKHKVIFTTRSEDVCAKMGAGENTIKVECLESDEAWDLFKNNVNLAVIESDEKFKEIAWQVMEKCGGLPLALKVVGKALSNKKSVQDWKFILNSIKNSGTEVVQGVQESLLPILKFSYDNLTNDFKECFLSICMLRGQHKQDIVEFLMGLGLIRDFDNLQEAYGTGENILKILEESCLLYSSDHGYVRLHDVIYEMAMWIATDCGRNMNKWIVKRHDRFAVELASINAENWRFANRVIIEDKVELLPILSHQCSDLLCLMINSNSCFKNIPEGFFKQMPNLTYLGLKGTHIKNLPKDIKCLVNLQYLNISYTKISSLPKELVYLKKLQYLICKYTNNLGKVEDGLLSRLQNLKVIDIYPYGWVEPEELKLLKKHNSIKAIGMRVVSEEVLQQLSCL >DRNTG_30677.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14484721:14486341:1 gene:DRNTG_30677 transcript:DRNTG_30677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTTLSWTPRLINEFGFRQHLKKKKNQKKHSKPKAK >DRNTG_08811.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28081447:28088504:-1 gene:DRNTG_08811 transcript:DRNTG_08811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFVKTLKGSNFEIDVSPDDKVADVKKNIETSQGQNVYPSEQQMLIHQGKVLKDDTTLQDNKVQENSFIVVMLSKPKGSSSGTSSTNTVPKSQVQTPAPAPGPAPTPSPAPSLAPASAPVSAPVPAPSVTLAREPATVPPPTAAAAAGPAPAAVVTAPAEGDVYGQAASNFVAGSNLEAAIQGILDMGGGTWDRDTVIRALRAAYNNPERAVDYLCSGIPPQAEVPPVAPAPASGQEVNPSVQAPQPAQPAVAPSGPNANPLDLFPQGLPNAGMNTGVGSLDFLRNIPQFRTLQAMVQANPQILQPMLQELGKQNPQIIRHIQEHQAEFLRLINEPTEGADSNLLSQLAAAMPQTVTVTPEERDAIERLVALGFERPLVLEAYFACNKNEEQAANYLLDRMHEFDD >DRNTG_28353.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6889065:6889706:-1 gene:DRNTG_28353 transcript:DRNTG_28353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRRFAVITGFSDSSEPFQKFLLYYLVVYSFMKLHDREGVNTVGLDDASRQLGGGAEIGFSGISDTLQELKEALREMPGSAVELASLELEVCDD >DRNTG_13107.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11179709:11183011:1 gene:DRNTG_13107 transcript:DRNTG_13107.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISIDLRKSPQDKEETQYSNFGDEELSRLANQVRDYLDVDIGDKWRNIIKDISKLELTPILKIELNELPAHLEKKVGRGASIEAYPIPDEGILGRRVEDFPQDHRHDY >DRNTG_07115.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22225334:22228087:-1 gene:DRNTG_07115 transcript:DRNTG_07115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVSNGDGDSGRTTTHLYLNVYDLTTINNYLYWFGLGIFHSGIEAFGMEYAFGAHDYPTSGVFEVEPKSCPGFIYRRTVWLGSTNMSRSEFRLFVEDLAVNYHGDTYHLISKNCNHFTDDVCRRLLGKPIPGWVNRLARLGSFCNCLFPETIPVTSLDHFSNHPENSDDGSQSVVSLAMEDSDEEGSEHHLLKLPSIDQGYSEDKPLRLARDML >DRNTG_31972.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:644250:645724:1 gene:DRNTG_31972 transcript:DRNTG_31972.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVQKEDLGLSLSLNCSSSTSSSSSSSSFVATASPNINHDRYPLQLSLMPMPICPIPIPMSHSPFFIDNKLQHHHHHHHPQYHWPELVSPSERLREIDVNRAPAGERERERERESEEEAGVSSPNNSTLSSVSGKQLDLVPHGSGGSEELDIERACSRASDEEDGEGSRKKLRLSKDQSVILEESFKEHNTLNPKQKVALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCENLTEENRRLQKEVAELRALKLSPHFYMHMTPPTTLTMCPSCERVQVPHPTSTTTTTTTNTTINNTNQSLSSSPWAPIPLRPSFSHAGMK >DRNTG_17317.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31924289:31929157:1 gene:DRNTG_17317 transcript:DRNTG_17317.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLDKLQETLSSIFGAHSDHENHEAMEDKEDVEKNFEKILKLINSGQYNNDSSDNDAGKSELVSLINDFHKRYQSLHGHYDHMIGKLKKHIRHKKGSNGSISLKFGSSESESSSSESEESLSRKEKSNRKHGEPEVSVGDYEALLRQHDTLKIRNDELENEAALVRVKLEEGESLAVQLAEKDKMLLEQENEIQTIRENVKVLQDENEGLKQELETVSKYDVEVDQKLKSIQNENEALISEKTVTLSRLHDEEKLIEQMKQEMSRLESENDCLKQDLEKAAQDVANLNKQLNTVNEEMDSLRGENSMNLSKIQELENGFSDAQAELKSTVENLSSQNVNFLSENVELKNKMELTDQQVADLSQKLAISVEENAAVTSELLRLSSKLEEVERNIKELTNDCELLKEENSKLQVINKSLDQQIQAKNEENSILTLEAQEKVGLLMTEVEKLKSDKSQLFGDLENLRLELTATKLEASEAKNVIDIAEDEKALLSSEKMTLLTQIQQLEKNLEDINDENEKLRADKSQLQIKINDLGLELEVARIQVDDMTKNLVAAEEERTALKSEITMVMCKLEQSEVDGKQLQDKFEKLKEEESNMLQQNQIAENVIEKLKTEIEQLRADNSELHVKVKDLMLQLEVADRESTNLKELLGAVEEEKQSLASEIVVLKGKLEQVEYKVNEIDQELELVKEENGKLLNVQKDLQDQNHELEVKLEEVKKDASDRFLQMEQDFLLQKSTVEDELSAKISNQQSLLNDQDDRFNKLLEDYKQLEIRYQESCSELQSAKEKIEEISLKEETIQLLETVDKEKQEKISKLHQSCKELTEQLKQSESEKADFDREITKLQGQIQTLEVQLRLVNQKLKVTETESKDKEEERKKIVEEIRVERRELEERVFALTKRSAIYENELKKMYEAARVGIAVLGDLLNKLESTFKENHRQLKMQLDECTEELHTAKASVAAVVFEKQELQVRVKYKEGIIALLKDEAGIAGAKMAELEKDKEELMRNAVKTEKKNVELEKKLKEKEESVLVVNEEKREAIRQLCILIEYHRENCNQLLKYISANRKNKR >DRNTG_17317.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31925135:31929157:1 gene:DRNTG_17317 transcript:DRNTG_17317.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLDKLQETLSSIFGAHSDHENHEAMEDKEDVEKNFEKILKLINSGQYNNDSSDNDAGKSELVSLINDFHKRYQSLHGHYDHMIGKLKKHIRHKKGSNGSISLKFGSSESESSSSESEESLSRKEKSNRKHGEPEVSVGDYEALLRQHDTLKIRNDELENEAALVRVKLEEGESLAVQLAEKDKMLLEQENEIQTIRENVKVLQDENEGLKQELETVSKYDVEVDQKLKSIQNENEALISEKTVTLSRLHDEEKLIEQMKQEMSRLESENDCLKQDLEKAAQDVANLNKQLNTVNEEMDSLRGENSMNLSKIQELENGFSDAQAELKSTVENLSSQNVNFLSENVELKNKMELTDQQVADLSQKLAISVEENAAVTSELLRLSSKLEEVERNIKELTNDCELLKEENSKLQVINKSLDQQIQAKNEENSILTLEAQEKVGLLMTEVEKLKSDKSQLFGDLENLRLELTATKLEASEAKNVIDIAEDEKALLSSEKMTLLTQIQQLEKNLEDINDENEKLRADKSQLQIKINDLGLELEVARIQVDDMTKNLVAAEEERTALKSEITMVMCKLEQSEVDGKQLQDKFEKLKEEESNMLQQNQIAENVIEKLKTEIEQLRADNSELHVKVKDLMLQLEVADRESTNLKELLGAVEEEKQSLASEIVVLKGKLEQVEYKVNEIDQELELVKEENGKLLNVQKDLQDQNHELEVKLEEVKKDASDRFLQMEQDFLLQKSTVEDELSAKISNQQSLLNDQDDRFNKLLEDYKQLEIRYQESCSELQSAKEKIEEISLKEETIQLLETVDKEKQEKISKLHQSCKELTEQLKQSESEKADFDREITKLQGQIQTLEVQLRLVNQKLKVTETESKDKEEERKKIVEEIRVERRELEERVFALTKRSAIYENELKKMYEAARVGIAVLGDLLNKLESTFKENHRQLKMQLDECTEELHTAKASVAAVVFEKQELQVRVKYKEGIIALLKDEAGIAGAKMAELEKDKEELMRNAVKTEKKNVELEKKLKEKEESVLVVNEEKREAIRQLCILIEYHRENCNQLLKYISANRKNKR >DRNTG_17317.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31923720:31929157:1 gene:DRNTG_17317 transcript:DRNTG_17317.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKLKKHIRHKKGSNGSISLKFGSSESESSSSESEESLSRKEKSNRKHGEPEVSVGDYEALLRQHDTLKIRNDELENEAALVRVKLEEGESLAVQLAEKDKMLLEQENEIQTIRENVKVLQDENEGLKQELETVSKYDVEVDQKLKSIQNENEALISEKTVTLSRLHDEEKLIEQMKQEMSRLESENDCLKQDLEKAAQDVANLNKQLNTVNEEMDSLRGENSMNLSKIQELENGFSDAQAELKSTVENLSSQNVNFLSENVELKNKMELTDQQVADLSQKLAISVEENAAVTSELLRLSSKLEEVERNIKELTNDCELLKEENSKLQVINKSLDQQIQAKNEENSILTLEAQEKVGLLMTEVEKLKSDKSQLFGDLENLRLELTATKLEASEAKNVIDIAEDEKALLSSEKMTLLTQIQQLEKNLEDINDENEKLRADKSQLQIKINDLGLELEVARIQVDDMTKNLVAAEEERTALKSEITMVMCKLEQSEVDGKQLQDKFEKLKEEESNMLQQNQIAENVIEKLKTEIEQLRADNSELHVKVKDLMLQLEVADRESTNLKELLGAVEEEKQSLASEIVVLKGKLEQVEYKVNEIDQELELVKEENGKLLNVQKDLQDQNHELEVKLEEVKKDASDRFLQMEQDFLLQKSTVEDELSAKISNQQSLLNDQDDRFNKLLEDYKQLEIRYQESCSELQSAKEKIEEISLKEETIQLLETVDKEKQEKISKLHQSCKELTEQLKQSESEKADFDREITKLQGQIQTLEVQLRLVNQKLKVTETESKDKEEERKKIVEEIRVERRELEERVFALTKRSAIYENELKKMYEAARVGIAVLGDLLNKLESTFKENHRQLKMQLDECTEELHTAKASVAAVVFEKQELQVRVKYKEGIIALLKDEAGIAGAKMAELEKDKEELMRNAVKTEKKNVELEKKLKEKEESVLVVNEEKREAIRQLCILIEYHRENCNQLLKYISANRKNKR >DRNTG_15072.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15124665:15126431:1 gene:DRNTG_15072 transcript:DRNTG_15072.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSKNGVRVTTPCAVTNLITPLLPSKDYVHHDYKSWFRGKPLSMSPHELRCSELYPEKTQGRVSTPVSDPVNCTRAWGISTRPYETVEKISTIVIDDMADEVDKLLSVGSWRRLLSIRPPAIHMLTLEVLSSFEFDRSYSSFDSIDVI >DRNTG_03643.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3330972:3331657:-1 gene:DRNTG_03643 transcript:DRNTG_03643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMFHLDLGVVTIRGTEYRVALTDDPPASDIVIPASTSALQPSQATSSQPRSWPPPATLEPIFCSSIEF >DRNTG_13873.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4839030:4842811:-1 gene:DRNTG_13873 transcript:DRNTG_13873.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVITDEEEEEEEEKKKMKTMLVGVTLDTRSRELLTWSLVKLALPGDHVIALHVLPSSFDKSDSNVLLSLAKDFDAMLGVYEGFCNLKQIDLKLKICRGPSIRKALIREVNCFGAAKLILGVALNPRVVGPSSTSIAKYCAKKVARECSVFAVSNGKIIFQKEAAEIVNRTQSSECSLVDESEVDIISIASHLSSNGLESVNKNGSNCGSIEIDEASSSQSTLQEENCSICAHDCTSTDTPCHEPKEEDLKKPEALPEGPEVKSGWQFVQKVLLNDKKIAPKDRPKKSMVSWPKRLLNRNSNHKLNESEVDGTSNIDKDSASVSSCSSDTIENVGDVAKELESLREKYSPVCRLFSYKELMHATSNFSTEKLIGKGGSSKVYKGCLADGKELAVKILKPSANAVREFISEIEIINTLHHKNIISLYGFCFENSNLILVYDFFSRGSLEEILHGNEVKTNTLNWAKRYKVAIGVAEALDYLHSGGVTEPIIHRDIKSSNILLSDDFESKLSDFGFAKLASSSTSHTVCDDVAGTFGYLAPEYFMFGKVNEKIDVYAFGVVLLELLSGKKPINTGCPKGQESLVMWAKPVLQGEKVKQLVDPCLGNEYDTDQMERVALAASLCIRQASRSRPQIAIVLKLLQGDDDIMKWAKSEAKNSTELDGLDDEAARLDSNIKSHLTLALHDLEDDSLSVSSTELTFDSANTSLDEYLRRRCSRSLSFD >DRNTG_16529.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12122412:12126488:1 gene:DRNTG_16529 transcript:DRNTG_16529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVACGEYHTCAISTAGDFLTWGDGTHNTGLLGHGTGVSHWIPKRVSGPLEGLQVLSVACGTWHSALITFNGKLYTFGDGTFGVLGHGDRESIGYPKEVESLHGLRTIKVACGLWHTAAIVEVMGQAGVNVVSRKLFTWGDGDKYRLGHGDKEARLIPTCVPSLIDYNFHQLACGHNITVALTTSGHVFTMGSIAHGQLGNPQADGKIPCLVQDRLVGELVEEIACGAYHVAVLTSRSELYTWGKGANGRLGHGDLEDRKTPTLVEALKDRHVKSISCGSNFTACICIHKWVSGADQSLCSGCRQAFGFTRKRHNCYNCGLVHCHACSSRKVLKAALAPTPGKPHRVCDSCYLKLKASEAGNAYAARKSIIPRHSIDIREKSDRGEIRSSRILLSPRTEPEKYIEVKSVKHDMKSDSPSLIRASQVPALLQLKDISFPSSMSAVQTALKPIVTSESSQTGNSKPTSPYARKPSPRSSVTPVFSRGIIDSLRKTNEILNHEVSKLQAQVKNLKQKSEIHDAVLQKAQKKAEESSFLAAEEFAKFKASIEFIKSLDKQLKDLTEKSPSELGESLKSMHIQAESFLKSNENHASEFSSSIVMDSSGNHHSYSNNGGGADVHGQRIENANEEPDSSHNYIVGSAQHSNQSAITETRNDASHQSTDNGVRSPELSSMNHTNVGEVQLVEQFEPGVYVTLVQLPNGTKIFKRIRFSKRRFGEQQAEDWWNKNNERVLMKYSHRVPVAASTQNSSAPPADDEQGAAASSQA >DRNTG_16529.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12124502:12126488:1 gene:DRNTG_16529 transcript:DRNTG_16529.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLIFLSFILKLKDLTEKSPSELGESLKSMHIQAESFLKSNENHASEFSSSIVMDSSGNHHSYSNNGGGADVHGQRIENANEEPDSSHNYIVGSAQHSNQSAITETRNDASHQSTDNGVRSPELSSMNHTNVGEVQLVEQFEPGVYVTLVQLPNGTKIFKRIRFSKRRFGEQQAEDWWNKNNERVLMKYSHRVPVAASTQNSSAPPADDEQGAAASSQA >DRNTG_04818.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2318255:2319054:1 gene:DRNTG_04818 transcript:DRNTG_04818.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFMEGNRGNDELGILRRRSLGRTLVGGGKEEGEMGTEAVGNGGAAMEEGLGSWPE >DRNTG_26994.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11503764:11507517:-1 gene:DRNTG_26994 transcript:DRNTG_26994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASEIGISSVPTVTPQTRLAGPGAQTNSRRSRGREPSRPARPQDLV >DRNTG_00328.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2271981:2282867:-1 gene:DRNTG_00328 transcript:DRNTG_00328.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGIQMDQETALELVKKGATLLLLDVPQFTLFGIDTQMFSVGPNFKGIKMIPPGPHFVYHSSANKEVNEFSPTIGFFITTSYSEVVVRRWHCQDEHLVKISEDEECRYSEAVKHLEFDNQLGPYALDHFVEWKRLSCYITNTAIERLEPIGGDITIACVSGLIPDVPRTAMEKQLMEQLNSSKFSRTTPEDSQRQKCYYTKIPQIVKRKDISGEELTAMNIDKIFYQ >DRNTG_00328.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2277697:2282867:-1 gene:DRNTG_00328 transcript:DRNTG_00328.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASGIQMDQETALELVKKGATLLLLDVPQFTLFGIDTQMFSVGPNFKGIKMIPPGPHFVYHSSANKEVNEFSPTIGFFITTSYSEVVVRRWHCQDEHLVKISEDEECRYSEAVKHLEFDNQLGPYALDHFVEWKRLSCYITNTAIERLEPIGGDITIACVSGLIPDVPRTAMEKQLMEQLNSSKFSRTTPEDSQRQKCYYTKIPQIVKRKDISGEELTAMNIDKNFGFGSIMD >DRNTG_00328.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2277697:2282867:-1 gene:DRNTG_00328 transcript:DRNTG_00328.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASGIQMDQETALELVKKGATLLLLDVPQFTLFGIDTQMFSVGPNFKGIKMIPPGPHFVYHSSANKEVNEFSPTIGFFITTSYSEVVVRRWHCQDEHLVKISEDEECRYSEAVKHLEFDNQLGPYALDHFVEWKRLSCYITNTAIERLEPIGGDITIACVSGLIPDVPRTAMEKQLMEQLNSSKFSRTTPEDSQRQKCYYTKIPQIVKRKDISGEELTAMNIDKVRSLL >DRNTG_00328.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2271981:2282867:-1 gene:DRNTG_00328 transcript:DRNTG_00328.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGIQMDQETALELVKKGATLLLLDVPQFTLFGIDTQMFSVGPNFKGIKMIPPGPHFVYHSSANKEVNEFSPTIGFFITTSYSEVVVRRWHCQDEHLVKISEDEECRYSEAVKHLEFDNQLGPYALDHFVEWKRLSCYITNTAIERLEPIGGDITIACVSGLIPDVPRTAMEKQLMEQLNSSKFSRTTPEDSQRQKCYYTKIPQIVKRKDISGEELTAMNIDKTQLLETILLKDFKGAENLLLAELQFAFVVFMMGQSLQAVFQWKTLVSLFLCCTEAFIEVIYWQLKHGFQKQKSTTAAEGKGISVFLDDALFSKDIFLYRLCKDFFLLVLQSPVIDGDLLTWTRKLKGLLEDIFGWDFEESALDVMCEDGDEYAPVVVPPDEAMPVEDQAN >DRNTG_00328.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2271981:2282867:-1 gene:DRNTG_00328 transcript:DRNTG_00328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGIQMDQETALELVKKGATLLLLDVPQFTLFGIDTQMFSVGPNFKGIKMIPPGPHFVYHSSANKEVNEFSPTIGFFITTSYSEVVVRRWHCQDEHLVKISEDEECRYSEAVKHLEFDNQLGPYALDHFVEWKRLSCYITNTAIERLEPIGGDITIACVSGLIPDVPRTAMEKQLMEQLNSSKFSRTTPEDSQRQKCYYTKIPQIVKRKDISGEELTAMNIDKTQLLETILLKDFKGAENLLLAELQFAFVVFMMGQSLQAVFQWKTLVSLFLCCTEAPLRTRSQLFSKFIEVIYWQLKHGFQKQKSTTAAEGKGISVFLDDALFSKDIFLYRLCKDFFLLVLQSPVIDGDLLTWTRKLKGLLEDIFGWDFEESALDVMCEDGDEYAPVVVPPDEAMPVEDQAN >DRNTG_24241.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19883921:19884821:-1 gene:DRNTG_24241 transcript:DRNTG_24241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPRKTGSSTSEDQGQPMLSIPRPTISLVKPPRRSFRSRPLRLFGVLRSVFRSLPIFTPKCKPTCHLTPTHLRHTDVSRNLSSSRVTGTLFGYRKGRVSLSIQESPSCLPTLIIELAMQTQVLLRDMSSGMVRIALECEKRPGNLKDHRTTTPPKLLNEPLWTMFSNGKKNGYGLRRDPNEEDISIMELLRAVSMGVGVLPTNSESDGPDSEIAYVRATFEHAIGSRDSETFYMLSHEGNNGPEL >DRNTG_18216.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1108535:1109974:1 gene:DRNTG_18216 transcript:DRNTG_18216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRWMLKKGATALVTGGTKGIGRAIVEELAKFGASVYTCSRNQGELAECLKQWEGKNFKVTGSVCDVCSRTEREKLMENVSTVFQGKLDIFINNVGTGIRKPTMEYTAEDYSLTMTTNFESALHLSQLAHPLLKASTSGSVVFISSTSTLHVYVKSALYTASKGALNQLAKHLACEWSRDNIRVNCVGPAIVKTPLIESLCIENEFMEHELSRTPHGRLGEPEEVASVVVFLCLPAASYVTGQIINVDGGRTQPC >DRNTG_34042.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2686423:2687821:-1 gene:DRNTG_34042 transcript:DRNTG_34042.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIISASIIQLHNPYGRNCGEPPFTNCTTGFTWTLDYIILIKHQLIETDQPALTSTNKPQ >DRNTG_34042.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2686423:2687313:-1 gene:DRNTG_34042 transcript:DRNTG_34042.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIISASIIQLHNPYGRNCGEPPFTNCTTGFTWTLDYIILIKHQLIETDQPALTSTNKPQ >DRNTG_16578.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:100953:103097:-1 gene:DRNTG_16578 transcript:DRNTG_16578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAHSSNYFPVPTAWQDDALESNEHAGNPSRDSLNYHLAALSLDFPASPFEEQHQLDHDTHAVLPQFDVVNEFDLEFQEDVSVNDFDLQPAMDNNVSISVDDFAVEPAMDNNVDISVHDFDVEPAMDNNVDISVDDFDVEPAIDHVIANSGASCSDVNPFKTQTCSVGLQPHPSLEEQPKLSGSEFTNKTRMRWTQPLHDLFVQAVADLGGPDSATPKSILILMGVEGITMCQVKSHLQKYRLARLEAQFQEAQRTSCSEGKKKTLTEKYYNGHNKLLMEETETLHAQLELQKELHYQLTVLRELQIQTEENGRRLQEFIENQREAFMMITKSISISRVAGETSSVNLEHNTVDDVIYVDSDSDTEDSFPSYKRPRFV >DRNTG_28193.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001428.1:20561:33568:1 gene:DRNTG_28193 transcript:DRNTG_28193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGAGNAFPAPAPPRKAGRDFPPFPAHWGYFENSHSRWVPTGAGIPAPFTSLCIGNLTRLKIFTLYNNDINGKIPPSLGSLKSLDQLILLNNNLSSLLPIEMANLTNITYLQLSNNNLFAVKLGSWEVVWDGGVQLVMDQRDKRIKVLEQSLMAISQYIENQQAHSSVMVVQPPSRSTAEASTFKVLVGNGQPLHVEGLLQNLVVNVENHSIHFNAYLLPINGAEIILGASWLASLGPHITDYSKLGFSKKQADLFFPSDFADDFPVAKQLNNLELAVNLGKYGNLPGGRKCGCAESVPVQAKKTPLLLQYFWELLARGKLNAFESPELSCLVLSQNKKNLLENWLVEEKLECSEELGHLVKNVGKDLAWKIYIKARETPKVVVAFAERREFDKSVIY >DRNTG_01802.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19788628:19796648:-1 gene:DRNTG_01802 transcript:DRNTG_01802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLVWKFLLQWNGGLTCGSVSYLSADSLFPEWKIWNQFLDETTAGLKLDALVESHPIDQDLC >DRNTG_33461.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20343597:20344248:-1 gene:DRNTG_33461 transcript:DRNTG_33461.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTRPTFIIFSILLLLLFLNTPAFAAARPISIGNPLNPNKPACPTPRGQPYGNCKPSPPRACNSRYNCGAPPATDKVATRPNIKT >DRNTG_22666.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20445307:20447651:-1 gene:DRNTG_22666 transcript:DRNTG_22666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGSGTGRRFAGIPWRMIASDAAARFMLVCKAACAVHVFNNYVCSIALVRGPSMLPAINVSGDVVAVERISVRSGTIDVGDVVLLRSPENPLKIVTKRVTGLEGDSVTFERDPARGDHSAENCSCAEGACVGARG >DRNTG_35440.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002248.1:24594:27104:1 gene:DRNTG_35440 transcript:DRNTG_35440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFSSDSGSPSAARRANSDEETYLTVSSAPPKRRAGRTKFRETRHPIFKGVRRRNSDRWVCEVREPNKKSRIWLGTFPTAEMAARAHDVAAIALRGRSATLNFADSAWRLPVPDSTSPRDIQKAAAEAAEAFRPSCSDEAALRQDNVIDDCSYYVDNELELGMQGYLDMAQGMLIDPPPPPTVPFSDAVDSDGDADVPLWSYSF >DRNTG_30179.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5600653:5604842:1 gene:DRNTG_30179 transcript:DRNTG_30179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYTVALVLAAVLLSSASSVLADSGTATYYTPPYTPSACYGNEDEGVMIAAASDVIWDNGAACGRRYSVTCTGPTNQGVPQPCKGTSVVVTVVDYCPAGCQGTIDLSQEAFSIIADPDAGKINIDFTHFLTQDLKRANLSKMPLIGLPRRMIGISPAKLRLSPLEFSKEIL >DRNTG_06666.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3071110:3073205:-1 gene:DRNTG_06666 transcript:DRNTG_06666.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLYNRIEIPSSADPLSGLYIGAHGLYASEILHLKRKFGQWQENDGSQKHDDLEFYEYVEALKLTGSLSVPAGQVVFRAKVGKRYQLPHKGIIPEEFGVVARYKGQGKIADPGHQKNTRWVDGELVILDGKFIIGGPVIGFVYWAPEYHFMVFFVRLKLPE >DRNTG_06666.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3071110:3080191:-1 gene:DRNTG_06666 transcript:DRNTG_06666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWAGISTNTAGAYGQIIHISAEHGRYVAKSYNSRQLAAAKPGFPLFEIFFTVSGGEYKEQAVYLKCNEGSSGGFQWETPKKTKLSSLNPSDGSNDGQSDIYLEDITGLEDKDDDFDMIDGLAKIQNVLRDMIPGVKIKVLKVVAPGKVDRDMISKVIEQIAEEDDDTDEDLENGESESSASETDHEGEVDSQDTTSGAEDLLPESPVKFVIGTLTQNLSADLPPKGLIRVPAVLKRKDHRSFSFSIQQNDMHQETDEKEWLLGKKVVAPLDQQSTDLDASDLAKVLLSKEKIPMKVLKNFGELVSSAIRQDQNKPFTGTMLYNRIEIPSSADPLSGLYIGAHGLYASEILHLKRKFGQWQENDGSQKHDDLEFYEYVEALKLTGSLSVPAGQVVFRAKVGKRYQLPHKGIIPEEFGVVARYKGQGKIADPGHQKNTRWVDGELVILDGKFIIGGPVIGFVYWAPEYHFMVFFVRLKLPE >DRNTG_06666.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3071110:3080191:-1 gene:DRNTG_06666 transcript:DRNTG_06666.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWAGISTNTAGAYGQIIHISAEHGRYVAKSYNSRQLAAAKPGFPLFEIFFTVSGGEYKEQAVYLKCNEGSSGGFQWETPKKTKLSSLNPSDGSNDGQSDIYLEDITGLEDKDDDFDMIDGLAKIQNVLRDMIPGVKIKVLKVVAPGKVDRDMISKVIEQIAEEDDDTDEDLENGESESSASETDHEGEVDSQDTTSGAEDLLPESPVKFVIGTLTQNLSADLPPKGLIRVPAVLKRKDHRSFSFSIQQNDMHQETDEKEWLLGKKVVAPLDQQSTDLDASDLAKVLLSKEKIPMKVLKNFGELVSSAIRQDQNKPFTGTMLYNRIEIPSSADPLSGLYIGAHGLYASEILHLKRKFGQWQENDGSQKHDDLEFYEYVEALKLTGSLSVPAGQVVFRAKVGKRYQLPHKGIIPEEFGVVARYKGQGKIADPGHQKNTRWVDGELVILDGKFIIGGPVIGFVYWAPEYHFMVFFVRLKLPE >DRNTG_06666.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3071110:3080191:-1 gene:DRNTG_06666 transcript:DRNTG_06666.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQMGWWAGISTNTAGAYGQIIHISAEHGRYVAKSYNSRQLAAAKPGFPLFEIFFTVSGGEYKEQAVYLKCNEGSSGGFQWETPKKTKLSSLNPSDGSNDGQSDIYLEDITGLEDKDDDFDMIDGLAKIQNVLRDMIPGVKIKVLKVVAPGKVDRDMISKVIEQIAEEDDDTDEDLENGESESSASETDHEGEVDSQDTTSGAEDLLPESPVKFVIGTLTQNLSADLPPKGLIRVPAVLKRKDHRSFSFSIQQNDMHQETDEKEWLLGKKVVAPLDQQSTDLDASDLAKVLLSKEKIPMKVLKNFGELVSSAIRQDQNKPFTGTMLYNRIEIPSSADPLSGLYIGAHGLYASEILHLKRKFGQWQENDGSQKHDDLEFYEYVEALKLTGSLSVPAGQVVFRAKVGKRYQLPHKGIIPEEFGVVARYKGQGKIADPGHQKNTRWVDGELVILDGKFIIGGPVIGFVYWAPEYHFMVFFVRLKLPE >DRNTG_03172.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4476223:4476375:1 gene:DRNTG_03172 transcript:DRNTG_03172.3 gene_biotype:protein_coding transcript_biotype:protein_coding TGSSNNDPAKRTVCIDKHRPRSLITEL >DRNTG_03172.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4475872:4477748:1 gene:DRNTG_03172 transcript:DRNTG_03172.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVMPIDHHQHYQFNCSFFIPIQAYITSTLPPNNQLKTENNQSCSNHPVNNSSLTSSKPVAATMTLPRGLYALTNTAHVL >DRNTG_03172.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4475872:4477748:1 gene:DRNTG_03172 transcript:DRNTG_03172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVMPIDHHQHYQFNCSFFIPIQAYITSTLPPNNQLKTENNQSCSNHPVNNSSLTSSKPVAATMTLPRGLYALTNTAHVL >DRNTG_04973.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4017658:4018449:1 gene:DRNTG_04973 transcript:DRNTG_04973.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMVVVVVVVVVVVLLILRLQPLLTLPDELTWCFPGIWSKCPIPFFHLGPRPPPGFVETYRRGLRRSLYQSHHCCRSSDGGTQGGRRLKQRLFQE >DRNTG_17024.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10668669:10669487:-1 gene:DRNTG_17024 transcript:DRNTG_17024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKSQPHILVSTYPFQGHINPTIHLAKHLAATNCTTVLAHRHMFSSTPNSDKQFNDGLITYFPFSDGFDEDDYKRGPMDTKEFLSVFRTNSKSSVSILINDLAAGGQPVTCLVIVFFPWLVDIASQHGIPSVFYWIQAATVFATYYHFFHGYESLIKEQANEPSFTVCLPGLLPLQIKDLPLFLTITGDDSDSYFGFILELMRDMFEILDREQTRMKPRVLINTFQEWEVDCIASVSTEIETVLVGHLPQVVHTNSSAGYLFKEDEKKYME >DRNTG_25024.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19575567:19576189:-1 gene:DRNTG_25024 transcript:DRNTG_25024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGDGGSFLRQLSHGGGGGGGGGGGGWEHSSSLTSSYYLSSSNGHGGSKRWGRGKKAKRMEEGGRGGSLEGGGGMMAVKKRVMVVIDQSSRAKHAMMWALTHVANKGDLLTLLHVVPSHSNASSTHRTDDIPQLANSLGSLCKACK >DRNTG_25115.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23684168:23684653:-1 gene:DRNTG_25115 transcript:DRNTG_25115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYSGIQFSAWMKEMDNLQYLVEIHLFGCRQCEHLPPREQLPLLEVLTIAKMNKIRCVINDSGSNITGYFPPLKKLKLDQMRNLERWCMTEEREAGSYFFLVLISIHILECPKLTTMPCIPSIRKLKSSRSSDETLIPLTCWGSGFFKHFTSLESEDIWI >DRNTG_32806.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001920.1:47971:54542:-1 gene:DRNTG_32806 transcript:DRNTG_32806.3 gene_biotype:protein_coding transcript_biotype:protein_coding LSLSRDSDRPPPSPQPIVTPTTARPPSSSAPPPLGSDRRPLLLLRLVSQMSHNHHHCCHFEDFSIQRNTPPVGSFSRGFVVPVDLVRMRLLIFIHFLRN >DRNTG_32806.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001920.1:47971:54542:-1 gene:DRNTG_32806 transcript:DRNTG_32806.4 gene_biotype:protein_coding transcript_biotype:protein_coding RRQPPGRLPHLLLLHLDPIGDRYCSSAWCLRCPIIITIVAISRILGIKVRGFIFGSPIALAIVAKFVPLRKPRKLPDMWMMGDPFGNS >DRNTG_32806.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001920.1:47971:54542:-1 gene:DRNTG_32806 transcript:DRNTG_32806.5 gene_biotype:protein_coding transcript_biotype:protein_coding LSLSRDSDRPPPSPQPIVTPTTARPPSSSAPPPLGSDRRPLLLLRLVSQMSHNHHHCCHFEDFREKSLIIGH >DRNTG_32806.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001920.1:47971:54542:-1 gene:DRNTG_32806 transcript:DRNTG_32806.2 gene_biotype:protein_coding transcript_biotype:protein_coding ALKKAGLKSAVVSNFDTRLRPLLQALRCDHWFDAIAVSAEVGARSSEEAA >DRNTG_32806.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001920.1:47971:54542:-1 gene:DRNTG_32806 transcript:DRNTG_32806.6 gene_biotype:protein_coding transcript_biotype:protein_coding LSLSRDSDRPPPSPQPIVTPTTARPPSSSAPPPLGSDRRPLLLLRLVSQMSHNHHHCCHFEDFRYDPLYALNFDDAYLAGDLSKYCNFFT >DRNTG_32806.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001920.1:47971:54542:-1 gene:DRNTG_32806 transcript:DRNTG_32806.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALKKAGLKSAVVSNFDTRLRPLLQALRCDHWFDAIAVSAEVGARSSEEAA >DRNTG_02657.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13468031:13469998:1 gene:DRNTG_02657 transcript:DRNTG_02657.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSHLFIFVFVFFLFFSFSIATFSSSLSYESRSNLREQELLESVREAEFFNWMVSIRRRIHQNPELAFQEHETSALVRAELDKLGIQYSWPVANTGVIGTVGSGSGPVFAIRADMDALPLQELKDWEYKSKKSGKMHACGHDAHTTMLLGAAKLLQHHKNELKARPYHALPTI >DRNTG_02657.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13468031:13469998:1 gene:DRNTG_02657 transcript:DRNTG_02657.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSHLFIFVFVFFLFFSFSIATFSSSLSYESRSNLREQELLESVREAEFFNWMVSIRRRIHQNPELAFQEHETSALVRAELDKLGIQYSWPVANTGVIGTVGSGSGPVFAIRADMDALPLQELKDWEYKSKKSGKMHACGHDAHTTMLLGAAKLLQHHKNELKGTVKLFFQPAEEGHAGAYHMIQEGSLDDVQAIFGMHVEPGLPTGTIACSPGPVLAAAGTFQAVIKGKGGHAAFPHKTADPILAASFAILSLQQIVSRESDPLDSLVSFAVCFCRICFMASSSIILLILAALFYLFVNGINPLRVHHVEGCDSYFNS >DRNTG_02657.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13468031:13469998:1 gene:DRNTG_02657 transcript:DRNTG_02657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSHLFIFVFVFFLFFSFSIATFSSSLSYESRSNLREQELLESVREAEFFNWMVSIRRRIHQNPELAFQEHETSALVRAELDKLGIQYSWPVANTGVIGTVGSGSGPVFAIRADMDALPLQELKDWEYKSKKSGKMHACGHDAHTTMLLGAAKLLQHHKNELKGTVKLFFQPAEEGHAGAYHMIQEGSLDDVQAIFGMHVEPGLPTGTIACSPGPVLAAAGTFQAVIKGKGGHAAFPHKTADPILAASFAILSLQQIVSRESDPLDSLVVSVGFIWAGEAHNVIPESVTFGGT >DRNTG_10683.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000450.1:17159:19144:1 gene:DRNTG_10683 transcript:DRNTG_10683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSHPSPSLYNNKSGGTIVGCCCEKEQYIPVVVATMICLTSRVGHIGMGSNVDMGSISGFGGLS >DRNTG_29970.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5174271:5175744:-1 gene:DRNTG_29970 transcript:DRNTG_29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHSSLTLSRVSGRDGNRSLPLLCRSISSKIPSSHTPHLPPPPFFSRSISKPNPTAGRIPNPFLSWRRFYNLDQCQPQEWYYNRHAILQPLVVLLGVIVGGGGFIYYRYFETVPFSTCNCSRLVLLSPSTELELSKIVFQEFKNSLEGRILPANHPDSIRVRRISENIDH >DRNTG_23723.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:795544:803704:-1 gene:DRNTG_23723 transcript:DRNTG_23723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSDHFALSNLLAELDGGKYGTVTKEYETLHALRTNVINFLSASRRAPANTCLNPVLGAPQTSLHSSSHPRPRHNRSSANGQRNDATPLNVINLDDDPVDSDSIMRTGLNISTECHAAGDIGSVVQKESSNTADGKASTPVLIIDSDEEDGDHQVKNKPCIITGTNEYKSRECLDSQLLLYLKQAKLLEQEGHSKQLVAYEQKQTKIDHDAAIQSTWQPTIQYEKVILRTAPDQQPHEELMPQPKSLSRNLSGKQQCGQHATTANQTRGLIQGQTNSRIQKLMSGHIKNRMNGQTNLFARGKRKYNELDSEQHEQQVDKDFEVNLSPVSVVSLTEETFSTVTHSPDGTKTAESDGLDDLWKDMSLAMECSKVAKLDELATVPEGEDCDCDHSLLLHDDLGLVCRVCGVIQKRIDTIFDYQWTKASRSSRTYMSASRSSTGVDEPVPSCRIRSAEGDLIAAEVSVHPRHMKHMKPHQLEGFNFLVRNLVTDKPGGCILAHAPGSGKTFMLISFIQSFLAKYPDARTLIVLPKGILSIWKNELQRWQVEDIPLFDFYTVKADNRSQQLDVLLSWENQKSILFLGYKQFASIVCEASSDRITAACQERLLTVPSLLILDEGHTPRNESTDIVNSLAKVQTPRKVVLSGTLFQNHVKEVFSILNLVRPKFLRTESSRVIKRRILSRVNIPGNRKSIKGNIDAAFYELVEETLRNDDNYKRKINVIQDLREMTDNVLHYYKGDFLEELPGLVDLTVLLNLSYKQKEIVQKLGKLDKFKRISVGSAVYIHPRLKEIAEKSPSDRGFSDEKIDSIIDSLDVKDGIKTKFFLTLLSLTEAAGEKLLAFSQYHLPMKFLERTLVRLKGWRVGKEIFMITGESSAEERELFTEQFNSSPDAKILFGSIRACGEGISLVGASRVVILDVHLNPSVTRQAIGRAFRPGQEKKVFTYRLIAADSPEEDDHDTSFKKELISKMWFEWSQYSGHQEFELEKIDINDSGDMFLESPMMEQDIKGLYRR >DRNTG_34912.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20703513:20704324:1 gene:DRNTG_34912 transcript:DRNTG_34912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLTSSLNEPLHVLVEAELPADIIDTQLSQAVGVLEDLL >DRNTG_08284.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1735169:1742342:-1 gene:DRNTG_08284 transcript:DRNTG_08284.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKSIHSRKGWGFGSADGHLAEDREPNEENRCGHLQKRMRILT >DRNTG_18629.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3215524:3225190:1 gene:DRNTG_18629 transcript:DRNTG_18629.11 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSKIPSFSSGSELGSAVVNDLEIAGRCQGSSTNWKRSRSQSALKSSIKSVPSPAKRIALRRCSNAIVDSKGEQCCDDSNGEPRVVPSSKTLREERSSDPCFQRSTWNPRDPAQIQAVKEALHVASAPDNIVCREDEQKSILDFCKACIEQDKSGSLYVCGCPGTGKSLSVKKVKELLVLWAKEAGFQAPEVLSINCTLLTKASEIFSKVIEKLHPHLKNNSCSPLQDLQKLYSQKRQLSSGKMMMIIVDEMDYLRTRDHQVLHDLFMLSTLPYSQCILIGIANTIDLADRFLPRVESLNFR >DRNTG_18629.21 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3227399:3230674:1 gene:DRNTG_18629 transcript:DRNTG_18629.21 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLPSATILSGPLPSTLSILLSWLYVISSIILVSELSFAAMRHQNLPEVSFTSLATASLGPDFGAFVAVVYSCLSFSLLLACVSGISSLVFQLFPWFNAALACALAPSLVGVAIAFFPFNVIDFANRLLCCLMLVSITALVVFGLSAGRSSLLSSIGYASWSPNAILPAIPVTVLTLGFHVITPFVCMLLRDSMEDARKAILFGGFVPLAMVVSWNLVVLGLAGNGVGAVCVGSDPIKLLLSVNSSALPAVQGFAFAALATSLIGYAVSFPKQLADTLKLISERVVYRKEVMSPSRLRLCEGGRVGAVVYSKGKMGTSGQACFGVSRSQMLSNRVNEGVGDGSPSTILVMWIVLIFSVLIASSYNAAFSRALEFAGVYANCFLFGVLPPAMAWIHRSRKKDSKEELLPGGNGVLFVLFVIAIILGIWH >DRNTG_18629.24 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3215524:3217624:1 gene:DRNTG_18629 transcript:DRNTG_18629.24 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSKIPSFSSGSELGSAVVNDLEIAGRCQGSSTNWKRSRSQSALKSSIKSVPSPAKRIALRRCSNAIVDSKGEQCCDDSNGEPRVVPSSKTLREERSSDPCFQRSTWNPRDPAQIQAVKEALHVASAPDNIVCREDEQKSILDFCKACIEQDKSGSLYVCGCPGTGKSLSVKKVKELLVLWAKE >DRNTG_18629.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3227225:3230612:1 gene:DRNTG_18629 transcript:DRNTG_18629.19 gene_biotype:protein_coding transcript_biotype:protein_coding MILSHLLHFRTSSYPTLHSPTSPFLNPPHLLISLNPSKTLHFLPHFPNFLCKSSNHFPSPSPLPHGNLHFHSPRPKTTTTTTTTKSFFAAVSLIIGTAVGPGMLGLPSATILSGPLPSTLSILLSWLYVISSIILVSELSFAAMRHQNLPEVSFTSLATASLGPDFGAFVAVVYSCLSFSLLLACVSGISSLVFQLFPWFNAALACALAPSLVGVAIAFFPFNVIDFANRLLCCLMLVSITALVVFGLSAGRSSLLSSIGYASWSPNAILPAIPVTVLTLGFHVITPFVCMLLRDSMEDARKAILFGGFVPLAMVVSWNLVVLGLAGNGVGAVCVGSDPIKLLLSVNSSALPAVQGFAFAALATSLIGYAVSFPKQLADTLKLISERVVYRKEVMSPSRLRLCEGGRVGAVVYSKGKMGTSGQACFGVSRSQMLSNRVNEGVGDGSPSTILVMWIVLIFSVLIASSYNAAFSRALEFAGVYANCFLFGVLPPAMAWIHRSRKKDSKEELLPGGNGVLFVLFVIAIILGIWH >DRNTG_18629.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3215524:3225190:1 gene:DRNTG_18629 transcript:DRNTG_18629.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSKIPSFSSGSELGSAVVNDLEIAGRCQGSSTNWKRSRSQSALKSSIKSVPSPAKRIALRRCSNAIVDSKGEQCCDDSNGEPRVVPSSKTLREERSSDPCFQRSTWNPRDPAQIQAVKEALHVASAPDNIVCREDEQKSILDFCKACIEQDKSGSLYVCGCPGTGKSLSVKKVKELLVLWAKEAGFQAPEVLSINCTLLTKASEIFSKVIEKLHPHLKNNSCSPLQDLQKLYSQKRQLSSGKMMMIIVDEMDYLRTRDHQVLHDLFMLSTLPYSQCILIGIANTIDLADRFLPRVESLNCKPNVVTYCAYSKDQILKIIQQRLIVLGYDIFEPIALEFCARKVAAASGDMRKALDVCRSAIEVFEAELRLATNKEELKIVRFDHMDIALSRAFKPLIVDTIQSLPQHQQIILCSVVKFFWQCKKNATTIGELNRSYSEICKGNHIPPVGMLEFTNMCRALSDQGLLNVGQAKEIKQKRVTLKIDGSDVIFALKEIRFFNNCLEFLNK >DRNTG_18629.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3215524:3225725:1 gene:DRNTG_18629 transcript:DRNTG_18629.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSKIPSFSSGSELGSAVVNDLEIAGRCQGSSTNWKRSRSQSALKSSIKSVPSPAKRIALRRCSNAIVDSKGEIKLDLQQCCDDSNGEPRVVPSSKTLREERSSDPCFQRSTWNPRDPAQIQAVKEALHVASAPDNIVCREDEQKSILDFCKACIEQDKSGSLYVCGCPGTGKSLSVKKVKELLVLWAKEAGFQAPEVLSINCTLLTKASEIFSKVIEKLHPHLKNNSCSPLQDLQKLYSQKRQLSSGKMMMIIVDEMDYLRTRDHQVLHDLFMLSTLPYSQCILIGIANTIDLADRFLPRVESLNFR >DRNTG_18629.22 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3227399:3230612:1 gene:DRNTG_18629 transcript:DRNTG_18629.22 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLPSATILSGPLPSTLSILLSWLYVISSIILVSELSFAAMRHQNLPEVSFTSLATASLGPDFGAFVAVVYSCLSFSLLLACVSGISSLVFQLFPWFNAALACALAPSLVGVAIAFFPFNVIDFANRLLCCLMLVSITALVVFGLSAGRSSLLSSIGYASWSPNAILPAIPVTVLTLGFHVITPFVCMLLRDSMEDARKAILFGGFVPLAMVVSWNLVVLGLAGNGVGAVCVGSDPIKLLLSVNSSALPAVQGFAFAALATSLIGYAVSFPKQLADTLKLISERVVYRKEVMSPSRLRLCEGGRVGAVVYSKGKMGTSGQACFGVSRSQMLSNRVNEGVGDGSPSTILVMWIVLIFSVLIASSYNAAFSRALEFAGVYANCFLFGVLPPAMAWIHRSRKKDSKEELLPGGNGVLFVLFVIAIILGIWH >DRNTG_18629.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3215524:3225354:1 gene:DRNTG_18629 transcript:DRNTG_18629.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSKIPSFSSGSELGSAVVNDLEIAGRCQGSSTNWKRSRSQSALKSSIKSVPSPAKRIALRRCSNAIVDSKGEQCCDDSNGEPRVVPSSKTLREERSSDPCFQRSTWNPRDPAQIQAVKEALHVASAPDNIVCREDEQKSILDFCKACIEQDKSGSLYVCGCPGTGKSLSVKKVKELLVLWAKEAGFQAPEVLSINCTLLTKASEIFSKVIEKLHPHLKNNSCSPLQDLQKLYSQKRQLSSGKMMMIIVDEMDYLRTRDHQVLHDLFMLSTLPYSQCILIGIANTIDLADRFLPRVESLNCKPNVVTYCAYSKDQILKIIQQRLIVLGYDIFEPIALEFCARKVAAASGDMRKALDVCRSAIEVFEAELRLATNKEELKIVRFDHMDIALSRAFKPLIVDTIQSLPQHQQIILCSVVKFFWQCKKNATTIGELNRSYSEICKGNHIPPVGMLEFTNMCRALSDQGLLNVGQAKEIKQKRVTLKIDGSDVIFALKEIRFFNNCLEFLNK >DRNTG_18629.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3217371:3225354:1 gene:DRNTG_18629 transcript:DRNTG_18629.17 gene_biotype:protein_coding transcript_biotype:protein_coding MRKALDVCRSAIEVFEAELRLATNKEELKIVRFDHMDIALSRAFKPLIVDTIQSLPQHQQIILCSVVKFFWQCKKNATTIGELNRSYSEICKGNHIPPVGMLEFTNMCRALSDQGLLNVGQAKEIKQKRVTLKIDGSDVIFALKEIRFFNNCLEFLNK >DRNTG_18629.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3215524:3225190:1 gene:DRNTG_18629 transcript:DRNTG_18629.12 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSKIPSFSSGSELGSAVVNDLEIAGRCQGSSTNWKRSRSQSALKSSIKSVPSPAKRIALRRCSNAIVDSKGEQCCDDSNGEPRVVPSSKTLREERSSDPCFQRSTWNPRDPAQIQAVKEALHVASAPDNIVCREDEQKSILDFCKACIEQDKSGSLYVCGCPGTGKSLSVKKVKELLVLWAKEAGFQAPEVLSINCTLLTKASEIFSKVIEKLHPHLKNNSCSPLQDLQKLYSQKRQLSSGKMMMIIVDEMDYLRTRDHQVLHDLFMLSTLPYSQCILIGIANTIDLADRFLPRVESLNFR >DRNTG_18629.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3215524:3225190:1 gene:DRNTG_18629 transcript:DRNTG_18629.13 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSKIPSFSSGSELGSAVVNDLEIAGRCQGSSTNWKRSRSQSALKSSIKSVPSPAKRIALRRCSNAIVDSKGEIKLDLQQCCDDSNGEPRVVPSSKTLREERSSDPCFQRSTWNPRDPAQIQAVKEALHVASAPDNIVCREDEQKSILDFCKACIEQDKSGSLYVCGCPGTGKSLSVKKVKELLVLWAKEAGFQAPEVLSINCTLLTKASEIFSKVIEKLHPHLKNNSCSPLQDLQKLYSQKRQLSSGKMMMIIVDEMDYLRTRDHQVLHDLFMLSTLPYSQCILIGIANTIDLADRFLPRVESLNCKPNVVTYCAYSKDQILKIIQQRLIVLGYDIFEPIALEFCARKVAAASGDMRKALDVCRSAIEVFEAELRLATNKEELKIVRFDHMDIALSRAFKPLIVDTIQSLPQHQQIILCSVVKFFWQCKKNATTIGELNRSYSEICKGNHIPPVGMLEFTNMCRALSDQGLLNVGQAKEIKQKRVTLKIDGSDVIFALKEIRFFNNCLEFLNK >DRNTG_18629.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3215524:3225354:1 gene:DRNTG_18629 transcript:DRNTG_18629.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSKIPSFSSGSELGSAVVNDLEIAGRCQGSSTNWKRSRSQSALKSSIKSVPSPAKRIALRRCSNAIVDSKGEIKLDLQQCCDDSNGEPRVVPSSKTLREERSSDPCFQRSTWNPRDPAQIQAVKEALHVASAPDNIVCREDEQKSILDFCKACIEQDKSGSLYVCGCPGTGKSLSVKKVKELLVLWAKEAGFQAPEVLSINCTLLTKASEIFSKVIEKLHPHLKNNSCSPLQDLQKLYSQKRQLSSGKMMMIIVDEMDYLRTRDHQVLHDLFMLSTLPYSQCILIGIANTIDLADRFLPRVESLNFR >DRNTG_18629.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3215524:3225354:1 gene:DRNTG_18629 transcript:DRNTG_18629.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSKIPSFSSGSELGSAVVNDLEIAGRCQGSSTNWKRSRSQSALKSSIKSVPSPAKRIALRRCSNAIVDSKGEIKLDLQQCCDDSNGEPRVVPSSKTLREERSSDPCFQRSTWNPRDPAQIQAVKEALHVASAPDNIVCREDEQKSILDFCKACIEQDKSGSLYVCGCPGTGKSLSVKKVKELLVLWAKEAGFQAPEVLSINCTLLTKASEIFSKVIEKLHPHLKNNSCSPLQDLQKLYSQKRQLSSGKMMMIIVDEMDYLRTRDHQVLHDLFMLSTLPYSQCILIGIANTIDLADRFLPRVESLNFR >DRNTG_18629.23 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3215524:3218498:1 gene:DRNTG_18629 transcript:DRNTG_18629.23 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSKIPSFSSGSELGSAVVNDLEIAGRCQGSSTNWKRSRSQSALKSSIKSVPSPAKRIALRRCSNAIVDSKGEQCCDDSNGEPRVVPSSKTLREERSSDPCFQRSTWNPRDPAQIQAVKEALHVASAPDNIVCREDEQKSILDFCKACIEQDKSGSLYVCGCPGTGKSLSVKKVKELLVLWAKEAGFQAPEVLSINCTLLTKASEIFSKVIEKLHPHLKNNSCSPLQDLQKLYSQKRQLSSGKMMMIIVDEMDYLRTRDHQVLHDLFMLSTLPYSQCILI >DRNTG_18629.20 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3227316:3230612:1 gene:DRNTG_18629 transcript:DRNTG_18629.20 gene_biotype:protein_coding transcript_biotype:protein_coding MILSHLLHFRTSSYPTLHSPTSPFLNPPHLLISLNPSKTLHFLPHFPNFLCKSSNHFPSPSPLPHGNLHFHSPRPKTTTTTTTTKSFFAAVSLIIGTAVGPGMLGLPSATILSGPLPSTLSILLSWLYVISSIILVSELSFAAMRHQNLPEVSFTSLATASLGPDFGAFVAVVYSCLSFSLLLACVSGISSLVFQLFPWFNAALACALAPSLVGVAIAFFPFNVIDFANRLLCCLMLVSITALVVFGLSAGRSSLLSSIGYASWSPNAILPAIPVTVLTLGFHVITPFVCMLLRDSMEDARKAILFGGFVPLAMVVSWNLVVLGLAGNGVGAVCVGSDPIKLLLSVNSSALPAVQGFAFAALATSLIGYAVSFPKQLADTLKLISERVVYRKEVMSPSRLRLCEGGRVGAVVYSKGKMGTSGQACFGVSRSQMLSNRVNEGVGDGSPSTILVMWIVLIFSVLIASSYNAAFSRALEFAGVYANCFLFGVLPPAMAWIHRSRKKDSKEELLPGGNGVLFVLFVIAIILGIWH >DRNTG_18629.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3215524:3225190:1 gene:DRNTG_18629 transcript:DRNTG_18629.14 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSKIPSFSSGSELGSAVVNDLEIAGRCQGSSTNWKRSRSQSALKSSIKSVPSPAKRIALRRCSNAIVDSKGEIKLDLQQCCDDSNGEPRVVPSSKTLREERSSDPCFQRSTWNPRDPAQIQAVKEALHVASAPDNIVCREDEQKSILDFCKACIEQDKSGSLYVCGCPGTGKSLSVKKVKELLVLWAKEAGFQAPEVLSINCTLLTKASEIFSKVIEKLHPHLKNNSCSPLQDLQKLYSQKRQLSSGKMMMIIVDEMDYLRTRDHQVLHDLFMLSTLPYSQCILIGIANTIDLADRFLPRVESLNCKPNVVTYCAYSKDQILKIIQQRLIVLGYDIFEPIALEFCARKVAAASGDMRKALDVCRSAIEVFEAELRLATNKEELKIVRFDHMDIALSRAFKPLIVDTIQSLPQHQQIILCSVVKFFWQCKKNATTIGELNRSYSEICKGNHIPPVGMLEFTNMCRALSDQGLLNVGQAKEIKQKRVTLKIDGSDVIFALKEIRFFNNCLEFLNK >DRNTG_18629.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3215524:3225725:1 gene:DRNTG_18629 transcript:DRNTG_18629.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSKIPSFSSGSELGSAVVNDLEIAGRCQGSSTNWKRSRSQSALKSSIKSVPSPAKRIALRRCSNAIVDSKGEIKLDLQQCCDDSNGEPRVVPSSKTLREERSSDPCFQRSTWNPRDPAQIQAVKEALHVASAPDNIVCREDEQKSILDFCKACIEQDKSGSLYVCGCPGTGKSLSVKKVKELLVLWAKEAGFQAPEVLSINCTLLTKASEIFSKVIEKLHPHLKNNSCSPLQDLQKLYSQKRQLSSGKMMMIIVDEMDYLRTRDHQVLHDLFMLSTLPYSQCILIGIANTIDLADRFLPRVESLNFR >DRNTG_18629.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3215524:3230612:1 gene:DRNTG_18629 transcript:DRNTG_18629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSKIPSFSSGSELGSAVVNDLEIAGRCQGSSTNWKRSRSQSALKSSIKSVPSPAKRIALRRCSNAIVDSKGEQCCDDSNGEPRVVPSSKTLREERSSDPCFQRSTWNPRDPAQIQAVKEALHVASAPDNIVCREDEQKSILDFCKACIEQDKSGSLYVCGCPGTGKSLSVKKVKELLVLWAKEAGFQAPEVLSINCTLLTKASEIFSKVIEKLHPHLKNNSCSPLQDLQKLYSQKRQLSSGKMMMIIVDEMDYLRTRDHQVLHDLFMLSTLPYSQCILIGIANTIDLADRFLPRVESLNCKPNVVTYCAYSKDQILKIIQQRLIVLGYDIFEPIALEFCARKVAAASGDMRKALDVCRSAIEVFEAELRLATNKEELKIVRFDHMDIALSRAFKPLIVDTIQSLPQHQQIILCSVVKFFWQCKKNATTIGELNRSYSEICKGNHIPPVGMLEFTNMCRALSDQGLLNVGQAKEIKQKRVTLKIDGSDVIFALKEIRFFNNCLEFLNK >DRNTG_18629.26 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3215524:3217159:1 gene:DRNTG_18629 transcript:DRNTG_18629.26 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSKIPSFSSGSELGSAVVNDLEIAGRCQGSSTNWKRSRSQSALKSSIKSVPSPAKRIALRRCSNAIVDSKGEQCCDDSNGEPRVVPSSKTLREERSSDPCFQRSTWNPRGP >DRNTG_18629.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3215524:3224731:1 gene:DRNTG_18629 transcript:DRNTG_18629.15 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSKIPSFSSGSELGSAVVNDLEIAGRCQGSSTNWKRSRSQSALKSSIKSVPSPAKRIALRRCSNAIVDSKGEIKLDLQQCCDDSNGEPRVVPSSKTLREERSSDPCFQRSTWNPRDPAQIQAVKEALHVASAPDNIVCREDEQKSILDFCKACIEQDKSGSLYVCGCPGTGKSLSVKKVKELLVLWAKEAGFQAPEVLSINCTLLTKASEIFSKVIEKLHPHLKNNSCSPLQDLQKLYSQKRQLSSGKMMMIIVDEMDYLRTRDHQVLHDLFMLSTLPYSQCILIGIANTIDLADRFLPRVESLNCKPNVVTYCAYSKDQILKIIQQRLIVLGYDIFEPIALEFCARKVAAASGDMRKALDVCRSAIEVFEAELRLATNKEELKIVRFDHMDIALSRAFKPLIVDTIQSLPQHQQIILCSVVKFFWQCKKNATTIGELNRSYSEICKGNHIPPVGMLEFTNMCRALSDQGLLNVGQAKEIKQKRVTLKIDGSDVIFALKEIRFFNNCLEFLNK >DRNTG_18629.27.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3227399:3229012:1 gene:DRNTG_18629 transcript:DRNTG_18629.27 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLPSATILSGPLPSTLSILLSWLYVISSIILVSELSFAAMRHQNLPEVSFTSLATASLGPDFGAFVAVVYSCLSFSLLLACVSGISSLVFQLFPWFNAALACALAPSLVGVAIAFFPFNVIDFANRLLCCLMLVSITALVVFGLSAGRSSLLSSIGYASWSPNAILPAIPVTVLTLGFHVITPFVCMLLRDSMEDARKAILFGGFVPLAMVVSWNLVVLGLAGNGVGAVCVGSDPIKLLLSVNSSALPAVQGFAFAALATSLIGYAVSFPKQLADTLKLISERVVYRKEVMSPSRLRLCEGGRVGAVVYSKGKMGTSGQACFGVSRSQMLSNRVNEGVGDGSPSTILVMWIVLIFSVLIASSYNAAFSRALEFAGVYANCFLFGVLPPAMAWIHRSRKKDRYDHFFQNCSFLL >DRNTG_18629.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3217371:3226378:1 gene:DRNTG_18629 transcript:DRNTG_18629.16 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIIVDEMDYLRTRDHQVLHDLFMLSTLPYSQCILIGIANTIDLADRFLPRVESLNCKPNVVTYCAYSKDQILKIIQQRLIVLGYDIFEPIALEFCARKVAAASGDMRKALDVCRSAIEVFEAELRLATNKEELKIVRFDHMDIALSRAFKPLIVDTIQSLPQHQQIILCSVVKFFWQCKKNATTIGELNRSYSEICKGNHIPPVGMLEFTNMCRALSDQGLLNVGQAKEIKQKRVTLKIDGSDVIFALKEIRFFNNCLEFLNK >DRNTG_18629.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3215524:3230612:1 gene:DRNTG_18629 transcript:DRNTG_18629.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMQQVVPRQENYTQSRAVALQNVESTISELGGIFTQLATMVAQQGELAIRIDDNMDDSLTNVEGARSALLKHLTQIASNRDSMEDARKAILFGGFVPLAMVVSWNLVVLGLAGNGVGAVCVGSDPIKLLLSVNSSALPAVQGFAFAALATSLIGYAVSFPKQLADTLKLISERVVYRKEVMSPSRLRLCEGGRVGAVVYSKGKMGTSGQACFGVSRSQMLSNRVNEGVGDGSPSTILVMWIVLIFSVLIASSYNAAFSRALEFAGVYANCFLFGVLPPAMAWIHRSRKKDSKEELLPGGNGVLFVLFVIAIILGIWH >DRNTG_18629.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3215524:3225190:1 gene:DRNTG_18629 transcript:DRNTG_18629.10 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSKIPSFSSGSELGSAVVNDLEIAGRCQGSSTNWKRSRSQSALKSSIKSVPSPAKRIALRRCSNAIVDSKGEIKLDLQQCCDDSNGEPRVVPSSKTLREERSSDPCFQRSTWNPRDPAQIQAVKEALHVASAPDNIVCREDEQKSILDFCKACIEQDKSGSLYVCGCPGTGKSLSVKKVKELLVLWAKEAGFQAPEVLSINCTLLTKASEIFSKVIEKLHPHLKNNSCSPLQDLQKLYSQKRQLSSGKMMMIIVDEMDYLRTRDHQVLHDLFMLSTLPYSQCILIGIANTIDLADRFLPRVESLNCKPNVVTYCAYSKDQILKIIQQRLIVLGYDIFEPIALEFCARKVAAASGDMRKALDVCRSAIEVFEAELRLATNKEELKIVRFDHMDIALSRAFKPLIVDTIQSLPQHQQIILCSVVKFFWQCKKNATTIGELNRSYSEICKGNHIPPVGMLEFTNMCRALSDQGLLNVGQAKEIKQKRVTLKIDGSDVIFALKEIRFFNNCLEFLNK >DRNTG_18629.25.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3227316:3229012:1 gene:DRNTG_18629 transcript:DRNTG_18629.25 gene_biotype:protein_coding transcript_biotype:protein_coding MILSHLLHFRTSSYPTLHSPTSPFLNPPHLLISLNPSKTLHFLPHFPNFLCKSSNHFPSPSPLPHGNLHFHSPRPKTTTTTTTTKSFFAAVSLIIGTAVGPGMLGLPSATILSGPLPSTLSILLSWLYVISSIILVSELSFAAMRHQNLPEVSFTSLATASLGPDFGAFVAVVYSCLSFSLLLACVSGISSLVFQLFPWFNAALACALAPSLVGVAIAFFPFNVIDFANRLLCCLMLVSITALVVFGLSAGRSSLLSSIGYASWSPNAILPAIPVTVLTLGFHVITPFVCMLLRDSMEDARKAILFGGFVPLAMVVSWNLVVLGLAGNGVGAVCVGSDPIKLLLSVNSSALPAVQGFAFAALATSLIGYAVSFPKQLADTLKLISERVVYRKEVMSPSRLRLCEGGRVGAVVYSKGKMGTSGQACFGVSRSQMLSNRVNEGVGDGSPSTILVMWIVLIFSVLIASSYNAAFSRALEFAGVYANCFLFGVLPPAMAWIHRSRKKDRYDHFFQNCSFLL >DRNTG_18629.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3215524:3225354:1 gene:DRNTG_18629 transcript:DRNTG_18629.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSKIPSFSSGSELGSAVVNDLEIAGRCQGSSTNWKRSRSQSALKSSIKSVPSPAKRIALRRCSNAIVDSKGEQCCDDSNGEPRVVPSSKTLREERSSDPCFQRSTWNPRDPAQIQAVKEALHVASAPDNIVCREDEQKSILDFCKACIEQDKSGSLYVCGCPGTGKSLSVKKVKELLVLWAKEAGFQAPEVLSINCTLLTKASEIFSKVIEKLHPHLKNNSCSPLQDLQKLYSQKRQLSSGKMMMIIVDEMDYLRTRDHQVLHDLFMLSTLPYSQCILIGIANTIDLADRFLPRVESLNFR >DRNTG_18629.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3227225:3230612:1 gene:DRNTG_18629 transcript:DRNTG_18629.18 gene_biotype:protein_coding transcript_biotype:protein_coding MILSHLLHFRTSSYPTLHSPTSPFLNPPHLLISLNPSKTLHFLPHFPNFLCKSSNHFPSPSPLPHGNLHFHSPRPKTTTTTTTTKSFFAAVSLIIGTAVGPGMLGLPSATILSGPLPSTLSILLSWLYVISSIILVSELSFAAMRHQNLPEVSFTSLATASLGPDFGAFVAVVYSCLSFSLLLACVSGISSLVFQLFPWFNAALACALAPSLVGVAIAFFPFNVIDFANRLLCCLMLVSITALVVFGLSAGRSSLLSSIGYASWSPNAILPAIPVTVLTLGFHVITPFVCMLLRDSMEDARKAILFGGFVPLAMVVSWNLVVLGLAGNGVGAVCVGSDPIKLLLSVNSSALPAVQGFAFAALATSLIGYAVSFPKQLADTLKLISERVVYRKEVMSPSRLRLCEGGRVGAVVYSKGKMGTSGQACFGVSRSQMLSNRVNEGVGDGSPSTILVMWIVLIFSVLIASSYNAAFSRALEFAGVYANCFLFGVLPPAMAWIHRSRKKDSKEELLPGGNGVLFVLFVIAIILGIWH >DRNTG_22139.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5851587:5853866:-1 gene:DRNTG_22139 transcript:DRNTG_22139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQNSTALPTSQQQQQQQQQQQQIKCPRCDSTNTKFSYYNNYNLSQPQALLQVLPPILDSRRRSP >DRNTG_22139.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5851587:5853809:-1 gene:DRNTG_22139 transcript:DRNTG_22139.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQNSTALPTSQQQQQQQQQQQQIKCPRCDSTNTKFSYYNNYNLSQPQALLQVLPPILDSRRRSP >DRNTG_22139.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5851587:5853809:-1 gene:DRNTG_22139 transcript:DRNTG_22139.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMQNSTALPTSQQQQQQQQQQQQIKCPRCDSTNTKFSYYNNYNLSQPQALLQVLPPILDSRRRSP >DRNTG_22139.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5851587:5853809:-1 gene:DRNTG_22139 transcript:DRNTG_22139.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMQNSTALPTSQQQQQQQQQQQQIKCPRCDSTNTKFSYYNNYNLSQPQALLQVLPPILDSRRRSP >DRNTG_22139.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5851587:5853809:-1 gene:DRNTG_22139 transcript:DRNTG_22139.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMQNSTALPTSQQQQQQQQQQQQIKCPRCDSTNTKFSYYNNYNLSQPQALLQVLPPILDSRRRSP >DRNTG_04189.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9661342:9661632:-1 gene:DRNTG_04189 transcript:DRNTG_04189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVFVQFKDQDTRAVHKRGDVCQLPRRFKSIHHLEGHKGSHIFIFLLFVKIARPLKDTSMKKSFIAYHMDVCPDMWP >DRNTG_24085.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26367564:26371719:1 gene:DRNTG_24085 transcript:DRNTG_24085.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSRIFRRTSHRPFPSILLLLHSHHLPPLPQPPPPPTTHLSNSPLITAFFPSLPISFSFSSSSHNPTTNLRGDDDLQSDTEGDDNGDYIDSQRIAHQLDDEMLRDVTTVITSLADFSTDAAGAKRRLEDCGVVPVPELVAEVLSRLRNDWGPAFTFFLWAGNGNHHGYSHSVREYHSMIAILAKMRRFDTAWTLVGEMKRSSLVTPQTLLILIRRYAAIHDVAKAINTFYALKRFGFSLRVEDFHGLLSALCRYKNVSDAEHLLLCNEKAFPFETKSFNIILNGWCSVLVYLREAKRFWKDMDRRGIAKDVVSYGSMISCYSKAGNLKDVLKLFDRMKEDGVLPDRKVYNAVIFALAKHNCMDEAKKLLKIMEEKGVSPNAVTFNSLIRPLCKARLIDDARLLFDEMWQRGISPSIRTFHSFFDVLRTQEEVFELLDRMKQTGCCPVIDTYIMLIRKLCRWRQHESVFKLWDDMSENGLLPDRSAYIVLIHGLFLNGKLEEASKYYDEMKAKGFVPEPKTEEMIQTWLSAKDTSMRSGLAELNAKRVANGSFSKKDRVTSNISQPHFLKQPEMRSVTRERGFSFW >DRNTG_24085.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26367564:26372427:1 gene:DRNTG_24085 transcript:DRNTG_24085.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSRIFRRTSHRPFPSILLLLHSHHLPPLPQPPPPPTTHLSNSPLITAFFPSLPISFSFSSSSHNPTTNLRGDDDLQSDTEGDDNGDYIDSQRIAHQLDDEMLRDVTTVITSLADFSTDAAGAKRRLEDCGVVPVPELVAEVLSRLRNDWGPAFTFFLWAGNGNHHGYSHSVREYHSMIAILAKMRRFDTAWTLVGEMKRSSLVTPQTLLILIRRYAAIHDVAKAINTFYALKRFGFSLRVEDFHGLLSALCRYKNVSDAEHLLLCNEKAFPFETKSFNIILNGWCSVLVYLREAKRFWKDMDRRGIAKDVVSYGSMISCYSKAGNLKDVLKLFDRMKEDGVLPDRKVYNAVIFALAKHNCMDEAKKLLKIMEEKGVSPNAVTFNSLIRPLCKARLIDDARLLFDEMWQRGISPSIRTFHSFFDVLRTQEEVFELLDRMKQTGCCPVIDTYIMLIRKLCRWRQHESVFKLWDDMSENGLLPDRSAYIVLIHGLFLNGKLEEASKYYDEMKAKGFVPEPKTEEMIQTWLSAKDTSMRSGLAELNAKRVANGSFSKKDRVTSNISQPHFLKQPEMRSVTRERGFSFW >DRNTG_24085.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26367698:26371719:1 gene:DRNTG_24085 transcript:DRNTG_24085.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSRIFRRTSHRPFPSILLLLHSHHLPPLPQPPPPPTTHLSNSPLITAFFPSLPISFSFSSSSHNPTTNLRGDDDLQSDTEGDDNGDYIDSQRIAHQLDDEMLRDVTTVITSLADFSTDAAGAKRRLEDCGVVPVPELVAEVLSRLRNDWGPAFTFFLWAGNGNHHGYSHSVREYHSMIAILAKMRRFDTAWTLVGEMKRSSLVTPQTLLILIRRYAAIHDVAKAINTFYALKRFGFSLRVEDFHGLLSALCRYKNVSDAEHLLLCNEKAFPFETKSFNIILNGWCSVLVYLREAKRFWKDMDRRGIAKDVVSYGSMISCYSKAGNLKDVLKLFDRMKEDGVLPDRKVYNAVIFALAKHNCMDEAKKLLKIMEEKGVSPNAVTFNSLIRPLCKARLIDDARLLFDEMWQRGISPSIRTFHSFFDVLRTQEEVFELLDRMKQTGCCPVIDTYIMLIRKLCRWRQHESVFKLWDDMSENGLLPDRSAYIVLIHGLFLNGKLEEASKYYDEMKAKGFVPEPKTEEMIQTWLSAKDTSMRSGLAELNAKRVANGSFSKKDRVTSNISQPHFLKQPEMRSVTRERGFSFW >DRNTG_24085.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26367698:26371362:1 gene:DRNTG_24085 transcript:DRNTG_24085.8 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSRIFRRTSHRPFPSILLLLHSHHLPPLPQPPPPPTTHLSNSPLITAFFPSLPISFSFSSSSHNPTTNLRGDDDLQSDTEGDDNGDYIDSQRIAHQLDDEMLRDVTTVITSLADFSTDAAGAKRRLEDCGVVPVPELVAEVLSRLRNDWGPAFTFFLWAGNGNHHGYSHSVREYHSMIAILAKMRRFDTAWTLVGEMKRSSLVTPQTLLILIRRYAAIHDVAKAINTFYALKRFGFSLRVEDFHGLLSALCRYKNVSDAEHLLLCNEKAFPFETKSFNIILNGWCSVLVYLREAKRFWKDMDRRGIAKDVVSYGSMISCYSKAGNLKDVLKLFDRMKEDGVLPDRKVYNAVIFALAKHNCMDEAKKLLKIMEEKGVSPNAVTFNSLIRPLCKARLIDDARLLFDEMWQRGISPSIRTFHSFFDVLRTQEEVFELLDRMKQTGCCPVIDTYIMLIRKLCRWRQHESVFKLWDDMSENGLLPDRSAYIVLIHGLFLNGKLEEASKYYDEMKAKGFVPEPKTEEMIQTWLSAKDTSMRSGLAELNAKRVANGSFSKKDRVTSNISQPHFLKQPEMRSVTRERGFSFW >DRNTG_24085.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26367698:26371950:1 gene:DRNTG_24085 transcript:DRNTG_24085.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSRIFRRTSHRPFPSILLLLHSHHLPPLPQPPPPPTTHLSNSPLITAFFPSLPISFSFSSSSHNPTTNLRGDDDLQSDTEGDDNGDYIDSQRIAHQLDDEMLRDVTTVITSLADFSTDAAGAKRRLEDCGVVPVPELVAEVLSRLRNDWGPAFTFFLWAGNGNHHGYSHSVREYHSMIAILAKMRRFDTAWTLVGEMKRSSLVTPQTLLILIRRYAAIHDVAKAINTFYALKRFGFSLRVEDFHGLLSALCRYKNVSDAEHLLLCNEKAFPFETKSFNIILNGWCSVLVYLREAKRFWKDMDRRGIAKDVVSYGSMISCYSKAGNLKDVLKLFDRMKEDGVLPDRKVYNAVIFALAKHNCMDEAKKLLKIMEEKGVSPNAVTFNSLIRPLCKARLIDDARLLFDEMWQRGISPSIRTFHSFFDVLRTQEEVFELLDRMKQTGCCPVIDTYIMLIRKLCRWRQHESVFKLWDDMSENGLLPDRSAYIVLIHGLFLNGKLEEASKYYDEMKAKGFVPEPKTEEMIQTWLSAKDTSMRSGLAELNAKRVANGSFSKKDRVTSNISQPHFLKQPEMRSVTRERGFSFW >DRNTG_24085.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26367698:26372575:1 gene:DRNTG_24085 transcript:DRNTG_24085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSRIFRRTSHRPFPSILLLLHSHHLPPLPQPPPPPTTHLSNSPLITAFFPSLPISFSFSSSSHNPTTNLRGDDDLQSDTEGDDNGDYIDSQRIAHQLDDEMLRDVTTVITSLADFSTDAAGAKRRLEDCGVVPVPELVAEVLSRLRNDWGPAFTFFLWAGNGNHHGYSHSVREYHSMIAILAKMRRFDTAWTLVGEMKRSSLVTPQTLLILIRRYAAIHDVAKAINTFYALKRFGFSLRVEDFHGLLSALCRYKNVSDAEHLLLCNEKAFPFETKSFNIILNGWCSVLVYLREAKRFWKDMDRRGIAKDVVSYGSMISCYSKAGNLKDVLKLFDRMKEDGVLPDRKVYNAVIFALAKHNCMDEAKKLLKIMEEKGVSPNAVTFNSLIRPLCKARLIDDARLLFDEMWQRGISPSIRTFHSFFDVLRTQEEVFELLDRMKQTGCCPVIDTYIMLIRKLCRWRQHESVFKLWDDMSENGLLPDRSAYIVLIHGLFLNGKLEEASKYYDEMKAKGFVPEPKTEEMIQTWLSAKDTSMRSGLAELNAKRVANGSFSKKDRVTSNISQPHFLKQPEMRSVTRERGFSFW >DRNTG_24085.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26367564:26371950:1 gene:DRNTG_24085 transcript:DRNTG_24085.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSRIFRRTSHRPFPSILLLLHSHHLPPLPQPPPPPTTHLSNSPLITAFFPSLPISFSFSSSSHNPTTNLRGDDDLQSDTEGDDNGDYIDSQRIAHQLDDEMLRDVTTVITSLADFSTDAAGAKRRLEDCGVVPVPELVAEVLSRLRNDWGPAFTFFLWAGNGNHHGYSHSVREYHSMIAILAKMRRFDTAWTLVGEMKRSSLVTPQTLLILIRRYAAIHDVAKAINTFYALKRFGFSLRVEDFHGLLSALCRYKNVSDAEHLLLCNEKAFPFETKSFNIILNGWCSVLVYLREAKRFWKDMDRRGIAKDVVSYGSMISCYSKAGNLKDVLKLFDRMKEDGVLPDRKVYNAVIFALAKHNCMDEAKKLLKIMEEKGVSPNAVTFNSLIRPLCKARLIDDARLLFDEMWQRGISPSIRTFHSFFDVLRTQEEVFELLDRMKQTGCCPVIDTYIMLIRKLCRWRQHESVFKLWDDMSENGLLPDRSAYIVLIHGLFLNGKLEEASKYYDEMKAKGFVPEPKTEEMIQTWLSAKDTSMRSGLAELNAKRVANGSFSKKDRVTSNISQPHFLKQPEMRSVTRERGFSFW >DRNTG_24085.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26367698:26372427:1 gene:DRNTG_24085 transcript:DRNTG_24085.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSRIFRRTSHRPFPSILLLLHSHHLPPLPQPPPPPTTHLSNSPLITAFFPSLPISFSFSSSSHNPTTNLRGDDDLQSDTEGDDNGDYIDSQRIAHQLDDEMLRDVTTVITSLADFSTDAAGAKRRLEDCGVVPVPELVAEVLSRLRNDWGPAFTFFLWAGNGNHHGYSHSVREYHSMIAILAKMRRFDTAWTLVGEMKRSSLVTPQTLLILIRRYAAIHDVAKAINTFYALKRFGFSLRVEDFHGLLSALCRYKNVSDAEHLLLCNEKAFPFETKSFNIILNGWCSVLVYLREAKRFWKDMDRRGIAKDVVSYGSMISCYSKAGNLKDVLKLFDRMKEDGVLPDRKVYNAVIFALAKHNCMDEAKKLLKIMEEKGVSPNAVTFNSLIRPLCKARLIDDARLLFDEMWQRGISPSIRTFHSFFDVLRTQEEVFELLDRMKQTGCCPVIDTYIMLIRKLCRWRQHESVFKLWDDMSENGLLPDRSAYIVLIHGLFLNGKLEEASKYYDEMKAKGFVPEPKTEEMIQTWLSAKDTSMRSGLAELNAKRVANGSFSKKDRVTSNISQPHFLKQPEMRSVTRERGFSFW >DRNTG_00111.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000029.1:51317:69340:-1 gene:DRNTG_00111 transcript:DRNTG_00111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTPLQSFTRACGNYPCPCMVHKVAHRGDSTPLCALRKNYPTLQESTHPCGNYPQACDSHMVAHKDSRTPLCLLWMSSQCNSRGVWKFHTPVCFLLDALEKSNEKNMK >DRNTG_32258.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25643371:25644693:1 gene:DRNTG_32258 transcript:DRNTG_32258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETKARFTPMNTKSPVMGPGPVWHPSSPPFLLLSFLTRGPMDDDREAMRSWARPGRDGDHWLTRSKTQRKRN >DRNTG_12153.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11965287:11971327:-1 gene:DRNTG_12153 transcript:DRNTG_12153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVDVGNAPWVPQVEMQFGNEDEAYEFYNKYAEIMGFSVRRSKMWTTSKDVLAARTFVCSKQGFRQKKKGFEPKKPRPATRTGCPACLTIKITPTGRYRVTDFISGHNHQLANPLTSHTLRSHRPKTKGRFSELDSMEDPKMVGRTSQGHSFQKSMVKGDAGAVLEYLQKMQVENPLFYYAVRINESDNMSGFFWADAKSMMDYIYFGDVVCFDTTYKTSDYGRPLILFLGVNHHKQLVLFGTALLYDGSRESYAWLFETFKTVMRGKQPKAFLSDRSEEISDVIAEVWPGAAQRLCVWHLFQNATMQLSQVFEGSKTFASEFSRCIFECEEVEEFVSAWESLIEKYDLKDNEWLVKQFEERERWGLPFGRDVFCADFSSTLVKENWNTFLKKGLGQNVDMLQFLEHYDKVVEERRNAEMRADFNACQSLPRIPSFRMLKQAASAYTPAIFKVFEKEFELHMDCMVYSCGEIGATSDYKVIVEEKPKPHFVRYDSVTGTLLCSCKKFEFAGIQCRHVFKVLDFRNIKELPSQYILKRWRKDAKIGTIRHDSGYIMENDPKSSFARRYNYLCYILSSIAARAAKTTESSNFIESQMNLLSEQVEQLLHSRPFQIPYLISATSNEQRDVLESLADSLQHDSNAEAGFVGGATNGILGF >DRNTG_12153.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11965287:11971327:-1 gene:DRNTG_12153 transcript:DRNTG_12153.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVDVGNAPWVPQVEMQFGNEDEAYEFYNKYAEIMGFSVRRSKMWTTSKDVLAARTFVCSKQGFRQKKKGFEPKKPRPATRTGCPACLTIKITPTGRYRVTDFISGHNHQLANPLTSHTLRSHRPKTKGRFSELDSMEDPKMVGRTSQGHSFQKSMVKGDAGAVLEYLQKMQVENPLFYYAVRINESDNMSGFFWADAKSMMDYIYFGDVVCFDTTYKTSDYGRPLILFLGVNHHKQLVLFGTALLYDGSRESYAWLFETFKTVMRGKQPKAFLSDRSEEISDVIAEVWPGAAQRLCVWHLFQNATMQLSQVFEGSKTFASEFSRCIFECEEVEEFVSAWESLIEKYDLKDNEWLVKQFEERERWGLPFGRDVFCADFSSTLVKENWNTFLKKGLGQNVDMLQFLEHYDKVVEERRNAEMRADFNACQSLPRIPSFRMLKQAASAYTPAIFKVFEKEFELHMDCMVYSCGEIGATSDYKVIVEEKPKPHFVRYDSVTGTLLCSCKKFEFAGIQCRHVFKVLDFRNIKELPSQYILKRWRKDAKIGTIRHDSGYIMENDPKSSFARRYNYLCYILSSIAARAAKTTESSNFIESQMNLLSEQVEQLLHSRPFQIPYLISATSNEQRDVLESLADSLQHDSNAEAGFVGGATNGILGF >DRNTG_25751.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21541779:21545220:-1 gene:DRNTG_25751 transcript:DRNTG_25751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSNGRRGTFFYQDQEEDQQQQQQQQQQQQQQEEAGPSEINKEDQAQPPLAPVIEREHMFDKVVTPSDVGKLNRLVIPKQHAEKFFPLDSSNNEKGLLLSFEDNTGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFGRGVGEAGRDRLFIDWKRRPDHVSNHSMVIPRFPLPSNAHQYHQYHQYHHHHHHHRPLVPFMTPVMGTSWSSSSSNSNSSSSTTTTYDRFYLQTPTRHNFLNNCNSVPAGQFILFRPPVAGVLPQANAQVGVGGDRAIGTPMVLDSVPVVHNQVTAKRVRLFGVNLDCPQSQDSNIIIPESLVPHPQPRNPPFYFPLAGASSSEDETPLSLDLDI >DRNTG_25751.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21543734:21545280:-1 gene:DRNTG_25751 transcript:DRNTG_25751.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSNGRRGTFFYQDQEEDQQQQQQQQQQQQQQEEAGPSEINKEDQAQPPLAPVIEREHMFDKVVTPSDVGKLNRLVIPKQHAEKFFPLDSSNNEKGLLLSFEDNTGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFGRGVGEAGRDRLFIDWKRRPDHVSNHSMVIPRFPLPSNAHQYHQYHQYHHHHHHHRPLVPFMTPVMGTSWSSSSSNSNSSSSTTTTYDRFYLQTPTRHNFLNNCNSVPAGQFILFRPPVAGVLPQANAQVGVGGDRAIGTPMVLDSVPVVHNQVTAKRVRLFGVNLDCPQSQDSNIIIPESLVPHPQPRNPPFYFPLAGASSSEDETPLSLDLDI >DRNTG_25751.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21543714:21545220:-1 gene:DRNTG_25751 transcript:DRNTG_25751.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSNGRRGTFFYQDQEEDQQQQQQQQQQQQQQEEAGPSEINKEDQAQPPLAPVIEREHMFDKVVTPSDVGKLNRLVIPKQHAEKFFPLDSSNNEKGLLLSFEDNTGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFGRGVGEAGRDRLFIDWKRRPDHVSNHSMVIPRFPLPSNAHQYHQYHQYHHHHHHHRPLVPFMTPVMGTSWSSSSSNSNSSSSTTTTYDRFYLQTPTRHNFLNNCNSVPAGQFILFRPPVAGVLPQANAQVGVGGDRAIGTPMVLDSVPVVHNQVTAKRVRLFGVNLDCPQSQDSNIIIPESLVPHPQPRNPPFYFPLAGASSSEDETPLSLDLDI >DRNTG_25751.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21543734:21545220:-1 gene:DRNTG_25751 transcript:DRNTG_25751.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSNGRRGTFFYQDQEEDQQQQQQQQQQQQQQEEAGPSEINKEDQAQPPLAPVIEREHMFDKVVTPSDVGKLNRLVIPKQHAEKFFPLDSSNNEKGLLLSFEDNTGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFGRGVGEAGRDRLFIDWKRRPDHVSNHSMVIPRFPLPSNAHQYHQYHQYHHHHHHHRPLVPFMTPVMGTSWSSSSSNSNSSSSTTTTYDRFYLQTPTRHNFLNNCNSVPAGQFILFRPPVAGVLPQANAQVGVGGDRAIGTPMVLDSVPVVHNQVTAKRVRLFGVNLDCPQSQDSNIIIPESLVPHPQPRNPPFYFPLAGASSSEDETPLSLDLDI >DRNTG_25751.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21542852:21545220:-1 gene:DRNTG_25751 transcript:DRNTG_25751.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSNGRRGTFFYQDQEEDQQQQQQQQQQQQQQEEAGPSEINKEDQAQPPLAPVIEREHMFDKVVTPSDVGKLNRLVIPKQHAEKFFPLDSSNNEKGLLLSFEDNTGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFGRGVGEAGRDRLFIDWKRRPDHVSNHSMVIPRFPLPSNAHQYHQYHQYHHHHHHHRPLVPFMTPVMGTSWSSSSSNSNSSSSTTTTYDRFYLQTPTRHNFLNNCNSVPAGQFILFRPPVAGVLPQANAQVGVGGDRAIGTPMVLDSVPVVHNQVTAKRVRLFGVNLDCPQSQDSNIIIPESLVPHPQPRNPPFYFPLAGASSSEDETPLSLDLDI >DRNTG_25751.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21543714:21545280:-1 gene:DRNTG_25751 transcript:DRNTG_25751.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSNGRRGTFFYQDQEEDQQQQQQQQQQQQQQEEAGPSEINKEDQAQPPLAPVIEREHMFDKVVTPSDVGKLNRLVIPKQHAEKFFPLDSSNNEKGLLLSFEDNTGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFGRGVGEAGRDRLFIDWKRRPDHVSNHSMVIPRFPLPSNAHQYHQYHQYHHHHHHHRPLVPFMTPVMGTSWSSSSSNSNSSSSTTTTYDRFYLQTPTRHNFLNNCNSVPAGQFILFRPPVAGVLPQANAQVGVGGDRAIGTPMVLDSVPVVHNQVTAKRVRLFGVNLDCPQSQDSNIIIPESLVPHPQPRNPPFYFPLAGASSSEDETPLSLDLDI >DRNTG_25751.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21543734:21545368:-1 gene:DRNTG_25751 transcript:DRNTG_25751.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSNGRRGTFFYQDQEEDQQQQQQQQQQQQQQEEAGPSEINKEDQAQPPLAPVIEREHMFDKVVTPSDVGKLNRLVIPKQHAEKFFPLDSSNNEKGLLLSFEDNTGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFGRGVGEAGRDRLFIDWKRRPDHVSNHSMVIPRFPLPSNAHQYHQYHQYHHHHHHHRPLVPFMTPVMGTSWSSSSSNSNSSSSTTTTYDRFYLQTPTRHNFLNNCNSVPAGQFILFRPPVAGVLPQANAQVGVGGDRAIGTPMVLDSVPVVHNQVTAKRVRLFGVNLDCPQSQDSNIIIPESLVPHPQPRNPPFYFPLAGASSSEDETPLSLDLDI >DRNTG_25751.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21542852:21545220:-1 gene:DRNTG_25751 transcript:DRNTG_25751.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSNGRRGTFFYQDQEEDQQQQQQQQQQQQQQEEAGPSEINKEDQAQPPLAPVIEREHMFDKVVTPSDVGKLNRLVIPKQHAEKFFPLDSSNNEKGLLLSFEDNTGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFGRGVGEAGRDRLFIDWKRRPDHVSNHSMVIPRFPLPSNAHQYHQYHQYHHHHHHHRPLVPFMTPVMGTSWSSSSSNSNSSSSTTTTYDRFYLQTPTRHNFLNNCNSVPAGQFILFRPPVAGVLPQANAQVGVGGDRAIGTPMVLDSVPVVHNQVTAKRVRLFGVNLDCPQSQDSNIIIPESLVPHPQPRNPPFYFPLAGASSSEDETPLSLDLDI >DRNTG_32813.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21578919:21579319:-1 gene:DRNTG_32813 transcript:DRNTG_32813.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGSAAAATTSDEVHGGSAGAGIGDGGVGGIGDPNLVDLNHKTRKVLSSRSIRSWAWKGVIACSAVSVTIGTEPSTASLPPSALSVVKVAKM >DRNTG_13002.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26643308:26643878:-1 gene:DRNTG_13002 transcript:DRNTG_13002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLELLGMAKELGLQEEGCTFWWLRFNGNGLSLAEIKNDADALEMASNVSRNRLMSIYAKVCSISTSNDADKPFAEKNVNLQDASLGNNAKEQVEVENVDMQNDSAGNDDEEQDINENVDMQDVSPEHQGKNDEGSELYDSDYSFDSEEEDINEGSDDAIGLDMVRHSRNEGT >DRNTG_25285.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31589130:31590674:-1 gene:DRNTG_25285 transcript:DRNTG_25285.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASAADDDLHRQRTGFESGASDDTDSDDDSSFAPGGADAVEPPPNPNPSVAFTAADPQNGDVDTSSPELKRRHISELGEIRSTSVAPAGPDDPRRLFQRLFSDEDEIAILKGFLEFVTQRGTTHASYQYDTGPFYDQIKAKLQLEFSKNQLVEKLRRLKKKYRTAVARIGSGRGGSPFKSPHDRAAFELSRQIWSPTFKRPRDQRAEAENSNGDPNTPNPNPVDPIDSAAGFEAPDQSALQSRKRQRERSGPVEVAVEGLATPAMSNNQMIEETMRSCLSPLLKELLNTAILGPRISGGSGSLAAWLNPLPFSLVSGGGAAGMDEKLRKQQIMELEVLAKRMELVKEQIELKLVELKSNKRG >DRNTG_25285.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31589076:31590705:-1 gene:DRNTG_25285 transcript:DRNTG_25285.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASAADDDLHRQRTGFESGASDDTDSDDDSSFAPGGADAVEPPPNPNPSVAFTAADPQNGDVDTSSPELKRRHISELGEIRSTSVAPAGPDDPRRLFQRLFSDEDEIAILKGFLEFVTQRGTTHASYQYDTGPFYDQIKAKLQLEFSKNQLVEKLRRLKKKYRTAVARIGSGRGGSPFKSPHDRAAFELSRQIWSPTFKRPRDQRAEAENSNGDPNTPNPNPVDPIDSAAGFEAPDQSALQSRKRQRERSGPVEVAVEGLATPAMSNNQMIEETMRSCLSPLLKELLNTAILGPRISGGSGSLAAWLNPLPFSLVSGGGAAGMDEKLRKQQIMELEVLAKRMELVKEQIELKLVELKSNKRG >DRNTG_25285.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31589130:31594041:-1 gene:DRNTG_25285 transcript:DRNTG_25285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAADDDLHRQRTGFESGASDDTDSDDDSSFAPGGADAVEPPPNPNPSVAFTAADPQNGDVDTSSPELKRRHISELGEIRSTSVAPAGPDDPRRLFQRLFSDEDEIAILKGFLEFVTQRGTTHASYQYDTGPFYDQIKAKLQLEFSKNQLVEKLRRLKKKYRTAVARIGSGRGGSPFKSPHDRAAFELSRQIWSPTFKRPRDQRAEAENSNGDPNTPNPNPVDPIDSAAGFEAPDQSALQSRKRQRERSGPVEVAVEGLATPAMSNNQMIEETMRSCLSPLLKELLNTAILGPRISGGSGSLAAWLNPLPFSLVSGGGAAGMDEKLRKQQIMELEVLAKRMELVKEQIELKLVELKSNKRG >DRNTG_25285.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31589076:31590674:-1 gene:DRNTG_25285 transcript:DRNTG_25285.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASAADDDLHRQRTGFESGASDDTDSDDDSSFAPGGADAVEPPPNPNPSVAFTAADPQNGDVDTSSPELKRRHISELGEIRSTSVAPAGPDDPRRLFQRLFSDEDEIAILKGFLEFVTQRGTTHASYQYDTGPFYDQIKAKLQLEFSKNQLVEKLRRLKKKYRTAVARIGSGRGGSPFKSPHDRAAFELSRQIWSPTFKRPRDQRAEAENSNGDPNTPNPNPVDPIDSAAGFEAPDQSALQSRKRQRERSGPVEVAVEGLATPAMSNNQMIEETMRSCLSPLLKELLNTAILGPRISGGSGSLAAWLNPLPFSLVSGGGAAGMDEKLRKQQIMELEVLAKRMELVKEQIELKLVELKSNKRG >DRNTG_25285.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31588989:31590705:-1 gene:DRNTG_25285 transcript:DRNTG_25285.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAADDDLHRQRTGFESGASDDTDSDDDSSFAPGGADAVEPPPNPNPSVAFTAADPQNGDVDTSSPELKRRHISELGEIRSTSVAPAGPDDPRRLFQRLFSDEDEIAILKGFLEFVTQRGTTHASYQYDTGPFYDQIKAKLQLEFSKNQLVEKLRRLKKKYRTAVARIGSGRGGSPFKSPHDRAAFELSRQIWSPTFKRPRDQRAEAENSNGDPNTPNPNPVDPIDSAAGFEAPDQSALQSRKRQRERSGPVEVAVEGLATPAMSNNQMIEETMRSCLSPLLKELLNTAILGPRISGGSGSLAAWLNPLPFSLVSGGGAAGMDEKLRKQQIMELEVLAKRMELVKEQIELKLVELKSNKRG >DRNTG_25865.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8963855:8966473:-1 gene:DRNTG_25865 transcript:DRNTG_25865.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRQLDSETKRSYKVQNTTKSTNKI >DRNTG_25865.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8963813:8966473:-1 gene:DRNTG_25865 transcript:DRNTG_25865.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRQLDSETKRSYKVQNTTKSTNKI >DRNTG_25865.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8963741:8966337:-1 gene:DRNTG_25865 transcript:DRNTG_25865.9 gene_biotype:protein_coding transcript_biotype:protein_coding NPKKAKFINLLALEDSLPQDTKLPPLTPIPDKSQNP >DRNTG_25865.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8963700:8966337:-1 gene:DRNTG_25865 transcript:DRNTG_25865.6 gene_biotype:protein_coding transcript_biotype:protein_coding KTVCPKTLSCHHSHLFLINHQASKKQHGCIYTPKQREKGCLRFSCPAPPQASSPPSIKALIPSKNPSQKQERKRTAYKSQNP >DRNTG_25865.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8963855:8966337:-1 gene:DRNTG_25865 transcript:DRNTG_25865.11 gene_biotype:protein_coding transcript_biotype:protein_coding KTVCPKTLSCHHSHLFLINHQASKKQHGCIYTPKQREKGCLRFSCPAPPQASSPPSIKALIPSKNPSQKQERKRTAYKSQNP >DRNTG_25865.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8963813:8964455:-1 gene:DRNTG_25865 transcript:DRNTG_25865.13 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGKNIQTFQHHKQRQDPISYKVFKPLHMHKRN >DRNTG_25865.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8963741:8966473:-1 gene:DRNTG_25865 transcript:DRNTG_25865.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGNGCQVWLWWEKVSSLKEEDKEKLTISIEE >DRNTG_25865.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8963855:8964455:-1 gene:DRNTG_25865 transcript:DRNTG_25865.14 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGKNIQTFQHHKQRQDPISYKVFKPLHMHKRN >DRNTG_25865.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8963700:8966473:-1 gene:DRNTG_25865 transcript:DRNTG_25865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRQLDSETKRSYKVQNTTKSTNKI >DRNTG_25865.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8963700:8964455:-1 gene:DRNTG_25865 transcript:DRNTG_25865.12 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGKNIQTFQHHKQRQDPISYKVFKPLHMHKRN >DRNTG_25865.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8963855:8966549:-1 gene:DRNTG_25865 transcript:DRNTG_25865.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRQLDSETKRSYKVQNTTKSTNKI >DRNTG_25865.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8963741:8966337:-1 gene:DRNTG_25865 transcript:DRNTG_25865.8 gene_biotype:protein_coding transcript_biotype:protein_coding KTVCPKTLSCHHSHLFLINHQASKKQHGCIYTPKQREKGCLRFSCPAPPQASSPPSIKALIPSKNPSQKQERKRTAYKSQNP >DRNTG_25865.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8963813:8966337:-1 gene:DRNTG_25865 transcript:DRNTG_25865.10 gene_biotype:protein_coding transcript_biotype:protein_coding KTVCPKTLSCHHSHLFLINHQASKKQHGCIYTPKQREKGCLRFSCPAPPQASSPPSIKALIPSKNPSQKQERKRTAYKSQNP >DRNTG_25865.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8963813:8966549:-1 gene:DRNTG_25865 transcript:DRNTG_25865.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRQLDSETKRSYKVQNTTKSTNKI >DRNTG_25865.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8966076:8966473:-1 gene:DRNTG_25865 transcript:DRNTG_25865.15 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRQLDSETKRSYKVQNTTKSTNKI >DRNTG_14378.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:428486:432461:1 gene:DRNTG_14378 transcript:DRNTG_14378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLELQAFSVDFGCFPKFRVKKGSGMRFFVKGSAVSSPQSVQDASLRMQRSKKTVRVGVLGASGYTGSEIVRLLANHPHFGITLMTADRKAGQSIGSVFPHLITQDLPDMVAIKDADFSDVDAVFCCLPHGTTQEVIKGLPKGPKIVDLSADFRLRNVDEYEKWYGQPHRAAELQKEAIYGLTEVSRDEIKNAWLVANPGCYPTSIQLPLVPLIKERLISLENIIIDAKSGVSGAGRGAKEANLYTEVSEGIHAYGITNHRHVPEIEQGLSDTSQCKVTVSFTPHLMPMSRGMQSTIYVEMAQGVTIDDLYKQLASAYEGEEFVKVLEKGAVPHTRNVRGSNYCFMNVFPDRIPGRAIIISVIDNLVKGASGQALQNLNLMMGFPENAGLQYQPLFP >DRNTG_24482.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18889585:18891180:1 gene:DRNTG_24482 transcript:DRNTG_24482.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQSEDAALALRLQREEFMEAFRENDNEQQQQQQHQPRNASVYTARNNLRAMASRAVNFRRRGRFPYIID >DRNTG_24482.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18889277:18891180:1 gene:DRNTG_24482 transcript:DRNTG_24482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEEEKKDESMLSPGFRSAAAMAGWDEEALLLASLIVEDTPLRESRQKKRPGFLSKTPSSTNSIRKRRPRRQSPGSLPAVVLCLDDEKNDVQEGDGVKRREEGDGGVDEEKNGGEEVSAEKVSENGFPCIDRLREELSCAICLEVCFEPSTTPCGHSFCIKCLKSSASKCGKRCPKCRQLISSTRSYTVNTVLWNTIQLLFPDEIKARTKCINTSSSPKTSPERRNRNTITSSARTTISQAPRAIARDNNNGSNRRRRAMPSQSEDAALALRLQREEFMEAFRENDNEQQQQQQHQPRNASVYTARNNLRAMASRAVNFRRRGRFPYIID >DRNTG_26545.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5635444:5636953:1 gene:DRNTG_26545 transcript:DRNTG_26545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTVEEIIDDVAIAASEKIVYSVVNEIPNPVEQVAESVASKMDTISEEQKQAKGVSPVDAVTVATVEEIIESVVVAMADNTIPQQQEACKDSSAVDIVVVPASKEDVADAEHRQGSTTVPHDHPNQATRDMIATNQKLDKKARKIFVPKKKKWVGQSRLNEYEQELIRIFLNCPMDSTVVWKNDAVSTTRDKLYTLLEGKKMVINDVMDAFVCIIQKSLSKVPYPYKKRASITGPLALFMSKQDDAHETTMAMIRDVVPNLHEVKIVILQIIMNGHFHVVVLDNEKQEYKHYSSYQSAWYDKDALDMVTEFIRHLRLKYPLVHDMETPQQKQGSVDRAVYVMRFIEQLLADKKLQLPQTDVPYLRLKYVSRILKEGRAASVHEKGGSSQAG >DRNTG_13918.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19689092:19694345:-1 gene:DRNTG_13918 transcript:DRNTG_13918.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPIDVVLEILSFANKFCCDGLKSACDERLASLVLTIDDALQLIDFGLEETAYLLVAACLQVFLRGLPKSLQDPEIMRLLCSLEGKERLLQAGHASFALYYFLSQVAMEEEMRSNTTVMLFERLNECAAPGWQKQLALHQLGCVMLERQEYKDAQKLFEEAVAEGHAYSQIGVARAKYKRGHKYAAYKQINGLFSEHNPTGWMYQERSLYGIGKEKMADLKIATELDPTLSYPYKYRAITLMEDNKISAAIAEINKIIRFKVSTDCLELRAWFFLAIEDYEGALQDIRALMTLDPNYMMFHGKLHGEQMIEILKQRVHQLDMADCWMQLYDRWSAVDDIGSLAVVHQMLSKEPANSSLRFRQSLLLLRLNCQKAAMHSLRMARNNSSQEHERLVYEGWILYDTGHREEALAKAEESIAIQRSFEAFFLKAYALADSSLDQSLSSYVIQLLEQANSCASDNLRKGQAHNNMGSVYVDCDLLDAAAECYQKALGIKHTRAHQGLARVYYLKNQKKAAYDEMTKLIEKAKNNASAYEKRSEYCEREMAKNDLYMATKIDPLRTYPYRYRAAVMMDDHKEEEAIAELTKAISFKPDLQLLHLRAAFFDSMGNTLATLRDCEAALCLDPNHSDTIDLYNRAQEKANSPSKAPSKA >DRNTG_34850.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2428070:2428667:-1 gene:DRNTG_34850 transcript:DRNTG_34850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSVTVAGGGGSSSTPTTPTSPSTTTPSTTITPPSSTTTTTTGTHYSGAGALSPATAILVGVLSLLKLTLL >DRNTG_27745.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4289219:4297246:1 gene:DRNTG_27745 transcript:DRNTG_27745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVSPNGRFKRNITSWMRGHRLGSGSFGTVFEGISNDGFFFAVKEVSLLDQGNNAKQCILQLEQEIALLSQFEHDNIVQYVGTDKEEAKLYIFLELATQGSLASLYQKYHLQDSQVSAYTRQILNGLSYLHDRNVVHRDIKCANILVDSQGTVKLADFGLAKEITKLDVLKSCKGSAYWMAPEVVNPKRAYGPAADIWSLGCTVLEMLTRQVPYPNLEWTHAFYKIGRGEPPPLPNTLSLDARDFIQRCVQVNPYHRPTASQLSEHQFVRRSMSTSTSPNNSFRDCNRHG >DRNTG_27745.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4289219:4296759:1 gene:DRNTG_27745 transcript:DRNTG_27745.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVSPNGRFKRNITSWMRGHRLGSGSFGTVFEGISNDGFFFAVKEVSLLDQGNNAKQCILQLEQEIALLSQFEHDNIVQYVGTDKEEAKLYIFLELATQGSLASLYQKYHLQDSQVSAYTRQILNGLSYLHDRNVVHRDIKCANILVDSQGTVKLADFGLAKEITKLDVLKSCKGSAYWMAPEVVNPKRAYGPAADIWSLGCTVLEMLTRQVPYPNLEWTHAFYKIGRGEPPPLPNTLSLDARDFIQRCVQVNPYHRPTASQLSEHQFVRRSMSTSTSPNNSFRDCNRHG >DRNTG_11794.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:451111:455842:1 gene:DRNTG_11794 transcript:DRNTG_11794.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPREQEMMERRREEEGGEMKMNININEGFGGEEEEDEDEYTMDGSVDIRGNPASKNKTGGWIAASLVLVNQGLATLAFFGVNVNLVLFLTRVLKQNNADAANNVSKWTGTVYIFSLVGAFLSDSYWGRYKTCAIFQFIFVLGLVLLSLSSYLFLLKPTGCGGEVTACGPHSGVEVGLFYLSIYMTALGNGGYQPNIATFGADQFDEENPKESHSKISFFSYFYLALNLGSLFSNTFLSYFENEGSWALGFWASAVSAFVALVLFLGGTPRYRYFKPGGNPLFRVAQVAVAAVRKWKIKMANGGENLFETDIKEFSSTNTGIRKILHTEGFRFLDKAAYVDNEEDMNTKKKERNPWRLCTITQVEEVKCILRLLPIWLCTIIYSVVFTQMASLFVEQGAAMSTKVFSFPIPPASMSAFDILSVSVFIFLYRRVLHPLFSKLRKDPKGLTELHRMGVGLIIAVIAMISAGTVEHYRLKHKGHLSILYQIPQYVLIGASEVFMYVGQLEFFNSQAPDGLKSFGSALCMTSMSLGNYFSSLLVSLVMDITTSHRHAGWIPADLNKGNLDRFYFLLAAMTSVDFVVYVACAKWYKCIKLEPKSSHYQDDDDEEEDEDEYDQNESEGGIITSV >DRNTG_28045.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3352466:3353120:-1 gene:DRNTG_28045 transcript:DRNTG_28045.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRSMPQYTSSFSGRFGYEDGSNINGYSFNGPNGKQDGFTSSSDPELKRKRRVASYNLFTMEGKLKSSVRSSFKWIKNKLTDVRYGV >DRNTG_04921.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8894323:8896826:1 gene:DRNTG_04921 transcript:DRNTG_04921.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral root primordium (LRP) protein-related [Source:Projected from Arabidopsis thaliana (AT5G12330) TAIR;Acc:AT5G12330] MLVVAPAASFHHHHHHHESLLPSSDHPIIPLLTAAPCVGDDDVRAKHSGIQFWQPQTHPPPPQNPNPNPTIPYLKKPVPMLDAPGGILSSVAAGGATCQDCGNQAKKDCNHHRCRTCCKSRGFECSTHVKSTWVPAARRRERQIAATAAAAGSSGSTSTSKKPRLISSQTTTTSHTSTSNNTPPRSFDTSSSHQDAGFREGLPGHVRAPAVFKCVRVTSIDDGEDEYAYQAMVRIGGHVFKGFLYDQGLDDQTRNEDINNNTSTSNNNNNSNNNNTGAIPNISDLHLGGGPSDVFAGGGSSGGGGLLGGTTTYGNPIN >DRNTG_04921.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8894452:8896826:1 gene:DRNTG_04921 transcript:DRNTG_04921.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral root primordium (LRP) protein-related [Source:Projected from Arabidopsis thaliana (AT5G12330) TAIR;Acc:AT5G12330] MLVVAPAASFHHHHHHHESLLPSSDHPIIPLLTAAPCVGDDDVRAKHSGIQFWQPQTHPPPPQNPNPNPTIPYLKKPVPMLDAPGGILSSVAAGGATCQDCGNQAKKDCNHHRCRTCCKSRGFECSTHVKSTWVPAARRRERQIAATAAAAGSSGSTSTSKKPRLISSQTTTTSHTSTSNNTPPRSFDTSSSHQDAGFREGLPGHVRAPAVFKCVRVTSIDDGEDEYAYQAMVRIGGHVFKGFLYDQGLDDQTRNEDINNNTSTSNNNNNSNNNNTGAIPNISDLHLGGGPSDVFAGGGSSGGGGLLGGTTTYGNPIN >DRNTG_04921.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8894452:8896757:1 gene:DRNTG_04921 transcript:DRNTG_04921.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral root primordium (LRP) protein-related [Source:Projected from Arabidopsis thaliana (AT5G12330) TAIR;Acc:AT5G12330] MLVVAPAASFHHHHHHHESLLPSSDHPIIPLLTAAPCVGDDDVRAKHSGIQFWQPQTHPPPPQNPNPNPTIPYLKKPVPMLDAPGGILSSVAAGGATCQDCGNQAKKDCNHHRCRTCCKSRGFECSTHVKSTWVPAARRRERQIAATAAAAGSSGSTSTSKKPRLISSQTTTTSHTSTSNNTPPRSFDTSSSHQDAGFREGLPGHVRAPAVFKCVRVTSIDDGEDEYAYQAMVRIGGHVFKGFLYDQGLDDQTRNEDINNNTSTSNNNNNSNNNNTGAIPNISDLHLGGGPSDVFAGGGSSGGGGLLGGTTTYGNPIN >DRNTG_04921.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8894452:8896645:1 gene:DRNTG_04921 transcript:DRNTG_04921.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral root primordium (LRP) protein-related [Source:Projected from Arabidopsis thaliana (AT5G12330) TAIR;Acc:AT5G12330] MLVVAPAASFHHHHHHHESLLPSSDHPIIPLLTAAPCVGDDDVRAKHSGIQFWQPQTHPPPPQNPNPNPTIPYLKKPVPMLDAPGGILSSVAAGGATCQDCGNQAKKDCNHHRCRTCCKSRGFECSTHVKSTWVPAARRRERQIAATAAAAGSSGSTSTSKKPRLISSQTTTTSHTSTSNNTPPRSFDTSSSHQDAGFREGLPGHVRAPAVFKCVRVTSIDDGEDEYAYQAMVRIGGHVFKGFLYDQGLDDQTRNEDINNNTSTSNNNNNSNNNNTGAIPNISDLHLGGGPSDVFAGGGSSGGGGLLGGTTTYGNPIN >DRNTG_04921.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8894502:8896757:1 gene:DRNTG_04921 transcript:DRNTG_04921.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral root primordium (LRP) protein-related [Source:Projected from Arabidopsis thaliana (AT5G12330) TAIR;Acc:AT5G12330] MLVVAPAASFHHHHHHHESLLPSSDHPIIPLLTAAPCVGDDDVRAKHSGIQFWQPQTHPPPPQNPNPNPTIPYLKKPVPMLDAPGGILSSVAAGGATCQDCGNQAKKDCNHHRCRTCCKSRGFECSTHVKSTWVPAARRRERQIAATAAAAGSSGSTSTSKKPRLISSQTTTTSHTSTSNNTPPRSFDTSSSHQDAGFREGLPGHVRAPAVFKCVRVTSIDDGEDEYAYQAMVRIGGHVFKGFLYDQGLDDQTRNEDINNNTSTSNNNNNSNNNNTGAIPNISDLHLGGGPSDVFAGGGSSGGGGLLGGTTTYGNPIN >DRNTG_04921.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8894323:8896645:1 gene:DRNTG_04921 transcript:DRNTG_04921.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral root primordium (LRP) protein-related [Source:Projected from Arabidopsis thaliana (AT5G12330) TAIR;Acc:AT5G12330] MLVVAPAASFHHHHHHHESLLPSSDHPIIPLLTAAPCVGDDDVRAKHSGIQFWQPQTHPPPPQNPNPNPTIPYLKKPVPMLDAPGGILSSVAAGGATCQDCGNQAKKDCNHHRCRTCCKSRGFECSTHVKSTWVPAARRRERQIAATAAAAGSSGSTSTSKKPRLISSQTTTTSHTSTSNNTPPRSFDTSSSHQDAGFREGLPGHVRAPAVFKCVRVTSIDDGEDEYAYQAMVRIGGHVFKGFLYDQGLDDQTRNEDINNNTSTSNNNNNSNNNNTGAIPNISDLHLGGGPSDVFAGGGSSGGGGLLGGTTTYGNPIN >DRNTG_04921.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8894502:8896645:1 gene:DRNTG_04921 transcript:DRNTG_04921.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral root primordium (LRP) protein-related [Source:Projected from Arabidopsis thaliana (AT5G12330) TAIR;Acc:AT5G12330] MLVVAPAASFHHHHHHHESLLPSSDHPIIPLLTAAPCVGDDDVRAKHSGIQFWQPQTHPPPPQNPNPNPTIPYLKKPVPMLDAPGGILSSVAAGGATCQDCGNQAKKDCNHHRCRTCCKSRGFECSTHVKSTWVPAARRRERQIAATAAAAGSSGSTSTSKKPRLISSQTTTTSHTSTSNNTPPRSFDTSSSHQDAGFREGLPGHVRAPAVFKCVRVTSIDDGEDEYAYQAMVRIGGHVFKGFLYDQGLDDQTRNEDINNNTSTSNNNNNSNNNNTGAIPNISDLHLGGGPSDVFAGGGSSGGGGLLGGTTTYGNPIN >DRNTG_09906.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25534370:25540010:1 gene:DRNTG_09906 transcript:DRNTG_09906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSGREDGKKIPKIELNRSLNKARIGHPHAPMDLPHWPVEFPHNCGEFPHDLHQRGRSLKKQSDLQRKCVLVYVNLIKAWFQECFRSCPEKPHGRVEIPQARVSIPQARLEYPQGRVHS >DRNTG_11034.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7357044:7361099:1 gene:DRNTG_11034 transcript:DRNTG_11034.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSPNPSKHTAPRSPRCRPPKHALRSLHSQTPEHSDSEDEKERRALETKTVALRLGS >DRNTG_11034.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7357044:7361099:1 gene:DRNTG_11034 transcript:DRNTG_11034.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSPNPSKHTAPRSPRCRPPKHALRSLHSQTPEHSDSEDEKERRALETKTVALRLGS >DRNTG_12231.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25044595:25045307:-1 gene:DRNTG_12231 transcript:DRNTG_12231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTLVARKGEDPSVEGIYLQWELLAQALMDMASQGIVEMEKIDSFNLPLYTPTLEEVKNAIRREGSFAIKSIQMFDVG >DRNTG_18741.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1208643:1213020:-1 gene:DRNTG_18741 transcript:DRNTG_18741.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L-fucosidase 2 [Source:Projected from Arabidopsis thaliana (AT4G34260) UniProtKB/Swiss-Prot;Acc:Q8L7W8] MARGEEEEEEWVWVRRPSTAEAEWWGGGSDPDPSRPLKVVFTGPAKHWTDAAPIGNGSLGAMVFGGVASETLMLNHDTLWTGVPGYYTNPKAPDVLAEVRKLVDDGHYSEASKVAFDLAGHPSDVYQPLGNINLEFGDSHLEYAAYERELDLRTATAKVKYTVGDVEFTREHFASNPQQVLVIKISASKTGSLSFVVSLDSKLQYNSSVDGVRRIVLEGSCPSSRIAPRENLSNSPTGIQFAAVLDLQVGDAGVVQVVGGKKLKVEGADWAVLLLAAASSFEGPFKKPSDSKKNPKEISLNTLSSIKKMSFSQLFAYHLDDYESLFNRVSLQLSKGSSKTSEENYFGRHKAERPQAVKTNSFSLLTKDSLVSTAERVKSFKINEDPSLVELLFHYGRYLLISSSRPGTQISNLQGIWNKDIEPAWDSPLEYQSADELLAISHLQS >DRNTG_20049.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26191693:26198469:-1 gene:DRNTG_20049 transcript:DRNTG_20049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIHPDNIGKPVRKGDNHETVWTVWKKSSMAFHGTDGFSVYDSSGKLAFRVDNYSRRHKFLSGDLLLMDGSGSPLLSLHPQIFSLHDKWNGYKLEDGLRTEVFSMGKRSIMQSSDEAQVFMLNSTVSTGHGHVAAKPDYKIEGCFWRRHCSILTGTGDVIAEISRKKAKNTSVFLGDDVFTIVIKPGVDFELIMAFIVVMDRISYQKVTKASSVREKEDHSWGLAWVELLATSADLALRNSRERLTDWKESLERSCDESLAAEHQNPGGPNLLKRNWQRMQFKIGLEERPDTESKVTVNQEMISSFRVLIAHNATAEGPTAKLEEHSSTIRRSLEFHSTLVEGSRDVPILEGGRESRDLHTRG >DRNTG_22993.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1752892:1755359:-1 gene:DRNTG_22993 transcript:DRNTG_22993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDQLLAPPSPPPPHRRRLGGWITFPFIIGAMMGTGIATGGMASNLTVYLIDVFNMGKLNAAQLSSFVNGCLNIAPLLMAIVSDSCFGSFLVISTSIISSSLGMLLFFLTATVSLLRASQCSSIGPCESPTSLQLVVLYTAITLWIIGVGGTRLNPATMGANQFDNADDQSTFFNWYLFSLNVAALVGTVGIVYVDDNVGWGWGFGVSEALIVASLVLFISGRSLYRRQKQPQGSPFSALWHAMLLSMKMKKKMAKLDEGDNDFCYHSTIDVAAQRLQDEIIDDLPSSSSSIQSTNQHHLQDLKTAAKILPIFFSSVPAGTSVSMQATLTILQALVMDCHIGHSFQIPPASMLVFNLSSTALFICIFDRVIFPLWRRLTNHTPTPLQRIGLGYILCTIAIALSAQVEHTRLDTLSNKPMSALWLVPPLMLMGLGDALHYPGSMTLYYQEFPASMRSVATGIVSLCSGLGFYLSTAVIGVIRKFTDWLKDDINQSRVDKVYWMIAMIGVLNFGYFLFCVRMFKKGTSVA >DRNTG_22993.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1752892:1755251:-1 gene:DRNTG_22993 transcript:DRNTG_22993.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDQLLAPPSPPPPHRRRLGGWITFPFIIGAMMGTGIATGGMASNLTVYLIDVFNMGKLNAAQLSSFVNGCLNIAPLLMAIVSDSCFGSFLVISTSIISSSLGMLLFFLTATVSLLRASQCSSIGPCESPTSLQLVVLYTAITLWIIGVGGTRLNPATMGANQFDNADDQSTFFNWYLFSLNVAALVGTVGIVYVDDNVGWGWGFGVSEALIVASLVLFISGRSLYRRQKQPQGSPFSALWHAMLLSMKMKKKMAKLDEGDNDFCYHSTIDVAAQRLQDEIIDDLPSSSSSIQSTNQHHLQDLKTAAKILPIFFSSVPAGTSVSMQATLTILQALVMDCHIGHSFQIPPASMLVFNLSSTALFICIFDRVIFPLWRRLTNHTPTPLQRIGLGYILCTIAIALSAQVEHTRLDTLSNKPMSALWLVPPLMLMGLGDALHYPGSMTLYYQEFPASMRSVATGIVSLCSGLGFYLSTAVIGVIRKFTDWLKDDINQSRVDKVYWMIAMIGVLNFGYFLFCVRMFKKGTSVA >DRNTG_22993.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1752892:1755299:-1 gene:DRNTG_22993 transcript:DRNTG_22993.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDQLLAPPSPPPPHRRRLGGWITFPFIIGAMMGTGIATGGMASNLTVYLIDVFNMGKLNAAQLSSFVNGCLNIAPLLMAIVSDSCFGSFLVISTSIISSSLGMLLFFLTATVSLLRASQCSSIGPCESPTSLQLVVLYTAITLWIIGVGGTRLNPATMGANQFDNADDQSTFFNWYLFSLNVAALVGTVGIVYVDDNVGWGWGFGVSEALIVASLVLFISGRSLYRRQKQPQGSPFSALWHAMLLSMKMKKKMAKLDEGDNDFCYHSTIDVAAQRLQDEIIDDLPSSSSSIQSTNQHHLQDLKTAAKILPIFFSSVPAGTSVSMQATLTILQALVMDCHIGHSFQIPPASMLVFNLSSTALFICIFDRVIFPLWRRLTNHTPTPLQRIGLGYILCTIAIALSAQVEHTRLDTLSNKPMSALWLVPPLMLMGLGDALHYPGSMTLYYQEFPASMRSVATGIVSLCSGLGFYLSTAVIGVIRKFTDWLKDDINQSRVDKVYWMIAMIGVLNFGYFLFCVRMFKKGTSVA >DRNTG_22993.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1752892:1755299:-1 gene:DRNTG_22993 transcript:DRNTG_22993.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGMLLFFLTATVSLLRASQCSSIGPCESPTSLQLVVLYTAITLWIIGVGGTRLNPATMGANQFDNADDQSTFFNWYLFSLNVAALVGTVGIVYVDDNVGWGWGFGVSEALIVASLVLFISGRSLYRRQKQPQGSPFSALWHAMLLSMKMKKKMAKLDEGDNDFCYHSTIDVAAQRLQDEIIDDLPSSSSSIQSTNQHHLQDLKTAAKILPIFFSSVPAGTSVSMQATLTILQALVMDCHIGHSFQIPPASMLVFNLSSTALFICIFDRVIFPLWRRLTNHTPTPLQRIGLGYILCTIAIALSAQVEHTRLDTLSNKPMSALWLVPPLMLMGLGDALHYPGSMTLYYQEFPASMRSVATGIVSLCSGLGFYLSTAVIGVIRKFTDWLKDDINQSRVDKVYWMIAMIGVLNFGYFLFCVRMFKKGTSVA >DRNTG_22993.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1752892:1755251:-1 gene:DRNTG_22993 transcript:DRNTG_22993.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQATLTILQALVMDCHIGHSFQIPPASMLVFNLSSTALFICIFDRVIFPLWRRLTNHTPTPLQRIGLGYILCTIAIALSAQVEHTRLDTLSNKPMSALWLVPPLMLMGLGDALHYPGSMTLYYQEFPASMRSVATGIVSLCSGLGFYLSTAVIGVIRKFTDWLKDDINQSRVDKVYWMIAMIGVLNFGYFLFCVRMFKKGTSVA >DRNTG_22993.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1752892:1755251:-1 gene:DRNTG_22993 transcript:DRNTG_22993.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQGMLLFFLTATVSLLRASQCSSIGPCESPTSLQLVVLYTAITLWIIGVGGTRLNPATMGANQFDNADDQSTFFNWYLFSLNVAALVGTVGIVYVDDNVGWGWGFGVSEALIVASLVLFISGRSLYRRQKQPQGSPFSALWHAMLLSMKMKKKMAKLDEGDNDFCYHSTIDVAAQRLQDEIIDDLPSSSSSIQSTNQHHLQDLKTAAKILPIFFSSVPAGTSVSMQATLTILQALVMDCHIGHSFQIPPASMLVFNLSSTALFICIFDRVIFPLWRRLTNHTPTPLQRIGLGYILCTIAIALSAQVEHTRLDTLSNKPMSALWLVPPLMLMGLGDALHYPGSMTLYYQEFPASMRSVATGIVSLCSGLGFYLSTAVIGVIRKFTDWLKDDINQSRVDKVYWMIAMIGVLNFGYFLFCVRMFKKGTSVA >DRNTG_27867.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7777906:7778544:1 gene:DRNTG_27867 transcript:DRNTG_27867.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGWCVEKEEKLLVYEYLPNKGLDALLFDSEKRVQLDWNQRLQIIGGIARGLVYLHEDSLLKVIHRDLKASNVLLDNKLTPKISDFGMAKIYGGDEIEANSSRVVGTYGYMAPEYAMVG >DRNTG_23414.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001264.1:65280:66999:1 gene:DRNTG_23414 transcript:DRNTG_23414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEEDIKVLGTWASPFVIRVKIALNMKGIVYESLEEVEGLHYKSELLLKSNPIYKKVPVLIHGGKPLCESLIILHYIDEAFTIDGASSLFPSCPYDSALARFWAFYLDDKWFPSLIGILKAKTKEAKEESKKQVEQGLELLEEAFKKISKGKRWFNGDKIGYLDMAFGSHLGWLKATEKSENLKLFDEKKTPNLVEWAQCFLSDDNVKKVMPEIDKFVEYGHKLKIKWGVAN >DRNTG_01999.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13455571:13456026:1 gene:DRNTG_01999 transcript:DRNTG_01999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLALFHINSNWFCGHKFEKLNGLFELDLSNNRIIEKFPSVIFRLMSLKFLNLRFNEFKGTVLKELFDKDLDAIFINNNRFVFDLPNNFGNLPVSIIVITSNCFLGCIPSSISNMSRLKEILLLNNGFSFCFRSKISRLKNSPSLTSASTS >DRNTG_24963.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6549822:6553897:-1 gene:DRNTG_24963 transcript:DRNTG_24963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQTTTATTLFLLMSMILMIILLLDFSYVCHADPDPVDDICIADLSTGQRFANGYPCKPASQVTADDLVSDVLAKEGNTSNVFGSFTTHGTVVKFPGLNTMGMSMNRVDFAPGGINAPHTHPRASELVIVVRGTLFIGIITADNKYFSKVVKKGEMFIVPRGLMHFQCNIGKGKAQTYAAFNSQLPGGISVPKSLFRSTPSIPDGLLSKVFQLDEATVRASKAKLVNI >DRNTG_06861.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17291190:17294353:-1 gene:DRNTG_06861 transcript:DRNTG_06861.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILAMLATLLWVACLIRFKKTKHYIHR >DRNTG_06861.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17291190:17294353:-1 gene:DRNTG_06861 transcript:DRNTG_06861.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKILAMLATLLWVACLIRFKKTKHYIHR >DRNTG_06861.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17291190:17294353:-1 gene:DRNTG_06861 transcript:DRNTG_06861.4 gene_biotype:protein_coding transcript_biotype:protein_coding QFIDTIKNQISRVEKALSDLHIKGEKHPFWLVQLEKEERDDHVVFLLADNRTLHETKVGKHVKYVEEVLPARVEMPNGQKTTSYFLDVDSRKILIADFEDAKPMPCSRPSSLRGFIRNVGSATRSNWFRNSFWKVKSELDHQSRPGAIDLRGISRFAQVTCSKSLYPCVIFYSCDCEFCYCYWFKG >DRNTG_06861.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17291190:17294353:-1 gene:DRNTG_06861 transcript:DRNTG_06861.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKILAMLATLLWVACLIRFKKTKHYIHR >DRNTG_06861.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17293426:17294353:-1 gene:DRNTG_06861 transcript:DRNTG_06861.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKILAMLATLLWVACLIRFKKTKHYIHR >DRNTG_06861.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17291190:17294353:-1 gene:DRNTG_06861 transcript:DRNTG_06861.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKILAMLATLLWVACLIRFKKTKHYIHR >DRNTG_02438.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000115.1:140720:142369:-1 gene:DRNTG_02438 transcript:DRNTG_02438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSLCGAEVEDVKKVALAHRPHRALVEKHHTSTVDDEFSTQEEHSFSARSTVVLGKHGLKHARAYTYEHKVQSFDAFSTVILGEHG >DRNTG_21608.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1264164:1266634:-1 gene:DRNTG_21608 transcript:DRNTG_21608.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLASIKPFVLAHPSPSRSRPLCAVPRRCSVRAAVAGKKLEPSKVVPQADRVLIRLEELPQKSAGGVLMPKSAVKFERYLMGEILSVGSDVSQLEAGKKVLFSDVNAYEVDLGSDAKHCFCRSSDLLAIVE >DRNTG_23319.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1096613:1103766:-1 gene:DRNTG_23319 transcript:DRNTG_23319.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHELFVPYDKTKSHPAALATSKFGVGKMELLKACIWREQLLMKRNSFVYIFKAVQLCVMAFITMTLFFRTNMHHDTVTDGGIYMGALFFGILSIMFNGFSELAMTIMKLPVFFKQRDLLFFPAWAYALPSWILKIPITFMEVGVWVFTTYYVIGFDPNVGRLFKQYLLLLCVQQMASALFRFIAALGRNMIVANTFGSFALLVLMVLGGFIISREDIKKWWIWGYWISPLMYSQNAITTNEFLGKKWRHISPGSTEPLGISVLESRGVFPEAKWYWIGLAALIGYVFLFNILFTGALTYLQPFGKSQPAMSEETLREKEANLTGAQIELSSRGRSTSKSSIAQERNSTAGSRHVVADSNQSQKGMVLPFTPLSITFDEIRYSVDMPQEMKAQGIVEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPHVTVYESLVYSAWLRLPPEVDSATRTMFIDEVMDLVELTPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHHSRDLINYFESVEGVRKIKDGYNPATWMLEVTTLAQEQLLGINFSEIYKDSELFRRNKALIAELSTPPPGSSDLHFPSQYSQNFFTQCMACLWKQHLSYWRNPPYTAVRFFFTTIIALLFGTIFWDLGTKKEKRQDLFNAMGSMYAAVLFIGVQNSSSVQPVVSIERTVFYRERAAGMYSALPYAFGQVAIELPYILVQSLIYGVLVYAMIGFEWTVAKFFWYMFFMYFTLLYFTFYGMMAVGLTPNYNISSIVSSAFYAIWNVFSGFIIPRPKIPIWWRWYYWICPVSWTLYGLVASQFGDIDDHKLSDTGEVVSEFLRSYFGFKHSFLGVVAVVVVAFAVLFAVLFAFSIKMLNFQRR >DRNTG_23319.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1096613:1103766:-1 gene:DRNTG_23319 transcript:DRNTG_23319.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFISNSNTAGEMLVGPAKVLLMDEISTGLDSSTTFQIVDSLRHSIHILGGTAVISLLQPAPETYDLFDDIILLSDGQVVYQGPREHVLEFFESMGFRCPERKGVADFLQEVTSRKDQQQYWARHEEPYRYVPVREFAEAFHSFHIGTSMGHELFVPYDKTKSHPAALATSKFGVGKMELLKACIWREQLLMKRNSFVYIFKAVQLCVMAFITMTLFFRTNMHHDTVTDGGIYMGALFFGILSIMFNGFSELAMTIMKLPVFFKQRDLLFFPAWAYALPSWILKIPITFMEVGVWVFTTYYVIGFDPNVGRLFKQYLLLLCVQQMASALFRFIAALGRNMIVANTFGSFALLVLMVLGGFIISREDIKKWWIWGYWISPLMYSQNAITTNEFLGKKWRHISPGSTEPLGISVLESRGVFPEAKWYWIGLAALIGYVFLFNILFTGALTYLQPFGKSQPAMSEETLREKEANLTGAQIELSSRGRSTSKSSIAQERNSTAGSRHVVADSNQSQKGMVLPFTPLSITFDEIRYSVDMPQEMKAQGIVEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPHVTVYESLVYSAWLRLPPEVDSATRTMFIDEVMDLVELTPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHHSRDLINYFESVEGVRKIKDGYNPATWMLEVTTLAQEQLLGINFSEIYKDSELFRRNKALIAELSTPPPGSSDLHFPSQYSQNFFTQCMACLWKQHLSYWRNPPYTAVRFFFTTIIALLFGTIFWDLGTKKEKRQDLFNAMGSMYAAVLFIGVQNSSSVQPVVSIERTVFYRERAAGMYSALPYAFGQVAIELPYILVQSLIYGVLVYAMIGFEWTVAKFFWYMFFMYFTLLYFTFYGMMAVGLTPNYNISSIVSSAFYAIWNVFSGFIIPRPKIPIWWRWYYWICPVSWTLYGLVASQFGDIDDHKLSDTGEVVSEFLRSYFGFKHSFLGVVAVVVVAFAVLFAVLFAFSIKMLNFQRR >DRNTG_23319.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1096613:1103766:-1 gene:DRNTG_23319 transcript:DRNTG_23319.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSTGDVYRVGSLRRNSSIWRSGEDVFSRSSRDEDDEEALKWAALEKLPTYDRIRKGLLTIEEGKHKEVDVLSLGVQERKQLLERLIKVAEEDNEKFLLKLKDRIDRVGIELPTIEVRYEHLNIEAEAYVGNRGLPTVLNSAINVLEVIANKLHILPSRKRPLSILHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGKLSSDLKVTGSVAYNGHSMKEFVPGRTAAYIGQYDLHIGEMTVRETLAFSARCQGVGTRHDMLSELVRREKQANIKPDSDLDVFMKAIGTEGQETSVVTDYILKILGLEVCADTMVGDDMLRGISGGQRKRVTTGEMLVGPAKVLLMDEISTGLDSSTTFQIVDSLRHSIHILGGTAVISLLQPAPETYDLFDDIILLSDGQVVYQGPREHVLEFFESMGFRCPERKGVADFLQEVTSRKDQQQYWARHEEPYRYVPVREFAEAFHSFHIGTSMGHELFVPYDKTKSHPAALATSKFGVGKMELLKACIWREQLLMKRNSFVYIFKAVQLCVMAFITMTLFFRTNMHHDTVTDGGIYMGALFFGILSIMFNGFSELAMTIMKLPVFFKQRDLLFFPAWAYALPSWILKIPITFMEVGVWVFTTYYVIGFDPNVGRLFKQYLLLLCVQQMASALFRFIAALGRNMIVANTFGSFALLVLMVLGGFIISREDIKKWWIWGYWISPLMYSQNAITTNEFLGKKWRHISPGSTEPLGISVLESRGVFPEAKWYWIGLAALIGYVFLFNILFTGALTYLQPFGKSQPAMSEETLREKEANLTGAQIELSSRGRSTSKSSIAQERNSTAGSRHVVADSNQSQKGMVLPFTPLSITFDEIRYSVDMPQEMKAQGIVEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPHVTVYESLVYSAWLRLPPEVDSATRTMFIDEVMDLVELTPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHHSRDLINYFESVEGVRKIKDGYNPATWMLEVTTLAQEQLLGINFSEIYKDSELFRRNKALIAELSTPPPGSSDLHFPSQYSQNFFTQCMACLWKQHLSYWRNPPYTAVRFFFTTIIALLFGTIFWDLGTKKEKRQDLFNAMGSMYAAVLFIGVQNSSSVQPVVSIERTVFYRERAAGMYSALPYAFGQVAIELPYILVQSLIYGVLVYAMIGFEWTVAKFFWYMFFMYFTLLYFTFYGMMAVGLTPNYNISSIVSSAFYAIWNVFSGFIIPRPKIPIWWRWYYWICPVSWTLYGLVASQFGDIDDHKLSDTGEVVSEFLRSYFGFKHSFLGVVAVVVVAFAVLFAVLFAFSIKMLNFQRR >DRNTG_34728.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2241890:2242486:1 gene:DRNTG_34728 transcript:DRNTG_34728.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCAFKTLSVSPPNFPIRFSSFPLGLCFNGRQLSTLAAAAAVATDDSSDTVEQLLTDTNGIERLMKMDRRRFPESNRWFPYLDVFRVGSMALRSGEVIQALDPYIQDARKERMRQAVVGRSYSVCLVVEGLSDFGNVSAAFRSADALGIQSVHVISPDSRKRCSLMLG >DRNTG_34728.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2241890:2242538:1 gene:DRNTG_34728 transcript:DRNTG_34728.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAFKTLSVSPPNFPIRFSSFPLGLCFNGRQLSTLAAAAAVATDDSSDTVEQLLTDTNGIERLMKMDRRRFPESNRWFPYLDVFRVGSMALRSGEVIQALDPYIQDARKERMRQAVVGRSYSVCLVVEGLSDFGNVSAAFRSADALGIQSVHVISPDSRKRYKDNRHVSMGAEK >DRNTG_14248.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:983494:984527:-1 gene:DRNTG_14248 transcript:DRNTG_14248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYSMYGVGILTERAYVYKRSPSSECYIPFQIDTSADGGHEGSCLQGGVYLVNGDETVPVLSAGYMCAKGWRGKTRFNPSGIKTYIREYDHAPPANLLEGRGTQSGAHVDIMGNFALIEDIIRVAAGATGEDLGGDQIHSDILKWSEKINLQL >DRNTG_34700.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002180.1:13806:14769:-1 gene:DRNTG_34700 transcript:DRNTG_34700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDEPSDHSSFPSYSMIMAVPLKPEKSK >DRNTG_34700.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002180.1:13806:14769:-1 gene:DRNTG_34700 transcript:DRNTG_34700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDEPSDHSSFPSYSVNHSDDNGSAAEAREV >DRNTG_06203.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25168374:25169710:1 gene:DRNTG_06203 transcript:DRNTG_06203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSGTSSGSSQLQNSGSEGDIQAAMDQRKRKRMLSNRESARRSRLRKQKHLDDLMAQVSQLRKENSQILTTLNITTQHYLGVESQNSVLRTQMVELSTRLQSLNEILYFMNANNNSSSSSYSSNNNSIGSNGGLFHDESLRPWNMMFMNQPMMMDMFQYC >DRNTG_04770.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3723241:3735030:-1 gene:DRNTG_04770 transcript:DRNTG_04770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of nonsense transcripts UPF2 [Source:Projected from Arabidopsis thaliana (AT2G39260) UniProtKB/Swiss-Prot;Acc:F4IUX6] MEHQEDECRMAGEQQGKQDDEEIGSRVEEYRKSIDAKSALRQMNLNPERPDTVFLRTLDSSIKRNTAVIKKLKQINDEQREGLMDELRSVNLSKFVSEAVAAICDAKLRTSDIQAAVQVCSLLHQRYKDFSPCLVQGLLKVFSPGKSGDDLDGDRSLKALKKRSTLKLLLELYFVGVIEDASIFVNIIKDLTSLEHLKDRDATQTNLSLLASFARQGRFLLGLHPGQEAHEEFFKGLNVTSDQKKIFRKALHSYYDAVAELLQSEHNSLRQLELENAKILNARGELNDENSSSYEKLRKSYDHLFRGVSTLAEALDMQPPSMPDDGHTTRVTTGVDASSSSAGKESSGLEPVWDDEDTRAFYESLPDLRAFVPAVLLGEAEPKVTESFPKTNDQQSDSLTEAEIEVQEISEACVDSECSPEGKADEKGKDKEDRDIEKNRDPEKERYKEKDSERKGENDKDKIKSLDGTNLDGLLQRLPGCVSRDLIDQLTVEFCYLNSKSNRKKLVRALFGVPRSSLELLPYYSRMVATLSTCMKDVPTMLVTLLEDEFNFLLSKKDQTNIETKIKNIRFIGELCKFKVAPPGLVFSCLKACLDDFNHHNIDVACNLLETCGRFLYRSPETTIRMTNMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKSRPPLHQYIRKLIFSDLDKSSVEHVLRQLRKLPWNECELYILKCFMKVHKGKYSQVHLIAVLTAGLSRYHDEFAVAVVDEVLEDIRLGLELNDYAMQQRRIAHMRFLGELYNYELVDSSVIFETLYLILVFGHGTTEQDALDPPEDCFRIRMIITLLQTCGHYFDRGSSKRKLERFLIYFQRYVLSKGPLPLDIEFDIQDLFAELRPNMIRYSSIEEVNAALIELEEHDRTNFSEKAGNDKHSDGESQKTLPQTNAASANGKGAVNGVEENGRGYEEPADSESYSDSGSIDHDGHDDDDELLYEDKSEDGSEGDGDDEDDGGIGSDEEESIQVRQKVVEVDPKEEQDFDRELRALMQESLDSRKLELRSRPTLNMMIPMNIFEGSRDPRAVEGESGEETVDEEGGGQGVNKVRVKVLVKKGSKQQTKQMYIPRDCSLVQSTKQKEAAELEEKQSIKRRILEYNEREEEESNGVLSPAGNWIQGGSNSGSGGGSSSSSRPAGRGNWDAAGRTGGVRQRHHLSGGFYYGYGRRK >DRNTG_04770.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3723241:3735030:-1 gene:DRNTG_04770 transcript:DRNTG_04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of nonsense transcripts UPF2 [Source:Projected from Arabidopsis thaliana (AT2G39260) UniProtKB/Swiss-Prot;Acc:F4IUX6] MEHQEDECRMAGEQQGKQDDEEIGSRVEEYRKSIDAKSALRQMNLNPERPDTVFLRTLDSSIKRNTAVIKKLKQINDEQREGLMDELRSVNLSKFVSEAVAAICDAKLRTSDIQAAVQVCSLLHQRYKDFSPCLVQGLLKVFSPGKSGDDLDGDRSLKALKKRSTLKLLLELYFVGVIEDASIFVNIIKDLTSLEHLKDRDATQTNLSLLASFARQGRFLLGLHPGQEAHEEFFKGLNVTSDQKKIFRKALHSYYDAVAELLQSEHNSLRQLELENAKILNARGELNDENSSSYEKLRKSYDHLFRGVSTLAEALDMQPPSMPDDGHTTRVTTGVDASSSSAGKESSGLEPVWDDEDTRAFYESLPDLRAFVPAVLLGEAEPKVTESFPKTNDQQSDSLTEAEIEVQEISEACVDSECSPEGKADEKGKDKEDRDIEKNRDPEKERYKEKDSERKGENDKDKIKSLDGTNLDGLLQRLPGCVSRDLIDQLTVEFCYLNSKSNRKKLVRALFGVPRSSLELLPYYSRMVATLSTCMKDVPTMLVTLLEDEFNFLLSKKDQTNIETKIKNIRFIGELCKFKVAPPGLVFSCLKACLDDFNHHNIDVACNLLETCGRFLYRSPETTIRMTNMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKSRPPLHQYIRKLIFSDLDKSSVEHVLRQLRKLPWNECELYILKCFMKVHKGKYSQVHLIAVLTAGLSRYHDEFAVAVVDEVLEDIRLGLELNDYAMQQRRIAHMRFLGELYNYELVDSSVIFETLYLILVFGHGTTEQDALDPPEDCFRIRMIITLLQTCGHYFDRGSSKRKLERFLIYFQRYVLSKGPLPLDIEFDIQDLFAELRPNMIRYSSIEEVNAALIELEEHDRTNFSEKAGNDKHSDGESQKTLPQTNAASANGKGAVNGVEENGRGYEEPADSESYSDSGSIDHDGHDDDDELLYEDKSEDGSEGDGDDEDDGGIGSDEEESIQVRQKVVEVDPKEEQDFDRELRALMQESLDSRKLELRSRPTLNMMIPMNIFEGSRDPRAVEGESGEETVDEEGGGQGVNKVRVKVLVKKGSKQQTKQMYIPRDCSLVQSTKQKEAAELEEKQSIKRRILEYNEREEEESNGVLSPAGNWIQGGSNSGSGGGSSSSSRPAGRGNWDAAGRTGGVRQRHHLSGGFYYGYGRRK >DRNTG_35218.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8096932:8097667:-1 gene:DRNTG_35218 transcript:DRNTG_35218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDEVRMNKLKDEELKKVVYQHLKERRYLVVIADICTQGAWDNIKAVLPAEILNGKESWELFYKKAIPTRCTEHYPPNLEEIGRQMVAKCEGLPLAIVGLGGLTLRKKQSKEEWRNLVFSTLNVPSLIDAKRLQLRWIAEGFIEARDDETMEEVAEEYLEELVHRSLIQVVKQSL >DRNTG_04513.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19062506:19078255:-1 gene:DRNTG_04513 transcript:DRNTG_04513.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 16 [Source:Projected from Arabidopsis thaliana (AT4G04920) UniProtKB/Swiss-Prot;Acc:F4JGZ1] MAPTVPSSNPSPKELVEVDAPPHSDGPPRVSDSSAIETDKDGDCLMEAGDSAAPTPPAAAPATVFRIRLKQPPSNLRHKMSVPELCRNFSAVAWCGKLNVIACASETCARIPSSKANPPFWIPIHVINPERPTECAVFNVKADSPRDSVQYIEWSPRSCPRALLIANFHGRITIWTQPSHGPVNLVRDASTWECEHEWRQDLAVVTKWIYGMSSYRWLPSNSGSGTNLKSTFEDKFLSQQSQTSSRWPRFLCVCSVFSSGSVQLHWARWPPTQTGAPPKWFSTSKGLLGAGPSGIMAADAIITEAGAMHVAGVPLVNPSTVVVWEVTPGPGNGFHATAKISTGSPVPPSLNSPSWTGFAPLAAYMFSLQEYIISEEKQGKKHSDQELSEIASLHCSPVSNFSAYVSPEAAAQSAATTTWGSGVTAVAFDPTRGGSVISVVIVEGQYMSPYDPDEGPSITGWRVQCWESSLQPVVLHPIFGNPASFGGQPPMQTVWLTRVNKSIPPTDDLRAPQAGPAASTISDEWNSSDCSAEKANRLSFDPYDLPSDVRQLAQIVYSAHGGEVAVAFLRGGVHIFSGPSFNPVDSYHINVGSTIAAPAFSSTSCCLASVWHDTNKDRTILKIIRVLPPAIASAQAKANSATWERAIADRFWWSLLAGVDWWDAVGCTQSAAEDGIVSLYSVIAVLDADFHGLPSIQHRQQHGPSLDRIKCRLLEGTNAQDVRALVLDMQARLLLDMLGKGIESALVNPATLLPDPWQPSNETLPGIDADKMIVEQALVQSIQAYVDAVLDLASHFITRLRRYASFCRTLASHAVGASSGTNAARNMVASPTHSSASPSVNQGAQNGGSSTTGNSQMQAWVQGAIAKISGNTEGGSSASQNPVSGPSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCLIFRRRQSLRLIGNATKNPDPNMQKAQPIGNCKVEDNNSGVRPTAGPTKLEEGQAVRPGQLILGSKGLEEGTTGKSSRLGCGNAGQGYTYEEVRVLFLILVDLCRRTSALQHPLPTSQVGLQNIIIRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPAEEWHRRNMFGGPWSDPDDLGPLENVHKSGSSDSSLSTLAEGFNDHYTQGLWPKKRRLSERDAAFGLKTSVGLGSYLGIMGSRRDVVTALWKTGLEGTWYKCIRCLRQTCAFTQPGAPNPTNEREAWWISRWAHGCPMCGGTWVRVV >DRNTG_17086.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17301440:17302312:-1 gene:DRNTG_17086 transcript:DRNTG_17086.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPKVFFDMTIGGAPAGRIVMELYADVVPNTAENFRALCTGEKGIGKCGKPLHYKGSTFHRVIPGFMCQGGDFTAGNGTGGESIYGSKFKDENFSRKHTGPGVLSMANAGPNTNGSQFFICTAQTSWLDGKHVVFGQVIDGLDVVKKVESVGSQGGRTSKAVTVADCGQLS >DRNTG_16142.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15716167:15720826:1 gene:DRNTG_16142 transcript:DRNTG_16142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAATVLATVLLQCPAIVSGMNSFPNPYFHRSKLVELLFYVHKSECSSVTAFVPLQMDVPTRIRGVTLLWLQRTRGTEVESNTSEGKGDAPFSRPDVNSASIVLYYIVATMLCYNIQLEILLESILSLRKLEYDCPCAPPNGCANSNTRRLAHTLASHTQPMSSCLNLSKISSKIGAL >DRNTG_24676.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23038183:23039920:1 gene:DRNTG_24676 transcript:DRNTG_24676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGNDNWNLKNTVTRTLPVPNVQSIADASDNCTEIPEKYVIPEINDSLVLNGAAAGPSDLPIIDFSRLCEQSEEEIAKLSLACEDWGYFLGINHGVPDEVIENMKVAIIEFFRLPLEEKKVYAKQPNTGFEGYAQTFVISEKPKVVSGEKYILSTRTVARRNMKLWTQNPPTFKDALDQFTEEIQKVANTVFESIGKSLKLDKFIDNFKDCQQSVRINYYPPCPHASNVLGIPPHTDTVGLTVVLQVNEVHGLQIKKNGVWLPINPLPGALIVNSGDIIEIMSNGKYKSLEHRAVVNSEQERFSIATFHGPRADAQIGPLPAETPAKSEAFYYKTVSFQDYSRMVFAHKDDGKNILDAKVMIS >DRNTG_09468.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21350878:21353431:1 gene:DRNTG_09468 transcript:DRNTG_09468.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit TIM9 [Source:Projected from Arabidopsis thaliana (AT3G46560) UniProtKB/Swiss-Prot;Acc:Q9XGX9] MDKSMFGDVESLAEEDKIRMSTMIDQLQIRDSLRMYNALVERCFSDCVDTFRRKSLDKQEESCVRRCAEKFLKHSMRVGMRFAELNQGAPTPD >DRNTG_11895.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21515894:21518797:1 gene:DRNTG_11895 transcript:DRNTG_11895.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALSLHPDLFSFNSLLHAYCRAGELFGASRVIPRVLSLGLHPDPVTFNTIIAALCRQSLRLPALCFLGAMRKYCKPNVVTYSTLIDMFCKMGDLDSAHKVFIDMRFENGVLPNAVTFTCLIDGFCKNDRLDEALRLYERMVEMGMSPNVFTFNALVDGVCKQGLMEKAEKVFDEMRERGVKPNAVVYTSMMNGYFKKGDLDAAMRYFNEMHGRGHQLDVKGYGVVISGFCSNGQLDRALAVKQEMEKRNLVADKVILTVLMYAYFRAGDVKKGLCLFDEMNGSGMDPDAVAVSVVVDGLCKNGLLKEAKEFLSRAKHTNEVSHTILFDGFCKFGDINEAEKVFLKMSEVGIKFDKFGYTSRIAGLCREGNVMEAFQLKNVMLQNGVDPDLLTFSTLIWGLAERGLMVEARQVFDDMVQKEITPDSVVYEILTRGYLKQNDTSALPGLQHDMEKRGLIRKTKS >DRNTG_11895.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21515894:21518797:1 gene:DRNTG_11895 transcript:DRNTG_11895.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALSLHPDLFSFNSLLHAYCRAGELFGASRVIPRVLSLGLHPDPVTFNTIIAALCRQSLRLPALCFLGAMRKYCKPNVVTYSTLIDMFCKMGDLDSAHKVFIDMRFENGVLPNAVTFTCLIDGFCKNDRLDEALRLYERMVEMGMSPNVFTFNALVDGVCKQGLMEKAEKVFDEMRERGVKPNAVVYTSMMNGYFKKGDLDAAMRYFNEMHGRGHQLDVKGYGVVISGFCSNGQLDRALAVKQEMEKRNLVADKVILTVLMYAYFRAGDVKKGLCLFDEMNGSGMDPDAVAVSVVVDGLCKNGLLKEAKEFLSRAKHTNEVSHTILFDGFCKFGDINEAEKVFLKMSEVGIKFDKFGYTSRIAGLCREGNVMEAFQLKNVMLQNGVDPDLLTFSTLIWGLAERGLMVEARQVFDDMVQKEITPDSVVYEILTRGYLKQNDTSALPGLQHDMEKRGLIRKTKS >DRNTG_25603.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:261008:261924:-1 gene:DRNTG_25603 transcript:DRNTG_25603.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADIACAYAAVILHDDNIPITADKISALVEAANVSVDPYWPPLFAKLFEKRSVDDLIASVGSGGGGAPVAAAAAPAGGSAGGAAPVAAPVEEKKR >DRNTG_25603.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:260360:261924:-1 gene:DRNTG_25603 transcript:DRNTG_25603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADIACAYAAVILHDDNIPITADKISALVEAANVSVDPYWPPLFAKLFEKRSVDDLIASVGSGGGGAPVAAAAAPAGGSAGGAAPVAAPVEEKKEEPKEESDDDMGFSLFD >DRNTG_11635.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1611458:1612261:-1 gene:DRNTG_11635 transcript:DRNTG_11635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLEKLPCLKYLQLGMRSYRGKQMLCSATGFPQLLSLDFHLLKELEEWKIEEKAMSRLKYLSLRSCRKLKMIPEGLKNVPLDHLECRGTPNALRNRMEENTGEDWYKIRHVPSISIEY >DRNTG_11635.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1611645:1612261:-1 gene:DRNTG_11635 transcript:DRNTG_11635.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLEKLPCLKYLQLGMRSYRGKQMLCSATGFPQLLSLDFHLLKELEEWKIEEKAMSRLKYLSLRSCRKLKMIPEGLKNVPLDHLECRGTPNALRNRMEENTGEDWYKIRHVPSISIEY >DRNTG_06476.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16891082:16891665:-1 gene:DRNTG_06476 transcript:DRNTG_06476.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSSSCLNMQAILMMGCQRELYQYIFWTHKWSLVDSLE >DRNTG_06476.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16891082:16891665:-1 gene:DRNTG_06476 transcript:DRNTG_06476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSSSCLNMQAILMMGCQRELYQYIFWTHKWSLVDSLE >DRNTG_08097.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9854844:9857919:1 gene:DRNTG_08097 transcript:DRNTG_08097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLADLYHVLTAVVPLYVAMILAYGSVKWWHIFSPDQCSGINRFVALFAVPLLSFHFISTNNPFAMNYHFIAADTLQKLIVLAVLSLWTKLSSRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGPESGSLMVQIVVLQCIIWYTLMLFLFEYRGARLLIMEQFPDTAGSIVSFRVDSDVMSLDGKEPLQTEAQVGDDGKLHVTVRKSTSSRSEVLSRRSHGLNSGVSLTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHSDFYSLVNGKNAGNGFSPSHGGFSGMVFDEESGGNVAKGNGIFSPVAAKKSKLANGVNQAGGGKDLHMFVWSSSASPVSEGGLHVFKAGEFGAELNGVSHPSDHLAQKDGQPYGYDDEFSFRNRPISRAVDSFQKDKPSLSKIGSNSTAELHPKTESEDLKPTSMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVSFRWGIEMPAIIAKSISILSDAGLGMAMFSLGLFMALQPRIIACGNSTAAFAMAVRFLAGPAVMATASIVVGLRGMLLHIAIVQAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLIALPITLVYYILLGL >DRNTG_21650.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:16589896:16595589:-1 gene:DRNTG_21650 transcript:DRNTG_21650.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CST complex subunit STN1 [Source:Projected from Arabidopsis thaliana (AT1G07130) UniProtKB/Swiss-Prot;Acc:Q9LMK5] MDPILSVHVKLLAVDLLSLTVQSYGPLTFTHKNWPIARAESLGVVVSRERKDKFLKFLVDDGTGCIPCILWLNHHSFAQRSHPIDLELKARMALDYAEKIQLGLLVRVRGRITVFHGCDTGNCG >DRNTG_21650.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:16589858:16595589:-1 gene:DRNTG_21650 transcript:DRNTG_21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CST complex subunit STN1 [Source:Projected from Arabidopsis thaliana (AT1G07130) UniProtKB/Swiss-Prot;Acc:Q9LMK5] MDPILSVHVKLLAVDLLSLTVQSYGPLTFTHKNWPIARAESLGVVVSRERKDKFLKFLVDDGTGCIPCILWLNHHSFAQRSHPIDLELKARMALDYAEKIQLGLLVRVRGRITVFHGCDTGNCG >DRNTG_21650.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:16589896:16595589:-1 gene:DRNTG_21650 transcript:DRNTG_21650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CST complex subunit STN1 [Source:Projected from Arabidopsis thaliana (AT1G07130) UniProtKB/Swiss-Prot;Acc:Q9LMK5] MDPILSVHVKLLAVDLLSLTVQSYGPLTFTHKNWPIARAESLGVVVSRERKDKFLKFLVDDGTGCIPCILWLNHHSFAQRSHPIDLELKARMALDYAEKIQLGLLVRVRGRITVFHGCDTGNCG >DRNTG_34248.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28104705:28107909:1 gene:DRNTG_34248 transcript:DRNTG_34248.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKKFSVLPRFFSARKGTEDPLSSVEFGGESPSSPISITSFSAKGMDYSDFPSLSDTQAIRIFVATWNVGGKPPHKGLNLNDLFPSDDQSDVYVLGFQEIVPLNAGNVLVIEDNEPATKWLGLINQALNKSSSTQDHNVSKFFQKPSLKSVRRSLRTVNKRQLKSCNCTSSEVERKLYYKDSCFGCQQATRGSHSSIKYSSEDDAIESNSFIVSDNIHQRFCLVACKQMVGIFVNVWVRRDLAQHVSHLRISCVSRGIMGYLGNKGCISVSMGLYQTRFCFVCSHLASGEKEGDELRRNSDVIETLKNTQFPRICRTSSIRRMPDKILGHDRIIWLGDLNYRIALSYSETRKLLLDNNWDALYEKDQLKMERETGRVFKGWKEGKIYFAPTYKYSHNSDAYAGEFTTSKKKRRTPAWCDRILWQGDGIVQLSYFRGESQFSDHRPVCAVFLVEVVLGLR >DRNTG_34248.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28104705:28107909:1 gene:DRNTG_34248 transcript:DRNTG_34248.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSDFPSLSDTQAIRIFVATWNVGGKPPHKGLNLNDLFPSDDQSDVYVLGFQEIVPLNAGNVLVIEDNEPATKWLGLINQALNKSSSTQDHNVSKFFQKPSLKSVRRSLRTVNKRQLKSCNCTSSEVERKLYYKDSCFGCQQATRGSHSSIKYSSEDDAIESNSFIVSDNIHQRFCLVACKQMVGIFVNVWVRRDLAQHVSHLRISCVSRGIMGYLGNKGCISVSMGLYQTRFCFVCSHLASGEKEGDELRRNSDVIETLKNTQFPRICRTSSIRRMPDKILGHDRIIWLGDLNYRIALSYSETRKLLLDNNWDALYEKDQLKMERETGRVFKGWKEGKIYFAPTYKYSHNSDAYAGEFTTSKKKRRTPAWCDRILWQGDGIVQLSYFRGESQFSDHRPVCAVFLVEVVLGLR >DRNTG_34248.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28104705:28107909:1 gene:DRNTG_34248 transcript:DRNTG_34248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSDFPSLSDTQAIRIFVATWNVGGKPPHKGLNLNDLFPSDDQSDVYVLGFQEIVPLNAGNVLVIEDNEPATKWLGLINQALNKSSSTQDHNVSKFFQKPSLKSVRRSLRTVNKRQLKSCNCTSSEVERKLYYKDSCFGCQQATRGSHSSIKYSSEDDAIESNSFIVSDNIHQRFCLVACKQMVGIFVNVWVRRDLAQHVSHLRISCVSRGIMGYLGNKGCISVSMGLYQTRFCFVCSHLASGEKEGDELRRNSDVIETLKNTQFPRICRTSSIRRMPDKILGHDRIIWLGDLNYRIALSYSETRKLLLDNNWDALYEKDQLKMERETGRVFKGWKEGKIYFAPTYKYSHNSDAYAGEFTTSKKKRRTPAWCDRILWQGDGIVQLSYFRGESQFSDHRPVCAVFLVEVVLGLR >DRNTG_07473.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28911062:28911973:1 gene:DRNTG_07473 transcript:DRNTG_07473.3 gene_biotype:protein_coding transcript_biotype:protein_coding EIWAHLQTQVVEYINSEAPSLTESKHRGLIQRLKGKQGRFRGNLSGKRVEYTARTVISPDPNLKITEVAIPILVAKVLTFPERVSCHNIEKLRQCVRNGPFKYPGANFVVLLDGTRLHLKYGEKKNVAAELKYGY >DRNTG_07473.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28911062:28911528:1 gene:DRNTG_07473 transcript:DRNTG_07473.4 gene_biotype:protein_coding transcript_biotype:protein_coding EIWAHLQTQVVEYINSEAPSLTESKHRGLIQRLKGKQGRFRGNLSGKRVEYTARTVISPDPNLKITEVAIPILVAKVLTFPERVSCHNIEKLRQCVRNGPFKYPGANFVVLLDGTRL >DRNTG_07473.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28910224:28911973:1 gene:DRNTG_07473 transcript:DRNTG_07473.1 gene_biotype:protein_coding transcript_biotype:protein_coding AIPPLAICPSAFVDFGRSSNEDSLTSILRLIINTNSFLREELEGSGYLFKCWEIWAHLQTQVVEYINSEAPSLTESKHRGLIQRLKGKQGRFRGNLSGKRVEYTARTVISPDPNLKITEVAIPILVAKVLTFPERVSCHNIEKLRQCVRNGPFKYPGANFVVLLDGTRLHLKYGEKKNVAAELKYGY >DRNTG_07473.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28910392:28911973:1 gene:DRNTG_07473 transcript:DRNTG_07473.2 gene_biotype:protein_coding transcript_biotype:protein_coding SNEDSLTSILRLIINTNSFLREELEGSGYLFKCWEIWAHLQTQVVEYINSEAPSLTESKHRGLIQRLKGKQGRFRGNLSGKRVEYTARTVISPDPNLKITEVAIPILVAKVLTFPERVSCHNIEKLRQCVRNGPFKYPGT >DRNTG_00435.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21878847:21881113:1 gene:DRNTG_00435 transcript:DRNTG_00435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSECSVGPAFPMWLRTQKSLQSLGLAYAGISDTMPHWFWSLTPQLYELDLALNAIRGNVPSALQFTSPKYLLPFRDFNFIGVLVDLSSNFFEGPLPRSVANVEYLRLSNNSFSGSLPQDIHITMPKLKGLYLSMNHLSGNIPLELCQSKYLEELDVSMNLLIGEFPDCWKDDAALKVLGFSFNNLSGKIPYSVFSLPLVSLSLNNNKFSGEIPSSLQICLRLLYLDLGHNKLTGNIPTWLGDKQRGLFTLILRSNMFTGSIPPQLSLLHLRILDLSGNNLTGTIPESFGNFISMTIIMTGFYLENMYFSSSMELIVKIKSLVYYRDLPRICVIDLSENNLHGSIPHELSNLKGLQVLNLSGNHLTGEIAYQIGSITSLESLDLSRNDLLGAIPAILSNLSFLSWLNLSYNNLSGLIPRGGQLSTFNDPYVY >DRNTG_00750.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28036319:28045893:-1 gene:DRNTG_00750 transcript:DRNTG_00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 14 [Source:Projected from Arabidopsis thaliana (AT4G20130) UniProtKB/Swiss-Prot;Acc:Q84JF5] MVSSLALPFSPKPRENALLLQPCKAPPLPCSKRRLSLPVRASTSTPPFRIFEPLQAEESSLPSDTVLADPDFYRIGYARSMRVYGVEFREGPDGFGVYASRDVEPRRRARMIMEIPLEMMLTISQKLPWMFFPDIIPIGHPIFDIISSTNPETDWDLRLACLLLYAFDVEGNFWQLYGDFLPGADECTSLLLAQKEDLLELQDSELASKIDEQHCRGLEFWEKNWHSAAPLKIKRLAHDPERFIWALSMAQSRSIQMKIRVGALVQDAHMLVPYADMLNHSFQPNCFLHWRFKDRMLEVMINAGQRISKGDEMTINYMSGKKNNMFMERYGFSSPTNPWDVINFSGNSKIHLDSFLSVFNISGLPEEFYHNCHLTNEQDASFVDGAVIAAARTLPIWTDVDMPMVPSIERKAARELQEECYQMLSEFQTTSDQDLQLLESDPEESRTREAAIKYRLHRKLFLGKVIQALEIYQDRILF >DRNTG_15346.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20775344:20776732:-1 gene:DRNTG_15346 transcript:DRNTG_15346.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPNLQDNKITILVVPFPAQGHLNQLLHFSLLLSSHGFPVHFASSSIHNHQARYRLRGWTSTSLHNITFHDIPIPSLPPSTPNPNSTHSFPSHLVPVWEFVIHHLRSPICSLLHSLSSSSPLILVHDPVMSFVSDDAFSIPSIHTFKFQCVPANFILSYLDESTSKTYSDNHILFSPLPDIVPEEVWTFTKKYCYETSSEGMLFNTSRTIDGHFIDLLAKEDGFVGKKIFAVGPVSPLTVTDYKSGPRHPCLEWLDKQPLKSVVYVAFGSTTTMSDQQIKEIALGLEKSKQRFIWVIREADRGDISKEGELTREKSLLLDFDKRVEGMGMVVRGWAPQLDILAHGSTAAFMSHCGWNSCMEGMSMGVAMLTWPIHSDQPSNALLITDYLKVGVIVREWDKRDEVLKWEKVDEAIRKVMVDKEGLEIRRRAKDLGEKIRDSIEGGSSYEQLLAFINYISGFK >DRNTG_22077.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20306795:20309804:1 gene:DRNTG_22077 transcript:DRNTG_22077.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLPAMVVMMMALVVLASVMPGRSLRFDLQSGSTKCISEDIKMDAMAVGKYSVINPSDSGPLPEAYRVTVRVTSPYGNNIHFGDNVDSGNFAFTSVEAGDYLACFWTPDHKPPVTVTVDFDWRTGVAAKDWPSVAKKGHGAGVEEIV >DRNTG_08001.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30023791:30035421:1 gene:DRNTG_08001 transcript:DRNTG_08001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like SIM [Source:Projected from Arabidopsis thaliana (AT5G27680) UniProtKB/Swiss-Prot;Acc:Q9FT69] MVGNDDVSVDHVIGKLIEMGFEFDKAVKATEAVGPCLDDALEFILNGSCDRASAPDCCSTAPPRTLGRRRLKQSNITNHVFPSSRKKRNLSFGSCDASSSKHMKIQAQFEPATISSQRKFGVHVEAAKAVLTLQGKTNVVQPKLCSSWERKVSGILRKHFGFSSLKSFQKEALEAWLANRDCLVLAGTGSGKSLCFQIPALLSGKIVVVISPLISLMHDQCLKLAKHGVSACFLGSGQPDSSVEHKAMSGRYNIVYVCPETVLRLVAPLKRLAESHGIALFAIDEAHCISKWGHDFRPDYRRLSALRENFSTSRLKFLKFDIPLIALTATATIPVREDIVKSLHMSNDTKIILSSFFRPNLRFSVKHSRTSSESSYAKDFRVLIETYAVERRVTIKKSGCSNAIDEDEDSHVCCRSLDDSSSDGEESDEDSHVCCRSLDDSSSDGEESDEDSHVCCKSLDDSSSDGYKSCPYHRNCYCDYDGADGPDTDSTDDDSAFSRGKNKLRVEYLEDELSNALFVDDFDVSCGEFIGKPLSASYEFCEALVIPSKEASLEQGPTIVYVPTRKETLKVAEYLSRHGVRAAAYHAKLPKSHLRRVHDEFHCNQLQVVVATIAFGMGIDKSNVRRIIHYGWPQSLEAYYQEAGRAGRDGKLSDCSESMHSLSFVNLNDNLVYYVC >DRNTG_08001.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30023791:30034609:1 gene:DRNTG_08001 transcript:DRNTG_08001.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like SIM [Source:Projected from Arabidopsis thaliana (AT5G27680) UniProtKB/Swiss-Prot;Acc:Q9FT69] MVGNDDVSVDHVIGKLIEMGFEFDKAVKATEAVGPCLDDALEFILNGSCDRASAPDCCSTAPPRTLGRRRLKQSNITNHVFPSSRKKRNLSFGSCDASSSKHMKIQAQFEPATISSQRKFGVHVEAAKAVLTLQGKTNVVQPKLCSSWERKVSGILRKHFGFSSLKSFQKEALEAWLANRDCLVLAGTGSGKSLCFQIPALLSGKIVVVISPLISLMHDQCLKLAKHGVSACFLGSGQPDSSVEHKAMSGRYNIVYVCPETVLSAGL >DRNTG_08001.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30023791:30029940:1 gene:DRNTG_08001 transcript:DRNTG_08001.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like SIM [Source:Projected from Arabidopsis thaliana (AT5G27680) UniProtKB/Swiss-Prot;Acc:Q9FT69] MVGNDDVSVDHVIGKLIEMGFEFDKAVKATEAVGPCLDDALEFILNGSCDRASAPDCCSTAPPRTLGRRRLKQSNITNHVFPSSRKKRNLSFGSCDASSSKHMKIQAQFEPATISSQRKFGVHVEAAKAVLTLQGKTNVVQPKLCSSWERKVSGILRKHFGFSSLKSFQKEALEAWLANRDCLVLAGTGSGKSLCFQIPALLSGKIVVVISPLISLMHDQCLKLAKHGVSACFLGSGQPDSSVEHKAMSGRYNIVYVCPETVLRLVAPLKRLAESHGIALFAIDEAHCISKWGHDFRPDYRRLSALRENFSTSRLKFLKFDIPLIALTATATIPVREDIVKSLHMSNDTKIILSSFFRPNLRFSVKHSRTSSESSYAKDFRVLIETYAVERRVTIKKSGCSNAIDEDEDSHVCCRSLDDSSSDGEESDEDSHVCCRSLDDSSSDGEESDEDSHVCCKSLDDSSSDGYKSCPYHRNCYCDYDGADGPDTDSTDDDSAFSRGKNKLRVEYLEDELSNALFVDDFDGIH >DRNTG_08001.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30023791:30029940:1 gene:DRNTG_08001 transcript:DRNTG_08001.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like SIM [Source:Projected from Arabidopsis thaliana (AT5G27680) UniProtKB/Swiss-Prot;Acc:Q9FT69] MVGNDDVSVDHVIGKLIEMGFEFDKAVKATEAVGPCLDDALEFILNGSCDRASAPDCCSTAPPRTLGRRRLKQSNITNHVFPSSRKKRNLSFGSCDASSSKHMKIQAQFEPATISSQRKFGVHVEAAKAVLTLQGKTNVVQPKLCSSWERKVSGILRKHFGFSSLKSFQKEALEAWLANRDCLVLAGTGSGKSLCFQIPALLSGKIVVVISPLISLMHDQCLKLAKHGVSACFLGSGQPDSSVEHKAMSGRYNIVYVCPETVLRLVAPLKRLAESHGIALFAIDEAHCISKWGHDFRPDYRRLSALRENFSTSRLKFLKFDIPLIALTATATIPVREDIVKSLHMSNDTKIILSSFFRPNLRFSVKHSRTSSESSYAKDFRVLIETYAVERRVTIKKSGCSNAIDEDEDSHVCCRSLDDSSSDGEESDEDSHVCCRSLDDSSSDGEESDEDSHVCCKSLDDSSSDGYKSCPYHRNCYCDYDGADGPDTDSTDDDSAFSRGKNKLRVEYLEDELSNALFVDDFDVSCGEFIGKPLSASYEFCEALVIPSKEASLEQGPTIVYVPTRKETLKVAEYLSRHGVRAAAYHAKLPKSHLRRVHDEFHCNQLQVVVATIAFGMGIDKSNVRRIIHYGWPQSLEAYYQEAGRAGRDGKLSDCTLYVDLSTIPALLPSQRSEERTKEAYRMLSECFRYGMNNSVCRARMLVKYFGEELTNNRCHLCDVCVSGSPKIQNLKEEAAIFLRVVQAEYMDVSAVYGENSNRNFLERPNFKTLVGKIRRRFHEYSASNQLWWQGLARILEDKGYIREGDDDKVHVCIKYPEPTKLGLKFLKSGEALYACPEADMLLSMKKKKPYSSFSDWGRGWADPEIRRQRLQQTKSGSGSLKRKMPSKRPPGDSNKVKRKVAAKLGKKKR >DRNTG_08001.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30023791:30035421:1 gene:DRNTG_08001 transcript:DRNTG_08001.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like SIM [Source:Projected from Arabidopsis thaliana (AT5G27680) UniProtKB/Swiss-Prot;Acc:Q9FT69] MVGNDDVSVDHVIGKLIEMGFEFDKAVKATEAVGPCLDDALEFILNGSCDRASAPDCCSTAPPRTLGRRRLKQSNITNHVFPSSRKKRNLSFGSCDASSSKHMKIQAQFEPATISSQRKFGVHVEAAKAVLTLQGKTNVVQPKLCSSWERKVSGILRKHFGFSSLKSFQKEALEAWLANRDCLVLAGTGSGKSLCFQIPALLSGKIVVVISPLISLMHDQCLKLAKHGVSACFLGSGQPDSSVEHKAMSGRYNIVYVCPETVLRLVAPLKRLAESHGIALFAIDEAHCISKWGHDFRPDYRRLSALRENFSTSRLKFLKFDIPLIALTATATIPVREDIVKSLHMSNDTKIILSSFFRPNLRFSVKHSRTSSESSYAKDFRVLIETYAVERRVTIKKSGCSNAIDEDEDSHVCCRSLDDSSSDGEESDEDSHVCCRSLDDSSSDGEESDEDSHVCCKSLDDSSSDGYKSCPYHRNCYCDYDGADGPDTDSTDDDSAFSRGKNKLRVEYLEDELSNALFVDDFDGIH >DRNTG_15492.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20901097:20906644:1 gene:DRNTG_15492 transcript:DRNTG_15492.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKASISRG >DRNTG_15492.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20906062:20906644:1 gene:DRNTG_15492 transcript:DRNTG_15492.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPGDGRSEETSPIPAGNGGFEGDP >DRNTG_16038.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25928702:25932653:-1 gene:DRNTG_16038 transcript:DRNTG_16038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDSKDPDLSKLELHNVPGGAETFELAAKFCYGTNFEITTRNVAHLRCIAEYLEMTDDYREENLISRTETYLNEIVVQGLEKSVEVLCSCEGLNSMAEDIGILDRCIDAIAINASKEQLVSGLARLECDGETGKLKLGCQDWWLEDLSVLKIDIYQRVIAAMRRTGVRSDSITASIIHYAQMSLKGIEKRQAWDSSMVIGDEQRLVIETLVGLLSTEKITSVPLSFLFGMLRMAIVVDAALGCKLELERRIGFQLDMASLDDLLIPSLQNSDSVFDVDTVHRILVNFLQRVEEEDSEESSKCGYESDGLKSPSHDAILKVGRLMDGYLAEIAPDPYLKLPKFMAIIELLPDYARLIEDGLYRAVDIYLKAHPSLTESECKRLCKLIDCSKLSQEASNHAAQNDRLPVQMAVRVLYFEQLRLKSSLSGSSADGFFSQRMISSSGAPSLAVSPRDNYASLRRENRELKLEISRMRVRLSELEKEQAFMKQGMRDNKSGEHGRAFFASLSRGIGRIGIFGQGHGQQQKSTRKSQGSEGKHRRRQRRSV >DRNTG_08194.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6765552:6766032:1 gene:DRNTG_08194 transcript:DRNTG_08194.1 gene_biotype:protein_coding transcript_biotype:protein_coding LASTINDADQGSADVAFRTPPAPYEKSKSLGSGGSMVARLKLKGIDGRAPPGVEPAA >DRNTG_25094.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:752427:757017:-1 gene:DRNTG_25094 transcript:DRNTG_25094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGAAPTGASKAIAQYTMDARLDAVFEQSSLAFDYSESTRAAPPNSVPEHQITAYLSKIQRGGFIQPFGCTLAVSEHSLHVIAFSTNAPSLLAGGAAGAGPNLRLGTDVRSFFSPSSAVLLSRAASSREISLLNPLLLHSLPSSKPFHAILHRIDVGIVIDLEPSRSDDTVLSVGGAVQSQKLAVRAISRLQSLPGGDIPLLCSTVVSLVRDLTAYDRVMVYKFHDDQHGEVVAESCRSDLDPYLGLHYPATDIPQASRFLFKQNRVRMIADCNAAPVPIIQSESLPQPLCLVGSTLRAPHQCHAQYMANMGSIASLAMAVIVNGEDVISGGSMKLWGLVVCHHTSPRCIPFPLRSACEFLMQAFGLQLNMEVQLAAQFSEKRILRTQTLLCDMLLRDSPPAIITQSPSVMDLIKCNGAALYHRERYWPLGACPSESQIKDIVQWLRDSHADSTGLSTDSLADAGFPGAAALGDAVCGMAAARINRKDFLFWFRSHTAKEIKWGGAKHHPQDRDDGQRMQPRSSFQAFLEVVKSRSLPWENSELDAIHSLQLILRDSFKDEFDEAGTSDSMPLVNVEKPQEQQQQQHGEIEQLSSVAREMVRLIETATVPIFAVDSDGRINGWNPKVADLTGLPVEEAMGKSLVEDLAFQGSIDVLDRLLFRALRGEEDKNVEIKLRVFDSEKSGNPVFLIVNACASRDYASKIIGVCFVGQDVTGQRVMMDKFIHIQADYKSIVHSPNPLIPPIFASDENVCCSEWNTSMEKLTGWSGHEIIGKLLVGEVFGSCCRLRSSETLTKFTVILHNATTGQETDKFPFSFFNRDGKFIQALLTANTRTNMDGEVIGAFCFLQIASPELQQALEVQRQQEKKCLSRTKELAYICQEIKHPLGGIRFANSLLERTDLSDDQKQFLKTSASCEKQMMKIIKDVGLERIEDSSLEIDKSEFMLGSVIDAVASQVITQLTEKGLQLIRDIPEETKTISACGDQTRIQQVLTDFLLSMISFAPSTEGWIEIQVRTNLKQNSNGNEMVLLRFRIMIPGEGLSPELVQDMFRNSGWVTEEGVNLSICRKILKLMNGEVQYIRESERCYFLIAIELPTTPT >DRNTG_00585.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29932715:29933173:-1 gene:DRNTG_00585 transcript:DRNTG_00585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPMVRRNSFGGRTPELLTPHSYSGPRGRFSTTPFNFVALSKEDTSSSSASLSGSELGFGFHAQLQI >DRNTG_30954.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2424428:2428111:1 gene:DRNTG_30954 transcript:DRNTG_30954.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSRPWILLTMINFYNLVYRLQLLAGQMLESPASSMHGVNIQSDRAIVTEIAGTTRDVVEAHVTVQGIPVTLLDTAGIRETDDLVEKIGVQRSEAAAMGADVIIMTISASDGWTEDDKKLMEHLQMNQMSTSFATPIILVINKIDCAPSITEELFEVDTGIFKKHVCTCAVTGQGIVELEKAILEVRGLDSIPAGGRRWTVNQRQCEQLLRTREALSRLKSSICEELPSDFWTIDLREAVLALGEISGVDISEEVLSSIFSKFCIGK >DRNTG_30954.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2424428:2428111:1 gene:DRNTG_30954 transcript:DRNTG_30954.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDVASRVFRPAKRNGEVPWRPRSHFVEYGLALDLEGNVIDEVLAVPMLAPRSYTREDVVELQCHGSDVCLRRVLRACLEAGARLAEPGEFTLRAFLNGRLDLSQAENIGRLISAKSVAAADSALAGMQGGFSALVKGLRKQCIELLAEIEARLDFEDELPALDSSILIDKINLMWYDVQQALDTANYDKLLQSGLQIAIVGRPNVGKSSLLNAWSKHSE >DRNTG_30954.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2427464:2428111:1 gene:DRNTG_30954 transcript:DRNTG_30954.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSFATPIILVINKIDCAPSITEELFEVDTGIFKKHVCTCAVTGQGIVELEKAILEVRGLDSIPAGGRRWTVNQRQCEQLLRTREALSRLKSSICEELPSDFWTIDLREAVLALGEISGVDISEEVLSSIFSKFCIGK >DRNTG_30954.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2424428:2428111:1 gene:DRNTG_30954 transcript:DRNTG_30954.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDVASRVFRPAKRNGEVPWRPRSHFVEYGLALDLEGNVIDEVLAVPMLAPRSYTREDVVELQCHGSDVCLRRVLRACLEAGARLAEPGEFTLRAFLNGRLDLSQAENIGRLISAKSVAAADSALAGMQGGFSALVKGLRKQCIELLAEIEARLDFEDELPALDSSILIDKINLMWYDVQQALDTANYDKLLQSGLQIAIVGRPNVGKSSLLNAWSKHSE >DRNTG_30954.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2424428:2428111:1 gene:DRNTG_30954 transcript:DRNTG_30954.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDVASRVFRPAKRNGEVPWRPRSHFVEYGLALDLEGNVIDEVLAVPMLAPRSYTREDVVELQCHGSDVCLRRVLRACLEAGARLAEPGEFTLRAFLNGRLDLSQAENIGRLISAKSVAAADSALAGMQGGFSALVKGLRKQCIELLAEIEARLDFEDELPALDSSILIDKINLMWYDVQQALDTANYDKLLQSGLQIAIVGRPNVGKSSLLNAWSKSDRAIVTEIAGTTRDVVEAHVTVQGIPVTLLDTAGIRETDDLVEKIGVQRSEAAAMGADVIIMTISASDGWTEDDKKLMEHLQMNQMSTSFATPIILVINKIDCAPSITEELFEVDTGIFKKHVCTCAVTGQGIVELEKAILEVRGLDSIPAGGRRWTVNQRQCEQLLRTREALSRLKSSICEELPSDFWTIDLREAVLALGEISGVDISEEVLSSIFSKFCIGK >DRNTG_01200.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000072.1:21961:38256:1 gene:DRNTG_01200 transcript:DRNTG_01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCERQGNRRDRSEESHRHDTMHNPSELGLQLLHILIQPFIGTEPHGHYHRSRAPPPQPLPLHFQPLQALHLLPLPLLLLRLHRQQASPLDQLHHHELLLPRNH >DRNTG_05395.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:24912278:24916267:1 gene:DRNTG_05395 transcript:DRNTG_05395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWQELGQAVLIGLIFAFLVAKLISTIVSFRGNHLRITREDDIQEEADASGDGDSGVVKEDVGVSEDESDEGWEGIESTELDEAFSAATAFVAATAADRMAPKVSSDLQLELYGLYKIATEGPCTTPQPSAFKATARAKWNAWQKLGAMPPEEAMQKYIMIVTELYPNWAGDSNIKDKDESTPVSVSKSSDKSSMGPVFSSFVHEEESDDALKLEPIHVSAREGKTQELLSYIENGISVNMRDSEGRTPLHWAVDRGHFDAVETLICKNADVNAKDDEGQTPLHYAVVCEREAIAELLVKHKADINIRDLDDNSPRDICSFPWPFMNLPI >DRNTG_05395.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:24913072:24916267:1 gene:DRNTG_05395 transcript:DRNTG_05395.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEEAMQKYIMIVTELYPNWAGDSNIKDKDESTPVSVSKSSDKSSMGPVFSSFVHEEESDDALKLEPIHVSAREGKTQELLSYIENGISVNMRDSEGRTPLHWAVDRGHFDAVETLICKNADVNAKDDEGQTPLHYAVVCEREAIAELLVKHKADINIRDLDDNSPRDICSFPWPFMNLPI >DRNTG_05395.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:24912278:24916267:1 gene:DRNTG_05395 transcript:DRNTG_05395.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWQELGQAVLIGLIFAFLVAKLISTIVSFRGNHLRITREDDIQEEADASGDGDSGVVKEDVGVSEDESDEGWEGIESTELDEAFSAATAFVAATAADRMAPKVSSDLQLELYGLYKIATEGPCTTPQPSAFKATARAKWNAWQKLGAMPPEEAMQKYIMIVTELYPNWAGDSNIVS >DRNTG_05395.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:24912278:24912964:1 gene:DRNTG_05395 transcript:DRNTG_05395.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWQELGQAVLIGLIFAFLVAKLISTIVSFRGNHLRITREDDIQEEADASGDGDSGVVKEDVGVSEDESDEGWEGIESTELDEAFSAATAFVAATAADRMAPKVSSDLQLELYGLYKIATEGPCTTPQPSAFKATARAKWYGFVIYYLSFYLLILFTESVWLCLNRFEN >DRNTG_14281.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:834640:854936:-1 gene:DRNTG_14281 transcript:DRNTG_14281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPDVRSWRTSFLTLRDETLNPPPPSSLLTLLQNVILSHPSASLIAAAAQLPSHEITSDLVLLAGLASATLECPDAAAASLQICHLIHDVTCSIRLEINSSSWTIILNFFEKLTKQLLCEADAVNFAKVRTARVDVISEILEIIRHIYRGYGPKSSLSDNTELVGIVLCLISCLHTELLTIHQSNFSLSPATDIRVVYSTSKSLWEMQTIAYVLTGEALSKLGSSISANLWHCVLEGLRKAMDFLASKNLIIEDYVMSRFYATLLHCLHMILLDPKGSLSGHVAGFVSTLQMFFTYGLSSRSFLVPTTIGSVNKENGTSNHKSRFVESSKNEHGRYKPPHLRKKETLMQVPKSLSSSDSELSKNGFTSSDSDQSDGDGFAKFEDPFRSSKARIAAINCVQAICHADPKSLTSLWTILLPETDVLQPRRYPATLMTCLLFDPISKIRIAAASTLAAMLDRHALFFLQVAEYKGSIKCGSFTTLSSSLGHILMQLHRGILYLIQHQPHSGLSTPLFKVLSFLISATPYARMPEELLPSVIVSLRAWMMEDLANKHIGLLGIALSCLEAALSRSPSSVNVLKVLEEDISKDCAHRQQGSSLPHILLQLTERGRHSSVKLEALQVLKALIHNYPSLVTRVWECISSVVYSLLQTRSTDEFSPEICSASWRGESGKSPGSNIEKCTMAGVKVLDECLRAASGFKGTELTDGLEECGSLDIQILSDFRRRIRVSSAPSYDLNGLEAFKCSMEGHLSGSNLWSEVIMKHLPLALSHSSSMVRAASLTCFAGLTLPVFSSFTKENQEFVISLSISAARNDQVSLVRSAACRAIGVIAFFPQVVSCSSVLNEFIRAAEFNSHDPTTSVRITASWALANICDSLRQKATTSHSECSSTLKLDPESISVLVESALRLTKDGDKIKSNAVRALGNLSRFIQFSDHSSPKNLTSNCINLCDSDSDWLERMVQAFVSCVTTGNVKVQWNVCHALGNLFMNDTMKLKNMSWTSAVYSILLLLLRDSTNFKIRIHAAVALSVPGSRLDYGNSFADVVQSLVHVLETLNSDQTSTPSGFKYKGSLEKQVTLTSLHVLGFASSEDDQALKDFLIKKASFLEDWFKLLSASLMEVANQPSSSKETSSVDQEDIYIPHALKKTKLLAAAKSLSNIYTSSKRQGLAQRFVKYVDNLAEDS >DRNTG_24546.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001307.1:41903:43070:1 gene:DRNTG_24546 transcript:DRNTG_24546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYSSYQSAGYDKDALDMYSNLNLYTLTAESIRHLCRNGVRRVGTSKYPLVQDMETPRQKQGSIDCAVYVIRFIKQLLTDEKLRLPQTDIPYLRFKVCFPHT >DRNTG_05295.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12318267:12320896:1 gene:DRNTG_05295 transcript:DRNTG_05295.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFKSYVLKEPIGVVGLITPWNYPLLMATWKVAPCLAAGCTAILKPSELASVTCLELADICREVGLPPGVLNILTGFGPEAGAPLASHPLVDKIAFTGSTETGRKIMTAAAQIVK >DRNTG_09676.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1339256:1341887:1 gene:DRNTG_09676 transcript:DRNTG_09676.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATYYLLVTVRGQSPASGLSSKACREKMRRDRLNDKFVELGSILDPGKPPKMDKAAILSDAVHMVTQLRTDVQKLKDSNEKLHEKIKELKTEKNELRDEKQRLKAEKESLEQQVKLLNATPSFVPHPPVIPTPYVAQGQASGQKLMMPVIGYPGFPMWQFMPPADVDTSQDVESCPPVA >DRNTG_09676.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1339256:1341887:1 gene:DRNTG_09676 transcript:DRNTG_09676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKNLAQANVRGQSPASGLSSKACREKMRRDRLNDKFVELGSILDPGKPPKMDKAAILSDAVHMVTQLRTDVQKLKDSNEKLHEKIKELKTEKNELRDEKQRLKAEKESLEQQVKLLNATPSFVPHPPVIPTPYVAQGQASGQKLMMPVIGYPGFPMWQFMPPADVDTSQDVESCPPVA >DRNTG_23326.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1065480:1066453:-1 gene:DRNTG_23326 transcript:DRNTG_23326.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPFAPLSLTFNDIVYSVDMPSEMKAQGITEDRLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGNITISGYPKKQETFARISGYCEQNDIHSPYVTVYESVVYSAWLRLPPEVDPKTREVCKISMLLCTT >DRNTG_23326.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1062863:1065970:-1 gene:DRNTG_23326 transcript:DRNTG_23326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQGITEDRLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGNITISGYPKKQETFARISGYCEQNDIHSPYVTVYESVVYSAWLRLPPEVDPKTREMFVEEVMELVELTTLRKAMVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHQSHELINYFEGINGVSKIKDSYNPATWMLEVTTTGQEIALGVNFAEVYKNSNLFRRNKALISELSIPTPGSKDLHFPTQYSQAFPSQCMACFWKQYKSYWRNPSYNANKIIFTTVMALLLGAIFWRLGSKRSTKQDLFNAMGALYAAVLLIGIQNSLSVQPVVDVERTVFYREQAAGMYSALPYAFAQVAIEVPYTLIQTLICGILTYSMIDFDWTLAKFLWYIYFTFCTLLYFTYYGMMSVSLTPNSDIAAVVCSAFVSIWNIFAGFVIPRPRIPIWWRWYFWVCPISWSLYGFIASQFGDIDDPIDGGETVKGFIRRYFGYKHDFLGVVAAVEIGIPAFFGFIFAFAIKKLNFQNR >DRNTG_23326.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1064916:1066453:-1 gene:DRNTG_23326 transcript:DRNTG_23326.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPFAPLSLTFNDIVYSVDMPSEMKAQGITEDRLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGNITISGYPKKQETFARISGYCEQNDIHSPYVTVYESVVYSAWLRLPPEVDPKTREMFVEEVMELVELTTLRKAMVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHQSHELINYFE >DRNTG_23326.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1064281:1065390:-1 gene:DRNTG_23326 transcript:DRNTG_23326.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEEVMELVELTTLRKAMVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHQSHELINYFEGINGVSKIKDSYNPATWMLEVTTTGQEIALGVNFAEVYKNSNLFRRNKALISELSIPTPGSKDLHFPTQYSQAFPSQCMACFWKQYKSYWRNPSYNANKIIFTTVMALLLGAIFWRLGSKRYIIFQ >DRNTG_23326.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1062863:1064192:-1 gene:DRNTG_23326 transcript:DRNTG_23326.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGALYAAVLLIGIQNSLSVQPVVDVERTVFYREQAAGMYSALPYAFAQVAIEVPYTLIQTLICGILTYSMIDFDWTLAKFLWYIYFTFCTLLYFTYYGMMSVSLTPNSDIAAVVCSAFVSIWNIFAGFVIPRPRIPIWWRWYFWVCPISWSLYGFIASQFGDIDDPIDGGETVKGFIRRYFGYKHDFLGVVAAVEIGIPAFFGFIFAFAIKKLNFQNR >DRNTG_23326.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1062863:1064805:-1 gene:DRNTG_23326 transcript:DRNTG_23326.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVTTTGQEIALGVNFAEVYKNSNLFRRNKALISELSIPTPGSKDLHFPTQYSQAFPSQCMACFWKQYKSYWRNPSYNANKIIFTTVMALLLGAIFWRLGSKRSTKQDLFNAMGALYAAVLLIGIQNSLSVQPVVDVERTVFYREQAAGMYSALPYAFAQVAIEVPYTLIQTLICGILTYSMIDFDWTLAKFLWYIYFTFCTLLYFTYYGMMSVSLTPNSDIAAVVCSAFVSIWNIFAGFVIPRPRIPIWWRWYFWVCPISWSLYGFIASQFGDIDDPIDGGETVKGFIRRYFGYKHDFLGVVAAVEIGIPAFFGFIFAFAIKKLNFQNR >DRNTG_22044.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3819791:3824074:1 gene:DRNTG_22044 transcript:DRNTG_22044.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSYSNLISTTELHHRGDPDAATTAAPRSDWKVHSGCSKLTVFKENEEERGARR >DRNTG_22044.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3819791:3824074:1 gene:DRNTG_22044 transcript:DRNTG_22044.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSYSNLISTTELHHRGDPDAATTAAPRSDWKVHSGCSKLTVFKENEEERGARR >DRNTG_22044.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3819791:3824074:1 gene:DRNTG_22044 transcript:DRNTG_22044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSYSNLISTTELHHRGDPDAATTAAPRSDWKVHSGCSKLTVFKENEEERGARR >DRNTG_18813.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000974.1:45740:50466:1 gene:DRNTG_18813 transcript:DRNTG_18813.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPELKERRFECPSNLENADLSDSRVATATNASLTATNSSPAEHVIEPALVMFEVHVPPSCMLDGVHSAHFFGTGVIVHHSENMGLAVVDRNTVAVSACDVMLSFAAFPIEIPGEVVFLHPVHNYALVAYDPSALGAGASIVRAAELLPEPALRRGDSVYLVGLSRSLQATSRRSTVTNPCAAINIGSADSPRYRATNMEVIELDTDFGNTFSGVLTDEYGRVQALWASFSTQLKYGCSSLEDHQFVRGIPIYAISEVLDKIINGASGPPLLINGIKRPMPLVRILEVELYPTLLSKARSFGLNDSWVQALAKKDPIRRQVLRVKGCLAGSKAETLLEQGDMILAINKEPITCFRDIEKACQDLDKCIDGLNMTIFRQGHEMELIVGTDIRDGNGTTRMVNWCGCIIQDPHPAVRSLGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEVNGKLTPDLETFVNVVKELEHGEFVRVRTVHLNGKPRVLTLKQDLHYWPTWELKFDPESTTWRRETIKALDNSIA >DRNTG_18813.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000974.1:45620:50466:1 gene:DRNTG_18813 transcript:DRNTG_18813.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELKERRFECPSNLENADLSDSRVATATNASLTATNSSPAEHVIEPALVMFEVHVPPSCMLDGVHSAHFFGTGVIVHHSENMGLAVVDRNTVAVSACDVMLSFAAFPIEIPGEVVFLHPVHNYALVAYDPSALGAGASIVRAAELLPEPALRRGDSVYLVGLSRSLQATSRRSTVTNPCAAINIGSADSPRYRATNMEVIELDTDFGNTFSGVLTDEYGRVQALWASFSTQLKYGCSSLEDHQFVRGIPIYAISEVLDKIINGASGPPLLINGIKRPMPLVRILEVELYPTLLSKARSFGLNDSWVQALAKKDPIRRQVLRVKGCLAGSKAETLLEQGDMILAINKEPITCFRDIEKACQDLDKCIDGLNMTIFRQGHEMELIVGTDIRDGNGTTRMVNWCGCIIQDPHPAVRSLGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEVNGKLTPDLETFVNVVKELEHGEFVRVRTVHLNGKPRVLTLKQDLHYWPTWELKFDPESTTWRRETIKALDNSIA >DRNTG_05556.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5097392:5101590:1 gene:DRNTG_05556 transcript:DRNTG_05556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALYYCGCPSSLKWNLPIVKAVNVSETGSLRLPILERVDEELRRGNEREALALVNNLVGKPGGLRCFGTARQVPQRLYNLDELKLNGIDTLSLLSPVDGTLGAIERTLQVTACLGGLSAWVVFGFSQLQILSFSLGLLFLWSLDLVSFNGGMSNLLLDTIAHTASEKYHDRVIQHEAGHFLIAYLLGVLPRGFTLSSLEALRKEGSLNVQAGTSFVDFEFLEEVAKGKVSAKMLNRFSCIALAGVATEYLLFGYAEGGLADIDKLDNLLKSLGFTQKKADSQVRWAVLNTILILRRHERARSKLAEAMSSGKSVGFCIDIIETSIVSSESSDI >DRNTG_05556.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5097392:5101477:1 gene:DRNTG_05556 transcript:DRNTG_05556.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLLDTIAHTASEKYHDRVIQHEAGHFLIAYLLGVLPRGFTLSSLEALRKEGSLNVQAGTSFVDFEFLEEVAKGKVSAKMLNRFSCIALAGVATEYLLFGYAEGGLADIDKLDNLLKSLGFTQKKADSQVRWAVLNTILILRRHERARSKLAEAMSSGKSVGFCIDIIETSIVSSESSDI >DRNTG_05556.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5097392:5101477:1 gene:DRNTG_05556 transcript:DRNTG_05556.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRFSCIALAGVATEYLLFGYAEGGLADIDKLDNLLKSLGFTQKKADSQVRWAVLNTILILRRHERARSKLAEAMSSGKSVGFCIDIIETSIVSSESSDI >DRNTG_05556.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5097392:5101477:1 gene:DRNTG_05556 transcript:DRNTG_05556.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRFSCIALAGVATEYLLFGYAEGGLADIDKLDNLLKSLGFTQKKADSQVRWAVLNTILILRRHERARSKLAEAMSSGKSVGFCIDIIETSIVSSESSDI >DRNTG_02947.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1361990:1367073:-1 gene:DRNTG_02947 transcript:DRNTG_02947.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALTRISWWPFGRKESKPAAPANPSLSSSADFGLGFREPDSLKFPSVKGPGMRSSSRRVKKKWRSREERKIDREYDLVLVPSDGGCLSGSESDDSDWSIGWLEPHSADFVSDTESENSFAVLVPCYGRGRCEMVESPKHHLFGEVDFANAGSSDLKNYLEPWPSSRPTV >DRNTG_20481.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2057170:2059216:1 gene:DRNTG_20481 transcript:DRNTG_20481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQTTIINDANNSTTTTPPHVVLVPLFAQGHIIPMLDMARLLAQRGVLVTFITTPVNASRIKPIIARVHESKLPINFIEIPFPCAEAGIPLGCENFDLLPSPELFLNFFDAIRLFSHPLEQRLRDLVPLPTCMINDMWNPWTANVARSLNIRRLVFHGPSCAFIYCSYVFQLHKIYETVTDEFEDITVPGLTDDHDDDVGQSFKVSKAHSSGWSNMPGFEKIRHEVLHAAETVDGVVMNTLDDVELMFVEAYKKVVGKDVWTVGPLCLYDKDDDFSARIVRGNKTAVDQEKLFGWLDSMEENSVLYVSFGTLTQMKVGETLEIGSGLEASGVPFIWVIKDVEKSPAVEEWLEGFEKRMSLRSIVIKGWAPTSCNTLT >DRNTG_30665.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8695380:8697007:1 gene:DRNTG_30665 transcript:DRNTG_30665.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase PINOID 2 [Source:Projected from Arabidopsis thaliana (AT2G26700) UniProtKB/Swiss-Prot;Acc:Q64FQ2] MASGQEDSSSSDYESSSSSFTGGISSDISFNSSSSISVRSFGAESFASSRSYKPHKSNEATWTAISHLRASSGQLTLNHFHLLRRLGSGDLGNVYLCRLKSPSSSLYAMKVVDKEALAVRNKLQRAETEKEILRTLDHPFLPTLFADFEASHFSCIVMEFCSGGDLHVARQRQPGRRFPLSSAKFYAAETLLAIEYLHMMGVVYRDLKPENVLVRDDGHIMLSDFDLSLKCDVVPRVFKQRHAEKSSNNNNSKNNKGGSSGIQPVLSCFYGGASKCTRMNRYDEDEEIDQDMETELVAEPFSARSKSFVGTHEYLAPEVISGLGHGSAVDWWTLGVFLYEMLYGRTPFKGENNEKTLINIIKQPVSFPKVAAVSEEMMRAQDLINKLLVKNPKKRIGSMKGSSEIKRHDFFRGVNWALIRSVKPPEVPRELRKGRTSKVAVQAPLMKLTRKQRDQPYNIPQYFDYF >DRNTG_20197.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12569762:12576734:1 gene:DRNTG_20197 transcript:DRNTG_20197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQQKKERALDVIFELGLERCQETMIGGAFVRGVSGGERKRVCIGNEILINPSLLFLDEPTSGLDSTTALRIVEMLHGIAEDGKTVVTTIHQPSSRLFHNFDKLILLGKGSLLYYGKASEAMPYFSSIGCNPLIAMNPAEFLLDLANGNMNDISMPSELDDKVQLENLGGDARTDRPSPKDIHEYLVEAYETRVADQEKKKLLLPIPINEDLKAKMSSQKREWGANWWQQFSILFRRGFKERQHDYLSWMRITQVIATAIILGLLWWHSDSSTPKGLQDQAGLLFFVPVFWGFFPVFTAIFTFPQERAMLNKERAVDMYKLSAYFMARTTSDLPLDLILPTLFLLIVYFMAGLRPSPAPFFLTMLAVFLNIIAAEGVGLAIGATLMDFKKGTTLASVTIMTFMLAGGLFVKRVPVFISWIRYVSFNYHTYRLLLKVQYGHIPTSVNFINMGSGVREVLALIAMGLGYRLLAYFSLRMKMHVGA >DRNTG_20197.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12569762:12576734:1 gene:DRNTG_20197 transcript:DRNTG_20197.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESASSGILRTKSEQIEMASIKQSLSRTASAETISVADTNGGLSRKSSFGKIGSPGRRVGNHTRKSRSGQLKLELDEVSSSAALSRASSAGLGFSFSFTGFTPPEDIIANLKKSFSDDDNAVDLESGGERRRKRMTTEPTLPIYLKFTGVKYKVITKGVTSTSEKEIIQGITGSASPGEVLALMGPSGSGKTTLLSLLGRRITGEISEGSITYNDEPYSKSLNRRIGFVTQDDVLFPHLTVKETLTYAALLRLPKTMTKQQKKERALDVIFELGLERCQETMIGGAFVRGVSGGERKRVCIGNEILINPSLLFLDEPTSGLDSTTALRIVEMLHGIAEDGKTVVTTIHQPSSRLFHNFDKLILLGKGSLLYYGKASEAMPYFSSIGCNPLIAMNPAEFLLDLANGNMNDISMPSELDDKVQLENLGGDARTDRPSPKDIHEYLVEAYETRVADQEKKKLLLPIPINEDLKAKMSSQKREWGANWWQQFSILFRRGFKERQHDYLSWMRITQVIATAIILGLLWWHSDSSTPKGLQDQAGLLFFVPVFWGFFPVFTAIFTFPQERAMLNKERAVDMYKLSAYFMARTTSDLPLDLILPTLFLLIVYFMAGLRPSPAPFFLTMLAVFLNIIAAEGVGLAIGATLMDFKKGTTLASVTIMTFMLAGGLFVKRVPVFISWIRYVSFNYHTYRLLLKVQYGHIPTSVNFINMGSGVREVLALIAMGLGYRLLAYFSLRMKMHVGA >DRNTG_34474.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24498752:24500695:-1 gene:DRNTG_34474 transcript:DRNTG_34474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPPSSSVNHLKMNRDENDDDKKPIRRPLMFREETCIFFLFL >DRNTG_34474.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24498752:24500668:-1 gene:DRNTG_34474 transcript:DRNTG_34474.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPPSSSVNHLKMNRDENDDDKKPIRRPLMFREETCIFFLFL >DRNTG_34474.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24499495:24500668:-1 gene:DRNTG_34474 transcript:DRNTG_34474.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPPSSSVNHLKMNRDENDDDKKPIRRPLMFREETCIFFLFL >DRNTG_35261.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3358419:3360047:-1 gene:DRNTG_35261 transcript:DRNTG_35261.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 20 [Source:Projected from Arabidopsis thaliana (AT3G03760) UniProtKB/Swiss-Prot;Acc:Q9SRV3] MDSSHLSNFLSSSSSLITKTKTNTNTSTSTATVHHSPPCGACKFLRRKCVQGCVFAPYFGSEQGAAKFAAVHKVFGASNVSKLLMHIPAPRRHDAVVTVCYEAQARLADPVYGCVASILALQQQVAALQAELTILQSQVISNRLAMASALQSSQQSSSQCQLPQQHMISVLHPSYSNNSSTSNSMVMNLTSFGPTGLDPIGSHVHEPVSEGLCQLLEPPSHNEDEEAEGESRTSSDVFVNDVFHTR >DRNTG_29965.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5732809:5733524:1 gene:DRNTG_29965 transcript:DRNTG_29965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELTNMISVPMALHTVIRLNIPDAIWSSGSNSPLSADEILSRIHPSLPPSTSPTAIQRLLRLLTSHGVFSELYLPPAPRRYSLTDIGQTLVSSEPNGPSFAAYVLQHHQDALVRAWPWLHSAVVDPDGPEPFAKANGGVGAYEYYGKEPEANALMQRAMWGVSVPFMDAFLDGYEGGFDGVETLVDVGGSSGACLKMIMDRFSGVTLGINFDLPEVVAEAPDFP >DRNTG_03958.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:11140702:11141754:1 gene:DRNTG_03958 transcript:DRNTG_03958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTELRFTHNEKKLDELVTVLKSVQTSIQALENQVRQLVKANSEPSS >DRNTG_12739.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25736216:25737154:-1 gene:DRNTG_12739 transcript:DRNTG_12739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARRSEFLVLVAIVLILIHSSAGAGMSAYEVLRVNGLPSGLLPKGVREFSIGDDGSFEAHLDHPCLAKFENHVLYQTNISGSISFGRISSISGVSAQELFLWFPVKAIVVDDPLSGVIFFDVGDVRKQFPISTFDTPPDCAPTDEEDVLALHRAGGGVGVWRS >DRNTG_01156.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23363505:23363935:-1 gene:DRNTG_01156 transcript:DRNTG_01156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGVFWAGIAASIVHSKLKRRIPTRACRNYQHSCGNSTGPCGYWESFHRHRSGERYPRPNKGTFGGDKASP >DRNTG_35010.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26758446:26760101:1 gene:DRNTG_35010 transcript:DRNTG_35010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLIQAQAELGKLHGTRSLGKHSCICGHLDFNPSTFHQDVLCNVIDDVSPGYLRVKHWRELIGAQRDWQTNYKYGKPVRIKGGIPSIVLCNPGTDSSYKEFLDRHENMGLQDWTLKNEQFEFLVHNLFITTQEGDNNTIPSSDSSN >DRNTG_30791.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10430164:10431571:-1 gene:DRNTG_30791 transcript:DRNTG_30791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSHLQPLRSSKLRPPTLFGKRISPNLEKPKVFIGSSLPRT >DRNTG_23283.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1322316:1323016:1 gene:DRNTG_23283 transcript:DRNTG_23283.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTASSFSAPISEHDRKISKDEKVPSSSSPPPASSGKASEATVFDGRPRSQVVNSPPAVARRPVFAPDDINESAEAFINRFKHQLQLQRLQSIENYNQMLARGL >DRNTG_34592.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23138525:23141441:1 gene:DRNTG_34592 transcript:DRNTG_34592.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLEMTDRAMASNPELALTGSCLLVVLVRDDDVYVMNLGDSRAIVAQRKVPAIDDGVAPAVELVALQLSMDHSTSIEQEVLRIKEEHPDDDRCIVNHRVKGRLKVTRAFGAGFLKQAKWNDGLLEMFRNEYIGSAPYISSEPFLCHHKLGPDDQFLVLSSDGLYQYLSNEEVVSHVEHFMENFPDGDPAQSLIEVLLSRAAKKAGMELCELLEIPKGDRRKYHDDVTVMIISLEGRIWKSSGKCI >DRNTG_18169.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29184327:29185859:1 gene:DRNTG_18169 transcript:DRNTG_18169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATATSTTATALLSISNACESMLKLKLIHARLIRFDLHDHRILAKLFRFAAVSPAGNLRYATLLFSYHHCIRSNSTTAFFYNTLIRGFANSSDPSKSFTLFNSMRRRSISPDPFSFTFILKARSRCLSNMAGDVQAQALKFGCLGPHPSHADVHNALIHLYALADPASAQQVFDEMPEPDVVSWSGLLSAHLRVSDLDNARKVFDGMPERDVVSWTAMISGYTRAQRAKDALKLFQLMPMQPDEVTMIAVISACTSLGDLEAGEGIRRYINDKGFGWMVSLCNALIDMYAKCGCLKSARQVFDETKNKSLITWNSMISAYAAHGAADAAIKLFDEMSAAGVKPDGVSFLAVLSACTHQGLVSEGQRLFAAMPDNHVAVGVEHYGCMVDMLGRAGLLEEAYQLIESMPMPSNDVIWGALLGACNIHGNVRIAEKAVEKVKQLKSGKGGYYVILSNMYAASGRRAEASNMRQNMEQMGARKTPGVSSSWASTPCQDIDQRLFDLQRNIN >DRNTG_03751.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17962337:17962935:1 gene:DRNTG_03751 transcript:DRNTG_03751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHAVPPSRCSRRVAPSALSKKPLASSENGAVAVKPSSPNQAHWEKTVRKLRLSKALMIPEATTDIAVTVIAEGLRTE >DRNTG_31148.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:362969:363283:1 gene:DRNTG_31148 transcript:DRNTG_31148.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCQSCREEYGEVDAGTCKECYEEASETEEELKREIDDLKSKVAFLRLSPPSLDLNPSSSSSSSSSPSAPDLLLLASSHAPSSA >DRNTG_34224.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1367590:1370467:1 gene:DRNTG_34224 transcript:DRNTG_34224.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLTLRLSTSSFMSTIDTTKAHKPNDDFPANSVVRAFPAASRPPPASKLRTRATNSTQEMKVEGGVLVAERLHHLVKHEGMTSSTARVPVYVMLPLDTVTMNGGGLHKGKALNASLMALRSAGVEGVMVDVWWGIVEKEGPLKYNWEGYRELLDMIKKNGLKVQMVMSFHQCGGNVGDSCSIPLPPWVHEEMSRDQDIVYTDRSGRRNPEYISLGCDMLPVLKGRTPIQVYSDYMRSFRDRFNDYFGDVIVEIQVGMGPCGELRYPSYPGEQWNLEVPRNRRISML >DRNTG_34224.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1367590:1370467:1 gene:DRNTG_34224 transcript:DRNTG_34224.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLTLRLSTSSFMSTIDTTKAHKPNDDFPANSVVRAFPAASRPPPASKLRTRATNSTQEMKVEGGVLVAERLHHLVKHEGMTSSTARVPVYVMLPLDTVTMNGGGLHKGKALNASLMALRSAGVEGVMVDVWWGIVEKEGPLKYNWEGYRELLDMIKKNGLKVQMVMSFHQCGGNVGDSCSIPLPPWVHEEMSRDQDIVYTDRSGRRNPEYISLGCDMLPVLKGRTPIQVYSDYMRSFRDRFNDYFGDVIVEIQVGMGPCGELRYPSYPGEQWNLEVPRNRRISML >DRNTG_06008.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11900012:11904216:1 gene:DRNTG_06008 transcript:DRNTG_06008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKNATTAELRYQPYDKKGGRPPKSHKTSFEKNLYKIYASSKELQSNKMKPREFYQKKKQLVLKARKTVFDRIALPNDQVSQLQASQSQASVSHSKFDQKKRSEDEYMSDGDIKIHTVRMITEARGDPTAEQEQPGPVTRSRRRAPDSQSMVREEGSSHIPHDIPKYYKGADPPNEDDEDEPVFGLDQNNIMKKFQEMENREAEYKKMNENMMVLMDTVFQMQKQMANGPEQVQRQNNLIPQPKESAQAVEPEGTSIPIQEHYNRKMRFESTGLEVSLIRLQ >DRNTG_18943.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11959286:11959857:-1 gene:DRNTG_18943 transcript:DRNTG_18943.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYINHNFHDNKTKAKRDIQDLPQQ >DRNTG_18943.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11955599:11959857:-1 gene:DRNTG_18943 transcript:DRNTG_18943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYINHNFHDNKTKAKRDIQDLPQQ >DRNTG_04706.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3927458:3932551:1 gene:DRNTG_04706 transcript:DRNTG_04706.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHQRRYQQLECQVKMLLREGFCNLALSPESFRIIWISKKRMLSLQPINTLNAFTTSTCMATHAENLTSPSLLNFSEALLLQLLDTNLPILLFPLPCALLVTLLQNGLVLSLINSMIHSMIQMKKPTMQKGFIHVLRTQTFLESIQMRQLHMFLHP >DRNTG_17653.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16531588:16532884:-1 gene:DRNTG_17653 transcript:DRNTG_17653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGSEDGAYEAGFGGNASPLAGVKGGRGEDGRIGDAGSPLGIREGIDAEVKEESKLAELPGELGGRGRGEGRGKRMRRKR >DRNTG_17653.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16531588:16532152:-1 gene:DRNTG_17653 transcript:DRNTG_17653.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGSEDGAYEAGFGGNASPLAGVKGGRGEDGRIGDAGSPLGIREGIDAEVKEESKLAELPGELGGRGRGEGRGKRMRRKR >DRNTG_25685.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:952164:954181:1 gene:DRNTG_25685 transcript:DRNTG_25685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIVSSNCPTAFSREETGNDNLRSITSAGMARPTQISRVCLISEDLPS >DRNTG_11877.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15288585:15290311:1 gene:DRNTG_11877 transcript:DRNTG_11877.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPAMVTVISKCTVKAEPPPKLLANKKCHLTPWDLAMLSPQYIQKGHLFTNLPPTFSIDDIINNLKTSLSSTLHHFYPLAGRLATQHEVDNDGNITGTFVFIDCNNEGAEFVQADAQSISVEDVLAPFSDVPAFIQSFFPYDGATNHDGHSIPLVALQLTVLADGVFLGCSFNHVVGDGTSFWHFFTTWAEICRSKNTNVIPSRPPVHDRCFLDGAKPPLKLPFTHESQFIERYFPPPLREKMFHFSSEAIAKLKAKANKERGTNKISSFQSLSALMWRCITRARRFPAEQVTSCRVAIQNRARLQPSQSPNYFGNSIYSLRITATAGELLENDFGWAAWVIHEGIVSHTNDAIRGMVKKWVEAPVVYRLSMFDDFSVVMGSSPRFDMYGCDFGWGKAVALRSGSANKSDGKVSSYPGWEGGGSVDLEVCLPAKSMAALEADPEFLAAVSPFVSLHVQTSQVI >DRNTG_11877.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15288477:15290219:1 gene:DRNTG_11877 transcript:DRNTG_11877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPAMVTVISKCTVKAEPPPKLLANKKCHLTPWDLAMLSPQYIQKGHLFTNLPPTFSIDDIINNLKTSLSSTLHHFYPLAGRLATQHEVDNDGNITGTFVFIDCNNEGAEFVQADAQSISVEDVLAPFSDVPAFIQSFFPYDGATNHDGHSIPLVALQLTVLADGVFLGCSFNHVVGDGTSFWHFFTTWAEICRSKNTNVIPSRPPVHDRCFLDGAKPPLKLPFTHESQFIERYFPPPLREKMFHFSSEAIAKLKAKANKERGTNKISSFQSLSALMWRCITRARRFPAEQVTSCRVAIQNRARLQPSQSPNYFGNSIYSLRITATAGELLENDFGWAAWVIHEGIVSHTNDAIRGMVKKWVEAPVVYRLSMFDDFSVVMGSSPRFDMYGCDFGWGKAVALRSGSANKSDGKVSSYPGWEGGGSVDLEVCLPAKSMAALEADPEFLAAVSPFVSLHVQTSQVI >DRNTG_11877.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15288585:15290219:1 gene:DRNTG_11877 transcript:DRNTG_11877.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPAMVTVISKCTVKAEPPPKLLANKKCHLTPWDLAMLSPQYIQKGHLFTNLPPTFSIDDIINNLKTSLSSTLHHFYPLAGRLATQHEVDNDGNITGTFVFIDCNNEGAEFVQADAQSISVEDVLAPFSDVPAFIQSFFPYDGATNHDGHSIPLVALQLTVLADGVFLGCSFNHVVGDGTSFWHFFTTWAEICRSKNTNVIPSRPPVHDRCFLDGAKPPLKLPFTHESQFIERYFPPPLREKMFHFSSEAIAKLKAKANKERGTNKISSFQSLSALMWRCITRARRFPAEQVTSCRVAIQNRARLQPSQSPNYFGNSIYSLRITATAGELLENDFGWAAWVIHEGIVSHTNDAIRGMVKKWVEAPVVYRLSMFDDFSVVMGSSPRFDMYGCDFGWGKAVALRSGSANKSDGKVSSYPGWEGGGSVDLEVCLPAKSMAALEADPEFLAAVSPFVSLHVQTSQVI >DRNTG_11877.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15288585:15290263:1 gene:DRNTG_11877 transcript:DRNTG_11877.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPAMVTVISKCTVKAEPPPKLLANKKCHLTPWDLAMLSPQYIQKGHLFTNLPPTFSIDDIINNLKTSLSSTLHHFYPLAGRLATQHEVDNDGNITGTFVFIDCNNEGAEFVQADAQSISVEDVLAPFSDVPAFIQSFFPYDGATNHDGHSIPLVALQLTVLADGVFLGCSFNHVVGDGTSFWHFFTTWAEICRSKNTNVIPSRPPVHDRCFLDGAKPPLKLPFTHESQFIERYFPPPLREKMFHFSSEAIAKLKAKANKERGTNKISSFQSLSALMWRCITRARRFPAEQVTSCRVAIQNRARLQPSQSPNYFGNSIYSLRITATAGELLENDFGWAAWVIHEGIVSHTNDAIRGMVKKWVEAPVVYRLSMFDDFSVVMGSSPRFDMYGCDFGWGKAVALRSGSANKSDGKVSSYPGWEGGGSVDLEVCLPAKSMAALEADPEFLAAVSPFVSLHVQTSQVI >DRNTG_32573.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001858.1:38644:47101:1 gene:DRNTG_32573 transcript:DRNTG_32573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLFCREPDGGWARYMEDTEIRNPHTKKRHWLGTFDTSEEAALAYDMSSIIFSGIDRALTNFSNMFLTMPSPPPPPPPPPPHSEEESDYCLEYNLEISDDHDHLVDEMMIMTMVMMISLTEVLEMMLMMPNLAAMEVVALLPKENSKAIAIVVVVAVAFPIHREWTKEARVNFSSPL >DRNTG_18607.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22258024:22259500:-1 gene:DRNTG_18607 transcript:DRNTG_18607.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPIARNPAGDEHSQTGLSEVLSAKLRRCCKTPSPSLTCLRLDTEKSHIGVWQKRAGARAESNWVMTVQLGKQLPQPTDETEDASSAQQPAETPPQGGLNIIGGGGGMEDEERVALQMIEELLNRNCPSSPSQESCSYII >DRNTG_18607.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22258024:22259500:-1 gene:DRNTG_18607 transcript:DRNTG_18607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPIARNPAGDEHSQTGLSEVLSAKLRRCCKTPSPSLTCLRLDTEKSHIGVWQKRAGARAESNWVMTVQLGKQLPQPTDETEDASSAQQPAETPPQGGLNIIGGGGGMEDEERVALQMIEELLNRNCPSSPSQESCSYII >DRNTG_05775.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000269.1:65350:66801:1 gene:DRNTG_05775 transcript:DRNTG_05775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSRRGSLASSMICTLVKLLFYVHKSECSSVTAFVPFPMDVPTRIRGVIVHSWPKIRSPENPHGHVEIPHASSSMDLTLYSAWKNVIGGAFVGIDPVRCALGRTRGPLKKMRLLHKTIDMNT >DRNTG_26807.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20335052:20335456:1 gene:DRNTG_26807 transcript:DRNTG_26807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTIPSIKLVLSACRDFGQTRFLAMIREPAIQKLSLEVLSSFEFERSYARFDDLDIVQFRVLGHHYSLSITQFSVWLGLYKEAFTDTEEYSQLPIYYLGVLTP >DRNTG_05784.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000269.1:195904:196498:1 gene:DRNTG_05784 transcript:DRNTG_05784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSFVTKKVGRGASVEAYPIPNKGILGRRVEDLPQDNRHDYRGGASPFPSDVIDSTSVPRVLCGHNRVNGLRDDPPLGLSCACNGVKR >DRNTG_25694.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30327356:30338425:-1 gene:DRNTG_25694 transcript:DRNTG_25694.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSETEKEITKSDPPQDPIIPGLPDDIAEQCLLHLPFPYHALARSVSPAWSSAVSSAARRRSLSPSSSLPYLTVFAFHRPSLRLRCLAFDPISYRWIPLPPIPLPFPLLPSSFAAASLPRSGNLFFIGGMRADTYSPIPTVLSFNASTNAWISAASMPTPRAFFSAATVGDQLVVAGGDLNSVERYDPDLDRWTEISPMRRNIERYDAAVLGRRLYITGGWKWPFEDPPRGGQYDVDDDTWQEMKAGMRTGWTGVSAVVDDKLFVVSECGAGRVKTYDEKEDTWRAVGGEGVPLLLEKPYAACGVKGTVYVIGNGLSVGVGRVGGNGSDLNIEWELIKGSDKFADLAPVSCQLIYARGSLKLSQHQNLSRMLALKFLRVALLVLSR >DRNTG_10740.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26278609:26286417:1 gene:DRNTG_10740 transcript:DRNTG_10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAADPKLDIREMALEGLHLLKDQDQMPGGKSDVKFPDLGQMLDYISMRQPQILEPTKLREEKLLFPSKTYIAMIRFLMNCFEHDHAIVDFEGGINEFGSPVVTMCLLLEHALALEGSAELQSISSKALVEIGSRLPQFVAARYAERISWLKLLLGHIDSDTRVSASRLLGIASSAISISQVSSLLNELASSIIGTKMLRFENYHGTLCAIGYVTAESMRKEPSISEAQRDQIVEHLVKVVESESAILASTAMEALGHIGLRCALPALPHDSNSVGVVSILNESLRKILSGNDIKAIQKILVSLGHICVKETSYTNLNIALDLVFILYRSKVEDLLFAAGEAISFLWGGVPVTADLMLKSNYSSLSDSSNYLSGDMALSILKSGSLESNINEESRVMAREVIVKKLFEDLLYSSRKDERCAGTVWLLSLTMYCGYDPKIQQ >DRNTG_10740.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26278889:26286417:1 gene:DRNTG_10740 transcript:DRNTG_10740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAADPKLDIREMALEGLHLLKDQDQMPGGKSDVKFPDLGQMLDYISMRQPQILEPTKLREEKLLFPSKTYIAMIRFLMNCFEHDHAIVDFEGGINEFGSPVVTMCLLLEHALALEGSAELQSISSKALVEIGSRLPQFVAARYAERISWLKLLLGHIDSDTRVSASRLLGIASSAISISQVSSLLNELASSIIGTKMLRFENYHGTLCAIGYVTAESMRKEPSISEAQRDQIVEHLVKVVESESAILASTAMEALGHIGLRCALPALPHDSNSVGVVSILNESLRKILSGNDIKAIQKILVSLGHICVKETSYTNLNIALDLVFILYRSKVEDLLFAAGEAISFLWGGVPVTADLMLKSNYSSLSDSSNYLSGDMALSILKSGSLESNINEESRVMAREVIVKKLFEDLLYSSRKDERCAGTVWLLSLTMYCGYDPKIQQ >DRNTG_20845.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21220877:21222485:1 gene:DRNTG_20845 transcript:DRNTG_20845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTERERDPLVIGQVIGDVVDPFVKSVNLRLVYGTKEITNGSGFRNSAVVNQPSVDIRGNDGNSLYTLVMVDADAPSPNNPYQKEYLHWLVTDIPESRDVSFGNEIVCYESPKPSAGIHRIVFVLFQQKVQQTVHVPGWRPNFNTRDFMAFYNLGPPAAVLFFNCQREHGCGGRRYCE >DRNTG_33884.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15111772:15113375:-1 gene:DRNTG_33884 transcript:DRNTG_33884.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTYVYSNFRMDNIGMSEDSEMSSMRTPVKRTGGNKRWTTAESRFFTRFMATQVEQGFKVDKGFKPQAFHAAIIAMKNEFGVIVTEANVTNHLRTIHRRWAKI >DRNTG_33884.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15111772:15115400:-1 gene:DRNTG_33884 transcript:DRNTG_33884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIGMSEDSEMSSMRTPVKRTGGNKRWTTAESRFFTRFMATQVEQGFKVDKGFKPQAFHAAIIAMKNEFGVIVTEANVTNHLRTIHRRWAKI >DRNTG_32437.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4670995:4674581:1 gene:DRNTG_32437 transcript:DRNTG_32437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRGVEIVRFLLRDMYRVEALSEKVDRIFASRQQNIPCYNAYHPIEVATQTYYGIMVNNIGRHLKRNVKRVRYSERMHFSCKEY >DRNTG_33858.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20591023:20592953:-1 gene:DRNTG_33858 transcript:DRNTG_33858.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVNSFRGYGRMKELVEDYEYRRKSRQRLIIVGISMLFLIIIISGAAIAVMVHRQGTNSTTQNPTTSSSSSIKAVCEATRYPNSCFSSISSKEGANKTSNPLEIFKISLQVAMNSLSNVSSWLNSYKSQTKDKNIMAALDDCQELFADAMDTLNDSLVSLQDFDVAKLSSSSSSSSLLISDLQTWLSAVVTYQETCIDGFEGDTRRTVEAAMVNSTQLTSNSLAIVAKVLRLLIENFGGFNLNRKLLQVDERRVVFEEMKLKPNVTVAKDGSGEFKSITKALELVPKKNMFPFVIYVKEGVYSEYVEVEKSMWNVTILGDGMYKTVVTGSKNKVAGSTTFKSATFIVSGRRFTAINMGFVNTAGPDKHQAVALRSSADQSVFYRCSFVGFQDTLYTHSLRQYYRECDIVGTVDFIFGDASVIFQNCRIMPRQPMQGQANTITAQGRIDPNENTGIVIQASTISPFDNVTADTYLGRPWKVYATTIFMRSVIGEMVDKLGWLEWEEYVVPPKTIDYAEYHNTGPGSSVAGRVNWPGYRPSITGNEAKKFGVDPFIQGSQWIPSTGISFDTIS >DRNTG_05409.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5474384:5478042:1 gene:DRNTG_05409 transcript:DRNTG_05409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKLMEILFYVHKSECLSVTALVPLQMVVQTQIRGGARIYEINANDALSTKVDVLSQKLDMLMGSSSKSKSVMSCSTYGGGHVVSQCPIASSSITPIENGQQQKAATPPQGPQIQQPVLEKRFTTEDVLAKFMINTESRFNSITSSMDTQFSKEVLSLNSLDEYLGELGNEDQEEPHSPLPCSNLKKPKEKVMCTNAKEKEKKDSMLKKIWREVRGKKKKGITHSHPTPQEKKKVWLAPNGLNELLIEAIWIFQKI >DRNTG_23006.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3043626:3044171:-1 gene:DRNTG_23006 transcript:DRNTG_23006.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKPLFVLSFTSMVLLLLLLLLLQSSTVMSVDCNVAELISCADPISTGVATQQCCSKLKEQEPCLCQYKNNPLFGGYVNSLNAKKVAQSCGVPFPSC >DRNTG_23006.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3043474:3044171:-1 gene:DRNTG_23006 transcript:DRNTG_23006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKPLFVLSFTSMVLLLLLLLLLQSSTVMSVDCNVAELISCADPISTGVATQQCCSKLKEQEPCLCQYKNNPLFGGYVNSLNAKKVAQSCGVPFPSC >DRNTG_23006.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3043626:3044137:-1 gene:DRNTG_23006 transcript:DRNTG_23006.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKPLFVLSFTSMVLLLLLLLLLQSSTVMSVDCNVAELISCADPISTGVATQQCCSKLKEQEPCLCQYKNNPLFGGYVNSLNAKKVAQSCGVPFPSC >DRNTG_23006.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3043474:3044137:-1 gene:DRNTG_23006 transcript:DRNTG_23006.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKPLFVLSFTSMVLLLLLLLLLQSSTVMSVDCNVAELISCADPISTGVATQQCCSKLKEQEPCLCQYKNNPLFGGYVNSLNAKKVAQSCGVPFPSC >DRNTG_32915.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1191328:1195514:-1 gene:DRNTG_32915 transcript:DRNTG_32915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMLDATVDVPVPVETSKLQDADESFPGDKKITVVFVLGGPGSGKGTQCANIVQHFGFTHLSAGDLLRAEIKSGSENGTMIQNMIKEGKIVPSEVTIKLLQRAMRESGNDKFLIDGFPRNEENRAAFEEVTKIEPEFVLFFDCSEEEMQQRILGRNQGREDDNIETIRKRFKVFMESSLPVIEYYNSKGKVRKIDAGKPIEEVFEDVKEIFSPYSAQVA >DRNTG_32915.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1191328:1195514:-1 gene:DRNTG_32915 transcript:DRNTG_32915.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMLDATVDVPVPVETSKLQDADESFPGDKKITVVFVLGGPGSGKGTQCANIVQHFGFTHLSAGDLLRAEIKSGSENGTMIQNMIKEGKIVPSEVTIKLLQRAMRESGNDKFLIDGFPRNEENRAAFEEVTKIEPEFVLFFDCSEEEMQQRILGRNQGREDDNIETIRKRFKVFMESSLPVIEYYNSKGKVRKIDAGKPIEEVFEDVKEIFSPYSAQVA >DRNTG_32915.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1191328:1195514:-1 gene:DRNTG_32915 transcript:DRNTG_32915.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMLDATVDVPVPVETSKDADESFPGDKKITVVFVLGGPGSGKGTQCANIVQHFGFTHLSAGDLLRAEIKSGSENGTMIQNMIKEGKIVPSEVTIKLLQRAMRESGNDKFLIDGFPRNEENRAAFEEVTKIEPEFVLFFDCSEEEMQQRILGRNQGREDDNIETIRKRFKVFMESSLPVIEYYNSKGKVRKIDAGKPIEEVFEDVKEIFSPYSAQVA >DRNTG_34026.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:671187:672521:1 gene:DRNTG_34026 transcript:DRNTG_34026.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCMAKDGNTSALRRGAWSEEEDSRLRRCMEKYGAIKWCDVPSKAGLNRCRKSCRLRWLNYLSPSIKRGRFEDDEEDLIIRLHKLLGNRQVFVNIYQA >DRNTG_34026.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:671187:672521:1 gene:DRNTG_34026 transcript:DRNTG_34026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCMAKDGNTSALRRGAWSEEEDSRLRRCMEKYGAIKWCDVPSKAGLNRCRKSCRLRWLNYLSPSIKRGRFEDDEEDLIIRLHKLLGNRWSLIAGRLPGRTANDIKNHWNTHLSKKSTAKEKLWNPKIIAKGTAGIKPREKKGEFKIIKPQPWTIPVNWSWLKDQPGHQGHLQDKSGINVDPLPPSANNINVARKKSAAVIPEKLDDVFLDIDGMIVGEMQTSFEVGNIGGNGDGEFFLQEDAGWASFLLDMDF >DRNTG_15882.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13121200:13124746:1 gene:DRNTG_15882 transcript:DRNTG_15882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRLFMARGSTRHPSQRSKLISHRESHPPSLRA >DRNTG_21581.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19194579:19197199:1 gene:DRNTG_21581 transcript:DRNTG_21581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATSFPWELLPSSIHEEILSKLPISVLLRLRSISRSFLSSIRSLPPSLPFPRDPFFLLFSSSSSSYSISSAIVFQPSRNRWLSMPLPSFPATASGPLILLSDSLFNPFSGTPTPIPPHLPMTTLIYPLSLIIEDDGTTHRSRVRIVVVSTSDRIRSQIYDSASGSWTLRGELPGRVHMLGNAVVLNGSLYVLSFGPDHLLKFDLLSGDWEIVTEVVPSVACAHLVAFGGRLFLVAGVADLLGVMLSVKVWEFDWENWKLVCSMPDEIFSEFSGMTIMKHFHVCDGKGMVCFYSYSGNVFLILDLMDWRWWWPRKCPLQCHLNPFLGVAVEPNAEFLR >DRNTG_19100.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:356339:363161:-1 gene:DRNTG_19100 transcript:DRNTG_19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT4G26330) TAIR;Acc:AT4G26330] MTISFHYLWGLFLFIFLSLFYVPSISTSNLHIVYLGHNNGHDPLLTAKSHLQLLSKVFSKEDEAKEAIVYNYKHSFSGFAAILNSSQVTTLSKMDEVVSVFRSRMLQLHTTRSWDFMGLSYGSGGSTPIQYKYGDDITVGVFDTGIWPESESFKEEPGTKPIPDSWHGQCVEGQEFDPKTACNRKLIGARYYLKGFEQEYGLIDQTEYRSPRDGLGHGTHTSSTAVGSTSRNASFFGLGTGIARGGAPRARLAMYKICWFKDLQGRCSESDIMAAFDEALSDGVNVISASIGAPPPLVPFFASATEIGSFHAQEIGVVVVFSAGNDGPDESLVQNVAPWSICVAAGTIDRRFPTEIKIQNTSFLGQGFIVEALKSQLIASILIFSDGSCSVDKWNGEDARGKIILCFSTVGPVSSGGAAIAGYFANATGIIFVETTVKQSAQDDFLPTVHIGLPQGTQILYQLQSPPKILRVQISPSKTIIGRSPAPSVASFSSRGPNSMSPNILKPDITAPGVNILAAWTPKTSPTLLPIDSRSVNWNFDSGTSMSCPHISGIVALLKSAHPDWSPAMIKSAIMTTAYMRDTSTDSILAGGTLKSVDPFDIGAGHVNPLKAMDPGLVYDIDTQEYIFYLCSLGYTKKQLQRIIKPFPGINMNCTGKHSDLDLNYPAIVLSDLQSTVTIKRTLKNVGRDGAAYFANVVSPHGVHALIWPRYIVFSCRKRMISYYVTVTPLKQSQGRYDFGEIVWFDGYHYVRTPLVVRVNNV >DRNTG_19100.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:356339:359965:-1 gene:DRNTG_19100 transcript:DRNTG_19100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT4G26330) TAIR;Acc:AT4G26330] MYKICWFKDLQGRCSESDIMAAFDEALSDGVNVISASIGAPPPLVPFFASATEIGSFHAQEIGVVVVFSAGNDGPDESLVQNVAPWSICVAAGTIDRRFPTEIKIQNTSFLGQGFIVEALKSQLIASILIFSDGSCSVDKWNGEDARGKIILCFSTVGPVSSGGAAIAGYFANATGIIFVETTVKQSAQDDFLPTVHIGLPQGTQILYQLQSPPKILRVQISPSKTIIGRSPAPSVASFSSRGPNSMSPNILKPDITAPGVNILAAWTPKTSPTLLPIDSRSVNWNFDSGTSMSCPHISGIVALLKSAHPDWSPAMIKSAIMTTAYMRDTSTDSILAGGTLKSVDPFDIGAGHVNPLKAMDPGLVYDIDTQEYIFYLCSLGYTKKQLQRIIKPFPGINMNCTGKHSDLDLNYPAIVLSDLQSTVTIKRTLKNVGRDGAAYFANVVSPHGVHALIWPRYIVFSCRKRMISYYVTVTPLKQSQGRYDFGEIVWFDGYHYVRTPLVVRVNNV >DRNTG_09178.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7403901:7405033:-1 gene:DRNTG_09178 transcript:DRNTG_09178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIIIRAYNPQFPYVPLLLTTNIYRNTKKNHDNKRNKKKKKKLVGMKKQGKERFIEGRGRDGWVKSHKER >DRNTG_33669.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2193291:2196105:-1 gene:DRNTG_33669 transcript:DRNTG_33669.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAERRQLEEAAAREASLERERHQEEENRRKMLEEEEFKRELAAKEASLPQEPGSDDESAVTLLVRMPDGSRQGRRFSKSDRLQFLFDFIDIGRLVKPGTYRLVRPFPRRAFTVGESELTLSELGLTSKQEALFLELV >DRNTG_14754.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:215353:223181:-1 gene:DRNTG_14754 transcript:DRNTG_14754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKASIGGLCPSPHSFIDISERPFLVGRPLRLQFLPISRRGTTPDQKHNVIVSRRLFGLEEAMRVRRSRESEESVKVRKRPPLKRGRVTGRLLVPDHIPKPPYAGSEVLPELSIEHQVHDEEGISRMRASCELAARVLDFAGTLVRPTITTNEIDKAVHKMIIDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRRLQDGDIINIDVTVYLNGYHGDTSKTFLCGKVNEPMQRLVRVTEECLQRAISVCKDGASFKKIGKRISEHAERYGYGIVDRFVGHGVGTVFHSEPIILHHRNDRSGRMVEGQTFTIEPILTMGSIDCITWDDGWTTLTADGSPAAQFEHTILITRTGAEVLTKC >DRNTG_24829.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31755583:31756571:1 gene:DRNTG_24829 transcript:DRNTG_24829.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMILSIATQIMVMAIIISIVLLFIGIGVLVLIHACIVGRAFRRGLSTMTRGDTCEKTNHGLSLDDLQQLPCFEFRGGGGAAAIGTLDCAVCLESFQIGEKCRLLPVCKHSFHVHCVDSWLMMSPICPICRTSVDHRKIGSVSGVGIELGDTPGVVVGFLVSDAHS >DRNTG_24829.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31755583:31756571:1 gene:DRNTG_24829 transcript:DRNTG_24829.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMILSIATQIMVMAIIISIVLLFIGIGVLVLIHACIVGRAFRRGLSTMTRGDTCEKTNHGLSLDDLQQLPCFEFRGGGGAAAIGTLDCAVCLESFQIGEKCRLLPVCKHSFHVHCVDSWLMMSPICPICRTSVDHRKIGSVSGVGIELGDTPGVVVGFLVSDAHS >DRNTG_24829.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31755518:31756466:1 gene:DRNTG_24829 transcript:DRNTG_24829.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMILSIATQIMVMAIIISIVLLFIGIGVLVLIHACIVGRAFRRGLSTMTRGDTCEKTNHGLSLDDLQQLPCFEFRGGGGAAAIGTLDCAVCLESFQIGEKCRLLPVCKHSFHVHCVDSWLMMSPICPICRTSVDHRKIGSVSGVGIELGDTPGVVVGFLVSDAHS >DRNTG_24829.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31755583:31756466:1 gene:DRNTG_24829 transcript:DRNTG_24829.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMILSIATQIMVMAIIISIVLLFIGIGVLVLIHACIVGRAFRRGLSTMTRGDTCEKTNHGLSLDDLQQLPCFEFRGGGGAAAIGTLDCAVCLESFQIGEKCRLLPVCKHSFHVHCVDSWLMMSPICPICRTSVDHRKIGSVSGVGIELGDTPGVVVGFLVSDAHS >DRNTG_24829.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31755518:31756571:1 gene:DRNTG_24829 transcript:DRNTG_24829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMILSIATQIMVMAIIISIVLLFIGIGVLVLIHACIVGRAFRRGLSTMTRGDTCEKTNHGLSLDDLQQLPCFEFRGGGGAAAIGTLDCAVCLESFQIGEKCRLLPVCKHSFHVHCVDSWLMMSPICPICRTSVDHRKIGSVSGVGIELGDTPGVVVGFLVSDAHS >DRNTG_24829.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31755583:31756466:1 gene:DRNTG_24829 transcript:DRNTG_24829.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMILSIATQIMVMAIIISIVLLFIGIGVLVLIHACIVGRAFRRGLSTMTRGDTCEKTNHGLSLDDLQQLPCFEFRGGGGAAAIGTLDCAVCLESFQIGEKCRLLPVCKHSFHVHCVDSWLMMSPICPICRTSVDHRKIGSVSGVGIELGDTPGVVVGFLVSDAHS >DRNTG_04985.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4163719:4166453:-1 gene:DRNTG_04985 transcript:DRNTG_04985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGKVSFKVTLTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGAN >DRNTG_15276.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4525420:4526519:1 gene:DRNTG_15276 transcript:DRNTG_15276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPYISGIVALLKSLHPSWSSAAIKSALVTTGETHSIPNLTILSSFWP >DRNTG_10594.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7362634:7364289:-1 gene:DRNTG_10594 transcript:DRNTG_10594.1 gene_biotype:protein_coding transcript_biotype:protein_coding CQLPPTTLSEESTFLLPPNPLSSSQKEKKPIEITLNVA >DRNTG_30386.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001569.1:27814:29346:-1 gene:DRNTG_30386 transcript:DRNTG_30386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDVKLTARSSPFGSRWKRRRPSILPDVPAWEPRLIRKEAFRWEGRPLHYTGRSLYQVMSDSEAKPAAYRRRDESPAKVRPDGKKYENKSQGAKLARAAGTYAKIIKEPAPQCLVRLPSGLEKLIDSRCRATIGIVSNPNHGALDHPHGGGEGRTKGGRPSVSPWGSPPKQDFGQ >DRNTG_01024.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18168707:18169562:1 gene:DRNTG_01024 transcript:DRNTG_01024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLRAVTPFLLWTSFGPLLSSLSCRHSTLSWPSHRSTLPVILVENQCCIPFPTISHISHSRKVKIHHLLI >DRNTG_01024.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18168707:18169562:1 gene:DRNTG_01024 transcript:DRNTG_01024.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLRAVTPFLLWTSFGPLLSSLSCRHSTLSWPSHRSTLPVILVENQV >DRNTG_26554.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22223789:22226857:1 gene:DRNTG_26554 transcript:DRNTG_26554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAPPLDAGEILRRLQSAGFCTDLDRSSNEKPHLLFNRTLSAFLTLLRRQAELRQLPPVISDGQTVDLLRLFSAVRNKGGYYSIASDSWGDIAEEAGFSPITGASLKSIYMRYLYPLEVWLNVIPGRRSSGNVDDAGALVKMVKWVKNVAKNPLDLEISSVSSGGSMISDNRLGELFSRLLCARKAMLLRDVPWMSNDGSLWKQDKRKMHPSFYEDLDGQLRSSQRIKILNEKSNSDLSDTILLESKSTWVDKSRVGEAYQATVPDFSERLLEASLDPDELKWLGTRIWPCTGKKKSRTIQGQIGKGREDKCSCKSRGSIQCVRLHVSEKRLELMRELPPAFFNWSQGSIGEDDVSRSWTADEQRRFKALVRLNPSYQEMNLWDKLSQNFPLHGRRKLVSYYFNVFVLRLRSYQNRNSPANIDSDDEDNSFGFLAL >DRNTG_26554.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22226069:22226857:1 gene:DRNTG_26554 transcript:DRNTG_26554.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQDKRKMHPSFYEDLDGQLRSSQRIKILNEKSNSDLSDTILLESKSTWVDKSRVGEAYQATVPDFSERLLEASLDPDELKWLGTRIWPCTGKKKSRTIQGQIGKGREDKCSCKSRGSIQCVRLHVSEKRLELMRELPPAFFNWSQGSIGEDDVSRSWTADEQRRFKALVRLNPSYQEMNLWDKLSQNFPLHGRRKLVSYYFNVFVLRLRSYQNRNSPANIDSDDEDNSFGFLAL >DRNTG_00994.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6739193:6739482:-1 gene:DRNTG_00994 transcript:DRNTG_00994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIVISSTVAVSLVAPAQVSMVPHCHRAPAQVICRLSGHSQDHAQPLSASLQCRACSVHEGMQHLVFIDQSYLYLKKLFI >DRNTG_27861.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3865273:3867080:-1 gene:DRNTG_27861 transcript:DRNTG_27861.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLRGSAGEENLPPTPSFKRRKNWNFGLLK >DRNTG_11933.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:940204:940536:1 gene:DRNTG_11933 transcript:DRNTG_11933.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSLVLERSSELRAFGTALHIFQNGWRALEVLGVADKLDKTYNDFLTNMASVSNTETGSRQYVSSSGAGAD >DRNTG_11568.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8025145:8028182:-1 gene:DRNTG_11568 transcript:DRNTG_11568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTICPQSETLGTVTPFPHLHFPGDKPQPLPAAPTSQDELKRIAAHRAVDLVKPGMVLGLGTGSTAVHALDRIGDLLRCGVLHDIVGIPTSKKTEAHALSVGIPLSDLNRHPSVDLSIDGADEVDPHLNLVKGRGGSLLREKMIEGASKRFVVIVDDSKMVDRLGCSGNSVPVEIIPFCWGYTLGQLKKLFAGECGFDARLRMTGDEKKEPFLTDNSNYIVDLYFENGITRDLNEVSDEILRLTGVVEHGMFLGLASSVIIAGEDGVTVKNKG >DRNTG_11568.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8026876:8028182:-1 gene:DRNTG_11568 transcript:DRNTG_11568.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRTICPQSETLGTVTPFPHLHFPGDKPQPLPAAPTSQDELKRIAAHRAVDLVKPGMVLGLGTGSTAVHALDRIGDLLRCGVLHDIVGIPTSKKTEAHALSVGIPLSDLNRHPSVDLSIDGADEVDPHLNLVKGRGGSLLREKMIEGASKRFVVIVDDSKMVDRLGCSGNSVPVEIIPFCWGYTLGQLKKLFAGECGFDARLRMTGDEKKEPFLTDNSNYIVDLYFENGITRDLNEVSDEILRLTGVVEHGMFLGLASSVIIAGEDGVTVKNKG >DRNTG_11568.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8027005:8028520:-1 gene:DRNTG_11568 transcript:DRNTG_11568.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTICPQSETLGTVTPFPHLHFPGDKPQPLPAAPTSQDELKRIAAHRAVDLVKPGMVLGLGTGSTAVHALDRIGDLLRCGVLHDIVGIPTSKKTEAHALSVGIPLSDLNRHPSVDLSIDGADEVDPHLNLVKGRGGSLLREKMIEGASKRFVVIVDDSKMVDRLGCSGNSVPVEIIPFCWGYTLGQLKKLFAGECGFDARLRMTGDEKKEPFLTDNSNYIVDLYFENGITRDLNEVSDEILRLTGVVEHGMFLGLASSVIIAGEDGVTVKNKG >DRNTG_11568.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8027005:8028182:-1 gene:DRNTG_11568 transcript:DRNTG_11568.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRTICPQSETLGTVTPFPHLHFPGDKPQPLPAAPTSQDELKRIAAHRAVDLVKPGMVLGLGTGSTAVHALDRIGDLLRCGVLHDIVGIPTSKKTEAHALSVGIPLSDLNRHPSVDLSIDGADEVDPHLNLVKGRGGSLLREKMIEGASKRFVVIVDDSKMVDRLGCSGNSVPVEIIPFCWGYTLGQLKKLFAGECGFDARLRMTGDEKKEPFLTDNSNYIVDLYFENGITRDLNEVSDEILRLTGVVEHGMFLGLASSVIIAGEDGVTVKNKG >DRNTG_11568.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8027070:8028520:-1 gene:DRNTG_11568 transcript:DRNTG_11568.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRTICPQSETLGTVTPFPHLHFPGDKPQPLPAAPTSQDELKRIAAHRAVDLVKPGMVLGLGTGSTAVHALDRIGDLLRCGVLHDIVGIPTSKKTEAHALSVGIPLSDLNRHPSVDLSIDGADEVDPHLNLVKGRGGSLLREKMIEGASKRFVVIVDDSKMVDRLGCSGNSVPVEIIPFCWGYTLGQLKKLFAGECGFDARLRMTGDEKKEPFLTDNSNYIVDLYFENGITRDLNEVSDEILRLTGVVEHGMFLGLASSVIIAGEDGVTVKNKG >DRNTG_11568.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8027070:8028182:-1 gene:DRNTG_11568 transcript:DRNTG_11568.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRTICPQSETLGTVTPFPHLHFPGDKPQPLPAAPTSQDELKRIAAHRAVDLVKPGMVLGLGTGSTAVHALDRIGDLLRCGVLHDIVGIPTSKKTEAHALSVGIPLSDLNRHPSVDLSIDGADEVDPHLNLVKGRGGSLLREKMIEGASKRFVVIVDDSKMVDRLGCSGNSVPVEIIPFCWGYTLGQLKKLFAGECGFDARLRMTGDEKKEPFLTDNSNYIVDLYFENGITRDLNEVSDEILRLTGVVEHGMFLGLASSVIIAGEDGVTVKNKG >DRNTG_11568.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8026876:8028520:-1 gene:DRNTG_11568 transcript:DRNTG_11568.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTICPQSETLGTVTPFPHLHFPGDKPQPLPAAPTSQDELKRIAAHRAVDLVKPGMVLGLGTGSTAVHALDRIGDLLRCGVLHDIVGIPTSKKTEAHALSVGIPLSDLNRHPSVDLSIDGADEVDPHLNLVKGRGGSLLREKMIEGASKRFVVIVDDSKMVDRLGCSGNSVPVEIIPFCWGYTLGQLKKLFAGECGFDARLRMTGDEKKEPFLTDNSNYIVDLYFENGITRDLNEVSDEILRLTGVVEHGMFLGLASSVIIAGEDGVTVKNKG >DRNTG_14018.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27632475:27634987:1 gene:DRNTG_14018 transcript:DRNTG_14018.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQHMGCEPDRASYNILVDAYGRAGLHEDAEAVFEELKRQGMAPTMKSHMLLLSAYSKTGNISKCEDIMNQMHKSGIKPDTFALNSMLNAYGKTGQFEKMEEVLRTMENGSYELDISTYNILINIYGKAGYLSRMEELFKSIPDKNLKADVVTWTSRIGAYSRKKQYQKCLEIFEEMVDAACYPDGGTAKMLLSACSNEEQIEQVTTIIRTMHKDAKTLFNI >DRNTG_14018.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27633474:27634987:1 gene:DRNTG_14018 transcript:DRNTG_14018.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQHMGCEPDRASYNILVDAYGRAGLHEDAEAVFEELKRQGMAPTMKSHMLLLSAYSKTGNISKCEDIMNQMHKSGIKPDTFALNSMLNAYGKTGQFEKMEEVLRTMENGSYELDISTYNILINIYGKAGYLSRMEELFKSIPDKNLKADVVTWTSRIGAYSRKKQYQKCLEIFEEMVDAACYPDGGTAKMLLSACSNEEQIEQVTTIIRTMHKDAKTLFNI >DRNTG_14018.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27631585:27634987:1 gene:DRNTG_14018 transcript:DRNTG_14018.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLMSEAALHCCLSKTSILKKSGKYSSDGKGNQESVYLDKHGKWRTFDKKKLSRKKGGSLRGRGWKYGSGFVDGIFPVLSPEAQQILKFVTREVDGNKVCGLLDTLPPSHSLWDDLIDVSVQLRLSKRWDPIISVCEWMLHKSSFRPDVMCYNLLIDAFGQKLQHKKAELIYLQLLESHCVPTEDTYAILLKAYCASGLLEKAEAVFSEMQKNGISSGVVVYNAYIDGLLKARKPQKALEIFQRMKNSRCKPSTDTYTMIINLYGKANQSFMALKNFHEMRTEKCKPNICTYTALINAFAREGLCEKAEEVFEQLQEAGYEPDVYAYNALMEAYRFSLRFFGNILLNAAYGM >DRNTG_14018.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27631585:27634987:1 gene:DRNTG_14018 transcript:DRNTG_14018.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLMSEAALHCCLSKTSILKKSGKYSSDGKGNQESVYLDKHGKWRTFDKKKLSRKKGGSLRGRGWKYGSGFVDGIFPVLSPEAQQILKFVTREVDGNKVCGLLDTLPPSHSLWDDLIDVSVQLRLSKRWDPIISVCEWMLHKSSFRPDVMCYNLLIDAFGQKLQHKKAELIYLQLLESHCVPTEDTYAILLKAYCASGLLEKAEAVFSEMQKNGISSGVVVYNAYIDGLLKARKPQKALEIFQRMKNSRCKPSTDTYTMIINLYGKANQSFMALKNFHEMRTEKCKPNICTYTALINAFAREGLCEKAEEVFEQLQEAGYEPDVYAYNALMEAYRQLIIHAVIL >DRNTG_14018.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27631585:27634987:1 gene:DRNTG_14018 transcript:DRNTG_14018.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLMSEAALHCCLSKTSILKKSGKYSSDGKGNQESVYLDKHGKWRTFDKKKLSRKKGGSLRGRGWKYGSGFVDGIFPVLSPEAQQILKFVTREVDGNKVCGLLDTLPPSHSLWDDLIDVSVQLRLSKRWDPIISVCEWMLHKSSFRPDVMCYNLLIDAFGQKLQHKKAELIYLQLLESHCVPTEDTYAILLKAYCASGLLEKAEAVFSEMQKNGISSENTSMLLRCGCL >DRNTG_14018.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27631585:27634987:1 gene:DRNTG_14018 transcript:DRNTG_14018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQKTHPCCSGVVVYNAYIDGLLKARKPQKALEIFQRMKNSRCKPSTDTYTMIINLYGKANQSFMALKNFHEMRTEKCKPNICTYTALINAFAREGLCEKAEEVFEQLQEAGYEPDVYAYNALMEAYSRAGFPYGSSEIFSLMQHMGCEPDRASYNILVDAYGRAGLHEDAEAVFEELKRQGMAPTMKSHMLLLSAYSKTGNISKCEDIMNQMHKSGIKPDTFALNSMLNAYGKTGQFEKMEEVLRTMENGSYELDISTYNILINIYGKAGYLSRMEELFKSIPDKNLKADVVTWTSRIGAYSRKKQYQKCLEIFEEMVDAACYPDGGTAKMLLSACSNEEQIEQVTTIIRTMHKDAKTLFNI >DRNTG_16135.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6066534:6071241:-1 gene:DRNTG_16135 transcript:DRNTG_16135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESGYIRFEDSVSAVKAHVTAVLVESVLTVKNCTVTLEALTGEVEKEYWDLLRGNKERFRGNKGGRGRCDYSLSSNVPIQEHFRIIRRC >DRNTG_16135.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6070229:6071130:-1 gene:DRNTG_16135 transcript:DRNTG_16135.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFFRSHPPPLSTPSPPIPSSLPSSRRTSTTPASPRPTAPDLRLLAPSPSTKPIINYIINVLANDDFDFGVDGDGAFEAVGDLLVNSDCVEDYEEGRLVCSKSLRKSCRA >DRNTG_16135.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6066534:6071130:-1 gene:DRNTG_16135 transcript:DRNTG_16135.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESGYIRFEDSVSAVKAHVTAVLVESVLTVKNCTVTLEALTGEVEKEYWDLLRGNKERFRGNKGGRGRCDYSLSSNVPIQEHFRIIRRC >DRNTG_16135.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6066534:6071130:-1 gene:DRNTG_16135 transcript:DRNTG_16135.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESGYIRFEDSVSAVKAHVTAVLVESVLTVKNCTVTLEALTGEVEKEYWDLLRGNKERFRGNKGGRGRCDYSLSSNVPIQEHFRIIRRC >DRNTG_20411.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29178912:29183978:-1 gene:DRNTG_20411 transcript:DRNTG_20411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSVNENALNVQSSGAGISDKNSRDEGPVHPSPAVTLPRDGSKWVDFFILEMMYASNVDDVRARASRALEVLEKSIMSRVDSEAMQNFQKENILFKEQVEALCRENNVLNRAIAIQHEHQKEYHGETKKCSI >DRNTG_28920.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22037776:22058008:-1 gene:DRNTG_28920 transcript:DRNTG_28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLPSQVLRPRNLHPSPLHRHLLPRRRRSRASLLLQVPSPNLPLHLRPSSDLQILSFTHSPALLPFRRPCPSIPFLPRRLRALLHRRRLRLSHFISDHLPIFKSSLSLTLQHFFPLAGHLRPSSSSPDDHYEINYSDGDSISLILTETQANFHELSGDQPRSFANLRPLIPSLTISNGATSPTSTPLMAIQVNIFPNQGICLAISINHVTGDGTSTMNFIHSWASTCFSSSS >DRNTG_28920.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22056130:22058008:-1 gene:DRNTG_28920 transcript:DRNTG_28920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSLTTLEQCFISPPKSSVPETSIPLLFTDIFFLGGGVVERLFFYKFPHPTSHFISDHLPTFKSSLSLTLQHFFPFAGHVRQSPSSPDVYELYYTDGDSVSLTISESTGDFQDFAGDQARDFSKLQPLIPTLAHESEPLMALQVTLFPNQGLCFGIVVSKVASDGTNSTNFIRSWATTCLSGAGEVSFSSPPSFDRSNIGDPYNLYSIYLQTALYMKEMYKNAGAGATKKEEFLATFRLKKEHLEKLKGAIMAGYPEGKAPFHLSTYVVSCAFMWACLVRSKGWPEEKMAYFGFPLDARPRLSPPLPAGFFGGCLAFKTTKAKVGDIVDEVTGVGAAAAAIGKAIDELKDGVFNGGETMPQTLGEVVANQGMWIAGSTRFRVYDVDFGWGKPVKVEVTTIKGVETMAMAEDGEGGGGLEFGVSFPKEQIDAFEVCFNDALKALMV >DRNTG_27507.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17048530:17053496:-1 gene:DRNTG_27507 transcript:DRNTG_27507.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C2H2 type) family protein [Source:Projected from Arabidopsis thaliana (AT1G30970) TAIR;Acc:AT1G30970] MGKKKKRASKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKETVSKVPNAKPDRESTEIEIFGMQGIPPEILAARYGEDEETSAKLAKVEVPSSGLVSGIIPGQLGMGYVSQPPFGAVPPGYNPAIPAQPSTWPMPPRPQPWFPAAAVPLPVPTGVAPQQPLFPIQSVRTPLTPGAPPGLQPSFPIGPPGLPSVPPAVPVSQPLFPITSATGTPSQSSPFVAASLPPTVSSAAPGEFKGVDANSMPNAAVATSTQGSHMYASGPNTEGPSIGPPPVISNKVPAIQPATNEVYLVWDDEAMSMEERRMSLARYQVHDETSQMNSIDAAIDRRISESRLAGRMAF >DRNTG_27507.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17048530:17053496:-1 gene:DRNTG_27507 transcript:DRNTG_27507.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C2H2 type) family protein [Source:Projected from Arabidopsis thaliana (AT1G30970) TAIR;Acc:AT1G30970] MGKKKKRASKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKETVSKVPNAKPDRESTEIEIFGMQGIPPEILAARYGEDEETSAKLAKVEVPSSGLVSGIIPGQLGMGYVSQPPFGAVPPGYNPAIPAQPSTWPMPPRPQPWFPAAAVPLPVPTGVAPQQPLFPIQSVRTPLTPGAPPGLQPSFPIGPPGLPSVPPAVPVSQPLFPITSATGTPSQSSPFVAASLPPTVSSAAPGEFKGVDANSMPNAAVATSTQGSHMYASGPNTEGPSIGPPPVISNKVPAIQPATNEVYLVWDDEAMSMEERRMSLARYQVHDETSQVSQLPLLFRYVQMDGDIYFMPKLIGRQSTNFFINLFCFECISMC >DRNTG_27507.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17048530:17057241:-1 gene:DRNTG_27507 transcript:DRNTG_27507.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C2H2 type) family protein [Source:Projected from Arabidopsis thaliana (AT1G30970) TAIR;Acc:AT1G30970] MILIRWEMQGVPRVPWEARGRFQGTSRYKVDRNGKIYEHKVDNLAFNFPRVVKPATVLDLVAASACPASPNLTFRWDAMDDAGASSWLELYRAVRGTLVREGCDGFSLEGLMASCS >DRNTG_09422.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21132709:21136159:-1 gene:DRNTG_09422 transcript:DRNTG_09422.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHIP1 [Source:Projected from Arabidopsis thaliana (AT3G55340) UniProtKB/TrEMBL;Acc:A0A384KSV0] MVLSNKKLKLKLRSLLADSLAVSQSGNGSSDAGNLDHQLQSVKDRILASKSQKPSSARRSKKRNSAPMAAETEPDVEKGASGDLNDEESRKRKREVEGEEDEKAATSKSKKNKTKKWWREKVWKKKRKENTDGNEGEKVLEPAGNEESEDHAKKVYVGGIPYYSTEDDIRSFFESCGTVTEVDCMKFPESGKFRGIAILTFKTEAAAKRAIALDGADMGGFYLKIQSFKANRTQKPDFAPEIVEGYNRVYVGNLSWDITEDDLRNLFSDCSITSLRWGMDKEIEEFKGYAHVDFSDSISLSIALKLDQHIVSGRPVRIRCAVPSKSVKTVPNSKSTDDLDNAKTTKKKKKRWNNSNSASENADNSGIANNTAKKKRRTCYVCGIPGHLSSSCPQKNTNS >DRNTG_34660.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002174.1:17318:20155:-1 gene:DRNTG_34660 transcript:DRNTG_34660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGVFRAGTVVGSTALTSPSLWKKIGSRASVGIDPASFRFMTRGNPSILVEGDRKGTHQWNARERKKVAELH >DRNTG_15678.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4075029:4085195:-1 gene:DRNTG_15678 transcript:DRNTG_15678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGPTVAFKTAIKIASRSDHTNLDVLMTVHSPGGVVTKHPSLSRYSRSNHKLDVPTPRIPRSLLRSLQRSPVRVDPE >DRNTG_15678.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4075029:4084904:-1 gene:DRNTG_15678 transcript:DRNTG_15678.4 gene_biotype:protein_coding transcript_biotype:protein_coding TGVLVFLFFFYLIEVVYPPFQKKRSNFKKKIERLQVKKQKEKLKMKLEWKGNN >DRNTG_15678.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4084309:4085195:-1 gene:DRNTG_15678 transcript:DRNTG_15678.11 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGPTVAFKTAIKIASRSDHTNLDVLMTVHSPGGVVTKHPSLSRYSRSNHKLDVPTPRIPRSLLRSLQRSPVRVDPE >DRNTG_34524.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21071623:21080740:1 gene:DRNTG_34524 transcript:DRNTG_34524.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPHLSGVATLIMAAHPDWSPRAIKSAMMTTADIMDNNGSLIVDERLLPDECFFATGAGHVNPTKALDPSLVYDLKPDDYVTYLCGLHGSGQVGIIAGV >DRNTG_18899.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2150878:2155098:1 gene:DRNTG_18899 transcript:DRNTG_18899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRAVAMIHEFLSLTVEKKTTLERIAQFREAMGLPKKLKEFLLRHQGIFYVSTRGNQGKLHTVFLREAYRKGELIEPHELYLARRKLGELLLMSPKKANFDRMLTSMGRGDGFSSGGNGRRELFGDDNGDADEDDKGSESDSGVESEFVE >DRNTG_18899.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2150878:2152908:1 gene:DRNTG_18899 transcript:DRNTG_18899.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRAVAMIHEFLSLTVEKKTTLERIAQFREAMGLPKKLKEFLLRHQGIFYVSTRGNQGKLHTVFLREAYRKGELIEPHELYLARRKLGELLLMSPKKANFDRMLTSMGRGDGFSSGGNGRRELFGDDNGDADEDDKGSESDSGVESEFVE >DRNTG_30112.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31069402:31072661:1 gene:DRNTG_30112 transcript:DRNTG_30112.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:[Fructose-bisphosphate aldolase]-lysine N-methyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G14030) UniProtKB/Swiss-Prot;Acc:Q9XI84] MISYGHLECSDQEHFHAFVVKILSSSHLQTLIKSEDDSWEIKAKGIFSRELIFSLRTPVPVNMGEQVYIQYDLKKSDAELAMDYGFIESTSDRQIYTMTLEIAESDPFYGDKLDIAESNGFGESAYFDIVLGCPLPPSMLPYLRLVALEGTDAFLLESIFRDSIWRHLELPVSRSNEEMICKVVRDACRSALSLYQTTIEEDEELLERGQLDPRLKIAVAIRAGEKKVLQQIDSIFNEREQELNGLEYYQERRLKDLGLIGEEGEIIFWESK >DRNTG_30112.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31070446:31072661:1 gene:DRNTG_30112 transcript:DRNTG_30112.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:[Fructose-bisphosphate aldolase]-lysine N-methyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G14030) UniProtKB/Swiss-Prot;Acc:Q9XI84] MISYGHLECSDQEHFHAFVVKILSSSHLQTLIKSEDDSWEIKAKGIFSRELIFSLRTPVPVNMGEQVYIQYDLKKSDAELAMDYGFIESTSDRQIYTMTLEIAESDPFYGDKLDIAESNGFGESAYFDIVLGCPLPPSMLPYLRLVALEGTDAFLLESIFRDSIWRHLELPVSRSNEEMICKVVRDACRSALSLYQTTIEEDEELLERGQLDPRLKIAVAIRAGEKKVLQQIDSIFNEREQELNGLEYYQERRLKDLGLIGEEGEIIFWESK >DRNTG_25266.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19368695:19369250:-1 gene:DRNTG_25266 transcript:DRNTG_25266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNANHLLLLLILFVLTLISQGHAQHHWGKEKVTNLHFYYQEKLTGDNATIVLSGRPNDNASANFLTFGAVSVIDAHLTEGEDPNSPLIGHAQGLSVSAGQEQLMLVFVADFGFTAGEFNGSSISILSRNPLLETDRELAVVGGRGMFRMARGFAFLHAVNVTADITKIEYNVTVFHYE >DRNTG_14024.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27603393:27607764:-1 gene:DRNTG_14024 transcript:DRNTG_14024.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase IMPL1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31190) UniProtKB/Swiss-Prot;Acc:Q94F00] MARALSLSTQISLRPRVSAIPAPSLPVISLNNGRYWRRLHSFGGIMGSTRARAALSDFATEKQYPKVGAQSTGLIPPDHLLQVVETAANTGAEVVMEAVNKPRNINYKGITDLVTDTDKSSESAILEVVRKNFSDHLILGEEGGFIGNPKSEYLWCIDPLDGTTNFAHGYPSFAVSVGVLFRGKPAAASVVEFVGGPMCWNTRTFCAISGGGAFCNGQKIHVSKTEEVERSLLVTGFGYDHDDAWETNINLFKEFTDISRGVRRLGAAAVDMSHVALGIVEAYWEYRLKPWDMAAGVLLVEEAGGIVTRMDGGKFTVFDRSVLVSNGRLHGKLLKRIGTATENLKNKGIDFSLWFKPENYITDF >DRNTG_16602.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3938934:3940113:1 gene:DRNTG_16602 transcript:DRNTG_16602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTEKLMNQIMELKFTSKSLQRQARKCEKEEKAEKLKVKKAIEKGNMDGARIYAENSIRKRSEQMNYLRLSSRLDAVVARLDTQAKMQTVGKSMGSIVKALDASLATGNLQKMSETMDQFERQFVNMEVQAEFMEGAMAGSTSLSTPEGEVNSLMQQVADDYGLEVSVGLPQAAAHAIPAKEKEKVDDDDLSRRLAELKSRG >DRNTG_16602.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3938934:3939954:1 gene:DRNTG_16602 transcript:DRNTG_16602.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTEKLMNQIMELKFTSKSLQRQARKCEKEEKAEKLKVKKAIEKGNMDGARIYAENSIRKRSEQMNYLRLSSRLDAVVARLDTQAKMQTVGKSMGSIVKALDASLATGNLQKMSETMDQFERQFVNMEVQAEFMEGAMAGSTSLSTPEGEVNSLMQQVADDYGLEVSVGLPQAAAHAIPAKEKEKVDDDDLSRRLAELKSRG >DRNTG_16602.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3938934:3939937:1 gene:DRNTG_16602 transcript:DRNTG_16602.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTEKLMNQIMELKFTSKSLQRQARKCEKEEKAEKLKVKKAIEKGNMDGARIYAENSIRKRSEQMNYLRLSSRLDAVVARLDTQAKMQTVGKSMGSIVKALDASLATGNLQKMSETMDQFERQFVNMEVQAEFMEGAMAGSTSLSTPEGEVNSLMQQVADDYGLEVSVGLPQAAAHAIPAKEKEKVDDDDLSRRLAELKSRG >DRNTG_11484.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3838971:3841465:-1 gene:DRNTG_11484 transcript:DRNTG_11484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAKKGKLSQLRSQGPWTKATNRSWRCHCRRGPPGDALFPTKPTCMVCAHHVPATCPSECRWPCTRAGP >DRNTG_26361.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:712367:713826:-1 gene:DRNTG_26361 transcript:DRNTG_26361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTNIPPGFRFYPTEQELVNFYLHNKLENTRLQDIERVIPPIDVYAVDPWHLPQMSGESSITDSEQWFFFCPMQEREAHGGRPGRTTPSGYWKATGSPSGVYHNNRMIGVKKTMVFYQGRAPLGSKTKWKMNEYKVVEADTGIRPSATPMLRNEFSLCRVYVTSGSLRSFDRRPVGIEIDSRRMTEASSSMMVPMVAERASSQDSSSSGEHRNNEQSGAGAASTSTNVQMIEEADLELLDWL >DRNTG_29572.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2255432:2255954:-1 gene:DRNTG_29572 transcript:DRNTG_29572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAVIRSALGYPAFTVGTITGTPEVRPSRSSRTRERSSQCSNARTGYGPNCLTTF >DRNTG_05083.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:6343732:6345523:-1 gene:DRNTG_05083 transcript:DRNTG_05083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVAILSGPGLGHLFPCSELARRLVRHHNLTVTLITQSLGPPSDTELSLISSIREEINVVSIPPPPPHSIPQNKNLIFLLFFLSAHNCPHLRTILSSLSGNTNTPPLKALLVDMFYDEATLDIAQELGVGHYMFFTSGCILLSFALHLPKLDETYDGEYTDMLESIRLPGYCQSFSGRDFPQPPVYPIGPLIRSCSCDGGGDASDDQCLRWLEEQPNGSVLYVSFGSGGTLTGEQMKELAWGLELSKQRFLWVVKRANDEADASYFGGEINMSSFDFFSTGFLDRTKGLGLVVLAWAPQLQVLGHAAIGGFLTHCGWNSILESISNGVPMIAWPLFAEQKINAVMLEEDMKVAVRAKVDDTGLVRKEEIVRLIKCLMEEDQGRKMRDRVNLIGLKAAQAINQEGGSSIRSMKAVVSEWIDL >DRNTG_03486.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:817914:821658:-1 gene:DRNTG_03486 transcript:DRNTG_03486.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-deoxy-D-xylulose 5-phosphate reductoisomerase [Source:Projected from Arabidopsis thaliana (AT5G62790) UniProtKB/TrEMBL;Acc:F4K7T6] MDLKLPFSGEVGAVSFLDAQKSPFRQLRVGFCTMQKKVTSSSRKVFCSLQQPPAPAWPGRAVPDPGRKSWDGPKPISIVGSTGSIGTQTLDIVAENPEKFKVVALAAGSNVTLLADQVKTFKPQLVSVKNASLVAELKEALADSDHKPEIIPGEEGIVEVARHPDAVSVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAHKHNVKILPADSEHSAIFQCIQGLPEGALRRIILTASGGAFRDWPVEKLKEVKVADALKHPNWSMGKKITVDSATLFNKGLEVIEAHYLFGADYDHIEIVIHPQSIIHSMIETQDSSVLAQLGLPDMRLPILYTLSWPDRIYCSEVTWPRLDLCKIGSLTFKAPDNAKYPSMDLAYAAGRAGGTMTGVLSAANEKAVEMFLDEKISYLDIFKVVEVTCEAHMKELVISPSLEEIIHYDLWARDYAATLQKLAGLSPLPA >DRNTG_30448.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:365613:366068:-1 gene:DRNTG_30448 transcript:DRNTG_30448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLAERTRISAQETTSGHSLSTASLMVSKYLKFLNPKLLSVSFSDKVLLVVSNRSDASH >DRNTG_34727.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2247549:2248642:1 gene:DRNTG_34727 transcript:DRNTG_34727.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLSRMIIIPDPKIKPHLQSKRNGKHGGALWAKKPDLNSSSHAEEPTFIRLGVPNVFLARAAIAVF >DRNTG_25788.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2915205:2917246:1 gene:DRNTG_25788 transcript:DRNTG_25788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTCRWLATFSLVSPSTFMRSSIFFGTALRTDVNGVSFQILWTKNALAWGVVGRSPSRRFERKWVVFRRVTPRDEFIGAAYLLFFSTRVSPLP >DRNTG_20778.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001155.1:14274:17898:-1 gene:DRNTG_20778 transcript:DRNTG_20778.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDELLKMVLWVFSYGSLVWNPGFNFDERIVGYNKDYRRAFNIACINHRGTLHPARTCTLEAKKGAICLSRGYGQMR >DRNTG_20778.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001155.1:13370:17308:-1 gene:DRNTG_20778 transcript:DRNTG_20778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDELLKMVLWVFSYGSLVWNPGFNFDERIVGYNKDYRRAFNIACINHRGTLHPARTCTLEAKKGAICLSRGYGQMRRIHD >DRNTG_10254.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19967329:19969617:1 gene:DRNTG_10254 transcript:DRNTG_10254.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDEGKRMEDDLELKLPELLMSEAVQSLHAIVDHQWDSLQHSACQTAAGRALWNHVIHDPVAEILAGKSFLRSLYEKMKMDKLNNAHEVSGVILAVRTLWFDSKLEAAIDSFGDGESQVVLLGAGMDARAYRLSCLKESSVYEVDFAEVLQIKAALLHEVTQSPKHRHIEIKAKALVRVPADISDGDWIEKLKRSGFVPERKTIWVLEGILYYLHHLHAMQVLQSIAANCSVTQTVLLADFMNKSSAALSTSTFHFYNDWPDHLLPTLGFSSVKLSQIGDPDARFGLLPDPQNLFDKLRSLPRSIQTNPEDGTPCRRLYLVEASGFPNQTIS >DRNTG_18502.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1886196:1888025:1 gene:DRNTG_18502 transcript:DRNTG_18502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSCRKWQLTSIPCGHAISSLYYNKKNPEDYIDECYTVISFLATYNHILNPTQDSNCWPKSPQGPMIPPEPANKNRGRKPLMRKKDPLDEQEGYTRGKVSGKGHNKRCSVCGTIGHNKRFHGSQDAETRYGPHGHN >DRNTG_28436.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20616442:20617527:1 gene:DRNTG_28436 transcript:DRNTG_28436.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSADILIEILTKLPPKSLFRLSSVSKQWQSLISDKYLKNKLPLMLSGVYHLSHSAKASSTVDKPSE >DRNTG_04505.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000218.1:119793:123967:1 gene:DRNTG_04505 transcript:DRNTG_04505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGFRGVKEDLSELGRHLLDIACFLGPLTAVPYHKNSPPPSRAAVFDDLSEVDGRFDSSPGRDVAGVVLVSEDVRNFVEDLVKLPESWLEFPVPLDDCFADFDMSGPQREHIIVVEQLVPSLADLKVTLCPSHMSVEHFWKVYFALLHPRLNKYEAEVLSTQKIVEAAKATLKKMEERVDHPLKNPGTVGSDEISIVEDDTQEKHCRTTSEISTQQYIERQWENRSESDAASCDTRKQFQSEDDVSFSGTEEEDHVIVSKHLSSLTAGQASSSSANWVQLRKRSYASRDRPMTAHHTSEERKKVNVESSEWQSAEESDFEMVERST >DRNTG_10604.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:42663:46190:1 gene:DRNTG_10604 transcript:DRNTG_10604.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAATIALTLISSFFAPHLNQLVKEVFDKLTENTKDKLTKLQTVMLPRLELMIQTEQMTDNDNDRLSQLLKEFVDVAYEIEDVVDKDEYKDLKKQSKGKVWKWVSSVNPRLFSKWKMSNTLTKLEEKVSSIYDFYQRLPQRAPNGRDATSITNLSDTQLQPAEGFLGRDTDIESIVRNLIGTAMPNNLKVVVIVGVGGVGKTELAKSIYNLINVRHKKWLSFSRGKFDEVEMTRCMAGLGEDGGLISKALEVAGNMLRTNVFRKKFLLVLDGIWYDEELGLFENRERWSRLCAPLQKCARGSMILITTRMQIVAKSFSRVSGELTCHLLEGLEHQHCLAIFNKQAFGDAGPDQRALNANLPMIASEIITKIINNLEGIPLAATEAGEKLRNKYCLEVWNGIKSNIIQEDTEKSLMWSYKMLPCHLQQCIRHFTLYPQGFKFNHNFLVLSWIAAGVITHQQRSQNDLERLGRKFFDALVDRSFLQEKEDGLFVLHRALHAFLDIITKGECIRIAGNERQEIPSTIKHLSIEVNSLDRYENEISKLDKLRTLFLNCNNANSCSVQGGYHAVLERILKNMKRLRVLRIACCSINKLPDSVGRSKHLRFLDLSNSSFNQLPESVCRIYLLWYLNLSNCNLNTVPKYLKKLIKLQYLVFNGPTRSLIPHIDRLTLLRELKEFHVKNERGQLNGMDNLLGTLRIINLEAVGDATDADEAMLNRETPLKEFVSEWSMPETADAILLPEQVLDILQPHDDITSLTVRGYTGNRPPDWMINQNSKLEALHLDNCPNWGTLPALGNIYTYLKKLVLSGCCIDDATLALCLNNLTSLSCLKLSTCSHITSLPSVEVFGTLVKLKVLQVMDCQLLTSLGGILALPFLQEFNISLCPALLAVIQPNDRGINLQGHAVGAVAVLPKSLLDLKITDCGISDNYLSSCLMGLNKLISLELHQCASVTTLPLEEVMRHLTSLECVNIHSCVNLQSVGGLQVLAPTDGSGPLRRLSIQNYGRLQRPLHDNLERLMQAN >DRNTG_22606.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9384214:9385206:1 gene:DRNTG_22606 transcript:DRNTG_22606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRREDTHGGGSCAIGGASISIGSYCGSGAGCGPSTTSPSPSAISGGAQENHFHSGVLTLVMQILSQNVS >DRNTG_12104.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4976430:4977996:1 gene:DRNTG_12104 transcript:DRNTG_12104.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHSNSFLVLALALALALTAVLVDCNSEGDILHAQKLVWEDPNNILKSWDPTLVNPCTWYHVTCNNDNSVIRLDLGEAGLSGPILPQLGNLTNLEYLELYGNNINGTVPEELGQLSKLISMELQQNLLTGPIPSSIGNLKSLKFLRLNANMLTGEIPIEILNLIRSGNISVMNISDNYLDGTIRRSEQTGFSITTVIMDQKTFR >DRNTG_08650.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2060019:2062150:-1 gene:DRNTG_08650 transcript:DRNTG_08650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVNASAIPCENMIVYIWDMDETLILLKSLLDGSYAESFNGVKESRKGTDIGKHWENHILQVCDDYFFYEQMENYNQPCLDALSLYDDGRDLSDYNFNSDGFKHMHDDADRRKLAYRQRVIAQKYAQVVIADNFTPMGVLVL >DRNTG_31760.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10502204:10510024:1 gene:DRNTG_31760 transcript:DRNTG_31760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLX-like 2 [Source:Projected from Arabidopsis thaliana (AT1G67170) UniProtKB/Swiss-Prot;Acc:Q84TD8] MGSKGRVPPHLRRPLPGPGMLHPDPFSAAVRPPPGAFPLEMLPLPEVLEQKLAAQHIEMERLATENQRIAATHSTLRQELAAAQQELQRLQSHMGVIKAEQEQQMRALLEKNGRMEAELQASEPFKADLQQAHAEVQNLVAARQELILKIQQLNQDLQRSHGDAQQIPALLSELDALRQEYEHCRATYEYERKIFTDHYESLQLMEKNYISMVREVEKLRAELANAANTAANTAANPGRSDCDSGGTFGSNASHKEIDASNHHSVGQTTYEDGYGVSQMRGSSSAAVPYGVGPLGPPPPAWVGHDARGPGFDASRNAIYDTSRGAGGFDTSGGTSSYDPSMIGGYGIPRGANAFEAQRGGSGYDAPKGPASAPTTGPIGNSGHPYGAPLAMNPYGSAPQPPPHMGSAYDVPRAGNVGRR >DRNTG_31760.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10502204:10510024:1 gene:DRNTG_31760 transcript:DRNTG_31760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLX-like 2 [Source:Projected from Arabidopsis thaliana (AT1G67170) UniProtKB/Swiss-Prot;Acc:Q84TD8] MGSKGRVPPHLRRPLPGPGMLHPDPFSAAVRPPPGAFPLEMLPLPEVLEQKLAAQHIEMERLATENQRIAATHSTLRQELAAAQQELQRLQSHMGVIKAEQEQQMRALLEKNGRMEAELQASEPFKADLQQAHAEVQNLVAARQELILKIQQLNQDLQRSHGDAQQIPALLSELDALRQEYEHCRATYEYERKIFTDHYESLQLMEKNYISMVREVEKLRAELANAANTAANTAANPGRSGGTFGSNASHKEIDASNHHSVGQTTYEDGYGVSQMRGSSSAAVPYGVGPLGPPPPAWVGHDARGPGFDASRNAIYDTSRGAGGFDTSGGTSSYDPSMIGGYGIPRGANAFEAQRGGSGYDAPKGPASAPTTGPIGNSGHPYGAPLAMNPYGSAPQPPPHMGSAYDVPRAGNVGRR >DRNTG_03229.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4629575:4630799:1 gene:DRNTG_03229 transcript:DRNTG_03229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKVDQHDIAIVGGGMVGLAFACALCSKYAFDKTIINSYY >DRNTG_23237.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21604874:21614047:1 gene:DRNTG_23237 transcript:DRNTG_23237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGFDIKKWQQEAKTRWLKPSEVFFILQNHERCSLSHEAANKPQSGSLFLFNRRVLRFFRKDGHVWRKKKDGRTVGEAHERLKVGNVDALNCYYAHGEQNPYFQRRSYWMLDPAYDHIVLVHYREVSEGRYISHTISNVSRESSVLNQSTNNNNAQFYSYTSGSNELYEPDRSSASPVSVEEVCSKFVLENFENNRMSTFVGSEKHNSTSKSEVKLALRKLAEQLSLDDDDDGSIYFGEKLPPYSAGDEGSRHSGALDYEPLGLNQDTPGDLLDAYIYRDLVHGQIEDVSKQGGFSAVDVLDVAGDTDSEKQQNQSDSSVYSTDSRGPSWSYTLDLSSNSEVMGAFGRDVSFLSSSQQKTQSIALNEPSEILSWNQLDHGENSAGNLPDGRRISDEELSLQLSATREFLLGCDSPLPEVGKPLNYTDQTTDPEARSAMMLGKENGTDWIGSITPTTEHSTYSLDYTGLWFDQNQFGTLPAVDSSLAVPQKQWFTICEISPEWAFMSERTKVIITGDFLCNTSECSWAIMFGETKVPAEIVQAGVLRCYAPLHLTGKVNMFVTSRDGVPCSEAREFEFRVNPSTFNGKPTSELQYSRKDSQELMLLVKLVNMLFCSYDGHSTPILNLGTEVETFREIKPTENMSEQSAIMDLIVQELLKDKLQQWLLSRRQTNKSTNCPLSKQEQGIIHVISGLGYEWALNPILSSGVGINFRDSYGWTALHWAARFGRETMVTALLAANASAGAVTDPTAQDPVGKNPAAIAAACGHKGLAGYLSEVALTSHLSSLILKKSEITEAGEVEAERGIEQISQKNAETNVCGTEAQLSFQDTLAAVRNATQAAARIQSAFRAHSFRKRQQQSASSEDEYGMTQEEIHRLSAVSKVHRPRDQYFDKAALSIQKKYRGWKGRKDFLTLRQNVVKIQAYVRGHQVRKYKEVLWTVGIVEKAILRWRRKRAGLRGFRAEPDAIDEDDNDEDIAKDFRKQKVDAALDEALSRVLSMVDFPEAQQQYRRLLESYRQAKAEADVSNADEVTRRLEESLEMLKNENYYMYQQFK >DRNTG_05859.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31498950:31500398:1 gene:DRNTG_05859 transcript:DRNTG_05859.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFLSVLALILITTTSTHASTTQVYWHKMLPNSPMPRAIMDLISSAADELGEEKTGTAVNVGKGGVVVNTGKSGGSGTNVNVGHGGVGVNVKGKGNTQVGVGKGGVNVNTGPKGKPVVVKVHPGGSPFDYNYAATETQVHDDPHVALFFLEKDLSPGSKFDLQFTKTTSGSPFLSRSQANTIPFSSNKLPGILTRFQVEPNSITAETMKKTLVECEEPAMDGESKFCATSLESMVEFSMMSLGTRDVQASSTTVKEKSGDVEVKKTFSVAPAGVRALGGEKLVACHAQPYPYAVFFCHATGKSKAYKMALEGNDGMKVEAVAVCHLDTAKWNSKHLAFQVLKVKPGSVPVCHFLPEDHVVWSVSK >DRNTG_05859.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31498950:31500453:1 gene:DRNTG_05859 transcript:DRNTG_05859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFLSVLALILITTTSTHASTTQVYWHKMLPNSPMPRAIMDLISSDELGEEKTGTAVNVGKGGVVVNTGKSGGSGTNVNVGHGGVGVNVKGKGNTQVGVGKGGVNVNTGPKGKPVVVKVHPGGSPFDYNYAATETQVHDDPHVALFFLEKDLSPGSKFDLQFTKTTSGSPFLSRSQANTIPFSSNKLPGILTRFQVEPNSITAETMKKTLVECEEPAMDGESKFCATSLESMVEFSMMSLGTRDVQASSTTVKEKSGDVEVKKTFSVAPAGVRALGGEKLVACHAQPYPYAVFFCHATGKSKAYKMALEGNDGMKVEAVAVCHLDTAKWNSKHLAFQVLKVKPGSVPVCHFLPEDHVVWSVSK >DRNTG_05859.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31498950:31500398:1 gene:DRNTG_05859 transcript:DRNTG_05859.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFLSVLALILITTTSTHASTTQVYWHKMLPNSPMPRAIMDLISSDELGEEKTGTAVNVGKGGVVVNTGKSGGSGTNVNVGHGGVGVNVKGKGNTQVGVGKGGVNVNTGPKGKPVVVKVHPGGSPFDYNYAATETQVHDDPHVALFFLEKDLSPGSKFDLQFTKTTSGSPFLSRSQANTIPFSSNKLPGILTRFQVEPNSITAETMKKTLVECEEPAMDGESKFCATSLESMVEFSMMSLGTRDVQASSTTVKEKSGDVEVKKTFSVAPAGVRALGGEKLVACHAQPYPYAVFFCHATGKSKAYKMALEGNDGMKVEAVAVCHLDTAKWNSKHLAFQVLKVKPGSVPVCHFLPEDHVVWSVSK >DRNTG_05517.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2413697:2414315:1 gene:DRNTG_05517 transcript:DRNTG_05517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVIERQRSPDESRIPRQLFVFPKPSLQSAVKTRYITMYEYTSPSDQPTHLYLNGKAFEEPVTETPKSGTSEIWYVINLTGDNHPLHIHLAHLAALEQREILELEKFKDCMTRLNDAIKCHVDDH >DRNTG_26289.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22931096:22931443:-1 gene:DRNTG_26289 transcript:DRNTG_26289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMAATFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLV >DRNTG_03379.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17642670:17644092:1 gene:DRNTG_03379 transcript:DRNTG_03379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVLTHKTRQIIDATTGESLSNNYLDEAKQLFEDMANNDSYWSSRGRQLRVAGLHEVDGLHEVDTDTTLAAKMDALTRKLDLLVNNGQGVSSNSMAILFCETCGGGHGAAQCLIICETCGGGHGAAQGVSSNSMTPTTTIVNHNGRDLSIQCEDRPWQDATCGQSNRTYSPRPPQRRW >DRNTG_09418.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21107052:21110717:1 gene:DRNTG_09418 transcript:DRNTG_09418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIQFFETSAKTNLNVEQVFFSIARDIKQRLSESDNKAEDRTIKINKPDQATASGNAPERSACCGTS >DRNTG_09454.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21281658:21285427:1 gene:DRNTG_09454 transcript:DRNTG_09454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRGPAIGIDLGTTYSRVAVWQHGRVKIIKNDQGKGFTPSYVAFTDTKRLIGDAAKEQLAENPKNTIFDVKRLIGRKFRDDHVQNDMKLWPFEVIEATGEKPMIAVQYKGENKQFTPEEISSMVLMRMKMFAESYLGTAITDAVITVPAYFNDSQRQATKDAGAIAGLNVIRIINEPTAAAIAYSLNKKGSSSDKKNVLIFDLGGGTLDVSLLTIQEGTLEVKATVGDTHLGGEDFDNNMVAHFVKKFKKKNGGMDISGSTEAMSRLRTSCEEAKRKLSNVTETTIDIDSLYQGQKFHSIITRGKYEGLNKDLFEKCMKSVEKCLTEGEMEKQQVDDVVLAGCSTRTPHLQVMLRNLFNGKEPRNTINKCEAVVYGAAVQAAILSGEGDTKVQDLQLIDVTPLPLYLHKLGGSTTALIPRNTTIPAKIQQVHTSSSNNQVLIRVYEGEEESVNYKKLSRQVYDLRPCSSSSSPR >DRNTG_25178.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4019573:4023756:-1 gene:DRNTG_25178 transcript:DRNTG_25178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSCQPGWPIKALLYIKKNGGIDTDMDYKYTATVNQCDKSKENTKVVSIDGYQVVQSNNEYALMKAVAEQPIAAFVSGYEPGIPKISEGNILRIL >DRNTG_22864.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4398425:4399338:1 gene:DRNTG_22864 transcript:DRNTG_22864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKRCGKERRRGDRTGGVVTGTEASSPERRCAGQLKCPTFSFLQWLAKVAAPNPARKTKGDQNI >DRNTG_09479.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1420835:1421242:1 gene:DRNTG_09479 transcript:DRNTG_09479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVDRGYRTSFRSYVATDPHLQIVSGKAFSAATHNSYSHPRLVTTAPSWPSDPTPPPPPKPRRHSSSSSSSSTAWCFSDPELKRRRRVASYKAYAVEGKVKASLLRAIRWFKGKCSAIVGDR >DRNTG_24057.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26521760:26525132:1 gene:DRNTG_24057 transcript:DRNTG_24057.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRAUCO [Source:Projected from Arabidopsis thaliana (AT1G51450) UniProtKB/Swiss-Prot;Acc:Q9C8J7] MDSIHSPAAAAADDEDEDEWCDALDHIPPPQSPVPDLPQSNGHDPPSPPPHISNGDLLPDESPSKKPKPSSPDPSPTPPSAPTTVAAAVAAASKKPKKKSNNVWTKPTSRRKPKKPKTPAAPNGSGSTPGAGPDDATVVLAPIPRFLLDKSDDSPDASICLSKLHKAERVELSDDRLTAGSTKGYRMVRATRGVQEGAWYFEIRVMKLGESGHTRLGWATEKGDLQAPVGFDGNSFAYRDVDGSKVHKALREKYGDGYGEGDVIGFYISLPEGGLYAPKPPQLVWYKGQRYICASDIKEEPPKVVPGSEISFFKNGVCQGIAFSDLFGGRYYPAASMYTLPNQPNCEVKFNFGPDFEFFPQDFGDRPVPQPMIEVPYHGLDVNADGPAENGHTEKTT >DRNTG_12791.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2163115:2165522:1 gene:DRNTG_12791 transcript:DRNTG_12791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVEGNSVLALIIVFSASIILFFYYYYCYYYHIYEHHGKNIPPGSLGFPVIGESWSFVKAQREDKGSEWIEKRVAMHGTVFKTSLMGCPTVVVTGRTGNKFVFSADDESLSVKQPPSIAMLVGKYNVLELTGPRYKHVKSVVMRFLKPEAIQEYIDPMNSIMKNTLNSLKVKDSVLIVPLMKRLTFNITCSLLFGLHDEPTNDALFEDFSHLFKGLWSVPLNLPGTAFRRALHARSNIVQRVTPILKSRRKKIIEEVVNANSDMLSGLITRLSDEEIIDNFVALMVASHDTTAILLTLMVWKLARDPQIYQKVSQEQSAIIKERQEKTNGKLTWSEIQKMKYTWRVAQEIMRMIPPVFGNFRKAMKDINFAGYDIPKGWQVFWEVTQTHMNNGIFENATSFDPSRFENSSRSIQTFTYIPFGAGSRMCLGNEFARVEALTVMHHLVTNFEWSQLIPDEPITRNPMPYPSKGLPIKLKVKETSDML >DRNTG_27454.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10170133:10170684:-1 gene:DRNTG_27454 transcript:DRNTG_27454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFPCLSPNRKRLKRHKGSIDSLDYENKSSWSGGEMQRNAHSFDLKELSMATENFEELNLIGKDGFGKVFKGFLENQARKKI >DRNTG_11798.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:434380:435416:1 gene:DRNTG_11798 transcript:DRNTG_11798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKLWASSAANALKISCSGARAPLLPAFSISRCFSSVLDGLKYSNSHEWVKHEGSVATVGITDHAQGHLGEVVFVELPESGTSVSKGAGFGAVESVKATSDVNSPISGEVIEVNTKLSETPGLINSSPYEDGWMIKVKPSSPAELDSLMGSKEYTKFCEEEDAHH >DRNTG_11324.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:8738786:8748043:1 gene:DRNTG_11324 transcript:DRNTG_11324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIAIMVVLYRKQVSLTAIKGRGSVGPPLGCHGAMSHVGPVERDMAHAPEEMFNPDPYKGLFNQEEDNEEVPSTPGILKKVLRKLKRARRRHRKRSKAVGDVREPNKLGESLLGDYETFEAISGKLGMAPKIHCYNALNCAFGTLKKTSKALKVFEHLVSLSVKPNGTTFPFLVDAHLMNRDQKAPLSVIDDMVQDLFHS >DRNTG_05281.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16728934:16729460:1 gene:DRNTG_05281 transcript:DRNTG_05281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLASPNDDEDGEWRPNDDSSNRRKAECSSSFDDEALPLRFKLLISPSLKPKTLSKMMSSFLLFFPRVGGSMSTSLIQYAFRQTSSFIATVIIPGSIQGSIEA >DRNTG_17210.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:303061:304334:-1 gene:DRNTG_17210 transcript:DRNTG_17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLTPAAVMSQATTSSSSSSSSRPTLGFPLGTALLLIVIFCLSGIFSCCYHWDKLRSLRARASRHSTDLEDPHTHDQEHVMPTLSSPTLPVKLALVHQSHEQEKKQSLPVIMPGDQIPKFMAWPRACQQR >DRNTG_14948.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1091658:1094041:-1 gene:DRNTG_14948 transcript:DRNTG_14948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFFQSSFTIFFFFFFIIFSSSDILQVHAARLLPIINENGGNSSSETKVYIVHVAEPNNTKFLHFTDKENWYKSFLPNTSLDSGDPRLLYSYHHVITGFAAKLTDGELKAVKSRDGFVYAQEDQTHILHTTNTPTYLKLHQDWDYDLWASSSYGAGKIIGVIDSGIDPDHPSFKDDNMPQPPQAPVWNGQCYWANKCNRKLIGIRALRYGWAPDPYDRNGHGTHVASTAAGNFVDDANVLGEANGRASGMAPLAHLSIYKVLYNNNGKTVGQSADILAGIDWAIRDGVHILQMSLGAVSLQMFHNPVAIGSFAAMRQGIVPCAAAGNDGPSPNIIANDAPWIFTIGAASTDRRILTTVNLGDGTQLEGEGGYQPDNFPSDQLDLVYPGADDTGTPKQKENRLVCNVNLKTYNVAGKLVVCWNAIYDPVETGKKVKKAGGAGMILVNTWPAGNTTSPEPHVLPVANVGFDAGQKIVTYITNSTANPTASISLDGTQLGVRPSPAVASFSCRGPSKMNYGIIKPDIIAPGVNILAAWPQRVGPSPTGSYSKFKFLSGTSMATPHVSGIVALLMNLYPSWSPARIKSALMTTAYALNTNGEPIKDEYSGNASVYAMGSGHVDPLAAVNPGLVYDLQYYNYVHYLCGTGMADYQLSAIIRTTASCSQINGIPVEQLNYPSFSVKLGAGNPVTVTRIVTNVGDANSAYQVEFDEPEGVNIAVNPTTLQFSQKEERLTFEVKFTVDGVPPAAGMTREGQLSWVSPTNLVRSPIVVTFT >DRNTG_00926.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21365962:21369080:1 gene:DRNTG_00926 transcript:DRNTG_00926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGLKREVSTNSGLSGSQAFKKPSDHTDQALYYIPHL >DRNTG_13784.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13334014:13334599:1 gene:DRNTG_13784 transcript:DRNTG_13784.1 gene_biotype:protein_coding transcript_biotype:protein_coding DTARTPTGGSSGESWTMGESPIQQYRVSEEGQCRL >DRNTG_30282.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001553.1:6926:13296:1 gene:DRNTG_30282 transcript:DRNTG_30282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKRLKVAVLLSGGVDSSVALRLLHAAGHSCTAFYLKIWFQEDFENFWSECPWDEDLKYARAVCDQVSVPLEVVHLSDEYWNNVVSHIISEYRSGRTPNPDVLCNTRIKFGAFLEAIKNMDFDYIASGHYACVLHSPYDKRDESSILALSKEMVKDQTYFLSHLSQVQLRRLLFPLGCIPKDEVRKLAALMDLPNKDRKDSQGICFLGKVKFSEFVARHIGEMEGILLEAESGDYLGKHRGFWFYTIGQRQGLRLPGGPWYVVEKDTQNNVVFVSRNYFSLEKRRRSFRVGSLKWISASPPEKYDKLRCKVRHGPGFYDCSITLQPSDLIKDDVAIVHLSADDQGLASGQFAAFYRDEICVGSGVILDSAVDKNFPVCAKALEIARMDDKSKLGKPVKIINQDISIKEVENSSVKCYLSSEDSKLQQN >DRNTG_30282.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001553.1:6926:9428:1 gene:DRNTG_30282 transcript:DRNTG_30282.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKRLKVAVLLSGGVDSSVALRLLHAAGHSCTAFYLKIWFQEDFENFWSECPWDEDLKYARAVCDQVSVPLEVVHLSDEYWNNVVSHIISEYRSGRTPNPDVLCNTRIKFG >DRNTG_30282.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001553.1:10107:13296:1 gene:DRNTG_30282 transcript:DRNTG_30282.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCRYVVEKDTQNNVVFVSRNYFSLEKRRRSFRVGSLKWISASPPEKYDKLRCKVRHGPGFYDCSITLQPSDLIKDDVAIVHLSADDQGLASGQFAAFYRDEICVGSGVILDSAVDKNFPVCAKALEIARMDDKSKLGKPVKIINQDISIKEVENSSVKCYLSSEDSKLQQN >DRNTG_33457.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20333395:20334239:-1 gene:DRNTG_33457 transcript:DRNTG_33457.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTKPIFVIFIIFSILLLLFTNTPAFAATGIGRGALNPNRPFCPVPPGQPYKRCKHPPTNNVATKPNIKTNN >DRNTG_33541.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002015.1:16065:17752:-1 gene:DRNTG_33541 transcript:DRNTG_33541.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSRLRPYSSVSDSPPDPTLVKHCLSSIARKQWHHRSSTESLSPIKHWIFPPIKHRISLTSSIGTPSSLPSTTSTIHPPVRQKEEKRRISRALEAILGEDSTPPSWRGRS >DRNTG_33541.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002015.1:16688:17752:-1 gene:DRNTG_33541 transcript:DRNTG_33541.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRERERERERESQKKCSRPKMKKMELSAPIK >DRNTG_08655.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16877204:16881170:-1 gene:DRNTG_08655 transcript:DRNTG_08655.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAGLAGALFSAANPSSLTARLVAPRFTTPFQSSSLLTLSSSLLHRQSVPVRRLLQVRAARMESTRASLGFRAPHFELPEPLTGKVWTLDEFESYPALLVMFICNHCPFVKHLKKDIAKITSFYMGKGLGVVAISSNSAITHPQDGPEFMAQDAKLFNYPFPYLFDETQEVARAFQAVCTPEFFLFKKDGRRPFELFYHGQFDDSRPNSNIPVTGRDLSLAIDCVLSGQHLSIAQKPSIGCSIKWHLGKSS >DRNTG_02895.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:746191:748391:1 gene:DRNTG_02895 transcript:DRNTG_02895.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSMSTSERCGASSDKEGTCTHGFTDLNRTVPLFPETNHDPDSLQEFQFFGHDDSVAWLFNEPKIPEPRAPEERPSFKYLDDLRHACNPARLTFDVCLSNSTSSPDVIQHHSQPLEVPAMGHPAASTSATIMSFSGSTFTDASSGNAKEGSGVDAMTSGQVGDPTMEREAKVMRYKEKRKKRKYEKQIRYASRKAYAEMRPRIKGRFAKTPETSQPSDQPSSYDHQDRLDLGWFHP >DRNTG_34426.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21198261:21199501:1 gene:DRNTG_34426 transcript:DRNTG_34426.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRGHWTPTEDEKLMELVACFGPHNWNSIADKLQGRSGKSCRLRWFNQLDPRIKKSPFTEEEEERLLTSHRNSWKQMGGDCSPFPRQD >DRNTG_16335.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12891683:12897375:-1 gene:DRNTG_16335 transcript:DRNTG_16335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVPRRQLFIDGEWKEPFQRKRIPIINPATEASIGDIPAATAEDVDIAVDAARRALTRNRGSEWARASGAHRAKYLRAIAAKITERKPELAKLEAIDCGKPLDEAAWDVDDVAGCFEYYADLAEALDGKQRAPISLPMETFKSYVLKEPIGVVGLITPWNYPLLMATWKVAPCLAAGCTAILKPSELASVTCLELADICREVGLPPGVLNILTGFGPEAGAPLASHPLVDKIAFTGSTETGRKIMTAAAQIVKPVSLELGGKSPIIVFEDVDIEKAVEWALFGCFWTNGQICSATSRLLVHEDIANEFIERLVSWAKSIKISDPFEEGCRLGPVVSAGQYEKVNKFISTAKK >DRNTG_13897.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1856960:1875673:1 gene:DRNTG_13897 transcript:DRNTG_13897.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLSMGSALETLSGQAFGAGQVSMLSIYMQRTWIILIISSILMSPIYIFATLILSFLGQQSDIAFLAGRFALLILPQQFAMAISYPSQKFLLTQSKVLPLAFIAFISLVLHLCALWFFTSVLEWGSTGAAIAFDVSSCAMALFQVIYIMFWCKDAWNGFSWFAFKDLWPFIKLSLSSAFMLLLSDWYGALVVFLTGLLNNAQVTVASVSIWLNITTWEYMLVTGFMSATSVRLSNELGSGRPRAGKYAIIVSALTTLFIGLFSSALILASWNKFPLLFTSSKEVQDSASTAVYLLATSVMIICIQSTLTGAITGSGWQGLVAYINLGCYYLLGLPLGAAFGFLFHWDWQGIWTGTMCGSITQTLVLIIMVCKTNWKGQVAVAERRLQFWSGEDQ >DRNTG_13897.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1868785:1875673:1 gene:DRNTG_13897 transcript:DRNTG_13897.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERGLVEKTKGSGGEDELQVRTFNDAIRVFTEESKKQWMLVAPIAMTALFTYGINSSVQIFAGQLSALQLSAVSTALTLCSNLTSGFLLSMGSALETLSGQAVGAGQVGMLSIYMQRTWIILIISSILMLPIFIFATPILSILGQQPDIAFLAGRFALLILPHQFAMAISYPSQKFLLTQSKVLPLAFIAFISLALHLCALWFFTSVLEWGSTGAAIAFDVSSCAMAIFQVIYIMLWCKDAWNGFSWFAFKDLWPFMKLSLSSAFMLLLTDWYGALVVFLAGLLNNAQVTVASVSIWLNITTWEYMLVTGFMSATSVRLSNELGSGRPRAGKYAIIVSALTTLFIGLFSSALILASWNKFPLLFTSSKEVQDSASTAVYLLATSVMIICIQSTLTGAITGSGWQGLVAYINLGCYYLLGLPLGAAFGFLFHWDWQGIWTGTMCGSITQTLVLIIMVCKTNWKGQVAVAERRLQFWSGEDQ >DRNTG_01113.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:14374753:14377558:1 gene:DRNTG_01113 transcript:DRNTG_01113.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQHPSLLLSLLFLALTIITPGQAIEEKKKTYIFRVDRESKPSIFPSHAHWYASAEMSGDALSVLHVYDTVFHGFSASLPPSRADELRSHPAILAVFEDRRRFLDTTRSPQFLGLRNQLGLWSHSDYGSDVVIGVLDTGIWPERRSFSDFHLGPVPSRWRGVCEFQPSSLCNRKLIGARSFSKGHGADGSGPGINASVEFLSPRDADGHGTHTASTAAGRHAFRASMSGYAPGIAKGVAPKARIAAYKVCWRGAGCLDSDILAGFDAAASDGVDVLSVSIGGGDGAASPYYLDPIAVGSYGAASRGVFIATSAGNDGPSPMSVTNLAPWLTSVGAGTIDRTFPASVRLGDGRTLSGVSLYSGAPLAVDSMFPLVYPGKTGGLSASLCMENSLDPKLVSGKIVICDRGSSPRVAKGLVVKEAGGVGMILANGISNGEGLVGDAHMLPACAVGSDEGDAVKAYVASSPSPTATIVFQGTVVGVKPAPVVASFSGRGPNGLSPEILKPDLIAPGVNILAAWTDAVGPTGLDSDKRRTEFNILSGTSMACPHVSGAAALLKSAHPNWSPAAIRSAMMTTATLLDNQMHPVTDESTGNPATPLEMGAGHLNLERALDPGLVYDLSDQDYVNFLCDIGYGPRTIEIITHAPVTCPARRGSAENLNYPSLTVVFDGVTAGNGSKTVVRTLTNVGADGVYRSKVEMVAGKGVVVGVKPGKLAFTKTEPKRSFAVTVTVTEDAVEAESGMVGYGYLVWYDGAHEVRSPIVVSRIQPL >DRNTG_00118.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:16361874:16363730:-1 gene:DRNTG_00118 transcript:DRNTG_00118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFVINNNCLQPPTEMRASISSANSSSHAPPQESFTEVGACPCVLSEKHSLPLNANTQACEKYPRPCAQLTWAAARPCGCFGLPRKIAKCFARPCDFSG >DRNTG_32706.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001895.1:18592:20219:-1 gene:DRNTG_32706 transcript:DRNTG_32706.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNQQKVKRGLWSPEEDEKLIRYVTTHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFTPDEEKLIINLHALVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKPLSTTTTTAGAATTATSSSSTTMSTIPSGLDLVQMSYNTSIDQLDSLLTTTTATTTTAAKSSVTHENIFATSHHTCPIFMFDPSINGDGSASPSTNNIWNINVDTNMDPNYLPPLVDGLGNMGMVGMDEGVLHCCGDDDHGGHETSRDSFGKQDQQQLISDWMDSQHYPGLLFWDTATSVQGHATHATTPHHEHEPLQQTQTNNNILINDSILSTSSSSFPSSL >DRNTG_02599.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21262001:21267486:1 gene:DRNTG_02599 transcript:DRNTG_02599.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactokinase [Source:Projected from Arabidopsis thaliana (AT3G06580) UniProtKB/Swiss-Prot;Acc:Q9SEE5] MAKHEDLPIPIYKELEPVYGDGSPLEEAQLRFQTLKSKFTDVFGQSPDVFARSPGRVNLIGEHIDYEGYSVLPMAIRQDTIIAIRKHREDEPRELRIANVNDKYPLCTYPADPNQDVDLKNHRWGHYFICGYKGFYEFARLKKIELGAVVGLDVLVDGTVPTGSGLSSSAAFVCSATIAIMAAFDANFPKKEIAQLTCECERYIGTQSGGMDQAISVMAKSGFAELIDFNPIRATDVQLPDGGTFVIAHSLAESPKALTAATNYNNRVVECRLASIVLGVKLGMAPKEAISKAKTLSDVEGMCVSFAGTRGSSDPGIAVKEFLKEEPYTVDDIENIIGEALTSVFSNSKSSLDVLKAAKHFKLFQRASHVYSEARRVYAFRDVVLSDASDEEKLKQLGDLMNDSHYSCSVLYECSCPELEELVKNSRDHGAIGARLTGAGWGGCAVALVKESIVPQFILNLKESFYQSRIEKGIVSNTDLGSYVFCFKAIKWCCNIQVLIIFSLVCVPVFCLINEQMVCIALGIFFIFYFGE >DRNTG_02599.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21261858:21267486:1 gene:DRNTG_02599 transcript:DRNTG_02599.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactokinase [Source:Projected from Arabidopsis thaliana (AT3G06580) UniProtKB/Swiss-Prot;Acc:Q9SEE5] MFHEFWLWFVGRVNLIGEHIDYEGYSVLPMAIRQDTIIAIRKHREDEPRELRIANVNDKYPLCTYPADPNQDVDLKNHRWGHYFICGYKGFYEFARLKKIELGAVVGLDVLVDGTVPTGSGLSSSAAFVCSATIAIMAAFDANFPKKEIAQLTCECERYIGTQSGGMDQAISVMAKSGFAELIDFNPIRATDVQLPDGGTFVIAHSLAESPKALTAATNYNNRVVECRLASIVLGVKLGMAPKEAISKAKTLSDVEGMCVSFAGTRGSSDPGIAVKEFLKEEPYTVDDIENIIGEALTSVFSNSKSSLDVLKAAKHFKLFQRASHVYSEARRVYAFRDVVLSDASDEEKLKQLGDLMNDSHYSCSVLYECSCPELEELVKNSRDHGAIGARLTGAGWGGCAVALVKESIVPQFILNLKESFYQSRIEKGIVSNTDLGSYVFCFKAIKWCCNIQVLIIFSLVCVPVFCLINEQMVCIALGIFFIFYFGE >DRNTG_02923.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4284379:4291086:-1 gene:DRNTG_02923 transcript:DRNTG_02923.2 gene_biotype:protein_coding transcript_biotype:protein_coding FWESHSHNPSSSPCRGFTSVFFSAHPPPADQPKSPFDEPSTSATRKEASAEADEPSTLVVPTRRPCGRPAGSKNKPKPPIIADNVVPRDGEGRCPARSVAEVGIPMADAPG >DRNTG_02923.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4284379:4291086:-1 gene:DRNTG_02923 transcript:DRNTG_02923.1 gene_biotype:protein_coding transcript_biotype:protein_coding FWESHSHNPSSSPCRGFTSVFFSAHPPPADQPKSPFDEPSTSATRKEASAEADEPSTLVVPTRRPCGRPAGSKNKPKPPIIADNVVPRDGEGRCPARSVAEVGIPMADAPG >DRNTG_27198.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:506110:511402:-1 gene:DRNTG_27198 transcript:DRNTG_27198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNETKITIEGKEQVEVNYSQRAQWLRAAVLGANDGLVSTASLMMGVGAVKDDPKAMLISGFAGLVAGACSMAIGEFVSVYSQVDIEVAEMKRNGESKHGDGGGVPSPVQAAVASGMAFSVGAAVPLLAAGFITQHSVRLGVVAAAASLALVMFGLTGAVLGRAPVVRSTVRVLAGGWMAMGVTFGLMKLFGSSAAL >DRNTG_27631.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18586164:18588327:1 gene:DRNTG_27631 transcript:DRNTG_27631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSHLMFDGVGGAQFLRAIGEMARGYTQPSIPPIWSRAEMPIKPQFSLPNSSPMPPPPPSSSSALMTLQRKFIDIPPHQITKMKNKLINQKCSTFDVLIAKLWRSKLRAIKTNPDVLVQLAFVVNARKYLSLEGYYGNCLYMKEIETSCGKVANGSFSEVVELIQDAKRGVASEFSAWVSGGCQAKDMIMKYEKLIVNDWTNIRFEDVDYGWGAAMSMILVEDVPALPSCLFTKTPKMPNGVRIVSSCVREEHMEEFVKQMNDFDD >DRNTG_10415.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14328499:14329018:-1 gene:DRNTG_10415 transcript:DRNTG_10415.2 gene_biotype:protein_coding transcript_biotype:protein_coding PESPTGELMGQRQGHEYLGGSEPSHGHGEGLESFLDHIMLGECWVLCLFISNP >DRNTG_10415.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14328045:14329018:-1 gene:DRNTG_10415 transcript:DRNTG_10415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRQGHEYLGGSEPSHGHGEDCCR >DRNTG_32733.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001904.1:18854:19911:1 gene:DRNTG_32733 transcript:DRNTG_32733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACFSSDSHLSLFQTNFIQLTNVSSFPSPAFATKIGSFSHTHHQNCSFFLFSKPIQDSNALVSTKAKILMKPLQCGQASRQQREKRREDKKKKKAQLCQTSVNQRAKFEENKVCKTLP >DRNTG_14242.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:953356:955926:1 gene:DRNTG_14242 transcript:DRNTG_14242.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thermospermine synthase ACAULIS5 [Source:Projected from Arabidopsis thaliana (AT5G19530) UniProtKB/Swiss-Prot;Acc:Q9S7X6] MGEAVEVVCDNTSTNNTTSGVVNDSMKKIIHDSSPPLDHVQKEDWYVEEIDDDLKWSFALNRVLHRGASKYQDIALLDTKPFGKVLVIDGKMQSAEIDEFIYHECLTHPSLLCHPNPKSAFIMGGGEGSTAREILKHKTIDRVVMCDIDQEVVDFCRKYLTINKEAFNSNRLCLVINDAKLELETREEKYDIIVGDLADPMEGGPCYQLYTISFYENIVKPKLNQKGIFVTQAGPAGVLSHKGVFSSIYNTLKQVFKYVVAYTAHVPSYADTWGWVMASDEPFKLNAQQIDEKINERIEGELLYLNGDSLISSTTMNKSVHQSLLKETHVYTENDARFLHGFGRACCA >DRNTG_21304.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27419371:27420313:1 gene:DRNTG_21304 transcript:DRNTG_21304.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTSNIVALLKQCSNSTRLLQQIHAQTTINGLLLQTETLIWNSVIRAYGQSPSPIHAILIYNYFIHLGSQLPDNYTYPALLKACSHLPYSLPKAREVHGHATKLGFVSDVYIQNSLIHVYGVLSQIDDARKLFDEMPHRDLTSWNSILTAYVCTCDLRTEVFVLFREMVRHDVCFDGITLAVVLSGCGILGCGRVIHAYALKHGLAFDVRVGNSIMDAYAKTGDLDAAFGVFEEMGVMGWSDVVSHTILINACVESGSLEVARDIFDRMSSRDVVLWNSMIEGYIKAKRPKEGMELFKRMGMEMIVP >DRNTG_25774.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21147568:21148247:1 gene:DRNTG_25774 transcript:DRNTG_25774.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDDMMDAFVCIIQKSLSKVPYRYKKRASIIRPLALFLSKQDDAHETTMAIIGDAVRNLHEVKIVILPIIMNGQFHVVVLNNEKQEYMHYSSCQSAGYDKDALDMRNLFNICVDMEFGESATSKYPLVHDMETPRQKQGSINCAVYVMRFIEQLLADEKLRLPQTGVPYLRLKYVSRILKEGRTAAVHEKGGSSQAG >DRNTG_24718.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17885415:17886166:1 gene:DRNTG_24718 transcript:DRNTG_24718.1 gene_biotype:protein_coding transcript_biotype:protein_coding GIRIPIVLDPRLKGKCSAEEATGLEELAHQCQLYKHTDRPTIEDVIATLAKIQSNAATGAR >DRNTG_19719.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:955815:956902:-1 gene:DRNTG_19719 transcript:DRNTG_19719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLNEINELKEEQLQVSFVEEQPPIPVPASLKVVGRGIGSNLDVTRSYLADETVGMVGIWGMGGVGKTTLLRKIYNSLLDDENTGFNYVILVVATKDVQLEKLREEIATKLQLISSSSKEGISNFLKTKNFVLLLDDIWVVVDLVELGIPHPHSNDNSTKQYKRKVIFTTRSEELCTKMRANEKIKVECLEPQEAWDLFKENVNLDVIESDVRMKEIARQVMNECRGLPLTLILIGKAMSNKKNFEEWDYVLRSMRKSKTSIIQDVEKSLYPTLEISYDNLPNKLYKDCFLYISLWPRGVGISNEDIIDFWIGLGLIHEFDNLREAYGH >DRNTG_29363.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1548551:1550490:1 gene:DRNTG_29363 transcript:DRNTG_29363.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDPQASLKAEDILNSWPQVDIGRILRDYGEESNWNYLSKMIVDARAKGGLHSTSELVDLVRKTSARSGGRQGWIKTATRVFQALRIAVNDELRTLEDALYACFDCLSCGGRLAVISFHSLEDRIVKRTFLNLVDKVVDDADIDRKEMNKEEVQDKKGHILTKRPITPYTG >DRNTG_29363.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1547890:1550490:1 gene:DRNTG_29363 transcript:DRNTG_29363.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDARAKGGLHSTSELVDLVRKTSARSGGRQGWIKTATRVFQALRIAVNDELRTLEDALYACFDCLSCGGRLAVISFHSLEDRIVKRTFLNLVDKVVDDADIDRKEMNKEEVQDKKGHILTKRPITPYTG >DRNTG_29363.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1550002:1550574:1 gene:DRNTG_29363 transcript:DRNTG_29363.8 gene_biotype:protein_coding transcript_biotype:protein_coding SAGRQGWIKTATRVFQALRIAVNDELRTLEDALYACFDCLSCGGRLAVISFHSLEDRIVKRTFLNLVDKVVDDADIDRKEMNKEEVQDKKGHILTKRPITPYTG >DRNTG_29363.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1547759:1549090:1 gene:DRNTG_29363 transcript:DRNTG_29363.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQMYLGLDIDSMAHAMARHRIEALLDGAPGLKAYTHVRNFKYIKSVLTDVDENLLNNGVDGILMDLGMSSMQVNNSERGFSVLADGPLDMRMDPQASLKAEDILNSWPQVDIGRILRDYGEESNWNYLSKMIVDARAKGGLHSTSELVDLVRKTSARSGGG >DRNTG_29363.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1547759:1548481:1 gene:DRNTG_29363 transcript:DRNTG_29363.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQMYLGLDIDSMAHAMARHRIEALLDGAPGLKAYTHVRNFKYIKSVLTDVDENLLNNGVDGILMDLGMSSMQ >DRNTG_29363.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1547890:1550490:1 gene:DRNTG_29363 transcript:DRNTG_29363.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMYLGLDIDSMAHAMARHRIEALLDGAPGLKAYTHVRNFKYIKSVLTDVDENLLNNGVDGILMDLGMSSMQVNNSERGFSVLADGPLDMRMDPQASLKAEDILNSWPQVDIGRILRDYGEESNWNYLSKMIVDARAKGGLHSTSELVDLVRKTSARSGGRQGWIKTATRVFQALRIAVNDELRTLEDALYACFDCLSCGGRLAVISFHSLEDRIVKRTFLNLVDKVVDDADIDRKEMNKEEVQDKKGHILTKRPITPYTG >DRNTG_29363.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1550002:1550490:1 gene:DRNTG_29363 transcript:DRNTG_29363.9 gene_biotype:protein_coding transcript_biotype:protein_coding SAGRQGWIKTATRVFQALRIAVNDELRTLEDALYACFDCLSCGGRLAVISFHSLEDRIVKRTFLNLVDKVVDDADIDRKEMNKEEVQDKKGHILTKRPITPYTG >DRNTG_29363.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1547759:1550490:1 gene:DRNTG_29363 transcript:DRNTG_29363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMYLGLDIDSMAHAMARHRIEALLDGAPGLKAYTHVRNFKYIKSVLTDVDENLLNNGVDGILMDLGMSSMQVNNSERGFSVLADGPLDMRMDPQASLKAEDILNSWPQVDIGRILRDYGEESNWNYLSKMIVDARAKGGLHSTSELVDLVRKTSARSGGRQGWIKTATRVFQALRIAVNDELRTLEDALYACFDCLSCGGRLAVISFHSLEDRIVKRTFLNLVDKVVDDADIDRKEMNKEEVQDKKGHILTKRPITPYTG >DRNTG_29363.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1550002:1550626:1 gene:DRNTG_29363 transcript:DRNTG_29363.7 gene_biotype:protein_coding transcript_biotype:protein_coding SAGRQGWIKTATRVFQALRIAVNDELRTLEDALYACFDCLSCGGRLAVISFHSLEDRIVKRTFLNLVDKVVDDADIDRKEMNKEEVQDKKGHILTKRPITPYTG >DRNTG_31581.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28264515:28268532:1 gene:DRNTG_31581 transcript:DRNTG_31581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNRIYRESLFGGSSARAGDFMDGDENLDLFSRRRRTHLISSPAESNGHGEMGKLDGLSVGSGKLRSGLDDLMASELGKHDYDWLLTPPGTPLFSSLDVSEPKITTTAAPKNKPNVRSVSTTKASRLSVSHTENGHPTRPARSVSAMRPSISTTHSSSYLSSNNRTSVLNTSSASVSSRPSTPGSRSTTVSSTRTSVPTSRPVPSRSSTPTRTRPSTPTRTRPAPASSGDKPKPAHSSRPSTPTSRPQIHTNSISNSSVPSSRSSTPTRRTPAATATTSITRSPSAGRAVNRPASPSIGRPSVTNKPSSRPSSPAPRARAPVHPITLPDFPLDAPPNLRTKLPERPASAGRTRPGMALTVRAATNTEPTPPMSSNRRSSLPIVTKGKFPENSPKGRLHSNGHEVTPADVQKAMASEAASRRTAKPVSTTESNGFGRTISKSSLDMALRHMDIRQHIGGIRGTSLFPHSIRSSPKSRTAQTSDTKVPVVDIRANTNSNGHGMGSEEHNGAMLENGDNMLRSPDGESFMTRANEPDPYGSYRYDTILLKEDSKNMNWLHSVEDKSDQSPEFDHRFEPLPEPFAPV >DRNTG_18634.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6373526:6373912:-1 gene:DRNTG_18634 transcript:DRNTG_18634.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGPRSRGRWNHTTTIHNSQKCAHENRTLTTR >DRNTG_18634.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6373603:6373912:-1 gene:DRNTG_18634 transcript:DRNTG_18634.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGPRSRGRWNHTTTIHNSQKCAHENRTLTTR >DRNTG_18634.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6373427:6373912:-1 gene:DRNTG_18634 transcript:DRNTG_18634.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGPRSRGRWNHTTTIHNSQKCAHENRTLTTR >DRNTG_02282.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:847708:860283:1 gene:DRNTG_02282 transcript:DRNTG_02282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTWSGSATLLPGNKPVIFYTGIDPQGRQVQNLAFPKDLADPYLREWTKPDYNPVIQPDASIEPSKFRDPTTAWFGLDGLWRIVIGSRRKMRGMAMLYRSRDFVRWVKAKHPLHSSKDTGMWECPDFFPVSLKGKRGLETSVSGPGVKHVLKVSLDMTRYEYYTMGTYYHMIDRYIPDNSSADDHTGLRYDYGNFYASKTFFDEAKQRRILWGWSNESDTVKDDIKKGWAGIQTIPRALWLDPSGKQLVQWPVEELETLRGKQVNLYDKELKSGDIYEVKGISSAQANVEVSF >DRNTG_02282.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:857669:860283:1 gene:DRNTG_02282 transcript:DRNTG_02282.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHNGIYHLFYQYNTNGSVWGNIVWAHSVSSDLINWIPLEPAIYPSKKFDINGTWSGSATLLPGNKPVIFYTGIDPQGRQVQNLAFPKDLADPYLREWTKPDYNPVIQPDASIEPSKFRDPTTAWFGLDGHWRVVIGSRRKMRGMAVLYRSRDFVKWVKAQHPLHSSKDTGMWECPDFFPVSLKGKKGLDTSVSGPGVKHVLKVSLDMTRYEYYTVGTYYHMIDRYIPDNSSADDHTGLRYDYGNFYASKTFFDEAKQRRILWGWSNESDTVKDDIKKGWAGIQTIPRALWLDPSGKQLVQWPVEELETLRGKQVNLYDKELKSGDIYEVKGISSAQANVEVSF >DRNTG_02282.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:859147:860283:1 gene:DRNTG_02282 transcript:DRNTG_02282.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLVFEISGLDKAEPFEEFWSDPQVLCGQKGAAVEGGVGPFGLLVLASGDREEQTAVLFRVFKAPNKHVVLMCHDPSKSSLRPGMYKPSFAGFVDVDINKTKKISLRSLIDHSVLESFGAEGKTCITSRVYPSKAIGQDAHLFVFNNGSEDVRITQLSAWEMTSPRMN >DRNTG_01778.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10785374:10790681:1 gene:DRNTG_01778 transcript:DRNTG_01778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKAARWRSEKNKIKSVFKFQFHATQVPELGWDAMVVSLVPVDVGRLTLRSEKGEVVNGVCQWGSTKAGSWGETTINLADYAEVFKPSSVSLPLKGSNNAVILHSSPYHLYSVVVAESRGSLGPDCQTRVQVTSKYKVLPVLLSSAVKYGFIVFASGAVNSCKLYFSMFRPGFEALHAYWASAWLVCGHLSEHWAWRAGFEA >DRNTG_17694.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28313205:28319690:1 gene:DRNTG_17694 transcript:DRNTG_17694.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSQKIVIPCQPEWSGKYIEVHTCPTMSITNLNMKSPTTKLGTRLIMQLQPSPIIRKAGAFPVLRDVQRRRRRHESRQKIYSAVEGAQDWQGDTPGEQGQEVLSAHGGPPRPIPLKQIRRSEENEDETREKDCKESKELERIRIHGGQREQESVRIWEG >DRNTG_33514.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:832097:835315:1 gene:DRNTG_33514 transcript:DRNTG_33514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLHACGELGNADEGRKDSRFLECYDFWLYAEWASRRCSPSLLQDVQQQECSARFIHIADVSMLLQAKWMHGHAIRLRLDQNIFVMTALVDMYAKCGGLSIVQKLFDGMDESHVTTCSAMADGYRSHGFGRDVTELHSGLVDGGKRYFESMKLDYGLEPAVAHYGTLVDLLGCAGRLNEAWKFIEKMLIKPSISVYGAMLGACKIHKNVELGEKAARRLFELEPEEGGYHVLLSTSTRRLGLGSGCILESYFLFRYHPCSNPLCVSKIGILLTYSESLDCLYDSVFWMTLTRSNLRPCTQWGPVPLDAADLSTGPVVGLGRDTLSN >DRNTG_32861.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32158372:32161626:1 gene:DRNTG_32861 transcript:DRNTG_32861.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCASKPSAQKIEISLQEKLVNTGDLIKMKKSDGDSCIKSRSSSGTFPATNSTTNAQSKQISASSCWKNTRARQEPGGVDPHDDFLDTPMELLRNSKKGEEEVQDLPNEMDLNNSDDETQDIKEEPLIHDHPQNASVLKPVTKDNFKFVEPVRKKTERDNLKGIECKQCKKFYDAVLPNNGDQCHDKAGGYNGTRCEHQEGVSRHRYRYAPPLTPEGFWNIGFDSEK >DRNTG_15345.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20771234:20773519:1 gene:DRNTG_15345 transcript:DRNTG_15345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPNPMNNSPNPSKHTAPRSPRCRPPSTHSDRCTHKPLSTVTRTRKREEL >DRNTG_15345.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20772789:20773519:1 gene:DRNTG_15345 transcript:DRNTG_15345.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPNPMNNSPNPSKHTAPRSPRCRPPSTHSDRCTHKPLSTVTRTRKREEL >DRNTG_07946.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1069220:1072163:-1 gene:DRNTG_07946 transcript:DRNTG_07946.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVILAKPLIPMVCAFTEARLTSSSFLSFPVSTPRIALLSMRMPLLKRRDKIGFFLKASNSEDNHSSNSSFSSPSSSKEVAFDIKLPRRSLLVQFTCNACGERTQRMVNRVAYERGTVFVQGMTDPRMVQVQLVLVD >DRNTG_07946.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1070542:1072163:-1 gene:DRNTG_07946 transcript:DRNTG_07946.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRMPLLKRRDKIGFFLKASNSEDNHSSNSSFSSPSSSKEVAFDIKLPRRSLLVQFTCNACGERTQRMVNRVAYERGTVFVQCAGCLVNHKLVDNLGLVVEYDLREEVDADSGETTID >DRNTG_07946.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1069220:1072163:-1 gene:DRNTG_07946 transcript:DRNTG_07946.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVILAKPLIPMVCAFTEARLTSSSFLSFPVSTPRIALLSMRMPLLKRRDKIGFFLKASNSEDNHSSNSSFSSPSSSKEVAFDIKLPRRSLLVQFTCNACGERTQRMVNRVAYERGTVFVQCAGCLVNHKLVDNLGLVVEYDLREEVDADSGETTID >DRNTG_07946.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1070542:1072163:-1 gene:DRNTG_07946 transcript:DRNTG_07946.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVILAKPLIPMVCAFTEARLTSSSFLSFPVSTPRIALLSMRMPLLKRRDKIGFFLKASNSEDNHSSNSSFSSPSSSKEVAFDIKLPRRSLLVQFTCNACGERTQRMVNRVAYERGTVFVQCAGCLVNHKLVDNLGLVVEYDLREEVDADSGETTID >DRNTG_07946.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1069220:1072163:-1 gene:DRNTG_07946 transcript:DRNTG_07946.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVILAKPLIPMVCAFTEARLTSSSFLSFPVSTPRIALLSMRMPLLKRRDKIGFFLKASNSEDNHSSNSSFSSPSSSKEVAFDIKLPRRSLLVQFTCNACGERTQRMVNRVAYERGTVFVQCAGCLVNHKLVDNLGLVVEYDLREEVDADSGETTID >DRNTG_07946.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1069220:1072320:-1 gene:DRNTG_07946 transcript:DRNTG_07946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERERERRREMDAVILAKPLIPMVCAFTEARLTSSSFLSFPVSTPRIALLSMRMPLLKRRDKIGFFLKASNSEDNHSSNSSFSSPSSSKEVAFDIKLPRRSLLVQFTCNACGERTQRMVNRVAYERGTVFVQCAGCLVNHKLVDNLGLVVEYDLREEVDADSGETTID >DRNTG_04879.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000230.1:15090:18862:-1 gene:DRNTG_04879 transcript:DRNTG_04879.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTDAIARYYGLEKGQVVKVTYDGELTGNHVSYRCVM >DRNTG_04879.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000230.1:15090:19782:-1 gene:DRNTG_04879 transcript:DRNTG_04879.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEGCLNSYVDRGSMESHRFFLARRTLLEMLFDRGYAVSVTQMAMTLSEFRETYGESPDLIAYASRLPSSRNPPTRFL >DRNTG_23060.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23078848:23082064:-1 gene:DRNTG_23060 transcript:DRNTG_23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAAVEALEGTTETTPVALVEKSINTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEVMREINGRFGTISFLTSEMSVNA >DRNTG_12917.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1417271:1419049:1 gene:DRNTG_12917 transcript:DRNTG_12917.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQKVVVVVEEAAAARTALQWAVRNYVRGGDSITLLYVCPAARSRKKQRNLRLRGFHLALSFKDLCNGIAEVRTPLILISKVGIDVKVGIFVTCGLDCRQKWRSL >DRNTG_12917.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1417271:1419049:1 gene:DRNTG_12917 transcript:DRNTG_12917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQKVVVVVEEAAAARTALQWAVRNYVRGGDSITLLYVCPAARSRKKQRNLRLRGFHLALSFKDLCNGIAEAKVEIIVTEGEQGASVLSLVNQIGASTLVVGLHEHSFLYRVNELILSENNLKCRVLAIKQHPKAHDVLINTEFSQIEIRRLHKRKSRNCSIRFLPLSLGMIWRRTKRRKKDDETY >DRNTG_32022.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27448805:27451538:1 gene:DRNTG_32022 transcript:DRNTG_32022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLREWTLKNASFEFLHDPLYTKVDNGNHDLVPAARTSGSSYRELFCFFEELEESWPILMIRKIELDKDLRPCMPTWFRPCRCTVVCRRPGSIKLGSRRDSDGFPSSSYST >DRNTG_21867.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19258475:19263688:-1 gene:DRNTG_21867 transcript:DRNTG_21867.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGSNPKRVRSGKGGDGSEKVVVAVKASKEISRAALVWALTHVVQPGDCITLLVVVPSHTSGRKILGFPIFAGDCASGHKRLQYGTTLDQKSDITDYCSQMMVQLHNVYDPAKVNIKIKIVSGSPCGAVAAESKRAQANWVVLDKQLKHEEKRCMDELQCNIVVMKRSQAKVLRLNLVGSSEAEPRTSCTLPAELDKPSGKTSRHSVDPSVSIRVPTATPNSSPDSGTPFTATEVGTSSVSSSDLGTSPFFVPPTKDVLKKQKAKALKEDRNLVVTSSDSDSGSISPSTTSEFQPWVTDILNVGCSSSKVEEISRGLDNTHISPSKTMLDKFSKLNKEARISSLSYRSDDLSGNVREAVSLSRNAPLGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLSDGQVVAVKQHRLAGSQGDPEFCSEVEVLSCAQHRNVVMLIGFCVEDKRRLLVYEYICNGSLDSHLYGRNREVLEWSSRQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDLGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLLELVTGRKAVDINRPKGQQCLTEWARPLLEECAYEELVDPRLGNRYSKQEVYWMLNAASLCIRRDPHVRPRMSQVLRILEGDMVVEPNYIASPRYEAGNRSARMLQDRHKQQQCSDPSLIEALENFSSKLSHETLQAAYRHREKARWISSEGL >DRNTG_05284.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16732135:16732724:1 gene:DRNTG_05284 transcript:DRNTG_05284.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCKDLENFPEKTQGRGLAPVDDLVTNARASVISTRPCFSLQGALFHPENTQGHASTPCQWARCGAL >DRNTG_28682.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001445.1:17988:18445:-1 gene:DRNTG_28682 transcript:DRNTG_28682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTRILVNYNGKRCEIIVLSCQTRSRSSLEKAHHLCAPSTIMLGGEAGACLCWNSMIQLFGHSCDN >DRNTG_03711.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000173.1:75371:79963:1 gene:DRNTG_03711 transcript:DRNTG_03711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVNTYLWSAVQEKLNSITSTKDLLDEVERALQDLMDNYVLVDQELVANRHGMQLTPQVQRWHDKVQLHERQDTMNQLKVAYNNRGCLLGSCSLNLWANYKISQSLIKLYKEINNLKTEHDAFKEITETQPPRAVLEIATSVTLVGNTIKLNLEKVRGYLVDDDVS >DRNTG_26459.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:482194:491531:-1 gene:DRNTG_26459 transcript:DRNTG_26459.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMADPNEDSNAFPDMDFADYSDCPDNLKISLDAFFAILNEKPDSSHTQSPGTESGFHQGMLTPGQFEPKNGFQVQDGMPKPTAVTEGFRELESSHWGALDCKNEVGMGCFPVPQGSCDDSDLFFSLSSGGKIQFSLQHDDSKNTHSFPSINSSQWFRSSLSDDALMDSDGGPSVNLPFDDQKLQNSRIICSALSESTGTDAKHTESNGDAVSSLSLLVDGETGQVLGVENQGGNISASSLIYSPMNTSEAAYGLYDDRENCQTFPEDTVTYADNGQRRTLAVQHPQHYLWRKETRICAQAETGHQLVSSRNLCHSRQTHQDTRQNSLSAEHNICLDATMKSESSTDSSPIASFRKLTSKSINKPDAFTSKQLVPSSKQRSFVKEDIEKLLLASYRKQDDVFKGGNCSAQNHCLRSSLVVDDEDDADADDDDVCILDDLRGPPHQFPPVPITPHPIIQRSGFGGPFYTASGPRRLRADDERLTYHIALQDLSQPKSEASPPDGVLAVPLLRHQRIALSWMVQKETTSLHCSGGILADDQGLGKTVSTIALILTQRPFLTRSCSVTEKEDSYEPLDLDVDEKDDISQLSVMKQPRKYNFEIPNGTVKKDNPVTVMGRPAAGTLVVCPTSVLRQWAEELQNKVTSKANLTFLVYHGSNRTKDPNELAKFDVVLTTYAIVSMEVPKQPHVDKDDDEKGKTANVMASESLNNKKRKKNSRDGNTMDSALLDSASRPLARVRWFRVILDEAQSIKNHRTQVARACWGLRAKRRWCLSGTPIQNAVDDLYSYFRFLRYDPYAVYKSFCSMIKMPITRNPANGYKKLQAVLKTIMLRRTKGTLLDGKPIISLPPKTVTLKKVDFSEEERAFYSALEAESQEQFKEYAAAGTVKQNYVNILLMLLRLRQACDHPVLVKGHDFSSSGKTSMEIAKELHRDKQAELLCCLEACLAICSICNDPPEDAVVTVCGHVFCNQCISEHLNGDDNVCPSANCKVHLSRTKVFSSAALKSALSGLPVSNICSNDSHHEVVNAPKQVEECLSSDSSKIKAALQILQSLPKCQSSSSNSPVISTGEPTGCLRNAYTAIPDGGSMGIVEKYMESDNNLTSQVTEKAIVFSQWTRMLDLLEVPLKDSCIQYRRLDGTMSVAAREKAVKDFNTRPEVTVMIMSLKAASLGLNMVAACHVLILDLWWNPTTEDQAIDRAHRIGQTRPVTVSRLTVKNTVEDRILDLQEKKREMVASAFGEDESGSRQTRLTVEDLEYLFAV >DRNTG_26459.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:482194:491531:-1 gene:DRNTG_26459 transcript:DRNTG_26459.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMADPNEDSNAFPDMDFADYSDCPDNLKISLDAFFAILNEKPDSSHTQSPGTESGFHQGMLTPGQFEPKNGFQVQDGMPKPTAVTEGFRELESSHWGALDCKNEVGMGCFPVPQGSCDDSDLFFSLSSGGKIQFSLQHDDSKNTHSFPSINSSQWFRSSLSDDALMDSDGGPSVNLPFDDQKLQNSRIICSALSESTGTGTVHSQNAVNGYNFQLSYQQGKEAKKVGFDALSHIDAKHTESNGDAVSSLSLLVDGETGQVLGVENQGGNISASSLIYSPMNTSEAAYGLYDDRENCQTFPEDTVTYADNGQRRTLAVQHPQHYLWRKETRICAQAETGHQLVSSRNLCHSRQTHQDTRQNSLSAEHNICLDATMKSESSTDSSPIASFRKLTSKSINKPDAFTSKQLVPSSKQRSFVKEDIEKLLLASYRKQDDVFKGGNCSAQNHCLRSSLVVDDEDDADADDDDVCILDDLRGPPHQFPPVPITPHPIIQRSGFGGPFYTASGPRRLRADDERLTYHIALQDLSQPKSEASPPDGVLAVPLLRHQRIALSWMVQKETTSLHCSGGILADDQGLGKTVSTIALILTQRPFLTRSCSVTEKEDSYEPLDLDVDEKDDISQLSVMKQPRKYNFEIPNGTVKKDNPVTVMGRPAAGTLVVCPTSVLRQWAEELQNKVTSKANLTFLVYHGSNRTKDPNELAKFDVVLTTYAIVSMEVPKQPHVDKDDDEKGKTANVMASESLNNKKRKKNSRDGNTMDSALLDSASRPLARVRWFRVILDEAQSIKNHRTQVARACWGLRAKRRWCLSGTPIQNAVDDLYSYFRFLRYDPYAVYKSFCSMIKMPITRNPANGYKKLQAVLKTIMLRRTKGTLLDGKPIISLPPKTVTLKKVDFSEEERAFYSALEAESQEQFKEYAAAGTVKQNYVNILLMLLRLRQACDHPVLVKGHDFSSSGKTSMEIAKELHRDKQAELLCCLEACLAICSICNDPPEDAVVTVCGHVFCNQCISEHLNGDDNVCPSANCKVHLSRTKVFSSAALKSALSGLPVSNICSNDSHHEVVNAPKQVEECLSSDSSKIKAALQILQSLPKCQSSSSNSPVISTGEPTGCLRNAYTAIPDGGSMGIVEKYMESDNNLTSQVTEKAIVFSQWTRMLDLLEVPLKDSCIQYRRLDGTMSVAAREKAVKDFNTRPEVTVMIMSLKAASLGLNMVAACHVLILDLWWNPTTEDQAIDRAHRIGQTRPVTVSRLTVKNTVEDRILDLQEKKREMVASAFGEDESGSRQTRLTVEDLEYLFAV >DRNTG_27004.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26855647:26873733:1 gene:DRNTG_27004 transcript:DRNTG_27004.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNYISFGYLFFLMFWIIGRQLVEKTRRRLWFPLKVYTTIVFVFTYSLSISSSFMMWLSKIITLYPDLGFNPEASLLENVWESLAVLIVMQLYSYERLQSRHNRTADSSDASELGILGFVRRFLIWHSDKILSAAVFYASISSISAFGFLYLVGLIICSTLPKASTVPSKFFLVYSGLLVACEYLFQMWGKLAYMFPGQKFYGLSLFLGLKSFDSGFWGLELGLRGKILVIVACTLQYNVFRWLEKMPNHLINEGKWEEPCQLFISAEYTSADTRGNATPVDSALVFSKQRGTTSKSWPSFSSVAFEVSDQMASLARGTGSSTSRYSFGYIWGSSKESHKWNKKRIIALRKERFEMQITTLKIYMRFWIENLFQLRGLEINMVALLVASFSALNVISMFYIMCLIACILLNRQVIRRLWPIFVFLFAVILVLEYFAIWKNLIPWIHGPSEVNVHCHDCWSSSSLHFRYCMKCWLGVIVDDPRIVVSYYLVFIFSSFKLRSDHLDGFSDSHTYQQMMSQRRNAQVWRDLSFETKSMWTFLDYLRLYSYCHLLDIVLALILITGTLEYDVLHLGYLGFALVFFRMRLEILKKKNQIFKFLRLYNFVVIVLSLAYQCPYLGDYSSGKCGTTDYIYEIIGFYKYDYGFRITSRSALVEIIIFLLVSMQSYIFCSREFDYVARYLEAEQIGAMVREQEKRAAWKTEQLLHIRKSEEQKRQRNLQVEKMKSEMLNLQSQLNSMNGVTNLGSTSPQNRGLRRRKNPAVAAETGKLQGKEDILDSEDQDLNTDSINSFGFALPDTQGRELISHVSYDILQSPKSAKSESSLAMDMKHLQDSLCEISELGEGDDTAYQSVEKDSKEKGKGKENPLVSAVQLIGDGVSQVQSLGNQAVTNIVSFLNIEHGESDSNGHSSAEDGVYDEIESQNKIGHGHFDRTTSVCSASGTTMPAASLQIGRIFRFIWSQMRSNNDVVCYCCFILVFLWNFSLLSMVYLGALFLYALCVNSGPTYLFWIIILIYTEFNILVQYLYQIIIQHCGLSINLKILQRLGFPDHKITASFVVSTLPLFLVYISTLLQSSITAKDGEWAPVTEFKLFGMRSPHKDDHVVTYSWGMRVWRFLSPVIEIIKIVSRGFTRYWMSLTQGSEAPPHFVQLSMKVDEWPDDGIQPERIESRINQLLRVVHEERCQVKIPNSCHSVSKVRIQSIEKSQESANVALAVLEVVYASPSVGCPAVEWYRSLTPAADVASEILKAKSMGLIEEIDFPYPIISVIGGGKREIDLYAYIFGADLAVFFLVAMFYQSVIKNNSKFLDVYQLEDQFPKEFVFILMILFFLIVLDRIIYLCSFAAGKLIFYIFNLILFTYSVTEYAWYMEPSHQRVGGFALRAIYLTKSVSLALQALQIQHGIPNKSTLYRQFLTSKVTQVNYLGFRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDINSSLFLVKCDAVLNRAGHKQGEKQTKTTKFCSGICLFFILICVIWAPMLMYSSGNPTNIANPIIDVTVHVDIKAAGGRLTLFQTTLCERFPWDKLDFREDLDRDHFLDTYNVNDIQLICCQADASAVWLVPPMVKNRFIKSLDYDMDIIFTWLFTRERPKGKEVVKYEITVEDVPSASTVQQVLNGSAKGFAIYNVYPRYFRVTGSGEVRRLEQTVSSVSGELVLNQESLPWWSFYDVNASDVVGCNELTGPMAIVVSEETPQGILGETLSKFSIWSLYLTFVLAVGRFIRLQCSDLRMRIPFENLPSCDRLIAICEDIYAARAEGELEVEEVLYWTLVKIYRSPHMLLEYTKPD >DRNTG_27004.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26868619:26873733:1 gene:DRNTG_27004 transcript:DRNTG_27004.7 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSGNPTNIANPIIDVTVHVDIKAAGGRLTLFQTTLCERFPWDKLDFREDLDRDHFLDTYNVNDIQLICCQADASAVWLVPPMVKNRFIKSLDYDMDIIFTWLFTRERPKGKEVVKYEITVEDVPSASTVQQVLNGSAKGFAIYNVYPRYFRVTGSGEVRRLEQTVSSVSGELVLNQESLPWWSFYDVNASDVVGCNELTGPMAIVVSEETPQGILGETLSKFSIWSLYLTFVLAVGRFIRLQCSDLRMRIPFENLPSCDRCVGSLFTLLTLL >DRNTG_27004.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26854894:26873733:1 gene:DRNTG_27004 transcript:DRNTG_27004.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSEWPELFSGISLLMYFFMLSSVRSDLEEMDSIISMQDNTLTERLIPSNHSFFIRESRSGVRHTNVLLRRSVFRTFSINFFTYGFPVLLCALSFWSFTFASICAFGLLAYVGYVLYAFPSLFRLHRLNGLLLIFILLWAASTYVFNVAFTFLNKKLRKDMEIWETIGFWHYPIPGFFLLAQFCLGVLVAVGNLVNNSVFLYFSDDDGRSRHDDHTVEDKEDTKVLVVATIAWGLRKCSRPITLGLIFLLAMKPGFIHAVYMCFFLIFLLSYSISRKMRQALILFCEAHFSILYILQLNLVTKILGHGGSLTMEILSQLGLSGNATFGNFMEIGVLLCFCAVQNHGFKMLFAFSAIIQHTPYPPFGFSILKAGLNKSVLLSVYASAGSRSSQSSKSSHEKLIATYLGKVGQKFLSTYRSYGTYIAFVTILLTVYLMVPNYISFGYLFFLMFWIIGRQLVEKTRRRLWFPLKVYTTIVFVFTYSLSISSSFMMWLSKIITLYPDLGFNPEASLLENVWESLAVLIVMQLYSYERLQSRHNRTADSSDASELGILGFVRRFLIWHSDKILSAAVFYASISSISAFGFLYLVGLIICSTLPKASTVPSKFFLVYSGLLVACEYLFQMWGKLAYMFPGQKFYGLSLFLGLKSFDSGFWGLELGLRGKILVIVACTLQYNVFRWLEKMPNHLINEGKWEEPCQLFISAEYTSADTRGNATPVDSALVFSKQRGTTSKSWPSFSSVAFEVSDQMASLARGTGSSTSRYSFGYIWGSSKESHKWNKKRIIALRKERFEMQITTLKIYMRFWIENLFQLRGLEINMVALLVASFSALNVISMFYIMCLIACILLNRQVIRRLWPIFVFLFAVILVLEYFAIWKNLIPWIHGPSEVNVHCHDCWSSSSLHFRYCMKCWLGVIVDDPRIVVSYYLVFIFSSFKLRSDHLDGFSDSHTYQQMMSQRRNAQVWRDLSFETKSMWTFLDYLRLYSYCHLLDIVLALILITGTLEYDVLHLGYLGFALVFFRMRLEILKKKNQIFKFLRLYNFVVIVLSLAYQCPYLGDYSSGKCGTTDYIYEIIGFYKYDYGFRITSRSALVEIIIFLLVSMQSYIFCSREFDYVARYLEAEQIGAMVREQEKRAAWKTEQLLHIRKSEEQKRQRNLQVEKMKSEMLNLQSQLNSMNGVTNLGSTSPQNRGLRRRKNPAVAAETGKLQGKEDILDSEDQDLNTDSINSFGFALPDTQGRELISHVSYDILQSPKSAKSESSLAMDMKHLQDSLCEISELGEGDDTAYQSVEKDSKEKGKGKENPLVSAVQLIGDGVSQVQSLGNQAVTNIVSFLNIEHGESDSNGHSSAEDGVYDEIESQNKIGHGHFDRTTSVCSASGTTMPAASLQIGRIFRFIWSQMRSNNDVVCYCCFILVFLWNFSLLSMVYLGALFLYALCVNSGPTYLFWIIILIYTEFNILVQYLYQIIIQHCGLSINLKILQRLGFPDHKITASFVVSTLPLFLVYISTLLQSSITAKDGEWAPVTEFKLFGMRSPHKDDHVVTYSWGMRVWRFLSPVIEIIKIVSRGFTRYWMSLTQGSEAPPHFVQLSMKVDEWPDDGIQPERIESRINQLLRVVHEERCQVKIPNSCHSVSKVRIQSIEKSQESANVALAVLEVVYASPSVGCPAVEWYRSLTPAADVASEILKAKSMGLIEEIDFPYPIISVIGGGKREIDLYAYIFGADLAVFFLVAMFYQSVIKNNSKFLDVYQLEDQFPKEFVFILMILFFLIVLDRIIYLCSFAAGKLIFYIFNLILFTYSVTEYAWYMEPSHQRVGGFALRAIYLTKSVSLALQALQIQHGIPNKSTLYRQFLTSKVTQVNYLGFRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDINSSLFLVKCDAVLNRAGHKQGEKQTKTTKFCSGICLFFILICVIWAPMLMYSSGNPTNIANPIIDVTVHVDIKAAGGRLTLFQTTLCERFPWDKLDFREDLDRDHFLDTYNVNDIQLICCQADASAVWLVPPMVKNRFIKSLDYDMDIIFTWLFTRERPKGKEVVKYEITVEDVPSASTVQQVLNGSAKGFAIYNVYPRYFRVTGSGEVRRLEQTVSSVSGELVLNQESLPWWSFYDVNASDVVGCNELTGPMAIVVSEETPQGILGETLSKFSIWSLYLTFVLAVGRFIRLQCSDLRMRIPFENLPSCDRLIAICEDIYAARAEGELEVEEVLYWTLVKIYRSPHMLLEYTKPD >DRNTG_27004.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26850822:26873733:1 gene:DRNTG_27004 transcript:DRNTG_27004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFLGRFLLPFLLLAASLHDWSLISLVNLLMFIAFQFTSSRQEFRFRRLYLLSRGVVVFSLLSIVAEVIFQILWCIEGEGWSMADARWAKLVGFVRVQPWGSTSVIYIVVIQLAVALVSVVEAFGSNLDRDSCWLNFSAAFDRIGSHLKVACCLFLPAMQLVVGISHPSWISLPFFICSCVGLVDWSLTSNFLGLFRWWRPLLSYACFSVLLLYVYQLPVQFPSAVLIIADFIGLFKVTNMSEWPELFSGISLLMYFFMLSSVRSDLEEMDSIISMQDNTLTERLIPSNHSFFIRESRSGVRHTNVLLRRSVFRTFSINFFTYGFPVLLCALSFWSFTFASICAFGLLAYVGYVLYAFPSLFRLHRLNGLLLIFILLWAASTYVFNVAFTFLNKKLRKDMEIWETIGFWHYPIPGFFLLAQFCLGVLVAVGNLVNNSVFLYFSDDDGRSRHDDHTVEDKEDTKVLVVATIAWGLRKCSRPITLGLIFLLAMKPGFIHAVYMCFFLIFLLSYSISRKMRQALILFCEAHFSILYILQLNLVTKILGHGGSLTMEILSQLGLSGNATFGNFMEIGVLLCFCAVQNHGFKMLFAFSAIIQHTPYPPFGFSILKAGLNKSVLLSVYASAGSRSSQSSKSSHEKLIATYLGKVGQKFLSTYRSYGTYIAFVTILLTVYLMVPNYISFGYLFFLMFWIIGRQLVEKTRRRLWFPLKVYTTIVFVFTYSLSISSSFMMWLSKIITLYPDLGFNPEASLLENVWESLAVLIVMQLYSYERLQSRHNRTADSSDASELGILGFVRRFLIWHSDKILSAAVFYASISSISAFGFLYLVGLIICSTLPKASTVPSKFFLVYSGLLVACEYLFQMWGKLAYMFPGQKFYGLSLFLGLKSFDSGFWGLELGLRGKILVIVACTLQYNVFRWLEKMPNHLINEGKWEEPCQLFISAEYTSADTRGNATPVDSALVFSKQRGTTSKSWPSFSSVAFEVSDQMASLARGTGSSTSRYSFGYIWGSSKESHKWNKKRIIALRKERFEMQITTLKIYMRFWIENLFQLRGLEINMVALLVASFSALNVISMFYIMCLIACILLNRQVIRRLWPIFVFLFAVILVLEYFAIWKNLIPWIHGPSEVNVHCHDCWSSSSLHFRYCMKCWLGVIVDDPRIVVSYYLVFIFSSFKLRSDHLDGFSDSHTYQQMMSQRRNAQVWRDLSFETKSMWTFLDYLRLYSYCHLLDIVLALILITGTLEYDVLHLGYLGFALVFFRMRLEILKKKNQIFKFLRLYNFVVIVLSLAYQCPYLGDYSSGKCGTTDYIYEIIGFYKYDYGFRITSRSALVEIIIFLLVSMQSYIFCSREFDYVARYLEAEQIGAMVREQEKRAAWKTEQLLHIRKSEEQKRQRNLQVEKMKSEMLNLQSQLNSMNGVTNLGSTSPQNRGLRRRKNPAVAAETGKLQGKEDILDSEDQDLNTDSINSFGFALPDTQGRELISHVSYDILQSPKSAKSESSLAMDMKHLQDSLCEISELGEGDDTAYQSVEKDSKEKGKGKENPLVSAVQLIGDGVSQVQSLGNQAVTNIVSFLNIEHGESDSNGHSSAEDGVYDEIESQNKIGHGHFDRTTSVCSASGTTMPAASLQIGRIFRFIWSQMRSNNDVVCYCCFILVFLWNFSLLSMVYLGALFLYALCVNSGPTYLFWIIILIYTEFNILVQYLYQIIIQHCGLSINLKILQRLGFPDHKITASFVVSTLPLFLVYISTLLQSSITAKDGEWAPVTEFKLFGMRSPHKDDHVVTYSWGMRVWRFLSPVIEIIKIVSRGFTRYWMSLTQGSEAPPHFVQLSMKVDEWPDDGIQPERIESRINQLLRVVHEERCQVKIPNSCHSVSKVRIQSIEKSQESANVALAVLEVVYASPSVGCPAVEWYRSLTPAADVASEILKAKSMGLIEEIDFPYPIISVIGGGKREIDLYAYIFGADLAVFFLVAMFYQSVIKNNSKFLDVYQLEDQFPKEFVFILMILFFLIVLDRIIYLCSFAAGKLIFYIFNLILFTYSVTEYAWYMEPSHQRVGGFALRAIYLTKSVSLALQALQIQHGIPNKSTLYRQFLTSKVTQVNYLGFRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDINSSLFLVKCDAVLNRAGHKQGEKQTKTTKFCSGICLFFILICVIWAPMLMYSSGNPTNIANPIIDVTVHVDIKAAGGRLTLFQTTLCERFPWDKLDFREDLDRDHFLDTYNVNDIQLICCQADASAVWLVPPMVKNRFIKSLDYDMDIIFTWLFTRERPKGKEVVKYEITVEDVPSASTVQQVLNGSAKGFAIYNVYPRYFRVTGSGEVRRLEQTVSSVSGELVLNQESLPWWSFYDVNASDVVGCNELTGPMAIVVSEETPQGILGETLSKFSIWSLYLTFVLAVGRFIRLQCSDLRMRIPFENLPSCDRLIAICEDIYAARAEGELEVEEVLYWTLVKIYRSPHMLLEYTKPD >DRNTG_27004.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26850822:26873733:1 gene:DRNTG_27004 transcript:DRNTG_27004.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRQALILFCEAHFSILYILQLNLVTKILGHGGSLTMEILSQLGLSGNATFGNFMEIGVLLCFCAVQNHGFKMLFAFSAIIQHTPYPPFGFSILKAGLNKSVLLSVYASAGSRSSQSSKSSHEKLIATYLGKVGQKFLSTYRSYGTYIAFVTILLTVYLMVPNYISFGYLFFLMFWIIGRQLVEKTRRRLWFPLKVYTTIVFVFTYSLSISSSFMMWLSKIITLYPDLGFNPEASLLENVWESLAVLIVMQLYSYERLQSRHNRTADSSDASELGILGFVRRFLIWHSDKILSAAVFYASISSISAFGFLYLVGLIICSTLPKASTVPSKFFLVYSGLLVACEYLFQMWGKLAYMFPGQKFYGLSLFLGLKSFDSGFWGLELGLRGKILVIVACTLQYNVFRWLEKMPNHLINEGKWEEPCQLFISAEYTSADTRGNATPVDSALVFSKQRGTTSKSWPSFSSVAFEVSDQMASLARGTGSSTSRYSFGYIWGSSKESHKWNKKRIIALRKERFEMQITTLKIYMRFWIENLFQLRGLEINMVALLVASFSALNVISMFYIMCLIACILLNRQVIRRLWPIFVFLFAVILVLEYFAIWKNLIPWIHGPSEVNVHCHDCWSSSSLHFRYCMKCWLGVIVDDPRIVVSYYLVFIFSSFKLRSDHLDGFSDSHTYQQMMSQRRNAQVWRDLSFETKSMWTFLDYLRLYSYCHLLDIVLALILITGTLEYDVLHLGYLGFALVFFRMRLEILKKKNQIFKFLRLYNFVVIVLSLAYQCPYLGDYSSGKCGTTDYIYEIIGFYKYDYGFRITSRSALVEIIIFLLVSMQSYIFCSREFDYVARYLEAEQIGAMVREQEKRAAWKTEQLLHIRKSEEQKRQRNLQVEKMKSEMLNLQSQLNSMNGVTNLGSTSPQNRGLRRRKNPAVAAETGKLQGKEDILDSEDQDLNTDSINSFGFALPDTQGRELISHVSYDILQSPKSAKSESSLAMDMKHLQDSLCEISELGEGDDTAYQSVEKDSKEKGKGKENPLVSAVQLIGDGVSQVQSLGNQAVTNIVSFLNIEHGESDSNGHSSAEDGVYDEIESQNKIGHGHFDRTTSVCSASGTTMPAASLQIGRIFRFIWSQMRSNNDVVCYCCFILVFLWNFSLLSMVYLGALFLYALCVNSGPTYLFWIIILIYTEFNILVQYLYQIIIQHCGLSINLKILQRLGFPDHKITASFVVSTLPLFLVYISTLLQSSITAKDGEWAPVTEFKLFGMRSPHKDDHVVTYSWGMRVWRFLSPVIEIIKIVSRGFTRYWMSLTQGSEAPPHFVQLSMKVDEWPDDGIQPERIESRINQLLRVVHEERCQVKIPNSCHSVSKVRIQSIEKSQESANVALAVLEVVYASPSVGCPAVEWYRSLTPAADVASEILKAKSMGLIEEIDFPYPIISVIGGGKREIDLYAYIFGADLAVFFLVAMFYQSVIKNNSKFLDVYQLEDQFPKEFVFILMILFFLIVLDRIIYLCSFAAGKLIFYIFNLILFTYSVTEYAWYMEPSHQRVGGFALRAIYLTKSVSLALQALQIQHGIPNKSTLYRQFLTSKVTQVNYLGFRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDINSSLFLVKCDAVLNRAGHKQGEKQTKTTKFCSGICLFFILICVIWAPMLMYSSGNPTNIANPIIDVTVHVDIKAAGGRLTLFQTTLCERFPWDKLDFREDLDRDHFLDTYNVNDIQLICCQADASAVWLVPPMVKNRFIKSLDYDMDIIFTWLFTRERPKGKEVVKYEITVEDVPSASTVQQVLNGSAKGFAIYNVYPRYFRVTGSGEVRRLEQTVSSVSGELVLNQESLPWWSFYDVNASDVVGCNELTGPMAIVVSEETPQGILGETLSKFSIWSLYLTFVLAVGRFIRLQCSDLRMRIPFENLPSCDRLIAICEDIYAARAEGELEVEEVLYWTLVKIYRSPHMLLEYTKPD >DRNTG_27004.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26869295:26873733:1 gene:DRNTG_27004 transcript:DRNTG_27004.8 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSGNPTNIANPIIDVTVHVDIKAAGGRLTLFQTTLCERFPWDKLDFREDLDRDHFLDTYNVNDIQLICCQADASAVWLVPPMVKNRFIKSLDYDMDIIFTWLFTRERPKGKEVVKYEITVEDVPSASTVQQVLNGSAKGFAIYNVYPRYFRVTGSGEVRRLEQTVSSVSGELVLNQESLPWWSFYDVNASDVVGCNELTGPMAIVVSEETPQGILGETLSKFSIWSLYLTFVLAVGRFIRLQCSDLRMRIPFENLPSCDRCVGSLFTLLTLL >DRNTG_27004.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26850822:26873733:1 gene:DRNTG_27004 transcript:DRNTG_27004.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVVGISHPSWISLPFFICSCVGLVDWSLTSNFLGLFRWWRPLLSYACFSVLLLYVYQLPVQFPSAVLIIADFIGLFKVTNMSEWPELFSGISLLMYFFMLSSVRSDLEEMDSIISMQDNTLTERLIPSNHSFFIRESRSGVRHTNVLLRRSVFRTFSINFFTYGFPVLLCALSFWSFTFASICAFGLLAYVGYVLYAFPSLFRLHRLNGLLLIFILLWAASTYVFNVAFTFLNKKLRKDMEIWETIGFWHYPIPGFFLLAQFCLGVLVAVGNLVNNSVFLYFSDDDGRSRHDDHTVEDKEDTKVLVVATIAWGLRKCSRPITLGLIFLLAMKPGFIHAVYMCFFLIFLLSYSISRKMRQALILFCEAHFSILYILQLNLVTKILGHGGSLTMEILSQLGLSGNATFGNFMEIGVLLCFCAVQNHGFKMLFAFSAIIQHTPYPPFGFSILKAGLNKSVLLSVYASAGSRSSQSSKSSHEKLIATYLGKVGQKFLSTYRSYGTYIAFVTILLTVYLMVPNYISFGYLFFLMFWIIGRQLVEKTRRRLWFPLKVYTTIVFVFTYSLSISSSFMMWLSKIITLYPDLGFNPEASLLENVWESLAVLIVMQLYSYERLQSRHNRTADSSDASELGILGFVRRFLIWHSDKILSAAVFYASISSISAFGFLYLVGLIICSTLPKASTVPSKFFLVYSGLLVACEYLFQMWGKLAYMFPGQKFYGLSLFLGLKSFDSGFWGLELGLRGKILVIVACTLQYNVFRWLEKMPNHLINEGKWEEPCQLFISAEYTSADTRGNATPVDSALVFSKQRGTTSKSWPSFSSVAFEVSDQMASLARGTGSSTSRYSFGYIWGSSKESHKWNKKRIIALRKERFEMQITTLKIYMRFWIENLFQLRGLEINMVALLVASFSALNVISMFYIMCLIACILLNRQVIRRLWPIFVFLFAVILVLEYFAIWKNLIPWIHGPSEVNVHCHDCWSSSSLHFRYCMKCWLGVIVDDPRIVVSYYLVFIFSSFKLRSDHLDGFSDSHTYQQMMSQRRNAQVWRDLSFETKSMWTFLDYLRLYSYCHLLDIVLALILITGTLEYDVLHLGYLGFALVFFRMRLEILKKKNQIFKFLRLYNFVVIVLSLAYQCPYLGDYSSGKCGTTDYIYEIIGFYKYDYGFRITSRSALVEIIIFLLVSMQSYIFCSREFDYVARYLEAEQIGAMVREQEKRAAWKTEQLLHIRKSEEQKRQRNLQVEKMKSEMLNLQSQLNSMNGVTNLGSTSPQNRGLRRRKNPAVAAETGKLQGKEDILDSEDQDLNTDSINSFGFALPDTQGRELISHVSYDILQSPKSAKSESSLAMDMKHLQDSLCEISELGEGDDTAYQSVEKDSKEKGKGKENPLVSAVQLIGDGVSQVQSLGNQAVTNIVSFLNIEHGESDSNGHSSAEDGVYDEIESQNKIGHGHFDRTTSVCSASGTTMPAASLQIGRIFRFIWSQMRSNNDVVCYCCFILVFLWNFSLLSMVYLGALFLYALCVNSGPTYLFWIIILIYTEFNILVQYLYQIIIQHCGLSINLKILQRLGFPDHKITASFVVSTLPLFLVYISTLLQSSITAKDGEWAPVTEFKLFGMRSPHKDDHVVTYSWGMRVWRFLSPVIEIIKIVSRGFTRYWMSLTQGSEAPPHFVQLSMKVDEWPDDGIQPERIESRINQLLRVVHEERCQVKIPNSCHSVSKVRIQSIEKSQESANVALAVLEVVYASPSVGCPAVEWYRSLTPAADVASEILKAKSMGLIEEIDFPYPIISVIGGGKREIDLYAYIFGADLAVFFLVAMFYQSVIKNNSKFLDVYQLEDQFPKEFVFILMILFFLIVLDRIIYLCSFAAGKLIFYIFNLILFTYSVTEYAWYMEPSHQRVGGFALRAIYLTKSVSLALQALQIQHGIPNKSTLYRQFLTSKVTQVNYLGFRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDINSSLFLVKCDAVLNRAGHKQGEKQTKTTKFCSGICLFFILICVIWAPMLMYSSGNPTNIANPIIDVTVHVDIKAAGGRLTLFQTTLCERFPWDKLDFREDLDRDHFLDTYNVNDIQLICCQADASAVWLVPPMVKNRFIKSLDYDMDIIFTWLFTRERPKGKEVVKYEITVEDVPSASTVQQVLNGSAKGFAIYNVYPRYFRVTGSGEVRRLEQTVSSVSGELVLNQESLPWWSFYDVNASDVVGCNELTGPMAIVVSEETPQGILGETLSKFSIWSLYLTFVLAVGRFIRLQCSDLRMRIPFENLPSCDRLIAICEDIYAARAEGELEVEEVLYWTLVKIYRSPHMLLEYTKPD >DRNTG_27004.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26850822:26873733:1 gene:DRNTG_27004 transcript:DRNTG_27004.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQALILFCEAHFSILYILQLNLVTKILGHGGSLTMEILSQLGLSGNATFGNFMEIGVLLCFCAVQNHGFKMLFAFSAIIQHTPYPPFGFSILKAGLNKSVLLSVYASAGSRSSQSSKSSHEKLIATYLGKVGQKFLSTYRSYGTYIAFVTILLTVYLMVPNYISFGYLFFLMFWIIGRQLVEKTRRRLWFPLKVYTTIVFVFTYSLSISSSFMMWLSKIITLYPDLGFNPEASLLENVWESLAVLIVMQLYSYERLQSRHNRTADSSDASELGILGFVRRFLIWHSDKILSAAVFYASISSISAFGFLYLVGLIICSTLPKASTVPSKFFLVYSGLLVACEYLFQMWGKLAYMFPGQKFYGLSLFLGLKSFDSGFWGLELGLRGKILVIVACTLQYNVFRWLEKMPNHLINEGKWEEPCQLFISAEYTSADTRGNATPVDSALVFSKQRGTTSKSWPSFSSVAFEVSDQMASLARGTGSSTSRYSFGYIWGSSKESHKWNKKRIIALRKERFEMQITTLKIYMRFWIENLFQLRGLEINMVALLVASFSALNVISMFYIMCLIACILLNRQVIRRLWPIFVFLFAVILVLEYFAIWKNLIPWIHGPSEVNVHCHDCWSSSSLHFRYCMKCWLGVIVDDPRIVVSYYLVFIFSSFKLRSDHLDGFSDSHTYQQMMSQRRNAQVWRDLSFETKSMWTFLDYLRLYSYCHLLDIVLALILITGTLEYDVLHLGYLGFALVFFRMRLEILKKKNQIFKFLRLYNFVVIVLSLAYQCPYLGDYSSGKCGTTDYIYEIIGFYKYDYGFRITSRSALVEIIIFLLVSMQSYIFCSREFDYVARYLEAEQIGAMVREQEKRAAWKTEQLLHIRKSEEQKRQRNLQVEKMKSEMLNLQSQLNSMNGVTNLGSTSPQNRGLRRRKNPAVAAETGKLQGKEDILDSEDQDLNTDSINSFGFALPDTQGRELISHVSYDILQSPKSAKSESSLAMDMKHLQDSLCEISELGEGDDTAYQSVEKDSKEKGKGKENPLVSAVQLIGDGVSQVQSLGNQAVTNIVSFLNIEHGESDSNGHSSAEDGVYDEIESQNKIGHGHFDRTTSVCSASGTTMPAASLQIGRIFRFIWSQMRSNNDVVCYCCFILVFLWNFSLLSMVYLGALFLYALCVNSGPTYLFWIIILIYTEFNILVQYLYQIIIQHCGLSINLKILQRLGFPDHKITASFVVSTLPLFLVYISTLLQSSITAKDGEWAPVTEFKLFGMRSPHKDDHVVTYSWGMRVWRFLSPVIEIIKIVSRGFTRYWMSLTQGSEAPPHFVQLSMKVDEWPDDGIQPERIESRINQLLRVVHEERCQVKIPNSCHSVSKVRIQSIEKSQESANVALAVLEVVYASPSVGCPAVEWYRSLTPAADVASEILKAKSMGLIEEIDFPYPIISVIGGGKREIDLYAYIFGADLAVFFLVAMFYQSVIKNNSKFLDVYQLEDQFPKEFVFILMILFFLIVLDRIIYLCSFAAGKLIFYIFNLILFTYSVTEYAWYMEPSHQRVGGFALRAIYLTKSVSLALQALQIQHGIPNKSTLYRQFLTSKVTQVNYLGFRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDINSSLFLVKCDAVLNRAGHKQGEKQTKTTKFCSGICLFFILICVIWAPMLMYSSGNPTNIANPIIDVTVHVDIKAAGGRLTLFQTTLCERFPWDKLDFREDLDRDHFLDTYNVNDIQLICCQADASAVWLVPPMVKNRFIKSLDYDMDIIFTWLFTRERPKGKEVVKYEITVEDVPSASTVQQVLNGSAKGFAIYNVYPRYFRVTGSGEVRRLEQTVSSVSGELVLNQESLPWWSFYDVNASDVVGCNELTGPMAIVVSEETPQGILGETLSKFSIWSLYLTFVLAVGRFIRLQCSDLRMRIPFENLPSCDRLIAICEDIYAARAEGELEVEEVLYWTLVKIYRSPHMLLEYTKPD >DRNTG_23262.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:259342:262785:-1 gene:DRNTG_23262 transcript:DRNTG_23262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILLHGTLHVTIFETNALFNSQKASVAPSKSFWKAPKITKGRLMKRLSWIRRASFCRPKMVESIEESVGLKETNKLYATIDLEKARLGRTRMITENESSNPGWCETFHIYCAHMASDVIFTVKTNNPVGASLIGRAYLPVLEILSGREDVERWLAICDEERKPLAGGAKVHVKVQFLDISRDAQWGTGLQNENYPGVPYTFFPQQRGCKVTLYQDAHVLDGFIPKIPLAGGKYYEPQRCWEDIFDAISNARYLIYITGWSVYTEITLVRDPRRPRPGGDVTLGELLKMKAQEGVRVLMLVWDDRTSVGLLKKDGLMGTHDEETANYFQDTGVHCVLCPRNPDDGSSVVQDLQISTMFTHHQKSVMVDSDIPGSYKMTRRIVSFIGGIDLCDGRYDTQAHSLFRTLCTTHRADFHQPNFEGSSIQKGGPREPWHDIHCRLEGPVAWDVVSNFEQRWRKQSGGKDELLLPLHEMADDDVIIPPSPVILPSDRESWNVQLFRSIDGGAAFGFPEKPEDAARVGLVSGKDHIIDRSIQDAYINAIRRARNFIYIENQYFLGSSYGWRGGDDIKVEDIGALHLIPKELSLKIASKIEAGERFSVYVVVPMWPEGVPESASVQAILDWQRRTMEMMYSDIADALRARGLEATNLDDYLVFFCLGNRELNKEGDGYAPREHPEPNSDYARAQQARRFMIYVHAKLMIVDDEYIIVGSANINQRSMDGARDTEIAIGAYQSAHLSSNTKAARGQIHGFREALWYEHLGVLDKAFLQPESLQCIRNMKRIAARNWELYAADAINRDLPGHLLRYPVVLGDDGQLKALPGMHFFPDTKAPVLGTKSDYLPPILTT >DRNTG_23262.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:259342:262297:-1 gene:DRNTG_23262 transcript:DRNTG_23262.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVESIEESVGLKETNKLYATIDLEKARLGRTRMITENESSNPGWCETFHIYCAHMASDVIFTVKTNNPVGASLIGRAYLPVLEILSGREDVERWLAICDEERKPLAGGAKVHVKVQFLDISRDAQWGTGLQNENYPGVPYTFFPQQRGCKVTLYQDAHVLDGFIPKIPLAGGKYYEPQRCWEDIFDAISNARYLIYITGWSVYTEITLVRDPRRPRPGGDVTLGELLKMKAQEGVRVLMLVWDDRTSVGLLKKDGLMGTHDEETANYFQDTGVHCVLCPRNPDDGSSVVQDLQISTMFTHHQKSVMVDSDIPGSYKMTRRIVSFIGGIDLCDGRYDTQAHSLFRTLCTTHRADFHQPNFEGSSIQKGGPREPWHDIHCRLEGPVAWDVVSNFEQRWRKQSGGKDELLLPLHEMADDDVIIPPSPVILPSDRESWNVQLFRSIDGGAAFGFPEKPEDAARVGLVSGKDHIIDRSIQDAYINAIRRARNFIYIENQYFLGSSYGWRGGDDIKVEDIGALHLIPKELSLKIASKIEAGERFSVYVVVPMWPEGVPESASVQAILDWQRRTMEMMYSDIADALRARGLEATNLDDYLVFFCLGNRELNKEGDGYAPREHPEPNSDYARAQQARRFMIYVHAKLMIVDDEYIIVGSANINQRSMDGARDTEIAIGAYQSAHLSSNTKAARGQIHGFREALWYEHLGVLDKAFLQPESLQCIRNMKRIAARNWELYAADAINRDLPGHLLRYPVVLGDDGQLKALPGMHFFPDTKAPVLGTKSDYLPPILTT >DRNTG_23831.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29486488:29490517:1 gene:DRNTG_23831 transcript:DRNTG_23831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPLLRRLPSITHPLSTASVHRRRCAAMAESPARYLTVAATPKASLKGVVFDMDGTLTVPVIDFPAMYRTVLGEDTYASLRSASPSGGIDILHHIETWAPAEQQRAYEIIAHFEQQGLDRLQIMPGALELCRFLDSKKIRRGLITRNVDAAVDLFHQRFGIMFAPALSREFRPYKPDPAPLLHICSTWGVSPNEVMMIGDSLRDDVVCGRRAGAFTCLLDETGRYESPDCYTDEQKPDFKVSSLEEVHPLLEDYFNLVPASQTA >DRNTG_23831.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29486488:29490517:1 gene:DRNTG_23831 transcript:DRNTG_23831.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPLLRRLPSITHPLSTASVHRRRCAAMAESPARYLTVAATPKASLKGVVFDMDGTLTVPVIDFPAMYRTVLGEDTYASLRSASPSGGIDILHHIETWAPAEQQRAYEIIAHFEQQGLDRLQIMPGALELCRFLDSKKIRRGLITRNVDAAVDLFHQRFGIMFAPALSREFRPYKPDPAPLLHICSTWGVSPNEVMMIGDSLRDDVVCGRRAGAFTCLLDETGRYESPDCYTDEQKPDFKVSSLEEVHPLLEDYFNLVPASQTA >DRNTG_33091.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:9897001:9919673:-1 gene:DRNTG_33091 transcript:DRNTG_33091.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVSSVQLPFSSNSGYKFWEDPSFIKWRKRDAHVPLQSHDSVEGCLRYWYERNKVDHVISSSAVWNDDAVLGALKSAASWVKELPFVRSLSGQWKFLLASSPANVPIDFYSNSFVDSVWETLPVPSNWQTHGFDCPIYTNVVYPFPLKPPHVPSDNPTGCYRTYFHIPNEWEGRRILLHFEAVDSAFLAWVNGVLVGYSQDSRLPAEFEITDCCHPCGSDKENILAVQVFRWSGGSYLEDQDHWWLSGIHRDVLLLAKQKVFITDYFFKSRFAENFLVAELEVEVKFDMIHVDSEDVDISRFAIEAALYDNAELPGYSKNEGVADSSSYSPVLLKPKSLWSGFGFPGYHLFGKLEMPKLWSCENPNLYTLVLTLKDESGKLLDCESCQVGMREISRSHKQILVNGQPVVIRGVNRHEHHPCTGKTNLEACMIKDLVLMKQNNINAVRNSHYPQHPRWYELCDLFGVYMIDEANIETHGFSLSSQFKHPTSEPSWATSMLDRVIGMVERDKNHACIISWSLGNESDYGPNHSALAGWIRGKDPSRLLHYEGGGSKTSSTDIVCPMYMRVWDMVKIANDPSETRPLILCEYSHAMGNSSGNIHEYWEAIDHTFGLQGGFIWEWVDQALLKEGTDGYKYWAYGGHFGDTPNDSNFCLNGLTWPDRTPHPALHEVKYVYQPIKTTLIESRIKITNALFFESTKGLEFRWHLMGDGCNLGSGVLNVPVIEPQSSYDIELDSCPWISLWASSSAIEIFVTIIAKMNHSTRWVKDGHIIASSQLCLPSRRNPGPHVIKIGDCRGLTSENISGILTINKENNWQIKVNNNTGTIESWEVEGHLLTCKGILPCFWRAPTDNDKGGESNSYASKWRACHLDKMSVHTTHCSIEQQTDHVVQVKTVYFIVTEDQNFLSKDKDTIDETETRSTVFFRVEVCYWFYDSGDVIAEYNVNPNSDLPPLPRIGVVFHVEESFDKVTWYGKGPFECYPDRKEAAHVGVYESSVADMHVPYIVPGESSGRADVRWVAFQDNDGYGLFASVYGSSPPMQMSASYYSTSELDRATHNKDLVKGNDIEVHLDHKHMGLGGDDSWSPCVHDQYLVPPVPYSFSIRLSPTRPSLSCQDIYISQLPP >DRNTG_33091.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:9897001:9913960:-1 gene:DRNTG_33091 transcript:DRNTG_33091.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIHVDSEDVDISRFAIEAALYDNAELPGYSKNEGVADSSSYSPVLLKPKSLWSGFGFPGYHLFGKLEMPKLWSCENPNLYTLVLTLKDESGKLLDCESCQVGMREISRSHKQILVNGQPVVIRGVNRHEHHPCTGKTNLEACMIKDLVLMKQNNINAVRNSHYPQHPRWYELCDLFGVYMIDEANIETHGFSLSSQFKHPTSEPSWATSMLDRVIGMVERDKNHACIISWSLGNESDYGPNHSALAGWIRGKDPSRLLHYEGGGSKTSSTDIVCPMYMRVWDMVKIANDPSETRPLILCEYSHAMGNSSGNIHEYWEAIDHTFGLQGGFIWEWVDQALLKEGTDGYKYWAYGGHFGDTPNDSNFCLNGLTWPDRTPHPALHEVKYVYQPIKTTLIESRIKITNALFFESTKGLEFRWHLMGDGCNLGSGVLNVPVIEPQSSYDIELDSCPWISLWASSSAIEIFVTIIAKMNHSTRWVKDGHIIASSQLCLPSRRNPGPHVIKIGDCRGLTSENISGILTINKENNWQIKVNNNTGTIESWEVEGHLLTCKGILPCFWRAPTDNDKGGESNSYASKWRACHLDKMSVHTTHCSIEQQTDHVVQVKTVYFIVTEDQNFLSKDKDTIDETETRSTVFFRVEVCYWFYDSGDVIAEYNVNPNSDLPPLPRIGVVFHVEESFDKVTWYGKGPFECYPDRKEAAHVGVYESSVADMHVPYIVPGESSGRADVRWVAFQDNDGYGLFASVYGSSPPMQMSASYYSTSELDRATHNKDLVKGNDIEVHLDHKHMGLGGDDSWSPCVHDQYLVPPVPYSFSIRLSPTRPSLSCQDIYISQLPP >DRNTG_33091.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:9848283:9893667:-1 gene:DRNTG_33091 transcript:DRNTG_33091.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLTSIVTILTIQYGKHCQAVPSNWQMHGFDYPHYTNIVYPFPLNPPHVPSNNPTGCYRTYFCIPNEWEGRRILLHFEAVDSAFLAWVNGVLVGYSQDSRLPAEFEITDCCHPCGSNKENILAVQVFRWSDGSYLEDQDHWWLSGIHRDVLLLAKPKVFIMDYFFKSSFTDNFHLAKLEVEVKFDMISVQSEEVDISSFTVEAVLYDNAELSSYGRSEDVADSSSYSPVRLKPESMSNGFGFPGYHLVGKLEMPKLWSCENPNLYTLVLILKDESGRLLDCESCQVGMREISRSHKQILVNGQPVVFRGVNRHEHHPRTGKTNLEACMIKDLVLMKQNNINAVRNSHYPQHPRWYELCDLFGVYMIDEANIETHGFSLSTQFKHPTSEPSWAASMLDRAIGMVERDKNHACIIFWSLGNESDYGPNHSSLAGWIREKDPSRLLHYEGGGSRTSSTDIVCPMYMRVWDMVTIANDPSETRPLILCEYSHAMGNSCGNIHEYWKAIDSTFGLQGGLIWEWVDQALLKEGTDGCKYWAYGGHFGDAPNDSNFCCHGLNWPDRTPHPALQEVKYVYQPIKTTLMDSRMKITNALFFESTQGLEFSWHLMGDGCSLGSGMLNIPLIEPQSSYDIELDSSPWDSLCASSSAMEIFVTITAKMRHSTRWVKDGHIIASTQLCLPSKRNPGPHVIKIVDCGGLTSENVSGILTTKNNNWQIKVNTNTGTIESWEVEGHLLTCKGILPCFWRAPTDNDKGGELNSYASKWWACHLDKMSVHTAHCSIERQTDLVVQVKTVYFIVPEDQDSLFKNKDAVDELETRSSISFKVEVCYWFYDTGDVIAEYNVNPNSDLPPIPRIGVVFYVEKSFDKVTWYGKGPFECYPDRKEAAHVGIYESSVADMHVPYIVPGESSGRADIRWVAFQDNDGYGLFASVYGSSPPMQMSASYYSTSELDRATHNKDLIKGNDIEVHLDHKHMGLGGDDSWSPAVHDQYLVPPVPYSFSIRLCPIRPSLSCQDIYISQLPP >DRNTG_33091.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:9897001:9919673:-1 gene:DRNTG_33091 transcript:DRNTG_33091.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSTSIVTVLLIQYGKHCQAVPSNWQTHGFDCPIYTNVVYPFPLKPPHVPSDNPTGCYRTYFHIPNEWEGRRILLHFEAVDSAFLAWVNGVLVGYSQDSRLPAEFEITDCCHPCGSDKENILAVQVFRWSGGSYLEDQDHWWLSGIHRDVLLLAKQKVFITDYFFKSRFAENFLVAELEVEVKFDMIHVDSEDVDISRFAIEAALYDNAELPGYSKNEGVADSSSYSPVLLKPKSLWSGFGFPGYHLFGKLEMPKLWSCENPNLYTLVLTLKDESGKLLDCESCQVGMREISRSHKQILVNGQPVVIRGVNRHEHHPCTGKTNLEACMIKDLVLMKQNNINAVRNSHYPQHPRWYELCDLFGVYMIDEANIETHGFSLSSQFKHPTSEPSWATSMLDRVIGMVERDKNHACIISWSLGNESDYGPNHSALAGWIRGKDPSRLLHYEGGGSKTSSTDIVCPMYMRVWDMVKIANDPSETRPLILCEYSHAMGNSSGNIHEYWEAIDHTFGLQGGFIWEWVDQALLKEGTDGYKYWAYGGHFGDTPNDSNFCLNGLTWPDRTPHPALHGHKRIIIFILITKLYMNKRMTMITFSLLIFCTAFLIMFYYFFRS >DRNTG_33091.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:9848283:9853757:-1 gene:DRNTG_33091 transcript:DRNTG_33091.9 gene_biotype:protein_coding transcript_biotype:protein_coding MYMRVWDMVTIANDPSETRPLILCEYSHAMGNSCGNIHEYWKAIDSTFGLQGGLIWEWVDQALLKEGTDGCKYWAYGGHFGDAPNDSNFCCHGLNWPDRTPHPALQEVKYVYQPIKTTLMDSRMKITNALFFESTQGLEFSWHLMGDGCSLGSGMLNIPLIEPQSSYDIELDSSPWDSLCASSSAMEIFVTITAKMRHSTRWVKDGHIIASTQLCLPSKRNPGPHVIKIVDCGGLTSENVSGILTTKNNNWQIKVNTNTGTIESWEVEGHLLTCKGILPCFWRAPTDNDKGGELNSYASKWWACHLDKMSVHTAHCSIERQTDLVVQVKTVYFIVPEDQDSLFKNKDAVDELETRSSISFKVEVCYWFYDTGDVIAEYNVNPNSDLPPIPRIGVVFYVEKSFDKVTWYGKGPFECYPDRKEAAHVGIYESSVADMHVPYIVPGESSGRADIRWVAFQDNDGYGLFASVYGSSPPMQMSASYYSTSELDRATHNKDLIKGNDIEVHLDHKHMGLGGDDSWSPAVHDQYLVPPVPYSFSIRLCPIRPSLSCQDIYISQLPP >DRNTG_33091.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:9897001:9904686:-1 gene:DRNTG_33091 transcript:DRNTG_33091.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIQVEVKFDMIHVDSEDVDISRFAIEAALYDNAELPGYSKNEGVADSSSYSPVLLKPKSLWSGFGFPGYHLFGKLEMPKLWSCENPNLYTLVLTLKDESGKLLDCESCQVGMREISRSHKQILVNGQPVVIRGVNRHEHHPCTGKTNLEACMIKDLVLMKQNNINAVRNSHYPQHPRWYELCDLFGVYMIDEANIETHGFSLSSQFKHPTSEPSWATSMLDRVIGMVERDKNHACIISWSLGNESDYGPNHSALAGWIRGKDPSRLLHYEGGGSKTSSTDIVCPMYMRVWDMVKIANDPSETRPLILCEYSHAMGNSSGNIHEYWEAIDHTFGLQGGFIWEWVDQALLKEGTDGYKYWAYGGHFGDTPNDSNFCLNGLTWPDRTPHPALHEVKYVYQPIKTTLIESRIKITNALFFESTKGLEFRWHLMGDGCNLGSGVLNVPVIEPQSSYDIELDSCPWISLWASSSAIEIFVTIIAKMNHSTRWVKDGHIIASSQLCLPSRRNPGPHVIKIGDCRGLTSENISGILTINKENNWQIKVNNNTGTIESWEVEGHLLTCKGILPCFWRAPTDNDKGGESNSYASKWRACHLDKMSVHTTHCSIEQQTDHVVQVKTVYFIVTEDQNFLSKDKDTIDETETRSTVFFRVEVCYWFYDSGDVIAEYNVNPNSDLPPLPRIGVVFHVEESFDKVTWYGKGPFECYPDRKEAAHVGVYESSVADMHVPYIVPGESSGRADVRWVAFQDNDGYGLFASVYGSSPPMQMSASYYSTSELDRATHNKDLVKGNDIEVHLDHKHMGLGGDDSWSPCVHDQYLVPPVPYSFSIRLSPTRPSLSCQDIYISQLPP >DRNTG_33091.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:9848283:9887720:-1 gene:DRNTG_33091 transcript:DRNTG_33091.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHGFDYPHYTNIVYPFPLNPPHVPSNNPTGCYRTYFCIPNEWEGRRILLHFEAVDSAFLAWVNGVLVGYSQDSRLPAEFEITDCCHPCGSNKENILAVQVFRWSDGSYLEDQDHWWLSGIHRDVLLLAKPKVFIMDYFFKSSFTDNFHLAKLEVEVKFDMISVQSEEVDISSFTVEAVLYDNAELSSYGRSEDVADSSSYSPVRLKPESMSNGFGFPGYHLVGKLEMPKLWSCENPNLYTLVLILKDESGRLLDCESCQVGMREISRSHKQILVNGQPVVFRGVNRHEHHPRTGKTNLEACMIKDLVLMKQNNINAVRNSHYPQHPRWYELCDLFGVYMIDEANIETHGFSLSTQFKHPTSEPSWAASMLDRAIGMVERDKNHACIIFWSLGNESDYGPNHSSLAGWIREKDPSRLLHYEGGGSRTSSTDIVCPMYMRVWDMVTIANDPSETRPLILCEYSHAMGNSCGNIHEYWKAIDSTFGLQGGLIWEWVDQALLKEGTDGCKYWAYGGHFGDAPNDSNFCCHGLNWPDRTPHPALQEVKYVYQPIKTTLMDSRMKITNALFFESTQGLEFSWHLMGDGCSLGSGMLNIPLIEPQSSYDIELDSSPWDSLCASSSAMEIFVTITAKMRHSTRWVKDGHIIASTQLCLPSKRNPGPHVIKIVDCGGLTSENVSGILTTKNNNWQIKVNTNTGTIESWEVEGHLLTCKGILPCFWRAPTDNDKGGELNSYASKWWACHLDKMSVHTAHCSIERQTDLVVQVKTVYFIVPEDQDSLFKNKDAVDELETRSSISFKVEVCYWFYDTGDVIAEYNVNPNSDLPPIPRIGVVFYVEKSFDKVTWYGKGPFECYPDRKEAAHVGIYESSVADMHVPYIVPGESSGRADIRWVAFQDNDGYGLFASVYGSSPPMQMSASYYSTSELDRATHNKDLIKGNDIEVHLDHKHMGLGGDDSWSPAVHDQYLVPPVPYSFSIRLCPIRPSLSCQDIYISQLPP >DRNTG_33091.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:9848283:9919673:-1 gene:DRNTG_33091 transcript:DRNTG_33091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSTSIVTVLLIQYGKHCQAVPSNWQMHGFDYPHYTNIVYPFPLNPPHVPSNNPTGCYRTYFCIPNEWEGRRILLHFEAVDSAFLAWVNGVLVGYSQDSRLPAEFEITDCCHPCGSNKENILAVQVFRWSDGSYLEDQDHWWLSGIHRDVLLLAKPKVFIMDYFFKSSFTDNFHLAKLEVEVKFDMISVQSEEVDISSFTVEAVLYDNAELSSYGRSEDVADSSSYSPVRLKPESMSNGFGFPGYHLVGKLEMPKLWSCENPNLYTLVLILKDESGRLLDCESCQVGMREISRSHKQILVNGQPVVFRGVNRHEHHPRTGKTNLEACMIKDLVLMKQNNINAVRNSHYPQHPRWYELCDLFGVYMIDEANIETHGFSLSTQFKHPTSEPSWAASMLDRAIGMVERDKNHACIIFWSLGNESDYGPNHSSLAGWIREKDPSRLLHYEGGGSRTSSTDIVCPMYMRVWDMVTIANDPSETRPLILCEYSHAMGNSCGNIHEYWKAIDSTFGLQGGLIWEWVDQALLKEGTDGCKYWAYGGHFGDAPNDSNFCCHGLNWPDRTPHPALQEVKYVYQPIKTTLMDSRMKITNALFFESTQGLEFSWHLMGDGCSLGSGMLNIPLIEPQSSYDIELDSSPWDSLCASSSAMEIFVTITAKMRHSTRWVKDGHIIASTQLCLPSKRNPGPHVIKIVDCGGLTSENVSGILTTKNNNWQIKVNTNTGTIESWEVEGHLLTCKGILPCFWRAPTDNDKGGELNSYASKWWACHLDKMSVHTAHCSIERQTDLVVQVKTVYFIVPEDQDSLFKNKDAVDELETRSSISFKVEVCYWFYDTGDVIAEYNVNPNSDLPPIPRIGVVFYVEKSFDKVTWYGKGPFECYPDRKEAAHVGIYESSVADMHVPYIVPGESSGRADIRWVAFQDNDGYGLFASVYGSSPPMQMSASYYSTSELDRATHNKDLIKGNDIEVHLDHKHMGLGGDDSWSPAVHDQYLVPPVPYSFSIRLCPIRPSLSCQDIYISQLPP >DRNTG_33091.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:9917658:9919673:-1 gene:DRNTG_33091 transcript:DRNTG_33091.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVSSVQLPFSSNSGYKFWEDPSFIKWRKRDAHVPLQSHDSVEGCLRYWYERNKVDHVISSSAVWNDDAVLGALKSAASWVKELPFVRSLSGQWKFLLASSPANVPIDFYSNSFVDSVWETLPGRFFQLTHSIVML >DRNTG_33091.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:9875732:9893667:-1 gene:DRNTG_33091 transcript:DRNTG_33091.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVPAVQLPFSSNSGYKVWEDPSFIKWRKRDAHVPLQSHDSVEGCLRYWYERNKVDYVISSSAVWNDDAVLGALESAASLVKDLHFVRSLSGQWKFLLASSPANVPIDFYSNNFDDSVWETLPVPSNWQMHGFDYPHYTNIVYPFPLNPPHVPSNNPTGCYRTYFCIPNEWEGRRILLHFEAVDSAFLAWVNGVLVGYSQDSRLPAEFEITDCCHPCGSNKENILAVQVFRWSDGSYLEDQDHWWLSGIHRDVLLLAKPKVFIMDYFFKSSFTDNFHLAKLEVMYNFFC >DRNTG_22590.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17462712:17466524:-1 gene:DRNTG_22590 transcript:DRNTG_22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNVHSVSQPSKLSESNHTITETNRSSMRVYQVWKGSNKFFCGGRLIFGPDVRSMALTVCLILVPIILFVVFVTPKLATEFSHRFASLIMGITVTFTTYVIFLLLFTSGRDPGIVPRSAHPPEPDDDAGSSTYSSDWMGSQHNSSSLPPTKDVLVNGIIVKVKYCHTCMLYRPPRCSHCSICNNCVDRFDHHCPWVGQCIGKRNYRFFFMFVSSTTVLCLFVFSFCWVNLKKTMNAYECNLWKAVMRSPVSGILIIYTFIAAWFVGGLTTFHMYLICTNQTTYENFRYRYDKKANPYNHGCARNIVEIFFSSIPKSKNNFRAIVKQNSTVFSSLSLGQVSTPAKTSVDLETGRKRQGVVEEELEDILSEIGSVRNLERCGTQPMHSSFGDRKVNWELNYDMQTVSTEFGMDYGYPNREKVQEYH >DRNTG_27705.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15482947:15484158:1 gene:DRNTG_27705 transcript:DRNTG_27705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRQRRRAQVYVVDLFASSMNGNVGGDLVTHAQARIHRIAGRVVRPSMKERSQCGLQCTDF >DRNTG_35363.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18207413:18209420:-1 gene:DRNTG_35363 transcript:DRNTG_35363.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTMMTTPFPNVLNPYQVSLQHPGHSVMSGSSNDIYNLVLGVLQEPSSLACEHGLHIDEIVKRVGLPTTKIMEIINYHVDSGHIYSTIDENHFKSAFNG >DRNTG_35363.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18210435:18210933:-1 gene:DRNTG_35363 transcript:DRNTG_35363.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGAGAGALFDGGGFMASQAPDPTSSPSKSRGGAQRLIPLTVKQICEAFDSSDDKYNLVVETSNVKLLGLVMNKVERVTDVSFTLDDGTGCIEISRW >DRNTG_35363.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18207413:18210933:-1 gene:DRNTG_35363 transcript:DRNTG_35363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGAGAGALFDGGGFMASQAPDPTSSPSKSRGGAQRLIPLTVKQICEAFDSSDDKYNLVVETSNVKLLGLVMNKVERVTDVSFTLDDGTGCIEISRWMSEIWDSNEMALIQNGMYVKVNGHLKGFQGKQCVVAFSVRPVINFNDIALHFLECIHAHMDITREKGGTPAQIHEYPMMTMMTTPFPNVLNPYQVSLQHPGHSVMSGSSNDIYNLVLGVLQEPSSLACEHGLHIDEIVKRVGLPTTKIMEIINYHVDSGHIYSTIDENHFKSAFNG >DRNTG_35363.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18210623:18210933:-1 gene:DRNTG_35363 transcript:DRNTG_35363.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGAGAGALFDGGGFMASQAPDPTSSPSKSRGGAQRLIPLTVKQICEAFDSSDDKYNLVVETSNV >DRNTG_23169.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001260.1:48848:52865:1 gene:DRNTG_23169 transcript:DRNTG_23169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPRVRSMNAGDIEARPVLVPAGNKARLVTRKPVSKPPPKVEAKKLKIPVPAVDSPPSSPALSPPALLRRHELLLHSNLSMNASCSSDASTESFCSRASTGRIGRMGFTGRRRQSVLRAEKIGAKPEKIVPDGVVMGSPEVSPGRRRCAWVTPNTDPCYVAFHDEEWGVPVHDDKKLFELLVFSSALSELTWPTILSKRQSFREVFMDFNPDAVAKLNEKKFIAPGSTASFLLSEPKLRAVIENSRQILKIAEDFGSFSNYCWSFVNNKPITSRFRYPRQVLVKSPKADVMSKDLVRRGFRCVGPTVVYSFMQAAGLTNDHLISCFRFTECAVGVTTSVIPAGVNVNEGDRVDIDQKVVLEKMDVCEDVAMCIDLQL >DRNTG_31101.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21256072:21256500:1 gene:DRNTG_31101 transcript:DRNTG_31101.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLFLLLLLSSSCMDACHGRLFIFETDHIQESNKSTMVMHVISENPKNKTTSIKVKKPMKTSSNAALVDMTNGTVSWRVPRTGKKKRDHHHHHPHPGFNVDYAVPRTHPPSHN >DRNTG_11223.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15074839:15079817:-1 gene:DRNTG_11223 transcript:DRNTG_11223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIPDSNPLKADFSPDFRILFSIFSPTWERATTRVLMGRVVRPSMKGRSQCGLQCTDVGGNLAKGPEGLKGFESFLSGIIIFFGQETARVREALPHHLEHKLEEGKKGENSSISQWGKQELG >DRNTG_29011.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22280659:22284112:-1 gene:DRNTG_29011 transcript:DRNTG_29011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKSKMARERNLEKNKGPKGSQLESNKKAMSIQCKVCMQTFICTTSEVKCREHAEAKHPKSDVYQCFPHLKA >DRNTG_09420.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21121015:21122165:-1 gene:DRNTG_09420 transcript:DRNTG_09420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTFNYICSLVSENLVAKPTNFAYNDGTILTVEDQVGVALRRLSSGESLFNVGISFQINPSTVSQVTWRFVEAMEEKAINHLRWPNSEAEMESIKSKFEKICGLPNCCGAIDTTHIMMCLPCVDSSNNVWIDLEKNHSMVLQAIVDPEMRFRDIVTGWPGSLDDNLVLHNSAFFKLCEKGLRLNGRKMELLSKGSQVREYIIGDSGFPLLPWLLTPYQEQNLSEFKAEFNKRNSAARIVVHRALARLKETWKIVQGEMWRPDKHRLPRIILVCCLLHNITIDLEDELQNQVPLSHQHDSSMYKQQFCDIIDNNGLILRDKLSQYLSGNLPP >DRNTG_09420.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21121161:21123864:-1 gene:DRNTG_09420 transcript:DRNTG_09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTKGSRKRRRVEKQAVSQTNNHGDDEPFDWWFHFSRRLTGPLALSKEPGRFESIFKISRMTFNYICSLVSENLVAKPTNFAYNDGTILTVEDQVGVALRRLSSGESLFNVGISFQINPSTVSQVTWRFVEAMEEKAINHLRWPNSEAEMESIKSKFEKICGLPNCCGAIDTTHIMMCLPCVDSSNNVWIDLEKNHSMVLQAIVDPEMRFRDIVTGWPGSLDDNLVLHNSAFFKLCEKGLRLNGRKMELLSKGSQVREYIIGDSGFPLLPWLLTPYQEQNLSEFKAEFNKRNSAARIVVHRALARLKETWKIVQGEMWRPDKHRLPRIILVCCLLHNITIDLEDELQNQVPLSHQHDSSMYKQQFCDIIDNNGLILRDKLSQYLSGNL >DRNTG_09420.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21121161:21122283:-1 gene:DRNTG_09420 transcript:DRNTG_09420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNFIYCWAGPLALSKEPGRFESIFKISRMTFNYICSLVSENLVAKPTNFAYNDGTILTVEDQVGVALRRLSSGESLFNVGISFQINPSTVSQVTWRFVEAMEEKAINHLRWPNSEAEMESIKSKFEKICGLPNCCGAIDTTHIMMCLPCVDSSNNVWIDLEKNHSMVLQAIVDPEMRFRDIVTGWPGSLDDNLVLHNSAFFKLCEKGLRLNGRKMELLSKGSQVREYIIGDSGFPLLPWLLTPYQEQNLSEFKAEFNKRNSAARIVVHRALARLKETWKIVQGEMWRPDKHRLPRIILVCCLLHNITIDLEDELQNQVPLSHQHDSSMYKQQFCDIIDNNGLILRDKLSQYLSGNL >DRNTG_09420.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21121161:21123864:-1 gene:DRNTG_09420 transcript:DRNTG_09420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAFQLLVFGSCLVLMLVYVCFMSIESSWWWFFLLKVLVVFMGHTKGSRKRRRVEKQAVSQTNNHGDDEPFDWWFHFSRRLTGPLALSKEPGRFESIFKISRMTFNYICSLVSENLVAKPTNFAYNDGTILTVEDQVGVALRRLSSGESLFNVGISFQINPSTVSQVTWRFVEAMEEKAINHLRWPNSEAEMESIKSKFEKICGLPNCCGAIDTTHIMMCLPCVDSSNNVWIDLEKNHSMVLQAIVDPEMRFRDIVTGWPGSLDDNLVLHNSAFFKLCEKGLRLNGRKMELLSKGSQVREYIIGDSGFPLLPWLLTPYQEQNLSEFKAEFNKRNSAARIVVHRALARLKETWKIVQGEMWRPDKHRLPRIILVCCLLHNITIDLEDELQNQVPLSHQHDSSMYKQQFCDIIDNNGLILRDKLSQYLSGNL >DRNTG_09420.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21121161:21122165:-1 gene:DRNTG_09420 transcript:DRNTG_09420.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTFNYICSLVSENLVAKPTNFAYNDGTILTVEDQVGVALRRLSSGESLFNVGISFQINPSTVSQVTWRFVEAMEEKAINHLRWPNSEAEMESIKSKFEKICGLPNCCGAIDTTHIMMCLPCVDSSNNVWIDLEKNHSMVLQAIVDPEMRFRDIVTGWPGSLDDNLVLHNSAFFKLCEKGLRLNGRKMELLSKGSQVREYIIGDSGFPLLPWLLTPYQEQNLSEFKAEFNKRNSAARIVVHRALARLKETWKIVQGEMWRPDKHRLPRIILVCCLLHNITIDLEDELQNQVPLSHQHDSSMYKQQFCDIIDNNGLILRDKLSQYLSGNL >DRNTG_09627.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21979187:21983106:1 gene:DRNTG_09627 transcript:DRNTG_09627.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRSQEGGQMETFLTSSHPVMIELNRLENKLRDKERELGVANNEIKALKMMELMKDKAMSEKSNDLLKSDEKLRTTEKQLEDKNLEIKKLRNEKKEALAAQFAAEAALRRVHATPKDAEAVPVEDVVAPLQYEIKLYKDEISVLKENNKVLDRVTKSKDMALVEAEKILCSTLEQALIVENMRNQNLELKRQIAILQEENKLSDKTNHQKVVEVQKLTQTIQELEESLLAASAATNTLCEYRCRVSQLNEEKKALERELAKTKVSANRVAAAVANEWKDETDKVMPAKQQLDERRFLQGEVQRLRDKLAVSERPVKAEAQVKVKDVAFCGSGITNLVLTKSIWHISSCNFTFRIEHSCG >DRNTG_09627.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21979187:21983106:1 gene:DRNTG_09627 transcript:DRNTG_09627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRSQEGGQMETFLTSSHPVMIELNRLENKLRDKERELGVANNEIKALKMMELMKDKAMSEKSNDLLKSDEKLRTTEKQLEDKNLEIKKLRNEKKEALAAQFAAEAALRRVHATPKDAEAVPVEDVVAPLQYEIKLYKDEISVLKENNKVLDRVTKSKDMALVEAEKILCSTLEQALIVENMRNQNLELKRQIAILQEENKLSDKTNHQKVVEVQKLTQTIQELEESLLAASAATNTLCEYRCRVSQLNEEKKALERELAKTKVSANRVAAAVANEWKDETDKVMPAKQQLDERRFLQGEVQRLRDKLAVSERPVKAEAQVKDRAQLRLENMEEGLKHVPNLPTKQAEGSNRVIRVISRSMGPNRRSVSQPRGSLIGNRIFTQQQANSAFEGADSTMNMKRANIPVMNHVTGKNMVRKTLSVPRSKFSDDYGKENTRVKANSACSDEEIIFKEVEVSGEVEANKCTDVNSQNTGTTETVSGFLYDRLQKEVICLRKSQELKEGELQAKDDEIKMLLRKVDALTKVIKADSKNMKRELASRGQELLVVKSDNIKQKNRSASNSKRSIKLPDVSPSSRGA >DRNTG_21331.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9096650:9097505:1 gene:DRNTG_21331 transcript:DRNTG_21331.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLAKPGTLSSKPEQHQSSTKLHLLSKPPSSFFFLFLFIPSSSSSSSF >DRNTG_15442.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1506581:1508055:1 gene:DRNTG_15442 transcript:DRNTG_15442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACLACHRVDSPTNSFRSHSASSSSSEGRCSTTMCCLNTASRASPEAGQRCKHIKGKPSPLDARRARYATPCP >DRNTG_04283.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9029122:9031949:1 gene:DRNTG_04283 transcript:DRNTG_04283.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGDAQVAYGGYSTSNRSSFPELVPASAKEETFVGANRRMDAIAPEPLARRQDERQPLSCTLNAVLLLPAYHAHAFLDAREALHYPARLHHPPTVATPPTVAAPPTTLGEDVTATLMQACQILMTKFPRLVARVEAVEGRSQSTSSSLQRNEPPRTNEPSEFDDDDIIGVAIPRRPHSKRLVKKRRTILPLSPPPADDETIATSSAADVIHRVLAVDDMAMTMEDIVDNVAVAAVEKIVYSLLNEIPDPVEPVGESAASKIETIPEEQEKAKGVSPIDTVAVDTVEKIVESVAVAVAMAASSPHRSQTQSHNSNNHVRMCLRLMLSSSSPHRSQTQSHNNNNHVRMFFVSKKKKWVGQSCLNKYEPELMRIFLNCRMDSTVVWKNDAVSTTRDKLYTLLEGKEMVTDDVMDAFVCIIQKSLSKVLYPYKKRASITRPLALFMSKQDDAHETTMAMIGDAVRNLHEVQIVILPIIMNGHFHVVVLDNDKQ >DRNTG_20716.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17923149:17926680:1 gene:DRNTG_20716 transcript:DRNTG_20716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESYGRMHSRNAHDYLSQLSYGIALTPISNPVIPKVLIAKKRNVSSSAPHIIVSASNAKELIEKLKVYRRRWVIHVERITRENSKANGSTVNVGLDLSKVVITKLKLDKDWKALLDRNAKGPAADKAKGKFSAEKVAAAGAPSLHEVD >DRNTG_13013.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26705125:26708485:1 gene:DRNTG_13013 transcript:DRNTG_13013.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14100) UniProtKB/Swiss-Prot;Acc:Q8LEF6] MPAMEAAVSLSNLSRSPSKFLRTTIHTSSRNASQHLGPLGKSRVLSLSVRHSCIEVKRVNYRPPGTQHNLLNDVSFSLPEKSFGLIFGRSGSGKTTLLQLLAGLSKPTSGSIYVQRYGTDGNANSSPESLSSERVGIVFQFPERYFLADTVLEEVTFGWPRQKADLAMRQRLALNLQYAINSAGLNTIPLDEDPHSLSGGYKRRLALAIQLVQKPDLLLLDEPLAGLDWKARADVVKLLKHLKKELTLLVVSHDLKELSDLVDRSWRMEMGGVLKEEPLPI >DRNTG_23272.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001262.1:29036:31948:-1 gene:DRNTG_23272 transcript:DRNTG_23272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQLRSSAGVERALLSILELSMVEVVLAAGNSLLWILSAVHSLSFDADDLFIVGEMIKGKHVVPRGDSKAEYVPEDKDSDSENDDDEDGEDQEAQDEDGENVSGEEGQGSEGAENEDPEANGEGGSDDDDDDEEDDDDEDEDDDDDDDDDDEDDEEEDDEDVPQPPTKKRK >DRNTG_17167.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23712545:23712843:-1 gene:DRNTG_17167 transcript:DRNTG_17167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAREVCWNFTTLGTVAPTHFLAETPVSFTGSIRQHGARRRPTLPVGDLLRKTSPRGRQALEEKIGRRS >DRNTG_31167.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9738100:9739421:-1 gene:DRNTG_31167 transcript:DRNTG_31167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYGYHDRSPSRKKNFSTSLRVSGALKHPKYAGVVNDIAFLIENDDSFRKTKLFKLFFFYQKKSRSDGPTSHLLKRTLPAVRPSLNYSVMQYLLNTKNKIPIEPVVVLNHFVAPGVA >DRNTG_01428.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000079.1:83547:88594:1 gene:DRNTG_01428 transcript:DRNTG_01428.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIQASLCWPNVRVERRIPPPSHYASLHGAFSCGSSTLNFQHHKPLRVLHSVPLYRRGSPVCVFGGKGDTEGDKEAFSWDSLKKAMEGFNKEPSVQDLLREQMQKQEFGGGGDGGDIPRGDGDGDGDGDGDSEDEGFAGIWDEVVQVFLATMAFIFVYIYMIRGAELIRLGRDYIKYVLGGKASYRLERAMRQWQKLYKSMVRTEVVTEDWLERAIVTTPTWYYKPEELYRRMSSED >DRNTG_01428.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000079.1:83547:88594:1 gene:DRNTG_01428 transcript:DRNTG_01428.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIQASLCWPNVRVERRIPPPSHYASLHGAFSCGSSTLNFQHHKPLRVLHSVPLYRRGSPVCVFGGKGDTEGDKEAFSWDSLKKAMEGFNKEPSVQDLLREQMQKQEFGGGGDGGDIPRGDGDGDGDGDGDSEDEGFAGIWDEVVQVFLATMAFIFVYIYMIRGAELIRLGRDYIKYVLGGKASYRLERAMRQWQKLYKSMVRTEVVTEDWLERAIVTTPTWYYKPEELYRRMSSED >DRNTG_01161.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28997714:29009397:-1 gene:DRNTG_01161 transcript:DRNTG_01161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYLGFAIRFMVLARCLDGVTSAARCDLGGRPESQPLPRLSQAFVHSCLFQALTAHLLSSPKPLLIATLLLPSRRRLPKSSLNRSIKALSSSQFDIMKNKNASIADATKEKLNQPPKFLKWDRTSRNSDQWQPTHDFIGNKASNVRRHYVEFKDGNFREHYEKLLRHDKRLYMLSQSCNFQSSQYFQPLHLMQQQPSNNVNIYKYHHQMLQNAPFQASSHAYLDPFLTPCEARPFNSFIPDARVRQRYGFDPFFTIPDISDAVGPVLPIMEGNAYHYQNSQTSNPNDSEKHKSSHTTMAIFNDLPADQGVSYENSSLGGHAPNPDTNEGASYESSSLGGHANPKNSSLGGNANPNFSDWYANQEAMYENRSMGGYANPTFSDLYANQGVSYENPNPNANIPAGTNYESSSLGGHANPNPPANQGAINHES >DRNTG_33464.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18606978:18609186:1 gene:DRNTG_33464 transcript:DRNTG_33464.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSFFNLSATLSASNPLLPFSEAKYCTVIKAFSPETKLAAKISIPSSWSTRRILENTKKPPRKLRRNTDNPEPMS >DRNTG_09336.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:702042:704776:-1 gene:DRNTG_09336 transcript:DRNTG_09336.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galactinol--sucrose galactosyltransferase 5 [Source:Projected from Arabidopsis thaliana (AT5G40390) UniProtKB/Swiss-Prot;Acc:Q9FND9] MTSSTFAPRVAPPPSMARSSTASCTCTLEMIPYVLVRDAMKVVRSHLGTFRLLEEKTPPAIVDKFGWCTWDAFYLNVHPEGVLQGVNGLVEGGCPPGLVLIDDGWQSICHDDDPITDQEGMNRTSAGEQMPCRLIKFQENYKFRDYESQRNGKSGMGAFVSDLKEDFKSIDHVYVWHALCGYWGGIRPQVPSLPESKIIAPKLSPGLEMTMEDLAVDKIVNNGVGLVPPDRVHEMYQGLHSHLQSVGIDGVKVDVIHLLEMLCQEYGGRVELAKVYYKALSDSVRKHFKGNGVIASMEHCNDFMFLGTEAISLGRVGDDFWCTDPSGDPNGTFWLQGCHMVHCAYNSLWMGNFIQPDWDMFQSTHPCAAFHAASRAISGGPIYVSDSVGQHDFNLLKSLVLPDGTILRCEYFALPTRDCLFEDPLHDGKTMLNIWNLNKFTGVLGTFNCQGGGWSRKDRKNICFSECSHSLTSTARPNDIEWQNGKTPFQTDGVELFAVYLFKAKKLVFLKPDESLEVSLDSFDFELLTVSPVKTLSAKKTIQFAPIGLVNMLNTGCAIQECKFSENGGNEVVAEVAVKGTGEMKVFSSEKPMTCRINGETVPFDYEDKLVSIQVPWSGSSSKPSVIEYSFYQ >DRNTG_20296.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5320598:5321171:-1 gene:DRNTG_20296 transcript:DRNTG_20296.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKLRLYAPDGALLRSLTSTNITVILGVPNSDLPTLISFTSSASS >DRNTG_23016.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3170428:3173774:-1 gene:DRNTG_23016 transcript:DRNTG_23016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRALFKLAHGFTRTLISSPLPRPNSIFPLVSIPKSFFSSESQPPPSKPTSLAEARQSGTLVEDVSNEELNRRIQLYFNGDEEALPSIMEAILARKLSGKHEDTDEELVEELQMRPLENVKDREFESDFEEAHETDEDIPDLYNARDYVQNRMANDEFFNMDDEKWNDMIREATEKGFLKDTKECEDILEDMLSWDKLLPDEIKQKVEAKFNELGDMCERGELEPEQAYELFKEFEDKVVAECAELTAHELPEEDISESSKNVRLDDPPGEGPILRWESRVVFAPGGDAWHPKNRKVKLSVTVKELGLSRAAFRRLRELVGKRYNSGKDELTITSERFEHREENRKDCLRTLYALIEEASKATKLVEETRNAYVKNRLKSNPQFMERLKAKTQKMQGQSTST >DRNTG_19252.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:307847:309628:-1 gene:DRNTG_19252 transcript:DRNTG_19252.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGFFSSSATGYSKGLSLLLLGQKNEERPMRVLPWNQYQLVDQEVDSDLQLASRKKPAARGCTFFLCFGQASPELDRPSPPKDSPVKQSETSPISSLASENSKIGANVIVDVDETKICRKSSLKKHSRECSVTIVVAENACNSVEDVQDSEVCGAEKRKVHWTDACGRELAEVREFEASEGHLSDEEFDDEGERRCQCVIQ >DRNTG_19252.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:307804:309628:-1 gene:DRNTG_19252 transcript:DRNTG_19252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGFFSSSATGYSKGLSLLLLGQKNEERPMRVLPWNQYQLVDQEVDSDLQLASRKKPAARGCTFFLCFGQASPELDRPSPPKDSPVKQSETSPISSLASENSKIGANVIVDVDETKICRKSSLKKHSRECSVTIVVAENACNSVEDVQDSEVCGAEKRKVHWTDACGRELAEVREFEASEGHLSDEEFDDEGERRCQCVIQ >DRNTG_08321.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000377.1:26664:27701:1 gene:DRNTG_08321 transcript:DRNTG_08321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTISSFLIAALLAAAMQPSSSSRELNKKLRYSEESTTPDSQGWPGSAYIPDPRSFYKPGNNLGGNYGGGYGGGYGGPNGGYNKGGGGGYGGGYGGPNGGYSKGGVVIPSVVCSEPGPCYKKRLACPAKCFSYYSRSGRNYGGGGGGGGCTIDCEKNCVAYC >DRNTG_07353.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000333.1:33493:37583:-1 gene:DRNTG_07353 transcript:DRNTG_07353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSISLGSGASGDSWAPPCETSGSPDIVTPTIQGGKTIPTFHGTN >DRNTG_10434.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22789943:22790600:-1 gene:DRNTG_10434 transcript:DRNTG_10434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGPVGSGKSSLLLAILGEIPKTSGLVEVFGSIAYVSQTSWIQSGTIRDNVLFGKAMDNTKYQMTIKACALDKDIDSFEYGDLTEIGQRGLNLSGGQKQRIQLARAVYSDADIYLLDDPFSAVDSHTASYLFEKCINGALEKKTVILVTHQIDFLTETNKIMVMCLS >DRNTG_29915.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:24795:30529:-1 gene:DRNTG_29915 transcript:DRNTG_29915.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAGFSGLTPSAGYTNPGRKNSRSLARSASRYPKSTRKVQAENHRTKCLGFPGTQKHTQFQVRKSTSG >DRNTG_20000.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001083.1:6274:7366:-1 gene:DRNTG_20000 transcript:DRNTG_20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAAGENRGGLLRSSGFQGTGMDRAAGENCGGLFRSSGFQGLPMEGVKFGSETQNNIGGNRRALRDIKNLIGAPPYPCAINKNKVAVDDNKNSNLNVGGVARRPMT >DRNTG_19770.11 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001055.1:46253:47598:-1 gene:DRNTG_19770 transcript:DRNTG_19770.11 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGMWRFNHSLDACVDKILGRDWDRMKLGLAGIIMKRHMYNPSRVKLLSKHMYPEEVFNDLNPDRAFTLWRFRNMYVEGNDVQKFQYSKVENYKNSITKEQPKQIISSQGSDMITDPMDCIFGDLENGKEISHSNNVSKQSRRRIRGHKRARRHYHHREANQM >DRNTG_19770.10 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001055.1:46129:47518:-1 gene:DRNTG_19770 transcript:DRNTG_19770.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGMWRFNHSLDACVDKILGRDWDRMKLGLAGIIMKRHMYNPSRVKLLSKHMYPEEVFNDLNPDRAFTLWRFRNMYVEGNDVQKFQYSKVENYKNSITKEQPKQIISSQGSDMITDPMDCIFGDLENGKEISHSNNVSKQSRRRIRGHKRARRHYHHREANQM >DRNTG_19770.13 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001055.1:46306:47598:-1 gene:DRNTG_19770 transcript:DRNTG_19770.13 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGMWRFNHSLDACVDKILGRDWDRMKLGLAGIIMKRHMYNPSRVKLLSKHMYPEEVFNDLNPDRAFTLWRFRNMYVEGNDVQKFQYSKVENYKNSITKEQPKQIISSQGSDMITDPMDCIFGDLENGKEISHSNNVSKQSRRRIRGHKRARRHYHHREANQM >DRNTG_19770.9 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001055.1:46129:47650:-1 gene:DRNTG_19770 transcript:DRNTG_19770.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGMWRFNHSLDACVDKILGRDWDRMKLGLAGIIMKRHMYNPSRVKLLSKHMYPEEVFNDLNPDRAFTLWRFRNMYVEGNDVQKFQYSKVENYKNSITKEQPKQIISSQGSDMITDPMDCIFGDLENGKEISHSNNVSKQSRRRIRGHKRARRHYHHREANQM >DRNTG_19770.15 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001055.1:46253:47518:-1 gene:DRNTG_19770 transcript:DRNTG_19770.15 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGMWRFNHSLDACVDKILGRDWDRMKLGLAGIIMKRHMYNPSRVKLLSKHMYPEEVFNDLNPDRAFTLWRFRNMYVEGNDVQKFQYSKVENYKNSITKEQPKQIISSQGSDMITDPMDCIFGDLENGKEISHSNNVSKQSRRRIRGHKRARRHYHHREANQM >DRNTG_19770.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001055.1:46253:47910:-1 gene:DRNTG_19770 transcript:DRNTG_19770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGMWRFNHSLDACVDKILGRDWDRMKLGLAGIIMKRHMYNPSRVKLLSKHMYPEEVFNDLNPDRAFTLWRFRNMYVEGNDVQKFQYSKVENYKNSITKEQPKQIISSQGSDMITDPMDCIFGDLENGKEISHSNNVSKQSRRRIRGHKRARRHYHHREANQM >DRNTG_19770.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001055.1:45970:47910:-1 gene:DRNTG_19770 transcript:DRNTG_19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGMWRFNHSLDACVDKILGRDWDRMKLGLAGIIMKRHMYNPSRVKLLSKHMYPEEVFNDLNPDRAFTLWRFRNMYVEGNDVQKFQYSKVENYKNSITKEQPKQIISSQGSDMITDPMDCIFGDLENGKEISHSNNVSKQSRRRIRGHKRARRHYHHREANQM >DRNTG_19770.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001055.1:45970:47518:-1 gene:DRNTG_19770 transcript:DRNTG_19770.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGMWRFNHSLDACVDKILGRDWDRMKLGLAGIIMKRHMYNPSRVKLLSKHMYPEEVFNDLNPDRAFTLWRFRNMYVEGNDVQKFQYSKVENYKNSITKEQPKQIISSQGSDMITDPMDCIFGDLENGKEISHSNNVSKQSRRRIRGHKRARRHYHHREANQM >DRNTG_19770.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001055.1:45970:47650:-1 gene:DRNTG_19770 transcript:DRNTG_19770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGMWRFNHSLDACVDKILGRDWDRMKLGLAGIIMKRHMYNPSRVKLLSKHMYPEEVFNDLNPDRAFTLWRFRNMYVEGNDVQKFQYSKVENYKNSITKEQPKQIISSQGSDMITDPMDCIFGDLENGKEISHSNNVSKQSRRRIRGHKRARRHYHHREANQM >DRNTG_19770.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001055.1:46306:47910:-1 gene:DRNTG_19770 transcript:DRNTG_19770.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGMWRFNHSLDACVDKILGRDWDRMKLGLAGIIMKRHMYNPSRVKLLSKHMYPEEVFNDLNPDRAFTLWRFRNMYVEGNDVQKFQYSKVENYKNSITKEQPKQIISSQGSDMITDPMDCIFGDLENGKEISHSNNVSKQSRRRIRGHKRARRHYHHREANQM >DRNTG_19770.17 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001055.1:46363:47518:-1 gene:DRNTG_19770 transcript:DRNTG_19770.17 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGMWRFNHSLDACVDKILGRDWDRMKLGLAGIIMKRHMYNPSRVKLLSKHMYPEEVFNDLNPDRAFTLWRFRNMYVEGNDVQKFQYSKVENYKNSITKEQPKQIISSQGSDMITDPMDCIFGDLENGKEISHSNNVSKQSRRRIRGHKRARRHYHHREANQM >DRNTG_19770.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001055.1:45970:47598:-1 gene:DRNTG_19770 transcript:DRNTG_19770.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGMWRFNHSLDACVDKILGRDWDRMKLGLAGIIMKRHMYNPSRVKLLSKHMYPEEVFNDLNPDRAFTLWRFRNMYVEGNDVQKFQYSKVENYKNSITKEQPKQIISSQGSDMITDPMDCIFGDLENGKEISHSNNVSKQSRRRIRGHKRARRHYHHREANQM >DRNTG_19770.16 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001055.1:46306:47518:-1 gene:DRNTG_19770 transcript:DRNTG_19770.16 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGMWRFNHSLDACVDKILGRDWDRMKLGLAGIIMKRHMYNPSRVKLLSKHMYPEEVFNDLNPDRAFTLWRFRNMYVEGNDVQKFQYSKVENYKNSITKEQPKQIISSQGSDMITDPMDCIFGDLENGKEISHSNNVSKQSRRRIRGHKRARRHYHHREANQM >DRNTG_19770.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001055.1:46363:47910:-1 gene:DRNTG_19770 transcript:DRNTG_19770.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGMWRFNHSLDACVDKILGRDWDRMKLGLAGIIMKRHMYNPSRVKLLSKHMYPEEVFNDLNPDRAFTLWRFRNMYVEGNDVQKFQYSKVENYKNSITKEQPKQIISSQGSDMITDPMDCIFGDLENGKEISHSNNVSKQSRRRIRGHKRARRHYHHREANQM >DRNTG_19770.12 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001055.1:46306:47650:-1 gene:DRNTG_19770 transcript:DRNTG_19770.12 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGMWRFNHSLDACVDKILGRDWDRMKLGLAGIIMKRHMYNPSRVKLLSKHMYPEEVFNDLNPDRAFTLWRFRNMYVEGNDVQKFQYSKVENYKNSITKEQPKQIISSQGSDMITDPMDCIFGDLENGKEISHSNNVSKQSRRRIRGHKRARRHYHHREANQM >DRNTG_19770.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001055.1:46129:47910:-1 gene:DRNTG_19770 transcript:DRNTG_19770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGMWRFNHSLDACVDKILGRDWDRMKLGLAGIIMKRHMYNPSRVKLLSKHMYPEEVFNDLNPDRAFTLWRFRNMYVEGNDVQKFQYSKVENYKNSITKEQPKQIISSQGSDMITDPMDCIFGDLENGKEISHSNNVSKQSRRRIRGHKRARRHYHHREANQM >DRNTG_19770.14 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001055.1:46363:47650:-1 gene:DRNTG_19770 transcript:DRNTG_19770.14 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGMWRFNHSLDACVDKILGRDWDRMKLGLAGIIMKRHMYNPSRVKLLSKHMYPEEVFNDLNPDRAFTLWRFRNMYVEGNDVQKFQYSKVENYKNSITKEQPKQIISSQGSDMITDPMDCIFGDLENGKEISHSNNVSKQSRRRIRGHKRARRHYHHREANQM >DRNTG_00520.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30420047:30425683:1 gene:DRNTG_00520 transcript:DRNTG_00520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGWIELKFRLFYGTDICPNKYDPSSTVASLKESILAWWPQGSKCESANEEICFHETHSLQPSSR >DRNTG_00520.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30420047:30422151:1 gene:DRNTG_00520 transcript:DRNTG_00520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPCWVISMGSRANGGGHYHYSYSVVRGCDRIVPVDIYVPGCLPTAEAFPYGVL >DRNTG_00520.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30420047:30425683:1 gene:DRNTG_00520 transcript:DRNTG_00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGWIELKFRLFYGTDICPNKYDPSSTVASLKESILAWWPQGSKCESANEEICFHETHSLQPSSR >DRNTG_00520.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30420047:30425683:1 gene:DRNTG_00520 transcript:DRNTG_00520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGWIELKFRLFYGTDICPNKYDPSSTVASLKESILAWWPQGSKCESANEEICFHETHSLQPSSR >DRNTG_24679.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19259004:19259549:1 gene:DRNTG_24679 transcript:DRNTG_24679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGTLRLLLLFVLTLVSLGHAHYSLGKEKVTHLHFFYQENLISNHPSAVLAAKPKDTTVNASNLAPFGAVYVLDTPLTEGQDPNSKVVGKLQGLSVSAGEDKAMVVFIVDFGFTSGEFNGSSISALSRNPILETDRELAIVGGRGKFRMARGFANLHTNYINATSGVVIVEYNVTVFHYE >DRNTG_14399.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:17509869:17538949:-1 gene:DRNTG_14399 transcript:DRNTG_14399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNGKHLKKNFRWMRSLKMMYFGWKECYRDLLKPPMPAFQNIEATLSCHEVSIKNIEHQLGEILDMLAKEKEEFEQARQVSPGHDEVMSNIEEVGQIEYIDVENKKKEVEYHFKILDCMNEDCACERGNFQGDLLVSCSSQVENTQEEANPKLMEQASFFRIDQLLQCKREVFGKGRRCG >DRNTG_11015.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29396887:29400026:-1 gene:DRNTG_11015 transcript:DRNTG_11015.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCIIILTITAGIKALRPPNTYTQATSGQLAVLYTGIVLYTIGAAGTRFSMMKLGVDQLSNVGDQDVFFNWSFIVLYGAVAIGSTVIVYVEYSISWELGFGICLTVTALAVLSFLLGIKYYLISRPKRNPFMTMARVVIAGVRKRKLALPEEEAAYYRGLFEKTDQPPFSSFSCMNRAALIQQGDVATDGSIARPWSLCSIEDVNDLKTLIRIVPLWTSNVFLSISIATQASLSVLQALTMDRSLGSHFSVPAGSFSIATLLAICLTLFILDRGIYPLCHHLTSYTPTPLQRVGIGQAFNIAAMVASVHIEHRRSIIVHEHQAENQPDWIVPMSAFWLVLPYVFIGIGEAFHFPGQISFYYQEFPKSLKSTAIGIVAVLMSIGFYLSMAVLAVVRRATSWLPDNLNSSRLGNVYWMLTLVASINFFYFILCAKFYKKQSDDKHVVGAVN >DRNTG_11015.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29396887:29400026:-1 gene:DRNTG_11015 transcript:DRNTG_11015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEQDVQTQPHAAHDHESRTPAKQGGWITIPFILGNAFGLALVLSGVMGNFTVYLVKCYNFKRMDAALLTNIMYGTSSFLPLLGAILSDSFFGCFLIVAFSTVASLCCIIILTITAGIKALRPPNTYTQATSGQLAVLYTGIVLYTIGAAGTRFSMMKLGVDQLSNVGDQDVFFNWSFIVLYGAVAIGSTVIVYVEYSISWELGFGICLTVTALAVLSFLLGIKYYLISRPKRNPFMTMARVVIAGVRKRKLALPEEEAAYYRGLFEKTDQPPFSSFSCMNRAALIQQGDVATDGSIARPWSLCSIEDVNDLKTLIRIVPLWTSNVFLSISIATQASLSVLQALTMDRSLGSHFSVPAGSFSIATLLAICLTLFILDRGIYPLCHHLTSYTPTPLQRVGIGQAFNIAAMVASVHIEHRRSIIVHEHQAENQPDWIVPMSAFWLVLPYVFIGIGEAFHFPGQISFYYQEFPKSLKSTAIGIVAVLMSIGFYLSMAVLAVVRRATSWLPDNLNSSRLGNVYWMLTLVASINFFYFILCAKFYKKQSDDKHVVGAVN >DRNTG_11015.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29396887:29397835:-1 gene:DRNTG_11015 transcript:DRNTG_11015.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHACSCMNRAALIQQGDVATDGSIARPWSLCSIEDVNDLKTLIRIVPLWTSNVFLSISIATQASLSVLQALTMDRSLGSHFSVPAGSFSIATLLAICLTLFILDRGIYPLCHHLTSYTPTPLQRVGIGQAFNIAAMVASVHIEHRRSIIVHEHQAENQPDWIVPMSAFWLVLPYVFIGIGEAFHFPGQISFYYQEFPKSLKSTAIGIVAVLMSIGFYLSMAVLAVVRRATSWLPDNLNSSRLGNVYWMLTLVASINFFYFILCAKFYKKQSDDKHVVGAVN >DRNTG_11015.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29396887:29400026:-1 gene:DRNTG_11015 transcript:DRNTG_11015.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFKHNHMLLMIMNHAHLQNKECIIILTITAGIKALRPPNTYTQATSGQLAVLYTGIVLYTIGAAGTRFSMMKLGVDQLSNVGDQDVFFNWSFIVLYGAVAIGSTVIVYVEYSISWELGFGICLTVTALAVLSFLLGIKYYLISRPKRNPFMTMARVVIAGVRKRKLALPEEEAAYYRGLFEKTDQPPFSSFSCMNRAALIQQGDVATDGSIARPWSLCSIEDVNDLKTLIRIVPLWTSNVFLSISIATQASLSVLQALTMDRSLGSHFSVPAGSFSIATLLAICLTLFILDRGIYPLCHHLTSYTPTPLQRVGIGQAFNIAAMVASVHIEHRRSIIVHEHQAENQPDWIVPMSAFWLVLPYVFIGIGEAFHFPGQISFYYQEFPKSLKSTAIGIVAVLMSIGFYLSMAVLAVVRRATSWLPDNLNSSRLGNVYWMLTLVASINFFYFILCAKFYKKQSDDKHVVGAVN >DRNTG_11015.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29396887:29400026:-1 gene:DRNTG_11015 transcript:DRNTG_11015.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLGVDQLSNVGDQDVFFNWSFIVLYGAVAIGSTVIVYVEYSISWELGFGICLTVTALAVLSFLLGIKYYLISRPKRNPFMTMARVVIAGVRKRKLALPEEEAAYYRGLFEKTDQPPFSSFSCMNRAALIQQGDVATDGSIARPWSLCSIEDVNDLKTLIRIVPLWTSNVFLSISIATQASLSVLQALTMDRSLGSHFSVPAGSFSIATLLAICLTLFILDRGIYPLCHHLTSYTPTPLQRVGIGQAFNIAAMVASVHIEHRRSIIVHEHQAENQPDWIVPMSAFWLVLPYVFIGIGEAFHFPGQISFYYQEFPKSLKSTAIGIVAVLMSIGFYLSMAVLAVVRRATSWLPDNLNSSRLGNVYWMLTLVASINFFYFILCAKFYKKQSDDKHVVGAVN >DRNTG_33998.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5249416:5250973:-1 gene:DRNTG_33998 transcript:DRNTG_33998.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILSLSLWTLSTALNPPSSSPPLFASPLTLMTSIPISPTSSPPNPTLPTTSPVLTPITPPLPVPRSSYGSPEPLHGSASPRRLFLLAVNYLDRCFLPGAGPGLHLQPDKPWMSRLSAIACLSLAAKVEEQHVPLLLDLQSLAAAAGAEDDDGGGHGSYFFEPKTVRRMELLILSSLGWRMNPVTPLSFIDLLLPNFNLSCASSLLSAISDWRWVQHPPSAWASAAILHSIGDDQDPQIQSSLSLLNASKDHIEECLHVIQEATKHTHKHIALNHHFSYSPSCPASPNAVIGSCFSCESSSVPSSPDRPSKRPRQSSE >DRNTG_20326.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5764421:5766297:-1 gene:DRNTG_20326 transcript:DRNTG_20326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGKGRIGSYRGERYFCGMHTNPTNEENLTNPLNSIQLNDIATETPNPVNASCDIANNDPNHTISTPTISQASNDPVDATTNGGRLRIVVVNGLNDNAQPIDEVALYYEAVGGKKKRRVYGLGSQASYYCGGNTNASKSSASSFESQNQEELQNELATMKKKIEAQDNLIVDLKRTIEMLCNHIGMPPLHGTQNASNNQPEECEGTRDGDGDGSEDLGLL >DRNTG_18732.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1153550:1155653:1 gene:DRNTG_18732 transcript:DRNTG_18732.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSQTHRRKGGEQEEKERPRFFDAKAKAFCWQRADVVPGRHPERWRKDSAGNVVCKRFCNCHGCLCYEYDHIIPYSKGGESTAENCQILQTRVNRYKADKEWMDKKELEGFSCDIKFTDKELDIIEMAVYGDVIRPGNQCRCRTIAEMLGQVKAKSPLAACELPYKESS >DRNTG_18732.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1153431:1155653:1 gene:DRNTG_18732 transcript:DRNTG_18732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSQTHRRKGGEQEEKERPRFFDAKAKAFCWQRADVVPGRHPERWRKDSAGNVVCKRFCNCHGCLCYEWRVDSRELPNSPNKGEQIQS >DRNTG_18732.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1153550:1155653:1 gene:DRNTG_18732 transcript:DRNTG_18732.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSQTHRRKGGEQEEKERPRFFDAKAKAFCWQRADVVPGRHPERWRKDSAGNVVCKRFCNCHGCLCYEYDHIIPYSKGL >DRNTG_09409.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5794800:5797504:-1 gene:DRNTG_09409 transcript:DRNTG_09409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESESVLDAIFEDDGDAGETLDDYGDDNDTEMVDAAPLEAVTPQLPSYGGDGSDKDVSGAGIGGKSQRRRANKKKNKKRRNSGLAPNITDINRFVIDTCRRLKEKKSYLIWNAVGCLGVSAVSELVKEVEAVQRCGGQKTADGKRFRMGGGILWNILKTREPKVYKEIMAKGKEFEKQFRQPKHAQKVEDKEEGISECRTQAPMDGAGEVLNGSEPKLKAQDKPEASKPSNGRISALDRIRVPIVYDDLLEEGEIQE >DRNTG_12846.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21031494:21060135:-1 gene:DRNTG_12846 transcript:DRNTG_12846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGVFAMAPTNNTNNAAASCTIFLGEGTQVKLPYASVYTGIAGAEIKFGTVSEYVNFMPSPPSSPTEVQVPRLSSRGRRPNVIPPAEVIEDAVAAKASVFDRLTFPKKFMSIKGREDEEEPSFTITTEGEKSGIFKKPKATSTKSFMMGRPRLTKRKTPSPQEKASFVSFQGLGNGSSNSFTNSFTPLRMVKENSTTGELRSSQSSMMKGGRPPRSYNSKNTFSKKIYDNYTSYRKLQTERMTPRELAIPKKQAYKRTTPSAHRSRFQWIRKPDEEMEDLEVHTVRVITEGSEHPPEPERPFTRLRKKTTVGTSGMQQDDFDQVLQERAQERAKSFAQAAGFETPPRRNNDSSEEEDDNLIFTSNKDPMFARLKKQLDQREKDHISMMQTVASLTGVISRLSDQVQNMLHDNSRVHRQAPSVQVIREEEDDEEEVLGLHEQAGTSAVPEPMISREEPKQKSKKNKKIKNKKKKQKQVKPAEFHYKKSIIEEYIDSLEDYQQKERALITLGDYFPDEVKELLSDLDESQDEEPMVETCRVITIYEEEAWDDVDNEDELELHYPDEDDYVHGGLYDRHGRPYVSKKQKRNKLFKERVRDGESARLREASTQSCQANQALSKRRNQEEHLSIKILRKVMPYEEIPSFYQKRWHMTSLIESHIFRMVMPLGMILGSRNL >DRNTG_30880.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21309203:21310929:-1 gene:DRNTG_30880 transcript:DRNTG_30880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDYRTNKHGWVKRWQMEFSMEATLQVMICRYGIQANGHLRKRLKDECWSLIVKIAYTCTSSEYDATMGVLSVASTQAYNWLFQKSDMMHWCNYLFRGQRWGEMYSNVAESFNAWIKEARHLPACNMVDVIRFKMMNLMYKRHENCMKWETHLCPEIHKKIEKTIEESRCLVIGRSDGDIFEVVDKQATTQSHCTKKAYESLIFPVPDQDKLMDAGRHLRIRPPISKKRPGRPRKRRIESQAFGVRDLHCSRCHEVGHNRVTCNEVIAD >DRNTG_01969.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12979934:12982596:-1 gene:DRNTG_01969 transcript:DRNTG_01969.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSATTDRVTALKEFDSGKTGVKGLVDSGITSLPAIFHHPNIRLSIPSTTHLSIPTVDLSLPRPITVDLIRSACQDWGFFQLINHGIPLSTIENTISAVRSFHELPTTIRSKHYTRAQGATFMYYSNEDLFLSSAATWKDTLKVSFGPVRPELNQIPEVCGSELVAWDEQVKEVAREVMGMMCEGLGVSSGRLEELTCLEGRALAGHFSPPCPKPDRTLRSAAHTDVGVLTVLIQDEIGGLQVKSMRDECWVDVKPIPGALVVNVGELLQIISNDKYKSVEHRVLANSSNEPRISVGIFFSPGKRDEVDLYGPLPELISPDKSVFYRSLKMSEYAKAFRGQAIVCHCITKHVKLP >DRNTG_01969.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12979905:12982596:-1 gene:DRNTG_01969 transcript:DRNTG_01969.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSATTDRVTALKEFDSGKTGVKGLVDSGITSLPAIFHHPNIRLSIPSTTHLSIPTVDLSLPRPITVDLIRSACQDWGFFQLINHGIPLSTIENTISAVRSFHELPTTIRSKHYTRAQGATFMYYSNEDLFLSSAATWKDTLKVSFGPVRPELNQIPEVCGSELVAWDEQVKEVAREVMGMMCEGLGVSSGRLEELTCLEGRALAGHFSPPCPKPDRTLRSAAHTDVGVLTVLIQDEIGGLQVKSMRDECWVDVKPIPGALVVNVGELLQIISNDKYKSVEHRVLANSSNEPRISVGIFFSPGKRDEVDLYGPLPELISPDKSVFYRSLKMSEYAKAFRGQAIVCHCITKHVKLP >DRNTG_01969.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12979934:12982757:-1 gene:DRNTG_01969 transcript:DRNTG_01969.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSATTDRVTALKEFDSGKTGVKGLVDSGITSLPAIFHHPNIRLSIPSTTHLSIPTVDLSLPRPITVDLIRSACQDWGFFQLINHGIPLSTIENTISAVRSFHELPTTIRSKHYTRAQGATFMYYSNEDLFLSSAATWKDTLKVSFGPVRPELNQIPEVCGSELVAWDEQVKEVAREVMGMMCEGLGVSSGRLEELTCLEGRALAGHFSPPCPKPDRTLRSAAHTDVGVLTVLIQDEIGGLQVKSMRDECWVDVKPIPGALVVNVGELLQIISNDKYKSVEHRVLANSSNEPRISVGIFFSPGKRDEVDLYGPLPELISPDKSVFYRSLKMSEYAKAFRGQAIVCHCITKHVKLP >DRNTG_01969.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12979679:12982596:-1 gene:DRNTG_01969 transcript:DRNTG_01969.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSATTDRVTALKEFDSGKTGVKGLVDSGITSLPAIFHHPNIRLSIPSTTHLSIPTVDLSLPRPITVDLIRSACQDWGFFQLINHGIPLSTIENTISAVRSFHELPTTIRSKHYTRAQGATFMYYSNEDLFLSSAATWKDTLKVSFGPVRPELNQIPEVCGSELVAWDEQVKEVAREVMGMMCEGLGVSSGRLEELTCLEGRALAGHFSPPCPKPDRTLRSAAHTDVGVLTVLIQDEIGGLQVKSMRDECWVDVKPIPGALVVNVGELLQIISNDKYKSVEHRVLANSSNEPRISVGIFFSPGKRDEVDLYGPLPELISPDKSVFYRSLKMSEYAKAFRGQAIVCHCITKHVKLP >DRNTG_25723.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17064256:17066781:1 gene:DRNTG_25723 transcript:DRNTG_25723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDESSYEDNTDDEVSVQSEDEDLQEEVELHLEIKKNAYDRYMDMLLKKAFEKVGEEEDGYQSEGGEA >DRNTG_04378.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27024377:27027655:1 gene:DRNTG_04378 transcript:DRNTG_04378.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRIEKEKPNEGRVGMARESVVEKS >DRNTG_04378.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27024377:27027655:1 gene:DRNTG_04378 transcript:DRNTG_04378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRIEKEKPNEGRVGMARESVVEKS >DRNTG_08298.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23792449:23794428:1 gene:DRNTG_08298 transcript:DRNTG_08298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLAIACVDAECKESWTWFLQVLCEDFGRPQNTGCVFMSDQQKGLIQAFKDLMPNIEITGIEYKKLLWTVASASTQVHFERHMTKLKEFNENAYNWVMQHDSHTWARCFFSTHTKFDALQNNICESFNAYIRKTRGLPILKIFECITKKLMQ >DRNTG_08298.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23792449:23793885:1 gene:DRNTG_08298 transcript:DRNTG_08298.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQWQSLMSMCILKMICTVCKDLMMIRHGDIKNPHLEIGMRFSNHACFRDALRAWAIARGCSCKLTKNSTTMITVVCEKMWL >DRNTG_14140.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17806514:17807280:-1 gene:DRNTG_14140 transcript:DRNTG_14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSIGWLLMVLITHKSWYVSLHSVSSDITEMHYFNHKHQPHIEQYIPWHGRHELMRSRKAVQDAIV >DRNTG_06538.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29454239:29455509:1 gene:DRNTG_06538 transcript:DRNTG_06538.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQILCNSTLSLSFSHSLYRFHSHRHLRIPNLGLRTIPSPHRNMIFTNATTTDAVPQPRTSPVPTHQVTVHDRRRGVVHQFLVPEDQYILHTAESQNISLPFACRLLY >DRNTG_27629.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3522336:3533438:1 gene:DRNTG_27629 transcript:DRNTG_27629.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISGMVMGTLVGIALVAGWSRMMRYRSTKRITKAADIKLLGSLTRDDLKKLCGDNYPDWISFPQYEQVKWLNKQLAKLWPFIAEAAQVVVKESVEPLLDDYRPSGISSLKFSKFSLGNVSPKIEGIRVQSLKEGQITMDIDLRWGGDPSIILAVEALVASLPIQLKDLQVFTIIRVIFQLSEEIPCISAVVVALLSEPKPRIDYTLKAVGGSLTALPGISDMIDDTVNSIISDQLQWPHRIVVPLGGQAVDTSELELKPQGKLSIVVVKANALKNMEMIGKSDPYVVLYVRPMFKVKTKVVNNNLNPVWNETFELIADDQETQSIVFEVFDEDNLADDKKLGIVKLPLHELEPETSKEFELRLLPSLDMLKIKDKKDRGTLTIKVSYHLFTKEEQLAALEEEKRLLEERRRLKQAGLIGSTMDALGGAASLVGSGVGMVGTGLGAGVGLVGSGIGAGAGLVGSGFGAVGSGLSKAGKFMGKSVTGQFSSSGKKNGNNSGVGQSDANGS >DRNTG_27629.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3522336:3533438:1 gene:DRNTG_27629 transcript:DRNTG_27629.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISGMVMGTLVGIALVAGWSRMMRYRSTKRITKAADIKLLGSLTRDDLKKLCGDNYPDWISFPQYEQVKWLNKQLAKLWPFIAEAAQVVVKESVEPLLDDYRPSGISSLKFSKFSLGNVSPKIEGIRVQSLKEGQITMDIDLRWGGDPSIILAVEALVASLPIQLKDLQVFTIIRVIFQLSEEIPCISAVVVALLSEPKPRIDYTLKAVGGSLTALPGISDMIDDTVNSIISDQLQWPHRIVVPLGGQAVDTSELELKPQGKLSIVVVKANALKNMEMIGKSDPYVVLYVRPMFKVKTKVVNNNLNPVWNETFELIADDQETQSIVFEVFDEDNLADDKKLGIVKLPLHELEPETSKEFELRLLPSLDMLKIKDKKDRGTLTIKVSYHLFTKEEQLAALEEEKRLLEERRRLKQAGLIGSTMDALGGAASLVGSGVGMVGTGLGAGVGLVGSGIGAGAGLVGSGFGAVGSGLSKAGKFMGKSVTGQFSSSGKKNGNNSGVGQSDANGS >DRNTG_27629.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3522336:3533438:1 gene:DRNTG_27629 transcript:DRNTG_27629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISGMVMGTLVGIALVAGWSRMMRYRSTKRITKAADIKLLGSLTRDDLKKLCGDNYPDWISFPQYEQVKWLNKQLAKLWPFIAEAAQVVVKESVEPLLDDYRPSGISSLKFSKFSLGNVSPKIEGIRVQSLKEGQITMDIDLRWGGDPSIILAVEALVASLPIQLKDLQVFTIIRVIFQLSEEIPCISAVVVALLSEPKPRIDYTLKAVGGSLTALPGISDMIDDTVNSIISDQLQWPHRIVVPLGGQAVDTSELELKPQGKLSIVVVKANALKNMEMIGKSDPYVVLYVRPMFKVKTKVVNNNLNPVWNETFELIADDQETQSIVFEVFDEDNLADDKKLGIVKLPLHELEPETSKEFELRLLPSLDMLKIKDKKDRGTLTIKVSYHLFTKEEQLAALEEEKRLLEERRRLKQAGLIGSTMDALGGAASLVGSGVGMVGTGLGAGVGLVGSGIGAGAGLVGSGFGAVGSGLSKAGKFMGKSVTGQFSSSGKKNGNNSGVGQSDANGS >DRNTG_24393.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2695105:2695459:1 gene:DRNTG_24393 transcript:DRNTG_24393.1 gene_biotype:protein_coding transcript_biotype:protein_coding APPPTPPPNPPPCPTPPPPPNPPPPPSPPPGLLPKSPPSQPRKLRRQSPLNGRPPCPNLLPPNLGSSKPPPPSKSASAIALN >DRNTG_07954.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:964795:975732:1 gene:DRNTG_07954 transcript:DRNTG_07954.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNGAVSDDHHKGNKADGLASLLAIGTANPPNVVYQDTFADYYFRVTNNEDKVELKEKFKRVCEKSMIRKRHFFLTEEKLKENPNLCSFMDHSSLNTRHDIMVEEVPKLGAKAATKALEEWGRPRSEITHLVYCSTGGVDLPGADYRIIKLLGLSPSTKRVMLYMQGCFAGGTVLRIAKDLAENNENARVLIVCTEMTVISFRGPSEDNENFGNMVGQAIFADGAAAAVVGAKPIPEVETPFFELVATHQYILPETEEHITGHLREVGFTFLLNNQVPTSVSMHIEKTLVKAFSPLGISDWNSLFFVTHPGGRAILDQIEEQLDLKPEKLRATRHMLSEFGNMSSASVFFIMDEMRKRSMADGLRTFGEGLDYGVLHGFGPGITVETVVLFALPLNT >DRNTG_01206.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:389687:392325:-1 gene:DRNTG_01206 transcript:DRNTG_01206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRSLNAPVQPDIGPEWKTWKQEVMKFFSTAYPRAGKLDTERKNDDSSLTMGIQISRKRPKLEVQQADKSVSASLLSEPTKLDADSGHSNCQGIVKYVPTGAAQGNVIPGLAAVEGSSTMSDTFNEIGVEDESIQFAQGSFASGACNKTAMVNSHHSPDAMKYRQCSAFIEAKGRQCGRWANDGDIFCCVHLNSRSVGKPNHEEHNTPLEAPMCDGTTTNGNKCKHRARYGSAFCKKHRSQKINDPMVVNDLSYSCVNELKRKHDHSTALEHLPSPVTISEKNFGWAGEAQTSLQENLIPVMVEETLDERNCLMKKSELSSAYLLLQVPLVLIYHCALDIMAALMVNSAWRLLRDTPCIVKNIS >DRNTG_05913.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5009852:5010951:1 gene:DRNTG_05913 transcript:DRNTG_05913.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRGLTIVGWIAVFLGVFSILPFIIMGFVAIPKLKPSRWLVVDMKNVNWSLYLNTLFWNLNYWDSISTLAGEVHNPKKILPKALLYAMVLVMAGYLFPLLTGTGAIPLDREQWEDGYFSQIAMAIGGAWLRSWLQGASALSNMGMFLTEMSSDSYQLLGMAERGMLPAFFGKRSRYGTPLVGILFSASGVILLSWMSFQEIVAAENFLYCFGMLLEFVAFIRLRVKHPRAHRPYKVPLGTAGVVMMLIPPSVLIVVVLVFASWKVMLVSLIAVFIGIVLQPGLKYIEKRQWLKFSVSPDLPVFVAAVDDNNLSDSNVQNSDQINQ >DRNTG_05913.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5008148:5010870:1 gene:DRNTG_05913 transcript:DRNTG_05913.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRSISSPASASVPMGEFRGPEYRGVVEESPRICNSQKVSIMPLIFLIFYEVSGGPFGIEDSVNAAGPLLAIAGFLVFPFIWSIPEALITAELGTMFPENGGYVVWVSSALGPFWGFQLGWMKWLSGVIDNALYPVLFLDYLKSSFHVLGGGWPRTLAVLILTAVLTYMNYRGLTIVGWIAVFLGVFSILPFIIMGFVAIPKLKPSRWLVVDMKNVNWSLYLNTLFWNLNYWDSISTLAGEVHNPKKILPKALLYAMVLVMAGYLFPLLTGTGAIPLDREQWEDGYFSQIAMAIGGAWLRSWLQGASALSNMGMFLTEMSSDSYQLLGMAERGMLPAFFGKRSRYGTPLVGILFSASGVILLSWMSFQEIVAAENFLYCFGMLLEFVAFIRLRVKHPRAHRPYKVPLGTAGVVMMLIPPSVLIVVVLVFASWKVMLVSLIAVFIGIVLQPGLKYIEKRQWLKFSVSPDLPVFVAAVDDNNLSDSNVQNSDQINQ >DRNTG_14132.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10865465:10867563:-1 gene:DRNTG_14132 transcript:DRNTG_14132.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVIRQHPSTPLLPPREKPSKTVINTKTIVIIKARVMIVRESNSWALKFIPERFMNSCLHF >DRNTG_14132.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10865465:10867509:-1 gene:DRNTG_14132 transcript:DRNTG_14132.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVIRQHPSTPLLPPREKPSKTVINTKTIVIIKARVMIVRESNSWALKFIPERFMNSCLHF >DRNTG_00851.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21561231:21562149:-1 gene:DRNTG_00851 transcript:DRNTG_00851.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISFSKADPLQDFCVADLSLPQSPAGYSCKSVSEVTVDDFVFTGFRNPGNTSNTNMISVTPAFVAQWPALNGLGVSAGYVEIAPGGRSPIHSHPGGTELIVLIEGTILAGFISSSNKVYMKKLEAHDALIFPQGLLHFQVNAGSVKAKAIVSFSSSNPGLQMTPLALFGNDLPSEILEKVSSIDVAEVKKLKAMFGGTN >DRNTG_00851.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21548108:21562149:-1 gene:DRNTG_00851 transcript:DRNTG_00851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFSKADPLQDFCVADLSLPQSPAGYSCKSVSEVTVDDFVFTGFRNPGNTSNTNMISVTPAFVAQWPALNGLGVSAGYVEIAPGGRSPIHSHPGGTELIVLIEGTILAGFISSSNKVYMKKLEAHDALIFPQGLLHFQVNAGSVKAKAIVSFSSSNPGLQMTPLALFGNDLPSEILEKVSSIDVAEM >DRNTG_08915.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27423389:27429110:1 gene:DRNTG_08915 transcript:DRNTG_08915.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II C-terminal domain phosphatase-like 3 [Source:Projected from Arabidopsis thaliana (AT2G33540) UniProtKB/Swiss-Prot;Acc:Q8LL04] MRVAGSNRFFINPGEEETLDRMSRDDEMSDGGSSESLEEISADAFKRRDDIRGSRSRVWMEVPRQRNYSPHLYNFAWAQAVQNKPLGFEVSPMGSVEIVGDGEKGVKEDPCDVVIEDSSENVGGEKEEGELEEGEIGFGSDVVAPVTDVDESNEPPPDADESNGKEDIEVEVEEMGEFDKSVSLILEELDAITVEEVEKSFEASYLRLLKCFESLKQMSSDEHTPVVDALVQQAFISIQTLHSVYSSGNLKNMDQAEKFLVRLLMRIKNQYHVLLNPEQMKEIDVLVQTLVFENSNKEKEMKMNVDRSGSAKLAEKPVFDQRKPSFPNLELPVTPRNRVLVDLHAKYDEGSLPSPTRDNPQPLPMLQPIGVGVSVGATTPSQPIASKNVNADDTSVHPYLTDALKAVSSYQQKYSKTTFLQSNRLPSPTPSEDGKNNDDDTRGEVSSSSVSSHSKSTNSTSSSQDIGSSSSNVSMMNLTVQPVAPTKTVVQMSCAPNSVMKSAAKSRDPRLKFVNAEVGDSPDQSKHTASLESTALKNGPVGALVNTRKHKVLDEPLLDDHHMKRRRNGVSDPRDVLMTSGRGGWLGSSSSMSAQPNSRIYGGESVDVQRKPGNGEIVSDRRPDACMITKASNNAIELNSILSTAAAVSLPNLLKGIAVNPTMLVELLKMEQQRLAGGAQQKPADAIQNSAINRTAAVPSLSIASLNSAELGQRQAGKSQMPAQTIPTNIQTDTSKIRMKPRDPRRILHNNLAQAALEQTKSTGNLSTDGLMNKDLQRVGEQGEQAQTTTLLPHSTSRPDVLQQLTSNPRNNADSIPSSQTTTPLLTVLPQSTPQPNMNKISKVDIRPSSAESNDQKSGSISSSDGVSDAAQASNPWGDVEHLLDGYDDHQKAAIQKERARRIEEQNKMFASRKLCLVLDLDHTLLNSAKFTEVDPIHDEILRKKEEQDREKAQRHLFRLHHMGMWTKLRPGIWNFLEKASKLYELHLYTMGNKLYATEMAKVLDPTGTLFSGRVISRGDDGDPVDGDERAPRSKDLEGVLGMESAVVIIDDSIRVWPHNKLNLIVVERYTYFPCSRRQFGLLGPSLLEIDHDERPEDGTLASSLGVIERIHQNFFSNHSLDNVDVRNILASEQRKILAGCRIVFSRVFPVGEANPHMHPLWQTAEQFGASCTNQVDDRVTHVVANSLGTDKVNWALSTARFVVHPGWVEASALLYRRANEHDFAVKI >DRNTG_31748.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8257697:8258131:1 gene:DRNTG_31748 transcript:DRNTG_31748.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSMVLWKVLYSSSKDSFIRYRIRLDRSSPTNLLPNGITMAGIGQLHGRGRCTRPCGISTRAWIISICPCGFSVSLISRPAVNSAVTVITTVLCYSLRPE >DRNTG_26657.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9985442:9987607:-1 gene:DRNTG_26657 transcript:DRNTG_26657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGNFPRVEEENVGRRLRPSNDPPMPSLNNS >DRNTG_30860.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001636.1:64579:70101:-1 gene:DRNTG_30860 transcript:DRNTG_30860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAPKNTSRVHTFIEAAARIWRRFSQGFRETIQPSTSIIFGGKPLGEPPTSLLHRSVP >DRNTG_09835.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13659367:13665903:1 gene:DRNTG_09835 transcript:DRNTG_09835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKVVCVTGASGYIASWLVKLLLQKGYTVKATVRDLGDPKKTEHLRALDGASERLQLFKANLLEEGSFDAVVDGCECVFHTASPFYHNVVDPQTELLDPAIKGTLNVLGSCAKVSSIKRVIVTSSMAAVSYNERPRTPDVTVDETWFSSEEVCQRDKAWYVLSKTLAEESAWKFSKENGIELLTINPAMVIGPLLQPTLNTSAAAILNVISGNSTFPNMTFGWVNVKDVALAHILAYEVPSANGRYCLVERVAHFSEIVKLIHEFYPSLELPQKCADDKPFVPTYQVSQEKTRSLGIDYVPLETSLKETIESLREKGFVTF >DRNTG_18085.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:292593:293228:-1 gene:DRNTG_18085 transcript:DRNTG_18085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMKSSKRMKEKRMSQGRGSAWEEHQQLINLMFHVDESISCSTSSLSLCSSHSSSKL >DRNTG_23667.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20514847:20516544:1 gene:DRNTG_23667 transcript:DRNTG_23667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTTAVRILLLLLLTVIALSSAEIRTTVVKSDATPIIPFDDFGFSRSGVVELSISGLSFSDLSLSHASFSRLGFFLSTRDAWMHVYQKLQDGEISCALDTNLVENVFIFDALPSPTPNSISASFNQTDAGQFTLLFANCVPNLRVSMSVKSSMFNVDQISGRRTYLSVGAAVLPRIYYFFFLVYACLAVLWIIVLRRNRLTALRIHYFMLAVLALKALNLLCEAEDKSYINRTGSAHGWDVLFYIFSFLKGITLFTLIVLIGTGWSFLKPYLQGKEKKVLMVVIPLQILANAAQVELDETGKFAPAWVTWREVFLLVDVICCCAVLFPIVWSIKNLREAARTDGKAAVNLMKLTLFRQYYVVVICYIYFTRVVVYALSTITSYRYFWTSAIAAELATLAFYAFTGYKFKPEVRNPYFAIDDVEEEAAAEALKLGDEFEL >DRNTG_12906.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26645374:26647767:1 gene:DRNTG_12906 transcript:DRNTG_12906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVMVSSTTAMSRAIPTQSSVAPFTGLKSAAAFPVTRKDKTDFSHLPNNGGRVQCMKVWPIEGKKKFETLSYLPSLTDDELLKQIAYLLRSGWVPCIEFCKIGFVYRKYHSSPGYYDGRYWTMWKLPMFGCTDAVQVLKEVRECEKEYPDAFNRIIGFDNVRQVQCISFITYKPPGFTEFER >DRNTG_01693.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23745892:23751958:-1 gene:DRNTG_01693 transcript:DRNTG_01693.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of G-protein signaling, Chilling toleranc [Source: Projected from Oryza sativa (Os04g0600800)] MGWGWAIYEGTVASGSLILLGWAGLWFLNRQLYKEYEEKRALVQILFSLVFAFSCNLFQLVLFEILPVLSKEARWINWKVDLFCLIMLLVFILPYYHCYLMLRNSGVRRERAALGAILFLLAFLYAFWRMGIHFPMPSPDKGFFTMPQLVSRIGVIGVTVMAVLSGFGAVNLPYSYLSLFIREIEETDIKTLERQLMQSIETCITKKKKIILSQMEMERIQDSEEKLKARSFLKRIVGTVVRSVQDDQKEQDIKSLEAEVQALEELSKQLFLEIYELRQAKEAAAYSRTWRGHLQNLLGYALSVYCLYKMIKSLQSVVFKQAGSVDPVTMTISIFLQWFDIGINAAVLSQYISLLFIGMLIVMSVRGFLTNLMKFFFAVSRVGSGSSTNVVLFLSEIMGMYFVSTILLIRKSLANEYRLIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLLSAHYTSRQADKHPID >DRNTG_01693.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23745892:23751958:-1 gene:DRNTG_01693 transcript:DRNTG_01693.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of G-protein signaling, Chilling toleranc [Source: Projected from Oryza sativa (Os04g0600800)] MGWGWAIYEGTVASGSLILLGWAGLWFLNRQLYKEYEEKRALVQILFSLVFAFSCNLFQLVLFEILPVLSKEARWINWKVDLFCLIMLLVFILPYYHCYLMLRNSGVRRERAALGAILFLLAFLYAFWRMGIHFPMPSPDKGFFTMPQLVSRIGVIGVTVMAVLSGFGAVNLPYSYLSLFIREIEETDIKTLERQLMQSIETCITKKKKIILSQMEMERIQDSEEKLKARSFLKRIVGTVVRSVQDDQKEQDIKSLEAEVQALEELSKQLFLEIYELRQAKEAAAYSRTWRGHLQNLLGYALSVYCLYKMIKSLQSVVFKQAGSVDPVTMTISIFLQWFDIGINAAVLSQVGYHRMWIWGHFVFPIS >DRNTG_27652.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:12052028:12055805:-1 gene:DRNTG_27652 transcript:DRNTG_27652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNSYQWNARKKKKVTRLYEIDVVTSLAAQVEALSKKLDTWNSPRVAMIMSYDGCGEMLNLDRYKGLLDQEMENEEVMMLGLEEKVPSTPGIMKKMLRKMKRARRHHKKCPKAAGDAQELNKSDGPLSEPAKFSKASRENARPWISNASSSREDTGVWTRPCKQPCDSPCPWSTETERIEFAIPEHQARFERLSRLREPAIRALTLEVLSSFEFDRSYNRFDSVDAIQFRILGDHYSMSVTHFLIRLRLYEEAFTITEEYAQLPTDYNGTLTPQRAYGVLYSQGQYQPGVSKATCLSQPAYQYLYAIRNRSVNGRGDSTGILSRQELLYLYSMVLSTPIHLGHIVAKYMRHHG >DRNTG_22220.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13629828:13636791:-1 gene:DRNTG_22220 transcript:DRNTG_22220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLTRIAIVSQDRCKPKKCRQECKKSCPVVKTGKLCIEVTPASKLAFISEELCIGCGICVKKCPFEAIQIINLPRDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFNNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLDQKDERKMKAELCDDLELNQVIDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVVRSLLRADSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGYVPTENLRFREEQLTFRVAETPQESAEEIQTYQRYKYPSMVRTQGNFKLSVVEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDAVEGSDIEIPEFNVSYKPQKISPKFQNNVRNLLHSKIRDSYMHPQFVSDVMKPLQMEQLMDQEVGTLSGGELQRVALALCLGKPADIYLIDEPSAYLDSEQRIVAAKVIKRFILHAKKTAFVVEHDFIMAAYLADKVIVYEGKPSVDCTANAPQSLVSGMNSFLSHLDITFRRDPSNYRPRINKLDSTKDREQKAAGSYYYLDD >DRNTG_22220.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13629828:13636791:-1 gene:DRNTG_22220 transcript:DRNTG_22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLTRIAIVSQDRCKPKKCRQECKKSCPVVKTGKLCIEVTPASKLAFISEELCIGCGICVKKCPFEAIQIINLPRDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFNNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLDQKDERKMKAELCDDLELNQVIDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVVRSLLRADSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGYVPTENLRFREEQLTFRVAETPQESAEEIQTYQRYKYPSMVRTQGNFKLSVVEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDAVEGSDIEIPEFNVSYKPQKISPKFQNNVRNLLHSKIRDSYMHPQFVSDVMKPLQMEQLMDQEVGTLSGGELQRVALALCLGKPADIYLIDEPSAYLDSEQRIVAAKVIKRFILHAKKTAFVVEHDFIMAAYLADKVIVYEGKPSVDCTANAPQSLVSGMNSFLSHLDITFRRDPSNYRPRINKLDSTKDREQKAAGSYYYLDD >DRNTG_17652.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11856246:11866501:1 gene:DRNTG_17652 transcript:DRNTG_17652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIQNQRIMLLLHLNSHFPSLVSSSPYAKPRLTLLPSNCCPSSPKRYIPSSLVRSLSFGILPRDFKEKWGHLMEESKEAESKVSKFPVHLIQAVVASEDHRFFYHLGVDPHGIARAVIRYPNGGGGSTITQQLVKGVFLSSERKISRKFVEGILSLILERRMSKSQILYAYLSKMYWGHGKFGIESASMFYFGKHPSFLTVGEAALLTGILPAPEILNPFTNPERGKASQRRVLRRMVAAGFLEMESALLIASQPLYLCNKGDKFNIK >DRNTG_13471.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5995821:5996342:-1 gene:DRNTG_13471 transcript:DRNTG_13471.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAATVLANVLLKCSATVSCLNSFPNPYFHRSNANGHMFTSWFTCFFNDRQV >DRNTG_24463.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1554315:1560940:-1 gene:DRNTG_24463 transcript:DRNTG_24463.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRPLLPIAALLLLLLFLISFHSDPPPILSRTPSHPLDPLTFDEIAAIRSILVSYPPFAAPNQFPAIHSISLDEPPKLIVLRWRPGDPLPPRRATVTAYSPPGHTHLISIDLPSGRVLHHSNPSGFPFLTIDDMRRAIATALNDPSFLQSLNARGLSSSDVNCAPLSPGWFGSEEDHCRLVKVQCFAAAPNFYLRPIEGLTAIVDVDAALVIRISDSGPGIPISAGEDTDYRYSTQRNKPRSFSNPINPVSLEQVGGPSYFIENSHVIKWASWEFHLKPDARAGSVVSRARLRDPESGEWRSVMYQGFASELFVPYMDPSEGWYFKTYLDSGEYGFGVSSMPLVRLNDCPRNAHYMDAVFAATDGSPFVRPDLICIFERYDGDVAWRHAQPHIREARPKITLVVRTAASVGNYDYIVDWEFQTDGIIKVKVSLSGMLLVKGTTYQNLSQVPEGEDLHGTLITDNIIGVVHDHFLSFYMDMDIDGPDNSFAKFHMIKQETSPGESPRKSYMKVIGSIAKTEKDAQVKLSLYDPYEFHIINPSRTSGVGNPSGYKLVPSATAASLLDLDDPPQRRGAFTNNQGPRNRE >DRNTG_24463.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1551828:1560940:-1 gene:DRNTG_24463 transcript:DRNTG_24463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRPLLPIAALLLLLLFLISFHSDPPPILSRTPSHPLDPLTFDEIAAIRSILVSYPPFAAPNQFPAIHSISLDEPPKLIVLRWRPGDPLPPRRATVTAYSPPGHTHLISIDLPSGRVLHHSNPSGFPFLTIDDMRRAIATALNDPSFLQSLNARGLSSSDVNCAPLSPGWFGSEEDHCRLVKVQCFAAAPNFYLRPIEGLTAIVDVDAALVIRISDSGPGIPISAGEDTDYRYSTQRNKPRSFSNPINPVSLEQVGGPSYFIENSHVIKWASWEFHLKPDARAGSVVSRARLRDPESGEWRSVMYQGFASELFVPYMDPSEGWYFKTYLDSGEYGFGVSSMPLVRLNDCPRNAHYMDAVFAATDGSPFVRPDLICIFERYDGDVAWRHAQPHIREARPKITLVVRTAASVGNYDYIVDWEFQTDGIIKVKVSLSGMLLVKGTTYQNLSQVPEGEDLHGTLITDNIIGVVHDHFLSFYMDMDIDGPDNSFAKFHMIKQETSPGESPRKSYMKVIGSIAKTEKDAQVKLSLYDPYEFHIINPSRTSGVGNPSGYKLVPSATAASLLDLDDPPQRRGAFTNNQIWVTPYNKSEQWAGGRFVYQGHGDDTLATWSDRDRGIENKDIVLWYTLGFHHIPCQEDYPIMPTVSSSFELKPVNFFRRNPILRAPPYTEVDLPVCSVMASL >DRNTG_24463.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1554315:1560940:-1 gene:DRNTG_24463 transcript:DRNTG_24463.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRPLLPIAALLLLLLFLISFHSDPPPILSRTPSHPLDPLTFDEIAAIRSILVSYPPFAAPNQFPAIHSISLDEPPKLIVLRWRPGDPLPPRRATVTAYSPPGHTHLISIDLPSGRVLHHSNPSGFPFLTIDDMRRAIATALNDPSFLQSLNARGLSSSDVNCAPLSPGWFGSEEDHCRLVKVQCFAAAPNFYLRPIEGLTAIVDVDAALVIRISDSGPGIPISAGEDTDYRYSTQRNKPRSFSNPINPVSLEQVGGPSYFIENSHVIKWASWEFHLKPDARAGSVVSRARLRDPESGEWRSVMYQGFASELFVPYMDPSEGWYFKTYLDSGEYGFGVSSMPLVRLNDCPRNAHYMDAVFAATDGSPFVRPDLICIFERYDGDVAWRHAQPHIREARPKITLVVRTAASVGNYDYIVDWEFQTDGIIKVKVSLSGMLLVKGTTYQNLSQVPEGEDLHGTLITDNIIGVVHDHFLSFYMDMDIDGPDNSFAKFHMIKQETSPGESPRKSYMKVIGSIAKTEKDAQVKLSLYDPYEFHIINPSRTSGVGNPSGYKLVPSATAASLLDLDDPPQRRGAFTNNQIWVTPYNKSEQWAGGRFVYQGHGDDTLATWSDRDRGIENKDIVLWYTLGFHHIPCQEDYPIMPTVSSSFELKPVNFFRRNPILRAPPYTEVDLPVCSVMASL >DRNTG_24463.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1552977:1560940:-1 gene:DRNTG_24463 transcript:DRNTG_24463.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRPLLPIAALLLLLLFLISFHSDPPPILSRTPSHPLDPLTFDEIAAIRSILVSYPPFAAPNQFPAIHSISLDEPPKLIVLRWRPGDPLPPRRATVTAYSPPGHTHLISIDLPSGRVLHHSNPSGFPFLTIDDMRRAIATALNDPSFLQSLNARGLSSSDVNCAPLSPGWFGSEEDHCRLVKVQCFAAAPNFYLRPIEGLTAIVDVDAALVIRISDSGPGIPISAGEDTDYRYSTQRNKPRSFSNPINPVSLEQVGGPSYFIENSHVIKWASWEFHLKPDARAGSVVSRARLRDPESGEWRSVMYQGFASELFVPYMDPSEGWYFKTYLDSGEYGFGVSSMPLVRLNDCPRNAHYMDAVFAATDGSPFVRPDLICIFERYDGDVAWRHAQPHIREARPKITLVVRTAASVGNYDYIVDWEFQTDGIIKVKVSLSGMLLVKGTTYQNLSQVPEGEDLHGTLITDNIIGVVHDHFLSFYMDMDIDGPDNSFAKFHMIKQETSPGESPRKSYMKVIGSIAKTEKDAQVKLSLYDPYEFHIINPSRTSGVGNPSGYKLVPSATAASLLDLDDPPQRRGAFTNNQGSRNRE >DRNTG_18767.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1351412:1353724:-1 gene:DRNTG_18767 transcript:DRNTG_18767.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase RHY1A [Source:Projected from Arabidopsis thaliana (AT1G49850) UniProtKB/Swiss-Prot;Acc:Q852U6] MTSASELFQSLRSRNGGRNPLSNPTPAPIRSDFRHRRHHRRNGLDLNSHEDRNTLRRPRSIPRNDSPVEHQFIWPDHAGTGEYRIGDGRNAAAPSSRVSRFSLNTNDRLPGTVLLAQARLAERLRGASLTRSRFGPGVPSILWDEIEADDDLSIIGTEIWEPWNASEWSAPGRHLASVASEANQEYIPQSPRKKPPGLTSEAICSLNHETFKEAEHDESMEFPECSICLDKFQEGDVLFRLYCRHLFHCICLEPWLRSCGDCPNCRNTVKTSVSSAKRQ >DRNTG_17111.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000875.1:12875:17778:-1 gene:DRNTG_17111 transcript:DRNTG_17111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLQYSLLLLPFLCLFLALAFSSGEADPKVPAIFIFGDSSADVGNNKYLSGDQNNSNNFLPYGIDYPSENASNPTGRYSNGYNGADVLAQVMGFIESPPPFLSLEIDQITHRIKNITQAKNGINFASGGSTLLYYGSSDSNKSISMAEQMGYFRQFRTQLNETMTSQQIDQLFSKSLFLISIGGNDIGVYLAATAHGVEIELQKFISSFIVKYGDYLKDLYLLGGRKFGIVNVAPAGCIPVVKDLLNFTAGGCSNIVNAVSFACNYALKVLMNNLSSTLTGMKYSIGNSYAVFMKIIDNPGAFGFNDTKNPCCGSVENGCKPNSTFCPDRRRYVFWDGVHPTEATTQVFGHLVYNGSTEYASPINFKELVEDDN >DRNTG_23872.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:732:6817:1 gene:DRNTG_23872 transcript:DRNTG_23872.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETGKRFRHHENDGKNQKRRSGNMENSGDGELVLYRILCPNIVIGSVIGKSGKVINSLRQETFAKIKVVDPFPGADKRVISIYCYVKDKDTREVDEDAVRPLCPAQNALLKMHAAIVNALENSADSDKKHKEEALILVPASQAANIIGKSGATIKRLRLKTKANIKVYPKDPNDASHSCAMSFDNFVSITGDTEAINKALFAVSAIMYKFSPKEEISLDTAIPELPPGIIIPSDIPIYSAGSFYPNVDHMVPPARSVPPIMGASPHVPELHGFSETGSTWHMYPSALPVVSGFGDASRTEELVVHVLCPSDKIGRVIGKGGSTIKNIRQTSSARIDVDDKKDESEECLITVTSNESTDDANSSAVEAILLLQAKINDEDDDIVKIRLLVPSKVIGCLIGKSGSIISDMRKKTKADIRISKGEKPKNAASTDELVEVSGEVVNVRDALVQITLRLREDALKDKDGSKVAPPADPLYPSSLHMPQILSSVPPLAPLGYDQRLEPSRALGSSSTSSLCGYNSLQAGDNCFGSLPSFPSTTIGGVASFFEMVIPATALAKVMGKGGTNIDNIRKISGAQIEIVDSKTSRSERIAQISGTPEQKRTAENLIQAFIMAT >DRNTG_23372.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5753594:5761305:1 gene:DRNTG_23372 transcript:DRNTG_23372.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIERQQPRARRRVSSDRSAGRIFDLYDSDQCSDPEEDDRGRDDEEWTCESESKRPRKKIKRTGTDCGVVVVKGQRTPRRSSGLQGKVNPVSSWISGRDLVDGVGRGLRGDAEKQGLGGNLRITGELHRHFPLARREALFGNGVGDGLKKKVRSFNGSCRPFSASSAMGRIRGEEKSISLNSCGEIEKADILRSARYVLDFILPFLKQLNQEQVKEIEIEANIQGLLLPEMRPQQAVCQKDERVFCNNCKTSIVDFHRVCSNCGYELCLRCCQELRSGDLRGCCQFVTPHYPYRGQPYMHGGDPLPGVSQENESSTTNYHINIAKWKANSDGSIPCPPTAVGGCGSSVLELRHIFPRNFLLDLEAKAEKLGSSLHFDAPVEQKYICSCSTTEMSRKAASRTDSSDNYIYCPSLAEVEEDGMVHFQSHWLKGEPVIVSGLVNERSGLCWEPMAMCRAICNNEGSYKMKAIDCLACCEVEISTHQFFEGYKQGRMYPNQWPEMLKLKDWPTSNHFEEFLPHHGAEFIKSLPFQEYTNPKNGLLNIAAALPLDILKLDMGPKSYIAYGAREELGRGDSVTKLHCDVSDAVNVLMHSSDVKFKKERICEIEKMKRQHRLQNEKERLQDSHINGDLTDVRNEGYLKAGDQEDTNLPAITQGGAIWDIFRRQDVPKLQSFLKKHSKEFRHIYCSPVYQVFNPVHDETFYLTIEHKRMLKEKYGIEPWTFEQALGEAVFIPAGCPHQVRNLKSCTKVALDFVSPENVGECLRLTEDFRVLPTNHRAKEDKLEVIPGALSLIAEIMC >DRNTG_23372.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5753594:5763232:1 gene:DRNTG_23372 transcript:DRNTG_23372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIERQQPRARRRVSSDRSAGRIFDLYDSDQCSDPEEDDRGRDDEEWTCESESKRPRKKIKRTGTDCGVVVVKGQRTPRRSSGLQGKVNPVSSWISGRDLVDGVGRGLRGDAEKQGLGGNLRITGELHRHFPLARREALFGNGVGDGLKKKVRSFNGSCRPFSASSAMGRIRGEEKSISLNSCGEIEKADILRSARYVLDFILPFLKQLNQEQVKEIEIEANIQGLLLPEMRPQQAVCQKDERVFCNNCKTSIVDFHRVCSNCGYELCLRCCQELRSGDLRGCCQFVTPHYPYRGQPYMHGGDPLPGVSQENESSTTNYHINIAKWKANSDGSIPCPPTAVGGCGSSVLELRHIFPRNFLLDLEAKAEKLGSSLHFDAPVEQKYICSCSTTEMSRKAASRTDSSDNYIYCPSLAEVEEDGMVHFQSHWLKGEPVIVSGLVNERSGLCWEPMAMCRAICNNEGSYKMKAIDCLACCEVEISTHQFFEGYKQGRMYPNQWPEMLKLKDWPTSNHFEEFLPHHGAEFIKSLPFQEYTNPKNGLLNIAAALPLDILKLDMGPKSYIAYGAREELGRGDSVTKLHCDVSDAVNVLMHSSDVKFKKERICEIEKMKRQHRLQNEKERLQDSHINGDLTDVRNEGYLKAGDQEDTNLPAITQGGAIWDIFRRQDVPKLQSFLKKHSKEFRHIYCSPVYQVFNPVHDETFYLTIEHKRMLKEKYGIEPWTFEQALGEAVFIPAGCPHQVRNLKSCTKVALDFVSPENVGECLRLTEDFRVLPTNHRAKEDKLEIKKMIVYAVNKAVKTLQDFVPSKKEKATPN >DRNTG_00722.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18363340:18365504:-1 gene:DRNTG_00722 transcript:DRNTG_00722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSISSSSHLSISSSPFPCCKSSIIVIKRRRRRSLPISEPQQGTHSPVSHLFLSTRSTAPLKAPTFSTNYKSCTRQDLDAPQTSKTEIHEVSTSKVVNFDVETEEEQVNCRVNGLLDVENSGRRSSRLHVGDLVKKVMSLPIEERVKVLDLLDCDYRDLTVSDYNDILTALVKAREFDSAVNLFSELPSLGVSPDSWTYSVMIQCLCKKNEPDEAKRALDEMMERGFVPNVVTFTELITCLCKRGRMAMSFEIFEIMRRIGCEPTVRTYNCLIQGLCYVGRVEEALELLHKIKKSTKRPDIYSFTLVIDGFCKVGRSDEARELLDEALEMGLVPNVVTYNSLIGGYCTEGRPLEGIWVLKEMEGRSCPPDFISYNILLQGLLRFGLISVSFQTYMKMHNAGFQASERVMNTLLRGLCRKSTRNDEPLKEAKELFEQIMELGYPLSPYTYCLMVQALAEKGEVSQAFNHLLEMIGKGYSPRMLTYNVVLRVLCRDGRVDDAMYVFILMLEKDTIPGKFSFSVLIGELERQGRLLDAYGVYAAAVKWGVVPKRIPGKQLKAGNEHFHSIQGDECKE >DRNTG_27963.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3499581:3505741:-1 gene:DRNTG_27963 transcript:DRNTG_27963.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-7A [Source:Projected from Arabidopsis thaliana (AT1G18370) UniProtKB/Swiss-Prot;Acc:Q8S905] MAAARTPGTPASKIERTPMSTPGGPRAKEEKIFVTVRVRPLSKKEQALKDQVAWDCVDDNTIVFKAPSQDRLSSSPFYTFDKVFGPTSLTETVYKEGAKDVALSALTGINATIFAYGQTSSGKTYTMRGITESAVNDIYKHIHNVSERHFTIKISALEIYNEVVRDLLKPDSGPLRLLDDPEKGTIVEKLEEEVAKDSQHLRHLIGICEAQRQVGETSLNDTSSRSHQIIRLTVESSLRENSGCVKSYVASLNFVDLAGSERASQTHADGARLKEGCHINRSLLTLTTVIRKLSAGKKSGHIPYRDSKLTRILQLSLGGNARTAIICTMSPALSHVEQSRNTLFFATRAKEVTNSAHVNMVVSDKQLVKQLQKEVARLEAELRTPELSGSSCSEALLKEKELKIKKMEMEMEELKRERDFAHSQLVELRKKVKEDHHETNPFASPRQVAKCLTFSDSSPQFGERTHGRVERARNTMRQSSTVPFMLVHEIRKLEHLQEQLGEEANRALEVLQKEVACHRLGNQDAAETIAKLQAEIREMQTVRSFDNKVDIAEALAGGNNTGTNLKDEITRLNSQGNTIANLEEKLENVQRSIDKLVMSLPSNLQSNEMTPKSSKGQPKKKKKLLPLVSSSGANRSNMIRGPCSPLSSSRKTLESEVENRAPEIDALSQETISGSEKVTPIKSEDGGDISSRDSTPCHRRSSSVNMRKMQKMFQTAAEENVRSIRSYVTELKERVAKLQYQKKLLVCQVLELEANEASGCDTVEEGEDISELQNSPASWHSIFKDQMQQIMLLWDACHVSIIHRTQFYLLFRGDPADQIYIEVELRRLTWLQQHFLEVGNESPAHVGDEPVVSLSSSMRALKHEREFLAKRLNSRLSEEERDRLYKKWQVPLEGKQRKLQLVNKLWTDPIDKAHIEESADIVARLVGFCEGGNISKEMFELNFAVPVSKKPWLLGWQPISNLLRL >DRNTG_07965.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:18259335:18264463:-1 gene:DRNTG_07965 transcript:DRNTG_07965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSLINDNCLQQPTDTRSSTLSMNSSSCCKSLSMLVSSDSGDDSRGSLSACFFDLGAIICKI >DRNTG_01029.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18190124:18191511:-1 gene:DRNTG_01029 transcript:DRNTG_01029.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYIVRQCYGGPEVDQAMVLRMQSSTIQLATRSGTSGNFEFALLTILRCMLRILESILPSPPVPALAALAPESACPYRSPDAAARQTTSVVAHPD >DRNTG_22938.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17020628:17021478:1 gene:DRNTG_22938 transcript:DRNTG_22938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTPLLLSSAPPLITSVSSSYEPHAGEDLDPNLCNPRLGEASPPKI >DRNTG_08642.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22044172:22046493:-1 gene:DRNTG_08642 transcript:DRNTG_08642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNTMLMVLAVLATYMIWFKRLVLNMKGPRVWPLVGSLPGLIQHSDNMHEWISDNLTITGGTYQTCIAAVPFLARRQGLVTVTCDPKNLEHVLKTKFDNYPKGPTWHAVFQDLLGDGIFNSDGETWLLQRKTAALEFTTRTLRQAMSRWVSRAIQLRLLPILKQTEDGGGAAAVDLQDLLLRLTFDNICGLAFGRDPETLAPGLPENAFATAFDRATEASLHRFIFPEVLWRIKKWLRLGMESTLSRSVAHVDEYLSAVIKARKLELHSHSHDDLLSRFMRKGNYTDAFLQQVALNFILAGRDTSSVALSWFFWLVSNNPNVERKILEEVCSVLKETRGCDVDKWLQGPLEFEEIDRLVYLKAALSETLRLYPSVPEDSKHVVSDDVLPDGTFVPAGSAITYSIYSAGRMKTVWGEDCLEFRPERWLTGDGKRFTQHDSFKFVAFNAGPRICLGKDLAYLQMKSIAAGVLLRHRLTVAAGHRVEQKMSLTLFMKYGLRVNVHHRDLSAIVEDLRRVN >DRNTG_08642.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22044172:22046119:-1 gene:DRNTG_08642 transcript:DRNTG_08642.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELNTMLMVLAVLATYMIWFKRLVLNMKGPRVWPLVGSLPGLIQHSDNMHEWISDNLTITGGTYQTCIAAVPFLARRQGLVTVTCDPKNLEHVLKTKFDNYPKGPTWHAVFQDLLGDGIFNSDGETWLLQRKTAALEFTTRTLRQAMSRWVSRAIQLRLLPILKQTEDGGGAAAVDLQDLLLRLTFDNICGLAFGRDPETLAPGLPENAFATAFDRATEASLHRFIFPEVLWRIKKWLRLGMESTLSRSVAHVDEYLSAVIKARKLELHSHSHDDLLSRFMRKGNYTDAFLQQVALNFILAGRDTSSVALSWFFWLVSNNPNVERKILEEVCSVLKETRGCDVDKWLQGPLEFEEIDRLVYLKAALSETLRLYPSVPEDSKHVVSDDVLPDGTFVPAGSAITYSIYSAGRMKTVWGEDCLEFRPERWLTGDGKRFTQHDSFKFVAFNAGPRICLGKDLAYLQMKSIAAGVLLRHRLTVAAGHRVEQKMSLTLFMKYGLRVNVHHRDLSAIVEDLRRVN >DRNTG_08642.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22044172:22046095:-1 gene:DRNTG_08642 transcript:DRNTG_08642.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELNTMLMVLAVLATYMIWFKRLVLNMKGPRVWPLVGSLPGLIQHSDNMHEWISDNLTITGGTYQTCIAAVPFLARRQGLVTVTCDPKNLEHVLKTKFDNYPKGPTWHAVFQDLLGDGIFNSDGETWLLQRKTAALEFTTRTLRQAMSRWVSRAIQLRLLPILKQTEDGGGAAAVDLQDLLLRLTFDNICGLAFGRDPETLAPGLPENAFATAFDRATEASLHRFIFPEVLWRIKKWLRLGMESTLSRSVAHVDEYLSAVIKARKLELHSHSHDDLLSRFMRKGNYTDAFLQQVALNFILAGRDTSSVALSWFFWLVSNNPNVERKILEEVCSVLKETRGCDVDKWLQGPLEFEEIDRLVYLKAALSETLRLYPSVPEDSKHVVSDDVLPDGTFVPAGSAITYSIYSAGRMKTVWGEDCLEFRPERWLTGDGKRFTQHDSFKFVAFNAGPRICLGKDLAYLQMKSIAAGVLLRHRLTVAAGHRVEQKMSLTLFMKYGLRVNVHHRDLSAIVEDLRRVN >DRNTG_32083.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:36914:40583:1 gene:DRNTG_32083 transcript:DRNTG_32083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMKGSTSTAWSAPDACARHKEWDEVSCAICMDYPHNAVLLICSSHEKGCRSFICDTSYRHSNCLDRFKQSRVDRQDITSHSDFSINDNVDEQRFDEGNNGIPSQPTLEETDVINLFDTGEDYIMDENDFSVSAGLPVVLENNNDIQEQSGLTEAQTDERISSEQSGEQEKVKLDNLKCPLCRGTVMGWIIVKEARQYMDLKTRSCSRESCAFSGNYRELRRHARRVHPTTRPAEVDPSRQRAWRRMEHQREYGDIISAIRSAMPGAIVFGDYVLDGGEGLPLERDIGEGDGPFWTTFFLLRMISSPNGSLNGRRGITRPWRMHHRSARRRYLWGENLLGLQDEDDDDDDVRILDEEDVSIPRRRRRRFVRARTDELP >DRNTG_32083.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:36914:40583:1 gene:DRNTG_32083 transcript:DRNTG_32083.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMKGSTSTAWSAPDACARHKEWDEVSCAICMDYPHNAVLLICSSHEKGCRSFICDTSYRHSNCLDRFKQSRVDRQDITSHSDFSINDNVDEQRFDEGNNGIPSQPTLEETDVINLFDTGEDYIMDENDFSVSAGLPVVLENNNDIQEQSGLTEAQTDERISSEQSGEQEKVKLDNLKCPLCRGTVMGWIIVKEARQYMDLKTRSCSRESCAFSGNYRELRRHARRVHPTTRPAEVDPSRQRAWRRMEHQREYGDIISAIRSAMPGAIVFGDYVLDGGEGLPLERDIGEGDGPFWTTFFLLRMISSPNGSLNGRRGITRPWRMHHRSARRRYLWGENLLGLQDEDDDDDDVRILDEEDVSIPRRRRRRFVRARTDELP >DRNTG_25718.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17197518:17199798:-1 gene:DRNTG_25718 transcript:DRNTG_25718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLLILVLGGLEPSSPGLSQSLLLQMNLIAMLKSVLLIKRLERLAWNGWLEKRLR >DRNTG_31705.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16586916:16587546:1 gene:DRNTG_31705 transcript:DRNTG_31705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNPLSWVMEFAAIMAIVLANGGGQPPDFPDFVGIVILLFINSTISFIEENNAGNAAAALMAGLAPKTKVLRDEKWSEADAAILVPGDIISIKLGDIIPADARLLQGDPLKIDQAALTGESLPVTKHPGDEVFSGSTCKQGE >DRNTG_12294.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29779607:29782022:-1 gene:DRNTG_12294 transcript:DRNTG_12294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTFSGHFLIFSIITLAFISFQTEAAIKKYQFNVVVKHVSRLCHAKPIVTINGKFPGPTIYAREGDRVIINVTNYAQYNMSIHWHGIKQLRNGWADGPAYITQCPIKSGSSYNYDFNITGQRGTLWWHAHILWLRATVHGAIVIMPKHGVPYPFPQPDQEAKLILGEWWNEDVEVVETTGSKLGLPPNISDAHTINGKPGPLFPCSDKHTYALEVEHGKTYLLRIINAALNDELFFAIAGHNITVVEIDAVYCKPFTTDAILITPGQTTNVLLHANQAPGRYFMGARPFMDAPIIVDNKTAIAILQYKGVPTTVLPLLPNLPKPNDTTFALNYAQKLKSLNAPQYPAKVPLTIDRHLFYTIGLGINPCATCLNGTKLIASLNNITFVMPKIGLLQAHYFNIKNVFRLDFPDKPKTTFNFTGAPLTANLGTSQGTRLSKLAFNTTVELVLQDTSLLSVESHPFHLHGYNFFVVGQGIGNFDANTDPAKFNLFDPPERNTVGVPTGGWTAIRFRADNPGVWFMHCHLEVHTTWGLKMAFVVENGDGPEQSMLPPPKDLPPC >DRNTG_09687.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1409548:1419493:-1 gene:DRNTG_09687 transcript:DRNTG_09687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSRFALHLIITSVSDTSWILSVIYNPLRILDQRITWEELSGLANLMFPWFLIGDFNALISLNETKGGSHHYYARKARAFADFISTNNLLDVKFTGCHIAVREAFNFLPQSNPMHAFIHLIWRTRNKLISWSNNGLNSLEANMNKIELEILDVENFDVESVSDVHQDLSALYNKLSALQRQNSLKLAQRARLLWVQCVGTWLQRIAIRGQCSAKSDIFSFGVLALKILTGNKNSMFLEIEQAEDLPSYVSIAREH >DRNTG_19868.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10210300:10212678:-1 gene:DRNTG_19868 transcript:DRNTG_19868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWVTIVKLKHKCTMAYEHQTLVFLLLPPFILLLLSIKLLFFKRSTRLPPSPWKLPFIGNLHQIGLLPHQSLHKLAKSHGPLMLVNLGQVPTLVVSSSQIAKEIMKTHDLIFASRPSLKTAQVILYGCVDMVFSPYGEHWRQMRKISVTNLLSMKMVKSFQVAREEKVAHLINRISQASSQGFSINMSKLLFSFTNSFLCKAILGDLSKEEEGRNYEIFHEMIEETVLLLNGFNVEDYFPSLAWLCSLLRLDRRAKNNFSKWDGVLGQMIEEHVNKNKGEAEDNNFIDVLLSMQKDPNMDISLTKDYIKALLLDMFAAGTDTSYIVLEWSMAELIRNPQVMRKLQEEVRGIACNRPMVKEEDLNNMNYLRAFIKEILRLHPPAPLLVPRESMESCQIAGYEIPKKTRVFINYWAITRDPEVWESPEEFRPERFENNIIDFKGQDYQYIPFGAGRRICPGMQFAISTIELALANIVHQFDWQLPKHDVGEELDMSEAPGGTTRMNKNLYLVAKPCF >DRNTG_14037.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27520784:27523077:-1 gene:DRNTG_14037 transcript:DRNTG_14037.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWHVRAAIAPAILLVVDFGGWYKIDSKTSGGNSSHMVQHTQVSLLKDVIVPYTHMLPRLHLSDDKHRHTLLYFKGAKHRHRGGQVREKLWDLLVNESDVIMEEGFPNVTGREQSIQGMRNSEFCLHPAGDTPTSCRLFDAISSLCIPVIVSDSIELPFEGMVDYSKFSLFVSVNNALVPKWLVNYLKNISKAEKEMLRQNLAQVQPIFEYDNGSPGGIGAVPSEGAVNYIWRKIHQKVPMIREAIIREKRKPQGVSIPLRCHCT >DRNTG_14037.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27520784:27523077:-1 gene:DRNTG_14037 transcript:DRNTG_14037.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHVRAAIAPAILLVVDFGGWYKIDSKTSGGNSSHMVQHTQVSLLKDVIVPYTHMLPRLHLSDDKHRHTLLYFKGAKHRHRGGQVREKLWDLLVNESDVIMEEGFPNVTGREQSIQGMRNSEFCLHPAGDTPTSCRLFDAISSLCIPVIVSDSIELPFEGMVDYSKFSLFVSVNNALVPKWLVNYLKNISKAEKEMLRQNLAQVQPIFEYDNGSPGGIGAVPSEGAVNYIWRKIHQKVPMIREAIIREKRKPQGVSIPLRCHCT >DRNTG_18861.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2447202:2449101:1 gene:DRNTG_18861 transcript:DRNTG_18861.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEEEEGISGIPNPNPHQDGDVGGAVDVVPSLLMGSPSLIDPVFVGEDGARASEPEEVFGGDAAEKVEPLVGDALEDGKSLMDVWEEEMGPLVDVVREKEARPLDGLVEGRKSSAGDSAVVVSVPALDGGEETEPLVGASTETLEKGWIKPLEDMVGEERIEALVDKVGEDKRDPLADAVTKDETEKSDPLGGALKGEDCQSLENVEMEPSVDAMGGQEKSSMNGVMAEGTELLAGATEPVADAVKETAEPLSNAVEEEVEDVVEEVAEEPSVEYKTEEEAETVMDSTVEVAAEPLMNSVAKEAVEPFMDSAAEETAEPLMMDSVAEEAAEPPSMDSIVGATEPLMDSVGDGAAEPPSMDSVMEATEPLMDSVGDGAAEPPSMDSIMEATEPLMDSVGEVAAEPPSTDSVAEEVAEPLMDSVVEMAAEPLMDSVVEAADSLMDSVEEEAEEPLMDSMEEEAAEPLMDPITEKAVEPLISSRLEEAATTLEDSMVEGAAAPLEDSMLEVAAPSLGDFMVEDVEPFADTMIGEDAEPPAVSAKKGAGKRKRGRPPKTQSTRSLSKRKAEEDVCFICFDGGNLVVCDRR >DRNTG_21199.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001208.1:80917:87345:1 gene:DRNTG_21199 transcript:DRNTG_21199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEDVLAALVEICPQVDYCMLQAVASQHSEDVNAALDFILHDVLPSSTASWTPCSSNSSDWGHQGVEEAHAHWPSESGLLSSGIACNSDFQVNDRMTCMDKPVGRDTEYETKTNGMGYPTNSTEANSNMHLKERFVEFGVCLPSDHTNDFKVNSTNETLSGSDLQEVSACSAGPTSIHDNSAMQDKYTGTATSNGAQDPFASILNKSIEHLKEFPSQREIENDDNLSSQGDIGSFDVNGQSSTIASGIDLFEGIKYLEDLTIDAKNSKVILTSAMESTINMEKEVELHEERAKQAKIEASLAGEDTLVHVEELQCRLKLEKERNDMHAEEVHGERSMLAAEAQGLQSWLLSVSNERDKSLGIIDEIRNTLETRLASAKAEIAAAEEEAFEKKVLALKALADGRLFAVSIFEEKEKLQQEAEENLKLKEALMNHGQIIDILRLEIASILKDVMTLKERVDGHIPLSRSASRVSVTSNPVSLSTELSDSQIAPSEGTSRNPHSDGVSVSSSQLGDMSFSTLSATSSTTSDAVSPDTELPDCQIVNEEVTLKSSRLLSKININEVPSDDEESLKSLRLESNIININEVPFDDEETLKTPHSQSKTININEVPSDDEETPKSPDSESKIININAVPFDEEETPKSPHSESRININEVPSDDDNNIVDMDDWEFLGEFYVKPTAPEMAA >DRNTG_18876.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2307792:2311006:-1 gene:DRNTG_18876 transcript:DRNTG_18876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVALPSPPPPPLPLLSSSSSLRSRSSSHLHDLNLLIRNRKALVRRRGCRAELAHDAPFVAAIGACVLTSLVSPIPSRSSEDPDDGGGAMDATDTRFAVMGIVSFIPYFNWLAWVFAWLDSGRQRYLIYSIVYLAPYLRTNLSLSPEESWLPIASIVFCILHVQLEASIRNGDIKGFQFFHEIQNLLSPRSMKKENLFKSSQKFTKEKKEEKEELPFADAPRNKFHERGRPFNDAQHKDGDPSADEDKRSD >DRNTG_21445.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1814527:1819007:-1 gene:DRNTG_21445 transcript:DRNTG_21445.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMERRSLLGPVENSNSSKVDDVELVLRLKALENFNKYRVGLLGSCKFGDKKAESGLSECVEDAGKTVETKLPSQAAVERRPAKSMDLQRHEEAESQASEVRIKSAVSRPAEARIKSVVSRPAQLSDALMSTEENNDNVRIKSKAVDNKLIPGNGEALNSHNDNVSIKSKAVDNKLIPSNGEALNSPNQLKSVNELVTLAMDDQAKRTSEEPKSSLVQETEGNKADVSASGASSAPKKETGKHNPSENVAEATQFEQKTFSRMHDGEMVQVSYKVYIPKRAPALARRQLQR >DRNTG_21445.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1814527:1819007:-1 gene:DRNTG_21445 transcript:DRNTG_21445.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMERRSLLGPVENSNSSKVDDVELVLRLKALENFNKYRVGLLGSCKFGDKKAESGLSECVEDAGKTVETKLPSQAAVERRPAKSMDLQRHEEAESQASEVRIKSAVSRPAEARIKSVVSRPAQLSDALMSTEENNDNVRIKSKAVDNKLIPGNGEALNSHNDNVSIKSKAVDNKLIPSNGEALNSPNQLKSVNELVTLAMDDQAKRTSEEPKSSLVQETEGNKADVSASGASSAPKKETGKHNPSENVAEATQFEQKTFSRMHDGEMVQVSYKVYIPKRAPALARRQLQR >DRNTG_21445.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1814527:1819007:-1 gene:DRNTG_21445 transcript:DRNTG_21445.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMERRSLLGPVENSNSSKVDDVELVLRLKALENFNKYRVGLLGSCKFGDKKAESGLSECVEDAGKTVETKLPSQAAVERRPAKSMDLQRHEEAESQASEVRIKSAVSRPAEARIKSVVSRPAQLSDALMSTEENNDNVRIKSKAVDNKLIPGNGEALNSHNDNVSIKSKAVDNKLIPSNGEALNSPNQLKSVNELVTLAMDDQAKRTSEEPKSSLVQETEGNKADVSASGASSAPKKETGKHNPSENVAEATQFEQKTFSRMHDGEMVQVSYKVYIPKRAPALARRQLQR >DRNTG_21445.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1814527:1819007:-1 gene:DRNTG_21445 transcript:DRNTG_21445.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMERRSLLGPVENSNSSKVDDVELVLRLKALENFNKYRVGLLGSCKFGDKKAESGLSECVEDAGKTVETKLPSQAAVERRPAKSMDLQRHEEAESQASEVRIKSAVSRPAEARIKSVVSRPAQLSDALMSTEENNDNVRIKSKAVDNKLIPGNGEALNSHNDNVSIKSKAVDNKLIPSNGEALNSPNQLKSVNELVTLAMDDQAKRTSEEPKSSLVQETEGNKADVSASGASSAPKKETGKHNPSENVAEATQFEQKTFSRMHDGEMVQVSYKVYIPKRAPALARRQLQR >DRNTG_31393.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21908427:21910213:-1 gene:DRNTG_31393 transcript:DRNTG_31393.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFHVVDEDGNKLIDYEVSDKIQQSLAARAYSVRSVGVEPALEHTSIELIGIDRPGLLSEIFAVLTDLKCNVVAAEVWTHNSRMASVVYITDESTRGPIEDSDRLANIRRLLRYVLKGNRDKRSAKTAISIGETHTERRLHQMMYADRDYDIDNVQEEVIGLTISERIKPIVTVENYVEKGYSLINVRCDDRPKLLFDTVCTLTDMKYVVFHATIIAEGPEAYQVCF >DRNTG_31393.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21908427:21910213:-1 gene:DRNTG_31393 transcript:DRNTG_31393.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVYITDESTRGPIEDSDRLANIRRLLRYVLKGNRDKRSAKTAISIGETHTERRLHQMMYADRDYDIDNVQEEVIGLTISERIKPIVTVENYVEKGYSLINVRCDDRPKLLFDTVCTLTDMKYVVFHATIIAEGPEAYQEYYIRHLDGYPISSEGERRRLIHCLEAAIQRRTTEGLRLELCCNDRVGLLSDVTRIFRENGLSVSRAEVTTRGSKAVNVFFVTDTYGNKVQSRIIEAIRSEIGEAILRVKDITAYSRSKSDNEKFSFGTLFRSRSEKFLYNLGLIRSCP >DRNTG_31393.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21908427:21910434:-1 gene:DRNTG_31393 transcript:DRNTG_31393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPRVTVDNASSRKATLVKVDSINKHGSLLDVVQVLTDLNITIKRAYISSDGEWFMDVFHVVDEDGNKLIDYEVSDKIQQSLAARAYSVRSVGVEPALEHTSIELIGIDRPGLLSEIFAVLTDLKCNVVAAEVWTHNSRMASVVYITDESTRGPIEDSDRLANIRRLLRYVLKGNRDKRSAKTAISIGETHTERRLHQMMYADRDYDIDNVQEEVIGLTISERIKPIVTVENYVEKGYSLINVRCDDRPKLLFDTVCTLTDMKYVVFHATIIAEGPEAYQEYYIRHLDGYPISSEGERRRLIHCLEAAIQRRTTEGLRLELCCNDRVGLLSDVTRIFRENGLSVSRAEVTTRGSKAVNVFFVTDTYGNKVQSRIIEAIRSEIGEAILRVKDITAYSRSKSDNEKFSFGTLFRSRSEKFLYNLGLIRSCP >DRNTG_31393.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21908427:21910107:-1 gene:DRNTG_31393 transcript:DRNTG_31393.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFHVVDEDGNKLIDYEVSDKIQQSLAARAYSVRSVGVEPALEHTSIELIGIDRPGLLSEIFAVLTDLKCNVVAAEVWTHNSRMASVVYITDESTRGPIEDSDRLANIRRLLRYVLKGNRDKRSAKTAISIGETHTERRLHQMMYADRDYDIDNVQEEVIGLTISERIKPIVTVENYVEKGYSLINVRCDDRPKLLFDTVCTLTDMKYVVFHATIIAEGPEAYQVCF >DRNTG_31393.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21908427:21910107:-1 gene:DRNTG_31393 transcript:DRNTG_31393.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFHVVDEDGNKLIDYEVSDKIQQSLAARAYSVRSVGVEPALEHTSIELIGIDRPGLLSEIFAVLTDLKCNVVAAEVWTHNSRMASVVYITDESTRGPIEDSDRLANIRRLLRYVLKGNRDKRSAKTAISIGETHTERRLHQMMYADRDYDIDNVQEEVIGLTISERIKPIVTVENYVEKGYSLINVRCDDRPKLLFDTVCTLTDMKYVVFHATIIAEGPEAYQEYYIRHLDGYPISSEGERRRLIHCLEAAIQRRTTEGLRLELCCNDRVGLLSDVTRIFRENGLSVSRAEVTTRGSKAVNVFFVTDTYGNKVQSRIIEAIRSEIGEAILRVKDITAYSRSKSDNEKFSFGTLFRSRSEKFLYNLGLIRSCP >DRNTG_31393.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21908427:21910107:-1 gene:DRNTG_31393 transcript:DRNTG_31393.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVYITDESTRGPIEDSDRLANIRRLLRYVLKGNRDKRSAKTAISIGETHTERRLHQMMYADRDYDIDNVQEEVIGLTISERIKPIVTVENYVEKGYSLINVRCDDRPKLLFDTVCTLTDMKYVVFHATIIAEGPEAYQEYYIRHLDGYPISSEGERRRLIHCLEAAIQRRTTEGLRLELCCNDRVGLLSDVTRIFRENGLSVSRAEVTTRGSKAVNVFFVTDTYGNKVQSRIIEAIRSEIGEAILRVKDITAYSRSKSDNEKFSFGTLFRSRSEKFLYNLGLIRSCP >DRNTG_00306.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2109290:2109930:-1 gene:DRNTG_00306 transcript:DRNTG_00306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIGKGRLSLMINPLWNNSVLFISALTSFSCQSIRLKVSEEIQETRFN >DRNTG_07288.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3630339:3633459:-1 gene:DRNTG_07288 transcript:DRNTG_07288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKKLKGKRAVVRWLKFFRWKKKKAFERMTAEEKILYKLKKARRKENRIVEALKKIEPADSSETTHDPEILTPEEHFHFLKMGHKSKNYVPVGRRGIFQGVILNMHLHWKKHQTLKVVVKTFTADEVREIAAELARLSGGIVLDIVEGNTIIMYRGRNYSQPPTEIMSPKVTLTRKKALDKSKHRDSLRAVRKFIPKLEQDLENLHTQMTASREAELCPVTNEETTEAVFDRLIAETQSEGIELRKTKVGDDELHESNEDSTMVRRSWSDSEDLSDIFSTDSDMDSEEKKMDKSPLFLDQFEKFPSENAACSESFEDHLRQIAASRKNNSSGKDVQFAELDEIDKIFLRAGSLLKRRK >DRNTG_07288.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3630339:3631953:-1 gene:DRNTG_07288 transcript:DRNTG_07288.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKARRKENRIVEALKKIEPADSSETTHDPEILTPEEHFHFLKMGHKSKNYVPVGRRGIFQGVILNMHLHWKKHQTLKVVVKTFTADEVREIAAELARLSGGIVLDIVEGNTIIMYRGRNYSQPPTEIMSPKVTLTRKKALDKSKHRDSLRAVRKFIPKLEQDLENLHTQMTASREAELCPVTNEETTEAVFDRLIAETQSEGIELRKTKVGDDELHESNEDSTMVRRSWSDSEDLSDIFSTDSDMDSEEKKMDKSPLFLDQFEKFPSENAACSESFEDHLRQIAASRKNNSSGKDVQFAELDEIDKIFLRAGSLLKRRK >DRNTG_12921.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1453475:1455080:1 gene:DRNTG_12921 transcript:DRNTG_12921.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDEIVVGTAPTSSSRQAGKSPRRVACHHVGVSMMSARRCRERWLGRSGARPWQLGGRVFCFLREAMSVPSSGTLRRPAWLHGSPASCRTWWRFHRRPCYLAG >DRNTG_12921.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1452819:1455080:1 gene:DRNTG_12921 transcript:DRNTG_12921.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDEIVVGTAPTSSSRQAGKSPRRVACHHVGVSMMSARRCRERWLGRSGARPWQLGGRVFCFLREAMSVPSSGTLRRPAWLHGSPASCRTWWRFHRRPCYLAG >DRNTG_12921.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1453475:1455136:1 gene:DRNTG_12921 transcript:DRNTG_12921.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDEIVVGTAPTSSSRQAGKSPRRVACHHVGVSMMSARRCRERWLGRSGARPWQLGGRVFCFLREAMSVPSSGTLRRPAWLHGSPASCRTWWRFHRRPCYLAG >DRNTG_12921.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1453112:1455136:1 gene:DRNTG_12921 transcript:DRNTG_12921.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDEIVVGTAPTSSSRQAGKSPRRVACHHVGVSMMSARRCRERWLGRSGARPWQLGGRVFCFLREAMSVPSSGTLRRPAWLHGSPASCRTWWRFHRRPCYLAG >DRNTG_12921.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1452819:1455136:1 gene:DRNTG_12921 transcript:DRNTG_12921.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDEIVVGTAPTSSSRQAGKSPRRVACHHVGVSMMSARRCRERWLGRSGARPWQLGGRVFCFLREAMSVPSSGTLRRPAWLHGSPASCRTWWRFHRRPCYLAG >DRNTG_09624.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21995885:21998485:1 gene:DRNTG_09624 transcript:DRNTG_09624.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSESSIDKLGITKSSLSHSCSSTDGADWLRDGSMDDRQTWISTTTGAQEPGKKGKDITLMKKSNKSISAEIEREEEEIRELSLPLSRFRLSLL >DRNTG_15002.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23925605:23931653:1 gene:DRNTG_15002 transcript:DRNTG_15002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAAARADNFYYPPEWTPKQGSLNKFHGQHALRERARKLDQGILIIRFEMPFNIWCGGCNSMIAKGVRFNAEKKQVGNYYSTKIWSFTMKSACCKHEIVIQTDPKNCEYVIISGAQRKTEEFDIEDAETFALPADEERGKLSDPFYRLEHQEEDLRKKKEAEPLLVRLQKVTDSRHSDDYNLNRALRARLRGQKRKVAEEEVASRKLGLGIRLLPPSTEDGATAAKVKFASKFEKNMKDKRAAIKSSSIFASSMTSPAGNKLSELESKRRKIKASTASALLTGRVKPSSWVQQSHTSLSAARSGRNSVVLTRK >DRNTG_15002.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23928795:23931653:1 gene:DRNTG_15002 transcript:DRNTG_15002.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAAARADNFYYPPEWTPKQGSLNKFHGQHALRERARKLDQGILIIRFEMPFNIWCGGCNSMIAKGVRFNAEKKQVGNYYSTKIWSFTMKSACCKHEIVIQTDPKNCEYVIISGAQRKTEEFDIEDAETFALPADEERGKLSDPFYRLEHQEEDLRKKKEAEPLLVRLQKVTDSRHSDDYNLNRALRARLRGQKRKVAEEEVASRKLGLGIRLLPPSTEDGATAAKVKFASKFEKNMKDKRAAIKSSSIFASSMTSPAGNKLSELESKRRKIKASTASALLTGRVKPSSWVQQSHTSLSAARSGRNSVVLTRK >DRNTG_28978.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18497202:18498191:1 gene:DRNTG_28978 transcript:DRNTG_28978.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWGSPSSIGSSPARYGALVISIRIRAAISYKGEEKTTNRPPVFLKQWKEEIGVKGKDSTKAKDLLQGKESRLHLSCSWSGGQYVNSEAKNGGYGCF >DRNTG_29872.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1982127:1985294:1 gene:DRNTG_29872 transcript:DRNTG_29872.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRRLAAVSSHLHPPAQEPGISVVPAVASSRSSGGNGCVFCEIIRGESPAFKLYEDDICLCILDSNPLTFGHSLIITKSHFSSLQVTPPSVVAAMCSTVPTLGNAIMKATHCKSFNLVVNNGSAAGQVIFHTHLHIIPRKAGDQLWPSESFRRQSLEQNSETFVLVKSIKEQLSSADESTPANNSED >DRNTG_29872.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1982127:1985602:1 gene:DRNTG_29872 transcript:DRNTG_29872.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRRLAAVSSHLHPPAQEPGISVVPAVASSRSSGGNGCVFCEIIRGESPAFKLYEDDICLCILDSNPLTFGHSLIITKSHFSSLQVTPPSVVAAMCSTVPTLGNAIMKATHCKSFNLVVNNGSAAGQVIFHTHLHIIPRKAGDQLWPSESFRRQSLEQNSETFVLVKSIKEQLSSADESTPANNSED >DRNTG_05460.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23095874:23096852:1 gene:DRNTG_05460 transcript:DRNTG_05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRFQGGNREKDGNPTISLVERTPGDYLPRKAHVRRSQAKSGAIHSQNPLSRTHTRVHY >DRNTG_05716.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1849358:1852569:1 gene:DRNTG_05716 transcript:DRNTG_05716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVARIMNATLVIPELDKRSFWQDSSTFSDIFDENHFINALQGDIHIVRELPKELASLPRARKHFTSWASVSYYEEVSHLFKDYKVIHVPKSDSRLANNDLPIDIQRLRCRALYHALQFSAPIQDLGKVLSMHDAGTTEACGAAEITRTIYRAASSL >DRNTG_05716.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1849358:1852399:1 gene:DRNTG_05716 transcript:DRNTG_05716.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRASSSSLDPLCSRFLSPSPISVYVLLLLLFSTVVVFFSHRQIAQVSHQPFVSELPPVLEDKHLWDSSHGYGYKQCIKPTHRYKPPQDVNRYLTVRNNGGLNQMRTGICDMVAVARIMNATLVIPELDKRSFWQDSSTFSDIFDENHFINALQGDIHIVRELPKELASLPRARKHFTSWASVSYYEEVSHLFKDYKVIHVPKSDSRLANNDLPIDIQRLRCRALYHALQFSAPIQDLGKKLVERLKSHGRYIALHLRYEKDMLSFTGCTYGLNDSEAEMLTALRENTKHWKLKKINSTEQRIGGFCPLTPKEVGIFLQALGYPPATYIYIAAGEMFGGDTYLSDLRSRFPNLVFKETLATAEELKKIVSHASQAAAIDYIISVESDVFVPSYTGNMARAVEGHRRYLDHRKTINPDRKGLVELFDKMENGELKESSTLSPLVTRMHKSRQGSPRKRYGSLPGMKGRNRLRTEESFYENPLPECICRN >DRNTG_05716.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1850886:1852399:1 gene:DRNTG_05716 transcript:DRNTG_05716.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGDTYLSDLRSRFPNLVFKETLATAEELKKIVSHASQAAAIDYIISVESDVFVPSYTGNMARAVEGHRRYLDHRKTINPDRKGLVELFDKMENGELKESSTLSPLVTRMHKSRQGSPRKRYGSLPGMKGRNRLRTEESFYENPLPECICRN >DRNTG_05716.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1849358:1852399:1 gene:DRNTG_05716 transcript:DRNTG_05716.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRASSSSLDPLCSRFLSPSPISVYVLLLLLFSTVVVFFSHRQIAQVSHQPFVSELPPVLEDKHLWDSSHGYGYKQCIKPTHRYKPPQDVNRYLTVRNNGGLNQMRTGICDMVAVARIMNATLVIPELDKRSFWQDSSTFSDIFDENHFINALQGDIHIVRELPKELASLPRARKHFTSWASVSYYEEVSHLFKDYKVIHVPKSDSRLANNDLPIDIQRLRCRALYHALQFSAPIQDLGKVLSMHDAGTTEACGAAEITRTIYRAASSL >DRNTG_34121.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21427760:21431245:-1 gene:DRNTG_34121 transcript:DRNTG_34121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGLSGMCPSSGSSLRLSRGGPVAKVNVVDFGFSHLFDERILLKSGIEK >DRNTG_15947.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000791.1:31114:34358:1 gene:DRNTG_15947 transcript:DRNTG_15947.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRLSLAFAFVIVLFDFIFFEFAAANVVVIGKNVTLSFDDVEANFASPIKASGECGVLYVAEPLDACTHLTKAVGSNPNPPFALIVRGGCTFDEKVRNAQIAGFKAAIVYDNEDSDVLISSNASFFCHHSS >DRNTG_15947.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000791.1:31114:36690:1 gene:DRNTG_15947 transcript:DRNTG_15947.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWILPNNENSAWSIMAISFISLLAVSAVLATCFFVRRHRVRHETPSLPVREFHGMSKRLVKAMPSLIFTSVLEDNCTSMTCAICLEDYNVGDKLRVLPCRHKFHAFCVDSWLTAWRSFCPVCKRDARTSSSDPPASECTPLLSSAASSLSSSTRLASFHSAASLTPSIQIAHPPRPPSSSRTHSLSSAYIPNSHRSSLNNSPSISFSRNSVNLRHASSNRSLHSYLISPHSVGAPLSSSINSRYFSPYIPSSTNGSPSHLVESSGRPSSYLRHCNESESSFSVLASAESLPGC >DRNTG_15947.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000791.1:31114:36690:1 gene:DRNTG_15947 transcript:DRNTG_15947.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWILPNNENSAWSIMAISFISLLAVSAVLATCFFVRRHRVRHETPSLPVREFHGMSKRLVKAMPSLIFTSVLEDNCTSMTCAICLEDYNVGDKLRVLPCRHKFHAFCVDSWLTAWRSFCPVCKRDARTSSSDPPASECTPLLSSAASSLSSSTRLASFHSAASLTPSIQIAHPPRPPSSSRTHSLSSAYIPNSHRSSLNNSPSISFSRNSVNLRHASSNRSLHSYLISPHSVGAPLSSSINSRYFSPYIPSSTNGSPSHLVESSGRPSSYLRHCNESESSFSVLASAESLPGC >DRNTG_15947.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000791.1:34742:36690:1 gene:DRNTG_15947 transcript:DRNTG_15947.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWILPNNENSAWSIMAISFISLLAVSAVLATCFFVRRHRVRHETPSLPVREFHGMSKRLVKAMPSLIFTSVLEDNCTSMTCAICLEDYNVGDKLRVLPCRHKFHAFCVDSWLTAWRSFCPVCKRDARTSSSDPPASECTPLLSSAASSLSSSTRLASFHSAASLTPSIQIAHPPRPPSSSRTHSLSSAYIPNSHRSSLNNSPSISFSRNSVNLRHASSNRSLHSYLISPHSVGAPLSSSINSRYFSPYIPSSTNGSPSHLVESSGRPSSYLRHCNESESSFSVLASAESLPGC >DRNTG_14387.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:503416:505855:1 gene:DRNTG_14387 transcript:DRNTG_14387.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAPEMSLDLKLSATRTIGGFLREASAMGDGEARVAKLEEFVRVLEEEKRKIEAFKRELPLCMVLVTDVIGGLKEELEQCRGDRFDREVEEFMPMKRSKLEEEMEERAKLELDSRDKMNWMSSAQLWSDNYSDNDKKKTSEEKGEKQPGLDLEPRNKNRGAFIPFNGVLPAEKDEKPAGPLPDLSLLSSAVKSSRPVAMMQEDHLYGGSNGGGRAPTSTGLSLQAQQQPARKARRCWSPELHRRFVISLQQLGGAQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHARRAPNSSVQANQQVLVMGSLWPSQDHYTAVLSQQSASPSGSPQSPLQLADVSRAISVTAGDSYEEEDGKSESYGWK >DRNTG_25702.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30407546:30408047:1 gene:DRNTG_25702 transcript:DRNTG_25702.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANKKIKFQVLRRLKQAVKKVRLIISFNASKLFISSMARSPDSRRLVYRRQPSLLDCGSSFERDNESFYQFVSLSNEMPKNRTVSRTTSACSSKDEGDEDVDKRAEEFIRNFHKHICMERQVSLKLSYCTKERNE >DRNTG_25702.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30407455:30408047:1 gene:DRNTG_25702 transcript:DRNTG_25702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKKIKFQVLRRLKQAVKKVRLIISFNASKLFISSMARSPDSRRLVYRRQPSLLDCGSSFERDNESFYQFVSLSNEMPKNRTVSRTTSACSSKDEGDEDVDKRAEEFIRNFHKHICMERQVSLKLSYCTKERNE >DRNTG_25702.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30407546:30407951:1 gene:DRNTG_25702 transcript:DRNTG_25702.6 gene_biotype:protein_coding transcript_biotype:protein_coding MANKKIKFQVLRRLKQAVKKVRLIISFNASKLFISSMARSPDSRRLVYRRQPSLLDCGSSFERDNESFYQFVSLSNEMPKNRTVSRTTSACSSKDEGDEDVDKRAEEFIRNFHKHICMERQVSLKLSYC >DRNTG_25702.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30407455:30407980:1 gene:DRNTG_25702 transcript:DRNTG_25702.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKKIKFQVLRRLKQAVKKVRLIISFNASKLFISSMARSPDSRRLVYRRQPSLLDCGSSFERDNESFYQFVSLSNEMPKNRTVSRTTSACSSKDEGDEDVDKRAEEFIRNFHKHICMERQVSLKLSYCTKERNE >DRNTG_25702.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30407546:30407980:1 gene:DRNTG_25702 transcript:DRNTG_25702.5 gene_biotype:protein_coding transcript_biotype:protein_coding MANKKIKFQVLRRLKQAVKKVRLIISFNASKLFISSMARSPDSRRLVYRRQPSLLDCGSSFERDNESFYQFVSLSNEMPKNRTVSRTTSACSSKDEGDEDVDKRAEEFIRNFHKHICMERQVSLKLSYCTKERNE >DRNTG_25702.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30407455:30407951:1 gene:DRNTG_25702 transcript:DRNTG_25702.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANKKIKFQVLRRLKQAVKKVRLIISFNASKLFISSMARSPDSRRLVYRRQPSLLDCGSSFERDNESFYQFVSLSNEMPKNRTVSRTTSACSSKDEGDEDVDKRAEEFIRNFHKHICMERQVSLKLSYC >DRNTG_04574.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2998082:3002462:-1 gene:DRNTG_04574 transcript:DRNTG_04574.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGTPLHGPRIKLIEKAQNLFAETKEHMFESKAAEEHAKLLRIQHELEVSTKQAIFIDSSISDTIRTCISTGNHRAAMKVRTEFKVPEKRWYWLKALALSTRGDWAALEKFSKEKRPPGGYKPFVEACIGADAKAEALKYIPKLTEPRERSEAYARIGMAKEAADAASQAKDSEFFGRLKLTLGQNAGATSIFDSLRDRLSFQGVY >DRNTG_04574.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2998082:3004964:-1 gene:DRNTG_04574 transcript:DRNTG_04574.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQMIGWCICAYLKIIGGQSFGYAYIGFMTILLILQWQRDDYVPILYHFLNCHFRRIHEEVVVMHWACAKITASAAIQDVPLLETLLDKLKLCKGISYAAIAAHADNCGRRKLAALLVDHEPRSSKQVPLLLSIAEEDTALVKATESGDTDLVYLVLFHIWQKRPPLDFFGTINARPLARDLFIKYVRCYKHEFLKDFFLSTGQLQDVAFLLLKESWEIEKNPMASKGTPLHGPRIKLIEKAQNLFAETKEHMFESKAAEEHAKLLRIQHELEVSTKQAIFIDSSISDTIRTCISTGNHRAAMKVRTEFKVPEKRWYWLKALALSTRGDWAALEKFSKEKRPPGGYKPFVEACIGADAKAEALKYIPKLTEPRERSEAYARIGMAKEAADAASQAKDSEFFGRLKLTLGQNAGATSIFDSLRDRLSFQGVY >DRNTG_04574.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2998082:3004964:-1 gene:DRNTG_04574 transcript:DRNTG_04574.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQMIGWCICAYLKIIGGQSFGYAYIGFMTILLILQWQRDDYVPILYHFLNCHFRRIHERPPLDFFGTINARPLARDLFIKYVRCYKHEFLKDFFLSTGQLQDVAFLLLKESWEIEKNPMASKGTPLHGPRIKLIEKAQNLFAETKEHMFESKAAEEHAKLLRIQHELEVSTKQAIFIDSSISDTIRTCISTGNHRAAMKVRTEFKVPEKRWYWLKALALSTRGDWAALEKFSKEKRPPGGYKPFVEACIGADAKAEALKYIPKLTEPRERSEAYARIGMAKEAADAASQAKDSEFFGRLKLTLGQNAGATSIFDSLRDRLSFQGVY >DRNTG_28571.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:10508916:10511740:1 gene:DRNTG_28571 transcript:DRNTG_28571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESKERGIVVPWCCQTEVLAHDSVGGFLTHCGWNSVLESIWSGVPMLCFPLLTDQFTNRKLVVSDWKVGVDLGGKGRADRREVSKKIKCLMGREEFKKEIKVVRRALENATSVNPKGSSVKNF >DRNTG_33603.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002024.1:27320:30925:1 gene:DRNTG_33603 transcript:DRNTG_33603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDKILPFSSTLGWHSLNVNGEVQTRKGLRWIPRHPETRKGVASDEMLRGVENKHRSGDSRIGQPFELLPNPWAGKRQPGELKHLSSQRKRKQKRFP >DRNTG_19638.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27834023:27835703:-1 gene:DRNTG_19638 transcript:DRNTG_19638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQPEQPGRSRSPTRQPREALRPVEQGVTPRFPMENVQRSRSPTPPPKETFRPAEHGRTSYAPCSRTINSAVGLLLGNIDSWMSPFLSTSSITTFQGLISQAKKLERTNPKVLSNFQTTSRSDKDKSKKMEGVKYTATTFNIEKGKGVVESHKPEQAKVPSLGATDNESKPLPSLKDRMNKKYSFRRDKVHKIFKDAVREGLQLPECKRPEEQSKTNHPNYCPYHRVLGHTIEDCYVFKDWVERQYQEGKITLSKNVLSEQPAEHIRYVTTLNPEGSSQREVTVVGNKVIFTSTEDDKPCAVPKELWEVFVSKRSMKMLKRLAELPGIIWKHSQQPHKGHQTKQSKKKNKKRKQKREQSKRKKSIIEEYIETLEEYEQKERVLITLRDYFPEEVEE >DRNTG_32748.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001909.1:12004:13117:1 gene:DRNTG_32748 transcript:DRNTG_32748.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEIGNRGSSILTMKPAAEIKACKSHSEAERRRRQRINGHLATLRSLLPSATRMDKATLLGEVVRHVRELKGLVGDVARDVPGEGDEFGVDDSEGSVRAWLCCADRPGLMSELSRAIGSVRARAVRAEIATIGGRTRSVVELEMVGSDPVGPFRSKLQDALRS >DRNTG_23193.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001261.1:60035:63348:-1 gene:DRNTG_23193 transcript:DRNTG_23193.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACSSAAAAALLSAILLLLLFFFSSTTLVSAGDHCPPSSCGNLTNIRNPFRLKGDRSECGDPNYELTCDHLNRTILTLLSNNYYVTNITYYDDLIEDYVDFNIQVMYVGMEKSNNGSCNHLPLPAAPLTFSSLNTNKYYYMAYQWATLVNCSKEVKNKSMHHYYYDYAYDDYYYKPVPCLSHNNSFIYLIGGYDETYAVSNLMPSCQYLAMFPDNYNYHIHLNWSDPQPVDIFEFLGQGFTLFPTIRSSKSIRHCLRTSLRENHQYITDDNTHENTAIIHKIHAIVFGIESRFLGCIEVYDHNRKHYYFVMSIIYVVVILLQIAKALLVFAVLGRCVFAPLTVYAFLSYQLYQIMSSVDNVEKFLRNQQALVPTRYSYTTSLP >DRNTG_23193.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001261.1:60035:63348:-1 gene:DRNTG_23193 transcript:DRNTG_23193.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSSAAAAALLSAILLLLLFFFSSTTLVSAGDHCPPSSCGNLTNIRNPFRLKGDRSECGDPNYELTCDHLNRTILTLLSNNYYVTNITYYDDLIEDYVDFNIQVMYVGMEKSNNGSCNHLPLPAAPLTFSSLNTNKYYYMAYQWATLVNCSKEVKNKSMHHYYYDYAYDDYYYKPVPCLSHNNSFIYLIGGYDETYAVSNLMPSCQYLAMFPDNYNYHIHLNWSDPQPVDIFEFLGQGFTLFPTIRSSKSIRHCLRTSLRSVFTSNRGFLILKLLKKS >DRNTG_23193.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001261.1:60035:63348:-1 gene:DRNTG_23193 transcript:DRNTG_23193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNFKEKLGQGGFGSVFKGRLPWDRLVAIKMLTNSKYNTGEDFMNEVSTIGRIHHI >DRNTG_19346.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5214587:5221510:1 gene:DRNTG_19346 transcript:DRNTG_19346.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAEKMASLKKAYADVILNMAQESAARIMVSERRALGFQRSLFAAKDEAVSTLLRLKSVLESKIKEAEKQSLGQIRRIQELESQLNEAEDTIDSLRTELKSTHDKLEKMKNLTGSSEVENMNTISCEDNSQEREISSHSALCYPPGSEQISTDKEDANLSPPAVNGHCIVLGNMIKAEEMGAENEFFSKPDLASMIMRSTEPELFRNGCTQRIRALEQNLLTATMPLEKTDNQSDFNCKLIEPENAKPERAYPVDTTEKGKSVTVPKELAEFKEVDQSKSRQVVHFSRRVSSGKQGKVNHVKPTHRSDTEKISIVDEVSGCVKSPFQVVSEEPEKISSAPVSLVISKCSKRSKTRKVQLKASLRNEKRGILKNDKQRITRQGFKEQSHLDLDNQTLGNPSYEFGGKHGRAVGDPLLLTPEKEDISGDQNFESQKPHMDNSILNTPEKEIADDVDISACSSKQEKNGTPSLNSIVKEENTCESSGAPQQAGNDKFLKYTFRRKRKRGSSNSKIEDIFPGTTDATKRRAEDKQDILVESQKPSLMVESTRDSRRMAQVARQLISLSEKRW >DRNTG_19346.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5214819:5221510:1 gene:DRNTG_19346 transcript:DRNTG_19346.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKKAYADVILNMAQESAARIMVSERRALGFQRSLFAAKDEAVSTLLRLKSVLESKIKEAEKQSLGQIRRIQELESQLNEAEDTIDSLRTELKSTHDKLEKMKNLTGSSEVENMNTISCEDNSQEREISSHSALCYPPGSEQISTDKEDANLSPPAVNGHCIVLGNMIKAEEMGAENEFFSKPDLASMIMRSTEPELFRNGCTQRIRALEQNLLTATMPLEKTDNQSDFNCKLIEPENAKPERAYPVDTTEKGKSVTVPKELAEFKEVDQSKSRQVVHFSRRVSSGKQGKVNHVKPTHRSDTEKISIVDEVSGCVKSPFQVVSEEPEKISSAPVSLVISKCSKRSKTRKVQLKASLRNEKRGILKNDKQRITRQGFKEQSHLDLDNQTLGNPSYEFGGKHGRAVGDPLLLTPEKEDISGDQNFESQKPHMDNSILNTPEKEIADDVDISACSSKQEKNGTPSLNSIVKEENTCESSGAPQQAGNDKFLKYTFRRKRKRGSSNSKIEDIFPGTTDATKRRAEDKQDILVESQKPSLMVESTRDSRRMAQVARQLISLSEKRW >DRNTG_19346.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5219349:5221510:1 gene:DRNTG_19346 transcript:DRNTG_19346.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVFLTMFVLVLLQIKEAEKQSLGQIRRIQELESQLNEAEDTIDSLRTELKSTHDKLEKMKNLTGSSEVENMNTISCEDNSQEREISSHSALCYPPGSEQISTDKEDANLSPPAVNGHCIVLGNMIKAEEMGAENEFFSKPDLASMIMRSTEPELFRNGCTQRIRALEQNLLTATMPLEKTDNQSDFNCKLIEPENAKPERAYPVDTTEKGKSVTVPKELAEFKEVDQSKSRQVVHFSRRVSSGKQGKVNHVKPTHRSDTEKISIVDEVSGCVKSPFQVVSEEPEKISSAPVSLVISKCSKRSKTRKVQLKASLRNEKRGILKNDKQRITRQGFKEQSHLDLDNQTLGNPSYEFGGKHGRAVGDPLLLTPEKEDISGDQNFESQKPHMDNSILNTPEKEIADDVDISACSSKQEKNGTPSLNSIVKEENTCESSGAPQQAGNDKFLKYTFRRKRKRGSSNSKIEDIFPGTTDATKRRAEDKQDILVESQKPSLMVESTRDSRRMAQVARQLISLSEKRW >DRNTG_28468.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22469826:22475938:-1 gene:DRNTG_28468 transcript:DRNTG_28468.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYCSKCVFACPANAINFRSTTLLSKILLGFNFSTFSHSFSASSYFPSSINWSTTFNPHK >DRNTG_04566.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:7749449:7750811:1 gene:DRNTG_04566 transcript:DRNTG_04566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVIWGGSIAGIHMIEEPVLHPVAEGLFNFVIGWTFMFAPLLFTDRRRDRYKGSLDVLWSLQMFLTNTFLIPYMAIRLNDIDVEQPPLKASRLGSLMTRGASVVGLIGAAVCVTSALWALFGRIDGGFGGIADRWQFCQMYITSERLAYAFIWDICLYSIFQPWLIGGNLQNVRKSNEGLVGNLRFVPVLGLVAYLFCLDVDSEL >DRNTG_14650.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1251310:1251962:-1 gene:DRNTG_14650 transcript:DRNTG_14650.2 gene_biotype:protein_coding transcript_biotype:protein_coding PKSIIPFFSLIPNQKKTIFSLKKRKIKTNNTLYSPNGTLLICSRRSDRPSPIQRPRSLHREPISIYRSRRAPSHHTPSPPAARTRQPPRRRTHTHTHRGSPPISQKPASAAHKRHGSTSRSFSATPFSAIETSKRSGSGSESSKTLAASHLLAQPSPVAVASSAASPPANPTSGTLSPSNTSSILH >DRNTG_14650.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1251310:1252502:-1 gene:DRNTG_14650 transcript:DRNTG_14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding FIHWINPKSIIPFFSLIPNQKKTIFSLKKRKIKTNNTLYSPNGTLLICSRRSDRPSPIQRPRSLHREPISIYRSRRAPSHHTPSPPAARTRQPPRRRTHTHTHRGSPPISQKPASAAHKRHGSTSRSFSATPFSAIETSKRSGSGSESSKTLAASHLLAQPSPVAVASSAASPPANPTSGTLSPSNTSSILH >DRNTG_09064.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31454303:31454964:1 gene:DRNTG_09064 transcript:DRNTG_09064.1 gene_biotype:protein_coding transcript_biotype:protein_coding AIEEGHGRSEKASTHDGYTRKQQAWRQISTNVWV >DRNTG_23073.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2391625:2394873:-1 gene:DRNTG_23073 transcript:DRNTG_23073.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLISDAGAGSGAGVGGQKPLCSICYEDLKPIIEDLQSISLCGHVFHELCLQQWLEYCPSGKKPTCPICKQSCSQKSLTRLYFQSSGDATQLLHSDGIDAEALGEKVKRLEGKLSSMTSSFQSQQMHLQELNKELSKWKEFVKLEEARNEEVRSENKCLDRLVRQKNEELSRKSSECLKLEERNLMLAKELAALKLVTDLNLGEEEMVKLASIGHGANHANVIDVLKSSLALRNKSYKELMAKCNALGRAEARTQQKFVKAKEKIKNLKVRLQELERAVEEKENVTLRKLKTFTLLKPERVNTDRAMQNSSSIDNRPLQDQTENCVRNRELNSSNCLNHLSGHIENTSLQKDFTSLTYKECKDTVDIDDDELLLKNLDTLISSRNKNSSAAHLSYRQKLQKHAHGADVQREYVDQECVSSSHLRISLAGESSISSSFRQRDEWDTTRIALDRNVKDVTSSTKDVEAVLADAVHKHQLSANKDIQAHEFAACPRDPILHGHFGQDFTTRNVSKWGRLAEHKASASASLGTQAQVNKRSLITVGADGRGGKIKVLRAHDQYLSVKEQKLASKRCKLGANQNGQFQIEHFFEKN >DRNTG_23073.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2392561:2394873:-1 gene:DRNTG_23073 transcript:DRNTG_23073.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLISDAGAGSGAGVGGQKPLCSICYEDLKPIIEDLQSISLCGHVFHELCLQQWLEYCPSGKKPTCPICKQSCSQKSLTRLYFQSSGDATQLLHSDGIDAEALGEKVKRLEGKLSSMTSSFQSQQMHLQELNKELSKWKEFVKLEEARNEEVRSENKCLDRLVRQKNEELSRKSSECLKLEERNLMLAKELAALKLVTDLNLGEEEMVKLASIGHGANHANVIDVLKSSLALRNKSYKELMAKCNALGRAEARTQQKFVKAKEKIKNLKVRLQELERAVEEKENVTLRKLKTFTLLKPERVNTDRAMQNSSSIDNRPLQDQTENCVRNRELNSSNCLNHLSGHIENTSLQKDFTSLTYKECKDTVDIDDDELLLKNLDTLISSRNKNSSAAHLSYRQKLQKHAHGADVQREYVDQECVSSSHLRISLAGESSISSSFRQRDEWDTTRIALDRNVKDVTSSTKDVEAVLADAVHKHQLSANKDIQAHEFAACP >DRNTG_23073.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2393963:2394873:-1 gene:DRNTG_23073 transcript:DRNTG_23073.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLISDAGAGSGAGVGGQKPLCSICYEDLKPIIEDLQSISLCGHVFHELCLQQWLEYCPSGKKPTCPICKQSCSQKSLTRLYFQSSGDATQLLHSDGIDAEALGEKVKRLEGKLSSMTSSFQSQQMHLQELNKELSKWKEFVKLEEARNEEVRSENKCLDRLVRQKNEELSRKSSECLKLEERNLMLAKELAALKL >DRNTG_23073.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2394149:2394873:-1 gene:DRNTG_23073 transcript:DRNTG_23073.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLISDAGAGSGAGVGGQKPLCSICYEDLKPIIEDLQSISLCGHVFHELCLQQWLEYCPSGKKPTCPICKQSCSQKSLTRLYFQSSGDATQLLHSDGIDAEALGEKVKRLEGKLSSMTSSFQSQQMHLQELNKELSKWKEFVKLEEARNEEVRSENKCLDRLVRQKNE >DRNTG_18669.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000968.1:19169:29301:1 gene:DRNTG_18669 transcript:DRNTG_18669.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFVYVANAVNAKFKIDFTAENVENHYKTLKTRYYEIKKTRELSGARWNDAEKMINLPPEVYEAYTENYDGLCIICGDDSMTGTYVTSLFVNIEEGLHEEEDLEPEDQTNDIPTNNENNGNGSPATAIVRPQWPPRRGNVQVDGNSMIDLISAVNRMVEAIENPSPMTALIYKRVHEVDEFDLNILDVVFEYLDDNKRKGAIRIKANSIVEVELYTVEVVLKVAVEQRLNIFIIFIDCRRLKQILSEARNDNNWWLRQRI >DRNTG_34464.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18181796:18187111:-1 gene:DRNTG_34464 transcript:DRNTG_34464.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWASSHCKQLLDPDDVGVLQPLDKERGLTQEEFKLIKIHMTNHIWKLAQQVKVRQRVIATAVTYFRRVYTRKSMTEYDPRLVAPTCLYLASKAEESTVQARLLVFYIKKMCNYSA >DRNTG_34464.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18181796:18187111:-1 gene:DRNTG_34464 transcript:DRNTG_34464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWASSHCKQLLDPDDVGVLQPLDKERGLTQEEFKLIKIHMTNHIWKLAQQVKVRQRVIATAVTYFRRVYTRKSMTEYDPRLVAPTCLYLASKAEESTVQARLLVFYIKKMCPDEKYRFEIKDILEMEMKLLEALDYYLIVFHPYRALLQLLQDAGMTDLTHYAWGLVNDTYKMDLILIYPPYMIALACIYIASVLKDKETTAWFEELRVDMNVVKNIAIEILDFYDYYKGDTCKGEPARGIPEERINAALNKLATK >DRNTG_34464.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18181796:18187111:-1 gene:DRNTG_34464 transcript:DRNTG_34464.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWASSHCKQLLDPDDVGVLQPLDKERGLTQEEFKLIKIHMTNHIWKLAQQVKVRQRVIATAVTYFRRVYTRKSMTEYDPRLVAPTCLYLASKAEESTVQARLLVFYIKKMCPDEKYRFEIKDILEMEMKLLEALDYYLIVFHPYRALLQLLQDAGMTDLTHYAWGLVNDTYKMDLILIYPPYMIALACIYIASVLKDKETTAWFEELRVDMNVVKNIAIEILDFYDYYKGDTCKGEPARGIPEERINAALNKLATK >DRNTG_11644.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:77401:82758:1 gene:DRNTG_11644 transcript:DRNTG_11644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRSPIGGRTLKGAMAKDKEEDLALFLEMRKRETLLLLHNTDEVERSEPGASHFFKIPPSAPPRKAGIEDFLNSDNDKNDYNWLLTPPGTPLFPSLEKESPRSPVGQVGNPKARPTVLKSRLAHPHDPSSTRVLASARPTSSSGLNSSGMASRRPSSSGGRSTTSSRPATPTGRPTIATTKPSRPSTPTSRPTLPSKPVAPPPRSSTPSRSSTPTPRPAPTASRPAPASRASTPTRRASTPSATPSHAPTSSTIPGRSASSTVPGRSASSTVPGRSASSTVPGRSANSTVPGRSAAVMKSGQTVPKTSAPPSRGTSPTVKSRPWKPSEMPGFSLEAPVNLRTSMPERPSSASRGRPGVPSSRSAETGPATRPRRQSCSPARGRAPNGTVQSGTSVPAVNRSRLNGGDNVSPVMIGSKMVERVVNLRRLVPPKQDDLRSENNPRSSSSPDSAGFGRTLSKKSLDMALRHMDIRRSIPNNLRPLMTNIPASSMYSVRSGPTKSRTVSVSDSPLATSSNASSEPSVSNVIHSLDGIEFGNDDIGSEKGSRFSPGGSLTR >DRNTG_10469.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15342560:15348705:-1 gene:DRNTG_10469 transcript:DRNTG_10469.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase 5A [Source:Projected from Arabidopsis thaliana (ATMG00513) TAIR;Acc:ATMG00513] MECFSNPLFTRLQADKAATKAMPLNRVGSHTQSPDAMEGPTPVSALIHAATMVTAGVFMIASVFHLMNHVFFKALIFLSAGSVIHAMFDEQDIQKMEGLASSFPLTYAMMLMGSLSLIGFPFPTGFYSKDVILELAYTKYTISGNFAFWLGSVPVLFTSYYSFRLLFLTFIVPTNSFGRERLRCHDAPIPMAHKSIL >DRNTG_20039.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13389591:13390225:-1 gene:DRNTG_20039 transcript:DRNTG_20039.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAPKPKRSSPPPRRSSSTVPATMTFQLFGSESCPIAARIRVSLLYKNSTFQFIPSESPILRHPVLRCGSQSISGSADMILRYIDSTFPGPPSLAENGTDRLSVAAELANSVGLQHRSIERHLEGVARWAEEIASGGGGGRIAAGRRYAELVEIMLEHAQMEERFLFPLLERAAEDR >DRNTG_20039.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13387734:13390225:-1 gene:DRNTG_20039 transcript:DRNTG_20039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAPKPKRSSPPPRRSSSTVPATMTFQLFGSESCPIAARIRVSLLYKNSTFQFIPSESPILRHPVLRCGSQSISGSADMILRYIDSTFPGPPSLAENGTDRLSVAAELANSVGLQHRSIERHLEGVARWAEEIASGGGGGRIAAGRRYAELVEIMLEHAQMEERFLFPLLERAAEDRGLCGVAYGEHAKELPMMNGIKEDMKSVIAMGPKAPCYQEAMLNLSQRLKTLQDHCKEHFQKEERELLPLLNTVESIGKEEGEETERWLDKVMELMEVTHSQLLPFFMSGLLPHESMKYIELLCKSIKDQQQLLLLLKSLIASLERKR >DRNTG_13306.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22950757:22951797:-1 gene:DRNTG_13306 transcript:DRNTG_13306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLFPNNSAYLPSCSFLPTCLPFPCFFTCLSWTLQRRASSGTCRNHCSFLVACRCPVPSYFIPIQDRSNDCYKWMVHHGRRFRDADAILVNTFKDIEQETAKIINKEDIKWPPVYLVGPLIQSFSPDIELVNCLSWLDKQPKESVLYVSFGSAGRLTCSQMKELACGLEMSGQRFLWVVRTPSDIESDAKYFNSMNIDDPVAFLPEGFVERTKNVGLLVPSWAPQVQVLAHGATGGFLSHCGWNSTLESVMHGVPMIAWPLHAEQRMNAVMLTEVVKVALRPVVAADGIYKSEEIAK >DRNTG_34467.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18155771:18162850:1 gene:DRNTG_34467 transcript:DRNTG_34467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSLRCGDCGALLKSVEEAQEHAELTSHTDFSESTEAVLNLICSTCAKPCRSKTEMDLHTKRTGHAEFTDKTSETAKPIDLENATKSHGGTEESALEGESSQSEEMVVPEVDQKILEELESMGFPKARATRALHYSGNSGIEAAINWVAEHEDDPDIDQMPLVSIKAQSEADKQSLTPEELKMKAQELRERARKKKEEEERRMEREREKERIRIGKELLEAKRIEEENERKRLLMLRKAEKEEEKRAREKIRQRLEEDKAERRRKLGLPPENPETQKPATPPVEEKKSFLPVKPVTKAEHMRECLRSLKQHHKDEDAKVKRAFQTLLTYVGNVARNPDEEKFRKIRLNNPSFQDRVGRLQGGVEFLEICGFAKLEEGDEFLFLARDKVDMAVLNTAGSELNSAINNPFFGVL >DRNTG_07557.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21759362:21760646:1 gene:DRNTG_07557 transcript:DRNTG_07557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRHKMNQSGKSLGVIGLGGLDHLAVKFGKAFGLNVIVFSTSASKKTEALELLGADKFVISSDEQEMKSLVNTLDFIIDVASGDHPFDPYSALLKACGVLVLVGAPSEVRL >DRNTG_27717.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3687924:3691126:-1 gene:DRNTG_27717 transcript:DRNTG_27717.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPPLAPEIGPDGIARESPVIAYTEKIIEEEQLQLKRYIKENYSKIRDVERELENLTLELKLTAGPKKAALEHLRKKIELSTEKIRLAKQKEDQAKKVWEAAAQAVREEEATKQKLCDDLSQLACQFLFHYGFELQNLPSLSVMSSFFLVK >DRNTG_27717.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3687924:3691126:-1 gene:DRNTG_27717 transcript:DRNTG_27717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPPLAPEIGPDGIARESPVIAYTEKIIEEEQLQLKRYIKENYSKIRDVERELENLTLELKLTAGPKKAALEHLRKKIELSTEKIRLAKQKEDQAKKVWEAAAQAVREEEATKQKLCDDLSQLVQESTNSQFTRLEELKRRLEALNPSRVSCDVSDVKSAQQIQSVPTAPQPLIQPASNSAGDNLESQVNPGSDGGNSKLHASKQPAPADARDKRQNLNLGKGKGNTIFPKGKASSGSAWTGAGFRRGWQDVTVGLYAVFAFILSLTSDN >DRNTG_35139.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1222659:1227148:-1 gene:DRNTG_35139 transcript:DRNTG_35139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSRNFRKRSGTDDVNGDEKPAAPPQSSAEPKLRAPKPPDRPKLLSFADNEEDDDGVALVRSSAPSTRPAAIHKLSSAKERSGPRVPVPSNVQPQTGQYTKEKIRELQKNARSLGTRTSKPKATSSPPLSDSTKSQKSQASAEPVIVLKGLVKPASPPSVSGRKEENDEQEDEEQDEDDGSGDQMRFSGLSIAMKAQDLSSGIPDQATIDAIRAKRERLRQSRGPLPDFISLDGGGVVGSRPPDGGSSDDEESDFRGRISLFADNKDGGTKKGVFEDIDERMVVSEPMASDRGYRDVVNDKEDDEDEEERRWEEEQFRKGLGKRIDEATGHLVSNSVLPGPAMHPPSSHLLAGATLGTPSGIGINISRSAEVISIPQQAEVAKRAFHENFSKLKETHNRTLNSLMRTDENLSEALSDITSLEKSLEAAGERFIFMQQLRDFISVISDFLQDKAPYIEELEEQMRKLHEVRASAALERRAADSADESSEVEAAVNAALSVLSKGSGATFLSAATSAAQAAAAAVRESSSLQVQLDEFGRDINLQNRMDSARRAEKRKRRKSRSEAKRMAQIERDTTYHRIEGESSTDESESEGDAYISSRDELLQTAEQVFSDAANDYSKLSNVKEKLEEWKRQYSLNYRDAYMSLSAPAIFSPYVRLELLHWDPLYKKTDFNDMDWHELLFDYGLPGKNSDYGPDDADANLIPVLVEKVALPILHHEIAHCWDMLSTRRTENAVFATSLVINYIPASSEGLRELLSVVRSRLDEAIAGLSVPTWSALVMKVVPGAAQLAAYRFGVSVRLLRNICLWKDILALPILEKLALDDLLGKKLLPHVRSIMPNIHDAVTRVERIIASLSGVWSGSSVTADHSHKLQPLVECVVELGKRLENRHTSGVSKEDTLGLARRVKKMLVDLNDYDRARAILKTFQLKEAL >DRNTG_01885.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21074101:21075007:-1 gene:DRNTG_01885 transcript:DRNTG_01885.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWRKAYGALKDSTKVGLAKVNSEFKDLDIAIVKATNHVECPPKERHVRKIFAATSIVRPRADVAYCIYALSRRLGKTRNWT >DRNTG_01885.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21073946:21075007:-1 gene:DRNTG_01885 transcript:DRNTG_01885.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWRKAYGALKDSTKVGLAKVNSEFKDLDIAIVKATNHVECPPKERHVRKIFAATSIVRPRADVAYCIYALSRRLGKTRNWTVSCSRKRS >DRNTG_01885.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21070721:21072721:-1 gene:DRNTG_01885 transcript:DRNTG_01885.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYDAIKALDIYKRAGQQAENLSEFYEFCKGLELARNFQFPTLRQPPPSFLATMEEYVREAPRFGSGSSKALEYQETNLLTYKEEEAAPPPESPKPVEEEPPVETEEPPEPIIEAASQPETIGDLLGLDEINPMAAELEQSNALALAIIQPGDEPSSTRTGGLFGSEKNSSGWELALVTAPSSNTSHVVESKLAGGFDKLLLDSLYEDATRRQQIAAANPFQAQDPFATSNNIAPPPNVQMAIMAQQQQQYYYQQQQQQQQQMMMVPHTYQPHYNPPSQIGAANPFGDPLVGFGQPAPQHGHSSLI >DRNTG_01885.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21070721:21075007:-1 gene:DRNTG_01885 transcript:DRNTG_01885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWRKAYGALKDSTKVGLAKVNSEFKDLDIAIVKATNHVECPPKERHVRKIFAATSIVRPRADVAYCIYALSRRLGKTRNWTVALKTLIVIHRILREGDPTFREDLLNYSHRGHVLQISNFKDDSSPLAWDCSAWVRTYALFLEERLECFRVLKYDIETERLVRPSQTGAKGHSRTRTLGCPDLLEHLPALQQLLFRLLGCQPEGGAFGNYLIQYALALVLKESFKIYCAINDGIINLVDMFFEMSRYDAIKALDIYKRAGQQAENLSEFYEFCKGLELARNFQFPTLRQPPPSFLATMEEYVREAPRFGSGSSKALEYQETNLLTYKEEEAAPPPESPKPVEEEPPVETEEPPEPIIEAASQPETIGDLLGLDEINPMAAELEQSNALALAIIQPGDEPSSTRTGGLFGSEKNSSGWELALVTAPSSNTSHVVESKLAGGFDKLLLDSLYEDATRRQQIAAANPFQAQDPFATSNNIAPPPNVQMAIMAQQQQQYYYQQQQQQQQQMMMVPHTYQPHYNPPSQIGAANPFGDPLVGFGQPAPQHGHSSLI >DRNTG_01885.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21070721:21073335:-1 gene:DRNTG_01885 transcript:DRNTG_01885.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFEMSRYDAIKALDIYKRAGQQAENLSEFYEFCKGLELARNFQFPTLRQPPPSFLATMEEYVREAPRFGSGSSKALEYQETNLLTYKEEEAAPPPESPKPVEEEPPVETEEPPEPIIEAASQPETIGDLLGLDEINPMAAELEQSNALALAIIQPGDEPSSTRTGGLFGSEKNSSGWELALVTAPSSNTSHVVESKLAGGFDKLLLDSLYEDATRRQQIAAANPFQAQDPFATSNNIAPPPNVQMAIMAQQQQQYYYQQQQQQQQQMMMVPHTYQPHYNPPSQIGAANPFGDPLVGFGQPAPQHGHSSLI >DRNTG_04739.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:74980:75597:-1 gene:DRNTG_04739 transcript:DRNTG_04739.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPTLSGLAGSLLSPLSKVSLEKLIGFLWNYLSSSPSPSSPDEAEKQQLKDSLEALENAKLNVELMQGRIMKLFQKHKQNKRVVRLHNKLKDVGYDIQDLESEMKYMELERKVQAINKAEEEEEEEGDSSTSSQFSTGKRSFPFRLPTVFLSKKKRRLPASSQS >DRNTG_04739.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:26087:75597:-1 gene:DRNTG_04739 transcript:DRNTG_04739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPTLSGLAGSLLSPLSKVSLEKLIGFLWNYLSSSPSPSSPDEAEKQQLKDSLEALENAKLNVELMQGRIMKLFQKHKQNKRVVRLHNKLKDVGYDIQDLESEMNYMELERKVQEINKAEEEEEGDSSTSSQFSTGKRSFPFRLPTVFLSKKKR >DRNTG_02583.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000118.1:13190:20184:-1 gene:DRNTG_02583 transcript:DRNTG_02583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQQQAPPPCMLTITDYFFLSFFLFFIFGWSIVLLILVPFYIAYPPPGQAYPAPTEGYPTYAAPPPAGYPTKDEATNPQNAPAQTQSRGEGGFWEGW >DRNTG_25176.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:16050737:16052010:1 gene:DRNTG_25176 transcript:DRNTG_25176.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHENISLDDNGGFSQFHMEDVFMPTDEHAEPPSPITSDNGASSSTAQKKKKRAKESNIASISENFRHFVDLVGPGFKAMTECAVQNADANALREAAHKEVQEKKKLLNEVIFNIDGLSEDEALVVMQSLRKDEEKLNMFWDLPDDKKLRFCRLLLGGRDTM >DRNTG_02898.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:724675:730159:-1 gene:DRNTG_02898 transcript:DRNTG_02898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLLDRFDEHDGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKTHRCLFTLLGHLDYIRTVQFHDEYPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLSQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPSLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDVIVSNSEDKSIRIWDATKRTGVQTFRREHDRFWILSAHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDSIYYVKDRFLRYYEFSTQKEVQVVPIRRPGSVSLNQGPRTLSYSPTENAVLICSDADGGSYELYIVPKDASGRSEFMQDAKKGSGGSAVFVARNRFAVLDKGSNQALVKNLKNEIVKKSALPIVTDAIFYAGTGNLLCKAEDRVFIFDLQQRIILGELQTPSVKYIVWSSDMENVALLSKHAIVIANKKLVHRCTLHETIRVKSGAWDENGVFVYTTLNHIKYCLPNGDNGIIKTLDVPVYMTKVAGSNIYCLDRDGKNRIISIDATEYVFKLSLLRKRYDHVMSMIKSSQLCGQAVIAYLQQKGFPEVALHFVKDERTRFNLALESGNIQIAVASAKEIDEKDHWYRLGIEALRQGNTSIVEYAYQRTKNFERLSFLYLVTGNIEKLSKMLKIAEIKNDVMGQFHNALYLGDIQERVKILEKAGHLPLAYVTAATHGLKEDAERLAVELGDNLPSLPEGKAQSLLIPPPPLTCGGDWPLLRVMRGIFEGGLDNVGKGGPEEEEDETNGAEWGDEDLDIVDVEHAMQNGDIGVDIEDGEANAENDEEGGWDLEDLELPPDVDTPKATSNVRSLFVAPTPGMQVSQIWIQKSSLAGEHVAAGNFDTAMRLLSRQLGIKNFAPLKPMFLDLFMGSHTYLQAFTSVPVISIAVEKGWSESASPNVRGPPALVFKLSQLDEKLKSAYRATTEGKFPEALRQFLGILHTIPLIVVDSRREVDEVKELIEIAREYALGLKIEVKRKETKDDIVRQQELAAYFTNCKLQKIHTRLVLTSAMTICFKGGNYATASNFARMLLESSPTEAQAKKAKQVLQACGDRKDAEQLNYDFRNPFVVCGATFVPIYRGQKDVSCPYCRARFVPSIEGQLCVVCELAMVGADASGLLCSPSQTR >DRNTG_14340.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16088585:16092817:1 gene:DRNTG_14340 transcript:DRNTG_14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECVPTSSHSSEHIHLEGYKGSHTRAFRPDESMELGSYSGRRL >DRNTG_35069.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19653524:19654878:-1 gene:DRNTG_35069 transcript:DRNTG_35069.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTSTSFLLLFVFIFFANNIACFAARRLLEDSVPNLSNIPSFPKPNLPPLPEVPTLPKPTLLPKPEIPTLPKLELPELPKPKLPPVPELPKPEVPILPNPKLPTVPELPKVEVPTLPKPKLPSTPELPTLPKPVLPPKMEEPTSQKPVLPQKSGESILNKPTLPPKPEKPILQKPEEPTSPPKLEEPSSPKPTLSPKIEEPTLPKPTFPSKPNEPTLPKPQLPPKAEEPIFPKPVKTSEPEEPTLQKPEQPNLPKPTLPPKLEVPTLPKPTLPLKPELPPLPKPTFPPKLEVPTLPKPTLPPKPEIPTLPKPTLPSKPEIPSLPEPKLPEIPTQPKA >DRNTG_35069.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19657843:19659232:-1 gene:DRNTG_35069 transcript:DRNTG_35069.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTSTSFLLLFVFIFFANNIACFAARRLLEDSVPKLSNIPSFPKPNLPPLPKVPTLPKPNLPKLEIPTLPKPRLQPLPELPTLPKPTLPKLEVPTLPKPKLPKLPKPEMPILPKPELPLVPEVPKLEVPTLPKPKLPPVPELPKVEVPTLPKPKLPSTPEFPTLPKPVLPPKLEEPVSPKPVVPQKSEESTLNKPTLPPKPEKPILPKSEEPTSPPKLEEPSLPKPTLPQKPEEPTLPKPTLPPKAEEPITFPSKPNEPTLPKPQLPPKAEEPIFPKPVKTSEPEKPTLHKPEQQDLPKPTLPPKLEVPTLPKPTLPPKPELPSLPKPIFPPKPEVPTLPKPTLPPKLEIPTLPKPTLPSKPEIPILPEPKLPEIPTQPKHEIPTLPFTMPPSPKPNHD >DRNTG_35069.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19653524:19654878:-1 gene:DRNTG_35069 transcript:DRNTG_35069.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTSTSFLLLFVFIFFANNIACFAARRLLEDSVPNLSNIPSFPKPNLPPLPEVPTLPKPTLLPKPEIPTLPKLELPELPKPKLPPVPELPKPEVPTLPKPKLPPVPELPKPEVPILPNPKLPTVPELPKVEVPTLPKPKLPSTPELPTLPKPVLPPKMEEPTSQKPVLPQKSGESILNKPTLPPKPEKPILQKPEEPTSPPKLEEPSSPKPTLSPKIEEPTLPKPTFPSKPNEPTLPKPQLPPKAEEPIFPKPVKTSEPEEPTLQKPEQPNLPKPTLPPKLEVPTLPKPTLPLKPELPPLPKPTFPPKLEVPTLPKPTLPPKPEIPTLPKPTLPSKPEIPSLPEPKLPEIPTQPKA >DRNTG_35069.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19653524:19659232:-1 gene:DRNTG_35069 transcript:DRNTG_35069.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTSTSFLLLFVFIFFANNIACFAARRLLEDSVPKLSNIPSFPKPNLPPLPKVPTLPKPNLPKLEIPTLPKPRLQPLPELPTLPKPTLPKLEVPTLPKPKLPKLPKPEMPILPKPELPLVPEVPKLEVPTLPKPKLPPVPELPKVEVPTLPKPKLPPVPELPKPEVPILPNPKLPTVPELPKVEVPTLPKPKLPSTPELPTLPKPVLPPKMEEPTSQKPVLPQKSGESILNKPTLPPKPEKPILQKPEEPTSPPKLEEPSSPKPTLSPKIEEPTLPKPTFPSKPNEPTLPKPQLPPKAEEPIFPKPVKTSEPEEPTLQKPEQPNLPKPTLPPKLEVPTLPKPTLPLKPELPPLPKPTFPPKLEVPTLPKPTLPPKPEIPTLPKPTLPSKPEIPSLPEPKLPEIPTQPKA >DRNTG_35069.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19653491:19659232:-1 gene:DRNTG_35069 transcript:DRNTG_35069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTSTSFLLLFVFIFFANNIACFAARRLLEDSVPKLSNIPSFPKPNLPPLPKVPTLPKPNLPKLEIPTLPKPRLQPLPELPTLPKPTLPKLEVPTLPKPKLPKLPKPEMPILPKPELPLVPEVPKLEVPTLPKPKLPPVPELPKVEVPTLPKPKLPPVPELPKPEVPTLPKPKLPSTPELPTLPKPVLPPKMEEPTSQKPVLPQKSGESILNKPTLPPKPEKPILQKPEEPTSPPKLEEPSSPKPTLSPKIEEPTLPKPTFPSKPNEPTLPKPQLPPKAEEPIFPKPVKTSEPEEPTLQKPEQPNLPKPTLPPKLEVPTLPKPTLPLKPELPPLPKPTFPPKLEVPTLPKPTLPPKPEIPTLPKPTLPSKPEIPSLPEPKLPEIPTQPKA >DRNTG_35069.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19653524:19654878:-1 gene:DRNTG_35069 transcript:DRNTG_35069.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTSTSFLLLFVFIFFANNIACFAARRLLEDSVPNLSNIPSFPKPNLPPLPEVPTLPKPTLLPKPEIPTLPKLELPELPKPKLPPVPELPKPEVPTLPKPKLPSTPELPTLPKPVLPPKMEEPTSQKPVLPQKSGESILNKPTLPPKPEKPILQKPEEPTSPPKLEEPSSPKPTLSPKIEEPTLPKPTFPSKPNEPTLPKPQLPPKAEEPIFPKPVKTSEPEEPTLQKPEQPNLPKPTLPPKLEVPTLPKPTLPLKPELPPLPKPTFPPKLEVPTLPKPTLPPKPEIPTLPKPTLPSKPEIPSLPEPKLPEIPTQPKA >DRNTG_35069.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19653524:19654878:-1 gene:DRNTG_35069 transcript:DRNTG_35069.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTSTSFLLLFVFIFFANNIACFAARRLLEDSVPNLSNIPSFPKPNLPPLPEVPTLPKPTLLPKPEIPTLPKLELPELPKPKLPPVPELPKPEVPILPKPKLPPVPELPKVEVPTLPKPKLPPVPELPKPEVPILPNPKLPTVPELPKVEVPTLPKPKLPSTPELPTLPKPVLPPKMEEPTSQKPVLPQKSGESILNKPTLPPKPEKPILQKPEEPTSPPKLEEPSSPKPTLSPKIEEPTLPKPTFPSKPNEPTLPKPQLPPKAEEPIFPKPVKTSEPEEPTLQKPEQPNLPKPTLPPKLEVPTLPKPTLPLKPELPPLPKPTFPPKLEVPTLPKPTLPPKPEIPTLPKPTLPSKPEIPSLPEPKLPEIPTQPKA >DRNTG_35069.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19653524:19654878:-1 gene:DRNTG_35069 transcript:DRNTG_35069.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTSTSFLLLFVFIFFANNIACFAARRLLEDSVPNLSNIPSFPKPNLPPLPEVPTLPKPTLLPKPEIPTLPKLELPELPKPKLPPVPELPKPEVPILPKPKLPPVPELPKVEVPTLPKPKLPSTPELPTLPKPVLPPKMEEPTSQKPVLPQKSGESILNKPTLPPKPEKPILQKPEEPTSPPKLEEPSSPKPTLSPKIEEPTLPKPTFPSKPNEPTLPKPQLPPKAEEPIFPKPVKTSEPEEPTLQKPEQPNLPKPTLPPKLEVPTLPKPTLPLKPELPPLPKPTFPPKLEVPTLPKPTLPPKPEIPTLPKPTLPSKPEIPSLPEPKLPEIPTQPKA >DRNTG_01337.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:169147:173789:-1 gene:DRNTG_01337 transcript:DRNTG_01337.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP65 [Source:Projected from Arabidopsis thaliana (AT5G67530) UniProtKB/Swiss-Prot;Acc:Q9FJX0] MGKKQHSKDRMFITKTEWATEWGGAKPKDSSVPFKRLPFYCCSLTFVPFEDPVCTADGSVFDLMSIIPYIKKFGKHPVTGAPFKQEDLIPLIFHKNSDGEFHCPVLNKVFTEFTHIVAVKTTGNVFCYEAIKELNLKTKNWRELLTDEPFTKDDLITIQNPNALDAKVLLDFDHVKNSLKLDDEDLRKMNEDPSYNINISGDLKQMLQELGTEKAKEAALHGGGGSKAQKERAAALTAILAARSRIKEDSKSNGNEKEKPGQSFSIVDAASASVYGRSAAAAKAASSDKTAARIAMHMAGERTPVNAKLVKSRYTTGAASRSFTSTAYDPVTTNEYEYVKVEKNPKKKGYVQLHTSHGDLNLELHCDITPRTCENFITLCERGYYDGVAFHRSIRNFMIQGGDPTGTGKGGESIWGKPFKDELNSKLTHSGRGIVSMANSGPHTNGSQFFILYKSANHLNNKHTVFGVVVGGLTALAAMEKVPVDDDDRPLEEIKILNCKVFVNPYTEPDEEEEKAEEEKKVVNSDDDKVGSWYSNPGTGASGSISVGGVGKYLKARSNGTEMTTVNGQGQDSKKRKTDVSNVEYKDFSGW >DRNTG_17805.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:7824894:7825344:-1 gene:DRNTG_17805 transcript:DRNTG_17805.1 gene_biotype:protein_coding transcript_biotype:protein_coding GNQRGIVASDEQVNQVPATQAFSAIRNPTKSSSSRLGNASVGKSQVKCRDGKRPGNADKKRKVWVPPGVGTPAGASDVYKKPL >DRNTG_00791.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:9911863:9928634:-1 gene:DRNTG_00791 transcript:DRNTG_00791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLDNSQPKIFPWRPKQMLWAIEVHHTMVKKYIVDRMLKPPIDPPMQGLTSSQPNLFPWRPKQHLWVVQGILTCVEEANAGRRLKPSKDPPIPSLNNSRPKLFP >DRNTG_23253.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9436284:9439826:-1 gene:DRNTG_23253 transcript:DRNTG_23253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAELSPSYPRARVHPSSLSSRFSNPLLSRRRFIPLRPSRPNGVLCSIAPNQIQVPVATPADSAGKKECFGVFCTTYDLKAEEKTKSWKSLINIAVSGAAGMISNHLLFKLASGEVFGYDQPIALKLLGSERSFQALEGVAMELEDSLYPLLREVSIGIDPYEVFQDVEWALLIGAKPRGPGMERAGLLDINGQIFAEQGKALNAVASRNAKVLVVGNPCNTNALICMKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNVTIWGNHSTTQVPDFLNAKIDGRPAKGSY >DRNTG_11751.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:725122:754430:-1 gene:DRNTG_11751 transcript:DRNTG_11751.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOC1 [Source:Projected from Arabidopsis thaliana (AT2G45660) UniProtKB/TrEMBL;Acc:A0A178VZL4] MVRGKTQLRRIENAASRQVTFSKRRNGLLKKAAELSILCDAEVGLIIFSPRGKLSEFSSSSMQETIKHYVRQARTTNKELKDPEQYIQQWKVEVADMAKKIELLESYRWKLLGENLESCSIGDLHEIENQVERSLTTIRGRKYQVLSEQIEQLKEKEKTLRERNEALLRRVESSFEEDVEKEK >DRNTG_11751.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:725122:754430:-1 gene:DRNTG_11751 transcript:DRNTG_11751.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOC1 [Source:Projected from Arabidopsis thaliana (AT2G45660) UniProtKB/TrEMBL;Acc:A0A178VZL4] MVRGKTQLRRIENAASRQVTFSKRRNGLLKKAAELSILCDAEVGLIIFSPRGKLSEFSSSSMQETIKHYVRQARTTNKELKDPEQYIQQWKVEVADMAKKIELLESYRWKLLGENLESCSIGDLHEIENQVERSLTTIRGRKYQVLSEQIEQLKEKEKTLRERNEALLRRVESSFEEDVEKEK >DRNTG_11751.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:725122:727138:-1 gene:DRNTG_11751 transcript:DRNTG_11751.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOC1 [Source:Projected from Arabidopsis thaliana (AT2G45660) UniProtKB/TrEMBL;Acc:A0A178VZL4] MPNNACSIPVLDLPLRNKSMQETIKHYVRQARTTNKELKDPEQYIQQWKVEVADMAKKIELLESYRWKLLGENLESCSIGDLHEIENQVERSLTTIRGRKYQVLSEQIEQLKEKEKTLRERNEALLRRVESSFEEDVEKEK >DRNTG_30547.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:680082:681306:-1 gene:DRNTG_30547 transcript:DRNTG_30547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQIDSDEILIDFHPRFRTYKSGRVERFFGTTTVSSGLDPSTGVLSKDLLLPLPSSSSSISARLFLPPHPQPHSLPILLYFHGGGFCIESTTSPTYHSYLNSLSLTSTVLILSVDYRRAPEHLLPTAYSDSWQALQWLTSSREEEWLISYADFTRLFLAGDSAGANIVHQLALRAGREKLGEGPHGFPELRGAMLVHPYFWGSTPVGNETKDELARSRVECLWKMTASPEMGVDHPCFNPFAEGAPPLAGVCCRRVLVCVASEDVYCERGKLYYEKLVGSGWDGVGELWVDEGEGHVFHLMKPLSDKALEMMKKVVAFLNS >DRNTG_33516.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:798835:801328:1 gene:DRNTG_33516 transcript:DRNTG_33516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDKDEENEVNEIELSEGFQYPNGELHDVEGPPMGGA >DRNTG_17596.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7442770:7444514:1 gene:DRNTG_17596 transcript:DRNTG_17596.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGQDEVKHLEDCTVANALGTWVFSVAGAVLAIPVGIKRKSLAPLVFFGTTGTMLDIIMGITQCEREHAERQMQLLEAQKVEMNTSTESEN >DRNTG_17596.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7441722:7444514:1 gene:DRNTG_17596 transcript:DRNTG_17596.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGQDEVKHLEDCTVANALGTWVFSVAGAVLAIPVGIKRKSLAPLVFFGTTGTMLDIIMGITQCEREHAERQMQLLEAQKVEMNTSTESEN >DRNTG_17596.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7441722:7444514:1 gene:DRNTG_17596 transcript:DRNTG_17596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGQDEVKHLEDCTVANALGTWVFSVAGAVLAIPVGIKRKSLAPLVFFGTTGTMLDIIMGITQCEREHAERQMQLLEAQKVEMNTSTESEN >DRNTG_14152.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17941258:17941917:-1 gene:DRNTG_14152 transcript:DRNTG_14152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINVQNKNLSYFVEWIPNNVKSTNDLSDHFTNNLSLMFAFFLDRRQ >DRNTG_13703.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5347460:5347732:1 gene:DRNTG_13703 transcript:DRNTG_13703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYILLQAVFTVATMALTVPIFLCYEMHVIFQILKVSAAIWNGGNFLLEVMPRQVVLKEKMKLEIQPLTILEQPLEQQFLAPDIIQASGE >DRNTG_33646.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1984159:1984839:1 gene:DRNTG_33646 transcript:DRNTG_33646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCKHPFVQEKIAMEIKEVTAMLENQNIEGFAECLTNEVLDKMHYHHASSLTETLRLYPAVPLDGKSAEE >DRNTG_11271.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2706896:2707557:1 gene:DRNTG_11271 transcript:DRNTG_11271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFVCIIQKSLSIVPYAYKKRASITRPLALFMSKQDDAHETTMAIIGDAAHNLHDVEIIIFLIIMNGHFHVVVLDNNKQEYMHYSSCKSEEYDKDALEISTTARYPLVHDIETPRQKQGSVDCAIYDMRFIEQ >DRNTG_03574.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:7279202:7280305:1 gene:DRNTG_03574 transcript:DRNTG_03574.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACGIPLLECVYCLACARWAWKRLIHSAGHDSESWGLASAVEFEPVPRLCRYILAVYEDDLSNPLWAPPGGYGMNPRCVLTKKNYEDTHGLAPPYFIYLDHDHSDIVLAVRGLNLAKESDYAVLLDNRLGKRNIDGGYVHNGLLKAAAWVLDKECDVLKELLDLYPSYTLTFTGHSLGSGVAAMLALVVVQNRDKLGNVDRKRVRCYAMAPARCMSLNLAVRYADVINSVVLQV >DRNTG_03574.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:7279202:7282527:1 gene:DRNTG_03574 transcript:DRNTG_03574.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACGIPLLECVYCLACARWAWKRLIHSAGHDSESWGLASAVEFEPVPRLCRYILAVYEDDLSNPLWAPPGGYGMNPRCVLTKKNYEDTHGLAPPYFIYLDHDHSDIVLAVRGLNLAKESDYAVLLDNRLGKRNIDGGYVHNGLLKAAAWVLDKECDVLKELLDLYPSYTLTFTGHSLGSGVAAMLALVVVQNRDKLGNVDRKRVRCYAMAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSLFCLPCLLCLRCMKDTCIPEHVKLRDPRRLYAPGRLYHIVERKVCRFKRYPPVVKTAVPIDGRFEHIVLSCNATADHAIIWIEREAQKALDLMLEKERIMELPVTQRMERQQTLAREHSEEHKAALRRAVTLSVPDAYSPSVYGTFDENLSRVTGEVSTSSSTRSRMSWDELIERVFEKDDSGQMVPRKSPPSART >DRNTG_03574.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:7279202:7282527:1 gene:DRNTG_03574 transcript:DRNTG_03574.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACGIPLLECVYCLACARWAWKRLIHSAGHDSESWGLASAVEFEPVPRLCRYILAVYEDDLSNPLWAPPGGYGMNPRCVLTKKNYEDTHGLAPPYFIYLDHDHSDIVLAVRGLNLAKESDYAVLLDNRLGKRNIDGGYVHNGLLKAAAWVLDKECDVLKELLDLYPSYTLTFTGHSLGSGVAAMLALVVVQNRDKLGNVDRKRVRCYAMAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSLFWYHF >DRNTG_03574.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:7279202:7282527:1 gene:DRNTG_03574 transcript:DRNTG_03574.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACGIPLLECVYCLACARWAWKRLIHSAGHDSESWGLASAVEFEPVPRLCRYILAVYEDDLSNPLWAPPGGYGMNPRCVLTKKNYEDTHGLAPPYFIYLDHDHSDIVLAVRGLNLAKESDYAVLLDNRLGKRNIDGGYVHNGLLKAAAWVLDKECDVLKELLDLYPSYTLTFTGHSLGSGVAAMLALVVVQNRDKLGNVDRKRVRCYAMAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSLFCLSMDGLQDIMFYQAF >DRNTG_07623.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000342.1:6075:9529:-1 gene:DRNTG_07623 transcript:DRNTG_07623.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNIAESFNAWIREARHLPVCKMVDAIRFKMMNMMYNRRNCCLKWESYLCLMIYKKIEAIVEESSLATVERVEISARARDQWRSQ >DRNTG_34104.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002087.1:18141:25867:1 gene:DRNTG_34104 transcript:DRNTG_34104.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM7 [Source:Projected from Arabidopsis thaliana (AT4G02060) UniProtKB/Swiss-Prot;Acc:P43299] MKTVNFAVDKDLAREFLQNFADAHGEPKYLNILQDVANRKYRAIQIELDDLFNYKDLDEEFLQRITENTRRYINIFAEAVDELMPEPTEVFSVDDDHDILMTQRAEERTEGTDSNDPHQGMPSEIKRFFEVYIKAFSKGTPLTLRQVKASNIGQLVKVSGIVTRCSDVKPLMQVAVYTCEECGFEIYQEVTARVFMPLLACPSVRCQTNKAKGNIILQLRASKFLKFQEAKIQELAEHVPKGHIPRSMAIHLRGELTRKVAPGDIVEISGIFLPIPYTGFRALRAGLVADTFLEAMSITHFKKKYEEYELKTDEQEEITRLAEDGDIYNKLARSLAPEIFGHEDVKKALLLLLVGAPHRKLSDGMKIRGDLHICLMGDPGVAKSQLLKHIINVAPRGVYTTGKGSSGVGLTAAVQRDPVTNEMVLEGGALVLADMGICAIDEFDKMDESDRTSIHEVMEQQTVSIAKAGITTSLNARTAILAAANPAWGRYDLRRTPAENINLPPALLSRFDLLWLILDRADMDSDLEMARHVVHVHQHLESPSLGFQTLEASVLRAYISTARRISPSVPRELEEYISTAYSSIRQDEAKSSAPHSYTTVRTLLSILRISIALARLRFSETVHQSDVDEALRLMQMSKFSLYSDDRQRSGLDAISDIYSILRDEAARTNRLDISYAHALNWISRKGYSEAQLKECLEEYAALNVWQIHPNTFDIRFIDA >DRNTG_26386.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18007738:18013601:1 gene:DRNTG_26386 transcript:DRNTG_26386.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMWPWHQREFGKLFHVSFMTLSPSLLIRNSSVLLTERGDTSTTFQFRIDFLFNHFPPRTVQEALPMTKKWWPSWDPRTQLNCLQTCIASAKLTERIRTALANSDDPPPERVQRFVMNECKKWNLVWVGLHKVAPLEPDEMEMLLGFPRDHTRGGGISRTERYRSLGNSFQVDTVAYHLSVLKDMFPNGINLLSLFSGIGGAEVALHRLGIHLRNVVSVELSEINRNILRGWWEQTNQTGTLIDIADVQQLNGDRLEQMINMFGGFDLVIGGSPCNNLAGSNRYSRDGLEGKESALFYDYFRILDLVKNIMGKSF >DRNTG_26386.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18007738:18013601:1 gene:DRNTG_26386 transcript:DRNTG_26386.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKMWPWHQREFGKLFHVSFMTLSPSLLIRNSSVLLTERGDTSTTFQFRIDFLFNHFPPRTVQEALPMTKKWWPSWDPRTQLNCLQTCIASAKLTERIRTALANSDDPPPERVQRFVMNECKKWNLVWVGLHKVAPLEPDEMEMLLGFPRDHTRGGGISRTERYRSLGNSFQVDTVAYHLSVLKDMFPNGINLLSLFSGIGGAEVALHRLGIHLRNVVSVELSEINRNILRGWWEQTNQTGTLIDIADVQQLNGDRLEQMINMFGGFDLVIGGSPCNNLAGSNRYSRDGLEGKESALFYDYFRILDLVKNIMGKSF >DRNTG_26386.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18007738:18013601:1 gene:DRNTG_26386 transcript:DRNTG_26386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMWPWHQREFGKLFHVSFMTLSPSLLIRNSSVLLTERGDTSTTFQFRIDFLFNHFPPRTVQEALPMTKKWWPSWDPRTQLNCLQTCIASAKLTERIRTALANSDDPPPERVQRFVMNECKKWNLVWVGLHKVAPLEPDEMEMLLGFPRDHTRGGGISRTERYRSLGNSFQVDTVAYHLSVLKDMFPNGINLLSLFSGIGGAEVALHRLGIHLRNVVSVELSEINRNILRGWWEQTNQTGTLIDIADVQQLNGDRLEQMINMFGGFDLVIGGSPCNNLAGSNRYSRDGLEGKESALFYDYFRILDLVKNIMGKSF >DRNTG_12607.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20395115:20408660:-1 gene:DRNTG_12607 transcript:DRNTG_12607.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP107 [Source:Projected from Arabidopsis thaliana (AT3G14120) UniProtKB/Swiss-Prot;Acc:Q8L748] MDASPSYFDPENLSSREQYRRYRKRQPTSSISPLLGNSVSKFSEARLLYEGNNIQRRQNAALLLEEIKQEVDNFDGDGPEGIASKAAGSAKRLATADGYAASGGLESGRTMANQSLKLCKLEEDVLADGGESTFSLFASLLDSALQGLMPIPDLILRFENTCRTVSESIRYGTTGRHRVVEDRFMQQTARLLLDEAASWSLLWYLFGKANEEITEDMILSPTTSHQEACQFVSTDHTAQLCLRVVLWLEGLASETLELEKKVRGSRVGSYLPSSGVWHHTQRFLKKKNDDPAIVRHVDFDAPTREFAHQLSDDKKQDELLLEDIWTLLRSGRLEEACELCRSAGQPWRAASLCPFGGFDHFPTIEAMHKNGKSRTLQAIELESGIGRQWRLWKWASYCTSEKIAEQDGGKYEMAVYAAQCSNLKRLLPICTDWESACWAMAKSWLDVQVDLELANFHPSRLENKHYGDDVNGSSVLGGRTSLPSAGPECWPAHVLDQQPRDISALLQKLHSDEIVHETVSRACKEQHRQIEMKLMVGDIAHLLDLLWSWISPSEDDENFIRPHGDPQMIRFGAHVVLVLRYLLDDDVKDAFREKLTTVGDLILHMYSMFLFSKQHEELVGVYASQLARHLCIDLFVHMMELRLNDSLHVKYKLFLSAMEYLPFSSGNESKASFEDIIERVLARSREIKVGKYEKSTDVAEQHRLQSLQKATVVQWLCFTPPSTINDFEIINAKLLMRALMHSNTLFREFALISLWRVPKMPIGAHMLLSFLAEPLKQPKDSLLSLNEQDVSDNLLEFEDWREFYSCDATYRNWLKIELENATVPAGELSFEERDRSTAAARETLNSSFSLLSRDGSPWLSAVHSSLYDSIEQSYLELHATAMLCLPSGECMCPDATLCTALTSALYSSVSEEVVLRRQLMVNVAISSRDNYCIEVALRCLAIDGDGLGLHEANDGGVLAFIMAAGFKGELNRFQTGVTMEISRLDAWYSDAGGSLEIPATYIVRGLCRRCCLPEIILRCMQVSVSLAESGDAFDRRNELIELVASSDSGLLQLFSQQQLQEFLLFERECTLFKMELQEELFMSDV >DRNTG_12607.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20395115:20405628:-1 gene:DRNTG_12607 transcript:DRNTG_12607.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP107 [Source:Projected from Arabidopsis thaliana (AT3G14120) UniProtKB/Swiss-Prot;Acc:Q8L748] MDYLFIYFHYYLSQSACWAMAKSWLDVQVDLELANFHPSRLENKHYGDDVNGSSVLGGRTSLPSAGPECWPAHVLDQQPRDISALLQKLHSDEIVHETVSRACKEQHRQIEMKLMVGDIAHLLDLLWSWISPSEDDENFIRPHGDPQMIRFGAHVVLVLRYLLDDDVKDAFREKLTTVGDLILHMYSMFLFSKQHEELVGVYASQLARHLCIDLFVHMMELRLNDSLHVKYKLFLSAMEYLPFSSGNESKASFEDIIERVLARSREIKVGKYEKSTDVAEQHRLQSLQKATVVQWLCFTPPSTINDFEIINAKLLMRALMHSNTLFREFALISLWRVPKMPIGAHMLLSFLAEPLKQPKDSLLSLNEQDVSDNLLEFEDWREFYSCDATYRNWLKIELENATVPAGELSFEERDRSTAAARETLNSSFSLLSRDGSPWLSAVHSSLYDSIEQSYLELHATAMLCLPSGECMCPDATLCTALTSALYSSVSEEVVLRRQLMVNVAISSRDNYCIEVALRCLAIDGDGLGLHEANDGGVLAFIMAAGFKGELNRFQTGVTMEISRLDAWYSDAGGSLEIPATYIVRGLCRRCCLPEIILRCMQVSVSLAESGDAFDRRNELIELVASSDSGLLQLFSQQQLQEFLLFERECTLFKMELQEELFMSDV >DRNTG_12607.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20395115:20408660:-1 gene:DRNTG_12607 transcript:DRNTG_12607.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP107 [Source:Projected from Arabidopsis thaliana (AT3G14120) UniProtKB/Swiss-Prot;Acc:Q8L748] MILSPTTSHQEACQFVSTDHTAQLCLRVVLWLEGLASETLELEKKVRGSRVGSYLPSSGVWHHTQRFLKKKNDDPAIVRHVDFDAPTREFAHQLSDDKKQDELLLEDIWTLLRSGRLEEACELCRSAGQPWRAASLCPFGGFDHFPTIEAMHKNGKSRTLQAIELESGIGRQWRLWKWASYCTSEKIAEQDGGKYEMAVYAAQCSNLKRLLPICTDWESACWAMAKSWLDVQVDLELANFHPSRLENKHYGDDVNGSSVLGGRTSLPSAGPECWPAHVLDQQPRDISALLQKLHSDEIVHETVSRACKEQHRQIEMKLMVGDIAHLLDLLWSWISPSEDDENFIRPHGDPQMIRFGAHVVLVLRYLLDDDVKDAFREKLTTVGDLILHMYSMFLFSKQHEELVGVYASQLARHLCIDLFVHMMELRLNDSLHVKYKLFLSAMEYLPFSSGNESKASFEDIIERVLARSREIKVGKYEKSTDVAEQHRLQSLQKATVVQWLCFTPPSTINDFEIINAKLLMRALMHSNTLFREFALISLWRVPKMPIGAHMLLSFLAEPLKQPKDSLLSLNEQDVSDNLLEFEDWREFYSCDATYRNWLKIELENATVPAGELSFEERDRSTAAARETLNSSFSLLSRDGSPWLSAVHSSLYDSIEQSYLELHATAMLCLPSGECMCPDATLCTALTSALYSSVSEEVVLRRQLMVNVAISSRDNYCIEVALRCLAIDGDGLGLHEANDGGVLAFIMAAGFKGELNRFQTGVTMEISRLDAWYSDAGGSLEIPATYIVRGLCRRCCLPEIILRCMQVSVSLAESGDAFDRRNELIELVASSDSGLLQLFSQQQLQEFLLFERECTLFKMELQEELFMSDV >DRNTG_21099.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23310781:23311375:1 gene:DRNTG_21099 transcript:DRNTG_21099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSFLRKKVGRGASVEAYTIPDEGILGRRVEDFPQDHRHNYQGGASPFPSDVIDSTSVPRVLCGHNRVNGLMDEPPLELSCVCNGVKH >DRNTG_04758.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15252305:15252678:1 gene:DRNTG_04758 transcript:DRNTG_04758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWKVLYSLSKDFLIRYRIRLDGSSPTNLLPKGWNQATTRAWMLHVPERNYHTDVDNFHTPVWILYLLWVLGLL >DRNTG_33239.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1308130:1318187:1 gene:DRNTG_33239 transcript:DRNTG_33239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQVLNHTHQLHRAFGGGGPMDGFFSPELRGEFGAGLLDLHAMDDTELLSEHVGSEPFEPSPFMPPREFDDDFDPVPVRPQRGQLDNNIRPSTNEKEIVAKESNVAKIKVVVRKRPLNKKEVARKEDDIVKVHDSSYLTVHEPKLKVDLTAYVEKHEFCFDAVLDENVNNDEVYRATVQPIIPIIFQRTKATCFAYGQTGSGKTFTMQPLPLRAAEDIVRLLRQPIYQGQKFRLWLSYFEIYGGKLFDLLGDRRKLCMREDGRQQVCIVGLQEFEVSDVQIVKEFIEKGNAARSTGSTGANEESSRSHAILQLSIKKHKEVNETKRHNDGNEPKGGKVIGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNSRKDQTVIPSSKESSTAASSFPISTELEDLYEQNQEVKMIETLRTYAESSSYTSSFEVDRHSTSVMPNSISNGREESGAMPSSLDRDRADMRSSYNSTSSHKLYSSSQVSFNTQDEEKVPKVSPPRRKISRDEKLERANWPKKTSGHVLLTTSNKQQQQQQQQQQQIQDSRPNNAVLRQFEQVPPQDGEIDAILEEEEALIAAHRKEIENTMDIVREEMNLLAEVDQPGSLIDNYVTQLNFVLSRKAAGLVSLQARLARFQHRLKEQEILSRKKAPR >DRNTG_11259.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21775731:21776111:1 gene:DRNTG_11259 transcript:DRNTG_11259.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPHIASDGYTYEAEAIRGWLDSGHETSPMTNLTLAHREFIPNLALRSAIREWMEQRK >DRNTG_11259.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21775703:21776111:1 gene:DRNTG_11259 transcript:DRNTG_11259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIMKEPHIASDGYTYEAEAIRGWLDSGHETSPMTNLTLAHREFIPNLALRSAIREWMEQRK >DRNTG_11259.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21775731:21776044:1 gene:DRNTG_11259 transcript:DRNTG_11259.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPHIASDGYTYEAEAIRGWLDSGHETSPMTNLTLAHREFIPNLALRSAIREWMEQRK >DRNTG_10032.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2922252:2926036:1 gene:DRNTG_10032 transcript:DRNTG_10032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEAASNGRLDGSLPSPSQKGLEPIHEGIACIETSGKPTNLTLQIPSKSVNISNSPFMERHIPQQGLCRGSSSSSGFVRGLSFKNKAATPDGERSSLLNPDLNSKSECKVAPDNAMLANFALSWKRCTSMPATSAPILSPSPKEKIASDQHSSQRRVKKVLRSLSVPMRNIVIVRSVSLPIQTEIASPHPPDDHIMPENVEDDDEEIPEEEAVCRICFVGLSEGGSWLRMECSCKGDLRLMHEECAVKWFSIRGNKKCEICAQEVLNLPVTLLRLQSTAQREIAQQRPSLNSNSNTFLSRSWQDMVVLLLISTMCYFFFLEQLLVSDLKSHAIMIAAPFSLTLGLLGSIFAIILASREYVWAYSAFQFSLVVVFLHFFYTMIELRPVFAILFASFAGFGIAMGVNSVCLQFLAWRVRVLQARMISNPV >DRNTG_17500.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19187735:19188832:1 gene:DRNTG_17500 transcript:DRNTG_17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRRGLVSQPPDPSLHLQTPSNGDPEPLRLHSDADLHHLQLDPHPHPLPSTLDPTLLKEQDEKEKMKNVVSQVNSNISECKEEEEEEEEEKKGIIISGVKKRRSPAVLMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRTVNSVRGQIGSTETLKSSSDENGAKKNKKMGTVKARSINSLLGD >DRNTG_16899.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6421964:6422423:-1 gene:DRNTG_16899 transcript:DRNTG_16899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTRNQTFKEVVKLALRAKKLVIEGRRLLENLAKRRNPDFSRASKRSKSEETSSGVLGSNSVKSSLGHVGSQKDTTSASGSIGDVPVWADRLPQVSMSRVRPWSARVSTSPCLTTESVEGCTVYWV >DRNTG_00956.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21116789:21117907:1 gene:DRNTG_00956 transcript:DRNTG_00956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNHTVHNQSYKAHKNGIKKPRRHRHISTKGMDPKFLRNQRYGRKHNNKKEASESEAEE >DRNTG_02102.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10646570:10656847:-1 gene:DRNTG_02102 transcript:DRNTG_02102.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQVPPSQPFLASREEFIALRSAPAFATIPSGYNKIPRSNFAEKLVIMAVNLVNGRCYLTPVVETLAELKDHMTPRHWEIIRRTPFVAFTELEAIFQERVLLNSLLQRYDGHTNKFRIGPAEFQASRCGPHSWSALRWRRSRVSEEENPLSSRRDVFIKNLRETQRLHQEHSCATCSTEGRRRKFCQTPNGVPHGYSPLPKYIMLASELNR >DRNTG_22082.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20273687:20276042:-1 gene:DRNTG_22082 transcript:DRNTG_22082.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 704B1 [Source:Projected from Arabidopsis thaliana (AT1G69500) UniProtKB/Swiss-Prot;Acc:Q9C788] MEQDRHLLPILTQSPTFQQCTLVATIALFSWILIHRWSQRNKTGPKTWPLIGASIELLKNYDRMHDWLADHLSVSKTITVPLPFTTYTYIAEPSNVAHVLKTNFSNYPKGELYRSYMDVLLGDGIFNADGEMWRKQRKTASFEFASKNLRDFSTTVFRDYALKLSNILCNVSIIHQDIDMQDLYMRMTLDSICKVGFGVEIGTLSPDLPDNSFAHAFDEANTIVTLRFIDPFWRIKRFLNLGSEALLERSIKVVDDFTYNMIRRRKAEWNNNKMKHDILSRFIDLGKEPESGFDSDKSLRDVVLNFVIAGRDTTATTLSWFTYMIITHPKIADKLCMELKGFEEQRAKEENIKLITCDKDDDHSFESKVSQFAGLLNYDSLGKLSHLTAAISETLRLYPAVPQDPKGAIRDDVLPDGTKVKAGWLVTYVPYSMGRMVYNWGPDAKCFKPERWLKDGFLQSFSPFKFSAFQAGPRICLGRDSAYLQMKMTLAILCRFFEFKLVPDHPVHYRMMTVLSMAQGLKVRVFRRS >DRNTG_07905.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2525209:2534514:1 gene:DRNTG_07905 transcript:DRNTG_07905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMYIILLFLRISIYISPTVLAKKPLNNARVTYIVHVQREPNMASFSNKEEREQWHKSFLPTTTTAGSGQPRIIYSYNNIISGFAARLTREELEAVKNKNGFIHAIPDRLLHVATTHSPGFLGLDTSSTGFWASSNYGKGVIIGVLDTGVTPDHPSFSDQGIPSPPAKWKGVCGFTSATCNNKLIGARTFLEGMNAVQPTDTAQEPYDNNGHGTHTASTAAGMFVENANINGLATGTAAGMAPHAHLAIYKVCHDHGTCANSDVLAGIDQAVQDGVDIISASLSGGSANFYEDNNAIGSFSAIEKGVFVSFAAGNDGPAYKTLSNEAPWIITVGASTMDRYLTSTVVLGNGDAIEGQTAYQPQSFKPIPLPLIYPGFTLSTAATCTNGSLDTINVKGMMVVCDEGDNDLAEKGSNVQNAGGVAMIIANFKGDGYTTTASPHVLPAAHISYNDGVSIKAYISTASAPTATILFNGTLLGVTPSPMMASFSSRGPSKADPFTLKPDIIAPGVNILAAWPFSPGPTPSIGANFNLDSGTSMATPHISGIAALLKAVHP >DRNTG_07905.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2525209:2527672:1 gene:DRNTG_07905 transcript:DRNTG_07905.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMYIILLFLRISIYISPTVLAKKPLNNARVTYIVHVQREPNMASFSNKEEREQWHKSFLPTTTTAGSGQPRIIYSYNNIISGFAARLTREELEAVKNKNGFIHAIPDRLLHVATTHSPGFLGLDTSSTGFWASSNYGKGVIIGVLDTGVTPDHPSFSDQGIPSPPAKWKGVCGFTSATCNNKLIGARTFLEGMNAVQPTDTAQEPYDNNGHGTHTASTAAGMFVENANINGLATGTAAGMAPHAHLAIYKVCHDHGTCANSDVLAGIDQAVQDGVDIISASLSGGSANFYEDNNAIGSFSAIEKGVFVSFAAGNDGPAYKTLSNEAPWIITVGASTMDRYLTSTVVLGNGDAIEGQTAYQPQSFKPIPLPLIYPGFTLSTAATCTNGSLDTINVKGMMVVCDEGDNDLAEKGSNVQNAGGVAMIIANFKGDGYTTTASPHVLPAAHISYNDGVSIKAYISTASAPTATILFNGTLLGVTPSPMMASFSSRGPSKADPFTLKPDIIAPGVNILAAWPFSPGPTPSIGANFNLDSGTSMATPHISGIAALLKAVHPNWSPAAIKSAMMTSADITGNDGNPIADYTLEVADYFGTGAGHVNPTKASVPGFVYDLDPASYIPYLCGLGYTDANVTVVVGSSVTCADVTPITGSELNYPSFMVFLTLSNSYTVTVNRTVTNVGDATSTYSVKVIEPRGSSVTVNPETITFSGENQQSQYSVTFSNSVGGTRMAAYSRGSLIWASSDGNTTVRSPIMVAIV >DRNTG_00465.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30773837:30778073:-1 gene:DRNTG_00465 transcript:DRNTG_00465.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKANASDSRTRSSVSIFIVIGLCCFFYILGAWQKSGFGRGDSIAVEITKQTDCTVLSNLNFETHHSGTDADNLSDSQVQTFEPCHDRFTDYTPCQDQSRAMTFPRENMNYRERHCPPDEEKLYCLIPAPSGYVAPFPWPKSRDYVPYANVPYKSLTVEKAVQNWVQYEGNVFRFPGGGTQFPQGADTYINQLASVIPIANGTVRTALDTGCGVASWGAYLLKRNVLAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIQLPYPSRAFDMAHCSRCLIPWGSNDGMYMMEVDRVLRPGGYWVLSGPPINWKTNYRAWQRTKDDLEEEQRKIEEIAALLCWDKVSEKGEIAIWRKRINGDSCPWKQGNSRVSVCESGDADDVWYKPMKPCVTPLPEVNRPEEFAGGQLKAFPDRLNAVPPRISSGDVPGASVDAYQEDNRLWRKHVKAYKKVNKYLDNGRYRNIMDMNAGFGSFAAAIDSPKLWVMNVVPTVAEKSMLSVVYERGLIGIYHDWCEAFSSYPRTYDLIHANGVFSLYKNKCKMEDILLEMDRLLRPEGAVIFRDEVDVLVKVKRMASGMRWNTKMVDHEDGPLVPEKILFAVKQYWVGGNKTKEE >DRNTG_11537.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21326026:21328436:1 gene:DRNTG_11537 transcript:DRNTG_11537.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGNGTTKEEALVVSFGEMLIDFVPTASGVSLAEAPGFLKAPGGAPANVAIAVTRLGGRAAFVGKLGDDEFGRMLASILQQNGVVDSGIVFDSGARTALAFVTLRADGEREFMFYRNPSADMLLTEAELDRDLISRAAIFHYGSISLITEPCRSAHLRAMEIAKEAGALLSYDPNLRLPLWPSPEEARDQIMSIWDQADIIKVSDVELEFLTGENSVEDDVAMKLWRPTLKLLLITLGDKGCKYYTKDFRGMVESIQVKAVDTTGAGDAFVGAMLRRIVTDQSALQDEKKLREILRFANACGAITTTKKGAIPALPNEAEAQEFLQRV >DRNTG_29496.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:6066628:6070320:1 gene:DRNTG_29496 transcript:DRNTG_29496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGSSPKAVMSCETCGAGHEVLALNPLDEYLEGFEINKVEAKVPSPPPTHKVAQIEASPPPHGQKKKTLKKVWRKVNERLKRSVFVSTMPPKEVDRLYFKSQGKFQIFSCLSMNFPGMRVKKVAYKKARSDPSPPPNEPKFQNEEHKTRYALLSRKGFRTIRRIDWDVLKLLGLDGIILELISHSGWDKLFSIEEPTYKELTLEVLSTIEVAKHCPFTHRPSSISFRAFWKKHRVTQDHLGVLLGLYTEAYTRTPRFKDLSHDFLYPVTSEKYWASMATCWKTRKASQMSNPTHRYIHALLTRGIRV >DRNTG_18845.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2583582:2586633:-1 gene:DRNTG_18845 transcript:DRNTG_18845.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVSEASSWYQLLEPDRMPLNFFFLISVVIFIVYRKGVKRIKDTPSLPPGPRGLPVVGYLPFLRPDLHRCFADLAQTFGPLMTMWIGSRQCIIVSSSEASMEVCRDHDATFANHDTTAAARIISFGAQDMVFQPYSPKWRTLRKVFVRELLNTKILDSFHALRQREVRSMVEELHRKTGEVVDVGQVAFVTALNVVTAMLWGNSLAAEERERVGGEFRELVDGIVHLLGVTNMSDIFPVLEWMDLQGVQQKMKEHKERLDRLLNMIIEQRKGTLEASGDQKKTKDFLQVMLEMVKEDPHQLLTTDNIKGLFANIVLGGTDTTSTTVEWTLAEMMNKPETIRKAQEELESVVGKEEMVDETHLPKLHYLEALVKESLRLHPPVPLLVPRYPTATSSIGGYMIPKGAKVFINAWAIHRNPSVWENPSEFIPERFLTGTNRWEYGGSNNFNFIPFGFGRRICVGIPLAEKMNKYILATMLHSFEWRLPGNSKLDLVEKFGIVLKKEKPLVAIATARPSCAKLNK >DRNTG_18845.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2571683:2586633:-1 gene:DRNTG_18845 transcript:DRNTG_18845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVSEASSWYQLLEPDRMPLNFFFLISVVIFIVYRKGVKRIKDTPSLPPGPRGLPVVGYLPFLRPDLHRCFADLAQTFGPLMTMWIGSRQCIIVSSSEASMEVCRDHDATFANHDTTAAARIISFGAQDMVFQPYSPKWRTLRKVFVRELLNTKILDSFHALRQREVRSMVEELHRKTGEVVDVGQVAFVTALNVVTAMLWGNSLAAEERERVGGEFRELVDGIVHLLGVTNMSDIFPVLEWMDLQGVQRKMKEHKERLDRLLSMIIEQRKGTLEASGDQEKTKDFLQVMLEMLKEDPHQLLTMDNIKGIFTDIVTAGTDTTSITVEWTLAEMMNRPETIRKAQEELESVVGKEEMVDETHLPKLHYLEALVKESLRLHPPIPLLLPRYPTATCSIGGYMIPKGAKIFINAWAIHRNPTVWENPSEFIPERFLERMNRWDYYGSNNFNFIPFGFGRRICMGIPLAEKMNKFILATMLHSFEWRLPDNSKLDLVEKFGIVLKKEKPLVAIATARPSCAKLNK >DRNTG_18845.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2571683:2586633:-1 gene:DRNTG_18845 transcript:DRNTG_18845.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVSEASSWYQLLEPDRMPLNFFFLISVVIFIVYRKGVKRIKDTPSLPPGPRGLPVVGYLPFLRPDLHRCFADLAQTFGPLMTMWIGSRQCIIVSSSEASMEVCRDHDATFANHDTTAAARIISFGAQDMVFQPYSPKWRTLRKVFVRELLNTKILDSFHALRQREVRSMVEELHRKTGEVVDVGQVAFVTALNVVTAMLWGNSLAAEERERVGGEFRELVDGIVHLLGVTNMSDIFPVLEWMDLQGVQQKMKEHKERLDRLLNMIIEQRKGTLEASGDQKKTKDFLQVMLEMVKEDPHQLLTTDNIKGLFANIVLGGTDTTSTTVEWTLAEMMNKPETIRKAQEELESVVGKEEMVDETHLPKLHYLEALVKESLRLHPPVPLLVPRYPTATSSIGGYMIPKGAKVFINAWAIHRNPSVWENPSEFIPERFLERMNRWDYYGSNNFNFIPFGFGRRICMGIPLAEKMNKFILATMLHSFEWRLPDNSKLDLVEKFGIVLKKEKPLVAIATARPSCAKLNK >DRNTG_18845.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2571683:2574534:-1 gene:DRNTG_18845 transcript:DRNTG_18845.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVSDALSWYQNREPDRMALNFFFLISVVIFIVYRKGVKRIKDTQSLPPGPRALPVLGYLPFLRLDLHCCFADLAQTFGSVMMMRIGSRQCIIVSSSEAAREMCRDHDVTFANHDTTAAARIISFGMQDIGFQPYSPKWRTLRKACVRELLNTKILDSFHALHQREVRSMVEELHRKTGEVVDVGQVAFVTVLNVVTGMLWGNSLAAEEQKRVGGELRELVDGIVHLLGVTNMSDIFPVLEWMDLQGVQRKMKEHKERLDRLLSMIIEQRKGTLEASGDQEKTKDFLQVMLEMLKEDPHQLLTMDNIKGIFTDIVTAGTDTTSITVEWTLAEMMNRPETIRKAQEELESVVGKEEMVDETHLPKLHYLEALVKESLRLHPPIPLLLPRYPTATCSIGGYMIPKGAKIFINAWAIHRNPTVWENPSEFIPERFLERMNRWDYYGSNNFNFIPFGFGRRICMGIPLAEKMNKFILATMLHSFEWRLPDNSKLDLVEKFGIVLKKEKPLVAIATARPSCAKLNK >DRNTG_20201.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001098.1:40143:41244:-1 gene:DRNTG_20201 transcript:DRNTG_20201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLESLDLSWNNLIGTIPSSMSTLNFLAHLNLSHNNLSGKIPSGSQLQTFDSSAYNWNHNLCGSPLQDCANETHYSQGANKEEGKREWLEMLWLYVGLAMGFITGFWVIIGTIIIKQTIRIAYFRSIDKAYDWLYVKMVMYSRRFKSTFSKRN >DRNTG_16555.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8505049:8507135:-1 gene:DRNTG_16555 transcript:DRNTG_16555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVKIHRDAVFSCHGVLDKISQSQNQALCGTLMAETREVVSKFKKLGSMLDNTVGHARFRKLKEAPFPLKMKHNIFLGNQTDLFPKFDAQFLKEKQLNKCQVDMNGVNLKLCSTSMTSAKSLLSSLSVENHSFGGLNMKSFSLNNLTHKKKCSSRGDVGSINCHCFKKRKLKMRRSIKVPAISHRLADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSIVRGCTARKHVERCLEDPSMLIVTYEGEHSHTKSLTQSAHP >DRNTG_27191.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:554599:555875:-1 gene:DRNTG_27191 transcript:DRNTG_27191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMKAMVIPLLLLLLMGGMVSEATPNHQVKPAYPPLAPPPTHENHHHHHHHHHHYHHHPHHAPPPQSSPPLYPYPKSPPPPIHHGHHPPAPVHPSPPPVYTPPPTTPPVHYGTPPPPPPPIHYGRHPPAPVHHSPPPPPPPPVYTSPPPPIHYGRHPPAPVHHTPPPVYTPPPTPTPVHHGTPPPPPIHYGHYPPAPVHHSHPPPPPPVYTPPPTPVYHGTPPPPPIHYGRHPPAPIHHSPPPVYHTPPPTPAYHGTPPPPHIHHGRHPPAPIHRAPPPVYTTPPPPYHNIPEHGPPPPPYH >DRNTG_01265.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:9826560:9837006:1 gene:DRNTG_01265 transcript:DRNTG_01265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIYRLSIRPSATCYRFARRSGRSLAICHWSTGDLTTGSPASSDTTTVIQDGWQAWLHVIQLDNGNLEIHSQDEPYLNKPIEDYDLIETICGNDQASGQYSMMFGSRIGTQMEYNSDQEVSPHAQRLDDMSFGETNGYSNASPFSQPNTSEPMSATSPTQRKKQKGKREAHISDDSVNNLASTIRDGFASYGYSIEQALMAYEYLMADDVQARTFFGMREEFRQVWASSEVLQTNGVHALWVYARLSACRVQQGRVRGVTIDLISSSIAIFYSVFCIASSMDNGCLSKNRHWILLSENELNVVEVKSFDVIDC >DRNTG_29008.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22295038:22299163:-1 gene:DRNTG_29008 transcript:DRNTG_29008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGEEFSGKSAYEVLGVSESCSGAEIKASFRRLAKETHPDVLASPDDSTFLEILAAYEILSDSEKRACYDRYLLSRKEILLKKSELGSAMYMFNSSSTMRKQIEAVEWLKWYRSVINDIVNQKKVVAGTSYLDRLENEFYSAICAAYYGPFIESMDLLPDCFEAEERSVYDTPEVLHLVSGRDHFGTVNIVDKVPELPSIYHEKLSHFHSTGSRLSKHANEKTTGHNFVSTNRMINDNLVSQGSDNLSDAYKDLELHISGRFVAMATRDHPKSKEAIQQKLDLEDHINVYVALSEYNTYMSERTSVQFDSAHSLRSRILLGRISGLGTSAEEGYCSIYDRSGTKTHLIMKHRTLMVNHMHWYQAGHEVSVCECRCSRARLPPSKFWLFEPRCSLHDIGGWYIETFGRDKKGRTVLSQRKWDSITEHSEKRLHPAMYLMALAYRTLDLEEAKRRKITFRSVLEPKLNNILQWCKKLL >DRNTG_29008.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22295038:22299163:-1 gene:DRNTG_29008 transcript:DRNTG_29008.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGEEFSGKSAYEVLGVSESCSGAEIKASFRRLAKETHPDVLASPDDSTFLEILAAYEILSDSEKRACYDRYLLSRKEILLKKSELGSAMYMFNSSSTMRKQIEAVEWLKWYRSVINDIVNQKKVVAGTSYLDRLENEFYSAICAAYYGPFIESMDLLPDCFEAEERSVYDTPEVLHLVSGRDHFGTVNIVDKVPELPSIYHEKLSHFHSTGSRLSKHANEKTTGHNFVSTNRMINDNLVSQGSDNLSDAYKDLELHISGRFVAMATRDHPKSKEAIQQKLDLEDHINVYVALSEYNTYMSERTSVQFDSAHSLRSRILLGRISGLGTSAEEGYCSIYDRSGTKTHLIMKHRTLMVCVIILIYNFLFH >DRNTG_29008.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22295038:22299163:-1 gene:DRNTG_29008 transcript:DRNTG_29008.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGEEFSGKSAYEVLGVSESCSGAEIKASFRRLAKETHPDVLASPDDSTFLEILAAYEILSDSEKRACYDRYLLSRKEILLKKSELGSAMYMFNSSSTMRKQIEAVEWLKWYRSVINDIVNQKKVVAGTSYLDRLENEFYSAICAAYYGPFIESMDLLPDCFEAEERSVYDTPEVLHLVSGRDHFGTVNIVDKVPELPSIYHEKLSHFHSTGSRLSKHANEKTTGHNFVSTNRMINDNLVSQGSDNLSDAYKDLELHISGRFVAMATRDHPKSKEAIQQKLDLEDHINVYVALSEYNTYMSERTSVQFDSAHSLRSRILLGRISGLGTSAEEGYCSIYDRSGTKTHLIMKHRTLMVNHMHWYQAGHEVSVCECRCSRARLPPSKLVELIFFSNFISAMHGQ >DRNTG_29008.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22295038:22299163:-1 gene:DRNTG_29008 transcript:DRNTG_29008.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGEEFSGKSAYEVLGVSESCSGAEIKASFRRLAKETHPDVLASPDDSTFLEILAAYEILSDSEKRACYDRYLLSRKEILLKKSELGSAMYMFNSSSTMRKQIEAVEWLKWYRSVINDIVNQKKVVAGTSYLDRLENEFYSAICAAYYGPFIESMDLLPDCFEAEERSVYDTPEVLHLVSGRDHFGTVNIVDKVPELPSIYHEKLSHFHSTGSRLSKHANEKTTGHNFVSTNRMINDNLVSQGSDNLSDAYKDLELHISGRFVAMATRDHPKSKEAIQQKLDLEDHINVYVALSEYNTYMSERTSVQFDSAHSLRSRILLGRISGLGTSAEEGYCSIYDRSGTKTHLIMKHRTLMDLLCCQTFYIWQ >DRNTG_05182.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22535321:22536138:1 gene:DRNTG_05182 transcript:DRNTG_05182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDITNGICNHDSSIFDDIEIDINELGLCGVNEEDGHVTQKIDSQQHEDEHELTTQEDQEITMSPQPQDEREVRIPFVGMEF >DRNTG_12557.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20995171:20996911:1 gene:DRNTG_12557 transcript:DRNTG_12557.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR repeat-containing protein ZIP4 [Source:Projected from Arabidopsis thaliana (AT5G48390) UniProtKB/Swiss-Prot;Acc:B0M1H3] MHALLWNFGADHFRLKDYHTSAEMFEKSMLYVPNDEENRPRRSNCFRVLSLCHLGLAQLDRAQEFIDEAEKLEPNIKCAFLKFKIYLQKKDEKQAINQMQTMVSCSDFNPEFLTLSTHEAIACQSFPVAVSSLALLLNLYSPGKPMPMSEVAALRNLITLLHRSSDTDHEILKYTKHARMRMAELGADNFFGKGAVRSRELNWFAGTSWNMGLKTGKDKRFDFCAEFLELAAEFYSTSIDGNEGNPAMLWKSLILSVSAMISVEEQLKVTLPSSDIKKAIEMLNRAGKV >DRNTG_12557.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20995171:20997640:1 gene:DRNTG_12557 transcript:DRNTG_12557.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR repeat-containing protein ZIP4 [Source:Projected from Arabidopsis thaliana (AT5G48390) UniProtKB/Swiss-Prot;Acc:B0M1H3] MHALLWNFGADHFRLKDYHTSAEMFEKSMLYVPNDEENRPRRSNCFRVLSLCHLGLAQLDRAQEFIDEAEKLEPNIKCAFLKFKIYLQKKDEKQAINQMQTMVSCSDFNPEFLTLSTHEAIACQSFPVAVSSLALLLNLYSPGKPMPMSEVAALRNLITLLHRSSDTDHEILKYTKHARMRMAELGADNFFGKGAVRSRELNWFAGTSWNMGLKTGKDKRFDFCAEFLELAAEFYSTSIDGNEGNPAMLWKSLILSVSAMISVEEQLKVTLPSSDIKKAIEMLNRAGKILSTLPPSIDQTGEESTLIFLHTFNTYQLLNRLDVNDIRSQQLQLIKNFASLKACTPEHLLHLGLAASQGTLPNLDAAEFSLNACLSAALALPSPDYRIISIAIRKLAGLGQVHGSDAAYSIYKQAYQIIVGLKEGEYPVEEGKWLAMTAWNKSGMAVRLRQVDTARRWMKMGLDLSRHLPGMNIYTREMEQCFENFEKLCGSTNSFTSEAEETGTKSI >DRNTG_10885.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11743172:11751602:1 gene:DRNTG_10885 transcript:DRNTG_10885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVLQKMKKARRRHQKCSKAVKDARKLNKFDAPLLAGREIRETENPHGHVEIIHARVEITHGRMYRPRPWSCQIPALFKADSAPILVFFSPSFSQLVRGIRLGFRVVLAKVLEKFYGSDIVIPLGRRLVGELRSRRILYRMKESLDDE >DRNTG_28928.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22112738:22117065:1 gene:DRNTG_28928 transcript:DRNTG_28928.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEL1-like homeodomain protein 8 [Source:Projected from Arabidopsis thaliana (AT2G27990) UniProtKB/Swiss-Prot;Acc:Q9SJJ3] MIGMSGYRAEAAAAAAAAAHVAQQSRRNKLRVQPGGAGEQMMQVRDVFDPAMFSSSAVMEFGNPAAAAAAAAAAAAEFQYQDAVGVAGIDGSLIGTQYQEAQSLQFSTAYCQNGLQEVVTSSAMNQGMGMAGWDGGNELLLLPSPRIDNTGSVWMGREHQQWNGEGEFSATQGLSLSLASNPASVQQFEGLQSSQGGNEVGVLGSYAKFVASNRGYNTQCEGFHGAVGSSMDVRRAVGPLGPFTGYATILKSSKFLKPAQQLLIEFCNAVIGPNVGRDAAEKEGGGGVRGGDSGACLSSLYGSTEINGDGGSGSSHRPEFQQKKAKLLVMQEEVCRRYKQYHQQMQMVVSAFESVAGLSTATPYTSLALKAVSKHFRCIKNAISDQIRHMSKMLGEELMSSPSSSKADNHISRSKFIDQGLRKSTDTGFTEHSPPVWRPQRGLPERSVAVLRAWLFEHFLHPYPTDTDKHMLATQTGLSRNQVSNWFINARVRLWKPMVEEIHMLETKGIAEMNLNAGNKNEGKMVMNKDQQGSEAQSSKPGSDCSSMVSAQNNERFRNLEQWHREKRSRMEECSIVPGGIDGGLMSFYHGGMEMGGLGAVSLTLGLRHSDGAAGTAQQPPPPQQQMTRHFGSQVVHDFVA >DRNTG_34243.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28075346:28081014:-1 gene:DRNTG_34243 transcript:DRNTG_34243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCVYHSPQFSEDTAWLPFWLQPRQLPAFGDYPKGDHTVSPLAWKNYVCSPENNCEKHDDCLYSRNDVVSSGCRLFLSGEDNSTEGNTSSENATSFHLHLSSVTGSQLSDHVDEIAQTGRREPNKSMPGKPISAIQTAQKNHICSNVVEVDGDVPESKISPLNCVQRQVKSSSSTPVSKAEQKRVRFRKKVNFSNLKNKGIRDAVELSIAASEALVISEMATSSLQCETFPTEAILEVALRVKRARKECYLDVTETVPPVLLSDALDETDQLSDLDDDIMKNAFDDVGLSFNQMVAPTSVDSSPNGNSSHNSGKLILLPISSSPSKASNLESPDKQTIKSLSLVPETQDDISEAVAQGSDAFLIKNPDARTLNHTVSEEKGRISEVIKGNALRCLNTRTSVKAFFIRETSFISESMDDLDKHSSEARSDAGHEVVASSNTPMQSVAGHGKSIHEQLVPSLELVRSSSFSLVDPLCSVVPCSLPDVTPDVNQKSENKNLETITGSVPSIPLSELNCQCTVPDSKVEGHDIFKINTEGSILPSHRQFNLLKHYSMVVPNQDYVGEMAPAKAMSRESTKEKPINLFLTGKSTSFCAFKDAPSVPSSPKGKFDVKDGSENHNFGNMYCEQVKGPGSFRTNGAESADVATSVSKHQEDTNQGAPPQLTLQAQPLVKRSLPTKKVHFVEDKEVVGELQSLVWASGSKSTKRKRVNEQFRHCKYGIQKDNCRYITKCNTTNAKALIFQGLEFLLTGFSAQTEKKLEAVIREFGGYVLTSIPSCFKNLRREQALELSTWKLPIVLSPKKVKSTKFLYGCAINTWMLNAEWILDSVEAGFLLTPKKYVNRPIQASKWHHLQGQEPICFNNGSIFDKFAVMVYGKARFCTKFSKIIKCGGGLVYKSLQQLIQNTKDGKKSIGAILVEDESNVSRHLKQCILEQNLQMMTANWIINCLFSGKLLPFKKNKYASFHRIKMPTFPQDQTVEMSQEI >DRNTG_22021.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2561728:2563881:-1 gene:DRNTG_22021 transcript:DRNTG_22021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSPWVYFHGDEPFFPSSTSWFFSNGALLYQKGNNSPTPINPTGSNLPQGGSNDGNYWIDLPVDETEKEKVKKGDLSTSKTYIHIKPMLGGTVTDIAVWIFYPFNGAAKLKVKFINIGLGKIGEHVGDWEHITLRVSNFSGELWRVYYSEHSSGTWRDASEVEFEEGNKVVTYSSLHGHAMYAHAGTVLQGNVKLGIGIRNDCEKGKERMDCGERFEVVAAPETVEEPGWLNYMREWGPKISYDVAEELKKIEKVLPGKLRKVVDSLPEELLGEEGPTGPKVKDSWMFDEK >DRNTG_30466.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18687179:18689959:1 gene:DRNTG_30466 transcript:DRNTG_30466.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVMASSSMGGSDLTRLQNSAAGDPSKTIGSMSVDDLLRNIYGEGASAPSPVPGGKTMEDVWREVSTGRKQEGGEGIEEMTLEDFLARTGAVMEEEVRDPSGPRIETGMGLENRILGFGTVADGGGTGGRGRGRKRLLMDPEDRVALQRQKRMIKNRESAARSRERKQAYTAELETLVMQLEEENVTLLTEREEERKERINQLMKNIIPVTEGRKPRRPLRRTRSMQW >DRNTG_30466.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18687179:18689959:1 gene:DRNTG_30466 transcript:DRNTG_30466.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVMASSSMGGSDLTRLQNSAAGDPSKTIGSMSVDDLLRNIYGEGASAPSPVPGGKTMEDVWREVSTGRKQEGGEGIEEMTLEDFLARTGAVMEEEVRDPSGPRIETGMGLENRILGFGTVADGGGTGGRGRGRKRLLMDPEDRVALQRQKRMIKNRESAARSRERKQAYTAELETLVMQLEEENVTLLTERVWARCSVYCLSLLCDLQSE >DRNTG_28551.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3820762:3823369:-1 gene:DRNTG_28551 transcript:DRNTG_28551.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLA2-ALPHA [Source:Projected from Arabidopsis thaliana (AT2G06925) UniProtKB/TrEMBL;Acc:A0A178VVH8] MRMKMRSQKQNYHLKLFSIPCFFLLLSIHHSFTVVSALNIGVQSINGGMSENKQQCSRKCESEHCTVPPFLRYGKYCGILYSGCPGEKPCDGLDACCQTHDACVQAKHNDYLSQECNENLLDCIEKYKKSGAASFKGNKCMVQEVVDVITIVIEAALLAGRVLHKP >DRNTG_19366.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5793550:5794631:1 gene:DRNTG_19366 transcript:DRNTG_19366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSVWESLQKYWGSEEFKKISEQNKKNSAVNGASSFVIYHGGSVSTAVHRLRLIEDLGREPTPKECFIRTHGKKDGTLEGERATQIVEQFDKAIADKRDQGEDENSINQNE >DRNTG_04901.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11146208:11149773:-1 gene:DRNTG_04901 transcript:DRNTG_04901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTISIFLAAASASKDALLTNVMKSGADRWGSSCLVCPNHLLLLLEPYLFTLSLSLSSSSSSSSSSSSFSNFFLTVLP >DRNTG_23433.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21253748:21258824:-1 gene:DRNTG_23433 transcript:DRNTG_23433.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA splicing factor SR-like 1 [Source:Projected from Arabidopsis thaliana (AT5G37370) UniProtKB/Swiss-Prot;Acc:Q8RWB1] MSEIATSGRAIDTLLEKVLCMNILSSDYFKELYKMKTFHEVVDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMYNLLKHPDSPYIRAIGFLYLRYLGDPKTLWNWFEPYVKDDEEFSPGSNGRKTTMGLYVRDLLLGQYYFDTLFPRIPVPIMRLITTNLERMKLPTKHSGVTGESSRHGSDDTARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRTLPIRERTDGDDLRDSSPSKRRSRSHDGPDRDRDRERGRDRERDKERDRDRDRDHDRDRDRGRDRNRDSRDRRSDRDSRDRRSDRDSRDRDSYRSSGRHDHERNGSRDYDRHRDSSSRRSRSRSRSRSRSLQNHGGYSDRISSPFKDASKEKPAAISGNLAKLKDLYGDGTSNNDSADRFRKDTNTEEVFRLGASTWK >DRNTG_23433.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21253748:21258824:-1 gene:DRNTG_23433 transcript:DRNTG_23433.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA splicing factor SR-like 1 [Source:Projected from Arabidopsis thaliana (AT5G37370) UniProtKB/Swiss-Prot;Acc:Q8RWB1] MSEIATSGRAIDTLLEKVLCMNILSSDYFKELYKMKTFHEVVDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMYNLLKHPDSPYIRAIGFLYLRYLGDPKTLWNWFEPYVKDDEEFSPGSNGRKTTMGLYVRDLLLGQYYFDTLFPRIPVPIMRLITTNLERMKLPTKHSGVTGESSRHGSDDTARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRTLPIRERTDGDDLRDSSPSKRRSRSHDGPDRDRDRERGRDRERDKERDRDRDRDHDRDRDRGRDRNRDSRDRRSDRDSRDRRSDRDSRDRDSYRSSGRHDHERNGSRDYDRHRDSSSRRSRSRSRSRSRSLQNHGGYSDRISSPFKDASKEKPAAISGNLAKLKDLYGDGTSNNDSADRFRKDTNTEEVFRLGASTWK >DRNTG_28426.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4389600:4395707:-1 gene:DRNTG_28426 transcript:DRNTG_28426.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKANQKWDQTARKAFIPKKKKWVGLSRLNKYEQELMRIFLNCSMDGIVVWRNDAVSTTRDKLYIWALREGDGRDDVMGRFSVCIIQKVAEQRAISLQKRASITETAFMLFMLKRGTTHETIMAMVGDAVRNLHKVQIIILPIIMNGHFHVVVIDNDKQEYRHYSSCPGYDKDALDMWNLFDNLCRYGVRRVGDG >DRNTG_30865.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001637.1:2723:3485:-1 gene:DRNTG_30865 transcript:DRNTG_30865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVLVQFKDQDMRGVHECGDIARPLKYTSMKKSIIVYHMDVCPAMWPQNKSVWTAIMKSTVAKCCNKYCIAFYC >DRNTG_21410.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:675008:676690:1 gene:DRNTG_21410 transcript:DRNTG_21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGFHSSFRTTQGGLSLNIDVSTTMIVRPGPVIDFLIANQNVRDPYQIGWSKAKRML >DRNTG_21410.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:675008:676690:1 gene:DRNTG_21410 transcript:DRNTG_21410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGFHSSFRTTQGGLSLNIDVSTTMIVRPGPVIDFLIANQNVRDPYQIGWSKVS >DRNTG_19488.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:13570267:13571817:1 gene:DRNTG_19488 transcript:DRNTG_19488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVVWCLSSTLGTSWAAGETFTFTNLAYEEGGRSFLNVVSDSTIASTSIFSASSIGGDTGEKGKVGGDNCAGHVVLVTCSTQWLVLMKASSSTLAERKGILSTPHAVQGCLKL >DRNTG_34610.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23257528:23258980:1 gene:DRNTG_34610 transcript:DRNTG_34610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRN2 [Source:Projected from Arabidopsis thaliana (AT5G46700) UniProtKB/TrEMBL;Acc:A0A178ULL6] MALSNNIVGAINFVAMLLSIPIIAAGIWLSSQSDNSCLKLLQWPVISLGILVLLVALAGFIGAFWRIPWLLLFYLIAMLIVIFLLASLVIFIYVVTNNGGGHTAPNRAYLEYHLDDYSGWLRRRVESSYKWNRIKACLSSTTTCSVLNQTYRSAQDFFIAPLTPLESGCCKPPTKCGYTFVNPTYWISPIDLSADLDCLLWSNEQTVLCYSCSSCKAGLLANIKREWRRADLILVLTLVALIVVYVLGFLAFRNAKTDELFRKYQQGYTT >DRNTG_34610.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23257444:23258762:1 gene:DRNTG_34610 transcript:DRNTG_34610.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRN2 [Source:Projected from Arabidopsis thaliana (AT5G46700) UniProtKB/TrEMBL;Acc:A0A178ULL6] MALSNNIVGAINFVAMLLSIPIIAAGIWLSSQSDNSCLKLLQWPVISLGILVLLVALAGFIGAFWRIPWLLLFYLIAMLIVIFLLASLVIFIYVVTNNGGGHTAPNRAYLEYHLDDYSGWLRRRVESSYKWNRIKACLSSTTTCSVLNQTYRSAQDFFIAPLTPLESGCCKPPTKCGYTFVNPTYWISPIDLSADLDCLLWSNEQTVLCYSCSSCKAGLLANIKREWRRADLILVLTLVALIVVYVLGFLAFRNAKTDELFRKYQQGYTT >DRNTG_34610.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23257444:23258689:1 gene:DRNTG_34610 transcript:DRNTG_34610.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRN2 [Source:Projected from Arabidopsis thaliana (AT5G46700) UniProtKB/TrEMBL;Acc:A0A178ULL6] MALSNNIVGAINFVAMLLSIPIIAAGIWLSSQSDNSCLKLLQWPVISLGILVLLVALAGFIGAFWRIPWLLLFYLIAMLIVIFLLASLVIFIYVVTNNGGGHTAPNRAYLEYHLDDYSGWLRRRVESSYKWNRIKACLSSTTTCSVLNQTYRSAQDFFIAPLTPLESGCCKPPTKCGYTFVNPTYWISPIDLSADLDCLLWSNEQTVLCYSCSSCKAGLLANIKREWRRADLILVLTLVALIVVYVLGFLAFRNAKTDELFRKYQQGYTT >DRNTG_34610.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23257600:23258762:1 gene:DRNTG_34610 transcript:DRNTG_34610.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRN2 [Source:Projected from Arabidopsis thaliana (AT5G46700) UniProtKB/TrEMBL;Acc:A0A178ULL6] MLLSIPIIAAGIWLSSQSDNSCLKLLQWPVISLGILVLLVALAGFIGAFWRIPWLLLFYLIAMLIVIFLLASLVIFIYVVTNNGGGHTAPNRAYLEYHLDDYSGWLRRRVESSYKWNRIKACLSSTTTCSVLNQTYRSAQDFFIAPLTPLESGCCKPPTKCGYTFVNPTYWISPIDLSADLDCLLWSNEQTVLCYSCSSCKAGLLANIKREWRRADLILVLTLVALIVVYVLGFLAFRNAKTDELFRKYQQGYTT >DRNTG_34610.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23257528:23258762:1 gene:DRNTG_34610 transcript:DRNTG_34610.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRN2 [Source:Projected from Arabidopsis thaliana (AT5G46700) UniProtKB/TrEMBL;Acc:A0A178ULL6] MALSNNIVGAINFVAMLLSIPIIAAGIWLSSQSDNSCLKLLQWPVISLGILVLLVALAGFIGAFWRIPWLLLFYLIAMLIVIFLLASLVIFIYVVTNNGGGHTAPNRAYLEYHLDDYSGWLRRRVESSYKWNRIKACLSSTTTCSVLNQTYRSAQDFFIAPLTPLESGCCKPPTKCGYTFVNPTYWISPIDLSADLDCLLWSNEQTVLCYSCSSCKAGLLANIKREWRRADLILVLTLVALIVVYVLGFLAFRNAKTDELFRKYQQGYTT >DRNTG_34610.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23257600:23258980:1 gene:DRNTG_34610 transcript:DRNTG_34610.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRN2 [Source:Projected from Arabidopsis thaliana (AT5G46700) UniProtKB/TrEMBL;Acc:A0A178ULL6] MLLSIPIIAAGIWLSSQSDNSCLKLLQWPVISLGILVLLVALAGFIGAFWRIPWLLLFYLIAMLIVIFLLASLVIFIYVVTNNGGGHTAPNRAYLEYHLDDYSGWLRRRVESSYKWNRIKACLSSTTTCSVLNQTYRSAQDFFIAPLTPLESGCCKPPTKCGYTFVNPTYWISPIDLSADLDCLLWSNEQTVLCYSCSSCKAGLLANIKREWRRADLILVLTLVALIVVYVLGFLAFRNAKTDELFRKYQQGYTT >DRNTG_34610.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23257600:23258689:1 gene:DRNTG_34610 transcript:DRNTG_34610.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRN2 [Source:Projected from Arabidopsis thaliana (AT5G46700) UniProtKB/TrEMBL;Acc:A0A178ULL6] MLLSIPIIAAGIWLSSQSDNSCLKLLQWPVISLGILVLLVALAGFIGAFWRIPWLLLFYLIAMLIVIFLLASLVIFIYVVTNNGGGHTAPNRAYLEYHLDDYSGWLRRRVESSYKWNRIKACLSSTTTCSVLNQTYRSAQDFFIAPLTPLESGCCKPPTKCGYTFVNPTYWISPIDLSADLDCLLWSNEQTVLCYSCSSCKAGLLANIKREWRRADLILVLTLVALIVVYVLGFLAFRNAKTDELFRKYQQGYTT >DRNTG_34610.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23257444:23258858:1 gene:DRNTG_34610 transcript:DRNTG_34610.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRN2 [Source:Projected from Arabidopsis thaliana (AT5G46700) UniProtKB/TrEMBL;Acc:A0A178ULL6] MALSNNIVGAINFVAMLLSIPIIAAGIWLSSQSDNSCLKLLQWPVISLGILVLLVALAGFIGAFWRIPWLLLFYLIAMLIVIFLLASLVIFIYVVTNNGGGHTAPNRAYLEYHLDDYSGWLRRRVESSYKWNRIKACLSSTTTCSVLNQTYRSAQDFFIAPLTPLESGCCKPPTKCGYTFVNPTYWISPIDLSADLDCLLWSNEQTVLCYSCSSCKAGLLANIKREWRRADLILVLTLVALIVVYVLGFLAFRNAKTDELFRKYQQGYTT >DRNTG_34610.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23257444:23258980:1 gene:DRNTG_34610 transcript:DRNTG_34610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRN2 [Source:Projected from Arabidopsis thaliana (AT5G46700) UniProtKB/TrEMBL;Acc:A0A178ULL6] MALSNNIVGAINFVAMLLSIPIIAAGIWLSSQSDNSCLKLLQWPVISLGILVLLVALAGFIGAFWRIPWLLLFYLIAMLIVIFLLASLVIFIYVVTNNGGGHTAPNRAYLEYHLDDYSGWLRRRVESSYKWNRIKACLSSTTTCSVLNQTYRSAQDFFIAPLTPLESGCCKPPTKCGYTFVNPTYWISPIDLSADLDCLLWSNEQTVLCYSCSSCKAGLLANIKREWRRADLILVLTLVALIVVYVLGFLAFRNAKTDELFRKYQQGYTT >DRNTG_34610.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23257528:23258858:1 gene:DRNTG_34610 transcript:DRNTG_34610.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRN2 [Source:Projected from Arabidopsis thaliana (AT5G46700) UniProtKB/TrEMBL;Acc:A0A178ULL6] MALSNNIVGAINFVAMLLSIPIIAAGIWLSSQSDNSCLKLLQWPVISLGILVLLVALAGFIGAFWRIPWLLLFYLIAMLIVIFLLASLVIFIYVVTNNGGGHTAPNRAYLEYHLDDYSGWLRRRVESSYKWNRIKACLSSTTTCSVLNQTYRSAQDFFIAPLTPLESGCCKPPTKCGYTFVNPTYWISPIDLSADLDCLLWSNEQTVLCYSCSSCKAGLLANIKREWRRADLILVLTLVALIVVYVLGFLAFRNAKTDELFRKYQQGYTT >DRNTG_34610.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23257600:23258858:1 gene:DRNTG_34610 transcript:DRNTG_34610.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRN2 [Source:Projected from Arabidopsis thaliana (AT5G46700) UniProtKB/TrEMBL;Acc:A0A178ULL6] MLLSIPIIAAGIWLSSQSDNSCLKLLQWPVISLGILVLLVALAGFIGAFWRIPWLLLFYLIAMLIVIFLLASLVIFIYVVTNNGGGHTAPNRAYLEYHLDDYSGWLRRRVESSYKWNRIKACLSSTTTCSVLNQTYRSAQDFFIAPLTPLESGCCKPPTKCGYTFVNPTYWISPIDLSADLDCLLWSNEQTVLCYSCSSCKAGLLANIKREWRRADLILVLTLVALIVVYVLGFLAFRNAKTDELFRKYQQGYTT >DRNTG_34610.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23257528:23258689:1 gene:DRNTG_34610 transcript:DRNTG_34610.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRN2 [Source:Projected from Arabidopsis thaliana (AT5G46700) UniProtKB/TrEMBL;Acc:A0A178ULL6] MALSNNIVGAINFVAMLLSIPIIAAGIWLSSQSDNSCLKLLQWPVISLGILVLLVALAGFIGAFWRIPWLLLFYLIAMLIVIFLLASLVIFIYVVTNNGGGHTAPNRAYLEYHLDDYSGWLRRRVESSYKWNRIKACLSSTTTCSVLNQTYRSAQDFFIAPLTPLESGCCKPPTKCGYTFVNPTYWISPIDLSADLDCLLWSNEQTVLCYSCSSCKAGLLANIKREWRRADLILVLTLVALIVVYVLGFLAFRNAKTDELFRKYQQGYTT >DRNTG_02168.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29265446:29265993:-1 gene:DRNTG_02168 transcript:DRNTG_02168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPRALPPAKIPLSTRNEMREGVQGRGRRNSIPGTDNITMAPLIVQPSIFKTKYF >DRNTG_17064.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:62419:66211:1 gene:DRNTG_17064 transcript:DRNTG_17064.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDERKEEEKKMKILIIGGCGYIGRKLVKASLALNYQTYILYRPQNASSREKSQLLMEFKIMGALLLQGSFDNHESLVSALRQVDVVVCSVASDQILQQLKLIQAIKEAGNIKRYIPSEFGMDADRMPHAIPPGNQIFIDKCVIRRTLEEAKIPFTYVSANCFAGIFLAGLAQLGTFMPPTNHITIYGNGDKKCIWVAEEDVAMYTMMAANDHRAENKVLYVRPPANILTQMEVVNIWEKLLGKVLDKTFILSPQDWLSKMDKYPLFEQIAVAHFYQIYYCGDLDFEPEKPYSLETNDLYPEYKYVSAEEYLRRFIFI >DRNTG_17064.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:60666:66211:1 gene:DRNTG_17064 transcript:DRNTG_17064.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDERKEEEKKMKILIIGGCGYIGRKLVKASLALNYQTYILYRPQNASSREKSQLLMEFKIMGALLLQGSFDNHESLVSALRQVDVVVCSVASDQILQQLKLIQAIKEAGNIKRYIPSEFGMDADRMPHAIPPGNQIFIDKCVIRRTLEEAKIPFTYVSANCFAGIFLAGLAQLGTFMPPTNHITIYGNGDKKCIWVAEEDVAMYTMMAANDHRAENKVLYVRPPANILTQMEVVNIWEKLLGKVLDKTFILSPQDWLSKMDKYPLFEQIAVAHFYQIYYCGDLDFEPEKPYSLETNDLYPEYKYVSAEEYLRRFIFI >DRNTG_17064.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:58074:66211:1 gene:DRNTG_17064 transcript:DRNTG_17064.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDERKEEEKKMKILIIGGCGYIGRKLVKASLALNYQTYILYRPQNASSREKSQLLMEFKIMGALLLQGSFDNHESLVSALRQVDVVVCSVASDQILQQLKLIQAIKEAGNIKRYIPSEFGMDADRMPHAIPPGNQIFIDKCVIRRTLEEAKIPFTYVSANCFAGIFLAGLAQLGTFMPPTNHITIYGNGDKKCIWVAEEDVAMYTMMAANDHRAENKVLYVRPPANILTQMEVVNIWEKLLGKVLDKTFILSPQDWLSKMDKYPLFEQIAVAHFYQIYYCGDLDFEPEKPYSLETNDLYPEYKYVSAEEYLRRFIFI >DRNTG_17064.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:58074:66211:1 gene:DRNTG_17064 transcript:DRNTG_17064.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDERKEEEKKMKILIIGGCGYIGRKLVKASLALNYQTYILYRPQNASSREKSQLLMEFKIMGALLLQGSFDNHESLVSALRQVDVVVCSVASDQILQQLKLIQAIKEAGNIKRYIPSEFGMDADRMPHAIPPGNQIFIDKCVIRRTLEEAKIPFTYVSANCFAGIFLAGLAQLGTFMPPTNHITIYGNGDKKCIWVAEEDVAMYTMMAANDHRAENKVLYVRPPANILTQMEVVNIWEKLLGKVLDKTFILSPQDWLSKMDKYPLFEQIAVAHFYQIYYCGDLDFEPEKPYSLETNDLYPEYKYVSAEEYLRRFIFI >DRNTG_17064.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:58074:66211:1 gene:DRNTG_17064 transcript:DRNTG_17064.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDERKEEEKKMKILIIGGCGYIGRKLVKASLALNYQTYILYRPQNASSREKSQLLMEFKIMGALLLQGSFDNHESLVSALRQVDVVVCSVASDQILQQLKLIQAIKEAGNIKRYIPSEFGMDADRMPHAIPPGNQIFIDKCVIRRTLEEAKIPFTYVSANCFAGIFLAGLAQLGTFMPPTNHITIYGNGDKKCIWVAEEDVAMYTMMAANDHRAENKVLYVRPPANILTQMEVVNIWEKLLGKVLDKTFILSPQDWLSKMDKYPLFEQIAVAHFYQIYYCGDLDFEPEKPYSLETNDLYPEYKYVSAEEYLRRFIFI >DRNTG_17064.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:58074:66211:1 gene:DRNTG_17064 transcript:DRNTG_17064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDERKEEEKKMKILIIGGCGYIGRKLVKASLALNYQTYILYRPQNASSREKSQLLMEFKIMGALLLQGSFDNHESLVSALRQVDVVVCSVASDQILQQLKLIQAIKEAGNIKRYIPSEFGMDADRMPHAIPPGNQIFIDKCVIRRTLEEAKIPFTYVSANCFAGIFLAGLAQLGTFMPPTNHITIYGNGDKKCIWVAEEDVAMYTMMAANDHRAENKVLYVRPPANILTQMEVVNIWEKLLGKVLDKTFILSPQDWLSKMDKYPLFEQIAVAHFYQIYYCGDLDFEPEKPYSLETNDLYPEYKYVSAEEYLRRFIFI >DRNTG_17064.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:62419:66211:1 gene:DRNTG_17064 transcript:DRNTG_17064.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDERKEEEKKMKILIIGGCGYIGRKLVKASLALNYQTYILYRPQNASSREKSQLLMEFKIMGALLLQGSFDNHESLVSALRQVDVVVCSVASDQILQQLKLIQAIKEAGNIKRYIPSEFGMDADRMPHAIPPGNQIFIDKCVIRRTLEEAKIPFTYVSANCFAGIFLAGLAQLGTFMPPTNHITIYGNGDKKCIWVAEEDVAMYTMMAANDHRAENKVLYVRPPANILTQMEVVNIWEKLLGKVLDKTFILSPQDWLSKMDKYPLFEQIAVAHFYQIYYCGDLDFEPEKPYSLETNDLYPEYKYVSAEEYLRRFIFI >DRNTG_15889.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17995852:17996215:-1 gene:DRNTG_15889 transcript:DRNTG_15889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLFRSQDLLKIIEEGVKKEDTEAKMLENEKDDAKALFLLQQAVDETILH >DRNTG_10030.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2930175:2936221:-1 gene:DRNTG_10030 transcript:DRNTG_10030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLCAPLVAKTVDQMVREMAVAKGLGADLVEIRLDHLSVFRPREDLELLLKGRPLPVLITYRPKWEGGQYEGDDTRRFEALRLAMELGAEYVDIELKVAEEFIKFLSGGKPDHCKLIVSSHNYESTPTSDDLGNLVARIQAVGADIVKIAAVGVDITDVARMFQVIVHCQERQVPMIGLIMGERGLISRLLCPKFGGYLTFGSLDAGKESAPGQPTLTDLLNVYNIKNLRPDTKVVGIIGKPVGHSKSPVLHNAAFQSVGFNAVYVPFLVDDLPSFLKVYSSPDFAGFSCTIPHKEAAVVCCDEVDPIAKAIGAVNTIVKRPSDGKLIGYNTDYVGAISAIEDGIRGSRVKDSSISPLAGKLFVVIGAGGAGKALAYGAKEKGARVIIANRTYERARELASLIGAEALTLAQLENFRPEDGMILANTTSIGMHPKVDESPIPKHALGSYAVVFDAVYTPKVTRLLREAEESGATIVSGLEMFIRQAMGQFELFTGLPAPESLFREIVAKNSS >DRNTG_10030.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2930175:2936221:-1 gene:DRNTG_10030 transcript:DRNTG_10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLCAPLVAKTVDQMVREMAVAKGLGADLVEIRLDHLSVFRPREDLELLLKGRPLPVLITYRPKWEGGQYEGDDTRRFEALRLAMELGAEYVDIELKVAEEFIKFLSGGKPDHCKLIVSSHNYESTPTSDDLGNLVARIQAVGADIVKIAAVGVDITDVARMFQVIVHCQVPMIGLIMGERGLISRLLCPKFGGYLTFGSLDAGKESAPGQPTLTDLLNVYNIKNLRPDTKVVGIIGKPVGHSKSPVLHNAAFQSVGFNAVYVPFLVDDLPSFLKVYSSPDFAGFSCTIPHKEAAVVCCDEVDPIAKAIGAVNTIVKRPSDGKLIGYNTDYVGAISAIEDGIRGSRVKDSSISPLAGKLFVVIGAGGAGKALAYGAKEKGARVIIANRTYERARELASLIGAEALTLAQLENFRPEDGMILANTTSIGMHPKVDESPIPKHALGSYAVVFDAVYTPKVTRLLREAEESGATIVSGLEMFIRQAMGQFELFTGLPAPESLFREIVAKNSS >DRNTG_27449.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28773503:28778909:1 gene:DRNTG_27449 transcript:DRNTG_27449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRMAGEVLRVRETSPDEAETSFRELDDVFLQTQTRIWLGEVLHERFDEEMAVADLLADGELLFQVSKAVWKMILNKNVDIRHSKFFIYERTSFGKADGRYMAYPKVDSFLKICQILGMTGIDLFSPPDVVEKRDIRRVCMCIRSLSKKARLMKLNIPDFDIVTYTIAMPYWFGWWST >DRNTG_27449.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28773503:28778909:1 gene:DRNTG_27449 transcript:DRNTG_27449.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRMAGEVLRVRETSPDEAETSFRELDDVFLQTQTRIWLGEVLHERFDEEMAVADLLADGELLFQVSKAVWKMILNKNVDIRHSKFFIYERTSFGKADGRYMAYPKVDSFLKICQILGMTGIDLFSPPDVVEKRDIRRVCMCIRSLSKKARLMKLNIPDFDIVTYTIAMPYWFGWWST >DRNTG_27449.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28773503:28778909:1 gene:DRNTG_27449 transcript:DRNTG_27449.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRMAGEVLRVRETSPDEAETSFRELDDVFLQTQTRIWLGEVLHERFDEEMAVADLLADGELLFQVSKAVWKMILNKNVDIRHSKFFIYERTSFGKADGRYMAYPKVDSFLKICQILGMTGIDLFSPPDVVEKRDIRRVCMCIRSLSKKARLMKLNILTLLHTQ >DRNTG_27449.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28773503:28778909:1 gene:DRNTG_27449 transcript:DRNTG_27449.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRMAGEVLRVRETSPDEAETSFRELDDVFLQTQTRIWLGEVLHERFDEEMAVADLLADGELLFQVSKAVWKMILNKNVDIRHSKFFIYERTSFGKADGRYMAYPKVDSFLKICQILGMTGIDLFSPPDVVEKRDIRRVCMCIRSLSKKARLMKLNILTLLHTQ >DRNTG_28464.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22437606:22441524:-1 gene:DRNTG_28464 transcript:DRNTG_28464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKIGKRRPVVDERYTRPQGLYQHRNVDHKKLRRLILDSKLAPCYPGDEECVLDFEECPICFLYYPSLNRSRCCLKGICTECFLQMKPQQSARPTQCPFCKTSNYAVEYRGVRTKEEKGMEQIEEQRVIEAQIRMRQQELRDEEERKQKKQEMVLSGRTLSPSQIEYQEPSLRCSTESTELLSPQDSCVVSASRSKVLSRQNRDNNFDMDLEEIMLMEAIWLSIQEHGAQRSPGCGTSALPKPSMSNACDNSHAVAHTEASPTLADRLHMRGDSAQLAESYPTESWTNMSLGNQLEALPVEENAWPLDHESEIAEAGTSYASSDTTSDTGPNALTLPGVNIISGHPLPDSFEEQMMLAMAVSLAEV >DRNTG_14572.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:9363856:9364495:1 gene:DRNTG_14572 transcript:DRNTG_14572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVKATILGRKSYHLESVKATREASWERATLEVVKALGIQKGAKIGYHGTILGVLVQIKLEDIRGVRV >DRNTG_02651.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22863116:22864714:1 gene:DRNTG_02651 transcript:DRNTG_02651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMAEALEASVVESVNAIYLLFSSYLVFVMQLGFAMLCAGSVRAKNAMNIMLTNVVDAVVGTVSYYLFGFAFAFGSGTNPFIGTSLFALEGIPNESYDYSYFLYEWAFAIAVAGITSGSIAERTQFGAYLVFSFLLTGFVYPVVAHWVWSPTGWLSPNYSGSSGLLFGAGAIDFAGSRVVHMVGGVAGLWGAIIEGPRVGRFDAFGKPVAMRGHNATLVVLGTFLLWFGWFGFNPGSFNKILVPYPDAPYQGNWTGVGRTAVTTALAGSTAGLVTLFGRRLLVGHWDALDVCNGLLGGFVAITSGCSVVEPWAALVCGFVSAWVLIGLNALALKLRFDDPLEAAQLHGGCGAWGLLFTGLFAKEELVVQVYNSGEVGLRRPFGLLMGGGWGLLGAQVVELLAILGWVSITMALLFLVLSKLRLLRISVDEELAGLDVSRHGGYAYADDNHPRFYGEYLRIQDEARS >DRNTG_31721.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:210130:216999:1 gene:DRNTG_31721 transcript:DRNTG_31721.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKREQETMCCSVAQEAKTNQQVSKKMNNVVPYYKLFSFADSIDLALMIVGTIAAIANGLAPPLGTLNFGTLMNSFGQNTDPHIVVHEVSKASLKFVYLGIGVCLAAFLQVACWTVTGERQASRIRDIYLKSILRQDIEFFDREMRTGEVVGRMSGDSLLIHHAIGEKVGQFIQLLSSFFGGFALAFVHGWQLTLVMLAAIPLIASVTALMSNMITKMAANAQTSNSEASDIVEQTIASIRTVVSYTGEKQALMKYNMTLKTAYKSNVLEGLAAGIGMGTANALVYSGYALGTWFGSKMILHNGYTGGDVMRVIVLVLVGSKSLGQLSPCRSAFAAGQAAAFKMFETINRKPKIDGYDTTGKSSVDIRGDIELRDIHFSYPGRPDEHVFTDLSLLIPSGSTVALVGESGSGKSSVISLIERFYDPQAGEVLIDGTNIKKFSLRWIRGKIGLVSQEPVLFASSIKDNIAYGKDGATIEEIKAAAESANALKFIEQLPQGLDTMVGAHGAQLSGGEKQRVAIARAILKDPKILLLDEATSALDLESERIVQEALDKVMASRTTVIVAHRLSTVRNADLITVLHRGSVIEKGIGCESTYPVS >DRNTG_31721.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:210130:216999:1 gene:DRNTG_31721 transcript:DRNTG_31721.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAIPLIASVTALMSNMITKMAANAQTSNSEASDIVEQTIASIRTVVSYTGEKQALMKYNMTLKTAYKSNVLEGLAAGIGMGTANALVYSGYALGTWFGSKMILHNGYTGGDVMRVIVLVLVGSKSLGQLSPCRSAFAAGQAAAFKMFETINRKPKIDGYDTTGKSSVDIRGDIELRDIHFSYPGRPDEHVFTDLSLLIPSGSTVALVGESGSGKSSVISLIERFYDPQAGEVLIDGTNIKKFSLRWIRGKIGLVSQEPVLFASSIKDNIAYGKDGATIEEIKAAAESANALKFIEQLPQGLDTMVGAHGAQLSGGEKQRVAIARAILKDPKILLLDEATSALDLESERIVQEALDKVMASRTTVIVAHRLSTVRNADLITVLHRGSVIEKGSHAELIKNKDGVYSQLIHLQEANENFDHQNETSHRKQGVTSSDNIGSRSLRVATKEDDLQDSKLQEPECKQLTQEKQEVPLSRLARLNKPELLVILFGAIAAVISGMIMPTFGMFLANTVHSFYEPATELQKNYKFWSLMFLGLSFITIVSVPARSYLFAVAGSKLIRRIRLMSFDKLIHMEVGWFDEPENSSGVIGARLSAGAAAARSLVGDALSLVVQNAATLITGLVIALYSCWQLALLILTLIPLMSVNGWIQLKLMKEYTLTVKMMYEGASQVASDAIGSIRTVASFSAEEKVMQLYKRKCEGPRNAGIKQGLISGIGFGLSFLLLFSSYAASFHVGAALIEDDKTTYTKVFRVISSTQ >DRNTG_31721.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:210130:216999:1 gene:DRNTG_31721 transcript:DRNTG_31721.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKREQETMCCSVAQEAKTNQQVSKKMNNVVPYYKLFSFADSIDLALMIVGTIAAIANGLAPPLGTLNFGTLMNSFGQNTDPHIVVHEVSKASLKFVYLGIGVCLAAFLQVACWTVTGERQASRIRDIYLKSILRQDIEFFDREMRTGEVVGRMSGDSLLIHHAIGEKVGQFIQLLSSFFGGFALAFVHGWQLTLVMLAAIPLIASVTALMSNMITKMAANAQTSNSEASDIVEQTIASIRTVVSYTGEKQALMKYNMTLKTAYKSNVLEGLAAGIGMGTANALVYSGYALGTWFGSKMILHNGYTGGDVMRVIVLVLVGSKSLGQLSPCRSAFAAGQAAAFKMFETINRKPKIDGYDTTGKSSVDIRGDIELRDIHFSYPGRPDEHVFTDLSLLIPSGSTVALVGESGSGKSSVISLIERFYDPQAGEVLIDGTNIKKFSLRWIRGKIGLVSQEPVLFASSIKDNIAYGKDGATIEEIKAAAESANALKFIEQLPQGLDTMVGAHGAQLSGGEKQRVAIARAILKDPKILLLDEATSALDLESERIVQEALDKVMASRTTVIVAHRLSTVRNADLITVLHRGSVIEKGSHAELIKNKDGVYSQLIHLQEANENFDHQNETSHRKQGVTSSDNIGSRSLRVATKEDDLQDSKLQEPECKQLTQEKQEVPLSRLARLNKPELLVILFGAIAAVISGMIMPTFGMFLANTVHSFYEPATELQKNYKFWSLMFLGLSFITIVSVPARSYLFAVAGSKLIRRIRLMSFDKLIHMEVGWFDEPENSSGVIGARLSAGAAAARSLVGDALSLVVQNAATLITGLVIALYSCWQLALLILTLIPLMSVNGWIQLKLMKEYTLTVKMMYEGASQVASDAIGSIRTVASFSAEEKVMQLYKRKCEGPRNAGIKQGLISGIGFGLSFLLLFSSYAASFHVGAALIEDDKTTYTKVFRVFFVLALVAAGVSESSSLLPDAAKAKSAAASVFEILDRKSKIHSGDKSGITLQQVKGNIEFQHVCFKYPTRPDVQILKGLCLTVQSGKTVALVGESGSGKSTVISLLQRFYDPDSGSILLDGIETQKFQVKWLRQQMGLVSQEPILFNDTIRANIAYGKGEEATESEVVVAAELANAHHFISGLQQGYDTIVGERGIQLSGGQKQRVAIARAIIKEPKILLLDEATSALDAESEKMVQNALDKVMLKKTSVIVAHQLSTIKDADLIVVVKNGIIIEKGKHEDLMTIKDGAYASLVALHTCH >DRNTG_22341.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:592637:594272:1 gene:DRNTG_22341 transcript:DRNTG_22341.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRSSPTSRKTSTSGGGPTALVRKTSNMENMMIITPTLNEKGDLRDFGKLLRKSMNQQSHQLASKG >DRNTG_21485.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10395457:10398207:-1 gene:DRNTG_21485 transcript:DRNTG_21485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRTLGCTLLCLGCAAPCVTTQECTQGPGEVVRPVHNPHTLCAACAQPTHLINTQKTPRNPRQEAPNYKQGGLN >DRNTG_27341.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17042091:17046795:-1 gene:DRNTG_27341 transcript:DRNTG_27341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVKVVSSSLVKPEAETGNQKSSIWLPTLDLFQVRKHLPTIYFYQPNGDHGFFSPETLRRALSKALVPFYPLAGRLCYSGEGGRLELKCSGDGVLFVQAESGLAMDELGGFGPSPEKRKLLIPTVISAAGDGDVTDIPLVLLQVTTFKCGGVCLGVGIHHTVSDGASSLHFINTWSDIARGLDIAIPPLLDRSLVRARSPPSVEFDHPEFKPNPPPSPNTVEKPVTVAVLELSSSNLNKIKSYCNMKLKYTTYEIVAAHVWHCACKARCLATNATTRAFITVDGRTRLRPPLPPGYIGNVIFPAVVTAISGEVVSENLEAVAARFHNTISRLDDKHLRSAIDWLELQEDVTKIGRWVGEFPRTDLSITSWTRLPLYGADFGWGPPVYMGPAMLLYAGLCYIMPPANKADGIMVAVSLEEEYMEDFKKLFFDF >DRNTG_25804.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2689928:2697315:1 gene:DRNTG_25804 transcript:DRNTG_25804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFVKTLTGKTITLEVESSDTIEIVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPIDSIERIKERVEEKEGIPPVQQRLIYAGKQLSDDKTAKDYNIEGGSVLHLVLALRGGCWN >DRNTG_25804.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2689928:2696780:1 gene:DRNTG_25804 transcript:DRNTG_25804.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFVKTLTGKTITLEVESSDTIEIVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPIDSIERIKERVEEKEGIPPVQQRLIYAGKQLSDDKTAKDYNIEGGSVLHLVLALRGGCWN >DRNTG_14020.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27626070:27626890:-1 gene:DRNTG_14020 transcript:DRNTG_14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLDNSNVQDHNNTPFPVRFNSTASQNHLTAPGLFGTKAEEMPGLVLSPSKLHDYPFATQPEDFSREHWQRQFTPSDYIPLSIDERLPRSMEHPSLSSSPIAETSDMEYGYSSYFLENNDYERDYNKSRPSNPVPGNKYCSSYAKPFNSDTFRKTSVFSRLSWNPSQDRQGRAHLIDNSVTLDQ >DRNTG_20449.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4567983:4569266:-1 gene:DRNTG_20449 transcript:DRNTG_20449.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSMGLISGEQGVDASRTYEKRESLNILPPWLQLATAACRPCQVNEQEANCKEASHPESPKTDLVLGRTTKDIDTFKKLLQGITEMVSWHLEAAPSSAINAVMQTKPRGDKWLLFSGRDRVAKTKMVTALSELTFGSGPIYVSFDDDFELDKNFRGRQLVDHVVNTIKHNQFAVIVVEDIDKADVLVQGMVKHAIKHGRLIDSYGRESSLGNVIVILTAELPPEDLEYSHESIIHCEQKILQPADSSSHVENSLGKRKADWMSSSERSEKQRKDSCLSFDLNLAAEVDEDSLEASQNSSNITIEHELISIKKLSKW >DRNTG_20449.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4567983:4570380:-1 gene:DRNTG_20449 transcript:DRNTG_20449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQACLRSHPTTSHPLQCRALELCFSVAIDRLPKTSSAVEPPLSNALVAALKRAQAHQRRGCPEIQQQLLLSVRVELEQLVVSILDDPSVSRVMREASFSSPAVKAAIESKSVCKNLKLEAQQVNTRAEEVKRVMEILSRAKKRNPVLVGEAGAASVLKEVLERVELGEVAGVKARVVALGSEMKVGEVEEMIERERGSSGVVVDMGDLKWVMESGGAVVKEMTRVLKRFTGVGGGGGGGGCARVWVVGTATCETYLRCQVYHPCMERDWNLQPVSIASRPPPPSPHSLFQRNGMVSSMGLISGEQGVDASRTYEKRESLNILPPWLQLATAACRPCQVNEQEANCKEASHPESPKTDLVLGRTTKDIDTFKKLLQGITEMVSWHLEAAPSSAINAVMQTKPRGDKWLLFSGRDRVAKTKMVTALSELTFGSGPIYVSFDDDFELDKNFRGRQLVDHVVNTIKHNQFAVIVVEDIDKADVLVQGMVKHAIKHGRLIDSYGRESSLGNVIVILTAELPPEDLEYSHESIIHCEQKILQPADSSSHVENSLGKRKADWMSSSERSEKQRKDSCLSFDLNLAAEVDEDSLEASQNSSNITIEHELISIKKLSKW >DRNTG_16640.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1975125:1980116:1 gene:DRNTG_16640 transcript:DRNTG_16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTASEKTQASYDSSSKVDTGNDGEDTATGDSVVAAEGGDSLGQEAGENNVASLSEDASGLPELSECELDSLKDDVGVSLDQDAGKNKGVTQFEEVSADLHTNSHGGEPDVDADDGGVVSSEVVASAPVLVEEIKSRDVVFGDSKSLEADDDENVGDVEPDEEEEGLVSNGPARVAILESSETAKQIIKELEGGSSSNSSQDYRNAIEGQIASDSDEEVDTDEEGDGKELFDSAALAALLKAATGASGEGGITISSGDSARIFAVDRPAGLGSSGSSLKPLPPRAGRSNVLTPTDLAVTAEPENNMDEEEKKLHEKVEMIRVKFLRLIQRLGHSADDTVASQVLYRLSLAEGIRRGRQMNRASSLENAKKKARQLEAEGKDDLDFSCNILVLGKSGVGKSATINSIFGEEKSKTSAFELATTSVKEISGIVDGVKIRVIDTPGLKASAIEQAANRKILSSIKNYTKRCPPDIVLYVDRMDTQTRDFNDLPLLRSITSILGSSIWFNAIVALTHAASAPPDGLNGTPLSYEVFIAQRSHVVQQSIRQAASDVRLMNPVALVENHPSCRRNREGEKVLPNGQSWRPQMLLLCYSSKILSEANSLLKLQDANPGKLFGFRLRAPPLPFLLSSLLQSRTHPKLSSDQGIDNGDSDIDLDDLSDSDQEDEEDDYDQLPPFRPLKKAQIAKLTKEQKKAYYEEYDYRVRLLQKKQWKEEIRRLKEMKKRGKAAPNEYAYGDMAEDYDQDAAPAAVPVPLPDMVLPPTFDSNNPAYRYRFLEPTSQLLTRPVLDTHGWDHDCGYDGVSIEQNLALLSRFPAGVSVQITKDKKDFNIHLDSSISGKHGENVSTLAGLDVQTVGKQLAYILRSETKFKNFKKNKTTGGVSVTFLGETVATGLKLEDQISIGRQLSLVASTGAIRAQGDTAYGANLEARLREKDYPIGQVLATLGLSLMSWRGDLALGANVQSQLSAGRNYKVAVRGSLNNKLSGQITVKTSSSEQLQIALLAIIPVAISIFRKVWPGESYPMH >DRNTG_19449.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000998.1:197309:201000:-1 gene:DRNTG_19449 transcript:DRNTG_19449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVPSMLTQYDIEEVQEHCHYLFSQQEIVALYKRFCQLDRNSNGFIAADEFLSIPEFSLNPLSQRLLKMVDGLNFKDFVAFLSAFSPLATPHQKMELIFKVYDIDSKGRVTFSDLIEVLRDFTGSFMSDKQREQVLSRLLEEAGYTRNSSLLLDDFIKILGKSGMKMEVEVPVD >DRNTG_25696.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30341088:30350336:1 gene:DRNTG_25696 transcript:DRNTG_25696.2 gene_biotype:protein_coding transcript_biotype:protein_coding METITMVAKYKTSVKEPGIPGVLKMMEDRFVFAPNDPRLSMNLNVDFRQIKGHKFSKDGSKQALLNLTQDPEKGGGYIFEFNNFPDRNACRDFVGKVLGRFQSAEKPPASRQAEQLSTKELERRMKLLTEDSELQNLHKQLVASGILMDSEFWAARKSLLDNDNYGAPKQRAGFKSAMLADVKPLADGRTNKVTFSLTAETIHQIFAEKPAVHRAFLTYVPTKMSEKDFWTKYCRAEYLHKTKNSVAAAAEAAEDEELAIFLKHDDILADEIRRKIQRVDPTLDMEADLGDDYMHRPDHGILRDGSKETVEGDNEFSRRTLSQDLNRHGSVVLEGRTLDVELGDTRTVAEALARSKQGLSTENSDENVHMEKSERVCRMTEIEDLQAPRSFPVAPLCIKDPREYFDSQQANALKTLGDNGTGMKPMDCNLSAEEAWIYLIGQISQVKVRGFNHPIVQSEAALKETLTTSLV >DRNTG_25696.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30341088:30350336:1 gene:DRNTG_25696 transcript:DRNTG_25696.1 gene_biotype:protein_coding transcript_biotype:protein_coding METITMVAKYKTSVKEPGIPGVLKMMEDRFVFAPNDPRLSMNLNVDFRQIKGHKFSKDGSKQALLNLTQDPEKGGGYIFEFNNFPDRNACRDFVGKVLGRFQSAEKPPASRQAEQLSTKELERRMKLLTEDSELQNLHKQLVASGILMDSEFWAARKSLLDNDNYGAPKQRAGFKSAMLADVKPLADGRTNKVTFSLTAETIHQIFAEKPAVHRAFLTYVPTKMSEKDFWTKYCRAEYLHKTKNSVAAAAEAAEDEELAIFLKHDDILADEIRRKIQRVDPTLDMEADLGDDYMHRPDHGILRDGSKETVEGDNEFSRRTLSQDLNRHGSVVLEGRTLDVELGDTRTVAEALARSKQGLSTENSDENVHMEKSERVCRMTEIEDLQAPRSFPVAPLCIKDPREYFDSQQANALKTLGDNGTGMKPMDCNLSAEEAWIYLIGQISQVKVRGFNHPIVQSEAALKVLNGLNQNLSSTKYQFGKNSQESVLDRLPRKIKDDLLNHWSTIQELLRHFWSSYPITAEHLSKKVGRLKDAMTEIYVKLQEIKESVQGDFRHQVSLLVQPMVQALDAAFAYHDNEQQKRVSKGGAKPNGFVL >DRNTG_00355.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18647558:18654567:1 gene:DRNTG_00355 transcript:DRNTG_00355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLKELVCVLFALPILVLGHGDIYLVTIEGDPVVSYSGGVEGFAATAADLAEELDITSESVTSYSLHLEKRHDELLDSLFEVGTYKKLYSYRHLINGFAVHMSAEQAEALSRAPGVKYVEKDMKVKKLTTHTPKFLGLPTEVWPTGGGFDRAGEDIVIGFVDSGIYPKHPSFSTHHTEPYGPLPRYRGKCELDVNTNRDFCNGKIIGAQHFAKAAIAAGAFNPAVEFASPLDGDGHGTHTAAIAAGNNGIPVKLHGHEFGKASGMAPRARIAVYKVLYRLFGGFVSDVVAAIEQAVRDGVDILNLSVGPNSPSTNTKTTFLNPFDAALLSAVKAGVFVAQAAGNGGPFPKTLVSFSPWIASVAAAVDDRRYRNHITLGNGKILPGLGLSPSTPSNRSFNLVAASDVLLDSSVMKYSPLDCQRPELLNKKMVEGKILLCGYSFNFVSGTASIKRASETARSLGAAGFVVAVENTYPGTKFDPAPLNTPGILITDASKSKELIDYYSSATKRDWAGRATSFKAVASIADGLAPILHKSAPQVALFSSRGPDVKDFSFQDADVLKPDILAPGSLIWAAWTPNGTDEANYLGEGFAMVSGTSMAAPHIAGIAALIKQKHPRWSPSAIKSALMTTSTTLDKAGRPLQAQQYSGSETLTLVPATPFDYGSGAVDPKAALDPGLIFDASYEDYIRFLCSVPDVDPNEIHNITSSSCNTTHGRPSDLNIPSITVSHLKGTQTTKRIVTNVADTETYIITTRMSPEIALEASPPAMTVTSGASREISVSLTVRSVTGSYSFGEILMKGNRGHKVRIPVVAMGYNN >DRNTG_00355.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18650951:18654567:1 gene:DRNTG_00355 transcript:DRNTG_00355.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSPLDCQRPELLNKKMVEGKILLCGYSFNFVSGTASIKRASETARSLGAAGFVVAVENTYPGTKFDPAPLNTPGILITDASKSKELIDYYSSATKRDWAGRATSFKAVASIADGLAPILHKSAPQVALFSSRGPDVKDFSFQDADVLKPDILAPGSLIWAAWTPNGTDEANYLGEGFAMVSGTSMAAPHIAGIAALIKQKHPRWSPSAIKSALMTTSTTLDKAGRPLQAQQYSGSETLTLVPATPFDYGSGAVDPKAALDPGLIFDASYEDYIRFLCSVPDVDPNEIHNITSSSCNTTHGRPSDLNIPSITVSHLKGTQTTKRIVTNVADTETYIITTRMSPEIALEASPPAMTVTSGASREISVSLTVRSVTGSYSFGEILMKGNRGHKVRIPVVAMGYNN >DRNTG_24458.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3827817:3828559:-1 gene:DRNTG_24458 transcript:DRNTG_24458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGANLVDSEVVKASSKGSDTNLDPPQGLNLDVFKGSNLDTRKGSNPPNKAIYDASKGSNPLLSLENLMVSMS >DRNTG_26868.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2107432:2112069:-1 gene:DRNTG_26868 transcript:DRNTG_26868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVPEKVPSLAEDKEVQPDQSDKPVETTVDEKPDDTKTEEVAKETTEVTSAPVENAPVAEEPTEPVPEAPTSEEPTDTSEKETEDQGNAEEETPAIKLETAPADFRFPTTNQTRHCFTRYIEYHRCVAAKGDDAQECEKFAKYYRSLCPSEWIERWNEQRENGTFPGPL >DRNTG_05113.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2157164:2165610:-1 gene:DRNTG_05113 transcript:DRNTG_05113.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLARRLKNVPIDWSGDPCMPKESSWTGVSCSEGKFARVVSM >DRNTG_05113.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2157164:2166003:-1 gene:DRNTG_05113 transcript:DRNTG_05113.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVCLARNEFTVGNPFISTLEFEYLNESMYNETDFKNYALATTSRHRFGLSDGAILRYNMKSYSFKHIP >DRNTG_33182.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23524773:23527164:1 gene:DRNTG_33182 transcript:DRNTG_33182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQASTMAEANSSAESAAELLSQAQKLIPIALERAKLAGGFPSRWKLIISKLELIPSRLSDLSGHPLFSKNQLSREQLHSVTTTLSDAISIADLCHPSPSPAPPTVGKLQMQSDLDSLICKLDLNLRDCALLVKTGVLSDATPPPSPAKRSTESEPSRRNVRELLARLQIGHSEAKHRAVDGLLAAIQDDEKTVLPALSRSSVSALVQLLSSTSPKIKEKAATILCLIVESGTYDALLVGEGILPPFIRLAESGTPASREKAVISLQRLSVTPDTARSIMGHGGVRPLLDICLTGDSITQSAAAGALKNLSAVPEIRQTLADEGIIRVMINLLDSGIVLGSKEYAAECLMNLTATNDNLRRSVVAGGALPSLLAYLDGPLPQESGVSALRNIITSVPPETLISLSVLPRLLHAMKDGSPGAQQAAAAAINKVSLISPEMKKLVCDNGCIAYLVRMLEAKSNGVREAAAQALAGLVSCKYCGRVLKKDEKGVPNLVQLLDSSPANNAKKNAVLCLLSVSSSRKSKKMMVAHGAIGYLKKLSEMDVNGAKKLLERLERGKLKSLFYRR >DRNTG_31397.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21967005:21976396:1 gene:DRNTG_31397 transcript:DRNTG_31397.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2 [Source:Projected from Arabidopsis thaliana (AT3G27000) UniProtKB/Swiss-Prot;Acc:Q9LSD6] MDSRKVVVCDNGTGYVKCGFAGENFPSSVFPCVVGRPLLRYEESLMEQELKDIIVGAACSEFRNQLDISYPVHNGIVQNWEDMGHVFDHAFYNELKIDPTECKILLTDPPLNPSKNREKMLETMFEKYNFSGVYIQIQAVLTLYAQGLLTGLVIDSGDGVTHVVPVVEGYSFPHLTKRMNVAGRHITSYLIDLLSRRGYAMNRTADFETVRDIKEKLCYISYDYKREYQLGLETTILVKNYTMEELSKLGLNDSKLLRHFLLRSL >DRNTG_31397.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21967005:21976396:1 gene:DRNTG_31397 transcript:DRNTG_31397.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2 [Source:Projected from Arabidopsis thaliana (AT3G27000) UniProtKB/Swiss-Prot;Acc:Q9LSD6] MDSRKVVVCDNGTGYVKCGFAGENFPSSVFPCVVGRPLLRYEESLMEQELKDIIVGAACSEFRNQLDISYPVHNGIVQNWEDMGHVFDHAFYNELKIDPTECKILLTDPPLNPSKNREKMLETMFEKYNFSGVYIQIQAVLTLYAQGLLTGLVIDSGDGVTHVVPVVEGYSFPHLTKRMNVAGRHITSYLIDLLSRRGYAMNRTADFETVRDIKEKLCYISYDYKREYQLGLETTILVKNYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMAFRCIQEMDIDNRMRLYQHIVLSGGSTMYPGLPSRLEKEILDRYLEVVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPDFWITREDYQEEGVNCLNKCS >DRNTG_31397.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21967005:21976396:1 gene:DRNTG_31397 transcript:DRNTG_31397.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2 [Source:Projected from Arabidopsis thaliana (AT3G27000) UniProtKB/Swiss-Prot;Acc:Q9LSD6] MLETMFEKYNFSGVYIQIQAVLTLYAQGLLTGLVIDSGDGVTHVVPVVEGYSFPHLTKRMNVAGRHITSYLIDLLSRRGYAMNRTADFETVRDIKEKLCYISYDYKREYQLGLETTILVKNYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMAFRCIQEMDIDNRMRLYQHIVLSGGSTMYPGLPSRLEKEILDRYLEVVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPDFWITREDYQEEGVNCLNKCS >DRNTG_34056.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26872701:26873226:-1 gene:DRNTG_34056 transcript:DRNTG_34056.2 gene_biotype:protein_coding transcript_biotype:protein_coding KEPTEKEEEEEEKSPVFNLAKIPDPSAKQQKNCD >DRNTG_34056.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26872756:26873407:-1 gene:DRNTG_34056 transcript:DRNTG_34056.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEPTEKEEEEEEKSPVFNLAKIPDPSAKQQKNCD >DRNTG_34056.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26872557:26873076:-1 gene:DRNTG_34056 transcript:DRNTG_34056.3 gene_biotype:protein_coding transcript_biotype:protein_coding EEEEEEKSPVFNLAKIPDPSAKQQKNCD >DRNTG_34056.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26872756:26873226:-1 gene:DRNTG_34056 transcript:DRNTG_34056.4 gene_biotype:protein_coding transcript_biotype:protein_coding KEPTEKEEEEEEKSPVFNLAKIPDPSAKQQKNCD >DRNTG_34056.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26872701:26873076:-1 gene:DRNTG_34056 transcript:DRNTG_34056.5 gene_biotype:protein_coding transcript_biotype:protein_coding EEEEEEKSPVFNLAKIPDPSAKQQKNCD >DRNTG_34056.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26872756:26873076:-1 gene:DRNTG_34056 transcript:DRNTG_34056.6 gene_biotype:protein_coding transcript_biotype:protein_coding EEEEEEKSPVFNLAKIPDPSAKQQKNCD >DRNTG_22498.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23343796:23346958:1 gene:DRNTG_22498 transcript:DRNTG_22498.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP22 [Source:Projected from Arabidopsis thaliana (AT2G38730) UniProtKB/Swiss-Prot;Acc:Q9ZVJ4] MAAANNTNVPTATSVITDWHQRPPNPKNPIVFFDVTIGTIPAGRIKMELFADITPKTAENFRQLCTGEYRKAGVPVGYKGCHFHRVIKDFMIQGGDFLKGDGSGCVSIYGSKFEDENFIAKHTGPGLLSMANSGPGTNGCQFFITCSKCDWLDNKHVVFGVSYFNKLCSLHYYMVSKTAWIG >DRNTG_22498.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23343796:23347718:1 gene:DRNTG_22498 transcript:DRNTG_22498.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP22 [Source:Projected from Arabidopsis thaliana (AT2G38730) UniProtKB/Swiss-Prot;Acc:Q9ZVJ4] MAAANNTNVPTATSVITDWHQRPPNPKNPIVFFDVTIGTIPAGRIKMELFADITPKTAENFRQLCTGEYRKAGVPVGYKGCHFHRVIKDFMIQGGDFLKGDGSGCVSIYGSKFEDENFIAKHTGPGLLSMANSGPGTNGCQFFITCSKCDWLDNKHVVFGRVLGERSFGRQEDRECRDWT >DRNTG_19504.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2774817:2786097:-1 gene:DRNTG_19504 transcript:DRNTG_19504.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEENELEEGEACSGHEGEALTSGKDLVNLSYIDEKLHDVLGHFQKDFEGGVSAENLGAMFGGYGSFLPMYQRSPSVWCQPRSPPNASSHNGSRSPENTLCEGARQTQLVSTCASINKTSATSVAPSFDKSCKTDFRTGAISAGETVTRHDSFNKLVNGSDQKTLKVRIKVGQESISARDNAAIYSGLGLDISPSSSLEDSPCGSEGLSTELRVAPDESPMTIIQIMTCFPVPGGYLLSPLPDNLLYLSKKEKSFLNNFKSGKLSMSIPENCAVLADLTTSSRDVKGLQKKVKILEKNGRAMDVKNSSCKDDISLILKREIDIETQDGQEIVSDALNITILSDTTGVEEKEDRLFVGESTKGSKMFDLPLDPNKLSAKGRVCSSELVKDGQRELMENTGNIGVAVSVDEITHSKGKMNLKTSITEKVLEEKNFSNDKRASSDVRNEDKSKNEKCYGTKVDFDEARWKKDFVIGSIEAPKENMVQKANLYEQDAEMMFQAGDRPSEGRGKQKKSQTNGIPSVESTKRNPKTHSSKSKEKKSSSHLRKVDYMESKSKDSKFENDHPGSFSKESHIDAEDMKTVDNRSRLQHAKDKLTEREHDYEDPLLTLSEKTKERPVVKKADNLPISEEVCAQQVAPLTLSIPGSDAVAVSNAPVLIKENWVCCDRCQKWRLLPYGANPDLLPKKWQCNMLNWLQPGMNRCNISEEETTKALNALYQMPVPQSNTSLNGVPNVAASNTILPDPHHLSHALDNNVNSAGAIGKKKHKLKDQANPVNDSASLLISSSAKKNQLASFKSRSLNDVNHPLDSNMPSKVVLEHVSKSTDFSSDKQNLKHKEKDRILGRHSDGGDYGERVGKHSKSKSKREVDQEGLTTTKRFKRDGSHHIGEDKHSEHNSVRHAVLITDDGLPTSASQHSMQKYNGFASFNEVNGDSESNLPTLTKRTKVQSHSLPNGEAREHVSVLNMEKSVSDFGKKRKTKNWQESQDHLANIDSSERPVDSKAAAKDTFSENEMKREKKLKVSKSEVDESSTSKVDGRLEKKGCTTKILLSGGVQHSTYEMEKSGLAGKDSQIEQYQVNTVSRPALDGVESLKKDLAYPQTSAAATSSSSKISGSRKSRGNLQEVRGSPVESVSSSPYRNSNLEKPFPSRRKSGGKDDAGFSVIGSPKNCSDGEADDGNDHPELRNNKDSAQRRSVEGRRSMDSRGLVSLRQTYDNEDKEAQATDGIHLKLFGDTHDDSSPTEFEETNLVNNGVNTSNHLYKCQPLDEDHTKMNNRYLANGSVQRKSVKSSSTRSRDNQSSKSSNDKGKIKVSNSYTEQGGLFSSKNEDNCQDNVHNSSDWTNYPKDLRDEHHSCQKDREDHSLKKELKAQVSSGGIKGVHTDHAVLGNLEVNGFCMSDKHHKDLSSRVAASGASCSKFGSLDNSQQVSLVDERNLFDRSEILVGRGKSQSTMSLRDKQENQTYGSQAALTSIKAVKSEGFLGDATNGDALKVVKPRKPDEQIGAQHGSLRQATPNRLEPRSPSRRDGHSYASVLKEARDLKHTANRLKSEGLELESTGLYFQAALKFLYYAFLLEPNAENARHGDGTQSMQMYSDTARLCEFCAHEYERCKQMAAASLAYKCVEVAYMKVSYLKHASVSKDRHELQAALQMVVPGESPSSSASDVDNLNNQGTLDKGTAGKGVSSPQVAGNHVVAARNRPSFVRLLNYANETVSAFDASKKMHIVFAAACSGADRNGSGCLVSVRNVLDFNFHNVERLLQLIRLAMESISCL >DRNTG_19504.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2773866:2786097:-1 gene:DRNTG_19504 transcript:DRNTG_19504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENELEEGEACSGHEGEALTSGKDLVNLSYIDEKLHDVLGHFQKDFEGGVSAENLGAMFGGYGSFLPMYQRSPSVWCQPRSPPNASSHNGSRSPENTLCEGARQTQLVSTCASINKTSATSVAPSFDKSCKTDFRTGAISAGETVTRHDSFNKLVNGSDQKTLKVRIKVGQESISARDNAAIYSGLGLDISPSSSLEDSPCGSEGLSTELRVAPDESPMTIIQIMTCFPVPGGYLLSPLPDNLLYLSKKEKSFLNNFKSGKLSMSIPENCAVLADLTTSSRDVKGLQKKVKILEKNGRAMDVKNSSCKDDISLILKREIDIETQDGQEIVSDALNITILSDTTGVEEKEDRLFVGESTKGSKMFDLPLDPNKLSAKGRVCSSELVKDGQRELMENTGNIGVAVSVDEITHSKGKMNLKTSITEKVLEEKNFSNDKRASSDVRNEDKSKNEKCYGTKVDFDEARWKKDFVIGSIEAPKENMVQKANLYEQDAEMMFQAGDRPSEGRGKQKKSQTNGIPSVESTKRNPKTHSSKSKEKKSSSHLRKVDYMESKSKDSKFENDHPGSFSKESHIDAEDMKTVDNRSRLQHAKDKLTEREHDYEDPLLTLSEKTKERPVVKKADNLPISEEVCAQQVAPLTLSIPGSDAVAVSNAPVLIKENWVCCDRCQKWRLLPYGANPDLLPKKWQCNMLNWLQPGMNRCNISEEETTKALNALYQMPVPQSNTSLNGVPNVAASNTILPDPHHLSHALDNNVNSAGAIGKKKHKLKDQANPVNDSASLLISSSAKKNQLASFKSRSLNDVNHPLDSNMPSKVVLEHVSKSTDFSSDKQNLKHKEKDRILGRHSDGGDYGERVGKHSKSKSKREVDQEGLTTTKRFKRDGSHHIGEDKHSEHNSVRHAVLITDDGLPTSASQHSMQKYNGFASFNEVNGDSESNLPTLTKRTKVQSHSLPNGEAREHVSVLNMEKSVSDFGKKRKTKNWQESQDHLANIDSSERPVDSKAAAKDTFSENEMKREKKLKVSKSEVDESSTSKVDGRLEKKGCTTKILLSGGVQHSTYEMEKSGLAGKDSQIEQYQVNTVSRPALDGVESLKKDLAYPQTSAAATSSSSKISGSRKSRGNLQEVRGSPVESVSSSPYRNSNLEKPFPSRRKSGGKDDAGFSVIGSPKNCSDGEADDGNDHPELRNNKDSAQRRSVEGRRSMDSRGLVSLRQTYDNEDKEAQATDGIHLKLFGDTHDDSSPTEFEETNLVNNGVNTSNHLYKCQPLDEDHTKMNNRYLANGSVQRKSVKSSSTRSRDNQSSKSSNDKGKIKVSNSYTEQGGLFSSKNEDNCQDNVHNSSDWTNYPKDLRDEHHSCQKDREDHSLKKELKAQVSSGGIKGVHTDHAVLGNLEVNGFCMSDKHHKDLSSRVAASGASCSKFGSLDNSQQVSLVDERNLFDRSEILVGRGKSQSTMSLRDKQENQTYGSQAALTSIKAVKSEGFLGDATNGDALKVVKPRKPDEQIGAQHGSLRQATPNRLEPRSPSRRDGHSYASVLKEARDLKHTANRLKSEGLELESTGLYFQAALKFLYYAFLLEPNAENARHGDGTQSMQMYSDTARLCEFCAHEYERCKQMAAASLAYKCVEVAYMKVSYLKHASVSKDRHELQAALQMVVPGESPSSSASDVDNLNNQGTLDKGTAGKGVSSPQVAGNHVVAARNRPSFVRLLNYANETVSAFDASKKMHIVFAAACSGADRNGSGCLVSVRNVLDFNFHNVERLLQLIRLAMESISCL >DRNTG_19504.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2774817:2786097:-1 gene:DRNTG_19504 transcript:DRNTG_19504.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGYGSFLPMYQRSPSVWCQPRSPPNASSHNGSRSPENTLCEGARQTQLVSTCASINKTSATSVAPSFDKSCKTDFRTGAISAGETVTRHDSFNKLVNGSDQKTLKVRIKVGQESISARDNAAIYSGLGLDISPSSSLEDSPCGSEGLSTELRVAPDESPMTIIQIMTCFPVPGGYLLSPLPDNLLYLSKKEKSFLNNFKSGKLSMSIPENCAVLADLTTSSRDVKGLQKKVKILEKNGRAMDVKNSSCKDDISLILKREIDIETQDGQEIVSDALNITILSDTTGVEEKEDRLFVGESTKGSKMFDLPLDPNKLSAKGRVCSSELVKDGQRELMENTGNIGVAVSVDEITHSKGKMNLKTSITEKVLEEKNFSNDKRASSDVRNEDKSKNEKCYGTKVDFDEARWKKDFVIGSIEAPKENMVQKANLYEQDAEMMFQAGDRPSEGRGKQKKSQTNGIPSVESTKRNPKTHSSKSKEKKSSSHLRKVDYMESKSKDSKFENDHPGSFSKESHIDAEDMKTVDNRSRLQHAKDKLTEREHDYEDPLLTLSEKTKERPVVKKADNLPISEEVCAQQVAPLTLSIPGSDAVAVSNAPVLIKENWVCCDRCQKWRLLPYGANPDLLPKKWQCNMLNWLQPGMNRCNISEEETTKALNALYQMPVPQSNTSLNGVPNVAASNTILPDPHHLSHALDNNVNSAGAIGKKKHKLKDQANPVNDSASLLISSSAKKNQLASFKSRSLNDVNHPLDSNMPSKVVLEHVSKSTDFSSDKQNLKHKEKDRILGRHSDGGDYGERVGKHSKSKSKREVDQEGLTTTKRFKRDGSHHIGEDKHSEHNSVRHAVLITDDGLPTSASQHSMQKYNGFASFNEVNGDSESNLPTLTKRTKVQSHSLPNGEAREHVSVLNMEKSVSDFGKKRKTKNWQESQDHLANIDSSERPVDSKAAAKDTFSENEMKREKKLKVSKSEVDESSTSKVDGRLEKKGCTTKILLSGGVQHSTYEMEKSGLAGKDSQIEQYQVNTVSRPALDGVESLKKDLAYPQTSAAATSSSSKISGSRKSRGNLQEVRGSPVESVSSSPYRNSNLEKPFPSRRKSGGKDDAGFSVIGSPKNCSDGEADDGNDHPELRNNKDSAQRRSVEGRRSMDSRGLVSLRQTYDNEDKEAQATDGIHLKLFGDTHDDSSPTEFEETNLVNNGVNTSNHLYKCQPLDEDHTKMNNRYLANGSVQRKSVKSSSTRSRDNQSSKSSNDKGKIKVSNSYTEQGGLFSSKNEDNCQDNVHNSSDWTNYPKDLRDEHHSCQKDREDHSLKKELKAQVSSGGIKGVHTDHAVLGNLEVNGFCMSDKHHKDLSSRVAASGASCSKFGSLDNSQQVSLVDERNLFDRSEILVGRGKSQSTMSLRDKQENQTYGSQAALTSIKAVKSEGFLGDATNGDALKVVKPRKPDEQIGAQHGSLRQATPNRLEPRSPSRRDGHSYASVLKEARDLKHTANRLKSEGLELESTGLYFQAALKFLYYAFLLEPNAENARHGDGTQSMQMYSDTARLCEFCAHEYERCKQMAAASLAYKCVEVAYMKVSYLKHASVSKDRHELQAALQMVVPGESPSSSASDVDNLNNQGTLDKGTAGKGVSSPQVAGNHVVAARNRPSFVRLLNYANETVSAFDASKKMHIVFAAACSGADRNGSGCLVSVRNVLDFNFHNVERLLQLIRLAMESISCL >DRNTG_19504.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2774817:2785716:-1 gene:DRNTG_19504 transcript:DRNTG_19504.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGYGSFLPMYQRSPSVWCQPRSPPNASSHNGSRSPENTLCEGARQTQLVSTCASINKTSATSVAPSFDKSCKTDFRTGAISAGETVTRHDSFNKLVNGSDQKTLKVRIKVGQESISARDNAAIYSGLGLDISPSSSLEDSPCGSEGLSTELRVAPDESPMTIIQIMTCFPVPGGYLLSPLPDNLLYLSKKEKSFLNNFKSGKLSMSIPENCAVLADLTTSSRDVKGLQKKVKILEKNGRAMDVKNSSCKDDISLILKREIDIETQDGQEIVSDALNITILSDTTGVEEKEDRLFVGESTKGSKMFDLPLDPNKLSAKGRVCSSELVKDGQRELMENTGNIGVAVSVDEITHSKGKMNLKTSITEKVLEEKNFSNDKRASSDVRNEDKSKNEKCYGTKVDFDEARWKKDFVIGSIEAPKENMVQKANLYEQDAEMMFQAGDRPSEGRGKQKKSQTNGIPSVESTKRNPKTHSSKSKEKKSSSHLRKVDYMESKSKDSKFENDHPGSFSKESHIDAEDMKTVDNRSRLQHAKDKLTEREHDYEDPLLTLSEKTKERPVVKKADNLPISEEVCAQQVAPLTLSIPGSDAVAVSNAPVLIKENWVCCDRCQKWRLLPYGANPDLLPKKWQCNMLNWLQPGMNRCNISEEETTKALNALYQMPVPQSNTSLNGVPNVAASNTILPDPHHLSHALDNNVNSAGAIGKKKHKLKDQANPVNDSASLLISSSAKKNQLASFKSRSLNDVNHPLDSNMPSKVVLEHVSKSTDFSSDKQNLKHKEKDRILGRHSDGGDYGERVGKHSKSKSKREVDQEGLTTTKRFKRDGSHHIGEDKHSEHNSVRHAVLITDDGLPTSASQHSMQKYNGFASFNEVNGDSESNLPTLTKRTKVQSHSLPNGEAREHVSVLNMEKSVSDFGKKRKTKNWQESQDHLANIDSSERPVDSKAAAKDTFSENEMKREKKLKVSKSEVDESSTSKVDGRLEKKGCTTKILLSGGVQHSTYEMEKSGLAGKDSQIEQYQVNTVSRPALDGVESLKKDLAYPQTSAAATSSSSKISGSRKSRGNLQEVRGSPVESVSSSPYRNSNLEKPFPSRRKSGGKDDAGFSVIGSPKNCSDGEADDGNDHPELRNNKDSAQRRSVEGRRSMDSRGLVSLRQTYDNEDKEAQATDGIHLKLFGDTHDDSSPTEFEETNLVNNGVNTSNHLYKCQPLDEDHTKMNNRYLANGSVQRKSVKSSSTRSRDNQSSKSSNDKGKIKVSNSYTEQGGLFSSKNEDNCQDNVHNSSDWTNYPKDLRDEHHSCQKDREDHSLKKELKAQVSSGGIKGVHTDHAVLGNLEVNGFCMSDKHHKDLSSRVAASGASCSKFGSLDNSQQVSLVDERNLFDRSEILVGRGKSQSTMSLRDKQENQTYGSQAALTSIKAVKSEGFLGDATNGDALKVVKPRKPDEQIGAQHGSLRQATPNRLEPRSPSRRDGHSYASVLKEARDLKHTANRLKSEGLELESTGLYFQAALKFLYYAFLLEPNAENARHGDGTQSMQMYSDTARLCEFCAHEYERCKQMAAASLAYKCVEVAYMKVSYLKHASVSKDRHELQAALQMVVPGESPSSSASDVDNLNNQGTLDKGTAGKGVSSPQVAGNHVVAARNRPSFVRLLNYANETVSAFDASKKMHIVFAAACSGADRNGSGCLVSVRNVLDFNFHNVERLLQLIRLAMESISCL >DRNTG_19504.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2774817:2786097:-1 gene:DRNTG_19504 transcript:DRNTG_19504.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEENELEEGEACSGHEGEALTSGKDLVNLSYIDEKLHDVLGHFQKDFEGGVSAENLGAMFGGYGSFLPMYQRSPSVWCQPRSPPNASSHNGSRSPENTLCEGARQTQLVSTCASINKTSATSVAPSFDKSCKTDFRTGAISAGETVTRHDSFNKLVNGSDQKTLKVRIKVGQESISARDNAAIYSGLGLDISPSSSLEDSPCGSEGLSTELRVAPDESPMTIIQIMTCFPVPGGYLLSPLPDNLLYLSKKEKSFLNNFKSGKLSMSIPENCAVLADLTTSSRDVKGLQKKVKILEKNGRAMDVKNSSCKDDISLILKREIDIETQDGQEIVSDALNITILSDTTGVEEKEDRLFVGESTKGSKMFDLPLDPNKLSAKGRVCSSELVKDGQRELMENTGNIGVAVSVDEITHSKGKMNLKTSITEKVLEEKNFSNDKRASSDVRNEDKSKNEKCYGTKVDFDEARWKKDFVIGSIEAPKENMVQKANLYEQDAEMMFQAGDRPSEGRGKQKKSQTNGIPSVESTKRNPKTHSSKSKEKKSSSHLRKVDYMESKSKDSKFENDHPGSFSKESHIDAEDMKTVDNRSRLQHAKDKLTEREHDYEDPLLTLSEKTKERPVVKKADNLPISEEVCAQQVAPLTLSIPGSDAVAVSNAPVLIKENWVCCDRCQKWRLLPYGANPDLLPKKWQCNMLNWLQPGMNRCNISEEETTKALNALYQMPVPQSNTSLNGVPNVAASNTILPDPHHLSHALDNNVNSAGAIGKKKHKLKDQANPVNDSASLLISSSAKKNQLASFKSRSLNDVNHPLDSNMPSKVVLEHVSKSTDFSSDKQNLKHKEKDRILGRHSDGGDYGERVGKHSKSKSKREVDQEGLTTTKRFKRDGSHHIGEDKHSEHNSVRHAVLITDDGLPTSASQHSMQKYNGFASFNEVNGDSESNLPTLTKRTKVQSHSLPNGEAREHVSVLNMEKSVSDFGKKRKTKNWQESQDHLANIDSSERPVDSKAAAKDTFSENEMKREKKLKVSKSEVDESSTSKVDGRLEKKGCTTKILLSGGVQHSTYEMEKSGLAGKDSQIEQYQVNTVSRPALDGVESLKKDLAYPQTSAAATSSSSKISGSRKSRGNLQEVRGSPVESVSSSPYRNSNLEKPFPSRRKSGGKDDAGFSVIGSPKNCSDGEADDGNDHPELRNNKDSAQRRSVEGRRSMDSRGLVSLRQTYDNEDKEAQATDGIHLKLFGDTHDDSSPTEFEETNLVNNGVNTSNHLYKCQPLDEDHTKMNNRYLANGSVQRKSVKSSSTRSRDNQSSKSSNDKGKIKVSNSYTEQGGLFSSKNEDNCQDNVHNSSDWTNYPKDLRDEHHSCQKDREDHSLKKELKAQVSSGGIKGVHTDHAVLGNLEVNGFCMSDKHHKDLSSRVAASGASCSKFGSLDNSQQVSLVDERNLFDRSEILVGRGKSQSTMSLRDKQENQTYGSQAALTSIKAVKSEGFLGDATNGDALKVVKPRKPDEQIGAQHGSLRQATPNRLEPRSPSRRDGHSYASVLKEARDLKHTANRLKSEGLELESTGLYFQAALKFLYYAFLLEPNAENARHGDGTQSMQMYSDTARLCEFCAHEYERCKQMAAASLAYKCVEVAYMKVSYLKHASVSKDRHELQAALQMVVPGESPSSSASDVDNLNNQGTLDKGTAGKGVSSPQVAGNHVVAARNRPSFVRLLNYANETVSAFDASKKMHIVFAAACSGADRNGSGCLVSVRNVLDFNFHNVERLLQLIRLAMESISCL >DRNTG_13521.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21992305:21992897:-1 gene:DRNTG_13521 transcript:DRNTG_13521.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLPLYLGLDCKKFMEMVETIVESLENKEEKDSEEATSAAGLLEKLNVSETKSDKETGEAPDTTKTEEEKPDTEKKDELSAAYSTP >DRNTG_16339.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23192779:23194323:1 gene:DRNTG_16339 transcript:DRNTG_16339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITDLFFAAAAVADLLQASYGIKKLLPGRLDPFNLLLLFLLLFLPNTPKTLSNPPPFPSLLSSSSSSMTKPPPPPPPSTPLREPSSSDNPSTPGHSIPMTLLQPTSHHRRSSHRPTRLYRAFRSAFRSLPILTPATCRLHGSLHRGTSASPDGHIHGATRTTGTLFGHRKARISLSFQDNPRSLPLLLLDLSIPTAKFMQELGSSGLLRVALECEKKTADKTKLLDEPAWTAFVNGRSIGYATRRDPTENDLMVMQLLQAVSMGAGVLPNEDPVEGELTYMRAHFDRVVGSRDSETFYMLNPDGNSGPELTVFFVRI >DRNTG_34502.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1498623:1500989:-1 gene:DRNTG_34502 transcript:DRNTG_34502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQIATSLYYHVHTNASGNQAVCYCSSTNFLLLKPFFLSSSSSFLVLAEPYSFLKNFLARFYGEPKPPFSFSHPLEYAESPTGELMGQRQGHEYLGGSEPSHATAKPCDVPRVEWRGIPPRDRCGGCHILWAHGSGCDRRDPTMAAKGEEWVAARIS >DRNTG_03933.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000186.1:83124:104555:-1 gene:DRNTG_03933 transcript:DRNTG_03933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRVRTGVYALVLPTLELAEEKGDDAEAFQPAPEPQPAPMEAEAPHMANDPSPVRMFPPSRAHDHFERLEGAMGVIQTEVAEARVEITEIQATQATQHTEFMACFDMLQQILERDVSSSFILRPRILPACSVPSSPIPSPIDPPCTLSLAAPAALRKNSPPVERATTSKV >DRNTG_10989.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1708345:1709876:-1 gene:DRNTG_10989 transcript:DRNTG_10989.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKPLSASPSPALAFSNSAHPSSSPENLSFIHQLKSLYSSPIGSSHSIISLKKEPVNTTTLSSLNSDLSESDGGGSVLDLTARPKKRGRKQAVAKEVSPGSHVEAERQRREKLNSRFYALRSAVPNVSRMDKASLLSDAVSYINELKTKVEELEAEALKMKKMMMITMDKPPTLINGVNKDESMEVEVRFLGIDALIRVRSENGGHPAALLMDALRQLELPVHRASVSSVHELMLQDVVVRVPVELQCEQTLKAALVSKLMKNNYF >DRNTG_10989.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1708476:1709876:-1 gene:DRNTG_10989 transcript:DRNTG_10989.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKPLSASPSPALAFSNSAHPSSSPENLSFIHQLKSLYSSPIGSSHSIISLKKEPVNTTTLSSLNSDLSESDGGGSVLDLTARPKKRGRKQAVAKEVSPGSHVEAERQRREKLNSRFYALRSAVPNVSRMDKASLLSDAVSYINELKTKVEELEAEALKMKKMMMITMDKPPTLINGVNKDESMEVEVRFLGIDALIRVRSENGGHPAALLMDALRQLELPVHRASVSSVHELMLQDVVVRVPVELQCEQTLKAALVSKLMKNNYF >DRNTG_10989.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1708476:1709753:-1 gene:DRNTG_10989 transcript:DRNTG_10989.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKPLSASPSPALAFSNSAHPSSSPENLSFIHQLKSLYSSPIGSSHSIISLKKEPVNTTTLSSLNSDLSESDGGGSVLDLTARPKKRGRKQAVAKEVSPGSHVEAERQRREKLNSRFYALRSAVPNVSRMDKASLLSDAVSYINELKTKVEELEAEALKMKKMMMITMDKPPTLINGVNKDESMEVEVRFLGIDALIRVRSENGGHPAALLMDALRQLELPVHRASVSSVHELMLQDVVVRVPVELQCEQTLKAALVSKLMKNNYF >DRNTG_10989.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1708345:1709792:-1 gene:DRNTG_10989 transcript:DRNTG_10989.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKPLSASPSPALAFSNSAHPSSSPENLSFIHQLKSLYSSPIGSSHSIISLKKEPVNTTTLSSLNSDLSESDGGGSVLDLTARPKKRGRKQAVAKEVSPGSHVEAERQRREKLNSRFYALRSAVPNVSRMDKASLLSDAVSYINELKTKVEELEAEALKMKKMMMITMDKPPTLINGVNKDESMEVEVRFLGIDALIRVRSENGGHPAALLMDALRQLELPVHRASVSSVHELMLQDVVVRVPVELQCEQTLKAALVSKLMKNNYF >DRNTG_10989.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1708345:1709753:-1 gene:DRNTG_10989 transcript:DRNTG_10989.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKPLSASPSPALAFSNSAHPSSSPENLSFIHQLKSLYSSPIGSSHSIISLKKEPVNTTTLSSLNSDLSESDGGGSVLDLTARPKKRGRKQAVAKEVSPGSHVEAERQRREKLNSRFYALRSAVPNVSRMDKASLLSDAVSYINELKTKVEELEAEALKMKKMMMITMDKPPTLINGVNKDESMEVEVRFLGIDALIRVRSENGGHPAALLMDALRQLELPVHRASVSSVHELMLQDVVVRVPVELQCEQTLKAALVSKLMKNNYF >DRNTG_10989.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1708476:1709792:-1 gene:DRNTG_10989 transcript:DRNTG_10989.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKPLSASPSPALAFSNSAHPSSSPENLSFIHQLKSLYSSPIGSSHSIISLKKEPVNTTTLSSLNSDLSESDGGGSVLDLTARPKKRGRKQAVAKEVSPGSHVEAERQRREKLNSRFYALRSAVPNVSRMDKASLLSDAVSYINELKTKVEELEAEALKMKKMMMITMDKPPTLINGVNKDESMEVEVRFLGIDALIRVRSENGGHPAALLMDALRQLELPVHRASVSSVHELMLQDVVVRVPVELQCEQTLKAALVSKLMKNNYF >DRNTG_24975.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6942774:6947887:1 gene:DRNTG_24975 transcript:DRNTG_24975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVGEAGASLPSSSDGTKRRVSYFYEPTIGDYYYKQGHPMKPHRIRMAHNLIVHYRLHRLLEICQPFPASTADMCRFHSEDYVDFLSSVTPEMLASLSSENADTFKLDNSDCPAFDGLFQFCQASAGGSLGAAVKLNRGDADIAINWAGGLHHAKKSEASGFCYVNDIVLGILELLKHHARVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKYGNFFPGSGDIRSIGCDEGKYYAMNVPLSEGMNDDSFRGLFRPIIQKVMEVYQPGAVVLQCGADSLAGDRIGCFNLTLKGHADCLQFLRSFNVPLMVLGGGGYTMRNVARCWCYETAVAVGVEPENKLPYNEYYEYFGPDYTLHIEPKKTVNKNSARYLEALKNTLLERITKKQHVPSVQFQERPPDTDSPVQEEEAEDSRNNIGPLWNGDPYDSEPEQG >DRNTG_26992.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19277750:19283271:1 gene:DRNTG_26992 transcript:DRNTG_26992.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPELDGMRFEDVLISFPDAVPCGVKVAANGGKIIINPDDSYVLKEGDEILVIAEDDDTYYPGPIPEVRHGFLPIGSSPPSKYPEKILFCGWRRDIDDMIMVLEAFLAPGSELWMFNEVPEKERETKLIDGGLDLSGLTNIRLVHKEGNAVIRRHLENLPLETFDSILILADESVEDSIIHSDSRSLATLLLIRDIQSKRLPSMEAKTNTMRYTGFCHSSWIREMQQASDKSIIISEILDSRTRNLVSVSRISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNELCIRPAEYYLYDQEELSFYDIMVRGRERQEIVIGYRLANAERAIINPTPKAELRKWSLDDVFVVISLGE >DRNTG_26992.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19282923:19283364:1 gene:DRNTG_26992 transcript:DRNTG_26992.10 gene_biotype:protein_coding transcript_biotype:protein_coding KTGDSDRIPLGQCRACNNQPHTEGRAAEVVT >DRNTG_26992.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19277750:19280862:1 gene:DRNTG_26992 transcript:DRNTG_26992.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPELDGMRFEDVLISFPDAVPCGVKVAANGGKIIINPDDSYVLKEGDEILVIAEDDDTYYPGPIPEVIFPSLIDSRPTFYKQCL >DRNTG_26992.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19279681:19283271:1 gene:DRNTG_26992 transcript:DRNTG_26992.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLAADENADQSDARALRVVLSLTGVREGLRGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPELDGMRFEDVLISFPDAVPCGVKVAANGGKIIINPDDSYVLKEGDEILVIAEDDDTYYPGPIPEVRHGFLPIGSSPPSKYPEKILFCGWRRDIDDMIMVLEAFLAPGSELWMFNEVPEKERETKLIDGGLDLSGLTNIRLVHKEGNAVIRRHLENLPLETFDSILILADESVEDSIIHSDSRSLATLLLIRDIQSKRLPSMEAKTNTMRYTGFCHSSWIREMQQASDKSIIISEILDSRTRNLVSVSRISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNELCIRPAEYYLYDQEELSFYDIMVRGRERQEIVIGYRLANAERAIINPTPKAELRKWSLDDVFVVISLGE >DRNTG_26992.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19277829:19283271:1 gene:DRNTG_26992 transcript:DRNTG_26992.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFAMMLGLVSDAISEKVDSWRKGKSEVIERNHILILGWSDKLGSLLKQLAIANKSIGGGVIVVLAERDKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLAADENADQSDARALRVVLSLTGVREGLRGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPELDGMRFEDVLISFPDAVPCGVKVAANGGKIIINPDDSYVLKEGDEILVIAEDDDTYYPGPIPEVRHGFLPIGSSPPSKYPEKILFCGWRRDIDDMIMVLEAFLAPGSELWMFNEVPEKERETKLIDGGLDLSGLTNIRLVHKEGNAVIRRHLENLPLETFDSILILADESVEDSIIHSDSRSLATLLLIRDIQSKRLPSMEAKTNTMRYTGFCHSSWIREMQQASDKSIIISEILDSRTRNLVSVSRISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNELCIRPAEYYLYDQEELSFYDIMVRGRERQEIVIGYRLANAERAIINPTPKAELRKWSLDDVFVVISLGE >DRNTG_26992.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19277750:19283271:1 gene:DRNTG_26992 transcript:DRNTG_26992.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPTWSCTRIQSEKIILLLQIWEDILGFENAEFYIKRWPELDGMRFEDVLISFPDAVPCGVKVAANGGKIIINPDDSYVLKEGDEILVIAEDDDTYYPGPIPEVRHGFLPIGSSPPSKYPEKILFCGWRRDIDDMIMVLEAFLAPGSELWMFNEVPEKERETKLIDGGLDLSGLTNIRLVHKEGNAVIRRHLENLPLETFDSILILADESVEDSIIHSDSRSLATLLLIRDIQSKRLPSMEAKTNTMRYTGFCHSSWIREMQQASDKSIIISEILDSRTRNLVSVSRISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNELCIRPAEYYLYDQEELSFYDIMVRGRERQEIVIGYRLANAERAIINPTPKAELRKWSLDDVFVVISLGE >DRNTG_26992.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19278664:19283364:1 gene:DRNTG_26992 transcript:DRNTG_26992.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFAMMLGLVSDAISEKVDSWRKGKSEVIERNHILILGWSDKLGSLLKQLAIANKSIGGGVIVVLAERDKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLAADENADQSDARALRVVLSLTGVREGLRGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPELDGMRFEDVLISFPDAVPCGVKVAANGGKIIINPDDSYVLKEGDEILVIAEDDDTYYPGPIPEVRHGFLPIGSSPPSKYPEKILFCGWRRDIDDMIMVLEAFLAPGSELWMFNEVPEKERETKLIDGGLDLSGLTNIRLVHKEGNAVIRRHLENLPLETFDSMSRSRTPSFILIHDHWLHFS >DRNTG_26992.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19277750:19283271:1 gene:DRNTG_26992 transcript:DRNTG_26992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLQLVVTLIWCGFVAISQRNRILELEEEILNLRRICNGSCVDDCDSTRVLQYEDRDLVSYFGSVDGRIVALYTVVLSLATPFFLFKYLDYIPRIKSPAKDSKNNDEEVPLKKRIAYRVDVFFSVYPYAKLLALLFATILLICFGGLALYGVGDGSLSEALWLSWTFVADSGNHADREGVGPRIVSVSISSGGMLIFAMMLGLVSDAISEKVDSWRKGKSEVIERNHILILGWSDKLGSLLKQLAIANKSIGGGVIVVLAERDKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLAADENADQSDARALRVVLSLTGVREGLRGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPELDGMRFEDVLISFPDAVPCGVKVAANGGKIIINPDDSYVLKEGDEILVIAEDDDTYYPGPIPEVRHGFLPIGSSPPSKYPEKILFCGWRRDIDDMIMVLEAFLAPGSELWMFNEVPEKERETKLIDGGLDLSGLTNIRLVHKEGNAVIRRHLENLPLETFDSILILADESVEDSIIHSDSRSLATLLLIRDIQSKRLPSMEAKTNTMRYTGFCHSSWIREMQQASDKSIIISEILDSRTRNLVSVSRISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNELCIRPAEYYLYDQEELSFYDIMVRGRERQEIVIGYRLANAERAIINPTPKAELRKWSLDDVFVVISLGE >DRNTG_26992.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19282117:19283364:1 gene:DRNTG_26992 transcript:DRNTG_26992.9 gene_biotype:protein_coding transcript_biotype:protein_coding KTGDSDRIPLGQCRACNNQPHTEGRAAEVVT >DRNTG_26992.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19277829:19283271:1 gene:DRNTG_26992 transcript:DRNTG_26992.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPELDGMRFEDVLISFPDAVPCGVKVAANGGKIIINPDDSYVLKEGDEILVIAEDDDTYYPGPIPEVRHGFLPIGSSPPSKYPEKILFCGWRRDIDDMIMVLEAFLAPGSELWMFNEVPEKERETKLIDGGLDLSGLTNIRLVHKEGNAVIRRHLENLPLETFDSILILADESVEDSIIHSDSRSLATLLLIRDIQSKRLPSMEAKTNTMRYTGFCHSSWIREMQQASDKSIIISEILDSRTRNLVSVSRISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNELCIRPAEYYLYDQEELSFYDIMVRGRERQEIVIGYRLANAERAIINPTPKAELRKWSLDDVFVVISLGE >DRNTG_10655.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:360828:364627:1 gene:DRNTG_10655 transcript:DRNTG_10655.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPEQSETLEELASENEAEEEPQTLESQIEEPVSRVLQSGTLGTVGSNPAFLVGNFVWGRVRTHPWWPGRVRDPELAVAAKRAVRKAPNSVFVSYFGDESYAWCQPMHLKPFKQEFAQMMAQSVSKGFVSAVRSALEEIGRCVEVEMACGCVDIEIPDGFLKQGSKEFAITAYAPAEFLQLVRDVAKNCMVVDMLEITVLRSWMFAFNRKSGHQRCGVVDLVDKCDLDAENDGMEDSWIDAPRKPEISEEKYKRRKERSMAKLIAEMDLNAVEVSDDVDSVVEEEENDNDVVVEEEEKHEVEEKNDNDDVEEEEKHEEEEKGNDVVEEEEKKHEEDEKANAKVVVDSGVVVGGEGTGSGKRERKKSKYLSYPYAHLSGIHGKQSVFLLGDFEVKTSKKASYSSSTKLAGSVAEVDLSDKEEDQKDLVSKLESISTSEILSEFLAAARDSLHLKWNRSAKTVRGFFAGYRSAFYSESSDFEAHQKKLAECGCLDRKITDDVKSNQSKEGESDRNSKKRKGGINGETKVKSLTKRRMKKDGIETVAPVELEHDALGGSDKARTPQKRMKKNETACVETSLDLAIPKRNSSGKTQKKKAKQSEDSEETLLNVGHPKSNLTAPSLNQLKKQMRIEGTNVQTVAHVPVETMNNSEEAKKSMIVLTNGKPSTDVDEELLDSPKVEKSRKKRRKIKADLDSKARTDLNLDASNGSGESRKKQKKTDENNSEGPAALLLSFTPGVNLPSRDEIVAAFSKYGSLNETDTEVMKDSGCARLVFMKSLDAENALHSRDKTGVFAPPNASYRVRYLPVNPSSPSSPPSSAAAANLIPNRPLPYIRKNLEQMISTLTSPLVSDKDAGSSDELKPDAKENLVGEMQGLLEKVNKLLNGPPAGSSS >DRNTG_13571.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:981567:983814:-1 gene:DRNTG_13571 transcript:DRNTG_13571.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSATNSDMSNRMQNRRNAPSVVARLMGIDTLSSDKNFSFSEVSFDKKLISSTRDTSLRSLAPVHSTLDSDQSNNSMKTTKPHYREHPQEELLQRFKKEFQAWKASKSLDRNLLLLKDNQSIAQENLNRMKMVRYRESKRISVPDELMKSRIRPSTRNKMFDDQQRVPFQCEVHTNKHFRSNVEQEMRHRKDRYLAVTNSENIEEKGNMENFLQEVKERIRLEIQGQTRNASTARGICENSNDTTNIARHIAKQIKESVTRKMDSALDSSEFINIDTRKLINDRLKNVLANQGNARNSVSHLTEFSKTTTKLRSREEKRSIDESKSCSFGCKMEINSGVNLVRSYSSPATTGHFRIDKRQELSEQNSPKVRKCKKDGFSIKGKVSNLKQNLTLKRRFFSKKKPASRIVIKSLNPIFAEPSVVMNHGTVKDNHTEVPPSPVSPFEAPFPEFCSEISELTIGKLQTSCEDEQAESREIQSTLLDWDEKEEVKCSRNGREIGMKHNILFDLMNEMIHSCKVPESEMKTRTALNENNNSIDCMVAFHLKLTSWSGSSLKAVDFVGSEMELLIFGELIDELVCEFFL >DRNTG_13571.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:983905:985671:-1 gene:DRNTG_13571 transcript:DRNTG_13571.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFFDFNQASTSRKLFGHKRHNDGLEAPRNSLEFPTKSSQIYHTHHEDIP >DRNTG_13571.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:981567:984308:-1 gene:DRNTG_13571 transcript:DRNTG_13571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFFDFNQASTSRKLFGHKRHNDGLEAPRNSLEFPTKSSQIYHTHHEDIPVKRQSSKSINCPNQPKMKKSATNSDMSNRMQNRRNAPSVVARLMGIDTLSSDKNFSFSEVSFDKKLISSTRDTSLRSLAPVHSTLDSDQSNNSMKTTKPHYREHPQEELLQRFKKEFQAWKASKSLDRNLLLLKDNQSIAQENLNRMKMVRYRESKRISVPDELMKSRIRPSTRNKMFDDQQRVPFQCEVHTNKHFRSNVEQEMRHRKDRYLAVTNSENIEEKGNMENFLQEVKERIRLEIQGQTRNASTARGICENSNDTTNIARHIAKQIKESVTRKMDSALDSSEFINIDTRKLINDRLKNVLANQGNARNSVSHLTEFSKTTTKLRSREEKRSIDESKSCSFGCKMEINSGVNLVRSYSSPATTGHFRIDKRQELSEQNSPKVRKCKKDGFSIKGKVSNLKQNLTLKRRFFSKKKPASRIVIKSLNPIFAEPSVVMNHGTVKDNHTEVPPSPVSPFEAPFPEFCSEISELTIGKLQTSCEDEQAESREIQSTLLDWDEKEEVKCSRNGREIGMKHNILFDLMNEMIHSCKVPESEMKTRTALNENNNSIDCMVAFHLKLTSWSGSSLKAVDFVGSEMELLIFGELIDELVCEFFL >DRNTG_13571.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:981567:983814:-1 gene:DRNTG_13571 transcript:DRNTG_13571.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSATNSDMSNRMQNRRNAPSVVARLMGIDTLSSDKNFSFSEVSFDKKLISSTRDTSLRSLAPVHSTLDSDQSNNSMKTTKPHYREHPQEELLQRFKKEFQAWKASKSLDRNLLLLKDNQSIAQENLNRMKMVRYRESKRISVPDELMKSRIRPSTRNKMFDDQQRVPFQCEVHTNKHFRSNVEQEMRHRKDRYLAVTNSENIEEKGNMENFLQEVKERIRLEIQGQTRNASTARGICENSNDTTNIARHIAKQIKESVTRKMDSALDSSEFINIDTRKLINDRLKNVLANQGNARNSVSHLTEFSKTTTKLRSREEKRSIDESKSCSFGCKMEINSGVNLVRSYSSPATTGHFRIDKRQELSEQNSPKVRKCKKDGFSIKGKVSNLKQNLTLKRRFFSKKKPASRIVIKSLNPIFAEPSVVMNHGTVKASNMIR >DRNTG_13571.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:981567:984308:-1 gene:DRNTG_13571 transcript:DRNTG_13571.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSATNSDMSNRMQNRRNAPSVVARLMGIDTLSSDKNFSFSEVSFDKKLISSTRDTSLRSLAPVHSTLDSDQSNNSMKTTKPHYREHPQEELLQRFKKEFQAWKASKSLDRNLLLLKDNQSIAQENLNRMKMVRYRESKRISVPDELMKSRIRPSTRNKMFDDQQRVPFQCEVHTNKHFRSNVEQEMRHRKDRYLAVTNSENIEEKGNMENFLQEVKERIRLEIQGQTRNASTARGICENSNDTTNIARHIAKQIKESVTRKMDSALDSSEFINIDTRKLINDRLKNVLANQGNARNSVSHLTEFSKTTTKLRSREEKRSIDESKSCSFGCKMEINSGVNLVRSYSSPATTGHFRIDKRQELSEQNSPKVRKCKKDGFSIKGKVSNLKQNLTLKRRFFSKKKPASRIVIKSLNPIFAEPSVVMNHGTVKDNHTEVPPSPVSPFEAPFPEFCSEISELTIGKLQTSCEDEQAESREIQSTLLDWDEKEEVKCSRNGREIGMKHNILFDLMNEMIHSCKVPESEMKTRTALNENNNSIDCMVAFHLKLTSWSGSSLKAVDFVGSEMELLIFGELIDELVCEFFL >DRNTG_05659.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18190491:18192778:1 gene:DRNTG_05659 transcript:DRNTG_05659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKHMFHLTCDETTITLEDVELLLGLPISGHEVIRQTSNLGSVVCAELLEVVPSADQRKGQSITLTWLEETFSILPYDVGQREIECYAHAYILRLISSVLMLDMSQNSVHLKWLPLLRDFTEAGRYSRGSVFLATLWAHIEVDDDSHNNKHNVKVYRLMVAIIPPSESLIDDELHTMLARHEQEADHDA >DRNTG_28215.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11793660:11794915:-1 gene:DRNTG_28215 transcript:DRNTG_28215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKKLELNPNQSYPTEAVYINNPIEYYEEMAIVCGNNQASRSFARIGSQSSRSLGIHMEMPSIPPTLDSDDIPQGLNDWDFAQ >DRNTG_21463.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:351622:352874:-1 gene:DRNTG_21463 transcript:DRNTG_21463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHHQLLLPLILALILLLILPSSSHTATQAMFSMPLQFLFGGQSLTDGDLTLSLSKDCGLILYKTGSPVLNFNTSTTTSYCALFVSDKGQLILIPDNERTPTQTIGKETSTGRYALLFIDGKLGIFGPAIWNNGVQPPTLFNIDKPTLGLNHEKLKTGSTDYILFSGNIATGSANGDVVIAQNGMVTTVITNGCALAVKDDATDSTIWHSWPTSSEPTECFLELKSNGELLLQGYNESGVYTQWEGGHAARENLYVCLLRYFGRIAIYGRRTWLYDGSSATSAAVVAEKIKMVTA >DRNTG_31782.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13068829:13072686:-1 gene:DRNTG_31782 transcript:DRNTG_31782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDTGLRKWPSFLVCVAMATQSCFTRRKSAQRLKGGDAQVADGGHSTSSRSSAR >DRNTG_15946.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000791.1:1401:4731:1 gene:DRNTG_15946 transcript:DRNTG_15946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGHDEPYYLHAKHMYNLDRMKHQKLKMSLAVLSAFSIGVAVPVYAVIFQQKKTSSA >DRNTG_32554.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20045768:20049016:1 gene:DRNTG_32554 transcript:DRNTG_32554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWRRWRSPYLFFIPLLLVLPLLLTVSDLHRRTISQTPRRNPSKKSDHIVLGPAAGQGMPDRLQCQGLKAMNGIHSSNTNRESNNCDTVTFVTVFTVYNSHLKTESAKVDDKSLGTVTVGNTSYTKTERSMAVLNIFVNFIQVSMPRSNVIILTDPASEFSVEKNVATILAIHGDYSRDNLMLQRIRSYITFLEQKLEEHPERLKCVNHYIFTDSDIAVVDNLAHIFQEYPNFHLALTFRNNKDQPLNSGFIAIRGTVEGILRAKTFLQEVLEVYSTKYMKASRMLGDQLALAWVVKSHLSFAFKKFSRHEAFPGDMNGTSVLFLPCAIYNWTPPEGAGQFHGMPLDVKVVHFKGSRKRLMLESWNLFNSTSNLSDMLCLILASGRTKYDF >DRNTG_32554.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20046002:20049016:1 gene:DRNTG_32554 transcript:DRNTG_32554.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRLQCQGLKAMNGIHSSNTNRESNNCDTVTFVTVFTVYNSHLKTESAKVDDKSLGTVTVGNTSYTKTERSMAVLNIFVNFIQVSMPRSNVIILTDPASEFSVEKNVATILAIHGDYSRDNLMLQRIRSYITFLEQKLEEHPERLKCVNHYIFTDSDIAVVDNLAHIFQEYPNFHLALTFRNNKDQPLNSGFIAIRGTVEGILRAKTFLQEVLEVYSTKYMKASRMLGDQLALAWVVKSHLSFAFKKFSRHEAFPGDMNGTSVLFLPCAIYNWTPPEGAGQFHGMPLDVKVVHFKGSRKRLMLESWNLFNSTSNLSDMLCLILASGRTKYDF >DRNTG_24622.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31120545:31122370:1 gene:DRNTG_24622 transcript:DRNTG_24622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLLNLILVFLIVLCSFVLSYCCCDGDNKQQRGEISALGDPGMKRDGLRVAFEAWNFCNEVGSEVPGMGSPRAADCFDLSDHYLNHRVSQADNLLGVGDPFPRLTVEGLNNSDLYAAEKELYLGSLCEVEDEPNPWQFWMMMLKNGNMDTSSGLCPDNGKKVGPFPPSSRFPCFGKGCMDPPLLYHKPTYRVGDKMRGAFWGTYDLDADADADVEGKEQNLSYFEVVWKKNVGSGSWEFHHKLKTSRKYPWLMWYLRADATKGFSGGYHYDTRGMLKILPESPNFKVKITMDVKKGGGPQSQFYLLDIGSCWKNNGKRCDGDVTTDVTRYSEMIINPQIDGVCSSKTPQKCPPYHIMPDGKKILRNDTKNFPFSAYHYYCAPGNAKYAEEPYEVCDPYSNPQPQEIIQLLPHPVWGAYGYPTNKGQGWVGDKRTWLLDTGAKRIMLLSGFLVILMFLFPTVDIRVTN >DRNTG_20549.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:584432:587421:-1 gene:DRNTG_20549 transcript:DRNTG_20549.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGDPDEGEGEIWGTWEELLLASAVSRHGTRRWDSVAMEVQSRIPTSSAHLLTPLRCRQRFHLLQNRFSTTAVAIADAEPDDEPLPDIPWLDELRKLRVAELRREVERSDLSIRNLQIKVERLKGERERIAGEADLKSGRGGRDRLDLHRRRLQGDRISGRSCKESNSTDPKEDGRKPGEDAKNAKDTAGDGKRKTDPVAGERSDDRSSAAGDPDPADPRESGESAAESKGGETEERERDGDGEKESSEVQSSASLTRRRRMRRRRKGPSVSSGAADEPETDVVTPHAASVPFTASQPLVSFLEIIRSSNLGSVFARRMDSQNTAKYKSLIRRHVDLEMVTRRIERSGSAYTSSEFFRDLLLLCNNAIVFFAKSSDEAIAAVHLRDLISKEMTAAGKQKPAQTPPPPPPLVQPPPAATAPPPQPAKLKEDPDLPRSLLEKSTSAAPLITCRKRSSISAKKADPERKEEKPEPDRKERDAEDPIHKKKTKERSSFPPSSGFRTNKMRALPKNSNPDPASNLNAQVAETAEADSTPKVEKKNTPNGGSSASLSKKRSATSFLNRMKRSSPSNGTLLESLKSSGAASSSGGKATDQKKSGKGESRKERSSKSGATTKSAPTKRSVGRPPKRGAAPPSKRARDEAEGSKPTPPTRKRGRR >DRNTG_34599.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23204659:23205906:1 gene:DRNTG_34599 transcript:DRNTG_34599.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPTPPVPFIQPNGNQSHLVCSGCRNLLLYPVGATSVCCAVCSVITSVPPPGTEMAQLVCGGCHTLLMYVRGATNVQCSCCHTVNLALEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSIGAFPSTEQKVGA >DRNTG_14026.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27593452:27593754:1 gene:DRNTG_14026 transcript:DRNTG_14026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHPSMIECYCILLFLMCVQLSKDRLGWSETLAKVVIWAAFHLQGATAMFVAAALASKQPEPANLISANVVPCEEAS >DRNTG_01358.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:340004:343487:1 gene:DRNTG_01358 transcript:DRNTG_01358.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSRPDSFQKDGKNVRFVATDLATDIIIKVGDAKFYLHKFPLLSKCGLLQKTVTITDETCEEIDLSDIPGGPAAFEICAKFCYAMTVTLNAYNVIAARCAAEYLEMQESVEKGNIIYKIEVFLNTSILRSWKDSIIVLQSTKSFLSLAEDLKLVSHCADSIASKAVIDTSMVNWSYTYNRKKLQSEDSAEAHWNGVVKEQSVPEDWWVEDLSELELDLYKRVIIAIKSKARMPNQVIGEALKTYMYKRLPSFSKGSMVHKDDVLKNKTMLETITWLLPKEKGAVTCGFLLKLLRLANLLNIGDMVKKDLIKRIGRQLEEASVFDLLIPAPEGEKHRYDIEMVLSIVKEFVSQGCNDSQTSSLASTNTKLAVAKLVDGYLAEVAQDPNFPLSKFVDLAEMLSSESRPVHDALYRAIDMYLKEHPGMSKSEKKKMCSLMDCKKLSAEASMHAVQNERLPLRVVVQVLFFEQVRSSVVSSGCRGSYGSSRSGATTNTEDEWDVIPRVEDLKPFKNTKLRTSASHRESNRSNGNTETNTNKDDGNGGKSKGNATPGKMISKLWSSKGENCSSDTSESPVEESKSTPAKNMRHSMS >DRNTG_01358.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:339438:343487:1 gene:DRNTG_01358 transcript:DRNTG_01358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSRPDSFQKDGKNVRFVATDLATDIIIKVGDAKFYLHKFPLLSKCGLLQKTVTITDETCEEIDLSDIPGGPAAFEICAKFCYAMTVTLNAYNVIAARCAAEYLEMQESVEKGNIIYKIEVFLNTSILRSWKDSIIVLQSTKSFLSLAEDLKLVSHCADSIASKAVIDTSMVNWSYTYNRKKLQSEDSAEAHWNGVVKEQSVPEDWWVEDLSELELDLYKRVIIAIKSKARMPNQVIGEALKTYMYKRLPSFSKGSMVHKDDVLKNKTMLETITWLLPKEKGAVTCGFLLKLLRLANLLNIGDMVKKDLIKRIGRQLEEASVFDLLIPAPEGEKHRYDIEMVLSIVKEFVSQGCNDSQTSSLASTNTKLAVAKLVDGYLAEVAQDPNFPLSKFVDLAEMLSSESRPVHDALYRAIDMYLKEHPGMSKSEKKKMCSLMDCKKLSAEASMHAVQNERLPLRVVVQVLFFEQVRSSVVSSGCRGSYGSSRSGATTNTEDEWDVIPRVEDLKPFKNTKLRTSASHRESNRSNGNTETNTNKDDGNGGKSKGNATPGKMISKLWSSKGENCSSDTSESPVEESKSTPAKNMRHSMS >DRNTG_01358.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:339438:343487:1 gene:DRNTG_01358 transcript:DRNTG_01358.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSRPDSFQKDGKNVRFVATDLATDIIIKVGDAKFYLHKFPLLSKCGLLQKTVTITDETCEEIDLSDIPGGPAAFEICAKFCYAMTVTLNAYNVIAARCAAEYLEMQESVEKGNIIYKIEVFLNTSILRSWKDSIIVLQSTKSFLSLAEDLKLVSHCADSIASKAVIDTSMVNWSYTYNRKKLQSEDSAEAHWNGVVKEQSVPEDWWVEDLSELELDLYKRVIIAIKSKARMPNQVIGEALKTYMYKRLPSFSKGSMVHKDDVLKNKTMLETITWLLPKEKGAVTCGFLLKLLRLANLLNIGDMVKKDLIKRIGRQLEEASVFDLLIPAPEGEKHRYDIEMVLSIVKEFVSQGCNDSQTSSLASTNTKLAVAKLVDGYLAEVAQDPNFPLSKFVDLAEMLSSESRPVHDALYRAIDMYLKEHPGMSKSEKKKMCSLMDCKKLSAEASMHAVQNERLPLRVVVQVLFFEQVRSSVVSSGCRGSYGSSRSGATTNTEDEWDVIPRVEDLKPFKNTKLRTSASHRESNRSNGNTETNTNKDDGNGGKSKGNATPGKMISKLWSSKGENCSSDTSESPVEESKSTPAKNMRHSMS >DRNTG_22805.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3806386:3809355:1 gene:DRNTG_22805 transcript:DRNTG_22805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASCHLTLTGHSLSWAGAFPEIVTCANQFWLPNGPFDRAHRLGSGPGTGQLNPLHPRTYEVLHNVFSDSASLFPDPFFHSGADELNPFCWSSDPSIQSFLSSGHNLSQLLQRFISDTHPFLISLNRTVVYWEDILLDSTIQVPSSLIPPSTTILQTWNNGINNTKRLVAAGYRVIVSSADFYYLDCGHGGFVGNYSAYDAISEHTSWCGPFKTWERIYDYDIVEGLREKEKELVIGGEVALWSEQADGTVLDGRVWPREAALAEAMWSGNRDEKGRRRTSMATDRLMDWRYRMVG >DRNTG_18706.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14771477:14773162:-1 gene:DRNTG_18706 transcript:DRNTG_18706.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCASLCYLDEKWIREYFWQSAIANTVVDTVYSLPKMTVSENPHGRVEITHARVEIPTGHQQGRVDSGFQPYLKLIQPRFHDSFPQLESGGASVEAYPIPNKGILGRRVEDFPQYHRYDYRGDVIRGADKAIIPASLRIETMRLMGMVCRCQPRLYALITPTPEPQPTQMETKAPPAAQVISACAI >DRNTG_35098.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1466081:1469714:-1 gene:DRNTG_35098 transcript:DRNTG_35098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGMADDFEMEELDDEMDEEFHGQGLGESDSDEDDHDHIDGRAMDILSTDVRRGKDIQGIPWNQLSITREKYRQTRLEQYKNYENVLHSGLQSAKDCKPSKKGGLFYDFQRNTRSVKSTILHFQLRNLVWATTKHDVYLMSHFSVLHWSAITSEKCEIMNLSGHVAPSEKHPGSLLEGFVQTQVSSLAVKNNLVVVGGFQGELICKALDRKGISFCCRMTYNDNSITNALEIYDSASGAPHFVASNNDCSVRDYDMEKFQLSQLLDFPWPVNHTSLSPNGKLLVIVGDNPQGLLVDAQTGKIVHEMHGHLDYSFASAWHPNGQIFATGNQDRTCRVWDVRNLSKAVTVLGGNLGAIRSIRFTEDGRFMAMAEPADFVHIFDTGSGFERRQELDFFGEVSGISFSPDTEAFFVGVWDRSYGSLLQYGRRRYCSYLDSFV >DRNTG_15194.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5898572:5901918:1 gene:DRNTG_15194 transcript:DRNTG_15194.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRRAQQQTVHSNKFIPLLLCTAMIHGYFSRASEGKPQRKREARKRSCEGMKGAAR >DRNTG_15194.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5898572:5901918:1 gene:DRNTG_15194 transcript:DRNTG_15194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRRAQQQTVHSNKFIPLLLCTAMIHGYFSRASEGKPQRKREARKRSCEGMKGAAR >DRNTG_15194.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5901423:5902037:1 gene:DRNTG_15194 transcript:DRNTG_15194.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRRAQQQTVHSNKFIPLLLCTAMIHGYFSRASEGKPQRKREARKRSCEGMKGAARVSK >DRNTG_15194.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5901423:5901918:1 gene:DRNTG_15194 transcript:DRNTG_15194.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRRAQQQTVHSNKFIPLLLCTAMIHGYFSRASEGKPQRKREARKRSCEGMKGAAR >DRNTG_34882.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21881991:21894018:-1 gene:DRNTG_34882 transcript:DRNTG_34882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRGRPIFDLNELPTEGEDDNDDVVCINPQKTIPTSNYNPANLFPPLESSWLVNNHAFKHASSVSGFQPFVRYKDQQDSKEDSKQKQDESKPSEARPSISGSHAEDNNTAQNSTSGALDAQGLEREEGEWSDMEAETDGTGNNSSDKQGEFDLGNGSKPEATEEKVPDPAKIPENDSHDSVFHGNSNGDSGNTTNDSKSSVVLVSDCSRNPESVSKGHVAADGTEEASLPVKPKDIKGVEASHALRVGSNLGRRPKLDEHKEAMLGKKRARQTMFINVEDAKQAGSIKTSTPRRQISFPAPMITRTVKDTLRASAAAVERIAEHHNMSSGKDQKQSDTSNTEGSAAVDFGNHKVDSNGDYGSGSQAWSKKPNVGDFNMDAYSVSIPRQGSLKQPLDYRQSKSFPVLQRKPTVGGQSIVDHKVGNKKHLPVKKQTADNFQYQDTSVERLLREVTNEKFWHQAEEAELQCVPGQFESVEEYVRVFEPLLFEECRAQLYSTWEEFTETVSRDAHIAVRVKTVERRERGWYDVIILPVQECKWNFKEGDVAVLSSPRPGPATRSNKRNIHAGASEEDVEPEVTGRVAGTVRRHVPVDTRDPLGAILHFYVGDSYDCSSKGADDHIVRKFQPKSIWYLTVLGSLATTQREYIALHAFRRLNSQMQSAILQPSPEHFPKCEEQPPSMPDCFTPNFVDYLHRTFNSPQLAAIQWAAMHTAAGTNNGSTKRQDPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTALLKKLAPESYKQNSESISESVGSGSIDEVLQSMDKNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQTRAAQAVSVERRTEQLLQKGRDEVLGWMHQLKAREVQFSLQISGFQRELLATAAAGRSQGSVGVDPDVLVARDHNRDVLLQNLAAAVEGRDKVLVEMSRLCILESRFSAGSNFNLEDARAKLEASFANEAEVVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVAVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRYFYQGRLTDSESVMKLADEVYYKDPLLQPYGFFDISHGRESHKGGSVSYQNVHEAQFCLRLYEHLQKSLKLNFGKKVSVGIITPYKLQLKCLQREFEEVLNTEEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVVGNANALMQSDDWAALIKDSKSRKCFMDMDKIPEFLVPKGSSYPSGKVSSNNSRNLRTIGQRQRHLDILPEPRSGMRSEEEEKCNTFLPRNGSCRNLKLTDNSSDDLGQSGGRPREAIQNINARRQNTSGTFWRDT >DRNTG_34882.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21881991:21894018:-1 gene:DRNTG_34882 transcript:DRNTG_34882.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRNITSAPMAGWLIFLPPWEVLTGWYDVIILPVQECKWNFKEGDVAVLSSPRPGPATRSNKRNIHAGASEEDVEPEVTGRVAGTVRRHVPVDTRDPLGAILHFYVGDSYDCSSKGADDHIVRKFQPKSIWYLTVLGSLATTQREYIALHAFRRLNSQMQSAILQPSPEHFPKCEEQPPSMPDCFTPNFVDYLHRTFNSPQLAAIQWAAMHTAAGTNNGSTKRQDPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTALLKKLAPESYKQNSESISESVGSGSIDEVLQSMDKNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQTRAAQAVSVERRTEQLLQKGRDEVLGWMHQLKAREVQFSLQISGFQRELLATAAAGRSQGSVGVDPDVLVARDHNRDVLLQNLAAAVEGRDKVLVEMSRLCILESRFSAGSNFNLEDARAKLEASFANEAEVVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVAVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRYFYQGRLTDSESVMKLADEVYYKDPLLQPYGFFDISHGRESHKGGSVSYQNVHEAQFCLRLYEHLQKSLKLNFGKKVSVGIITPYKLQLKCLQREFEEVLNTEEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVVGNANALMQSDDWAALIKDSKSRKCFMDMDKIPEFLVPKGSSYPSGKVSSNNSRNLRTIGQRQRHLDILPEPRSGMRSEEEEKCNTFLPRNGSCRNLKLTDNSSDDLGQSGGRPREAIQNINARRQNTSGTFWRDT >DRNTG_34882.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21881991:21894018:-1 gene:DRNTG_34882 transcript:DRNTG_34882.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAILQPSPEHFPKCEEQPPSMPDCFTPNFVDYLHRTFNSPQLAAIQWAAMHTAAGTNNGSTKRQDPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTALLKKLAPESYKQNSESISESVGSGSIDEVLQSMDKNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQTRAAQAVSVERRTEQLLQKGRDEVLGWMHQLKAREVQFSLQISGFQRELLATAAAGRSQGSVGVDPDVLVARDHNRDVLLQNLAAAVEGRDKVLVEMSRLCILESRFSAGSNFNLEDARAKLEASFANEAEVVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVAVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRYFYQGRLTDSESVMKLADEVYYKDPLLQPYGFFDISHGRESHKGGSVSYQNVHEAQFCLRLYEHLQKSLKLNFGKKVSVGIITPYKLQLKCLQREFEEVLNTEEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVVGNANALMQSDDWAALIKDSKSRKCFMDMDKIPEFLVPKGSSYPSGKVSSNNSRNLRTIGQRQRHLDILPEPRSGMRSEEEEKCNTFLPRNGSCRNLKLTDNSSDDLGQSGGRPREAIQNINARRQNTSGTFWRDT >DRNTG_34882.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21881991:21887916:-1 gene:DRNTG_34882 transcript:DRNTG_34882.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAILQPSPEHFPKCEEQPPSMPDCFTPNFVDYLHRTFNSPQLAAIQWAAMHTAAGTNNGSTKRQDPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTALLKKLAPESYKQNSESISESVGSGSIDEVLQSMDKNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQTRAAQAVSVERRTEQLLQKGRDEVLGWMHQLKAREVQFSLQISGFQRELLATAAAGRSQGSVGVDPDVLVARDHNRDVLLQNLAAAVEGRDKVLVEMSRLCILESRFSAGSNFNLEDARAKLEASFANEAEVVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVAVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRYFYQGRLTDSESVMKLADEVYYKDPLLQPYGFFDISHGRESHKGGSVSYQNVHEAQFCLRLYEHLQKSLKLNFGKKVSVGIITPYKLQLKCLQREFEEVLNTEEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVVGNANALMQSDDWAALIKDSKSRKCFMDMDKIPEFLVPKGSSYPSGKVSSNNSRNLRTIGQRQRHLDILPEPRSGMRSEEEEKCNTFLPRNGSCRNLKLTDNSSDDLGQSGGRPREAIQNINARRQNTSGTFWRDT >DRNTG_24278.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10708687:10713373:-1 gene:DRNTG_24278 transcript:DRNTG_24278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRLQMVPCVKTFMWMLLHNHTPTFGFFYRLNIGLYQMCPFCDLVEENAEHIIWDCRISRICSVLVTDFAGVDLNKVGTFMWVSWLLSNWRSRIGNQGFKSLLATCVWDIWKAMCDLVIGKKKTNIQLIFQQALKNTLDQSRRRTRNSIREYLPNLFYCHSIIVMSDASWIDPLSCCRLGFILVAEERQIILARAISLFTERRFHAEIIALKVALQHCPKKCLIPNQAFCDCPSMLYFLQGGDPCTAWRL >DRNTG_09626.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21983295:21989348:-1 gene:DRNTG_09626 transcript:DRNTG_09626.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome C [Source:Projected from Arabidopsis thaliana (AT5G35840) UniProtKB/Swiss-Prot;Acc:P14714] MTSMSNRGATCSRSSSARSKHSARVVAQTSLDAKIHTDFEESEQPFDYSTSIGVANRPSGAESGGVPPSAVSAYLQRMQRGKHIQPFGCMLAIEDDTFIIIAYSENAPEMLDLASHAVPSIEQREALTIGTDVRTLFKSPSAVALQKAASFGEVNLLNPILVHCKSSGKPFYAIMHRIDVGLVIDLEPVNPADVPVTAAGALKSYKLAAKAISRLQSLPSGNIPLLCDVLVKEVSELTGYDRVMAYKFHEDEHGEVIAECRRSDLEPYLGLHYPATDIPQASRFLFMKNKVRMICDCSAPPVKVIQDKRLPQPLSLGGSTLRAPHGCHAQYMANMGSIASLVMSVTINEDDDETAIDQQKGMKLWGLVVCHHTSPRFVPFPLRYACEFLLQVFGIQLNKEVELATQAREKHILRTQTVLCDMLLRDAPVGIFTQSPNVMDLVKCDGAALYYKNQFWLLEVTPTEAQIRDIAAWLLEYHNSTTGLSTDSLTEAGYPRAAELGDAVCGMAAIKITSKDFIFWFRSHTAKEIKWGGAKHDPGGRNDEGRKMHPRSSFKAFLEVVKRRSLPWEDVDMDAIHSLQLILRGSLQDEPVMDNSKTIVNATPDEAKQIQRMDEVRTVTAEMVRLIETATVPILAVDASGNINGWNTKAAELTGLSVEDAIGFPFVDLVEGESVEVAKSILRLALQGKEEQNVQIKLKTFGHQKNNGPVILIVNACCSRDINNDVVGICFVGQDVTGQKLVMDKYTRLQGDYTAIVSSPNQLIPPIFITDEYGCCSEWNAAMAKLSGLSREDAIDKILIGEVFSVHSFGCRVKDHDTLTKLRIVMNAVIAGQNAENLLFGFFDVNDKYVEALLTANARTNTEGRITGVLCFLHVASSELQHALQVQRMSEQAAINSLKELAYLRQEIRNPLHGIVFTCNLMEASDLTQEQKQLLRTSGLCQEQLRKILDDMDLESIEQCYLEMNAVEFNLGEVVEAVVSQGMSLSREQQVSLVIDSSADLSTMYLYGDNLRLQQVLADFLLNTLQFTSPASGSVLLKVIPRKEHIGTAVQIAHLEFRIIHPAPGVPEALVQEMFRHSPGISREGLGLYISQKLVKIMNGTVQYLREAERSSFIILVEFPLVCHSHSK >DRNTG_30226.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:130633:134126:1 gene:DRNTG_30226 transcript:DRNTG_30226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRIWERRERGEMDSLHSETAVWEQIDASESSLLNCTFEEAASLASSVIQHICTETFAVTVDEVHLADMKESAGMVFVQSLKELGRTLEIFTELQKLFGSLAAVPAEVFLTGASMQISEGYATKLKADFELFLAEWKYLDDKVYVSAQSSSEIHIQNYVISAEKYMEMAEFYAVTVLGIVLCSPDLAISWIERAELPEEKRQEIIMRVRPLCYVKKSLISSMAQRTNRSCDLSSCSTDSTLLGHENPQGSQPNGDKINQDALKSIRPKTKLISRRLGPCLWWFRTVRLKFGGVSIILPSGRTVILGLLTFFAYSILKNRRAAFKRMATQQASSIWRILSDALQLVFSFQVNPLAAVQPTPTASLGRR >DRNTG_07065.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3884043:3890017:-1 gene:DRNTG_07065 transcript:DRNTG_07065.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoyllysine-residue acetyltransferase component 4 of pyruvate dehydrogenase complex, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25860) UniProtKB/Swiss-Prot;Acc:Q9SQI8] MALSFSSSLSSSRPLLRRPASAPRSSRALTVQAKIREIFMPALSSTMTEGKIVSWVKSEGDRLSKGESVVVVESDKADMDVETFYDGILAAIVVPEGESAPVGAPIGLLAESEDEIPLAKAQAQSQSKSETQSQAPPPPPPPPPAADASPPSSPPSAPAPATVASSRTVITPYAKKLAKQNKVDIATVVGTGPYGRITPSDIEAAAGIQTKKPAPASPASAANGVAAAPAATGTPPAARVLPEIPGSTVVPFTTMQSAVSKNMVESLSVPAFRVGYPVTTDALDALYEKVKPKGVTMTVLLAKAAAMALAQHPIVNASCKDGKSFTYNSSINIAVAVAIDGGLITPVLQDADKLDIYLLSQKWKELVKKARSKQLQPNEYNSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVMADSEGFFSVKSKMLVNVTADHRIIYGADLAAFLQTFAKIIEDPDNLTL >DRNTG_29430.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:53129:53609:1 gene:DRNTG_29430 transcript:DRNTG_29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding DEELSLVSFQSVSKGYYGECGKRGGYMEVIGFTPDVREQIYKVASVNLCSNISGQILTSLVMNPPKVGDESYESFIAEK >DRNTG_31092.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4189466:4189762:1 gene:DRNTG_31092 transcript:DRNTG_31092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLFLCYRWLYSELTGVTGGLVTVRDHIDCYMIARESMYFPSPGGTLSDMQVGSTVVIVNHFISVVA >DRNTG_08858.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27804033:27809228:1 gene:DRNTG_08858 transcript:DRNTG_08858.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein ClpD, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51070) UniProtKB/Swiss-Prot;Acc:P42762] MEVCSCSSSSSSSILAVHSIHRRSNPRLPLRHLVPLTSPYAVSLQCAGFISSLGAHHNPLSICPARLPRRPRRPTISAVFERFTERAIKAVIFSQREARALGREMVFTQHLLLGLITEDRSPDGFLGSGITIERAREAVRKIWSESGPPPSSKSATDVPFSTSCKRVFEAAVEYSRRIGCNFIAPEHIAIGLFTDDDGSATQVLKSLGADVNRLSSVAVSRLQGELAKDGRDPSSMRARSPSRKGPAEAAVAKFSDKRKEKSALAQFCVDLTTRAAQGLIDPVIGRDSEVQRIVQILCRRTKNNPILLGEPGVGKTAIAEGLALSIAKGDIPIFLAGKRIMSLDVGLVMAGAKERGELEARVTSLISEVKQAGDVILFIDEVHTLIGSGTVGRGNKGSGLDLANLLKPPLGRGELQCIASTTLDEHRMHFENDKALARRFQPVFIGEPNQEDAVRILLGIREKYEAHHKCRFTLEALNAAVYLSSRYIADRHLPDKAIDLIDEAGSRARMDAFKRKKEQTSILSKSPEEYWQEIKAVQTMHDVVLANKLKYSLGQSGTVDGDNAEMTGDSVSISESSISSALDDDGPVLVGPEEIATVASLWSGIPVQQLTVDERKLLVGLDDELRKRVIGQDDAVNAIARAVKRSRVGLKDPDRPIAALLFCGPTGVGKTELTKALAASYFGSESAMIRLDMSEYMERYSVSKLIGSPPGYIGYGEGGTLTEAVRRKPFTVVLLDEIEKAHPDVFNILLQIFEDGHLTDSQGRRVSFKNTLIVMTSNVGSTAISKGRRNIGFLIAGDEESSSYAAMKALVTDELKQFFRPELLNRIDEVVVFRPLEKEQMLEILNIILEEIKGRLMSLGIGLNVTPAIMDLVCQEGFDRNYGARPLRRAATHLIEDVISESLLAGDYKPGDTIVIDVDASGSPAVSLLSDHSINLSDATSTSSSTL >DRNTG_08156.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16123756:16126938:-1 gene:DRNTG_08156 transcript:DRNTG_08156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLLANAGAINGDIKPQFHCSNKPKPSLPSPFPNPPPNPNQSKQNKHKSTINGGNQEEEEEQKKIIETIFQTQYYKHIHARDIGNKMFKEQLQQRAKAWESTVRKTQLQAGRRRGYVFPTTSVAHADDADSPDKETWSQETNEEICLVERLLPGGDYYAGEWHCSVPHGTGKYFWTDGCIYEGEWRHGRITGRGKFSWPSGATYDGEFKSGFMDGVGTYTGPSGDTYRGTWSMNVKHGNGQRSYVNGDCYDGEWQSGVQEGNGRYTWCNGNEYIGQWRGGAMHGKGIYMLRNGDRYEGNWEEGELKEASEECSGNLSACKVCSEETLSILPSQKAINWTCVEDGWKAVVKSKRRASADGSGTGLRRANGHTKTMIGLDKTCNWESDDDGGGEGGEWHLGDQMPEKRLGQGRWPVKGDEEARGDYF >DRNTG_34548.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18332476:18333625:1 gene:DRNTG_34548 transcript:DRNTG_34548.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLARKGVCVLKNCSMLIMDEADKLLSLEFQPSIEQLIQFL >DRNTG_34548.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18332640:18333130:1 gene:DRNTG_34548 transcript:DRNTG_34548.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLARKGVCVLKNCSMLIMDEVIFAHMIRLNPVH >DRNTG_34548.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18332132:18333625:1 gene:DRNTG_34548 transcript:DRNTG_34548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNVIQVVILVPTRELTLQTSQVCKELGKHLKIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLARKGVCVLKNCSMLIMDEADKLLSLEFQPSIEQLIQFL >DRNTG_34548.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18332640:18333625:1 gene:DRNTG_34548 transcript:DRNTG_34548.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLARKGVCVLKNCSMLIMDEADKLLSLEFQPSIEQLIQFL >DRNTG_08359.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4103114:4105797:-1 gene:DRNTG_08359 transcript:DRNTG_08359.1 gene_biotype:protein_coding transcript_biotype:protein_coding TFFPNYKSCQKLYGVRSKVYRVNEYQVFRARNTYGVKSRTKPTKEDNSSKGPMQALAEHRRAISPWFYLSETPLVVNESVQAQHGGVIFP >DRNTG_22735.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31587947:31589527:1 gene:DRNTG_22735 transcript:DRNTG_22735.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTFIPFLFILLFSAAKSNPVILSLRTKKIPSLALMKPPNKLLFHHNISLTVSLSVGTPPQNVSMVLDTGSELSWLLCSKPKFHPFASSSYSPILCSSPSCRSQARDLPIPPNCNSTSHLCQVSLSYADASSSSGTLATDRFRLGNSPSLPTIFGCISSSYSSSVEDSNTAGLLGMNRGALSFITQTSTRRFSYCISGRDSSGVLLLGDPSSTVPFSIPFNYTPLVEIALPLPYFDRVAYSVQLEGIRVGSVLLPIP >DRNTG_22735.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31587792:31589870:1 gene:DRNTG_22735 transcript:DRNTG_22735.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTFIPFLFILLFSAAKSNPVILSLRTKKIPSLALMKPPNKLLFHHNISLTVSLSVGTPPQNVSMVLDTGSELSWLLCSKPKFHPFASSSYSPILCSSPSCRSQARDLPIPPNCNSTSHLCQVSLSYADASSSSGTLATDRFRLGNSPSLPTIFGCISSSYSSSVEDSNTAGLLGMNRGALSFITQTSTRRFSYCISGRDSSGVLLLGDPSSTVPFSIPFNYTPLVEIALPLPYFDRVAYSVQLEGIRVGSVLLPIP >DRNTG_22735.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31587792:31589527:1 gene:DRNTG_22735 transcript:DRNTG_22735.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTFIPFLFILLFSAAKSNPVILSLRTKKIPSLALMKPPNKLLFHHNISLTVSLSVGTPPQNVSMVLDTGSELSWLLCSKPKFHPFASSSYSPILCSSPSCRSQARDLPIPPNCNSTSHLCQVSLSYADASSSSGTLATDRFRLGNSPSLPTIFGCISSSYSSSVEDSNTAGLLGMNRGALSFITQTSTRRFSYCISGRDSSGVLLLGDPSSTVPFSIPFNYTPLVEIALPLPYFDRVAYSVQLEGIRVGSVLLPIP >DRNTG_22735.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31587202:31589527:1 gene:DRNTG_22735 transcript:DRNTG_22735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTFIPFLFILLFSAAKSNPVILSLRTKKIPSLALMKPPNKLLFHHNISLTVSLSVGTPPQNVSMVLDTGSELSWLLCSKPKFHPFASSSYSPILCSSPSCRSQARDLPIPPNCNSTSHLCQVSLSYADASSSSGTLATDRFRLGNSPSLPTIFGCISSSYSSSVEDSNTAGLLGMNRGALSFITQTSTRRFSYCISGRDSSGVLLLGDPSSTVPFSIPFNYTPLVEIALPLPYFDRVAYSVQLEGIRVGSVLLPIP >DRNTG_16704.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000838.1:19932:20506:1 gene:DRNTG_16704 transcript:DRNTG_16704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELSRIASHLLWLGPFMADIGAQTPFFYIFRERELLYDLFEASTGMRMMHNYFRIGGVAADLPHGWIDKCLDFCDYFLTRVAEYEKLITRNPIFLDRVEGVGIIGGEEAINWGLIRTHVTSFWNTMGSS >DRNTG_31614.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:718498:721587:1 gene:DRNTG_31614 transcript:DRNTG_31614.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACHRRRIPLHPPSPVASPVLRRPSSAQASDALPLPIRLTNHVFVVLFLASLVFLMRRWRSKILSGSPLHVVDLLELAAIISFVSSLTYLLNFFGIPFVQPFLSPSPDDDVSVSSPAQKIQPCSSPDETPAKKIPSFCSKIDSLCLESDEEIIQSVVSGATPSYILESKFGDCRRAAGVRREALKRITGRSVEGLPIDGFDYESILGQCCEMPIGFVTLPVGIAGPLLLDGMKYYVPMATTEGCLVASTNRGCKAISESGGAASVVLKDAMTRAPVVRFASATRAAELKFFLEAPENFETLSIVFNSSSRFARLQGIHCSLAGRNLYMRFSCSTGDAMGMNMVSKGVQNVLDYLQSDFPDMDIISISGNFCSDKKPAAVNWIEGRGKSVVCEAIIKEEIVHKVLKTTVADLVQLNIIKNLAGSAVAGALGGFNAHASNIVSAIFIATGQDPAQNVESSHCITMMEAVNDGKDLHISVTMPSIEVGTIGGGTQLASQSACLDLLGVKGANMESPGANARLLATIIAGAVLAGELSLMSALAAGQLVKSHMKYNRAQKGDANKASPLM >DRNTG_22944.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16945527:16949611:1 gene:DRNTG_22944 transcript:DRNTG_22944.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANLTLTGILEKMTGKDKDYRYMATSDLLGELSKDMFKVDADLESKLTNIILKQLEDAAGDVSGLAVKCLAPLIRKVSEDRVLEMTSKLCDKLLNGKDQHRDITSIALKTIVSEITATSLAQRVLVVLTPQLIKGITASGKNTEIKCECLDILCDVLSRFGNLMTKDHEELLGALLTQLGSNQASVRKKSISCIASLASSLSDDLLAKATVEVVQLLKNKKVKADMTRTNIQMIGALSRAVGYRFGPHLSETVPLLISYCKSASETDEELREHSLQALESFLLRCPRDIGTYCDDILSVTLEYLSYDPNFTDNMEEDTDNEGHEEEEDDESANEYTDDEDNSWKVRRAAAKCLAAIIVSRPEMLSEMYKEAWKPFNCF >DRNTG_22944.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16950294:16959909:1 gene:DRNTG_22944 transcript:DRNTG_22944.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSPTVFHPYIPELLSPVLSAVGERYYKVTAEALRVCGELVRVVRPSFQPFALDFKPYVHPIYNAILARLANQDQDQEVKEWAISCMGLVISTFGDNLQRELPFCLPILVDRMGNEITRLTAVKAFAVIANSPLRIDLSCVLEQVFSDLTAFLRKANRALRQTTLGTLNSLVVAYGDQIGSSAYEVIIVELSSLISDSDLHMTALALELCCTLMTDKKSNQNVGQTVSSKVLPQALILIRSPLLQGQALQALQRFFAALVHSANTSFDGLLDSLISSAKPSPQAGGLAKQALYSLAQCVAVLCLAAGDHKCASTVEMLKGTLTDDSSSNSWKQHLALLCLGEIGRRKDLSMHASIENIVIESFQSPLEEIKFAASYALGNIAVGNLSRYLPFILDQIDNQQKKQYLLLNSLKEVIARQSTDKAGQSELQDSNVDKILALLFNHCESEEEGVRNVVAECLGKIALIEPRKLIPALKVRTTSPTAFTRATVVIAVKYSMVERPEKIDEIIYPEISSFLMLIKDNDRHVRRAAVLALSTAAHNKPNLIKRLLPELLPLLYDQTVVKQELIRTVDLGPFKHIVDDGLELRKAAFECVDTLLESCLDQMNPSSFIVPYLISGLADHYDVKMPCHLILSKLADKCPAAVLAVLDSLVEPLEKTISHKPKLDAVKQEVDRNEDMIRSALRVIASLSRISGTDCNFRFKILMNNIMNNAPLAEKYNSVKSE >DRNTG_22944.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16945527:16959909:1 gene:DRNTG_22944 transcript:DRNTG_22944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLTLTGILEKMTGKDKDYRYMATSDLLGELSKDMFKVDADLESKLTNIILKQLEDAAGDVSGLAVKCLAPLIRKVSEDRVLEMTSKLCDKLLNGKDQHRDITSIALKTIVSEITATSLAQRVLVVLTPQLIKGITASGKNTEIKCECLDILCDVLSRFGNLMTKDHEELLGALLTQLGSNQASVRKKSISCIASLASSLSDDLLAKATVEVVQLLKNKKVKADMTRTNIQMIGALSRAVGYRFGPHLSETVPLLISYCKSASETDEELREHSLQALESFLLRCPRDIGTYCDDILSVTLEYLSYDPNFTDNMEEDTDNEGHEEEEDDESANEYTDDEDNSWKVRRAAAKCLAAIIVSRPEMLSEMYKEACSKLIDRFREREENVKMDVFSTFIELLHQTGNVTKDRIDINEASPRWLLLQEVPKIVKSLNRQLREKSVRTKAGAFSVLKELVVVLPDCLAEHFGSLVPGIEKALNDKSSTSNLKIEALVFTRLVMASHSPTVFHPYIPELLSPVLSAVGERYYKVTAEALRVCGELVRVVRPSFQPFALDFKPYVHPIYNAILARLANQDQDQEVKEWAISCMGLVISTFGDNLQRELPFCLPILVDRMGNEITRLTAVKAFAVIANSPLRIDLSCVLEQVFSDLTAFLRKANRALRQTTLGTLNSLVVAYGDQIGSSAYEVIIVELSSLISDSDLHMTALALELCCTLMTDKKSNQNVGQTVSSKVLPQALILIRSPLLQGQALQALQRFFAALVHSANTSFDGLLDSLISSAKPSPQAGGLAKQALYSLAQCVAVLCLAAGDHKCASTVEMLKGTLTDDSSSNSWKQHLALLCLGEIGRRKDLSMHASIENIVIESFQSPLEEIKFAASYALGNIAVGNLSRYLPFILDQIDNQQKKQYLLLNSLKEVIARQSTDKAGQSELQDSNVDKILALLFNHCESEEEGVRNVVAECLGKIALIEPRKLIPALKVRTTSPTAFTRATVVIAVKYSMVERPEKIDEIIYPEISSFLMLIKDNDRHVRRAAVLALSTAAHNKPNLIKRLLPELLPLLYDQTVVKQELIRTVDLGPFKHIVDDGLELRKAAFECVDTLLESCLDQMNPSSFIVPYLISGLADHYDVKMPCHLILSKLADKCPAAVLAVLDSLVEPLEKTISHKPKLDAVKQEVDRNEDMIRSALRVIASLSRISGTDCNFRFKILMNNIMNNAPLAEKYNSVKSE >DRNTG_07423.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7122453:7123897:1 gene:DRNTG_07423 transcript:DRNTG_07423.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYISLTDNINQQHEAGSSTVKSEQNRISTAGAGSSTAVGTVALLQKTCAHG >DRNTG_07423.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7122453:7124486:1 gene:DRNTG_07423 transcript:DRNTG_07423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYISLTDNINQQHEAGSSTVKSEQNRISTAGAGSSTAVGTVALLQKTCAHG >DRNTG_07423.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7122563:7123897:1 gene:DRNTG_07423 transcript:DRNTG_07423.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYISLTDNINQQHEAGSSTVKSEQNRISTAGAGSSTAVGTVALLQKTCAHG >DRNTG_07423.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7122563:7124486:1 gene:DRNTG_07423 transcript:DRNTG_07423.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYISLTDNINQQHEAGSSTVKSEQNRISTAGAGSSTAVGTVALLQKTCAHG >DRNTG_01133.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5254305:5262758:1 gene:DRNTG_01133 transcript:DRNTG_01133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFIQGNNLMRILNIAAFAVSGYASTEGRQCKPFNPLLGETYEGDYPDKCLHFFSEKVSHHPMVVACHCDGMGWKFWSDSNLRGNFWGRSIQLDPIGKNLLRSLWYHAHKRQDGVRCLLVEIQFLLMMFISGNSISFDAIELVLVHGFVQVNKTGNKVALLIGKWDEAMYYVLRDPSTKPKGYDPISEEVLLWERHKSVNHTRYNLRPFAISLNELAPSLLEMLPPIDSRLRPDQRHLENGEYELANAEKFRLENSQRQARRMQEKGWQPRWFKKDSEDDCFQYIGGYWEERERAKKMGWNSGYFQATQHPNQCRVKPLELCLHISYSSPYCIVLILIRELCCIPATCFASLFSTLVLGRDGCGSSRNPSRPGTGPDRLASDDLEDPQEKDPEDEDPEEEDLDSEIADSEELKSCSCTDEEESDPTMPKYVDFNEEADNKEP >DRNTG_23761.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21187908:21188246:1 gene:DRNTG_23761 transcript:DRNTG_23761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSNALAALFLIFNLMFFVSASANTPYGTCSYDSLKLKVCVDVLNGLAKVVLGQSQMQPCCDLLPGVLDANVAACLCTAIQSTLLGTIPVKLSLLVNQCGWSLPNNFNCA >DRNTG_06802.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3875159:3883845:1 gene:DRNTG_06802 transcript:DRNTG_06802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHCRKKLFLPILGPLTKVPSILCKDPVLKLALIRQFCLLSLLPPRWRVIQCILGLFVPVTCFNLVQYTVCCLFKELICSLRFTCIHSKVSSAFQSSCVNSLKAISFEIPALRSSQQTLVVTSIESNFLAQSQALVAYALALVAYALCEFPFRRRRSSLGLRVDSDQVKSETLHLVGLVPLDAADLSAGPAHLLSPLIVVVLLSFCSYGSTLIFILPRKEKKWIEMESKSSSMVKTQPKPPSDPFSSYTILFNDLSFDANKVLDLAARDQWRSLINNLSCFHSVPSLSTSTGSLHVLQSLVTSSIPGNFGMNLTLMYTPDILVTYCHLSSGTFMLTSLSALAIVRSPLIAFPLSSTLSINA >DRNTG_00546.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30222143:30230146:1 gene:DRNTG_00546 transcript:DRNTG_00546.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRRHGAVPQLDIEQILVEAQNRWLRPAEICEILRNYQKFRIAPEPPNKPRSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKAESVDVLHCYYAHGEENENFQRRSYWLLDEDLMHIVLVHYLEVKGNRSNFNRSRDAEEPVQSAHITTPTSSSSFTNQNPSPSRAMDAESPISAQTSEYEDAESDNCQASSRYHPFPALQQHDDGHVRDAHPLNPYTPVPSLGNHESFQGAAPESEFYSVAQEDITKVLDESGIGFTFTGPRTQFDLASWEDVFKHSTAGFENTFFQPMAGTEADIGGGVANLEASPLMELLMDDLTTSQVDGNNTQGKAIWQFPESGAGPSGTSRSDDLMNGLYREGNIDLPSLIKQRSLGLSNPEEGLKKYDSFSKWMSKELGEVVDAQLNSNSDVYWDSVESGGVLEDPSKQEQLDACLISPSLSQDQLFSIIDFSPNWSYGDKETEVLITGKFLRSRDEVENCKWSCMFGEVEVLASVISFDILRCHAPKHKSGRVPFYVTVSNRLACSEVREFEFRVNRDRAMGASDPYNSSVDGINLQRFERMLCLESVKPSELFDNSGGMPYSSSVIGWPPVEVSDDLNWANNKELYSESLRDVLIQEPLKEKLYAWLLCKVAEGGKGPCVLDKEGLGVLHLAAALGYDWAIEPITNAGVNINFRDVHGWTALHWAAFCGRERMVVSLVALGAAPGLLTDPTPEFPSGRTPADLASVNGHKGISGFLAEASLTSQLSTLTLKDSSGVNAGDVSDVKAVEDVGEKNALQISYEDMPDGLSMKDSLSAVRNAAQAAARIHQVYRVHSFQRKKLIECGDDKRGLSNERALSFISVKSVKTGQHDMPVHVAAIRIQNKFRGWKGRREFLITRQRIVKIQAHVRGHQVRKHYRRIVWSVGIVEKVILRWRRKGSGLRGFRPEGQIVGPSVQAQEANDDYDFRQDGRKQTEARLEKALARVRSMVQYPEARDQYRRLMTIVTELQDSKVMQDDVNESDATDGDFMTGLEELCDDDTLIPYA >DRNTG_00546.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30222143:30230146:1 gene:DRNTG_00546 transcript:DRNTG_00546.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRRHGAVPQLDIEQILVEAQNRWLRPAEICEILRNYQKFRIAPEPPNKPRSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKAESVDVLHCYYAHGEENENFQRRSYWLLDEDLMHIVLVHYLEVKGNRSNFNRSRDAEEPVQSAHITTPTSSSSFTNQNPSPSRAMDAESPISAQTSEYEDAESADNCQASSRYHPFPALQQHDDGHVRDAHPLNPYTPVPSLGNHESFQGAAPESEFYSVAQEDITKVLDESGIGFTFTGPRTQFDLASWEDVFKHSTAGFENTFFQPMAGTEADIGGGVANLEASPLMELLMDDLTTSQVDGNNTQGKAIWQFPESGAGPSGTSRSDDLMNGLYREGNIDLPSLIKQRSLGLSNPEEGLKKYDSFSKWMSKELGEVVDAQLNSNSDVYWDSVESGGVLEDPSKQEQLDACLISPSLSQDQLFSIIDFSPNWSYGDKETEVLITGKFLRSRDEVENCKWSCMFGEVEVLASVISFDILRCHAPKHKSGRVPFYVTVSNRLACSEVREFEFRVNRDRAMGASDPYNSSVDGINLQRFERMLCLESVKPSELFDNSGGMPYSSSVIGWPPVEVSDDLNWANNKELYSESLRDVLIQEPLKEKLYAWLLCKVAEGGKGPCVLDKEGLGVLHLAAALGYDWAIEPITNAGVNINFRDVHGWTALHWAAFCGRERMVVSLVALGAAPGLLTDPTPEFPSGRTPADLASVNGHKGISGFLAEASLTSQLSTLTLKDSSGVNAGDVSDVKAVEDVGEKNALQISYEDMPDGLSMKDSLSAVRNAAQAAARIHQVYRVHSFQRKKLIECGDDKRGLSNERALSFISVKSVKTGQHDMPVHVAAIRIQNKFRGWKGRREFLITRQRIVKIQAHVRGHQVRKHYRRIVWSVGIVEKVILRWRRKGSGLRGFRPEGQIVGPSVQAQEANDDYDFRQDGRKQTEARLEKALARVRSMVQYPEARDQYRRLMTIVTELQDSKVMQDDVNESDATDGDFMTGLEELCDDDTLIPYA >DRNTG_00546.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30222143:30230146:1 gene:DRNTG_00546 transcript:DRNTG_00546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTEADIGGGVANLEASPLMELLMDDLTTSQVDGNNTQGKAIWQFPESGAGPSGTSRSDDLMNGLYREGNIDLPSLIKQRSLGLSNPEEGLKKYDSFSKWMSKELGEVVDAQLNSNSDVYWDSVESGGVLEDPSKQEQLDACLISPSLSQDQLFSIIDFSPNWSYGDKETEVLITGKFLRSRDEVENCKWSCMFGEVEVLASVISFDILRCHAPKHKSGRVPFYVTVSNRLACSEVREFEFRVNRDRAMGASDPYNSSVDGINLQRFERMLCLESVKPSELFDNSGGMPYSSSVIGWPPVEVSDDLNWANNKELYSESLRDVLIQEPLKEKLYAWLLCKVAEGGKGPCVLDKEGLGVLHLAAALGYDWAIEPITNAGVNINFRDVHGWTALHWAAFCGRERMVVSLVALGAAPGLLTDPTPEFPSGRTPADLASVNGHKGISGFLAEASLTSQLSTLTLKDSSGVNAGDVSDVKAVEDVGEKNALQISYEDMPDGLSMKDSLSAVRNAAQAAARIHQVYRVHSFQRKKLIECGDDKRGLSNERALSFISVKSVKTGQHDMPVHVAAIRIQNKFRGWKGRREFLITRQRIVKIQAHVRGHQVRKHYRRIVWSVGIVEKVILRWRRKGSGLRGFRPEGQIVGPSVQAQEANDDYDFRQDGRKQTEARLEKALARVRSMVQYPEARDQYRRLMTIVTELQDSKVMQDDVNESDATDGDFMTGLEELCDDDTLIPYA >DRNTG_12650.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1256759:1259142:-1 gene:DRNTG_12650 transcript:DRNTG_12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLTDSFVMSMDEQSPRERSIELGTHIPINKSDYGMEDFFKKVNEVEKLMEKVSKQLQKLQDANEESKSVTKASAMKVIKQRMEKDVDEVGKIARNIKTKLEEIDRDNLANRQKTGCEKGTGVDRSRTAMTVSLKKKLKERVNDFQKLRETIQNEYREVVERRVYTVTGNQPTDEMIDDLIETGNSERILQKAIQEMGRGQVMDTLKEIQERHDTVKEIEKKLLELHQVFMDMAVLVEAQGEMLDNIETQVANAVNHVQSGNEALHTAKSLQKKSRKCMLIAAFILLIIAIIIALSIIKPWK >DRNTG_15430.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17935487:17939771:-1 gene:DRNTG_15430 transcript:DRNTG_15430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDTNSWVRRAKFSHTVCHRLDSSRLPVIPLDAEADCDPELKLNSPKAIPSKFPSMSSLERKESSKSKLQISSSLPSRFSFQIGDDHKLKPEESIPDSADFSFHPNQDFGSKISVEAEANHNSHKFSQFRNAERHAKLKKRSLSPLPTTILSDSFREGRADGKRFSTPPPSRKVSDKGIFGKLVSREAHDHRMFNPLSPPEASPLQHFSSMKVSDKSKGRKETTWVRYFDHGGGRVNAVDTTDEWMINLSKLYLGLRFASGAHSRLYHGIYEDQPVAVKIIRQPEDDESGEMAFRLEKQFTREVTLLSRLYHRNVIKLVGAWKNPPVLCIITEYLSGGSLRSFLHKLEHKSLPLPKLIAIALDIARGMEYIHSQGVIHRDLKPENILFDQEFCVKIADFGIACEVAYCDTLAEDPGTYRWMAPEMIKHKPYGHKVDVYSFGLVLWEMVTGTIPYEEMTPIQAAFAVVDKNLRPTIPTECPTALRVLIEQCWALNAEKRPEFWQIVKVFEQFESVLSQEGTLDRIPNMTSQEHKKRLLHWIQKLKHLHGDDDDDSLHLMPKLL >DRNTG_15430.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17935487:17939771:-1 gene:DRNTG_15430 transcript:DRNTG_15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDTNSWVRRAKFSHTVCHRLDSSRLPVIPLDAEADCDPELKLNSPKAIPSKFPSMSSLERKESSKSKLQISSSLPSRFSFQIGDDHKLKPEESIPDSADFSFHPNQDFGSKISVEAEANHNSHKFSQFRNAERHAKLKKRSLSPLPTTILSDSFREGRADGKRFSTPPPSRKVSDKGIFGKLVSREAHDHRMFNPLSPPEASPLQHFSSMKVSDKSKGRKETTWVRYFDHGGGRVNAVDTTDEWMINLSKLYLGLRFASGAHSRLYHGIYEDQPVAVKIIRQPEDDESGEMAFRLEKQFTREVTLLSRLYHRNVIKLVGAWKNPPVLCIITEYLSGGSLRSFLHKLEHKSLPLPKLIAIALDIARGMEYIHSQGVIHRDLKPENILFDQEFCVKIADFGIACEVAYCDTLAEDPGTYRWMAPEMIKHKPYGHKVDVYSFGLVLWEMVTGTIPYEEMTPIQAAFAVVDKNLRPTIPTECPTALRVLIEQCWALNAEKRPEFWQIVKVFEQFESVLSQEGTLDRIPNMTSQEHKKRLLHWIQKLKHLHGDDDDDSLHLMPKLL >DRNTG_15430.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17935487:17939771:-1 gene:DRNTG_15430 transcript:DRNTG_15430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDTNSWVRRAKFSHTVCHRLDSSRLPVIPLDAEADCDPELKLNSPKAIPSKFPSMSSLERKESSKSKLQISSSLPSRFSFQIGDDHKLKPEESIPDSADFSFHPNQDFGSKISVEAEANHNSHKFSQFRNAERHAKLKKRSLSPLPTTILSDSFREGRADGKRFSTPPPSRKVSDKGIFGKLVSREAHDHRMFNPLSPPEASPLQHFSSMKVSDKSKGRKETTWVRYFDHGGGRVNAVDTTDEWMINLSKLYLGLRFASGAHSRLYHGIYEDQPVAVKIIRQPEDDESGEMAFRLEKQFTREVTLLSRLYHRNVIKLVGAWKNPPVLCIITEYLSGGSLRSFLHKLEHKSLPLPKLIAIALDIARGMEYIHSQGVIHRDLKPENILFDQEFCVKIADFGIACEVAYCDTLAEDPGTYRWMAPEMIKHKPYGHKVDVYSFGLVLWEMVTGTIPYEEMTPIQAAFAVVDKNLRPTIPTECPTALRVLIEQCWALNAEKRPEFWQIVKVFEQFESVLSQEGTLDRIPNMTSQEHKKRLLHWIQKLKHLHGDDDDDSLHLMPKLL >DRNTG_18696.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14821123:14838002:1 gene:DRNTG_18696 transcript:DRNTG_18696.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLEEKVPSNPGIMKKVLQKMKQARRWHKKHPKAVAAVQEPNKACGISTRPWVYTVSSSREGARAWAYPWVLTHPCGRSCDKCTGVGIFHKPVWISAEELFSILRIHRENPQGHASAPVGRAHERGNSHKPVRSCPEDIERYPESAQGCAFALVSLSCGVTRTSSGIRASMGQWDYYLLTPTSLDSSLGWAFWKLSQLSPSGRSFLRPFTLLWPQRTQGMEVLWIGRVFFKGNAVSKAVKPLQSLTKASPNPSRQCLQRWESIAQALIREPRAGEMQRRNHSMPPSFQDYNHFERLEGVVGELQTEIAEVRTIQVMQYAGMMARLDTIL >DRNTG_00162.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30946090:30951441:-1 gene:DRNTG_00162 transcript:DRNTG_00162.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFLFYFLCVCVCLYLAQVHFAASREDILSHFSKCGVVLKVIILTDSMTAQPTGAAYVVFAGKESVDKAVLMTGTSFYSRILTVMPKAHMPSEFSSQTPASGPLGKPVQPGHLRTPRKFSFRRKYVTTHLQWIRGQPANENCLSPQLSG >DRNTG_00162.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30946090:30951441:-1 gene:DRNTG_00162 transcript:DRNTG_00162.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVILYLTSKSMSNGDIMNYRIRQCFLPADDSQFGPLSIKCTTGKRLASVALDAIPRQIRQPRGNVWDRLGKPCEGEGATLHRSLIGESAMLENSCAKRFTDNSNHHIGTFKGVDNPKLKSQFSEIVNMDNTYSCSGSKGDYLRSRKPLPKRQKSLSLSLSTNNSRLQSLNETIRKVPVTTANNLALGSSSAPSASTVNTDDGNAKNEFSDVKSRLRQIEMEMLELRSKKLCASNDKNLSTPSGVPNQSEEDIEARTVLVINVHFAASREDILSHFSKCGVVLKVIILTDSMTAQPTGAAYVVFAGKESVDKAVLMTGTSFYSRILTVMPKAHMPSEFSSQTPASGPLGKPVQPGHLRTPRKFSFRRKYVTTHLQWIRGQPANENCLSPQLSG >DRNTG_00162.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30946090:30951441:-1 gene:DRNTG_00162 transcript:DRNTG_00162.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNYEPSNLTPNFEHLDRAAVSVAFHHGHTCIGASSSERNDDNAADFIADEIPKYRSYVARCSPNIKSVADFEEPLGYESQYQKLGHRNHSESPCRSASSLEKGKVTRDDSQFGPLSIKCTTGKRLASVALDAIPRQIRQPRGNVWDRLGKPCEGEGATLHRSLIGESAMLENSCAKRFTDNSNHHIGTFKGVDNPKLKSQFSEIVNMDNTYSCSGSKGDYLRSRKPLPKRQKSLSLSLSTNNSRLQSLNETIRKVPVTTANNLALGSSSAPSASTVNTDDGNAKNEFSDVKSRLRQIEMEMLELRSKKLCASNDKNLSTPSGVPNQSEEDIEARTVLVINVHFAASREDILSHFSKCGVVLKVIILTDSMTAQPTGAAYVVFAGKESVDKAVLMTGTSFYSRILTVMPKAHMPSEFSSQTPASGPLGKPVQPGHLRTPRKFSFRRKYVTTHLQWIRGQPANENCLSPQLSG >DRNTG_00162.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30946090:30951441:-1 gene:DRNTG_00162 transcript:DRNTG_00162.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFFTLDFSISVSCDSTYLTSFASYVEQLGHRNHSESPCRSASSLEKGKVTRDDSQFGPLSIKCTTGKRLASVALDAIPRQIRQPRGNVWDRLGKPCEGEGATLHRSLIGESAMLENSCAKRFTDNSNHHIGTFKGVDNPKLKSQFSEIVNMDNTYSCSGSKGDYLRSRKPLPKRQKSLSLSLSTNNSRLQSLNETIRKVPVTTANNLALGSSSAPSASTVNTDDGNAKNEFSDVKSRLRQIEMEMLELRSKKLCASNDKNLSTPSGVPNQSEEDIEARTVLVINVHFAASREDILSHFSKCGVVLKVIILTDSMTAQPTGAAYVVFAGKESVDKAVLMTGTSFYSRILTVMPKAHMPSEFSSQTPASGPLGKPVQPGHLRTPRKFSFRRKYVTTHLQWIRGQPANENCLSPQLSG >DRNTG_00162.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30946090:30951441:-1 gene:DRNTG_00162 transcript:DRNTG_00162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEMDLFWSRPRSFKMDRRSPLAYSIKATISSKLRDFLANYEDDVLPEYIVVLVCNGKHQTQARDDLEAFLGDQSGDFVAWLWKHLSEEICVSMVSHGSLDHRADVNNSCHDVVNEEGRKNVITLPEVLTHHAKSNEMNYEPSNLTPNFEHLDRAAVSVAFHHGHTCIGASSSERNDDNAADFIADEIPKYRSYVARCSPNIKSVADFEEPLGYESQYQKLGHRNHSESPCRSASSLEKGKVTRDDSQFGPLSIKCTTGKRLASVALDAIPRQIRQPRGNVWDRLGKPCEGEGATLHRSLIGESAMLENSCAKRFTDNSNHHIGTFKGVDNPKLKSQFSEIVNMDNTYSCSGSKGDYLRSRKPLPKRQKSLSLSLSTNNSRLQSLNETIRKVPVTTANNLALGSSSAPSASTVNTDDGNAKNEFSDVKSRLRQIEMEMLELRSKKLCASNDKNLSTPSGVPNQSEEDIEARTVLVINVHFAASREDILSHFSKCGVVLKVIILTDSMTAQPTGAAYVVFAGKESVDKAVLMTGTSFYSRILTVMPKAHMPSEFSSQTPASGPLGKPVQPGHLRTPRKFSFRRKYVTTHLQWIRGQPANENCLSPQLSG >DRNTG_23879.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:55917:58176:-1 gene:DRNTG_23879 transcript:DRNTG_23879.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQMTTREQDIQMMLAADVHLGTKNCDFQMERYVFKRRSDGIFIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHPIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESSLGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIPQGHKWDVMVDLFFYRDPEEAKEQEEEAPVATDFGQAAGNIGGLPNDQWSADQWLGAEVGSSRPPVLPITGGTEWTAAPQVAGDGWDVAPAPPVDAAAVGITPAGWDTADAPTPAPTGWE >DRNTG_30994.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14590134:14604277:1 gene:DRNTG_30994 transcript:DRNTG_30994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGQKKGKGSQEQQAPVSETARIQLSKTLEDFRNSEAQAYTFEPGLSRFERAAIHVMCRKMGMVSKSSGYGDRRRLSVYKTKKKKGSNKEEESTVSLKFQGETSNVLHDLFTQYPPDDGELCEPPLENGTQKADQGQWKQDSCFCKPLMHKADIENKLELYASRINGSPHLKKVTQDRAKLPIASYKDVITSTLESHQVVLISGETGCGKTTQVPQYILDDMWGKGKACKIVCTQPRRISAISVAERISYERGESVGDTVGYKIRMESKGGKNSSIIFCTNGILLRVLVSRGADISNADATSKPLKDGLKEITHIVVDEIHERDRFSDFMLTILRDLLNFCPHLHLVLMSATIDAERFSQYFGGCPIIQVPGFTYPVTAYYLEDVLCILKSKSDNHLNTPFISNEAEDALLTEGYKAALDEAINLALSSDELDPLLELVSAEASPNIFNYQHASTGVTPLMVFAGKGKLGEVCRLLTYGADCSLRAKDGSTALDWAQRENHLQACEVIKKHIAKDIPKSVEEEELLNNYLTGINPEHIDISLIERLLRKITTDSSEGAILIFLPGWDDINQTRGRLFSSSYFRDSSKYVIHSLHSMVPSAEQKKVFKQPPAGVRKIILSTNIAETAVTIDDVVYVIDSGRMKEKSYDPYNNVSTLHSSWVSKASARQREGRAGRCQPGICYHLFSKARANSLLDYQVPEIKRMPIEELCLQVKLLDPNCNITDFLQKTLDPPILETIRNGIVVLQDIGALTHDEKLTELGEKMGFLPVHPSTSKMLLFAILMNCLDPALTLACASDFRDPFILPMAPDEKKKSALAKVELASLYGGYSDQLTIVAAFECWRQAKKRGEEKEYCSRYFVSPNIMKMLFLMRKQLQKELVKIGFIPSDVSCCSLNAKDPGILRAVLLAGAYPMVGRLLPRRKQNRRAVVETASGAKVSLHPHSSNFNLSFSKSVGSPLIIYDEITRGDSGMYIKNCSLVGPYPLLLLSMELVVAPPDDDDDDSDEDAEVSSGEEDDEGTDIPSSGHRSKQIMSSPENVVTVIVDRWLKFDSTALDVAQIYCLRERLSAAILFKVKYPREVLPPALGASIFAIACILSYDGLSCIPPLPDDPPPTIDVDSKGWSIPDNRLKGQSSSTRSIEYLQSLMYDQARIIHPHSRMLRAVTFSNGGPLTTPPNNQAELPYLNPQSQAPPASSNPAPAKKSKKRRRGSGTWKRKSKSIEKPTDIITETKA >DRNTG_22601.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001245.1:15393:16662:-1 gene:DRNTG_22601 transcript:DRNTG_22601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLTTPTSIVTRTAAWAVATPKAAPVLGLGQMKMRGGRVRCSSETKTTGPTMGMGAALLAAAGVMSVSNPVLALVDERLSTEGTGLSLGLSNNFLGWILLGVFGLIWSLYFLYTSTLEEDEESGLSL >DRNTG_03105.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15685332:15693805:1 gene:DRNTG_03105 transcript:DRNTG_03105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGKLAWMPWVHVTDSPTQPFNQYDNAIGSYATSLYSDFGEKYGNEDNENENNESPNEQPNSEGDEDGNFAHPVASSPKMMEVEGFSEHILEEMFNYLAESQFANPVLVSQSRECSLFGFLIGNEHGGAVELLVDKAAFLIRSSSTWIRSATAIAPPPGVSDVPQSSPLLPFRGFQV >DRNTG_25143.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1810343:1812967:1 gene:DRNTG_25143 transcript:DRNTG_25143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKRYEEYMQGTDEDLPGVGLKKLKKMLKRCRTDFRAHEEHQGDDGVRDETRDLIPIARCQGHCQVCDGTFFPSLLKEMSVVVGCFNKRAQKLVELHLASGFQKYFKWFGNKSRRDHGMLMQQGKDLVTYAIINAIAMRKILKKYDKIHYSKQGQEFRSKAQSMHIEILQSPWLCELMAFYINLRASKKINNVALPGLFGDCSLKFNDEKPILSCGLFDSVKLDTDLTCAICLDTVFDPVSLTCGHIFCYMCCCSAASVTIIDGLKAANPKAKCPLCREAGVYEGSVHLDELNILLSRSCPEYWEERIQTERVERVLQAKKHWESQCRSFMGI >DRNTG_24581.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:8227:9373:1 gene:DRNTG_24581 transcript:DRNTG_24581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDVEEREPSVAMILANFTNDFDPYLATSTPLQFYFSFLWNYIWFVCQLSATEFGSYDYTKSGSPTRDVLQSLIAKLEKADSAFCFTSGMAALDIVTDILKSGVT >DRNTG_13034.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:700882:701378:1 gene:DRNTG_13034 transcript:DRNTG_13034.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSINYKPRSGPSQSSGQLYDQIKELQAELAKTQAKHNAMLAEHDTMRAEHDAMRIEWARRESFEMSLLAALRLKGIDLSDMHVATLTRSIPRAPTVEAQSHVDEHSPTRKRPRTTLVADNTLDG >DRNTG_13034.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:700882:703905:1 gene:DRNTG_13034 transcript:DRNTG_13034.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSINYKPRSGPSQSSGQLYDQIKELQAELAKTQAKHNAMLAEHDTMRAEHDAMRIEWARRESFEMSLLAALRLKGIDLSDMHVATLTRSIPRAPTVEAQSHVDEHSPTRKRPRTTLVADNTLDGPNDEEDIC >DRNTG_13034.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:700882:703905:1 gene:DRNTG_13034 transcript:DRNTG_13034.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSINYKPRSGPSQSSGQLYDQIKELQAELAKTQAKHNAMLAEHDTMRAEHDAMRIEWARRESFEMSLLAALRLKGIDLSDMHVATLTRSIPRAPTVEAQSHVDEHSPTRKRPRTTLVADNTLDGPNDEEDIC >DRNTG_13034.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:700675:701378:1 gene:DRNTG_13034 transcript:DRNTG_13034.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLADKRDQGEDENSINQNELWDRIAIGSRNRVLGKGNISRQMSSINYKPRSGPSQSSGQLYDQIKELQAELAKTQAKHNAMLAEHDTMRAEHDAMRIEWARRESFEMSLLAALRLKGIDLSDMHVATLTRSIPRAPTVEAQSHVDEHSPTRKRPRTTLVADNTLDG >DRNTG_04178.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:543119:545315:1 gene:DRNTG_04178 transcript:DRNTG_04178.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSIGNGLLQQAICQPSVVDASIRTHPGPSSSLVPGVSSGSSRVNHAANRTRNPLLRTKFVDIPLTAAKTSSWSSSLNRAFHKAAFMVPRAVIAADPPSELGGKFVLEENTELQVAVTTPSSGSLLQVDLQVTNSSASLILHWGVLRRRTKDWKLPSRHPNGTTVYKKKALRTPFQKSGPNSSLRIEIDDPEAQAIEFLIFDEAQNKWFKNNGQNFQIQLSGKAPRISSRAVTEEPNVSVPEDLVQLHAYLRWEKKGRQMYTPEQEKASSFMFM >DRNTG_04178.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:543119:545315:1 gene:DRNTG_04178 transcript:DRNTG_04178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSIGNGLLQQAICQPSVVDASIRTHPGPSSSLVPGVSSGSSRVNHAANRTRNPLLRTKFVDIPLTAAKTSSWSSSLNRAFHKAAFMVPRAVIAADPPSELGGKFVLEENTELQVAVTTPSSGSLLQVDLQVTNSSASLILHWGVLRRRTKDWKLPSRHPNGTTVYKKKALRTPFQKSGPNSSLRIEIDDPEAQAIEFLIFDEAQNKWFKNNGQNFQIQLSGKAPRISSRAVTEEPNVSVPEDLVQLHAYLRWEKKGRQMYTPEQEKASSFMFM >DRNTG_07589.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14920102:14925643:1 gene:DRNTG_07589 transcript:DRNTG_07589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVAKEFNISETAFLTRAVSDDSPRFNLRWFTPVDEVNLCGHATLAAAHFLLSYGLVKCDAIEFATKSGILTATKVSGMKQLILSNVKDEHFIKCNEEKENFSIELNFPVCKVVECDSGDIPSIPETLNGASVINVQKHGPSDDLIVEVTSGLDVVNLKPKFDEIRNCAGRGVIVTGPAPPGSGYDIFSRFFCPKLGINEDPVCGSAHCALAPYWSKKLGKNNLIAYMVDFVSTL >DRNTG_07589.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14920102:14925643:1 gene:DRNTG_07589 transcript:DRNTG_07589.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVAKEFNISETAFLTRAVSDDSPRFNLRWFTPVDEVNLCGHATLAAAHFLLSYGLVKCDAIEFATKSGILTATKVSGMKQLILSNVKDEHFIKCNEEKENFSIELNFPVCKVVECDSGDIPSIPETLNGASVINVQKHGPSDDLIVLHYPSLSLIMIRTISANS >DRNTG_07589.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14920102:14925643:1 gene:DRNTG_07589 transcript:DRNTG_07589.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVAKEFNISETAFLTRAVSDDSPRFNLRWFTPVDEVEVTSGLDVVNLKPKFDEIRNCAGRGVIVTGPAPPGSGYDIFSRFFCPKLGINEDPVCGSAHCALAPYWSKKLGKNNLIAYMASPRGGRLDLTLDENAKRVYIRGKAVVVMEGSLLA >DRNTG_07589.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14920102:14925643:1 gene:DRNTG_07589 transcript:DRNTG_07589.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVAKEFNISETAFLTRAVSDDSPRFNLRWFTPVDEVNLCGHATLAAAHFLLSYGLVKCDAIEFATKSGILTATKVSGMKQLILSNVKDEHFIKCNEEKENFSIELNFPVCKVVECDSGDIPSIPETLNGASVINVQKHGPSDDLIVEVTSGLDVVNLKPKFDEIRNCAGRGVIVTGPAPPGSGYDIFSRFFCPKLGINEDPVCGSAHCALAPYWSKKLGKNNLIAYMASPRGGRLDLTLDENAKRVYIRGKAVVVMEGSLLA >DRNTG_26787.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001366.1:18898:26885:-1 gene:DRNTG_26787 transcript:DRNTG_26787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRSRHNTIVVFDGQRPCECRSVTAVSLENLAESDLRPLCPRDHDSRSVLNSHLLQQSILIL >DRNTG_01845.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7634278:7643174:1 gene:DRNTG_01845 transcript:DRNTG_01845.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVVERERSCLGRSSPYTADMPARQMMMEPSGGERRVVVVPKKPLQSSVNQQKLECQEPPLQEPKKGAGRRRVRGKGKGGSSAQTMAQSHVEHIGLDVVAPPVSTKGIGFQRRPGFGVVGTKCIVKANHFLAELPDKDLNHYDVTITPEVASRTMNRAIMAELVRLYRETELGMRLPAYDGRKSLYTAGVLPFTSKEFVVKITEEDDGLGVNREKEYRVAIKFVARADLHHLRQFIAGKQADAPQETLQVLDIVLRELSSKRYISIGRSFYSADIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVAQILGKDVLSRPLSDANRIKIKKALRGVKVEVTHRGSVRRKYRISGLTSQPTRELIFPVDDQMNMKSVVEYFKEMYGFTIQHSHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCQRPRDQEMDILQTVQQNGYDQDPYAKEFGINITDKLTSVEARVLPPPWLKYHDTGREKECLPQVGQWNMMNKKVINGCKVNNWACINFSRSVQETTARGFCQELAQMCQISGMEFNHEPVIPIYATRPEQVEKALKHVYNAAMHKLRGKELELLLAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNAVLLDAISRRIPLVSDKPTIIFGADVTHPEKGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLFKTWHDPQRGVVSGGMIRELLLSFQKATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHKDRSSTDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQASSNYPFCWF >DRNTG_01845.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7633899:7643174:1 gene:DRNTG_01845 transcript:DRNTG_01845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVVERERSCLGRSSPYTADMPARQMMMEPSGGERRVVVVPKKPLQSSVNQQKLECQEPPLQEPKKGAGRRRVRGKGKGGSSAQTMAQSHVEHIGLDVVAPPVSTKGIGFQRRPGFGVVGTKCIVKANHFLAELPDKDLNHYDVTITPEVASRTMNRAIMAELVRLYRETELGMRLPAYDGRKSLYTAGVLPFTSKEFVVKITEEDDGLGVNREKEYRVAIKFVARADLHHLRQFIAGKQADAPQETLQVLDIVLRELSSKRYISIGRSFYSADIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVAQILGKDVLSRPLSDANRIKIKKALRGVKVEVTHRGSVRRKYRISGLTSQPTRELIFPVDDQMNMKSVVEYFKEMYGFTIQHSHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCQRPRDQEMDILQTVQQNGYDQDPYAKEFGINITDKLTSVEARVLPPPWLKYHDTGREKECLPQVGQWNMMNKKVINGCKVNNWACINFSRSVQETTARGFCQELAQMCQISGMEFNHEPVIPIYATRPEQVEKALKHVYNAAMHKLRGKELELLLAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNAVLLDAISRRIPLVSDKPTIIFGADVTHPEKGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLFKTWHDPQRGVVSGGMIRELLLSFQKATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHKDRSSTDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEMQTLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMQPEIAETPTSKTTRTINGCSVKPLPALKEKVKKVMFYC >DRNTG_01845.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7635732:7643174:1 gene:DRNTG_01845 transcript:DRNTG_01845.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVVERERSCLGRSSPYTADMPARQMMMEPSGGERRVVVVPKKPLQSSVNQQKLECQEPPLQEPKKGAGRRRVRGKGKGGSSAQTMAQSHVEHIGLDVVAPPVSTKGIGFQRRPGFGVVGTKCIVKANHFLAELPDKDLNHYDVTITPEVASRTMNRAIMAELVRLYRETELGMRLPAYDGRKSLYTAGVLPFTSKEFVVKITEEDDGLGVNREKEYRVAIKFVARADLHHLRQFIAGKQADAPQETLQVLDIVLRELSSKRYISIGRSFYSADIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVAQILGKDVLSRPLSDANRIKIKKALRGVKVEVTHRGSVRRKYRISGLTSQPTRELIFPVDDQMNMKSVVEYFKEMYGFTIQHSHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCQRPRDQEMDILQTVQQNGYDQDPYAKEFGINITDKLTSVEARVLPPPWLKYHDTGREKECLPQVGQWNMMNKKVINGCKVNNWACINFSRSVQETTARGFCQELAQMCQISGMEFNHEPVIPIYATRPEQVEKALKHVYNAAMHKLRGKELELLLAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNAVLLDAISRRIPLVSDKPTIIFGADVTHPEKGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLFKTWHDPQRGVVSGGMIRELLLSFQKATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHKDRSSTDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEMQTLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMQPEIAETPTSKTTRTINGCSVKPLPALKEKVKKVMFYC >DRNTG_25686.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:950118:951754:1 gene:DRNTG_25686 transcript:DRNTG_25686.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYLIVIFFRCVVVLFVSLVCELDFEYVLNIDIHLLIQDMRESDAIPDVALEVNVLPFVPSIRSGSYTDIGPRRFMEDEHICIDDLSAHMGSLFKCPAPSAFYGVFDGHGGPDAAAFIRRHAIRFFFEDTGFPQSSQVDDVFLEGVENSVRKAYLVADLALADECTVSKSSGTTVLTALVLGRLLLVANAGDCRAVLCRKGEAVDMSQDHRPIHAAEHQRVLESGGYIDDGYLNGVLSVSRALGDWDLKLPPGTPSPLIAEPEFRQQLLTEDDEFLIIGCDGIWDVMSSQHAVSIVRKGLRRHDNPEQCARELVMEALRLNTFDNLTVIIICFTSEQQDSSPSHNRREAPVLRCCSLSTEALCNLRSWLDIDRGD >DRNTG_25686.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:949441:951754:1 gene:DRNTG_25686 transcript:DRNTG_25686.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGPNLVHQSMAVLDVQYRCVAKGAEEMVDISTAATATATATATAATVVVTPPGSPVFRPASELDMRESDAIPDVALEVNVLPFVPSIRSGSYTDIGPRRFMEDEHICIDDLSAHMGSLFKCPAPSAFYGVFDGHGGPDAAAFIRRHAIRFFFEDTGFPQSSQVDDVFLEGVENSVRKAYLVADLALADECTVSKSSGTTVLTALVLGRLLLVANAGDCRAVLCRKGEAVDMSQDHRPIHAAEHQRVLESGGYIDDGYLNGVLSVSRALGDWDLKLPPGTPSPLIAEPEFRQQLLTEDDEFLIIGCDGIWDVMSSQHAVSIVRKGLRRHDNPEQCARELVMEALRLNTFDNLTVIIICFTSEQQDSSPSHNRREAPVLRCCSLSTEALCNLRSWLDIDRGD >DRNTG_25686.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:949441:949682:1 gene:DRNTG_25686 transcript:DRNTG_25686.3 gene_biotype:protein_coding transcript_biotype:protein_coding RLPTTSRKTKALRRETLEKARREGNPSFGIEFGVKKRIVVEILRIEIEIKMVAGPNLVHQSMAVLDVQYRCVAKGAEEMV >DRNTG_20625.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20535487:20585485:1 gene:DRNTG_20625 transcript:DRNTG_20625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLARNPIHRSLSSFRSRAFKCSMAASSIRVGAVQMTSTNDIEANFNTCSRLVKEASIAGVKLLCFPEAFSFVSSRDGESLEIAEPIDGPIMQRYCSLARESNIWLSLGGFQEKGPDDNHLHNTHVLVDDTGSIKSLYRKIHLFDVDVPGNMVYKESNFTTPGDDIVVEDSPVGRLGLTVCYDIRFPELYQLLRFQHNAQVLLVPAAFTRVTGEAHWEILLRARAIETQCYVVAAAQAGKHNEKRESYGDSLIIDPWGRIIARLPGKSLHLFLLMVYCAYVFTMLI >DRNTG_20625.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20535487:20538881:1 gene:DRNTG_20625 transcript:DRNTG_20625.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLARNPIHRSLSSFRSRAFKCSMAASSIRVGAVQMTSTNDIEANFNTCSRLVKEASIAGVKLLCFPEAFSFVSSRDGESLEIAEPIDGPIMQRYCSLARESNIWLSLGGFQEKGPDDNHLHNTHVLVDDTGSIKSLYRKIHLFDVDVPGNMVYKESNFTTPGDDIVVEDSPVGRLGLTVCYDIRFPELYQLLRFQHNAQVLLVPAAFTRVTGEAHWEILLRARAIETQCYVVAAAQAGKHNEKRESYGDSLIIDPWGRIIARLPDRLSTGIAIADIDFSNIDSVRTRMPIANHQKLGTWRKAPCL >DRNTG_20625.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20535487:20538881:1 gene:DRNTG_20625 transcript:DRNTG_20625.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLARNPIHRSLSSFRSRAFKCSMAASSIRVGAVQMTSTNDIEANFNTCSRLVKEASIAGVKLLCFPEAFSFVSSRDGESLEIAEPIDGPIMQRYCSLARESNIWLSLGGFQEKGPDDNHLHNTHVLVDDTGSIKSLYRKIHLFDVDVPGNMVYKESNFTTPGDDIVVEDSPVGRLGLTVCYDIRFPELYQLLRFQHNAQVLLVPAAFTRVTGEAHWEILLRARAIETQCYVFSSTNLLLLFILQSVVMSMQMIKISKDL >DRNTG_27520.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21120861:21124808:1 gene:DRNTG_27520 transcript:DRNTG_27520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLRHPNILLFMGAVTSPQRLCIVTEFLPRGSLFRLLQRNTNKLDSRRRIHMALDIARGMNYLHHRNPPIIHRDLKSSNLLVDKNWTVKVGDFGLSRLKDEAYFSTKTGKGTPQWMAPEVLRNEFSDEKSDVYSYGVILWELVTEKIPWDNLNSMQVIGAVGFMNQRLELPNDLDPQWVSIIESCWHSEPSCRPTFQELLEKFKDFAETNAANFSWRYLSNFYKANPLGLTLSSIFFLSVSCFLCQNNSRKR >DRNTG_27520.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21119348:21124808:1 gene:DRNTG_27520 transcript:DRNTG_27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPAEELLKKIQELEVGQAKLKQDVLRLFPGADERRADRPRSHSVSPQRTPPRKSGNVFDGNGPMGWRRGSASFSSRLQRESKEPSSRDGDAPPGAGFSDRQYLNILQSLGQSVYIFDLDGRIIYWNRTAECLYGYSASEALGQDAIELLVDSRDSSIVNTIIQHITMGGNWTGKFLVKSKFGEQFQALATNTPFYDDDGTLVGVICVSSDLRSFQDETSPSCSDPHVSGFSSPGWPRAGIVGADPQQPLQAAIASKITNLANKVTNKVLSRIKTSENSTEREIMTCDSQCSDNACFDTDHKEDATSSGASTPRGDLHPSPIGNSDEKSPGKVSRVSGDEAYGKAGIHKLIGLKAESWIAKKAVSWPWKGNEPEGPDARNRFGWPWSHSDQESELSHRKPSEYSVKQGNRVNKSGQTGSKEAPGSGSSPNINSISSFSSSGSTSSSAFQKSGFRCRLLRL >DRNTG_15047.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5663247:5665171:-1 gene:DRNTG_15047 transcript:DRNTG_15047.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITTASLLPSPSLSSKPKPRLLSSLAASSLAASSSNSSPTLNLSRIAAGAGSQTLLKKHGGLFVVRAARGKFERKKPHVNIGTIGHVDHGKTTLTAALTMALSSMGNSAPKRYDEIDAAPEERARGITINTATVEYETESRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPNMVVFLNKQDQVDDEELLQLVDLEVRELLSSYEFPGDDIPIISGSALLALEALMANPSIKRGEDPWVDKIYELMDAVDSYIPIPQRQTDLPFLLAVEDVFSITGRGTVATGRVERGTVKVGETLEIVGLRETRSTIVTGVEMFQKILDEAIAGDNVGLLLRGMQKADIQRGMVLAKPGTITPHTKFTAVVYVLKKEEGGRHSPFFAGYRPQFYMRTTDVTGKVTSIMNDKDEESKMVMPGDRVKMVVELIVPIACEQGMRFAIREGGKTVGAGVIQSIIE >DRNTG_09504.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21674281:21677044:1 gene:DRNTG_09504 transcript:DRNTG_09504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIDTFLFTSESVNEGHPDKLCDQISDAVLDACLAQDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRGIGFISDDVGLDADHCKVLVNIEQQSPDIAQGVHGHFTKRPEDIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCSWLRPDGKTQVTVEYRKENGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILKIVKENFDFRPGMITINLDLKRGGNGRFLKTAAYGHFGRDDLDFTWEVVKPLKWEKPAA >DRNTG_01641.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:16788003:16788613:1 gene:DRNTG_01641 transcript:DRNTG_01641.1 gene_biotype:protein_coding transcript_biotype:protein_coding FIKLIKQYNMYAILRIGPFIQAEWNFVYPNEVT >DRNTG_25155.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23788383:23789667:-1 gene:DRNTG_25155 transcript:DRNTG_25155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKMGTCSVMVASTPSSARAFLTAFDAQYANRPNNVISGKDITYNGNNMVFANYTPRYKLLRKLSTLHMLSNKALTDWAPVRCSEVSHMVRTMLESSSRNELVVVPETLICATANIIGQVMLSRRVFDLSGSDLSEFKVALKDLMTGGGLFNVGDFVPSIAWMDLQGIQAKMKRVHERLDEMIKRLLDEHKATAAERQCSPDFIDLVMASKLRDDDGEKLSDVNIRALISDMFTAGSDTSSVIVEWAISEMLKNPIILKRLQSELDTIIGRERMLEESDILNLPYLQAVCKEALRLH >DRNTG_25155.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23788921:23789667:-1 gene:DRNTG_25155 transcript:DRNTG_25155.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKMGTCSVMVASTPSSARAFLTAFDAQYANRPNNVISGKDITYNGNNMVFANYTPRYKLLRKLSTLHMLSNKALTDWAPVRCSEVSHMVRTMLESSSRNELVVVPETLICATANIIGQVMLSRRVFDLSGSDLSEFKVALKDLMTGGGLFNVGDFVPSIAWMDLQGIQAKMKRVHERLDEMIKRLLDEHKATAAERQCSPDFIDLVMASKLRDDDGEKLSDVNIRALIS >DRNTG_25232.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6882142:6883846:-1 gene:DRNTG_25232 transcript:DRNTG_25232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEQVCNLFNLQTLNLNLYLSLCHRPKFPRGMSKLINLVHLQTLECKIRKIGSLTYPQGSTGVHSLQEKKATR >DRNTG_10596.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7404316:7407922:-1 gene:DRNTG_10596 transcript:DRNTG_10596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSSTSLPHPLLRPSLALHTKSRLSRPKILPSPVRAITIGVSDLMEVTHNKVLVAAAVSAAIGQVAKPIVKAVNGDGLDLWAAVRSGGMPSTHSSSVVAAATSLGLERGFSDSIFGMSVVFAALVMYDAQGVRREVGKHAMTLNSLLKIQEKLALYQEKEDLGDSKPGMATINLKTLAPLLSLSEKSTSYTSSDSKSYTANQQGSSSSKLDPIRNLTVDGEEPSEKNHIEDRSLSESVGHTEIQVIVGALLGFLVSLATEFIL >DRNTG_10596.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7404316:7404834:-1 gene:DRNTG_10596 transcript:DRNTG_10596.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNSLLKIQEKLALYQEKEDLGDSKPGMATINLKTLAPLLSLSEKSTSYTSSDSKSYTANQQGSSSSKLDPIRNLTVDGEEPSEKNHIEDRSLSESVGHTEIQVIVGALLGFLVSLATEFIL >DRNTG_16731.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000845.1:22511:23097:-1 gene:DRNTG_16731 transcript:DRNTG_16731.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDVIRGTEKTSIPTPLSLETLRLMGLVRRVRIGVYALVLLAPEIAEGESDHAMASQPAPQPESAPMETEAPSAAEDAPPVQIAEIRATQATQYTEFMARFDVLQQILERDVASSFVLRPRTPQPPSASPAPPSPIPAPVDPPYVSPPPAVAEEQTERDTDI >DRNTG_12545.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21088597:21090215:-1 gene:DRNTG_12545 transcript:DRNTG_12545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKEEELKLKEHKDDSPLKLGPAEKFLKAVIDIPFAFKRVDAMLYITNFDSEVNFLRNSFETIEAACEELRSSRLFFKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGTDGKTTLLHFVVQEIIRAEGSRLSSGSSTQKTQANTLRDDLEHKKVGLQVVSLLGGELSNVKKAAAMDSDVLSSYVSKLAGGIGKISEVLRLNESLDLIDKGKQFNEAMSEFLKKAEDDIIKIQAQESVALSLVKEITEYFHGNSAKEEAHPFRIFTVVRDFLTILDQVCKEVGKINEQHFVSSVRQFPVPVNPTLPPLFPRLHALRPESSDDESSSSSS >DRNTG_20252.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14679513:14682687:-1 gene:DRNTG_20252 transcript:DRNTG_20252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFNHVCLLTFLVIVLCSSFAKGAKTLGVSYDGRSLIINGRRELIFSGSIHYPRSTPDVWPELIARAKRGGLNTIDTYVFWNVHEPAQGKYNFEGRYDLVKFLKLIQRHKMFVILRLGPFIQAEWNYGGFPYWLREINNITFRSDNPPFKNQMKKFTNHIVEMMKDEKLFAPQGGPIILSQIENEYSMVQAAFKESGTKYIEWAAKMAIGLKTGVPWIMCKQTNAPQEVISACNGRNCGDTFKGPGSPKKPTLWTENWTAQYRVFGDPPSQRSAEDLAYSVARFFSKSGGTLINYYMYHGGTNLGRGSADFVTTRYYDEAPLDEYGLQKEPKWGHLKDLHHALALSRKALLWGVPSKQKLGQDVEAWVYELPKHNVCAAFLTNNHSRFDKSINFRGVDYFLPHRSISILPDCKTVVFNTQKVNAQHNARTYHPSNEANKDNSWKMYHERIPSFRRTSLKSMTPLELMDLTKDTTDYAWYITKFHLEEEDLPIRRDIKPVIQISNLGHAMHVFVNGKYIGMGHGNKVEKAFVFQRPVKLRQGANHIAILGMIVGLPDNGPYLERRMLGLHVVTIQGLNTGTLDLTANTWAHKVIIK >DRNTG_21025.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:140509:144975:-1 gene:DRNTG_21025 transcript:DRNTG_21025.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-7B [Source:Projected from Arabidopsis thaliana (AT3G43210) UniProtKB/Swiss-Prot;Acc:Q8LNZ2] MSSRSHQILRLTIESSARRYSDKDSFSTLSASVNFIDLAGSERASQALSEGIRLKEGSHINRSLLTLGTVIRKLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTISPARSHFEQSRNTLLFAKCAREVVTSAHVNAVMSDKAMVKHLQKKLATLETELRYTSSTSGTFHSVALRERDARIRKMEKEMKELIQQRDLAQSRLEDLLLVVGDEQASREWDELSQLSASYVSNAYDDVLSASDTTFDPLKEEYYTSPRNLSIGPMSNSVLVTRQWTEEAEQDSYSDFEDLCKEVQCIEVVEQKTSANKESNPLLSVNHQKHASQGASFNTDNNLVKLCPNEVSALSLVKEEEIQNVRTNGTDTIRVYSDESYRSLALRKSRSCRATMMMPSQCVKDFHTRPQGIYQKHIALGSNPEQKASNDVFKKPNKKCVSEDNITSICEFVTELKEIAQVQYQKTTTDSQELRQSEDFGMTSSVKDIGLDPMTNWSMEFEKRRKDIIELWHACNVSLIHRTYFFLLFKGDPADSVYMEVERRRLLFLKDTFFSSMRNLRREREMLCRQMWRRLSAIERERLYSKWGIELCSKKRRMQLANLLWSETENTEHVSESASLVAKLTGFLKPEHALKEMFGLSFTPQMMYKRSCSCRNGLYSNSKSIQFF >DRNTG_21025.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:142658:144067:-1 gene:DRNTG_21025 transcript:DRNTG_21025.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-7B [Source:Projected from Arabidopsis thaliana (AT3G43210) UniProtKB/Swiss-Prot;Acc:Q8LNZ2] MSSRSHQILRLTIESSARRYSDKDSFSTLSASVNFIDLAGSERASQALSEGIRLKEGSHINRSLLTLGTVIRKLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTISPARSHFEQSRNTLLFAKCAREVVTSAHVNAVMSDKAMVKHLQKKLATLETELRYTSSTSGTFHSVALRERDARIRKMEKEMKELIQQRDLAQSRLEDLLLVVGDEQASREWVLVFLKIPTINS >DRNTG_21025.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:140509:142600:-1 gene:DRNTG_21025 transcript:DRNTG_21025.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-7B [Source:Projected from Arabidopsis thaliana (AT3G43210) UniProtKB/Swiss-Prot;Acc:Q8LNZ2] MSNSVLVTRQWTEEAEQDSYSDFEDLCKEVQCIEVVEQKTSANKESNPLLSVNHQKHASQGASFNTDNNLVKLCPNEVSALSLVKEEEIQNVRTNGTDTIRVYSDESYRSLALRKSRSCRATMMMPSQCVKDFHTRPQGIYQKHIALGSNPEQKASNDVFKKPNKKCVSEDNITSICEFVTELKEIAQVQYQKTTTDSQELRQSEDFGMTSSVKDIGLDPMTNWSMEFEKRRKDIIELWHACNVSLIHRTYFFLLFKGDPADSVYMEVERRRLLFLKDTFFSSMRNLRREREMLCRQMWRRLSAIERERLYSKWGIELCSKKRRMQLANLLWSETENTEHVSESASLVAKLTGFLKPEHALKEMFGLSFTPQMMYKRSCSCRNGLYSNSKSIQFF >DRNTG_06245.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000285.1:24609:26767:-1 gene:DRNTG_06245 transcript:DRNTG_06245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELEKHLTRFIQSANTRLRVCKHVLKLSAEPTAFSKASSENAQAKKQADKRPRESSPKPEGMSFVIPEQQVRYECLSRLRFGQTRFLETSILRDLQHRDEFADEVEDLVSAESLQGAIGSGSVRVGVSKATCISRSAYRYLHAVMSRSVNGRGDSTGVLSRQELLYLYSMTGSDLLGPYITRLVLGMGLLDMIRGAEKTSIPTQLSLETLILMGMVHRVRTGVYASVLPAPEIAEGEGDHVEASQTAPQ >DRNTG_32673.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1170103:1170772:1 gene:DRNTG_32673 transcript:DRNTG_32673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCHQKQGMRHLNTGFILVSPLFCQYSSFFFSCIAVVVLVFIVLAIAFISLEFL >DRNTG_01231.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000074.1:19122:22530:-1 gene:DRNTG_01231 transcript:DRNTG_01231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSFLRKKVGRGASIEAYPIPDEGILGRRVEDFPQDHQHNYRGGFFMDSLTNPCTCWIYARGPCRVVRPSMKERSQCGLQCTDFGGNLAKNKSFTNVPIIEDASDPRCERVPVCVTPMKVWIREAIQANTVAEHCSNTAESTVAALFTADRENRSSEDPHGPPTSSFLRKKVGRGASVEAYPIPH >DRNTG_01965.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7990140:7996414:1 gene:DRNTG_01965 transcript:DRNTG_01965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASHSITDRYDGRRGLEERDREWRSEDRRHRREKHRGEEGEASLRRHEKDLKDDDRHQRDGDSGEEGEASPIRREKNHRWDGGPEEEGEASPPRRDDGGRRRRERGTGEEGREKELEDYGRRRRERETGEVGDTSPRRGQKDRDEDGRRRRERGTGEEGDTSPRRRQKERDDDSRRRRERWTGEEGDTSPRRRQKDGDDHGRRRRERGTGEEGDTSPRRRQGDRDDAGRRRREKGTDEEGDTSPRRRQKDPDNDSRRQREEGDTSPLRREKLRDDNGHHRRERGNGEKSDASPRRREKHEDEDRRRISSRRGENGRGNKAVDGEDEKKPEPTQTKPMPDASNLRTGGVYIPPHKMAQMMRDVQDKSSEAYQRLSWDALRKSINGLVNKVNATNIKNIIPELFSENLIRGRGLFCRSCMKSQMASPGFTDVFAALVAVVNTKFPEVGKLLLKRIVLQLKRAYSRNDKPQLLAATKFIAHLVNQQVAHEIVALELLTVLLENPTDDSVEVAVGFVKECGSLLQDFSPRGLQGIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGFPAIRPELDLVEQEDQFTHEISLEDEVDPETNLDVFKPNPTFLDDEKAYENLKTNILGAESSEDEDNSDAASDDEDDEDDEDEDEDEMMKITDETETNLVNLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVYQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTSALPWHVLAYIRLTEDDTTSSSRIFIKILFQELSEHLGIRLLNERLNEPTMKDSFDSIFPKDHPKNTRFAINFFTSIGLGGITESLREHLKNMPRMIMEQQKQVTDSDESGSDGGSSSLQSGSESGSESESSSDSSERDRRQSKRRKR >DRNTG_09136.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26844276:26846666:-1 gene:DRNTG_09136 transcript:DRNTG_09136.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKTLERRERERERERERERERERERERERESDVFEIRIWKNWAA >DRNTG_23241.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21521060:21537280:1 gene:DRNTG_23241 transcript:DRNTG_23241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRQQFEQPSTSCNAKPKKVRGPTRMADIWDLNNEERVIVKLNDQCIPIPDASSAQQTPRGNNHSMNQVIKQIQMHRVYTYKAFTDGKISVGIALPPTAVEDPVSTIDILFCSVPTSQSMIQPRAVDFCY >DRNTG_23419.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001264.1:93579:96291:1 gene:DRNTG_23419 transcript:DRNTG_23419.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKTLIYSFVARGTVILAEYTEFTGNFTGIAAQCLQKLPSSNNKFTYNCDGHTFNYLVENGFTYCVVAVESAGRQVPIAFLERVKDDFSKRYGGGKAATAVANSLNREFGSKLKEHMQYCVDHPEDISKLSKVKAQVTEVKGVMMENIEKVNISSFFC >DRNTG_23419.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001264.1:93579:96291:1 gene:DRNTG_23419 transcript:DRNTG_23419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKTLIYSFVARGTVILAEYTEFTGNFTGIAAQCLQKLPSSNNKFTYNCDGHTFNYLVENGFTYCVVAVESAGRQVPIAFLERVKDDFSKRYGGGKAATAVANSLNREFGSKLKEHMQYCVDHPEDISKLSKVKAQVTEVKGVMMENIEKVLDRGEKIEVLVDKTENLRSQAQDFRQQGTKMKRKMWLQNMKIKLIVFGIIIALILIIILSVCHGFHC >DRNTG_15728.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4267804:4276186:1 gene:DRNTG_15728 transcript:DRNTG_15728.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLQGPGPEREEVVCGDGGGEVELGSDSEEEVWRVIVVIDYEGERARTKDNSLLGKFELTGIPPAPCGVPQINVTFDIDAYGILNVSAEDKSAGVKNRITITNDKGRLISKEEIDRMLSDGVVESAGVVVEDDWDKSANVEASSPLVRRSIRERKRSIRLASYHTPGRVAT >DRNTG_06315.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7017044:7020402:-1 gene:DRNTG_06315 transcript:DRNTG_06315.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVKMENHLSSAAAFVEGGIQNACDDSCSICLEDFCNNDPSTVTTCKHEFHLQCILEWCQRSSQCPMCWQPINLKDPTSQELLDAVERERRIRSNQTRAATIFHHPAIGEFELQHLPVGASDSELEERIIQHLAAAAAMGREHHRREGQRGRSESPGRPQFLVFSNRTNAPSPSVSSGSASSAQSRENEAAPFIVSSNISETQASVGEPPHQLSNPMPTLVDQITVSPPGISVAPSTGIALSNSRSLPGLSGPVNHDNAGPSDFQSFSESLKSRFNAVSMRYKESITKSTRGWKEKLFSRNTSVADLGSEVRREVNAGIATVSRMMERLDTRDGRRGAALAAPAAPHSLERSSVVDPSSERVEENQTNTIPTERTTCPATTGPN >DRNTG_06315.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7015613:7020402:-1 gene:DRNTG_06315 transcript:DRNTG_06315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVKMENHLSSAAAFVEGGIQNACDDSCSICLEDFCNNDPSTVTTCKHEFHLQCILEWCQRSSQCPMCWQPINLKDPTSQELLDAVERERRIRSNQTRAATIFHHPAIGEFELQHLPVGASDSELEERIIQHLAAAAAMGREHHRREGQRGRSESPGRPQFLVFSNRTNAPSPSVSSGSASSAQSRENEAAPFIVSSNISETQASVGEPPHQLSNPMPTLVDQITVSPPGISVAPSTGIALSNSRSLPGLSGPVNHDNAGPSDFQSFSESLKSRFNAVSMRYKESITKSTRGWKEKLFSRNTSVADLGSEVRREVNAGIATVSRMMERLDTRDGRRGAALAAPAAPHSLERSSVVDPSSERVEENQTNTIPTERTTCPATTGPN >DRNTG_23534.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22321575:22323906:1 gene:DRNTG_23534 transcript:DRNTG_23534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTHGMGAARKLKTHRRNQRWADRTYKKSHLGNEWKKPFAGYSHAKGIVFEQNRH >DRNTG_23534.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22321575:22323906:1 gene:DRNTG_23534 transcript:DRNTG_23534.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTHGMGAARKLKTHRRNQRWADRTYKKSHLGNEWKKPFAGYSHAKGIVFEQNVSHVLNSSSFFHIFPLVLDQYL >DRNTG_32173.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30884841:30889492:-1 gene:DRNTG_32173 transcript:DRNTG_32173.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTKWCPTPVSVLISAAVFALAHLTPGEFPQLFILGTVLGFSYAQTRNLLTPITLHAFWNSGVIVFLIFLQLQGYDIREVLQPSS >DRNTG_32173.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30884841:30889492:-1 gene:DRNTG_32173 transcript:DRNTG_32173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSFLLVHRWIPSPKHHWFAGGFHNLVVPRSSGFPSSRLGVFPLRVSLSPTLTKVGSWNSRRILSTCFCSAREKPESSAVEKDSTSVWPILKRWDVPWQWQTVVLTMFACGLSFALTGLLEAAVLPYLGFRAGEVSLDEKAEIVFVGQFAVTTVVLGVVYAITNSFQPLPDDVFRYDLKEPFNLRNGWLLWATIGLLSAFSAIALTGVALNFFYGGSPQRETDALVLLLPLIGSSSISNACLVGTTGVLAPLLEETVFRGFLMASLTKWCPTPVSVLISAAVFALAHLTPGEFPQLFILGTVLGFSYAQTRNLLTPITLHAFWNSGVIVFLIFLQLQGYDIREVLQPSS >DRNTG_15397.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4732145:4735504:1 gene:DRNTG_15397 transcript:DRNTG_15397.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDKSMEETGSSTHVGFTQSLLPFLRSKLASSARKLLMYDWNCADNENELKIKGDVLQKILHIYLRNSESTPDLLDELACSILPQVPSCRTNNTQEAAHSFPTLCPTMFLTWYRVLHEENLDVLNKLVKGGSLKSRSNIQRKPAEVMIKLRQSVNVVVSLISMCKIHDKVAVHAIAVKYGGRFVDTFLKVFDFLEAHFKEHNDIIIQMVKELQKATRIIQTLCSEAKGSKRTMITSKIPATKRSMERFVFQVKALLHNTSNGSTFWMGNLKHKDLYGQVVSSQVYDNGDDDMDREAENNSEPDTVIPDDDHEQGDNDK >DRNTG_15397.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4731405:4735504:1 gene:DRNTG_15397 transcript:DRNTG_15397.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTTKIQHGHNKLKTSEFLTKIRPLSKSLRKHLDSAFSILKGSEPCQEHWKSGLVSAGSPDIPFVVVSMASVASSVFREVLCCYRKMLSIPDLFLQTSLPTLRDMLDAFQSDKDSSQFFSGLQPLPNPGSIVYLYCRVYSFHEDLLDMAYSLPFSLASEVLVTLQSILNSIAMLFDKSMEETGSSTHVGFTQSLLPFLRSKLASSARKLLMYDWNCADNENELKIKGDVLQKILHIYLRNSESTPDLLDELACSILPQVPSCRTNNTQEAAHSFPTLCPTMFLTWYRVLHEENLDVLNKLVKGGSLKSRSNIQRKPAEVMIKLRQSVNVVVSLISMCKIHDKVAVHAIAVKYGGRFVDTFLKVFDFLEAHFKEHNDIIIQMVKELQKATRIIQTLCSEAKGSKRTMITSKIPATKRSMERFVFQVKALLHNTSNGSTFWMGNLKHKDLYGQVVSSQVYDNGDDDMDREAENNSEPDTVIPDDDHEQGDNDK >DRNTG_15397.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4724044:4735504:1 gene:DRNTG_15397 transcript:DRNTG_15397.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIINQFRWLDFLVDSENFVEKLMEVLSISPLSLKKEIIGSLPEIIGNQSHGSVITALERLLQEDSEVIVPVLDSFSNLNLDEQLQEQAITIALSCIRTVDGEYMPHLLRFLLLSATPANVCRIILQIREQLKFVGVTDPLAIRNKKLKGKLVANSTEASTLEALRLSLQFKNILCEAILKELKSLDQPQDHKVIDVWLIMLIYINGGSLQKGVEKILKKKVIEGCLNESLFDQCIHGHRELVKDHFSSFLSVSEYLMACREQEARDFSIYLYTALFEEFSDTFYRQEVLGSLVTHIGSGITYEVSSALEAMFRLTSKYSEELIPMSSHINGILDYLEGFHDENLHKVYEIFCYLAVSARSKPDFLGSSIANELLMIVRKQLGNPDIKYRKMGIVGTLKIISTLGDVNATVNFSSSQKTNCEEALELLKLAIELNKSATLSLILLYDELNSLFECRILQPAIIEWMGKHVGELESLFLSDLEGGQLPQKYVSNDIEGVLWMNLDGNLSPICLNILPLISSMALQSADSLQILPSQFLLLSVVERLTNQGSLGGIDALLGCPLHLPSPRHLAAGEWKRMSGQQKQILCLSLYYAVNWIRELLNAFSTQVIDKFDCITQATRDETNRKLLKRLRNLVLLESLLNACLKYHQLTLPELRCNLDHSGTSFSKINHSKQRETKNDQPLKKRKHKDLTASEKSDPYGNLRQPTIVETLKKAGADISQEVENRSSSGSPTIQKVSQCVEHQEANSNELDLVDIATTSGVLDAQRCKFRPLLVDSLFMLSLSESQDNCCSDPAAELPLHLYLLRDLHKKLDYLNPPNRQFLMGYTTKIQHGHNKLKTSEFLTKIRPLSKSLRKHLDSAFSILKGSEPCQEHWKSGLVSAGSPDIPFVVVSMASVASSVFREVLCCYRKMLSIPDLFLQTSLPTLRDMLDAFQSDKDSSQFFSGLQPLPNPGSIVYLYCRVYSFHEDLLDMAYSLPFSLASEVLVTLQSILNSIAMLFDKSMEETGSSTHVGFTQSLLPFLRSKLASSARKLLMYDWNCADNENELKIKGDVLQKILHIYLRNSESTPDLLDELACSILPQVPSCRTNNTQEAAHSFPTLCPTMFLTWYRVLHEENLDVLNKLVKGGSLKSRSNIQRKPAEVMIKLRQSVNVVVSLISMCKIHDKVAVHAIAVKYGGRFVDTFLKVFDFLEAHFKEHNDIIIQMVKELQKATRIIQTLCSEAKGSKRTMITSKIPATKRSMERFVFQVKALLHNTSNGSTFWMGNLKHKDLYGQVVSSQVYDNGDDDMDREAENNSEPDTVIPDDDHEQGDNDK >DRNTG_15397.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4734183:4735504:1 gene:DRNTG_15397 transcript:DRNTG_15397.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVKELQKATRIIQTLCSEAKGSKRTMITSKIPATKRSMERFVFQVKALLHNTSNGSTFWMGNLKHKDLYGQVVSSQVYDNGDDDMDREAENNSEPDTVIPDDDHEQGDNDK >DRNTG_15397.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4733915:4735504:1 gene:DRNTG_15397 transcript:DRNTG_15397.8 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAVHAIAVKYGGRFVDTFLKVFDFLEAHFKEHNDIIIQMVKELQKATRIIQTLCSEAKGSKRTMITSKIPATKRSMERFVFQVKALLHNTSNGSTFWMGNLKHKDLYGQVVSSQVYDNGDDDMDREAENNSEPDTVIPDDDHEQGDNDK >DRNTG_15397.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4730800:4746082:1 gene:DRNTG_15397 transcript:DRNTG_15397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTTKIQHGHNKLKTSEFLTKIRPLSKSLRKHLDSAFSILKGSEPCQEHWKSGLVSAGSPDIPFVVVSMASVASSVFREVLCCYRKMLSIPDLFLQTSLPTLRDMLDAFQSDKDSSQFFSGLQPLPNPGSIVYLYCRVYSFHEDLLDMAYSLPFSLASEVLVTLQSILNSIAMLFDKSMEETGSSTHVGFTQSLLPFLRSKLASSARKLLMYDWNCADNENELKIKGDVLQKILHIYLRNSESTPDLLDELACSILPQVPSCRTNNTQEAAHSFPTLCPTMFLTWYRVLHEENLDVLNKLVKGGSLKSRSNIQRKPAEVMIKLRQSVNVVVSLISMCKIHDKVAVHAIAVKYGGRFVDTFLKVFDFLEAHFKEHNDIIIQMVKELQKATRIIQTLCSEAKGSKRTMITSKIPATKRSMERFVFQVKALLHNTSNGSTFWMGNLKHKDLYGQVVSSQVYDNGDDDMDREAENNSEPDTVIPDDDHEQGDNDK >DRNTG_15397.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4733083:4735504:1 gene:DRNTG_15397 transcript:DRNTG_15397.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRQSVNVVVSLISMCKIHDKVAVHAIAVKYGGRFVDTFLKVFDFLEAHFKEHNDIIIQMVKELQKATRIIQTLCSEAKGSKRTMITSKIPATKRSMERFVFQVKALLHNTSNGSTFWMGNLKHKDLYGQVVSSQVYDNGDDDMDREAENNSEPDTVIPDDDHEQGDNDK >DRNTG_15397.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4728867:4743885:1 gene:DRNTG_15397 transcript:DRNTG_15397.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQQKQILCLSLYYAVNWIRELLNAFSTQVIDKFDCITQATRDETNRKLLKRLRNLVLLESLLNACLKYHQLTLPELRCNLDHSGTSFSKINHSKQRETKNDQPLKKRKHKDLTASEKSDPYGNLRQPTIVETLKKAGADISQEVENRSSSGSPTIQKVSQCVEHQEANSNELDLVDIATTSGVLDAQRCKFRPLLVDSLFMLSLSESQDNCCSDPAAELPLHLYLLRDLHKKLDYLNPPNRQFLMGYTTKIQHGHNKLKTSEFLTKIRPLSKSLRKHLDSAFSILKGSEPCQEHWKSGLVSAGSPDIPFVVVSMASVASSVFREVLCCYRKMLSIPDLFLQTSLPTLRDMLDAFQSDKDSSQFFSGLQPLPNPGSIVYLYCRVYSFHEDLLDMAYSLPFSLASEVLVTLQSILNSIAMLFDKSMEETGSSTHVGFTQSLLPFLRSKLASSARKLLMYDWNCADNENELKIKGDVLQKILHIYLRNSESTPDLLDELACSILPQVPSCRTNNTQEAAHSFPTLCPTMFLTWYRVLHEENLDVLNKLVKGGSLKSRSNIQRKPAEVMIKLRQSVNVVVSLISMCKIHDKVAVHAIAVKYGGRFVDTFLKVFDFLEAHFKEHNDIIIQMVKELQKATRIIQTLCSEAKGSKRTMITSKIPATKRSMERFVFQVKALLHNTSNGSTFWMGNLKHKDLYGQVVSSQVYDNGDDDMDREAENNSEPDTVIPDDDHEQGDNDK >DRNTG_15397.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4729996:4735504:1 gene:DRNTG_15397 transcript:DRNTG_15397.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSESQDNCCSDPAAELPLHLYLLRDLHKKLDYLNPPNRQFLMGYTTKIQHGHNKLKTSEFLTKIRPLSKSLRKHLDSAFSILKGSEPCQEHWKSGLVSAGSPDIPFVVVSMASVASSVFREVLCCYRKMLSIPDLFLQTSLPTLRDMLDAFQSDKDSSQFFSGLQPLPNPGSIVYLYCRVYSFHEDLLDMAYSLPFSLASEVLVTLQSILNSIAMLFDKSMEETGSSTHVGFTQSLLPFLRSKLASSARKLLMYDWNCADNENELKIKGDVLQKILHIYLRNSESTPDLLDELACSILPQVPSCRTNNTQEAAHSFPTLCPTMFLTWYRVLHEENLDVLNKLVKGGSLKSRSNIQRKPAEVMIKLRQSVNVVVSLISMCKIHDKVAVHAIAVKYGGRFVDTFLKVFDFLEAHFKEHNDIIIQMVKELQKATRIIQTLCSEAKGSKRTMITSKIPATKRSMERFVFQVKALLHNTSNGSTFWMGNLKHKDLYGQVVSSQVYDNGDDDMDREAENNSEPDTVIPDDDHEQGDNDK >DRNTG_33455.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13891395:13900171:-1 gene:DRNTG_33455 transcript:DRNTG_33455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLWFNALADSVKEKIEDTIATVRRSLEFIASSKSAHHLLSESSSATISTTNPSPFKVFISNDPPQILLKLSYDLRGTIDQATPWIRGALLLLEKIKFLSKSLQRPPTAFDIFCKTHISKEGKCVDSRAQVVYDAMKKMVETVS >DRNTG_28513.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9197251:9198338:1 gene:DRNTG_28513 transcript:DRNTG_28513.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIAQTVFDDGKNVHGYGILFLVNPKTLMSKECIPWIFYHSILHINQPHFLICKKQTHLNLNYYAGGYDILEELFKVITWAQLGIHNKLVGLLNIDGYYNSLLSFIDQAIEEDFIMPSARHIIVSASNAKELIKKL >DRNTG_19631.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001034.1:21074:21949:-1 gene:DRNTG_19631 transcript:DRNTG_19631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAGNRASDYEEIPKPSDAKPGTKLWCRQQKTLSGADRTNGGLSYAATSAFSSDGKPTGYALTTGASGSRSRATVLGLPLHIISAMDLRRASRDVGSLTMLSSVTISSLGIV >DRNTG_12245.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24976412:24980303:1 gene:DRNTG_12245 transcript:DRNTG_12245.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDAAKAQVLPNSCCVKAHTSICHELMKVLDRIYCILPEIELARPGCRSGIQELCSLNNAVEKAMLLLRHCTESSKLYLAITGETILLRCERIRNSMNHSLTQIQNMVPERLAAQMGEILNYLRGVKFSIDSTEEAAAKIILELLQQTETSAESELEAFQVAAFKLDIISSKALLLERRSIMKLLEKFRGTDPKKEGILKFLLYLLKKHGKKAISNFTKGKILNSKCTFSGSLISDAKPHNRSSCFNTTPEEFLCPISLKIMFDPVTIASGKTYERLWIEKWFNEGNDTCPKTRKKLPDLSMVPNAKIKECIADWCRKLGIYVQDPGYQANPTKFLTFDSSHFDSISSLMNVPTLLLEGTMGNYILQSDQSNASFISSQIDCCTDSSHVKDIGISNGNLFYKLLLVGNYQISQCSMNFDRDAYLKFFNSLTELPIELQRKAVEDVKLLLNVEKETQHAMLSNGFAEALMFFIKNANGLSNVLAQKAGAQILLALLDKWRYEVPSLCEDAFQLSMMFLNSELIMESLMIMRILTSCDPNYLFNIVASGAVPLLTRLLDSEDTGILELALMIITDISAQSELRSQILSPECISKLVPQTSCRKLAEKCMRILRNLSATEEGVLMIAETNGCIASIAELLDNGNRNEQEHAVVILHSLCLHSSDHLLQVKCEDVIPSLFVISQNGTTKARECSVALLVVLRDLSNSKCTDGMNSQSTSISKGTESVANHHSAKGRAPSSKHASGSFTRRIRFFLKKINLCFR >DRNTG_12245.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24976412:24980303:1 gene:DRNTG_12245 transcript:DRNTG_12245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDAAKAQVLPNSCCVKAHTSICHELMKVLDRIYCILPEIELARPGCRSGIQELCSLNNAVEKAMLLLRHCTESSKLYLAITGETILLRCERIRNSMNHSLTQIQNMVPERLAAQMGEILNYLRGVKFSIDSTEEAAAKIILELLQQTETSAESELEAFQVAAFKLDIISSKALLLERRSIMKLLEKFRGTDPKKEGILKFLLYLLKKHGKKAISNFTKGKILNSKCTFSGSLISDAKPHNRSSCFNTTPEEFLCPISLKIMFDPVTIASGKTYERLWIEKWFNEGNDTCPKTRKKLPDLSMVPNAKIKECIADWCRKLGIYVQDPGYQANPTKFLTFDSSHFDSISSLMNVPTLLLEGTMGNYILQSDQSNASFISSQIDCCTDSSHVKDIGISNGNLFYKLLLVGNYQISQCSMNFDRDAYLKFFNSLTELPIELQRKAVEDVKLLLNVEKETQHAMLSNGFAEALMFFIKNANGLSNVLAQKAGAQILLALLDKWRYEVPSLCEDAFQLSMMFLNSELIMESLMIMRILTSCDPNYLFNIVASGAVPLLTRLLDSEDTGILELALMIITDISAQSELRSQILSPECISKLVPQTSCRKLAEKCMRILRNLSATEEGVLMIAETNGCIASIAELLDNGNRNEQEHAVVILHSLCLHSSDHLLQVKCEDVIPSLFVISQNGTTKARECSVALLVVLRDLSNSKCTDGMNSQSTSISKGTESVANHHSAKGRAPSSKHASGSFTRRIRFFLKKINLCFR >DRNTG_12245.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24976412:24980303:1 gene:DRNTG_12245 transcript:DRNTG_12245.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDAAKAQVLPNSCCVKAHTSICHELMKVLDRIYCILPEIELARPGCRSGIQELCSLNNAVEKAMLLLRHCTESSKLYLAITGETILLRCERIRNSMNHSLTQIQNMVPERLAAQMGEILNYLRGVKFSIDSTEEAAAKIILELLQQTETSAESELEAFQVAAFKLDIISSKALLLERRSIMKLLEKFRGTDPKKEGILKFLLYLLKKHGKKAISNFTKGKILNSKCTFSGSLISDAKPHNRSSCFNTTPEEFLCPISLKIMFDPVTIASGKTYERLWIEKWFNEGNDTCPKTRKKLPDLSMVPNAKIKECIADWCRKLGIYVQDPGYQANPTKFLTFDSSHFDSISSLMNVPTLLLEGTMGNYILQSDQSNASFISSQIDCCTDSSHVKDIGISNGNLFYKLLLVGNYQISQCSMNFDRDAYLKFFNSLTELPIELQRKAVEDVKLLLNVEKETQHAMLSNGFAEALMFFIKNANGLSNVLAQKAGAQILLALLDKWRYEVPSLCEDAFQLSMMFLNSELIMESLMIMRILTSCDPNYLFNIVASGAVPLLTRLLDSEDTGILELALMIITDISAQSELRSQILSPECISKLVPQTSCRKLAEKCMRILRNLSATEEGVLMIAETNGCIASIAELLDNGNRNEQEHAVVILHSLCLHSSDHLLQVKCEDVIPSLFVISQNGTTKARECSVALLVVLRDLSNSKCTDGMNSQSTSISKGTESVANHHSAKGRAPSSKHASGSFTRRIRFFLKKINLCFR >DRNTG_19849.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7369862:7370314:-1 gene:DRNTG_19849 transcript:DRNTG_19849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSSSSSGFFLICMLHSAIAVTCGALMMFYLNEISVFGHGRETATKLQGSTPHDQLLIQTSDSFAGLLLFVIGFLLFMVAFVKDRDFQSFFAKGCVLLHASMALWRIYFERRLEDLARDWPRQLVGDLVLSLSWVLFLVYSWREKYD >DRNTG_14845.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23021349:23023538:-1 gene:DRNTG_14845 transcript:DRNTG_14845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISFKILVSFKKNPAFIYTAGTQRDMERENSKSLKTDNTGRQTGLL >DRNTG_25985.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1047143:1048706:1 gene:DRNTG_25985 transcript:DRNTG_25985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLARSRSRSSGFLCFGAPSSDDNDEHKPESSSRSSALITDEEQAPSRRFRRSQPRRSLTKVFRSAAFVSVLERIGSRRIGRGRSRFRKEMETLDDRSLTGSSSRSMDYDDQRTDSTDRIFLSSSLSSSSSSSSIVSSSAASLPPPPERKHRRRLPPSSNTRKPLPETENYHYATGLCLLVISFGVLLMFGRLCAIPWTATCLYFVPRRKYGISPPDAAVVMAALRSRSSEEDEKKRVVLEGLLERNHYKA >DRNTG_25985.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1047143:1048335:1 gene:DRNTG_25985 transcript:DRNTG_25985.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLARSRSRSSGFLCFGAPSSDDNDEHKPESSSRSSALITDEEQAPSRRFRRSQPRRSLTKVFRSAAFVSVLERIGSRRIGRGRSRFRKEMETLDDRSLTGSSSRSMDYDDQRTDSTDRIFLSSSLSSSSSSSSIVSSSAASLPPPPERKHRRRLPPSSNTRKPLPETENYHYATGLCLLVISFGVLLMFGRLCAIPWTATCLYFVPRRKYGISPPDAAVVMAALRSRSSEEDEKKRVVLEGLLERNHYKA >DRNTG_25985.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1047143:1048108:1 gene:DRNTG_25985 transcript:DRNTG_25985.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKLARSRSRSSGFLCFGAPSSDDNDEHKPESSSRSSALITDEEQAPSRRFRRSQPRRSLTKVFRSAAFVSVLERIGSRRIGRGRSRFRKEMETLDDRSLTGSSSRSMDYDDQRTDSTDRIFLSSSLSSSSSSSSIVSSSAASLPPPPERKHRRRLPPSSNTRKPLPETENYHYATGLCLLVISFGVLLMFGRLCAIPWTATCLYFVPRRKYGISPPDAAVVMAALRSRSSEEDEKKRVVLEGLLERNHYKA >DRNTG_25985.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1047143:1048083:1 gene:DRNTG_25985 transcript:DRNTG_25985.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKLARSRSRSSGFLCFGAPSSDDNDEHKPESSSRSSALITDEEQAPSRRFRRSQPRRSLTKVFRSAAFVSVLERIGSRRIGRGRSRFRKEMETLDDRSLTGSSSRSMDYDDQRTDSTDRIFLSSSLSSSSSSSSIVSSSAASLPPPPERKHRRRLPPSSNTRKPLPETENYHYATGLCLLVISFGVLLMFGRLCAIPWTATCLYFVPRRKYGISPPDAAVVMAALRSRSSEEDEKKRVVLEGLLERNHYKA >DRNTG_25985.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1046983:1048083:1 gene:DRNTG_25985 transcript:DRNTG_25985.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLARSRSRSSGFLCFGAPSSDDNDEHKPESSSRSSALITDEEQAPSRRFRRSQPRRSLTKVFRSAAFVSVLERIGSRRIGRGRSRFRKEMETLDDRSLTGSSSRSMDYDDQRTDSTDRIFLSSSLSSSSSSSSIVSSSAASLPPPPERKHRRRLPPSSNTRKPLPETENYHYATGLCLLVISFGVLLMFGRLCAIPWTATCLYFVPRRKYGISPPDAAVVMAALRSRSSEEDEKKRVVLEGLLERNHYKA >DRNTG_25985.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1047143:1048219:1 gene:DRNTG_25985 transcript:DRNTG_25985.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLARSRSRSSGFLCFGAPSSDDNDEHKPESSSRSSALITDEEQAPSRRFRRSQPRRSLTKVFRSAAFVSVLERIGSRRIGRGRSRFRKEMETLDDRSLTGSSSRSMDYDDQRTDSTDRIFLSSSLSSSSSSSSIVSSSAASLPPPPERKHRRRLPPSSNTRKPLPETENYHYATGLCLLVISFGVLLMFGRLCAIPWTATCLYFVPRRKYGISPPDAAVVMAALRSRSSEEDEKKRVVLEGLLERNHYKA >DRNTG_03043.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8768534:8770002:-1 gene:DRNTG_03043 transcript:DRNTG_03043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNMSQSLSFLNTTMSACPLRHHAFKPTSLHPSTTTTTTRTQVSCRLNNSGRDNKSSSRFIMDLSDTLVALKSLYGATGGMPGSAGAFMPTHLFGKTYNEATDFQITKVDLGSDLFKHFPPYEGTDVKITDYKFPKTPPRVRRQAHVVANDSEYMEKYKTAVQKMKYLPGDDPFNFYQQAKIHLDSPNDAFHQMDKSWIFLSGQRYYLYFYELVLGKLVGDETFALPYWNFDHVDGMALPSIFVNEAFPLDNAGGEMGSFFSSGRDEMFYGLHANIDRMWDVWRKKYHTTPHIDFRDPDWMDVVFYFYDVDGQVVRVKSGEHLDTMKFGYTYA >DRNTG_13336.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1059569:1060789:1 gene:DRNTG_13336 transcript:DRNTG_13336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSCWAATAAAPPSSLKSLSGNPSKTLILSSSGSLVSASLASLSGRY >DRNTG_15477.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16537730:16540693:1 gene:DRNTG_15477 transcript:DRNTG_15477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQLGQVPTLVVSSSQMAKEILKTHDLIFASRPKVNVADIMLYGSQNMAFSPYGEHWRQMRKIAVTNLLSMKRVQSLHGTMEEQVAHMLSKISDASSSVSPINLSKILFSFTNGMLFRAILGRSFDEEDENKMKFHEMMEETAVLLGGFNVEDYFPSLGWLCSLFGLDDRAKRTSSKWDCVLDQMIEDHVNMNKKGEGEDDDFVDVLLSIQGDPNKEFFLSKDHMKALLLDMLFGGTETGYVTLEWSLAELIRNPKVMKKLQDEVRGKAFGKSMVKQNDLSDMNYLKAFLKEILRLHPPAPLLVPRESMESCRIQGYDIPKKTRVIVNYWAITRDQKIWDSPEELRPERFENNPIDFKGQHNYEYIPFGAGRRMCPAVHYGVTITELALANLVHRFDWKLPDGMVIEDFDMTETHALTVKMKSNLLLVAKPYF >DRNTG_17498.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19943873:19944189:-1 gene:DRNTG_17498 transcript:DRNTG_17498.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLCDSGACAEALTPSPSPAPLGWSDLDPVPVAAASPDPAPWDGVRGLEDQQRRHLSRIHARGVLWKNPSEGSAAVAVGFRLEHGGEVEADGNCLFTAARSA >DRNTG_17498.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19943873:19944153:-1 gene:DRNTG_17498 transcript:DRNTG_17498.2 gene_biotype:protein_coding transcript_biotype:protein_coding AEALTPSPSPAPLGWSDLDPVPVAAASPDPAPWDGVRGLEDQQRRHLSRIHARGVLWKNPSEGSAAVAVGFRLEHGGEVEADGNCLFTAARSA >DRNTG_34323.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29365345:29371937:1 gene:DRNTG_34323 transcript:DRNTG_34323.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIATTATSAASPTHRSFSFPCSPPPPSLTPLPFPRRGCAVSIHSKNIRIRNPRSKGLRRVECLAREPLKVMISGAPASGKGTQCEMIVEKYGLVHISTGDLLRAEVSSGSEVGMKAKEYMESGRLVPDEVVTEMVIARLSQPDAKEKGWLLDGYPRSPAQAQSLEKLKIRPDVFIILDVPDEILIDRCVGRRLDPLTGKIYHLKNFPPETEDISARLITRSDDTEEKVKSRLETYKKNAEVIFSTYMDLLTKVPSHLEFI >DRNTG_34323.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29365345:29371937:1 gene:DRNTG_34323 transcript:DRNTG_34323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIATTATSAASPTHRSFSFPCSPPPPSLTPLPFPRRGCAVSIHSKNIRIRNPRSKGLRRVECLAREPLKVMISGAPASGKGTQCEMIVEKYGLVHISTGDLLRAEVSSGSEVGMKAKEYMESGRLVPDEVVTEMVIARLSQPDAKEKGWLLDGYPRSPAQAQSLEKLKIRPDVFIILDVPDEILIDRCVGRRLDPLTGKIYHLKNFPPETEDISARLITRSDDTEEKVKSRLETYKKNAEVIFSTYMDLLTKVDGNRPKEVIFEEIDSLLQRICEGKLAPVKSIDLKLGSSSQDNWRGIPTRLNNIPHSREIREYFYEDVLQATQRAVQDKRFRLKVEINIPELNPEMDVYRIGTLMELVRTLALSFADDGKHVKVCVQGSMGEGALAGMPLQLAGTRRILDYMDWGDYGAKGTFINIGSIGAKEVDEHDDIFILMAPQNAVGNCIIDDMKAMTDAAGDRPVILINPRLKDLPGSSGIMQTMGRDKRLEYTASFESCYSFRLLYYAGTQYPIMGALRMSYPYRYELYKRLDEPSGKEKYVIVATFPKQPTIDEVNDAFQGKPRNKEKTASGGIWGFLSGIF >DRNTG_34323.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29365345:29371937:1 gene:DRNTG_34323 transcript:DRNTG_34323.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIATTATSAASPTHRSFSFPCSPPPPSLTPLPFPRRGCAVSIHSKNIRIRNPRSKGLRRVECLAREPLKVMISGAPASGKGTQCEMIVEKYGLVHISTGDLLRAEVSSGSEVGMKAKEYMESGRLVPDEVVTEMVIARLSQPDAKEKGWLLDGYPRSPAQAQSLEKLKIRPDVFIILDVPDEILIDRCVGRRLDPLTGKIYHLKNFPPETEDISARLITRSDDTEEKVKSRLETYKKNAEVIFSTYMDLLTKVDGNRPKEVIFEEIDSLLQRICEGKLAPVKSIDLKLGSSSQDNWRGIPTRLNNIPHSREIREYFYEDVLQATQRAVQDKRFRLKVEINIPELNPEMDVYRIGTLMELVRTLALSFADDGKHVKVCVQGSMGEGALAGMPLQLAGTRRILDYMDWGDYGAKGTFINIGSIGAKEVDEHDDIFILMAPQNAVGNCIIDDMKAMTDAAGDRPVILINPRLKDLPGSSGIMQTMGRDKRLEYTASFESCYSFRLLYYAGTQYPIMGALRMSYPYRYELYKRLDEPSGKEKYVIVATFPKQPTIDEVNDAFQGKPRNKEKTASGGIWGFLSGIF >DRNTG_08909.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27457670:27463135:-1 gene:DRNTG_08909 transcript:DRNTG_08909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEASAKGSSGRGESFTSGCTEPGGGGASDGLASARDLDDALYTELWHACAGPLVTVPRKGELVFYFPQGHIEQVEASTNQLADPQMPIYDNLPSKILCRVINVELKAEPDTDEVFAQVTLLPESKQDENLVEKEIPPPPPLRPRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMGKQPPTQELVAKDLHAVEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENSELRVGVRRAMRQQANVPSSVISSHSMHLGVLATAWHAVTTGTMFTVYYKPRTSPSEFIIPYEQYMESQKINHSIGVRFKMRFEDEEAPEQRFTGTIIGMEDVDASRWPGSKWRCLKVRWDETSSIARPERVSPWKIEPASTPPPHSLPLPRPKRPRPSVTPASPESSVLTREAASKVTADPSQAQAAQRVLQGQETTTLRGNIADSNEVDNTPKPIMWSLPHEDKCDQRRLGSDNWIQMTRQEPMYTDMLSAFRRPGDSGGFNPSLFEQTSGDASHLKSHFQDQDGRLKSLSGSWSMMPPNIPFIMESTMKMAPQPGELPYSGLGSIRYGGVGGLHGIGVEQHSPNWLSHLLPPAQIETASHPRVLKPQPMMANPTEGAKPKGSGNCKLFGIHLNSNPTSGQVISHRSVSYEPESHSHLVATLNESQAVEVNQQQEHLKGKSVDAGAASSCDHEKLMHSCPQTSKDVQSKLQSGSTRSCTKVHKQGIALGRSVDLAKLSGYNELITELDQMFEFDGELLSPIKNWLVVYTDNEGDMMLVGDDPWEEFCGMVRKIFIYTKEEVQKMNQGALTPRTEDSPSASERTAPIETKGFEAEV >DRNTG_09047.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31389592:31390519:1 gene:DRNTG_09047 transcript:DRNTG_09047.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDPTSTCSITFHQLCYLLFAISAFSSISFIYWSHCSCPTDSSTTTSLFHRNQSLNLLTFPSAWNHISFSSNPPPKFLKIALFVKRWPQRNLAGGLERHALTLHLALARRGHQVHIFTTSSSSSNSTTNPNITTTMKFHFTPPSPGGYLNQALAWQQFQAENSSDKPFDVIHTESVGLFHGRARNISHLAVSWHGIAYETIHSDIVQDLLRGPNETRQSVLAERLAKVIEEVKFFNRYSHHVATSDHVGDVLKRIYMIPEERVHIIVNGVDETIYKPDSTRGKDFRREA >DRNTG_28258.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2761100:2764474:1 gene:DRNTG_28258 transcript:DRNTG_28258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWSTFLVSVRWWSLALARWGMEDGLVEAMVERGSHAILELGLRDGRLENGPLEPVVERGSHIFDPSLGDTVEIPPTVELGSRPHGLSELGAVKLGSHPNGSPVNDPVEKATRAMMVEMSSHVGAKMAGVELSSLAGGFRFDRQVTSWKDFETRVAMVELRSLIGKRTAGVELGFLARAFRFGHHAINPAERERCARMDALCSHVGERTTEVELSFLISVCKVGRLENGRTDRVTRVASVELHSHAESRGSSSPDCQTRIQATSKYKVLLWRVKWESI >DRNTG_17001.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20000931:20004729:1 gene:DRNTG_17001 transcript:DRNTG_17001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWKKQQNHINKNSPSQEKQLVQIDNVEEGLGVDNSLTRREIFCSAIKARSPRVPRPPPRPTTCLQLAPKLTGKESQLPSPLMPPPPPPLPSNLQRRSSNSLRKVPEVAESKLPPSPPPPPPLPSKLQVRASSSVRRAPEVVEFYHSITRRDGKPETKTGIAGTQVTSNAREMIGEIENRSAYLLAIKSDVETQGEFISFLIKEVQNATYTEISDVEAFVKWLDEELSYLVDERAVLKHFPQWPEQKADAMREAAFGYRDLKNLESEVSSFSDDLRQPTGVALKRIRALQEKLECSVHNLERVRDSASKRYKEFQIPWEWMLDTGIIAQLKSGSMRLAKKYMNRVITALQSYASSEDEELMLQGVRFAFRVHQFVGGFDEESRNAFQELRKLASSIYS >DRNTG_34899.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002199.1:10777:11070:-1 gene:DRNTG_34899 transcript:DRNTG_34899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMLMEIGKPKLLTIEAFKISIKAFASAHEMKKSMGIFQLMKKFSFKVDGETFNCLIDSLAKVKLGKEANTLFAKMKDQYPPNLQTTPCFSSNGAS >DRNTG_27772.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24371445:24375159:-1 gene:DRNTG_27772 transcript:DRNTG_27772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNKLKLFMLCLCFLLFMSFQHCASAGNQKIGSGYRLVSLEENSNGGGLLGKLELKQKTSVYGPDVPHLCLFVKHESEDRLRVHITDASEERWEVPYNLLPREQPPTVKGSTTTTTTSSVPFTATEYSGDELLFSYKADPFSFSVKRKSNGDVLFDSTAKDDDPYSSLVFKDQYLEISTRLPETAALYGLGENTQPGGIRLRPNDPYTLYTTDASAINLNMDLYGSHPVYMDLRNANGEANAHAVLLLNSNGMDIVYRGSSLTYKVIGGVFDFYFFKGPTPLAVVDQYTTLIGRPAPMPYWALGFHQCRWGYRNLSVVEGVVEGYKKAQIPLDVIWNDDDHMDAAKDFTLDPVNYPRPKLLAFLDKIHSQGMKYIVLIDPGIAVNSSYGVYQRGMAKDVYIKYQGKPYLAQVWPGPVYFPDYLNPQGVSWWVDEIARFHELVPVDGLWIDMNEASNFCTGKCELPKDHPCPIPNSTEPWVCCLDCKNITSTRWDEPPYKINASGTHVALGFKTIATSAEHYNGVLEYNAHSIYGFSQAVATHKALLGLEGKRPFILTRSTFVGSGAYAAHWTGDNKGTWNDIRYSISTILNFGLFGMPMVGSDICGFYPAPTEELCNRWIQLGAFYPFSRDHANFYSPRQELYVWDSVAKSARNALGLRYKLLPYLYTLNYEAHTRGAPIARPVFFSFPNFTACYGLSTQFLLGSSVMVSPVLKKAARSVKVIFPPGTWYNLFDMTKAVFSKDERYITLEASLNEINVHVYQNTILPMQQGGLISKEARTTPFTLVVTFPLGATQADAKGNVFVDDDERPEMKLVDGESSYVEFYACVRGKTVTVWSEVQMGSFSIEKQLMIEKVRVLGLQGSGHGLAIEVDGEAVTDVSGVHFSDPAQMNMEKLEGGNKKNGMMVEIGGLGLPLGKKFSISWKMGIKG >DRNTG_04287.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29986428:30020219:1 gene:DRNTG_04287 transcript:DRNTG_04287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSALKVLVLKKNKFFGHLTGICKGNHFFMNLQIFDISSNHFTGSLPSECFKSMKAMMVHQGQTETIGYRNDSSSAPYYQDTITVNLKGFEIQLVKILSTFTSIDLSDNQFVGNIPQVLGDLKSLHSLNMSLNAFTGEIPRVVGDMSELEALDLSGNQLSGVIPSSLTSLTFLAFLNLSNNNLIGRIPQSYQFFTFSNSSFEGNPGLCGSPLSRDCINSTSVEPSSNSTNTPTEFDMDKIWFWMFTGLGYGVGFAAAIIYLLFFPKWKMWYKRRFMNR >DRNTG_04287.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30018766:30020219:1 gene:DRNTG_04287 transcript:DRNTG_04287.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKVSSRCAIQTINLNGNKLEGVVPSSWANCAELEVVDLGRNKLADSFPHWLMNLSALKVLVLKKNKFFGHLTGICKGNHFFMNLQIFDISSNHFTGSLPSECFKSMKAMMVHQGQTETIGYRNDSSSAPYYQDTITVNLKGFEIQLVKILSTFTSIDLSDNQFVGNIPQVLGDLKSLHSLNMSLNAFTGEIPRVVGDMSELEALDLSGNQLSGVIPSSLTSLTFLAFLNLSNNNLIGRIPQSYQFFTFSNSSFEGNPGLCGSPLSRDCINSTSVEPSSNSTNTPTEFDMDKIWFWMFTGLGYGVGFAAAIIYLLFFPKWKMWYKRRFMNR >DRNTG_05569.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000255.1:18071:19059:1 gene:DRNTG_05569 transcript:DRNTG_05569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTIIIISSQLLPKHHHHHHQVIIGEKKHEWEEPKVGVEAEPITTKEGECRTIKRERGT >DRNTG_14786.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29967901:29971989:-1 gene:DRNTG_14786 transcript:DRNTG_14786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQDERLRATSAALNNMKIIKLQSWEENFRQVIESLRGIEFKWLSKTQNKKTYSTALYWMAPTVVSAVVFAGTTMMRSAPLNAVTIFTVLASLRVMSEPVRLLPEVLSALIHVKVSLDRIEVFLQEDEVKEDVVKRNPLMNSELSVKIENGVFSWDPDSSIPTLKNVDVDFRRGNKISVCGAVGSGKSSFLCAILGEIPKLSGSVDVYGSVAYVAQGSWIQSGTIRDNVLFGKPMNKAKYEMAIRCCALDKDIENFDHGDMTEIGQRGLNMSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTAAILFHDYVMSALQDKTVILVTHQVEFLAETDRILVMENGLVVQSGSYSEILKTGTAFEQLVHAHKSAMTAVDSVTKRAIDDQFASEVNPQVKHSETEITAPSAIQLTEDEEKAIGNFGWKPYKDYLQVSKGYMHFIFAVLSQCTFILFQILSTYWLAVAVQLTQIGGGVVVGVYAAVSILSCCFVCMRSWFTAQLGLKASKEFFSAFMDSVFRAPMLFFDSTPIGRILTRASSDMSILDFDIPYCLSFVVAGASEVVGIIVIMSTVTWQVLIVAIPVTILTLHAQRYYLVSARELVRINGTTKAPVVNFVGETILGVVTIRAFAMMDRFIQANLQLIDTDATLFFHTIASMEWVLIRVEALQNLTILTSALFLILLPRGSIPPGFVGLALSYALTLSSAQAFMTRWYSGLENYIISVERIKQFMHLESEPPAVISDRRPPPSWPHEGSIDFQELKVKYRPNAPYVLKGITCRIMAGNKVGVVGRTGSGKTTLIGALFRLLEPAAGRILIDGLDICSIGLKDLRMKLSIIPQEPTLFKGPVRSNLDPLGLHSDQEIWEALEKCQLKTVISSNPSGLDSSVSDDGENWSAGQRQLFCLGRVLLRKNKILVLDEATASIDSATDAVLQRIIRQEFSSCTVVTIAHRVPTVTDSDMVMLLSYGKLVEYDKPSELMERKDSYFSKLVAEYWSNCKRESR >DRNTG_14786.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29967901:29968468:-1 gene:DRNTG_14786 transcript:DRNTG_14786.2 gene_biotype:protein_coding transcript_biotype:protein_coding LQVSDDGENWSAGQRQLFCLGRVLLRKNKILVLDEATASIDSATDAVLQRIIRQEFSSCTVVTIAHRVPTVTDSDMVMLLSYGKLVEYDKPSELMERKDSYFSKLVAEYWSNCKRESR >DRNTG_13973.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19442952:19453393:1 gene:DRNTG_13973 transcript:DRNTG_13973.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASDCFLRTALRHRHSSRAPKMDASSSSSSSSSLERYRFNPTLAWDAEVEDYFIKAYGADHFARISKSLTHPSSYSCIRVNTLKTTSDSVIKKLVSMLDHKITGGADIDAREPIVVTDFDHTIGEQSLNETSIPLDPSLDHGSMQVSGDNVESVCKCPYPGLDNVVLVQGSGPHLLRYDGQSGKAMKEVIVSRKCAEAVLRGAQIYVPGVLACSAHVEKGDLVAVSVGVEQRGSDGFWGVGITRGTVLQGSESGKKAKFIYRQILIIVNGKGSTLDKELH >DRNTG_13973.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19442952:19453393:1 gene:DRNTG_13973 transcript:DRNTG_13973.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASDCFLRTALRHRHSSRAPKMDASSSSSSSSSLERYRFNPTLAWDAEVEDYFIKAYGADHFARISKSLTHPSSYSCIRVNTLKTTSDSVIKKLVSMLDHKITGGADIDAREPIVVTDFDHTIGEQSLNETSIPLDPSLDHGSMQVSGDNVESVCKCPYPGLDNVVLVQGSGPHLLRYDGQSGKAMKEVIVSRKCAEAVLRGAQIYVPGVLACSAHVEKGDLVAVSVGVEQRGSDGFWGVGITRGTVLQGSESGDSFICETY >DRNTG_13973.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19442952:19453393:1 gene:DRNTG_13973 transcript:DRNTG_13973.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASDCFLRTALRHRHSSRAPKMDASSSSSSSSSLERYRFNPTLAWDAEVEDYFIKAYGADHFARISKSLTHPSSYSCIRVNTLKTTSDSVIKKLVSMLDHKITGGADIDAREPIVVTDFDHTIGEQSLNETSIPLDPSLDHGSMQVSGDNVESVCKCPYPGLDNVVLVQGSGPHLLRYDGQSGKAMKEVIVSRKCAEAVLRGAQIYVPGVLACSAHVEKGDLVAVSVGVEQRGSDGFWGVGITRGTVLQGSESGKKAKFIILIIVNGKGSTLDKELH >DRNTG_13973.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19442952:19453393:1 gene:DRNTG_13973 transcript:DRNTG_13973.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASDCFLRTALRHRHSSRAPKMDASSSSSSSSSLERYRFNPTLAWDAEVEDYFIKAYGADHFARISKSLTHPSSYSCIRVNTLKTTSDSVIKKLVSMLDHKITGGADIDAREPIVVTDFDHTIGEQSLNETSIPLDPSLDHGSMQVSGDNVESVCKCPYPGLDNVVLVQGSGPHLLRYDGQSGKAMKEVIVSRKCAEAVLRGAQIYVPGVLACSAHVEKGDLVAVSVGVEQRGSDGFWGVGITRGTVLQGSESDPHYCERKGLYIGQGTALMSRASIFRALKGIAVEMSHRVYRLPSFHDVLEGEIFLQNLPSIVTAHVLEPQEGEKY >DRNTG_13973.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19442952:19445102:1 gene:DRNTG_13973 transcript:DRNTG_13973.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASDCFLRTALRHRHSSRAPKMDASSSSSSSSSLERYRFNPTLAWDAEVEDYFIKAYGADHFARISKSLTHPSSYSCIRVNTLKTTSDSVIKKLVSMLDHKITGGADIDAREPIVVTDFDHTIGEQSLNETSIPLDPSLDHGSMQVSGDNVESVCKCPYPGLDNVVLVQGSGPHLLRYDGQSGKAMKEVIVSRKCAEAVLRGAQIYVPGVLACSAHVEKGDLVAVSVGVEQRGSDGFWGVGITRGTVLQGSESGKKAKFIVGFFPSLFLKLFKNSVNQSFYILSKNTIS >DRNTG_13973.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19442952:19453393:1 gene:DRNTG_13973 transcript:DRNTG_13973.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSSSSSSSSLERYRFNPTLAWDAEVEDYFIKAYGADHFARISKSLTHPSSYSCIRVNTLKTTSDSVIKKLVSMLDHKITGGADIDAREPIVVTDFDHTIGEQSLNETSIPLDPSLDHGSMQVSGDNVESVCKCPYPGLDNVVLVQGSGPHLLRYDGQSGKAMKEVIVSRKCAEAVLRGAQIYVPGVLACSAHVEKGDLVAVSVGVEQRGSDGFWGVGITRGTVLQGSESGDSFICETY >DRNTG_13973.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19442952:19444163:1 gene:DRNTG_13973 transcript:DRNTG_13973.8 gene_biotype:protein_coding transcript_biotype:protein_coding SFFGMRRASDCFLRTALRHRHSSRAPKVSILSLLSLFFYFSQSIASCLIRWMPLLLLLLLLLWRGIALTQHSPGTLRLRTTSSRPTALITSQESRNPSRTHLPILAFV >DRNTG_01194.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29460912:29469553:-1 gene:DRNTG_01194 transcript:DRNTG_01194.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase IV subunit 1 [Source:Projected from Arabidopsis thaliana (AT1G63020) UniProtKB/Swiss-Prot;Acc:Q9LQ02] MWFIEKRPAAASIQAGCKYCARSPDLWYPHMKFKILPVKINGKRSFSIIAEINEKPPRRFRNRNLSDVLPKDYWNFVPEDPQQQGAPVSRMIMSPSQVFYLLKQLDREYIKRFVSRRELLFLPCLTVTPNSHRVMEVHSYNDGPRLVFDERTKAFKRLSDLNRKFDECQHNQEFGVLPSTHVTSRVLDCLNMSRLHTHQSLNEDSPSSKSGLRWLKEIFLSKRTDNAFRMTVVGDPKLRLDEIGVPWDIAENMLICEHVNAYNLQKLNRIFTMQLLSKEQIYVRRKGKLVHLRKANQLQVGDTFYRPLEDGDVVLINRNPSVHQHSLIAFSVKVLPSQSVFSINPLCCAPFNGDFDGDCLHGFVPQSLRCRVELGQLVALDCQLLNSQDGQSLLSLTHDSLTAAHLITGQDRVIFFNKFEMQQLAMLCPFPLPLPAILKAPGLQGPLWTGQQLFSMLLPEMTQNGNGGLYFPDNSRWLQNGSSGIFSNMFRCYGKKALDFVFAAQDALCECLSMSGFSVSLSDLYLSPDFYSRCKMIDEVSLGLEEAKVAAHIKKLLLDLELGIYLTGYDESEDSFDPMEYDSSTLNSTQMIQASISAFKDVFHDIQHVVHQHISNDNSMLAMVHAGSKGSLLKLVQQGACVGLQVFPSSRDKNFDVVRASFLDGLNPLECFSHALSSRGNMFSAHAELPGTLTRKLMFYMRDLYVAYDGTVRNAFDQQIVQFSYDIPGDTSTKNDGYYESWEEDIQKNALGGHAVGSVAACAVSEAAYGALDHPINSLESSPLMNLKKVLECSRSSAVTGCSISVILSDKLRRRAYGFEYGALEVKKHLEPVIFSDVVNTVMIVYAGCDPQFKNCSPWAVYFHVNKDKMMRKGLTLNKIINELLGNYEIARQRAKITLPSLCIIPADSMVMDEEKEYDDAIPIAVKLSDADIQLDTIRDALIPMLLQTLVKGLLEFKRVDILWDYQLGSRNPDGELFVKVFMSEHCVPGMLWSTLVNACLPIMELIDWTRSHPDNVHDVSDVNGIDTAWKYFFGSLKSAMSDTGRKVHQEHLRVVADRLSVTGQFSGLSRKGLKLKNPTPFNQAAFSNPSNSFIKAAKEGSVDHLCGTIDAMAWGKEAPIGTGGPFEIMYSGEVPNIKPSENIYETLSSLRINKSQNAVAGMANCDYHPNKHSNTQRFMPNSQTHLDYELNSSLNADSSFANLVDMSSSLRRILHEYPIGGYLNEADKSRLIEAIHYHPRRDEKMGSGIQDITVGHHPSHQGSRCFFLARTDGTSEDISYRKCVLGAAMQISPKCARIVGRKLYNNDHTIPYSEQKWLST >DRNTG_01194.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29460912:29472752:-1 gene:DRNTG_01194 transcript:DRNTG_01194.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase IV subunit 1 [Source:Projected from Arabidopsis thaliana (AT1G63020) UniProtKB/Swiss-Prot;Acc:Q9LQ02] MASDSTAEQCQMVPSGLLTGIKFDILSGRDMEKFSTTTIIETADLTDAKLGLPNASNQCANCGSGSIRDCDGHFGLIKLPVVTYHPYFVPEIVHILNQICPGCKSAKQDIRRKVASSLQNNKKFIGDDACDLDAIYKSLRGAERKLLKIIRLKLSLPKGVLSVACFKRRAKRKKRPAAASIQAGCKYCARSPDLWYPHMKFKILPVKINGKRSFSIIAEINEKPPRRFRNRNLSDVLPKDYWNFVPEDPQQQGAPVSRMIMSPSQVFYLLKQLDREYIKRFVSRRELLFLPCLTVTPNSHRVMEVHSYNDGPRLVFDERTKAFKRLSDLNRKFDECQHNQEFGVLPSTHVTSRVLDCLNMSRLHTHQSLNEDSPSSKSGLRWLKEIFLSKRTDNAFRMTVVGDPKLRLDEIGVPWDIAENMLICEHVNAYNLQKLNRIFTMQLLSKEQIYVRRKGKLVHLRKANQLQVGDTFYRPLEDGDVVLINRNPSVHQHSLIAFSVKVLPSQSVFSINPLCCAPFNGDFDGDCLHGFVPQSLRCRVELGQLVALDCQLLNSQDGQSLLSLTHDSLTAAHLITGQDRVIFFNKFEMQQLAMLCPFPLPLPAILKAPGLQGPLWTGQQLFSMLLPEMTQNGNGGLYFPDNSRWLQNGSSGIFSNMFRCYGKKALDFVFAAQDALCECLSMSGFSVSLSDLYLSPDFYSRCKMIDEVSLGLEEAKVAAHIKKLLLDLELGIYLTGYDESEDSFDPMEYDSSTLNSTQMIQASISAFKDVFHDIQHVVHQHISNDNSMLAMVHAGSKGSLLKLVQQGACVGLQVFPSSRDKNFDVVRASFLDGLNPLECFSHALSSRGNMFSAHAELPGTLTRKLMFYMRDLYVAYDGTVRNAFDQQIVQFSYDIPGDTSTKNDGYYESWEEDIQKNALGGHAVGSVAACAVSEAAYGALDHPINSLESSPLMNLKKVLECSRSSAVTGCSISVILSDKLRRRAYGFEYGALEVKKHLEPVIFSDVVNTVMIVYAGCDPQFKNCSPWAVYFHVNKDKMMRKGLTLNKIINELLGNYEIARQRAKITLPSLCIIPADSMVMDEEKEYDDAIPIAVKLSDADIQLDTIRDALIPMLLQTLVKGLLEFKRVDILWDYQLGSRNPDGELFVKVFMSEHCVPGMLWSTLVNACLPIMELIDWTRSHPDNVHDVSDVNGIDTAWKYFFGSLKSAMSDTGRKVHQEHLRVVADRLSVTGQFSGLSRKGLKLKNPTPFNQAAFSNPSNSFIKAAKEGSVDHLCGTIDAMAWGKEAPIGTGGPFEIMYSGEVPNIKPSENIYETLSSLRINKSQNAVAGMANCDYHPNKHSNTQRFMPNSQTHLDYELNSSLNADSSFANLVDMSSSLRRILHEYPIGGYLNEADKSRLIEAIHYHPRRDEKMGSGIQDITVGHHPSHQGSRCFFLARTDGTSEDISYRKCVLGAAMQISPKCARIVGRKLYNNDHTIPYSEQKWLST >DRNTG_01194.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29460862:29472752:-1 gene:DRNTG_01194 transcript:DRNTG_01194.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase IV subunit 1 [Source:Projected from Arabidopsis thaliana (AT1G63020) UniProtKB/Swiss-Prot;Acc:Q9LQ02] MTVVGDPKLRLDEIGVPWDIAENMLICEHVNAYNLQKLNRIFTMQLLSKEQIYVRRKGKLVHLRKANQLQVGDTFYRPLEDGDVVLINRNPSVHQHSLIAFSVKVLPSQSVFSINPLCCAPFNGDFDGDCLHGFVPQSLRCRVELGQLVALDCQLLNSQDGQSLLSLTHDSLTAAHLITGQDRVIFFNKFEMQQLAMLCPFPLPLPAILKAPGLQGPLWTGQQLFSMLLPEMTQNGNGGLYFPDNSRWLQNGSSGIFSNMFRCYGKKALDFVFAAQDALCECLSMSGFSVSLSDLYLSPDFYSRCKMIDEVSLGLEEAKVAAHIKKLLLDLELGIYLTGYDESEDSFDPMEYDSSTLNSTQMIQASISAFKDVFHDIQHVVHQHISNDNSMLAMVHAGSKGSLLKLVQQGACVGLQVFPSSRDKNFDVVRASFLDGLNPLECFSHALSSRGNMFSAHAELPGTLTRKLMFYMRDLYVAYDGTVRNAFDQQIVQFSYDIPGDTSTKNDGYYESWEEDIQKNALGGHAVGSVAACAVSEAAYGALDHPINSLESSPLMNLKKVLECSRSSAVTGCSISVILSDKLRRRAYGFEYGALEVKKHLEPVIFSDVVNTVMIVLVMLGVTLNSKIVALGLCIFMSIRTR >DRNTG_01194.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29460912:29467350:-1 gene:DRNTG_01194 transcript:DRNTG_01194.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase IV subunit 1 [Source:Projected from Arabidopsis thaliana (AT1G63020) UniProtKB/Swiss-Prot;Acc:Q9LQ02] MTVVGDPKLRLDEIGVPWDIAENMLICEHVNAYNLQKLNRIFTMQLLSKEQIYVRRKGKLVHLRKANQLQVGDTFYRPLEDGDVVLINRNPSVHQHSLIAFSVKVLPSQSVFSINPLCCAPFNGDFDGDCLHGFVPQSLRCRVELGQLVALDCQLLNSQDGQSLLSLTHDSLTAAHLITGQDRVIFFNKFEMQQLAMLCPFPLPLPAILKAPGLQGPLWTGQQLFSMLLPEMTQNGNGGLYFPDNSRWLQNGSSGIFSNMFRCYGKKALDFVFAAQDALCECLSMSGFSVSLSDLYLSPDFYSRCKMIDEVSLGLEEAKVAAHIKKLLLDLELGIYLTGYDESEDSFDPMEYDSSTLNSTQMIQASISAFKDVFHDIQHVVHQHISNDNSMLAMVHAGSKGSLLKLVQQGACVGLQVFPSSRDKNFDVVRASFLDGLNPLECFSHALSSRGNMFSAHAELPGTLTRKLMFYMRDLYVAYDGTVRNAFDQQIVQFSYDIPGDTSTKNDGYYESWEEDIQKNALGGHAVGSVAACAVSEAAYGALDHPINSLESSPLMNLKKVLECSRSSAVTGCSISVILSDKLRRRAYGFEYGALEVKKHLEPVIFSDVVNTVMIVYAGCDPQFKNCSPWAVYFHVNKDKMMRKGLTLNKIINELLGNYEIARQRAKITLPSLCIIPADSMVMDEEKEYDDAIPIAVKLSDADIQLDTIRDALIPMLLQTLVKGLLEFKRVDILWDYQLGSRNPDGELFVKVFMSEHCVPGMLWSTLVNACLPIMELIDWTRSHPDNVHDVSDVNGIDTAWKYFFGSLKSAMSDTGRKVHQEHLRVVADRLSVTGQFSGLSRKGLKLKNPTPFNQAAFSNPSNSFIKAAKEGSVDHLCGTIDAMAWGKEAPIGTGGPFEIMYSGEVPNIKPSENIYETLSSLRINKSQNAVAGMANCDYHPNKHSNTQRFMPNSQTHLDYELNSSLNADSSFANLVDMSSSLRRILHEYPIGGYLNEADKSRLIEAIHYHPRRDEKMGSGIQDITVGHHPSHQGSRCFFLARTDGTSEDISYRKCVLGAAMQISPKCARIVGRKLYNNDHTIPYSEQKWLST >DRNTG_01194.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29460912:29472752:-1 gene:DRNTG_01194 transcript:DRNTG_01194.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase IV subunit 1 [Source:Projected from Arabidopsis thaliana (AT1G63020) UniProtKB/Swiss-Prot;Acc:Q9LQ02] MQISKAGYQKEGQVASSLQNNKKFIGDDACDLDAIYKSLRGAERKLLKIIRLKLSLPKGVLSVACFKRRAKRKKRPAAASIQAGCKYCARSPDLWYPHMKFKILPVKINGKRSFSIIAEINEKPPRRFRNRNLSDVLPKDYWNFVPEDPQQQGAPVSRMIMSPSQVFYLLKQLDREYIKRFVSRRELLFLPCLTVTPNSHRVMEVHSYNDGPRLVFDERTKAFKRLSDLNRKFDECQHNQEFGVLPSTHVTSRVLDCLNMSRLHTHQSLNEDSPSSKSGLRWLKEIFLSKRTDNAFRMTVVGDPKLRLDEIGVPWDIAENMLICEHVNAYNLQKLNRIFTMQLLSKEQIYVRRKGKLVHLRKANQLQVGDTFYRPLEDGDVVLINRNPSVHQHSLIAFSVKVLPSQSVFSINPLCCAPFNGDFDGDCLHGFVPQSLRCRVELGQLVALDCQLLNSQDGQSLLSLTHDSLTAAHLITGQDRVIFFNKFEMQQLAMLCPFPLPLPAILKAPGLQGPLWTGQQLFSMLLPEMTQNGNGGLYFPDNSRWLQNGSSGIFSNMFRCYGKKALDFVFAAQDALCECLSMSGFSVSLSDLYLSPDFYSRCKMIDEVSLGLEEAKVAAHIKKLLLDLELGIYLTGYDESEDSFDPMEYDSSTLNSTQMIQASISAFKDVFHDIQHVVHQHISNDNSMLAMVHAGSKGSLLKLVQQGACVGLQVFPSSRDKNFDVVRASFLDGLNPLECFSHALSSRGNMFSAHAELPGTLTRKLMFYMRDLYVAYDGTVRNAFDQQIVQFSYDIPGDTSTKNDGYYESWEEDIQKNALGGHAVGSVAACAVSEAAYGALDHPINSLESSPLMNLKKVLECSRSSAVTGCSISVILSDKLRRRAYGFEYGALEVKKHLEPVIFSDVVNTVMIVYAGCDPQFKNCSPWAVYFHVNKDKMMRKGLTLNKIINELLGNYEIARQRAKITLPSLCIIPADSMVMDEEKEYDDAIPIAVKLSDADIQLDTIRDALIPMLLQTLVKGLLEFKRVDILWDYQLGSRNPDGELFVKVFMSEHCVPGMLWSTLVNACLPIMELIDWTRSHPDNVHDVSDVNGIDTAWKYFFGSLKSAMSDTGRKVHQEHLRVVADRLSVTGQFSGLSRKGLKLKNPTPFNQAAFSNPSNSFIKAAKEGSVDHLCGTIDAMAWGKEAPIGTGGPFEIMYSGEVPNIKPSENIYETLSSLRINKSQNAVAGMANCDYHPNKHSNTQRFMPNSQTHLDYELNSSLNADSSFANLVDMSSSLRRILHEYPIGGYLNEADKSRLIEAIHYHPRRDEKMGSGIQDITVGHHPSHQGSRCFFLARTDGTSEDISYRKCVLGAAMQISPKCARIVGRKLYNNDHTIPYSEQKWLST >DRNTG_01194.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29460912:29464542:-1 gene:DRNTG_01194 transcript:DRNTG_01194.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase IV subunit 1 [Source:Projected from Arabidopsis thaliana (AT1G63020) UniProtKB/Swiss-Prot;Acc:Q9LQ02] MMRKGLTLNKIINELLGNYEIARQRAKITLPSLCIIPADSMVMDEEKEYDDAIPIAVKLSDADIQLDTIRDALIPMLLQTLVKGLLEFKRVDILWDYQLGSRNPDGELFVKVFMSEHCVPGMLWSTLVNACLPIMELIDWTRSHPDNVHDVSDVNGIDTAWKYFFGSLKSAMSDTGRKVHQEHLRVVADRLSVTGQFSGLSRKGLKLKNPTPFNQAAFSNPSNSFIKAAKEGSVDHLCGTIDAMAWGKEAPIGTGGPFEIMYSGEVPNIKPSENIYETLSSLRINKSQNAVAGMANCDYHPNKHSNTQRFMPNSQTHLDYELNSSLNADSSFANLVDMSSSLRRILHEYPIGGYLNEADKSRLIEAIHYHPRRDEKMGSGIQDITVGHHPSHQGSRCFFLARTDGTSEDISYRKCVLGAAMQISPKCARIVGRKLYNNDHTIPYSEQKWLST >DRNTG_14535.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28226703:28229827:1 gene:DRNTG_14535 transcript:DRNTG_14535.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNGFAEIVVVRHGETSWNASKIIQGHLNSELNEIGRQQATAVAVRLSKEPQFAVIYSSDLKRAAETAEEIANSCGLREVNFDPALRERHLGELQGLALRDAAKLKAEAYQCFLSKSSDQNIPGGGESLNQLYDRCISCVERIAKKHKGERVIVVTHGGVLRELYKRAAPNSKFPNGTILNTSVNVIQISENGKWSIKMWGDISHLQDISVIKSTIAEDKNFYAV >DRNTG_12394.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6425879:6458744:1 gene:DRNTG_12394 transcript:DRNTG_12394.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQWSLLQGFHSPRPFLAPSPIPTFKKASPLSSPPAALPSWTQRIMERISTSGEVGGAGGAYSYDALKRLDRLLSEICSNPSKNNAQVVFRASGASNDYDPKNKASFTFDVIVCGGTLGIFIATALLSKGLRVGIVEKNQIKGREQDWNISRKELLELVDVGILSEDEIEQIINIKFNPNRCGFEKKGDIWVEDILNLGVSPVKLLEIMKKRFVTQGGVLFEGKGLSTINVHDDIVILQLSDGDVLFSRLLVDAMGNFSPVVKQIRSGQKPDGICLVVGSCSCGFKENSSSDIIYSNASVKRVRDSNVQYFWEAFPAGSGLTDRTTYMFTYVDAQPSCPKLEDLLEDYWDLMPNYQGVPLDQLEVQRVIFGIFPTYRDSPLPSAFDRVIQVGDASGIQSPVSFGGFGSMTRHLNRLSNGIFEAVSGNFLDVYSLSLLNPYLPNLSASWLFQRAMSAKPNVAISSAFINDLLYANFLAMQKLGDPVLRPFLQDVIQFWPLVKTLGLVMLSQPRLLPSIFKQVGIAVILDWSGHFVMLGWYTFLSSYLDPIIRPWIKLLPLKRKFEWNRNLEAWKYGAGLDYNISHNPRN >DRNTG_12394.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6425879:6461258:1 gene:DRNTG_12394 transcript:DRNTG_12394.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQWSLLQGFHSPRPFLAPSPIPTFKKASPLSSPPAALPSWTQRIMERISTSGEVGGAGGAYSYDALKRLDRLLSEICSNPSKNNAQVVFRASGASNDYDPKNKASFTFDVIVCGGTLGIFIATALLSKGLRVGIVEKNQIKGREQDWNISRKELLELVDVGILSEDEIEQIINIKFNPNRCGFEKKGDIWVEDILNLGVSPVKLLEIMKKRFVTQGGVLFEGKGLSTINVHDDIVILQLSDGDVLFSRLLVDAMGNFSPVVKQIRSGQKPDGICLVVGSCSCGFKENSSSDIIYSNASVKRVRDSNVQYFWEAFPAGSGLTDRTTYMFTYVDAQPSCPKLEDLLEDYWDLMPNYQGVPLDQLEVQRVIFGIFPTYRDSPLPSAFDRVIQVGDASGIQSPVSFGGFGSMTRHLNRLSNGIFEAVSGNFLDVYSLSLLNPYLPNLSASWLFQRAMSAKPNVAISSAFINDLLYANFLAMQKLGDPVLRPFLQDVIQFWPLVKTLGLVMLSQPRLLPSIFKQVGIAVILDWSGHFVMLGWYTFLSSYLDPIIRPWIKLLPLKRKFEWNRNLEAWKYGAGLDYNISHNPRN >DRNTG_03160.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000141.1:40863:43600:-1 gene:DRNTG_03160 transcript:DRNTG_03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVVDGTSSIEPSISTSSLSNSWSNKPSYGSGLN >DRNTG_23176.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1870737:1873477:-1 gene:DRNTG_23176 transcript:DRNTG_23176.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit L, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70760) UniProtKB/Swiss-Prot;Acc:Q9CAC5] MGVAPALAVTGVNDEIDLYSLLVSGGIISFFYFIVMPPIIMNWMRLRWYKRKFVEMYFQFMFVFLFFPGLMLWAPFLNFRKFPRDPTMKYPWSTPNDDNIPLYKDRYE >DRNTG_21846.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17661552:17668472:-1 gene:DRNTG_21846 transcript:DRNTG_21846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCNSTPTKVSGSSGAAATPPTNRDPAKTEEPRDRENGRGKRPTGTIPCGKRTDFGYAKDFQNCYSVGKLLGHGQFGYTFVATDKANGDRVAVKRIDKNKMVLPIAVEDVKREVNILQALKGHENVVNFHNAFEDDTYVYIVMEKDSRYSEKDAAIVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTKENSPLKATDFGLSDFIKPGRKFRDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDRTEDGIFKEVLKNTPDFRRKPWPSISDSAKDFVQKLLVKDPRTRLTAAQALSHPWVREGGNASDIPIDISVLSNIREFVKFSRFKQFALRALATTLDEGELANLRDQFNAIDVDGNGSISLEEMRQALQKDLPWKLKEPRILEILQAIDSNTDGLVDFHEFVAATLQVHQLEEHDTAKWNLRCQAAFQKFDVDNDGFITPEELRMHTGLRGSILPLLEEVDIDKDGKINLSEFRRLLRTASMSNLPSPAGIRNPQKL >DRNTG_17577.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3554562:3558642:-1 gene:DRNTG_17577 transcript:DRNTG_17577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRSYSYSPSPPRGYRRRGRSPSPRGRYGGRGRDLPCSLLVRNLRHDCRTDDLRKSFGQFGPLKDIYLPRDYYTGEPRGFGFVQFVDPADASEAKYQMDRQILLGRELTVVFAEENRKKPADMRARERLSRTYGRESRRSPRYSRSPSPRYSRSPVRRYTRSPPPAPVRRYTRSPPPAPVRRYTRSPPRYSRSPPPQRHFGRSRSRSPGVYSPLPVPQDRSRSVSPQAVRQQRERSYSQSPYNGSRSRSPADDNYERKQSHREGSLSA >DRNTG_17577.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3554562:3558642:-1 gene:DRNTG_17577 transcript:DRNTG_17577.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRSYSYSPSPPRGYRRRGRSPSPRGRYGGRGRDLPCSLLVRNLRHDCRTDDLRKSFGQFGPLKDIYLPRDYYTGQK >DRNTG_24914.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19378554:19379690:-1 gene:DRNTG_24914 transcript:DRNTG_24914.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKFIFLAFFAITSSLCFAFDPSPLQDFCVADLTSQVLVNGFVCKNPATVTPEDFFLSGFNEVADTMNPLGTNITTASVFQLPGLNTLGLTLVRIDYAPGGVNPPHTHPRATEIIVVLEGTIYAGFVSSSPNDTLYSKVLSAGDVFVFPQGLTHFNMNYGHSNAVALVAFNSQNPGAIIDANNLFGATPPINDYLLAKSFQLSKETINELQAKTWPNPAVKANNVFGVDIL >DRNTG_24914.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19358590:19379690:-1 gene:DRNTG_24914 transcript:DRNTG_24914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKFIFLAFFAITSSLCFAFDPSPLQDFCVADLTSQVLVNGFVCKNPATVTPEDFFLSGFNEVADTMNPLGTNITTASVFQLPGLNTLGLTLVRIDYAPGGVNPPHTHPRATEIIVVLEGTIYAGFVSSSPNDTLYSKVLSAGDVFVFPQGLTHFNMNYGHSKAVALAAFNSQNPGAIIAGNNVFGSTPQISDVLLAKAFQLSKETVDKLQ >DRNTG_25849.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8779928:8781018:1 gene:DRNTG_25849 transcript:DRNTG_25849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSVRTQEDWRSINLFLFAKLLNLKEPQRNPVQQGRLLALSWIYSNNSLIPGLTEVIKVMVILKG >DRNTG_26617.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:304381:308069:-1 gene:DRNTG_26617 transcript:DRNTG_26617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTITSCPFTSSSSSEFSFLNNGRRLSVVGKRPIVPCIHRKTSSPLACIKNIDEETKASEVVPIDTLGAKSFPYGFTFGAGSAAYQVEGGIDERGLSLWDTFVREHPEKIADHSTGDVACDEYHKFKEDVKLLKDAGMDSYRFSISWPRILPKGTRTGGINYVGIEYYNNLINELLRNGIKPFVTLFHWDVPLELEKEYGGFLNLKIVEDFKDYCDVCFSEFGDRVKHWVTLNEPYIFSTMGYDSGRMAPGRSSNSGGDSAKEPYTVSHHLILAHANAVKLYREKFQDNQGGEVGITLQCHWMKPLTNSSDNVQAAERSLDFMLGWFMNPIVNGDYPFIMKALVKDRLPCFTDEDSKMIKGSYDFIGINYYTTRYASSLPISPSDKPKNIDSDTYVELSVKNLDGELIGEQTPNKWIYVYPDGIRDLLLYTKNKYNDPVIYVTENGIAQYDDGKLSVQEALNDEERIKYHALHLSKLEEAIRLGVKVKGYFAWALMDNFEWSSGYTIRFGLTYIDYKDGLKRYPKASLQWFSKFLKS >DRNTG_16753.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11078818:11080816:-1 gene:DRNTG_16753 transcript:DRNTG_16753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSSFLRKKVGRGASVEAYPIPDEGILGRRVEDSPHLPIKVNESAEHLSYGIEYPDRIRVHTVHLEQIGGMGHSHDQTSLISGGTPSKQAPLDEGGTGKATGPKPSILLSIWELPYQFDRSGMDRLNILLGSTVLTLLCGIHSHSALGIPSS >DRNTG_21478.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2719005:2721495:1 gene:DRNTG_21478 transcript:DRNTG_21478.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum (ER) membrane protein, Early tapetum development and meiosi [Source: Projected from Oryza sativa (Os07g0622900)] MGRDEMLRRSLVALGMVIVVVGIVTFSFRKMVITYGFGIAGIGLILLPDWEFFDRDFSQWFTPMPGRRRPGSDRAPDPGRFRLYPLRVSLLTIIYCFGLYKWWMIISS >DRNTG_18950.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11541018:11547929:1 gene:DRNTG_18950 transcript:DRNTG_18950.2 gene_biotype:protein_coding transcript_biotype:protein_coding PLLILFLSRDSDRLPSSPQSTTMPTTARPPSSSAPPPLDPISDRYCSSAWCLGCPTIITIVDIWRILVMILFTPSTSTTPTSPEIYLIDNPGLERFRSGLGFIDSGYQILFSKEKMMMVEKLSSL >DRNTG_18950.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11541018:11547929:1 gene:DRNTG_18950 transcript:DRNTG_18950.3 gene_biotype:protein_coding transcript_biotype:protein_coding PLLILFLSRDSDRLPSSPQSTTMPTTARPPSSSAPPPLDPISDRYCSSAWCLGCPTIITIVDIWRILVMILFTPSTSTTPTSPEIYLIDNPGLERFRSGFIDSGYQILFSKEKMMMVEKLSSL >DRNTG_18950.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11541018:11547929:1 gene:DRNTG_18950 transcript:DRNTG_18950.4 gene_biotype:protein_coding transcript_biotype:protein_coding PLLILFLSRDSDRLPSSPQSTTMPTTARPPSSSAPPPLDPISDRYCSSAWCLGCPTIITIVDIWRILVMILFTPSTSTTPTSPEIYLIDNPGLERFRSGFIDSGYQILFSKEKMMMVEKLSSL >DRNTG_18950.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11541018:11547929:1 gene:DRNTG_18950 transcript:DRNTG_18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLLILFLSRDSDRLPSSPQSTTMPTTARPPSSSAPPPLDPISDRYCSSAWCLGCPTIITIVDIWRILVMILFTPSTSTTPTSPEIYLIDNPGLERFRSGLGFIDSGYQILFSKEKMMMVEKLSSL >DRNTG_05538.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16814633:16820998:1 gene:DRNTG_05538 transcript:DRNTG_05538.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 1 [Source:Projected from Arabidopsis thaliana (AT1G59750) UniProtKB/Swiss-Prot;Acc:Q8L7G0] MALAPPNRPGASADALYRELWHACAGPLVSVPRQGERVYYFPQGHMEQLEASTHQGLDQQVPSFNLPSKILCRVVNVDLRAEPDTDEVYAQITLQPELEQGEITSPDPPLPEPERCIVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSQHPPWQELVATDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSSKRLVAGDAFIFLRGEDGELRVGVRRLMRQLSNMPSSVISSHSMHLGVLATASHAISTGTLFSVFYKPRTSRSEFIVSVNKYLEARNNKLSVGMRFKMRFEGDEAPERRFNGTIIGTVDTGSSRWADSEWRSLKVQWDEPSSIPRPDRVSPWELEPLVASTPATTHPVSRNKRARPPVSASIAPNLPQMFGRWKSPAEATQTFSFSGMHHGQDLYRSSSPTSLFSSASTAGSIAFNGNSTPSALTGNPVYWPIKAANQDELYSPCINKEPNERKQDTGNNGYRLFGIQLIESSVVEEISPVVAVSACAEDQPAPSQDVDSDRQSQPSYVNKSEAPAVSSEPEKSCLRSPQETQSRQLRSCTKVHMQGMAVGRAVDLTRLKDYDDLLRKLEEMFSITGELTGSIKKWQVVYTDDEDDIMLVGDNHWHEFCNVVRKIHIYTCEEAKRLSPKAKLPVLSKLIKSKASKEAPSDTTDVTCNGGDDQVSIADKDS >DRNTG_05538.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16814633:16820998:1 gene:DRNTG_05538 transcript:DRNTG_05538.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 1 [Source:Projected from Arabidopsis thaliana (AT1G59750) UniProtKB/Swiss-Prot;Acc:Q8L7G0] MALAPPNRPGASADALYRELWHACAGPLVSVPRQGERVYYFPQGHMEQLEASTHQGLDQQVPSFNLPSKILCRVVNVDLRAEPDTDEVYAQITLQPELEQGEITSPDPPLPEPERCIVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSQHPPWQELVATDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSSKRLVAGDAFIFLRGEDGELRVGVRRLMRQLSNMPSSVISSHSMHLGVLATASHAISTGTLFSVFYKPRTSRSEFIVSVNKYLEARNNKLSVGMRFKMRFEGDEAPERRFNGTIIGTVDTGSSRWADSEWRSLKVQWDEPSSIPRPDRVSPWELEPLVASTPATTHPVSRNKRARPPVSASIAPNLPQMFGRWKSPAEATQTFSFSGMHHGQDLYRSSSPTSLFSSASTAGSIAFNGNSTPSALTGNPVYWPIKAANQDELYSPCINKEPNERKQDTGNNGYRLFGIQLIESSVVEEISPVVAVSACAEDQPAPSQDVDSDRQSQPSYVNKSEAPAVSSEPEKSCLRSPQETQSRQLRSCTKVHMQGMAVGRAVDLTRLKDYDDLLRKLEEMFSITGELTGSIKKWQVVYTDDEDDIMLVGDNHWHEFCNVVRKIHIYTCEEAKRLSPKAKLPVLSKLIKSKASKEAPSDTTDVTCNGGDDQVSIADKDS >DRNTG_12664.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1192047:1196877:1 gene:DRNTG_12664 transcript:DRNTG_12664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDDVHATTDPDLASSITTEDVSGAEDPRSSHGLDPEVSPDPHSEPEKVSERSTVRRGLPEELSKGVVVLECESSAEGGSCDVYIVGTAHVSLESCREVQTVINYLKPQVVFLELCSSRVTILTPQNLKVPTMSEMIDMWKKKKMNTFGILYSWFLAKVANKLEVFPGSEFRVAYEEAMGYGAKVVLGDRPVHITLRRSWGKMTLWHRTKFLYYMLFQAMFLPSSEELNKMLKEMDDVDMLTLVIQEMSKAFPTLMETLIHERDMYMASILLKVASEHSSIVAVVGKGHLAGIKKHWKQPLDVKHLLEVPPRNTGESKTKILTSLGVVVAGIAVISGIYLVSKR >DRNTG_12664.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1195117:1196877:1 gene:DRNTG_12664 transcript:DRNTG_12664.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASILLKVASEHSSIVAVVGKGHLAGIKKHWKQPLDVKHLLEVPPRNTGESKTKILTSLGVVVAGIAVISGIYLVSKR >DRNTG_05997.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19594959:19597810:-1 gene:DRNTG_05997 transcript:DRNTG_05997.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAELYICFTSAKIVRDYLAWRQVDCHISNQYNTCFWMLVKSGKTAREAQEFLKGTQAKDKNELLFQQFGVNYAELPAIFRKGSCAYFDQVEEMVKIDKNGNDVKRLRKKVTVEHFDVISPEFWIKHPYILKKSN >DRNTG_05997.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19594959:19597810:-1 gene:DRNTG_05997 transcript:DRNTG_05997.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELYICFTSAKIVRDYLAWRQVDCHISNQYNTCFWMLVKSGKTAREAQEFLKGTQAKDKNELLFQQFGVNYAELPAIFRKGSCAYFDQVEEMVKIDKNGNDVKRLRKKVTVEHFDVISPEFWIKHPYILKKSN >DRNTG_05997.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19594959:19604274:-1 gene:DRNTG_05997 transcript:DRNTG_05997.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELYICFTSAKIVRDYLAWRQVDCHISNQYNTCFWMLVKSGKTAREAQEFLKGTQAKDKNELLFQQFGVNYAELPAIFRKGSCAYFDQVEEMVKIDKNGNDVKRLRKKVTVEHFDVISPEFWIKHPYILKKSN >DRNTG_29815.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23270621:23271673:-1 gene:DRNTG_29815 transcript:DRNTG_29815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGVGVSPGNVAVHHGVGSLKSMDRKVKVAEVALRCLILVLGVLVASLVATDTQVKKIFSIEKKAKFTEMKALVFLVIANGIAAGYSLIQAIRCVVSAVKGSVLFSKPLAWVIFSFDQVLAYVCLAAVAAAAQSAVLGEFGQTELEWMSICNMYGKFCNQVGEGIVVALVVSISMVSISCLSAFNLFRLYGKNKGTAGDI >DRNTG_02278.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:888393:890084:-1 gene:DRNTG_02278 transcript:DRNTG_02278.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKIEGLEVKVPSYFRCPISLEVMRSPVSLCTGVTYDRTSIQRWLDSGNTTCPATMLPLPSTDLVPNLTLRRLISLWSLPDDRSKTSPTDLASAGRLPELIAFLSDPDRDEFDKNSLATSPSFSPAISSLLGSDANDDAVRVLALVLNADFIEDGAKRALISALSADLNRSTTGLLESMRRSEPEMRVDAARVLDAILNSGLCDKDSRSRVADNSDLLRELIRLIGPAEDGSIDRDSTGAGLSCLLALSSVKRARARMVEEGLVPALARALTEKSSTVPAPTAEKALKLMEAASSCAEGRAAICAGAAEAVVAVVNRMMKAGKEGAESAVIVLWTLCHLYRDRKAQEAVAGANGVLTKILLLMQGDCSPAMRQMSGDLLRIFRVNSKSCLSGYDTKTTHIMPF >DRNTG_02278.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:888393:890674:-1 gene:DRNTG_02278 transcript:DRNTG_02278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKIEGLEVKVPSYFRCPISLEVMRSPVSLCTGVTYDRTSIQRWLDSGNTTCPATMLPLPSTDLVPNLTLRRLISLWSLPDDRSKTSPTDLASAGRLPELIAFLSDPDRDEFDKNSLATSPSFSPAISSLLGSDANDDAVRVLALVLNADFIEDGAKRALISALSADLNRSTTGLLESMRRSEPEMRVDAARVLDAILNSGLCDKDSRSRVADNSDLLRELIRLIGPAEDGSIDRDSTGAGLSCLLALSSVKRARARMVEEGLVPALARALTEKSSTVPAPTAEKALKLMEAASSCAEGRAAICAGAAEAVVAVVNRMMKAGKEGAESAVIVLWTLCHLYRDRKAQEAVAGANGVLTKILLLMQGDCSPAMRQMSGDLLRIFRVNSKSCLSGYDTKTTHIMPF >DRNTG_02278.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:888808:890084:-1 gene:DRNTG_02278 transcript:DRNTG_02278.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKIEGLEVKVPSYFRCPISLEVMRSPVSLCTGVTYDRTSIQRWLDSGNTTCPATMLPLPSTDLVPNLTLRRLISLWSLPDDRSKTSPTDLASAGRLPELIAFLSDPDRDEFDKNSLATSPSFSPAISSLLGSDANDDAVRVLALVLNADFIEDGAKRALISALSADLNRSTTGLLESMRRSEPEMRVDAARVLDAILNSGLCDKDSRSRVADNSDLLRELIRLIGPAEDGSIDRDSTGAGLSCLLALSSVKRARARMVEEGLVPALARALTEKSSTVPAPTAEKALKLMEAASSCAEGRAAICAGAAEAVVAVVNRMMKAGKEGAESAVIVLWTLCHLYRDRKAQEAVAGANGVLTKILLLMQGDCSPAMRQMSGDLLRIFRVNSKSCLSGYDTKTTHIMPF >DRNTG_02278.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:888692:890344:-1 gene:DRNTG_02278 transcript:DRNTG_02278.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKIEGLEVKVPSYFRCPISLEVMRSPVSLCTGVTYDRTSIQRWLDSGNTTCPATMLPLPSTDLVPNLTLRRLISLWSLPDDRSKTSPTDLASAGRLPELIAFLSDPDRDEFDKNSLATSPSFSPAISSLLGSDANDDAVRVLALVLNADFIEDGAKRALISALSADLNRSTTGLLESMRRSEPEMRVDAARVLDAILNSGLCDKDSRSRVADNSDLLRELIRLIGPAEDGSIDRDSTGAGLSCLLALSSVKRARARMVEEGLVPALARALTEKSSTVPAPTAEKALKLMEAASSCAEGRAAICAGAAEAVVAVVNRMMKAGKEGAESAVIVLWTLCHLYRDRKAQEAVAGANGVLTKILLLMQGDCSPAMRQMSGDLLRIFRVNSKSCLSGYDTKTTHIMPF >DRNTG_02278.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:888692:890084:-1 gene:DRNTG_02278 transcript:DRNTG_02278.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKIEGLEVKVPSYFRCPISLEVMRSPVSLCTGVTYDRTSIQRWLDSGNTTCPATMLPLPSTDLVPNLTLRRLISLWSLPDDRSKTSPTDLASAGRLPELIAFLSDPDRDEFDKNSLATSPSFSPAISSLLGSDANDDAVRVLALVLNADFIEDGAKRALISALSADLNRSTTGLLESMRRSEPEMRVDAARVLDAILNSGLCDKDSRSRVADNSDLLRELIRLIGPAEDGSIDRDSTGAGLSCLLALSSVKRARARMVEEGLVPALARALTEKSSTVPAPTAEKALKLMEAASSCAEGRAAICAGAAEAVVAVVNRMMKAGKEGAESAVIVLWTLCHLYRDRKAQEAVAGANGVLTKILLLMQGDCSPAMRQMSGDLLRIFRVNSKSCLSGYDTKTTHIMPF >DRNTG_02278.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:888808:890344:-1 gene:DRNTG_02278 transcript:DRNTG_02278.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKIEGLEVKVPSYFRCPISLEVMRSPVSLCTGVTYDRTSIQRWLDSGNTTCPATMLPLPSTDLVPNLTLRRLISLWSLPDDRSKTSPTDLASAGRLPELIAFLSDPDRDEFDKNSLATSPSFSPAISSLLGSDANDDAVRVLALVLNADFIEDGAKRALISALSADLNRSTTGLLESMRRSEPEMRVDAARVLDAILNSGLCDKDSRSRVADNSDLLRELIRLIGPAEDGSIDRDSTGAGLSCLLALSSVKRARARMVEEGLVPALARALTEKSSTVPAPTAEKALKLMEAASSCAEGRAAICAGAAEAVVAVVNRMMKAGKEGAESAVIVLWTLCHLYRDRKAQEAVAGANGVLTKILLLMQGDCSPAMRQMSGDLLRIFRVNSKSCLSGYDTKTTHIMPF >DRNTG_27706.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15489633:15490008:-1 gene:DRNTG_27706 transcript:DRNTG_27706.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEVPPVTEDPPPVCMFSQSQAHDRFERLERAVGVILTGIAEALAEIADIRDAQAIQHTEFMAPQVDQPCTSSGAAAEQEDDINT >DRNTG_30598.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8206701:8209456:1 gene:DRNTG_30598 transcript:DRNTG_30598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLILRKNSVTRSYKRPRTDPLSSGGVYWPRLYAEVHIERYVCLPRQHFGELREIDLVILGEIRLEVFGVLYCMSLIEFSIHLGLYGPEFIRTQAYDALLISRPSTTDTKSPDSNSAPEPPLATSPYPRPTVSGMIFCSSIRFKWLQRHVLHIAVHLGVLEMPLMQRSTALDSAILLSLYVFAVILSFCRLLCSSIRKGSPLRYWHFGLFKFLVFAFYPITDFAHLVSQFVSQGIYGFLELATRESGTITLCVCLGGIP >DRNTG_05551.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12722176:12723998:-1 gene:DRNTG_05551 transcript:DRNTG_05551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQSTTSTTTLDVSPTDDDAPTPPPPATASCQRSRSPPHAPIP >DRNTG_26715.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4926117:4928184:-1 gene:DRNTG_26715 transcript:DRNTG_26715.1 gene_biotype:protein_coding transcript_biotype:protein_coding PIKLIKKRLNANIQSKEKTKNRINEQPCAMQSYKRIKRTQAAWQDKDKLMRYNYIDFNKENVKEKRIETLL >DRNTG_30256.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:306386:307382:-1 gene:DRNTG_30256 transcript:DRNTG_30256.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTLPSSTFFSLVYFLSCLLLVQLSLTKCSTFTITNNCQHPIWPGTLAGAGTAQLPSTGFRLEPGRSVRLPAPPRWSGRIWARTGCAFGPDGAGKCETGDCGGHMECGGSGALPPTTLFEITLGEGTNKDFYDISLVDGYNLPLVAIPRSTQGGTCNFTGCISDINLGCPKELQMEGGGSEVVGCRSACEVFGLDEYCCSGEYGNPGTCKPSGYSNYFKKSCPTAYSYAFDDASSTFTCNAFDYNLVFCPPTNG >DRNTG_30256.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:304668:307382:-1 gene:DRNTG_30256 transcript:DRNTG_30256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTLPSSTFFSLVYFLSCLLLVQLSLTKCSTFTITNNCQHPIWPGTLAGAGTAQLPSTGFRLEPGRSVRLPAPPRWSGRIWARTGCAFGPDGAGKCETGDCGGHMECGGSGALPPTTLFEITLGEGTNKDFYDISLVDGYNLPLVAIPRSTQGGTCNFTGCISDINLGCPKELQMEGGGSEVVGCRSACEVFGLDEYCCSGEYGNPGTCKPSGYSNYFKKSCPTAYSYAFDDASSTFTCNAFDYNLVFCPPTNGPTKPNDFNSAQPNKGNSNDRQTQPNYGYSNGNQPQPNNGPSNGSQPQPNYGYSNGDQPQPNNGPSNGSQTQPNYGYSNGDQPQPNNGPNNGSQPQPNYGYSNGDQPQPNYGPNNGSQPQPNYGYGNGDQSQPDYEYDDNGDQPGEITSSASIVSFQIGFCILILFPLIF >DRNTG_31922.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001762.1:8848:15976:1 gene:DRNTG_31922 transcript:DRNTG_31922.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQNAMTSKESIKVGEELPYQSRRPSPQTPSRLSLNVYAQSSIKSPLRIGPKVSPSGVPLLPLHSIVTAKNSWNM >DRNTG_11697.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:385200:411497:-1 gene:DRNTG_11697 transcript:DRNTG_11697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIVKGVEGMEGILRRRDLPSFMRMVKSTEDNYIKLMNGFNSNLISSKAIIFNSFDALDPTLLPIMSSYYPPIFTIGPLHLLTKFFNITNEEEQEQEQEQLGENSCSSSLRQEDRACMTWLDNQPDKSVIYVSFGTVAVMSPEQFIEFWHGLVNSGHRFLWAVREDMVERREEMEVTKEMEEGAKKRGCMVEWVPQEEVLAHRAVGCFLTHCGWNSTLEGMVAGVPMICWPYFSDQMINSRFVSDVWRIGLDMKDTCDRNTVERMVREVMEGENALELRSSAARMADLARKSIEENGTSRANFESLVSYIKSAELPRGS >DRNTG_00342.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18762146:18769217:-1 gene:DRNTG_00342 transcript:DRNTG_00342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGNIYKRRLKVFTVALVIYLDYKTVERRVKWVKRAKKSAIWEKTHERNAKRVLNLIIELKGLWVKLGQYLSTRADVLPEAYISLLRQLQDSLPPRPLEEVCRTIQRELGKPMDDLFSNFVNQPIATASIAQVHRATLKDRREVVVKVQHDDIKEIILEDLKNAKAIVDWIAWAEPQYDFNPMIDEWCREAPKELDFNQEAENTRTVAKNLCCNGVDDNTDHGNRVNVLIPEVILSSEKVLILEYMDGIRLNDSESLEAYGVDKQKIVEEITRAYAHQIYLDGFFNGDPHPGNFLVSKEPPHRPILLDFGLTKSISSTMKRALAKMFLACAEGNHVALLSSFAEMGLKLRLDMPEQVMDVATVLFRNSTPPQEAQETMKNLAEQREKNLKILQEKMNLDKKQIKNFNPVDAFPGDAVIFMRVLNLLRGLSSTLNTRIVYLDIMKPFAESTLRESMGSGPEMSKQWIFNTPVHSDVEVKLRQHLIELGDKILGIQVCAYKDGKVVIDTAAGVLGKYDPRPVQPDSLFPVFSVTKGITAGMVHWLVDKGKLKLEENIANIWPDFGTNKKELIKVHHVLNHTSGLHNAMADLQMANALLMCDWDESLRHIANSVPQTEPGSQQLYHYLSFGWLCGGIIEHASGKKFQQVLEEAIIHPLNIEGELYIGIPPGVESRLATLTLDTGELEKLAEISSNPELPVNLRQGNLADMAAGLPIIFNTLNVRRAIIPAANGHCSARALARYYAALAIGGVIPPLHSLHSEPPLGTHVHIPKLPSLKIKNKRHGKKMTSNGNNNTDHSSRITTNKKGYSLIDDNANEIAITKTSKMFTNPKIHDSFMGLGDYENLVLPGGKFGLGFRRFQSATGKLTSFGHSGVGGSTGFCNIEHDFAIAVTVNKMSLGGVTRSIIQLVCSELNVPLPDEFSSFGEKGPDMQLNLNVS >DRNTG_18905.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3212487:3213574:1 gene:DRNTG_18905 transcript:DRNTG_18905.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSRSLPLPTIHSLRYDLGLPADFADSDLFHVSRRSDGIPYLVLNSWRDDLAVSELQRRHLATINSPSYRDLKLTPISFPMRFPRGYGSMNKVKAWMEEFHRLPYISPYEDSSGIDPESDLMEKRVVGILHEVLSLMIHKKTKRNYLRGLREELNLPHRFTRVFTRYPGIFYLSLKCKTTTLVLREGYERGKLVEPHPIALVRNKYYYVMRTGVLYRGKGLTRLALEEDDPLGINGSEDRMGEEVMVNDADEEEKCESDGNDECYEMSSSGSDLESDLGSDEY >DRNTG_18905.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3212487:3214732:1 gene:DRNTG_18905 transcript:DRNTG_18905.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSRSLPLPTIHSLRYDLGLPADFADSDLFHVSRRSDGIPYLVLNSWRDDLAVSELQRRHLATINSPSYRDLKLTPISFPMRFPRGYGSMNKVKAWMEEFHRLPYISPYEDSSGIDPESDLMEKRVVGILHEVLSLMIHKKTKRNYLRGLREELNLPHRFTRVFTRYPGIFYLSLKCKTTTLVLREGYERGKLVEPHPIALVRNKYYYVMRTGVLYRGKGLTRLALEEDDPLGINGSEDRMGEEVMVNDADEEEKCESDGNDECYEMSSSGSDLESDLGSDEY >DRNTG_18905.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3212487:3214991:1 gene:DRNTG_18905 transcript:DRNTG_18905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSRSLPLPTIHSLRYDLGLPADFADSDLFHVSRRSDGIPYLVLNSWRDDLAVSELQRRHLATINSPSYRDLKLTPISFPMRFPRGYGSMNKVKAWMEEFHRLPYISPYEDSSGIDPESDLMEKRVVGILHEVLSLMIHKKTKRNYLRGLREELNLPHRFTRVFTRYPGIFYLSLKCKTTTLVLREGYERGKLVEPHPIALVRNKYYYVMRTGVLYRGKGLTRLALEEDDPLGINGSEDRMGEEVMVNDADEEEKCESDGNDECYEMSSSGSDLESDLGSDEY >DRNTG_33497.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002008.1:51020:51863:1 gene:DRNTG_33497 transcript:DRNTG_33497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEMLSAVVPVIVYCVVSGFYKLFLDGLIFYTLGTFLAFLVSGMTVKTSIYFYSFIVIKFLDLHSGLYFPWNPLQAFFPNNCTFHDTHHQLQGQKYNFSQPFFVSWDKILGTYRPFNYREEEGRRLSSLSSYQE >DRNTG_28725.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2390424:2391558:-1 gene:DRNTG_28725 transcript:DRNTG_28725.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVEADGHYVRPIVVSSLYIYSGETYSVIVKADQDPSRNYWTAVNVVSRKPQTPTGFAIFNYYPNHPMKTPSTILPLGPLWNDSASRLKQDMALKAHPDYNNPPPGKSDRLILLLNTQNRINGYTRWSVNNVSFTLPHTPYLIALKERLHNVFDQKPAPDTYDYKTYNIHQPPPNPNATYSDSIYRLKFNSTVDVVLQNANTLNANNSETHPWHLHGHDFWVVGHGVGKFDPEKDPKGFNLVDPIEKNTVAVQPYSWTAFRFRADNPGVWAFHCHIESHFFMGMGVVFEEGVHRVGKLPSRIKGCGASRV >DRNTG_28725.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2390309:2391558:-1 gene:DRNTG_28725 transcript:DRNTG_28725.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVEADGHYVRPIVVSSLYIYSGETYSVIVKADQDPSRNYWTAVNVVSRKPQTPTGFAIFNYYPNHPMKTPSTILPLGPLWNDSASRLKQDMALKAHPDYNNPPPGKSDRLILLLNTQNRINGYTRWSVNNVSFTLPHTPYLIALKERLHNVFDQKPAPDTYDYKTYNIHQPPPNPNATYSDSIYRLKFNSTVDVVLQNANTLNANNSETHPWHLHGHDFWVVGHGVGKFDPEKDPKGFNLVDPIEKNTVAVQPYSWTAFRFRADNPGVWAFHCHIESHFFMGMGVVFEEGVHRVGKLPSRIKGCGASRV >DRNTG_28725.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2390424:2392725:-1 gene:DRNTG_28725 transcript:DRNTG_28725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVEADGHYVRPIVVSSLYIYSGETYSVIVKADQDPSRNYWTAVNVVSRKPQTPTGFAIFNYYPNHPMKTPSTILPLGPLWNDSASRLKQDMALKAHPDYNNPPPGKSDRLILLLNTQNRINGYTRWSVNNVSFTLPHTPYLIALKERLHNVFDQKPAPDTYDYKTYNIHQPPPNPNATYSDSIYRLKFNSTVDVVLQNANTLNANNSETHPWHLHGHDFWVVGHGVGKFDPEKDPKGFNLVDPIEKNTVAVQPYSWTAFRFRADNPGVWAFHCHIESHFFMGMGVVFEEGVHRVGKLPSRIKGCGASRV >DRNTG_04007.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000191.1:14344:18862:1 gene:DRNTG_04007 transcript:DRNTG_04007.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSFGRRLLSLLPSLICSPLPLLFEKGVTTSSSSNRHHQCDEEGSHSCGLWRSRRR >DRNTG_04007.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000191.1:14344:14942:1 gene:DRNTG_04007 transcript:DRNTG_04007.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRLTLLWTVEIEAAMMSISSPTFTSE >DRNTG_18926.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12743205:12743944:1 gene:DRNTG_18926 transcript:DRNTG_18926.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNRARQSLTMGQERLAELLHPNEARGGRPG >DRNTG_18926.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12737023:12742965:1 gene:DRNTG_18926 transcript:DRNTG_18926.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMHKTLAERAKYMIPTPCLQSISISALNVSFYNKFCRNTAFFSNCSSGSPNFNPNPEPKSVQADFGEHDELVKQVEDHSMRGVTGLPQISNSCEDANLGCSFGKFDSFDDNEGSDRDSESSDGDLDDDFGVLDLFEKNQDQNLVKERGFQAATDESGNPLVRETCRLIGLRQSWNPKFEGQLRHLLRTMQPRQVCAVLRCQADLRVAANFFYWADRQWRYRHAPEVYYTMLEILSKTKLCQTARRILRLMIRRRISRRPEVFGHLMVMYSRAGKLRSAMRVLNLMQKDGCSPNLSICNTAIHVLVMADRLEKALRFLDRMQRVGIGPDVVTFNCLIKGFCNAHRVADALEMIQEMSNRGCPPDKISYYTVMTLLCKEKRIQEVRGLLEKMRKDANLLPDQVTYNTVIHVLSKHGHADEALELLQESEAKGFRIDKVGYSAVVHAFCWNGRMDEAKEVVNEMFLKGCVPDVVTYSAIVNGYCKLGKMGHARKMLKYMYKHGCKPNTVTHTAVLNGLCRSGKSLEAMELLNRSEEEWWTPSNITYSVVMHGLRREGKLDEACELVMQMLQNGFFPTTVEINLLIHALCLERRAEKAKEFIEACQSKGCTINVVNFTTIIHGFCQEGDLKSALSLLDDMYLSSRHPDVVTYTVLVDALGKRERFHEATELIKKMLHRGLVPTPVTYRTVIHRYCEKGRVEDLLKLLDTMLIRQDFRCAYNLVIEKLCAFDRLDEAYKVLGKVLRTASIIDAETCHIMMQSYLRKGLPLQSYKVACRMFHRNLIPDVKLCQKVSSRLVLKGHPHEAEKLMLHFIERGHFVRQHI >DRNTG_18926.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12737023:12743944:1 gene:DRNTG_18926 transcript:DRNTG_18926.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHKTLAERAKYMIPTPCLQSISISALNVSFYNKFCRNTAFFSNCSSGSPNFNPNPEPKSVQADFGEHDELVKQVEDHSMRGVTGLPQISNSCEDANLGCSFGKFDSFDDNEGSDRDSESSDGDLDDDFGVLDLFEKNQDQNLVKERGFQAATDESGNPLVRETCRLIGLRQSWNPKFEGQLRHLLRTMQPRQVCAVLRCQADLRVAANFFYWADRQWRYRHAPEVYYTMLEILSKTKLCQTARRILRLMIRRRISRRPEVFGHLMVMYSRAGKLRSAMRVLNLMQKDGCSPNLSICNTAIHVLVMADRLEKALRFLDRMQRVGIGPDVVTFNCLIKGFCNAHRVADALEMIQEMSNRGCPPDKISYYTVMTLLCKEKRIQEVRGLLEKMRKDANLLPDQVTYNTVIHVLSKHGHADEALELLQESEAKGFRIDKVGYSAVVHAFCWNGRMDEAKEVVNEMFLKGCVPDVVTYSAIVNGYCKLGKMGHARKMLKYMYKHGCKPNTVTHTAVLNGLCRSGKSLEAMELLNRSEEEWWTPSNITYSVVMHGLRREGKLDEACELVMQMLQNGFFPTTVEINLLIHALCLERRAEKAKEFIEACQSKGCTINVVNFTTIIHGFCQEGDLKSALSLLDDMYLSSRHPDVVTYTVLVDALGKRERFHEATELIKKMLHRGLVPTPVTYRTVIHRYCEKGRVEDLLKLLDTMLIRQDFRCAYNLVIEKLCAFDRLDEAYKVLGKVLRTASIIDAETCHIMMQSYLRKGLPLQSYKVACRMFHRNLIPDVKLCQKVSSRLVLKGHPHEAEKLMLHFIERGHFVRQHI >DRNTG_18926.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12743205:12744237:1 gene:DRNTG_18926 transcript:DRNTG_18926.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNRARQSLTMGQERLAELLHPNEARGGRPG >DRNTG_18926.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12737023:12744014:1 gene:DRNTG_18926 transcript:DRNTG_18926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHKTLAERAKYMIPTPCLQSISISALNVSFYNKFCRNTAFFSNCSSGSPNFNPNPEPKSVQADFGEHDELVKQVEDHSMRGVTGLPQISNSCEDANLGCSFGKFDSFDDNEGSDRDSESSDGDLDDDFGVLDLFEKNQDQNLVKERGFQAATDESGNPLVRETCRLIGLRQSWNPKFEGQLRHLLRTMQPRQVCAVLRCQADLRVAANFFYWADRQWRYRHAPEVYYTMLEILSKTKLCQTARRILRLMIRRRISRRPEVFGHLMVMYSRAGKLRSAMRVLNLMQKDGCSPNLSICNTAIHVLVMADRLEKALRFLDRMQRVGIGPDVVTFNCLIKGFCNAHRVADALEMIQEMSNRGCPPDKISYYTVMTLLCKEKRIQEVRGLLEKMRKDANLLPDQVTYNTVIHVLSKHGHADEALELLQESEAKGFRIDKVGYSAVVHAFCWNGRMDEAKEVVNEMFLKGCVPDVVTYSAIVNGYCKLGKMGHARKMLKYMYKHGCKPNTVTHTAVLNGLCRSGKSLEAMELLNRSEEEWWTPSNITYSVVMHGLRREGKLDEACELVMQMLQNGFFPTTVEINLLIHALCLERRAEKAKEFIEACQSKGCTINVVNFTTIIHGFCQEGDLKSALSLLDDMYLSSRHPDVVTYTVLVDALGKRERFHEATELIKKMLHRGLVPTPVTYRTVIHRYCEKGRVEDLLKLLDTMLIRQDFRCAYNLVIEKLCAFDRLDEAYKVLGKVLRTASIIDAETCHIMMQSYLRKGLPLQSYKVACRMFHRNLIPDVKLCQKVSSRLVLKGHPHEAEKLMLHFIERGHFVRQHI >DRNTG_18926.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12743205:12744014:1 gene:DRNTG_18926 transcript:DRNTG_18926.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNRARQSLTMGQERLAELLHPNEARGGRPG >DRNTG_18926.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12737023:12743778:1 gene:DRNTG_18926 transcript:DRNTG_18926.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMHKTLAERAKYMIPTPCLQSISISALNVSFYNKFCRNTAFFSNCSSGSPNFNPNPEPKSVQADFGEHDELVKQVEDHSMRGVTGLPQISNSCEDANLGCSFGKFDSFDDNEGSDRDSESSDGDLDDDFGVLDLFEKNQDQNLVKERGFQAATDESGNPLVRETCRLIGLRQSWNPKFEGQLRHLLRTMQPRQVCAVLRCQADLRVAANFFYWADRQWRYRHAPEVYYTMLEILSKTKLCQTARRILRLMIRRRISRRPEVFGHLMVMYSRAGKLRSAMRVLNLMQKDGCSPNLSICNTAIHVLVMADRLEKALRFLDRMQRVGIGPDVVTFNCLIKGFCNAHRVADALEMIQEMSNRGCPPDKISYYTVMTLLCKEKRIQEVRGLLEKMRKDANLLPDQVTYNTVIHVLSKHGHADEALELLQESEAKGFRIDKVGYSAVVHAFCWNGRMDEAKEVVNEMFLKGCVPDVVTYSAIVNGYCKLGKMGHARKMLKYMYKHGCKPNTVTHTAVLNGLCRSGKSLEAMELLNRSEEEWWTPSNITYSVVMHGLRREGKLDEACELVMQMLQNGFFPTTVEINLLIHALCLERRAEKAKEFIEACQSKGCTINVVNFTTIIHGFCQEGDLKSALSLLDDMYLSSRHPDVVTYTVLVDALGKRERFHEATELIKKMLHRGLVPTPVTYRTVIHRYCEKGRVEDLLKLLDTMLIRQDFRCAYNLVIEKLCAFDRLDEAYKVLGKVLRTASIIDAETCHIMMQSYLRKGLPLQSYKVACRMFHRNLIPDVKLCQKVSSRLVLKGHPHEAEKLMLHFIERGHFVRQHI >DRNTG_03089.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:456516:459729:-1 gene:DRNTG_03089 transcript:DRNTG_03089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLLEKHWDNIVTESYEWQSFDYPTDTLLPGMKLGWNLTSKFNRYLSGWTSASDPSPGPFFMGIHTNGDPQTILQTIRSWFWRGGNWDGVRFTGIPEMKTYNAFNFTFVNNKDEIYYMYHILDDSIISRLIVNNDGTTQRLVWLNQSSTWNIFWFAPKDPCDNYSQCGPFGICNPNDSPMCNCLQGFSPKSPTNWAMRDGTDGCVRLTSLDCKNGTDGFVMVSMAKLPDTSNATVNFSMTLDECRTLCLSNCECTAYANAYITDNGTGCIIWTSDLTDLRVYTYGGQNLYVRLAAADLGSNQSESQGHKQGIVVVVVAVVLGTLLIILIGFCIFMKKKKKRRAMLGTFSFEDLLDKHGGTSRTEELELYDLDTVKVATNYFSNQNKLGEGGFGLVYKGTLADGQDIAVKRLSKTSVQGTDEFKNELLLIAKLQHRNLVRLLGCCIQDDERMLIYEYMPNKSLDAFLFDKVKGASLDWSTRFSIIMGIAKGLLYLHEDSRLRIIHRDLKASNILLDKEMTPKISDFGMARIFGGDETEVNTKKVVGTYGYMAPEYAMDGVFSVKSDVFSFGVLVLEIISGQKNRGVYFCESYLNLLGHAWSLWMEENCMELVDESIGHAFPMSQVFRCIKVGLLCVQDRPEDRPTMSSVALMLGSENALLPNPKRPGFIAQTGSFDLDTLTNQKSFTMNVMTVTVVDGR >DRNTG_17699.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28430470:28432036:1 gene:DRNTG_17699 transcript:DRNTG_17699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHLLVSALHVGPPPLLGEAPREGKKEPSTHDDHQRKESEVLEVELNQMSEENKRLSETLSAVFAHYTALRNQLHDLISLSGKRKSCESSHEDGGAMECKKPREEHRATKISKVCVRTDPSDTGLIVKDGYQWRKYGQKVTRDNPSPRAYYRCSFAPSCPVKKKVQRSAEDKSILVATYEGEHTHGNMDSTTIAVDLTQPDVKPPEIRRVMVEQMASSLAKDPSFTDALASAISGRINLQLSPGWK >DRNTG_17699.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28431088:28432036:1 gene:DRNTG_17699 transcript:DRNTG_17699.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEENKRLSETLSAVFAHYTALRNQLHDLISLSGKRKSCESSHEDGGAMECKKPREEHRATKISKVCVRTDPSDTGLIVKDGYQWRKYGQKVTRDNPSPRAYYRCSFAPSCPVKKKVQRSAEDKSILVATYEGEHTHGNMDSTTIAVDLTQPDVKPPEIRRVMVEQMASSLAKDPSFTDALASAISGRINLQLSPGWK >DRNTG_22382.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16988343:16990928:-1 gene:DRNTG_22382 transcript:DRNTG_22382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDHGFLPTVRSCNAFLSSLLNHGRNDIVISFYREMKRCRISPNVFTLNMVLCALCGLGRLEKAMDELEKMEIMGISPNVASFNTMIAGYCKKGLLSSALKLKNGMLGKGLEPNVITFNTLIHQFCVEGKMHGANRIYREMKVAEVSPNTVTFNTLIAGYCQENNGEMGFRVYEEMVKNGVEVDILTYNSLILGLCNEGKTRKAAHLVRELDRGKLVPNASTYFALITGQCKKQNSERALEIYKVMKMSGCHPNAETFNLLISTFVKNKDFEGAAEVLKEMLERWMVPGKVLLTKLFDGLHLSGKRHLVNELLSDVNGGRFVSRVLSE >DRNTG_22382.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16989295:16990928:-1 gene:DRNTG_22382 transcript:DRNTG_22382.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDHGFLPTVRSCNAFLSSLLNHGRNDIVISFYREMKRCRISPNVFTLNMVLCALCGLGRLEKAMDELEKMEIMGISPNVASFNTMIAGYCKKGLLSSALKLKNGMLGKGLEPNVITFNTLIHQFCVEGKMHGANRIYREMKVAEVSPNTVTFNTLIAGYCQENNGEMGFRVYEEMVKNGVEVDILTYNSLILGLCNEGKTRKAAHLVRELDRGKLVPNASTYFALITGQCKKQNSERALEIYKVMKMSGCHPNAETFNLLISTFVKNKDFEGAAEVLKEMLERWMVPGKVLLTKLFDGLHLSGKRHLVNELLSDVNGGRFVSRVLSE >DRNTG_10595.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7381690:7390601:1 gene:DRNTG_10595 transcript:DRNTG_10595.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 2A [Source:Projected from Arabidopsis thaliana (AT1G30825) UniProtKB/Swiss-Prot;Acc:Q8LGI3] MRFQDSVDIVLATSFLQEFVDARRSPGLNNAPPCMWSLSPPLELKGVPPHALTANAGFVKFVIFPRHVEGRKLDKTVWSLSTFHAYVSYHVKCSEAFMHTRMRRRVESLIQALDRAKPGNEKSKLLQGKSFKRLSLKDRHSNSNS >DRNTG_10595.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7381690:7390601:1 gene:DRNTG_10595 transcript:DRNTG_10595.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 2A [Source:Projected from Arabidopsis thaliana (AT1G30825) UniProtKB/Swiss-Prot;Acc:Q8LGI3] MILLQSPSRFLLQILQNRVRNLDKGIDLDCHSVEFDDVRYHIQVSMKAPQSMVLSMALPAPPPEAIFYGGLPFGAIEAIKGAFGMIVQILDPPKDGYNLTMKINLGKLPPDEEKKQALLVKIASVREVVLGAPLRVVLKHLSSRTVASDADGPIALVHRPKESFFLVPQAEKVTVVFPMRFQDSVDIVLATSFLQEFVDARRSPGLNNAPPCMWSLSPPLELKGVPPHALTANAGFVKFVIFPRHVEGRKLDKTVWSLSTFHAYVSYHVKCSEAFMHTRMRRRVESLIQALDRAKPGNEKSKLLQGKSFKRLSLKDRHSNSNS >DRNTG_23067.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2373672:2374447:1 gene:DRNTG_23067 transcript:DRNTG_23067.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDGVNDAPALKLADIGISMGITGTEVAKQASDMVLADDNFSTIVAAVAEGRSIYNNMKSFIRYMISSNMGEVISIFLTAALGIPECLIPVQLLWVNLVTDGPPATALGFNPPDLDIMQRSPRNSDDSLINSWVLFRYM >DRNTG_15069.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6171279:6176504:-1 gene:DRNTG_15069 transcript:DRNTG_15069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCKSSVEDGFPIENCEEDIFAISKSLQMGDMENVITKPTVPSFVEKELNELPTHLEYAFLDATDVRVQNIETTLRCHEASFKNLEHQLGGILDTLSKEQQVFEKAIQVSCRNDVVVNDNEEVRQIVYIGADNEKKEVEYHFEILDCLNEDCACERENFQRDLLVSCSFQAENTLEEANPKVMEQASIFWIDQLINCKKEILGLEEDVGMRLKPSNDPPVLSLDNSQPKLFPWRPKACIFTRSSSRDGIGAWTHPFEQPCDGPRPCVISA >DRNTG_15085.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4075621:4076437:1 gene:DRNTG_15085 transcript:DRNTG_15085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQVYTPNHHPINWHATISNYILNSTLSLSGLGLHGLISKYVDMAVKCRRLVDVAMSGGLIHCEKQVAASVRVVIGLPSVQCDKEGQECVVCKEEMEVGRDLCRLPCDHVFHWMCILKWLRRTNTCPCCRMELETDDVFFEIDRIWRKAAGMCARRCATSGGASGGNGGGLRRHGV >DRNTG_10094.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20732606:20733191:1 gene:DRNTG_10094 transcript:DRNTG_10094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAIKGLYISCDVPMAQFIVSLNASMPASQKFILHMLDSTHMFVQPHVAEMIRSKISEFRDQNSYEKPA >DRNTG_20552.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:571148:575537:-1 gene:DRNTG_20552 transcript:DRNTG_20552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRGERWELQLKGAGKTPYSRFADGLAVLRSSIREFLCSEAMNGLGIPTTRALCIVTTGKNVSRDMFYDGNPKDEPGAIVCRVAQSFLRFGSYQIHASRGKEDLEIVRILADYTIRYHFPHLEKMEKSESLSFEVGQEGGSVVDLTSNKYAAWSVEVAERTASLVAGWQGVGFTHGVLNTDNMSILGLTIDYGPFGFLDAFDPSYTPNTTDLPGRRYCFANQPDIGLWNIAQFTTALMAANLISKDEANYTMERYGNKFMDEYQSIMTRKLGLPKYNKQLISKLLNNMAVDKVDYTNFFRALSNIKADTTIPESELLVPLKSVLLDIGKERKEAWTSWVQTYIQELASSGVPDDERKAVMNSVNPKYILRNYLCQSAIDTAEQGDYAEVWRLLKVMQNPYEEQPGMEKYARLPPAWAYRPGVCMLSCSS >DRNTG_13799.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000641.1:76014:81293:-1 gene:DRNTG_13799 transcript:DRNTG_13799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPQPRIELSPLATAAAAPSILDFKPNIDIFEAFDEVKLFLMKRRGQEIYVGPLGRHSCHLIEYFEGIERVSKIKDRYNPATWMLEVTTQAQEEILEYRDCKPENAMDMNYNSIYSRAEYFDEDGDLSWFNGGVRVGVGLMVEWD >DRNTG_10887.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11673256:11678795:-1 gene:DRNTG_10887 transcript:DRNTG_10887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHVELKVQTVAWNDISCYSYHGQCTTQQPLEESVEEYIARIQGQSCELDNVIKQFEESTSVSMSDQLEESVERILVSLVLYKGPLVKQTAHLSGSVMTKAPTITILKEKHGVKAVEPHRTENPNGHVEIPHARVKNPQGRPQGRVDPRFQPYLKPIQPRFQDSFHDLFPNSKEGFG >DRNTG_30239.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:193689:195740:1 gene:DRNTG_30239 transcript:DRNTG_30239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWVDSGKLMMNLSGWYRRTLAASATSSSAGVAWKRRLDVAPLRCSPLEQDIDIQRDLQSQDEDLAILCEPCKGTGWLVCDLCKGQKTNVKAKNNRIYRRCPTCKAVGYILCSKCKVFKCVTFPDFEDGDLLVT >DRNTG_11547.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9890224:9894250:-1 gene:DRNTG_11547 transcript:DRNTG_11547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDSLNLREKSPSLSQVSEVRHRLLLLSLLLFRCRRFPSNVESSVKEFITQSRESKVGVSLALEKIFPHSSVDIRNFRCENHICMLVCSKDEGGLLQERMEIVAELWQANLKAKLVPLLDPSLTKQYKYASEHDLKCHIVIIEVGLSQTGLVKVIISFFL >DRNTG_11547.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9890224:9891412:-1 gene:DRNTG_11547 transcript:DRNTG_11547.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCSKDEGGLLQERMEIVAELWQANLKAKLVPLLDPSLTKQYKYASEHDLKCHIVIIEVGLSQTGLVKVIISFFL >DRNTG_12436.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19676482:19676829:1 gene:DRNTG_12436 transcript:DRNTG_12436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACYDCAITVFFSEGRLFLVLEAVLKGNTVVGVCGTDTIILGVEMKSTTNLQDSRTVKNIINQDNHIALACTGLKADVHVNKVRIECQSHRLTVEDPGTIEYITQYIAGMQQKYM >DRNTG_22926.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9827401:9828253:1 gene:DRNTG_22926 transcript:DRNTG_22926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLYITTAIHCFLSQYSEAIPILHESIEIPNLEECHVMLLLSPLGLGLEIRKSVLGVKDPRVGETCRYLAEAHVQAMQFDEAESLCRMALDIHGKMVLQSYCENAPQSYGKLDPGTSSDEIASGFTDIAAIYESVKEPKEAAPKGFEDL >DRNTG_06918.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000317.1:49455:49778:1 gene:DRNTG_06918 transcript:DRNTG_06918.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREVAEHPLSPPDVIYPTTSFQEFFAESFALTKGLDLYIGIGLSLGIPKVSCNSTQCEVLRLINFSAGT >DRNTG_27800.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27165274:27169736:-1 gene:DRNTG_27800 transcript:DRNTG_27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRASPSRCSRCPTCSGPLSKDVETSSWTVAPLIRDSFCMIGSSVGGIASAFYGFNHAMPIVRRFVKGPMWLHFMIGAPPVIVFSSACAGLAG >DRNTG_21787.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:16695798:16697689:-1 gene:DRNTG_21787 transcript:DRNTG_21787.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISPGQTIDLLLVANQKLNTSSPSSGNRYYMAASLYGDAPGVKYDNTTTTAILEYVTPGSNKTFLDSTPTLPSLPTNNDTDAVTTFVTQLRSLASKEHPVDVPQTINERLIITVSVNVLPCDVNYTCLGPYNMSISASLNNISFEDPTTTPILDAYYHQIPGVFEEGFPSRPPFSYNFTNDDLPKYSMIPKTGTEVRMVEYNTSIELVFQGTSLLAIENHPMHLHGQSVYMVGMGFGNFDEQKDPQGYNLVDPPFLNTVGVPKVGWTAIRFKAKNPGVWFMHCHVDRHQSWGMNTVFIVKNGATPESKILPPPKDMPQC >DRNTG_21787.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:16695798:16699443:-1 gene:DRNTG_21787 transcript:DRNTG_21787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLILKLLRLLLLYRLLSCPVSAKTHHHKFFITEANYTRLCQTKSIMTVNGQFPGPTIYARRGDTIIVNVVNHVESNITLHWHGVHQPRNPWYDGPEYITQCPIRPGHEFSYEILLSDEEGTIWWHAHSNWDRATVHGAIFVYPKLGSSFPFAKPYKEIPIILGEWWKDDVNKVLDYALHTRGDSNSSDAYTINGQPGDLYNCSKPGTFTAQVEYGKTYLLRVISAAINNELFFAVAQHQLTVVGADGSYTKPFNTDVIMISPGQTIDLLLVANQKLNTSSPSSGNRYYMAASLYGDAPGVKYDNTTTTAILEYVTPGSNKTFLDSTPTLPSLPTNNDTDAVTTFVTQLRSLASKEHPVDVPQTINERLIITVSVNVLPCDVNYTCLGPYNMSISASLNNISFEDPTTTPILDAYYHQIPGVFEEGFPSRPPFSYNFTNDDLPKYSMIPKTGTEVRMVEYNTSIELVFQGTSLLAIENHPMHLHGQSVYMVGMGFGNFDEQKDPQGYNLVDPPFLNTVGVPKVGWTAIRFKAKNPGVWFMHCHVDRHQSWGMNTVFIVKNGATPESKILPPPKDMPQC >DRNTG_03189.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18977085:19003260:-1 gene:DRNTG_03189 transcript:DRNTG_03189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKANLIGSIPDSFSNLSALQQLDLARNNLTGPIPAGIWMLPNLEYLYLYANHLSEDFGKLNNLSGLGIYFNKFSEDFGKLNSLTGLGIYFNKFSGEIPSSVSLLPSLTSVRFFDNNLTGVLSPEFGKHSKLWEFDVSNNRISGELPAGLCAGGTLTSVVAFNNNLTGRLPDFLDHCLTLDNIQVYNNWLTGDVPSGLWSALNLTTVMMYNNQLSGTLPQKLSWNISILMIANNRFSGEIPSRAENLLVFDASYNQFSGEIPVNLTGISRLQFLSLQGNRISRGIPRSISALRNISILNLSYNELSGEIPVELGSLPVLKLLDLSGNQLTGEIPPAMAE >DRNTG_11730.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3762217:3765357:-1 gene:DRNTG_11730 transcript:DRNTG_11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLEKHLARFVQSANTRFESVEATLRNHTASLHNLENQVGQIAKSLSERPHGSLPSNTETNPREHVKAITLRSGREVEGRLPRVFALVLPAPEIAEDEGDKAG >DRNTG_10778.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000460.1:81150:85190:1 gene:DRNTG_10778 transcript:DRNTG_10778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWSSSQSRSPSSTSSSSSSSNSLNRRACCSSLFFFCHNLNRRMDNPTTTTTARHMISTSRPTLLLLDLELVSAFELSFEVAGLSARPPMACREIEAKRASRSFDLRTLSSPLFISRSLFLSQNFFFFSL >DRNTG_09436.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21197395:21198786:1 gene:DRNTG_09436 transcript:DRNTG_09436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVNDDCKLKFLELKAKRTHRFIVFKIDEKLKQVIVEKVGEPMLGYEDFAASLPANECRYAIFDFDFVTEENCQKSKIFFIAWSPDTSRVRSKMLYASSKDRFKRELDGIQVELQATDPTEMGLDVIRGRAY >DRNTG_23059.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23072420:23074372:-1 gene:DRNTG_23059 transcript:DRNTG_23059.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVSNEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTEDVITRFEALGWHTIWVKNGNQGYNEIRAAIKEAKAVKDRPTLIKVTTTIGFGSPNKANSYSVHGSALGAKEVDATRQNLAWPFELFHVPDDVKSHWSRHIFEGASLEADWNFKFAEYEKKYPEGAVELKKIMSGELPAGWEKALPTYTPESPADATRNLSQQCLNALAKVLPGFLGGSADLASSNMTLLKMFGNFQSTTPEERNLRFGVREHGMGAICNGIVSHSPGLIPYCATFFVFTDYMRAAIRISALSEGGVIYVMTHDSIGLGEDGPTHQPIEHLISFRAMPNILMFRPADGNETAGAYKVAVENRKRPSILALSRQKLPHLPGTSIEGVEKGGYIISDNSSSNKPDLILIGTGSELEIAAKAADELRKQGKTVRVVSLVSWELFDKQSDKYKESVFPSAVTARVSIEAGATLGWEKFVGSKGKAIGIDQFGASAPADKIYKEFGLTVENIIATANSL >DRNTG_03845.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4372153:4373297:-1 gene:DRNTG_03845 transcript:DRNTG_03845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLEKHLTRFLQSANTRFESVKDTLRNHTTSLHNLKNQVGQIAKSLSKRPHGSLPSNTETNPREHVKVITLRSGREEMFNPDPYEGLFDQEEDYEEVIMFGSTEEVPSTPGILKKVL >DRNTG_29767.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20385445:20390354:-1 gene:DRNTG_29767 transcript:DRNTG_29767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRSDDPCSDFRRSMAEMIVEKELYEPCDLEELLHCFLSLNSSHHHRAIIFDFSEIWDAFFSATTTGEQHPRENIDSCMFSQQWRRETKKTPGAMNATCDSPIMAELAVINLALHFCHSNVWILDNIYCDCPGVADLLKNFSVSTSWHINEEYCKLKRNLDCFPHTCVDYIPREDNEIADILAEFGRLNHQLSLFHQRLDRPS >DRNTG_23728.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16832209:16837183:1 gene:DRNTG_23728 transcript:DRNTG_23728.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISERSRVLVGMVLDVSLGIMDPLTPNRVTFLGLTGLASRHLWKARSVTTYTLPRYFSSVAAFGIPKLTWLDQKASSAKPGSRGIPLAAPLLERLSFKTVHGASTSYGSSRDGGRSWIGSQPPGENDVHGPGEYGYRSVESFSGLIGSGITPFSKEPYKLAIMDNGPDGPWGSRLLEENLDFNLRVPAPASVGSPSTIGSSSTRDRALVISLGIKAAVSYKGEARP >DRNTG_35262.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3357268:3358042:-1 gene:DRNTG_35262 transcript:DRNTG_35262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWASCVLHDEKERLERNKSLTKAEIDTYWKMHQEKEVEEKEKFKDDSNFLEEEEEENKNNRTTDWWTKTNWAFLNESPHEEMNKSNNYASQYHVAELVKKSLE >DRNTG_25575.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29733425:29736251:1 gene:DRNTG_25575 transcript:DRNTG_25575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSSKPSIETYSMLLGAIVKRFGKPPVSHIHLHSVKSLVRQMKASGIIPDTYALNLIIKAYSKCLEMDDAIRVFKEMALYNCEPNEYSYGYIAKGFCEKGRIREGLGFFKEMREKGFVPTSSVYVSMICSLAMERWFEEGVEVLFDMLRNGMRPDVLSYRTLLEEMCRDGKSEHAFELLEELGRRDGAMEKKVYDDLLGGLHWLCQPHD >DRNTG_25575.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29733425:29735317:1 gene:DRNTG_25575 transcript:DRNTG_25575.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSSKPSIETYSMLLGAIVKRFGKPPVSHIHLHSVKSLVRQMKASGIIPDTYALNLIIKAYSKCLEMDDAIRVFKEMALYNCEPNEYSYGYIAKGFCEKGRIREGLGFFKEMREKGFVPTSSVYVSMICSLAMERWFEEGVEVLFDMLRNGMRPDVLSYRTLLEEMCRDGKSEHAFELLEELGRRDGAMEKKVYDDLLGGLHWLCQPHD >DRNTG_25575.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29733425:29735216:1 gene:DRNTG_25575 transcript:DRNTG_25575.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSSKPSIETYSMLLGAIVKRFGKPPVSHIHLHSVKSLVRQMKASGIIPDTYALNLIIKAYSKCLEMDDAIRVFKEMALYNCEPNEYSYGYIAKGFCEKGRIREGLGFFKEMREKGFVPTSSVYVSMICSLAMERWFEEGVEVLFDMLRNGMRPDVLSYRTLLEEMCRDGKSEHAFELLEELGRRDGAMEKKVYDDLLGGLHWLCQPHD >DRNTG_25575.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29733425:29735979:1 gene:DRNTG_25575 transcript:DRNTG_25575.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSSKPSIETYSMLLGAIVKRFGKPPVSHIHLHSVKSLVRQMKASGIIPDTYALNLIIKAYSKCLEMDDAIRVFKEMALYNCEPNEYSYGYIAKGFCEKGRIREGLGFFKEMREKGFVPTSSVYVSMICSLAMERWFEEGVEVLFDMLRNGMRPDVLSYRTLLEEMCRDGKSEHAFELLEELGRRDGAMEKKVYDDLLGGLHWLCQPHD >DRNTG_33206.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:371621:373172:-1 gene:DRNTG_33206 transcript:DRNTG_33206.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 13 [Source:Projected from Arabidopsis thaliana (AT4G24250) UniProtKB/Swiss-Prot;Acc:Q94KB2] MSFFKQFHSSVSKSDYKSLRAGFIQTHHVTRETFDFHEYILRTLEDDFKKVVGISWYLWLFVVIFLLLNIKGWHSYFWLSFLPLILLLLVGAKLEHIITKLAQQMNERASHQQPRMKLSNEHFWFNRPALVLYLIHFILFQNAFELAVFFWIWSTYGFHSCIMESTKYLIPRLVVGVLIQVLCSYSTLPLYAIVTQMGESFKPAIFTERTQTTLFGWAKASKDHRRPKCFETLLKKIGLGKENPDTSDGGTQMHRMALEAGEPSHEAWQPNALDEITIAHSD >DRNTG_33206.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:371621:372998:-1 gene:DRNTG_33206 transcript:DRNTG_33206.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 13 [Source:Projected from Arabidopsis thaliana (AT4G24250) UniProtKB/Swiss-Prot;Acc:Q94KB2] MNERASHQQPRMKLSNEHFWFNRPALVLYLIHFILFQNAFELAVFFWIWSTYGFHSCIMESTKYLIPRLVVGVLIQVLCSYSTLPLYAIVTQMGESFKPAIFTERTQTTLFGWAKASKDHRRPKCFETLLKKIGLGKENPDTSDGGTQMHRMALEAGEPSHEAWQPNALDEITIAHSD >DRNTG_33206.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:371621:374395:-1 gene:DRNTG_33206 transcript:DRNTG_33206.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 13 [Source:Projected from Arabidopsis thaliana (AT4G24250) UniProtKB/Swiss-Prot;Acc:Q94KB2] MSFFKQFHSSVSKSDYKSLRAGFIQTHHVTRETFDFHEYILRTLEDDFKKVVGISWYLWLFVVIFLLLNIKGWHSYFWLSFLPLILLLLVGAKLEHIITKLAQQMNERASHQQPRMKLSNEHFWFNRPALVLYLIHFILFQNAFELAVFFWIWSTYGFHSCIMESTKYLIPRLVVGVLIQVLCSYSTLPLYAIVTQMGESFKPAIFTERTQTTLFGWAKASKDHRRPKCFETLLKKIGLGKENPDTSDGGTQMHRMALEAGEPSHEAWQPNALDEITIAHSD >DRNTG_33206.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:371621:372633:-1 gene:DRNTG_33206 transcript:DRNTG_33206.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 13 [Source:Projected from Arabidopsis thaliana (AT4G24250) UniProtKB/Swiss-Prot;Acc:Q94KB2] MNERASHQQPRMKLSNEHFWFNRPALVLYLIHFILFQNAFELAVFFWIWSTYGFHSCIMESTKYLIPRLVVGVLIQVLCSYSTLPLYAIVTQMGESFKPAIFTERTQTTLFGWAKASKDHRRPKCFETLLKKIGLGKENPDTSDGGTQMHRMALEAGEPSHEAWQPNALDEITIAHSD >DRNTG_33206.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:371621:376450:-1 gene:DRNTG_33206 transcript:DRNTG_33206.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 13 [Source:Projected from Arabidopsis thaliana (AT4G24250) UniProtKB/Swiss-Prot;Acc:Q94KB2] MEEFFFIPLYFTSFMPPPPPLSHRTLFSGSPMAEDSSESLTLEFTPTWVVAVVCSIIVAISLCAERLIHYLGKFLKHKKQNALYGALQKIKEELMLLGFISLLLTVFQSLISNICIEEKIAASMLPCSRARKEIDHSKGHFLGRTGNGRRLLAEGGGFDVCAKKGKAPLLSLEALHQLHIFIFVLAVAHVAFCAINMLLGRAKIREWKLWEDAIRAETPKENVKLTPHQQEFVKERAEGSWLMSFFKQFHSSVSKSDYKSLRAGFIQTHHVTRETFDFHEYILRTLEDDFKKVVGISWYLWLFVVIFLLLNIKGWHSYFWLSFLPLILLLLVGAKLEHIITKLAQQMNERASHQQPRMKLSNEHFWFNRPALVLYLIHFILFQNAFELAVFFWIWSTYGFHSCIMESTKYLIPRLVVGVLIQVLCSYSTLPLYAIVTQMGESFKPAIFTERTQTTLFGWAKASKDHRRPKCFETLLKKIGLGKENPDTSDGGTQMHRMALEAGEPSHEAWQPNALDEITIAHSD >DRNTG_27159.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:671514:673190:-1 gene:DRNTG_27159 transcript:DRNTG_27159.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLSNNKKMESPVRVIVFSLFFMLSIFPFSIAVRSFGNSYLHHHSSESLFSSDSSYEVKYFTQILDHFNFHPKSYDTFQQRYLVNDTHWGGAKEAAPIFVYTGNEGNITWFAQNTGFMFDIAPHFHALLVFIEHRYYGDSIPFGDTEVAYSNATTLGYLSSTQALADYATLIIDLKKNLTAEQSPVIVFGGSYGGMLAAWFRLKYPHVAIGALASSSPILQFDDIISPYAFNDVITKDYKSESESCYRTIKGSWKEIDEAFTRTGGLEELEKSFHICKDQVQNEWLRSWIQTAIVYAAMTDYPTESNFLQPLPAYPVRQMCKAIDDPKAGNNTFAKLYGAMNIYYNYSGTKPCLNLSDSIFHGMDGWYWQVPEHTPLSST >DRNTG_27159.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:671514:671978:-1 gene:DRNTG_27159 transcript:DRNTG_27159.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYPTESNFLQPLPAYPVRQMCKAIDDPKAGNNTFAKLYGAMNIYYNYSGTKPCLNLSDSIFHGMDGWYWQVPEHTPLSST >DRNTG_27159.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:670258:673190:-1 gene:DRNTG_27159 transcript:DRNTG_27159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLSNNKKMESPVRVIVFSLFFMLSIFPFSIAVRSFGNSYLHHHSSESLFSSDSSYEVKYFTQILDHFNFHPKSYDTFQQRYLVNDTHWGGAKEAAPIFVYTGNEGNITWFAQNTGFMFDIAPHFHALLVFIEHRYYGDSIPFGDTEVAYSNATTLGYLSSTQALADYATLIIDLKKNLTAEQSPVIVFGGSYGGMLAAWFRLKYPHVAIGALASSSPILQFDDIISPYAFNDVITKDYKSESESCYRTIKGSWKEIDEAFTRTGGLEELEKSFHICKDQVQNEWLRSWIQTAIVYAAMTDYPTESNFLQPLPAYPVRQMCKAIDDPKAGNNTFAKLYGAMNIYYNYSGTKPCLNLSDSIFHGMDGWYWQSCTEMILPTQGNNENSIFPASTYNYTSIASECKVENGVPPRPHWITTEFGGHDIKRVLKRFGSNIIFFNGLRDPWSAGGVLESISESIVAIVSAQGAHHVDLRFANNDDPKWLKDVREKEIQIMNKWLQEYYTVTN >DRNTG_04913.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:9495403:9503021:1 gene:DRNTG_04913 transcript:DRNTG_04913.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRKSSSITAKPPQISEPNEAPKPKASSADDPPLRPPKLGLIVPLSALFFIPFFGFLYFYYDVEPSLRRSIAINAAMSLAGFVVVVRMIPVAAKYVSRRSLFGYDINKKGTPQGSIKVPESLGIVIGIVYLVIAIVFQHFNFTSDSNWLVEYNAALASICFMLLLGFVDDVLDIPWRIKLVLPSFAALPLLMAYAGHTAIIIPKPLIPYVGVAVLDLGWIYKLYMGLLAVFCTNAINIHAGLNGLEVGQTVVISAAILIHNLMQIGASGDPEYQQAHAFSIYLVQPLLTTSLALLSFNWYPSSVFVGDTYTYFAGMAMAVVGILGHFSETLLLFFLPQVLNFLYSCPQLFKIIPCPRHRLPRFDPQTGLLTGTKDGTLVNLFLRLFGRCTEKSLCIRLLVFQALSCVLCFWLRYVLAGWYK >DRNTG_01011.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17953347:17958357:-1 gene:DRNTG_01011 transcript:DRNTG_01011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKEVDTPTKTQKSSPSQDPTPTPQAAYPDWASFHAYYNSAATTPVTPPGYFHFSVAPSPQGQPYMWAPQILPPYGTPPSPYVAIYPPMGLYPHPATPTIATTNGVETDTKSVENKEKSSTKKSKESLGILSMNTGKHDENDKGSGTSANALSQSGESGSDISSEGSDANSESDSQKKQNCSGEEAAQNEKTEGNTSNEAIQAQKLTAFNYVVPLAAIPPGGVNGSRPTANLGVSYWGGPSSSLTMQGGNMASTTTAVPSNHNVPIDPVIQDERELKRQRRKQANRESARRSRLRKLAESEELAQRLVSLSAENNSLKIELGRLRANCEKLATQNACLNEELQKCMDEESKEDSNQNQHTEITNEDA >DRNTG_01011.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17953347:17958357:-1 gene:DRNTG_01011 transcript:DRNTG_01011.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKEVDTPTKTQKSSPSQDPTPTPQAAYPDWASFHVAYYNSAATTPVTPPGYFHFSVAPSPQGQPYMWAPQILPPYGTPPSPYVAIYPPMGLYPHPATPTIATTNGVETDTKSVENKEKSSTKKSKESLGILSMNTGKHDENDKGSGTSANALSQSGESGSDISSEGSDANSESDSQKKQNCSGEEAAQNEKTEGNTSNEAIQAQKLTAFNYVVPLAAIPPGGVNGSRPTANLGVSYWGGPSSSLTMQGGNMASTTTAVPSNHNVPIDPVIQDERELKRQRRKQANRESARRSRLRKLAESEELAQRLVSLSAENNSLKIELGRLRANCEKLATQNACLNEELQKCMDEESKEDSNQNQHTEITNEDA >DRNTG_10247.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19886651:19888341:-1 gene:DRNTG_10247 transcript:DRNTG_10247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLHSALSTAAPSVKISTVNTMAVLAQSDPPSTGAFHSDLIPGLKGILGFLNETGSPFMINPYPYFAYRSDPRPETLAFCLFQPNSGRFDGNSKINYMNMFDAQVDAVRAALDATGFPSTEIVVAETGWPYKGDDGEVGASVDNAKAFTSGLVAHLRSMAGTPRMPGRPVETYLFALYDEDLKPGPTSERSFGLFKPDLTATYDAGLSRSASSTPTAPSAVPKV >DRNTG_14878.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2894944:2901495:-1 gene:DRNTG_14878 transcript:DRNTG_14878.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:XEG113 [Source:Projected from Arabidopsis thaliana (AT2G35610) UniProtKB/TrEMBL;Acc:A0A178W347] MAGWCASREGSGGKPLLMSIYVAVLAGAVFSAIVIFSNLNSGVKFSFPVAGYTHSPSPPSSLLHVGEISQNGSSASEPTPHTTTNHSSHAAQKPQNILTKAIWEVPVDKKMPDPKVFQLTKEMVEYRAKDNVIIITFGNFAFMDFILNWVRHLTELNVFNLLVGAMDSKLLEALYWKGVPVFDMGSNMNTMDVGWGSPTFHKMGREKVLLINALLPFGYELLMCDTDMVWLKNPLPYLARFPEADVLTSSDQVVPTVTDDSLDLWQQVSGAYNIGIFHWRPTETSKKLAKEWKEVLLADEKIWDQHVFNELVHRVLGPSVEGGKGLVYAYDGRLKLGILPASIFCSGHTYFVQAMYAQLSLEPYAVHTTFQYAGTEGKRHRLREAMAFYDPPEYYDSPGGFLSFKPRIPKSLLLDGAHTLESHFSLVNYQLKNIRTALAIASLLNRTLVMPPIWCRFDRMWFGHPGIMEGTITRQPFLCPMDHVFEVHVMLKELPEDEFGPEIDFREYSFFNNPLVSSQVKESVLEVQLCDGQSVKCNMDNETTQPGVITFPKQSKQEKLLQVFSSYKDVKVIQFSSMEDAFAGFTDKEKEEKFRNRVKRYVGIWCCVLSRDPGHIYYDMFWDEKPGWKPEPPKSREEDHPPW >DRNTG_14878.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2897621:2901495:-1 gene:DRNTG_14878 transcript:DRNTG_14878.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:XEG113 [Source:Projected from Arabidopsis thaliana (AT2G35610) UniProtKB/TrEMBL;Acc:A0A178W347] MAGWCASREGSGGKPLLMSIYVAVLAGAVFSAIVIFSNLNSGVKFSFPVAGYTHSPSPPSSLLHVGEISQNGSSASEPTPHTTTNHSSHAAQKPQNILTKAIWEVPVDKKMPDPKVFQLTKEMVEYRAKDNVIIITFGNFAFMDFILNWVRHLTELNVFNLLVGAMDSKLLEALYWKGVPVFDMGSNMNTMDVGWGSPTFHKMGREKVLLINALLPFGYELLMCDTDMVWLKNPLPYLARFPEADVLTSSDQVVPTVTDDSLDLWQQVSGAYNIGIFHWRPTETSKKLAKEWKEVLLADEKIWDQHVFNELVHRVLGPSVEGGKGLVYAYDGRLKLGILPASIFCSGHTYFVQAMYAQLSLEPYAVHTTFQYAGTEGKRHRLREAMAFYDPPEYYDSPGIWILFLMGMIWCCTNLTSF >DRNTG_28090.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20502511:20503162:-1 gene:DRNTG_28090 transcript:DRNTG_28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAHVADFGLAKFLSEMVPQSFECSTSSFDIKGSIGYIAPEYGSGGQVSTFGDVYSYGILLLEMFTGKRPTDGNFKEGIGLHMFVSMAFPDRLLDVVDPFLLYKRSDEEAKEISVEEQNCLVSVFRVGLSCSKESPKERMEMGDVTK >DRNTG_18525.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:6345669:6354544:1 gene:DRNTG_18525 transcript:DRNTG_18525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPLMLLPLAACLLSHALASSANKVQGSDLSVTLRSNVNYAASWEDIILDLASRDESRFLHLASLVTTKKTTVPIGSGQQLLATASTYIVRAAFGTPPQPMLVAMDTSNDAAWIPCSPCVGCPSTTNFIPSMSSSYQPIPCGAPQCSPLPNPSCSPAPGSSCGFNLTYGSSSIDALLSKDSLSLAADSIPSYIFGCLRKVTGSSIPPQGLIGLGRGPLSLLSQTRSLYASTFSYCLPSFRSLNFSGSLRLGPVGQPKRIKTTPLLASPRRSSIYFVNMTGIRVGRTSVAVPPGTLVFDQATGAGTIFDSGTMFTRLVAPLYTAVRDTFRRRVKGTVSSLGGFDTCYEGTVSLPTITLEFTGMNVTLPVENVMIHSAARNLSCLAMAAAPDNVNAVLNVIASMQQQNHRVLFDVPGSRLGVAREPCIICINIRATPYSYGEPSVTIGTITISSWSTMLTVARYGWEESGSRHHHGSTSRSGRHASGSQFSVSDHQLRHAEKDLYRMNKHEDTSGALRYGNVCQPPCIQAITMSCRVMKSGSPDSTPRFVCKKVIGGAFVGTDPTRCALGRTKEPFEKTMNLERNKREDTSGALRYGNVCQPPCIQAITISCSGTKAVTFAYPDLCIDSKIFTNEAFD >DRNTG_03903.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14642053:14646240:-1 gene:DRNTG_03903 transcript:DRNTG_03903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVTSGCCTSFKLVVFHFLFHYFASSSIKLREPLTYGYRFVHFGSMVKCFCGASNCQGFLGSKRKNNEITMPWGCKRPRTAIKVKVFQK >DRNTG_34556.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1395303:1398449:1 gene:DRNTG_34556 transcript:DRNTG_34556.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD4 [Source:Projected from Arabidopsis thaliana (AT5G16630) UniProtKB/Swiss-Prot;Acc:Q8W489] MANKLCSDKLESHPAKFAVRPKRKGDAEFELQLEMALSATAAGVGASNSMSHIDGLSGSSSLPSPPKKLKKIVFPEHESVIQSSSSSAVWSRKLGPPQYWAEVYCNGETLNGRWIHVDAVNGIVDGESDVEASAAAGRKPIKYVVAFSGGGAKDVTRRYCMHWYKIAPRRVNSRWWDEVLAPLKRLESDATGSIVHMEASERKEMESEECLNVVNTTSDIIEDSNKVGAVQNSGLANRNALEDMELETRALTEPLPTNQQAYKNHHLYVLERWLTKYQVLHPKGPVLGYCSGHPVYPRSCVRTLQTRHKWLREGLQVMANEFPAKVVKHSKKFVKMRTSELEDASATGEENSEATMELYGRWQTEPLRLPCAKNGIVPKNERGQVDVWSEKCLPPGTVHLKFPRLVPVARRLEIDFAPAMVGFEFRNGRSVPIFEGIVVCSEFKDAILAAFAEEEERREAEEKRRFESEALSRWFQLLSSIITRQRLQNSYVEFSSPNALDNPHIDENDKSNDDKKQSMSDDLKSSQNAASIMPIRDHHEHVFLIEDQSFDEESFIQTKRCRCGFSVQVEEL >DRNTG_34556.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1392429:1398449:1 gene:DRNTG_34556 transcript:DRNTG_34556.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD4 [Source:Projected from Arabidopsis thaliana (AT5G16630) UniProtKB/Swiss-Prot;Acc:Q8W489] MRTRSQSQRKEESESGSLASASKEAVGKLLKRARKGSKAKGQQEDDILVEVSKFKELPREKDKRDEGNSVGRKSIEGDDVEGSVRLGDVNEFDWEDGDILASESKEAYLHDSEKEITVEFTDSPSTTQRKPSRRATAEEKELAELVHKVHLLCLLSRGRLVDQVCNDLLIQASLLSLVPPSQLNIAEVPRLRASSLGSVVNWFRNNFQIQSHDIDRGSFKSNLAFALDTRGGTAEEVAALSVALFRALNLTTRFVSVLDVASLKPDTDVPGCSNTDAPRLDTKIFSSAVASSSDDVSHKNLTRGKHHSNDGRQSCKRSLPKGPASTTGAEDVISRDSMANKLCSDKLESHPAKFAVRPKRKGDAEFELQLEMALSATAAGVGASNSMSHIDGLSGSSSLPSPPKKLKKIVFPEHESVIQSSSSSAVWSRKLGPPQYWAEVYCNGETLNGRWIHVDAVNGIVDGESDVEASAAAGRKPIKYVVAFSGGGAKDVTRRYCMHWYKIAPRRVNSRWWDEVLAPLKRLESDATGSIVHMEASERKEMESEECLNVVNTTSDIIEDSNKVGAVQNSGLANRNALEDMELETRALTEPLPTNQQAYKNHHLYVLERWLTKYQVLHPKGPVLGYCSGHPVYPRSCVRTLQTRHKWLREGLQVMANEFPAKVVKHSKKFVKMRTSELEDASATGEENSEATMELYGRWQTEPLRLPCAKNGIVPKNERGQVDVWSEKCLPPGTVHLKFPRLVPVARRLEIDFAPAMVGFEFRNGRSVPIFEGIVVCSEFKDAILAAFAEEEERREAEEKRRFESEALSRWFQLLSSIITRQRLQNSYVEFSSPNALDNPHIDENDKSNDDKKQSMSDDLKSSQNAASIMPIRDHHEHVFLIEDQSFDEESFIQTKRCRCGFSVQVEEL >DRNTG_34556.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1397673:1398449:1 gene:DRNTG_34556 transcript:DRNTG_34556.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD4 [Source:Projected from Arabidopsis thaliana (AT5G16630) UniProtKB/Swiss-Prot;Acc:Q8W489] MVGFEFRNGRSVPIFEGIVVCSEFKDAILAAFAEEEERREAEEKRRFESEALSRWFQLLSSIITRQRLQNSYVEFSSPNALDNPHIDENDKSNDDKKQSMSDDLKSSQNAASIMPIRDHHEHVFLIEDQSFDEESFIQTKRCRCGFSVQVEEL >DRNTG_34556.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1396455:1400525:1 gene:DRNTG_34556 transcript:DRNTG_34556.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD4 [Source:Projected from Arabidopsis thaliana (AT5G16630) UniProtKB/Swiss-Prot;Acc:Q8W489] MHWYKIAPRRVNSRWWDEVLAPLKRLESDATGSIVHMEASERKEMESEECLNVVNTTSDIIEDSNKVGAVQNSGLANRNALEDMELETRALTEPLPTNQQAYKNHHLYVLERWLTKYQVLHPKGPVLGYCSGHPVYPRSCVRTLQTRHKWLREGLQVMANEFPAKVVKHSKKFVKMRTSELEDASATGEENSEATMELYGRWQTEPLRLPCAKNGIVPKNERGQVDVWSEKCLPPGTVHLKFPRLVPVARRLEIDFAPAMVGFEFRNGRSVPIFEGIVVCSEFKDAILAAFAEEEERREAEEKRRFESEALSRWFQLLSSIITRQRLQNSYVEFSSPNALDNPHIDENDKSNDDKKQSMSDDLKSSQNAASIMPIRDHHEHVFLIEDQSFDEESFIQTKRCRCGFSVQVEEL >DRNTG_34556.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1397133:1398449:1 gene:DRNTG_34556 transcript:DRNTG_34556.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD4 [Source:Projected from Arabidopsis thaliana (AT5G16630) UniProtKB/Swiss-Prot;Acc:Q8W489] MANEFPAKVVKHSKKFVKMRTSELEDASATGEENSEATMELYGRWQTEPLRLPCAKNGIVPKNERGQVDVWSEKCLPPGTVHLKFPRLVPVARRLEIDFAPAMVGFEFRNGRSVPIFEGIVVCSEFKDAILAAFAEEEERREAEEKRRFESEALSRWFQLLSSIITRQRLQNSYVEFSSPNALDNPHIDENDKSNDDKKQSMSDDLKSSQNAASIMPIRDHHEHVFLIEDQSFDEESFIQTKRCRCGFSVQVEEL >DRNTG_28244.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2669453:2676991:1 gene:DRNTG_28244 transcript:DRNTG_28244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFSGENDGSEKAAKMLPCKLCNRMYHSSCLKAWAAHRDLFHWSSWVCPFCRFCEVCHRVGDPKKLMYCKRCDGAYHCYCQQPPHKNASHGPYLCPKHTSCHSCGSTVPGNGLSTRWFLGYTCCDACGRLFTKGNYCPVCLKVYRDSEMTPMVCCDVCQQWVHCGCDGISDEKYQQFQTDGNLQYKCAACRGDCYQVRDIDDAVQELWRRKDQTDSDLIASLRAAAGLPSQEEIFSISPFSDDEETGSIVLKKDSGRALKFSVKGLTDNSTKSLKDYGKNSLSNSLSNNYSKDGFQLQSVGKFEGLYKKVDKWNRMSTVDGLRDRKVDDQTSCGSNKSEMFSSPLTITTANGSAVSNNNMGGMEMVTNNIGKIPKIQIKASKSQAIHMMEDSERNSIKREIAKGTKLVIHLGGKNRNLTCSPMSEPLSCQQEKDFVPSDGTGNSMKLGKVSDVQRKSRCNIDERELNKTCKSSHIMIRSAPIDSALEGEATLKNDDIMVHKQSVETCFDSLNKNDEVSDLPYASNLSNNPKPLLKLKLKTPYFDQDSSWVPEGVEDKNCVKGQRSKRKRSSMEKINICEDDHNVNMNMENSANEVEAKALQKLDKGAIGKRVEGQSSVGSWHKGVASKVIDGASSVSIDLDNGRTETLDLGKDGIRLISKKQKGRRS >DRNTG_28244.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2670344:2676991:1 gene:DRNTG_28244 transcript:DRNTG_28244.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTTATVSSLLTKFVGLVVLLSVFHHSNSLIMLLGNFQNASHGPYLCPKHTSCHSCGSTVPGNGLSTRWFLGYTCCDACGRLFTKGNYCPVCLKVYRDSEMTPMVCCDVCQQWVHCGCDGISDEKYQQFQTDGNLQYKCAACRGDCYQVRDIDDAVQELWRRKDQTDSDLIASLRAAAGLPSQEEIFSISPFSDDEETGSIVLKKDSGRALKFSVKGLTDNSTKSLKDYGKNSLSNSLSNNYSKDGFQLQSVGKFEGLYKKVDKWNRMSTVDGLRDRKVDDQTSCGSNKSEMFSSPLTITTANGSAVSNNNMGGMEMVTNNIGKIPKIQIKASKSQAIHMMEDSERNSIKREIAKGTKLVIHLGGKNRNLTCSPMSEPLSCQQEKDFVPSDGTGNSMKLGKVSDVQRKSRCNIDERELNKTCKSSHIMIRSAPIDSALEGEATLKNDDIMVHKQSVETCFDSLNKNDEVSDLPYASNLSNNPKPLLKLKLKTPYFDQDSSWVPEGVEDKNCVKGQRSKRKRSSMEKINICEDDHNVNMNMENSANEVEAKALQKLDKGAIGKRVEGQSSVGSWHKGVASKVIDGASSVSIDLDNGRTETLDLGKDGIRLISKKQKGRRS >DRNTG_28244.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2673075:2676991:1 gene:DRNTG_28244 transcript:DRNTG_28244.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDGLRDRKVDDQTSCGSNKSEMFSSPLTITTANGSAVSNNNMGGMEMVTNNIGKIPKIQIKASKSQAIHMMEDSERNSIKREIAKGTKLVIHLGGKNRNLTCSPMSEPLSCQQEKDFVPSDGTGNSMKLGKVSDVQRKSRCNIDERELNKTCKSSHIMIRSAPIDSALEGEATLKNDDIMVHKQSVETCFDSLNKNDEVSDLPYASNLSNNPKPLLKLKLKTPYFDQDSSWVPEGVEDKNCVKGQRSKRKRSSMEKINICEDDHNVNMNMENSANEVEAKALQKLDKGAIGKRVEGQSSVGSWHKGVASKVIDGASSVSIDLDNGRTETLDLGKDGIRLISKKQKGRRS >DRNTG_28244.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2671818:2676991:1 gene:DRNTG_28244 transcript:DRNTG_28244.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYCKRCDGAYHCYCQQPPHKNASHGPYLCPKHTSCHSCGSTVPGNGLSTRWFLGYTCCDACGRLFTKGNYCPVCLKVYRDSEMTPMVCCDVCQQWVHCGCDGISDEKYQQFQTDGNLQYKCAACRGDCYQVRDIDDAVQELWRRKDQTDSDLIASLRAAAGLPSQEEIFSISPFSDDEETGSIVLKKDSGRALKFSVKGLTDNSTKSLKDYGKNSLSNSLSNNYSKDGFQLQSVGKFEGLYKKVDKWNRMSTVDGLRDRKVDDQTSCGSNKSEMFSSPLTITTANGSAVSNNNMGGMEMVTNNIGKIPKIQIKASKSQAIHMMEDSERNSIKREIAKGTKLVIHLGGKNRNLTCSPMSEPLSCQQEKDFVPSDGTGNSMKLGKVSDVQRKSRCNIDERELNKTCKSSHIMIRSAPIDSALEGEATLKNDDIMVHKQSVETCFDSLNKNDEVSDLPYASNLSNNPKPLLKLKLKTPYFDQDSSWVPEGVEDKNCVKGQRSKRKRSSMEKINICEDDHNVNMNMENSANEVEAKALQKLDKGAIGKRVEGQSSVGSWHKGVASKVIDGASSVSIDLDNGRTETLDLGKDGIRLISKKQKGRRS >DRNTG_20372.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:154884:155410:1 gene:DRNTG_20372 transcript:DRNTG_20372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYFDEINMDHILEPKCPPASPKQKNLSGERRSLKDGHNMFTVPPDVPPLKCRSYGYYLSGIWANDDVVRDALHIQKKTVPEWIRCNDNLQYAYDLPSNVKYQHKLTSRGYRALVYRLS >DRNTG_02532.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8207213:8208391:-1 gene:DRNTG_02532 transcript:DRNTG_02532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDRNKFSDSHQKNFVREGPSSGIQRRGLGASPRENQVNNDDDSSSSYDDKPEYSMDIGRDNRAEIIDFSNKPPVKNRRTKVRVTKVVRSGPI >DRNTG_18888.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2227217:2231079:1 gene:DRNTG_18888 transcript:DRNTG_18888.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGEGAVDMEEENMAAWLVGINTLKIQPFKLPPLGPHDVRVQMKAVGICGSDVHYLKTMSCAGFVVKEPMVIGHECAGVISEVGSEVKSLVVGDRVALEPGISCLCCNYCKGGRYNLCPDMKFFATPPVHGSLANQINHPAELCFKLPDNVNLEEGAMCEPLSVGIHACRRANVGPETNVLIMGAGPIGLVTMLAARAFGARRIVIVDVDDHRLRVAMSLGADGVVVVSPNIQGVDEDVAQIHKTMCADIDVTFDCAGFSKTMSTALNATRAGGKVCLVGMGHNEMTVPLTPAAAREVDIVGIFRYKDTWPLCIEFLRTGKIDVKPLITHRFGFSQQEVEEAFEVSARGSTAIKVMFNL >DRNTG_22660.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20491499:20491751:-1 gene:DRNTG_22660 transcript:DRNTG_22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMRRRERERESHGGRIPFGRSASSQEWESEGTGGNRELREKSISLCPFGLISSSFYYL >DRNTG_09862.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000417.1:133878:136574:1 gene:DRNTG_09862 transcript:DRNTG_09862.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKICDFGFAKLFDIDETHANTNRIAGTYGYMAPEYAMHGIFSVKSDVYSYGVMVLEIVTGRKNRGFEESGNAAHLLSYAWRLWNDGRGLELKDPILGDRIQVDEVLRCIHIGLLCVQEDPQDRPTMGTVVLMLKSYSFPLPEPFTPAFFIGNLGDTQANVDLRDMDLNHLNNGQSNQEQRHWMVGSANNLTISQLEGR >DRNTG_08205.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21819:22443:1 gene:DRNTG_08205 transcript:DRNTG_08205.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRAAMKEYLRAASKMATEIKKSCQRTWTLAQASTPVNPTARMLSGSGSRRSLHLSLNPGRRSPLFRSSPPPSPRPFRPFPSANAITRTFR >DRNTG_08205.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21883:22443:1 gene:DRNTG_08205 transcript:DRNTG_08205.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRAAMKEYLRAASKMATEIKKSCQRTWTLAQASTPVNPTARMLSGSGSRRSLHLSLNPGRRSPLFRSSPPPSPRPFRPFPSANAITRTFR >DRNTG_08205.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21696:22443:1 gene:DRNTG_08205 transcript:DRNTG_08205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRAAMKEYLRAASKMATEIKKSCQRTWTLAQASTPVNPTARMLSGSGSRRSLHLSLNPGRRSPLFRSSPPPSPRPFRPFPSANAITRTFR >DRNTG_30740.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31717316:31719197:1 gene:DRNTG_30740 transcript:DRNTG_30740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALRRAGFAHNCNVIENARVPVLKFQSNYRNISCDISINNHIGQIKSKMLLWISDIDERFHDMVLLVKEWAKAQDINNPKEGTLNSYSLCLMVIYHFQTCTPPIFTTS >DRNTG_01609.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11987582:11997186:1 gene:DRNTG_01609 transcript:DRNTG_01609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGVGCILSFRPSIKMSSFSTSSSSTPSNGSGLII >DRNTG_32817.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27749057:27758844:-1 gene:DRNTG_32817 transcript:DRNTG_32817.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADSWPPLEDARLKGLPDLGGKPAQPELVVAAVPPASGNGGPGPRNGHPPPPPPPPLPVQGPNGLRKSDGFSNGNSSNKHYGPHPHKHGPKHNVSANGMPPFAYHFPYNQQQRPPIMYSVMPNPPLPVNEYPYQPCPPPFPNADSHVKSDMPAFVPNVQAGGIDGGRNFQPSPRGDPNTWRSYPGNYPNRPYNGLEPGGRFNQTWRNQRAFTPRENINIAHIGPGMFVRPAPQFFCPAPGYMNGPPFPGPAPRMYYVPTASLEMMRGPPPPRYVSIPLPPAPVFTPEELALRTSIVKQIEYYFSDENLQKDHYLLSLLDEHGWVSISKIADFNRVKKMTTDIPFILDALRSSDSIEVQDENIRRRDGLAKWISPSGPDISLTQSASGESHVDEKVSSFVDGTGSNGINQFPCSTENKCLQTSCHDQDESNIVEVSMVDKQLRFNGDSNVQVKELTSEPLPDSNTQEPSGGSNFMFNKNVVESVSNANGAGANVSFSSGSFQGSMNPSTISEGENGNKRTQSGTILNSQSIVASKCFMHESSSFSGSRTHLCLMRSWNLSIQRPRKKMFHIIKDLMMRMMKWMSTTKMFTGLLL >DRNTG_32817.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27749057:27758844:-1 gene:DRNTG_32817 transcript:DRNTG_32817.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGADSWPPLEDARLKGLPDLGGKPAQPELVVAAVPPASGNGGPGPRNGHPPPPPPPPLPVQGPNGLRKSDGFSNGNSSNKHYGPHPHKHGPKHNVSANGMPPFAYHFPYNQQQRPPIMYSVMPNPPLPVNEYPYQPCPPPFPNADSHVKSDMPAFVPNVQAGGIDGGRNFQPSPRGDPNTWRSYPGNYPNRPYNGLEPGGRFNQTWRNQRAFTPRENINIAHIGPGMFVRPAPQFFCPAPGYMNGPPFPGPAPRMYYVPTASLEMMRGPPPPRYVSIPLPPAPVFTPEELALRTSIVKQIEYYFSDENLQKDHYLLSLLDEHGWVSISKIADFNRVKKMTTDIPFILDALRSSDSIEVQDENIRRRDGLAKWISPSGPDISLTQSASGESHVDEKVSSFVDGTGSNGINQFPCSTENKCLQTSCHDQDESNIVEVSMVDKQLRFNGDSNVQVKELTSEPLPDSNTQEPSGGSNFMFNKNVVESVSNANGAGANVSFSSGSFQGSMNPSTISEGENGNKRTQSGTILNSQSIVASKCFMHESSSFSGSRTHLCLMRSWNLSIQRPRKKMFHIIKDLMMRMMKWMSTTKMFTGLLL >DRNTG_33193.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23680554:23680775:-1 gene:DRNTG_33193 transcript:DRNTG_33193.4 gene_biotype:protein_coding transcript_biotype:protein_coding RIEGAEINKSLLALKECIRALDNDQVHISFRGSKLTEVLRDSFIGDSRTIMISCISPNSGSFEHTLNTLRYADR >DRNTG_33193.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23678862:23682503:-1 gene:DRNTG_33193 transcript:DRNTG_33193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLTVNETKLKVDLTEYLEKHEFVFDAVLDEDVSNDEVYCKTVEPIVPAIFRRTKATCFAYGQTGIGKTYTMRPLLFKASQDILRLMYHTHRNQGFQLFVSFFVIYGGKPFDLLNDRRKVCMKEDGKQQVCIVGLQEYRVSNVDTIRELIEKGNATSITGTTGANEESS >DRNTG_33193.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23678862:23681467:-1 gene:DRNTG_33193 transcript:DRNTG_33193.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLFKASQDILRLMYHTHRNQGFQLFVSFFVIYGGKPFDLLNDRRKVCMKEDGKQQVCIVGLQEYRVSNVDTIRELIEKGNATSITGTTGANEESS >DRNTG_33193.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23678862:23680775:-1 gene:DRNTG_33193 transcript:DRNTG_33193.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISCISPNSGSFEHTLNTLRYADRVKSLSKGNNTKKDPFVNSSVRESTTLPFSSSLPTVCSYDGNNNAEIATETNRYGLSKQVDEENSLLFNTNCVPSRREDRRVGVSAVP >DRNTG_07884.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18800152:18803611:1 gene:DRNTG_07884 transcript:DRNTG_07884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHLRLLYQSLLALSPPTTTSCLC >DRNTG_27879.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001414.1:5110:6952:-1 gene:DRNTG_27879 transcript:DRNTG_27879.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHIRVIIFIFTSSVILLKHSEGARIFTIVNQCNEMVWPGITPGDNFGGGGFPLKSGQSIVFTAPVGWSGRIWGRSGCNFDSSGNGSCETGNCGTNLKCAGSGEPPATLAEFTLANPDFYDVSLVDGFNLPMSVRAVNGVSGNCSTAGCDGDLRDDCPSELAVKVGKRTVACRSACDVFNTDEYCCRGVHGNSMTCQPTYYSKKFKSVCPTAYSYAYDDPTSIFTCFGADYIITFCAGRKQTVCTYHDNRLICNGSNRSFEFVHKLLLFMISSILSLWLLA >DRNTG_27879.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001414.1:5110:6233:-1 gene:DRNTG_27879 transcript:DRNTG_27879.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRAVNGVSGNCSTAGCDGDLRDDCPSELAVKVGKRTVACRSACDVFNTDEYCCRGVHGNSMTCQPTYYSKKFKSVCPTAYSYAYDDPTSIFTCFGADYIITFCAGRKQTVCTYHDNRLICNGSNRSFEFVHKLLLFMISSILSLWLLA >DRNTG_35093.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1443720:1448013:1 gene:DRNTG_35093 transcript:DRNTG_35093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIENSPDPSCPCNFSAVKSDERATTDPAALGGIQTPNFSIRGYVLASRSKNITANWPFPQNSLQFCLKHGINNLLPPFEPPGSVKAQCFNKGIVQLQSEDLENGDAPLDEVPEYIKSESCSPSNDLEEGNEVVNDGLLDDEVKQNIERNSAENTEVDPLLHVNKGDSEASSVLEVVELPSLSKRPETSEKKCKVIVRVGSFSGITRAEDLASTSSAISDSMASKVCPVCKVFASTSNTTLNAHIDQCLSSDTNTNNVVTNLSKAKAKPRKKRLMTDIYTTAPHCTLKDLDRRNGTTWASDLSFVAPASEINTEVKRPKIARLDIKDDENDGAVYVDSNGIKLRILSKFNDAPEVMPKENFKFRKYGKGLNDGKSYLIVKKKRFGPKCMKMKMKPLFKKPGSLKHSRDKIQAESEEDCHEENQEEKEESVSEKSDSEDNVYGSESSSLRQWACSKRSDLSKKVVNRETDGNEVVDTDHPEMVCADASNSVPKTTIMGIQASTDNVTDNGKVNSPTQKTVMRLKWSSEGSRSSNGLMLKLSRSVGTFVSSPRSKRAEVCRSSSPKIPDLTTRGSKSSDPSLTSRKCSSSNSIVIKRPFSSGANKVDEKEKHSILRKLRKRRSIMGTCGSSPSVRTNQTIQTHQSKSLDNGTLSEQGERSMKDKGKSKALVLVDSIDSVDDARDPVSRMVNANSLTPASSAELEREPSCEVEPQVMSGTEVSLEQKTSGDEQVRRNQEDKYCSDQSNNCGIDASPIQESSACLSSHEDVGSEIPQEDSSITSNRMMSSDTDDLAMDQEPSGSPVSTASTISPSSPVCSKVNDFVLEPSANSVQDKLSLQQSDTLKMPPVSIMEGPEGAKVESMVEQVPEGQPCCCSRRESVPREPQFSRHPNLYIRPIIPSFGPVMDSPTGSISTMASSDTAAKFPTYNDFNSPSPTQSASKPVLRLMGKNLTVASKDEPGLLPSPVSDNTATPKCLSPLAFAANNSVMYPESYFYHNPYHRHQQQQQHFVGNPVVFSQAPPVMNHQIPLHSYGMQLGGFARASVPAQYFSMKTETSQMPNRMREVIVIDDSSDHESVQRSSNSAPSIPAPNPFSQRPFPCFPPTHSQLIPKELYGNYRPSFGVSSSRMSTIALPRGSAFQFPTPTTRPPMFYPETMR >DRNTG_34962.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002217.1:1:2457:-1 gene:DRNTG_34962 transcript:DRNTG_34962.1 gene_biotype:protein_coding transcript_biotype:protein_coding RHIENENIAAKVSSSFSGTREKCLGCKKTVYPIERVTVNGTCYHKSCFKCSHGGCVTQVVEVEVNGIKQKSGVHIKKCRHGFVLTELDAAEYHGH >DRNTG_34962.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002217.1:1:349:-1 gene:DRNTG_34962 transcript:DRNTG_34962.2 gene_biotype:protein_coding transcript_biotype:protein_coding NIAAKVSSSFSGTREKCLGCKKTVYPIERVTVNGTCYHKSCFKCSHGGCVTQVVEVEVNGIKQKSGVHIKKCRHGFVLTELDAAEYHGH >DRNTG_10605.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:46292:47767:1 gene:DRNTG_10605 transcript:DRNTG_10605.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLIQRQLSRGITYLNCYVLLFFSCIFCFCTWFPCF >DRNTG_02184.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1371812:1373855:-1 gene:DRNTG_02184 transcript:DRNTG_02184.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G09820) UniProtKB/Swiss-Prot;Acc:Q5M755] MSALFLKPLITPQKLQDSPKKLRIRTNANKKSSSSSSSSSIRATAAAAAAAKSEAIDETKASLYQALQGTNRGIFGVQSAKKSEIEELVKLLESMNPTPHPTDQLQDKVDGWWKLIYTTITILGSKRTKLGLRDFISLGDFYQIIDVTNGKAINVIKFSARGFKMLSGQLTVEASFQIASKTRVNIKLDKSSITPVQLMNLFVKNYDLLLEIFNPEGWLEISYVDDSMRIGRDDKGNMFVLERTQQELSLFRNVVD >DRNTG_26366.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:515802:519111:1 gene:DRNTG_26366 transcript:DRNTG_26366.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQITHMSNLLESNQKQLDALQEKYDRQLEQSADLGQELEAAKENLEHTSKELTSVKDDLKHSQYALKEKDFIISEQRKAEYAFTNQNCVLRAELEKSICDNASLFSKIAREDQLNATNRSIVNNFREELEEKISILCHTVSSSMAQQRKHLQCVEKLCQSSLDFNEKAMSELGKKFSSSKALYISYMEAVQNVVRLHKASANAILEEITSLTSTNSCSLEHLLAMGEREADQIFRDLQSMLSSHRAEISHFTQELHKRYIDSLKLTREMSKFIIGFLDKLGEESRRLHIHSDKVHESQEEIIGDFQKVYEEKSRLEAEKLLGDISSLLSDHMHRQKDLVDEKLNGLKHAALENKTFLDGHTSSIEGAIVNGKRKWETFFSEAQTASKEGCDFSSAKHCQMEDRLQACVLNIDAASQHCKKMCGSINETSVGHVTEMEALLRCISENNEQNDAEVTSARNAAEKDVTKNCDDVFNYFDLISKHELEAASETMTTIKTHSQTLQQLESNHNILATEIIHSAENTFEHNYKDYEPTGETPTRSDEPVIPSKATIESLRAMPMDKLLEEFRENHPYETTKQPKTSLIPRSPLAQLN >DRNTG_26366.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:513482:519111:1 gene:DRNTG_26366 transcript:DRNTG_26366.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDCKRTKCGSKGQLPSDAGVIPRAVKQIFDTLESQSAEYSVKVTFLELYNEEITDLLAPEELAKAPLEDKQRKPLTLMEDGKGGVLVRGLEEEIVTNGNEIFTLLERGCAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENITRSGAREGRAREAGEINKSLLTLGRVITALVEHLGHIPYRDSKLTRLLRDSLGGRTKTCIIATVSPSVHCLEETLSTLDYAHRAKNIKNRPEINQKMMKSTLIKDLYGEIDRLKAELYAAREKVGVYIPKERYYEEENERKGMAAQITHMSNLLESNQKQLDALQEKYDRQLEQSADLGQELEAAKENLEHTSKELTSVKDDLKHSQYALKEKDFIISEQRKAEYAFTNQNCVLRAELEKSICDNASLFSKIAREDQLNATNRSIVNNFREELEEKISILCHTVSSSMAQQRKHLQCVEKLCQSSLDFNEKAMSELGKKFSSSKALYISYMEAVQNVVRLHKASANAILEEITSLTSTNSCSLEHLLAMGEREADQIFRDLQSMLSSHRAEISHFTQELHKRYIDSLKLTREMSKFIIGFLDKLGEESRRLHIHSDKVHESQEEIIGDFQKVYEEKSRLEAEKLLGDISSLLSDHMHRQKDLVDEKLNGLKHAALENKTFLDGHTSSIEGAIVNGKRKWETFFSEAQTASKEGCDFSSAKHCQMEDRLQACVLNIDAASQHCKKMCGSINETSVGHVTEMEALLRCISENNEQNDAEVTSARNAAEKDVTKNCDDVFNYFDLISKHELEAASETMTTIKTHSQTLQQLESNHNILATEIIHSAENTFEHNYKDYEPTGETPTRSDEPVIPSKATIESLRAMPMDKLLEEFRENHPYETTKQPKTSLIPRSPLAQLN >DRNTG_26366.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:514886:519111:1 gene:DRNTG_26366 transcript:DRNTG_26366.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSTLIKDLYGEIDRLKAELYAAREKVGVYIPKERYYEEENERKGMAAQITHMSNLLESNQKQLDALQEKYDRQLEQSADLGQELEAAKENLEHTSKELTSVKDDLKHSQYALKEKDFIISEQRKAEYAFTNQNCVLRAELEKSICDNASLFSKIAREDQLNATNRSIVNNFREELEEKISILCHTVSSSMAQQRKHLQCVEKLCQSSLDFNEKAMSELGKKFSSSKALYISYMEAVQNVVRLHKASANAILEEITSLTSTNSCSLEHLLAMGEREADQIFRDLQSMLSSHRAEISHFTQELHKRYIDSLKLTREMSKFIIGFLDKLGEESRRLHIHSDKVHESQEEIIGDFQKVYEEKSRLEAEKLLGDISSLLSDHMHRQKDLVDEKLNGLKHAALENKTFLDGHTSSIEGAIVNGKRKWETFFSEAQTASKEGCDFSSAKHCQMEDRLQACVLNIDAASQHCKKMCGSINETSVGHVTEMEALLRCISENNEQNDAEVTSARNAAEKDVTKNCDDVFNYFDLISKHELEAASETMTTIKTHSQTLQQLESNHNILATEIIHSAENTFEHNYKDYEPTGETPTRSDEPVIPSKATIESLRAMPMDKLLEEFRENHPYETTKQPKTSLIPRSPLAQLN >DRNTG_26366.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:513286:519111:1 gene:DRNTG_26366 transcript:DRNTG_26366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQEKEKEKAVNVQVLLRCRPFSEDEKRNNAPKVVTCNDYQREVSVSQTIAGKQFDRVFTFDKVFGPSARQKDVYEQAIVPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGDCKRTKCGSKGQLPSDAGVIPRAVKQIFDTLESQSAEYSVKVTFLELYNEEITDLLAPEELAKAPLEDKQRKPLTLMEDGKGGVLVRGLEEEIVTNGNEIFTLLERGCAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENITRSGAREGRAREAGEINKSLLTLGRVITALVEHLGHIPYRDSKLTRLLRDSLGGRTKTCIIATVSPSVHCLEETLSTLDYAHRAKNIKNRPEINQKMMKSTLIKDLYGEIDRLKAELYAAREKVGVYIPKERYYEEENERKGMAAQITHMSNLLESNQKQLDALQEKYDRQLEQSADLGQELEAAKENLEHTSKELTSVKDDLKHSQYALKEKDFIISEQRKAEYAFTNQNCVLRAELEKSICDNASLFSKIAREDQLNATNRSIVNNFREELEEKISILCHTVSSSMAQQRKHLQCVEKLCQSSLDFNEKAMSELGKKFSSSKALYISYMEAVQNVVRLHKASANAILEEITSLTSTNSCSLEHLLAMGEREADQIFRDLQSMLSSHRAEISHFTQELHKRYIDSLKLTREMSKFIIGFLDKLGEESRRLHIHSDKVHESQEEIIGDFQKVYEEKSRLEAEKLLGDISSLLSDHMHRQKDLVDEKLNGLKHAALENKTFLDGHTSSIEGAIVNGKRKWETFFSEAQTASKEGCDFSSAKHCQMEDRLQACVLNIDAASQHCKKMCGSINETSVGHVTEMEALLRCISENNEQNDAEVTSARNAAEKDVTKNCDDVFNYFDLISKHELEAASETMTTIKTHSQTLQQLESNHNILATEIIHSAENTFEHNYKDYEPTGETPTRSDEPVIPSKATIESLRAMPMDKLLEEFRENHPYETTKQPKTSLIPRSPLAQLN >DRNTG_26366.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:513482:515882:1 gene:DRNTG_26366 transcript:DRNTG_26366.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDCKRTKCGSKGQLPSDAGVIPRAVKQIFDTLESQSAEYSVKVTFLELYNEEITDLLAPEELAKAPLEDKQRKPLTLMEDGKGGVLVRGLEEEIVTNGNEIFTLLERGCAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENITRSGAREGRAREAGEINKSLLTLGRVITALVEHLGHIPYRDSKLTRLLRDSLGGRTKTCIIATVSPSVHCLEETLSTLDYAHRAKNIKNRPEINQKMMKSTLIKDLYGEIDRLKAELYAAREKVGVYIPKERYYEEENERK >DRNTG_26277.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22969035:22969472:-1 gene:DRNTG_26277 transcript:DRNTG_26277.1 gene_biotype:protein_coding transcript_biotype:protein_coding GIEAKDFLSICNCKIKIDQVRLLEDPSDVAYSKTVQQLLEQKAAGSKFPPVLDAVKDLKLKEVVLVEKYRKYNSILQRMSENKCHGCMKLKEHTALQMEQRKHMEEVNALKFQMSD >DRNTG_02613.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21336164:21338654:-1 gene:DRNTG_02613 transcript:DRNTG_02613.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVMRVSSCFSGINGETPNQTVQDAQQHPISKEEIEEQERRARVNAAWEKMNSGLPSKFSKGLLNKPCSTGNKATTKPIPNWMVYLGMAPKKTSTSEVVLGKRAANLQNGTSEEAKKLAAAALSAVKDSASAAGRGKVEISEVRDFAGKEIEIKKLVDADSKEAAEKAKAQANPPTALDSILEQIKKKPKLSVLDKTKKDWGEFKDENKGLEEELDAYKKSSNQYLDKVSFLERTDYREFERERDARLASQARRRPDMCDDDQ >DRNTG_02613.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21336164:21338654:-1 gene:DRNTG_02613 transcript:DRNTG_02613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFDGSSDAGINGETPNQTVQDAQQHPISKEEIEEQERRARVNAAWEKMNSGLPSKFSKGLLNKPCSTGNKATTKPIPNWMVYLGMAPKKTSTSEVVLGKRAANLQNGTSEEAKKLAAAALSAVKDSASAAGRGKVEISEVRDFAGKEIEIKKLVDADSKEAAEKAKAQANPPTALDSILEQIKKKPKLSVLDKTKKDWGEFKDENKGLEEELDAYKKSSNQYLDKVSFLERTDYREFERERDARLASQARRRPDMCDDDQ >DRNTG_06158.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25383416:25388370:-1 gene:DRNTG_06158 transcript:DRNTG_06158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDGFFSVDNFCIDPKLLIDPKLLFVGPRIGEGAHAKVYEGKYKNQNVAIKIVHKGDNPEEAAKREARFMREVNMLSRVQHKNLVKFIGACSEPVMVVVTELLLGGSLRKYMVSMRPRCLDTRVAVGFALDIARAMECLHAHGIIHRDLKPENLLLTADQRTVKLVDFGLAREETLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDIYSFAIVLWELLHNRLPFEGMSNLQAAYAAAFKNVRPSAEDLPEELALILTSCWEEDPNSRPNFSQIVQMLLKYLSTISPPEPVVPARVFSSENVVLPPESPGTSSLMAVRDDMGDTPKAQSEEKSGGFLFCFKQCY >DRNTG_06158.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25383416:25388163:-1 gene:DRNTG_06158 transcript:DRNTG_06158.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDGFFSVDNFCIDPKLLIDPKLLFVGPRIGEGAHAKVYEGKYKNQNVAIKIVHKGDNPEEAAKREARFMREVNMLSRVQHKNLVKFIGACSEPVMVVVTELLLGGSLRKYMVSMRPRCLDTRVAVGFALDIARAMECLHAHGIIHRDLKPENLLLTADQRTVKLVDFGLAREETLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDIYSFAIVLWELLHNRLPFEGMSNLQAAYAAAFKNVRPSAEDLPEELALILTSCWEEDPNSRPNFSQIVQMLLKYLSTISPPEPVVPARVFSSENVVLPPESPGTSSLMAVRDDMGDTPKAQSEEKSGGFLFCFKQCY >DRNTG_14895.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20626933:20629459:1 gene:DRNTG_14895 transcript:DRNTG_14895.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVSRLLQNTAGWIPPPPPPEQFSVDSDIIVILAALLCALICVVGLALVARCAWLRRYSIPSSNGAQPPTPPNKGLKKKALRALPKVSFDPASAAAGKIADCAICLAEFAEGDEIRILPQCGHGFHAVCVDTWLGSHSSCPSCRQILIVAASSRCRTCGSGSSAVAEVVAKIREDSNAGNRH >DRNTG_14895.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20626933:20627627:1 gene:DRNTG_14895 transcript:DRNTG_14895.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVSRLLQNTAGWIPPPPPPEQFSVDSDIIVILAALLCALICVVGLALVARCAWLRRYSIPSSNGAQPPTPPNKGLKKKALRALPKVSFDPASAAAGKIADCAICLAEFAEGDEIRILPQCGHGFHAVCVDTWLGSHSSCPSCRQILIVAASSRCRTCGSGSSAVAEVVAKIREDSNAGNRLAL >DRNTG_35053.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20286479:20287997:-1 gene:DRNTG_35053 transcript:DRNTG_35053.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENVIVLVKDEEEEEEEEEVTPVVPQPMPRLHDAGPPPFLTKTFEMVEDPMTDSVVSWSRGRNSFVVWDSQKFATSLLPKYFKHNNFSSFIRQLNTYGFRKVDPDRWEFANEEFLGGQRHLLKNIKRRRNIGQSSQSSPFAHQVSEACIEVGLFRGRY >DRNTG_35053.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20286479:20290042:-1 gene:DRNTG_35053 transcript:DRNTG_35053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVIVLVKDEEEEEEEEEVTPVVPQPMPRLHDAGPPPFLTKTFEMVEDPMTDSVVSWSRGRNSFVVWDSQKFATSLLPKYFKHNNFSSFIRQLNTYGFRKVDPDRWEFANEEFLGGQRHLLKNIKRRRNIGQSSQSSPFAHQVSEACIEVGLFRGRY >DRNTG_34694.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22780677:22788293:-1 gene:DRNTG_34694 transcript:DRNTG_34694.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQEEKFVRFQDWHSVRSLSSEETFSGRGKGIFGSVTNKLLGAVKRGSKVIKNVFHFKYQNDYSEEELEHKQKVLDPQGAFLQRWNKIFVLSCVIAVSVDPLFFYIPVVNIKGTCIDLDKTLAVTASVLRTFTDIFYILHIIFQFRTGFVAPSSRVFGRGVLVKDSSIIARRYLSSCFLIDILAVLPLPQVVMVSIITKPGGSTSLNAKGLLMLIVVLQYVPRLVRIIPLYLDVTRTAGIITETAWAGAAFNLLLYMLASHVLGAFWYLFSIERMYTCWRQECLANKCHTSSLYCYGKNNGGNTFILNNCSTDEKNKLFDFGIFRPALTNVVGPRDFFPKLFYCFWWGLRNLSSLGQNLNTSTYTWEILFAVFISISGLVLFSLLIGNMQTYLQSTTRRVEEMRVKRQDAEQWMSHRLLPDILRERIRRHEQYRWQETRGVDEQQLVMNLPKDLRRDIKRHLCFALLKRVPMFEKMDNQLMDAMSDRLKPVLYTEQSCIIREGDPVDEMLFIMRGKLDSITTNGGRTGFFNSDFLKAGDFCGEELLTWALDPHSSSSLPSSTRTVKTLTEVEAFALMANDLKLVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRYTKKKLEDSLNEHENRLQVALVKSGTSAPSLSATIYASRFAVNTLRALRRNGVRKTRLPERLPVILLQKPAEPDFTAEDH >DRNTG_34694.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22780677:22788293:-1 gene:DRNTG_34694 transcript:DRNTG_34694.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQEEKFVRFQDWHSVRSLSSEETFSGRGKGIFGSVTNKLLGAVKRGSKVIKNVFHFKYQNDYSEEELEHKQKVLDPQGAFLQRWNKIFVLSCVIAVSVDPLFFYIPVVNIKGTCIDLDKTLAVTASVLRTFTDIFYILHIIFQFRTGFVAPSSRVFGRGVLVKDSSIIARRYLSSCFLIDILAVLPLPQVVMVSIITKPGGSTSLNAKGLLMLIVVLQYVPRLVRIIPLYLDVTRTAGIITETAWAGAAFNLLLYMLASHVLGAFWYLFSIERMYTCWRQECLANKCHTSSLYCYGKNNGGNTFILNNCSTDEKNKLFDFGIFRPALTNVVGPRDFFPKLFYCFWWGLRNLSSLGQNLNTSTYTWEILFAVFISISGLVLFSLLIGNMQTYLQSTTRRVEEMRVKRQDAEQWMSHRLLPDILRERIRRHEQYRWQETRGVDEQQLVMNLPKDLRRDIKRHLCFALLKRVPMFEKMDNQLMDAMSDRLKPVLYTEQSCIIREGDPVDEMLFIMRGKLDSITTNGGRTGFFNSDFLKAGDFCGEELLTWALDPHSSSSLPSSTRTVKTLTEVEAFALMANDLKLVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRYTKKKLEDSLNEHENRLQVALVKSGTSAPSLSATIYASRFAVNTLRALRRNGVRKTRLPERLPVILLQKPAEPDFTAEDH >DRNTG_34694.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22780677:22788293:-1 gene:DRNTG_34694 transcript:DRNTG_34694.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQEEKFVRFQDWHSVRSLSSEETFSGRGKGIFGSVTNKLLGAVKRGSKVIKNVFHFKYQNDYSEEELEHKQKVLDPQGAFLQRWNKIFVLSCVIAVSVDPLFFYIPVVNIKGTCIDLDKTLAVTASVLRTFTDIFYILHIIFQFRTGFVAPSSRVFGRGVLVKDSSIIARRYLSSCFLIDILAVLPLPQVVMVSIITKPGGSTSLNAKGLLMLIVVLQYVPRLVRIIPLYLDVTRTAGIITETAWAGAAFNLLLYMLASHVLGAFWYLFSIERMYTCWRQECLANKCHTSSLYCYGKNNGGNTFILNNCSTDEKNKLFDFGIFRPALTNVVGPRDFFPKLFYCFWWGLRNLSSLGQNLNTSTYTWEILFAVFISISGLVLFSLLIGNMQTYLQSTTRRVEEMRVKRQDAEQWMSHRLLPDILRERIRRHEQYRWQETRGVDEQQLVMNLPKDLRRDIKRHLCFALLKRVPMFEKMDNQLMDAMSDRLKPVLYTEQSCIIREGDPVDEMLFIMRGKLDSITTNGGRTGFFNSDFLKAGDFCGEELLTWALDPHSSSSLPSSTRTVKTLTEVEAFALMANDLKLVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRYTKKKLEDSLNEHENRLQVALVKSGTSAPSLSATIYASRFAVNTLRALRRNGVRKTRLPERLPVILLQKPAEPDFTAEDH >DRNTG_07156.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19973645:19978789:1 gene:DRNTG_07156 transcript:DRNTG_07156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPRSPSSVPAFSTGSPTPTPKRLGTHNGTFHCDEALGCFMIRLTSKFAGSDIIRTRDSKVLETLDAVLDVGGVYDPSHDRYDHHQKGFSEVFGHGFITKLSSAGLVYKHYGKEIIAKELQLDEGHENVQRLYLAVYKSFIEAIDAIDNGISRYDTDQPPKYVNATDLSSRVGHLNLDWMDPDQSAEKENAAFEKAMMLAGSEFLESIHFHVKSWLPARSIVIQCLSSRGDIDSSGEIMLLNQFCPWKLHLFELEEELKINPPTKYVIYQDDRGKSWRVQAVATSPSSFESRKALPLPWRGLRDDELSRESGIPGCVFVHMSGFIGGNQTYDGALAMARAALKF >DRNTG_21088.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4303397:4307418:-1 gene:DRNTG_21088 transcript:DRNTG_21088.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQLDDHHPVEFQLPDWLKKRKSTPYTFIKRNLYLTKKMKRRAEDDGIFCSCSPSSAQSAVCDKDCLCGMLLSSCSQSCNCGNLCANKPFQSRPVKKMKLVETEKCGSGLVADEEIKQGDFVIEYVGEVIDDKTCEERLWKMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCQPNTEMQKWTIDGETRIGIFATRDIKKGEELTYDYQFVQFGADQDCFCGSAACRQKLGNKPSKSDAALQLVLCEMAASSPTVQALLYGKDDFPGGRPEMGKAYTLIMP >DRNTG_21088.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4303343:4307418:-1 gene:DRNTG_21088 transcript:DRNTG_21088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQLDDHHPVEFQLPDWLKKRKSTPYTFIKRNLYLTKKMKRRAEDDGIFCSCSPSSAQSAVCDKDCLCGMLLSSCSQSCNCGNLCANKPFQSRPVKKMKLVETEKCGSGLVADEEIKQGDFVIEYVGEVIDDKTCEERLWKMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCQPNTEMQKWTIDGETRIGIFATRDIKKGEELTYDYQYAVFTLILINLLPSMCSILCLHAFIFTIYDSALIYVLFSQLSINFFFIACISSFLE >DRNTG_21088.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4303397:4307418:-1 gene:DRNTG_21088 transcript:DRNTG_21088.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQLDDHHPVEFQLPDWLKKRKSTPYTFIKRNLYLTKKMKRRAEDDGIFCSCSPSSAQSAVCDKDCLCGMLLSSCSQSCNCGNLCANKPFQSRPVKKMKLVETEKCGSGLVADEEIKQGDFVIEYVGEVIDDKTCEERLWKMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCQPNTEMQKWTIDGETRIGIFATRDIKKGEELTYDYQFVQFGADQDCFCGSAACRQKLGNKPSKSDAALQLVLCEMAASSPTVQALLYGKDDFPGGRPEMGSLYLSLPYKKKTSFQNCIGEVIRIWCRRAKRYYGGVILEFNSYTRKHTIINEEGLITILDLSKEDWDLL >DRNTG_21088.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4303397:4307418:-1 gene:DRNTG_21088 transcript:DRNTG_21088.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQLDDHHPVEFQLPDWLKKRKSTPYTFIKRNLYLTKKMKRRAEDDGIFCSCSPSSAQSAVCDKDCLCGMLLSSCSQSCNCGNLCANKPFQSRPVKKMKLVETEKCGSGLVADEEIKQGDFVIEYVGEVIDDKTCEERLWKMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCQPNTEMQKWTIDGETRIGIFATRDIKKGEELTYDYQYAVFTLILINLLPSMCSILCLHAFIFTIYDSALIYVLFSQLSINFFFIACISSFLE >DRNTG_21088.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4303397:4307418:-1 gene:DRNTG_21088 transcript:DRNTG_21088.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKIGGGLCSVDQVFDQMLKQLDDHHPVEFQLPDWLKKRKSTPYTFIKRNLYLTKKMKRRAEDDGIFCSCSPSSAQSAVCDKDCLCGMLLSSCSQSCNCGNLCANKPFQSRPVKKMKLVETEKCGSGLVADEEIKQGDFVIEYVGEVIDDKTCEERLWKMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCQPNTEMQKWTIDGETRIGIFATRDIKKGEELTYDYQFVQFGADQDCFCGSAACRQKLGNKPSKSDAALQLVLCEMAASSPTVQALLYGKDDFPGGRPEMGSLYLSLPYKKKTSFQNCIGEVIRIWCRRAKRYYGGVILEFNSYTRKHTIINEEGLITILDLSKEDWDLL >DRNTG_18479.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:10435321:10438520:-1 gene:DRNTG_18479 transcript:DRNTG_18479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPFLKFTPLLPLHHPLRPLHPSPSLFPFPPKPHLLLRKPNHVHRFKANTKDNSSLTSLLSDLQDDPIKADVEAAEEVKTRFSPPSLQDNLPSRWLEIHGSENWSGLLDPIDPLLRSELIRYGNFTQATYDAFDSDPFSRYCGTSKYPRRRFLSSLGMDSCGYEVTRFLYATSNIKLPNFFIRSHQDKTWSEKGNWIGYVAVSSDATTALLGRRDIIVAWRGTITKLEWIADLMDFLRPVSSVGIPCPDPDVKVESGFVDLYTDKDTSCPFCKYSAREQVLAEVTKLIDHYAVNNGEEVSISVTGHSLGSALAMLSAYDLVESKVNRGKTVCVFSFAGPRVGNRKFKKRFDGLGLKALRVVNIHDKVPKVPGFFINEAVPKFVQKLADGLPWSYTHVGVELELDHNNSPFLKETLDISCYHNLEAHLHLLDGYHGKGHKFELATGRDPALVNKSADFLENRLMIPPYWRQDLNKGMVKTEDDRWAQPERLKIDEHPPDTHHHLTKLGFNLDK >DRNTG_15172.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:399082:399462:1 gene:DRNTG_15172 transcript:DRNTG_15172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSGRFLRTFLRKWRKLNTELLSSAKTNEYCRWVILSRSTAEDEAIPRDVPKGHMVVYVGEARKRFVIRVSFLEHPLFRALLDEAQEEYDFNCDSKLCIPCDENSFLGVLRFVRSQQDTKLCLCF >DRNTG_34201.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:928931:932409:1 gene:DRNTG_34201 transcript:DRNTG_34201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPYRRLLSHLYKISLFFPECPHRRFIAGAGHDWPRTMGVLGIPIIRPGRGVLGTTIRCYSTSVETIAGEKPFDRACLQSISVKPLVLDGVDAGALEEEEEKWEDLGSEKGGNLEDLGVVEVKGREESEVEKEAWRLLNRAVVKYCGSPVGTVAADDPAMANQMLNYDQVFIRDFVPSALAFLLKGEGEIVRNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVSLDGNNQAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYALQERVDVQTGIRLIMNLCLSDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCARELLSGNESSTNLVRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDAINKFNIYPDQIPSWLMDWIPDHGGYLIGNLQPAHMDFRFFSLGNLWAIVSSLATPRQAEGILNLIENRWDDLVGNMPLKICYPALEYEDWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRPGLAEKAIAVAEERLSNDKWPEYYDTRTGRFIGKQSRLYQTWTIAGFLTSKMFLENPEMTSILTCDEDLNLLEGCLCGLSKNSRVRCSRLAAKSQVLV >DRNTG_09107.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24329:25270:-1 gene:DRNTG_09107 transcript:DRNTG_09107.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKQPSVVRLLVLLIFLLALAIFLTNHNAEASRTPKGPVKDEVKIDDEFPSLLDHYLEITSGDVSDTMGTPDRCDDGDRDCMKRRMMSEAHLDYIYTQHQEP >DRNTG_09107.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24269:25270:-1 gene:DRNTG_09107 transcript:DRNTG_09107.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKQPSVVRLLVLLIFLLALAIFLTNHNAEASRTPKGPVKDEVKIDDEFPSLLDHYLEITSGDVSDTMGTPDRCDDGDRDCMKRRMMSEAHLDYIYTQHQEP >DRNTG_09107.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24329:25208:-1 gene:DRNTG_09107 transcript:DRNTG_09107.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKQPSVVRLLVLLIFLLALAIFLTNHNAEASRTPKGPVKDEVKIDDEFPSLLDHYLEITSGDVSDTMGTPDRCDDGDRDCMKRRMMSEAHLDYIYTQHQEP >DRNTG_09107.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24188:25208:-1 gene:DRNTG_09107 transcript:DRNTG_09107.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKQPSVVRLLVLLIFLLALAIFLTNHNAEASRTPKGPVKDEVKIDDEFPSLLDHYLEITSGDVSDTMGTPDRCDDGDRDCMKRRMMSEAHLDYIYTQHQEP >DRNTG_09107.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24269:25208:-1 gene:DRNTG_09107 transcript:DRNTG_09107.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKQPSVVRLLVLLIFLLALAIFLTNHNAEASRTPKGPVKDEVKIDDEFPSLLDHYLEITSGDVSDTMGTPDRCDDGDRDCMKRRMMSEAHLDYIYTQHQEP >DRNTG_09107.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24188:25270:-1 gene:DRNTG_09107 transcript:DRNTG_09107.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKQPSVVRLLVLLIFLLALAIFLTNHNAEASRTPKGPVKDEVKIDDEFPSLLDHYLEITSGDVSDTMGTPDRCDDGDRDCMKRRMMSEAHLDYIYTQHQEP >DRNTG_03576.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:7287920:7288917:-1 gene:DRNTG_03576 transcript:DRNTG_03576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEMEKLGGTSEEDEDEEELEMEVKEEEDDDVPSGPEMVIGAMGDAMNAGFFDDDQQRRAMAATAMHQQQGGTGSRRCRPKEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINEVIAALAREAGWVVLPDGTTFPSRPGP >DRNTG_11097.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30689282:30690407:1 gene:DRNTG_11097 transcript:DRNTG_11097.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protodermal factor 1 [Source:Projected from Arabidopsis thaliana (AT2G42840) UniProtKB/Swiss-Prot;Acc:Q9S728] MLSHSQTPLLVIIVSVKMKVEMSGKCFLIYCFLVLVLVSQQAASSMTNNADIQTKNTYSPVLCHSARRSQKKPPCDQDPSPSTGGSYGSNTPPPSHHGGYYNSPPSYGSSPPPSPVDPGTRTPSIPLVPPTPTTPPSPFIPDPNTPPLIIGPVIYWRTHPGAIWALFGYWGTIGHFFGVAATSTFGSNLTLQEALANTRRDGLGALCREGTAALLNSMVSKKYAYSSLQVRDAFTAALVSNNAAATQAELFKQANDAHFA >DRNTG_02938.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:2125659:2146144:-1 gene:DRNTG_02938 transcript:DRNTG_02938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIWGMGGVGKTTLLNEINNSLLSDTTLVNEINNSLLGGDTTLLNEINNSLLDGDTNRRFNYVISLVVSKETQFEKLQNEISKRLGLPSGSGKSDIFEFLKKKNFLLLLDDIWKRVDLPEDLGIPLPLRQSQNSENRGQSYKHKVIFTTRDDDVCAHMNAHKKIKVECMGEEEAWHLFKQFSNEEIINSNAIIEQLARKVMKKCSGLPLALKVIGRATSNMKTPEEWRHMLRSLIKMDVRTVTGIEKSLFHNLKVSFDNLASDTLRQCFLCCAQWREDFDIKVSDLIEPWIGCGLISDFGNIGEAFDEGFSLIAKLNEACLLELDYDFTDDYVKLHDVIRDMALWIVSECGKKKNKWIVGGSDDDLRQFSKWEACNWQETELISFNGTLFGNSLPKFLSDKNIDEKGQVSIAATSPRYPNLKSLFMTGYCRCETREMMVINFYPQVGDLRILMDRKRIKAISMDVELVEILRLLKDLPTWRINLENIHDMPILQLCDLSCKRDGSWVPHPLWAQGGVGTQWLTQVHSPTSPRCNKASSCLPNLQFLKIKKMPNLVSLCTCLLDFPILFRLWLIFCPKLEQITRSAEAFPSGCFPKLTDVYISYCDLRSLSWVLHLPCLRILSVRNCSRMEGLIDPADQMQKASSGLPTFPRLQSLSISHLLNLLSLSTCSLDFPVLSVLTLKSCPKLKKLPFKSSIVSNKFERVTVDKDLWESLEWEDTTIRSHLTKFLKAR >DRNTG_10116.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30451560:30456908:1 gene:DRNTG_10116 transcript:DRNTG_10116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTNRFATDHAAYQLISAGHGHICREYCCILQLSDEVLSQKILSRLSPRDISSVGSVCKRLYQLTNNEDLWRMVCQNAWGTETTRALETVSGAKRLEWGRLARELTTLEAVAWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNASKPEWRHVKVSSPPPGRWGHTLSCLNGSWLVVFGGCGRQGLLNDVFILDLDAQHPAWREISGLAPPLPRSWHSSCTLDGTKLVVSGGCADSGVLLSDTFLLDLTMEKPVWREIPVSWKPPSRLGHSLSVYDGRKILMFGGLAKSGPLRLRSSDVFTMDLSEDEPCWRCITGSGMPGAGNPVGIGPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQIYLLDPTEEKPTWRILNVPGRPPRFAWGHSTCVVGGTRTIVLGGQTGEEWMLSELHELSLTSFIQ >DRNTG_13469.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:62919:65423:1 gene:DRNTG_13469 transcript:DRNTG_13469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRSDSETSVQSSTTTSSSSPSSPPPESSKRPKRVRDSSRHPLYRGVRMRTWGKWVSEIREPKKKSRIWLGTFPTPEMAARAHDVAALSVKGDSAILNFPELAASLPRPATLAPRDVQAAAAKAAAMEPVTEPAPDELGEIVELPRLGDEWLDSAEFVFYDDSWAVHKSLFTKVDDLKSLNSNATLSRQTFID >DRNTG_31340.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:866702:870513:-1 gene:DRNTG_31340 transcript:DRNTG_31340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSLFRDVRDSFGSLSRRSFEVKLSGHQRGKSQGSVHELGEPALVIQNSRWASLPPELLRDVIKRLEASESTWPSRKHVVACAAVCRSWRETCREIVKSPEFSGKLTFPVSLKQPGPREGTIQCFIKRDKANHTYHLFLCLSPAVLVENGKFLLSAKRNRRTTCTEYIISMDADNISRSSNTYIGKLRSNFLGTKFIIYDTQPPYNGAAVPNPGRTSRRFYSKVSPKVPSGSYNIAQVTYELNVLGTRGPRRMHCVMYSIPASSLDAGGTVPGQPENLLPRTLEDSFRSISFSKSSIMDHHSVDFSSARFSDIMGSRGTEEEEDVKMRPLVLRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAAQPPAGAPTPSQPAPPEHDKIILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >DRNTG_25406.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24530763:24533575:-1 gene:DRNTG_25406 transcript:DRNTG_25406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVNEYLQKTQKKKNLHLTTRSITFNKHKTTSKNSDKTRRKYTNQD >DRNTG_13340.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1080608:1082828:-1 gene:DRNTG_13340 transcript:DRNTG_13340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRCGRTAALLLLLTAIPIALLFSLERSASGYRYSSGGWFHECAKWDAFADRFLVSTSTSGTIGEIIIGTGSELEEKTVVSDADVAGNWSMGIAIDRRRNRLLVVYADVLGLTYSGVAAYDLRSWERRFLIQLASKGGEKSAANDVAVDEDGNAYITDAKANKIWKIGSNGELLSIIQSDVFVQRKEWYYNFDGLNGIIYHPNGFLLVMHTASGHLFKVDIATENVTMVNVNGSIFMGDGLELLSPTKLVVAGTLFTKLVESTDEWNTAITTGRYIGPFHHIFTSATVKDSKVYLNHVIGRKSSHLISEANFTPLNSI >DRNTG_13340.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1080747:1082876:-1 gene:DRNTG_13340 transcript:DRNTG_13340.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRCGRTAALLLLLTAIPIALLFSLERSASGYRYSSGGWFHECAKWDAFADRFLVSTSTSGTIGEIIIGTGSELEEKTVVSDADVAGNWSMGIAIDRRRNRLLVVYADVLGLTYSGVAAYDLRSWERRFLIQLASKGGEKSAANDVAVDEDGNAYITDAKANKIWKIGSNGELLSIIQSDVFVQRKEWYYNFDGLNGIIYHPNGFLLVMHTASGHLFKVDIATENVTMVNVNGSIFMGDGLELLSPTKLVVAGTLFTKLVESTDEWNTAITTGRYIGPFHHIFTSATVKDSKVYLNHVIGRKSSHLISEANFTPLNSI >DRNTG_13340.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1080747:1082828:-1 gene:DRNTG_13340 transcript:DRNTG_13340.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRCGRTAALLLLLTAIPIALLFSLERSASGYRYSSGGWFHECAKWDAFADRFLVSTSTSGTIGEIIIGTGSELEEKTVVSDADVAGNWSMGIAIDRRRNRLLVVYADVLGLTYSGVAAYDLRSWERRFLIQLASKGGEKSAANDVAVDEDGNAYITDAKANKIWKIGSNGELLSIIQSDVFVQRKEWYYNFDGLNGIIYHPNGFLLVMHTASGHLFKVDIATENVTMVNVNGSIFMGDGLELLSPTKLVVAGTLFTKLVESTDEWNTAITTGRYIGPFHHIFTSATVKDSKVYLNHVIGRKSSHLISEANFTPLNSI >DRNTG_13340.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1080608:1082876:-1 gene:DRNTG_13340 transcript:DRNTG_13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRCGRTAALLLLLTAIPIALLFSLERSASGYRYSSGGWFHECAKWDAFADRFLVSTSTSGTIGEIIIGTGSELEEKTVVSDADVAGNWSMGIAIDRRRNRLLVVYADVLGLTYSGVAAYDLRSWERRFLIQLASKGGEKSAANDVAVDEDGNAYITDAKANKIWKIGSNGELLSIIQSDVFVQRKEWYYNFDGLNGIIYHPNGFLLVMHTASGHLFKVDIATENVTMVNVNGSIFMGDGLELLSPTKLVVAGTLFTKLVESTDEWNTAITTGRYIGPFHHIFTSATVKDSKVYLNHVIGRKSSHLISEANFTPLNSI >DRNTG_13340.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1080683:1082876:-1 gene:DRNTG_13340 transcript:DRNTG_13340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRCGRTAALLLLLTAIPIALLFSLERSASGYRYSSGGWFHECAKWDAFADRFLVSTSTSGTIGEIIIGTGSELEEKTVVSDADVAGNWSMGIAIDRRRNRLLVVYADVLGLTYSGVAAYDLRSWERRFLIQLASKGGEKSAANDVAVDEDGNAYITDAKANKIWKIGSNGELLSIIQSDVFVQRKEWYYNFDGLNGIIYHPNGFLLVMHTASGHLFKVDIATENVTMVNVNGSIFMGDGLELLSPTKLVVAGTLFTKLVESTDEWNTAITTGRYIGPFHHIFTSATVKDSKVYLNHVIGRKSSHLISEANFTPLNSI >DRNTG_13340.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1080683:1082828:-1 gene:DRNTG_13340 transcript:DRNTG_13340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRCGRTAALLLLLTAIPIALLFSLERSASGYRYSSGGWFHECAKWDAFADRFLVSTSTSGTIGEIIIGTGSELEEKTVVSDADVAGNWSMGIAIDRRRNRLLVVYADVLGLTYSGVAAYDLRSWERRFLIQLASKGGEKSAANDVAVDEDGNAYITDAKANKIWKIGSNGELLSIIQSDVFVQRKEWYYNFDGLNGIIYHPNGFLLVMHTASGHLFKVDIATENVTMVNVNGSIFMGDGLELLSPTKLVVAGTLFTKLVESTDEWNTAITTGRYIGPFHHIFTSATVKDSKVYLNHVIGRKSSHLISEANFTPLNSI >DRNTG_33192.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21097719:21098867:1 gene:DRNTG_33192 transcript:DRNTG_33192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEWCSQVSVLAHKAVGCFVTHCGWNSTLESLASGVPMVCVPQWSDQGTNAKLVESLWGCGVRSEVDAGGVVKGEELVKCLELVMGNGENGVEIRTKAKMWKDKAMDAGSEGGSSDLNLKAFVDKSCGRV >DRNTG_01774.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10876727:10879160:1 gene:DRNTG_01774 transcript:DRNTG_01774.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPQAIHRVYKDHADLRSTLKGEPFRFYPGLVDTDNITRLGVASRLIYSSILPRDEKCYFADMLENIDVAERLLVEAAQRSSLAFGLMRSLNQCVQDSNIHHKKLQLTIEDLRKHNKEITTHLQTSEAKLPSLRGYASKCSTLNDENKRLGDNVSSLCSTITSLEKDLASERQATSALKDEISFLCQAFDAERQSIRATTI >DRNTG_08087.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10090247:10096133:-1 gene:DRNTG_08087 transcript:DRNTG_08087.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQEKLLAAKFYALEKRMENFFAMKASQDYPQQQRPPHPQVPQFQPQQQQEKMYTTEDVLAKFMLNTEARFYNINTWFDELSTVLRSVQTLIQALENQVRSGEQVEAGAKERPSVMEYGVKQDNVDVIMAMEKYEEVEEAPSTTNEEATCLHIDHSINLPDNLKHEDLKKNANSGIPYEQPYGREDDRMPTEEGFKEAGEGSSEGQIQWEKRSAMKENIKELASSDLTISHHHLLGNI >DRNTG_17825.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15904887:15909931:1 gene:DRNTG_17825 transcript:DRNTG_17825.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASSSASESTSTKTKKPRIDGEMPLTDANDDSFLFPVEEIVQYPLPGYVSPSCISFSPDDRFIGFLFSPDASLYRKVFLFDLQSRSQEMVFSPPEGGGLDESNLSAEEKLRRERSRERGLGVTRYEWRARNPTASGGLGGHSIMVPLPSGIFFQEIAGGEPELKLLSVAGSPIIDPHLSPDGSMLAYVKDDELHVLHLSNGEAKQLSFGARGNAKTHGLAEYIAQEEMDRKAGFWWSPDSKYIAFTEVDSSEIPLFRIMHQGKDSVGPDAQEDHAYPFAGASNVKVRIGVVSISDEQVTWMDLLCGKFDGVGSNEYLARVNWMPDNTLIAQVLNRSHSTLKILKFDIWTGKKEVIMEENQDVWINLHDCFTPLDKGINKITHGFVWASDRTGFRHLYLYDKNGICLGPITEGDWMVEQIVGVNDNAGLIYFTGTMDGPLESNLYCTSLFPDWNLPLQPPQKLTHEKGRHTVILDHQMQKFVDVHDSLNTPPRVLLCSLHDGSLILPLYEQPLPIPRFKKLQLVPPEIVQLSAKDGTVLYGALYKPDVDRFGPPPYKTLINVYGGPSIQLVCDSWMNTVDMRAQYLRNKGILVWKLDNRGTARRGLKFESHLKKNFGRVDAEDQLTGADWLVKQGLAQAGRIGLYGWSYGGFLSAMSLARYPDSFRCAVSGAPVTSWDGYDTFYTEKYMNTPKESPECYKNGSIMQHVHRIKGKLLLVHGMIDENVHFRHTVRLVNALIDAGKPYELLVFPDERHMPRRLRDRVYMEERIWEFIERNL >DRNTG_17825.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15904887:15909931:1 gene:DRNTG_17825 transcript:DRNTG_17825.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKAGFWWSPDSKYIAFTEVDSSEIPLFRIMHQGKDSVGPDAQEDHAYPFAGASNVKVRIGVVSISDEQVTWMDLLCGKFDGVGSNEYLARVNWMPDNTLIAQVLNRSHSTLKILKFDIWTGKKEVIMEENQDVWINLHDCFTPLDKGINKITHGFVWASDRTGFRHLYLYDKNGICLGPITEGDWMVEQIVGVNDNAGLIYFTGTMDGPLESNLYCTSLFPDWNLPLQPPQKLTHEKGRHTVILDHQMQKFVDVHDSLNTPPRVLLCSLHDGSLILPLYEQPLPIPRFKKLQLVPPEIVQLSAKDGTVLYGALYKPDVDRFGPPPYKTLINVYGGPSIQLVCDSWMNTVDMRAQYLRNKGILVWKLDNRGTARRGLKFESHLKKNFGRVDAEDQLTGADWLVKQGLAQAGRIGLYGWSYGGFLSAMSLARYPDSFRCAVSGAPVTSWDGYDTFYTEKYMNTPKESPECYKNGSIMQHVHRIKGKLLLVHGMIDENVHFRHTVRLVNALIDAGKPYELLVFPDERHMPRRLRDRVYMEERIWEFIERNL >DRNTG_28455.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10810646:10814645:-1 gene:DRNTG_28455 transcript:DRNTG_28455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHSGRKSYHLESVKATLAAALERATLEDGFEGYWPRFGRGSTAPTSSYLRKKVGRGASIEAYPIPDEGILGRRVEDFPQDHQHDYREAGILHVLGHEVAKLLYIATYRESQGQSYLQLFFNTMERTLPIAKERHFILPASAKTESIWFNKFGFSNVIL >DRNTG_08946.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27268645:27274117:1 gene:DRNTG_08946 transcript:DRNTG_08946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVWQTPANPPEARDYIIRDGKRFVRPYYFEFVSYVKNRWVGKTVVDLFAEEFTGRPREYYVGAVKSGRIQVDGQVVSLSYRVRSSQKISHFLHRHEPPVLAGSISILQKEPEVVTICKPASMPVHSCGQYRKNTVVGILQAEHGLTPLFPVHRLDRLVSGLLIFARNPSKADQLRQQIEAGLLHKEYIAKVLGVFPEGEQVVNANIDYNAREGRSSVETADKCNGKHLKGKTACTKFTRISTNGIHSIVLCEPVTGRTHQIRVHLQHIGHPIANDELYLHHSEVIAPRSTKRMHPESAAMDTYQPSPVLESSYDVNSNEEFSIDPMCTNCPNLGPKGYDGDEEGLWLHCLRYSGPDWTYECPFPDWAFLL >DRNTG_08946.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27268645:27274117:1 gene:DRNTG_08946 transcript:DRNTG_08946.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVWQTPANPPEARDYIIRDGKRFVRPYYFEFVSYVKNRWVGKTVVDLFAEEFTGRPREYYVGAVKSGRIQVDGQVVSLSYRVRSSQKISHFLHRHEPPVLAGSISILQKEPEVVTICKPASMPVHSCGQYRKNTVVGILQAEHGLTPLFPVHRLDRLVSGLLIFARNPSKADQLRQQIEAGLLHKEYIAKVLGVFPEGEVYIFIF >DRNTG_02996.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9160345:9162809:-1 gene:DRNTG_02996 transcript:DRNTG_02996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFTAPKKEEENLGSTTAWLNAVATGPTPITEA >DRNTG_33084.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20080249:20083567:1 gene:DRNTG_33084 transcript:DRNTG_33084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLTLEVGGGRSVSATRDGDLQEAKALLEYNHRLARYSTFGVRNSPLHYLAAQGHNEIVTLLIESGVDINHRNICGQVKL >DRNTG_33084.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20081746:20083567:1 gene:DRNTG_33084 transcript:DRNTG_33084.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGSGQETATSEFDEDALVKIVNKKADGGITALHMAALNGHAETVHLLLDLGAFVSEVIVEDGTTIDLIGLYKALLIL >DRNTG_33084.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20081746:20083567:1 gene:DRNTG_33084 transcript:DRNTG_33084.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGSGQETATSEFDEDALVKIVNKKADGGITALHMAALNGHAETVHLLLDLGAFVSEVIVEDGTTIDLIGVCNGS >DRNTG_15964.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20366019:20370083:-1 gene:DRNTG_15964 transcript:DRNTG_15964.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TWIN LOV 1 [Source:Projected from Arabidopsis thaliana (AT2G02710) UniProtKB/Swiss-Prot;Acc:O64511] METCDHRAVADSLTSRYCDWVHDALEDFPDAFLITDPAIAGHPIVFASRGFLSMSGYASEEVVGRNGRMFQGPGTSRRSVMEIREAIREERTLQISLLNYRRDGSPHWILFHLCPVFAPCDGHRRVVHFLSVQIPIPKRSRRTVVVSTTCRDAMRMDADLELDLANDDRGFEVEEWREAGEWEKEKAASVAGEILSALARYGKLSSGKRAGVVLPRPLSSALNISLGRIKQSFVLTDPHLPDMPIVYASDGFSSLTGYSRLEVLGRNCRFLNGPGTDTKVLNQIKDSIKAEKACAVRLLNYRKDRSPFWNLLHISPVRNASGKIAFYVGVQIEEGSKSNEHGLSPEMRQLGTVGQVKVAVRSISSGAGTSRLSS >DRNTG_15964.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20366019:20370083:-1 gene:DRNTG_15964 transcript:DRNTG_15964.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TWIN LOV 1 [Source:Projected from Arabidopsis thaliana (AT2G02710) UniProtKB/Swiss-Prot;Acc:O64511] METCDHRAVADSLTSRYCDWVHDALEDFPDAFLITDPAIAGHPIVFASRGFLSMSGYASEEVVGRNGRMFQGPGTSRRSVMEIREAIREERTLQISLLNYRRDGSPHWILFHLCPVFAPCDGHRRVVHFLSVQIPIPKRSRRTVVVSTTCRDAMRMDADLELDLANDDRGFEVEEWREAGEWEKEKAASVAGEILSALARYGKLSSGKRAGVVLPRPLSSALNISLGRIKQSFVLTDPHLPDMPIVYASDGFSSLTGMVLMLHKMYDFTLIPVEGFCHSDKAILDLKFWAVIVDF >DRNTG_17318.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31929751:31935810:-1 gene:DRNTG_17318 transcript:DRNTG_17318.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide transporter 1 [Source:Projected from Arabidopsis thaliana (AT4G01100) UniProtKB/TrEMBL;Acc:F4JHS4] MASEDVVGKSTGESAVNTIVNLAEEAKLARENVKAPQYAIASVLRSLIAGGVAGGVSRTAVAPLERLKILLQVQNPHSIKYSGTMQGLKYIWRTEGFTGLFKGNGTNCARIVPNSAVKFFSYERASSGILWLYRQQTGNEDAQLTPVLRLGAGACAGIIAMSATYPMDMVRGRITVQTEKSPYQYSGMFHALRTVFREEGFRALYKGWLPSVIGVIPYVGLNFAVYESLKDWLVKNNPYGIVDNGELSMVTKLACGAAAGTVGQTVAYPLDVIRRRMQMVGWNHAASVITGQGMSKAPMEYSGMIDAFRKTVRHEGFRALYKGLVPNSVKVVPSIAIAFVSYEGIKDILGAEMRISD >DRNTG_28618.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28446772:28448635:-1 gene:DRNTG_28618 transcript:DRNTG_28618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLIPAKKKPHAVCIPYPAQGHVTPMLKLAKLLHSNGFHITFVNTHFNHKRLLKSRGVSSISGVPGFDFKSIPDGLPPSNEDATQDILALCESITNNFLAPFLDLLSNLNHDPPISCIVSDGVMSFTLDAAKQLGVPEVLFWTTSACGFMGYLHYQHLIDQGLVPLKNEEDLTNGYLDMHITTVPGMDNMRLKDFPSFVRTTDPKETLLNYLNRESKRASMASAIILNTFEELEGPVLDAMRSISKLPPIFTIGPLSLLNNNMIMDAELYSSLGSNLWKEEFGCFEWLKGRREASVVYVNFGSITVMSNEHLVEFAWGLANSKLDFLWVIRGDLVKGDSAVLPQEFLEEINGRGMLATWCPQEEVLNHQAIGGFLTHSGWNSTIESIVAGVPMISWPFFAEQQTNCRYVCVEWGLGMEIDNDVKRDEVEKQVRELMEGEKGEEMRKKAMELKKKAFRAAEPTGTSTVNFERLVHEVLLQCFSQASDG >DRNTG_25810.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2623130:2636820:-1 gene:DRNTG_25810 transcript:DRNTG_25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding KHIHIYTYTQKENERKKERKEVKKGKSKETKLTAREVSTQRERHTIMLLTGVCSLSSVSHQRPYLYQSKTKKKGRIFINENR >DRNTG_25810.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2623130:2634944:-1 gene:DRNTG_25810 transcript:DRNTG_25810.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSDEVLKEELENLQQTHKRERRNKWMRR >DRNTG_25810.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2623130:2636820:-1 gene:DRNTG_25810 transcript:DRNTG_25810.3 gene_biotype:protein_coding transcript_biotype:protein_coding KHIHIYTYTQKENERKKERKEVKKGKSKETKLTAREVSTQRERHTIMLLTGVCSLSSVSHQRPYLYQSKTKKKGRIFINENR >DRNTG_25810.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2623130:2634944:-1 gene:DRNTG_25810 transcript:DRNTG_25810.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSDEVLKEELENLQQTHKRERRNKWMRR >DRNTG_25810.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2623130:2624783:-1 gene:DRNTG_25810 transcript:DRNTG_25810.8 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSDEVLKEELENLQQTHKRERRNKWMRR >DRNTG_25810.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2623130:2634944:-1 gene:DRNTG_25810 transcript:DRNTG_25810.4 gene_biotype:protein_coding transcript_biotype:protein_coding KHIHIYTYTQKENERKKERKEVKKGKSKETKLTAREVSTQRERHTIMLLTGVCSLSSVSHQRPYLYQSKTKKKGRIFINENR >DRNTG_13586.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30412984:30442345:-1 gene:DRNTG_13586 transcript:DRNTG_13586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAFISVYKVCWGDIGCEKSGIIAGIDKAMQDGVHILQMSFGLPKNSLPTSFEGDSVAVATYSAMQKGIF >DRNTG_00023.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21589793:21592691:-1 gene:DRNTG_00023 transcript:DRNTG_00023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGSRGEIESGIPEFIPGRRTVRWHPGARPVNSNSLTFLVAVLLLFMILNSNQMSPNFLLWLALGVFLMATSLRMYATCQQLQAQAQAHAAAASGLLGHTELRLHMPPSIAFATRGRLQGLRLQLALLDREFDDLDYDTLRALDSDNNPDAPSMSEEEINALPVHIYKSQSNQGSASGPKFNGSSLQQASSSSGVVTEKKQDNVKSEENTKALGDELTCSVCLEQVNVGELVRSLPCFHQFHCNCIDPWLRQQGTCPIYKYRVSSGWQETGEGELDASYMV >DRNTG_14334.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:7416669:7419072:-1 gene:DRNTG_14334 transcript:DRNTG_14334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPSGSQGKKSRMVGQPEGTPVVRVTRREGERGSYFCEVTGPFRLGEHCPSVLTNLRAPLVDLAVLLSQTRTTLNRLHLRAVSLLDRQGGASQSMGKRIKRFYFVPRDPPQVGFESRVLGDYPTRFEEHLYVVCAGEWKPPPQERSGSSHGSVTIDSLYYYGKSVYQDVNLRSYFGSIRPPTILTFGFCLGRCIILHFQKRTFIHFFLPCRPLRLKRRDKSRPGKEKGRWWAFGKVGQIGCLNFKRRYRGRM >DRNTG_24310.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18570099:18579195:1 gene:DRNTG_24310 transcript:DRNTG_24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLGQVDSTFPQPSTPDASGHLLIVVLWPSSVSLISLIMAVSVVAKASGGKDYPGNITLFVFLACLVAATGGLIFGYDLGISGNMLSISI >DRNTG_15778.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17259706:17260668:-1 gene:DRNTG_15778 transcript:DRNTG_15778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSQTWSKNQARGHYTINKAISQTNFCRHRRLLSTDFSTWLSIYDWKLLQSSNVKANLIVAKDDSGNYKTISEAIAASSRLRKDTSSRFIIHVKASFYKENVEIKTSMTNIMIIGDNINKTIVTGSKNVQDGSTTFHSATLGVDLSMFYRCSFKGYQGTLYVYSQCRFYQNCDIYGTIDFIFGDAATVLQNWFLQDVSWPAMAEVLKDCVHEDITW >DRNTG_01375.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000076.1:670:5476:1 gene:DRNTG_01375 transcript:DRNTG_01375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWIVASLFSIIYIYLSKMSYKFHIRWLPIFCRPLDVVQSAKLSNELGVIARTYLPCPQLLMKLDWEEHIKPSFDRLRMNLNVIGIETNPRKKKPNEFITQENWEDLCDYWNNDKTKCAMQQKFKKSINENQDVDVDKICDEFLGTRSRYIKGLGYAKD >DRNTG_19471.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15389373:15389956:-1 gene:DRNTG_19471 transcript:DRNTG_19471.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDPQIRSFFVGPYITRLIREMGILKGTNRIDPEVGGDAATGSHRTSGFSRVSPRLVST >DRNTG_15277.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4526597:4527287:1 gene:DRNTG_15277 transcript:DRNTG_15277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLWCSSNFTSILLISTPQRLCLRLRPANREDIRRCRTKEGRLYSKESRNSTEPPELSLKKRVHDWNP >DRNTG_13975.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27887377:27892026:-1 gene:DRNTG_13975 transcript:DRNTG_13975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNAAGSSSGSGDASPARRNSKRPKYSKFTQQELPACKPILTPQWVISVFTLVGIIFVPIGVAALLASNDVVEIIDRYDADCVPQNMTNNKVGYIQNDAIDKTCTRTIKVPKDMDQPIYVYYQLDNFYQNHRRYVKSRNDGQLRDKSKENDTSGCDPEKTTSNGVPIVPCGLIAWSLFNDTYSFTRGNKSLTVNMTDISWKSDRDHKFGDDVFPKNFQNGNLTGGKKLDANKPLSQQEDLIVWMRTAALPTFRKLYGRIEVDLKENDTITVTLKNYYNTYSFSGKKKLVLSTTSWLGGKNNFLGIAYLTVGGLCFFLATTFTVVYLVKPRKLGDPSYLSWNRNTGGR >DRNTG_25168.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13817667:13821727:1 gene:DRNTG_25168 transcript:DRNTG_25168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEEPSIDLRSTTMDEDKEETDTNKEGFRIGETETLAAIPSVPSVTHQFSNSDVFVSAGHSIEVQSIQFWFFLCLESQRFSSGNWVGIVSSQYALLRVHFLIHSDAEIVELSGPVTIVAWNLSIFLLPCTMAYQKPDNIEELCLSVNTFTLAKVSLSWGKLLSYGRWLHDMPALLNSKEDFAAIFV >DRNTG_15190.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5940384:5941111:-1 gene:DRNTG_15190 transcript:DRNTG_15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLRFLASLFRRGLSFEALLTAALPPNLVLTFLQIKCGNVVILSATNVYEVKKQWNCLLESANAPLVQLAPFFSKHLSTRL >DRNTG_13983.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27843799:27847344:-1 gene:DRNTG_13983 transcript:DRNTG_13983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVQKFFLTSIIMWMVPIAIIYGFNHHIFPGSSQLSSSSQTLISGFLAVISVNFVIALYIIMAMKEPTNQEPQPDPTFLAEARRSITQPSGSMASETSETRDKVE >DRNTG_20707.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17967676:17970940:1 gene:DRNTG_20707 transcript:DRNTG_20707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGGKAPVPAKKKPEKVVNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAESEGKTVEVKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGSIVHQKTAAVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEIRKKWGGGIMGTKSQAKTKVKERLLAKEAAQRMT >DRNTG_15432.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14847428:14850849:1 gene:DRNTG_15432 transcript:DRNTG_15432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHEEAVVLLDSLISPFGQRVRIALAEKGVDYEYKVQDLKNKSELLLKSNPVYKQIPVLMHNGNTICQSLIIVQYIDEVWPDKAPLLPTEPYARANARFWADFVDKKVYECGSKLWKRKGEHHETAKEWVEIFKLLECELGDKKYFGDEAFGYIDIALVPFIVLFYAFEQCAKLSMDEECPKIVAWAKRCMEREGVSNALTDPKKIYEMILSQ >DRNTG_15432.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14847428:14850402:1 gene:DRNTG_15432 transcript:DRNTG_15432.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTHEEAVVLLDSLISPFGQRVRIALAEKGVDYEYKVQDLKNKSELLLKSNPVYKQIPVLMHNGNTICQSLIIVQYIDEVWPDKAPLLPTEPYARANARFWADFVDKKVYECGSKLWKRKGEHHETAKEWVEIFKLLECELGDKKYFGDEAFGYIDIALVPFIVLFYAFEQCAKLSMDEECPKIVAWAKRCMEREGVSNALTDPKKIYEMILSQ >DRNTG_15432.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14847428:14850102:1 gene:DRNTG_15432 transcript:DRNTG_15432.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTHEEAVVLLDSLISPFGQRVRIALAEKGVDYEYKVQDLKNKSELLLKSNPVYKQIPVLMHNGNTICQSLIIVQYIDEVWPDKAPLLPTEPYARANARFWADFVDKKVYECGSKLWKRKGEHHETAKEWVEIFKLLECELGDKKYFGDEAFGYIDIALVPFIVLFYAFEQCAKLSMDEECPKIVAWAKRCMEREGVSNALTDPKKIYEMILSQ >DRNTG_15432.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14847322:14850457:1 gene:DRNTG_15432 transcript:DRNTG_15432.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHEEAVVLLDSLISPFGQRVRIALAEKGVDYEYKVQDLKNKSELLLKSNPVYKQIPVLMHNGNTICQSLIIVQYIDEVWPDKAPLLPTEPYARANARFWADFVDKKVYECGSKLWKRKGEHHETAKEWVEIFKLLECELGDKKYFGDEAFGYIDIALVPFIVLFYAFEQCAKLSMDEECPKIVAWAKRCMEREGVSNALTDPKKIYEMILSQ >DRNTG_15432.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14847428:14850457:1 gene:DRNTG_15432 transcript:DRNTG_15432.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTHEEAVVLLDSLISPFGQRVRIALAEKGVDYEYKVQDLKNKSELLLKSNPVYKQIPVLMHNGNTICQSLIIVQYIDEVWPDKAPLLPTEPYARANARFWADFVDKKVYECGSKLWKRKGEHHETAKEWVEIFKLLECELGDKKYFGDEAFGYIDIALVPFIVLFYAFEQCAKLSMDEECPKIVAWAKRCMEREGVSNALTDPKKIYEMILSQ >DRNTG_12537.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21117637:21118598:1 gene:DRNTG_12537 transcript:DRNTG_12537.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENTPLCTFKDPLTKGSSAITLRRDINTRDVSNSRAIARVRERTRVSGPRRESEEDERNGNGG >DRNTG_24146.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26265935:26273083:-1 gene:DRNTG_24146 transcript:DRNTG_24146.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADRGGSAAAKPVWMKQAEEAKLKSEAEKAAAAKAAFEATFKAVDPPKQSAGSDDDDEEEDLSSKPIGPPDPSKSLAAGAGIAGGTACAPASFVLTAKDSDGRRVPSGGAHVQVKISPGVGVGGSDQEGMVKDQGDGTYTVTYAVPKRGNYMVHIELDGRPVMGSPFPVFFSAGPAGTLPVATTMSQYPNMVNQTMPNMPNYAGAVSGAFPGLLGMIPGSLPGATGGVVLPGIGANLGEVCREYLSGRCAKSDCKFNHPPHNILMMALTATTSMGTLSQAPMAPSAAAMAAAQAIMAAKALQAHAAQMQAEVKASGNDSPDKAGKDALKRTLQVSNLSPLLTVDQLKQLFAYCGTVVDCTITDSKHFAYIEFSKPEEATTALALNNMDVGGRPLNVEMAKSLPSKSSLANSLSAQSSIPLMMQQAVAMQQMNFQQALLMQQTIASQQAANRAATMKSATEMASARAAEISKKLKADGLGSDDKEEEKKKSRSPSVHRRSKSRSRSPIKYRRSRRSRSFSPIKYSRDKRSRSPIRSHHSSRGLERSQRDVSRSGRRERDRSRDHYASGSRRRVSRSPSPYTRKSFRTESRSPKRRRESLSPRTKRLSRSRADSRSPRHHRGRRFSPRHKHEPSSHRSRRSRSRSTEGKERSNQKDDAKRESPISKRLARTGSRSPLHHRGSRSGPGDSHEKSSKRSRHSRSRSAERRHHSDDKENAKRSEKKKKKKNIRESDITDLTSKKLKDCQDHGEDQPVDLSAGNNKRSSSVTDDGTSKNKKDINKYETSRKENKEHGMNDPSGNSSPEGLGVEPKLKSANFASNEPPRLDYNVLPDTDAMTKDDNIDSTNGILSEIGVSVSAGGQNGKDMSNPDDLIKSY >DRNTG_24146.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26265935:26273083:-1 gene:DRNTG_24146 transcript:DRNTG_24146.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADRGGSAAAKPVWMKQAEEAKLKSEAEKAAAAKAAFEATFKAVDPPKQSAGSDDDDEEEDLSSKPIGPPDPSKSLAAGAGIAGGTACAPASFVLTAKDSDGRRVPSGGAHVQVKISPGVGVGGSDQEGMVKDQGDGTYTVTYAVPKRGNYMVHIELDGRPVMGSPFPVFFSAGPAGTLPVATTMSQYPNMVNQTMPNMPNYAGAVSGAFPGLLGMIPGSLPGATGGVVLPGIGANLGEVCREYLSGRCAKSDCKFNHPPHNILMMALTATTSMGTLSQAPMAPSAAAMAAAQAIMAAKALQAHAAQMQAEVKASGNDSPDKAGKDALKRTLQVSNLSPLLTVDQLKQLFAYCGTVVDCTITDSKHFAYIEFSKPEEATTALALNNMDVGGRPLNVEMAKSLPSKSSLANSLSAQSSIPLMMQQAVAMQQMNFQQALLMQQTIASQQAANRAATMKSATEMASARAAEISKKLKADGLGSDDKEEEKKKSRSPSVHRRSKSRSRSPIKYRRSRRSRSFSPIKYSRDKRSRSPIRSHHSSRGLERSQRDVSRSGRRERDRSRDHYASGSRRRVSRSPSPYTRKSFRTESRSPKRRRESLSPRTKRLSRSRADSRSPRHHRGRRFSPRHKHEPSSHRSRRSRSRSTEGKERSNQKDDAKRESPISKRLARTGSRSPLHHRGSRSGPGDSHEKSSKRSRHSRSRSAERRHHSDDKENAKRSEKKKKKKNIRESDITDLTSKKLKDCQDHGEDQPVDLSAGNNKRSSSVTDDGTSKNKKDINKYETSRKENKEHGMNDPSGNSSPEGLGVEPKLKSANFASNEPPRLDYNVLPDTDAMTKDDNIDSTNGILSEIGVSVSAGGQNGKDMSNPDDLIKSY >DRNTG_24146.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26265935:26273083:-1 gene:DRNTG_24146 transcript:DRNTG_24146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRGGSAAAKPVWMKQAEEAKLKSEAEKAAAAKAAFEATFKAVDPPKQSAGSDDDDEEEDLSSKPIGPPDPSKSLAAGAGIAGGTACAPASFVLTAKDSDGRRVPSGGAHVQVKISPGVGVGGSDQEGMVKDQGDGTYTVTYAVPKRGNYMVHIELDGRPVMGSPFPVFFSAGPAGTLPVATTMSQYPNMVNQTMPNMPNYAGAVSGAFPGLLGMIPGSLPGATGGVVLPGIGANLGEVCREYLSGRCAKSDCKFNHPPHNILMMALTATTSMGTLSQAPMAPSAAAMAAAQAIMAAKALQAHAAQMQAEVKASGNDSPDKAGKDALKRTLQVSNLSPLLTVDQLKQLFAYCGTVVDCTITDSKHFAYIEFSKPEEATTALALNNMDVGGRPLNVEMAKSLPSKSSLANSLSAQSSIPLMMQQAVAMQQMNFQQALLMQQTIASQQAANRAATMKSATEMASARAAEISKKLKADGLGSDDKEEEKKKSRSPSVHRRSKSRSRSPIKYRRSRRSRSFSPIKYSRDKRSRSPIRSHHSSRGLERSQRDVSRSGRRERDRSRDHYASGSRRRVSRSPSPYTRKSFRTESRSPKRRRESLSPRTKRLSRSRADSRSPRHHRGRRFSPRHKHEPSSHRSRRSRSRSTEGKERSNQKDDAKRESPISKRLARTGSRSPLHHRGSRSGPGDSHEKSSKRSRHSRSRSAERRHHSDDKENAKRSEKKKKKKNIRESDITDLTSKKLKDCQDHGEDQPVDLSAGNNKRSSSVTDDGTSKNKKDINKYETSRKENKEHGMNDPSGNSSPEGLGVEPKLKSANFASNEPPRLDYNVLPDTDAMTKDDNIDSTNGILSEIGVSVSAGGQNGKDMSNPDDLIKSY >DRNTG_24146.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26265935:26273083:-1 gene:DRNTG_24146 transcript:DRNTG_24146.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADRGGSAAAKPVWMKQAEEAKLKSEAEKAAAAKAAFEATFKAVDPPKQSAGSDDDDEEEDLSSKPIGPPDPSKSLAAGAGIAGGTACAPASFVLTAKDSDGRRVPSGGAHVQVKISPGVGVGGSDQEGMVKDQGDGTYTVTYAVPKRGNYMVHIELDGRPVMGSPFPVFFSAGPAGTLPVATTMSQYPNMVNQTMPNMPNYAGAVSGAFPGLLGMIPGSLPGATGGVVLPGIGANLGEVCREYLSGRCAKSDCKFNHPPHNILMMALTATTSMGTLSQAPMAPSAAAMAAAQAIMAAKALQAHAAQMQAEVKASGNDSPDKAGKDALKRTLQVSNLSPLLTVDQLKQLFAYCGTVVDCTITDSKHFAYIEFSKPEEATTALALNNMDVGGRPLNVEMAKSLPSKSSLANSLSAQSSIPLMMQQAVAMQQMNFQQALLMQQTIASQQAANRAATMKSATEMASARAAEISKKLKADGLGSDDKEEEKKKSRSPSVHRRSKSRSRSPIKYRRSRRSRSFSPIKYSRDKRSRSPIRSHHSSRGLERSQRDVSRSGRRERDRSRDHYASGSRRRVSRSPSPYTRKSFRTESRSPKRRRESLSPRTKRLSRSRADSRSPRHHRGRRFSPRHKHEPSSHRSRRSRSRSTEGKERSNQKDDAKRESPISKRLARTGSRSPLHHRGSRSGPGDSHEKSSKRSRHSRSRSAERRHHSDDKENAKRSEKKKKKKNIRESDITDLTSKKLKDCQDHGEDQPVDLSAGNNKRSSSVTDDGTSKNKKDINKYETSRKENKEHGMNDPSGNSSPEGLGVEPKLKSANFASNEPPRLDYNVLPDTDAMTKDDNIDSTNGILSEIGVSVSAGGQNGKDMSNPDDLIKSY >DRNTG_24146.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26265935:26273083:-1 gene:DRNTG_24146 transcript:DRNTG_24146.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADRGGSAAAKPVWMKQAEEAKLKSEAEKAAAAKAAFEATFKAVDPPKQSAGSDDDDEEEDLSSKPIGPPDPSKSLAAGAGIAGGTACAPASFVLTAKDSDGRRVPSGGAHVQVKISPGVGVGGSDQEGMVKDQGDGTYTVTYAVPKRGNYMVHIELDGRPVMGSPFPVFFSAGPAGTLPVATTMSQYPNMVNQTMPNMPNYAGAVSGAFPGLLGMIPGSLPGATGGVVLPGIGANLGEVCREYLSGRCAKSDCKFNHPPHNILMMALTATTSMGTLSQAPMAPSAAAMAAAQAIMAAKALQAHAAQMQAEVKASGNDSPDKAGKDALKRTLQVSNLSPLLTVDQLKQLFAYCGTVVDCTITDSKHFAYIEFSKPEEATTALALNNMDVGGRPLNVEMAKSLPSKSSLANSLSAQSSIPLMMQQAVAMQQMNFQQALLMQQTIASQQAANRAATMKSATEMASARAAEISKKLKADGLGSDDKEEEKKKSRSPSVHRRSKSRSRSPIKYRRSRRSRSFSPIKYSRDKRSRSPIRSHHSSRGLERSQRDVSRSGRRERDRSRDHYASGSRRRVSRSPSPYTRKSFRTESRSPKRRRESLSPRTKRLSRSRADSRSPRHHRGRRFSPRHKHEPSSHRSRRSRSRSTEGKERSNQKDDAKRESPISKRLARTGSRSPLHHRGSRSGPGDSHEKSSKRSRHSRSRSAERRHHSDDKENAKRSEKKKKKKNIRESDITDLTSKKLKDCQDHGEDQPVDLSAGNNKRSSSVTDDGTSKNKKDINKYETSRKENKEHGMNDPSGNSSPEGLGVEPKLKSANFASNEPPRLDYNVLPDTDAMTKDDNIDSTNGILSEIGVSVSAGGQNGKDMSNPDDLIKSY >DRNTG_09821.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000414.1:6458:10459:-1 gene:DRNTG_09821 transcript:DRNTG_09821.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTRDSHEIIIQPELNRCEKNIGESMTTSYAEEGERTMTEENKEEPWKAFIEEETKKLNLKYYQEQTVTMSIFQLPAYATSITPRSVSFGPFHHGEQNLKFAEYWKQMAVLKFISRTNQSLEHLIGEMRKAMDELQANYALLEDKWRNDIEFVKLMIWDGCFMLELLRNDPSTSFIYNPHFGAHGGQGRLPPRVWDVLLLDNQLPLLVIKVLLQIEAESTEKPPLADKEINNLVFKLLGMKDMHDATPTLGLHILDLCRKGMIGPLIGNNTASSSPESSQIMFSAVKLHESGVRFEKSPTNRIRDISFDKDKGILMLPSLNIDEATEPTFLSLMLFELLHLMPQGDLLRQLQELADTFPHKFEKLSQLFEQVTRQRDKQFQSKLNIPDEILSQVQSKLNIPDLIQRQLQSGFPEIQKEIMPYMLIGRMPTQLEIDIGVCEEFYDLFYDKVYKEVHSQINQLQEKINKDVFDQAFAQAFQQAFQTIPHLGKQILDEEFPKQVAKLSEKQAQGFDQEVVSYIFFMRDLIDSDRDVHFLKSKKIIFVDKESEQAVAQLLKSLTERFSHISTSEIAVIRRNVNEYSKRNVTRLFFRLNKVSTSLKRRVKRWLEILMNLYFDNPWSAMGVIGGVILLVLTCLQTYFSFLSYQHPKDKV >DRNTG_09821.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000414.1:6458:7240:-1 gene:DRNTG_09821 transcript:DRNTG_09821.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLIDSDRDVHFLKSKKIIFVDKESEQAVAQLLKSLTERFSHISTSEIAVIRRNVNEYSKRNVTRLFFRLNKVSTSLKRRVKRWLEILMNLYFDNPWSAMGVIGGVILLVLTCLQTYFSFLSYQHPKDKV >DRNTG_02696.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19681122:19682803:-1 gene:DRNTG_02696 transcript:DRNTG_02696.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHNNNNNNNNTKNLKDQTGFWSTMKPTSSSPPSSRPFLPRFMIYLIISLSLIYFSYSFNLLFSSSCHPDTNTTFNNVSLLLLASPTTSQPPPPPPPSPPPPSPSLSYTPTGLQHIVFGIAASSRLWDKRKEYIKIWWRPHIMRGYVWLDKPVKEFKSSSSSSSSLPILKISSDTSSFPYTHKQGSRAALRLSRIVSETLRLNLPNVRWFVMGDDDTVFFPDNLVHVLSHYDHRQPYYIGSLSESHLQNIYFSYGMAYGGGGFAISRPLAESIARMQDGCLRRYPALYGSDDRIQACMAELGVPLTRHPGFHQYDVYGDLLGLLAAHPVAPLISLHHLDVVQPIFPSLRSRPASVRRLFDGPVKLDSAGVMQQSICYEKSKRWTVSVSWGFVVQIVRGVISPREMETPARTFLNWYRRADYTAYAFNTRPVARNPCQKPFIYYLSSWRYDRGRRTTVTTYSRHRQSQPKCRWHLPEPSHFVDRVVVTKKPDPSLWDR >DRNTG_13267.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10042747:10045069:1 gene:DRNTG_13267 transcript:DRNTG_13267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLPTDETVLMENNIVKIDMGCHIEGFIAAATHTHVIHEGSDTGRVVDVIAAVNMAVEVALKLVRSEKHNKDVTEAIQKIVATYDYRIIEVVISHQLKQFVIDGDKVVLSVASQESRFDDVEFQKNEVYAIDIATSTGEGKPRLLVMSSGGIRMSVGMPPVTTFSYSSKEKKMFPIMSWILSYS >DRNTG_25311.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20267547:20270091:1 gene:DRNTG_25311 transcript:DRNTG_25311.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNPNNENPSSSPSPPTHHHQPSSHRLLAVSSTPPP >DRNTG_13520.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21983060:21992105:-1 gene:DRNTG_13520 transcript:DRNTG_13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSKFDLSSPDGPMYLNGQRGSYGNISLERTGSFCEGSGNRLPSLLPSMSRSSSTLSQGDMSNMFQSLFSDLRTVVLDQRFLRTLEVKKGLSSILGLSPEDPVPPTINTKPLPPSSSEELKRMRANLSEGSIKARERAKAFTEALSKLEEYCPNLSKKRPRGDISSSDRSNALFPGANVPKVGPQTHMNVNSLELGPQKMEERAKATVANRRVRTSMMEGRMDARANGIARSSGLSDKDKEIYKLVNGGSTPSEEKVRALTSSVDGWEKPKLKKKRSVIKSDVSASAVITRPLDSDREPKRGTQQKLGNDARPRLSNTHGFRSGPVNGTIGPGKSDLASPQNSLGMRPSPRNDQDSSSLSNDRRDRALGLDKEGSTLKAVNKQNGREENLAGSPAPLTKLNASVRAPRSNPGSLARASPNTHRIPANTDDWDHSQTTNRVNGFNRAINRKCSSSQLASSPVAQLVGQRPQKISRVARRSNLSPLTSSHDEFTLPETVETASINTDGLGPARHLSSNSQIKLKADQTLPASLLENKDPGVAESKSRDKTKKCSEIEEKSVQSIQKVTTLILPSRKNKVAAEEDTGDGVRRQGRIGRGYATTTSIMHATFEKLDNTSTIKQMRSARAGSEKIESKPGRPPSKKLSERRGYTRPRHSVNNVCLDSAGESDDDHEELLAAANAAVNTMRACPNSFWKQIEPIFRFLSAEDITYLNQQMHLMDESCANTCADAGVQNLKDDLGYVSRPPTPAPGNRDADHVSNGTGLTSCESQKQITSHIKQDEPLLEQLARGTGTQSAISICQALLSAIIEEEEVEKFYYGSSKGEEYSYEDAYGVKHDVDTQLNPNGFHFPGNFQTTDGTQNGTKIHSWKCHDELLENNFGSNNGLLEGNTGATPRHGNSLDEFFPNHLMTSCTDFEYNQMSINDRILLELSEIGLHPEPVPDLTQSEEEDIADGINNLEEKLLEQVKKIKGLLLKLEKTVKEAREDQDRKLECTAMDMLVGLAYNKYMACWGPNATGNKSMNKNMKHATLAFVKRTLAKCQRFEKTGTSCFNEPACKDIFLSISSCSIVKEGMDMAVDGEASKKLTSSQHSENTISDLSPGLASQVGQRLDTHEKYSNSFRPVSQSSEQPNGREEPWLNKVKRRELLLDDVVGSAGAALRPPSGLGSSLLSGTKGKRSGRDRDGRGQNKDNASRVSTVKIGRPSLSNVKGERKNKTKPKQKLTQLSASVNGLLSRTADPSETGPKLKGTAAVKEDLSLPADSAGVQDLCNLQLPELDVGDFGGQGQDIGSWLNIDDDDALQDHDFMGLEIPMDDLSEVNMML >DRNTG_13345.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1108168:1108961:1 gene:DRNTG_13345 transcript:DRNTG_13345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLTGMSIFTTGVVPKPEIQKQVSISSWRTFTGYKAGRIALGRVSASGPTTPSNISNKVTESIKNAEEMCSEDPASGECVAAWDEVEELSAAASHARDKLKENSDPLEKYCKDNPETDECRTYDN >DRNTG_22355.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20747788:20748136:1 gene:DRNTG_22355 transcript:DRNTG_22355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYYDHPHGQYCECEATRRKARVYPFISIVRVSFETRKPSSWSSPPPHQIRARSKVLRAFPRRIR >DRNTG_21215.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:999758:1002274:-1 gene:DRNTG_21215 transcript:DRNTG_21215.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRRRGRLHSSLSARNEEWTKP >DRNTG_21215.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:999758:1000474:-1 gene:DRNTG_21215 transcript:DRNTG_21215.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRRRGRLHSSLSARNEEWTKP >DRNTG_21215.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:999758:1002274:-1 gene:DRNTG_21215 transcript:DRNTG_21215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRRRGRLHSSLSARNEEWTKP >DRNTG_21215.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1001299:1002274:-1 gene:DRNTG_21215 transcript:DRNTG_21215.4 gene_biotype:protein_coding transcript_biotype:protein_coding PPINHIVRDSSRLYSTDRQIGKSTFS >DRNTG_21215.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:999758:1002274:-1 gene:DRNTG_21215 transcript:DRNTG_21215.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRRRGRLHSSLSARNEEWTKP >DRNTG_21215.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:999758:1000474:-1 gene:DRNTG_21215 transcript:DRNTG_21215.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRRRGRLHSSLSARNEEWTKP >DRNTG_00991.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6730957:6732584:-1 gene:DRNTG_00991 transcript:DRNTG_00991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTPKKSKKKRSFEEDEKKMRLESLRKITISYDDPDATDSSSDENENESTTKKRNIIILMPQNPIKEKLKTKTPVKRSSTSKYKGVRQRQWGKWAAEIRDPIRGVRLWLGTFDTAEKAAEAYALTSKRLEAEKRILLRSSSEFGLDHQPSSPSSVLDISRSSSSAGDTRAKKAQSFEHNTVPEMAEMAELPLPISKLCFDDDDSTLETEMDLLFCEDAVDLEAFDLDTYEDELEFIFNGDPFDFDDAEAFSFLKPEALSWIDELGI >DRNTG_00991.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6730957:6732584:-1 gene:DRNTG_00991 transcript:DRNTG_00991.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTPKKSKKKRSFEEDEKKMRLESLRKITISYDDPDATDSSSDENENESTTKKRNIIILMPQNPIKEKLKTKTPVKRSSTSKYKGVRQRQWGKWAAEIRDPIRGVRLWLGTFDTAEKAAEAYALTSKRLEAEKRILLRSSSEFGLDHQPSSPSSVLDISRSSSSAGDTRAKKAQSFEHNTVPEMAEMAELPLPISKLCFDDDDSTLETEMDLLFCEDAVDLEAFDLDTYEDELEFIFNGDPFDFDDAEAFSFLKPEALSWIDELGI >DRNTG_00991.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6730957:6732347:-1 gene:DRNTG_00991 transcript:DRNTG_00991.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTPKKSKKKRSFEEDEKKMRLESLRKITISYDDPDATDSSSDENENESTTKKRNIIILMPQNPIKEKLKTKTPVKRSSTSKYKGVRQRQWGKWAAEIRDPIRGVRLWLGTFDTAEKAAEAYALTSKRLEAEKRILLRSSSEFGLDHQPSSPSSVLDISRSSSSAGDTRAKKAQSFEHNTVPEMAEMAELPLPISKLCFDDDDSTLETEMDLLFCEDAVDLEAFDLDTYEDELEFIFNGDPFDFDDAEAFSFLKPEALSWIDELGI >DRNTG_27770.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24383099:24390694:1 gene:DRNTG_27770 transcript:DRNTG_27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNRKRKKVEGNEREEGKQDRTVPDKEVEEFFAILQRLRDAYLRRRQRSDEHAAAWWRPAFEMEDFQNDKVDPPIAASDPIPRLIIDLNADPEP >DRNTG_27770.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24383099:24383615:1 gene:DRNTG_27770 transcript:DRNTG_27770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNRKRKKVEGNEREEGKQDRTVPDKEVEEFFAILQRLRDAYLRRRQRSDEHAAAWWRPAFEMEDFQNDKVDPPIAASDPIPRLIIDLNADPEP >DRNTG_26827.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1988594:1990695:-1 gene:DRNTG_26827 transcript:DRNTG_26827.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNIKLFIYLLVFFLVCASNGSSRKMVGMYEIKKGDFSVKVTNWGATIISVVLPDSKGYLGALVGRVANRIGGARFVLNGKVYRLYANDGNNTLHGGHRGFSHVIWTVHEISNGEFPYITFYYHSFDGEQGFPGALDVFVTYKISDKYKLDVIMKATAINKATPVNLAQHAYWNLGGDGSGDILSNSVQIFASKITLVDKELIPTGEIATVAGTPYDFRTPMTVGSRIKEVNVGYDINYVLDAPKDDQGMRKAAIVKDNKTGRVLELWTNQPGVQLYTGNFLKNVKGKNGHVYNQYGALCLETQGFPDAVNHPTFPSTIVNPGEVYTHYMKFKFSY >DRNTG_26827.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1988594:1990695:-1 gene:DRNTG_26827 transcript:DRNTG_26827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATAINKATPVNLAQHAYWNLGGDGSGDILSNSVQIFASKITLVDKELIPTGEIATVAGTPYDFRTPMTVGSRIKEVNVGYDINYVLDAPKDDQGMRKAAIVKDNKTGRVLELWTNQPGVQLYTGNFLKNVKGKNGHVYNQYGALCLETQGFPDAVNHPTFPSTIVNPGEVYTHYMKFKFSY >DRNTG_03158.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000141.1:17553:20348:-1 gene:DRNTG_03158 transcript:DRNTG_03158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNADVITLGPLKSIMGSSGSKCIHGRWLLCCGRCLCLYLLRLRLGNLLTPLYCISLGLNYLSWGYDHHIDPWFVSMLRRDDIIVFSAPLIVFIRPIPMMSLVMYRLENRPLLSHTSPDASSNLQQCVPNVAARSISWNISKVTPATSEFGYCHRDHSPICSGLGGCIYNSVGKGKWPSILPAHTDHSQIRPCKFSEESSYQDSR >DRNTG_22530.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3572401:3576402:1 gene:DRNTG_22530 transcript:DRNTG_22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKRLVISSKIATKSGFVEVSNVTHGSKFRCFGTASAASGVLKEEKESKKISKLERRAMLEAFVDQYRASNAGRFPTVSLAQKQIGGSYYHVRQMIQELEFNYRMSLAGKNKAQVGKTEQGSNPALLWKEVPGTSEIEEVSKPAVSASCVSSKDIDTGSDIRAENNTIVDLIIDDVLLDTKEPSVSSAVETASVKKVVKQAHSPSRKKIVECTKNSSINGVSSFGTQMRTDESSINRVFGLTSESMRHSDQGSNPALLLKEGPGPSEIEEISKPVASASCVSYEDFDTLSKIGSKDGATVDLVIDDEFLDRKEPSVSSTVETASVKKAAKHARSSSRKKIVECTKNSSINGVSSSGTQMRTDESRIDSVAELASESLQHSDHDSKRDEANSSESSRSEATVHILNSPHLDSEENNKELKSTEHLCDRNEPKRIDQIGSLEAPEPEELIGDTPKKVEIRESSPGRSDIWGNLKALANSFVNFWWK >DRNTG_26855.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14080097:14084629:1 gene:DRNTG_26855 transcript:DRNTG_26855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFPLCSRHLLFLSTGFLLQIEPSPSVTPHISYPEFRTSPPSFGRG >DRNTG_24279.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29588116:29598228:-1 gene:DRNTG_24279 transcript:DRNTG_24279.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 58 [Source:Projected from Arabidopsis thaliana (AT5G19880) UniProtKB/Swiss-Prot;Acc:P59120] MVQSRPSHLLALTLTLTLISSFFLGSRAQLSSTFYDSTCSNVSTIVRNIVQQAQSSDPRIVASLTRLHFHDCFVNGCDGSLLLDDSSSIQSEKGAAPNNNSARGFDVVDNIKTAVESACPGVVSCADILALAAEASVNLAGGPTWTVLLGRRDGTTASFSGANNLPSPRDPVSTLRSKFSNVGLSDTDLVTLSGAHTFGRAKCAFFSDRLYNFNNSGSPDPSLNTTYLATLQQNCPQGGSGDTLNNLDPSSPDTFDKNYYTNLQTNRGLLQSDQELTSDSSLASTVNSFASSQSTFFQNFGSSMVNMGNISPLTGSNGQIRSNCRKVNGS >DRNTG_24279.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29595482:29598228:-1 gene:DRNTG_24279 transcript:DRNTG_24279.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 58 [Source:Projected from Arabidopsis thaliana (AT5G19880) UniProtKB/Swiss-Prot;Acc:P59120] MVQSRPSHLLALTLTLTLISSFFLGSRAQLSSTFYDSTCSNVSTIVRNIVQQAQSSDPRIVASLTRLHFHDCFVNGCDGSLLLDDSSSIQSEKGAAPNNNSARGFDVVDNIKTAVESACPGVVSCADILALAAEASVNLAGGPTWTVLLGRRDGTTASFSGANNLPSPRDPVSTLRSKFSNVGLSDTDLVTLSGAHTFGRAKCAFFSDRLYNFNNSGSPDPSLNTTYLATLQQNCPQGGSGDTLNNLDPSSPDTFDKNYYTNLQTNRGLLQSDQELTSDSSLASTVNSFASSQSTFFQNFGSSMVNMGNISPLTGSNGQIRSNCRKVNGS >DRNTG_27342.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17040923:17043137:-1 gene:DRNTG_27342 transcript:DRNTG_27342.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRSDIARGLDIAIPPLLDRSLVRARSPPSVEFDHPEFKPNPPPSPNTVEKPVTVAVLELSSSNLNKIKSYCNMKLKYTTYEIVAAHVWHCACKARCLATNATTRAFITVDGRTRLRPPLPPGYIGNVIFPAVVTAISGEVVSENLEAVAARFHNTISRLDDKHLRSAIDWLELQEDVTKIGRWVGEFPRTDLSITSWTRLPLYGADFGWGPPVYMGPAMLLYAGLCYIMPPANKADGIMVAVSLEEEYMEDFKKLFFDF >DRNTG_27342.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17040042:17043137:-1 gene:DRNTG_27342 transcript:DRNTG_27342.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRSDIARGLDIAIPPLLDRSLVRARSPPSVEFDHPEFKPNPPPSPNTVEKPVTVAVLELSSSNLNKIKSYCNMKLKYTTYEIVAAHVWHCACKARCLATNATTRAFITVDGRTRLRPPLPPGYIGNVIFPAVVTAISGEVVSENLEAVAARFHNTISRLDDKHLRSAIDWLELQEDVTKIGRWVGEFPRTDLSITSWTRLPLYGADFGWGPPVYMGPAMLLYAGLCYIMPPGVRADSNANYRACICRSSR >DRNTG_27342.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17040042:17043137:-1 gene:DRNTG_27342 transcript:DRNTG_27342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRSDIARGLDIAIPPLLDRSLVRARSPPSVEFDHPEFKPNPPPSPNTVEKPVTVAVLELSSSNLNKIKSYCNMKLKYTTYEIVAAHVWHCACKARCLATNATTRAFITVDGRTRLRPPLPPGYIGNVIFPAVVTAISGEVVSENLEAVAARFHNTISRLDDKHLRSAIDWLELQEDVTKIGRWVGEFPRTDLSITSWTRLPLYGADFGWGPPVYMGPAMLLYAGLCYIMPPGVRADSNANYRACICRSSR >DRNTG_27342.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17040923:17043137:-1 gene:DRNTG_27342 transcript:DRNTG_27342.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRSDIARGLDIAIPPLLDRSLVRARSPPSVEFDHPEFKPNPPPSPNTVEKPVTVAVLELSSSNLNKIKSYCNMKLKYTTYEIVAAHVWHCACKARCLATNATTRAFITVDGRTRLRPPLPPGYIGNVIFPAVVTAISGEVVSENLEAVAARFHNTISRLDDKHLRSAIDWLELQEDVTKIGRWVGEFPRTDLSITSWTRLPLYGADFGWGPPVYMGPAMLLYAGLCYIMPPGVRADSNANYRACICRSSR >DRNTG_27342.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17040042:17040781:-1 gene:DRNTG_27342 transcript:DRNTG_27342.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDPDPDSDSDSDPPFAAKLLFGAPLTR >DRNTG_19708.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001044.1:6829:8294:-1 gene:DRNTG_19708 transcript:DRNTG_19708.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHKFVDDGLDLHSNSFSFLLSLLVMMYACFPRISAKKMGEKAPKKCGGGGLNGQGKVPCSRLKKSAFIPFMAYRSYMALSS >DRNTG_30008.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11618254:11619073:1 gene:DRNTG_30008 transcript:DRNTG_30008.2 gene_biotype:protein_coding transcript_biotype:protein_coding GAWVDVLKALPLATTEVSAAIECYHHLLKLRLLNEKDSNIYQRADWLVDKLGTKVHSYYWLDEFTGRENFARYSKDEWKSGLTSWRRALQIPDSDVIVDGKYAKVISRKREGKVHTILNPGCEFAICDCQWSRMGNLCKHVIKSTKVFRDKGLAAPSTSLFEFNQALTSILRHPPHDSLSRDHAIALVVCIQSQLNGLFDLEKGRTTSSTSVQAAANSELSSDEPIDADTNLIHENHSVSENVCGMGEVG >DRNTG_30008.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11618040:11619073:1 gene:DRNTG_30008 transcript:DRNTG_30008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFEAFMEDFVDCSSFMDYFKAVWFPRIGAWVDVLKALPLATTEVSAAIECYHHLLKLRLLNEKDSNIYQRADWLVDKLGTKVHSYYWLDEFTGRENFARYSKDEWKSGLTSWRRALQIPDSDVIVDGKYAKVISRKREGKVHTILNPGCEFAICDCQWSRMGNLCKHVIKSTKVFRDKGLAAPSTSLFEFNQALTSILRHPPHDSLSRDHAIALVVCIQSQLNGLFDLEKGRTTSSTSVQAAANSELSSDEPIDADTNLIHENHSVSENVCGMGEVG >DRNTG_12125.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4499107:4500469:1 gene:DRNTG_12125 transcript:DRNTG_12125.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELPRGSAVNHFLPPGKLVYSGSTSGSSLNKRVCGEPQLLPAQSSSSLRPSNSCLLRTQTSLAHQGGGAGLSNSADTATSRSSLNSRHTQLNSSEHHEKFPEKQSSSHKREDRMENMEPLMAYNERNSVWYSGPLMHPGENVEEMLKERDRIMQQAIRIRKAQLDKTKMN >DRNTG_12125.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4495258:4500469:1 gene:DRNTG_12125 transcript:DRNTG_12125.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLFCGLAHCHDRGVLHRDIKGSNLLIGNDGILRIADFGLSKFYSPGQRRPLTTRVVTLWYRPPELLLGATRYDASIDLWSAGCILAELFAGKPIMTGANEVEQLHNIFKLCGAPPEEYWRRANFPAATVIRPRLPCPCRLADRFRGFPPTALALIETLLAIDPADRGTAASALQSNFFTTMPLPCDPASLPKYPPSKEKDVKLRIYNDRRQEAAAMEGQGCESRRASKESIARVPMPDANAELQVPLQKRQVQAIPKSISGKYNRQGESGSGHSMELPRGSAVNHFLPPGKLVYSGSTSGSSLNKRVCGEPQLLPAQSSSSLRPSNSCLLRTQTSLAHQGGGAGLSNSADTATSRSSLNSRHTQLNSSEHHEKFPEKQSSSHKREDRMENMEPLMAYNERNSVWYSGPLMHPGENVEEMLKERDRIMQQAIRIRKAQLDKTKMN >DRNTG_12125.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4495258:4500469:1 gene:DRNTG_12125 transcript:DRNTG_12125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIFGKGFEREEDNETQGGRSLRNFSRRGKDHGIEGEKKALSDVDRVHKTYGHQRNAIVDGCVNERNLHLRVSANRGEYGSRVASFPNSFVAEHVAAGWPVWLVTEAREAVIEWQPRKISSFQFYEQIGKGTYSVVFRAFDLEKCKVVALKKVEFSDTDPGSISFMAREIHILRRLDHPNVVKLEGLIPSVTSRELYLIMEYMEHDLAGLLGNATVRFTEAQIKCYMKQLFCGLAHCHDRGVLHRDIKGSNLLIGNDGILRIADFGLSKFYSPGQRRPLTTRVVTLWYRPPELLLGATRYDASIDLWSAGCILAELFAGKPIMTGANEVEQLHNIFKLCGAPPEEYWRRANFPAATVIRPRLPCPCRLADRFRGFPPTALALIETLLAIDPADRGTAASALQSNFFTTMPLPCDPASLPKYPPSKEKDVKLRIYNDRRQEAAAMEGQGCESRRASKESIARVPMPDANAELQVPLQKRQVQAIPKSISGKYNRQGESGSGHSMELPRGSAVNHFLPPGKLVYSGSTSGSSLNKRVCGEPQLLPAQSSSSLRPSNSCLLRTQTSLAHQGGGAGLSNSADTATSRSSLNSRHTQLNSSEHHEKFPEKQSSSHKREDRMENMEPLMAYNERNSVWYSGPLMHPGENVEEMLKERDRIMQQAIRIRKAQLDKTKMN >DRNTG_32164.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30936248:30941748:1 gene:DRNTG_32164 transcript:DRNTG_32164.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GALT1 [Source:Projected from Arabidopsis thaliana (AT1G26810) UniProtKB/TrEMBL;Acc:A0A178WHF1] MKMKLKRWSGGAVIFSLFILLVLRYGIIENPLAERSFRGSFSRNTSDHLQWVAVADPPSVQNPENGSQVISADNLVSNLFSFRNFSQGELLALQTWNHFEHLITHAQGLPHAIEAIKEAGAAWKKLMVSVEDESLSASGNGSAHQKAKEKQCPYSIKRMNVSEFDNGSFKFNIPCGLVQGSSVTFIGTPDGLLGNFRIDLTGTTVPGEPDPPVVLHYNVRLHGDKITEDPVIVQNTWTAGNDWGPEERCPSPDPKGIKKVDDLEQCSAMVGKDDKRVLASTRHLNASKRSSNKEGAKPKQYFPFKQGYLAIATLRVGVEGIQMTVDGKHITSFAYRESLEPWLVSEVRISGDINLISVLASGLPTSEDLEHVIDLELLKSPPLPMHKAVDLFIGVFSTANNFKRRMAVRRTWMQYDAVRSGAVAVRFFVGLHKNEMVNEELWNEARTYGDIQLMPFVDYYSLITWKTVAICIYGTNSVSAKYVMKTDDDSFVRVDEVLASLDTANVTKGLLYGRINSDAQPHRNPESKWFISPEEWPEEKYPPWAHGPGYVVSKDIAEAIYELHKEGHLKMFKLEDVAMGIWIAELKKKGLAINYVKEERVYIEGCESGYVVAHYQEPRELLCLWDKLQDTKRALCCGEP >DRNTG_16290.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21009141:21009689:-1 gene:DRNTG_16290 transcript:DRNTG_16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRILKSWPIRLLNRARIGRLHGRGCFARPCGISTRAWIISTRPSGSSELLFSRPAMNSAAAVLSTVSLQCSATVPCLNSFPNPYFYRSNANGHTFTSWISCVFNDRHVGEALVLCA >DRNTG_28830.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001448.1:46279:60249:1 gene:DRNTG_28830 transcript:DRNTG_28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase CTR1 [Source:Projected from Arabidopsis thaliana (AT5G03730) UniProtKB/Swiss-Prot;Acc:Q05609] MEMPGRRSGYSLLSQYPNDQLPPKFESPPSDKARPKPSPFDWTIAADPDHRGMRISAGYPSIGLQRQSSGSSFGESSLSGDYYLPATLSTAAMDPEGFGPSASGGDVRVKDGTEGIGPTGSPSKSWAQQAEETYQLQLALALRLCSEATCASDPNFLDPGDQMLPSDRTSAEALSHRFWVNGCLSYYDKVPDGFYLIQGMDPFVWTICTDVQEDSRIPSIESLKTVQPSDLSIEVALIDRQSDPDLRQLQNMVVALSCSCSTTQDVVDQLAKLVCSRMGGAAFKEEDSLLPRWKDCSEAIRASAGSVVLSLGKLSVGLCRHRALLFKMLADTINLPCRVAKGCKYCKRDDASSCLVRFGLEREYIVDLIGNPGFLCEPGSLLNGPSSISISSPLRLPKYKAVGITKDFRSLAKQYFLDCQSLNLMFSEASTGTTSGRNGAVGPSTSKNYDEKYVDVKYFSPVTSSDIEVFEAADTHGKRVAPPVTAAGISTVQKGQNLLETTETVEYMAYEADRLKPIKKDGNKDVSPLLPAVDPKADKNKDSVFTDEHRQVRNKPNNDIALAMDGLNIPWAELILKERIGAGSFGTVHRADWNGSEVAVKILMEQDFHPERFKEFLREVGIMKSLRHPNIVLFMGAVTQPPNLSIVTEYLSRGSLFRLLHKPGAREILDEKRRLTMAFDVAKGMNYLHRRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSLAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELMTLQQPWSNLNPAQVVAAVGFKGRRLEIPNDVNPNVARIIESCWASEPWKRPSFSNIMESLRPLIKPLPPQPVRNEMTKLT >DRNTG_28830.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001448.1:46279:60249:1 gene:DRNTG_28830 transcript:DRNTG_28830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase CTR1 [Source:Projected from Arabidopsis thaliana (AT5G03730) UniProtKB/Swiss-Prot;Acc:Q05609] MEMPGRRSGYSLLSQYPNDQLPPKFESPPSDKARPKPSPFDWTIAADPDHRGMRISAGYPSIGLQRQSSGSSFGESSLSGDYYLPATLSTAAMDPEGFGPSASGGDVRVKDGTEGIGPTGSPSKSWAQQAEETYQLQLALALRLCSEATCASDPNFLDPGDQMLPSDRTSAEALSHRFWVNGCLSYYDKVPDGFYLIQGMDPFVWTICTDVQEDSRIPSIESLKTVQPSDLSIEVALIDRQSDPDLRQLQNMVVALSCSCSTTQDVVDQLAKLVCSRMGGAAFKEEDSLLPRWKDCSEAIRASAGSVVLSLGKLSVGLCRHRALLFKMLADTINLPCRVAKGCKYCKRDDASSCLVRFGLEREYIVDLIGNPGFLCEPGSLLNGPSSISISSPLRLPKYKAVGITKDFRSLAKQYFLDCQSLNLMFSEASTGTTSGRNGAVGPSTSKNYDEKYVDVKYFSPVTSSDIEVFEAADTHGKRVAPPVTAAGISTVQKGQNLLETTETVEYMAYEADRLKPIKKDGNKDVSPLLPAVDPKADKNKDSVFTDEHRQVRNKPNNDIALAMDGLNIPWAELILKERIGAGSFGTVHRADWNGSVCVFSAPIGVQQ >DRNTG_10320.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13785774:13786578:-1 gene:DRNTG_10320 transcript:DRNTG_10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYAWAQATHKWLMEDIPQAAARVQNRCAGKKTNTGYIKGCSVALNVWFYELTEMGKKVHFGKIPRIVCYGESSYQKQATVETSLSSLEGREFPELIPANAKEEIFVAANRRMDAIAPEPLARRHDERAASIGAHSTPFCHFHPTMRTHSSTPEKPSHTPPDCNNPPYHDNNSPPNCGNPLRPWQPHRQH >DRNTG_32613.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19495823:19497526:-1 gene:DRNTG_32613 transcript:DRNTG_32613.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g21065 [Source:Projected from Arabidopsis thaliana (AT4G21065) UniProtKB/Swiss-Prot;Acc:A8MQA3] MHQEPSPEPKHPPTLRKCVALLRSFPSLSAIKRIHAHSLRTGVPISHPHFAKHLIFTLISFLPSVPTMPYALSIFSQIHSPDVFTFNTIIRGFSQSLNPSPALSIHRRMLASSIPADTHTYPFLLKACAKLMALREGEKLHCRSFKDGFESSVFVQNTLVHFYAACGLFENAHHVFERMPERNLVTWNSVINGFALNGRPNEALTLFREMMFEDECVEPDGFTMVSLLTACAELGALALGRRVHVFLFKKGLDFNAHVENSLIDLYAKCGRIDDAYKVFVDMCESRNVVSWTSLIVGLAMNGFSNEALEFFAVMEQERLVPTEITMVGVLYACSHCGLVDDGYQYFNRLQQQYNIVPKIEHYGCMVDLLGRAGLVEEAHNYIISMPMAPNAVVWRTLLGACAMHKTLALGEVAWAKLSELDPGHSGDYVLLSNLYASVGRWADVHKLRRNMLKSGVRKKPGHSLVELGNRVYEFVMGDRSHPQSDSIYEMLEEIAVKLRLQGYTPRTSNVLADIEEEEKENALNYHSERLAIAFALMNTSPGMPIRIVKNLRVCADCHLVTKLIEGL >DRNTG_02610.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21325453:21328260:1 gene:DRNTG_02610 transcript:DRNTG_02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-galactosyltransferase GALT3 [Source:Projected from Arabidopsis thaliana (AT3G06440) UniProtKB/Swiss-Prot;Acc:Q9ASW1] MKMKRWCGGSLILFLALMLTLSYTLFPSTIKAPPPSSAAGIHPPPSPPPPPKPQLLVSLPGLADLFSRSFLGLDHNLAWSHLRTLLSRSDAISGTADGVREGAVAWRELQDTLEAEKLEKVAPWRKKCPFLAGGNEGFVEIPCGFVDGSAVSIVGIPVGFNGSSTGAFRIELVGSGVSDEIREPPIVLRVNVSFGGDASKELPVVSMNSWSPDEGWGPWEQCPAPAIVAHPKVVDELVRCNEGNGESVMQEKINVSVTPSRTSKGSARMSDNMPFVEGHPFSATLWTGMEGFHLTVNGRHETSFAYREKLDPWSVSGVRLEGDLEILSCIANGLPVSEDLDVVSDIDSLKAPPLPKKRILMLVVVFSTGNNFERRMAIRRSWMQYEAVRSGEVAVRFFIGLHKNKQVNLELWKEAQTYGDIQLMPFVDYYSLITLKTITMCIFGIKILAAKYIMKTDDDAFVRIDEVLSSLKKNVPQGLLYGQIAFESSPHRDKDSKWYISPEEWPHDSYPPWAHGPGYIISRDIAKFVVQGHQERNLQLFKLEDVAMGIWIQEYKRSGKEVNYVSDDRFHNEGCESNYVLAHYQVPRTLLCLWDNLRRNHEPICCD >DRNTG_02610.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21326356:21328260:1 gene:DRNTG_02610 transcript:DRNTG_02610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-galactosyltransferase GALT3 [Source:Projected from Arabidopsis thaliana (AT3G06440) UniProtKB/Swiss-Prot;Acc:Q9ASW1] MFGVRVVVDELVRCNEGNGESVMQEKINVSVTPSRTSKGSARMSDNMPFVEGHPFSATLWTGMEGFHLTVNGRHETSFAYREKLDPWSVSGVRLEGDLEILSCIANGLPVSEDLDVVSDIDSLKAPPLPKKRILMLVVVFSTGNNFERRMAIRRSWMQYEAVRSGEVAVRFFIGLHKNKQVNLELWKEAQTYGDIQLMPFVDYYSLITLKTITMCIFGIKILAAKYIMKTDDDAFVRIDEVLSSLKKNVPQGLLYGQIAFESSPHRDKDSKWYISPEVIKFQMFSEKEDNIVSIETLDYLSEALFCRNGRTIHIHLGRTALDTSFPGISQSLSFKVTKKEISNCSNLKMLQWAFGFKNTSGAAKK >DRNTG_06227.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5439146:5439690:1 gene:DRNTG_06227 transcript:DRNTG_06227.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKINQMNKKPNTDTKNSSKSSKHPNKCNGSGLTKIRSRRDN >DRNTG_06227.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5438576:5439690:1 gene:DRNTG_06227 transcript:DRNTG_06227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINQMNKKPNTDTKNSSKSSKHPNKCNGSGLTKIRSRRDN >DRNTG_06227.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5438986:5439690:1 gene:DRNTG_06227 transcript:DRNTG_06227.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKINQMNKKPNTDTKNSSKSSKHPNKCNGSGLTKIRSRRDN >DRNTG_27587.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21030939:21058684:-1 gene:DRNTG_27587 transcript:DRNTG_27587.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLLANVYPYFSYAANTENIDLRYALFTSPGISVTDGSLQYQNLFDAIVDSLYSALEKVGGSNVGIVISESGWPSTGGIATTIDNAQTYNQNLINHVLKGTRKKPGAIE >DRNTG_04415.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000207.1:38195:40208:-1 gene:DRNTG_04415 transcript:DRNTG_04415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSMSAVTTTEEEQEALFNTYPCSALLYYVQSPSTTSHANSSDCRHASDSISLSPFPADDAFIVVPPPGIHRNPDFTLSRYSSSRGSNNYFLHDKKITYDLGHIDVQKHSKDRSRNRNRFRVVHELEGVEEGGEHKRRSELWRFVALDPSASCCCVAFQICWRFLVSLGLALLVFFLATRPPSPTVTFQISRVKHFNLGEGLDMTGVVTKILTCNSSVDVEIDNKSKLFGLHVYPVTIHMAFGRLIFATSSQEGEELYVQSNNLLRKRLYVGVKDMPMYGAGRDMEDMLESGKGLPLIIKVSSRSTYHVVWNLIKSKYHHHAECLVVLKAVYDAHNHTQLFNSTCSTTTSHA >DRNTG_23149.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:16970096:16971554:-1 gene:DRNTG_23149 transcript:DRNTG_23149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIIFFQDSKDMKKTNITINSLTHKNHFLLQTVNCFFTSVTSRLELTGVDSSEGQSSGREAEERKLLY >DRNTG_13823.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6281414:6285532:1 gene:DRNTG_13823 transcript:DRNTG_13823.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSHGTSASTSPAPFLTKTYDMVDDPASDAIVSWSPSSSSFVVWKPLEFARDLLPKYFKHNNFSSFVRQLNTYGFRKADPDQWEFANEEFVRGQRHLLKNIHRRKPIHSRSLPQSTFGHLTDAEKQDLEEEIERLKHDKGILIFELQKYTQEQHGMERHMQNLEERLHTVEQRQRHLISFLSKTVQGPGFLPDSFYQSDIHHKKRRSPKFDYFLEDSIAEENQIVEFQSWSLEKQDTGLMQAFDIEPFDKIESSLNSLEAFFRSVSEASGGDMWYDDRMPSQPSGMVLTEVNASSEETDVNMRPSSPKLFPSSPCLGDIHSSPELAESTSNVGSPSLPTIESHKDTRNKISEIDVNSEPTGHEDHSFTNQANETPPAQQAGVNDVFWEQFLTETPGSSETQEVQSKRQDAETDRSLGKTTEKVNIWWNKKNLDHLTEQMGHLTPTEKT >DRNTG_13823.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6281414:6284838:1 gene:DRNTG_13823 transcript:DRNTG_13823.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSHGTSASTSPAPFLTKTYDMVDDPASDAIVSWSPSSSSFVVWKPLEFARDLLPKYFKHNNFSSFVRQLNTYGFRKADPDQWEFANEEFVRGQRHLLKNIHRRKPIHSRSLPQSTFGHLTDAEKQDLEEEIERLKHDKGILIFELQKYTQEQHGMERHMQNLEERLHTVEQRQRHLISFLSKTVQGPGFLPDSFYQSDIHHKKRRSPKFDYFLEDSIAEENQIVEFQSWSLEKQDTGLMQAFDIEPFDKIESSLNSLEAFFRSVSEASGGDMWYDDRMPSQPSGMVLTEVNASSEETDVNMRPSSPKLFPSSPCLGDIHSSPELAESTSNVGSPSLPTIESHKDTRNKISEIDVNSEPTGHEDHSFTNQANETPPAQQAGVNDVFWEQFLTETPGSSETQEVQSKRQDAETDRSLGKTTEKVNIWWNKKNLDHLTEQMGHLTPTEKT >DRNTG_13823.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6281351:6284838:1 gene:DRNTG_13823 transcript:DRNTG_13823.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSHGTSASTSPAPFLTKTYDMVDDPASDAIVSWSPSSSSFVVWKPLEFARDLLPKYFKHNNFSSFVRQLNTYGFRKADPDQWEFANEEFVRGQRHLLKNIHRRKPIHSRSLPQSTFGHLTDAEKQDLEEEIERLKHDKGILIFELQKYTQEQHGMERHMQNLEERLHTVEQRQRHLISFLSKTVQGPGFLPDSFYQSDIHHKKRRSPKFDYFLEDSIAEENQIVEFQSWSLEKQDTGLMQAFDIEPFDKIESSLNSLEAFFRSVSEASGGDMWYDDRMPSQPSGMVLTEVNASSEETDVNMRPSSPKLFPSSPCLGDIHSSPELAESTSNVGSPSLPTIESHKDTRNKISEIDVNSEPTGHEDHSFTNQANETPPAQQAGVNDVFWEQFLTETPGSSETQEVQSKRQDAETDRSLGKTTEKVNIWWNKKNLDHLTEQMGHLTPTEKT >DRNTG_13823.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6281351:6285532:1 gene:DRNTG_13823 transcript:DRNTG_13823.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSHGTSASTSPAPFLTKTYDMVDDPASDAIVSWSPSSSSFVVWKPLEFARDLLPKYFKHNNFSSFVRQLNTYGFRKADPDQWEFANEEFVRGQRHLLKNIHRRKPIHSRSLPQSTFGHLTDAEKQDLEEEIERLKHDKGILIFELQKYTQEQHGMERHMQNLEERLHTVEQRQRHLISFLSKTVQGPGFLPDSFYQSDIHHKKRRSPKFDYFLEDSIAEENQIVEFQSWSLEKQDTGLMQAFDIEPFDKIESSLNSLEAFFRSVSEASGGDMWYDDRMPSQPSGMVLTEVNASSEETDVNMRPSSPKLFPSSPCLGDIHSSPELAESTSNVGSPSLPTIESHKDTRNKISEIDVNSEPTGHEDHSFTNQANETPPAQQAGVNDVFWEQFLTETPGSSETQEVQSKRQDAETDRSLGKTTEKVNIWWNKKNLDHLTEQMGHLTPTEKT >DRNTG_13823.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6281351:6285114:1 gene:DRNTG_13823 transcript:DRNTG_13823.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSHGTSASTSPAPFLTKTYDMVDDPASDAIVSWSPSSSSFVVWKPLEFARDLLPKYFKHNNFSSFVRQLNTYGFRKADPDQWEFANEEFVRGQRHLLKNIHRRKPIHSRSLPQSTFGHLTDAEKQDLEEEIERLKHDKGILIFELQKYTQEQHGMERHMQNLEERLHTVEQRQRHLISFLSKTVQGPGFLPDSFYQSDIHHKKRRSPKFDYFLEDSIAEENQIVEFQSWSLEKQDTGLMQAFDIEPFDKIESSLNSLEAFFRSVSEASGGDMWYDDRMPSQPSGMVLTEVNASSEETDVNMRPSSPKLFPSSPCLGDIHSSPELAESTSNVGSPSLPTIESHKDTRNKISEIDVNSEPTGHEDHSFTNQANETPPAQQAGVNDVFWEQFLTETPGSSETQEVQSKRQDAETDRSLGKTTEKVNIWWNKKNLDHLTEQMGHLTPTEKT >DRNTG_13823.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6281351:6285016:1 gene:DRNTG_13823 transcript:DRNTG_13823.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSHGTSASTSPAPFLTKTYDMVDDPASDAIVSWSPSSSSFVVWKPLEFARDLLPKYFKHNNFSSFVRQLNTYGFRKADPDQWEFANEEFVRGQRHLLKNIHRRKPIHSRSLPQSTFGHLTDAEKQDLEEEIERLKHDKGILIFELQKYTQEQHGMERHMQNLEERLHTVEQRQRHLISFLSKTVQGPGFLPDSFYQSDIHHKKRRSPKFDYFLEDSIAEENQIVEFQSWSLEKQDTGLMQAFDIEPFDKIESSLNSLEAFFRSVSEASGGDMWYDDRMPSQPSGMVLTEVNASSEETDVNMRPSSPKLFPSSPCLGDIHSSPELAESTSNVGSPSLPTIESHKDTRNKISEIDVNSEPTGHEDHSFTNQANETPPAQQAGVNDVFWEQFLTETPGSSETQEVQSKRQDAETDRSLGKTTEKVNIWWNKKNLDHLTEQMGHLTPTEKT >DRNTG_13823.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6281414:6284935:1 gene:DRNTG_13823 transcript:DRNTG_13823.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSHGTSASTSPAPFLTKTYDMVDDPASDAIVSWSPSSSSFVVWKPLEFARDLLPKYFKHNNFSSFVRQLNTYGFRKADPDQWEFANEEFVRGQRHLLKNIHRRKPIHSRSLPQSTFGHLTDAEKQDLEEEIERLKHDKGILIFELQKYTQEQHGMERHMQNLEERLHTVEQRQRHLISFLSKTVQGPGFLPDSFYQSDIHHKKRRSPKFDYFLEDSIAEENQIVEFQSWSLEKQDTGLMQAFDIEPFDKIESSLNSLEAFFRSVSEASGGDMWYDDRMPSQPSGMVLTEVNASSEETDVNMRPSSPKLFPSSPCLGDIHSSPELAESTSNVGSPSLPTIESHKDTRNKISEIDVNSEPTGHEDHSFTNQANETPPAQQAGVNDVFWEQFLTETPGSSETQEVQSKRQDAETDRSLGKTTEKVNIWWNKKNLDHLTEQMGHLTPTEKT >DRNTG_13823.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6281414:6285016:1 gene:DRNTG_13823 transcript:DRNTG_13823.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSHGTSASTSPAPFLTKTYDMVDDPASDAIVSWSPSSSSFVVWKPLEFARDLLPKYFKHNNFSSFVRQLNTYGFRKADPDQWEFANEEFVRGQRHLLKNIHRRKPIHSRSLPQSTFGHLTDAEKQDLEEEIERLKHDKGILIFELQKYTQEQHGMERHMQNLEERLHTVEQRQRHLISFLSKTVQGPGFLPDSFYQSDIHHKKRRSPKFDYFLEDSIAEENQIVEFQSWSLEKQDTGLMQAFDIEPFDKIESSLNSLEAFFRSVSEASGGDMWYDDRMPSQPSGMVLTEVNASSEETDVNMRPSSPKLFPSSPCLGDIHSSPELAESTSNVGSPSLPTIESHKDTRNKISEIDVNSEPTGHEDHSFTNQANETPPAQQAGVNDVFWEQFLTETPGSSETQEVQSKRQDAETDRSLGKTTEKVNIWWNKKNLDHLTEQMGHLTPTEKT >DRNTG_13823.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6281414:6285114:1 gene:DRNTG_13823 transcript:DRNTG_13823.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSHGTSASTSPAPFLTKTYDMVDDPASDAIVSWSPSSSSFVVWKPLEFARDLLPKYFKHNNFSSFVRQLNTYGFRKADPDQWEFANEEFVRGQRHLLKNIHRRKPIHSRSLPQSTFGHLTDAEKQDLEEEIERLKHDKGILIFELQKYTQEQHGMERHMQNLEERLHTVEQRQRHLISFLSKTVQGPGFLPDSFYQSDIHHKKRRSPKFDYFLEDSIAEENQIVEFQSWSLEKQDTGLMQAFDIEPFDKIESSLNSLEAFFRSVSEASGGDMWYDDRMPSQPSGMVLTEVNASSEETDVNMRPSSPKLFPSSPCLGDIHSSPELAESTSNVGSPSLPTIESHKDTRNKISEIDVNSEPTGHEDHSFTNQANETPPAQQAGVNDVFWEQFLTETPGSSETQEVQSKRQDAETDRSLGKTTEKVNIWWNKKNLDHLTEQMGHLTPTEKT >DRNTG_23852.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001280.1:49480:50140:-1 gene:DRNTG_23852 transcript:DRNTG_23852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCMSAPPSGLAPSSLPSSSIAGSPLPSPNSYTDLLSFSGKRAVELGAGCGPAGLALTRLGLEVVLTDTAPVMPALRRNLKRNRPSLPRTPKIAQLYWSNQAQIQALKPPFDLVIAADVVYLEESVEALVSTMEQLVKLDGAVVLGYQLRSPEAHQLFWEVCGRVFPVIEKVPHEDLHPDYAYEETDLFILRKRK >DRNTG_17008.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000864.1:27737:30533:1 gene:DRNTG_17008 transcript:DRNTG_17008.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGYKDHRGYTPMFAETLDPSSKIKGDFKEGFYIGPAKFSDSQVDANQWPSDGLWAKV >DRNTG_17008.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000864.1:27737:30533:1 gene:DRNTG_17008 transcript:DRNTG_17008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGYKDHRGYTPMFAETLDPSSKIKGDFKEGFYIGPAKFSDSQVDANQWPSDDVLPCWRATMESYYEKMLAVGKSLISLIALALDLDDLFFEKIGALYQPMAFLRLLHYPGT >DRNTG_07539.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14122601:14123239:1 gene:DRNTG_07539 transcript:DRNTG_07539.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHHRVKKVRLANDAFGVTINPNMDYAFIVSLFCILHLKQLKQDTRQRHVNEFAEIAADLATTLISVAVKVAGLPAS >DRNTG_07539.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14122493:14123239:1 gene:DRNTG_07539 transcript:DRNTG_07539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHHRVKKVRLANDAFGVTINPNMDYAFIVSLFCILHLKQLKQDTRQRHVNEFAEIAADLATTLISVAVKVAGLPAS >DRNTG_13767.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:11336837:11339798:1 gene:DRNTG_13767 transcript:DRNTG_13767.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLENSTKNKKWMNEASLIRKLMKLFKKMRHVKEETEKEKQQQQANKLKGSKLHKLIKSLQQTASMD >DRNTG_13767.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:11334122:11338491:1 gene:DRNTG_13767 transcript:DRNTG_13767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLENSTKNKKWMNEASLIRKLMKLFKKMRHVKEETEKEKQQQQANKLKGSKLHKLIKSLQQTASMD >DRNTG_13767.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:11334122:11337941:1 gene:DRNTG_13767 transcript:DRNTG_13767.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLENSTKNKKWMNEASLIRKLMKLFKKMRHVKEETEKEKQQQQANKLKGSKLHKLIKSLQQTASMD >DRNTG_13767.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:11336837:11339798:1 gene:DRNTG_13767 transcript:DRNTG_13767.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLENSTKNKKWMNEASLIRKLMKLFKKMRHVKEETEKEKQQQQANKLKGSKLHKLIKSLQQTASMD >DRNTG_13767.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:11336170:11338491:1 gene:DRNTG_13767 transcript:DRNTG_13767.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKLENSTKNKKWMNEASLIRKLMKLFKKMRHVKEETEKEKQQQQANKLKGSKLHKLIKSLQQTASMD >DRNTG_17445.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000899.1:13793:15684:-1 gene:DRNTG_17445 transcript:DRNTG_17445.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSFQSPPSSKSDHLFDELRWVIQIHQYLEEGTEDDHGIPVTIFSVPNSLRVSNPEAFAPQLIALGPYHHWQPELYEMEWYKSSAAKRARNRLHEQKFQQLAEHFVKAKKLICAHYHRYLDFNDETIAWMMILDTSFLLEFLQIFVPEESKVLQQVPSRISHFVDNQGRKSAHDVILRDIMMLENQVPLFLLRVCLEFQCSSLEEADDVLTLMLNGFMRALCPLNMMQNFPCIDVTQHAHLLELLYSILVRKPQEQNCEAIIDISEDQTDAGKSIYETDDDDSSKINQFFIASWKAASGQNGSNILYIKKLLSSKPMKFLVKVPWKIITSLPVFSILKKPVEYLLSSETSVNSKNEMSSSNQNINNQPLIEEIMIPSVRELVNAGISFSATKGDVTTIQFDIKTATLYLPTVKLDINTEVILRNLVAYESSVVSGPLVFTRYTELMNGIIDTDEDAKLLRERGIILNKMKSDSEVAKLWNSMSRSVRHTRVPFLDKVIEDVNNYYDSRWKVKTTKFMKKYVFGSWRFLAFLAAIFLLLLTSLQAFCSVYSCARWINPAIIGQ >DRNTG_32047.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3895388:3903568:-1 gene:DRNTG_32047 transcript:DRNTG_32047.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLSSLPIVPSKPFLGSTSDFSHYLPSRSSSLLYSPFNNNPSSSSLYLRFPKRKKARRRNRGTLIDGNAGWFRSIIVSASADYYSTLGVPRSASNKEIKTAYRKLARQYHPDVNKQPGATEKFKEISAAYEVLSDDKKRALYDQYGEAGVASAVGGSGGAYATNPFDLFETFFGASMGNFSGMDQGTFRTRRRNAVIKGEDIRYDMILGFSEAIFGAEKEIVLSHLETCEVCSGTGSKTGAKMKICSTCGGRGQVMRTEQTAFGLFSQVSICPTCGGEGEIISEYCRKCAGEGRIRVKKDIKVKIPPGVSKGSTLRVRGEGDAGPRGGPPGDLFVCLDVEEIPDIQRDGINLISTISISYLDAILGSVVKVKTVDGIAELQIPSGTQPGDVLVLAKRGVPKLNKPSIRGDHLFNIKVTIPNRISGRERELLEELASLSGASISRTSSGRVVKPPG >DRNTG_32047.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3895388:3903568:-1 gene:DRNTG_32047 transcript:DRNTG_32047.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLSSLPIVPSKPFLGSTSDFSHYLPSRSSSLLYSPFNNNPSSSSLYLRFPKRKKARRRNRGTLIDGNAGWFRSIIVSASADYYSTLGVPRSASNKEIKTAYRKLARQYHPDVNKQPGATEKFKEISAAYEMIKRGHYMINMGKRELLVQWGALVERMRQILLTYLRLSLGQVWVISLAWTKGHLEHAGAMLLSRVKIYDMT >DRNTG_32047.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3895388:3903568:-1 gene:DRNTG_32047 transcript:DRNTG_32047.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLSSLPIVPSKPFLGSTSDFSHYLPSRSSSLLYSPFNNNPSSSSLYLRFPKRKKARRRNRGTLIDGNAGWFRSIIVSASADYYSTLGVPRSASNKEIKTAYRKLARQYHPDVNKQPGATEKFKEISAAYEVLSDDKKRALYDQYGEAGVASAVGGSGGAYATNPFDLFETFFGASMGNFSGMDQGTFRTRRRNAVIKGEDIRYDMILGFSEAIFGAEKEIVLSHLETCEVCSGTGSKTGAKMKICSTCGGRGQVMRTEQTAFGLFSQVSICPTCGGEGEIISEYCRKCAGEGRIRVKKDIKVKIPPGVSKGSTLRVRGEGDAGPRGGPPGDLFVCLDVEEIPDIQRDGINLISTISISYLDAILGSVVKVKTVDGIAELQIPSGTQPGDVLVLAKRGVPKLNKPSIRGDHLFNIKVTIPNRISGRERELLEELASLSGASISRTSSGRVVKPPVEKTESLEDVESKDSQQSAKQNDLLQKLKDFAGSLANGALNWLKDNL >DRNTG_00190.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:124380:126441:1 gene:DRNTG_00190 transcript:DRNTG_00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNCIMQQLLLVNLHMFMVLNRFVLDICRTEAGDEMKEDIYKIPLLVPKVPQQRNGVDCGIFVLYYLHLFAQNAPAIFTLDGYPYFLKEDWFSPDDLESFRKEIYSFECKFGIIYLLFFTCICHFDAAENKRINRSSLLKLINVSDQSILRTFHH >DRNTG_00190.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:124380:126327:1 gene:DRNTG_00190 transcript:DRNTG_00190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNCIMQQLLLVNLHMFMVLNRFVLDICRTEAGDEMKEDIYKIPLLVPKVPQQRNGVDCGIFVLYYLHLFAQNAPAIFTLDGYPYFLKEDWFSPDDLESFRKEIYSFESHKKLKLNTH >DRNTG_25679.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:977489:978191:1 gene:DRNTG_25679 transcript:DRNTG_25679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVHVPHFELSEDPSFWNDHNVQILIRVRPINSAELGSQGNTRCLVQESSQTVAWTGHPDTRFTFDHVACETISQEKLFRV >DRNTG_32097.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22276396:22277863:-1 gene:DRNTG_32097 transcript:DRNTG_32097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCSGCANKVRRSIKGFQGVDGVVTDPANGQMTVIGMVDHVKLKKRIEASTRKTVEILNPQAKKEKKDPNPITNDVAKKPPPPPPPAPAADEKKPKLPTVSTVVLKIPMHCKCEGCYKKIKKSILKIEGVENVKMDGEKGLATAKGTMDAKALPAAVKAKLKKEVEVVLEKKEAGGGGEKKKKEGEGEETPAAAVKEVVAEVNKMEFYGGYVYCSDMVQAPQYFSDENPNACAIM >DRNTG_29882.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2016889:2022295:1 gene:DRNTG_29882 transcript:DRNTG_29882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWLCCAGHIDEPKQSLDNEPKYPKSHPDGVQKGSKLPSAAKNEPPKAPPPIEVPALSLDELKEKTDNFSSKSLVGEGSYGRVYYAVLEEGKQVAIKKLDASSEPEPNTEFLTQVSMVSRLKHDNFVELLGYSVEGNMRLLAYEFATMGSLHDVLHGRKGVQGAQPGPVLSWMQRVRIAIDAAKGLEYLHEKVQPSIIHRDIRSSNVLLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPRLKGEYPPKGVAKLAAVAALCVQYESEFRPNMSIVVKALTPLLSQPKPAPPAEAPSPTEL >DRNTG_29882.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2016889:2022295:1 gene:DRNTG_29882 transcript:DRNTG_29882.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWLCCAGHIDEPKQSLDNEPKYPKSHPDGVQKGSKLPSAAKNEPPKAPPPIEVPALSLDELKEKTDNFSSKSLVGEGSYGRVYYAVLEEGKQVAIKKLDASSEPEPNTEFLTQVSMVSRLKHDNFVELLGYSVEGNMRLLAYEFATMGSLHDVLHGRKGVQGAQPGPVLSWMQRVRIAIDAAKGLEYLHEKVQPSIIHRDIRSSNVLLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPRLKGEYPPKGVAKLAAVAALCVQYESEFRPNMSIVVKALTPLLSQPKPAPPAEAPSPTEL >DRNTG_14236.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:925693:927204:1 gene:DRNTG_14236 transcript:DRNTG_14236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFQNSFVQVQSILDQNRLLINEINQNHESRIPDNLNRNVGLIRELNNNIRRVVNLYADLSSSFTKSVEASSEGESIATRRSDGKHGQKRIRPE >DRNTG_01660.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23929720:23932639:-1 gene:DRNTG_01660 transcript:DRNTG_01660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEDLEAGRPLNWRKETMINGKNKEASQGVAAGIFQITTAINTFQRLVNSIGTPKDTPDLRDKLHKTRLHVSQLVKDTSTRLKQASEIDHYSQVTPSKKVADAKLARDFEAVLKEFQKLQRLAAERETAYVPFVPQPASSQSAGEMDASGDRAHDQRALLVESRRQEILQLDNEIVFNEAIIEEREQGIQEIQQQIGEVNEIFKDLAVLVHDQGIMIDDIDSNIENSSAATVQAKSQLSKAAKTQKSNSSLMCLLLVVIGVVLLIVIIILTA >DRNTG_01660.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23929720:23932609:-1 gene:DRNTG_01660 transcript:DRNTG_01660.5 gene_biotype:protein_coding transcript_biotype:protein_coding MISFTSVSCLFSCSQSAGEMDASGDRAHDQRALLVESRRQEILQLDNEIVFNEAIIEEREQGIQEIQQQIGEVNEIFKDLAVLVHDQGIMIDDIDSNIENSSAATVQAKSQLSKAAKTQKSNSSLMCLLLVVIGVVLLIVIIILTA >DRNTG_01660.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23928293:23932609:-1 gene:DRNTG_01660 transcript:DRNTG_01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEDLEAGRPLNWRKETMINGKNKEASQGVAAGIFQITTAINTFQRLVNSIGTPKDTPDLRDKLHKTRLHVSQLVKDTSTRLKQASEIDHYSQVTPSKKVADAKLARDFEAVLKEFQKLQRLAAERETAYVPFVPQPASSQSAGEMDASGDRAHDQRALLVESRRQEILQLDNEIVFNEAIIEEREQGIQEIQQQIGEVNEIFKDLAVLVHDQGIMIDDIDSNIENSSAATVQAKSQLSKAAKTQKSNSSLMCLLLVVIGVVLLIVIIILTA >DRNTG_01660.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23928293:23932609:-1 gene:DRNTG_01660 transcript:DRNTG_01660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEDLEAGRPLNWRKETMINGKNKEASQGVAAGIFQITTAINTFQRLVNSIGTPKDTPDLRDKLHKTRLHVSQLVKDTSTRLKQASEIDHYSQVTPSKKVADAKLARDFEAVLKEFQKLQRLAAERETAYVPFVPQPASRY >DRNTG_01660.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23929720:23932609:-1 gene:DRNTG_01660 transcript:DRNTG_01660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEDLEAGRPLNWRKETMINGKNKEASQGVAAGIFQITTAINTFQRLVNSIGTPKDTPDLRDKLHKTRLHVSQLVKDTSTRLKQASEIDHYSQVTPSKKVADAKLARDFEAVLKEFQKLQRLAAERETAYVPFVPQPASSQSAGEMDASGDRAHDQRALLVESRRQEILQLDNEIVFNEAIIEEREQGIQEIQQQIGEVNEIFKDLAVLVHDQGIMIDDIDSNIENSSAATVQAKSQLSKAAKTQKSNSSLMCLLLVVIGVVLLIVIIILTA >DRNTG_14474.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000693.1:102919:107642:1 gene:DRNTG_14474 transcript:DRNTG_14474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTPRFSWKKVIGGAFVGTDPARCAIGRTIGPLEKKRLLDKTIDTITRGCSYGLHHFTFDFIIDCILLYGELKPLVGGNLLSFSLNIALEKSRNCGGGGPNSQGKVSLLCPRRALLSCPKTMYRGVNAGSMRGFYEGVNGGLIEAV >DRNTG_13601.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23721234:23721978:-1 gene:DRNTG_13601 transcript:DRNTG_13601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEFQINSGENLRTKSLLSSSERNQGPHPSNFCFPFSFSSPPFIFCLMAVMRYGELQHFGYLNLIRRLNIAIDVASALEYLHFNCHTTIVHCDLKPTNVLLDDDMIGHVGDFGLARFLAEIPGEVSQYDQNSSHQVKGSLGYLPPEYGMGGTVSTAGDVYSYGILLLEMFTGKRPTMKFSKII >DRNTG_01154.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23245377:23279910:1 gene:DRNTG_01154 transcript:DRNTG_01154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRCRSRRTSPKPWPIPLETLADALSQVGEKMEKRIPKSGL >DRNTG_21339.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11502239:11503917:1 gene:DRNTG_21339 transcript:DRNTG_21339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLEGEETDATMKVISSTCENWDFFELLNHGIEHELLDEVGRMTKERYKKCTEKRFLEFAGKTLDYAGAVIPDIENIDWESTFFVRHLPESNLSEITDLSDDYRLQYAPAFTNLGSGGKLAVMETSSAPLPRHTSYMIRDTRVN >DRNTG_29084.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20919131:20920466:1 gene:DRNTG_29084 transcript:DRNTG_29084.1 gene_biotype:protein_coding transcript_biotype:protein_coding NGRLPDVRNPSKDGSSENLGNAKICTPDHRKTNN >DRNTG_29084.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20919866:20920466:1 gene:DRNTG_29084 transcript:DRNTG_29084.3 gene_biotype:protein_coding transcript_biotype:protein_coding IIKKNTRSCKKNQKSKFSHRLPDVRNPSKDGSSENLGNAKICTPDHRKTNN >DRNTG_29084.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20919866:20920466:1 gene:DRNTG_29084 transcript:DRNTG_29084.4 gene_biotype:protein_coding transcript_biotype:protein_coding DVRNPSKDGSSENLGNAKICTPDHRKTNN >DRNTG_29084.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20919866:20920466:1 gene:DRNTG_29084 transcript:DRNTG_29084.2 gene_biotype:protein_coding transcript_biotype:protein_coding LFLCLHSFTRQQIVVKSSDLEPPAYFNNDRLPDVRNPSKDGSSENLGNAKICTPDHRKTNN >DRNTG_33337.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3459835:3461402:-1 gene:DRNTG_33337 transcript:DRNTG_33337.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREKKMGREWQKSSGCMSGMLHFIDFHQLLFTNRRTAEQHTALTNLQGLEEGQCEAPLEMRIHAVRTWTEKKREKMAFFLEEERRSSQVESPRSPSIVARLMGLELVPENNPHDHSLKKKNKNNEQHHLRKPLQVKNSNTINHSSPPSPLPPKLKSRLKASSRHGYNNENENENVVKEVKKHCKKNKEAKKNILCSRTEEQEDEYQYVRLVLDYALKFQCLNSEAIFNLLELELEFPSYSQLQLQVEQETTVPFGWRDCWEISLMAMDLQTTCLKEYGGR >DRNTG_33337.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3459835:3461170:-1 gene:DRNTG_33337 transcript:DRNTG_33337.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHAVRTWTEKKREKMAFFLEEERRSSQVESPRSPSIVARLMGLELVPENNPHDHSLKKKNKNNEQHHLRKPLQVKNSNTINHSSPPSPLPPKLKSRLKASSRHGYNNENENENVVKEVKKHCKKNKEAKKNILCSRTEEQEDEYQYVRLVLDYALKFQCLNSEAIFNLLELELEFPSYSQLQLQVEQETTVPFGWRDCWEISLMAMDLQTTCLKEYGGR >DRNTG_26510.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2317666:2320135:-1 gene:DRNTG_26510 transcript:DRNTG_26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIVSVFPSRTLHLHTTRSWDFLGFPSATEPNLTLESDVIIGMIDTGVWPESKSFSDKGLARPPKRWKGTCYKSFPCNNKIIGTRDYISNFTGYIPAIDVDGHGSHTASTAAGRSVANVSLYGLAEGHARGGVPGARLAIYKACQYGFCRGANILAAFDDAIADGVDIISISVGDQYDDYFEDVIAIGSFHAMKNGILTVASSGNDGPGLGTLANVAPWLISVAASSIDRRIVDKLVIGENRTIEGVSVNPFPSQTKSFPFVYDSSYVPDDMNDDLLKERVLLCDGDSGATRELVDLVKGAVMIDDSYLDYGYQYPLPALDLINGVRLTRNPVAKILKSQEIFDANAPIVVSFSSRGPNTITPDILKPDISAPGVNIIASWSLRASVSDNEADNRSVVYNIQSGTSMACPHVSAAAAYVKSFHPFWSPSAIMSALITTATPMKAEHTPEAELAYGAGQLNPVKAPNPGLVYEATEADYVQMLCNQGYNAKNLRIITGKQ >DRNTG_29912.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001516.1:24087:31624:1 gene:DRNTG_29912 transcript:DRNTG_29912.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGETQEGAEEMAEAQKEGVEMAAPVKMESTLCHGGRFVRYNICSNRRIVFEVTSKYKPPIIPLRSDPYSVTWSALNSETGEQVAIRKISHDFDNMIYAKRTLREVKLLRHMDHENVLAIRDIIPPPQWELFNDVYIAYELMETNLHQIIHSNQPLSEEHIQILRGLKYIHSASVLHLDLQPSNILLNANCDLKICDFGFEPINSAFIRENFPVTKNYRAPELLFNSSGYTAAIDVWSVGCIFMELMERKPLFPGKNIVHQMYLLLELIGTPKEDDLGFLDEVGRRSISRLPCYSRQSFAEKFPQMHRTAIDLLEKMLTFNPSQRITVEDALAHPYFASLHDTSDEPVCMKPFSFDFEKHVLTGQHVKELIYQEALALNPEYQT >DRNTG_29912.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001516.1:24087:31624:1 gene:DRNTG_29912 transcript:DRNTG_29912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETQEGAEEMAEAQKEGVEMAAPVKMESTLCHGGRFVRYNICSNRRIVFEVTSKYKPPIIPLRSDPYSVTWSALNSETGEQVAIRKISHDFDNMIYAKRTLREVKLLRHMDHENVLAIRDIIPPPQWELFNDVYIAYELMETNLHQIIHSNQPLSEEHIQYILYQILRGLKYIHSASVLHLDLQPSNILLNANCDLKICDFGFEPINSAFIRENFPVTKNYRAPELLFNSSGYTAAIDVWSVGCIFMELMERKPLFPGKNIVHQMYLLLELIGTPKEDDLGFLDEVGRRSISRLPCYSRQSFAEKFPQMHRTAIDLLEKMLTFNPSQRITVEDALAHPYFASLHDTSDEPVCMKPFSFDFEKHVLTGQHVKELIYQEALALNPEYQT >DRNTG_25858.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8863707:8867322:-1 gene:DRNTG_25858 transcript:DRNTG_25858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKAARPVSKSSSSLADSSKATDFVEIFGGLEASCSIPFLELPVGFEDTDDARGGERRFVEDYEEIFGGVGGLNLGISCEEMQRPDDLDGRLTKEISLGMQEVEGSKLSSQRPDQILSDLAPLKDKLKQFSRSHKKSGWKSIEETTSGAMGFSHGTNACSSQNSERNSTSGMDANDVSTHGDSNGTRPSSKREKTSTPAFEGVKNSVNDMNDLEQLSTSAPTSEDDCINLKSYPCSSSSHSTPGRGISCPKVPYVTLSDISLQTQPLLVSPPSKPPPEVACKNSHPKIKIFANMKDDEGEGGLYQQSNRSQQRWKAFSKRDALQNSLRDGSPYFYDADDDAGSAAAAAVADAAMKEAMEQARVRLKIAKMSMDRKRHSFKGHKDLVKVDNLNSKDEGAEAVKASSEDMTQIITMAIEDEEMNGSTPLERQKHMRAAKVASNHEEKESQVAGEEDVLQGKKTKSSQSKLEEKSGELKIESQCCELINNDKMVGMVHQVPGQENVEKEMRSKEDKDEQDKKVVKIPTELKKMRKLWTVGEPHEVKNKLKLKANSVAIREEEIKEIITAIREAHAQDANLNMPERTYDSSLEDETEGKPEETFIYGNHERNLENFDHYCSYEKFHKSEENNKNTKTFKKGDQCKESEAISCMVCEPQESERVRKLSNKTSVNVRRNLKSRTDHVNQKPWRN >DRNTG_09941.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18327775:18328711:-1 gene:DRNTG_09941 transcript:DRNTG_09941.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKLLSSIHHHEISLFGLLLSHSGNPHLSITNSRSPSSTKTARELSTI >DRNTG_31203.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26892566:26897081:1 gene:DRNTG_31203 transcript:DRNTG_31203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGHAMDDIMQKVQTRHYQLACTLTFEAVHGISCDTGVNHPNQYFIESRKALELKRQPTM >DRNTG_31203.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26894249:26897081:1 gene:DRNTG_31203 transcript:DRNTG_31203.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNDAQVALFLVDQVGTERFDKEYAYSIRHNYGKEGKRTDYTPYSCQKIISSTPGVGDHHGCPYRHFSEENLRAALTKMGVGGHAMDDIMQKVQTRHYQLACTLTFEAVHGISCDTGVNHPNQYFIESRKALELKRQPTM >DRNTG_17773.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000910.1:64510:68004:-1 gene:DRNTG_17773 transcript:DRNTG_17773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAVSQVATRLAGLLSQEFWLLYGVRDEVEWMERELRRIKCFLKDADAKGKRDERMKNWVNEVVQVAYLADDAIDTLLIKVNHDQSHGWLSRIKCRFKPSLLIRRHNVGVDIAKIKERLNEIKASREVYGIQNLSEDGDASNLISIIRRRHFLPQYSNDADVVGLFNDHKILLGRLINQQQQRLCVISIVGIGGLGKTTLARKLCHDNAVSNHFRKRIWITVSQENSLMGLLRKMLEEVGVIEKEKLEKMEENDLIDMLNDSLRKLLGF >DRNTG_17773.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000910.1:63928:64984:-1 gene:DRNTG_17773 transcript:DRNTG_17773.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSFPDVNNGSRVLITTQFLNVAKGANPRSTPYELPLLNDNESMKLLLKKAFPYEDTEANCSSGLLDISHQLMRKCGGLPLALVVLGGLLSIKDKTPVVWRRVLETMDWAAEGRECQEILALSYEDLPYHMKSCFLYL >DRNTG_35270.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3325620:3330719:-1 gene:DRNTG_35270 transcript:DRNTG_35270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHIVGYPRMGPKRELKFALESFWDGKSTAEDLQKVAADLRSSIWKQMADAGIKYIPSNTFSYYDQVLDTTAMLGAVPPRYGWNSGEIGFDIYFSMARGNASLPAMEMTKWFDTNYHFIVPELGPDTKFSYASHKAVSEYKEAKALGIETVPVVIGPVSYLLLSKPAKGVEKSFSPLSLLGKVLPVYKEVLAELKAAGALQIQFDEPTLVMDLGSHQLQAFTEAYSELESSFSGLNVLVQTYFADVPEAAYKTITSLNGVTGFGFDLVRGTKTLDLIKSGFPSGKHLFAGVVDGRNIWANDLASSLCTLKDLEAIVGKGKVVVSTSCSLMHAPVDLVNETKLDNEIKSWLAFAAQKVVEVNALARALAGDKDEAFFSANAAAQSSRKSSPRVTNQEVQKAAAALRGSDHRRATNVTARLDAQQKKLNLPILPTTTIGSFPQTMDLRRARREYKANKISEEEYVNAMREEISKVVKLQEELDIDVLVHGEPERNDMVEYFGEQLSGITFTVNGWVQSYGSRCVKPPIIFGDVSRPKPMTVFWSSMAQSMTSRPMKAMLTGPVTILNWSFVRNDQPRYETCYQIALAIKNEVEDLEAAGIQVIQIDEAALREGLPLRKSEQAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLEMNILWVNPDCGLKTRKYAEVKPALTNMVEAAKLLRKQLASAK >DRNTG_29758.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15957211:15959273:1 gene:DRNTG_29758 transcript:DRNTG_29758.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-regulated protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G26740) UniProtKB/Swiss-Prot;Acc:Q96500] MQAATVCFTGPMPLKSTKTLVPRKSTKTYRTVICPKAVAVAAPDNDTVDYTSSASVFPAEACETIGGTACDVEMFPEVKLQSQPSNAKAKVASTEIDRDYLEYNEPRTVFPDEACDDLGGEFCDPEYQRGG >DRNTG_29758.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15957211:15958227:1 gene:DRNTG_29758 transcript:DRNTG_29758.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-regulated protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G26740) UniProtKB/Swiss-Prot;Acc:Q96500] MQAATVCFTGPMPLKSTKTLVPRKSTKTYRTVICPKAVAVAAPDNDTVDYTSSASVFPAEACETIGGTACDVEMFPEVKLQSQPSNAKAKVASTEIDRDYLEYNEPRTVFPDEACDDLGGEFCDPEYQRGG >DRNTG_29758.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15957211:15958178:1 gene:DRNTG_29758 transcript:DRNTG_29758.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-regulated protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G26740) UniProtKB/Swiss-Prot;Acc:Q96500] MQAATVCFTGPMPLKSTKTLVPRKSTKTYRTVICPKAVAVAAPDNDTVDYTSSASVFPAEACETIGGTACDVEMFPEVKLQSQPSNAKAKVASTEIDRDYLEYNEPRTVFPDEACDDLGGEFCDPEYQRGG >DRNTG_29758.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15957142:15958178:1 gene:DRNTG_29758 transcript:DRNTG_29758.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-regulated protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G26740) UniProtKB/Swiss-Prot;Acc:Q96500] MQAATVCFTGPMPLKSTKTLVPRKSTKTYRTVICPKAVAVAAPDNDTVDYTSSASVFPAEACETIGGTACDVEMFPEVKLQSQPSNAKAKVASTEIDRDYLEYNEPRTVFPDEACDDLGGEFCDPEYQRGG >DRNTG_29758.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15957142:15958227:1 gene:DRNTG_29758 transcript:DRNTG_29758.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-regulated protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G26740) UniProtKB/Swiss-Prot;Acc:Q96500] MQAATVCFTGPMPLKSTKTLVPRKSTKTYRTVICPKAVAVAAPDNDTVDYTSSASVFPAEACETIGGTACDVEMFPEVKLQSQPSNAKAKVASTEIDRDYLEYNEPRTVFPDEACDDLGGEFCDPEYQRGG >DRNTG_18194.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4665945:4666531:1 gene:DRNTG_18194 transcript:DRNTG_18194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVDHSFSITDEDLMVDGPYIVRNRPPIREIALAVSLLVFGSLGIVIGVFMACNQIGGDRAHGVFFAILGAVLFIPGFYYTRIAYFAYKGYKGFSFANIPPV >DRNTG_01480.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13630631:13643754:-1 gene:DRNTG_01480 transcript:DRNTG_01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDNSLFKAATSARLENLFPIFCPALHHLRRRLRLGFEEALASEVCPRLDEGTFGEDAATPQDHRHGHQGDRNSSSIKSLIGDDLAIDAQVEIRKCDYLRFPLTHCNGLNTWSVPHEQVSKTILLRPHGWAHIRVVDYEASCRITIIRKAIEVFTQEMVQKEPFEKPLDDPQNKETPSFLLTNSIEERTYPCGHAYAHKEEVQSKLEDLKGKTYTCNDHPEEFMTRAYACISHGRKELEMMGHEPYRTLTTVSPIRLLTDISLAYKFFLFGFILFSYGHMHARKARLCPKKARGRSVYNVVSGVELLPEYKLEDEGVANVIGPPVANGSYESVFNSSSDPSPKSASRTWGSTLGLELLILFGNDEVNIMKTKKWGELSVTLSPLLAELLEVYRASFVKANQVSTHLNSAKYLKSGVPVHIICNSEQLAPSS >DRNTG_25535.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2979932:2981633:1 gene:DRNTG_25535 transcript:DRNTG_25535.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TPX2 [Source:Projected from Arabidopsis thaliana (AT1G03780) UniProtKB/Swiss-Prot;Acc:F4I2H7] MPPKPDPKPCTKPEIFQLESLVRHEEEMQRKLEEKARLEKEEAQRRLFKAQPIMKEDPLPPPERTRKPLTEVQEFVLHVDHRAVHRSEFDKKIKEKETMYKRLREENESMKQIEEEKAVKQMRRTMVPHARPLPKFDNPFLPQKSIKEATKPKSPKLRVIRREDRCHTSHLR >DRNTG_25535.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2976685:2981633:1 gene:DRNTG_25535 transcript:DRNTG_25535.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TPX2 [Source:Projected from Arabidopsis thaliana (AT1G03780) UniProtKB/Swiss-Prot;Acc:F4I2H7] MSQSPALPSMKSVKQKTTAKCPDSVTAKNSMAVDIAQENQAIKRQKLDGGKSRQILNVKNRVLPHKSKLGQTLSEFRSSVAKGHQEATAFISAAEMVKKFQSKPRDIELSQSRSFSHVDSASVIQRRPKLTLTRPKEPELETAHRVRAVRIKSSAELEEEMLAKIPKFKARPVNKKILEAPTLPAMPRSAPQPPEFQEFHLKTMERANQHAETSSVVSSAVDSSSQNQGKHLTLTEPRPPQLETSLRARPPRVKSSQEVEVEELQKIPKFKARPLNRKIFESKGDLGLPCNQKRLVTTPQEFHFATNDRLGPPVTASVADLFDKLSLHSESSCRDQQVPRITVPNPFHLHTEERGSEKERQFTVQVLYKQIEEERARIPKANPYPYTTDYPVMPPKPDPKPCTKPEIFQLESLVRHEEEMQRKLEEKARLEKEEAQRRLFKAQPIMKEDPLPPPERTRKPLTEVQEFVLHVDHRAVHRSEFDKKIKEKETMYKRLREENESMKQIEEEKAVKQMRRTMVPHARPLPKFDNPFLPQKSIKEATKPKSPKLRVIRREDRCHTSHLR >DRNTG_25535.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2976685:2981633:1 gene:DRNTG_25535 transcript:DRNTG_25535.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TPX2 [Source:Projected from Arabidopsis thaliana (AT1G03780) UniProtKB/Swiss-Prot;Acc:F4I2H7] MSQSPALPSMKSVKQKTTAKCPDSVTAKNSMAVDIAQENQAIKRQKLDGGKSRQILNVKNRVLPHKSKLGQTLSEFRSSVAKGHQEATAFISAAEMVKKFQSKPRDIELSQSRSFSHVDSASVIQRRPKLTLTRPKEPELETAHRVRAVRIKSSAELEEEMLAKIPKFKARPVNKKILEAPTLPAMPRSAPQPPEFQEFHLKTMERANQHAETSSVVSSAVDSSSQNQGKHLTLTEPRPPQLETSLRARPPRVKSSQEVEVEELQKIPKFKARPLNRKIFESKGDLGLPCNQKRLVTTPQEFHFATNDRLGPPVTASVADLFDKLSLHSESSCRDQQVPRITVPNPFHLHTEERGSEKERQFTVQVLYKQIEEERARIPKANPYPYTTDYPVMPPKPDPKPCTKPEIFQLESLVRHEEEMQRKLEEKARLEKEEAQRRLFKAQPIMKEDPLPPPERTRKPLTEVQEFVLHVDHRAVHRSEFDKKIKEKETMYKRLREENESMKQIEEEKAVKQMRRTMVPHARPLPKFDNPFLPQKSIKEATKPKSPKLRVIRREDRCHTSHLR >DRNTG_10358.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000435.1:713:8370:-1 gene:DRNTG_10358 transcript:DRNTG_10358.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAKRILLRNALKDPFNVRFAFVSDSCIPLYNFSYIYDYIMSTSTSYLDRYLMLLVLNKRCVGIIILMNQVIKQIQMHQVMQFRR >DRNTG_10358.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000435.1:713:8370:-1 gene:DRNTG_10358 transcript:DRNTG_10358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAKRILLRNALKDPFNVRFAFVSDSCIPLYNFSYIYDYIMSTSTSYLDRYLMLLVLNKRCVGIIILMNQVIKQIQMHQVMQFRR >DRNTG_10358.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000435.1:1074:8370:-1 gene:DRNTG_10358 transcript:DRNTG_10358.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAKRILLRNALKDPFNVRFAFVSDSCIPLYNFSYIYDYIMSTSTSYLDRYLMLLVLNKRCVGIIILMNQVIKQIQMHQVSSYCFKLKRRH >DRNTG_10358.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000435.1:713:5226:-1 gene:DRNTG_10358 transcript:DRNTG_10358.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAKRILLRNALKDPFNVRFAFVSDSCIPLYNFSYIYDYIMSTSTSYLDRYLMLLVLNKRCVGIIILMNQVIKQIQMHQVMQFRR >DRNTG_10358.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000435.1:4169:5718:-1 gene:DRNTG_10358 transcript:DRNTG_10358.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAKRILLRNALKDPFNVRFAFVSDSCIPLYNFSYIYDYIMSTSTSYLDRYSAYFIFTQLILLCHLLSFAFLYNTQGFN >DRNTG_33779.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:73129:78123:1 gene:DRNTG_33779 transcript:DRNTG_33779.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAEDALKVKDKAQQKPSFELSGKLAEETNRVRGVTLLFNEPPDARKPDIRWRLYVFKAGEVLNEPLYIHRQSCYLFGRERRVADIPTDHPSCSKQHAVLQYRLVEIEQPDGLMSKQIRPYIMDLGSTNGTFINDNKVEPQRYYELFEKDTIKFGNSSREYVMLHENSVT >DRNTG_33779.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:73129:78123:1 gene:DRNTG_33779 transcript:DRNTG_33779.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVERREHSRRHSPERSISPVRERRREKERGMERSPRKKSSSSRSVRSPARDRSRSPDHARSKGFSRSPSPRSKRLKRVRGEREARETERPELDRDRSRERGEERRSGREKDDGGSSRRQSRHERSASPQDRGHRSRRSSRSPSRASKSGGASGEVAKPRATENLRSDDDDSIAKMKAAEDALKVKDKAQQKPSFELSGKLAEETNRVRGVTLLFNEPPDARKPDIRWRLYVFKAGEVLNEPLYIHRQSCYLFGRERRVADIPTDHPSCSKQHAVLQYRLVEIEQPDGLMSKQIRPYIMDLGSTNGTFINDNKVEPQRYYELFEKDTIKFGNSSREYVMLHENSVT >DRNTG_33779.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:73129:78123:1 gene:DRNTG_33779 transcript:DRNTG_33779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVERREHSRRHSPERSISPVRERRREKERGMERSPRKKSSSSRSVRSPARDRSRSPDHARSKGFSRSPSPRSKRLKRVRGEREARETERPELDRDRSRERGEERRSGREKDDGGSSRRQSRHERSASPQDRGHRSRRSSRSPSRASKSGGASGEVAKPRATENLRSDDDDSIAKMKAAEDALKVKDKAQQKPSFELSGKLAEETNRVRGVTLLFNEPPDARKPDIRWRLYVFKAGEVLNEPLYIHRQSCYLFGRERRVADIPTDHPSCSKQHAVLQYRLVEIEQPDGLMSKQIRPYIMDLGSTNGTFINDNKVEPQRYYELFEKDTIKFGNSSREYVMLHENSVT >DRNTG_24395.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2689352:2693620:1 gene:DRNTG_24395 transcript:DRNTG_24395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVQYRMERMTEELDDLERRGLFSSAEIAEIVRHRRDFEYRLKRPSPLKEDFLLYIDYEKRLDALRDLRKRAIIGRLVEKEKAAGQEGKKGGKKWKKSVSDFAGVLRILDIYNKAVVRFKGDLDLWFQYLEFCRERRHGRMKQALAQAIRFHPKVPGLWIYAAAWEFDQNLNVAAARALMQSGLRTCPKSEDLWMEYLRMELTYLNKLKERKLACETGVGSLPKDDKETEQQKEENMDTFVSLSNDILFQRGSLTIQTIYHGAVEALPSSMSLRKRFLEILDDVDLVQSEELKEEIMEDLKKKFSQNEDYWDWLARLQVVYTEGRKDLSKEFLLGKLNKASEVYEEALKVLPTTKMFSLYAKFYTDAILADREDPVSVLSNTAFEAGELTSRLLEVYENAEQTGCITKELAYEYISFYLQIGRIHEARDLAKKLCSGRLSEAADVWTLRISTELKWLTSKAASIDDDDLRSISELFRNAMTRVSASEVESLWLMALKFFSTRKKHFEKLVQSLMMDLAKAGQSDNGYTIAFAVVSWVLQRDGVKRAREMYKRFLALPHPSLSFFKNCIDLEANLASAGDSDGVANARKLYESALAIYNQRTELWKNYYMMEMKIGTSETATAVYWRARKTLKDTTEFSSPDHL >DRNTG_33658.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2103078:2104402:-1 gene:DRNTG_33658 transcript:DRNTG_33658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSIKSFIRLKNKQIKEAMESKKHTSLSSSDTDRGYATISTAPPKRRAGRTKFRETRHPIYRGVRRRGRGEGRWVCEIREPNKKSRIWLGTYATAEMAARAHDVAAITLRGRSACLNFADSAWLLPVPVSRSPRSIRAAAAVAAERFGRGEEVKVGTVVEGVANAGDGPRDTGDEAMGRSEWFDCAEMEMAEGYYYASMAEGLLLDPPPMSECDDVEYDADVELWSYSI >DRNTG_09193.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5080233:5081066:-1 gene:DRNTG_09193 transcript:DRNTG_09193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAFFARYFPLRKSVKLRNEILSFVQLELESQFEMWERFKELLRKCPQYGFPEWMIVQTFYNGINLSTRQLLDLAARGTLGSKTPGEAHQLIEEMGLNSYQWNVREKKKVAGLHEIDAVTSLVSQVESLSLETRMNDLEKALTKFVQSSDTRFQSVEATLHNHTASLHNLENQVG >DRNTG_04628.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9015778:9024105:1 gene:DRNTG_04628 transcript:DRNTG_04628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSLSSSWSNKPSYGSGLNISCMYSLTILSVASSTSRPLLKVIVFTCSLGLVSILLGGERGHSAISRLTLSSLTNLALSSRIGADESSSTNLLPNSAMSEP >DRNTG_19726.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:21070089:21070569:1 gene:DRNTG_19726 transcript:DRNTG_19726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLCAIWKKVSGRAFVDTDLARWLRVLLGNSSKVSDTPQELDKARLERVDRVSREVTERPLSPPV >DRNTG_30365.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001566.1:91746:92728:-1 gene:DRNTG_30365 transcript:DRNTG_30365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDMILANQQYEEVRKDFIPKKKRYPGQSLFNKFEQELIRIFLNCSMDITVVWKNDSVNTTRARLSTQLDRKEMMTDDVMDAFIYIIQKSLTRVPYLYKKRASITRPLALFMSKQEDAADTTFAMIRDAERNLHNIDIFILPIIMNDHFHVVVLDNNKQEYRHYSSCQSDEYDNNAFEMWRLFDCIIDMEFGDTVTVKLLASSQH >DRNTG_32284.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001817.1:8045:8976:1 gene:DRNTG_32284 transcript:DRNTG_32284.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVWKFHTGVDSHRPDSWGQAHAPVSSRNGESSFAEIRTGVWKIPMPMRFSQVGPQGVSSPSSSSEASSSGSLE >DRNTG_29898.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001514.1:10183:12662:1 gene:DRNTG_29898 transcript:DRNTG_29898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHRESIKDEASNHATGPGSAGPEVDSRRRITGVRRHPSPAWSFFSRSRASID >DRNTG_29898.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001514.1:10428:12662:1 gene:DRNTG_29898 transcript:DRNTG_29898.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHRESIKDEASNHATGPGSAGPEVDSRRRITGVRRHPSPAWSFFSRSRASID >DRNTG_29898.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001514.1:10428:12662:1 gene:DRNTG_29898 transcript:DRNTG_29898.6 gene_biotype:protein_coding transcript_biotype:protein_coding ILVSKQPEIQKSVKWGKNGNLNHRESIKDEASNHATGPGSAGPEVDSRRRITGVRRHPSPAWSFFSRSRASID >DRNTG_29898.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001514.1:10393:12662:1 gene:DRNTG_29898 transcript:DRNTG_29898.4 gene_biotype:protein_coding transcript_biotype:protein_coding ILVSKQPEIQKSVKWGKNGNLNHRESIKDEASNHATGPGSAGPEVDSRRRITGVRRHPSPAWSFFSRSRASID >DRNTG_29898.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001514.1:10393:12662:1 gene:DRNTG_29898 transcript:DRNTG_29898.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHRESIKDEASNHATGPGSAGPEVDSRRRITGVRRHPSPAWSFFSRSRASID >DRNTG_29898.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001514.1:10428:12744:1 gene:DRNTG_29898 transcript:DRNTG_29898.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHRESIKDEASNHATGPGSAGPEVDSRRRITGVRRHPSPAWSFFSRSRASIDYAIVWSFTRKTSYNNNITY >DRNTG_29407.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1891608:1893288:-1 gene:DRNTG_29407 transcript:DRNTG_29407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATENKPAAVEEAKMDLFEDDDEFEEFDFDEEWDDKEEGKEGLQQWEDDWDDDDVNDDFSLQLRRELESYAEKK >DRNTG_16392.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16064166:16068251:1 gene:DRNTG_16392 transcript:DRNTG_16392.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHDGNINEDPEPNDVGGDGVEEGADITASVFETKTYETGDTTIRVTTSELPRDDDDFGLVSQIPKLSSNIQMKPVPVKKKQLKRTSGRQPHKAGKVVFRKKDKRKSKVK >DRNTG_16392.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16064166:16068251:1 gene:DRNTG_16392 transcript:DRNTG_16392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDDGDAGVVVGEIPPTMVRKHIKKRALRNKSLSVSFDEKNLKDFVTGFHKRKKKRRKEAQRQLQEKVRLKRIEARKQRKLEREMGLHDGNINEDPEPNDVGGDGVEEGADITASVFETKTYETGDTTIRVTTSELPRDDDDFGLVSQIPKLSSNIQMKPVPVKKKQLKRTSGRQPHKAGKVVFRKKDKRKSKVK >DRNTG_10249.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19914594:19918746:1 gene:DRNTG_10249 transcript:DRNTG_10249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSSSGAGDGATLKRKRVISTTRLSSFSDPPETLADDPPSPATPRPIPGGFNDAATADVLLRLEIEDSSSSSSSMDLHLHSSALRRCRYFATLLSDRWLPESPSPARLTLKVPSGRTFDPHLSALNLLYTLDFPSTLLSVSDALDLLPVALELLFDDLVRACVRFLEAVPWTDDEEDGVVALLPFLRHDESADLRARIHPEGPTSSEEMLHKLIYSAIHSHPKVANVKAFVAKLLRDYPSRDSVRRVLERAFLHRLATVKDLLGEYANPDFRVSGDNDETEAIQRLNLHGAVVNARHLLWLVERMIELRVGDTAVKEWSEQASLASDLQRTFRDDAWQNIAPGLSSLMMRCTCRLANAVAAGSILASRQVRMKLVKDWLPVLNVCRDIVSPMPSGHKMLYQELEETFLRIISTLPMSNAQELLQQCLSFSTRNVDDCPHLVSAFNTWFRRANRPAQDENKNNPS >DRNTG_08645.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2097366:2098056:-1 gene:DRNTG_08645 transcript:DRNTG_08645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSIMEEELLLFIKNLKDFISDDATTDHFVSVKNKVASLTGNTLCKITLGRRCMNEKINGTGLSFGDLCGEIIKLLSHHNIYDHLPIFNWLDVHGVCRRGK >DRNTG_14451.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13481340:13482967:-1 gene:DRNTG_14451 transcript:DRNTG_14451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKRKDSMGEAATNSHLKMEKEPCSIPQASGWTNNAIRIGGAIVHGLAGSGPLILSCVCLFLAYKNREKRSKLLQRSLSLAALHSGKVTLNNILASAEVRHDSSAVNLAFKEFKILLNSDQIHFTKLQKEATKLEMTGQEDKAVHLLTEAYEKAKNKGKSHEAYELEMLLVEMLIYNGHFTEALGKSCLNEKEISDVRRPLYKAIIHILLQNMEQAEEAYNEFLCMQNDFCWIYNIAEESPEHDIGHNFAEFKMVVSNIKKDIKHAQ >DRNTG_14451.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13481340:13482967:-1 gene:DRNTG_14451 transcript:DRNTG_14451.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKRKDSMGEAATNSHLKMEKEPCSIPQASGWTNNAIRIGGAIVHGLAGSGPLILSCVCLFLAYKNREKRSKLLQRSLSLAALHSGKVTLNNILASAEKEATKLEMTGQEDKAVHLLTEAYEKAKNKGKSHEAYELEMLLVEMLIYNVSFSLISICYYFTVIILLFLTS >DRNTG_14263.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:978245:979616:-1 gene:DRNTG_14263 transcript:DRNTG_14263.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative septum site-determining protein minD homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G24020) UniProtKB/Swiss-Prot;Acc:Q9MBA2] MASSLHPYSFPASFHPLPHLSTRSLNPRHSNRTRFTPIPIRSMLQWNRKPELSGETPRVVVITSGKGGVGKTTTTANIGVSLARYGFSVVAVDADAGLRNLDLLLGLESRVVYTAAEALNGDCRLDQALVRDRRWSSLELLCISRPRSKLSLAFGPKALTWVVDALRSRSSGPPDFVLIDCPAGIDAGFVTAIAPAHEAVLVTTPDITALRDADRVTGLLECDGIRDIKMIVNRVRTDLIRGEDMMSVLDVQEMLGLALLGVIPDDAEVIKSTNRGYPLVLNQPPSMAGLAFEQAAWRLAEQDSMKAVMVEEEPKKRGFFSFFGG >DRNTG_06236.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5303590:5306999:1 gene:DRNTG_06236 transcript:DRNTG_06236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWAITIHGGAGVDPNLPMERQEQAKAVLARCLEIGISALRSGAAALDVAEMVVRELETDPIFNSGRGSALTALGTVEMEASIMDGRGRRCGAVSGLSTVKNPVSLARLVMDKSPHSYLAFSGAEDFARSQGVETIDNSYFITDENVGMLKLAKEANSIMFDYRVPIDNNTNNTCGAIAGSIVNNTIDNTTTIQMNGLPISIYAPETVGCAVVDTEGNTAAATSTGGLMNKMSGRIGDSPLIGAGTYACNLCAVSCTGEGEAIIRATLARDVAALMEYKGLGLQEAVDYVINERLDEGKAGLIAVSNAGEVAYGFNTVGMFRGSATQNGFMEVAIWE >DRNTG_09381.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15372992:15374922:-1 gene:DRNTG_09381 transcript:DRNTG_09381.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPIEHRSKYKLASNNSQANRGRD >DRNTG_06261.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:155820:158719:-1 gene:DRNTG_06261 transcript:DRNTG_06261.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:Projected from Arabidopsis thaliana (AT4G12650) UniProtKB/TrEMBL;Acc:A0A178UTX6] MGIFGFPLVLLFVVLVVNPGDGFYLPGSYMHTYSENEPISIKVNSLTSIETEMPFSYYSLPYCQPPEPEGIKKSAENLGELLMGDLIENSPYRFHMYVNESLYLCTTPPLNEHDVKLLKQRTRDLYQVNMILDNLPAMRYTDQNGVKVQWTGYPVGYTPSGSNDDYIINHLKFKVYVHKYDGSGVQIIGTGEDGVGVTTLNEQKAMAGYEIVGLEVVPCSVRRDPETFSKLNMYDKVDPVSCPDPEKAQVIREQEKVSFTYEVEFVNSNIRWPSRWDAYLKMDGARVHWFSIMNSLMVIFFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPTCSKLLCIMVGDGVQITGMAVVTIVFAALGFMSPASRGMLLTGMIILYLFLGIFAGYFGVRLWRTIKGGSEGWTSLCWSIACFFPGVVFAILTILNFILWGSSSTGAIPISLFFILLSLWFCISVPLTLLGGFMGTRAEHIQFPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLVIVCAEVSVVLTYMHLCVEDWRWWWKAFFASGSVALYVFLYSINYLVFDLRSLSGPVSAILYLGYSSIMAFAIMLSTGTIGFLMSFYFVHYLFSSVKID >DRNTG_06261.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:155820:158719:-1 gene:DRNTG_06261 transcript:DRNTG_06261.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:Projected from Arabidopsis thaliana (AT4G12650) UniProtKB/TrEMBL;Acc:A0A178UTX6] MGIFGFPLVLLFVVLVVNPGDGFYLPGSYMHTYSENEPISIKVNSLTSIETEMPFSYYSLPYCQPPEPEGIKKSAENLGELLMGDLIENSPYRFHMYVNESLYLCTTPPLNEHDVKLLKQRTRDLYQVNMILDNLPAMRYTDQNGVKVQWTGYPVGYTPSGSNDDYIINHLKFKVYVHKYDGSGVQIIGTGEDGVGVTTLNEQKAMAGYEIVGLEVVPCSVRRDPETFSKLNMYDKVDPVSCPDPEKAQVIREQEKVSFTYEVEFVNSNIRWPSRWDAYLKMDGARVHWFSIMNSLMVIFFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPTCSKLLCIMVGDGVQITGMAVVTIVFAALGFMSPASRGMLLTGMIILYLFLGIFAGYFGVRLWRTIKGGSEGWTSLCWSIACFFPGVVFAILTILNFILWGSSSTGAIPISLFFILLSLWFCISVPLTLLGGFMGTRAEHIQFPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLVIVCAEVSVVLTYMHLCVEDWRWWWKAFFASGSVALYVFLYSINYLVFDLRSLSGPVSAILYLGYSSIMAFAIMLSTGTIGFLMSFYFVHYLFSSVKID >DRNTG_06261.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:155768:158719:-1 gene:DRNTG_06261 transcript:DRNTG_06261.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:Projected from Arabidopsis thaliana (AT4G12650) UniProtKB/TrEMBL;Acc:A0A178UTX6] MGIFGFPLVLLFVVLVVNPGDGFYLPGSYMHTYSENEPISIKVNSLTSIETEMPFSYYSLPYCQPPEPEGIKKSAENLGELLMGDLIENSPYRFHMYVNESLYLCTTPPLNEHDVKLLKQRTRDLYQVNMILDNLPAMRYTDQNGVKVQWTGYPVGYTPSGSNDDYIINHLKFKVYVHKYDGSGVQIIGTGEDGVGVTTLNEQKAMAGYEIVGLEVVPCSVRRDPETFSKLNMYDKVDPVSCPDPEKAQVIREQEKVSFTYEVEFVNSNIRWPSRWDAYLKMDGARVHWFSIMNSLMVIFFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPTCSKLLCIMVGDGVQITGMAVVTIVFAALGFMSPASRGMLLTGMIILYLFLGIFAGYFGVRLWRTIKGGSEGWTSLCWSIACFFPGVVFAILTILNFILWGSSSTGAIPISLFFILLSLWFCISVPLTLLGGFMGTRAEHIQFPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLVIVCAEVSVVLTYMHLCVEDWRWWWKAFFASGSVALYVFLYSINYLVFDLRSLSGPVSAILYLGYSSIMAFAIMLSTGTIGFLMSFYFVHYLFSSVKID >DRNTG_06261.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:155820:158771:-1 gene:DRNTG_06261 transcript:DRNTG_06261.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:Projected from Arabidopsis thaliana (AT4G12650) UniProtKB/TrEMBL;Acc:A0A178UTX6] MGIFGFPLVLLFVVLVVNPGDGFYLPGSYMHTYSENEPISIKVNSLTSIETEMPFSYYSLPYCQPPEPEGIKKSAENLGELLMGDLIENSPYRFHMYVNESLYLCTTPPLNEHDVKLLKQRTRDLYQVNMILDNLPAMRYTDQNGVKVQWTGYPVGYTPSGSNDDYIINHLKFKVYVHKYDGSGVQIIGTGEDGVGVTTLNEQKAMAGYEIVGLEVVPCSVRRDPETFSKLNMYDKVDPVSCPDPEKAQVIREQEKVSFTYEVEFVNSNIRWPSRWDAYLKMDGARVHWFSIMNSLMVIFFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPTCSKLLCIMVGDGVQITGMAVVTIVFAALGFMSPASRGMLLTGMIILYLFLGIFAGYFGVRLWRTIKGGSEGWTSLCWSIACFFPGVVFAILTILNFILWGSSSTGAIPISLFFILLSLWFCISVPLTLLGGFMGTRAEHIQFPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLVIVCAEVSVVLTYMHLCVEDWRWWWKAFFASGSVALYVFLYSINYLVFDLRSLSGPVSAILYLGYSSIMAFAIMLSTGTIGFLMSFYFVHYLFSSVKID >DRNTG_06261.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:155599:158719:-1 gene:DRNTG_06261 transcript:DRNTG_06261.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:Projected from Arabidopsis thaliana (AT4G12650) UniProtKB/TrEMBL;Acc:A0A178UTX6] MGIFGFPLVLLFVVLVVNPGDGFYLPGSYMHTYSENEPISIKVNSLTSIETEMPFSYYSLPYCQPPEPEGIKKSAENLGELLMGDLIENSPYRFHMYVNESLYLCTTPPLNEHDVKLLKQRTRDLYQVNMILDNLPAMRYTDQNGVKVQWTGYPVGYTPSGSNDDYIINHLKFKVYVHKYDGSGVQIIGTGEDGVGVTTLNEQKAMAGYEIVGLEVVPCSVRRDPETFSKLNMYDKVDPVSCPDPEKAQVIREQEKVSFTYEVEFVNSNIRWPSRWDAYLKMDGARVHWFSIMNSLMVIFFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPTCSKLLCIMVGDGVQITGMAVVTIVFAALGFMSPASRGMLLTGMIILYLFLGIFAGYFGVRLWRTIKGGSEGWTSLCWSIACFFPGVVFAILTILNFILWGSSSTGAIPISLFFILLSLWFCISVPLTLLGGFMGTRAEHIQFPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLVIVCAEVSVVLTYMHLCVEDWRWWWKAFFASGSVALYVFLYSINYLVFDLRSLSGPVSAILYLGYSSIMAFAIMLSTGTIGFLMSFYFVHYLFSSVKID >DRNTG_31839.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18640596:18654128:1 gene:DRNTG_31839 transcript:DRNTG_31839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPRGIKTDTLGFTSINFTRPIHTSKHEDDEPYIEASEAQMVFYVIDQKDDGWCIPIHLKPRDLYDMGMPRTK >DRNTG_26378.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:463543:471661:1 gene:DRNTG_26378 transcript:DRNTG_26378.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPHVSFSSQHGSNPNIARSNKTSVVVGSDDSCAVQTVSGKFETQRWFEKIIPLSQRLLSAFVVEYGMENCDFGTEQGDPLYQYSSSYSPFCTNNFAEDGNKEADFMKAEHKLEINFDRDAFPCNGHARGSKVGCLNVQNHVPIDKVVHENGVNSHSDNRSFVESPQTNLDQLQALSGGFSAMPNGCHYEQMSLDERILMELHSIDLYPETVPELAEGDDDEIGKDIMELKIRLYQQVSKTKRQLHVLEKAIQDAKEIEKKKVEQIAMNKVVDMAYKKLMGGRGAYGSSHKSGASKVSKQLAMAFAMRTLARCKKFEETGQSCFSEPSLHEVISAPPDCSDGKHLEPRNGPITSRVSGSMSNLIERNGLVTKHDRGILDPFQSPTHIPQHTSVKPDLVSSKGKRREVLLDDVVTGAASRATSTLGHTLPGGVKGKRTERERDPNKDAMTRNSSAKTGRQFTNGRGERKTKSKPKQKISQLSTPGHGLLGRVAESMNTVLPSMRDVSEPVKNSSSRVNPGVELPSSGGIPQDLSKELEDNIFTNLPLHGIDSIDDLDVEQGQDIGAWLNVDEDALQGHDLVGLEIPMDDLSEIKLNF >DRNTG_26378.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:463543:471661:1 gene:DRNTG_26378 transcript:DRNTG_26378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMRAELASSSLDGSTFSATYPTGQRGSYSGASLDRSGSFRESLENRIMASGPGASRTSSPTVDVPPPSQHLLLDTISMSEPKYPRTGELRRVLGVSLEEHSFGSVQSKPIPPIALEELKRFKASLLESSLRARDRAKLLNDSIVKLEKYRSLFPRKRQRNETPLVEKPGGPSLLKTGNQVHQSPPDLVVQRSEERAKNIIPNKRARSSIADLRSEGRGNVSLRQGTLLDKEKNMVLDKDKNILRTCNGGSVTSDDKISGFSAGGDPWDKKMKRKRSVGMMVSRTIDADRELKPIIQQRPGSEPHPRPSEGTSFRGGPSNGNMGSAKMDGNLQLNGGNSRVTSRNDMDIGSLLRERKECTVGQEKERIITKGSNKLNIREDSQVGSQNPLTKGKASRAPRSGLLINSSNFPRTMGGNDGWEQTPSLSKVQSLSGPVNRKRPLPTGSASSPVAQWVGQRPQKMSRTRRTNVVSPVSNLDETQTSTDSFSGADVGARLTPTESSGLLLARGVASSGQLIKVKFENIASPAGFSESEESGAMDNKSKDKEIENGDTEDGGMSSGHRVSTLILPTKKNKLSLKEESGDGIRRQGRSGRSSMQSKAGLPLMKEKLEIGETNKPLRSGRPGSDRSESRIGRPPSKKASDRKVHGRPMQIMCSISADLAGELNDDHEELLIAANAARNASYSACSSSFWKKVEPLFSFINIKDIDYVKQQINFVEQLDETSTVLDESHNEMGDPASAEMQLPHVSFSSQHGSNPNIARSNKTSVVVGSDDSCAVQTVSGKFETQRWFEKIIPLSQRLLSAFVVEYGMENCDFGTEQGDPLYQYSSSYSPFCTNNFAEDGNKEADFMKAEHKLEINFDRDAFPCNGHARGSKVGCLNVQNHVPIDKVVHENGVNSHSDNRSFVESPQTNLDQLQALSGGFSAMPNGCHYEQMSLDERILMELHSIDLYPETVPELAEGDDDEIGKDIMELKIRLYQQVSKTKRQLHVLEKAIQDAKEIEKKKVEQIAMNKVVDMAYKKLMGGRGAYGSSHKSGASKVSKQLAMAFAMRTLARCKKFEETGQSCFSEPSLHEVISAPPDCSDGKHLEPRNGPITSRVSGSMSNLIERNGLVTKHDRGILDPFQSPTHIPQHTSVKPDLVSSKGKRREVLLDDVVTGAASRATSTLGHTLPGGVKGKRTERERDPNKDAMTRNSSAKTGRQFTNGRGERKTKSKPKQKISQLSTPGHGLLGRVAESMNTVLPSMRDVSEPVKNSSSRVNPGVELPSSGGIPQDLSKELEDNIFTNLPLHGIDSIDDLDVEQGQDIGAWLNVDEDALQGHDLVGLEIPMDDLSEIKLNF >DRNTG_26378.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:463543:471661:1 gene:DRNTG_26378 transcript:DRNTG_26378.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPASAEMQLPHVSFSSQHGSNPNIARSNKTSVVVGSDDSCAVQTVSGKFETQRWFEKIIPLSQRLLSAFVVEYGMENCDFGTEQGDPLYQYSSSYSPFCTNNFAEDGNKEADFMKAEHKLEINFDRDAFPCNGHARGSKVGCLNVQNHVPIDKVVHENGVNSHSDNRSFVESPQTNLDQLQALSGGFSAMPNGCHYEQMSLDERILMELHSIDLYPETVPELAEGDDDEIGKDIMELKIRLYQQVSKTKRQLHVLEKAIQDAKEIEKKKVEQIAMNKVVDMAYKKLMGGRGAYGSSHKSGASKVSKQLAMAFAMRTLARCKKFEETGQSCFSEPSLHEVISAPPDCSDGKHLEPRNGPITSRVSGSMSNLIERNGLVTKHDRGILDPFQSPTHIPQHTSVKPDLVSSKGKRREVLLDDVVTGAASRATSTLGHTLPGGVKGKRTERERDPNKDAMTRNSSAKTGRQFTNGRGERKTKSKPKQKISQLSTPGHGLLGRVAESMNTVLPSMRDVSEPVKNSSSRVNPGVELPSSGGIPQDLSKELEDNIFTNLPLHGIDSIDDLDVEQGQDIGAWLNVDEDALQGHDLVGLEIPMDDLSEIKLNF >DRNTG_35312.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22783076:22789487:-1 gene:DRNTG_35312 transcript:DRNTG_35312.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLFQSAIVPNAVVRSRSQLSGSGRTRRAAMMMCTVRSHPLTLHRFNGLRVSTPLGSRSQKDFHSVVAASILAPQRKASRGGVVAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPSNIRTQVIRMVGESTEAVGAGVGGGNSGAKMPTLEEYGTNLTKLAEEGKLDPVVGRQQQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVRVPEPTVDETIQILRGLRERYEIHHKLRYTDEALDAAARLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPEEARELDKELRQIAKEKNEAVRGQDFEKAGELRDREMELKAQITALIDKGKEMSKAESEAGDSGPVVTEVDIQHIVSSWTGIPVEKVSTDESDRLLKMEETLHKRVIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFERLKAKDIDLQVTERFRDRVVEEGYNPSYGARPLRRAIMRLLEDSLAERMLAGEIKEGDSAIVDVDSDGNVTVLNGGSGLAAEASPPAVTV >DRNTG_35312.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22783076:22789487:-1 gene:DRNTG_35312 transcript:DRNTG_35312.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLFQSAIVPNAVVRSRSQLSGSGRTRRAAMMMCTVRSHPLTLHRFNGLRVSTPLGSRSQKDFHSVVAASILAPQRKASRGGVVAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPSNIRTQVIRMVGESTEAVGAGVGGGNSGAKMPTLEEYGTNLTKLAEEGKLDPVVGRQQQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVRVPEPTVDETIQILRGLRERYEIHHKLRYTDEALDAAARLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPEEARELDKELRQIAKEKNEAVRGQDFEKAGELRDREMELKAQITALIDKGKEMSKAESEAGDSGPVVTEVDIQHIVSSWTGIPVEKVSTDESDRLLKMEETLHKRVIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFERLKAKDIDLQVTERFRDRVVEEGYNPSYGARPLRRAIMRLLEDSLAERMLAGEIKEGDSAIVDVDSDGNVTVLNGGSGLAAEASPPAVTV >DRNTG_35312.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22783076:22789487:-1 gene:DRNTG_35312 transcript:DRNTG_35312.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLFQSAIVPNAVVRSRSQLSGSGRTRRAAMMMCTVRSHPLTLHRFNGLRVSTPLGSRSQKDFHSVVAASILAPQRKASRGGVVAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPSNIRTQVIRMVGESTEAVGAGVGGGNSGAKMPTLEEYGTNLTKLAEEGKLDPVVGRQQQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVRVPEPTVDETIQILRGLRERYEIHHKLRYTDEALDAAARLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPEEARELDKELRQIAKEKNEAVRGQDFEKAGELRDREMELKAQITALIDKGKEMSKAESEAGDSGPVVTEVDIQHIVSSWTGIPVEKVSTDESDRLLKMEETLHKRVIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFERLKAKDIDLQVTERFRDRVVEEGYNPSYGARPLRRAIMRLLEDSLAERMLAGEIKEGDSAIVDVDSDGNVTVLNGGSGLAAEASPPAVTV >DRNTG_28925.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22077370:22078346:1 gene:DRNTG_28925 transcript:DRNTG_28925.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERVVRQGASGRIPMGFSHLSNEGGVDWE >DRNTG_31885.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4607908:4609210:1 gene:DRNTG_31885 transcript:DRNTG_31885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGCVAVRVGLEEDEGEFKRFLIPISYLNHPLFKDLLDKSQEVYGFDSSGPLTLPCSVDEFLCLRWHIEHESKPSHHRQNHRHHASFSCPTPCLDLSHLYRRLLSKCQGTLSQPVNRRVLSTYSSGNAQQSPKFRRSRSERDASEEKMERAWG >DRNTG_19298.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:589391:590271:-1 gene:DRNTG_19298 transcript:DRNTG_19298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKATKEKSKINNNNSIRRRSTRGLGEAMDLVMGLEGPLMSTLQHLMDIPDEVVDKAINAPTRAYVRDRKAMAATPADVKELPGSYVFEMDMPGVKPGEIKVQVEDENVVVISGERKRGEEEQDVKYLRMERRVGKFMRKFSLPENANTEAISAVCRDGVLTVTVEKLPPPEPKKPKSVEVKVA >DRNTG_15973.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5108926:5109694:1 gene:DRNTG_15973 transcript:DRNTG_15973.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQETAADPILAPSSSPSALSVRTTKPGTKRLLVTLSILLSGLVFLPIFYKSTEIYRSPLPFSSISFLSSHLHSLRPPIPTLLHVLFLRSHPIDSTDSHRISDLITLDLQSRTKSQVSVSISAHSGEGLESLDLSDDEAMDAFLLGMVDASVYTVVVVERAVKGNAKVVVGKHRHAWVVGLVEDSEVARVIAGVFWKYFVNGGREDGEMVGGEEGDALPVGSDGSLVLSFSLLNADPSDGVYDW >DRNTG_23683.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10230206:10232131:-1 gene:DRNTG_23683 transcript:DRNTG_23683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTQILKKNKTTPTCSLSKSTPVFHS >DRNTG_07543.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13077501:13077805:1 gene:DRNTG_07543 transcript:DRNTG_07543.4 gene_biotype:protein_coding transcript_biotype:protein_coding QEKDTQRDEKLQFTCKCSFLEIYNEQILDLLDPSSANLQVIFLVNVLVESSISNSCCCYRVKLQQLT >DRNTG_07543.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13081380:13085238:1 gene:DRNTG_07543 transcript:DRNTG_07543.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRFREERIRRLEAVASGKLAAEAHLLQEKEEILKEIEVLRNQVDRNPEVTRFAMDNLRLKEELRRLQSFVEEGEREMMKEQITVLQDKLLEALDWKLMHEKESVQDLTLTWDSAVNEENELLHLQAIQNRREVEALRKNLNFCLEAKEKLERRVDDLESQLEEKRKTTNVPAEASQEPEITEPNFPGINSLSDDQIELKTMVDAIAMASQREAEAHETAIILAKENEEIRMKLKDLIEDNNKLIELYESTAAGCTGKLAQDTVQVESNEGQKNIREEFIMHDDSSHQNLCSDTRDVGYLENQLREMHEENEKLMVLYENAMQEKDEFKRMFFSNELMNVEPKEEICCPEKLVEMDQGNNDQNLGHAEPEEHTEQVEASEEKLQYVQTKLCEARLKLVTSADAIRASALIENGTVEVDQLLQKCETVTQDLQSKQEELTALKFALSEKQERKAVIENKLLAAKSAMENFSSKSHYWEEREFHARARVEACSKPLATKNEELMRLQMQKEEIDAAYLRARQSESDLRSSIDLQKSRFRDAETQRKETERVLFAIDNLDNSEAQVQRGMHFGKASELLKSEEERIKISSDLKQLREKLLVIQKQVSNFRKTSEALDTEIQSLEAGMKSELILLEEAKLGLEKAAKEKEMPSEMRQEGLGHLGKLLVEYQECIFKSDLKEGEIELCQEEIKQKTTNLEDLRLKRRIAVEKLNEMISEKRFNYQKVEEGLRDVEMSLSEAIIAAAK >DRNTG_07543.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13076133:13085238:1 gene:DRNTG_07543 transcript:DRNTG_07543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRMPAVSEPGSAIRSRLRFQELSSGAESVPMELGGRDSDGDREAPVVAGSSGEGFELQEDPSFLKDNNVQVVIRIRPLSDTEMSLQGNNKCVRQDNCQTITWTGHPESRFTFDLVADENVSQEKLFKVAGVPMVENCMAGYNSCMFAYGQTGSGKTHTMLGDIEGGTRRHSVNCGMTPRVFEYLFSRIQKEKDTQRDEKLQFTCKCSFLEIYNEQILDLLDPSSANLQIREDARKGVYVENLTEFEVSSARDVLQQLVQGAANRKVASTNMNRASSRSHSVFTCVIESKWESQGITHHRFARLNLVDLAGSERQKSSGAEGDRLKEATNINKSLSTLGLVIMNLVSSSKKSLHVPYRDSKLTFLLQDSLGGNSKTTIIANISPSNCCGLETLSTLKFAQRAKFIRNNAIINEDASGDVLTLRLQIQQLKKEVNRLRNLVNDVNENQESESKTACSAGSPGIFKWDGGQGSFSPLTFDKRLSQRKEYEAVLAAAFRRDREKEVTLKAMAAEKQAAEQLATQRTEEVQSLKMRLRFREERIRRLEAVASGKLAAEAHLLQEKEEILKEIEVLRNQVDRNPEVTRFAMDNLRLKEELRRLQSFVEEGEREMMKEQITVLQDKLLEALDWKLMHEKESVQDLTLTWDSAVNEENELLHLQAIQNRREVEALRKNLNFCLEAKEKLERRVDDLESQLEEKRKTTNVPAEASQEPEITEPNFPGINSLSDDQIELKTMVDAIAMASQREAEAHETAIILAKENEEIRMKLKDLIEDNNKLIELYESTAAGCTGKLAQDTVQVESNEGQKNIREEFIMHDDSSHQNLCSDTRDVGYLENQLREMHEENEKLMVLYENAMQEKDEFKRMFFSNELMNVEPKEEICCPEKLVEMDQGNNDQNLGHAEPEEHTEQVEASEEKLQYVQTKLCEARLKLVTSADAIRASALIENGTVEVDQLLQKCETVTQDLQSKQEELTALKFALSEKQERKAVIENKLLAAKSAMENFSSKSHYWEEREFHARARVEACSKPLATKNEELMRLQMQKEEIDAAYLRARQSESDLRSSIDLQKSRFRDAETQRKETERVLFAIDNLDNSEAQVQRGMHFGKASELLKSEEERIKISSDLKQLREKLLVIQKQVSNFRKTSEALDTEIQSLEAGMKSELILLEEAKLGLEKAAKEKEMPSEMRQEGLGHLGKLLVEYQECIFKSDLKEGEIELCQEEIKQKTTNLEDLRLKRRIAVEKLNEMISEKRFNYQKVEEGLRDVEMSLSEAIIAAAK >DRNTG_07543.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13081099:13085238:1 gene:DRNTG_07543 transcript:DRNTG_07543.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKQAAEQLATQRTEEVQSLKMRLRFREERIRRLEAVASGKLAAEAHLLQEKEEILKEIEVLRNQVDRNPEVTRFAMDNLRLKEELRRLQSFVEEGEREMMKEQITVLQDKLLEALDWKLMHEKESVQDLTLTWDSAVNEENELLHLQAIQNRREVEALRKNLNFCLEAKEKLERRVDDLESQLEEKRKTTNVPAEASQEPEITEPNFPGINSLSDDQIELKTMVDAIAMASQREAEAHETAIILAKENEEIRMKLKDLIEDNNKLIELYESTAAGCTGKLAQDTVQVESNEGQKNIREEFIMHDDSSHQNLCSDTRDVGYLENQLREMHEENEKLMVLYENAMQEKDEFKRMFFSNELMNVEPKEEICCPEKLVEMDQGNNDQNLGHAEPEEHTEQVEASEEKLQYVQTKLCEARLKLVTSADAIRASALIENGTVEVDQLLQKCETVTQDLQSKQEELTALKFALSEKQERKAVIENKLLAAKSAMENFSSKSHYWEEREFHARARVEACSKPLATKNEELMRLQMQKEEIDAAYLRARQSESDLRSSIDLQKSRFRDAETQRKETERVLFAIDNLDNSEAQVQRGMHFGKASELLKSEEERIKISSDLKQLREKLLVIQKQVSNFRKTSEALDTEIQSLEAGMKSELILLEEAKLGLEKAAKEKEMPSEMRQEGLGHLGKLLVEYQECIFKSDLKEGEIELCQEEIKQKTTNLEDLRLKRRIAVEKLNEMISEKRFNYQKVEEGLRDVEMSLSEAIIAAAK >DRNTG_13808.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10360200:10361754:-1 gene:DRNTG_13808 transcript:DRNTG_13808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAGDGLHQSAMRGFRRRWKKERKEKG >DRNTG_30186.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3800839:3806360:-1 gene:DRNTG_30186 transcript:DRNTG_30186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFKSTHYSESKGWSTSEAQIAYEMMENKLAILGEEGSQPENINALVDEVFGTTSRYNKGLGYGPKPIEKVSNRQASILS >DRNTG_26752.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8007572:8009989:-1 gene:DRNTG_26752 transcript:DRNTG_26752.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATWRPSAGVSALPFSPPVTKTTSAAAKP >DRNTG_25036.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8754631:8755937:-1 gene:DRNTG_25036 transcript:DRNTG_25036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLYLLLLFIAFAVAAAEGGATVCNPADRAALMSIRDALTEPYLGIFASWTGDNCCNRWYGVSCDPTTGRVADLSLRGESEDPLLTRSGRSGLMSGRISPSVCLLTHLSTLIFADWKQISGPIPSCIPSSLPSLRVLDLVGNRLSGPIPSDIGHLSRLTVLNVADNQISGQIPSSLPSLSSLMHLDLSANKISGSIPQNFGNLRMLSRALLSRNTLSGSIPSSIGSMPRLADLDLSNNQLSGPIPSTLGSVPVLSSLYLDVNHLSGPIPAAMLSSHGLGILNLSRNAISGEIPDVFGDRSYFTALDLSFNDLKGHVPRTLSSAAYVGHLDLSHNHLCGPIPNGSPFDHLEATSFAANDCLCGSPLPACH >DRNTG_26187.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29093797:29096045:1 gene:DRNTG_26187 transcript:DRNTG_26187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKCRSDYKVKKLEICTEKGSESVEWQTFDTFVHQPLLWYKTMLDTPPGRDPAALNLQSMGKWKRISALDFASYGSPNGDYASYSIGSCHSNASKDVVQEVVDPTDSAQKTQLAFPHTSHCLASGDILVSCLSDKDGNAKESGFLLLDSNFNVKGIHHPNFSITAVSGKDWLRIYKAREIGSHRRCGTMDQVNHCVPTKKRWEKPGHIPAFGYDFWYQPLHKTMISSSWDAPAAFSKGFDLHCMGVKIFAL >DRNTG_14577.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3844301:3844898:1 gene:DRNTG_14577 transcript:DRNTG_14577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEPAYRTLTLKVLASFEFYLMHGRFDTMEAIQFRAFDHPFSMRVTKFSIRMGLYDVAYTGIVEYGRLPADFLVSMTPHHVYRILCGHGEYEPGISKATSLSRLSYKDLYAVISRGTPLAFEMIRMMGLVRRCGPMVYIIVTATLETARSGGDTVEGS >DRNTG_11543.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21284458:21285047:-1 gene:DRNTG_11543 transcript:DRNTG_11543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFMRLKKRTLRDPKPLCPLSEATNGG >DRNTG_31848.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001754.1:55957:56673:-1 gene:DRNTG_31848 transcript:DRNTG_31848.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAFQSKSRGPCHSPHPNQASLGSSEASTNSCVSSGKLSTNPLSLSTAPTHAPSSGYRTTTTGQTQ >DRNTG_22160.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3824131:3825954:-1 gene:DRNTG_22160 transcript:DRNTG_22160.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGSKPFLGMLNKLTREITTKQEQCSLYKKEKKKEKKEKLIMKTFFFTS >DRNTG_22160.16.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3824222:3825689:-1 gene:DRNTG_22160 transcript:DRNTG_22160.16 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGSKPFLGMLNKLTREITTKQEQCSLYKKEKKKEKKEKLIMKTFFFTS >DRNTG_22160.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3823984:3825740:-1 gene:DRNTG_22160 transcript:DRNTG_22160.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGSKPFLGMLNKLTREITTKQEQCSLYKKEKKKEKKEKLIMKTFFFTS >DRNTG_22160.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3823984:3825954:-1 gene:DRNTG_22160 transcript:DRNTG_22160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGSKPFLGMLNKLTREITTKQEQCSLYKKEKKKEKKEKLIMKTFFFTS >DRNTG_22160.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3824085:3825954:-1 gene:DRNTG_22160 transcript:DRNTG_22160.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGSKPFLGMLNKLTREITTKQEQCSLYKKEKKKEKKEKLIMKTFFFTS >DRNTG_22160.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3823984:3826122:-1 gene:DRNTG_22160 transcript:DRNTG_22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGSKPFLGMLNKLTREITTKQEQCSLYKKEKKKEKKEKLIMKTFFFTS >DRNTG_22160.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3824131:3825740:-1 gene:DRNTG_22160 transcript:DRNTG_22160.12 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGSKPFLGMLNKLTREITTKQEQCSLYKKEKKKEKKEKLIMKTFFFTS >DRNTG_22160.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3824085:3826122:-1 gene:DRNTG_22160 transcript:DRNTG_22160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGSKPFLGMLNKLTREITTKQEQCSLYKKEKKKEKKEKLIMKTFFFTS >DRNTG_22160.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3824222:3826122:-1 gene:DRNTG_22160 transcript:DRNTG_22160.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGSKPFLGMLNKLTREITTKQEQCSLYKKEKKKEKKEKLIMKTFFFTS >DRNTG_22160.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3824222:3825954:-1 gene:DRNTG_22160 transcript:DRNTG_22160.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGSKPFLGMLNKLTREITTKQEQCSLYKKEKKKEKKEKLIMKTFFFTS >DRNTG_22160.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3824085:3825689:-1 gene:DRNTG_22160 transcript:DRNTG_22160.13 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGSKPFLGMLNKLTREITTKQEQCSLYKKEKKKEKKEKLIMKTFFFTS >DRNTG_22160.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3823984:3825689:-1 gene:DRNTG_22160 transcript:DRNTG_22160.10 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGSKPFLGMLNKLTREITTKQEQCSLYKKEKKKEKKEKLIMKTFFFTS >DRNTG_22160.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3824131:3826122:-1 gene:DRNTG_22160 transcript:DRNTG_22160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGSKPFLGMLNKLTREITTKQEQCSLYKKEKKKEKKEKLIMKTFFFTS >DRNTG_22160.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3824222:3825740:-1 gene:DRNTG_22160 transcript:DRNTG_22160.14 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGSKPFLGMLNKLTREITTKQEQCSLYKKEKKKEKKEKLIMKTFFFTS >DRNTG_22160.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3824222:3825740:-1 gene:DRNTG_22160 transcript:DRNTG_22160.15 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGSKPFLGMLNKLTREITTKQEQCSLYKKEKKKEKKEKLIMKTFFFTS >DRNTG_22160.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3824085:3825740:-1 gene:DRNTG_22160 transcript:DRNTG_22160.11 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGSKPFLGMLNKLTREITTKQEQCSLYKKEKKKEKKEKLIMKTFFFTS >DRNTG_33895.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5637901:5640397:1 gene:DRNTG_33895 transcript:DRNTG_33895.2 gene_biotype:protein_coding transcript_biotype:protein_coding KKLDVLTILQSVPVQVGQTPHLLQYFGALLTKGKLDAFESLELSRLVVNQNKKKSFGELVGRGQA >DRNTG_33895.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5635870:5640397:1 gene:DRNTG_33895 transcript:DRNTG_33895.1 gene_biotype:protein_coding transcript_biotype:protein_coding IASARRSKRERSPVHSFFLSSSSKSLESVPVQVGQTPHLLQYFGALLTKGKLDAFESLELSRLVVNQNKKKSFGELVGRGQA >DRNTG_33895.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5637901:5638943:1 gene:DRNTG_33895 transcript:DRNTG_33895.3 gene_biotype:protein_coding transcript_biotype:protein_coding KKLDVLTILQSVPVQVGQTPHLLQYFGALLTKGKLDAFESLELSRLVVNQNKKKSFGELVGRGQA >DRNTG_10295.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000433.1:1:4134:-1 gene:DRNTG_10295 transcript:DRNTG_10295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSTTLPLRAAFLPVSLITSEPTLPPFYGFRAIVCKVEKLRKHRAVEIFVQASNIGHDIILTESLLSESNNVDLGESEAVTHIVELDEEQTARGDQNLVETETITEVVGGEIGLDETLACKGVQRLNDTEAVADNVELDKDTDTNSDSSKNYEERLINVLFIDFNSNIDNERNAGRDILRNYVQFKRGIQDNVDDCDDADGNRPQPCDIDANGPPRDIVGPSIEIRKVYGYDSEYMESSEPGSFDDTDEGSDADDAQRHKSNRKNYDPSIPLQDLCIGFSYVKNDAVRDAVMGLVLSTATGTGWTTRSGMEGPSSPVVFEYGSPGQTVSTFLWSHFTKSPGAGCMLPSPV >DRNTG_04342.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31140375:31143566:-1 gene:DRNTG_04342 transcript:DRNTG_04342.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGTRTPTWKERENNKRRERRRRAIAAKIYKGLRMYGNYMLPKHCDNNEVLKAVCAEAGWTVDEDGTTYRKGCKPVERMEMVGGSTSPSPCSSYQPTPCASYNLSPCASYNPSPASSSFASPASSSYITNANTNTNAPDGNSLIPWLKNLASASSVTSKHPFIIHVGSRSAPVTPPLSSPTASTPRMKSDWDDSSAQAWGASASSSFMPSSNPSSPGRQIFPDSGWLSGLQIQNGGPSSPTFSLVSSNPFSIFRETIATRGSSRMCTPGQSGTCSPVIGARDVQMFDGASDEFAFGSSSNGNLPVPTVVKPWEGERIHEECGSDDLELTLGTSRTRADAI >DRNTG_04342.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31140452:31143566:-1 gene:DRNTG_04342 transcript:DRNTG_04342.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGTRTPTWKERENNKRRERRRRAIAAKIYKGLRMYGNYMLPKHCDNNEVLKAVCAEAGWTVDEDGTTYRKGCKPVERMEMVGGSTSPSPCSSYQPTPCASYNLSPCASYNPSPASSSFASPASSSYITNANTNTNAPDGNSLIPWLKNLASASSVTSKHPFIIHVGSRSAPVTPPLSSPTASTPRMKSDWDDSSAQAWGASASSSFMPSSNPSSPGRQIFPDSGWLSGLQIQNGGPSSPTFSLVSSNPFSIFRETIATRGSSRMCTPGQSGTCSPVIGARDVQMFDGASDEFAFGSSSNGNLPVPTVVKPWEGERIHEECGSDDLELTLGTSRTRADAI >DRNTG_04342.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31140422:31143652:-1 gene:DRNTG_04342 transcript:DRNTG_04342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGTRTPTWKERENNKRRERRRRAIAAKIYKGLRMYGNYMLPKHCDNNEVLKAVCAEAGWTVDEDGTTYRKGCKPVERMEMVGGSTSPSPCSSYQPTPCASYNLSPCASYNPSPASSSFASPASSSYITNANTNTNAPDGNSLIPWLKNLASASSVTSKHPFIIHVGSRSAPVTPPLSSPTASTPRMKSDWDDSSAQAWGASASSSFMPSSNPSSPGRQIFPDSGWLSGLQIQNGGPSSPTFSLVSSNPFSIFRETIATRGSSRMCTPGQSGTCSPVIGARDVQMFDGASDEFAFGSSSNGNLPVPTVVKPWEGERIHEECGSDDLELTLGTSRTRADAI >DRNTG_06837.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6554003:6564010:-1 gene:DRNTG_06837 transcript:DRNTG_06837.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTLRVCSIFQQLLVYLSILSCSFELEEHPFITVV >DRNTG_06837.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6554003:6564010:-1 gene:DRNTG_06837 transcript:DRNTG_06837.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTLRVCSIFQQLLVYLSILSCSFELEEHPFITVV >DRNTG_06837.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6555407:6564010:-1 gene:DRNTG_06837 transcript:DRNTG_06837.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTLRVCSIFQQLLVYLSILSCSFELEEHPFITVV >DRNTG_06837.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6554003:6564010:-1 gene:DRNTG_06837 transcript:DRNTG_06837.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTLRVCSIFQQLLVYLSILSCSFELEEHPFITVV >DRNTG_33289.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23809352:23812383:1 gene:DRNTG_33289 transcript:DRNTG_33289.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFYHALKSKVLIGVPLNQTDNICHLQYADDLLIFSAGGQEDLQIIKLILYLYEGSSGLAINFSKSCLFSSNYGFQPHLSSAKILSCSRNCLPITYLGVPLSGRRPKRMDWASSLAWFALVSLLGKQITYAWEDA >DRNTG_33289.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23809352:23812383:1 gene:DRNTG_33289 transcript:DRNTG_33289.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIYDFTDFRPISLINSTCKIISKILANRLSEIINLLVDDSQTGFIKSRCIADNIVGAQEVIFNLQKRKCLGYIFKVDFAKAFDTLDWNFLLEVLAARGFGPKWLSWVYSILSTAKTQFIINGTTQGYIRCKRGLRQGDPLSPLLFALASDVLSAMFYHALKSKVLIGVPLNQTDNICHLQYADDLLIFSAGGQEDLQIIKLILYLYEGSSGLAINFSKSCLFSSNYGFQPHLSSAKILSCSRNCLPITYLGVPLSGRRPKRMDWASSLAWFALVSLLGKQITYAWEDA >DRNTG_33289.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23809352:23810100:1 gene:DRNTG_33289 transcript:DRNTG_33289.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLDLTVRVLDLSPKATVEDLTIFFSYCGSIEEIKLNWIGNESKMAYVTFKQPFAHQAALLLNDAVILDKQVQIQPLKHFTSIPIK >DRNTG_33289.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23809352:23812383:1 gene:DRNTG_33289 transcript:DRNTG_33289.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFYHALKSKVLIGVPLNQTDNICHLQYADDLLIFSAGGQEDLQIIKLILYLYEGSSGLAINFSKSCLFSSNYGFQPHLSSAKILSCSRNCLPITYLGVPLSGRRPKRMDWASSLAWFALVSLLGKQITYAWEDA >DRNTG_33289.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23809352:23812383:1 gene:DRNTG_33289 transcript:DRNTG_33289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYHALKSKVLIGVPLNQTDNICHLQYADDLLIFSAGGQEDLQIIKLILYLYEGSSGLAINFSKSCLFSSNYGFQPHLSSAKILSCSRNCLPITYLGVPLSGRRPKRMDWASSLAWFALVSLLGKQITYAWEDA >DRNTG_18130.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:506845:507979:-1 gene:DRNTG_18130 transcript:DRNTG_18130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTSAKERMIEAPSSKRRKVVQKVVVTVRVETKAGKQKSEGPPSDFWSWRKYGQKPIKGSPYPRGYYRCSTSKGCSAKKQVEKCKTDASMLIITYTSNHNHPGPDLLSIQPQTKVNSKCKEEEDDEEEEEEEIVKNEKKSTEENDFFDELEELPICSSLRSSFFDDKSLLQLS >DRNTG_18130.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:506845:507979:-1 gene:DRNTG_18130 transcript:DRNTG_18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTSAKERMIEAPSSKRRKVVQKVVVTVRVETKAGKQKSEGPPSDFWSWRKYGQKPIKGSPYPRSLLFQF >DRNTG_07942.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1106456:1108084:1 gene:DRNTG_07942 transcript:DRNTG_07942.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNGSGGLASVLALGTANPPNVYYQDAFPDFYFRITNNEHKVELKNKFKRICLTPLDAAIKETEDRIHFLEAVDSPDIAITECLMSNYNMFESLQYQNSLRWAQRAHLLWLKDGDRNTTFFHNSVPIRTHFNTISQVSDSSEPLGLLLEISNCA >DRNTG_21540.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1420017:1426138:1 gene:DRNTG_21540 transcript:DRNTG_21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYSLTLQQATGIVCATNGNFIGGKTQEIIVARGKTLDLLRPDDAGKIQTIHSVEVFGVIRSLAQFRLTGSQKDYIVVGTDSGRIVILEYSKDRNCFDKVHQETFGKSGCRRIVPGQYLAVDPKGRAVMIAACEKQKLVYVLNRDTVARLTISSPLEAHKSHTITYSITGVDCGFDNPIFAAIELDYSEADQDPTGKAALYAQKHLTFYELDLGLNHVSRKWTEPIDNGANLLVTVPGGGDGPSGVLVCAENFVIYKNHGHPDVRAVIPRRADLHTDRGVLIVSAAMHRQKSMFFFLLQTEYGDIFKATLEHDGDRVSELKIKYFDTIPVTSAMCVLKTGFLFAASEFGNHALYQFRAIGDGDDVEASSATLMETEEGFQPLFFQPRGLKNLVRIDQIESLMSIMDMRVANLFEEETPQIFTLCGRGPRSSLRILRPGLAISEMAVSQLPGVPNAVWTVKKNVNDEFDAYIVVSFANATLVLSIGETVEEVSDSGFLDTTPSLAVSLLGDDSLMQVHPNGIRHIREDGRINEWKTPGKKTIVKVGSNRLQVVIALSGGELIYFEMDMTGQLMEVEKHEMPGDVACLDIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCMQILSVQSVSSPPESLLLLEVQASVGGEDGADHPASVFLNAGLQNGVLFRTVVDMVTGQLSDTRSRFLGLRAPKLFSAIVRGRRAMLCLSSRPWLGYIHQGHFLLTPLSYETLEYAASFSSDQCAEGVVAVAGDALRVFTIERLGETFNETAIPLRYTPRKFVLQPKRKLLVIVESDHGAFTAEEREAARKECLEAAGMGENGNPNNVDQMENGGGGGDEEENEDTLSDEQYGYPKAESNKWASCIRILDPRTGNTTCLLELQDNEAAFSVCTVNFHDKEHGTLLAVGTAKGLQFWPKRSLTAGFIHIYRFVEEGKSLELLHKTQVEGVPLALCQFQGRLLAGIGSVLRLYDLGKRRLLRKCENKLFPNTIMSIHTYRDRIYVGDIQESFHYCKYRRDENQLYIFADDSVPRWLTASHHIDFDTMAGADKFGNIYFVRLPQDVSDEIEEDPTGGRIKWEQGKLNGAPNKVEEIVQFHVGDLVTCLQKASLIPGGGDCIIYGTVMGSLGALLAFTSREDVDFFSHLEMHMRQEHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQYPTLPPDLQRKIADELDRTPGEILKKLEDVRNKII >DRNTG_30382.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7775214:7779030:-1 gene:DRNTG_30382 transcript:DRNTG_30382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIARWVFFNDFSFLGQLHSDRALGRPVNLETVWSDGVGLTGPATFGVMASRSRTG >DRNTG_22804.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3793976:3809407:1 gene:DRNTG_22804 transcript:DRNTG_22804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTSRNYYSVKDIMRTIATMGANKLNVFHWHITDSHSFPLVLPSEPNLAAAGSYGPDMQYSPEDVKKIVDFAMSYGVRIVPEFDTPGHSLSWAGAYPEIVTCANKFWLPNGLNDWAHRLAAEPGTGQLNPLHPRTYEVLHNVFRDSASLFPDPFFHSGADELTPFCWSSDPSIQSFLSSGHNLSQLLQRFISDTHPFLISLNRTVVYWEDILLDPTIQVPSSLIPPSTTILQTWNNGINNTKQLVGAGYRVIVSSSDFYYLDCGHGDFVGNDSAYDVVSDLKTNGESWCGPFKTWERIYDYNIVEGLKEKEKELVIGGEVVLFSEQADGTVLDGRVWPRTAALAEAMWSGNRDEKGRRRTGMVTDRLMDWRYRMVGRGVRAEPIQPLWCRRNQG >DRNTG_22804.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3793976:3796344:1 gene:DRNTG_22804 transcript:DRNTG_22804.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTSRNYYSVKDIMRTIATMGANKLNVFHWHITDSHSFPLVLPSEPNLAAAGSYGPDMQYSPEDVKKIVDFAMSYGVRIVPEFDTPGHSLSWAGAYPEIVTCANKFWLPNGLNDWAHRLAAEPGTGQLNPLHPRTYEVLHNVFRDSASLFPDPFFHSGADELTPFCWSSDPSIQSFLSSGHNLSQLLQRFISDTHPFLISLNRTVVYWEDILLDPTIQVPSSLIPPSTTILQTWNNGINNTKQLVGAGYRVIVSSSDFYYLDCGHGDFVGNDSAYDVVSDLKTNGESWCGPFKTWERIYDYNIVEGLKEKEKELVIGGEVVLFSEQADGTVLDGRVWPRTAALAEAMWSGNRDEKGRRRTGMVTDRLMDWRYRMVGRGVRAEPIQPLWCRRNHGMCNVNA >DRNTG_30076.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22781135:22783848:1 gene:DRNTG_30076 transcript:DRNTG_30076.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKEREAHVYMAKLAEQAERYDEMVESMKKVAKLDAELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNEHNVKLIKGYCQKVEEELTKICNDILTIIDEHLIPSSSSPESSVFYYKMKGDYYRYLAEFKSEQERKEAADQSLKAYQAASNTANSDLPSTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDLPEEGGEDGFKGEENKAAAEPEK >DRNTG_32295.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001817.1:63865:67549:-1 gene:DRNTG_32295 transcript:DRNTG_32295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLCGRMVATWHRKTSQALAARVWRGFGKLFRVVLRPRFSEVSPRLSWHRFSEVCPRLDEATFDEDEATQQDHRYELQGVLLMDCMFLLSISLLIISCSMERHKGGLGSTMTILTGSNVSVRPPTGKPEGLLLRGGSLLLGAHHHHTKSELERRLKTREATSCVVSLEASPAFIL >DRNTG_06896.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13925653:13927334:1 gene:DRNTG_06896 transcript:DRNTG_06896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCGLGRGRGERRVREREGGGAVEAGGGFVLGVGGDAAEEKKTEWGGVEEEMAGGGDGENIEESGVAGAAGA >DRNTG_20740.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21031978:21032222:1 gene:DRNTG_20740 transcript:DRNTG_20740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSPANFQQFLHNFEDTDLEHPGNVTRTTAK >DRNTG_20740.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21031800:21032222:1 gene:DRNTG_20740 transcript:DRNTG_20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSPANFQQFLHNFEDTDLEHPGNVTRTTAK >DRNTG_02512.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7862981:7871495:1 gene:DRNTG_02512 transcript:DRNTG_02512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTLSQCQAHLLPKPSLSPLPFFRLPNPSSNPSAIFSSLVIPSSSARRRRSIRCSTPHGGDYEHERVVYPRPQEVPWSKDLANSVHLIGIVGAPVQIKHLSSGKVLAWTRLAVRKSATDTTWISLTFWDELAHVAFQHVEKGQQVYVSGRLVSDTVEGDDEKDRQVYYKIVVQQLNFVERNFPPIPLYEPGMNSMISEKSKGS >DRNTG_06923.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1541325:1543434:1 gene:DRNTG_06923 transcript:DRNTG_06923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKITMTSSTLLHLFLFSSLFFSWFPNAKPQGADIEDDFSYIEGSPNGPENWGNIKPEYATCGNGKEQSPVVLSRDYLQFNTTLGPLQRSYKATDAILKNRGYDIMLGWTGDAGSLVINETVYYLKQIHWHSPAEHATFDGTRYELESHLVHESEDQKIAVIGIFHKLGSPDPLLAELEDYIKQISTARDSEVNVGVVDPSIITIQDTIYYRYMGSLTTPPCTEGVVWTITNKFGSVSQSQIDLLKGAVDNSATNNSRPVQPINSRIIWFYVPSKSDA >DRNTG_33883.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15117714:15118410:-1 gene:DRNTG_33883 transcript:DRNTG_33883.1 gene_biotype:protein_coding transcript_biotype:protein_coding EVEARQHQRRRNSSSPTLEQLPSTRTHAQLPSEELKLVNTNVSATPFLLQLFNQDADAAPC >DRNTG_31197.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26928234:26928564:1 gene:DRNTG_31197 transcript:DRNTG_31197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDWGPVIIAVVLFILLSPGLLFQLPARSRVVEFGNMNTSGLSILVHSIIFFAILTILVIAVGVHIHTG >DRNTG_28424.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4408471:4411031:1 gene:DRNTG_28424 transcript:DRNTG_28424.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGSHRAAIFFIEWNSKGDNLLTVGCDNRILVWDTNAWEPKHDITCHSEQLLDVAWGNDTSFATISKENIYVFNVGEPQPIKTFSGYQVSISKYC >DRNTG_28424.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4404772:4405006:1 gene:DRNTG_28424 transcript:DRNTG_28424.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESDMNGSIDLNKLADSNPPIPSIHSSPSLSPSRLIFFPNTDVFGELTTDQFNALILQFLLEKG >DRNTG_28424.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4411102:4413600:1 gene:DRNTG_28424 transcript:DRNTG_28424.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSMKVYSIRWSPTGPGTNNPSKPYCFLASCSEDTTVKIWDPVQGQLLFSFKGHSTPVVGIEFRPDGNYLASASKDMSLLVWHIKDGNIVKSCSFSDATMDDLSWDREGKLIAASSDSKTLALVDVSFLCDM >DRNTG_28424.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4404772:4413600:1 gene:DRNTG_28424 transcript:DRNTG_28424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGSHRAAIFFIEWNSKGDNLLTVGCDNRILVWDTNAWEPKHDITCHSEQLLDVAWGNDTSFATISKENIYVFNVGEPQPIKTFSGYQEEIGGIHWNPTGSLLASYGGANAIKIWTLEQDECLHNLMHSMKVYSIRWSPTGPGTNNPSKPYCFLASCSEDTTVKIWDPVQGQLLFSFKGHSTPVVGIEFRPDGNYLASASKDMSLLVWHIKDGNIVKSCSFSDATMDDLSWDREGKLIAASSDSKTLALVDVSFLCDM >DRNTG_25072.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:617533:630035:1 gene:DRNTG_25072 transcript:DRNTG_25072.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTCAVQVENGKQGENDEPSVGPVYRSILAKDGFPPPDSNMSTSWEVFRYAAEKYPQNKMLGWREFTNEKAGHYLWKTYKEIYEEVLNAGSALQQLGAQRGSRIGIYGVNCPQWVVAMEACNGYSLICVPLYDTLGAGAVDYIIDHAEIEFVFVQDKKVEQLLSSDCNSAKKLKAMVSFTLLTRERSSNAAKNGIKVYSWNEFLHMGKEYPCDPYPPRPLDICTIMYTSGTSGNPKGVILTHENHATCIKGIDLFLEQFEDKMTVDDVYLSFLPLAHVIDRVVEEYFFHKGASVGYYQGDVNALRDDLMELKPTVFAGVPRVFERIHEGVLKALQELRPLRRMIFNILYNHKLFWMRSGYKHKNASPLADFLAFHKVHAQLGGRIRLLISGGAPLSTEIEEFLKVTSCAFFVQAYGLTETCGPSTAGLPDEMTMVGTVGVPSAYVDLRLEAVPEMGYDPLGIPSRGEVCIRGKTVFTGYYKNPELTKEVIRDGWFHTGDIGEMNSDGVLKIIDRKKNIFKLSQGEYVAVEYLEKVYSCASILEDIWVYGDSFQSKLVAVVNPHEGNTRIWAKSTGHAGSFTELCSLDALKKHILNELKTIAEKNKLKGFEYIKGVVLEPVPFSVERDQVTPTMKKKRAQMLKYYQAEIDKVYKNL >DRNTG_25072.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:625846:630035:1 gene:DRNTG_25072 transcript:DRNTG_25072.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFTLLTRERSSNAAKNGIKVYSWNEFLHMGKEYPCDPYPPRPLDICTIMYTSGTSGNPKGVILTHENHATCIKGIDLFLEQFEDKMTVDDVYLSFLPLAHVIDRVVEEYFFHKGASVGYYQGDVNALRDDLMELKPTVFAGVPRVFERIHEGVLKALQELRPLRRMIFNILYNHKLFWMRSGYKHKNASPLADFLAFHKVHAQLGGRIRLLISGGAPLSTEIEEFLKVTSCAFFVQAYGLTETCGPSTAGLPDEMTMVGTVGVPSAYVDLRLEAVPEMGYDPLGIPSRGEVCIRGKTVFTGYYKNPELTKEVIRDGWFHTGDIGEMNSDGVLKIIDRKKNIFKLSQGEYVAVEYLEKVYSCASILEDIWVYGDSFQSKLVAVVNPHEGNTRIWAKSTGHAGSFTELCSLDALKKHILNELKTIAEKNKLKGFEYIKGVVLEPVPFSVERDQVTPTMKKKRAQMLKYYQAEIDKVYKNL >DRNTG_25072.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:616083:621536:1 gene:DRNTG_25072 transcript:DRNTG_25072.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAQTPFKTGDEVEVSSDEDGFRGAWYEACVARFMPKLSRYTIDYSTIVVDAQDCRPLRETVPASHVRPRPPRLRSPRGQRLAIHQPVDAYHNDGWWTGVLSDIQGDKYTVCFPITRETIVFRAPEVRAHLEWINGRWVVPDPKGTTEAMFPVGTQVEVARIEQNIPVAWFPAIIVKTIWNSYFQLEYYAKELQREIVGSQHIRPPPPQTLDIKDFCKFDEVEAFYCNGWWSGVISLIGDGPVYHVKSKHWVEDIKFSRTELRLRYDWVDGRWLRLTRRMKTTELREGMLAEVSSDDEGFRGAWFTATILELIGRNKFLVEYKDLKTDDETEFLKEIVESLHIRPTPPETPVPEKFNLLEEVDAFHNDGWWVGVISKVLGGSKYMVYFRPFKEELEFEQKELRLHYDWIDGRWLRASQALGL >DRNTG_11003.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18827269:18827713:-1 gene:DRNTG_11003 transcript:DRNTG_11003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCSEECRCHQILNDEIKEKCGPETRNPFACSESPCSTPFLFAAGVAAA >DRNTG_08134.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000366.1:36838:51196:1 gene:DRNTG_08134 transcript:DRNTG_08134.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMEELSQLSESMMQAAALLADEDVEEASSKRASTFLNVVVLGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIFIDLHRDPSLSSKSIVLQIDNKSQQVSASALRHSLQDRLSKGAGVNSSGKSRSDEIYLKLRTSTAPPLKLIDLPGLDQRAMDESMVSDYAARNDAILLVILPAAQASEISSSRALKLAKEFDSEGTRTVGVISKIDQASGDQKSLAAVQALLSNQGPRLASDISWVALIGQSVSIASAQAGSVGSDNSLETAWRAESESLKSILTGAPTSKLGRVALVETLAKQIRKRMKVRLPNLLSGLQGKSQMVEDELVRLGEQMVHSSEGTRAIALELCREFEDKFLQHISSGEGGGWKVVASFEGNFPNRIKQLPLDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSRLCVDEVHRVLLDIVSASANATSGLGRYPPFKREVIAIASNALDNFRNEAKKMVVALVDMERAFVPPQHFIRLVQRRMDRQRREEELKNKSSKKGHEAEQAILNRATSPQTGSQQQGGSLKSMKEKPNQNDKDKDSKEGSALQVAGPGGEITAGFLLKRSAKTNGWSRRWFVLNEKSGKLGYTKKQEERHFRGVITLEECNIEEVPDEEATTKSSKESKKANGPDSGKGSSLVFKITSKVPYKTVLKAHSAVILKAENMADKTEWVNKITNVIQPSKGATGKGAPSEVGPSMRQSLSDGSLDTMTRRPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNQLYSSVSGQSTARIEELLQEDQNVKRRRERFQKQSSILSKLTRQLSIHDNRAAAASFSNGATGAESSPRTSGPGEDWRSAFDAAANGPLDHSNSYGDSRSNSNGHSRRYSDPAQNGDANTGPNSGSRRTPNRLPPAPPGSSAHRY >DRNTG_34320.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29338563:29344046:-1 gene:DRNTG_34320 transcript:DRNTG_34320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPPLLPLPSNPNPKTIRRRSLLLSSTAAAATATLPIPLPAVAGDPPSPAITDRVFMDFSLCPSFLRPDRPLAPDLLSACPDSQPLGRIIFGLYGKVVPKTVANFKAMCATSSYRGALVHKILEGEFFAAGRQGRREKGEIRPPPNLVKNSETVDSQSFQLKHWKPGTLSLCLSENDDDDEIRLDPEYHNVEFLVTTGPGPCPRLDDQNIVFGTVLEGLDVVGSIAAIPTYKPSERIRQFQ >DRNTG_04201.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7176074:7179477:1 gene:DRNTG_04201 transcript:DRNTG_04201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKGSLFKGQQKRKTIPPNRHGKPHSSRKGKRYVKSSKFTKDMGADRELTKFINQCNEMKAATAAQKEGGQFNILKVEAEIKKSEDKKQEA >DRNTG_04201.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7176074:7179249:1 gene:DRNTG_04201 transcript:DRNTG_04201.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKGSLFKGQQKRKTIPPNRHGKPHSSRKGKRYVKSSKFTKDMGADRELTKFINQCNEMKAATAAQKEGGQFNILKVEAEIKKSEDKKQEA >DRNTG_04201.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7176074:7179322:1 gene:DRNTG_04201 transcript:DRNTG_04201.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKGSLFKGQQKRKTIPPNRHGKPHSSRKGKRYVKSSKFTKDMGADRELTKFINQCNEMKAATAAQKEGGQFNILKVEAEIKKSEDKKQEA >DRNTG_00631.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22571130:22573075:-1 gene:DRNTG_00631 transcript:DRNTG_00631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNVIFEEIIETVSDKISPQSESPMTVNLEKWRSALVIFREAWASLCSYCGSQSLLPFVIAAAFLAVLILMQVSIIVILTRVPEVRIVTEGNYISGPGSYNLENAEWLERRFNYLKEEMLMLESRMERMRHEYTLLKATLQSIEKLKPKS >DRNTG_16702.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2551729:2555034:1 gene:DRNTG_16702 transcript:DRNTG_16702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTQTQTPVLLHGDLHLWIIEACDLPNMDYFSRASVDALSLLAPIFFLSQKRSGEVTTDTNTDPDPRPRHRHGTIITSDPYVTVSIAGATVARTRVISNSQDPYWDEDFEIPLAHCADFIEFQIKDNDVFGSQLIGTVRIPTSTVASEKVDGWFQVEDSTKKLRKHESALRLKNAIFPRGIESVVPEWDRWRSEEKGVRNAYFLLEQIAE >DRNTG_00911.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21563875:21570350:-1 gene:DRNTG_00911 transcript:DRNTG_00911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPWNLKQICHYPQAKAAPVLLSAENIQ >DRNTG_27432.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28642397:28645272:-1 gene:DRNTG_27432 transcript:DRNTG_27432.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASREVRAEKVRRIFDRFDVNRDGGLDRAEMAALVIAVNPRVKFSDEQISAILDEVFRTYSDFILPHDRGLSFDGLLRTYDDGAGDVDRDFDALGLNLPSSPSPSELPSKEEVAALPPPAPKSSAAWAATPNHGIAFESTWSLIDDLEILIKRLRSKQIKSSTDNNNNNNFDSYSDAGWSREIGGTDLSDRRIHWDDSTPEYATFVRELTALRARADGARAREEAFDGHMAIGRGLYEHQLFRESLNSFKRACELQPTDVRPHFRAGNALYALGKYPEAKEEFLLALEAAEASGNQWAYLLPQIHVNLGISMEGEGMVLGACEHYREAAILFPSHFRALKLLGSALFGVGEYRAAEKALEEAIFLRADYADAHCDLGSVLHAMGEDDRAVQEFQKAIDLKPGHADALYNLGGLFMDAGRYQRASEMYTRVLAVRPNHWRAQLNKAVALLGARESEEAKKALKEAFKMTKRVEVYDAIAHLKMLQKKKKKVTKGAGGDGEEFVIVEPSKFKRVGRKTTLRVDLANALEIRAFQRLTRLSRCDADVLKKEMSETDVPISYSGNGIPEKSIRKAALEVILRRMLKFLKAETFQGAMKAINERVLSVLDVSGSGRVDLGMFFAILAPICSGPTDRRKRVAFDALLWRPNNEGGAQIRRSDALAYLKLLRAAYIPSHSTSDELHGETDNSVVSYAEFLEMFNDPDWGFGILATLAKLEAGDRVRHGRHTCSICRYPIIGSRFKETKNSFSLCNRCYSEGKVPSAFKQEEYKFIEYGTESEALKDKCSCFNLHSKSLENDP >DRNTG_27432.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28642446:28645272:-1 gene:DRNTG_27432 transcript:DRNTG_27432.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASREVRAEKVRRIFDRFDVNRDGGLDRAEMAALVIAVNPRVKFSDEQISAILDEVFRTYSDFILPHDRGLSFDGLLRTYDDGAGDVDRDFDALGLNLPSSPSPSELPSKEEVAALPPPAPKSSAAWAATPNHGIAFESTWSLIDDLEILIKRLRSKQIKSSTDNNNNNNFDSYSDAGWSREIGGTDLSDRRIHWDDSTPEYATFVRELTALRARADGARAREEAFDGHMAIGRGLYEHQLFRESLNSFKRACELQPTDVRPHFRAGNALYALGKYPEAKEEFLLALEAAEASGNQWAYLLPQIHVNLGISMEGEGMVLGACEHYREAAILFPSHFRALKLLGSALFGVGEYRAAEKALEEAIFLRADYADAHCDLGSVLHAMGEDDRAVQEFQKAIDLKPGHADALYNLGGLFMDAGRYQRASEMYTRVLAVRPNHWRAQLNKAVALLGARESEEAKKALKEAFKMTKRVEVYDAIAHLKMLQKKKKKVTKGAGGDGEEFVIVEPSKFKRVGRKTTLRVDLANALEIRAFQRLTRLSRCDADVLKKEMSETDVPISYSGNGIPEKSIRKAALEVILRRMLKFLKAETFQGAMKAINERVLSVLDVSGSGRVDLGMFFAILAPICSGPTDRRKRVAFDALLWRPNNEGGAQIRRSDALAYLKLLRAAYIPSHSTSDELHGETDNSVVSYAEFLEMFNDPDWGFGILATLAKLEAGDRVRHGRHTCSICRYPIIGSRFKETKNSFSLCNRCYSEGKVPSAFKQEEYKFIEYGTESEALKDKCSCFNLHSKSLENDP >DRNTG_27432.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28641719:28645272:-1 gene:DRNTG_27432 transcript:DRNTG_27432.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASREVRAEKVRRIFDRFDVNRDGGLDRAEMAALVIAVNPRVKFSDEQISAILDEVFRTYSDFILPHDRGLSFDGLLRTYDDGAGDVDRDFDALGLNLPSSPSPSELPSKEEVAALPPPAPKSSAAWAATPNHGIAFESTWSLIDDLEILIKRLRSKQIKSSTDNNNNNNFDSYSDAGWSREIGGTDLSDRRIHWDDSTPEYATFVRELTALRARADGARAREEAFDGHMAIGRGLYEHQLFRESLNSFKRACELQPTDVRPHFRAGNALYALGKYPEAKEEFLLALEAAEASGNQWAYLLPQIHVNLGISMEGEGMVLGACEHYREAAILFPSHFRALKLLGSALFGVGEYRAAEKALEEAIFLRADYADAHCDLGSVLHAMGEDDRAVQEFQKAIDLKPGHADALYNLGGLFMDAGRYQRASEMYTRVLAVRPNHWRAQLNKAVALLGARESEEAKKALKEAFKMTKRVEVYDAIAHLKMLQKKKKKVTKGAGGDGEEFVIVEPSKFKRVGRKTTLRVDLANALEIRAFQRLTRLSRCDADVLKKEMSETDVPISYSGNGIPEKSIRKAALEVILRRMLKFLKAETFQGAMKAINERVLSVLDVSGSGRVDLGMFFAILAPICSGPTDRRKRVAFDALLWRPNNEGGAQIRRSDALAYLKLLRAAYIPSHSTSDELHGETDNSVVSYAEFLEMFNDPDWGFGILATLAKLEAGDRVRHGRHTCSICRYPIIGSRFKETKNSFSLCNRCYSEGKVPSAFKQEEYKFIEYGTESEALKDKCSCFNLHSKSLENDP >DRNTG_27432.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28642446:28645544:-1 gene:DRNTG_27432 transcript:DRNTG_27432.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASREVRAEKVRRIFDRFDVNRDGGLDRAEMAALVIAVNPRVKFSDEQISAILDEVFRTYSDFILPHDRGLSFDGLLRTYDDGAGDVDRDFDALGLNLPSSPSPSELPSKEEVAALPPPAPKSSAAWAATPNHGIAFESTWSLIDDLEILIKRLRSKQIKSSTDNNNNNNFDSYSDAGWSREIGGTDLSDRRIHWDDSTPEYATFVRELTALRARADGARAREEAFDGHMAIGRGLYEHQLFRESLNSFKRACELQPTDVRPHFRAGNALYALGKYPEAKEEFLLALEAAEASGNQWAYLLPQIHVNLGISMEGEGMVLGACEHYREAAILFPSHFRALKLLGSALFGVGEYRAAEKALEEAIFLRADYADAHCDLGSVLHAMGEDDRAVQEFQKAIDLKPGHADALYNLGGLFMDAGRYQRASEMYTRVLAVRPNHWRAQLNKAVALLGARESEEAKKALKEAFKMTKRVEVYDAIAHLKMLQKKKKKVTKGAGGDGEEFVIVEPSKFKRVGRKTTLRVDLANALEIRAFQRLTRLSRCDADVLKKEMSETDVPISYSGNGIPEKSIRKAALEVILRRMLKFLKAETFQGAMKAINERVLSVLDVSGSGRVDLGMFFAILAPICSGPTDRRKRVAFDALLWRPNNEGGAQIRRSDALAYLKLLRAAYIPSHSTSDELHGETDNSVVSYAEFLEMFNDPDWGFGILATLAKLEAGDRVRHGRHTCSICRYPIIGSRFKETKNSFSLCNRCYSEGKVPSAFKQEEYKFIEYGTESEALKDKCSCFNLHSKSLENDP >DRNTG_27432.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28642222:28645544:-1 gene:DRNTG_27432 transcript:DRNTG_27432.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASREVRAEKVRRIFDRFDVNRDGGLDRAEMAALVIAVNPRVKFSDEQISAILDEVFRTYSDFILPHDRGLSFDGLLRTYDDGAGDVDRDFDALGLNLPSSPSPSELPSKEEVAALPPPAPKSSAAWAATPNHGIAFESTWSLIDDLEILIKRLRSKQIKSSTDNNNNNNFDSYSDAGWSREIGGTDLSDRRIHWDDSTPEYATFVRELTALRARADGARAREEAFDGHMAIGRGLYEHQLFRESLNSFKRACELQPTDVRPHFRAGNALYALGKYPEAKEEFLLALEAAEASGNQWAYLLPQIHVNLGISMEGEGMVLGACEHYREAAILFPSHFRALKLLGSALFGVGEYRAAEKALEEAIFLRADYADAHCDLGSVLHAMGEDDRAVQEFQKAIDLKPGHADALYNLGGLFMDAGRYQRASEMYTRVLAVRPNHWRAQLNKAVALLGARESEEAKKALKEAFKMTKRVEVYDAIAHLKMLQKKKKKVTKGAGGDGEEFVIVEPSKFKRVGRKTTLRVDLANALEIRAFQRLTRLSRCDADVLKKEMSETDVPISYSGNGIPEKSIRKAALEVILRRMLKFLKAETFQGAMKAINERVLSVLDVSGSGRVDLGMFFAILAPICSGPTDRRKRVAFDALLWRPNNEGGAQIRRSDALAYLKLLRAAYIPSHSTSDELHGETDNSVVSYAEFLEMFNDPDWGFGILATLAKLEAGDRVRHGRHTCSICRYPIIGSRFKETKNSFSLCNRCYSEGKVPSAFKQEEYKFIEYGTESEALKDKCSCFNLHSKSLENDP >DRNTG_27432.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28641719:28645544:-1 gene:DRNTG_27432 transcript:DRNTG_27432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASREVRAEKVRRIFDRFDVNRDGGLDRAEMAALVIAVNPRVKFSDEQISAILDEVFRTYSDFILPHDRGLSFDGLLRTYDDGAGDVDRDFDALGLNLPSSPSPSELPSKEEVAALPPPAPKSSAAWAATPNHGIAFESTWSLIDDLEILIKRLRSKQIKSSTDNNNNNNFDSYSDAGWSREIGGTDLSDRRIHWDDSTPEYATFVRELTALRARADGARAREEAFDGHMAIGRGLYEHQLFRESLNSFKRACELQPTDVRPHFRAGNALYALGKYPEAKEEFLLALEAAEASGNQWAYLLPQIHVNLGISMEGEGMVLGACEHYREAAILFPSHFRALKLLGSALFGVGEYRAAEKALEEAIFLRADYADAHCDLGSVLHAMGEDDRAVQEFQKAIDLKPGHADALYNLGGLFMDAGRYQRASEMYTRVLAVRPNHWRAQLNKAVALLGARESEEAKKALKEAFKMTKRVEVYDAIAHLKMLQKKKKKVTKGAGGDGEEFVIVEPSKFKRVGRKTTLRVDLANALEIRAFQRLTRLSRCDADVLKKEMSETDVPISYSGNGIPEKSIRKAALEVILRRMLKFLKAETFQGAMKAINERVLSVLDVSGSGRVDLGMFFAILAPICSGPTDRRKRVAFDALLWRPNNEGGAQIRRSDALAYLKLLRAAYIPSHSTSDELHGETDNSVVSYAEFLEMFNDPDWGFGILATLAKLEAGDRVRHGRHTCSICRYPIIGSRFKETKNSFSLCNRCYSEGKVPSAFKQEEYKFIEYGTESEALKDKCSCFNLHSKSLENDP >DRNTG_27432.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28642222:28645272:-1 gene:DRNTG_27432 transcript:DRNTG_27432.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASREVRAEKVRRIFDRFDVNRDGGLDRAEMAALVIAVNPRVKFSDEQISAILDEVFRTYSDFILPHDRGLSFDGLLRTYDDGAGDVDRDFDALGLNLPSSPSPSELPSKEEVAALPPPAPKSSAAWAATPNHGIAFESTWSLIDDLEILIKRLRSKQIKSSTDNNNNNNFDSYSDAGWSREIGGTDLSDRRIHWDDSTPEYATFVRELTALRARADGARAREEAFDGHMAIGRGLYEHQLFRESLNSFKRACELQPTDVRPHFRAGNALYALGKYPEAKEEFLLALEAAEASGNQWAYLLPQIHVNLGISMEGEGMVLGACEHYREAAILFPSHFRALKLLGSALFGVGEYRAAEKALEEAIFLRADYADAHCDLGSVLHAMGEDDRAVQEFQKAIDLKPGHADALYNLGGLFMDAGRYQRASEMYTRVLAVRPNHWRAQLNKAVALLGARESEEAKKALKEAFKMTKRVEVYDAIAHLKMLQKKKKKVTKGAGGDGEEFVIVEPSKFKRVGRKTTLRVDLANALEIRAFQRLTRLSRCDADVLKKEMSETDVPISYSGNGIPEKSIRKAALEVILRRMLKFLKAETFQGAMKAINERVLSVLDVSGSGRVDLGMFFAILAPICSGPTDRRKRVAFDALLWRPNNEGGAQIRRSDALAYLKLLRAAYIPSHSTSDELHGETDNSVVSYAEFLEMFNDPDWGFGILATLAKLEAGDRVRHGRHTCSICRYPIIGSRFKETKNSFSLCNRCYSEGKVPSAFKQEEYKFIEYGTESEALKDKCSCFNLHSKSLENDP >DRNTG_00224.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15555512:15558839:-1 gene:DRNTG_00224 transcript:DRNTG_00224.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 11 [Source:Projected from Arabidopsis thaliana (AT1G17840) UniProtKB/Swiss-Prot;Acc:Q8RXN0] MMKHSDDLRNTAGQVIVEIESSKPSGNGLVIGGLSPLSETLWKEKTNTEFIGDVSARLTWKNLTVTVTLGSGDTQTVLQNLTGYAEPGTITALMGPSGSGKSTLLDALSSRLATNAFLSGTILLNGHKTKLSFGTAAYVTQDDNLIGTLTVRETIMYSARLRLPDKMPIEEKRALVEGTIMEMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLSRDGRTVIASIHQPSSEVFELFDQLYLLSGGQTVYFGKASEAYEFFAQAGFPCPSLRNPSDHFLRCINADFDKVKATLKGSIKMRFEKSDDPLDKITTMEAIRRLTDFYVRSQYCYVAKEKVDEISRVKGTVLDSGGSQASFLMQAMTLTKRSFINMSRDFGYYWLRLVIYLLVTVCIGTIYLNVGTSYNSILARGACASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVTAFVVSNTFSAMPFLIMITFLSGTICYFMVRLHPGFIHYLFFVLGLYASVTVVESLMMSIASVVPNFLMGIIIGAGIQGIFMLVSGYFRLPNDIPKPVWRYPMSYISFHFWALQGQYQNDLKGLMFDNQSPDLPKISGEYILEYVFQIDVNRSKWADLSVLFSMIIIYRIIFFIMIKISEDVTPWVRGWIARRRLHQKKTNNSAIDLAQRTPSLRAYVADHGSASSGS >DRNTG_26279.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22960429:22962985:-1 gene:DRNTG_26279 transcript:DRNTG_26279.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNKGISLGPGQERDDADELRMAVKEIICESDKDRLDYEEALVAITVDESLKRYCQRIQWPDFWGGESELLVLSKLCHQPIIVYIPEHEHTGGTRSNSFIPIAEYGLEFSKASKQRKKRMPVKLLYSGNNHYDLLV >DRNTG_26279.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22960429:22963857:-1 gene:DRNTG_26279 transcript:DRNTG_26279.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNKGISLGPGQERDDADELRMAVKEIICESDKDRLDYEEALVAITVDESLKRYCQRIQWPDFWGGESELLVLSKLCHQPIIVYIPEHEHTGGTRSNSFIPIAEYGLEFSKASKQRKKRMPVKLLYSGNNHYDLLV >DRNTG_26279.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22960429:22963857:-1 gene:DRNTG_26279 transcript:DRNTG_26279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRAPSNDVLLRQLRDGTAVFELQPPISHSPTIIPFFARIGPSLGKVSPAMKKVQRYSVQKVTGDGRCMFRALVKGMAHNKGISLGPGQERDDADELRMAVKEIICESDKDRLDYEEALVAITVDESLKRYCQRIQWPDFWGGESELLVLSKLCHQPIIVYIPEHEHTGGTRSNSFIPIAEYGLEFSKASKQRKKRMPVKLLYSGNNHYDLLV >DRNTG_32142.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20799623:20801248:-1 gene:DRNTG_32142 transcript:DRNTG_32142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHDEVSLLTPCNMGNFHLSHRIVLGPLTRSRSYGNTPQPHAILYYSQRATNGGLLISEATGVSNTAQGYPNTPGIWSKEQMEAWKPIVKAVHDKGGVFFCQIWHVGRVSTYDYQPNGEAPISSTDRVIQVPPQTELDVTVESYSPPRRLTTIEIPQVVNDFRLAARNAIEAGFDGVEIHGGHGYLLEQFMKESANDRTDKYGGGLENRCRFPLEVVEAVVDEIGGDRVGMRLTPFEDFLDCYESDPEALGLHMVQSLNKFGILYCHMIEPRMSMAEERRQIPHRLRNMREAFNGTFIAAGGYDRDEGNKCVREGYTDLVSYGRLFLANPDLPRRFQLKAQLNKYDRLTFYTSDPVVGYTDYPFLEDCNESMNQV >DRNTG_32142.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20799623:20801248:-1 gene:DRNTG_32142 transcript:DRNTG_32142.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHHSLMQSSITLKEPQMAAFSSVKPLEFLILHKGMLFLVLFLLAYVRNYPLWPLRYPNTPGIWSKEQMEAWKPIVKAVHDKGGVFFCQIWHVGRVSTYDYQPNGEAPISSTDRVIQVPPQTELDVTVESYSPPRRLTTIEIPQVVNDFRLAARNAIEAGFDGVEIHGGHGYLLEQFMKESANDRTDKYGGGLENRCRFPLEVVEAVVDEIGGDRVGMRLTPFEDFLDCYESDPEALGLHMVQSLNKFGILYCHMIEPRMSMAEERRQIPHRLRNMREAFNGTFIAAGGYDRDEGNKCVREGYTDLVSYGRLFLANPDLPRRFQLKAQLNKYDRLTFYTSDPVVGYTDYPFLEDCNESMNQV >DRNTG_19461.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13303176:13304091:1 gene:DRNTG_19461 transcript:DRNTG_19461.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSFPCPSSSSQGLPRSTKKSPRSPLQICPKSPSLGVHTACIRALWGPHEVLDRLLQTILLLQCLLQYHRCIRSATVILGLYSSSFHPNDILCSL >DRNTG_33120.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20896643:20905482:1 gene:DRNTG_33120 transcript:DRNTG_33120.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGSVCIWDYQSQTMVNSFEVTDLPVRSAKFISRKQWIVAGSDDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWSCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHSKGVNCVEYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIMTGSEDGTVRIWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTVLIKLGREVPVASMDSSGKIIWAKHNEIQTVNIKTVGADFEVTDGERLPLTVKELGSCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSVDGEYAVRESTSRIKIFSKTFQEKKSIQPTFSAERIFGGTLLAMCSNEFICFYDWVECRLIRRIDVNVKNLYWADSGDLLAIASDTSFYILKYNRDVVSSYIESKKSADDQGVDDAFELLHEINERVRTGLWVGDCFIYNNSSSRLNYCVGGEVTTMYHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLGLIEYKTLVMRGDLDRANEILPTIPKEHRNSIAHFLESRGMQEDALEVATDPNYKFDLAIQLGKLDVAKTIAIEVQSESKWKQLGELAMSIGKLKMAEECLSQAMDLSGLLLLYSALGDAEGIHKLSTLAREQGKNNVAFLCLFMLGKLEECLQLLVASDRIPEAALLARSYLPSKVSEIVALWKNDLHKVNPKAAESLADPEEFSNLFEDWQVALAVESKLANERGDYPPAKEYLSFSEKQSSNLVEAFKNMQLDEEEDLHIENGDSAHEMVEDALDDVQEEAVEIDADDSTDGTVLVNGNEGEEEWGMNNAGTPSS >DRNTG_33120.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20896643:20907395:1 gene:DRNTG_33120 transcript:DRNTG_33120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGSVCIWDYQSQTMVNSFEVTDLPVRSAKFISRKQWIVAGSDDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWSCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHSKGVNCVEYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIMTGSEDGTVRIWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTVLIKLGREVPVASMDSSGKIIWAKHNEIQTVNIKTVGADFEVTDGERLPLTVKELGSCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSVDGEYAVRESTSRIKIFSKTFQEKKSIQPTFSAERIFGGTLLAMCSNEFICFYDWVECRLIRRIDVNVKNLYWADSGDLLAIASDTSFYILKYNRDVVSSYIESKKSADDQGVDDAFELLHEINERVRTGLWVGDCFIYNNSSSRLNYCVGGEVTTMYHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLGLIEYKTLVMRGDLDRANEILPTIPKEHRNSIAHFLESRGMQEDALEVATDPNYKFDLAIQLGKLDVAKTIAIEVQSESKWKQLGELAMSIGKLKMAEECLSQAMDLSGLLLLYSALGDAEGIHKLSTLAREQGKNNVAFLCLFMLGKLEECLQLLVASDRIPEAALLARSYLPSKVSEIVALWKNDLHKVNPKAAESLADPEEFSNLFEDWQVALAVESKLANERGDYPPAKEYLSFSEKQSSNLVEAFKNMQLDEEEDLHIENGDSAHEMVEDALDDVQEEAVEIDADDSTDGTVLVNGNEVLTPHN >DRNTG_33120.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20896643:20907395:1 gene:DRNTG_33120 transcript:DRNTG_33120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGSVCIWDYQSQTMVNSFEVTDLPVRSAKFISRKQWIVAGSDDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWSCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHSKGVNCVEYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIMTGSEDGTVRIWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTVLIKLGREVPVASMDSSGKIIWAKHNEIQTVNIKTVGADFEVTDGERLPLTVKELGSCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSVDGEYAVRESTSRIKIFSKTFQEKKSIQPTFSAERIFGGTLLAMCSNEFICFYDWVECRLIRRIDVNVKNLYWADSGDLLAIASDTSFYILKYNRDVVSSYIESKKSADDQGVDDAFELLHEINERVRTGLWVGDCFIYNNSSSRLNYCVGGEVTTMYHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLGLIEYKTLVMRGDLDRANEILPTIPKEHRNSIAHFLESRGMQEDALEVATDPNYKFDLAIQLGKLDVAKTIAIEVQSESKWKQLGELAMSIGKLKMAEECLSQAMDLSGLLLLYSALGDAEGIHKLSTLAREQGKNNVAFLCLFMLGKLEECLQLLVAR >DRNTG_33120.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20896643:20907395:1 gene:DRNTG_33120 transcript:DRNTG_33120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGSVCIWDYQSQTMVNSFEVTDLPVRSAKFISRKQWIVAGSDDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWSCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHSKGVNCVEYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIMTGSEDGTVRIWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTVLIKLGREVPVASMDSSGKIIWAKHNEIQTVNIKTVGADFEVTDGERLPLTVKELGSCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSVDGEYAVRESTSRIKIFSKTFQEKKSIQPTFSAERIFGGTLLAMCSNEFICFYDWVECRLIRRIDVNVKNLYWADSGDLLAIASDTSFYILKYNRDVVSSYIESKKSADDQGVDDAFELLHEINERVRTGLWVGDCFIYNNSSSRLNYCVGGEVTTMYHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLGLIEYKTLVMRGDLDRANEILPTIPKEHRNSIAHFLESRGMQEDALEVATDPNYKFDLAIQLGKLDVAKTIAIEVQSESKWKQLGELAMSIGKLKMAEECLSQAMDLSGLLLLYSALGDAEGIHKLSTLAREQGKNNVAFLCLFMLGKLEECLQLLVASDRIPEAALLARSYLPSKVSEIVALWKNDLHKVNPKAAESLADPEEFSNLFEDWQVALAVESKLANERGDYPPAKEYLSFSEKQSSNLVEAFKNMQLDEEEDLHIENGDSAHEMVEDALDDVQEEAVEIDADDSTDGTVLVNGNEGEEEWVLTPHN >DRNTG_33120.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20896643:20905482:1 gene:DRNTG_33120 transcript:DRNTG_33120.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGSVCIWDYQSQTMVNSFEVTDLPVRSAKFISRKQWIVAGSDDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWSCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHSKGVNCVEYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIMTGSEDGTVRIWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTVLIKLGREVPVASMDSSGKIIWAKHNEIQTVNIKTVGADFEVTDGERLPLTVKELGSCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSVDGEYAVRESTSRIKIFSKTFQEKKSIQPTFSAERIFGGTLLAMCSNEFICFYDWVECRLIRRIDVNVKNLYWADSGDLLAIASDTSFYILKYNRDVVSSYIESKKSADDQGVDDAFELLHEINERVRTGLWVGDCFIYNNSSSRLNYCVGGEVTTMYHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLGLIEYKTLVMRGDLDRANEILPTIPKEHRNSIAHFLESRGMQEDALEVATDPNYKFDLAIQLGKLDVAKTIAIEVQSESKWKQLGELAMSIGKLKMAEECLSQAMDLSGLLLLYSALGDAEGIHKLSTLAREQGKNNVAFLCLFMLGKLEECLQLLVASDRIPEAALLARSYLPSKVSEIVALWKNDLHKVNPKAAESLADPEEFSNLFEDWQVALAVESKLANERGDYPPAKEYLSFSEKQSSNLVEAFKNMQLDEEEDLHIENGDSAHEMVEDALDDVQEEAVEIDADDSTDGTVLVNGNEGEEEWGMNNAGTPSS >DRNTG_33120.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20896643:20907395:1 gene:DRNTG_33120 transcript:DRNTG_33120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGSVCIWDYQSQTMVNSFEVTDLPVRSAKFISRKQWIVAGSDDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWSCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHSKGVNCVEYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIMTGSEDGTVRIWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTVLIKLGREVPVASMDSSGKIIWAKHNEIQTVNIKTVGADFEVTDGERLPLTVKELGSCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSVDGEYAVRESTSRIKIFSKTFQEKKSIQPTFSAERIFGGTLLAMCSNEFICFYDWVECRLIRRIDVNVKNLYWADSGDLLAIASDTSFYILKYNRDVVSSYIESKKSADDQGVDDAFELLHEINERVRTGLWVGDCFIYNNSSSRLNYCVGGEVTTMYHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLGLIEYKTLVMRGDLDRANEILPTIPKEHRNSIAHFLESRGMQEDALEVATDPNYKFDLAIQLGKLDVAKTIAIEVQSESKWKQLGELAMSIGKLKMAEECLSQAMDLSGLLLLYSALGDAEGIHKLSTLAREQGKNNVAFLCLFMLGKLEECLQLLVASDRIPEAALLARSYLPSKVSEIVALWKNDLHKVNPKAAESLADPEEFSNLFEDWQVALAVESKLANERGDYPPAKEYLSFSEKQSSNLVEAFKNMQLDEEEDLHIENGDSAHEMVEDALDDVQEEAVEIDADDSTDGTVLVNGNEGEEEWGMNNAGTPSS >DRNTG_32760.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1721287:1721724:-1 gene:DRNTG_32760 transcript:DRNTG_32760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQTASTTSQTMDSTLDNPTILLITSQTMDTTPSFTIRRTTTEEQQSEQQQTFSVELSILSSDRNRVVSRE >DRNTG_20562.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3569286:3571967:-1 gene:DRNTG_20562 transcript:DRNTG_20562.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMHKPLLLLWLISMMIGAIIITTTTTVAAMLNPIDFLALQEIHSSLEDTPGSNFLLAWDFSSDPCSFPGVLCSPTTNRVVSLSLGDPRAGAPGLTGKLHPSLSLLSELTDLSLVPGRVYGPIPPSLSLLRRLRFLALSENFLSGPIPQSLSSLPSLQTLDLSFNLLSGSIPPPPPSLSSLILSHNRLSGTIPSAIGGNPRLLRLDLKHNHLTGEIPPLPQSLRYLALSSNNLSGEVRNVLPRLRMINYLDLSSNQLSGEIPGEIFGFDSLSVLLLQRNRFSGEVRPEGRVGIGRVDLSYNRLTGVVPEELATVESLYLNSNRFTGAVPMRFVERLMGGSSGLQVLYLQHNFLTGIEISPAAKIPGSAAVCLRYNCMVPPAVESCPGNAGEPRSRSPEQCPEWRG >DRNTG_20562.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3569218:3571967:-1 gene:DRNTG_20562 transcript:DRNTG_20562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMHKPLLLLWLISMMIGAIIITTTTTVAAMLNPIDFLALQEIHSSLEDTPGSNFLLAWDFSSDPCSFPGVLCSPTTNRVVSLSLGDPRAGAPGLTGKLHPSLSLLSELTDLSLVPGRVYGPIPPSLSLLRRLRFLALSENFLSGPIPQSLSSLPSLQTLDLSFNLLSGSIPPPPPSLSSLILSHNRLSGTIPSAIGGNPRLLRLDLKHNHLTGEIPPLPQSLRYLALSSNNLSGEVRNVLPRLRMINYLDLSSNQLSGEIPGEIFGFDSLSVLLLQRNRFSGEVRPEGRVGIGRVDLSYNRLTGVVPEELATVESLYLNSNRFTGAVPMRFVERLMGGSSGLQVLYLQHNFLTGIEISPAAKIPGSAAVCLRYNCMVPPAVESCPGNAGEPRSRSPEQCPEWRG >DRNTG_20562.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3569218:3571841:-1 gene:DRNTG_20562 transcript:DRNTG_20562.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNMHKPLLLLWLISMMIGAIIITTTTTVAAMLNPIDFLALQEIHSSLEDTPGSNFLLAWDFSSDPCSFPGVLCSPTTNRVVSLSLGDPRAGAPGLTGKLHPSLSLLSELTDLSLVPGRVYGPIPPSLSLLRRLRFLALSENFLSGPIPQSLSSLPSLQTLDLSFNLLSGSIPPPPPSLSSLILSHNRLSGTIPSAIGGNPRLLRLDLKHNHLTGEIPPLPQSLRYLALSSNNLSGEVRNVLPRLRMINYLDLSSNQLSGEIPGEIFGFDSLSVLLLQRNRFSGEVRPEGRVGIGRVDLSYNRLTGVVPEELATVESLYLNSNRFTGAVPMRFVERLMGGSSGLQVLYLQHNFLTGIEISPAAKIPGSAAVCLRYNCMVPPAVESCPGNAGEPRSRSPEQCPEWRG >DRNTG_20562.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3569286:3571841:-1 gene:DRNTG_20562 transcript:DRNTG_20562.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNMHKPLLLLWLISMMIGAIIITTTTTVAAMLNPIDFLALQEIHSSLEDTPGSNFLLAWDFSSDPCSFPGVLCSPTTNRVVSLSLGDPRAGAPGLTGKLHPSLSLLSELTDLSLVPGRVYGPIPPSLSLLRRLRFLALSENFLSGPIPQSLSSLPSLQTLDLSFNLLSGSIPPPPPSLSSLILSHNRLSGTIPSAIGGNPRLLRLDLKHNHLTGEIPPLPQSLRYLALSSNNLSGEVRNVLPRLRMINYLDLSSNQLSGEIPGEIFGFDSLSVLLLQRNRFSGEVRPEGRVGIGRVDLSYNRLTGVVPEELATVESLYLNSNRFTGAVPMRFVERLMGGSSGLQVLYLQHNFLTGIEISPAAKIPGSAAVCLRYNCMVPPAVESCPGNAGEPRSRSPEQCPEWRG >DRNTG_08471.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24994104:24995858:1 gene:DRNTG_08471 transcript:DRNTG_08471.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLLHHFKALSYLFFLLFIYHNTAMATLTFKPIKANCATTRYTDNSPFSTNLKTLLSTLKDKSSSSISINETAGKAPATVFGLYFCTGDLSKDNCQACIQTAIKDIIDSCPSSKQAIIWYDYCELRYSDTNFFGLPDTNGFSMINVNENTTSSRPVEVVSQLVKDAPLAQPLMFKSQAFISESLYALAQCSSDLRSKGCSDCLTTIFANIKACCTSSKGWRFLAPSCWIRYEATPFLQNLNGTSTYITQSYCSSNNFSSNGASQGLVRLLSSLTKNAPAMAGFFYTIVREKRNMLYGLALCRGDLQNKRDDCQSCLNDASRSIVEDCPSKAQAIEWYEKCFVKYSNQRFFGMLDTVNRTLCGVEQVSADADCATEKLARSLINDAVKNKKFVSAGKVVISSSLSSYVLVQCSRDLSKGRCMQCLQRGMDKVSCECKLTNGWRYLSGSCTLRYETFPFFDTAIISTVSL >DRNTG_13741.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5544269:5547325:1 gene:DRNTG_13741 transcript:DRNTG_13741.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSHSAVATALSDTATSAAGTAAADEALRRLSDNFATLLHSPDLADAFIIVKGEPDHSIPVHRCVLSARCPFFKGFFSEIPKGEETRIEMKELVTEFEVGVDALNAVLGYVYSGRVGLPPGEPIKCADEDCRHRCCKPAVDFELGVLITSSKFEISELVSLYQRRLCDVLESVVIDDVLRILSVVHMRSHSCMNLFTKCIKTVAHSELETLTLEKALPKYVVEQVMDLRPNPPPSEPQSADSFNNHVKKLYSALDSDDFELVELLLAERRTSLDDSCALHYAVSHCHHKIVKRILDLELANVNHKNSRGYSVLHCAAIRKEPEIIMSILNKGARSSETTPDGRKALQIFKRLTKYVDYKRRGDEVKSISRDQLCIRMLEQAEIKDSVVGEPSVFHPVAGDDLHDMLSYLEDRVNLARSLFPLEAELAMVTAEGGGTMKFFVSTTLDETQEQKLSRKKALWETVALGRRYFPRCSTFLDNLLHDTQLMETGTETRKERFLEILGEFGAALLEDKQENATSALILSSSASARRGKKKAARTRR >DRNTG_19525.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:130299:131144:-1 gene:DRNTG_19525 transcript:DRNTG_19525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRQYTRMVVRNCGYTTQGHWVACPKHWLCGSKNDSKIDENGCLADYNDAAKVFNAGLLSLCDQLNSEFKNATIVYTDMFAIKYDLIANHTQYGFERPLMACCGRGGPPYNYVNRMTCGQPTASPCPLGSRYVSWDGVHHTEAANQLIAAKILSCKYSRPKIMLTSLCKDSN >DRNTG_29297.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11710432:11714483:-1 gene:DRNTG_29297 transcript:DRNTG_29297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHTGRKSYHLESVKATLAAALERKSDNLPGRVEIIHARVEIPHGRVEIIHARVEIPHGRVKDPQARVVARFQPYLKPIQPRFQYSFLHLFPNLREGFGRRLVGELPSRRILYRMRESLDDEWRTLHKTIDMTIEASKSHGGYEDMSWAKKRRKPGHGIDPRLTTSMLP >DRNTG_25986.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1039850:1041531:1 gene:DRNTG_25986 transcript:DRNTG_25986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDLENPLTSSESEPDYVSALFAAESDHMGSPAATLDLSSRRDAVSLIIQAQFACNLDPFISYLAINYIDRFLARQGIPREKPWVARLLAVSCLSLASKMKKSEFSLPDFQREEGFIFDAQTIHRMELLVLGALDWRMRSITPFSFIRFFLSFFSPANPPLLQALKARASHTLIKAQSEIKLLEFKPSVIAASALISAAHELFPIQSPSFRSAIASSHFVNKEMLRECCTVMGGVVEMMMMDGCDPRLEMASSCGTPVTVLGQHCPSSESDLTVGSSSDGRGIKKRRVDGIPAFHLSQTCD >DRNTG_01247.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15692239:15693169:-1 gene:DRNTG_01247 transcript:DRNTG_01247.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGSLDSLQLRRQAQPIPEPALSAIARQALLGLSYLHSLQIAHRDIKPSNLLINAAGEVKIADFGVGKIVRRALDKCVSYVGTCAYMSPERFNPAAQGGAGYDGYAGDIWGLGLTIMELGMGRFPLLPQGERPDWAALMWAICFGETPAIPDSVEASPELRDFVSQCLQKDAAKRWTVAQLLDHPFVSGKDRASPELAVRELLVDLDCDSP >DRNTG_01247.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15692413:15693948:-1 gene:DRNTG_01247 transcript:DRNTG_01247.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRERRLPQLTLPLGLPADGRGSRLHLPPLPPPASSSEHHFSDFDKLEVLGHGNGGIVYKVCHRITAAIYALKVLNAGDPQSRRHAAREVEILRRAADHPSVVRCHGVLPAPSGDVAVLLELMDFGSLDSLQLRRQAQPIPEPALSAIARQALLGLSYLHSLQIAHRDIKPSNLLINAAGEVKIADFGVGKIVRRALDKCVSYVGTCAYMSPERFNPAAQGGAGYDGYAGDIWGLGLTIMELGMGRFPLLPQGERPDWAALMWAICFGETPAIPDSVEASPELRDFVSQCLQKDAAKRWTVAQLLDHPFVSGKDRASPELAVRELLVDLDCDSP >DRNTG_01247.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15692413:15693576:-1 gene:DRNTG_01247 transcript:DRNTG_01247.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRERRLPQLTLPLGLPADGRGSRLHLPPLPPPASSSEHHFSDFDKLEVLGHGNGGIVYKVCHRITAAIYALKVLNAGDPQSRRHAAREVEILRRAADHPSVVRCHGVLPAPSGDVAVLLELMDFGSLDSLQLRRQAQPIPEPALSAIARQALLGLSYLHSLQIAHRDIKPSNLLINAAGEVKIADFGVGKIVRRALDKCVSYVGTCAYMSPERFNPAAQGGAGYDGYAGDIWGLGLTIMELGMGRFPLLPQGERPDWAALMWAICFGETPAIPDSVEASPELRDFVSQCLQKDAAKRWTVAQLLDHPFVSGKDRASPELAVRELLVDLDCDSP >DRNTG_01247.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15692413:15693169:-1 gene:DRNTG_01247 transcript:DRNTG_01247.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGSLDSLQLRRQAQPIPEPALSAIARQALLGLSYLHSLQIAHRDIKPSNLLINAAGEVKIADFGVGKIVRRALDKCVSYVGTCAYMSPERFNPAAQGGAGYDGYAGDIWGLGLTIMELGMGRFPLLPQGERPDWAALMWAICFGETPAIPDSVEASPELRDFVSQCLQKDAAKRWTVAQLLDHPFVSGKDRASPELAVRELLVDLDCDSP >DRNTG_01247.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15692239:15693576:-1 gene:DRNTG_01247 transcript:DRNTG_01247.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRERRLPQLTLPLGLPADGRGSRLHLPPLPPPASSSEHHFSDFDKLEVLGHGNGGIVYKVCHRITAAIYALKVLNAGDPQSRRHAAREVEILRRAADHPSVVRCHGVLPAPSGDVAVLLELMDFGSLDSLQLRRQAQPIPEPALSAIARQALLGLSYLHSLQIAHRDIKPSNLLINAAGEVKIADFGVGKIVRRALDKCVSYVGTCAYMSPERFNPAAQGGAGYDGYAGDIWGLGLTIMELGMGRFPLLPQGERPDWAALMWAICFGETPAIPDSVEASPELRDFVSQCLQKDAAKRWTVAQLLDHPFVSGKDRASPELAVRELLVDLDCDSP >DRNTG_01247.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15692239:15693948:-1 gene:DRNTG_01247 transcript:DRNTG_01247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRERRLPQLTLPLGLPADGRGSRLHLPPLPPPASSSEHHFSDFDKLEVLGHGNGGIVYKVCHRITAAIYALKVLNAGDPQSRRHAAREVEILRRAADHPSVVRCHGVLPAPSGDVAVLLELMDFGSLDSLQLRRQAQPIPEPALSAIARQALLGLSYLHSLQIAHRDIKPSNLLINAAGEVKIADFGVGKIVRRALDKCVSYVGTCAYMSPERFNPAAQGGAGYDGYAGDIWGLGLTIMELGMGRFPLLPQGERPDWAALMWAICFGETPAIPDSVEASPELRDFVSQCLQKDAAKRWTVAQLLDHPFVSGKDRASPELAVRELLVDLDCDSP >DRNTG_33181.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23522311:23523806:1 gene:DRNTG_33181 transcript:DRNTG_33181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMQTRCSAFGQEEHGEAGDEARWEMRPGGMLVQKREGPSPPAPTIRVRVKHGGASHEIYLSSQATFGELKKLLAERTGMHPGDQKIVFKDKERASNAFLDISGVKDGSKMVLLEDPAAQAKRCLEMRRNAKLEKASKSISQISLDVDKLASQVTALEAIVAKGGKVAEHDVLNLIELLMNQLLKLDGIIADGDVKLQRRMQVRRVQKYVEALDVIKIKNSMPTQQPPQQQQRKQKQSALAPVVATTKWEVFDSMFSPSTSAANSSTTARSTNSSSAPTPRFDWELF >DRNTG_18232.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2764770:2765443:1 gene:DRNTG_18232 transcript:DRNTG_18232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAQGLVQFLNAVAELARGATTPSIIPVWSRELLNARTPPRPAFAHHEYDDVPDTNGTIIPLDDMVHRSFFFGPREIRALRARMPHHLRTSSTFEILTACLWRSRTIALKPDPEEEVRIICIVNARGKDGKKLPEGYYGNAFSFPVAVASVKRLCGNPVGYALELVKKAKGEVNGEYLQSVADLMVLRGRPHFTVVRSYLVSDVTRAGFGD >DRNTG_15649.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000778.1:1:49942:1 gene:DRNTG_15649 transcript:DRNTG_15649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNECHWSTRHKPPRAAGLYEVNESTSLAAKIDALTKCVMENRLKHDALARKVDQLILGSSLAIFAGPYITRLIHDMGLIEHTRGMTVVGSIALLEIQTLLSIWIVEKWEVFITLPSGKDHIHGTPSTSHDTETESESNLDARESSPSLAAKFELFRTEVQQQIQSLERGQREIITAQQRIERDLAELLTIVRCSSSSTSFATTCAASSLPPAS >DRNTG_22026.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2516832:2524213:-1 gene:DRNTG_22026 transcript:DRNTG_22026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDSNSSDPLNSVRVRHRKRSTEAPPDATKPNGPQLLVNDRNKYKSMLIRTYSSIWMIGGFVFIVYMGHLYIWAMIVVIQIFMAKELFNLLRKANEDRQLPGFRLLNWHFFFTAMIYTYGRFLSRQLVNTVTSDKLLYQLVSGLIKYQMFICYFLYIAGFVWFILTLKKKMYKYQFSQYAWTHMILLLVFAQSSFTVANIYEGIFWFLLPASLIVINDIAAYFFGFFFGRTPLIKLSPKKTWEGFIGASITTIFSAFALANILGRFQWLTCPRKDLSTGWLYCDPGPMFKPEHYSLTGWVPQGFPWKEVRIMPVQWHALALGLFASIIAPFGGFFASGFKRAFKFKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVMSQSFSVEMILEQILRNLSFEEQHILYMELGKIFHERQLMQ >DRNTG_22532.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3581635:3588669:-1 gene:DRNTG_22532 transcript:DRNTG_22532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSITVSCFSFSFAGRRPRLFSISASPSLSVAFFSSFGISSAAMVTAPVAKKIPHVMEMFGDVRVDNYYWLRDDCRSNPEILAHLKSENEYTDLVMSGVKQFEEKLFAEMRGRIKEDDISVPVQKGSYYYYERTLEGKEYVQHCRRLISSSAPPSVYDVMLTGPEAPAEHVILDENIKAQQHDFYSIRAFKVSPNNKLVAYAEDTKGDEIHNVYVIDIESGALIEKPLTGLTTYLEWAGNDSLIYITMDEILRPDKVWVHKLGSDQANDSCLYHEKDDMFSIDLQLSESKQYLFVGSESKNTRFVFYLDISKQENGLMTLTSRTYGIDTSASHRGNHFFIKRRSDEFFNSELLACPVDNVSETTVLLPHRESVKLQDFQLFNDHLAVYERENGLPKITIYGLPPVGEALGRLQGGRMIDFIDPVYSVEPEESQYSSSILRFSYSSLRTPYSVYDYDMNEGISVLKKIETVLGGFDSSNYVTDRKWAMASDGTQVPISILYRKDLVKLDGSDPLMLYGYGSYEVCIDPSFKASRLSLVDRGIIFAIAHIRGGGEMGRQWYENGKLLKKKNTFTDFISCAEYLVENKYCSKEKLCIDGRSAGGLLMGAVLNMRPDLFKAAVAGVPFVDVVTTMLDPTIPLTTSEWEEWGDPRQEEFYYYMKSYSPVDNIKPQNYPDILVTAGLHDTRVMYSEPAKFVAKLRDLKTDNNLLLFKCELAAGHSSKSGRFEKLQEVAFITTFILNALDMVPLVNS >DRNTG_03032.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000138.1:75534:76913:1 gene:DRNTG_03032 transcript:DRNTG_03032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTLSKCCRGGKKRPAKTLSWDRYESVHKDSGEEPSKTLISSSMDHVTESFESVYKVALKRFELNHDTLGNIQELKHELSDNPQLVSFIEDYLGTSIETLELFSSLEECLDEAHSTVYLAGRAVYLFECGMPISSVFEDLEQLKTQGNPFHLFPQNYVDKLKSLCEVHGSYIQKLSEREKDLDRKLGKVEYWKTVGSCVCFVAVVGLLIGSAVLAIMGAPPVAITILGLAGSAIALLEKEIELLTDKRKSAIEGERDIIIELKKQIYELDDINELVKQLEELVNSVDGYAAFRMEKRNNDEEVKKEVKLTKAMYGIKIKAKRLNKRIEDMKKEVNLRRENLRTAVATILMAVKTDKYECVSCVGSMP >DRNTG_05251.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2428772:2431777:-1 gene:DRNTG_05251 transcript:DRNTG_05251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMDAPEEVKKKKKKKKKKVNLISSDGQRFEVDVDLAEQSPIISTAVQQHDGDDPPTISINVSSEILPKIIDYWETHAQEEPESVRKKNELWDAEFVKMDTPLLAPVIMAANYLEMTELVDITCQRVADLIKGKTIEEMHEILGIENNLTKEEEDELRQQIPWAFQDDVPDEEPNA >DRNTG_22036.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2438120:2440852:1 gene:DRNTG_22036 transcript:DRNTG_22036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCKHLLLQKLIATLLIFINIWLLIRPSTCDFAEDRAECADKLVGLSACLTYVQASGGAMAPKPTPECCSGFNEVVTKSLKCVCVLIKDRNAPGLGFKVDVQRALTLPPKCNVLTNVSDCPRLLDLSSNSPQAKEFLQFANELKNGTRTKSASVNVKGNTVDTPSNDGWKKIMGLDLELRCVLENGFVSLLFFSILISMYSSIFLYFNFQFNNGSW >DRNTG_12293.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:13096931:13099919:1 gene:DRNTG_12293 transcript:DRNTG_12293.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:Projected from Arabidopsis thaliana (AT2G38760) UniProtKB/TrEMBL;Acc:A0A178W040] MIFSVECCFDLVFFCAGWGTDEKAIIEILGRRSAVQRREIREVYARLFPKSLIDSLHSELSGDFRRAVILWVQDPAERDATLAHEALKKKGYRHASIIVEIACASSPDHLIAVRQAYCFLYYSSLEEDIINYYSRHPFLTQLLVRLVSSYRYDGMYVNENLVDTDAAELYNAIKLWQQEPPTGLVNILSTRSFHHLKESFHCYQKTNGKSFYEDVESNKSYDNELKSILITVVCCIESPEKHFAEVVRTSVVSLGTDEDSLTRAIVTRAEIDMKNIKEEYMIRYKTTVNADIIGDTSGDYKNFLLTLVGSAEP >DRNTG_12293.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:13096931:13099919:1 gene:DRNTG_12293 transcript:DRNTG_12293.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:Projected from Arabidopsis thaliana (AT2G38760) UniProtKB/TrEMBL;Acc:A0A178W040] MSTLTVPQPLPTPEEDCHKLYKAFKGWGTDEKAIIEILGRRSAVQRREIREVYARLFPKSLIDSLHSELSGDFRRAVILWVQDPAERDATLAHEALKKKGYRHASIIVEIACASSPDHLIAVRQAYCFLYYSSLEEDIINYYSRHPFLTQLLVRLVSSYRYDGMYVNENLVDTDAAELYNAIKLWQQEPPTGLVNILSTRSFHHLKESFHCYQKTNGKSFYEDVESNKSYDNELKSILITVVCCIESPEKHFAEVVRTSVVSLGTDEDSLTRAIVTRAEIDMKNIKEEYMIRYKTTVNADIIGDTSGDYKNFLLTLVGSAEP >DRNTG_12536.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21125601:21125975:-1 gene:DRNTG_12536 transcript:DRNTG_12536.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRYNLKKLTYEERKAKLVERLNALNAAEPDDEEDDE >DRNTG_13174.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19962491:19967789:1 gene:DRNTG_13174 transcript:DRNTG_13174.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Pollination and drought stress responses, Reguration of potassium uptake by CBL1-CIPK23 comple [Source: Projected from Oryza sativa (Os07g0150700)] MSSRGRVKVGRYELGRTLGEGNFAKVKFARNVETGVNVAIKILNKEKLLRHKMIDQIKREISTMKLIKHPNVIRMHEVMASKTKIYIVLEFVTGGELFDKIAQKGRLKEDEARKYFQQLINAVDYCHSRGVFHRDLKPENLLLDAGGILKVSDFGLSALPQQVREDGLLHTTCGTPNYVAPEVIHNKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLISLYNKISKADFSCPSWFSSSAKKLIKRILDPSPATRMTIAEVIENEWFKKGYRPPVFEAQDVEISDVNAIFDGDANNLVVERREEKPALMNAFELISTSQGLNLGTLFEEQMGLVKRETRFVSRLPATEILAKIEQAAAPLGFTTEKNNYKLKLHGEKIGRKGHLSIATEVFEVGPSLYMVELRKSKGDTLEFHKVYKNMATGLKDIIWQSQSKSKEDADEITES >DRNTG_11767.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:646499:649099:-1 gene:DRNTG_11767 transcript:DRNTG_11767.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGATGTPLWRNGPAHKPILCNACGSRWRTKGTLENYVPQHVRELTDQVELKVSKEKITPLKNNLQRVQKRKLENVIAETEQIVPLHEQNFQKVLEEDTGNRSSSGSATSCSEDHIHAGAPDIIDLRASAQFCGWNVPSRKRSCIHRARSSPLARLQKDLYSIFSAQGSSSTPMASDGDLLYQNETETQSGSIEIGHGVVLIRPPSAKAIEEESEASSFPADNQSYIANEAISQSASHSVSTGCIRIGKSKEHIAELAQEHEKRDKTLYEMLNIKHDRKSPLISIDLNIKENTLGDRSIALKHSRSTPFKRQNNPVLKGSMRTPVGKCRPSGLSICSKTLTNSSDAASRLTHTGDTLTGNKDGESMLSSFQLTVQDIHLSVPANISTSNSEAKNLGNPYKGKTVKKASFEGHGSNSSQPKHRGPNNITANAALFECSNVCL >DRNTG_11767.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:647802:648213:-1 gene:DRNTG_11767 transcript:DRNTG_11767.6 gene_biotype:protein_coding transcript_biotype:protein_coding MCHQEKGHVFIAQGPLLLQGSRRTYILYSVHKVHRAHQWLLMVIFFIKMKLKLKAVQLKLAMVLSSSDLLVQKLSRRSLKPVHFRLIISHTLQMRPFPNQRPIQCLLDVSELERVRSILQNWHKNMKK >DRNTG_11767.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:646499:647643:-1 gene:DRNTG_11767 transcript:DRNTG_11767.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIKHDRKSPLISIDLNIKENTLGDRSIALKHSRSTPFKRQNNPVLKGSMRTPVGKCRPSGLSICSKTLTNSSDAASRLTHTGDTLTGNKDGESMLSSFQLTVQDIHLSVPANISTSNSEAKNLGNPYKGKTVKKASFEGHGSNSSQPKHRGPNNITANAALFECSNVCL >DRNTG_11767.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:646499:647006:-1 gene:DRNTG_11767 transcript:DRNTG_11767.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPVGKCRPSGLSICSKTLTNSSDAASRLTHTGDTLTGNKDGESMLSSFQLTVQDIHLSVPANISTSNSEAKNLGNPYKGKTVKKASFEGHGSNSSQPKHRGPNNITANAALFECSNVCL >DRNTG_11767.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:646499:649891:-1 gene:DRNTG_11767 transcript:DRNTG_11767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKGPCCHCGVTSTPLWRNGPAHKPILCNACGSRWRTKGTLENYVPQHVRELTDQVELKVSKEKITPLKNNLQRVQKRKLENVIAETEQIVPLHEQNFQKVLEEDTGNRSSSGSATSCSEDHIHAGAPDIIDLRASAQFCGWNVPSRKRSCIHRARSSPLARLQKDLYSIFSAQGSSSTPMASDGDLLYQNETETQSGSIEIGHGVVLIRPPSAKAIEEESEASSFPADNQSYIANEAISQSASHSVSTGCIRIGKSKEHIAELAQEHEKRDKTLYEMLNIKHDRKSPLISIDLNIKENTLGDRSIALKHSRSTPFKRQNNPVLKGSMRTPVGKCRPSGLSICSKTLTNSSDAASRLTHTGDTLTGNKDGESMLSSFQLTVQDIHLSVPANISTSNSEAKNLGNPYKGKTVKKASFEGHGSNSSQPKHRGPNNITANAALFECSNVCL >DRNTG_11767.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:646499:648213:-1 gene:DRNTG_11767 transcript:DRNTG_11767.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGDLLYQNETETQSGSIEIGHGVVLIRPPSAKAIEEESEASSFPADNQSYIANEAISQSASHSVSTGCIRIGKSKEHIAELAQEHEKRDKTLYEMLNIKHDRKSPLISIDLNIKENTLGDRSIALKHSRSTPFKRQNNPVLKGSMRTPVGKCRPSGLSICSKTLTNSSDAASRLTHTGDTLTGNKDGESMLSSFQLTVQDIHLSVPANISTSNSEAKNLGNPYKGKTVKKASFEGHGSNSSQPKHRGPNNITANAALFECSNVCL >DRNTG_23659.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20472142:20473154:1 gene:DRNTG_23659 transcript:DRNTG_23659.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFWKATGRDKAVHDKNKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLESDENAPPQEEGWVVCRAFKKRSTIQTRSIADVWESGYAPVNSYLQRQPIRSALCKQESADQIENLNLLNSNQFVQLPQLESPSMPLVKNSSVVPIMSGEK >DRNTG_23659.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20471853:20473154:1 gene:DRNTG_23659 transcript:DRNTG_23659.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMESCVPPGFRFHPTDEELVGYYLKKKIASQKIDLDVIRDIDLYRIEPWDLQGYEEQSEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVHDKNKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLESDENAPPQEEGWVVCRAFKKRSTIQTRSIADVWESGYAPVNSYLQRQPIRSALCKQESADQIENLNLLNSNQFVQLPQLESPSMPLVKNSSVVPIMSGEK >DRNTG_23659.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20470727:20473154:1 gene:DRNTG_23659 transcript:DRNTG_23659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMESCVPPGFRFHPTDEELVGYYLKKKIASQKIDLDVIRDIDLYRIEPWDLQGYEEQSEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVHDKNKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLESDENAPPQEEGWVVCRAFKKRSTIQTRSIADVWESGYAPVNSYLQRQPIRSALCKQESADQIENLNLLNSNQFVQLPQLESPSMPLVKNSSVVPIMSGEK >DRNTG_30059.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001541.1:11906:13578:-1 gene:DRNTG_30059 transcript:DRNTG_30059.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRQSHGHPQGQQHAPVPSLEEFTVNIHGRVEIPH >DRNTG_06384.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16650627:16652236:1 gene:DRNTG_06384 transcript:DRNTG_06384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYVKSSQPREDKSAALPLTSIIIILLIIFFIIIMVVVIVVIVVVAETSKVASSSPSNLMVEVCCSTHIYHILLPVLFSRQVKRNEEKLSSKKR >DRNTG_14104.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20698364:20700193:1 gene:DRNTG_14104 transcript:DRNTG_14104.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ELF4-LIKE 3 [Source:Projected from Arabidopsis thaliana (AT2G06255) UniProtKB/Swiss-Prot;Acc:Q8S8F5] MEGDTLSGLGNGAQLDNKVLQAFQKNFGQVQSILDQNRLLINEINQNHESKVPDNLSRNVGLIRELNNNIRRVVDLYGDLSSSFTKSVEASSEGDSSGTLKSDGKAGQKRNRPG >DRNTG_29901.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23250507:23251327:-1 gene:DRNTG_29901 transcript:DRNTG_29901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGSDWSKNFISTSLCETSSAGVGVEEKEVVEIVGEVEELVALEKEKEKSEEGENLENHTKFLVEETMERENVVA >DRNTG_04666.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30336318:30337865:1 gene:DRNTG_04666 transcript:DRNTG_04666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTLVPIGFGVNLDSIPSTDIGNASPLPAAVSEPMPVKGPQPEQPLRLVSEALSSANSGDDSSGSDFAEKVMRLFQDGNSSKSCHPSQADLPQHTRRSGRLKKPSSKWNEDAGFLPGPPSWVVKAIEQIRREVPEQKRQRLEEASHSVKRSLEFAEPRRGESSNP >DRNTG_05296.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12307307:12314309:-1 gene:DRNTG_05296 transcript:DRNTG_05296.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDGKRFEWDCSFQYGNSEGLGAELFETLVKMAPTKEEELKLKDYSGDISKLGSAERFRRPCWIFLLPSRELMHCYTEPILRQNGL >DRNTG_31869.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7658071:7658937:-1 gene:DRNTG_31869 transcript:DRNTG_31869.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYTGGTFLVGVGHDENKLAILEFIRLLVETMDRHLEKAHFMLTFT >DRNTG_19877.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15450939:15451308:1 gene:DRNTG_19877 transcript:DRNTG_19877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQDDAHETIMAMVGDAVRNLHEVQIVILPIIMNGHFHVVVLDNDKQEYRHYSSCPGYDKDALDMWNLFDNCVDMQFGESATAKYPLVHDMETLPQ >DRNTG_26285.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22940313:22940987:-1 gene:DRNTG_26285 transcript:DRNTG_26285.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSSPTSASTSAVCSSGQPRRRMTDAVDLERCLLQFSEDDGDDSGSQGSHERQPSVGLVWRRLRGNGKGWRLIRRHAWGELIVMCLLSFTVVVLFSKAWLTDHIVEHGSLVRRIGIPLRTNQELLVSPA >DRNTG_26285.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22940313:22940851:-1 gene:DRNTG_26285 transcript:DRNTG_26285.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSSPTSASTSAVCSSGQPRRRMTDAVDLERCLLQFSEDDGDDSGSQGSHERQPSVGLVWRRLRGNGKGWRLIRRHAWGELIVMCLLSFTVVVLFSKAWLTDHIVEHGSLVRRIGIPLRTNQELLVSPA >DRNTG_26285.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22937277:22938569:-1 gene:DRNTG_26285 transcript:DRNTG_26285.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTPREAAVFLKAMGYPSNSNIYIVAGEIYGENSMAALKSDYPNIHTHTSLATPEELEHFEMYQNRLAALDYIVALKSDVFVYTYDGNMAKAVQGHRRFEGFLKTINPDRQNFVKLIDELDAGALTWMEFKDKVNKNHSQRLGGPYLRKAGVLPKLEENFYANPLPGCLCEQRKKNLKVASER >DRNTG_26285.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22937277:22938834:-1 gene:DRNTG_26285 transcript:DRNTG_26285.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPREAAVFLKAMGYPSNSNIYIVAGEIYGENSMAALKSDYPNIHTHTSLATPEELEHFEMYQNRLAALDYIVALKSDVFVYTYDGNMAKAVQGHRRFEGFLKTINPDRQNFVKLIDELDAGALTWMEFKDKVNKNHSQRLGGPYLRKAGVLPKLEENFYANPLPGCLCEQRKKNLKVASER >DRNTG_26285.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22937277:22940851:-1 gene:DRNTG_26285 transcript:DRNTG_26285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGSLLSCVCCLSPWLCCSPRLGSLTTSSSMGALSAVLGSHSEPIKNSLLAPLSAPHDVPVLEIWMKPNNYGYYQCIQRPRNRFRNGTNSDGYLLVHANGGLNQMRMGISDMVAVAKMMNATLVLPSLDHDSFWTDPSDFKDIFDWKHFIDALKDDVEIVESLPPKYARVKPFTMAPVSWSKGSFYRDLHKKLKKHKVIRFTHTDSRLTNNLAVPSVQKLRCRANYNALRYTREIEELGKTLVDRLRNDSGYYIALHLRYEKDMLAFTGCSHNLTAQEAEELRVMRYNVKHWKEKEINSSERRLEGGVPNDTQRSSCVSQGYGLPFKFKHLHSSWGDLW >DRNTG_26285.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22937277:22939831:-1 gene:DRNTG_26285 transcript:DRNTG_26285.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNNYGYYQCIQRPRNRFRNGTNSDGYLLVHANGGLNQMRMGISDMVAVAKMMNATLVLPSLDHDSFWTDPSDFKDIFDWKHFIDALKDDVEIVESLPPKYARVKPFTMAPVSWSKGSFYRDLHKKLKKHKVIRFTHTDSRLTNNLAVPSVQKLRCRANYNALRYTREIEELGKTLVDRLRNDSGYYIALHLRYEKDMLAFTGCSHNLTAQEAEELRVMRYNVKHWKEKEINSSERRLEGGVPNDTQRSSCVSQGYGLPFKFKHLHSSWGDLW >DRNTG_25857.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8861658:8863355:1 gene:DRNTG_25857 transcript:DRNTG_25857.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLSKDPENEVIKPTIDGVLNIMRSCLKAKSLQKMVFTSSAGAVTMQEHLKPVYDEDCWTDVDFCRKKKMTAWMYFVSKTLAEKAAIEFAEQNGLNLVSVIPTLVNGQFIMNSMPPSMLTALALITKNVPHYSILNPIQFVHLDDLCHALIFLFEHPEAKGRYICSSHDITLPDLAKMLRDIYPEYDIPTEFEGIDKIHDLVRFSSKKLLDLGFEYKYSLEDMYKGAIHTCRAKGLIPLATKD >DRNTG_25857.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8858263:8863355:1 gene:DRNTG_25857 transcript:DRNTG_25857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKGTVCVTGAAGYVGSWLVMRLLECGYTVKATVRDPNNKEKVKHLVDLPGAKTRLSLWKADLVDEGSFDEAIDGCIAVFHNATPMDFLSKDPENEVIKPTIDGVLNIMRSCLKAKSLQKMVFTSSAGAVTMQEHLKPVYDEDCWTDVDFCRKKKMTAWMYFVSKTLAEKAAIEFAEQNGLNLVSVIPTLVNGQFIMNSMPPSMLTALALITKNVPHYSILNPIQFVHLDDLCHALIFLFEHPEAKGRYICSSHDITLPDLAKMLRDIYPEYDIPTEFEGIDKIHDLVRFSSKKLLDLGFEYKYSLEDMYKGAIHTCRAKGLIPLATKD >DRNTG_19562.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5421115:5424828:1 gene:DRNTG_19562 transcript:DRNTG_19562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPMGQRSQARLLLHKLFALDLGRQAPTLRGVRGAGVSPPCPHPLENGERGSWELNSRLPNTRGLGVQLGSSPVGDVLYNVIDDMAPSYFRMKHWRELIGAQRDWQTNCKYGKPVRIKGGILSIIFCNLGNDSSYNEYLDQFENMGLYDWTLKNVEFEFLHDPLYIMAENEEQENTGVFYWELRNPLYFRVKEHIDMPFYNN >DRNTG_29943.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21347647:21348066:-1 gene:DRNTG_29943 transcript:DRNTG_29943.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVGRKGRRWRGELPEANSSRQEPNDVIHI >DRNTG_29943.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21347647:21348183:-1 gene:DRNTG_29943 transcript:DRNTG_29943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVGRKGRRWRGELPEANSSRQEPNDVIHI >DRNTG_21484.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2812903:2813906:1 gene:DRNTG_21484 transcript:DRNTG_21484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMRLKDMPTFIRTKDINDIVLNYILKDMERVPKASALIMNTMHELEQEVLTAMSSIITLPTYTIGPLSLLSKQLLPTLGSNLWKEDMSCMEWLAGKKASSVVYVNFGSVAVMSKKQMVEFAWGLANSEHEFLWVVRPDLVRGDNAVLPQEFLTKTQGKRMLTSWCPQEDVLKHEAIGGFLTHGGWNSILESICGGVPMLCWPFFAEQQTNCRHMSCEWGIGMEIDEHVEREEVERLIRELMGGEKGKEMKKKMVELKEIAFRGC >DRNTG_24410.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001301.1:131723:137868:-1 gene:DRNTG_24410 transcript:DRNTG_24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSECFGQALYQGTVAISLSRQEQRQAIGDGFVNILVLTDQQLGFAASASHTYGAPGNPLLSWPPPSLGSETSISMVSSLFSSGSSTMQVGEATAEATNGSRGGHQGNEG >DRNTG_10611.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:79451:79927:1 gene:DRNTG_10611 transcript:DRNTG_10611.1 gene_biotype:protein_coding transcript_biotype:protein_coding CDASVLLDSSGTIISEKRSNPNRNSARGFEVIDKIKSALEQAGGPSWEVPLGRRDSLGASISGSNHNIPAPNDTLPTIITKFKLKGLNLVDLVTLSG >DRNTG_01086.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000064.1:16753:18387:1 gene:DRNTG_01086 transcript:DRNTG_01086.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHSGDPPGGRLWPAFAFAFVAVLVSSNVAQVFADPPYIYASPPPPYVYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYNSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYTSPPPPYHHEPILKVVGRVYCYKCYNWENPKESYKKKLFA >DRNTG_01086.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000064.1:16753:18387:1 gene:DRNTG_01086 transcript:DRNTG_01086.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHSGDPPGGRLWPAFAFAFVAVLVSSNVAQVFADPPYIYASPPPPYVYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYTSPPPPYHHEPILKVVGRVYCYKCYNWENPKESYKKKLFA >DRNTG_01086.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000064.1:16753:18387:1 gene:DRNTG_01086 transcript:DRNTG_01086.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHSGDPPGGRLWPAFAFAFVAVLVSSNVAQVFADPPYIYASPPPPYVYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYTSPPPPYHHEPILKVVGRVYCYKCYNWENPKESYKKKLFA >DRNTG_01086.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000064.1:16753:18387:1 gene:DRNTG_01086 transcript:DRNTG_01086.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHSGDPPGGRLWPAFAFAFVAVLVSSNVAQVFADPPYIYASPPPPYVYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYNSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYTSPPPPYHHEPILKVVGRVYCYKCYNWENPKESYKKKLFA >DRNTG_01086.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000064.1:16753:18387:1 gene:DRNTG_01086 transcript:DRNTG_01086.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHSGDPPGGRLWPAFAFAFVAVLVSSNVAQVFADPPYIYASPPPPYVYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYNSPTSSGEVSATTLLLSLPTSSG >DRNTG_01086.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000064.1:16753:18387:1 gene:DRNTG_01086 transcript:DRNTG_01086.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHSGDPPGGRLWPAFAFAFVAVLVSSNVAQVFADPPYIYASPPPPYVYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYNSPTSSGEVSATTLLLSLPTSSG >DRNTG_01086.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000064.1:16714:18387:1 gene:DRNTG_01086 transcript:DRNTG_01086.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHSGDPPGGRLWPAFAFAFVAVLVSSNVAQVFADPPYIYASPPPPYVYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYNSPTSSGEVSATTLLLSLPTSSGEVSATTLLLSLPTSSG >DRNTG_20010.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3116926:3125893:1 gene:DRNTG_20010 transcript:DRNTG_20010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEKFGHILFASLPDQNRVCPSCSRGTLKFKVSRFGAGYFIGCDQHPSCKHISSTVFPDEDESHASDNPDKSFPPKLLGYCPDSNNKVLLKNGPYGFYVQLGDDTHGYTPKRASVAEVKDVDSITLEFALDLLQYPLTLGKHPEDKHPVILSHSKFGFSVKHRRTIAPVPKSVNPKSITLQTGLKLLSGKNVRRSGRPKRKPNTEESLFD >DRNTG_20010.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3116926:3125893:1 gene:DRNTG_20010 transcript:DRNTG_20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVKKLEELGIGRPSTYASIMKVLQDRNYMTVKNRVLFPEFRGRMVSIFLSHHFAEVADYSFTADMENELDNVSGGVTEWKGLLKDYWSRFSKYCDLATSTDIRQVEKMLEEKFGHILFASLPDQNRVCPSCSRGTLKFKVSRFGAGYFIGCDQHPSCKHISSTVFPDEDESHASDNPDKSFPPKLLGYCPDSNNKVLLKNGPYGFYVQLGDDTHGYTPKRASVAEVKDVDSITLEFALDLLQYPLTLGKHPEDKHPVILSHSKFGFSVKHRRTIAPVPKSVNPKSITLQTGLKLLSGKNVRRSGRPKRKPNTEESLFD >DRNTG_15613.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25678713:25678985:-1 gene:DRNTG_15613 transcript:DRNTG_15613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYLDQEYHRSFQLIEKSNVHSFGVMMLELVTRMKAVDTRRDKMEVSLPKMVVVRIQVGRLHQVVDPVLMRHVEGLASASIKAMAELAF >DRNTG_01673.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23851043:23853524:1 gene:DRNTG_01673 transcript:DRNTG_01673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRWKPMTARSCCTVEGQTVLGTLSRCRPSRSEFSKKVAELPSFRRLSFSDLSSSSSARFNNEDLAHSIGAGLYAFQLSELRAITHDFSSNFLLGEGGFGTVHKGYVDDKMRPGLRAQAVAVKLLDIEGLQGHREWLAEVIFLGKLRHPHLVKLIGYCCEDEERLLVYEFMPRGSLENHLFRRMSVALPWNTRLKIAIGAARGLAFLHGAETPVIYRDFKASNILLDSDFTAKLSDFGLAKMGPEGEDTHVTTRVMGTQGYAAPEYVMTGHLTTRSDVYSFGVVLLELLTGRRSVDKNKPKSEEKLVDWARPYLTSSRKLRFIMDPRLAGQYSVKGAREAASLALQCISPMPKDRPRMPAVVEALESLQHLKDMAVSCGAWPATPISGRTALSAKNKLEAMADTRRRPMPFRLS >DRNTG_09415.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21069915:21075897:-1 gene:DRNTG_09415 transcript:DRNTG_09415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSSEVSLPTLPIFKGEGYERWSVKMKTLFRSLKLWKVVEDGVLTTGTETQQEESQQADARAMYIIQQSVDDAIFDRIQDLATAKEAWELIQKLYHGPSRVVSIRKQTLRQRFEVLQMKESEDIQEYIKRVITIVNQSKSLGYQISNEDVVSKILRSLTPRYDMCVTAIEEARDIAEMSLEELTGSLQAHEARLSRFMDKPEEKAFNVKGEASSSREHDRGAARERGRDCSRGRGRGRFRGRGRGFVPRHPSGEDHGVQKSFKNDKEQHLECS >DRNTG_09415.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21074632:21075897:-1 gene:DRNTG_09415 transcript:DRNTG_09415.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSSEVSLPTLPIFKGEGYERWSVKMKTLFRSLKLWKVVEDGVLTTGTETQQEESQQADARAMYIIQQSVDDAIFDRIQDLATAKEAWELIQKLYHGPSRVVSIRKQTLRQRFEVLQMKESEDIQEYIKRVITIVNQSKSLGYQISNEDVVSKILRSLTPRYDMCVTAIEEARDIAEMSLEELTGSLQAHEARLSRFMDKPEEKAFNVKGEASSSREHDRGAARERGRDCSRGRGRGRFRGRGRGFVPRHPSGEDHGVQKSFKNDKEQHLECS >DRNTG_16936.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:97917:99636:1 gene:DRNTG_16936 transcript:DRNTG_16936.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSAPASSTPTATTGVSSARLPVSSSPPKLSVPSSSLASISSPSLVSFLSSPLLPSPARSSTSKTSSSSSPSTTLARSPSAMTPLFSTLHHRPSPTVSSLWPLRKPHSSAFAASLTLSRSSGSSSVFSTSAPNAGSEKRLQRSKLSPWRLYRERKRRRDLTSSLGDDLLSRFIAVDNDYSDDFLRDIIISFVLAGRDTTAAAITWFFWLISTRPDVKEKIIDEIKSVRDKTKKTMDEAPVFSLDQVKDMVYLHAALAESLRLYPPVPLQTRTSLEDDVLPDGTAVKKGQTVMYSSYAMGRRKEIWGPEWGDFRPERWIEKGEFRAVSPFTYPVFHAGPRMCLGKEMAHIQMKAIAAAVLERFEIEVVDGEKERVKDLGIMLRIKGGLPVRVHPLVY >DRNTG_16936.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:90385:99636:1 gene:DRNTG_16936 transcript:DRNTG_16936.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLFSTLHHRPSPTVSSLWPLRKPHSSAFAASLTLSRSSGSSSVFSTSAPNAGSEKRLQRSKLSPWRLYRERKRRRDLTSSLGDDLLSRFIAVDNDYSDDFLRDIIISFVLAGRDTTAAAITWFFWLISTRPDVKEKIIDEIKSVRDKTKKTMDEAPVFSLDQVKDMVYLHAALAESLRLYPPVPLQTRTSLEDDVLPDGTAVKKGQTVMYSSYAMGRRKEIWGPEWGDFRPERWIEKGEFRAVSPFTYPVFHAGPRMCLGKEMAHIQMKAIAAAVLERFEIEVVDGEKERVKDLGIMLRIKGGLPVRVHPLVY >DRNTG_16936.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:90385:99636:1 gene:DRNTG_16936 transcript:DRNTG_16936.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLFSTLHHRPSPTVSSLWPLRKPHSSAFAASLTLSRSSGSSSVFSTSAPNAGSEKRLQRSKLSPWRLYRERKRRRDLTSSLGDDLLSRFIAVDNDYSDDFLRDIIISFVLAGRDTTAAAITWFFWLISTRPDVKEKIIDEIKSVRDKTKKTMDEAPVFSLDQVKDMVYLHAALAESLRLYPPVPLQTRTSLEDDVLPDGTAVKKGQTVMYSSYAMGRRKEIWGPEWGDFRPERWIEKGEFRAVSPFTYPVFHAGPRMCLGKEMAHIQMKAIAAAVLERFEIEVVDGEKERVKDLGIMLRIKGGLPVRVHPLVY >DRNTG_16936.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:90385:99636:1 gene:DRNTG_16936 transcript:DRNTG_16936.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSAPASSTPTATTGVSSARLPVSSSPPKLSVPSSSLASISSPSLVSFLSSPLLPSPARSSTSKTSSSSSPSTTLARSPSAMTPLFSTLHHRPSPTVSSLWPLRKPHSSAFAASLTLSRSSGSSSVFSTSAPNAGSEKRLQRSKLSPWRLYRERKRRRDLTSSLGDDLLSRFIAVDNDYSDDFLRDIIISFVLAGRDTTAAAITWFFWLISTRPDVKEKIIDEIKSVRDKTKKTMDEAPVFSLDQVKDMVYLHAALAESLRLYPPVPLQTRTSLEDDVLPDGTAVKKGQTVMYSSYAMGRRKEIWGPEWGDFRPERWIEKGEFRAVSPFTYPVFHAGPRMCLGKEMAHIQMKAIAAAVLERFEIEVVDGEKERVKDLGIMLRIKGGLPVRVHPLVY >DRNTG_16936.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:90385:99636:1 gene:DRNTG_16936 transcript:DRNTG_16936.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLFSTLHHRPSPTVSSLWPLRKPHSSAFAASLTLSRSSGSSSVFSTSAPNAGSEKRLQRSKLSPWRLYRERKRRRDLTSSLGDDLLSRFIAVDNDYSDDFLRDIIISFVLAGRDTTAAAITWFFWLISTRPDVKEKIIDEIKSVRDKTKKTMDEAPVFSLDQVKDMVYLHAALAESLRLYPPVPLQTRTSLEDDVLPDGTAVKKGQTVMYSSYAMGRRKEIWGPEWGDFRPERWIEKGEFRAVSPFTYPVFHAGPRMCLGKEMAHIQMKAIAAAVLERFEIEVVDGEKERVKDLGIMLRIKGGLPVRVHPLVY >DRNTG_30635.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1095378:1095618:-1 gene:DRNTG_30635 transcript:DRNTG_30635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKAIGSGASKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYL >DRNTG_11408.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1398062:1400590:-1 gene:DRNTG_11408 transcript:DRNTG_11408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGDEPDEAELHEEQKKEIAKWFLAHAPAGEIQYVAKDVKSLLGDDRVYEMAASEAFPVYNKAHLVALEMLDRSGNVIITKFGEIDESNYLDPRTAQVATVDHVQQVCSNLRPATDEELPSFYIEGFRSFIDVTHQIKGMFDFLILQKE >DRNTG_08763.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18503938:18513162:-1 gene:DRNTG_08763 transcript:DRNTG_08763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIARLSTILRKTTSFFIDSCGLSLATAIAVTSKLNSFSRSLDYDSINLRGKSVLAFFQSYCFSHSQISKIMSKYPRFLMSNPTRTCSSAAFIHANSFNPSDLTKIISSNPNILVSSLNNRIIPSLEFLKTILHTDEEVVAAVKRSTQMLHVDLKAKISPKIKTLQAIGVPLVNIAKLAKTNPSVLMQSTSRFGESVERAVSLGFSPSDANFIRALHSVSATSVANFKRKVEACKKFGLTKDKILSLFKKNPRIMNLSKKNIRRSFGFFVEKLNWKPEVVFSYPVLLMLSLEKRIAPRAYLCETLASKELSLTEKGITPQMFLLTEDDFIRKYLKWWNYVGDVFKLGKNLEYIHAVPGELVGWFRFSIGAGNETVHSKKIV >DRNTG_33169.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23420069:23422222:-1 gene:DRNTG_33169 transcript:DRNTG_33169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRNLLEGNAENQREVAQLELQGTVNTTEIAELGLRVEVDERNQRPKLVNIS >DRNTG_18105.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:385228:386153:1 gene:DRNTG_18105 transcript:DRNTG_18105.1 gene_biotype:protein_coding transcript_biotype:protein_coding NAFSPIDQGRRNQKHQNNSLQLKPGKTFKPSSNFYTHVHKLCSIRASVLYLFYLVCQSYTTTLV >DRNTG_01279.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:10366979:10374350:1 gene:DRNTG_01279 transcript:DRNTG_01279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGHFRPIKFETHSAHIRYVLWGTADATIYHRCLSATDPATQHSILSDTPPVKEVILVRASTRNYLLAIGVSGARHSKTTQDVLVNDLVSNNESQDEVQDQQSASQVGEQDQQIVTECSKEQVARHLPRGRKLLTSEWSRGKTIGSHDNVNNSPDNLGENSNNPGDGEGNVRYVFDFGKVSGFESDYISSSDPGSYDDTNEGLEAEDVQRHRSTRKIYDPNVGLEDFSLDLRFKDLQAFQKELVEFSSNKGFEFNGKKSFTVKHYVPHHSCLLGYTKNRRVTAPVIAKRIPCQHAMASIAFCGADSMEYVSHWFRKDTYLKAYESLVNPVKGREFRPSSVDEPMLPPMVKRMPGRPIKKRRREPLECKNKSKSKSTIKLTRAGRVFKYRICSGEGHNKLTCPRRNQAIKTTTAQKGKKKVNADHVDTANADNANASTTPKEQSTSTTTTNKKEENITFINCSAH >DRNTG_20649.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20408077:20412426:1 gene:DRNTG_20649 transcript:DRNTG_20649.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPGSPGGSGGDGSPKSGVREQDRYLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIEPLKLYLQKYREMEGDSKATAKGSDGSVRKEAGLLHGGTQPGSSSQGMAQHVSFTQGMNYMHPQFHNGDLSG >DRNTG_20649.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20409305:20412426:1 gene:DRNTG_20649 transcript:DRNTG_20649.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHVSFTQGMNYMHPQFHNGDLSG >DRNTG_20649.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20408077:20412426:1 gene:DRNTG_20649 transcript:DRNTG_20649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPGSPGGSGGDGSPKSGVREQDRYLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIEPLKLYLQKYREVVGDSKATAKGSDGSVRKEAGLLHGGTQPGSSSQGMAQHVSFTQGMNYMHPQFHNGDLSG >DRNTG_06818.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:15583448:15589723:1 gene:DRNTG_06818 transcript:DRNTG_06818.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTGSIRKGSESIGSGSAISNSVHRIAEDDIKAADIDAIKRRKDSMGTGITAVGGSGQKGIENMQTWNASINLLQRRPEIMGLDAAVSSSVKRRIESTWTGSTNSGLFQDRIESINARNSISGSLEKKTEIRPAGPAVSYVQKRVDSKVAAVAITSSGRNRTQSMAGSMALEKERGTVNKMVQNFVCAEERNKNGAGKMVDLDAEKRIEVEERATGNKMLPNLIGAEDRKINGMGKQVESVMEKSSEAKDKAKDREPERHKEKIREEKRSKGKDKDKRKEKKKETEKMRVKVEHKHRDKLKDDGKKDLVDNFSCKPVVPCVDTVKNTVPDVTIKKRKDFEMNGTLHEYDVGPHKLLRPPSSSEFPIENGRTMELNHSVTTNSFIRHGASKSVSVKAERALDVMDRKANGIKEAVTSEAEMKPVSVVATTTNGKVSAKSSHPDVKFLPQVYSVPKMDELPEFDDPEWLFSDNTRPKPRPKPEVGEIPQVWAEALQIKSGDVLALPYVIPY >DRNTG_06818.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:15583448:15589723:1 gene:DRNTG_06818 transcript:DRNTG_06818.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTGSIRKGSESIGSGSAISNSVHRIAEDDIKAADIDAIKRRKDSMGTGITAVGGSGQKGIENMQTWNASINLLQRRPEIMGLDAAVSSSVKRRIESTWTGSTNSGLFQDRIESINARNSISGSLEKKTEIRPAGPAVSYVQKRVDSKVAAVAITSSGRNRTQSMAGSMALEKERGTVNKMVQNFVCAEERNKNGAGKMVDLDAEKRIEVEERATGNKMLPNLIGAEDRKINGMGKQVESVMEKSSEAKDKAKDREPERHKEKIREEKRSKGKDKDKRKEKKKETEKMRVKVEHKHRDKLKDDGKKDLVDNFSCKPVVPCVDTVKNTVPDVTIKKRKDFEMNGTLHEYDVGPHKLLRPPSSSEFPIENGRTMELNHSVTTNSFIRHGASKSVSVKAERALDVMDRKANGIKEAVTSEAEMKPVSVVATTTNGKVSAKSSHPDVKFLPQVYSVPKMDELPEFDDPEWLFSDNTRPKPRPKPEVGEIPQVWAEALQIKSGDVLALPYVIPY >DRNTG_06818.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:15581515:15589723:1 gene:DRNTG_06818 transcript:DRNTG_06818.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYENKLGVHEELLGKEKLKEKKQKKDKKDKEKKEGKKDKKEKDKSKDKHKEKKSRKEKHKDKKKEKDRDKSKNRISDEGRIENQASVRNEEKLGGESWNAEEVKDSKYIEEFGRRIRDEGKGAANRMAETTGSIRKGSESIGSGSAISNSVHRIAEDDIKAADIDAIKRRKDSMGTGITAVGGSGQKGIENMQTWNASINLLQRRPEIMGLDAAVSSSVKRRIESTWTGSTNSGLFQDRIESINARNSISGSLEKKTEIRPAGPAVSYVQKRVDSKVAAVAITSSGRNRTQSMAGSMALEKERGTVNKMVQNFVCAEERNKNGAGKMVDLDAEKRIEVEERATGNKMLPNLIGAEDRKINGMGKQVESVMEKSSEAKDKAKDREPERHKEKIREEKRSKGKDKDKRKEKKKETEKMRVKVEHKHRDKLKDDGKKDLVDNFSCKPVVPCVDTVKNTVPDVTIKKRKDFEMNGTLHEYDVGPHKLLRPPSSSEFPIENGRTMELNHSVTTNSFIRHGASKSVSVKAERALDVMDRKANGIKEAVTSEAEMKPVSVVATTTNGKVSAKSSHPDVKFLPQVYSVPKMDELPEFDDPEWLFSDNTRPKPRPKPEVGEIPQVWAEALQIKSGDVLALPYVIPY >DRNTG_06818.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:15583448:15589723:1 gene:DRNTG_06818 transcript:DRNTG_06818.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTGSIRKGSESIGSGSAISNSVHRIAEDDIKAADIDAIKRRKDSMGTGITAVGGSGQKGIENMQTWNASINLLQRRPEIMGLDAAVSSSVKRRIESTWTGSTNSGLFQDRIESINARNSISGSLEKKTEIRPAGPAVSYVQKRVDSKVAAVAITSSGRNRTQSMAGSMALEKERGTVNKMVQNFVCAEERNKNGAGKMVDLDAEKRIEVEERATGNKMLPNLIGAEDRKINGMGKQVESVMEKSSEAKDKAKDREPERHKEKIREEKRSKGKDKDKRKEKKKETEKMRVKVEHKHRDKLKDDGKKDLVDNFSCKPVVPCVDTVKNTVPDVTIKKRKDFEMNGTLHEYDVGPHKLLRPPSSSEFPIENGRTMELNHSVTTNSFIRHGASKSVSVKAERALDVMDRKANGIKEAVTSEAEMKPVSVVATTTNGKVSAKSSHPDVKFLPQVYSVPKMDELPEFDDPEWLFSDNTRPKPRPKPEVGEIPQVWAEALQIKSGDVLALPYVIPY >DRNTG_06818.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:15581515:15589723:1 gene:DRNTG_06818 transcript:DRNTG_06818.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYENKLGVHEELLGKEKLKEKKQKKDKKDKEKKEGKKDKKEKDKSKDKHKEKKSRKEKHKDKKKEKDRDKSKNRISDEGRIENQASVRNEEKLGGESWNAEEVKDSKYIEEFGRRIRDEGKGAANRMAETTGSIRKGSESIGSGSAISNSVHRIAEDDIKAADIDAIKRRKDSMGTGITAVGGSGQKGIENMQTWNASINLLQRRPEIMGLDAAVSSSVKRRIESTWTGSTNSGLFQDRIESINARNSISGSLEKKTEIRPAGPAVSYVQKRVDSKVAAVAITSSGRNRTQSMAGSMALEKERGTVNKMVQNFVCAEERNKNGAGKMVDLDAEKRIEVEERATGNKMLPNLIGAEDRKINGMGKQVESVMEKSSEAKDKAKDREPERHKEKIREEKRSKGKDKDKRKEKKKETEKMRVKVEHKHRDKLKDDGKKDLVDNFSCKPVVPCVDTVKNTVPDVTIKKRKDFEMNGTLHEYDVGPHKLLRPPSSSEFPIENGRTMELNHSVTTNSFIRHGASKSVSVKAERALDVMDRKANGIKEAVTSEAEMKPVSVVATTTNGKVSAKSSHPDVKFLPQVYSVPKMDELPEFDDPEWLFSDNTRPKPRPKPEVGEIPQVWAEALQIKSGDVLALPYVIPY >DRNTG_06818.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:15581515:15589723:1 gene:DRNTG_06818 transcript:DRNTG_06818.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYENKLGVHEELLGKEKLKEKKQKKDKKDKEKKEGKKDKKEKDKSKDKHKEKKSRKEKHKDKKKEKDRDKSKNRISDEGRIENQASVRNEEKLGGESWNAEEVKDSKYIEEFGRRIRDEGKGAANRMAETTGSIRKGSESIGSGSAISNSVHRIAEDDIKAADIDAIKRRKDSMGTGITAVGGSGQKGIENMQTWNASINLLQRRPEIMGLDAAVSSSVKRRIESTWTGSTNSGLFQDRIESINARNSISGSLEKKTEIRPAGPAVSYVQKRVDSKVAAVAITSSGRNRTQSMAGSMALEKERGTVNKMVQNFVCAEERNKNGAGKMVDLDAEKRIEVEERATGNKMLPNLIGAEDRKINGMGKQVESVMEKSSEAKDKAKDREPERHKEKIREEKRSKGKDKDKRKEKKKETEKMRVKVEHKHRDKLKDDGKKDLVDNFSCKPVVPCVDTVKNTVPDVTIKKRKDFEMNGTLHEYDVGPHKLLRPPSSSEFPIENGRTMELNHSVTTNSFIRHGASKSVSVKAERALDVMDRKANGIKEAVTSEAEMKPVSVVATTTNGKVSAKSSHPDVKFLPQVYSVPKMDELPEFDDPEWLFSDNTRPKPRPKPEVGEIPQVWAEALQIKSGDVLALPYVIPY >DRNTG_22049.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28460374:28464449:-1 gene:DRNTG_22049 transcript:DRNTG_22049.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTKEVRYTSRSITPPPKRRSRSRSSSRSRVRSRSRSQDVDGARNPGNNLYVTGLSTRVSSSDLEKYFSKEGKVLECHVVVDPRTRESRGFGFVTMETMKDADRCVKYLDRSVLEGRLIKVEKVWCCSSVNFSIYALNSLNFPIST >DRNTG_22049.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28460374:28464449:-1 gene:DRNTG_22049 transcript:DRNTG_22049.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTKEVRYTSRSITPPPKRRSRSRSSSRSRVRSRSRSQDVDGARNPGNNLYVTGLSTRVSSSDLEKYFSKEGKVLECHVVVDPRTRESRGFGFVTMETMKDADRCVKYLDRSVLEGRLIKVEKVWCCSSVNFSIYALNSLNFPIST >DRNTG_22049.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28460374:28464449:-1 gene:DRNTG_22049 transcript:DRNTG_22049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTKEVRYTSRSITPPPKRRSRSRSSSRSRVRSRSRSQDVDGARNPGNNLYVTGLSTRVSSSDLEKYFSKEGKVLECHVVVDPRTRESRGFGFVTMETMKDADRCVKYLDRSVLEGRLIKVEKAKRSRGRTPTPGKYRGAREVRGRGHRRSRSYSPYRPRDRGRSRSRSHSRGRRERSRSPYASEPNRRHRERSVSAASDGKHRSD >DRNTG_17287.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000888.1:500:9325:-1 gene:DRNTG_17287 transcript:DRNTG_17287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDIEFLWRRTIGGAFIGIDLVWCALGLTREPFEKMRLLRKTIDTNYKGIFSMDYLHFSFTSYLLFYCSTERKTPSGYLTCSRLGFGEALARFCSGSMVFNTVFHWKIVIVVVFVSIDSAMCALGLTREPLKKTRTLHKTIDTNTKKKMCPDPYQGLLDQEVENKDIITLVLEDNMQPILGIMTKMIQKMKRTRRHHKKHPKANGDVQEWVILEGFHSSFHAVFKLFILILSARFESFSRLKFEQTCFPDLSALRERLPIDYPGSLTPRRAYKALCGYGQYELGSKPLHLGHIVEDYLRHQGQYGSREDYYIISSQHRDRPGVYVMIMSPAEIVEAEGDVVEGWQQVPEPQPEQIETEAPSTAQEPPPVHTFTPTRAHDQFERPESDVGVLQADLAKDSRVRHDIRIHDESPGSLRYHHHHQHQSILSYSIDSLQQSR >DRNTG_09954.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6677995:6678610:-1 gene:DRNTG_09954 transcript:DRNTG_09954.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYVLHRQCNMSLLKTGESTTVLCDQPPKFFHPASTEEKHEVYLILSLLASCLISSS >DRNTG_09954.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6677995:6678329:-1 gene:DRNTG_09954 transcript:DRNTG_09954.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYVLHRQCNMSLLKTGESTTVLCDQPPKFFHPASTEEKHEVYLILSLLASCLISSS >DRNTG_10070.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26598931:26601939:-1 gene:DRNTG_10070 transcript:DRNTG_10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLQESQIQVIGIAVAVAAIAAGAAYLYLSKKPKGCLDPENFREFKLVDRKQLSHNVAKFRFGLPTPTSVLGLPIGQHISCRGKDAVGEEVIKPYTPITLDSDVGYFELVIKMYPQGRMSHHFRELRVGDFLSVKGPKARNSFFRFEIDDACHDTSYYDAWPICESNIPNSSILCIVYILKNLDVG >DRNTG_10070.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26598931:26601939:-1 gene:DRNTG_10070 transcript:DRNTG_10070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLQESQIQVIGIAVAVAAIAAGAAYLYLSKKPKGCLDPENFREFKLVDRKQLSHNVAKFRFGLPTPTSVLGLPIGQHISCRGKDAVGEEVIKPYTPITLDSDVGYFELVIKMYPQGRMSHHFRELRVGDFLSVKGPKGRFKYQPGQVRAFGMLAGGSGITPMFQVARAILENPNDATKVHLIYANVTYDDILVKEELDGLATNYPNRFKVYYVLNQPPENWEGGVGFVSKEMIQAHCPAPASDIQILRCGPPPMNKAMGAHLDDLSYSKRCSSSSNPS >DRNTG_10070.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26598931:26601939:-1 gene:DRNTG_10070 transcript:DRNTG_10070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLQESQIQVIGIAVAVAAIAAGAAYLYLSKKPKGCLDPENFREFKLVDRKQLSHNVAKFRFGLPTPTSVLGLPIGQHISCRGKDAVGEEVIKPYTPITLDSDVGYFELVIKMYPQGRMSHHFRELRVGDFLSVKGPKARNSFFRFEIDDACHDTSYYDAWPICESNIPNSSILCIVYILKNLDVG >DRNTG_10070.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26599321:26601939:-1 gene:DRNTG_10070 transcript:DRNTG_10070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLQESQIQVIGIAVAVAAIAAGAAYLYLSKKPKGCLDPENFREFKLVDRKQLSHNVAKFRFGLPTPTSVLGLPIGQHISCRGKDAVGEEVIKPYTPITLDSDVGYFELVIKMYPQGRMSHHFRELRVGDFLSVKGPKGRFKYQPGQVRAFGMLAGGSGITPMFQVARAILENPNDATKVHLIYANVTYDDILVKEELDGLATNYPNRFKVYYVLNQPPENWEGGVGFVSKEMIQAHCPAPASDIQVCPMPIMITFYYINSNPLS >DRNTG_18637.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6399570:6402354:1 gene:DRNTG_18637 transcript:DRNTG_18637.2 gene_biotype:protein_coding transcript_biotype:protein_coding IQIFIGVLELARAERPWAYIRENSLQDPSNKRRLLCDEKLKNLFNVNAIDMIQMNNAKGLN >DRNTG_18637.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6395711:6402354:1 gene:DRNTG_18637 transcript:DRNTG_18637.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVIDLIGAAAILSEEGELKVSVDMGITYLAPAKIDASSVQDSRDETFSTTKGSPG >DRNTG_31081.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30779354:30782229:-1 gene:DRNTG_31081 transcript:DRNTG_31081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAESSFGNEVFYCPICSGFEINSETSEDSFPYLEAPYTPKRTSLNSDSWPRVKHLRGGKYGSEEKTQCDAELYVLGSCDQNQNLAGKMHQNPDAMSFIEKDDYLWMKKNTEDEHHFVMKGVGVTLAQAKERAHQIMEIGQPIERAPVHLMQNAGTMTIITFSVGESSIYTVKIVHGEMSPWNHPKKFFYDHLLSNARKKLLAEGFEEIDSSGYPTVVQRNFGMKKPEIMALGHKKGICPFDIGDSLYFLTSMEIIMPFIINPQKGDIHYSSCFFNWKEQRAQLAILSKDFGCLDFYEIEFLIDVTQLSMAVSDDQKNIQIFFYAPKSPESWKGQKLLSRAKFDAGAHVTKFWRFQMPPNASDQTPASVAFENPTVLLH >DRNTG_04384.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1207558:1209432:1 gene:DRNTG_04384 transcript:DRNTG_04384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRATYGSESSDDGDDDGEIPINRVECMKVSSLPPPPSDLLKAPNFSDLAAASRTGRIRSFPHIEGNYALHVYIPVLIPPTVREKLMIYVKRLASVVPSLYVVDADIPLSELSKDDENFENVLLGREFHISLGRTVPIQVHQIDSIVAMLRQKFVSQRRYFIELSKWDVFVNDEQTRSFLSLEVLGRGLSEIRKQINLVDYVYKLHGLPEFYKNPRPHISLLWALGNISEKLKKNVEELDTSRNNARNSGPIFFCKFNSIECKIGKKSYTICKSLDG >DRNTG_28356.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001433.1:52947:54081:1 gene:DRNTG_28356 transcript:DRNTG_28356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWQISTIHHKISRVKKVLKNCFQGREDLFLLQVDTAKLGDGLIYEAVDESNSFPHFYGPARSFGPLSLDAVRKAKKLQLVNGEFSCNMLTESLN >DRNTG_01257.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:9513444:9514636:-1 gene:DRNTG_01257 transcript:DRNTG_01257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEVEELLSVGSWRKLLIIRDPAIRILTLEIEAVPPAHKPPPVWVFSLTRDFERLKNAVGILQQDITEVRAEVVERHVTSSFILRPRTPLVSPVSPLPSPTPVNPPCTSSPLALAA >DRNTG_05966.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20405568:20408478:-1 gene:DRNTG_05966 transcript:DRNTG_05966.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVHDIAEAIVGDITPFDGVSKLEKSRMEKEALDHMCKLLGGESRAKKIVELWTEYEENSSPEAKIVKDFDKVEMILQALEYEKEQEIDLDEFFQSTVGKFQTDVGRAWATEIVSRRKKSD >DRNTG_05966.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20406306:20410946:-1 gene:DRNTG_05966 transcript:DRNTG_05966.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVHDIAEAIVGDITPFDGVSKLEKSRMEKEALDHMCKLLGGESRAKKIVELWTEYEENSSPEAKIVKDFDKVEMILQALEYEKGEVRLINDTILQGLK >DRNTG_05966.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20405568:20410946:-1 gene:DRNTG_05966 transcript:DRNTG_05966.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVHDIAEAIVGDITPFDGVSKLEKSRMEKEALDHMCKLLGGESRAKKIVELWTEYEENSSPEAKIVKDFDKVEMILQALEYEKEQEIDLDEFFQSTVGKFQTDVGRAWATEIVSRRKKSD >DRNTG_05966.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20405568:20410946:-1 gene:DRNTG_05966 transcript:DRNTG_05966.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVHDIAEAIVGDITPFDGVSKLEKSRMEKEALDHMCKLLGGESRAKKIVELWTEYEENSSPEAKIVKDFDKVEMILQALEYEKEQEIDLDEFFQSTVGMYDPITHILISYCH >DRNTG_15795.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21600292:21604590:1 gene:DRNTG_15795 transcript:DRNTG_15795.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSGPNPPPTTPPDLIGLGAKMPFKQKKNTVGDKQGEGDACGAAAGGTAGDSSPCCNLNCREDL >DRNTG_24859.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20205688:20209138:1 gene:DRNTG_24859 transcript:DRNTG_24859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGGESGKGLLDDTLILNMEKLAWVVASPKAYLSPNGVPSNIPACKGHCLVSWGKMVLLIGGKTDPVSDRVQVWSFDVESECWSSIEAKGDIPAARSGHTVIRAGPVLILFGGEDSKGRKLNDLHMFDLKSLTWLPLHYTGTGPSPRSNHAAALYDDRILLVFGGQAKSKILNDLYSLDFETMVWSRIKVKGHHPSPRAGCCGALCGTKWYIAGGGSKKKR >DRNTG_32717.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18149963:18152194:1 gene:DRNTG_32717 transcript:DRNTG_32717.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 1-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G08570) UniProtKB/Swiss-Prot;Acc:O64654] MAEALGQSKLVAPCGGRRSASPLPKRKPSLVACRRSSSASPSFRSLSSSSLRRSGFLGEKLVFGDRRGEALKGRVDGLHVKMNLSIGKGLKWWEKGLQPNMKEIQSAQDLVDSLLNGENKLIIVDFFSHGCGGCKALHPKICQFAEMNPEITFLQVNYEIHKSMCYSLNVHVLPFFRFYRGAQGRLCNFSCTNATIKKFKDALAKHGTERCSLGPAKGLEESELMALASNKDLSFRYTKKPMPVEQLSGLNHEEFVVTASRGLKSTESESKEEALVR >DRNTG_02107.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10718362:10732413:1 gene:DRNTG_02107 transcript:DRNTG_02107.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTDAQREGKRVGDHVSENTKPSKLSRSFSQAEAKEKPGSDLPRRGEEEEEEEEEKPVRVEPNPRMQRYLVAVEYIGTRFSGSQKQPNCRTVVGTLEEAFCKFVGQPVSIYCSSRTDAGVHALSNVCHVDVERISKRKPGEVLPPHEPGVVRRAVNHFLQKIEGDIMVTDVRCIPSDFHARYKAVERIYFYRLLSGPEPLSTFERERAWHVPEKLDVDAMKNACKILVGHHDFSSFRAAGCQANSPIRTLDELHVTEVASFLNFSSTSERRGIVCDEPAWCSNMLESESSTQCSHVSSTSIGKCSANSDIGFGMRTGHRCYVITARARSFLYHQVRLLVGVLKCAGTGELTFNDVERILNAKTVTAASPMAPACGLYLGNVKYELP >DRNTG_02107.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10730101:10732572:1 gene:DRNTG_02107 transcript:DRNTG_02107.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFAQANSPIRTLDELHVTEVASFLNFSSTSERRGIVCDEPAWCSNMLESESSTQCSHVSSTSIGKCSANSDIGFGMRTGHRCYVITARARSFLYHQVRLLVGVLKCAGTGELTFNDVERILNAKTVTAASPMAPACGLYLGNVKYELP >DRNTG_02107.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10718362:10732413:1 gene:DRNTG_02107 transcript:DRNTG_02107.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTDAQREGKRVGDHVSENTKPSKLSRSFSQAEAKEKPGSDLPRRGEEEEEEEEEKPVRVEPNPRMQRYLVAVEYIGTRFSGSQKQPNCRTVVGTLEEAFCKFVGQPVSIYCSSRTDAGVHALSNVCHVDVERISKRKPGEVLPPHEPGVVRRAVNHFLQIEGDIMVTDVRCIPSDFHARYKAVERIYFYRLLSGPEPLSTFERERAWHVPEKLDVDAMKNACKILVGHHDFSSFRAAGCQANSPIRTLDELHVTEVASFLNFSSTSERRGIVCDEPAWCSNMLESESSTQCSHVSSTSIGKCSANSDIGFGMRTGHRCYVITARARSFLYHQVRLLVGVLKCAGTGELTFNDVERILNAKTVTAASPMAPACGLYLGNVKYELP >DRNTG_09211.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4619139:4620760:-1 gene:DRNTG_09211 transcript:DRNTG_09211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVIHRFWFTLMVVVVVLLLGIVLPAAHAVAPAPAPTSDGTSIDQGIAYVLMLVALVLTYLIHPLDASSPYKLF >DRNTG_22917.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:12286266:12288164:1 gene:DRNTG_22917 transcript:DRNTG_22917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSECLNVTALVPLQIVVLTRIRGGMSPRTKKSADKHPREHSPEPKHMEFAIPEHQHGCDMGDEVDELFSQF >DRNTG_11178.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4262655:4264863:-1 gene:DRNTG_11178 transcript:DRNTG_11178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRFPTASMDADNVENYMRTLKQKYQDIKKLMNLSGVGWNDTQKKLVLEDETYRTYVEGQPKAKEYLNKPIPFFEELPLVAGDDHATGDYARTIFDQFGGALVEDESAPPPNATLEDEPIDTGNQRHRAQRSTTSRTTARATCARRTNGDNVSGEDIGEKITQLVASIDRSRKKTWKEKLTDALWDMDGYSDDDMEMVFNKLIDNKKEVENFYLRNPSLRKK >DRNTG_02324.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:646205:649234:1 gene:DRNTG_02324 transcript:DRNTG_02324.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKEVRAREEGALPPNSFASRIRSRRTSSLELSQRKEIVSPHHGAVNSLQVDLIEGRYLLSGASDASAAAFDVQHATDREGNGLIAKHKSLFVVDKQLEFGHKYAISSVLWYPVDTGLFVTGSLDHHVKVWDTNSVQVVMDFKMPGKVYGMAMSAIATTHMLIAAGSEDVQVRLCDIASGAFTHTLSGHRDGVMSLEWSASSEWILMTGGCDGAIRFWDIRRAGCFLVLDQSRSQLGRRPPLSTNTVKKGLTSRMASSGQYSAKGLVSQRKSSLGNTMKQLPSLRKGNFLSKGSTVQRLHPGMTSGQNRATAHYGAVTGLKATGDGMYLISSGSDSRLRLWDIESGCNTLVNFEAMRLQTSKGMKLAVNDDSSLVFTPCMATVK >DRNTG_02324.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:649328:650895:1 gene:DRNTG_02324 transcript:DRNTG_02324.3 gene_biotype:protein_coding transcript_biotype:protein_coding AYDVWTGTVKKTFHGHYESVNCCHFSSLDQELYTGSNDRQILVWSTPPAAFEEQDGEKVCSTDQDNWSD >DRNTG_02324.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:646205:650895:1 gene:DRNTG_02324 transcript:DRNTG_02324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKEVRAREEGALPPNSFASRIRSRRTSSLELSQRKEIVSPHHGAVNSLQVDLIEGRYLLSGASDASAAAFDVQHATDREGNGLIAKHKSLFVVDKQLEFGHKYAISSVLWYPVDTGLFVTGSLDHHVKVWDTNSVQVVMDFKMPGKVYGMAMSAIATTHMLIAAGSEDVQVRLCDIASGAFTHTLSGHRDGVMSLEWSASSEWILMTGGCDGAIRFWDIRRAGCFLVLDQSRSQLGRRPPLSTNTVKKGLTSRMASSGQYSAKGLVSQRKSSLGNTMKQLPSLRKGNFLSKGSTVQRLHPGMTSGQNRATAHYGAVTGLKATGDGMYLISSGSDSRLRLWDIESGCNTLVNFEAMRLQTSKGMKLAVNDDSSLVFTPCMATVKAYDVWTGTVKKTFHGHYESVNCCHFSSLDQELYTGSNDRQILVWSTPPAAFEEQDGEKVCSTDQDNWSD >DRNTG_04158.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:443067:446585:-1 gene:DRNTG_04158 transcript:DRNTG_04158.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVPIIMSLGDNLRIEIDRQREEFDHYIRIQEEHIAKEVKEIKQRHMASFLGTIEKEVGKKLREKEVEIESMNRKNRELVERIKQVAVEAQSWHYRAQYNESVVNVLKNNLKEALAQGADQVKEGCGDSEVDDAASTYNPSHVHGRGLTGVMPLVRFLGNKGSSSGEQMTCKACKTREVSMLLLPCRHLCLCLECEGFIDVCPVCQSMKNASVQVYMP >DRNTG_04158.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:443067:446585:-1 gene:DRNTG_04158 transcript:DRNTG_04158.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVPIIMSLGDNLRIEIDRQREEFDHYIRIQEEHIAKEVKEIKQRHMASFLGTIEKEVGKKLREKEVEIESMNRKNRELVERIKQVAVEAQSWHYRAQYNESVVNVLKNNLKEALAQGADQVKEGCGDSEVDDAASTYNPSHVHGRGLTGVMPLVRFLGNKGSSSGEQMTCKACKTREVSMLLLPCRHLCLCLECEGFIDVCPVCQSMKNASVQVYMP >DRNTG_04158.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:443067:446585:-1 gene:DRNTG_04158 transcript:DRNTG_04158.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVPIIMSLGDNLRIEIDRQREEFDHYIRIQEEHIAKEVKEIKQRHMASFLGTIEKEVGKKLREKEVEIESMNRKNRELVERIKQVAVEAQSWHYRAQYNESVVNVLKNNLKEALAQGADQVKEGCGDSEVDDAASTYNPSHVHGRGLTGVMPLVRFLGNKGSSSGEQMTCKACKTREVSMLLLPCRHLCLCLECEGFIDVCPVCQSMKNASVQVYMP >DRNTG_04158.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:443067:446585:-1 gene:DRNTG_04158 transcript:DRNTG_04158.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVPIIMSLGDNLRIEIDRQREEFDHYIRIQEEHIAKEVKEIKQRHMASFLGTIEKEVGKKLREKEVEIESMNRKNRELVERIKQVAVEAQSWHYRAQYNESVVNVLKNNLKEALAQGADQVKEGCGDSEVDDAASTYNPSHVHGRGLTGVMPLVRFLGNKGSSSGEQMTCKACKTREVSMLLLPCRHLCLCLECEGFIDVCPVCQSMKNASVQVYMP >DRNTG_04158.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:443030:446585:-1 gene:DRNTG_04158 transcript:DRNTG_04158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGNNVNPLFPVFLEDNNQFPYDSNTSTQLQLFANTFNQPIKSREAEDFARAHKLQISLSSPVQDEVDLSASIPNPNVVSTGLRLSLDDDEHNSSVTTASGSMPSVPIIMSLGDNLRIEIDRQREEFDHYIRIQEEHIAKEVKEIKQRHMASFLGTIEKEVGKKLREKEVEIESMNRKNRELVERIKQVAVEAQSWHYRAQYNESVVNVLKNNLKEALAQGADQVKEGCGDSEVDDAASTYNPSHVHGRGLTGVMPLVRFLGNKGSSSGEQMTCKACKTREVSMLLLPCRHLCLCLECEGFIDVCPVCQSMKNASVQVYMP >DRNTG_04158.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:443067:446585:-1 gene:DRNTG_04158 transcript:DRNTG_04158.8 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGNNVNPLFPVFLEDNNQFPYDSNTSTQLQLFANTFNQPIKSREAEDFARAHKLQISLSSPVQDEVDLSASIPNPNVVSTGLRLSLDDDEHNSSVTTASGSMPSVPIIMSLGDNLRIEIDRQREEFDHYIRIQEEHIAKEVKEIKQRHMASFLGTIEKEVGKKLREKEVEIESMNRKNRELVERIKQVAVEAQSWHYRAQYNESVVNVLKNNLKEALAQGADQVKEGCGDSEVDDAASTYNPSHVHGRGLTGVMPLVRFLGNKGSSSGEQMTCKACKTREVSMLLLPCRHLCLCLECEGFIDVCPVCQSMKNASVQVYMP >DRNTG_04158.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:443067:446585:-1 gene:DRNTG_04158 transcript:DRNTG_04158.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVPIIMSLGDNLRIEIDRQREEFDHYIRIQEEHIAKEVKEIKQRHMASFLGTIEKEVGKKLREKEVEIESMNRKNRELVERIKQVAVEAQSWHYRAQYNESVVNVLKNNLKEALAQGADQVKEGCGDSEVDDAASTYNPSHVHGRGLTGVMPLVRFLGNKGSSSGEQMTCKACKTREVSMLLLPCRHLCLCLECEGFIDVCPVCQSMKNASVQVYMP >DRNTG_04158.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:443067:446585:-1 gene:DRNTG_04158 transcript:DRNTG_04158.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGNNVNPLFPVFLEDNNQFPYDSNTSTQLQLFANTFNQPIKSREAEDFARAHKLQISLSSPVQDEVDLSASIPNPNVVSTGLRLSLDDDEHNSSVTTASGSMPSVPIIMSLGDNLRIEIDRQREEFDHYIRIQEEHIAKEVKEIKQRHMASFLGTIEKEVGKKLREKEVEIESMNRKNRELVERIKQVAVEAQSWHYRAQYNESVVNVLKNNLKEALAQGADQVKEGCGDSEVDDAASTYNPSHVHGRGLTGVMPLVRFLGNKGSSSGEQMTCKACKTREVSMLLLPCRHLCLCLECEGFIDVCPVCQSMKNASVQVYMP >DRNTG_04158.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:443067:446585:-1 gene:DRNTG_04158 transcript:DRNTG_04158.9 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGNNVNPLFPVFLEDNNQFPYDSNTSTQLQLFANTFNQPIKSREAEDFARAHKLQISLSSPVQDEVDLSASIPNPNVVSTGLRLSLDDDEHNSSVTTASGSMPSVPIIMSLGDNLRIEIDRQREEFDHYIRIQEEHIAKEVKEIKQRHMASFLGTIEKEVGKKLREKEVEIESMNRKNRELVERIKQVAVEAQSWHYRAQYNESVVNVLKNNLKEALAQGADQVKEGCGDSEVDDAASTYNPSHVHGRGLTGVMPLVRFLGNKGSSSGEQMTCKACKTREVSMLLLPCRHLCLCLECEGFIDVCPVCQSMKNASVQVYMP >DRNTG_10193.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2192782:2199007:-1 gene:DRNTG_10193 transcript:DRNTG_10193.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRS2-11 [Source:Projected from Arabidopsis thaliana (AT5G22830) UniProtKB/TrEMBL;Acc:A0A178ULQ2] MASIANLSFSHPPLNSQIASSASVCVSFQALACHRFLLPVILTARLRSSRKSLKRSLAEERAGGGDGGVLDLDAAAVQREESESDGVENGAVDGTVRSSQRTSIPSESISLGIKEPVYEVVEVKPDGLVSTNKISRRQLLKSSGLRPRDIRSVDPSLWLTNSMPSLLVRDQAILLNFGSLRAIAMHERVLVFDYNRKGSKAFLKALLPRLNPKNTSGGPAMPFELEVVEAALLSRIQRLEQRLMILEPRVAALLEVLPNKLTADVLEQLRLSKQTLVELDSRAGALKQMLLDLLEDPYEIRRICIMGRNCTVQRNSNDVECSVPLEKEIAEEEEEEIEMLLENYLERCESCHGQAERLLDSAKEMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAVGALIAGIFGMNLKSYLEEHVFAFWLTTAGIIFGAVAAFFIMYSYLKARRIL >DRNTG_10193.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2192782:2199007:-1 gene:DRNTG_10193 transcript:DRNTG_10193.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRS2-11 [Source:Projected from Arabidopsis thaliana (AT5G22830) UniProtKB/TrEMBL;Acc:A0A178ULQ2] MLLDLLEDPYEIRRICIMGRNCTVQRNSNDVECSVPLEKEIAEEEEEEIEMLLENYLERCESCHGQAERLLDSAKEMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAVGALIAGIFGMNLKSYLEEHVFAFWLTTAGIIFGAVAAFFIMYSYLKARRIL >DRNTG_09141.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26871735:26878318:1 gene:DRNTG_09141 transcript:DRNTG_09141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYKSRGRLQPSVVLDRRPRSSLSGTGYCYSSISKSSLFLPFMEPSTQFQTLDPSCPPPPPAHETLDFQYPPPPPPPPPHESFDNHQYQDASHLSSQNPSITHGSDARAQADHETLGHASGENPNPTEASLTAQKPVVSENGLAMTHSGTDKDCSGGEEETSSRRRRRSRWDPQPDSEQNADGSGSGARKRKSRWADEEPKPVVQLPDFMKDFTADLDPEVQVLNARLLEINRLFQSGMALDDRPEGARSPSPEPIYDNMGIRINTREYRARERLTRERQEIISQLIKRNPAFRPPADYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGRLQQKRDLRPDPAENEDLHVLVEAETQDALDAAAGMVEKLLTPVDEVLNEHKRQQLRELAALNGTIRDDEFCRLCGEPGHRQFACPARTTTFKSDVLCKICGDGGHPTIDCPVKGTGKKMDDEYQNFLAELGGSMPESLTKQSSPMAAIGSGSSGTGVGASASNGIKKEYDETNLYIGYLPPTLEDDGLIRLFSSFGEIVMAKVIKDRITGLSKGYGFVKYSNVEQANQAIAAMHGYHLEGRIIAVRVAGKPPQPTVPPGPPAPPPPAYPTQDASTGAYPSQQYVSGGPITTPPPPPPGSYVGAPVPWGPPVPPPYAAYPPPPPPQALPPGAPQSTEGMQTQSHSGTSVPPPVPGSMYGSSAPGIAPIAPPAYPPPPYGYQPYYTAVPPPAPSASVDPVSSLANAPWASNASVASLPPPPAEQTTPSGTDTEYEKFMSEIK >DRNTG_03664.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13634183:13639696:-1 gene:DRNTG_03664 transcript:DRNTG_03664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLLSNIVTLFLVFFLLSLANGMEEKKKKDVYIVYMGAAPLASSPETLTQTHLELLTSVIKRQEQVKLIHSYKYGFSGFAARLSKEEALDISQKQGVISVFVDPIYQLHTTRSWDFLQLQNSIETGLTPGANERDAGSSSIGGEDTIIGLLDTGIWPESPSFSDKGMSPLKKRWHGTCVSAHDFNSSNCNMKLIGARSYETDDSSPFPLTSTNSPRDEDGHGTHTASTAAGSAVSDASYYGVATGTARGGSPNSRIAMYKVCGNFGCSGSSILAAFDDAIADGVDVLSISLGASTFFRPDFDTDPIAIGSFHAVEKNVIVVCSAGNDGPSAGSVVNAAPWILTVAATTIDRKFESDIALGGNNNAIKGEAINFSILDKSPTYPLIYGKSAKSDSNSSTDQSASHCEGESLDLKKIKGKIVVCKHSEDDTSKSIIVDLLKDSGAIGLILVNDQLKSIASTYLDFPVTEILLPAADELFTYINSTKKPVATILPTIAVTNYKPAPQIAYFSSRGPSSQTKNILKPDIAAPGVNILAGWPSGNSSSDVPPGQKPSGFNLLSGTSMSCPHVAGVTANVKSWNPDWSPAAIRSAIMTTATLMNNNKNQLTTDSGSTATPYDFGAGEVNPTAALQPGLVYDAGPQDYLLFLCNYGYNTTTIKAISNNTLAGFECPKNSSKDLISNLNYPSIAVSNLQGQEKKTVSRVLTNVGAEEITYTVSIQSPDGVDVKVVPEKLQFTKKNKKLSYQVTFSIKDSLTTELFGWITWSDGKQRVRSPYVISSKQ >DRNTG_21580.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19198437:19208278:1 gene:DRNTG_21580 transcript:DRNTG_21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGLKKVGSYCALGEVDDLDLLKLLDKPKLNIERQKSFEERSLSELSINIRAVDSLENMHSPNGVRSAFDTPVSSTRNSFETHPMIGEAWDALRKSLVFFQGQPVGTIAAVDHASEEVLNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTIFLQGWEKRIDRFKLGEGAMPASFKVLHDPVRKIDTVIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAETPECQKGMRLILSLCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRCALIMLKHDAEGKEFIERIVRRLHALSFHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFEFMPTRGGYFIGNVSPARMDFRWFALGNCVAILSSLATPEQSMAIMDLIEARWEELVGEMPLKITYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELAESRLLKDGWPEYYDGKLGRYVGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLAEDKDMKPLIKRSTSWTC >DRNTG_21580.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19204390:19208278:1 gene:DRNTG_21580 transcript:DRNTG_21580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGLKKVGSYCALGEVDDLDLLKLLDKPKLNIERQKSFEERSLSELSINIRAVDSLENMHSPNGVRSAFDTPVSSTRNSFETHPMIGEAWDALRKSLVFFQGQPVGTIAAVDHASEEVLNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTIFLQGWEKRIDRFKLGEGAMPASFKVLHDPVRKIDTVIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAETPECQKGMRLILSLCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRCALIMLKHDAEGKEFIERIVRRLHALSFHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFEFMPTRGGYFIGNVSPARMDFRWFALGNCVAILSSLATPEQSMAIMDLIEARWEELVGEMPLKITYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELAESRLLKDGWPEYYDGKLGRYVGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLAEDKDMKPLIKRSTSWTC >DRNTG_13554.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6611429:6614342:-1 gene:DRNTG_13554 transcript:DRNTG_13554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYQTLVRLFETIHLDNMKILRALMYSKDDLPIINGTTKRRVSVEVLRRKIVMLFITDLDISHEELFVLIQLYNDTHQGKVERHYEIVWLPVSDRHVPWLQSREESFNRLASSMPWYSLVHPSLLDKAVVKYIRDVWHFDKKPLLVVLDPQGKEVCPNALHMMWIWGSLAFPFTSNREEALWKQEIWRLELLVDEIDPAILQWVSEGRHICLYGGDNIEWIRSFTRTLRRVAQDARVPLEMVYVGRSNPKEKVKRAMSVIAEEKLSGYWPDVAMIWFFWVRLESMWYSKMQHDCTVEDDHIMQEVMQILSFDGSEEGWAVISPGSVGILKSQGNKLLDCLMQYDAWKDTVELEGFIPALGKALLPYQTHEHCTRLILPRESGKIGEKIVCAECKKPMEKYVLYRCCTD >DRNTG_15834.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25090979:25099389:-1 gene:DRNTG_15834 transcript:DRNTG_15834.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIESHREGAEIVKGDASCKKKAAEVLGELGLPIGLFPLDDIQEFGYNRAGGFIWLVQKKKKEHTFKKIKQVVSYATEVTAFVEKGKMMKISGVKTRELLLWLSVVEMYIEDPSSGKITFKTGTGLSDSFPVSAFELE >DRNTG_16327.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1580516:1582332:-1 gene:DRNTG_16327 transcript:DRNTG_16327.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIRLRFKRLSLFILSVLFLVSPTLSKLLYQVCGNTGNYKANSTYESNLFALLPSMASNGSGQGFYTETVGTIPDKVYGYILCRGDTNTSTCRSCLDTAGEDIVQLCSYAKDATIYYDYCLLRYSNQNLRESTQNSNVFYMWNVNNATDPDKFNKLALELVNMTSQYAAFNSTRRFVTGEANYTALYPKLYGLAQCTRDLSGDQCYQCLTSMFSLMSNDCREERRKSCWR >DRNTG_10085.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1554331:1556407:-1 gene:DRNTG_10085 transcript:DRNTG_10085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKPKSNDVWEKVVESMKWQFVEGGERCLKILALSYNDLPYYLKSCFLCFGCFREDLTIPVETLIRWWAAEGFLPTKTSKTAEEIGMDCLEELAQRCMIQVTKRKYDDSAKYCRIHDLLRDMCISEANENKYLEIYKNDNANCGTKSNAARRLVIFNRNERLNYSNSKLRALFYDGEYIYNPSALNALNELCGFKLLRVLYLSGLYMLRLPSEIKSLNHLRYLRLHANTLKEVPSWIGHLRNLQSFIVVGGILEKISDSLWTIDSLRHVDLLMSSRADPPIMGSNIVLMNLQTLQRVTAGEWMGNMLPKLTNLHKLKICNVSDDHANALSSSLQKLGRLASLTIEGDCIPSDNIITTFSNQHCLKKLSLHGKFNCKQLPRNDVFPQRLVKLSLLYSELEQDPMATLEKLQFLKYLHLGSYRGKQIICLATGFPQLLTLYIECFPELEEWKIEENTMPCLKYLRIADCPKLTMIPEGLKNLPLDQLEFSEMHVKLTTRIKEKTGEDWHKIQHVPNISIQWSLR >DRNTG_09933.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18372299:18375437:1 gene:DRNTG_09933 transcript:DRNTG_09933.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sensitive to aluminum rhizotoxicity 1 [Source:Projected from Arabidopsis thaliana (AT1G67940) UniProtKB/TrEMBL;Acc:D6RVY5] MGSAPAAHEATERLLGNDAMEIRIGEEEEAKIRVERLERRSDTGEAILKGISLEIPKASIVGIIGPSGSGKSTFLRALNRLWEPSAGSVFLDGDDIHRLDVLSLRRRVGMLFQLPALFDGTVADNVRYGPQLRGKRLTDAEVKNLLSLADLDPSLASRPASELSVGQAQRVALARTLANDPEVILLDEPTSALDPISTQNIEEAIVRLKKTRGITTVMVSHSVNQIRRIADIVCLLVAGEVVEVLDPVHLSTAQHPMARRFLELS >DRNTG_05987.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:24132866:24133877:1 gene:DRNTG_05987 transcript:DRNTG_05987.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLQPCWAEMGQPESLTASMRAHGAREDFRSCPKVVSQALAYIIADKCLCDMNAMRSFLMVLERFYGSDIGVVWKKVIGRAFVGIDPVRCILGRTKDPLRRVEDSPQDHRH >DRNTG_22559.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001244.1:176712:177167:1 gene:DRNTG_22559 transcript:DRNTG_22559.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYANDPAYHVCDPLRLVKLMVMDEVFNLDDELVFHYAVENYSCEPLRWSAPTWSPFSTSALVDPRLNFHNRIQSFIRSGDLDSTSAIARHAVFSYTRPTVFTCNDISAAILHVGRLKDGWERR >DRNTG_27415.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11391817:11393048:1 gene:DRNTG_27415 transcript:DRNTG_27415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKPPQGSPTPGGLRGLVCHHPTTNNTIKQNTKQKHR >DRNTG_09680.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1362952:1364489:1 gene:DRNTG_09680 transcript:DRNTG_09680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGGQEIAVKRLSRTSRQGLQELRNEVILVGKLHHKNLVRLLGYCMEEQEKLLVYEFLSNNSLDKYLADPTRRGTLNWRTRHKIIQGTGRGLLYLHQESRVKIIHRDLKASNILLDKEMNPKISDFGLAKLFDMDETQANTRRISGTYGYMAPEYAMHGQFSTKSDVFSYGVLVLEIVTGRLNSSFGGSGRAPNLLSFVWQNWNEKKALEVKDPSIGDEVQAEEVLRSIQVGLLCVQEDKAVRPRMATVVLMLSDLSVTLPKPSTPAFFIRGCATSESETH >DRNTG_09680.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1361773:1364489:1 gene:DRNTG_09680 transcript:DRNTG_09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSVFHIFLLILFSSTIPTTLSDDPLFYVYYVCSNDSNYTKNSPYKTNLNLVLSTLYSEISSTGFSNTSKGQPPYQAYGMSTCRGDITSTTCSQCVNTSIHAITQLCPRQKNAAIWYNDCLLRYSDQNFFSIVDTSVKLYIQNNAQTTDPKRFRVLLGQLMNGLATYAAFNSTRMFGFKAIDFTSSVKLYGLTQCTRDLSQKDCYACLERIIKNMTGVGKQAVGGLSRSCVAGYQFSENLSPDTDHGAAAPPSSSMPPVPSVLPNGTSIDNINRKNKIKACSIVIPLVAVAGLVFLVCMCFWRWRTRRLKNTFSSDDRFEAEVWYTAAQIDLATLKRATDNFSDENTLGKGGFGSVYKGMLEGGQEIAVKRLSRTSRQGLQELRNEVILVGKLHHKNLVRLLGYCMEEQEKLLVYEFLSNNSLDKYLADPTRRGTLNWRTRHKIIQGTGRGLLYLHQESRVKIIHRDLKASNILLDKEMNPKISDFGLAKLFDMDETQANTRRISGTYGYMAPEYAMHGQFSTKSDVFSYGVLVLEIVTGRLNSSFGGSGRAPNLLSFVWQNWNEKKALEVKDPSIGDEVQAEEVLRSIQVGLLCVQEDKAVRPRMATVVLMLSDLSVTLPKPSTPAFFIRGCATSESETH >DRNTG_17101.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17498995:17499803:-1 gene:DRNTG_17101 transcript:DRNTG_17101.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKERRTYWCETKKYFNKSALQVRELRQTLSQVQDREERL >DRNTG_17101.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17498995:17501705:-1 gene:DRNTG_17101 transcript:DRNTG_17101.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKERRTYWCETKKYFNKSALQVRELRQTLSQVQDREERL >DRNTG_17101.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17498995:17499275:-1 gene:DRNTG_17101 transcript:DRNTG_17101.3 gene_biotype:protein_coding transcript_biotype:protein_coding QKLDQVQDREERLQQTLGQVQDNNKELQQSLLEMKEERDQYCAEMMR >DRNTG_00250.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25928666:25929784:-1 gene:DRNTG_00250 transcript:DRNTG_00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSTNLAQTGLEGAASSAKLPSTLSTRACISSKRSSLSLVPPA >DRNTG_31395.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21940749:21941947:1 gene:DRNTG_31395 transcript:DRNTG_31395.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDRLKQVYVKFSALFRLSRSRFPYYDITELLSQVISSYGANRVMWGSDFPYVVPECGYKDAKEAVSLIADRISLSSSELEWILGRTAMQVLQCSWNVSEIV >DRNTG_31395.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21939007:21941947:1 gene:DRNTG_31395 transcript:DRNTG_31395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSSHFLSKPLIFPLSKRIFSTTMASSSSSLNFCPPLKTIDSHLHVWASPQEAADKFPYHPGMEPPIPGDVDLLLKCMEEAGVDGAVIVQPINHMFDHSLVTSVLKRYPTKFIGCCLANPADDGSGVEQIEHLVLKDGYRAVRFNPYIWPSNQKMSNEIGKAMFSKAGELGVPVKIMCMKGLGLHIADIEELCTSFPSTVVLLDHMAFCKPPLNDEESKTFSELLKLSRFPQVYVKFSALFRLSRSRFPYYDITELLSQVISSYGANRVMWGSDFPYVVPECGYKDAKEAVSLIADRISLSSSELEWILGRTAMQVLQCSWNVSEIV >DRNTG_19742.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001050.1:3174:3553:1 gene:DRNTG_19742 transcript:DRNTG_19742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPEAHGVALVLASAPTPEALDACRAPIGP >DRNTG_05042.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:183888:185030:1 gene:DRNTG_05042 transcript:DRNTG_05042.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLKFREDQKPLVRAKIPISIFGLPFLSGFSAGDTRELRLDLATAFDSGPSLRASYRPNDPSNPFTLSLRTGVGARGSPTAAPMTITAEFNLLSRSSSSSLPSFSILFKPRLGDFSLKKCIDSTTISRPIKSTVDKADDSDHDGTPRKGMLGMISGTEVAASSMMPLRGSAAVRFRWGLKLPAELQTAFSDRDRTAGIPFARIPLLVMSKISIEHVATDTKVKKTKAEEPDDVAKGSGDVAQTCSLIRRQLEALRAENGAMSNALEEIRKEVGVADVAKREMCVADVAKRETRGAPPMPSPEELTQELAKALKK >DRNTG_30786.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10514579:10515038:1 gene:DRNTG_30786 transcript:DRNTG_30786.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWLFFRAQSSTACSLFSSCVRSSIRRE >DRNTG_30786.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10515332:10517061:1 gene:DRNTG_30786 transcript:DRNTG_30786.3 gene_biotype:protein_coding transcript_biotype:protein_coding FENQDTNKRGAKKSMAGMKETDTTSVAGGLVLRSQVKQNDVLERSKAIGIDKGRKIWLPTGVRAFTTSTAPIDPHKKVS >DRNTG_30786.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10514579:10515131:1 gene:DRNTG_30786 transcript:DRNTG_30786.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWLFFRAQSSTACSLFSSCVRSSIRRE >DRNTG_30786.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10514579:10517061:1 gene:DRNTG_30786 transcript:DRNTG_30786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLFFRAQSSTACSLFSSCVRSSIRRE >DRNTG_30786.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10514579:10517061:1 gene:DRNTG_30786 transcript:DRNTG_30786.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLFFRAQSSTACSLFSSCVRSSIRRE >DRNTG_20343.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23362370:23365737:-1 gene:DRNTG_20343 transcript:DRNTG_20343.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTETDVDDGLEDNCNFDGEVPTANRLVPSAGGAPLVCLLRLPGDFAAGAALGSVFGYGSGLLKKKGLRGSLPDAGSAAKTFTVLSGVHSLVICFLKKLGGKDDAINAGVAGCCTGLVMSFPGAPQALLRSCISFGALSFMLEGLNKKQEAQAQSLLPGSGSNGTRELVLPPFTLPLPLHVRGGSSCFHEFVKKYRRATHP >DRNTG_06484.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22981455:22983016:1 gene:DRNTG_06484 transcript:DRNTG_06484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMPERSSELAKGLEAEQQLESLK >DRNTG_26621.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:338289:340978:1 gene:DRNTG_26621 transcript:DRNTG_26621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWRRKELALTDEIAPISFSYYELYDATEGFKEEVGKGAFGTVFRGTLQSTGKVVAVKRLEKVMEEGEKEFQTEMKSIGRTQYRNLVRLLGFCNEGSNRLLVYEFMSNGSLADLIFKPDHQNRPPWNDRSRIALDVARGLHYLHEDCETHIIHCDIKPQNILMDENRSAKISDFGLAKLLMPTQTRIFTGVRGTRGYLAPEWQQNTPITVKTDVYSFGIVVLEILCCRKNMELEAEVDEIILSKWVYSCYLAGELEKLVLDEEVDMVEFERVVKVALWCIQTDPIQRPSMKNVIIMLEGCVEISSPPQP >DRNTG_03998.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25803108:25803761:1 gene:DRNTG_03998 transcript:DRNTG_03998.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIQLAVNEDASRVFGREFDKQKIIELLFSDCQNNLRVISIVGMKGVGKTTLAQLVYNDEIVSRYFNLRGWVHVLESYDVLQLIKAITESFGRKLDNSKELNTLQEILKEEMWRKRVLLVLNNICNYKNSFLEFLSISFNGAESVKIIVTSSNDSVAAEFEEMILPYHLHCLHEDESWLLFQHCAFDCHGFHQIGRKIVIKCKGFAFFVFEDARTPP >DRNTG_24071.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26452333:26454452:-1 gene:DRNTG_24071 transcript:DRNTG_24071.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALVARYWCHMCSQMVSPVMEVEMKCPFCDSGFVEEVEGRGDFDSAEMVSDRSISLLAPLLLGMMGVGGPSRRHRPMRDGGDDDDSDQDRNLTSLLRRRRRRSSAIFRLIQDLEDRIQADPDSMDGERERERDRDRESLILIDNFNRALILQGSFDNHGQGQDSDGGGGGFGASLGDYFLGPGLDLLLQHLAENDPNRYGTPPAKKEAVEALPTVKIQENTSCSVCLEDLEIGAEVREMPCKHSFHNGCLVPWLELHSSCPVCRFQLPSDEPKDSSTPGGNGRDGDRGSENRNLSSNEWDALFPFWENSGSNSAYNPSSSSSGGNADEN >DRNTG_24071.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26452333:26454452:-1 gene:DRNTG_24071 transcript:DRNTG_24071.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALVARYWCHMCSQMVSPVMEVEMKCPFCDSGFVEEVEGRGDFDSAEMVSDRSISLLAPLLLGMMGVGGPSRRHRPMRDGGDDDDSDQDRNLTSLLRRRRRRSSAIFRLIQDLEDRIQADPDSMDGERERERDRDRESLILIDNFNRALILQGSFDNHGQGQDSDGGGGGFGASLGDYFLGPGLDLLLQHLAENDPNRYGTPPAKKEAVEALPTVKIQENTSCSVCLEDLEIGAEVREMPCKHSFHNGCLVPWLELHSSCPVCRFQLPSDEPKDSSTPGGNGRDGDRGSENRNLSSNEWDALFPFWENSGSNSAYNPSSSSSGGNADEN >DRNTG_24071.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26452333:26454452:-1 gene:DRNTG_24071 transcript:DRNTG_24071.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALVARYWCHMCSQMVSPVMEVEMKCPFCDSGFVEEVEGRGDFDSAEMVSDRSISLLAPLLLGMMGVGGPSRRHRPMRDGGDDDDSDQDRNLTSLLRRRRRRSSAIFRLIQDLEDRIQADPDSMDGERERERDRDRESLILIDNFNRALILQGSFDNHGQGQDSDGGGGGFGASLGDYFLGPGLDLLLQHLAENDPNRYGTPPAKKEAVEALPTVKIQENTSCSVCLEDLEIGAEVREMPCKHSFHNGCLVPWLELHSSCPVCRFQLPSDEPKDSSTPGGNGRDGDRGSENRNLSSNEWDALFPFWENSGSNSAYNPSSSSSGGNADEN >DRNTG_24071.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26452298:26454452:-1 gene:DRNTG_24071 transcript:DRNTG_24071.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALVARYWCHMCSQMVSPVMEVEMKCPFCDSGFVEEVEGRGDFDSAEMVSDRSISLLAPLLLGMMGVGGPSRRHRPMRDGGDDDDSDQDRNLTSLLRRRRRRSSAIFRLIQDLEDRIQADPDSMDGERERERDRDRESLILIDNFNRALILQGSFDNHGQGQDSDGGGGGFGASLGDYFLGPGLDLLLQHLAENDPNRYGTPPAKKEAVEALPTVKIQENTSCSVCLEDLEIGAEVREMPCKHSFHNGCLVPWLELHSSCPVCRFQLPSDEPKDSSTPGGNGRDGDRGSENRNLSSNEWDALFPFWENSGSNSAYNPSSSSSGGNADEN >DRNTG_24071.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26452333:26454574:-1 gene:DRNTG_24071 transcript:DRNTG_24071.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALVARYWCHMCSQMVSPVMEVEMKCPFCDSGFVEEVEGRGDFDSAEMVSDRSISLLAPLLLGMMGVGGPSRRHRPMRDGGDDDDSDQDRNLTSLLRRRRRRSSAIFRLIQDLEDRIQADPDSMDGERERERDRDRESLILIDNFNRALILQGSFDNHGQGQDSDGGGGGFGASLGDYFLGPGLDLLLQHLAENDPNRYGTPPAKKEAVEALPTVKIQENTSCSVCLEDLEIGAEVREMPCKHSFHNGCLVPWLELHSSCPVCRFQLPSDEPKDSSTPGGNGRDGDRGSENRNLSSNEWDALFPFWENSGSNSAYNPSSSSSGGNADEN >DRNTG_24071.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26452333:26454452:-1 gene:DRNTG_24071 transcript:DRNTG_24071.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALVARYWCHMCSQMVSPVMEVEMKCPFCDSGFVEEVEGRGDFDSAEMVSDRSISLLAPLLLGMMGVGGPSRRHRPMRDGGDDDDSDQDRNLTSLLRRRRRRSSAIFRLIQDLEDRIQADPDSMDGERERERDRDRESLILIDNFNRALILQGSFDNHGQGQDSDGGGGGFGASLGDYFLGPGLDLLLQHLAENDPNRYGTPPAKKEAVEALPTVKIQENTSCSVCLEDLEIGAEVREMPCKHSFHNGCLVPWLELHSSCPVCRFQLPSDEPKDSSTPGGNGRDGDRGSENRNLSSNEWDALFPFWENSGSNSAYNPSSSSSGGNADEN >DRNTG_24071.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26452298:26454452:-1 gene:DRNTG_24071 transcript:DRNTG_24071.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALVARYWCHMCSQMVSPVMEVEMKCPFCDSGFVEEVEGRGDFDSAEMVSDRSISLLAPLLLGMMGVGGPSRRHRPMRDGGDDDDSDQDRNLTSLLRRRRRRSSAIFRLIQDLEDRIQADPDSMDGERERERDRDRESLILIDNFNRALILQGSFDNHGQGQDSDGGGGGFGASLGDYFLGPGLDLLLQHLAENDPNRYGTPPAKKEAVEALPTVKIQENTSCSVCLEDLEIGAEVREMPCKHSFHNGCLVPWLELHSSCPVCRFQLPSDEPKDSSTPGGNGRDGDRGSENRNLSSNEWDALFPFWENSGSNSAYNPSSSSSGGNADEN >DRNTG_24071.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26452333:26454452:-1 gene:DRNTG_24071 transcript:DRNTG_24071.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALVARYWCHMCSQMVSPVMEVEMKCPFCDSGFVEEVEGRGDFDSAEMVSDRSISLLAPLLLGMMGVGGPSRRHRPMRDGGDDDDSDQDRNLTSLLRRRRRRSSAIFRLIQDLEDRIQADPDSMDGERERERDRDRESLILIDNFNRALILQGSFDNHGQGQDSDGGGGGFGASLGDYFLGPGLDLLLQHLAENDPNRYGTPPAKKEAVEALPTVKIQENTSCSVCLEDLEIGAEVREMPCKHSFHNGCLVPWLELHSSCPVCRFQLPSDEPKDSSTPGGNGRDGDRGSENRNLSSNEWDALFPFWENSGSNSAYNPSSSSSGGNADEN >DRNTG_24071.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26452333:26454452:-1 gene:DRNTG_24071 transcript:DRNTG_24071.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALVARYWCHMCSQMVSPVMEVEMKCPFCDSGFVEEVEGRGDFDSAEMVSDRSISLLAPLLLGMMGVGGPSRRHRPMRDGGDDDDSDQDRNLTSLLRRRRRRSSAIFRLIQDLEDRIQADPDSMDGERERERDRDRESLILIDNFNRALILQGSFDNHGQGQDSDGGGGGFGASLGDYFLGPGLDLLLQHLAENDPNRYGTPPAKKEAVEALPTVKIQENTSCSVCLEDLEIGAEVREMPCKHSFHNGCLVPWLELHSSCPVCRFQLPSDEPKDSSTPGGNGRDGDRGSENRNLSSNEWDALFPFWENSGSNSAYNPSSSSSGGNADEN >DRNTG_24788.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31971413:31975946:-1 gene:DRNTG_24788 transcript:DRNTG_24788.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKFSKTQSYYSPSYESRQATPRSTSRYTPFHQTHAQPDVAERLQRKYAKIGDHYSSLSQVTDALAQAGLESSNLIVGIDFTKSNEWTGKVSFNRRSLHHIGEFQNPYEQAISIIGRTLSAFDEDDLIPCFGFGDASTHDQEVFSFHPDQRSCNGFEEALERYREIVPELRLAGPTSFAPMIEQAISIVDGTGGQYHVLLIIADGQVTRSVDTQSGVLSSQERHTMDAIVEASNYPLSIVLVGVGDGPWDMMREFDDNIPSRQFDNFQFVNFTEIMSRNVPFTQKETDFALAALMEIPAQYKATIDLQLLGRRRGIESRFCLPTPVRNNDRMPSRANSFAQRPSVHKSVTSAPPSDRQICTICCWQKKDLAFGCGHQTCFDCGKDLRDCPECFSRISTRIKLY >DRNTG_24788.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31971413:31975946:-1 gene:DRNTG_24788 transcript:DRNTG_24788.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKFSKTQSYYSPSYESRQATPRSTSRYTPFHQTHAQPDVAERLQRKYAKIGDHYSSLSQVTDALAQAGLESSNLIVGIDFTKSNEWTGKVSFNRRSLHHIGEFQNPYEQAISIIGRTLSAFDEDDLIPCFGFGDASTHDQEVFSFHPDQRSCNGFEEALERYREIVPELRLAGPTSFAPMIEQAISIVDGTGGQYHVLLIIADGQVTRSVDTQSGVLSSQERHTMDAIVEASNYPLSIVLVGVGDGPWDMMREFDDNIPSRQFDNFQFVNFTEIMSRNVPFTQKETDFALAALMEIPAQYKATIDLQLLGRRRGIESRFCLPTPVRNNDRMPSRANSFAQRPSVHKSVTSAPPSDRQICTICCWQKKDLAFGCGHQTCFDCGKDLRDCPECFSRISTRIKLY >DRNTG_24788.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31971413:31975946:-1 gene:DRNTG_24788 transcript:DRNTG_24788.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKFSKTQSYYSPSYESRQATPRSTSRYTPFHQTHAQPDVAERLQRKYAKIGDHYSSLSQVTDALAQAGLESSNLIVGIDFTKSNEWTGKVSFNRRSLHHIGEFQNPYEQAISIIGRTLSAFDEDDLIPCFGFGDASTHDQEVFSFHPDQRSCNGFEEALERYREIVPELRLAGPTSFAPMIEQAISIVDGTGGQYHVLLIIADGQVTRSVDTQSGVLSSQERHTMDAIVEASNYPLSIVLVGVGDGPWDMMREFDDNIPSRQFDNFQFVNFTEIMSRNVPFTQKETDFALAALMEIPAQYKATIDLQLLGRRRGIESRFCLPTPVRNNDRMPSRANSFAQRPSVHKSVTSAPPSDRQICTICCWQKKDLAFGCGHQTCFDCGKDLRDCPECFSRISTRIKLY >DRNTG_24788.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31971413:31975946:-1 gene:DRNTG_24788 transcript:DRNTG_24788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKFSKTQSYYSPSYESRQATPRSTSRYTPFHQTHAQPDVAERLQRKYAKIGDHYSSLSQVTDALAQAGLESSNLIVGIDFTKSNEWTGKVSFNRRSLHHIGEFQNPYEQAISIIGRTLSAFDEDDLIPCFGFGDASTHDQEVFSFHPDQRSCNGFEEALERYREIVPELRLAGPTSFAPMIEQAISIVDGTGGQYHVLLIIADGQVTRSVDTQSGVLSSQERHTMDAIVEASNYPLSIVLVGVGDGPWDMMREFDDNIPSRQFDNFQFVNFTEIMSRNVPFTQKETDFALAALMEIPAQYKATIDLQLLGRRRGIESRFCLPTPVRNNDRMPSRANSFAQRPSVHKSVTSAPPSDRQICTICCWQKKDLAFGCGHQTCFDCGKDLRDCPECFSRISTRIKLY >DRNTG_18974.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22785711:22785975:-1 gene:DRNTG_18974 transcript:DRNTG_18974.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQVWIDYSAMDIKLDVVISPLGVPKPKHSLLSTKLVNLSTVIL >DRNTG_18974.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22784679:22786394:-1 gene:DRNTG_18974 transcript:DRNTG_18974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHLKNSSTGTVLPFSTTFVFVMQPQINYEQVCGHGIAFTISQSKNLPGALPSQYFGLFNSTNNESKENHIVAIEFDTVQNLDLGDINDNHVGIDINSLKSVNSTPVSYVDDKDGVLKNLNLVSGNPMQVWIDYSAMDIKLDVVISPLGVPKPKHSLLSTKLVNLSTVILDDMYVGFSASNGAATSSHYILGWSFRINGKAQDLDISQLPSVPQQGKSKKKSSLLVIVALALVTATLLLLLCTGGIILVLKRRKKYAEVLEEWEVEFGPHRFSYKDLFKATKGFKERESPRCRRLRSGL >DRNTG_18974.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22785711:22786394:-1 gene:DRNTG_18974 transcript:DRNTG_18974.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHLKNSSTGTVLPFSTTFVFVMQPQINYEQVCGHGIAFTISQSKNLPGALPSQYFGLFNSTNNESKENHIVAIEFDTVQNLDLGDINDNHVGIDINSLKSVNSTPVSYVDDKDGVLKNLNLVSGNPMQVWIDYSAMDIKLDVVISPLGVPKPKHSLLSTKLVNLSTVIL >DRNTG_21499.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001221.1:56544:59731:-1 gene:DRNTG_21499 transcript:DRNTG_21499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKDGMFALYEHYLNASHQFPSSSSSTSSNKAKMPSMNEEVAQSSTFKDILMEFDSFESVETTPRKTQLVLYLEDPRIDRKTKLNALTFWQANQYRYPQVAAIARHVLSIPISTVLDQYRSSVKPDIIEALICTRDWLYGDQELQLQTRSLKNSQTTSWISISMAKMKRKRIFHHQIPSKHDFYFIVLKRLV >DRNTG_21499.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001221.1:56544:57197:-1 gene:DRNTG_21499 transcript:DRNTG_21499.2 gene_biotype:protein_coding transcript_biotype:protein_coding EFDSFESVETTPRKTQLVLYLEDPRIDRKTKLNALTFWQANQYRYPQVAAIARHVLSIPISTVLDQYRSSVKPDIIEALICTRDWLYGDQELQLQTRSLKNSQTTSWISISMAKMKRKRIFHHQIPSKHDFYFIVLKRLV >DRNTG_12361.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20866307:20866587:-1 gene:DRNTG_12361 transcript:DRNTG_12361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVMFTMLFLMPLFYYTPNVILSAIIISAVIGLIDFQTAVKLWKVDKLDFLACMCAFFGVLFISVQMGLL >DRNTG_25854.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8801903:8803508:-1 gene:DRNTG_25854 transcript:DRNTG_25854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDKLHLLFFPLMSPGHFIPMVDMARLFSSFPNVHCSFITTPANPITIFTTALFHFRDPITTLLHDLRPDALISDSLFPWTAAVARDLHIPRIIFHGAGAFPLYVSSKVLSQFPIQTPSFSIAGQPHEIHLHKDGLPELFSNFDMLRQLGEAEFTSYGVVINTFYEMEPSYVDYYKTNTKAWCVGPLSEFGREGRVEEDHEVLSWLDNQPEGSVIYVCFGSLCHFTAAELREIAVGLEKSGERFVWVVRKEFEEDEVKEEEWLPEGFEKRVEGRGMMIRGWVPQVKVLRRAAVGWFVTHCGWNSLQEGVVAGVGLVTWPLFHEQFVNQELAVEVMGVGVRMWDGFLTEKRGGGGCDGGGDRRSSEEGNGRWGGGGEGEEEGEGVWGEGEESGGGEWEYF >DRNTG_22654.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20546704:20553735:1 gene:DRNTG_22654 transcript:DRNTG_22654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTRFACLAVECCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYIVANQLYELCEAVGSEPTRVDLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILNPQLAIQQILPCVKDLSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVRFFDDRLGALCMQWLEDKVFSIRDAAANNLKRLAEEFGPEWAMQHIIPQVLEKANNPHYLYRMTILQAISLLAPVLGSDITCHNLLPVIVNASKDRVPNIKFNVAKVLQSLIPIVDQSVVENTIRPSLVELSEDPDVDVRYFASQAIHALDQVMMSS >DRNTG_22654.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20549618:20553735:1 gene:DRNTG_22654 transcript:DRNTG_22654.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTKTRFACLAVECCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYIVANQLYELCEAVGSEPTRVDLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILNPQLAIQQILPCVKDLSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVRFFDDRLGALCMQWLEDKVFSIRDAAANNLKRLAEEFGPEWAMQHIIPQVLEKANNPHYLYRMTILQAISLLAPVLGSDITCHNLLPVIVNASKDRVPNIKFNVAKVLQSLIPIVDQSVVENTIRPSLVELSEDPDVDVRYFASQAIHALDQVMMSS >DRNTG_18986.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22709852:22713731:-1 gene:DRNTG_18986 transcript:DRNTG_18986.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 5 [Source:Projected from Arabidopsis thaliana (AT3G12380) TAIR;Acc:AT3G12380] MTECPCNPVSSRSKMAELLFETYGVRSIAFGVDAAFSYKFNQQLGICDEDGLVVCSGLTTSHVFPFIRGEPVLDACCRTNIGGYHVTDYLKQLLSLKYPQHMSSITWEKAEDMKMEHCYVAEDYLSELELFQKKTKEAEEKTRCWQLPWVPPPQEESPTEEELARKAALKEKQGQRLRDMAAAKRSVRIMELENELRGLEDLVQELDEMDEHEISSFLSNTVYSSRLEIESALQKVSQSLRKARGEPSDSEERVDVLSAEKFPLIGIPDEKLTPEQLKEKRRQTFIKTMSEGRSRAKQKRADEETQKEKQIHQDEEKRCENPELYLESLRAKHKELSERIEQRKRLKTNGSKTNGSQNLNGAGGRGERLNAAQRERMRLLTTAAFDRGKGEDTFGARDEDWQLYKLMSRDNDDEEDEEDEAELARVTSRLQDIDPTYIGPLQPTNISQPARITPQTAEDFQIVLGVERFRCPEILFQPPMVGVDQAGLDEMVGVLFRRLYGVDDAMKESISNSILVTGGSLLFPGLVPRLEAGVRKIRPYLSPIRVVRASDPILDAWRGASAYASASQFSSQTFSREDYYEKGEDWLRGYHIKYDL >DRNTG_18986.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22709852:22715162:-1 gene:DRNTG_18986 transcript:DRNTG_18986.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 5 [Source:Projected from Arabidopsis thaliana (AT3G12380) TAIR;Acc:AT3G12380] MLSIDRPVRQSDYNRFSSTTPIVIDNGGSHFRIGWAGESDPRVIFRNTVQRPRHRATGETTTIVGDHDPALIKYFDCTRSSSRSPFDSNVVYQFEIMEYVLDYGFERLGAQGIQVDHPILMTECPCNPVSSRSKMAELLFETYGVRSIAFGVDAAFSYKFNQQLGICDEDGLVVCSGLTTSHVFPFIRGEPVLDACCRTNIGGYHVTDYLKQLLSLKYPQHMSSITWEKAEDMKMEHCYVAEDYLSELELFQKKTKEAEEKTRCWQLPWVPPPQEESPTEEELARKAALKEKQGQRLRDMAAAKRSVRIMELENELRGLEDLVQELDEMDEHEISSFLSNTVYSSRLEIESALQKVSQSLRKARGEPSDSEERVDVLSAEKFPLIGIPDEKLTPEQLKEKRRQTFIKTMSEGRSRAKQKRADEETQKEKQIHQDEEKRCENPELYLESLRAKHKELSERIEQRKRLKTNGSKTNGSQNLNGAGGRGERLNAAQRERMRLLTTAAFDRGKGEDTFGARDEDWQLYKLMSRDNDDEEDEEDEAELARVTSRLQDIDPTYIGPLQPTNISQPARITPQTAEDFQIVLGVERFRCPEILFQPPMVGVDQAGLDEMVGVLFRRLYGVDDAMKESISNSILVTGGSLLFPGLVPRLEAGVRKIRPYLSPIRVVRASDPILDAWRGASAYASASQFSSQTFSREDYYEKGEDWLRGYHIKYDL >DRNTG_25361.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24175134:24176655:1 gene:DRNTG_25361 transcript:DRNTG_25361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESVNEVEKGVENGKKWRFKKICVFCGSRSGNKPSFSEAALQLGKQMVERKIDLVYGGGSIGLMGLISQTVFNGGCHVLGVIPSALLPLEISGETIGEVRSVADMHERKSVMASHADAFIALPGGYGTMEELLEMITWYQLGIHEKPVGLLNVDGYYNSLLELIDKGWKEGFIEDSASQILVSAETAEELIRKMEMNAIEKIMKKDEGPSNKIKSNY >DRNTG_24905.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:470121:474061:1 gene:DRNTG_24905 transcript:DRNTG_24905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCHICKKYGHMKAQCWFRNKGANVASEEEKTPEEGSLFVMSAGLGCEEQTAATVWLLDSGCSNHMTGDRDLFKQLDDTPKQKVRLGDGKTLEVEGIGTVSLHASCGRKNDIKGVQFVPQLAHNLLSVGQLMSSGYSVLFEDEECIIRDKKTTKEIARVKMTAGRLFPFDMEDVGAAQVTQGVIEKSKLWHKRCGHINSNSLKQMAQQALVEDLPNISHVEACKACALGKQHRTAYPKHEAKRAQQPLELVHGDLVGPMQAPTLGGNVYFFLLTDDNTRFSWVYFMQNKSEALEHFKGFKQRLEKQLGLPLKAFQSDRGGEFVSREFDGFCNDHRIMRQLTAPYSPQQNGVAERKNRTVTEMARTMLMEKKMPVALWGEAVATAVHILNRAPTTALENQTPYEVLFGKKPTVKHYRVFGCVGFMLTLTHSRRKFDPKSTKCIFIGYSEESKAYRMLDPTTWKVFISRDVSFHEEAMYDWPDVNTSTQKLVFLDNETDDLTFLHPSNHSSDSTSSSPESSLNQSSDETTPVRYRSLDDIYTSCSFALSVTDPYTYNEAMKSQEWRDAMSEEISSILKNNTWELVAPPEGVKPVGLKWIFKSKYNPDGSLNKRKARIVAKGYSQLEGVDFTEVFSPVARMETIRLFLAVGAQQRWPVYQLDIKSAFLNGELKEEVFVTQPEGYEVEGKKNYVYRLRKALYGLRQAPRAWYSKIDEFFLQLGFVRSENEPTLYKKMKGTSDVLLLCMYVDDIIYMSSSGEMLAEFKSAMLSTFEMSDLGLLNYFLGLEVKQQKGSIFISQRRYAEGLLKKYGMLHCKSISNPMNTNEKLRRDDGSGVVSRFMQSPTMHHLGAVKRIFHYVSGTMGHGLLYECVSNPKLTGFTDSDWSGS >DRNTG_11315.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:9533290:9534423:1 gene:DRNTG_11315 transcript:DRNTG_11315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKRDADPDREESNGDPPPPPPPPPPPLIPGLPNDIAEQCLTHLPFPSNDARFVSSSWYHALPAASLRRSLSSSALPYLFIFSFHRPSLRLRWLALHPQSPSSWLPIPPMPLPSSSSPPIPSSFAIASLPRSGLLFVLGGMLSGSLAPLSTLLLYRTSTNSWLLSSSMPTPRAFFSAAAISGRIIVAGGDSHDPDTSVDRYDPNTNHWSSAAPMPQTLPLYDSAVIGHRFFVTGGWTWPFDDLPRGSFYDADMDTWVEMQRGMREGWTGVSAVVSGRLFVVSECGEARVKAYDVDADTWQKVDGGSVPVAVGRPYAVCGGEGKIYVVGSGLDVAVGSVVVDEDEGERIMGMEWEVIKAPDEYTLLAPISCQLIYA >DRNTG_03097.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:513458:517668:-1 gene:DRNTG_03097 transcript:DRNTG_03097.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNLRILPLLIALLLISMSQGTAIRDTITPTQPLGDNETLISEDGTFALGFFRPTGNTNNLYMGLWYNKIKDKTVVWVANRENPVINSSTGFLSISINGNLIISDQNSKVVWSSNTANVTNPVAQLLNTGNLVVRDLDDKENSFAWQGFDYPTDTLIAGMKLGVDLVTGLNRTLTAWTSDSDPSPSQYYAMMDIHGDPQLVLCQGSKKVWRTGPWNGFRYSGVPDTITYSGFNFSFINNKQEITYSFTTNLSVLSRLLVNKSGVAQRSVWVEGGGFWNIFWYAPRDQCDYMPPCGPYAKCDPNNSPICDCIQGFTPKFPDKWAFRDGSDGCERKTPLDCKNGTDGFLLVPGTKLPETSNSTVDMSLSLADCKTKCLNNCSCTAYAPADVRNGGSGCILWATELTDLRVYTGDSYAQKLYVRLAAADLDKSSSTKSHGSGKWIIILVTLLGLVLLIVVWVGYLKLRQMKRRRTGAILEISASFSEPSSSNQVADSLPGKDIELPLLDFNTIAAATDYFANANKLGEGGFGPVYKGKLGDEQEIAVKRLAKTSVQGLDEFKNEVMLIAKLQHRNLVRLLGCCIEREERLLVYEYMPNKSLDFFLFGKPKDVVFDWETRFKIIMGIARGLLYLHHDSRLRIIHRDLKASNVLLDEEMTPKISDFGMARIFGGDEAEANTRKVVGTYGYMSPEYAMDGIFSQKSDVFSFGVLVLEIITGKKNRGVYLAAPHTNLLDHASSQ >DRNTG_03097.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:512868:517668:-1 gene:DRNTG_03097 transcript:DRNTG_03097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNLRILPLLIALLLISMSQGTAIRDTITPTQPLGDNETLISEDGTFALGFFRPTGNTNNLYMGLWYNKIKDKTVVWVANRENPVINSSTGFLSISINGNLIISDQNSKVVWSSNTANVTNPVAQLLNTGNLVVRDLDDKENSFAWQGFDYPTDTLIAGMKLGVDLVTGLNRTLTAWTSDSDPSPSQYYAMMDIHGDPQLVLCQGSKKVWRTGPWNGFRYSGVPDTITYSGFNFSFINNKQEITYSFTTNLSVLSRLLVNKSGVAQRSVWVEGGGFWNIFWYAPRDQCDYMPPCGPYAKCDPNNSPICDCIQGFTPKFPDKWAFRDGSDGCERKTPLDCKNGTDGFLLVPGTKLPETSNSTVDMSLSLADCKTKCLNNCSCTAYAPADVRNGGSGCILWATELTDLRVYTGDSYAQKLYVRLAAADLDKSSSTKSHGSGKWIIILVTLLGLVLLIVVWVGYLKLRQMKRRRTGAILEISASFSEPSSSNQVADSLPGKDIELPLLDFNTIAAATDYFANANKLGEGGFGPVYKGKLGDEQEIAVKRLAKTSVQGLDEFKNEVMLIAKLQHRNLVRLLGCCIEREERLLVYEYMPNKSLDFFLFGKPKDVVFDWETRFKIIMGIARGLLYLHHDSRLRIIHRDLKASNVLLDEEMTPKISDFGMARIFGGDEAEANTRKVVGTYGYMSPEYAMDGIFSQKSDVFSFGVLVLEIITGKKNRGVYLAAPHTNLLDHVWNSWKEGNSLQMVDESIGYSYPMNEVMRCINVGLLCVQNHPEDRPLMSSVILLLSSDNTLLPYPKEPGFAARRVPHQMETTSSKPYSSSINGITVTLFEGR >DRNTG_19912.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:6053749:6058044:1 gene:DRNTG_19912 transcript:DRNTG_19912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSAPAANNDSGCHTGGATTASTCNNNATVHVASSRSAPLPDLTPHSSIPSSSDDSNINDEVATNNTCTRLDNVHPINEGVDLNTCMLARSGQQIGLQYESWHKVPKTLKDELLKFIEETSSGRKVGRLELFRATHTKKDGSHMNMETEQIMEKANEKLAGCQTIDEDMQMVEIEILTQVIGKERCGRVRGLGLGPTPKSYYGVPRNRNSTNSNTQSSEFVEQIQQMEQEMEQMKRERAQERAEHECQCTQYNALLTFLQNQYPGVTIPGINNTGSSSHAQNNLLEIS >DRNTG_25581.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29760506:29763039:1 gene:DRNTG_25581 transcript:DRNTG_25581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVQRVCAIKNLCQTTCETAFPNCRPVIGNCIVAITGAACSCSFNC >DRNTG_17704.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3969419:3978131:-1 gene:DRNTG_17704 transcript:DRNTG_17704.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACPEKVLEVNIMEVGYLLLRPPASVKDLLALLDRAESLLSRVEQSPSPTMSASIFSATKALVSKGIFRHQDKDIRLGATSCISEITRITAPDTPYDDDLMKEVFQRIVEAFEKLDDMTSESYPRRVSILETVAKVRSCVVMLDLECDELILQMFHHFLRIIGSNDSENVFSSMETIMTLVIEESEDIPSGLLSCLLAPVKEDNKDVLPAARRLAEKVFINCGTKLRPYLLDAVNLAGGCLSDYSDIVASVCHERSEALDHDDLVDSRSHLVSGILEPEAGYPKGVDKSKNLVMSNRAVLLGNGDSTVEATSQEQMADKLKSEAVKVDNTSDCNTKNSRNHKRSFSAQLTEEADDSQIDSDNMVPNRRRGQDKEIGSLSSGSPSIKEADPSTCSEHGEAQPPMHSENGGALVTSLKTNGDLPEAGRPRRSRHSGLKTQVRKNGMAVMGSSKGDSRNDGRLDEGVVSPKTDVNSAGDSEVKLRRRSGKKLHVDNSDDGGILAIDNLSKNDGDFSNVAAEDTKRNGKKGGRRRPGLDDLSGKRKSNIVPQKRKTSLGMNEPVEHSLKEMGRSHKAAIKVPSKDHSHLDESAKDKIS >DRNTG_17704.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3969419:3978131:-1 gene:DRNTG_17704 transcript:DRNTG_17704.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACPEKVLEVNIMEVGYLLLRPPASVKDLLALLDRAESLLSRVEQSPSPTMSASIFSATKALVSKGIFRHQDKDIRLGATSCISEITRITAPDTPYDDDLMKEVFQRIVEAFEKLDDMTSESYPRRVSILETVAKVRSCVVMLDLECDELILQMFHHFLRIIGSNDSENVFSSMETIMTLVIEESEDIPSGLLSCLLAPVKEDNKAS >DRNTG_17704.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3969419:3978131:-1 gene:DRNTG_17704 transcript:DRNTG_17704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPKTKSRRKRSRRAREETPEIEDDKEQLDERVVGSKIKVWWPEDKLFYEGKIDAFDPATKEHKVVYLDGDVEVLLLKNEQYKLIEGDAVEDEGEDEHTPSPSGHSEGPPVKKAKNLNSVPRNPTKDAKEKSAGKSKGKSRSGDQGSTGKQGSDTRRTRSKVSAPNVTSPLVPSVKSKEKAATKIQESTTKIGAHEREHHKKSMDASLEPSSAADAPVTKTKVKEGAPAKSGSKLKDTVSKTSAVTTDAKISETAGNSDNEMVDAAIKLKNADKDTPKTGRKRGNPMAASISSKSKVNSNSSTKGKSKVKQIMTSVANASADSDNIQEESVSASGKKRRRKVHS >DRNTG_22780.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3970185:3970540:1 gene:DRNTG_22780 transcript:DRNTG_22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKELVRSDGEHLLKLPLPQVIQKNKFAWRTDEEFGREMLAGVNPVIIRR >DRNTG_18317.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2508272:2509055:-1 gene:DRNTG_18317 transcript:DRNTG_18317.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDVIAAQQLLQLSSGEEDHDYEEEYNKKKREKMRKPRAETRGENEEEEDEDEERPRKKRRFLSVAYIYMVTQPVVLIKL >DRNTG_18317.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2508084:2509055:-1 gene:DRNTG_18317 transcript:DRNTG_18317.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDVIAAQQLLQLSSGEEDHDYEEEYNKKKREKMRKPRAETRGENEEEEDEDEERPRKKRRFLSVAYIYMVTQPVVLIKL >DRNTG_18317.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2508272:2509151:-1 gene:DRNTG_18317 transcript:DRNTG_18317.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDVIAAQQLLQLSSGEEDHDYEEEYNKKKREKMRKPRAETRGENEEEEDEDEERPRKKRRFLSVAYIYMVTQPVVLIKL >DRNTG_18317.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2508494:2509055:-1 gene:DRNTG_18317 transcript:DRNTG_18317.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDVIAAQQLLQLSSGEEDHDYEEEYNKKKREKMRKPRAETRGENEEEEDEDEERPRKKRRFLSVAYIYMVTQPVVLIKL >DRNTG_18317.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2508494:2509151:-1 gene:DRNTG_18317 transcript:DRNTG_18317.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDVIAAQQLLQLSSGEEDHDYEEEYNKKKREKMRKPRAETRGENEEEEDEDEERPRKKRRFLSVAYIYMVTQPVVLIKL >DRNTG_18317.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2508084:2509151:-1 gene:DRNTG_18317 transcript:DRNTG_18317.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDVIAAQQLLQLSSGEEDHDYEEEYNKKKREKMRKPRAETRGENEEEEDEDEERPRKKRRFLSVAYIYMVTQPVVLIKL >DRNTG_21125.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2560597:2562762:1 gene:DRNTG_21125 transcript:DRNTG_21125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDSNTKPIIKLVKLDKALKLAETWVSNMSESRTDEVNRDEYEARPPGLGLGARVIPKATPLASNDRAGRVLLGKLNAQKRQTLKNAEKLDITKKIEASDDDEDERESRTFSFSKKRATPPATSFSSSKRNK >DRNTG_21125.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2560597:2562762:1 gene:DRNTG_21125 transcript:DRNTG_21125.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDSNTKPIIKLVKLDKALKLAETWVSNMSESRTDEVNRDEYEARPPGLGLGARVIPKATPLASNDRAGRVLLGKLNAQKRQTLKNAEKLDITKKIEASDDDEDERESRTFSFSKKRATPPATSFSSSKRNK >DRNTG_29427.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13130:15387:1 gene:DRNTG_29427 transcript:DRNTG_29427.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRTPVQFSLKNLDVDTPVQQLSIGGRNEDVESSEKPKKFKDLECIYSNTEEMEEVECETELYLVAIEGPSHFKEAVTEEIWRKTMQEEYSQIMKNKTWDLVTPLSDCKPIGLRWVFKLKRNTRGEVVQHKARLVAKGYVQKAGVDFEEVFAPVARMETMRLILALAAQMGWQVHQMDVKSAFLNGEIEEEVFVKQPDGFIVKNHEEKVLKLRKALYGLRQAPRAWNIKLDNSLLSFGFKRCTQEHAVYTKTCGIDRLIVGVYVDDRVITGSCLNLIEEFKEQMKVEFEMTDMGLMNYYLGIEISQTTKHITLCQSAYAKNILKEMGMMNCNPAHTPMEERLKLKRDGGAVKVNKTEYRSLIGSMRYLTHTRPDLMYSVGILSRFLEAPTTEQMAAAKRVLRYVKGTIDYGIVYYKRSKEKELTGYSDSDHAGDVEDRKSTSGMVFMLGNSLISWGSVKQKVVALSSCEAEYIALTGAACQGIWLARLKSELTGEPKKTVKLFVDNMSTIALSKNPVHHNRSKHIETRFHFIRHCIETKQVEVEHICTKEQKADIFTKALGRLNFVEMRTRLGIKKTKE >DRNTG_29427.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13130:15208:1 gene:DRNTG_29427 transcript:DRNTG_29427.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRTPVQFSLKNLDVDTPVQQLSIGGRNEDVESSEKPKKFKDLECIYSNTEEMEEVECETELYLVAIEGPSHFKEAVTEEIWRKTMQEEYSQIMKNKTWDLVTPLSDCKPIGLRWVFKLKRNTRGEVVQHKARLVAKGYVQKAGVDFEEVFAPVARMETMRLILALAAQMGWQVHQMDVKSAFLNGEIEEEVFVKQPDGFIVKNHEEKVLKLRKALYGLRQAPRAWNIKLDNSLLSFGFKRCTQEHAVYTKTCGIDRLIVGVYVDDRVITGSCLNLIEEFKEQMKVEFEMTDMGLMNYYLGIEISQTTKHITLCQSAYAKNILKEMGMMNCNPAHTPMEERLKLKRDGGAVKVNKTEYRSLIGSMRYLTHTRPDLMYSVGILSRFLEAPTTEQMAAAKRVLRYVKGTIDYGIVYYKRSKEKELTGYSDSDHAGDVEDRKSTSGMVFMLGNSLISWGSVKQKVVALSSCEAEYIALTGAACQGIWLARLKSELTGEPKKTVKLFVDNMSTIALSKNPVHHNRSKHIETRFHFIRHCIETKQVEVEHICTKEQKADIFTKALGRLNFVEMRTRLGIKKTKE >DRNTG_29427.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13203:15208:1 gene:DRNTG_29427 transcript:DRNTG_29427.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRTPVQFSLKNLDVDTPVQQLSIGGRNEDVESSEKPKKFKDLECIYSNTEEMEEVECETELYLVAIEGPSHFKEAVTEEIWRKTMQEEYSQIMKNKTWDLVTPLSDCKPIGLRWVFKLKRNTRGEVVQHKARLVAKGYVQKAGVDFEEVFAPVARMETMRLILALAAQMGWQVHQMDVKSAFLNGEIEEEVFVKQPDGFIVKNHEEKVLKLRKALYGLRQAPRAWNIKLDNSLLSFGFKRCTQEHAVYTKTCGIDRLIVGVYVDDRVITGSCLNLIEEFKEQMKVEFEMTDMGLMNYYLGIEISQTTKHITLCQSAYAKNILKEMGMMNCNPAHTPMEERLKLKRDGGAVKVNKTEYRSLIGSMRYLTHTRPDLMYSVGILSRFLEAPTTEQMAAAKRVLRYVKGTIDYGIVYYKRSKEKELTGYSDSDHAGDVEDRKSTSGMVFMLGNSLISWGSVKQKVVALSSCEAEYIALTGAACQGIWLARLKSELTGEPKKTVKLFVDNMSTIALSKNPVHHNRSKHIETRFHFIRHCIETKQVEVEHICTKEQKADIFTKALGRLNFVEMRTRLGIKKTKE >DRNTG_30869.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001638.1:55220:60429:-1 gene:DRNTG_30869 transcript:DRNTG_30869.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAFASLSPLHSSPSFLFSCPRLRLRAFHRLPIIRAASSPIEFNITFAPSPQTPKILVPDPSPQAPSSSSLPLLIPWIVRDENGNLKLQSTPPARFLHALSEQKLAKEKKNLKQTKATSDSSSPSKTSSGQPKYSKAARRFYNEKIREPQRLSKVLAAAGVASRRSSEELIFEGKVTVNGSVCTSPQTRVDLLKDSIYVNGNRISKKLPPKLYFALNKPKGYICSNGEESKSVISLFDEYLKRWNKINQGLPKPRLFTVGRLDVATSGLIIVTNDGDFAQKLSHPSSKLSKEYIATIEGGVRRRHLIAISEGTEIEGIHCTPDSVELLPTQPDAAKPRLRIVVHEGRNHEVRELVKNAGLQLHSLKRVRIGGFRLPHDLGLGKHVELKETDLKLLGG >DRNTG_03991.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25702076:25702951:1 gene:DRNTG_03991 transcript:DRNTG_03991.1 gene_biotype:protein_coding transcript_biotype:protein_coding PKCRIFLPWCKSTCKEEQKANITSRRRNGSKELAFGNKSMM >DRNTG_22557.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001244.1:157209:157985:1 gene:DRNTG_22557 transcript:DRNTG_22557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYANDPAYHVYDRLRLVKFMVMDEGFNLDDALVFHYAIENYSYGLPQHGRRSPPPPLSTPASTSTTACGHSSAPATLILPLPLPVMLFSPVLGLLSLPAMTSPPPCFVPASLRMVGNVDEVLKVYQHIILTIGLIDSNRICEAVDLLREMLNRGHGADSVVYNNLIVGFIDFGNMDKALELFDELRERRKVYDGIVHATFMEAHFKQGKDKEVMDSCQPLISPVTY >DRNTG_34270.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002110.1:49763:50296:1 gene:DRNTG_34270 transcript:DRNTG_34270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAHSCGLDEKCGFRSILAEYCSSTVANHCSSYCLQLTGNQLMEIDMGIWKFHMLVWMPDSRPYKSCDLGFFSNLFLIFSQSLESSLLGSGEALARSLEWFYRLGQCVPSEVSYWESFRRH >DRNTG_27377.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001392.1:1:7319:1 gene:DRNTG_27377 transcript:DRNTG_27377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMFNPDPYDGLFDQEEGHEDVMMLGSTDEVSSTTGILKKVLRIMKRARRCHRKRSKAVGDVHEPKKLDEPLIGGSECVNMFRNFLQSLQIFLSHPKKTHGLVEFLHTHGFALQAHPEKAQGRAATPVNYHATVTRPWVIFAWACEFLQSWADFTESTQGRGLAPVSDLVNHARAWVIFARPCETLQRSSLHPEKTQGRAAAPVSLASYSRRGAAPMGRGHGRGYFPQPLREKSESKERFPEKAQGRAHATVALLNVAMRFSRLVDPHGSKKQADKRPRESSPEPEGMRFAIPEHRVRYERLSRLCFGQTRFLDTTILRDLQHGDEFADEIEDLISESGWRYLLTIRKPAIREFTLEMLSSFEFDRLYASFDSVDIIQFRVFGHHHSVSITQFSVLLGLYEEAFTDTEEYAQLLTDYPGTLTPHRVYRVLCGQGQYEPGVSKATCLSRPAYRYLHAIMSRSGNGRGDSTGVLSRQELLYLYSMVQRIPIHLGYILAEYIRHQGHIARLGMIFSGPYITRLMLVMGLLDSIRGAEKTSVSAPLSLEMMRLMGMVRRVRTGVFALVLPTPEIAEDEGDEAGASQPAPEPQPTMMETEAPPLAEEPPPSAYEILERDVASSFVLRAEDSSSPLGSSKDLHP >DRNTG_03469.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17797166:17799504:-1 gene:DRNTG_03469 transcript:DRNTG_03469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPHQMQHLEGHRIHASIFGEKHEEEEATKKSG >DRNTG_33420.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19083444:19084745:1 gene:DRNTG_33420 transcript:DRNTG_33420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIADRIISPLILFPPNLGSNIATVIVPLARPCPPRIFLFQVTDNTYEQLAIKVLSTLELSCVTITFYRADYIQFQIFGMLHRTGRGTPSDAWRWLSSSLTYDPHRSKATALHSLVLHYIHFMLGHTQIGHGDNTSVVNLQDFNFLISMVDRFHLDLGYEVAVSISHQGISPHVDAFFVAPYITRLVCHMGTLRQLIRCEWVVTIRGTEYRVTLTDDPPASDTIIPTSTSPLQQTQATSSRPRSWPLPATSEPIFCSSIEFQWLQRHVVRIAEHLVVWVSPESFSTARPKRHVGIDTADLGDSDTSAIRFVDGSGPKCTAF >DRNTG_02183.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1374450:1377026:-1 gene:DRNTG_02183 transcript:DRNTG_02183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNEEKAQSMLNRFIAMKNEEKRKPKERRPYLASECRDLAEADRWRGEILREIGVKVAEIQNEGLGEHRLRDLNDEINKLLRERAHWERRIVELGGPNYSRHSAKMTDLEGNIIDVPNPSGRGPGYRYFGAAKKLPGVRELFEKPPEIRKRRTRYDIYKRIDASYYGYRDDEDGILQRAEGPAEREMRAEAVAEWKRMDEIRKEARRAVKSGEEDVVEEERRIEREKEEMERGKEHEFVVHVPLPDEKEIERRIVEKRKEELLNMYVSNALLDQQNEARTMLNVQR >DRNTG_15033.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23738591:23741020:-1 gene:DRNTG_15033 transcript:DRNTG_15033.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAQASTGLVGPLGQGSSLQNVNHIAHLSGALVGVALVLFLYRIPTQPSDLDSKDGNGKQT >DRNTG_15033.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23738591:23741020:-1 gene:DRNTG_15033 transcript:DRNTG_15033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLSLFRGASPAPSLAVTGTAASAAARFSIPRARLHALRAPATFRHSYRWTLFPRDKTSALIARRRPVGCRMKGESSDITSELELGRPEGKKLPNKRVNGIFWILLINLGIYVADHVFQVQGIKSLYLYHSWPAWYQFVTATFCHANWNHLSSNLFFLYIFGKLVEEEEGNFALWISYILTGAGANLVSWLVLPRFAISVGSIRCCLWTLCYKCTCEVIMGLEKNS >DRNTG_15033.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23738591:23738850:-1 gene:DRNTG_15033 transcript:DRNTG_15033.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAQASTGLVGPLGQGSSLQNVNHIAHLSGALVGVALVLFLYRIPTQPSDLDSKDGNGKQT >DRNTG_02382.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7711613:7714881:1 gene:DRNTG_02382 transcript:DRNTG_02382.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLRRLLVFSHDIGNPRVGLVLGHARSLSSSSPAPPEAERRAVVEDEKKGEERNGPGSEGRKVDGEDEEGDDDNGGVYANKVTGEVGGPRGPEPTRYGDWERGGRCSDF >DRNTG_02382.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7711613:7712852:1 gene:DRNTG_02382 transcript:DRNTG_02382.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLRRLLVFSHDIGNPRVGLVLGHARSLSSSSPAPPEAERRAVVEDEKKGEERNGPGSEGRKVDGEDEEGDDDNGGVYANKVTGEVGGPRGPEPTRYGDWERGGRCSDF >DRNTG_02382.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7711613:7714881:1 gene:DRNTG_02382 transcript:DRNTG_02382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLRRLLVFSHDIGNPRVGLVLGHARSLSSSSPAPPEAERRAVVEDEKKGEERNGPGSEGRKVDGEDEEGDDDNGGVYANKVTGEVGGPRGPEPTRYGDWERGGRCSDF >DRNTG_11161.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31174010:31175601:1 gene:DRNTG_11161 transcript:DRNTG_11161.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tobamovirus multiplication 2B [Source:Projected from Arabidopsis thaliana (AT1G32370) TAIR;Acc:AT1G32370] MVADQICQAVQSTSNLLHLMQNSSPAQVYLMKLPKNILAKSSTVKNTGQVLDQLPRVISSLDAYMENGLQSVLHLKTVTQLLSNMESSQLRSSSQVIQSEEEKNDADSENASEAFKS >DRNTG_11161.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31174010:31176518:1 gene:DRNTG_11161 transcript:DRNTG_11161.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tobamovirus multiplication 2B [Source:Projected from Arabidopsis thaliana (AT1G32370) TAIR;Acc:AT1G32370] MVADQICQAVQSTSNLLHLMQNSSPAQVYLMKLPKNILAKSSTVKNTGQVLDQLPRVISSLDAYMENGLQSVLHLKTVTQLLSNMESSQLRSSSQVIQSEEEKNDADSENASEAFKS >DRNTG_27533.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21055090:21058390:1 gene:DRNTG_27533 transcript:DRNTG_27533.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCRECNNILYPKEDREQKVLLFACRNCDHQEVADNNCVYRNVIHHAPGEFTQVLQDVAADPTLPRTKSVRCSVCNHPEAVFFQATARGEEGMTLFFVCCNPSCGHRWRD >DRNTG_35081.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19532562:19545691:1 gene:DRNTG_35081 transcript:DRNTG_35081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLEVEDDNANPGMGFDGFDGVRQPGVKFDASQYAFFNKGSVEEVELGGLEEDDYIGNDGFANLDDEDFSFSAIGDREECEAFGTLSDMDDLASTFSKLNRVVSDARGVGVIGYRGSISRESSSTADGTRELDLNLLDQQVFDAENAHDGNRWSLPHPSKLSLADSKPISRTSSYPQQPQQENDISEPIIFPKSAFISYPPPGGRLQVPPNQSHQPSISSPHGGMQIPFSAPNFSHFSGSQHHLAGMPHGLPHSGNIAQFAPAGHSASSQQQNHWLNKANPFPGERPNLLLQQQFPHPNGLMSSELLLHQQNRLHHMQPPIPHFSQLQPQLFSPHLSQPQPQMMNNFEAMVGTNNIRDQRMKLALRGRQNLWFPPQGSEGSNSKSESVWPKFRSQYMSADEIESILRMQHAATHSSDPYVDDYYHQACLAKKSAGSRLKHHFCPSTIRDSSRARTNNEPHAYLQVDALGRISFSSIRRPRPLLEVGSLSNSGDVLDQQSSVKPLEQEPMLAARIAIEDGLCLLLDVDDIDRMLQFNPSQDIGSQLKRKRQVLLEGLAASLQLVDPLGPGKAGHSVGLSPKDDLVFLRLVSLPKGRKLLSRYLQLLFPGSELTRVVSMAVFRHLRFLFGGLPSDAGAAQTTINLAKMVSSCVQGMDLSALSACLAAVVCSLEQPPLRPLGSSAGDGASMIIKSVLERATELLTDNQAASKYTIANRNLWQASFDTFFGLLTKYCLGKYETIMQSLLIQAPNVSAISSEATRAISREMPVELLRASLPHTDEQQRKQLLDFAQRSMPVTGVNANGSSAGSMTSESVPS >DRNTG_14503.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000696.1:1:13659:1 gene:DRNTG_14503 transcript:DRNTG_14503.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSRGRKKNEAVYALVATVSLALNKVHHSIPIGRLKGWSSVVDRMARPYLDLRLKKDSRWNKLFVLYYLVRLEYSHPKQKPSSLGGLLRGSFEMME >DRNTG_02607.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21312243:21313718:-1 gene:DRNTG_02607 transcript:DRNTG_02607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNFSNGFLPNDLIIQILSKLPIKTLFRCKLVCKFWCRLPSEKYFIDLYSQTSSKNPTLLLEIICTLRTRSSYICVDRFSGGLGVSLDFLNDRVKIRASCNGLLCCASTRNRGVYYVCNPMTREFRLLPRARGRAITRCQPEYEATLIGLAFDPKSWKYQVALAGFYRPFGRRPHDQLVCLVLDSDTNVWRRFVTCQYDEFTHMNRNQSVFANGLLHWLTYSCSHVLALDLKDEVWMKISLPEEIVAGGLVCRVYLLELEGEVSVIQMSGCWMNIWVLKDHVREQWILVDRVHLRCIRGLATNAFPMCQTSDVVFLAAQRKVLTYGRKDKVWREVYAEQDSSTYPLWFSAHAFQSTLFPCNQGDFLE >DRNTG_28103.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28004368:28010310:1 gene:DRNTG_28103 transcript:DRNTG_28103.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHAPERONE-LIKE PROTEIN OF POR1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G23040) UniProtKB/Swiss-Prot;Acc:Q9FN50] MATILSSSSTCLSRPVLPPRRVFLRSLTEVRRGTFGVVPMRVCCSADVALGGGGFVHENVPKFPRMRVWDPYKRLGVSLDASEEEIRGARNFLLEQYAGHEQSVESVEAAYEKILMKSFRERKKSKINLKSKLKKKVEESPTWVKTLLSFVELPPIDVILRRLFLFVFMGVWSVLNSAETGPAFQVALSLGSCIYFLNEKMKSLARSSITGFVTLVLGWVLGSIFVPVIPIALLPPTWSLELLTSLVCFVFLFLACTFLK >DRNTG_06760.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21575468:21576844:-1 gene:DRNTG_06760 transcript:DRNTG_06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMREEVNKNKKKNNKKNEKQQERVSKSKAAAAAAAFLTCHSSNRKRLHHLHPHPQHQHHLRWSTTVSDSEPDTTTVTRSLPSSDFLLMHMTSRANKDNNNNNNACCSSLASCPAFSLASLDDKRPSTPSPVCSPLSSDLLLHNITSPSPPPPHHHHHPDDHQSICVMANGCCKKLVVGDEVSPLHKIIREGGEGGVEKQSWTPKSNGSAASRLSRSACRYLQCDKEIIMGDINCEDGGRVMLPVLVEEKEDKGCNKGDHHLPLPTQSSHFSADDDEYYTGSSSGGRGSEEYDVNSENEITVEKEERLRPTRDRPMNGIVVATDIRTEVEAKLNAWKEA >DRNTG_06760.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21575468:21575667:-1 gene:DRNTG_06760 transcript:DRNTG_06760.2 gene_biotype:protein_coding transcript_biotype:protein_coding DDDEYYTGSSSGGRGSEEYDVNSENEITVEKEERLRPTRDRPMNGIVVATDIRTEVEAKLNAWKEA >DRNTG_34763.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:8259329:8268020:1 gene:DRNTG_34763 transcript:DRNTG_34763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSRAIWKKVSGRAFVGTDPARCILGRTKAPLRRLQVMIRGNPSILIEGDPELERGTLGSKTPGEARQLIEEMGLNNYQWNAKKNKKVAGLHEIDAVTSLAAQVESLSLETRMKDLEKALTRFVQSSDTRFQSVEATLHNHTASLHNLENQVGQIAKSLSEKPQESLPCNNETNLREHVKVITMRSGHEVEEEVPSSSGILKKVLRKMKRARRRHRKRSKAVGDMGEPNKLDESLLDRVVKLCFKKRSQKQIANALFDDILEGTNAKTQVGLKDTLMAMWMPGSSPLKAAIQPRFMDHFPNLFFHLSPSLEAPATRVWRGFGKALERFDGLRHRVSFGRKLLGSFRRHRSGESLQSFLRHLKKTHGRVELTHARGFVLRGRHIITERVYLRP >DRNTG_18824.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2754379:2755676:1 gene:DRNTG_18824 transcript:DRNTG_18824.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDQPSGEDDFPHQPYVWEKRESSSHNPGGSGGYSSKTMSILNSNPNHLEFANLYPNSYVDKQLLAIDCSERTRDATISLLVSSLQEKKEPKDLNPQVHPVPIKIQYRGR >DRNTG_18824.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2754379:2761974:1 gene:DRNTG_18824 transcript:DRNTG_18824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDQPSGEDDFPHQPYVWEKRESSSHNPGGSGGYSSKTMSILNSNPNHLEFANLYPNSYVDKQLLAIDCSERTRDATISLLVSSLQEKKEPKDLNPQVHPVPIKIQYRGR >DRNTG_18824.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2754379:2760727:1 gene:DRNTG_18824 transcript:DRNTG_18824.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDQPSGEDDFPHQPYVWEKRESSSHNPGGSGGYSSKTMSILNSNPNHLEFANLYPNSYVDKQLLAIDCSERTRDATISLLVSSLQEKKEPKDLNPQVHPVPIKIQYRGR >DRNTG_03163.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18577168:18578527:1 gene:DRNTG_03163 transcript:DRNTG_03163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNLVNGRCYLTPVVETLAELKDNMTPQYWEITRRTPFTAFTELEAIFQERVLLDSLLQSRVSEEENPLSVRGEATHKWLMEDIPQAATRVQDICAVKKTNIGYIKGCSVALNIWFYELTGTGKKVHFGKIPRMLCYDESTYRKQAMIETSLPSLEGKEFFYLLGTTDGWMLLLRNHLLEGRMRGQPLSCVLDAVLLLPSHHTHAFLDAVEALPYLARLQQPPDHDIDSPPDYGSFPDHGSPSDRGTPSTALGEDVIVTLMQAC >DRNTG_30308.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16625304:16625902:1 gene:DRNTG_30308 transcript:DRNTG_30308.3 gene_biotype:protein_coding transcript_biotype:protein_coding SYKYEKNVSKNMSRQRKEYDKTKFLEG >DRNTG_30308.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16623270:16625902:1 gene:DRNTG_30308 transcript:DRNTG_30308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHQIKKKGRGGKQLSKKPPNCPPLLGLDGIPLPFKSSLP >DRNTG_30308.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16623829:16625902:1 gene:DRNTG_30308 transcript:DRNTG_30308.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHQIKKKGRGGKQLSKKPPNCPPLLGLDGIPLPFKSSLP >DRNTG_23300.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1225560:1228247:-1 gene:DRNTG_23300 transcript:DRNTG_23300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKKGNKVEILSLKEVPVHSWRCAKIISGNGHTYQVQYDIPLFDRGDAIERVPRKAIRPCPPPIDSPGSLVPGDSVEVFENNSWSVAEVTEVAYGGLISVRILGATKVTRAHMSNIRLQQDWQDNKWVVIHQEPRKCDNGTIKGQSKNRNVSNGMPQSCLESRNCSGDGLSFAKKHYDAADYAINLKKRCRICLPPVEDGIGACRMIGTVKKEGRCKTMTVMASSKSLKKVNAVASPCKLLGGNYMNSSLNNNRATEFFETDSDWARPNEDRYFFLGSLDSNDSESVGSCSISSIPNESADHPVSSPTPELESQDDEVEAFIGAGRETSCSYEDLAAEVHRQELHAYKCTIMALYASGPLSWEKENLLTDLRLVLHISNDEHLLEVRHLVSSHNS >DRNTG_23300.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1221369:1228247:-1 gene:DRNTG_23300 transcript:DRNTG_23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKKGNKVEILSLKEVPVHSWRCAKIISGNGHTYQVQYDIPLFDRGDAIERVPRKAIRPCPPPIDSPGSLVPGDSVEVFENNSWSVAEVTEVAYGGLISVRILGATKVTRAHMSNIRLQQDWQDNKWVVIHQEPRKCDNGTIKGQSKNRNVSNGMPQSCLESRNCSGDGLSFAKKHYDAADYAINLKKRCRICLPPVEDGIGACRMIGTVKKEGRCKTMTVMASSKSLKKVNAVASPCKLLGGNYMNSSLNNNRATEFFETDSDWARPNEDRYFFLGSLDSNDSESVGSCSISSIPNESADHPVSSPTPELESQDDEVEAFIGAGRETSCSYEDLAAEVHRQELHAYKCTIMALYASGPLSWEKENLLTDLRLVLHISNDEHLLEPQVESGRLLPMGRGTYRNNSGLVSQHCLKSELDRYIILSGESNMELWNMDKLEYLCGRGSFYQKL >DRNTG_23081.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20412802:20425001:1 gene:DRNTG_23081 transcript:DRNTG_23081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRDPEPSLRRRITVASPADPVFGTIPAAVDSTTDSGDDSVVDTTSDPDLTDGVVNGDQGEEKKNLASVSEGADDRSGAEGGVPPMFLYRASAPAHRRMKESPLSSDAIFKQSHAGLFNLCIVVLVAVNSRLIIENLMKYGLLIRAGFWFSLGSVRDWPLLMCCFSLPAFALAAFAVEKLVWKKLISEPVAVSIHILLTTAELVYPVYMISRSDSAVLSGLVLMLFTTIVWLKLVSYAHTNYDLRNFPDSDNKNYYTQGVDFQGLAYFMVAPTLCYQPSYPRTTSIRKGWVTRQIAKLVIFMGLMGFIIEQYINPIVKNSQHPLKGDFLYAIERVLKLSIPTLYLWLCMFYCLFHLWLNILGELLCFGDREFYKDWWNAKTVEEYWRMWNMPVHKWMIRHIYFPCLRNGISKEVAVLISFFISAVFHELCIAVPCHIFKFWAFIGMMFQIPLVILTKYLQKKFKSSMVGNMIFWFFFCILGQPMCILLYYHDVMNRKARRN >DRNTG_23081.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20415483:20425001:1 gene:DRNTG_23081 transcript:DRNTG_23081.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTTIVWLKLVSYAHTNYDLRNFPDSDNKNYYTQGVDFQGLAYFMVAPTLCYQPSYPRTTSIRKGWVTRQIAKLVIFMGLMGFIIEQYINPIVKNSQHPLKGDFLYAIERVLKLSIPTLYLWLCMFYCLFHLWLNILGELLCFGDREFYKDWWNAKTVEEYWRMWNMPVHKWMIRHIYFPCLRNGISKEVAVLISFFISAVFHELCIAVPCHIFKFWAFIGMMFQIPLVILTKYLQKKFKSSMVGNMIFWFFFCILGQPMCILLYYHDVMNRKARRN >DRNTG_23081.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20413244:20425001:1 gene:DRNTG_23081 transcript:DRNTG_23081.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFYCLFHLWLNILGELLCFGDREFYKDWWNAKTVEEYWRMWNMPVHKWMIRHIYFPCLRNGISKEVAVLISFFISAVFHELCIAVPCHIFKFWAFIGMMFQIPLVILTKYLQKKFKSSMVGNMIFWFFFCILGQPMCILLYYHDVMNRKARRN >DRNTG_23081.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20412802:20425001:1 gene:DRNTG_23081 transcript:DRNTG_23081.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYCLFHLWLNILGELLCFGDREFYKDWWNAKTVEEYWRMWNMPVHKWMIRHIYFPCLRNGISKEVAVLISFFISAVFHELCIAVPCHIFKFWAFIGMMFQIPLVILTKYLQKKFKSSMVGNMIFWFFFCILGQPMCILLYYHDVMNRKARRN >DRNTG_24296.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23618783:23636732:-1 gene:DRNTG_24296 transcript:DRNTG_24296.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEWFSRFDTMLRLEEGYWGSFRRHRSSEVIFFIVLLPPKFQIPAPALPPPSLHCHYIQSCILHFHRSPLPSLGYHLHHASSPLSLPIQIPIAAHPLVSLPAHAAQSRHISAVQSHGAMGELLCDAFNIRHDVHGGIEPNIGDVVNDEGQDGAKFYKLLEDMNEKLYDGSKHSRIRNAGTSNEDGMSSAQIHDAQPRTSTHSSDTDSNCNGDDENQDYVDNVGRHKGRRQTTLKELWALPPEVKVLVSENRLGQPIGPKESEQVWVSSRKQQKYTQTSGSKSFARKEKEMELRSGKKIGRFELFKATHTKKDGPYLNRETEDIMVLRKVNEKLAEYESIGGDEGMVETEILT >DRNTG_25737.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18389226:18390083:1 gene:DRNTG_25737 transcript:DRNTG_25737.3 gene_biotype:protein_coding transcript_biotype:protein_coding CKTGKHTTEKPVLNRQSPSPPKPIAPVSLTKKNNDTCSRCRQGFFCSDHGSQTKPSKPLLLPNSKDDIEKDKPAPVKKKIDLNEIQTCRNKGCGKTFKEKDNHETACEYHPGPPVFHDRIRGWKCCDMHVKEFDEFMNIPPCTKGWHNADPS >DRNTG_25737.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18388609:18390083:1 gene:DRNTG_25737 transcript:DRNTG_25737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEWSCCKQRSHDFSLFLAIPGCKTGKHTTEKPVLNRQSPSPPKPIAPVSLTKKNNDTCSRCRQGFFCSDHGSQTKPSKPLLLPNSKDDIEKDKPAPVKKKIDLNEIQTCRNKGCGKTFKEKDNHETACEYHPGPPVFHDRIRGWKCCDMHVKEFDEFMNIPPCTKGWHNADPS >DRNTG_25737.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18388609:18390083:1 gene:DRNTG_25737 transcript:DRNTG_25737.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEWSCCKQRSHDFSLFLAIPGCKTGKHTTEKPVLNRQSPSPPKPIAPVSLTKKNNDTCSRCRQGFFCSDHGSQTKPSKPLLLPNSKDDIEKDKPAPVKKKIDLNEIQTCRNKGCGKTFKEKDNHETACEYHPGPPVFHDRIRGWKCCDMHVKEFDEFMNIPPCTKGWHNADPS >DRNTG_13839.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16888113:16893845:-1 gene:DRNTG_13839 transcript:DRNTG_13839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSDNPGIFSFSASPSSAPTPSNPRALRTPPKSPINSGAGTAFPMGTLGKAIYTVGFWIRETGQAIDRLGCRLQGNYYFQEQLSRHRTLMNIFDKVPNVDKEAFVAPSASVIGDVQVGRGSSIWYGCVLRGDVNSIRVGSGTNIQDNSLVHVAKSNISGKVLPTIIGDNVTVGHSAVLHGCTVEDEAFVGMGAVLLDGVVVEKHGMVAAGALVRQNTKIPAGEVWGGSPAKFLRKLTEEEIAFISQSATNYSNLAQVHAAENAKTFDEIEFEKLLRKKYARRDEDYDSMLGVVREVPPELILPDNVLPGKTAKASQ >DRNTG_29859.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23084909:23085828:1 gene:DRNTG_29859 transcript:DRNTG_29859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNGPLAEEKLVSINPLPNCSAGTQENSKT >DRNTG_29859.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23084909:23085828:1 gene:DRNTG_29859 transcript:DRNTG_29859.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNGPLAEEKLVSINPLPNCSAGTQENSKT >DRNTG_07221.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000326.1:11620:42777:1 gene:DRNTG_07221 transcript:DRNTG_07221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHAGAYHLTSLNLKRQENHEKIPPKMAVRSREEV >DRNTG_19462.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13134299:13138124:1 gene:DRNTG_19462 transcript:DRNTG_19462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLNNKLEGYVHRFEGRINWQTQQHFSHPPDNYLYTLLLSGDSSISYDGGSWHTVFETFMESGTPSVGGGSESPIEQLVLTTEDRQSATMNLTLEDRAEMGQVLAKVEESGLEYQYGQHAGFRSFGRVLRSYGHTGAYGGPLR >DRNTG_20504.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16493427:16494909:1 gene:DRNTG_20504 transcript:DRNTG_20504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDQTKSIPMDISFLFLLFLLLPILLILHKKNSSPTQTNFTPLHPYPILGNIPHIFKNRSRMLDWASDLIPLSPTFTSTVSPFIFTANPSNIQHILHSNFDNYPKGGFVSSAMHDFLGHGIFNSNGPLWRLQRKTASFEFNTKSIRSFIFDIVLRETIQVLIPILDHSITTNKILDLQDLLERFAFDNICNLAFGYNPESLAGTSDEGLRFFHAFDEASHIVVKRTGSTIPWQIKKLLNVGSEKRFRQSQGIVNEMVSKFVRLRRKQDDLLSRFADDPSNSDELLLDISINFMIAGRDTTPTALTWFFWILFARGVVGDDEVVPAGAVVAAGGSER >DRNTG_09308.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:918937:919673:-1 gene:DRNTG_09308 transcript:DRNTG_09308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILELVSCGGRMAAAVKDAPEEPVTVPINPMGCGSPEKRWRRSSNASGSSSWRPSLGSIAEDGVAVKMAAAARKGSEKTKRSGMRSTARASSRRERSDFGQYSVPPMMPAFTPTAFLF >DRNTG_05949.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20006610:20008401:1 gene:DRNTG_05949 transcript:DRNTG_05949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVMLEKFQKYWDDFNKLLAMAIIMDPRYKFHFLDWSYKMIYGVDMGKAKVEELRGVLKSLFDHYVDVSPLASIRSTNLAPTTYNALETCSDNTSEDLMKVLIIFLYYILFNMDVNLNC >DRNTG_31451.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:771082:772131:-1 gene:DRNTG_31451 transcript:DRNTG_31451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEEIDHHPSHPGYKLKQENMERPYTCDGCKELGFGTCYTCTNTACNFHLHKDCVYPEEIISHPFFPNLYFHFLKEGFPNRYCDACGKDINGYVYHCYDKGYDLHPCCAKLPHVFENVSTDEGDEVNLVLEKKVSSKCYKCGKKKLSKEVENTWSYVSQNKKVHFHVCCVKDMVCQSWKDGLQIEKVDACPKLKVKLYKEKKWIGSGSKFGKLKKVLKIALTLIIAAVIGDPTAMLVGVVTSLITH >DRNTG_00503.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30523386:30527051:-1 gene:DRNTG_00503 transcript:DRNTG_00503.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSAVNIGMMDGAYFVGRNEILSWINATLQLSLSRVEEAASGAVQCQMMDMVHPGVVPMHKVNFDAKSEYDMIQNYKLLQEVFNKLKIEKHIDVNKLVKGRPLDNLEFLQWLKRYCDSVNGGITNENYNPVERRTKGGKERSLRGSHKSFKSLQANNLPNRGTIDGHKQGKASDSFYAEQSAYQIQELSEKIVDLKLSVDNMEKERDFYFTKLRDIEILCQRPELEHLPMTNAIRKILYAADARESALTEAEELISSSTVDDGEAEAEETQ >DRNTG_18192.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4670610:4673334:1 gene:DRNTG_18192 transcript:DRNTG_18192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNLAGDTAAAAVPSSGDSAGWIQEAINGGSLRLVDLHSGSNGWASPPGDLFSLRGKNYLSRRQKVPAGDWLLRPAGVDWLRSPSRLDDVLGRPDNRVMAALRRAQSSGKSMKSFIVAVNLQVPGREAHSAVFYFAAEDPIPPGSLLYRFIHGDDAFRNARFKIVNRIVKGPWIVKTAVGNYSACLLGRALTCNYHRGESYLEIDVDIGSSAIASAILRLALGCVTAVTIDMGFLVEAQAEDELPEKLFGAVRVAQMEMSSATFVDDARPRVERNNFRGAARVKHHGDRTAVLGDADADEQS >DRNTG_10448.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4991137:5017279:-1 gene:DRNTG_10448 transcript:DRNTG_10448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEDCCATQLIDGNGQFNERGLEHFVRTVKLADCGLSYAVVSIMGPQSSGKSTLLNHLFRTNFREMDAIRGRNQTTKGIWIAKCVGIEPFTVVMDLEGTDGRERGEDDTTFEKQSALFALAISDIVLINIWCHDIGREHAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTKTPLERLEPVLKEDIQKIWDAVSKPQAHKDTKLSEFFNVEVTALPSYEEKEEQFKGQVAQLRRRFFNSIAPGGLAGDRRGVIPASGFSVSAQEIWKVIKENKDLDLPAHKVMVATVRCEEITNETLSRLSSDEDWVNLEETVQYEAVPDFGKKLGSILDFYLSEYDIEAVYFDEGVRNAKRQQLESRALQLVYPAFQAMLGHLRTKAFNTFKNDLEQSLQKGKGFALSVRECSQLSMLEFDQGCADVAVKQADWDTSKVREKLRRDIEAHATSVRTAKLEELKAEYERQLTGALAEPVESLFDAAGAGQDTWASIRKLYKRETENALLGFSASLSGFELDCSKFDKMLENLKANARGVIEKKAREEAGKALIRMKDRFSSVFSHDADSMPRMWTGKEDIKKITKQARDAALKLLSILAAIRLDEQPDNVEHILRSTLIDGPVVQARSIEAPTDPLAASTWEEIPPRYTLITPVQCKSLWRQFKTETEYSVTQAISAQEAYRRSNSWLPPPWAIVAIAVLGFNEFMALLRNPLYLIVLFVLYLLTKALWVQLDVNAEFRHGAVSGILGLSSRILPTIMNLLKKLAEAGQPHPQPPQSPPRPPLDSHSFRNQSQRQPTLSHSIPASSSSSTISSPASVVEHTSPLMQSHAGDTDTDTELSSTS >DRNTG_13871.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4799146:4811544:1 gene:DRNTG_13871 transcript:DRNTG_13871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAPQPLQRSPRPLSPQPQHQQSLSINLRND >DRNTG_13575.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000621.1:55543:57016:1 gene:DRNTG_13575 transcript:DRNTG_13575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGPRSRGRWNHPCTTTIHNTQKCALSRELNSHHSARALSATRVPIDPKAGRNLSALPASRGDVSGNPFPVGVGPRGKWGFPTPLTSLIFAFDGQPKYPLHLSGSPTLTTVKGCQVFATTVVCVQSKHADCTTLDYGSSCNGQDEKGNASYVFNSYFQTQGQKDRSCDFQGLAMVTTQNNSQGKCNFLVQIVGFDYTSASSASLSLLEMPVLLYVSVMLLFF >DRNTG_18509.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1617243:1619829:-1 gene:DRNTG_18509 transcript:DRNTG_18509.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHNPIMGPQYCLPYATDLVFSKTIAGVRHGELAVTDVNGKPLFWFDGSSKDNMWFLVDANSSSPLISLKRKKSWSCHDRWQVFRGASKKKRDLLFKLKRSSSFGFNTLWRVNLAANKTIENKYDFKIKGGYKKRSIKIYKGDTSIVVAQMRKEHKFVNLPWDKHAFVVNINPYTDHAFIVSLVVILHLHHLPKEVDSSMPEVIDAIAQVLQAASS >DRNTG_18509.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1617243:1619829:-1 gene:DRNTG_18509 transcript:DRNTG_18509.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHNPIMGPQYCLPYATDLVFSKTIAGVRHGELAVTDVNGKPLFWFDGSSKDNMWFLVDANSSSPLISLKRKKVHVIYLNYYHLFMTFFKFISLSLYIYICVCVLKSWSCHDRWQVFRGASKKKRDLLFKLKRSSSFGFNTLWRVNLAANKTIENKYDFKIKGGYKKRSIKIYKGDTSIVVAQMRKEHKFVNLPWDKHAFVVNINPYTDHAFIVSLVVILHLHHLPKEVDSSMPEVIDAIAQVLQAASS >DRNTG_18509.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1617243:1619829:-1 gene:DRNTG_18509 transcript:DRNTG_18509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHNPIMGPQYCLPYATDLVFSKTIAGVRHGELAVTDVNGKPLFWFDGSSKDNMWFLVDANSSSPLISLKRKKSWSCHDRWQVFRGASKKKRDLLFKLKRSSSFGFNTLWRVNLAANKTIENKYDFKIKGGYKKRSIKIYKGDTSIVVAQMRKEHKFVNLPWDKHAFVVNINPYTDHAFIVSLVVILHLHHLPKEVDSSMPEVIDAIAQVLQAASS >DRNTG_19651.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3036261:3042655:1 gene:DRNTG_19651 transcript:DRNTG_19651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDWDDENFKPAPPKEQPRSMWDDEDAEDDGVKESWEDEDVTPAPKPAPSVEKTVLKPDSKAIGKKAEESQQKVTEASQVAAEVLIDPVAEKLRQQRLVEEADFKSTAELFAKKGGNNSLDNFIPKFESDFLEYAELISHKLHPYEKSFHYIGLLKSVMRLCMTSLKAADAKEIASSITAIANEKLKAEKEANAGKKKHGAKKKQLHVGKPDDDMVADRYDDVGEDYDFM >DRNTG_25988.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1033723:1035424:-1 gene:DRNTG_25988 transcript:DRNTG_25988.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b6-f complex iron-sulfur subunit, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G03280) UniProtKB/Swiss-Prot;Acc:Q9ZR03] MASTTLSTVASPSQLCSSKNGIFSPSQALLSKPAKGLGFGRERNGGKVRCQATSSITADRVPDMGKRQLLNLMLLGAVSLPTVGMLIPYTYFFVPPGSGGVGGGTFAKDALGNDVIAAQWLKTHGPGDRTLTQGLKGDPTYLVVENDKTLATYGINGVCTHLGCVVPWNAAENKFICPCHGSQYNNQGKVVRGPAPLSLALAHVDVDDDNKVVFVPWVETDFRTGEDPWWSA >DRNTG_02497.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7661985:7662791:-1 gene:DRNTG_02497 transcript:DRNTG_02497.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSGLTTPPPIRPDRGTPHCSVGLKSTVTIKIRMPQCNSFPIPTRTSRLVLRRAHRSAISFPWEEASP >DRNTG_02497.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7661365:7662791:-1 gene:DRNTG_02497 transcript:DRNTG_02497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSGLTTPPPIRPDRGTPHCSVGLKSTVTIKIRMPQCNSFPIPTRTSRLVLRRAHRSAISFPWEEASPPSSGE >DRNTG_02259.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:995540:999340:1 gene:DRNTG_02259 transcript:DRNTG_02259.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPAHLPPRCPSQSRLPSPRVTDSSSQSPFRDDESQHSKHRRSPSQGSTFDDLLTNLEATPRGLFLRRASSDPTSIMEVLDNPILSISVPQPSVNQSEDAKTGCGFEADYVYGPNSPRQKGKLTQSESSMVSALLETVPQNPLQYLAVDVTGGLRTDESDIISEDHSVGGDFDSEKARRRHSGQRSRVRKLQYIAELEKTVDALQTLEAELAAKVEALSKDRVALSIENKKLKWQLLNLHQEKIRKDCQYLSLKNEVDKLKMVFGKHRRSKSSSFFEMSPVESDPLILDMGKLSLAGGNPVPLRHGFNH >DRNTG_02259.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:995540:999340:1 gene:DRNTG_02259 transcript:DRNTG_02259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPAHLPPRCPSQSRLPSPRVTDSSSQSPFRDDESQHSKHRRSPSQGSTFDDLLTNLEATPRGLFLRRASSDPTSIMEVLDNPILSISVPQPSVNQSEDAKTGCGFEADYVYGPNSPRQKGKLTQSESSMVSALLETVPQNPLQYLAVDVTGGLRTDESDIISEDHSVGGDFDSEKARRRHSGQRSRVRKLQYIAELEKTVDALQTLEAELAAKVEALSKDRVALSIENKKLKWQLLNLHQEKIRKDCQYLSLKNEVDKLKMVFGKHRRSKSSSFFEMSPVESDPLILDMGKLSLAGGNPVPLRHGFNH >DRNTG_31670.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001732.1:17217:17876:-1 gene:DRNTG_31670 transcript:DRNTG_31670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTGVVFPLGEPGPRQTTTSNGQPIKLLTNVENLRLLSKAEKAGLLSAAEKFGLSLSTVERLGLLSKAEELGVLSAATDPATPGALLTLSLVLLALGPACVYFVPEDYPWEVILQVVVALVSVIGGSTAFAASNLVSTLQKSK >DRNTG_31670.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001732.1:17217:18242:-1 gene:DRNTG_31670 transcript:DRNTG_31670.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTISQGTGVVFPLGEPGPRQTTTSNGQPIKLLTNVENLRLLSKAEKAGLLSAAEKFGLSLSTVERLGLLSKAEELGVLSAATDPATPGALLTLSLVLLALGPACVYFVPEDYPWEVILQVVVALVSVIGGSTAFAASNLVSTLQKSK >DRNTG_21262.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:206584:208079:-1 gene:DRNTG_21262 transcript:DRNTG_21262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNSDAFTAIPGGYDTLEKLFEVITWAQLGMLSKPVKNNASREKVGKGSTPNHHQIHGVYSLENNAKKSLRSSSSPKISSLVTVIDLNLLVAIDDDVEHSIVFDAHP >DRNTG_30917.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16765781:16767879:-1 gene:DRNTG_30917 transcript:DRNTG_30917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNLLRDCKKECQLLIDSYRSQWANCGCTIMGDGWTDQRQRTLINFLVYCPTGISFVKSVDASDILKDATNLCNLFMEIIEWVGPDNVVHLVTDNASNYVAARRLIHEKYDHIYWSPCAAHCLNLILKDIGKRDHVAELVSRASKVTIFVYNHIYILSWLRKRSGWKEIVRPGVTRFATTFITLKSIYDHKHDLQALVTDKYYTSHKLSKSPVGKTVTSIILDGKFWEECLFMVKIAAPIIRLLRVVDADEKPSLGYVYEGMIRIRKAIMAIFRNKSTMYGPYIKIIDERWDKHLRRNLHAAAYFLNPAFLYDKEAFCETPEVMQGLLDLLEKRSICSDSEKAMREIRFYRDRLGSFSRESALSSANKIQPDEWWRLFGYSTPFLQKVAIRLLSQTSSSSGCERNWSVFERIHTKKRNRLEHQRLSDLVFVNYNLRLKCRLQYKKRNYDPVDYDCIDKTDFWIAEEEEEAEFVDGDMVEAIYAEDAIPTLDESQNQDDVDMNELNEEVDFELFGNASYDDAFDQHENLGQRDDNEG >DRNTG_27417.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11382859:11383803:-1 gene:DRNTG_27417 transcript:DRNTG_27417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLTIILGALLILSTPNLLIHAKTTPIAPGPTPAPLNLTGVLEKGGQYTTFLRLLKETQVGQQVQSQLNNSYDGLTIFAPTDNAFSNLKAGTLNSLNAQEQVSLILYHVLPRYYSLVTFETASNPVRTQASGSNGVYAVNITSSTNQVNVSTGIVNTPVQTVLYSDFPLAVYSVDKVLLPYDLFGPKPPASAPEPADHSPKKPTKKSPAADAPSEEAVASPSTASPSERTTGWRTLVVVMGFLVFFCMGGHL >DRNTG_28053.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17685647:17689401:-1 gene:DRNTG_28053 transcript:DRNTG_28053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIAFAPVILVTGPLWFPAAVVLFIAAAVVLSTCGVGVAILAAVTWLYRYFTGKHPWGTDRLDYARSRIAMVIFPLCKAPAASSNCGPRKSRLRRMVVTESSNFSLDPTVTLSPAIPFFFADLGGSARYPASTLNLEEGFFCRSLFLVTVDYPTAEDSIK >DRNTG_26057.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17751202:17756058:1 gene:DRNTG_26057 transcript:DRNTG_26057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGNLRRRLHHGDLDGRRNEHVDTSGTDGLNEPLLGTYSYDERHTKEYGDKRQQDIWDDKKKEQLHWAQLFCNLVAQWGQWLANIFHNSGSILGRMLPPIIFSGQDNLPLLLSPLQEERLRNLKQRLGIPFDSACVDHQDALRQLWRLAYPGRQVPPLKSELWKEMGWQGSDPSTDFRGGGFVSLENLIFFAKTYPDSFQGLLHKRDGRRAEWEYPFAVAGINISFMLVQMLELELGRPSSKAGICFIKLLGEDEMAFDNLYCVAFQMMDAQWLAKRASYMEFNEVLKATRTQLERELSLDDVFSIRDLPAYNMLTR >DRNTG_29867.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1937876:1943030:-1 gene:DRNTG_29867 transcript:DRNTG_29867.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRLQSQLSFSRQDSLSQISEISIPDIGDSIGNGKSTEDVAQSYIQNFPIGSWDETNSIVFSAPSKRIKDNNGDAIHSLNNLESQFSLPKTSLEMASVEKLLQGQQDFFPFKIRAKRGCATHPRSIAERERRTRISEKLRKLQELVPNMDKQTNTSDMLDLAVQHIKTLQGQVQKLTEERANCTCASKQEMA >DRNTG_03817.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14657241:14658266:-1 gene:DRNTG_03817 transcript:DRNTG_03817.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRRQHQLQTHPYMVVLVVGLCLASMRGRWRQHQPQTHPYMVIVVVGLCPASMRYSRPRQQIQRSSQPWPRRQHHWETHP >DRNTG_27994.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:10842363:10843792:-1 gene:DRNTG_27994 transcript:DRNTG_27994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGIGLGTALLLAILYLVVSRTRHRRPEDRVKEVADINAHAELTGPLLVLLFQNKDFKVLSIGDILKSTNNFDEANVIGCGGFGLVYKATLLDGRRYAIKKLTGDYGQMEREFQAEVEALSRAQHKNLVSLQGYCRIGKDRILIYSYMENGSLDYWLHEKLEEGTTLDWNTRLRIAQGAARGLAYLHQSCQPHILHRDIKSSNILLDENFEAHLADFGLARLILPYDTHVSTDLVGTLGYIPPEYGLASVATFKGDVYSFGVVLLELLTGTRPVDICKPKGCRDLISWVLKMKDEKRVTEVFDPLIFDKEYESELIKVMEISCLCLNESPKLRPSAQQLVTWLDNVGLDSNLVT >DRNTG_21132.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2495462:2501544:-1 gene:DRNTG_21132 transcript:DRNTG_21132.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSLVTPTSPNSVRLCFRRRCWVRSAVIIRLRSLKPDRRLRLDCACWASSNSSQDSFSGWSSEKGGDGSPKEERFGGMVGAGLAVLFFASGVAFAALSLSSRNANRVKPQMESIAVEQEVLLTSDDENKRMEQDGNYQSRQLMESDNKINDQNSDIETGSNQDDVQTVPDVDSIPMPADSNPVSSDLIVHDEHEISIDVSTINDSQSTTTSNSVHENYEHEGPNSVDESSIDSDSTIHITDNQEGSSSPETVNYDDPLNFSSELEGQLPSDILSSDPIVLEQGGLSNVDIGSEEVTRTESSIEDLEAPNYITTSVSLEDGLNETKLSVVTSASDLEQNEVLESLDEPVIAEELKINESESGSATLDPNGNESDEGMNNQTNRSSLFKSLLPEKSFSSAGIPAPLVVSAALQVSPGNVLVPAVVDQVQGQAFAALQVLKVIEADVQPGDLCTRREYARWLVTASSALSRNTASKVYPAMYIENVTELAFDDITPEDPDFACIQGLAEAGLISSKLSMPDVPSGEHNAPVLFSPESPLCRQDLVSWKMVLEKRQLLEVDKNSLYKCSGYLDIEKINPEAWPALVADLSGGEQGIIALAFGYTRLFQPYKPVTKAQAAIALATGDAADVVGEELTRIEAESLAETAVNAHTALVAQVEKDLNASFENELAKEREKIGALERLAEEARLELERLRTEREEENNALLRGRAAVESEIEVLSKLRLEVEEQLQNLMSDKVGISFERDRINKLREQAERENQVIAQLQYELDVEKKALSMARTWAEEEAKRARENARALEAARDRWEGRGIKVVVDEDLQDDASAGITWVSAGEQVPDNETTINRAENLVETLKAMASELKLRSSAVINNIYQKINSFISALKQQAVDASKHAAELRSSIILKGKKSVKEIQEKASDFGANVGYRTKRAFEDCKESVEKLQQKFKT >DRNTG_21132.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2495462:2501544:-1 gene:DRNTG_21132 transcript:DRNTG_21132.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSLVTPTSPNSVRLCFRRRCWVRSAVIIRLRSLKPDRRLRLDCACWASSNSSQDSFSGWSSEKGGDGSPKEERFGGMVGAGLAVLFFASGVAFAALSLSSRNANRVKPQMESIAVEQEVLLTSDDENKRMEQDGNYQSRQLMESDNKINDQNSDIETGTIEDLLLPVENSKDASLSRPENIRHLESPVEENVRSTVGDVNAGSNQDDVQTVPDVDSIPMPADSNPVSSDLIVHDEHEISIDVSTINDSQSTTTSNSVHENYEHEGPNSVDESSIDSDSTIHITDNQEGSSSPETVNYDDPLNFSSELEGQLPSDILSSDPIVLEQGGLSNVDIGSEEVTRTESSIEDLEAPNYITTSVSLEDGLNETKLSVVTSASDLEQNEVLESLDEPVIAEELKINESESGSATLDPNGNESDEGMNNQTNRSSLFKSLLPEKSFSSAGIPAPLVVSAALQVSPGNVLVPAVVDQVQGQAFAALQVLKVIEADVQPGDLCTRREYARWLVTASSALSRNTASKVYPAMYIENVTELAFDDITPEDPDFACIQGLAEAGLISSKLSMPDVPSGEHNAPVLFSPESPLCRQDLVSWKMVLEKRQLLEVDKNSLYKCSGYLDIEKINPEAWPALVADLSGGEQGIIALAFGYTRLFQPYKPVTKAQAAIALATGDAADVVGEELTRIEAESLAETAVNAHTALVAQVEKDLNASFENELAKEREKIGALERLAEEARLELERLRTEREEENNALLRGRAAVESEIEVLSKLRLEVEEQLQNLMSDKVGISFERDRINKLREQAERENQVIAQLQYELDVEKKALSMARTWAEEEAKRARENARALEAARDRWEGRGIKVVVDEDLQDDASAGITWVSAGEQVPDNETTINRAENLVETLKAMASELKLRSSAVINNIYQKINSFISALKQQAVDASKHAAELRSSIILKGKKSVKEIQEKASDFGANVGYRTKRAFEDCKESVEKLQQKFKT >DRNTG_21132.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2495462:2501544:-1 gene:DRNTG_21132 transcript:DRNTG_21132.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSLVTPTSPNSVRLCFRRRCWVRSAVIIRLRSLKPDRRLRLDCACWASSNSSQDSFSGWSSEKGGDGSPKEERFGGMVGAGLAVLFFASGVAFAALSLSSRNANRVKPQMESIAVEQEVLLTSDDENKRMEQDGNYQSRQLMESDNKINDQNSDIETALQVSPGNVLVPAVVDQVQGQAFAALQVLKVIEADVQPGDLCTRREYARWLVTASSALSRNTASKVYPAMYIENVTELAFDDITPEDPDFACIQGLAEAGLISSKLSMPDVPSGEHNAPVLFSPESPLCRQDLVSWKMVLEKRQLLEVDKNSLYKCSGYLDIEKINPEAWPALVADLSGGEQGIIALAFGYTRLFQPYKPVTKAQAAIALATGDAADVVGEELTRIEAESLAETAVNAHTALVAQVEKDLNASFENELAKEREKIGALERLAEEARLELERLRTEREEENNALLRGRAAVESEIEVLSKLRLEVEEQLQNLMSDKVGISFERDRINKLREQAERENQVIAQLQYELDVEKKALSMARTWAEEEAKRARENARALEAARDRWEGRGIKVVVDEDLQDDASAGITWVSAGEQVPDNETTINRAENLVETLKAMASELKLRSSAVINNIYQKINSFISALKQQAVDASKHAAELRSSIILKGKKSVKEIQEKASDFGANVGYRTKRAFEDCKESVEKLQQKFKT >DRNTG_29331.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29721055:29723397:1 gene:DRNTG_29331 transcript:DRNTG_29331.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISLTAAVTVAAVLIFVFSGADALGSAATLAISSGTVCGVLSGASPNSIRCSRVSIESEASSSFPLFPNVSFSSVSGGRSFLCGLRAGGRNILCWPSTGNTSATPPVKRVYNGAAVTDLTIGDDQIAAVGGNGTGVLFWRGDSSFHRNSTGTFRSLTSGRGFSCAIDSNDAVQCWGNLGTKIKAEFLNISMSLIVAGDAHVCGFTVTGDLICKGSNVSGQLNAPPTGTPFEFTSLALGVSHSCAIRRNTSTVICWGGGAYRSIGNTTLEFIVAGGNLTCGVVSANLSVVCWIFNQRSTNLALIQLPSILPGICVADESSCRCGTNTDSQSLCSGTGFICNFCPNSQNPSPPPPPPPPPPPPPSSGKKSKWNYVFLIIGAIGGFAGICTIAYWIITRVCRRKKVHNSVQPTIAGAATAIPAVPSPHTSPSGSRSNIFIRQASRVIRRQRSGPSSIKDRPEPFTLAELAAATKNFSLENKIGSGSFGTVYIGKLSDGREVAIKRSESSPRTKKFQEKETAFQSEIAFLSRLNHKHLVGLVGYCEDKEERLLVYEYMKNGALHDHLHKSEIQSEMFNSWKMRIKVLLDASRGIEYLHSYAVPPIIHRDIKSSNILLDGNWVAKVSDFGLSLMGPETEGEHLEMKAAGTVGYMDPEYYGLHHLTVKSDVYGFGVVMLEVLTGKRAIFKAGEGGGSPTSVVDYALPSIAAGEVERVLDDRVAAPNGQEAEAVELVAYTAVHCVSLEGRERPTMSDIIANLESALAMCEGSHVSISSASARSCSD >DRNTG_16940.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:55454:57620:1 gene:DRNTG_16940 transcript:DRNTG_16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMCADSGNLMAIAQQVIQQKQQQQQQQQQQQQQQQHLQLQPPPPTISAINPFSSPWPGHPPNPSHLHDFHPFALTDPPFSDPFSSDFPPPAPFRLSTAPEFDSDEWMESLIGESPTESSDLISDAWHGPPATNELPSLFSADPFASSPASTSDLNRVVFSDTQKLAPSLSGHHQLSSLQTVNPTPPSFQIHSFDPPPPQPQHPKKDGKRSPASSDPKTLSSPLLRSLLDCARLADSDPDLAAKSLIRIRQSASDHGDPTERVAFYFAEALYRRLSRASSPSTIFDSSPEDVTLCYKTLNDACPYSKFAHLTANQAILEATESSTRIHIVDLGVVQGIQWAALLQALATRSAGKPSRVRISGIPAPSLGPNPAASLAATGARLRDFAALLDLEFEFEPVLTPVNELTELSFHVDPDESVAVNFMLQLYNWLGESTESVERILRTAKSLNPTVVTLGEYEASLNGVGFVDRFSNALSFYSAFFNSLEPALNRDSPDRVLVERVLLGHRILRVVGPEDGPRSTGSDGREGEMDGFDGTMRVRIRATESLRRKPSETSVMELQLQR >DRNTG_21543.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:262023:263584:-1 gene:DRNTG_21543 transcript:DRNTG_21543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEFCHSICDGLGAAQFFKLVAEFARGLTAPTVTPVWLREVIPTPPNLSYTSSSEELPQLPILPNYKLEQLTIDISMEQIGMFKNKYYKLTGQRCSMFEFLAAKVWQCRTRAINLESDEEMKLVFFANEFRRLKLLT >DRNTG_19277.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:484275:491023:1 gene:DRNTG_19277 transcript:DRNTG_19277.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FACT complex subunit SSRP1 [Source:Projected from Arabidopsis thaliana (AT3G28730) UniProtKB/TrEMBL;Acc:A0A178VCP0] MVDGHHFNNILLGGRGGTKPGQLRVHSGGISWKKQGGGKVVEIEKADISRMTWMKVPRSYQLGVRIKDGLFYKFIGFREQDVTSLTSFIQKYLGVTPDEKQLSVSGHNWGEVDIDGNMLTFNVGSKQAFEVSLADVAVTQLQGKNDVYMEFHVDDTTGANEKDSLVDLSFHIPNSNIQFAGDESRPPAQVLLDKILAMADVGSSDEAVVTFEGIAVLTPRGRYSVELHLSFLRLQGQANDFKIQYSSVVRLFLLPKSNQPHTFVVVTLDPPIRKGQTLYPHIVLQFETEAVVESALSMSDELLATKYKDRLEASYKGLIHEVFTTVLRGLSGAKVTKPGKFRSCQDGYAVKSSLKAEDGILYPLEKSFFFLPRPPTLILHDEIEYVEFERHGAGGSSVSSHYFDLLVKLKNDQEHLFRNIQRNEYHNLFDFINGKGLKIMNLGDAKTTNTVAAVLDNDDDDAVDPHLERIKNAAGGEESDEEDEDFVVEKDDGGSPTDDSGEEESDASESAGEREKPVKKDLKKEAAAAKASSSKKKAKDGEEDGSKKRRQKKKKDPNAPKRAMSAFMFFSNSERENIKKSNPGLSFTDVGRALGDRWKKMSAEEKEPFEAMARADMKRYREAMAGYKSGASAMNIDSGNESDSG >DRNTG_28290.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14733160:14733801:1 gene:DRNTG_28290 transcript:DRNTG_28290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWTSKAIKIQPLLRRFQNRLNEKEKDRDLTRNDQWALELR >DRNTG_28290.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14733160:14733801:1 gene:DRNTG_28290 transcript:DRNTG_28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWTSKAIKIQPLLRRFQNRLNEKEKDRDLTRNDQWALELR >DRNTG_09567.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22576493:22577741:-1 gene:DRNTG_09567 transcript:DRNTG_09567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYLLPPKIPSMATTNYSFDDFLDFSSAKRSSHRRSSSDSIAFLDPDDDFDADQLLSLLDNDAPPPSVSSPSDDEKLLLPAMEDSSITLVPAGCKVEDKISSPLDPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQTEVSALSPRVAFLDHQRSALTAGNSHLRQRIAALTQDKIFKDAHQEALKKELERLRNIYNQQSTENMVE >DRNTG_01335.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:148634:156273:1 gene:DRNTG_01335 transcript:DRNTG_01335.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRNKHRKSSAFHCYAGSRCMLPLVVWSLFGFIVMFYFYSTLHRHSDLENQIRLNHLAMMRELEEVEEESFHFPTLRGKRSPRAFKRRGPRKPPSVIDEFLDESSDLRSFFFPDRKTAIDPRQDDDVNKYLYPGRIWLDTEGHPIQAHGGGLLFDERRETFYWYGENKDGPTYHAHQKGAARVDIIGVSCYSSKDLWTWTNEGVVLPGEETNITHDLHKLNVLERPKVLYNDKTDKYVMWMHIDDVNYTKASVGVAVSESPTGPFEYLYSKRPHGFESRDMTVFKDDDGKAYLIYSSEDNSELHIGPLTDDYLDVTNEMRRILVGQHREAPALFKYQGTYYMITSGCTGWAPNRALAHAAESIMGPWETMGNPCVGGNKIFRMTTFFSQSTYVVPLPGLPGSFVFMADRWNPSELRDSRYVWLPLRVGGVADEPLEYNFGFPLWSRVSVYWHRRWRLPDGWMNAQT >DRNTG_01335.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:148586:156273:1 gene:DRNTG_01335 transcript:DRNTG_01335.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRNKHRKSSAFHCYAGSRCMLPLVVWSLFGFIVMFYFYSTLHRHSDLENQIRLNHLAMMRELEEVEEESFHFPTLRGKRSPRAFKRRGPRKPPSVIDEFLDESSDLRSFFFPDRKTAIDPRQDDDVNKYLYPGRIWLDTEGHPIQAHGGGLLFDERRETFYWYGENKDGPTYHAHQKGAARVDIIGVSCYSSKDLWTWTNEGVVLPGEETNITHDLHKLNVLERPKVLYNDKTDKYVMWMHIDDVNYTKASVGVAVSESPTGPFEYLYSKRPHGFESRDMTVFKDDDGKAYLIYSSEDNSELHIGPLTDDYLDVTNEMRRILVGQHREAPALFKYQGTYYMITSGCTGWAPNRALAHAAESIMGPWETMGNPCVGGNKIFRMTTFFSQSTYVVPLPGLPGSFVFMADRWNPSELRDSRYVWLPLRVGGVADEPLEYNFGFPLWSRVSVYWHRRWRLPDGWMNAQT >DRNTG_01335.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:148634:156273:1 gene:DRNTG_01335 transcript:DRNTG_01335.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRNKHRKSSAFHCYAGSRCMLPLVVWSLFGFIVMFYFYSTLHRHSDLENQIRLNHLAMMRELEEVEEESFHFPTLRGKRSPRAFKRRGPRKPPSVIDEFLDESSDLRSFFFPDRKTAIDPRQDDDVNKYLYPGRIWLDTEGHPIQAHGGGLLFDERRETFYWYGENKDGPTYHAHQKGAARVDIIGVSCYSSKDLWTWTNEGVVLPGEETNITHDLHKLNVLERPKVLYNDKTDKYVMWMHIDDVNYTKASVGVAVSESPTGPFEYLYSKRPHGFESRDMTVFKDDDGKAYLIYSSEDNSELHIGPLTDDYLDVTNEMRRILVGQHREAPALFKYQGTYYMITSGCTGWAPNRALAHAAESIMGPWETMGNPCVGGNKIFRMTTFFSQSTYVVPLPGLPGSFVFMADRWNPSELRDSRYVWLPLRVGGVADEPLEYNFGFPLWSRVSVYWHRRWRLPDGWMNAQT >DRNTG_01335.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:148634:156342:1 gene:DRNTG_01335 transcript:DRNTG_01335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNCHPSVRLLPGSRCMLPLVVWSLFGFIVMFYFYSTLHRHSDLENQIRLNHLAMMRELEEVEEESFHFPTLRGKRSPRAFKRRGPRKPPSVIDEFLDESSDLRSFFFPDRKTAIDPRQDDDVNKYLYPGRIWLDTEGHPIQAHGGGLLFDERRETFYWYGENKDGPTYHAHQKGAARVDIIGVSCYSSKDLWTWTNEGVVLPGEETNITHDLHKLNVLERPKVLYNDKTDKYVMWMHIDDVNYTKASVGVAVSESPTGPFEYLYSKRPHGFESRDMTVFKDDDGKAYLIYSSEDNSELHIGPLTDDYLDVTNEMRRILVGQHREAPALFKYQGTYYMITSGCTGWAPNRALAHAAESIMGPWETMGNPCVGGNKIFRMTTFFSQSTYVVPLPGLPGSFVFMADRWNPSELRDSRYVWLPLRVGGVADEPLEYNFGFPLWSRVSVYWHRRWRLPDGWMNAQT >DRNTG_27729.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:230570:232915:1 gene:DRNTG_27729 transcript:DRNTG_27729.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLSKGQEALLLSILFLFPSSIIARDTIIPTQPLTFPETLVSSNSTFALGFFSPKNSTNYFLGIWYNNISVQTVVWVANRQFPVTNSSSATLSISTNGNLTISQQQNSTFTSIITPPSLLSNPVAKLLDNGNFVIMEYSGSDFNDNSSYVWQSFDHPTDTLLPGMKLGWDFTRGLNRNLTGWTSASDPAQGPYTLAMDTRGAPQLTFWSGSNRGWRSGPWTGYQFSGVPETRTYTAFNFYFVNDKQEVYYMYTVSDPLVITRLVMNQSGTVQRFVWLEGTGEWSVYWYTPKTACDSYAPCGPYGTCDPNDSPICSCLPGFVPKSPQDWALRDGSGGCVRQTQLDCKNRTDGFMTVSNVLLPDTVNVTVDMNMSLDECKAMCLMNCLCTAYSNADVRNGGSGCITWQGNLMDSAISVDGGQDFFVRLAASDLKTLLSQSQSGKRKHKAVIAVVSVLLALLLIICLAAYVWRRKRQGKQCCCFLSVPDSSDEQYIVERTENEDLELPLFGLEMVIAATNNFSFENKLGEGGFGPVYLGKLDDGQEIAVKTLSKTSIQGRDEFKNEVMLIAKLQHR >DRNTG_14886.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2973791:2977539:-1 gene:DRNTG_14886 transcript:DRNTG_14886.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGIRPTKLRSTPFPSCTKRIRASCDTGNPKTPHQPTKTKP >DRNTG_33856.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002049.1:85127:86569:1 gene:DRNTG_33856 transcript:DRNTG_33856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSYAILALLAATFYRNPSMMMLVVGIIGSFGKTTMTHLVKCVYESMGMKTGMFDIVGYFINGEDQSEVKNTTLDAVTMQRLVAKMLENGPTFFLALDPYSVVSVEIYASGGWCLPSILIGTVLILIGNHHLLDRSVDIPSFAALGVRCPSGCLQGVLNFNAMLLTRVSLIAEEVLAESLFVAYRELMYEIPRLWRPIPLTSVAMVA >DRNTG_22348.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29873581:29877808:1 gene:DRNTG_22348 transcript:DRNTG_22348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVIGGKFKLGRKIGSGSFGELYVGVNIQTGEEVAIKLEPVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGMEGEYNAMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRRANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVFMYFLRGSLPWMGLKAGTKKQKYDKISEKKMLTPVEALCKSYPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVSKYPQISTNARARLGGRTSGMVGSSAGRDEKATVGDEIRDKFSGAVEAFAKRNSSGSGHHGDNNSKHRIHEDSPISSKDAQDSRNAGTSKRAVISTSRLSSGEHSEQQFSRTSRLVSSGASRSSNVQKVYQSAHESGSSSLSRNTATRVSHDDPLRNFELLSIGADKRK >DRNTG_00353.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18662350:18668521:-1 gene:DRNTG_00353 transcript:DRNTG_00353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHQGWLPVIGNGHIMPGPRHRSQSKKPMWIIVLVSLVCLSLIGAYVYPPRRFSACYFLSSSVCSPFMDWLPPAPARIFTDEEIAARVVFQDILSMPSVQSKNAKIAFMFLTPGSLPFEKLWEKFFLGHEDRYSIYVHASRENPVHVSPIFMGRDIRSAKVGWGKISMVDAEKRLLANALQDTSNQHFVLLSDSCVPLHNFDYVYSYLIETNLSYVDCFEDPGPHGSGRYSEHMLPEIEKSDFRKGAQWFSVKRQHALLILADNLYYTKFKHYCRPGMEGRNCYSDEHYLPTLFHMVDPGGIANWSITHVDWSEGKWHPKAYRAQDISYELLKNITYISESYHITSDERKQERRDPCLWNGMKRPCYLFARKFYPEALDSLMHLFSNFTLI >DRNTG_02535.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8241076:8241799:-1 gene:DRNTG_02535 transcript:DRNTG_02535.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDLPRTHPDMKFFSGDSSLESNERRSFPVCQIKGMNEVLGPLYLVCRTDFDEQNAVR >DRNTG_09747.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24752594:24753195:1 gene:DRNTG_09747 transcript:DRNTG_09747.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISLRKGNTRLPPEVNRVLYVRNLPFNISSEEMYDIFGKYGAIRQIRLGTNKDTRGTAFVVYEDIYDAKTAVDHLSGFNVANRYLIVLYYQQAKMSKKQDTKKKEEEIMKMQEKYGLSSKDK >DRNTG_24306.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19129615:19130263:-1 gene:DRNTG_24306 transcript:DRNTG_24306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNESFSSDSDDSILSTSSELTEEEDDTASTTIGPNFELSSLMDQLPIKRGLSKYYQGKSQSFTSLSNVRCLEDLPKKETPYQKKKMKPSKSYGGGLDLGQKPLNYSLGPCSKAYINKKSGLRRSSNNLLFCNKPPHPPPHASLHKNL >DRNTG_03099.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:522397:526207:-1 gene:DRNTG_03099 transcript:DRNTG_03099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQMQMLILISLSALFGRLSISTDTLSPGQPLHDGQTLVSAVGTFALGFFSPTGSKNRYVGIWYNKLPVQTVVWVANRGNPIAADANGTMELNSNGALILNNSQTVVWVASIAVLTNPVAQLLDNGNLVIREANSIEFAWQSFDYPTDTLLSGMKLGWDLRTGLNRNLTAWQNNDDPSPGRYTFSADINGSPQLTLLMDSAKIWRSGPWNGAQFSNTPQPSGLGLFSFANTKDEVYFIYDTIGTDIVGRLTVNHTGILQWFVWIKKANEWSITWYVPQTQCDSSFPCGPNAICDINSSPMCQCLQGFVPKSPANWALRNTTSGCVRRMALDCKNKTDGFLTITPAILPDTSTAIVDKTINFTQCKEKCLANCSCTGFASADIRGTGCIMWMKDLVGLGTASYEGGDFYVRLAAADIASPTPAKGLAKAKVTIITVLSGAALVILLISFFAWRKKKMNRRALAALEKGIKEDDEIELPQLQWSTLMEATDNFAETNILGKGGFG >DRNTG_18630.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3234359:3235400:-1 gene:DRNTG_18630 transcript:DRNTG_18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNPTFKNLPPGYRFHPTDEELITHYLSNKAMNPSLTSRAIPDVNFNKCEPWDLPSKAMVEEKEKETEFYFFCQRDRKYPTGMRTNRATESGYWKATGKDREIFKDGKLLVGMKKTLVFYLGRAPKGHKTNWIMHEYRLQQSKCAWVVCRVYKKKKESEKSVNSSEKSNTEEEEQSLQQEKIHANQTSCSLKNFGYLHQNELQQSPVQSIVTTALQDGVVNPEGNTRISSANSGYNNLQPLENYLHCSYEDLKNIYSWN >DRNTG_16898.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6409217:6413336:1 gene:DRNTG_16898 transcript:DRNTG_16898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWACECPRPCGISAQACRTLCNFLGCSGKPYRHATAPMGQAHGHGYFQQARVRAFRVSESFSREHTVTCVCPCGSLTMAPRSKKQMDKWPRESSSEPESMNFTIPEHQGDEFADEVEDLVSVGGFRRLLTIKEPAIRELALEVLSSFEFDRSYTSFHSVNTI >DRNTG_05118.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30531235:30534982:-1 gene:DRNTG_05118 transcript:DRNTG_05118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGKEFGVHEFNALLRALCSQKRMAEAKAVFHKMHARCSANVRTMNILLLGFKDSGNVMAMELFYHEMVVRGFEPNVASYNIRIDAYCKKGRFSDAMEVLEEMEMKHCVPTVETFTTLIHGAGVVRNSVRAHELLDEMLRRGLSPDVAVYNALLSSFLRCRDLNSAMQLMCEMEEKGIGLDDVSYYTMFCALKRFGEIDKVYNLYGKMVDRNFVPKMRTVVLLMNCFCEDSRPEMGLKLWEYMVDKGFCPHGHALDLLVTSMCCKGRVEDAYKCFKQLTERGRCPPERSFRVLEGFLVRRKDTEKIEELCKMMKGLQSLVPQSC >DRNTG_05118.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30531235:30532005:-1 gene:DRNTG_05118 transcript:DRNTG_05118.3 gene_biotype:protein_coding transcript_biotype:protein_coding STRDIQTTGMRTPSIIQSSEDANDRDKL >DRNTG_05118.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30533841:30534982:-1 gene:DRNTG_05118 transcript:DRNTG_05118.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGKEFGVHEFNALLRALCSQKRMAEAKAVFHKMHARCSANVRTMNILLLGFKDSGNVMAMELFYHEMVVRGFEPNVASYNIRIDAYCKKGRFSDAMEVLEEMEMKHCVPTVETFTTLIHGAGVVRNSVRAHELLDEMLRRGLSPDVAVYNALLSSFLRCRDLNSAMQLMCEMEEKGIGLDDVSYYTMFCALKRFGEIDKVYNLYGKMVDRNFVPKMRTVVLLMNCFCEDSRPEMGLKLWEYMVDKGFCPHGHALDLLVTSMCCKGRVEDAYKCFKQLTERGRCPPERSFRVLEGFLVRRKDTEKIEELCKMMKGLQSLVPQSC >DRNTG_17373.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6704414:6706306:1 gene:DRNTG_17373 transcript:DRNTG_17373.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGFGVSDSVNQQQNVVKIENQEQKGGFHGVRASSNESGEAEFSGGGQEEIHGSGSAAGDSSSKEVGFKKRKRSNQDEIELEKTHSCPQLCVETTKENDEIKGKGLQNTASISPAKQVGKQAKDNSDSPKEDYIHVRARRGQATNSHSLAERVRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLAAVNPRLDFNIEGILAKDLLQFQGGFSAEMIHPQYHPSQQGFIQAGIPAMVNPPDALRKALNSQLSTMNLYKEPTTQVPNTWDEELRNVVQMTFSANPTIAEKPRDGFPI >DRNTG_01285.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1988840:1991284:-1 gene:DRNTG_01285 transcript:DRNTG_01285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFFSIVIISSILLLPLLLHLLLKNPNPNPNPPSPPGLPILGHLHLLKPPLHRALAHLSDIHGPIFLLRFGSRRVLHVSSYSAADECFTVNDITFANRPRLLSGKHLGYNHQTIVWAPYGPHWRNLRRLTTVELLSTNRLLSSSHIRSDEAISLVKTLLRDYSGSGFHLTELKTKFFGLAYNVVMRMIANKRYYGDADESSSEAGKEFRDIVRESFRVSGTSNAADFVPIVRWLGIGGHERKLKRLRRRRDQFFDGLINEHRTAKKMTGSQHSEGSPAERSTVIDLLLSIQEGEPEYYNDAIIKGIIAAMLIAGTDTSATTMEWAMALLLNNPQTLKRLRDELDANIKQGSLLQESDFSKLPYLHAVINETLRMYPAGPLLVPHESSQDCTVGGFHVPSGTILLVNAWKIQRDPKFWDEPNKFMPERFLRTNSEKKSDEVVKEGLKMIPFGVGRRRCPGEGLAMRVVALVVGTLVQCFEWDRVCDGELDMSEGAGLTLPKIKPLEAIYKPRESLASLLCQL >DRNTG_31473.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1944039:1951448:-1 gene:DRNTG_31473 transcript:DRNTG_31473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVNVSFQKYILNFVCHVDAVIWTKRDAIHTTCVRNGTREPEFQKVSVSAAESSNSEQPDVEIFSKAEQNEEIFSNYQKHELLEKTGENEPCSLFPSNFTGFRPLQNKSTKPATGPDVYSAVQNILLLGLRRYVCEKDIVGIFRTPLLDNTGQVRFKRFQEKVEHTKVHRGNANVRYAWLSSAKDAVEDVMLKGFMTMKAPSHGPSFGVGVHLAPANCSDICASHSDVDENGIVYMMLCRVIMGNVELIQPGSKQFQPSNEKF >DRNTG_31473.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1944039:1951448:-1 gene:DRNTG_31473 transcript:DRNTG_31473.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVNVSFQKYILNFVCHVDAVIWTKRDAIHTTCVRNGTREPEFQKVSVSAAESSNSEQPDVEIFSKAEQNEEIFSNYQKHELLEKTGENEPCSLFPSNFTGFRPLQNKSTKPATGPDVYSAVQNILLLGLRRYVCEKDIVGIFRTPLLDNTGQVRFKRFQEKVEHTKVHRGNANVRYAWLSSAKDAVEDVMLKGFMTMKAPSHGPSFGVGVHLAPANCSDICASHSDVDENGIVYMMLCRVIMGNVELIQPGSKQFQPSNEKF >DRNTG_32171.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30892988:30896133:-1 gene:DRNTG_32171 transcript:DRNTG_32171.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHLVLCVDRVDRLSTPRTIDTTQGDEKSTSFGDSTYNVGSSVSPSSQMNSSIVEERVYINDGDEEEPLIQTVECRICQEEDDIKNLEAPCACSGSLKYAHRACVQHWCNEKGDITCEICHEPYKPGYTAPPRPQPDETTIDISGGWTISGAPLDLHDPRMLAMAAAQRHFLEADYDEYSDTNANGAAFCRSAALVLMALLLLRHALSITNTDGDDDASTYFSFFLLRAAGFLLPCYIMAWAISVLQRRRQRQEAAALAATEVAFIIQSAQARALQFTIAPESPTTPQQEPHQ >DRNTG_32596.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22790214:22825767:1 gene:DRNTG_32596 transcript:DRNTG_32596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSLFGESIKERKHTKRSLERQKISVKSIRSVPEHLA >DRNTG_32596.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22790214:22804587:1 gene:DRNTG_32596 transcript:DRNTG_32596.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSLSATLRSEERAMDLDWIKRVSIIQDIAQALSYLHHDCAPPIIHRDITSNNILLNEEYKACISDFGISRLLKPSSSHWSLLAGTYGYMAPELAYVMRVTEKCDVYSFGIVALEVIHGTHPGDLLSNISLSMLVKDMLDSRLPLHNTDQVTTNQVLLVILIAMQCINTIPQARPTMLEVSQRLSSPKALPTSNNHSYQALTLNHLVNIV >DRNTG_21777.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2969441:2971737:1 gene:DRNTG_21777 transcript:DRNTG_21777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSGIPNSGGQSGLNLSSSNSAPSSSSNVSSFSSTAAVFSTGAAFKFGSGTSISSFSSASTTLEAVKTPVFKFGSGTTTSASSSISTLEASKTPTFNFTSTFQASAANTESSSSTGSNGSSTSLTSSPFSSANINSATLTTSSAFSSAGTLAASSLFTSTISGTAAQAGPSLFSNSGSSSSAPISSAVPSTTFGSHSPLSSSFPFSSTGNGIFGFGASAQSSDSSLTLSSTSSQNTSNFGAAASPIFGVQGTSSASGISNLSQSTGQLSSFSSTPSFGMTGSPSFGFGTSPFGAAASSAKPFSSSSGFTFSASAGSSSAGSSSSFATSAAGMFGLTSQSSSASLSTAFGSSSPSAGLTFGMSAPASGGLTSIFGSSTGSVFSFNSAGAASTTASAQPSFGVSAVASFGSGFPQNDQMNVEDSMTDDAVQPTVSTVPTFGQPANSPTPPSFMFGSAAVPTGGQPVFQFGSQNSVPPGANSFQPSGTLEFTSGGSFSLGSGGDKSTRKIVRVRRNKPGAKK >DRNTG_06610.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:521232:522141:-1 gene:DRNTG_06610 transcript:DRNTG_06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLKAQVEAKYRGFSDVVFLDSVNKKYVEEVTTCNIFIVKGNIISTPATQGTILPGITRKSIIEIGRDHGYQVEERSVSIDELIDADEVFCTGTAVSVTPVSTITYCGQRFQFKTRVETVSHRLYNTLTAIQMDDVEDTRGWTLEIDE >DRNTG_01996.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13406307:13408142:1 gene:DRNTG_01996 transcript:DRNTG_01996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLYRALPRLVSPSRVITSAMDVVATTTRASLIGGVQGTETMTMLKPKAIADEHQCDVFINHRGVDTKRSVAGLLYDRLVQLNLRPFLDNRTMEPGDKLYDIINSAVLGCEVGIAIFSPRYCESFYCLHELTLMVESRKKLIPIFCDVKPSSLRLQDNLTNSTETMARFNKALQEAKDTVGLSFDSQSGNWSDLLTRTADIVRSAGILPSDRPPSCLSIGRELLILDICIFTSDRPQSCPPTGRELLIRDIAILLIG >DRNTG_04437.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10142061:10149385:-1 gene:DRNTG_04437 transcript:DRNTG_04437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQHSRTHRGRVNSPAVATPRAQRGGRRGEPTPPPSPSPPRQEASSRHDPAVAASVHAPEVQGATPVPASVPTPEALEAFRSHWATKGRQPTHQEYQDFLDYWRIFGRQAQTTPVPTPAPEPAPPQAPVVHSIPGEASGPSQALVLSKLLKEARQLGCGSFDGTSDAMIAKEWVKRAISTFDDMSLGGEMRLRVATRLLEGRARIWWESLKSRSFGQVTAQQRDSSVKCLAE >DRNTG_26414.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23881983:23884575:-1 gene:DRNTG_26414 transcript:DRNTG_26414.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQAKGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNIQRWLRELRDHADSNIVIMMAGNKSDLAHLRSVQADDAQELAETEGLSFLETSALEAYNIEKAFQTILTEIYHIVSKKALAAQEAAAAAPPVQGTAININNSTGGFTQKNCCST >DRNTG_26414.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23881983:23884575:-1 gene:DRNTG_26414 transcript:DRNTG_26414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGNKSDLAHLRSVQADDAQELAETEGLSFLETSALEAYNIEKAFQTILTEIYHIVSKKALAAQEAAAAAPPVQGTAININNSTGGFTQKNCCST >DRNTG_30500.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:727686:728862:-1 gene:DRNTG_30500 transcript:DRNTG_30500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSATAAVASTLWNQINSSRSVSDDQLSILHFLYGKNLERATRIVDQGGVRKVSGFPSGRFLFLVVGESKRKEEYICFPEHHCTCYSFFYDIVNRSEQLCCKHQIAARLAEAVGAHDEVSVSDEHLALMLSKL >DRNTG_32559.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19027964:19029092:-1 gene:DRNTG_32559 transcript:DRNTG_32559.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSKAKVKVSQGEKATSFKGEINNDLKDGDPRLEEASFGVPLVGEASFGTFSTSSSTISSKECHLCFCFVFYLIVLLVVG >DRNTG_11158.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31183531:31186943:-1 gene:DRNTG_11158 transcript:DRNTG_11158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGKYKSIEHRAIVNTDWERMSVATFHSPSADAQLGPLPEALEKNKPHCRTISFQDYVKMVFTLKLEGKNILDHMKLEA >DRNTG_23637.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6972674:6979341:-1 gene:DRNTG_23637 transcript:DRNTG_23637.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1 complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G36740) UniProtKB/Swiss-Prot;Acc:F4IP06] MAEPDDLPLLDRSSRATRGRRMNKLLDEEIEEDELFWNQDALKEDDEDNNYEEEAEVADEFDSDFDEDEPEPEDEAENIAEDRLPVKKRLIFPGKTLAKKKSKKKVLSKLERDPKVDESSEPKKSSLSEHQDPPEDLECERIVRKSTRTSVIVRQAERDAIRAALQASVKPIKRKKEGEEKRMTQEEMLLEAAETEIRNLRNLERVLAREEEVKKRAVVHKAVYNGPQIRYSSKDGKFLSF >DRNTG_23637.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6972674:6979341:-1 gene:DRNTG_23637 transcript:DRNTG_23637.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1 complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G36740) UniProtKB/Swiss-Prot;Acc:F4IP06] MAEPDDLPLLDRSSRATRGRRMNKLLDEEIEEDELFWNQDALKEDDEDNNYEEEAEVADEFDSDFDEDEPEPEDEAENIAEDRLPVKKRLIFPGKTLAKKKSKKKVLSKLERDPKVDESSEPKKSSLSEHQDPPEDLECERIVRKSTRTSVIVRQAERDAIRAALQASVKPIKRKKEGEEKRMTQEEMLLEAAETEIRNLRNLERVLAREEEVKKRAVVHKAVYNGPQIRYSSKDGKNFLEFTKGVSFHSELSTKSEAYPQKAVCAVTGLPAKYRDPKTGLPYASIEAFKIIRERFLKEESDKKKRVNLHTGGVIDSISEQGFATKRRRSENGKTAHESDFRLGARFRRIPALEGLDLD >DRNTG_23637.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6972674:6979341:-1 gene:DRNTG_23637 transcript:DRNTG_23637.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1 complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G36740) UniProtKB/Swiss-Prot;Acc:F4IP06] MAEPDDLPLLDRSSRATRGRRMNKLLDEEIEEDELFWNQDALKEDDEDNNYEEEAEVADEFDSDFDEDEPEPEDEAENIAEDRLPVKKRLIFPGKTLAKKKSKKKVLSKLERDPKVDESSEPKKSSLSEHQDPPEDLECERIVRKSTRTSVIVRQAERDAIRAALQASVKPIKRKKEGEEKRMTQEEMLLEAAETEIRNLRNLERVLAREEEVKKRAVVHKAVYNGPQIRYSSKDGKNFLEFTKGVSFHSELSTKSEAYPQKAVCAVTGLPAKYRDPKTGLPYASIEAFKIIRERFLKEESDKKKRVNLHTGGVIDSISEQGFATKRRRSENGKTAHESDFRLGARFRRIPALEGLDLD >DRNTG_23637.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6972674:6979341:-1 gene:DRNTG_23637 transcript:DRNTG_23637.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1 complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G36740) UniProtKB/Swiss-Prot;Acc:F4IP06] MAEPDDLPLLDRSSRATRGRRMNKLLDEEIEEDELFWNQDALKEDDEDNNYEEEAEVADEFDSDFDEDEPEPEDEAENIAEDRLPVKKRLIFPGKTLAKKKSKKKVLSKLERDPKVDESSEPKKSSLSEHQDPPEDLECERIVRKSTRTSVIVRQAERDAIRAALQASVKPIKRKKEGEEKRMTQEEMLLEAAETEIRNLRNLERVLAREEEVKKRAVVHKAVYNGPQIRYSSKDGKNFLEFTKGVSFHSELSTKSEAYPQKAVCAVTGLPAKYRDPKTGLPYASIEAFKIIRERFLKEESDKKKRVNLHTGGVIDSISEQGFATKRRRSENGKTAHESDFRLGARFRRIPALEGLDLD >DRNTG_09965.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:6465806:6467646:-1 gene:DRNTG_09965 transcript:DRNTG_09965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTIKKVIAKGIRIWLYSGDVDAGCSATSTRYFINKLHLTIKTPWRPWYTNEEVGGYVVGYKELTYVAVRGAGHHVPIGQPERALTMTNHSLKESFQLLHIKARKDGAFYRLSCNGRYDSIKISRMVALQAQCQ >DRNTG_11808.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:367366:368874:-1 gene:DRNTG_11808 transcript:DRNTG_11808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEQKDDEKKKKEEKEEEKMEEDQKEEEKEEDKMEEEQEKNYGEILIHKEKETICWLCNVRDHINMAIYFNGMSFTPGFFVRNLTDISSLFAEDEQQDAHEYFLGLLTRLHECCLGLPVSSPNTSLEDESLITNIFCGRLKSQIICCECGHKSETFEPVLNLSLEIDGHDNLIAALQSFTRVEKIDDQENLISCDGCKTKVVVEKQLTIDKAPEVLVIQLKRFTCNGLEIVKKGQYVQYPETLNLAPFIDEFRQQDQELNYRLYAVVNHQGRPGNGHYIFITMFIERNRQTNNSEEMSVPEQQQSNNNEGSSCSTMQDQ >DRNTG_08912.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27438352:27444728:-1 gene:DRNTG_08912 transcript:DRNTG_08912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLLQSAVFPDVNRSCIQPRGSGKDKRAATMKCNLQKNSPKLSAFAGLRPSSTLDCISRPQKDFHSVVKTSISVPKGKASRGVAVAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADASNIRTQVIRMVGESTEAVGAGVGGGSSGAKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRISSGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVRVPEPTVDETIEILKGLRERYEIHHKLRYTDEALVAAAQLSYQYISDRFLPDKAIDLVDEAGSRVRLRYAQLPEDAKELDKELRQITKEKNEAVRGQDFEKAGELRDREMELKAQISALIEKGKEQSKAESEAGDQGPLVTEADIQHIVSAWTGIPVEKVSSDESDRLLKMEETLHKRVIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKQEVKEIADIMLNEVFNRLKTKEIELQVTERFKDRVVEEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEIKEGDSAIVDVDADGNVTVLNGGSGVADSLPPPVSVQ >DRNTG_30403.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:112126:113672:-1 gene:DRNTG_30403 transcript:DRNTG_30403.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRYRKFIMELQGGKQSKEAERRSETSSPDPVIPKKNDAESQATPPKEQLQTNASSTAVATSPLEAAVHEESQAQDKGQPNIVQTEVHQPDFKRALPPPPAGIDKFPNVTGPDTSASRRQDQNPHNYNNNNDGIVPPQQNFHQPDQHGWDHMARRDSRSNWMFDHNCPYPYNRDQEFYPVPPHTHGDQYHGQDQYWPRNDHIGRQHPLRDGREYLTDDAIQNREKRSSSSGDKQSGTLVYDNIVICEDPSKVVMGIRNSAGGKVGGRVSGNRVIR >DRNTG_30403.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:112126:113672:-1 gene:DRNTG_30403 transcript:DRNTG_30403.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARRDSRSNWMFDHNCPYPYNRDQEFYPVPPHTHGDQYHGQDQYWPRNDHIGRQHPLRDGREYLTDDAIQNREKRSSSSGDKQSGTLVYDNIVICEDPSKVVMGIRNSAGGKVGGRVSGNRVIR >DRNTG_30403.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:112126:113672:-1 gene:DRNTG_30403 transcript:DRNTG_30403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKQSKEAERRSETSSPDPVIPKKNDAESQATPPKEQLQTNASSTAVATSPLEAAVHEESQAQDKGQPNIVQTEVHQPDFKRALPPPPAGIDKFPNVTGPDTSASRRQDQNPHNYNNNNDGIVPPQQNFHQPDQHGWDHMARRDSRSNWMFDHNCPYPYNRDQEFYPVPPHTHGDQYHGQDQYWPRNDHIGRQHPLRDGREYLTDDAIQNREKRSSSSGDKQSGTLVYDNIVICEDPSKVVMGIRNSAGGKVGGRVSGNRVIR >DRNTG_30403.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:112126:113672:-1 gene:DRNTG_30403 transcript:DRNTG_30403.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARRDSRSNWMFDHNCPYPYNRDQEFYPVPPHTHGDQYHGQDQYWPRNDHIGRQHPLRDGREYLTDDAIQNREKRSSSSGDKQSGTLVYDNIVICEDPSKVVMGIRNSAGGKVGGRVSGNRVIR >DRNTG_30403.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:112126:113672:-1 gene:DRNTG_30403 transcript:DRNTG_30403.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRDSRSNWMFDHNCPYPYNRDQEFYPVPPHTHGDQYHGQDQYWPRNDHIGRQHPLRDGREYLTDDAIQNREKRSSSSGDKQSGTLVYDNIVICEDPSKVVMGIRNSAGGKVGGRVSGNRVIR >DRNTG_15584.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1558882:1559281:1 gene:DRNTG_15584 transcript:DRNTG_15584.1 gene_biotype:protein_coding transcript_biotype:protein_coding QFLHQITQENLVKRNTSLNKQQTQQ >DRNTG_30501.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:730704:733920:-1 gene:DRNTG_30501 transcript:DRNTG_30501.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKILYLGNTLNQGTARGSAVGFRLDSLLKLTDTRATNNRMTLMHYLCKVLATRSPNLLDFYEDLISLEAASKVQLKVLAEEMQATVKGLERVELEQAASENDGPVSEVFRKTLKEFVAMSGAEVRTLTSTYSSVGKNADALALYFGEDPARCPFEQGNLAIKFSLIIYLVYW >DRNTG_30501.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:730704:732646:-1 gene:DRNTG_30501 transcript:DRNTG_30501.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMHYLCKVLATRSPNLLDFYEDLISLEAASKVQLKVLAEEMQATVKGLERVELEQAASENDGPVSEVFRKTLKEFVAMSGAEVRTLTSTYSSVGKNADALALYFGEDPARCPFEQGNLAIKFSLIIYLVYW >DRNTG_30501.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:731045:739301:-1 gene:DRNTG_30501 transcript:DRNTG_30501.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPPPPGVPGAPPPPLSAQGNRKGLSPSPLAVGRGHGLARPASTGAMASPRKSPLKPLHWVKVTRAMQGSLWAELQKHGDAQSNSEFDVSELEKLFPAVVPKSNAGSKSDGRRKSVGSKSDRVHLIDLRRANNTEIMLTKVKMPLPDMMSAALAMDESILDVDQVENLIKFCPTKEEMELLKNFNGDKESLGKCEQFFLELMKVPRMESKLRVFSFKIQFNAQISDVRKYLNIVNSACEEIRNSSKLKEVMKKILYLGNTLNQGTARGSAVGFRLDSLLKLTDTRATNNRMTLMHYLCKVLATRSPNLLDFYEDLISLEAASKVQLKVLAEEMQATVKGLERVELEQAASENDGPVSEVFRKTLKEFVAMSGAEVRTLTSTYSSVVCNSTFYSSQ >DRNTG_30501.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:731045:732275:-1 gene:DRNTG_30501 transcript:DRNTG_30501.5 gene_biotype:protein_coding transcript_biotype:protein_coding TLGCHEDQVLATRSPNLLDFYEDLISLEAASKVQLKVLAEEMQATVKGLERVELEQAASENDGPVSEVFRKTLKEFVAMSGAEVRTLTSTYSSVVCNSTFYSSQ >DRNTG_30501.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:729589:739301:-1 gene:DRNTG_30501 transcript:DRNTG_30501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPPPPGVPGAPPPPLSAQGNRKGLSPSPLAVGRGHGLARPASTGAMASPRKSPLKPLHWVKVTRAMQGSLWAELQKHGDAQSNSEFDVSELEKLFPAVVPKSNAGSKSDGRRKSVGSKSDRVHLIDLRRANNTEIMLTKVKMPLPDMMSAALAMDESILDVDQVENLIKFCPTKEEMELLKNFNGDKESLGKCEQFFLELMKVPRMESKLRVFSFKIQFNAQISDVRKYLNIVNSACEEIRNSSKLKEVMKKILYLGNTLNQGTARGSAVGFRLDSLLKLTDTRATNNRMTLMHYLCKVLATRSPNLLDFYEDLISLEAASKVQLKVLAEEMQATVKGLERVELEQAASENDGPVSEVFRKTLKEFVAMSGAEVRTLTSTYSSVGKNADALALYFGEDPARCPFEQVITTLLNFVRLFRKAHDENCKQAELDKKKAEKEAEMEKSKPLEPIEPV >DRNTG_13653.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1271181:1273126:1 gene:DRNTG_13653 transcript:DRNTG_13653.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASSAAYLVWEDLMAVLPNYGSPRPTKKLLQGLSGYAAPERIMAIMGPSRSGKSTLLDSLAGRLGRNVVLTKKVLLNGKKRRLDYGVVAYVTQENVLLGTLTVRETITYSAHLRLPSTMRKKEVQAVVERIQKFIVPPDVPPLKCRTYGCYLCDIWANNDAVRNVLHIQKVY >DRNTG_13653.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1271181:1273126:1 gene:DRNTG_13653 transcript:DRNTG_13653.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASSAAYLVWEDLMAVLPNYGSPRPTKKLLQGLSGYAAPERIMAIMGPSRSGKSTLLDSLAGRLGRNVVLTKKVLLNGKKRRLDYGVVAYVTQENVLLGTLTVRETITYSAHLRLPSTMRKKEVQAVVERIQKFIVPPDVPPLKCRTYGCYLCDIWANNDAVRNVLHIQKGTVPEWIRCNDYLQYANDILSSVKYQYKLTSQGYQALVYR >DRNTG_13653.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1271181:1272060:1 gene:DRNTG_13653 transcript:DRNTG_13653.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASSAAYLVWEDLMAVLPNYGSPRPTKKLLQGLSGYAAPERIMAIMGPSRSGKSTLLDSLAGLGILPFFLLIILGVWFDWWY >DRNTG_13653.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1271084:1272060:1 gene:DRNTG_13653 transcript:DRNTG_13653.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASSAAYLVWEDLMAVLPNYGSPRPTKKLLQGLSGYAAPERIMAIMGPSRSGKSTLLDSLAGRLGRNVVLTKKVLLNGKKRRLDYGVVVGFLIYSSFFLFVLMWKSARLACV >DRNTG_13653.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1271181:1273126:1 gene:DRNTG_13653 transcript:DRNTG_13653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKEVQAVVERIQKFIVPPDVPPLKCRTYGCYLCDIWANNDAVRNVLHIQKGTVPEWIRCNDYLQYANDILSSVKYQYKLTSQGYQALVYR >DRNTG_10568.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1652365:1655948:-1 gene:DRNTG_10568 transcript:DRNTG_10568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSLTAAQALIGRAVAGAGQSPAHTDRLALPTGFGLSALAGRALKTSARQQQRVARPRRAPMVLAAAVETLEGTAAAAPPALLEKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEVMRYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDSVKDEDLKSFRQWGSRTPGHPENFETPGVEVTTGPLGQGIANAVGLALAERHLAARFNKPDNEIVDHYTYVILGDGCQMEGISNEVCSIAGHWGLGKLIAFYDDNHISIDGDTEIAFTEDVSARFEGLGWHTIWVKNGNTGYDDIRAAIKEAKAVTDKPTLIKVTTTIGYGSPNKANSYSVHGSALGAKEVDATRQNLGWPYEPFHVPEDVKSHWSRHIPHGAALEKAWNAKFAEYERKYAEEAAELKSIITGELPAGWAEALPKYTPESPADATRNLSQQCLNALAKVIPGFLGGSADLASSNMTLLKMFGDFQKATPEERNLRFGVREHGMGGICNGIALHCPGLIPYCATFFVFTDYMRAAIRISALCEAGVIYVMTHDSIGLGEDGPTHQPIEHLISFQSNAQHSDAPPCRWE >DRNTG_13415.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17354716:17355090:1 gene:DRNTG_13415 transcript:DRNTG_13415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNACSLVRELQQHYFKLVRLGHGTDNDAAGRLLRFLALSPFGDIHYALRLLRSLPSPDPFIFNTLLRSPSLLSHHHPLYLYSQMHLLSVSPIEFTFPPLIKKALCESSFLESGKQVHVVKCLK >DRNTG_05158.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4658770:4659898:-1 gene:DRNTG_05158 transcript:DRNTG_05158.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGGAALDGVEIPLPEETLEVAKQSDAVLLGAIGGYKWDANEKHLKPEAGLLQLRPGLGVFQPLCYHK >DRNTG_05158.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4657893:4659898:-1 gene:DRNTG_05158 transcript:DRNTG_05158.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGGAALDGVEIPLPEETLEVAKQSDAVLLGAIGGYKWDANEKHLKPEAGLLQLRPGLGVFQPLCYHKMGEKSCNYFLEVIPQYIIEEIVAYIASTFSTSLHDLKMLRACCESFCAAMKSRKVGQFMRVDNL >DRNTG_05158.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4657893:4659898:-1 gene:DRNTG_05158 transcript:DRNTG_05158.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCSAASVASRGYSITLLPGDDIGPEVVSVAKDALSLAGSYEGINGMRMRSI >DRNTG_18200.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000938.1:33430:39276:-1 gene:DRNTG_18200 transcript:DRNTG_18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSAFRSAAFPALLILLAVSTATASESDHKYQVEEPVTLWVNKVGPYNNPQETYNYYSLPFCQSSENPTHKWGGLGEVLGGNELIDSHIDIKFQKNVSKSTICIIELDVPKLKQFKEAVMSSYWFELFIDGLPMWGFVGETDKKNENKHYLFTHKDIEIQYNGNQIIHVNLTQESPKLLESGKSFEMTYSVKWMPTNVTFVRRFEVYLDHPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLDNMERDVSEESGWKLVHGDVFRPPQNLVLLSALVGSGAQLAILVLLVILLAIIGMLYIGRGAIVTTFIVCYAFTSFISGYVSGGLYSRNGGKNWIKSMIITASIFPFMCFGIGFVLNTIAIFYRSLAAIPFGTIVAVFVMWAFISFPLALLGTVVGRNWSGSPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFIFTSFWNYKVYYVYGFMLLVFLILIIVTVCVTIVGTYFLLNAENYHWQWTSFFAAASTAAYVFLYSIYYYHIKTKMSGFFQTSFYFGYTLMFCFGLGILCGAVGYLGSALFVRRIYRNIKCD >DRNTG_11912.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:433940:436749:-1 gene:DRNTG_11912 transcript:DRNTG_11912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISKKNRHEICKYLFQEGVLYAKKDYNLEKHPLIDVPNLQVIKLMQSFKSREYVRETFAWMHYYWYLTNDGIEFLRTFLNLPSEIVPATLKKSSKAPSRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGGGDFGGDKGGAPPEFQPSFRGTGGRPGFGRGGGGYGQSSAQPALE >DRNTG_01027.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18183318:18183961:1 gene:DRNTG_01027 transcript:DRNTG_01027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFKIETTVFAAVAEQQQKLYDMGSCDVVVVAKCKCCGIWEECTAGYIHSVKERFGGVWVCGLCEEAIKDEQVRLGVGVETALQVHASFRETVSVDPIIRFAHSLLQLLKKKITTIST >DRNTG_30866.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001638.1:6269:6598:1 gene:DRNTG_30866 transcript:DRNTG_30866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPSSIQVTHTSISSLSDWNIPIPLLSPLDFPNPLHHHPSNIPPPSSSSSSSFIDIDHQELRQREEKDDGDIKGNKSGTGWRGWQHPAEPFHYEPVPGKAPAFLLPSHC >DRNTG_32149.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20756416:20756766:-1 gene:DRNTG_32149 transcript:DRNTG_32149.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGAPLTAKETPWRLIPIFTISRTHDRFKRIESVYAGMIAHLDTILRLLERDASSPFIRTPRTPPAPPASKSPDPPAPFNYVPPAATVEPTPDDTND >DRNTG_13544.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20982003:20990170:1 gene:DRNTG_13544 transcript:DRNTG_13544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKKQHHFLLVVNALQSHINQCFNLAKHLTSSTGAAVTLSTTVFFHRRMFPSISEPSQEVIDGLITFKPFSDGFDVDGYQPGSMDLKEYLAAFRANGQRGLSTLVKDLAAGGRPVTCIIRAPFLEWVVDVAGEHGVPSVLYWIQAITLFATHYHFFHGFESVIRAHSGDPSFTIQLPGLQPLQIKDLPSFLTETSDNSPYAFFIDSFRELFQVLKRDVAVLMNSLTALETEALALVLSQRLKVFAVGSCPLASPGNMFKEDEKKYMEWLDTKEEGSVVYISFGSLSVMKKEQILEMVKGLKESKSPYLWVVRKDNKEKELLDIEGEEGDHDGNGMVVEWCSQVKVLAHRAVGCFVTHCGWNSILESLACGVPMVCVPQWNDQGMNAKLVESLWGCGVRSEINGDGVVKGEELVRCLESVMGDGEKGVEIRTKAKYVER >DRNTG_04825.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2278995:2281113:-1 gene:DRNTG_04825 transcript:DRNTG_04825.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-linked sulfhydryl oxidase ERV1 [Source:Projected from Arabidopsis thaliana (AT1G49880) UniProtKB/Swiss-Prot;Acc:Q8GXX0] MAGNENPVQAFLHCCTSVARSLHSHLSLFFPSPNEPSSKRSLSQDTKLVLPAVSSLESIQKPVAAPLTKEELGRATWTLLHTIAAQYPDNPTRQQKHDVKELMAILSRIYPCKECADHFKEVLKANPVQAGSQAELSQWLCHVHNVVNRSLGKLVFPCQRVNARWGKLDCKDRACDLEGTSSFGS >DRNTG_31350.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2924150:2927697:-1 gene:DRNTG_31350 transcript:DRNTG_31350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTSEVAEEFKIPLALFWTSSSCGFMGYCHYRGLIERGLIPLKGMYVIYVRKINGEEEEAVSTASLLRWRGRLADYYESQLRWKCRVLIGYSSDSRSYHTMNRWVNIKCMSTLTALDLAMATAKVSRVSSRIGGERPKPSYRFEEGSLPLMVSLVALRVLSGGVFLLRIIATVVAS >DRNTG_31893.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4529984:4535512:-1 gene:DRNTG_31893 transcript:DRNTG_31893.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVLAKGIDREYSETQRDKGLSRSSRRFVASSRRDNVVVLDPSGIAGNEGSRARLISKERDNAVTPPARSPVEGDKKAVAGVDRIREGAAHQRATIVDSRVHGSRIGIMSSVENGSSITGVPNGIVGEHVSAGWPSWLAAVAGEAVQGWLPRKAESFEKFEKIGQGTYSNVYRARDLETGKIVALKKVRFVNVDPESVRFMAREILVLRRLDHPNVLKLEGLVTSRMSCSLYLVFEYMEHDLAGLAANPSIKFTVPQIKCYMQQLLSGLNHCHSHGVLHRDIKGSNLLVDNNGMLRIADFGLATFFSHSQKQPLTSRVVTLWYRPPELLLGATEYGVSVDLWSAGCILAELFAGKPIMPGRTEVEQLHKIFKLCGSPSDEYWKKAKLPHTTIFKPQHPYRRCIDDTFRDFPSSALALMNTLLAIEPAERGTAASALDSEFFRSKPFACDPASFPKYPPSKELDAKLRDEEARRQRAAAMKGHGSESGRRASRDPKAIPMPDANAELQESLQRRQIDGNHKSVSEKYNPHEETGAVNHSRVIADNGFFHSGPLMNSSMLGSRNKRPDGELPSIPNRSSSTQRASNGRQLRMQRSLKHQGGGVDLSNLSGLVAARSSASSRYSQLDGAEPSEKLLDRPSSSLKKDERPGIKEPTMVYNTKKNIIHFSGPLMPPGGNTEEILKEHERRIQHAVRKARLDKTKNNRNGHFEALLYPSRNGSSDA >DRNTG_11092.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30721511:30722026:-1 gene:DRNTG_11092 transcript:DRNTG_11092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVCKAHEELMAPPAPPPAPPAPAPAAP >DRNTG_21527.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1460032:1462562:1 gene:DRNTG_21527 transcript:DRNTG_21527.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSANHIILCNTTTITTKPMQLQRCRTTHTSTTTAGDDKPTKSSRRWAIMLTVLATSSTTPLLRTPPSRAQSWGTHSFMKEKYFMPDISPEDSVARIRQTTEGMHEMRHMLDTMSWRYVMFYIRLKAAYLETDLKNAMTVVPKPKHQSYIKIANEVVDSMTDLDRFVRTPKVYESYLYYEKTLKSLDALVAFLA >DRNTG_21527.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1459881:1460935:1 gene:DRNTG_21527 transcript:DRNTG_21527.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSANHIILCNTTTITTKPMQLQRCRTTHTSTTTAGDDKPTKSSRRWAIMLTVLATSSTTPLLRTPPSRAQSWGTHSFMKEKYFMPDISPEDSVARIRQTTEGMHEMRHMLDTMSWRYVMFYIRLKAAYLETDLKNAMTVVPKPKHQSYIKIANEVVDSMTDLDRFVRTPKVYESYLYYEKTLKSLDALVAFLA >DRNTG_21527.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1459881:1462562:1 gene:DRNTG_21527 transcript:DRNTG_21527.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSANHIILCNTTTITTKPMQLQRCRTTHTSTTTAGDDKPTKSSRRWAIMLTVLATSSTTPLLRTPPSRAQSWGTHSFMKEKYFMPDISPEDSVARIRQTTEGMHEMRHMLDTMSWRYVMFYIRLKAAYLETDLKNAMTVVPKPKHQSYIKIANEVVDSMTDLDRFVRTPKVYESYLYYEKTLKSLDALVAFLA >DRNTG_21527.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1459881:1461870:1 gene:DRNTG_21527 transcript:DRNTG_21527.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSANHIILCNTTTITTKPMQLQRCRTTHTSTTTAGDDKPTKSSRRWAIMLTVLATSSTTPLLRTPPSRAQSWGTHSFMKEKYFMPDISPEDSVARIRQTTEGMHEMRHMLDTMSWRYVMFYIRLKAAYLETDLKNAMTVVPKPKHQSYIKIANEVVDSMTDLDRFVRTPKVYESYLYYEKTLKSLDALVAFLA >DRNTG_21527.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1460032:1460935:1 gene:DRNTG_21527 transcript:DRNTG_21527.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSANHIILCNTTTITTKPMQLQRCRTTHTSTTTAGDDKPTKSSRRWAIMLTVLATSSTTPLLRTPPSRAQSWGTHSFMKEKYFMPDISPEDSVARIRQTTEGMHEMRHMLDTMSWRYVMFYIRLKAAYLETDLKNAMTVVPKPKHQSYIKIANEVVDSMTDLDRFVRTPKVYESYLYYEKTLKSLDALVAFLA >DRNTG_13540.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21046735:21049529:1 gene:DRNTG_13540 transcript:DRNTG_13540.14 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLCRSLPLLCRSISSKIPSSPRPHFPLSPPLFSRSISNPNPTAGLIPNPSLSWRRFCNLDRLQPQQWHHNRRTILQPLAVLLGVIVGGGGIIYYRYFETVPFSNNSRLVIVSPLAERDISEIEFQKLKNGLEGRILPGNHPDTIRVRRISENIIEAIQPCLNHDKRQWGNLSYAFEIHDKWQWGDLWYACEIQALEQSPETTKKAAEAESWEVLVVSDKTFYAFCLPCRKIVVSTRVLDHLRTDAEIATLLGHENGNGGRSYWPLVDGLCGI >DRNTG_13540.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21046694:21049529:1 gene:DRNTG_13540 transcript:DRNTG_13540.11 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLCRSLPLLCRSISSKIPSSPRPHFPLSPPLFSRSISNPNPTAGLIPNPSLSWRRFCNLDRLQPQQWHHNRRTILQPLAVLLGVIVGGGGIIYYRYFETVPFSNNSRLVIVSPLAERDISEIEFQKLKNGLEGRILPGNHPDTIRVRRISENIIEAIQPCLNHDKRQWGNLSYAFEIHDKWQWGDLWYACEIQALEQSPETTKKAAEAESWEVLVVSDKTFYAFCLPCRKIVVSTRVLDHLRTDAEIATLLGHEGFLFVSWRLPMLLLAMVLRLLQKACGWIFISCHVSVVLIMKK >DRNTG_13540.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21046480:21049529:1 gene:DRNTG_13540 transcript:DRNTG_13540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLCRSLPLLCRSISSKIPSSPRPHFPLSPPLFSRSISNPNPTAGLIPNPSLSWRRFCNLDRLQPQQWHHNRRTILQPLAVLLGVIVGGGGIIYYRYFETVPFSNNSRLVIVSPLAERDISEIEFQKLKNGLEGRILPGNHPDTIRVRRISENIIEAIQPCLNHDKRQWGNLSYAFEIHDKWQWGDLWYACEIQALEQSPETTKKAAEAESWEVLVVSDKTFYAFCLPCRKIVVSTRVLDHLRTDAEIATLLGHEVAHVVARHGAEIATKGLWMDIHFMPRFCCFDHEKIVDALMRKEDLCTRMEMEADHIGLLLMAYAGYDPSVAPRVYEKLTGIKWKTLWHYSSTRPASLERTEQMEAALSVYRGVFASNVCF >DRNTG_13540.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21046735:21049529:1 gene:DRNTG_13540 transcript:DRNTG_13540.13 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLCRSLPLLCRSISSKIPSSPRPHFPLSPPLFSRSISNPNPTAGLIPNPSLSWRRFCNLDRLQPQQWHHNRRTILQPLAVLLGVIVGGGGIIYYRYFETVPFSNNSRLVIVSPLAERDISEIEFQKLKNGLEGRILPGNHPDTIRVRRISENIIEAIQPCLNHDKRQWGNLSYAFEIHDKWQWGDLWYACEIQALEQSPETTKKAAEAESWEVLVVSDKTFYAFCLPCRKIVVSTRVLDHLRTDAEIATLLGHEVAHVVARHGAEIATKGLWMDIHFMPRFCCFDHEKIVDALMRKEDLCTRMEMEADHIGLLLMAYAGYDPSVAPRVYEKLTGIKWKTLWHYSSTRPASLERTEQMEAALSVYRGVFASNVCF >DRNTG_13540.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21046735:21048069:1 gene:DRNTG_13540 transcript:DRNTG_13540.17 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLCRSLPLLCRSISSKIPSSPRPHFPLSPPLFSRSISNPNPTAGLIPNPSLSWRRFCNLDRLQPQQWHHNRRTILQPLAVLLGVIVGGGGIIYYRYFETVPFSNNSRLVIVSPLAERDISEIEFQKLKNGLEGRILPGNHPDTIRVRRISENIIEAIQPCLNHDKRQWGNLSYAFEIHDKWQWGDLWYACEIQALEQSPETTKKAAEAESWEVLVVSDKTFYAFCLPCRKIVVSTRVLDHLRTDAEIATLLGHEVAHVVARHGAEIATKGLWMDIHFMPRFCCFDHEKIVDALMRKEDLCTRYFTLISL >DRNTG_13540.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21046694:21049529:1 gene:DRNTG_13540 transcript:DRNTG_13540.10 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLCRSLPLLCRSISSKIPSSPRPHFPLSPPLFSRSISNPNPTAGLIPNPSLSWRRFCNLDRLQPQQWHHNRRTILQPLAVLLGVIVGGGGIIYYRYFETVPFSNNSRLVIVSPLAERDISEIEFQKLKNGLEGRILPGNHPDTIRVRRISENIIEAIQPCLNHDKRQWGNLSYAFEIHDKWQWGDLWYACEIQALEQSPETTKKAAEAESWEVLVVSDKTFYAFCLPCRKIVVSTRVLDHLRTDAEIATLLGHEVSFFFLAID >DRNTG_13540.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21046694:21049599:1 gene:DRNTG_13540 transcript:DRNTG_13540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLCRSLPLLCRSISSKIPSSPRPHFPLSPPLFSRSISNPNPTAGLIPNPSLSWRRFCNLDRLQPQQWHHNRRTILQPLAVLLGVIVGGGGIIYYRYFETVPFSNNSRLVIVSPLAERDISEIEFQKLKNGLEGRILPGNHPDTIRVRRISENIIEAIQPCLNHDKRQWGNLSYAFEIHDKWQWGDLWYACEIQALEQSPETTKKAAEAESWEVLVVSDKTFYAFCLPCRKIVVSTRVLDHLRTDAEIATLLGHEVAHVVARHGAEIATKGLWMDIHFMPRFCCFDHEKIVDALMRKEDLCTRMEMEADHIGLLLMAYAGYDPSVAPRVYEKLTGIKWKTLWHYSSTRPASLERTEQMEAALSVYRGVFASNVCF >DRNTG_13540.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21046480:21049599:1 gene:DRNTG_13540 transcript:DRNTG_13540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLCRSLPLLCRSISSKIPSSPRPHFPLSPPLFSRSISNPNPTAGLIPNPSLSWRRFCNLDRLQPQQWHHNRRTILQPLAVLLGVIVGGGGIIYYRYFETVPFSNNSRLVIVSPLAERDISEIEFQKLKNGLEGRILPGNHPDTIRVRRISENIIEAIQPCLNHDKRQWGNLSYAFEIHDKWQWGDLWYACEIQALEQSPETTKKAAEAESWEVLVVSDKTFYAFCLPCRKIVVSTRVLDHLRTDAEIATLLGHENGNGGRSYWPLVDGLCGI >DRNTG_13540.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21046735:21049599:1 gene:DRNTG_13540 transcript:DRNTG_13540.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLCRSLPLLCRSISSKIPSSPRPHFPLSPPLFSRSISNPNPTAGLIPNPSLSWRRFCNLDRLQPQQWHHNRRTILQPLAVLLGVIVGGGGIIYYRYFETVPFSNNSRLVIVSPLAERDISEIEFQKLKNGLEGRILPGNHPDTIRVRRISENIIEAIQPCLNHDKRQWGNLSYAFEIHDKWQWGDLWYACEIQALEQSPETTKKAAEAESWEVLVVSDKTFYAFCLPCRKIVVSTRVLDHLRTDAEIATLLGHEVAHVVARHGAEIATKGLWMDIHFMPRFCCFDHEKIVDALMRKEDLCTRMEMEADHIGLLLMAYAGYDPSVAPRVYEKLTGIKWKTLWHYSSTRPASLERTEQMEAALSVYRGVFASNVCF >DRNTG_13540.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21046771:21049529:1 gene:DRNTG_13540 transcript:DRNTG_13540.15 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLCRSLPLLCRSISSKIPSSPRPHFPLSPPLFSRSISNPNPTAGLIPNPSLSWRRFCNLDRLQPQQWHHNRRTILQPLAVLLGVIVGGGGIIYYRYFETVPFSNNSRLVIVSPLAERDISEIEFQKLKNGLEGRILPGNHPDTIRVRRISENIIEAIQPCLNHDKRQWGNLSYAFEIHDKWQWGDLWYACEIQALEQSPETTKKAAEAESWEVLVVSDKTFYAFCLPCRKIVVSTRVLDHLRTDAEIATLLGHENGNGGRSYWPLVDGLCGI >DRNTG_13540.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21046694:21049529:1 gene:DRNTG_13540 transcript:DRNTG_13540.8 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLCRSLPLLCRSISSKIPSSPRPHFPLSPPLFSRSISNPNPTAGLIPNPSLSWRRFCNLDRLQPQQWHHNRRTILQPLAVLLGVIVGGGGIIYYRYFETVPFSNNSRLVIVSPLAERDISEIEFQKLKNGLEGRILPGNHPDTIRVRRISENIIEAIQPCLNHDKRQWGNLSYAFEIHDKWQWGDLWYACEIQALEQSPETTKKAAEAESWEVLVVSDKTFYAFCLPCRKIVVSTRVLDHLRTDAEIATLLGHEVAHVVARHGAEIATKGLWMDIHFMPRFCCFDHEKIVDALMRKEDLCTRMEMEADHIGLLLMAYAGYDPSVAPRVYEKLTGIKWKTLWHYSSTRPASLERTEQMEAALSVYRGVFASNVCF >DRNTG_13540.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21046480:21049599:1 gene:DRNTG_13540 transcript:DRNTG_13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLCRSLPLLCRSISSKIPSSPRPHFPLSPPLFSRSISNPNPTAGLIPNPSLSWRRFCNLDRLQPQQWHHNRRTILQPLAVLLGVIVGGGGIIYYRYFETVPFSNNSRLVIVSPLAERDISEIEFQKLKNGLEGRILPGNHPDTIRVRRISENIIEAIQPCLNHDKRQWGNLSYAFEIHDKWQWGDLWYACEIQALEQSPETTKKAAEAESWEVLVVSDKTFYAFCLPCRKIVVSTRVLDHLRTDAEIATLLGHEVAHVVARHGAEIATKGLWMDIHFMPRFCCFDHEKIVDALMRKEDLCTRMEMEADHIGLLLMAYAGYDPSVAPRVYEKLTGIKWKTLWHYSSTRPASLERTEQMEAALSVYRGVFASNVCF >DRNTG_13540.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21046694:21049599:1 gene:DRNTG_13540 transcript:DRNTG_13540.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLCRSLPLLCRSISSKIPSSPRPHFPLSPPLFSRSISNPNPTAGLIPNPSLSWRRFCNLDRLQPQQWHHNRRTILQPLAVLLGVIVGGGGIIYYRYFETVPFSNNSRLVIVSPLAERDISEIEFQKLKNGLEGRILPGNHPDTIRVRRISENIIEAIQPCLNHDKRQWGNLSYAFEIHDKWQWGDLWYACEIQALEQSPETTKKAAEAESWEVLVVSDKTFYAFCLPCRKIVVSTRVLDHLRTDAEIATLLGHEGFLFVSWRLPMLLLAMVLRLLQKACGWIFISCHVSVVLIMKK >DRNTG_13540.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21046694:21049599:1 gene:DRNTG_13540 transcript:DRNTG_13540.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLCRSLPLLCRSISSKIPSSPRPHFPLSPPLFSRSISNPNPTAGLIPNPSLSWRRFCNLDRLQPQQWHHNRRTILQPLAVLLGVIVGGGGIIYYRYFETVPFSNNSRLVIVSPLAERDISEIEFQKLKNGLEGRILPGNHPDTIRVRRISENIIEAIQPCLNHDKRQWGNLSYAFEIHDKWQWGDLWYACEIQALEQSPETTKKAAEAESWEVLVVSDKTFYAFCLPCRKIVVSTRVLDHLRTDAEIATLLGHENGNGGRSYWPLVDGLCGI >DRNTG_13540.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21046771:21049529:1 gene:DRNTG_13540 transcript:DRNTG_13540.16 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLCRSLPLLCRSISSKIPSSPRPHFPLSPPLFSRSISNPNPTAGLIPNPSLSWRRFCNLDRLQPQQWHHNRRTILQPLAVLLGVIVGGGGIIYYRYFETVPFSNNSRLVIVSPLAERDISEIEFQKLKNGLEGRILPGNHPDTIRVRRISENIIEAIQPCLNHDKRQWGNLSYAFEIHDKWQWGDLWYACEIQALEQSPETTKKAAEAESWEVLVVSDKTFYAFCLPCRKIVVSTRVLDHLRTDAEIATLLGHEVAHVVARHGAEIATKGLWMDIHFMPRFCCFDHEKIVDALMRKEDLCTRMEMEADHIGLLLMAYAGYDPSVAPRVYEKLTGIKWKTLWHYSSTRPASLERTEQMEAALSVYRGVFASNVCF >DRNTG_13540.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21046771:21049599:1 gene:DRNTG_13540 transcript:DRNTG_13540.12 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLCRSLPLLCRSISSKIPSSPRPHFPLSPPLFSRSISNPNPTAGLIPNPSLSWRRFCNLDRLQPQQWHHNRRTILQPLAVLLGVIVGGGGIIYYRYFETVPFSNNSRLVIVSPLAERDISEIEFQKLKNGLEGRILPGNHPDTIRVRRISENIIEAIQPCLNHDKRQWGNLSYAFEIHDKWQWGDLWYACEIQALEQSPETTKKAAEAESWEVLVVSDKTFYAFCLPCRKIVVSTRVLDHLRTDAEIATLLGHEVAHVVARHGAEIATKGLWMDIHFMPRFCCFDHEKIVDALMRKEDLCTRMEMEADHIGLLLMAYAGYDPSVAPRVYEKLTGIKWKTLWHYSSTRPASLERTEQMEAALSVYRGVFASNVCF >DRNTG_13540.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21046694:21049529:1 gene:DRNTG_13540 transcript:DRNTG_13540.9 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLCRSLPLLCRSISSKIPSSPRPHFPLSPPLFSRSISNPNPTAGLIPNPSLSWRRFCNLDRLQPQQWHHNRRTILQPLAVLLGVIVGGGGIIYYRYFETVPFSNNSRLVIVSPLAERDISEIEFQKLKNGLEGRILPGNHPDTIRVRRISENIIEAIQPCLNHDKRQWGNLSYAFEIHDKWQWGDLWYACEIQALEQSPETTKKAAEAESWEVLVVSDKTFYAFCLPCRKIVVSTRVLDHLRTDAEIATLLGHENGNGGRSYWPLVDGLCGI >DRNTG_27731.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:219486:222311:1 gene:DRNTG_27731 transcript:DRNTG_27731.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDFHVCNENWWSVARSSSAGFDGPTGMSSVASSISCSSTISHISGGGGYSWAPAEVVEGSTRSAGDEPVGSGSGSTVSYQDSHKVQASDQVPPCVSFMDSSLQVPGFGLSSPMIDWNQALIGSGRVEPSFHAMLQEDLSSRSVFRQGIPMESAQVQGQGSTGGSSANLFKEMNQGLILLEQQHQEQGQGGNFPLVPTSYGYNSVAQGLYEQENKSQLQQQSLFQSPMVFQHTDLPQFLKSLSPKHHALSNQLHFSNNTPYWNASGGTAGVDVRPSICASLPSHFVQQAFEQKPNCNNNLSIKNSEAVTSGTRKSNSEPAFKKPRMETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIDYIKFLHEQVGVLSTPYLKNGNLVQHQQNNEKHKDGEGSKQDLRSRGLCLVPISSTFPVASETPADFWTPTFGGMYR >DRNTG_27731.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:219486:222311:1 gene:DRNTG_27731 transcript:DRNTG_27731.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDFHVCNENWWSVARSSSAGFDGPTGMSSVASSISCSSTISHISGGGGYSWAPAEVVEGSTRSAGDEPVGSGSGSTVSYQDSHKVQASDQVPPCVSFMDSSLQVPGFGLSSPMIDWNQALIGSGRVEPSFHAMLQEDLSSRSVFRQGIPMESAQVQGQGSTGGSSANLFKEMNQGLILLEQQHQEQGQGGNFPLVPTSYGYNSVAQGLYEQENKSQLQQQSLFQSPMVFQHTDLPQFLKSLSPKHHALSNQLHFSNNTPYWNASGGTAGVDVRPSICASLPSHFVQQAFEQKPNCNNNLSIKKNSEAVTSGTRKSNSEPAFKKPRMETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIDYIKFLHEQVGVLSTPYLKNGNLVQHQQNNEKHKDGEGSKQDLRSRGLCLVPISSTFPVASETPADFWTPTFGGMYR >DRNTG_16668.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000835.1:20342:21901:-1 gene:DRNTG_16668 transcript:DRNTG_16668.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTPLPVWHGKGYRSLGFRFGDICYISDVSDIPRETYPLLENCDLLILDALRPDRSSSTHFGLPRALMEVRKIQPRKTLFTGMMHLMDHDKVNEDLSKLKETEGLDIQLSYDGLRLPVNL >DRNTG_14210.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:24813566:24820049:-1 gene:DRNTG_14210 transcript:DRNTG_14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADGLVILGIETLSWAPLRCLGTWPSPWRAKPLMGTWVFVNPRMEMVERVSREDALHRVRLRDEVEQLLAIRASHKVLTINQPVYRELTFEFLAIFERLRGQDDYTLTEQYHNLLSSTKLGETRLAR >DRNTG_19147.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20559667:20560544:1 gene:DRNTG_19147 transcript:DRNTG_19147.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCAKVGLQKGQWTANEDQLLIKYIQANGEGHWNSLPQKAGLLRCPKSCRLRWMNYLRPDIKRGNIGPQEEDLIIRLHALLGNRWSLIARRLPGRTDNEIKNYWNCHLKKKLKEQGFAIKENRLSKRRNVINYSNKNSKSRNNKIDHLKKKADETGTKIYIPKPTRLTSTMKRPDYQGNRKNDDMNKGRLSLEQGNTSDNSNSTSSNAIMQHLNTLGVLEDDLLLDDEFAINDLSSLEKLFQEYLQALNSNED >DRNTG_27254.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:802870:803626:-1 gene:DRNTG_27254 transcript:DRNTG_27254.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPQGLLHFQINAGGIPSVAYASFSSSDPGLQITSIALFGSSLPSIIIEKTTFLDHAQVKKLKAVLGGMN >DRNTG_00045.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21679759:21682175:-1 gene:DRNTG_00045 transcript:DRNTG_00045.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAVGRPGTVSGLLLRMGQSLFAAASIAVMVSAFGFSSYTAFCYLIASMGLQALWSFGLACLDFYALWVKRDLLSAVLVSLFVVGDWLHVLQLELQFFLLEM >DRNTG_01044.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18646567:18650233:-1 gene:DRNTG_01044 transcript:DRNTG_01044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVTVFGLPASTDVARVLACLFEKDVEFQLVRIDTYKGNQKVPEFLKLQDCSGQVTFKDGNTTLTNSREICRCVCTEYADSGNKNIFGTGALERASIEQWLQAETQNFDPPSSDLVFQLAFAAPLGSIPDQTIIANSEKKLAKLFDVYDKRLAESEFLAGDEFTIADLSHLPNSQYIVTKSEKGRELFKKRKNVDRWWNAISSRASWKKVVEMQQEHPGLLEKFTY >DRNTG_01044.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18646567:18647688:-1 gene:DRNTG_01044 transcript:DRNTG_01044.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVTVFGLPASTDVARVLACLFEKDVEFQLVRIDTYKGNQKVPEFLKLQDCSGQVTFKDGNTTLTNSREICRCVCTEYADSGNKNIFGTGALERASIEQWLQAETQNFDPPSSDLVFQLAFAAPLGSIPDQTIIANSEKKLAKLFDVYDKRLAESEFLAGDEFTIADLSHLPNSQYIVTKSEKGRELFKKRKNVDRWWNAISSRASWKKVVEMQQEHPGLLEKFTY >DRNTG_01044.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18649185:18650233:-1 gene:DRNTG_01044 transcript:DRNTG_01044.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKVYGPPMSTAVSRVLACLFEKEVDFQLLPVDMSKGQHRSPDFLKLQPFGQVPAFQDDSISLFESRAICRYILDKYPEKGNKFLLGKGDLLERASIDQWLEAEAQSFNPPSSVLVFQLAFAPRMKLKQDENAIQKMNVLNCRAL >DRNTG_29605.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7216396:7219648:-1 gene:DRNTG_29605 transcript:DRNTG_29605.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVMGCSGEGSATKTMAMEGIMEGIFSEDVDRRIGAAKEIRRLTKTSTKHRQQLSGSIEPLVSMLRCGDPEATEAAILALLNLAVKDERNKIKIVDAGALEPLVEFLQSADMTLQEYATAALLTLTASSANKPTISAVGAIPILAKILKDGNPQARIDAVRALYNLSTLLENVNTILASQPIPALVNLLKSCKKSSRSAEYCTALLESLLNFEEARNALTAEEGGVLAVVEVLEEGSPQSKAHALGALLTMCESDRSKYREVILKEGVIPGLLELTVHGSPKAQSKARTLLQLLRNSPYPRPELQADTLENIVCNIVSKIDNDDRAEKAKKMLAEMVQVSMEQSLRHMQQRAIVCTPAELPLANRPARVPSK >DRNTG_21070.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20015353:20016064:1 gene:DRNTG_21070 transcript:DRNTG_21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLESSVLSGQPGTDSYDGNGTSYLKVGQTTNGEALDRHTNSKITGSATMIDGDLVKEERKVKTRSQAESSIAHAPGLVKKEDGLKTASSKVTTTPVLETSKSTGFKGATKFKDETLKDLVEADVDVVDGEHEITPEQEKEESLPLAGVNVMNVIIVAAECAPWSKTGFYIFTSSLSLTNIFVSFYAY >DRNTG_09215.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4553401:4557594:-1 gene:DRNTG_09215 transcript:DRNTG_09215.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid division protein PDV1 [Source:Projected from Arabidopsis thaliana (AT5G53280) UniProtKB/Swiss-Prot;Acc:Q9FK13] MGWEMEMEEIEAVLERIWDLHDKISDAIHAISRSHFLRSVKTLGKPEMKHRETEEAAGGDDDRGGFVFVKGFGVADDAALAEARSLNNIRNALESLEDQLEFFHTVQSQQRAERDAAVARLEQSRIVLAMRLAEHQGKKYKVIEEARAFVGYVHETTGHFVAPENLFEIPRNNDNHDEKQSNFLMQMLMSSLSIAKRTFRLENFSGLVMNASVFAVSMLALLQLHQMAFKSETEHANDQTFPNKRKNKNLFLPDPSSTNQQKQFNVLSARG >DRNTG_33854.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002049.1:10708:21295:1 gene:DRNTG_33854 transcript:DRNTG_33854.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPYGAPPTSLHPRGGPPPSSQPFGGLPASSQPYGVPPTGPQLYGSTPSQQFAGLPQPFTAPPATSPPFGPPMWLTQPAGAPLTSGPMQTPPGMLGVPPHTTSQQLPPALPVMNLMPLAGAQVSTPSKIDPNQIPRPMPSSSVVFFETYLGNQVTIPPPATNECIVRDTGNCSPRLMRCTMNQIPCTGDLLSTSSMPLALMIQPLALPHPEEEPIQVVDFGESGPLRCSRCKAYINPFMRFIDQGRQFICNLCGFTNETPRDYYCNLGPDGRRRDVDERAELCRGTVEFVATKEYMVRDPMPAVFFFLIDVSMNAIQTGATAATCSAINQAIVDLPEGPRTMVGVATFDSTIHFYNLKHSSQQPLMLIVPDIQDVYTPLHTDLIVALSECRQHLELLLNSIPSMFEKNAVAESAFGAAIKAACLAMKPTGGKLLVFQSGKFGHLRNQMPFIKQQKDSIFF >DRNTG_33854.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002049.1:21872:30712:1 gene:DRNTG_33854 transcript:DRNTG_33854.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILNVIVCNMYAVLPSAGVGSLSSREAEGRTNVSSGDKDVHKLLQPADKTFKTMAIEFAEFQVCVDIFLTTQSFVDIASISVVPRTTGGQVYYYYPFSALSDSGKLYNDLRWNISRPQGFEAVMRVRCSEGLQIQEYSGNFCKRLPTDVDLPGIDSDKTIMVTFKQDDKFQEGAECAFQCALLYTTVYGQRRIRVLNLSFPCTNVLSNLFRSADLDAQFAYLLKQAASGIPTSPLPQVQEQITNTCVNSLHSYRKFCATVSPSGQLILPETLKLLPLYTLALTKSIGLRHDGRLDDRSYWACHVASLPISLVVPLVYPRMIAIHDLTLKDEDEDEDENKSLVSCVIPLSSEHVTDDGIYLLENGEDALLYVGNTVNPDILQQLFGVSTADVIPTQLILQQYDNNPSRRLNNLVNAIRRQRCSYLRLRLCRKGDPTGMLFFSYMVEDKAASPGSRSYIEFLVHVHHQIQNKMT >DRNTG_33854.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002049.1:10708:30712:1 gene:DRNTG_33854 transcript:DRNTG_33854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPYGAPPTSLHPRGGPPPSSQPFGGLPASSQPYGVPPTGPQLYGSTPSQQFAGLPQPFTAPPATSPPFGPPMWLTQPAGAPLTSGPMQTPPGMLGVPPHTTSQQLPPALPVMNLMPLAGAQVSTPSKIDPNQIPRPMPSSSVVFFETYLGNQVTIPPPATNECIVRDTGNCSPRLMRCTMNQIPCTGDLLSTSSMPLALMIQPLALPHPEEEPIQVVDFGESGPLRCSRCKAYINPFMRFIDQGRQFICNLCGFTNETPRDYYCNLGPDGRRRDVDERAELCRGTVEFVATKEYMVRDPMPAVFFFLIDVSMNAIQTGATAATCSAINQAIVDLPEGPRTMVGVATFDSTIHFYNLKHSSQQPLMLIVPDIQDVYTPLHTDLIVALSECRQHLELLLNSIPSMFEKNAVAESAFGAAIKAACLAMKPTGGKLLVFQSVLPSAGVGSLSSREAEGRTNVSSGDKDVHKLLQPADKTFKTMAIEFAEFQVCVDIFLTTQSFVDIASISVVPRTTGGQVYYYYPFSALSDSGKLYNDLRWNISRPQGFEAVMRVRCSEGLQIQEYSGNFCKRLPTDVDLPGIDSDKTIMVTFKQDDKFQEGAECAFQCALLYTTVYGQRRIRVLNLSFPCTNVLSNLFRSADLDAQFAYLLKQAASGIPTSPLPQVQEQITNTCVNSLHSYRKFCATVSPSGQLILPETLKLLPLYTLALTKSIGLRHDGRLDDRSYWACHVASLPISLVVPLVYPRMIAIHDLTLKDEDEDEDENKSLVSCVIPLSSEHVTDDGIYLLENGEDALLYVGNTVNPDILQQLFGVSTADVIPTQLILQQYDNNPSRRLNNLVNAIRRQRCSYLRLRLCRKGDPTGMLFFSYMVEDKAASPGSRSYIEFLVHVHHQIQNKMT >DRNTG_31139.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:271195:280624:1 gene:DRNTG_31139 transcript:DRNTG_31139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESPSLGPGRSVSPSLSSLSSRSRESNGAVAGDDPEGTMATVAQFVEQLHTNMSSPSERELITTGLLAVVRAKKEARTLIGSHSQAMPLFISILRNGTLTAKVNVALTLSVLCKEDDLRVKVLLGGCVPPLLSLLKSDSFEARKAAAEAIFEVSSGGLSDDHIGMKIFITEGVVPTLWEQLNPKIKQDKVVEGFVTGALRNLCGDKDGYWRATLEAGGVEIITSLLSSDNTSSQSNAASLLARLILAFGDSIPKVINAGAVEALLRLLGRDNDISVRSSAADALEALSSKSVTAKKAVVDAGGIPILIGAVVAPSKECMQGESGHELQEHAVRALANICGGLSSLIHYLGELCQSPRLSSPVADIIGALAYALMVFEGIEEEFNAIKIEDTLIMLLKPRDKKLVQDRLLEALASLYGNAWVSNKLDYADAKKVLIGLITMASADVQEQLIVSLTSLCCDGLGIWEALGKREGIQLLISLLGLSGEQHQEYAVALLAILTNQVDDSKWAITAAGGIPPLVQLLETGSQKAREDAAHVLWNLCCHSDDIRACVESAGAVAALLWLLKSGGPKGQEASAKALKKLIRYPDSATINQLLALLLNDTRSSKCHAITVLGHVLILASHKDLVCKGAPANKGLRSLVEVLNSSNEETQECAASVLADLFNIRQDICDSLATDEIVHPCMKLLTSKTQVVATQSARALGALSRPTKSKAVHKMSYIAEGDVKPLVKMAKTSSIDAAETAVAALANLLSDPKIAGEALEDDIISALMRVLGEGTLEGKMNASRAFHQLINHFPLGDVLTENSQCRFLVLTLVDTLAPTDMEGISSSESLDVLALLSRTKQCVNFCYPPLTPLAESPETLEPLVQCLAVGLPPVQDKAIGILSRLCRDLPVALGDRLGRPEYILSLADRIMKSSSLEVRIGGASLLICAVKEHRHQSVDVLDTSGRLEALIYALVEMLKYHSRCSSEIEGRVSRGYLDRNTFHPEGDDVVVPDTAAVLCGTVSLWLLSIISSSHMKSKLTIMEAGGVEALSQKLAGYAANPQAGLEDADSIWVSALLLAVLFEDETVVLSPATMKIIPSLAFLLKSDEIIDRYFAAQAMAGLVCSGDKGLNLSIANSGAVGGLITLIGHIEYNMPNLVALSEEFNLLHNPDQVVLEHLFDTEDVRTGATARKSIPLLVDLLRPIPDRPGAPPTAVQLLMRLAHGSDANKLAMAEAGALEALTKYLSLSPQDPTETIITDLLGILYSNSELLNHETSFSSLSQLVAVLRLGSRTARLSAARTLQELFDAENIRDTEMARQAIQPLVDMLDTGYEREQQAALVALIKLTSGSTSKALCLTDGEGTPLETLYKILSSNSSVELKKDAAQLCYILFVNSNVRAMPTASDCIQPLISLMNSNSAVAVESALRAFERLVDDEHHADIAATSGVVDLLVGFVSGSNSHLSDLSISALIKLGKDRPDCKLDMVNAGIIDKALEMLPVATGSVCSSIAELIRILTNNTGIAKSAAAARTVEPLFLLLKRTDFTMWGQHSALEALLNILEKPQSLATLRLTPSQVIEPLISFLESPSQAIQQLGTQLLSHLLEQEHFQQDITTKNAVVPLVQLAGIGILSLQQTAIKALESISVSWPKAVADAGGIFELSKVIIQDDPQPSLALWESAALVLSNVLRYNAEYYFKASVLVLVRLLHSQSESTITVALNALIVRERADASSSVLMAEAGAVDALLELLRSHQCEEVSVRLLEALFNNVRVREMKVAKYAIAPLSQYLLDPQTRSQTGRFLATLALGDLFQNDGLARATDAVSACRALISLLEDQPTEEMKMVAICALQSLVMRSRTNRRAVAEAGGILVVQELLLSPNAEVAAQAALLIKYLFSNHTLQEYVSNELIRSLTAALEKELWSAATINEEVLRTIYVIFCNFKKLRTSEAATLCIPHLVGALKAGSEAAQDSVLDTFCLLKESWPEMNVDIAKAQALIAAEAIPILQLLMKTCPPSFHERADSLLHCLPGCLTVTIKRANNLKQTMGSTNAFCRLTIGNGPPRQTKVVSHSTCPEWKEGFTWAFDVPPKGQKLYILCKSKNTFGKTTLGRVTIQIDKVVTEGVYSGFFSLSQDANKDGSSRTLEIEIVWSNRMSSDGM >DRNTG_31639.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:847961:850734:1 gene:DRNTG_31639 transcript:DRNTG_31639.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQRRRSSSATLFGRMTQSFRGTPQGVNLSFVNGSLVGGVDTLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGSSRSLANAAAQQALIAHWQGIVKSLGNFLNTLKANHVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYKATDEYAGSAWDELKHIRQAIGFLVIHQKPKKDTR >DRNTG_31639.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:849582:850734:1 gene:DRNTG_31639 transcript:DRNTG_31639.2 gene_biotype:protein_coding transcript_biotype:protein_coding SLLLRRECCSFSNGEYVKAGLAELEHWCYKATDEYAGSAWDELKHIRQAIGFLVIHQKPKKDTR >DRNTG_27364.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23064303:23065065:1 gene:DRNTG_27364 transcript:DRNTG_27364.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIWSLRGRSTRKGHSEMQAKIKSFGVT >DRNTG_27364.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23062630:23065065:1 gene:DRNTG_27364 transcript:DRNTG_27364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIWSLRGRSTRKGHSEMQAKIKSFGVT >DRNTG_29793.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19256318:19261333:1 gene:DRNTG_29793 transcript:DRNTG_29793.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENSSAFDVRVPVYTSEELLEKSQEKWKGRKPPYPAMYSSIFGGITLDPALMTIPIDDHMVHRGHGVFDTAMLLDGHLYELDAHLDRFLWSSANAKIFPPLPRETLRTILIQMTAASKCKKGSIRYWLSAGPGDFLLSPAGCPEAAFYAVVIDDDYSQRKEGVKVITSTIPMKPPQFATVKNVNYLPNVLSVMEAEQHGAFSSVWVDKEGYIAEGPNVNVAFISKAKELLLPSFDKILSGCTAKRLLSLASQLVEKGLLQSVRATNITLEQAKDSAEMMYVGSGLPLLPIIEWDGQPIGDGRVGELTLALSDLLWEDMKAGPGSQRVRVPYEEGDAI >DRNTG_29793.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19256318:19261333:1 gene:DRNTG_29793 transcript:DRNTG_29793.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSIFGGITLDPALMTIPIDDHMVHRGHGVFDTAMLLDGHLYELDAHLDRFLWSSANAKIFPPLPRETLRTILIQMTAASKCKKGSIRYWLSAGPGDFLLSPAGCPEAAFYAVVIDDDYSQRKEGVKVITSTIPMKPPQFATVKNVNYLPNVLSVMEAEQHGAFSSVWVDKEGYIAEGPNVNVAFISKAKELLLPSFDKILSGCTAKRLLSLASQLVEKGLLQSVRATNITLEQAKDSAEMMYVGSGLPLLPIIEWDGQPIGDGRVGELTLALSDLLWEDMKAGPGSQRVRVPYEEGDAI >DRNTG_05851.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31549864:31552909:1 gene:DRNTG_05851 transcript:DRNTG_05851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYFNINMNKPISSMWFSLLLVLVLQNCRVISSSSAYLVGLGSYDITGPAADVNMMGYANSDQIAAGVHFRLQARTFIVAEPGGNRVLFVNLDACMASQLVTIKVIERLKSRYGDLYGEQNVAISGIHTHSGPGGYLQYVVYIVTSLGFVPQSFDAIVDGIEQSIIQAHENLRPGTIFVNRGELLDAGINRSPSAYLNNPAEERSQYKYNVDKNMTLLKFVDDEWGPVGSFNWFATHGTSMGRTNSLISGDNKGAAARFMEDWAKQMSNPEQIDNSHAHRISESEMRLLASSFEACGGSHSTKPLSIRKSFMQGNKSKPQFVSAFCQTNCGDVSPNVLGPFCTDTGLPCDFNQSTCNGKNELCYGRGPGYPDEFESTRIIGEKQYNKAVDLFNTATEEVKGKVDYRHTYINMSSISVSDGGAEVARTCPAAMGFAFAAGTTDGPGAFDFKQGDNKGNTFWKLVRDFLKTPGQEQVNCQKPKPILIDTGEMTEPYAWAPSILPIQIIRIGQVVILCVPGEFTTMAGRRLRDAVKTVLTNAGHGQFDDNVHVVIAGLTNSYSQYVTTFEEYQIQRYEGASTLYGPHTLSAYIQEFSNLTSALVTGQDIPAGPKPPDLLSKQISLLPPVVIDTTPAGVNFGDVSTDVPKNSTFKRREMVSATFWSACPRNDLMTGGTFSLVEILNGQNTWVPAYDDDDFCLRFIWSRPHNLSSQSHATIEWRIPQTAVSGVHRLRHFGASKNITGLVSHFTGASTAFIVG >DRNTG_21703.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:921089:923959:-1 gene:DRNTG_21703 transcript:DRNTG_21703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRYTDPSVQSDMKLWPFKVIPGPGDKPMIVVLHKGEEKQFAAEEISSMVLIKMKEIAEAYLGTSIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVEAKNSLENYAYNMRNTIKDEKIASKLAPADKKKIEDAIDEAIQWLDGNQLGEAEEFEDKMKELENICNPIIAKMYQGEGAGMGGAGGVDEDMPSAAAAAGSGSGSGAGPKIEEVD >DRNTG_09063.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31447402:31450149:1 gene:DRNTG_09063 transcript:DRNTG_09063.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAVGRPGTLSGLLLRIGQSLFAASSIAFMVSAFGFSNYTAFCYLIASMGLQALWSFGLACLDFYAMWIKRDLCSAVLVSLFVVGDWVTATLSLAAACSSAGVAVLFDRDVDYCRAYIQHACHKYEISIAFAFITWLLSAISSLVMLWLLASV >DRNTG_09063.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31447402:31450149:1 gene:DRNTG_09063 transcript:DRNTG_09063.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAVGRPGTLSGLLLRIGQSLFAASSIAFMVSAFGFSNYTAFCYLIASMGLQALWSFGLACLDFYAMWIKRDLCSAVLVSLFVVGDWVKLYPSRFTPKLFNTQEHYSC >DRNTG_16280.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3472974:3473484:-1 gene:DRNTG_16280 transcript:DRNTG_16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding REAGLSIEKICYDKCENIASISVQTTEESVQLLGTSAKPTRRRLEEVKREKRDKRAVNPGQQDDEVI >DRNTG_17797.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:7096364:7104522:1 gene:DRNTG_17797 transcript:DRNTG_17797.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin reductase [Source:Projected from Arabidopsis thaliana (AT2G41680) UniProtKB/TrEMBL;Acc:A0A178VZE7] MLICVICAFKVKCYSVIIATGATAKRLRLPREDEFWSRGISACAICDGASPLFKGQILAVVGGGDTATEEAIYLTKYARHVHLLVRKDQLRASKAMQDRVFNNPNITVHFNTEAADVVSNSKGQMSGILLKRVDTGEETILDVRGLFYGIGHTPNSQLVEGQVKLDPSGYIWVKEGTANTSVEGVFAAGDVQDHEWRQAITAAGSGCVAALSVERYLVVNDLLVEFHQPVAEEVKKDLTGKDVEMGFDISLTKHKGQVMIKICLFGFFIYSAVINFWSCLSFGYHVLP >DRNTG_17797.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:7091167:7104522:1 gene:DRNTG_17797 transcript:DRNTG_17797.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin reductase [Source:Projected from Arabidopsis thaliana (AT2G41680) UniProtKB/TrEMBL;Acc:A0A178VZE7] MAVLRIGMATVSVSASPPHCRSLLSVPSPSPSPPLCRRRALHLLGLGFTPSRVMHRVSASLTSSTEAPAAASALYKGVENVVIIGSGPAGYTAAIYAARANLKPLVFEGYQVGGVPGGQLMTTTEVENFPGFPEGITGPDLMDRMRRQAERWGAELYQEDVEFVDVKNRPFTIRSSEREVKCYSVIIATGATAKRLRLPREDEFWSRGISACAICDGASPLFKGQILAVVGGGDTATEEAIYLTKYARHVHLLVRKDQLRASKAMQDRLIMANVSEYSTTRILQCTSTQKLRMSLAIAKGRCLVFC >DRNTG_17797.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:7091167:7104522:1 gene:DRNTG_17797 transcript:DRNTG_17797.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin reductase [Source:Projected from Arabidopsis thaliana (AT2G41680) UniProtKB/TrEMBL;Acc:A0A178VZE7] MAVLRIGMATVSVSASPPHCRSLLSVPSPSPSPPLCRRRALHLLGLGFTPSRVMHRVSASLTSSTEAPAAASALYKGVENVVIIGSGPAGYTAAIYAARANLKPLVFEGYQVGGVPGGQLMTTTEVENFPGFPEGITGPDLMDRMRRQAERWGAELYQEDVEFVDVKNRPFTIRSSEREVKCYSVIIATGATAKRLRLPREDEFWSRGISACAICDGASPLFKGQILAVVGGGDTATEEAIYLTKYARHVHLLVRKDQLRASKAMQDRVFNNPNITVHFNTEAADVVSNSKGQMSGILLKRVDTGEETILDVRGLFYGIGHTPNSQLVEGQVKLDPSGYIWVKEGTANTSVEGVFAAGDVQDHEWRQAITAAGSGCVAALSVERYLVVNDLLVEFHQPVAEEVKKDLTGKDVEMGFDISLTKHKGQVMIKICLFGFFIYSAVINFWSCLSFGYHVLP >DRNTG_17797.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:7091167:7104522:1 gene:DRNTG_17797 transcript:DRNTG_17797.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin reductase [Source:Projected from Arabidopsis thaliana (AT2G41680) UniProtKB/TrEMBL;Acc:A0A178VZE7] MAVLRIGMATVSVSASPPHCRSLLSVPSPSPSPPLCRRRALHLLGLGFTPSRVMHRVSASLTSSTEAPAAASALYKGVENVVIIGSGPAGYTAAIYAARANLKPLVFEGYQVGGVPGGQLMTTTEVENFPGFPEGITGPDLMDRMRRQAERWGAELYQEDVEFVDVKNRPFTIRSSEREVKCYSVIIATGATAKRLRLPREDEFWSRGISACAICDGASPLFKGQILAVVGGGDTATEEAIYLTKYARHVHLLVRKDQLRASKAMQDRVFNNPNITVHFNTEAADVVSNSKGQMSGILLKRVDTGEETILDVRGLFYGIGHTPNSQLVEGQVKLDPSGYIWVKEGTANTSVEGVFAAGDVQDHEWRQAITAAGSGCVAALSVERYLVVNDLLVEFHQPVAEEVKKDLTGKDVEMGFDISLTKHKGQYALRKIYHESPRLICVLYTSPTCGPCRTLKPILSKVIDEFDQNVHFVEIDIEEDPEIAEAAGVMGTPCVQFFKNKEMIRNVSGVKMKKEYREFIEANK >DRNTG_04794.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2424977:2428339:-1 gene:DRNTG_04794 transcript:DRNTG_04794.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVVSGHRLPYISGRSGNLTARRSKRADTTVRMAFREDGPTVAVVGVTGAVGQEFLQVLSDRDFPYRSIRMLASRRSAGRHLSFENREYTIEELSPESFDGVDVALFSAGGSVSREFGPVAAERGAVVVDNSSAFRMDPGVPLVIPEVNPDAMRHIRLEGRSKGAIIANPNCSTIICLMAATPLHRRAKVVRMVVSTYQAASGAGAAAMEELELQTREVLDGKRPTCKIFKQQYAFNLFSHNAPVLSNGYNEEEMKLVKETRKIWNDEDVKVTATCIRVPVMRAHAESVNLQFEKPLDEGTAREILKGAPGVVVIDDRGANRFPTPLEVSNKDDVAVGRVRQDVSQDGNHGLDIFVCGDQIRKGAALNAVQIAEMLL >DRNTG_13037.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:768256:769703:-1 gene:DRNTG_13037 transcript:DRNTG_13037.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLVKLCFAPCCACFQSPVVREDMSYVFCTKEKLVRLESAMTDLRAKKDDIERELNLPQHRGKQPTNELQRRVHKVGEADEMITQLVDEYSKSCVTGPCCLNCISRYSISRRAINLLDEINRLKGEQSKVSFIEQSPPKPVHESSKIVGEKIFSNLNVACSYLAEETNDIIGIWGMGGIGKTTLLKKINQRLLDDADM >DRNTG_14149.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17904183:17907670:-1 gene:DRNTG_14149 transcript:DRNTG_14149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVQSHRLWRNITGLSQLQLDTNQISGLIPPELGRLPALNVLFAWQNQLEGSIPPTLANLSALQAIDLSHNHLTGAVPPAIFLLPNLTKLLLLSNDISGVIPMELGGCQALVRLRLGNNRITGAIPREIGSLTSIDFLDLSGNRLSGEVPEEIGNCSQLQMINLANNTLTGSLPSSLSSIPRLQILDFSLNSLTGEIPASFGKLVTISKLLLAGNAFSGKIPETLGDCSSLELLDLSDNNLSGEIPSKLCDIEGLDIALNLSRNMLTGAIPEKMSQLSKLSVLDLSHNQLSGSLTPLAGIENLVTLNVSNNNFTGYLPDTKLFRQLSASDLAGNQGLCTHGGDVCFVNLDGDGKPVISSDVETRRLHRIKLAISLLITVTVALVLGMLGIVRARRMGRRNGGDEDDAEMVGELSWPWQFTPFQKLNFSVEQIVRSLVDANVIGKGCSGVVYRVNTDNGDVIAVKKLWPMATVSMKDNNNNNNNDNYKVRDSFSAEVRTLGSIRHKNIVRFLGCCWNKNTRLLMYDYMANGSLGALLHERNGFSLEWDLRYQIILGAAQGLAYLHHDCVPPIVHRDIKANNILIGLDFEPYIADFGLAKLVEDGEFGRSSNTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVVLEVLTGKQPIDPTIPRWIACGGLGEKEKR >DRNTG_35489.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23504716:23507567:1 gene:DRNTG_35489 transcript:DRNTG_35489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLWTDDNASMMEAFMASSDLPSFGWPPATPAPATAPVASPGPQYFNQETLQQRLQMLIDSARERWTYAIFWQSSVDVASGDSLLGWGDGYYKGCEEDKRKRRMASAASAAEQEHRKRVLRELNSMISGGGSSSPDEAVDEEVTDTEWFFLVSMTQSFVNGGGIPGQAFFAGSAMWIAGAERLATAPCERARQAQVFGIQTMVCVPMGSGVVELGSTALIYHSVEIMNKVRSLFSFNALEMSPAGPFLAPQAAAANVTVMTPVGSPPVTEQGESDPAALWISDPSIIEMKNSVSAAEISISKPPTAPYDNPSSSTLTETPSSIYLHSHHQNSSNNNHHHHHHHHPQSQSLFTRDFNLSSNPHQNCKPESGEILNFTEGRRDPSVHASQHPIIDQTKQKRSTAATSRGSNEEGMLSFSSATLSRPSPVSSNLKPTTNANGNSKSTANGNGVITGGGDSDHSDLEASVREVESSPVVVEPEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQKFYALRAVVPNVSKMDKASLLGDAISYINELRSKLQTIETEKQALETEIETVKNDPTSARSSDHEMKSMMNGGGGARCIGVEIEVKILGSEAMIRVQCIKRNHPAAWLMAALRELDLDVHYASVSVVKELMILQATVRMLSRAYTQEQLSAALYSKVAEPPNHR >DRNTG_10531.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3517762:3519238:-1 gene:DRNTG_10531 transcript:DRNTG_10531.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLVRGCPSPATSLKRALSRPPVSTPVDAGIRINSSPTLWSTWLTPIGPATALVILIRVSSTLVIGSSPGACWKPQPLPQSLTRSQHKAGHPTSVWCLVSLRPPLLPETIHSASLQ >DRNTG_10531.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3517762:3519677:-1 gene:DRNTG_10531 transcript:DRNTG_10531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLVRGCPSPATSLKRALSRPPVSTPVDAGIRINSSPTLWSTWLTPIGPATALVILIRVSSTLVIGSSPGACWKPQPLPQSLTRSQHKAGHPTSVWCLVSLRPPLLPETIHSASLQ >DRNTG_10531.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3517822:3519677:-1 gene:DRNTG_10531 transcript:DRNTG_10531.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLVRGCPSPATSLKRALSRPPVSTPVDAGIRINSSPTLWSTWLTPIGPATALVILIRVSSTLVIGSSPGACWKPQPLPQSLTRSQHKAGHPTSVWCLVSLRPPLLPETIHSASLQ >DRNTG_10531.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3517822:3519238:-1 gene:DRNTG_10531 transcript:DRNTG_10531.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLVRGCPSPATSLKRALSRPPVSTPVDAGIRINSSPTLWSTWLTPIGPATALVILIRVSSTLVIGSSPGACWKPQPLPQSLTRSQHKAGHPTSVWCLVSLRPPLLPETIHSASLQ >DRNTG_01709.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23645543:23651831:-1 gene:DRNTG_01709 transcript:DRNTG_01709.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSEVSEGGIGLDCSVGTIVWVRRRNGSWWPGRILGSEELSASHLMSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEFDACIERAEASQGIPIKKREKYARREDAILHALELEKQQLDMKQKESGPIPNGANNKTSGSWKRDLHHFPSERHMKNDDLKSHGKSANHKSQIPSRKVALPQREDNMGNSLYADKGKNGKQFSEDAPEAMPRMRGLQDFGLMTAPSKRRFSQVVGWETSRKPVDNHLQLISNSQNAMEIAGHVGGSKNITPIKRKRSQAGPIEESLVKRRDRRRPLVQVLQSSVKLLDSSSFRPDENAEVTKQVEADPVGAICRAKRSKCVYLPADSGDSLDHLGYPLGQMPVVESQFRMDSLGEEYTSTGLIESSGSDTSETDYLELNAGEDLNPLSDDLPGSNSIRQISYVSGNEISESIGNLNDNEVCLADYSPQLHPTEQIADASKEVGVSKWHMKGKRNIRHVGKKPTDAVDGKVTVSSNGLVRQTINDNKGNRSKMRRPESSNQKQLGRDSQPKKEDFSFAYDEVDLLGNGSGHTDAIGFGNRRSPLALKAIKDRGRTHIGFVDSDNDSHVISPSGWDPEGLYGLPQSSYWDESDEHVDPVYTDHVDELLQPMLVEVDLKVQPASYQGEHVPLVSLMSRLNGKAIVGHPIQIEILEDGFTSSLLLRTDGGLDDGTTSQPVWRTARRTAMQRVPRTNPVTALEGDSTELRQIPVREGKTPSKKAYSTHQAKLAKKSISRSRRSSGKLERKLFKKVSLSSQKTRMLSSIATEHKHGGEISGKKRHRSNDLLGGLLKPEGAVPLVTCVPVKVVFSRIMEAVGRPSFTAHRARMTSTSVRNPSGP >DRNTG_11342.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23697961:23698506:1 gene:DRNTG_11342 transcript:DRNTG_11342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAIRCIESFVHSPKLSSCSSLLRIPSPSPSSSPFDHYQASNSNALPPDPCSYTNLIVSYAKQAASLRARNLFDETPERIKTLLHCKIIHCRVLKFGFWFGGSLGNALVDLYAKCGELGNAWKVFDRLAERDASAWNSVLSAHARWRTPVDVVSLFR >DRNTG_01989.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13349463:13351809:1 gene:DRNTG_01989 transcript:DRNTG_01989.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTIQSYCNGTEIHNGDDLYKKKSIQLLEEIGLPNGLFPLNDIEEFGYNREAGFLSLIQKKKKDHTFKKIKRAVSYAPEVTAFVEKGKMKKMTGVKTKELMLWLSVVEKYIEDPSSKKITFKTGTGLSDSFLVSAFELEE >DRNTG_07665.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23426707:23427926:-1 gene:DRNTG_07665 transcript:DRNTG_07665.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRRERKKSSYMFISFLCGLVTGCIVGAGSPTRESRGREKRLCSLCSFVAQLFFRFHLPSPILFKLFPYFFQRLRERERERAPMILSMDFNCIIIWRSKPEIKKGLGDNGSCN >DRNTG_03139.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21437367:21439357:1 gene:DRNTG_03139 transcript:DRNTG_03139.6 gene_biotype:protein_coding transcript_biotype:protein_coding ITTHFEESQLPQNLPTEPERKDCCCWSKTVVAVSSLSQPTYEYSHVSNIATDSQK >DRNTG_03139.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21437287:21439357:1 gene:DRNTG_03139 transcript:DRNTG_03139.4 gene_biotype:protein_coding transcript_biotype:protein_coding ITTHFEESQLPQNLPTEPERKDCCCWSKTVVAVSSLSQPTYEYSHVSNIATDSQK >DRNTG_03139.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21437287:21439357:1 gene:DRNTG_03139 transcript:DRNTG_03139.3 gene_biotype:protein_coding transcript_biotype:protein_coding ITTHFEESQLPQNLPTEPERKDCCCWSKTVVAVSSLYSISSSYSDTPKAAMENKRFFFSFTHFIEQISIIPQQSKCSAGIVAAGD >DRNTG_03139.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21437129:21439357:1 gene:DRNTG_03139 transcript:DRNTG_03139.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITTHFEESQLPQNLPTEPERKDCCCWSKTVVAVSSLSQPTYEYSHVSNIATDSQK >DRNTG_03139.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21437129:21437818:1 gene:DRNTG_03139 transcript:DRNTG_03139.7 gene_biotype:protein_coding transcript_biotype:protein_coding ITTHFEESQLPQNLPTEPERKDCCCWSKTVVAVSSLSQPTYEYSHVSNIATDSQK >DRNTG_03139.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21437367:21439418:1 gene:DRNTG_03139 transcript:DRNTG_03139.5 gene_biotype:protein_coding transcript_biotype:protein_coding ITTHFEESQLPQNLPTEPERKDCCCWSKTVVAVSSLSQPTYEYSHVSNIATDSQK >DRNTG_03139.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21437287:21439418:1 gene:DRNTG_03139 transcript:DRNTG_03139.2 gene_biotype:protein_coding transcript_biotype:protein_coding ITTHFEESQLPQNLPTEPERKDCCCWSKTVVAVSSLSQPTYEYSHVSNIATDSQK >DRNTG_33503.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12526594:12527161:1 gene:DRNTG_33503 transcript:DRNTG_33503.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLFDYCVDMALNESATLTYPLVHGTNTLRQKRGSVDCAVYLIQFIEQLLHGKKLRLPQEEVPYLCLKYVTRILKEGSTAAITKKGDSSTGVKKD >DRNTG_11873.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15359584:15360943:1 gene:DRNTG_11873 transcript:DRNTG_11873.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLSLALAGGLSRHSDHARDSLHPVGDIGGVDKTWMRLHLEREEGILQQEALTKMKRLRYSKATSGERTDARSRG >DRNTG_34373.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002143.1:22686:85390:-1 gene:DRNTG_34373 transcript:DRNTG_34373.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASHSYRTSTYQSQFVMRPRTPPAPLTPSSPIHAPIDPPIPPPAQVIEPTSDDTEA >DRNTG_32169.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30908284:30909101:-1 gene:DRNTG_32169 transcript:DRNTG_32169.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRNARPQTSDSDPTTTMFVHNPNSRKPKSLFCRLWIPVAVSALIVVFLVLYIFSRRRFANNFGVIIDGGSTGTRIHVFLYRMGRDFMPEIDFEGSAAIRVAPGLSSFAAEPEKAGELIRELVEFGKGRVPRDLWGDTEVRLMATAGLRLLDVGAQERILDACRKVLKFSGFRFQDDWASVISGKLFFCCWITEFVLFGSSFEFMILHALAKS >DRNTG_32169.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30903780:30909101:-1 gene:DRNTG_32169 transcript:DRNTG_32169.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRNARPQTSDSDPTTTMFVHNPNSRKPKSLFCRLWIPVAVSALIVVFLVLYIFSRRRFANNFGVIIDGGSTGTRIHVFLYRMGRDFMPEIDFEGSAAIRVAPGLSSFAAEPEKAGELIRELVEFGKGRVPRDLWGDTEVRLMATAGLRLLDVGAQERILDACRKVLKFSGFRFQDDWASVISGSDEGIYAWVAANYALGTLGSHPQNTTGIIELGGASAQVSFVSNEPVPPEFSHVLKFGEVTYNLYSHSFLHLGQNVAYRSLRELLNSRDFKSSIESDVTEYKDPCTPKGYSDGVRLSKIGGVLSSQEKYHPVAHANGNFSECRTSALMLIQNKKEKCLYATCNLGSAFIPKLNGRFLATENFFHTSKFFELRPTSLLSDMMLAGERFCQEDWSKLKQKYPTLEEEDLLQYCFSSAYIIALLHDSLGIALEDTRIQFTNQVGGIPLDWALGAFIMQRSWKPNADHLSLVTATVYEDFGFLSLCIVSILLISMAWCASRWKKRTQMKTIYDMEKGRYIIRHIKR >DRNTG_32169.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30903780:30908242:-1 gene:DRNTG_32169 transcript:DRNTG_32169.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQNKKEKCLYATCNLGSAFIPKLNGRFLATENFFHTSKFFELRPTSLLSDMMLAGERFCQEDWSKLKQKYPTLEEEDLLQYCFSSAYIIALLHDSLGIALEDTRIQFTNQVGGIPLDWALGAFIMQRSWKPNADHLSLVTATVYEDFGFLSLCIVSILLISMAWCASRWKKRTQMKTIYDMEKGRYIIRHIKR >DRNTG_32169.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30903780:30909101:-1 gene:DRNTG_32169 transcript:DRNTG_32169.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQNKKEKCLYATCNLGSAFIPKLNGRFLATENFFHTSKFFELRPTSLLSDMMLAGERFCQEDWSKLKQKYPTLEEEDLLQYCFSSAYIIALLHDSLGIALEDTRIQFTNQVGGIPLDWALGAFIMQRSWKPNADHLSLVTATVYEDFGFLSLCIVSILLISMAWCASRWKKRTQMKTIYDMEKGRYIIRHIKR >DRNTG_32169.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30903780:30909101:-1 gene:DRNTG_32169 transcript:DRNTG_32169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQNKKEKCLYATCNLGSAFIPKLNGRFLATENFFHTSKFFELRPTSLLSDMMLAGERFCQEDWSKLKQKYPTLEEEDLLQYCFSSAYIIALLHDSLGIALEDTRIQFTNQVGGIPLDWALGAFIMQRSWKPNADHLSLVTATVYEDFGFLSLCIVSILLISMAWCASRWKKRTQMKTIYDMEKGRYIIRHIKR >DRNTG_32169.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30903780:30909101:-1 gene:DRNTG_32169 transcript:DRNTG_32169.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQNKKEKCLYATCNLGSAFIPKLNGRFLATENFFHTSKFFELRPTSLLSDMMLAGERFCQEDWSKLKQKYPTLEEEDLLQYCFSSAYIIALLHDSLGIALEDTRIQFTNQVGGIPLDWALGAFIMQRSWKPNADHLSLVTATVYEDFGFLSLCIVSILLISMAWCASRWKKRTQMKTIYDMEKGRYIIRHIKR >DRNTG_31912.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18762940:18763954:-1 gene:DRNTG_31912 transcript:DRNTG_31912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTFSRLDKEATVACGYPCRYGPRSKKQAYKRLRETSPKLEHIDFSIPKHHALFERLLRLWFGQSHFMDLSALREVQQGDEMADEIDELLAMGSWRRLLSLREPPIHTLVLEVLASFGFDRSYSRFDSVDFI >DRNTG_00939.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21217878:21224884:-1 gene:DRNTG_00939 transcript:DRNTG_00939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFAYLAVEPNADLIDSDLREVAGATKKLFNHAFILAGGISFGTTFLKSIASFAAIYLLILDRTNWRTNISTFLLVPYIFFSLPTLLFSFLRGELGKWLALVAVLVRLFFPKHFPDWLELPASLILLLAIAPDLLAHSIRDSILGPCTCFFVSCFLVQEHIRASGGFKNSFTKSRGLSNCIGLVLILIYPTWSMILYFI >DRNTG_23640.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7043528:7045392:1 gene:DRNTG_23640 transcript:DRNTG_23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRHLFRSTRVESVKFITPDGYKTVCPIENDVDFQRMCHVHSIFKCAVVDLVVETEDVPLPNPNENEFYSLNSDSASAPVHPHGDPD >DRNTG_34540.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:971112:972466:-1 gene:DRNTG_34540 transcript:DRNTG_34540.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARLAELAVRKLLELDPENADYGVMLANLYSENGKWEEVRKVRKLLKEGGGKKLPGCSWIEIDSEVHQFYSGDKFHPRFKDIYMVLEGLFGLVEL >DRNTG_32226.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1424794:1438633:1 gene:DRNTG_32226 transcript:DRNTG_32226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPIAMRETLTLPSIGINPQFITFTHVTMESEKYICVRETSPANSVVIVDMSMPMQPLRRPITADSALMNPNSRILALKAQLPGTTQDHLQIFNIEAKQKIKSHQMPEQVVFWKWITPKMLGLVTQTSVYHWSIEGESEPVKMFDRTANLTNNQIINYRCDPSEKWLVLIGIASGAPERPQLVKGNMQLFSVDQQRSQALEAHAASFASFKVAGNENPSILICFASKTSNAGQITSKLHVIELGAQPGKPGFTKKQADLFFPPDFADDFPVAMQISQKYGLIYVITKLGLLFVYDMETAAAVYRNRISPDPIFLTAEASSLGGFYAVNRKGQVLLASVNEATLVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFSQTKYKEAAELAAESPQGILRTPETVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTYPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQHYSELPDIKRVIVNTHAIEPQALVEFFGTLSKEWALECMKDLLLVNLRGNLQVIVQVAKEYSEQLGVDGCIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDPEKTKNFLMEAKLPDARPLINVCDRFNFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEQCEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWEKVLQPDNEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIRSIDRAVEFAVRVEEDAVWSQVAKAQLREGLVSDAIESFIHADDATQFLDVIRAAEDANVYHDLVKYLLMVRQKTKEPKVDSELIFAYAKIDRLSDIEEFILMPNVANLQNVGDRLYDDELYEAAKIIFAFISNWAKLASTLVKLKQFQGAVDAARKANSSKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRVCDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVAVKVANVELYYKAVHFYLSEHPDLINDLLNVLALRVDHTRVVDIMRKAGHLHLVKPYMVAVQSNNVAAVNEALNEIYIEEEDYDRLRESVDMHDNFDQIGLAQKIEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNLYKDAMETCSQSGDRELSEELLVYFIEQGKKECFASCLFICYDLIRPDVALELAWMNNMIDFAFPYLLQFIREYTGKVDDLVKDKLESQNEVKAKEKEEKDLVAQQNMYAQLLPLALPAPPMPGMGGAPGMGGPFTAPPPMGGMGMPPMPPFGMPPMGSY >DRNTG_24861.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20198227:20199660:-1 gene:DRNTG_24861 transcript:DRNTG_24861.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIKINQTLLPPLPKDLSKALTKPELSSKTWRSKQEPWLPA >DRNTG_12422.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15994682:15995689:-1 gene:DRNTG_12422 transcript:DRNTG_12422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTAKANKSGCNEKRQSSDLKSPMVISWTWPFLANRIGIGGASASRYGRGMDAYHIYCHLQDRHHSDLSNLVDCVETKNDVVYVIHSVAIRDKVNPLEPKESGRQKPVDLRDQQQSKSNQNETSSTKPLIIHKQSN >DRNTG_15543.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9038058:9041832:1 gene:DRNTG_15543 transcript:DRNTG_15543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWSCLIFPKGSLVKPRAHLVESMLTKTPPITIFQRNVMLKASHGNIVKARSCLDGVELEDSLLTLVFSVLLSSLFRDSTLHREDTEEGEDQASPHTLRGSRRSQRHMRSGVEHTELGKEDHLE >DRNTG_23643.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7092180:7095912:-1 gene:DRNTG_23643 transcript:DRNTG_23643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNHHHHHHHHHHHLPLLLLSLLVLLLLPPTSSSSSSSPSDSSSLLAFKSKVDPTNLLNFSTTTNHCHWPGVQCSNFGGEPRVTRLVLEYLGLNGTFPSAAAAALAGLDQLRLLSLKGNSLSGHIPDLSPLYNLKALFLDHNHFSGGFPSSLLSLHRLRTIDLSYNSLSGPIPAAIAGVDRLIYLRLDSNRLNGSVPAMNQSSLKIFNVSANELTGPVPVTLVLTGFGVSSFAGNPRLCGVAVRRECRSNFLFFGGKPAGIAPSPAPMMRQQLPEVLRPSSGSNPVKLHRRVMVAVGFLAGAFLIIGVVGVSVLMKRNRMGQGKGQVLAAVKNADDVFVENSSRGMDDNGADLEANEGGGNNELVVASAMMPEDKVKKLGKSGCLVFCAGEAQVYSLEQLMRASAELLGRGNIGTTYKAVLDNRLIVSVKRLDAAKLGMTGKEAFERHMDVVGRLRHPNLVPLRAYFHAKQERLLVYDYQPNGSLFSLIHGSRSTRAKSLHWTSCLKIAEDVAQGLAYIHQASRLVHGNIKSSNVLLGSDFEACLTDNCLSFLVEPSEGEDELGYRAPETRKSNRRLTPRSDIYAFGVLLLELLTGKPPLQHPVLLGTDLPVWVRSVRDDGAEDERVMMLVDIAAACVRSSPDSRPTTWQVLKMIQEVKETDNGDEDMDSASLS >DRNTG_19554.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001012.1:11363:12167:1 gene:DRNTG_19554 transcript:DRNTG_19554.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTQTIGKETYSANYALLFVNGKLGLFGPAIWNNGVKLPTLSNSHKLTLNHKKLKAGSADNFLVSNGVVTGSANGDVVIAQNGDVSAVITPYCKLIVRNDASGESIWQTKPSSAAPVECFLKLTYNGLLLLQGYNDSGLFTQWTGGYEAREGTYVCLLRYFGRITLYRLKTWLYDGSSSSSAAAATVVAKKIKMVTA >DRNTG_28785.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21700320:21701521:1 gene:DRNTG_28785 transcript:DRNTG_28785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLKMERGFQYKSGGSRTNHFLTGDTSLCPWVKLMKIFGWWPQGGHREDDDEKTRGSEKTGDEYSCKFIK >DRNTG_26170.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29218860:29225276:1 gene:DRNTG_26170 transcript:DRNTG_26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sas10/U3 ribonucleoprotein (Utp) family protein [Source:Projected from Arabidopsis thaliana (AT2G43650) UniProtKB/TrEMBL;Acc:Q8L3P4] MGRGPKRPRKAMKNAKKTRADDGDVFAGGAMDDEIDAYHRQRDVIPLDPNAGDYDSSDAEQPVFNLGGDNIDDSESGSSDDDEDDDIDDDIQPNKLSAKIARTKKYLNQKFGGFDDEMHDDDDEDEEKDEQRKAAWGGRKTWYYDADNADYELQSSDEELPMEEEAEAVKIQKEKAKFLSLEDFGLEEADEDGSDSDAKEKKQKAVLDGKGSGARLNMDGFLQDNTLENFEEIKKDLNSLSKEEQMDAVYNSAPEIVGLLSELNEALMQLQKVEPLVCEVLERRDGAKGRMHYLEVKRLLLLSYCQAIVFYLLLKSEGHPVQDHPVVGRLVEIKALMEQMKQIEAKLPNQFDKNFIPNARTKPTDKLEHQPEVANVPKKAHESLVEVSNHLASDSSLQKNHNKPINQKDQNDRVGFQSMEMLKVRANLEAKLKQKGLFNFTNSKDESKQKNSLKPLNKRLETQDDFDDEVQPVANGGTHSISKLSKLIPNKGNKSKFVSGDDDVPMRDDIGERRRKHELRVLARAGTVSMDDDIGLENQSVDTGAHEDDGTTDSEDDFYKEVKRQRTEKLSAKAELYSRTSVAPSPLETEVGGKRQITYQIEKNKGLTRHRKKLTKIPRKKYKIKHQKAVIRRKGQVRDIRKPTGPYGGEASGINTNISRSVRFKG >DRNTG_27422.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28538292:28540583:1 gene:DRNTG_27422 transcript:DRNTG_27422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYLRTQNITAPLTIAAALALALHAPINFILVHHLRLGVRGVALATFFSDANFLIFIIIYLAITGLHRKTWPGWSLSQSFTEWRPILKLAVPSCISVCLEWWWYELMIVFSGLLSNAPDAVAAMGILIQTTSLVYIFPSALSLAVSTRVGNELGAGRPDRARAATKGGVVTRRGNRRRSSWFHCVSKAYLGTSIHRR >DRNTG_16550.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8573011:8589256:-1 gene:DRNTG_16550 transcript:DRNTG_16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFFFEKKELKELYIQKKDYNRRAKRTGEENLNRKTKEAEMKRYK >DRNTG_09572.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22534150:22538399:1 gene:DRNTG_09572 transcript:DRNTG_09572.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 15 [Source:Projected from Arabidopsis thaliana (AT1G17110) UniProtKB/TrEMBL;Acc:F4I642] MVYLLRRLHSRTCCVKDWCLMCELEQHVSMLRESGGPLSPNGILSNMRNIGWRMGGGNQEDAHEFLRLLLMSMQAICLEGLGGEKNVDCRLQETTLIQQIFGGRLRSKVKCMRCHLESERYENIMDLTLEIHGWVESLEDALTQFTAAEDLDGENMYRCGRCSTYVNARKQLSIHEVPNILTVVLKRFQTGQYGKINKCVTFPDMLDMIPFVTGTADIPPLYMLYAVVVHLDTLNASFSGHYVSYVKDLQGAWFRVDDAEVQAVPSSRVMSEGAYMLFYARSFPRPPRVYTEKPSLQAKAISRPTTAKAHKSSEFNDGQRETLSALGNRVAMANDFIAENRGSVGETFSDSFSMDFSDATSSDWSLFTSSDESSFTTESTRNSFSTVDYGDAAGLDPIVSLFSPYYGPEYPISSTISCTKFSPCKVETRFVSESKAFVVDSSKNFVHRGQNLEPVNLSSGGRYKSSRKD >DRNTG_09572.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22528883:22538399:1 gene:DRNTG_09572 transcript:DRNTG_09572.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 15 [Source:Projected from Arabidopsis thaliana (AT1G17110) UniProtKB/TrEMBL;Acc:F4I642] MLQPREADLPVLFLVLIVLPVATYILLGRWTEAAKKKNRISLLAQLASEEAFRVEAMTSADVIPPLVSSKTGFYVCARCFAPATTRCSKCKSIRYCSGKCQIIHWRQVHKQECQMLQDSRLNNLSGSLASVSESAMLEHSIFENSRPQFLDDDREELLHNDTSSNLSDDSCVTFATNLSDVALDSAQHSAVGKKLLEKSASKKLKREKFRNDGYVSCSKDETINCDLAPTTSSSTLSAEVSLEGVSVRHKLGTENHISASRNHRSQDTTSQIFSHAPNVLRNILHQSHKSTGKMMNVSKSKGSPILSTSVSMNRNAMSEHETDMEFSSEEVSIHNGKAYSASEELDLTSAGEKAKGSLKYKKPPYTVGTISSLSQKLADEVPKGQTFVGIARNTCVDDESRIQQSSISKSIPSDCSNRFSVVGSTKTDGSRKSSKIPKGKIAGLLNYCKKNKVLFPYEDLVKLFQCEVWDVSPRGLLNCGNSCYANAVLQCLTCTKPLMVYLLRRLHSRTCCVKDWCLMCELEQHVSMLRESGGPLSPNGILSNMRNIGWRMGGGNQEDAHEFLRLLLMSMQAICLEGLGGEKNVDCRLQETTLIQQIFGGRLRSKVKCMRCHLESERYENIMDLTLEIHGWVESLEDALTQFTAAEDLDGENMYRCGRCSTYVNARKQLSIHEVPNILTVVLKRFQTGQYGKINKCVTFPDMLDMIPFVTGTADIPPLYMLYAVVVHLDTLNASFSGHYVSYVKDLQGAWFRVDDAEVQAVPSSRVMSEGAYMLFYARSFPRPPRVYTEKPSLQAKAISRPTTAKAHKSSEFNDGQRETLSALGNRVAMANDFIAENRGSVGETFSDSFSMDFSDATSSDWSLFTSSDESSFTTESTRNSFSTVDYGDAAGLDPIVSLFSPYYGPEYPISSTISCTKFSPCKVETRFVSESKAFVVDSSKNFVHRGQNLEPVNLSSGGRYKSSRKD >DRNTG_09572.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22528883:22538399:1 gene:DRNTG_09572 transcript:DRNTG_09572.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 15 [Source:Projected from Arabidopsis thaliana (AT1G17110) UniProtKB/TrEMBL;Acc:F4I642] MLQPREADLPVLFLVLIVLPVATYILLGRWTEAAKKKNRISLLAQLASEEAFRVEAMTSADVIPPLVSSKTGFYVCARCFAPATTRCSKCKSIRYCSGKCQIIHWRQVHKQECQMLQDSRLNNLSGSLASVSESAMLEHSIFENSRPQFLDDDREELLHNDTSSNLSDDSCVTFATNLSDVALDSAQHSAVGKKLLEKSASKKLKREKFRNDGYVSCSKDETINCDLAPTTSSSTLSAEVSLEGVSVRHKLGTENHISASRNHRSQDTTSQIFSHAPNVLRNILHQSHKSTGKMMNVSKSKGSPILSTSVSMNRNAMSEHETDMEFSSEEVSIHNGKAYSASEELDLTSAGEKAKGSLKYKKPPYTVGTISSLSQKLADEVPKGQTFVGIARNTCVDDESRIQQSSISKSIPSDCSNRFSVVGSTKTDGSRKSSKIPKGKIAGLLNYCKKNKVLFPYEDLVKLFQCEVWDVSPRGLLNCGNSCYANAVLQCLTCTKPLMVYLLRRLHSRTCCVKDWCLMCELEQHVSMLRESGGPLSPNGILSNMRNIGWRMGGGNQEDAHEFLRLLLMSMQAICLEGLGGEKNVDCRLQETTLIQQIFGGRLRSKVKCMRCHLESERYENIMDLTLEIHGWVESLEDALTQFTAAEDLDGENMYRCGRCSTYVNARKQLSIHEVPNILTVVLKRFQTGQYGKINKCVTFPDMLDMIPFVTGTADIPPLYMLYAVVVHLDTLNASFSGHYVSYVKDLQGAWFRVDDAEVQAVPSSRVMSEGAYMLFYARSFPRPPRVYTEKPSLQAKAISRPTTAKAHKSSEFNDGQRETLSALGNRVAMANDFIAENRGSVGETFSDSFSMDFSDATSSDWSLFTSSDESSFTTESTRNSFSTVDYGDAAGLDPIVSLFSPYYGPEYPISSTISCTKFSPCKVETRFVSESKAFVVDSSKNFVHRGQNLEPVNLSSGGRYKSSRKD >DRNTG_09572.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22528883:22538399:1 gene:DRNTG_09572 transcript:DRNTG_09572.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 15 [Source:Projected from Arabidopsis thaliana (AT1G17110) UniProtKB/TrEMBL;Acc:F4I642] MLQPREADLPVLFLVLIVLPVATYILLGRWTEAAKKKNRISLLAQLASEEAFRVEAMTSADVIPPLVSSKTGFYVCARCFAPATTRCSKCKSIRYCSGKCQIIHWRQVHKQECQMLQDSRLNNLSGSLASVSESAMLEHSIFENSRPQFLDDDREELLHNDTSSNLSDDSCVTFATNLSDVALDSAQHSAVGKKLLEKSASKKLKREKFRNDGYVSCSKDETINCDLAPTTSSSTLSAEVSLEGVSVRHKLGTENHISASRNHRSQDTTSQIFSHAPNVLRNILHQSHKSTGKMMNVSKSKGSPILSTSVSMNRNAMSEHETDMEFSSEEVSIHNGKAYSASEELDLTSAGEKAKGSLKYKKPPYTVGTISSLSQKLADEVPKGQTFVGIARNTCVDDESRIQQSSISKSIPSDCSNRFSVVGSTKTDGSRKSSKIPKGKIAGLLNYCKKNKVLFPYEDLVKLFQCEVWDVSPRGLLNCGNSCYANAVLQCLTCTKPLMVYLLRRLHSRTCCVKDWCLMCELEQHVSMLRESGGPLSPNGILSNMRNIGWRMGGGNQEDAHEFLRLLLMSMQAICLEGLGGEKNVDCRLQETTLIQQIFGGRLRSKVKCMRCHLESERYENIMDLTLEIHGWVESLEDALTQFTAAEDLDGENMYRCGRCSTYVNARKQLSIHEVPNILTVVLKRFQTGQYGKINKCVTFPDMLDMIPFVTGTADIPPLYMLYAVVVHLDTLNASFSGHYVSYVKDLQGAWFRVDDAEVQAVPSSRVMSEGAYMLFYARSFPRPPRVYTEKPSLQAKAISRPTTAKAHKSSEFNDGQRETLSALGNRVAMANDFIAENRGSVGETFSDSFSMDFSDATSSDWSLFTSSDESSFTTESTRNSFSTVDYGDAAGLDPIVSLFSPYYGPEYPISSTISCTKFSPCKVETRFVSESKAFVVDSSKNFVHRGQNLEPVNLSSGGRYKSSRKD >DRNTG_09572.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22528883:22538399:1 gene:DRNTG_09572 transcript:DRNTG_09572.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 15 [Source:Projected from Arabidopsis thaliana (AT1G17110) UniProtKB/TrEMBL;Acc:F4I642] MLQPREADLPVLFLVLIVLPVATYILLGRWTEAAKKKNRISLLAQLASEEAFRVEAMTSADVIPPLVSSKTGFYVCARCFAPATTRCSKCKSIRYCSGKCQIIHWRQVHKQECQMLQDSRLNNLSGSLASVSESAMLEHSIFENSRPQFLDDDREELLHNDTSSNLSDDSCVTFATNLSDVALDSAQHSAVGKKLLEKSASKKLKREKFRNDGYVSCSKDETINCDLAPTTSSSTLSAEVSLEGVSVRHKLGTENHISASRNHRSQDTTSQIFSHAPNVLRNILHQSHKSTGKMMNVSKSKGSPILSTSVSMNRNAMSEHETDMEFSSEEVSIHNGKAYSASEELDLTSAGEKAKGSLKYKKPPYTVGTISSLSQKLADEVPKGQTFVGIARNTCVDDESRIQQSSISKSIPSDCSNRFSVVGSTKTDGSRKSSKIPKGKIAGLLNYCKKNKVLFPYEDLVKLFQCEVWDVSPRGLLNCGNSCYANAVLQCLTCTKPLMVYLLRRLHSRTCCVKDWCLMCELEQHVSMLRESGGPLSPNGILSNMRNIGWRMGGGNQEDAHEFLRLLLMSMQAICLEGLGGEKNVDCRLQETTLIQQIFGGRLRSKVKCMRCHLESERYENIMDLTLEIHGWVESLEDALTQFTAAEDLDGENMYRCGRCSTYVNARKQLSIHEVPNILTVVLKRFQTGQYGKINKCVTFPDMLDMIPFVTGTADIPPLYMLYAVVVHLDTLNASFSGHYVSYVKDLQGAWFRVDDAEVQAVPSSRVMSEGAYMLFYARSFPRPPRVYTEKPSLQAKAISRPTTAKAHKSSEFNDGQRETLSALGNRVAMANDFIAENRGSVGETFSDSFSMDFSDATSSDWSLFTSSDESSFTTESTRNSFSTVDYGDAAGLDPIVSLFSPYYGPEYPISSTISCTKFSPCKVETRFVSESKAFVVDSSKNFVHRGQNLEPVNLSSGGRYKSSRKD >DRNTG_09572.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22528883:22538399:1 gene:DRNTG_09572 transcript:DRNTG_09572.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 15 [Source:Projected from Arabidopsis thaliana (AT1G17110) UniProtKB/TrEMBL;Acc:F4I642] MLQPREADLPVLFLVLIVLPVATYILLGRWTEAAKKKNRISLLAQLASEEAFRVEAMTSADVIPPLVSSKTGFYVCARCFAPATTRCSKCKSIRYCSGKCQIIHWRQVHKQECQMLQDSRLNNLSGSLASVSESAMLEHSIFENSRPQFLDDDREELLHNDTSSNLSDDSCVTFATNLSDVALDSAQHSAVGKKLLEKSASKKLKREKFRNDGYVSCSKDETINCDLAPTTSSSTLSAEVSLEGVSVRHKLGTENHISASRNHRSQDTTSQIFSHAPNVLRNILHQSHKSTGKMMNVSKSKGSPILSTSVSMNRNAMSEHETDMEFSSEEVSIHNGKAYSASEELDLTSAGEKAKGSLKYKKPPYTVGTISSLSQKLADEVPKGQTFVGIARNTCVDDESRIQQSSISKSIPSDCSNRFSVVGSTKTDGSRKSSKIPKGKIAGLLNYCKKNKVLFPYEDLVKLFQCEVWDVSPRGLLNCGNSCYANAVLQCLTCTKPLMVYLLRRLHSRTCCVKDWCLMCELEQHVSMLRESGGPLSPNGILSNMRNIGWRMGGGNQEDAHEFLRLLLMSMQAICLEGLGGEKNVDCRLQETTLIQQIFGGRLRSKVKCMRCHLESERYENIMDLTLEIHGWVESLEDALTQFTAAEDLDGENMYRCGRCSTYVNARKQLSIHEVPNILTVVLKRFQTGQYGKINKCVTFPDMLDMIPFVTGTADIPPLYMLYAVVVHLDTLNASFSGHYVSYVKDLQGAWFRVDDAEVQAVPSSRVMSEGAYMLFYARSFPRPPRVYTEKPSLQAKAISRPTTAKAHKSSEFNDGQRETLSALGNRVAMANDFIAENRGSVGETFSDSFSMDFSDATSSDWSLFTSSDESSFTTESTRNSFSTVDYGDAAGLDPIVSLFSPYYGPEYPISSTISCTKFSPCKVETRFVSESKAFVVDSSKNFVHRGQNLEPVNLSSGGRYKSSRKD >DRNTG_09572.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22528883:22538399:1 gene:DRNTG_09572 transcript:DRNTG_09572.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 15 [Source:Projected from Arabidopsis thaliana (AT1G17110) UniProtKB/TrEMBL;Acc:F4I642] MLQPREADLPVLFLVLIVLPVATYILLGRWTEAAKKKNRISLLAQLASEEAFRVEAMTSADVIPPLVSSKTGFYVCARCFAPATTRCSKCKSIRYCSGKCQIIHWRQVHKQECQMLQDSRLNNLSGSLASVSESAMLEHSIFENSRPQFLDDDREELLHNDTSSNLSDDSCVTFATNLSDVALDSAQHSAVGKKLLEKSASKKLKREKFRNDGYVSCSKDETINCDLAPTTSSSTLSAEVSLEGVSVRHKLGTENHISASRNHRSQDTTSQIFSHAPNVLRNILHQSHKSTGKMMNVSKSKGSPILSTSVSMNRNAMSEHETDMEFSSEEVSIHNGKAYSASEELDLTSAGEKAKGSLKYKKPPYTVGTISSLSQKLADEVPKGQTFVGIARNTCVDDESRIQQSSISKSIPSDCSNRFSVVGSTKTDGSRKSSKIPKGKIAGLLNYCKKNKVLFPYEDLVKLFQCEVWDVSPRGLLNCGNSCYANAVLQCLTCTKPLMVYLLRRLHSRTCCVKDWCLMCELEQHVSMLRESGGPLSPNGILSNMRNIGWRMGGGNQEDAHEFLRLLLMSMQAICLEGLGGEKNVDCRLQETTLIQQIFGGRLRSKVKCMRCHLESERYENIMDLTLEIHGWVESLEDALTQFTAAEDLDGENMYRCGRCSTYVNARKQLSIHEVPNILTVVLKRFQTGQYGKINKCVTFPDMLDMIPFVTGTADIPPLYMLYAVVVHLDTLNASFSGHYVSYVKDLQGAWFRVDDAEVQAVPSSRVMSEGAYMLFYARSFPRPPRVYTEKPSLQAKAISRPTTAKAHKSSEFNDGQRETLSALGNRVAMANDFIAENRGSVGETFSDSFSMDFSDATSSDWSLFTSSDESSFTTESTRNSFSTVDYGDAAGLDPIVSLFSPYYGPEYPISSTISCTKFSPCKVETRFVSESKAFVVDSSKNFVHRGQNLEPVNLSSGGRYKSSRKD >DRNTG_09572.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22528883:22538399:1 gene:DRNTG_09572 transcript:DRNTG_09572.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 15 [Source:Projected from Arabidopsis thaliana (AT1G17110) UniProtKB/TrEMBL;Acc:F4I642] MLQPREADLPVLFLVLIVLPVATYILLGRWTEAAKKKNRISLLAQLASEEAFRVEAMTSADVIPPLVSSKTGFYVCARCFAPATTRCSKCKSIRYCSGKCQIIHWRQVHKQECQMLQDSRLNNLSGSLASVSESAMLEHSIFENSRPQFLDDDREELLHNDTSSNLSDDSCVTFATNLSDVALDSAQHSAVGKKLLEKSASKKLKREKFRNDGYVSCSKDETINCDLAPTTSSSTLSAEVSLEGVSVRHKLGTENHISASRNHRSQDTTSQIFSHAPNVLRNILHQSHKSTGKMMNVSKSKGSPILSTSVSMNRNAMSEHETDMEFSSEEVSIHNGKAYSASEELDLTSAGEKAKGSLKYKKPPYTVGTISSLSQKLADEVPKGQTFVGIARNTCVDDESRIQQSSISKSIPSDCSNRFSVVGSTKTDGSRKSSKIPKGKIAGLLNYCKKNKVLFPYEDLVKLFQCEVWDVSPRGLLNCGNSCYANAVLQCLTCTKPLMVYLLRRLHSRTCCVKDWCLMCELEQHVSMLRESGGPLSPNGILSNMRNIGWRMGGGNQEDAHEFLRLLLMSMQAICLEGLGGEKNVDCRLQETTLIQQIFGGRLRSKVKCMRCHLESERYENIMDLTLEIHGWVESLEDALTQFTAAEDLDGENMYRCGRCSTYVNARKQLSIHEVPNILTVVLKRFQTGQYGKINKCVTFPDMLDMIPFVTGTADIPPLYMLYAVVVHLDTLNASFSGHYVSYVKDLQGAWFRVDDAEVQAVPSSRVMSEGAYMLFYARSFPRPPRVYTEKPSLQAKAISRPTTAKAHKSSEFNDGQRETLSALGNRVAMANDFIAENRGSVGETFSDSFSMDFSDATSSDWSLFTSSDESSFTTESTRNSFSTVDYGDAAGLDPIVSLFSPYYGPEYPISSTISCTKFSPCKVETRFVSESKAFVVDSSKNFVHRGQNLEPVNLSSGGRYKSSRKD >DRNTG_09572.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22528883:22538399:1 gene:DRNTG_09572 transcript:DRNTG_09572.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 15 [Source:Projected from Arabidopsis thaliana (AT1G17110) UniProtKB/TrEMBL;Acc:F4I642] MLQPREADLPVLFLVLIVLPVATYILLGRWTEAAKKKNRISLLAQLASEEAFRVEAMTSADVIPPLVSSKTGFYVCARCFAPATTRCSKCKSIRYCSGKCQIIHWRQVHKQECQMLQDSRLNNLSGSLASVSESAMLEHSIFENSRPQFLDDDREELLHNDTSSNLSDDSCVTFATNLSDVALDSAQHSAVGKKLLEKSASKKLKREKFRNDGYVSCSKDETINCDLAPTTSSSTLSAEVSLEGVSVRHKLGTENHISASRNHRSQDTTSQIFSHAPNVLRNILHQSHKSTGKMMNVSKSKGSPILSTSVSMNRNAMSEHETDMEFSSEEVSIHNGKAYSASEELDLTSAGEKAKGSLKYKKPPYTVGTISSLSQKLADEVPKGQTFVGIARNTCVDDESRIQQSSISKSIPSDCSNRFSVVGSTKTDGSRKSSKIPKGKIAGLLNYCKKNKVLFPYEDLVKLFQCEVWDVSPRGLLNCGNSCYANAVLQCLTCTKPLMVYLLRRLHSRTCCVKDWCLMCELEQHVSMLRESGGPLSPNGILSNMRNIGWRMGGGNQEDAHEFLRLLLMSMQAICLEGLGGEKNVDCRLQETTLIQQIFGGRLRSKVKCMRCHLESERYENIMDLTLEIHGWVESLEDALTQFTAAEDLDGENMYRCGRCSTYVNARKQLSIHEVPNILTVVLKRFQTGQYGKINKCVTFPDMLDMIPFVTGTADIPPLYMLYAVVVHLDTLNASFSGHYVSYVKDLQGAWFRVDDAEVQAVPSSRVMSEGAYMLFYARSFPRPPRVYTEKPSLQAKAISRPTTAKAHKSSEFNDGQRETLSALGNRVAMANDFIAENRGSVGETFSDSFSMDFSDATSSDWSLFTSSDESSFTTESTRNSFSTVDYGDAAGLDPIVSLFSPYYGPEYPISSTISCTKFSPCKVETRFVSESKAFVVDSSKNFVHRGQNLEPVNLSSGGRYKSSRKD >DRNTG_09572.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22536720:22538399:1 gene:DRNTG_09572 transcript:DRNTG_09572.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 15 [Source:Projected from Arabidopsis thaliana (AT1G17110) UniProtKB/TrEMBL;Acc:F4I642] MLDMIPFVTGTADIPPLYMLYAVVVHLDTLNASFSGHYVSYVKDLQGAWFRVDDAEVQAVPSSRVMSEGAYMLFYARSFPRPPRVYTEKPSLQAKAISRPTTAKAHKSSEFNDGQRETLSALGNRVAMANDFIAENRGSVGETFSDSFSMDFSDATSSDWSLFTSSDESSFTTESTRNSFSTVDYGDAAGLDPIVSLFSPYYGPEYPISSTISCTKFSPCKVETRFVSESKAFVVDSSKNFVHRGQNLEPVNLSSGGRYKSSRKD >DRNTG_09572.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22528883:22538399:1 gene:DRNTG_09572 transcript:DRNTG_09572.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 15 [Source:Projected from Arabidopsis thaliana (AT1G17110) UniProtKB/TrEMBL;Acc:F4I642] MLQPREADLPVLFLVLIVLPVATYILLGRWTEAAKKKNRISLLAQLASEEAFRVEAMTSADVIPPLVSSKTGFYVCARCFAPATTRCSKCKSIRYCSGKCQIIHWRQVHKQECQMLQDSRLNNLSGSLASVSESAMLEHSIFENSRPQFLDDDREELLHNDTSSNLSDDSCVTFATNLSDVALDSAQHSAVGKKLLEKSASKKLKREKFRNDGYVSCSKDETINCDLAPTTSSSTLSAEVSLEGVSVRHKLGTENHISASRNHRSQDTTSQIFSHAPNVLRNILHQSHKSTGKMMNVSKSKGSPILSTSVSMNRNAMSEHETDMEFSSEEVSIHNGKAYSASEELDLTSAGEKAKGSLKYKKPPYTVGTISSLSQKLADEVPKGQTFVGIARNTCVDDESRIQQSSISKSIPSDCSNRFSVVGSTKTDGSRKSSKIPKGKIAGLLNYCKKNKVLFPYEDLVKLFQCEVWDVSPRGLLNCGNSCYANAVLQCLTCTKPLMVYLLRRLHSRTCCVKDWCLMCELEQHVSMLRESGGPLSPNGILSNMRNIGWRMGGGNQEDAHEFLRLLLMSMQAICLEGLGGEKNVDCRLQETTLIQQIFGGRLRSKVKCMRCHLESERYENIMDLTLEIHGWVESLEDALTQFTAAEDLDGENMYRCGRCSTYVNARKQLSIHEVPNILTVVLKRFQTGQYGKINKCVTFPDMLDMIPFVTGTADIPPLYMLYAVVVHLDTLNASFSGHYVSYVKDLQGAWFRVDDAEVQAVPSSRVMSEGAYMLFYARSFPRPPRVYTEKPSLQAKAISRPTTAKAHKSSEFNDGQRETLSALGNRVAMANDFIAENRGSVGETFSDSFSMDFSDATSSDWSLFTSSDESSFTTESTRNSFSTVDYGDAAGLDPIVSLFSPYYGPEYPISSTISCTKFSPCKVETRFVSESKAFVVDSSKNFVHRGQNLEPVNLSSGGRYKSSRKD >DRNTG_09572.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22528883:22538399:1 gene:DRNTG_09572 transcript:DRNTG_09572.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 15 [Source:Projected from Arabidopsis thaliana (AT1G17110) UniProtKB/TrEMBL;Acc:F4I642] MLQPREADLPVLFLVLIVLPVATYILLGRWTEAAKKKNRISLLAQLASEEAFRVEAMTSADVIPPLVSSKTGFYVCARCFAPATTRCSKCKSIRYCSGKCQIIHWRQVHKQECQMLQDSRLNNLSGSLASVSESAMLEHSIFENSRPQFLDDDREELLHNDTSSNLSDDSCVTFATNLSDVALDSAQHSAVGKKLLEKSASKKLKREKFRNDGYVSCSKDETINCDLAPTTSSSTLSAEVSLEGVSVRHKLGTENHISASRNHRSQDTTSQIFSHAPNVLRNILHQSHKSTGKMMNVSKSKGSPILSTSVSMNRNAMSEHETDMEFSSEEVSIHNGKAYSASEELDLTSAGEKAKGSLKYKKPPYTVGTISSLSQKLADEVPKGQTFVGIARNTCVDDESRIQQSSISKSIPSDCSNRFSVVGSTKTDGSRKSSKIPKGKIAGLLNYCKKNKVLFPYEDLVKLFQCEVWDVSPRGLLNCGNSCYANAVLQCLTCTKPLMVYLLRRLHSRTCCVKDWCLMCELEQHVSMLRESGGPLSPNGILSNMRNIGWRMGGGNQEDAHEFLRLLLMSMQAICLEGLGGEKNVDCRLQETTLIQQIFGGRLRSKVKCMRCHLESERYENIMDLTLEIHGWVESLEDALTQFTAAEDLDGENMYRCGRCSTYVNARKQLSIHEVPNILTVVLKRFQTGQYGKINKCVTFPDMLDMIPFVTGTADIPPLYMLYAVVVHLDTLNASFSGHYVSYVKDLQGAWFRVDDAEVQAVPSSRVMSEGAYMLFYARSFPRPPRVYTEKPSLQAKAISRPTTAKAHKSSEFNDGQRETLSALGNRVAMANDFIAENRGSVGETFSDSFSMDFSDATSSDWSLFTSSDESSFTTESTRNSFSTVDYGDAAGLDPIVSLFSPYYGPEYPISSTISCTKFSPCKVETRFVSESKAFVVDSSKNFVHRGQNLEPVNLSSGGRYKSSRKD >DRNTG_06143.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25508487:25510791:1 gene:DRNTG_06143 transcript:DRNTG_06143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRSLDRVLFTPVCSPSPAPVLSWERRKRIVAGLAAALFYLHEQLDTQIIHRDVKTSNVMLDSGYNARLGDFGLARWLEHDELGLSTRSISLNNNYQFRMSETSRIGGTIGYLPPESFQKRGMATAKSDVFSFGVVVLEVATGRRAVDLAFPDEQIFMLDWVRRLADDNKTLNAADPKLRDGSYTLIGMRRFIHLGLLCSLHNPTSRPTMKWVVDVLAGDCSGELPALPSFQSHPQYISLSSSSSDTTTSTATITATLSSFVTAANTTMFLTADNGTGNGNGTGEEKSIRDVTSFPNVDTPREIPFKEILTITDNFSESHMVAELDFGTGYHGYLHGRYHVLVKRLGMRTCPALRARFSDELHNLGKLRHRNLVQLRGWCTEQGEMLVVYDYSSNASLSNLLFLPKSSVLSWQHRHHIVKNLASAILYLHEEWDEQVIHRNITSSAIFLDPDMNPRLGSFALAEFLSRNEHGHHASSSPVRGIFGYMSPEYIETGEATTMADVYSFGVVVLEVVTGMMAVDFRRPEVLLVRKVRNFEASNGTVEELVDWRLDGKCDHGELMRMVKLGIVCTRSDPDSRPSMKQIVSILDGKHDDLLTMFEGKKTEGREEWERKNASSLSSIRSIQALGIQ >DRNTG_23327.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1056259:1059248:1 gene:DRNTG_23327 transcript:DRNTG_23327.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFVGILVVLFAALSYTAAEPTADRAALLDFISKTLHPTKLRWDNTTSTCDWTGVTCDANRSSVVALRLPAAGLIGSVPNGTLARLASLRVLSLHSNRLSGPLPSDLSSLSSLRHLYLQDNRFSGDFPPWIPALTRLTRLDLSKNAFDGEIPLGVNNLTRLTGLFLQQNNFSGELPSINIQKLGSFNVSKNQLSGSIPESLSMFPVSSFAGNLDLCGSPLPPCSSPVLPSPTPAPSSTSRKSSGKLSTTAVIGISVAAGLVGLLLLLAVFWWCLMRRKRGKRVDRRKPSTASLAAGGGVTEGTVSSWSSSKDTGSGLGEAAKNRLVFVEGAGYSFDLEDLLRASAEVLGKGSMGTSYKAVLEEGTTVVVKRLKDVAASRKEFETHADILGRVAEHHNVLPVRAYYYSKDEKLVVYDYLPAGSLSALLHGSKAPGRTPMDWGGRLKVAVAGARGLSHIHNSAKIPHGNLKASNILLRDDPSSAAISDFGLYPFFASSGSPPSRLVGYRAPELIQTGRPTFKSDVFSFGVLLLELLTGRSPNHTSFVVEEGVDLPRWVQSIVREEWTAEVFDAELVRGYPGVEEDMVQLLQVAMSCVSTMPDSRPDMSEVVTMIEEILSQSEFVDGLRRHSSDETSMGSPSPAREASLWVEE >DRNTG_23327.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1056455:1059136:1 gene:DRNTG_23327 transcript:DRNTG_23327.10 gene_biotype:protein_coding transcript_biotype:protein_coding MFPVSSFAGNLDLCGSPLPPCSSPVLPSPTPAPSSTSRKSSGKLSTTAVIGISVAAGLVGLLLLLAVFWWCLMRRKRGKRVDRRKPSTASLAAGGGVTEGTVSSWSSSKDTGSGLGEAAKNRLVFVEGAGYSFDLEDLLRASAEVLGKGSMGTSYKAVLEEGTTVVVKRLKDVAASRKEFETHADILGRVAEHHNVLPVRAYYYSKDEKLVVYDYLPAGSLSALLHGSKAPGRTPMDWGGRLKVAVAGARGLSHIHNSAKIPHGNLKASNILLRDDPSSAAISDFGLYPFFASSGSPPSRLVGYRAPELIQTGRPTFKSDVFSFGVLLLELLTGRSPNHTSFVVEEGVDLPRWVQSIVREEWTAEVFDAELVRGYPGVEEDMVQLLQVAMSCVSTMPDSRPDMSEVVTMIEEILSQSEFVDGLRRHSSDETSMGSPSPAREASLWVEE >DRNTG_23327.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1056259:1059136:1 gene:DRNTG_23327 transcript:DRNTG_23327.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFVGILVVLFAALSYTAAEPTADRAALLDFISKTLHPTKLRWDNTTSTCDWTGVTCDANRSSVVALRLPAAGLIGSVPNGTLARLASLRVLSLHSNRLSGPLPSDLSSLSSLRHLYLQDNRFSGDFPPWIPALTRLTRLDLSKNAFDGEIPLGVNNLTRLTGLFLQQNNFSGELPSINIQKLGSFNVSKNQLSGSIPESLSMFPVSSFAGNLDLCGSPLPPCSSPVLPSPTPAPSSTSRKSSGKLSTTAVIGISVAAGLVGLLLLLAVFWWCLMRRKRGKRVDRRKPSTASLAAGGGVTEGTVSSWSSSKDTGSGLGEAAKNRLVFVEGAGYSFDLEDLLRASAEVLGKGSMGTSYKAVLEEGTTVVVKRLKDVAASRKEFETHADILGRVAEHHNVLPVRAYYYSKDEKLVVYDYLPAGSLSALLHGSKAPGRTPMDWGGRLKVAVAGARGLSHIHNSAKIPHGNLKASNILLRDDPSSAAISDFGLYPFFASSGSPPSRLVGYRAPELIQTGRPTFKSDVFSFGVLLLELLTGRSPNHTSFVVEEGVDLPRWVQSIVREEWTAEVFDAELVRGYPGVEEDMVQLLQVAMSCVSTMPDSRPDMSEVVTMIEEILSQSEFVDGLRRHSSDETSMGSPSPAREASLWVEE >DRNTG_23327.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1056455:1059248:1 gene:DRNTG_23327 transcript:DRNTG_23327.8 gene_biotype:protein_coding transcript_biotype:protein_coding MFPVSSFAGNLDLCGSPLPPCSSPVLPSPTPAPSSTSRKSSGKLSTTAVIGISVAAGLVGLLLLLAVFWWCLMRRKRGKRVDRRKPSTASLAAGGGVTEGTVSSWSSSKDTGSGLGEAAKNRLVFVEGAGYSFDLEDLLRASAEVLGKGSMGTSYKAVLEEGTTVVVKRLKDVAASRKEFETHADILGRVAEHHNVLPVRAYYYSKDEKLVVYDYLPAGSLSALLHGSKAPGRTPMDWGGRLKVAVAGARGLSHIHNSAKIPHGNLKASNILLRDDPSSAAISDFGLYPFFASSGSPPSRLVGYRAPELIQTGRPTFKSDVFSFGVLLLELLTGRSPNHTSFVVEEGVDLPRWVQSIVREEWTAEVFDAELVRGYPGVEEDMVQLLQVAMSCVSTMPDSRPDMSEVVTMIEEILSQSEFVDGLRRHSSDETSMGSPSPAREASLWVEE >DRNTG_23327.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1055908:1059248:1 gene:DRNTG_23327 transcript:DRNTG_23327.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFVGILVVLFAALSYTAAEPTADRAALLDFISKTLHPTKLRWDNTTSTCDWTGVTCDANRSSVVALRLPAAGLIGSVPNGTLARLASLRVLSLHSNRLSGPLPSDLSSLSSLRHLYLQDNRFSGDFPPWIPALTRLTRLDLSKNAFDGEIPLGVNNLTRLTGLFLQQNNFSGELPSINIQKLGSFNVSKNQLSGSIPESLSMFPVSSFAGNLDLCGSPLPPCSSPVLPSPTPAPSSTSRKSSGKLSTTAVIGISVAAGLVGLLLLLAVFWWCLMRRKRGKRVDRRKPSTASLAAGGGVTEGTVSSWSSSKDTGSGLGEAAKNRLVFVEGAGYSFDLEDLLRASAEVLGKGSMGTSYKAVLEEGTTVVVKRLKDVAASRKEFETHADILGRVAEHHNVLPVRAYYYSKDEKLVVYDYLPAGSLSALLHGSKAPGRTPMDWGGRLKVAVAGARGLSHIHNSAKIPHGNLKASNILLRDDPSSAAISDFGLYPFFASSGSPPSRLVGYRAPELIQTGRPTFKSDVFSFGVLLLELLTGRSPNHTSFVVEEGVDLPRWVQSIVREEWTAEVFDAELVRGYPGVEEDMVQLLQVAMSCVSTMPDSRPDMSEVVTMIEEILSQSEFVDGLRRHSSDETSMGSPSPAREASLWVEE >DRNTG_23327.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1056363:1059248:1 gene:DRNTG_23327 transcript:DRNTG_23327.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFVGILVVLFAALSYTAAEPTADRAALLDFISKTLHPTKLRWDNTTSTCDWTGVTCDANRSSVVALRLPAAGLIGSVPNGTLARLASLRVLSLHSNRLSGPLPSDLSSLSSLRHLYLQDNRFSGDFPPWIPALTRLTRLDLSKNAFDGEIPLGVNNLTRLTGLFLQQNNFSGELPSINIQKLGSFNVSKNQLSGSIPESLSMFPVSSFAGNLDLCGSPLPPCSSPVLPSPTPAPSSTSRKSSGKLSTTAVIGISVAAGLVGLLLLLAVFWWCLMRRKRGKRVDRRKPSTASLAAGGGVTEGTVSSWSSSKDTGSGLGEAAKNRLVFVEGAGYSFDLEDLLRASAEVLGKGSMGTSYKAVLEEGTTVVVKRLKDVAASRKEFETHADILGRVAEHHNVLPVRAYYYSKDEKLVVYDYLPAGSLSALLHGSKAPGRTPMDWGGRLKVAVAGARGLSHIHNSAKIPHGNLKASNILLRDDPSSAAISDFGLYPFFASSGSPPSRLVGYRAPELIQTGRPTFKSDVFSFGVLLLELLTGRSPNHTSFVVEEGVDLPRWVQSIVREEWTAEVFDAELVRGYPGVEEDMVQLLQVAMSCVSTMPDSRPDMSEVVTMIEEILSQSEFVDGLRRHSSDETSMGSPSPAREASLWVEE >DRNTG_23327.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1056020:1059136:1 gene:DRNTG_23327 transcript:DRNTG_23327.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFVGILVVLFAALSYTAAEPTADRAALLDFISKTLHPTKLRWDNTTSTCDWTGVTCDANRSSVVALRLPAAGLIGSVPNGTLARLASLRVLSLHSNRLSGPLPSDLSSLSSLRHLYLQDNRFSGDFPPWIPALTRLTRLDLSKNAFDGEIPLGVNNLTRLTGLFLQQNNFSGELPSINIQKLGSFNVSKNQLSGSIPESLSMFPVSSFAGNLDLCGSPLPPCSSPVLPSPTPAPSSTSRKSSGKLSTTAVIGISVAAGLVGLLLLLAVFWWCLMRRKRGKRVDRRKPSTASLAAGGGVTEGTVSSWSSSKDTGSGLGEAAKNRLVFVEGAGYSFDLEDLLRASAEVLGKGSMGTSYKAVLEEGTTVVVKRLKDVAASRKEFETHADILGRVAEHHNVLPVRAYYYSKDEKLVVYDYLPAGSLSALLHGSKAPGRTPMDWGGRLKVAVAGARGLSHIHNSAKIPHGNLKASNILLRDDPSSAAISDFGLYPFFASSGSPPSRLVGYRAPELIQTGRPTFKSDVFSFGVLLLELLTGRSPNHTSFVVEEGVDLPRWVQSIVREEWTAEVFDAELVRGYPGVEEDMVQLLQVAMSCVSTMPDSRPDMSEVVTMIEEILSQSEFVDGLRRHSSDETSMGSPSPAREASLWVEE >DRNTG_23327.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1055908:1059136:1 gene:DRNTG_23327 transcript:DRNTG_23327.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFVGILVVLFAALSYTAAEPTADRAALLDFISKTLHPTKLRWDNTTSTCDWTGVTCDANRSSVVALRLPAAGLIGSVPNGTLARLASLRVLSLHSNRLSGPLPSDLSSLSSLRHLYLQDNRFSGDFPPWIPALTRLTRLDLSKNAFDGEIPLGVNNLTRLTGLFLQQNNFSGELPSINIQKLGSFNVSKNQLSGSIPESLSMFPVSSFAGNLDLCGSPLPPCSSPVLPSPTPAPSSTSRKSSGKLSTTAVIGISVAAGLVGLLLLLAVFWWCLMRRKRGKRVDRRKPSTASLAAGGGVTEGTVSSWSSSKDTGSGLGEAAKNRLVFVEGAGYSFDLEDLLRASAEVLGKGSMGTSYKAVLEEGTTVVVKRLKDVAASRKEFETHADILGRVAEHHNVLPVRAYYYSKDEKLVVYDYLPAGSLSALLHGSKAPGRTPMDWGGRLKVAVAGARGLSHIHNSAKIPHGNLKASNILLRDDPSSAAISDFGLYPFFASSGSPPSRLVGYRAPELIQTGRPTFKSDVFSFGVLLLELLTGRSPNHTSFVVEEGVDLPRWVQSIVREEWTAEVFDAELVRGYPGVEEDMVQLLQVAMSCVSTMPDSRPDMSEVVTMIEEILSQSEFVDGLRRHSSDETSMGSPSPAREASLWVEE >DRNTG_23327.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1056020:1059248:1 gene:DRNTG_23327 transcript:DRNTG_23327.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFVGILVVLFAALSYTAAEPTADRAALLDFISKTLHPTKLRWDNTTSTCDWTGVTCDANRSSVVALRLPAAGLIGSVPNGTLARLASLRVLSLHSNRLSGPLPSDLSSLSSLRHLYLQDNRFSGDFPPWIPALTRLTRLDLSKNAFDGEIPLGVNNLTRLTGLFLQQNNFSGELPSINIQKLGSFNVSKNQLSGSIPESLSMFPVSSFAGNLDLCGSPLPPCSSPVLPSPTPAPSSTSRKSSGKLSTTAVIGISVAAGLVGLLLLLAVFWWCLMRRKRGKRVDRRKPSTASLAAGGGVTEGTVSSWSSSKDTGSGLGEAAKNRLVFVEGAGYSFDLEDLLRASAEVLGKGSMGTSYKAVLEEGTTVVVKRLKDVAASRKEFETHADILGRVAEHHNVLPVRAYYYSKDEKLVVYDYLPAGSLSALLHGSKAPGRTPMDWGGRLKVAVAGARGLSHIHNSAKIPHGNLKASNILLRDDPSSAAISDFGLYPFFASSGSPPSRLVGYRAPELIQTGRPTFKSDVFSFGVLLLELLTGRSPNHTSFVVEEGVDLPRWVQSIVREEWTAEVFDAELVRGYPGVEEDMVQLLQVAMSCVSTMPDSRPDMSEVVTMIEEILSQSEFVDGLRRHSSDETSMGSPSPAREASLWVEE >DRNTG_23327.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1056363:1059136:1 gene:DRNTG_23327 transcript:DRNTG_23327.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFVGILVVLFAALSYTAAEPTADRAALLDFISKTLHPTKLRWDNTTSTCDWTGVTCDANRSSVVALRLPAAGLIGSVPNGTLARLASLRVLSLHSNRLSGPLPSDLSSLSSLRHLYLQDNRFSGDFPPWIPALTRLTRLDLSKNAFDGEIPLGVNNLTRLTGLFLQQNNFSGELPSINIQKLGSFNVSKNQLSGSIPESLSMFPVSSFAGNLDLCGSPLPPCSSPVLPSPTPAPSSTSRKSSGKLSTTAVIGISVAAGLVGLLLLLAVFWWCLMRRKRGKRVDRRKPSTASLAAGGGVTEGTVSSWSSSKDTGSGLGEAAKNRLVFVEGAGYSFDLEDLLRASAEVLGKGSMGTSYKAVLEEGTTVVVKRLKDVAASRKEFETHADILGRVAEHHNVLPVRAYYYSKDEKLVVYDYLPAGSLSALLHGSKAPGRTPMDWGGRLKVAVAGARGLSHIHNSAKIPHGNLKASNILLRDDPSSAAISDFGLYPFFASSGSPPSRLVGYRAPELIQTGRPTFKSDVFSFGVLLLELLTGRSPNHTSFVVEEGVDLPRWVQSIVREEWTAEVFDAELVRGYPGVEEDMVQLLQVAMSCVSTMPDSRPDMSEVVTMIEEILSQSEFVDGLRRHSSDETSMGSPSPAREASLWVEE >DRNTG_03613.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11207543:11221064:1 gene:DRNTG_03613 transcript:DRNTG_03613.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin Putative 5 [Source:Projected from Arabidopsis thaliana (AT1G79830) UniProtKB/TrEMBL;Acc:F4HQB9] MAWLGKVSLGGFSDLAGAVNKLSESVKNIEKNFDSALGLEEKPDSGEGHSDSGIWASTTERSALFDPVMAFMGHKEDTSAVEAAGKPESPERPSSDEKHDKVPEEGSTKSISETVSPLDEENTDFESKRDSQTAGSPSVVAEEHDEGKTEADSNCPHSETDGPATSNNERPTYVAAEALPNEGKEVSASDEPDPEKSGQTTVDGIEKSDINGISLPDSLPQESKLQADLEKHETNAEKLVDSSAEPTDMPHNVPGSMEADSLLKVAVNVSPDENMKEHSSNSVSYGEDHDKSTGSWSHSMNSSSESLDVGSQGKEVDNREQPMTSTQHMPNSIGSLEEFEKLKKDKKLMEAALHGAARQAQAKADEIARLMNENEQLRTTIEDLKKRTTEAEIDALRDEYHQRVASLERKVYALTKERDALKKEQSKKSDVAVLLKEKDEIITQVMAEGEELSKKQAVQESTIRKLRAQIREIEEEKQRLNSRIQVEETKVESIKRDKAATEKLLQETIEKNQAELAAQKEFYTNALNAAKEAEALAESRANNEARIELERRLREADERESMLVQSLEELRQTLSRTEQQAVFREDMLRRDLDDLQKRYQASELRYNELVAQVPESTRPLLRQIEAMQETAARRAEAWAGVERSLNSRLQEAEAKAAAAEERERSMNERLSQALSRMSVLETQITCLRTEQTQLSRSLEKERQRASENRQEYLVAMEEAATQEGRAKQLEEEIKELRNKYKKELQEEIASRVIVEKELEKERALRTELEKAALQEPIVVGDQGSEKLIRP >DRNTG_03613.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11207543:11221064:1 gene:DRNTG_03613 transcript:DRNTG_03613.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin Putative 5 [Source:Projected from Arabidopsis thaliana (AT1G79830) UniProtKB/TrEMBL;Acc:F4HQB9] MAWLGKVSLGGFSDLAGAVNKLSESVKNIEKNFDSALGLEEKPDSGEGHSDSGIWASTTERSALFDPVMAFMGHKEDTSAVEAAGKPESPERPSSDEKHDKVPEEGSTKSISETVSPLDEENTDFESKRDSQTAGSPSVVAEEHDEGKTEADSNCPHSETDGPATSNNERPTYVAAEALPNEGKEVSASDEPDPEKSGQTTVDGIEKSDINGISLPDSLPQESKLQADLEKHETNAEKLVDSSAEPTDMPHNVPGSMEADSLLKVAVNVSPDENMKEHSSNSVSYGEDHDKSTGSWSHSMNSSSESLDVGSQGKEVDNREQPMTSTQHMPNSIGSLEEFEKLKKDKKLMEAALHGAARQAQAKADEIARLMNENEQLRTTIEDLKKRTTEAEIDALRDEYHQRVASLERKVYALTKERDALKKEQSKKSDVAVLLKEKDEIITQVMAEGEELSKKQAVQESTIRKLRAQIREIEEEKQRLNSRIQVEETKVESIKRDKAATEKLLQETIEKNQAELAAQKEFYTNALNAAKEAEALAESRANNEARIELERRLREADERESMLVQSLEELRQTLSRTEQQAVFREDMLRRDLDDLQKRYQASELRYNELVAQVPESTRPLLRQIEAMQETAARRAEAWAGVERSLNSRLQEAEAKAAAAEERERSMNERLSQALSRMSVLETQITCLRTEQTQLSRSLEKERQRASENRQEYLVAMEEAATQEGRAKQLEEEIKELRNKYKKELQEEIASRVIVEKELEKERALRTELEKAALQEPIVVGDQGNAPTRKLPTARSLGVIEESHYLQASLDSTDILSERRGSGEAIMSPYFLKSMTPSSFEAALRQKDGELQSYVSRLNSLESTRDSLAEELVKMTAQCEKLRSEATILPGIRAELEALRRRHSSALELMGERDEELEELRADIIDLKEMYREQVDLLVNKIQTLGASLGAA >DRNTG_06377.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19369614:19370906:1 gene:DRNTG_06377 transcript:DRNTG_06377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPIRPNRGTPHCSVVLKSAVTNSRE >DRNTG_15128.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5662236:5664691:-1 gene:DRNTG_15128 transcript:DRNTG_15128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFESSIVQSHKMSINWEIRPARDESPGYCGYLKWRQPRREKHTAKISSTRTTFTVISTNLKKEMLARREGYGSLQEIPQAEEIYMEGTEKECSL >DRNTG_27838.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19677241:19677689:-1 gene:DRNTG_27838 transcript:DRNTG_27838.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQGRKKSVRIMQPQGNRVKNHIDMNLKKVQVVYYLSRNGQLEHPHFMEIYQKPNHHLKLKDVMERLTVLRGRGMPFLFSWSCKR >DRNTG_27838.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19676071:19677689:-1 gene:DRNTG_27838 transcript:DRNTG_27838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLNTLTSWKSTKSQTIILNSKMLWRGLLFLEAEACLFFSLGLVKADGCEYVLKGSELFHGSSSSSSSSVARHNPKSMQNPNPIHYVETEEEEEAEEDHDKSNNERTAKQQTEIQLGDDSSPPSSSSSDPAAESVPILNSVLRQLVTCGGAGGRAQSKRSHHGLRIKAVSRLALASRAEEEEEIKCMSENPRFGKSQGEEKEYFSGSIVEAIASAQQRSETEPPRLKKSSSLNEERSLKAGIGEVDEEQDGGGGGGGCVKGKCIPGRKKSFQNK >DRNTG_27838.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19676071:19676974:-1 gene:DRNTG_27838 transcript:DRNTG_27838.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPNPIHYVETEEEEEAEEDHDKSNNERTAKQQTEIQLGDDSSPPSSSSSDPAAESVPILNSVLRQLVTCGGAGGRAQSKRSHHGLRIKAVSRLALASRAEEEEEIKCMSENPRFGKSQGEEKEYFSGSIVEAIASAQQRSETEPPRLKKSSSLNEERSLKAGIGEVDEEQDGGGGGGGCVKGKCIPGRKKSFQNK >DRNTG_35176.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26071951:26080392:-1 gene:DRNTG_35176 transcript:DRNTG_35176.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPPPGGTFEGIENEKLPTTASTNIVVPEKMPLLISKEPEPIELESSRKGKIIRRLCYLAEKLKLKQMCQPPVIASILAIIIGVIPFLKGLILATDAPFYFFTDSCLILGEAMIPCILLALGGNLVDGPGAGSSKLGLRTMVAIVVARLVFVPPAGIGIVTLADKLGFIPKGDKMFKFVLLLQHSMPTSVLQGAVANLRGCGKEAAAVLFWVHIFAVFSMAGWIILFFGMLF >DRNTG_35176.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26071951:26080392:-1 gene:DRNTG_35176 transcript:DRNTG_35176.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLISVVMDSVDGKGQSLVSTILFAVLPIAKVFTICFMGCLMASKYVNILSANGRKLLNGLVFSLLLPCLIFAQLGQAITLQKLMDWWFIPANVILATISGSLIGLIVASIVRPPYPYFKFTVIQIGIGNIGNVPLVLIGAICRDNSNPFGDSDKCSQDGNAYIAFGQWVGAIIVYTFVFHMLAPPPGGTFEGIENEKLPTTASTNIVVPEKMPLLISKEPEPIELESSRKGKIIRRLCYLAEKLKLKQMCQPPVIASILAIIIGVIPFLKGLILATDAPFYFFTDSCLILGEAMIPCILLALGGNLVDGPGAGSSKLGLRTMVAIVVARLVFVPPAGIGIVTLADKLGFIPKGDKMFKFVLLLQHSMPTSVLQGAVANLRGCGKEAAAVLFWVHIFAVFSMAGWIILFFGMLF >DRNTG_30742.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31720417:31721950:-1 gene:DRNTG_30742 transcript:DRNTG_30742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYLSKKELFKAESNYWNDIIEGYVRVFHMDKMKLRNVMDMRAGFGGFAAALSDLKIDCWVMNVVPVSGPNTLPVIYDRGLIGVNHDW >DRNTG_30742.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31720417:31721950:-1 gene:DRNTG_30742 transcript:DRNTG_30742.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYLSKKELFKAESNYWNDIIEGYVRVFHMDKMKLRNVMDMRAGFGGFAAALSDLKIDCWVMNVVPVSGPNTLPVIYDRGLIGVNHDWCEPF >DRNTG_28708.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:394633:397420:1 gene:DRNTG_28708 transcript:DRNTG_28708.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKQQSSRIQRAKGLKLKYVLQICVLIAICIWLIYQVKHSHDKKKEFEDKNPRTSTNFNDDQLAMFNLGRKDLARIVDIPIAEMDSGDETETETGTESEEERKDEGDEEKKLEGVEVGGGRGGGDDEIDELDQEKADEEAERGEQLAEEEDRDAALGQLKLFDSEEHEGGASQEAREESFKGDDASSAVFEDTQKMGTDSGGDPRKLDEEQLEIMEKNESANVVSEVDDDRSVRDDSGLNESLLSEHVNTNEEQSEIMEKKEAENVVSEVNDGSANGDDSNGLVDDSKKEMEVESSVNENAVPDGSDSNDSSVNVFADEMVKEKEAENVVNETTDDSSKNRDTNESTNSNEEQTEVAEKKDTDTENIVNTTADNSEKDGSVVQGESEVISNENVTTKEEQSEETEKKEVETGNIENSTADQSKGKDDVGVQGENEAITNENVTTNEGQSEVTGENRDEH >DRNTG_28708.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:394633:397420:1 gene:DRNTG_28708 transcript:DRNTG_28708.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKQQSSRIQRAKGLKLKYVLQICVLIAICIWLIYQVKHSHDKKKEFEDKNPRTSTNFNDDQLAMFNLGRKDLARIVDIPIAEMDSGDETETETGTESEEERKDEGDEEKKLEGVEVGGGRGGGDDEIDELDQEKADEEAERGEQLAEEEDRDAALGQLKLFDSEEHEGGASQEAREESFKGDDASSAVFEDTQKMGTDSGGDPRKLDEEQLEIMEKNESANVVSEVDDDRSVRDDSGLNESLLSEHVNTNEEQSEIMEKKEAENVVSEVNDGSANGDDSNGLVDDSKKEMEVESSVNENAVPDGSDSNDSSVNVFADEMVKEKEAENVVNETTDDSSKNRDTNESTNSNEEQTEVAEKKDTDTENIVNTTADNSEKDGSVVQGESEVISNENVTTKEEQSEETEKKEVETGNIENSTADQSKGKDDVGVQGENEAITNENVTTNEGQSEVTGENRDEH >DRNTG_15006.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23895808:23901334:1 gene:DRNTG_15006 transcript:DRNTG_15006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMQGHACAILVPLVASIKLERRLLKLNMVTAPFPSMAFILGSIGEIVALASIKDKDILGAKFDLNKVKSKRHNWGVFRDRRLKLYKIYIMYSIQSRAL >DRNTG_00925.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21326940:21377338:1 gene:DRNTG_00925 transcript:DRNTG_00925.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYLLQLQSIVVIFALIILCKVLWHSTFKSFNNKHKQPPQPFFALPVIGHLHLLLNAQPFHHKLGEMADKLGPAFMLRLGSRRTLVISSWEVAKECFTVNDKALASRPSNTAAVTHLAYKAAFFGLAPYGSFWRSMRKIATTELLSNTRLDKLKHVMLAEVDTCIKELHNLCGDNNNDNSRVDMKEWLGDLNFNIILQMVTGKRFFGSGGGSDEAWRFRKATHKFFHLLFVSVPSDMFPWLEWMDLGGYVKAMKAVAKEMDSVMVRLVEEHRERRASGVTAGGTDFIDVMLSIMEDDHELQAYFDKETLIIATSLNLILGGT >DRNTG_00925.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21326940:21328788:1 gene:DRNTG_00925 transcript:DRNTG_00925.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYLLQLQSIVVIFALIILCKVLWHSTFKSFNNKHKQPPQPFFALPVIGHLHLLLNAQPFHHKLGEMADKLGPAFMLRLGSRRTLVISSWEVAKECFTVNDKALASRPSNTAAVTHLAYKAAFFGLAPYGSFWRSMRKIATTELLSNTRLDKLKHVMLAEVDTCIKELHNLCGDNNNDNSRVDMKEWLGDLNFNIILQMVTGKRFFGSGGGSDEAWRFRKATHKFFHLLFVSVPSDMFPWLEWMDLGGYVKAMKAVAKEMDSVMVRLVEEHRERRASGVTAGGTDFIDVMLSIMEDDHELQAYFDKETLIIATSLNLILGGTDTTTISLIRVLAHLLNNPDALKKAQTELEEQVGVNRVVNESDINNLIYLQAMIKESLRLTPSSELLVPRETVEDCTISGFHIPAGTQVIVNAWKLQRDPSVWPDPLEFRPNRFLPSHAAAGIDVKGQNYELIPFGSGRRLCPGISMSLHVMHLTLARLIQAFELRLINNVPNELFEGLFSMALHSAPLVVEITPRLPLELYQS >DRNTG_29186.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3069938:3070255:1 gene:DRNTG_29186 transcript:DRNTG_29186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKNNKLSQAAALKQILKRCSSLGRKQGYGEEDGLPQDVPKGHFAVYVGENRSRFIVPISYLTHPEFQTLLQRAEEEFGFHHDMGLTIPCEEVVFRSLTSMLN >DRNTG_27139.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001384.1:5810:7046:-1 gene:DRNTG_27139 transcript:DRNTG_27139.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDKYRMDNMRASEDSQTSTIRSPTKRTGGNKRWTPTESCFFIRFMTSQVEQGFKVDKGFNPQALHATTKAMKDEFDIIVTESNVSNHLRTIGKRWERIKKLKELSRMGWDDRMKMIIMGESEYKNYIKIHP >DRNTG_27139.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001384.1:5810:8157:-1 gene:DRNTG_27139 transcript:DRNTG_27139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMRASEDSQTSTIRSPTKRTGGNKRWTPTESCFFIRFMTSQVEQGFKVDKGFNPQALHATTKAMKDEFDIIVTESNVSNHLRTIGKRWERIKKLKELSRMGWDDRMKMIIMGESEYKNYIKIHP >DRNTG_21668.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10987959:10995698:-1 gene:DRNTG_21668 transcript:DRNTG_21668.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIHTILRSISWLSLLSLLLSFHLCLIQRPTEAAFLPGIQTDKVALLKFMAGITDDPFGVFQSWNDSKPFCDWTGISCSKIHPGRVISIQLDSQSLSGSISSSITNLTFLHSLLLMNNSFSGGIPPDIGMLPRLQHLNLSYNSLTGVIPLGLSNCSDLQTLGLHYNQLHGNIPSEFGSLMKLQELVLAKNNFTGSIPSSLSNISSLVKLSLLSNSIQGNIPENLGLYATNLSFLQMAQNELSGTIPPTLFNLSSLNYFSVAVNQFHGSLPLDIGTTLPNLQALLLSDNQFGGTLPSSLINASRIRLLDMSTNNIGGKVPSELGKLQDLVHLNLGSNEFEINDPDSWRFMDSLTNCSKLQVLALNRNNVSGVLPESVGNLSTNLQSLMLWTNSITGRIPSGIENLINLEQLQLSKNNFIGTIPDGIGKLTKLQDLDLFFNDLAGQLPSSIGNLTQLLHFYVSDNNLTGRIPSSLGNLQNIEAMDLSNNGFNGSIPEEILSLSSLSFFLDLSGNSLSGQLPAKVGSLKNLGIFGLSRNKLSGAIPATLGSCQQLETLLMDNNLFEGTIPASLGNIKGLQELNLSHNSLKGPIPDSLTKLHALTSLDLSFNQLSGEVYQEGVFKNATAVSLLRNDCLCGGISSLNLPPCLETSSKKTKWSRSLKIAVIVPIVAFILLLIFLSSLAFLNRRKRLRKKSPSIPSFADKYLKVSYNELFKATDGFSSSNLLGTGSFGSVYRGTLDPSGTIVAVKVFNLQQRGATKSFIAECEALRGIRHRSLIKILTACSSIDSKGNDFKALVYEFMNNGSLETWLHPKDAGFSNQLSLVQKLNIAVDVADALNYLHVNCQPPVIHCDLKPSNILLDDNMNALVGDFGLARIVSETMSISQHDSHSLMGIKGSIGYIAPGILLITSF >DRNTG_21668.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10987959:10995698:-1 gene:DRNTG_21668 transcript:DRNTG_21668.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSNNGFNGSIPEEILSLSSLSFFLDLSGNSLSGQLPAKVGSLKNLGIFGLSRNKLSGAIPATLGSCQQLETLLMDNNLFEGTIPASLGNIKGLQELNLSHNSLKGPIPDSLTKLHALTSLDLSFNQLSGEVYQEGVFKNATAVSLLRNDCLCGGISSLNLPPCLETSSKKTKWSRSLKIAVIVPIVAFILLLIFLSSLAFLNRRKRLRKKSPSIPSFADKYLKVSYNELFKATDGFSSSNLLGTGSFGSVYRGTLDPSGTIVAVKVFNLQQRGATKSFIAECEALRGIRHRSLIKILTACSSIDSKGNDFKALVYEFMNNGSLETWLHPKDAGFSNQLSLVQKLNIAVDVADALNYLHVNCQPPVIHCDLKPSNILLDDNMNALVGDFGLARIVSETMSISQHDSHSLMGIKGSIGYIAPGILLITSF >DRNTG_17430.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6100816:6103679:-1 gene:DRNTG_17430 transcript:DRNTG_17430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor ABORTED MICROSPORES [Source:Projected from Arabidopsis thaliana (AT2G16910) UniProtKB/Swiss-Prot;Acc:Q9ZVX2] MFGMIFQTIENKTFVIFLLHFILEIMYKTFLSLLQVCEDPYIIGFVMSQCNNTSSYDHHHLHHLGHQDQTQAMEDIDVGGVHDYTTKSTNNNPWLPPQPMSTDPSCFPWDNISAENQSRICSSPLDLFSGSKTSTDIFFEGSTSAIDSIVGNANNNIQDMTAYHHQSHVIPTTTPSTRHRSVIAESSVDKDLAKPDNSRQADSGDEEGSDQAADDDDQRGIGRSGKRHHSKNLVAERKRRKKLNDRLYALRSLVPKITKMDRASILGDAIEYVMELQKQVKDLQDELEETNLEDEGAKQNSSNMEMPQNPNNPMDEDDSPNSSRMMATNTKTVNNHEPVGHDDLNHQMEPQVEVKQLDEDEFYVKVLCEQKIGGFTRLMEAVISLGLEVTNVNVATYQSLVLNVFRVKKRENNNEAVQAEEVRDSLLELTRNPNPNSNLNSNPTCGWMEGGGEQNQYNPHHMLSYHGMN >DRNTG_17430.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6100816:6103679:-1 gene:DRNTG_17430 transcript:DRNTG_17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor ABORTED MICROSPORES [Source:Projected from Arabidopsis thaliana (AT2G16910) UniProtKB/Swiss-Prot;Acc:Q9ZVX2] MAPLGLRSLVGYNGWDYCILWKLSRDKRYLEGIACCCSGAEGQLVFPVECFSGVQIATPCRDVIVQHPRIRACNALSEIPFSIPLDPFYGLHGQVFFSNQPKWMIYSNKTRVLVPVQDGLVELFVAKQVCEDPYIIGFVMSQCNNTSSYDHHHLHHLGHQDQTQAMEDIDVGGVHDYTTKSTNNNPWLPPQPMSTDPSCFPWDNISAENQSRICSSPLDLFSGSKTSTDIFFEGSTSAIDSIVGNANNNIQDMTAYHHQSHVIPTTTPSTRHRSVIAESSVDKDLAKPDNSRQADSGDEEGSDQAADDDDQRGIGRSGKRHHSKNLVAERKRRKKLNDRLYALRSLVPKITKMDRASILGDAIEYVMELQKQVKDLQDELEETNLEDEGAKQNSSNMEMPQNPNNPMDEDDSPNSSRMMATNTKTVNNHEPVGHDDLNHQMEPQVEVKQLDEDEFYVKVLCEQKIGGFTRLMEAVISLGLEVTNVNVATYQSLVLNVFRVKKRENNNEAVQAEEVRDSLLELTRNPNPNSNLNSNPTCGWMEGGGEQNQYNPHHMLSYHGMN >DRNTG_17430.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6100816:6102992:-1 gene:DRNTG_17430 transcript:DRNTG_17430.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor ABORTED MICROSPORES [Source:Projected from Arabidopsis thaliana (AT2G16910) UniProtKB/Swiss-Prot;Acc:Q9ZVX2] MSQCNNTSSYDHHHLHHLGHQDQTQAMEDIDVGGVHDYTTKSTNNNPWLPPQPMSTDPSCFPWDNISAENQSRICSSPLDLFSGSKTSTDIFFEGSTSAIDSIVGNANNNIQDMTAYHHQSHVIPTTTPSTRHRSVIAESSVDKDLAKPDNSRQADSGDEEGSDQAADDDDQRGIGRSGKRHHSKNLVAERKRRKKLNDRLYALRSLVPKITKMDRASILGDAIEYVMELQKQVKDLQDELEETNLEDEGAKQNSSNMEMPQNPNNPMDEDDSPNSSRMMATNTKTVNNHEPVGHDDLNHQMEPQVEVKQLDEDEFYVKVLCEQKIGGFTRLMEAVISLGLEVTNVNVATYQSLVLNVFRVKKRENNNEAVQAEEVRDSLLELTRNPNPNSNLNSNPTCGWMEGGGEQNQYNPHHMLSYHGMN >DRNTG_21888.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19576884:19582568:-1 gene:DRNTG_21888 transcript:DRNTG_21888.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRIGNKFRVGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYRMLQGGTGVPSVKWFGAEGEYNVLVIDLLGPSLEDLFNFCNRKLSLKTVLMLADQMINRVEFVHGKSFLHRDIKPDNFLVGLGRRANQVYIIDFGLAKKYRDSATHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGFVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTLIEALCRGYPSEFASYFHYCRSLRFDDKPDYAYLKRLFRDLFIREGFQFDYIFDWTILRYQQSQMANGPPRALGGGAGPSVGMSPAVANVDLQLGGEEGRTGGWSAVDPFHRRISAPAVSAGSLSKQKNPVGNESAFSKDAVLSSSTFLGRSSGHLRRAAVSSSRDTILGSEGELSRIRAAEASSGTFRKLSGTQRSPLVGSADPKRASSGRQPSNAANYDPVLKGIDHLNLDDNKFH >DRNTG_10612.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:81363:83081:-1 gene:DRNTG_10612 transcript:DRNTG_10612.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCVKQKLRKGLWSPEEDEKLFNHIIRFGVGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGTFSQEEEDLIVGLHEILGNRWSQIAAQLPGRTDNEIKNFWNSSLKKKLKQKGIDPSTHKPLNEIEAQQTEKSNSTQTVDHFPANKPVFNPFPLFEFQTAAIDSLETNMNLYSQLQHNFRPLEQNHGLATNTDFFDLIQLPNSGFCDYNNVFDVSESYGTGESSSNSSNWNCNAGGINTEALNWASESKLESFIQMQIGGHEHHHHQHQQQQQQQQQQQQQQQHRNSELSDGCFGVGRREFNTDYL >DRNTG_10612.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:81363:83081:-1 gene:DRNTG_10612 transcript:DRNTG_10612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCVKQKLRKGLWSPEEDEKLFNHIIRFGVGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGTFSQEEEDLIVGLHEILGNRWSQIAAQLPGRTDNEIKNFWNSSLKKKLKQKGIDPSTHKPLNEIEAQQTEKSNSTQTVDHFPANKPVFNPFPLFEFQTAAIDSLETNMNLYSQLQHNFRPLEQNHGLATNTDFFDLIQLPNSGFCDYNNVFDVSESYGTGESSSNSSNWNCNAGGINTEALNWASESKLESFIQMQIGGHEHHHHQHQQQQQQQQQQQQQQQHRNSELSDGCFGVGRREFNTDYL >DRNTG_08541.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17395962:17397060:1 gene:DRNTG_08541 transcript:DRNTG_08541.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFTESSELVEIRDVWEENLEEEFMLIRQVVDSYPYAAMDTEFPGMVTRPVGNFPSTSDYNYASLKGNVDMLKLIQLGLTLFNESGHLPTIDGGRGIVWQFNFRDFDPSRDMCAQDSIELLIQCGIDFKKNLEKGVSSFRFAELLMSSGVVLNDSVRWVTFHSAYDFGYLLKLLSGRHLPSTQDGFFTLIQIFFPVVYDIKHLMKFCNGLYGGLSKVAEQLGVKRIGTCHQAGSDSLLTASVFQRIKALYFKGSPKTHSGVLYGLGADS >DRNTG_33948.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:948089:951992:-1 gene:DRNTG_33948 transcript:DRNTG_33948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSLEQLPENQTDRYPFLMEGPGSHDEHEHIIDITRDRVGSASDLHGRNNNELEESDYDDRSSTIVRVHNGQPPSASDSRNASSGRRRDSHRLQRGSPLNSGFWISVELVVSISQIIAAIIVVALSRHEKPETPLFAWIIGYTIGCVASLPHLYWRYIHCNTPGLTQESAHLHQSSQVITTAPTPYTIMSVNQVLEGEHLHRTGAVLHIRQSLVIASPRLNAAVGHFKMALDCFFAVWFVVGNVWVFGGHSSASASPNLYRLCIVFLTFSCIGYAMPFIMCAAICCCLPCIISILGLREEFNQTRGATTDMICSLPTYKFKLKVSQNGQENEPSLEDTYEGGILGVGTDRERVVSAEDCICCICLAKYKDNDDLRELPCTHFLHKECVDKWLKINALCPLCKSAIW >DRNTG_33948.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:948089:951992:-1 gene:DRNTG_33948 transcript:DRNTG_33948.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSLEQLPENQTDRYPFLMEGPGSHDEHEHIIDITRDRVGSASDLHGRNNNELEESDYDDRSSTIVRVHNGQPPSASDSRNASSGRRRDSHRLQRGSPLNSGFWISVELVVSISQIIAAIIVVALSRHEKPETPLFAWIIGYTIGCVASLPHLYWRYIHCNTPGLTQESAHLHQSSQVITTAPTPYTIMSVNQVLEGEHLHRTGAVLHIRQSLVIASPRLNAAVGHFKMALDCFFAVWFVVGNVWVFGGHSSASASPNLYRLCIVFLTFSCIGYAMPFIMCAAICCCLPCIISILGLREEFNQTRGATTDMICSLPTYKFKLKVSQNGQENEPSLEDTYEGGILGVGTDRERVVSAEDCICCICLAKYKDNDDLRELPCTHFLHKECVDKWLKINALCPLCKSAIW >DRNTG_24993.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27160662:27165171:1 gene:DRNTG_24993 transcript:DRNTG_24993.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGRLSNRLFYLSIPPNIFIDVVKCASTSASSANGWTRVIVEKPFGRDSESSAALTGGLKQYLNEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDHYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPLQVEDVVVGQYKSHTKGGVTYPAYTDDKTVPNDSVTPTFAAAALFIDNARWDGVPFLMKAGKALHTKRAEIRVQFRHVPGNLYKKSFGTDLDRATNELVIRVQPDEAIYLKINNKIPGLGMRLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWVLFTPLLKELEEKKIAPELYPYGSRGPVGAHYLAAKYNVRWGDLGSDHYQV >DRNTG_24993.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27160662:27165171:1 gene:DRNTG_24993 transcript:DRNTG_24993.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAELRSMVSKTLTCRIDKRENCSDKMEQFLKRCFYHSGQYDSEDNFAELDKKLKEHEAGRLSNRLFYLSIPPNIFIDVVKCASTSASSANGWTRVIVEKPFGRDSESSAALTGGLKQYLNEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDHYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPLQVEDVVVGQYKSHTKGGVTYPAYTDDKTVPNDSVTPTFAAAALFIDNARWDGVPFLMKAGKALHTKRAEIRVQFRHVPGNLYKKSFGTDLDRATNELVIRVQPDEAIYLKINNKIPGLGMRLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWVLFTPLLKELEEKKIAPELYPYGSRGPVGAHYLAAKYNVRWGDLGSDHYQV >DRNTG_24993.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27160662:27165171:1 gene:DRNTG_24993 transcript:DRNTG_24993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCPKCPSSSYFAPGPSRHHRSMASSSISSIQSPARRYFVKRSAFGSACSRHWNVVYMREASTKEPVTTKKEVPLKNAGNGSVLKISSEELRNLGGINVSEKETTVSITVVGASGDLAKKKIFPALFALYYEDCLPEHFTIFGYARSKMTDAELRSMVSKTLTCRIDKRENCSDKMEQFLKRCFYHSGQYDSEDNFAELDKKLKEHEAGRLSNRLFYLSIPPNIFIDVVKCASTSASSANGWTRVIVEKPFGRDSESSAALTGGLKQYLNEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDHYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPLQVEDVVVGQYKSHTKGGVTYPAYTDDKTVPNDSVTPTFAAAALFIDNARWDGVPFLMKAGKALHTKRAEIRVQFRHVPGNLYKKSFGTDLDRATNELVIRVQPDEAIYLKINNKIPGLGMRLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWVLFTPLLKELEEKKIAPELYPYGSRGPVGAHYLAAKYNVRWGDLGSDHYQV >DRNTG_28465.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22442571:22444316:-1 gene:DRNTG_28465 transcript:DRNTG_28465.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 8, vacuolar [Source:Projected from Arabidopsis thaliana (AT1G17120) UniProtKB/Swiss-Prot;Acc:Q9SHH0] MAGTAPERSYWRWSKADFFPEPSFKDWSSYRSALSHTLPRLRNRLLHRSTYSDELHSLRLRSEHDMRRCLTWWDLSWLGFGSVVGSGIFVLTGQEARLHAGPSIPLSYAIAGLSALLSSFCYAEFAVHLPSAGGSFSYLRVELGDFAAFIAAANILLEAFVGAAGLARSWTSYFATLLNRSPDSLRIHVPSLADGYNLLDPLAVVVLIFCSTIAMLGTNATSRLNYLTSIIGFAVIAFIMSAGFAHSHSSYLSPFTPFGLSGVFQAAAVVYWSYTGFDMVATMAEETKTPSKDIPLGLLISMSSITILYCLMSLALVMMQRYTELDVNASYAVAFSSIGWNWAKYLVALGALKGMTTGLLVGALGQARYTTQIARAHMIPPYFALVHPRTGTPIYATLLVTSLSSIIALFSSLDVLSSVFSISTLLIFMLIAVALLVRRHYDRDSSTPKSKLFKLVLFLVVIVGSSIGLAVCWGVGVRGWIGYGIAGGLWFLGTLGMQVFVAQEKKVMVWGVPFVPWLPSVSIAANVFLMGSLGYQAFVRFGVCTALMLVYYVLFGVHATYDVAQELLDDEGGDKVVCDQL >DRNTG_34377.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21712754:21715034:-1 gene:DRNTG_34377 transcript:DRNTG_34377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDKAIRQLHIWTSLCSCMHVHVLTLITTITHS >DRNTG_34377.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21713115:21715034:-1 gene:DRNTG_34377 transcript:DRNTG_34377.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDKAIRQLHIWTSLCSCMHVHVLTLITTITHS >DRNTG_34377.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21713115:21715034:-1 gene:DRNTG_34377 transcript:DRNTG_34377.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQDKAIRQLHIWTSLCSCMHVHVLTLITTITHS >DRNTG_22600.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17602366:17604621:1 gene:DRNTG_22600 transcript:DRNTG_22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNFTIPLSHLNAEFLPLDGAPHSEVPLLRVPIPRIYRVPSSIRKDSDKEYEPKLVSFSPHHFGNPHLRAYEAHKCRVLVHCLTRQKKLLRDIEAEVWATLNVLIMSCYDKLGERWLNYLEDLVRVMVIDGCFLLELVRPVFAHPSDDPVYSLRIEGYNFIDILDDMMLLENQLPLPFLRLLHYHLMPTRWEMHVGWIFQSIYSDFNPTQSEALEVALHPLDYVTSSMKIIRNKTMLPSFISKGNWKSAIELSNAGVDFQISNNNQIEFNNGILRLPLMVVNYRTKRKLLNAIAYEQLRGGDTMLTASVIFMANLMKTPEDVNLLCSAGIILNNLGNHQEVVDLYSDTSYRLMDFGRHHEAILCEINEYCGTKWRRFRAHLKRTYFRSPWDMLSLVYAVIIFLFTVSQTFYTIAAYYSSINDSRPK >DRNTG_29099.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001469.1:9144:11721:-1 gene:DRNTG_29099 transcript:DRNTG_29099.1 gene_biotype:protein_coding transcript_biotype:protein_coding HYSQRHNLYFPSREHHQNSIRTSHAPQYFQKFCTSKT >DRNTG_14788.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29948582:29954452:1 gene:DRNTG_14788 transcript:DRNTG_14788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPCALSLSPLPWQAGDKFLIPSSTTPLPNPGLKSLLLFHASLIHPFHNPKLLEKLKNSSFIKLDGDSLSLSLSCSTEGLKNSPDALADPKFGSWILVSRLCGRDRSCGSGPRSSPASDDGAVEENTAPIVPQRRPIPSNLAPISDKGDPLSEIEQVPRPSTVSKLTLDTGKPVTLVSLDPTPPIMGTGSPPPVIRSFILSSSNLDLSLSENPHSMVVDRDLSALGGDHSDESDIGDEDDSGESDDAISNDDGPDDSMTLIKYQDKSRRETLLKPGLLLTELIVFVPNFLETGNELCYLLGAITKLDSLDDGLAWIENWAITQANDMNYVGNSTCRKLIITNFCKFDGPFLFAASVWNGSYQICRDRSSQVQIIFISNSNILDLLRSSFHIYFWQLLHWINNINRLLLAAGSPRICIIPRPWLNIVIKLASFGLNHHQQSLYHSGHDLPHWIMKLFDDAGFSF >DRNTG_11445.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19970610:19973949:1 gene:DRNTG_11445 transcript:DRNTG_11445.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVVHEEEPKRGLSGFWMWNIIGSSLKIGDVIRSWLGRRDALDRLPYCGCAIGAVDGCLYVLGGFSKTSTMKCVWQYDPCTNLWQEVNSMSTGRAFCKTGLLNNKLYVVGGVNKIRRGGLTPLRSAEVYDPRTGLWTEVANMPFSKAHSLPTAFLAEMLKPIATGLTSYQGKLCVPQSLYSWPFFVDVGGEVYDPETSSWTEMPAGMGEGWPARQAGTKLSVVVDGELYALEPSSTLDSGKIKMYDHQEDAWKVVIRKVPVCDFTDSESPYLLANFLGKLHVITKDGNNGTAILQANLQKPMQYAPSTSSSSSVDSSDSSTDAESDAENDVWKAIATKNFGAAELVGCQVLDV >DRNTG_11445.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19970610:19973805:1 gene:DRNTG_11445 transcript:DRNTG_11445.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVVHEEEPKRGLSGFWMWNIIGSSLKIGDVIRSWLGRRDALDRLPYCGCAIGAVDGCLYVLGGFSKTSTMKCVWQYDPCTNLWQEVNSMSTGRAFCKTGLLNNKLYVVGGVNKIRRGGLTPLRSAEVYDPRTGLWTEVANMPFSKAHSLPTAFLAEMLKPIATGLTSYQGKLCVPQSLYSWPFFVDVGGEVYDPETSSWTEMPAGMGEGWPARQAGTKLSVVVDGELYALEPSSTLDSGKIKMYDHQEDAWKVVIRKVPVCDFTDSESPYLLANFLGKLHVITKDGNNGTAILQANLQKPMQYAPSTSSSSSVDSSDSSTDAESDAENDVWKAIATKNFGAAELVGCQVLDV >DRNTG_11445.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19970610:19973893:1 gene:DRNTG_11445 transcript:DRNTG_11445.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVVHEEEPKRGLSGFWMWNIIGSSLKIGDVIRSWLGRRDALDRLPYCGCAIGAVDGCLYVLGGFSKTSTMKCVWQYDPCTNLWQEVNSMSTGRAFCKTGLLNNKLYVVGGVNKIRRGGLTPLRSAEVYDPRTGLWTEVANMPFSKAHSLPTAFLAEMLKPIATGLTSYQGKLCVPQSLYSWPFFVDVGGEVYDPETSSWTEMPAGMGEGWPARQAGTKLSVVVDGELYALEPSSTLDSGKIKMYDHQEDAWKVVIRKVPVCDFTDSESPYLLANFLGKLHVITKDGNNGTAILQANLQKPMQYAPSTSSSSSVDSSDSSTDAESDAENDVWKAIATKNFGAAELVGCQVLDV >DRNTG_11445.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19970610:19973805:1 gene:DRNTG_11445 transcript:DRNTG_11445.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVVHEEEPKRGLSGFWMWNIIGSSLKIGDVIRSWLGRRDALDRLPYCGCAIGAVDGCLYVLGGFSKTSTMKCVWQYDPCTNLWQEVNSMSTGRAFCKTGLLNNKLYVVGGVNKIRRGGLTPLRSAEVYDPRTGLWTEVANMPFSKAHSLPTAFLAEMLKPIATGLTSYQGKLCVPQSLYSWPFFVDVGGEVYDPETSSWTEMPAGMGEGWPARQAGTKLSVVVDGELYALEPSSTLDSGKIKMYDHQEDAWKVVIRKVPVCDFTDSESPYLLANFLGKLHVITKDGNNGTAILQANLQKPMQYAPSTSSSSSVDSSDSSTDAESDAENDVWKAIATKNFGAAELVGCQVLDV >DRNTG_11445.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19970610:19973893:1 gene:DRNTG_11445 transcript:DRNTG_11445.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVVHEEEPKRGLSGFWMWNIIGSSLKIGDVIRSWLGRRDALDRLPYCGCAIGAVDGCLYVLGGFSKTSTMKCVWQYDPCTNLWQEVNSMSTGRAFCKTGLLNNKLYVVGGVNKIRRGGLTPLRSAEVYDPRTGLWTEVANMPFSKAHSLPTAFLAEMLKPIATGLTSYQGKLCVPQSLYSWPFFVDVGGEVYDPETSSWTEMPAGMGEGWPARQAGTKLSVVVDGELYALEPSSTLDSGKIKMYDHQEDAWKVVIRKVPVCDFTDSESPYLLANFLGKLHVITKDGNNGTAILQANLQKPMQYAPSTSSSSSVDSSDSSTDAESDAENDVWKAIATKNFGAAELVGCQVLDV >DRNTG_15149.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18696026:18697863:-1 gene:DRNTG_15149 transcript:DRNTG_15149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLYHVFPTKTFFQASVSSIRFEGNRKGRIRRNAPSDNNGKGIDKTKSSSQEELIALFRRIQLSISKGGSPVSKKRTPSKPIDEQSAESILGALRRHPVRKQVKDKTSVQEENAVSIKYEDKDGDKLETNAALPGHGDEPELEAKESSLAPEIPKVSRPSSNFVKRSPIPKPSSKNNSEEVSEEQVIPVTAKQVLELQKIDEMKLPELKEIAKTRGIKGHSKLKKGELLKLLKELVQ >DRNTG_15149.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18696026:18697494:-1 gene:DRNTG_15149 transcript:DRNTG_15149.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELLYHVFPTKTFFQASVSSIRFEGNRKGRIRRNAPSDNNGKGIDKTKSSSQEELIALFRRIQLSISKGGSPVSKKRTPSKPIDEQSAESILGALRRHPVRKQVKDKTSVQEENAVSIKYEDKDGDKLETNAALPGHGDEPELEAKESSLAPEIPKVSRPSSNFVKRSPIPKPSSKNNSEEVSEEQVIPVTAKQVLELQKIDEMKLPELKEIAKTRGIKGHSKLKKGELLKLLKELVQ >DRNTG_15149.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18696026:18697863:-1 gene:DRNTG_15149 transcript:DRNTG_15149.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLYHVFPTKTFFQASVSSIRFEGNRKGRIRRNAPSDNNGKGIDKTKSSSQEELIALFRRIQLSISKGGSPVSKKRTPSKPIDEQSAESILGALRRHPVRKQVKDKTSVQEENAVSIKYEDKDGDKLETNAALPGHGDEPELEAKESSLAPEIPKVSRPSSNFVKRSPIPKPSSKNNSEEVSEEQVIPVTAKQVLELQKIDEMKLPELKEIAKTRGIKGHSKLKKGELLKLLKELVQ >DRNTG_25784.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3619597:3625666:1 gene:DRNTG_25784 transcript:DRNTG_25784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPPPSPPPPPLPSEKEKEYCSEYNLEINADHGHLVDSDDDDLINITTILQAGVTIGEVKPVTDIHQRKAEMARNSDAFIAMPGGYDTLEEFFEVGLLNIDGYYNSLLSFIDHAVEEGFINPSDHHIIVSAANAKELMEKLEVKKTANQNKENKESTPNHDQIHEVNSSENNDGQPLISSSPLKIPYVLTLIDLNLPAPTDDNVEHSNVSDAHP >DRNTG_34207.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6145230:6150132:-1 gene:DRNTG_34207 transcript:DRNTG_34207.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ascorbate transporter, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G00370) UniProtKB/Swiss-Prot;Acc:Q8GX78] MAAGGLISSRNFGSVLPSGRKYQLERITGHGSKTCSAYTGRRFTLARDFNNSSLSRVNLSQLKMRTLCQVHVTCVLNKTIRMQKVPFLGEVGYALSNSLMKNCNIKQRFSGRSKCFLSSDASFSSLNLEKLDKLGVSDGKSRQYEHRLAIRSRADYKSGEYDITGQPMDSLESVDVPNDAIVLEADAKSISPWWEQFPKRWLIVLLCFTAFLLCNMDRVNMSIAILPMSSEFNWSPATVGLIQSSFFWGYLLTQIVGGIWADRIGGKLVLGFGVVWWSVATVLTPLAARIGLPFLLIMRAFMGIGEGVAMPAMNNILSKWVPVSERSRSLAFVYSGMYLGSVTGLAFSPLLIHKYGWPSVFYAFGSLGSVWFALWQRKAHSSPKEDPELSKNERNLILGGNVSKDPVSSIPWRLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAVFANIGGWIADTLVG >DRNTG_34207.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6145230:6150132:-1 gene:DRNTG_34207 transcript:DRNTG_34207.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ascorbate transporter, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G00370) UniProtKB/Swiss-Prot;Acc:Q8GX78] MAAGGLISSRNFGSVLPSGRKYQLERITGHGSKTCSAYTGRRFTLARDFNNSSLSRVNLSQLKMRTLCQVHVTCVLNKTIRMQKVPFLGEVGYALSNSLMKNCNIKQRFSGRSKCFLSSDASFSSLNLEKLDKLGVSDGKSRQYEHRLAIRSRADYKSGEYDITGQPMDSLESVDVPNDAIVLEADAKSISPWWEQFPKRWLIVLLCFTAFLLCNMDRVNMSIAILPMSSEFNWSPATVGLIQSSFFWGYLLTQIVGGIWADRIGGKLVLGFGVVWWSVATVLTPLAARIGLPFLLIMRAFMGIGEGVAMPAMNNILSKWVPVSERSRSLAFVYSGMYLGSVTGLAFSPLLIHKYGWPSVFYAFGSLGSVWFALWQRKVSFCLPPFLLVYSSEKIYL >DRNTG_16256.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9586553:9587901:1 gene:DRNTG_16256 transcript:DRNTG_16256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFFFFFFFFFFSGAVAGRRTGTLSTRYYQRSCPNVESIVTSVVTNKQITNPTTAAGALRLFFHDCFVGGCDASVLITSNSFNAAERDAEINLSLPGDAFDAVVRAKTQLELTCPNTVSCSDILALATRDLVSMLGGPFYSVPLGRKDSLSSHVFSVEGNLPRPNMTISQILSIFSSKGFSTQETVALTGAHTVGFSHCKEYASRIYSFNGGAHDHYDPSINPRYAQALQKACANYLHDPTIATFNDVMTPGKFDNMYFQNLARGLGLLASDQALVSDSRTSPFVHLYAANQTAFFHDFAAAMQKLSVLGVKSLRDGEVRRRCDQFNTIST >DRNTG_29098.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001469.1:4756:6011:1 gene:DRNTG_29098 transcript:DRNTG_29098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFAGKLVERLSKVIEEKAIMVLGVKDELQRLRRRMERIARVLKDAEKRKIQDETVKGWVDELKDLMYDADDIIDLCMIQGTGLLQDVDHHSQLAESSTTTASTRGFGHYKR >DRNTG_17102.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17531597:17540002:-1 gene:DRNTG_17102 transcript:DRNTG_17102.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSETELSETFTSLLPIALAFCILQSKVCNLVTQLSYYKFACPASNSEALQDYGAHTLWVCGRLSAHWVQRARSKNCLDIVRNILIRVRHTRDLLFLVDMAIRSLENLLQYGEQNIRRIMPIVDGILCISNSMPRMLMTVDENLKPLSVPIQVGQAVADMGQADRPKTSTVF >DRNTG_27000.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11752420:11765666:-1 gene:DRNTG_27000 transcript:DRNTG_27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGKPHPLLCWKWPWDPFRKPQDPQNPNPCGTLESPWLFQSLHNLSSLAVNLFDQAFSLDPARHGSKKTAPLSREDQGEAEHRALASALASGKDATVIEFYSPKCLLCNSLLDHVLELEARNSDWVSFVLADAENERWLPELLHYDISYVPCFVLLDKNGRALAKTGVPTSREHVTASLSHLLQMKQP >DRNTG_14554.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:27:53708:58010:1 gene:DRNTG_14554 transcript:DRNTG_14554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGETNMLLMSPATAGKPHSTGVRQLDIETGTVVTEWKFEKDGTDITMRDITNDSKGAQLDPSESTFLGLDDNRLCRWDMRDRGGIVQNLANSMESPVLQWTQGHQFSRGTNFQCFASTGDGSIVVGSLDGKIRLYSKSSMRMAKTAFPGLGSPITHVDVTYDGRWILGTTDTYLILISTIFKDKDGKEKTGFSGRMGNRIAAPRLLKLTPLDAHLAGKNKIQKGQFSWVTENGKQERHLVATVGKFSIIWNFQQVKNSHHECYLNQEGLKSCYCYKIVPKEESIVDSRFMHEKFATSDSPEAPLVVVTPMKVSSFSISNRR >DRNTG_20456.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4605436:4619333:1 gene:DRNTG_20456 transcript:DRNTG_20456.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDIRVYVDDEEEFGGEVLNKRSGVVGAGYEDVGGDDEGENDDWESELQALC >DRNTG_25515.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001331.1:9464:13303:-1 gene:DRNTG_25515 transcript:DRNTG_25515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSTGRLYTFPKRARSDQKEEARPPGASVATLRSLSTADFDAGQALPLPSVLSFISLPPTPMPDRIIWMLTPNGEFRNASALNSNERPLVPWSDVCLGLELKHWITDRSNCDYFCSDSTPWLREAGTSLVSKEVDLTHCVSPDWTDSSRTTPVRHNRLFPSKWKELGDEEVTGTGNDRVSVGTDHWYWMYR >DRNTG_09762.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7955393:7960918:-1 gene:DRNTG_09762 transcript:DRNTG_09762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQARRPHRKHPKSIGDVREPNRVSSGVYALVTDKIPLRISRKCTGLESGDSTGVDDTRARAEFPHGTCYIIATVLCYSLDLNNFPIQYFHRVTPAPEIAEGEGNDSKASQPTPELQPTPMETAAPPMEEEPPQYACFHHLRPMINLRGSRVPWVTVVKHSSKYSSSYCSQPAKNNISRESTGAYGNSPRPCGNSTGHCGGSIGLCGCPIPALF >DRNTG_31703.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16554670:16584821:1 gene:DRNTG_31703 transcript:DRNTG_31703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALESSSSDHDPPAGAGAGGGSGRGRELVYSGWVYHLGVNSIGYEYCHLRFLVLRGDYVAMYKRDPHDHPGINPIRKGVISHNLMVEELGRRKVNDGDVYVLRLYNRLDETKKGEIACASAGEARKWIEAFDQAKQQAESDLLRGNSRHRLNTETELNLEGHRPRVRRYAHGLRKLIRIGKGPEMLLRKSSEVRRNMQRSTNYEGDVGDAIEAHEWRCIHTLHGNRVFEDVSNTKAGKGILLKTVGVIDADVDTIFDLVLSLDKHMRYEWDTLTGDLEVVDSVDGHYDVVYGTFDPKRKHWWHSKKDFVFSRQWFRGQDGAYTILQLPVVHKKQPPRSGYQRIKINPSIWEIRRLNSSLSPIEKSLVTQILEIQCSSWDKWKRHYNADFGRSIPNALLCQVAGLREFFRANPSLTFDAPSTSASPSSSDAANVHTGFEDSEVHDEFYDAIANDNSLEDEDSDDDIEPPKAGKVRLKNVSWAIASLALKRSPASASVENAEFEANVPPISINLRQFHGSLHLGKGETDSNCWSSPSGQGFMIRGKNYLKDSSKVVGGDPLLKLIAVDWFKAENSIEKVALHPNCLVQSEAGRKLPFILVINLEIPAKPNYSLVLYYGAERPIKKESLLGRFIDGADVFP >DRNTG_31703.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16567802:16584821:1 gene:DRNTG_31703 transcript:DRNTG_31703.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPMFFRDARFKLIPSIIEGYWMVKRAVGTKACLLGRAVTCRYLRQDNFLEIDVDIGSSSVARSIMGLVLGYITSIVVDLAILVEAKEEDELPEYILGTVRLNRVRLDAAVPFS >DRNTG_29626.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5993723:5994926:-1 gene:DRNTG_29626 transcript:DRNTG_29626.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNSNHKSSGDVEMPQNSNHKSNGDVEMPQNSNHKSNGDVEMAQDSNNKSNGDVEMIQNSNHEAPRVPEVIVVQRGEW >DRNTG_29626.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5987707:5994926:-1 gene:DRNTG_29626 transcript:DRNTG_29626.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLFIMLHREPSSQYIRELCYCRKSECLKIDCPCYSSGVPCKELCQCQGCLNCVSVMSDSVIDPELMGNVQPLAIQDKEMEAFWWFTNPQAISAPEIPQHFPGTQWGPSKRCSCKNSECLKFHCECFATSSRCSELCICQKCHNRIGDEESIIMTARKVVESRDPLAFTPKSILISYFSDYVWPRGSSSKNQTYDTAMYTSGCACQYPGCGDRSCECFKSLLGCSSDCKCEGCQNLCGIKPPAF >DRNTG_29626.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5987707:5994926:-1 gene:DRNTG_29626 transcript:DRNTG_29626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNSNHKSSGDVEMPQNSNHKSNGDVEMPQNSNHKSNGDVEMAQDSNNKSNGDVEMIQNSNHEAPRVPEVIVVQRGEWSEFERDGLLYCACEDSSLCFTEECPCYLTGSCCIKACLCKDCPNFLEDEDEQKEPSSQYIRELCYCRKSECLKIDCPCYSSGVPCKELCQCQGCLNCVSVMSDSVIDPELMGNVQPLAIQDKEMEAFWWFTNPQAISAPEIPQHFPGTQWGPSKRCSCKNSECLKFHCECFATSSRCSELCICQKCHNRIGDEESIIMTARKVVESRDPLAFTPKSILISYFSDYVWPRGSSSKNQTYDTAMYTSGCACQYPGCGDRSCECFKSLLGCSSDCKCEGCQNLCGIKPPAF >DRNTG_29626.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5987707:5992314:-1 gene:DRNTG_29626 transcript:DRNTG_29626.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSVIDPELMGNVQPLAIQDKEMEAFWWFTNPQAISAPEIPQHFPGTQWGPSKRCSCKNSECLKFHCECFATSSRCSELCICQKCHNRIGDEESIIMTARKVVESRDPLAFTPKSILISYFSDYVWPRGSSSKNQTYDTAMYTSGCACQYPGCGDRSCECFKSLLGCSSDCKCEGCQNLCGIKPPAF >DRNTG_29626.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5987707:5988346:-1 gene:DRNTG_29626 transcript:DRNTG_29626.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSGCACQYPGCGDRSCECFKSLLGCSSDCKCEGCQNLCGIKPPAF >DRNTG_01237.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9359600:9367679:1 gene:DRNTG_01237 transcript:DRNTG_01237.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEVKGTPFAAAKTGALHQQEWIVDEKRSAAASAMTAVIRPVEELSDPANATASAKGIQITTRAQTMHPLDPLSAAEISVAVATVRAAGATPEVRDSMRFVEAVLLEPEKSVVALADAYFFPPFQPSLLPRTKGGPIIPSKLPPRRARLVVYNKRSNETSIWIVELSEVHAATRGGHHRGKAIKSEVVPDVQPPMDAVEYAECEAVVKDYPPFIEAMKKRGIEDMDLVMVDAWCVGYHSDADAPSRRLAKPLIFCRTESDCPMENGYARPVEGIYVIVDIQNMVIVEFEDKKLVPLPPADPLRNYTPGETRGGVDRSDVKPLHILQPEGPSFRVNGHFVEWQKWNFRIGFTPRDGLVIYSVAYVDGSRGRRPVAHRLSFVEMVVPYGDPNEPHYLKNSFDAGEDGLGKNAHSLKKGSDCLGYLKFFDAHFTNYNGGVETIENCVCLHEEDNGILWKHQDWRTGLAEVRRSRRLSVSFICTVANYEYGFFWHFYQ >DRNTG_01237.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9369948:9377490:1 gene:DRNTG_01237 transcript:DRNTG_01237.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTLLQDGKIEAEVKLTGILSLGALQPGEIRKYGTTIAPVLYAPIHQQFFVARMDMAVDCKPNEAFNQVVEVNVKVEEPGQNNIHNNAFYAEEKILKSELQAMRDCDPSSARHWIVRNTRTVNRTGQLTGYKLVPGTNCLPLAGAEAKFLRRAAFLKHNLWVTPYNRDEMYPGGEFPNQNPRLNEGLATWVKKDRPLEETNVVLWYVFGVTRIPRLEDWPVMPVERIGFMLMMYRPIIPR >DRNTG_01237.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9359600:9377490:1 gene:DRNTG_01237 transcript:DRNTG_01237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEVKGTPFAAAKTGALHQQEWIVDEKRSAAASAMTAVIRPVEELSDPANATASAKGIQITTRAQTMHPLDPLSAAEISVAVATVRAAGATPEVRDSMRFVEAVLLEPEKSVVALADAYFFPPFQPSLLPRTKGGPIIPSKLPPRRARLVVYNKRSNETSIWIVELSEVHAATRGGHHRGKAIKSEVVPDVQPPMDAVEYAECEAVVKDYPPFIEAMKKRGIEDMDLVMVDAWCVGYHSDADAPSRRLAKPLIFCRTESDCPMENGYARPVEGIYVIVDIQNMVIVEFEDKKLVPLPPADPLRNYTPGETRGGVDRSDVKPLHILQPEGPSFRVNGHFVEWQKWNFRIGFTPRDGLVIYSVAYVDGSRGRRPVAHRLSFVEMVVPYGDPNEPHYLKNSFDAGEDGLGKNAHSLKKGSDCLGYLKFFDAHFTNYNGGVETIENCVCLHEEDNGILWKHQDWRTGLAEVRRSRRLSVSFICTVANYEYGFFWHFYQDGKIEAEVKLTGILSLGALQPGEIRKYGTTIAPVLYAPIHQQFFVARMDMAVDCKPNEAFNQVVEVNVKVEEPGQNNIHNNAFYAEEKILKSELQAMRDCDPSSARHWIVRNTRTVNRTGQLTGYKLVPGTNCLPLAGAEAKFLRRAAFLKHNLWVTPYNRDEMYPGGEFPNQNPRLNEGLATWVKKDRPLEETNVVLWYVFGVTRIPRLEDWPVMPVERIGFMLMMYRPIIPR >DRNTG_24052.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26541324:26542822:1 gene:DRNTG_24052 transcript:DRNTG_24052.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 2 [Source:Projected from Arabidopsis thaliana (AT4G35910) UniProtKB/Swiss-Prot;Acc:O65628] MVCHLDGLKTQKLLDRPCVGINALVSSFVTQLQDENPSRESTILRTAEKLKPFHFNKFSKNGYHDFLPSRLRSKFQNVKDDSSTKEVLCPICGSPLDKSDLQNLKKIQENYQESIDYFISQCCQSCHFQILPKNEVPLVQFYALLPQPFTQRVNINSLRDQIKDCLISDDDDLDDNS >DRNTG_24052.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26539466:26542822:1 gene:DRNTG_24052 transcript:DRNTG_24052.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 2 [Source:Projected from Arabidopsis thaliana (AT4G35910) UniProtKB/Swiss-Prot;Acc:O65628] MSSCGTTCQSHCSGSDGAQDFNESSIPIQNISIAAEPPTSNGLPAPCSKCGAEERFYSSMCAVCFRGHVFGKFKLAVTNNAMISPSDNLLVAFSGGHASRVALQFIHEMQRKAFTSWVASKSQALPVFGVGVAFVDERVASNQPSCEVDKAIEEIKLIVSDLAPVQKELHILPIESICSLGSNDGKSKLNGLLETITDTTGREDFLHYLRLLSLQKIALERGYNKLVLGSCTSAIARHIISATVKGRGYSLPADFQYIDVRWEIPVVLPLRDCLAQELSMVCHLDGLKTQKLLDRPCVGINALVSSFVTQLQDENPSRESTILRTAEKLKPFHFNKFSKNGYHDFLPSRLRSKFQNVKDDSSTKEVLCPICGSPLDKSDLQNLKKIQENYQESIDYFISQCCQSCHFQILPKNEVPLVQFYALLPQPFTQRVNINSLRDQIKDCLISDDDDLDDNS >DRNTG_24052.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26539466:26539957:1 gene:DRNTG_24052 transcript:DRNTG_24052.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 2 [Source:Projected from Arabidopsis thaliana (AT4G35910) UniProtKB/Swiss-Prot;Acc:O65628] MSSCGTTCQSHCSGSDGAQDFNESSIPIQNISIAAEPPTSNGLPAPCSKCGAEERFYSSMCAVCFRGHVFGKFKLAVTNNAMISPSDNLLVAFSGGHASR >DRNTG_07887.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18788016:18788523:1 gene:DRNTG_07887 transcript:DRNTG_07887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCWPTSDQGPMIPPVPTNKKKGKKTMNMRKQPDETIGFAKGKVSRKGKTITCSVCGALGHNRRFHGMQGKKENTTKQPVDDANTTANTIDQDSTNDPMDAIDPSVLKEHF >DRNTG_10117.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30457303:30460081:-1 gene:DRNTG_10117 transcript:DRNTG_10117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAMVSGSLDVCSGLKLAETSKMSKRCHLWGSLLGFNLAPLSFCTARLSSSASSLNVPKAASPTAVEDESPSEADKIPIPKVIIDQDSDPDMTIVEITFGDRLGALLDTMKSLKGLGLNVVKANVFLDSSGKHNKFAITKASTGRKVEDPELLEAIRLTIINNLLKYHPEASAQLAMGAAFGIDPPMQEVDVDIATHIYIYDDGPDRSLLVVETADHPGLLVDLVKIITDINIKVQSGEFDTEGLLAKAKFHVSYQDKALIKPLQQVLANSLRYFLRRPTTEEASF >DRNTG_10117.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30457303:30460081:-1 gene:DRNTG_10117 transcript:DRNTG_10117.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNILLVRWHGLYFRSTGRKVEDPELLEAIRLTIINNLLKYHPEASAQLAMGAAFGIDPPMQEVDVDIATHIYIYDDGPDRSLLVVETADHPGLLVDLVKIITDINIKVQSGEFDTEGLLAKAKFHVSYQDKALIKPLQQVLANSLRYFLRRPTTEEASF >DRNTG_10117.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30457303:30460081:-1 gene:DRNTG_10117 transcript:DRNTG_10117.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAMVSGSLDVCSGLKLAETSKMSKRCHLWGSLLGFNLAPLSFCTARLSSSASSLNVPKAASPTAVEDESPSEADKIPIPKVIIDQDSDPDMTIVEITFGDRLGALLDTMKSLKGLGLNVVKANVFLDSSGKHNKFAITKASTGRKVEDPELLEAIRLTIINNLLKYHPEASAQLAMGAAFGIDPPMQEVDVDIATHIYIYDDGPDRSLLVVETADHPGLLVDLVKIITDINIKVQSGEFDTEGLLAKAKFHVSYQDKALIKPLQQVLANSLRYFLRRPTTEEASF >DRNTG_14268.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:934050:940042:-1 gene:DRNTG_14268 transcript:DRNTG_14268.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47450) TAIR;Acc:AT3G47450] MAPNPLLFSSSHALCHFPIFPKTLTPRSVSPFSCRSSLPQTSSPHSDSSSIEPSGTGAAAPTRGDLFLERQQSRSTATVVLKQSKKNSKKKRAVASKLSSAITCCYGCGAPLQTEEMEAPGYVDPETYELKKKHRQLRTVLCGRCKLLSHGHMVTAVGGHGGYPGGKQFVTAEELREKLSHLRHEKVLIVKLVDIVDFNGSFLSRIRDLAGANPIILVATKFVFQG >DRNTG_14268.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:934050:940042:-1 gene:DRNTG_14268 transcript:DRNTG_14268.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47450) TAIR;Acc:AT3G47450] MMRKQNWGLGICHLYFWLFSFPSLIPLFIFSC >DRNTG_14268.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:934050:940042:-1 gene:DRNTG_14268 transcript:DRNTG_14268.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47450) TAIR;Acc:AT3G47450] MAPNPLLFSSSHALCHFPIFPKTLTPRSVSPFSCRSSLPQTSSPHSDSSSIEPSGTGAAAPTRGDLFLERQQSRSTATVVLKQSKKNSKKKRAVASKLSSAITCCYGCGAPLQTEEMEAPGYVDPETYELKKKHRQLRTVLCGRCKLLSHGHMVTAVGGHGGYPGGKQFVTAEELREKLSHLRHEKVLIVKLVDIVDFNGSFLSRIRDLAGANPIILVATKVDLLPKGTELNCIGDWVVDATIKKKLNVVSVHLTSSKSLVGIVGVIAEIQKEKKGRDVYILGSANVGKSAFINALLKMMGEKDPVAAAAQKYKPIQSAVPGTTLGPIQIEAFQGGGKLFDTPGVHLHHRQAAVVDSEDLTLLAPKNRLRAQSFPVLSENKRLNDTAALGLNGFSIFWGGLVRVDIIKVLPETRLTFYGPRQLPIHMVPTSEADQFYHKELGISLTPPTGNQRAEAWPGLQVVRQLQINFENRKRPACDIAISGLGWIAIEQFSSNLNVDPNANNEADLKELQLAVHVPKPVEVFVRPPMPVGKAGEEWYEYQELTEKEEELRPKWFF >DRNTG_11434.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1057695:1072060:-1 gene:DRNTG_11434 transcript:DRNTG_11434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALCTSRASTLLLSFFSPHRSKLSIARLSSSCRAMASSDVAGGEKITAPYGSWKSPITADVVSGADKRLGGMAVDEDGRLVWIETRPDDGGRAVLVKEPVNPEDKPIDIIPPDFAARTLAQEYGGGAFAVSKHMLVFSNYKDQRLYKKSIGDLLPVPFTPDYNGPAVCYADGVFNHHFNRFITIMEDRRTSSLNPTTSIVAIESNNGNIQEPKELVGGNDFYAFPRVDNTGKRMAWIEWSHPNMPWDRTELWVGYFSENGDLCKRICVAGDLELVESPSEPKWSPKGELFFVTDRDNGFWNIYKWVEHKNEIVPVYTLDAEFTRPLWVFGISSYDFIENNTEGYNIVTTYRQNGRSYIGILDINESSFSTLDIPFTDIYNIVVGRGCLYIEVASASHPLSIAKVSLDESCSKIKELSIVWCSSPEITKYKSYFSLPEIIEFPTEVPGEHAYAYFYPPANHSYQGGMDEKPPLLVKCHGGPTGETRGVLDLNIQYWTSRGWAFVDVNYGGSTGYGRKYRERLLGKWGIVDVNDCCSCAEILAARGKVDGKRLCITGRSAGGYTTLACLAFRDTFKAGASLFGVADLLSMKADTHKFESHYMDNLVEDEQAFFQRSPINFVEKFTCPVILFQGLEDKIVPPDQSRKIYKALKDKGLPVALVEYEGEQHGFRKAENIKFTIEQQMMFFARVVGHFQPADPIRPIKIDNLD >DRNTG_11434.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1057695:1072060:-1 gene:DRNTG_11434 transcript:DRNTG_11434.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALCTSRASTLLLSFFSPHRSKLSIARLSSSCRAMASSDVAGGEKITAPYGSWKSPITADVVSGADKRLGGMAVDEDGRLVWIETRPDDGGRAVLVKEPVNPEDKPIDIIPPDFAARTLAQEYGGGAFAVSKHMLVFSNYKDQRLYKKSIGDLLPVPFTPDYNGPAVCYADGVFNHHFNRFITIMEDRRTSSLNPTTSIVAIESNNGNIQEPKELVGGNDFYAFPRVDNTGKRMAWIEWSHPNMPWDRTELWVGYFSENGDLCKRICVAGDLELVESPSEPKWSPKGELFFVTDRDNGFWNIYKWVEHKNEIVPVYTLDAEFTRPLWVFGISSYDFIENNTEGYNIVTTYRQNGRSYIGILDINESSFSTLDIPFTDIYNIVVGRGCLYIEVASASHPLSIAKVSLDESCSKIKELSIVWCSSPEITKYKSYFSLPEIIEFPTEVPGEHAYAYFYPPANHSYQGGMDEKPPLLVKCHGGPTGETRGVLDLNIQYWTSRGWAFVDVNYGGSTGYGRKYRERLLGKWGIVDVNDCCSCAEILAARGKVDGKRLCITGRSAGGYTTLACLAFRDTFKAGASLFGVADLLSMKADTHKFESHYMDNLVEDEQAFFQRSPINFVEKFTCPVILFQGLEDKIVPPDQSRKIYKALKDKGLPVALVEYEGEQHGFRKAENIKFTIEQQMMFFARVVGHFQPADPIRPIKIDNLD >DRNTG_09311.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:928992:929318:1 gene:DRNTG_09311 transcript:DRNTG_09311.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNISEHVRSSPGHARAPAQAISPACRASSLTLGTCSSVNLTGKGRGGKSKYVIFAREYRLLPCVIDF >DRNTG_00543.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30245947:30248279:1 gene:DRNTG_00543 transcript:DRNTG_00543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSVPLVARHNNGSHEVSIAIRRCAMEHSSSSSFPSTPLAILVFTCLNKNAETRTRATWRRGSETSARPASLAAAPHRATASAAAPQSAIFPAIHMISAAVFSASTEAAPVLPSFSDHTLSPDLNTLRRDPSGLGATKDSRWRTAREKEMERAARLISAPPIKWRLSSSRRSARARMRSYLREETETREMTERTWERAREAGEGEVEGDWG >DRNTG_10701.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13695533:13696132:1 gene:DRNTG_10701 transcript:DRNTG_10701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSGMEFMEMESAHEEALPLLEFSELATPCNNCSNNGDEDFDGWVCEMEDITSGNKQLPLEKKKTKKKRETCKIGFMVYWKYISTAYRGALVPLILLAQVLFKILQISSNYWMARASPASQEVKPHVNGSTLLYVLVALSLGSSLCIIVQALLLVTVGFRTETLLFKNMLNCIFHAPVSFFDSTLTGYILNRVNRFHT >DRNTG_13035.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:733949:743434:1 gene:DRNTG_13035 transcript:DRNTG_13035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLCSPLPPIHFPSKSNEQPTFSSCSYFLLWCLARLGAKEQLKELQVGQFQQDDAAMQNVLVQHDSSHSWRLNIGLWGSPLVDLPPFVHASDPASWVQGMKIWEFLVDLMVDHSSLILADALSAKEPPLSLDGMALLDGSSGIVSPFVTGRDTSDYKINCASSAGHPLSSRN >DRNTG_25428.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24671466:24673170:1 gene:DRNTG_25428 transcript:DRNTG_25428.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDLLSTTSTLYCAEDADDLATWDSDDWIAPKSLAATAHEDTTPALIAAEQVFMPSLEYLHSFHPTARNDAVSWILKVHEFYRFRPVTASLAVNYLDRFLSSHSLPGQSGKGSGSGDWPMQLLSVACLSVAAKMEETHVPLLVDLQILDPRYVFEPRTVRRMELLLMAALHWRMRSVTPFDFLDPLSSLFSAAYRRPLLCRAAVLVLQTHRVVDFLGFRPSVIASSAIFSAAREFAVDDADLLNSLGDLFSKEMVEVCCQLMDEYLIDTCPSAQSRKPWLEPSAPPSPIGVLDAAACGSCDTNRSLPGAAPAEPPIKRRRLRDGHCTASIDTSDDGVGISPV >DRNTG_25428.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24671466:24673170:1 gene:DRNTG_25428 transcript:DRNTG_25428.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDLLSTTSTLYCAEDADDLATWDSDDWIAPKSLAATAHEDTTPALIAAEQVFMPSLEYLHSFHPTARNDAVSWILKVHEFYRFRPVTASLAVNYLDRFLSSHSLPDVQGQSGKGSGSGDWPMQLLSVACLSVAAKMEETHVPLLVDLQILDPRYVFEPRTVRRMELLLMAALHWRMRSVTPFDFLDPLSSLFSAAYRRPLLCRAAVLVLQTHRVVDFLGFRPSVIASSAIFSAAREFAVDDADLLNSLGDLFSKEMVEVCCQLMDEYLIDTCPSAQSRKPWLEPSAPPSPIGVLDAAACGSCDTNRSLPGAAPAEPPIKRRRLRDGHCTASIDTSDDGVGISPV >DRNTG_25428.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24671466:24673170:1 gene:DRNTG_25428 transcript:DRNTG_25428.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDLLSTTSTLYCAEDADDLATWDSDDWIAPKSLAATAHEDTTPALIAAEQVFMPSLEYLHSFHPTARNDAVSWILKVHEFYRFRPVTASLAVNYLDRFLSSHSLPVHSSFRLVSSFRHFVEQISDVHGGGVLGRMCRDKVGKGAAPVTGRCNCSPWHACLWLPRWRRRTSHSSSISKSSTPATCSSPAPSAAWSSSSWRRSTGACAPSLPSTSSIPSPPSSPQPTAAPSCAAPPSSSSRLIASSIFSVSGLP >DRNTG_14655.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5831090:5833626:1 gene:DRNTG_14655 transcript:DRNTG_14655.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKNCMNQTKSHKVELNLTSMDEQKTLS >DRNTG_34008.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31653653:31655564:-1 gene:DRNTG_34008 transcript:DRNTG_34008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSRASSPVNDHGCFLSDITQVFDMAINDNVDVISISIGSEGFPDLYIYDEMSIACFHAAAKGISVVASAGNNGPTKGTVVNYYPWVITVGASTMDREFPSYVSFNNQVIKGGSLSHNGLSNKAPVGTISLPKMKYNVKPAPMMAAFSSRGPSPISKEILKPDITAPGVNVLAAFTEGVGPTKNDTRRVAFNTMSGTSMSCTHVAGVVGLLKTLHASWSPAMIKSAIMTTGNLTVTRTLTNVGASSEYTVSVEEPKDVSVTVKPKVLKFSKYGEKKSYEVTFKTIKTNAHAVFGRLVWSDGKKAQGSKSSLGLFL >DRNTG_23575.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14907195:14971240:-1 gene:DRNTG_23575 transcript:DRNTG_23575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMVSASATANAGEEGGSTGASTSDGGASTSPCAFSSLSKYHRPEMEKTYVLEVAIVLQGSLCLHLLRLRLLRRLRLPCFVWLWWGMFNTYPVFISADHTHQSHGLQPQGRWYNRLSRPL >DRNTG_31890.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4558707:4559336:1 gene:DRNTG_31890 transcript:DRNTG_31890.2 gene_biotype:protein_coding transcript_biotype:protein_coding NLQIKEDSKPRNSHLLDPKLTAPPKQNFNSSQ >DRNTG_31890.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4558948:4559036:1 gene:DRNTG_31890 transcript:DRNTG_31890.3 gene_biotype:protein_coding transcript_biotype:protein_coding VSPSSINNTKLTSKRPHIGYISLDGRKPR >DRNTG_31890.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4557636:4559036:1 gene:DRNTG_31890 transcript:DRNTG_31890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTIAFNCQSSDSTVALGLRPSKARSVNLPACDKSNSSCRINNRLATSIAVNKHKEIKEDSKPRNSHLLDPKLTAPPKQNFNSSQ >DRNTG_17809.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:17924926:17934991:1 gene:DRNTG_17809 transcript:DRNTG_17809.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHEITGQNCSITPEKSLDQNYKDSTTCVKRKYVRKKILQNDEDFSSDMASEKIGQHNMNLTAKTPEKNEGLGQAKRKYVRKKKCSDGSEIPAEASGTGNLCISNDSKEPHITRSARRCLNFNLEGQTQTSCIVSVINQGKSQGQEPTCIKTNSESTVQFDPGFKVVVENTPAGAAYDLNCSLNKMLEEYIELPEKTTSNVANGIGEKSVESSEDVVKESEELSCTPDKDNVLQLELQDAEIQDGENIIPEVCLKENVIEMKVAKRGPANDIQYHCPLFPIIHKKRRTGQKDQKAISARTYPRFQLYTIANLAQLMTVEKSQDKGCILRFDQIEVTTKKRSKMPTRARNSYSLAALAETLSTATCLKKRSKRSAVRTLQEKNLHHIEISYIYDSHSCGKYQKSLCSNLMSQAMVPYVDPVEDIVEKLKYLDINREFKEQDALTLCAGGGIIVPISGPFELAPTLRPRPKVDLDSESERVWNLLMGKEGKDEPDILNKNKDKWWADERRVFHGRVDSFIARMHLVQGDRRFSQWKGSVVDSVVGVFLTQNVSDHLSSSAFMALAARFPFQSENKVSELNAESWCKSIIQQDKCVQPFDYMTQHGKMSIPEVSNQISIQIQEANHMEEKMANGEKSVRNNSEGEMVDLHPYEKDIEVGNNIPDEKTGTTEIIADGNSLAKDEDRYPMPSHNQVPSSSDPNSQPELMIGSRCCCLENFSFMELLHIQDTTEICRHCMHNNKSVPSTENCRQIYGQAQNETIPTLDEVDGPKWAWTPKHKPNIDPDHNCMGMPCTSSMLCDLGTLSECGKENKSHFSSDDDVFDGPKFMGTKEKLCGHPTEYSIEATVDMQKKRVADLRLESQHAVEVPHTIKEQQNEMHKTCANSCNNPMMQKSEVEKKADVYLTDESHSSEKVPLETSDNSSNVEKGVQSDSKDGNDSSQQVPLETENKASRGKKAKFVKNTNKTFDWDRLRKQVSSNKKERERASNTMDTLDWESVRCADVNEISQTIRGRGMNNVLAGRIQEFLNRLVGEHGSIDLEWLRDIEPDEAKNYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLELYPVLETIQKYLWPRLCTLDQRTLYELHYQMITFGKVFCTKSKPNCNSCPMRGECKHFASAFASARLALPAGEEKSLVSSEIPTASEIDQCLVLNSRLLPHLEGGQSLQQETTLNSCEPIVEEPLTPEPESSETLESEIEDAFYEDPDEIPTIKLNLKEFAQNLRDVMRANDLDLRDNELSKALVTISPDAASIPMPKLKNVSRLRTEHQVYELPDSHPLLEEVDQREHDDPSPYLLAIWAPGETAESTQPPEICCNSQDTGELCNKEVCFACNSIREARTQTVRATLLIPCRTAMRGSFPLNGTYFQVNEVFADHASSCNPINVPRGWIWNLPRRTVYFGTSVTTIFKGLRTEEIQQCFWKGFVCVRGFDRKSRAPRPLYARLHFPPSKVVRKGKKPVNEE >DRNTG_17809.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:17924926:17934991:1 gene:DRNTG_17809 transcript:DRNTG_17809.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHEITGQNCSITPEKSLDQNYKDSTTCVKRKYVRKKILQNDEDFSSDMASEKIGQHNMNLTAKTPEKNEGLGQAKRKYVRKKKCSDGSEIPAEASGTGNLCISNDSKEPHITRSARRCLNFNLEGQTQTSCIVSVINQGKSQGQEPTCIKTNSESTVQFDPGFKVVVENTPAGAAYDLNCSLNKMLEEYIELPEKTTSNVANGIGEKSVESSEDVVKESEELSCTPDKDNVLQLELQDAEIQDGENIIPEVCLKENVIEMKVAKRGPANDIQYHCPLFPIIHKKRRTGQKDQKAISARTYPRFQLYTIANLAQLMTVEKSQDKGCILRFDQIEVTTKKRSKMPTRARNSYSLAALAETLSTATCLKKRSKRSAVRTLQEKNLHHIEISYIYDSHSCGKYQKSLCSNLMSQAMVPYVDPVEDIVEKLKYLDINREFKEQDALTLCAGGGIIVPISGPFELAPTLRPRPKVDLDSESERVWNLLMGKEGKDEPDILNKNKDKWWADERRVFHGRVDSFIARMHLVQGDRRFSQWKGSVVDSVVGVFLTQNVSDHLSSSAFMALAARFPFQSENKVSELNAESWCKSIIQQDKCVQPFDYMTQHGKMSIPEVSNQISIQIQEANHMEEKMANGEKSVRNNSEGEMVDLHPYEKDIEVGNNIPDEKTGTTEIIADGNSLAKDEDRYPMPSHNQVPSSSDPNSQPELMIGSRCCCLENFSFMELLHIQDTTEICRHCMHNNKSVPSTENCRQIYGQAQNETIPTLDEVDGPKWAWTPKHKPNIDPDHNCMGMPCTSSMLCDLGTLSECGKENKSHFSSDDDVFDGPKFMGTKEKLCGHPTEYSIEATVDMQKKRVADLRLESQHAVEVPHTIKEQQNEMHKTCANSCNNPMMQKSEVEKKADVYLTDESHSSEKVPLETSDNSSNVEKGVQSDSKDGNDSSQQVPLETENKASRGKKAKFVKNTNKTFDWDRLRKQVSSNKKERERASNTMDTLDWESVRCADVNEISQTIRGRGMNNVLAGRIQEFLNRLVGEHGSIDLEWLRDIEPDEAKNYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLELYPVLETIQKYLWPRLCTLDQRTLYELHYQMITFGKVFCTKSKPNCNSCPMRGECKHFASAFASARLALPAGEEKSLVSSEIPTASEIDQCLVLNSRLLPHLEGGQSLQQETTLNSCEPIVEEPLTPEPESSETLESEIEDAFYEDPDEIPTIKLNLKEFAQNLRDVMRANDLDLRDNELSKALVTISPDAASIPMPKLKNVSRLRTEHQVYELPDSHPLLEEVDQREHDDPSPYLLAIWAPGETAESTQPPEICCNSQDTGELCNKEVCFACNSIREARTQTVRATLLIPCRTAMRGSFPLNGTYFQVNEVFADHASSCNPINVPRGWIWNLPRRTVYFGTSVTTIFKGLRTEEIQQCFWKGFVCVRGFDRKSRAPRPLYARLHFPPSKVVRKGKKPVNEE >DRNTG_17809.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:17924926:17934991:1 gene:DRNTG_17809 transcript:DRNTG_17809.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYSQPHTQKFKIDYSYELPDSHPLLEEVDQREHDDPSPYLLAIWAPGETAESTQPPEICCNSQDTGELCNKEVCFACNSIREARTQTVRATLLIPCRTAMRGSFPLNGTYFQVNEVFADHASSCNPINVPRGWIWNLPRRTVYFGTSVTTIFKGLRTEEIQQCFWKGFVCVRGFDRKSRAPRPLYARLHFPPSKVVRKGKKPVNEE >DRNTG_10897.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000476.1:22258:30906:1 gene:DRNTG_10897 transcript:DRNTG_10897.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCPDMWKNTTDEIVDEYMQKMFNPDPYEGLFDQEESNEEVMMLSSTEEVTFTQGILKKVLRKMKRARRRHRKWSKTVGDVKEGVRLPMWTTMRLAHARG >DRNTG_28998.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22371921:22375146:-1 gene:DRNTG_28998 transcript:DRNTG_28998.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGQESDTLLPARSIGDDNSSREKAFDAREKIAIPVSDEDPDGDSVPSFSWRKLWLFMGPGFLMSIAFLDPGNLEGDLQAGAVAGYSLLWLLLWATAMGLMIQLLSARLGVVTGRHLAELCREEYEGWSHVALWIMAELALIGADIQEVIGSAIAIKILSNGALPLWAGVIITASDCFIFLFLENYGVRKLEAVFVVLISTMSVTFTWMFCETKPSGKELLLGILVPKLSSKTIRQAVGVVGCVITPHNMFLHSALVQSRRIDTNKKNRVQEAINYYSIESTIALVIMFTINLFVTTVFAKGFNGSEQAHTIGLENAGQFLQNKYGGGLFPILYIWGVGLLAAGQSSTITGTYAGQFIMGGFLNLQLKKWVRALISRSIAILPAAIVALFFDTSEAALDILNEWLNVLQSLQIPFCLIPLLTLVSKEELMGEFKIGRATQMVAWTVATLLIVINGFILLEFFSSEVHGMFLGSIVCLVIAVYVAFVIYLIMNGGLLSTRVLATYRRLMPMRN >DRNTG_28998.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22371921:22374114:-1 gene:DRNTG_28998 transcript:DRNTG_28998.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFFFICSFIFLFLENYGVRKLEAVFVVLISTMSVTFTWMFCETKPSGKELLLGILVPKLSSKTIRQAVGVVGCVITPHNMFLHSALVQSRRIDTNKKNRVQEAINYYSIESTIALVIMFTINLFVTTVFAKGFNGSEQAHTIGLENAGQFLQNKYGGGLFPILYIWGVGLLAAGQSSTITGTYAGQFIMGGFLNLQLKKWVRALISRSIAILPAAIVALFFDTSEAALDILNEWLNVLQSLQIPFCLIPLLTLVSKEELMGEFKIGRATQMVAWTVATLLIVINGFILLEFFSSEVHGMFLGSIVCLVIAVYVAFVIYLIMNGGLLSTRVLATYRRLMPMRN >DRNTG_28998.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22371921:22373854:-1 gene:DRNTG_28998 transcript:DRNTG_28998.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHSALVQSRRIDTNKKNRVQEAINYYSIESTIALVIMFTINLFVTTVFAKGFNGSEQAHTIGLENAGQFLQNKYGGGLFPILYIWGVGLLAAGQSSTITGTYAGQFIMGGFLNLQLKKWVRALISRSIAILPAAIVALFFDTSEAALDILNEWLNVLQSLQIPFCLIPLLTLVSKEELMGEFKIGRATQMVAWTVATLLIVINGFILLEFFSSEVHGMFLGSIVCLVIAVYVAFVIYLIMNGGLLSTRVLATYRRLMPMRN >DRNTG_20690.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16207061:16207861:1 gene:DRNTG_20690 transcript:DRNTG_20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDERLIAHIKVHGEGCWRSLPKAAGLRRCGKSCRLRWINYLRPDLKRGNFTQEEDELIIKLHGHLGNKWSLIAAKLPGRTDNEIKNYWNTHIKRKLLSRGIDPATHRPVMESAEKKDEKVANGEVGQEASSWQCPDLNLELCISLPSQEPVKMEKTTLCFSCGSSECKCDTNFLGLSSGVLDYRNAHMK >DRNTG_11233.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21938557:21941369:-1 gene:DRNTG_11233 transcript:DRNTG_11233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYEVEVTISSARDLKNVNWRHGDLKPYVVVWVDPAAKSSTKADVDGDTDPVWDEKLIIPLGDRSIQDAVLSIDVVHAGTAEDVKPLIGSARLPLREVVDEGGFGGKVSRSLKLKRPSGRPHGRIEVKVAVKEPARYYDPYPAPYGAGVSRDYRDPYGYAPQPYSAPASIWIPLRCTSVRIPFCSASVRIPLWGSGAAPADGCI >DRNTG_20747.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001145.1:1:11283:-1 gene:DRNTG_20747 transcript:DRNTG_20747.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRAKQWLNSLLPGSLTTWKQVFEAFVARYFPPAKITILRIPTRGLGNISKKSFYHLLLS >DRNTG_15185.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5974219:5975391:1 gene:DRNTG_15185 transcript:DRNTG_15185.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKHVSHALINYLKAIRCSLLTHHQLNAPQNSHSCHLHLSTWLNHGDASNVLCENEVNNLEETPSKDVSTPARLMTSTPEIPVPQRYCSTSMLGSPPMKKRSARTKLFQTPTKHAKIEDEELEAPTPSANNDVISFLPEALLQSIREKEKKILMEKETGAAAVHRREKIIASLPDMFNLIHLLFQSGNRSTMTKQELIYKIIAGHRKIVDRSEIEEQLKLFQEIVPDWISEKITSSGDALVRYA >DRNTG_15185.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5970479:5975708:1 gene:DRNTG_15185 transcript:DRNTG_15185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRLWRRSLSEIGLARLPSQSSPVKLPEKYEKLVEFFNCMESSIRLLRLKGATSTFSNICSSVQHLSDRKFTCGHLAQLKYVFPEAISIKKVLVHDEVSCCMKPELQVSLQIDAVGKNEKQNGESGYSLLRKVFRERLVEFSKEHPKEDDIPEEELPHPFNKTNPTLPPNPASASMNLVHSTLPSNTINQQQLTAPSHMPQSFQRRFSRKTPIPDAEKTCLACTDKLPEGDPLLSVNPSPIKCPSKLPFLSSTFEYLAKSWRCQQCALRK >DRNTG_15185.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5970479:5973689:1 gene:DRNTG_15185 transcript:DRNTG_15185.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILRLWRRSLSEIGLARLPSQSSPVKLPEKYEKLVEFFNCMESSIRLLRLKGATSTFSNICSSVQHLSDRKFTCGHLAQLKYVFPEAISIKKVLVHDEVSCCMKPELQVSLQIDAVGKNEKQNGESGYSLLRKVFRERLVEFSKEHPKVDNIALLKWFVG >DRNTG_00432.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21902384:21903871:-1 gene:DRNTG_00432 transcript:DRNTG_00432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLILNYLLLLFFFHSSIAQPSPGFFPSSKFKQLTFSQAYNNLWGPQHQSLSQDQSSLTIWLDKSSGSGFKSLQPYRNGYFSASIKVQSGYTAGVNNAFYLSNNQAYPGNHDEVDIELLGTTPGKPYTLQTNVYVQGSGDRRIIGREMKFHLWFDPTTDFHNYAILWNPDEIIFFVDDIPIRRYARKTEATFPQRPMWVYGSIWDASPWATEDGKYKVDYQYQPFIAKFSKFMIGGCSAYAPSSCRPVSASPSGTGLSTQQYMAMKWAQSNHMVYDYCRDSGRDHSLTPEC >DRNTG_35024.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7984566:7999078:-1 gene:DRNTG_35024 transcript:DRNTG_35024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFGGEQRQSHSWVPTYAMLARLSSNGSVADHCSSYCSQAANKLIPADSHMHMEISQAHVDARFQPILVVTSAGFENLFRIFCPSFHHLWRDVSRAGNPPSPWGTCPDGAGIPQNNPPKGLERGKTPPPTRRARRGGDGDCIPLPAPCKNTRRDSLTSP >DRNTG_07051.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3793486:3794585:-1 gene:DRNTG_07051 transcript:DRNTG_07051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEPKEMICQAVQQMSVDLVVVGSRGLGKFKRYIYKKINFFTLILYINFSFWFFLKKKFV >DRNTG_07812.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1323131:1330519:-1 gene:DRNTG_07812 transcript:DRNTG_07812.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DRP1C [Source:Projected from Arabidopsis thaliana (AT1G14830) UniProtKB/TrEMBL;Acc:A0A178W4V6] MATMESLIGLVNRIQRACTVLGDHGGEGSSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKLDRGQAEYAEFLHAPRKKFADFASVRREISDETDRITGKSKQISNVPIHLSIYSPHVVNLTLIDLPGMTKVAVEGQPDSIVEDIDNMVRSYVEKPNCIILAISPANQDIATSDAIKLAREVDPSGERTFGVLTKLDLMDKGTNALDVLEGRAYRLQHPWVGIVNRSQADINKNVDMIDARRKEQEYFATSPDYGHLAHKMGSEYLAKLLSQHLESVIRSRIPSIIALINKTISELEAELDRLGRPIGVDAGAQLYTILEMCRAFDRVFKEHLDGGRPGGDRIYGVFDNQLPAALKKLPFDKHLSLQNVRRVVSEADGYQPHLIAPEQGYRRLIDGSLGFFKGPAEASVDAVHFVLKELVRKSITETEELKRFPTLQADIAAAANEALERFRDDSRKTVLRLVEMESSYLTVEFFRKLPLEPEKGTNNPTPTTDRYADGHLRRIGSNVSAYVGMVCETLRLTIPKAIVYCQVREAKRSLLNYFYSQVGQREKKQLGAMLDEDPTLMDKRNGIAKRLELYKSARDEIDSVAWK >DRNTG_34806.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1406344:1407571:1 gene:DRNTG_34806 transcript:DRNTG_34806.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFIWSLFELSAQSCFMKNHGMIAFRLFSGLFVQFWCSYSTLPLNVIITQMGSKFKKSLLAENIRESLHSWCRRVKEKSRRGDPADRSLARLETARSTCSLGSTIYETDETNTVASGQLSRSLSVASLDDLAVVDCINEPSVKPIDDQKV >DRNTG_34806.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1407199:1407571:1 gene:DRNTG_34806 transcript:DRNTG_34806.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKFKKSLLAENIRESLHSWCRRVKEKSRRGDPADRSLARLETARSTCSLGSTIYETDETNTVASGQLSRSLSVASLDDLAVVDCINEPSVKPIDDQKV >DRNTG_34806.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1406788:1407571:1 gene:DRNTG_34806 transcript:DRNTG_34806.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHGMIAFRLFSGLFVQFWCSYSTLPLNVIITQMGSKFKKSLLAENIRESLHSWCRRVKEKSRRGDPADRSLARLETARSTCSLGSTIYETDETNTVASGQLSRSLSVASLDDLAVVDCINEPSVKPIDDQKV >DRNTG_34806.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1405830:1407571:1 gene:DRNTG_34806 transcript:DRNTG_34806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGTKLQHVVAQLALEVVEPTGPFVGTQLKPRDGLFWFRKPEILLWLIQFISFQNAFEMATFIWSLFELSAQSCFMKNHGMIAFRLFSGLFVQFWCSYSTLPLNVIITQMGSKFKKSLLAENIRESLHSWCRRVKEKSRRGDPADRSLARLETARSTCSLGSTIYETDETNTVASGQLSRSLSVASLDDLAVVDCINEPSVKPIDDQKV >DRNTG_28121.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18798156:18807557:-1 gene:DRNTG_28121 transcript:DRNTG_28121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSCITSCSSPHFSSFGLFDFSAAGFVIRLQTDEMPTTCEGLLLRDTGGKGKAAITEAAPVETTSETASEMEKLKTRVEKKQVNGSNKFVSWGYPTENPPHFLSYRRNGCSHDSPCKGIFIHKI >DRNTG_14588.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000708.1:56946:59746:-1 gene:DRNTG_14588 transcript:DRNTG_14588.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLSTLFNLDVLTLMETSLSPRAPRGRGGQAKHLSRLIGCYSSPRGHVGKPRIRVGAFWSRLGHHASAWCQPTRARGLAARPNGQPCPRGCVGRPRALVGA >DRNTG_30820.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19724979:19737167:-1 gene:DRNTG_30820 transcript:DRNTG_30820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVQTGTVMAETWNMNGSLNGKFQVRHNSSTSEVDDFCKALGGKRPIHSILVANNGMAAVKFMRSIRTWAYETFGTEKAILLVAMATPEDLRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEMAEITHVSAVWPGWGHASENPELPDALNAKGIIFLGPPATSMAALGDKIGSSLIAQAAGVPTLPWSGSHVQIPPESCLDSIPEEIYQQACVYTTEEAVASCQVVGFPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDEYGNVAALHSRDCSVQRRHQKIIEEGPITIAPPETVKQLEQAARRLAKCVGYVGAATVEYLFSMETGEYYFLELNPRLQVEHPVTEWIAEVSLPAAQVSVGMGIPLWQIPEIRRFYGMEYGGGYDAWKRTSLGATPFDFDKAESVRPKGHCVAVRVTSEDPDDGFKPTSGKVQELIFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRALAIANMVLGLKEIQIRGEIHTNVDYTIDLLHAAEYRDNKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYKATTSSAAMVSDYVGYLEKGQIPPKHISLVNSIVSLNIEGSKYTIEMVRGGPGSYKLRMNGSEIEAEIHTLRDGGLLMQLDGNSHVIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLVAETPCKLLRYLVSDGTHLEADTPYAEVEVMKMCMPLLLPASGVIHFVLSEGQPMQAGDLIARLDLDDISAVRRAEPFHEGFPKLGPPTAVSGKVHQRCAAALNAARMIIAGYEHNINEVVQDLLNCLDSPELPFLQWQESMAVLANRLPKDLRFELDAKFKQYETIANSNKNVEFPAKLLRGIIEAHLSSCSEKDKATHERLVEPLMSLVKSHEGGRESHARVIVRSLFEEYLSVEELFSDNIQADVIERLRLQYKKDLLKVVDIVLSHQGVRSKNKLVLRLMDALVYPNPAPYRDQLIRFATLNHTTYSELALKASQLLEQTKLSELRATIARSLSELEMFTEEGERLSTPRRKIAINERMEDLVTAPLAVEDALVALFDHTDHTLQRRVVETYIRRVYQPRLVKESVRMQWNRAGLIASWEFSGEHDYPVADKYSEKRSWGAMVIIKSLQFLPSAIDAVLKETKHSLNSDSPDEITSNGQLEQASTGNNMLHIALVGINNQMSSLQDSGDEDQAQERINKLFKILKEDTITATLHDVGFKVISCIIQRDEGRAPIRHSFLWSAEENYYKEVPLLRHLEPSLSISLELVKLKDYKNIQYTPSRDRQWHLYTVADSKAPIQRMFLRSLVRQSNLSFGSSLGQALNTEIIQAQPSLSFTSISILSSIMAALEELELHSHSAAIRSDHSHMYLCISREQQLSDLVPYSRTVDITPGEEEKIVCMVLEDLAFKVHELVGVRMHRLAVCEWEVKLWLDSVGPASGAWRIVVTNATGHTCTVHIYREVDDEKTNEVVYHTVSSVPGPLHGVPLTAGYQPLGVIDRKRLMARKNNTTYCYDFQLAFEKALRQSWATYVSRDINARDGKGLIKIKELVFADEQGSWGTPLVPVERSPGQNNVGMIAWCIEMHTPEFPDGRQILVVANDVTFRAGSFGPREDAFFYAVSNLACEKKLPLIYLAANSGARIGVADEVRACFRIGWSDESNPERGFQYVYLTPEDYSRIGLSVIAHELKLENGEVRWVIDTIIGKEDGLGVENLTGSGAIAGCYARAYKETFTLTYVTGRTVGIGAYLARLGMRCIQRLDQPIILTGYNALNKLLGREVYSSQMQLGGPKIMATNGVVHLTVSDDLEGVSSILKWLGFVPACVGGRLPILKSLDPPERLVSYFPENSCDPRAAISGVLDSNGNWLGGIFDKDTFVETLEGWAKTVVTGRAKLGGIPVGIVAVETQTVMQVIPADPGQLDSHERIVPQAGQVWFPDSATKTSQALLDFNREELPLFILANWRGFSGGQRDLFEGILQAGSMIVENLRTYQQPVFIYIPKNGELRGGAWVVVDSKINPDHVEMYAERTAKGNVLEPEAMIEVKFKLKELLDCMGRLDHVIIGLKAMLREARANNAQGDVESIQKSIKAREKQLLPLYTQIATRFAELHDTSLRMASKQVIKQVVDWKDSRSFFYKRLNRRVAEASLVKTVREAAGEQLSLSSAMSLIKKWFLDSEPVDNADAKWQDDEAFFAWRGDTTNYENHLKELRTQKVLQQLLSLGESTSDLKALPKGLAALIGKIDPSHRAELIEELRQVLG >DRNTG_14437.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000682.1:1964:3450:-1 gene:DRNTG_14437 transcript:DRNTG_14437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAQLLCPEKTQGCVNAPVNDLVTVTHRWGISTRRSSPPSKEDVGACECPCKWTEKPQERVASPVGRAYGRVEFLHTRVDVFRESQKLSREHNEECECSCGAPLKSHKGVDMASRSKKQDEKYPREDSLEPEHLEFTIPEHQAHFERLSKLKFGQTHFPDLLAVGSCCRLLLIRVLAIRMLTLEVSASLEFSHSYSNFDSVDAIQFKVFRQCHSMSVTQFSIRMELYDDAFTDIKECSGEQNWVPESVF >DRNTG_28874.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001453.1:32075:37025:1 gene:DRNTG_28874 transcript:DRNTG_28874.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFSLLGAACSSREGGGGHGHGQGHGHGFMYAIPSSTSTATVAPGLMQPPLQPPNPNPLFKDEALSHPQTIIHGDPIKARIISHPQYSALLSAYLDCQKVGAPPEVAARITVAARELEERQRATTAATCRRDEPLSDPELDQFMEAYCDMLVKYREELTRPIQEAMDFLKRIESQLNSISNGAATRFLSNDDKYDGVGSSEEEQDASGGEAEHPEIDPRAEEKELKHHLLRKYSGYLSSLRQELSKKKKKGKLPKEARQKLLNWWELHYKWPYPSESEKVALADSTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDGYHAQNAAAFYMDGPFMADHGLYRLGP >DRNTG_20978.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11408088:11411128:-1 gene:DRNTG_20978 transcript:DRNTG_20978.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEEKKKKKKKKRGRKDKWGQPLPEVVAGEEEDQEVELDDGGDGVDEPQDSSYEPNKVVISGMPYSATEDQIRSLFKDIGPVQQLQLSKFPDSGGFRGLAFVSFQTQEIAISSLKLDGSKMGNRFIKVERCRLDPRRKRKSEFLSEPKKVDGCFSAYIGNLSYNVTEDDIRECFVASNIDSVRFAINKTTGTFRGFCHVDFADDESLEKAMKKNQVELHGRPMKIAYAVSNRH >DRNTG_03045.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5562157:5562969:-1 gene:DRNTG_03045 transcript:DRNTG_03045.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLMNEDLLQDLVLYKKSDEKAISTAARSLIMLFREICPSLLVKKDTGWPTNPKAKQKAFGEVNIETSVPGLELLQNDGNLMPESSDDELNSYDGEDGLPQSNLDFGYDNEAFYESKEDSCRSEDDEEDQAA >DRNTG_23878.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:47435:55306:1 gene:DRNTG_23878 transcript:DRNTG_23878.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAKIEAGLDPKCRYLQKFRLYETGSNFYMVGRDKSRTFWRVLKIDRLELSEPNIQEDPTTYSESECQELLKRIHEGNKSNGGLKFVTNCYGIVGFIKFLGPYYMLLITRRRQIGTICGHIVYAITKSEMIALPNHSVQSSTDIARHENRYKKLLSTVDLTKDFFFSYSYHVMRSLQKNMCDNESGQVLYETMFVWNEFLTRGIHNHLENTHWTVALVYGFFKQAKLSISGKDFWLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDTHDGIPTHITSVVQNRGSIPLFWSQETSRLNIKPDIILQKDRNYKATRLHFENLVKRYGNPIIILNLIKTHEKKPRESILRSEFAKAIDAINKDLSDENRLRFLHWDLHRHSRSKSASVLSLLGKVAAYALNLTGFFYCQMTPAVQFDGPLQSTLILNDNAGESCNELRKNTSSNADISTPWEDHLKNNDSSVCEMPKDRVENNEMALPTPPKFQKGVLRTNCIDCLDRTNVAQFAYGLAALGHQLHALGFTDVPKIDLDSPLADDLMNVYEMMGDTLAFQYGGSAAHNKIFSERRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQDAINLFLGYFQPQQGKRALWELDSDQNCNVGSRAHGFVNQYARAFIKRSLSDGNILCESNTPVSARNTGQKKYPTSTLLDRRTYQTGRRGGGYF >DRNTG_15079.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4007071:4007540:1 gene:DRNTG_15079 transcript:DRNTG_15079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQEIYGAEHNGRVRGLGLGPTPSRYFSVISKFTSTSASTTDNNHKAELENVKLELAEIKDKYEKLSSDLADMKELFGGFMDERSLNDRMSKAPAEEVEDVASVD >DRNTG_25505.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1109645:1111583:1 gene:DRNTG_25505 transcript:DRNTG_25505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAMMAKGLPTTSGAASSPAISSSRKPETLFASIGLRSLPMRGNHASLRVGGKRIGGFCAPTALRVSASIATTSEKASAVSEIVLQPIKEISGTMKLPGSKSLSNRILLLAALSEGTTIVENLLNSDDIFYMLAALRTLGLSVEEDSASKKATVVGCGSQFPVGKDTKEEVQLFLGNAGTAMRPLTAAVVAAGGNASYILDGVPRMRERPIGDLVDGLKQLGADVDCFLGTNCPPVRIIGKGGLPGGKVSYLFAIHVQLGI >DRNTG_20341.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23350341:23350860:-1 gene:DRNTG_20341 transcript:DRNTG_20341.1 gene_biotype:protein_coding transcript_biotype:protein_coding NKCSSENNLDHRNQDVEQSNKVSFHGPKHAFAK >DRNTG_10849.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25073027:25075598:1 gene:DRNTG_10849 transcript:DRNTG_10849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGKPSILIEGNLELEYATDEIVDEYMQMMFNPNPYEGLFDQEEDNEEVMMLGLTEEVSSTPGILKKKFSTKRAEFSKDPEKTHGRVELTHVRGFVLRAHPEKAQGHGFAL >DRNTG_01080.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6450463:6454320:-1 gene:DRNTG_01080 transcript:DRNTG_01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLLLDGPWSVNGIILQQSPWKPFFEPTFAKLSIAAIWLQLHNLPVEFWDGETLETIANQFGTLLKVDDFTTSFSRSKYARICVEIDLSKPLSRGFWISDDLHRVFVVVQYERLPMFYYSCRMIGHGSNSCLQSMKSGAAKTNLPQPTWRVGSGSSPVSND >DRNTG_01245.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15676108:15679930:-1 gene:DRNTG_01245 transcript:DRNTG_01245.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYSNLLDLVSGEPPSFAGIGRRIPRVMTVSGIVPDLDDSDSDSSSSTARERTIIVANQLPIRAHRLAGTGAAAWSFSWDENSLLLQLKDAIAPHSDMEFIYVGCLRDEIPLSDQDEVAQTLLETFKCVPAFIPSDLRTRFYHGFCKQYLWPLFHYMLPLSPDLGGRFDRFLWQAYVSVNKLFADKLLEVINPDDDFVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYRTLPVREELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYESKRGYIGLEYYGRTVSIKILPVGIHMGQLKSVLSLPETEAKVKELVEQYCDRGRVMLLGVDDMDIFKGISLKLLAMEQLLVQHPEWRGKVVLVQIANPARGKGKDVEEVQAESFAMVERINEAFGQEDYTPVVLINKPLQFYERVAHYVVAECCLVTAVRDGMNLIPYEYVISRQGNENLDLVLGLRSNSAPKKSMLVLSEFIGCSPSLSGAIRVNPWNVDAVADAMVSALELAEPEKQLRHEKHYRYVSTHDVGYWANSFLQDLERTCRDHIRRRCWGIGFGLRFRVVALDPNFRKLSMEHIVSAYRRTTTRAILLDYDGTLMPQASIDKSPSAKSIEILNSLCYDKNNMVFLVSARSRKTLGEWFSPCENLGIAAEHGYFLRLKRDAEWETCAPTMDSSWKQIAEPVMKLYTETTDGSTIEEKETSLVWCYEDADPDFGSCQAKELLNHLDSVLANEPVSVKSGHNNVEVKPQGVSKGLIAQRLLSNMRQKGLLADFVLCIGDDRSDEDMFEVISTAMACSSLSPEAEVFACTVGKKPSKAKYYLDDTAQIVRLLQGLASVSEQTRGNLPSV >DRNTG_01245.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15676520:15679824:-1 gene:DRNTG_01245 transcript:DRNTG_01245.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYSNLLDLVSGEPPSFAGIGRRIPRVMTVSGIVPDLDDSDSDSSSSTARERTIIVANQLPIRAHRLAGTGAAAWSFSWDENSLLLQLKDAIAPHSDMEFIYVGCLRDEIPLSDQDEVAQTLLETFKCVPAFIPSDLRTRFYHGFCKQYLWPLFHYMLPLSPDLGGRFDRFLWQAYVSVNKLFADKLLEVINPDDDFVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYRTLPVREELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYESKRGYIGLEYYGRTVSIKILPVGIHMGQLKSVLSLPETEAKVKELVEQYCDRGRVMLLGVDDMDIFKGISLKLLAMEQLLVQHPEWRGKVVLVQIANPARGKGKDVEEVQAESFAMVERINEAFGQEDYTPVVLINKPLQFYERVAHYVVAECCLVTAVRDGMNLIPYEYVISRQGNENLDLVLGLRSNSAPKKSMLVLSEFIGCSPSLSGAIRVNPWNVDAVADAMVSALELAEPEKQLRHEKHYRYVSTHDVGYWANSFLQDLERTCRDHIRRRCWGIGFGLRFRVVALDPNFRKLSMEHIVSAYRRTTTRAILLDYDGTLMPQASIDKSPSAKSIEILNSLCYDKNNMVFLVSARSRKTLGEWFSPCENLGIAAEHGYFLRLKRDAEWETCAPTMDSSWKQIAEPVMKLYTETTDGSTIEEKETSLVWCYEDADPDFGSCQAKELLNHLDSVLANEPVSVKSGHNNVEVKPQGVSKGLIAQRLLSNMRQKGLLADFVLCIGDDRSDEDMFEVISTAMACSSLSPEAEVFACTVGKKPSKAKYYLDDTAQIVRLLQGLASVSEQTRGNLPSV >DRNTG_01245.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15676108:15679824:-1 gene:DRNTG_01245 transcript:DRNTG_01245.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYSNLLDLVSGEPPSFAGIGRRIPRVMTVSGIVPDLDDSDSDSSSSTARERTIIVANQLPIRAHRLAGTGAAAWSFSWDENSLLLQLKDAIAPHSDMEFIYVGCLRDEIPLSDQDEVAQTLLETFKCVPAFIPSDLRTRFYHGFCKQYLWPLFHYMLPLSPDLGGRFDRFLWQAYVSVNKLFADKLLEVINPDDDFVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYRTLPVREELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYESKRGYIGLEYYGRTVSIKILPVGIHMGQLKSVLSLPETEAKVKELVEQYCDRGRVMLLGVDDMDIFKGISLKLLAMEQLLVQHPEWRGKVVLVQIANPARGKGKDVEEVQAESFAMVERINEAFGQEDYTPVVLINKPLQFYERVAHYVVAECCLVTAVRDGMNLIPYEYVISRQGNENLDLVLGLRSNSAPKKSMLVLSEFIGCSPSLSGAIRVNPWNVDAVADAMVSALELAEPEKQLRHEKHYRYVSTHDVGYWANSFLQDLERTCRDHIRRRCWGIGFGLRFRVVALDPNFRKLSMEHIVSAYRRTTTRAILLDYDGTLMPQASIDKSPSAKSIEILNSLCYDKNNMVFLVSARSRKTLGEWFSPCENLGIAAEHGYFLRLKRDAEWETCAPTMDSSWKQIAEPVMKLYTETTDGSTIEEKETSLVWCYEDADPDFGSCQAKELLNHLDSVLANEPVSVKSGHNNVEVKPQGVSKGLIAQRLLSNMRQKGLLADFVLCIGDDRSDEDMFEVISTAMACSSLSPEAEVFACTVGKKPSKAKYYLDDTAQIVRLLQGLASVSEQTRGNLPSV >DRNTG_01245.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15676602:15679824:-1 gene:DRNTG_01245 transcript:DRNTG_01245.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYSNLLDLVSGEPPSFAGIGRRIPRVMTVSGIVPDLDDSDSDSSSSTARERTIIVANQLPIRAHRLAGTGAAAWSFSWDENSLLLQLKDAIAPHSDMEFIYVGCLRDEIPLSDQDEVAQTLLETFKCVPAFIPSDLRTRFYHGFCKQYLWPLFHYMLPLSPDLGGRFDRFLWQAYVSVNKLFADKLLEVINPDDDFVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYRTLPVREELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYESKRGYIGLEYYGRTVSIKILPVGIHMGQLKSVLSLPETEAKVKELVEQYCDRGRVMLLGVDDMDIFKGISLKLLAMEQLLVQHPEWRGKVVLVQIANPARGKGKDVEEVQAESFAMVERINEAFGQEDYTPVVLINKPLQFYERVAHYVVAECCLVTAVRDGMNLIPYEYVISRQGNENLDLVLGLRSNSAPKKSMLVLSEFIGCSPSLSGAIRVNPWNVDAVADAMVSALELAEPEKQLRHEKHYRYVSTHDVGYWANSFLQDLERTCRDHIRRRCWGIGFGLRFRVVALDPNFRKLSMEHIVSAYRRTTTRAILLDYDGTLMPQASIDKSPSAKSIEILNSLCYDKNNMVFLVSARSRKTLGEWFSPCENLGIAAEHGYFLRLKRDAEWETCAPTMDSSWKQIAEPVMKLYTETTDGSTIEEKETSLVWCYEDADPDFGSCQAKELLNHLDSVLANEPVSVKSGHNNVEVKPQGVSKGLIAQRLLSNMRQKGLLADFVLCIGDDRSDEDMFEVISTAMACSSLSPEAEVFACTVGKKPSKAKYYLDDTAQIVRLLQGLASVSEQTRGNLPSV >DRNTG_01245.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15676602:15679930:-1 gene:DRNTG_01245 transcript:DRNTG_01245.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYSNLLDLVSGEPPSFAGIGRRIPRVMTVSGIVPDLDDSDSDSSSSTARERTIIVANQLPIRAHRLAGTGAAAWSFSWDENSLLLQLKDAIAPHSDMEFIYVGCLRDEIPLSDQDEVAQTLLETFKCVPAFIPSDLRTRFYHGFCKQYLWPLFHYMLPLSPDLGGRFDRFLWQAYVSVNKLFADKLLEVINPDDDFVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYRTLPVREELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYESKRGYIGLEYYGRTVSIKILPVGIHMGQLKSVLSLPETEAKVKELVEQYCDRGRVMLLGVDDMDIFKGISLKLLAMEQLLVQHPEWRGKVVLVQIANPARGKGKDVEEVQAESFAMVERINEAFGQEDYTPVVLINKPLQFYERVAHYVVAECCLVTAVRDGMNLIPYEYVISRQGNENLDLVLGLRSNSAPKKSMLVLSEFIGCSPSLSGAIRVNPWNVDAVADAMVSALELAEPEKQLRHEKHYRYVSTHDVGYWANSFLQDLERTCRDHIRRRCWGIGFGLRFRVVALDPNFRKLSMEHIVSAYRRTTTRAILLDYDGTLMPQASIDKSPSAKSIEILNSLCYDKNNMVFLVSARSRKTLGEWFSPCENLGIAAEHGYFLRLKRDAEWETCAPTMDSSWKQIAEPVMKLYTETTDGSTIEEKETSLVWCYEDADPDFGSCQAKELLNHLDSVLANEPVSVKSGHNNVEVKPQGVSKGLIAQRLLSNMRQKGLLADFVLCIGDDRSDEDMFEVISTAMACSSLSPEAEVFACTVGKKPSKAKYYLDDTAQIVRLLQGLASVSEQTRGNLPSV >DRNTG_01245.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15676520:15679930:-1 gene:DRNTG_01245 transcript:DRNTG_01245.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYSNLLDLVSGEPPSFAGIGRRIPRVMTVSGIVPDLDDSDSDSSSSTARERTIIVANQLPIRAHRLAGTGAAAWSFSWDENSLLLQLKDAIAPHSDMEFIYVGCLRDEIPLSDQDEVAQTLLETFKCVPAFIPSDLRTRFYHGFCKQYLWPLFHYMLPLSPDLGGRFDRFLWQAYVSVNKLFADKLLEVINPDDDFVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYRTLPVREELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYESKRGYIGLEYYGRTVSIKILPVGIHMGQLKSVLSLPETEAKVKELVEQYCDRGRVMLLGVDDMDIFKGISLKLLAMEQLLVQHPEWRGKVVLVQIANPARGKGKDVEEVQAESFAMVERINEAFGQEDYTPVVLINKPLQFYERVAHYVVAECCLVTAVRDGMNLIPYEYVISRQGNENLDLVLGLRSNSAPKKSMLVLSEFIGCSPSLSGAIRVNPWNVDAVADAMVSALELAEPEKQLRHEKHYRYVSTHDVGYWANSFLQDLERTCRDHIRRRCWGIGFGLRFRVVALDPNFRKLSMEHIVSAYRRTTTRAILLDYDGTLMPQASIDKSPSAKSIEILNSLCYDKNNMVFLVSARSRKTLGEWFSPCENLGIAAEHGYFLRLKRDAEWETCAPTMDSSWKQIAEPVMKLYTETTDGSTIEEKETSLVWCYEDADPDFGSCQAKELLNHLDSVLANEPVSVKSGHNNVEVKPQGVSKGLIAQRLLSNMRQKGLLADFVLCIGDDRSDEDMFEVISTAMACSSLSPEAEVFACTVGKKPSKAKYYLDDTAQIVRLLQGLASVSEQTRGNLPSV >DRNTG_01245.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15675967:15679824:-1 gene:DRNTG_01245 transcript:DRNTG_01245.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYSNLLDLVSGEPPSFAGIGRRIPRVMTVSGIVPDLDDSDSDSSSSTARERTIIVANQLPIRAHRLAGTGAAAWSFSWDENSLLLQLKDAIAPHSDMEFIYVGCLRDEIPLSDQDEVAQTLLETFKCVPAFIPSDLRTRFYHGFCKQYLWPLFHYMLPLSPDLGGRFDRFLWQAYVSVNKLFADKLLEVINPDDDFVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYRTLPVREELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYESKRGYIGLEYYGRTVSIKILPVGIHMGQLKSVLSLPETEAKVKELVEQYCDRGRVMLLGVDDMDIFKGISLKLLAMEQLLVQHPEWRGKVVLVQIANPARGKGKDVEEVQAESFAMVERINEAFGQEDYTPVVLINKPLQFYERVAHYVVAECCLVTAVRDGMNLIPYEYVISRQGNENLDLVLGLRSNSAPKKSMLVLSEFIGCSPSLSGAIRVNPWNVDAVADAMVSALELAEPEKQLRHEKHYRYVSTHDVGYWANSFLQDLERTCRDHIRRRCWGIGFGLRFRVVALDPNFRKLSMEHIVSAYRRTTTRAILLDYDGTLMPQASIDKSPSAKSIEILNSLCYDKNNMVFLVSARSRKTLGEWFSPCENLGIAAEHGYFLRLKRDAEWETCAPTMDSSWKQIAEPVMKLYTETTDGSTIEEKETSLVWCYEDADPDFGSCQAKELLNHLDSVLANEPVSVKSGHNNVEVKPQGVSKGLIAQRLLSNMRQKGLLADFVLCIGDDRSDEDMFEVISTAMACSSLSPEAEVFACTVGKKPSKAKYYLDDTAQIVRLLQGLASVSEQTRGNLPSV >DRNTG_01245.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15676358:15679930:-1 gene:DRNTG_01245 transcript:DRNTG_01245.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYSNLLDLVSGEPPSFAGIGRRIPRVMTVSGIVPDLDDSDSDSSSSTARERTIIVANQLPIRAHRLAGTGAAAWSFSWDENSLLLQLKDAIAPHSDMEFIYVGCLRDEIPLSDQDEVAQTLLETFKCVPAFIPSDLRTRFYHGFCKQYLWPLFHYMLPLSPDLGGRFDRFLWQAYVSVNKLFADKLLEVINPDDDFVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYRTLPVREELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYESKRGYIGLEYYGRTVSIKILPVGIHMGQLKSVLSLPETEAKVKELVEQYCDRGRVMLLGVDDMDIFKGISLKLLAMEQLLVQHPEWRGKVVLVQIANPARGKGKDVEEVQAESFAMVERINEAFGQEDYTPVVLINKPLQFYERVAHYVVAECCLVTAVRDGMNLIPYEYVISRQGNENLDLVLGLRSNSAPKKSMLVLSEFIGCSPSLSGAIRVNPWNVDAVADAMVSALELAEPEKQLRHEKHYRYVSTHDVGYWANSFLQDLERTCRDHIRRRCWGIGFGLRFRVVALDPNFRKLSMEHIVSAYRRTTTRAILLDYDGTLMPQASIDKSPSAKSIEILNSLCYDKNNMVFLVSARSRKTLGEWFSPCENLGIAAEHGYFLRLKRDAEWETCAPTMDSSWKQIAEPVMKLYTETTDGSTIEEKETSLVWCYEDADPDFGSCQAKELLNHLDSVLANEPVSVKSGHNNVEVKPQGVSKGLIAQRLLSNMRQKGLLADFVLCIGDDRSDEDMFEVISTAMACSSLSPEAEVFACTVGKKPSKAKYYLDDTAQIVRLLQGLASVSEQTRGNLPSV >DRNTG_01245.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15675967:15679930:-1 gene:DRNTG_01245 transcript:DRNTG_01245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYSNLLDLVSGEPPSFAGIGRRIPRVMTVSGIVPDLDDSDSDSSSSTARERTIIVANQLPIRAHRLAGTGAAAWSFSWDENSLLLQLKDAIAPHSDMEFIYVGCLRDEIPLSDQDEVAQTLLETFKCVPAFIPSDLRTRFYHGFCKQYLWPLFHYMLPLSPDLGGRFDRFLWQAYVSVNKLFADKLLEVINPDDDFVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYRTLPVREELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYESKRGYIGLEYYGRTVSIKILPVGIHMGQLKSVLSLPETEAKVKELVEQYCDRGRVMLLGVDDMDIFKGISLKLLAMEQLLVQHPEWRGKVVLVQIANPARGKGKDVEEVQAESFAMVERINEAFGQEDYTPVVLINKPLQFYERVAHYVVAECCLVTAVRDGMNLIPYEYVISRQGNENLDLVLGLRSNSAPKKSMLVLSEFIGCSPSLSGAIRVNPWNVDAVADAMVSALELAEPEKQLRHEKHYRYVSTHDVGYWANSFLQDLERTCRDHIRRRCWGIGFGLRFRVVALDPNFRKLSMEHIVSAYRRTTTRAILLDYDGTLMPQASIDKSPSAKSIEILNSLCYDKNNMVFLVSARSRKTLGEWFSPCENLGIAAEHGYFLRLKRDAEWETCAPTMDSSWKQIAEPVMKLYTETTDGSTIEEKETSLVWCYEDADPDFGSCQAKELLNHLDSVLANEPVSVKSGHNNVEVKPQGVSKGLIAQRLLSNMRQKGLLADFVLCIGDDRSDEDMFEVISTAMACSSLSPEAEVFACTVGKKPSKAKYYLDDTAQIVRLLQGLASVSEQTRGNLPSV >DRNTG_19063.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29042637:29043258:1 gene:DRNTG_19063 transcript:DRNTG_19063.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSQLVRGPHLTADEHRINKQSFCVFFVCNHHDLGEVLYRIREGATITHTKGHTGNIVEVVRHEWQHRWLCHTSGGGLQGDESTTK >DRNTG_14790.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29935662:29936588:-1 gene:DRNTG_14790 transcript:DRNTG_14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKCSHCRNDGHNSRTCSNPKLFGVHLQISSYTSSSSSSSSSSSSSSSSSSSSLVSIDETGEKLCNGYFSHALMARDDRKKGVPWSEEEHRCFLIGLERLGKGDWRGISRSFVITRTPTQVASHAQKYFLRMKNSTMNTKRRRISLFDLVKEGQLLCSEIDLNLPPCSNSKNSSSKALFVETNLELSFSSRCI >DRNTG_18800.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:383520:384715:1 gene:DRNTG_18800 transcript:DRNTG_18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQRCQEGHRLCANNCGFFGSPATLNLCSKCYSDYRLKEDQASSAKIAVEKSLLPSSTASSSSISSTVHADLSIPATATTVSDVPAPAALPERPQASRCASCRKRVGLTGFPCRCGATYCGTHRYPEKHGCSFDFKAAGREAIAKANPIVLAPKLDKI >DRNTG_21558.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4920987:4928110:1 gene:DRNTG_21558 transcript:DRNTG_21558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVLQAPCLTEACFLDIFHNDTWGYTNSCRSFPKYPQGPSQKRASEYREASSPT >DRNTG_21558.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4920987:4922374:1 gene:DRNTG_21558 transcript:DRNTG_21558.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVLQAPCLTEACFLDIFHNDTWGYTNSCRSFPKYPQGPSQKRASEYREASSPT >DRNTG_21558.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4928180:4929830:1 gene:DRNTG_21558 transcript:DRNTG_21558.4 gene_biotype:protein_coding transcript_biotype:protein_coding TENFEKQSNAEPSILMSLSSTAPTTQRIKLASSKVRN >DRNTG_21558.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4920987:4921603:1 gene:DRNTG_21558 transcript:DRNTG_21558.6 gene_biotype:protein_coding transcript_biotype:protein_coding GGHFFTNSNDSKLGSSKRRKHVRCTKRLKVLSTQNLSNVVLAHAKKLINPAQLANILNAINLFPSSPTNNKLIVK >DRNTG_12801.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2075148:2075582:1 gene:DRNTG_12801 transcript:DRNTG_12801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADHRLTTRASKKGASLPISAVQHDGTETLCEP >DRNTG_18366.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7937471:7943806:1 gene:DRNTG_18366 transcript:DRNTG_18366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESTKDRLDRLEVSMERVEQVIGRVDDLLGSLAQRIEELSLSWKQSQVKIDALAVTVKSMTLDITQLQVGKGARAHSRADQAERMGAWPSVMTEGGRIGQPNLVAETVDACA >DRNTG_06757.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000302.1:18864:20421:-1 gene:DRNTG_06757 transcript:DRNTG_06757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRWLALILNLLLWSSCLCNRESRRALPWNTGS >DRNTG_10293.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20354940:20359557:1 gene:DRNTG_10293 transcript:DRNTG_10293.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMQDNICNVEEYCKEETNNRRGMRSEDEEENIIVNPQFEDGLNNWSGRGCKILVHDSMGDGKVVPMTGKAFASATERTQNWNGIQQDVSSSVQRKRLYEVTAVVRIFGSSGPADVRATIWVQGQNGREQYIGIANTQATDKDWVKLQGKFLLNGVASKAVIYIEGPPPGTDILLNTLLVKHAPKLPPSSPPDFQDVEFEVNILENSKLSDNLNGWFPLGPCTLSIANGSPHELPPMARESLGTHEPLHGRYISVTNRTQTWMGPAQTITDKLKLHLTYQVSAWVRVASAKTGPQNINVALGVDSQWVNGGQVEAVDERWYEVAGSFRIETQPSRVIVYVQGPSPGVDLMVAGLQIFPVDRKARFKHLKNLTDKVRKRDVILKISGLDTKNFCADIGNVVKVRQIKNSFPIGTCISRSTIDNEDFVSFFVKNFNWAVFGNELKWYWTESQQGQLNYADADELLDFCNKNGIQVRGHCIFWEVQSVVQPWVQNLNKNDLMNAVQNRLNSLLTRYRGKFRHYDVNNEMLHGSFFQDRLGNDIRASMFKTAQQIDPSPILFVNDYHVEDGVDTRATPEKYIKQILDLQEQGAPVGGIGVQGHIDNPVGPIVSAALDKLGTLGLPTWFTEMDVSSSNEFIRADDLEVMLREAYANPSVEGVMLWGFWELFMRDNGHLVDAEGEVNEAGKRFLALKHEWLSHARGSIDDQGEFKFRGFPGTYGIEIDTLTKKFTKMFTVDNGDSPLEVSIEL >DRNTG_01321.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:35035:49255:1 gene:DRNTG_01321 transcript:DRNTG_01321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAMSLLLNNPDSLKKLRAELHANIEQSSILQEADLYKLPYLQAVILETLRIHPSVPLLVPHESSKDCTVGGFHVPKGTMLLVNAWKLHRDPETWEEPNKFKPERFLNNEGKEKWKTMAFGLGRRRCPGEGLALRVVALVVVILVQCFEWERVDHKEIDMDEGVGMTMPKAKPLEAMYKPRKGIADLVFQL >DRNTG_21189.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001207.1:269:7132:-1 gene:DRNTG_21189 transcript:DRNTG_21189.1 gene_biotype:protein_coding transcript_biotype:protein_coding SWREVERSSTLAIRAALLRWFLAPFSHSAPTLDQSPSRSSTGTPSTPPHPEIARNGCVVESKAFETPEPLRMES >DRNTG_16745.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10966219:10967668:1 gene:DRNTG_16745 transcript:DRNTG_16745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVYPFSLGESSGLRYLGCWEGSSLGKLPIQTGLAVGWDGYRGPFLVNCTVTESAEKRGVNDHIVAVSLSASRTLGGASSARAR >DRNTG_08548.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17325875:17336625:-1 gene:DRNTG_08548 transcript:DRNTG_08548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEKELENRLLEVGNRLASPPSDVDELLGLLDLTESFLVRVDQSPSPSMSAALNPVINALVSEELFKHLDLNVKVGVASCISEITRITAPEAPYNDDLMKVVFHKIVETFKRLDDISSRSYTKRVSILETVAKVRSCVVMLDLECDALILEMFQHFLKTLRSNHSEKVFSSIETIMTLVLEESEDISSELLSFLLACAKKDSEDVVPAARRLVEKVIVNCGAKLKPYLVEVVQSMGASLSEYSDIIGTICQGKSEAHDGLHSSREHMAEDSKLSDRTISDDLPQGPELREPEVGYPAEDDRATEKPGKLVMSNGTDETAKIADVDSMAQPILEEQKPENLETEVVGRDDISNHSTKTEIGHKTGSSIQVNEGTDHSQVDSDKEASAMPNRSEIHVKEAHSSNSDCPSGKETEAAASLQSDKKDIEPLSCSGNGAVQIASPTVNADVPVAPRPKRGRPPGSKTGVKQRDRHKSDFKSDHTSEKTAAPGGIDPKQDADFTGNSGGKSQKRSAKKVHAESAADGDTPTAAAIPSTKDADVKNAAGVKPTKQTGKKEVPRKLPEVGSSGGHKSKVKHRKGKALIEEDASEEMSLKDMISKKSASKMLREQSHLTDSVKRKARRKRASGLEETLEAEDVKTDLGVELVGSKVKVWWPDDNEFYHGTIDSFDPVTRRHKVYYEDGDVELLFLNDERWKLIESRSTKEGGQAKETLSLEGSLEGKQRNKKLKSLDSGFKPAKEPVPENSDVSRGKSQPDGDESSSKLASGKRPRGRPKGSSTKRTPKSPSGKPKEKPLNKFQESSSTPSKNKEELGKDTKDDSSETISDDTPMGSIKAKYETPKADSNPKANVSKSGTKVKNVKSNKNTSKSAEKAVDTTAKTRSVDATTDKKLKEVTSKMSLERSRRKRKASRTPASEGKHDANGSKVKAKAKVEETATPAVKTPTDSDKGRENPAMSGKNQRRKGHS >DRNTG_04961.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3949317:3949730:1 gene:DRNTG_04961 transcript:DRNTG_04961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKWQKMAAMARKISSPRADKRSDFSACSTSSIVEKGHFNVYTLEGKRFMIPLAYLNNVVFKELLKISEEEFGLPGDGPITLTCDAVSMEYVLSMLRRGVSQEVERALLSSMFIDSPSTCSTFSIQNTQQLTICSF >DRNTG_11969.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14472995:14475326:1 gene:DRNTG_11969 transcript:DRNTG_11969.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARSCSSRAQLEHAREKGMQTMLSPLIAMS >DRNTG_30030.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19266399:19273180:1 gene:DRNTG_30030 transcript:DRNTG_30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELASMSRPCQHLLLALNMNMRVYLEVSHCLISTFVATEASAECVFCHSFKVTEASGEMLHYLNGTPVDENHPSPDVLHVHQQCIEWAPQIYFAGDIAMNVEAELSRAAKIKCSSCGMKGAALGCYVRSCRKSFHVPCAFGISGCRRDYENFLLLCPAHASHRLPCDRSKAKKKTQEKHPSMESDSCKSSDNLTSLTKEGGNGFWTTSTFVTSQWVLSGSALSEDEKVLLNEFARLTGATIAKAWKPKITHVIASTNEHGACSRTLKVLMAILEGKWVLRTDWIKACLEAGTPVEEEPYEITHDVNGSFDGPRIGRTRAMTKAPKLFFGLSFYLSGYYMPYYKGYLEDLILAAGGVILQKIDAQPVQSSTVNGTSSKLFVVYSVEPPQGCNTDQMISYVLKKRTEDAEALAAEIGAHAVSHTWLLDSIAACNLQLN >DRNTG_30030.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19266399:19270462:1 gene:DRNTG_30030 transcript:DRNTG_30030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIELASMSRPCQHLLLALNMNMRVYLEVSHCLISTFVATEASAECVFCHSFKVTEASGEMLHYLNGTPVDENHPSPDVLHVHQQCIEWAPQIYFAGDIAMNVEAELSRAAKIKCSSCGMKGAALGCYVRSCRKSFHVPCAFGISGCRRDYENFLLLCPAHASHRLPCDRSKAKKKTQEKHPSMESDSCKSSDNLTSLTKEGGNGFWTTSTFVTSQWVLSGSALSEDEKVLLNEFARLTGATIAKAWKPKITHVIASTNEHGACSRTLKVLMAILEGKWVLRTDWIKACLEAGTPVEEEPYEITHDVNGSFDGPRIGRTRAMTKAPKLFFGLSFYLSGYYMPYYKGYLEDLILAAGGVILQKIDAQPVQSSTVNGTSSKLFVVYSVEPPQGCNTDQMISYVLKKRTEDAEALAAEIGAHAVSHTWLLDSIAACNLQLN >DRNTG_16750.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10995122:10997643:1 gene:DRNTG_16750 transcript:DRNTG_16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWRRPKRPRRSLAKSLPRSLIYPPNGLHPECHTTRYGAQH >DRNTG_28686.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21154295:21155285:-1 gene:DRNTG_28686 transcript:DRNTG_28686.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHGSNSCPGVAATGNSGSNPPLRSQRGLAVGSGSITGAAARTMGSDDAVPDSSMMDASMKPPVPISESEFGPWMLVTRQRGRGRGRSDRPRTNHVTAAEVAEKHLDGIDVRGSGSGGIRGGLFVSRAPRSETLNGEVTSPADPALQTTLPLVSGTSSFSRDPNVLFSVDPNGAFPRDPKPASSRDMVSDIISDQHTDPMPHVSPTPLGTLNPTLPRVRASSPPRSVRVSFSFDLSSRNG >DRNTG_06303.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2199730:2201335:-1 gene:DRNTG_06303 transcript:DRNTG_06303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFLKQMKTRISGGEDNYNYKKRRKKKAVSFKEDKKKSPNWFKMNAGDASDSEFATTVAAAAYAITTLEEERSMDRQNSIEGLQEPSLSKMKSKKEETVIKPTNEPSLLARWLSGKDIKDGSKPVGESSMKVSETKLPSMKKTPTFSDNYLNEPGPNVDDSGRNKKPGTSASMNVKPVSKVSSKLGTKASEWQKAELDKIQKRYEKMTATILEWENEKKIKAKRKLDQKESTLEARRARALQEYRNEMSRIDQIAGGARGVAEEKRRNEELKTIEKAKSIQTTGRTPHSFLCF >DRNTG_06303.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2199730:2201335:-1 gene:DRNTG_06303 transcript:DRNTG_06303.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGDASDSEFATTVAAAAYAITTLEEERSMDRQNSIEGLQEPSLSKMKSKKEETVIKPTNEPSLLARWLSGKDIKDGSKPVGESSMKVSETKLPSMKKTPTFSDNYLNEPGPNVDDSGRNKKPGTSASMNVKPVSKVSSKLGTKASEWQKAELDKIQKRYEKMTATILEWENEKKIKAKRKLDQKESTLEARRARALQEYRNEMSRIDQIAGGARGVAEEKRRNEELKTIEKAKSIQTTGRTPHSFLCF >DRNTG_23055.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3383134:3384985:-1 gene:DRNTG_23055 transcript:DRNTG_23055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDHCHLPNILPITISSKKPPPSPPSPSPSSTSSLSSSPISSKITGFHAGYFRISLALCGQALLWKTLSEHTTSDPRELHHLIARLPSISFLLLWSLAFLSLLSLSLLFLIRCFAHFHHVRAELSDYIGMNYLFAPWISYLLLLQSTPFLDRHSPTFILLCLFFSLPVIILDVKIYGQWFTKGRKFLSVVANPTSLLSVIANLAGARASARMGWKESAVCLFSLAMAHYLVLFVTLYQRLQGSNSLPAMLRPAFFLFFAAPSMASFTWASISGHFDISCKMLFFLSLFLFTSLVSRPALFKRSLRKFNIAWWTYSLPVTILALAATEYAQEVKGGISNALMLCLSVLSSIVTLALLVYTVLNAGELIPVDDPFSSVIT >DRNTG_26914.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2957814:2958206:-1 gene:DRNTG_26914 transcript:DRNTG_26914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWRKNTSKAQNWRKEDQVSMRSEQAKQKI >DRNTG_04086.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5468048:5471248:1 gene:DRNTG_04086 transcript:DRNTG_04086.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESFIRSFFFFLLAFFLLFILQFPPSVIGSYPGKPAPSDAAATARWLASQNSWGVLSTISIDLEGAPFGNVASFSDGLPGEGLGIPYFYLTTLDPTARDAQKDERSSFTISEFPIGSCGMRDPENPACAKLTLTGKVRIS >DRNTG_04086.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5468048:5471248:1 gene:DRNTG_04086 transcript:DRNTG_04086.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFIRSFFFFLLAFFLLFILQFPPSVIGSYPGKPAPSDAAATARWLASQNSWGVLSTISIDLEGAPFGNVASFSDGLPGEGLGIPYFYLTTLDPTARDAQKDERSSFTISEFPIGSCGMRDPENPACAKLTLTGKLKLVDVHSAEWEFAKLALFSKHPEMESWPEDHKFQIFKLDIENIFLVDWFGGAKHISPAQYLDYSMNQDSLMSQA >DRNTG_13121.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17276942:17277260:1 gene:DRNTG_13121 transcript:DRNTG_13121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNLEDRNKASEAGKKRKKLASTREYYILEAIIMALLKCGFIKVCDVVVCLMFFCFKIMYGPQQWGPSSFK >DRNTG_35443.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002248.1:44073:46246:1 gene:DRNTG_35443 transcript:DRNTG_35443.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGLTKGSLAFLNYPAQLMFKSTKVLPVMVMGAFIPGLRRKYPAHEYFSAILLVLGLILFTLADSQTSPNFSVIGVFMVCGALIMDSFLGNLQEAIFTVNPETTQMEMLYCSTTVGLPMLIPPMIFTGELIKAWNSCYEHPYVYAVLAFEAIATFVGQVSVLSLIAIFGAANTAMVTTARKAVTLLLSYMIFKKALTEQHATGLILIAMGIIIKLIPDNKQPHPHNHKQRQQWQQKQKQNEQELQEPEEEERRPLV >DRNTG_35443.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002248.1:44521:46246:1 gene:DRNTG_35443 transcript:DRNTG_35443.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGLTKGSLAFLNYPAQLMFKSTKVLPVMVMGAFIPGLRRKYPAHEYFSAILLVLGLILFTLADSQTSPNFSVIGVFMVCGALIMDSFLGNLQEAIFTVNPETTQMEMLYCSTTVGLPMLIPPMIFTGELIKAWNSCYEHPYVYAVLAFEAIATFVGQVSVLSLIAIFGAANTAMVTTARKAVTLLLSYMIFKKALTEQHATGLILIAMGIIIKLIPDNKQPHPHNHKQRQQWQQKQKQNEQELQEPEEEERRPLV >DRNTG_35443.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002248.1:44947:46246:1 gene:DRNTG_35443 transcript:DRNTG_35443.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGAFIPGLRRKYPAHEYFSAILLVLGLILFTLADSQTSPNFSVIGVFMVCGALIMDSFLGNLQEAIFTVNPETTQMEMLYCSTTVGLPMLIPPMIFTGELIKAWNSCYEHPYVYAVLAFEAIATFVGQVSVLSLIAIFGAANTAMVTTARKAVTLLLSYMIFKKALTEQHATGLILIAMGIIIKLIPDNKQPHPHNHKQRQQWQQKQKQNEQELQEPEEEERRPLV >DRNTG_01146.12 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000071.1:5379:7455:-1 gene:DRNTG_01146 transcript:DRNTG_01146.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear fusion defective 6 [Source:Projected from Arabidopsis thaliana (AT2G20585) UniProtKB/TrEMBL;Acc:A0A1P8B0U7] MAAATAARSVFRSSSIRSMAKRLSAETSASRPPLRIPKPQRPAPPPPSLPRILRSPVEMSFCVESLLPMHSVTAAALMNSMLSIPGNGYGWLLEGKDETR >DRNTG_01146.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000071.1:2725:7455:-1 gene:DRNTG_01146 transcript:DRNTG_01146.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear fusion defective 6 [Source:Projected from Arabidopsis thaliana (AT2G20585) UniProtKB/TrEMBL;Acc:A0A1P8B0U7] MAAATAARSVFRSSSIRSMAKRLSAETSASRPPLRIPKPQRPAPPPPSLPRILRSPVEMSFCVESLLPMHSVTAAALMNSMLSIPGNGYGWLLEDG >DRNTG_01146.9 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000071.1:5123:7455:-1 gene:DRNTG_01146 transcript:DRNTG_01146.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear fusion defective 6 [Source:Projected from Arabidopsis thaliana (AT2G20585) UniProtKB/TrEMBL;Acc:A0A1P8B0U7] MAAATAARSVFRSSSIRSMAKRLSAETSASRPPLRIPKPQRPAPPPPSLPRILRSPVEMSFCVESLLPMHSVTAAALMNSMLSIPGNGYGWLLEAGNDDV >DRNTG_01146.11 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000071.1:5257:7455:-1 gene:DRNTG_01146 transcript:DRNTG_01146.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear fusion defective 6 [Source:Projected from Arabidopsis thaliana (AT2G20585) UniProtKB/TrEMBL;Acc:A0A1P8B0U7] MAAATAARSVFRSSSIRSMAKRLSAETSASRPPLRIPKPQRPAPPPPSLPRILRSPVEMSFCVESLLPMHSVTAAALMNSMLSIPGNGYGWLLEAGNDDV >DRNTG_01146.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000071.1:4050:7455:-1 gene:DRNTG_01146 transcript:DRNTG_01146.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear fusion defective 6 [Source:Projected from Arabidopsis thaliana (AT2G20585) UniProtKB/TrEMBL;Acc:A0A1P8B0U7] MAAATAARSVFRSSSIRSMAKRLSAETSASRPPLRIPKPQRPAPPPPSLPRILRSPVEMSFCVESLLPMHSVTAAALMNSMLSIPGNGYGWLLEGKDETR >DRNTG_01146.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000071.1:3674:7455:-1 gene:DRNTG_01146 transcript:DRNTG_01146.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear fusion defective 6 [Source:Projected from Arabidopsis thaliana (AT2G20585) UniProtKB/TrEMBL;Acc:A0A1P8B0U7] MAAATAARSVFRSSSIRSMAKRLSAETSASRPPLRIPKPQRPAPPPPSLPRILRSPVEMSFCVESLLPMHSVTAAALMNSMLSIPGNGYGWLLEAGNDDV >DRNTG_01146.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000071.1:4134:7455:-1 gene:DRNTG_01146 transcript:DRNTG_01146.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear fusion defective 6 [Source:Projected from Arabidopsis thaliana (AT2G20585) UniProtKB/TrEMBL;Acc:A0A1P8B0U7] MAAATAARSVFRSSSIRSMAKRLSAETSASRPPLRIPKPQRPAPPPPSLPRILRSPVEMSFCVESLLPMHSVTAAALMNSMLSIPGNGYGWLLEAGNDDV >DRNTG_01146.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000071.1:2778:7455:-1 gene:DRNTG_01146 transcript:DRNTG_01146.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear fusion defective 6 [Source:Projected from Arabidopsis thaliana (AT2G20585) UniProtKB/TrEMBL;Acc:A0A1P8B0U7] MAAATAARSVFRSSSIRSMAKRLSAETSASRPPLRIPKPQRPAPPPPSLPRILRSPVEMSFCVESLLPMHSVTAAALMNSMLSIPGNGYGWLLEDG >DRNTG_01146.13 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000071.1:5379:7455:-1 gene:DRNTG_01146 transcript:DRNTG_01146.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear fusion defective 6 [Source:Projected from Arabidopsis thaliana (AT2G20585) UniProtKB/TrEMBL;Acc:A0A1P8B0U7] MAAATAARSVFRSSSIRSMAKRLSAETSASRPPLRIPKPQRPAPPPPSLPRILRSPVEMSFCVESLLPMHSVTAAALMNSMLSIPGNGYGWLLEAGNDDV >DRNTG_01146.10 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000071.1:5257:7455:-1 gene:DRNTG_01146 transcript:DRNTG_01146.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear fusion defective 6 [Source:Projected from Arabidopsis thaliana (AT2G20585) UniProtKB/TrEMBL;Acc:A0A1P8B0U7] MAAATAARSVFRSSSIRSMAKRLSAETSASRPPLRIPKPQRPAPPPPSLPRILRSPVEMSFCVESLLPMHSVTAAALMNSMLSIPGNGYGWLLEGKDETR >DRNTG_01146.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000071.1:2429:7455:-1 gene:DRNTG_01146 transcript:DRNTG_01146.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear fusion defective 6 [Source:Projected from Arabidopsis thaliana (AT2G20585) UniProtKB/TrEMBL;Acc:A0A1P8B0U7] MAAATAARSVFRSSSIRSMAKRLSAETSASRPPLRIPKPQRPAPPPPSLPRILRSPVEMSFCVESLLPMHSVTAAALMNSMLSIPGNGYGWLLEDG >DRNTG_01146.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000071.1:4134:7455:-1 gene:DRNTG_01146 transcript:DRNTG_01146.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear fusion defective 6 [Source:Projected from Arabidopsis thaliana (AT2G20585) UniProtKB/TrEMBL;Acc:A0A1P8B0U7] MAAATAARSVFRSSSIRSMAKRLSAETSASRPPLRIPKPQRPAPPPPSLPRILRSPVEMSFCVESLLPMHSVTAAALMNSMLSIPGNGYGWLLEGKDETR >DRNTG_01146.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000071.1:4050:7455:-1 gene:DRNTG_01146 transcript:DRNTG_01146.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear fusion defective 6 [Source:Projected from Arabidopsis thaliana (AT2G20585) UniProtKB/TrEMBL;Acc:A0A1P8B0U7] MAAATAARSVFRSSSIRSMAKRLSAETSASRPPLRIPKPQRPAPPPPSLPRILRSPVEMSFCVESLLPMHSVTAAALMNSMLSIPGNGYGWLLEAGNDDV >DRNTG_00343.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18722290:18726552:1 gene:DRNTG_00343 transcript:DRNTG_00343.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVLRDIESQFARICDCAHEISVMLEATRAQYSSSSIYSDHAVRMLNPVALFRSASSRSSSSRFLQVATSSKDDGDETGSDYSEESCMISGSHQSTLERLYAWEKKLYDEVKCGERIRIAYEKKCIQLRNQDVNGDEPGAVDRTRAAIRDLHTRLKVSMHTVESVSMRIEKLRDEELHPQLLELLQGLAKMWRSMADCHVIQKNAIEGAKTLLSSTAAAAPPKPLDFPMSTPARPSRAAAALESELRTWRSTFESWIHAQRSYARALASWISRCAGPPPPSSSPPPAAAVAPPSYGLCVRWSRLLDSLNEAQVIDGLDFFAAGIASVSGGKGDEEAAAAMTVEIAGRVLWAGMSVAVGSLTEFASGSAHGYDELIKKCLDGGSVSQRVDDDDDDDDDDDRVADS >DRNTG_30420.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001573.1:148430:149412:-1 gene:DRNTG_30420 transcript:DRNTG_30420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLQSIAGARAGFVFGSGVHRQLRGLPPAPHQQPPLKCPRCGSSNTKFCYYNNYNLSQPRHFCKSCRRYWTRGGVLRNVPVGGGSRKPSSSSSKKPSSFSKKSSPSPSPCPSPSLSPISQPNPNPIADPQASPEDVIGPGAVPSILSFPEVFDPAPIAGYEIRISGFNHDAVQEDLIPSGMFTGGGDIDPGLFDLTYAVDPPAFWNTLSCGNWGVASDPSLFIP >DRNTG_30420.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001573.1:148273:149243:-1 gene:DRNTG_30420 transcript:DRNTG_30420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLQSIAGARAGFVFGSGVHRQLRGLPPAPHQQPPLKCPRCGSSNTKFCYYNNYNLSQPRHFCKSCRRYWTRGGVLRNVPVGGGSRKPSSSSSKKPSSFSKKSSPSPSPCPSPSLSPISQPNPNPIADPQASPEDVIGPGAVPSILSFPEVFDPAPIAGYEIRISGFNHDAVQEDLIPSGMFTGGGDIDPGLFDLTYAVDPPAFWNTLSCGNWGVASDPSLFIP >DRNTG_30420.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001573.1:148430:149243:-1 gene:DRNTG_30420 transcript:DRNTG_30420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLQSIAGARAGFVFGSGVHRQLRGLPPAPHQQPPLKCPRCGSSNTKFCYYNNYNLSQPRHFCKSCRRYWTRGGVLRNVPVGGGSRKPSSSSSKKPSSFSKKSSPSPSPCPSPSLSPISQPNPNPIADPQASPEDVIGPGAVPSILSFPEVFDPAPIAGYEIRISGFNHDAVQEDLIPSGMFTGGGDIDPGLFDLTYAVDPPAFWNTLSCGNWGVASDPSLFIP >DRNTG_30420.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001573.1:148273:149412:-1 gene:DRNTG_30420 transcript:DRNTG_30420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLQSIAGARAGFVFGSGVHRQLRGLPPAPHQQPPLKCPRCGSSNTKFCYYNNYNLSQPRHFCKSCRRYWTRGGVLRNVPVGGGSRKPSSSSSKKPSSFSKKSSPSPSPCPSPSLSPISQPNPNPIADPQASPEDVIGPGAVPSILSFPEVFDPAPIAGYEIRISGFNHDAVQEDLIPSGMFTGGGDIDPGLFDLTYAVDPPAFWNTLSCGNWGVASDPSLFIP >DRNTG_00440.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21823738:21827693:1 gene:DRNTG_00440 transcript:DRNTG_00440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 51 [Source:Projected from Arabidopsis thaliana (AT2G27920) UniProtKB/Swiss-Prot;Acc:Q67Y83] MENKCSVVLLLLLCFVSAHAFGTQNGSESWGYVEVRPKAHMFWWLYQSPNRVENGSTPWPTVLWLQGGPGASGVGLGNFQEIGPLDVNLNPRNSTWLNKADLLFVDNPVGTGYSYVEDDSLFVKSDVDAANDLTTLLKNLYNNNETLQKSPLTIVAESYGGKFAVTAGVTIANAIKSGDLKLQFGGIALGDSWISPEDFVFSWGPLLLDVSRLDINGAKQSNSLAEQIKQQIANGQYETATNTWSELENAISSLSNSVDFYNFMLDSKMDPLATTSTTTSTTSGLSQALIMKKYSMYLNSKAGTDGDIDSLMNGAIKDKLKIIPKSVSWGGQSNSVFEKFGR >DRNTG_00440.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21823738:21827693:1 gene:DRNTG_00440 transcript:DRNTG_00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 51 [Source:Projected from Arabidopsis thaliana (AT2G27920) UniProtKB/Swiss-Prot;Acc:Q67Y83] MENKCSVVLLLLLCFVSAHAFGTQNGSESWGYVEVRPKAHMFWWLYQSPNRVENGSTPWPTVLWLQGGPGASGVGLGNFQEIGPLDVNLNPRNSTWLNKADLLFVDNPVGTGYSYVEDDSLFVKSDVDAANDLTTLLKNLYNNNETLQKSPLTIVAESYGGKFAVTAGVTIANAIKSGDLKLQFGGIALGDSWISPEDFVFSWGPLLLDVSRLDINGAKQSNSLAEQIKQQIANGQYETATNTWSELENAISSLSNSVDFYNFMLDSKMDPLATTSTTTSTTSGLSQALIMKKYSMYLNSKAGTDGDIDSLMNGAIKDKLKIIPKSVSWGGQSNSVFEKFGR >DRNTG_14573.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3865533:3880883:-1 gene:DRNTG_14573 transcript:DRNTG_14573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIWVDNSKSFGCYNAYDPHTVAAKGHGITGFSTTTSPNMEYQILGLETWMIDLEKALTRFMQLSDTRFQSVEATLRNHTTSSHNLENQVGKIAKSLSERPQGSRPSNTETNLREHVKAITLRSGCEFEENVESGPVRGIARPRGGKRRSVDAWSRGEGTTYSEYHEEDAPKDEVSEETLQEMPQGYWGCNSKRCGFSLKSLCVSSSPHSQTSETAPIHSPDFTSSFHRDMTPRSKKQAEKRHYRFESQPSIHPHLRFCPHLSSTDPMRDSTVLTPYSSGNLDITML >DRNTG_00560.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30115716:30120178:-1 gene:DRNTG_00560 transcript:DRNTG_00560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERLCCFKVGYSQSSSSAGKGKSCQGSNRVTYGFSLVKGKASHPMEDYHVAKFAVLKGHELGLFAIFDGHLGDSVPSYLQKHLFANILKEEEFWSHPDRAITKAYDKTDKAILSHSPDLGRGGSTAVTAILIDGTKLWVANIGDSRAVLAKGRQVIQMTVDHEPSTERGSIETRGGFVSNMPGDVPRVNGQLAVSRAFGDKSLKSHLRSDPDICAQDVDSEAELLILASDGLWKVVNNEEAVDIARKCKDAQTAARQLTTVAVDRDSKDDISCIVVRFRS >DRNTG_00560.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30115716:30120178:-1 gene:DRNTG_00560 transcript:DRNTG_00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLCCFKVGYSQSSSSAGKGKSCQGSNRVTYGFSLVKGKASHPMEDYHVAKFAVLKGHELGLFAIFDGHLGDSVPSYLQKHLFANILKEEEFWSHPDRAITKAYDKTDKAILSHSPDLGRGGSTAVTAILIDGTKLWVANIGDSRAVLAKGRQVIQMTVDHEPSTERGSIETRGGFVSNMPGDVPRVNGQLAVSRAFGDKSLKSHLRSDPDICAQDVDSEAELLILASDGLWKVVNNEEAVDIARKCKDAQTAARQLTTVAVDRDSKDDISCIVVRFRS >DRNTG_00560.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30115716:30120178:-1 gene:DRNTG_00560 transcript:DRNTG_00560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERLCCFKVGYSQSSSSAGKGKSCQGSNRVTYGFSLVKGKASHPMEDYHVAKFAVLKGHELGLFAIFDGHLGDSVPSYLQKHLFANILKEEEFWSHPDRAITKAYDKTDKAILSHSPDLGRGGSTAVTAILIDGTKLWVANIGDSRAVLAKGRQVIQMTVDHEPSTERGSIETRGGFVSNMPGDVPRVNGQLAVSRAFGDKSLKSHLRSDPDICAQDVDSEAELLILASDGLWKVVNNEEAVDIARKCKDAQTAARQLTTVAVDRDSKDDISCIVVRFRS >DRNTG_03970.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26374002:26377192:1 gene:DRNTG_03970 transcript:DRNTG_03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLQATWIILDRQMKKDRTYFMDRLSCGISRMKHNGEVRLVRGPVSMQEKADTPSKSIQSNNIKYDEMLPEQEQEEIVPEVSNIVTDDNNDDDLFSLDFSPLKLPLQNVDSTTELKDEKVSSTLTFDANKDSGIEDSFISWEVPNDDSIAVLNCANWYSTRDEHRDLDIGDEKCNMESEILADGLPKFQQEEKLNSVECLEFAKVGPVTEQKTDFTYAELYKATNGFSSKNFISEGGFGLVFKGVLSDGQWIAVKQHKDASMQGDKEFRSEVQVLSKASHKNVVKLFGSCSEGNHRLLVYEYVSNGSLDMHLSKNSSRVLSWKDRMNIALGAASGLNYLHQKKIVHRDMRPGNILITQNYEPLLGDFGLARAQQNDSDRSSDNKVVGTVGYLAPEYAERGKFSNKTDVYSFGVVLLELITGKTTMEKRLQEKSLAEWARPLLKERKYPDLIDERLLECHDVHQLFWMVSLAEKCLSKDADKRPPMDKVENALRCIIEGKTTEGMDEFSPTRSFSGLSLSSESQDGEEPDQLDLASPDAYPISKNRKSESSSTLSSFFSNETSSTFKSPEVSNSGRRSYKKPISRRSFFYDEMLI >DRNTG_03970.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26374321:26377192:1 gene:DRNTG_03970 transcript:DRNTG_03970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDRTYFMDRLSCGISRMKHNGEVRLVRGPVSMQEKADTPSKSIQSNNIKYDEMLPEQEQEEIVPEVSNIVTDDNNDDDLFSLDFSPLKLPLQNVDSTTELKDEKVSSTLTFDANKDSGIEDSFISWEVPNDDSIAVLNCANWYSTRDEHRDLDIGDEKCNMESEILADGLPKFQQEEKLNSVECLEFAKVGPVTEQKTDFTYAELYKATNGFSSKNFISEGGFGLVFKGVLSDGQWIAVKQHKDASMQGDKEFRSEVQVLSKASHKNVVKLFGSCSEGNHRLLVYEYVSNGSLDMHLSKNSSRVLSWKDRMNIALGAASGLNYLHQKKIVHRDMRPGNILITQNYEPLLGDFGLARAQQNDSDRSSDNKVVGTVGYLAPEYAERGKFSNKTDVYSFGVVLLELITGKTTMEKRLQEKSLAEWARPLLKERKYPDLIDERLLECHDVHQLFWMVSLAEKCLSKDADKRPPMDKVENALRCIIEGKTTEGMDEFSPTRSFSGLSLSSESQDGEEPDQLDLASPDAYPISKNRKSESSSTLSSFFSNETSSTFKSPEVSNSGRRSYKKPISRRSFFYDEMLI >DRNTG_20041.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15937993:15938709:1 gene:DRNTG_20041 transcript:DRNTG_20041.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRVKIKSTYQISSKAQRTASTLDPYTGFRSLRRRIERKPKQAVKSRLFGSLSVRKNSSDQMLERKPQTSSDARGLTRCCEKACDGSGGGEEAWGEGMRYV >DRNTG_17553.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29125179:29128934:-1 gene:DRNTG_17553 transcript:DRNTG_17553.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase/prephenate dehydratase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G07630) UniProtKB/Swiss-Prot;Acc:Q9SSE7] MAATRASWIHSVPSPTLEAERSSVQAPSRLFLKPRRKALVLASVRTGGADGDGSVLNSTGSSIDLNRSSLDSSSEFASKDPSTLPRPLSRVDLSGGGNGSRLRVAYQGLPGAYSESAAAKAYPNCEAVPCATFENAFEAVEKWNVDRAVLPIENSLGGSIHRNYDLLLRHKLHIVGEVKYAVCHCLLANHGVKLEQLRRVLSHPQALAQCEHTLAKLGVVRENVDDTAGAAKFIADNKLEDAGAVASSLAAELYGLNILARDIQDDSDNITRFLMLAREPIIPGTEKPFKTSIVFSLEEGPGELFKALAVFALRKINLSKIESRPQQNRLLRGAEHDGKDGSNCFDYLFYVDFESSMASSVAQNALKHLKEFATFLRVLGSYPMDTSQA >DRNTG_10731.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1966185:1966853:1 gene:DRNTG_10731 transcript:DRNTG_10731.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGAHTIGQARCVSFRTRVYNESNIDASFASTTQSNCPTSTDGDDNLAPLDANSSTFFDTCYYKNLVNKKGLLHSDQQLYSGGSADSQVSSYSTNTAKFFNDFAAAIVKMGNISPLTGSDGEIRTNCRKTN >DRNTG_10731.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1964928:1966853:1 gene:DRNTG_10731 transcript:DRNTG_10731.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLTLAFLMLFATIANAELSSDFYDNSCPQALHTIKLAVYAAVAKEPRMGASLLRLHFHDCFVNGCDGSVLLDDTSSFTGEKTATPNKNSLRGFDVIDTIKSKVESACKQVMSCADILAVAARDSVVALGGPSWTVQLGRRDATSASLSAANSDIPSPVSDLSDLISAFSKKGLSTTDMIALSGAHTIGQARCVSFRTRVYNESNIDASFASTTQSNCPTSTDGDDNLAPLDANSSTFFDTCYYKNLVNKKGLLHSDQQLYSGGSADSQVSSYSTNTAKFFNDFAAAIVKMGNISPLTGSDGEIRTNCRKTN >DRNTG_23334.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6148911:6149713:1 gene:DRNTG_23334 transcript:DRNTG_23334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQGYAVELYFDPALENQVLKAWNVLARRQITKHLIDMQSRPHITLLSSPSLDPHRLLSSLRSLASRHEPFPLSLSAAAAFPGDPAVLFLSPTPSLSLLSLHSQLCDALRKESFDAPDEFRVDSWVPHCSVAQDVAGTRVAEAFCILRDLKLPVTGYVTELGVVEFSPVREIFSFPLGSLSES >DRNTG_08514.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28860718:28869807:1 gene:DRNTG_08514 transcript:DRNTG_08514.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein S-acyltransferase 24 [Source:Projected from Arabidopsis thaliana (AT5G20350) UniProtKB/Swiss-Prot;Acc:Q52T38] MASEIEVVDEGPVASAPSEEELKNDVYTAAAYGDLEKLQRLVETEGCSVSEPDGSGYYALQWAALNNRTAAAQYIIEHGGDVNATDHTAQTALHWSAVRGHIQVAELLLKEGARVDAADMYGYQTTHVAAQYGQTTFLYHIVTKWNADPDVPDNDGRSPLHWAAYKGFADSIRLLLFLDAYRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKREDLMVTDNTGFTPAQLASDKNHRQVAFFLGNARRLFDKRCDGNSPLGKISKLGLAPALWIVIIILLVTYIHSVVLASSFPNLTAGSGFFAWLGVLSATTGLVMFYRCSRKDPGFINMKVRDSQNVRDDEPLLKMELSDPVLLAGNWSQLCATCKIVRPQRSKHCSTCDRCVEQFDHHCPWVSNCIGKRNKWDFFLFLLLEFSAMVITGAVTISRITSDPTAPSSFRARINHVLTHHPGAISFLIMDFMLFFGVAVLTAVQASQIARNITTNEMANAMRYTYLRGPGGRFRNPYDHGVRKNCTDFFIKGYSEDIERPEEPSSAEEIGMLPITRNTNLHNGECHNHHSNGSTHVCSAAEPKNSKSHINSSHNNHNNDKTEKVPLGLGFGLGRNNVRHNARSILAS >DRNTG_30525.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21414967:21418110:1 gene:DRNTG_30525 transcript:DRNTG_30525.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRVLVTGAAGQIGYALVPMIARGAMLGPNQPVILHMLDIPPAAGALNGVKMELIDAAFPLLKGVVATTDVVEACSGVNIAIMVGGFPRKEGMERKDVMSKNVSIYKAQASALEQHAAPDCKVLVVANPANTNALILKEFAPSIPAKNITCLTRLDHNRALGQISERLNVQVSDVKNVIIWGNHSSTQYPDVNHATVITKDGEKPVRELIADDKWLNGEFITTVQQRGAAIIKARKLSSALSAASSACDHIHDWVLGTPKGTWVSMGVYSDGAYDIQPGLIYSFPVTCENGEWSIVQGLKIDEFSRGKMNATANELAEEKSLAYSCLA >DRNTG_30525.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21414687:21418110:1 gene:DRNTG_30525 transcript:DRNTG_30525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFYLILRFLKSLFMRSVLGCEKQEPLRVLVTGAAGQIGYALVPMIARGAMLGPNQPVILHMLDIPPAAGALNGVKMELIDAAFPLLKGVVATTDVVEACSGVNIAIMVGGFPRKEGMERKDVMSKNVSIYKAQASALEQHAAPDCKVLVVANPANTNALILKEFAPSIPAKNITCLTRLDHNRALGQISERLNVQVSDVKNVIIWGNHSSTQYPDVNHATVITKDGEKPVRELIADDKWLNGEFITTVQQRGAAIIKARKLSSALSAASSACDHIHDWVLGTPKGTWVSMGVYSDGAYDIQPGLIYSFPVTCENGEWSIVQGLKIDEFSRGKMNATANELAEEKSLAYSCLA >DRNTG_26028.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23244339:23247690:-1 gene:DRNTG_26028 transcript:DRNTG_26028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIHEQDPLQKPKQDRYALRTSPQWLGPQIEVIRMSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQISELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQSLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVEILKLMTSTYLVALCQAIDLRHLEENLKSTVKNTVSQVAKRVLTMGVNGELHPSRFCEKDLIKVIDREYVFAYIDDPCSYTYPLMQKLRQVLVEHALNNGEKEKNGNTSIFQKITAFEEELKAILPKEVEAARVAYENGSPEVANRIKECRSFPLYQFVREELGTALLTGEKVRSPGEEFDKVFTAISQGKVIDPLLECLRDWNGAPIPIC >DRNTG_05136.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30631598:30637743:-1 gene:DRNTG_05136 transcript:DRNTG_05136.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVRASSSSSSSRWRYLRPSYYLKRPKRLALVFISFVLLSLAFWDRVSLIRDHEAEVAKLSDELNQLKDKLRNLEQLEIADEVRSVSGEKSDIDALDPIDKERREKVKEAMLHAWNSYEKYAWGQDELQPQSKSGINSFGGLGATLVDSLDTLYIMGLHDQFQKAKEWVANSLDFNKDYEASVFETTIRVVGGLLSAYDLSGDRVFLEKAKDIADRLLPAWNTPSGIPFNRINLAHGNPHNFGWTGGSSILADSGTEQLEFIALSQRTGEPKYQQKVENVITQLQKTYPDDGLLPIYINPHSGTPSHSTITFGAMGDSFYEYLLKAWIQGNKSESVKHYREMWEKSMEGLLSLVQKTTPSSFTYLCEKNGASLSHKMDELACFVPGMLALGSFGYGPEKAEKFLELSKELAWTCYNFYQSTPTKLAGENYYFSNGQDMNVGTSWNILRPETVESLMYLWRFTGNKTYQDWGWNIFQAFEKNSRIDSGYVGLRDVNTGVKDDMMQSFFLAETLKYLYLLFSPPSYISFDEWVFNTEAHPLRIVPQNNQTNGTKGSDGKAVPRPPVRFSGGRKEGRWAH >DRNTG_05136.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30631598:30637743:-1 gene:DRNTG_05136 transcript:DRNTG_05136.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSFYEYLLKAWIQGNKSESVKHYREMWEKSMEGLLSLVQKTTPSSFTYLCEKNGASLSHKMDELACFVPGMLALGSFGYGPEKAEKFLELSKELAWTCYNFYQSTPTKLAGENYYFSNGQDMNVGTSWNILRPETVESLMYLWRFTGNKTYQDWGWNIFQAFEKNSRIDSGYVGLRDVNTGVKDDMMQSFFLAETLKYLYLLFSPPSYISFDEWVFNTEAHPLRIVPQNNQTNGTKGSDGKAVPRPPVRFSGGRKEGRWAH >DRNTG_32218.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2026983:2031996:1 gene:DRNTG_32218 transcript:DRNTG_32218.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSRVASEFNHINKLDLVCRAHQLIQEGLKYMFQDKGLVTVWSAPNHCYRCGNVASILTFNENMEREVKFFTETQENNQMRGPRTGVP >DRNTG_32218.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2026983:2031996:1 gene:DRNTG_32218 transcript:DRNTG_32218.12 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHPRKTIQVYGFYDEYQRKYGNANAWQYCTDVFYYLTLSAIIDGTVLFVHGGLSPDIRSIDQMRVID >DRNTG_32218.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2026983:2031996:1 gene:DRNTG_32218 transcript:DRNTG_32218.8 gene_biotype:protein_coding transcript_biotype:protein_coding MFQDKGLVTVWSAPNHCYRCGNVASILTFNENMEREVKFFTETQENNQMRGPRTGVP >DRNTG_32218.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2026983:2031996:1 gene:DRNTG_32218 transcript:DRNTG_32218.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSRVASEFNHINKLDLVCRAHQLIQEGLKYMFQDKGLVTVWSAPNHCYRCGNVASILTFNENMEREVKFFTETQENNQMRGPRTGVP >DRNTG_32218.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2026983:2031996:1 gene:DRNTG_32218 transcript:DRNTG_32218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHPRKTIQVYGFYDEYQRKYGNANAWQYCTDVFYYLTLSAIIDGTVLFVHGGLSPDIRSIDQVHCFL >DRNTG_32218.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2026983:2031996:1 gene:DRNTG_32218 transcript:DRNTG_32218.13 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHPRKTIQVYGFYDEYQRKYGNANAWQYCTDVFYYLTLSAIIDGTVRQHFFKHSNELCFLLQFPSLLVAVLFTLILILSIDFCLDLQVLFVHGGLSPDIRSIDQVHCFL >DRNTG_32218.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2026983:2031996:1 gene:DRNTG_32218 transcript:DRNTG_32218.15 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSRVASEFNHINKLDLVCRAHQLIQEGLKYMFQDKGLVTVWSAPNHCYRCGNVASILTFNENMEREVKFFTETQENNQMRGPRTGVP >DRNTG_32218.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2026983:2031996:1 gene:DRNTG_32218 transcript:DRNTG_32218.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSRVASEFNHINKLDLVCRAHQLIQEGLKYMFQDKGLVTVWSAPNHCYRCGNVASILTFNENMEREVKFFTETQENNQMRGPRTGVP >DRNTG_32218.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2026983:2031996:1 gene:DRNTG_32218 transcript:DRNTG_32218.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHPRKTIQVYGFYDEYQRKYGNANAWQYCTDVFYYLTLSAIIDGTVRQHFFKHSNELCFLLQFPSLLVAVLFTLILILSIDFCLDLQVLFVHGGLSPDIRSIDQVHCFL >DRNTG_32218.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2026983:2031996:1 gene:DRNTG_32218 transcript:DRNTG_32218.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSRVASEFNHINKLDLVCRAHQLIQEGLKYMFQDKGLVTVWSAPNHCYRCGNVASILTFNENMEREVKFFTETQENNQMRGPRTGVP >DRNTG_32218.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2026983:2031996:1 gene:DRNTG_32218 transcript:DRNTG_32218.14 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHPRKTIQVYGFYDEYQRKYGNANAWQYCTDVFYYLTLSAIIDGTVLFVHGGLSPDIRSIDQVHCFL >DRNTG_32218.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2026983:2031996:1 gene:DRNTG_32218 transcript:DRNTG_32218.11 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHPRKTIQVYGFYDEYQRKYGNANAWQYCTDVFYYLTLSAIIDGTVRQHFFKHSNELCFLLQFPSLLVAVLFTLILILSIDFCLDLQVLFVHGGLSPDIRSIDQVHCFL >DRNTG_32218.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2026983:2031996:1 gene:DRNTG_32218 transcript:DRNTG_32218.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSRVASEFNHINKLDLVCRAHQLIQEGLKYMFQDKGLVTVWSAPNHCYRCGNVASILTFNENMEREVKFFTETQENNQMRGPRTGVP >DRNTG_32218.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2026983:2031996:1 gene:DRNTG_32218 transcript:DRNTG_32218.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHPRKTIQVYGFYDEYQRKYGNANAWQYCTDVFYYLTLSAIIDGTVLFVHGGLSPDIRSIDQVHCFL >DRNTG_32218.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2026983:2031996:1 gene:DRNTG_32218 transcript:DRNTG_32218.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSRVASEFNHINKLDLVCRAHQLIQEGLKYMFQDKGLVTVWSAPNHCYRCGNVASILTFNENMEREVKFFTETQENNQMRGPRTGVP >DRNTG_20072.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1272202:1273374:-1 gene:DRNTG_20072 transcript:DRNTG_20072.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERDVVSWNIMITGCLKCRCPEAGLELFREMERVGVLSDVATMISIATACGRLGLIRYGRSVHGYFVRSFREKSNLIFETTLVNMYSKCKRVDVARKVFDRIAEKNLVSWNTMILGHCIHACAQDGLALFDEMVQIGEEDSEVKPDETTFVGILLGCSRAGLLDEGRRYFRRDDKHTWSQTHICTLLVHGESIRESRYGSRSGGGVDEHAGGHRVTSVEYFAWFMSFSWGHRIS >DRNTG_34908.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20676153:20677892:-1 gene:DRNTG_34908 transcript:DRNTG_34908.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPLTLHRHPMCADIIEQFQKCHADHPISKFFGECTELKVKLDRCFRQEKALKRKANFEESKKFKERLQAYRKEINEKSTEDQNQKNV >DRNTG_14565.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17688592:17697185:1 gene:DRNTG_14565 transcript:DRNTG_14565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDKEELRRKLLMPEYIREAMVKSMKRGFEADKAKDNVVSDDSALKWEEEVVANALAKKGNGVVVPEVPLVVFVSPKSGGGHGTKLKDRLEDLMAKEQVFDLDDKEKRPAEFVKYGLVCLERLAELGDSCARLVRQRLRVMVAGGDGSVGWVLGSLAELIKQKREPVPPVGIIPLGTGNDLSRSFGWGGSFPFAWRSAVKRSLHRAINNPTRHLDSWQIVLKMPASAGDIVPPYSLRPVDKCDFSQGWMLK >DRNTG_14565.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17692347:17697185:1 gene:DRNTG_14565 transcript:DRNTG_14565.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQVAYGFHQLREKKPKLAKGPITNKLIYSGYSCSQGWFCTPCLGAPCLRELKNIMELYIKRPNHTDWEPISVPPDVRSIVALNLHNYGGGRNPWGHPTNEYLHERGFLEAHEDDGLLEIFGLKQGWHASFVMVELITAKHIAQAAAIKLEIKGNQCQKTFMQTDGEPWKQPLDEKSSTFVMINRMPFQHLMISGM >DRNTG_14565.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17694484:17697185:1 gene:DRNTG_14565 transcript:DRNTG_14565.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELYIKRPNHTDWEPISVPPDVRSIVALNLHNYGGGRNPWGHPTNEYLHERGFLEAHEDDGLLEIFGLKQGWHASFVMVELITAKHIAQAAAIKLEIKGNQCQKTFMQTDGEPWKQPLDEKSSTFVMINRMPFQHLMISGM >DRNTG_22311.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:403503:412920:1 gene:DRNTG_22311 transcript:DRNTG_22311.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTENLRKRVSKWDVETTPDGDVPSKIGQENLLSQMSDVSLKHQETNLDLNASKCDTNQISMHTDIEGNITVQISESSEVEQLTEYADDGSIRTFDDSSKMSEYNKHSDMEIDPEDDCKKSCQELISESQIKVKDGGDIETEGRGTAPEQSNRRNMSPGVHMQRRRSRSNSPRNGRNRSYRSRSNSRGRSKSRSWSRSWSQSRSRSRSWSCSGSRSPSHRSRRGSEKRIGKERMVSRGAEPCRDFTAGRCRRGSQCRFLHDDARRQYEKHPSRVTLEKGDSNVRDDARRQYEKHSSRGTLEKGDSNLRDDARRQYEKHFSRGILEKGDSNLHDDARKPYNKHSSRSTLEKADGNLHDDTRRQYDKYFSRGTSEKGDSKFGRGRSSGFDVKDNVTSLQAERDYSHYKQSNRNGNQYDDDRKKSELHRNNRSSEHSQRGSICRLIHHESSQGGLNADGEAKEKMHGRRDADASFQRRIEPHKADDNAPGQFFAEGHGQHGDVSRFSHQDVTHVGSKERLRGGNRLGEDVIVLSVPNLGDRPSSTYLNNSSQRISNVASATKGASVEFLGREVLRQDPHLPKYTNPVESKYENLSQEAPQRSVPSQIRNGTPITSEQHQQAVAVSTKSMPDSTSVQQSSTMKVGGSGVNPFPGDALGQNNIHHAIVPPLHRQNFGPNVQLQQAVSSFASSGQMHQQATFPLPLNQLVGLQGPSTPQNFNIGSQSLVVLPSPLIGQRFQSPMLGVQGQQNVQVLQNGQIQQNYNLIGQNQQRLPTPYAGHNQLNVNLNGQIQQSIPLPSHIPQQQVPGNLIVRSQTSLAPIYSGQGQQNHDFGSQSQLSLPLSQDLQIQQALSSLGSNGQKQHAALQPPLDTRSLKPNEQSHQINLQQSANSHQPTQTAGDGLTQKIDIPAKPSELSSDTTTRKVVTSEQAVQISNLSASLAQFFGSAQNLPQLYATLNPLPVGGSAPSHPFLNPGSARPVVPAAIPPTISIQPGQGEVVQQHQDPASDSAEPIIPDTSDLPPGFSANPVGQKDLKLENSQASKGMTKLAFTDEEEQAEVIADNELKEVNEAETDQTKKEQAARSEDADLEIKTDGESKKNKDAKGIRMFKCALVEFVKDILKPHWKEGLLSKEVHKTIVKKVVDKVTVVMQSGTVPQTPEKIEHYLSHSKAKLNKLVQAYMEKYA >DRNTG_22311.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:403503:412920:1 gene:DRNTG_22311 transcript:DRNTG_22311.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTENLRKRVSKWDVETTPDGDVPSKIGQENLLSQMSDVSLKHQETNLDLNASKCDTNQISMHTDIEGNITVQISESSEVEQLTEYADDGSIRTFDDSSKMSEYNKHSDMEIDPEDDCKKSCQELISESQIKVKDGGDIETEGRGTAPEQSNRRNMSPGVHMQRRRSRSNSPRNGRNRSYRSRSNSRGRSKSRSWSRSWSQSRSRSRSWSCSGSRSPSHRSRRGSEKRIGKERMVSRGAEPCRDFTAGRCRRGSQCRFLHDDARRQYEKHPSRVTLEKGDSNVRDDARRQYEKHSSRGTLEKGDSNLRDDARRQYEKHFSRGILEKGDSNLHDDARKPYNKHSSRSTLEKADGNLHDDTRRQYDKYFSRGTSEKGDSKFGRGRSSGFDVKDNVTSLQAERDYSHYKQSNRNGNQYDDDRKKSELHRNNRSSEHSQRGSICRLIHHESSQGGLNADGEAKEKMHGRRDADASFQRRIEPHKADDNAPGQFFAEGHGQHGDVSRFSHQDVTHVGSKERLRGGNRLGEDVIVLSVPNLGDRPSSTYLNNSSQRISNVASATKGASVEFLGREVLRQDPHLPKYTNPVESKYENLSQEAPQRSVPSQIRNGTPITSEQHQQAVAVSTKSMPDSTSVQQSSTMKVGGSGVNPFPGDALGQNNIHHAIVPPLHRQNFGPNVQLQQAVSSFASSGQMHQQATFPLPLNQLVGLQGPSTPQNFNIGSQSLVVLPSPLIGQRFQSPMLGVQGQQNVQVLQNGQIQQNYNLIGQNQQRLPTPYAGHNQLNVNLNGQIQQSIPLPSHIPQQQVPGNLIVRSQTSLAPIYSGQGQQNHDFGSQSQLSLPLSQDLQIQQALSSLGSNGQKQHAALQPPLDTRSLKPNEQSHQINLQQSANSHQPTQTAGDGLTQKIDIPAKPSELSSDTTTRKVVTSEQAVQISNLSASLAQFFGSAQNLPQLYATLNPLPVGGSAPSHPFLNPGSARPVVPAAIPPTISIQPGQGEVVQQHQDPASDSAEPIIPDTSDLPPGFSANPVGQKDLKLENSQASKGMTKLAFTDEEEQAEVIADNELKEVNEAETDQTKKEQAARSEDADLEIKTDGESKKNKDAKGIRMFKCALVEFVKDILKPHWKEGLLSKEVHKTIVKKVVDKVTVVMQSGTVPQTPEKIEHYLSHSKAKLNKLVQAYMEKYA >DRNTG_22311.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:403503:412920:1 gene:DRNTG_22311 transcript:DRNTG_22311.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTENLRKRVSKWDVETTPDGDVPSKIGQENLLSQMSDVSLKHQETNLDLNASKCDTNQISMHTDIEGNITVQISESSEVEQLTEYADDGSIRTFDDSSKMSEYNKHSDMEIDPEDDCKKSCQELISESQIKVKDGGDIETEGRGTAPEQSNRRNMSPGVHMQRRRSRSNSPRNGRNRSYRSRSNSRGRSKSRSWSRSWSQSRSRSRSWSCSGSRSPSHRSRRGSEKRIGKERMVSRGAEPCRDFTAGRCRRGSQCRFLHDDARRQYEKHPSRVTLEKGDSNVRDDARRQYEKHSSRGTLEKGDSNLRDDARRQYEKHFSRGILEKGDSNLHDDARKPYNKHSSRSTLEKADGNLHDDTRRQYDKYFSRGTSEKGDSKFGRGRSSGFDVKDNVTSLQAERDYSHYKQSNRNGNQYDDDRKKSELHRNNRSSEHSQRGSICRLIHHESSQGGLNADGEAKEKMHGRRDADASFQRRIEPHKADDNAPGQFFAEGHGQHGDVSRFSHQDVTHVGSKERLRGGNRLGEDVIVLSVPNLGDRPSSTYLNNSSQRISNVASATKGASVEFLGREVLRQDPHLPKYTNPVESKYENLSQEAPQRSVPSQIRNGTPITSEQHQQAVAVSTKSMPDSTSVQQSSTMKVGGSGVNPFPGDALGQNNIHHAIVPPLHRQNFGPNVQLQQAVSSFASSGQMHQQATFPLPLNQLVGLQGPSTPQNFNIGSQSLVVLPSPLIGQRFQSPMLGVQGQQNVQVLQNGQIQQNYNLIGQNQQRLPTPYAGHNQLNVNLNGQIQQSIPLPSHIPQQQVPGNLIVRSQTSLAPIYSGQGQQNHDFGSQSQLSLPLSQDLQIQQALSSLGSNGQKQHAALQPPLDTRSLKPNEQSHQINLQQSANSHQPTQTAGDGLTQKIDIPAKPSELSSDTTTRKVVTSEQAVQISNLSASLAQFFGSAQNLPQLYATLNPLPVGGSAPSHPFLNPGSARPVVPAAIPPTISIQPGQGEVVQQHQDPASDSAEPIIPDTSDLPPGFSANPVGQKDLKLENSQASKGMTKLAFTDEEEQAEVIADNELKEVNEAETDQTKKEQAARSEDADLEIKTDGESKKNKDAKGIRMFKCALVEFVKDILKPHWKEGLLSKEVHKTIVKKVVDKVTVVMQSGTVPQTPEKIEHYLSHSKAKLNKLVQAYMEKYA >DRNTG_22311.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:403503:412920:1 gene:DRNTG_22311 transcript:DRNTG_22311.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTENLRKRVSKWDVETTPDGDVPSKIGQENLLSQMSDVSLKHQETNLDLNASKCDTNQISMHTDIEGNITVQISESSEVEQLTEYADDGSIRTFDDSSKMSEYNKHSDMEIDPEDDCKKSCQELISESQIKVKDGGDIETEGRGTAPEQSNRRNMSPGVHMQRRRSRSNSPRNGRNRSYRSRSNSRGRSKSRSWSRSWSQSRSRSRSWSCSGSRSPSHRSRRGSEKRIGKERMVSRGAEPCRDFTAGRCRRGSQCRFLHDDARRQYEKHPSRVTLEKGDSNVRDDARRQYEKHSSRGTLEKGDSNLRDDARRQYEKHFSRGILEKGDSNLHDDARKPYNKHSSRSTLEKADGNLHDDTRRQYDKYFSRGTSEKGDSKFGRGRSSGFDVKDNVTSLQAERDYSHYKQSNRNGNQYDDDRKKSELHRNNRSSEHSQRGSICRLIHHESSQGGLNADGEAKEKMHGRRDADASFQRRIEPHKADDNAPGQFFAEGHGQHGDVSRFSHQDVTHVGSKERLRGGNRLGEDVIVLSVPNLGDRPSSTYLNNSSQRISNVASATKGASVEFLGREVLRQDPHLPKYTNPVESKYENLSQEAPQRSVPSQIRNGTPITSEQHQQAVAVSTKSMPDSTSVQQSSTMKVGGSGVNPFPGDALGQNNIHHAIVPPLHRQNFGPNVQLQQAVSSFASSGQMHQQATFPLPLNQLVGLQGPSTPQNFNIGSQSLVVLPSPLIGQRFQSPMLGVQGQQNVQVLQNGQIQQNYNLIGQNQQRLPTPYAGHNQLNVNLNGQIQQSIPLPSHIPQQQVPGNLIVRSQTSLAPIYSGQGQQNHDFGSQSQLSLPLSQDLQIQQALSSLGSNGQKQHAALQPPLDTRSLKPNEQSHQINLQQSANSHQPTQTAGDGLTQKIDIPAKPSELSSDTTTRKVVTSEQAVQISNLSASLAQFFGSAQNLPQLYATLNPLPVGGSAPSHPFLNPGSARPVVPAAIPPTISIQPGQGEVVQQHQDPASDSAEPIIPDTSDLPPGFSANPVGQKDLKLENSQASKGMTKLAFTDEEEQAEVIADNELKEVNEAETDQTKKEQAARSEDADLEIKTDGESKKNKDAKGIRMFKCALVEFVKDILKPHWKEGLLSKEVHKTIVKKVVDKVTVVMQSGTVPQTPEKIEHYLSHSKAKLNKLVQAYMEKYA >DRNTG_25389.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24400906:24401400:-1 gene:DRNTG_25389 transcript:DRNTG_25389.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold shock protein 1 [Source:Projected from Arabidopsis thaliana (AT4G36020) UniProtKB/Swiss-Prot;Acc:O65639] MAEKEKGTVKWFDSSRGFGFIRPDNGREDLFVHQSSIQSDGYRTLTDGEKVEFFISEGDDGRTKAVDVSSIDGGASQGGGGRREGYGRGGGRRGGGDGGFGGRGACYTCGETGHLARDCHQRGGGGGGGGRYGGGGGGGGGGGGCYNCGETGHFARECPDSKQG >DRNTG_02043.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000101.1:3098:8115:1 gene:DRNTG_02043 transcript:DRNTG_02043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKGRRESLFSLGTLLYRVAHRLSLSKAPDNRAKCAKFFKKCLDFLREHDHLIVRAYAHEQFARLILKCYEELEITSESFLLESEVTVTDLEDESSEFSVGTFGSTVRDTEPFKTGEGKIATARDSCIAKDVTVPATTEAGDLVDSSSSYKAHDNLAMCPISSTSHPVVRTITDPISSRLAAIHHVSQAIKSLRWNRQLQNTKGGLIDSGLRSHERRANSSFCGCGDADCIEVSDIREWLPKSKMDSKMWKLVLLLGESYLSLGEAYKDDGQLHQALTAVELACLIYGSMPQHLEDAQFISSMVGSTSYQLNLKDCQVKANLVVDSDESLEFSPSEEGFTGQFSPTYLFWPKAWTLVGDVYVEYYRTRVKEIPVQGEQKTSGSGLHMSNEVVREVKRLKRKLGQYKKNCSTCYLLNCSCQSDRASSGSSASSSSGDVPAYHGRKQNRKSNSRNVSLSLLGNTQDSNRLCRVENNNNSINNSDNSKYDNDDYNNIRDMGTFNKTYNLNKASAVGNYVHTDEGVKADSMEPIKVDGFTSDGKDASKVRHGGIFMFLKGPRTGDVEYNMLAAIDCYNGARKAMDRLPNVSVDLYPLLRKKGWVCNELGRYRLENRNLTSAEIAFADAIKAFKEVSDHTNIILIDCNLGHGRRALAEELVAKMDELKRHPLLQNAYEQAMKTAKLEYLESLRYYASAKAELNSVVDEIDPLLRNEVYTQLAHTYLRLGMLLAREGISHEGFESGSSDSLLIEGKSEERRKRDISAGDAFREALSTYESLGESRKQEAAFSHFQLACYHRDFCLKFLDLDQKQVTHLKSEVNLRQKAKWYASLAEKHWQKSKDYYGPKTHSIMYLSILMELSALSLSLSSSLHSNMMLEVALHHLLEGRQVVVENEGGTYANDPEIQNKFLNQLRALLKSMLAASISGSPSKTW >DRNTG_35283.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:693367:693826:1 gene:DRNTG_35283 transcript:DRNTG_35283.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQFINHSRERERERERERAEARENLQEMDWSWITGWQGGRPCGRAPPTRRSRTSGSSPSHPSGCLVVFPRSLQSALACT >DRNTG_35283.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:688296:693826:1 gene:DRNTG_35283 transcript:DRNTG_35283.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSWITGWQGGRPCGRAPPTRRSRTSGSSPSHPSGCLVVFPRSLQSALACT >DRNTG_20880.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16217258:16219530:1 gene:DRNTG_20880 transcript:DRNTG_20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPAFAGRDLAGITPASSWTGYATSPEISLRASHSFSISRSLSAAQWIFWVGPFIGASIFRRIVIREIPFKSRS >DRNTG_26395.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18087692:18088710:-1 gene:DRNTG_26395 transcript:DRNTG_26395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTFALNKDYHMVKMLHVTMWQLLKVEGLSGFTSSLFTPYILRF >DRNTG_18545.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1419258:1423027:1 gene:DRNTG_18545 transcript:DRNTG_18545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLRAASRSIQLSLRSSLALNESLASSPAPLPLLFARHFSGSRFRDDFPPYRVEPTTLPMNRGVRIVPEKKTFVVEAFEERATLNENIVEKTRGETERLGLAGAGSFKSMNFVQSILLSEKDEQYSRKKNTDFVHVLLKKNKTFVTVTDARGNKKTGASAGCLEERKGRSRLSRYAAEATAEHVGRSARKMGLKSVVMKVKGSTFIRKKNKVILSWREGFQGEGVRDQSQIMYIHDVTQLPHNGCRLPKKRRV >DRNTG_26493.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:663650:665687:-1 gene:DRNTG_26493 transcript:DRNTG_26493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESSTPHLLPLALRSGPLSRTLPGTSTGTRDNRSSSRFQNSRSIYLIETVSMKLKLRRSNIKITVHLEVPTTIQPIATLVNEFMYLSCN >DRNTG_26493.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:663650:665590:-1 gene:DRNTG_26493 transcript:DRNTG_26493.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIESSTPHLLPLALRSGPLSRTLPGTSTGTRDNRSSSRFQNSRSIYLIETVSMKLKLRRSNIKITVHLEVPTTIQPIATLVNEFMYLSCN >DRNTG_26493.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:663769:665590:-1 gene:DRNTG_26493 transcript:DRNTG_26493.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIESSTPHLLPLALRSGPLSRTLPGTSTGTRDNRSSSRFQNSRSIYLIETVSMKLKLRRSNIKITVHLEVPTTIQPIATLVNEFMYLSCN >DRNTG_26493.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:663769:665687:-1 gene:DRNTG_26493 transcript:DRNTG_26493.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIESSTPHLLPLALRSGPLSRTLPGTSTGTRDNRSSSRFQNSRSIYLIETVSMKLKLRRSNIKITVHLEVPTTIQPIATLVNEFMYLSCN >DRNTG_29474.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:858866:863374:-1 gene:DRNTG_29474 transcript:DRNTG_29474.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DAMAGED DNA-BINDING 2 [Source:Projected from Arabidopsis thaliana (AT5G58760) UniProtKB/Swiss-Prot;Acc:Q6NQ88] MAPLTRAKASSSRVAPILIQRDSDDSDHYHSSSSDFDDEEQVGAGDSSDDEDMGTNGLPTSEELHHEPPNTTSNAPPRITISLKKICKVCKGTGHEAGFSGATYIDCPRKPCYLCKMPGHTTMSCPHRVAMEHGVVPAPRRNTSTTLDYIFQRQLNPNIPMIKPAFVIPDQLTCGIIRFHSRRVTCLEFHPTKNSVLLSGDKKGQVGIWDYSKLHEKTVYNSIHTCIVNNIKFNTDNDGTMYASSSDGTVSCTDLETGVPFVMLDLNPNGWIGPAAWRMVYGMDINTEKGLVLVADNFGCLYFLDTRSRTRAGGPFLIHRKGTKVVGLNCNPVEPGLLLSCGNDHFARIWDIRRLEAGSSLDNLRHGRVVNSAYFSPRTGSKILTTSIDNRIRVWDSIFTNMESPSREIVHSQDFNRHLTPFRAEWDPKDPSESLAVVGRYISENYDGVALHPIDFIDTASGKLVAEVIEPDITTISTVNKLHPRDDVLATGSSRSLFIWRPKEDIERMQEQPGRKAKEFIIRMGDKKSKGKGSIDSDDDSDLGFKGKTSKTKKYEKSYTSGKIKKSKGVC >DRNTG_29474.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:858866:863374:-1 gene:DRNTG_29474 transcript:DRNTG_29474.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DAMAGED DNA-BINDING 2 [Source:Projected from Arabidopsis thaliana (AT5G58760) UniProtKB/Swiss-Prot;Acc:Q6NQ88] MAPLTRAKASSSRVAPILIQRDSDDSDHYHSSSSDFDDEEQVGAGDSSDDEDMGTNGLPTSEELHHEPPNTTSNAPPRITISLKKICKVCKGTGHEAGFSGATYIDCPRKPCYLCKMPGHTTMSCPHRVAMEHGVVPAPRRNTSTTLDYIFQRQLNPNIPMIKPAFVIPDQLTCGIIRFHSRRVTCLEFHPTKNSVLLSGDKKGQVGIWDYSKLHEKTVYNSIHTCIVNNIKFNTDNDGTMYASSSDGTVSCTDLETGVPFVMLDLNPNGWIGPAAWRMVYGMDINTEKGLVLVADNFGCLYL >DRNTG_35421.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20350474:20350710:-1 gene:DRNTG_35421 transcript:DRNTG_35421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQADPPPKAVKVTMSKRVPLVGDELQAYEEEQNRLKREAALKANIVKEEELKASRSSENNVPEPMAIDSGSSH >DRNTG_08633.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000389.1:266:1029:1 gene:DRNTG_08633 transcript:DRNTG_08633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPPRGRVGWPATRPCTHAGPWQAHGPRGMSSPSAVRPRGCMDWPAARPGAMCLRGALAKLITRPRGCVACHTPTWAGKVPNDLESDVVMFLSWTRPRDLVPQSCDLHSLYNTPMS >DRNTG_07529.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14341297:14342490:-1 gene:DRNTG_07529 transcript:DRNTG_07529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHNHLSMATKKRVALVAGVTGLVGRELAKSLLSLKSQWGIIYGAARQWKTETLDHFSDPAYYFVDCDFLDEEYTLRKLSPLADQITHLFWVTWASQCTLETVDCCNLNRSMLSNVLDALLLSTNNSSLSHVSLQTGTAHYVSLQVPKFGSERDQYYDENSPRVVVDGGGEALNFYYTLEDLLRERLARRRIGWSVHRPGLLLGVSTRSHFNFIGTLCVYGSICRHLGLPFTFYGDRKCWEQPFMDVSDSRLVADQLIWWACLTHCGHSDNQGQAFNAVNGATYTWSQIWPALSYTFCGGDDGGFSEEKTYMELMGDKGDVWGEMVHKYQLCPTSMEEMANWMLLDSAFRFPVNLLVTREKAYSLGFTTVHDVDTAASILYWVDRMREERLIPYLD >DRNTG_06587.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:569825:570492:1 gene:DRNTG_06587 transcript:DRNTG_06587.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEKKPPSVVGSISGEGLTADKSSQGECNPEGRTKMKKAEEVGREYSEITNMQVEEGGKRRRSEGYLDVLVEAVRQVSGFFSDEEEEEEEEEEEEEKEDETRAEEAAAAESRKKRGLDLEEECGPVVRSKRGRNQALPSRYRDSVLEPWGKLPTVTRCSKAARR >DRNTG_19888.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18132117:18135903:-1 gene:DRNTG_19888 transcript:DRNTG_19888.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKGEITKKMRLPFLLYHMQGLSHEDSWSLFKECAIPLDQGACQNSREIEEVGLEIVAKLEGLPLFVRMVGCLLCLDFNLNDWKMFLNADVWMYKSEDLSFFQSSSFRNKSVDYELLMFSRTKFSVDYTKPKSQDIFEEYIMHGLICDLALSITAYESLYLSPVTRNMKKNVRHLSLQSDKDTIAEALDLAMLYNLRMPLLHRTKCSSFDYDTLFMNLKWIRVLCLSDIGLKTLPNSIGNLKQLRCLYLTKTSVDTVPQVLCSLRNLQTLKLNISSSILQSLPCSMSNLINLRHLKANGSGKMYKIKQLTSLQELEKFTVVNKNGHKIVLRDLSLVGQLKSLKILRLDGLLKVQPVDLQYNRDDDRLFPYLRILDMSRCSSSIEVPLTLEAMRLYKVGLLTLLRLHQTRSSISSSSITPLQISVCQRLTTPQVGLLARQSQGQLQAFHNLVIQDCTELVHLPDYSFSALASLKTLSLTSDLFFIDIGRLHSLSSMVIKGEPVVDEDHPSEHTSVFESLPRGLLQHFKLLKELQIVDCEWLTMLGLQVLVSLKCLKIAGCLRLASCSSPSDKYPMLLEYLEIKESSLHILNGDQLRCKKDGEDWSKISHVFYIEIDNRNVKEEALSETGSSKEYIFVSTILDWNFPS >DRNTG_28492.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8331535:8332779:-1 gene:DRNTG_28492 transcript:DRNTG_28492.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISENHLAQTFIEWLKPPTTPSQQEQQPKKQEEGHCLPLLNRLEESHEKVMVKEEENENESLQDMVTVALHIGLPESASSEGDLEMKDESEGSTVASGSTRFWIPTPSQILIGPVQFSCSVCNKSFNRYNNMQMHMWGHGSEYRKGPESLRGTQPLAMLKLPCYCCAHGCKNNINHPRAKPLKDFRTLQTHYKRKHGVKPFMCRKCGKPFAVKGDWRTHEKNCGKLWYCTCGSDFKHKRSLKDHVRSFGKDHSPCIPHWISHNSS >DRNTG_31519.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11036868:11044118:1 gene:DRNTG_31519 transcript:DRNTG_31519.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter D family member 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G54350) UniProtKB/Swiss-Prot;Acc:Q6NLC1] MAFSILLSSPPPSRCFFPSAPSSTSLSYAPCRISVRLSLRSRRRSSVCSTITSSAAGSSLEQDKNEKRTGPDLPTLWRRFYKVAAPYWFSDDKNQARLRLASVFVLTLGTTGISVLFNFLGRDFYNALANKDQEQFTKQLLYYLAGFAGGIPVFVLRDYARETLSLRWRSWMTSYYMDRYLKDRTFYKIQSQSIIDNPDQRIVDDLSSFTGTALAFSLTLFNAAVDLISFSNILYGIYPPLFVVLLVYSLGGTALSVVLGKDLVTLNFMQEKKEADFRYGLVRVRENAESIAFYGGEGNELQLLVERFQRAFENLTKLLIASRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILSDFSLIVYQFQAISAFSAVIERLGEFDDILDGHNFVDNSKLNDTKGIMIICRSKPNQACLQSNGSIAVDISQHLLEIQNLTLETPRKSDILIKDLSLAINDQDHLLVMGPSGSGKTSLLRSLAGLWTTGSGNVIFYGEDSAHSHQLVSSEASSHDPTTTLETNEPEKLKNTRSEDIFFLPQRPYMVLGTLRQQLLYPTWTDDSFSEASSSRDLLYLPQVPTFKHQKPSDDDLIRMLELVHLGGVLPRFNGLDSIHEWSSVLSLGEQQRLAFARLLLAKPKLVLLDESTSALDEANEAHLYRLIESVGITYVSVGHRRTLYNYHNQVLRISKFDPSKSNERNWHLKSIDKVTADEPKKISPSS >DRNTG_31519.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11036868:11044118:1 gene:DRNTG_31519 transcript:DRNTG_31519.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter D family member 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G54350) UniProtKB/Swiss-Prot;Acc:Q6NLC1] MTSYYMDRYLKDRTFYKIQSQSIIDNPDQRIVDDLSSFTGTALAFSLTLFNAAVDLISFSNILYGIYPPLFVVLLVYSLGGTALSVVLGKDLVTLNFMQEKKEADFRYGLVRVRENAESIAFYGGEGNELQLLVERFQRAFENLTKLLIASRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILSDFSLIVYQFQAISAFSAVIERLGEFDDILDGHNFVDNSKLNDTKGIMIICRSKPNQACLQSNGSIAVDISQHLLEIQNLTLETPRKSDILIKDLSLAINDQDHLLVMGPSGSGKTSLLRSLAGLWTTGSGNVIFYGEDSAHSHQLVSSEASSHDPTTTLETNEPEKLKNTRSEDIFFLPQRPYMVLGTLRQQLLYPTWTDDSFSEASSSRDLLYLPQVPTFKHQKPSDDDLIRMLELVHLGGVLPRFNGLDSIHEWSSVLSLGEQQRLAFARLLLAKPKLVLLDESTSALDEANEAHLYRLIESVGITYVSVGHRRTLYNYHNQVLRISKFDPSKSNERNWHLKSIDKVTADEPKKISPSS >DRNTG_00766.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:12916362:12917609:-1 gene:DRNTG_00766 transcript:DRNTG_00766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGMTLLQFAWLFVLSPLLSIIREKGYDGDAAMVKNTNGEISVAPIYQESLEYASAVEDQSSETADSEIRILTELVTVLHVNQTEAMDKDEEYA >DRNTG_30218.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:88780:95826:1 gene:DRNTG_30218 transcript:DRNTG_30218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNARTPPPWDWESLALFSGKISELPKGEQESQWRIDGIGNGSVYSSGVGACSGSEFFNGCSPKSSFSASNDSSSKKSVMKVPGLNSLGADGFSQNISKKGELTRVDDTGNSPDVVPAVGPNEQMIGLKLGKRTYFEDVCAGSNTKSASSSASVTPSSTSVKKPKVSQQGTQASYCQVEGCNIDLSGAKDYHRKHRVCENHSKCPKVIVSGLERRFCQQCSRFHDLSEFDQKKRSCRRRLSDHNARRRKPQPETISFNSARLASSFYDDRHQMNLLVNRVPFGHTRPNMNSTWDASWDFKLMQTRGSWIKSTKPGVFDGQLGLSSGGLSNTNSSLRSDLDRLLPFKGTTAEVLNQGLEASAAASNLDGAPDLRRALSLLSTDTWGSAEPGLTSLVPVVDKSQTKGGLPAMQVMSSASDLWKDEQPLDQQARVLPFNLHSNGSQFQEFQLKAPYEAAFFDSDHIH >DRNTG_30218.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:88780:95826:1 gene:DRNTG_30218 transcript:DRNTG_30218.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNARTPPPWDWESLALFSGKISELPKGEQESQWRIDGIGNGSVYSSGVGACSGSEFFNGCSPKSSFSASNDSSSKKSVMKVPGLNSLGADGFSQNISKKGELTRVDDTGNSPDVVPAVGPNEQMIGLKLGKRTYFEDVCAGSNTKSASSSASVTPSSTSVKKPKVSQQGTQASYCQVEGCNIDLSGAKDYHRKHRVCENHSKCPKVIVSGLERRFCQQCSRCLFLYLL >DRNTG_30218.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:88780:95826:1 gene:DRNTG_30218 transcript:DRNTG_30218.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNARTPPPWDWESLALFSGKISELPKGEQESQWRIDGIGNGSVYSSGVGACSGSEFFNGCSPKSSFSASNDSSSKKSVMKVPGLNSLGADGFSQNISKKGELTRVDDTGNSPDVVPAVGPNEQMIGLKLGKRTYFEDVCAGSNTKSASSSASVTPSSTSVKKPKVSQQGTQASYCQVEGCNIDLSGAKDYHRKHRVCENHSKCPKVIVSGLERRFCQQCSRCLFLYLL >DRNTG_30218.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:88780:95826:1 gene:DRNTG_30218 transcript:DRNTG_30218.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNARTPPPWDWESLALFSGKISELPKGEQESQWRIDGIGNGSVYSSGVGACSGSEFFNGCSPKSSFSASNDSSSKKSVMKVPGLNSLGADGFSQNISKKGELTRVDDTGNSPDVVPAVGPNEQMIGLKLGKRTYFEDVCAGSNTKSASSSASVTPSSTSVKKPKVSQQGTQASYCQVEGCNIDLSGAKDYHRKHRVCENHSKCPKVIVSGLERRFCQQCSRCLFLYLL >DRNTG_30218.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:88780:95826:1 gene:DRNTG_30218 transcript:DRNTG_30218.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNARTPPPWDWESLALFSGKISELPKGEQESQWRIDGIGNGSVYSSGVGACSGSEFFNGCSPKSSFSASNDSSSKKSVMKVPGLNSLGADGFSQNISKKGELTRVDDTGNSPDVVPAVGPNEQMIGLKLGKRTYFEDVCAGSNTKSASSSASVTPSSTSVKKPKVSQQGTQASYCQVEGCNIDLSGAKDYHRKHRVCENHSKCPKVIVSGLERRFCQQCSRFHDLSEFDQKKRSCRRRLSDHNARRRKPQPETISFNSARLASSFYDDRHQMNLLVNRVPFGHTRPNMNSTWDASWDFKLMQTRGSWIKSTKPGVFDGQLGLSSGGLSNTNSSLRSDLDRLLPFKGTTAEVLNQGLEASAAASNLDGAPDLRRALSLLSTDTWGSAEPGLTSLVPVVDKSQTKGGLPAMQVMSSASDLWKDEQPLDQQARVLPFNLHSNGSQFQEFQLKAPYEAAFFDSDHIH >DRNTG_14115.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7769808:7774514:1 gene:DRNTG_14115 transcript:DRNTG_14115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKLIAICQFGGEFVTDAEGTMSYTGGEAHAIQISHGMPFNNFKSEIANMFNIDSSCMSIRYFLPYNKRTPITISSDKDLERMIDFNLSYMTTDIYVSAKVDNRPTRSAIADSGTSMVDTAAAEHNARIKRVAASKKTRNRVTRASNPIADNVRAPEPDAAKLTPERAVCNIADSVNLATVDVVDHCEPQFSKTPWDNAITDVGQEFDNPKAFRDELRKYAYVKGFKYKYIKNDNARVTVKCSEENCSWRIHASGSTRKQRFIIKKINKMHTCDAGNVKAGYGRRTKQWLTSIVKETLLGNPDSKPKDIAIDLYQDFGINLSYSQAWHLKEFAQKDIHKLQEELSNRLPWFCQQLVETNPGSIALILSSANSMVRRLFVSFHAFLHGFKHGCRPLLFLDRIPLKANNQWKLLVAAAVDGNDEILPVAFGLVEAETCASWQWFLEQLKCALDSCGNLTIISSRKMGLDESVPQVFQDCFHSYSLSHLMEEFKTQINNGSSPEEMKDAMVEAFKGAAQTSVLDYFTTCIEKISTISKDAGDWVISTKPSHWSDALFKGIRYGYFSSNIAEFFSTFLEVKDESSVVQIIDTLMVKLIILIDSRRQACNSWEGTMTPSTEKKLEKEMYKVHTLNVVRSSDAMFEVRGSTSEVVDIESWECTCRKWQITRLPCVHAIAVIDHSGKSVYDYCSQYYTTACYSMTYSASINRVPDIENIISSQGPTSYPPPSSRPPGRPKRKRISPYKTSTRPLHCSRCKQPGHNKATCNAQL >DRNTG_02867.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:13111710:13115823:1 gene:DRNTG_02867 transcript:DRNTG_02867.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTQGDLVSHYSCYGAFGCELHGVHVPASVPVRVKERHIWRKLLGGVLGADFHQFIGGKITRFGFRVVLAKVLEMFYGSDIVILRKKVGRGVSVEAYPIPDEGILG >DRNTG_21506.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17847200:17851969:1 gene:DRNTG_21506 transcript:DRNTG_21506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIQLPARLVVRSITSFSSTSTTAATATTLQEIAATRKGLAKVVLKKGKTQIFRDGSPMVYSGAVDRIIGRPPPKTGDIVLVADGLEKPIGWGLYNSVSMFCVRLMQLEEEATRDLNCALDMEKLLETRFDAAIELRRSLGLPSVDTTAYRLINSEGDRLSGLIIDVFGDVAVVASSAAWVEQYKSEIELHIRTMKNIKHIKWRPSIDILKEEGLDITDQTDSDSSMLSEVKVIENGICYNVSLAGQKTGFYADQRENRRLISLISKGQKVLDVFCYSGGFALNAAKGGAEYVIGIDSSSPALELAEENVRLNKFNPEKISFMRKDATEFMKEAASRQKVWDIVILDPPKLAPRRKVLQNASGMYRNLNSLAMKITKKGGLLMTCSCSGAITQSGTFLRILQASPFSINTHSLVLYKFRGTTPIVENVCCLRNYQNEDLTS >DRNTG_21506.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17851664:17851969:1 gene:DRNTG_21506 transcript:DRNTG_21506.7 gene_biotype:protein_coding transcript_biotype:protein_coding QGAASLAGRKITILRQAGAACDHPIDPSYPEGAYLTNYLLRVL >DRNTG_21506.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17847200:17851969:1 gene:DRNTG_21506 transcript:DRNTG_21506.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIQLPARLVVRSITSFSSTSTTAATATTLQEIAATRKGLAKVVLKKGKTQIFRDGSPMVYSGAVDRIIGRPPPKTGDIVLVADGLEKPIGWGLYNSVSMFCVRLMQLEEEATRDLNCALDMEKLLETRFDAAIELRRSLGLPSVDTTAYRLINSEGCLA >DRNTG_21506.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17847200:17848367:1 gene:DRNTG_21506 transcript:DRNTG_21506.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIQLPARLVVRSITSFSSTSTTAATATTLQEIAATRKGLAKVVLKKGKTQIFRDGSPMVYSGAVDRIIGRPPPKTGDIVLVADGLEKPIGWGLYNSVSMFCVRLMQLEEEATRDLNCALDMEKLLETRFDAAIELRRSLGLPSVDTTAYRLINSEGDRFGK >DRNTG_21506.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17849101:17851969:1 gene:DRNTG_21506 transcript:DRNTG_21506.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIKHIKWRPSIDILKEEGLDITDQTDSDSSMLSEVKVIENGICYNVSLAGQKTGFYADQRENRRLISLISKGQKVLDVFCYSGGFALNAAKGGAEYVIGKTFVSSETVS >DRNTG_21506.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17847200:17851969:1 gene:DRNTG_21506 transcript:DRNTG_21506.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIQLPARLVVRSITSFSSTSTTAATATTLQEIAATRKGLAKVVLKKGKTQIFRDGSPMVYSGAVDRIIGRPPPKTGDIVLVADGLEKPIGWGLYNSVSMFCVRLMQLEEEATRDLNCALDMEKLLETRFDAAIELRRSLGLPSVDTTAYRLINSEGCLA >DRNTG_21506.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17849101:17851969:1 gene:DRNTG_21506 transcript:DRNTG_21506.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDATEFMKEAASRQKVWDIVILDPPKLAPRRKVLQNASGMYRNLNSLAMKITKKGGLLMTCSCSGAITQSGTFLRILQGAASLAGRKITILRQAGAACDHPIDPSYPEGAYLTNYLLRVL >DRNTG_19248.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:290295:292227:-1 gene:DRNTG_19248 transcript:DRNTG_19248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMIRVYAIFFIYFFSGLMQVSLAQTTAPCRMVDGKAIDQGIAYLIKLTARTMSSIRVYALLFISFFLSGLMQISHAQETTPCWRVEGKAIDQGIAYVLMLVALLVTYLVH >DRNTG_05647.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17972062:17976954:-1 gene:DRNTG_05647 transcript:DRNTG_05647.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVDVEGLQLHDYYEIIKKPMDFNTIKTRMEAKDGTGYKNVREIYADVRLVFTNAMTYNGEKNEYHIMAKTLLRKFEDKWLHLLPMVLKEERKQEESQALAKVNRQIAEEAAIIKKAQDTNNELTKLNLHLEELREMVVHKCRKMSAEDKRQLSTGLCHLPFEEICKAIEIVAKKDPSFHSNIEFVDLDLDKQSESTLWKLRLLLKDAMQNHQFQKFPDMFDEASKQKNVPRVSKNSKRKNQNNAKIINFKEKKGDV >DRNTG_05647.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17972062:17975320:-1 gene:DRNTG_05647 transcript:DRNTG_05647.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNTIKTRMEAKDGTGYKNVREIYADVRLVFTNAMTYNGEKNEYHIMAKTLLRKFEDKWLHLLPMVLKEERKQEESQALAKVNRQIAEEAAIIKKAQDTNNELTKLNLHLEELREMVVHKCRKMSAEDKRQLSTGLCHLPFEEICKAIEIVAKKDPSFHSNIEFVDLDLDKQSESTLWKLRLLLKDAMQNHQFQKFPDMFDEASKQKNVPRVSKNSKRKNQNNAKIINFKEKKGDV >DRNTG_05647.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17972062:17978626:-1 gene:DRNTG_05647 transcript:DRNTG_05647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAPPPGGETPAPADFEVLQHRLVDIGSKVDEMGRRVDEVESFFERKKQLSDSVKKTAGKGKGKKVGIKVPEDEVDAEFMDVDVSDAAPTMRMQEIMRRFGRILNQILQHQWAEPFMDPVDVEGLQLHDYYEIIKKPMDFNTIKTRMEAKDGTGYKNVREIYADVRLVFTNAMTYNGEKNEYHIMAKTLLRKFEDKWLHLLPMVLKEERKQEESQALAKVNRQIAEEAAIIKKAQDTNNELTKLNLHLEELREMVVHKCRKMSAEDKRQLSTGLCHLPFEEICKAIEIVAKKDPSFHSNIEFVDLDLDKQSESTLWKLRLLLKDAMQNHQFQKFPDMFDEASKQKNVPRVSKNSKRKNQNNAKIINFKEKKGDV >DRNTG_13286.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2746630:2747778:-1 gene:DRNTG_13286 transcript:DRNTG_13286.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKSQSSIVAAVFSSCCCWHGFFFIYLEFIPMVFSSTCCLMYEFCLIHRV >DRNTG_13286.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2746593:2747778:-1 gene:DRNTG_13286 transcript:DRNTG_13286.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKSQSSIVAAVFSSCCCWHGFFFIYLEFIPMVFSSTCCLMYEFCLIHRVGPFVLILLNFVF >DRNTG_13286.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2746630:2747778:-1 gene:DRNTG_13286 transcript:DRNTG_13286.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKSQSSIVAAVFSSCCCWHGFFFIYLEFIPMVFSSTCCLMYEFCLIHRV >DRNTG_21023.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:129174:135555:1 gene:DRNTG_21023 transcript:DRNTG_21023.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G21280) TAIR;Acc:AT2G21280] MELFSSTIAPFPSSPHPHSMMSFKRSHGVFLCSRSSGNAQSLKENKMVISITGATGFIGRRLVQKLLSDNHGVHVLTRSRRNAQLIFPVKSFPEVTIAEEQEWGNSIQVSDAVVNLAGMPISTRWSPEIKKEIKQSRINVTGKVVHLINQMNADVRPSVLVSATAIGYYGTNENKVFDETSPSGHDYLSEVCREWEGRALEVDKDVRLVLIRIGVVLGKDGGALAKMIPLFMMFAGGPLGTGNQWFSWIHLDDLVNLICEALTNPSYKGVINGTAPNPVRLSEMCKELGNVMGRPSWLPVPDFALKAVLGEGATLVLDGQKVIPAKAKELGFSFKYPSIRNALKAIISSS >DRNTG_06223.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15074371:15074848:-1 gene:DRNTG_06223 transcript:DRNTG_06223.1 gene_biotype:protein_coding transcript_biotype:protein_coding WEWYVAAARPALYREGLGCGGCFQIRCKDSK >DRNTG_21107.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6515127:6518261:1 gene:DRNTG_21107 transcript:DRNTG_21107.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINIFRAEKGFDPERIRESQRRRFSCVEVVDEIISLDKEWRQRQFELENLRKDFNRINKEVARLKIAKEDATEMINSTNENKKLTAEKEIEVQQAKAALDSKLETIGNLVHDSVPVSNDEANNEIVRVWGDRRMEEKLKNHVDLVKLLDIADLEKGADVAGGRGFYLKGEGVLLNMALINFGLAFLRERKYVPMQTPFFMRKDIMGKCAQLAQFDEELYKV >DRNTG_24753.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2225013:2227620:-1 gene:DRNTG_24753 transcript:DRNTG_24753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVTTALWAVVMVVLLPWPYERVRQGNLYGHVTGRMLMWILGNPITIEGSEYANTRGIYICNHASPLDIFLVMWLTPTGTVGIAKKEIIWYPLFGQLYVLSNHLRIDRSNPMAAIESMKEVARAIVRNKLSLIIFPEGTRSKTGRLLPFKKGFVHIALQSKLPIVPMVLTGTHLAWKKNSLRVRPAPLTVKYLLPIKTDDWEADKINEYVEMVHDLYVNHLPESQKPLNSD >DRNTG_24332.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:332958:335250:1 gene:DRNTG_24332 transcript:DRNTG_24332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKENKPRTVSRNPDLIRGIGKFSKSRMYHKRGIWAIKAKNGGAFPKHAAAATPEKPAEKAPKFYPADDVKKPIANRRKPKPTKLRASITPGTVLILLVGRFMGKRVVFLKQLPSGLLLVTGPFKVNGVPLRRVNQSYVIGTSTKIDISGVNVDKYDDKYFKKEAKKKKKKTEGEFFETEKEEKKALPQEKKDDQKAVDAQLIKAIEAVPDLKAYLGARFSLRSGMKPHELVF >DRNTG_06801.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3898024:3901906:1 gene:DRNTG_06801 transcript:DRNTG_06801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLSALAGSLLSPLRDISLEKLINYLWDYLSSTPSPSSSDEAEKQQRLTDSLEALEDAKLTVRLMQSRIMKLFQKHKQNERVVGLHNKLKDVDYDIQDLESEMNYIELERKLQEINKAEEEEEEEADSTSSQFSRKRSFPFRLPTAFLSKKKCRLPKSSQSSSLSTDEDIVRQVTSIIKQINSIESKLKDEIKLEQWFDQITFNGVYDPREHHHFSKNKRVTTSSTNEKKIYGRDDEIQRLIEFLKGPNVNGNISVAPIVGMGGIGKTTLAQFIYNNREIENYFDKKAWIHVSHHFDKFKITKEMVDIISVQCGNTTNLDLLERELERHLTEKKFLLVLDDVWSDEWQEFLIPLQSAQAQSVKIIMTCRDPKVTRSMDEDNKIILEGLHTHEYWSFFMSCAFSGKNPDNYEQALHDIGKCIIRKLKGSPLAAKTVGKLLGQSLTEKHWKDVLESDLWKLEANAHDIMPALALSYYHLPQHLQLCFAFCSVFPKNHLYYMDDLICMWIANGYINENESSSKTMNDIGEEYCHELQAMSFFDADSSTWFRMHDLMHDLAQLVSHGDICIYKSGKDKKISKNVRHLYAQGLDDLGLVCITNNLRTLVLESHYDMSAFLNYEAFKKIRVLVILDANMEEFPDAICHLKLLQYLDLKKTNIKSIPESLCQLYQLRVLKLPPSHTLPHIFCNPINLGWGMSGHKLTYNNEGGPAYHVKRERFYVIAQLRNMNEVREPLSIIGLENIDNMEEVMGVKLKEKHHIKNLRLYFNDTVDHCKHDVQEEVLEGLQPHPNLEKLRIYGYLGSKSPSWLMTLALQKLRKLYLIKCRNWVYLPAALGLLPSLEELCLCDLENITVECDDSVAEMFPSLRLLEFYKATISFKGMSTSSSSSSSSSSSLTTPVHCKLFPRLQFLTVAECDVVNGLQWALYSALERLCIRDSLGLDNQLPECLSGLSSLTLLKISGAKIETFPNEVMATMHALKGLCLKDCNELLSVEGLQALPFLRILFISSCPKFKSWCMEEMIELVELNIDACQDLESLPAWLHRLPLLKDLTIKSCPKLLSMPEGGLPSSLEKLSIIDCDPGLMQRYQQERSPEWLMIQHIPQMNFNF >DRNTG_06801.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3898024:3901906:1 gene:DRNTG_06801 transcript:DRNTG_06801.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLAQFIYNNREIENYFDKKAWIHVSHHFDKFKITKEMVDIISVQCGNTTNLDLLERELERHLTEKKFLLVLDDVWSDEWQEFLIPLQSAQAQSVKIIMTCRDPKVTRSMDEDNKIILEGLHTHEYWSFFMSCAFSGKNPDNYEQALHDIGKCIIRKLKGSPLAAKTVGKLLGQSLTEKHWKDVLESDLWKLEANAHDIMPALALSYYHLPQHLQLCFAFCSVFPKNHLYYMDDLICMWIANGYINENESSSKTMNDIGEEYCHELQAMSFFDADSSTWFRMHDLMHDLAQLVSHGDICIYKSGKDKKISKNVRHLYAQGLDDLGLVCITNNLRTLVLESHYDMSAFLNYEAFKKIRVLVILDANMEEFPDAICHLKLLQYLDLKKTNIKSIPESLCQLYQLRVLKLPPSHTLPHIFCNPINLGWGMSGHKLTYNNEGGPAYHVKRERFYVIAQLRNMNEVREPLSIIGLENIDNMEEVMGVKLKEKHHIKNLRLYFNDTVDHCKHDVQEEVLEGLQPHPNLEKLRIYGYLGSKSPSWLMTLALQKLRKLYLIKCRNWVYLPAALGLLPSLEELCLCDLENITVECDDSVAEMFPSLRLLEFYKATISFKGMSTSSSSSSSSSSSLTTPVHCKLFPRLQFLTVAECDVVNGLQWALYSALERLCIRDSLGLDNQLPECLSGLSSLTLLKISGAKIETFPNEVMATMHALKGLCLKDCNELLSVEGLQALPFLRILFISSCPKFKSWCMEEMIELVELNIDACQDLESLPAWLHRLPLLKDLTIKSCPKLLSMPEGGLPSSLEKLSIIDCDPGLMQRYQQERSPEWLMIQHIPQMNFNF >DRNTG_06801.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3898024:3901906:1 gene:DRNTG_06801 transcript:DRNTG_06801.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLSALAGSLLSPLRDISLEKLINYLWDYLSSTPSPSSSDEAEKQQRLTDSLEALEDAKLTVRLMQSRIMKLFQKHKQNERVVGLHNKLKDVDYDIQDLESEMNYIELERKLQEINKAEEEEEEEADSTSSQFSRKRSFPFRLPTAFLSKKKCRLPKSSQSSSLSTDEDIVRQVTSIIKQINSIESKLKDEIKLEQWFDQITFNGVYDPREHHHFSKNKRVTTSSTNEKKIYGRDDEIQRLIEFLKGPNVNGNISVAPIVGMGGIGKTTLAQFIYNNREIENYFDKKAWIHVSHHFDKFKITKEMVDIISVQCGNTTNLDLLERELERHLTEKKFLLVLDDVWSDEWQEFLIPLQSAQAQSVKIIMTCRDPKVTRSMDEDNKIILEGLHTHEYWSFFMSCAFSGKNPDNYEQALHDIGKCIIRKLKGSPLAAKTVGKLLGQSLTEKHWKDVLESDLWKLEANAHDIMPALALSYYHLPQHLQLCFAFCSVFPKNHLYYMDDLICMWIANGYINENESSSKTMNDIGEEYCHELQAMSFFDADSSTWFRMHDLMHDLAQLVSHGDICIYKSGKDKKISKNVRHLYAQGLDDLGLVCITNNLRTLVLESHYDMSAFLNYEAFKKIRVLVILDANMEEFPDAICHLKLLQYLDLKKTNIKSIPESLCQLYQLRVLKLPPSHTLPHIFCNPINLGWGMSGHKLTYNNEGGPAYHVKRERFYVIAQLRNMNEVREPLSIIGLENIDNMEEVMGVKLKEKHHIKNLRLYFNDTVDHCKHDVQEEVLEGLQPHPNLEKLRIYGYLGSKSPSWLMTLALQKLRKLYLIKCRNWVYLPAALGLLPSLEELCLCDLENITVECDDSVAEMFPSLRLLEFYKATISFKGMSTSSSSSSSSSSSLTTPVHCKLFPRLQFLTVAECDVVNGLQWALYSALERLCIRDSLGLDNQLPECLSGLSSLTLLKISGAKIETFPNEVMATMHALKGLCLKDCNELLSVEGLQALPFLRILFISSCPKFKSWCMEEMIELVELNIDACQDLESLPAWLHRLPLLKDLTIKSCPKLLSMPEGGLPSSLEKLSIIDCDPGLMQRYQQERSPEWLMIQHIPQMNFNF >DRNTG_19167.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6143520:6159507:1 gene:DRNTG_19167 transcript:DRNTG_19167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKITLRPFTISDADDFMVWASDDKVSHFCSWNTFTDKNTLIDHIEKTILPHPWFRAICLNGRPVGAILFTPATGNDSCRGELGYVLSSEQWGKGVMTKAVKLAVKSVFEEMKGLERVEALVDVENKGSLKVLEKVGFLKEGVLRKYLVHKGTTIDMIMFSFLSTDTMLE >DRNTG_19167.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6158877:6159507:1 gene:DRNTG_19167 transcript:DRNTG_19167.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKITLRPFTISDADDYMVWASDDKVTHFCSWNSYTDKNTLIDYIEKTVLPHPWFRAICLNGRPVGAISFMPATGNDSCRGELGYVLSSEQWGKGVMTKAVKLAVKSVFEEMKGLERVEALVDVENKGSLKVLEKVGFLKEGVLRKYLVHKGTTIDMIMFSFLSTDTMLE >DRNTG_19167.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6143520:6144213:1 gene:DRNTG_19167 transcript:DRNTG_19167.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKITLRPFTISDADDFMVWASDDKVSHFCSWNTFTDKNTLIDHIEKTILPHPWFRAICLNGRPVGAILFTPATGNDSCRGELGYMLASQLWGKGVMTKAVKLAVKSVFEEMKGLERVEALVDVENKGSLKVLEKVGFLKEGVLRKYFVLKGRTRDMVMFSLLSTDTIYA >DRNTG_33861.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19184912:19191167:-1 gene:DRNTG_33861 transcript:DRNTG_33861.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMCVSFSLILSFLAFYEVAPGASAWHLRIALWISLEMFDASWGFFGDCKVTE >DRNTG_29382.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:467183:468776:-1 gene:DRNTG_29382 transcript:DRNTG_29382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLWPNLDREDGLDTVLEVPIPEEMLCSNAHSKSAWSRCTNWMRPHGGGGGDRLSAPALGRNAELQLMLGVVGAPLVPLPVRTHRPFIKNDIKDDPIEVSMAKYIVQQYVAAAGGEMVLESIDSMYAMGKVKMMMGSSPEFKKGMKSIGGGGGGEMGGFVVWQKKPELWCLELVVSGCKMSAGSDGKVAWRQTPWHHSHASRGPPRPLRRSLQGLDPRSTANLFSNSVCIGEKTVNGDDCFVLRIDAEAAALRARSSSNVEIIRHTVWGYFSQRTGLLVQLEDSHLLRIKAGNEESVYWETTMVSVIEDYRPIDGVNIAHSGRTTVSLSRFGNMNNEHTKTKVEEIWNIEEVDFNIWGLSRDCFLPPSDLNEDTEAGDMLVKNPRPTSKVSASAAKIGISKVAAVNIDDSDLTEGEEYGLS >DRNTG_32759.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1721268:1725708:1 gene:DRNTG_32759 transcript:DRNTG_32759.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRVDCGGSETLTPQELSGLATPGHRNDIYAPRLKDPYSLCENRASPFSSSPTSHLRPRSFSGNHTVPIGGENGKLDGEGTASRLPILGSHFLEGAQDDNHQHSLNEASQRIKRSITFISARVSNQTGDPDQNQALE >DRNTG_04346.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31123573:31126512:1 gene:DRNTG_04346 transcript:DRNTG_04346.17 gene_biotype:protein_coding transcript_biotype:protein_coding MISALQHPNLVKLFGCCIEGNQLLLIYEYMENNSLASALFSRDRDRLNLDWQTRHKICLGIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLARLSDENETHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVVLETVSGVSNTNYKPKEECVYLLDWAYVLQERGSLLELVDKSLGANFSEKEALQMLNLALACTNPSPSLRPTMSAVVSILDGKHTMPTSFVKPVVSSSDDARFKALDKLSHDRQPTNISMDGPWIDSSASLQSSYEENH >DRNTG_04346.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31123573:31126512:1 gene:DRNTG_04346 transcript:DRNTG_04346.16 gene_biotype:protein_coding transcript_biotype:protein_coding MISALQHPNLVKLFGCCIEGNQLLLIYEYMENNSLASALFSRDRDRLNLDWQTRHKICLGIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLARLSDENETHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVVLETVSGVSNTNYKPKEECVYLLDWAYVLQERGSLLELVDKSLGANFSEKEALQMLNLALACTNPSPSLRPTMSAVVSILDGKHTMPTSFVKPVVSSSDDARFKALDKLSHDRQPTNISMDGPWIDSSASLQSSYEENH >DRNTG_04346.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31119129:31126512:1 gene:DRNTG_04346 transcript:DRNTG_04346.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGVVPLFLFLCYLIWSSHCQAQTLDQNEVDALKKIGAKLGKQWDFTVDPCSGTSGWVDSNSNKDVAVNVTCGPCNTISSCHVISVILKGQNLTGTLPGEFANLTSLQVIDLSRNYLNGTIPSSWSSLPLTNLALLGNRITGTIPAEFGKILTLQDISLEDNLIEGPLPESLGNLINLDRLHLSANNISGELPASLGKLKNLTEFRIDGNPITGKIPSFMSNWTKISRIDMQGTSMELPFPASFSELVTLSQLRVTDIQGGDGKFPALKNMTNLSMLVLRNLSISDELPEFIGDFGGLKALDLSFNNLTGKIPDNYVNLGKSINYMYLTSNNLFGAIPSWILTSTKQQRFDLSYNSFNVSNGLTYCPTGAVNLVSSYSSTDSNSIPSCMRKNQPCSGKAKNYNLFINCGGGEVTIGDDVYQSDTDPQGPSTYKAFDEQWAYSSTGDFLGNSSIPYLATNVTVLTMPNPDLYMTARLSPISLRYYGLCLQNGNYTVNLHFAEIMFTDDKSFFSVGRRLFDVYIQGKNVLRDFNIAKEANGTGQEKIMSFFAIVTDHTLEIHFQWVGKGTNAIPQRGVYGPLISAISVTPNFKPDLGESKLSTGAIVGIVIAGCAVIALISICIWFYLRKKNAKNRELIKSLELQTGYFTLIQIKNATRNFDAANKIGEGGFGPVYKGVLPDGSTIAVKQLSSKSRQGNREFVTEIGMISALQHPNLVKLFGCCIEGNQLLLIYEYMENNSLASALFSRDRDRLNLDWQTRHKICLGIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLARLSDENETHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVVLETVSGVSNTNYKPKEECVYLLDWAYVLQERGSLLELVDKSLGANFSEKEALQMLNLALACTNPSPSLRPTMSAVVSILDGKHTMPTSFVKPVVSSSDDARFKALDKLSHDRQPTNISMDGPWIDSSASLQSSYEENH >DRNTG_04346.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31123573:31126512:1 gene:DRNTG_04346 transcript:DRNTG_04346.18 gene_biotype:protein_coding transcript_biotype:protein_coding MISALQHPNLVKLFGCCIEGNQLLLIYEYMENNSLASALFSRDRDRLNLDWQTRHKICLGIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLARLSDENETHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVVLETVSGVSNTNYKPKEECVYLLDWAYVLQERGSLLELVDKSLGANFSEKEALQMLNLALACTNPSPSLRPTMSAVVSILDGKHTMPTSFVKPVVSSSDDARFKALDKLSHDRQPTNISMDGPWIDSSASLQSSYEENH >DRNTG_04346.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31123573:31126652:1 gene:DRNTG_04346 transcript:DRNTG_04346.10 gene_biotype:protein_coding transcript_biotype:protein_coding MISALQHPNLVKLFGCCIEGNQLLLIYEYMENNSLASALFSRDRDRLNLDWQTRHKICLGIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLARLSDENETHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVVLETVSGVSNTNYKPKEECVYLLDWAYVLQERGSLLELVDKSLGANFSEKEALQMLNLALACTNPSPSLRPTMSAVVSILDGKHTMPTSFVKPVVSSSDDARFKALDKLSHDRQPTNISMDGPWIDSSASLQSSYEENH >DRNTG_04346.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31123573:31126652:1 gene:DRNTG_04346 transcript:DRNTG_04346.11 gene_biotype:protein_coding transcript_biotype:protein_coding MISALQHPNLVKLFGCCIEGNQLLLIYEYMENNSLASALFSRDRDRLNLDWQTRHKICLGIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLARLSDENETHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVVLETVSGVSNTNYKPKEECVYLLDWAYVLQERGSLLELVDKSLGANFSEKEALQMLNLALACTNPSPSLRPTMSAVVSILDGKHTMPTSFVKPVVSSSDDARFKALDKLSHDRQPTNISMDGPWIDSSASLQSSYEENH >DRNTG_04346.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31119129:31126512:1 gene:DRNTG_04346 transcript:DRNTG_04346.6 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGVVPLFLFLCYLIWSSHCQAQTLDQNEVDALKKIGAKLGKQWDFTVDPCSGTSGWVDSNSNKDVAVNVTCGPCNTISSCHVISVILKGQNLTGTLPGEFANLTSLQVIDLSRNYLNGTIPSSWSSLPLTNLALLGNRITGTIPAEFGKILTLQDISLEDNLIEGPLPESLGNLINLDRLHLSANNISGELPASLGKLKNLTEFRIDGNPITGKIPSFMSNWTKISRIDMQGTSMELPFPASFSELVTLSQLRVTDIQGGDGKFPALKNMTNLSMLVLRNLSISDELPEFIGDFGGLKALDLSFNNLTGKIPDNYVNLGKSINYMYLTSNNLFGAIPSWILTSTKQQRFDLSYNSFNVSNGLTYCPTGAVNLVSSYSSTDSNSIPSCMRKNQPCSGKAKNYNLFINCGGGEVTIGDDVYQSDTDPQGPSTYKAFDEQWAYSSTGDFLGNSSIPYLATNVTVLTMPNPDLYMTARLSPISLRYYGLCLQNGNYTVNLHFAEIMFTDDKSFFSVGRRLFDVYIQGKNVLRDFNIAKEANGTGQEKIMSFFAIVTDHTLEIHFQWVGKGTNAIPQRGVYGPLISAISVTPNFKPDLGESKLSTGAIVGIVIAGCAVIALISICIWFYLRKKNAKNRELIKSLELQTGYFTLIQIKNATRNFDAANKIGEGGFGPVYKGVLPDGSTIAVKQLSSKSRQGNREFVTEIGMISALQHPNLVKLFGCCIEGNQLLLIYEYMENNSLASALFSRDRDRLNLDWQTRHKICLGIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLARLSDENETHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVVLETVSGVSNTNYKPKEECVYLLDWAYVLQERGSLLELVDKSLGANFSEKEALQMLNLALACTNPSPSLRPTMSAVVSILDGKHTMPTSFVKPVVSSSDDARFKALDKLSHDRQPTNISMDGPWIDSSASLQSSYEENH >DRNTG_04346.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31123573:31126581:1 gene:DRNTG_04346 transcript:DRNTG_04346.12 gene_biotype:protein_coding transcript_biotype:protein_coding MISALQHPNLVKLFGCCIEGNQLLLIYEYMENNSLASALFSRDRDRLNLDWQTRHKICLGIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLARLSDENETHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVVLETVSGVSNTNYKPKEECVYLLDWAYVLQERGSLLELVDKSLGANFSEKEALQMLNLALACTNPSPSLRPTMSAVVSILDGKHTMPTSFVKPVVSSSDDARFKALDKLSHDRQPTNISMDGPWIDSSASLQSSYEENH >DRNTG_04346.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31119129:31126512:1 gene:DRNTG_04346 transcript:DRNTG_04346.7 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGVVPLFLFLCYLIWSSHCQAQTLDQNEVDALKKIGAKLGKQWDFTVDPCSGTSGWVDSNSNKDVAVNVTCGPCNTISSCHVISVILKGQNLTGTLPGEFANLTSLQVIDLSRNYLNGTIPSSWSSLPLTNLALLGNRITGTIPAEFGKILTLQDISLEDNLIEGPLPESLGNLINLDRLHLSANNISGELPASLGKLKNLTEFRIDGNPITGKIPSFMSNWTKISRIDMQGTSMELPFPASFSELVTLSQLRVTDIQGGDGKFPALKNMTNLSMLVLRNLSISDELPEFIGDFGGLKALDLSFNNLTGKIPDNYVNLGKSINYMYLTSNNLFGAIPSWILTSTKQQRFDLSYNSFNVSNGLTYCPTGAVNLVSSYSSTDSNSIPSCMRKNQPCSGKAKNYNLFINCGGGEVTIGDDVYQSDTDPQGPSTYKAFDEQWAYSSTGDFLGNSSIPYLATNVTVLTMPNPDLYMTARLSPISLRYYGLCLQNGNYTVNLHFAEIMFTDDKSFFSVGRRLFDVYIQGKNVLRDFNIAKEANGTGQEKIMSFFAIVTDHTLEIHFQWVGKGTNAIPQRGVYGPLISAISVTPNFKPDLGESKLSTGAIVGIVIAGCAVIALISICIWFYLRKKNAKNRELIKSLELQTGYFTLIQIKNATRNFDAANKIGEGGFGPVYKGVLPDGSTIAVKQLSSKSRQGNREFVTEIGMISALQHPNLVKLFGCCIEGNQLLLIYEYMENNSLASALFSRDRDRLNLDWQTRHKICLGIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLARLSDENETHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVVLETVSGVSNTXXXXFFFLKEMEANALMLFIILNYKFFEILSCRTHKKFRVADWILHFNTDKKCNQEF >DRNTG_04346.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31123061:31126581:1 gene:DRNTG_04346 transcript:DRNTG_04346.8 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKNVLRDFNIAKEANGTGQEKIMSFFAIVTDHTLEIHFQWVGKGTNAIPQRGVYGPLISAISVTPNFKPDLGESKLSTGAIVGIVIAGCAVIALISICIWFYLRKKNAKNRELIKSLELQTGYFTLIQIKNATRNFDAANKIGEGGFGPVYKGVLPDGSTIAVKQLSSKSRQGNREFVTEIGMISALQHPNLVKLFGCCIEGNQLLLIYEYMENNSLASALFSRDRDRLNLDWQTRHKICLGIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLARLSDENETHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVVLETVSGVSNTNYKPKEECVYLLDWAYVLQERGSLLELVDKSLGANFSEKEALQMLNLALACTNPSPSLRPTMSAVVSILDGKHTMPTSFVKPVVSSSDDARFKALDKLSHDRQPTNISMDGPWIDSSASLQSSYEENH >DRNTG_04346.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31123573:31126652:1 gene:DRNTG_04346 transcript:DRNTG_04346.9 gene_biotype:protein_coding transcript_biotype:protein_coding MISALQHPNLVKLFGCCIEGNQLLLIYEYMENNSLASALFSRDRDRLNLDWQTRHKICLGIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLARLSDENETHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVVLETVSGVSNTNYKPKEECVYLLDWAYVLQERGSLLELVDKSLGANFSEKEALQMLNLALACTNPSPSLRPTMSAVVSILDGKHTMPTSFVKPVVSSSDDARFKALDKLSHDRQPTNISMDGPWIDSSASLQSSYEENH >DRNTG_04346.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31119129:31126512:1 gene:DRNTG_04346 transcript:DRNTG_04346.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGVVPLFLFLCYLIWSSHCQAQTLDQNEVDALKKIGAKLGKQWDFTVDPCSGTSGWVDSNSNKDVAVNVTCGPCNTISSCHVISVILKGQNLTGTLPGEFANLTSLQVIDLSRNYLNGTIPSSWSSLPLTNLALLGNRITGTIPAEFGKILTLQDISLEDNLIEGPLPESLGNLINLDRLHLSANNISGELPASLGKLKNLTEFRIDGNPITGKIPSFMSNWTKISRIDMQGTSMELPFPASFSELVTLSQLRVTDIQGGDGKFPALKNMTNLSMLVLRNLSISDELPEFIGDFGGLKALDLSFNNLTGKIPDNYVNLGKSINYMYLTSNNLFGAIPSWILTSTKQQRFDLSYNSFNVSNGLTYCPTGAVNLVSSYSSTDSNSIPSCMRKNQPCSGKAKNYNLFINCGGGEVTIGDDVYQSDTDPQGPSTYKAFDEQWAYSSTGDFLGNSSIPYLATNVTVLTMPNPDLYMTARLSPISLRYYGLCLQNGNYTVNLHFAEIMFTDDKSFFSVGRRLFDVYIQGKNVLRDFNIAKEANGTGQEKIMSFFAIVTDHTLEIHFQWVGKGTNAIPQRGVYGPLISAISVTPNFKPDLGESKLSTGAIVGIVIAGCAVIALISICIWFYLRKKNAKNRELIKSLELQTGYFTLIQIKNATRNFDAANKIGEGGFGPVYKGVLPDGSTIAVKQLSSKSRQGNREFVTEIGMISALQHPNLVKLFGCCIEGNQLLLIYEYMENNSLASALFSRDRDRLNLDWQTRHKICLGIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLARLSDENETHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVVLETVSGVSNTNYKPKEECVYLLDWAYVLQERGSLLELVDKSLGANFSEKEALQMLNLALACTNPSPSLRPTMSAVVSILDGKHTMPTSFVKPVVSSSDDARFKALDKLSHDRQPTNISMDGPWIDSSASLQSSYEENH >DRNTG_04346.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31123573:31126581:1 gene:DRNTG_04346 transcript:DRNTG_04346.13 gene_biotype:protein_coding transcript_biotype:protein_coding MISALQHPNLVKLFGCCIEGNQLLLIYEYMENNSLASALFSRDRDRLNLDWQTRHKICLGIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLARLSDENETHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVVLETVSGVSNTNYKPKEECVYLLDWAYVLQERGSLLELVDKSLGANFSEKEALQMLNLALACTNPSPSLRPTMSAVVSILDGKHTMPTSFVKPVVSSSDDARFKALDKLSHDRQPTNISMDGPWIDSSASLQSSYEENH >DRNTG_04346.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31119129:31126581:1 gene:DRNTG_04346 transcript:DRNTG_04346.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGVVPLFLFLCYLIWSSHCQAQTLDQNEVDALKKIGAKLGKQWDFTVDPCSGTSGWVDSNSNKDVAVNVTCGPCNTISSCHVISVILKGQNLTGTLPGEFANLTSLQVIDLSRNYLNGTIPSSWSSLPLTNLALLGNRITGTIPAEFGKILTLQDISLEDNLIEGPLPESLGNLINLDRLHLSANNISGELPASLGKLKNLTEFRIDGNPITGKIPSFMSNWTKISRIDMQGTSMELPFPASFSELVTLSQLRVTDIQGGDGKFPALKNMTNLSMLVLRNLSISDELPEFIGDFGGLKALDLSFNNLTGKIPDNYVNLGKSINYMYLTSNNLFGAIPSWILTSTKQQRFDLSYNSFNVSNGLTYCPTGAVNLVSSYSSTDSNSIPSCMRKNQPCSGKAKNYNLFINCGGGEVTIGDDVYQSDTDPQGPSTYKAFDEQWAYSSTGDFLGNSSIPYLATNVTVLTMPNPDLYMTARLSPISLRYYGLCLQNGNYTVNLHFAEIMFTDDKSFFSVGRRLFDVYIQGKNVLRDFNIAKEANGTGQEKIMSFFAIVTDHTLEIHFQWVGKGTNAIPQRGVYGPLISAISVTPNFKPDLGESKLSTGAIVGIVIAGCAVIALISICIWFYLRKKNAKNRELIKSLELQTGYFTLIQIKNATRNFDAANKIGEGGFGPVYKGVLPDGSTIAVKQLSSKSRQGNREFVTEIGMISALQHPNLVKLFGCCIEGNQLLLIYEYMENNSLASALFSRDRDRLNLDWQTRHKICLGIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLARLSDENETHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVVLETVSGVSNTNYKPKEECVYLLDWAYVLQERGSLLELVDKSLGANFSEKEALQMLNLALACTNPSPSLRPTMSAVVSILDGKHTMPTSFVKPVVSSSDDARFKALDKLSHDRQPTNISMDGPWIDSSASLQSSYEENH >DRNTG_04346.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31123573:31126581:1 gene:DRNTG_04346 transcript:DRNTG_04346.14 gene_biotype:protein_coding transcript_biotype:protein_coding MISALQHPNLVKLFGCCIEGNQLLLIYEYMENNSLASALFSRDRDRLNLDWQTRHKICLGIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLARLSDENETHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVVLETVSGVSNTNYKPKEECVYLLDWAYVLQERGSLLELVDKSLGANFSEKEALQMLNLALACTNPSPSLRPTMSAVVSILDGKHTMPTSFVKPVVSSSDDARFKALDKLSHDRQPTNISMDGPWIDSSASLQSSYEENH >DRNTG_04346.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31119129:31126512:1 gene:DRNTG_04346 transcript:DRNTG_04346.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGVVPLFLFLCYLIWSSHCQAQTLDQNEVDALKKIGAKLGKQWDFTVDPCSGTSGWVDSNSNKDVAVNVTCGPCNTISSCHVISVILKGQNLTGTLPGEFANLTSLQVIDLSRNYLNGTIPSSWSSLPLTNLALLGNRITGTIPAEFGKILTLQDISLEDNLIEGPLPESLGNLINLDRLHLSANNISGELPASLGKLKNLTEFRIDGNPITGKIPSFMSNWTKISRIDMQGTSMELPFPASFSELVTLSQLRVTDIQGGDGKFPALKNMTNLSMLVLRNLSISDELPEFIGDFGGLKALDLSFNNLTGKIPDNYVNLGKSINYMYLTSNNLFGAIPSWILTSTKQQRFDLSYNSFNVSNGLTYCPTGAVNLVSSYSSTDSNSIPSCMRKNQPCSGKAKNYNLFINCGGGEVTIGDDVYQSDTDPQGPSTYKAFDEQWAYSSTGDFLGNSSIPYLATNVTVLTMPNPDLYMTARLSPISLRYYGLCLQNGNYTVNLHFAEIMFTDDKSFFSVGRRLFDVYIQGKNVLRDFNIAKEANGTGQEKIMSFFAIVTDHTLEIHFQWVGKGTNAIPQRGVYGPLISAISVTPNFKPDLGESKLSTGAIVGIVIAGCAVIALISICIWFYLRKKNAKNRELIKSLELQTGYFTLIQIKNATRNFDAANKIGEGGFGPVYKGVLPDGSTIAVKQLSSKSRQGNREFVTEIGMISALQHPNLVKLFGCCIEGNQLLLIYEYMENNSLASALFSRDRDRLNLDWQTRHKICLGIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLARLSDENETHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVVLETVSGVSNTNYKPKEECVYLLDWAYVLQERGSLLELVDKSLGANFSEKEALQMLNLALACTNPSPSLRPTMSAVVSILDGKHTMPTSFVKPVVSSSDDARFKALDKLSHDRQPTNISMDGPWIDSSASLQSSYEENH >DRNTG_04346.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31123573:31126512:1 gene:DRNTG_04346 transcript:DRNTG_04346.19 gene_biotype:protein_coding transcript_biotype:protein_coding MISALQHPNLVKLFGCCIEGNQLLLIYEYMENNSLASALFSRDRDRLNLDWQTRHKICLGIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLARLSDENETHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVVLETVSGVSNTNYKPKEECVYLLDWAYVLQERGSLLELVDKSLGANFSEKEALQMLNLALACTNPSPSLRPTMSAVVSILDGKHTMPTSFVKPVVSSSDDARFKALDKLSHDRQPTNISMDGPWIDSSASLQSSYEENH >DRNTG_04346.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31123573:31126512:1 gene:DRNTG_04346 transcript:DRNTG_04346.15 gene_biotype:protein_coding transcript_biotype:protein_coding MISALQHPNLVKLFGCCIEGNQLLLIYEYMENNSLASALFSRDRDRLNLDWQTRHKICLGIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLARLSDENETHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVVLETVSGVSNTNYKPKEECVYLLDWAYVLQERGSLLELVDKSLGANFSEKEALQMLNLALACTNPSPSLRPTMSAVVSILDGKHTMPTSFVKPVVSSSDDARFKALDKLSHDRQPTNISMDGPWIDSSASLQSSYEENH >DRNTG_04346.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31119129:31126581:1 gene:DRNTG_04346 transcript:DRNTG_04346.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGVVPLFLFLCYLIWSSHCQAQTLDQNEVDALKKIGAKLGKQWDFTVDPCSGTSGWVDSNSNKDVAVNVTCGPCNTISSCHVISVILKGQNLTGTLPGEFANLTSLQVIDLSRNYLNGTIPSSWSSLPLTNLALLGNRITGTIPAEFGKILTLQDISLEDNLIEGPLPESLGNLINLDRLHLSANNISGELPASLGKLKNLTEFRIDGNPITGKIPSFMSNWTKISRIDMQGTSMELPFPASFSELVTLSQLRVTDIQGGDGKFPALKNMTNLSMLVLRNLSISDELPEFIGDFGGLKALDLSFNNLTGKIPDNYVNLGKSINYMYLTSNNLFGAIPSWILTSTKQQRFDLSYNSFNVSNGLTYCPTGAVNLVSSYSSTDSNSIPSCMRKNQPCSGKAKNYNLFINCGGGEVTIGDDVYQSDTDPQGPSTYKAFDEQWAYSSTGDFLGNSSIPYLATNVTVLTMPNPDLYMTARLSPISLRYYGLCLQNGNYTVNLHFAEIMFTDDKSFFSVGRRLFDVYIQGKNVLRDFNIAKEANGTGQEKIMSFFAIVTDHTLEIHFQWVGKGTNAIPQRGVYGPLISAISVTPNFKPDLGESKLSTGAIVGIVIAGCAVIALISICIWFYLRKKNAKNRGEP >DRNTG_09411.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21030279:21030958:-1 gene:DRNTG_09411 transcript:DRNTG_09411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAADRDADDHQTAFLIISSFTWIRSATSIAPPPDVSDVGQSSPLLPFRGFQF >DRNTG_30235.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:169721:172161:1 gene:DRNTG_30235 transcript:DRNTG_30235.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVAAALSTTTSSPGGHNVFVYGSLLADEVVRVLLKRVPLCCNGTLIGFHRFSIKGRVYPAILPVENRKVTGKVLLGITDVELDVLDTFEDVEYERRTVEINLHDTSEKLLAETYVWGNKDDPELYDDWDFEVWKQLHMKDFLAMTTMFANDLEQPETKTRVSTYESYYQKD >DRNTG_06331.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20112040:20115822:-1 gene:DRNTG_06331 transcript:DRNTG_06331.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLIAIATILVAGVAGVAIPLVGRKRRMMRTDGSLFVLAKASAAGVILATGFVHMLHDAQAALTSPCLPAMPWRKFPFSGFIAMVAALGTLVVDFVGTQYYAQKHREEAMGVKATAAAAIAAVEEGISAVPEAPETAEGDKDAMHIVGMHAHAAAHRHSHAHGHRACDGSDVAQHTHSHGHAHEDESEVPSHVRHVVVSQILELGIVSHSVIIGLSLGVSRSPCTIRPLVAALSFHQFFEGFALGGCISQAQFKNFAAGLMASFFAITTPLGIAAGAGAASFYDSYSPRALVIEGLLDSVSAGILIYMALVDLIAADFLSRSMSCNFRLQAASYTALFLGAASMSALAIWA >DRNTG_06331.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20114108:20116424:-1 gene:DRNTG_06331 transcript:DRNTG_06331.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFEDPNPLLFLLGRVRHHARLFTEENLSAVRCGGGEGAEECRNDAAAMKLKLIAIATILVAGVAGVAIPLVGRKRRMMRTDGSLFVLAKASAAGVILATGFVHMLHDAQAALTSPCLPAMPWRKFPFSGFIAMVAALGTLVVDFVGTQYYAQKHREEAMGVKATAAAAIAAVEEGISAVPEAPETAEGDKDAMHIVGMHAHAAAHRHSHAHGHRACDGSDVAQHTHSHGHAHEDESEVPSHVRHVVVSQILELGIVSHSVIIGLSLGVSRSPCTIRPLVAALSFHQFFEGFALGGCISQAQFKNFAAGLMASFFAITTPLGIAAGAGAASFYDSYSPRALVIEGLLDSVSAGILIYMALVDLIAADFLSRSMSCNFRLQAASYTALFLGAASMSALAIWA >DRNTG_07618.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17734585:17736093:1 gene:DRNTG_07618 transcript:DRNTG_07618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIAFPMAIHSSLRVAPPDFQQGGNSRIPYVHVPAARMSILVYIATAINSFLFPLTKHPLFLRSSGTGTEIGAFSTLFTLVTGGFRGRPMWGTFRVWDARLTSVFILFLIYLGALRFQKLPVELAPISIRAGPIDIPIIKSPVNWWNTSHQPGSISRSGTSIHVPMPIPILSNFANSPFSTRILFVLETRLPIPSFPESPLTEEIEAQERKKKT >DRNTG_22434.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23400480:23402847:-1 gene:DRNTG_22434 transcript:DRNTG_22434.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-xylose transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G28315) UniProtKB/Swiss-Prot;Acc:F4IHS9] MFVILYFTATTLTSWHLMVTFCTLHMAHRLRFFEPKFVDAKTVILFGILNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETVFLNKKFSQNIKLSLLVLLLGVGIASITDLKLNLLGSILSLLAIATTCVGQIMTNTIQKRLKVSSTQLLYQSSPYQAAILFVTGPFVDQCLTKRNVFAFNYSFIVLAFIILSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYTLLHDPFTARNILGILVAIFGMALYSYFSVQEGKKKSADNVIPVSQMRDAESKPLLMAKTTVHHEEKDSDESRKTNGVVTATKDSFA >DRNTG_22434.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23400480:23402847:-1 gene:DRNTG_22434 transcript:DRNTG_22434.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-xylose transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G28315) UniProtKB/Swiss-Prot;Acc:F4IHS9] MVTFCTLHMAHRLRFFEPKFVDAKTVILFGILNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETVFLNKKFSQNIKLSLLVLLLGVGIASITDLKLNLLGSILSLLAIATTCVGQIMTNTIQKRLKVSSTQLLYQSSPYQAAILFVTGPFVDQCLTKRNVFAFNYSFIVLAFIILSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYTLLHDPFTARNILGILVAIFGMALYSYFSVQEGKKKSADNVIPVSQMRDAESKPLLMAKTTVHHEEKDSDESRKTNGVVTATKDSFA >DRNTG_34339.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9491523:9492948:-1 gene:DRNTG_34339 transcript:DRNTG_34339.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAMGNKSWAFIGDSIYQNHVHSLLCQLSQVEAPHVIYQDPSFNIIWHFPSYNIKIYEIWSPLLIQYETIHNDVDFSRIMIRLHLDILDSSWTRFYNLYDYVVISGSRWFDKPSIIYEKNKAIGCHYCHDLKLKEYGAAKAYAKALQLSLNFIATSEHKPFVIVRTWSPMHYEDGELPNERVCNRTWPFREGEISGAPADLKKREVEVEEYEKAAPIGARNGVRIELFDFYHLFLLRPDGHPGPYGTYHPFDGDNKKNVENDCNHWCLPGPIDTVNDMLMKMVMNGDAHDPDSAIL >DRNTG_34339.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9491523:9493942:-1 gene:DRNTG_34339 transcript:DRNTG_34339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDVVGDREPLLTQEGLRSRFSLVFLKFIGVFLLASAVFYLLIDNLDADSLSSEEQDALSIRPLMKESSVQEHLLDKVCDLSIGEWVPNSAGPAYTNKTCNRIPQHNNCLKNGRPDRDYLYWRWKPRFCDLPPFDPVTFMHAMGNKSWAFIGDSIYQNHVHSLLCQLSQVEAPHVIYQDPSFNIIWHFPSYNIKIYEIWSPLLIQYETIHNDVDFSRIMIRLHLDILDSSWTRFYNLYDYVVISGSRWFDKPSIIYEKNKAIGCHYCHDLKLKEYGAAKAYAKALQLSLNFIATSEHKPFVIVRTWSPMHYEDGELPNERVCNRTWPFREGEISGAPADLKKREVEVEEYEKAAPIGARNGVRIELFDFYHLFLLRPDGHPGPYGTYHPFDGDNKKNVENDCNHWCLPGPIDTVNDMLMKMVMNGDAHDPDSAIL >DRNTG_31641.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:857505:860039:-1 gene:DRNTG_31641 transcript:DRNTG_31641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKGGVKRRDVVTREYTINLHKRLHGCTFKKMAPNAIKEIKKFAQKAMGTTDVRVDVKLNKHIWSRGIRGVPRRVRVRIARKRNDEEDAKEELFSLVTVAEVPSEGLKGLGTKVIEEED >DRNTG_20661.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20304212:20318549:-1 gene:DRNTG_20661 transcript:DRNTG_20661.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDLVSGCKDKLAYFRIKELKDVLGQLGLAKQGKKQDLVDRILAVLSDEQVSKAQLWGRRSSIGKEGVAKIIDDTYRKMQSPGATDLATRSNSGSDFNHTKPNQEVDDSYPMEKFRCLCGSSLTTESTIKCEDLRCSTWQHVGCVIIPEKPMDGVLPAPPTSFYCEICRINRADPFWVTMSHPLLPMKLSACGVAADGTSIIQTTERTFNLTRVEREMLQRSEFDLQIWCILLNDKVPFRMQWPQYTELQVNANSVRTTNRPGSQMLGINGRDDGATITTCSREGINKILLSSCDPRVFCLGIRIAKKRTKQQVLNMIPSEANGERFEEALARVCRCIGGGTTKEDADSDSDIEVVADSVTVNLRCPMSGSRIKTAGRFKPCVHMGCFDLETFIEMNQRSRKWQCPICLKNYSLENIIIDPYFNRITSLMRNCGEDVTEIDVKPDGSWRAKSEGESRVLAQWHLPDGSLCPPATAEVKPKLEVFKAIKQEGTSEGHTGLKLGIKKNRNGIWEVSKPEELSNSPGNHLVEQYDNPRQNVIAMSSSATGSYRDGEDPSVNQEGGGPFDFSPFNNGLEQNSFPVSFDAQYDVNRIPVAPSKDADVIVLSDSDEDNITLISPENGYETGPVGTNGITFSANQAGISEGMQGDLGLGTSGTSCLRLFSNGVEDFEMPPWPLQPGSQAGNGFQLFDTETDVPAALADGHSFGCTPMNGHTLASDGALGETSRIRDLPTSRSNSELNGTLVDNPLAFGNDDPSLQIFLPSGPAGVPMQADLSDHIEFPNGARSDDWISLSLAGGGGKNDIVPTNALNSRQDFAMEEDRMETLANTASLLKSVDNNLTDNVTSNHKRSENFFTHQRQQRSVKSRLYLSIDTDSD >DRNTG_12710.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13906908:13910660:1 gene:DRNTG_12710 transcript:DRNTG_12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNGKHHKKNFSWMRSLKKMYFGWKRVLRDLLKQTDARFQNIEATLSCHEISIKNIDHQLGEILDMLAKEKEEFEQARQLLRDEMARIWRGGLCGFGGTLSPPLIDSSSIIASRKPPVNLASEWVLHDVEALHQGHQFIYKGVYFYGFNVLSFIDGYLVCMICYLGEREVSISVRISRLKRVERVSHEIESIPFPIRLVYSISVP >DRNTG_21379.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19429577:19432511:-1 gene:DRNTG_21379 transcript:DRNTG_21379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKDSGVGQAMKLLDEMHAKGCKPDVVTYNVIINGICKEGRLDEAIRFLNAMPSYGCNPNVISHNIILRSMCSTGRWMDAEKLLADMVGKGCAPSVVTFNILINFLCRKGLLGRAMDVLERMPEHGCTPNSLSYNPLLHGFCKEKKMDRAIECLEVMVSRGCYPDIVTYNTLLTALCKVGKVDVAVELLSQLGSKGCNPVLISYNTVIDGLSKVGNTEKAFELLREMKTKGLHPDIITYASLVAGLSREGKVREAIKVFHEMQDDGIRPNAITCNSIILGLCKARQTDQAIDFYADMVSLGCMPTESTYTILIEGLAYEGMSEEALELLSELCSRGVVKKTSMQNVKV >DRNTG_17937.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25182343:25190287:1 gene:DRNTG_17937 transcript:DRNTG_17937.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRKKVIGGAFVGIDSARCALDLTRKPLEKTRQLHKTIDMEYAGTRENMRRLKLKGIKMVQSDLAANSASGCDVGATRSAPLPDITPHSSIPSIHVDSTRSAPLPDVTPHSSTPSSSDDSNLNDEVATNNTCTRLDNLHPINEGSDLNSGNSWSSTAIKNHCMLARSGQHIGLQYESWHKVPKTLKDKLFKFIEEVSTGRKVGLLELFRATHTKKDGSHMNAETEQIMELANEKLVGCQTIDKDMQMVESEILTQFIGKEQRGRDVICPFDGRRTRVARKKGSRRVVEVYDDRSQCFKIVESMVSFRAEDVTLILGLCCDGDTLSFKHESVQSNFEKNFLHKMHNRHRDAIKENLFKLVLHDYDIVPQYFSKCPSIHSKVPPIASDQPEVEDAGQVPADDILVPTKVTTDDSSAPQIRILF >DRNTG_31879.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21080627:21085100:1 gene:DRNTG_31879 transcript:DRNTG_31879.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLLERAVASNFPAGEQQSRNSSERETLAVAVSEEESAPSITQTRSSKASLWSSFIASTFTIFETERSSGDKEGKRKSYGWTSAVKKVVSSSSMRRLQERILGTNRVDVSSSNSDIWLLGINYKVSQEESSDRDNYGLDAFLQDFSSRIWITYRKGFDPIVDTKFVSDVNWGCMIRSSQMLVAQAMLFHHMGRSWRKPTQKPYEREYIRILHHFGDSGMSAFSIHNLLQAGRNYGLAAGSWVGPYAMCRAWAALTQPNGQHGDKTKEILPMVVYVVSGDEDGERGGAPVICIDNVARLCSDATSDHVTWLPILLLVPLVLGLEKINPRYIPLLCETFTFPQSLGILGGKTGASTYIVGVQDNKALYLDPHEVQQAVDIKEDDLEAETSSYHCSVVRQMQLDLIDPSLAIGFYCRDKDDFDDFCSRASALGDRSNGAPLFTVTQSPRSSRSIHQGALMENIDGSDDFRVGEMFNTEDICDDSQTQEDEWQIL >DRNTG_31879.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21080627:21085100:1 gene:DRNTG_31879 transcript:DRNTG_31879.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLQERILGTNRVDVSSSNSDIWLLGINYKVSQEESSDRDNYGLDAFLQDFSSRIWITYRKGFDPIVDTKFVSDVNWGCMIRSSQMLVAQAMLFHHMGRSWRKPTQKPYEREYIRILHHFGDSGMSAFSIHNLLQAGRNYGLAAGSWVGPYAMCRAWAALTQPNGQHGDKTKEILPMVVYVVSGDEDGERGGAPVICIDNVARLCSDATSDHVTWLPILLLVPLVLGLEKINPRYIPLLCETFTFPQSLGILGGKTGASTYIVGVQDNKALYLDPHEVQQAVDIKEDDLEAETSSYHCSVVRQMQLDLIDPSLAIGFYCRDKDDFDDFCSRASALGDRSNGAPLFTVTQSPRSSRSIHQGALMENIDGSDDFRVGEMFNTEDICDDSQTQEDEWQIL >DRNTG_25327.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6510763:6512112:-1 gene:DRNTG_25327 transcript:DRNTG_25327.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSMILNSRSSSTEEEEEDHDEGPFIDLEFSIPDEDSEDSENSTLSLSPSNNLFSLEYTSHSKPHPFPSSLLKSKFRIFALAGSKKPKPTSIHPSSPKPKSQNTTPANYFIRFKVEQVPLVSLFTRENNEKIKSSKEVVNKYFNKIKKLRFSSGQVASVVAVEKNGRKDGEKWQGGGSSSPLRLETVRRRLGKSRSASSAVAAVRSPPRRRDDSLLQQQDGIQSAIAHWSELQLVRSNSDPGEINQLKFN >DRNTG_23512.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22186764:22188069:-1 gene:DRNTG_23512 transcript:DRNTG_23512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIKSSIHFMLGTGCGIYIAQNYNVPDIRKLVKTWMFVAEHIEKTYQKPKKDDD >DRNTG_23512.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22186928:22188008:-1 gene:DRNTG_23512 transcript:DRNTG_23512.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIKSSIHFMLGTGCGIYIAQNYNVPDIRKLVKTWMFVAEHIEKTYQKPKKDDD >DRNTG_23512.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22186928:22188156:-1 gene:DRNTG_23512 transcript:DRNTG_23512.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIKSSIHFMLGTGCGIYIAQNYNVPDIRKLVKTWMFVAEHIEKTYQKPKKDDD >DRNTG_23512.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22186764:22188008:-1 gene:DRNTG_23512 transcript:DRNTG_23512.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIKSSIHFMLGTGCGIYIAQNYNVPDIRKLVKTWMFVAEHIEKTYQKPKKDDD >DRNTG_23512.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22186928:22188069:-1 gene:DRNTG_23512 transcript:DRNTG_23512.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIKSSIHFMLGTGCGIYIAQNYNVPDIRKLVKTWMFVAEHIEKTYQKPKKDDD >DRNTG_28986.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16715990:16716580:-1 gene:DRNTG_28986 transcript:DRNTG_28986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHLLLDQNSFGLLHTMDQFQPNHVMVPPCLSGDQMVAQKEVEREHEKDDDGDDERLGTMKEMMYKIAAMQPVDIDPSTIKKPKRRNVRISDDPQSIAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIRYVKFLKRQVQELQANQQQTAVPTMEWSTLRTHANTPSSSSSSAAFGARTGLGFGFNGHVMQ >DRNTG_17829.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15994743:15995869:1 gene:DRNTG_17829 transcript:DRNTG_17829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPDPDPVRATKVGMTMGLPINPLAETLTLNLQISPFSFFSPCYGLLADEDEKKKKKKKKKKKKKKKKNKDNEKEKTKTKHKNENPHFKPFSSVKGSRFGPSRASPPPHHPSFHTILAPSSFSFPLPSTTTYLPTNFTILAHHACHTLTLSLAQYATPLALHQPYS >DRNTG_28796.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28795072:28796552:-1 gene:DRNTG_28796 transcript:DRNTG_28796.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDHQMACNGMSSSFFPPNFILQMQPPTENEHQHQPLPSTLSPFLPTNTQDLRGMATMLSKRSMSFCGREPCEEMTGDDEFSDDCSHAGEKKRRLNMEQVRTLEKNFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDFGVLKRQFESLRSENDALQAHNKKLQAEILALRGTREASEPINLNKETEGSCSNRSENSSDIKLDISRTSAIQHARPLFSAIGRPVGNSRTHELNFPPMKIEHGTPDDNFCNIFCSIDDQSAAIWPWSEHHNFH >DRNTG_05486.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23364965:23365520:-1 gene:DRNTG_05486 transcript:DRNTG_05486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRNLPEGNTENQREVAELELQGTVNTTEIADLGLRVEVDERNRCPKLGPYKLQGSDHRKSEGISKAAKYA >DRNTG_22726.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31564894:31566737:-1 gene:DRNTG_22726 transcript:DRNTG_22726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMNGLRSTDSSSMRGQPHSGGFEAQDQCPTTMTSNFEDYPDHHHAKKSVLAKVKEKALKWRNLLAKKKQVNDKANETPAWGVSLEEEEDDDDEQNPEFRGAPMYESERAPEAYQGGEVMEHKKPPVLLHRNPAQELAMKHVDELALHHLDEFIETSTPRSNSKPMHDDLNEEKARCLAPHPTPTHDLNSETKRDNTIKKSASQKTLTEAVSKILAPACNMVSDATQTIVSKLQHPSVDEEIGSKMKYDKGVSVKEFVLQKLEPGEDDKALSKVITESMSPRKSNQAGEKGVMEKFREAVSSLLGKQEDRKTPIPLSTNPQEVDVK >DRNTG_17006.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1365937:1370257:-1 gene:DRNTG_17006 transcript:DRNTG_17006.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 2 [Source:Projected from Arabidopsis thaliana (AT2G01650) UniProtKB/Swiss-Prot;Acc:Q9ZU93] MEEMKDKMKGLMKKVNNPFSSKFQGQGRVLGSAPSSSPSRNPPPPATLPSSRSVLKPLRPPADPVPDTDPKPSPSFGRPSAPATIDCPVCNLSFSSEADVAAHLDSCLSNSAVTACVSSFISGKPGKESVEIVLKLLRNVVREPENDKFRRIRMGNPKIKEAVGDVKGGVELLECVGFQIAEDGGEIWATMEVPDEERIRVVKDAVASLERLSLDESSSATIAPKEPKEFGSSVEQEKIDRQVRVFFCVSETAAEKIELPDSFYNLSADEIKREASLRKKKLEDSQLLIPKSYREKQALAARKKYTKTLIRIHFPDNVVLQAVFLPRETTAELYKFVSAALKEPGIEFELLHPTPPKRVVPHLAGQGEKLPTLEDVDLVPKAVIRFKPIENESMVFTGLSNALLQISEPLTD >DRNTG_25362.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24178271:24181960:1 gene:DRNTG_25362 transcript:DRNTG_25362.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYGNPALMYPHLIGMPHTRMPLPLEMTEEPVYVNAKQYHGILRRRQSRAKAELEKKLIKVRKPYLHESRHQHAMRRARGCGGRFLNTKKNDGNASDHNPENSVNSGDSLLVSSTLTSQNMNHELVSTTVSQQFSFHLSNFHSVPGDTEDKGDYSGQRHGAILANRHPSRAVSIQ >DRNTG_25362.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24178271:24181960:1 gene:DRNTG_25362 transcript:DRNTG_25362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNSSLNIKVGSMGTRPMSANTVEHNGQGSQPWWGSSALSTAVPPVISESVLKPASAVNPDGADGNQSVGQSHQNDQEDDNSDVSKQLQNLGTQTGSVGSYGQERQQQPPVSSVMPTVMPEYLAPHTQLELGQSIGCAAYSYTDPYSLMGAYGNPALMYPHLIGMPHTRMPLPLEMTEEPVYVNAKQYHGILRRRQSRAKAELEKKLIKVRKPYLHESRHQHAMRRARGCGGRFLNTKKNDGNASDHNPENSVNSGDTEDKGDYSGQRHGAILANRHPSRAVSIQ >DRNTG_25362.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24178271:24181960:1 gene:DRNTG_25362 transcript:DRNTG_25362.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNSSLNIKVGSMGTRPMSANTVEHNGQGSQPWWGSSALSTAVPPVISESVLKPASAVNPDGADGNQSVGQSHQNDQEDDNSDVSKQLQNLGTQTVGSYGQERQQQPPVSSVMPTVMPEYLAPHTQLELGQSIGCAAYSYTDPYSLMGAYGNPALMYPHLIGMPHTRMPLPLEMTEEPVYVNAKQYHGILRRRQSRAKAELEKKLIKVRKPYLHESRHQHAMRRARGCGGRFLNTKKNDGNASDHNPENSVNSGDTEDKGDYSGQRHGAILANRHPSRAVSIQ >DRNTG_02228.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1150114:1150944:-1 gene:DRNTG_02228 transcript:DRNTG_02228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSVGFRFSPTEEELVSFYLKNMLDNKRQDIQSVIPILDVYSFDPWQLPKMAGEPCNKDGEQWFFFSPMQESEAHGGRRTRMTQAGFWKATGSPSFVYSTDRAIAVKKTMVFYTGRTPFGKTKWKMNEYKALEGTTSKLRNEFSLCRVYIKSGCLRAFDRRPVGLGIENQRMGIESSSTSSSSPSSIIAPLAVEGTSSHKSSSSAELVITDDMQMLGDIDLNWF >DRNTG_03740.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000175.1:21045:22217:1 gene:DRNTG_03740 transcript:DRNTG_03740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRMVAAEAKGPVILVILSAGGVNIAEFSESPNISAILWAGYPGQQGGQAIADVIYGKYNPAGRLPVTWFEGDYVWKLPMTSMPLRPIDELGYPGRTYKFFNGSTIYPFGHGLSYTNFSYKLISNQRSITKKLAINQHCQQLHYNSSAYIPPCHSALADDLKCDKDDITIQIAVTNTGTMDGDDVVMLYSSAPHGIIDAPIKQLVGFQRVFVPAGKTVNATFSLKSCTALSIVTSSAYVVVPSGEHTFSVGSEPDQPNYLHFPFQVYIN >DRNTG_03740.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000175.1:19225:22217:1 gene:DRNTG_03740 transcript:DRNTG_03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFKSILLFIIAMLAASSAVAAKVCDTKSFSAQGLDMTQFGYCDKSLGYRVRAKNLVDTMTIEEKVKQLGNQAPGVPRLGIPAYNWWSEILHGVSDVGGGSNFNGPVHGATSFPTPITTTAAFNEDLWNKIGKAASTEARAMNNLGVAGLTFWSPVINVVRDPRWGRTLETSGEDPFVNGVYAVNFVRGLQDVEGSENVKDPNSRDLKVAACCKHYAAYDIDQWVTYGGAVWDRFHYNSNVTEQDMAETFLRPFEMCVKEGDVSSVMCSYNKVNGIPTCADSRLLSGTIRGDWNLHGYIVSDCDSIEVMMDAHKFIDDAPEDAVAQVLQAGLDMDCGSFYPNYLQSALDKGLIKESDIDKALINTYVVLMRLGWFDGHKVFDSLGAKDVCSKEHMDLATDAARQGMVLLANAVNGPNHLPLDPKKHKEIAVIGPHGEATAAMLGNYAGKPCGFVTPVDGLKKYAANIVYETGCGDVHCRNTTFIWPAVRAARKADATVIITGLNLDIEAEGNDRVDLELPGYQNLMIRMVAAEAKGPVILVILSAGGVNIAEFSESPNISAILWAGYPGQQGGQAIADVIYGKYNPAGRLPVTWFEGDYVWKLPMTSMPLRPIDELGYPGRTYKFFNGSTIYPFGHGLSYTNFSYKLISNQRSITKKLAINQHCQQLHYNSSAYIPPCHSALADDLKCDKDDITIQIAVTNTGTMDGDDVVMLYSSAPHGIIDAPIKQLVGFQRVFVPAGKTVNATFSLKSCTALSIVTSSAYVVVPSGEHTFSVGSEPDQPNYLHFPFQVYIN >DRNTG_20968.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001182.1:4464:10893:-1 gene:DRNTG_20968 transcript:DRNTG_20968.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRIALARALFVEPDLLLLDEPTVVTDILHLHNQKLIAYKEDYDTFERTREEQLRNQQKAFETSEKAEAHMQLMIISKNGKYSGVKV >DRNTG_20968.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001182.1:4464:10893:-1 gene:DRNTG_20968 transcript:DRNTG_20968.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFDRMDDGVPVKKKVVTDILHLHNQKLIAYKEDYDTFERTREEQLRNQQKAFETSEKAEAHMQLMIISKNGKYSGVKV >DRNTG_20968.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001182.1:4464:10893:-1 gene:DRNTG_20968 transcript:DRNTG_20968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIALARALFVEPDLLLLDEPTVVTDILHLHNQKLIAYKEDYDTFERTREEQLRNQQKAFETSEKAEAHMQLMIISKNGKYSGVKV >DRNTG_20968.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001182.1:4464:9564:-1 gene:DRNTG_20968 transcript:DRNTG_20968.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRIALARALFVEPDLLLLDEPTVVTDILHLHNQKLIAYKEDYDTFERTREEQLRNQQKAFETSEKAEAHMQLMIISKNGKYSGVKV >DRNTG_20968.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001182.1:10251:10893:-1 gene:DRNTG_20968 transcript:DRNTG_20968.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSPPRPTALDPRLVAQVPPQSPSSTTLLTSSLTTILT >DRNTG_20968.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001182.1:9704:10893:-1 gene:DRNTG_20968 transcript:DRNTG_20968.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSPPRPTALDPRLVAQVPPQSPSSTTLLTSSLTTILT >DRNTG_20968.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001182.1:4464:10893:-1 gene:DRNTG_20968 transcript:DRNTG_20968.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLMSFQVVTDILHLHNQKLIAYKEDYDTFERTREEQLRNQQKAFETSEKAEAHMQLMIISKNGKYSGVKV >DRNTG_20968.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001182.1:4464:10893:-1 gene:DRNTG_20968 transcript:DRNTG_20968.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRIALARALFVEPDLLLLDEPTVVTDILHLHNQKLIAYKEDYDTFERTREEQLRNQQKAFETSEKAEAHMQLMIISKNGKYSGVKV >DRNTG_05225.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000236.1:59162:59971:1 gene:DRNTG_05225 transcript:DRNTG_05225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQFAILIELYDAAYTEIEEYEELSTNYHGSLTTQRAYTGLCGHGQYAPGVSKVTCLFEYIRHQGQYARLRVIFYSLYIMRLVISMGLIDANRGAKKVTIPAPLAKVEGDNAGASQPASEPQPTPMETDASPVVEDTTQVRMFSPSRAHDHFERLESAVRVAPPASSSRDPPVPFDYPAEEPERSTDT >DRNTG_04865.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:6868158:6869609:-1 gene:DRNTG_04865 transcript:DRNTG_04865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLMALNSVLGIVSHAVTRGLTGVGVPSRVVTC >DRNTG_03211.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000146.1:238843:240167:1 gene:DRNTG_03211 transcript:DRNTG_03211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKLLKLAYALSNSNEESTSSANSRITGSLIVNNCRQPPSETRSSTSSANSSPY >DRNTG_27546.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1681196:1684937:-1 gene:DRNTG_27546 transcript:DRNTG_27546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGAKVQVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGDHIGPFNLGKSWRIHHA >DRNTG_03017.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:16796539:16798435:-1 gene:DRNTG_03017 transcript:DRNTG_03017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWRVLYSSKSPFVQPRIHLTRSVPMKALTLTFFQTERDYCCSTGLKHYRNQAFIEEQLYDPWKYFISISKPASPNQKKVSKKTERNRSVSMPHNVQGCIKL >DRNTG_04906.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11090591:11092851:1 gene:DRNTG_04906 transcript:DRNTG_04906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEDDAFFQDLSKQIALLIMEDDDDGLPLHYPSHAPPQGFHQVPQIVAMPPSLANHEALSCKRESKGTGVFIPQCYQKKKTKPSNKHISPNNNSNNNSSSSSFKCNVKQGTLIKHSNATIAKTNT >DRNTG_04906.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11090591:11092745:1 gene:DRNTG_04906 transcript:DRNTG_04906.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEDDAFFQDLSKQIALLIMEDDDDGLPLHYPSHAPPQGFHQVPQIVAMPPSLANHEALSCKRESKGTGVFIPQCYQKKKTKPSNKHISPNNNSNNNSSSSSFKCNVKQGTLIKHSNATIAKTNT >DRNTG_04906.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11090532:11092745:1 gene:DRNTG_04906 transcript:DRNTG_04906.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEDDAFFQDLSKQIALLIMEDDDDGLPLHYPSHAPPQGFHQVPQIVAMPPSLANHEALSCKRESKGTGVFIPQCYQKKKTKPSNKHISPNNNSNNNSSSSSFKCNVKQGTLIKHSNATIAKTNT >DRNTG_04906.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11090652:11092745:1 gene:DRNTG_04906 transcript:DRNTG_04906.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEDDAFFQDLSKQIALLIMEDDDDGLPLHYPSHAPPQGFHQVPQIVAMPPSLANHEALSCKRESKGTGVFIPQCYQKKKTKPSNKHISPNNNSNNNSSSSSFKCNVKQGTLIKHSNATIAKTNT >DRNTG_04906.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11090652:11092851:1 gene:DRNTG_04906 transcript:DRNTG_04906.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEDDAFFQDLSKQIALLIMEDDDDGLPLHYPSHAPPQGFHQVPQIVAMPPSLANHEALSCKRESKGTGVFIPQCYQKKKTKPSNKHISPNNNSNNNSSSSSFKCNVKQGTLIKHSNATIAKTNT >DRNTG_24172.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3466647:3467044:1 gene:DRNTG_24172 transcript:DRNTG_24172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSSSSPRTRVKLAMNPEKKAPCSPEHQCPGEISTPIHPITTITTTTTSSSSSSSSSSSRGERASERARGHGQLLLLPSLLHQLQLSEHPL >DRNTG_25181.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3915560:3915936:1 gene:DRNTG_25181 transcript:DRNTG_25181.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEAPPVVEEAPPVRMFSPSRAHDRFERLESALGMIRTEVVEVRAEISEIRAAQAVQYTEFMACFDPPRHLLHLHPLPRHRWTHHVRLHQQQHRRLRATPTL >DRNTG_21504.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17822894:17824195:1 gene:DRNTG_21504 transcript:DRNTG_21504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGGFTEELKSRVSPARLWKAAVSDVHIMLPKIVPEFVGSMEIVQGDGGVGTIKATTFTHAVKELSVVKIIVDEIDEEKLYYKYSVIEGGNPNYESTSYTYKFELSEDGGCLCKLIGEFKTIGDYEPTDQDKVLSKEGFITTFMAIDAYLQANPNECA >DRNTG_25904.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19835017:19841943:-1 gene:DRNTG_25904 transcript:DRNTG_25904.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFSLWKWSPNYWCISSETTVEVLPRLCQIKYASGTLEELLYVDMPHEYLNPSGHIVLEYAKAIQESVFEQLRVVRDGQLRIVFTPDLKIFSWEFCARRHEELIPRRLIIPQVNQVAQVVQKFQAASQNVTPNSSIQELQNTCNSFVASARQLAKALEVPIVNDLGYTKRYVRCLQISEVVSSMKDLIDFSKETGTGPMESLINFPRRTFVSGPQHAQHPQHAQHPQQAQQAQQSDEQQSVAQSSTLTAGGQFGSVSNGTVSVNNSLNAPTSTTTSATTITGLLRQNSMNSRHENQISSVNSPCGGGIAVQIPSASSSNSLAPSQANPSSPFPSPTPSTSNNNMTPTSHNTAQLNSANSPANLSAAQQNTSQSHEAELADSQSSAQQIFQEMMMSSQLNGPANIGSDMKGLNGVAPAPNAGNCLARNGIANSQGMAGIGFGSMGGIGPSIITNGQRSAIAYNTMTYDGRIGMNNIPRHPTPVNQHQQQSPGNQLMSGFESISSFNNLDFDWKSSP >DRNTG_25904.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19835017:19841943:-1 gene:DRNTG_25904 transcript:DRNTG_25904.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTGPPNPMAGGQPMPPSLLRTNSGVLGGSQAAQSPFSSLVSACNQLGSNGNNMSLLGSNQSVSSLLNHSFGNGSSGTLMNLQQRVGVNGHANMIGSGEPDTQSFNTSAGQLQGQQHFQNHSRNQLSSDNLQSQQLEGAQNFQRQFSLTHNQQQQVLGGLGNVSSLGSVKLETQMGSAEQHAPPQQLQSVHNIGAVKIEPQQLQSMRNLGQVKLEHQHSDPSVFLHQQQQQLLQLSRQSNQAAAARLNLMQQQRMLQLQQQQQQHQQQQQQQILRTLPQQRAHLQQQLQQSLSIRSQVKPMYEPGMCARRLTNYIYYQKCRPEDNNIEFWREFVTEYFAPNAKKRWCVSLYGSGRQTTGVFPQDVWHCEICKSKPSRGFETTVEVLPRLCQIKYASGTLEELLYVDMPHEYLNPSGHIVLEYAKAIQESVFEQLRVVRDGQLRIVFTPDLKIFSWEFCARRHEELIPRRLIIPQVNQVAQVVQKFQAASQNVTPNSSIQELQNTCNSFVASARQLAKALEVPIVNDLGYTKRYVRCLQISEVVSSMKDLIDFSKETGTGPMESLINFPRRTFVSGPQHAQHPQHAQHPQQAQQAQQSDEQQSVAQSSTLTAGGQFGSVSNGTVSVNNSLNAPTSTTTSATTITGLLRQNSMNSRHENQISSVNSPCGGGIAVQIPSASSSNSLAPSQANPSSPFPSPTPSTSNNNMTPTSHNTAQLNSANSPANLSAAQQNTSQSHEAELADSQSSAQQIFQEMMMSSQLNGPANIGSDMKGLNGVAPAPNAGNCLARNGIANSQGMAGIGFGSMGGIGPSIITNGQRSAIAYNTMTYDGRIGMNNIPRHPTPVNQHQQQSPGNQLMSGFESISSFNNLDFDWKSSP >DRNTG_25904.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19835017:19841943:-1 gene:DRNTG_25904 transcript:DRNTG_25904.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTGPPNPMAGGQPMPPSLLRTNSGVLGGSQAAQSPFSSLVSACNQLGSNGNNMSLLGSNQSVSSLLNHSFGNGSSGTLMNLQQRVGVNGHANMIGSGEPDTQSFNTSAGQLQGQQHFQNHSRNQLSSDNLQSQQLEGAQNFQRQFSLTHNQQQQVLGGLGNVSSLGSVKLETQMGSAEQHAPPQQLQSVHNIGAVKIEPQQLQSMRNLGQVKLEHQHSDPSVFLHQQQQQLLQLSRQSNQAAAARLNLMQQQRMLQLQQQQQQHQQQQQQQILRTLPQQRAHLQQQLQQSLSIRSQVKPMYEPGMCARRLTNYIYYQKCRPEDNNIEFWREFVTEYFAPNAKKRWCVSLYGSGRQTTGVFPQDVWHCEICKSKPSRGFETTVEVLPRLCQIKYASGTLEELLYVDMPHEYLNPSGHIVLEYAKAIQESVFEQLRVVRDGQLRIVFTPDLKIFSWEFCARRHEELIPRRLIIPQVNQVAQVVQKFQAASQNVTPNSSIQELQNTCNSFVASARQLAKALEVPIVNDLGYTKRYVRCLQISEVVSSMKDLIDFSKETGTGPMESLINFPRRTFVSGPQHAQHPQHAQHPQQAQQAQQSDEQQSVAQSSTLTAGGQFGSVSNGTVSVNNSLNAPTSTTTSATTITGLLRQNSMNSRHENQISSVNSPCGGGIAVQIPSASSSNSLAPSQANPSSPFPSPTPSTSNNNMTPTSHNTAQLNSANSPANLSAAQQNTSQSHEAELADSQSSAQQIFQEMMMSSQLNGPANIGSDMKGLNGVAPAPNAGNCLARNGIANSQGMAGIGFGSMGGIGPSIITNGQRSAIAYNTMTYDGRIGMNNIPRHPTPVNQHQQQSPGNQLMSGFESISSFNNLDFDWKSSP >DRNTG_25904.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19835017:19841943:-1 gene:DRNTG_25904 transcript:DRNTG_25904.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTGPPNPMAGGQPMPPSLLRTNSGVLGGSQAAQSPFSSLVSACNQLGSNGNNMSLLGSNQSVSSLLNHSFGNGSSGTLMNLQQRVGVNGHANMIGSGEPDTQSFNTSAGQLQGQQHFQNHSRNQLSSDNLQSQQLEGAQNFQRQFSLTHNQQQQVLGGLGNVSSLGSVKLETQMGSAEQHAPPQQLQSVHNIGAVKIEPQQLQSMRNLGQVKLEHQHSDPSVFLHQQQQQLLQLSRQSNQAAAARLNLMQQQRMLQLQQQQQQHQQQQQQQILRTLPQQRAHLQQQLQQSLSIRSQVKPMYEPGMCARRLTNYIYYQKCRPEDNNIEFWREFVTEYFAPNAKKRWCVSLYGSGRQTTGVFPQDVWHCEICKSKPSRGFETTVEVLPRLCQIKYASGTLEELLYVDMPHEYLNPSGHIVLEYAKAIQESVFEQLRVVRDGQLRIVFTPDLKIFSWEFCARRHEELIPRRLIIPQVNQVAQVVQKFQAASQNVTPNSSIQELQNTCNSFVASARQLAKALEVPIVNDLGYTKRYVRCLQISEVVSSMKDLIDFSKETGTGPMESLINFPRRTFVSGPQHAQHPQHAQHPQQAQQAQQSDEQQSVAQSSTLTAGGQFGSVSNGTVSVNNSLNAPTSTTTSATTITGLLRQNSMNSRHENQISSVNSPCGGGIAVQIPSASSSNSLAPSQANPSSPFPSPTPSTSNNNMTPTSHNTAQLNSANSPANLSAAQQNTSQSHEAELADSQSSAQQIFQEMMMSSQLNGPANIGSDMKGLNGVAPAPNAGNCLARNGIANSQGMAGIGFGSMGGIGPSIITNGQRSAIAYNTMTYDGRIGMNNIPRHPTPVNQHQQQSPGNQLMSGFESISSFNNLDFDWKSSP >DRNTG_18541.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3261741:3264948:-1 gene:DRNTG_18541 transcript:DRNTG_18541.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGAKIYPGKGIRFVRSDSQVFLFANSKCKRYFHNRLRPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTRKPYSRSIVGATLEVIQKKRTEKAEVRDAAREAALREIKERIKKTKDEKKAKKAEMMAKSQKTQTKGSVPKAPKGPKLGGGGGKR >DRNTG_33102.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1109905:1111024:1 gene:DRNTG_33102 transcript:DRNTG_33102.1 gene_biotype:protein_coding transcript_biotype:protein_coding DHRNKSLGSVAGQHSLAHFSPPNTFYGAHRHRNILLHN >DRNTG_27905.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10346370:10348531:-1 gene:DRNTG_27905 transcript:DRNTG_27905.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQQVSMHSEDQCKVDVHGGEIIFHFLFILACRPRKKYRRICHRLTAEDF >DRNTG_27905.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10338501:10348531:-1 gene:DRNTG_27905 transcript:DRNTG_27905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPSVCSIKWSPTGPGTDNPDKQLLLASASTDGTVKIWDCNQWHILYTFDGHREPVTVIEFSPDGELMASGADDQTLFVWRVKNGTILRLCSCYESMVYIVSWNREGNKLAGVFENDTVCVIGLSLDDSSAH >DRNTG_27905.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10338501:10348531:-1 gene:DRNTG_27905 transcript:DRNTG_27905.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFMEGEGELLATGSFEGQISIWSKNGELKKTLKHKDSIFFLEWNRKGDFLLAVSSDYKVIVWDTNKWESKQELAFNSEQLLGVAWRNDTSFALLSENQRIYVFNVGERLPIKTFFGHQKVIGGFKWDPTGTFLASYSIDGAIKIWTFNQRRSLHNLMHSPSVCSIKWSPTGPGTDNPDKQLLLASASTDGTVKIWDCNQWHILYTFDGHREPVTVIEFSPDGELMASGADDQTLFVWRVKNGTILRLCSCYESMVYIVSWNREGNKLAGVFENDTVCVIGLSLDDSSAH >DRNTG_27905.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10338501:10348531:-1 gene:DRNTG_27905 transcript:DRNTG_27905.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPSVCSIKWSPTGPGTDNPDKQLLLASASTDGTVKIWDCNQWHILYTFDGHREPVTVIEFSPDGELMASGADDQTLFVWRVKNGTILRLCSCYESMVYIVSWNREGNKLAGVFENDTVCVIGLSLDDSSAH >DRNTG_27905.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10338501:10340618:-1 gene:DRNTG_27905 transcript:DRNTG_27905.9 gene_biotype:protein_coding transcript_biotype:protein_coding MASGADDQTLFVWRVKNGTILRLCSCYESMVYIVSWNREGNKLAGVFENDTVCVIGLSLDDSSAH >DRNTG_27905.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10338435:10340618:-1 gene:DRNTG_27905 transcript:DRNTG_27905.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASGADDQTLFVWRVKNGTILRLCSCYESMVYIVSWNREGNKLAGVFENDTVCVIGLSLDDSSAH >DRNTG_27905.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10338501:10348531:-1 gene:DRNTG_27905 transcript:DRNTG_27905.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASGADDQTLFVWRVKNGTILRLCSCYESMVYIVSWNREGNKLAGVFENDTVCVIGLSLDDSSAH >DRNTG_27905.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10338501:10348531:-1 gene:DRNTG_27905 transcript:DRNTG_27905.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMEGEGELLATGSFEGQISIWSKNGELKKTLKHKDSIFFLEWNRKGDFLLAVSSDYKVIVWDTNKWESKQELAFNSEQLLGVAWRNDTSFALLSENQRIYVFNVGERLPIKTFFGHQVSINK >DRNTG_27905.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10338501:10348531:-1 gene:DRNTG_27905 transcript:DRNTG_27905.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSLLSVYVLNDYNAKTDGQNGSITNLAWNGEGELLATGSFEGQISIWSKNGELKKTLKHKDSIFFLEWNRKGDFLLAVSSDYKVIVWDTNKWESKQELAFNSEQLLGVAWRNDTSFALLSENQRIYVFNVGERLPIKTFFGHQVSINK >DRNTG_32456.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2390027:2396412:-1 gene:DRNTG_32456 transcript:DRNTG_32456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKEIPPGMKDEVAEFVPFDPSKKKKKKKVLIQDPAEEAEKLAEKTENLTVSDAPEPSFVGMKKKKKKPVETEVVSEEQEDAGEDLDGDHAGEDEEGEGIVLGRVRYPWEGTDRDYLYEELLDRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMTFLLAEMGTSGSLDGQQRLVVKGRFAPKNFEGILRRYVNEYVICNGCKSPDTILSKENRLFFLRCEQCGSHRSVAPIKAGFVARVGRRKAGT >DRNTG_29608.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19845547:19850263:1 gene:DRNTG_29608 transcript:DRNTG_29608.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCHQCQRNDKGPVISCSKCRKKRYCLPCVHRWYPLLLEKDFAEECPVCRNNCNCKACLRMIRVAQPAERKIDEGEKVQSSYYILHKLLPWLKEFLQEQRGEKEIEAKIKGLSSCELKLQQASCASDERVYCCCRELRDGHIPGGEPAITMQYEDRGTDYMHGGAALKSNTRARSSATEVAKPSEDVVALRKWKADSDGSIPCPPKELDGCGNSLLELRCMFPENLLQDLEEAAASFTERREWKKYADISTQCSCFTASGETGSCSEVSRKAASRENSDDNYLYCPSAIDIQTGELQHFQNHWIKGQPVIVRDVLASTYGLSWEPMVMWRALREKKSSDESDKLTVNAIDCLDWCEVEINIHQFFIGYTKGRTHRCDWPEMLKLKDWPPASSFEERLPRHGAEFFKALPFPEYTDPRCGLFNLAGKLPNEVMKPDLGPKTYIAYGLGQELGRGDSVTKLHCDMSDAVNVLTHTAEVTFSSDQLSKINELKKRHRDQDMREKLIASEKDMATGEIKSTQASHDCAREQPGIISNISRTNVKSEHFNPGVPAVDDKESGNVGDHRGAIELNTCVKQVFMPSDVKQPNSDVVTCCKIVEENEKKIIERAIVQQEKLDKTVSDNAVKELPSKGVCETQSSGLTPKGGSMNEAELEDHQRQDIKSSV >DRNTG_29608.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19844094:19851582:1 gene:DRNTG_29608 transcript:DRNTG_29608.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGHDGDGTLERVETPPDESRCCRTDGKSWRCKRSRSAEGRFCEVHQRKRAMMIERGKGSLRKGGRRSVDDGEDTSFRSSAVVRYGREILLRKRRAGRSLEDDGVGSEEDEEDDEEPSVKRNERKGKDRSLKATQKRKKGGRMVSEVVKEYSSSDGDEDWESSSSSEGRKSSKESKRSMKEQEVDGSSSEEDEDKEKGKDGNLKTGQKRKRGGKDKAKKSKGSKGNGDVGSLNVKGLEKSKKNNKQEVGDTDNEEEDAPSVKDGGKNGKDGNLKARQKRSRGNKEKLKKSKASGEGSSSDGDEDYKEHEKSTKKREGSKKKLLRGEDALMCHQCQRNDKGPVISCSKCRKKRYCLPCVHRWYPLLLEKDFAEECPVCRNNCNCKACLRMIRVAQPAERKIDEGEKVQSSYYILHKLLPWLKEFLQEQRGEKEIEAKIKGLSSCELKLQQASCASDERVYCNNCRTSIVDFHRSCPNCLYDLCLSCCRELRDGHIPGGEPAITMQYEDRGTDYMHGGAALKSNTRARSSATEVAKPSEDVVALRKWKADSDGSIPCPPKELDGCGNSLLELRCMFPENLLQDLEEAAASFTERREWKKYADISTQCSCFTASGETGSCSEVSRKAASRENSDDNYLYCPSAIDIQTGELQHFQNHWIKGQPVIVRDVLASTYGLSWEPMVMWRALREKKSSDESDKLTVNAIDCLDWCEVEINIHQFFIGYTKGRTHRCDWPEMLKLKDWPPASSFEERLPRHGAEFFKALPFPEYTDPRCGLFNLAGKLPNEVMKPDLGPKTYIAYGLGQELGRGDSVTKLHCDMSDAVNVLTHTAEVTFSSDQLSKINELKKRHRDQDMREKLIASEKDMATGEIKSTQASHDCAREQPGIISNISRTNVKSEHFNPGVPAVDDKESGNVGDHRGAIELNTCVKQVFMPSDVKQPNSDVVTCCKIVEENEKKIIERAIVQQEKLDKTVSDNAVKELPSKGVCETQSSGLTPKGGSMNEAELEDHQRQDIKSSV >DRNTG_13622.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6258324:6260553:1 gene:DRNTG_13622 transcript:DRNTG_13622.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress enhanced protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G34190) UniProtKB/Swiss-Prot;Acc:Q9M7I9] MAHAGIAASSLCVSLPNVAARKPQRTSALLRPLGEAHSKITASSFKRGTPLFLGSVLTRRKFVRQATQISIRCEQGTKEDGGLDVWLGRLAMVGFATAITVEITTGKGLLENFGFTSPLPTLALVVTALVGVLTAFFIFQSASQN >DRNTG_04922.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8894444:8896680:1 gene:DRNTG_04922 transcript:DRNTG_04922.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIGGHVFKGFLYDQGLDDQTRNEDINNNTSTSNNNNNSNNNNTGAIPNISDLHLGGGPSDVFAGGGSSGGGGLLGGTTTYGNPIN >DRNTG_20310.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5575132:5583194:-1 gene:DRNTG_20310 transcript:DRNTG_20310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESERFSGSRDNPRALGLAHDRPPRAAPVERPSPPILDRFRALLREREEELRALGEDEPPLPSAQEVVRLYEEVLSELVFNSKPVITELTILAGEQREFAEGIADAICSRILEAPIDQKLPSLYLLDSIVKNIGREYVRYFAERLAEVFCEAYNQVHFSLHPAMRHLFGTWSQVFPYYVLRTIEEELQFSTPDSQQSTSSTNTRHSESPSSRPSHGIHINPKYLEARRHLEHSSADYQDVRGTSSSLQSAGQKSSAHYGEYDFEHNDVTPPHQGSVGRGSPQTAGGHEPSMVMMEGGIASSKIKGFRPAPSPAPAPAPTPAPTIRLRGSTSPSGDRLKKEISPVCAVPGAALSDSRHGFGPCKVSEQNGWLGKSWSSDYAYQRPEDFSVYNFNRQRTRELIDAYGNYRGKSTFEEKVPKIMRLESNGVNSDVATRRWQNTEEEEYVWEDMNPTLASQRRENSLPPVEPLTTRAGLSKWGGAYVESDFRRANWSSHSRASHVDDPALKFEDRIPLISGSSQHAQDSWKLPCDIPKLPVPLSVSGRESLIGHRVPEAEVPFQRLSNLNSDSSRVNASTLEKHLTERPYSPPNPSTMLASSHKSQPLPLHPLPLHQKQFKSQFDLIETHKPIVGQGPKSSLVLPLQQYDATDRKATDTEALHHLPYQHSGSVQSNHREAMPIQLHESRGNLISSAPVQLSSHLVAPPLNISHTQEMGVPLGSVLQNSLSGLPSSHVTYKTHDNSLQALGVNLPPLPPGPPPPSVQIGPASINSNISSSPANPFSGLLSSLMEKGLISLQPQSSSQDPVGVEFNADLLKVRHESAINALYTELPRQCMTCGMRFRCQEEHGAHMDWHVTKNRISKNRKQKPSRKWFVSAKEWLSGAEALGTDFVPGFLPTETVTEKGEDKELAVPADENQNVCALCAEPFEDFYSDETEEWMYKGAIYLNAPDGNVEGFDRSQLGPIVHAKCRSESAKGFAEA >DRNTG_20310.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5575132:5583194:-1 gene:DRNTG_20310 transcript:DRNTG_20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESERFSGSRDNPRALGLAHDRPPRAAPVERPSPPILDRFRALLREREEELRALGEDEPPLPSAQEVVRLYEEVLSELVFNSKPVITELTILAGEQREFAEGIADAICSRILEAPIDQKLPSLYLLDSIVKNIGREYVRYFAERLAEVFCEAYNQVHFSLHPAMRHLFGTWSQVFPYYVLRTIEEELQFSTPDSQQSTSSTNTRHSESPSSRPSHGIHINPKYLEARRHLEHSSADYQDVRGTSSSLQSAGQKSSAHYGEYDFEHNDVTPPHQGSVGRGSPQTAGGHEPSMVMMEGGIASSKIKGFRPAPSPAPAPAPTPAPTIRLRGSTSPSGDRLKKEISPVCAVPGAALSDSRHGFGPCKVSEQNGWLGKSWSSDYAYQRPEDFSVYNFNRQRTRELIDAYGNYRGKSTFEEKVPKIMRLESNGVNSDVATRRWQNTEEEEYVWEDMNPTLASQRRENSLPPVEPLTTRAGLSKWGGAYVESDFRRANWSSHSRASHVDDPALKFEDRIPLISGSSQHAQDSWKLPCDIPKLPVPLSVSGRESLIGHRVPEAEVPFQRLSNLNSDSSRVNASTLEKHLTERPYSPPNPSTMLASSHKSQPLPLHPLPLHQKQFKSQFDLIETHKPIVGQGPKSSLVLPLQQYDATDRKATDTEALHHLPYQHSGSVQSNHREAMPIQLHESRGNLISSAPVQLSSHLVAPPLNISHTQEMGVPLGSVLQNSLSGLPSSHVTYKTHDNSLQALGVNLPPLPPGPPPPSVQIGPASINSNISSSPANPFSGLLSSLMEKGLISLQPQSSSQDPVGVEFNADLLKVRHESAINALYTELPRQCMTCGMRFRCQEEHGAHMDWHVTKNRISKNRKQKPSRKWFVSAKEWLSGAEALGTDFVPGFLPTETVTEKGEDKELAVPADENQNVCALCAEPFEDFYSDETEEWMYKGAIYLNAPDGNVEGFDRSQLGPIVHAKCRSESAKGFAEA >DRNTG_09492.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21585466:21588291:-1 gene:DRNTG_09492 transcript:DRNTG_09492.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPD1 [Source:Projected from Arabidopsis thaliana (AT4G15510) UniProtKB/TrEMBL;Acc:A0A178UV94] MLQCPEDELLFHSSQPRRNALASVFQSAIYFQLGSQFNTSLAETSNAFREYIDVFDGYLFSYPKNWIQVRGAGADIFFRDPFVLDENLSVELSSPSSSRYKSVEDLGPPQMAAEKVLKQYLTEFMSTRLGVRRESNVLSTSSRVADDGKLYYEVEVNIKSYANNNELAVMPQDRIPRLEWNRRYLSVLGVENSRLYELRLQTPENVFLEEEKDLRQVMNSFRVIKAVS >DRNTG_21301.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27409489:27411234:1 gene:DRNTG_21301 transcript:DRNTG_21301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETMANLNLLLLFILSISSSMILLASSSTTIRSSKFLQCFINKTNSTNNIYTPNTNSYTNVLLSSVQNTRFITSNTTTKPSFIITAATFSDVQASITCSRLAGLHVRVRSGGHDYEAMSYVSSGDPFITIDLANLRSITVDKAQGTAWVQAGATVGELYYTIAKNNRTVAFPAGICPTIGVGGHFTGGGIGSLTRTYGTSADNIVDALIVNSKGKLMNRKAMGEDLFWAIRGGGGASFGVVLSFKINLVSVPSTVTVFNVNRKLGENATELVERWQTIAPRFDEKLFIRVVAQAVNGGKTIQALFNSFYLGGIEELLTVMKKSFPELGLKREDCTEVSWLESVLFFNSDLGKSVDVLLDREPQVNSSFKAKSDFVKNSISKEGLEKIWEFLLEAKDEPLILILEPFGGKMDEILETDIAFPHRKGNLYNIQYYMRWSEKGSDVSEKHLEWMRKMYEFMTPYVSSQPRAAYYNYKDIDLGVGHGSYLEDEVWGVKYFKDNFKRLALVKGKVDHDNFFRNEQSIPPTVLFKQYSEIKIAMPKSSM >DRNTG_07080.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2073984:2074948:-1 gene:DRNTG_07080 transcript:DRNTG_07080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHSVITDLDSPNSPYLHTELNKDIQCSGI >DRNTG_07080.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2070079:2074948:-1 gene:DRNTG_07080 transcript:DRNTG_07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHSVITDLDSPNSPYLHTELNKDIQCSGI >DRNTG_12047.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000527.1:70127:71026:-1 gene:DRNTG_12047 transcript:DRNTG_12047.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLSIEMDDGARRYHPYGCQFHLQIAQHTVQLLDHYLKQSQVSHDPQLVCLSRAHHGDESLYSS >DRNTG_35284.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:694871:695535:-1 gene:DRNTG_35284 transcript:DRNTG_35284.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSGVKVSIIIGIIIIRYLALPLVGIGIVKGAIHLGMVHPDPLYRFILLLQYAVPPAMNITSMIQMFEAGEGELSVIFLWSYVVASAALTIWSTLFLWLVS >DRNTG_35284.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:694871:697284:-1 gene:DRNTG_35284 transcript:DRNTG_35284.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLWFMPVNLFLNFVIGSALAWAIIHIFQAPARIRAVIFASCSAGNVGNLLLILVPAMCKEKGSPFGDPDICSKFGLSFASLSLAIGTILQWSYVFNIVRVTSSHAQDKTLATDSVSKVTSAQETISLLPEDYKDVMTSTRGCSTSAFPFNNAPLLPSKTEDFTTTTKVLLSSSKMSRFWRIFCGVIDLKKLFAPATVGVIIGFMIGVIPQLKKAMIGETAPLRAIQESATLLGNGTIPSLMLIMGGNLVKGMNSSGVKVSIIIGIIIIRYLALPLVGIGIVKGAIHLGMVHPDPLYRFILLLQYAVPPAMNITSMIQMFEAGEGELSVIFLWSYVVASAALTIWSTLFLWLVS >DRNTG_35284.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:694871:695605:-1 gene:DRNTG_35284 transcript:DRNTG_35284.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIMGGNLVKGMNSSGVKVSIIIGIIIIRYLALPLVGIGIVKGAIHLGMVHPDPLYRFILLLQYAVPPAMNITSMIQMFEAGEGELSVIFLWSYVVASAALTIWSTLFLWLVS >DRNTG_22136.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17504916:17509257:1 gene:DRNTG_22136 transcript:DRNTG_22136.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAARQRFVSPFSTTPTGGMQLEVKRMFQVGITSSYQDEQSSMHAGSQCRKNLEVESTMDYDRQLPYDNSEISTKHKKKKKPKHLGYKNSLNLADANVLVVPGKGFSYEQRLQVDPMMQHEQNVKKRLENPHFESNGSTGLYIQHAAKKPKLLKQLPDASSDAITPMTGSMTSPVASQMSNMSNSNKLIKIIANRDRGRKHKATKMTTGQSGSRNPWAAFEDQALVVLVHDLGPNWELVSDAINSTLQFKLHYIFRKPKECKERHKALMDRSAGDGADSAEDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDTLKVHFEKIILIRQQRHSCRSQNDGQEMKQIVPAHNSHVVALSQVTPNNLSGGILTPLDLCDSITSSPDVTTLGYQGSHTSGLAIPSHPGSVTPVLPTTSANNVLQTSPGMVLCSGLPSPSSPLSAASR >DRNTG_22136.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17509322:17513167:1 gene:DRNTG_22136 transcript:DRNTG_22136.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNPQPGCWMPNQVMKQRQRPQVHQQPRNLQQQWQPPQQQAKLIKGLGRGAMMMHQNLPVDASHVNGLTSASKKQVPEKHLMQQSQGFYSGNSGLNPSLPRQPGQPKIFSRPLPQPSKQISSVPSQSESGNQGQVQVSSTHSLLAPQQPTAQPSLPLPAQPPLQQPQQRQQQQRQTNHSKQTMQRMVLPQNRQMTSDGQIQSSSDPVHGNQLVSGTSVVQCTDSVNPASVASSATQWKAEPSHDTSTPPSSAHLASSPQENLLTNDTVAPPLSQASSQRQFSGSMHGHGIGRQWQQQQQPQSQQPQPPQRQLSKQHKLIYMCNLRTQGLDDKMGCEQMIPKKTSFPLYAIVQAVRAGPGHAIMILALCLELTVFSSTCKPGTKPIVSPYVMSVFLTSPANSLHWQKSSNSVIFVMLVDIILDFFFKLVQYSIVLIQVCTSFLKYL >DRNTG_22136.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17504916:17513167:1 gene:DRNTG_22136 transcript:DRNTG_22136.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAARQRFVSPFSTTPTGGMQLEVKRMFQVGITSSYQDEQSSMHAGSQCRKNLEVESTMDYDRQLPYDNSEISTKHKKKKKPKHLGYKNSLNLADANVLVVPGKGFSYEQRLQVDPMMQHEQNVKKRLENPHFESNGSTGLYIQHAAKKPKLLKQLPDASSDAITPMTGSMTSPVASQMSNMSNSNKLIKIIANRDRGRKHKATKMTTGQSGSRNPWAAFEDQALVVLVHDLGPNWELVSDAINSTLQFKLHYIFRKPKECKERHKALMDRSAGDGADSAEDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDTLKVHFEKIILIRQQRHSCRSQNDGQEMKQIVPAHNSHVVALSQVTPNNLSGGILTPLDLCDSITSSPDVTTLGYQGSHTSGLAIPSHPGSVTPVLPTTSANNVLQTSPGMVLCSGLPSPSSPLSAASRDAQRYSMPRSVSLPVDEQQRMQYTQMLSGRNVQQSGLPVPGNLPVDRGVRMLPGTSAMGMMCGMNRGMPSMPRAGFQGINSPGMLNMVSTSAMLSGNGVTNPATAHQGNSMLRPHDTMHMLRPG >DRNTG_17668.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16696462:16697918:1 gene:DRNTG_17668 transcript:DRNTG_17668.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKRACRPLLFLNGTHLLGKYRGILLGAIGKDGNNGSFHAAFSIVNNETDANWTRFIRFKLMRMLCNRHEQANKWETYLCPNIHSKVKILVKESQNLHVGHCVDDRYETDTNVYRFISGYFKKDQMEVAIHDDWQDDYVNIIQDINSVSNRVMEACFVYGYGTFAGSCGADTVIPLYGSPVVKR >DRNTG_12013.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13721917:13727775:-1 gene:DRNTG_12013 transcript:DRNTG_12013.1 gene_biotype:protein_coding transcript_biotype:protein_coding GMLQRVQTARGQSTKSGSTLIHPQLLLLIFPLSVMLFLPLYHTLPLQVRDHIHHLAPPLATHASPILGITSVHLMTYLGRRLPLGCMKLLPIVF >DRNTG_25400.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24499437:24501897:1 gene:DRNTG_25400 transcript:DRNTG_25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSRRIADKKIAAFQKNIIKRGSVPETRAKKGSDYPVGPVMLGFFIFVVIGSSIFQFIRMATGGGAA >DRNTG_12848.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:22663147:22664080:-1 gene:DRNTG_12848 transcript:DRNTG_12848.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLWIQQHEMDYSANSCNFCSMSPTIPLFVSNISVMLI >DRNTG_04469.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19327756:19329564:1 gene:DRNTG_04469 transcript:DRNTG_04469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGLEDKVQPTPGIMKKMLQKMKRARRCHKKCPKANADEEEQSKGDEPLCGMCERPYEGPCEGP >DRNTG_22628.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001246.1:21983:23964:-1 gene:DRNTG_22628 transcript:DRNTG_22628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPRVFQDQKPEIAGEDEDAHAGDEEAAARSPGARPTTSLTGIINRNTRNNDSRFDSKISTRST >DRNTG_22628.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001246.1:21983:22529:-1 gene:DRNTG_22628 transcript:DRNTG_22628.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLANPRWKFAMMAPRVFQDQKPEIAGEDEDAHAGDEEAAARSPGARPTTSLTGIINRNTRNNDSRFDSKISTRST >DRNTG_34193.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002095.1:18159:19376:-1 gene:DRNTG_34193 transcript:DRNTG_34193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPDPYEGLFDQEDDYEEVMMLGSTEEVPSTLGILKKVLWKMKRARRLHRKHSKAVGDVREPKKLDESLLGSECVNMFRNFLQSMQVFLRHPVKTHGRVEFPHARGFVLRVHP >DRNTG_34368.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16262643:16263234:1 gene:DRNTG_34368 transcript:DRNTG_34368.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVDHMESGYTTSTTNLARDGGGSPTECSSLQFHSS >DRNTG_04545.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22495192:22496208:-1 gene:DRNTG_04545 transcript:DRNTG_04545.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRQGHEYLDDSEPSHGHSEGLESFLDHVMLGECWVLC >DRNTG_04545.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22495192:22495632:-1 gene:DRNTG_04545 transcript:DRNTG_04545.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRQGHEYLDDSEPSHGHSEGLESFLDHVMLGECWVLC >DRNTG_04545.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22495192:22496774:-1 gene:DRNTG_04545 transcript:DRNTG_04545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRQGHEYLDDSEPSHGHSEGLESFLDHVMLGECWVLC >DRNTG_15150.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18690203:18696601:-1 gene:DRNTG_15150 transcript:DRNTG_15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPMPTIAAVTGHAAAGGFIIARAHDYVVMRGDRGFVYMSELDIGVPIARYAMSVLRSRITDARALRDLLLRPEKMKAGEAERMGVIDRAVEGGAEETVAVAVRMAEEMAAKGWDDSIYASMRKGAFPQVFRDLGLAEDTDEDISRVFISKI >DRNTG_15150.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18690203:18691176:-1 gene:DRNTG_15150 transcript:DRNTG_15150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLEKRGRVYLLTLTGSDEHRLNPTTLGSIRSAIAQVRADSGGRAAALVTAAEGKFFSNGLDLAWARVSPSDRTRELGSALRATIADLMSLPMPTIAAVTGHAAAGGFIIARAHDYVVMRGDRGFVYMSELDIGVPIARYAMSVLRSRITDARALRDLLLRPEKMKAGEAERMGVIDRAVEGGAEETVAVAVRMAEEMAAKGWDDSIYASMRKGAFPQVFRDLGLAEDTDEDISRVFISKI >DRNTG_14297.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4971205:4971354:1 gene:DRNTG_14297 transcript:DRNTG_14297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLKYIIYLNKKIKNYSISSYILFCFKILTEINYFKKISKLSDLQNKL >DRNTG_27197.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:515769:518619:-1 gene:DRNTG_27197 transcript:DRNTG_27197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNEKVEALPEEFDPKEIQGSIVVRSNGVEMVLGRNVHTMCLSIQEPEPNDEITGEREAYMASVLARYRKSLVERTQHHLGYPYNLDFDYGALVQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWELEKNEYWGYITNCGTEGNLHGILVGREVFPDGILYASRESHYSVFKAARMYRMECAQIETLISGEIDCTDFGAKLLLNKDKPAIVNVNIGTTVKGAVDDLDMVIKTLEDSGFKDRFYIHCDGALFGLMMPFVKRAPKVTFKKPIGSVSVSGHKFVGCPMPCGVQITRLKHINVFSRNVEYLASRDATIMGSRNGHGPIFLWYTLNRKGYRGIQKEVQKCLRNAHYLKGRLREAGISAMLNELSSTVVFERPKDEEFIRRWQLACQGNIAHVVVMPSVSIKKLNNFLKELVQERAIWYQNGYNKPPCVAAEIGKENCLCVMMHKN >DRNTG_22752.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4142526:4150039:-1 gene:DRNTG_22752 transcript:DRNTG_22752.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OBERON 4 [Source:Projected from Arabidopsis thaliana (AT3G63500) UniProtKB/Swiss-Prot;Acc:Q84TI3] MLKRPRSYGEDLDPDSDRPSSHRRVHSKPEGGRKGSSSSSHDRSLDDEREGSRSSRKRFDEKSEGFYRRKSSFDRYGDRSIPLSQSPRGMYGGERLRRSESVSLSRRDGGSSWRRSSSWKEGGSSSAMAGDSVGRGGGHHGISSDERGPVRGKDSPSGEQSRSEGTPKAVSSRKESTSSSEMEEGEIEPEDSEGCKEKGADSTTVSDSSSSEIKAEGGACEAEEEVKSSEMGLDGVTADDNLHSFQNESINGMNEPSEQGLLEGSGERNVEADECRGKSEIQEQEVKEAEEVPVVQEKVQGIALTVSRHAGDESDEKAGEGLGLEVEIEGKMKETSAIEHEGETREPNGIDLERAPEGDMELFDLEKEATLELMPVNPKEKQKDKGKNPAVSLSSTVNTVIDDDPMEGPSGRGFELVFPSDGRRPDGSNSGAVVIGRKNDEKPNLELLDLSLGLSNVLPDSALTRPRPSSPSHARSIHSLPSSFHTMSDGFTASVSFSGSQTFTHNPSCSLNQNSLENYEHSVGSRPLFQPVDQVPDGTVWHGQSSTDTKRKGGAGALFHRALLNGNVAHSSPHTVAGMHNLNLDGLARQSSLPRQISPSQSLGSRDTRSEHSKDRRLLSRQRSSSSLFRSDQNDSAQLVNVVGIAEVVTEPIQLIGRILEGMPKQSVTHLKGAICEMVSNADKHGKLQALQEALQKRSDLTLETLLKCNRILLEILVSLKTGLPDFIQRSGDVSSTDLAEIFLNLKCRNLNCRAILPVDECDCKVCVRKSGFCSQCMCLVCSKFDLASNTCSWVGCDVCLHWCHTDCALRDCYIRNGRTAATTQGMTEMQFHCVACDHPSEMFGFVKEVFKTCAKDWKLETLRKELQYVRRIFSASNDVRGLKLRDVADQMLVKLENKPNLFEVRTIIMAFFVESESNISSNSPSFPPKQPSLSNAEGSSDVACLNKEIPWLSSIPSDKVPQLETKAVLSSLDRNLLGRQTSNVVPPVNLEKKPVVDELESVVRFKQEEAKMYQERANNAKREAEALKHIAVAKNLKIEEDYANRIAKLRLAELEERRRQKFEEFQALERSKCEYFNMKTRMESDIKDLLLKMDAIKQNFN >DRNTG_22752.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4144978:4150039:-1 gene:DRNTG_22752 transcript:DRNTG_22752.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OBERON 4 [Source:Projected from Arabidopsis thaliana (AT3G63500) UniProtKB/Swiss-Prot;Acc:Q84TI3] MLKRPRSYGEDLDPDSDRPSSHRRVHSKPEGGRKGSSSSSHDRSLDDEREGSRSSRKRFDEKSEGFYRRKSSFDRYGDRSIPLSQSPRGMYGGERLRRSESVSLSRRDGGSSWRRSSSWKEGGSSSAMAGDSVGRGGGHHGISSDERGPVRGKDSPSGEQSRSEGTPKAVSSRKESTSSSEMEEGEIEPEDSEGCKEKGADSTTVSDSSSSEIKAEGGACEAEEEVKSSEMGLDGVTADDNLHSFQNESINGMNEPSEQGLLEGSGERNVEADECRGKSEIQEQEVKEAEEVPVVQEKVQGIALTVSRHAGDESDEKAGEGLGLEVEIEGKMKETSAIEHEGETREPNGIDLERAPEGDMELFDLEKEATLELMPVNPKEKQKDKGKNPAVSLSSTVNTVIDDDPMEGPSGRGFELVFPSDGRRPDGSNSGAVVIGRKNDEKPNLELLDLSLGLSNVLPDSALTRPRPSSPSHARSIHSLPSSFHTMSDGFTASVSFSGSQTFTHNPSCSLNQNSLENYEHSVGSRPLFQPVDQVPDGTVWHGQSSTDTKRKGGAGALFHRALLNGNVAHSSPHTVAGMHNLNLDGLARQSSLPRQISPSQSLGSRDTRSEHSKDRRLLSRQRSSSSLFRSDQNDSAQLVNVVGIAEVVTEPIQLIGRILEGMPKQSVTHLKGAICEMVSNADKHGKLQALQEALQKRSDLTLETLLKCNRILLEILVSLKTGLPDFIQRSGDVSSTDLAEIFLNLKCRNLNCRAILPVDECDCKVCVRKSGFCSQCMCLVCSKFDLASNTCSWVGCDVCLHWCHTDCALRDCYIRNGRTAATTQGMTEMQFHCVACDHPSEMFGFVKEVFKTCAKDWKLETLRKELQYVRRIFSASNDVRGLKLRDVADQMLVKLENKPNLFEVRTIIMAFFVESESNISSNSPSFPPKQPSLSNAEGSSDVACLNKEIPWLSSIPSDKVPQLETKAVLSSLDRNLLGRQTSNVVPPVNLEKKPVVDELESVVRFKQEEAKMYQERANNAKREAEALKHIAVAKNLKIEEDYANRIAKLRLAELEERRRQKFEEFQALERSKCEYFNMKTRMESDIKDLLLKMDAIKQNFN >DRNTG_22752.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4145561:4150039:-1 gene:DRNTG_22752 transcript:DRNTG_22752.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OBERON 4 [Source:Projected from Arabidopsis thaliana (AT3G63500) UniProtKB/Swiss-Prot;Acc:Q84TI3] MLKRPRSYGEDLDPDSDRPSSHRRVHSKPEGGRKGSSSSSHDRSLDDEREGSRSSRKRFDEKSEGFYRRKSSFDRYGDRSIPLSQSPRGMYGGERLRRSESVSLSRRDGGSSWRRSSSWKEGGSSSAMAGDSVGRGGGHHGISSDERGPVRGKDSPSGEQSRSEGTPKAVSSRKESTSSSEMEEGEIEPEDSEGCKEKGADSTTVSDSSSSEIKAEGGACEAEEEVKSSEMGLDGVTADDNLHSFQNESINGMNEPSEQGLLEGSGERNVEADECRGKSEIQEQEVKEAEEVPVVQEKVQGIALTVSRHAGDESDEKAGEGLGLEVEIEGKMKETSAIEHEGETREPNGIDLERAPEGDMELFDLEKEATLELMPVNPKEKQKDKGKNPAVSLSSTVNTVIDDDPMEGPSGRGFELVFPSDGRRPDGSNSGAVVIGRKNDEKPNLELLDLSLGLSNVLPDSALTRPRPSSPSHARSIHSLPSSFHTMSDGFTASVSFSGSQTFTHNPSCSLNQNSLENYEHSVGSRPLFQPVDQVPDGTVWHGQSSTDTKRKGGAGALFHRALLNGNVAHSSPHTVAGMHNLNLDGLARQSSLPRQISPSQSLGSRDTRSEHSKDRRLLSRQRSSSSLFRSDQNDSAQLVNVVGIAEVVTEPIQLIGRILEGMPKQSVTHLKGAICEMVSNADKHGKLQALQEALQKRSDLTLETLLKCNRILLEILVSLKTGLPDFIQRSGDVSSTDLAEIFLNLKCRNLNCRAILPVDECDCKVCVRKSGFCSQCMCLVCSKFDLASNTCSWVGCDVCLHWCHTDCALRDCYIRNGRTAATTQGMTEMQFHCVACDHPSEMFGFVKEVFKTCAKDWKLETLRKELQYVRRIFSASNDVRGLKLRDVADQMLVKLENKPNLFEVRTIIMAFFVESESNISSNSPSFPPKQPSLSNAEGSSDVACLNKEIPWLSSIPSDKVPQLETKAVLSSLDRNLLGRQTSNVVPPVNLEKKPVVDELESVVRFKQEEAKMYQERANNAKREAEALKHIAVAKNLKIEEDYANRIAKLRLAELEERRRQKFEEFQALERSKCEYFNMKTRMESDIKDLLLKMDAIKQNFN >DRNTG_22752.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4144978:4149970:-1 gene:DRNTG_22752 transcript:DRNTG_22752.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OBERON 4 [Source:Projected from Arabidopsis thaliana (AT3G63500) UniProtKB/Swiss-Prot;Acc:Q84TI3] MLKRPRSYGEDLDPDSDRPSSHRRVHSKPEGGRKGSSSSSHDRSLDDEREGSRSSRKRFDEKSEGFYRRKSSFDRYGDRSIPLSQSPRGMYGGERLRRSESVSLSRRDGGSSWRRSSSWKEGGSSSAMAGDSVGRGGGHHGISSDERGPVRGKDSPSGEQSRSEGTPKAVSSRKESTSSSEMEEGEIEPEDSEGCKEKGADSTTVSDSSSSEIKAEGGACEAEEEVKSSEMGLDGVTADDNLHSFQNESINGMNEPSEQGLLEGSGERNVEADECRGKSEIQEQEVKEAEEVPVVQEKVQGIALTVSRHAGDESDEKAGEGLGLEVEIEGKMKETSAIEHEGETREPNGIDLERAPEGDMELFDLEKEATLELMPVNPKEKQKDKGKNPAVSLSSTVNTVIDDDPMEGPSGRGFELVFPSDGRRPDGSNSGAVVIGRKNDEKPNLELLDLSLGLSNVLPDSALTRPRPSSPSHARSIHSLPSSFHTMSDGFTASVSFSGSQTFTHNPSCSLNQNSLENYEHSVGSRPLFQPVDQVPDGTVWHGQSSTDTKRKGGAGALFHRALLNGNVAHSSPHTVAGMHNLNLDGLARQSSLPRQISPSQSLGSRDTRSEHSKDRRLLSRQRSSSSLFRSDQNDSAQLVNVVGIAEVVTEPIQLIGRILEGMPKQSVTHLKGAICEMVSNADKHGKLQALQEALQKRSDLTLETLLKCNRILLEILVSLKTGLPDFIQRSGDVSSTDLAEIFLNLKCRNLNCRAILPVDECDCKVCVRKSGFCSQCMCLVCSKFDLASNTCSWVGCDVCLHWCHTDCALRDCYIRNGRTAATTQGMTEMQFHCVACDHPSEMFGFVKEVFKTCAKDWKLETLRKELQYVRRIFSASNDVRGLKLRDVADQMLVKLENKPNLFEVRTIIMAFFVESESNISSNSPSFPPKQPSLSNAEGSSDVACLNKEIPWLSSIPSDKVPQLETKAVLSSLDRNLLGRQTSNVVPPVNLEKKPVVDELESVVRFKQEEAKMYQERANNAKREAEALKHIAVAKNLKIEEDYANRIAKLRLAELEERRRQKFEEFQALERSKCEYFNMKTRMESDIKDLLLKMDAIKQNFN >DRNTG_22752.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4142526:4149970:-1 gene:DRNTG_22752 transcript:DRNTG_22752.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OBERON 4 [Source:Projected from Arabidopsis thaliana (AT3G63500) UniProtKB/Swiss-Prot;Acc:Q84TI3] MLKRPRSYGEDLDPDSDRPSSHRRVHSKPEGGRKGSSSSSHDRSLDDEREGSRSSRKRFDEKSEGFYRRKSSFDRYGDRSIPLSQSPRGMYGGERLRRSESVSLSRRDGGSSWRRSSSWKEGGSSSAMAGDSVGRGGGHHGISSDERGPVRGKDSPSGEQSRSEGTPKAVSSRKESTSSSEMEEGEIEPEDSEGCKEKGADSTTVSDSSSSEIKAEGGACEAEEEVKSSEMGLDGVTADDNLHSFQNESINGMNEPSEQGLLEGSGERNVEADECRGKSEIQEQEVKEAEEVPVVQEKVQGIALTVSRHAGDESDEKAGEGLGLEVEIEGKMKETSAIEHEGETREPNGIDLERAPEGDMELFDLEKEATLELMPVNPKEKQKDKGKNPAVSLSSTVNTVIDDDPMEGPSGRGFELVFPSDGRRPDGSNSGAVVIGRKNDEKPNLELLDLSLGLSNVLPDSALTRPRPSSPSHARSIHSLPSSFHTMSDGFTASVSFSGSQTFTHNPSCSLNQNSLENYEHSVGSRPLFQPVDQVPDGTVWHGQSSTDTKRKGGAGALFHRALLNGNVAHSSPHTVAGMHNLNLDGLARQSSLPRQISPSQSLGSRDTRSEHSKDRRLLSRQRSSSSLFRSDQNDSAQLVNVVGIAEVVTEPIQLIGRILEGMPKQSVTHLKGAICEMVSNADKHGKLQALQEALQKRSDLTLETLLKCNRILLEILVSLKTGLPDFIQRSGDVSSTDLAEIFLNLKCRNLNCRAILPVDECDCKVCVRKSGFCSQCMCLVCSKFDLASNTCSWVGCDVCLHWCHTDCALRDCYIRNGRTAATTQGMTEMQFHCVACDHPSEMFGFVKEVFKTCAKDWKLETLRKELQYVRRIFSASNDVRGLKLRDVADQMLVKLENKPNLFEVRTIIMAFFVESESNISSNSPSFPPKQPSLSNAEGSSDVACLNKEIPWLSSIPSDKVPQLETKAVLSSLDRNLLGRQTSNVVPPVNLEKKPVVDELESVVRFKQEEAKMYQERANNAKREAEALKHIAVAKNLKIEEDYANRIAKLRLAELEERRRQKFEEFQALERSKCEYFNMKTRMESDIKDLLLKMDAIKQNFN >DRNTG_22752.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4145561:4149970:-1 gene:DRNTG_22752 transcript:DRNTG_22752.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OBERON 4 [Source:Projected from Arabidopsis thaliana (AT3G63500) UniProtKB/Swiss-Prot;Acc:Q84TI3] MLKRPRSYGEDLDPDSDRPSSHRRVHSKPEGGRKGSSSSSHDRSLDDEREGSRSSRKRFDEKSEGFYRRKSSFDRYGDRSIPLSQSPRGMYGGERLRRSESVSLSRRDGGSSWRRSSSWKEGGSSSAMAGDSVGRGGGHHGISSDERGPVRGKDSPSGEQSRSEGTPKAVSSRKESTSSSEMEEGEIEPEDSEGCKEKGADSTTVSDSSSSEIKAEGGACEAEEEVKSSEMGLDGVTADDNLHSFQNESINGMNEPSEQGLLEGSGERNVEADECRGKSEIQEQEVKEAEEVPVVQEKVQGIALTVSRHAGDESDEKAGEGLGLEVEIEGKMKETSAIEHEGETREPNGIDLERAPEGDMELFDLEKEATLELMPVNPKEKQKDKGKNPAVSLSSTVNTVIDDDPMEGPSGRGFELVFPSDGRRPDGSNSGAVVIGRKNDEKPNLELLDLSLGLSNVLPDSALTRPRPSSPSHARSIHSLPSSFHTMSDGFTASVSFSGSQTFTHNPSCSLNQNSLENYEHSVGSRPLFQPVDQVPDGTVWHGQSSTDTKRKGGAGALFHRALLNGNVAHSSPHTVAGMHNLNLDGLARQSSLPRQISPSQSLGSRDTRSEHSKDRRLLSRQRSSSSLFRSDQNDSAQLVNVVGIAEVVTEPIQLIGRILEGMPKQSVTHLKGAICEMVSNADKHGKLQALQEALQKRSDLTLETLLKCNRILLEILVSLKTGLPDFIQRSGDVSSTDLAEIFLNLKCRNLNCRAILPVDECDCKVCVRKSGFCSQCMCLVCSKFDLASNTCSWVGCDVCLHWCHTDCALRDCYIRNGRTAATTQGMTEMQFHCVACDHPSEMFGFVKEVFKTCAKDWKLETLRKELQYVRRIFSASNDVRGLKLRDVADQMLVKLENKPNLFEVRTIIMAFFVESESNISSNSPSFPPKQPSLSNAEGSSDVACLNKEIPWLSSIPSDKVPQLETKAVLSSLDRNLLGRQTSNVVPPVNLEKKPVVDELESVVRFKQEEAKMYQERANNAKREAEALKHIAVAKNLKIEEDYANRIAKLRLAELEERRRQKFEEFQALERSKCEYFNMKTRMESDIKDLLLKMDAIKQNFN >DRNTG_32100.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22268177:22269604:-1 gene:DRNTG_32100 transcript:DRNTG_32100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter F family member 1 [Source:Projected from Arabidopsis thaliana (AT5G60790) UniProtKB/Swiss-Prot;Acc:Q9FJH6] MQNKKLKFYTGNYDQYVQTRSELEENQMKQYKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVKDKVLVFRFTDVGKLPPPVLQFVEVTFGYTPDNPGNEEEKMRAAIGRFGLSGKAQVMPMKNLSDGQRSRVIFSWLAWRQPHLLLLDEPTNHLDIETIDSLAEALNEWDGGMVLVSHDFRLINQVAQEIWVCENQAVTRWEGDIMDFKEHLRSKAGLPN >DRNTG_32100.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22268177:22269604:-1 gene:DRNTG_32100 transcript:DRNTG_32100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter F family member 1 [Source:Projected from Arabidopsis thaliana (AT5G60790) UniProtKB/Swiss-Prot;Acc:Q9FJH6] MQNKKLKFYTGNYDQYVQTRSELEENQMKQYKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVKDKVLVFRFTDVGKLPPPVLQFVEVTFGYTPDNLIYKSLDFGVDLDSRIALVGPNGAGKSTLLKLMTGDLVPLDGMVRRHNHLRIAQFHQHLAEKLDLEMPALQYMMKEYPGNEEEKMRAAIGRFGLSGKAQVMPMKNLSDGQRSRVIFSWLAWRQPHLLLLDEPTNHLDIETIDSLAEALNEWDGGMVLVSHDFRLINQVAQEIWVCENQAVTRWEGDIMDFKEHLRSKAGLPN >DRNTG_26586.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20104384:20106539:1 gene:DRNTG_26586 transcript:DRNTG_26586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLKCGANYVPLSPITFLSRAAYVYSDRTSIIYQNTRFTWNQTYHRCLRLSSALRSQLYINKNDVVSVLAPNIPAIYEMHFAVPMAGAVLNTINTRLHAKDVAKILVHSEAKVLFYDYQYIKLIDDIIDHFISNNITPLPQLILIDDIDTPTGVVRHGKFEYEELIARGDPMHAPHVLEDEWDAIALNYTSGTTSAPKGVVYSHRGAYISCMTLLLQWGVGNEPVYLWSLPMFHCNGWTFTWGMAARGGTNVCIRNTTAAEMHRAITQHKVTHMCCAPIVLKIISEGCDHCNMSTTATLHRRPVVEILTGGAPPPAALLKKIENLGFHVTHAYGLTEATGPATVCEWKAEWNELPREDQAKLKARQGISVLTLADIDVKNLEDMKSVPRDGKTRGEIVIRGSSIMKGYFKNEEETRKAFVDGWFLTGDVGVIHPDGYVEIKDRSKDVIISGGENISSVEVENVLYWHPAVEETAVVAMKHPHWGETPCAFLKVKKEFVERIKEEEIIMYCRKNMSKFMVPKKVVFMDELPKTATGKIIKSDLREMAKSFKVATMAGRVTQQQKQQQRSNKRLGDEPQIEQQVMALSRL >DRNTG_08446.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21358349:21359382:1 gene:DRNTG_08446 transcript:DRNTG_08446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKCGYLEAAFDIFHAMPQKDIISWNAMLGGLAAHGYGKEAIDLFNEMEKNGDAKPDLVTLIAVLSACSHSGMILEGLSYFNSMTSSYEIVPDMEHYGCMVDLLGRAGRIKEAIHFIKQMPASPNSVIWGSLLSACRVDGMM >DRNTG_08446.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21358349:21359169:1 gene:DRNTG_08446 transcript:DRNTG_08446.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKCGYLEAAFDIFHAMPQKDIISWNAMLGGLAAHGYGKEAIDLFNEMEKNGDAKPDLVTLIAVLSACSHSGMILEGLSYFNSMTSSYEIVPDMEHYGCMVDLLGRAGRIKEAIHFIKQMPASPNSVIWGSLLSACRVDGMM >DRNTG_29557.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:108208:108745:-1 gene:DRNTG_29557 transcript:DRNTG_29557.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPERSQRRRGLADPAGTGVASRPASSSSENPGNGASLR >DRNTG_29557.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:107432:108745:-1 gene:DRNTG_29557 transcript:DRNTG_29557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERSQRRRGLADPAGTGVASRPASSSSENPGNGASLR >DRNTG_21124.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2562918:2567014:-1 gene:DRNTG_21124 transcript:DRNTG_21124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSRKRSKKENAGCMWGFISLFDFHRSHAPRRLLSDRTQGSDNGFSGNKFELLSDAEERQEGFEENEINEDGRIDANMASVKSLMEDEMSKPQAGSTTEVGFERPRTKLGYHTEKNRKKTGKNSKVVTDLLLNDLKDLANLDSHQSRQSNSYEGSFSDSDFASFMVDFYGNTRQVAEKRVNCNPSLESNCLPEHDSELVEKLSVIQKALSDVAEAFLTQKLVEAKRVSEKGSVCQSKQFINALETLNANRELFLKLVQDPNSVLLKHIQDLQNAHAGMLSEFDLCKNDMLGEDVGSSAQSEGSVRQNGHSFFRKKEKPKEIKQQSLNRIIVLRPNSSITQNPSITMTPNSPPRDSRKHREVSERVGSYFSLKEIKRRWKNVIGDNKERRSISMDGVLHKIPYGQKSMEKAVPSKNFSDAKRTSPKPSIVAMRRDKIKGESGSVSMRITDYREPSFYAEAKKHMVEMLNSDDDKKTFQLKKTPKSLGRVLSLPEFSTYKRFSPFQEELSPRGMMHSPKRQTKKENAVTGIQIQSDAEKAVLETDKQDGNTEIVEMINDERKVENNHFDESVELNDAQIKESSKEMPSYLVIHNAETPENLMEKCDRPSPVSVLDQCFSEDIISPHSTSVEYDSQLQLEEHALGTSLDDKEIRDAFIKAVIEKSGLSYDVISSRLLDPSLLDEIEIMYIQLIDDPQLLFDCINEVLVEINQRYFSCSPWMSIVQHEVRPIPKGMKLIQEVCKGVEWHMKLQFPMTLDQLVGKDMDRRDWMDLRLETENTITEVGDNIVEFLMEETILELWT >DRNTG_21124.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2562918:2567014:-1 gene:DRNTG_21124 transcript:DRNTG_21124.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIDHQRIQRNFGLLVQFWRKSMAKKSRKRSKKENAGCMWGFISLFDFHRSHAPRRLLSDRTQGSDNGFSGNKFELLSDAEERQEGFEENEINEDGRIDANMASVKSLMEDEMSKPQAGSTTEVGFERPRTKLGYHTEKNRKKTGKNSKVVTDLLLNDLKDLANLDSHQSRQSNSYEGSFSDSDFASFMVDFYGNTRQVAEKRVNCNPSLESNCLPEHDSELVEKLSVIQKALSDVAEAFLTQKLVEAKRVSEKGSVCQSKQFINALETLNANRELFLKLVQDPNSVLLKHIQDLQNAHAGMLSEFDLCKNDMLGEDVGSSAQSEGSVRQNGHSFFRKKEKPKEIKQQSLNRIIVLRPNSSITQNPSITMTPNSPPRDSRKHREVSERVGSYFSLKEIKRRWKNVIGDNKERRSISMDGVLHKIPYGQKSMEKAVPSKNFSDAKRTSPKPSIVAMRRDKIKGESGSVSMRITDYREPSFYAEAKKHMVEMLNSDDDKKTFQLKKTPKSLGRVLSLPEFSTYKRFSPFQEELSPRGMMHSPKRQTKKENAVTGIQIQSDAEKAVLETDKQDGNTEIVEMINDERKVENNHFDESVELNDAQIKESSKEMPSYLVIHNAETPENLMEKCDRPSPVSVLDQCFSEDIISPHSTSVEYDSQLQLEEHALGTSLDDKEIRDAFIKAVIEKSGLSYDVISSRLLDPSLLDEIEIMYIQLIDDPQLLFDCINEVLVEINQRYFSCSPWMSIVQHEVRPIPKGMKLIQEVCKGVEWHMKLQFPMTLDQLVGKDMDRRDWMDLRLETENTITEVGDNIVEFLMEETILELWT >DRNTG_32886.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:8049071:8052430:1 gene:DRNTG_32886 transcript:DRNTG_32886.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAITFRLPNPPIPSSSSEPTLSLSSPHLLIPHHGATVQAMRSRQLRSMLCVLEPDLVRRCAQSRRSARVVCLSALGEVIGEVNERAAERKKRVEVVAVAAMTVALGTGNRVLYKLALVPLKHYPFFLAQLATFGYVLVYFSILYCRYQAGIVTDEMLFLTKDSFSLLWDSWRLWEQHVEWLLELFFLGHQFQYYRR >DRNTG_32886.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:8049071:8055360:1 gene:DRNTG_32886 transcript:DRNTG_32886.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAITFRLPNPPIPSSSSEPTLSLSSPHLLIPHHGATVQAMRSRQLRSMLCVLEPDLVRRCAQSRRSARVVCLSALGEVIGEVNERAAERKKRVEVVAVAAMTVALGTGNRVLYKLALVPLKHYPFFLAQLATFGYVLVYFSILYCRYQAGIVTDEMLFLTKDSFSLLWDSWRLWEQHVEWLLELFFLGHQFQYYRRPFLFGNFYCRHFPWEKISG >DRNTG_32886.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:8049071:8049805:1 gene:DRNTG_32886 transcript:DRNTG_32886.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFRPCDRGSCGACCAFWSRTWFVAVRNRDDRRGLCV >DRNTG_32886.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:8049071:8051691:1 gene:DRNTG_32886 transcript:DRNTG_32886.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAITFRLPNPPIPSSSSEPTLSLSSPHLLIPHHGATVQAMRSRQLRSMLCVLEPDLVRRCAQSRRSARVVCLSALGEVIGEVNERAAERKKRVEVVAVAAMTVALGTGNRVLYKLALVPLKHYPFFLAQLATFGYVLVYFSILYCRYQAGIVTDEMLFLTKDSFSLLWDSWRLWEQHVEWLLEVNYI >DRNTG_17756.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26086843:26093204:-1 gene:DRNTG_17756 transcript:DRNTG_17756.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYTVKVEESRPASGERPSAGPVYRCIYAKDGLLDPPSEIESPWEFFCGSVKLYPQNQMLGRREVNDGQVGQYVWQTYEEVYNKAIKIGSAIKGLGVNPGDRCGIYGVNCPEWVTAMEACVSQGICYVPLYDTLGANAVEFIINHAEISIVFVQENKMQSMLTCLLRCVAHLKTIVSFGKVADEQKQEAERIGVSCFSWEEFLLSVNVNCRLPQVCKDDTCTIMYTSGTTGDPKGVILTNRAVITQVINTEQLILETDKAVSCHCLTSEI >DRNTG_17756.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26086843:26093060:-1 gene:DRNTG_17756 transcript:DRNTG_17756.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYTVKVEESRPASGERPSAGPVYRCIYAKDGLLDPPSEIESPWEFFCGSVKLYPQNQMLGRREVNDGQVGQYVWQTYEEVYNKAIKIGSAIKGLGVNPGDRCGIYGVNCPEWVTAMEACVSQGICYVPLYDTLGANAVEFIINHAEISIVFVQENKMQSMLTCLLRCVAHLKTIVSFGKVADEQKQEAERIGVSCFSWEEFLLSVNVNCRLPQVCKDDTCTIMYTSGTTGDPKGVILTNRAVITQVINTEQLILETDKAVTEEDTYFFIPSSSSHI >DRNTG_17756.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26086843:26093204:-1 gene:DRNTG_17756 transcript:DRNTG_17756.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYTVKVEESRPASGERPSAGPVYRCIYAKDGLLDPPSEIESPWEFFCGSVKLYPQNQMLGRREVNDGQVGQYVWQTYEEVYNKAIKIGSAIKGLGVNPGDRCGIYGVNCPEWVTAMEACVSQGICYVPLYDTLGANAVEFIINHAEISIVFVQENKMQSMLTCLLRCVAHLKTIVSFGKVADEQKQEAERIGVSCFSWEEFLLSVNVNCRLPQVCKDDTCTIMYTSGTTGDPKGVILTNRAVITQVINTEQLILETDKAVTEEDTYFFIPSSSSHI >DRNTG_32294.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001817.1:59032:62758:1 gene:DRNTG_32294 transcript:DRNTG_32294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSFLRKKIGSEASVEVYPILDEGIIGRRVEDFPQDHRHDYRGGFSWTPSQLAKANSERPSGNLTSNTEENLREHLKDIALRSRRQVETRVKVKPSVKETGVAIEKDPN >DRNTG_06156.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25396132:25396618:-1 gene:DRNTG_06156 transcript:DRNTG_06156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLQWNSLLLKAMSKRRTWVCIFLLVYALLLSSSWNLVVSIRSWYNVAISHRRPTTSIGWPTLYASVLYGGVFGLLAMGAALAVAVPATLVTWITVLVLLAFAGKPRRALVREGRRITGDIAGFAFRISD >DRNTG_00408.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2786284:2786939:1 gene:DRNTG_00408 transcript:DRNTG_00408.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKFSQNIYSYNYGMSKEEFKNWLKSLDRNGDGKLETKDLRRATRDMLVLKFSFYKAWRAMQAADLNGDGYIHIDNDGEVQALMTYARNNWGIVINDV >DRNTG_00408.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2786188:2787043:1 gene:DRNTG_00408 transcript:DRNTG_00408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKFSQNIYSYNYGMSKEEFKNWLKSLDRNGDGKLETKDLRRATRDMLVLKFSFYKAWRAMQAADLNGDGYIHIDNDGEVQALMTYARNNWGIVINDV >DRNTG_00408.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2786284:2787043:1 gene:DRNTG_00408 transcript:DRNTG_00408.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKFSQNIYSYNYGMSKEEFKNWLKSLDRNGDGKLETKDLRRATRDMLVLKFSFYKAWRAMQAADLNGDGYIHIDNDGEVQALMTYARNNWGIVINDV >DRNTG_26563.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20273549:20275185:-1 gene:DRNTG_26563 transcript:DRNTG_26563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRDPVSYNSLIDGYVKNRDLDEAEKLFWSTTDWSVVTWSCLFNGFVRNRMFRRGMDFYSKMRIHSVELDDTTVVTLLMLYAHYKYALFGKSVHGYLLRRWSHLPTHVSSTLIDLYCNCSLLDAAAALFYRMEKKDLICWNTFIAGLGSQGRGKVALDVFEQMLLIGMKPDDVTFIGVLVACAHSGLVEEGVRYFEMMSSRFGIKPSFAHHWCLVDLYVRIGRPLDAMRIIQGMPLDSLSSVWGAVISLARIHGDISVGEYLGKKLIDLEPDNYRRYVPLANLYVAASQWDKYRELMQIMKVRQLKKLPECTLIDLNTVVHKFSVGDESQPEIANIYEVLEEIAKQLKLQPHVEGENLECVHE >DRNTG_03519.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:25397887:25399120:-1 gene:DRNTG_03519 transcript:DRNTG_03519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNARRCSEVRSLLVGAGKVRELMLEEWGLEEGPVMKVNLGHSLGGATLLERSAGLVSDDKDDSLLHSLSISSSSSSSPAGSCSVVGEKSRLQLPDWNITRISSSSPNYTRIGQSTIRDWPNCFVFKWANCSQNQSSENIKLKGPGE >DRNTG_34981.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002222.1:17584:18458:-1 gene:DRNTG_34981 transcript:DRNTG_34981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRWSSSSAVIGFGLLLLVSASAHRRIIGDENGASPRSYLFDFVNSLWRPDESSYTHVWPPMKFGWKIVVGSVVGFFGAAFGSVGGVGGGGIFVPMLSLIVGFDPKTSTAISKCMIMGAAGSTVYYNLKLRHPTLDMPIIDYDLALLLQP >DRNTG_33544.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002016.1:21023:21450:-1 gene:DRNTG_33544 transcript:DRNTG_33544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLNLSKISSKIGMNASHSYHTSTYQKYFRLGIIANTIVKVL >DRNTG_26861.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29605786:29606290:1 gene:DRNTG_26861 transcript:DRNTG_26861.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFSHTPQPNTKHLIQLENRDQPSLREQGPFRSSHASHPAVSNHRQQPAMLQSSINVNSGRGVPRKFAWRIFGGNILMVAPAVKLTPIPPRVEPMVISSRASVKEELSEIVVAKMSVMQSITGANLDIFLCRSTPRCDDDLKG >DRNTG_17909.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000924.1:17890:27533:-1 gene:DRNTG_17909 transcript:DRNTG_17909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLTRMRGGMAPHAKKQEGKRHREPSPELAHIAFPNPEHQARFERLSGLSFGQSYFVDLSVLNGVQRGDEFVRELDSLMAVEGWQRLLSIREPVFRQ >DRNTG_08734.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5042215:5045122:-1 gene:DRNTG_08734 transcript:DRNTG_08734.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLPIVPGFLASIAFLPLHPVAIASTHYPCEDIRHYYSTTNGLHGIELQKQLGSIVSVHDPIPYRKVWDALMILDAADADNPEASSDVIEIYSLRAVAKQLAGRPDGWNREHLWPRSYGLIDAPALSDLHNIRPADVNVNSSRGNKYYGECTDTSTDCLRPANREAALDTETDKQRWAPPLQVRGDIARSLMYMAVCYGFHVPDRNPPLQLSDSPNVQNRTMGLLSELLKWNEIDPPSREEQLRNERICKLYQHNRNPFIDHPEYANLIWKPTIPTISTTFSPSLQAWVNEFHYNNKGEDRNEFVEIIVERSTDASTLKLILYNGADGKMYASLPLEDGQVFTINNSDSGFLIFTTFVRLQNGPADGIALVSGRIDDHYEVIQFLSYGGTLKAKDGPAKGIESIDLQLQETEKSSEDDSLGLAGQSLRELQWRKFVRGATPGKLNIGEQLL >DRNTG_08734.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5042113:5043737:-1 gene:DRNTG_08734 transcript:DRNTG_08734.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSELLKWNEIDPPSREEQLRNERICKLYQHNRNPFIDHPEYANLIWKPTIPTISTTFSPSLQAWVNEFHYNNKGEDRNEFVEIIVERSTDASTLKLILYNGADGKMYASLPLEDGQVFTINNSDSGFLIFTTFVRLQNGPADGIALVSGRIDDHYEVIQFLSYGGTLKAKDGPAKGIESIDLQLQETEKSSEDDSLGLAGQSLRELQWRKFVRGATPGKLNIGEQLL >DRNTG_08734.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5042215:5045442:-1 gene:DRNTG_08734 transcript:DRNTG_08734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQARFPLFAGKREGNRFGKRVRIYLSGFGQTGINPLRRSKRRSLSSLASGDPSSPPPPPPPPRLLRTPPTTISMRTLPIVPGFLASIAFLPLHPVAIASTHYPCEDIRHYYSTTNGLHGIELQKQLGSIVSVHDPIPYRKVWDALMILDAADADNPEASSDVIEIYSLRAVAKQLAGRPDGWNREHLWPRSYGLIDAPALSDLHNIRPADVNVNSSRGNKYYGECTDTSTDCLRPANREAALDTETDKQRWAPPLQVRGDIARSLMYMAVCYGFHVPDRNPPLQLSDSPNVRKLIKDRRWL >DRNTG_08734.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5042215:5045122:-1 gene:DRNTG_08734 transcript:DRNTG_08734.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSELLKWNEIDPPSREEQLRNERICKLYQHNRNPFIDHPEYANLIWKPTIPTISTTFSPSLQAWVNEFHYNNKGEDRNEFVEIIVERSTDASTLKLILYNGADGKMYASLPLEDGQVFTINNSDSGFLIFTTFVRLQNGPADGIALVSGRIDDHYEVIQFLSYGGTLKAKDGPAKGIESIDLQLQETEKSSEDDSLGLAGQSLRELQWRKFVRGATPGKLNIGEQLL >DRNTG_08734.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5042215:5045122:-1 gene:DRNTG_08734 transcript:DRNTG_08734.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNITNGSCHIICLTNSRTMMKKLCSACFLVNSSRGNKYYGECTDTSTDCLRPANREAALDTETDKQRWAPPLQVRGDIARSLMYMAVCYGFHVPDRNPPLQLSDSPNVQNRTMGLLSELLKWNEIDPPSREEQLRNERICKLYQHNRNPFIDHPEYANLIWKPTIPTISTTFSPSLQAWVNEFHYNNKGEDRNEFVEIIVERSTDASTLKLILYNGADGKMYASLPLEDGQVFTINNSDSGFLIFTTFVRLQNGPADGIALVSGRIDDHYEVIQFLSYGGTLKAKDGPAKGIESIDLQLQETEKSSEDDSLGLAGQSLRELQWRKFVRGATPGKLNIGEQLL >DRNTG_08734.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5042215:5042753:-1 gene:DRNTG_08734 transcript:DRNTG_08734.13 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVCERSNSWEAKHWGATPVRGATPEDTMIHSKIIPH >DRNTG_08734.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5042113:5043737:-1 gene:DRNTG_08734 transcript:DRNTG_08734.10 gene_biotype:protein_coding transcript_biotype:protein_coding MYMAVCYGFHVPDRNPPLQLSDSPNVQNRTMGLLSELLKWNEIDPPSREEQLRNERICKLYQHNRNPFIDHPEYANLIWKPTIPTISTTFSPSLQAWVNEFHYNNKGEDRNEFVEIIVERSTDASTLKLILYNGADGKMYASLPLEDGQVFTINNSDSGFLIFTTFVRLQNGPADGIALVSGRIDDHYEVIQFLSYGGTLKAKDGPAKGIESIDLQLQETEKSSEDDSLGLAGQSLRELQWRKFVRGATPGKLNIGEQLL >DRNTG_08734.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5042215:5045122:-1 gene:DRNTG_08734 transcript:DRNTG_08734.8 gene_biotype:protein_coding transcript_biotype:protein_coding MYMAVCYGFHVPDRNPPLQLSDSPNVQNRTMGLLSELLKWNEIDPPSREEQLRNERICKLYQHNRNPFIDHPEYANLIWKPTIPTISTTFSPSLQAWVNEFHYNNKGEDRNEFVEIIVERSTDASTLKLILYNGADGKMYASLPLEDGQVFTINNSDSGFLIFTTFVRLQNGPADGIALVSGRIDDHYEVIQFLSYGGTLKAKDGPAKGIESIDLQLQETEKSSEDDSLGLAGQSLRELQWRKFVRGATPGKLNIGEQLL >DRNTG_08734.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5042215:5045122:-1 gene:DRNTG_08734 transcript:DRNTG_08734.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSELLKWNEIDPPSREEQLRNERICKLYQHNRNPFIDHPEYANLIWKPTIPTISTTFSPSLQAWVNEFHYNNKGEDRNEFVEIIVERSTDASTLKLILYNGADGKMYASLPLEDGQVFTINNSDSGFLIFTTFVRLQNGPADGIALVSGRIDDHYEVIQFLSYGGTLKAKDGPAKGIESIDLQLQETEKSSEDDSLGLAGQSLRELQWRKFVRGATPGKLNIGEQLL >DRNTG_08734.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5042215:5045122:-1 gene:DRNTG_08734 transcript:DRNTG_08734.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSELLKWNEIDPPSREEQLRNERICKLYQHNRNPFIDHPEYANLIWKPTIPTISTTFSPSLQAWVNEFHYNNKGEDRNEFVEIIVERSTDASTLKLILYNGADGKMYASLPLEDGQVFTINNSDSGFLIFTTFVRLQNGPADGIALVSGRIDDHYEVIQFLSYGGTLKAKDGPAKGIESIDLQLQETEKSSEDDSLGLAGQSLRELQWRKFVRGATPGKLNIGEQLL >DRNTG_08734.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5042215:5043737:-1 gene:DRNTG_08734 transcript:DRNTG_08734.11 gene_biotype:protein_coding transcript_biotype:protein_coding MYMAVCYGFHVPDRNPPLQLSDSPNVQNRTMGLLSELLKWNEIDPPSREEQLRNERICKLYQHNRNPFIDHPEYANLIWKPTIPTISTTFSPSLQAWVNEFHYNNKGEDRNEFVEIIVERSTDASTLKLILYNGADGKMYASLPLEDGQVFTINNSDSGFLIFTTFVRLQNGPADGIALVSGRIDDHYEVIQFLSYGGTLKAKDGPAKGIESIDLQLQETEKSSEDDSLGLAGQSLRELQWRKFVRGATPGKLNIGEQLL >DRNTG_08734.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5042215:5045122:-1 gene:DRNTG_08734 transcript:DRNTG_08734.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNITNGSCHIICLTNSRTMMKKLCSACFLVNSSRGNKYYGECTDTSTDCLRPANREAALDTETDKQRWAPPLQVRGDIARSLMYMAVCYGFHVPDRNPPLQLSDSPNVQNRTMGLLSELLKWNEIDPPSREEQLRNERICKLYQHNRNPFIDHPEYANLIWKPTIPTISTTFSPSLQAWVNEFHYNNKGEDRNEFVEIIVERSTDASTLKLILYNGADGKMYASLPLEDGQVFTINNSDSGFLIFTTFVRLQNGPADGIALVSGRIDDHYEVIQFLSYGGTLKAKDGPAKGIESIDLQLQETEKSSEDDSLGLAGQSLRELQWRKFVRGATPGKLNIGEQLL >DRNTG_08734.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5042215:5043737:-1 gene:DRNTG_08734 transcript:DRNTG_08734.12 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSELLKWNEIDPPSREEQLRNERICKLYQHNRNPFIDHPEYANLIWKPTIPTISTTFSPSLQAWVNEFHYNNKGEDRNEFVEIIVERSTDASTLKLILYNGADGKMYASLPLEDGQVFTINNSDSGFLIFTTFVRLQNGPADGIALVSGRIDDHYEVIQFLSYGGTLKAKDGPAKGIESIDLQLQETEKSSEDDSLGLAGQSLRELQWRKFVRGATPGKLNIGEQLL >DRNTG_07435.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6938200:6992899:-1 gene:DRNTG_07435 transcript:DRNTG_07435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVAEDYLEELVHCSMIQVVERHHHGGIKICQIHELLHDLSIFLAQGMNFIHIPNNNDNEENISHKPRRLSLCDDKSTCYIARLHSTDYTSRLRTITSIDMEKRVSEMEKFFHNMKLLRVINVQGTKIRSLPNDIGKLIHLRYLGLRYTNLRGLPSSISKLTNLQTLDIKNSVRMIELPSQVWKMHRNLRHLEGTGFSIKGLPSTESLPNLQTLSNVKAGPWLQNGLQKMTCLSKLGVHDVTDTYKEALLDCLGKLDNLKKLAWKAEKDSTIPSSILSTGQHKNNLQVLYLRGRLEGLPDGICMPLSLTKLTLESSRLQEDPLVMLGKLDNLQVLRLRYDAFVGGEMVCLEKGFPELQVLELNCLSELEVWRIEDEAMPKLRELEIEACNFLMMLPQGLQRVTSLQELKAIDMPDDFCRRLRIND >DRNTG_18217.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1104872:1105813:1 gene:DRNTG_18217 transcript:DRNTG_18217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAYISKLPPLPRGTDENPSSLSFFFSGSGNALMS >DRNTG_22567.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14657099:14658079:1 gene:DRNTG_22567 transcript:DRNTG_22567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNITGAAIGEIKPVENMHQRKAVMSLHSYAFIAMPGGYGALEEFFEVISWAQLGIYSKLDYYPCHEEVALKLNWNSKQLRHSQINEIST >DRNTG_09673.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1322948:1323523:1 gene:DRNTG_09673 transcript:DRNTG_09673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIGGLLVCILILAIDIVAGILGIEAEVAQNKGWHHKALFVECRRPVYEAYRLGLAAAVLLALAHAVANLLGGCTCIFSKEEFARSSANRQMAAGTLIISWILLAVGFTMLILAAMSNSKS >DRNTG_29743.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001505.1:2598:3115:-1 gene:DRNTG_29743 transcript:DRNTG_29743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNTLIRRCVKLHAENTGNKALLNLLPLLVGNVGMIFTKGDLRGGQ >DRNTG_04266.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9393187:9394799:1 gene:DRNTG_04266 transcript:DRNTG_04266.1 gene_biotype:protein_coding transcript_biotype:protein_coding QVAFKWTKKARGIKLRNKPKILLERSNKCYKSQKCLIGYQSFTPTTTRPNKKNPGPQGDINNW >DRNTG_32936.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:14708639:14712147:-1 gene:DRNTG_32936 transcript:DRNTG_32936.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKIKFFRHNSPKQKQFIRSKKHSSEHVASEQIRSKVRA >DRNTG_34038.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002079.1:15826:16458:1 gene:DRNTG_34038 transcript:DRNTG_34038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRIGKGNAEKNSHQEAMLLHFAMENDCFDKNGSSDMIENNYNGSMDDSELEAIRQRKMQELMGQQEDAKMKAKERRQLMLNHILFAQARERLAQIALVKADKAKEVDDVVLRAAQMGQITEKVSEEKFISLLKQINDQTSKQTKVTIQRRRNALDDDD >DRNTG_20318.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5704108:5706949:1 gene:DRNTG_20318 transcript:DRNTG_20318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTNGSPTAVSTATDGKAAETSSLFLKKRE >DRNTG_29438.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001478.1:8416:13325:1 gene:DRNTG_29438 transcript:DRNTG_29438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPDDESGDGVMYGMSLMLLVELVFMGVVSLGVKILRNLEIRYKWEALTEDPEIGTSVYPIVLV >DRNTG_27499.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17148678:17151800:-1 gene:DRNTG_27499 transcript:DRNTG_27499.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPD6 [Source:Projected from Arabidopsis thaliana (AT3G56650) UniProtKB/TrEMBL;Acc:A0A384KSV2] MATTASAAPIYPLLSSSPRSSIPESLTLVVSQHRVSSKDSTIQHCAQVSRRRDFIGGIALLAALWREPLASEAREVEVGSYLPPFPSDPSFVIFKASPKDTPALRAGNVEPYEFILPSTWKQARVANILSGNYCQPKCAEPWVEVKFEDENQGKIQVVASPLIRLTNKPNATIEDIGSPEKLIASLGPFVTGNTFDQDELLETSIEKKGDQTYYTYVLETPFALTGSHNLAKATAKGSTVVLFVASANDKQWPSSQKTLKAMLDSFQV >DRNTG_04780.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15449128:15451573:1 gene:DRNTG_04780 transcript:DRNTG_04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAFTSKCSKVGGNLLRNFGNNLSGILGKPCETIAQPSCNTIFYQQRTFIQMRTNLKVVDNSGAKRVMCIQALKGRKGARLGDTIIASVKEAQPKGKVKKGEVVYGVVVRAAMQRGRCDGSEIKFDDNAVVLVNKQGEPIGTRVFGPVPHELRKKKHVKILTLAEHIA >DRNTG_31840.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21356134:21358526:1 gene:DRNTG_31840 transcript:DRNTG_31840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSLQEQTLVHDLKVTILSSTKLYPSEKQERRSMFLSNIDQVLDFNVHTVHFFKKNTKFSIENVVEKLKLALEKLLVAYDFLAGRLTFNNGEGRLEIDCNGEGVRFIVGSSELCLEDLGELDYPNPAFKQLAAEQAFDDCVEEKLDQPLCVFQVTSFKCGGFAMGVCNNHCTFDGISFQTFLINLAAIAAGKPLAVPPCNDRHLLAARSPPTVKFPHPELIKLQPSSNPNSSMITCTNTNLKLNHKLFHLTSKDISTLKQKAQGCKYTITSFNVMAAHLWRCKALVSNTMKLSTDETSMLFAVNLRPRLKPPLPQSYTGNAVLSAWCSTTNKALKEGSFKEVVEVVCEGGRRMDDEYARSVIDWGELYKGFPKGDVFVSSWWKLGFEEVVYPWGKPVYSCPVVVPSQDITVVFPAIGGVDEGVNVLVALPCELMDHYSTLFYEFLH >DRNTG_17868.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28279932:28281989:1 gene:DRNTG_17868 transcript:DRNTG_17868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPFPGDVGAQAVALRVAGDQAAFYGCGVYGAQDTLLDEKGRHYFRECFIQGSIDFIFGNGRSLYENSVVWTV >DRNTG_25856.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8832644:8839105:1 gene:DRNTG_25856 transcript:DRNTG_25856.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIASQQFLMPIIPWFLDKFKPWTARKAVVQHLYLGRNPPMFTDMRVLRDSPDDDHLVLELGMSFLSADDMSAILAVQLRKRVGFGISANMHMTGMHIEGKILVGVKFLRHWPFIGRVRVCFVEQPYIQLTVKPIFSHGIDVTELPGIAGWLDKILAVAFEQTLVEPNMMVIDVQKFVSAPTENWFTVDEKRPIAFAKVEILEANDMKPSDLNGLADPYVKGQLGPYRFRTKIQKKTLSPKWMEEFKIPISSWEVPNVLVLEVRDKDHIFDDLLGDCSININELRGGQRHDKWISLCHVKIGRLHLAITLLEGEEGMKFCGSLLNL >DRNTG_25856.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8832644:8839105:1 gene:DRNTG_25856 transcript:DRNTG_25856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTEISIVHHVALVLLALWIFAYLGLFHPVLYFISLIYVYRVNEHYNLRLRRRLQFEERKAASQRRLLSDTESVRWLNHAVAKIWPICMEEIASQQFLMPIIPWFLDKFKPWTARKAVVQHLYLGRNPPMFTDMRVLRDSPDDDHLVLELGMSFLSADDMSAILAVQLRKRVGFGISANMHMTGMHIEGKILVGVKFLRHWPFIGRVRVCFVEQPYIQLTVKPIFSHGIDVTELPGIAGWLDKILAVAFEQTLVEPNMMVIDVQKFVSAPTENWFTVDEKRPIAFAKVEILEANDMKPSDLNGLADPYVKGQLGPYRFRTKIQKKTLSPKWMEEFKIPISSWEVPNVLVLEVRDKDHIFDDLLGDCSININELRGGQRHDKWISLCHVKIGRLHLAITLLEGEEENQKGKEKEKQVDEEESPKRMESTDPRPSSVSEDYSNVETPMDKSKKMADEFEAVDIEGQEKTGIWVHHPGSDVSQTWEPRKGRVLRTETTQLYSEDNSNNSPRSACSSSENSIDENTSGNRSHKPGTRTIRKGLHKIGSMFRKTSKNDNLSLKNQSPRPNDDSVLPSPGPNLRAVGDHGSAVKLIVDDACVENIRDEMQDQKSEVKVKTSKQGSGKLGHIKSSLGRKSSKKLTEEAVDMADNDSSHGGINSSNDSMKHPFVVEGASIDATSLCAHDEKSGNNVDEI >DRNTG_14740.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:139768:141138:1 gene:DRNTG_14740 transcript:DRNTG_14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHITELKDMTELRGHLCISDLENVISGEEAREAMLNAKASLTSLELIWSEEIHVASLEECIQVEVLRYLQPQNEIRELSIKGYSGFLFPDWLGSSSLSSLHTIHLSNCKNSKFLPPLGQLPFLRYLDIGGMDSVTHIGQEFLGFEGFPSLIELVLEDMPCLEEWVIAQGEGVFPCITEIQIRECPELRELPQLPPTLMKLTVLEAGVSCLPQLKTAISSSSSSSSSAAAAAALSYMYIHDCPNLTSLRNGLLSQELKSLRELTIANCEELVSLPMDLFKPLVSLKNLHIYNCPKVSCSFQEATGLLPASLEDLRISSCSVELINPMLKCLGSLTTLRHLKICDYSELNYFPKETRLPDMLKLLTLCNCANLLCLPPLLHVSGLETLVISDCPLAMLPVDGLPAELQELHIDGCPVLKNLLEQDDGREWAKIVHVPKVVIDSERRTITGNTSKHI >DRNTG_07271.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:11222643:11226420:1 gene:DRNTG_07271 transcript:DRNTG_07271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTSAVFMTSGSGSRLVVPSIAVLANVVIPGASLAYNVNPVADQLQRAFQTSTFFSFKALDKGAIEILGLYECVMNRGRLPGLVGRVGSPFVGKRETRLYELEERLHSSREAVSGDSPAESRMRGDPHIRFGGPGYPDPTIILALQSYLVRLHFVVPYPDLQLHTASLP >DRNTG_33235.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1345043:1347169:-1 gene:DRNTG_33235 transcript:DRNTG_33235.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGDEPDEAELHEEQKKEIAKWFLAHAPAGEIQYVAKDVKSLLGDDRVLRDGCLRGVPSLQQRLISSPLEMLDRSGNVIITKFGEIDESNYLDPRTAQVATVDHVQQVCSNLRPATDEELPSFYIEGFRVRYSVELMIFL >DRNTG_33235.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1345043:1345977:-1 gene:DRNTG_33235 transcript:DRNTG_33235.3 gene_biotype:protein_coding transcript_biotype:protein_coding VIITKFGEIDESNYLDPRTAQVATVDHVQQVCSNLRPATDEELPSFYIEGFRVRYSVELMIFL >DRNTG_33235.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1343147:1347169:-1 gene:DRNTG_33235 transcript:DRNTG_33235.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGDEPDEAELHEEQKKEIAKWFLAHAPAGEIQYVAKDVKSLLGDDRVLRDGCLRGVPSLQQRLISSPLEMLDRSGNVIITKFGEIDESNYLDPRTAQVATVDHVQQVCSNLRPATDEELPSFYIEGFRTALDAELCKYVDDAYPKGTCAVYCTRGKHVEGPGASFEFTVVISAARHSPQNFWCLILVSIFFSFLLFDGFLNVAYFSEWVIFLEG >DRNTG_31935.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001763.1:196:3044:1 gene:DRNTG_31935 transcript:DRNTG_31935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHQFKSWIFELREIWREIKNSHYFLDSWIKFDSVGFFTHIFFHQERFMKLFDPRIGSILLSRDSQGSTSNRYFTIKGVVLLVVAVLISRINNRKMVERKNLYLMGLLPIPMNSIGPRNETLEESFWSSNINRLIVSLLYLPKRKKISESCFMDPQERTWVLPINKKCIMPESNRGSRWWRNRIGKRRDSSCKISNETVAGIEISFKEKDSKYLEFLFLSYTDNPIHKDRDWELFDRLSPRKKRNIINLNSGQLFEILGKDLICYLMSAFREKRPIEGEGFFKQQGAEATIQSNDIEHVSHLFSRNKWGISLQNCAQFHMWQFRQDLFVSWGKNQHESDFLRNVSRENLIWLDNVWLVNKDRFFSKVRNVLSNIQYDSTRSIFVQVTDSSQWKGFSDQSRDHFDSIRNVDSEYHTLIDQTEIQQLKERSILWDPSFLQTERTEIESDRFPKCLFGSSSMSRLFTEREKQMNNHLLPEEIEEFLGNPTRSIRSLFSDRWSELHLGSNPTERSTRDQKFWKKKQDVSFVLSRRSENKEMVDIFKIITYLQNTVSIHPISSDPGCDMVPKDEPDMDSSNKISFLNKNPFSEERFQEMADLFTLSITEPDLVYHRGFAFSIDSYGLDEKKFLNEVFNSRDESKKKSLLVLPPLFYEENESFYRRIRKKSVRIYCGNDLEDPKLKTAVFASNNIMEAVNQYRLIRDLIQVQYRTYGYIRNVSNRFFLMNRSDRNFEYGIQRDQIGNDTLNHITIMKYMIN >DRNTG_16999.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20008099:20015440:1 gene:DRNTG_16999 transcript:DRNTG_16999.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILDYSNNFFTSSIPSNFSSYLPFTLFFSLSNNSVAGNIPPSICNATYLLVLDLSDNSFSGSIPECLLREVSDLQVLNLKGNQLEGPLPQNVGSQCSLRTLNLNGNKLEGKLPRSLANCGSLEVLDLGQNKFQDSFPYWLGTISALKVLVLRSNEFYGQLGH >DRNTG_16999.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20008099:20008635:1 gene:DRNTG_16999 transcript:DRNTG_16999.2 gene_biotype:protein_coding transcript_biotype:protein_coding ILDYSNNFFTSSIPSNFSSYLPFTLFFSLSNNSVAGNIPPSICNATYLLVLDLSDNSFSGSIPECLLREVSDLQVLNLKGNQLEGPLPQNVGSQCSLRTLNLNGNKLEGKLPRSLANCGSLEVLDLGQNKFQDSFPYWLGTISALKVLVLRSNEFYGQLGHPPGRNYTFANLQIFDIS >DRNTG_06235.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5318232:5319231:1 gene:DRNTG_06235 transcript:DRNTG_06235.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CPL4 [Source:Projected from Arabidopsis thaliana (AT5G58003) UniProtKB/TrEMBL;Acc:A0A178UQH1] MLKKVRQDVLHGCKIAFSRVFPSTVRAADQHIWRIAEQLGALCTTDVDSSVTHVVSTDTGTAKARWAVQNEKFLVNPRWVEAANYFWCRQKEDDYVV >DRNTG_06235.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5312065:5319231:1 gene:DRNTG_06235 transcript:DRNTG_06235.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CPL4 [Source:Projected from Arabidopsis thaliana (AT5G58003) UniProtKB/TrEMBL;Acc:A0A178UQH1] MSLAAESPLQSSSSSDDFAAFLDAELDADSSNSSPNGESNDDEEIDLKEERIKRRKVEMSETIEVIESSKTADVNKEKSVLSSESTKPNLCPHPGFIKGLCLMCGQIEEDDGSGVALSYIHKDLKLGSHEMNRLRGADLRNLLREKKLILVLDLDHTLLNSTRLADVTADEDHLLKQADLLQDDPNRSLFKLGSMHMLTKLRPFVRTFLKEASPMFEMYVYTMAERCYALEMARLLDPSNIYFNSKVISQSDCTQRHQKGLDVVLGAESVVVILDDTELVWQKHKDNLIVMERYHYFASSCHQFGFNMKSLSQCKKDESDTEGALANVLNDLKHVHHIFFDPALDTDLSSRDVREMLKKVRQDVLHGCKIAFSRVFPSTVRAADQHIWRIAEQLGALCTTDVDSSVTHVVSTDTGTAKARWAVQNEKFLVNPRWVEAANYFWCRQKEDDYVV >DRNTG_30558.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:813126:817541:1 gene:DRNTG_30558 transcript:DRNTG_30558.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATNNEVVWEVPSYLRVYKDGHVERLAHPGPDFVPATYDPINEVSSKDIIIEPNTGVSARLYLPKRVENKPEKRFPVLVYYHGGGFFVGSAFSSWNQNNLNLLSSKANIIIVSVEYRLAPEHPLPTGYEDSWQALQWVISETNNDEAWLQNHADFGRVFVSGDSAGGNIAHHMVMRIGSSEGMKVIKGMVLVHPYFWGVERLECEIEKAGNESSKVLTVDSLDKIWPSVCPGTSGNDDDRINPFVDGAPSLAGLGCEKVMVCVAAKDLLSGRGRIYYEKLKSS >DRNTG_30558.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:813126:817333:1 gene:DRNTG_30558 transcript:DRNTG_30558.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATNNEVVWEVPSYLRVYKDGHVERLAHPGPDFVPATYDPINEVSSKDIIIEPNTGVSARLYLPKRVENKPEKRFPVLVYYHGGGFFVGSAFSSWNQNNLNLLSSKANIIIVSVEYRLAPEHPLPTGYEDSWQALQWVISETNNDEAWLQNHADFGRVFVSGDSAGGNIAHHMVMRIGSSEGMKVIKGMVLVHPYFWGVERLECEIEKAGNESS >DRNTG_30558.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:813126:814277:1 gene:DRNTG_30558 transcript:DRNTG_30558.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATNNEVVWEVPSYLRVYKDGHVERLAHPGPDFVPATYDPINEVSSKDIIIEPNTGVSARLYLPKRVENKPEKRFPVLVYYHGGGFFVGSAFSSWNQNNLNLLSSKANIIIVSVEYRLAPEHPLPTGYEDSWQALQWVISETNNDEAWLQNHADFGRVFVSGDSAGGNIAHHMVMRIGSSEGMKVIKGMVLVHPYFWGVERLECEIEKAGNESSKVLTVDSLDKIWPSVCPGTSGNDDDRINPFVDGAPSLAGLGCEKVMVCVAAKDLLSGRGKIYYEKLKSSGWKGIMVELLESHGEDHCFHLFNPGCDKALEMMKCLVGFFN >DRNTG_30558.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:812861:822109:1 gene:DRNTG_30558 transcript:DRNTG_30558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNNEVVWEVPSYLRVYKDGHVERLAHPGPDFVPATYDPINEVSSKDIIIEPNTGVSARLYLPKRVENKPEKRFPVLVYYHGGGFFVGSAFSSWNQNNLNLLSSKANIIIVSVEYRLAPEHPLPTGYEDSWQALQWVISETNNDEAWLQNHADFGRVFVSGDSAGGNIAHHMVMRIGSSEGMKVIKGMVLVHPYFWGVERLECEIEKAGNESSKVLTVDSLDKIWPSVCPGTSGNDDDRINPFVDGAPSLAGLGCEKVMVCVAAKDLLSGRGKIYYEKLKSSGWKGMVEFLESHGEGHCFHLLNPGCDKALEM >DRNTG_30558.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:812861:814180:1 gene:DRNTG_30558 transcript:DRNTG_30558.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATNNEVVWEVPSYLRVYKDGHVERLAHPGPDFVPATYDPINEVSSKDIIIEPNTGVSARLYLPKRVENKPEKRFPVLVYYHGGGFFVGSAFSSWNQNNLNLLSSKANIIIVSVEYRLAPEHPLPTGYEDSWQALQWVISETNNDEAWLQNHADFGRVFVSGDSAGGNIAHHMVMRIGSSEGMKVIKGMVLVHPYFWGVERLECEIEKAGNESSKVLTVDSLDKIWPSVCPGTSGNDDDRINPFVDGAPSLAGLGCEKVMVCVAAKDLLSGRGKIYYEKLKSSGWKGIMVELLESHGEDHCFHLFNPGCDKALEMMKCLVGFFN >DRNTG_30558.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:813126:814180:1 gene:DRNTG_30558 transcript:DRNTG_30558.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATNNEVVWEVPSYLRVYKDGHVERLAHPGPDFVPATYDPINEVSSKDIIIEPNTGVSARLYLPKRVENKPEKRFPVLVYYHGGGFFVGSAFSSWNQNNLNLLSSKANIIIVSVEYRLAPEHPLPTGYEDSWQALQWVISETNNDEAWLQNHADFGRVFVSGDSAGGNIAHHMVMRIGSSEGMKVIKGMVLVHPYFWGVERLECEIEKAGNESSKVLTVDSLDKIWPSVCPGTSGNDDDRINPFVDGAPSLAGLGCEKVMVCVAAKDLLSGRGKIYYEKLKSSGWKGIMVELLESHGEDHCFHLFNPGCDKALEMMKCLVGFFN >DRNTG_30558.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:812861:817333:1 gene:DRNTG_30558 transcript:DRNTG_30558.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNNEVVWEVPSYLRVYKDGHVERLAHPGPDFVPATYDPINEVSSKDIIIEPNTGVSARLYLPKRVENKPEKRFPVLVYYHGGGFFVGSAFSSWNQNNLNLLSSKANIIIVSVEYRLAPEHPLPTGYEDSWQALQWVISETNNDEAWLQNHADFGRVFVSGDSAGGNIAHHMVMRIGSSEGMKVIKGMVLVHPYFWGVERLECEIEKAGNESS >DRNTG_08562.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17169156:17170190:1 gene:DRNTG_08562 transcript:DRNTG_08562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAYGKEGQLEKLNEVLQEMKEFSCTSSH >DRNTG_14708.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20838334:20838661:-1 gene:DRNTG_14708 transcript:DRNTG_14708.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLYSFRVSQRDIETLKLAPPTPHYQTKHTRAARAHSHTRAQCI >DRNTG_13664.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17701868:17760585:1 gene:DRNTG_13664 transcript:DRNTG_13664.1 gene_biotype:protein_coding transcript_biotype:protein_coding GCPCPPPCPLPPPCPPPPPCPYPPCPPPPCPYPPPPPPPCPYPPPPYPPCPPSPPPPFPYP >DRNTG_13664.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17701868:17702587:1 gene:DRNTG_13664 transcript:DRNTG_13664.9 gene_biotype:protein_coding transcript_biotype:protein_coding MELLILILVFVPSMDLLILPLRMSMSTPMSTSTSMSSSTTLSISSMSSATMSISSTTSPTMSISSTTISTMPTFTSTSLSISSTTMSTFTASLPISSSSTMSSSTTYSTSLPISSSTTMSTPTSISSTTMSTSTSISSTIFLIQGPRRRGETYG >DRNTG_13664.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17701868:17702587:1 gene:DRNTG_13664 transcript:DRNTG_13664.8 gene_biotype:protein_coding transcript_biotype:protein_coding GCPCPPPCPLPPPCPPPPCPYPPPPYPPCPPSPPPPFPYPPPPCPPSPPPFPYPPPPPCPPPPPTPPPFPYPPPPPCPPPPPYPPPPCPPPPPYLPPPCPSPPPCPPPPPYPPPYSSFKVLAAEERLMARRS >DRNTG_13664.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17701868:17702587:1 gene:DRNTG_13664 transcript:DRNTG_13664.4 gene_biotype:protein_coding transcript_biotype:protein_coding GCPCPPPCPLPPPCPPPPPCPYPPCPPPPCPYPPPPPPPCPYPPPPYPPCPPSPPPPFPYPPPPCPPSPPPFPYPPPPPCPPPPPTPPPPPYPPPYSSFKVLAAEERLMARRS >DRNTG_13664.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17701868:17702587:1 gene:DRNTG_13664 transcript:DRNTG_13664.7 gene_biotype:protein_coding transcript_biotype:protein_coding GCPCPPPCPLPPPCPPPPPCPYPPCPPPPCPYPPPPPPPCPYPPPPYPPCPPSPPPPFPYPPPPCPPSPPPFPYPPPPPCPPPPPYPPPPCPPPPPYLPPPCPSPPPCPPPPPYPPPYSSFKVLAAEERLMARRS >DRNTG_13664.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17701868:17702587:1 gene:DRNTG_13664 transcript:DRNTG_13664.6 gene_biotype:protein_coding transcript_biotype:protein_coding GCPCPPPCPLPPPCPPPPPCPYPPCPPPPCPYPPPPYPPCPPSPPPPFPYPPPPCPPPPPYPPPYSSFKVLAAEERLMARRS >DRNTG_13664.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17701868:17702587:1 gene:DRNTG_13664 transcript:DRNTG_13664.10 gene_biotype:protein_coding transcript_biotype:protein_coding GCPCPPPCPLPPPCPPPPPCPYPPCPPPPCPYPPPPPPPCPYPPPPYPPCPPSPPPPFPYPPPPCPPSPPPFPYPPPPPCPPPPPYPPPPCPPPPPYPPPYSSFKVLAAEERLMARRS >DRNTG_13664.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17701868:17702587:1 gene:DRNTG_13664 transcript:DRNTG_13664.5 gene_biotype:protein_coding transcript_biotype:protein_coding MELLILILVFVPSMDLLILPLRMSMSTPMSTSTSMSSSTTLSISSMSSATMSISSTTSPTMSISSTTISTMPTFTSTSLSISSTTMSTFTASLPISSSSTMSSSTTYSTSLPISSSTTMSTPTSISSTTMSTTTSISSTIFLIQGPRRRGETYG >DRNTG_13664.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17701868:17702587:1 gene:DRNTG_13664 transcript:DRNTG_13664.3 gene_biotype:protein_coding transcript_biotype:protein_coding GCPCPPPCPLPPPCPPPPPCPYPPCPPPPCPYPPPPPPPCPYPPPPYPPCPPSPPPPFPYPPPPCPPSPPPFPYPPPPPCPPPPPTPPPFPYPPPPPCPPPPCPSPPPCPPPPPYPPPYSSFKVLAAEERLMARRS >DRNTG_13664.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17701868:17702587:1 gene:DRNTG_13664 transcript:DRNTG_13664.2 gene_biotype:protein_coding transcript_biotype:protein_coding GCPCPPPCPLPPPCPPPPPCPYPPCPPPPCPYPPPPPPPCPYPPPPYPPCPPSPPPPFPYPPPPCPPPPPYPPPYSSFKVLAAEERLMARRS >DRNTG_27314.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:207575:207851:1 gene:DRNTG_27314 transcript:DRNTG_27314.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQAQEMIQDAWSSAKETAESKAHESKETIKDCAERVKRAMNTKERI >DRNTG_32341.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001824.1:29311:29934:-1 gene:DRNTG_32341 transcript:DRNTG_32341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGMGTLDDMNHLKNKRIRSVADLLQDQFGLALVRLENGVRGTICGAIRHKLIPNPQNLVTSTSLTTTYESFFGLHPLSQVLDRTNPLTQIAHGRRLSYLGPGGLTGRTASFRIRDIHPSHYGRICPIDTSEGINVGLIGSLAIHVRIGHWGSIESPFYEISERSKKAQMIYLSPSRDEYYMVAAGNSLALNRGIQEEQVVPARYRQ >DRNTG_04353.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31071059:31074553:1 gene:DRNTG_04353 transcript:DRNTG_04353.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIEMKELVLRNLSISGELPVYIGNMANLKVLDLSFNNLTGQIPVNFSMLNKLKFMYLTANQLTGAIPEWIQGRKKHNIDLSYNSFDGSDAPAYCPLSSNLNLVSSYSSTFTDNKSIASCLRKSQPCSGKARNYNLFINCGGGPVTVGDNEYQADVDSEGPSIYSSFDEKWAYSSTGDFVGNEDQQYIVTNVSALNSNLPNPELYMSARLNPLSLKYYGLCLQNGIYTVNLHFAEIMFTDDQTFASLGRRYFDVSIQGEKVLRDFNIANEANGTGQAIIKSFNANVSNNTLEIHFQWAGKGTTAIPHRSVYGPLISAISVTPNFEPDTSDSRLSTGALLCWSLRRTPLKFIIAILFNILTFI >DRNTG_04353.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31070026:31074553:1 gene:DRNTG_04353 transcript:DRNTG_04353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIHGVVSMFFFLYFVVWSCFEIFHCQAQPLPQHEVEALKKIGSQLGKQWDFAVDPCSGSSGWVNSTSDKDFTSNVTCGACTAASCHVISIILKSQNLTGSLPDDFSALTSLQTIDLSRNYLSGTIPVAWASLPLTKLALLGNRISGSIPEELGDISTLQELILEDNFIEGAIPHSLGKLVNLEGLLISGNNFSGQLPDSLGNLRNLTNLRIDGNPISGKIPSFVGNWTKLQRLDMQGTSMEGPFPPIFSTLESLTELRVTDLAGGDGTFPPLQNMIEMKELVLRNLSISGELPVYIGNMANLKVLDLSFNNLTGQIPVNFSMLNKLKFMYLTANQLTGAIPEWIQGRKKHNIDLSYNSFDGSDAPAYCPLSSNLNLVSSYSSTFTDNKSIASCLRKSQPCSGKARNYNLFINCGGGPVTVGDNEYQADVDSEGPSIYSSFDEKWAYSSTGDFVGNEDQQYIVTNVSALNSNLPNPELYMSARLNPLSLKYYGLCLQNGIYTVNLHFAEIMFTDDQTFASLGRRYFDVSIQGEKVLRDFNIANEANGTGQAIIKSFNANVSNNTLEIHFQWAGKGTTAIPHRSVYGPLISAISVTPNFEPDTSDSRLSTGALLCWSLRRTPLKFIIAILFNILTFI >DRNTG_04353.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31070026:31074553:1 gene:DRNTG_04353 transcript:DRNTG_04353.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEMKELVLRNLSISGELPVYIGNMANLKVLDLSFNNLTGQIPVNFSMLNKLKFMYLTANQLTGAIPEWIQGRKKHNIDLSYNSFDGSDAPAYCPLSSNLNLVSSYSSTFTDNKSIASCLRKSQPCSGKARNYNLFINCGGGPVTVGDNEYQADVDSEGPSIYSSFDEKWAYSSTGDFVGNEDQQYIVTNVSALNSNLPNPELYMSARLNPLSLKYYGLCLQNGIYTVNLHFAEIMFTDDQTFASLGRRYFDVSIQGEKVLRDFNIANEANGTGQAIIKSFNANVSNNTLEIHFQWAGKGTTAIPHRSVYGPLISAISVTPNFEPDTSDSRLSTGALLCWSLRRTPLKFIIAILFNILTFI >DRNTG_07883.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18805195:18806399:1 gene:DRNTG_07883 transcript:DRNTG_07883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSYYLFMTQFSAYLQHLLHTKDIVHLGLNIAKQGMEASETIRSGVIKHMKKYVLHTCGYRPEVQVSPKGHWVMICQPFKHQMRDGQHAWQEATIDNLVPLVYVWHISESSHQLINELKKYYGKLPATVELFSQKPVLLSARTMLR >DRNTG_09548.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22719449:22720148:-1 gene:DRNTG_09548 transcript:DRNTG_09548.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLNSFTTRTRPYYYHHYLLSSLRHCSSTYSRYTSILQSCISRKAIDPGKQLHARLLLSGLGFDAILATKLVNLYSVCNHLRDAYNLFDRIPQRNVFLWNVLIRGFAWEGPHEMALSLYYRMVEAGLQPDNFTFPFVLKACSALSALEVGREIHEHVVRSRWDSDVFVGAGLIDMYAKCGCVDDARQVFDRITERDVVLWNAMIAAYSQNGHPSDALSLCHDMARE >DRNTG_09548.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22718262:22720266:-1 gene:DRNTG_09548 transcript:DRNTG_09548.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLNSFTTRTRPYYYHHYLLSSLRHCSSTYSRYTSILQSCISRKAIDPGKQLHARLLLSGLGFDAILATKLVNLYSVCNHLRDAYNLFDRIPQRNVFLWNVLIRGFAWEGPHEMALSLYYRMVEAGLQPDNFTFPFVLKACSALSALEVGREIHEHVVRSRWDSDVFVGAGLIDMYAKCGCVDDARQVFDRITERDVVLWNAMIAAYSQNGHPSDALSLCHDMAREGVAPTVATLVTVVSASADVAALPRGREIHGYSWRRGFEAQDKVKTALIDMYAKSGWLKIARTLFEQLAERRLVSWNAMIAGYAMHGHANEAFALFDRMKQEAVVVPDHITFVGVLSACSHGGLMDQGWKFFNSMQGDYSLKPLPQHYTCMIDLLGHSGRLDEAYKLIGEMTMTPDSGVWGSLLNACKIHRNVELGEVALEKLIELEPDNAGNYVILSNIYAQVGKWEGTAKVRKMMTERGLKKSIACSWIEVKNKVHAFVVGDLSHPRSEEVYDELERLEGLMK >DRNTG_09548.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22718112:22720148:-1 gene:DRNTG_09548 transcript:DRNTG_09548.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLNSFTTRTRPYYYHHYLLSSLRHCSSTYSRYTSILQSCISRKAIDPGKQLHARLLLSGLGFDAILATKLVNLYSVCNHLRDAYNLFDRIPQRNVFLWNVLIRGFAWEGPHEMALSLYYRMVEAGLQPDNFTFPFVLKACSALSALEVGREIHEHVVRSRWDSDVFVGAGLIDMYAKCGCVDDARQVFDRITERDVVLWNAMIAAYSQNGHPSDALSLCHDMAREGVAPTVATLVTVVSASADVAALPRGREIHGYSWRRGFEAQDKVKTALIDMYAKSGWLKIARTLFEQLAERRLVSWNAMIAGYAMHGHANEAFALFDRMKQEAVVVPDHITFVGVLSACSHGGLMDQGWKFFNSMQGDYSLKPLPQHYTCMIDLLGHSGRLDEAYKLIGEMTMTPDSGVWGSLLNACKIHRNVELGEVALEKLIELEPDNAGNYVILSNIYAQVGKWEGTAKVRKMMTERGLKKSIACSWIEVKNKVHAFVVGDLSHPRSEEVYDELERLEGLMK >DRNTG_09548.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22718262:22720148:-1 gene:DRNTG_09548 transcript:DRNTG_09548.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLNSFTTRTRPYYYHHYLLSSLRHCSSTYSRYTSILQSCISRKAIDPGKQLHARLLLSGLGFDAILATKLVNLYSVCNHLRDAYNLFDRIPQRNVFLWNVLIRGFAWEGPHEMALSLYYRMVEAGLQPDNFTFPFVLKACSALSALEVGREIHEHVVRSRWDSDVFVGAGLIDMYAKCGCVDDARQVFDRITERDVVLWNAMIAAYSQNGHPSDALSLCHDMAREGVAPTVATLVTVVSASADVAALPRGREIHGYSWRRGFEAQDKVKTALIDMYAKSGWLKIARTLFEQLAERRLVSWNAMIAGYAMHGHANEAFALFDRMKQEAVVVPDHITFVGVLSACSHGGLMDQGWKFFNSMQGDYSLKPLPQHYTCMIDLLGHSGRLDEAYKLIGEMTMTPDSGVWGSLLNACKIHRNVELGEVALEKLIELEPDNAGNYVILSNIYAQVGKWEGTAKVRKMMTERGLKKSIACSWIEVKNKVHAFVVGDLSHPRSEEVYDELERLEGLMK >DRNTG_09548.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22716815:22720148:-1 gene:DRNTG_09548 transcript:DRNTG_09548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLNSFTTRTRPYYYHHYLLSSLRHCSSTYSRYTSILQSCISRKAIDPGKQLHARLLLSGLGFDAILATKLVNLYSVCNHLRDAYNLFDRIPQRNVFLWNVLIRGFAWEGPHEMALSLYYRMVEAGLQPDNFTFPFVLKACSALSALEVGREIHEHVVRSRWDSDVFVGAGLIDMYAKCGCVDDARQVFDRITERDVVLWNAMIAAYSQNGHPSDALSLCHDMAREGVAPTVATLVTVVSASADVAALPRGREIHGYSWRRGFEAQDKVKTALIDMYAKSGWLKIARTLFEQLAERRLVSWNAMIAGYAMHGHANEAFALFDRMKQEAVVVPDHITFVGVLSACSHGGLMDQGWKFFNSMQGDYSLKPLPQHYTCMIDLLGHSGRLDEAYKLIGEMTMTPDSGVWGSLLNACKIHRNVELGEVALEKLIELEPDNAGNYVILSNIYAQVGKWEGTAKVRKMMTERGLKKSIACSWIEVKNKVHAFVVGDLSHPRSEEVYDELERLEGLMK >DRNTG_11179.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2032901:2034430:1 gene:DRNTG_11179 transcript:DRNTG_11179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVIDFSKLEGDEREKTMAEIAKGCEGWGFFQLVNHGISTELLERVKKVCSDCFKLEREDRFKSSNTVHLLNNLVDTKEEGKRLEDIDWEDVFLLQDNNQWPSNPPEFIEIMKEYRKELKTLAEKVMEVMDENLGLEKGYIKRAFSGEDQPFFGTKVSHYPPCPHPEMVTGLRAHTDAGGVILLFQDDKVGGLEMLKDGEWLDVQPLPNAIVINTGDQIEVISNGKYKSAWHRVLAKTDGNRRSIASFYNPSLSATIAPATEKVEKKVLNEGKSENDEEIEYPKFVFGDYMEVYVKQKFLPKEPRFHAVRAV >DRNTG_28473.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:403044:409168:-1 gene:DRNTG_28473 transcript:DRNTG_28473.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DECREASED SIZE EXCLUSION LIMIT 1 [Source:Projected from Arabidopsis thaliana (AT4G29860) UniProtKB/Swiss-Prot;Acc:Q3MV14] MDVCFHSSLPLLFSGAADGELRVWDTVQHRTLSSTWAHGGAAGVYSVATSASTGDRVISQGRDGTVKCWAIEDGGLSKKPLVTLRTNTYHFCKISLVKSPIGLVETGFSTAELKEDERDVPAKSSSPMGGRNIMALAGEEPSQVELWDVSAGEKVISLPKAQNMPSMEHHKKHKVTCCRNVYGSASIFASRVPGISKSIVRL >DRNTG_28473.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:403044:409168:-1 gene:DRNTG_28473 transcript:DRNTG_28473.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DECREASED SIZE EXCLUSION LIMIT 1 [Source:Projected from Arabidopsis thaliana (AT4G29860) UniProtKB/Swiss-Prot;Acc:Q3MV14] MCMAVQAFSPAESQGFLNLLSGYEDGSMLLWDARKPDVPISDVKHHSEAVLSLSVDGSCTGGISGSADNKIVIFSLDHGTGSLALKKEINLERPGIAGTSIRSDNKIAASAGWDHRIRVYNYRKGNALAVLKYHTASCNGVSFSTDCKLMATCSEDTTIALWELYPPQNQTTRVMPAFEHDGT >DRNTG_28473.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:403044:409168:-1 gene:DRNTG_28473 transcript:DRNTG_28473.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DECREASED SIZE EXCLUSION LIMIT 1 [Source:Projected from Arabidopsis thaliana (AT4G29860) UniProtKB/Swiss-Prot;Acc:Q3MV14] MCMAVQAFSPAESQGFLNLLSGYEDGSMLLWDARKPDVPISDVKHHSEAVLSLSVDGSCTGGISGSADNKIVIFSLDHGTGSLALKKEINLERPGIAGTSIRSDNKIAASAGWDHRIRVYNYRKGNALAVLKYHTASCNGVSFSTDCKLMATCSEDTTIALWELYPPQNQTTRVMPAFEHDGT >DRNTG_28473.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:403044:409168:-1 gene:DRNTG_28473 transcript:DRNTG_28473.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DECREASED SIZE EXCLUSION LIMIT 1 [Source:Projected from Arabidopsis thaliana (AT4G29860) UniProtKB/Swiss-Prot;Acc:Q3MV14] MALAGEEPSQVELWDVSAGEKVISLPKAQNMPSMEHHKKHKGMCMAVQAFSPAESQGFLNLLSGYEDGSMLLWDARKPDVPISDVKHHSEAVLSLSVDGSCTGGISGSADNKIVIFSLDHGTGSLALKKEINLERPGIAGTSIRSDNKIAASAGWDHRIRVYNYRKGNALAVLKYHTASCNGVSFSTDCKLMATCSEDTTIALWELYPPQNQTTRVMPAFEHDGT >DRNTG_28473.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:403044:409168:-1 gene:DRNTG_28473 transcript:DRNTG_28473.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DECREASED SIZE EXCLUSION LIMIT 1 [Source:Projected from Arabidopsis thaliana (AT4G29860) UniProtKB/Swiss-Prot;Acc:Q3MV14] MDVCFHSSLPLLFSGAADGELRVWDTVQHRTLSSTWAHGGAAGVYSVATSASTGDRVISQGRDGTVKCWAIEDGGLSKKPLVTLRTNTYHFCKISLVKSPIGLVETGFSTAELKEDERDVPAKSSSPMGGRNIMALAGEEPSQVELWDVSAGEKVISLPKAQNMPSMEHHKKHKGMCMAVQAFSPAESQGFLNLLSGYEDGSMLLWDARKPDVPISDVKHHSEAVLSLSVDGSCTGGISGSADNKIVIFSLDHGTGSLALKKEINLERPGIAGTSIRSDNKIAASAGWDHRIRVYNYRKGNALAVLKYHTASCNGVSFSTDCKLMATCSEDTTIALWELYPPQNQTTRVMPAFEHDGT >DRNTG_13400.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000610.1:21719:22831:-1 gene:DRNTG_13400 transcript:DRNTG_13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSPAFPRRRARGNSPLRSSSSGVVMTKDLDGHHGYRMPVEWEPHSQRWMGWPVSKSL >DRNTG_32778.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3138030:3140202:-1 gene:DRNTG_32778 transcript:DRNTG_32778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLANPLENFLAHKHNLHSHCRKSQNGIQLLLFHFLQQCTQFAQLLHCRSLPHHLYKELELLAQMLVVPWRVCQVSLLIDAQGF >DRNTG_13365.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2305810:2307096:-1 gene:DRNTG_13365 transcript:DRNTG_13365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKIGHKRSRNQESNSVNTSKKLRFRDHIRNFKKKFKEEDLLEETEVEEEDEDAAAGMQLVKLLISCAEAVACRDREQATNLLSLLRANAMVLGSSFQRVASCFVQGLTDRLTLVQPLGAITCITPSPALSEKEDALSLVYELCPYIQFGHFIANTSILEAFEGETLVHILDLGMTMSLPHGHQWRNLLNSLASKATPPPLRIRITGIGPSSDRLEKIGEELVIYAKTLGINLEFFSVNVNLENLSIEQLKINDNEVLVVNSILQLHCVVKESRGALNSVLQMIHELSPRVFVLVEQDSSHNGPFFLGRFMEALHYYSAIFDSIDVVLPKYDTKRAKMEQFYFAEEIKNIVSCEGPARVERHERVDQWRRRMSRAGFQVAPLKMVTEVKQWLTKKTACAGYTIAEEKGSLVLGWKLKSIVAASCWKC >DRNTG_12628.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000557.1:46248:48531:1 gene:DRNTG_12628 transcript:DRNTG_12628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPLWVWRTGVDNFRTPVGDRFKSVRVFSESAQGRAYAPVELLKSWHLGQRSKLIRGHGDEFAEEIEDLVSEGGWWQLLTIREPAIREFALEVLSSFEFDRGYASFNSLGTIQFRVFGHHHSLSITQFSTLLGLYEEAFTDSEQYAQLTSDYPGTLTPQRTYRMLCGQGQYEPGFSKATCLSRPAYRYLHTIMSRSVNGRGDSNGILSRQELLYLYSMVERVPIHLGHILADYIRYQGQYTRLGAIFSGPYITRSVLGMGLLDSIHGAEKTSVPAPLGLETMRLMGMVRRVRTGVFALVLPAPKIAENEGDDVGASQPALEPQPAMMDTEAPPAAEEPPPVRMFSPSRANDRFERLENAMGVVRAEVAEIRATQATQYIEFMAHFDILQQILKQDVASSFILQPRTLHAPPVPPAPPSSTPAPEDPLYASTSAAAVETESDFDT >DRNTG_01924.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32379708:32380770:-1 gene:DRNTG_01924 transcript:DRNTG_01924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCISSRFSDESQSFKQPTARVVAVNGSLQEFPIPVSVSDVLDFFEHLRLQDPFFICSSDTLFYDEYILRMKLDDSLVSGQIYFVLHSSMLDAPLTSLDMASLALKASCALASAVKVPRLRRARVVPTGDNSTDFDVENRRFNEATLGVGVGVGVGHNYHSSTTNNINKIRMKMGTVSMRTRLRPSRRTFNTSKRRLPTIDEVDE >DRNTG_13937.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19580501:19583471:-1 gene:DRNTG_13937 transcript:DRNTG_13937.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit TIM22-1 [Source:Projected from Arabidopsis thaliana (AT3G10110) UniProtKB/Swiss-Prot;Acc:A2RVP7] MASSESEPQPAPTEAEKPKIEPLRLPTPEEVRGQDIWNNCAVRSVVSGVLGGGLGIFMGLFLGALDNPITQEEMTAKQQFIYTAKQMGRRSYSSAKTFAVMGLIFSAAECIVEKARAKHDTTNTAVAGCVTGGVLSARGGPQAACAGCAGFATFSVLIEKFLDRHS >DRNTG_18882.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2256265:2265435:1 gene:DRNTG_18882 transcript:DRNTG_18882.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSMEEAKHQITRPLLPANQPSTSSSAEKEEENSPIEQVALTVPTSDDPTIPCLTFRMWVLGTLSCVLLSFLNQFFWYRKEPLSITSISAQIAVVPIGHLMAATLTERVFFRGTRCEFTLNPGPFNMKEHVLITIFANSGAGTVYAIHIVTAVKVFYRKHITFFVSLLVILTTQVLGFGWAGIFRRYLVEPAAMWWPYNLVQVSLFRALHEKERRVKGGLTRNQFFLIAFICSFAYYVFPGYLFSMLTSLSWVCWIFPTSVLAQQLGSGLYGLGIGAIGLDWSTISSYLGSPLASPWFATANIAAGFVFIMYIITPIVYWSNLYHAKTFPIFSDGLFTSSGQVYNISSIIDSDFHLDVQAYEKNGPLYLSAFFAVTYGVGFASLTAIITHVALFHGKEIWQMSKSAFQEKKIDVHTRLMRKYNQVPEWWFICILIANIALTIFACEYYIDQLQLPWWGVLLACALAIFFTLPIGIITATTNQASNYYFYLIFKLLANLIDMSVFLFLHLNSYRHQG >DRNTG_18882.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2260659:2265435:1 gene:DRNTG_18882 transcript:DRNTG_18882.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESSMEEAKHQITRPLLPANQPSTSSSAEKEEENSPIEQVALTVPTSDDPTIPCLTFRMWVLGTLSCVLLSFLNQFFWYRKEPLSITSISAQIAVVPIGHLMAATLTERVFFRGTRCEFTLNPGPFNMKEHVLITIFANSGAGTVYAIHIVTAVKVFYRKHITFFVSLLVILTTQVLGFGWAGIFRRYLVEPAAMWWPYNLVQVSLFRALHEKERRVKGGLTRNQFFLIAFICSFAYYVFPGYLFSMLTSLSWVCWIFPTSVLAQQLGSGLYGLGIGAIGLDWSTISSYLGSPLASPWFATANIAAGFVFIMYIITPIVYWSNLYHAKTFPIFSDGLFTSSGQVYNISSIIDSDFHLDVQAYEKNGPLYLSAFFAVTYGVGFASLTAIITHVALFHGKEIWQMSKSAFQEKKIDVHTRLMRKYNQVPEWWFICILIANIALTIFACEYYIDQLQLPWWGVLLACALAIFFTLPIGIITATTNQASNYYFYLIFKLLANLIDMSVFLFLHLNSYRHQG >DRNTG_18882.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2252291:2265435:1 gene:DRNTG_18882 transcript:DRNTG_18882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSMEEAKHQITRPLLPANQPSTSSSAEKEEENSPIEQVALTVPTSDDPTIPCLTFRMWVLGTLSCVLLSFLNQFFWYRKEPLSITSISAQIAVVPIGHLMAATLTERVFFRGTRCEFTLNPGPFNMKEHVLITIFANSGAGTVYAIHIVTAVKVFYRKHITFFVSLLVILTTQVLGFGWAGIFRRYLVEPAAMWWPYNLVQVSLFRALHEKERRVKGGLTRNQFFLIAFICSFAYYVFPGYLFSMLTSLSWVCWIFPTSVLAQQLGSGLYGLGIGAIGLDWSTISSYLGSPLASPWFATANIAAGFVFIMYIITPIVYWSNLYHAKTFPIFSDGLFTSSGQVYNISSIIDSDFHLDVQAYEKNGPLYLSAFFAVTYGVGFASLTAIITHVALFHGKEIWQMSKSAFQEKKIDVHTRLMRKYNQVPEWWFICILIANIALTIFACEYYIDQLQLPWWGVLLACALAIFFTLPIGIITATTNQASNYYFYLIFKLLANLIDMSVFLFLHLNSYRHQG >DRNTG_18882.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2252291:2255645:1 gene:DRNTG_18882 transcript:DRNTG_18882.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADRYWRYADPRHPLEVSSHSPVAAPLKRQRSEYSELYASPESYGYHPFDEERIPHRVIGDTESLGASYDRYLRSGEISLYASGESVRTMTGGRGSHHVSGLESRNIQYSSNGRPDTPLPPDASNTLYVDGLPADCTQREVAHIFRPFVGFREVRLVCKERYTGGDPFVLCFVDFKTPGQAAVALEALQGYIFDEHDRQSANMRLQFARFSGPRLSGGSRSRH >DRNTG_18882.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2252291:2255645:1 gene:DRNTG_18882 transcript:DRNTG_18882.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADRYWRYADPRHPLEVSSHSPVAAPLKRQRSEYSELYASPESYGYHPFDEERIPHRVIGDTESLGASYDRYLRSGEISLYASGESVRTMTGGRGSHHVSGLESRNIQYSSNGRPDTPLPPDASNTLYVDGLPADCTQREVARILDSVI >DRNTG_24891.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:335531:336688:1 gene:DRNTG_24891 transcript:DRNTG_24891.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein ZAT1 [Source:Projected from Arabidopsis thaliana (AT1G02030) UniProtKB/Swiss-Prot;Acc:Q39092] MEKHTCRLCARSFANGRALGGHMRSHAQPTRTASASSSSSPDGMQDRESETGSPLGSSISDASPEENVAISLMMLSRDFWSSLQSKANAEDELEREPEPGPTRPAKRSRYQCGTCKRVFRSYQALGGHRASHKKGGKGSTTNHHQIYGVESSENNAGKSLRSSSSSKIPSLVTLIDLNLPAPMDDEVEHSTVSDAHPW >DRNTG_24891.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:335219:336243:1 gene:DRNTG_24891 transcript:DRNTG_24891.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein ZAT1 [Source:Projected from Arabidopsis thaliana (AT1G02030) UniProtKB/Swiss-Prot;Acc:Q39092] MEKHTCRLCARSFANGRALGGHMRSHAQPTRTASASSSSSPDGMQDRESETGSPLGSSISDASPEENVAISLMMLSRDFWSSLQSKANAEDELEREPEPGPTRPAKRSRYQCGTCKRVFRSYQALGGHRASHKKGGKGSTTNHHQIYGVESSENNAGKSLRSSSSSKIPSLVTLIDLNLPAPMDDEVEHSTVSDAHPW >DRNTG_26156.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29308190:29312746:-1 gene:DRNTG_26156 transcript:DRNTG_26156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSASAASSSSSWFSGIVRGRSVKTPAGSGSGSISNPSAASEGFPGSRKNQMRGVLFKYGPKSVQVAFKTGDFNQQVIFIGGLTDGLLATEYLEPLSISLEKERWSLVQPLLSSSYIGYGTSSLQQDSFELDQLIGYLINKENSEGVVLLGHSTGCQDIVHYMRTSFACSRAVRGVILQSPVSDREYRATLPETASMIDLAATMIKEGKELELMPKEANPDAPITAYRYHSLCAYMGDDDMFSSDLSEDQLRLRLGHLSNTPCQIIFSMADEYVPEYVDKKALVDRLCRAMGGAEKVEIEYGNHALSNRVGEAVQAIVDFVKREGPKGWDDPWH >DRNTG_18854.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2518822:2521222:1 gene:DRNTG_18854 transcript:DRNTG_18854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNIVFHATAIFFFIFFITKYFVLQLQLQQDKSQNGPLALPIIGHLHLIKQPLHQSLLKISAAYGQVLLLRFGSRNIFLVSSASTAEECFTTNDIIFANRPLLLAGKYFGYEYSMIGVAPYGPHWRNLRRFTTLHAVSSSRLSALSSFFSDEVHSLLHHLFMDVSPIIELRSHLWELTMNIVMGMIAGKKYYTRDTSTTYPNPNPNSNEVMKFRRIVEEVLKLSEATSMEDFIPVMKLFCGMEKKMEKLGKEMDELLQEMVEERRKSWRLRSSQEKDKDKKKEERILIDVMLGLQETQPEEYTDKLIKGMIIAMILAGTETSAASIEWAMALLLNHPQTLEKLKSEIKQHIGHERLVKDTDIPKLNYLNNVIKETLRLYPPVPLLIPHESSKPCNISGLHVPENTILLVNAYAIQRDPKFWGTDSMEFKPERFEGYHDDDLDDKGLKYMPFGYGRRRCPGEGMGMKVVALALASLVQCFEWERVGEEIVSLDEGHGLTMPMASPLHAKLKPCIDMVHVLSQLSN >DRNTG_08122.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11801826:11804327:-1 gene:DRNTG_08122 transcript:DRNTG_08122.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAPPRFDRRRYSAPVKLFTLEASMEREMRLKGGRKQGRDRDKAKG >DRNTG_20782.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001155.1:58086:60837:-1 gene:DRNTG_20782 transcript:DRNTG_20782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMILLIPSPAVPSAPLLAILLFQLLVFSSITLVSATGDQYCAPSSCGNLTNIRYPFRLKDDPPNCGDLNYELTCDHLNHTVLTLLSNSYYVSNITYDDSFYINLVYFKIQVKYVGMEKYNNINNGSCSHLPLPASPLTFSNLSHNKHYMAYKWVTLVNCSKEVKNKSMHHYYNDYDYDDYYYKPMPCLSHNNNSFVYLINGYKSYEIRNLKPSCRFLAMFPGDINGYFSGQQPPDIFKFLAQGFTLNGDIRMLNAIIDCLRRSMRATYQHISNHTNPITDRILSVVFGMEIAIYDCTEKVHHKNSVLDVCLTWISFAVVIMFQIAKGWIVDIVEKFLRNQQTLVPTRYSYTDIIAMTGHFKEKLGQGGFGSVFKGRLLWDRLVAIKMLTNSKYNTGEDFINEVSTIGRIHHINVVKLIGFCSDGMQRALVYEYMPNGSLDKFIFSSNKGPNHKFSLDKLTDIALGVARGLDYLHKGCDMQILYFDIKPHNILLDHNFNPKLSDFGLAKLYPKNNSWVSLSVARGTIGYIAPELISRSFGVISHKCDVYSFGMLLLEMAGGRRNSDPRAENTSQVYYPLWIYDKLINNTIEHDTVQMDLRIAINEKEKKLCMIGLWCIQIRPLDRPSMSKVIEMLEGDVSSLQMPPKPFFSELTQITPVVSYLKTDSGEITMISDAADEIN >DRNTG_14618.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20834065:20834720:-1 gene:DRNTG_14618 transcript:DRNTG_14618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAMKLVDLLAPYHRGGKIGLFWGAGVGNTILIMELINNIAKAHGGVSVFVGVGECTREGNDLYMEMKEYGVHYSSDEEPPNEDPSQWVLSLAKITRCSSIFRKSLLLELIMK >DRNTG_09335.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:711422:712576:1 gene:DRNTG_09335 transcript:DRNTG_09335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPSIFDRVISGIRSTSRYYTGYPKDLGPSQLIHFTSERQFVELLHEGRPVVVAFTIKCPYTKHLDGVLEEAAGEFYPDIKFMRVECPRYPGFCMTRQKKEYPFIEVFYNPEAANPGKVIDPNITRYSVKVLP >DRNTG_02098.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10587664:10588428:-1 gene:DRNTG_02098 transcript:DRNTG_02098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNEKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTGSVRSKMVLLMEKIWW >DRNTG_13932.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19607036:19609439:1 gene:DRNTG_13932 transcript:DRNTG_13932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPPFVSRERLLKYQQYFRNVHKHTYLKGRYDMITSVAIPAALTATSLYLIGRGIYNMSYGIGKKE >DRNTG_04410.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000206.1:1119:4296:1 gene:DRNTG_04410 transcript:DRNTG_04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKGVSMTIARQYVKDLIRETWKELNTNLITNSSPFEVSFNNLAMDIARVAHCIYKYGDGYGVPKNETKDKVISLLMKPIFFGGEISSNGKKPAEE >DRNTG_04410.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000206.1:2120:4296:1 gene:DRNTG_04410 transcript:DRNTG_04410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREKGVSMTIARQYVKDLIRETWKELNTNLITNSSPFEVSFNNLAMDIARVAHCIYKYGDGYGVPKNETKDKVISLLMKPIFFGGEISSNGKKPAEE >DRNTG_14763.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7073561:7077418:-1 gene:DRNTG_14763 transcript:DRNTG_14763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRLMMEGFGLRRRSAFYAFIFFCCWGVISGAAEYAKYKDPKKPLNVRINDLLGRMTLAEKIGQMTQIERENATAQVINKYFIGSVLSGGGSVPSPQASAGTWVKMVNEMQKGAMSTRLGIPVVYGIDAVHGHNNVYRATIFPHNIGLGATRDPSLVKKIGAATALEVRATGIPYVFAPCVAVCRDPRWGRCYESFSEDPKLVQEMTEIIPGLQGDIPANSRKGVPFVAGQNKVAACAKHYVGDGGTHNGINENNTIISQNGLLSIHMPPYYNAIIKGVSSVMVSFSSWNGIKMHANHYLVTDFLKNKLRFRGFVISDWQGIDKITSPPHANYSYSVQAGVHAGIDMVMVPHNFTEFIDDLTFQVKNGIIPMSRIDDAVRRILRVKFTLGLFEKPYADHSLADELGKKEHRELAREAVRKSLVLLKNGKPGNAPMLPLPKKAKKILVAGIHANNLGYQCGGWTIQWQGLSTNNLTTGTTILDAVKSTVDQKTKVIYSENPDNKFVRKSRASYAIIAVGEPPYAEGYGDSLNLTISSPGPKIIKNVCGIVKCVVIVISGRPVVIEPYLDMIDALVAAWLPGTEGQGVADVLFGDYGFSGKLSRTWFKTVDQLPMNVGDPHYDPLFPFGFGLTTQPVKQN >DRNTG_20298.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5346789:5347927:1 gene:DRNTG_20298 transcript:DRNTG_20298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIIESKKDGESFQFELEIWFFSTVLEIKEKIHKYHGVPVDKQTLYIHGAAMENDRDTVYYEVLQGSKIKLVVDEEAEQEHLEDGDEIMVDDDDDDDDDDGDDGDDDDDDDDDDDDDDADEDDVDEDDDQQRTLKKYGVAKGMKVIVVMRRHAAEAAAGKKMTVMVLVRWTSISKIGMEVNVMKNVRELRKVLERLESLG >DRNTG_10211.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000431.1:126133:129110:1 gene:DRNTG_10211 transcript:DRNTG_10211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLLSRPEPGALTTAAEPQGGAQ >DRNTG_09963.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:6555587:6562014:1 gene:DRNTG_09963 transcript:DRNTG_09963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARIISTSFSHSSPTGSPMIPSPSPVPTPMISSPALVQRVTPSITLVVGSGCFKGIMPRKSSRNIQNQFNNDDCETNRCFVCKVGKHSKLQPGIGRGSTAPTSPSVWKKVSGRAFVGTDPASSRFITQWNPSILIEGDPEFKCTFRRKGKEPVQEQSNLADLEVERSDNMVEQNEQQRTLSDYARPSVFGTQSSIVRPLITAQNFELKPTFIHMFQSDKATLRNHTASLHNLKNQVGQIAKSLSERPQGIQRPILESM >DRNTG_16133.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6007059:6010956:1 gene:DRNTG_16133 transcript:DRNTG_16133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGDVRVLKEALCKQCLLLKKLYIELEEEREASATAADEALSMILRLQVEKSEEKMEAVQYKKLTEEKMRHNEESMAILEEIIHQQETEITSLKHQVQVLKQRLLSAGVNDGDLGLCSPSTLKLNTFLNETGFRGSFRRNVSLPSIRLEQIFSEMDIVGKDRFPFHKGESICMAISDCKSQLIKNSEEHQRQCEDYKSLIKECTYQKFDSCTGVKELLSNESMSANDTFRSGGMSFGETRTNFSAKGVSSSPPPAENHEAIVESGMLVHSYRKTESNRNGNQLVSGQGISEAPESHTGHAYCEYYKRLSHEAEFEVKDKDVLSVSIPQEAKDCCLKGQDLLNRSFIYRDHAYKTRKTASITCHCNPVHSKIEIAPCKTEIDELKQWLLHLENDGRTPKMDAPKRDNDQVKLLKEIQEQLNTIQLHIKNFLSRKQPQRQQDDSVYISLMEAMLYFSI >DRNTG_19931.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18966950:18969552:-1 gene:DRNTG_19931 transcript:DRNTG_19931.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSTQNLLESGARYSHELPVEKGKAHEDHLNTHIDQKQMSDAITNGSSMEIQVHEPDAKRYQVSPGSQDALSINSQFHSTFVFDPSEQQSELEYKNKTPPIRQPQEPGSNSSQQQSISSVTGSTASSSSATSNVSAECKDDMMTSSESSVLISQATNLMNSVRAKEPSLLDERSLLACIVRAIPAGSGNGIKMSTTLPNRLGKMLAPLHWHDYKKQYGKLDDFVARHPEGILSIFVKELKKLSQQPLLLQRLLLLLLHLQLFQHSFLLLLSLLLHRVVGLKELQ >DRNTG_07172.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31736259:31737861:1 gene:DRNTG_07172 transcript:DRNTG_07172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRGSCSKGSQPPAEHHQQIQECSCRNLHREKAKP >DRNTG_01730.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23533461:23536730:-1 gene:DRNTG_01730 transcript:DRNTG_01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidal glycolate/glycerate translocator 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32080) UniProtKB/Swiss-Prot;Acc:Q9FVQ4] MSPSSDKSLPLLLPRASSSSPSDVSADKNPAFGLQSFVGVLHLVVSLGLILAADKLLKQAFTEAAIKFPSALFGMFCVFTVLIVLDVVVPAAAMGLMNFFEPATLFIQRWLPLFYVPSLVVLPLAVKDIPAASGLKILFILVGGWLASLSVAGYTAITIRRIVKTKMIPAEPMARPSPFSNIELWAWTAIFVSSLVLAFVSPTALGTSARTCLPFLLASTVLGYMIGIGLPANVKKVFHPIICCALSADLAALAYGYFSRSGVDAVLGNYLTKIPSNPGAGDILMGFLNSVIISFAFAMFKQRKLIKRHAAEIFTSIVIGTLFSLYSTAVIGRAIGLESNLTISILPRCITVALALSIVSLFEGVNASLTAAVVVLTGLVGANFVQAVMDKLGLNDPIARGIATASSAHGLGTAALSAKEPEALPFCAIAYGLTGIFGSLLCSVPAVRQSLLAVAG >DRNTG_29786.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27432782:27434813:1 gene:DRNTG_29786 transcript:DRNTG_29786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAFLQVTILLLILLLISVADALVLHLPSGRVKCFSEELRPGAVSTASYRVVSDEDTLSGADRKISLRVTGPDGEPLHVAEAVESGRFGFVADEAGTYMACLWSPRFELSGTVTVDFEWRAGITAKEWPSIAKRSKIQGLEIELKKLEDSIKSIHEEMIYLRQREGEAQSLNESTNSKMGLLSMASLLVCLGVAGLQFWHLKVFFVRQKIL >DRNTG_18758.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1294795:1296034:-1 gene:DRNTG_18758 transcript:DRNTG_18758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNVLAAVLPNSPNLHHVCLQTGRKHYVGSFEALLKVEFPEPPFTEEMPRLNCPNFYYDLEDILFDELSKRDGAVSWSVHRPTTIFGFSPYSLMNIVGTLCVYAAICKHEGSLLRWPGSRMTWEGFSDISDADLIAEHQIWASVDPFAKNEAFNCSNGDVFKWKQLWRILAEQFGVDFVGYEGEENQVKLEEVMKGKEGVWDEIVVKYELAPTKLNDVGQWWFVDAVLGVEIEHLDSMNKSKEHGFLGFRNTVTSFHSWIDKLRAYKIVP >DRNTG_13616.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6221911:6226169:1 gene:DRNTG_13616 transcript:DRNTG_13616.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDKDEPSAVRVYTVCDESRYLIVRNVPALGCGDELCNLFRSYGEVEECKPMDAEDCEAFTDVYWIKFLQVNSARIAKRKLDESVFLGNRLHVSYAPEFESPSDTKEKLEGRKKEVINRVKSSRDNSGQHRRRETASRTPNTENSIKNHVSSNKVVRLSLLFCLPLSVSTPYI >DRNTG_13616.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6221911:6226169:1 gene:DRNTG_13616 transcript:DRNTG_13616.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDKDEPSAVRVYTVCDESRYLIVRNVPALGCGDELCNLFRSYGEVEECKPMDAEDCEAFTDVYWIKFLQVNSARIAKRKLDESVFLGNRLHVSYAPEFESPSDTKEKLEGRKKEVINRVKSSRDNSGQHRRRETASRTPNTENSIKNHVSSNKDYFSSSSMNVTVQLVRDKLEKIQSSSDHLQAEPSSKRPQIDNRRRI >DRNTG_13616.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6221911:6226169:1 gene:DRNTG_13616 transcript:DRNTG_13616.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGVQSYERVSDTVSDRVDRVSVSDTGTTPRKKRMMRDKDEPSAVRVYTVCDESRYLIVRNVPALGCGDELCNLFRSYGEVEECKPMDAEDCEAFTDVYWIKFLQVNSARIAKRKLDESVFLGNRLHVSYAPEFESPSDTKEKLEGRKKEVINRVKSSRDNSGQHRRRETASRTPNTENSIKNHVSSNKVVRLSLLFCLPLSVSTPYI >DRNTG_13616.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6221911:6226169:1 gene:DRNTG_13616 transcript:DRNTG_13616.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGVQSYERVSDTVSDRVDRVSVSDTGTTPRKKRMMRDKDEPSAVRVYTVCDESRYLIVRNVPALGCGDELCNLFRSYGEVEECKPMDAEDCEAFTDVYWIKFLQVNSARIAKRKLDESVFLGNRLHVSYAPEFESPSDTKEKLEGRKKEVINRVKSSRDNSGQHRRRETASRTPNTENSIKNHVSSNKDYFSSSSMNVTVQLVRDKLEKIQSSSDHLQAEPSSKRPQIDNRRRI >DRNTG_08840.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27922178:27923396:1 gene:DRNTG_08840 transcript:DRNTG_08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFDRLYGPGWQCIVGRDFGSFVTHCRGCFIHFSVGGSLAILLFKGAATAEPESSGLLIPVESM >DRNTG_31067.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30716853:30720566:1 gene:DRNTG_31067 transcript:DRNTG_31067.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEKGSKRSGGFFHLFDRNRKSRKKLFANENISPEGTKQVNRIHDNQQNTRLNLIDDEDIVRLSTVIGGSSYSSASSVTDDGVNGIRAPGLVARLMGLDPLPTSSVSESFFMPLFDKRQFQDKLCNKRSHVSYTDDEFGFANHRIDSNKKMPSSPIERFQREMMPTTSRLARSLPITQHKLLSPIKKPGFISAPSAAHIMKAAAKILEPKLQQTFGSSSTSLKVCESRESVITYQKASKIAESSSKRYMESTVARTLRGQPLSKSWNGSEWNANSSRPSLDLEKNNSDKTTGKGKSISLAIQAKVNVQKRENLGAYTRSIPAEENAESKMNQPFQKHKQTNKSSAIHTTGVLKQNNQKQNCPVSREKSAKKALISNQKRRKAVHTETSSGKNKTVNKLSVNQKNCLRKEVLDNKNLSQKKRLIGVSKQEKPAQANVLIDEQLRQKEDDEGNGPDVVSFTFTSPLIKDSKDWNAFHRDTLCETSPGLSVDALSVLLEQKLRELTSDIGSPPALQESMVASLDDVTSIDGQVTGTEESLTEVQGPLNLKLEHNHQQPSPLSVLEVEFSNETCNSPESWDESKMCSSSVQAQNVAGSNNSSRNPTAAEEEKELTDSASSREHTSKFDNFGLSLNPNLLKNLESEDGGQITDCETRQKLLSDCVNECLEVKYNKYFRAGYKNWAKGSSLIGKEMTEELYKEISGWKSMGECMVDELVDRDMSNHLGKWTDFEIEAFEEGIDIQRDILTSLIDELVHDLGSKR >DRNTG_31067.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30716853:30720566:1 gene:DRNTG_31067 transcript:DRNTG_31067.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEKGSKRSGGFFHLFDRNRKSRKKLFANENISPEGTKQVNRIHDNQQNTRLNLIDDEDIVRLSTVIGGSSYSSASSVTDDGVNGIRAPGLVARLMGLDPLPTSSVSESFFMPLFDKRQFQDKLCNKRSHVSYTDDEFGFANHRIDSNKKMPSSPIERFQREMMPTTSRLARSLPITQHKLLSPIKKPGFISAPSAAHIMKAAAKILEPKLQQTFGSSSTSLKVCESRESVITYQKASKIAESSSKRYMESTVARTLRGQPLSKSWNGSEWNANSSRPSLDLEKNNSDKTTGKGKSISLAIQAKVNVQKRENLGAYTRSIPAEENAESKMNQPFQKHKQTNKSSAIHTTGVLKQNNQKQNCPVSREKSAKKALISNQKRRKAVHTETSSGKNKTVNKLSVNQKNCLRKEVLDNKNLSQKKRLIGVSKQEKPAQANVLIDEQLRQKEDDEGNGPDVVSFTFTSPLIKDSKDWNAFHRDTLCETSPGLSVDALSVLLEQKLRELTSDIGSPPALQESMVASLDDVTSIDGQVTGTEESLTEVQGPLNLKLEHNHQQPSPLSVLEVEFSNETCNSPESWDESKMCSSSVQAQNVAGSNNSSRNPTAAEEEKELTDSASSREHTSKFDNFGLSLNPNLLKNLESEDGGQITDCETRQKLLSDCVNECLEVKYNKYFRAGYKNWAKGSSLIGKEMTEELYKEISGWKSMGECMVDELVDRDMSNHLGKWTDFEIEAFEEGIDIQRDILTSLIDELVHDLGSKR >DRNTG_31067.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30719494:30720566:1 gene:DRNTG_31067 transcript:DRNTG_31067.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSSVQAQNVAGSNNSSRNPTAAEEEKELTDSASSREHTSKFDNFGLSLNPNLLKNLESEDGGQITDCETRQKLLSDCVNECLEVKYNKYFRAGYKNWAKGSSLIGKEMTEELYKEISGWKSMGECMVDELVDRDMSNHLGKWTDFEIEAFEEGIDIQRDILTSLIDELVHDLGSKR >DRNTG_31067.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30716853:30720566:1 gene:DRNTG_31067 transcript:DRNTG_31067.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEKGSKRSGGFFHLFDRNRKSRKKLFANENISPEGTKQVNRIHDNQQNTRLNLIDDEDIVRLSTVIGGSSYSSASSVTDDGVNGIRAPGLVARLMGLDPLPTSSVSESFFMPLFDKRQFQDKLCNKRSHVSYTDDEFGFANHRIDSNKKMPSSPIERFQREMMPTTSRLARSLPITQHKLLSPIKKPGFISAPSAAHIMKAAAKILEPKLQQTFGSSSTSLKVCESRESVITYQKASKIAESSSKRYMESTVARTLRGQPLSKSWNGSEWNANSSRPSLDLEKNNSDKTTGKGKSISLAIQAKVNVQKRENLGAYTRSIPAEENAESKMNQPFQKHKQTNKSSAIHTTGVLKQNNQKQNCPVSREKSAKKALISNQKRRKAVHTETSSGKNKTVNKLSVNQKNCLRKEVLDNKNLSQKKRLIGVSKQEKPAQANVLIDEQLRQKEDDEGNGPDVVSFTFTSPLIKDSKDWNAFHRDTLCETSPGLSVDALSVLLEQKLRELTSDIGSPPALQESMVASLDDVTSIDGQVTGTEESLTEVQGPLNLKLEHNHQQPSPLSVLEVEFSNETCNSPESWDESKMCSSSVQAQNVAGSNNSSRNPTAAEEEKELTDSASSREHTSKFDNFGLSLNPNLLKNLESEDGGQITDCETRQKLLSDCVNECLEVKYNKYFRAGYKNWAKGSSLIGKEMTEELYKEISGWKSMGECMVDELVDRDMSNHLGKWTDFEIEAFEEGIDIQRDILTSLIDELVHDLGSKR >DRNTG_22572.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14521942:14525475:-1 gene:DRNTG_22572 transcript:DRNTG_22572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIIKELKDLQRDPPTSCSAGPVADDMFHWQATIIGPNDSPYSGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGNICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMCKTDKFKYESTARSWTQKYAMG >DRNTG_01364.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5622377:5625175:-1 gene:DRNTG_01364 transcript:DRNTG_01364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIIRRTPFAAFIELEAIFQERALLDSLLQRYDGRTNKFRIRESLLSFRPQDVTLILGLRWDGDAIVFKKKKTRSAFEGRRRTSGLWRTFHKQLLEYKIDALGRRPTQDTIPQQQQPCKNVSAVDAIAVPASKEDAAGAEHRQGSTTVPLEDPDRATRDMIKANQKWDETARKVFVPKKKKWVGQSRLNKYEQELMRIFLNFPMNRPCHVEE >DRNTG_05596.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:282250:282669:-1 gene:DRNTG_05596 transcript:DRNTG_05596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNSFQGSIPSSFANLKRLTDVRIGGILSSGSSLAFVSNLTSLTTLVLRNNKISDAMPQDFAKYTSLQM >DRNTG_31535.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1902922:1905031:-1 gene:DRNTG_31535 transcript:DRNTG_31535.1 gene_biotype:protein_coding transcript_biotype:protein_coding APATASVYGALLFGGGVFAYVRSGSKGSVIGGLSGAALMGTAYYLMQSPETKVIGDALGFGSAFLFSVVF >DRNTG_21643.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:130048:134464:1 gene:DRNTG_21643 transcript:DRNTG_21643.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDFAYHEWARKGASRVGSQSQGGSPDCASAGSGPKRSSVSSVRARGSSSSARKEFLRKFIDSEILTENLEDWFSGSCEESAFQKPVFDVPFELTALQNFDYALEDVSFQQLIRMPNALYASTSDAVEATAHLAIEDFLHASVKGLWETFWGQDVPMPFSVACIHSTSSKFYPAERAIAGGKLEGLCATAVMLKNNRHAYGRWDQILILALLRPDIGTISMQSDQQPSNSVLGEALFFALRVLLSRSLSRSTTIAQNSTCVFVLLVDSQYGGVVEVDGDVNRLDFDISNVYECAAEWIKTHAKITVSPIDRIWNKLGNANWGDIGTLQVLLAMFYSMVQFCGMPKYSLEVLAAEHNTRLKNRRIERELVETMHVNGNGLFPFQQRSVSPEIVEVQEVVQVEPEETLKLEIGSVIWLEDSNWQKGFQINEVLMDSEVPVYSATPVEEPGKDLLLYVGSRPSHLEPAWEDMNLWYQVQRQTKVLSLMKQRGLSSKYLPLVAASGRIIHPGQCNKPSSGGNCGHPWCGTPILVTSPIGETLSTMIKNGTFGPEEALKCCHDCLSALATASSAGIRHGDIRPENVIYVKTGVKRPFFVLIGWGHAVLEDRDRPSMNLFFSSTYALQEGKLCAASDAESLIYLLYFSSGGVFPDLDSVEGALQWREKSWSRRVIQQKLGDVSAVLKAFADYVDSLCGTPYPMDYEIWLRRLKRTINEDDHGKEIASSS >DRNTG_21643.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:131851:134464:1 gene:DRNTG_21643 transcript:DRNTG_21643.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPNALYASTSDAVEATAHLAIEDFLHASVKGLWETFWGQDVPMPFSVACIHSTSSKFYPAERAIAGGKLEGLCATAVMLKNNRHAYGRWDQILILALLRPDIGTISMQSDQQPSNSVLGEALFFALRVLLSRSLSRSTTIAQNSTCVFVLLVDSQYGGVVEVDGDVNRLDFDISNVYECAAEWIKTHAKITVSPIDRIWNKLGNANWGDIGTLQVLLAMFYSMVQFCGMPKYSLEVLAAEHNTRLKNRRIERELVETMHVNGNGLFPFQQRSVSPEIVEVQEVVQVEPEETLKLEIGSVIWLEDSNWQKGFQINEVLMDSEVPVYSATPVEEPGKDLLLYVGSRPSHLEPAWEDMNLWYQVQRQTKVLSLMKQRGLSSKYLPLVAASGRIIHPGQCNKPSSGGNCGHPWCGTPILVTSPIGETLSTMIKNGTFGPEEALKCCHDCLSALATASSAGIRHGDIRPENVIYVKTGVKRPFFVLIGWGHAVLEDRDRPSMNLFFSSTYALQEGKLCAASDAESLIYLLYFSSGGVFPDLDSVEGALQWREKSWSRRVIQQKLGDVSAVLKAFADYVDSLCGTPYPMDYEIWLRRLKRTINEDDHGKEIASSS >DRNTG_21643.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:130498:134464:1 gene:DRNTG_21643 transcript:DRNTG_21643.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDFAYHEWARKGASRVGSQSQGGSPDCASAGSGPKRSSVSSVRARGSSSSARKEFLRKFIDSEILTENLEDWFSGSCEESAFQKPVFDVPFELTALQNFDYALEDVSFQQLIRMPNALYASTSDAVEATAHLAIEDFLHASVKGLWETFWGQDVPMPFSVACIHSTSSKFYPAERAIAGGKLEGLCATAVMLKNNRHAYGRWDQILILALLRPDIGTISMQSDQQPSNSVLGEALFFALRVLLSRSLSRSTTIAQNSTCVFVLLVDSQYGGVVEVDGDVNRLDFDISNVYECAAEWIKTHAKITVSPIDRIWNKLGNANWGDIGTLQVLLAMFYSMVQFCGMPKYSLEVLAAEHNTRLKNRRIERELVETMHVNGNGLFPFQQRSVSPEIVEVQEVVQVEPEETLKLEIGSVIWLEDSNWQKGFQINEVLMDSEVPVYSATPVEEPGKDLLLYVGSRPSHLEPAWEDMNLWYQVQRQTKVLSLMKQRGLSSKYLPLVAASGRIIHPGQCNKPSSGGNCGHPWCGTPILVTSPIGETLSTMIKNGTFGPEEALKCCHDCLSALATASSAGIRHGDIRPENVIYVKTGVKRPFFVLIGWGHAVLEDRDRPSMNLFFSSTYALQEGKLCAASDAESLIYLLYFSSGGVFPDLDSVEGALQWREKSWSRRVIQQKLGDVSAVLKAFADYVDSLCGTPYPMDYEIWLRRLKRTINEDDHGKEIASSS >DRNTG_21643.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:130048:134716:1 gene:DRNTG_21643 transcript:DRNTG_21643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDFAYHEWARKGASRVGSQSQGGSPDCASAGSGPKRSSVSSVRARGSSSSARKEFLRKFIDSEILTENLEDWFSGSCEESAFQKPVFDVPFELTALQNFDYALEDVSFQQLIRMPNALYASTSDAVEATAHLAIEDFLHASVKGLWETFWGQDVPMPFSVACIHSTSSKFYPAERAIAGGKLEGLCATAVMLKNNRHAYGRWDQILILALLRPDIGTISMQSDQQPSNSVLGEALFFALRVLLSRSLSRSTTIAQNSTCVFVLLVDSQYGGVVEVDGDVNRLDFDISNVYECAAEWIKTHAKITVSPIDRIWNKLGNANWGDIGTLQVLLAMFYSMVQFCGMPKYSLEVLAAEHNTRLKNRRIERELVETMHVNGNGLFPFQQRSVSPEIVEVQEVVQVEPEETLKLEIGSVIWLEDSNWQKGFQINEVLMDSEVPVYSATPVEEPGKDLLLYVGSRPSHLEPAWEDMNLWYQVQRQTKVLSLMKQRGLSSKYLPLVAASGRIIHPGQCNKPSSGGNCGHPWCGTPILVTSPIGETLSTMIKNGTFGPEEALKCCHDCLSALATASSAGIRHGDIRPENVIYVKTGVKRPFFVLIGWGHAVLEDRDRPSMNLFFSSTYALQEGKLCAASDAESLIYLLYFSSGGVFPDLDSVEGALQWREKSWSRRVIQQKLGDVSAVLKAFADYVDSLCGTPYPMDYEIWLRRLKRTINEDDHGKEIASSS >DRNTG_21643.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:130048:134464:1 gene:DRNTG_21643 transcript:DRNTG_21643.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDFAYHEWARKGASRVGSQSQGGSPDCASAGSGPKRSSVSSVRARGSSSSARKEFLRKFIDSEILTENLEDWFSGSCEESAFQKPVFDVPFELTALQNFDYALEDVSFQQLIRMPNALYASTSDAVEATAHLAIEDFLHASVKGLWETFWGQDVPMPFSVACIHSTSSKFYPAERAIAGGKLEGLCATAVMLKNNRHAYGRWDQILILALLRPDIGTISMQSDQQPSNSVLGEALFFALRVLLSRSLSRSTTIAQNSTCVFVLLVDSQYGGVVEVDGDVNRLDFDISNVYECAAEWIKTHAKITVSPIDRIWNKLGNANWGDIGTLQVLLAMFYSMVQFCGMPKYSLEVLAAEHNTRLKNRRIERELVETMHVNGNGLFPFQQRSVSPEIVEVQEVVQVEPEETLKLEIGSVIWLEDSNWQKGFQINEVLMDSEVPVYSATPVEEPGKDLLLYVGSRPSHLEPAWEDMNLWYQVQRQTKVLSLMKQRGLSSKYLPLVAASGRIIHPGQCNKPSSGGNCGHPWCGTPILVTSPIGETLSTMIKNGTFGPEEALKCCHDCLSALATASSAGIRHGDIRPENVIYVKTGVKRPFFVLIGWGHAVLEDRDRPSMNLFFSSTYALQEGKLCAASDAESLIYLLYFSSGGVFPDLDSVEGALQWREKSWSRRVIQQKLGDVSAVLKAFADYVDSLCGTPYPMDYEIWLRRLKRTINEDDHGKEIASSS >DRNTG_10135.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19105115:19105813:-1 gene:DRNTG_10135 transcript:DRNTG_10135.2 gene_biotype:protein_coding transcript_biotype:protein_coding EESCSSTAVGEERSYEFESQSIGIGSRHSNFNDWEQFHSPSKKVQKFSAKKAIHAGLGKVRQKNNLVGSQRSEKAQNQGSPKASRHLQELSIFQTVWEPESIPPFEEGFTSTELDTSSFSCKRKPEGDLFSIPTPEAFFSTELNGKSNFPVDHGVEESGQPSSGFDFHTQKPGKHFMEAEGYFLNISHADGFQYEQPTY >DRNTG_10135.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19105115:19107607:-1 gene:DRNTG_10135 transcript:DRNTG_10135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLSLERKICMTASVYSVKSHAHPQRLERKGVMNLNLSRLELEVDTVILMIGNSSIVPPKKFRNFLPRKQFTPVWVKFARKTIWLGRSDLRKRKIRGAQKQVVICKNSLFFRRSGNQKAFLHSRKDLLQLNLIPVLLAARESLRVTSSAYPLPKPFFLQN >DRNTG_14720.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:36328:40067:1 gene:DRNTG_14720 transcript:DRNTG_14720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSGNLVNPRASQKKEGILLTRNLCFSFFFIYHISAQILCWNCRVASNSHIVNRIKDFATRLNPHLICLVETKADSSRMQLLQNFPKEMGMGCQHCVKSLRWYHHSLEHKYWLCHSYYGCQNHSPSCYFFCFVLLDFYYHL >DRNTG_14720.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:36328:40067:1 gene:DRNTG_14720 transcript:DRNTG_14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSGNLVNPRASQKKEGILLTRNLCFSFFFIYHISAQILCWNCRVASNSHIVNRIKDFATRLNPHLICLVETKADSSRMQLLQNFPKEMGMGCQHCVKSLRKLQESSETRTNIEEPCIRIGKEKVGFNNREKGRQIGIISEELTKVGGLLNLLSSSPARAIEWSHVLD >DRNTG_14720.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:36328:40067:1 gene:DRNTG_14720 transcript:DRNTG_14720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSGNLVNPRASQKKEGILLTRNLCFSFFFIYHISAQILCWNCRVASNSHIVNRIKDFATRLNPHLICLVETKADSSRMQLLQNFPKEMGMGCQHCVKSLRWYHHSLEHKYWLCHSYYGCQNHSPSCYFFCFVLLDFYYHL >DRNTG_33473.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002001.1:10218:18876:1 gene:DRNTG_33473 transcript:DRNTG_33473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSKKQVDKRPRESSPKSSPDPEGMSFAIPEHQVRYERLSRLRFGQTRFLNTSILRDLQQGDEFAEEVKDLVSAGGWRKLWMIREPAIREFTLEVLSSLKIDRSGSVNGHGDRRRYERRGASMLVLDGAVCPDSLGHIMASTSDIGVLC >DRNTG_13724.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5424532:5426913:-1 gene:DRNTG_13724 transcript:DRNTG_13724.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLLQLSLPRPLLQMQLCHPRGQPSKPPLVLTPLLPLD >DRNTG_13724.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5424532:5426840:-1 gene:DRNTG_13724 transcript:DRNTG_13724.4 gene_biotype:protein_coding transcript_biotype:protein_coding HLLQLSLPRPLLQMQLCHPRGQPSKPPLVLTPLLPLD >DRNTG_13724.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5424532:5426746:-1 gene:DRNTG_13724 transcript:DRNTG_13724.7 gene_biotype:protein_coding transcript_biotype:protein_coding HLLQLSLPRPLLQMQLCHPRGQPSKPPLVLTPLLPLD >DRNTG_06617.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:473988:475171:1 gene:DRNTG_06617 transcript:DRNTG_06617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAARAYDLAALKYWGPSTHINFPLENYHEELEEMKNMNRQEYVSHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGGNAVTNFKITRYDVEKIMASSTLLTADLARRSTDTPVKKVLHLTDQEHTNGDGGSDWKMIFELHNKPHPMYISATSWIPMADQLSWFIA >DRNTG_08695.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18005644:18009880:-1 gene:DRNTG_08695 transcript:DRNTG_08695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTCNACNKEFVDEAEQKLHYRSEWHRYNLKRKVAGVPGVTEALFQSRQLALTEERNKLSASPMLYSCALCQKEYRSAKAHAQHLNSKSHALKASQDASALGAGITTVIKPLTTRAPNKSTLSKPPMFKGRAEEGTESDDEWVEVGSADDLDEASKAVMDLQVNEDASGTDAEEEDYMDEDEDLDPASCFICDQKHKTIESCMVHMHKQHGFFIPDVEYLKDPKGFLTYVGLKVKRDFMCLYCNDRCHPFHSLEAVRKHMIAKGHCKVHYGDGGDDEEAELEEFYDYSSSYVGVEGKQLVASDNVDNVELGSGGSELIIKSTTDGKTSVKTLGSREFLRYYRQKPRPSPSSDIALAITLASRYRSMGLATVQSREQIVRMKVLREMNRSGVEAMRSKIGMKSNVIRNLPKNVTH >DRNTG_32239.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9988289:10001681:-1 gene:DRNTG_32239 transcript:DRNTG_32239.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSASLSLRALCCFASSSSSSLLLSPALWLSHHFLLSSLSSNPRPFPLMQSSTAEPHPFSSSPLASSSRGKGRGFGSRDDRSPHGGKGGIDRIDALGRLLTRILRHQALELKLDMRSDGYVRVHDLLMLNVMTLAKVPLRSHSVDEVKEAVRRDNKQRFGLLEENGELLIRANQGHTISIISSESLLQPILSVDELVCVHGTYKKNLESILQFGLKRMARLHVHFSCGLPTDGQIISGMRRDVNVLVFLNVRKALEEDMKLYISDNKVILTEGFNGVVPVKFFEKIETWPGRQPIPFQS >DRNTG_32239.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9988289:10001681:-1 gene:DRNTG_32239 transcript:DRNTG_32239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSASLSLRALCCFASSSSSSLLLSPALWLSHHFLLSSLSSNPRPFPLMQSSTAEPHPFSSSPLASSSRGRGRGFGSRDDRSPHGGKCGIDRIDALGRLLTRILRHQASELKLDMRSDGYVRVHDLLMLNVMTLAKVPLRSHSVDEVKEAVRRDNKQRFGLLEENGELLIRANQGHTISIISSESLLQPILSINELVCVHGTYKKNLESILQFGLKRMARLHVHFSCGLPTDGQIISGMRRDVNVLVFLNVRKALEEDMKLYISDNKVILTEGFNGVVPVKFFEKIETWPGRQPIPFQS >DRNTG_32239.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9988289:9996461:-1 gene:DRNTG_32239 transcript:DRNTG_32239.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTTLSNSRRLNPKPKHTRSSMWPSASLSLRALCCFASSSSSSLLLSPALRLSHHFLLSALSSNPRPFPLMQSSTAEPHHFSSSPLASSSRGKGRGFGSRDDRSPHGGKGGIDRIDALGRLLTRILRHQALELKLDMRSDGYVRVHDLLMLNVMTLAKVPLRSHSVDEVKEAVRRDNKQRFGLLEENGELLIRANQGHTISIISSESLLQPILSVDELVCVHGTYKKNLESILQFGLKRMARLHVHFSCGLPTDGQIISGMRRDVNVLVFLNVRKALEEDMKLYISDNKVILTEGFNGVVPVKFFEKIETWPGRQPIPFQS >DRNTG_32239.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9988289:9996461:-1 gene:DRNTG_32239 transcript:DRNTG_32239.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTTLSNSSSRGKGRGFGSRDDRSPHGGKGGIDRIDALGRLLTRILRHQALELKLDMRSDGYVRVHDLLMLNVMTLAKVPLRSHSVDEVKEAVRRDNKQRFGLLEENGELLIRANQGHTISIISSESLLQPILSVDELVCVHGTYKKNLESILQFGLKRMARLHVHFSCGLPTDGQIISGMRRDVNVLVFLNVRKALEEDMKLYISDNKVILTEGFNGVVPVKFFEKIETWPGRQPIPFQS >DRNTG_32239.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9988289:10001681:-1 gene:DRNTG_32239 transcript:DRNTG_32239.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSASLSLRALCCFASSSSSSLLLSPALWLSHHFLLSSLSSNPRPFPLMQSSTAEPHPFSSSPLASSSRGRGRGFGSRDDRSPHGGKGGIDRIDALGRLLTRILRHQALELKLDMRSDGYVRVHDLLMLNVMTLAKVPLRSHSVDEVKEAVRRDNKQRFGLLEENGELLIRANQGHTISIISSESLLQPILSVDELVCVHGTYKKNLESILQFGLKRMARLHVHFSCGLPTDGQIISGMRRDVNVLVFLNVRKALEEDMKLYISDNKVILTEGFNGVVPVKFFEKIETWPGRQPIPFQS >DRNTG_15219.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23312456:23312950:-1 gene:DRNTG_15219 transcript:DRNTG_15219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPERMDGEGFGGGGDGGGCPGDVWALGVVLLECKVGRFPLVAAGERPDWATLMCAACFGEPTPMVPEAASPEFRSFVGRCLEKDWRRRGSA >DRNTG_04348.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31110399:31118044:1 gene:DRNTG_04348 transcript:DRNTG_04348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSHEVFQLLLFLTILVWSCSETLYCHAQRLDPLEVETLKQIGLKLGKQWNFNVDPCSGASGWVDPTTDKDFVRAANVTCGNCNATYCHVISIILKRQNLTGPLPVEFANLKSLQFLDLTQNYLGGTIPVQWTSLPLSTLSLLGNNISGRIPEEIGNITTLNILVLEDNLFQGPIPSSLGKLIHLEQLLLSANNLSGELPESLGKLKNLTYFRIDGNPISGKIPSLIANWTKIQYLEMQGTSLEGPFPPSFSTLENLSELRVTDWKGGDGKFPPLENMKGMTRLVLRNLSISGELPEYIGAMANLKFLDLSFNFLTGQIPNNYSSLQSHIDFIYLTNNNLSGAIPDWIVTTRKQYVDISYNSFNGSNAPPDCLRGSNLNVVSSYSSSNNKLLASCLRRNNPCPGKAINYNLFINCGGPSLTIGDDEYQGDTDTEGPSYYNSYNDKWAYSSTGSFVHNDNEPFLANNVSVLTMVDAELYTTARLSPLSLKYYGLCLQNGNYTVNLHFAEIMFTDAGTYFSVGRRFFDVSIQGKKVLRDFNIAEEANGTGRAIIKSFTANVSDHTLEIHFQWAGKGTNAVPEINVYGPLVSAISVTPNFEPVIEGNNTKEKVSTGAILGIVAAGCVLIVLISIFIWFCLRRKYAANNELQGVELQTGYFTLRQIKAATGNFDAANKLGEGGFGPVYKGVLPDGSAIAVKQLSAKSRQGNREFINEIGMISALQHPNLVRLFGCCIEGNQLLLIYEYMENNNLGNALFGREREQLNLDWKTRCKICLDIARGLAYLHEESRLKIVHRDIKAANVLLDKDLNAKISDFGLARLCEEDGDKTHISTRIAGTVGYMAPEYAMRGYLTDKADVYSFGVVMLEIICGLSNTHTYRTKEDFIYLLDWALILQGQGELLDLVDKNLGENYPKEEALQMLNLALTCTNSSPSLRPTMSTVVSILDGKSTLPISSMNPSASSSGAPRFQLFEKCFDDSQSADASIDAPWLDSSVSQQSSQDENTRPTSKLLSDSSNSSFDVETQRRYPKRNSTATF >DRNTG_04348.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31115049:31118044:1 gene:DRNTG_04348 transcript:DRNTG_04348.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKKVLRDFNIAEEANGTGRAIIKSFTANVSDHTLEIHFQWAGKGTNAVPEINVYGPLVSAISVTPNFEPVIEGNNTKEKVSTGAILGIVAAGCVLIVLISIFIWFCLRRKYAANNELQGVELQTGYFTLRQIKAATGNFDAANKLGEGGFGPVYKGVLPDGSAIAVKQLSAKSRQGNREFINEIGMISALQHPNLVRLFGCCIEGNQLLLIYEYMENNNLGNALFGREREQLNLDWKTRCKICLDIARGLAYLHEESRLKIVHRDIKAANVLLDKDLNAKISDFGLARLCEEDGDKTHISTRIAGTVGYMAPEYAMRGYLTDKADVYSFGVVMLEIICGLSNTHTYRTKEDFIYLLDWALILQGQGELLDLVDKNLGENYPKEEALQMLNLALTCTNSSPSLRPTMSTVVSILDGKSTLPISSMNPSASSSGAPRFQLFEKCFDDSQSADASIDAPWLDSSVSQQSSQDENTRPTSKLLSDSSNSSFDVETQRRYPKRNSTATF >DRNTG_19976.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:802655:803565:1 gene:DRNTG_19976 transcript:DRNTG_19976.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSEEVRYEVNFAGTQTFAVTLRSRLKEIFIPDDPFRHLQDLPPRTKAHRILKYFVPILEWGPRYTFNEFRYDLLAGITVASLAIPQGISYARLAEIPPIIGLYSSFVPPLVYAIFGSSKNLAVGTVAASSLLLNSIIRQVILPEKDMKLYIGIVMTAAFFTGIIQMTLGVFRYIYIIYIYIYMVDPFWGLSRCELLIKLFVFEIVFRLGFVVDFLSRSTITGFMGGTAILIIMQQLKGMLGMTRFTTKTDVISVLHAVFEYRDDVGYHIIIIN >DRNTG_26300.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21304124:21305923:1 gene:DRNTG_26300 transcript:DRNTG_26300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLHKPQTLALVLMVIAEISLFFSIISNAELQRFHHPMKNDDSVNLLVIGDWGRNGNYNQSQVAAQMGKVGEELDIDFVISTGDNFYEDGLEGVHDNKFADSFTNIYTAKSLQKQWYSVLGNHDYRGDVVAQLSSVFQKIDNRWLCMRSFHC >DRNTG_26300.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21303960:21305923:1 gene:DRNTG_26300 transcript:DRNTG_26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLHKPQTLALVLMVIAEISLFFSIISNAELQRFHHPMKNDDSVNLLVIGDWGRNGNYNQSQVAAQMGKVGEELDIDFVISTGDNFYEDGLEGVHDNKFADSFTNIYTAKSLQKQWYSVLGNHDYRGDVVAQLSSVFQKIDNRWLCMRSFHC >DRNTG_27161.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:687733:691293:-1 gene:DRNTG_27161 transcript:DRNTG_27161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAQSPSSKNPRSVTASRAFSKQELDDLQSLFVSLASQSKSSSKFISPSVFQSYFKIHGPLSSRLIDLITQNRRDGMLTYDDLLITKATYEKGTRDEIEEFVYQLCDVTGDGVLSRFDLEAVLASIHDAVFSPGNVGSSSSLDRDVLQVFLNAATFAKQGEGADSMSFADFKNWCAVLPSVRKALGSLLTPPDPSIPGFQLPQLQYPEDISSDMLILRKEDAWHIGGALSQSEAEEWKLLYHSAINGLSFNTFMGNISSGDGPTVLVIKDTEGHIYGGYASQQWERQSAFFGDMRSFLFQLYPRASIFRPTGANNNLQWCAVNFSSDSIPNGIGFGGRENHFGLFISANFDRGHTFSCTTFNSPCLSKSSQIVPEVIECWGTVVKGLQNEKAELPKGTVLERFKEDRNMLKMVGLANASE >DRNTG_27161.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:687772:691293:-1 gene:DRNTG_27161 transcript:DRNTG_27161.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFADFKNWCAVLPSVRKALGSLLTPPDPSIPGFQLPQLQYPEDISSDMLILRKEDAWHIGGALSQSEAEEWKLLYHSAINGLSFNTFMGNISSGDGPTVLVIKDTEGHIYGGYASQQWERQSAFFGDMRSFLFQLYPRASIFRPTGANNNLQWCAVNFSSDSIPNGIGFGGRENHFGLFISANFDRGHTFSCTTFNSPCLSKSSQIVPEVIECWGTVVKGLQNEKAELPKGTVLERFKEDRNMLKMVGLANASE >DRNTG_27161.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:687772:691293:-1 gene:DRNTG_27161 transcript:DRNTG_27161.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAQSPSSKNPRSVTASRAFSKQELDDLQSLFVSLASQSKSSSKFISPSVFQSYFKIHGPLSSRLIDLITQNRRDGMLTYDDLLITKATYEKGTRDEIEEFVYQLCDVTGDGVLSRFDLEAVLASIHDAVFSPGNVGSSSSLDRDVLQVFLNAATFAKQGEGADSMSFADFKNWCAVLPSVRKALGSLLTPPDPSIPGFQLPQLQYPEDISSDMLILRKEDAWHIGGALSQSEAEEWKLLYHSAINGLSFNTFMGNISSGDGPTVLVIKDTEGHIYGGYASQQWERQSAFFGDMRSFLFQLYPRASIFRPTGANNNLQWCAVNFSSDSIPNGIGFGGRENHFGLFISANFDRGHTFSCTTFNSPCLSKSSQIVPEVIECWGTVVKGLQNEKAELPKGTVLERFKEDRNMLKMVGLANASE >DRNTG_27161.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:687772:691293:-1 gene:DRNTG_27161 transcript:DRNTG_27161.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAQSPSSKNPRSVTASRAFSKQELDDLQSLFVSLASQSKSSSKFISPSVFQSYFKIHGPLSSRLIDLITQNRRDGMLTYDDLLITKATYEKGTRDEIEEFVYQLCDVTGDGVLSR >DRNTG_27161.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:687772:691293:-1 gene:DRNTG_27161 transcript:DRNTG_27161.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFADFKNWCAVLPSVRKALGSLLTPPDPSIPGFQLPQLQYPEDISSDMLILRKEDAWHIGGALSQSEAEEWKLLYHSAINGLSFNTFMGNISSGDGPTVLVIKDTEGHIYGGYASQQWERQSAFFGDMRSFLFQLYPRASIFRPTGANNNLQWCAVNFSSDSIPNGIGFGGRENHFGLFISANFDRGHTFSCTTFNSPCLSKSSQIVPEVIECWGTVVKGLQNEKAELPKGTVLERFKEDRNMLKMVGLANASE >DRNTG_21192.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:2160833:2162667:-1 gene:DRNTG_21192 transcript:DRNTG_21192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKNAELQRLTGIYQNILKNSGVTLIEGRGKIIDPHTVDVNGKLYSARHILVSVGSRPFILDIPGKEYAIDSDAALDLPSKPEKIAIVGGGYIALEFAGIF >DRNTG_29973.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7737858:7739135:-1 gene:DRNTG_29973 transcript:DRNTG_29973.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGPVGTNFWKLHVAPRVRHFLLLTLLGRISTCDYLFSFNLGTRSSCILCSTDNETAEHLYLLCNKAQLIWHQIGIMIGKNLSLSDGFCYET >DRNTG_20337.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25776336:25780656:-1 gene:DRNTG_20337 transcript:DRNTG_20337.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRKTISNTNDANTTCIWTVVMDDALIDAYLHQQAMGNRVGGTFTTHALDNIVNEIKGKFPDKPIDKEKIQNRMKNVKRPFTRCYDIFKNGMSGFAWSPTTEMWSAEPEVWQHLIEAKPEAVEWRNKCVRNYEKLVQLYGQDRATGQDAETASEMRRRRLQNSGNNSCGPNPGDTIDDIDLMVSQNEANLENLGDNENTATYPNQVEDEASPPNQTSQFETPSSSRAKRGKRSDNHEDVILATSLDGVANALIQSTNAMLQTNATIEKSANILEKCVANRDVLQDFDIWGMLKDIGIPPSLFRKAYVFLVKDSKMLESVIRCPVEDCKSILLDLMGIVDGS >DRNTG_20337.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25776336:25777023:-1 gene:DRNTG_20337 transcript:DRNTG_20337.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRLQNSGNNSCGPNPGDTIDDIDLMVSQNEANLENLGDNENTATYPNQVEDEASPPNQTSQFETPSSSRAKRGKRSDNHEDVILATSLDGVANALIQSTNAMLQTNATIEKSANILEKCVANRDVLQDFDIWGMLKDIGIPPSLFRKAYVFLVKDSKMLESVIRCPVEDCKSILLDLMGIVDGS >DRNTG_06675.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3143907:3153679:-1 gene:DRNTG_06675 transcript:DRNTG_06675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRSSVFHSIFTFLIVSTLSDAAQTGAADLRPESDLVTGLPGQPPVEFRHYAGYVYVGQSKDKALFYWFFEAIGAAEAKPLLLWLNGGPGCSSVAFGAAQELGPFLIRTNKPNLTLNAYSWNKVANLLFLEAPIGVGFSYSNRSSDLEELGDEITAKDSHEFLLAWFDKFPAFKSHEFYLAGESYAGHYVPQLAELIYEGNKRASEDSRINFKGLMIGNAVLNDETDQMGMVEYAWSHAIISDQLYNTIRKECAFFKESEKKKERDKERAMKETKACSLAIKAFLQSYSDIDIYSIYTPVCRHYSSNVSGHHRHRRPKLVASPRLFRQHEEWHELERRVPAGYDPCTEGYVEKYFNRKDVQQALHANLTGLSYPYSPCSEVIPDWKDSPPTVLPILKKLMNAGLRVWVYSGDTDGRVPVTSTRYSINEMGLKVKEKTKGKEWGGWRAWYHKDQVAGWVVEYQDGLTLATVRGAGHQVPAFAPDRSLLLVAHFLNGKPPPSHL >DRNTG_11701.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14187048:14187983:1 gene:DRNTG_11701 transcript:DRNTG_11701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASTEMRKERVTQDDFGSHVKVLRKVSAGARSQSPACSPAGPSV >DRNTG_32739.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:9492425:9492996:-1 gene:DRNTG_32739 transcript:DRNTG_32739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGHYFVRLQLGFQFGCDMPNKQALVNDFVLLKPCTREWKDHIASARHTTELLRSMVTQPRLSHTGQAAVLIHVVHTVVAAHRRRITILMPLPVVAAGSRRRCQSSPNTFKPESIHESQDLLTMGAESL >DRNTG_12456.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20952485:20953225:-1 gene:DRNTG_12456 transcript:DRNTG_12456.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLENSSGRQVTYSKRRAGILKKAKELSILCDIDIVLLMFSPTGKPTLCLGERSNIEEVIAKFAQLTPQEREKRKLESLE >DRNTG_12456.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20952351:20953225:-1 gene:DRNTG_12456 transcript:DRNTG_12456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLENSSGRQVTYSKRRAGILKKAKELSILCDIDIVLLMFSPTGKPTLCLGERSNIEEVIAKFAQLTPQEREKRKLESLEALKKTFKKLDHDVNIQ >DRNTG_29070.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1718609:1725738:1 gene:DRNTG_29070 transcript:DRNTG_29070.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFAGKLVERLANVIEEKAIMVLGVKDELQKLQRRMKRITCVLKDAERRRIQQDEEAVKLWVNELKDFMYDADDIIDLCIIQGTGVLLQDDHHHIDQLAESSATASTRVRCCNFPLFSCVRSVPFQYEIADKIKSLNDRLTEISEDKDKFNFLIFSKSSSDDAYVMNEASYRQSSFLPESDIVGWDIRDATNSFVELLVSQYQQKCRLFAIVGMGGIGKTTLAQLIYHDSKINDDFVLKSWICVSKFYTSRADLLKELIRNAGGTCGEAATIAELQKILCDVLHGKNLFLVLDDVWDADVWINLIKNPVERTTTKCRVVVTTRDRNTAIKMGAIHIHNVNKLPLNFGWELLCKKVFTNNDEGELQRMKDVGMQIVEKL >DRNTG_29070.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1718609:1725738:1 gene:DRNTG_29070 transcript:DRNTG_29070.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFAGKLVERLANVIEEKAIMVLGVKDELQKLQRRMKRITCVLKDAERRRIQQDEEAVKLWVNELKDFMYDADDIIDLCIIQGTGVLLQDDHHHIDQLAESSATASTRVRCCNFPLFSCVRSVPFQYEIADKIKSLNDRLTEISEDKDKFNFLIFSKSSSDDAYVMNEASYRQSSFLPESDIVGWDIRDATNSFVELLVSQYQQKCRLFAIVGMGGIGKTTLAQLIYHDSKINDDFVLKSWICVSKFYTSRADLLKELIRNAGGTCGEAATIAELQKILCDVLHGKNLFLVLDDVWDADVWINLIKNPVERTTTKCRVVVTTRDRNTAIKMGAIHIHNVNKLPLNFGWELLCKKVFTNNDEGELQRMKDVGMQIVEKL >DRNTG_29070.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1718609:1725738:1 gene:DRNTG_29070 transcript:DRNTG_29070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFAGKLVERLANVIEEKAIMVLGVKDELQKLQRRMKRITCVLKDAERRRIQQDEEAVKLWVNELKDFMYDADDIIDLCIIQGTGVLLQDDHHHIDQLAESSATASTRVRCCNFPLFSCVRSVPFQYEIADKIKSLNDRLTEISEDKDKFNFLIFSKSSSDDAYVMNEASYRQSSFLPESDIVGWDIRDATNSFVELLVSQYQQKCRLFAIVGMGGIGKTTLAQLIYHDSKINDDFVLKSWICVSKFYTSRADLLKELIRNAGGTCGEAATIAELQKILCDVLHGKNLFLVLDDVWDADVWINLIKNPVERTTTKCRVVVTTRDRNTAIKMGAIHIHNVNKLPLNFGWELLCKKVFTNNDEGELQRMKDVGMQIVEKL >DRNTG_29070.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1718609:1725738:1 gene:DRNTG_29070 transcript:DRNTG_29070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFAGKLVERLANVIEEKAIMVLGVKDELQKLQRRMKRITCVLKDAERRRIQQDEEAVKLWVNELKDFMYDADDIIDLCIIQGTGVLLQDDHHHIDQLAESSATASTRVRCCNFPLFSCVRSVPFQYEIADKIKSLNDRLTEISEDKDKFNFLIFSKSSSDDAYVMNEASYRQSSFLPESDIVGWDIRDATNSFVELLVSQYQQKCRLFAIVGMGGIGKTTLAQLIYHDSKINDDFVLKSWICVSKFYTSRADLLKELIRNAGGTCGEAATIAELQKILCDVLHGKNLFLVLDDVWDADVWINLIKNPVERTTTKCRVVVTTRDRNTAIKMGAIHIHNVNKLPLNFGWELLCKKVFTNNDEGELQRMKDVGMQIVEKL >DRNTG_29070.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1718609:1725738:1 gene:DRNTG_29070 transcript:DRNTG_29070.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFAGKLVERLANVIEEKAIMVLGVKDELQKLQRRMKRITCVLKDAERRRIQQDEEAVKLWVNELKDFMYDADDIIDLCIIQGTGVLLQDDHHHIDQLAESSATASTRVRCCNFPLFSCVRSVPFQYEIADKIKSLNDRLTEISEDKDKFNFLIFSKSSSDDAYVMNEASYRQSSFLPESDIVGWDIRDATNSFVELLVSQYQQKCRLFAIVGMGGIGKTTLAQLIYHDSKINDDFVLKSWICVSKFYTSRADLLKELIRNAGGTCGEAATIAELQKILCDVLHGKNLFLVLDDVWDADVWINLIKNPVERTTTKCRVVVTTRDRNTAIKMGAIHIHNVNKLPLNFGWELLCKKVFTNNDEGELQRMKDVGMQIVEKL >DRNTG_29070.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1718609:1725738:1 gene:DRNTG_29070 transcript:DRNTG_29070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFAGKLVERLANVIEEKAIMVLGVKDELQKLQRRMKRITCVLKDAERRRIQQDEEAVKLWVNELKDFMYDADDIIDLCIIQGTGVLLQDDHHHIDQLAESSATASTRVRCCNFPLFSCVRSVPFQYEIADKIKSLNDRLTEISEDKDKFNFLIFSKSSSDDAYVMNEASYRQSSFLPESDIVGWDIRDATNSFVELLVSQYQQKCRLFAIVGMGGIGKTTLAQLIYHDSKINDDFVLKSWICVSKFYTSRADLLKELIRNAGGTCGEAATIAELQKILCDVLHGKNLFLVLDDVWDADVWINLIKNPVERTTTKCRVVVTTRDRNTAIKMGAIHIHNVNKLPLNFGWELLCKKVFTNNDEGELQRMKDVGMQIVEKL >DRNTG_29070.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1718609:1725738:1 gene:DRNTG_29070 transcript:DRNTG_29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFAGKLVERLANVIEEKAIMVLGVKDELQKLQRRMKRITCVLKDAERRRIQQDEEAVKLWVNELKDFMYDADDIIDLCIIQGTGVLLQDDHHHIDQLAESSATASTRVRCCNFPLFSCVRSVPFQYEIADKIKSLNDRLTEISEDKDKFNFLIFSKSSSDDAYVMNEASYRQSSFLPESDIVGWDIRDATNSFVELLVSQYQQKCRLFAIVGMGGIGKTTLAQLIYHDSKINDDFVLKSWICVSKFYTSRADLLKELIRNAGGTCGEAATIAELQKILCDVLHGKNLFLVLDDVWDADVWINLIKNPVERTTTKCRVVVTTRDRNTAIKMGAIHIHNVNKLPLNFGWELLCKKVFTNNDEGELQRMKDVGMQIVEKL >DRNTG_08381.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4456403:4463447:1 gene:DRNTG_08381 transcript:DRNTG_08381.6 gene_biotype:protein_coding transcript_biotype:protein_coding METPPDTSLPAPPPPAVKAVVAATHATIQPSSPRFFFSSVAAANPTAGSHRRIAIAVDLSDESAYAVRWAVQNYLRPGDAVILLHVRPTSVLYGADWGAIDLSLASESAGEDGGFDPSEESQRKLEDDFDTFTTTKAQDLAQPLVEAQIPFKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGASRRGSKGRLGSVSDYCVHHCVCPVVVVRFPDDGVVAGLEGAAAGSDGSSRRSVLANEAELHPVPEEEQEYLDATDEHKDA >DRNTG_08381.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4456403:4463651:1 gene:DRNTG_08381 transcript:DRNTG_08381.2 gene_biotype:protein_coding transcript_biotype:protein_coding METPPDTSLPAPPPPAVKAVVAATHATIQPSSPRFFFSSVAAANPTAGSHRRIAIAVDLSDESAYAVRWAVQNYLRPGDAVILLHVRPTSVLYGADWGAIDLSLASESAGEDGGFDPSEESQRKLEDDFDTFTTTKAQDLAQPLVEAQIPFKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGASRRGSKGRLGSVSDYCVHHCVCPVVVVRFPDDGVVAGLEGAAAGSDGSSRRSVLANEAELHPVPEEEQEYLDATDEHKDA >DRNTG_08381.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4456342:4463447:1 gene:DRNTG_08381 transcript:DRNTG_08381.5 gene_biotype:protein_coding transcript_biotype:protein_coding METPPDTSLPAPPPPAVKAVVAATHATIQPSSPRFFFSSVAAANPTAGSHRRIAIAVDLSDESAYAVRWAVQNYLRPGDAVILLHVRPTSVLYGADWGAIDLSLASESAGEDGGFDPSEESQRKLEDDFDTFTTTKAQDLAQPLVEAQIPFKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGASRRGSKGRLGSVSDYCVHHCVCPVVVVRFPDDGVVAGLEGAAAGSDGSSRRSVLANEAELHPVPEEEQEYLDATDEHKDA >DRNTG_08381.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4456403:4463651:1 gene:DRNTG_08381 transcript:DRNTG_08381.3 gene_biotype:protein_coding transcript_biotype:protein_coding METPPDTSLPAPPPPAVKAVVAATHATIQPSSPRFFFSSVAAANPTAGSHRRIAIAVDLSDESAYAVRWAVQNYLRPGDAVILLHVRPTSVLYGADWGAIDLSLASESAGEDGGFDPSEESQRKLEDDFDTFTTTKAQDLAQPLVEAQIPFKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGASRRGSKGRLGSVSDYCVHHCVCPVVVVRFPDDGVVAGLEGAAAGSDGSSRRSVLANEAELHPVPEEEQEYLDATDEHKDA >DRNTG_08381.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4456403:4463604:1 gene:DRNTG_08381 transcript:DRNTG_08381.4 gene_biotype:protein_coding transcript_biotype:protein_coding METPPDTSLPAPPPPAVKAVVAATHATIQPSSPRFFFSSVAAANPTAGSHRRIAIAVDLSDESAYAVRWAVQNYLRPGDAVILLHVRPTSVLYGADWGAIDLSLASESAGEDGGFDPSEESQRKLEDDFDTFTTTKAQDLAQPLVEAQIPFKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGASRRGSKGRLGSVSDYCVHHCVCPVVVVRFPDDGVVAGLEGAAAGSDGSSRRSVLANEAELHPVPEEEQEYLDATDEHKDA >DRNTG_08381.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4456403:4463682:1 gene:DRNTG_08381 transcript:DRNTG_08381.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPDTSLPAPPPPAVKAVVAATHATIQPSSPRFFFSSVAAANPTAGSHRRIAIAVDLSDESAYAVRWAVQNYLRPGDAVILLHVRPTSVLYGADWGAIDLSLASESAGEDGGFDPSEESQRKLEDDFDTFTTTKAQDLAQPLVEAQIPFKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGASRRGSKGRLGSVSDYCVHHCVCPVVVVRFPDDGVVAGLEGAAAGSDGSSRRSVLANEAELHPVPEEEQEYLDATDEHKDA >DRNTG_30278.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001551.1:17303:25488:-1 gene:DRNTG_30278 transcript:DRNTG_30278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETEKEVSATTSFITGQVMMFILIYYATLYLALGSLTLSLGFPAFHQVISNNLLLSLE >DRNTG_03634.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11797431:11799143:-1 gene:DRNTG_03634 transcript:DRNTG_03634.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQKNLEILLAHDFQFHSGTKAVTFACSDLCKASKIFVNVIFIEDAMRSTAWIYWGSLRQRRFGEVCPRLDEGTLGEDEATPQDHQHGI >DRNTG_17297.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31820147:31823937:1 gene:DRNTG_17297 transcript:DRNTG_17297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSVAGGAGGSAGGASGSGGDLFDHRVAVAMDPDFSGGLGDQNFEFAFNSSNFSDRVLRIEIVAGPPESRSDRDGCSSIADWARHRKRRRDDIKKEKAAEFSVYNSEQIVNCDQPDTEDAGAYENHDGEAVAMIEESPSGDEPSNSSDSSWSMDCSPVLRIKSLFISSAILAAKSPFFYKLFSNGMRESDQRHATLRINASEETALMELLSFMYSGKLSTASPTLLLDVLMAADKFEVASCMRHCCQLLRSLSMTTESALLYLELPSTVSMASAVQPLTDAAKEFLANKYRDITKFQEEVMNLPLAGIEAILSSDDLQVASEDAVYDLVLKWARAQYPEVDERREILGSRLCRLVRFPYMTCRKLRKVLTCNDLDHDLASKAVLEALFFKSETPHRQRILASEEVANRRFMERAYKYRPVKVVEFELPHPQCIVYLDLKREECANLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFTVDYEFAARTRPTGEFVSKYKGYYTFTGGKAVGYRNLFAIPWTSFMADDSLYFIHGTLHLRAELTIKQPQPQ >DRNTG_06140.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25516029:25517589:-1 gene:DRNTG_06140 transcript:DRNTG_06140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGVVTVYGNGAALAESKKSTFSVKVGLAQMLRGGVIMDVVTPEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPGLIKEIKRAVTIPVMAKARIGHFVEAQILEAIGIDYVDESEVLTPADEENHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNVIEAVRHVRSVMGDIRVLRNMDDDEVFSFAKKIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPEILADVSCGLGEAMVGINLNDAKVERFANRSE >DRNTG_06140.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25514873:25517589:-1 gene:DRNTG_06140 transcript:DRNTG_06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGVVTVYGNGAALAESKKSTFSVKVGLAQMLRGGVIMDVVTPEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPGLIKEIKRAVTIPVMAKARIGHFVEAQILEAIGIDYVDESEVLTPADEENHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNVIEAVRHVRSVMGDIRVLRNMDDDEVFSFAKKIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPEILADVSCGLGEAMVGINLNDAKVERFANRSE >DRNTG_27911.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001415.1:21514:21704:-1 gene:DRNTG_27911 transcript:DRNTG_27911.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLGYQQSSQTRPGYSPSQAQGRGLMDSTRLTGINNKIFK >DRNTG_28792.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28829194:28832114:-1 gene:DRNTG_28792 transcript:DRNTG_28792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRNKRELWRVQYALSRIRNAARELLTLDEKNPKRIFEGDALLRRMNRYGLLEENQNKLDYVLALTVENFLERRLQTLVFKSGMAKSIHHARVLIRQRHIRVGRQVVNIPSFMVRVESAKHIDFSLTSPLGQGRPGRVKRKNLKAASKKAAGGDGEEEEEE >DRNTG_07537.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14156418:14160740:-1 gene:DRNTG_07537 transcript:DRNTG_07537.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGRNRKDDLPKINNTNIFAALETLKKKKKSDKDQGKSKASSKSLVKEPEPPVFWAPAPVTKKWADVEDEDDDDYFETTAPPSNVWGTSEPRQTKETAIVAEEESESEDEIDEIDDDVEDEPEHEPEVPAPAEPVVKKPAPSIVPKDAERQLSKKELKKKELAELDAVLAELGISGKDNNSETDGTNGVADKKTEEQLGEKNDNNIPAPSDSKTSKKKKSKKDKSAKEIRDLEEQLNGMDANTPGEGSGTEPTEGDASGADVKDKIKKMTSVKKKKTNKELDAAAKAAQLEAAARSKRLAAAKKKEKSHYNQQPVR >DRNTG_07537.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14156418:14160740:-1 gene:DRNTG_07537 transcript:DRNTG_07537.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGRNRKDDLPKINNTNIFAALETLKKKKKSDKDQGKSKASSKSLVKEPEPPVFWAPAPVTKKWADVEDEDDDDYFETTAPPSNVWGTSEPRQTKETAIVAEEESESEDEIDEIDDDVEDEPEHEPEVPAPAEPVVKKPAPSIVPKDAERQLSKKELKKKELAELDAVLAELGISGKDNNSETDGTNGNKVINSDVHLCFYFQLFLSLAGILMMFLLS >DRNTG_28761.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24204791:24207109:-1 gene:DRNTG_28761 transcript:DRNTG_28761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSTAAGVGSGGVVVGYADSVDSSPRSRGGESWDEPFPSSSPAPKLRLMCSYGGHIVPRPTDKSLCYPSSHGPRRYGLPHGSSTSSSDAQLRRLRPVHVLHSDISATTPNASSSVPGSVTRRGTVPAGRLKANQSLLIAAASASAAAAAM >DRNTG_28761.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24204791:24205819:-1 gene:DRNTG_28761 transcript:DRNTG_28761.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSDQSYRIPPPQQQQQQQQQQLPPQQIQATDQSYMMPSLTTDHPQQFITTGNPEPQSPSNRTTISPLLSNNPSNLTTSIPKSPCISTTSPSAQTPVHPSPILKSRPPDQSPLPPLLLPELPWSPPVWVTTWFLNKLQRCPTTPPTTRPCTTLRHQRHHPKCFKLSTRQCHSPRHSPRRPTQGQPEPPDRRRLRLRRRRRHVSD >DRNTG_30280.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:10906352:10907093:-1 gene:DRNTG_30280 transcript:DRNTG_30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLMKMQKSTDRVLYAYHGGNPEVAGTQHNLLWCNVSKDHEWNARVITKIGSKNHTKVSKSQIWQANVLIGLHVALVLKAFEVQACCASHSVEYCMETMVCTRQRLEKQFMLDSMVKSPSDGGPCAMLPPYDPVLGKKISTFTA >DRNTG_16893.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29974373:29974678:1 gene:DRNTG_16893 transcript:DRNTG_16893.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAQKLRLWSKQSSSIQNGRSKPLKKRES >DRNTG_04885.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16357084:16357624:1 gene:DRNTG_04885 transcript:DRNTG_04885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYHLSILNAHRYGLEILNGKDRAKQRRMS >DRNTG_28068.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21162989:21164477:-1 gene:DRNTG_28068 transcript:DRNTG_28068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIVQFQSVALITSGYSYIGAKANINVWNPRVEADDEYTTGQMWLRNGPYNNSDSIELGWMVSICSTLSILMF >DRNTG_28545.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3885939:3886712:1 gene:DRNTG_28545 transcript:DRNTG_28545.4 gene_biotype:protein_coding transcript_biotype:protein_coding FLLPLFIPHCKKNLGFFPSSFSQDCLIATAGPHLRRCRHRQISSHIFLHTLLCDSEATRHRRPSSHFLFVIESVCVARLTKQLFLWLVRLGKALKISTIWISRLANLDKVCILGCSISSGLGVVLNVAKPAKGSLVVVFGLGAIGLAAADCLRISGASRIIGVDVNLRKFNQG >DRNTG_28545.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3885939:3894188:1 gene:DRNTG_28545 transcript:DRNTG_28545.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLLPLFIPHCKKNLGFFPSSFSQDCLIATAGPHLRRCRHRQISSHIFLHTLLCDSEATRHRRPSSHFLFVIESVCVARLTKQLFLWLVRLGKALKISTIWISRLANLDKVCILGCSISSGLGVVLNVAKPAKGSLVVVFGLGAIGLAAADCLRISGASRIIGVDVNLRKFNQGSRTAIISTEQ >DRNTG_28545.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3885939:3887956:1 gene:DRNTG_28545 transcript:DRNTG_28545.3 gene_biotype:protein_coding transcript_biotype:protein_coding FLLPLFIPHCKKNLGFFPSSFSQDCLIATAGPHLRRCRHRQISSHIFLHTLLCDSEATRHRRPSSHFLFVIESVCVARLTKQLFLWLVRLGKALKISTIWISRLANLDKVCILGCSISSGLGVVLNVAKPAKGSLVVVFGLGAIGLAAADCLRISGASRIIGVDVNLRKFNQGSRTAIISTEQ >DRNTG_28545.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3885939:3887956:1 gene:DRNTG_28545 transcript:DRNTG_28545.2 gene_biotype:protein_coding transcript_biotype:protein_coding FLLPLFIPHCKKNLGFFPSSFSQDCLIATAGPHLRRCRHRQISSHIFLHTLLCDSEATRHRRPSSHFLFVIESVCVARLTKQLFLWLVRLGKALKISTIWISRLANLDKVCILGCSISSGLGVVLNVAKPAKGSLVVVFGLGAIGLAAADCLRISGASRIIGVDVNLRKFNQGSRTAIISTEQ >DRNTG_29311.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29639262:29642721:1 gene:DRNTG_29311 transcript:DRNTG_29311.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQEACVYDGYTLPKLYAKVQYCVSCAIHSHVVRVRSRTARRNREPPQRFRRRDDVARPGQAAAAPRPAGPANPVRP >DRNTG_26893.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:16086620:16088874:1 gene:DRNTG_26893 transcript:DRNTG_26893.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIAAYLLALLGGNSCPSADDLTKILSSVGAEADEDRIEFFLSEVKGKDITELIALGREKFASVPSGGGAAIAVAAPAGGAGGAAAPAAAEPKKEEKVEEKEESDEDMGFSLFD >DRNTG_08035.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30238193:30238996:1 gene:DRNTG_08035 transcript:DRNTG_08035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKLSSKTSKVSSQVLINTQNSCTNETISANTQPNRPHRRPVASRYLGDSTKNGIAAKGKRQHKEIASNRRHDKKDCYWRGCPNCVNIT >DRNTG_08035.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30238193:30238531:1 gene:DRNTG_08035 transcript:DRNTG_08035.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDVEAELQDIQGMDPNHKKSSSS >DRNTG_04428.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1400791:1403903:1 gene:DRNTG_04428 transcript:DRNTG_04428.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRRWERWARDRTGEMGNGFSDAAAKVEEEGGRWTARVSTGGWEELQRLGKLSFNVVGKILHDWSDEHGLKILKNYWKALPANGKMILVEYMLLMAPESIHALQSVLLQLDMIMLAHNPGGKEMSAQEFESMAKQAGFTVMKPHFSFVDIWLIKLYK >DRNTG_20257.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20917297:20920210:-1 gene:DRNTG_20257 transcript:DRNTG_20257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLKVYTDRMSQPSRAIIIFCKMNRIDFEEVRIDLAKAQQRSPEFQEINPMRQVPAIVDGRFKLFESHAILRYLVCTFPGVPDNWYPADVSNRARIEAILDWHHSNLRRGSASLVFNSVLAPKFGLPLNLEAAKEAEKILDSSISKIEGIWLKGNSKFLLGNFQPSIADLSLVCEIMQLELLDKKDRERILNPHKKILQWIENVKVATNPHFEEVHAVLYKVRAKLHSNPSSISGESIKSGPKVKLSPKL >DRNTG_09968.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:6150987:6152944:1 gene:DRNTG_09968 transcript:DRNTG_09968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISLIIGLPLFSLYFCIYSPCNASNSEMEYLKKMMRSQSSSLGYVLDIKWKHLNLRKSLSLPNCTSENDGSMEADKVDSMPGYLNGMLSDQYARYVTVDVKAGRALFYNFVELPQNSSTKPLVLWLNGVANVIFLESPTGVGFSYSNMTLDYQSTGDKRTVDDAYAFLITWLERFPQYKGHDFYITGERYGGHYVPELANTIITNNKNTRGIIINLNGVAATFDYLWTHALNSDETHEAVQSESDFDTRNYSIDCEKALNSSYMEIRNLDNYDIYDPLCNDPSEAETSRITNVEIDPYTCYYVIT >DRNTG_12053.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19719465:19721398:-1 gene:DRNTG_12053 transcript:DRNTG_12053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNFTKTWLLDLSASASTSAPHQLLPPSPPTAPPASTVFASTNAASPSSVPTLAASSSSPPTPPPPSFASTNAFSGVKDIMADTIDGIDLNELGLNGERGGLTQENDSQQVVNEDDLATQDVQELAMSPKSRDGQQLAKIPFVGMEFEDEEEAFQYYLDYAKSRGFGVRKGMLAERIRDGERIREVTWIMQESDSMLAERIRDGSDNAKYAICNHVVVFGKNCIEDGEPYAMCSCKKFEREGVLCCHILKIF >DRNTG_12901.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000585.1:13285:14259:-1 gene:DRNTG_12901 transcript:DRNTG_12901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVTFACSHQSQRNTWMPKKEKGSNHKHSMFGSHTAVDVMKLTTTVILYGGRMHCSSGMIRSVCPDFHTTQYMGPYGGRQKKKLWLDFFLADFGRPLGRFWGDLGKEKKGKEARRSFKPKVQDSQGKKAASFKGRSTTI >DRNTG_03941.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000187.1:65611:66585:-1 gene:DRNTG_03941 transcript:DRNTG_03941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVLASFEFHLMHGRFDTTEAIQFWAFGHPFSMSVTEFSIRMGLILCGHGEYEPGMSKATSLSRLSYRYLHVVISRSGSGRADNIAALTSGYSEVSGLVFESGLLFAGPYITRLILGMGLGDALCGAERTFVPTTLVFETIRMMGLVRRSQGPRDYDRIERLESDVAATDGQALVPPPPPPASPSPLPLAPFDLAPPPAAAVEPEDDADT >DRNTG_07262.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:498816:502281:1 gene:DRNTG_07262 transcript:DRNTG_07262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPSELPESSNENTECSTRGDSEYDRLVNETTIDVHTSQCSEEGKSRSFVWWLKALACFFILIVLTFITIKWGVPFAFEKVLLPIMQWESSAFGRPVLVLVLIASLAIFPVILVPSGPSMWLAGMIFGYGLGFVIIMVGTTIGMALPYLIGLLFRDRLHAWLKRWPQKTAILLRLAGEGSWFQQFRVVALFRISPFPYTIFNYGVVVTNMTFGPYICGSIAGMIPEAFIYIYGGRLIRTLADMQYSNYSLTPVEIVYNIISFVIAILMTVGFTVYAKRALNDLESSEDNQDERIQAVGSFELEKLPQERPRAPYYPN >DRNTG_27471.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26415838:26419612:-1 gene:DRNTG_27471 transcript:DRNTG_27471.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRDGVATPAEEEDESHLNPFLLHVNKKPSSSKLQFRKEISKVQWHEKLGLGEVVEKKGSIWTSTGIVRDGKLYCHIEEILFLAERGGLMLLDSDDAILNIKEIYMKIAEGRHKCSWESFEAYRHLKLLGYIVGRHCVPWTNKANTSCCNSDSFNCTSDEDVGFVEAEEKMPIIHQFKNMQIHDIIPTFDVYLPNSKFRKSSPGKPNFLLCLLRDKPPSRTELENLEKRCLGIPLKFCFVDHGRVSFFSYDRVVLPSLP >DRNTG_27471.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26415838:26419612:-1 gene:DRNTG_27471 transcript:DRNTG_27471.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRDGVATPAEEEDESHLNPFLLHVNKKPSSSKLQFRKEISKVQWHEKLGLGEVVEKKGSIWTSTGIVRDGKLYCHIEEILFLAERGGLMLLDSDDAILNIKEIYMKIAEGRHKCSWESFEAYRHLKLLGYIVGRHCVPWTNKANTSCCNSDSFNCTSDEDVGFVEAEEKMPIIHQFKNMQIHDIIPTFDVYLPNSKFRKSSPGKPNFLLCLLRDKPPSRTELENLEKRCLGIPLKFCFVDHGRVSFFSYDRVVLPSLP >DRNTG_13532.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000617.1:12723:17044:1 gene:DRNTG_13532 transcript:DRNTG_13532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKQSGASTSPVLVSKTENDFDKEDHEKKTLEDPLKAYESKRFRLRTVSKNFQKLISDPYLKSLHAKYVKNRIGMSVIKFNDNSKYEIIPGETLDLSFGDGGVSISINFNGTRHYINRPPNRGISGSGGFIKSYGRVILSWSDHGLHADLRQQRPSRGLNARKGNGGT >DRNTG_30189.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3870521:3871515:-1 gene:DRNTG_30189 transcript:DRNTG_30189.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRITLKYIDEHNAGNHTYTLALTVFADLTVEEYRSTYLRKLPPPSEYDLVNHYDDENDDVYNFNETVTVPNSMDWRDLGAVLPVKQQGGCFSCWAFTAVATIEAINQIVTGDLTSLSEQQLVDCDHKSCDAYYIHKTYEYIQQNGGIDTEQDYPYAAVYSQCDTTKESNKVVTIDGYQWAPQNQERLLMARVAQQPVGVVVEAYERDFQNYGT >DRNTG_30189.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3867458:3871515:-1 gene:DRNTG_30189 transcript:DRNTG_30189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRITLKYIDEHNAGNHTYTLALTVFADLTVEEYRSTYLRKLPPPSEYDLVNHYDDENDDVYNFNETVTVPNSMDWRDLGAVLPVKQQGGCFSCWAFTAVATIEAINQIVTGDLTSLSEQQLVDCDHKSCDAYYIHKTYEYIQQNGGIDTEQDYPYAAVYSQCDTTKESNKVVTIDGYQWAPQNQERLLMARVAQQPVGVVVEAYERDFQNYGTGVFIGYCGKKQDHAVTIIGYGNQDGVDYWLIKNSWGEFWGEGGYMKLERNTREATGRCGVAEWPVYPIKYKNMRHPVGAKEA >DRNTG_17203.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:334798:344713:-1 gene:DRNTG_17203 transcript:DRNTG_17203.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid amide hydrolase [Source:Projected from Arabidopsis thaliana (AT5G64440) UniProtKB/Swiss-Prot;Acc:Q7XJJ7] MQSVFARLRRCGVIFIGKANMHELGLGTTGNNPNYGTTRNPHAIDRYTGGSSSGPAALVAAGLCSAAVGTDGGGSVRIPSSLCGVVGLKTTYGRTDMEGALCGCGTVEVASPLAATVEDIMLIYAAMSGSSPDDRISLHPAPLCLPNLSSPHNVDALQSLRLGKYTEWFNDVICTDISNKCQDVLNQLSDTYGCKIMEIILPELQEMRTAHVVSIGSESLCSLNPDCEDGKGVELTLDTRTSLALFRSFSAAEYVAAQRIRRRIMHYHMEAFKKVDIIVTPTTGMTAPKIPTSALASGESNYEVSGYLMRFIIAGNLLGLPAISVPVGHDKQGLPIGLQLIGRPWGEATILRAASAIEELCSRTRNRPSTFYDILSSVEVLK >DRNTG_17203.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:334798:344713:-1 gene:DRNTG_17203 transcript:DRNTG_17203.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid amide hydrolase [Source:Projected from Arabidopsis thaliana (AT5G64440) UniProtKB/Swiss-Prot;Acc:Q7XJJ7] MQSVFARLRRCGVIFIGKANMHELGLGTTGNNPNYGTTRNPHAIDRYTGGSSSGPAALVAAGLCSAAVGTDGGGSVRIPSSLCGVVGLKTTYGRTDMEGALCGCGTVEVASPLAATVEDIMLIYAAMSGSSPDDRISLHPAPLCLPNLSSPHNVDALQSLRLGKYTEWFNDVICTDISNKCQDVLNQLSDTYGCKIMEIILPELQEMRTAHVVSIGSESLCSLNPDCEDGKGVELTLDTRTSLALFRSFSAAEYVAAQRIRRRIMHYHMEAFKKVDIIVTPTTGMTAPKIPTSALASGESNYEVSGYLMRFIIAGNLLGLPAISVPVGHDKQGLPIGLQLIGRPWGEATILRAASAIEELCSRTRNRPSTFYDILSSVEVLK >DRNTG_17203.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:334798:344713:-1 gene:DRNTG_17203 transcript:DRNTG_17203.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid amide hydrolase [Source:Projected from Arabidopsis thaliana (AT5G64440) UniProtKB/Swiss-Prot;Acc:Q7XJJ7] MQSVFARLRRCGVIFIGKANMHELGLGTTGNNPNYGTTRNPHAIDRYTGGSSSGPAALVAAGLCSAAVGTDGGGSVRIPSSLCGVVGLKTTYGRTDMEGALCGCGTVEVASPLAATVEDIMLIYAAMSGSSPDDRISLHPAPLCLPNLSSPHNVDALQSLRLGKYTEWFNDVICTDISNKCQDVLNQLSDTYGCKIMEIILPELQEMRTAHVVSIGSESLCSLNPDCEDGKGVELTLDTRTSLALFRSFSAAEYVAAQRIRRRIMHYHMEAFKKVDIIVTPTTGMTAPKIPTSALASGESNYEVSGYLMRFIIAGNLLGLPAISVPVGHDKQGLPIGLQLIGRPWGEATILRAASAIEELCSRTRNRPSTFYDILSSVEVLK >DRNTG_17203.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:339814:344713:-1 gene:DRNTG_17203 transcript:DRNTG_17203.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid amide hydrolase [Source:Projected from Arabidopsis thaliana (AT5G64440) UniProtKB/Swiss-Prot;Acc:Q7XJJ7] MQSVFARLRRCGVIFIGKANMHELGLGTTGNNPNYGTTRNPHAIDRYTGGSSSGPAALVAAGLCSAAVGTDGGGSVRIPSSLCGVVGLKTTYGRTDMEGALCGCGTVEVASPLAATVEDIMLIYAAMSGSSPDDRISLHPGYYYNLIFCLEKCTWFYCPLSKNFVKI >DRNTG_17203.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:339814:344713:-1 gene:DRNTG_17203 transcript:DRNTG_17203.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid amide hydrolase [Source:Projected from Arabidopsis thaliana (AT5G64440) UniProtKB/Swiss-Prot;Acc:Q7XJJ7] MQSVFARLRRCGVIFIGKANMHELGLGTTGNNPNYGTTRNPHAIDRYTGGSSSGPAALVAAGLCSAAVGTDGGGSVRIPSSLCGVVGLKTTYGRTDMEGALCGCGTVEVASPLAATVEDIMLIYAAMSGSSPDDRISLHPGYYYNLIFCLEKCTWFYCPLSKNFVKI >DRNTG_30310.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:20120756:20123079:-1 gene:DRNTG_30310 transcript:DRNTG_30310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWLIGLKNIFARQLPNMPKEYIVRLVMDR >DRNTG_07120.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4807096:4807752:1 gene:DRNTG_07120 transcript:DRNTG_07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPTMLSPMPPKTNSTTSKYSLNLTSFLSSNSQDS >DRNTG_07545.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13119140:13122954:1 gene:DRNTG_07545 transcript:DRNTG_07545.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPADEDDEDHHSPPFYSTITAGDPLLCCCTSQCPCCGFSADWRRSMKRKLDAVPPPPLLPLDFATVRVEAENEIMALREALVKHQQTIHDLYAELEEERNASSTAASEAMSMILRLQREKAEAQMEARQFKRLAEEKMAHDQQEIIALEDLLFKRDQGIQSLSNDLQTLRYRLNSFDNPQTPTTPLSATAEFPPYPALRCTIPTADFDLNIADDAPSPADLEKYAFGETPRDRIQNFEQRICELERTPSTKVMEKGVVGYFYSPRRPRHLRRMSFESFGSGSFRRGDEFPLSIERAGSENNEEICDRVYTIDAVHACNDSMNTSREFGAGNGRDEGEIEKLYTRLQALEADREYMRQAIMSVSTDKAQMVLLKEIAQQLCKDDMPVEKKIVKKPSFIKSFSIMNAVKWVMSFVCWKKKAVRCRYPLGLASSNAGLLLLLEKSPRMRQRRCLSGIRR >DRNTG_07545.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13119153:13122954:1 gene:DRNTG_07545 transcript:DRNTG_07545.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPADEDDEDHHSPPFYSTITAGDPLLCCCTSQCPCCGFSADWRRSMKRKLDAVPPPPLLPLDFATVRVEAENEIMALREALVKHQQTIHDLYAELEEERNASSTAASEAMSMILRLQREKAEAQMEARQFKRLAEEKMAHDQQEIIALEDLLFKRDQGIQSLSNDLQTLRYRLNSFDNPQTPTTPLSATAEFPPYPALRCTIPTADFDLNIADDAPSPADLEKYAFGETPRDRIQNFEQRICELERTPSTKVMEKGVVGYFYSPRRPRHLRRMSFESFGSGSFRRGDEFPLSIERAGSENNEEICDRVYTIDAVHACNDSMNTSREFGAGNGRDEGEIEKLYTRLQALEADREYMRQAIMSVSTDKAQMVLLKEIAQQLCKDDMPVEKKIVKKPSFIKSFSIMNAVKWVMSFVCWKKKAVRCRYPLGLASSNAGLLLLLEKSPRMRQRRCLSGIRR >DRNTG_07545.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13119153:13122889:1 gene:DRNTG_07545 transcript:DRNTG_07545.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPADEDDEDHHSPPFYSTITAGDPLLCCCTSQCPCCGFSADWRRSMKRKLDAVPPPPLLPLDFATVRVEAENEIMALREALVKHQQTIHDLYAELEEERNASSTAASEAMSMILRLQREKAEAQMEARQFKRLAEEKMAHDQQEIIALEDLLFKRDQGIQSLSNDLQTLRYRLNSFDNPQTPTTPLSATAEFPPYPALRCTIPTADFDLNIADDAPSPADLEKYAFGETPRDRIQNFEQRICELERTPSTKVMEKGVVGYFYSPRRPRHLRRMSFESFGSGSFRRGDEFPLSIERAGSENNEEICDRVYTIDAVHACNDSMNTSREFGAGNGRDEGEIEKLYTRLQALEADREYMRQAIMSVSTDKAQMVLLKEIAQQLCKDDMPVEKKIVKKPSFIKSFSIMNAVKWVMSFVCWKKKAVRCRYPLGLASSNAGLLLLLEKSPRMRQRRCLSGIRR >DRNTG_07545.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13119153:13123023:1 gene:DRNTG_07545 transcript:DRNTG_07545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPADEDDEDHHSPPFYSTITAGDPLLCCCTSQCPCCGFSADWRRSMKRKLDAVPPPPLLPLDFATVRVEAENEIMALREALVKHQQTIHDLYAELEEERNASSTAASEAMSMILRLQREKAEAQMEARQFKRLAEEKMAHDQQEIIALEDLLFKRDQGIQSLSNDLQTLRYRLNSFDNPQTPTTPLSATAEFPPYPALRCTIPTADFDLNIADDAPSPADLEKYAFGETPRDRIQNFEQRICELERTPSTKVMEKGVVGYFYSPRRPRHLRRMSFESFGSGSFRRGDEFPLSIERAGSENNEEICDRVYTIDAVHACNDSMNTSREFGAGNGRDEGEIEKLYTRLQALEADREYMRQAIMSVSTDKAQMVLLKEIAQQLCKDDMPVEKKIVKKPSFIKSFSIMNAVKWVMSFVCWKKKAVRCRYPLGLASSNAGLLLLLEKSPRMRQRRCLSGIRR >DRNTG_03154.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16706201:16709198:1 gene:DRNTG_03154 transcript:DRNTG_03154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAMDSWNVECSCRWPCGVPSSPPKVPLSGLGHTSPDWCRQKLPQ >DRNTG_04652.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30524345:30527868:-1 gene:DRNTG_04652 transcript:DRNTG_04652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSRGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDTKPEDRTIKINKPDQAASDGKAPERSACCGSS >DRNTG_04053.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:10639923:10641210:-1 gene:DRNTG_04053 transcript:DRNTG_04053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSKFKTICVFCGSNVGKQKSYQDAAIDLGKELDYYPCHEQVSSKLNWNYEQLEHSQK >DRNTG_10107.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20808343:20810951:1 gene:DRNTG_10107 transcript:DRNTG_10107.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISSSALPYKRTPPSWLKISAQDVDENICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPVWKYESTTASTLVA >DRNTG_09672.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1317744:1318682:-1 gene:DRNTG_09672 transcript:DRNTG_09672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNIHNLLLPKKAGTGSSKAGPADDDN >DRNTG_15485.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20929929:20932303:1 gene:DRNTG_15485 transcript:DRNTG_15485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDISPKLSKKLYGGDGGAYYSWSPEELPMLREGNIGAAKLVLENRGLALPSYSDSAKVAYVLQGSGTTGIVLPESPEKVIAINQGDAIALPFGVVTWWFNPNDTELIVLFLGDTSKGHHRGEFTNFQITGANGIFTGFTTEFVSRAWDLSEDEAKKLVESQNNVGIIKLKDGQSMPEPSPKDREGMALNCLEAPLDVDIKNGGRVVVLNTQNLPLVGEVGLGADLVRIDAHSMCSPGFSCDSAYQVTYIVKGSGRVQVVGVDGKRKLETRIKAGYLFIVPRFFVVSKIADSEGMSWFSIISTPNPVFTHLAGRTSVWKAISPQVLEASFNTTPEMEKLFRSKRTSDEIFFAPPN >DRNTG_06249.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8383518:8387740:-1 gene:DRNTG_06249 transcript:DRNTG_06249.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMDDNVSVIGDWTPLNPSPRTLMPDFLNDGFSPRPFPDNLAGNGNVEPARKPENRDGVDTAFQFSNVISLDSGMFDASKPAPRSGLAERMASRAGFSAPKIDMAGIKSATMDSSTTEVRSPYLTIPAGLSPTTLLDSPVFLSNMAQPSPTTGKLLFEQYNGVNMASGVTDKVKQHTLEDTSESFAFKPHLDTSSSFFSTADNKNALAFNHQQALPSIDISVHTERQVRGGNVEAVAIAFQNQHDFNLQTGFSDSSDRRNPADNAMFNQKVCDEQSPPLDDQQDEEADPKSEFSSVTVGAPADDGYNWRKYGQKQVKGSEYPRSYYKCTHQNCPVKKKVERSHEGHITEIIYKGAHSHPKPAPNRRSGIVPSQSYTEMPQDGSENPGAQANLDGKHMWNNSQSGHEWRSDGLDATSSASMPVEIGDPAASLQAQHGARFESQDVAIDVSSTLSNDEEDDGATHGSVSLGGDGEGDETESKRRKVDSSVIEMSAASRAVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNPGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARGSGHSNSGPSPAVGVTTSQPQGLHRRPELIQAQDSLMRFNSPQLAAFGPPGNFGFTMGQQGLNNLSISSLSMVASMKMPVLPPVHPYMACQQPTDPGFMIPKGEPKEEPMSESTLPLPNGSSSVYHQIINRLPLGPQL >DRNTG_06249.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8383518:8387740:-1 gene:DRNTG_06249 transcript:DRNTG_06249.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMDDNVSVIGDWTPLNPSPRTLMPDFLNDGFSPRPFPDNLAGNGNVEPARKPENRDGVDTAFQFSNVISLDSGMFDASKPAPRSGLAERMASRAGFSAPKIDMAGIKSATMDSSTTEVRSPYLTIPAGLSPTTLLDSPVFLSNMAQPSPTTGKLLFEQYNGVNMASGVTDKVKQHTLEDTSESFAFKPHLDTSSSFFSTADNKQALPSIDISVHTERQVRGGNVEAVAIAFQNQHDFNLQTGFSDSSDRRNPADNAMFNQKVCDEQSPPLDDQQDEEADPKSEFSSVTVGAPADDGYNWRKYGQKQVKGSEYPRSYYKCTHQNCPVKKKVERSHEGHITEIIYKGAHSHPKPAPNRRSGIVPSQSYTEMPQDGSENPGAQANLDGKHMWNNSQSGHEWRSDGLDATSSASMPVEIGDPAASLQAQHGARFESQDVAIDVSSTLSNDEEDDGATHGSVSLGGDGEGDETESKRRKVDSSVIEMSAASRAVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNPGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARGSGHSNSGPSPAVGVTTSQPQGLHRRPELIQAQDSLMRFNSPQLAAFGPPGNFGFTMGQQGLNNLSISSLSMVASMKMPVLPPVHPYMACQQPTDPGFMIPKGEPKEEPMSESTLPLPNGSSSVYHQIINRLPLGPQL >DRNTG_06249.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8383518:8387740:-1 gene:DRNTG_06249 transcript:DRNTG_06249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMDDNVSVIGDWTPLNPSPRTLMPDFLNDGFSPRPFPDNLAGNGNVEPARKPENRDGVDTAFQFSNVISLDSGMFDASKPAPRSGLAERMASRAGFSAPKIDMAGIKSATMDSSTTEVRSPYLTIPAGLSPTTLLDSPVFLSNMAQPSPTTGKLLFEQYNGVNMASGVTDKVKQHTLEDTSESFAFKPHLDTSSSFFSTADNKALPSIDISVHTERQVRGGNVEAVAIAFQNQHDFNLQTGFSDSSDRRNPADNAMFNQKVCDEQSPPLDDQQDEEADPKSEFSSVTVGAPADDGYNWRKYGQKQVKGSEYPRSYYKCTHQNCPVKKKVERSHEGHITEIIYKGAHSHPKPAPNRRSGIVPSQSYTEMPQDGSENPGAQANLDGKHMWNNSQSGHEWRSDGLDATSSASMPVEIGDPAASLQAQHGARFESQDVAIDVSSTLSNDEEDDGATHGSVSLGGDGEGDETESKRRKVDSSVIEMSAASRAVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNPGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARGSGHSNSGPSPAVGVTTSQPQGLHRRPELIQAQDSLMRFNSPQLAAFGPPGNFGFTMGQQGLNNLSISSLSMVASMKMPVLPPVHPYMACQQPTDPGFMIPKGEPKEEPMSESTLPLPNGSSSVYHQIINRLPLGPQL >DRNTG_25326.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6517684:6518581:-1 gene:DRNTG_25326 transcript:DRNTG_25326.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEQEQVIPLALSAAVHPSPDQENPSTKPRRHRRRCILCCVSCTAVLILIGIILIILAFTVFKVKEPVLTLNSITMQGLSALATSPTPSTGFNMTVIADISVKNPNAASFKFGSTTTSVYYKDGLVGVAYGPPGNARADRTLRTNMTVDVLGDRLIGDMDLVTEALTGAVAIKSSTMIGGRVKIIGIFKHHVDVMMNCSLNIAVINQSILDQNCVHRVWL >DRNTG_25326.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6517489:6518581:-1 gene:DRNTG_25326 transcript:DRNTG_25326.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEQEQVIPLALSAAVHPSPDQENPSTKPRRHRRRCILCCVSCTAVLILIGIILIILAFTVFKVKEPVLTLNSITMQGLSALATSPTPSTGFNMTVIADISVKNPNAASFKFGSTTTSVYYKDGLVGVAYGPPGNARADRTLRTNMTVDVLGDRLIGDMDLVTEALTGAVAIKSSTMIGGRVKIIGIFKHHVDVMMNCSLNIAVINQSILDQNCVHRVWL >DRNTG_25326.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6517733:6518469:-1 gene:DRNTG_25326 transcript:DRNTG_25326.10 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEQEQVIPLALSAAVHPSPDQENPSTKPRRHRRRCILCCVSCTAVLILIGIILIILAFTVFKVKEPVLTLNSITMQGLSALATSPTPSTGFNMTVIADISVKNPNAASFKFGSTTTSVYYKDGLVGVAYGPPGNARADRTLRTNMTVDVLGDRLIGDMDLVTEALTGAVAIKSSTMIGGRVKIIGIFKHHVDVMMNCSLNIAVINQSILDQNC >DRNTG_25326.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6517612:6518469:-1 gene:DRNTG_25326 transcript:DRNTG_25326.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEQEQVIPLALSAAVHPSPDQENPSTKPRRHRRRCILCCVSCTAVLILIGIILIILAFTVFKVKEPVLTLNSITMQGLSALATSPTPSTGFNMTVIADISVKNPNAASFKFGSTTTSVYYKDGLVGVAYGPPGNARADRTLRTNMTVDVLGDRLIGDMDLVTEALTGAVAIKSSTMIGGRVKIIGIFKHHVDVMMNCSLNIAVINQSILDQNCVHRVWL >DRNTG_25326.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6517612:6518720:-1 gene:DRNTG_25326 transcript:DRNTG_25326.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEQEQVIPLALSAAVHPSPDQENPSTKPRRHRRRCILCCVSCTAVLILIGIILIILAFTVFKVKEPVLTLNSITMQGLSALATSPTPSTGFNMTVIADISVKNPNAASFKFGSTTTSVYYKDGLVGVAYGPPGNARADRTLRTNMTVDVLGDRLIGDMDLVTEALTGAVAIKSSTMIGGRVKIIGIFKHHVDVMMNCSLNIAVINQSILDQNCVHRVWL >DRNTG_25326.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6517733:6518581:-1 gene:DRNTG_25326 transcript:DRNTG_25326.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEQEQVIPLALSAAVHPSPDQENPSTKPRRHRRRCILCCVSCTAVLILIGIILIILAFTVFKVKEPVLTLNSITMQGLSALATSPTPSTGFNMTVIADISVKNPNAASFKFGSTTTSVYYKDGLVGVAYGPPGNARADRTLRTNMTVDVLGDRLIGDMDLVTEALTGAVAIKSSTMIGGRVKIIGIFKHHVDVMMNCSLNIAVINQSILDQNC >DRNTG_25326.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6517684:6518469:-1 gene:DRNTG_25326 transcript:DRNTG_25326.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEQEQVIPLALSAAVHPSPDQENPSTKPRRHRRRCILCCVSCTAVLILIGIILIILAFTVFKVKEPVLTLNSITMQGLSALATSPTPSTGFNMTVIADISVKNPNAASFKFGSTTTSVYYKDGLVGVAYGPPGNARADRTLRTNMTVDVLGDRLIGDMDLVTEALTGAVAIKSSTMIGGRVKIIGIFKHHVDVMMNCSLNIAVINQSILDQNCVHRVWL >DRNTG_25326.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6517489:6518720:-1 gene:DRNTG_25326 transcript:DRNTG_25326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEQEQVIPLALSAAVHPSPDQENPSTKPRRHRRRCILCCVSCTAVLILIGIILIILAFTVFKVKEPVLTLNSITMQGLSALATSPTPSTGFNMTVIADISVKNPNAASFKFGSTTTSVYYKDGLVGVAYGPPGNARADRTLRTNMTVDVLGDRLIGDMDLVTEALTGAVAIKSSTMIGGRVKIIGIFKHHVDVMMNCSLNIAVINQSILDQNCVHRVWL >DRNTG_25326.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6517612:6518581:-1 gene:DRNTG_25326 transcript:DRNTG_25326.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEQEQVIPLALSAAVHPSPDQENPSTKPRRHRRRCILCCVSCTAVLILIGIILIILAFTVFKVKEPVLTLNSITMQGLSALATSPTPSTGFNMTVIADISVKNPNAASFKFGSTTTSVYYKDGLVGVAYGPPGNARADRTLRTNMTVDVLGDRLIGDMDLVTEALTGAVAIKSSTMIGGRVKIIGIFKHHVDVMMNCSLNIAVINQSILDQNCVHRVWL >DRNTG_25326.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6517684:6518391:-1 gene:DRNTG_25326 transcript:DRNTG_25326.11 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEQEQVIPLALSAAVHPSPDQENPSTKPRRHRRRCILCCVSCTAVLILIGIILIILAFTVFKVKEPVLTLNSITMQGLSALATSPTPSTGFNMTVIADISVKNPNAASFKFGSTTTSVYYKDGLVGVAYGPPGNARADRTLRTNMTVDVLGDRLIGDMDLVTEALTGAVAIKSSTMIGGRVKIIGIFKHHVDVMMNCSLNIAVINQSILDQNCVHRVWL >DRNTG_25326.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6517612:6518391:-1 gene:DRNTG_25326 transcript:DRNTG_25326.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEQEQVIPLALSAAVHPSPDQENPSTKPRRHRRRCILCCVSCTAVLILIGIILIILAFTVFKVKEPVLTLNSITMQGLSALATSPTPSTGFNMTVIADISVKNPNAASFKFGSTTTSVYYKDGLVGVAYGPPGNARADRTLRTNMTVDVLGDRLIGDMDLVTEALTGAVAIKSSTMIGGRVKIIGIFKHHVDVMMNCSLNIAVINQSILDQNCVHRVWL >DRNTG_06336.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20206523:20212349:-1 gene:DRNTG_06336 transcript:DRNTG_06336.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMVNKVEEVDVPVDTINIETTEKIATQAESISPSSNAQPSPSAVAPPTIGADSTSTPASLRMLRVYLRTHVLDQMVQAASSTAGLRTIKRVDQTLQDLGVHLKPKVPTKSVCAEHLELRKEIITLLNLQKQLQNKEAEVSANRESSYVETPGTPKRTYRGDTDRPFIPESVGFGGERVAKRDHKRKTTARFSDAPPSPPQSKRPRKLKASD >DRNTG_06336.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20206523:20212349:-1 gene:DRNTG_06336 transcript:DRNTG_06336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMVNKVEEVDVPVDTINIETTEKIATQAESISPSSNAQPSPSAVAPPTIGADSTSTPASLRMLRVYLRTHVLDQMVQAASSTAGLRTIKRVDQTLQDLGVHLKPKVPTKSVCAEHLELRKEIITLLNLQKQLQNKEAEVSANRESSYVETPGTPKRTYRGDTDRPFIPESVGFGGERVAKRDHKRKTTARFSDAPPSPPQSKRPRKLKASD >DRNTG_35216.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8034372:8034857:-1 gene:DRNTG_35216 transcript:DRNTG_35216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQFTVVNEGRMNKLKNEELKKMVYEHLKDRKYLVETDDVWTRRDWDNIKEVLPEMTNGSKVLLTTRNREVALHADRQIPLFDLKLLGEEDSWELFCKKAIPTKCPDHCPLNLEIIGVTPRARGIDKRPCTHKVETQWTHKASISISQNKITQGTNITRT >DRNTG_14665.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5762371:5764752:1 gene:DRNTG_14665 transcript:DRNTG_14665.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAIINTAGAKDYPGNMTLFVFLTCLVAATGGLIFGYDIGISGGVTSMDSFLKKFFPSVYSKELASHSTNQYCKFDSQVLTSFTSSLYLAALIASFFASTVTRVFGRKWSMLGGGVVFLVGSAINGAAKNIAMLIIGRILLGIGVGFANQSVPLYLSEMAPARRRGLLNMGFQLMITIGILVANLINYGTAKISGGWGWRISLALAAVPAAIITIGSLFLPDTPNSLIERGHDEKAMNMLRRIRGTDDVTIEYNDLVVASEESKAVKHPWKNIIQRKYRAQLTMVILIPFFQQLTGINVIMFYAPVLFKTIGFGDNASLMSAVISGVVNVFATFVSIFSVDKYGRRLLFLEGGIQMTICQIIVGTLLAAKFGISGEGHLSKTYASFVVLFICIYVAGFAWSWGPLGWLVPSEILPLEIRSAGQSINVSVNMLFTFLIAQVFLSMLCHMKFGLFYFFSGWVMIMTIFIYYFLPETKNMPIEEMVLVWKKHWFWGKFIADNEVHVGDLEMGNGGRSISV >DRNTG_25707.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001334.1:6725:7276:-1 gene:DRNTG_25707 transcript:DRNTG_25707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPTPLSLEILRMMGMVHRVWTGVYALVLPALEIAEAAEDAPPVRMFSPSRAHDRFERLESAMGVLRIEVAEARAEIAKIRAMEVTQSTEFMARFNLLQQILERDVASSFVFRSRTPQPPSTSPAPPSPIPAPVDPPYVSPPPTVAEESIEHDTDI >DRNTG_00042.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21667383:21667579:-1 gene:DRNTG_00042 transcript:DRNTG_00042.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIRKFMLMLPFLLQAPSMVPVPDSFPPPTS >DRNTG_32005.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13049878:13050559:1 gene:DRNTG_32005 transcript:DRNTG_32005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKTEQIMESANEKLVGCQTIDEDMQMVESEILTQVIGKERCGRVRGVGLGPTPKSYYGGLTTRKSTDSNIQSSELVDRLH >DRNTG_32280.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15483940:15493192:1 gene:DRNTG_32280 transcript:DRNTG_32280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVQPPSPVNGAVGNQFPSTSLYVGDLELNVTDSQLFDLFSQIGPVVSVRVCRDVNTRRSLGYAYVNYSNAMDAERALDVLNFTPLNNKPIRIMYSNRDPSSRKSSAANIFIKNLDKAIDNKALHDTFSVFGKVLSCKIATDTNGQSKGYGFVQFDQEEAAQAAIEKLNGMLLNDKQVFVGPFLRKQERENAADRAKFTNVFVKNLSESTTDDEFKEIFGKFGNITSAVVMREADGKSKCFGFVNFEQPEDAFQAVQELNGKKHDDKEWYVGKAQKKYEREIELKSRFDQSLKEAADKYQGVNLYLKNLDDSIGDDKLKELFSEFGVITSCKVMRDPNGVSRGSGFVAFSSPDEASRALAEMNGKMVGGKPLYVALAQRKEERRARLQAQFSQMRPLAMPPSVAPRVPMYPPGAPGMGQQLFYGQGPTLIPSQPGFGYQQPLVPGMRPGGPVPNFFMPLMQQGQQAPRPGGRRGGAGPVQQTQQHMPLLQQQMVPRGGRVSRYPPGRNMPDVLPAFGGGMLSVPYDMGGLPLRDAGMSQPIPIGALASALANSSPEQQRTMLGENLYPLVEQLEHEHAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRTVVQQQPNSPTDALAGLSLNDGIVS >DRNTG_06711.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:6593193:6599512:-1 gene:DRNTG_06711 transcript:DRNTG_06711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDSNCSVGTSELPLKNPMPPLRRSPPSPPNPHIPSAKLISFPNVDVFGKLTTNQLNVLIFQYFQAKGFKHAAFAFENEAKIEKIPIDESTIGNGALPSFVHKGLRYTQLEANIHASDANSFVECYRLEPLDVITNSAHELSKIIKNMKENTMWKRKHIDYSVSNQEQESNKQERKIAVYGGRRRATCNRIV >DRNTG_06711.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:6595157:6597974:-1 gene:DRNTG_06711 transcript:DRNTG_06711.2 gene_biotype:protein_coding transcript_biotype:protein_coding GFKHAAFAFENEAKIEKIPIDESTIGNGALPSFVHKGLRYTQLEANIHASDANSFVECYRLEPLDVITNSAHELSKIIKNMKENTMWKRKHIDYSVSNQEQESNKQERKIAVYGGLQIQ >DRNTG_19798.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1679329:1683886:1 gene:DRNTG_19798 transcript:DRNTG_19798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRPVMSPQVNLSGDPLLNVMIAYGSKTELLLDLIKTMTAESLEAENMFGDTALHVAAANNRLAVATALIKKNPPLIEWRNKKLETPLLKAALSGSVATFRKLVKCDSNIFCRTISGENVLHYAILGNNPELALEIAQEFEHYPQLKYARNSQALTPLQLLVTIPQAFRNSLELGPAESFIYTFIQLNSHDGESKETERNRDEELGESILKNYWVESNAERDKLLKKSVAMSSKKFMQKYQLTWFLFYVAGLIGNYLGASHMRFFIISVLKKMFKRVEELEILKKNHIQTMKLLEYLAKDGMYWDLFSMGSSKQGTVSDDDDYDDDDDDDDVREKKSFFN >DRNTG_12850.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:22669367:22670866:1 gene:DRNTG_12850 transcript:DRNTG_12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPKQPPITKDVTVFLVCRLTTLGIPICLKDMKSFNPRATILGSRSVTPEACTDVAIAGSCPELTSCLGKKKRVGQSTA >DRNTG_02645.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22906501:22912331:1 gene:DRNTG_02645 transcript:DRNTG_02645.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRGKSKSSRSKSRRKNNIHGNKYNAKTRRI >DRNTG_27686.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21694779:21695715:-1 gene:DRNTG_27686 transcript:DRNTG_27686.2 gene_biotype:protein_coding transcript_biotype:protein_coding HSPIRQRRGESFFGDNVGESIQHGSSSQEVAQACEQENLPSREKVWRENIEG >DRNTG_27686.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21694779:21696501:-1 gene:DRNTG_27686 transcript:DRNTG_27686.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSPIRQRRGESFFGDNVGESIQHGSSSQEVAQACEQENLPSREKVWRENIEG >DRNTG_11691.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:365323:367690:1 gene:DRNTG_11691 transcript:DRNTG_11691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKGTVGSPKASITDELFGKKDAAEILGAVLSPPVPAPVASDGGKVGKDSLGFEIASSKKQATEDQAWKAQSLTAEAKPQGGSSSKSQNVPYQDKYCIEATMDTSSLGSSLYYGGPDVCAGFSSQYPPKKEDDDDQENANMASRGNWWQGSLYY >DRNTG_05040.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:175935:179190:-1 gene:DRNTG_05040 transcript:DRNTG_05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 7.1 [Source:Projected from Arabidopsis thaliana (AT5G19640) UniProtKB/Swiss-Prot;Acc:Q3E9B5] MADSELIKEVALVVKEESAINDAIEEKKLISPITEDGSMDRKGNPAIKKKTGQWKSAIILLVNYGLSTLAFFGVGVNLVLFLTRVMQQENAEAANSVSKWTGTVYILSLFGAFLSDSYWGRYFTCAIFQLIFVMGLTLLSLSSWFLLVKPLGCGYALKQCNPPSTIGTILFYLSTYMIAFGNGGYQPSIATFGSDQFDEMDPKESHSKVAFFSYFYLALNAGSLFSNTILVYYEDSGKWVMGFWVSTAAAALALILFLVGSPGYRYFKPSGNPLTRIAQVFVAAIHKFHVKVPF >DRNTG_05040.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:175935:176974:-1 gene:DRNTG_05040 transcript:DRNTG_05040.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 7.1 [Source:Projected from Arabidopsis thaliana (AT5G19640) UniProtKB/Swiss-Prot;Acc:Q3E9B5] MIRFLDKAATMTEEEEDRNKDQSWRLCTVTQVEEVKCVLKMMPIWLCTIIYSVVFTQMASLFVEQGAAMNTNIKGFHIPAASMSVFDIISVLSFITIYRHFLIPLSGKFSKNPEGLTELQRMGVGLVIGMLAMVSAGIVELQRLKMVSKNSVNEPSSMSILWQIPQYALIGASEVFMYVGQLEFFNGQAPDGVKSFGSSLCMASISLGNYVSIMLVTIVMTVTTKDDKRPGWIPGNLNSGHLDRFFFLLAALTSLDFLIFLVCAKWYKGIKLDDGYGEEEEEEEEEEEEEEEEEKGNKV >DRNTG_05040.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:175935:177627:-1 gene:DRNTG_05040 transcript:DRNTG_05040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 7.1 [Source:Projected from Arabidopsis thaliana (AT5G19640) UniProtKB/Swiss-Prot;Acc:Q3E9B5] MTEEEEDRNKDQSWRLCTVTQVEEVKCVLKMMPIWLCTIIYSVVFTQMASLFVEQGAAMNTNIKGFHIPAASMSVFDIISVLSFITIYRHFLIPLSGKFSKNPEGLTELQRMGVGLVIGMLAMVSAGIVELQRLKMVSKNSVNEPSSMSILWQIPQYALIGASEVFMYVGQLEFFNGQAPDGVKSFGSSLCMASISLGNYVSIMLVTIVMTVTTKDDKRPGWIPGNLNSGHLDRFFFLLAALTSLDFLIFLVCAKWYKGIKLDDGYGEEEEEEEEEEEEEEEEEKGNKV >DRNTG_01451.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8198999:8204307:1 gene:DRNTG_01451 transcript:DRNTG_01451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRITGSRIINNLRQLPTDSSFSTSSAMSCACCSFLSEFTSGIRV >DRNTG_17510.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19062258:19066012:1 gene:DRNTG_17510 transcript:DRNTG_17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHADEEARLLLEDGLYENGSKGYTGDGSVDIHGNPVLKHRTGNWRACPFILGNECCERLAYYGISTNLVTYLTKKLHQGNVTAARNVTTWQGTCYLTPLIGAVLADAYWGKYWTIAVFSTIYFVGMGTLTLSASVPAFKPSGCVASVCPEATAVQYTIFFLGLYLIALGTGGIKPCVSSFGADQFDDTDANERIKKGSFFNWFYFSINIGALISSSLLVWVQDNCGWGLGFGIPTLFMGLAIGSFFCGTPLYRFQKPGGSPLTRMCQVVVASVRKWSVPVPLDTSLLYELETSAIEGSRKIEHSDELKFLDKAATLSDSDVKTQSFTNPWRLCTVTQVEELKILVRMFPIWASGILFSAVYAQISTMFVEQGMVMDTSIGSFTIPPASLSTFDVVSVIVWVPIYDRIIVPIARKFTGKERGFSELQRMGIGLFISILSMIAAALIEIWRLQIARAEGLVDKKVAVPISIFWQIPQYFLVGAAEIFTFIGQLEFFYDQSPDAMRSLCSALSLLTNALGNYLSAFILTVVTAITTKGGSIGWIPDNLNEGHLDYFFWLLGGLSFLNLLVYVYCANKYKCKKAA >DRNTG_22955.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9854547:9855419:1 gene:DRNTG_22955 transcript:DRNTG_22955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLVIHLPYEAKVGGPVQYRWMYVFERIICSDEL >DRNTG_07179.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17298441:17302852:-1 gene:DRNTG_07179 transcript:DRNTG_07179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLSDLELFDDESSQSQASEPYLLGFVIANIVGLRYYHGTINRREMVGLVREPLNPHDPNAIKVINVRAAQVGHIERSAAAVLAPLLDSFTISVGAIVPKPPNTPKPYRLPVQIHIFARLAASAFVLDALAGGGLSLISDPNPGFALSEAAIVQEKKPNEATRLDDVFAMVGKNDVGIVPLEPQKDIVLTELLPHQKEALGWLVDREDPRNLPPFWEEKDGGFCFLLTNHQTSDRPEPLRGGILADDMGLGKTLTLLSLIVTNRPSYTAHGSKKPNSRKKAGGSRKKRKLNEEDDQVSGPRTTLVVCPKSVLSSWVTQLEEHIQVGALKVYLYYQERVKDIEELKKYDIVLTTYNTVASEYGSGASTLESIDWLRVVLDEAHVIKNTASKQAKAVFALNAERRWAVTGTPIQNRSSDLYSLILFLRFEPFATKTYWTSLIQLPLHKGKESGMLRLQSLIGTITLRRTKRYML >DRNTG_14230.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:893414:895238:-1 gene:DRNTG_14230 transcript:DRNTG_14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRSCKLMLLPPGGNRESALIIMTECRAAAAEPASEPDLSGWHLIRLAHSFLQSSLYSLRLVFACTIGIFGVQTEDGPIDEMLVNAKEMSANSEASGLCEYKHYSLSFSLDCSAILYDAHVISAYVCKSGK >DRNTG_22304.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19329385:19331429:1 gene:DRNTG_22304 transcript:DRNTG_22304.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNMTTLLIILFLLLLLLVLLLHVNNVNGSEFFHEERDSLIQLRDSLTSISNLHSNWTGPPCHKDQSRWLGITCSDSHVIGIDLQGIELTGTLLSTSFQNITFLTNLSLSNNALHGDLPTLKGLVHLRVVSLSKNRFFGSIPIEYVSLSNISQLELQDNLLNGSIPLFEQQSLVIFNVSYNFLQGKIPQTSVLQRFPSSSFDNNLELCGKPLNKPCNVTSQTQDQDHPVSSSNLAPSNPSASSSSSTKSLEVWSLVLIAIAAVILPILVIICILCYKRRHKKPIKLGYNHMESVSKEQNSKKKTMNVELEFFDKERQMFDLDELLRSSAEMIGKGKLGITYKARMQSGSFLVVKRLKAMNGVTRHEFAHQIQLLGRLKHENLLQIITYYYSNEEKLVIYEHVPNGSLFQLLHGINIHNFLIFRLIILI >DRNTG_22304.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19329385:19331429:1 gene:DRNTG_22304 transcript:DRNTG_22304.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNMTTLLIILFLLLLLLVLLLHVNNVNGSEFFHEERDSLIQLRDSLTSISNLHSNWTGPPCHKDQSRWLGITCSDSHVIGIDLQGIELTGTLLSTSFQNITFLTNLSLSNNALHGDLPTLKGLVHLRVVSLSKNRFFGSIPIEYVSLSNISQLELQDNLLNGSIPLFEQQSLVIFNVSYNFLQGKIPQTSVLQRFPSSSFDNNLELCGKPLNKPCNVTSQTQDQDHPVSSSNLAPSNPSASSSSSTKSLEVWSLVLIAIAAVILPILVIICILCYKRRHKKPIKLGYNHMESVSKEQNSKKKTMNVELEFFDKERQMFDLDELLRSSAEMIGKGKLGITYKARMQSGSFLVVKRLKAMNGVTRHEFAHQIQLLGRLKHENLLQIITYYYSNEEKLVIYEHVPNGSLFQLLHGHEGEGKVHLNWEARKHIIKGIARGMVYLHQSLPNDEVPHGNLKSSNVLIDHSNRYPYFSPKLTDFGMQLLVGKAYTRKLAVGKSPEVSKGDIRDQLTVKADVFCFGIVLLEMITGKVLSENEEEVFEWLKMISDNKWSTEILDSELVVEKGKHMEMFKLVGIGLECVAMEPEKRPLMSEVVRNMEEKDEEITMWM >DRNTG_27346.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17032138:17033002:1 gene:DRNTG_27346 transcript:DRNTG_27346.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGHSWEFNSQGKKEGRKERKTKNKVSPTSITNKEPSFHRPHPHLLGNGPGGPKFMVKVQRLMFSSQIHLAVREEFSFPQMPLCNPPQVLSPSLSFSLFLNTPNCLLCFHVPCLYGPCLCLYLGQGQTSQEIEREKVRKGRFLPKFSLLSLSIYLSIYLYTHFYFSPLSLLSLSIYLFGPFSVHCLSSTLVLPPL >DRNTG_32388.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30294379:30295459:-1 gene:DRNTG_32388 transcript:DRNTG_32388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYDANLLAILSEIISAMFCEVMLGNPEYTDHLIHKLKHSPGQIEAAAIMEHILEGSSYMKINQLLQNPKKDRYALRTSPQWVGPQIEVIRAATKSIEREINSVNDNPLIDVSRNKVLFGGNFQGTPIGVSMDNTRLALAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGLKGGEIAMASYCSELQALANPVTNHVESAEQHNQDVNSLGLISARKTAEAIEILKLMSSTFLVGLCQAIDLRHLEENFKKTIMSTVSQVAKKVGVANEEKDLIKVINKEHVFSYIDDPCNNSYPLMQKLRQVLVEHAIKNISTWENEKIVLFEEELKLVLPKEAEST >DRNTG_28015.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22935101:22936181:1 gene:DRNTG_28015 transcript:DRNTG_28015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKNSAFAALQTGQRKRARDNETSKLSQPGSSQVLTLGASPPPKGLKFPTSKGANLVDSEVVKASSKGSDPNPDAPQGLNLDVFKGYNLDTCKGSNPPNKGNNDASKGSNPLLSSANLVVSRRKRRELRSESLLAVNLTFPPMEPPQAIHRVHKDHADLRSTLKGEPFRFYPDLVDTDSITRLGVASRLIYSSILPRDEECYFADMLENIDVAERLLVEAAQCSSLAFGLMRSLNQRVEDSNIHQKKLQLTVEDLRKHNKEITTHLQT >DRNTG_27948.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14209282:14209662:1 gene:DRNTG_27948 transcript:DRNTG_27948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGRLRELMKKYGKVAIGVHFSVSAASITGLYVAIRNNVDVEAVFQKVGLSSPSPPPSLDSDPTSTSDDRPRNRTAELAASSGGALAVAILLNKALLPVRVPITIALTPPISRFLRKRNLLKNH >DRNTG_04277.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9090643:9104166:-1 gene:DRNTG_04277 transcript:DRNTG_04277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMLQLLISQNQAPSQINQSGHDEDVDPTPPT >DRNTG_35309.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22745859:22746873:-1 gene:DRNTG_35309 transcript:DRNTG_35309.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVPLVVQKITDTAKELIEMGAVHIVVPGNFPIGCMPSYLATFGQLVGKNAGAFDELKCLKSLNIFSMFHNERLQASLAELRHVYPDVQIMYADYYHAFLHILENAPLYGFNENSLRSACCGAGGEYNFDATKICGAPGTSTCKNPKDHISWDGIHLTQEAYKVMTQALINGGFTYPNYGVNKLWKC >DRNTG_35309.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22745859:22748185:-1 gene:DRNTG_35309 transcript:DRNTG_35309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHKLFSTIIALLLVFGVHGGVANPNDCYINAIYSLGDSIADTGNLLHLGVSGSFNVIGSFPYGQTIHKATGRCSDGLLMIDFLANNLNLPLLNPYLDKEANFEHGANFAVAGATALDTSVLAQRGIHMGFTNASLSVQLDWLKTHLNSTCSSKEACQKKLERTLFTLGEIGGNDYNYAFFGAKSIDQLMNLVPLVVQKITDTAKELIEMGAVHIVVPGNFPIGCMPSYLATFGQLVGKNAGAFDELKCLKSLNIFSMFHNERLQASLAELRHVYPDVQIMYADYYHAFLHILENAPLYGFNENSLRSACCGAGGEYNFDATKICGAPGTSTCKNPKDHISWDGIHLTQEAYKVMTQALINGGFTYPNYGVNKLWKC >DRNTG_04597.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5001193:5004326:1 gene:DRNTG_04597 transcript:DRNTG_04597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLSSSVATYSAPDLIAVIVLGVLGGLLGSLYNFFLDKILRSYSVINEKGAPFKIILTITISLLTSCCAYGLPWLAKCTPCPANIQEECPTIGRSGNFKNFQCSPGHYNDLASLFLNTNDDAIRNLFSKGTYDEFYISTLFVFFGAVYFLGLVTYGIAVPSGLFIPVILAGATYGRIVGTLLGPISDLDTGLFALLGAASFLGGTMRMTVSVCVILLELTNDLLMLPLVMLVLLISKTVADSFNKGVYDQIVKMKGLPFMEAHAEPYMRNLVAGDVVSGPLITFSGVEKVGNIVHALRLTGHNAFPVVNEPPFSDAPELCGLVLRSHLLVLLKAEEVYKRKGGYWSDRGFAEV >DRNTG_25466.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1570673:1571205:1 gene:DRNTG_25466 transcript:DRNTG_25466.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFRKMALESNAYSDEYSGITSEYGLNPSSSSSEGFSSELNPAGPPRHRPQPSH >DRNTG_25466.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1569423:1569512:1 gene:DRNTG_25466 transcript:DRNTG_25466.6 gene_biotype:protein_coding transcript_biotype:protein_coding GQDLPVMDWPTRVKIALGSAKGLAYLHEDC >DRNTG_25466.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1567724:1571205:1 gene:DRNTG_25466 transcript:DRNTG_25466.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYEYVPNKTLEHHLHGQDLPVMDWPTRVKIALGSAKGLAYLHEDCHPRIIHRDIKSANILLDYKFEAMVADFGLAKLSSDNHTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGIMLLELITGRRPVDTSNTAMEDSLVDWARPIMTQALTDGNFEELVDPRLENNFNTMEMTRVVACAAASVRHSARRRPKMSQIVRALEGDSSLDDLTDGVKPGQSTYFSSGSEYDSGSYASNMNRFRKMALESNAYSDEYSGITSEYGLNPSSSSSEGFSSELNPAGPPRHRPQPSH >DRNTG_25466.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1569913:1570062:1 gene:DRNTG_25466 transcript:DRNTG_25466.5 gene_biotype:protein_coding transcript_biotype:protein_coding YLAPEYASSGKLTEKSDVFSFGIMLLELITGRRPVDTSNTAMEDSLVDW >DRNTG_25466.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1569423:1571205:1 gene:DRNTG_25466 transcript:DRNTG_25466.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWPTRVKIALGSAKGLAYLHEDCHPRIIHRDIKSANILLDYKFEAMVADFGLAKLSSDNHTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGIMLLELITGRRPVDTSNTAMEDSLVDWARPIMTQALTDGNFEELVDPRLENNFNTMEMTRVVACAAASVRHSARRRPKMSQIVRALEGDSSLDDLTDGVKPGQSTYFSSGSEYDSGSYASNMNRFRKMALESNAYSDEYSGITSEYGLNPSSSSSEGFSSELNPAGPPRHRPQPSH >DRNTG_06518.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16311736:16313933:1 gene:DRNTG_06518 transcript:DRNTG_06518.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQPRTTSISSSAPLVSRSSPKLGPLTDQPHLTRLGPTGCKASDLRSSEKYHQQTSRKQ >DRNTG_28041.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26165711:26167986:1 gene:DRNTG_28041 transcript:DRNTG_28041.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g37310 [Source:Projected from Arabidopsis thaliana (AT2G37310) UniProtKB/Swiss-Prot;Acc:Q9ZUT5] MLLGYALHGAYHLHALNLFSSLVGSSLCPDAISVSAVLKSLSSCLISSLPWLAVDAIHCFIVRRGFDADLFVSNGLITVYSKFDDLVSARKVFDEMPMRDIISWNSIISGYSQAGYHDECLRLYSEMKHGLDGLVPNGITVASVLHSCAQIKDLAFGMDVHQFAVEKDVEMDLVVWNSIVGFYAKCGSLDYARRLFEGMPMRDGVSYSAMITGYMNYGVVDQAMILFQRIPNPVLSVWNAVISGLSQNNRHPDVLAMLHEMQNSGFQPNSVTLSSVLPALSFYSTLLGSLSLANRVFDGNGAGSVIVWTAIISAHAAHGDADAALSLFSKMLDACVQPDAVTITAVLTACAHAGAVNEALEIFNHMLPEYGASPGLEHHACIVGVLSRAGQLQEAFKFISRMQLEPNAKVWGALLNGAAVFGDVDLGEFAFNQLFEIEPENTGNYIVMANLYSKSGRWKEAKVVREKMRDVGLTKIPGCSWIEMNDGLHVFVARDTSNSHSKELYVLMEGLVGLMRGEGYVIQDDFVLEGSIYC >DRNTG_28041.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26165711:26171487:1 gene:DRNTG_28041 transcript:DRNTG_28041.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g37310 [Source:Projected from Arabidopsis thaliana (AT2G37310) UniProtKB/Swiss-Prot;Acc:Q9ZUT5] MLLGYALHGAYHLHALNLFSSLVGSSLCPDAISVSAVLKSLSSCLISSLPWLAVDAIHCFIVRRGFDADLFVSNGLITVYSKFDDLVSARKVFDEMPMRDIISWNSIISGYSQAGYHDECLRLYSEMKHGLDGLVPNGITVASVLHSCAQIKDLAFGMDVHQFAVEKDVEMDLVVWNSIVGFYAKCGSLDYARRLFEGMPMRDGVSYSAMITGYMNYGVVDQAMILFQRIPNPVLSVWNAVISGLSQNNRHPDVLAMLHEMQNSGFQPNSVTLSSVLPALSFYSTLLGSLSLANRVFDGNGAGSVIVWTAIISAHAAHGDADAALSLFSKMLDACVQPDAVTITAVLTACAHAGAVNEALEIFNHMLPEYGASPGLEHHACIVGVLSRAGQLQEAFKFISRMQLEPNAKVWGALLNGAAVFGDVDLGEFAFNQLFEIEPENTGNYIVMANLYSKSGRWKEAKVVREKMRDVGLTKIPGCSWIEMNDGLHVFVARDTSNSHSKELYVLMEGLVGLMRGEGYVIQDDFVLEGSIYC >DRNTG_28041.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26165711:26169044:1 gene:DRNTG_28041 transcript:DRNTG_28041.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g37310 [Source:Projected from Arabidopsis thaliana (AT2G37310) UniProtKB/Swiss-Prot;Acc:Q9ZUT5] MLLGYALHGAYHLHALNLFSSLVGSSLCPDAISVSAVLKSLSSCLISSLPWLAVDAIHCFIVRRGFDADLFVSNGLITVYSKFDDLVSARKVFDEMPMRDIISWNSIISGYSQAGYHDECLRLYSEMKHGLDGLVPNGITVASVLHSCAQIKDLAFGMDVHQFAVEKDVEMDLVVWNSIVGFYAKCGSLDYARRLFEGMPMRDGVSYSAMITGYMNYGVVDQAMILFQRIPNPVLSVWNAVISGLSQNNRHPDVLAMLHEMQNSGFQPNSVTLSSVLPALSFYSTLLGSLSLANRVFDGNGAGSVIVWTAIISAHAAHGDADAALSLFSKMLDACVQPDAVTITAVLTACAHAGAVNEALEIFNHMLPEYGASPGLEHHACIVGVLSRAGQLQEAFKFISRMQLEPNAKVWGALLNGAAVFGDVDLGEFAFNQLFEIEPENTGNYIVMANLYSKSGRWKEAKVVREKMRDVGLTKIPGCSWIEMNDGLHVFVARDTSNSHSKELYVLMEGLVGLMRGEGYVIQDDFVLEGSIYC >DRNTG_29579.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18213184:18215000:-1 gene:DRNTG_29579 transcript:DRNTG_29579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFTFYKAQGHQVGSSLVEEDKLDLAASLIEKAKAKGVSLLLPTDVVIADKFAADANSKIVPASAIPDGWMGLDIGPDSTKTFNEALDTTETIIWNGPMGVFEFEKFAAGTEAIAKKLAELSGKGVTTIIGGGDSVAAVEKVGLADKMSHISTGGGASLELLEGKPLPGVLALDDA >DRNTG_01504.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000082.1:18933:21388:-1 gene:DRNTG_01504 transcript:DRNTG_01504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLTSNSEAQGPALVQGHRHSEGHVAGTWCPHTIQAGLVGERACRVVLDGSDISSYDLLLWYVDKVAETNPGSVAIVERDGDRFKREFFSFSACIVGFKRACRPLLLLDSTHLLGKYRGTLLGATGKDGNNAFFHVAFNIVDNETDTNWTWFISKLGDALYKEGDYNDIITFVSERLGKALREECWSICFSIAWASTAKEFDDTVNELQATSPEAHHWLINKSDMAH >DRNTG_27467.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26393708:26394097:-1 gene:DRNTG_27467 transcript:DRNTG_27467.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:XRI1 [Source:Projected from Arabidopsis thaliana (AT5G48720) UniProtKB/TrEMBL;Acc:A0A178UAQ4] MKLTTSIAYPFALIKPCGVQGDITLKDINQRIHAPPPSKSKNTNDEDTSMSYPTSTFSGKPVVVKTKIRTDGGKGSITIMRTKG >DRNTG_27467.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26393708:26396047:-1 gene:DRNTG_27467 transcript:DRNTG_27467.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:XRI1 [Source:Projected from Arabidopsis thaliana (AT5G48720) UniProtKB/TrEMBL;Acc:A0A178UAQ4] MELDDNGNKNDGMCGSAGEEYSLQEISGLDVSHYLLDDVNQNEDSFLCMLGENTPIKDCTGFSCDMMNIGNDTDKATEESRETSPSQLKRRRMLQFPSDSSELVSVNAQESSYYNSKVREDPLAEDVLLDNMDWNSQCNSEYSGDRCSNGDGGFNLSMDGWLEDCLNESGMPHNSDVMDHSVAHEDQATVSEFYNTLEMGNNVEKAPTPTPTTCRIFRGQKSFISSPMKLTTSIAYPFALIKPCGVQGDITLKDINQRIHAPPPSKSKNTNDEDTSMSYPTSTFSGKPVVVKTKIRTDGGKGSITIMRTKG >DRNTG_03737.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18237081:18240973:-1 gene:DRNTG_03737 transcript:DRNTG_03737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTSRVIWKKVIGRAFVGIDPPRWKVPNHNGALDTKITSMLHSVASATKPSGSSSLRTFSLLWPQRTRGIENLSKTLANAPRNPSRSLPSSWGKDGDKNTKIGAGSALNRARIGRLHGCGCSTRPCGISTRPCGLSVSLVSLPAINSAATVHSIIATLLCYSTSPEWMCPLEYEEVGTHSSISHLTYVFAFEP >DRNTG_15521.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8758763:8766594:-1 gene:DRNTG_15521 transcript:DRNTG_15521.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRERSTVFVGGKGSSFSAAAVYAVSRGLSKVAIDPAVLEKLSQLKKYPLKPLPYACVVHGSFLTFDESRAALSVLLNKFIVCESPVRPVIPLLIQETLDHAAGFETLDFGSSLGFLTSLCRLNGKKLDEIGVTADEIGMIENSCAASIAICAILDCCASDLVRVSDAVAALSSEVVRADVGLFDLSVSGDGFSMKDETDVAADMKVFLFGSKLAGKVDSAPFSDIPAVHGSFREAIRLLHGRTRIELNASIKGKKVLVSGINGKEKAFVASVLPLAMAIQSMSEASYGRAELVVASIVGEDLRSKVGDVFQKECPFIDALRNDFNSITSASSTGSNCVLVLHRVYDMLIKFREILAWESALALLAIEVDESVEKTPVVSLESSKGEKKSEKKKKKTLGKGTSIVRQLLKDRLSPDASVENVMNLVRVVHDLAGSFDPKDSELDTLLRKLKEIVESNEVRRLPKIPKGTRDFGKEQMAIRERAFSVIVGVFKKHGAVALDTPVFELRETLMGKYGEDSKLIYDLADQGGELCSLRYDLTVPFARYLAMNNISALKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGQYEPMEPDFEVVRVLTELLNELSIGDYEIKLNHRKLLDGMLEICGVPSEKFRTVCSSIDKLDKQTFEHVKKELVVDKGLTSETAERIGAFVKKRGRPLEILSELTSDGSQFLGNSASIVALDELKILCTALDKSKCLNKVVFDLSLARGLDYYTGVIFEAVFKGTTQVGSIAAGGRYDNLVGMFSGKVVPAVGVSLGIERVFTIMEQLEKDRNQVIRATETQVLVAILGKDLTLAAELVSELWDAKIKAEFGLTKRVMNHITRAKQSGIPWMVIVGESELQGGVVKLKNIEASEEEVIRRDVIVEELQRRLGMYSKPNHSETGLNFRLADIK >DRNTG_15521.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8758763:8766594:-1 gene:DRNTG_15521 transcript:DRNTG_15521.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRERSTVFVGGKGSSFSAAAVYAVSRGLSKVAIDPAVLEKLSQLKKYPLKPLPYACVVHGSFLTFDESRAALSVLLNKFIVCESPVRPVIPLLIQETLDHAAGFETLDFGSSLGFLTSLCRLNGKKLDEIGVTADEIGMIENSCAASIAICAILDCCASDLVRVSDAVAALSSEVVRADVGLFDLSVSGDGFSMKDETDVAADMKVFLFGSKLAGKVDSAPFSDIPAVHGSFREAIRLLHGRTRIELNASIKGKKVLVSGINGKEKAFVASVLPLAMAIQSMSEASYGRAELVVASIVGEDLRSKVGDVFQKECPFIDALRNDFNSITSASSTGSNCVLVLHRVYDMLIKFREILAWESALALLAIEVDESVEKTPVVSLESSKGEKKSEKKKKKTLGKGTSIVRQLLKDRLSPDASVENVMNLVRVVHDLAGSFDPKDSELDTLLRKLKEIVESNEVRRLPKIPKGTRDFGKEQMAIRERAFSVIVGVFKKHGAVALDTPVFELRETLMGKYGEDSKLIYDLADQGGELCSLRYDLTVPFARYLAMNNISALKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGQYEPMEPDFEVVRVLTELLNELSIGDYEIKLNHRKLLDGMLEICGVPSEKFRTVCSSIDKLDKQTFEHVKKELLRELVLL >DRNTG_25820.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1032084:1033019:1 gene:DRNTG_25820 transcript:DRNTG_25820.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G72360) UniProtKB/TrEMBL;Acc:F4IDA7] MCGGSLITELQDLDADRRRYVVTPEHKPQGCEGEKTEKPLEEEEEIKGNEGKRRKNAYRGIRRRPWGKWAAEIRDPRKGSRVWLGTYATAEDAARAYDAAAREIRGSKAKLNFPTDEAPIEKKPRAGTSSEEPAVAAPATTSPEEALRERIAGLEELLGLEHEIPGFDLGAWNAEKGCWASFE >DRNTG_25820.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1032084:1032956:1 gene:DRNTG_25820 transcript:DRNTG_25820.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G72360) UniProtKB/TrEMBL;Acc:F4IDA7] MCGGSLITELQDLDADRRRYVVTPEHKPQGCEGEKTEKPLEEEEEIKGNEGKRRKNAYRGIRRRPWGKWAAEIRDPRKGSRVWLGTYATAEDAARAYDAAAREIRGSKAKLNFPTDEAPIEKKPRAGTSSEEPAVAAPATTSPEEALRERIAGLEELLGLEHEIPGFDLGAWNAEKGCWASFE >DRNTG_25820.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1032029:1032836:1 gene:DRNTG_25820 transcript:DRNTG_25820.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G72360) UniProtKB/TrEMBL;Acc:F4IDA7] MCGGSLITELQDLDADRRRYVVTPEHKPQGCEGEKTEKPLEEEEEIKGNEGKRRKNAYRGIRRRPWGKWAAEIRDPRKGSRVWLGTYATAEDAARAYDAAAREIRGSKAKLNFPTDEAPIEKKPRAGTSSEEPAVAAPATTSPEEALRERIAGLEELLGLEHEIPGFDLGAWNAEKGCWASFE >DRNTG_25820.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1032029:1033019:1 gene:DRNTG_25820 transcript:DRNTG_25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G72360) UniProtKB/TrEMBL;Acc:F4IDA7] MCGGSLITELQDLDADRRRYVVTPEHKPQGCEGEKTEKPLEEEEEIKGNEGKRRKNAYRGIRRRPWGKWAAEIRDPRKGSRVWLGTYATAEDAARAYDAAAREIRGSKAKLNFPTDEAPIEKKPRAGTSSEEPAVAAPATTSPEEALRERIAGLEELLGLEHEIPGFDLGAWNAEKGCWASFE >DRNTG_25820.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1032084:1032836:1 gene:DRNTG_25820 transcript:DRNTG_25820.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G72360) UniProtKB/TrEMBL;Acc:F4IDA7] MCGGSLITELQDLDADRRRYVVTPEHKPQGCEGEKTEKPLEEEEEIKGNEGKRRKNAYRGIRRRPWGKWAAEIRDPRKGSRVWLGTYATAEDAARAYDAAAREIRGSKAKLNFPTDEAPIEKKPRAGTSSEEPAVAAPATTSPEEALRERIAGLEELLGLEHEIPGFDLGAWNAEKGCWASFE >DRNTG_25820.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1032029:1032956:1 gene:DRNTG_25820 transcript:DRNTG_25820.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G72360) UniProtKB/TrEMBL;Acc:F4IDA7] MCGGSLITELQDLDADRRRYVVTPEHKPQGCEGEKTEKPLEEEEEIKGNEGKRRKNAYRGIRRRPWGKWAAEIRDPRKGSRVWLGTYATAEDAARAYDAAAREIRGSKAKLNFPTDEAPIEKKPRAGTSSEEPAVAAPATTSPEEALRERIAGLEELLGLEHEIPGFDLGAWNAEKGCWASFE >DRNTG_26587.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20092077:20095396:-1 gene:DRNTG_26587 transcript:DRNTG_26587.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPYKSAKSGRLIFKGGDLAAVKSIDKKKKKKKSKKHEDGDAGEEKNVQSFNDGGVVAEGGPSDLYTIDAAKKMKYEELFPVETMKFGYDPANKSRSGARSVEEALDDRVKKKADRYCK >DRNTG_11571.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8047451:8050066:1 gene:DRNTG_11571 transcript:DRNTG_11571.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PIN-LIKES 2 [Source:Projected from Arabidopsis thaliana (AT1G71090) UniProtKB/Swiss-Prot;Acc:Q9C999] MDNEMRTRGEDLLSAVVPLMKLLCLTVIGLVLGHPRIQIVPRATFRLLSKLVFALFLPCLIFVQLGQSITFEEALEWWFIPVNVLISTAIGCTLGYIVAIVCRPPPQFFRFTVIMTGFGNTGNLPIAIVGSVCHSPDNLFGPSCHRRGVAYVSFAQWVAVILVYTFVYHMMEPPMAYYEIVPEEVEIQEEPVTPSNFSRPLLHEAEWPGMADKETEHSKRPFIARVFMSISGSSQNTFPDIDLTEEGGATTSCHSPKSIHCLAEPKVVRRMRIVAEETPIKHILQPPTLASLLAIIVGMVPIFRDFMFGSDAPLAVLTDSLEILAGAVVPSVMLILGGMLADGPNDSSLGLRTIIGITVTRLLVLPLVGIGVVALADKLHLLGEADQMFRFVLLLQYTMPSAILLGAIASLRGYAVREASALLFWQHICAIIPLSIYVFVYFKLLSYV >DRNTG_14391.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:531040:534508:-1 gene:DRNTG_14391 transcript:DRNTG_14391.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHVPRSEESHNADSPRGLEPWLPHQI >DRNTG_14391.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:530638:537595:-1 gene:DRNTG_14391 transcript:DRNTG_14391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRPRSRDSFLPATAEELLASAADLNATSSGKSKLKTLISSLTPSSPLLPTLPPSLHLAISQSLSSLKVSSNETLDPLKELRSYAYVAHLCCFHPKKRFASEDLFAAVRLLHDNLVLFELDSVLLSLVAGLCEYWWKEGLPGKESLISQSLPFLISKSLTQGKKVDIRRVYALRDAFVLFDYEDESIEDMRLLLIRCVITPVYLKTEEGRKFISFVFGLNGRLLKEALALIRSQIPFGRKSVLEAYADILFRSWKGLQGDLKGEMEDGFLQGLIEGAIHGSSRSFAASVRRVLGVFIQQRAVDGVEKLLFRLAEPLLFRSLQVSNSNVRQNALLLLLDLFPLEDPDVTKEAKDSLLDKQFYLLDKLILDDCPDVRAVAVEGSCRVLHLFWEVIPSSTITNILKKITNDLSHDTCTEVRLSTVKGVIYLLDNPQSHEIMKVLLPRMGGMFTDHAVSVRVAVADLLLAVRDIRSIQFHKVVSLDTLLSALENDHPNVAQKITRLLIPSYFPLRVSHKEACGRCVALIKRSPKAGARFCEFVFSEGSSLKSLIELLKVFSGLAVCTKDLDPDQVDGFFVASANICRSLLTEPSGKATLCEIFSGNKLKCLFAAAGSPSAKNAVLAIASVVSPDILEEFRGQFMTLVTDCVGLSENLELQSVVQTAHKLILSFGWFEELFESLANILHSIASGYAIKFGLEVPQQGVQTGKKKRVKLSMKSPLTTSNSSVRGSKVSQSNMSNAKEDFAIAAAAAWQVIVLLKNVDTQMAILRSQSLQNTFFALRIISQVGIEQCMQWESLDASPIMAYTSFAIHISCQNVKSVGTEISQ >DRNTG_14391.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:536576:537595:-1 gene:DRNTG_14391 transcript:DRNTG_14391.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRPRSRDSFLPATAEELLASAADLNATSSGKSKLKTLISSLTPSSPLLPTLPPSLHLAISQSLSSLKVSSNETLDPLKELRSYAYVAHLCCFHPKKRFASEDLFAAVRLLHDNLVLFELDSVLLSLVAGLCEYWWKEGLPGKESLISQSLPFLISKSLTQGKKVDIRRVYALRDAFVLFDYEDESIEDMRLLLIRCVITPVYLKTEEGRKFISFVFGLNGRLLKEALALIRSQIPFGRKSVLEAYADILFRSWKGLQGDLKGEMEDGFLQGLIEGAIHGSSRSFAASVRRVLGVFIQQRAVDGVEKLLFRLAEPLLFRSLQV >DRNTG_08768.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18455507:18459429:-1 gene:DRNTG_08768 transcript:DRNTG_08768.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIEKIRGAGEGDGRVVFSFEFFPPKTEEGVENLFERMDRMVAHNPSFCDITWGAGGSTADLTLDIANKMQNTICVETMMHLTCTNMPVEKIDYALGTIKSNGIQNVLALRGDPPHGQDKFVQVEGGFACALDLVKHIRQTYGDYFGITVAGYPEAHPDMIQSDGFASQEAYANDLAYLKRKVDAGADLIVTQLFYDTDIFLKFVNDCRQIGITCPIVPGIMPINNYKGFLRMTGFCKTRVPADIKAALEPIKDNEDAVKAYGIHLGTEMCKKILAHGIKTLHLYTLNMEKSALAILMNLGLIEESKISRSLPWRRPTNVFRAKEDVRPIFWANRPKSYISRTHGWEQYPHGRWGDSKNPSYGALTDYQFMRPRSRDKKLQEEWATPLKCIEDIYEKFMNYCLGKLKSSPWSELDSLQPETKIINEQLGQINLKGFITINSQPAVNGEKSDSLTVGWGGPGGYVYQKAYLEFFCSKDKLDLLVEKCKALPSVTYIAVNKEGQCVSNVGPNTVNAVTWGVFPGKEIIQPTIVDLDSFIVWKDEAFEIWTCVWGCLFPEGDSARGLLEQVQRSYFLVSLVDNDYIHGDLFAAFKDI >DRNTG_18632.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6343302:6344342:1 gene:DRNTG_18632 transcript:DRNTG_18632.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLGQGRKRMHDDDLDGEEDVSTSSNQPHEKMSDDISHPSEVSAT >DRNTG_31419.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:622083:639615:-1 gene:DRNTG_31419 transcript:DRNTG_31419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCRCHPCKTSATRPSTRIQSPSP >DRNTG_05150.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30709691:30716330:-1 gene:DRNTG_05150 transcript:DRNTG_05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGDRLTTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDILWEFDPKKLAVGDLLTGGDLYATVFENTLMQHHVALPPGSMGKISYIAPPGQYSLKDTVLELEFQGVKKEFTMLQTWPVRTPRPVATKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDTVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPDRTGSVTIVGAVSPPGGDFSDPVTSATLGIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSKALESFYEKFDPDFIDIRTKAREVLQREDDLNEIVQLVGKDALAETDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFNALANQAVERGAGSDGQKITYSVIKHRLGDLFYRLVSQKFEDPAEGEEALVAKFKKLYEDLTVGFRILEDESR >DRNTG_12973.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30524063:30524638:-1 gene:DRNTG_12973 transcript:DRNTG_12973.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFKSGFVSFLVLLFFLSFNSVLLVHGQLLPIVSDYGENTSQIQTYIVHVLKPERSNFLGAEDLENWHKSFLPNTTLDTGEPRMLYSYKEAISGFAARLTPEEVRGMEKMNGFLRANPSKRLQLQTTYTHNLLNLSTLFGAWSTSNSFFGEGIIIGVLDTGIHFPHPSFADTGMPPRPRGWNA >DRNTG_09600.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000402.1:7207:7853:-1 gene:DRNTG_09600 transcript:DRNTG_09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKHLVLVKFKEGIVVEELLEGMKKLATEIDAVKGFEWGEDVGSEQMMSQGFTHAFILTFNCAEDFAAYSNHPSHVAFAGPFAAAIDKILLFQFPPVVIKPSA >DRNTG_16793.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25388098:25388486:1 gene:DRNTG_16793 transcript:DRNTG_16793.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTIEEASDPRRERVPVCLTPMKHCTQPAEEPEKQRIHTGVWKLSTLVWKFRTGAWIVHAHVAPILVFFSPFFPQLERGLRLEFRGVLAKVLERFYGSDIVIP >DRNTG_13243.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2947129:2952036:-1 gene:DRNTG_13243 transcript:DRNTG_13243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYAPANGGNGSFTPEDPFSLSALMNFDFTELCSPSASADQAFNSFWASFASTNASSAAMPAVESPVNSAVSRTQKIDFQFGCSSNSPEVMNLGMDRSGGCLESDGDPISKSRSDIVPRCLPNFCLSEKMLKALSFLKESSSGGILAQVWMPIKHGDDFVLSTSEQPYLLDKILAGYREVSRTYTFSAREAPGQLLGLPGRVFMTEMPEWTSNVVYYKKFEYLRVDHAVNHSVRGLLAVPVFDPTKRSCSAVLELVSTNEKPDFDAEMDTVCHALMAVDLRTVKVQRSLKTHQQNLSKSQKCAFAEIFDVLRAVCYAHMLPLALTWIPYSCDDANMDSCSRTSCEDENSGFRKKSMLCVQSSACYVNDTHLEGFLHVCADQHLERGQGIAGKALLSNHPFFSPDVKGYDIRDYPLAHHARKYGLQAAVAIRLRSTYTGNDDYILEFFLPVNCGGSAEQQLLLNNLSRTMQRICRSLRTVSDAEIVEADGLGKGKESGPSSSSADVSSKNLRQIDSDTELTNFEIQNMGSDEWGEDGPHDQMKPVPVRQLEKKRSTAEKNISLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQNVINSVQGVEGALKYDPATGSLVAAVSPSKKPSSLSVGSTDQDLMPVSFTHHIDSEQIHGKMEHNRYFSGNSPGQLDHLLEQKSKPDDACVPLLNCFPEHKPIPFNGEVAQQGKVNLTTSWGSYTKNDPRGSDSAKMRNNRSADRKSGLSLEVRDLSRSSVSLAAADEMAMKIDVNHSLKERNHQSSSGMTDSTSDSASSCRSFKKKSKRKPVSNGIGVAITMKATYKEDTVRFKFSQSMGYHYLLDEIGKRFKLVIGTFQLKYMDDEDEWVMLSNDSDLQECAEVLESMGSNSVKLLVRDISHAVGSSTSSNCLLTET >DRNTG_29367.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1335208:1339436:1 gene:DRNTG_29367 transcript:DRNTG_29367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPISSNPRTIDEIFKDFSSRRTAIIRALTVEVEDFYALCSPEKDNLCLYGHSNQMWEVNLPADEVPPEMPEPALGINFARDGMDRKDWLSLVAVHSDSWLLSVAFYFAFRFSGNDRKRLFSMINDLPTVFEVVSDRKAPKDKSGLDSGSKSKQSVKRTSEGQVKSNSKPADDVYGEDDDEHSETLCGTCGGNYTTNEFWIGCDVCERWFHGKCVKITPAKADSIKQYKCPSCSSKRGRQ >DRNTG_10675.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000449.1:4298:4829:1 gene:DRNTG_10675 transcript:DRNTG_10675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSLKTRLREDSCFMVHLTRGGMSDGMDGKKGLLKNVPNWNLKASETGSETASRALTPRIQQLDLKRKIRRKPLKKEKLNRTRNRKQSRKPILTRKLKRRESEPAIAERKGEGKLKSRSYRTTHD >DRNTG_32152.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001790.1:10979:11720:1 gene:DRNTG_32152 transcript:DRNTG_32152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRMILQSMGVEKHMDSFTVESNCGLRLSKYWISPDQCVKSGMGSHTDVSFLTIVCQHEVQGLEVQTTEDSWITVMPLPTTFTVMLGDALEAWTNGRLKAPVHRVTITSKETRYSVLFGSRPRDVGFVQTPEELVDESHPLLFRPYNYSEYLKFRFSEEGTKAKNALKAFCGVGEVEKE >DRNTG_07635.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000344.1:85482:86269:-1 gene:DRNTG_07635 transcript:DRNTG_07635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREERKGKNKRFGPTKKTQIPSKPRPRKPHTAM >DRNTG_31647.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:876615:878222:1 gene:DRNTG_31647 transcript:DRNTG_31647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKEAGCQAPEGPIHCVNKCGFFGSPATMNMCSKCHKDHVLKQEQAKLAAASIDSIVNDGNSGGSSSNVTEPVVTDVVDGATSLVESKAVLAQPSDVLVANKDAEVKVKEGPNRCNACKKRVGLTGFNCRCGNIFCAVHRYSDKHSCPFDYRMAARDAIAKANPVVKAEKLEKI >DRNTG_19675.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3819146:3820032:1 gene:DRNTG_19675 transcript:DRNTG_19675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLQTPLHFGQAQSSKSRYLFRLFSPYLSPSSSWAPHQGKQSTGNDISGDQVGDGNNWRQRR >DRNTG_23442.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:828605:846539:-1 gene:DRNTG_23442 transcript:DRNTG_23442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARSGAALGNHGRRDWRWFSGAAKRRNASEGFREGMATKQPQTVYFSLVFLFCHLLLRRCDGQQAYLNNDQLDCNKNGSNTLGYQCNGAAKSCDSYLIFRSQPPYQSPVQIASLFSSNTSAISAINDIPENSSALDGSQLIVPIPCSCSSSYYQHNVSYTLKPQDLYFSVANNTYQGLSTCQALIAQNPSLPATSLNPGDIITVPLRCACPTRSQINQGVRFLLTYTPVFGEDVPTLASRFNVTADSIVNANTLPTGDTIFPYTSLLIPLPASPSKAQTITPPPPPATPPPPPPPPTPASGGGGDGSSDNKGLYIGVSIGAAAILILCGVVIWFVCRGRRRRSEPSSFQDSKEGSAGHGVLSTKSSTAPGIGGIGVSDEIRIAIESLTVYKFRELNEATGSFREEHKIKGSVYRGVINGDEAAIKQLKGDVSNEITILKQINHSNVIRLSGFCVHEGNTFLVYEFARNGSLADWLHHSNKDKDDNSKYPPSDPCSCLSWKQRVQIACGVADGLSYLHNYANPQYVHKDLRSSNILLDGEFTAKIANFGLARSVERREALQLTRHVTGTQGYMAPEYLEHGLISPKLDVFSFGVVLLEILSGKEAVSLREKEGGEEKEQVLLSARIGPMLSAENVQSELRDFIDPCLADEYPFDLAYAMAQLAMRCVARDPSSRPDMTEVLVTLSAIYHSTLDWDP >DRNTG_23442.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:842521:844427:-1 gene:DRNTG_23442 transcript:DRNTG_23442.7 gene_biotype:protein_coding transcript_biotype:protein_coding PLFFFLFLLFITSPLKILCCNCRVASNPHTINRIKDFATRLNLHLICLVETKADVSRTQLLQNFPKEMGMGCQPCVKSLK >DRNTG_23442.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:828605:831297:-1 gene:DRNTG_23442 transcript:DRNTG_23442.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQPQTVYFSLVFLFCHLLLRRCDGQQAYLNNDQLDCNKNGSNTLGYQCNGAAKSCDSYLIFRSQPPYQSPVQIASLFSSNTSAISAINDIPENSSALDGSQLIVPIPCSCSSSYYQHNVSYTLKPQDLYFSVANNTYQGLSTCQALIAQNPSLPATSLNPGDIITVPLRCACPTRSQINQGVRFLLTYTPVFGEDVPTLASRFNVTADSIVNANTLPTGDTIFPYTSLLIPLPASPSKAQTITPPPPPATPPPPPPPPTPASGGGGDGSSDNKGLYIGVSIGAAAILILCGVVIWFVCRGRRRRSEPSSFQDSKEGSAGHGVLSTKSSTAPGIGGIGVSDEIRIAIESLTVYKFRELNEATGSFREEHKIKGSVYRGVINGDEAAIKQLKGDVSNEITILKQINHSNVIRLSGFCVHEGNTFLVYEFARNGSLADWLHHSNKDKDDNSKYPPSDPCSCLSWKQRVQIACGVADGLSYLHNYANPQYVHKDLRSSNILLDGEFTAKIANFGLARSVERREALQLTRHVTGTQGYMAPEYLEHGLISPKLDVFSFGVVLLEILSGKEAVSLREKEGGEEKEQVLLSARIGPMLSAENVQSELRDFIDPCLADEYPFDLAYAMAQLAMRCVARDPSSRPDMTEVLVTLSAIYHSTLDWDP >DRNTG_23442.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:828605:831297:-1 gene:DRNTG_23442 transcript:DRNTG_23442.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQPQTVYFSLVFLFCHLLLRRCDGQQAYLNNDQLDCNKNGSNTLGYQCNGAAKSCDSYLIFRSQPPYQSPVQIASLFSSNTSAISAINDIPENSSALDGSQLIVPIPCSCSSSYYQHNVSYTLKPQDLYFSVANNTYQGLSTCQALIAQNPSLPATSLNPGDIITVPLRCACPTRSQINQGVRFLLTYTPVFGEDVPTLASRFNVTADSIVNANTLPTGDTIFPYTSLLIPLPASPSKAQTITPPPPPATPPPPPPPPTPASGGGGDGSSDNKGLYIGVSIGAAAILILCGVVIWFVCRGRRRRSEPSSFQDSKEGSAGHGVLSTKSSTAPGIGGIGVSDEIRIAIESLTVYKFRELNEATGSFREEHKIKGSVYRGVINGDEAAIKQLKGDVSNEITILKQINHSNVIRLSGFCVHEGNTFLVYEFARNGSLADWLHHSNKDKDDNSKYPPSDPCSCLSWKQRVQIACGVADGLSYLHNYANPQYVHKDLRSSNILLDGEFTAKIANFGLARSVERREALQLTRHVTGTQGYMAPEYLEHGLISPKLDVFSFGVVLLEILSGKEAVSLREKEGGEEKEQVLLSARIGPMLSAENVQSELRDFIDPCLADEYPFDLAYAMAQLAMRCVARDPSSRPDMTEVLVTLSAIYHSTLDWDP >DRNTG_23676.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10317889:10321642:-1 gene:DRNTG_23676 transcript:DRNTG_23676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGLKNHMFLCAKATYHAAFDRAMNILKGMSIGAYEYMKNIAPQHWSRVYFQTHFKCDILLNNLCEGFNSHILEVRTKGIVSMNEIIRTQLMIRIQKKEEIQ >DRNTG_14881.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2914576:2917560:-1 gene:DRNTG_14881 transcript:DRNTG_14881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMSPIATVIIFILMLLLVLLSLAYFTYSILLKTRPADRKKPVLPPGSMGWPYIGETFQLYSKNPNTFFALKQKRYGSIFKTHILGCPCVMVSSPEAAKFVLVTRAHLFKPTFPASKERMLGRQAIFFQQGDYHARLRRLVLRAFMPSAIRRTVADIEAVAVRTLRAWDGRRINTFQEMKTYAFNVALLSIFGKDEIEHIEELKECYYRLEKGYNSMPINLPGTLFHKAMKARKELERIVSKILASRRKKLVEFNDLLGSFMEAKEALTDEQITDNIIGVIFAARDTTASVLTWIVKYLGENPSVLQAVTEEQEEIMRSKEIDGDKYLNWDDTKLMPMTSRVIQETMRVASILSFTFREAVEDVNFEGYLIPKGWKVLPLFRNIHHSPDNFPEPEKFDPSRFERSPKANTFMPFGNGTHSCPGNELAKLEMLVLLHHLTTRYRWSLSGSEAGIQFGPFALPLNGLPISFSLKT >DRNTG_17663.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16767160:16767349:1 gene:DRNTG_17663 transcript:DRNTG_17663.4 gene_biotype:protein_coding transcript_biotype:protein_coding GNRASATVQPFLLLHLDIHPGTVHTIEDALHLFSALETLEGYRISTGKVTIVSFNANLMLDIQ >DRNTG_17663.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16764892:16768018:1 gene:DRNTG_17663 transcript:DRNTG_17663.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGLINSGNLCFVNATLQALLSCSQFVHLLHDLRTRNLPKVAYPTLHAFVDFISNFDMPKDWNAEKSKAAVLESGKPFHASMFELVLKKFTPDVPSNLSGGRPRQEDAQEFLSYVMDQMHDELLKLKGPSSHQNGVNCSLVSSDEEDGWETVGRKNRSAVTRTQKFLPSELSAIFGGQLRSVVKARGNRASATVQPFLLLHLDIHPGTVHTIEDALHLFSALETLEGYRISTGKDGEVAASKSVKIQELPRIMILHLMRFSYGSNGMTKLHKPVQFPLELVLGHELLVHPLSEGRRYELVATITHHGREPSRGHYTADTKYSDGQWLRYDDASINAISTSKVLHDEAYVLFYRQI >DRNTG_17663.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16763358:16768018:1 gene:DRNTG_17663 transcript:DRNTG_17663.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQEVFIFGSFTEEESRFFQNQSAKTNVDQTEKICLRFGSLNFAFENTVKGPSAKADDKADITQACELVAKSSASKNGTGAISKALHKIPQSKINESPNGSLFHNDIIKNEKNTFDISSSSISGSENNTTNLSSFSVAEGQSGDGGLLTRNVQNGDERSCNGNISVSSPEGINNVKLLSKPEKPSEILMPRGLINSGNLCFVNATLQALLSCSQFVHLLHDLRTRNLPKVAYPTLHAFVDFISNFDMPKDWNAEKSKAAVLESGKPFHASMFELVLKKFTPDVPSNLSGGRPRQEDAQEFLSYVMDQMHDELLKLKGPSSHQNGVNCSLVSSDEEDGWETVGRKNRSAVTRTQKFLPSELSAIFGGQLRSVVKARGNRASATVQPFLLLHLDIHPGTVHTIEDALHLFSALETLEGYRISTGKDGEVAASKSVKIQELPRIMILHLMRFSYGSNGMTKLHKPVQFPLELVLGHELLVHPLSEGRRYELVATITHHGREPSRGHYTADTKYSDGQWLRYDDASINAISTSKVLHDEAYVLFYRQI >DRNTG_03517.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:25438028:25438452:-1 gene:DRNTG_03517 transcript:DRNTG_03517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCRFVITFLHTFGVILGMFVSDAGIEELNSSFCGSSEESYRRVIPGQTLLNHARVPPPEYLKLELLFYSTGEAHAGGS >DRNTG_17913.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25289118:25289860:-1 gene:DRNTG_17913 transcript:DRNTG_17913.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVLNSGVLDEHPIEEDDFIDSDYDIPSGDEDFQWVLYEQNESESASDNSEDLFTEPDTDDDGHTRSSNLPLSF >DRNTG_32821.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27723895:27725907:-1 gene:DRNTG_32821 transcript:DRNTG_32821.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQAMASGSLARQGSVYSLTLSEVENHLGEPLHSMNLDELLRNILPCDANTSLSMNIGSMRYSSRAVSQELSKKTVDEVWKEIQQLKNKGNEDRNSVNAFGEMTLEDFLMEVGVVSEKRIDVFGNANEHVHWLQQYQQMPRHILDNSVYGDTTANLQRTLVGTMSDPLVSGRKRMADEDMVEKAVDRRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENERLKKQKALDKILRSAPEPVTKNQLRRTISAPL >DRNTG_00004.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21472005:21477505:1 gene:DRNTG_00004 transcript:DRNTG_00004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASATAGVAVAMAASRTFLLSGPKLLCRSRSFIHPHKRLTFLSRCCSSSSSPATISSLPVSTANVIEVLEGRGLLDAITSENLRSVASTSNVKVYCGFDPTAESLHLGNLLAIIVLSWFQRCSHRVVALIGGATGRVGDPSGKSLERPELDLQTLEKNSSGISALISKILGRGKDPNLVDELGENGVSSDKNPSFVILNNYDWWKDLTLLDFLREVGRFARVGTMIAKESVKKRLMSEEGMSYTEFTYQLLQGYDFLYMFKNMDVNVQIGGSDQWGNITAGTELIRKVLQVEGAYGLTFPLLLKSDGTKFGKSEGGAIWLSPALLSPYKFYQYFFSVSDVDVVRFLKILTFLSMDEIKELEDGMRKPGYVPNSAQRRLAEEVTRFVHGDEGLAEALKATEALRPGAETKLDAATIEGIAEDVPSCSLACNQVLNSSLVDLSVSTGLLSSKSAVRRLLKQGGLYLNNQRIDNEDKIIESDDVIDGKLLLLSAGKKNKMVVS >DRNTG_00004.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21472005:21473700:1 gene:DRNTG_00004 transcript:DRNTG_00004.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASATAGVAVAMAASRTFLLSGPKLLCRSRSFIHPHKRLTFLSRCCSSSSSPATISSLPVSTANVIEVLEGRGLLDAITSENLRSVASTSNVKVYCGFDPTAESLHLGNLLAIIVLSWFQRCSHRVVALIGGATGRVGDPSGKSLERPELDLQTLEKNSSGISALISKILGRGKDPNLVDELGENGVSSDKNPSFVILNNYDWWKDLTLLDFLREVGRFARVGTMIAKESVKKRLMSEEGMSYTEFTYQLLQGYDFLYMFKNMDVNVQIGGSDQWGNITAGTELIRKVLQVEGAYGLTFPLLLKSDGTKFGKSEGGAIWLSPALLSPYKFYQYFFSVSDVDVVRFLKILTFLSMDEIKELEDGMRKPGYVPNSAQRRLAEEVTRFVHGDEGLAEALKATEALRPGAETKLDAATIEGIAEDVPSCSLACNQVLNSSLVDLSVSTGLLSSKSAVRRLLKQGGLYLNNQRIDNEDKIIESDDVIDGKLLLLSAGKKNKMVVRIS >DRNTG_17422.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000894.1:3239:18753:-1 gene:DRNTG_17422 transcript:DRNTG_17422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAREVCWEFSSLGYCSQNTVAEHCSTGRRTERYNVTGARTQSGEHDVQDLFTHYLESDSVLPVVELIPPNLSPIPIHEKVRNNSDAFGIPVHQIDEEIDFSSGSSSGSSECMPIKRVLSKRSRHDTASSGVPSFALPQHRARYNLLKSKPFGIIRTVDRNDLEALGLAETVAELINHDGWDMIFSINDPAYRELTLEVLSTIELARPSGITFQAFGTMHAITDDQLGCFLGLFDVGFVNTPACQSLPTDFPATMTHTRFWNIISGRRTNESRKASRLHNPAHRYVHAPLSRGYWWSGDSIGSSHARLTHAL >DRNTG_12975.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24880057:24888553:-1 gene:DRNTG_12975 transcript:DRNTG_12975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGDIPPNQTIYIKNLNEKVKKEELKRSLYALFSQYGRILDVVALKTTKLRGQAWVVFSEVTAASTAVRQMQSFPFYDKPLRIQYAKTKSDCVAKADGSFVPREKKKRKEEKAAEKRRKAEETQQSGVASNGQSFQSNGGPSVSQTSNQGKPQEAATPNNILFIQNLPFETTSAMLQILFREYPGFKEVRMIEAKPGIAFVEFEDDMQSSIAMQSLQGFKITPQYPMAITYAKK >DRNTG_21963.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2135532:2135785:-1 gene:DRNTG_21963 transcript:DRNTG_21963.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKIRIYSLIFLSLSFVTLLLNVVHHYNFGAMGEYLTKRVRETMLSKMLTFEVGWFDQDENSTGSICSRLAKDANV >DRNTG_21963.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2132862:2135785:-1 gene:DRNTG_21963 transcript:DRNTG_21963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKIRIYSLIFLSLSFVTLLLNVVHHYNFGAMGEYLTKRVRETMLSKMLTFEVGWFDQDENSTGSICSRLAKDANVVRSLVGDRMSLLIQTFSTVTIACTMGLVIAWRLALVLIAVQPLIIICFYARKMLLKNMSGKAIKAQSESSKIAAEAVSNLRTVTAFSSQDHILHFFKLAQEGPKRESVRQSWYAGFGLGFSQSLMICTWSLSFWFGGKLISNGYITVKEFLQTFLILVSTGRIIAEAGTMTSDIAKGGDAVGSVFEVLDRYSHIEPENPEGYRPKKLIGNVDICGVDFAYPARLDVVIFKNFSLSIAVGKSTALVGQSGSGKSTIIGLIERFYDPLKGTINIDGKDIKTYHLRALRQHIALVGQEPTLFAGTIKENIKYGCEEATEAEVEAAARVANAHDFISCLRDGYGTSCGDRGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALERVTVGRTSVVVAHRLSTIQNCDLIAVLEKGMVVEKGSHASLFAKGPSGSYFSLVSLQQGSMDQ >DRNTG_24359.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:453255:454692:-1 gene:DRNTG_24359 transcript:DRNTG_24359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQCDWAYEKLQEFDSLENPFGNTTSCNFHSLRICFAQLKQQLDRRLRKTRRRHRLLRCATNGSAACLIGSVAGVAIAGLVIATHALGALVAGPFLSCFLPTKDLMSDGRHRLREHMARLDAAARGTYVLDNHLDTIESLVARLHATVESDKALVRFLLESGRVQRHPIEEVLRQLRKSHPSLILQLGDLDEHVCLCFAAVNRARLLLLQHIHHHD >DRNTG_07307.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3409712:3411471:1 gene:DRNTG_07307 transcript:DRNTG_07307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIHEIKDFLLTARRKDARSVKIKKSKDVIKFKVRCSKYLYTLCVFDPEKADKLKQSLPPGLSVQDV >DRNTG_15879.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13106664:13116733:1 gene:DRNTG_15879 transcript:DRNTG_15879.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASGWSGASYLQVPYSDSPLESLTAFELEGMMSTHIFDIWRRSDRYYVAGAVPLGEHGVQDLFTHYMKSDSVLPVVELIPPNPPPIPIHEKVRNNSDAFGIPVHQYDEEIDLLSGSSSGSSEGEIHAIEECMDSSSSTEDECRGFEDTDLGKSLFLLRPFGWEFHGLHAPAWMPVRFAGNSQVSVHTGPYTARMDTCGMIRGLVAILAAARDLSRGPCARGLWASRAPCARMGAREPFRLVFFQPMRAPCARMEAGERGMKHTHTHEESTLEES >DRNTG_04136.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30238947:30239573:-1 gene:DRNTG_04136 transcript:DRNTG_04136.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVTNPYGSAGRPSAAVVAMDREARVMRYREKRKTRRFEKTIRYASRKAYAETRPRIKGRFAKRSEIETEIDPIFSSGSGAGVASTAFMIDPGYGVVPSF >DRNTG_26058.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17727148:17733923:-1 gene:DRNTG_26058 transcript:DRNTG_26058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEIKEKLLEIRNGEEEGEEEKGIWRRVKEENKKLWVVAGPAIFARFSIFGVSVITQAFIGHIGSIELAAFALTSTVLLRFANGILLGMASALETLCGQAFGAGQRHMLGIYLQRSWVVLLACAVVLLPLFIFTAPILRLLGQENSIASMAGTISLWFIPIIFSYVFYFTFQMYLQAQSKNIIIAYYAAISLAVHILLSWFLVSKLSFGLPGAMSTLIVAIWIPNIGLFVYVACGGCPETWTGFSLSAFRSLWPVVRLSLSSGAMICLELWYNTILILLTGHMKDAEVAIDALSICLNINGWELMISLGFLSASGVRVANELGAGSAKRAKFSIVVVVITSLLIGAILFVMFLVFRGNIAYFFTESPEVAAAVADLSPLLAFSILLNSIQPVLSGVAVGAGWQSVVAYVNVASYYLCGIPLGVVLGYLIGYRVKGIWIGMLIGTAIQTFVLIWITWRTDWDKQVMLAQTRVNKWLLPSSKESNIVKEEDV >DRNTG_27793.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3220689:3232070:-1 gene:DRNTG_27793 transcript:DRNTG_27793.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMKKEKIQSILDAQNAAIDADMNNKGKGRLKYLLQQTEIFAHFAKGAQSSLEKKPRGRGRHASKLTEEEEDEECLKEEEDEFAGGGGTRLLSQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMKEIRRFCPILRAVKFLGNPDERKHIRDELLVAGKFDVCVTSFEMAIKEKNALRRFSWRYVIIDEAHRIKNENSLLSKTMRLYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSSETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKHYYRALLQKDLDVINAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLITNSGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYQYCRIDGNTGGEERDASIEAFNQPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYAIEEKVIERAYKKLALDALVIQQGRLAEQKAVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIKFKMDDTAELYDFDNEKDDNKLDFKKLVSDNWIEPPRRERKRNYSDSDYFKQAMRQGGPAKPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMQTHQKNQLNTIEDGDEPEDLTGDPLTAEEQEEKEKLLEEGFSTWTRRDFNTFIRACEKYGRNDIKSIASEMEGKTEEEVQRYAKVFRERYKELNDYDRIIKCIERGEARISRKDEIMRAIGKKMDRYKNPWLELRIQYGQNKGKLYNEECDRFMLCMVHKLGYGNWDELKAAFRTSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEFDERERQARKDKKLAKNLTPTKRSSVKQPAMETPTLSSFKKRRQSSMDDYVSSGRRRR >DRNTG_27793.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3220689:3232690:-1 gene:DRNTG_27793 transcript:DRNTG_27793.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASDYADLSDEAPSNGSISSSEDQAGAEVEDEEELEAVARTAGPEEDEGGEDDSQSTEDDEMLRRDKSDDDDDDDDEAEEVTVNGDVGKRERARLREMQRMKKEKIQSILDAQNAAIDADMNNKGKGRLKYLLQQTEIFAHFAKGAQSSLEKKPRGRGRHASKLTEEEEDEECLKEEEDEFAGGGGTRLLSQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMKEIRRFCPILRAVKFLGNPDERKHIRDELLVAGKFDVCVTSFEMAIKEKNALRRFSWRYVIIDEAHRIKNENSLLSKTMRLYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSSETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKHYYRALLQKDLDVINAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLITNSGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYQYCRIDGNTGGEERDASIEAFNQPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYAIEEKVIERAYKKLALDALVIQQGRLAEQKAVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIKFKMDDTAELYDFDNEKDDNKLDFKKLVSDNWIEPPRRERKRNYSDSDYFKQAMRQGGPAKPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMQTHQKNQLNTIEDGDEPEDLTGDPLTAEEQEEKEKLLEEGFSTWTRRDFNTFIRACEKYGRNDIKSIASEMEGKTEEEVQRYAKVFRERYKELNDYDRIIKCIERGEARISRKDEIMRAIGKKMDRYKNPWLELRIQYGQNKGKLYNEECDRFMLCMVHKLGYGNWDELKAAFRTSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEFDERERQARKDKKLAKNLTPTKRSSVKQPAMETPTLSSFKKRRQSSMDDYVSSGRRRR >DRNTG_21370.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19314224:19314597:1 gene:DRNTG_21370 transcript:DRNTG_21370.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLPADVANRQACRNAIYNGACSLTLPMKTLWLAVYITDAVLVFLVIPFTMFYYEADQDKLDLFLSICVFLPKSLSF >DRNTG_21370.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19314224:19314748:1 gene:DRNTG_21370 transcript:DRNTG_21370.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLPADVANRQACRNAIYNGACSLTLPMKTLWLAVYITDAVLVFLVIPFTMFYYEADQDKSAGKRLKSSLMWVIVSAIICTLILGILYG >DRNTG_21370.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19314224:19320406:1 gene:DRNTG_21370 transcript:DRNTG_21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPADVANRQACRNAIYNGACSLTLPMKTLWLAVYITDAVLVFLVIPFTMFYYEADQDKSAGKRLKSSLMWVIVSAIICTLILGILYGLLGKADITVRHLSSSATNFPSMWTEFSSTQHCIGSSSHQIFSIKQCSAYTASASSLTTWTTRTSFPEYVVAVTTIVGSVLFSIFGGVGITCLPLGLIASFIKRPKAVITRSQYIKEATELSKKARELKKAADSLRQEEKSGSKGKSWRKNVKAVEKELLLLENDVNALEEMYPQGEQAETAWILTVLGYMGKLILGTLGAIVSVAWIAHIVIYLLIDPPLSPFLNEVFIQLDDIWGLLGTTAFALFCFYLLLAVIAGEMMLGLQLVGWDTDEFFSF >DRNTG_21370.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19318799:19320406:1 gene:DRNTG_21370 transcript:DRNTG_21370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCYLDGKEKNRGAGFNLPINHSVLTLFLMSACFSEELVDTTIVI >DRNTG_21370.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19314224:19316982:1 gene:DRNTG_21370 transcript:DRNTG_21370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPADVANRQACRNAIYNGACSLTLPMKTLWLAVYITDAVLVFLVIPFTMFYYEADQDKSAGKRLKSSLMWVIVSAIICTLILGILYGLLGKADITVRHLSSSATNFPSMWTEFSSTQHCIGSSSHQIFSIKQCSAYTASASSLTTWTTRTSFPEYVVAVTTIVGSVLFSIFGGVGITCLPLGLIASFIKRPKAVITRSQYIK >DRNTG_33383.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20933828:20934581:-1 gene:DRNTG_33383 transcript:DRNTG_33383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAIPSLAITQWNCASAKASGQIFLDVIPAYQLSHENKAEVPSSIFNCKQQQKASRDSGMGVPTTPRD >DRNTG_23932.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1097994:1100468:1 gene:DRNTG_23932 transcript:DRNTG_23932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPIILWKQIIWQKEVKTQMEQVQAMESTQTHNLHFSSHSLSSKKPQTTSMKSRVIGVGGFGKVYRGILRDETRVAVKRGNPKSQQGMKEFRTEIEMLSRLRHRHLVSLIGFCDEDKEMILIYEFMEKGTLKSHLYGSSVTNLSWKQRLEICIGAAKGLHYLHTGQQKAIIHRDVKSANILLDENLIAKVSDFGLSKTGPEMDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVMLEVLCARPVIDPTLTRDMVNLAEWATEYVKRGELEKIIDHKLDGKIKAESLRKFSETAMKCLAEFGVDRPTVNDVLWNLEYALQLQEDGEVSDHDGLEENGKSLKELVDVFGGNKLEDDLIDLSKTFSVSRVFSQLIKIEEVSIMKTEEGR >DRNTG_01760.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14180224:14182047:-1 gene:DRNTG_01760 transcript:DRNTG_01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTLRVGDDKLAYRLTEAIRYSLDFDDTLYFLDTTHELIDEYV >DRNTG_33234.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001976.1:7726:8292:1 gene:DRNTG_33234 transcript:DRNTG_33234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGWPRLTWGRGARACGRGGEGDPPPPPRRPLSSVGGAGCPPPRAEGARRPRDLGARPVQRSSRPAPLRGRATAGLRPAARREASLRVRPRARARRSDSFA >DRNTG_08275.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:4473251:4477782:-1 gene:DRNTG_08275 transcript:DRNTG_08275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEARRSFKAKVQDSQGKKATSFKGRSTTEEIPIDIRMGSSVSRREIGANLLSSFLGKQSELLRLSDFSVAT >DRNTG_01920.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32305989:32307951:-1 gene:DRNTG_01920 transcript:DRNTG_01920.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPVAEANERSPFGDLTPSQFYARHSVSHSSSSFVNPQGLRIFTQWWTPLPPAEIIGIVAVIHGFTGESSWFIQLTSVYFAKAGFAVCALDHQGHGFSEGLIAHIPDIEPVVDDCISFFDSFRARYPSSLPCFMYSESLGGAIALLIHLRGGQAEVGMASCSTGPCAVSAPSSSRRGPWSTCSPSWPRWSRRGGWCLPGAPSRTCPSRWTGSGSWHWRAPGASWGGPEQPRRRS >DRNTG_01920.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32305989:32307872:-1 gene:DRNTG_01920 transcript:DRNTG_01920.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPVAEANERSPFGDLTPSQFYARHSVSHSSSSFVNPQGLRIFTQWWTPLPPAEIIGIVAVIHGFTGESSWFIQLTSVYFAKAGFAVCALDHQGHGFSEGLIAHIPDIEPVVDDCISFFDSFRARYPSSLPCFMYSESLGGAIALLIHLRGGQAEVGMASCSTGPCAVSAPSSSRRGPWSTCSPSWPRWSRRGGWCLPGAPSRTCPSRWTGSGSWHWRAPGASWGGPEQPRRRS >DRNTG_01920.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32306322:32308161:-1 gene:DRNTG_01920 transcript:DRNTG_01920.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPVAEANERSPFGDLTPSQFYARHSVSHSSSSFVNPQGLRIFTQWWTPLPPAEIIGIVAVIHGFTGESSWFIQLTSVYFAKAGFAVCALDHQGHGFSEGLIAHIPDIEPVVDDCISFFDSFRARYPSSLPCFMYSESLGGAIALLIHLRGGQAEVGMASCSTGPCAVSAPSSSRRGPWSTCSPSWPRWSRRGGWCLPGAPSRTCPSRWTGSGSWHWRAPGASWGGPEQPRRRS >DRNTG_01920.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32305901:32307872:-1 gene:DRNTG_01920 transcript:DRNTG_01920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPVAEANERSPFGDLTPSQFYARHSVSHSSSSFVNPQGLRIFTQWWTPLPPAEIIGIVAVIHGFTGESSWFIQLTSVYFAKAGFAVCALDHQGHGFSEGLIAHIPDIEPVVDDCISFFDSFRARYPSSLPCFMYSESLGGAIALLIHLRGGQAEVGMASCSTGPCAVSAPSSSRRGPWSTCSPSWPRWSRRGGWCLPGAPSRTCPSRWTGSGSWHWRAPGASWGGPEQPRRRS >DRNTG_01920.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32306322:32307951:-1 gene:DRNTG_01920 transcript:DRNTG_01920.11 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPVAEANERSPFGDLTPSQFYARHSVSHSSSSFVNPQGLRIFTQWWTPLPPAEIIGIVAVIHGFTGESSWFIQLTSVYFAKAGFAVCALDHQGHGFSEGLIAHIPDIEPVVDDCISFFDSFRARYPSSLPCFMYSESLGGAIALLIHLRGGQAEVGMASCSTGPCAVSAPSSSRRGPWSTCSPSWPRWSRRGGWCLPGAPSRTCPSRWTGSGSWHWRAPGASWGGPEQPRRRS >DRNTG_01920.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32305838:32307872:-1 gene:DRNTG_01920 transcript:DRNTG_01920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPVAEANERSPFGDLTPSQFYARHSVSHSSSSFVNPQGLRIFTQWWTPLPPAEIIGIVAVIHGFTGESSWFIQLTSVYFAKAGFAVCALDHQGHGFSEGLIAHIPDIEPVVDDCISFFDSFRARYPSSLPCFMYSESLGGAIALLIHLRGGQAEVGMASCSTGPCAVSAPSSSRRGPWSTCSPSWPRWSRRGGWCLPGAPSRTCPSRWTGSGSWHWRAPGASWGGPEQPRRRS >DRNTG_01920.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32305989:32307812:-1 gene:DRNTG_01920 transcript:DRNTG_01920.10 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPVAEANERSPFGDLTPSQFYARHSVSHSSSSFVNPQGLRIFTQWWTPLPPAEIIGIVAVIHGFTGESSWFIQLTSVYFAKAGFAVCALDHQGHGFSEGLIAHIPDIEPVVDDCISFFDSFRARYPSSLPCFMYSESLGGAIALLIHLRGGQAEVGMASCSTGPCAVSAPSSSRRGPWSTCSPSWPRWSRRGGWCLPGAPSRTCPSRWTGSGSWHWRAPGASWGGPEQPRRRS >DRNTG_01920.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32305989:32308161:-1 gene:DRNTG_01920 transcript:DRNTG_01920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPVAEANERSPFGDLTPSQFYARHSVSHSSSSFVNPQGLRIFTQWWTPLPPAEIIGIVAVIHGFTGESSWFIQLTSVYFAKAGFAVCALDHQGHGFSEGLIAHIPDIEPVVDDCISFFDSFRARYPSSLPCFMYSESLGGAIALLIHLRGGQAEVGMASCSTGPCAVSAPSSSRRGPWSTCSPSWPRWSRRGGWCLPGAPSRTCPSRWTGSGSWHWRAPGASWGGPEQPRRRS >DRNTG_01920.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32305901:32307951:-1 gene:DRNTG_01920 transcript:DRNTG_01920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPVAEANERSPFGDLTPSQFYARHSVSHSSSSFVNPQGLRIFTQWWTPLPPAEIIGIVAVIHGFTGESSWFIQLTSVYFAKAGFAVCALDHQGHGFSEGLIAHIPDIEPVVDDCISFFDSFRARYPSSLPCFMYSESLGGAIALLIHLRGGQAEVGMASCSTGPCAVSAPSSSRRGPWSTCSPSWPRWSRRGGWCLPGAPSRTCPSRWTGSGSWHWRAPGASWGGPEQPRRRS >DRNTG_01920.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32305901:32307812:-1 gene:DRNTG_01920 transcript:DRNTG_01920.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPVAEANERSPFGDLTPSQFYARHSVSHSSSSFVNPQGLRIFTQWWTPLPPAEIIGIVAVIHGFTGESSWFIQLTSVYFAKAGFAVCALDHQGHGFSEGLIAHIPDIEPVVDDCISFFDSFRARYPSSLPCFMYSESLGGAIALLIHLRGGQAEVGMASCSTGPCAVSAPSSSRRGPWSTCSPSWPRWSRRGGWCLPGAPSRTCPSRWTGSGSWHWRAPGASWGGPEQPRRRS >DRNTG_01920.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32306322:32307872:-1 gene:DRNTG_01920 transcript:DRNTG_01920.12 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPVAEANERSPFGDLTPSQFYARHSVSHSSSSFVNPQGLRIFTQWWTPLPPAEIIGIVAVIHGFTGESSWFIQLTSVYFAKAGFAVCALDHQGHGFSEGLIAHIPDIEPVVDDCISFFDSFRARYPSSLPCFMYSESLGGAIALLIHLRGGQAEVGMASCSTGPCAVSAPSSSRRGPWSTCSPSWPRWSRRGGWCLPGAPSRTCPSRWTGSGSWHWRAPGASWGGPEQPRRRS >DRNTG_01920.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32306322:32307812:-1 gene:DRNTG_01920 transcript:DRNTG_01920.13 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPVAEANERSPFGDLTPSQFYARHSVSHSSSSFVNPQGLRIFTQWWTPLPPAEIIGIVAVIHGFTGESSWFIQLTSVYFAKAGFAVCALDHQGHGFSEGLIAHIPDIEPVVDDCISFFDSFRARYPSSLPCFMYSESLGGAIALLIHLRGGQAEVGMASCSTGPCAVSAPSSSRRGPWSTCSPSWPRWSRRGGWCLPGAPSRTCPSRWTGSGSWHWRAPGASWGGPEQPRRRS >DRNTG_01920.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32305901:32308161:-1 gene:DRNTG_01920 transcript:DRNTG_01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPVAEANERSPFGDLTPSQFYARHSVSHSSSSFVNPQGLRIFTQWWTPLPPAEIIGIVAVIHGFTGESSWFIQLTSVYFAKAGFAVCALDHQGHGFSEGLIAHIPDIEPVVDDCISFFDSFRARYPSSLPCFMYSESLGGAIALLIHLRGGQAEVGMASCSTGPCAVSAPSSSRRGPWSTCSPSWPRWSRRGGWCLPGAPSRTCPSRWTGSGSWHWRAPGASWGGPEQPRRRS >DRNTG_09484.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21478616:21486610:-1 gene:DRNTG_09484 transcript:DRNTG_09484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHRDPGVTTRDRWANVKGVCEPCDDSRVEWCVSLLGIVAAVVTWRARGVSVMVLNPDDNLGQWSLAKETRKMKSRCCYNHAESIIEAEALALLAALGCIFVADIQVDTIFIANTDLHSMIKTGNIQHNWRLCSLISSIKDYMSELGTAQLHIIPKSWMSPAASLALHGLNSHILTLFHHGRELPFLLMKQFNKSGIVF >DRNTG_30359.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001566.1:12583:16988:-1 gene:DRNTG_30359 transcript:DRNTG_30359.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQKIETGHQDVVHDVSMDYYGKRIATASSDTTIQIISVSGSSHQHIATLSGHQGPVWQVAWAHPKFGSMLASCSYDGRVIIWKDGTKPARWSQAHVFTDHKSSVNSIAWAPHDLGLCLACGSSDGNISVFTARADGSWDTTRIDQAHPVGVTSISWAPSMAAGALVGSGLLDPVQKLASGGFDNTVKVWKLYNGSWKMDCFPALQMHSECVRDVAWAPNLGLPKSTIASASQDGTVVIWTVAKEGDQWKGKVLNDFKTPVWRVSWSLAGNILAVADESNNVTLWKEAVNGEWQQVTTVQVQP >DRNTG_30359.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001566.1:12639:16988:-1 gene:DRNTG_30359 transcript:DRNTG_30359.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQKIETGHQDVVHDVSMDYYGKRIATASSDTTIQIISVSGSSHQHIATLSGHQGPVWQVAWAHPKFGSMLASCSYDGRVIIWKDGTKPARWSQAHVFTDHKSSVNSIAWAPHDLGLCLACGSSDGNISVFTARADGSWDTTRIDQAHPVGVTSISWAPSMAAGALVGSGLLDPVQKLASGGFDNTVKVWKLYNGSWKMDCFPALQMHSECVRDVAWAPNLGLPKSTIASASQDGTVVIWTVAKEGDQWKGKVLNDFKTPVWRVSWSLAGNILAVADESNNVTLWKEAVNGEWQQVTTVQVQP >DRNTG_30359.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001566.1:12517:16988:-1 gene:DRNTG_30359 transcript:DRNTG_30359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQKIETGHQDVVHDVSMDYYGKRIATASSDTTIQIISVSGSSHQHIATLSGHQGPVWQVAWAHPKFGSMLASCSYDGRVIIWKDGTKPARWSQAHVFTDHKSSVNSIAWAPHDLGLCLACGSSDGNISVFTARADGSWDTTRIDQAHPVGVTSISWAPSMAAGALVGSGLLDPVQKLASGGFDNTVKVWKLYNGSWKMDCFPALQMHSECVRDVAWAPNLGLPKSTIASASQDGTVVIWTVAKEGDQWKGKVLNDFKTPVWRVSWSLAGNILAVADESNNVTLWKEAVNGEWQQVTTVQVQP >DRNTG_17307.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31858026:31858648:1 gene:DRNTG_17307 transcript:DRNTG_17307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLDAWQYMVHRCMHSNKFLYRNFHSWHHRVVAPYAFAAQYNHPLDGFLTEALSGAMAFFISGMSARTSIFFFSFTTIKGIDDHCGLVLPWNPFQLLFSNNTAYHDVHHQLSGSKCNFSQPFFVVWDKVFGTYAPYSIKKREGGGYEARALKSCDN >DRNTG_07358.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7756199:7764780:-1 gene:DRNTG_07358 transcript:DRNTG_07358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGLSTRPSTQPSRGVLYGKGQYEPGVSKVTCLSRPTYKYLHAIMSRHQGYYARLGKIFSGPNIMTLVLGMGLLDSIRGAEKTSVPAPLSLETIRLMGMVSRVRTGVFALVLPAPEIAEDEDDEAGASPPAPEPQPASMETEAPLVAEEPPLVRMFSPSRANDRFERLENAIGVVRAEVAEIRATQATQYTEFMARFDVLQQIIERDIASSFVL >DRNTG_06151.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25419599:25430638:1 gene:DRNTG_06151 transcript:DRNTG_06151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAEKATPCCSANRGTAVGAAAKVKADSVLPVGNDWTVDQKRSAASAAASSAVTAVIRPVEEPAISKAPPKGVQLLTRAQTMHPLDPLSAAEISVAVATVRAAGATPEERDSMRFVEIVLLEPERRVVALADAYFFPPFQPSLLPRTKGGPFIPSKLPPRRARLIVYNKRSNVTSIWVVELSEVHATARGGHHRGKVISSKEVPDVQPPMDAVEYAECEAAVKSYPPFIEAMKRRGIDDMDLVMVDAWCVGYHGEADAPSRRLAKPLIFCRTESDCPMENGYARPVEGIYILVDMQNMVIIEYEDRKLVPLPPADPLRNYTSGETRGGVDRSDVKPLHILQPEGPSFRVSGHFIEWQKWNFRIGFTPREGLVIYSVAYTDGSRGRRPVAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNYTGGVETIENCVCLHEEDHGILWKHHDWRTGQAEVRRSRRLTVSFICTVANYEYGFFWHFYQASFDPSV >DRNTG_02588.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2557032:2557787:1 gene:DRNTG_02588 transcript:DRNTG_02588.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMTLTSWELVLAEIYERWGLDVSHVRVKFITPDGYKMVCPIENEVDFQQICHVYSIFKCAVVNFVVETNDVSLSNPTENEFLSLNSDSAHAPIPPHGDIDGAGCLPSSSDQSEVLLLDIGQCFEGIEHFRDALRNFAINLKCCHQIDLV >DRNTG_01807.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3146210:3150014:-1 gene:DRNTG_01807 transcript:DRNTG_01807.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVISQLLECLLSHAEGQLGYTSVDTQPTPRRVTSLREKIVAVAAANKHTAAVAESGEVFTWGCNKEGQLGYGTSNSASNSTPRLVEYLKGKVLKGVSAAKNHTIVLGSDGEVFTWGHRLVTPRRVIIARSLKKCGNAPLKFHRAERLHVVSVAAGSVHSTVLTDDGALFYWVSADPDLRCQQLYSMCGRNVVSLSAGKYWTAAVTTTGDVYMWDGKKYRADVPVATRLHGVKHATSVCVGETHLLVASSLYHPSYPPKVTENHQKSRAVNDMLEEVNEDLMFDGLQVEVSLQASKVSGSSGTVAPSLKNLCEKAAIDFLVEPRNAIHLLDIADTLEAHELRKHCEEIAIRNLDYIFTVSASAIMNAPLELLVKLEKSLDDKSSEPWCHRRLPTPTATFPAVIDSEEENSDFCYTRPRVNRKKLTLKNYEGLGTDCFLQKDHKADQVVSKEVRALRKKLQQIDMLEAKQLNGHHLDDQQVAKLETRSAVENTLAELGIPLESESKASLPSLIDGKRNKKSELSRKQRRKNKLMTSLTEVDSVEVSVEQNSTVNLPDVKSLEIPKETKEVGIDINSESRIMEGCSLLGTKSVSRPHNANNNSKAPQSTSSKKKNKKGGLSMFLSGALDEPRQAPPPPPTPKSDGPAWGGAKITKSLSSLRDIQNEQSKTTEVTNNRLKDRCEDFTCAVSPGQIRLSSFLPKTISTPIPVTPARAVPTTEGEKNTPPWSSAGSSPVMGRPSLRNIQMQQEKKQLSISNSPKTRTSGFSISSQGSPSDSGGAKDTVPNRWFKPETDAPSSIRSIQIEEKAMKDLKRFYSSVKLVKAEPKF >DRNTG_01807.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3146210:3154420:-1 gene:DRNTG_01807 transcript:DRNTG_01807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTSPSVSVKQTRRFSSSNSQKDLWFIAREGSIAELDSALLLLKKNGGNIDMRNAFGLSPLHIATWRNHVPMVKRLLAAGADPNVRDGESGWSSLHRALHFGHLAVASVLLQHGASLLLEDSKYRTPVDLLSGPISQIIGSGLDSVATEVYSWGSGTNYQLGTGNAHVQKLPGKVDALHSSYVKIIVASKFHSVAVTASGELYTWGFGRGGRLGHPEFDIHSGQAAAITPRKVTFGLGSRRVRAVAAAKHHTVIAIENGDVFTWGSNREGQLGYTSVDTQPTPRRVTSLREKIVAVAAANKHTAAVAESGEVFTWGCNKEGQLGYGTSNSASNSTPRLVEYLKGKVLKGVSAAKNHTIVLGSDGEVFTWGHRLVTPRRVIIARSLKKCGNAPLKFHRAERLHVVSVAAGSVHSTVLTDDGALFYWVSADPDLRCQQLYSMCGRNVVSLSAGKYWTAAVTTTGDVYMWDGKKYRADVPVATRLHGVKHATSVCVGETHLLVASSLYHPSYPPKVTENHQKSRAVNDMLEEVNEDLMFDGLQVEVSLQASKVSGSSGTVAPSLKNLCEKAAIDFLVEPRNAIHLLDIADTLEAHELRKHCEEIAIRNLDYIFTVSASAIMNAPLELLVKLEKSLDDKSSEPWCHRRLPTPTATFPAVIDSEEENSDFCYTRPRVNRKKLTLKNYEGLGTDCFLQKDHKADQVVSKEVRALRKKLQQIDMLEAKQLNGHHLDDQQVAKLETRSAVENTLAELGIPLESESKASLPSLIDGKRNKKSELSRKQRRKNKLMTSLTEVDSVEVSVEQNSTVNLPDVKSLEIPKETKEVGIDINSESRIMEGCSLLGTKSVSRPHNANNNSKAPQSTSSKKKNKKGGLSMFLSGALDEPRQAPPPPPTPKSDGPAWGGAKITKSLSSLRDIQNEQSKTTEVTNNRLKDRCEDFTCAVSPGQIRLSSFLPKTISTPIPVTPARAVPTTEGEKNTPPWSSAGSSPVMGRPSLRNIQMQQEKKQLSISNSPKTRTSGFSISSQGSPSDSGGAKDTVPNRWFKPETDAPSSIRSIQIEEKAMKDLKRFYSSVKLVKAEPKF >DRNTG_18917.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:12576504:12577158:-1 gene:DRNTG_18917 transcript:DRNTG_18917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITSKQSFKEVVQSTLRADHVPSVRGPKANAKSWNYGKSHKGQCQAPRKCFHYGHIGHLRSACPNLGWGVFAPPSQGYPNQSKGLQPVTSTPATTSHELKVTFHN >DRNTG_17398.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000893.1:160142:160996:-1 gene:DRNTG_17398 transcript:DRNTG_17398.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLKKAKPYEDVEANFTNELLDIGLRLMDKCGGLSLALVVLGGLLSIKDKTPVVWRRVLETMDWAAEGRQCQEILALSYEDLPYHMKSCFLYLGAYPKDYEISGNELIWQWIAEGFIPQEERKTMEDTGEAILEELIQRSLIHVNMRKNNGSVKKCGVHDLLLDFARSAAKKDFFLTVCSNGNDQPTYLASSRRVAFHNVNDTKINEIFRVSTMHGLRTLMAFGLPNLQKDSPIFRFELLRVLDLTELTFVQRLPKEIELMIHLRYLRMGHVSC >DRNTG_17398.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000893.1:160142:169438:-1 gene:DRNTG_17398 transcript:DRNTG_17398.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEFRKIEKEKLEKMTENDLIDMLNNSLRTERFLIVLDDIWLEDVWNQMQRSFPDVNNGSRVLITTRFLNVAKGADPRSTPYQLPLLNDDESMKLLLKKAKPYEDVEANFTNELLDIGLRLMDKCGGLSLALVVLGGLLSIKDKTPVVWRRVLETMDWAAEGRQCQEILALSYEDLPYHMKSCFLYLGAYPKDYEISGNELIWQWIAEGFIPQEERKTMEDTGEAILEELIQRSLIHVNMRKNNGSVKKCGVHDLLLDFARSAAKKDFFLTVCSNGNDQPTYLASSRRVAFHNVNDTKINEIFRVSTMHGLRTLMAFGLPNLQKDSPIFRFELLRVLDLTELTFVQRLPKEIELMIHLRYLRMGHVSC >DRNTG_17398.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000893.1:160142:169438:-1 gene:DRNTG_17398 transcript:DRNTG_17398.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEFRKIEKEKLEKMTENDLIDMLNNSLRTERFLIVLDDIWLEDVWNQMQRSFPDVNNGSRVLITTRFLNVAKGADPRSTPYQLPLLNDDESMKLLLKKAFPYEDVEANFTNELLDIGLRLMHKCGGLPLALVVLGGLLSIKDKTPVVWRRVLETMDWAAEGRQCQEILALSYEDLPYHMKSCFLYLGAYPKDYEISGNELIWQWIAEGFIPQEERKTMEDTGEAILEELIQRSLIHVNMRKNNGSVKKCGVHDLLLDFARSAAKKDFFLTVCSNGNDQPTYLASSRRVAFHNVNDTKINEIFRVSTMHGLRTLMAFGLPNLQKDSPIFRFELLRVLDLTELTFVQRLPKEIELMIHLRYLRMGHVSC >DRNTG_00932.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21270537:21275178:-1 gene:DRNTG_00932 transcript:DRNTG_00932.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAAVDEGEPFSPECPTLLLPALSIGNVGQLAIDLLISSTGARRVGYLDEPSVLPCAGNDAYGPVPVGDLALPLEAYASSSHAISLIQQRSPVVKGMMVEFAKNLTNFISISGKKHVIVLSSLSSGRKKQIDPPGDLQIYYISSSNADGTDADCQRLGLKKLEEYDPCQRRWVHLKHLAEGNPVNEEMLSYEDELFDDDYYPGLPFAAIFSCCKAKGLKVTCLLCYCSEGDNISDSIQLADAACKLLGVNSNNFHGNQQGGWVMPLSWKTVYGPPADMTLF >DRNTG_00932.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21270537:21275178:-1 gene:DRNTG_00932 transcript:DRNTG_00932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAAVDEGEPFSPECPTLLLPALSIGNVGQLAIDLLISSTGARRVGYLDEPSVLPCAGNDAYGPVPVGDLALPLEAYASSSHAISLIQQRSPVVKGMMVEFAKNLTNFISISGKKHVIVLSSLSSGRKKQIDPPGDLQIYYISSSNADGTDADCQRLGLKKLEEYDPCQRRWVHLKHLAEGNPVNEEMLSYEDELFDDDYYPGLPFAAIFSCCKAKGLKVTCLLCYCSEGDNISDSIQLADAACKLLGVNSNNFHGNQQGGWVMPLSWKTVYGPPADMTLF >DRNTG_21133.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2489522:2494816:-1 gene:DRNTG_21133 transcript:DRNTG_21133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGEIMRAIEVVERDSAAIAESFNSLFSSLRLALSEVTTTSVENMQCFSDVVGRVQESALDAATKGNRYINSCLRLNEEMKGVDSLAMRLKVLKKNVDTLDVAVNQLVHFS >DRNTG_20290.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5248857:5253129:-1 gene:DRNTG_20290 transcript:DRNTG_20290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATQDEQLAALNPGPVDGSLLNLQSRHRSQEIGEGKIVPRIRLIEHGKAFSQWTVTHGSVLALLRDAGMYYVSRLSRVIIDHALLRALIERWRPETQTFHFRIGEMTITLRDVALLAALRVDGEPVTCTTLRDYTRLCVQLLGQAPDHIQAGCVKLKWLRVTFMRDIASDAPQEVVERYTRAYILYLFGSVLFPDPSGNKVNLKWLLFLEDFRKCGQFAWGAAVLAHIYRELGKVSLMGHTDCCCFLALVQLWAWEYLPFVRPRIFAPVENQCEAGGQSTESEGQLADERRQCAHPVESAPEVIQLAQLPEDSPVGCRWFSMLVSTSKLKTSNTETYRNQLDLMKPSNVIWNPYSTAVINALPDICRDHQELWMSRTPLICIETVQMHVPDRVMRQFDLLQHIPEPVESIAAVTRQGKVAENWQAHHHSHVTRWQQRQQNIVTEHGELKNAPKQALVAYMKWYWRVTRRWIITPSQQPEDRPCQEQAPLEFALVSDLLFIRSESGYYLCRK >DRNTG_20290.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5248857:5253129:-1 gene:DRNTG_20290 transcript:DRNTG_20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFICCPAVYFLYQLWAWEYLPFVRPRIFAPVENQCEAGGQSTESEGQLADERRQCAHPVESAPEVIQLAQLPEDSPVGCRWFSMLVSTSKLKTSNTETYRNQLDLMKPSNVIWNPYSTAVINALPDICRDHQELWMSRTPLICIETVQMHVPDRVMRQFDLLQHIPEPVESIAAVTRQGKVAENWQAHHHSHVTRWQQRQQNIVTEHGELKNAPKQALVAYMKWYWRVTRRWIITPSQQPEDRPCQEQAPLEFALVDEIRCIHKIATDMTRSEMTIEAARRALDQIAAHCSGIIQNLSFIPNGVSIPLPAPTARSTTDFKHKIVHRRRNPVNDQTQEDNKRPTHRRRCDHTSPDVEVLEHPGSSSSRSDCVANQSDDTIQSQNTDLTEKQY >DRNTG_20290.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5248857:5250142:-1 gene:DRNTG_20290 transcript:DRNTG_20290.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSTSKLKTSNTETYRNQLDLMKPSNVIWNPYSTAVINALPDICRDHQELWMSRTPLICIETVQMHVPDRVMRQFDLLQHIPEPVESIAAVTRQGKVAENWQAHHHSHVTRWQQRQQNIVTEHGELKNAPKQALVAYMKWYWRVTRRWIITPSQQPEDRPCQEQAPLEFALVSDLLFIRSESGYYLCRK >DRNTG_20290.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5248857:5253129:-1 gene:DRNTG_20290 transcript:DRNTG_20290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQDEQLAALNPGPVDGSLLNLQSRHRSQEIGEGKIVPRIRLIEHGKAFSQWTVTHGSVLALLRDAGMYYVSRLSRVIIDHALLRALIERWRPETQTFHFRIGEMTITLRDVALLAALRVDGEPVTCTTLRDYTRLCVQLLGQAPDHIQAGCVKLKWLRVTFMRDIASDAPQEVVERYTRAYILYLFGSVLFPDPSGNKVNLKWLLFLEDFRKCGQFAWGAAVLAHIYRELGKVSLMGHTDCCCFLALVQLWAWEYLPFVRPRIFAPVENQCEAGGQSTESEGQLADERRQCAHPVESAPEVIQLAQLPEDSPVGCRWFSMLVSTSKLKTSNTETYRNQLDLMKPSNVIWNPYSTAVINALPDICRDHQELWMSRTPLICIETVQMHVPDRVMRQFDLLQHIPEPVESIAAVTRQGKVAENWQAHHHSHVTRWQQRQQNIVTEHGELKNAPKQALVAYMKWYWRVTRRWIITPSQQPEDRPCQEQAPLEFALVDEIRCIHKIATDMTRSEMTIEAARRALDQIAAHCSGIIQNLSFIPNGVSIPLPAPTARSTTDFKHKIVHRRRNPVNDQTQEDNKRPTHRRRCDHTSPDVEVLEHPGSSSSRSDCVANQSDDTIQSQNTDLTEKQY >DRNTG_20290.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5248857:5251629:-1 gene:DRNTG_20290 transcript:DRNTG_20290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLQIVPRIRLIEHGKAFSQWTVTHGSVLALLRDAGMYYVSRLSRVIIDHALLRALIERWRPETQTFHFRIGEMTITLRDVALLAALRVDGEPVTCTTLRDYTRLCVQLLGQAPDHIQAGCVKLKWLRVTFMRDIASDAPQEVVERYTRAYILYLFGSVLFPDPSGNKVNLKWLLFLEDFRKCGQFAWGAAVLAHIYRELGKVSLMGHTDCCCFLALVQLWAWEYLPFVRPRIFAPVENQCEAGGQSTESEGQLADERRQCAHPVESAPEVIQLAQLPEDSPVGCRWFSMLVSTSKLKTSNTETYRNQLDLMKPSNVIWNPYSTAVINALPDICRDHQELWMSRTPLICIETVQMHVPDRVMRQFDLLQHIPEPVESIAAVTRQGKVAENWQAHHHSHVTRWQQRQQNIVTEHGELKNAPKQALVAYMKWYWRVTRRWIITPSQQPEDRPCQEQAPLEFALVDEIRCIHKIATDMTRSEMTIEAARRALDQIAAHCSGIIQNLSFIPNGVSIPLPAPTARSTTDFKHKIVHRRRNPVNDQTQEDNKRPTHRRRCDHTSPDVEVLEHPGSSSSRSDCVANQSDDTIQSQNTDLTEKQY >DRNTG_17016.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10506138:10509075:1 gene:DRNTG_17016 transcript:DRNTG_17016.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKERSGLLNNQLSLDVQNLSTNDLQVANMAGVKIRKPYTMTKQREKWTDEEHNKFLEALKLYGRAWRRIEEHIGTKTAVQIRSHAQKFFTKVNCSFLNFSFW >DRNTG_17016.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10506138:10509075:1 gene:DRNTG_17016 transcript:DRNTG_17016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERSGLLNNQLSLDVQNLSTNDLQVANMAGVKIRKPYTMTKQREKWTDEEHNKFLEALKLYGRAWRRIEEHIGTKTAVQIRSHAQKFFTKLGRESACSDGATGSSIEIPPPRPKRKPIHPYPRKLVNLPKKGVQVTTLVDWCISQAPSASVSEQTNQSPTSVLSTTLCSDATGSSGSNSPNACTSQQSPVSGTKSSNEPLNEEENGSPSAKLNAEVTSAMELDSSLQDAFPSKENSSEQTQGTSVKLFGKTVFVASSAVECNNNTVLADDLDSHQSSTCLRMENQEKPTVQTPWNPWSASMHPMFFISPYPAANLPPGSATLPCPMWWSSNGGGSPIPLGRHPHTEACTDSDFSSPSEVDDSQLAQPTYVSNSMLSPVLNLTLNSPLTSSPKASNGQTGRGFVPYKRCTAEKALHQPVIIPYENKNGQSLQLCL >DRNTG_22006.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9104243:9123865:-1 gene:DRNTG_22006 transcript:DRNTG_22006.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGLVDDIIRRLLEVRGRPGKQVQLSETEICQLCHVSKEIFLEQPIFLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKHPENFFLLRGNHESASINRIYGFYDECKRRFSVKLWKVFTECFNCLPVAALIDEKILCMHGGLSPDLSSLDQIRKIARPIDVPETGLLCDLLWSDPIKDVQGWGMNDRGVSYTFGPDKVSEFLQKQDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPTEKKPKFGFGSTTTAKAGTSPLGVKQSFLGAKS >DRNTG_22006.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9104243:9123865:-1 gene:DRNTG_22006 transcript:DRNTG_22006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGLVDDIIRRLLEVRGRPGKQVQLSETEICQLCHVSKEIFLEQPIFLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKHPENFFLLRGNHESASINRIYGFYDECKRRFSVKLWKVFTECFNCLPVAALIDEKILCMHGGLSPDLSSLDQIRKIARPIDVPETGLLCDLLWSDPIKDVQGWGMNDRGVSYTFGPDKVSEFLQKQDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPTEKKPKFGFGSTTTAKAGTSPLGVKSFLGAKS >DRNTG_04579.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:22287283:22288885:1 gene:DRNTG_04579 transcript:DRNTG_04579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRKLCLEMEGMKKKMINLEEKNQSLMQQNLNMMRQMRHEWKCMRMMIQFRPGQTSQPQEDEEDRDEDDDDDDDL >DRNTG_33816.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2899128:2900888:-1 gene:DRNTG_33816 transcript:DRNTG_33816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKKKKKKKKEQRSIFLQCLLILLLLLLHCSHSNGAPSLSSDLAALLTFKSLSDSSNHLLTWNSSSPFPCSSWIGISCSQSRITGLVLESLALSGAAIAPLSNLTELRVLNLKSNHLSGPIPDLSSLSALKLLFLSNNSLSGLIPSSLSSLSRLYRLDLSFNLLSGQIPLSLNHLPHLLTLHLNSNNLSGPIPVLSLPNLQDLNLSSNSLTGPIPPSLSSFPPASFAGNPTGSPTTTTTTVSSSPGSKPDQGIHHSGMSHAALIAIIAGDLAALLIAFTILFLYFWRKIGSKPPSHHLHEGEKIVFSSSPYAGIERGHMVFFDETPARFELEDLLRASAEMLGKGGYGTAYKAVLQDKNIVAVKRLRETGSGLGKREFEQRMEILGRIQHPNLVSLKAYYYAPDEKLLVYEFMPGGSVFALIH >DRNTG_04689.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30437129:30437818:1 gene:DRNTG_04689 transcript:DRNTG_04689.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDNPNASTSKLTASLCLCFSLLVLSMMKRFRFGDEGEMMESINMANVLMLLSQGGEARAPASLGRVFECKTCNRQFPSFQALGGHRASHKKPKTNGDSRNQVTVSKPRTHECAICGLEFAIGQALGGHMRRHRALGQGFVHVGHGAVMEKRSTSDHDKVLCLDLDLNLPPSPSGVVDGENRTVLFGIDLVEKTPMVVDCFQ >DRNTG_04689.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30433930:30434403:1 gene:DRNTG_04689 transcript:DRNTG_04689.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMWMQRQDSFKQKFGDLNQSKDADGDLNADV >DRNTG_04689.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30433930:30437818:1 gene:DRNTG_04689 transcript:DRNTG_04689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESINMANVLMLLSQGGEARAPASLGRVFECKTCNRQFPSFQALGGHRASHKKPKTNGDSRNQVTVSKPRTHECAICGLEFAIGQALGGHMRRHRALGQGFVHVGHGAVMEKRSTSDHDKVLCLDLDLNLPPSPSGVVDGENRTVLFGIDLVEKTPMVVDCFQ >DRNTG_04689.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30433930:30437818:1 gene:DRNTG_04689 transcript:DRNTG_04689.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESINMANVLMLLSQGGEARAPASLGRVFECKTCNRQFPSFQALGGHRASHKKPKTNGDSRNQVTVSKPRTHECAICGLEFAIGQALGGHMRRHRALGQGFVHVGHGAVMEKRSTSDHDKVLCLDLDLNLPPSPSGVVDGENRTVLFGIDLVEKTPMVVDCFQ >DRNTG_04689.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30436520:30437818:1 gene:DRNTG_04689 transcript:DRNTG_04689.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDNPNASTSKLTASLCLCFSLLVLSMMKRFRFGDEGEMMESINMANVLMLLSQGGEARAPASLGRVFECKTCNRQFPSFQALGGHRASHKKPKTNGDSRNQVTVSKPRTHECAICGLEFAIGQALGGHMRRHRALGQGFVHVGHGAVMEKRSTSDHDKVLCLDLDLNLPPSPSGVVDGENRTVLFGIDLVEKTPMVVDCFQ >DRNTG_17778.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000910.1:91676:92153:-1 gene:DRNTG_17778 transcript:DRNTG_17778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLEEWRVERGAMPKLAFLKLSSCKMLKVFPDFQHVKSLQELVLYNMSLELMLRLRREAGEDWYKIQHVPKSPF >DRNTG_05043.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:185985:188863:1 gene:DRNTG_05043 transcript:DRNTG_05043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRMMSRCLISPWLDELRKLRVAELRREVERSDLSIRNLQIKVERLKGERERIAGEADLKSGEGRKGSAGSTPETLAGDRISGRSCKESNSTDPKEDGRKPGEDAKNAKDTAGDGKRKTDPVAGERSDDRSSAAGDPDPADPRESAAESKGGETEERERDGDGEKESSEVQSSASLTRRRRMRRRRKGPSVSSGAADEPETDVVTPHAASVPFTASQPLVSFLEIIRSSNPGSVFARRMDSQKTAKYKSLIRRHVDLEMVTRRIERSGSAYTSSEFFRDLLLLCNNAIVFFAKSSDEAIAAVHLRDLISKEMTAAGKQKPAQTPPPPPPLSSTPACGDGATSPAGEA >DRNTG_07526.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19261782:19264068:-1 gene:DRNTG_07526 transcript:DRNTG_07526.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVPAIVDGRFKLFESHAILRYLSH >DRNTG_07526.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19261782:19264068:-1 gene:DRNTG_07526 transcript:DRNTG_07526.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVPAIVDGRFKLFESHAILRYLSH >DRNTG_06117.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:12214049:12215566:1 gene:DRNTG_06117 transcript:DRNTG_06117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGEWRPVFNVKFDAYKNVDHYSCPFIQFYSLFYLSRLLIPPNCRLEISLTKSECHLLLISWGRHYDILPFWILFLRVSGLCDQYPSSDLSSQLHDDKLGDSPDSDEDNESEDEGRSRRKRKGLQLVRS >DRNTG_09302.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:895431:897759:1 gene:DRNTG_09302 transcript:DRNTG_09302.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQQFFRYGYTTDSVINYDLTSISNPVFSEWTLNSLKFNLNGSPNSPLSGHSSTGESPSMPVGVHPPDSTQVTSEDIRHALQELETVLMAPDTDEQPMTTSTKTWAHLETLINSQPQARPHKRLKQFPDSCPHNGVKHLLIKCAEAMSDDRIEEFQYLVQEARCVVSIHGDPIQRLGAYMLEGLVARHEESGTNIYKGLKCHEPDSSRELLYCMRILYDMCPYFKFGYMAANGAIAEALRTEDRIHIIDFQIAQGTQWTTLIQALAARPGGPPHVRITGISQGDNLQLVGKILHDMSRKFNIPFEFNPLAVPCEVVTKDMLKIRGGEALAVNFTLQLHHTPDESVDVNNPRDGLLRMVKRLSPKVMTLVEQESNTNTSPFLTRFTETMDYYSAMMESIDDSLARESKERIGVEQHCMGKDIVNMIACEGKERVERHELLGKWRLRLCMAGFKQCPLSSYVNSVIKKLMACYSDKYTLVEKDGALLLGWKGRSLISASAWH >DRNTG_09302.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:895431:897759:1 gene:DRNTG_09302 transcript:DRNTG_09302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQQFFRYGYTTDSVINYDLTSISNPVFSEWTLNSLKFNLNGSPNSPLSGHSSTGESPSMPVGVHPPDSTQVTSEDIRHALQELETVLMAPDTDEQPMTTSTKTWAHLETLINSQPQARPHKRLKQFPDSCPHNGVKHLLIKCAEAMSDDRIEEFQYLVQEARCVVSIHGDPIQRLGAYMLEGLVARHEESGTNIYKGLKCHEPDSSRELLYCMRILYDMCPYFKFGYMAANGAIAEALRTEDRIHIIDFQIAQGTQWTTLIQALAARPGGPPHVRITGISQGDNLQLVGKILHDMSRKFNIPFEFNPLAVPCEVVTKDMLKIRGGEALAVNFTLQLHHTPDESVDVNNPRDGLLRMVKRLSPKVMTLVEQESNTNTSPFLTRFTETMDYYSAMMESIDDSLARESKERIGVEQHCMGKDIVNMIACEGKERVERHELLGKWRLRLCMAGFKQCPLSSYVNSVIKKLMACYSDKYTLVEKDGALLLGWKGRSLISASAWH >DRNTG_09302.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:895431:897759:1 gene:DRNTG_09302 transcript:DRNTG_09302.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQQFFRYGYTTDSVINYDLTSISNPVFSEWTLNSLKFNLNGSPNSPLSGHSSTGESPSMPVGVHPPDSTQVTSEDIRHALQELETVLMAPDTDEQPMTTSTKTWAHLETLINSQPQARPHKRLKQFPDSCPHNGVKHLLIKCAEAMSDDRIEEFQYLVQEARCVVSIHGDPIQRLGAYMLEGLVARHEESGTNIYKGLKCHEPDSSRELLYCMRILYDMCPYFKFGYMAANGAIAEALRTEDRIHIIDFQIAQGTQWTTLIQALAARPGGPPHVRITGISQGDNLQLVGKILHDMSRKFNIPFEFNPLAVPCEVVTKDMLKIRGGEALAVNFTLQLHHTPDESVDVNNPRDGLLRMVKRLSPKVMTLVEQESNTNTSPFLTRFTETMDYYSAMMESIDDSLARESKERIGVEQHCMGKDIVNMIACEGKERVERHELLGKWRLRLCMAGFKQCPLSSYVNSVIKKLMACYSDKYTLVEKDGALLLGWKGRSLISASAWH >DRNTG_11987.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4355786:4359962:-1 gene:DRNTG_11987 transcript:DRNTG_11987.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKMTALNYSSCRGELGARNFQSLNGSDGQVSGLMARAGVGFRSKGLDYCCRVSGSLKNREPWGKNMVYRHFWTNANGRDSKSDSLVDSEIREFHSSCTSQYSAGAAPDVSFDGVVRDEQLENQGVPSELKILGDSSLKLLSGSCYIPHPDKEETGGEDAHFICVNEQAIGVADGVGGWADIGVNAGLYARELMSNSVSAIQEEPKGSIDPARVLEKAHSSTKARGSSTACIIALTDQGIHAVNLGDSGFIVVREGCTIFRSPVQQHDFNFTYQLESGNSGDLPSSAQVFTFPVASGDVIIAGTDGLFDNLYNNEVTAVVVHATRAGLGPQVTAQKIAALARQRAQDKNRQTPFSTAAQDAGYRYYGGKLDDITVVVSYITKSSV >DRNTG_18406.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4028956:4030829:1 gene:DRNTG_18406 transcript:DRNTG_18406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSEKAMERLGPNPANSSALTPIAFLDRTATVYGDSTSIIYNSTTYTWSQTHRRCLRLASALSTHLRVSPGDVVSVLAPNIPAMYEMQFGVPMSGAVLNTINIRLDARTISVLLRHSGSKLLFVDVGSRSLVNDAIRLFPSDHQPPRIIHIDDPYEEDHQPRDPNELSYENLIDLGDPEFQWRRPKSEWDPAILNYTSGTTSAPKGVVHCHRGLFIITVDSLIDWSVPKQPVYLWTLPMFHANGWSFPWGIAAVGGTNVCLRRFDAATIYTAIATHKVTHMCGAPVVLNMLANAPISARKPLPGRVEVLTAGAPPPAAVLHRAEVLGFSISHGYGLTETAGLAISCAWKGEWNKLPADERARLKARQGVRTLAMAVIDVIDESTGRSVPRDGSTLGEIVLRGGCIMLGYLKDEKATAKTVKDDGWFYTGDVGVMHPDGYLEIRDRSKDVIISGGENISSVEVESVLYGHPAVNEAAVVARPDEFWGETPCAFVSLKEGAAAKEEELVKYCRVKLPHYMVPKSVILRDELPKTSTGKIQKFVLREAAKSLGPTRMSRI >DRNTG_03987.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25674665:25677541:1 gene:DRNTG_03987 transcript:DRNTG_03987.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNHKTQTLRTYNNNTHTDHLTSSFSLPDEPKESPIEQVRHTVPTIDDPTVPTLTFRTWLLGITACLIVYPTTTFFGFRQNPVYISEILIQMLMLPVGRFMASTLPTKPVRIPWVGFSFSLNPGPFSMKEHLLASLMASAGGYPLFLSIIISKKVFFSQHVNLISSFMMMISTQILGYGIGGLFTKLLVNSPYMWWPFSLVTVTMFRAMHETEEKVKGKTSKFQFLMMAAISSFAYYIIPNFFFPSLTALSFLCLIWKRSITAQQIGSGLHGLGLGSFALDWNAISGFLGSPMALPLFTIMNSMAGFILILCIIVPITYCSNLFEAKRFPIFSYDVFAYNGQKYNVSRVLNPISNQLDVEAYNNYSKLYQSISAVFISGFDFASLVATITHVALFMGRSIWQQFIQAYNNNQEEDVHNHLMKKYKAIPIWWHYVIIAISLGLGFAVCEGFGREFQLPFWGVLLACLILLIFLPPVGVILASSGKGASISAFEQLIISYIYPGRPFAIMTFRAYTSVSMEVAIIYLYQLKIGHYMKIPPRSIFIIQMAGVVISSSISFFCNWWLLSSIKNICHPDKLPRGSQWTCPGDRVYYSDIISWGVVGPQRLYYPNGLYSSMYYFFLIGIIMPVVVWLLTRMFPERKWIRLINFPIIFSAGARLLPVTAVNYWSWFATGIFFHFVVLRRFGVEWIEKGFLLSVALDVGSVIAGLVLSVSLQLGGVYGLSWWGLDLDDHCPLAVCPTIPGVSVDGCPNL >DRNTG_21075.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20036938:20039284:1 gene:DRNTG_21075 transcript:DRNTG_21075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTQTVMAVLLQVWNLVRVTLVVPLLRLAIFLSLATTVMILVEKVFAGIVSLVVKVFSLKPEKRYRWEPMSPDLETGNLAYPMVLIQIPMYNEKEVYKLSIGAACCLDWPLDRMIIQVLDDSTDLTVKDLVKLECEKWKSKGVNITYEVRNNRQGYKAGALKEGMKHDYVQECDYVAIFDADFQPESDFLMRTIPFLVYNPDIALVQARWKFVNADECMMTRIQEMSLNYHFKIEQEAGSSTFAFFGFNGTAGVWRIKAIDNAGGWKDRTTVEDMDLAVRAGLEGWKFVYVGDVHVRSELPSTFKAYRHQQHRWSCGPANLLKKMAMEIMKNETATFIFYCVVIPVSVLVPEVEIPQWGVVYIPTLITVCIIFGTPSSIHLVIFWLLFQNVMSLHRIKAALTGLLDAGRVNEWIVTEKLGNAHKPNSTDKFKESSNTQPLINKPKTTQTNIWQRYYFSEIWVGLFMLSCGCFDLATRRQGYFIYLILQGIAFLIAGFGYVGTYVPGS >DRNTG_29290.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14665622:14666470:-1 gene:DRNTG_29290 transcript:DRNTG_29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSRRLFSASSTCRSTSDSECGPSTYQPFATNKSMLQTQHLGEKVENLESTALDIQHGQAGLQSKLGKILELVECTVDGGEDA >DRNTG_08847.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27878297:27880101:1 gene:DRNTG_08847 transcript:DRNTG_08847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCDKNGLKKGPWTSEEDQKLVDYIHNNGEGRWRTLPKNAGLSRCGKSCRLRWTNYLRPDIKRGRFSFQEEEAIIQLHSVLGNKWSAIAAQLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHRPRFDLLNLSSLLNPAVYTTTTSQFQAPKLLQNQALSDSDLFKLTTNYLLSSSHCQNSGLSGQEEIQQLIQPNYIQNAVQFDQDDQFMQMNNDNYCNLYPNSSVQYEEGVVQYDRLQQYYNYLSSSLCGENTIINFDAASEFSATTSSLTNLNSLATQSNSNTEDERDSHCSERYRMTGSHEVLDVSDFM >DRNTG_15949.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000791.1:42553:44325:-1 gene:DRNTG_15949 transcript:DRNTG_15949.2 gene_biotype:protein_coding transcript_biotype:protein_coding VGSRQYIVFPGRFIYTQRLKGANVNDKIVLNKVLLVGTRTKAYIGEPVVTNAAVHAVVEEQGLNRKVIVFKYKKKKNYRRNIATA >DRNTG_15949.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000791.1:42553:44986:-1 gene:DRNTG_15949 transcript:DRNTG_15949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSRQYIVFPGRFIYTQRLKGANVNDKIVLNKVLLVGTRTKAYIGEPVVTNAAVHAVVEEQGLNRKVIVFKYKKKKNYRRNIATA >DRNTG_10071.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26597259:26597762:1 gene:DRNTG_10071 transcript:DRNTG_10071.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYMIKHSHKFIRKRKVKLEKQRERERERAKEEEVMGRPPCCDKLGIKKGPWTPEEDIILVSYIQENGPGN >DRNTG_10071.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26597259:26598549:1 gene:DRNTG_10071 transcript:DRNTG_10071.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGTERSVPTNTGIKRGNFTPHEERMIIHLQALLGNRWAAIASYLPQKNRQ >DRNTG_10071.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26597629:26597762:1 gene:DRNTG_10071 transcript:DRNTG_10071.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSKSCRLRWTNYLRPGIKRGNFTPHEERMIIHLQALLGNR >DRNTG_00194.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:134684:137063:-1 gene:DRNTG_00194 transcript:DRNTG_00194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDKDEKGDKYRSHIYGEGEKNTEWRYGAPPNYDIVNKLFEEGKTKEWAPGSLEEKVHNMVKTWEMEIFHKKRPQDFKTLKPDIYTVSLNGRKPMNLQEIMQLGGYNPLLQTALPEELQAYNPLAQTGQASHEAFTTTFPRGFALEIVHVYSGPPTIVYKFRHWGFMEGPFKGHAPTGDMVEFYGMGIFHVDEDLKIEKVEFFYDHGELLGGLLKGTKIESSEKAQSSCPFMKTI >DRNTG_05952.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20056076:20056861:1 gene:DRNTG_05952 transcript:DRNTG_05952.1 gene_biotype:protein_coding transcript_biotype:protein_coding KYNNNTLHTEHNSHQKPIINNTSPNFHKEMIKAQAKLKLLTQIILQNPTQGTTWENLTF >DRNTG_26088.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20696555:20699241:1 gene:DRNTG_26088 transcript:DRNTG_26088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPAGEALTGDRRAGHLVSPLPPWPQEDFFLSS >DRNTG_22050.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28471669:28473533:-1 gene:DRNTG_22050 transcript:DRNTG_22050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRNASRLVGSALCQPWLSCPAPLLVDPPPPPPLLCHLNRSPWDVSPLPSEEPPSPDPPFLKFNGSISGRTHSLVQPSASASTAAAATAAATARVANVVQSAQKRDKINGKKQRRRNVKNMANANDGVVVEPMKQCGRKRCSKSDGKGWHCKKPAHMPHSLCRYHLAQLRAYNASYRVPGKPRRRTESNTGSDFYYYSGFGPWRAKRRSGGGEVVKKESVCEVDECNDNDDHVDDDGGGVVVVYDDDDDDDDEEEEEEEEEVAIGVSSGKRGRKRIKARSLKSLL >DRNTG_22050.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28467032:28473533:-1 gene:DRNTG_22050 transcript:DRNTG_22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRNASRLVGSALCQPWLSCPAPLLVDPPPPPPLLCHLNRSPWDVSPLPSEEPPSPDPPFLKFNGSISGRTHSLVQPSASASTAAAATAAATARVANVVQSAQKSARIKEAKIGASDGKIKRSLEFLTSRDADKSIPGKTFHPPLPGEG >DRNTG_08034.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30233618:30237356:-1 gene:DRNTG_08034 transcript:DRNTG_08034.1 gene_biotype:protein_coding transcript_biotype:protein_coding KDHKPEHEKRNGLCPLERFRFYADGIEVREKQNKANATQTRRPTPTGSQAQDVPLW >DRNTG_01078.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6482118:6484020:-1 gene:DRNTG_01078 transcript:DRNTG_01078.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLAKLQKLRKLILSGLSSLKELPYGLENLVKLRHLDISRGGWGSFPSGALLKKSCLENLFMDSSQWRLSYESNRDDREAEDNSTFGEIIRLKNLTDFSVDFADVLTFNSYINKADRFELLKHIDFFVYGVSHKYDGHNAENESTEKVILPGNANYLGIDGCNFIQLSDIFHWDDLRHLKVCRIQSCDEMEWIGKDGEIVLPSLQKLCLYELHSFKGLCKEKVHEETLKNLRKLCIVKCQKLKYLIPIDLLENNLKNLEETSIEDCYEMENIISGEASATITILPKFKILKLWNLPELTSICPRKLVCDSLYEIQIIDCPKLTKLPFFINNVPPTAMRIIGHKQWWETSEWEDPQLKELLQPFFESDEMYIFSDMFRDRLDISMLDLLKEKMVKVSPKSFLLFTSFYVFV >DRNTG_01078.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6480579:6484020:-1 gene:DRNTG_01078 transcript:DRNTG_01078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLAKLQKLRKLILSGLSSLKELPYGLENLVKLRHLDISRGGWGSFPSGALLKKSCLENLFMDSSQWRLSYESNRDDREAEDNSTFGEIIRLKNLTDFSVDFADVLTFNSYINKADRFELLKHIDFFVYGVSHKYDGHNAENESTEKVILPGNANYLGIDGCNFIQLSDIFHWDDLRHLKVCRIQSCDEMEWIGKDGEIVLPSLQKLCLYELHSFKGLCKEKVHEETLKNLRKLCIVKCQKLKYLIPIDLLENNLKNLEETSIEDCYEMENIISGEASATITILPKFKILKLWNLPELTSICPRKLVCDSLYEIQIIDCPKLTKLPFFINNVPPTAMRIIGHKQWWETSEWEDPQLKELLQPFFESDEMYIFSDMFRDRLDISMLDLLKEKMVKIGKLKHQAWEY >DRNTG_01078.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6482528:6484020:-1 gene:DRNTG_01078 transcript:DRNTG_01078.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLAKLQKLRKLILSGLSSLKELPYGLENLVKLRHLDISRGGWGSFPSGALLKKSCLENLFMDSSQWRLSYESNRDDREAEDNSTFGEIIRLKNLTDFSVDFADVLTFNSYINKADRFELLKHIDFFVYGVSHKYDGHNAENESTEKVILPGNANYLGIDGCNFIQLSDIFHWDDLRHLKVCRIQSCDEMEWIGKDGEIVLPSLQKLCLYELHSFKGLCKEKVHEETLKNLRKLCIVKCQKLKYLIPIDLLENNLKNLEETSIEDCYEMENIISGEASATITILPKFKILKLWNLPELTSICPRKLVCDSLYEIQIIDCPKLTKLPFFINNVPPTAMRIIGHKQWWETSEWEDPQLKELLQPFFESDEMYIFSDMFR >DRNTG_14116.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7755316:7758975:1 gene:DRNTG_14116 transcript:DRNTG_14116.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGNMVIICQYGGEFVTNSDGNLNYNNGEAHAIDISCDVSFDELKSEITSICNIDLNGMSLKYFLPNNRRTLITISSDKDLRRMVNFNANSGPTEVFIMNKVDIRAPRSTVADSGTSVIAAAEAAHGVRRKRLTAGGRSSRGKVAESANPIAANNVAIVNVNNGMSIKVDNRPVTVGVIMENIMEPRPSIAHHMDERTNGGILAEPNIFGSLPMLDTVRPINAKTLSDTIITGVGQEFDNVRDFRAQLCKYAIGKGFAYKFIKNETSRVTARCVEENCPWRIHASESSRKQKFVIKKMNYTHTCGGGNGRGGHSRASRPWLISIIKEKLHEAPQCKPKDIVREVYEDFGVTVTYSQVWRGREVAQKELYNTLTEVYRQLPWFRERILETNPRSVATLMPSHDSKLCRFFLSFYASLYGFEQGCRPLLFLGRVPLKANTDCKLLVAAGIDGDDAIFPVAFSVVEDETYSSWVWFLTELRFALSTSRIITFVSDRKKGLEQAVPQVFEDNYHSYCLHHLIEDFKEELKNGPWSQQVKDALVDDFIRAAQACTPEEFNGCLQNIRNASTDLADWVITTKPENWSDALFKGSRYDHFSSNILDSLNNWIPVKHESSIVQMLDSIRGKLMEVMHSRREASGTWASTLTPSMEQKLHTELAKTHRLDVLCSSDTIYEVRGDTIYVVNTGSCECTCRRWQISGLPCIHALAALNRVGRSFYDYCSKYFTTEMYHLAYSASIQPIPDVERIYLNNNGDYYPPSNRRPPGRPRRKRINPNKTTTVIRLCSRCKMAGHNKATCEALL >DRNTG_14116.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7755316:7758975:1 gene:DRNTG_14116 transcript:DRNTG_14116.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGNMVIICQYGGEFVTNSDGNLNYNNGEAHAIDISCDVSFDELKSEITSICNIDLNGMSLKYFLPNNRRTLITISSDKDLRRMVNFNANSGPTEVFIMNKVDIRAPRSTVADSGTSVIAAAEAAHGVRRKRLTAGGRSSRGKVAESANPIAANNVAIVNVNNGMSIKVDNRPVTVGVIMENIMEPRPSIAHHMDERTNGGILAEPNIFGSLPMLDTVRPINAKTLSDTIITGVGQEFDNVRDFRAQLCKYAIGKGFAYKFIKNETSRVTARCVEENCPWRIHASESSRKQKFVIKKMNYTHTCGGGNGRGGHSRASRPWLISIIKEKLHEAPQCKPKDIVREVYEDFGVTVTYSQVWRGREVAQKELYNTLTEVYRQLPWFRERILETNPRSVATLMPSHDSKLCRFFLSFYASLYGFEQGCRPLLFLGRVPLKANTDCKLLVAAGIDGDDAIFPVAFSVVEDETYSSWVWFLTELRFALSTSRIITFVSDRKKGLEQAVPQVFEDNYHSYCLHHLIEDFKEELKNGPWSQQVKDALVDDFIRAAQACTPEEFNGCLQNIRNASTDLADWVITTKPENWSDALFKGSRYDHFSSNILDSLNNWIPVKHESSIVQMLDSIRGKLMEVMHSRREASGTWASTLTPSMEQKLHTELAKTHRLDVLCSSDTIYEVRGDTIYVVNTGSCECTCRRWQISGLPCIHALAALNRVGRSFYDYCSKYFTTEMYHLAYSASIQPIPDVERIYLNNNGDYYPPSNRRPPGRPRRKRINPNKTTTVIRLCSRCKMAGHNKATCEALL >DRNTG_14116.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7755316:7759025:1 gene:DRNTG_14116 transcript:DRNTG_14116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTVRPINAKTLSDTIITGVGQEFDNVRDFRAQLCKYAIGKGFAYKFIKNETSRVTARCVEENCPWRIHASESSRKQKFVIKKMNYTHTCGGGNGRGGHSRASRPWLISIIKEKLHEAPQCKPKDIVREVYEDFGVTVTYSQVWRGREVAQKELYNTLTEVYRQLPWFRERILETNPRSVATLMPSHDSKLCRFFLSFYASLYGFEQGCRPLLFLGRVPLKANTDCKLLVAAGIDGDDAIFPVAFSVVEDETYSSWVWFLTELRFALSTSRIITFVSDRKKGLEQAVPQVFEDNYHSYCLHHLIEDFKEELKNGPWSQQVKDALVDDFIRAAQACTPEEFNGCLQNIRNASTDLADWVITTKPENWSDALFKGSRYDHFSSNILDSLNNWIPVKHESSIVQMLDSIRGKLMEVMHSRREASGTWASTLTPSMEQKLHTELAKTHRLDVLCSSDTIYEVRGDTIYVVNTGSCECTCRRWQISGLPCIHALAALNRVGRSFYDYCSKYFTTEMYHLAYSASIQPIPDVERIYLNNNGDYYPPSNRRPPGRPRRKRINPNKTTTVIRLCSRCKMAGHNKATCEALL >DRNTG_14116.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7755316:7758975:1 gene:DRNTG_14116 transcript:DRNTG_14116.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKVDNRPVTVGVIMENIMEPRPSIAHHMDERTNGGILAEPNIFGSLPMLDTVRPINAKTLSDTIITGVGQEFDNVRDFRAQLCKYAIGKGFAYKFIKNETSRVTARCVEENCPWRIHASESSRKQKFVIKKMNYTHTCGGGNGRGGHSRASRPWLISIIKEKLHEAPQCKPKDIVREVYEDFGVTVTYSQVWRGREVAQKELYNTLTEVYRQLPWFRERILETNPRSVATLMPSHDSKLCRFFLSFYASLYGFEQGCRPLLFLGRVPLKANTDCKLLVAAGIDGDDAIFPVAFSVVEDETYSSWVWFLTELRFALSTSRIITFVSDRKKGLEQAVPQVFEDNYHSYCLHHLIEDFKEELKNGPWSQQVKDALVDDFIRAAQACTPEEFNGCLQNIRNASTDLADWVITTKPENWSDALFKGSRYDHFSSNILDSLNNWIPVKHESSIVQMLDSIRGKLMEVMHSRREASGTWASTLTPSMEQKLHTELAKTHRLDVLCSSDTIYEVRGDTIYVVNTGSCECTCRRWQISGLPCIHALAALNRVGRSFYDYCSKYFTTEMYHLAYSASIQPIPDVERIYLNNNGDYYPPSNRRPPGRPRRKRINPNKTTTVIRLCSRCKMAGHNKATCEALL >DRNTG_20519.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:165269:167332:1 gene:DRNTG_20519 transcript:DRNTG_20519.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKQDQSPSTMVHPNMSHLDQHKVRGKRLPTVDCAAKTKRSVQAIGQRT >DRNTG_20519.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:166447:168684:1 gene:DRNTG_20519 transcript:DRNTG_20519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNCLGLVIRTPREIPNGRGSPGTARAVPSMVTGVSASSSNPPPSTAHLILLIVPLSLPRSERARKPQRRRAKTFAFAWFGEKRGSGSSA >DRNTG_20519.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:165269:166322:1 gene:DRNTG_20519 transcript:DRNTG_20519.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKQDQSPSTMVHPNMSHLDQHKVRGKRLPTVDCAAKTAKLQGNTTRRII >DRNTG_20519.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:167487:168684:1 gene:DRNTG_20519 transcript:DRNTG_20519.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNCLGLVIRTPREIPNGRGSPGTARAVPSMVTGVSASSSNPPPSTAHLILLIVPLSLPRSERARKPQRRRAKTFAFAWFGEKRGSGSSA >DRNTG_21471.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2677980:2680376:-1 gene:DRNTG_21471 transcript:DRNTG_21471.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKLGFAGMKGLDHLRSLGGSLAGSVKNSPVSNPRVSSDSASLGSFANLKLTAEKLVKEQASVKTDLELANAKLKKSMEQIHLLEMKLQEAVNENAKLKVKQTEDAKLWKGLDSKLLCTKTLCDQLTE >DRNTG_26877.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001370.1:6786:7289:-1 gene:DRNTG_26877 transcript:DRNTG_26877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQPAVNSVATILAAVLLHCSATVFDLNNFPIPYFHRGNTNGHTLTS >DRNTG_03913.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14790620:14796363:1 gene:DRNTG_03913 transcript:DRNTG_03913.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLEGLGSQDPGVVLVPNANFSSNPPINDGLVSQDPGVVLIPNAVFGSNPPINDAILAKAFQLDVKIIDYLQSKF >DRNTG_20495.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2655001:2658396:-1 gene:DRNTG_20495 transcript:DRNTG_20495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAEEIKDHVKDGRKPRILCLHGFRTSGEIMRRQVIGKWPATVTSRLDLIFPDAPCPAAGKSDVEGFFDPPYYEWFQSDEDYMHYVNLDECFEFIEDLMIKHGPIDGLMGFSQGAILSAALAGMQEKGLALTRVPKLKFVVIIGGAKFPSPTVAEKAYSTKISCPSLHFIGAEDFLNSHGKILLESFVDPLVIHHPKGHTVPRLDPKNEEMTMGFIDKIEKTLTSLDAPKDEQEEQEEHTILV >DRNTG_19548.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001010.1:14059:28116:1 gene:DRNTG_19548 transcript:DRNTG_19548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSHLLSIPISHALTLLPQLPLPVMSDDFPAAPPDSAAAANAATAAAAAAPSSSSSSDEQWKPSTRKTRPSRSCTSRSRARPPSPLHDRRSTRREKDDPSSAIITPLSQPPDSPSLIPRWSLRSMWELASLLNFLNVFRPLLKINVEFSVEELETALITPNSTLDDIHIPLLKAIPPVTRMALGRATWVTVLCRKLKDWWHWVADGEIPIVASHGTEIETYKNLEPATRVLILKALCDIRVEQEDIRSYIDNSLKHGVQLSSFRKERLGGNSHGTSYWFEDDPIIGHRLYREIRQAETKKVKTKGASHALPVSPQWETVATNLDEFQVVSDKLLASKNKTEVSLAKKLKIDILPEVEKIHKRKEKLLKKQHREALLLDSYMTADGLSTGRSLRDRKPVTYTFDDYDRSINEAIKITKKRQTSPELVVNREVAKAEASNGNGTELSQAREEVYYDTASPKSNEYEESEGEDDMEPLDRSNRRRRRPQRYLEREFVQAVSDDEADFGSDDDIVGEAVYDEEYLRSRNQKKASSSSEGDEEYRWEGNSEDEDEDDSFSASEDTDEDHHRKKKSSIQNKRGRKLRLVDELQSGLRRSKRSSRQRVNYRQYDQSDSDVDSAKSEKSNGSSRPSDASDDMEVSTASQDSADEEHAAEETNVEEQNDSTANIEKQPQLAENLDARGKETEGAFRRKFLDLNEIAPGNGFDDDDPDAMDKDEGTGNI >DRNTG_18247.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22760534:22762051:1 gene:DRNTG_18247 transcript:DRNTG_18247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDDGAVRVVVLPPPGMGHLIPFGELAKKLVSRHSISVTFITFSKFASKAQKAFFDALPPTITSIHLPPDSLADIPSDARIETRLSIATLRSLPALRSILQTLQQNAHVVAFIADLFTTDAFTVSKQLGIPSFLFFPSNLFTLSLFLHLPELDASTTCEFRDLPEPLQLPGCVPMPGSELLHPIQDRSNDCYKWMVHHGRRFRDADAILVNTFKDIEQETAKIINKEDIKWPPVYLVGPLIQSCSPDIELVNCLSWLDKQPKESVLYVSFGSAGRLTCSQMKELACGLEMSGQRFLWVVRTPSDIESDANYFNSMIIDDPVAFLPEGFVERTKNVGLLVPSWAPQVQVLAHGATGGFLSHCGWNSTLESVMHGVPMIAWPLHAEQRMNAVMLTEVVKVALRPVVAADGIYKSEEIAKVVKALMMEGEEGKCVREKAKELHVGGTR >DRNTG_17984.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000930.1:14290:14751:-1 gene:DRNTG_17984 transcript:DRNTG_17984.3 gene_biotype:protein_coding transcript_biotype:protein_coding LDAGSSFSFTSTRYLVPDSLNIFSNKEKSTDSHKRSSQNTNKTLKTRIRVE >DRNTG_17984.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000930.1:14290:16491:-1 gene:DRNTG_17984 transcript:DRNTG_17984.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLPILDAGSSFSFTSTRYLVPDSLNIFSNKEKSTDSHKRSSQNTNKTLKTRIRVE >DRNTG_17984.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000930.1:14290:16491:-1 gene:DRNTG_17984 transcript:DRNTG_17984.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLPILDAGSSFSFTSTRYLVPDSLNIFSNKEKSTDSHKRSSQNTNKTLKTRIRVE >DRNTG_31379.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001695.1:37029:38397:1 gene:DRNTG_31379 transcript:DRNTG_31379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGPSTPGCGARPSPLETSSNEISRRK >DRNTG_31379.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001695.1:37029:38035:1 gene:DRNTG_31379 transcript:DRNTG_31379.3 gene_biotype:protein_coding transcript_biotype:protein_coding YNYIHEKIRSSNPARFFFDINQFEFASLHTYMKKIRSNDLPGYPLTSTSLSLHLHPQNKKLW >DRNTG_31379.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001695.1:38083:38397:1 gene:DRNTG_31379 transcript:DRNTG_31379.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVTNEGGFIGANRKGDDAEGEEHEESNGEHEQHPKDQHVGRCPPARVRQGVAHDPHHWRHLPMRSLGENESRELKRKQDD >DRNTG_31379.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001695.1:37029:38397:1 gene:DRNTG_31379 transcript:DRNTG_31379.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVTNEGGFIGANRKGDDAEGEEHEESNGEHEQHPKDQHVGRCPPARVRQGVAHDPHHWRHLPMRSLGENESRELKRKQDD >DRNTG_30603.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001601.1:7956:8748:1 gene:DRNTG_30603 transcript:DRNTG_30603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFNTKDIQASQKLLLSFIVMASHKLLLLALLVALLSNTGNAARRHLDTPAAPSTPTTTPTIPNIPTIPTIPTIPTIPTIPTIPAVQIPPLPSVPKPTTPGIVIPTIPTTTLPPIPSIPLPTMPTIPKISIPPLPSFPIVIPTIPGFKMPPLPFLSPPPAATKP >DRNTG_05621.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20657020:20657516:1 gene:DRNTG_05621 transcript:DRNTG_05621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSKLLSLVTSIDLSNNKLSCELPDELTKLHGLHFLKLSYNLFNGRIPENIGDMKQLESLDLSENKLFGTIPSSISTLNFLGYLNISHNNLSGKIPSGTQLQSFDPSAYNWNHGLCGPPLQNCANETNPPAANEEEGKGDWTEILWLYIGLAMGFITGFWMII >DRNTG_10154.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:642318:642976:1 gene:DRNTG_10154 transcript:DRNTG_10154.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKRGSVEGLNPLMFIFALLANATYVGSILVRSIEWERIKANAPWLLDAIVCVLLDLFIIIQFAYYKFMKRSAFHEEDEDEDDES >DRNTG_17866.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2995343:2995885:1 gene:DRNTG_17866 transcript:DRNTG_17866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMKKEKKKKKKNREEEIVETALAAAGAALLVSGLKKLISACFLWQWPLITVTTAAAPSPLLILLLHLTIASIVLVSVINKSNRNKRGEIKKKKKKVMMMMMKKKMMTSSSTCKLLLKEEKDGGIVKEGEDSEEEGEVSAEELNARVEAFISEFRKQLRVDSFSYRQGSRTRSAGVVLC >DRNTG_15116.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7406382:7407358:1 gene:DRNTG_15116 transcript:DRNTG_15116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCENILSVATVVPVRLNFIPYTRPSPVSSRVRTLLISSTLGIVPYGVRLMLSPLHIVLFMLPRFSPVLSLALIAVVMMRHGLILWMRPSLLFVLRRPVSELPFQRGTTSCGSSSPAPPLLPLPHSPQAHSMQTYPTIPSPTPSSPAPLSAA >DRNTG_31474.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1956222:1959523:1 gene:DRNTG_31474 transcript:DRNTG_31474.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSYTVQISSNLVNRLVNNENKPRKKTKKLKPKLPNEPQQVQKTTKPTPGSPNSNLGGGWPHQPPMFLPVAPSQHATANAELEAIRSVLQESERVLEKLEKQEANMTRELTQRAKELHDKEFKLPYQKAHAL >DRNTG_31474.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1956293:1959367:1 gene:DRNTG_31474 transcript:DRNTG_31474.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSYTVQISSNLVNRLVNNENKPRKKTKKLKPKLPNEPQQVQKTTKPTPGSPNSNLGGGWPHQPPMFLPVAPSQHATANAELEAIRSVLQESERVLEKLEKQEANMTRELTQRAKELHDKEFKLPYQKAHAL >DRNTG_31474.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1956222:1959611:1 gene:DRNTG_31474 transcript:DRNTG_31474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSYTVQISSNLVNRLVNNENKPRKKTKKLKPKLPNEPQQVQKTTKPTPGSPNSNLGGGWPHQPPMFLPVAPSQHATANAELEAIRSVLQESERVLEKLEKQEANMTRELTQRAKELHDKEFKLPYQKAHAL >DRNTG_31474.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1956222:1959417:1 gene:DRNTG_31474 transcript:DRNTG_31474.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSYTVQISSNLVNRLVNNENKPRKKTKKLKPKLPNEPQQVQKTTKPTPGSPNSNLGGGWPHQPPMFLPVAPSQHATANAELEAIRSVLQESERVLEKLEKQEANMTRELTQRAKELHDKEFKLPYQKAHAL >DRNTG_31474.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1956222:1959367:1 gene:DRNTG_31474 transcript:DRNTG_31474.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSYTVQISSNLVNRLVNNENKPRKKTKKLKPKLPNEPQQVQKTTKPTPGSPNSNLGGGWPHQPPMFLPVAPSQHATANAELEAIRSVLQESERVLEKLEKQEANMTRELTQRAKELHDKEFKLPYQKAHAL >DRNTG_31474.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1956293:1959523:1 gene:DRNTG_31474 transcript:DRNTG_31474.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSYTVQISSNLVNRLVNNENKPRKKTKKLKPKLPNEPQQVQKTTKPTPGSPNSNLGGGWPHQPPMFLPVAPSQHATANAELEAIRSVLQESERVLEKLEKQEANMTRELTQRAKELHDKEFKLPYQKAHAL >DRNTG_31474.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1956293:1959417:1 gene:DRNTG_31474 transcript:DRNTG_31474.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSYTVQISSNLVNRLVNNENKPRKKTKKLKPKLPNEPQQVQKTTKPTPGSPNSNLGGGWPHQPPMFLPVAPSQHATANAELEAIRSVLQESERVLEKLEKQEANMTRELTQRAKELHDKEFKLPYQKAHAL >DRNTG_31474.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1956293:1959611:1 gene:DRNTG_31474 transcript:DRNTG_31474.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSYTVQISSNLVNRLVNNENKPRKKTKKLKPKLPNEPQQVQKTTKPTPGSPNSNLGGGWPHQPPMFLPVAPSQHATANAELEAIRSVLQESERVLEKLEKQEANMTRELTQRAKELHDKEFKLPYQKAHAL >DRNTG_29454.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:506546:514825:-1 gene:DRNTG_29454 transcript:DRNTG_29454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGQRRREKNYLAAHGGASRLPPPPNPKELEAIPSKLRKLMLFKNPPPSGAGSSPGDAPPEKRKAAAAVEMKWKKKDSKTMDVEEQASNLQMSDKGATTDASLNEKSKRKRKRKEVSDLRFLNEVVTPSNKKDRKKEFLQARKKKHKKANTNDETDFPAREEIKFGDVVQAPPKLSFTKLPKASMDVSRERFRLEAIEAYRNQRKWVSRPGTKLPSLAEVP >DRNTG_25687.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:942458:945228:-1 gene:DRNTG_25687 transcript:DRNTG_25687.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNVVPSLGLRNNAAVDKLPDQMNDMKIRDDKELEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERTVGQGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVVKHYNKMNQRMPPIYVKLYTYQIFRALAYIHGSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSGGCVLAELMLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPHLRSTAMEALIHPFFDELRDPNTRLPNGRFLPPLFNFKQHELKGVPMETLVKLIPEHARKQCAFLGF >DRNTG_25687.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:942458:946230:-1 gene:DRNTG_25687 transcript:DRNTG_25687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNVVPSLGLRNNAAVDKLPDQMNDMKIRDDKELEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERTVGQGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVVKHYNKMNQRMPPIYVKLYTYQIFRALAYIHGSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSGGCVLAELMLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPHLRSTAMEALIHPFFDELRDPNTRLPNGRFLPPLFNFKQHELKGVPMETLVKLIPEHARKQCAFLGF >DRNTG_12502.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29931447:29938523:1 gene:DRNTG_12502 transcript:DRNTG_12502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSNARKRARDEEKNGAGGEYYGESLENNLVFCDTLIALRMMRAQFPKIEKVSMQPFILRSQLYSSVKDRTQVDRDLESLKKEKIVLLFKLNTGQDDHAIMFMDDYLKQMSFSVKRLGQNDNTEVFEWFEQYVIESKLGDSIQHEELFGAL >DRNTG_12502.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29931447:29936123:1 gene:DRNTG_12502 transcript:DRNTG_12502.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQPFILRSQLYSSVKDRTQVDRDLESLKKEKIVLLFKLNTGQDDHAIMFMDDYLKQMSFSVKRLGQNDNTEVFEWFEQYVIESKLGDSIQHEELCSLLSYGGRVKDEHVTFLINAGLLTRQLIDPNLYWFSIPNIGSILKGLSQGRKELLSFLNRRKYKEMLLAPLEKKRLRLSPLDMRFHLRDLIGSGHLRTVQTPTGLLVRVSKD >DRNTG_12502.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29931447:29936123:1 gene:DRNTG_12502 transcript:DRNTG_12502.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSNARKRARDEEKNGAGGEYYGESLENNLVFCDTLIALRMMRAQFPKIEKVSMQPFILRSQLYSSVKDRTQVDRDLESLKKEKIVLLFKLNTGQDDHAIMFMDDYLKQMSFSVKRLGQNDNTEVFEWFEQYVIESKLGDSIQHEELCSLLSYGGRVKDEHVTFLINAGLLTRQLIDPNLYWFSIPNIGSILKGLSQGRKELLSFLNRRKYKEMLLAPLEKKRLRLSPLDMRFHLRDLIGSGHLRTVQTPTGLLVRVSKD >DRNTG_12502.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29931447:29936123:1 gene:DRNTG_12502 transcript:DRNTG_12502.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSNARKRARDEEKNGAGGEYYGESLENNLVFCDTLIALRMMRAQFPKIEKVSMQPFILRSQLYSSVKDRTQVDRDLESLKKEKIVLLFKLNTGQDDHAIMFMDDYLKQMSFSVKRLGQNDNTEVFEWFEQYVIESKLGDSIQHEELCSLLSYGGRVKDEHVTFLINAGLLTRQLIDPNLYWFSIPNIGSILKGLSQGRKELLSFLNRRKYKEMLLAPLEKKRLRLSPLDMRFHLRDLIGSGHLRTVQTPTGLLVRVSKD >DRNTG_12502.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29931691:29938523:1 gene:DRNTG_12502 transcript:DRNTG_12502.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAQFPKIEKVSMQPFILRSQLYSSVKDRTQVDRDLESLKKEKIVLLFKLNTGQDDHAIMFMDDYLKQMSFSVKRLGQNDNTEVFEWFEQYVIESKLGDSIQHEELCSLLSYGGRVKDEHVTFLINAGLLTRQLIDPNLYWFSIPNIGSILKGLSQGRKELLSFLNRRKYKEMLLAPLEKKRLRLSPLDMRFHLRDLIGSGHLRTVQTPTGLLVRVSKD >DRNTG_05954.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20154791:20155689:-1 gene:DRNTG_05954 transcript:DRNTG_05954.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKRSPTLVRAATSSRSPRRTSELARRSLAALHVPFTSPSSTTLKISLLTAKRIKCLKEMFVVSKNTNWKRSLGQLSMRLVAIGLINLTTYLEKARHEGSSLVGIA >DRNTG_24132.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:166315:167397:1 gene:DRNTG_24132 transcript:DRNTG_24132.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIVDAFAGKLVERLSNVIEEKAIMVLGVKDELQRLRRRMERIARVLKDAEKRKIQDETVKGWVNELKDLMYDADDIIDLCMIQGTGLLQDVDHHSQLAESSATASTRVRCCNFTLFSCARSVPFRSEIAAKVKSLNDKLEEISEDKDKFNFITSSNSSDAYAINEASYRQSSSLPEPDIVGWDIKDATNSLVELLVSQPEQKCRLFAIVGMGGIGKTTLAQQIYNDPKINDAFVLRSWIWVSKSFTSKADLLKEIIRNIGGKYGECTTISELQNILCDFLREKSLFLVLDDVWDENIWVDLIKNAIERRTTKCRVLITTRDRNTAAKVGATHIHHVNKLPSDVGW >DRNTG_24132.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:166315:166813:1 gene:DRNTG_24132 transcript:DRNTG_24132.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIVDAFAGKLVERLSNVIEEKAIMVLGVKDELQRLRRRMERIARVLKDAEKRKIQDETVKGWVNELKDLMYDADDIIDLCMIQGTGLLQDVDHHSQLAESSATASTRVRCCNFTLFSCARSVPFRSEIAAKVKSLNDKLEEISEDKDKFN >DRNTG_24132.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:166315:167303:1 gene:DRNTG_24132 transcript:DRNTG_24132.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIVDAFAGKLVERLSNVIEEKAIMVLGVKDELQRLRRRMERIARVLKDAEKRKIQDETVKGWVNELKDLMYDADDIIDLCMIQGTGLLQDVDHHSQLAESSATASTRVRCCNFTLFSCARSVPFRSEIAAKVKSLNDKLEEISEDKDKFNFITSSNSSDAYAINEASYRQSSSLPEPDIVGWDIKDATNSLVELLVSQPEQKCRLFAIVGMGGIGKTTLAQQIYNDPKINDAFVLRSWIWVSKSFTSKADLLKEIIRNIGGKYGECTTISELQNILCDFLREKSLFLVLDDVWDENIWVDLIKNAIERRTTKCR >DRNTG_24132.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:166202:167397:1 gene:DRNTG_24132 transcript:DRNTG_24132.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIVDAFAGKLVERLSNVIEEKAIMVLGVKDELQRLRRRMERIARVLKDAEKRKIQDETVKGWVNELKDLMYDADDIIDLCMIQGTGLLQDVDHHSQLAESSATASTRVRCCNFTLFSCARSVPFRSEIAAKVKSLNDKLEEISEDKDKFNFITSSNSSDAYAINEASYRQSSSLPEPDIVGWDIKDATNSLVELLVSQPEQKCRLFAIVGMGGIGKTTLAQQIYNDPKINDAFVLRSWIWVSKSFTSKADLLKEIIRNIGGKYGECTTISELQNILCDFLREKSLFLVLDDVWDENIWVDLIKNAIERRTTKCRVLITTRDRNTAAKVGATHIHHVNKLPSDVGW >DRNTG_24132.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:166315:167076:1 gene:DRNTG_24132 transcript:DRNTG_24132.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIVDAFAGKLVERLSNVIEEKAIMVLGVKDELQRLRRRMERIARVLKDAEKRKIQDETVKGWVNELKDLMYDADDIIDLCMIQGTGLLQDVDHHSQLAESSATASTRVRCCNFTLFSCARSVPFRSEIAAKVKSLNDKLEEISEDKDKFNFITSSNSSDAYAINEASYRQSSSLPEPDIVGWDIKDATNSLVELLVSQPEQKCRLFAIVGMGGIGKTTLAQQIYNDPKINDAFVLRSW >DRNTG_33864.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20499372:20504963:-1 gene:DRNTG_33864 transcript:DRNTG_33864.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMVMLAISLEKDYQRQEKEAALFAKKQMSYKLLDADDDDDEGAGSVTATSAASQPGKKESHRKRFRRKNETQDDEDDEVTTCKDVGRQVRSRTSEVDDGDNDSESEAARIRDQEAREQLERNIRERDAAGTRKLMESKVSKEEEEMIRRSAAMEQDDTSDLRVVSRQMYFAEKAREEAFGAAVWTAG >DRNTG_33864.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20498515:20504963:-1 gene:DRNTG_33864 transcript:DRNTG_33864.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVMLAISLEKDYQRQEKEAALFAKKQMSYKLLDADDDDDEGAGSVTATSAASQPGKKESHRKRFRRKNETQDDEDDEVTTCKDVGRQVRSRTSEVDDGDNDSESEAARIRDQEAREQLERNIRERDAAGTRKLMESKVSKEEEEMIRRSAAMEQDDTSDLRVVSRQMYFAEKAREEAFGAAG >DRNTG_33864.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20498515:20504963:-1 gene:DRNTG_33864 transcript:DRNTG_33864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVMLAISLEKDYQRQEKEAALFAKKQMSYKLLDADDDDDEGAGSVTATSAASQPGKKESHRKRFRRKNETQDDEDDEVTTCKDVGRQVRSRTSEVDDGDNDSESEAARIRDQEAREQLERNIRERDAAGTRKLMESKVSKEEEEMIRRSAAMEQDDTSDLRVVSRQMYFAEKAREEAFGAAVWTAG >DRNTG_33864.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20498515:20504963:-1 gene:DRNTG_33864 transcript:DRNTG_33864.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMVMLAISLEKDYQRQEKEAALFAKKQMSYKLLDADDDDDEGAGSVTATSAASQPGKKESHRKRFRRKNETQDDEDDEVTTCKDVGRQVRSRTSEVDDGDNDSESEAARIRDQEAREQLERNIRERDAAGTRKLMESKVSKEEEEMIRRSAAMEQDDTSDLRVVSRQMYFAEKAREEAFGAAVWTAG >DRNTG_33864.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20498515:20504963:-1 gene:DRNTG_33864 transcript:DRNTG_33864.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMVMLAISLEKDYQRQEKEAALFAKKQMSYKLLDADDDDDEGAGSVTATSAASQPGKKESHRKRFRRKNETQDDEDDEVTTCKDVGRQVRSRTSEVDDGDNDSESEAARIRDQEAREQLERNIRERDAAGTRKLMESKVSKEEEEMIRRSAAMEQDDTSDLRVVSRQMYFAEKAREEAFGAAVWTAG >DRNTG_27397.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001396.1:115457:121066:-1 gene:DRNTG_27397 transcript:DRNTG_27397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCNMALTADLTEFWLRCGRPQDPSTSRSCLVRVDAAKSPDPDCRQLAYLLSSSSRSPLARGARPCASS >DRNTG_19409.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6183007:6191745:1 gene:DRNTG_19409 transcript:DRNTG_19409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGAGNGIPVPIPASYGAGIPRLQIPRGGEIIPTHSPAGIPTGSGFSHRQTEEEVKQAFENSDQMENIRSTATSEGSLINEWEIYRNVIGEPSHGRVLGLVTSTCHGSNNIAKLGRT >DRNTG_34094.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22992642:22998226:-1 gene:DRNTG_34094 transcript:DRNTG_34094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLLLLLLQLTLYFSLADDESALLAFKSSVTSDPADVLAGWSPAGGPHCAWLGVSCDAASRVISLNLSGTIAISGEIHPAIGDLHHLKVLDLHGNNFSDEIPLSITNLSSLRILDLSLNSLSGGIPESLIGFSRLESINLSSNHLTGRITISSTNLCHSLLHLNLSNNLLQDKIPPGIGKCVKLKTLLLNGNILEGRIPPEIGWITTLKILDISRNSLTGSIPKELANCTKLSHLVLTNLDDSIFKFSNEFNAFKGGIPHELLVLKELEVLWAPRANLGGSLPELREGICKLRVINLGQNYINGFIPDWLSSCRNLSFLDLSSNVFLGLMPPQLGVHCMLYFNISHNSLTGFLKEQQSSSLQCRRNLTALVEEGDQLVNSYSVTKDGNGNYMLLHDFSWNNFTGALPSLPLKLVGDFSYSLLLNSNGFNGSFPGELLGSCVGASEFRVNLSTNHLSGEIGIGHSSCLQMRSLELADNALTGLIPPEIGDLSHLKLLDLRENYLTGSIPEQLGNIASLVAVDLSRNSLTGGIPQSFSKLTMLEKLNVSFNNLSGSIPHLPNINDCDFFIGNQLLQPCADLKNASSWPSSPSSSHSGASKWSAQSSRLKSFEVAAVASASVLLFILLALLVYLVCSKRKLAQVTSLRRKVVVTFTEAPSELNYENVVRATGNFSIQKLIGTGGFGATYKAELASGFLVAVKRLSIGRFQGLQQFGAEIRTLGRIRHENLVTLIGYYMGESDMFLIYNYLAGGNLETFIHEMSSRNVKYPVVHKIALDIAQALAYLHYSCVPRIVHRDIKPSNILLDEKLNAYLSDFGLARLLEVSETHATTDVAGTFGYVAPEYATTCRVSDKADVYSFGVVLLELMSGKRSLDPSFSKYGNGFTIVAWGRLLIQEGRSGEVFAPSLWEAGPQEHLVSMLRVALACTVESLSVRPSMNQVVETLKLLN >DRNTG_15968.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23481651:23486833:1 gene:DRNTG_15968 transcript:DRNTG_15968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGNSNSGSKSSSSNKPNMTQHLLLQQHHQQQQQLRLLQQYQQQQQQQQQQQQQQQQSQPSQQQQINLHSLQQQQQAMSRFPSNIDAHLRAPGLRSFQFQQPSQPQPQQQSQSPQQQQQQQQQQQQQQRVVRPVGNPAELEMAHQDALKVCNPDFKRPFLSLEDAVLRLLPYHVVSDFEAEEDDRILDSDTTGQLPSRLQQWDHNILSKIAEFTTTFEKQVLAFNIMSRKRAMGEFRSEERLLIEQALLQEEKQALLELRAEMESFEKAGREAAEVKMRMAMAHAEQARAEAQAHAELMARAPMRASAMGSHADDGAGQDTGQEQGNNGEEMIHGWGNTQKDEDDPSDDFLNDENEPDNGEAQDEWREARSLDLNSR >DRNTG_15968.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23481651:23486622:1 gene:DRNTG_15968 transcript:DRNTG_15968.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGNSNSGSKSSSSNKPNMTQHLLLQQHHQQQQQLRLLQQYQQQQQQQQQQQQQQQQSQPSQQQQINLHSLQQQQQAMSRFPSNIDAHLRAPGLRSFQFQQPSQPQPQQQSQSPQQQQQQQQQQQQQQRVVRPVGNPAELEMAHQDALKVCNPDFKRPFLSLEDAVLRLLPYHVVSDFEAEEDDRILDSDTTGQLPSRLQQWDHNILSKIAEFTTTFEKQVLAFNIMSRKRAMGEFRSEERLLIEQALLQEEKQALLELRAEMESFEKAGREAAEVKMRMAMAHAEQARAEAQAHAELMARAPMRASAMGSHADDGAGQDTGQEQGNNGEEMIHGWGNTQKDEDDPSDDFLNDENEPDNGEAQDEWREARSLDLNSR >DRNTG_15968.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23481567:23486622:1 gene:DRNTG_15968 transcript:DRNTG_15968.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGNSNSGSKSSSSNKPNMTQHLLLQQHHQQQQQLRLLQQYQQQQQQQQQQQQQQQQSQPSQQQQINLHSLQQQQQAMSRFPSNIDAHLRAPGLRSFQFQQPSQPQPQQQSQSPQQQQQQQQQQQQQQRVVRPVGNPAELEMAHQDALKVCNPDFKRPFLSLEDAVLRLLPYHVVSDFEAEEDDRILDSDTTGQLPSRLQQWDHNILSKIAEFTTTFEKQVLAFNIMSRKRAMGEFRSEERLLIEQALLQEEKQALLELRAEMESFEKAGREAAEVKMRMAMAHAEQARAEAQAHAELMARAPMRASAMGSHADDGAGQDTGQEQGNNGEEMIHGWGNTQKDEDDPSDDFLNDENEPDNGEAQDEWREARSLDLNSR >DRNTG_15968.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23481651:23486661:1 gene:DRNTG_15968 transcript:DRNTG_15968.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGNSNSGSKSSSSNKPNMTQHLLLQQHHQQQQQLRLLQQYQQQQQQQQQQQQQQQQSQPSQQQQINLHSLQQQQQAMSRFPSNIDAHLRAPGLRSFQFQQPSQPQPQQQSQSPQQQQQQQQQQQQQQRVVRPVGNPAELEMAHQDALKVCNPDFKRPFLSLEDAVLRLLPYHVVSDFEAEEDDRILDSDTTGQLPSRLQQWDHNILSKIAEFTTTFEKQVLAFNIMSRKRAMGEFRSEERLLIEQALLQEEKQALLELRAEMESFEKAGREAAEVKMRMAMAHAEQARAEAQAHAELMARAPMRASAMGSHADDGAGQDTGQEQGNNGEEMIHGWGNTQKDEDDPSDDFLNDENEPDNGEAQDEWREARSLDLNSR >DRNTG_21501.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17708015:17709102:-1 gene:DRNTG_21501 transcript:DRNTG_21501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSAIGILARDGVVLVGEKKVTSKLLQTSRSTEKMYKIDDHLACAVAGIMSDANILINTARVQAQRYTYAYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDRSFGFQLYMSDPSGNYGGWKAAAIGANNQAAQSMLKQDYKEEMTREEAVQLALKVLSKTMDSTSLTSEKLELAEIFLAPSGEVKYQVCSPDALGKLLVKHGVTQAAAESS >DRNTG_31719.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:222677:228857:1 gene:DRNTG_31719 transcript:DRNTG_31719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVASSSSSSVVKHGKGKDQEGDTEKSEKKNETTNTVPFYKLFSFADSTDIFLMIAGTIGAVANGISMPLMTILFGDMIQSFGGTTDIHDVVHEVSKVSLKFVYLAIGAGVASFLQVTCWMATGERQSARIRNLYLKTILRQEIGFFDKETNTGEVVGRMSGDTVLIQDALGEKVGKFIQLTSTFFGGFIIAFIKGWLLSLVMLSIIPPLVIAGGIMALVISKMASRGQEAYAEAANVVEQAIGSIRTVASFTGERIAVNKYKKYLTNAYLSTVQEGLASGAGLGTVTLIMFCGYSLGVWYGSKLVLDHKDYTGGKVINVIFALLVGSFSLGQTSPCTKAFAAGQAAAYKMFEAIKRKPLIDAYDTKGKTVDEIRGDIEFRDVHFTYPARPDEQIFRGFSLSIPSGMTVALVGESGSGKSTVISLIERFYDPQAGEVLIDGINLKEFQLRWIRGKIGLVSQEPVLFASSIRDNIAYGKDNATTEEIRTAAELANASKFIDKMPQGLDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDVESERVVQEALDRIMTNRTTVIVAHRLSTVRNADTIAVIHRGLIVEKGSHSELVKNPEGAYCQLIRLQEKNQHSEQVSQSDHEKPSLSLDSARKSSQHMSINRSISRGSSLGNSNRHSFTQTFGLPVVDTTEIKLEEGKDTPLPTKEYKEVPLRRLAYLNKPEIPVLILGSFAAIVNGTVFPIFALLLSSVINTFYQPPEKLKKDSKFWALMFLIFAFVSFVALPATTYLFGVAGAKLIRRIRLMTFEKIINMEIEWFDDPENSSGAIGARLAADAATVRGLVGDALSLLVQNITTLVTGLVIAFTASWQLSLIILALIPIIGINGYVQFKFLKGFSEDAKMKYEEASQVATDAVGSIRTVASFSAEDKVMDLYKKKCEAPTKAGVRQGLVSGIGFGLSFFLLYCVYALCFYAGARLVGAGKTTFGKVFRVFLALSMAAVGISQSSSLAPDSSKAKTATASVFSILDRKSKIDPSDESGMTLEVVKGNIEFRHVSFKYPTRPDVQIFQDLCLTVQSGKTVALVGESGSGKSTAIALLQRFYNPDSGHILIDGVEIEKFQLRWLRQQMGLVSQEPVLFNETIRTNIAYGKEGNATEAEIVAAAESANAHKFICSLQQGYDTMVGERGIQLSGGQKQRVAIARAIVKEPKILLLDEATSALDAESERIVQDALDQVMVNRTTMVIAHRLSTIKNADLIAVVKNGVIVEKGKHDKLINIKDGAYASLVALHSRAST >DRNTG_31719.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:222677:228857:1 gene:DRNTG_31719 transcript:DRNTG_31719.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVASSSSSSVVKHGKGKDQEGDTEKSEKKNETTNTVPFYKLFSFADSTDIFLMIAGTIGAVANGISMPLMTILFGDMIQSFGGTTDIHDVVHEVSKVSLKFVYLAIGAGVASFLQVTCWMATGERQSARIRNLYLKTILRQEIGFFDKETNTGEVVGRMSGDTVLIQDALGEKVGKFIQLTSTFFGGFIIAFIKGWLLSLVMLSIIPPLVIAGGIMALVISKMASRGQEAYAEAANVVEQAIGSIRTVASFTGERIAVNKYKKYLTNAYLSTVQEGLASGAGLGTVTLIMFCGYSLGVWYGSKLVLDHKDYTGGKVINVIFALLVGSFSLGQTSPCTKAFAAGQAAAYKMFEAIKRKPLIDAYDTKGKTVDEIRGDIEFRDVHFTYPARPDEQIFRGFSLSIPSGMTVALVGESGSGKSTVISLIERFYDPQAGEVLIDGINLKEFQLRWIRGKIGLVSQEPVLFASSIRDNIAYGKDNATTEEIRTAAELANASKFIDKMPQGLDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDVESERVVQEALDRIMTNRTTVIVAHRLSTVRNADTIAVIHRGLIVEKGSHSELVKNPEGAYCQLIRLQEKNQHSEQVSQSDHEKPSLSLDSARKSSQHMSINRSISRGSSLGNSNRHSFTQTFGLPVVDTTEIKLEEGKDTPLPTKEYKEVPLRRLAYLNKPEIPVLILGSFAAIVNGTVFPIFALLLSSVINTFYQPPEKLKKDSKFWALMFLIFAFVSFVALPATTYLFGVAGAKLIRRIRLMTFEKIINMEIEWFDDPENSSGAIGARLAADAATVRGLVGDALSLLVQNITTLVTGLVIAFTASWQLSLIILALIPIIGINGYVQFKFLKGFSEDAKMKYEEASQVATDAVGSIRTVASFSAEDKVMDLYKKKCEAPTKAGVRQGLVSGIGFGLSFFLLYCVYALCFYAGARLVGAGKTTFGKVFRVFLALSMAAVGISQSSSLAPDSSKAKTATASVFSILDRKSKIDPSDESGMTLEVVKGNIEFRHVSFKYPTRPDVQIFQDLCLTVQSGKTVALVGESGSGKSTAIALLQRFYNPDSGHILIDGVEIEKFQLRWLRQQMGLVSQEPVLFNETIRTNIAYGKEGNATEAEIVAAAESANAHKFICSLQQGYDTMVGERGIQLSGGQKQRVAIARAIVKEPKILLLDEATSALDAESERIVQDALDQVMVNRTTMVIAHRLSTIKNADLIAVVKNGVIVEKGKHDKLINIKDGAYASLVALHSRAST >DRNTG_31719.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:222677:228857:1 gene:DRNTG_31719 transcript:DRNTG_31719.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVASSSSSSVVKHGKGKDQEGDTEKSEKKNETTNTVPFYKLFSFADSTDIFLMIAGTIGAVANGISMPLMTILFGDMIQSFGGTTDIHDVVHEVSKVSLKFVYLAIGAGVASFLQVTCWMATGERQSARIRNLYLKTILRQEIGFFDKETNTGEVVGRMSGDTVLIQDALGEKVGKFIQLTSTFFGGFIIAFIKGWLLSLVMLSIIPPLVIAGGIMALVISKMASRGQEAYAEAANVVEQAIGSIRTVASFTGERIAVNKYKKYLTNAYLSTVQEGLASGAGLGTVTLIMFCGYSLGVWYGSKLVLDHKDYTGGKVINVIFALLVGSFSLGQTSPCTKAFAAGQAAAYKMFEAIKRKPLIDAYDTKGKTVDEIRGDIEFRDVHFTYPARPDEQIFRGFSLSIPSGMTVALVGESGSGKSTVISLIERFYDPQAGEVLIDGINLKEFQLRWIRGKIGLVSQEPVLFASSIRDNIAYGKDNATTEEIRTAAELANASKFIDKMPQGLDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDVESERVVQEALDRIMTNRTTVIVAHRLSTVRNADTIAVIHRGLIVEKGSHSELVKNPEGAYCQLIRLQEKNQHSEQVSQSDHEKPSLSLDSARKSSQHMSINRSISRGSSLGNSNRHSFTQTFGLPVVDTTEIKLEEGKDTPLPTKEYKEVPLRRLAYLNKPEIPVLILGSFAAIVNGTVFPIFALLLSSVINTFYQPPEKLKKDSKFWALMFLIFAFVSFVALPATTYLFGVAGAKLIRRIRLMTFEKIINMEIEWFDDPENSSGAIGARLAADAATVRGLVGDALSLLVQNITTLVTGLVIAFTASWQLSLIILALIPIIGINGYVQFKFLKGFSEDAKMKYEEASQVATDAVGSIRTVASFSAEDKVMDLYKKKCEAPTKAGVRQGLVSGIGFGLSFFLLYCVYALCFYAGARLVGAGKTTFGKVFRVFLALSMAAVGISQSSSLAPDSSKAKTATASVFSILDRKSKIDPSDESGMTLEVVKGNIEFRHVSFKYPTRPDVQIFQDLCLTVQSGKTVALVGESGSGKSTAIALLQRFYNPDSGHILIDGVEIEKFQLRWLRQQMGLVSQEPVLFNETIRTNIAYGKEGNATEAEIVAAAESANAHKFICSLQQGYDTMVGERGIQLSGGQKQRVAIARAIVKEPKILLLDEATSALDAESERIVQDALDQVMVNRTTMVIAHRLSTIKNADLIAVVKNGVIVEKGKHDKLINIKDGAYASLVALHSRAST >DRNTG_31719.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:222677:228857:1 gene:DRNTG_31719 transcript:DRNTG_31719.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVASSSSSSVVKHGKGKDQEGDTEKSEKKNETTNTVPFYKLFSFADSTDIFLMIAGTIGAVANGISMPLMTILFGDMIQSFGGTTDIHDVVHEVSKVSLKFVYLAIGAGVASFLQVTCWMATGERQSARIRNLYLKTILRQEIGFFDKETNTGEVVGRMSGDTVLIQDALGEKVGKFIQLTSTFFGGFIIAFIKGWLLSLVMLSIIPPLVIAGGIMALVISKMASRGQEAYAEAANVVEQAIGSIRTVASFTGERIAVNKYKKYLTNAYLSTVQEGLASGAGLGTVTLIMFCGYSLGVWYGSKLVLDHKDYTGGKVINVIFALLVGSFSLGQTSPCTKAFAAGQAAAYKMFEAIKRKPLIDAYDTKGKTVDEIRGDIEFRDVHFTYPARPDEQIFRGFSLSIPSGMTVALVGESGSGKSTVISLIERFYDPQAGEVLIDGINLKEFQLRWIRGKIGLVSQEPVLFASSIRDNIAYGKDNATTEEIRTAAELANASKFIDKMPQGLDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDVESERVVQEALDRIMTNRTTVIVAHRLSTVRNADTIAVIHRGLIVEKGSHSELVKNPEGAYCQLIRLQEKNQHSEQVSQSDHEKPSLSLDSARKSSQHMSINRSISRGSSLGNSNRHSFTQTFGLPVVDTTEIKLEEGKDTPLPTKEYKEVPLRRLAYLNKPEIPVLILGSFAAIVNGTVFPIFALLLSSVINTFYQPPEKLKKDSKFWALMFLIFAFVSFVALPATTYLFGVAGAKLIRRIRLMTFEKIINMEIEWFDDPENSSGAIGARLAADAATVRGLVGDALSLLVQNITTLVTGLVIAFTASWQLSLIILALIPIIGINGYVQFKFLKGFSEDAKMKYEEASQVATDAVGSIRTVASFSAEDKVMDLYKKKCEAPTKAGVRQGLVSGIGFGLSFFLLYCVYALCFYAGARLVGAGKTTFGKVFRVFLALSMAAVGISQSSSLAPDSSKAKTATASVFSILDRKSKIDPSDESGMTLEVVKGNIEFRHVSFKYPTRPDVQIFQDLCLTVQSGKTVALVGESGSGKSTAIALLQRFYNPDSGHILIDGVEIEKFQLRWLRQQMGLVSQEPVLFNETIRTNIAYGKEGNATEAEIVAAAESANAHKFICSLQQGYDTMVGERGIQLSGGQKQRVAIARAIVKEPKILLLDEATSALDAESERIVQDALDQVMVNRTTMVIAHRLSTIKNADLIAVVKNGVIVEKGKHDKLINIKDGAYASLVALHSRAST >DRNTG_12798.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2109829:2111160:1 gene:DRNTG_12798 transcript:DRNTG_12798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMKVSVCMVALMVVCMAVGWQKGEGAVTCGTVTSCLAPCMPLAKGTGGLSPACCAGVKRLNTLAATTADRKTACGCLKTIGNALKKANWGAIEAVPAKCGVSVGYKISPSTDCST >DRNTG_12798.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2109829:2111160:1 gene:DRNTG_12798 transcript:DRNTG_12798.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMKVSVCMVALMVVCMAVGWQKGEGAVTCGTVTSCLAPCMPLAKGTGGLSPACCAGVKRLNTLAATTADRKTACGCLKTIGNALKKANWGAIEAVPAKCGVSVGYKISPSTDC >DRNTG_22245.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1212729:1215208:1 gene:DRNTG_22245 transcript:DRNTG_22245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >DRNTG_21446.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1813167:1814026:1 gene:DRNTG_21446 transcript:DRNTG_21446.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARRLPPRKLLLDDDSLDSAHDRALDPYSSDDFRIYDFKVRRCVRGRSHDWTDCPFVHPGEKARRRDPRRFPYSGTPCPDFRRDGSCPRGDACNLAHGVFESWLHPARYRTMPCKDGIQCRRKVCFFAHTPSQLRVLWPSSPTSTLLGVSRFSPPRSPSVSPPVSPSIGWYASEERDALKVFDDLFSALERMALAERCSAAEKDGCCGPDLEWVDELLM >DRNTG_21446.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1813301:1814067:1 gene:DRNTG_21446 transcript:DRNTG_21446.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARRLPPRKLLLDDDSLDSAHDRALDPYSSDDFRIYDFKVRRCVRGRSHDWTDCPFVHPGEKARRRDPRRFPYSGTPCPDFRRDGSCPRGDACNLAHGVFESWLHPARYRTMPCKDGIQCRRKVCFFAHTPSQLRVLWPSSPTSTLLGVSRFSPPRSPSVSPPVSPSIGWYASEERDALKVFDDLFSALERMALAERCSAAEKDGCCGPDLEWVDELLM >DRNTG_21446.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1813301:1814026:1 gene:DRNTG_21446 transcript:DRNTG_21446.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARRLPPRKLLLDDDSLDSAHDRALDPYSSDDFRIYDFKVRRCVRGRSHDWTDCPFVHPGEKARRRDPRRFPYSGTPCPDFRRDGSCPRGDACNLAHGVFESWLHPARYRTMPCKDGIQCRRKVCFFAHTPSQLRVLWPSSPTSTLLGVSRFSPPRSPSVSPPVSPSIGWYASEERDALKVFDDLFSALERMALAERCSAAEKDGCCGPDLEWVDELLM >DRNTG_21446.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1813167:1814067:1 gene:DRNTG_21446 transcript:DRNTG_21446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARRLPPRKLLLDDDSLDSAHDRALDPYSSDDFRIYDFKVRRCVRGRSHDWTDCPFVHPGEKARRRDPRRFPYSGTPCPDFRRDGSCPRGDACNLAHGVFESWLHPARYRTMPCKDGIQCRRKVCFFAHTPSQLRVLWPSSPTSTLLGVSRFSPPRSPSVSPPVSPSIGWYASEERDALKVFDDLFSALERMALAERCSAAEKDGCCGPDLEWVDELLM >DRNTG_07343.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8925745:8926895:1 gene:DRNTG_07343 transcript:DRNTG_07343.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEERSDFRLCEADHGSRERKVEEVGGQNLQHQRCHTILQSKHILNNYYYMSTSSSSIQMQEQARKEEKPKQSDLNIAQSST >DRNTG_23399.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22280634:22287169:-1 gene:DRNTG_23399 transcript:DRNTG_23399.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFTSTPTSAYRYTIVLPKPVLFLVSVVDGLWLAITKALFQLGLVSSPTTLLAPPWDHVYVSNVTMHQANNNLLIDLPIIKFSMLHQSCKEAKDYEDDEALSLVCLRKLEEKDEVRELSNFSHVFHKECIDKWMNLEHPLALCVDLSCCLQRARRV >DRNTG_23399.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22280634:22287169:-1 gene:DRNTG_23399 transcript:DRNTG_23399.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFTSTPTSAYRYTIVLPKPVLFLVSVVDGLWLAITKALFQLGLVSSPTTLLAPPWDHVYVSNVTMHQANNNLLIDLPIIKFSMLHQSCKEAKDYEDDEALSLVCLRKLEEKDEVRELSNFSHVFHKECIDKWMNLEHPLALCVDLSCCLQRARRV >DRNTG_23399.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22280634:22287169:-1 gene:DRNTG_23399 transcript:DRNTG_23399.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFTSTPTSAYRYTIVLPKPVLFLVSVVDGLWLAITKALFQLGLVSSPTTLLAPPWDHVYVSNVTMHQANNNLLIDLPIIKFSMLHQSCKEAKDYEDDEALSLVCLRKLEEKDEVRELSNFSHVFHKECIDKWMNLEHPLALCVDLSCCLQRARRV >DRNTG_23399.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22280634:22287169:-1 gene:DRNTG_23399 transcript:DRNTG_23399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFTSTPTSAYRYTIVLPKPVLFLVSVVDGLWLAITKALFQLGLVSSPTTLLAPPWDHVYVSNVTMHQANNNLLIDLPIIKFSMLHQSCKEAKDYEDDEALSLVCLRKLEEKDEVRELSNFSHVFHKECIDKWMNLEHPLALCVDLSCCLQRARRV >DRNTG_31044.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30580273:30582918:1 gene:DRNTG_31044 transcript:DRNTG_31044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSETSSASSSESSSLSTRRDRRRRRHRSGGDKGALKVRKDRSSRMKRRRRRRSPRDSSYSDTDSHSYSESSSGSEYEASRHSRKHKQNERSKKAKEKDRSKSLRHKRDKEKLKEVGYY >DRNTG_31044.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30580273:30582918:1 gene:DRNTG_31044 transcript:DRNTG_31044.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSETSSASSSESSSLSTRRDRRRRRHRSGGDKGALKVRKDRSSRMKRRRRRRSPRDSSYSDTDSHSYSESSSGSEYEASRHSRKHKQNERSKKAKEKDRSKSLRHKRDKEKLKEKKQSERASSPIQLSKLLARDKEDGVRRSAVSGKKILLKLDKTKEDKMAETNRSELLKFLNASYD >DRNTG_04254.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:8386702:8391071:-1 gene:DRNTG_04254 transcript:DRNTG_04254.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVAQNLSPELTLVMTSNLECASTETTILDALHIMHDGKFLHLPVLDKDGCVVACVDVLQITHASISMVDGGSGAANDMANTIMQKFWDSALALEPADEEFDTNSEMSALFAIGIC >DRNTG_23668.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20521289:20524407:-1 gene:DRNTG_23668 transcript:DRNTG_23668.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCGGKGGAVHEFVVGVRIVTPASGEEGFARVLSLDARHPEFDAVKVSLGVLGVISQVTLKLEPMFKRSLTYVDSDDTGLGDKVVDFGRQYEFGDVSWFPGHKKAVYRVDFRVPTNASGNGLNDFIGFRSTTALALALSRLIDENLEATNNTIGKCITSKLMKDTTSIGGYGLKNNGILFTGYPVVGFQNRMQSSGSCLDSIEDSLITACPWDPRIKGAFFHQTTFSIALSKVKDFIIDVQKLRDINPKALCELELSNGILMRYVKGSTAFLGKEEDSVDFDITYYRSHDPMKPRLDEDVYEEIEQMGLFKYGGLPHWGKNRNLAFDGVAKKYAKFGEFLEVKEKFDPDGLFSSEWSDEVLGINGKRTSIVKPGCALEGLCICSEDTHCAPAKGYFCRPGKVFTDARVCSKS >DRNTG_13709.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5366162:5369210:-1 gene:DRNTG_13709 transcript:DRNTG_13709.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKTTGEGGSPVEGEQRTAVLYVGDLDPSVTEADLINAFDRFGPPSSARVFRDSNSGSSLRYAFLNFPSLDLAEKALREMNHSPLNGKPMRIMWCARDSVTRKSGIGNLFVKNLDVSVDGSALERMFSDYGTVVSCKVSVDEKGISKGFGFVQMESEEAALSAIKGLNGKDLKDGVKKLYVSKFLKKSEREASPMEFKCSNLYIKNLSSDITNELLQDKFSEFGKVHSAIVMKDSNGKSKGFGFVSFELPEHAKIAMEAMNGSKLGSGSKILYVGPAQKKEEREKILKSQFGKKLDQPLKKSQSETVYVKNLDISVDDRKLLEHFVGCGKIMSARVLRDPNGQSRGFGFVRFSSPDEANKAITTLNGSMLNNKALYIGTARRINTFRKFQSAKSFPYQDPLYTTNYYIPHAHAPCSGRPQCVAHGNQMYIYQHPKLGMDYSLKHSSYQFDSSPLMTGYIDFPISSYWSGKGHSDAYSSQCEVPKSSKYQGQNNASTEMVTKLITQRYSAQRLRALAMGEKQQLVFPQIQKKLTTEDKMELEVLKHGKARAVKVVGPGNGTSITA >DRNTG_13709.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5366162:5367296:-1 gene:DRNTG_13709 transcript:DRNTG_13709.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSARVLRDPNGQSRGFGFVRFSSPDEANKAITTLNGSMLNNKALYIGTARRINTFRKFQSAKSFPYQDPLYTTNYYIPHAHAPCSGRPQCVAHGNQMYIYQHPKLGMDYSLKHSSYQFDSSPLMTGYIDFPISSYWSGKGHSDAYSSQCEVPKSSKYQGQNNASTEMVTKLITQRYSAQRLRALAMGEKQQLVFPQIQKKLTTEDKMELEVLKHGKARAVKVVGPGNGTSITA >DRNTG_28207.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6370488:6387189:-1 gene:DRNTG_28207 transcript:DRNTG_28207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTKKVVGKCPHETSPQQEGMEFTILEHQARFERLLKLMFRQTASGRHYSMTVTQFSVRLGLYVKAFTDTEDYEQLPTDYPSSLTPQRAYKNTILHLGHVVSEYLRHQGQYTRINVISSGPYITRLIIRMCLLDAIRGAEKTTVPAPLSLGTMRMMGMIRRYRDGVYVLNMPPPESVKAEEDTAEGSQPILEP >DRNTG_16497.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29784543:29785622:-1 gene:DRNTG_16497 transcript:DRNTG_16497.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQILEPSKEVALQLLESGRQNLATHKLGVDMLRQLSLHHDYVTTLLQDGYYLEALRYAHKHKVITVRSSLFLEAALATREAQNLAAVLRFFSDFLPGFKETSDCSRYQRILVEMS >DRNTG_16497.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29784478:29785622:-1 gene:DRNTG_16497 transcript:DRNTG_16497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVNKILEPSKEVALQLLESGRQNLATHKLGVDMLRQLSLHHDYVTTLLQDGYYLEALRYAHKHKVITVRSSLFLEAALATREAQNLAAVLRFFSDFLPGFKETSDCSRYQRILVEMS >DRNTG_16497.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29784478:29785622:-1 gene:DRNTG_16497 transcript:DRNTG_16497.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQILEPSKEVALQLLESGRQNLATHKLGVDMLRQLSLHHDYVTTLLQDGYYLEALRYAHKHKVITVRSSLFLEAALATREAQNLAAVLRFFSDFLPGFKETSDCSRYQRILVEMS >DRNTG_16497.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29784543:29785622:-1 gene:DRNTG_16497 transcript:DRNTG_16497.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVNKILEPSKEVALQLLESGRQNLATHKLGVDMLRQLSLHHDYVTTLLQDGYYLEALRYAHKHKVITVRSSLFLEAALATREAQNLAAVLRFFSDFLPGFKETSDCSRYQRILVEMS >DRNTG_13233.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2842858:2844497:1 gene:DRNTG_13233 transcript:DRNTG_13233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKNAVESTLAERNILISVRNPFVVRFFYSFTCKENLYLVMEYLNGGDLYSLLRNLGCLDEEMARTYIAELVLALEYLHSVNVIHRDLKPDNLLISCDGHIKLTDFGLSKVGLINSTDDLSGPDVSSSILAGDHEPMPASIRAEKRDQRQKQSAIGTPDYLAPEILLGMQHGPTADWWSVGIILYELLVGIPPFNAEHPQ >DRNTG_13233.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2842858:2844317:1 gene:DRNTG_13233 transcript:DRNTG_13233.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKNAVESTLAERNILISVRNPFVVRFFYSFTCKENLYLVMEYLNGGDLYSLLRNLGCLDEEMARTYIAELVLALEYLHSVNVIHRDLKPDNLLISCDGHIKLTDFGLSKVGLINSTDDLSGPDVSSSILAGDHEPMPASIRAEKRDQRQKQSAIGTPDYLAPEILLGMQHG >DRNTG_07638.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000344.1:114467:116976:1 gene:DRNTG_07638 transcript:DRNTG_07638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQNHLQWIDIESNSGGRIGGLSDAVLNPSRSHSHPVSPHQNTHTSDQTSDVRADKKGIYTGVGPVAMSC >DRNTG_29209.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3192440:3197544:1 gene:DRNTG_29209 transcript:DRNTG_29209.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:A/N-InvB [Source:Projected from Arabidopsis thaliana (AT4G34860) UniProtKB/TrEMBL;Acc:A0A178V2X0] MDSPQSSGLRKLKPVIVKHEEFDFSKLEDRPKTLGIERHRSCDEKAMHDLSVRSLSAMPSFKNGSSRSHENLETIPSPDKRSVINTPKGSYFSEASPLMAEGWEALQRSLVYFRGLRVGTIAALDHSEEALNYNQVFVRDFFPSALAFMMMKEPEVVKNFLLKTLRLQSWEKTIDRFKLGQGAMPASFKVLHDPVRNHDTLIADFGESAIGRVAPVDSGFWWIILLQAYTKYTHDQSLSEMPECQKGMRLILTLCLSEGFDTFPTLLCADGCCMIDRRMGIYGYPIEIQALFFMALRCALALLKQDDEGKEFVERITKRLHALSYHLRSYFWLDFKQLNDIYRYKTEEYSHTAVNKFNVMPDSLPDWVFDFMPTRGGYFIGNVSPARMDFRWFCLGNCIAILSSLATPEQSAAIMDLIEERWEELVGEMPMKVCYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARHAIELAEARLSKDNWPEYYDGKLGRYIGKQSRKLQTWSIAGYLVAKMMLEDPSHIIMVSLEEDKQMRPPLKRSSSWSS >DRNTG_29209.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3196859:3197544:1 gene:DRNTG_29209 transcript:DRNTG_29209.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:A/N-InvB [Source:Projected from Arabidopsis thaliana (AT4G34860) UniProtKB/TrEMBL;Acc:A0A178V2X0] MMLEDPSHIIMVSLEEDKQMRPPLKRSSSWSS >DRNTG_19655.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3001218:3004971:1 gene:DRNTG_19655 transcript:DRNTG_19655.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVSQLSKKFSVFTAHQISKFPNTSSSLRRGFASIFFSAHPPPADQPKSPFDEPSTSATRKEASAEADEPSTLVVPTRRPCGRPAGSKNKPKPPIIADNVVPRDGEGRCPARSVAEVADAPGMLRSC >DRNTG_32114.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001786.1:23631:26723:1 gene:DRNTG_32114 transcript:DRNTG_32114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSLCGPRVAAARVQLTGCYALYQATGYPRNGFEEKRDTTFSNLQNGLSGSGGVGFYELSYRLVYSMAECEGDLSARDCGYIKEDSKAAASEMEGRTEESLLGIHPNISTEKPDPLLSASSNSKLSTLTSMVGGSIPSTSLLKDELDIVIPIDHQEP >DRNTG_22673.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20400560:20403700:-1 gene:DRNTG_22673 transcript:DRNTG_22673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLELTAGKNKKRKATEDAQLLSVFSLNELNEDLLERILAWLPASSFFRLRSVCKRWNSIASSATFQIACSQIPFRDPWFLMVDQELNQSVVFDATEWKWRNINHPYLMQDNLYSKPIPVASCGGLVCFRTISGDFIVSNPVTGVSRELPPVTLSSGSQTLHAIAMNSSPKDQSYRIVLVFGELSKLAAKVFDAAKGSWEDEVMLVRKVGNSSETEITGDEPLYFLSKSGDVVATNMQRSPSKQYSSVLINDDGEVVYFLSHTGTVIACNLADKTFIELPRLLPVYSEYSIDLVEYQGEMAVVILSEFLESASLRVWRFSKDDRSWQQVAVMPPRMSHEFYGKKADINCVSSADKIFICINSSEFSRHVVCDIVTNKWVELSPVLRKRESQGVYVCLILRAKGGGVGMNFKRVDLCA >DRNTG_17118.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000879.1:25407:26775:1 gene:DRNTG_17118 transcript:DRNTG_17118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTYTHSSKIHQEKPLPIKTISPASSLRRSPSPSP >DRNTG_25933.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001339.1:113443:114624:1 gene:DRNTG_25933 transcript:DRNTG_25933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLMTWLSLWRRSQMMLPLPWLIRSMTLLLTKIMNPVERAADSAASKMDIIPEEQEPTNIVSPINAVAVATVEKEDDAGAKHRQPSITVPHDDPKTTINEGQGNAGEMTMREKINANKKLEEVWKVFIPKKKKYVSQSRLNKYEQELIRIFLNCPMDNTVVWKNDSVSTTRSRLLDLLEEKEMVADDVMGAFVCIIQNSLRIVPYRYKKRASITRPLALFMSMQEDAHDTPMAMIGDAACMMLKLSSSR >DRNTG_33119.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32831756:32837773:-1 gene:DRNTG_33119 transcript:DRNTG_33119.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEPLLTRWTFEDFKAFYNMRFGLKREKKVDEPDSATVTYNGGNSNGSARNSSDKAIFEQFDEQDRKTEVRPGVIQETPQRPLIPPFDSAEMRTLAETLCRDIIRGSPGVNWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECNTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTNELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPEARLAMFKDLLPDIPEEEYIPYDLLVDRTDGYSGSDIRLVCKEAAMQPVRRLMSVLEGTEELVPEHELPAVGPVTPKDIEVALRNTRPSAHLHAHLYEKFNEDYGSQILH >DRNTG_33119.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32831756:32837773:-1 gene:DRNTG_33119 transcript:DRNTG_33119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAVGLAPIVASLAWFFLLAIVDVVDLLDFKAFYNMRFGLKREKKVDEPDSATVTYNGGNSNGSARNSSDKAIFEQFDEQDRKTEVRPGVIQETPQRPLIPPFDSAEMRTLAETLCRDIIRGSPGVNWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECNTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTNELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPEARLAMFKDLLPDIPEEEYIPYDLLVDRTDGYSGSDIRLVCKEAAMQPVRRLMSVLEGTEELVPEHELPAVGPVTPKDIEVALRNTRPSAHLHAHLYEKFNEDYGSQILH >DRNTG_11821.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:295274:296288:1 gene:DRNTG_11821 transcript:DRNTG_11821.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPCCISHEFYRVASENTDRVAAVRASGGLRVCKDALECLLEVPERFDFESRHASSSFPPVYPGDECSSFADVLCAVCSLSWRIRTVLDGGDDPDLVRSQGFSHGDQTLSSSVSNNDTKSSSTETNGTPLTNGIPQIIGVFIAPSIEYIVAVLSILRCGEAFLPLDPSWPEERLLHVISSSKTVIILKDKPSCSTEVDMILHKGGCSVMYVSMEASLKENGACDLDWPCERKIPRRFCYLMYTSGSTGKPKGVCGTERGNMIASHGLC >DRNTG_11821.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:295274:297152:1 gene:DRNTG_11821 transcript:DRNTG_11821.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPCCISHEFYRVASENTDRVAAVRASGGLRVCKDALECLLEVPERFDFESRHASSSFPPVYPGDECSSFADVLCAVCSLSWRIRTVLDGGDDPDLVRSQGFSHGDQTLSSSVSNNDTKSSSTETNGTPLTNGIPQIIGVFIAPSIEYIVAVLSILRCGEAFLPLDPSWPEERLLHVISSSKTVIILKDKPSCSTEVDMILHKGGCSVMYVSMEASLKENGACDLDWPCERKIPRRFCYLMYTSGSTGKPKGVCGTERGLLNRFWWMQQRMPLVEDDVLLFKTPNSFIDHLQEFLSSVLTCTTLIIPPFEELKANPLLIVNFLK >DRNTG_11821.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:295274:298105:1 gene:DRNTG_11821 transcript:DRNTG_11821.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPCCISHEFYRVASENTDRVAAVRASGGLRVCKDALECLLEVPERFDFESRHASSSFPPVYPGDECSSFADVLCAVCSLSWRIRTVLDGGDDPDLVRSQGFSHGDQTLSSSVSNNDTKSSSTETNGTPLTNGIPQIIGVFIAPSIEYIVAVLSILRCGEAFLPLDPSWPEERLLHVISSSKTVIILKDKPSCSTEVDMILHKGGCSVMYVSMEASLKENGACDLDWPCERKIPRRFCYLMYTSGSTGKPKGVCGTERGLLNRFWWMQQRMPLVEDDVLLFKTPNSFIDHLQEFLSSVLTCTTLIIPPFEELKANPLLIVNFLKAYHITRLTSVPSLMTAVLPYMENSRWMKIHKSLKLLVLSGEVLFISLWKSLHNLLPGTTILNLYGSTE >DRNTG_33291.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23804856:23805332:1 gene:DRNTG_33291 transcript:DRNTG_33291.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESMQEQCIMPNAVTFTCLLAACCHAGLVQEGLHLFELMQIRFGLKPQVQHYSCVVDLLGRTGMVDEAYDVIRNMPIEPDAVVWRTLLSACKMHNCVELAAEAAGKLLQTDQASPAAIDTCEDFVALSNVYASAERWDDVLMVRKEMKNL >DRNTG_19070.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16447995:16448483:1 gene:DRNTG_19070 transcript:DRNTG_19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAEISCQGGTLRPKLEAYGRKACHKGRFSEGLQCMAKAKKTTTTTTKRVRIEPSTLSLETTSPSTPATNILCPTLPRFVHMLADEIV >DRNTG_03815.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14664772:14665293:1 gene:DRNTG_03815 transcript:DRNTG_03815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNEEAMAAHKQSLGDFSLIDPSIARYDRAITVSEDHLFQVEYALEAVCNGNASVGVSGTNMIVLGVEKKSTPKLYDSQTVRKIVNQDNHITLTCVGLKADVHGED >DRNTG_01465.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27636335:27637772:1 gene:DRNTG_01465 transcript:DRNTG_01465.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALENNKAMGSSTKEILTTAASLAASAMLFHTVIKDFIPSKIRNYIFKGIKYLHDHFSSELTLLIDETDEYSPNTIYQAAMSYLNSKISPSVKRLRVSKLGIDDIKFNVSLDRGQETIDVFEGIQFRWHFVSTQMSKNSRRYNNIGSLQIEEDTELRCLELTCNIKHKDKVFDVYLPFILDRWTALKNKGRTLKLFINERKNWSPVKLHHPATFETIAMDMELKRTVMEDLTKFVQSKDYYKSIGKAWKRGYLLYGPPGTGKSSLVAAMANFLKYDIYDLELTEVKNNLSLRGLLLGISSRSIIVVEDIDCSITMDKRDIPTKDGDCENKTVTLSGLLNFVDGLWSSCGEEKIIVFTTNYKERLDPALLRPGRMDMHIYMGYCSPCAFRTLAFNYHNVDDHPLFEEIEALLKDTEVTPATVAEELMRSVDANVALQGLLQFLQCKISDANETK >DRNTG_18310.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2544694:2545573:1 gene:DRNTG_18310 transcript:DRNTG_18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEGQKHHHHLFHHKKEEEEGKVMTGEDYEKEQKHHKHKEHLGEMGAAAAGAFALYEKHQAKKDPENAHRHKIEEEVAAAVGVASGGYAFHEHHEKKESKKEAEEASGKKHHHLF >DRNTG_26317.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21395107:21403229:-1 gene:DRNTG_26317 transcript:DRNTG_26317.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVEPVPFGLYRLTASLVKAEFIDLDNIYAHLLPNDNEAFEHYSTYLAKRFEEVNKIGKINLAATGKELMDDEKQDVTIDLFTALDMETEAIKERMPEIENNQILGLLMGFLSVHDWYHAQLLFDRLSHLNPVSHPQISEGLFRVIEKSISANYTTVYHTHLQGAGDLVATSSEIVDSTVVPLTKRSFINLPRELFQMLAVAGPYLYRDPILLQKVCRVLRAYYQSAQEFAVAAGNSISSESGDVKSQYPCPQLKEAKLRLDEALGTCLLPSLQLIPANPAVGQEIWEVLSLLPYEDRYRLYGEWEKDDERIPMVLAARQIAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMIVLRTIVHQIEAYKDMITPVVDAFKYLTQLEYDVLEYVVIERLAQGGREKLKDDGLNLSDWLQSLASFWGTLCKKYPSMDLRGLFQYLVNQLKRGIGIELVLLQELIQQMANIHFTENVTEDQLDAMAGSETLRYQATLFGIVRNNKALSKSTNRLRDSLLPNDGPDLAVPLLLLIGQHRSMVVVNADAPYIKMVSEQFDRCHGTLLQYVDFLCSAVTPTINYAKLIPPLEDLIHKYHLDPEVIQCTCHAQLFFKFMPFLLLLIFLDFPCFPLNFLN >DRNTG_26317.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21395107:21408303:-1 gene:DRNTG_26317 transcript:DRNTG_26317.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHAPEFKFVTDECLAEWKASNSAFKLSDPVPMTRFLYELCWAMVKGDLPFPKCKVALDSVVFSVEQQNDEMGSVLADTIAHMGLDLAMPGEQRTRFIKMVKWLVEASLVPSRFLQERCEEEFLWESEISKIRAQDLKVKEVRINTRLLYQQTKFNLLREESEGYAKLVTLLCQCGLEGSTSASTISIIKSLIGHFDLDPNRVLDIVLECFELFPDNNIFYDLIPIFPKSHAAQILGFKFQYYQRMDVVEPVPFGLYRLTASLVKAEFIDLDNIYAHLLPNDNEAFEHYSTYLAKRFEEVNKIGKINLAATGKELMDDEKQDVTIDLFTALDMETEAIKERMPEIENNQILGLLMGFLSVHDWYHAQLLFDRLSHLNPVSHPQISEGLFRVIEKSISANYTTVYHTHLQGAGDLVATSSEIVDSTVVPLTKRSFINLPRELFQMLAVAGPYLYRDPILLQKVCRVLRAYYQSAQEFAVAAGNSISSESGDVKSQYPCPQLKEAKLRLDEALGTCLLPSLQLIPANPAVGQEIWEVLSLLPYEDRYRLYGEWEKDDERIPMVLAARQIAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMIVLRTIVHQIEAYKDMITPVVDAFKYLTQLEYDVLEYVVIERLAQGGREKLKDDGLNLSDWLQSLASFWGTLCKKYPSMDLRGLFQYLVNQLKRGIGIELVLLQELIQQMANIHFTENVTEDQLDAMAGSETLRYQATLFGIVRNNKALSKSTNRLRDSLLPNDGPDLAVPLLLLIGQHRSMVVVNADAPYIKMVSEQFDRCHGTLLQYVDFLCSAVTPTINYAKLIPPLEDLIHKYHLDPEVIQCTCHAQLFFKFMPFLLLLIFLDFPCFPLNFLN >DRNTG_13158.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:15535146:15536993:-1 gene:DRNTG_13158 transcript:DRNTG_13158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELPSFKKQRFSSSSSSSSSSSSSSLKNLIGWRLERKFQSGVACSL >DRNTG_17992.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15712572:15714378:-1 gene:DRNTG_17992 transcript:DRNTG_17992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKSSELNGADAIKVSTSNVSRWIAGSRMINSFRQLPTDSNSSTSLAMSCARDEMKLRPLKSARNPNNRLKNRQWEFGRMSESITGVFESRGRRTPLCSSRENTQPL >DRNTG_23307.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1157248:1166785:-1 gene:DRNTG_23307 transcript:DRNTG_23307.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein 3 [Source:Projected from Arabidopsis thaliana (AT2G27170) UniProtKB/Swiss-Prot;Acc:Q56YN8] MYIKKVIIEGFKSYKEEVSTDPFSPKVNCVVGANGSGKTNFFHAIRFVLSDLFQNLRSEDRHALLHEGAGHQVVSAFVEIVFDNSDNRIPVDKEEVRLRRTIGLKKDEYFLDAKHVTKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEERRRESLKIMQETGNKRKQIDQVVHYLEERLRELDEEKEELRKYQQLDKQRRSLEYTIFDKELQVARQNLNEVEEFRKKISENSTSMHNKALDVHEKFKLVEKELKVLTKDIQALNKEKEVIEKKRTEALKTHAQVELDVKDLELRISADARTEEEAKSQLKNLLDEITQSNSELSRIRLLHESKVAEEEKITKGIMEREKKLSVLYQKQGRATQFESKADRDSWLQTEIDDLERVCSSNEAQKRKLEEESQQLKDEESRLKTLINNRETEKEKLMMEISVVQERFDNLRKERDKLQDTRKSLWKNEGELSAEIDRLKSDRVKALKSLDHATPGDIRRGLSSVDRIVKDHKIKGVHGSILQLIDCEEKFFTAVEVTAGNSLFHVVVDNDDISTRIIRYLTAEKGGRVTFIPLSKVKVPHVTYPQNPDVVPLLKRLKFKTEDALAFQQVFGRTVICRDLDVATTVARGGGLDCITLEGDQVNKKGGMTGGYYDFRRSKLKYMKVIKQNGVYIETKSEELNDIGNKLKEIDQKITSLVSEQQKMDAYRLHLKSELEQLKNDIANAEKQKQTIIKALEKKEKLLANAKSQIAQIQLGISAKKLEMGTELVDQLTSEERDLLSRLNPEITDLKEKLLACKASRIDIEGRKEELETNLSENLARRKEELENLISSIDFGTSNKEADHKRQELKSSKANVDDWTRQLKEVVDNIETLTNKIGDMKITKEKLKAQEENCARTLQDEAKELEQSLNKRNLFLSKQEECMKKIRDLGSLPSDAFETYKKKNIKELQKMLHKCNEQLKQFSHVNKKALDQYMNFIEQREQLQKRRAELDAGDQKIKELISVLDQRKDESIERTFKGVARHFREVFSELVQGGHGYLVMMKKKDGEPGDGDHDEDPPHDPEGRVEKYVGVKVKVSFTGQGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADMANTQFITTTFRAELVKVADKIYGVTHKNRVSHVNVVSKDEALNFIEHDQTHNE >DRNTG_24485.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18897018:18899833:-1 gene:DRNTG_24485 transcript:DRNTG_24485.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRRRWNPPNRCFRSGLWRCALPVFLCCVIASFLTFSTFRLFGVSFRPVLASTWQTPALSAISVDGPVFPQFSDTPLHIKQAIAFPDEVLLFLEHPSPVSNQENNLRCLYFPPSSHQSNLQLHPISFSDLIVRCPVPPRGFSISISNSPVQVPAIHPQPWDHLSYASLLDTDNTTLVFAKGLNLRPARLSDPSRYGCVFGWDFSKQKYLLSTNAVSVAQEIIRCKTPLSVLNRLHTAKKPPLVSVNVKGRGGFTLPSIAHPEILGRGWRKEYKMCVCTMLRNQAKFLKEWIIYHAQIGVEKWFIYDNNSDDNIKQVIESMSGSPNYNITHRLWPWVKTQEAGFAHCAVHARDSCEWVGFIDVDEFLFFPADMTLHDVLHNYSSRLSVGELRTACHSFGPSGLKNAPKDGVTVGYTCRMGAPERHKSIIRPEALNPSLITIVHHFHLKEGKTFVDVDRGTMVINHYKYQVWEVFKEKFYRRVATYVADWQDEENVGSKDRAPGLGTKAVEPPDWSTRFCEVHDTGLRDWVLRVFTDSNTRQLPW >DRNTG_24485.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18896904:18899833:-1 gene:DRNTG_24485 transcript:DRNTG_24485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRRRWNPPNRCFRSGLWRCALPVFLCCVIASFLTFSTFRLFGVSFRPVLASTWQTPALSAISVDGPVFPQFSDTPLHIKQAIAFPDEVLLFLEHPSPVSNQENNLRCLYFPPSSHQSNLQLHPISFSDLIVRCPVPPRGFSISISNSPVQVPAIHPQPWDHLSYASLLDTDNTTLVFAKGLNLRPARLSDPSRYGCVFGWDFSKQKYLLSTNAVSVAQEIIRCKTPLSVLNRLHTAKKPPLVSVNVKGRGGFTLPSIAHPEILGRGWRKEYKMCVCTMLRNQAKFLKEWIIYHAQIGVEKWFIYDNNSDDNIKQVIESMSGSPNYNITHRLWPWVKTQEAGFAHCAVHARDSCEWVGFIDVDEFLFFPADMTLHDVLHNYSSRLSVGELRTACHSFGPSGLKNAPKDGVTVGYTCRMGAPERHKSIIRPEALNPSLITIVHHFHLKEGKTFVDVDRGTMVINHYKYQVWEVFKEKFYRRVATYVADWQDEENVGSKDRAPGLGTKAVEPPDWSTRFCEVHDTGLRDWVLRVFTDSNTRQLPW >DRNTG_24485.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18896999:18899870:-1 gene:DRNTG_24485 transcript:DRNTG_24485.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRRRWNPPNRCFRSGLWRCALPVFLCCVIASFLTFSTFRLFGVSFRPVLASTWQTPALSAISVDGPVFPQFSDTPLHIKQAIAFPDEVLLFLEHPSPVSNQENNLRCLYFPPSSHQSNLQLHPISFSDLIVRCPVPPRGFSISISNSPVQVPAIHPQPWDHLSYASLLDTDNTTLVFAKGLNLRPARLSDPSRYGCVFGWDFSKQKYLLSTNAVSVAQEIIRCKTPLSVLNRLHTAKKPPLVSVNVKGRGGFTLPSIAHPEILGRGWRKEYKMCVCTMLRNQAKFLKEWIIYHAQIGVEKWFIYDNNSDDNIKQVIESMSGSPNYNITHRLWPWVKTQEAGFAHCAVHARDSCEWVGFIDVDEFLFFPADMTLHDVLHNYSSRLSVGELRTACHSFGPSGLKNAPKDGVTVGYTCRMGAPERHKSIIRPEALNPSLITIVHHFHLKEGKTFVDVDRGTMVINHYKYQVWEVFKEKFYRRVATYVADWQDEENVGSKDRAPGLGTKAVEPPDWSTRFCEVHDTGLRDWVLRVFTDSNTRQLPW >DRNTG_24485.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18896999:18899833:-1 gene:DRNTG_24485 transcript:DRNTG_24485.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRRRWNPPNRCFRSGLWRCALPVFLCCVIASFLTFSTFRLFGVSFRPVLASTWQTPALSAISVDGPVFPQFSDTPLHIKQAIAFPDEVLLFLEHPSPVSNQENNLRCLYFPPSSHQSNLQLHPISFSDLIVRCPVPPRGFSISISNSPVQVPAIHPQPWDHLSYASLLDTDNTTLVFAKGLNLRPARLSDPSRYGCVFGWDFSKQKYLLSTNAVSVAQEIIRCKTPLSVLNRLHTAKKPPLVSVNVKGRGGFTLPSIAHPEILGRGWRKEYKMCVCTMLRNQAKFLKEWIIYHAQIGVEKWFIYDNNSDDNIKQVIESMSGSPNYNITHRLWPWVKTQEAGFAHCAVHARDSCEWVGFIDVDEFLFFPADMTLHDVLHNYSSRLSVGELRTACHSFGPSGLKNAPKDGVTVGYTCRMGAPERHKSIIRPEALNPSLITIVHHFHLKEGKTFVDVDRGTMVINHYKYQVWEVFKEKFYRRVATYVADWQDEENVGSKDRAPGLGTKAVEPPDWSTRFCEVHDTGLRDWVLRVFTDSNTRQLPW >DRNTG_07269.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:532711:533358:1 gene:DRNTG_07269 transcript:DRNTG_07269.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSMISKLNQMLIDVDVAFEVLTTSCSEQGNVAAMMLSAGFKPQTEPHLKAMLSCIRSAQLGDLLEKARIFVPMGRWLMGCLDEIGVLEHGQCFIQASIPSLTNCFSKHGSRFSGSKNDRHVVVGTVVIAKNPCLHPGDIRILEAVDIPALHHLVDCLIFPQKGDRPHANEASGSDLDGDLYFVTWDECLIPP >DRNTG_07269.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:532711:533506:1 gene:DRNTG_07269 transcript:DRNTG_07269.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSMISKLNQMLIDVDVAFEVLTTSCSEQGNVAAMMLSAGFKPQTEPHLKAMLSCIRSAQLGDLLEKARIFVPMGRWLMGCLDEIGVLEHGQCFIQASIPSLTNCFSKHGSRFSGSKNDRHVVVGTVVIAKNPCLHPGDIRILEAVDIPALHHLVDCLIFPQKGDRPHANEASGSDLDGDLYFVTWDECLIPPGKRRLVAMDYTPAEAKLMPRSVTHRVSCMKLFCFYPNLSRKKFCLFISYH >DRNTG_18826.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2742859:2745657:-1 gene:DRNTG_18826 transcript:DRNTG_18826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSLFQPLHPSSLPPSLHQSFFEIQNHHSPIISDDVSGISRGWPPSSSPAEGLAQREGFR >DRNTG_31571.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001723.1:30809:32840:-1 gene:DRNTG_31571 transcript:DRNTG_31571.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAFISVYKVCWKPGGCGSAGVLAAIDKAMQDGVHILQMSFGARPPNLPTSFTEDDVAVATFSAMQKGIFPCTAAGNNGPDPKTLSHAAPWDMVAGATTTDRRIRATVTLGNGTQFHGESAYQPNMVTNQFLSLVFPGSNGQHSQLYCFNNSLNGTDVRDKIVMCNIGGLDNIEKGKVVRNAGGAGMILMNFERLGYTTFSDAHHLPVSHVSYKDAIQIKDYIISNSTPTAKITFGGTIFDIHPSPHWHTSHPEARPSIMEIL >DRNTG_31571.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001723.1:30809:39557:-1 gene:DRNTG_31571 transcript:DRNTG_31571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAFISVYKVCWKPGGCGSAGVLAAIDKAMQDGVHILQMSFGARPPNLPTSFTEDDVAVATFSAMQKGIFPCTAAGNNGPDPKTLSHAAPWDMVAGATTTDRRIRATVTLGNGTQFHGESAYQPNMVTNQFLSLVFPGSNGQHSQLYCFNNSLNGTDVRDKIVMCNIGGLDNIEKGKVVRNAGGAGMILMNFERLGYTTFSDAHHLPVSHVSYKDAIQIKDYIISNSTPTAKITFGGTIFDIHPSPHWHTSHPEARPSIMEIL >DRNTG_32942.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30945104:30949898:1 gene:DRNTG_32942 transcript:DRNTG_32942.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGLAPSLGLRTTSGSSITVEKLPEEMNDMKIKDDKEVEATVVDGNGTETGHIIVTTIGGKNGQPKQTISYMAERVVGHGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRILDHPNVVSLKHCFFSTTDKDELYLNLVLEYVPETAHRVIKHYNKMNQRMPMIYVKLYSYQIFRALAYIHGSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFPKRTPPEAVDLVSRLLQYSPNLRSTALETLTHPFFDELRDPNTRLPNGRALPPLFNFKPHELKGVPMETLVKLIPEHARKQFSFLGL >DRNTG_26799.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:2462199:2462621:1 gene:DRNTG_26799 transcript:DRNTG_26799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVWTLTSSEEARSHVLEKGDQREKFGLARSSSISSLGACRQRGKPPLSRRPWKLST >DRNTG_12960.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8052130:8057799:1 gene:DRNTG_12960 transcript:DRNTG_12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLRLLTLLEYGLPSWVTFLQSYPVFCKIYRPWMCPLARAFYVLISIVTVLIGFYDLYKNVPLLKATVARLFGPFFEWIENWEMISRIRYLGTMLFLHNFEKAVKWFLMITRASRSLLSVIMKPFIGPVMELVGFILPFWNICLETVESLSSMLLVLFGSTCNMALGILHVILWPFWFIFSVIWNVATSVIYPVIWVFWEILVSPFRMVLAIASVFTTIFSRTYYILRETWLSIVLMFQFGTSSEAALSAYEPSMWRSLWNDLFSQVFRAIRSILYGFVAFFTTCNRHRLSIYNHIQEFLLRLSCARRRSNRSKFIAGCQTRVKIPLGERKKLSTKYPLQSQERLNRRSRKKDKVHKTEEEPSESP >DRNTG_12960.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8052130:8057799:1 gene:DRNTG_12960 transcript:DRNTG_12960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLRLLTLLEYGLPSWVTFLQSYPVFCKIYRPWMCPLARAFYVLISIVTVLIGFYDLYKNVPLLKATVARLFGPFFEWIENWEMISRIRYLGTMLFLHNFEKAVKWFLMITRASRSLLSVIMKPFIGPVMELVGFILPFWNICLETVESLSSMLLVLFGSTCNMALGILHVILWPFWFIFSVIWNVATSVIYPVIWVFWEILVSPFRMVLAIASVFTTIFSRTYYILRETWLSIVLMFQFGTSSEAALSAYEPSMWRSLWNDLFSQVFRAIRSILYGFVAFFTTCNRHRLSIYNHIQEFLLRLSCARRRSNRSKFIAGCQTRVKIPLGERKKLSTKYPLQSQERLNRRSRKKDKVHKTEEEPSESP >DRNTG_12960.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8053425:8057799:1 gene:DRNTG_12960 transcript:DRNTG_12960.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLRLLTLLEYGLPSWVTFLQSYPVFCKIYRPWMCPLARAFYVLISIVTVLIGFYDLYKNVPLLKATVARLFGPFFEWIENWEMISRIRYLGTMLFLHNFEKAVKWFLMITRASRSLLSVIMKPFIGPVMELVGFILPFWNICLETVESLSSMLLVLFGSTCNMALGILHVILWPFWFIFSVIWNVATSVIYPVIWVFWEILVSPFRMVLAIASVFTTIFSRTYYILRETWLSIVLMFQFGTSSEAALSAYEPSMWRSLWNDLFSQVFRAIRSILYGFVAFFTTCNRHRLSIYNHIQEFLLRLSCARRRSNRSKFIAGCQTRVKIPLGERKKLSTKYPLQSQERLNRRSRKKDKVHKTEEEPSESP >DRNTG_12960.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8052130:8057799:1 gene:DRNTG_12960 transcript:DRNTG_12960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLRLLTLLEYGLPSWVTFLQSYPVFCKIYRPWMCPLARAFYVLISIVTVLIGFYDLYKNVPLLKATVARLFGPFFEWIENWEMISRIRYLGTMLFLHNFEKAVKWFLMITRASRSLLSVIMKPFIGPVMELVGFILPFWNICLETVESLSSMLLVLFGSTCNMALGILHVILWPFWFIFSVIWNVATSVIYPVIWVFWEILVSPFRMVLAIASVFTTIFSRTYYILRETWLSIVLMFQFGTSSEAALSAYEPSMWRSLWNDLFSQVFRAIRSILYGFVAFFTTCNRHRLSIYNHIQEFLLRLSCARRRSNRSKFIAGCQTRVKIPLGERKKLSTKYPLQSQERLNRRSRKKDKVHKTEEEPSESP >DRNTG_12960.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8052130:8057799:1 gene:DRNTG_12960 transcript:DRNTG_12960.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKENRYFFPLTSLQIGDLQSYLSQLTIFLAPESNKLFILVDNRPWLTDLDTRPAHLWQLMVTKSRLSPFANTRGRRREGKGFTTKFNLGDTSTERKDAGQKLDFGDGSRPANLKGNRLYRWFSLIDAALYQEKAIIPMRKLKNSFLLNKELHHTLYGFIVFEVAWSHVRGINYLNELQTDTSMALEAKSMKRWEFDSVEQAAHHISSWFSGTYLERFLLQNFLDSIYVVMSFMMLKKILWRTLVKTLQNRRIIIIMSLWRASILKALANLWLILEKQNTL >DRNTG_12960.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8052130:8057799:1 gene:DRNTG_12960 transcript:DRNTG_12960.6 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAKSMKRWEFDSVEQAAHHISSWFSGTYLERFLLQNFLDSIYVVSDVFYDAQEDIVENPCENSAEQTNYYNHVLVESFHSEGSGKSMVDFGETEHTMNSLYTPPPATGPYKRRKIIKSTSIGSELEEVSDESYSEIVSSPRYSMPSSPSFSSNSENELLIF >DRNTG_12960.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8052130:8057799:1 gene:DRNTG_12960 transcript:DRNTG_12960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLRLLTLLEYGLPSWVTFLQSYPVFCKIYRPWMCPLARAFYVLISIVTVLIGFYDLYKNVPLLKATVARLFGPFFEWIENWEMISRIRYLGTMLFLHNFEKAVKWFLMITRASRSLLSVIMKPFIGPVMELVGFILPFWNICLETVESLSSMLLVLFGSTCNMALGILHVILWPFWFIFSVIWNVATSVIYPVIWVFWEILVSPFRMVLAIASVFTTIFSRTYYILRETWLSIVLMFQFGTSSEAALSAYEPSMWRSLWNDLFSQVFRAIRSILYGFVAFFTTCNRHRLSIYNHIQEFLLRLSCARRRSNRSKFIAGCQTRVKIPLGERKKLSTKYPLQSQERLNRRSRKKDKVHKTEEEPSESP >DRNTG_23108.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9870063:9875284:1 gene:DRNTG_23108 transcript:DRNTG_23108.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVAVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHMDEFEVFDVKPFVSRLLGMGDWSGFMDKIHEVVPTDQQPELLQKLSEGSFTLRLMYEQFQNILKMGPIGQVFSMLPGFSAELMPKGHEKESQAKIKRYIHMMDSMTNAELDSTNPKLMNESRIMRIARGSGRPVRDVMDMFEEYKRLAKIWSKMKGLKIPKKGEMSALSRNMNAQHMSKVLPPQMLKQIGGMGGLQSLMKQMGSKDMMGGMGGLGGMFGGDR >DRNTG_23108.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9865966:9868629:1 gene:DRNTG_23108 transcript:DRNTG_23108.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQLGGSISRALQQMSNATIIDEKVLSECLNEISRALLQADVQFKMVRDMQSNIKRIVNLDDLAAGHNKRRIIQQAIFNELCKMLDPGKPSFTPKKGKTSVIMFVGLQGKFKKHIYLNDPYS >DRNTG_23108.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9865966:9875284:1 gene:DRNTG_23108 transcript:DRNTG_23108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQLGGSISRALQQMSNATIIDEKVLSECLNEISRALLQADVQFKMVRDMQSNIKRIVNLDDLAAGHNKRRIIQQAIFNELCKMLDPGKPSFTPKKGKTSVIMFVGLQGSGKTTTCTKYAYYHQKKGWKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYTESDPVKIAVEGVDRFKQENCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVAVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHMDEFEVFDVKPFVSRLLGMGDWSGFMDKIHEVVPTDQQPELLQKLSEGSFTLRLMYEQFQNILKMGPIGQVFSMLPGFSAELMPKGHEKESQAKIKRYIHMMDSMTNAELDSTNPKLMNESRIMRIARGSGRPVRDVMDMFEEYKRLAKIWSKMKGLKIPKKGEMSALSRNMNAQHMSKVLPPQMLKQIGGMGGLQSLMKQMGSKDMMGGMGGLGGMFGGDR >DRNTG_34292.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28209684:28210155:1 gene:DRNTG_34292 transcript:DRNTG_34292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSGALPNKFTFTFLLKACIHGFSSSLSCLHAHLILLGLHRDPFLRSSLISTYAHHRQVSIVDHLFRQETSNDTVVYTALVSAYARCGRLESARKVFDEMPQRNPVSWAALLSAYSGSTRDVEALGVFR >DRNTG_13398.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000610.1:15909:19945:-1 gene:DRNTG_13398 transcript:DRNTG_13398.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVSFLVEKLGALIVQEAINLHEGREQVEWLKRELERMQCFLKDADAKKNEGGDNRVKHWVTEMRDLAFEAEDIIDTFMYFKLRRQQPGCMGFMKRFVFIFHELVSRHKVHVDVERVKTKLHELSRSREVYGISNIGETIGTTSQPRSQNVIPILPQLRDDIDMVGFDDEKKKIVLELVDKSNANRSVISIVGMGGLGKTTLAKSVYNDLEVKRSFNIFAWVIISQQYTIHEILKGISSEISVTPSTDTIRDLSVAISEKLQNGKYLIVLDDVWQEDAWTESQKIFPDVNNGSRVIITTRFVNVANIANPTIKPHELRCLDERESRELFLRKVFPNQNTETCCPTYLVDYAHQLVQRCGGLPLALVVLGGLVSTKPQTQDAWHKVVDSMKGQFVEGGKKCLEILALSYNDLSYYLKSCFLYFGCFKEDAKIPTKEIIRLWLAEGFLPTNNGTTTKEIGFDCLEELAQRCLIQVTEREYDGSAKYCRIHDLLRDMCISEAKESIFLKLYKNDTEDCTTTANAARRLIIFNEIETLNYSNSKLRGLFYNDLYDDYTPTFKALKGQLGRFKLLRVLCLKTRITPKSKFLSEIKSLIHLRYLELHECDLKGILSWIGHLYNLQTFILNSGRLLNTGSLEKIPDSLWTIGNLRHVYLQSTPRVPPNMGNNVPKNLQTLRRVNAGSWIGKTLPKLTNLCELSIEEVSNDHADALSSSLSKLGRLASLAIDGNEIPSDNIITAFSNQHCLKKLRLNGSLNSKQLPHNDVFPQQLVELRLLGSGLEQDPMATLEKLPCLKYLKLFSAYRGKQMICSATGFPQLLSLEIQHLNELEEWKIEEKAMSCLKSLEIYRCIRLKMIPEGLKNVPLDQLKLFVMPEEFKTRIKENTGEDWYKIQHVPNTPFILIKENTGEDWYKIQHVPNISIH >DRNTG_13398.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000610.1:15909:19256:-1 gene:DRNTG_13398 transcript:DRNTG_13398.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFDDEKKKIVLELVDKSNANRSVISIVGMGGLGKTTLAKSVYNDLEVKRSFNIFAWVIISQQYTIHEILKGISSEISVTPSTDTIRDLSVAISEKLQNGKYLIVLDDVWQEDAWTESQKIFPDVNNGSRVIITTRFVNVANIANPTIKPHELRCLDERESRELFLRKVFPNQNTETCCPTYLVDYAHQLVQRCGGLPLALVVLGGLVSTKPQTQDAWHKVVDSMKGQFVEGGKKCLEILALSYNDLSYYLKSCFLYFGCFKEDAKIPTKEIIRLWLAEGFLPTNNGTTTKEIGFDCLEELAQRCLIQVTEREYDGSAKYCRIHDLLRDMCISEAKESIFLKLYKNDTEDCTTTANAARRLIIFNEIETLNYSNSKLRGLFYNDLYDDYTPTFKALKGQLGRFKLLRVLCLKTRITPKSKFLSEIKSLIHLRYLELHECDLKGILSWIGHLYNLQTFILNSGRLLNTGSLEKIPDSLWTIGNLRHVYLQSTPRVPPNMGNNVPKNLQTLRRVNAGSWIGKTLPKLTNLCELSIEEVSNDHADALSSSLSKLGRLASLAIDGNEIPSDNIITAFSNQHCLKKLRLNGSLNSKQLPHNDVFPQQLVELRLLGSGLEQDPMATLEKLPCLKYLKLFSAYRGKQMICSATGFPQLLSLEIQHLNELEEWKIEEKAMSCLKSLEIYRCIRLKMIPEGLKNVPLDQLKLFVMPEEFKTRIKENTGEDWYKIQHVPNTPFILIKENTGEDWYKIQHVPNISIH >DRNTG_27362.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23125360:23126144:-1 gene:DRNTG_27362 transcript:DRNTG_27362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSTYNSPPLPLHMSLPNMVTPTPLPQRLANSSHDEQACR >DRNTG_31485.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1993859:2002018:-1 gene:DRNTG_31485 transcript:DRNTG_31485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTSDPERPKLSFFSRVSDNNVMESAADSSREALISGDGLSDAYSVWAVMISFFFPALGGLLYGYDIGATSGATISIESPTLSGTTWYNLNSIEIGLVASGSLYGALIGSVLAFTVADFLGRRRELILSSVLYLIGALVTAFAPSFPIMVVGRFVYGIGIGLAMHGAPMYIAETAPSKIRGRLISMKELFIVVGMLLGYLFSSLYVNMVAGWRYMYATSSPICVVMGIGMWWLPPSPRWLLLCAIQENGSLPEAKEIAICSLCRLRGQPVNASSSEEVDAILAELSYVDEVGKATLKEIFQGKCLKALIIGAGLVFFQQVTGQPSVLYYAATIFQSAGFSSASDATRVSILLGLLKLVMTGVAVLVVDKLGRRPLLIGGVSAMAISLFLLSSYYALLKDLSLVAVVALLLYVSCYQLSFGPIGWLMISEVFPLRLRGKGLSIAVLVNFASNALVTFAFSPLEALLGTAILFAGFGVITLISLIFIYFIIPETKGLTLEEIEAKIL >DRNTG_25864.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8943400:8945040:1 gene:DRNTG_25864 transcript:DRNTG_25864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNANTSWTVKQNKMFEEALARYDEDTPDRWQKVARAVGKTVEEVKKHYDILVSDVNSIDNGRVPYPNYRR >DRNTG_24354.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:428040:430539:-1 gene:DRNTG_24354 transcript:DRNTG_24354.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:Projected from Arabidopsis thaliana (AT4G35440) UniProtKB/TrEMBL;Acc:F4JN11] MAATLAGVCQVPLTSVLLLFELTQDYRIVLPLLGAVGVSSWIVSSQNKKKDVGNRLSTSGVKEASVNQNKESIYQGQVLEASTETSGVTDLCQIESSLCVYDSVGEINQLAEKLTVSQAIRTRYVTVLMSSSLVEAVSLMLVENQPCAIIIDANGFLVGLLSLEDIQNFSKVAKKRGTQTEVDKILVSHVCHLVGKKCQAWAVTPDTTLATAESIMNSHGVNQLAVVSEHADGQKKGQLVGLLDRESISIACRAAATKESLGLFSENL >DRNTG_24354.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:428040:428919:-1 gene:DRNTG_24354 transcript:DRNTG_24354.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:Projected from Arabidopsis thaliana (AT4G35440) UniProtKB/TrEMBL;Acc:F4JN11] MNSHGVNQLAVVSEHADGQKKGQLVGLLDRESISIACRAAATKESLGLFSENL >DRNTG_24354.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:430598:431270:-1 gene:DRNTG_24354 transcript:DRNTG_24354.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:Projected from Arabidopsis thaliana (AT4G35440) UniProtKB/TrEMBL;Acc:F4JN11] MVENFHKTTRIPKTTYPAFGGMVVGLIALAYPEVLYWGFENVDILLESRPFVNGLPADVLFQLVGVKIVATSLSRASGLVGGYYAPSLFIGAATGMAYGKFMSSALSGPNPLFHLSLLEVASPQAYGLV >DRNTG_24354.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:428040:433094:-1 gene:DRNTG_24354 transcript:DRNTG_24354.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:Projected from Arabidopsis thaliana (AT4G35440) UniProtKB/TrEMBL;Acc:F4JN11] MFSSFTCSATCSRVAIHQQEIEWRGGFSLLPRLPLPPSPMLCRLLSKPHTNFGPRGRIVACLSEASSEGQDEQRSAVSSALEESMPIKDLITVAACAVGLLTGIGVVLFNYAVHYTRDLFWDGIPSRGASWLREEPIADVWPRVILVPLCGGLIVSILNKLRDSLEDSSEGTDTVASAVKGALRPFLKTIAASVTLGTGNSLGPEGPSVEIGSSVAKGISHVFEWSGGKSLSLVAAGSAAGISSGFNAAVAGCFFAVESVLWPSSTDSFSPLTNSTSMVILSSVIASVISEVGLGSDPAFMVPEYDFRSPSELPLYLLLGILCGLVSLTLSGCTSFALEMVENFHKTTRIPKTTYPAFGGMVVGLIALAYPEVLYWGFENVDILLESRPFVNGLPADVLFQLVGVKIVATSLSRASGLVGGYYAPSLFIGAATGMAYGKFMSSALSGPNPLFHLSLLEVASPQAYGLVGMAATLAGVCQVPLTSVLLLFELTQDYRIVLPLLGAVGVSSWIVSSQNKKKDVGNRLSTSGVKEASVNQNKESIYQGQVLEASTETSGVTDLCQIESSLCVYDSVGEINQLAEKLTVSQAIRTRYVTVLMSSSLVEAVSLMLVENQPCAIIIDANGFLVGLLSLEDIQNFSKVAKKRGTQTEVDKILVSHVCHLVGKKCQAWAVTPDTTLATAESIMNSHGVNQLAVVSEHADGQKKGQLVGLLDRESISIACRAAATKESLGLFSENL >DRNTG_24354.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:428040:431634:-1 gene:DRNTG_24354 transcript:DRNTG_24354.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:Projected from Arabidopsis thaliana (AT4G35440) UniProtKB/TrEMBL;Acc:F4JN11] MVILSSVIASVISEVGLGSDPAFMVPEYDFRSPSELPLYLLLGILCGLVSLTLSGCTSFALEMVENFHKTTRIPKTTYPAFGGMVVGLIALAYPEVLYWGFENVDILLESRPFVNGLPADVLFQLVGVKIVATSLSRASGLVGGYYAPSLFIGAATGMAYGKFMSSALSGPNPLFHLSLLEVASPQAYGLVGMAATLAGVCQVPLTSVLLLFELTQDYRIVLPLLGAVGVSSWIVSSQNKKKDVGNRLSTSGVKEASVNQNKESIYQGQVLEASTETSGVTDLCQIESSLCVYDSVGEINQLAEKLTVSQAIRTRYVTVLMSSSLVEAVSLMLVENQPCAIIIDANGFLVGLLSLEDIQNFSKVAKKRGTQTEVDKILVSHVCHLVGKKCQAWAVTPDTTLATAESIMNSHGVNQLAVVSEHADGQKKGQLVGLLDRESISIACRAAATKESLGLFSENL >DRNTG_04797.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2412547:2412935:-1 gene:DRNTG_04797 transcript:DRNTG_04797.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKQGFFAALKEEVIRGLSPARSRAGSPVRSASPMRGLLNPRRRRGRHAALDSGVMVRMGSLRPGGEGLAPLMEGPDGDE >DRNTG_28883.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001455.1:84489:86250:-1 gene:DRNTG_28883 transcript:DRNTG_28883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRDARVCANLPVFELAQPFGGAQGQSHSSILTYAHKTRSPSTYPSLKKQSDQRHKLVPVYVTSMKSGFGSIFGRVLDKRLKAHLKPWGLGDAIRDEFSNKAAFPKTEIPEGSHMVGILTHLPIGIWAYIRLVRASIKEKKVTLKENDLGARRGGSDEFSSPPSSLHLRGVCYELFFISLIFYTCSFVDDELDSQGITAVTIGVIYFWMSLGPTTVAFASVANTAAVTLHNRPKMTVSENPHGRVEITHARAEIPQGCPQGRVDSRFQPYLKPIQP >DRNTG_32588.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24008238:24008479:1 gene:DRNTG_32588 transcript:DRNTG_32588.4 gene_biotype:protein_coding transcript_biotype:protein_coding IYEGSAEGRILNGTSPVALIESFTEIIGRPPVLPEWIVSGAVVGMQGGTEAVRRVWDQLQEHDVPISAFWLQVTNYRAY >DRNTG_32588.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24009832:24010322:1 gene:DRNTG_32588 transcript:DRNTG_32588.2 gene_biotype:protein_coding transcript_biotype:protein_coding EAAEKGLPVVRHLFLHYPNDEHVHSLSYQQFLVGSEFLVVPVLDRGKKEVKAYFPISGGDLWQHVWTGRVYRKPLDYSEKNQQGFEAWVDAPIGYPAVFVKFGSSIGETFLRNLRDLNIY >DRNTG_32588.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24008039:24008479:1 gene:DRNTG_32588 transcript:DRNTG_32588.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKMRSLYLEGYCYSVFDLTKRDRVQIQIYEGSAEGRILNGTSPVALIESFTEIIGRPPVLPEWIVSGAVVGMQGGTEAVRRVWDQLQEHDVPISAFWLQVTNYRAY >DRNTG_32588.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24007000:24010322:1 gene:DRNTG_32588 transcript:DRNTG_32588.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFIRYYRTEELLMRWMELNAFSSVFRTHEGNIPSSNCQFYSNRNTLAQFARFAKVFKAWKFYRLKLVKEAAEKGLPVVRHLFLHYPNDEHVHSLSYQQFLVGSEFLVVPVLDRGKKEVKAYFPISGGDLWQHVWTGRVYRKPLDYSEKNQQGFEAWVDAPIGYPAVFVKFGSSIGETFLRNLRDLNIY >DRNTG_29394.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7598827:7603077:-1 gene:DRNTG_29394 transcript:DRNTG_29394.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLSKSTKASPSHHISMLPNDQLLLKSSVAHSIKVKVSSFLLDLTLQSRSIGRDSWSGSRKLSPMKKKILLPQRGSSTSCEGFQAVQKANPYLCLYKHSSQAFDDKLESNPSFHKDRPDPGNLTKFDGDLCSLTSL >DRNTG_29531.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2072774:2109307:-1 gene:DRNTG_29531 transcript:DRNTG_29531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFQNEEQSQRKFHFNMLEDTWKPRNGLTSDSDGILSTPPCNGLVCLYDYHHNIRLCNPTTREFLHLPRPTRNSKSVLSSFPKCFLGFHPVTKKYKVVRFFYHQMNHVTESYDLGCEVFTLGTWSWKYVGSIDCYLTGQGINANGYLYWTKGVNTVIPDQIVAFDLESEKFNSIAVPDFLSYQIIVQGVMFLVQLEGKLCVVNAPYDSPGSMDAWMLEDPINNVWIHKFSISLHSIPGYRRRPEPVFIHNGRVLLRWLEKLFYRSLDNEQEDTECVYTDNCLNSSAKAYAFVESLVSLDSENHHSFIS >DRNTG_29531.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2072774:2074608:-1 gene:DRNTG_29531 transcript:DRNTG_29531.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDVCMPWFFFIQMHLNHMHNLEAANELYNDGNHLLINFCASVLVNRPKTTSPPKCLFGFHPMTKKCIVVRFFYRQMNHVTESYDLGCEVFTLGTWSWKSVGSIDCYLTGQGINANDYLYWTKGVNTVIPDQIVAFDLESEKFNSIAVPDFLSYQIIVQGVMFLVQLEGKLCVVNAPYDSPGSMDAWMLEDPINNVWIHKFSISLHSIPGYRRRPEPVFIHNGRVLLRWLEKLFYRSLDNEQEDTECVYTDNCLNSSAKAYAFVESLVSLDSENHHSFIS >DRNTG_02905.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23594533:23601449:1 gene:DRNTG_02905 transcript:DRNTG_02905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVELPLTASVGVSKLLSPTPDGFPRVRESEKGPGSSVFGTHRPSGCGSYHKAPEYSSISRNKRSDADFNKHDKLPSFRCVENDSKRHHPGETDRPEKVPLDNDGKQSNRKTIKNPSILPCSKRLRTDQPEHSSKTIVSDACDDTSIKMGLDLIRCNYTERSRMTKPKRCLDTKRTEKKNLRACGRLKYEAGLVGSDLTCGANNIHGLYGLKSDPHDVVELVDEVSLSELLDGSYTYPKLCPDKGKKTGNSSESILVSVRKACSILMPHSSVDSGGSRKASTSIINLNECSGRMSDSDSMLKTIEESEPSKGENLGQVNSKGPLSSQGDPGSFGTFSYPYP >DRNTG_24929.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001317.1:38267:38739:-1 gene:DRNTG_24929 transcript:DRNTG_24929.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSDNAPPRIIVQVQEPSIMLPNQCNGGRSLTTIKPKILRSPQRFTPLYAQQALVEGHPLDHSRIRGTEVESITSEGKGDAPVHLDSPSQPSPT >DRNTG_26604.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19930169:19934550:-1 gene:DRNTG_26604 transcript:DRNTG_26604.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNVAEGPKVESGSGEALSVLSIVIAQPEEVLDVKGTVSKSASPEKVVAAVALAKKGFLTRSDSEHEQCRVCQQQTEEPLLDLGCGCRGELAKTHKSCIEIWFRTRGSNKCEICQQVAVNIPAPEPQSRSNYWVWRIDPAHGGSTFGRGERERGCFSPLWVAFAILIGGLLLDVLISVSLGVSALPVNIIIGVLIVLGLGTALRLALECCHEWGSRRNIQHPEMNINLGYPPAV >DRNTG_16689.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16238348:16244452:-1 gene:DRNTG_16689 transcript:DRNTG_16689.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 16 [Source:Projected from Arabidopsis thaliana (AT3G10150) UniProtKB/TrEMBL;Acc:A0A1I9LQV7] MVKLFGYLFIITSFFSFALCLGGEESVLRQPNEKAPLRFSADSTFKITLFADLHYGEAAWLDWGPEQDRNSSRVLSAVLDSEIPDFVIYLGDVITANNLPVPNASMYWDQAISPTRNRGIPWATLFGNHDDAQFEWPPEWFSASGIPQVSCPPADFSFSGEECYFGGTPRVELMKMEIEKNKLSYSASGPKELWPSVSNYILRVLSAKDGASPVVFLYFLDSGGGSYPEVISRAQVDWFNHKSKAINPDESVPEVIFWHIPSTAYEKIAPRPRSAIQRPCVGSLNEESVAPQEAEWGIIDIFTKRSSAKALFVGHNHGLDWCCPYEKLWLCFARHSGYGGYGTWPRGARIIEMTEHPFSLKSWIRMEDNTVHSEVTLSS >DRNTG_01238.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9319756:9325420:-1 gene:DRNTG_01238 transcript:DRNTG_01238.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 2;1 [Source:Projected from Arabidopsis thaliana (AT3G26570) TAIR;Acc:AT3G26570] MTSSSSPSPCFSIARINTNLRASLLCNKPPLQRHQALPLLLRHNGNPWRTNLPPPLLKLSCNLKTFASSASISSFAHDDDESNQSNADTGTDAHSGDLPEMAKAFHISSRTASAISFCIALAALSLPLAMSSVSQCASLKMKVLSYVTLLFGFYMAWNIGANDVANAMGTSVGSGALSLRQAVVTAAVLEFSGAFLMGTHVTNTMQKGILVAGVFQGKDSLLFAGLLSSLAAAGTWLQVASYYGWPVSTTHCIVGAMVGFGIAYGGTNAVFWSSLLRVTSSWVISPVIGAAVSFSVYKCIRRFVYSAANPGKAAAAAAPIAVFLGVTGISFAAFPLSKIFAIAMTQALACGAIGALIVGKVIHKQLGRLLSESEKQAQQNKQHHKNLGFLSDIAGPTGPQLEIVYAVFGYMQVLSACFMSFAHGGNDVSNAIGPLAAALSILQGVATSAEIVIPNDVLAWGGFGIVAGLMVWGYRVIATIGKKITELTPTRGFAAEFAAASVVLVASKLGLPISATHTLVGAVMGVGFARGLNRVRAETVREIVASWVVTIPVGALLSVIYTFILTKLLSNLI >DRNTG_01238.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9319756:9325420:-1 gene:DRNTG_01238 transcript:DRNTG_01238.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 2;1 [Source:Projected from Arabidopsis thaliana (AT3G26570) TAIR;Acc:AT3G26570] MTSSSSPSPCFSIARINTNLRASLLCNKPPLQRHQALPLLLRHNGNPWRTNLPPPLLKLSCNLKTFASSASISSFAHDDDESNQSNADTGTDAHSGDLPEMAKAFHISSRTASAISFCIALAALSLPLAMSSVSQCASLKMKVLSYVTLLFGFYMAWNIGANDVANAMGTSVGSGALSLRQAVVTAAVLEFSGAFLMGTHVTNTMQKGILVAGVFQGKDSLLFAGLLSSLAAAGTWLQV >DRNTG_01238.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9319756:9325420:-1 gene:DRNTG_01238 transcript:DRNTG_01238.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 2;1 [Source:Projected from Arabidopsis thaliana (AT3G26570) TAIR;Acc:AT3G26570] MTSSSSPSPCFSIARINTNLRASLLCNKPPLQRHQALPLLLRHNGNPWRTNLPPPLLKLSCNLKTFASSASISSFAHDDDESNQSNADTGTDAHSGDLPEMAKAFHISSRTASAISFCIALAALSLPLAMSSVSQCASLKMKVLSYVTLLFGFYMAWNIGANDVANAMGTSVGSGALSLRQAVVTAAVLEFSGAFLMGTHVTNTMQKGILVAGVFQGKDSLLFAGLLSSLAAAGTWLQVASYYGWPVSTTHCIVGAMVGFGIAYGGTNAVFWSSLLRVTSSWVISPVIGAAVSFSVYKCIRRVIFSIAIMFQTFTMLFDPFILPLVCVQRCKPRKGSSSCCTNRCFSRCNGNLLCGISP >DRNTG_18037.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7994933:7998959:-1 gene:DRNTG_18037 transcript:DRNTG_18037.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGRGGRDDLFGFGDPFAGFGGFGRPGSLISSFFGGRDPFDDPFFTHPFRSMMGPSVFRPNLFGERAFVDMNHGGFLEQQAPQSSKSKGPIIRELSDDEDNVEEDSNEDNKDKKNPRKHSRLSKEPFVQDPDDEEAEEKKMKHTDYRTGASRMQPQVRAYSFSSSSVTYGGPNGAYYTASTTRRTGADGVTVEESKEADATTGKASHRLARGLRDKGHAVTRKLNSDGRVETMQMLHNLNQDELHGFNEAWKGSARKHLAGWNQGFDMIDNGDIGGGSRWNEHAAAGWVLPGTEQPSTNSARARPKFHLNDTA >DRNTG_24563.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25988849:26002609:1 gene:DRNTG_24563 transcript:DRNTG_24563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDRRRRRSPSTSSSSDSESSEEERRRRRKKSSRHKESSKRRSSKKEEEEEERRERSRKAKDKRKEKRRSSPSDDPKPELDEPESVLCLILDRYPDVASDLKQLLEMLDNGQGVDLRGISEKSLVKLLKKLFQSLNVDQNEKGIFLLPPLSVPTLEVIGSTLRSYLKPKDYNEFLNSGSQNEQAKVDDKEVVDVAVLVDGPKEKDSAPSRRRVIGPEMPSRELLAAAAELTQAEATLREAEEEVDNDLFIGPPPPAIVAEAESANEAERFEEVSRIAGAEVDKPYDVLAVNWKMSFDNIKKRYWKLSLMVHPDKCSHPQAHQAFVILNRAFKDLQDPDKRKAIDEKIKLKEEQEQFEAELKELREAARWRQLQGISLEGDEELLAVVKEAPKRDEWMTTLPPERKPGMPPRQSTSFSKTGKEGRGDTSVWTDSPSDRAEKAKLNYLEAYNKAKAISDVDGENSKRSSNASLVDEYNASKRSVSLLQKHQAKGKQPKKKSKQPEKEEWVGAHPWKPWDRETDLSAGRKKVDLDSKNMGQGLTSRFSSGPTQRNFL >DRNTG_24563.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25988849:26002609:1 gene:DRNTG_24563 transcript:DRNTG_24563.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDRRRRRSPSTSSSSDSESSEEERRRRRKKSSRHKESSKRRSSKKEEEEEERRERSRKAKDKRKEKRRSSPSDDPKPELDEPESVLCLILDRYPDVASDLKQLLEMLDNGQGVDLRGISEKSLVKLLKKLFQSLNVDQNEKGIFLLPPLSVPTLEVIGSTLRSYLKPKDYNEFLNSGSQNEQAKVDDKEVVDVAVLVDGPKEKDSAPSRRRVIGPEMPSRELLAAAAELTQAEATLREAEEEVDNDLFIGPPPPAIVAEAESANEAERFEEVSRIAGAEVDKPYDVLAVNWKMSFDNIKKRYWKLSLMVHPDKCSHPQAHQAFVILNRAFKDLQDPDKRKAIDEKIKLKEEQEQFEAELKELREAARWRQLQGISLEGDEELLAVVKEAPKRDEWMTTLPPERKPGMPPRQSTSFSKTGKEGRGDTSVWTDSPSDRAEKAKLNYLEAYNKAKAISDVDGENSKRSSNASLVDEYNASKRSVSLLQKHQAKGKQPKKKSKQPEKEEWVGAHPWKPWDRETDLSAGRKKVDLDSKNMGQGLTSRFSSGPTQRNFL >DRNTG_10150.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:670686:679914:1 gene:DRNTG_10150 transcript:DRNTG_10150.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase 3, endoplasmic reticulum-type [Source:Projected from Arabidopsis thaliana (AT1G10130) UniProtKB/Swiss-Prot;Acc:Q9SY55] MSWKQDSKGKDTLRCLALALKRMPTGQQTLTYEDESNLTFIGLVGMLDPPREEVRNAMLSCMSAGIRVIVVTGDNKSTAESICCQIGAFDHIDDFTGYSYTASEFEELPPLQRTTALQRMVLFTRVEPSHKKMLVEALQNQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFASIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGMPETLVPVQLLWVNLVTDGLPATAIGFNKQDSDVMMAKPRKVSEAVVSGWLFFRYLVIGVYVGLATIAGFVWWFIYYDGGPKLPYSELVNFDSCSTRMTTYPCTIFNDRGPSTVSMTVLVVVEMFNALNNLSENQSLFVIPPWSNLWLVGSIILTMFLHVLILYVKPLSVLFSVTPLSWAEWMVVLYLSFPVIIIDEVLKFFSRNPRGRRFHFRFRRADLLPKKEAHDK >DRNTG_10150.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:654666:679914:1 gene:DRNTG_10150 transcript:DRNTG_10150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase 3, endoplasmic reticulum-type [Source:Projected from Arabidopsis thaliana (AT1G10130) UniProtKB/Swiss-Prot;Acc:Q9SY55] MILAANAAVGVITETNAEKALEELRAYQADVATVLRNGCFSILPATELVPGDVVEVGVGCKVPADMRMIEILSNQLRVDQAILTGESCSVAKDLESTAATNAVYQDKTNVLFSGTVVVSGRARAVVVGVGSNTAMGNIREAMLRTEDEATPLKKKLDEFGTFLAKVIAGICVLVWVVNIGHFHDPAHGGFLRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKICVVRSVHRGPITTDFTVTGTTFAPEGLIFDMTGMQLEFPAQFPCLLHIAMCSSLCNESTLQYNPDKRNYEKIGESTEVALRVLVEKVGLPGFDSMPSALNMLTKHERASYCNRYWEHQFKKISLLEFSRDRKMMSVLCIRKQQEIMFSKGAPESIISRCTHILCNDDGSSVPLTAEIRNELEARFQSFAGKDTLRCLALALKRMPTGQQTLTYEDESNLTFIGLVGMLDPPREEVRNAMLSCMSAGIRVIVVTGDNKSTAESICCQIGAFDHIDDFTGYSYTASEFEELPPLQRTTALQRMVLFTRVEPSHKKMLVEALQNQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFASIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGMPETLVPVQLLWVNLVTDGLPATAIGFNKQDSDVMMAKPRKVSEAVVSGWLFFRYLVIGVYVGLATIAGFVWWFIYYDGGPKLPYSELVNFDSCSTRMTTYPCTIFNDRGPSTVSMTVLVVVEMFNALNNLSENQSLFVIPPWSNLWLVGSIILTMFLHVLILYVKPLSVLFSVTPLSWAEWMVVLYLSFPVIIIDEVLKFFSRNPRGRRFHFRFRRADLLPKKEAHDK >DRNTG_10150.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:674591:679914:1 gene:DRNTG_10150 transcript:DRNTG_10150.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase 3, endoplasmic reticulum-type [Source:Projected from Arabidopsis thaliana (AT1G10130) UniProtKB/Swiss-Prot;Acc:Q9SY55] MVLADDNFASIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGMPETLVPVQLLWVNLVTDGLPATAIGFNKQDSDVMMAKPRKVSEAVVSGWLFFRYLVIGVYVGLATIAGFVWWFIYYDGGPKLPYSELVNFDSCSTRMTTYPCTIFNDRGPSTVSMTVLVVVEMFNALNNLSENQSLFVIPPWSNLWLVGSIILTMFLHVLILYVKPLSVLFSVTPLSWAEWMVVLYLSFPVIIIDEVLKFFSRNPRGRRFHFRFRRADLLPKKEAHDK >DRNTG_10150.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:657396:679914:1 gene:DRNTG_10150 transcript:DRNTG_10150.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase 3, endoplasmic reticulum-type [Source:Projected from Arabidopsis thaliana (AT1G10130) UniProtKB/Swiss-Prot;Acc:Q9SY55] MMNYHVLYDITVQELRAYQADVATVLRNGCFSILPATELVPGDVVEVGVGCKVPADMRMIEILSNQLRVDQAILTGESCSVAKDLESTAATNAVYQDKTNVLFSGTVVVSGRARAVVVGVGSNTAMGNIREAMLRTEDEATPLKKKLDEFGTFLAKVIAGICVLVWVVNIGHFHDPAHGGFLRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKICVVRSVHRGPITTDFTVTGTTFAPEGLIFDMTGMQLEFPAQFPCLLHIAMCSSLCNESTLQYNPDKRNYEKIGESTEVALRVLVEKVGLPGFDSMPSALNMLTKHERASYCNRYWEHQFKKISLLEFSRDRKMMSVLCIRKQQEIMFSKGAPESIISRCTHILCNDDGSSVPLTAEIRNELEARFQSFAGKDTLRCLALALKRMPTGQQTLTYEDESNLTFIGLVGMLDPPREEVRNAMLSCMSAGIRVIVVTGDNKSTAESICCQIGAFDHIDDFTGYSYTASEFEELPPLQRTTALQRMVLFTRVEPSHKKMLVEALQNQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFASIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGMPETLVPVQLLWVNLVTDGLPATAIGFNKQDSDVMMAKPRKVSEAVVSGWLFFRYLVIGVYVGLATIAGFVWWFIYYDGGPKLPYSELVNFDSCSTRMTTYPCTIFNDRGPSTVSMTVLVVVEMFNALNNLSENQSLFVIPPWSNLWLVGSIILTMFLHVLILYVKPLSVLFSVTPLSWAEWMVVLYLSFPVIIIDEVLKFFSRNPRGRRFHFRFRRADLLPKKEAHDK >DRNTG_10150.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:654309:679914:1 gene:DRNTG_10150 transcript:DRNTG_10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase 3, endoplasmic reticulum-type [Source:Projected from Arabidopsis thaliana (AT1G10130) UniProtKB/Swiss-Prot;Acc:Q9SY55] MDDAYARSVSEVLEAFGVDPTRGLSDSQVAENAKIYGRNVLPQEGSTPLWKLILKQFDDLLVKILIAAAFVSFLLALIDGETGLTAFLEPSVILMILAANAAVGVITETNAEKALEELRAYQADVATVLRNGCFSILPATELVPGDVVEVGVGCKVPADMRMIEILSNQLRVDQAILTGESCSVAKDLESTAATNAVYQDKTNVLFSGTVVVSGRARAVVVGVGSNTAMGNIREAMLRTEDEATPLKKKLDEFGTFLAKVIAGICVLVWVVNIGHFHDPAHGGFLRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKICVVRSVHRGPITTDFTVTGTTFAPEGLIFDMTGMQLEFPAQFPCLLHIAMCSSLCNESTLQYNPDKRNYEKIGESTEVALRVLVEKVGLPGFDSMPSALNMLTKHERASYCNRYWEHQFKKISLLEFSRDRKMMSVLCIRKQQEIMFSKGAPESIISRCTHILCNDDGSSVPLTAEIRNELEARFQSFAGKDTLRCLALALKRMPTGQQTLTYEDESNLTFIGLVGMLDPPREEVRNAMLSCMSAGIRVIVVTGDNKSTAESICCQIGAFDHIDDFTGYSYTASEFEELPPLQRTTALQRMVLFTRVEPSHKKMLVEALQNQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFASIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGMPETLVPVQLLWVNLVTDGLPATAIGFNKQDSDVMMAKPRKVSEAVVSGWLFFRYLVIGVYVGLATIAGFVWWFIYYDGGPKLPYSELVNFDSCSTRMTTYPCTIFNDRGPSTVSMTVLVVVEMFNALNNLSENQSLFVIPPWSNLWLVGSIILTMFLHVLILYVKPLSVLFSVTPLSWAEWMVVLYLSFPVIIIDEVLKFFSRNPRGRRFHFRFRRADLLPKKEAHDK >DRNTG_10150.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:654309:656549:1 gene:DRNTG_10150 transcript:DRNTG_10150.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase 3, endoplasmic reticulum-type [Source:Projected from Arabidopsis thaliana (AT1G10130) UniProtKB/Swiss-Prot;Acc:Q9SY55] MDDAYARSVSEVLEAFGVDPTRGLSDSQVAENAKIYGRNVLPQEGSTPLWKLILKQFDDLLVKILIAAAFVSFLLALIDGETGLTAFLEPSVILMILAANAAVGVITETNAEKALEASFHI >DRNTG_21438.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1844521:1847037:1 gene:DRNTG_21438 transcript:DRNTG_21438.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSGYFVSKMLKLPAHYAAGLILLGCCPGGTASNIVTYLARGNVALSVLMTAASTFAAVIMTPFLTSKLAGQFVTVDPIWPFYINSAGVRIIFILCLLVLLSSHHLK >DRNTG_21438.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1844521:1847037:1 gene:DRNTG_21438 transcript:DRNTG_21438.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSGYFVSKMLKLPAHYAAGLILLGCCPGGTASNIVTYLARGNVALSVLMTAASTFAAVIMTPFLTSKLAGQFVTVDPIWPFYINSAGVRIIFILCLLVLLSSHHLK >DRNTG_21438.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1844521:1847037:1 gene:DRNTG_21438 transcript:DRNTG_21438.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLRAPHPSPLPRARLHASRSPIINSPLLHSISSSTPTRKISTSRRTLLVPLHASTNPSPLSSSNGGSMDVRNVIVRAGEILSLGFPLWVALACFLGLCKPSSFLWVHRDWQILGITLTMLGMGMTLTLDDLKGALLMPKEIAAGFILQYTIMPLSGYFVSKMLKLPAHYAAGLILLGCCPGGTASNIVTYLARGNVALSVLMTAASTFAAVIMTPFLTSKLAGQFVTVDPIWPFYINSAGVRIIFILCLLVLLSSHHLK >DRNTG_21438.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1844521:1847037:1 gene:DRNTG_21438 transcript:DRNTG_21438.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSGYFVSKMLKLPAHYAAGLILLGCCPGGTASNIVTYLAR >DRNTG_21438.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1844521:1847037:1 gene:DRNTG_21438 transcript:DRNTG_21438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLRAPHPSPLPRARLHASRSPIINSPLLHSISSSTPTRKISTSRRTLLVPLHASTNPSPLSSSNGGSMDVRNVIVRAGEILSLGFPLWVALACFLGLCKPSSFLWVHRDWQILGITLTMLGMGMTLTLDDLKGALLMPKEIAAGFILQYTIMPLSGYFVSKMLKLPAHYAAGLILLGCCPGGTASNIVTYLARGNVALSVLMTAASTFAAVIMTPFLTSKLAGQFVTVDPIWPFYINSAGGSCSCYIWCYFEPVLQQLG >DRNTG_04786.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2498991:2499191:-1 gene:DRNTG_04786 transcript:DRNTG_04786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIKEMRACLSFHSSIGHTAGSVPKRVKRSLKRGVRERP >DRNTG_23545.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22380779:22386278:1 gene:DRNTG_23545 transcript:DRNTG_23545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRSAVNKAVEVSGRRASVSRTVRSYADTFAGGARILQDRMGMRNRRSFRNTVKRLEEIAISSKGEERVQLLRRWLVALRQIERVPGGIVDDRDSVPYDKPNSPNRNASLDLSYCSDVGGDLSNFREVFLQSQALEGITMSMILEEPNEEEASLLLEIFGLCFTGGKEVQSTVIGSIRNLAKAFSHYQDEVLVKREELLQYAQSAISGLKLNPEITRIDAEASELWKSVNKMKGLQESSSNGLDMTSRDTALPTVEALKNALAEIQLCSRLEMLLLKKQSIKNGDSAESHSQKVHKLKILAESLANSSTKAEMRIVDQRRQTEEAHNFRVAKASEVSEIEKELVTEILDLERQRDELEVELKKINTRLTAALTRLQKSREERNQFDEASNQIVLHLKAKEDELSRSVASCKLEGDVVHSWINFLESTWVHQSANTDLKEKQTNDELEKYESYFMKLIKHHLLACKVEVGSCLTHIKTIVDNLKIFSEGSAIKSISSDDISNETNPRQILEEDYLKAETKIVTAFNVVDHMKELFYLEQENPFRKQDPQVKELFNDVDKLRVEFGSIQRPTLEIEISKDKVSVSEGTLQSQKTSNSAKTTYSPMSRGIESSNSAAFVIDLQSEKELERLVPDLFPMSTGSSHEEISGWEFDEPEHEQRYSESAEKNQS >DRNTG_23545.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22381132:22386278:1 gene:DRNTG_23545 transcript:DRNTG_23545.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRRSFRNTVKRLEEIAISSKGEERVQLLRRWLVALRQIERVPGGIVDDRDSVPYDKPNSPNRNASLDLSYCSDVGGDLSNFREVFLQSQALEGITMSMILEEPNEEEASLLLEIFGLCFTGGKEVQSTVIGSIRNLAKAFSHYQDEVLVKREELLQYAQSAISGLKLNPEITRIDAEASELWKSVNKMKGLQESSSNGLDMTSRDTALPTVEALKNALAEIQLCSRLEMLLLKKQSIKNGDSAESHSQKVHKLKILAESLANSSTKAEMRIVDQRRQTEEAHNFRVAKASEVSEIEKELVTEILDLERQRDELEVELKKINTRLTAALTRLQKSREERNQFDEASNQIVLHLKAKEDELSRSVASCKLEGDVVHSWINFLESTWVHQSANTDLKEKQTNDELEKYESYFMKLIKHHLLACKVEVGSCLTHIKTIVDNLKIFSEGSAIKSISSDDISNETNPRQILEEDYLKAETKIVTAFNVVDHMKELFYLEQENPFRKQDPQVKELFNDVDKLRVEFGSIQRPTLEIEISKDKVSVSEGTLQSQKTSNSAKTTYSPMSRGIESSNSAAFVIDLQSEKELERLVPDLFPMSTGSSHEEISGWEFDEPEHEQRYSESAEKNQS >DRNTG_23545.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22383909:22385572:1 gene:DRNTG_23545 transcript:DRNTG_23545.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVDQRRQTEEAHNFRVAKASEVSEIEKELVTEILDLERQRDELEVELKKINTRLTAALTRLQKSREERNQFDEASNQIVLHLKAKEDELSRSVASCKLEGDVVHSWINFLESTWVHQSANTDLKEKQTNDELEKYESYFMKLIKHHLLACKVEVGSCLTHIKTIVDNLKIFSEGSAIKSISSDDISNETNPRQILEEDYLKAETKIVTAFNVVDHMKELFYLEQENPFR >DRNTG_05322.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2041172:2043895:-1 gene:DRNTG_05322 transcript:DRNTG_05322.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAMVTDDITNLAISLIGKSYSQAFKAGFQDPQINEAQKISFKYGCSRGVASTPTFLVNGFALPGAGAAIPFQQWKSIIDPLLKND >DRNTG_25622.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21988882:21990916:1 gene:DRNTG_25622 transcript:DRNTG_25622.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSFDGGYTWQDREQLPPGILGPIKNKPILLDDGRLLCGSSVESWNSWGAWMEVTSDCGHTWKKYGPIYVENTNLSVIQPVPYRTAKGTLRILLRSVETIGSVCMSESFDDGLTWSYAKPTELQNPNSGIDGVKLKDGRLLLVYNTISRGVLKVAISEDDGDSWKEVMTLEKNLEMEFSYPAVIQTRDELIHITYTYNRTQIKYLVLQPGKIGRS >DRNTG_25622.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21988882:21990916:1 gene:DRNTG_25622 transcript:DRNTG_25622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLYALAFTIVSLFLYFTSEVQQLLLNTSNNSIPSSSSSSSSSSSSSSSVAPTTSLLLSISTRNKVLGTMEFKNAKNSENIVWEIVKEDFTFAAGTVPFNTCHASTIVEIEKNHFLVAYFGGSMEGDPDVKIWLQRYKDGNWDSPVVADEELDVPMWNPVLFMLPSKELLLFYKIGYDVQKWSGCMRRSFDGGYTWQDREQLPPGILGPIKNKPILLDDGRLLCGSSVESWNSWGAWMEVTSDCGHTWKKYGPIYVENTNLSVIQPVPYRTAKGTLRILLRSVETIGSVCMSESFDDGLTWSYAKPTELQNPNSGIDGVKLKDGRLLLVYNTISRGVLKVAISEDDGDSWKEVMTLEKNLEMEFSYPAVIQTRDELIHITYTYNRTQIKYLVLQPGKIGRS >DRNTG_12437.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19637049:19638839:-1 gene:DRNTG_12437 transcript:DRNTG_12437.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLPKLRIVKVSLISSIHPQVPEDDEDIDDETAEQLQSQMEQDYDIGSTIRDKIIPHAVSWFTGEAVQGEDFEDLGDVDEEDGDEEDENEDEDEEEDDEDEDDDEEEVKTRKKKSGGTHAAEGQPVDRPAECKQQ >DRNTG_12437.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19637049:19640696:-1 gene:DRNTG_12437 transcript:DRNTG_12437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDKQKDGLNISDLSAALPANVPGLSAEDRADLVNALKNKLHSLAGQHSDVLESLPTKVRKRVEVLREIQSQHDELEAKFFEERAALEAKYQKLYEPLYTKRYEIVNGVVEVETVKGEGTEEAPAEEKETEEKGVPDFWLTAMKTNEVLGEEIQERDEAALKYLKDIKWYRIDNPKGFKLEFFFDTNPFFKNSVLSKTYHMIDDDEPILEKAIGTEIEWHPGKCLTQKILKKKPKKGSKNAKPITKTENCESFFNFFNPPSSSRR >DRNTG_14102.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20711216:20712014:1 gene:DRNTG_14102 transcript:DRNTG_14102.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVGGIAIQLESDSCYHTMDNTDSHASSERSITPPIRNLVNNR >DRNTG_16333.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12954829:12955344:-1 gene:DRNTG_16333 transcript:DRNTG_16333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHLSGIVGLLKAAHPEWSLAMIKSAFMTSADKTGKNGKPIVDQLLQPYNLFATGAGDVNPMAALNPGLMFDIEPNDYISYLYRIGYSNKQISAITSSSISCSSYPEQTNINYPSITVSLDSKGMAIMTRVPKNVGHHAFVYRVSVMEPPGVKVVVTPSVLRFSASYKV >DRNTG_20131.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28077061:28080000:1 gene:DRNTG_20131 transcript:DRNTG_20131.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKLSFLMLSITFLSRPISSLFKADFSPDFGILFSIFSPTCERTSARVSRGIGQGFGEVLRLRHRDSIRKKVSRGASIEAVTLGLRVLVGNLVSEVG >DRNTG_08897.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27557783:27559205:-1 gene:DRNTG_08897 transcript:DRNTG_08897.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G26710) UniProtKB/Swiss-Prot;Acc:Q9LSE4] MAAPPPLLSSPPQIAGIPHRPNLCFNPTLRRQQKPRLSPVRVSLHEIYAAAPVFTISAAEGVGYSPASYYTSLGLFVISVPGLWSLIKRSVKSKIVQKTFVKEGAAAAAAAAPKQVAGEIMSFFTRNNFSVSDRGETITFEGMMVPSRGQAALLTFCTCISLASVALVLTIALPEGGNNWFWLTVLSPLAGVYYWQRASRKEEIKVKMIVAEDGNLSEIIVQGDDQQIDQMRKELQLNEKGMVYVKGIFER >DRNTG_08897.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27557783:27559336:-1 gene:DRNTG_08897 transcript:DRNTG_08897.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G26710) UniProtKB/Swiss-Prot;Acc:Q9LSE4] MAAPPPLLSSPPQIAGIPHRPNLCFNPTLRRQQKPRLSPVRVSLHEIYAAAPVFTISAAEGVGYSPASYYTSLGLFVISVPGLWSLIKRSVKSKIVQKTFVKEGAAAAAAAAPKQVAGEIMSFFTRNNFSVSDRGETITFEGMMVPSRGQAALLTFCTCISLASVALVLTIALPEGGNNWFWLTVLSPLAGVYYWQRASRKEEIKVKMIVAEDGNLSEIIVQGDDQQIDQMRKELQLNEKGMVYVKGIFER >DRNTG_08897.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27557457:27559205:-1 gene:DRNTG_08897 transcript:DRNTG_08897.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G26710) UniProtKB/Swiss-Prot;Acc:Q9LSE4] MAAPPPLLSSPPQIAGIPHRPNLCFNPTLRRQQKPRLSPVRVSLHEIYAAAPVFTISAAEGVGYSPASYYTSLGLFVISVPGLWSLIKRSVKSKIVQKTFVKEGAAAAAAAAPKQVAGEIMSFFTRNNFSVSDRGETITFEGMMVPSRGQAALLTFCTCISLASVALVLTIALPEGGNNWFWLTVLSPLAGVYYWQRASRKEEIKVKMIVAEDGNLSEIIVQGDDQQIDQMRKELQLNEKGMVYVKGIFER >DRNTG_08897.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27557315:27559205:-1 gene:DRNTG_08897 transcript:DRNTG_08897.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G26710) UniProtKB/Swiss-Prot;Acc:Q9LSE4] MAAPPPLLSSPPQIAGIPHRPNLCFNPTLRRQQKPRLSPVRVSLHEIYAAAPVFTISAAEGVGYSPASYYTSLGLFVISVPGLWSLIKRSVKSKIVQKTFVKEGAAAAAAAAPKQVAGEIMSFFTRNNFSVSDRGETITFEGMMVPSRGQAALLTFCTCISLASVALVLTIALPEGGNNWFWLTVLSPLAGVYYWQRASRKEEIKVKMIVAEDGNLSEIIVQGDDQQIDQMRKELQLNEKGMVYVKGIFER >DRNTG_01951.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32551125:32553396:-1 gene:DRNTG_01951 transcript:DRNTG_01951.1 gene_biotype:protein_coding transcript_biotype:protein_coding RELLVIFNDTQGLLWLLVTGKVPSKEQAVALSKELQSRSAVPDHVYKGIDSLPVTAHPMTQFVTGVMALQV >DRNTG_02342.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1485952:1487485:-1 gene:DRNTG_02342 transcript:DRNTG_02342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALTIGSSLFPSFFLMFCLIYLIGRFIVFRNWSMNQRREASSCFISLFHGTPAVFLATSAILTHPSWGFAFPNTNFDNLVLDYSIAYFTVDLLHYLILIPGDYLFIAHHLATLFVFVTCRYLVLHGAFALLVLLVLAEVTSPWQNVWTLARIRKTESVNAARLDKLLSFPFYSLYTLMRVIAGPLFFFKMSAYYLSGQANDVIPNWVSVSWIVVVGAAIGVSILWISNLWIELYKETIGSSEKKER >DRNTG_24261.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18905925:18908595:1 gene:DRNTG_24261 transcript:DRNTG_24261.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWARPPAAKRQHISSATPFSWASPAAAEPGQHSSSATPISQARSPAVAQEQCASSGTPVSWASPPAAAQCASSVTPLSWAHPQAVAREQCMSSGTSVSSVCPPAVARERCPSSACPVSRAHAPAYPVSWAPPPVATREPHASSVTPISWAHPLAGARETGPSSVTPISRAHPPAGAREPQPSSVTPISWAHPSAGAREPRASSVAPISWAHPPAAARGPCASSVSPISWAHPPAVARQQYASSVSTFSWMPSTTVAQDQYTSSVSPFSWTPSPAVAQEQRASLVSLFSWPTPPALAEEGSPSLMTTFSWAPAQATAREPAASSVSLFSWPSLSTVAQEQHTSSVSHFHWASPPDVAREQQASSVLPAAFSWAPALATAPELPPESPVSLFSWPSSSAVAREHRASSVTHFQWASPPAATPEHHASSVLSSVSHAAPTAVAQVEHASSVSPVPWAYPWAVRQEQRTSSVTIASFGRPSSTTPSMPSSPDRSVSTTLSL >DRNTG_10049.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11906796:11907440:1 gene:DRNTG_10049 transcript:DRNTG_10049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAFSQLGCSKEAFDLFSDPHASRLVNQIHVHVAKSGFGSTLLVCNTLVDSYSKCGLIDVARCLFDEMPERDIVTYNALLMGYSKEGFHGDAMKLFMEMRNLELKPSQFTFSGVLTAGIKLGDLRCISGATKLFNEMAERDNVSYNVMVSGYAWAGRTEEFGKQFPFANMLSITGALSNDR >DRNTG_23791.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29711642:29715158:1 gene:DRNTG_23791 transcript:DRNTG_23791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTCRLPRSISLKLEEAERNSRVKVRARDDLASYLPQMLSRSIWLKLEEMGGICQLLPIVSDRGENTSQIQTYIVHVLKPKGSKFLGAEDLQKWHKSFLPNNTLDTGEPRLLYSYKEAISGFAAKLTPEEVRGMEKMNGFLRANPSKRLQVQTTYTHKLLNLSTLFGAWSTSNSFYGEGIIIGVIDTGIHLPHPSFADIGMPPLPRGWNASCNLPRGSCNGKVIGAQSFKKGNTSNPPTDIDQGHGTHVAGIAAGNFVDNADVLRLASGRASGMAPKAYISVYKVCWEDIGCDVDDIIAGIDQAILDGVHILQMSFGADPPDLPTSLDEDELAFATYSAMQKGIFSCTTAGNNGPDRETLSHAAPWDMVVGATTTDRRIRTTVTLGDGKQIFHGESAYQPNTITNQFFPLAFPGSNGQWDQLFCLNNSLNGFNVKGKIVMCEVGEIDDIEKGGFVRNAGGAGMILMNDDISGYTTSSDAHHLPVSHVSYKDAMQIKNYFMSNSTPTAKITFGGTIFGIRPAPALASFSSRGPAKYNRHIVKPDVTAPGVNILSAWPVDVGPFPSSLKKNTFNFVSGTSMAAPHVSGIVALIMSKLKNDNKRIWSTSEIQSALITTANAFDLDGKPIFDEATLNDSANILQRGAGQVNATNAMDPGLVYNIEQDDYVAYLCGIYSNNSTIVQSFTQDNTQCTRSISGKRLNYPSIGIRMRSRSSRTTIMRTVTNVGDAREIYNVTWIEPPFVKIYFSQYKLSFTRLEQQISYNITFTMNGSHPGFGVIGQGQLSWVSNKHTVTSPIYIAF >DRNTG_18500.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1879008:1881445:-1 gene:DRNTG_18500 transcript:DRNTG_18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPFTLCLAPCCSCFQSQVVRNDMSNVFCTEEKLDELKNAMEALVVKKKEIVRELDIHQNKGKQPTHPLQLQLWIRKVGEKNEKVTKLLDEYSKSCCVSRYSISRRAIKLLKRNKSVARRTIASRIHRAIASLNQSLNHLKIVGEKIVSNLNVTCNYLEEKTNDIIGIWGMGGVGKTTLLKKINQRLLDNANMGFDHVLFIKASQNTQFEELQKEIAKKLHLSPDSAGQQDIFNALKTKNIVLLLDNIWEPVDLVGLGIINPFRDDDDSTKYKVIFTTRSEDVCARMRASKRIKVECLEPDEAWTLFKHNVNLAVIESDEKFKKIARQAMNKCGGLPLALQVVGAAMPKTNTVQDWEGILSSLNNLGTKVVQGVQDSLLPILKLSYDTLPRNTRECFLYASLLPWSLSKDDLLECWMGLGLISNFDNLQQAYHEARQIFKKLEESCLLWYSPDDDDVVVRLHDVIYEMAVWIASDYGENMNKWIVKKYDGLAVEIPSKDAENWKSANVLFIRGRVKRLPILSHQCSDLLYLMVEPNYHFENI >DRNTG_04678.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30389329:30390808:1 gene:DRNTG_04678 transcript:DRNTG_04678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSCLHRPPQNAHLSTWRPPLQHPPLLRRSTLHFLMRRLRPLLLHQPHRSPQSAMMIVYLLMQAISFIRTLYAFTNETLAKYSYATSLQATLGYGNQVNLLDGRSHRTSAHL >DRNTG_29168.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:10757709:10765670:1 gene:DRNTG_29168 transcript:DRNTG_29168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSSVSDRSLYIESEEEDEVNEEEVGNKIPPDDESDGSDSSSVASPRRSRPSSYNTTWPQSYRQSIDMYSSVTPPTIGFLGTPTLSRLGSSFITSSFQGKHTPEIISSLIKPLLPTRVDEQEQQKRQSSHSLLLPPPIPSRRPSLRKPKDDTKLSKVSHELPISRNCSFGQAVINGINVLCGVGILSTPYAVKVGGWAGLSILFIFAGLSYYTGILLKHCLDSEPGLETYPDIGQAAFGTKGRFLVSIILYLELYACCVEYIILESDNLSSLFPNAHLTIGSMHISSHVLFAVMTTLIVLPTTWLRDLSLLSYISAGGVIASILVVASLFWVGLIDQVGFQNKGDSLNLTGIPIAIGLYGYCYSGHAVYPNIYSSLEKKNQFPLVLFTCFVICTVMFAGVAVIGYLMFGETTLSQFTLNMPQGLVASKLAVWTTVVNPITKYALTLTPMALSFEELIPANHMKSHLYPIAVRSTLAFSTLFVALSVPFFGLVMAFIGSLLTMFVTLILPCACFMSIVKGKLTWLEGIFCIFIIIVGVICAGFGTTSAISKIIESY >DRNTG_15876.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:10222908:10226916:-1 gene:DRNTG_15876 transcript:DRNTG_15876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWVLGKHDRFDLAWRVVRRMYRRSILNRDAIVVLMERYAAANEPSKAIKTFHAMEKFKIAADSTVFYTLLSALCKNKNVEEAEELLLVNRKFYPLEAESFNIILDGWCNIIVDIVEAKRVWREMSNCCITPDGTSYIHMISCFSKVSNLFDSLRLYDEMKKRGWVPSLVVYNALIYVLAKENCLKEAHNIFDKIKQEGLEPDVGTYNSMIYPLCENQRLEEAHRVMDEMIERNITPTIQTYHAFAKVDSIDGTLRLIKKMSDVGRGPNSCTFSLILNKFIRMNECGNALRMWTEMRRYNVIPDSSHYTQLIEGLIRHGWIPKALEFYNEMKSKRFPNDPKFEKIFQTFVTNHKNHWGKGKEYITRPHGKNTNLERVRIY >DRNTG_24356.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:433820:435043:1 gene:DRNTG_24356 transcript:DRNTG_24356.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYIYIYIHEQLRQLEKQKQQQQHQQRRFPQLPENNYFIEDLQARHLECRSFSAALHEVHMKGTLMQRLHLLECRIHQLSHELDKGNSTAVSSSAAAKQLLTSDQSMWLQQIEREMKLLQDNVVPSSTREIGAGELQAQPSKLKNIKDTRREAITAVEKRANNIRQKEKRRLAHTQLYKKWFPVGC >DRNTG_24356.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:433820:434396:1 gene:DRNTG_24356 transcript:DRNTG_24356.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIYIYIYIHEQLRQLEKQKQQQQHQQRRFPQLPENNYFIEDLQARHLECRSFSAALHEVHMKGTLMQRLHLLECRIHQLSHELDKGNSTAVSSSAAAKQLLTSDQSMWLQQIEREMKLLQDNVVPSSTREIGAGELQAQPSKLKVYI >DRNTG_24356.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:433505:435043:1 gene:DRNTG_24356 transcript:DRNTG_24356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDRMGGGPDTRILSNAVAEECTFPPSTESLLGKLNQIDIRLRQLEKQKQQQQHQQRRFPQLPENNYFIEDLQARHLECRSFSAALHEVHMKGTLMQRLHLLECRIHQLSHELDKGNSTAVSSSAAAKQLLTSDQSMWLQQIEREMKLLQDNVVPSSTREIGAGELQAQPSKLKNIKDTRREAITAVEKRANNIRQKEKRRLAHTQLYKKWFPVGC >DRNTG_16920.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22047766:22049838:1 gene:DRNTG_16920 transcript:DRNTG_16920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIIPLIYFYSWGKKKMIQKDIRGNGEFELAQLQWSTLMVATHNFAMTNILGKGGFGLVYKGKLAEGREIAVKRLSKNSTQGIDEFENEVTFIAKLQHRNLVRLLGYCIKGDEKILVYEYMPNGSLDACLFGKEKGEHLDWQTRFHIIEGIARGLLYLHQDSRLRIIHRDLKASNILLDIEMNSKISDFGLARNFGDCETMIKTRKVVGTYGYMAPEYTLDGVFSMKSDVFSFGVLILEIISGQRNRILLSNPHLYLLGKAWRLWNEGKVLDLLDPLISNSFSVSQVMRCINIGLLCVQEKSEDRPSMASIIVMLSNDDAPLPEPKEPGFKAIFSTKHDAVSNQNDLHTFNDITLTEQIGR >DRNTG_16920.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22045799:22049838:1 gene:DRNTG_16920 transcript:DRNTG_16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFKVMAPLVAGLVFLSVTFPYSIAADTLNPDQPLRDGQTIVSAKETFALGFFSPGESKHRYVGIWYNKLPGGQTTTVVWVANRSSPLSGTNGSLELNGNGTLTINSMISLPMTMVALTNPVAQLLDDGNFVIRESNSSEFAWQSFDYPTDTLLSGMKLGWDLRTGLNRNLTSWRSKDDPSPGSYVVSINLEGTTQGNLWSGSTKKWRSGPWTGITFSNVGEQPRTYSHRFGFVNNKDEVYYMYNTTGTQIVHRKLVDQSGMLQNFVWIESTGMWNLFLKYPMNECLEYSRCGPYGVCDINVWPICRCLQGFKPKSPQEWLLMDASSGCDRLTTLDCKNRSNGFMIVTLAALPETSNAIVYTNINQDECRYRCLKNCSCTAYATANISGAGLGCVIWVKELIDLRMSSHPTQDVFVRLMAADLDIRGNGEFELAQLQWSTLMVATHNFAMTNILGKGGFGLVYKGKLAEGREIAVKRLSKNSTQGIDEFENEVTFIAKLQHRNLVRLLGYCIKGDEKILVYEYMPNGSLDACLFGKEKGEHLDWQTRFHIIEGIARGLLYLHQDSRLRIIHRDLKASNILLDIEMNSKISDFGLARNFGDCETMIKTRKVVGTYGYMAPEYTLDGVFSMKSDVFSFGVLILEIISGQRNRILLSNPHLYLLGKAWRLWNEGKVLDLLDPLISNSFSVSQVMRCINIGLLCVQEKSEDRPSMASIIVMLSNDDAPLPEPKEPGFKAIFSTKHDAVSNQNDLHTFNDITLTEQIGR >DRNTG_20473.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001121.1:14215:38688:1 gene:DRNTG_20473 transcript:DRNTG_20473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKCRECGGFGHYQAECANTLRKKGKLLNARWSDDSDGSTEEDDEGSLSNHHTSFPAVINGSTPVAEYVATSATTSIETDSEYNEVTENDLLTNYKLIMNRFNDMMLQNQRLEEKLSICKEKLFNAEKTLDSMDKGTAKLDEILSVGRTSEVPREEEESSNSGLKMVINQTERERRKKRRQ >DRNTG_24534.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001306.1:2555:4997:-1 gene:DRNTG_24534 transcript:DRNTG_24534.1 gene_biotype:protein_coding transcript_biotype:protein_coding LANLDKVCILGCSISPGLSVVLNVSKPAKGSLVVVFSLGAIGLEVLIYHFKHRG >DRNTG_32873.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001928.1:75838:77534:1 gene:DRNTG_32873 transcript:DRNTG_32873.1 gene_biotype:protein_coding transcript_biotype:protein_coding KILPARISGDLQYQTYSSLTPESGGEASSKGKELADQ >DRNTG_22085.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20251523:20251839:1 gene:DRNTG_22085 transcript:DRNTG_22085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPEPSSMDPGRRVRQTAVHLQCRNQVDIQGLKTC >DRNTG_22145.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12525576:12526749:1 gene:DRNTG_22145 transcript:DRNTG_22145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSSAEIHTGVWKSPTPVCLSQGHPQGANPRPCSFSG >DRNTG_28082.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21456838:21457744:1 gene:DRNTG_28082 transcript:DRNTG_28082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKRKRGTQQKCKACEKTVYPMDQLMADGITYHKSCFKCTHCKGTLKPTTLFLLHDLFTACPHSISCLTKNTSFIMLPCPALPCPVFNYSCPWSFLPAISITNVSGITLSVCPLIMRLLTG >DRNTG_30376.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001567.1:24520:35941:1 gene:DRNTG_30376 transcript:DRNTG_30376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVPGWRQAKELKVECMEPDEAWALFKHNVNLAVIESDEKLKEIARQVMNKCGGLPLALQVVGKAMSNRNTVQEWQDILYSLKNSGTEVVQGVQESLLPILKFSYDTLPGNIQECFLWASIFRWSLSKDSLSKLWMRLGLINNFDNLQQAYRTGRQIFKKLEESSLLYSSNDYYVDSHDVIYEMAVWIASDCGRNMNKWIVKSNGVFKVEVGSSNAENWRFASRVIISDWVEHLPILSHQCSDLSCLILGNNHMLKNIHKGFFRQMPNLTYLDLSRTGIEELPKEIKCLVNLQYLNIKFTRISSLPKELVYLKKLQYLLCSYTKLSKVENGLMSRLHNLKVIDTYPYGWVESKELKLLKKHNSIKAIGMRVVSEKVFQQLSCLPTTRLYLANVDNFISLSFDALSCKDNEFLEELKIRSCPQLKELVMNGRKTHLNDLTIRNVEKLHNIIWTDLSPPEYFHVLEKLYISECNLDNLAWVLHLPCLSLLEIKNCAEIETLFYYEEEREIQQQEVSKHRPTFPALLFLTIKKLPKLVSISNFALDFPKLLSLTVSRCPNLKKLPFKSGINNNNQRKIKIDCAKEWWESLEWDDATIPSHLQPHFLSGVGKCVASLLVGMKCMKLPLSIASIQHLEDNENQILLVGMKCMEPP >DRNTG_08629.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20403315:20405344:-1 gene:DRNTG_08629 transcript:DRNTG_08629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAQAPTVKSLIALSLHSLKNTASSLVIPSDRSRCSSSASKSPILFFTHTHNEPQRDRQREKEEDESNLKKSLKLLRNFHPSHRISSQSALIKP >DRNTG_08629.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20403315:20405053:-1 gene:DRNTG_08629 transcript:DRNTG_08629.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAQAPTVKSLIALSLHSLKNTASSLVIPSDRSRCSSSASKSPILFFTHTHNEPQRDRQREKEEDESNLKKSLKLLRNFHPSHRISSQSALIKP >DRNTG_11081.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30821870:30828561:1 gene:DRNTG_11081 transcript:DRNTG_11081.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKPQLGKTKISRRYQRSSPATRVGVTRGDSLTSRRGRSGVVLS >DRNTG_04400.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1131724:1132758:1 gene:DRNTG_04400 transcript:DRNTG_04400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIHTTFSLVITIALLSPTSIAQQPPTPPANNPPPANNPPPANTPPPTPPANTPPPTPPANTPPPSPPANTPPPSPPANTPPPSPPANTPPPTPPSNTPPPSPPANTPPPSPPANTPHPPLRQLHPQLQLLHQHLHHRRQPHHQLQSQLNHHLHQHQHRLLHPSHQHHLRHP >DRNTG_04400.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1131724:1132758:1 gene:DRNTG_04400 transcript:DRNTG_04400.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIHTTFSLVITIALLSPTSIAQQPPTPPANNPPPANNPPPANTPPPTPPANTPPPTPPANTPPPSPPANTPPPSPPANTPPPSPPANTPPPTPPSNTPPPSPPANTPPPSPPATPPPVATPPPASPPPPATPPPTPVPAKSPPSPAPAPAPSSKSPAPSPASVGTPASSPSPSVSSPSVSPTVAPTSADGSNSYVHGVCMGLLAFAAGGLALLL >DRNTG_04400.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1131724:1132758:1 gene:DRNTG_04400 transcript:DRNTG_04400.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIHTTFSLVITIALLSPTSIAQQPPTPPANNPPPANNPPPANTPPPTPPANTPPPTPPANTPPPSPPANTPPPSPPANTPPPSPPANTPPPSPPANTPPPSPPANTPHPPLRQLHPQLQLLHQHLHHRRQPHHQLQSQLNHHLHQHQHRLLHPSHQHHLRHP >DRNTG_04400.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1131724:1132758:1 gene:DRNTG_04400 transcript:DRNTG_04400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIHTTFSLVITIALLSPTSIAQQPPTPPANNPPPANNPPPANTPPPTPPANTPPPTPPANTPPPSPPANTPPPSPPANTPPPSPPANTPPPTPPSNTPPPSPPANTPPPSPPATPPPVATPPPASPPPPATPPPTPVPAKSPPSPAPAPAPSSKSPAPSPASVGTPASSPSPSVSSPSVSPTVAPTSADGSNSYVHGVCMGLLAFAAGGLALLL >DRNTG_04400.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1131724:1132758:1 gene:DRNTG_04400 transcript:DRNTG_04400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIHTTFSLVITIALLSPTSIAQQPPTPPANNPPPANNPPPANTPPPTPPANTPPPTPPANTPPPSPPANTPPPSPPANTPPPSPPANTPPPSPPANTPPPTPPSNTPPPSPPANTPPPSPPANTPHPPLRQLHPQLQLLHQHLHHRRQPHHQLQSQLNHHLHQHQHRLLHPSHQHHLRHP >DRNTG_04400.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1131724:1132758:1 gene:DRNTG_04400 transcript:DRNTG_04400.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIHTTFSLVITIALLSPTSIAQQPPTPPANNPPPANNPPPANTPPPTPPANTPPPTPPANTPPPSPPANTPPPSPPANTPPPSPPANTPPPSPPANTPPPSPPATPPPVATPPPASPPPPATPPPTPVPAKSPPSPAPAPAPSSKSPAPSPASVGTPASSPSPSVSSPSVSPTVAPTSADGSNSYVHGVCMGLLAFAAGGLALLL >DRNTG_04400.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1131724:1132758:1 gene:DRNTG_04400 transcript:DRNTG_04400.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIHTTFSLVITIALLSPTSIAQQPPTPPANNPPPANNPPPANTPPPTPPANTPPPTPPANTPPPSPPANTPPPSPPANTPPPSPPANTPPPTPPSNTPPPSPPANTPPPSPPANTPHPPLRQLHPQLQLLHQHLHHRRQPHHQLQSQLNHHLHQHQHRLLHPSHQHHLRHP >DRNTG_04400.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1131724:1132758:1 gene:DRNTG_04400 transcript:DRNTG_04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIHTTFSLVITIALLSPTSIAQQPPTPPANNPPPANNPPPANTPPPTPPANTPPPTPPANTPPPSPPANTPPPSPPANTPPPSPPANTPPPSPPANTPPPTPPSNTPPPSPPANTPPPSPPATPPPVATPPPASPPPPATPPPTPVPAKSPPSPAPAPAPSSKSPAPSPASVGTPASSPSPSVSSPSVSPTVAPTSADGSNSYVHGVCMGLLAFAAGGLALLL >DRNTG_21123.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2577808:2580119:1 gene:DRNTG_21123 transcript:DRNTG_21123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEVSSIGLAVGRCNEIDFDIAVFMNLTRDHHDFHGNEEEYKKSKAKLFMKMTDKRRHRKVINFDDPNAAFFAAQGNPEVPVVSFGMEDKSADVHPLKIELNMFKTKVWVKTPNGVVKISSGLIGRYNVYNILACAAVGVALGAPLKDIARGIKNVDGVPGNNPALVNLV >DRNTG_21123.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2577808:2580119:1 gene:DRNTG_21123 transcript:DRNTG_21123.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEVSSIGLAVGRCNEIDFDIAVFMNLTRDHHDFHGNEEEYKKSKAKLFMKMTDKRRHRKVINFDDPNAAFFAAQGNPEVPVVSFGMEDKSADVHPLKIELNMFKTKVWVKTPNGVVKISSGLIGRYNVYNILACAAVGVALGAPLKDIARGIKNVDGVPGNNPALVNLV >DRNTG_22209.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1382944:1385196:1 gene:DRNTG_22209 transcript:DRNTG_22209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDLTAQVDRLKAEYMTLSEESKELTQEKNELREEKAKIKSDIDNLNAQYQQRLRVMFPWGAMDHSVIMGHPPSYPFPVPVPIASGPIAIHPSMPPYHYLRGPNPGAYPAYTPYSTTSNHQGDQPSNQQNNPNPPPSCEQSHDTSEKDCGSKLSDNHTQSGAERREDFSDVPTELELKTPGSTGPSSLSQVAHDQELSSEGREGTKLARRKKCVSSEGSTPSSCSSSCDLPESSSYSIGDGSVANQ >DRNTG_05015.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5476608:5477233:-1 gene:DRNTG_05015 transcript:DRNTG_05015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHATLRNFHTGVDNFHTTVWILCFSGFSAGCEQCCYSICYNVATVLCYSIRPE >DRNTG_04875.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2844298:2845418:-1 gene:DRNTG_04875 transcript:DRNTG_04875.1 gene_biotype:protein_coding transcript_biotype:protein_coding NRLSPSPTSTFPPYKQSRLTRLKKNAEKEKKKNQ >DRNTG_04875.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2844298:2845418:-1 gene:DRNTG_04875 transcript:DRNTG_04875.2 gene_biotype:protein_coding transcript_biotype:protein_coding QFGDGYDDLKKDISRATSHPSSLSPSSHQLYSNSYISPTNKSKFKKYLINKIHYDQ >DRNTG_17526.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28950607:28953242:-1 gene:DRNTG_17526 transcript:DRNTG_17526.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Mn] 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G10920) UniProtKB/Swiss-Prot;Acc:O81235] MAIRTLASKQTLIRCAAASPVLRQARGLQTFSLPDLPYDYGELEPAISGEIMKIHHQKHHQTYITNYNKALEQLEEAMAKGDSSKVVGLQSAIKFNGGGHVNHSIFWKNLAPAHKGGGEPPHAALGWAIDTNFGSLETLVKIINAEGAALQGSGWVWLALDKELKKLRIETTANQDPLVTKGLQLVPLLGIDVWEHAYYLQYKNVRPDYLSNIWKVINWKYASEVYEKEVA >DRNTG_03027.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:302153:303639:-1 gene:DRNTG_03027 transcript:DRNTG_03027.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP13, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G45680) UniProtKB/Swiss-Prot;Acc:Q9SCY2] MVVVVVCLHSSDVVNDMSTIMSAYPGAAAALGLGLRRSKQRWLLCSASASGNGNGVNSEQMSRGIRRREATLVVSTGIWLANMVVSVPASQAAKDDPTIELLSQCKSLTIVPSGLAFCDLVIGAGSQPIKGQLIKAHYVGRFENGTVFDSSYNRGKPLTFRVGVGEVIQGWDQGILGSDGVPPMLPGGKRKLKVPPELAYGIRGAGCKAGSCIIPPNSTLLFDVEFIGKA >DRNTG_32503.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12624:13668:-1 gene:DRNTG_32503 transcript:DRNTG_32503.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTRMSEDSQMSSMRTPTKRSGGNKRWTTAESRFFLRFMASQVEQGLKVDKGFKPQALHASIIAMKNEFGIIVTEANVNNHLRTIRKRWARIKKLKELSGMGWDNRLKMIIMGESEFKNYIKIHPQDEPYLNKPIEDHDLLEIVCGNDQATGRRAVQFGDEIGTHMDDSVEYRHPSQTESLDDMFEDTNYHVNIPPPTHNQSESTENRGESSAQSKKGKGKRKMPSEVEAIQEMNNTIKEALVTKKSTRNLEFAKELIGECMKLKVYGYSGRQINKAYDWLMADDSRAMAFLAKDEELRKYWAEDFFESIHNQEEYF >DRNTG_25476.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1623465:1627150:1 gene:DRNTG_25476 transcript:DRNTG_25476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGISAADVSSDKDQIGYIETSTTLTPSAMMSSEEYVSDNSSLLSLQPWIFKREKQEKMEGNEGDFHTMGYGLERLVNRFGTEFSPMSGDSIRRRSLFRSRRTRRYYVKPLTSVENSLIPQLYKDVEVEECIFSSPPPSLTPTLRPFCISDGSRIISKSSSGSSCMTLDNVLHKEVHELDYKNSELTSGETDKDGGAPRLPERSKQKRKNRRVNPERSQKHSHSRGLFDKIFMYCLGVNVGVMFAALANKRELEKLNGMLKYSESLVQDLQEELEMKDSVFVRELENETNEAREPNLISNAEKSTASIQNQALPSPEPVNVIEEDDQQAPSELASDPEALTKIEAELEAELERLEQSLNASSLKQRIHDLTELDPDLLVDVVHGELKADTIDTESLYEAKGDTDSTSAFETLDVNYAVSPTELSIRLHELIEVRLHERIEELERALSQSQQKAQLMETERVSTRIDFSISDTGSSSNQESPRSAEADIAQVHPLCINLTGDAVNAYDEAYEEFMRMTETLQLSTPTTTNADDEQIDECYVEETLPGFDDEAQTWGHMLKGKKYGDEIITDLGSEEDDVEDEEGKELIQKIVEKTKKGSPVLINAQMMFFALDT >DRNTG_10828.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5035954:5039632:-1 gene:DRNTG_10828 transcript:DRNTG_10828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWFASASAIWFSSRLIVKMLEQEEAFNFNLRESMKAHYRKKIVRKKEDGAAKKNNFSSSGNRSMVSRSDAALDFVGQGIQLRNEDPIRGNRNAKVVKREKVLIDTQNAGNRIGKFFVTPRGKVNSRLVEGDPSRIGKVAIQPSPPSGKARMGIAPGEARANIAKIDKIIFKNKTGAKRSFGNIVAKRTTSMLFIRNNSIEIPGQKPSVQSRNTRKIFTDKVLKSEHLRVVDN >DRNTG_05031.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5679255:5681985:-1 gene:DRNTG_05031 transcript:DRNTG_05031.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLEYVPQVHWFVEVIKSQLNIGLRTRASQPLLKVIIFICSLGFISVLLGRIVRPSMKERSQCGSQCTDFGGNLVKVQMTRHRSDNKSFTNLPIIEEASDPRRKRVPVSVTTMKVCIREAIRARIL >DRNTG_09354.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:24673199:24674445:1 gene:DRNTG_09354 transcript:DRNTG_09354.1 gene_biotype:protein_coding transcript_biotype:protein_coding KVVSIDGYQFVPRDENSLKKAVANQPVSVAIEAFGPDFQLYTSGVYDGVCGTNLDHAVTAIGYGTDNGEDYWLVKNSWGANWGEEGYVRIKRNTNSPYGKCGIAMFPTYPIKKRHHVGEDKSFEVEINTEGTRASA >DRNTG_09354.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:24673199:24673642:1 gene:DRNTG_09354 transcript:DRNTG_09354.2 gene_biotype:protein_coding transcript_biotype:protein_coding KVVSIDGYQFVPRDENSLKKAVANQPVSVAIEAFGPDFQLYTSGVYDGVCGTNLDHAVTAIGYGTDNGEDYWLVKNSWGANWGEEGYVRIKRNTNSPYGKCGIAMFPTYPIKKRHHV >DRNTG_28179.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20724449:20728818:1 gene:DRNTG_28179 transcript:DRNTG_28179.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRNANSNPVRETHLDVCNTVSRSFLENVPRIDSPEASIEKLSDDPKPFDNSDRMETSLSVQSDIHDEMVTEEKSEKQEEQIPVTDSTSEAFASKNISTTDVTFDSPRRRSDPIVLSSFQFSMSDLRSRRHQINSRSYLRTFNDEQKKHGRCYAAATLENSQPETDEGKTHSLAEATRELEKLFRKEDFGRMQVVGQFNLGFIIGKLDQELFIVDQHAADEKHNFELLSRTTVLKQQPLIQPIRLELSPEEEIVASMNMETIRKNGFILTEDTNAPPGRRFLLKAVPFSGNITFGAEDVKELISNLADSKEECSIISSYKLDTCDSICPSRVRAMLASRACRTSVMIGDSLTKSEMQKIVNNLSKLKSPWNCPHGRPTMRHLVDLISIGNQRFGDHLFFNSYFFDECSTQTLS >DRNTG_28179.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20724449:20728818:1 gene:DRNTG_28179 transcript:DRNTG_28179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRNANSNPVRETHLDVCNTVSRSFLENVPRIDSPEASIEKLSDDPKPFDNSDRMETSLSVQSDIHDEMVTEEKSEKQEEQIPVTDSTSEAFASKNISTTDVTFDSPRRRSDPIVLSSFQFSMSDLRSRRHQINSRSYLRTFNDEQKKHGRCYAAATLENSQPETDEGKTHSLAEATRELEKLFRKEDFGRMQVVGQFNLGFIIGKLDQELFIVDQHAADEKHNFELLSRTTVLKQQPLIQPIRLELSPEEEIVASMNMETIRKNGFILTEDTNAPPGRRFLLKAVPFSGNITFGAEDVKELISNLADSKEECSIISSYKLDTCDSICPSRVRAMLASRACRTSVMIGDSLTKSEMQKIVNNLSKLKSPWNCPHGRPTMRHLVDLISIGNQREEIS >DRNTG_14171.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22922968:22925645:-1 gene:DRNTG_14171 transcript:DRNTG_14171.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGLTTLASSGYDLLGAVMGERNINVLCIGHGGGALPLFMASKIRGATVHSIEIDPVVITASVQAMGFPASALKETSDQFSFSCPHDADQILWEDVHDRIFLHRSDAEDFMLNNSNIYDLVFIDAYDGDDIFPRKLWDPDAPFLKALRSRLHPVHGTIVVNLHSDSDVLALFPNILPMGKYVSQVARAYKEQFGLAFTVSVPWLCNTSLVACSGVRFHKTNTSLTRDALLNGLISKSNFIDSFLDLPFPSLQYIKRGFVLVE >DRNTG_27735.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001405.1:18315:18976:1 gene:DRNTG_27735 transcript:DRNTG_27735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELITGKKPSEPEFGENRDIIHWVSSKIANKEGETEVLDKRLSWSPFKDEMIQVLRIALRCTCSSPTLRPTMNEVVQLLIEADPFKSDAITSPSSKFKSAKNPT >DRNTG_21513.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1540705:1544526:1 gene:DRNTG_21513 transcript:DRNTG_21513.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKKLQSSNVQRESTTAMEDDDLMASPNEEEEIERVLADIPLGELQKSRADGSHASRSKAPIVRQQKPGRAHKNMPMEMSSKVPAGKFREVIQVPKKVTRDPRFESLCGTLDTNGFHKRYDFLFQVELPAEKEKLHKLIMKERDPTVIKELKEHLCWIVSCCKLKETYHFNIVFVCMLLN >DRNTG_21513.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1540705:1542749:1 gene:DRNTG_21513 transcript:DRNTG_21513.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKKLQSSNVQRESTTAMEDDDLMASPNEEEEIERVLADIPLGELQKSRADGSHASRSKAPIVRQQKPGRAHKNMPMEMSSKVPAGKFREVIQVPKK >DRNTG_21513.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1540705:1544526:1 gene:DRNTG_21513 transcript:DRNTG_21513.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSSKVPAGKFREVIQVPKKVTRDPRFESLCGTLDTNGFHKRYDFLFQVELPAEKEVIPIQF >DRNTG_27280.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22500179:22502253:-1 gene:DRNTG_27280 transcript:DRNTG_27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGVRSRTRDLFARPFRKKGYIPLTTYLRTYKIGDYVDVKVNGAVHKGMPHKFYHGRTGKVWNITKRAIGVEINKQVGNRIIRKRIHVRVEHVQPSRCSEEFCLRIKKNDQLKAEAKAHGEVISTKRQPEGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >DRNTG_04389.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1189944:1193446:1 gene:DRNTG_04389 transcript:DRNTG_04389.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ORANGE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G61670) UniProtKB/Swiss-Prot;Acc:Q9FKF4] MLCSARILTCSSAFPHSSSRRRRPETRPPSPSLLPRWRRPASGDADASLSPPSVQTSVPSAVDSVEKNPSSFCIIEGPETVQDFAKMELREIQDNIRSRRNKIFLHMEEVRRLRIQQRIKSAELGVINDKQENEVPDFPSFIPFLPPLTPANLKVYYATCFSLITGIIIFGGLLAPTLELKLGIGGTSYADFIRGVHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGYLACARCSSTGSIVFIDPVSKVNGGNQPLSPPRTERCSNCSGAGKVMCPTCLCTGMAMASEHDPRIDPFD >DRNTG_04802.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2400529:2403097:1 gene:DRNTG_04802 transcript:DRNTG_04802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVFSHPLSSPLLSPSSAAPPSPDAASSLLSALKSTGAVPDAFAYTSLISALARNRRYRDALKVFDEMRLQECRPTIITYNVVLHVYGKLFAPWPEITSLVDAMKSDGVSADQYTYNTLISCCRRGALHQEATEIFEEMKTAGFEPDKVTYNSLLDVYGKSHRHREAMDVLREMEAKGFPPSIVTYNSLISSYAKDGILDEALELKEQMEAKGIKPDVITYTTLLSGFEKAGKDEFALRIADEMERKGCKPNLCTYNALIKMYGNRGKFEEMMKVFEELRDNGYVPDIVTWNSLLSVFGQNGMYSEVSGVFKEMKRAGFVPERDTYNTLISAYSRCGSFDQAMEIYKTMITAGIGPDLSTYNAVLAALARGGLWQQAEKILCRDEGWQM >DRNTG_10618.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:164800:169924:1 gene:DRNTG_10618 transcript:DRNTG_10618.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISAVCWIPKGASKVVPESFEPPTQEEIEEITKSGALERSEEEEEQDMEMDDTEKEVGGVARALAAVKALGKAHGDNSSNDLKGIIDALRELDMDHYDDEDDGINVLSSGLGDIYYPSNDMDPYLQGMDDDDDEDVEDRTIKPTDAVIVCACNEDDVSSLQVSIFEELEDKETNLYANNEIILSAFPLCTAWLDCNLKGGESGDKGNFIAVGSMEPAIEIWDLDLLGEVQPFMVLGGISKKKTGKKTSIKYKRGSHRDSVLGLAWNKVVRNALASASADKTVKVWDMVTGKCTVTLEHHTDKVQAVAWSRYAPEILVSGSFDHTVALMDVRFNDRAPNTWQVSADVESVELDPHNEHLFAVSLDNGTVQGFDARTATSVSTSGSRSIFTLHAHEKAVTSIAYNPAAPNLLATGSMDKKVKLWDLSNNQPSCVASTNPKAGSVFSLAFSEDCPFQLAIGGSKGKLKVWDVLTDHGVANKFGKFANGGTETAPVG >DRNTG_10618.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:164800:169924:1 gene:DRNTG_10618 transcript:DRNTG_10618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAVCWIPKGASKVVPESFEPPTQEEIEEITKSGALERSNDSEEEEEQDMEMDDTEKEVGGVARALAAVKALGKAHGDNSSNDLKGIIDALRELDMDHYDDEDDGINVLSSGLGDIYYPSNDMDPYLQGMDDDDDEDVEDRTIKPTDAVIVCACNEDDVSSLQVSIFEELEDKETNLYANNEIILSAFPLCTAWLDCNLKGGESGDKGNFIAVGSMEPAIEIWDLDLLGEVQPFMVLGGISKKKTGKKTSIKYKRGSHRDSVLGLAWNKVVRNALASASADKTVKVWDMVTGKCTVTLEHHTDKVQAVAWSRYAPEILVSGSFDHTVALMDVRFNDRAPNTWQVSADVESVELDPHNEHLFAVSLDNGTVQGFDARTATSVSTSGSRSIFTLHAHEKAVTSIAYNPAAPNLLATGSMDKKVKLWDLSNNQPSCVASTNPKAGSVFSLAFSEDCPFQLAIGGSKGKLKVWDVLTDHGVANKFGKFANGGTETAPVG >DRNTG_33537.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8210446:8213973:-1 gene:DRNTG_33537 transcript:DRNTG_33537.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEVVVREEGQRTRWRSSEFMKESGRMAKVAGPMMLVSMSQILLQFISVVMVGHLGEIPLSATTIATSVAAVTGFSVLVGMASALDTLCGQAYGAEQYKKLATNTYSAMVSLTLVCIPLSFLWLFIDKILSVIGQDPLISHEAGKYVKLLIPSIFSYSISQPLMKFLQSQSLILPMLLSSVATLCLHLPLCWLFVFKFGFGNAGAALSINISNWTYVLVLVLYVKLAKSCERTRAPISVEAIKGVNEFMKLSLPSVAMLCLQWWSCELLVLMSGFLPNAKLETSVLSICLTIVQVMFCFPYGFGAAASTRISNELGAGNPQKARFVAYVVLCLALVEVTIVSGILFAARHIWACVFSNIKEVVDHVSRLAPFICLAVIMDCLQGIISGIARGCGWQNIGAYANLVALYLIGTPLAIVLGFIVHMRGKGIWIGIWSGATIQSISLLLITFFTNWEKQAKNAKKRIFDEKLTDINAM >DRNTG_33537.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8210446:8212556:-1 gene:DRNTG_33537 transcript:DRNTG_33537.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFINFVYLINQCDTIKFNLQVGMASALDTLCGQAYGAEQYKKLATNTYSAMVSLTLVCIPLSFLWLFIDKILSVIGQDPLISHEAGKYVKLLIPSIFSYSISQPLMKFLQSQSLILPMLLSSVATLCLHLPLCWLFVFKFGFGNAGAALSINISNWTYVLVLVLYVKLAKSCERTRAPISVEAIKGVNEFMKLSLPSVAMLCLQWWSCELLVLMSGFLPNAKLETSVLSICLTIVQVMFCFPYGFGAAASTRISNELGAGNPQKARFVAYVVLCLALVEVTIVSGILFAARHIWACVFSNIKEVVDHVSRLAPFICLAVIMDCLQGIISGIARGCGWQNIGAYANLVALYLIGTPLAIVLGFIVHMRGKGIWIGIWSGATIQSISLLLITFFTNWEKQAKNAKKRIFDEKLTDINAM >DRNTG_23002.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1721771:1722118:1 gene:DRNTG_23002 transcript:DRNTG_23002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLEWWFHGPPRRQHMMMLLTGQSGALTGFRFEPQRVAEVGEWVVAEGEEEGAYWVHVWTVKDGVITQFREYFNTWLTVREVGQVGPTKMDTLWQSEPRSYLGRSLPSLVLAV >DRNTG_29386.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:486437:489153:-1 gene:DRNTG_29386 transcript:DRNTG_29386.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVNSKETITNHFNDKVEMPSNTFTPEAKDPPYLHRPIARISAFNPYNCLSGKPNCSSSRPVPAYEQLFQASRPGGGFCKFVNSLYGEPQVPTRCGHGCCETQNGLHLKESLLGPEFVEFVEPPAISSHELASIAAELSNIAWTKSGLPSSKAKVFHCSPGQVSL >DRNTG_29386.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:486511:489288:-1 gene:DRNTG_29386 transcript:DRNTG_29386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMEMERSLAAMDSSPTGTTAVAVAVAEILGNGDVEGGLGGKGEDRVKGPWSPEEDAILSRLVSKFGARNWSLIAKGIPGRSGKSCRLRWCNQLDPGVKRKPFTEEEDRIIVAAHAIHGNKWAFIARLLDGRTDNAIKNHWNSTLRRKCRDVVQFKVEHDDLEDASVEKNKGLSEESPSVVDGLPLKPLEGMNAVNSKETITNHFNDKVEMPSNTFTPEAKDPPYLHRPIARISAFNPYNCLSGKPNCSSSRPVPAYEQLFQASRPGGGFCKFVNSLYGEPQVPTRCGHGCCETQNGLHLKESLLGPEFVEFVEPPAISSHELASIAAELSNIAWTKSGLPSSKAKVFHCSPGQVSL >DRNTG_14400.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:17500600:17503893:1 gene:DRNTG_14400 transcript:DRNTG_14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLFSSLFAQMISSCSPWLPYARGRCTPLCALGENIH >DRNTG_34673.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28804659:28810126:-1 gene:DRNTG_34673 transcript:DRNTG_34673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAAVIQEGDVAIDGSIARPWSLCTVEEVEDLKTLIRIVPLWTSTIFISVCIATQTSFSILQALNMDRSLGAHFSVPAGSFSVTTFIATCLTLFILDRVIYPLCHRLTSYTPTSLQRVGIGQVFNIAAMAASALVEHRRSIIVHEHQAENQPNWIAPMSAFWLVLPYVFTGFGDAFHFPGQIAFYYQEFPESLKSTATGIIALILSIGYYTSTGLVAVVRQTTSWLPDNLNSSRLENVYWLLTVMASINFAYYILCAKLYKNKRVT >DRNTG_34673.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28807669:28810126:-1 gene:DRNTG_34673 transcript:DRNTG_34673.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKEQDDQSQSDVAHDHESQTTPKQGGWITFPFIIGNVFGMSLIFSGAMGNFIVYLIKYYNFKSIDAAQLFNIITGFSSFSPLLGAIISDSFFGCLPVITFSTSMILLTLTAGIKAFRPTNSHTAASSGQLALLYTALALLIVGTGGARFNTMTMGADQLSNVDDQSVFFNWYFIVFYMGGVIGNTVIIYIEDSISWELGYGICSAVNALAVLFMLLGVKYYRRPGTKEKPIYGNCTCHCGWD >DRNTG_17639.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4193014:4201961:-1 gene:DRNTG_17639 transcript:DRNTG_17639.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFGEAIIENNNHEEVVSEKKQNERSTKEQEQQEKNKNKKMKKKKKRKVKTKGSWFKLFFFADAWDCTLMAFGFVGACVHGASLPVFFLCFGKLINVFGAAYLFPTSISTQVSKYSLDFVYLGIVILFSAWIEVACWMYTGERQVAKMRIEYLQSLLCRDIAFFDTETSTGEVIATITSDVNVIQDAIAEKIGKIVHCMSRFVVGSAIGFIHIWQISLVTLSVVPLIAVSGAIFAYATAVFVAKVRKSCVEAGEIAEEVIGNIRTVQAFVGEEKAVKSYMNALSKTYRYGIKVAWAKGLGLGSVYGVLSCSWALLIWFTSGIVHKGICNGAEAFTTIIIVFVAGLSLGQAASNISAVIKAKAAAYPILEMIERNAFNKACKVSGDTLDQVDGHIQLCDLCYSYPSRPDVLIFDGLTLDIPAGKIVALVGGSGSGKSTIISLIARFYEPLSGCILLDGHDIKRLELKWLRQQIGLVNQEPALFSMSIRENILHGKEDATLEEIFRATALSNAKSFIDNLPDKYETQVGERGIQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESEKSIQEGLNCAMVGCTTVIVTHRLSTIRNANVIAVVQHGKIVEIGTHDELMLDPQSTYRSLIQFQESGSVQGSSVIGHSKRGSDRQFHSFCSDKDSFNYYHLEATEKLKVKSVSLRRLYSLVAPDWIFGMFGTIGAFVTGAQMPLLTFWMTQALVAYYMDWESTQREIRKNVFLFCGASLSILVFHTVQHVNFGIMGERLALRVREKIFRAILQNEIGWFDDTSHTSTFLSSCLETDATLLRTIVVDRSHILLHNAGLITTSFVITFMLNWRLTLVIVATFPFLIGANISEQFFLRGFGGDFSKTYLKPNMLAAEAVSNIRTVASFCLEDKVLKVYASELDEPARRSHRRGHITGIVYGFSQFCLFSSYGLAMWYSSVLIAKELISFLSVIRCFMVLIITAFAVAEALALFPDIIQGNQMVESIFELMDRSSGVVSDTGVDAGNISGMVELKGVEFCYPSRPGDMIFRDLDLTVNSGKTMALVGMSGSGKSTVLSLILRFYDPTAGKVMIDARDIREFKLQSLRNRIGLVQQEPALFATTIYENIFYGKDDASEAEIIEAAKISNAHSFISALPEGYSTKVGERGIQLSGGQKQRIAIARAIIKNPAILLLDEATSALDVESENIVQRALDNVMQARTTIMVAHRLSTVQNADIISVLQDGKIIEQGNHKTLVEHRNGAYYKLISLQQLNHE >DRNTG_17639.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4193014:4198141:-1 gene:DRNTG_17639 transcript:DRNTG_17639.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGELIGKIVHCMSRFVVGSAIGFIHIWQISLVTLSVVPLIAVSGAIFAYATAVFVAKVRKSCVEAGEIAEEVIGNIRTVQAFVGEEKAVKSYMNALSKTYRYGIKVAWAKGLGLGSVYGVLSCSWALLIWFTSGIVHKGICNGAEAFTTIIIVFVAGLSLGQAASNISAVIKAKAAAYPILEMIERNAFNKACKVSGDTLDQVDGHIQLCDLCYSYPSRPDVLIFDGLTLDIPAGKIVALVGGSGSGKSTIISLIARFYEPLSGCILLDGHDIKRLELKWLRQQIGLVNQEPALFSMSIRENILHGKEDATLEEIFRATALSNAKSFIDNLPDKYETQVGERGIQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESEKSIQEGLNCAMVGCTTVIVTHRLSTIRNANVIAVVQHGKIVEIGTHDELMLDPQSTYRSLIQFQESGSVQGSSVIGHSKRGSDRQFHSFCSDKDSFNYYHLEATEKLKVKSVSLRRLYSLVAPDWIFGMFGTIGAFVTGAQMPLLTFWMTQALVAYYMDWESTQREIRKNVFLFCGASLSILVFHTVQHVNFGIMGERLALRVREKIFRAILQNEIGWFDDTSHTSTFLSSCLETDATLLRTIVVDRSHILLHNAGLITTSFVITFMLNWRLTLVIVATFPFLIGANISEQFFLRGFGGDFSKTYLKPNMLAAEAVSNIRTVASFCLEDKVLKVYASELDEPARRSHRRGHITGIVYGFSQFCLFSSYGLAMWYSSVLIAKELISFLSVIRCFMVLIITAFAVAEALALFPDIIQGNQMVESIFELMDRSSGVVSDTGVDAGNISGMVELKGVEFCYPSRPGDMIFRDLDLTVNSGKTMALVGMSGSGKSTVLSLILRFYDPTAGKVMIDARDIREFKLQSLRNRIGLVQQEPALFATTIYENIFYGKDDASEAEIIEAAKISNAHSFISALPEGYSTKVGERGIQLSGGQKQRIAIARAIIKNPAILLLDEATSALDVESENIVQRALDNVMQARTTIMVAHRLSTVQNADIISVLQDGKIIEQGNHKTLVEHRNGAYYKLISLQQLNHE >DRNTG_17639.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4193014:4201961:-1 gene:DRNTG_17639 transcript:DRNTG_17639.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFGEAIIENNNHEEVVSEKKQNERSTKEQEQQEKNKNKKMKKKKKRKVKTKGSWFKLFFFADAWDCTLMAFGFVGACVHGASLPVFFLCFGKLINVFGAAYLFPTSISTQVSKYSLDFVYLGIVILFSAWIEVACWMYTGERQVAKMRIEYLQSLLCRDIAFFDTETSTGEVIATITSDVNVIQDAIAEKIGKIVHCMSRFVVGSAIGFIHIWQISLVTLSVVPLIAVSGAIFAYATAVFVAKVRKSCVEAGEIAEEVIGNIRTVQAFVGEEKAVKSYMNALSKTYRYGIKVAWAKGLGLGSVYGVLSCSWALLIWFTSGIVHKGICNGAEAFTTIIIVFVAGLSLGQAASNISAVIKAKAAAYPILEMIERNAFNKACKVSGDTLDQVDGHIQLCDLCYSYPSRPDVLIFDGLTLDIPAGKIVALVGGSGSGKSTIISLIARFYEPLSGCILLDGHDIKRLELKWLRQQIGLVNQEPALFSMSIRENILHGKEDATLEEIFRATALSNAKSFIDNLPDKYETQVGERGIQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESEKSIQEGLNCAMVGCTTVIVTHRLSTIRNANVIAVVQHGKIVEIGTHDELMLDPQSTYRSLIQFQESGSVQGSSVIGHSKRGSDRQFHSFCSDKDSFNYYHLEATEKLKVKSVSLRRLYSLVAPDWIFGMFGTIGAFVTGAQMPLLTFWMTQALVAYYMDWESTQREIRKNVFLFCGASLSILVFHTVQHVNFGIMGERLALRVREKIFREAVSNIRTVASFCLEDKVLKVYASELDEPARRSHRRGHITGIVYGFSQFCLFSSYGLAMWYSSVLIAKELISFLSVIRCFMVLIITAFAVAEALALFPDIIQGNQMVESIFELMDRSSGVVSDTGVDAGNISGMVELKGVEFCYPSRPGDMIFRDLDLTVNSGKTMALVGMSGSGKSTVLSLILRFYDPTAGKVMIDARDIREFKLQSLRNRIGLVQQEPALFATTIYENIFYGKDDASEAEIIEAAKISNAHSFISALPEGYSTKVGERGIQLSGGQKQRIAIARAIIKNPAILLLDEATSALDVESENIVQRALDNVMQARTTIMVAHRLSTVQNADIISVLQDGKIIEQGNHKTLVEHRNGAYYKLISLQQLNHE >DRNTG_12987.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24784064:24787024:1 gene:DRNTG_12987 transcript:DRNTG_12987.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVNGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSPDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKSGKKCSKAPKIQRLVTPLTLQRKRARIGEKKKRIAKAKSEAAEYQKLLASRLKEQRERRSESLAKRRSKLSAASKPSVTAAS >DRNTG_12987.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24784064:24787224:1 gene:DRNTG_12987 transcript:DRNTG_12987.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVNGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSPDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKSGKKCSKAPKIQRLVTPLTLQRKRARIGEKKKRIAKAKSEAAEYQKLLASRLKEQRERRSESLAKRRSKLSAASKPSVTAAS >DRNTG_23225.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6748362:6751975:1 gene:DRNTG_23225 transcript:DRNTG_23225.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVTEELWQALPYRREALMVSPWPRTSLARDAKSIKRFENLQALTRSIRNARAEYSVEPAKRISASIVAATDVLGYISEEKQVLALLSRLDLQNVHFRESPPDYGEQSVHLVAGEGLEAYLPLADMVDISAEVQRLSKRLSKMQSEYDTLIARLSAPSFVEKAPDEVVRGVREKANEAEEKIALTRNRLALLQSTATAATSP >DRNTG_23225.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6746754:6751975:1 gene:DRNTG_23225 transcript:DRNTG_23225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSLGNVIDPIDTIKEYGTDALRFTLSLGTAGQDLNLSTERLTSNKAFTNKLWNAGKFVLQNLPSESDRSAWDSLLAYKFDAEDSLLNLPLPECWVVSKLHELIDSVTTSYDKFFFGDAGREIYSFFWGDFADWYIEASKTRLYHSENESAAVISKIVLLYVFENILKLLHPFMPFVTEELWQALPYRREALMVSPWPRTSLARDAKSIKRFENLQALTRSIRNARAEYSVEPAKRISASIVAATDVLGYISEEKQVLALLSRLDLQNVHFRESPPDYGEQSVHLVAGEGLEAYLPLADMVDISAEVQRLSKRLSKMQSEYDTLIARLSAPSFVEKAPDEVVRGVREKANEAEEKIALTRNRLALLQSTATAATSP >DRNTG_29663.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001493.1:10265:15617:-1 gene:DRNTG_29663 transcript:DRNTG_29663.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAIRKCTESSSNTSRASTKGSYSPGPKDLPLLPLRLLSSRCIRKCFCYSTET >DRNTG_09068.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1245856:1246464:-1 gene:DRNTG_09068 transcript:DRNTG_09068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLLLFPIFLSIITITAAQNIYVLDTDGEPIRTDRQYYIVPGNTDVAGGFTLAGRNGSCPLSIAQSPQVGDSGIPVTFTRVSQDIVTINYDEDVNIAFSTSTTTCEAFSTVWRLAEADEVFSRAYVSTGGYTGVSGNQASLNFWFRIERHMEVYRLAFCPTVCNDCNPTCGLLNVDIVEDQRWLSLFRYDESVLPVQFRKA >DRNTG_17702.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3964888:3968486:1 gene:DRNTG_17702 transcript:DRNTG_17702.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRL3 [Source:Projected from Arabidopsis thaliana (AT5G58010) UniProtKB/TrEMBL;Acc:A0A178UI77] MQPCSREMQAMALQELQIAAHDDFFDQMLSSLPPSWPDLGNPKSPWDLAGKPQDDPAGEYPPYDESSLLASRLRQHQISGGGGSGGSPAEKSMGLHLAQHQQMMLAAGMGRSSPVGAGDGGLIPMPLSLGNGGSSDSRLLLDRSRDEVDAAFKPPNSTGSEGIYNGFSSGSAQRMAQAANQQHFQGSPVPSQSFGAAPPSSGNTTTQAAAAPPRQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAAVAPLVADVSSEAGRGGGGGSGGSDSLAVTEHQVAKLMEEDMGSAMQYLQGKGLCLMPISLASAISSATARTTSSLIPSSLNHINSNNNNGGVGAGINGGDGPSSPSMSVLTVQSAMGAGSDTMKDAASVSKP >DRNTG_06463.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:539271:539834:-1 gene:DRNTG_06463 transcript:DRNTG_06463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVLF >DRNTG_33103.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001953.1:6007:6870:1 gene:DRNTG_33103 transcript:DRNTG_33103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGAPASGKGTQCEFIKNKYGFVHISAGDLLRAEVSAGTE >DRNTG_28219.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11842941:11848195:1 gene:DRNTG_28219 transcript:DRNTG_28219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVKSIKEKSIRRDKKNCAGRNSDSASAPVPPHGDPNGVGCLPSSSDQSEVLSLDIRQRFEGVEHFRDALQNFAIKRNFAFKFIKNEKHRVTVEYVADSCQWRLHTSKEYNKNTFKIKTINPSHTCGGGIGSASHMKETRKWVSVRVIQKLKDRPLYKAIDIQKDMYSNVAELFNAWIKEVWFKLMHLLCNHREQVDRWETYLCSTYIRRFKLMLMLCNCREQANKWEAYLFPAIHSNVEILVEECRDLHTCGNIVAKGGRSCCWDVAIGRLFRYEGMLPLGEGVFRPGEVVQGSYSAEEFVYGLGCH >DRNTG_30713.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21989929:21990373:-1 gene:DRNTG_30713 transcript:DRNTG_30713.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQQLNYDFRNPFVVCGATLVPIYRGQKDMSCPYCGARFVRQLKGGSVLFANLLLSGPMHQACYVAQLR >DRNTG_25324.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20431899:20432248:-1 gene:DRNTG_25324 transcript:DRNTG_25324.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRIAYDIEKLSDEEAANFAMSELKKMLPHAKKPVITFFPL >DRNTG_25324.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20431767:20432248:-1 gene:DRNTG_25324 transcript:DRNTG_25324.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRCCNENKIALHFDTVFWPNVEVLGIVAPTSYACGYFLNLHKATGNPVLVYMAAGRIAYDIEKLSDEEAANFAMSELKKMLPHAKKPMDFFGFSLGNGPEFPWSLFLRFSR >DRNTG_15982.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5140590:5142557:-1 gene:DRNTG_15982 transcript:DRNTG_15982.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGPRPYECVRRAWHSDRHQPMRGSLIQEIFRVVSEAHSPATRRNREWQEKLPCVVLKAEEIMYSKANSEAEYMDPKTLWSRAVDAIDTIIRRDDANESQDLLQPCIEAALNLGCTPRRASRSQRHSNPGCYLSFNASRDVSASAVVPNKVTDQNKMHHLASSATLMPQLPCHSTDLQNSSSPLYTTLLGPSTTNSACRGAEPMSLNASPELKDYSANSMSEYPFAGNSSFLNWLPAGLSIPGDSCTLSSYGRVYPLYYGEPQFRTVPQQCGQNSQDAICNTVTTVDTSVLPFGQVEMDFLHNFLGTSPATDSKSRGSRIEEHSVRPPHPACSLSLGLGLPLGPTLSAENAWAYRFENMASDDSCEGKSSCY >DRNTG_15982.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5140506:5142471:-1 gene:DRNTG_15982 transcript:DRNTG_15982.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGPRPYECVRRAWHSDRHQPMRGSLIQEIFRVVSEAHSPATRRNREWQEKLPCVVLKAEEIMYSKANSEAEYMDPKTLWSRAVDAIDTIIRRDDANESQDLLQPCIEAALNLGCTPRRASRSQRHSNPGCYLSFNASRDVSASAVVPNKVTDQNKMHHLASSATLMPQLPCHSTDLQNSSSPLYTTLLGPSTTNSACRGAEPMSLNASPELKDYSANSMSEYPFAGNSSFLNWLPAGLSIPGDSCTLSSYGRVYPLYYGEPQFRTVPQQCGQNSQDAICNTVTTVDTSVLPFGQVEMDFLHNFLGTSPATDSKSRGSRIEEHSVRPPHPACSLSLGLGLPLGPTLSAENAWAYRFENMASDDSCEGKSSCY >DRNTG_28833.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001448.1:93497:93966:1 gene:DRNTG_28833 transcript:DRNTG_28833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKASPNIESRVKLLRKQITAISDILQISGFVWNYEKCTIECEKSAYDEYVNNHKEAAGLYGKCFPFFNDLALVFTKDRAQGTARGDIGDDAEQYAQGNISLDEDMGFSQLLN >DRNTG_07095.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1969583:1976372:1 gene:DRNTG_07095 transcript:DRNTG_07095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETHLVDDSKITKTHAIELHGHRSDIRSLSLSSDNTLLMSTSHNAVKIWNPSTGSCLRTVDSGYGLCSAFVPTNRHALVGTKNGTLEVIDVGSGTCIEMVEAHAGAVRSVVNCPDGSGFVTGSADHDVKFWEYQLLEDSKQLTVANTRTLKMNDDVLAISLSPDGKYVAVALLDCTIKVFFMDTLKFFLSLYGHKLPVLCTDISSDGALIVSGSADKNMKIWGLDFGDCHKSIFAHNDSVMAVQFVHNTHYVFSVGKDRLVKYWDADKFELLLTLEGHHAEVWCLAISNRGDFIVTGSHDRSIRRWDRTEEPFFIEEEREKRLEQMFESDMDYSNDRYAPREDLPEEGSVGLPGKKTQETLTAADSILEAIDIAEEELKRIEQHKEDVKNGATSQFQPNIMMRGLSPSDYVINAISSVHTNDLEQTLLSLPFTDALKLLSYMKDWATRSDKVELVCKVNAILLQTHHNQLTATPSAKHTLTVLKDILPKKAMGFKNTIGVNNASMEYIKELMSMRSDAPFRDAKAKLLEIRLKQSKHDGRKDGPSKRKKKKLKVSSENK >DRNTG_08589.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9561565:9562446:-1 gene:DRNTG_08589 transcript:DRNTG_08589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEWRLSMVTGFGDYVSTVFDNFGMNVVVDGSTVNLGLWDTAGQEDYNRLRPLSYCGANVFLLAFSLISKANYENVSKKWIPELRHYAPGFL >DRNTG_34696.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22774719:22776188:1 gene:DRNTG_34696 transcript:DRNTG_34696.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVGRLWSVRSTRSYLQSPELAGDPRNPNKKSTSSIFTR >DRNTG_18203.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1158933:1162642:-1 gene:DRNTG_18203 transcript:DRNTG_18203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGITGMPLQAFEGGEKKKVIFSSVQGDVSDGKISIQDLLDPLHGKPGYSNLRKRIDQLEKKPMAVQPPLPTVEREKLERKVAYKHSSKDITKWEPLVKRNREAPTLYFDKDVNLGFSTVGAIASVFEPRTEFEKKMASLTRDPEVMEAHLKDGAKLLELNKLTVEDVKDRQGRLAKMRSLLFRHEMKSKHIKKIKSKTYHRILKKERLKAGASNVEMDPEAAKEEARKQEYKRAEERMTLKHKNSSKWAKRILKRGLDVQDEGTRAAISEQLNQHALLTRKMNSMKDSSSSDDDSDDDNDDDNDETDSEDVSKLLNKAKEKIVKVLEEKDEITETGVMALPFMVRFITNLIWDGS >DRNTG_01850.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21321194:21322001:1 gene:DRNTG_01850 transcript:DRNTG_01850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKSPSELTKLERIKCEILHHTGPSRGTKQHRQ >DRNTG_01850.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21321194:21322001:1 gene:DRNTG_01850 transcript:DRNTG_01850.2 gene_biotype:protein_coding transcript_biotype:protein_coding RAIQTNKAKLMKHKTSINNRSHKPLRKISARMKEAQGMKITINHRKLECKI >DRNTG_01850.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21321194:21322001:1 gene:DRNTG_01850 transcript:DRNTG_01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAIQTNKAKLMKHKTSINNRSHKPLRKISARMKEAQGMKITINHRKLECKI >DRNTG_27785.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3314393:3318380:-1 gene:DRNTG_27785 transcript:DRNTG_27785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLAVIAGVAAGGLALVIIGLLFLWFYIFKSRALSSKTSETGSSDPSTLVEWNRGGQMSSTGCGPSGDQQSLRQFTLQELELAAKNFNDSNYVGKGTFGLVYKGLLLDGTLVAIKRRLGYPMQEFVEEVRYLSKIWHRNLVTLIGYCQEGGCQVLVYEYMPNGSISSHLYDTRRDSTVRLEFKQRLSIAIGAAKGLSHLHRLVPPVIHKGFKTSNVLVDENFVAKVADTGMVKLLQKIEDGDPSQTSESNVFSDPEIPNLEELREASDVYSFGVFLLELVTGREVAQLISHESAGSLAQWVEEHMSLNDFIDHRLAGSFTSGGMKRLIRLILQCLDLSGRRRPKMEFIASELDQILETEMTLTIVMGDGTAIVTLGSQLFTSS >DRNTG_27785.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3314393:3317540:-1 gene:DRNTG_27785 transcript:DRNTG_27785.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGCGPSGDQQSLRQFTLQELELAAKNFNDSNYVGKGTFGLVYKGLLLDGTLVAIKRRLGYPMQEFVEEVRYLSKIWHRNLVTLIGYCQEGGCQVLVYEYMPNGSISSHLYDTRRDSTVRLEFKQRLSIAIGAAKGLSHLHRLVPPVIHKGFKTSNVLVDENFVAKVADTGMVKLLQKIEDGDPSQTSESNVFSDPEIPNLEELREASDVYSFGVFLLELVTGREVAQLISHESAGSLAQWVEEHMSLNDFIDHRLAGSFTSGGMKRLIRLILQCLDLSGRRRPKMEFIASELDQILETEMTLTIVMGDGTAIVTLGSQLFTSS >DRNTG_30540.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001581.1:47411:50375:-1 gene:DRNTG_30540 transcript:DRNTG_30540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCCECNNILYPKENKEQKILLFACRNCEHQEISNDNCVYRNEVLHSVGEMAFKSSIALGSPKLTIFLGEGSEVQLPNCVFNTGAAGAEMRGRRPNVIVPAFEKNITDEKPRTSVFRGYHVQKR >DRNTG_25351.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24128429:24129149:-1 gene:DRNTG_25351 transcript:DRNTG_25351.1 gene_biotype:protein_coding transcript_biotype:protein_coding HFHEQQDTMHSACQHPELPMDRRVPLCAP >DRNTG_05733.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9379041:9382927:1 gene:DRNTG_05733 transcript:DRNTG_05733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIMSEGSGYQYHGHGASQKDKCEGTPAASRPRRKTKNPPSPSSFIRATVDGKTMVLSKFFPLPANASDVTVISRKEADRAARARIRNGLLQAPPPNKPPRRRNKSPPSPPPSPPSASSYYIRAIVNGETKVLSPYFPLPANATSVEIIPKKRKDDNTTTTTTTTTNNNKKSKVSPQLTAAEKKSDAYKRVDANNTWVPPRSPYNLLQENHYFDPWRVLIICMLLNRTTGRQVREAIRHLFCLCPDAESMAWNADVEEIEEVIRGLGFQKTRARKMKRFSQEYLRDDWTHVTQLHGVGKYAADAYAIFCVGKPEEVIPHDHMLVPYWKFLCNMQEAAEKTLGLQNQHMIKE >DRNTG_32877.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1974965:1976113:-1 gene:DRNTG_32877 transcript:DRNTG_32877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTLLHPLISPPNLKLFSSCKSRPQSPIVSIRKLQSLVLQASKDDAEVRNSDVLSSSNPLLSLINAPSWVTWLTSASVVLSIPFYRRIRKAQDQVEVMVDKVADEMENVAEKVEKISADMAEALPEGTLKEMVLNVEKTAVAVEEGAKKTHILIEKLDKIEAEVDELVDPLAKGEAT >DRNTG_11606.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7877652:7878170:-1 gene:DRNTG_11606 transcript:DRNTG_11606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQSGQPTPTVLSRASLVVCSSKGRPPHLLLCRLIKLRQKSRLHEFPWSSRS >DRNTG_27723.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:254897:255674:-1 gene:DRNTG_27723 transcript:DRNTG_27723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQHSPSAASAATAATVQPSSPRFLFPSSGGVPSSPSTGSHRRIGIAVDLSDESAYAVRWAVQHYLRPGDTVILLHVRPTSVLYGADWGAVDVSFNAVAADGDSSEESQRKLEDEFDAFTTTKAQDLAQPLVEAQIPFKIHIVKDHDMKERLCLEVERLGLCAVIMGSRGFGASRSSSKARLGSVSDYCVHHCVCPVVVVRYPDDGACVGAVDSESGLVESLPEETQEFVDAS >DRNTG_11220.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26319622:26320717:-1 gene:DRNTG_11220 transcript:DRNTG_11220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILGDVEEIITTVEIDDETYEEIVRTTRRTVPFLFVRGDGVILVSPPLRTA >DRNTG_11220.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26319504:26320717:-1 gene:DRNTG_11220 transcript:DRNTG_11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGDVEEIITTVEIDDETYEEIVRTTRRTVPFLFVRGDGVILVSPPLRTA >DRNTG_02765.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11739080:11742827:1 gene:DRNTG_02765 transcript:DRNTG_02765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAQTDVKLFNRWSFDDVEVSDMSLNDYIAVVPTKHATFLPHTAGRYSVKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIVKHAMEIIHLLTDLNPIQVIVDAVINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >DRNTG_22073.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20327912:20330377:-1 gene:DRNTG_22073 transcript:DRNTG_22073.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSFLCHPTPTTSRPYSAIALPPVINRPLSCSSRRYRKPLLVLCSSSVGGEGGDEERSGARGGYDSDVERALGMDGSIPGSSSEFVRRVSSRAYDMRRHLNQSLDSVSYDVVETNPWREDSKPVYVLTQRENQLWTMKTRRNRSEVERELGLLFSRSAKQGSETGNKTKHSRAGTKFHMLVEDIREGVLVFEDEDEAAKYCDLLEGGGQGCEGIAEIDASSVFDLCHKMRALAVLFRRGITPPLPKSLEQNLRARKRSLED >DRNTG_27078.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2874642:2881720:1 gene:DRNTG_27078 transcript:DRNTG_27078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLILTTLFFYVCSKIMVYVSNRQKGEPVCVQVVSPGLHVFTYARLDTPWHKAQVLGESLKEMLRSTGDKEIAAKEMVEKLMGKRVKVENDRLPNTGCDSDWEHRLSSNYVETDTELYFDGKQGVFGTKSTRVLAVKTDGETCFYEKYLEEGVWKEHSVQYHLDATSFSSISSLFCFRLLFHGLSSILTFSLSMFLGLEDTR >DRNTG_06086.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8604628:8605414:1 gene:DRNTG_06086 transcript:DRNTG_06086.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDSQTSGDRQNTQLRPPEQPLKCPRCDSPNTKFCYYNNYSLTQPRHFCKTCRRYWTKGGALRNVPCRWRLPQSQENQIILLIHHFLLFFHRFIFHFSILQLLSSSFCCFFCRL >DRNTG_05757.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:4213613:4215594:-1 gene:DRNTG_05757 transcript:DRNTG_05757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHSGRKSYHLESVKATLAAALERANLEDNTLFVYLGEPKAKHFQYFLHCLASARVCRVIKSQIALLWSITGLIGGNLAINAQARIFECDCLSAPPNHCARLNTQRLPHILAS >DRNTG_30165.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16600861:16601164:-1 gene:DRNTG_30165 transcript:DRNTG_30165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAHLRYLDKSRPRKHENRESTRACGNSTRPCE >DRNTG_12707.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000562.1:25052:28664:-1 gene:DRNTG_12707 transcript:DRNTG_12707.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSQSPDIQGERQYGQDVRTQNVVACQAVANIVKSSLGPVGLDKMLVDDIGDVTITNDGATILKMLEVEHPAAKVLVELAELQDKEVGDGTTSVVIIAAELLKRANDLVRNKIHPTSIIGGYRLAMREACKYVDEKLAVKVEKLGKDSLINCAKTSMSSKLIASDSDFFANLVVDAVQAVKTTNARGEVKYPIKGINILKAHGKSARDSYLLNGYALNVGRAAQGMPTRVAPAKIACLDFNLQKTKMQMGVQVLVSDPRELEKIRQRESDITKERIEKLLKAGANVVLTTKGIDDMSLKACLDAYSLDVFFAYLFYQFSRCKCLKSAPCAFIF >DRNTG_12707.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000562.1:21480:25029:-1 gene:DRNTG_12707 transcript:DRNTG_12707.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEETFDSSLLGFADEVVEERISDDDVVMVKGTKNSSAVSLILRGANDFMLDEMDRSLHDALCIVKRTLESNTVVAGGGAVEAALSVYLENLATTLGSREQLAIAEFAESFLIIPKVLAVNAAKDATELVAKLRAYHHTAQTKADKQHFSSMGLDLLKGIIRNNLEAGVIEPAMSKVKIIQFATEAAITILRIDDMIKLVKDDQENGED >DRNTG_12707.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000562.1:21480:28664:-1 gene:DRNTG_12707 transcript:DRNTG_12707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSQSPDIQGERQYGQDVRTQNVVACQAVANIVKSSLGPVGLDKMLVDDIGDVTITNDGATILKMLEVEHPAAKVLVELAELQDKEVGDGTTSVVIIAAELLKRANDLVRNKIHPTSIIGGYRLAMREACKYVDEKLAVKVEKLGKDSLINCAKTSMSSKLIASDSDFFANLVVDAVQAVKTTNARGEVKYPIKGINILKAHGKSARDSYLLNGYALNVGRAAQGMPTRVAPAKIACLDFNLQKTKMQMGVQVLVSDPRELEKIRQRESDITKERIEKLLKAGANVVLTTKGIDDMSLKYFVEAGAIAVRRVRKEDLRHIAKATGATVISTFADMEGEETFDSSLLGFADEVVEERISDDDVVMVKGTKNSSAVSLILRGANDFMLDEMDRSLHDALCIVKRTLESNTVVAGGGAVEAALSVYLENLATTLGSREQLAIAEFAESFLIIPKVLAVNAAKDATELVAKLRAYHHTAQTKADKQHFSSMGLDLLKGIIRNNLEAGVIEPAMSKVKIIQFATEAAITILRIDDMIKLVKDDQENGED >DRNTG_14302.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000666.1:13922:14126:-1 gene:DRNTG_14302 transcript:DRNTG_14302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIISSLQSSVFTTHCSPHRAPHRHHPHCSPHRAP >DRNTG_17875.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000918.1:14749:17461:-1 gene:DRNTG_17875 transcript:DRNTG_17875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDMLFSKEDNKTPLQSMVLIDTLQHMGLDHLFKEEIGSTLSSIYDNCAHQTHHGHNLFESSLFFRLFREHGHSVSPKMLKKFIDKNGEFKLALSKDIKGLMSLYEASHLNIGEDILRKGKEFSSKHLWDSIDWLDNKSANQVKETLEHPYHMSIQRYKARRCISMHQDDHENGCKDVVLFELAKYEFNIVQLLHQRELNAILSWWKKIGLAQELIFVRDQPLKWYMGPLTMVPQPHHSKCRIELTKAIAFIYIIDDIFDVYGTLDELSLFTQAINKWDISAIDNLPNYMKVCFNALYNVTNKIAEITLKEYGWNPINTLSKSVCISIILIYILFNFLFISC >DRNTG_17875.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000918.1:14749:17461:-1 gene:DRNTG_17875 transcript:DRNTG_17875.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDMLFSKEDNKTPLQSMVLIDTLQHMGLDHLFKEEIGSTLSSIYDNCAHQTHHGHNLFESSLFFRLFREHGHSVSPKMLKKFIDKNGEFKLALSKDIKGLMSLYEASHLNIGEDILRKGKEFSSKHLWDSIDWLDNKSANQVKETLEHPYHMSIQRYKARRCISMHQDDHENGCKDVVLFELAKYEFNIVQLLHQRELNAILSWWKKIGLAQELIFVRDQPLKWYMGPLTMVPQPHHSKCRIELTKAIAFIYIIDDIFDVYGTLDELSLFTQAINNGENCAMLSFRKQNGLHQNKYQTRMNT >DRNTG_17875.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000918.1:16650:17461:-1 gene:DRNTG_17875 transcript:DRNTG_17875.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDMLFSKEDNKTPLQSMVLIDTLQHMGLDHLFKEEIGSTLSSIYDNCAHQTHHGHNLFESSLFFRLFREHGHSVSPKMLKKFIDKNGEFKLALSKDIKGLMSLYEASHLNIGEDILRKGKEFSSKHLWDSIDWLDNKSANQVKETLEHPYHMSIQRYKARRCISMHQDDHENGCKDVVLFELAKYEFNIVQLLHQRELNAILR >DRNTG_17875.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000918.1:14749:17461:-1 gene:DRNTG_17875 transcript:DRNTG_17875.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDMLFSKEDNKTPLQSMVLIDTLQHMGLDHLFKEEIGSTLSSIYDNCAHQTHHGHNLFESSLFFRLFREHGHSVSPKMLKKFIDKNGEFKLALSKDIKGLMSLYEASHLNIGEDILRKGKEFSSKHLWDSIDWLDNKSANQVKETLEHPYHMSIQRYKARRCISMHQDDHENGCKDVVLFELAKYEFNIVQLLHQRELNAILSWWKKIGLAQELIFVRDQPLKWYMGPLTMVPQPHHSKCRIELTKAIAFIYIIDDIFDVYGTLDELSLFTQAINK >DRNTG_28519.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28646708:28653886:-1 gene:DRNTG_28519 transcript:DRNTG_28519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHQSNSTTESVCQILLSGTNALDSIFSHLPPPPVAAEPLGSSVYESQTELLRRFGSESIPRRSTARWIPWLTEIHGDVKKKPYRGVRQRHWGKWVAEIRLPQNRMRVWLGTYDSAECAAIAYDRAAYKLRGEYAQLNFPNLGAGAGEWAERLRLTVDEKIHASFDRIRQHRRHRRERRNGTNEEKRVLIVEQTQEAFVSLGASDGQYESSSAISDEMDGGCLLTGMPSFDPEIIWDVLSD >DRNTG_16677.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16415083:16415723:-1 gene:DRNTG_16677 transcript:DRNTG_16677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSPVIPATAAADDHSVDPPSAEHSKKREKEKYEDEEEERNHDAEPREKKRRRTCPAALTSISATAVASAVAVEGSGEASASSFSFDPKGIAVAPIETTPKFGSFYCGVDLGLELGKIEKEKGRDVDVIKEKGEGKLGNEKLE >DRNTG_28842.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001449.1:36672:37040:-1 gene:DRNTG_28842 transcript:DRNTG_28842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTENPHAHVKNPQGHPQGRVDSRFRPSHSVWKKVSARAF >DRNTG_07361.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000334.1:38124:40241:-1 gene:DRNTG_07361 transcript:DRNTG_07361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKYHVFNVDLSWSSACNKCSPFPTTDSPDSIVINSFQPNSPSAVTSGGPSYKKNVDDEDDGRIYNSMILIVWDCRRIRWVIKSRPDPSGSNTDNGTRLVRAIQNFQIKLNSKLQELRKGLVMKVLFFLLGFYCVTAFAIVIGQTGDWDILSASIAVIVIEPIGALMYKASFHILDKLKSLIAFFNYWKAGLSLGLFLDAFKYEVDTFF >DRNTG_15090.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4114214:4114659:1 gene:DRNTG_15090 transcript:DRNTG_15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQKLRVYLSAVLEYLVSHVLELAGNEVRYKKKKRIQPRQIQLIVRNDKELSKLLRSVTIPGGGVLPNIYPNLSHK >DRNTG_10228.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:72939:79750:1 gene:DRNTG_10228 transcript:DRNTG_10228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIIYIIIALPCTIGAMALALLHIYRHLLNYTEPTYQRYIVRIIFMIPVYALMSFLSLTLDDNSIYFISIREVYEAWVIYNFLSLCLAWVGGPGAVVLSLSGRVLKPSWWLMTCCFPAIPLDGRFIRRCKQGCLQFVILKPILVAITIILYAKGKYEDGNFSANQSYLYITIIYTISYSMALYALALFYMACRDLLKPFNPVPKFIIIKSVVFLTYWQGVLVFLAAKSGFIKNAEDAADFQNFILCVEMLLAAVGHLYAFPYKEYAGAKIGTSSGFTGSLSHAVKFNDFYHDTVHQFAPTYHDYVLYNNTEGDEGARKYRSRTFVPTGQEMDAVRRNKHLFGGKLEEVQLSSVSSSGSSSPAISNSVQDQTDLEAIKSSLLKEASATSARPYDLSLLIDIDMSKYPAEVPAADPSKQ >DRNTG_10228.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:72939:79620:1 gene:DRNTG_10228 transcript:DRNTG_10228.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIIYIIIALPCTIGAMALALLHIYRHLLNYTEPTYQRYIVRIIFMIPVYALMSFLSLTLDDNSIYFISIREVYEAWVIYNFLSLCLAWVGGPGAVVLSLSGRVLKPSWWLMTCCFPAIPLDGRFIRRCKQGCLQFVILKPILVAITIILYAKGKYEDGNFSANQSYLYITIIYTISYSMALYALALFYMACRDLLKPFNPVPKFIIIKSVVFLTYWQGVLVFLAAKSGFIKNAEDAADFQNFILCVEMLLAAVGHLYAFPYKEYAGAKIGTSSGFTGSLSHAVKFNDFYHDTVHQVIL >DRNTG_10228.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:72939:79620:1 gene:DRNTG_10228 transcript:DRNTG_10228.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIIYIIIALPCTIGAMALALLHIYRHLLNYTEPTYQRYIVRIIFMIPVYALMSFLSLTLDDNSIYFISIREVYEAWVIYNFLSLCLAWVGGPGAVVLSLSGRVLKPSWWLMTCCFPAIPLDGRFIRRCKQGCLQFVILKPILVAITIILYAKGKYEDGNFSANQSYLYITIIYTISYSMALYALALFYMACRDLLKPFNPVPKFIIIKSVVFLTYWQGVLVFLAAKSGFIKNAEDAADFQNFILCVEMLLAAVGHLYAFPYKEYAGAKIGTSSGFTGSLSHAVKFNDFYHDTVHQFAPTYHDYVLYNNTEGDEGARKYRSRTFVPTGQEMDAVRRNKHLFGGKLEEVQLSSVSSSGSSSPAISNSVQDQTDLEAIKSSLLKEASATSARPYDLSLLIDIDMSKYPAEVPAADPSKQ >DRNTG_10228.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:72939:79620:1 gene:DRNTG_10228 transcript:DRNTG_10228.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTCCFPAIPLDGRFIRRCKQGCLQFVILKPILVAITIILYAKGKYEDGNFSANQSYLYITIIYTISYSMALYALALFYMACRDLLKPFNPVPKFIIIKSVVFLTYWQGVLVFLAAKSGFIKNAEDAADFQNFILCVEMLLAAVGHLYAFPYKEYAGAKIGTSSGFTGSLSHAVKFNDFYHDTVHQVIL >DRNTG_10228.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:72939:79620:1 gene:DRNTG_10228 transcript:DRNTG_10228.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTCCFPAIPLDGRFIRRCKQGCLQFVILKPILVAITIILYAKGKYEDGNFSANQSYLYITIIYTISYSMALYALALFYMACRDLLKPFNPVPKFIIIKSVVFLTYWQVLFLYFQACQVVWLKHIGRNRI >DRNTG_10228.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:72908:79620:1 gene:DRNTG_10228 transcript:DRNTG_10228.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIIYIIIALPCTIGAMALALLHIYRHLLNYTEPTYQRYIVRIIFMIPVYALMSFLSLTLDDNSIYFISIREVYEAWVIYNFLSLCLAWVGGPGAVVLSLSGRVLKPSWWLMTCCFPAIPLDGRFIRRCKQGCLQFVILKPILVAITIILYAKGKYEDGNFSANQSYLYITIIYTISYSMALYALALFYMACRDLLKPFNPVPKFIIIKSVVFLTYWQGVLVFLAAKSGFIKNAEDAADFQNFILCVEMLLAAVGHLYAFPYKEYAGAKIGTSSGFTGSLSHAVKFNDFYHDTVHQFAPTYHDYVLYNNTEGDEGARKYRSRTFVPTGQEMDAVRRNKHLFGGKLEEVQLSSVSSSGSSSPAISNSVQDQTDLEAIKSSLLKEASATSARPYDLSLLIDIDMSKYPAEVPAADPSKQ >DRNTG_10228.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:72939:79620:1 gene:DRNTG_10228 transcript:DRNTG_10228.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIIYIIIALPCTIGAMALALLHIYRHLLNYTEPTYQRYIVRIIFMIPVYALMSFLSLTLDDNSIYFISIREVYEAWVIYNFLSLCLAWVGGPGAVVLSLSGRVLKPSWWLMTCCFPAIPLDGRFIRRCKQGCLQFVILKPILVAITIILYAKGKYEDGNFSANQSYLYITIIYTISYSMALYALALFYMACRDLLKPFNPVPKFIIIKSVVFLTYWQGVLVFLAAKSGFIKNAEDAADFQNFILCVEMLLAAVGHLYAFPYKEYAGAKIGTSSGFTGSLSHAVKFNDFYHDTVHQFAPTYHDYVLYNNTEGDEGARKYRSRTFVPTGQEMDAVRRNKHLFGGKLEEVQLSSVSSSGSSSPAISNSVQDQTDLEAIKSSLLKEASATSARPYDLSLLIDIDMSKYPAEVPAADPSKQ >DRNTG_10228.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:72939:79620:1 gene:DRNTG_10228 transcript:DRNTG_10228.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIIYIIIALPCTIGAMALALLHIYRHLLNYTEPTYQRYIVRIIFMIPVYALMSFLSLTLDDNSIYFISIREVYEAWVIYNFLSLCLAWVGGPGAVVLSLSGRVLKPSWWLMTCCFPAIPLDGRFIRRCKQGCLQFVILKPILVAITIILYAKGKYEDGNFSANQSYLYITIIYTISYSMALYALALFYMACRDLLKPFNPVPKFIIIKSVVFLTYWQGVLVFLAAKSGFIKNAEDAADFQNFILCVEMLLAAVGHLYAFPYKEYAGAKIGTSSGFTGSLSHAVKFNDFYHDTVHQVIL >DRNTG_12279.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24760058:24761745:1 gene:DRNTG_12279 transcript:DRNTG_12279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGSRPKRKGSTAGADRTPEWSSPDLHQASSRERR >DRNTG_12279.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24761252:24761745:1 gene:DRNTG_12279 transcript:DRNTG_12279.5 gene_biotype:protein_coding transcript_biotype:protein_coding MELILKNPNHGVTHDRIHQNTDQKTRNSTRAWIQNQAEDQTIISENLEREYRCLRSEMDLDGGEG >DRNTG_12279.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24760106:24760977:1 gene:DRNTG_12279 transcript:DRNTG_12279.3 gene_biotype:protein_coding transcript_biotype:protein_coding KHTLHTTQRLEGNQKKKRSSPPSSNDHVEIGFQHQFLTHYTADIYDMILLMPMLSSKLRTCSCPSMPCLRPKTIGTKKQSKRCSRLLTNITVPFICKL >DRNTG_12279.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24761101:24761745:1 gene:DRNTG_12279 transcript:DRNTG_12279.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELILKNPNHGVTHDRIHQNTDQKTRNSTRAWIQNQAEDQTIISENLEREYRCLRSEMDLDGGEG >DRNTG_20292.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5280251:5283870:-1 gene:DRNTG_20292 transcript:DRNTG_20292.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSLKWSGKETWDWNIGLIYVVAVAAIWIAASYIVQSVVDAGVSPFLITYICNSLFVVYLPLVEVGRYFEDSVVNIWVWCKNKEKVSTIHSGDAEVVNLLKESDNVLDPNLLFSHRGDVVSRVESRIQDSEIIMPGQDDAVTGSGTYVAGESSSKQLDAKGRWTRTEVAKVSLLICPFWFLAQLTFNLSLKYTTVTSNTILSSTSSLFTFLVSLAFLGEAFTWIKLISVLLCMMGTIIVSLADSGNGVNAIATRPLLGDVLALLSAGLYAVYITLIRKKLPDEKKGEGQASMAQFLGFLGLFNLVLFFPVALVLHFTRLEPFHKLTWEQVGLIVGKGLLDNVLSDYLWAKAVLLTTTTVATAGLTIQVPIAAVVDTLTGHAPSLMDYFGAAVVMVGFTGINIPSDTFNKPQATQQEQEAENPSIVDHQHSILVIGSTPSTS >DRNTG_20292.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5280251:5283870:-1 gene:DRNTG_20292 transcript:DRNTG_20292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSLKWSGKETWDWNIGLIYVVAVAAIWIAASYIVQSVVDAGVSPFLITYICNSLFVVYLPLVEVGRYFEDSVVNIWVWCKNKEKVSTIHSGDAEVVNLLKESDNVLDPNLLFSHRGDVVSRVESRIQDSEIIMPGQDDAVTGSGTYVAGESSSKQLDAKGRWTRTEVAKVSLLICPFWFLAQLTFNLSLKYTTVTSNTILSSTSSLFTFLVSLAFLGEAFTWIKLISVLLCMMGTIIVSLADSGNGVNAIATRPLLGDVLALLSAGLYAVYITLIRKKLPDEKKGEGQASMAQFLGFLGLFNLVLFFPVALVLHFTRLEPFHKLTWEQVGLIVGKGLLDNVLSDYLWAKAVLLTTTTVATAGLTIQVPIAAVVDTLTGHAPSLMDYFGAAVVMVGFTGINIPSDTFNKPQATQQEQEAENPSIVDHQHSILVIGSTPSTS >DRNTG_07395.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7418432:7419688:-1 gene:DRNTG_07395 transcript:DRNTG_07395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFFQCFHLRPRVQASNGKKEVVLRVQMENTEKRSKVMKCLVKWHGVISVSLKGKENNEIAFVGEGIDPVSITQKLRKKMGSVEMLKVAAPDKDKDKDKDKEKEADINKPPWIYYPPLPQPIYSNSE >DRNTG_06826.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15605254:15605801:-1 gene:DRNTG_06826 transcript:DRNTG_06826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSIPLIISFLSLLLFINISQGIRLEEHSLKSFYMKSKVVSFSGGERKLMQTKSSEDHSEVKSMEVHVEKQIKEHGHLPTKTYTDELDIAEMDYSPAKRKPPIHN >DRNTG_24839.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29333584:29337684:-1 gene:DRNTG_24839 transcript:DRNTG_24839.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD51 homolog 1 [Source:Projected from Arabidopsis thaliana (AT5G20850) UniProtKB/Swiss-Prot;Acc:P94102] MAAQQQKHQKMVEDQENVDGMQHGPFPVEQLQASGIAAVDVKKLKDAGLCTVESVAYSPRKDLLQIKGISEAKVDKIIEAASKLVPLGFTSASQLHAQRLEIIQITSGSKELDKILEGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKAMYIDAEGTFRPQRLLQIAERYGLNGEDVLENVAYARAYNTDHQSRLLLEAASMMVETRFALMIVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKMADEFGVAVVITNQVVAQVDGSAIFAGPQIKPIGGNIMAHASTTRLALRKGRGEERICKVISSPCLAEAEARFQISPEGVTDVKD >DRNTG_05347.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18452491:18454687:1 gene:DRNTG_05347 transcript:DRNTG_05347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNHPKENNSKRIPKRTASNRSPTVEVEKFPRSSRKYKISSRALHY >DRNTG_29425.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10480:11352:1 gene:DRNTG_29425 transcript:DRNTG_29425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTPMVTPMSSKTPGSGWSDSGGSRTSSGGRGNLPASFPLLVKGGNYATWAVRMRSFLDAQGVWEAVEPEAGMTIDLKKDKSAISAIHQAISDDFLMLVSEKKSTKETWEALKSLHIGAVKFQGARMQQLHGEFETLKMSNSESIDEFVSNISSLVSQMHGLGQKVKEQRIVEKLLRAVPMKFFPIASNLEQFGNLS >DRNTG_29425.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10706:11398:1 gene:DRNTG_29425 transcript:DRNTG_29425.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTPMVTPMSSKTPGSGWSDSGGSRTSSGGRGNLPASFPLLVKGGNYATWAVRMRSFLDAQGVWEAVEPEAGMTIDLKKDKSAISAIHQAISDDFLMLVSEKKSTKETWEALKSLHIGAVKFQGARMQQLHGEFETLKMSNSESIDEFVSNISSLVSQMHGLGQKVKEQRIVEKLLRAVPMKFFPIASNLEQFGNLSTMSLEEAVGALKNYE >DRNTG_29425.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10740:11398:1 gene:DRNTG_29425 transcript:DRNTG_29425.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTPMVTPMSSKTPGSGWSDSGGSRTSSGGRGNLPASFPLLVKGGNYATWAVRMRSFLDAQGVWEAVEPEAGMTIDLKKDKSAISAIHQAISDDFLMLVSEKKSTKETWEALKSLHIGAVKFQGARMQQLHGEFETLKMSNSESIDEFVSNISSLVSQMHGLGQKVKEQRIVEKLLRAVPMKFFPIASNLEQFGNLSTMSLEEAVGALKNYE >DRNTG_23918.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3169527:3173502:1 gene:DRNTG_23918 transcript:DRNTG_23918.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEGPQEDESSFSHEITTMPDHPPRRGVGHRRAQSEILGLPDDISFDSDLGVVGSAAEGGGPSLSDEADEDLLSGDMLESSPGVMSGMGIAGGEGLGSSWMERPRIRHQHSQSMDGSTTAKPETLGNEGMSPAEAKKAMAAAKLQDLAAIDPKRAKRIWANRQSAARSKERKMRYIAELERKVQALQTESTTMSAQLVMLQRDHNSLMVQNNELKLHVQSMEQQVLLQDGQNNLIREEIQRLKVATGQVLPNSSGQAMNYGPSSFGANQQLYNHNRSMQSLLAAQQLQQLHLHSQHQQQLRPTQHQDHQPQQQSDHRAENEKGEETLHSKGMYMGQQQHSGGMNLGR >DRNTG_23918.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3169527:3173502:1 gene:DRNTG_23918 transcript:DRNTG_23918.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEGPQEDESSFSHEITTMPDHPPRRGVGHRRAQSEILGLPDDISFDSDLGVVGSAAEGGGPSLSDEADEDLLSGDMLESSPGVMSGMGIAGGEGLGSSWMERPRIRHQHSQSMDGSTTAKPETLGNEGMSPAEAKKAMAAAKLQDLAAIDPKRAKRIWANRQSAARSKERKMRYIAELERKVQALQTESTTMSAQLVMLQVCLLFYLFSFLFLLFVCVCVCVCVTYKKGQS >DRNTG_33535.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8201022:8204892:-1 gene:DRNTG_33535 transcript:DRNTG_33535.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEKALALLRRRLRDLNFAYGSFKSSPDSNSSAIVFFQTSSDGISQFVIDILPTLEKESEISVLTLEAFFFFNLSQKKI >DRNTG_27679.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19524182:19524909:1 gene:DRNTG_27679 transcript:DRNTG_27679.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQRDETMMDDLPNSKRKMKELQDEALIQ >DRNTG_11975.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9200776:9205937:-1 gene:DRNTG_11975 transcript:DRNTG_11975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMGPEEYPRAIDECNLALEVSPKYSKALLKRARCFEACDQLELAFKDVDAVLSVEPHNLMALEICQRIKEESEKKGIKLEERAVVAQEEHVVVKEKSLRKKKSHKVEDKAVVEEGLCGNGKDEATRIVKEDKKIVVKEEPALVVDEEPAKVVKLVFGEDIRVAQLPANCSLLQLREVIRKPFFQRRGLLLIKYRDKEGDLVTITTTEELRWAEESADPHGSVRLYLVEVDPGLEPVVEEEGKASEGRGLEVNQNHISENGSTVFDDDKSSSTYIDHWIVQFARLFQESCWFRF >DRNTG_35482.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23476179:23483222:-1 gene:DRNTG_35482 transcript:DRNTG_35482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNMYCLDCNNSNPLCALCLIDHSTHHVLQIRRSSYHDVIRVPEIQKVLDVSGIQTYIINSAKVVFLNERPQIRASRNISITCLVCDRSLLDNFLYCSISCKSNAAEVGPSSARPPSRRRKGIPHRAPFGVLEV >DRNTG_19056.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7342014:7345130:1 gene:DRNTG_19056 transcript:DRNTG_19056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVAKWRLWKWELKWPQDKTTAIADILKISGFVWNHKRCTIECEKSAYDEYVKSHKEAAGLYGKSFPFFNDLVQVFAKDRAQGTAGGDIGDDAEHYQHENINLNDDMAFFEMATDDFVMSTEEPIPTQSPMVSDAGTSKTRQMVGPGFQALADSTAREEAREACEAARIEAEKVALAERDEKITLLGEIIFEIDGLTDDEAMFILQELPNNETQMKIFFNLPYSRKLRFCRLIETPIGD >DRNTG_03026.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:279642:301927:1 gene:DRNTG_03026 transcript:DRNTG_03026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQSACNPAIASVLLKSMQRILQLAFDESLASFKSLDTIARVLKVACIQAQELKKFKSSIRQLDDLNLDLNNSTGMVHSKEDPNKWASCMEYSLELFIRYLSLTDDAKRLVLHNAACIDCLFDLYWEENCRNSIMEHIIELIKLPPLSSEDQTAKLWLCSKYMETFTRAKERESDFAEVALDLLSRMRHVLLTDRLYYQKLFCDGECFLHIISLLNGTIDDQNGELLLLNVLGVMALLLAGNNESKVALRALVGVGYQTLQSLLLDFCKSRFSEEFLNALLDMLVDGKFDVKDTAIIKNEDVILLFANILQKSSAPLKHYGLDVLLKLLKDSIANRTSCSRAGLLSFLLDWFVIEDNDDMISKIAQLIQVIGGHSISGKDIRKIFALLRSDKIRCSQFYSSLLLSTVSFMLKEKGPEAFFEFSGYDSGIVIKTPVQWPCSKGFSFTCWLRVEDFRETGMMGLFCFLTDNGRGCLAMLGQDKMYFESINQKLQSVSLPINILPNKWHFLTITHSIGRAFSGGSTVKCYIDGVLISSEKCRYAKISEVLTHCRIGTDCRSKDTESQFPAEKAYPFHGQIGPIYIFSDCLSSEQIKGIFCLGPSYMYSFFCDEVPLTLDIAFSNGIFDAKDGLSSKILFGLNAQASKGRALFNVTTVLDNLSEDDFIVATVMNGTQLCSRRLLQEIIYCVGGAFVFFPLLTDFDRFQGHKGENEYTMMKIMSDKLPAQVIELIASFLDGKLANQQHMHHHSGFAVLGFLFESIPTKYLNMETLSSLKYLFEVLRSCGMSELLLKDAILRIYLNPQIWVYANYEVQRDLYLYLIHYFETDRSLSPSLLGFPWIIDMICQFYCDNTSLLGSKSYLHSIAREVIGERPISEEVRKIRLLLLSLGEISLRQKISASDITAIIAFFERTQDMVCIEDVLHMIIRALSHKSLLASFLEQVNLLGGCHVFINLLERELEPIRLLGLQLMGKLLIGLPSEKKGTKFFSLPIGRSKSISENIRKGASMKQQTIFTAMSDRLFKFPLSDHLCATLFDVLLGGASPKQVLQKSSQWEKEKDNKSFSSRISSHFFLPHVLVCIFKFLASCNDLTARERMLKDLFELLDSNPSNIECLMEYGWNSWLETSVKLYRTYGLASQTHFQSSAVTELALMRNLFCVVLSHYILSVKGGWHQLEETVYFLLLNFEEGEFLLPNLLLNIFEDLVGTLAEVSSEENIFASQPSRDNALYLLKLLDEMLILEIGESILFPGIGISSSIVSNSVQLDIQKDINCAVTEILSTEADDQPLRIPWNSKSCRQADNMGADEYLAFYDRIWVLIGELNGKGAGKMLPKSSPLGLPSFGQRARGLVESLNLPAAEMAAVVVSGGLGNALSGKANKYVDKAIPLRGERCPRIIFHLLVLYLCKADLGRASKCVQQLISLLPALFISDDDQSKNKLHLFIWSLLTIRSQHGMQDDGARFHIISHLLLETMNSAKALLATSILFKEESYEASSNMKEASYILNIIQRDRVLAAAVDEAKYVRTVQTDCIKQLKELQVKFDEYSHTELNERKAFEDEMQADLNAICSSDSHRRASSQLAHDEDHQIVSAKWIHLSRALSDERGPWSSNSVPTSTITHWKLDKTEDRWRRRPKLKRNYCFNQQLCHPPPIKSVSDTSNPSSDCHSSSQVPDKVKHFILKGVRGITEEGASDRCQDSNESATLNNSYSGTTPENQSLKPSEDCSNQVDFVPEGKNTPYTGADSQSTEVQFSIPCVLVTPKRKLAGHLSLMQNVLHFLGEFLVEGTAGASIFKNFDSLRTSDANKRDLVGGTINLELNHEKGGTEKINTNAFPDNQPTKIKWRRRWNVSKIKAVHLTRYLLQFTAIEIFFNDLAQAVFLNFASQKDAKHVGTVIVSLRNESLFPRGSLKDKSGVISFVDRRTAIEIAETSRESWRRREISNFEYLMILNTLTGRSYNDLTQYPVFPWVLADYSSEKLDFNKSSTFRDLSKPVGALDEKRFQAFEDRYRNFSDPDIPSFYYGSHYSSMGIVLFYLLRLEPFTTLHRSLQGGKFDHADRLFHSIEGTYCNCLSNTSDVKELIPEFFYMPEFLVNSNSYHLGVKQDGERLDDVLLPPWAKGSPEEFIYRNREALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANVFYYLTYEGAVDLESMDDVLQRSAIEDQIANFGQTPIQIFRKKHPRRGLPIPIAHPLYFAPASITLTSIVSSTTYSPSAVLFVNIVDSNVVIVNQGLILSVKTWLTTQLQSGGNFTFSGSQDPFFAIGSDVLPPRKVGTPLAENFELRRQCLATMHTSGESYLVSCGNWENSFQIISLNDGRIVQNICQHKDVVSCVAVTSDGSILATGSYDTTIMIWHACQGRSSEKRSRNIQTDFPRKDQVVQQAPVHILCGHDDIITCLFISTELDIVISGSKDGTCIFHTLREGRYVRSIKHPSGCALSKLVASQHGRLVFYSENDLGLHMYSINGKHIASSESNGRLNCLELSSCGHFLVCAGDHGNVVLHSMHSLDVIRRYEGTGKMIASLAVTPEECFIAGTKDGSLLIYSIENLHLRGSSLPRNMKLKTSTI >DRNTG_03026.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:289324:301927:1 gene:DRNTG_03026 transcript:DRNTG_03026.7 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGILVSILLLLIQAKWIHLSRALSDERGPWSSNSVPTSTITHWKLDKTEDRWRRRPKLKRNYCFNQQLCHPPPIKSVSDTSNPSSDCHSSSQVPDKVKHFILKGVRGITEEGASDRCQDSNESATLNNSYSGTTPENQSLKPSEDCSNQVDFVPEGKNTPYTGADSQSTEVQFSIPCVLVTPKRKLAGHLSLMQNVLHFLGEFLVEGTAGASIFKNFDSLRTSDANKRDLVGGTINLELNHEKGGTEKINTNAFPDNQPTKIKWRRRWNVSKIKAVHLTRYLLQFTAIEIFFNDLAQAVFLNFASQKDAKHVGTVIVSLRNESLFPRGSLKDKSGVISFVDRRTAIEIAETSRESWRRREISNFEYLMILNTLTGRSYNDLTQYPVFPWVLADYSSEKLDFNKSSTFRDLSKPVGALDEKRFQAFEDRYRNFSDPDIPSFYYGSHYSSMGIVLFYLLRLEPFTTLHRSLQGGKFDHADRLFHSIEGTYCNCLSNTSDVKELIPEFFYMPEFLVNSNSYHLGVKQDGERLDDVLLPPWAKGSPEEFIYRNREALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANVFYYLTYEGAVDLESMDDVLQRSAIEDQIANFGQTPIQIFRKKHPRRGLPIPIAHPLYFAPASITLTSIVSSTTYSPSAVLFVNIVDSNVVIVNQGLILSVKTWLTTQLQSGGNFTFSGSQDPFFAIGSDVLPPRKVGTPLAENFELRRQCLATMHTSGESYLVSCGNWENSFQIISLNDGRIVQNICQHKDVVSCVAVTSDGSILATGSYDTTIMIWHACQGRSSEKRSRNIQTDFPRKDQVVQQAPVHILCGHDDIITCLFISTELDIVISGSKDGTCIFHTLREGRYVRSIKHPSGCALSKLVASQHGRLVFYSENDLGLHMYSINGKHIASSESNGRLNCLELSSCGHFLVCAGDHGNVVLHSMHSLDVIRRYEGTGKMIASLAVTPEECFIAGTKDGSLLIYSIENLHLRGSSLPRNMKLKTSTI >DRNTG_03026.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:294466:301927:1 gene:DRNTG_03026 transcript:DRNTG_03026.8 gene_biotype:protein_coding transcript_biotype:protein_coding MQADLNAICSSDSHRRASSQLAHDEDHQIVSAKWIHLSRALSDERGPWSSNSVPTSTITHWKLDKTEDRWRRRPKLKRNYCFNQQLCHPPPIKSVSDTSNPSSDCHSSSQVPDKVKHFILKGVRGITEEGASDRCQDSNESATLNNSYSGTTPENQSLKPSEDCSNQVDFVPEGKNTPYTGADSQSTEVQFSIPCVLVTPKRKLAGHLSLMQNVLHFLGEFLVEGTAGASIFKNFDSLRTSDANKRDLVGGTINLELNHEKGGTEKINTNAFPDNQPTKIKWRRRWNVSKIKAVHLTRYLLQFTAIEIFFNDLAQAVFLNFASQKDAKHVGTVIVSLRNESLFPRGSLKDKSGVISFVDRRTAIEIAETSRESWRRREISNFEYLMILNTLTGRSYNDLTQYPVFPWVLADYSSEKLDFNKSSTFRDLSKPVGALDEKRFQAFEDRYRNFSDPDIPSFYYGSHYSSMGIVLFYLLRLEPFTTLHRSLQGGKFDHADRLFHSIEGTYCNCLSNTSDVKELIPEFFYMPEFLVNSNSYHLGVKQDGERLDDVLLPPWAKGSPEEFIYRNREALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANVFYYLTYEGAVDLESMDDVLQRSAIEDQIANFGQTPIQIFRKKHPRRGLPIPIAHPLYFAPASITLTSIVSSTTYSPSAVLFVNIVDSNVVIVNQGLILSVKTWLTTQLQSGGNFTFSGSQDPFFAIGSDVLPPRKVGTPLAENFELRRQCLATMHTSGESYLVSCGNWENSFQIISLNDGRIVQNICQHKDVVSCVAVTSDGSILATGSYDTTIMIWHACQGRSSEKRSRNIQTDFPRKDQVVQQAPVHILCGHDDIITCLFISTELDIVISGSKDGTCIFHTLREGRYVRSIKHPSGCALSKLVASQHGRLVFYSENDLGLHMYSINGKHIASSESNGRLNCLELSSCGHFLVCAGDHGNVVLHSMHSLDVIRRYEGTGKMIASLAVTPEECFIAGTKDGSLLIYSIENLHLRGSSLPRNMKLKTSTI >DRNTG_03026.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:282275:301927:1 gene:DRNTG_03026 transcript:DRNTG_03026.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSELLLKDAILRIYLNPQIWVYANYEVQRDLYLYLIHYFETDRSLSPSLLGFPWIIDMICQFYCDNTSLLGSKSYLHSIAREVIGERPISEEVRKIRLLLLSLGEISLRQKISASDITAIIAFFERTQDMVCIEDVLHMIIRALSHKSLLASFLEQVNLLGGCHVFINLLERELEPIRLLGLQLMGKLLIGLPSEKKGTKFFSLPIGRSKSISENIRKGASMKQQTIFTAMSDRLFKFPLSDHLCATLFDVLLGGASPKQVLQKSSQWEKEKDNKSFSSRISSHFFLPHVLVCIFKFLASCNDLTARERMLKDLFELLDSNPSNIECLMEYGWNSWLETSVKLYRTYGLASQTHFQSSAVTELALMRNLFCVVLSHYILSVKGGWHQLEETVYFLLLNFEEGEFLLPNLLLNIFEDLVGTLAEVSSEENIFASQPSRDNALYLLKLLDEMLILEIGESILFPGIGISSSIVSNSVQLDIQKDINCAVTEILSTEADDQPLRIPWNSKSCRQADNMGADEYLAFYDRIWVLIGELNGKGAGKMLPKSSPLGLPSFGQRARGLVESLNLPAAEMAAVVVSGGLGNALSGKANKYVDKAIPLRGERCPRIIFHLLVLYLCKADLGRASKCVQQLISLLPALFISDDDQSKNKLHLFIWSLLTIRSQHGMQDDGARFHIISHLLLETMNSAKALLATSILFKEESYEASSNMKEASYILNIIQRDRVLAAAVDEAKYVRTVQTDCIKQLKELQVKFDEYSHTELNERKAFEDEMQADLNAICSSDSHRRASSQLAHDEDHQIVSAKWIHLSRALSDERGPWSSNSVPTSTITHWKLDKTEDRWRRRPKLKRNYCFNQQLCHPPPIKSVSDTSNPSSDCHSSSQVPDKVKHFILKGVRGITEEGASDRCQDSNESATLNNSYSGTTPENQSLKPSEDCSNQVDFVPEGKNTPYTGADSQSTEVQFSIPCVLVTPKRKLAGHLSLMQNVLHFLGEFLVEGTAGASIFKNFDSLRTSDANKRDLVGGTINLELNHEKGGTEKINTNAFPDNQPTKIKWRRRWNVSKIKAVHLTRYLLQFTAIEIFFNDLAQAVFLNFASQKDAKHVGTVIVSLRNESLFPRGSLKDKSGVISFVDRRTAIEIAETSRESWRRREISNFEYLMILNTLTGRSYNDLTQYPVFPWVLADYSSEKLDFNKSSTFRDLSKPVGALDEKRFQAFEDRYRNFSDPDIPSFYYGSHYSSMGIVLFYLLRLEPFTTLHRSLQGGKFDHADRLFHSIEGTYCNCLSNTSDVKELIPEFFYMPEFLVNSNSYHLGVKQDGERLDDVLLPPWAKGSPEEFIYRNREALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANVFYYLTYEGAVDLESMDDVLQRSAIEDQIANFGQTPIQIFRKKHPRRGLPIPIAHPLYFAPASITLTSIVSSTTYSPSAVLFVNIVDSNVVIVNQGLILSVKTWLTTQLQSGGNFTFSGSQDPFFAIGSDVLPPRKVGTPLAENFELRRQCLATMHTSGESYLVSCGNWENSFQIISLNDGRIVQNICQHKDVVSCVAVTSDGSILATGSYDTTIMIWHACQGRSSEKRSRNIQTDFPRKDQVVQQAPVHILCGHDDIITCLFISTELDIVISGSKDGTCIFHTLREGRYVRSIKHPSGCALSKLVASQHGRLVFYSENDLGLHMYSINGKHIASSESNGRLNCLELSSCGHFLVCAGDHGNVVLHSMHSLDVIRRYEGTGKMIASLAVTPEECFIAGTKDGSLLIYSIENLHLRGSSLPRNMKLKTSTI >DRNTG_03026.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:284190:301927:1 gene:DRNTG_03026 transcript:DRNTG_03026.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFFCDEVPLTLDIAFSNGIFDAKDGLSSKILFGLNAQASKGRALFNVTTVLDNLSEDDFIVATVMNGTQLCSRRLLQEIIYCVGGAFVFFPLLTDFDRFQGHKGENEYTMMKIMSDKLPAQVIELIASFLDGKLANQQHMHHHSGFAVLGFLFESIPTKYLNMETLSSLKYLFEVLRSCGMSELLLKDAILRIYLNPQIWVYANYEVQRDLYLYLIHYFETDRSLSPSLLGFPWIIDMICQFYCDNTSLLGSKSYLHSIAREVIGERPISEEVRKIRLLLLSLGEISLRQKISASDITAIIAFFERTQDMVCIEDVLHMIIRALSHKSLLASFLEQVNLLGGCHVFINLLERELEPIRLLGLQLMGKLLIGLPSEKKGTKFFSLPIGRSKSISENIRKGASMKQQTIFTAMSDRLFKFPLSDHLCATLFDVLLGGASPKQVLQKSSQWEKEKDNKSFSSRISSHFFLPHVLVCIFKFLASCNDLTARERMLKDLFELLDSNPSNIECLMEYGWNSWLETSVKLYRTYGLASQTHFQSSAVTELALMRNLFCVVLSHYILSVKGGWHQLEETVYFLLLNFEEGEFLLPNLLLNIFEDLVGTLAEVSSEENIFASQPSRDNALYLLKLLDEMLILEIGESILFPGIGISSSIVSNSVQLDIQKDINCAVTEILSTEADDQPLRIPWNSKSCRQADNMGADEYLAFYDRIWVLIGELNGKGAGKMLPKSSPLGLPSFGQRARGLVESLNLPAAEMAAVVVSGGLGNALSGKANKYVDKAIPLRGERCPRIIFHLLVLYLCKADLGRASKCVQQLISLLPALFISDDDQSKNKLHLFIWSLLTIRSQHGMQDDGARFHIISHLLLETMNSAKALLATSILFKEESYEASSNMKEASYILNIIQRDRVLAAAVDEAKYVRTVQTDCIKQLKELQVKFDEYSHTELNERKAFEDEMQADLNAICSSDSHRRASSQLAHDEDHQIVSAKWIHLSRALSDERGPWSSNSVPTSTITHWKLDKTEDRWRRRPKLKRNYCFNQQLCHPPPIKSVSDTSNPSSDCHSSSQVPDKVKHFILKGVRGITEEGASDRCQDSNESATLNNSYSGTTPENQSLKPSEDCSNQVDFVPEGKNTPYTGADSQSTEVQFSIPCVLVTPKRKLAGHLSLMQNVLHFLGEFLVEGTAGASIFKNFDSLRTSDANKRDLVGGTINLELNHEKGGTEKINTNAFPDNQPTKIKWRRRWNVSKIKAVHLTRYLLQFTAIEIFFNDLAQAVFLNFASQKDAKHVGTVIVSLRNESLFPRGSLKDKSGVISFVDRRTAIEIAETSRESWRRREISNFEYLMILNTLTGRSYNDLTQYPVFPWVLADYSSEKLDFNKSSTFRDLSKPVGALDEKRFQAFEDRYRNFSDPDIPSFYYGSHYSSMGIVLFYLLRLEPFTTLHRSLQGGKFDHADRLFHSIEGTYCNCLSNTSDVKELIPEFFYMPEFLVNSNSYHLGVKQDGERLDDVLLPPWAKGSPEEFIYRNREALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANVFYYLTYEGAVDLESMDDVLQRSAIEDQIANFGQTPIQIFRKKHPRRGLPIPIAHPLYFAPASITLTSIVSSTTYSPSAVLFVNIVDSNVVIVNQGLILSVKTWLTTQLQSGGNFTFSGSQDPFFAIGSDVLPPRKVGTPLAENFELRRQCLATMHTSGESYLVSCGNWENSFQIISLNDGRIVQNICQHKDVVSCVAVTSDGSILATGSYDTTIMIWHACQGRSSEKRSRNIQTDFPRKDQVVQQAPVHILCGHDDIITCLFISTELDIVISGSKDGTCIFHTLREGRYVRSIKHPSGCALSKLVASQHGRLVFYSENDLGLHMYSINGKHIASSESNGRLNCLELSSCGHFLVCAGDHGNVVLHSMHSLDVIRRYEGTGKMIASLAVTPEECFIAGTKDGSLLIYSIENLHLRGSSLPRNMKLKTSTI >DRNTG_03026.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:279642:301927:1 gene:DRNTG_03026 transcript:DRNTG_03026.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSELLLKDAILRIYLNPQIWVYANYEVQRDLYLYLIHYFETDRSLSPSLLGFPWIIDMICQFYCDNTSLLGSKSYLHSIAREVIGERPISEEVRKIRLLLLSLGEISLRQKISASDITAIIAFFERTQDMVCIEDVLHMIIRALSHKSLLASFLEQVNLLGGCHVFINLLERELEPIRLLGLQLMGKLLIGLPSEKKGTKFFSLPIGRSKSISENIRKGASMKQQTIFTAMSDRLFKFPLSDHLCATLFDVLLGGASPKQVLQKSSQWEKEKDNKSFSSRISSHFFLPHVLVCIFKFLASCNDLTARERMLKDLFELLDSNPSNIECLMEYGWNSWLETSVKLYRTYGLASQTHFQSSAVTELALMRNLFCVVLSHYILSVKGGWHQLEETVYFLLLNFEEGEFLLPNLLLNIFEDLVGTLAEVSSEENIFASQPSRDNALYLLKLLDEMLILEIGESILFPGIGISSSIVSNSVQLDIQKDINCAVTEILSTEADDQPLRIPWNSKSCRQADNMGADEYLAFYDRIWVLIGELNGKGAGKMLPKSSPLGLPSFGQRARGLVESLNLPAAEMAAVVVSGGLGNALSGKANKYVDKAIPLRGERCPRIIFHLLVLYLCKADLGRASKCVQQLISLLPALFISDDDQSKNKLHLFIWSLLTIRSQHGMQDDGARFHIISHLLLETMNSAKALLATSILFKEESYEASSNMKEASYILNIIQRDRVLAAAVDEAKYVRTVQTDCIKQLKELQVKFDEYSHTELNERKAFEDEMQADLNAICSSDSHRRASSQLAHDEDHQIVSAKWIHLSRALSDERGPWSSNSVPTSTITHWKLDKTEDRWRRRPKLKRNYCFNQQLCHPPPIKSVSDTSNPSSDCHSSSQVPDKVKHFILKGVRGITEEGASDRCQDSNESATLNNSYSGTTPENQSLKPSEDCSNQVDFVPEGKNTPYTGADSQSTEVQFSIPCVLVTPKRKLAGHLSLMQNVLHFLGEFLVEGTAGASIFKNFDSLRTSDANKRDLVGGTINLELNHEKGGTEKINTNAFPDNQPTKIKWRRRWNVSKIKAVHLTRYLLQFTAIEIFFNDLAQAVFLNFASQKDAKHVGTVIVSLRNESLFPRGSLKDKSGVISFVDRRTAIEIAETSRESWRRREISNFEYLMILNTLTGRSYNDLTQYPVFPWVLADYSSEKLDFNKSSTFRDLSKPVGALDEKRFQAFEDRYRNFSDPDIPSFYYGSHYSSMGIVLFYLLRLEPFTTLHRSLQGGKFDHADRLFHSIEGTYCNCLSNTSDVKELIPEFFYMPEFLVNSNSYHLGVKQDGERLDDVLLPPWAKGSPEEFIYRNREALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANVFYYLTYEGAVDLESMDDVLQRSAIEDQIANFGQTPIQIFRKKHPRRGLPIPIAHPLYFAPASITLTSIVSSTTYSPSAVLFVNIVDSNVVIVNQGLILSVKTWLTTQLQSGGNFTFSGSQDPFFAIGSDVLPPRKVGTPLAENFELRRQCLATMHTSGESYLVSCGNWENSFQIISLNDGRIVQNICQHKDVVSCVAVTSDGSILATGSYDTTIMIWHACQGRSSEKRSRNIQTDFPRKDQVVQQAPVHILCGHDDIITCLFISTELDIVISGSKDGTCIFHTLREGRYVRSIKHPSGCALSKLVASQHGRLVFYSENDLGLHMYSINGKHIASSESNGRLNCLELSSCGHFLVCAGDHGNVVLHSMHSLDVIRRYEGTGKMIASLAVTPEECFIAGTKDGSLLIYSIENLHLRGSSLPRNMKLKTSTI >DRNTG_03026.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:297650:301927:1 gene:DRNTG_03026 transcript:DRNTG_03026.9 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSGESYLVSCGNWENSFQIISLNDGRIVQNICQHKDVVSCVAVTSDGSILATGSYDTTIMIWHACQGRSSEKRSRNIQTDFPRKDQVVQQAPVHILCGHDDIITCLFISTELDIVISGSKDGTCIFHTLREGRYVRSIKHPSGCALSKLVASQHGRLVFYSENDLGLHMYSINGKHIASSESNGRLNCLELSSCGHFLVCAGDHGNVVLHSMHSLDVIRRYEGTGKMIASLAVTPEECFIAGTKDGSLLIYSIENLHLRGSSLPRNMKLKTSTI >DRNTG_03026.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:285971:301927:1 gene:DRNTG_03026 transcript:DRNTG_03026.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIEDVLHMIIRALSHKSLLASFLEQVNLLGGCHVFINLLERELEPIRLLGLQLMGKLLIGLPSEKKGTKFFSLPIGRSKSISENIRKGASMKQQTIFTAMSDRLFKFPLSDHLCATLFDVLLGGASPKQVLQKSSQWEKEKDNKSFSSRISSHFFLPHVLVCIFKFLASCNDLTARERMLKDLFELLDSNPSNIECLMEYGWNSWLETSVKLYRTYGLASQTHFQSSAVTELALMRNLFCVVLSHYILSVKGGWHQLEETVYFLLLNFEEGEFLLPNLLLNIFEDLVGTLAEVSSEENIFASQPSRDNALYLLKLLDEMLILEIGESILFPGIGISSSIVSNSVQLDIQKDINCAVTEILSTEADDQPLRIPWNSKSCRQADNMGADEYLAFYDRIWVLIGELNGKGAGKMLPKSSPLGLPSFGQRARGLVESLNLPAAEMAAVVVSGGLGNALSGKANKYVDKAIPLRGERCPRIIFHLLVLYLCKADLGRASKCVQQLISLLPALFISDDDQSKNKLHLFIWSLLTIRSQHGMQDDGARFHIISHLLLETMNSAKALLATSILFKEESYEASSNMKEASYILNIIQRDRVLAAAVDEAKYVRTVQTDCIKQLKELQVKFDEYSHTELNERKAFEDEMQADLNAICSSDSHRRASSQLAHDEDHQIVSAKWIHLSRALSDERGPWSSNSVPTSTITHWKLDKTEDRWRRRPKLKRNYCFNQQLCHPPPIKSVSDTSNPSSDCHSSSQVPDKVKHFILKGVRGITEEGASDRCQDSNESATLNNSYSGTTPENQSLKPSEDCSNQVDFVPEGKNTPYTGADSQSTEVQFSIPCVLVTPKRKLAGHLSLMQNVLHFLGEFLVEGTAGASIFKNFDSLRTSDANKRDLVGGTINLELNHEKGGTEKINTNAFPDNQPTKIKWRRRWNVSKIKAVHLTRYLLQFTAIEIFFNDLAQAVFLNFASQKDAKHVGTVIVSLRNESLFPRGSLKDKSGVISFVDRRTAIEIAETSRESWRRREISNFEYLMILNTLTGRSYNDLTQYPVFPWVLADYSSEKLDFNKSSTFRDLSKPVGALDEKRFQAFEDRYRNFSDPDIPSFYYGSHYSSMGIVLFYLLRLEPFTTLHRSLQGGKFDHADRLFHSIEGTYCNCLSNTSDVKELIPEFFYMPEFLVNSNSYHLGVKQDGERLDDVLLPPWAKGSPEEFIYRNREALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANVFYYLTYEGAVDLESMDDVLQRSAIEDQIANFGQTPIQIFRKKHPRRGLPIPIAHPLYFAPASITLTSIVSSTTYSPSAVLFVNIVDSNVVIVNQGLILSVKTWLTTQLQSGGNFTFSGSQDPFFAIGSDVLPPRKVGTPLAENFELRRQCLATMHTSGESYLVSCGNWENSFQIISLNDGRIVQNICQHKDVVSCVAVTSDGSILATGSYDTTIMIWHACQGRSSEKRSRNIQTDFPRKDQVVQQAPVHILCGHDDIITCLFISTELDIVISGSKDGTCIFHTLREGRYVRSIKHPSGCALSKLVASQHGRLVFYSENDLGLHMYSINGKHIASSESNGRLNCLELSSCGHFLVCAGDHGNVVLHSMHSLDVIRRYEGTGKMIASLAVTPEECFIAGTKDGSLLIYSIENLHLRGSSLPRNMKLKTSTI >DRNTG_03026.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:282275:301927:1 gene:DRNTG_03026 transcript:DRNTG_03026.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTQLCSRRLLQEIIYCVGGAFVFFPLLTDFDRFQGHKGENEYTMMKIMSDKLPAQVIELIASFLDGKLANQQHMHHHSGFAVLGFLFESIPTKYLNMETLSSLKYLFEVLRSCGMSELLLKDAILRIYLNPQIWVYANYEVQRDLYLYLIHYFETDRSLSPSLLGFPWIIDMICQFYCDNTSLLGSKSYLHSIAREVIGERPISEEVRKIRLLLLSLGEISLRQKISASDITAIIAFFERTQDMVCIEDVLHMIIRALSHKSLLASFLEQVNLLGGCHVFINLLERELEPIRLLGLQLMGKLLIGLPSEKKGTKFFSLPIGRSKSISENIRKGASMKQQTIFTAMSDRLFKFPLSDHLCATLFDVLLGGASPKQVLQKSSQWEKEKDNKSFSSRISSHFFLPHVLVCIFKFLASCNDLTARERMLKDLFELLDSNPSNIECLMEYGWNSWLETSVKLYRTYGLASQTHFQSSAVTELALMRNLFCVVLSHYILSVKGGWHQLEETVYFLLLNFEEGEFLLPNLLLNIFEDLVGTLAEVSSEENIFASQPSRDNALYLLKLLDEMLILEIGESILFPGIGISSSIVSNSVQLDIQKDINCAVTEILSTEADDQPLRIPWNSKSCRQADNMGADEYLAFYDRIWVLIGELNGKGAGKMLPKSSPLGLPSFGQRARGLVESLNLPAAEMAAVVVSGGLGNALSGKANKYVDKAIPLRGERCPRIIFHLLVLYLCKADLGRASKCVQQLISLLPALFISDDDQSKNKLHLFIWSLLTIRSQHGMQDDGARFHIISHLLLETMNSAKALLATSILFKEESYEASSNMKEASYILNIIQRDRVLAAAVDEAKYVRTVQTDCIKQLKELQVKFDEYSHTELNERKAFEDEMQADLNAICSSDSHRRASSQLAHDEDHQIVSAKWIHLSRALSDERGPWSSNSVPTSTITHWKLDKTEDRWRRRPKLKRNYCFNQQLCHPPPIKSVSDTSNPSSDCHSSSQVPDKVKHFILKGVRGITEEGASDRCQDSNESATLNNSYSGTTPENQSLKPSEDCSNQVDFVPEGKNTPYTGADSQSTEVQFSIPCVLVTPKRKLAGHLSLMQNVLHFLGEFLVEGTAGASIFKNFDSLRTSDANKRDLVGGTINLELNHEKGGTEKINTNAFPDNQPTKIKWRRRWNVSKIKAVHLTRYLLQFTAIEIFFNDLAQAVFLNFASQKDAKHVGTVIVSLRNESLFPRGSLKDKSGVISFVDRRTAIEIAETSRESWRRREISNFEYLMILNTLTGRSYNDLTQYPVFPWVLADYSSEKLDFNKSSTFRDLSKPVGALDEKRFQAFEDRYRNFSDPDIPSFYYGSHYSSMGIVLFYLLRLEPFTTLHRSLQGGKFDHADRLFHSIEGTYCNCLSNTSDVKELIPEFFYMPEFLVNSNSYHLGVKQDGERLDDVLLPPWAKGSPEEFIYRNREALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANVFYYLTYEGAVDLESMDDVLQRSAIEDQIANFGQTPIQIFRKKHPRRGLPIPIAHPLYFAPASITLTSIVSSTTYSPSAVLFVNIVDSNVVIVNQGLILSVKTWLTTQLQSGGNFTFSGSQDPFFAIGSDVLPPRKVGTPLAENFELRRQCLATMHTSGESYLVSCGNWENSFQIISLNDGRIVQNICQHKDVVSCVAVTSDGSILATGSYDTTIMIWHACQGRSSEKRSRNIQTDFPRKDQVVQQAPVHILCGHDDIITCLFISTELDIVISGSKDGTCIFHTLREGRYVRSIKHPSGCALSKLVASQHGRLVFYSENDLGLHMYSINGKHIASSESNGRLNCLELSSCGHFLVCAGDHGNVVLHSMHSLDVIRRYEGTGKMIASLAVTPEECFIAGTKDGSLLIYSIENLHLRGSSLPRNMKLKTSTI >DRNTG_08743.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18704653:18708155:1 gene:DRNTG_08743 transcript:DRNTG_08743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPPDSPYSGGVFLVNIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAQMYKTDRAKYESTARGWTQKYAMG >DRNTG_08743.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18704653:18708155:1 gene:DRNTG_08743 transcript:DRNTG_08743.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPPDSPYSGGVFLVNIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAQMYKTDRAKYESTARGWTQKYAMG >DRNTG_08743.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18704653:18708155:1 gene:DRNTG_08743 transcript:DRNTG_08743.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPPDSPYSGGVFLVNIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAQMYKTDRAKYESTARGWTQKYAMG >DRNTG_10799.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17451075:17454893:-1 gene:DRNTG_10799 transcript:DRNTG_10799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSLHNLSVICLMLVFLSFQIDAADIFLKWNVSLDWNIKPVSSNQSVITINGMFPGPLINTTTNDVVHVNVFNNLDEPLLMTWNGIQQRLNSWQDGVSGTNCPINPGQNWTYVFQVKDQIGSFFYFPSINFQKAAGGFGPIRVNNRDVILVPFPKPEEEFDLLIGDWHVLPHKDARQLAAGPSTNLSTPRYILINGKGSYNTSWAKDHETIQVEQGKLYRLRISNVGTELSFNFRIQSHEMILVETEGSYTNQIVLDSLDVHVGQSYSVLFTANQQDSDYYIVATPTQINTTNSPDLCGVGVLHYMNSNSQASGPLPPGPNQLDRNFLYQSS >DRNTG_18828.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2704309:2707621:1 gene:DRNTG_18828 transcript:DRNTG_18828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSQQGALTSLNGNAERENKESKSSLVVCFGEMLIDFVPTVGGVSLAEAPAFKKAPGGAPANVAVGISRLGGSSAFIGKVGKDEFGYMLADILKENNVDNSGVRFDPGARTALAFVTLRADGEREFMFFRNPSADMLLKETELDANLIQKACIFHYGSISLIEEPCRSTHLAAMKIAKASGSILSYDPNLRLPLWPSAEAARQGIMSIWNEADIIKISEEEITFLTGGDDPYNDKVVLDKLFHPNLKLLLVTEGQDGCRYYTKKFNGKVSGVRVEAVDTTGAGDAFVSGILTSLSSDLNLYQDEKKLRDALMFANTCGAITVTERGAIPALPTRDAVLQLLPA >DRNTG_13453.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19293009:19293989:-1 gene:DRNTG_13453 transcript:DRNTG_13453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETPLGLDNARLERIMSVSRENLKRGVASPFVMRPSTPQAPQSPVPSPPAFVSSLAPAEAPKHDTDT >DRNTG_08485.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000380.1:36848:42938:1 gene:DRNTG_08485 transcript:DRNTG_08485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFVEMEKFAIVCADNPAGCASDFSPRVTTGKELIPVHDSLCSSPQVSSEISSNHMSFEKYPSWLQDILKVIVQKQRTAKVDLDFIIEEVRAALSKEHNSQDSFGEVDSKDVLTSRNKNEPSSQSNLEKSVSRLVNLTEGIIDKFAADNNAQQIPSQFDQVCGPYDKPSSITGYVHRIFLFESSELIAVLQHFVIVSKDLLSGKADLVLFLCKLGSTVDWIINHCFSLQDVSHLKESIKDHYHINGTHASNESEAKECRVTGGDEFERKRSSPLGITSNGLFIMSQLEEVESKTTDVNVWLKNELLTLETKNKDVDEQPQSISSKNEFLITQLKKSEEIVSNLHEELAKLKELKGVTENEIVKQKLANDDLNSQLRVAKVELIDALQKLSSIEVELEEKSNCCEELEATCLELQLQLESVPTKEISKYDLGAEEKQLRTDLEISAASEKLAECQETILHLGKQLKALASPRDAVLFDKVVSSHATSKTNRRPLLLEQMQAEDDAKFEELKSPKTKEVICTEPQKPSSTSFVNPNAGLLYGSKILANGKTDTTLADIIQQSPTMSSRGFNSVNESFRQKSKNDAGALVVIPKRQKGGASFLRKLLSKRKRESKKKPNLPALC >DRNTG_13707.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5362465:5363013:-1 gene:DRNTG_13707 transcript:DRNTG_13707.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGNSLPSGADGKKRKVSYYYDPLIGNYHYGSGHSMKPLRIAMTHDLLLKYRVLDNMQVFKPIKATSHDLLQFHSDEYISFLKRITPDNAKELAGLCKIYYVNSNDCPVFDGLYDYCTSYTGGSLSAAARLNEGSSDIAINWSGGLHHAKCSAASGFCYVNDAVLAITELLKHRQVCSVY >DRNTG_09757.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10894834:10900203:-1 gene:DRNTG_09757 transcript:DRNTG_09757.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRKLLKRQPFVLHLILLYKHCINLSIDLKLNYTILLKKSLKLMSVCCSCISYLFVHSFGMY >DRNTG_09757.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10894834:10896321:-1 gene:DRNTG_09757 transcript:DRNTG_09757.2 gene_biotype:protein_coding transcript_biotype:protein_coding NLVLTLVPRNGEVSSDKVSPVSCVFVFHSSSRKRLKEKKVR >DRNTG_15564.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:576307:577299:1 gene:DRNTG_15564 transcript:DRNTG_15564.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSELLGRRTVDQLLPMRRLSLHDLLRTLLDASRRRQHVNISEELVKMGIGTVGRSLVGSTSFWEYYYGGDNHDDDDDLDEMMKLTKEVNMLVGSFNVSDFIPALARWDLQGLDKKIQDVHHRFDAMLERIIERKQDLKYSRNNNNYHHIRSQNIKDLLDIVLDIADNDHDQDPDIKLTRENIKSFVLSAAELETIK >DRNTG_15564.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:576252:577299:1 gene:DRNTG_15564 transcript:DRNTG_15564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELLGRRTVDQLLPMRRLSLHDLLRTLLDASRRRQHVNISEELVKMGIGTVGRSLVGSTSFWEYYYGGDNHDDDDDLDEMMKLTKEVNMLVGSFNVSDFIPALARWDLQGLDKKIQDVHHRFDAMLERIIERKQDLKYSRNNNNYHHIRSQNIKDLLDIVLDIADNDHDQDPDIKLTRENIKSFVLSAAELETIK >DRNTG_15564.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:576307:576643:1 gene:DRNTG_15564 transcript:DRNTG_15564.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRLGSVLAIVASSPDMAKEVLKTNDANFASRPQNHASRRFGHD >DRNTG_29073.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1746198:1746688:-1 gene:DRNTG_29073 transcript:DRNTG_29073.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSKDDGPPIRWRKGELIGCGAFGQVYMGMNLDSGELLAVKQVVDLEFSVDLGFLIHSWLWSLFDLLFD >DRNTG_29073.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1741550:1745364:-1 gene:DRNTG_29073 transcript:DRNTG_29073.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQFQEVAALFHIGTTKSHPPIPEHLSLEAKDFPVEMLAEGTELEAKCI >DRNTG_29073.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1741550:1746688:-1 gene:DRNTG_29073 transcript:DRNTG_29073.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSKDDGPPIRWRKGELIGCGAFGQVYMGMNLDSGELLAVKQVLIGTNSAREKAQAHIKELEEEVKLLKNLTHPNIVRYLGTAREEETLNILLEFVPGGSISSLLGKFGSFPEAVIRMYTKQLLQGLEYLHQNGIIHRDIKGANILVDNKGCIKLADFGASKQVVKLATMTAAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQFQEVAALFHIGTTKSHPPIPEHLSLEAKDFPVEMLAEGTELEAKCI >DRNTG_22424.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23314255:23319287:-1 gene:DRNTG_22424 transcript:DRNTG_22424.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase [Source:Projected from Arabidopsis thaliana (AT5G60640) UniProtKB/TrEMBL;Acc:A0A178UQB2] MALRLFTLALVFSALLLLPLFHVSASKATSDSDLDEEDLSFLDDGDDASSPPSSSHDLDHSFEDHSDDEYDPDLIGEGGFDEFDHGAYEPPAPIDDKDVVVLTDGNFSDFLESSGNVMVEFYAPWCGHCQALAPEYAAAATELKDSDVVLAKVDATEENELAQKYEIQGFPTVLFFVDGVHRPYQGPRTKDAIVTWIKKKVGPAVYNITTTEEAEKVLTSENKVVLGFLDSLVGPDSVVLAAISRLEDDVNFYQTVNPDVAKLFHIDHEAKRPSLVMLKKEAEKLAYFDGQFTKSAILDFIFANKLPLVTTFTRETAPTIFDNPIKKQLLLFVTSNGSEKVLPTFQEAAKFFKGKLIFIYVEMDNEDVGKPVSDYFGVTDGPKVLAYSGNEDAKKYILEDELTLESIKSFGEGFLEDKLKPFYKSDPLPETNDGDVKIVVGNNFDDIVLDESKDVLLEIYAPWCGHCQALEPIYNKLAKHLRGVDSLVIAKMDGTTNEHPRAKADGFPTLLFFPAGNKSFDPITVDTDRTVVAFYKFIKKHASIPFKLQKPESTTKPSSPSSAEADAAGEVPSKHVKDEL >DRNTG_09050.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31397060:31398260:-1 gene:DRNTG_09050 transcript:DRNTG_09050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDEMVKLISAEGFEFVIDLKAAMLSQTLGGVLTFPGGIMEKGHGELRLREISTPILEKICQYLYWALRFARGDRFSY >DRNTG_09050.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31397060:31398260:-1 gene:DRNTG_09050 transcript:DRNTG_09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDEMVKLISAEGFEFVIDLKAAMLSQTLGGVLTFPGGIMEKGHGELRLREISTPILEKICQYLYWALRFASVEETDFHIEPEIALELAMAANYLDA >DRNTG_15223.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23319582:23321983:-1 gene:DRNTG_15223 transcript:DRNTG_15223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRNVSRLLASCDLDVGESSCPSSCRLLYLFVVQFCEHLLPHQILPGHACGEIGGSGDDWDQRVGVGYGERDGRYGEGPRSRPWPL >DRNTG_20673.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001137.1:12821:14205:-1 gene:DRNTG_20673 transcript:DRNTG_20673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMLASQKEKLVEKGSQSNAAYAVLWDIIGDSMECRTRVILGRHKLQELAANRTPWKTLILKSYMAISLRVSYLFRISQSRQPQIIILLQMDLRKVHQYHRSIAELKKPTSTPSTPAATRGPERQHLSKSQARKQCPARPPVFQGAVLEKNSEDKSKVGGEKRRKAWLPPGPGGSSGSARP >DRNTG_34884.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21873407:21885031:-1 gene:DRNTG_34884 transcript:DRNTG_34884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDCFTPNFVDYLHRTFNSPQLAAIQWAAMHTAAGTNNGSTKRQDPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTALLKKLAPESYKQNSESISESVGSGSIDEVLQSMDKNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQTRAAQAVSVERRTEQLLQKGRDEVLGWMHQLKAREVQFSLQISGFQRELLATAAAGRSQGSVGVDPDVLVARDHNRDVLLQNLAAAVEGRDKVLVEMSRLCILESRFSAGSNFNLEDARAKLEASFANEAEVVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVAVLPPSPWVLRDASWWVIPSSFQRL >DRNTG_34884.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21883266:21885031:-1 gene:DRNTG_34884 transcript:DRNTG_34884.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDCFTPNFVDYLHRTFNSPQLAAIQWAAMHTAAGTNNGSTKRQDPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTALLKKLAPESYKQNSESISESVGSGSIDEVLQSMDKNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQTRAAQAVSVERRTEQLLQKGRDEVLGWMHQLKAREVQFSLQISGFQRELLATAAAGRSQGSVGVDPDVLVARDHNRDVLLQNLAAAVEGRDKVLVEMSRLCILESRFSAGSNFNLEDARAKLEASFANEAEVVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVAVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRYFYQGRLTDSESVMKLADEVYYKDPLLQPYGFFDISHGRESHKGGSVSYQNVHEAQFCLRLYEHLQKSLKLNFGKKVSVGIITPYKLQLKCLQREFEEVLNTEEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALW >DRNTG_08542.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17393133:17393979:-1 gene:DRNTG_08542 transcript:DRNTG_08542.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIINTFDPILFSSQSDTEILMRSKQDTHQNYRQQVMEEQEC >DRNTG_26708.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31239898:31251002:-1 gene:DRNTG_26708 transcript:DRNTG_26708.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSATTPFLSTPKLCPQNPTIQAVSEPFLPQIPKAMLRAIARKAKNPLGIIRKPPSCFLGFSQYPLSFERNRILNLRLFELGSRHDRVLRVSGLWSSFRGFASVAEAVSSTDVDEEAACIEGIQGMLKELSKAEAVGTQGRNEGFDEKYRRPQPVQQRTVCGIGSGKYLILKRRQIKIETEAWEQAAKEYKELLMDMCEQKLAPNLPYVKSLFLGWFEPLRDQIVAEQQLCSDPKSRLSHAPYFNRLPADMMAVITMHKLMSLLMTGEGAGSVRVVHAACHIGEAIEQEVRIHSFLERTKKKTDKGKKLEDTDDVIVTKEQDILRKKVTNLMKKQKLHLVRKIVRGQDDTRPWGQDAQAKVGSRLIELLMETAYVQPPVDQAADGPPDIRPAFRHSLRTVTKEQRKNSKRFGVIECDPIIRQGLDRTARHMVIPYMPMLVPPVNWTGYDKGAHLFLPSYVMRIHGAKQQREAVKRAPRKQLDRVFEALDTLGNTKWRINKRVLSIVDRIWSSGGRLADLVDRDDIPLPEKPVTEDENALKKWKWKLRAAKKENSERHSQRCDVELKLAVARKMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGVLEFAEGRPLGKSGLRWLKIHLANLYANGVDKLSYDGRLAFTENHLADIFDSADRPLEGKRWWLGAEDPFQCLAVCINLAEALRSSSPETTISHLPVHQDGSCNGLQHYAALGRDKLGAIAVNLVAGEKPADVYSGIAARVLDIMRNDAQQDPATDSNVLRARLLVDQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLKERGLDAEDSELFSASCYAAKTTLTALGEMFQAARDIMSWLGDCAKIIASENQPVRWTTPLGLPVVQPYRKLGRHLIKTSLQVLTLQRETDKVMAKRQRTAFPPNFVHSLDGSHMMMTAVACKKAGLNFAGVHDSYWTHACDVDELNRILREKFVELYETPILENLLESFQQANPSLSFPPLPERGDFNLKDVLESTYFFN >DRNTG_26708.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31239898:31248874:-1 gene:DRNTG_26708 transcript:DRNTG_26708.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHGAKQQREAVKRAPRKQLDRVFEALDTLGNTKWRINKRVLSIVDRIWSSGGRLADLVDRDDIPLPEKPVTEDENALKKWKWKLRAAKKENSERHSQRCDVELKLAVARKMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGVLEFAEGRPLGKSGLRWLKIHLANLYANGVDKLSYDGRLAFTENHLADIFDSADRPLEGKRWWLGAEDPFQCLAVCINLAEALRSSSPETTISHLPVHQDGSCNGLQHYAALGRDKLGAIAVNLVAGEKPADVYSGIAARVLDIMRNDAQQDPATDSNVLRARLLVDQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLKERGLDAEDSELFSASCYAAKTTLTALGEMFQAARDIMSWLGDCAKIIASENQPVRWTTPLGLPVVQPYRKLGRHLIKTSLQVLTLQRETDKVMAKRQRTAFPPNFVHSLDGSHMMMTAVACKKAGLNFAGVHDSYWTHACDVDELNRILREKFVELYETPILENLLESFQQANPSLSFPPLPERGDFNLKDVLESTYFFN >DRNTG_02236.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1115771:1117809:1 gene:DRNTG_02236 transcript:DRNTG_02236.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYHLQETCNGVREPMFEKPLTPSDVGKLNRLVIPKQYAEKYFPLASESGEKGLLLCFEDEGGKLWRFRYSYWTSSQSYVLTKGWSRYVKEKRLDAGDLVIFERTLAGDRFFIACRRRGSPEEPSSSSAHNAWNQQSFYNSYNASSSMPSSYLYMSSMQQDCFVQRGGEQRSRSNNTVGVPKTLRLFGVNLECIPDETEDQEQVVHSGMCFMDQNSVHRGRFMPGNASTSQL >DRNTG_02236.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1115701:1118055:1 gene:DRNTG_02236 transcript:DRNTG_02236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYHLQETCNGVREPMFEKPLTPSDVGKLNRLVIPKQYAEKYFPLASESGEKGLLLCFEDEGGKLWRFRYSYWTSSQSYVLTKGWSRYVKEKRLDAGDLVIFERTLAGDRFFIACRRRGSPEEPSSSSAHNAWNQQSFYNSYNASSSMPSSYLYMSSMQQDCFVQRGGEQRSRSNNTVGVPKTLRLFGVNLECIPDETEDQEQVVHSGMCFMDQNSVHRGRFMPGNASTSQL >DRNTG_02236.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1115771:1118055:1 gene:DRNTG_02236 transcript:DRNTG_02236.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYHLQETCNGVREPMFEKPLTPSDVGKLNRLVIPKQYAEKYFPLASESGEKGLLLCFEDEGGKLWRFRYSYWTSSQSYVLTKGWSRYVKEKRLDAGDLVIFERTLAGDRFFIACRRRGSPEEPSSSSAHNAWNQQSFYNSYNASSSMPSSYLYMSSMQQDCFVQRGQLDF >DRNTG_02236.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1115701:1117809:1 gene:DRNTG_02236 transcript:DRNTG_02236.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYHLQETCNGVREPMFEKPLTPSDVGKLNRLVIPKQYAEKYFPLASESGEKGLLLCFEDEGGKLWRFRYSYWTSSQSYVLTKGWSRYVKEKRLDAGDLVIFERTLAGDRFFIACRRRGSPEEPSSSSAHNAWNQQSFYNSYNASSSMPSSYLYMSSMQQDCFVQRGGEQRSRSNNTVGVPKTLRLFGVNLECIPDETEDQEQVVHSGMCFMDQNSVHRGRFMPGNASTSQL >DRNTG_02236.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1115701:1117809:1 gene:DRNTG_02236 transcript:DRNTG_02236.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYHLQETCNGVREPMFEKPLTPSDVGKLNRLVIPKQYAEKYFPLASESGEKGLLLCFEDEGGKLWRFRYSYWTSSQSYVLTKGWSRYVKEKRLDAGDLVIFERTLAGDRFFIACRRRGSPEEPSSSSAHNAWNQQSFYNSYNASSSMPSSYLYMSSMQQDCFVQRGGEQRSRSNNTVGVPKTLRLFGVNLECIPDETEDQEQVVHSGMCFMDQNSVHRGRFMPGNASTSQL >DRNTG_02236.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1115771:1118055:1 gene:DRNTG_02236 transcript:DRNTG_02236.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYHLQETCNGVREPMFEKPLTPSDVGKLNRLVIPKQYAEKYFPLASESGEKGLLLCFEDEGGKLWRFRYSYWTSSQSYVLTKGWSRYVKEKRLDAGDLVIFERTLAGDRFFIACRRRGSPEEPSSSSAHNAWNQQSFYNSYNASSSMPSSYLYMSSMQQDCFVQRGGEQRSRSNNTVGVPKTLRLFGVNLECIPDETEDQEQVVHSGMCFMDQNSVHRGRFMPGNASTSQL >DRNTG_02236.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1115701:1118055:1 gene:DRNTG_02236 transcript:DRNTG_02236.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYHLQETCNGVREPMFEKPLTPSDVGKLNRLVIPKQYAEKYFPLASESGEKGLLLCFEDEGGKLWRFRYSYWTSSQSYVLTKGWSRYVKEKRLDAGDLVIFERTLAGDRFFIACRRRGSPEEPSSSSAHNAWNQQSFYNSYNASSSMPSSYLYMSSMQQDCFVQRGGEQRSRSNNTVGVPKTLRLFGVNLECIPDETEDQEQVVHSGMCFMDQNSVHRGRFMPGNASTSQL >DRNTG_02236.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1115771:1118055:1 gene:DRNTG_02236 transcript:DRNTG_02236.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYHLQETCNGVREPMFEKPLTPSDVGKLNRLVIPKQYAEKYFPLASESGEKGLLLCFEDEGGKLWRFRYSYWTSSQSYVLTKGWSRYVKEKRLDAGDLVIFERTLAGDRFFIACRRRGSPEEPSSSSAHNAWNQQSFYNSYNASSSMPSSYLYMSSMQQDCFVQRGGEQRSRSNNTVGVPKTLRLFGVNLECIPDETEDQEQVVHSGMCFMDQNSVHRGRFMPGNASTSQL >DRNTG_31198.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26923149:26926295:-1 gene:DRNTG_31198 transcript:DRNTG_31198.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYPLRMTDDLQRGYSASTSSCFAFHLLKYGKFGEERLPCLHVLRSRRRGAKDRPPNSRKAGNNSNKVEHHQHSKHPRHQNETNHKRNRSAEHQRKRNPKQQRRKRRRGHLRSPRAPNPGRPRRRRRHRDGAGRRLNDAEKKRAELVEDLLVLAAGAHFGNHNPRFPNV >DRNTG_31198.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26923149:26926295:-1 gene:DRNTG_31198 transcript:DRNTG_31198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYPLRMTDDLQRGYSASTSSCFAFHLLKYGKFGEERLPLHVLRSRRRGAKDRPPNSRKAGNNSNKVEHHQHSKHPRHQNETNHKRNRSAEHQRKRNPKQQRRKRRRGHLRSPRAPNPGRPRRRRRHRDGAGRRLNDAEKKRAELVEDLLVLAAGAHFGNHNPRFPNV >DRNTG_31198.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26923149:26926295:-1 gene:DRNTG_31198 transcript:DRNTG_31198.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRISLCMFSNWLQTRQTKFFYLIMALLLSCTSLGVGVEGQRIALPIPERLETIPTK >DRNTG_14192.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20291990:20293347:1 gene:DRNTG_14192 transcript:DRNTG_14192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTAIDTFYLTDEQLKNSPSRKDGIDEATETTLRIYGCDLIQESGILLRLPQAVMATGQVLFHRFYCKKSFARFSVKRVAASCVWLASKLEESPRKAKQVIIVFHRMEIRRENLPLEYLDVFSKKYSELKNDLIRTERHLLKEMGFICHVEHPHKFISNYLATLGTPTELRQEAWNLANDR >DRNTG_13792.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000641.1:18095:20403:-1 gene:DRNTG_13792 transcript:DRNTG_13792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHITITGSSMNIVKSRVNSIQNNDQSQTAVIPQVAIIMGSDSDLPIMKDAAVVLKNFDVPFEVIIVSAHRTPDRMYSFASSAKNKGIHVIIAGTGGAAHLPGLVASLTSLPVIGIPIRTASLDGVDSLLSIVENGRNEYVGIPVATVAIGNSANAALLAVRILANGDAKLWYRFKDEVELKTRLIQKGIKCAQWPRI >DRNTG_30583.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3629924:3630210:1 gene:DRNTG_30583 transcript:DRNTG_30583.1 gene_biotype:protein_coding transcript_biotype:protein_coding EIIAKTRAITAAVKMLSSDHLQEKHASLSLLLQLSKSEIICRNIGATPGAILMLITMKYNERTDFVSAERAGETLKNMEKCPDNIKCMAENGLLE >DRNTG_15776.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17323659:17325835:-1 gene:DRNTG_15776 transcript:DRNTG_15776.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYLPWDQLSKSDNVTMQMSHSKPRDKPLGLDVTNNTEIPNHSTLLPLLQDEISIEKSAPFVMEMPKDEAQEANAESIQPTLHRDRHLPLTGDNRHFYTKGILQYLPHPIPEDSLDEEVVDWGDEKD >DRNTG_07220.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000326.1:10788:12615:-1 gene:DRNTG_07220 transcript:DRNTG_07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTIPEHHARFEGLSRLWFNQTRFLDTNILRDLQEGDEFTDEVRISYRLVGLYEEAFIDTEEYYQLPTDYPGALTPQRAYRALSVNGCGDSTGVLSWQELLYFYSMIQRIPIHLGHIVAEYIRHQGQYARLAVIFSGPYITRLALGMGLLGTICGAEKMSIPVPLSLETMRLMDMVHRFQTRIHGLVLPVPEIAKEEGDDAEASEPTPKPQPAPIESETPHMAEDPPQYACFHHIEPMIILRGSRVLWG >DRNTG_13942.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21250963:21255976:1 gene:DRNTG_13942 transcript:DRNTG_13942.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branching enzyme-I precursor (Starch-branching enzyme I) (1,4-alpha- glucan branching enzyme I) [Source: Projected from Oryza sativa (Os06g0726400)] MLCSSSYCLFLTNASHCPHSPRKYYPKVPSGVKFGQSWSTIIANVSKSSPWHKEKSALYKKNSKQDFAMQTMLKDDSVIKTVEEDAENLGIFTVDPSLLPYKVHFTYRSERYTEQKALIEKHEGSLEEFSQGYLKFGLNREGNSVVYREWAPAALEAQLVGEFNGWNGSKHKMQRNEFGVWTIRIPDEGDKPSIPHNSKVKFRFKHGNGVWVDRIPAWIRYATVEPNSFGAAYDGVYWDPPPSERYEFKYPRPPKPAAPRIYEAHVGMSSAEPRINSYREFADDVLPRIKANNYNAVQLMAIMEHSYYASFGYHVTNFFAASSRSGTPEDLKYLIDKAHSLGLRVLMDVVHSHASNNHTDGLNGFDVGQGTEESYFHTGERGYHKLWDSRLFNYANWEVLRFLLSNVRWWLEEFMFDGFRFDGVTSMLYHHHGINTAFSGKYDEYFSEATDVDAVVYMMLANSLVHSLLPDATVVAEDVSGMPGLCRPVNEGGVGFDYRLAMGIPDMWINYLKNKKDTDWSMKEIAHTLTNRRYTEKSVAYAESHDQAIVGDKTITFLLMDKEMYSGMSDLEPASAVVKEEMHFTR >DRNTG_19841.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001073.1:33196:33880:-1 gene:DRNTG_19841 transcript:DRNTG_19841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDTSYKDNKQMLPFAAFTGYNHHLQPVVFGCALVVDDTTASLIWLFETFLVAMHGRHPVSLVSEHSGALKATTARVFSSSCHRFCKWRILSKCKEKLPDICSDKVARSTFKQELKKCIDESQTIEDFESDWEAILSKYGLRDNVWMQSLYEVRQQWARVYLKSTFFA >DRNTG_29100.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5037569:5042828:1 gene:DRNTG_29100 transcript:DRNTG_29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSQGRTHALQSFVRACGNYPRLCVVHKVTHRGESMPLCVLGKNYPTLHDGTRLCGNYPRACASRMVVHRSNRTPRLCRTSLNMSTHSEPALEIYLFIICNGYQLVHSTEFQI >DRNTG_25320.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20406966:20408481:1 gene:DRNTG_25320 transcript:DRNTG_25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQMIRQRINLPILLQWAGFCSVMHAEMRPIFSNLNLRNNVPKSSPCLSLTNDQIQQHFKYNYHKDLCMSAQRATKCSATGEATEAEVANKATPNIDEAGQLYKTKVISNKTLVGPKDELGEICHIILDHGGKFHFVEGQYLRVILHPSCRVMITFSPLHHAEMEILLMARHSVCVFAVQNCHRIVSVTSSVTVKKEMKLILKV >DRNTG_28032.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5315383:5320868:-1 gene:DRNTG_28032 transcript:DRNTG_28032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGGDYTHASYPSLSPFYPPSGPVWGGHGYIAPPAPYDPYAGYSVVQVLILPPAPVSAPSGYALIQNNKDNAPCNTLFIGNLGENLNEKELRSLFICATWLQTNEGRWSMLGVSERFGREMVNAGCISEWG >DRNTG_03419.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3268745:3271329:1 gene:DRNTG_03419 transcript:DRNTG_03419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEEDRKLFPSKGSSTGICPAGREEQGPVGGVHGPVRVFVY >DRNTG_07060.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3866563:3867892:1 gene:DRNTG_07060 transcript:DRNTG_07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEETRSPHSRSRCRSPEDGAEGESKRRKHRHHRHRHRHHRSGHGRERHEGEALDLEAEAGAVSVGAERIDEYEREEGEILEEEDGVEPERRDLVHGDEGVEKESRRGDVDENDSSGSRSRSWGSGENLHRKKRKGEHGDEALPAKLGKLLLSRGSDSQDAGRKSSDRDDRERESSIHSRHEERGDSRDVRERDRERDRNHRRSSWDRDSVEVGKRSRGERERSISHSRHDIGGDRHDSQDREYEREQRKHSRSSLDRDGERRRAMSRERYRERESVRERENVRERDRDGSDRDKKQLRDRETLRYDDRERGWHASRDRQRDSRNSRYDELESRNERTKPKELVREVSSATRPTEELKGKPV >DRNTG_18539.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3280712:3282728:1 gene:DRNTG_18539 transcript:DRNTG_18539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPLSSSLWFSNPKCPPSIHSSPFSTAFPFQPLIHASPSLQLQALCLKSSQRNHLYHPHHLSSSQGRRGLAVRSSASEIPSVDDSTKWLFEPVGNGDSRHIGYRVPLPGAFEVVSDVVTIGRVPEKADIVIPIATVSGVHAKLKKKEGTLLITDLDSTNGTYINDMRLRPGAVTTVSPGSLITFGDTNLAIFRVSKKVIEHKENTSITDESEIEAEADGQENNAEA >DRNTG_01264.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:9813578:9821107:1 gene:DRNTG_01264 transcript:DRNTG_01264.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase DA2 [Source:Projected from Arabidopsis thaliana (AT1G78420) UniProtKB/Swiss-Prot;Acc:Q93YV5] MGNKFGRRRQVVDERYTRPQGLYQHKDVDHKKLRKLILDSKLAPCYPGDEDSTLDLEECPICFLYYPSLNRSRCCMKGICTECFLQMKPPQSSRPTQCPFCKTSNYAVEYRGMKTKEEKGMEQLEEQKVIEAQIRMRQQELQEEEERMRRRQEMSASSIIQSPVEFECRDISCSSLSAPSLRYPTQATEFVSSQGSWTIPTSRRPSHSRHNRDSEFDLDPLDIMVMEAIWLSIQEQGAQRNTSCGTSTLSEQSFSQNCYNSHGLSPLEAPPSSGLAYTVGAMAESQHMRGDISFATGSSALVPNMSLTVDQEQAMENYNGENWIEVSPSSGRAAMPRQENEWAMDHGSVVAEAGTSYAVSDVTVDTMPGALSLPEGVNMAATHLLPESFEEQMMLAMAVSLAEARARTCPQGLTWM >DRNTG_34118.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32688540:32692083:1 gene:DRNTG_34118 transcript:DRNTG_34118.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGMAVEDPNAEHGLKLTIEDYPYAADGLLVWSSIKEWVTDYVYHYYPRPELIKKDQELQAWWTEVRVKGHPEKKDEPWWPKLETEEDLIGILCTIIWVASGHHAAVNFGQYHYAGYFPNRPTIARVRMPVEALEDGDSGEFEKFWEKPETALMKCFPSQIQATVVMSILDILSNHAPDEEYLGGELEASWAENVEIKAAFERFNGRMKVIEGIVDARNANQELRNRCGAGIVPYELLKPFSEPGVTGMGIPNSISI >DRNTG_34118.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32688891:32690129:1 gene:DRNTG_34118 transcript:DRNTG_34118.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYVPRDEAFSEVKQLTFSVKTLRSALKALVPSIETAIIDTKLGFPYFTAIDTLFDEGMPLPKQEGLNFFRTLVPRLIKAVQDTTDIMLLTMQEFPFVSKLDPEVYGPPESAITKEVIECELGGRLTVEE >DRNTG_34118.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32689943:32692083:1 gene:DRNTG_34118 transcript:DRNTG_34118.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGMAVEDPNAEHGLKLTIEDYPYAADGLLVWSSIKEWVTDYVYHYYPRPELIKKDQELQAWWTEVRVKGHPEKKDEPWWPKLETEEDLIGILCTIIWVASGHHAAVNFGQYHYAGYFPNRPTIARVRMPVEALEDGDSGEFEKFWEKPETALMKCFPSQIQATVVMSILDILSNHAPDEEYLGGELEASWAENVEIKAAFERFNGRMKVIEGIVDARNANQELRNRCGAGIVPYELLKPFSEPGVTGMGIPNSISI >DRNTG_34118.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32686530:32692083:1 gene:DRNTG_34118 transcript:DRNTG_34118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGMAVEDPNAEHGLKLTIEDYPYAADGLLVWSSIKEWVTDYVYHYYPRPELIKKDQELQAWWTEVRVKGHPEKKDEPWWPKLETEEDLIGILCTIIWVASGHHAAVNFGQYHYAGYFPNRPTIARVRMPVEALEDGDSGEFEKFWEKPETALMKCFPSQIQATVVMSILDILSNHAPDEEYLGGELEASWAENVEIKAAFERFNGRMKVIEGIVDARNANQELRNRCGAGIVPYELLKPFSEPGVTGMGIPNSISI >DRNTG_25651.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21748485:21750280:1 gene:DRNTG_25651 transcript:DRNTG_25651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRFPLNGTYFQVNEVFADNKSSKDPIVVPRSWLWNLRRKICYFGTGISTIFRDLTTEEIQFCMSQGTVCVRGFDRETRRVELLYKRLHVPASQATKKKSKPENKD >DRNTG_19404.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:10472831:10474364:-1 gene:DRNTG_19404 transcript:DRNTG_19404.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNQQKVKRGLWSPEEDEKLIRYVTTHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFTPDEEKLIINLHALVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKPLSTTTTTAGAATTATSSSSTTMSTIPSGLDLVQMSYNTSIDQLDSLLTTTTATTTTTAKSSVTHENIFATSHHTCPIFMFDPSINGDESASPSTNNIWNINVDTNMDPNYLPPLVDGLGNMGMVGMDEGVLHCCGDDDHGGHETSRDSFGKQDQQQLISDWMDSQHYPGLLFWDTATSVQGHATHATTPHHEHEPLQQTQTNNNILINDSILSTSSSSFPSSL >DRNTG_32705.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10833961:10851851:1 gene:DRNTG_32705 transcript:DRNTG_32705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILWSSRIFSKGSLAKPKAHLAESVLMKAPPITLFQRNAVLKAIEPLQKPCQSPLNPSRKRLQRWNTSMTRGSTSTPLASPDSKLEKNFLSKVGGVLGAASEPSSSTPLKVELKELPAHLEYAFQEENSKLSVIISSTLNTRHKEQLVALLYKHKKGIAWKKALMRFI >DRNTG_25284.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31583331:31583907:-1 gene:DRNTG_25284 transcript:DRNTG_25284.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKKSLFSVFNISKKTSTPNNKLQASTAAAAAGPNKVRPTEEETGPMDADPNIDSKTTKFIAEFHREMRTKWHTIHL >DRNTG_25284.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31583331:31583980:-1 gene:DRNTG_25284 transcript:DRNTG_25284.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKKSLFSVFNISKKTSTPNNKLQASTAAAAAGPNKVRPTEEETGPMDADPNIDSKTTKFIAEFHREMRTKWHTIHL >DRNTG_25284.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31583331:31584142:-1 gene:DRNTG_25284 transcript:DRNTG_25284.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKKSLFSVFNISKKTSTPNNKLQASTAAAAAGPNKVRPTEEETGPMDADPNIDSKTTKFIAEFHREMRTKWHTIHL >DRNTG_25284.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31583200:31583980:-1 gene:DRNTG_25284 transcript:DRNTG_25284.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKKSLFSVFNISKKTSTPNNKLQASTAAAAAGPNKVRPTEEETGPMDADPNIDSKTTKFIAEFHREMRTKWHTIHL >DRNTG_25284.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31583200:31584142:-1 gene:DRNTG_25284 transcript:DRNTG_25284.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKKSLFSVFNISKKTSTPNNKLQASTAAAAAGPNKVRPTEEETGPMDADPNIDSKTTKFIAEFHREMRTKWHTIHL >DRNTG_25284.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31583200:31583907:-1 gene:DRNTG_25284 transcript:DRNTG_25284.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKKSLFSVFNISKKTSTPNNKLQASTAAAAAGPNKVRPTEEETGPMDADPNIDSKTTKFIAEFHREMRTKWHTIHL >DRNTG_09970.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:6091920:6094792:1 gene:DRNTG_09970 transcript:DRNTG_09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYHFIGTESTIGARFFAFENKHLSSIWEIDPCAGDYVITYLNLPKVQWSLHANVTGLPYPWDTCRGLVNWGNWNDAPKTMLLSIKELISSGIRVWLYSGDVDSVCPMTSTRYFINMLRLRVKTPWHAWYINDEVGGYVVGYQGLTFVTVRGAGHLVPSYQPERALMMITSFLQGELPPDA >DRNTG_26413.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23879086:23882830:1 gene:DRNTG_26413 transcript:DRNTG_26413.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFRRIRSFSPCNNHRCDWVELKSPLLSAGRWYATNQILPDGTMIIVGGRAAPSVEFYPAVGRQLHPFPFLAEAGDRQMDNLYPFVHLLPDGHLFVFANARAVLYNPSTGQVLFEYPILEGGPRNYPSAGSSAMLALDPADGYTKAEILVCGGAQYGAFLHRDTDALAGTTCGRIIATDPDPAWAMEEMPFPRIMGDMVMLPTGDLLVINGAQAGAQGFEMASNPCLNPVLYRPNEPAGLRFMTLNPAAVPRMYHSTANLLPDGRVLVAGSNPHYFYKFKAEFPTELRLEAFSPEYLSSDRANIRPAIEAAPEKVGYGMDFEVEVVVSLPSRRGGGIEPGQRAVRHALVLAGAEAGEASGVAAGGGGG >DRNTG_26413.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23879086:23882830:1 gene:DRNTG_26413 transcript:DRNTG_26413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFRRIRSFSPCNNHRCDWVELKSPLLSAGRWYATNQILPDGTMIIVGGRAAPSVEFYPAVGRQLHPFPFLAEAGDRQMDNLYPFVHLLPDGHLFVFANARAVLYNPSTGQVLFEYPILEGGPRNYPSAGSSAMLALDPADGYTKAEILVCGGAQYGAFLHRDTDALAGTTCGRIIATDPDPAWAMEEMPFPRIMGDMVMLPTGDLLVINGAQAGAQGFEMASNPCLNPVLYRPNEPAGLRFMTLNPAAVPRMYHSTANLLPDGRVLVAGSNPHYFYKFKAEFPTELRLEAFSPEYLSSDRANIRPAIEAAPEKVGYGMDFEVEVVVSLPSRRGGGIEPGQRAVRHALVLAGAEAGEASGVAAGGGGG >DRNTG_26413.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23879086:23882830:1 gene:DRNTG_26413 transcript:DRNTG_26413.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFRRIRSFSPCNNHRCDWVELKSPLLSAGRWYATNQILPDGTMIIVGGRAAPSVEFYPAVGRQLHPFPFLAEAGDRQMDNLYPFVHLLPDGHLFVFANARAVLYNPSTGQVLFEYPILEGGPRNYPSAGSSAMLALDPADGYTKAEILVCGGAQYGAFLHRDTDALAGTTCGRIIATDPDPAWAMEEMPFPRIMGDMVMLPTGDLLVINGAQAGAQGFEMASNPCLNPVLYRPNEPAGLRFMTLNPAAVPRMYHSTANLLPDGRVLVAGSNPHYFYKFKAEFPTELRLEAFSPEYLSSDRANIRPAIEAAPEKVGYGMDFEVEVVVSLPSRRGGGIEPGQRAVRHALVLAGAEAGEASGVAAGGGGG >DRNTG_09443.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21254048:21255691:-1 gene:DRNTG_09443 transcript:DRNTG_09443.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTSSSSLSLSLCASLSFSCDRFSLQVAL >DRNTG_24657.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7148524:7148728:1 gene:DRNTG_24657 transcript:DRNTG_24657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMKVYALILVTLFFSGLAQMAYCQALAPSSSSSSSSFRMIDGKAH >DRNTG_35225.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9036035:9040476:-1 gene:DRNTG_35225 transcript:DRNTG_35225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNADVAITKEPSKHLLPEVDESSEETKAGFSTRSEIEQRQGSESNVCFHAREKG >DRNTG_35225.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9036035:9040476:-1 gene:DRNTG_35225 transcript:DRNTG_35225.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNADVAITKEPSKHLLPEVDESSEETKAGFSTRSEIEQRQGSESNVCFPLEGLKHGRKIDLRGGLGRS >DRNTG_20113.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22647786:22649052:1 gene:DRNTG_20113 transcript:DRNTG_20113.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVPAKHLGKELADLQPHLLQMKEFCGINVCGEGGEYETLTLDCPLFKNARIVLDKFQILLHSPDSIAPVGILHPIAFHLEYKKDISSLRGAGGNIDGSDKMECVHVVEGSSTESCIVRCQSSHPVPDNYSYEHLRLCISTTKRDVFSVGCW >DRNTG_20113.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22646417:22647656:1 gene:DRNTG_20113 transcript:DRNTG_20113.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVALVSGGKDSCYAMMRCIHYGHEIVALANLMPLDDSVDELDSYMYQTVGHQIITSYAECMGIPLFRRRIRGSTRQDSQQCFLQPFMMCELLCSILLMFVV >DRNTG_20113.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22648117:22649052:1 gene:DRNTG_20113 transcript:DRNTG_20113.5 gene_biotype:protein_coding transcript_biotype:protein_coding GKCVHAKRIFCFISLLNRFCGINVCGEGGEYETLTLDCPLFKNARIVLDKFQILLHSPDSIAPVGILHPIAFHLEYKKDISSLRGAGGNIDGSDKMECVHVVEGSSTESCIVRCQSSHPVPDNYSYEHLRLCISTTKRDVFSVGCW >DRNTG_20113.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22646417:22649052:1 gene:DRNTG_20113 transcript:DRNTG_20113.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVALVSGGKDSCYAMMRCIHYGHEIVALANLMPLDDSVDELDSYMYQTVGHQIITSYAECMGIPLFRRRIRGSTRHAHLSYSITPGDEVEDMFILLSEIKQYFPFINAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQTLLLDEMIEKGIVAIIIKVQIITL >DRNTG_20113.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22646417:22649052:1 gene:DRNTG_20113 transcript:DRNTG_20113.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVALVSGGKDSCYAMMRCIHYGHEIVALANLMPLDDSVDELDSYMYQTVGHQIITSYAECMGIPLFRRRIRGSTRHAHLSYSITPGDEVEDMFILLSEIKQYFPFINAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQTLLLDEMIEKGIVAIIIKVAAMGLVPAKHLGKELADLQPHLLQMKEFCGINVCGEGGEYETLTLDCPLFKNARIVLDKFQILLHSPDSIAPVGILHPIAFHLEYKKDISSLRGAGGNIDGSDKMECVHVVEGSSTESCIVRCQSSHPVPDNYSYEHLRLCISTTKRDVFSVGCW >DRNTG_27435.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28664732:28666487:-1 gene:DRNTG_27435 transcript:DRNTG_27435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQMLTRISFSFRRTSIPSLSIYFFSFFCLFLLFLSLIKGTPTLQNIIFVSLLISVFFFVVKRLAFSVTSSGTREGESIRWFIGEEDRVSRKERCEKDWEREGVEFYSNGDCYEGEFYKGKCNGSGVYNFFVKGRYEGDWVDGKYDGYGIEIWAKGSRYRGQYKQGMRHGFGVYRFYSGDCYAGEWMNGQSHGIGIQACFDGSSYVGEFKAGVKHGFGCYKFRNGDRYSGEYFGNMIHGFGIYYFDNGHCYEGSWHEGRRQGLGVYTFRNGDTMSGDWNSGVLKITLPPSDHAIQHSVQAARKAAENARLLPNVEEQMNKVVMAANRAANAARVAAIKAVQNHKDDKFNNNDQ >DRNTG_27435.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28664732:28666487:-1 gene:DRNTG_27435 transcript:DRNTG_27435.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQMLTRISFSFRRTSIPSLSIYFFSFFCLFLLFLSLIKGTPTLQNIIFVSLLISVFFFVVKRLAFSVTSSGTREGESIRWFIGEEDRVSRKERCEKDWEREGVEFYSNGDCYEGEFYKGKCNGSGVYNFFVKGRYEGDWVDGKYDGYGIEIWAKGSRYRGQYKQGMRHGFGVYRFYSGDCYAGEWMNGQSHGIGIQACFDGSSYVGEFKAGVKHGFGCYKFRNGDRYSGEYFGNMIHGFGIYYFDNGHCYEGSWHEGRRQGLGVYTFRNGDTMSGDWNSGVLKITLPPSDHAIQHSVQVYILCCIIFISLINS >DRNTG_14934.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27121054:27123475:1 gene:DRNTG_14934 transcript:DRNTG_14934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTCFERGNSPSRKFIEARLTDPNNLDKELYSVHSKPYTPFVHSKPDIWKKSANKSVIRNPFPSVPMRKSDVVSNVGSIDDTGSNDHRSITDLPRALVSEILQRLEAKELGIVSCVSTFLNDIASDHHG >DRNTG_14934.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27121054:27123475:1 gene:DRNTG_14934 transcript:DRNTG_14934.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELTCFERGNSPSRKFIEARLTDPNNLDKELYSVHSKPYTPFVHSKPDIWKKSANKSVIRNPFPSVPMRKSDVVSNVGSIDDTGSNDHRSITDLPRALVSEILQRLEAKELGIVSCVSTFLNDIASDHHG >DRNTG_30696.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1804419:1805666:-1 gene:DRNTG_30696 transcript:DRNTG_30696.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINYPMSFEVSRYSSQRVSHCGVLEFVAEEGIIYMPQWMMQNMGIQDADLVCIKIANLPKCTFIKLQPHTKDFLELTNTKAVLEKTLRSFTCLTLGDTIKLLHNNKEHFFDIIECNPASAVCINETDCEVDFVTPLDYKEPENPQPVFTSSKAPIRGPKGRQWS >DRNTG_15059.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5517910:5518960:-1 gene:DRNTG_15059 transcript:DRNTG_15059.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTIVEESGGQYHVLLIIADGQVTRSVNTEYGQLSSQEKETIEVIVKASKFPLSIVLVGVGDGP >DRNTG_14234.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:911018:912922:1 gene:DRNTG_14234 transcript:DRNTG_14234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSWTDYLGLTVGGTLSNAGISGQAFRHGPQIANVAELEVVTGDAERVVCSPSHRSDLFFAALGGLGQFGIITRARIPLYRAPPLVRWIRVVYRRVEEYVADAEWLVKGGVFDYVEGFAFVNSGDDPANGWESVPIGPGSVFEPDLIPPGSGPLLYCLELALHHDLNEDVEKKVEEMLRQLKYIKGLKFTMEVSYVEFLSRVNLSESESRANGSWHAPHPWLNILISASNIVDFDHNVLQPILKDGIGGPMLIYPLLKSKWDPRMSVVLPDSEVFYLVALLRCNQPYPVGPPAEELIAQNREILNLCESNGYDHKLYLPHYESQTVWADHFGRTWARFVDRKARYDSRAILAPGQLIFSRAHPPFSS >DRNTG_32501.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:8520:10279:1 gene:DRNTG_32501 transcript:DRNTG_32501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSWCVFLVVFLGLVAAGLGQDQDRDEEPGLVMNFYKDSCPQAEDIITEQVKLLYKRHKNTAFSWLRNIFHDCAVQSCDASLLLDTTRRTLSEKETDRSFGLRNFRYLDTIKEAVERECPEVVSCADILVLSARDGIVALGGPYIPLKTGRRDGRKSRSDVVEQYLPDHNESISSVLDKFGAMGIDTPGVVALLGAHSVGRTHCVKLVQRLYPEVDPALNPDHVPHMLKKCPDSIPDPKAVQYVRNDRGTPMKLDNNYYRNILDSKGLLLVDHQLAHDPLTRPFVKKMAKNQDYFFKEFGRAITILSENNPLTGSKGEIRKQCNVANKHH >DRNTG_09214.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4589676:4594630:-1 gene:DRNTG_09214 transcript:DRNTG_09214.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAVIVSWVTQDEPGSNTVLYGTSEDNFEFHAKGKLTQYTYYNYTSGFIHHCTLRNLKFSTKYYYKVGIGNTTRHFWFTTPPEVGPDVPYTFGLIGDLGQSFDSNSTLSHYESNPIRGETVLFVGDLSYADNYPNHDNVRWDTWGRFVERSVAYQPWIWTTGNHEIDFAPEIGETQPFKPFRHRYTVPYKASGSTAPFWYSIKRASAHIIVLSSYSAYAKYTPQYKWLEAEFPKVNRSETPWLIVLMHSPWYNSYNYHYMEGETMRVMYEPWFVQNKVDIVFAGHVHAYERSMTGLLQYTSTSVTVEILKDLQQT >DRNTG_09214.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4589676:4593879:-1 gene:DRNTG_09214 transcript:DRNTG_09214.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPWYNSYNYHYMEGETMRVMYEPWFVQNKVDIVFAGHVHAYERSMTGLLQYTSTSVTVEILKDLQQT >DRNTG_09214.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4589676:4595230:-1 gene:DRNTG_09214 transcript:DRNTG_09214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHLNRFLVLTVLLGLFLRFCHGGVTSTFVRKVEKTIDMPLDADVFKVPSGYNAPQQVHITQGNMDGSAVIVSWVTQDEPGSNTVLYGTSEDNFEFHAKGKLTQYTYYNYTSGFIHHCTLRNLKFSTKYYYKVGIGNTTRHFWFTTPPEVGPDVPYTFGLIGDLGQSFDSNSTLSHYESNPIRGETVLFVGDLSYADNYPNHDNVRWDTWGRFVERSVAYQPWIWTTGNHEIDFAPEIGETQPFKPFRHRYTVPYKASGSTAPFWYSIKRASAHIIVLSSYSAYAKYTPQYKWLEAEFPKVNRSETPWLIVLMHSPWYNSYNYHYMEGETMRVMYEPWFVQNKVDIVFAGHVHAYERSMTGLLQYTSTSVTVEILKDLQQT >DRNTG_09214.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4589676:4592365:-1 gene:DRNTG_09214 transcript:DRNTG_09214.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPWYNSYNYHYMEGETMRVMYEPWFVQNKVDIVFAGHVHAYERSMTGLLQYTSTSVTVEILKDLQQT >DRNTG_09214.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4589676:4590598:-1 gene:DRNTG_09214 transcript:DRNTG_09214.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPWYNSYNYHYMEGETMRVMYEPWFVQNKVDIVFAGHVHAYERSMTGLLQYTSTSVTVEILKDLQQT >DRNTG_16607.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31311066:31311392:-1 gene:DRNTG_16607 transcript:DRNTG_16607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLDFVISEAKKYGLSLILSLVNNFYGKRQYVEWAMQRGENLTSEDDFYTNYLVKTFYKNHVKVIIIIIIIIIEIDDVHTIRYAHGKYGIQCVHVLFNY >DRNTG_05386.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000240.1:84376:85298:1 gene:DRNTG_05386 transcript:DRNTG_05386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGVVPTQVYGSSSSSSRRNDVSGGSQAEIIELKETVNLLRQQVQENEQRFQQQISILTQQLANQNQNIATNQATIRRQYYRLSKKLVRFFVVMVKSRHD >DRNTG_14816.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:10023314:10032784:-1 gene:DRNTG_14816 transcript:DRNTG_14816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRTLTIWQKNQVYGNWSSQIYYLLIFGGFFVFRKGYPSIQRPDTSFSDP >DRNTG_14816.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:10023314:10032784:-1 gene:DRNTG_14816 transcript:DRNTG_14816.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRTLTIWQKNQVYGNWSSQIYYLLIFGGFFVFRKGYPSIQRPDTSFSDP >DRNTG_19879.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17475775:17483372:-1 gene:DRNTG_19879 transcript:DRNTG_19879.1 gene_biotype:protein_coding transcript_biotype:protein_coding TDDKNCALPHHAHCQGTSSKLEDSQLSI >DRNTG_23503.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:816490:820102:1 gene:DRNTG_23503 transcript:DRNTG_23503.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVIEDKLGAIALMQVSLLLLGTWPAFLTLLEQRGRLPQHTYLDYSLTNFFAAVFIALTFGQLGDAKPNFFTQLSQDNWPSVLFAVAGGIMLSLGNLVTQYALAFVGLSVTLVITASLTVVIGTTMNYFLDNRINRAEILFPGVACFLVAVCLGAAVHSSNAADNAQKLGGFSSQHKDQSSPDMEQIHLLRDTPGYNDQESNKDAESGISYTPDDVLKAEKVEPGSAKFLLQLEHRRSIKVLGSDIFLGLSMAFFAGICFSLFSPAFNLATNDQWHTLKEGVPHLVVYTAFFYFSISCFVLATILNIYFLYNPMLGLPKSSFTAYLKDCKGRHWALLAGLICGFGNGFQFMSGQAAGYAAADSVQALPLVSTFWGVVLFGEYRKSSKKTYILLASMLFMFAVAVAVLMASSGQRKTSFNS >DRNTG_23503.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:815974:820102:1 gene:DRNTG_23503 transcript:DRNTG_23503.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVIEDKLGAIALMQVSLLLLGTWPAFLTLLEQRGRLPQHTYLDYSLTNFFAAVFIALTFGQLGDAKPNFFTQLSQDNWPSVLFAVAGGIMLSLGNLVTQYALAFVGLSVTLVITASLTVVIGTTMNYFLDNRINRAEILFPGVACFLVAVCLGAAVHSSNAADNAQKLGGFSSQHKDQSSPDMEQIHLLRDTPGYNDQESNKDAESGISYTPDDVLKAEKVEPGSAKFLLQLEHRRSIKVLGSDIFLGLSMAFFAGICFSLFSPAFNLATNDQWHTLKEGVPHLVVYTAFFYFSISCFVLATILNIYFLYNPMLGLPKSSFTAYLKDCKGRHWALLAGLICGFGNGFQFMSGQAAGYAAADSVQALPLVSTFWGVVLFGEYRKSSKKTYILLASMLFMFAVAVAVLMASSGQRKTSFNS >DRNTG_11051.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20004772:20019668:1 gene:DRNTG_11051 transcript:DRNTG_11051.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDADIPEKLAQLKGQIATLEKREERYKAVFAEKISVFRRACCSLFGYKIVMDDQQRPNGIPITRFTLQSIYAQSDDEKLEFDYESGNASILVNEYTSQPEIFHQVEIFVKKWNSIPAFTANITMESFNKRTLS >DRNTG_11051.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20004772:20020561:1 gene:DRNTG_11051 transcript:DRNTG_11051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADIPEKLAQLKGQIATLEKREERYKAVFAEKISVFRRACCSLFGYKIVMDDQQRPNGIPITRFTLQSIYAQSDDEKLEFDYESGNASILVNEYTSQPEIFHQVEIFVKKWNSIPAFTANITMESFNKRTLS >DRNTG_16403.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4415930:4419909:-1 gene:DRNTG_16403 transcript:DRNTG_16403.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPGSNTIDLLSATVGLQNSGAFFDLSGAGITGPVTLKGLSSLDLSTKEWTYQIGLKGEQSRQFEDFGASHWVSLSTIPTNQPLIWYKTTFDAPKGNIPIAMDFTGMGKGEAWVNGQSIGRYWPKNIAPQSGCSSCNYGGTFKNYKCLKNCGKPSQQLYHVPRSFIKLKSNTLVLFEEMGGDPTQISFAIKEIASVCAHVSELHPGPVDAWNSSRPQNGGRLGPILALECSYPDQLISSIRFASFGTPHGACGNFNHGKCSSANALAVVQQACIGLKNCKVGVSAKSFGDPCKGVTKSLAVEAVCS >DRNTG_16403.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4415930:4423930:-1 gene:DRNTG_16403 transcript:DRNTG_16403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPDLIEKSKAGGLDVIETSVFWNIHEPVHHQYDFEGRKDLVRFVKTVAEAGLLVHLRIGPYICAEWNYGGFPLWLHFIPGIKFRTDNEPFKAEMQKFTAKIVDMMKKENLYASQGGPVILSQIENEYGHVESAYGSAAKKYINWLVSMASSLDTSVPWVMCQQADAPDPIINTCNGFYCDQFTPNSNKKPKLWTENWTGWFLSFGGAVPYRPVQDIAFAVARFFQRGGTFQNYYMYHGGTNFGHTSGGPFIATSYDYDAPLDEYGIIRQPKWGHLKELHKAIKLCEKALVATDPTSSFLAPNVEAHVYNTTGCAAFLANIGIQSDVTVIFNGKSFHLPAWSVSILPDCRNVVFNTAQINSQTATLEIKYQNLKHKRANESDHSSEALQSEWTFVNEPIGISKSDAFAKPRLLEQINTTADVSDYLWYSISIEISGGEPFLFNGTLSNLYVESLGHVLQVFVNKKYSGSGIGNSRNPKVTLEKTIMFSPGSNTIDLLSATVGLQNSGAFFDLSGAGITGPVTLKGLSSLDLSTKEWTYQIGLKGEQSRQFEDFGASHWVSLSTIPTNQPLIWYKTTFDAPKGNIPIAMDFTGMGKGEAWVNGQSIGRYWPKNIAPQSGCSSCNYGGTFKNYKCLKNCGKPSQQLYHVPRSFIKLKSNTLVLFEEMGGDPTQISFAIKEIASVCAHVSELHPGPVDAWNSSRPQNGGRLGPILALECSYPDQLISSIRFASFGTPHGACGNFNHGKCSSANALAVVQQACIGLKNCKVGVSAKSFGDPCKGVTKSLAVEAVCS >DRNTG_23228.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6726777:6728110:1 gene:DRNTG_23228 transcript:DRNTG_23228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEPMNKKRRRKRRGNTSPPLPLPLPLPLPSSSPSSEQEQEEEQEQEEVGLVGFHLKPSASSKSHTIQPLGNLLLLSGSSSNARDPGLGSLRLLPDDLLLDILTLLPAPDLARLAAVSKPLYVFSTHEPLWRNLVLSDLGGDFLFNGSWRSTYSGLVFPHPSISIRDFYSDYLFQSWLCANLDMRPEWLCTDNIDRRRGISVDEFIARFEEPNRPVLLEGCIDAWPAISKWAPDWLIRTCGDKRFAVGPVKMTMERYFRYAAAAREERPLYLFDPRFAEKAPELGSDYEVPVFFREDLFGVLGEQRPDYRWIIIGPAGSGSSFHVDPNSTSAWNAVIKGSKKWIMFPPEVVPPGVHPSPDGAEVACPVSIMEWFMNFYSVCRKWKKKPVECVCKAGEVVFVPNGWWHLVINLEDSIAITHNFVS >DRNTG_23157.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1846474:1848969:1 gene:DRNTG_23157 transcript:DRNTG_23157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGPTLEVNDGDTLVVRVVNRVRYNVTIHWHGVRQMRTGWADGPEFITQCPIRPGGAYTYRFTIQGQVGTLWWHAHSSWLRATVYGALIIHPKKGSSYPFSLPKREVPILLGEWWDTNPIDVVNAATRTGAAPNISDAFTINGQPGDLYKCSSKETTVIPVTAGETNLFRFINSGLNTELFVSIAGHTMTVVGADASYTKPFKTSVLMLGPGQTTDVLVNTDQPPARYYIAARAYSSAQGLPFDNTTTTAIVQYKNTCCSGQPGSQPILPTLPAFNDTNSATAFGAGIKSPAPVKIPSPVNENLFFTIGLGLLECPSGRRCGGPNNTIFAASMNNFSFVLPSTVSLLQAHQLRVSNVFSADFPAAPPVQFDYTGANISRALWQPIKSTKVYKAQIRFCCPNCPPRHKHCCGGKPPYTSPWI >DRNTG_21674.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10944570:10945134:1 gene:DRNTG_21674 transcript:DRNTG_21674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFYLCPIQVLFASVQERRKHPSRKPKDRVQGVCNGSGMELSCQIIRYWKRKDTYGVFAELVDQEELLDYHDVIEHPMVFGQ >DRNTG_33970.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2346270:2346612:-1 gene:DRNTG_33970 transcript:DRNTG_33970.1 gene_biotype:protein_coding transcript_biotype:protein_coding PEIQPPQSQPSPPMNTGPEFFTAFDARLGASTSKKKITVEIMKSQMMII >DRNTG_34010.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31631554:31634564:-1 gene:DRNTG_34010 transcript:DRNTG_34010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSPDSLDPKKVKGKIVICLRDDGDYRVAMGISVLEAGGVGMVVVNDENFGDNIRVDPHFLPATHITCGSNFCSKNTVWSETCTANGRLHIKRAKSAN >DRNTG_34010.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31631554:31634564:-1 gene:DRNTG_34010 transcript:DRNTG_34010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSPDSLDPKKVKGKIVICLRDDGDYRVAMGISVLEAGGVGMVVVNDENFGDNIRVDPHFLPATHITCGSNFCSKNTVWSETCTANGRLHIKRAKSHHTTNPQGQTNAINIEKKNLKCFLPAN >DRNTG_34010.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31633324:31634564:-1 gene:DRNTG_34010 transcript:DRNTG_34010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSPDSLDPKKVKGKIVICLRDDGDYRVAMGISVLEAGGVGMVVVNDENFGDNIRVDPHFLPATHITYNAGTVLHSYIKSTK >DRNTG_34010.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31631554:31634564:-1 gene:DRNTG_34010 transcript:DRNTG_34010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSPDSLDPKKVKGKIVICLRDDGDYRVAMGISVLEAGGVGMVVVNDENFGDNIRVDPHFLPATHITCGSNFCSKNTVWSETCTANGRLHIKRAKSHHTTNPQGQTNAINIEKKNLKCFLPAN >DRNTG_22141.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5827189:5837517:-1 gene:DRNTG_22141 transcript:DRNTG_22141.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTAGSIIEAVLKKDPHEFEFIQSVQEVVHSLEPVLVKNSQYIHILERLLEPERTIIFRVPWVDDKGETHVNRGFRVQFSQVLGPCRGGLRFHPSMNLSVAKFLGFEQTMKNALSPYKLGGAGGGSDFDPRGKSENEIMRFCQSFMDELYRYLGPDQDLPAEDMGVGPREMGFLFGQYRRLAGHFQGNFTGPKIFWSGSSLRTEATGYGLVFFARLILLEMNKELKGLRCVISGTGKIALHVLEKLLSCGAIPITVSDSKGYLLDEDGFDYVKFSLLRDIKSQQRSLRDYIKSYPRARYFEDSKPWNERCDIAFPCASQNEIGQPEALGLVNSGCRILIEGSNMPCTSQAIDALRKAKVLVAPAKAAGAGGIAVGELELNHEYNLMQWSPEDFETKLQDSMKQTYERSLKHANDYGFLKDSPEALVHGGNICAFLNLAQAMTDQGCV >DRNTG_22141.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5827189:5837517:-1 gene:DRNTG_22141 transcript:DRNTG_22141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPGRGIEMNSTMDEINLLRQAQRHHLVVRGIGEEIDLEIGPGEDDPTFATTLVRVPTQDTGVVEEQEDHKQLIMSSQAPSEDQTELVKLPQAKRKKKVVKKWREEWADTYKWAYVDVHEGTTRIFCSVCREYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKGLPAVERPVFVKDLMSKTAGSIIEAVLKKDPHEFEFIQSVQEVVHSLEPVLVKNSQYIHILERLLEPERTIIFRVPWVDDKGETHVNRGFRVQFSQVLGPCRGGLRFHPSMNLSVAKFLGFEQTMKNALSPYKLGGAGGGSDFDPRGKSENEIMRFCQSFMDELYRYLGPDQDLPAEDMGVGPREMGFLFGQYRRLAGHFQGNFTGPKIFWSGSSLRTEATGYGLVFFARLILLEMNKELKGLRCVISGTGKIALHVLEKLLSCGAIPITVSDSKGYLLDEDGFDYVKFSLLRDIKSQQRSLRDYIKSYPRARYFEDSKPWNERCDIAFPCASQNEIGQPEALGLVNSGCRILIEGSNMPCTSQAIDALRKAKVLVAPAKAAGAGGIAVGELELNHEYNLMQWSPEDFETKLQDSMKQTYERSLKHANDYGFLKDSPEALVHGGNICAFLNLAQAMTDQGCV >DRNTG_34258.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28142993:28148157:-1 gene:DRNTG_34258 transcript:DRNTG_34258.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNQVRSLEYGMDIVVGTPGRIIDLVQRGALDLSEVKFVVLDEADQMLAVGFQEDVECILSYLPARRQCMLFSATMPSWVNDLSRKYLRNPLVIDLVGETDQKLADGISLYSVASTSLNKQNLLPTLISRYAEGGKSIIFTRTKIDAESLSRSMRSIIGSRPLHGNMQQMHRDKTLAAFRSGKFNVLVATDVAARGLDIPNVDLVVHFEMPNTSEIFVHRSGRTGRAGNKGTAILMFTERERHAVRTIERELGCKFKELHGITGGSDRRIDSSHPESNFDEDYEEDDHASFKRKVNDRNATRNFEFNPRNNERFRRSSPRNFEPSNARYSQGRGSRIHKFDDFENNRLNNRNSNFKSSSPRNFEPNNARYSQSRGSRIPKFDDSDNNLLNNRNSNFKSWQSSSRHRTQGREAHATKRNISGHSKQRYERNNDSEYDALLDLFKDQY >DRNTG_34258.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28142993:28148157:-1 gene:DRNTG_34258 transcript:DRNTG_34258.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALVSLPRCPPPLINPKPYPLLPSSSSIISISISISRSPNGVCLCKRGGPLAIRAVLQLDHAPLPSLEISKLGISDQIVDALSRRGITELFPIQRAVLEPAMEGRDMIGRAITGSGKTLAFGIPILNNIIQNKNQNRRKQVPSALVLAPTRELARQVQREFKVSAPGLSSTCLYGGIPIMNQVRSLEYGMDIVVGTPGRIIDLVQRGALDLSEVKFVVLDEADQMLAVGFQEDVECILSYLPARRQCMLFSATMPSWVNDLSRKYLRNPLVIDLVGETDQKLADGISLYSVASTSLNKQNLLPTLISRYAEGGKSIIFTRTKIDAESLSRSMRSIIGSRPLHGNMQQMHRDKTLAAFRSGKFNVLVATDVAARGLDIPNVDLVVHFEMPNTSEIFVHRSGRTGRAGNKGTAILMFTERERHAVRTIERELGCKFKELHGITGGSDRRIDSSHPESNFDEDYEEDDHASFKRKVNDRNATRNFEFNPRNNERFRRSSPRNFEPSNARYSQGRGSRIHKFDDFENNRLNNRNSNFKSSSPRNFEPNNARYSQSRGSRIPKFDDSDNNLLNNRNSNFKSWQSSSRHRTQGREAHATKRNISGHSKQRYERNNDSEYDALLDLFKDQY >DRNTG_34258.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28142993:28148157:-1 gene:DRNTG_34258 transcript:DRNTG_34258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALVSLPRCPPPLINPKPYPLLPSSSSIISISISISRSPNGVCLCKRGGPLAIRAVLQLDHAPLPSLEISKLGISDQIVDALSRRGITELFPIQRAVLEPAMEGRDMIGRAITGSGKTLAFGIPILNNIIQNKNQNRRKQVPSALVLAPTRELARQVQREFKVSAPGLSSTCLYGGIPIMNQVRSLEYGMDIVVGTPGRIIDLVQRGALDLSEVKFVVLDEADQMLAVGFQEDVECILSYLPARRQCMLFSATMPSWVNDLSRKYLRNPLVIDLVGETDQKLADGISLYSVASTSLNKQNLLPTLISRYAEGGKSIIFTRTKIDAESLSRSMRSIIGSRPLHGNMQQMHRDKTLAAFRSGKFNVLVATDVAARGLDIPNVDLVVHFEMPNTSEIFVHRSGRTGRAGNKGTAILMFTERERHAVRTIERELGCKFKELHGITGGSDRRIDSSHPESNFDEDYEEDDHASFKRKVNDRNATRNFEFNPRNNERFRRSSPRNFEPSNARYSQGRGSRIHKFDDFENNRLNNRNSNFKSSSPRNFEPNNARYSQSRGSRIPKFDDSDNNLLNNRNSNFKSWQSSSRHRTQGREAHATKRNISGHSKQRYERNNDSEYDALLDLFKDQY >DRNTG_13840.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16895014:16895990:-1 gene:DRNTG_13840 transcript:DRNTG_13840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQWLDSQPINSVLYLSLGSFLSVSVAQMDEIVTGLHESGIRFLVVARGDTSRVQAKMGSTGLVVQWCDQLKVLCHPSIGGFFTHCGWNSTIECVFAGKPMLAFPLFWDQPLNCKLIANVWKVGLNVKEEIEDGNLVGCKAIAKVAKKLMDVEGIECKEMRKKAVELSEAVHRAVEEGGSSYRGISAFVEDVSGTV >DRNTG_13840.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16895014:16922512:-1 gene:DRNTG_13840 transcript:DRNTG_13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWLDSQPINSVLYLSLGSFLSVSVAQMDEIVTGLHESGIRFLVVARGDTSRVQAKMGSTGLVVQWCDQLKVLCHPSIGGFFTHCGWNSTIECVFAGKPMLAFPLFWDQPLNCKLIANVWKVGLNVKEEIEDGNLVGCKAIAKVAKKLMDVEGIECKEMRKKAVELSEAVHRAVEEGGSSYRGISAFVEDVSGTV >DRNTG_00639.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000049.1:40969:42181:1 gene:DRNTG_00639 transcript:DRNTG_00639.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKFPNLKCTVVQVKALEQELKKIYKLLKGFTELSGFGWDYEKNMVEATEEVWAPLLERNKEARRWHQKPFPYFTALQEIYEEGRRSRDIDYYANVSMDTPSPSIPAPNDHIQSLSTPEIETEDPDFTQVEPPCSQPNISQPQNSCSASRQRVGDEVQRRKKERKRKNVQESFLEQYIDMRRVETDRYIDAMKMNRVEEKYTIGECMAAFNVLCDQFPDEDFVKITTLFKDKDNREIFLSLINEERKLLWLRLMIN >DRNTG_00639.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000049.1:40969:42181:1 gene:DRNTG_00639 transcript:DRNTG_00639.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKFPNLKCTVVQVKALEQELKKIYKLLKGFTELSGFGWDYEKNMVEATEEVWAPLLERNKEARRWHQKPFPYFTALQEIYEGDMQKEDALVI >DRNTG_00639.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000049.1:40969:42181:1 gene:DRNTG_00639 transcript:DRNTG_00639.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKFPNLKCTVVQVKALEQELKKIYKLLKGFTELSGFGWDYEKNMVEATEEVWAPLLERNKEARRWHQKPFPYFTALQEIYEGKNVLFTNLCFKDLFIYFLIICTFITQGDMQKEDALVI >DRNTG_00639.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000049.1:40969:42181:1 gene:DRNTG_00639 transcript:DRNTG_00639.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKFPNLKCTVVQVKALEQELKKIYKLLKGFTELSGFGWDYEKNMVEATEEVWAPLLERNKEARRWHQKPFPYFTALQEIYEDMQKEDALVI >DRNTG_26806.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20328237:20334157:1 gene:DRNTG_26806 transcript:DRNTG_26806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEEVMVLGFTEEVPSTPGILNKMLRKIKWARRHHRKCSKAVGDAREPNELDEPLLGSECANMFKNFLQSLQNFLRHPEKTYGRVKFPHTRVFALRVHLENAQGHGLAPVKYRVILTRPWLISIWACEFLQRLAVYPESTQGRGLAPMATL >DRNTG_07306.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3411747:3416233:-1 gene:DRNTG_07306 transcript:DRNTG_07306.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELQKRRALDEPVEKLEATLKINVLGTITLTHLLAPHMLKRGRGAFVVMSSAAGKCPAPGQAIYSASKHALNGYFHTLRSELYQKGIAVTVVCPGPIETSKVSEVSSSGQKGSSEKRVSSERCAELTIVAATHGLKEAWISYQPVLFIMYLVQYMPTISYWFMDKIGQNRLDAAAAKGNMYSWKLFFGQKKKST >DRNTG_07306.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3411747:3416233:-1 gene:DRNTG_07306 transcript:DRNTG_07306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMILFLSLIFLAAIVVFIFKFATSDGDFTLLSKGPAKREEVQGKVVWITGASRGIGEILAKQFASLGAKLILSARNEAELVRVKNEISSSHPSVGVEVLPLDLGSDEDLVRKAVEKAESFFGGAGVHYMVHNAALERPKRRALDEPVEKLEATLKINVLGTITLTHLLAPHMLKRGRGAFVVMSSAAGKCPAPGQAIYSASKHALNGYFHTLRSELYQKGIAVTVVCPGPIETSKVSEVSSSGQKGSSEKRVSSERCAELTIVAATHGLKEAWISYQPVLFIMYLVQYMPTISYWFMDKIGQNRLDAAAAKGNMYSWKLFFGQKKKST >DRNTG_06787.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19809983:19810862:-1 gene:DRNTG_06787 transcript:DRNTG_06787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKCLYGNNILAHSDDELADHNYRAKVSDFGMARTGSDRINGHVLTRVLGTTGYLAPEYSSTGKLTTKSDVYSYGVVLLELLTGRKPVDLKRPPEEDILVSWVAAIAAVCVQSEAEYRPQMTDVVQSLIPLVKN >DRNTG_12882.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20689054:20691965:1 gene:DRNTG_12882 transcript:DRNTG_12882.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGAAMGGVVHTVVAPIERAKLLLQTQESNASVLGLGGRPRRRRFRGMLDCIARTVRDEGVMSLWRGNGTSVLRYYPSVALNFSLKDLYRAMLKGGEASQNSHIFSGAAANFIAGSAAGCTTLVIIYPLDIAHTRLAADLGSTDSREFRGICHFLRAIYKKDGIRGIYRGLPASLHGMIVHRGLYFGGFDTAKEYMTTSDHSKMEEAPLWKRWLVAQAVTTSAGLISYPLDTVRRRMMMQSGMQELMYKNTLDCWKKIYMMEGVASFYRGAVSNMFRSTGAAAILVLYDEVKKFMKWGGL >DRNTG_12882.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20689054:20691817:1 gene:DRNTG_12882 transcript:DRNTG_12882.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGAAMGGVVHTVVAPIERAKLLLQTQESNASVLGLGGRPRRRRFRGMLDCIARTVRDEGVMSLWRGNGTSVLRYYPSVALNFSLKDLYRAMLKGGEASQNSHIFSGAAANFIAGSAAGCTTLVIIYPLDIAHTRLAADLGSTDSREFRGICHFLRAIYKKDGIRGIYRGLPASLHGMIVHRGLYFGGFDTAKEYMTTSDHSKMEEAPLWKRWLVAQAVTTSAGLISYPLDTVRRRMMMQSGMQELMYKNTLDCWKKIYMMEGVASFYRGAVSNMFRSTGAAAILVLYDEVKKFMKWGGL >DRNTG_12882.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20688926:20691713:1 gene:DRNTG_12882 transcript:DRNTG_12882.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDEDEDEGTRRRRRRRRRNGEEGWAGEFQRDMLAGAAMGGVVHTVVAPIERAKLLLQTQESNASVLGLGGRPRRRRFRGMLDCIARTVRDEGVMSLWRGNGTSVLRYYPSVALNFSLKDLYRAMLKGGEASQNSHIFSGAAANFIAGSAAGCTTLVIIYPLDIAHTRLAADLGSTDSREFRGICHFLRAIYKKDGIRGIYRGLPASLHGMIVHRGLYFGGFDTAKEYMTTSDHSKMEEAPLWKRWLVAQAVTTSAGLISYPLDTVRRRMMMQSGMQELMYKNTLDCWKKIYMMEGVASFYRGAVSNMFRSTGAAAILVLYDEVKKFMKWGGL >DRNTG_12882.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20690900:20691817:1 gene:DRNTG_12882 transcript:DRNTG_12882.12 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGGEASQNSHIFSGAAANFIAGSAAGCTTLVIIYPLDIAHTRLAADLGSTDSREFRGICHFLRAIYKKDGIRGIYRGLPASLHGMIVHRGLYFGGFDTAKEYMTTSDHSKMEEAPLWKRWLVAQAVTTSAGLISYPLDTVRRRMMMQSGMQELMYKNTLDCWKKIYMMEGVASFYRGAVSNMFRSTGAAAILVLYDEVKKFMKWGGL >DRNTG_12882.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20688832:20691817:1 gene:DRNTG_12882 transcript:DRNTG_12882.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDEDEDEGTRRRRRRRRRNGEEGWAGEFQRDMLAGAAMGGVVHTVVAPIERAKLLLQTQESNASVLGLGGRPRRRRFRGMLDCIARTVRDEGVMSLWRGNGTSVLRYYPSVALNFSLKDLYRAMLKGGEASQNSHIFSGAAANFIAGSAAGCTTLVIIYPLDIAHTRLAADLGSTDSREFRGICHFLRAIYKKDGIRGIYRGLPASLHGMIVHRGLYFGGFDTAKEYMTTSDHSKMEEAPLWKRWLVAQAVTTSAGLISYPLDTVRRRMMMQSGMQELMYKNTLDCWKKIYMMEGVASFYRGAVSNMFRSTGAAAILVLYDEVKKFMKWGGL >DRNTG_12882.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20688832:20691965:1 gene:DRNTG_12882 transcript:DRNTG_12882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDEDEDEGTRRRRRRRRRNGEEGWAGEFQRDMLAGAAMGGVVHTVVAPIERAKLLLQTQESNASVLGLGGRPRRRRFRGMLDCIARTVRDEGVMSLWRGNGTSVLRYYPSVALNFSLKDLYRAMLKGGEASQNSHIFSGAAANFIAGSAAGCTTLVIIYPLDIAHTRLAADLGSTDSREFRGICHFLRAIYKKDGIRGIYRGLPASLHGMIVHRGLYFGGFDTAKEYMTTSDHSKMEEAPLWKRWLVAQAVTTSAGLISYPLDTVRRRMMMQSGMQELMYKNTLDCWKKIYMMEGVASFYRGAVSNMFRSTGAAAILVLYDEVKKFMKWGGL >DRNTG_12882.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20689101:20691965:1 gene:DRNTG_12882 transcript:DRNTG_12882.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGAAMGGVVHTVVAPIERAKLLLQTQESNASVLGLGGRPRRRRFRGMLDCIARTVRDEGVMSLWRGNGTSVLRYYPSVALNFSLKDLYRAMLKGGEASQNSHIFSGAAANFIAGSAAGCTTLVIIYPLDIAHTRLAADLGSTDSREFRGICHFLRAIYKKDGIRGIYRGLPASLHGMIVHRGLYFGGFDTAKEYMTTSDHSKMEEAPLWKRWLVAQAVTTSAGLISYPLDTVRRRMMMQSGMQELMYKNTLDCWKKIYMMEGVASFYRGAVSNMFRSTGAAAILVLYDEVKKFMKWGGL >DRNTG_12882.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20689101:20689394:1 gene:DRNTG_12882 transcript:DRNTG_12882.14 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGAAMGGVVHTVVAPIERAKLLLQTQESNASVLGLGGRPRRRRFRGMLDCIARTVRDEGVMSLWRGNGTSVLRYYPSVALNFSLKVFDL >DRNTG_12882.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20688926:20691817:1 gene:DRNTG_12882 transcript:DRNTG_12882.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDEDEDEGTRRRRRRRRRNGEEGWAGEFQRDMLAGAAMGGVVHTVVAPIERAKLLLQTQESNASVLGLGGRPRRRRFRGMLDCIARTVRDEGVMSLWRGNGTSVLRYYPSVALNFSLKDLYRAMLKGGEASQNSHIFSGAAANFIAGSAAGCTTLVIIYPLDIAHTRLAADLGSTDSREFRGICHFLRAIYKKDGIRGIYRGLPASLHGMIVHRGLYFGGFDTAKEYMTTSDHSKMEEAPLWKRWLVAQAVTTSAGLISYPLDTVRRRMMMQSGMQELMYKNTLDCWKKIYMMEGVASFYRGAVSNMFRSTGAAAILVLYDEVKKFMKWGGL >DRNTG_12882.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20690900:20691713:1 gene:DRNTG_12882 transcript:DRNTG_12882.13 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGGEASQNSHIFSGAAANFIAGSAAGCTTLVIIYPLDIAHTRLAADLGSTDSREFRGICHFLRAIYKKDGIRGIYRGLPASLHGMIVHRGLYFGGFDTAKEYMTTSDHSKMEEAPLWKRWLVAQAVTTSAGLISYPLDTVRRRMMMQSGMQELMYKNTLDCWKKIYMMEGVASFYRGAVSNMFRSTGAAAILVLYDEVKKFMKWGGL >DRNTG_12882.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20688926:20691965:1 gene:DRNTG_12882 transcript:DRNTG_12882.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDEDEDEGTRRRRRRRRRNGEEGWAGEFQRDMLAGAAMGGVVHTVVAPIERAKLLLQTQESNASVLGLGGRPRRRRFRGMLDCIARTVRDEGVMSLWRGNGTSVLRYYPSVALNFSLKDLYRAMLKGGEASQNSHIFSGAAANFIAGSAAGCTTLVIIYPLDIAHTRLAADLGSTDSREFRGICHFLRAIYKKDGIRGIYRGLPASLHGMIVHRGLYFGGFDTAKEYMTTSDHSKMEEAPLWKRWLVAQAVTTSAGLISYPLDTVRRRMMMQSGMQELMYKNTLDCWKKIYMMEGVASFYRGAVSNMFRSTGAAAILVLYDEVKKFMKWGGL >DRNTG_12882.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20689101:20691817:1 gene:DRNTG_12882 transcript:DRNTG_12882.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGAAMGGVVHTVVAPIERAKLLLQTQESNASVLGLGGRPRRRRFRGMLDCIARTVRDEGVMSLWRGNGTSVLRYYPSVALNFSLKDLYRAMLKGGEASQNSHIFSGAAANFIAGSAAGCTTLVIIYPLDIAHTRLAADLGSTDSREFRGICHFLRAIYKKDGIRGIYRGLPASLHGMIVHRGLYFGGFDTAKEYMTTSDHSKMEEAPLWKRWLVAQAVTTSAGLISYPLDTVRRRMMMQSGMQELMYKNTLDCWKKIYMMEGVASFYRGAVSNMFRSTGAAAILVLYDEVKKFMKWGGL >DRNTG_12882.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20689101:20691713:1 gene:DRNTG_12882 transcript:DRNTG_12882.11 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGAAMGGVVHTVVAPIERAKLLLQTQESNASVLGLGGRPRRRRFRGMLDCIARTVRDEGVMSLWRGNGTSVLRYYPSVALNFSLKDLYRAMLKGGEASQNSHIFSGAAANFIAGSAAGCTTLVIIYPLDIAHTRLAADLGSTDSREFRGICHFLRAIYKKDGIRGIYRGLPASLHGMIVHRGLYFGGFDTAKEYMTTSDHSKMEEAPLWKRWLVAQAVTTSAGLISYPLDTVRRRMMMQSGMQELMYKNTLDCWKKIYMMEGVASFYRGAVSNMFRSTGAAAILVLYDEVKKFMKWGGL >DRNTG_12882.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20689054:20691713:1 gene:DRNTG_12882 transcript:DRNTG_12882.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGAAMGGVVHTVVAPIERAKLLLQTQESNASVLGLGGRPRRRRFRGMLDCIARTVRDEGVMSLWRGNGTSVLRYYPSVALNFSLKDLYRAMLKGGEASQNSHIFSGAAANFIAGSAAGCTTLVIIYPLDIAHTRLAADLGSTDSREFRGICHFLRAIYKKDGIRGIYRGLPASLHGMIVHRGLYFGGFDTAKEYMTTSDHSKMEEAPLWKRWLVAQAVTTSAGLISYPLDTVRRRMMMQSGMQELMYKNTLDCWKKIYMMEGVASFYRGAVSNMFRSTGAAAILVLYDEVKKFMKWGGL >DRNTG_09082.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6004041:6006039:1 gene:DRNTG_09082 transcript:DRNTG_09082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKPLHRDRLLRWSRSWIRVRVRVWDGWKGGRGEPGEETEEEVDGGGGGDDEDEDGGDDEETGVVGSGGSVRVWISKNLSLSLLNIIVGRIGTETPTNEAGEVTSHLQGMFNRTIRLLEAGIKPVYVFDGQPPDLKKQELAKRYSKTEDASKELTAAIEVALLYCS >DRNTG_18898.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2158687:2163037:-1 gene:DRNTG_18898 transcript:DRNTG_18898.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGLFEGGTGARLGGEIPFSSPAMAAAGAGGNVSQPHHRSFTSSALSLALRTNSERNPMAAIGAAAGALDSINVKDDEIESRSGSDNLEGNSGDDLDQDNPRKRKRYHRHTPQQIQELEALFKECPHPDEKQRLELSKRLCLESRQVKFWFQNRRTQMKTQIERHENTILRQENDKLRAENMSMREAMRNPICSNCGSPAMIGESSLEEQHLRIENARLKEELDRVCTLAGKFLGRPVSSTSGPNASLELGVGNNGFAGLNPVAALPEFTSVVSSPRIDRSIERSMFLELALGAMDELVKMAQMEEPLWLPSLDCGVKEALNFDEYHQVFPRCIGMKPSGFVSEASRETGMVIINSLALVETLMDATRWADMFPGIIARAAITDIISSGMGGTRNGALQLMRAELQVLSPLVPIREVNFLRFCKQHAEGIWAVVDVSIDGIRESNPSSVSCRRLPSGCLVQDMPNGYCKVTWVEHAEYDETRVHRLYRGLLQSGMGFGAQRWLATLQRQCECLAILMSSSIISRDNSAITPSGRRSMLKLAQRMTANFCAGICTSSMHKWSKLCAPGVGEDVRVLTRQSLDDPGEPPGVVLSAATSVWLAASPHRVFDFLRDERIRSEWDILSNGGPMQEMAHIAKGHDNGNAVSLLRAATGGTNQSGMLILQETCMDASGSMVVYAPVDIPAMHVVMTGGDSTYVALLPSGFIIIPDSPRNGNATARSGSPTVRGGNAGCLLTVAFQILVNSLPTAKLTVESVETVNNLIACTVQKIKAAVQCES >DRNTG_18898.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2158687:2162614:-1 gene:DRNTG_18898 transcript:DRNTG_18898.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGLFEGGTGARLGGEIPFSSPAMAAAGAGGNVSQPHHRSFTSSALSLALRTNSERNPMAAIGAAAGALDSINVKDDEIESRSGSDNLEGNSGDDLDQDNPRKRKRYHRHTPQQIQELEALFKECPHPDEKQRLELSKRLCLESRQVKFWFQNRRTQMKTQIERHENTILRQENDKLRAENMSMREAMRNPICSNCGSPAMIGESSLEEQHLRIENARLKEELDRVCTLAGKFLGRPVSSTSGPNASLELGVGNNGFAGLNPVAALPEFTSVVSSPRIDRSIERSMFLELALGAMDELVKMAQMEEPLWLPSLDCGVKEALNFDEYHQVFPRCIGMKPSGFVSEASRETGMVIINSLALVETLMDATRWADMFPGIIARAAITDIISSGMGGTRNGALQLMRAELQVLSPLVPIREVNFLRFCKQHAEGIWAVVDVSIDGIRESNPSSVSCRRLPSGCLVQDMPNGYCKVTWVEHAEYDETRVHRLYRGLLQSGMGFGAQRWLATLQRQCECLAILMSSSIISRDNSAITPSGRRSMLKLAQRMTANFCAGICTSSMHKWSKLCAPGVGEDVRVLTRQSLDDPGEPPGVVLSAATSVWLAASPHRVFDFLRDERIRSEWDILSNGGPMQEMAHIAKGHDNGNAVSLLRAATGGTNQSGMLILQETCMDASGSMVVYAPVDIPAMHVVMTGGDSTYVALLPSGFIIIPDSPRNGNATARSGSPTVRGGNAGCLLTVAFQILVNSLPTAKLTVESVETVNNLIACTVQKIKAAVQCES >DRNTG_18898.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2158687:2163037:-1 gene:DRNTG_18898 transcript:DRNTG_18898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAGGNVSQPHHRSFTSSALSLALRTNSERNPMAAIGAAAGALDSINVKDDEIESRSGSDNLEGNSGDDLDQDNPRKRKRYHRHTPQQIQELEALFKECPHPDEKQRLELSKRLCLESRQVKFWFQNRRTQMKTQIERHENTILRQENDKLRAENMSMREAMRNPICSNCGSPAMIGESSLEEQHLRIENARLKEELDRVCTLAGKFLGRPVSSTSGPNASLELGVGNNGFAGLNPVAALPEFTSVVSSPRIDRSIERSMFLELALGAMDELVKMAQMEEPLWLPSLDCGVKEALNFDEYHQVFPRCIGMKPSGFVSEASRETGMVIINSLALVETLMDATRWADMFPGIIARAAITDIISSGMGGTRNGALQLMRAELQVLSPLVPIREVNFLRFCKQHAEGIWAVVDVSIDGIRESNPSSVSCRRLPSGCLVQDMPNGYCKVTWVEHAEYDETRVHRLYRGLLQSGMGFGAQRWLATLQRQCECLAILMSSSIISRDNSAITPSGRRSMLKLAQRMTANFCAGICTSSMHKWSKLCAPGVGEDVRVLTRQSLDDPGEPPGVVLSAATSVWLAASPHRVFDFLRDERIRSEWDILSNGGPMQEMAHIAKGHDNGNAVSLLRAATGGTNQSGMLILQETCMDASGSMVVYAPVDIPAMHVVMTGGDSTYVALLPSGFIIIPDSPRNGNATARSGSPTVRGGNAGCLLTVAFQILVNSLPTAKLTVESVETVNNLIACTVQKIKAAVQCES >DRNTG_31232.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001676.1:39716:43230:1 gene:DRNTG_31232 transcript:DRNTG_31232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKEKPHQHPQIHNLKQPKREDVPALMLREKKRKKESFVKKMWREIHGRKKKGTKLHHPTSRGGKRPRTTGPSSTPDEPVFKLSHHRERYDRLKNKNHLEHCSTLIGDMWRTLGLQVK >DRNTG_16471.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2584165:2586930:1 gene:DRNTG_16471 transcript:DRNTG_16471.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKDLEWQFAAEEKSNGQPSEVPDSQSNWDNIHPHVVPMEYNFPFCQTQLAQINSSIESEKDNNPSLQNEALVHATSCSWQYTDLLPDPMEGKMQKQSLRKPSYLDAPNAYFVQEPYQVFPSGHVSEYDVGNAKMDLLGCEMETPTISESSSIASTLTNDDLLIVVSFQQLQDVLCQLNYKAKQCIRDGLHRMATRTEKGNCFMNSYDDTADHTPRKGANDIEFSEADINLFDRSVALLLFQNSSVPDTNAVCCHTELIS >DRNTG_16471.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2580987:2586930:1 gene:DRNTG_16471 transcript:DRNTG_16471.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLNFDVEQTQQSMREKIEYMNGNFHSVVDDHIVPHWSGEPSLYASYDPHSKSPQDPLNDVGRNIHSMDVTELVLLGKEETNFSFWSDQSLVMQECFPDVNNDCVFPPDQILQVDGDYKDLDMKFRNSPMFEQQSSAAVDNIPWTSSTSNIVCSSSGTLNLGSHSESRKSGIMNTVPSQNSTNTDPLSKDCLLTVDLGKDQSLDSQSHSSLNDAANRRDSEEKINMKFKDLEWQFAAEEKSNGQPSEVPDSQSNWDNIHPHVVPMEYNFPFCQTQLAQINSSIESEKDNNPSLQNEALVHATSCSWQYTDLLPDPMEGKMQKQSLRKPSYLDAPNAYFVQEPYQVFPSGHVSEYDVGNAKMDLLGCEMETPTISESSSIASTLTNDDLLIVVSFQQLQDVLCQLNYKAKQCIRDGLHRMATRTEKGNCFMNSYDDTADHTPRKGANDIEFSEADINLFDRSVALLLFQNSSVPDTNAVCCHTELIS >DRNTG_16471.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2582873:2585109:1 gene:DRNTG_16471 transcript:DRNTG_16471.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNFHSVVDDHIVPHWSGEPSLYASYDPHSKSPQDPLNDVGRNIHSMDVTELVLLGKEETNFSFWSDQSLVMQECFPDVNNDCVFPPDQILQVDGDYKDLDMKFRNSPMFEQQSSAAVDNIPWTSSTSNIVCSSSGTLNLGSHSESRKSGIMNTVPSQNSTNTDPLSKDCLLTVDLGKDQSLDSQSHSSLNDAANRRDSEEKINMKFKDLEWQFAAEEKSNGQPSEVPDSQSNWDNIHPHVVPMEYNFPFCQTQLAQINSSIESEKDNNPSLQNEALVHATSCSWQYTDLLPDPMEGKMQKQSLRKPSYLDAPNAYFVQEPYQVFPSGHVSEYDVGNAKMDLLGCEMETPTISESSSIASTLTNDDLLIVVSFQQLQDVLCQLNYKAKQCIRDGLHRMATRTEKGNCFMNSYDDTADHTPRKGANDIE >DRNTG_16471.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2580987:2586930:1 gene:DRNTG_16471 transcript:DRNTG_16471.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLNFDVEQTQQSMREKIEYMNGNFHSVVDDHIVPHWSGEPSLYASYDPHSKSPQDPLNDVGRNIHSMDVTELVLLGKEETNFSFWSDQSLVMQECFPDVNNDCVFPPDQILQVDGDYKDLDMKFRNSPMFEQQSSAAVDNIPWTSSTSNIVCSSSGTLNLGSHSESRKSGIMNTVPSQNSTNTDPLSKDCLLTVDLGKDQSLDSQSHSSLNDAANRRDSEEKINMKFKDLEWQFAAEEKSNGQPSEVPDSQSNWDNIHPHVVPMEYNFPFCQTQLAQINSSIESEKDNNPSLQNEALVHATSCSWQYTDLLPDPMEGKMQKQSLRKPSYLDAPNAYFVQEPYQVFPSGHVSEYDVGNAKMDLLGCEMETPTISESSSIASTLTNDDLLIVVSFQQLQDVLCQLNYKAKQCIRDGLHRMATRTEKGNCFMNSYDDTADHTPRKGANDIEFSEADINLFDRSVALLLFQNSSVPDTNAVCCHTELIS >DRNTG_16471.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2583280:2586930:1 gene:DRNTG_16471 transcript:DRNTG_16471.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFEQQSSAAVDNIPWTSSTSNIVCSSSGTLNLGSHSESRKSGIMNTVPSQNSTNTDPLSKDCLLTVDLGKDQSLDSQSHSSLNDAANRRDSEEKINMKFKDLEWQFAAEEKSNGQPSEVPDSQSNWDNIHPHVVPMEYNFPFCQTQLAQINSSIESEKDNNPSLQNEALVHATSCSWQYTDLLPDPMEGKMQKQSLRKPSYLDAPNAYFVQEPYQVFPSGHVSEYDVGNAKMDLLGCEMETPTISESSSIASTLTNDDLLIVVSFQQLQDVLCQLNYKAKQCIRDGLHRMATRTEKGNCFMNSYDDTADHTPRKGANDIEFSEADINLFDRSVALLLFQNSSVPDTNAVCCHTELIS >DRNTG_16904.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4935263:4948092:1 gene:DRNTG_16904 transcript:DRNTG_16904.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGAAASKAARICFNSHCKEAKPEAAPVRKGWRLRSGEYADLCDRCCGNYEQGTFCETFHSDDAGWRNCESCRKRVHCGCIVSNQAYLLLDAGGVECIACVRKALVSAPNQMRPSPSFLPLQQASERPKDLPTKSWNQMSSYPGQWRQMPKIWNLSNQTELQRRISYEFDRPSSSEKLISGVRPSICVPEKKADDLSERMAFSSLSHHVVRDRYVNGNNGLDSVSAFNTYHQDERNVDGTPDSGHPRGETDPLSVRKGAMPPPSSVSSSGVNFEVYPGSSVSTPVPPVYVKDESPSPMVGLSAPYPLSRDSNDQSRLLANQPAGQTTQSMGKQLFLTPNNVNDSNADSNFQMRNGRPKVDSRGRNHLLSRYCPRITSEELQQISGDSNSVITPLFEKILSASDAGRIGRLVLPKKCAEAYFPPISQPEGLPLKVQDATGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLLAGDIVTFSRIDPEGRLVMGFRKASSISTEQENQPNRNGNSFATPSDANNRNNAIETIANTSMRPSKGATEPKISINAEDQANQSNFDKVGFIQKDGPGSKASPGPSKRKGSALGSKSKRLRIENEDSIELKLTWEEAQELLRPSANPASNVVVIDGHEIEEFEEAPVIGKPTFFSTDLSGEIRQWAQCENCSKWRKLPANALLPFRWTCSDNKWDQERASCASPQELSKEEFAALLPTNNGVSKKSKTKVENETVEVSDGLDTLANLAILGEGEALPSSSQATTKHPRHRPGCTCIVCIQPPSGKGPKHQQTCTCNVCLTVKRRFRTLMLRRERRQSEKEAEKKQQLIQSSGMLPQVVDQTMPANNNLPSATATPEKLVNEDVTLEEESEQKPVMTAAAASLSPVKATIDLNIQPEREEEPSQPRDLTDIPNSRGWLPRTDTEGEAAE >DRNTG_07244.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9349340:9353721:-1 gene:DRNTG_07244 transcript:DRNTG_07244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAKKKPIAGKAMKRRKKKKKKEADGVAAITVATTVLVSIVIAKTPIAAAAGDSSNNISPSKKKKKRREKKGKKPGPDIVPPGNPIQDEHD >DRNTG_12136.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11583520:11586201:-1 gene:DRNTG_12136 transcript:DRNTG_12136.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGASTSPDSPEALDHFDRLPDPVLLIVLNRIGDVKALGRCSVVSRRFHALVPQVDSVVVRVDCVISDDLSGATDKPRGVFSHLARLVLSGLVKPLHALGHILGPQSSSSSSSSSSSSSSSSSYQARHSSSSEVLHHSPAEVLKNFKEIRRLKIELPSGELGIEDGVLLKWNAAFSSTLDSCVILGASSISDKNPNPNSTPVSTTSDEGGSIPESFYNNGALKLRVVWTISSLIAASARHYLLQPIISDHETLESLVLTDADGQGMLTMDREQLQDLRLRPVMPSGSSQRTLVPALNMRLWYAPHLDLPGGVVLTGATLVAIRPSAAEQQQQQQPPGEMVGGFDGYSWVSSAFDEPYRTAAGLLVRRRTYCLEMNSF >DRNTG_12136.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11583520:11586201:-1 gene:DRNTG_12136 transcript:DRNTG_12136.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGASTSPDSPEALDHFDRLPDPVLLIVLNRIGDVKALGRCSVVSRRFHALVPQVDSVVVRVDCVISDDLSGATDKPRGVFSHLARLVLSGLVKPLHALGHILGPQSSSSSSSSSSSSSSSSSYQARHSSSSEVLHHSPAEVLKNFKEIRRLKIELPSGELGIEDGVLLKWNAAFSSTLDSCVILGASSISDKNPNPNSTPVSTTSDEGGSIPESFYNNGALKLRVVWTISSLIAASARHYLLQPIISDHETLESLVLTDADGQGMLTMDREQLQDLRLRPVMPSGSSQRTLVPALNMRLWYAPHLDLPGGVVLTGATLVAIRPSAAEQQQQQQPPGEMVGGFDGYSWVSSAFDEPYRTAAGLLVRRRTYCLEMNSF >DRNTG_12136.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11583520:11586201:-1 gene:DRNTG_12136 transcript:DRNTG_12136.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGASTSPDSPEALDHFDRLPDPVLLIVLNRIGDVKALGRCSVVSRRFHALVPQVDSVVVRVDCVISDDLSGATDKPRGVFSHLARLVLSGLVKPLHALGHILGPQSSSSSSSSSSSSSSSSSYQARHSSSSEVLHHSPAEVLKNFKEIRRLKIELPSGELGIEDGVLLKWNAAFSSTLDSCVILGASSISDKNPNPNSTPVSTTSDEGGSIPESFYNNGALKLRVVWTISSLIAASARHYLLQPIISDHETLESLVLTDADGQGMLTMDREQLQDLRLRPVMPSGSSQRTLVPALNMRLWYAPHLDLPGGVVLTGATLVAIRPSAAEQQQQQQPPGEMVGGFDGYSWVSSAFDEPYRTAAGLLVRRRTYCLEMNSF >DRNTG_12136.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11583520:11586201:-1 gene:DRNTG_12136 transcript:DRNTG_12136.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGASTSPDSPEALDHFDRLPDPVLLIVLNRIGDVKALGRCSVVSRRFHALVPQVDSVVVRVDCVISDDLSGATDKPRGVFSHLARLVLSGLVKPLHALGHILGPQSSSSSSSSSSSSSSSSSYQARHSSSSEVLHHSPAEVLKNFKEIRRLKIELPSGELGIEDGVLLKWNAAFSSTLDSCVILGASSISDKNPNPNSTPVSTTSDEGGSIPESFYNNGALKLRVVWTISSLIAASARHYLLQPIISDHETLESLVLTDADGQGMLTMDREQLQDLRLRPVMPSGSSQRTLVPALNMRLWYAPHLDLPGGVVLTGATLVAIRPSAAEQQQQQQPPGEMVGGFDGYSWVSSAFDEPYRTAAGLLVRRRTYCLEMNSF >DRNTG_12136.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11583520:11586201:-1 gene:DRNTG_12136 transcript:DRNTG_12136.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGASTSPDSPEALDHFDRLPDPVLLIVLNRIGDVKALGRCSVVSRRFHALVPQVDSVVVRVDCVISDDLSGATDKPRGVFSHLARLVLSGLVKPLHALGHILGPQSSSSSSSSSSSSSSSSSYQARHSSSSEVLHHSPAEVLKNFKEIRRLKIELPSGELGIEDGVLLKWNAAFSSTLDSCVILGASSISDKNPNPNSTPVSTTSDEGGSIPESFYNNGALKLRVVWTISSLIAASARHYLLQPIISDHETLESLVLTDADGQGMLTMDREQLQDLRLRPVMPSGSSQRTLVPALNMRLWYAPHLDLPGGVVLTGATLVAIRPSAAEQQQQQQPPGEMVGGFDGYSWVSSAFDEPYRTAAGLLVRRRTYCLEMNSF >DRNTG_04816.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2324350:2326430:-1 gene:DRNTG_04816 transcript:DRNTG_04816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPRHVDARVAINMDPITPRLFDNQYFKNLQRGMGLFNSDQVLHADLRSRPTVDLWAENSEAFEKAFVEAITKLGRVGVKTGSRGNIRRDCGVLN >DRNTG_05545.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16619084:16620446:1 gene:DRNTG_05545 transcript:DRNTG_05545.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQTISNLLWCKMTEKPRSSPSLPLKTRISISFLSTLTDSACRSDGTVNRRLLNLFDFRSSPNPRFSSGVRTADITVDPSRNLWFRLFVPSSPSGNRLPVIVFFHGGGFAFLSPSAFAYDAVCRRFARKLPAIILSVNYRLSPEHRHPAPYIDGLDTLRFLDSSELDRFDPDASAIADLSNVFLAGDSAGGNIAHHVTRLWAAEQDSKPWARVRISGLVAIQPFLGSEDRTPAEIRLEGAPLVSTKRTDWLWKAFLPEGSDRDHPTANVFGPKDDAELGEWFPATMVVIGGWDPLQDLQRRYAEEMRKRGKEVKLLEYADAIHAFYIFPELKLSTEFIGEMKSFVETHSKKQEINSNI >DRNTG_05545.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16619084:16622981:1 gene:DRNTG_05545 transcript:DRNTG_05545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQTISNLLWCKMTEKPRSSPSLPLKTRISISFLSTLTDSACRSDGTVNRRLLNLFDFRSSPNPRFSSGVRTADITVDPSRNLWFRLFVPSSPSGNRLPVIVFFHGGGFAFLSPSAFAYDAVCRRFARKLPAIILSVNYRLSPEHRHPAPYIDGLDTLRFLDSSELDRFDPDASAIADLSNVFLAGDSAGGNIAHHVTRLWAAEQDSKPWARVRISGLVAIQPFLGSEDRTPAEIRLEGAPLVSTKRTDWLWKAFLPEGSDRDHPTANVFGPKDDAELGEWFPATMVVIGGWDPLQDLQRRYAEEMRKRGKEVKLLEYADAIHAFYIFPELKLSTEFIGEMKSFVETHSKKQEINSNI >DRNTG_08020.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30127739:30130045:-1 gene:DRNTG_08020 transcript:DRNTG_08020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFEYLPNGSLSDHLYDTDKELKIKLEFKQRLSVAIGAAKGLSHLHSIVPPLVHRNFKTSNVLVDENFIAKVADAGIIRFIQNIEDVGTSEGSHHQNIFRDPEIKEDRTFSGASDVYSFGVFLLELVTGQIAAQLNFIDTNASLIQWVKEHLNSGDLIDRRLAGSLTTEGMTALIKLTLQCLSLTGSRRPTMDVVGVELHRILETEMTLTTIMGDGTAIVTLGSQLFA >DRNTG_08020.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30127739:30130045:-1 gene:DRNTG_08020 transcript:DRNTG_08020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSILFAVILGGIAAGVAFTVVATALVWSYKHHFLNSGNKNSETGSSDPPSALGELNTGCRVPSNGTRPLRDGNEARPRIFTLEELEQATKKFNECNLVGHGSFGLVYKGLLCDGTVVAIKRRVGTLHQEFVKEVNCLSVISHRNLVSLIGYCQEGGLQMLVFEYLPNGSLSDHLYDTDKELKIKLEFKQRLSVAIGAAKGLSHLHSIVPPLVHRNFKTSNVLVDENFIAKVADAGIIRFIQNIEDVGTSEGSHHQNIFRDPEIKEDRTFSGASDVYSFGVFLLELVTGQIAAQLNFIDTNASLIQWVKEHLNSGDLIDRRLAGSLTTEGMTALIKLTLQCLSLTGSRRPTMDVVGVELHRILETEMTLTTIMGDGTAIVTLGSQLFA >DRNTG_08020.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30127739:30130045:-1 gene:DRNTG_08020 transcript:DRNTG_08020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFHRSLQKYFEMSILFAVILGGIAAGVAFTVVATALVWSYKHHFLNSGNKNSETGSSDPPSALGELNTGCRVPSNGTRPLRDGNEARPRIFTLEELEQATKKFNECNLVGHGSFGLVYKGLLCDGTVVAIKRRVGTLHQEFVKEVNCLSVISHRNLVSLIGYCQEGGLQMLVFEYLPNGSLSDHLYDTDKELKIKLEFKQRLSVAIGAAKGLSHLHSIVPPLVHRNFKTSNVLVDENFIAKVADAGIIRFIQNIEDVGTSEGSHHQNIFRDPEIKEDRTFSGASDVYSFGVFLLELVTGQIAAQLNFIDTNASLIQWVKEHLNSGDLIDRRLAGSLTTEGMTALIKLTLQCLSLTGSRRPTMDVVGVELHRILETEMTLTTIMGDGTAIVTLGSQLFA >DRNTG_08020.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30127739:30129619:-1 gene:DRNTG_08020 transcript:DRNTG_08020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSILFAVILGGIAAGVAFTVVATALVWSYKHHFLNSGNKNSETGSSDPPSALGELNTGCRVPSNGTRPLRDGNEARPRIFTLEELEQATKKFNECNLVGHGSFGLVYKGLLCDGTVVAIKRRVGTLHQEFVKEVNCLSVISHRNLVSLIGYCQEGGLQMLVFEYLPNGSLSDHLYDTDKELKIKLEFKQRLSVAIGAAKGLSHLHSIVPPLVHRNFKTSNVLVDENFIAKVADAGIIRFIQNIEDVGTSEGSHHQNIFRDPEIKEDRTFSGASDVYSFGVFLLELVTGQIAAQLNFIDTNASLIQWVKEHLNSGDLIDRRLAGSLTTEGMTALIKLTLQCLSLTGSRRPTMDVVGVELHRILETEMTLTTIMGDGTAIVTLGSQLFA >DRNTG_08020.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30127739:30130246:-1 gene:DRNTG_08020 transcript:DRNTG_08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFEYLPNGSLSDHLYDTDKELKIKLEFKQRLSVAIGAAKGLSHLHSIVPPLVHRNFKTSNVLVDENFIAKVADAGIIRFIQNIEDVGTSEGSHHQNIFRDPEIKEDRTFSGASDVYSFGVFLLELVTGQIAAQLNFIDTNASLIQWVKEHLNSGDLIDRRLAGSLTTEGMTALIKLTLQCLSLTGSRRPTMDVVGVELHRILETEMTLTTIMGDGTAIVTLGSQLFA >DRNTG_21347.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20488449:20495106:-1 gene:DRNTG_21347 transcript:DRNTG_21347.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSQVAIDNFISFTGSTEVAAIQKLECPVLNSGSCDCTPRTSMVRPWILLLLLLLAISPPSHSSTVHNNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNARNSYPAQVFNNENHMLNLYGDNVEVDYRGYEVTVENFLRVLTGRHETAVPRSKRLLSDEGSHILVYMTGHGGDEFLKFQDNEELQSHDLADAVKQMKEKHRFKELLIMVDTCQAATLFSQLQSPGVLAIGSSKKGENSYSHHLDSDIGVSVVDRFTYYTLAFFEKLNMYSNASLSSLFNSYDSHLLMSTASYREDLYRRPLSEVPVTNFFGSVMKTFHTDFAYTGFSMEPEAHISLVSETDSRRTLGSENAQTEAANHNAKDNCPFHAWLKVLEDKLDNENAEKLVLYGLGSMVLLLAISTLLSV >DRNTG_21347.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20488449:20495106:-1 gene:DRNTG_21347 transcript:DRNTG_21347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPWILLLLLLLAISPPSHSSTVHNNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNARNSYPAQVFNNENHMLNLYGDNVEVDYRGYEVTVENFLRVLTGRHETAVPRSKRLLSDEGSHILVYMTGHGGDEFLKFQDNEELQSHDLADAVKQMKEKHRFKELLIMVDTCQAATLFSQLQSPGVLAIGSSKKGENSYSHHLDSDIGVSVVDRFTYYTLAFFEKLNMYSNASLSSLFNSYDSHLLMSTASYREDLYRRPLSEVPVTNFFGSVMKTFHTDFAYTGFSMEPEAHISLVSETDSRRTLGSENAQTEAANHNAKDNCPFHAWLKVLEDKLDNENAEKLVLYGLGSMVLLLAISTLLSV >DRNTG_08769.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18453869:18454213:-1 gene:DRNTG_08769 transcript:DRNTG_08769.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKRKSFAEATMSPATIAVISLFALLIRVLVSIGPHSGEASPPKYGDYEAQRHWMEITLHTPTSEWYRNTSSNDLSYWGLDYPPLSAYQSFAHGLIINSSLPSSLA >DRNTG_00174.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2860994:2861623:-1 gene:DRNTG_00174 transcript:DRNTG_00174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTISPESRKRTPRGSTTSAAGIAMAKLSPSAIWAFVFYSLFFSLSRF >DRNTG_28944.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001461.1:45666:46803:1 gene:DRNTG_28944 transcript:DRNTG_28944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGFFIFTNHRLILLAGSVGVSCASALDAELLAILFALDHCSLSGWTPSNLYTDCRSAVHLLQHFNNITAWRCSAAIQSINRIVHLWPDFYFDQISRDFNSFADRLAHFGSSNPQVSLFAQGRDRPRWLEDLCKAFCFSF >DRNTG_03808.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18274864:18289297:-1 gene:DRNTG_03808 transcript:DRNTG_03808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAPPASEPIVTEAAPAASADLESSSPRVHEHLARLEAVVATILENQARILEHLDRIQQTLDEETWQQMKDFFMVRFGGVTDKIIIADLANTRQNKDEKVIDYVMRWRKLSIKCEQPLNQVQAVGLLVGNIDNWIAPFLSSSDIRTFQDLIS >DRNTG_16376.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:927188:928303:-1 gene:DRNTG_16376 transcript:DRNTG_16376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLLFMESQDMTPESYNLAGKKASTNVLWTAMMTSFMLTSLVEQANLGLKSDKGFKNVALNAVARAVSARFNLTVSDTHVNNRLRHAHPGEEIYINKPIQDYDEMAIVCGNDQATRSFARTGAQSARSLGARMDQSPTSSVIDLDNQTQRFYDFDDFAPSETPTNDTPTTATSSAKKGKKRAKHANADQEIMQDVKFELGRIANALETDKSKFFSKELLDEIMTLSAHYSEYDLGRAYDYLLQNLPLANGFMNKTHTLWCIWMDDFLDRLRDDRQA >DRNTG_12525.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23177293:23181104:-1 gene:DRNTG_12525 transcript:DRNTG_12525.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSEAQITPSSPPSGLTERESNHFSLSCKLEIFVALGETLNLDVDKGAEFAVQRRWRWEWKSLAEMEFIGELGT >DRNTG_00625.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22542868:22544293:1 gene:DRNTG_00625 transcript:DRNTG_00625.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAGPNYVGHCAFRGLALYPEGQPFKQKVNYIYGRGLRAGYVPVSTTKVYWFICFNRPTPGPKITDPALLKKEAIMLVSNWPRELLDVIHKTPDDVVIKTPLVDRWLWPGLSPPATTDNVVVVGDAWHPMTPNLGQGACCALEDAIVLSRKLAGAIKNGPESIDKALRDYSLERWPSDFPINNPCQPCGGAIAVGQSSCVCIQKQHHDSKAGETGTLLGAHQF >DRNTG_00625.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22542453:22544293:1 gene:DRNTG_00625 transcript:DRNTG_00625.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAGPNYVGHCAFRGLALYPEGQPFKQKVNYIYGRGLRAGYVPVSTTKVYWFICFNRPTPGPKITDPALLKKEAIMLVSNWPRELLDVIHKTPDDVVIKTPLVDRWLWPGLSPPATTDNVVVVGDAWHPMTPNLGQGACCALEDAIVLSRKLAGAIKNGPESIDKALRDYSLERWPSDFPINNPCQPCGGAIAVGQSSCVCIQKQHHDSKAGETGTLLGAHQF >DRNTG_00625.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22540998:22544293:1 gene:DRNTG_00625 transcript:DRNTG_00625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASALLFSSSPSHSGSRRQAIRLGFTLKAGLGTDARREEVVIVGGGIAGLATALSLHRLGVRSLVLEQGESLRTGGTSLTLFKNGWRVLDAIGVGDELRSQFIPIQGMVMRSEDGRELRSFMFEEEAPGQEVRAVERRLLLETLASRLPAGAISFSSRVRKIEKQGMDETLLELDNGNKILAKIVIGCDGVRSPIAKWMGFAGPNYVGHCAFRGLALYPEGQPFKQKVNYIYGRGLRAGYVPVSTTKVYWFICFNRPTPGPKITDPALLKKEAIMLVSNWPRELLDVIHKTPDDVVIKTPLVDRWLWPGLSPPATTDNVVVVGDAWHPMTPNLGQGACCALEDAIVLSRKLAGAIKNGPESIDKALRDYSLERWPSDFPINNPCQPCGGAIAVGQSSCVCIQKQHHDSKAGETGTLLGAHQF >DRNTG_00625.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22542868:22544787:1 gene:DRNTG_00625 transcript:DRNTG_00625.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAGPNYVGHCAFRGLALYPEGQPFKQKVNYIYGRGLRAGYVPVSTTKVYWFICFNRPTPGPKITDPALLKKEAIMLVSNWPRELLDVIHKTPDDVVIKTPLVDRWLWPGLSPPATTDNVVVVGDAWHPMTPNLGQGACCALEDAIVLSRKLAGAIKNGPESIDKALRDYSLERWPSDFPINNPCQPCGGAIAVGQSSCVCIQKQHHDSKAGETGTLLGAHQF >DRNTG_27774.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24362017:24363491:-1 gene:DRNTG_27774 transcript:DRNTG_27774.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDALSAKRGREETDESFESPEPKRLRESLFLDIFEDDGDSGERDPAIQDLATVMKSLEEEIGLPSTVPPPKSLSPVVEVDPGQPDLGFLLEASDDELGLPPTVLSSSEDGGEVDAAAETAVAEEDRGFGAQIWGFDDEIFDNGLAFGGGRMEDEDAVWYDGGLFDYSDEQSRPSDLPDVSWRSESLPAV >DRNTG_00153.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26164572:26164806:1 gene:DRNTG_00153 transcript:DRNTG_00153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGILETFQKMAKERGVIWEEMLARLKKNNQWRVEVYK >DRNTG_09935.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18344079:18347588:-1 gene:DRNTG_09935 transcript:DRNTG_09935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPAMDAGEISGPAIARLLASCNTSARGRAVRLFVSWIPTACPPPSDAELLKIWKGLFYALWHADKPPAQLSLASRLASLIDSLPVDLSSRYLSALLVTLRREWSGIDFLRLDKFYALVRRTLRSVFALLRSRSWDLDLTVNLVNILSEKSLLSSDKHQAQGINYHFAEIFLDELKEFLPLPLQALDELFKPFFLILEKSPDKILVNKVKSNVFNPLLETGEQFLELAKKGESVEMGSNVEKFGKIAFLLGFSKKIFELASRPETLQGNRKILFGLHDGFVKLEKNVEESRVMISAESFDNWNEGQAVQPRETEVEVGGENRPLKKRKKLKEVLVSNGNEITEVAMGNDIDDVESLKMKRKKKKDKSGKVSDGVVKKKKKLKNNRLSESARESVVYEPTSEKDDVAAGMNGNGDAGEAFNFDDTVISNLQKQFEKVAAEVGLVNGNTSSADAVETLEVNTVPKKRKRGKNVDMQAAGSSIDASGGNTVGKSGEKSAKKVRFSMKSNLVWKPHSPLPPQSLRLPPSATPRGSALKKGCASWTH >DRNTG_27032.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2548384:2550634:1 gene:DRNTG_27032 transcript:DRNTG_27032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRDIILPSFFYNATTSTTSSTTISSPTTFLSFFVAFSFHGNNITTTLQWRSHANLMFLFLLFLFQISSFLLCKLFNSLYSKRLLLLSQRDFVEKNECEDGYISCGSISVEVIGEEDHVFFSDFSEYDSPLDDLADCDALSTPSACPSPIISSSENAAFDVEEVFNGEEANSDEKKYIIIEAHKEKKQQNYGESLNGDYSTSKSSIEWRSSAILRDSETDYPFSSSSRRSSSRWESYTMFRKYDEEMLFFDRISEQKLNETEYLRTFKVKRSISQRIVHKLTPLRKHSPEGSIRNPYEELESAYVSQICLTWEALNWNYSHFQRSINVNQCMKNSGCPARVAQQFQQFEVLLHRFIENEPFEHGRRPEVYARMRICSPKLLQVPEFKDLEGDERKEEVSSRVSYTEFLVILEDCIKTFMSFLEADKETPCEMFRAFIIKKTISVDQNHLFLIKRAHRKKKMRIKELERSRKCFKKRKAKYPQGMEALMVLIDLKVVSRVLRMAGISEEQLHWCEEKLNKVEVLDGKVQRDSSPLFFPVH >DRNTG_20001.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3221642:3224194:-1 gene:DRNTG_20001 transcript:DRNTG_20001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSGGRLIFDSSTDSHGRMLILGGGSQIFHAGVDDGVGKRQRPFFTLPDELLEEEYYDEQLPEKKRRLTPEQVRLLERSFEAENKLEPERKTELARQLGLQPRQVAVWFQNRRARWKTKQLERDFDRLKASYDSLLADRDALRQDNERLRSQVATLSEKLQGKEVAPGIVDVKQDVHAAEIIEEKVPVKAEDRLSIGSGGSAVVDGERNQLVDSSGESYFHDEYQGGCCIVGEEDDGSDDGCSYAVFANQHHPEPDPDQLGWCFFWN >DRNTG_15520.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10063339:10066063:-1 gene:DRNTG_15520 transcript:DRNTG_15520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPHPIPLQCLQTPIPPSLGSFRQDIGPLMAQFLQFLATTKSPFWINAYPYFAYKDDPLRVPLNYVLMNPNNGMVDPYTKLHYDNMLYAQVDAVTFAMARMGYGGIEVRVSETGWPSKGDVSEVGATRENARVYNMNLVMRQFRNEGTPLRPKQRLEVYLFALFNEDLKPGPTSERNYGLYEPDGTMTYNLGLTTLKSDVPAASLSSSSASQGQKRKESGMLWILICTLIFLKLY >DRNTG_15520.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10063339:10066575:-1 gene:DRNTG_15520 transcript:DRNTG_15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPHPIPLQCLQTPIPPSLGSFRQDIGPLMAQFLQFLATTKSPFWINAYPYFAYKDDPLRVPLNYVLMNPNNGMVDPYTKLHYDNMLYAQVDAVTFAMARMGYGGIEVRVSETGWPSKGDVSEVGATRENARVYNMNLVMRQFRNEGTPLRPKQRLEVYLFALFNEDLKPGPTSERNYGLYEPDGTMTYNLGLTTLKSDVPAASLSSSSASQGQKRKESGMLWILICTLIFLKLY >DRNTG_15883.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13124797:13125155:1 gene:DRNTG_15883 transcript:DRNTG_15883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGHLVVSFTGSMRPHGHSSRRRSTTQDITKREASFGGEDWVNILGIQGI >DRNTG_21873.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19194532:19195801:-1 gene:DRNTG_21873 transcript:DRNTG_21873.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQGNVDFFACQSDFNNAVNQNIDFFAASNPDVFTKNNESSKLETANIFDPFVAVPLNNSEESDLFGVFTAHSDPVSSEHTQNSSTKVMDNSEQVSSPVSKPTPKKDTSQIKSAIWADSLSRGLIDFKYNFS >DRNTG_21873.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19194532:19199484:-1 gene:DRNTG_21873 transcript:DRNTG_21873.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMKVWDQAVREIKREVNLKVLKVPEIEQKVLDATSDEPWGPHGTALSEIAQATKKFTECQLVMNVLWNRLMDTGQNWRHVYKALSVIEYLVANGSESALNDILEHSYQISVLNMLNPMERMLESM >DRNTG_21873.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19194532:19196225:-1 gene:DRNTG_21873 transcript:DRNTG_21873.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDLMDASAAIPKETTSVRNVATLEVDLFAEATFISASPQSEAASVSHSQGNVDFFACQSDFNNAVNQNIDFFAASNPDVFTKNNESSKLETANIFDPFVAVPLNNSEESDLFGVFTAHSDPVSSEHTQNSSTKVMDNSEQVSSPVSKPTPKKDTSQIKSAIWADSLSRGLIDFKYNFS >DRNTG_01715.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23608928:23612075:-1 gene:DRNTG_01715 transcript:DRNTG_01715.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDNRVRFILGVVRNEIIVSNRKKADLLIELREKGFTPIRKENKGIEAAVAGAMADSEENEESSEDSPEIVRGGVRASDYDYLLSMAIGTLTLEKVQELIASKNKLEDDVVELKCATVMSLWSKDLEAFEGMLDVCVSYSLKSL >DRNTG_01715.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23608928:23616014:-1 gene:DRNTG_01715 transcript:DRNTG_01715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEKKLPLQSSSAHNAAAGDGAAAGKKTIEETYQKVTQLEHILLRPDTYIGSIEKHTQDLWVFEKPNITVDAADDLAAPSGDDKETMVHRKVTFVPGLYKIFDEILVNAADNKQRDPSMDCLRVEIDPQANRISIYNNGDGVPIEIHADEGVYVPEMIFGHLLTSSNYNDNVKKTTGGRNGYGAKLTNIFSTEFVIETADGKRQKKYKQVFSDNMSKKSEPNITKCKNGENWTTVTFKPDLSKFNMTMLEDDVVALMKRRVVDLAGTLGKTVKVELNGKRVPVKSFADYVNLYLQSASKFRAEPLPRIMERVNERWEVCVSLSDGHFQQMSFVNGIATTKGGSHVDYVTNQITGYVINSAKKKNKNVILKAQYVKNHLWVFVNALIDNPAFDSQTKENLTTRQGSFGSKCELSEEFLKKVAKSGVLDNVLSWADMKQSKELKKTDGTKKQRITGIPKLEDANDAGSSSSHKCTLVLTEGDSAKALAMAGISVVGRNHYGVFPLRGKLLNVREASHKQIMDNQEIQNLKQALGLQHGKQYESVNGLRYGHLMIMTDQDHDGSHIKGLLINFIHSFWPSLLKIPSFMLEFITPIVKATHRNGRVLAFYTMPEYEAWKKSIEGNANGWTIKYYKGLGTSTSKEGKEYFSDLGKHQKDFLWVDEQDGDAIELAFSKKKIEARKNWLRQFEPGTYLDQSAKLIKYSDFVNKELILFSMADLQRSIPSMVDGLKPGQRKILYCSFKRPLIKELKVAQFSGYVSEKSAYHHGEQSLASTIIGMAQDFVGSNNINLLQPNGQFGTRHQGGKDHASARYIFTCLSPVTRFLFPKDDDALLNYLSEDGQTIEPTWYMPIIPMVLVNGSEGIGTGWSSYIPNYNPRDIVANVKRLLNGEEMQPMDPWYRGFKGRIEKSASKVAGVTYTITGIVEEIDNNTLRIFELPIRRWTQDYKEFLESLMTGNEKSKEPFIQDYREHNDDTTVHFEVILSEENMNIARQEGLEKKFKLTTSISTSNMHLFDPSGVIKKYDTPEQILEEFFSLRLEFYEKRKKKLLDSLEFELMKLDNRVRFILGVVRNEIIVSNRKKADLLIELREKGFTPIRKENKGIEAAVAGAMADSEENEESSEDSPEIVRGGVRASDYDYLLSMAIGTLTLEKVQELIASKNKLEDDVVELKCATVMSLWSKDLEAFEGMLDEQDKLDAEAELAKNEMRKKGLNSKAAAASKNGPKRPRKTNTAKPPPPVVPVTAQTDANNAPEAVKPKPRGGAKKATSKKTVDSESDDDDEILALQDRLRAQSLSSSAHENAMDVEEVPAKKEPSKRAAAAKASSSIAITDISDDDDEPLEDYMSNIPEDDNEDGDEEFEVIEIPKGKKTGRRKADATTTTTAARKIGAATNSNKQKQSVLNQQKLITTEVLKPVEQQAKSSPEKKVRKIRASPFNKKSGSVLGKSSLSNSEDSSGSSLVSSSSPSDEPIMIARPRRGNRTVKKATYVESDSEMEQKDDDDDDDDSPVTDDSDFEEDDF >DRNTG_11345.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23712701:23714398:1 gene:DRNTG_11345 transcript:DRNTG_11345.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEFSFYAELCFREFGDRVKHWVTFNEPNLMLHFAYTIGGFPPDRCSKPFGNCSSGDSMTEPFIAAHNIILSHALAANIYKTNYQIKQKGLIGIVMAVTWFEPLRDIIQDYLASQRALSFDVAWFLDPLVHGDYPSDMQQMLGLRLPMFSSKEKRLLKNSLDFIGVNHYSSLYAMDCKSSSCNMDDFDANNALIYKTGERNGVPIGPLTAMPNSYVVPSGMEKAVMYVAQRYNNTPMYITENGYAQHDVSLEILLNDVDRVKYLRGYLNSLRNAMRKGADVRGYFVWSIIDNFEWAFGYTLRFGLYHVDFKTQERTPKRSAEWYKEFLTDPAIQSKTVFTHNES >DRNTG_11345.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23711686:23714398:1 gene:DRNTG_11345 transcript:DRNTG_11345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRKAMALALFLHNFLLISGELHRSEFPPSFLFGTSTSSYQIEGAYLEGHKGLSNWDVFTHHSVKIRDGSNGDVADDHYNRYMGDIELMHALGVNSYRFSISWSRVLPRGSFGGVNLVGIKFYNTLIDALLIKGIQPFVTLSHFDCPQELEERFGAWLSPQMRKEFSFYAELCFREFGDRVKHWVTFNEPNLMLHFAYTIGGFPPDRCSKPFGNCSSGDSMTEPFIAAHNIILSHALAANIYKTNYQIKQKGLIGIVMAVTWFEPLRDIIQDYLASQRALSFDVAWFLDPLVHGDYPSDMQQMLGLRLPMFSSKEKRLLKNSLDFIGVNHYSSLYAMDCKSSSCNMDDFDANNALIYKTGERNGVPIGPLTAMPNSYVVPSGMEKAVMYVAQRYNNTPMYITENGYAQHDVSLEILLNDVDRVKYLRGYLNSLRNAMRKGADVRGYFVWSIIDNFEWAFGYTLRFGLYHVDFKTQERTPKRSAEWYKEFLTDPAIQSKTVFTHNES >DRNTG_11345.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23712089:23713826:1 gene:DRNTG_11345 transcript:DRNTG_11345.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIELMHALGVNSYRFSISWSRVLPRGSFGGVNLVGIKFYNTLIDALLIKGIQPFVTLSHFDCPQELEERFGAWLSPQMRKEFSFYAELCFREFGDRVKHWVTFNEPNLMLHFAYTIGGFPPDRCSKPFGNCSSGDSMTEPFIAAHNIILSHALAANIYKTNYQIKQKGLIGIVMAVTWFEPLRDIIQDYLASQRALSFDVAWFLDPLVHGDYPSDMQQMLGLRLPMFSSKEKRLLKNSLDFIGVNHYSSLYAMDCKSSSCNMDDFDANNALIYKTGERNGVPIGPLTAMPNSYVVPSGMEKAVMYVAQRYNNTPMYITEN >DRNTG_11345.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23713392:23714398:1 gene:DRNTG_11345 transcript:DRNTG_11345.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQQMLGLRLPMFSSKEKRLLKNSLDFIGVNHYSSLYAMDCKSSSCNMDDFDANNALIYKTGERNGVPIGPLTAMPNSYVVPSGMEKAVMYVAQRYNNTPMYITENGYAQHDVSLEILLNDVDRVKYLRGYLNSLRNAMRKGADVRGYFVWSIIDNFEWAFGYTLRFGLYHVDFKTQERTPKRSAEWYKEFLTDPAIQSKTVFTHNES >DRNTG_11345.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23713197:23714398:1 gene:DRNTG_11345 transcript:DRNTG_11345.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTWFEPLRDIIQDYLASQRALSFDVAWFLDPLVHGDYPSDMQQMLGLRLPMFSSKEKRLLKNSLDFIGVNHYSSLYAMDCKSSSCNMDDFDANNALIYKTGERNGVPIGPLTAMPNSYVVPSGMEKAVMYVAQRYNNTPMYITENGYAQHDVSLEILLNDVDRVKYLRGYLNSLRNAMRKGADVRGYFVWSIIDNFEWAFGYTLRFGLYHVDFKTQERTPKRSAEWYKEFLTDPAIQSKTVFTHNES >DRNTG_11345.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23712399:23712476:1 gene:DRNTG_11345 transcript:DRNTG_11345.6 gene_biotype:protein_coding transcript_biotype:protein_coding GDIELMHALGVNSYRFSISWSRVLP >DRNTG_20098.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:341678:343571:1 gene:DRNTG_20098 transcript:DRNTG_20098.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGSSPESPPSDGIATSPQGSMSVGGASSSSPAPSPSRYESQKRRDWNTFGQYLKNHRPPLSLARCSGAHVLEFLRYLDQFGKTKVHTQMCPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGKPETNPFGARAVRLYLREVREMQAKARGISYEKKKRKKALQQQQPAEPLALPQSSSTPPGPGAL >DRNTG_20098.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:341678:343571:1 gene:DRNTG_20098 transcript:DRNTG_20098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGSSPESPPSDGIATSPQGSMSVGGASSSSPAPSPSRYESQKRRDWNTFGQYLKNHRPPLSLARCSGAHVLEFLRYLDQFGKTKVHTQMCPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGKPETNPFGARAVRLYLREVREMQAKARGISYEKKKRKKALQQQQPAEPLALPQSSSTPPGPGAL >DRNTG_12029.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:818121:818841:-1 gene:DRNTG_12029 transcript:DRNTG_12029.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLSFKRNFIPCKKSWKRFKGKLMRVKIGRVRRSMIDNSPKRNDFHACKLSFRPVYVDELFNQPEIMGVDECHVKEKVVEKSSVLNYQAETSSSSGFVVRDVNFNEVDLKAEMFIAKFKEEMRLQRQRSFGEYQEMLARGV >DRNTG_19701.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001043.1:986:1759:1 gene:DRNTG_19701 transcript:DRNTG_19701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTIIIISSQLLPKHHHHHHQVIIGEKKHEWEEPKVGVEAEPITTKEGSAGPSREREEHNSPNKSSPMSPRSPQSSCLSSESDQGMKHYSSSPETASMVLAGCSRC >DRNTG_10335.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:12767797:12768457:1 gene:DRNTG_10335 transcript:DRNTG_10335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSKKQANKQPRESPSEPESVSFTIPEHQAHFKHLLRLQFGQTRFLDTSILRDIKQGDEFADEFERSYTSFDSLDAIQFKVLGHHHSLSITQFSVLLGLYEEAFIETEEYSPIPIDYPGALIPTKSLSSTMWSRPV >DRNTG_28672.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4671701:4672939:1 gene:DRNTG_28672 transcript:DRNTG_28672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPVELFDHKSLNKFAPIHQIVESAEKFMVLDKEAMYDIFFRTRKLAAPTIGDLNHSLPSLL >DRNTG_19600.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001025.1:15165:16986:1 gene:DRNTG_19600 transcript:DRNTG_19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICQAMTSIQYSDHCLCMRRRLKKRMGIKCCLILLLVFLVPFMENSFLAIVFILLTLLIVSCGFLRKSSDPSKAELCHFYDLVADALNSMVQEGVLEEEKVNTFNMPFYAASKEEVQQVIQSEGSFYIEQMQILESNWDPFDDSDDDQVFDNVKSGHNVAKCMRAVLEPLLVRHFGEQAFVDQVFARYAQNVAMHLHKEKTKHIVFILALKAKDLNN >DRNTG_09813.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26744163:26745194:1 gene:DRNTG_09813 transcript:DRNTG_09813.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galacturonosyltransferase-like 4 [Source:Projected from Arabidopsis thaliana (AT3G06260) UniProtKB/Swiss-Prot;Acc:Q9M8J2] MASSPSSPFGLLTFFSFILLVSAIRIDIIRKPSSSPAVPKFREAPAFRNADDCPPSGHVDIVMTLDANYLRGTMAAVLSMLQHTSCPESVRFHFLAARPEPEILSSIRSTFPYLDFRVHRFESRRVRGKISRSIRQALDQPLNYARFYLADMLPADVRRVIYLDSDVIVVDDVRQLWEVELGDKVVAAPEYCHANFTKYFTESFWSDPELAGTFKGRRPCYFNTGVMVMDVKKWREGEYTKRMEEWMVVQKHKRIYHLGSLPPFLLVLAGEIKAVDHRWNQHGLGGDNMEGKCRHLHPGPISLLHWSGKGKPWLRLDSRKPCAVDHLWAPYDLYKSSSVFLEE >DRNTG_32803.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001920.1:6762:7477:1 gene:DRNTG_32803 transcript:DRNTG_32803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMYLQSIRDFHEGTWLKYIQDVGGANRPMAALLATTSWAIWKARSTEYAKNSRANVREVLSSSIFLWSTTLFCDASWSDFVGPCGLGFVVMLAGVLTLNLNTNFRAELVALEHGLNACKDMNVKLDHVVCNCLEIVEMVNQGDSCTGWRYEEELCIIQHILNNNNNFTLEYIPRDLNGVVDVLANQARLNSGVSLFHQGCDLPLRATTAYGLSF >DRNTG_04600.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:15939787:15945110:-1 gene:DRNTG_04600 transcript:DRNTG_04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRPSTPVRPHRRVSQGQVHAPGCSREKLSLTLNALARVCGIYPRPCARPTGAATRPCGFSGHPRKIAKCSTRPSGNSTRARALVTRLPTGASSRPCVLSG >DRNTG_06682.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3234621:3250189:1 gene:DRNTG_06682 transcript:DRNTG_06682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISVPDEDVDVEVAEKPSWFTPKRLLIIFCVINMLNYIDRGAIASNGVNGSSGTCKESGICTPGSGIQGDFKLNNFQDGVLSSAFMVGLLVASPIFAALSKSHNPFRLIGVGLSVWTVAAAGCGFSMEFWSITICRMFVGVGEASFISLAAPFIDDNAPVAQKTAWLAAFYMCIPTGIAVGYIYGGVVGSNLHWRYAFWGEALLMLPFAILGFVMKPLKLKGFASSQSKKEDCEEIVVPKAHDISDEQISNHPTLAIKRDSAGRTSTRSSFLKRTLVQLERFWKDMKVLLLDQVYVTNVLGYIAYNFVIGAYSYWGPKAGYAIYHMSNADLLFGGITIVCGIFGTLAGGLILDKIQSTISNAFKLLSVSTFFGACFCLIAFWLKSLYGFIALFSIGELLIFATQAPVNYVCLHCVKPSLRPLSMAMSTVSIHIFGDVPSSPLVGVLEDYLNDWRKSALILTSVFFLASVIWGIGIFLHSVDRFNEESVHGVPTVERANRRPLLDENLEASEESL >DRNTG_07173.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17248218:17251256:-1 gene:DRNTG_07173 transcript:DRNTG_07173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGKVIKCRAAVAWEAGKPLVIEEVEVAPPQAMEVRIKILFTSLCHTDVYFWEAKGQKPVFPRIFGHEAGGIVESVGEGVTELAPGDHVLPVFTGECKDCAHCKSEESNMCTLLRINTDRGVMIGDGQSRFSIHGKPIYHFVGTSTFSEYTVVHVGCLAKINPNAPLDKVCVLSCGISTGLGATLNVAKPAKGSSVAIFGLGAVGLAAAEGARIAGASRIIGVDVNPRRFLEAKKFGVNEFVNPKDYQKPVQEVLAEMTDGGVDRSIECTGNIDAMISAFECVHDGWGVAVLVGVPHKDAVFKTHPMNVLNERTLKGTFFGNYKPRSDIPAVVEKYMSKELELEKFITHEIPFSEINKAFEYMLKGDSLRCIIRMQE >DRNTG_24110.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31355769:31357431:1 gene:DRNTG_24110 transcript:DRNTG_24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLLPMAATCLLLLTFLPSSSEAHNITKILAQHPEFSTFNHYLTITHLATEIDRRLTITVLAVDNSGMSSILAKHFTLPTLKNVLRLHILVDYYGAKKLHQLTGGSATASSMFQATGDAPGTSGYVNISDHHGGKVSFSAIDDAEGDAPATPSSMFVKSIKEMPYNISIIQISAPLTSPIAEAPAAAPAPINITESMKKKGCGLFADLLLSTPDVEKTFESNVDGGLTIFCPIDSAVKSFMPKFKNLTSEAKQSLLLFHGYPVYNSLQGLKTNNGLVPTLATDGGGKSFRFTIQTEGADITLKTKAITATIKSTIIDQDPCAIYTIDKVLEPHELFKEVQTDAPAPAPAHAAKSHKGKNAASPPAPADGPDSAPADDKAADDNAGIVLSSGRFLTATAAVLVVLMV >DRNTG_22111.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:188166:192361:1 gene:DRNTG_22111 transcript:DRNTG_22111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCNHRKISTMVLDQLQRMKTPHSSLEKIVPREEKCPPKLVREWAVNRALLFVIILLVCQTQ >DRNTG_07770.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1045234:1047359:1 gene:DRNTG_07770 transcript:DRNTG_07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOTOSYSTEM I ASSEMBLY 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34860) UniProtKB/Swiss-Prot;Acc:O64750] MSGSGHLFLSPLVPFISNCSPRTRRKQSYGDSLLARSHIHSNLEDDVDKQGNTESLSNSSKDSQSLPSRRKCLQCISASLALIHISGYSSTPSGNAVQSSGGSFEKEKAVCRNCGGSGAVVCDMCGGTGKWKALNRKRAKDVYEFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPEARKLLDKMYNGRLLPNS >DRNTG_03293.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000153.1:13365:19507:1 gene:DRNTG_03293 transcript:DRNTG_03293.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMGADLRASLAIALFSLLWVSGFSVVPSKDSLGIAPQDEGYYKSSVILCKDRSKKFTKEQLNDDFCDCLDGTDEPGTSACPEGKFYCRNAGHIPLTIFSSRVNDGICDCCDGSDEYHSHMKCPNTCWEAGRAARENLKKRISTYQEGVRIRKGDVDKARQAFAKDEADLAKLKAEEESLSALVQKLKENKERIEKAEEEERKRKEEEEKWMREESEKVNVQEIAPEETLHSNAEALHAELLASADKPLDAQDDPSLKAEAGAVSEAKEVSPEHVTDQVQENVDMEKLSKEELGRLVASRWTGENAAQKMDVDTTTKEEHNDDNNLDTSNSGNVRGDDDDSYTSGIDADSKYDGDDDEEEDDDDDDDDDISDDEFEDVDTSRSFNSDNDEKAEVSDLTSSSTSSWLEKLQQTVQNVLQAFNFFKTPVEISEGARVRKEYDDLSSKLSKLRSRIARLTDMLKHDFGKEKEFYSFYDHCFESKRNKYIYKVCPFKEATQVEGHSTTRLGNWDKFEDSYRIMQFSRGARCWNGPDRSLKVRLWCGLKNELTDIDEPSRCEYKAMLSTPAVCTEEKLQELQQKLEQMNSNQPLPHDEL >DRNTG_03293.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000153.1:17416:18309:1 gene:DRNTG_03293 transcript:DRNTG_03293.4 gene_biotype:protein_coding transcript_biotype:protein_coding NPDLTSSSTSSWLEKLQQTVQNVLQAFNFFKTPVEISEGARVRKEYDDLSSKLSKLRSRIARLTDMLKHDFGKEKEFYSFYDHCFESKRNK >DRNTG_03293.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000153.1:16464:19507:1 gene:DRNTG_03293 transcript:DRNTG_03293.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSKEELGRLVASRWTGENAAQKMDVDTTTKEEHNDDNNLDTSNSGNVRGDDDDSYTSGIDADSKYDGDDDEEEDDDDDDDDDISDDEFEDVDTSRSFNSDNDEKAEVSDLTSSSTSSWLEKLQQTVQNVLQAFNFFKTPVEISEGARVRKEYDDLSSKLSKLRSRIARLTDMLKHDFGKEKEFYSFYDHCFESKRNKYIYKVCPFKEATQVEGHSTTRLGNWDKFEDSYRIMQFSRGARCWNGPDRSLKVRLWCGLKNELTDIDEPSRCEYKAMLSTPAVCTEEKLQELQQKLEQMNSNQPLPHDEL >DRNTG_03293.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000153.1:14318:19507:1 gene:DRNTG_03293 transcript:DRNTG_03293.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCPNTCWEAGRAARENLKKRISTYQEGVRIRKGDVDKARQAFAKDEADLAKLKAEEESLSALVQKLKENKERIEKAEEEERKRKEEEEKWMREESEKVNVQEIAPEETLHSNAEALHAELLASADKPLDAQDDPSLKAEAGAVSEAKEVSPEHVTDQVQENVDMEKLSKEELGRLVASRWTGENAAQKMDVDTTTKEEHNDDNNLDTSNSGNVRGDDDDSYTSGIDADSKYDGDDDEEEDDDDDDDDDISDDEFEDVDTSRSFNSDNDEKAEVSDLTSSSTSSWLEKLQQTVQNVLQAFNFFKTPVEISEGARVRKEYDDLSSKLSKLRSRIARLTDMLKHDFGKEKEFYSFYDHCFESKRNKYIYKVCPFKEATQVEGHSTTRLGNWDKFEDSYRIMQFSRGARCWNGPDRSLKVRLWCGLKNELTDIDEPSRCEYKAMLSTPAVCTEEKLQELQQKLEQMNSNQPLPHDEL >DRNTG_33574.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10624248:10625233:1 gene:DRNTG_33574 transcript:DRNTG_33574.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKHDQQLEAGSSTVKLEQTECQQPKMDLLLQSERTHMSGSATTKNVCTWLGLTPPSWASCHKWRCSKNLLPNYEVRFTKSRKLKFAVGI >DRNTG_33574.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10624248:10625946:1 gene:DRNTG_33574 transcript:DRNTG_33574.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKHDQQLEAGSSTVKLEQTECQQPKMDLLLQSERTHMSGSATTKNVCTWLGLTPPSWASCHKWRCSKNLLPNYEVRFTKSRKLKFAVGI >DRNTG_07011.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3221189:3224838:-1 gene:DRNTG_07011 transcript:DRNTG_07011.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNKDGRISMKELHHALKELGHSFGWLKTFGAFWFSDTNWNGAIDTDSEIDKLITYAQHMWGIKVTN >DRNTG_07011.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3219594:3224838:-1 gene:DRNTG_07011 transcript:DRNTG_07011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNKDGRISMKELHHALKELGHSFGWLKTFGAFWFSDTNWNGAIDTDSEIDKLITYAQHMWGIKVTN >DRNTG_22244.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1216005:1218320:1 gene:DRNTG_22244 transcript:DRNTG_22244.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCF152 [Source:Projected from Arabidopsis thaliana (AT3G09650) UniProtKB/TrEMBL;Acc:A0A178V9F2] MMMMMMHHLPSIPSSILPSSSSFKPLNSSSSSSIPITTNNNNNNITESQLLSLLRQNKTDEAYSAYLRCTHLPGSIPLSRLLAQLSYQPSPSSLSRALSIVRRLRSSNQLHRLDSNSLGLLAVASSRSGNLRFALSLIHFILRSGFLPHVKAWSAVAARLASSPDDGPSSALRLFDSILHRLRSLPSPLSSDSLPDTAAFNAALNAAANLGDIPKFLNLFDEMPHFSARPDILTHNILIKLCARAERRDLLPLVLIRIIRSKLVPCITTLHSLVAAYVGLGDLNTAELLVQAMRDGRADIPAILSSASHAHNLFDEFPGETHRHLEKLVMNFEHKDDDGDDPPLMPKTYKPDSRIYTTLMKGYMNKGHVDDVVRMITAMQRENDPASHPDHVTYTTVISALMKAGEMDRARKVLDEMSIAGVPANRVTYNVLLKGYCQKLQLDKAKELAKEMLERKGLEPNVVSYNILIDGCILLDDSAGALAYFNEMRERGIAPSKVSYTTLMKAFALSGQPKLAHQVFDEMAKDKRVKVDRVAWNMLVEGYCRLGLIEDGKRVVEKMKESGLQPDVATYGSLANGIALARKPGEALLLWNEVKERREGSPALKPDEGLLDALADVCVRAAFFKKALEIVACMEECGILPNRAKYKRIYVEMHSRMFTSKHASRARQDRRAERKRAAEAFKFWLGLPNEYYGSEWRLEPLNGDGAVDEFEFGDSSES >DRNTG_13701.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5327248:5328713:1 gene:DRNTG_13701 transcript:DRNTG_13701.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISASSSHLFHPCISPSRPSSRIIISMATKRKVNKYDDSWAKKWYGAGIFYEGSEEVSFDVVKNLEKKKVLSGVEKAGLLSKAEQLGITLSSIEELGLFSKAEKLGLLSLLDRVAGSSPSALASIALPLLVAALATVVIIPDDSTALVVAQALIAAALAAGAAGLFLGSVVLGGLQESE >DRNTG_13701.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5325138:5328713:1 gene:DRNTG_13701 transcript:DRNTG_13701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISASSSHLFHPCISPSRPSSRIIISMATKRKVNKYDDSWAKKWYGAGIFYEGSEEVSFDVVKNLEKKKVLSGVEKAGLLSKAEQLGITLSSIEELGLFSKAEKLGLLSLLDRVAGSSPSALASIALPLLVAALATVVIIPDDSTALVVAQALIAAALAAGAAGLFLGSVVLGGLQESE >DRNTG_17687.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30045834:30046557:1 gene:DRNTG_17687 transcript:DRNTG_17687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPTMEALGISKLIHFKIASPVSDRHSNLSTWIMASSSPIRTSMASFPNNRSLASRDAGVEPMNHGERERERKE >DRNTG_31740.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21738983:21742995:-1 gene:DRNTG_31740 transcript:DRNTG_31740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFDVLRELLRLLISKHLPLVQKWMSVLVRVDVTDKFRDTALKEFIDLRNHILSAKEKCAELGCVFVDGPVQNDDEKDLWEDGKIEIDAPGESSGPNSEAKDSVNTVTANNDKAPALHVTKSSDTRFLNLPPEKRKLLSIAPVVEWGPFLDNWGLNRDAPANQRGLELESHWGRVDSDAVIPAEKIAELSVHRTVYKEQPVEIQPCNAPLKKGGLCQRRDLKACPFHGLIVPRDAEGNPIEQIPSSVESINEPSASGVIDTEQDLDLDKLTVDRLFKQAVKNVRESDRDMKKTSKRAKLARVREHNEAVLRDAAIASTSYSQAFGEHEEAVEDNKMGRKTKKPTLNSMLRKKITAKDRISKRLLSARATDASIHQLMQGADLKYREAFPNQW >DRNTG_31740.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21738983:21741251:-1 gene:DRNTG_31740 transcript:DRNTG_31740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKLFRTLLVVNFDQFLSLSIGFRRNLPLPPPSTIASTLRSKSIELLEKWNTSFGIHYRQLRLGFDYLKNTLKFHFPNRLENAARLQQERREREIRSKQILLEKFQNFKECYSLIKDKIQSTIDEIGECIEILHAKGDDEFVSYIASDDDELEESRPLALQQIRLDALKEGQKVYESSENMAIFDVLRELLRLLISKHLPLVQKWMSVLVRVDVTDKFRDTALKEFIDLRNHILSAKEKCAELGCVFVDGPVQNDDEKDLWEDGKIEIDAPGESSGPNSEAKDSVNTVTANNDKAPALHVTKSSDTRFLNLPPEKRKLLSIAPVVEWGPFLDNWGLNRDAPANQRGLELESHWGRVDSDAVIPAEKIAELSVHRTVYKEQPVEIQPCNAPLKKGGLCQRRDLKACPFHGLIVPRDAEGNPIEQIPSSVESINEPSASGVIDTEQDLDLDKLTVDRLFKQAVKNVRESDRDMKKTSKRAKLARVREHNEAVLRDAAIASTSYSQAFGEHEEAVEDNKMGRKTKKPTLNSMLRKKITAKDRISKRLLSARATDASIHQLMQGADLKYREAFPNQW >DRNTG_04660.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30554263:30555248:-1 gene:DRNTG_04660 transcript:DRNTG_04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRGLMPNAATYTSLITGYCKQGDLDNALKLHNEMPKNGVHWNTRSFTAIINGFCRANMMKKATELFDEMVELNVMPNEVTYNVMIEGYCLIGNTVRAFELYDAMVENGLVPDNYTYRPLISGNCLTGRVLEAKEFVDDLHSENRVLNNMSVSALLHGFCREGRVSDAHSVCQEMVGRGLEMDLVCYGILIHADLKQKEIVRSNSMLKEMIRKGIKPDNVLYTSIIDAYSKFGNFAMSFHLWDKMAQEGCYPNAVTYTVLINSLCKAGICQCS >DRNTG_08833.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27954262:27955011:1 gene:DRNTG_08833 transcript:DRNTG_08833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSCLLEMLLISMVFSISITQGHCRWIKLTVNYDKGYPSHEENSSVERGMMEMVMDYGDPRANTNHRGGAFNPPTGSSHKP >DRNTG_17319.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18114517:18116488:1 gene:DRNTG_17319 transcript:DRNTG_17319.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRREWYHKGSSTGCMSGMLNFFDFHQLLFTTTNVSSKNIPSISLDSLPEVRSGLEAPRNSLEELNDEEQEEEYFDVPVGVRINQEPKAVVTRREKMEFYLEEERKSSSSSSQAATPRTPSLVARLMGLDLLPERSSSCSYSSPATPVASVITKRMKKKKDFDNVHESNSRKPLRNLNCNTNGSRSLPDSPRASSVRKSCDVEPRLSLQLNKENVLDCKTSSKSGNYATEIVKQVKESILSNRRDATLGIASDENGGIKSRRTRTCERSNKSSKSISTTSSIFSNHNIKFQKPVKPTRSPPPPPPPPPPRLDIKKPNIKPKKYEKKAGYERFTKNVKKKSKTKETQKATQTKVNQDFELKYIRSVLEHADLLSAGSHSNFNPIDPVLFHLLELKLPPLDQHCTGPFKNRWNRKLLFHLVVEIIGELAQHQSFATKTTESLFNHIAKQINSYPGANCQVLDDIDALIEGDLPERNVRRLLKHPAVEMEVVEIMEEIEGDIVEELIGEMVMMT >DRNTG_18513.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:13251245:13253231:1 gene:DRNTG_18513 transcript:DRNTG_18513.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVFLNSRIDETTVWSNVWGHTTRCHLHALIDGKLMVPDSVIDVFVLMLLDSLKKSPHEFDRPATICRPMALALSQQEHSVDGLDKMMSPALEDYGRVKLVLMPVALNKHYHLIVLDKDEKAYFHYSSVRSPVYDHAANAMRSLFEDRLQIKFNINEAASYTLTPVRDCPQQREDSVDCPVYLMRFMEQLLHGEELDVPQSRCCPSATRVLHAHLVGWHYTKHTPISIAYEASEASQEDGEKMDDAPPQSH >DRNTG_33867.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20523223:20524597:1 gene:DRNTG_33867 transcript:DRNTG_33867.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDSAGGLSSAKQAHVGKKTNTMKQLQRSSVVEWLAHSDGGELPR >DRNTG_31673.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20156847:20160074:1 gene:DRNTG_31673 transcript:DRNTG_31673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTSKTFIIPTTTITLLFLYYTMAMYCDVISSLLYSCENFMVYGPPEMAVSSQCCGGLLHLANISGESILARRVICSCIVSLIDDYGPNATTIASLPRLCGVYLGFPLDPNIDCNTIF >DRNTG_29930.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001520.1:6211:10714:1 gene:DRNTG_29930 transcript:DRNTG_29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLHGRAICPPLVHTKLAGNAVPLLSAPIIKTRMLRSVFIGTKGRCEHVVNGGVGTFFRQPKGKRRVTVYCTFSSSSNDNNGSTAGNFRENDEDYVNSSVIEAVEVRSGSDGFSIKMRDGRNLKCVHNNPQGGHLPDYSPHPAIVLKMEDGSGLLLPIIVLEMPSVMLMAALRNVPIARPTVYQVLSEMVEKMGYEVQLVRVTKRVHEAYFAQLYLTKVGNEKECVSFDLRPSDAINVAVRCKVPIQVNKQLAYSDGMRVVEPAQQVVQVPLSEGFLITELDRPDGQFCIEAKEFDLVRNMLIAAVEERYRDAAQWRDKLFQLRAKRKNWA >DRNTG_14548.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:27:19099:22074:-1 gene:DRNTG_14548 transcript:DRNTG_14548.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAIQLAKPNFLGGEAVNAAVIVDPMSKQVIAYGSDQTFSLPSTIISSCSHIGQEPTNSSSQPGGNSPPNNGYLVSKLTPNKHKQPYHRVSCLHPWGWTELNPYDPKPPEKCDSDYAWHPLRHAALVAIENAAARDRSLFPNPASSIKHSTSMDNLLQPDDIPSKRLKTNTPENEKLEEEAAKNVSTSEATRPYLCTGFDIFLVWEPCTMCAMALVHQRIRRIFYAFPNPNDGALGSVHRLQGEKSLNHHYSVFRILAPKLEEHI >DRNTG_14548.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:27:20098:20941:-1 gene:DRNTG_14548 transcript:DRNTG_14548.6 gene_biotype:protein_coding transcript_biotype:protein_coding MCAMALVHQRIRRIFYAFPNPNDGALGSVHRLQGEKSLNHHYSVFRILAPKLEEHI >DRNTG_14548.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:27:20377:24395:-1 gene:DRNTG_14548 transcript:DRNTG_14548.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQVIAYGSDQTFSLPSTIISSCSHIGQEPTNSSSQPGGNSPPNNGYLVSKLTPNKHKQPYHRVSCLHPWGWTELNPYDPKPPEKCDSDYAWHPLRHAALVAIENAAARDRSLFPNPASSIKHSTSMDNLLQPDDIPSKRLKTNTPENEKLEEEAAKNVSTSEATRPYLCTGFDIFLVWEPCTMCAMALVHQRIRRIFYAFPNPNDGALGSVHRLQGEKSLNHHYSVFRILAPKLEEHI >DRNTG_14548.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:27:20377:21552:-1 gene:DRNTG_14548 transcript:DRNTG_14548.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQVIAYGSDQTFSLPSTIISSCSHIGQEPTNSSSQPGGNSPPNNGYLVSKLTPNKHKQPYHRVSCLHPWGWTELNPYDPKPPEKCDSDYAWHPLRHAALVAIENAAARDRSLFPNPASSIKHSTSMDNLLQPDDIPSKRLKTNTPENEKLEEEAAKNVSTSEATRPYLCTGFDIFLVWEPCTMCAMALVHQRIRRIFYAFPNPNDGALGSVHRLQGEKSLNHHYSVFRILAPKLEEHI >DRNTG_14548.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:27:20098:25721:-1 gene:DRNTG_14548 transcript:DRNTG_14548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMPNDLLQLLNNYQLSPFIARVAECPALSKEEWEEQCKLWPTSYHPPSSLDGAGGFSEEDSQFIINFMKVAIQLAKPNFLGGEAVNAAVIVDPMSKQVIAYGSDQTFSLPSTIISSCSHIGQEPTNSSSQPGGNSPPNNGYLVSKLTPNKHKQPYHRVSCLHPWGWTELNPYDPKPPEKCDSDYAWHPLRHAALVAIENAAARDRSLFPNPASSIKHSTSMDNLLQPDDIPSKRLKTNTPENEKLEEEAAKNVSTSEATRPYLCTGFDIFLVWEPCTMCAMALVHQRIRRIFYAFPNPNDGALGSVHRLQGEKSLNHHYSVFRILAPKLEEHI >DRNTG_14548.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:27:20098:24395:-1 gene:DRNTG_14548 transcript:DRNTG_14548.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMPNDLLQLLNNYQLSPFIARVAECPALSKEEWEEQCKLWPTSYHPPSSLDGAGGFSEEDSQFIINFMKVAIQLAKPNFLGGEAVNAAVIVDPMSKQVIAYGSDQTFSLPSTIISSCSHIGQEPTNSSSQPGGNSPPNNGYLVSKLTPNKHKQPYHRVSCLHPWGWTELNPYDPKPPEKCDSDYAWHPLRHAALVAIENAAARDRSLFPNPASSIKHSTSMDNLLQPDDIPSKRLKTNTPENEKLEEEAAKNVSTSEATRPYLCTGFDIFLVWEPCTMCAMALVHQRIRRIFYAFPNPNDGALGSVHRLQGEKSLNHHYSVFRILAPKLEEHI >DRNTG_17491.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6606489:6606807:1 gene:DRNTG_17491 transcript:DRNTG_17491.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQNDSLPMAVHHFPSTDSGINAGSDGIAG >DRNTG_23257.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:324199:325678:1 gene:DRNTG_23257 transcript:DRNTG_23257.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL60 [Source:Projected from Arabidopsis thaliana (AT1G53820) UniProtKB/Swiss-Prot;Acc:P0C035] MPIPVPPTFTPKSDNMPSMSMSSSSESVDGAALKISGEVMVGAVIFLFMVLVFVILLYLYARRYWGATLGLNGSSHSRRLIFVPDTAPRRRGLDESVLHSLPVATFCSSDFKDGLECAVCLSDISDGEKFRLLPKCNHGFHLECIDMWFFSHSTCPLCRSAVAPEPIMRVPGNPVPAAPSTEEIEAEAEAEAEVPTPEFPTNVLFWGTPNQVSATVPPIQIQGSSSAPQRTEGVLVIDIPKRAMEGFSSSVSTFTSSRATVEEAKSPAEASDMKSPASARFKSLIRLLSFGKRSAAGPSTSSWSSPREGGDVELGQGVLATATTPRTPPMRS >DRNTG_04776.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15555191:15557700:1 gene:DRNTG_04776 transcript:DRNTG_04776.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLNYGFLSNDLFLLDYGFVIPSNPYDLLELKYDSALLDAVSMAAGGSFPSFSSPAQWQQEILCQLNLFGNGADLKVTLGGAGLVDGRLIAALRVLLTSSEAAVRKYDLKTLMSLSDEAPLGVSVETTALRTIVVLCVVALEHFPTKIMQDESILKGTVPSSMELAVQFRVQKKLVIIDVIRKLTQRIKRMTEEKSVTQV >DRNTG_04776.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15554957:15557675:1 gene:DRNTG_04776 transcript:DRNTG_04776.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNYGFLSNDLFLLDYGFVIPSNPYDLLELKYDSALLDAVSMAAGGSFPSFSSPAQWQQEILCQLNLFGNGADLKVTLGGAGLVDGRLIAALRVLLTSSEAAVRKYDLKTLMSLSDEAPLGVSVETTALRTIVVLCVVALEHFPTKIMQDESILKGTVPSSMELAVQFRVQKKLVIIDVIRKLTQRIKRMTEEKSVTQV >DRNTG_04776.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15552659:15554124:1 gene:DRNTG_04776 transcript:DRNTG_04776.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPRSLNLLFVFPAKWEHLTEELWAMKLGLRLLQERAAVGSFWWPYISNLPETFSLPIFFSGEDIKNIQYAPLIHQVNKRCRFLLEFEKAAKLILESLALKDHPFGGQDVSSSSLGWAMASVSSRAFRLHGEILLDGKHSDTTMLLPLIDMCNHSFHPNARIVQEQDASNPNMLVKARIF >DRNTG_04776.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15552594:15554124:1 gene:DRNTG_04776 transcript:DRNTG_04776.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLASKMLTATAGMAAAAVSLSGHRTITCSALRIAPQPPDLIRWVRREGGFVNPKLKISDTGAHGLCVVASEDIPEGSDLIALPSHIPLRFKKSPESNGDSALFELALRIPEELWAMKLGLRLLQERAAVGSFWWPYISNLPETFSLPIFFSGEDIKNIQYAPLIHQVNKRCRFLLEFEKAAKLILESLALKDHPFGGQDVSSSSLGWAMASVSSRAFRLHGEILLDGKHSDTTMLLPLIDMCNHSFHPNARIVQEQDASNPNMLVKARIF >DRNTG_04776.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15552659:15557675:1 gene:DRNTG_04776 transcript:DRNTG_04776.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVSLSGHRTITCSALRIAPQPPDLIRWVRREGGFVNPKLKISDTGAHGLCVVASEDIPEGSDLIALPSHIPLRFKKSPESNGDSALFELALRIPEELWAMKLGLRLLQERAAVGSFWWPYISNLPETFSLPIFFSGEDIKNIQYAPLIHQVNKRCRFLLEFEKAAKLILESLALKDHPFGGQDVSSSSLGWAMASVSSRAFRLHGEILLDGKHSDTTMLLPLIDMCNHSFHPNARIVQEQDASNPNMLVKARIF >DRNTG_04776.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15555191:15557675:1 gene:DRNTG_04776 transcript:DRNTG_04776.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLNYGFLSNDLFLLDYGFVIPSNPYDLLELKYDSALLDAVSMAAGGSFPSFSSPAQWQQEILCQLNLFGNGADLKVTLGGAGLVDGRLIAALRVLLTSSEAAVRKYDLKTLMSLSDEAPLGVSVETTALRTIVVLCVVALEHFPTKIMQDESILKGTVPSSMELAVQFRVQKKLVIIDVIRKLTQRIKRMTEEKSVTQV >DRNTG_04776.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15554957:15557700:1 gene:DRNTG_04776 transcript:DRNTG_04776.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNYGFLSNDLFLLDYGFVIPSNPYDLLELKYDSALLDAVSMAAGGSFPSFSSPAQWQQEILCQLNLFGNGADLKVTLGGAGLVDGRLIAALRVLLTSSEAAVRKYDLKTLMSLSDEAPLGVSVETTALRTIVVLCVVALEHFPTKIMQDESILKGTVPSSMELAVQFRVQKKLVIIDVIRKLTQRIKRMTEEKSVTQV >DRNTG_27438.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28681054:28681985:-1 gene:DRNTG_27438 transcript:DRNTG_27438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNKCSSSSNSHNSSNAVQGSVNKRPYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTPEAAARAYDAALLCLKGSAAALNFPHTSLLLPQHSPLKQSQVLCHPSPFKELLLQRQQQQAMLSLRLHLHLHLHLRLRFHRLHSHSMIL >DRNTG_07745.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2680658:2688559:1 gene:DRNTG_07745 transcript:DRNTG_07745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDMTASAPLFQTHGTQGRTLYKLYASFILTCILSILFYRLTHIPLEHYSLTFAWISLFASELWFSFYWILTQTVRWNPIYHQTFKQRLLQRYGNELPGVDVFVCTADPVAEPPALVMSTVLSMMAYDYPKEKLSIFQMMLVQSLHFMLYVKHASLQSIGFHFATSLMLSQGHQMHFSTSPVILLLVCLSLIRSGLK >DRNTG_10809.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17609293:17609803:-1 gene:DRNTG_10809 transcript:DRNTG_10809.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTATPISGSRNKPNTNSTAISSTTLARTRPNSNSNSNSNSTTTSFTG >DRNTG_06136.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25540017:25544326:-1 gene:DRNTG_06136 transcript:DRNTG_06136.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTITSDLVKTTCAHCEREIPTSNIDLHYVHCSRNLQKCTICGDMVPTKLADEHYRESHAPIVHFVVKP >DRNTG_20715.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17926345:17927683:-1 gene:DRNTG_20715 transcript:DRNTG_20715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLVHRLNIVIPKPAFDKIVTEFSHHRWLLDAPSRGEKARQLQRPSRPKTSPWPYQQPAPWHCDQATPSNLCLASTW >DRNTG_05910.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4972822:4973419:-1 gene:DRNTG_05910 transcript:DRNTG_05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITTRSTKGHISKFPSNKTTSKNSNGINIISVTKLTIKIKTMGMIINKEIVATLCMSSISGNA >DRNTG_15751.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20428797:20430271:1 gene:DRNTG_15751 transcript:DRNTG_15751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKNRCSSACLMGHGGRAAVDFVKEKLGKNILASIEDSEKNENQLEIAVRKGYMITDEEFLSQGVSSGTCIATVLLKDGDMVVSNVGDCRVVMSQNGIANALTTDHLASRDDERERIENTGGYVSCHNGKWRVHDSLAVSRAIGDGNMKKWIISEPETKKLHLTLDCEFLIMASDGLWDKVSNQEAIDVVFKSKDMKKSCKELVEMSWSRGSRDDITVMVVDLQKFVL >DRNTG_07706.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23065438:23068874:1 gene:DRNTG_07706 transcript:DRNTG_07706.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSFFFSSSTSSTPAPQEKKSKRKQQDNGNTSTNATAVASGTRYLGVRRRPWGRYAAEIRDPATKERHWLGTFDTAEEAAVAYDRAARSLRGARARTNFAFPDLPPGSSLTPFLSPDITAHQTTLLPPYFTGTMQQDSTHSHCVHVHQQSNHLANNNDLVVAEQEAYIPSGVVPEVAINTPECTSETVAQEMSWFDAEEVNACAWSGAGYFEEGYVHSPLFGPMPTVDEASPNDGFQLGGSSYYY >DRNTG_07706.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23065438:23068874:1 gene:DRNTG_07706 transcript:DRNTG_07706.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSFFFSSSTSSTPAPQEKKSKRKQQDNGNTSTNATAVASGTRYLGVRRRPWGRYAAEIRDPATKERHWLGTFDTAEEAAVAYDRAARSLRGARARTNFAFPDLPPGSSLTPFLSPDITAHQTTLLPPYFNSIWCSP >DRNTG_00240.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26012625:26014678:-1 gene:DRNTG_00240 transcript:DRNTG_00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LIP2 [Source:Projected from Arabidopsis thaliana (AT1G04640) UniProtKB/TrEMBL;Acc:A0A178WI01] MKLQRNLEVLKMGVVNYVEALKLQERLAAERKAGKIPDTVLSLQHPPTYTIGKRRTYHNLLVSEDDLKKMGAELHCTERGGDITFHGPRQAILYPIISLRDIGFGARNYVEGLESTMIDLASLYGARARPGNACETGVWVGNKKIGAIGVRISSGITSHGLAFNIDPDLNYFEHIVPCGIADKGVTSLRIESEMDLPPDEVIHEQLINCFAKLFQFTDVKWK >DRNTG_28788.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21716025:21716357:1 gene:DRNTG_28788 transcript:DRNTG_28788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCWTCDQKGDHWTSKCPYKDLAPQADGFVDNLPKETSALSGADKGAGGAYVLPNMRAGVKRSGTKMRRRNDENSVQVTNHSEDTRESNLLKLFCAFGPVTRVYVVTRSC >DRNTG_34128.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15018374:15019364:-1 gene:DRNTG_34128 transcript:DRNTG_34128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGCSSAVIDEERKPSEEATAAGARKERPYRGVRMRKWGKWVAEIREPNKRSRIWLGSYSTPVAAARAYDTAVYYLRGKSTRLNFPDEISADDDAAAEPLSAASIRKKATEVGARVDAIQTGLLLSQPKPKPDLNQQPGPESDEDC >DRNTG_34128.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15018816:15019364:-1 gene:DRNTG_34128 transcript:DRNTG_34128.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENGCSSAVIDEERKPSEEATAAGARKERPYRGVRMRKWGKWVAEIREPNKRSRIWLGSYSTPVAAARAYDTAVYYLRGKSTRLNFPDEISADDDAAAEPLSAASIRKKATEVGARVDAIQTGLLLSQPKPKPDLNQQPGPESDEDC >DRNTG_34128.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15018469:15019364:-1 gene:DRNTG_34128 transcript:DRNTG_34128.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGCSSAVIDEERKPSEEATAAGARKERPYRGVRMRKWGKWVAEIREPNKRSRIWLGSYSTPVAAARAYDTAVYYLRGKSTRLNFPDEISADDDAAAEPLSAASIRKKATEVGARVDAIQTGLLLSQPKPKPDLNQQPGPESDEDC >DRNTG_01014.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17996898:18002874:-1 gene:DRNTG_01014 transcript:DRNTG_01014.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQWTIMAVAVAVEAALLLLLTLPSPKILKERLNAVVSLLLHPTFGVVPFSVFQLIDLYGKREHWLMCTSEVCNSSERDRYEKSIYKAQRNLILCFSACLLYWCLYCICKFHKAIKEMEEIEKHQRNL >DRNTG_01014.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17996898:18002874:-1 gene:DRNTG_01014 transcript:DRNTG_01014.2 gene_biotype:protein_coding transcript_biotype:protein_coding ARESSIDGVAVDDHGGGGGRRGGVAAAANLAFSEDPQGAPQCRRLPPAPPHLWRCPLFCFPANRFVREEGALADVHVRGLQLQREGSL >DRNTG_01014.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17998699:18002874:-1 gene:DRNTG_01014 transcript:DRNTG_01014.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQWTIMAVAVAVEAALLLLLTLPSPKILKERLNAVVSLLLHPTFGVVPFSVFQLIDLYGKREHWLMCTSEVCNSSERDRYEKSIYKAQRNLILCFSACLLYWCLYCICKFHKAIKEMEEIEKHQRNL >DRNTG_01014.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17996898:18002874:-1 gene:DRNTG_01014 transcript:DRNTG_01014.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARESSIDGVAVDDHGGGGGRRGGVAAAANLAFSEDPQGAPQCRRLPPAPPHLWRCPLFCFPANRFVREEGALADVHVRGLQLQREGSL >DRNTG_01014.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17998699:18002874:-1 gene:DRNTG_01014 transcript:DRNTG_01014.5 gene_biotype:protein_coding transcript_biotype:protein_coding ARESSIDGVAVDDHGGGGGRRGGVAAAANLAFSEDPQGAPQCRRLPPAPPHLWRCPLFCFPANRFVREEGALADVHVRGLQLQREGSL >DRNTG_07139.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9288052:9289350:-1 gene:DRNTG_07139 transcript:DRNTG_07139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIDKSGLKSKRKIKAPRIPPEINGKIERPIIQLEN >DRNTG_01322.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:37274:42960:1 gene:DRNTG_01322 transcript:DRNTG_01322.1 gene_biotype:protein_coding transcript_biotype:protein_coding STKPSWSPSHWPPSSSQTSHPSSTSPFLQPPCNTPNSSFASASVVFFSFPPTSPPKSASPSTTSSSITIPVSWPENC >DRNTG_01322.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:37274:39973:1 gene:DRNTG_01322 transcript:DRNTG_01322.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASTSSELKMTPTPQPTPDISHALLPVFKGEGNEHWNYRMRTFFRSQRLWKIVETGICKENPTEKDIEDDAKALFLLQQAVDETILHRIVRFDTAKEAWDHIKNENQGTSRMVSVRQQL >DRNTG_06695.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3452539:3453364:-1 gene:DRNTG_06695 transcript:DRNTG_06695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGQLGFNPLEAHDITTIICMEIGDVGTGEDFVLMLHLLLDTALIHCVKIVVFGVINIVHGTWDCRDLPWPDLNILVVVHGDRCQGLDSLGKTWRAMLSQKMVVRSKHELRDGYHLAARSILLNFFFFSCSRSEAKSLAKKTQRCSPGGTDKLDHWRSGPRLGSRA >DRNTG_32779.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3137182:3137894:-1 gene:DRNTG_32779 transcript:DRNTG_32779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVFRRVVKLTRPSASSPWPCPLLSLNSQEDKT >DRNTG_09670.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1311399:1313465:-1 gene:DRNTG_09670 transcript:DRNTG_09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTTSTNTELDETKIAQVPKSRSRTSSQVSCVQVSNPHHQYPLTRYQGSYVNRPEKRLKEVADIPLNNMKQL >DRNTG_25927.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001339.1:30953:33930:-1 gene:DRNTG_25927 transcript:DRNTG_25927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHVCYRNTINFSITSSCHSLGSNGSQR >DRNTG_25927.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001339.1:33189:33930:-1 gene:DRNTG_25927 transcript:DRNTG_25927.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHVCYRNTINFSITSSCHSLGSNGSQR >DRNTG_22223.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16560783:16563671:1 gene:DRNTG_22223 transcript:DRNTG_22223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAKKNEVKSLRLTSSEPIHVEFSNPEHQARFERLSALWFGQTCFTDVQVLRDIQKGDEVNNEVDEMLAVGSWRRLLMISEPAYRVLTLEVLASFEFRLLHGRVDTTEAIQFRPFRHPFSMSVTEFSIRTGLYDVAYTGTMQYGRLPVDFSVSITPYHAYRILCGRGEYESRLSKASSLSWFSYRYVHAVINRSVIGRADNTSALNRLDLLFLYSMARNVPLRLGCILVDVLQYQDHSTRVGLLFAGPYITRLILGMSLGDALRGAERTVVPTPLAFDTIRMMGLVRRFGPGVYIFTTATAETTRSEGDTAGGVQQTPPSSVAPGTRAYDRIERLETDVREIQTEIAELWAMQSAQYTDLVALFDFLQDLLRPRSSVPPAPPSSTPAPEDPLYATSAAAAAQEPESDSDT >DRNTG_08774.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5051428:5054386:1 gene:DRNTG_08774 transcript:DRNTG_08774.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIYPPLGDTHGHRRRTSGLWRTFHKQPLECKIDAPGRRPTRGYIKGCSVALNDIVDDVAVTAVEKIVYSLVNEIPDPVEPAAESAASKMDTIPEEQEQAKDVSPVDTVAVATVEKIVESVAVADSNASKQDTIPQQKEAYKGMSAVDAPDTIPQQQQQQQQPCKDVSAVDAVTVPASKEDAAGAEHRQGS >DRNTG_35356.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18351136:18357085:1 gene:DRNTG_35356 transcript:DRNTG_35356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDELRALQESIEGLKRSLPVSDHHDSISEMQQRVGRIMSLVKSSGGRRSKVKELSAEVVDSNPYSRLMALQRMGIVENYERIRNFSVAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDTVELANMNRLFFRPDQVGMTKTDAAVQTLSDINPDVVLESYSLNITTVKGFDTFIESLKRRKPEEDGRSSGVDLVLSCVDNYEARMVVNQACNELNQAWMESGVSEDAVSGHIQLLVPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNALKYLLKFGQVSPYLGYNSLKDYFPTMEMKPNPQCSNSACLERQKEYIQAKPARDALAKAKLEAESSSQTECPLHMDNEWNISVVDDSEAETSDHSRKPYALPEGLVRELPNADKYQEPPVSGGITAINDDLEELQRQLDALNSS >DRNTG_17209.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:306176:307706:-1 gene:DRNTG_17209 transcript:DRNTG_17209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFRLPAWVIKAIDRIRRDFLWSGPDIDKPGVRLVSWKALCRSREQGGWGILDLQNFNQALLGKWGWKLINNSNWGGAEIIHFNYGSSSGDLFPKRRGRMSYFWRGVLSVYPALRCCVSSVIHSGTDTLFWKDRWLKGRAPMYVWNELYRSCRQQDASFMDLAHLLEEYPFCEDAELVQIRDRWRNCGNGVRDRKCWALNGNGVFSVKSLYNFLNDGGARCEIANFFWKSKCPKKINIFAWLVWKNRILTLENLELRRCNKLPTATCVMCHADVESVDHLFLQCPIAREVWGFFGRLLGFPAPPSAMNCVWREWRGSVGPNSRAVVDLVVKALLWNIWIARNDRIFNDKFMSSYCILLCINRMLLSWFDALADGAKARLDDTMATVRRSLEFLESKNQRDRGDSTAEEAPDRSIE >DRNTG_28158.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:521076:521734:-1 gene:DRNTG_28158 transcript:DRNTG_28158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFIIRGHLDSTTTDGGRTGFFNSCRIGPGDFCGEELLTWALDPRPSACLPSSTRTVRAISEVEAFALVSSDLKFVASQFRRLHSKQQIQDQKPLLQDLWQAQGEEQQLKMLLLAWSNH >DRNTG_08751.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18615110:18619405:1 gene:DRNTG_08751 transcript:DRNTG_08751.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVLDHPKFSGETTVQSAITEVSAMVGENVKLRRGFALSTSSHGVVSTYLHTCPKPGLGRIAGILTLEAKDSNASLDAIHRVGSSLAMHIVAAKPLFLSKESVSSAALDSERDILKTQAESSGKSQMAIEKMVEGRLRKYFEEVVLLEQKFVVNDSVNIKSLLKDLSKEVGTDVEIGNFLRVEVGEGIQR >DRNTG_08751.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18615110:18619405:1 gene:DRNTG_08751 transcript:DRNTG_08751.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFQGFRRSLALQLKELDAWKQVRQSYCCRAFRMDQQIVESREIRKPYLMGSMLVRMFSGEVSASEQMNLIKQLRERTSAPIKDVKSSLVACNWDIEAAQKDLRKRGVVLASKKSSRTAAEGLLAIAQTENKVAVIELNCETDFVARNEIFQYLASSLARLALSSKSPTQKIQTPLSFDPDYFEV >DRNTG_08751.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18615110:18619405:1 gene:DRNTG_08751 transcript:DRNTG_08751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFQGFRRSLALQLKELDAWKQVRQSYCCRAFRMDQQIVESREIRKPYLMGSMLVRMFSGEVSASEQMNLIKQLRERTSAPIKDVKSSLVACNWDIEAAQKDLRKRGVVLASKKSSRTAAEGLLAIAQTENKVAVIELNCETDFVARNEIFQYLASSLARLALSSKSPTQKIQTPLSFDPDYFENMEIVLDHPKFSGETTVQSAITEVSAMVGENVKLRRGFALSTSSHGVVSTYLHTCPKPGLGRIAGILTLEAKDSNASLDAIHRVGSSLAMHIVAAKPLFLSKESVSSAALDSERDILKTQAESSGKSQMAIEKMVEGRLRKYFEEVVLLEQKFVVNDSVNIKSLLKDLSKEVGTDVEIGNFLRVEVGEGIQR >DRNTG_08751.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18615110:18619405:1 gene:DRNTG_08751 transcript:DRNTG_08751.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFQGFRRSLALQLKELDAWKQVRQSYCCRAFRMDQQIVESREIRKPYLMGSMLVRMFSGEVSASEQMNLIKQLRERTSAPIKDVKSSLVACNWDIEAAQKDLRKRGVVLASKKSSRTAAEGLLAIAQTENKVAVIELNCETDFVARNEIFQYLASSLARLALSSKSPTQKIQTPLSFDPDYFENMEIVLDHPKFSGETTVQSAITEVSAMVGENVKLRRGFALSTSSHGVVSTYLHTCPKPGLGRIAGILTLEAKDSNASLDAIHRVGSSLAMHIVAAKPLFLSKESVSSAALDSERDILKTQAESSGKSQMAIEKMVEGRLRKYFEEVVLLEQKFVVNDSVNIKSLLKDLSKEVGTDVEIGNFLRVEVGEGIQR >DRNTG_15335.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5012316:5013461:1 gene:DRNTG_15335 transcript:DRNTG_15335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSVNKRTGVGNFRKPVWFSVENFFSIPRRHRECVCPYELPSWTEKPQRRASALVGCAHGRGNFPHARVDAFRSIRCHPEKPQGCASAPVGLSCGVTRAWVSSTRPCG >DRNTG_31033.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30520044:30522736:-1 gene:DRNTG_31033 transcript:DRNTG_31033.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGINHRRVEVNGIGMHIAEKGEGGPVVLMLHGFPELWYSWRHQINGLAAKGYHAVAPDLRGYGDTDAPSAISSYSMFHLVGDIVALINVLGQDQVFVVGHDWGALVAWHLCLFRPDKVKALVNLSVPFMPRNPAVKPVDYFRSLFGDDYYICRNLEELKHNWPILILNNFSKCS >DRNTG_31033.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30520044:30522736:-1 gene:DRNTG_31033 transcript:DRNTG_31033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGINHRRVEVNGIGMHIAEKGEGGPVVLMLHGFPELWYSWRHQINGLAAKGYHAVAPDLRGYGDTDAPSAISSYSMFHLVGDIVALINVLGQDQVFVVGHDWGALVAWHLCLFRPDKVKALVNLSVPFMPRNPAVKPVDYFRSLFGDDYYICRCQEPGGIEAQLANFNIKQLFKMFLKFRDPGGFFMPKEGWVLPNDESSLPAWLSEEDLDHFSNKYEKTGFTGGLNYYRCLNSNWELLAPWTGAQIKVPTKYIVGDLDLTYHYPGVKDFVHKGGFKQVVPFLEDVVVMDGVAHFINQEKAHEVTEHILNFIQKF >DRNTG_07773.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1060200:1065529:-1 gene:DRNTG_07773 transcript:DRNTG_07773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNLALVIVAAVVCVLVLLINLYLLVNYQHPDDVNQAYFPKIVVVLGLSIAAISILMLPADVANRQACRHAIYNGACSLTLPMKTLWLAVYIADAVLVFLVIPFAMFYYEGDQDKSVGKRLRSALLWVIASAIICGLVLGILYGLVGKVDFTVRHLSSTTTSFPSSFTEFSSSQPCVGSTARQCSAYIASASSETTWTMRATFPEYVVALTTIVGSVLFSIFGGVGIACLPLGLIASFIRRPKAVITRSQYIKEATELGKKAKELKKAADTLHQEEKSSSKGRKWRKNVKAVEKELLLLEDDVKALEEMYPQGEKAETAWVLTVLGYLGKLVLGIIGVIVSVAWVAHIVIYLLINPPLFSFLNEVFIKLDDVWGLLGTAAFAFFCFYLLLAVIAGEMMLGLRLVFITIHPMKWGGTLMNSFLFNVALILLCSISVIQFCATAFAYYAQATAAEEIFGHTLQSLRGIKYLYKYNVFQIAFIAFAALTFVYYLLFGWRRRRPAAGRFQLTN >DRNTG_30542.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:12147698:12154419:1 gene:DRNTG_30542 transcript:DRNTG_30542.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISGAPPSSIASNRRRTRLPHAPLLLPSSLRLAPHRPFRRLSISARFHSSKASSGPNGSHEDHSSSGEEEELHHALPPPHTSGKAAPVFVTLPIDAVTLAGQMTRRKAIGASLMALANAGVEGITVEFWWGIVERDGPGVYDWSAYLDLVTLAESRRLKVRAIMAFHQCGTGPGPGHPCWIPLPQWVCEEMDKEPDLAYSDKYGRRNKEYISLGCDMLPFLRGRTPIQAYSDFMRSFRDTFRDFLGVIITEIQVGMGPAGELRYPSCPTEKLIRPGNEPELGEFQSYDKFMQASLNASARKLGVHQWGHGGPLGTTDVMHNPERTSFFKSDGSWNSAYGQFFLEWYSGMLLRHGERLCLTANAVFWGTGVKISAKVAAIHWHYGTCSHPSELTAGYYNTLTRDGYLPIAQLFSRYSMALCCTCFDVRDKEIQSESKSSPEGCLRQLVHAARMYNLSLCGENSVTRLDDESLKQVIKSCRLYSTGSNKSSLSFNYVRMNRDLFDPYNWNRFTRFVKQMSDARTFQAAAMLGLSYRPNGRYLSSLAADEVGRVVTCL >DRNTG_34100.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26362408:26362807:1 gene:DRNTG_34100 transcript:DRNTG_34100.1 gene_biotype:protein_coding transcript_biotype:protein_coding YHYQRVFCASFHLELIQLQQNLNHEEVQARHSEDQYVRVPP >DRNTG_13257.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13531195:13534046:1 gene:DRNTG_13257 transcript:DRNTG_13257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRWNPSILIEGNPELERTLRRGEEPAEELSNQAEIEVEGSDNMEQVPTTPGIMKKVLRKMKRARTRHRKRPKAVEDVRELNKLDEPWLDVGSECIYVVSNFLQSLQSFLRHKEKTHRRVEFPHSRGFLLRAHPEKAHGRGFAPVNGLVSFTRS >DRNTG_19846.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7345577:7350462:-1 gene:DRNTG_19846 transcript:DRNTG_19846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFPAFMRQFPSPPLIPSSTLLPLWPHAQNDEMLLAMEESELEDKLNEIRKTNNNLVVVGKTSVDYKEDFDADAEDDDADNVEESDGDDFEQETG >DRNTG_21615.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1243263:1245319:-1 gene:DRNTG_21615 transcript:DRNTG_21615.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor A [Source:Projected from Arabidopsis thaliana (AT2G30410) UniProtKB/Swiss-Prot;Acc:O04350] MATIRNLKIKTSTCKRIVKELHSYEKEVEREAAKTADMKEKGADLYDLKQQENVLAESRMMIPDCRKRLESSLADLKATLGVEIEEAENTIADVETLFQAEEE >DRNTG_20484.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21753974:21770753:-1 gene:DRNTG_20484 transcript:DRNTG_20484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDSNNNTTTAPPHVVLVPLMAQGHTIPMLDMARLLAQRGVHVTFITTPVNASRIKPIIARVHESKLPINFIEIPFPCAEAGLPIGCENFDLVPSIDLFVNFFQAIQLFAHPLEQSLKDLVPRPTCMINDMSNPWAANVARSLNIRTLIFHGPSCVYIYCSYVFQQHKIYETVTDEFEEISVPGLADNDDDDVVGQSFKVCKLHAPGWLNAPGFEKFRDEILHAEETTDGVVMNTFHDVEPMFVEAYKKVIGKDVWTVGPLCLYDKDDLSARIERGNKAAVDSEKLFGWLDSMEERSVLYVSFGSLTRMNVGQILEIGSGLEASGVPLLWVIKDVEKSPEVEEWLKGFEQRMSLRSFVINGWAPQAAILSHKSVGGFVSHCGWNSTLEAVSNGVPMITWPQFADQFLNGRLVVELLRIGIAIGVKKPAFYYGKDEIPVKKDDVERAVRSLMGDGEEAEERRIRAIEIKKKAIKAMEEGGSSYENITRLVEYIKHEPGKDVQGTQV >DRNTG_11319.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:9209120:9209382:-1 gene:DRNTG_11319 transcript:DRNTG_11319.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGIHTPSQSNLQPKTFALTLSKTKG >DRNTG_05708.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1788100:1791790:1 gene:DRNTG_05708 transcript:DRNTG_05708.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKPDPDALFSGGGISFLAGNKTGRFSYGYSSFKGKRSSMEDFYETRISEVDGQMVAFFGVFDGHGGSQVAEYLKSNLFKNVSNHPDFVKDTKSAIVEVFKQTDIDYLNEDKGQLKDAGSTASTVVLLGNRLYVANVGDSRVVACRSGSAIPLSNDHKPDRSDERERIENAGGFVIWAGTWRVGGVLAVSRAFGDKMLKPYVVAEPEIQEEEIEGVEFFIIASDGLWNVVSNKEAVEMVRAISDAETASRNLIQEAYNRGSSDNITCIVVRFNNP >DRNTG_03746.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000175.1:40883:44266:-1 gene:DRNTG_03746 transcript:DRNTG_03746.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDPLLKDLSEKKQSFRRSVVSLASELKDVRTRLASQEESIEKEMVNRKMAETKARTLEEEIGILQRCLEERDGQLQASTSTSEQYLKELDDLRSRLSITQTAAKASAASAESAQFQCLSLLKELDEKNSSLKEHEVRVNKLAEQLNHLQKDLETRELSQKQLKDEVMRMEEEIMHAISKSGANKDCKLMKIFDEVSAKNVENLQKHLNSKDEEIARLRDEIRFLSAHWKHKTKELESQLEKHRRADQELKKRIVKLEFCLQEARSQTRKLQRMGERRDKALKELRDQLAVNECGCTNKKSLWESSNFKIIVSMSMLFLVAFSRR >DRNTG_03746.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000175.1:40883:44266:-1 gene:DRNTG_03746 transcript:DRNTG_03746.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDPLLKDLSEKKQSFRRSVVSLASELKDVRTRLASQEESIEKEMVNRKMAETKARTLEEEIGILQRCLEERDGQLQASTSTSEQYLKELDDLRSRLSITQTAAKASAASAESAQFQCLSLLKELDEKNSSLKEHEVRVNKLAEQLNHLQKDLETRELSQKQLKDEVMRMEEEIMHAISKSGANKDCKLMKIFDEVSAKNVENLQKHLNSKDEEIARLRDEIRFLSAHWKHKTKELESQLEKHRRADQELKKRIVKLEFCLQEARSQTRKLQRMGERRDKALKELRDQLAVNECGCTNKKSLWESSNFKIIVSMSMLFLVAFSRR >DRNTG_03746.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000175.1:40883:44266:-1 gene:DRNTG_03746 transcript:DRNTG_03746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDPLLKDLSEKKQSFRRSVVSLASELKDVRTRLASQEESIEKEMVNRKMAETKARTLEEEIGILQRCLEERDGQLQASTSTSEQYLKELDDLRSRLSITQTAAKASAASAESAQFQCLSLLKELDEKNSSLKEHEVRVNKLAEQLNHLQKDLETRELSQKQLKDEVMRMEEEIMHAISKSGANKDCKLMKIFDEVSAKNVENLQKHLNSKDEEIARLRDEIRFLSAHWKHKTKELESQLEKHRRADQELKKRIVKLEFCLQEARSQTRKLQRMGERRDKALKELRDQLAVNECGCTNKKSLWESSNFKIIVSMSMLFLVAFSRR >DRNTG_15935.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:860341:860716:1 gene:DRNTG_15935 transcript:DRNTG_15935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDGCCPDCKFPGDDCPLIWGACNHSFHLHCILKWVNSQTLQPHCPMCRREWQFKG >DRNTG_05962.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20265505:20281584:1 gene:DRNTG_05962 transcript:DRNTG_05962.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILANILRKQGRLVELVDKSLGPNYLEEEAVMLLNLALICTSSSVSLRPTMTEVVNIIEGKKSVPVLSKKGKGSDSGLNTWLEAFEILSNNDSQLVSSSTCHEPWIASSATAYVEDKEDTWVSATSKGNSDYSEIGELS >DRNTG_13055.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:862530:867436:1 gene:DRNTG_13055 transcript:DRNTG_13055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGAPSSPSFEALSSRTARSLIDSVDAFLFDCDGVIWTGHKLIDGVPEVLQTLRSLGKKLLFVTNNASKSRNQYVKKFHTLGLDVCKDDIFSSSFAAAMFLKLNNFPQNKKAYVVGAEGILEEMNLAGYACLGGPEGGKNMELDLSLLTECDKSIGAVVVGLDKHINHHKLQYATLCIRENPDCLFIATDAAGHLLANLEQCSDYQCAGCTISSLCASTEKEPIVVGKPSSFIMDILVERFQINPAKMCMVGDRLNTDILFGQNAGCKTLLVLSGVTTLSALQDPSNDIYPNYYTSNVFNIVELLRT >DRNTG_34332.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002128.1:127214:131870:1 gene:DRNTG_34332 transcript:DRNTG_34332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYVLLLFLLLILLHLHYGNQSSYLFVLIWLQLPGRTDDEINNYWNTRIKRR >DRNTG_22565.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:10107562:10109277:-1 gene:DRNTG_22565 transcript:DRNTG_22565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVATEAQYHVLAVDDSLIDRKLIERLLKTSSYQVTTVESGNKALEFLGLHDEPRESPSVSPNNEIEVNLIITDYCMPGMTGYDLLKRVKESSSLKDIPVVIMSSENVPSRINRCLEEGAEEFFLKPVQLSDMKRLRPHILKGKMKEPQQQQQQQQKQPEQQELEQQQQQQQQQEQQHQTSSSNKRKAIDEGVSQERTRPRFSNGNSLTVI >DRNTG_18246.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22760526:22762051:1 gene:DRNTG_18246 transcript:DRNTG_18246.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDDGAVRVVVLPPPGMGHLIPFGELAKKLVSRHSISVTFITFSKFASKAQKAFFDALPPTITSIHLPPDSLADIPSDARIETRLSIATLRSLPALRSILQTLQQNAHVVAFIADLFTTDAFTVSKQLGIPSFLFFPSNLFTLSLFLHLPELDASTTCEFRDLPEPLQLPGCVPMPGSELLHPIQDRSNDCYKWMVHHGRRFRDADAILVNTFKDIEQETAKIINKEDIKWPPVYLVGPLIQSCSPDIELVNCLSWLDKQPKESVLYVSFGSAGRLTCSQMKELACGLEMSGQRFLWVVRTPSDIESDANYFNSMIIDDPVAFLPEGFVERTKNVGLLVPSWAPQVQVLAHGATGGFLSHCGWNSTLESVMHGVPMIAWPLHAEQRMNAVMLTEVVKVALRPVVAADGIYKSEEIAKVVKALMMEGEEGKCVREKAKELHVGGTR >DRNTG_18246.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22753002:22762051:1 gene:DRNTG_18246 transcript:DRNTG_18246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSELLHPIQDRSNDCYKWMVHHGRRFRDADAILVNTFKDIEQETAKIINKEDIKWPPVYLVGPLIQSCSPDIELVNCLSWLDKQPKESVLYVSFGSAGRLTCSQMKELACGLEMSGQRFLWVVRTPSDIESDANYFNSMIIDDPVAFLPEGFVERTKNVGLLVPSWAPQVQVLAHGATGGFLSHCGWNSTLESVMHGVPMIAWPLHAEQRMNAVMLTEVVKVALRPVVAADGIYKSEEIAKVVKALMMEGEEGKCVREKAKELHVGGTR >DRNTG_23273.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001262.1:32726:37243:-1 gene:DRNTG_23273 transcript:DRNTG_23273.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLMRKRNGGAERVLLSMLELSLVDAVLAAGKSLLWILSVVHALSHEADGSLIVGEISKGKPVAPRGDAKAEHVPEDKDSDSDDDGEEDDDDEPEDHDDEGGDVSDDEGPDSEGGEDEDPEVNGEGGSDDDDDDDDDDDDEDDEDDDEDEDDDDDDDEEDTPQPPAKKRK >DRNTG_23273.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001262.1:32726:37243:-1 gene:DRNTG_23273 transcript:DRNTG_23273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLMRKRNGGAERVLLSMLELSLVDAVLAAGKSLLWILSVVHALSHEADGSLIVGEISKGKPVAPRGDAKAEHVPEDKDSDSDDDGEEDDDDEPEDHDDEGGDVSDDEGPDSEGGEDEDPEVNGEGGSDDDDDDDDDDDDEDDEDDDEDEDDDDDDDEEDTPQPPAKKRK >DRNTG_31697.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2156052:2157883:1 gene:DRNTG_31697 transcript:DRNTG_31697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTGQHTTFALCGFIRAQGDADSALDRLWQKKKAEVRQQ >DRNTG_32187.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15323907:15324892:-1 gene:DRNTG_32187 transcript:DRNTG_32187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKTSVELLSLAGMLYSNYKMTLLLGPPGSGKTTLLLAMAGKLDSSLKASASVCSYCFLVVVIEKLSFIAVFV >DRNTG_01938.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32476279:32482208:-1 gene:DRNTG_01938 transcript:DRNTG_01938.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATCLALKLDGLVIIGGTTSNTDAAHLAEIFAEIKCSTKVVGVPVSLSGDLKNQFVETDVGFDTVCRVNSQLISNICIDALSAEKYYYFVRVMGRKASHVALECALQTHPNMVILGEEVNMSKLTISDIIKQIADAVQARAKQDKYHGVILIPEGLVESIPELYALLQEIHGLHSQGIAAENVPSKLSPWASALFEFLPSFIRKELLLIPESDESAQLSQIETEKLLAQLVELEINKRMKEGTYKGKKFSAVCHFFGYQARGSLPSKFDCDYAYVLGHICYHILEAGLNGYMAIVTNLKSPVNKWRCAAVPLTAMMTVKRYTPGAAPIAKPALHPTTVDLKARPFEIFREKASSFLMDDVYRNPGPLQFDGPGADTKAITLCIDDQDYMGRIKLFQEYLDEVKGIVKPGCSQDILKAALSSMASVKNVLSVISSPSFGAQTTL >DRNTG_01938.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32476279:32482208:-1 gene:DRNTG_01938 transcript:DRNTG_01938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYGLPRELSGLQKKRALYHPELPPCLQGIEVRVEFGDQTITSDSANARVICQAFPHTYGQPLVHFLRPTANVPDAQIISEHPPIRVGVVFCGRQSPGGHNVIWGLHNAIKSHNPSSVLLGFVGGSEGLFAQKTLEITDGVLSTYKNQGGFDLLGRTKDQIRTTEQVNAAMATCLALKLDGLVIIGGTTSNTDAAHLAEIFAEIKCSTKVVGVPVSLSGDLKNQFVETDVGFDTVCRVNSQLISNICIDALSAEKYYYFVRVMGRKASHVALECALQTHPNMVILGEEVNMSKLTISDIIKQIADAVQARAKQDKYHGVILIPEGLVESIPELYALLQEIHGLHSQGIAAENVPSKLSPWASALFEFLPSFIRKELLLIPESDESAQLSQIETEKLLAQLVELEINKRMKEGTYKGKKFSAVCHFFGYQARGSLPSKFDCDYAYVLGHICYHILEAGLNGYMAIVTNLKSPVNKWRCAAVPLTAMMTVKRYTPGAAPIAKPALHPTTVDLKARPFEIFREKASSFLMDDVYRNPGPLQFDGPGADTKAITLCIDDQDYMGRIKLFQEYLDEVKGIVKPGCSQDILKAALSSMASVKNVLSVISSPSFGAQTTL >DRNTG_30985.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18788156:18789566:1 gene:DRNTG_30985 transcript:DRNTG_30985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQLLRRNLCTSTTPIPRTPKPISNLISRIRTSDPHLIPDLISEASPQIPRLHQHRPLFHLAVSKLARAHRPDLIDRLLSSPPTPTSDGFLARIISLYSLARMPSRASEAFNSSAISPGPKSISALLSAFIDNRFQLDHLQENFNQLTKRLAFTPGIPLYNLLLKGLCQNGDLSAARKVLDEMPQRGLTPNVISYNALLHGFLDKGDEAGFQEVLREISNNKLELNVFTYNCRIKNWCQKGESFKGEELLDVMVSKGLKPDRMSFHSIINGYCEEGDVSSARKVFGKMRVMKRKGECDVTPAPEIYNVLVKGLVEKGEFEMAFKVCKESVGKKFALPFEVVKGLIDGLVKQGKGYEAGVVGKKMKMVVKGKEALDAWNEVEKGLALQ >DRNTG_20736.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001143.1:22058:23071:1 gene:DRNTG_20736 transcript:DRNTG_20736.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQVNHPFVIEKVVGQCRNPLIHPYKAICNLSIVSSVSPSFADTPAENGFAIFTIDFLMGGVLVVVSKIATAPIERILRNKGVRPLFKSDEANILLAVGGADVPPVYGKL >DRNTG_26430.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23946363:23946742:-1 gene:DRNTG_26430 transcript:DRNTG_26430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSSPTSASTSAVCSSGQPRRRMTDAVDLERCLLQFSEDDGDDSGSQGSHERQPSVG >DRNTG_26430.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23943545:23946742:-1 gene:DRNTG_26430 transcript:DRNTG_26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLSFTVVVLFSKAWLTDHIVEHGSLVRRIGIPLRTNQELLVSPAKRPHDVPVLEIWMKPNNYGYYQCIQRPRNRFRNGTNSDGYLLVHANGGLNQMRMGISDMVSVAKMMNATLVLPSLDHDSFWTDPSDFKDIFDWKHFIDALKDDVEIVESLPPKYARVKPFTMAPVSWSKGSFYRDLHKKLKKHKVIRFTHTDSRLTNNLAVPSVQKLRCRANYNALRYTREIEELGKTLVDRLRNDSGYYIALHLRYEKDMLAFTGCSHNLTAQEAEELRVMRYNVKHWKEKEINSSERRLEGGCPMTPREAAVFLKAMGYPSNSNIYIVAGEIYGENSMAALKSDYPNIHTHTSLATPEELEHFEMYQNRLAALDYIVALKSDVFVYTYDGNMAKAVHGHRRFEGFLKTINPDRQNFVKLIDELDAGALTWMEFKDKVNKNHSQRLGGPYLRKAGVLPKLEENFLCQSSSWLLM >DRNTG_31401.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2674465:2675532:1 gene:DRNTG_31401 transcript:DRNTG_31401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPDCAPPFSQCFLSARTQQDIERDEQIYNSYEKLTSQLPIRRDWSPFDLQLFQSFWIPTKFVPPCMAIQAHFNPRPSDILLVTSPKSGTTWLSALSFATLHRHSFSLSLSDNPLLTRTPRQCVPLLENFFFHRTIPNLNVLPSPTIFATHLPFSLLPESALRCRIVYLCRDPKDTFVSLCHFLESWMISSTEHKSTTQGFDLSKAFQMFSQGTSTFGPFWDHVLGYWKESLRSPEMVLFFRYEEMMEDPVNHLRRLGQFMGCPFSMEEERDGVVEDIVKLCSFDNLRELEVNKDNKGSLEEKRPPASSFFRKGKVGDWVNYLSMEMAEKLDAITNEKLHGSGLSFESSSVVP >DRNTG_20468.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001119.1:13522:15051:-1 gene:DRNTG_20468 transcript:DRNTG_20468.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIANISPCNLSFGETQNTLHWADRAKEIKTKGYVINDEAFQVPDSTTDQAKLVLELQKENSELRQQLVRNQQKLLSVQAQSLAANSSPAPSAVSTPLKSPLCSAQHKPKRSILSGNCFTTPETKKKETNETVVRELQKTVKYLEAEIERLKKDHIQQLKQKDEFIRELMNNKNGAKRVVTRESLRKGLRAATGDGELKSPSHRFQSPAPTAKKRTFWDISTANSPSVMAVNGRKTRSHVAADASAPSMLLQPGFARQRSEFPKL >DRNTG_20468.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001119.1:13522:14639:-1 gene:DRNTG_20468 transcript:DRNTG_20468.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKNGAKRVVTRESLRKGLRAATGDGELKSPSHRFQSPAPTAKKRTFWDISTANSPSVMAVNGRKTRSHVAADASAPSMLLQPGFARQRSEFPKL >DRNTG_30594.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8177855:8180034:-1 gene:DRNTG_30594 transcript:DRNTG_30594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLAFRAKTVAGHCSNTVAITIATMFTTGREIRETENPHGHVEIIHARVEIPHGRVYRPRPWSCQIPALFKADSAPILVFFSPSFPQLVRGLRLGFRGVFAKVLGKFYGSDIVIPLGRRLVGELRSSVSYTGRRNLWKTSRGLSTRPSTRSSRGFLYGFIAFTFDFFDFTKLYGELNP >DRNTG_07130.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4766728:4768992:1 gene:DRNTG_07130 transcript:DRNTG_07130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGPISGGTLTSRSLSCSTDEDFRSFGSSMTEDQFTASSIFQSQSFQMDKHSQNFDQASTSKSVTSENQFDASSELEKLRIVLKRVQGMCQITQSESNEVSQQITELTRLREDKLLELKQLELNEEILIESARQEMERHAATEAEAEVVRQCADEEASQKRNVEFNTSQETDEKYSNERYRKFTWEEIETATSLFSEALQIGAGANGKVYKGSFHHTVAAVKILHSNESYGTKQFRQELEILSRIQHPHLLMLLGACPDRGCLVYELMKNGSLEDRLNCKDNTPPLPWYDRYRIAWEVALALSFLHNSKPEPIIHRDLKPANILLDENFVSKIGDVGVSSLIPTVNVNSLLSTIYKDTAPVGTLCYIDPEYQRSGLVSPKSDVYALGIVILQLLTARPPMGLTHIVETAIEEGTLMDILDTGAGKWPLEETQKLAAVGLNCAEMRRKDRPDLENQVLPFLERLKRIADNACDLAQLAPSIPPNHFICPLLKDVMDDPCVASDGFTYERKEIERWFGMSDNSPMTKLKLPDKNLVPSLSLLSAIKEWKKSRSQ >DRNTG_07130.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4765134:4768992:1 gene:DRNTG_07130 transcript:DRNTG_07130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRISKQAPRFCNVYVVSKGKLSSVRPPASGTDDSPRAESNSSFRSLGHSSDSFSVKSESSETDTTTSASCHLAPLPTQRRQALAAINYKALNMGPISGGTLTSRSLSCSTDEDFRSFGSSMTEDQFTASSIFQSQSFQMDKHSQNFDQASTSKSVTSENQFDASSELEKLRIVLKRVQGMCQITQSESNEVSQQITELTRLREDKLLELKQLELNEEILIESARQEMERHAATEAEAEVVRQCADEEASQKRNVEFNTSQETDEKYSNERYRKFTWEEIETATSLFSEALQIGAGANGKVYKGSFHHTVAAVKILHSNESYGTKQFRQELEILSRIQHPHLLMLLGACPDRGCLVYELMKNGSLEDRLNCKDNTPPLPWYDRYRIAWEVALALSFLHNSKPEPIIHRDLKPANILLDENFVSKIGDVGVSSLIPTVNVNSLLSTIYKDTAPVGTLCYIDPEYQRSGLVSPKSDVYALGIVILQLLTARPPMGLTHIVETAIEEGTLMDILDTGAGKWPLEETQKLAAVGLNCAEMRRKDRPDLENQVLPFLERLKRIADNACDLAQLAPSIPPNHFICPLLKDVMDDPCVASDGFTYERKEIERWFGMSDNSPMTKLKLPDKNLVPSLSLLSAIKEWKKSRSQ >DRNTG_07130.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4764553:4768992:1 gene:DRNTG_07130 transcript:DRNTG_07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRISKQAPRFCNVYVVSKGKLSSVRPPASGTDDSPRAESNSSFRSLGHSSDSFSVKSESSETDTTTSASCHLAPLPTQRRQALAAINYKALNMGPISGGTLTSRSLSCSTDEDFRSFGSSMTEDQFTASSIFQSQSFQMDKHSQNFDQASTSKSVTSENQFDASSELEKLRIVLKRVQGMCQITQSESNEVSQQITELTRLREDKLLELKQLELNEEILIESARQEMERHAATEAEAEVVRQCADEEASQKRNVEFNTSQETDEKYSNERYRKFTWEEIETATSLFSEALQIGAGANGKVYKGSFHHTVAAVKILHSNESYGTKQFRQELEILSRIQHPHLLMLLGACPDRGCLVYELMKNGSLEDRLNCKDNTPPLPWYDRYRIAWEVALALSFLHNSKPEPIIHRDLKPANILLDENFVSKIGDVGVSSLIPTVNVNSLLSTIYKDTAPVGTLCYIDPEYQRSGLVSPKSDVYALGIVILQLLTARPPMGLTHIVETAIEEGTLMDILDTGAGKWPLEETQKLAAVGLNCAEMRRKDRPDLENQVLPFLERLKRIADNACDLAQLAPSIPPNHFICPLLKDVMDDPCVASDGFTYERKEIERWFGMSDNSPMTKLKLPDKNLVPSLSLLSAIKEWKKSRSQ >DRNTG_07130.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4764831:4768992:1 gene:DRNTG_07130 transcript:DRNTG_07130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRISKQAPRFCNVYVVSKGKLSSVRPPASGTDDSPRAESNSSFRSLGHSSDSFSVKSESSETDTTTSASCHLAPLPTQRRQALAAINYKALNMGPISGGTLTSRSLSCSTDEDFRSFGSSMTEDQFTASSIFQSQSFQMDKHSQNFDQASTSKSVTSENQFDASSELEKLRIVLKRVQGMCQITQSESNEVSQQITELTRLREDKLLELKQLELNEEILIESARQEMERHAATEAEAEVVRQCADEEASQKRNVEFNTSQETDEKYSNERYRKFTWEEIETATSLFSEALQIGAGANGKVYKGSFHHTVAAVKILHSNESYGTKQFRQELEILSRIQHPHLLMLLGACPDRGCLVYELMKNGSLEDRLNCKDNTPPLPWYDRYRIAWEVALALSFLHNSKPEPIIHRDLKPANILLDENFVSKIGDVGVSSLIPTVNVNSLLSTIYKDTAPVGTLCYIDPEYQRSGLVSPKSDVYALGIVILQLLTARPPMGLTHIVETAIEEGTLMDILDTGAGKWPLEETQKLAAVGLNCAEMRRKDRPDLENQVLPFLERLKRIADNACDLAQLAPSIPPNHFICPLLKDVMDDPCVASDGFTYERKEIERWFGMSDNSPMTKLKLPDKNLVPSLSLLSAIKEWKKSRSQ >DRNTG_30281.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11180980:11188340:-1 gene:DRNTG_30281 transcript:DRNTG_30281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMVSILSACALLHNHIRREMTLDPLEAELDESMWISNLSQMHKASNILRLPMHG >DRNTG_04651.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30512485:30512864:-1 gene:DRNTG_04651 transcript:DRNTG_04651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGPNISRHPQKRQKMLDVANKPSYGKKLR >DRNTG_25205.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20188351:20188963:-1 gene:DRNTG_25205 transcript:DRNTG_25205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRMVLGSRAHSLFLLFSLFLCLFSFVKGELRLNFYSESCPQAEDIVKEEVEKLYHKHGNTAVSWVRNLFHDCMVKSCDASLLLEDTSSTISE >DRNTG_12161.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12170238:12170488:-1 gene:DRNTG_12161 transcript:DRNTG_12161.1 gene_biotype:protein_coding transcript_biotype:protein_coding QTPSSSPIPHSSSSKNTESQSSASPQLHDRSQTSSSSQTLPSLAKLCLPFS >DRNTG_02025.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12991169:12997010:-1 gene:DRNTG_02025 transcript:DRNTG_02025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETMASSFWGPVTSSTEWCEKNYTHSSYIAEYYNTISNIPCILLALIGLINAMRQRFEKRFSVLHISNMILSIGSMLFHATLQHVQQQGDETPMVWEMLLYIYVLYSPDWHYRSTMPTFLFLYGAGFAIVHALVRFGIVFKLHYIGLCLLCIPRMYKYYIHTKDIAARRLAKLYVATISLGSLCWSLDRLFCRQLTNWYIYPQGHAWWHVLMGFNSYFANTFLMFCRAQQLEWNPKVVHLFGFFPYVKIKKPKKQ >DRNTG_17256.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5693283:5694325:1 gene:DRNTG_17256 transcript:DRNTG_17256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPLELSIEKENEDDDDYPTRNPIRIVRLGDRIIEVSILNDEGNFAVKRWFQERDREKRRSPLVAGLSFFHGSPERCSWYKSSPYSSSKVIAGIALCLGGSHCLFIDNSSSYDRVTTARALRDFLAERRVTIVGVGFKKAVESFEKDWDIHLGKTVEARTLMEDAYGKCKMMGRNGLEEMAEIALNGMRVRRLPITTEKKYWYDDIDEDRVLQATFDAFLCFEIGVKCLQMLGRPT >DRNTG_30260.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5076906:5079631:1 gene:DRNTG_30260 transcript:DRNTG_30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDPRQVLAGMLTISMFAMLGNMIIKDHFDYIEDGIRSDVHFNVLKVESELPSKINGPWEEMKQELKPCWKPPSLIAGAESNGYITLSLKSGPEFHMPQIAVAVVIARHLGATLVLPDIRSGELGQKSLWGVVDITREIPADVASENPTVVKVPNQVSEDFLVKNIDPIFETNRYLRLAISYPLTNMKLEVKQNNDIKSTTCLAMFSSLELKQEIREVVDQVVENLRALSNRSDGKFIAVDLKGELLEKKACQEVGASGRKNCYNGYEIGQFLKKIGFDTETTIYITQTWWHENAKALKDIFPRTYTKVSSVTKTA >DRNTG_21261.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:180576:183721:1 gene:DRNTG_21261 transcript:DRNTG_21261.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFQKMVEVEVQGASPKHNNYAFACAMLASMASILLGYDIGVMSGAALYIKDDLNINDTQIEILIGILNLYSLAGSIAAGYTSDWIGRRFTLVLAAFIFFLGALLMGFATSYLFLMIGRFVAGIGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFINSGILLGYISNYAFARLPLHLGWRFMLGVGAIPSIFLAAGVLAMPESPRWLVMQGRLADATKVLSKTSTTQQEAHLRLQEIKEAAGIPLDCTADVVAVPKRPRRSAADGVWAELLIHPTKTVRRIMICAVGIHFFQQASGIDSVVLYSPRVFEKAGIKKKTTLLGATIAVGFTKTIFILVATFMIDKMGRRPLLLAGVAGMILSLSGLGLGLTVIDHHETHNTPWIALCITCILAYVAFFSIGPGPITWVYSSEILPLRLRAQGASLCVAMNRVTSGVITMTFISLYKAITIGGSFFLYAGVAAVAWVFFFTLLPETRGRTLEDTEELFGGKKNSLNMEGKEGNEVELVKNENK >DRNTG_19244.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:260288:262054:-1 gene:DRNTG_19244 transcript:DRNTG_19244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPRPSHPTCPSRCKLTHQSGPHQLWTDQVMVSLHIILIDHLKIKMNSIIRVYAMIFITFFFSGLMQLSQAQATAPSRLVDAKAIDQGIAYVVMLLALLITYFVH >DRNTG_16116.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1509793:1511529:-1 gene:DRNTG_16116 transcript:DRNTG_16116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMSKKTIVSVPRNAYRAVMEIYVTAHADDQYWYANPLRSVPIDTKDPLSSAKANGGFRQVVLTIDGKYAGAVVPFPIIYPSSVNPYFWSPVTAIAAYNIPSYELNLTPLMSMLLNGREHEFGLKVRDAQPHWRVTANLHVWLDAWSDGVEAGLLQYRVPPLKINRQAYWKEKDGKSEVDGQVIVRFAGWVSSSAGNITTSVRERLKFKSHVMVQGRGTMKQVAMESKVRMNVRVEKERTVIGRMVMNMEAPLEIMTLSSNGGGGSVFERTKMNHGLEEMKSVVRGKEVVVSMVSDRQDSEGSCLMEEGMAVWGSGDSRSVYKYRDDKVCYLRSLNVVGGRIMDDVATPSCVALADE >DRNTG_04144.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000197.1:35957:37151:1 gene:DRNTG_04144 transcript:DRNTG_04144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEVEELLSMGTRRRLLSIREPAIHMLTLEGMEKPWRA >DRNTG_16169.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20540866:20541588:-1 gene:DRNTG_16169 transcript:DRNTG_16169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMYPEANIPVCQLSVQTKKDGTYHYNMGKALAPLRDEGVLVLGSGSATHNLRALGPDDAPIPNWALEFDSWLNQSLINGRYEDVNRFEVKGPNAKMVHPWPDHFYPLHVALGAAGGSAKAELIHSSWTNSSISYSSYRFTSAE >DRNTG_08224.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14508817:14512754:-1 gene:DRNTG_08224 transcript:DRNTG_08224.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKRGKQQRQPARPRLRDLSSFVDESDHLPSSAYDPPSHQDKESGASEEEDDRRAEEKWIDGSPSKFELYQRSVQSPKGDISYMQKFFLMYVGGRMPLHLQEDFCGTALLSCEWIRSDPRRTAVGLDLDLEALNWCLEKNLANIGIDGCSRISLFHGNVLKPQEAHLVKQMVEDFGRNVNLGVQDITTEVARMNVGSESGKEVCSISSTMKEVGLPGRDIICAFNYSCCCLQRRTDLVMYFKHALHALSKKGGIFVMDVYGGTSAECKLRLKRKFPNFTYVWEQADFDIINRTTRISLHFQLGKKHIIQHAFSYNWRLWSLPEIQDCLKEAGFCSVHFWVRTMPNTNSIGNTAEDTVDQDLKYEELSSFQQQDAWNAYIVGVAN >DRNTG_08224.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14508817:14513529:-1 gene:DRNTG_08224 transcript:DRNTG_08224.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNERKVKKEAGRSWIQIKNKVHSFLAADRSHPLSESIYEKLKEMTKRLKDEGYCADTDLVLHEVEEEHKETMLSRHSERLAIAFGLISTPQGTPLQIVKNLRVCTDCHTAIKMISKLEERGIVVRDSNRFHHFKDGSCSCGDYW >DRNTG_29419.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1831011:1832990:1 gene:DRNTG_29419 transcript:DRNTG_29419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKYSRLIHRVTAPHRSLSSFSASPDDLLRAQVSGGDLDAAAATLLNLSTTRPPPISSLHLVFSSLSNGDHFHSAISLYDSLSKFPSFSPDLITFNILLKCYSLARRSDLARRLFDEMRLRSIRPDTVSFNTLIKCFCNENNIAASLEVLQTMKDNGLRPNGFTYSMLIGLVSRGCSNSELGLGLIHEMLALGLMPSTVNWNCVLAALCKDGKVGTARSVYAMMGKAGALVDVTAYTCFLQLLCRERMVGDAKKVFDEMSEKGVHPSVVTYNTLLQGLCVNKHLKDAIKMLNQMIVNGPRPSVVTYTTVMGCLCGEGRLEEALGFLDQMMRQGLKPDKSMYSILLGGLCDGGRMEDAVKLFRLMEVCGFNDIIAYNILIHSFCKSGNMNKAKDVFDEFVSKGFKPDVIMHTSLMDGFCRTEEMEAAKELFDDMQRKGLKPDIIAYSTMMEGFCRLGRLEEAQGLLFDMESRNLQPNKVIYTLLVIAFCRAGNLDQAAYYLGEMVDQGLLLNDKTCRLVIDKICSGSVPQGAGCLLNYVNEKELGADQMIITDILNHYCKNMRMNEAADFIRRMPEKGSHSGIVSSNARMHEHCIKGEIQDAVNVLHGIVMNDIVPSTFGVSLFLDHVCSSGQLDRFLEVLPIQFGESGNSSSEICEVL >DRNTG_08660.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16830666:16834751:-1 gene:DRNTG_08660 transcript:DRNTG_08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEQIVRKESMEVVDDDGHQRTGTVWTATSHAITAVIGSGVLALPWSVAQLGWTIGPATLVACAYITYYTANLLSDCYRSPDGKRNYTYMHVVRSCLGARDVFVCGIAQYTLLWGSMIGYTITVAMSMMAVERSNCFHHKGPNAKCGASGNLYMIIFGLVEILLSQFPNLEKITVLSVVAAAMSFTYSFIALALCIAKFASHGSIKGTLLGVKITGDVSASTKVWQSLQALGNIAFAYTYSMLLIEIQDTLKSPPPENKTMKRATRYGIGVTTMFYVSLGCVGYAAFGNTVVGNILTGFYNPFWLVDIANIAVVIHLVGAYQVYGQPIYAKYEEWLANKWPESAFFHNVYAIRSFKFTLCKLVFRTAFVVLTTLIAMMLPFFNAVVGLLGSVAFWPLTVYFPVTMYMTRNKIKRGHNQWFALQALSVAALLVSLLAAIGSVADIAQRLKHATIFKTQL >DRNTG_08660.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16830666:16834751:-1 gene:DRNTG_08660 transcript:DRNTG_08660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEQIVRKESMEVVDDDGHQRTGTVWTATSHAITAVIGSGVLALPWSVAQLGWTIGPATLVACAYITYYTANLLSDCYRSPDGKRNYTYMHVVRSCLGARDVFVCGIAQYTLLWGSMIGYTITVAMSMMAVERSNCFHHKGPNAKCGASGNLYMIIFGLVEILLSQFPNLEKITVLSVVAAAMSFTYSFIALALCIAKFASHGSIKGTLLGVKITGDVSASTKVWQSLQALGNIAFAYTYSMLLIEIQDTLKSPPPENKTMKRATRYGIGVTTMFYVSLGCVGYAAFGNTVVGNILTGFYNPFWLVDIANIAVVIHLVGAYQVYGQPIYAKYEEWLANKWPESAFFHNVYAIRSFKFTLCKLVFRTAFVVLTTLIAMMLPFFNAVVGLLGSVAFWPLTVYFPVTMYMTRNKIKRGHNQWFALQALSVAALLVSLLAAIGSVADIAQRLKHATIFKTQL >DRNTG_07651.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23339932:23341834:-1 gene:DRNTG_07651 transcript:DRNTG_07651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLLIALLILATSAAFTEARCDFPAIFNFGASSSDTGGSTAAFPPQISPYGMTYFGKPVGRASDGRLPIDFIAQGLGLPFLSPYLKSIGSNFAHGANFASSGSTILMPNTSSGISPFYLTIQLNQMKEFKNRVLELSPQGNYLPPKDIFNKALYIFDIGQNDFTRMLATVGIQGVRQYLPQMAFQISAGIKEIYNELGGRTFMVFNMAPIGCYPAFLTDLPHNNSDLDLYGCMISYNNEAQNYNKMLKEKLSETRNLLPDATIVYVDTHSIKLDLFQHPKDHGLVYGTKACCGYGGEYNFNVHVICGNTKVIDGKKITASPCKDPENYVSWDGVHTTDAANKLIALGVLNGSFSEPVFPFIKFCDLKPVG >DRNTG_03727.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:19567463:19568884:1 gene:DRNTG_03727 transcript:DRNTG_03727.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKERVTRDNFGSQVKVLRKVSAGAKSQSRHARPLGRVFTHFRVFTLRQEKKCPPLLQNGYAGIREEQRLTMFMTNWIPTYQEGVKIKLHLRIVVGLGVKHPMVGPRHSASLPVLRKKKLTSPFFIDLGEKEPSTSWEARHQGCGLMRITKLTCRSWLLAQQGGALPHCSRTRGTVLGGASGF >DRNTG_33818.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2909769:2915419:-1 gene:DRNTG_33818 transcript:DRNTG_33818.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAHRLVAVIKVPGGDDFLVVRQEPPPSLPEEEYQRYVDTDLWDLPSAPLKLLEGGIRSDVVVQGSESCSDKLDLGNFDLDAALKEVISLVVLDSAINKDWMFWKFVEEAEFGPEPLIHTVFVLGRLESHVEALQESCKLMSKENALKLLVDVRPGNDRLGSLFVLGLLPDSAELKKWKPPSSLRYQEYPPGVILAPLASRTLKPFHTTNLVVVVSDKSIGGIEGPSNIAYGDALLMDPGCCSQSHKELKELVASLPRKLVVFVTHHHHDHIDGLSVVQQCNPDSILLAHENTLTRIGKEAWTLGYTLISGGERIQIGDQQLEAIFAPGHTDGHLALLHVSTNSLVVGDHCVGHGSTILDGKAGGNMKDYFQTTYNFLERSPNVLIPMHGRLNMWPKRMLCGYLKHRRDRELSILKAIESGAYTMSDIISKSYPGLDPKLWIPASWNVRLHVDHLALQGKLPKDFSLQKFRASCILFAPKLIWAYLPNLKLTPTKLLAFAVAGGLATVIITNVFSSKTS >DRNTG_33818.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2909769:2914713:-1 gene:DRNTG_33818 transcript:DRNTG_33818.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKFVEEAEFGPEPLIHTVFVLGRLESHVEALQESCKLMSKENALKLLVDVRPGNDRLGSLFVLGLLPDSAELKKWKPPSSLRYQEYPPGVILAPLASRTLKPFHTTNLVVVVSDKSIGGIEGPSNIAYGDALLMDPGCCSQSHKELKELVASLPRKLVVFVTHHHHDHIDGLSVVQQCNPDSILLAHENTLTRIGKEAWTLGYTLISGGERIQIGDQQLEAIFAPGHTDGHLALLHVSTNSLVVGDHCVGHGSTILDGKAGGNMKDYFQTTYNFLERSPNVLIPMHGRLNMWPKRMLCGYLKHRRDRELSILKAIESGAYTMSDIISKSYPGLDPKLWIPASWNVRLHVDHLALQGKLPKVLLFISKVNFFLQSII >DRNTG_33818.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2909769:2915419:-1 gene:DRNTG_33818 transcript:DRNTG_33818.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAHRLVAVIKVPGGDDFLVVRQEPPPSLPEEEYQRYVDTDLWDLPSAPLKLLEGGIRSDVVVQGSESCSDKLDLGNFDLDAALKEVISLVVLDSAINKDWMFWKFVEEAEFGPEPLIHTVFVLGRLESHVEALQESCKLMSKENALKLLVDVRPGNDRLGSLFVLGLLPDSAELKKWKPPSSLRYQEYPPGVILAPLASRTLKPFHTTNLVVVVSDKSIGGIEGPSNIAYGDALLMDPGCCSQSHKELKELVASLPRKLVVFVTHHHHDHIDGLSVVQQCNPDSILLAHENTLTRIGKEAWTLGYTLISGGERIQIGDQQLEAIFAPGHTDGHLALLHVSTNSLVVGDHCVGHGSTILDGKAGGNMKDYFQTTYNFLERSPNVLIPMHGRLNMWPKRMLCGYLKHRRDRELSILKAIESGAYTMSDIISKSYPGLDPKLWIPASWNVRLHVDHLALQGKLPKPLQLPEASPR >DRNTG_10171.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22982620:22986391:-1 gene:DRNTG_10171 transcript:DRNTG_10171.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQKGLGSGRARSPMSIIIVIALCCFFYALGAWQRSNFGKGDSIAMRITRGADCSVLPTLNFETHHRFGEGFKNHDSGVKLFKPCDARYIDYTPCQDQNRAMTFPRENMIYRERHCPPEKEKLHCLIPAPKGYTAPFPWPKSRDYVHYANVPYKSLTVEKAVQNWVQYEGDVFKFPGGGTMFPQGADAYIEELASVIPIADGTVRTALDTGCGVASWGAYLLKRNVLSMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRAFDMAQCSRCLIPWTSNGGLYMMEVDRVLRPGGYWILSGPPINWKTYYQTWKRSKDDLQKEQRKIEELAELLCWEKKYEKGDLAIWRKRINTNSCQSRKNDLSVKICKGADADNIWYKKMQLCVTPYPVVKSSDEVAGGKLKKFPDRLFAVPPRVSGGSLPGVTAETYQEDNKLWKKHVNAYKKMNKLISSGRYRNIMDMNAGFGGFAAALESPKLWVMNVVPTIAKKNTLGVIYERGLIGIYHDWCEAFSTYPRTYDLIHASSLFSLYQNKCKIEDILLEMDRILRPEGSVILRDDVDVVNKVKKIVSGMRWDARMLDHEDGPLVPEKILIAVKRYWVVGGNTSAVQ >DRNTG_12582.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:22643847:22649642:1 gene:DRNTG_12582 transcript:DRNTG_12582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLHATSQGSSVCSKARLHLWFSNIPRLRYSGEQHMVMRCSCQS >DRNTG_22456.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7238250:7239548:1 gene:DRNTG_22456 transcript:DRNTG_22456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSTSYAKLSPRPFLGLILRPRCHKAHAHPQESITGAGARPCGLSGKPSLFLNTLALVCG >DRNTG_32183.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4731231:4735949:-1 gene:DRNTG_32183 transcript:DRNTG_32183.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein ACR2 [Source:Projected from Arabidopsis thaliana (AT5G25320) UniProtKB/Swiss-Prot;Acc:F4JWR0] MDLFISKSYISFDAGWLMDVFHVKDQQGNKVTDNGVINYLQQSIVTRRELKSSGDPKACTEDAVEVEPESTSECTAIEMIGTNRPGIFSEISAVLAEQKCNIIEAHAWSHNACVACVAYVSDESTSTCIHDPSRLATIEDHLSTVLRPTTITDDEFKGAKTRFLGCDSSTSHKEHRLHQLMLANRDFDESPGSARASFSSMGSNGDEDGRRTTVSIDPFNEKGYSCVNVECPDRPKLMFDIVCTLTDLQYVIFHASITSHGLFAYQEYYIRHKDGFILNSEQEKQRVAKCLEAAIERRVCEGVRLELCAKTSVGLLPYVTRILREYGLTVTRADIATDGGKTKNVFYVQDISGNEVDMDILESMQKELEPLAFQVKNEVLRRTTSMEKESFSFGSLLKSQLEWFSHNYIRD >DRNTG_32183.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4731231:4735949:-1 gene:DRNTG_32183 transcript:DRNTG_32183.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein ACR2 [Source:Projected from Arabidopsis thaliana (AT5G25320) UniProtKB/Swiss-Prot;Acc:F4JWR0] MSMKEVCCPYFDPEFENLNERIYGPRVYVDNDSCEKCTVVKVDSLNKQGLLLEVVQVLTDMDLFISKSYISFDAGWLMDVFHVKDQQGNKVTDNGVINYLQQSIVTRRELKSSGDPKACTEDAVEVEPESTSECTAIEMIGTNRPGIFSEISAVLAEQKCNIIEAHAWSHNACVACVAYVSDESTSTCIHDPSRLATIEDHLSTVLRPTTITDDEFKGAKTRFLGCDSSTSHKEHRLHQLMLANRDFDESPGSARASFSSMGSNGDEDGRRTTVSIDPFNEKGYSCVNVECPDRPKLMFDIVCTLTDLQYVIFHASITSHGLFAYQEYYIRHKDGFILNSEQEKQRVAKCLEAAIERRVCEGVRLELCAKTSVGLLPYVTRILREYGLTVTRADIATDGGKTKNVFYVQDISGNEVDMDILESMQKELEPLAFQVKNEVLRRTTSMEKESFSFGSLLKSQLEWFSHNYIRD >DRNTG_32183.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4731231:4733472:-1 gene:DRNTG_32183 transcript:DRNTG_32183.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein ACR2 [Source:Projected from Arabidopsis thaliana (AT5G25320) UniProtKB/Swiss-Prot;Acc:F4JWR0] MIGTNRPGIFSEISAVLAEQKCNIIEAHAWSHNACVACVAYVSDESTSTCIHDPSRLATIEDHLSTVLRPTTITDDEFKGAKTRFLGCDSSTSHKEHRLHQLMLANRDFDESPGSARASFSSMGSNGDEDGRRTTVSIDPFNEKGYSCVNVECPDRPKLMFDIVCTLTDLQYVIFHASITSHGLFAYQEYYIRHKDGFILNSEQEKQRVAKCLEAAIERRVCEGVRLELCAKTSVGLLPYVTRILREYGLTVTRADIATDGGKTKNVFYVQDISGNEVDMDILESMQKELEPLAFQVKNEVLRRTTSMEKESFSFGSLLKSQLEWFSHNYIRD >DRNTG_00246.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25959468:25965107:-1 gene:DRNTG_00246 transcript:DRNTG_00246.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWERMLDCHKHQCIIISSVSNNGSAKVSVRPESQRQVAALVVFELNSLYSSFTKWISAHESYLEAINGWLLKCVFPLRHKSSRSRRNSEFSQFSPRRDGAPPIFVTCRDWLKMLSELPTREVEGAIKNLVSITTHFLPHQEKIHGNSKPLFSLSKNAGKYEELKEGIQRNETQVDQWNLDGFQSGLANLLYQLKTFAESSLKSYGALQMSIEAAREAYEKD >DRNTG_00246.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25959468:25965107:-1 gene:DRNTG_00246 transcript:DRNTG_00246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEKQHVSANKFATKDLMTCVWEIEDLFLKASESGKEVPRMLEAYKENFRPLFSEYKARRSKASAYLASCVGWCGEETPLHEESAPNETKYLTWHRSESSLSSSSRNLIGVSSKDDGASFSDNILNSRFMNSGSHASTLDRLYAWERKLYDEVKASGIIRREYDAKCRLLRHQESRGESPYKIDKTRACVKDLHSRVKVSIHRIDSISKKIEELRDNELQPQLEELIEGLAQMWERMLDCHKHQCIIISSVSNNGSAKVSVRPESQRQVAALVVFELNSLYSSFTKWISAHESYLEAINGWLLKCVFPLRHKSSRSRRNSEFSQFSPRRDGAPPIFVTCRDWLKMLSELPTREVEGAIKNLVSITTHFLPHQEKIHGNSKPLFSLSKNAGKYEELKEGIQRNETQVDQWNLDGFQSGLANLLYQLKTFAESSLKSYGALQMSIEAAREAYEKD >DRNTG_00246.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25959468:25964079:-1 gene:DRNTG_00246 transcript:DRNTG_00246.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEKQHVSANKFATKDLMTCVWEIEDLFLKASESGKEVPRMLEAYKENFRPLFSEYKARRSKASAYLASCVGWCGEETPLHEESAPNETKYLTWHRSESSLSSSSRNLIGVSSKDDGASFSDNILNSRFMNSGSHASTLDRLYAWERKLYDEVKASGIIRREYDAKCRLLRHQESRGESPYKIDKTRACVKDLHSRVKVSIHRIDSISKKIEELRDNELQPQLEELIEGLAQMWERMLDCHKHQCIIISSVSNNGSAKVSVRPESQRQVAALVVFELNSLYSSFTKWISAHESYLEAINGWLLKCVFPLRHKSSRSRRNSEFSQFSPRRDGAPPIFVTCRDWLKMLSELPTREVEGAIKNLVSITTHFLPHQEKIHGNSKPLFSLSKNAGKYEELKEGIQRNETQVDQWNLDGFQSGLANLLYQLKTFAESSLKSYGALQMSIEAAREAYEKD >DRNTG_27100.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19112848:19113648:1 gene:DRNTG_27100 transcript:DRNTG_27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHENTSFEENTGPSQLPSDDFFAFMQEPIDPSSPMTSENNASSTSKRRKKKAIADCAVRNAETVALMEASCKEADEKKKKFEERKRLLNEVVFNIDGLSEDDALVVIQFLRKDENELDMFWDLPNDKKLRFCRLILARMSFHPPNM >DRNTG_03470.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17818560:17820797:1 gene:DRNTG_03470 transcript:DRNTG_03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMQSNLSYDRSNSNDDITSSVSSRMTSSLVVNN >DRNTG_11404.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13926312:13926671:1 gene:DRNTG_11404 transcript:DRNTG_11404.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPEAAVIIAQLMKALHACHQRGIAHRDVKLDNVMLNSQGQLRLLDFDSAECFGEGRPMRSVVGTPYYVAQEVVAGKESKFGERKTEKMGSEVKESAAMALMEMMARSWVVWRIVGKS >DRNTG_09118.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:84666:85796:1 gene:DRNTG_09118 transcript:DRNTG_09118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMLQVALACVSQQPDARPAMSEVVKMIEEIRVEQSPLAEDMDEPRASLSPSLATATTTEDGRLSY >DRNTG_07921.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6653654:6654965:-1 gene:DRNTG_07921 transcript:DRNTG_07921.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFSDHKVKGHSSGNDWSDSSNEERLKDNDASTKAANGYSNPLPISFLDRGLPLLGSLLAKASSL >DRNTG_07921.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6654225:6654965:-1 gene:DRNTG_07921 transcript:DRNTG_07921.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFSDHKVKGHSSGNDWSDSSNEERLKDNDASTKAANGYSNPLPIS >DRNTG_28350.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6855523:6856802:-1 gene:DRNTG_28350 transcript:DRNTG_28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINLNPSRNARLIACRHPPLENPLHSSNYAGILTWVRKDSLTCCVITAD >DRNTG_02706.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19614880:19621629:-1 gene:DRNTG_02706 transcript:DRNTG_02706.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingoid long-chain bases kinase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G46090) UniProtKB/Swiss-Prot;Acc:O82359] MALGMAVVAMAKPSSFSISADRSSSLDFSSDCATSNARSMRNLVFVVNPRGANGRTGKEWKKLLPYLTTRLGNRCNICESITSGPSHAEDLTREAIRDGADAVIAVGGDGTLHEVVNGFFSERHPVELEHQSRHSTALGLIPLGTGSDFARTFGWKNDPYEAIERIVRGFRSRIDVGVITGTNGNQHFFINVADIHLSAKAGYFASKYKRFGNLCYVIGALRAFIGHNNQDLKIKFNGGEWEVFQKVTAICIGNAKFFGGGMKITPTADPSSGELEVVILQDFKWYDFVFKLHNLYRGSHLSEKTVHSKSVKSIEVMEVTPTGLTYVQSDGEHFGFLPAKFFVLPAAIEFFS >DRNTG_02706.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19614880:19621629:-1 gene:DRNTG_02706 transcript:DRNTG_02706.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingoid long-chain bases kinase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G46090) UniProtKB/Swiss-Prot;Acc:O82359] MKITPTADPSSGELEVVILQDFKWYDFVFKLHNLYRGSHLSEKTVHSKRSLIQFSEKGNFPSCFIVSACCINLFAIFL >DRNTG_02706.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19614880:19621629:-1 gene:DRNTG_02706 transcript:DRNTG_02706.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingoid long-chain bases kinase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G46090) UniProtKB/Swiss-Prot;Acc:O82359] MKITPTADPSSGELEVVILQDFKWYDFVFKLHNLYRGSHLSEKTVHSKSVKSIEVMEVTPTGLTYVQSDGEHFGFLPAKFFVLPAAIEFFS >DRNTG_02706.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19614880:19621629:-1 gene:DRNTG_02706 transcript:DRNTG_02706.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingoid long-chain bases kinase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G46090) UniProtKB/Swiss-Prot;Acc:O82359] MKITPTADPSSGELEVVILQDFKWYDFVFKLHNLYRGSHLSEKTVHSKSVKSIEVMEVTPTGLTYVQSDGEHFGFLPAKFFVLPAAIEFFS >DRNTG_02706.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19614880:19621629:-1 gene:DRNTG_02706 transcript:DRNTG_02706.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingoid long-chain bases kinase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G46090) UniProtKB/Swiss-Prot;Acc:O82359] MKITPTADPSSGELEVVILQDFKWYDFVFKLHNLYRGSHLSEKTVHSKSVKSIEVMEVTPTGLTYVQSDGEHFGFLPAKFFVLPAAIEFFS >DRNTG_08963.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000392.1:26126:26492:1 gene:DRNTG_08963 transcript:DRNTG_08963.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILFSKRTRHLHSSSKHKYPNQLDPQPLTPFFQTQRDNSAGPTTKTLF >DRNTG_21938.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2158778:2162238:-1 gene:DRNTG_21938 transcript:DRNTG_21938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPGSSSPLIAPSPSVDPVGEIDLEAGPGDQLQCRICLETDGRDFIAPCKCKGTSKYVHRECLDHWRAVREGFAFAHCTTCKAPYYLRVHVHTDRKWRTLKFRFFVTRDILFIFALVQLVISSLAYLVYLADRYQDYWLRMAWKFDNEISFYYICGALLFFALLGLSGCFITCYDRRVRNDLAQPCRELCICCCQPGVCADCHLPGTLCMWTDCTTCIEGCTSTAGECGCLASAGEAGLPLLFITAIIVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGEMADSSWSPPPLPPEHVQQLRSLGLL >DRNTG_09688.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1427059:1428456:-1 gene:DRNTG_09688 transcript:DRNTG_09688.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKISDFGLARLFGGDQIGGTTSRVVGTFGYMAPEYAMRGQYSAKSDIFSFGVLVLEILTGRKNSNFLETEQAEDLLSYTWEHWTRGTISEILDPFLGLSLAKK >DRNTG_14682.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000738.1:10193:12571:1 gene:DRNTG_14682 transcript:DRNTG_14682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLHRKVQLPDDMGNKVEKLLLVGNWHKLLNIHDPAICALTLEVLASFKFNHSYAHGQHHSMSVTQFSTRLGLYDEEYTETEEYENLPIDMSGLSPIEAYTLLCGKGSVNGLGDSTGVINKQELLYLYSMIGHHLLRSIYYKTHCGDGSGRQNQRNREGDNTSTPWVRDNEAHGVSRGTRDSHTRAFRRMHIEQELHQLVYH >DRNTG_17227.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2674123:2677056:-1 gene:DRNTG_17227 transcript:DRNTG_17227.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRTEFKVPEKRWYWLKALALSTRGDWAALEKFSKEKRPPGGYKPFVEACIGADAKAEALKYIPKLTEPRERSEAYARIGMAKEAADAASQAKDSEFFGRLKLTLGQNAGATSIFDSLRDRLSFQGVY >DRNTG_17227.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2674123:2677475:-1 gene:DRNTG_17227 transcript:DRNTG_17227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRTEFKVPEKRWYWLKALALSTRGDWAALEKFSKEKRPPGGYKPFVEACIGADAKAEALKYIPKLTEPRERSEAYARIGMAKEAADAASQAKDSEFFGRLKLTLGQNAGATSIFDSLRDRLSFQGVY >DRNTG_17227.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2674123:2677056:-1 gene:DRNTG_17227 transcript:DRNTG_17227.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRTEFKVPEKRWYWLKALALSTRGDWAALEKFSKEKRPPGGYKPFVEACIGADAKAEALKYIPKLTEPRERSEAYARIGMAKEAADAASQAKDSEFFGRLKLTLGQNAGATSIFDSLRDRLSFQGVY >DRNTG_00230.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13063300:13064284:1 gene:DRNTG_00230 transcript:DRNTG_00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHQAQENERKRVGELTRRKNSPRNFF >DRNTG_21467.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2622462:2626714:-1 gene:DRNTG_21467 transcript:DRNTG_21467.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLRAPPMGSVDPPPRSGGAARGSEARKARVWLYLVLLTLQYGSQPLVSKRFVGKEAIVTSLVLACEVAKVICALILLAKEGSLKRSFNQWTLIGSLTASGLPAAIYALQNSLLQISYRNLDSLSFSILNQTKLIFTALFTYVILGYVFGVNIGKIYIFFLSNITCLLFLVSRYF >DRNTG_21467.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2622462:2626714:-1 gene:DRNTG_21467 transcript:DRNTG_21467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLRAPPMGSVDPPPRSGGAARGSEARKARVWLYLVLLTLQYGSQPLVSKRFVGKEAIVTSLVLACEVAKVICALILLAKEGSLKRSFNQWTLIGSLTASGLPAAIYALQNSLLQISYRNLDSLSFSILNQTKLIFTALFTYVILGHKQSRQQVGALVLLVIAAILLSIGEGSGKGSSGNNPDQVVLLGIIPVLVASVLSGLASSLCQWASQVKKHTSYLMTVEMSLIGSLCLLASTHKSPDGEAIRKFGFFHGWTIWTLVPVTMNAVGGILVGLVTAYAGGVRKGFVIVSALLVTALLQFVFDGKPPSHYCLLALPLVVTSIIIYQKYPYIERKKKD >DRNTG_06724.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4739825:4743380:-1 gene:DRNTG_06724 transcript:DRNTG_06724.1 gene_biotype:protein_coding transcript_biotype:protein_coding QSVYRSSLTTNIRRNGISKQKSHQDLGTGKVP >DRNTG_01606.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:10610752:10958820:1 gene:DRNTG_01606 transcript:DRNTG_01606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPVRSLENTSSTLTALAQACGKYPRPCIRPTGEDAHPCGF >DRNTG_12811.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000573.1:60329:79955:-1 gene:DRNTG_12811 transcript:DRNTG_12811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECVPTSSYSSGHIHLEGHKGFRGVLAKVLERFYGSDIVIPLERRLVGELRSRRILYRMKESLDDE >DRNTG_15299.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4664063:4665714:-1 gene:DRNTG_15299 transcript:DRNTG_15299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKISLRVVLLLVAFVALGSAEIRSTKLRSDARPIIPFDDFGFTHAGTVEISVSELAFSDQALPHASLSQLGFFLSTRDAWVHVIQQLQNGDISCALQSHLIKPVFLLTSLPSPSDNSFSSSFNLSEPGQFTLLFANCLPNLRVSMSVKSSMFNFDPKSGRRAYLSVGLASLPRIYFLFFLVYTGLAVLWVFFLRRNRSTTLRIHHFMLALLVLKAINLLCEAEDKSYIERTGSAHGWDVLFYIFSFLKGITLFTLLVLIGTGWSFVKPYLQGKEKKVLMVVIPLQILANAAQVELDETGKFSPGWVTWRQVFLLVDVICCCAVLFPIVWSIKNLREAARTDGKAAVNLMKLMLFRQYYVVVICYIYFTRVIVYALSTITSYRYAWTSVVVAEFANLAFYVYTGYKFRPEAHNPYFVVDDEEEEAAAEALKLDDEFEL >DRNTG_06252.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8336553:8341566:-1 gene:DRNTG_06252 transcript:DRNTG_06252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIENNPQVPSSFKLPAVKTVEKVHQLGGTQNPNFCIRNYVSESRNKNIGLNWPFPQHCLQLCLNQGINDVLPPFEPPDLVRAKCSKKTIIEIESVVENSVNVSRAVSEESTELQRKLEVSEKKCKLVVKLSSISVITRTEDLTSNCSEVSDTMASKVCPVCRIFVSTSNTTLNAHIDQCLSSETDTNFVNSSSNIKVKPRKKRLMVDVYASAPHCTIEDLDRRNGRNCAATDLTVLAPNSEVNKEAKRPKLSAVDAGTNENNGAVYVDSNGIKLRILSKSSDATELIPKEDLKLSKHTEDFKDQSNSFLFSKKKQFGSKYMTTKSQGKKLNSFKLLDSKFQEPSGKECEEGHHQDKEESLLQKLNSQDKAKGNVLSSIIPRVCSKRSDLQRKINNKDIHGNLAKTGTKTPLVETNQPRFVDPLALQSQTVKFTGSSDTLNLAPKISTDILSNTVNITDNVKMNPSTHAVIKSKLSQKSCKSASGLMVKLSKSKGIVFSSPKSKKEEVYRNSSTMSDNIPELTTRASRKGSTLNESNLVRRRQLSDGMGKKYSIFKNLRKHRSMAGAGKKGVKSHSDICTLTSPAKNAESEAKYGGKELTMADKGKGDILKKKIKASIFTDHMVTNFEEEVIPEQLCRIASTNECTPASTAELAKERPSTEEHMHLPASPNQLERPCRDRAGDEVARANDQMADEVRTRVEEQSCQDSSACLSNQEDTEIEILQENSSITSNRKMSSNTDNSIVSTASTISPSSPNEPNVSKIEENLKLKLSSMSSIEVAEGTNTDKIEAVILAKELVQVQSPDAQVCCCSRRDYLPRESQFSKMHINSGKQMVSNLHIRPISPSFSHCSTFQADMESPTASMCTMASSDSAAKLPTIHDFSSPSPTQSTSKPVLRLMGKNLTVECKEETQTQLPSPMSNTTPTLKHSSDHGFSNHQPYLQQQQQHHFMGVPLVFSQASSMATNQMPLHSYGIPMRDFILTDDATDHERVQRATNISSATIPASNLLQHGPVYPYFQRHSQLIPMEFDGGFRPSFDNARAVQRSSAPGDSVPLPQSMFRFPSPLISHLHPSLFYPETMR >DRNTG_06252.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8336553:8341382:-1 gene:DRNTG_06252 transcript:DRNTG_06252.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIENNPQVPSSFKLPAVKTVEKVHQLGGTQNPNFCIRNYVSESRNKNIGLNWPFPQHCLQLCLNQGINDVLPPFEPPDLVRAKCSKKTIIEIESVVENSVNVSRAVSEESTELQRKLEVSEKKCKLVVKLSSISVITRTEDLTSNCSEVSDTMASKVCPVCRIFVSTSNTTLNAHIDQCLSSETDTNFVNSSSNIKVKPRKKRLMVDVYASAPHCTIEDLDRRNGRNCAATDLTVLAPNSEVNKEAKRPKLSAVDAGTNENNGAVYVDSNGIKLRILSKSSDATELIPKEDLKLSKHTEDFKDQSNSFLFSKKKQFGSKYMTTKSQGKKLNSFKLLDSKFQEPSGKECEEGHHQDKEESLLQKLNSQDKAKGNVLSSIIPRVCSKRSDLQRKINNKDIHGNLAKTGTKTPLVETNQPRFVDPLALQSQTVKFTGSSDTLNLAPKISTDILSNTVNITDNVKMNPSTHAVIKSKLSQKSCKSASGLMVKLSKSKGIVFSSPKSKKEEVYRNSSTMSDNIPELTTRASRKGSTLNESNLVRRRQLSDGMGKKYSIFKNLRKHRSMAGAGKKGVKSHSDICTLTSPAKNAESEAKYGGKELTMADKGKGDILKKKIKASIFTDHMVTNFEEEVIPEQLCRIASTNECTPASTAELAKERPSTEEHMHLPASPNQLERPCRDRAGDEVARANDQMADEVRTRVEEQSCQDSSACLSNQEDTEIEILQENSSITSNRKMSSNTDNSIVSTASTISPSSPNEPNVSKIEENLKLKLSSMSSIEVAEGTNTDKIEAVILAKELVQVQSPDAQVCCCSRRDYLPRESQFSKMHINSGKQMVSNLHIRPISPSFSHCSTFQADMESPTASMCTMASSDSAAKLPTIHDFSSPSPTQSTSKPVLRLMGKNLTVECKEETQTQLPSPMSNTTPTLKHSSDHGFSNHQPYLQQQQQHHFMGVPLVFSQASSMATNQMPLHSYGIPMRDFILTDDATDHERVQRATNISSATIPASNLLQHGPVYPYFQRHSQLIPMEFDGGFRPSFDNARAVQRSSAPGDSVPLPQSMFRFPSPLISHLHPSLFYPETMR >DRNTG_35138.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1218457:1220143:1 gene:DRNTG_35138 transcript:DRNTG_35138.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTITLLYPVLLVLLLIVPVLLIMFLHKKQSPKPKLPPGPPKLPLIGNLHQVGKLPHHSLWQLSQQHGPLLYLQLGQAPTIIISSSDLARQVLRTHDLESCSRPSLFSSTKLSYGCSDVAFIPHGDQWRELKKICVVELFGTKNNSTLQSIREEEVESMVTSISAAAAASMDCAIDLSDIFLSCANNITLRAAFGKRYQITGKYEWSKLHMMLTEVQAALGSFFMADYIPCFAWIDILTGARARLDKIFYDLDAFYELIIDEHLQQIMQSHGQDEDILHTLLRLQKETDHLTNDNIKGVLMDIFVAGSDTSSASLEWTMAELMRNPETMKKAQDEVRKVIGMKGKVEESDLQQLQYLKWVLKESMRLHPPAPLLVHRETTQHFKINGYEIQPKTRVIVNAWAIGRETSSWERPLEFYPERFKDSSIDMKGHDFQLIPFGAGRRICPGIDLGIQTVELALANLLYTFDWKCPDGSQDINLDETPGVTVHKKYPLFLVPIKYELK >DRNTG_35138.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1218316:1220143:1 gene:DRNTG_35138 transcript:DRNTG_35138.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTITLLYPVLLVLLLIVPVLLIMFLHKKQSPKPKLPPGPPKLPLIGNLHQVGKLPHHSLWQLSQQHGPLLYLQLGQAPTIIISSSDLARQVLRTHDLESCSRPSLFSSTKLSYGCSDVAFIPHGDQWRELKKICVVELFGTKNNSTLQSIREEEVESMVTSISAAAAASMDCAIDLSDIFLSCANNITLRAAFGKRYQITGKYEWSKLHMMLTEVQAALGSFFMADYIPCFAWIDILTGARARLDKIFYDLDAFYELIIDEHLQQIMQSHGQDEDILHTLLRLQKETDHLTNDNIKGVLMVLFIFPF >DRNTG_35138.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1218457:1220597:1 gene:DRNTG_35138 transcript:DRNTG_35138.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTITLLYPVLLVLLLIVPVLLIMFLHKKQSPKPKLPPGPPKLPLIGNLHQVGKLPHHSLWQLSQQHGPLLYLQLGQAPTIIISSSDLARQVLRTHDLESCSRPSLFSSTKLSYGCSDVAFIPHGDQWRELKKICVVELFGTKNNSTLQSIREEEVESMVTSISAAAAASMDCAIDLSDIFLSCANNITLRAAFGKRYQITGKYEWSKLHMMLTEVQAALGSFFMADYIPCFAWIDILTGARARLDKIFYDLDAFYELIIDEHLQQIMQSHGQDEDILHTLLRLQKETDHLTNDNIKGVLMDIFVAGSDTSSASLEWTMAELMRNPETMKKAQDEVRKVIGMKGKVEESDLQQLQYLKWVLKESMRLHPPAPLLVHRETTQHFKINGYEIQPKTRVIVNAWAIGRETSSWERPLEFYPERFKDSSIDMKGHDFQLIPFGAGRRICPGIDLGIQTVELALANLLYTFDWKCPDGSQDINLDETPGVTVHKKYPLFLVPIKYELK >DRNTG_35138.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1218316:1220597:1 gene:DRNTG_35138 transcript:DRNTG_35138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTITLLYPVLLVLLLIVPVLLIMFLHKKQSPKPKLPPGPPKLPLIGNLHQVGKLPHHSLWQLSQQHGPLLYLQLGQAPTIIISSSDLARQVLRTHDLESCSRPSLFSSTKLSYGCSDVAFIPHGDQWRELKKICVVELFGTKNNSTLQSIREEEVESMVTSISAAAAASMDCAIDLSDIFLSCANNITLRAAFGKRYQITGKYEWSKLHMMLTEVQAALGSFFMADYIPCFAWIDILTGARARLDKIFYDLDAFYELIIDEHLQQIMQSHGQDEDILHTLLRLQKETDHLTNDNIKGVLMDIFVAGSDTSSASLEWTMAELMRNPETMKKAQDEVRKVIGMKGKVEESDLQQLQYLKWVLKESMRLHPPAPLLVHRETTQHFKINGYEIQPKTRVIVNAWAIGRETSSWERPLEFYPERFKDSSIDMKGHDFQLIPFGAGRRICPGIDLGIQTVELALANLLYTFDWKCPDGSQDINLDETPGVTVHKKYPLFLVPIKYELK >DRNTG_34979.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002222.1:10365:13441:-1 gene:DRNTG_34979 transcript:DRNTG_34979.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic type i signal peptidase 1 [Source:Projected from Arabidopsis thaliana (AT3G24590) UniProtKB/TrEMBL;Acc:A0A1I9LMR3] MFSLQFSCLSIPTLQIPNPNSKPKSLNLFPTSQNFIKSFYSLKPSISKLYQYPPSLNPNSPRFHCSLPGPPSLRVQCSSRDVEALPEAGGNEGSRDVEARPGGGGNEGGNGGGGGEEEEENQGLLPEWANVTSEDAKTFIGALVIAFAFRTFVAEPRYIPSLSMYPTFDVGDRIVAEKVSYYFRRPCVNDVVIFKSPPVLQEVGYTDDDVFIKRVVAKEGDVVEVHDGKLIVNGTPRNEEYILEPPTYNMNPIKVPENSVFVMGDNRNNSYDSHIWGPLPSKNILGRSVFRYWPPSRIGKTVRDVSCLN >DRNTG_04198.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7123346:7124996:1 gene:DRNTG_04198 transcript:DRNTG_04198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLVPLCKMKENRPLEETQNHAVHTPSPSWKLNTESAPVKMVGPTSNSTHANVHNSLSQIVYTPTFSRRSSIDHPRVLKAYSTTKVVDEVFSKSPIKEPEIGTEHDSIRLRRLSTEGFKCLKPHSDIPKDGILSSAVSCKGVSNLKQSLKKEILNEVDAICHDYPDPISHGKSLCSHNVSMSTTSPSQQPKNPFISQEVG >DRNTG_04198.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7124302:7124996:1 gene:DRNTG_04198 transcript:DRNTG_04198.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHARARRRQSLTPIQTSGFSMSRRSSLGGKSPEPYSSDFQSTKTPLPTRSPPKFTKRWL >DRNTG_00496.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30564984:30568213:1 gene:DRNTG_00496 transcript:DRNTG_00496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGYIVEVTNLSPNATERDVYDFFSFSGAIEHIEIIRSGDYASTAYVTFKEPHSLETAVLLSGATIVDQRVCITNWGQTEDASGIWDRPSWRIEDDYESMSSEANSFRTTPREAVTMTQEVVKTMLSKGYVLSVDALSKAKAFDESHQVSATAAAKVAELSKRIGLTDKVYAGVNAVRSVDETYHVSETTKMAVSATGKAASAAAETVMNSSYFAAGAIWLSEALNRASKATAELANRSSKK >DRNTG_00496.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30564984:30568213:1 gene:DRNTG_00496 transcript:DRNTG_00496.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGYIVEVTNLSPNATERDVYDFFSFSGAIEHIEIIRSGDYASTAYVTFKEPHSLETAVLLSGATIVDQRVCITNWGQTEDASGIWDRPSWRIEDDYESMSSEANSFRTTPREAVTMTQEVVKTMLSKGYVLSVDALSKAKAFDESHQVSATAAAKVAELSKRIGLTDKVYAGVNAVRSVDETYHVSETTKMAVSATGKAASAAAETVMNSSYFAAGAIWLSEALNRASKATAELANRSSKK >DRNTG_00496.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30564984:30568213:1 gene:DRNTG_00496 transcript:DRNTG_00496.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGYIVEVTNLSPNATERDVYDFFSFSGAIEHIEIIRSGDYASTAYVTFKEPHSLETAVLLSGATIVDQRVCITNWGQTEDASGIWDRPSWRIEDDYESMSSEANSFRTTPREAVTMTQEVVKTMLSKGYVLSVDALSKAKAFDESHQVSATAAAKVAELSKRIGLTDKVYAGVNAVRSVDETYHVSETTKMAVSATGKAASAAAETVMNSSYFAAGAIWLSEALNRASKATAELANRSSKK >DRNTG_21364.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19273249:19274848:1 gene:DRNTG_21364 transcript:DRNTG_21364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYGEGDKRWIVEERADGANVHNWHWAERDCLEWSRSLLSSLLSGLPILSGEGGLTIKTRTLDRLDGEAYVNIRKGKVIPGYELSLTLSFDAEAGGDSDDSASLAKFSGTVEIPYLADENADEDPEVKVSLREEAGPIGTRIKEAFLAKGKPLILEKVRVYVQAMAKGGPAKDELEVKKPALKNSTTSSAAPTAPIKKEEKKEKKGREGFKTISMTEKFNCRAQIMYEILMDENRWKGFTQSNARISKEVGGEFSLFDGSITGVNQELQEGKLIVQKWRFGSWNDGIFSTVKLSFDEPEPGLTILKLVQTDVPEEDRYGNATVVENTERGWRELIFHKIRAVFGFGI >DRNTG_12602.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20359221:20362351:-1 gene:DRNTG_12602 transcript:DRNTG_12602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRTDPLAKSIHGTNPQNLVEKIVRSKIYESTFWKEQCFGLTAETLVDKAMELDHLGGTYGGNRRPTPFMCLVMKMLQIQPPKDIVAEFILNTHYKYVRVLGAFYMRLTGTVTDVHSYLWPLYNDNRKLRRKMSDGSFSLTHVDEVIDELLTMDHSGDIALPRIRKRWPLEAAGVLEPWQSVLDGDFEKQDEKEEEEQPALEDETHEKDYRGRSPGRDGERYRKRAKQCRDRDRVRDKDYDREYARGQERDSDHDQDRYRDLDRDCYRLRDERDYVRDRDRDREWEGWDRHRRDRDRARRRNRSRSRSNDRRERHRDDINHRKSHACGSISPHRRLADDSNSREEQPKKKKGKEKKSDSTDHSNPEIAEANRLRASLGLASLR >DRNTG_12602.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20360509:20362351:-1 gene:DRNTG_12602 transcript:DRNTG_12602.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRTDPLAKSIHGTNPQNLVEKIVRSKIYESTFWKEQCFGLTAETLVDKAMELDHLGGTYGGNRRPTPFMCLVMKMLQIQPPKDIVAEFILNTHYKYVRVLGAFYMRLTGTVTDVHSYLWPLYNDNRKLRRKMSDGSFSLTHVDEVIDELLTMDHSGDIALPRIRKRWPLEAAGVLEPWQSVLDGDFEKQDEKEEEEQPALEDETHEKDYRGRSPGRDGERYRKRAKQCRYII >DRNTG_07312.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3337318:3338937:-1 gene:DRNTG_07312 transcript:DRNTG_07312.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIAAAQDRLVTERIRRKLEEVNFEAQNQLAPIQDHVNFNLQQAYFKCAYECFDRRRRQEEINNCVEHCSVPALQANNLVETEMAMFQERMNRSLMVCQDKFEAAKAPADQDRCL >DRNTG_21906.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1736717:1738597:1 gene:DRNTG_21906 transcript:DRNTG_21906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEERSEMDKVDEVMLPGFRFHPTDEELVGFYLKRKIQQKPLSIELIRQLDIYKYDPWDLPKMATTGEKEWYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRPIYSSEGTKCIGLKKSLVFYKGRAAKGIKTDWMMHEFRLPSLSDSSLPKRPLDKNIPPNDIQENKHNGPKSIITLMGITITREQYYNSFRFILSCSTSTS >DRNTG_33410.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1562979:1566156:1 gene:DRNTG_33410 transcript:DRNTG_33410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4g27600/T29A15_90 [Source:Projected from Arabidopsis thaliana (AT4G27600) UniProtKB/TrEMBL;Acc:Q940Y6] MASVAPERWDVLGLGQAMVDFSGMVDDEFLMRVGIEKGTRKVVNHEERGRVLRAMDGCSYKAAAGGSLSNTLVALARLGGRSVGGPDLNVAMAGSVGSDPLGGFYRAKLRRANVNFLSKPVKDGTTGTVIVLTTPDAQRTMLAYQGTSSTVDYDPYLASIISKTNLLVIEGYLFELPHTIKTIMKACEDAHKNGALIAVTASDVSCIERCYDHFWEIIRNYADIVFANSNEARAFCNLNSNDSAILATRYLSHFVPLVSVTDGPRGSYIGVKGEAIYIPPSPCVPIDTCGAGDTYASGILYGILRGASDLKGMGMLASRVASVVVAQQGTRLRVQDAGRLAESFALNLESSQICSDIGSDHISSL >DRNTG_25743.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18454388:18457974:1 gene:DRNTG_25743 transcript:DRNTG_25743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYGFSCNGNNVAYVAGSQAPLNAYGLNKRQFTTIPLQDRPGPTITSSYTSSLGFTIQAAVLPTSYPYMLFKGIATYGWPNGCWFLHGLIDKMKHLMGLKASQAMVLNVMGYDSCDGRITFDKETNKIRFTQAHDPLLLRKIQALQSISKKLGGILFMSRYRSTSVHLLGGCNAALDPSNGVCNPKGQVFDMSGDQPAVHKGLYVCDASLIPCSIGINPILTITTASEYISKHLVKEVQNFRNQFRRNEVIMTTRLAEEICDSTMHSEKSVRLQSHSGVQIMKDKENVTFKETLKGFIGGMPCTAYLAVKMNSGCKITFSHPLLKGKVGGYVLFQAISKEPLYIIDGEIDLLALNDRTPYTQYMHYRLILASQSGSRYLMEGKKVMNPYIMATYAWKESRTLHVNFRGLSEKDDNQSKVYGDIEQKVDLRGELHLSVVELLKSLISMRGNRKRRFIYLLLQSLWRTYISQVPREVEPRLTSFDKDTKTYPPSTLHELKTGDGHFISCQQWKSGDVNTWKSEGQRYPILLLNGYSGESFCLPTEPTDLVRTLVEQGYETWSLQSRVHPKHASNNFTIEDIAKFDIPAVITKIQELHGQNTKNSCDSTLCWRLINSYCSIRRLCLCSSYSISLLCQRLHVLQANKISPC >DRNTG_01900.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20972797:20973745:-1 gene:DRNTG_01900 transcript:DRNTG_01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGRSRSLAIINGHPDHPPSMGSPTPKRKKKAAAKPPRKRSFARPSTISDLQTDDKEPPDSAPLVESMPFKKKKKATSKRPAKQVLGNSPASKNLQSGGTGLSASCTDPQIDSPGHNEHPACSLPVEPSPSKKKKTMSKLPCKRASGSPPEHQHPQSGGVGLLVPDNEDEGGYTTPTESSSPVKKNTEVTKPSPEQACGGSSECNRPRIKIIGPKQPGSPPLESKVVYF >DRNTG_34652.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002169.1:40265:45936:-1 gene:DRNTG_34652 transcript:DRNTG_34652.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGGQGELEPELIRVGQSLASLPSDINDIVDLLDEADKLLARVDQLPSDSMALALYPVMKALIGKELVGHMETDVRMRVGSCLSEITRITAPTNPYKDDLMKEIFRIIVDGIGGLDDTSSQLYSKRVYMLLVLAKYKSCLMMLDLECHELILEMFCHFLKTISSNPAKNIFSAMEAVMTLIIEDSEIISPGLLDHLLACVKKDHKGLSSEACKLGKRIIANCAKQLKPHLMQKVQSMSLPLSDYCKILADICQDKFVNLKQSQLTDSNESLVDLTRLSESTNSFSERTHSDELPQGFEGLSEEACSADHISSRSETRGTHDILDSTGVRSRKEKRRKVVSSDDLNDEIHPKRTRLRGIKFARKPGDEILTAERHAPSFAGKGSLVSNQNGEEVKPLVGLSSKRLVKVTEDSEGKLHRHHGRKTSEAPQNTMEMNENLIGLKIKVWWPDDNRQTSSL >DRNTG_34652.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002169.1:40265:45936:-1 gene:DRNTG_34652 transcript:DRNTG_34652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGGQGELEPELIRVGQSLASLPSDINDIVDLLDEADKLLARVDQLPSDSMALALYPVMKALIGKELVGHMETDVRMRVGSCLSEITRITAPTNPYKDDLMKEIFRIIVDGIGGLDDTSSQLYSKRVYMLLVLAKYKSCLMMLDLECHELILEMFCHFLKTISSNPAKNIFSAMEAVMTLIIEDSEIISPGLLDHLLACVKKDHKGLSSEACKLGKRIIANCAKQLKPHLMQKVQSMSLPLSDYCKILADICQDKFVNLKQSQLTDSNESLVDLTRLSESTNSFSERTHSDELPQACKIGFEGLSEEACSADHISSRSETRGTHDILDSTGVRSRKEKRRKVVSSDDLNDEIHPKRTRLRGIKFARKPGDEILTAERHAPSFAGKGSLVSNQNGEEVKPLVGLSSKRLVKVTEDSEGKLHRHHGRKTSEAPQNTMEMNENLIGLKIKVWWPDDNRQTSSL >DRNTG_05161.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:14270650:14344290:1 gene:DRNTG_05161 transcript:DRNTG_05161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARESKGRSFWESSWSRFSPVFRRQDHTFWRREIKGRSYVLARSSSISSLGVCRRRGKPPPSRRPWKHFHL >DRNTG_22211.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1374090:1376531:-1 gene:DRNTG_22211 transcript:DRNTG_22211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYADVVPKTAENFRALCTGEKGKGRSGKQLHFKGSIFHRVIPGFMCQGGDFTAGNGTGGESIYGEKFEDENFKLKHTGPGVLSMANAGPRTNGSQFFICTAATPWLDLKHVVFGIVVEGMDVVKKIESLGSQSGKTSKRVTIADCGQLWKLPKRHSEMPRQSKGLKAMTGPNPKVFFEITIGGVLAGKIVMELFADVVPKTAENFRALCTGERGEGRSGKPLHFQRLNLPPCDPCIHVPGRRLHCREWHWRGVNLWREVRGREL >DRNTG_16712.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17884199:17886587:-1 gene:DRNTG_16712 transcript:DRNTG_16712.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVEEALHMVGGTGDTSYASNSRLQEKAIRKTKDIVEKALKNINGEIVSKCLVVADLGCSSGPNAFLVISQIIGAVMEGCQKTKEIMFLLNDLPGNDFNAIFQSLSLFEKKVKEENGDQVLPYYVAGVPGSFFMEDSFLAIVFILLTLLIVSCGSLRFR >DRNTG_28543.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:20647114:20649029:-1 gene:DRNTG_28543 transcript:DRNTG_28543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPYCSRQVTTPSPSKSSCRRSNNTIEHVVSHGDVHTGRRF >DRNTG_17794.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:151123:157707:1 gene:DRNTG_17794 transcript:DRNTG_17794.1 gene_biotype:protein_coding transcript_biotype:protein_coding AHPLLSFSQCFHPSLKPFHPSHHPSQRFHPSHHTSRFKRL >DRNTG_01323.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:44241:45407:1 gene:DRNTG_01323 transcript:DRNTG_01323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELYFLVTTTTLSFLLLSSLLILIKLSINNNKKNRNPKPPSPPGLPLIGHLHLLKPPLHQALAHLSDLHGPVLLLRFGYRRVLLVSSYSAADECFTVNDITFANRPRLLAGKYFGYDFTSLGWAPYGPHWRNLRRMSTVEVLSTNRLLSSSDVRSDEARSLVKALLREYSGLSFHCTELKPKFFGFAYNVIMRMMANKRYYGDADESSSEAGTEFRDMVKETAFVLSASNAADFIPLVRWFRVGGYEKKLKSLRKRRDKFFQGLIEEHREKKMKMNRSQDGKTSSAARSTFIDLLLSMQDDDPEHVPDVFIRQSVSVSIPCYQPPLFF >DRNTG_26404.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18154452:18156430:1 gene:DRNTG_26404 transcript:DRNTG_26404.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSPIQAAAMAAERRMQDELWCGSGSYEDPIVIESKDGMLENHAARESGESSKSSEHVKEGENSEPVVSCVNEKTENSSLPGISSVPSASRHPACDDSLSNCSTNDVMDMTMWECGLCTLLNKPLAPICEACGTQRPKIITAKFKAWFCKFCTLENDIKFERCAACDQWRFSYGPPVSASAFNYGT >DRNTG_26404.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18153132:18156430:1 gene:DRNTG_26404 transcript:DRNTG_26404.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALNKVLDIKPLKKPREDEALKTLERIAKQVQPIMRHRKWRVHLLSEFCHPRLLGLNINKGREVRIRLRAFNNDLAFLPFEQVLDTMLHELCHIEIGPHNAEFYRLWDELRKECDDLVAKGITGPGQGFDGHGRRLGGYTRLVSLPPLRQAATNAAEKRRCVGTLLPSGPKKLGGDNNIMSALSPIQAAAMAAERRMQDELWCGSGSYEDPIVIESKDGMLENHAARESGESSKSSEHVKEGENSEPVVSCVNEKTENSSLPGISSVPSASRHPACDDSLSNCSTNDVMDMTMWECGLCTLLNKPLAPICEACGTQRPKIITAKFKAWFCKFCTLENDIKFERCAACDQWRFSYGPPVSASAFNYGT >DRNTG_26404.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18153429:18156430:1 gene:DRNTG_26404 transcript:DRNTG_26404.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHELCHIEIGPHNAEFYRLWDELRKECDDLVAKGITGPGQGFDGHGRRLGGYTRLVSLPPLRQAATNAAEKRRCVGTLLPSGPKKLGGDNNIMSALSPIQAAAMAAERRMQDELWCGSGSYEDPIVIESKDGMLENHAARESGESSKSSEHVKEGENSEPVVSCVNEKTENSSLPGISSVPSASRHPACDDSLSNCSTNDVMDMTMWECGLCTLLNKPLAPICEACGTQRPKIITAKFKAWFCKFCTLENDIKFERCAACDQWRFSYGPPVSASAFNYGT >DRNTG_27052.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2655045:2657053:1 gene:DRNTG_27052 transcript:DRNTG_27052.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease 2 [Source:Projected from Arabidopsis thaliana (AT1G68290) UniProtKB/Swiss-Prot;Acc:Q9C9G4] MSNKIDILTLILLFLGLPSFSHGWGVDGHLITCQIAQNLLTESAANAVKQLLPTFADDDLSSLCSWADKVKFKYPWSSPLHYIDTPDHLCNYNYDRDCKNENGDKGMCVSGAINNYTNQLLAYGSSFSSAYNLTESLLFLSHFIGDIHQPLHVGFTSDKGGNAIDVHWYTKKEVLHHVWDDDIIETAEERFYNSDVEQFVNAIRQNISGSWSDQVEKWQKCSYNKTSCPDVYATESITAACQWAYRNATEGSVLDDDYFLSRLPVVNLRLAEGGVRLAATLNRIFRQ >DRNTG_30115.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31053987:31055058:-1 gene:DRNTG_30115 transcript:DRNTG_30115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRKVNLAQKVDNGDSPAKILSSAAQFKRWGRKYPFLRYGLPLISLTVLGSVGLAHLIQGGKEVSKEKDDLEWELLESTKALSRTGPMEGYKPKKFSLEEELKVLQERVDIYNYEYKKIPKPNEGNANTK >DRNTG_30115.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31053987:31055058:-1 gene:DRNTG_30115 transcript:DRNTG_30115.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRKVNLAQKVDNGDSPAKILSSAAQFKRWGRKYPFLRYGLPLISLTVLGSVGLAHLIQGGKEVSKEKDDLEWELLESTKALSRTGPMEGYKPKKFSLEEELKVFSFYEYATD >DRNTG_14247.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:979962:980807:1 gene:DRNTG_14247 transcript:DRNTG_14247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYTRPPPSRTRDRPSFSSSLLDAIYRSIDQPDSATSLNKQNRGATDHPLSDKITTPVIPTPLPRRHSFVSTSTSSDSIFSSSSSSSSSAKTTTPVIQNPVHRRRSSSLDRDPPPPEKKSIRSRLRELRKSKTPASPGSRLASFLNSLFASASSSKKSKVRASSPEEPEMRSCLSKTPSSAGRSSGKRSVRFWPVGVLVGEDCQPCGSRWIYGGDRATRMAEMMRGLDEEENGDDVASDASSDLFELENLTVVGGGFSDELPVYETTYLRTNRSIASRVL >DRNTG_06921.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22024771:22025335:-1 gene:DRNTG_06921 transcript:DRNTG_06921.1 gene_biotype:protein_coding transcript_biotype:protein_coding LISFQDDEGQTPLHYAVVCEREAIAELLVTHKADINIRDLDDNSPRDICSFPWPFMNLPI >DRNTG_02638.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000121.1:28499:39191:-1 gene:DRNTG_02638 transcript:DRNTG_02638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLEVGYSERAMNETMAGSFDAETMNKEKEQLTQQKDSLPKKIVPTAGMVLNSEEVYNFYIEYARKERFDPALVQPPFSIFKVITEEKNLVLQAVPFILGDDVLEAESPSREQRLTESSMDSRMHSSGSGERSITSKRTKNHNPNANPSIENGEDRLELRLERKSEG >DRNTG_25600.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:235807:247211:1 gene:DRNTG_25600 transcript:DRNTG_25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNYASGALNMVRAEVQNADLAIHDNMTRSSDGNSMTQLILLSDDETGFMPYRIDNFSMERLRIYQQRCENFETSVNPYTSCQYAWDEPCYPHRLVVEVPGERILGTYCLDDTREYMPIFLPSTSDKPDRRFHISITAEGAIKVFSIVDLNCHVVKDVKETGLFGLKEKKEVNQKRRCNDDFSEMITLHLPFVGVSLMNSSPQELVFASMKDTTILLFQSLDQQKFLFQILSLQIDNQLPDTPYPITLSFDNELRGRSSSYLKSKEHLVRVQNANISCDSALESVFHLAAARWRKPDPSLISFEYVNLWLAPLCIEFDEQILSSLLEFFRTISSRLLSQTIQNDFQLHTLEYGTSSIKQFLPTVLDYQNGQYSLSGISKCLETKSTISLPSVAPIGAPWQQIYLFARKQKKIYVEFFELAPIKLSISFSSTPWMVRNDTRAETETFIRISGASFQRGLMALIDVEGVPVHLRQLTLEHLMASKESIQEILTRHYTRQLLHEIYKVFGSAGVIGNPMGFARNVGVGIKDFLSVSSKGIVQSPSGLFTGIAQGSKSLLSNTVYAISSATTQFSKAAHKGIVAFTFDEHTTNMDGQRKGSDSGNKGLVNEFLEGLTGLLQSPIKGAEKHGLPGVLSGIAMGTAGLVARPMASIFEAMGKAAQSIRNRSSPHQANRFRVRLARPLSRELPLSPYSWEEAIGVSALLQADESRLKDERFIMCKELKQEGKFIVITDRLFVVVWCAQLVGFRSPEFVGVATDPGWVIETEMNLESVVHIDREENTVNIVGSNLSSKQTKGSANKNRRWSPPPSSPLFQVSVDLPNEEEAMGVLQLLVSAIEQGRELRRGVHILERSNLRWKDLV >DRNTG_25600.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:235807:247211:1 gene:DRNTG_25600 transcript:DRNTG_25600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYIQWGLEVKILLRELLVSIRFNEPGWQWSGSFLPDFLGDTQVKMLNYASGALNMVRAEVQNADLAIHDNMTRSSDGNSMTQLILLSDDETGFMPYRIDNFSMERLRIYQQRCENFETSVNPYTSCQYAWDEPCYPHRLVVEVPGERILGTYCLDDTREYMPIFLPSTSDKPDRRFHISITAEGAIKVFSIVDLNCHVVKDVKETGLFGLKEKKEVNQKRRCNDDFSEMITLHLPFVGVSLMNSSPQELVFASMKDTTILLFQSLDQQKFLFQILSLQIDNQLPDTPYPITLSFDNELRGRSSSYLKSKEHLVRVQNANISCDSALESVFHLAAARWRKPDPSLISFEYVNLWLAPLCIEFDEQILSSLLEFFRTISSRLLSQTIQNDFQLHTLEYGTSSIKQFLPTVLDYQNGQYSLSGISKCLETKSTISLPSVAPIGAPWQQIYLFARKQKKIYVEFFELAPIKLSISFSSTPWMVRNDTRAETETFIRISGASFQRGLMALIDVEGVPVHLRQLTLEHLMASKESIQEILTRHYTRQLLHEIYKVFGSAGVIGNPMGFARNVGVGIKDFLSVSSKGIVQSPSGLFTGIAQGSKSLLSNTVYAISSATTQFSKAAHKGIVAFTFDEHTTNMDGQRKGSDSGNKGLVNEFLEGLTGLLQSPIKGAEKHGLPGVLSGIAMGTAGLVARPMASIFEAMGKAAQSIRNRSSPHQANRFRVRLARPLSRELPLSPYSWEEAIGVSALLQADESRLKDERFIMCKELKQEGKFIVITDRLFVVVWCAQLVGFRSPEFVGVATDPGWVIETEMNLESVVHIDREENTVNIVGSNLSSKQTKGSANKNRRWSPPPSSPLFQVSVDLPNEEEAMGVLQLLVSAIEQGRELRRGVHILERSNLRWKDLV >DRNTG_09392.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000398.1:13099:16471:-1 gene:DRNTG_09392 transcript:DRNTG_09392.2 gene_biotype:protein_coding transcript_biotype:protein_coding STHSSIASHVSATTLCKRRKTPVEINVTVTRIHPRITKTETSHCSPRTEKKNPRNAKPSITDERIIKSKRTV >DRNTG_09392.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000398.1:13793:16471:-1 gene:DRNTG_09392 transcript:DRNTG_09392.4 gene_biotype:protein_coding transcript_biotype:protein_coding STHSSIASHVSATTLCKRRKTPVEINVTVTRIHPRITKTETSHCSPRTEKKNPRNAKPSITDERIIKSKRL >DRNTG_09392.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000398.1:13793:16471:-1 gene:DRNTG_09392 transcript:DRNTG_09392.3 gene_biotype:protein_coding transcript_biotype:protein_coding STHSSIASHVSATTLCKRRKTPVEINVTVTRIHPRITKTETSHCSPRTEKKNPRNAKPSITDERIIKSKRTV >DRNTG_09392.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000398.1:13793:16471:-1 gene:DRNTG_09392 transcript:DRNTG_09392.6 gene_biotype:protein_coding transcript_biotype:protein_coding STHSSIASHVSATTLCKRRKTPVEINVTVTRIHPRITKTETSHCSPRTEKKNPRNAKPSITDERIIKSKRL >DRNTG_09392.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000398.1:13099:16471:-1 gene:DRNTG_09392 transcript:DRNTG_09392.1 gene_biotype:protein_coding transcript_biotype:protein_coding STHSSIASHVSATTLCKRRKTPVEINVTVTRIHPRITKTETSHCSPRTEKKNPRNAKPSITDERIIKSKRTV >DRNTG_09392.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000398.1:13793:16471:-1 gene:DRNTG_09392 transcript:DRNTG_09392.5 gene_biotype:protein_coding transcript_biotype:protein_coding STHSSIASHVSATTLCKRRKTPVEINVTVTRIHPRITKTETSHCSPRTEKKNPRNAKPSITDERIIKSKRL >DRNTG_33681.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18467437:18474563:-1 gene:DRNTG_33681 transcript:DRNTG_33681.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-alanine resistance protein 1 [Source:Projected from Arabidopsis thaliana (AT1G68100) UniProtKB/Swiss-Prot;Acc:Q9M647] MRSSHWPAPLCHSPLLFFATAAAAVVLFSGCLSSAHSCPFSSQDSDLGLHEHDHDHDHDHHHHHDHHEHHDVEIRQLKRLPEEMAEEEDLELEGFGHHHHHHHHHHHHSHDEELDLEMTSLGIWVQSIGCSLLVSLASLVCLILLPVFFFQGKPSKLLVDALAVFGAGAMLGDAFLHQLPHAFGGEHSHSHDHHSIDLHDHVEHGHSHSHSLEDLSVGLSVLSGIVLFLLVEKLVRYIEEFSGERNGLHHHHHRQHQKVKHDDNDQGDNANSVDNDMKENGKLDTTAKRKLVDGQWDDKMSDKCNEHETQLRKRTTPGNTTNAECSDADNHSATENLSIEEKASSSSASNLVFGYLNLFSDGVHNFTDGMALGSAFLLYGSVGGWSRTLFLLAHELPQEIGDFGILVRSGFTVSKALFFNFLSALTALAGTALALILGKDPGQSSLIEGFTAGGFIYIAVGGVLPEMNAGGTTLKSTLIQLTALVLGMAVALCISLVE >DRNTG_33681.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18467437:18474563:-1 gene:DRNTG_33681 transcript:DRNTG_33681.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-alanine resistance protein 1 [Source:Projected from Arabidopsis thaliana (AT1G68100) UniProtKB/Swiss-Prot;Acc:Q9M647] MRSSHWPAPLCHSPLLFFATAAAAVVLFSGCLSSAHSCPFSSQDSDLGLHEHDHDHDHDHHHHHDHHEHHDVEIRQLKRLPEEMAEEEDLELEGFGHHHHHHHHHHHHSHDEELDLEMTSLGIWVQSIGCSLLVSLASLVCLILLPVFFFQGKPSKLLVDALAVFGAGAMLGDAFLHQLPHAFGGEHSHSHDHHSIDLHDHVEHGHSHSHSLEDLSVGLSVLSGIVLFLLVEKLVRYIEEFSGERNGLHHHHHRQHQKVKHDDNDQGDNANSVDNDMKENGKLDTTAKRKLVDGQWDDKMSDKCNEHETQLRKRTTPGNTTNAECSDADNHSATENLSIEEKASSSSASNLVFGYLNLFSDGVHNFTDGMALGSAFLLYGSVGGWSRTLFLLAHELPQEIGDFGILVRSGFTVSKALFFNFLSALTALAGTALALILGKDPGQSSLIEGFTAGGFIYIAVGGVLPEMNAGGTTLKSTLIQLTALVLGMAVALCISLVE >DRNTG_29686.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3896254:3902701:1 gene:DRNTG_29686 transcript:DRNTG_29686.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLDGRTTRSLLSIMIILSLCFFFYILTIWHINNNGNREFGKSDRIALKITEETECSVLSNLKFETHHKLKSGLNLPELKTQVLESCDSQYTDYTPCQDQKRAMTLPMENMVYRERHCPQENEKLHCLIPAPKDYITPFPWPKSRDYVPYGNVPYKSSTIGKPFENWVQLKGNLFSFPGGGSTFPQADAYIEELASVIPLADGSIRTALDTGCGVASWGAYLLKRKIITMSFAPRDSHGAHVQLALERGVPAVIGVLGTIKLPYPSRAFDMVHCSECMIPWTSNDGMYMMEVDRILRPGGYWILSGTPINWKENYQAWNRSMHELQEEQRKIEELASVLCWEKKYEKGNLAIWMKTNSSPCRRPEVLSVKMCKSKEDDDVWYKSIQPCVTPSLQVNRPDEISSRQLKKFPDRLFAVPPRISERYIQGVSVEAYVENNNLWKKNVKTYKRINKLIGSVRYRNIMDMNAGLGGFAAALESPQLWVMNVVPTISERNTLGVVYERGLIGIYHDWCEAFSTYPRTYDMIHADAVFSLYQNRCKMEDILLEMDRILRPEGMIIIRDDVDIVNQIRRLANSMRWNTRLLDHEDGPLVPEKVLIAVKKDLVGNETTVFLTSKVTTCHQTRVFGGAS >DRNTG_29686.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3896254:3902701:1 gene:DRNTG_29686 transcript:DRNTG_29686.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLDGRTTRSLLSIMIILSLCFFFYILTIWHINNNGNREFGKSDRIALKITEETECSVLSNLKFETHHKLKSGLNLPELKTQVLESCDSQYTDYTPCQDQKRAMTLPMENMVYRERHCPQENEKLHCLIPAPKDYITPFPWPKSRDYVPYGNVPYKSSTIGKPFENWVQLKGNLFSFPGGGSTFPQADAYIEELASVIPLADGSIRTALDTGCGVASWGAYLLKRKIITMSFAPRDSHGAHVQLALERGVPAVIGVLGTIKLPYPSRAFDMVHCSECMIPWTSNDGMYMMEVDRILRPGGYWILSGTPINWKENYQAWNRSMHELQEEQRKIEELASVLCWEKKYEKGNLAIWMKTNSSPCRRPEVLSVKMCKSKEDDDVWYKSIQPCVTPSLQVNRPDEISSRQLKKFPDRLFAVPPRISERYIQGVSVEAYVENNNLWKKNVKTYKRINKLIGSVRYRNIMDMNAGLGGFAAALESPQLWVMNVVPTISERNTLGVVYERGLIGIYHDWCEAFSTYPRTYDMIHADAVFSLYQNRCKMEDILLEMDRILRPEGMIIIRDDVDIVNQIRRLANSMRWNTRLLDHEDGPLVPEKVLIAVKKDLVGNETTVFLTSKVTTCHQTRVFGGAS >DRNTG_14744.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:161177:162557:1 gene:DRNTG_14744 transcript:DRNTG_14744.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFLVSFLPFLFLLSSPLPLKPLPSWVNELRLVFFLFWQELIYYSFQGLRNYAEDMPSNLINMFAVRPSTARITAHVEEMQERTILDLPELALDCILEKLSPVGLCNMAGVCHALRDRCASDHLWKRHMREKWDRVFAFTANKDLSLNLPSRRVEASDKEKSLAQPEGYIGSLSHLWPLSWFKPRTDDGGNNKSPSSSSSSSSSYTALPVDSIMSCYLALQSGRIWFPAQVYNREVYDSFIIHAPFVFHLTILVQCA >DRNTG_14744.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:161177:163797:1 gene:DRNTG_14744 transcript:DRNTG_14744.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFLVSFLPFLFLLSSPLPLKPLPSWVNELRLVFFLFWQELIYYSFQGLRNYAEDMPSNLINMFAVRPSTARITAHVEEMQERTILDLPELALDCILEKLSPVGLCNMAGVCHALRDRCASDHLWKRHMREKWDRVFAFTANKDLSLNLPSRRVEASDKEKSLAQPEGYIGSLSHLWPLSWFKPRTDDGGNNKSPSSSSSSSSSYTALPVDSIMSCYLALQSGRIWFPAQVYNRENGSVGFLLSCYDAELSYDSRTDSFYARYPPHGIRTTNIEDGVKWDRIRVSPVDTSAHDLHVSDCLNDLHPGDHIEIQWRKSKEYPYGWWYGVVGHLASCNGNKHFCHCHDNGKEQNTLASCYFSFVTICVCN >DRNTG_14744.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:161177:163797:1 gene:DRNTG_14744 transcript:DRNTG_14744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFLVSFLPFLFLLSSPLPLKPLPSWVNELRLVFFLFWQELIYYSFQGLRNYAEDMPSNLINMFAVRPSTARITAHVEEMQERTILDLPELALDCILEKLSPVGLCNMAGVCHALRDRCASDHLWKRHMREKWDRVFAFTANKDLSLNLPSRRVEASDKEKSLAQPEGYIGSLSHLWPLSWFKPRTDDGGNNKSPSSSSSSSSSYTALPVDSIMSCYLALQSGRIWFPAQVYNRENGSVGFLLSCYDAELSYDSRTDSFYARYPPHGIRTTNIEDGVKWDRIRVSPVDTSAHDLHVSDCLNDLHPGDHIEIQWRKSKEYPYGWWYGVVGHLASCNGNKHFCHCHDNESIILEFNQYTPSSRWRQVAIDRKDHREFGNETNGFYGGIRKLHSKDEISAWRSMWPTKTLE >DRNTG_07285.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3651461:3655442:-1 gene:DRNTG_07285 transcript:DRNTG_07285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSLLAQCLPGLMPHEKAGHGVSIVSERDVRHPSPAVEILPSKTAHPYKYTGENADLHGLNIFKGRVSVADIIGFSSSEMICSKSDGSLKSWESAIDLVNVLKLEIRDGQLSFRGKRVLELGCGYGLPGLFACLKGASKVHFQDLNAEMIRCTTIPNVLMNLELARDRQSRQPESPLTPSRQQLAPEVHFYAGDWEELHTVLSVVQKDSFEPVPVMSLSFSEEDFMDACSSQDGSVVGHDSSSRRRSRKLSGSRAWERASETDPGDCGYDIILITEIPYSVSSLRKLYSLITKCLRPPYGVLYLAAKKNYIGSNGGVRQLRTLVDGEGVFGTHMVAELTDREIWKFFFK >DRNTG_07285.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3651461:3655442:-1 gene:DRNTG_07285 transcript:DRNTG_07285.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSLLAQCLPGLMPHEKAGHGVSIVSERDVRHPSPAVEILPSKTAHPYKYTGENADLHGLNIFKGRVSVADIIGFSSSEMICSKSDGSLKSWESAIDLVNVLKLEIRDGQLSFRGKRVLELGCGYGLPGLFACLKGASKVHFQDLNAEMIRCTTIPNVLMNLELARDRQSRQPESPLTPSRQQLAPEVHFYAGDWEELHTVLSVVQKDSFEPVPVMSLSFSEEDFMDACSSQDGSVVGHDSSSRRRSRKLSGSRAWERASETDPGDCGYDIILITEIPYSVSSLRKLYSLITKCLRPPYGVLYLAAKKNYIGSNGGVRQLRTLVDGEGVFGTHMVAELTDREIWKFFFK >DRNTG_00253.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5097291:5102230:1 gene:DRNTG_00253 transcript:DRNTG_00253.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHNDPGPHLIVCPASLLENWERDLNRWCLLFSVILFHGAGRATYSRELSYLAKNIGRFGNGVVC >DRNTG_00253.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5097291:5102230:1 gene:DRNTG_00253 transcript:DRNTG_00253.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSVPTYNPIIPQRPLRIPHLQGPHHQRHHPLLPNPRSRSSPSAAPPSLRPPHPSRSTASS >DRNTG_00253.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5097291:5102230:1 gene:DRNTG_00253 transcript:DRNTG_00253.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNSLTKMAFKANREFLIEVMMLSLLHHPNLVNFIGYCVDADKRLLVYEFMVGDL >DRNTG_00253.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5097291:5102230:1 gene:DRNTG_00253 transcript:DRNTG_00253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNDPGPHLIVCPASLLENWERDLNRWCLLFSVILFHGAGRATYSRELSYLAKNIGRFGNGVVC >DRNTG_21381.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19434134:19436918:1 gene:DRNTG_21381 transcript:DRNTG_21381.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDYLMHCLEIARDNGFLDRVSAIDQQNYSLSPEIRPRVSATVNDPHLAAITCQAIHNGWSIEPHEITMQETIGQGTTAEIYRGNWRGLDVAVKWINPQYFEPNEGGEAWFAQELETLSRQRHPFVLRFLGACLLPPENAWVVTELLSGQTLGEWLHGCKKRRKESLKPLPCLKERIEKGVEIAQAMQYLHEQKPRVIHRDLKPSNILLDESMHVRVADFGHARFLIEGQKALTGRMGTYVYMAPEVIRSEPYTEKCDVYSFGIILNELITGERPYIEATLKPIEIAAGVEQGKLRPRLTENEELDTTELTELINCMWDGDATARPSFRTITCNLRKIQDKFSGSI >DRNTG_21381.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19434134:19434842:1 gene:DRNTG_21381 transcript:DRNTG_21381.2 gene_biotype:protein_coding transcript_biotype:protein_coding LIYKIFEFSFSFYCLCKIAELEEEVRRQTELKVSYKCQLDRMQDYLMHCLEIARDNGFLDRVSAIDQQNYSLSPEIRPRVSATVNDPHLAAITCQAIHNGWSIEPHEVWKCLKI >DRNTG_15127.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18311222:18315920:-1 gene:DRNTG_15127 transcript:DRNTG_15127.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-regulated zinc finger protein 1 [Source:Projected from Arabidopsis thaliana (AT1G78600) UniProtKB/TrEMBL;Acc:F4IBS4] MRIQCSACEVAEARVLCCADEAALCLECDQKVHAANKLAGKHQRVPLLVPEPPAPRVPKCDICQEAAGYFFCLEDRALLCRKCDVAIHTANSFVSAHQRFLVTGVRVDLESTEHAVSFCKGTS >DRNTG_18197.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000938.1:12943:14266:1 gene:DRNTG_18197 transcript:DRNTG_18197.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSCRAGACSSCVGKVVFLNMIKWRLVLCFSLMLSMRQIRSSNINM >DRNTG_18243.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:21590470:21595035:-1 gene:DRNTG_18243 transcript:DRNTG_18243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTVIAPMLGEILIKFKREDIGRVRHAGVCANLFIIQLARPFGGAQGHSHFSVPTCARRTRSLPTCPIMKKQSGPRCGRVPVYVTSMNFRLMT >DRNTG_01186.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29406666:29409796:1 gene:DRNTG_01186 transcript:DRNTG_01186.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGVLSKAGSRKLVILCHGFCSSKGDRVMVNLSTALSMHGVSNFRFDFSGNGESQGQFQFGNYRKEAEDLHAIVQHFSEKGYEVITIVGHSKGGDVVLLYGSMYDDVGAIINISGRFDLQDGLEERLGKKFMERIKRDGYLDLDLKKEQGKVIRVTEESLMERLNTDMKAAALSISNKCRVLTVHGSADEIIPVQDAFEFSKVIPNHKHHIIDGANHCYTEHESELASIVVEFIKSIQDEGVGATGGN >DRNTG_01186.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29406666:29409796:1 gene:DRNTG_01186 transcript:DRNTG_01186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSPPPENAPQDGVIFEDRVLVLNKYGEMLVGVLSKAGSRKLVILCHGFCSSKGDRVMVNLSTALSMHGVSNFRFDFSGNGESQGQFQFGNYRKEAEDLHAIVQHFSEKGYEVITIVGHSKGGDVVLLYGSMYDDVGAIINISGRFDLQDGLEERLGKKFMERIKRDGYLDLDLKKEQGKVIRVTEESLMERLNTDMKAAALSISNKCRVLTVHGSADEIIPVQDAFEFSKVIPNHKHHIIDGANHCYTEHESELASIVVEFIKSIQDEGVGATGGN >DRNTG_27623.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3584941:3586409:1 gene:DRNTG_27623 transcript:DRNTG_27623.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPTTTALLLLLLTLSLASSIFSLTSPADVSALKAFKLAVEPSSIPAGSCLSSWDFDRDPCDSAFTDRFTCGFRCDGRDPASGLARVTELALDPAGYSGHLPFSFWSSFPSLESLDLSDNRFSGPIPPPPPYGLPSTLRRIALSRNSFSGWNYRETTSRERSQI >DRNTG_23854.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001280.1:61000:63814:1 gene:DRNTG_23854 transcript:DRNTG_23854.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSTARSPKAQLLRRPQEASPMPSHPIGIDIAMRKTMIWELRPLLRPRYLEKSCPKAKVLTLLGYWSRRGLSRMNTVKKIHSVRRPRM >DRNTG_23854.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001280.1:61000:63814:1 gene:DRNTG_23854 transcript:DRNTG_23854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSTARSPKAQLLRRPQEASPMPSHPIGIDIAMRKTMIWELRPLLRPRYLEKSCPKAKVLTLLGYWSRRGLSRMNTVKKIHSVRRPRM >DRNTG_32787.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001916.1:9556:9753:-1 gene:DRNTG_32787 transcript:DRNTG_32787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGSTKTVPSTPGILKKVLRKLKRARRRHRKRSKAVGDMREPNKL >DRNTG_29958.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9461965:9468196:-1 gene:DRNTG_29958 transcript:DRNTG_29958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYSSAANSIREYTRPREIARGKERTRLIGGRSGGCTSHAHSNIEGSRNDDSAPFSNANIPTVEETMNCLGSQQPNQNVVAISNTQANYGSTQKALTIVGRLRITVLNGLGHHTIQDDQYLMLCMGRSLTRC >DRNTG_28811.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28713631:28714852:1 gene:DRNTG_28811 transcript:DRNTG_28811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPENLPKNSAWMGGAILAKVVFPQNQHITKADYDESGPAIVHRKCF >DRNTG_21652.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:16641697:16649751:1 gene:DRNTG_21652 transcript:DRNTG_21652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAPAAAPPFERHRAASSNTVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKNDPSALPQRGGEVNLTLGGIDLNNSGSVVVREDKRLLTVLFPDGRDGRAFTLKAESSEDLYEWKAALEQALVQAPNAALVMGHNGIFRNDNVDTFEGSIQQWRDRRPIKSSVVGRPILLALEDIDGRPSFLEKALCFLEMY >DRNTG_19716.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:975865:976265:-1 gene:DRNTG_19716 transcript:DRNTG_19716.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSSQPSSSSRPPVLTSPSPRIHPMDGIQSFLELSINKPALALEGTSTTTRA >DRNTG_12526.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23181115:23183507:1 gene:DRNTG_12526 transcript:DRNTG_12526.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPISLVHLGVSTYVRILSQWQMKAKEMRCLSVPWRQAKLLSQENQNSELPVQALGKHNGHGSRFFFYLLENKLEMHKFDLKVRNLPQAQKTRPQTHSNGIKPDVIGKVLFLLGDRTPKFDLNEEIDELSASIGHMKHLRYLEMGLLNIKRLPEAICMLINLQTLKLKRCYSLLELPKSMRYMSSLQHLHISKCFKLRAMPAGLSQLQCLTTLTNYIVGDEAENGIGQLKHLNLGVNLKLYNLHKIKNADDAKEGNLCFKQDIRSLTLCWGGKNLRYEDNEYCEFANAVEVLGALKPHCNNIEVLTVGDYSGIQFPAWMREMENFQ >DRNTG_03310.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000154.1:17357:21451:-1 gene:DRNTG_03310 transcript:DRNTG_03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRHGQRGASPWNNRRMPPPSPQPIMTPTTPSRLPQSLLHVVPSPGGIKARGFIFGSPIALSIGAKFVPLRKLRKLPVSNQKPTDIVEVLESAFRAAHLQISDYIGFLVTLIARFKVYPGLNIPTVVLIVEVKSFACQKAADICYGSACVVPWLLLL >DRNTG_19290.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:561083:568594:1 gene:DRNTG_19290 transcript:DRNTG_19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAKRMSLGCTDVAPTRVDERHMEFLSLRYRNYNVVNYDDKLTDGFYDVYGVVPNHYLQEDALMLSLVDLQAASVSDDIDYEVVVVNRKIDPALQNLERRAIAIASECRTLELGPIASGLIQKIADLVVDSMGGPVADADDMLRRWTIRSYELRTSLNTIVLPLGLLEVGLSRHRALLFKVLADRINLPCRLVKGSYYAGTDEGAVNLIKVDYDSEFIIDLMGAPGTLIPAEIPSSHLDDSVPSLLSSNISEQTAKDLCLALDKASSQFGKQNELVEGSTSNALSNVTLPRITDSQSNDTLTRIIGSQSEKISRAGLLLETGDGGISDMVQTEKFETEFTSLLPSLGGMQLDTFGAKETMSSAQQMQVDDVSKYVVSAAKDPEFAQKLHAVLSENAALPPDPFAGLNPRQEPIEQKNLGKSIFCKQSHADKEEQLPVCSFLLHPQPSLLPITGAETSSCVYGGNKQQNWDVEMNHLQIATVDHVSNLTAGGIHSTLATSDAILSIDTGSKGMSLCKLRAKQTSPFLETQGLSSDSGRKGACESSLLVRANICQEQVPSAPKVVAEWSQENAVRGNILVQDCQESAKDSMGLFVGMGNNELNVLSPVDNEKMNPMLGSVAEYEIPWEDLQIGERIGLGSCGEVYRAEWNGTEVAVKKFLNQDLSGDAVEQFKCEVRIMLRLRHPNVVLFMGAVTRPPNLSILTEFLPRGSLYRLLHRPNVQLDEKRRLRMALDVAKGMNYLHTSHPTIVHRDLKTPNLLVDKNWVVKVCDFGLSRLKHHTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATLRMPWSGLNPMQVVGAVGFQNRRLEIPEEVDPMVAQLISDCWQSEPNQRPSFAQLMSPLKQLRRLVVS >DRNTG_04840.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30984995:30986335:1 gene:DRNTG_04840 transcript:DRNTG_04840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHNCSMLCVNTPGSYYCSCPHGYQGDGKINGTGCTKKSKLLQIVLGCALGSLFFLMVGIWLSYWAIRNRRMNKLREKFFEQNGGLLMQQLLSSHKIGTKSACIFTEKELELATDNYNESRVLGQGAHGIVYKGILTDNQIVAIKKSKFVDNNNNNEINQKDQFINEVFILSQVIHKNVVKILGCCFETPVPLLVYEYVPGGTLYHHIHKQRGSLSWSTRLKIATETADALSYLHSATERPIFHRDVKSANILLDDNYMAKVSDFGASRLIPQDTDNMTTMVQGTLGYLDPEYLHTGVLTEKSDVYSFGVLLAELLTGEQSISFKRKEEEMNLGMYFLMKMKADTLFDILEPRVKTEAKQEQLQEWLS >DRNTG_04840.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30983338:30986335:1 gene:DRNTG_04840 transcript:DRNTG_04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCLLVHVLLVFLFSSCGTCSMGLSGTLPRCQDKCGNVIIPYPFGLSDSCHLPGFDITCDHTYNPPKPFISTGNIEIFNITDETLTVDFLIAGGDDCEYGNTYTHSWTRLGGDQHPYTFSQARNMFVAIGCDTLAVFYDGSNPNSSISGCVSMCSDMKSIDNGTCSGNGCCQNSIPKGLKRFDVKLDTISAKIGSLNITTPNKNSTITFSQTITKCSKTFLVDNEWFQFQASFINSFNRTTVPVVLDWAIGNQKCDVAIKSINYTCRGNTQCVDSTNGPGYRCSCLPGYKGNPYLQVDPDGCQDINECASDQMTHNCSMLCVNTPGSYYCSCPHGYQGDGKINGTGCTKKSKLLQIVLGCALGSLFFLMVGIWLSYWAIRNRRMNKLREKFFEQNGGLLMQQLLSSHKIGTKSACIFTEKELELATDNYNESRVLGQGAHGIVYKGILTDNQIVAIKKSKFVDNNNNNEINQKDQFINEVFILSQVIHKNVVKILGCCFETPVPLLVYEYVPGGTLYHHIHKQRGSLSWSTRLKIATETADALSYLHSATERPIFHRDVKSANILLDDNYMAKVSDFGASRLIPQDTDNMTTMVQGTLGYLDPEYLHTGVLTEKSDVYSFGVLLAELLTGEQSISFKRKEEEMNLGMYFLMKMKADTLFDILEPRVKTEAKQEQLQEWLS >DRNTG_06095.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4736964:4739789:1 gene:DRNTG_06095 transcript:DRNTG_06095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFSRFSGSVRSGHRRTQSAVDMTETLPSNVEVGSSAPADVAHGIEAAVEFKPVEHPTEPVNRDQPVRCPLPEPSILNDARIWKERKSSASTRVRTDLPVVKEGSQLETETEGTKPRPTPPRRHILPSLSAPEHDLINLLEECNAAGDRTV >DRNTG_10220.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:31255:32874:1 gene:DRNTG_10220 transcript:DRNTG_10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRDEMRKKKGSKLLKAMSCSSKRGGVRSSDSNKSIEEILKSGLGTIMEEDLQDQQAPMNNVNVKKRHRIISRKFLSFRDMYMHFMAGFSSSRTQNFIAAGVAI >DRNTG_29039.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10554881:10555690:1 gene:DRNTG_29039 transcript:DRNTG_29039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEDDSDSETDESLEEGTEAHDGGTMQKLFIIPSSLHKKSVLDILKRIIKKYYTAPWNTWKEVSNDGYLCYWQEFQSHFTWQPHDVGEVKKMFNKKVAEWLRRNLGRAREKPKRQEWIRHNVWE >DRNTG_28440.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20629226:20629801:-1 gene:DRNTG_28440 transcript:DRNTG_28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSWFFFAFILLSSLRLAFSDAPSAYEMLEKFDFPRGILPEGVVSYTLRSNGGFEVRLSSDCEFKVNGGYLLRYKRRITGRVEKGSIVELTGVSVKVLVWFNINRLVKGDAEIYFYVGPLSASFPTSNFEECPKCRCGFDCASALLLDS >DRNTG_25945.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001341.1:49231:52004:1 gene:DRNTG_25945 transcript:DRNTG_25945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNHLTGTIPQEFGDLSSLEVLDLSSNNLTREIPIRLEHCLKLNSLKFSSNELSGAIPSQLGDLNLHDVLDLSDNLFNGKIPTQLSKLTILQKMNLSHNDLVGGIPSSFQLMMGLISFDISYNSLEGPVPENHFFEEAPIKWFTHNNGLCGQVHGLPRCN >DRNTG_13987.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27808025:27816380:-1 gene:DRNTG_13987 transcript:DRNTG_13987.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIATISAVQHRNLVSLYGCCIEGNRSLLVYEHLENGSLDQAIFDKKIFLDWPARFEICLGTARGLAYLHEESRMRIVHRDVKASNILLDANLNPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLSEKADVFGFGVVALEVVCGRRNTNESLELEKVYLLEWAWHLHERKCELEMVDPMLQSFNKEEVTRVIGVAFLCTQASPALRPPMSRVVAMLSGDIDVNEVTSRPSYLTDWHSNDTTKYASSSHATETSAEMSVRGQDILPSLENKESFPPSPSDPILHKCMNEGR >DRNTG_13987.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27808025:27809506:-1 gene:DRNTG_13987 transcript:DRNTG_13987.10 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVHRDVKASNILLDANLNPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLSEKADVFGFGVVALEVVCGRRNTNESLELEKVYLLEWAWHLHERKCELEMVDPMLQSFNKEEVTRVIGVAFLCTQASPALRPPMSRVVAMLSGDIDVNEVTSRPSYLTDWHSNDTTKYASSSHATETSAEMSVRGQDILPSLENKESFPPSPSDPILHKCMNEGR >DRNTG_13987.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27810100:27815223:-1 gene:DRNTG_13987 transcript:DRNTG_13987.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLPHGLNCLQRDAPCNQGSPIHYSFAIKCGGSRNLKASDGLLYEIDNADLTTSSYFVAELNKWAVSSVGWFADASNFSYIISSQSQFQNTLDPELFQTARISPSSLRYYGLGLQNGNYRIKLQFAEILYLDPPTWKSVGRRVFNIYIQGKLEEENFDIRKEASGASNTAVIKEFIAPVTKNFLEIHFFWAGKGTCCIPTQGYYGASVSAISVSPYDFTPNVSNPAATTSSKKNNTGLVAILAATVVVLSLSVIIGVVIWKRRQKNDDEELVEISAKADTFSYAELRAATGDFNFDNKLGEGGFGCVFKVS >DRNTG_13987.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27808025:27815223:-1 gene:DRNTG_13987 transcript:DRNTG_13987.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNGSLRKTFLTILMSNFAELVEISAKADTFSYAELRAATGDFNFDNKLGEGGFGCVFKGKLNDGRVVAVKQLSEASRHGKRQFMTEIATISAVQHRNLVSLYGCCIEGNRSLLVYEHLENGSLDQAIFDKKIFLDWPARFEICLGTARGLAYLHEESRMRIVHRDVKASNILLDANLNPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLSEKADVFGFGVVALEVVCGRRNTNESLELEKVYLLEWAWHLHERKCELEMVDPMLQSFNKEEVTRVIGVAFLCTQASPALRPPMSRVVAMLSGDIDVNEVTSRPSYLTDWHSNDTTKYASSSHATETSAEMSVRGQDILPSLENKESFPPSPSDPILHKCMNEGR >DRNTG_13987.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27813970:27816380:-1 gene:DRNTG_13987 transcript:DRNTG_13987.8 gene_biotype:protein_coding transcript_biotype:protein_coding MMVMIRTSFSCFIILCLLVLVQRSTAQATTDPSEVTALNTILGRWGKMASAKWNFSGEPCSGAAIDSTDFGSSDFNPAIKCDCSFSSGTICHITQLKVYALDVVGTIPEELKNLMYLFDLNLAQNYLTGPLPAFIGNLTAMKWLTVGTNALSGPIPKELGNLKSLITLSVASNNFSGSLPPELGNLVNLQEL >DRNTG_13987.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27808025:27809957:-1 gene:DRNTG_13987 transcript:DRNTG_13987.9 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKLNDGRVVAVKQLSEASRHGKRQFMTEIATISAVQHRNLVSLYGCCIEGNRSLLVYEHLENGSLDQAIFDKKIFLDWPARFEICLGTARGLAYLHEESRMRIVHRDVKASNILLDANLNPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLSEKADVFGFGVVALEVVCGRRNTNESLELEKVYLLEWAWHLHERKCELEMVDPMLQSFNKEEVTRVIGVAFLCTQASPALRPPMSRVVAMLSGDIDVNEVTSRPSYLTDWHSNDTTKYASSSHATETSAEMSVRGQDILPSLENKESFPPSPSDPILHKCMNEGR >DRNTG_13987.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27808025:27816380:-1 gene:DRNTG_13987 transcript:DRNTG_13987.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLPHGLNCLQRDAPCNQGSPIHYSFAIKCGGSRNLKASDGLLYEIDNADLTTSSYFVAELNKWAVSSVGWFADASNFSYIISSQSQFQNTLDPELFQTARISPSSLRYYGLGLQNGNYRIKLQFAEILYLDPPTWKSVGRRVFNIYIQGKLEEENFDIRKEASGASNTAVIKEFIAPVTKNFLEIHFFWAGKGTCCIPTQGYYGASVSAISVSPYDFTPNVSNPAATTSSKKNNTGLVAILAATVVVLSLSVIIGVVIWKRRQKNDDEELVEISAKADTFSYAELRAATGDFNFDNKLGEGGFGCVFKGKLNDGRVVAVKQLSEASRHGKRQFMTEIATISAVQHRNLVSLYGCCIEGNRSLLVYEHLENGSLDQAIFDKKIFLDWPARFEICLGTARGLAYLHEESRMRIVHRDVKASNILLDANLNPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLSEKADVFGFGVVALEVVCGRRNTNESLELEKVYLLEWAWHLHERKCELEMVDPMLQSFNKEEVTRVIGVAFLCTQASPALRPPMSRVVAMLSGDIDVNEVTSRPSYLTDWHSNDTTKYASSSHATETSAEMSVRGQDILPSLENKESFPPSPSDPILHKCMNEGR >DRNTG_13987.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27808025:27816380:-1 gene:DRNTG_13987 transcript:DRNTG_13987.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSFFNYLDISQCRLFLFPCRDLSYNQLSGSFPSWVSQQNLHLNLVANNFVIDNSNISTLPHGLNCLQRDAPCNQGSPIHYSFAIKCGGSRNLKASDGLLYEIDNADLTTSSYFVAELNKWAVSSVGWFADASNFSYIISSQSQFQNTLDPELFQTARISPSSLRYYGLGLQNGNYRIKLQFAEILYLDPPTWKSVGRRVFNIYIQGKLEEENFDIRKEASGASNTAVIKEFIAPVTKNFLEIHFFWAGKGTCCIPTQGYYGASVSAISVSPYDFTPNVSNPAATTSSKKNNTGLVAILAATVVVLSLSVIIGVVIWKRRQKNDDEELVEISAKADTFSYAELRAATGDFNFDNKLGEGGFGCVFKGKLNDGRVVAVKQLSEASRHGKRQFMTEIATISAVQHRNLVSLYGCCIEGNRSLLVYEHLENGSLDQAIFDKKIFLDWPARFEICLGTARGLAYLHEESRMRIVHRDVKASNILLDANLNPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLSEKADVFGFGVVALEVVCGRRNTNESLELEKVYLLEWAWHLHERKCELEMVDPMLQSFNKEEVTRVIGVAFLCTQASPALRPPMSRVVAMLSGDIDVNEVTSRPSYLTDWHSNDTTKYASSSHATETSAEMSVRGQDILPSLENKESFPPSPSDPILHKCMNEGR >DRNTG_13987.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27808025:27815223:-1 gene:DRNTG_13987 transcript:DRNTG_13987.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLPHGLNCLQRDAPCNQGSPIHYSFAIKCGGSRNLKASDGLLYEIDNADLTTSSYFVAELNKWAVSSVGWFADASNFSYIISSQSQFQNTLDPELFQTARISPSSLRYYGLGLQNGNYRIKLQFAEILYLDPPTWKSVGRRVFNIYIQGKLEEENFDIRKEASGASNTAVIKEFIAPVTKNFLEIHFFWAGKGTCCIPTQGYYGASVSAISVSPYDFTPNVSNPAATTSSKKNNTGLVAILAATVVVLSLSVIIGVVIWKRRQKNDDEELVEISAKADTFSYAELRAATGDFNFDNKLGEGGFGCVFKGKLNDGRVVAVKQLSEASRHGKRQFMTEIATISAVQHRNLVSLYGCCIEGNRSLLVYEHLENGSLDQAIFDKKIFLDWPARFEICLGTARGLAYLHEESRMRIVHRDVKASNILLDANLNPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLSEKADVFGFGVVALEVVCGRRNTNESLELEKVYLLEWAWHLHERKCELEMVDPMLQSFNKEEVTRVIGVAFLCTQASPALRPPMSRVVAMLSGDIDVNEVTSRPSYLTDWHSNDTTKYASSSHATETSAEMSVRGQDILPSLENKESFPPSPSDPILHKCMNEGR >DRNTG_13987.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27808025:27816380:-1 gene:DRNTG_13987 transcript:DRNTG_13987.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNGSLRKTFLTILMSNFAELVEISAKADTFSYAELRAATGDFNFDNKLGEGGFGCVFKGKLNDGRVVAVKQLSEASRHGKRQFMTEIATISAVQHRNLVSLYGCCIEGNRSLLVYEHLENGSLDQAIFDKKIFLDWPARFEICLGTARGLAYLHEESRMRIVHRDVKASNILLDANLNPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLSEKADVFGFGVVALEVVCGRRNTNESLELEKVYLLEWAWHLHERKCELEMVDPMLQSFNKEEVTRVIGVAFLCTQASPALRPPMSRVVAMLSGDIDVNEVTSRPSYLTDWHSNDTTKYASSSHATETSAEMSVRGQDILPSLENKESFPPSPSDPILHKCMNEGR >DRNTG_05715.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1841863:1842311:1 gene:DRNTG_05715 transcript:DRNTG_05715.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKSKKDKEGKKEKLESKRKDHRRRGKGVPAGRLQCSP >DRNTG_09013.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8565370:8566494:-1 gene:DRNTG_09013 transcript:DRNTG_09013.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAPSAYNHFIKEEIKRIKSRKPNITHKEAFSMATKNVLLH >DRNTG_07950.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1050696:1057496:1 gene:DRNTG_07950 transcript:DRNTG_07950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVNGAAVDHCEKGNKAGGLASVLALGTANPPNVVYQDTFADYFFRVTNSEDKVELKEKLKRVC >DRNTG_07950.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1050696:1058657:1 gene:DRNTG_07950 transcript:DRNTG_07950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVNGAAVDHCEKGNKAGGLASVLALGTANPPNVVYQDTFADYFFRVTNSEDKVELKEKLKRVCDKSMIRKRHFFLNEEKLKEHPNLCSFMDHTSLNTRHDIVVEEVPKLGEKAAIKALEEWGRPRSEITHIIFCSTGGVDLPGADYRIIKLLGLSPSTKRVMLYSQGCFAGGTVLRIAKDLAENNENARVLIVCAELTVISFRGPDEAKENFDNLVGQAIFADGAAAVVVGAKPYPRG >DRNTG_07950.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1050696:1058657:1 gene:DRNTG_07950 transcript:DRNTG_07950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVNGAAVDHCEKGNKAGGLASVLALGTANPPNVVYQDTFADYFFRVTNSEDKVELKEKLKRVCDKSMIRKRHFYLNEEKLKEHPNLCAFMDHASLNTRHDIVVEEVPKLGEKAAIKALEEWGRPRSEITHIIFCSTGGVDLPGADYRIIKLLGLSPSTKRVMLYSQGCFAGGTVLRIAKDLAENNENSRVLIVCAELTVISFRGPDEAKENFDNLVGQAIFADGAAAVVVGAKPIPEVETPYFEIVSTDQYILPESDGYIGGHLREVGLTFYLHSQVPSTVGNNIEKTLIKAFSSLGISDWNSLFFITHPGGRAILDKIEEKLELKPEKMRATRHVLSEYGNMSSPSVLFIMDEMRKRSMADGLRTAGEGLDYGVLHGLGPGITVETVVLHALPLHNFTNKN >DRNTG_07950.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1050696:1058657:1 gene:DRNTG_07950 transcript:DRNTG_07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVNGAAVDHCEKGNKAGGLASVLALGTANPPNVVYQDTFADYFFRVTNSEDKVELKEKLKRVCDKSMIRKRHFFLNEEKLKEHPNLCSFMDHTSLNTRHDIVVEEVPKLGEKAAIKALEEWGRPRSEITHIIFCSTGGVDLPGADYRIIKLLGLSPSTKRVMLYSQGCFAGGTVLRIAKDLAENNENSRVLIVCAELTVISFRGPDEAKENFDNLVGQAIFADGAAAVVVGAKPIPEVETPYFEIVSTDQYILPESDGYIGGHLREVGLTFYLHSQVPSTVGNNIEKTLIKAFSSLGISDWNSLFFITHPGGRAILDKIEEKLELKPEKMRATRHVLSEYGNMSSPSVLFIMDEMRKRSMADGLRTAGEGLDYGVLHGLGPGITVETVVLHALPLHNFTNKN >DRNTG_15719.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4329829:4333858:1 gene:DRNTG_15719 transcript:DRNTG_15719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRLQREKAIEKMEACQYKRMIEEKLYLAEELLADLEEVVQQKEMEIQTLKSQLKACKHQSTSVLRFDCLCHEPDIIDETSPSLLTRQLISGEDGDYRVKLERKFTEGENSELFEDSNTDLKHSEEETRTWNKNVEEGCCNGSLVIKDPVNVEEVSPACSWYSAVSGEGVRIKLNGEHVSRLPSQSENSQKLSDCGSPSSSCLETESNVTTFHSVSVQDIYEVPEDQKGDKLNENFNQVLEDSILKAKEILMPHEAMNYILKDDEWLNKALIYSHQENKVSKLRKGTSMNHGKRFLMPMRTKSMNYHVVDPPKNEITEYLKNVGQLKCQLQQFERENATIQGGADRGKEQLKLLKEIYKQLNVIESKIRNPHVKKHAQEEDSKLVSVMEV >DRNTG_09845.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20580990:20587697:-1 gene:DRNTG_09845 transcript:DRNTG_09845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFRMPVWLRSERLSVISRAHRSVCVCPVALSCGGTRAWI >DRNTG_29631.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5933825:5935344:1 gene:DRNTG_29631 transcript:DRNTG_29631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTTTTLTLHFPFILFFFFFFLSISFPTPSFSQSDTYLIPLNPRLDKAFIALQAFKHSITSDPNNFTGDWCGPHVCNYTGVYCTHALDDPDIYTVAGIDLNHANISGELPEDLGLLTDLALFHLNSNQFCGTLPESFADLKLLFELDVSNNLLSGPFPSVVLKLPSLKYLDLRYNSYHGDVPKDVFNLKLDAFFINNNNFTFTLPDNIGNSPVSVLVAASNQLNGCLPRSIANMSKTLNEIVIQNSNLSSCIPPEYGELVNLTVFDVAFNSLVGPLPETFGKLKKLEQLDVAHNKLSGDIPESICELPRLKNFTFSYNYFCGESEKCSKIRRRDDRENCIPYRPDQRSEEECSAFLEKPVHCDAFSCMATSPPPPTPPPTAPPV >DRNTG_12953.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14748095:14750054:1 gene:DRNTG_12953 transcript:DRNTG_12953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFIISLPLLLLLLLLLILYSQTTSKKPSSNPNTNFKPYPFLGHIPHLVKHRHESLEWISTLLSESPTHSLVFKVPFESDTFVTSNPANIEHMIKSNFSNYPKGHQHITLLEDFLGHGIFNSDGDHWNWQRKAASYEFNKKSLRNFIINTVKQEIVHRLLPLATKKCNSGEVFDLQEVFERLSFDNICKVAFGEDPCCLTDDTSRSQLVRAFGDASHIAVARFNSTLIPFTWRIKKLLNLGSEKRLKECIKIINNYAMNIIRSRRESEQEDDDLLSRFASNKDNSDQHLRDIVISFIVAGLETTSSALTWFFWILSTRPDVEEKILKELSKIRSQRNSCGDNYDTFNFDELREMHYLHAAISESLRLYPPVPFDSQSCLEDDIMPDGTLIRKGWFVTYCAYSVGRLRDVWGEDCMEYRPERWLEDGVFKPENPFKFPVFHAGPRMCLGKEMAYIQMKSAVACLIERFRIEALVAKDKHPEMVRWLTIRMKDGLPVLLREREKREGVE >DRNTG_19280.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:510629:514025:1 gene:DRNTG_19280 transcript:DRNTG_19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein QUIRKY [Source:Projected from Arabidopsis thaliana (AT1G74720) UniProtKB/Swiss-Prot;Acc:B8XCH5] MMPTIVKKLAVEVVHARDLIPKDGQGTSSPYVVIDYAGQRKRTRTCPRDCNPDWQERLEFIVPDPPSIDADELLVEVYHDGRLSVPARKNHFLGRVRIYGTQIPHRGNDALIYYPLEKRALLSWIHGEIGLRICQYDDILEEKKPEPTDPPPADANPAPGVGEPKDPPPPEVVAPTETAVETQSPPPVSVVVVDEAPMQPEKSPPPPAAANPLPEEPLEMYPPEVRKMQTSSFTERVRVPRRHSDIDCGPRVLSGRFAPSCEPVDRVQAAYDLVEPMQYLFVRVFKARSLLACESPYVKIQSGPHLMRTNPAREVNGRPEWNQVFALSQPKPESTLEISVCDGGQGDAFLGGVCFDLSDVPVREQPDGPLAPQWYRLEGGDDRHPGPQVTGDIMLSVWFGTQADESFTEACTSDAPSISHTRSKVYQSPKLWYLRVSVIEAQDLRFMPPPPGMPVDIRVKIQLGFQSSRTRRSTPNSNSSSFAWLEDLMFVASEPLDDHLIVLVEDRSIKEPALLGHVTVPVGSVEQRMDERQPVATKWFNLDCGNGDPTYSGRLHLRLCLEGGYHVLDEAAHVCSDFRPTAKQLWKPPVGVLELGIIGARGLLPMKTKGGTKGSTDAYCVAKYGKKWVRTRTIMDSFDPRWNEQYTWQVYDPCTVLTVGVFDNWRMFADVADDKPDYRIGKVRIRISTLESNRVYTRPFPLLVLLKSGVKKMGDIHLAVRFVCTGMLLDTWAVYTQPMLPRMHYLRPIGVSQQEALRGAAIKMVATWLTRSEPVLGPEVVRYMLDADAHTWSMRRCKANWFRIMGVIAWTIGLCRWINDIRRWKNPITTVLVHILYLVLVWYPELVAPTATLYVCLIGTWYYRFKPKGPAGMDVRLSQAEGVDWDELDEEFDAVPSGREEKVVRARYERLRTLGARVQTVMGDLAAQGERVQALISWRDPRATKLFIVVCMVVSLVLYVAPPKMVAVALGFYFLRHPMFRDPMPPASVNFFRRLPSLSDRLL >DRNTG_04642.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19146490:19146877:1 gene:DRNTG_04642 transcript:DRNTG_04642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFQDKNRIMAYGLNAARVNDKLNEVLIESVYHSGLVHFGGYIRASLGETWETFSRPLGLPPPHIFEAFRPSSKDNPCGKRAHIITKRERSIGEGVGVKF >DRNTG_15268.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4466815:4468135:1 gene:DRNTG_15268 transcript:DRNTG_15268.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEDMNSACWAPLSDSNFNATILGRGVRLSDLACLPISSGWFGPQDEHRRLIKVQCYSAEGTANFYMRPIEGLTVLLDLDTKQVVHISDVSPDIPIPKSSGTEYRFDSLSLKDNHKTKPLNPISLEQPAGPSFEIENGHVVRWAGWEFHLKPDPRAGVVVSAVRVTDPETGEKRRVMYKGFTSELFVPYMDPTDAWYFKTYMDAGEYGFGLQAMPLVPLNDCPRNAKYMDGVFAAADGRPYVRQNMVCLFESYGGDIGWRHSESPITGMG >DRNTG_15268.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4466815:4468135:1 gene:DRNTG_15268 transcript:DRNTG_15268.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRSLLRLLFLLLAALVLLLIHSTRSYLHDLPNSSSTLRPVLNSRPRPTITTTVSSQSSHESDVPHHPLDPLTIQEINTARSIILSYHPMTIEDMNSACWAPLSDSNFNATILGRGVRLSDLACLPISSGWFGPQDEHRRLIKVQCYSAEGTANFYMRPIEGLTVLLDLDTKQVVHISDVSPDIPIPKSSGTEYRFDSLSLKDNHKTKPLNPISLEQPAGPSFEIENGHVVRWAGWEFHLKPDPRAGVVVSAVRVTDPETGEKRRVMYKGFTSELFVPYMDPTDAWYFKTYMDAGEYGFGLQAMPLVPLNDCPRNAKYMDGVFAAADGRPYVRQNMVCLFESYGGDIGWRHSESPITGMG >DRNTG_15268.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4466815:4468135:1 gene:DRNTG_15268 transcript:DRNTG_15268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRSLLRLLFLLLAALVLLLIHSTRSYLHDLPNSSSTLRPVLNSRPRPTITTTVSSQSSHESDVPHHPLDPLTIQEINTARSIILSYHPFSSSPSSLIIHSLVLQEPDKPVVLSWHPGLPLPPRHASTIIRFLNSSYSLTIDFSTKNITSNPLPSSGYPTMTIEDMNSACWAPLSDSNFNATILGRGVRLSDLACLPISSGWFGPQDEHRRLIKVQCYSAEGTANFYMRPIEGLTVLLDLDTKQVVHISDVSPDIPIPKSSGTEYRFDSLSLKDNHKTKPLNPISLEQPAGPSFEIENGHVVRWAGWEFHLKPDPRAGVVVSAVRVTDPETGEKRRVMYKGFTSELFVPYMDPTDAWYFKTYMDAGEYGFGLQAMPLVPLNDCPRNAKYMDGVFAAADGRPYVRQNMVCLFESYGGDIGWRHSESPITGMG >DRNTG_00747.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28010375:28011172:-1 gene:DRNTG_00747 transcript:DRNTG_00747.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIAIYTVGPLFLLSKHNVPSDAPVSSLSLNLWKEDTTCLDWLDKKDKASVLYVNFGSIAVLSKDQLVEFAWGLANSKCDFLWVLRSDLVKEKKYSGDDMEEFLEETRERGLVVSWCPQEVVLGHAAVGGFLTQRADELQVRLQGMGSGDGDREWCGERRGGEGGEGDDGWREREGDEEEGLGVEGV >DRNTG_02177.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1421626:1424301:1 gene:DRNTG_02177 transcript:DRNTG_02177.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 13b [Source:Projected from Arabidopsis thaliana (AT3G18770) UniProtKB/Swiss-Prot;Acc:F4J8V5] MAAPSSLSSSEKESMEQYVTEFFSKSFHTILDSRSPSSSSPSSSARRREDWFNLQLRGQPAELDKIKQWRRRKAEILVVDVVLRRNQRHLEHEKVVERWSIKHEIREVVGCCIDVAAVYGKVYKKSIVVLRSLYATARMLPAYKLFRELSSSSRCGVGLSLRVSPFMEPFTREEEAEMERFSFNPVDTPFGRLSLVVSYLPTLEDVSLEPPPRMVTELIPDYVGSPATDPFKRFNSLPSSPHPCVLFQNELLRTESAPVCSHGLPPSPSMGKGNKELLRMGGFQIGIALQKALSLGIDGVGKLHRLKIPSCSLVRNLSSRSFSKLSVLNDVADIDCPFALEVKEDIDASSKRIESPDGKRVDGPLTITKSEDASIGTLLQMLKTAAPLNKDLSKPNITSSQALKVETRSLRVEQNKDMYNRGIKLGNPGSISRIFKSRTTADALDELQNFKEIKNLLLRRGGCRILNRTHSPKSTSMN >DRNTG_04118.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30140126:30143263:-1 gene:DRNTG_04118 transcript:DRNTG_04118.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP43 [Source:Projected from Arabidopsis thaliana (AT4G30840) UniProtKB/Swiss-Prot;Acc:Q24JJ9] MAIAGDPNLHRFPQPNPVDAVRWIPAFSSLRRFAAAAVHDPDSSSSAVEVHALNPGPNLVLRSSHPIPSRISALRFSLSPQKPLLATAATSAGSLHLLSIDPFEGSIDSELSVSDIAFSAATVADVDGQMEGREWVSVGEDGRVNLVAVGDGRLEWRRVFDSMGLVEYSAVKWGSPAEFATGGLGFGVQWWDQRKPGGAVAQFKGNWACGNTIGMVHSIDIHPSRKHICVVGASSGTVFAWDLRWQHQPILLSGVGMGDTVQPTSESEVWEVRYDTHIQSSSIATGPTRILPVMMCSEDGNSCSS >DRNTG_06556.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22662270:22667270:-1 gene:DRNTG_06556 transcript:DRNTG_06556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRERGGSGSKLEIGTIDRKRINDALDKHLERASSSTSRGLDGKEKDRVSAGKQQDLRDQRSSDLSKNKCSGESETDSEESDVSGSDVDDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHARYILTSKGMAAMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDVPRSSTVKIYCPKCDDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQKATQRFVPRVFGFKIHKA >DRNTG_21734.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13815359:13822594:-1 gene:DRNTG_21734 transcript:DRNTG_21734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHHVYHGVRIAQAVNSRLRSTICVACGIKFTLAGPSSSKDFYDVNLIDGYNIPVMVVAANGECEAMGCIVDLNNRCSTELKVGEGEGQRCRSSCKGCGKEYCCSGTSANPSVCKPSVYSEMFKAASPRSYSYAFDDPSSTFTCDSPSDFFYIRDDKPTYTSI >DRNTG_28324.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:54448:55543:-1 gene:DRNTG_28324 transcript:DRNTG_28324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGGLNILPQKRWNVYNYENREKVRKDEEAAAKEEQLKREQSRRRDAELRLERLRQARNLPNPNPNPADSHHINLFESLPDFNFESQPKTKKIEDERPRDLKKRRREEPPAVGPEEEKYKLGYGLVGKGVKAPWYVSGASLFSSEDGDGGRGSVNGAETSRKKSGGKKTIEEMREERIRREKREKEKEIKLLSSVARESNKGFSRRRS >DRNTG_13332.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1040898:1044723:-1 gene:DRNTG_13332 transcript:DRNTG_13332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVDRSQSISSSEPTRKSKRVPKKRVLDGAFDEVDEDDEIRYLERLKMAKVTRDYSAENEDDEEDSLKKKKISKMSKSKRTGYDIDEDYVSRSGKDNRRRSKSGKESDDADYMEEEEPGSDTGLEAKKKKQKEPVDALTDSRAEPLTTRQRALQSSKDGSTGSSLIEFPNGLPPAPPRKQKEKLSEVELQAKKAEAAQRRRMQVEKANRELEAATISKILGQDPKKKKKEEEKLKELDEKAQERAANFLTLAPNTIRWIMGPTGTVVTFADDVGLPSIFDSKPCSYPPPREKCARPSCTNTYKYRDSKSNLPLCSLQCYKATQGHSHTVSTC >DRNTG_09185.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7499277:7500453:-1 gene:DRNTG_09185 transcript:DRNTG_09185.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARPLVSVQILEGDMATDGNSVPLPDVLKAPIRPDVVRFVHAGLSRNKRQPYAVSKRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRIINSDEVQSVVRPIDKTVKRRSLKKNPLKNLNAMLKLNPYAKTARRMALLAEAQRVKAKKEKLDKKRTQLPK >DRNTG_09185.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7497070:7500453:-1 gene:DRNTG_09185 transcript:DRNTG_09185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARPLVSVQILEGDMATDGNSVPLPDVLKAPIRPDVVRFVHAGLSRNKRQPYAVSKRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRRVNTAQRRLAVASALAASAVPSLVLARGHRIEAVPELPLVISDSTESIEKTSSALKILKQIGASADAERAKDSHAIRAGKGKMRNRRYVSRKGPLIVYGTEGSKIVKAFRNIPGVDVANVERLNLLKLAPGGHVGRFIIWTKSAFEKFTKWLGVTQ >DRNTG_09185.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7499277:7500453:-1 gene:DRNTG_09185 transcript:DRNTG_09185.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARPLVSVQILEGDMATDGNSVPLPDVLKAPIRPDVVRFVHAGLSRNKRQPYAVSKRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRRVNTAQRRLAVASALAASAVPSLVLARGHRIEAVPELPLVISDSTESIEKTSSALKILKQIGASADAERAKDSHAIRAGKGKMRNRRYVSRKGPLIVYGTEGSKIVKAFRNIPGVDVANVERLNLLKLAPGGHVGRFIIWTKSAFEKLDSVFGTFDKPSEKKKGWVLPICKDSKEDGSAC >DRNTG_09185.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7499277:7500453:-1 gene:DRNTG_09185 transcript:DRNTG_09185.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARPLVSVQILEGDMATDGNSVPLPDVLKAPIRPDVVRFVHAGLSRNKRQPYAVSKRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRRVNTAQRRLAVASALAASAVPSLVLARGHRIEAVPELPLVISDSTESIEKTSSALKILKQIGASADAERAKDSHAIRAGKGKMRNRRYVSRKGPLIVYGTEGSKIVKAFRNIPGVDVANVERLNLLKLAPGGHVGRFIIWTKSAFEKLDSVFGTFDKPSEKKKGWVLPRPKMANADLGRIINSDEVQSVVRPIDKTVKRRSLKKNPLKNLNAMLKLNPYAKTARRMALLAEAQRVKAKKEKLDKKRTQLPK >DRNTG_28320.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:44057:46503:1 gene:DRNTG_28320 transcript:DRNTG_28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGLLRRKVIFVNWSIYEYLKEFQPLRLRLRLRLRLRSSEGFPIRGTIKASNQITNTESPAMKAMASLNLSSFVMKNHVLLRSPYYNCRFWKEPTPTPQRVVPFPQMPINNMSLLCTALLPWEAEAALPYSVKDDDQSIIKGPNVVDPFPVDASEDAPELPNSKHKDEGESFQLLKLPMWLIGPSVLLMTGMVPTLWLPLSSVFVGPNIAGLLSIVGLDCIFNMGATLFFLMADACGRPKRSSNSIESQVPLSYKLWNMVANAVGFAAPLLMLMASSRGTLQPPLPFISFAVMLGPYLLLLAVQVLTEALTWQWKSPVWLVAPVVYEVYRVLQLMRGIKLGIEIGAPAWSVESIRFLVSWWVLILGVQLFRVAWFSGYTARNQHD >DRNTG_23582.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7040278:7043157:1 gene:DRNTG_23582 transcript:DRNTG_23582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVRGTVTSARRISVTKAAMIMARFADAGAETGARSDVAAYLRCASAGFEELAHVHRELRGERRRSQDEIHGGEEENEKGEKERKRKEKRNPRLEELGGKWKSSDLDGGIGEKSVGFEEKRDLEDNGLVLEVEKEKKRKKKKRKENDLDKTEAGEEEKSESMINEKKKRSKKDRNLDNSENLGEEEEDGMKKENKRRNSVMDETEFEVDGDGKKRKHSKDGVVDERELHKKKKRKTKEQQS >DRNTG_23582.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7040278:7042234:1 gene:DRNTG_23582 transcript:DRNTG_23582.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVRGTVTSARRISVTKAAMIMARFADAGAETGARSDVAAYLRCASAGFEELAHVHRELRGERRRSQDEIHGGEEENEKGEKERKRKEKRNPRLEELGGKWKSSDLDGGIGEKSVGFEEKRDLEDNGLVLEVEKEKKRKKKKRKENDLDKTEAGEEEKSESMINEKKKRSKKDRNLDNSENLGEEEEDGMKKENKRRNSVMDETEFEVDGDGKKRKHSKDGVVDERELHKKKKRKTKEQQS >DRNTG_33768.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:2233:3441:1 gene:DRNTG_33768 transcript:DRNTG_33768.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TERMINAL FLOWER 1 [Source:Projected from Arabidopsis thaliana (AT5G03840) UniProtKB/Swiss-Prot;Acc:P93003] MERPFDPLIVGRVVGDVLDNFTPTVRLMVTYNSNKLVLNGRELLPSWLIHKPRLEILGGDMRTFFTLVMVDPDVPNPSDPYLREHLHWMVMDIPGTTDATFGREVVEYEIPNPNIGIHRYVFVIFQQRSRFVEMPRQPERERFNTRRFAGENGLGAPVAAVFFNAQRETAARRR >DRNTG_27564.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3121383:3122122:1 gene:DRNTG_27564 transcript:DRNTG_27564.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CML30 [Source:Projected from Arabidopsis thaliana (AT2G15680) UniProtKB/TrEMBL;Acc:A0A178VPG8] MSKLRILNFQHNLSKKLPVQKMRTKWLSIKDRQISDLLLSFRPNTKEMRQVFDKIDLNKDGKISLGELQALLEALGKENPKAEAKEMMQVADTNKDGYIDFLEFMEVHRKGIKMSDIQSAFWMFDQNGDGRISAEEVMAMMVKLGERCSLEDCKRMVRQVDKNADGLIDMDDFMAMMTSTLK >DRNTG_27564.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3121285:3122122:1 gene:DRNTG_27564 transcript:DRNTG_27564.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CML30 [Source:Projected from Arabidopsis thaliana (AT2G15680) UniProtKB/TrEMBL;Acc:A0A178VPG8] MYKKMLPHCFSFSYLGLKHLPLGNCLLRMSKLRILNFQHNLSKKLPVQKMRTKWLSIKDRQISDLLLSFRPNTKEMRQVFDKIDLNKDGKISLGELQALLEALGKENPKAEAKEMMQVADTNKDGYIDFLEFMEVHRKGIKMSDIQSAFWMFDQNGDGRISAEEVMAMMVKLGERCSLEDCKRMVRQVDKNADGLIDMDDFMAMMTSTLK >DRNTG_27564.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3121285:3122265:1 gene:DRNTG_27564 transcript:DRNTG_27564.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CML30 [Source:Projected from Arabidopsis thaliana (AT2G15680) UniProtKB/TrEMBL;Acc:A0A178VPG8] MYKKMLPHCFSFSYLGLKHLPLGNCLLRMSKLRILNFQHNLSKKLPVQKMRTKWLSIKDRQISDLLLSFRPNTKEMRQVFDKIDLNKDGKISLGELQALLEALGKENPKAEAKEMMQVADTNKDGYIDFLEFMEVHRKGIKMSDIQSAFWMFDQNGDGRISAEEVMAMMVKLGERCSLEDCKRMVRQVDKNADGLIDMDDFMAMMTSTLK >DRNTG_16240.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5930180:5933611:1 gene:DRNTG_16240 transcript:DRNTG_16240.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-(5-phosphoribosyl)-5-[(5-phosphoribosylamino)methylideneamino] imidazole-4-carboxamide isomerase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G36230) UniProtKB/Swiss-Prot;Acc:O82782] MAVLPELQWRLKVSKNPLASSPSHHHPQTKPSLWSSGILRSASPFYQPIRCAVRFRPCIDIHKGKVKQIVGSTLQDLASSASSALVTNFESDKSSAEFANLYKRDGLTGGHVIMLGTDVASQSAAIEALHAFPGSMQVGGGINADNCISYLDEGASHVIVTSYVFNNGQVDLERLEKLVHNVGKHRLVLDLSCRKKNGRYAIVTDRWQKFSDVFVDEQTLGELAGYADEFLVHGVDVEGKRLGIDEELVELLGLYSPIPVTYAGGVTTMADLERIKAAGSGRVDVTVGSALDIFGGNLAYTDVVAWHKMQVMAVK >DRNTG_16240.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5930180:5933611:1 gene:DRNTG_16240 transcript:DRNTG_16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-(5-phosphoribosyl)-5-[(5-phosphoribosylamino)methylideneamino] imidazole-4-carboxamide isomerase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G36230) UniProtKB/Swiss-Prot;Acc:O82782] MAVLPELQWRLKVSKNPLASSPSHHHPQTKPSLWSSGILRSASPFYQPIRCAVRFRPCIDIHKGKVKQIVGSTLQDLASSASSALVTNFESDKSSAEFANLYKRDGLTGGHVIMLGTDVASQSAAIEALHAFPGSMQVGGGINADNCISYLDEGASHVIVTSYVFNNGQVDLERLEKLVHNVGKHRLVLDLSCRKKNGRYAIVTDRWQKFSDVFVDEQTLGELAGYADEFLVHGVDVEGKRLGIDEELVELLGLYSPIPVTYAGGVTTMADLERIKAAGSGRVDVTVGSALDIFGGNLAYTDVVAWHKMQVMAVK >DRNTG_22107.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:176966:177677:1 gene:DRNTG_22107 transcript:DRNTG_22107.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQAMWSPWMSPQRGGPNQVDNNAQGELGMDVAGNQVVGGRGKVEIGVGNNAGGDNRGRIIDNDVYNTDRSVDIGVGNTAGRDNKMQVYGNKVCR >DRNTG_21974.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001225.1:1:5129:-1 gene:DRNTG_21974 transcript:DRNTG_21974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENHLPQLRCPKIRPPYFIQQKSRPDFRESKPHHDMVFRALCVLIMAVMVFTTAVVAFVLLSVGSCCHS >DRNTG_32158.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30966500:30966814:-1 gene:DRNTG_32158 transcript:DRNTG_32158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRRDHQMSTIGTIWISAASSDRGRDRQDWATGEWRVGGEKRESGVSPRAGIRAR >DRNTG_10843.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4911347:4917286:1 gene:DRNTG_10843 transcript:DRNTG_10843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLQKHLARFVQSANNRIESVGATLHNHTASLHNLENQVGQIANPDFGILFSIFSTTCERALARVSRGIDQSFGEVLRLRYRDSIRKKVGRRDSIEAYPIPDEGILGRRVEDFPQDHRHDHRGDTTDEIIDEYMHEMFNPDPYKEKPQGRAAAPVGWTHERGYFPHARTRAVRVEGVFSRERTGNIKGREFFLKKISFSPSSLPLFVWKHSHISLRLHSANLIMAPRSKKQADKRPCESSPEFVGMRFAIPEHKVHYARLSRLRFRQTRFLDTTILQDLQQEDKFAEEVEDLVSAGGWRQLLTIRELVIREFALEVLSSIEFYRSYISFDSLDTIQFRVFRHYHSLSITQFLVRLGLYEQVFTDTEEYAQLPTDYPGTLTPQRAYRVLCGQSQYKPGVSKELLYLYSMVQRILIHLGHIMAEYIRHQGHYVRLGAIFSGPYITRFVLGMGLLDSIRGAEKTSIPASLSLETMRMMGMFRRVRTGVFALVLPAPEIAEDADDEAGVSQPAPEPQPTPMDTEAPLVAEEPPPVRIFSPSRAYDRFERLESALGVIRTEQILKRDAASSFVLRLRTPQVPSVPPTPSSPTPTPVDPPCASSPAVAAVPEPEGDSDI >DRNTG_05354.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:73074:76400:1 gene:DRNTG_05354 transcript:DRNTG_05354.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRVLCKFFAHGACLKGEHCDFSHDWKDQANNICTFYQKGVCTYGSRCRYDHVKVTRHQPSVPSSSSNAHPRAVLSSNQIVHPTEVVTVNEQTTRHASGHGKAAWTPQSNEAHAFQDSEDRHCPASLADQPICSFAAAGSCPHGENCAHIHGDLCAICGKQCLHPYRPDEREEHIKLCTKNNMRLEALRLSQDIECCVCLERVLLKPTAAERKFGLLSECDHPFCISCIRNWRSNSPASGMDVNAALRACPICRKLSYFVIPSVIWYSSKEEKQEIIDNYKAKLQSIDCKYFDFGNGTCPFGTSCFYKHKYKPHLNRPRPRRPRTYQPHMNRHRGVAIEEEELSDLVRLAMIEEGLREFGSHLDLDEVIDEEELPDFARLVMDEDGLVDLARLVMAEDGLLDPSDLDDDDVHNLSEMFLFMQLGLSNQDSLSDDDEI >DRNTG_05354.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:73074:77146:1 gene:DRNTG_05354 transcript:DRNTG_05354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRVLCKFFAHGACLKGEHCDFSHDWKDQANNICTFYQKGVCTYGSRCRYDHVKVTRHQPSVPSSSSNAHPRAVLSSNQIVHPTEVVTVNEQTTRHASGHGKAAWTPQSNEAHAFQDSEDRHCPASLADQPICSFAAAGSCPHGENCAHIHGDLCAICGKQCLHPYRPDEREEHIKLCTKNNMRLEALRLSQDIECCVCLERVLLKPTAAERKFGLLSECDHPFCISCIRNWRSNSPASGMDVNAALRACPICRKLSYFVIPSVIWYSSKEEKQEIIDNYKAKLQSIDCKYFDFGNGTCPFGTSCFYKHAYRDGRLEEVVLRHLDAEDGNIVIAKNIRLSDFLSDLHI >DRNTG_05354.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:73074:77146:1 gene:DRNTG_05354 transcript:DRNTG_05354.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRVLCKFFAHGACLKGEHCDFSHDWKDQANNICTFYQKGVCTYGSRCRYDHVKVTRHQPSVPSSSSNAHPRAVLSSNQIVHPTEVVTVNEQTTRHASGHGKAAWTPQSNEAHAFQDSEDRHCPASLADQPICSFAAAGSCPHGENCAHIHGDLCAICGKQCLHPYRPDEREEHIKLCTKNNMRLEALRLSQDIECCVCLERVLLKPTAAERKFGLLSECDHPFCISCIRNWRSNSPASGMDVNAALRACPICRKLSYFVIPSVIWYSSKEEKQEIIDNYKAKLQSIDCKYFDFGNGTCPFGTSCFYKHAYRDGRLEEVVLRHLDAEDGNIVIAKNIRLSDFLSDLHI >DRNTG_35396.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1627214:1630664:-1 gene:DRNTG_35396 transcript:DRNTG_35396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNQLEANASNDSNQSPQLLAVLKEMKSGLDTVMAKVQALTQKVKEGQFPTADGISYLETKHLLLLSYCQSIVYYLLRKAKGLSIEGHPVVRSLVEIRLFLEKIRPIDKKLEYQIQKLTKAATNLVSERAMSIGEETEVGKKDEEDSLKFRPNPDMLVSKSVPADKDGGGVYRPPRFAPASMENDKLSKQEKQAIRKDKQFLRQARENTYFKELMDNMEDKPEEIREFYGTDSKEVERYKAKREARDKQEEELFTRAPISKREKRLERHMLKSRNGLLGLTDGFNEEMRMLPLEGKDRDTETSYNLTGSGGKRFKKRKRKH >DRNTG_35396.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1627214:1630416:-1 gene:DRNTG_35396 transcript:DRNTG_35396.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGEETEVGKKDEEDSLKFRPNPDMLVSKSVPADKDGGGVYRPPRFAPASMENDKLSKQEKQAIRKDKQFLRQARENTYFKELMDNMEDKPEEIREFYGTDSKEVERYKAKREARDKQEEELFTRAPISKREKRLERHMLKSRNGLLGLTDGFNEEMRMLPLEGKDRDTETSYNLTGSGGKRFKKRKRKH >DRNTG_32410.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1869872:1870409:1 gene:DRNTG_32410 transcript:DRNTG_32410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCEESSAQLGKRKSKNPNKSLEIEPHT >DRNTG_01314.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1796144:1803028:1 gene:DRNTG_01314 transcript:DRNTG_01314.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucan phosphorylase 1 [Source:Projected from Arabidopsis thaliana (AT3G29320) UniProtKB/Swiss-Prot;Acc:Q9LIB2] MLEITQKANQAQANAEKICFILYPGDESVEGKSLRLKQQYTLCSASLQDIIARFERRSGNLVNWEDFPEKVAVQMNDTHPTLCIPELIRLLVDVKGLSWKEAWSITQRTMAYTNHTVLPEALEKWSLDLMQKLLPRHVEIIEMIDEELMNEIISVYGTEDLGLLEQKLVRMRILENLDFPEPVAKLFVKPKKQKVKSKEKILVESLLPSDIVENEESEAQAASEEVETEEVETIEVKTEEVETEEIETEEAETEEVEIVEEDPADKDPSFLKSDPKLPKMVRMANLCVVGGHAVNGVAEIHSEIVKDEVFNDFYKLWPSKFQNKTNGVTPRRWIRFCNPELSKIITKWLGTEDWVLNTEKLGELRQFADNEDLHKEWKVAKRNNKLKVVSLIKERTGYIVSPDAMFDIQVKRIHEYKRQLLNILGIVYRYKQMKEMSATEREEKFVPRVCIFGGKAFATYVQAKRIVKFITDVAATVNNDPDIGDLLKVVFVPDYNVSVAEALIPASELSQHISTAGMEASGTSNMKFSMNGCVLIGTLDGANVEIRQEVGEDQLFPVRCLLLMRLLA >DRNTG_01314.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1796144:1803028:1 gene:DRNTG_01314 transcript:DRNTG_01314.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucan phosphorylase 1 [Source:Projected from Arabidopsis thaliana (AT3G29320) UniProtKB/Swiss-Prot;Acc:Q9LIB2] MLEITQKANQAQANAEKICFILYPGDESVEGKSLRLKQQYTLCSASLQDIIARFERRSGNLVNWEDFPEKVAVQMNDTHPTLCIPELIRLLVDVKGLSWKEAWSITQRTMAYTNHTVLPEALEKWSLDLMQKLLPRHVEIIEMIDEELMNEIISVYGTEDLGLLEQKLVRMRILENLDFPEPVAKLFVKPKKQKVKSKEKILVESLLPSDIVENEESEAQAASEEVETEEVETIEVKTEEVETEEIETEEAETEEVEIVEEDPADKDPSFLKSDPKLPKMVRMANLCVVGGHAVNGVAEIHSEIVKDEVFNDFYKLWPSKFQNKTNGVTPRRWIRFCNPELSKIITKWLGTEDWVLNTEKLGELRQFADNEDLHKEWKVAKRNNKLKVVSLIKERTGYIVSPDAMFDIQVKRIHEYKRQLLNILGIVYRYKQMKEMSATEREEKFVPRVCIFGGKAFATYVQAKRIVKFITDVAATVNNDPDIGDLLKVVFVPDYNVSVAEALIPASELSQHISTAGMEASGTSNMKFSMNGCVLIGTLDGANVEIRQEVGEDQLFPVRCLLLMRLLA >DRNTG_07041.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3686002:3688852:-1 gene:DRNTG_07041 transcript:DRNTG_07041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGIVEGIFWLGCTHWAYKRCTLSGDSDSHSWPLTSVSTFSPIPRIARAILSVYEPDLSHPQWPPSVPSPVSISNLSKRISYSQTHGHCPPYLIYVDTTNREIVVAIRGLHLLSNSDYSLLLDDKPGLQPLDSGYVHHGLYKAAVWLLSYEAPVLRRLLREHGPDFKLVLAGHSLGAGVAALATLLLVNHLDRFGGLTRSRVRCYAVAPPRCMSLNLAVKYADVIHSVILQDDFLPRTSTPLQYMFGSIFCLPCLLCFVCMRDTFTSEEKKLKDPRRLYAPGRMFHIVDRKFCRCGRYPPEVRTAIPVEGRFEHIVLSCSTTSDHQIILIEREAQKALDRMKEDEEANLAPMQQRMNRNQSFEEEHKHAIEKTVNLNEPHVEALTQENSTSAMVNNAVSSHCNSNSTQEETDWEKLYEKLFECDDHELEIENNIVAKENENNIV >DRNTG_32299.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001817.1:81413:86286:1 gene:DRNTG_32299 transcript:DRNTG_32299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDSPWASRGAPQKGAGIYEFSANDALAAKVDVLSRKLDLLMGSSSKSESEVLSLNPLDEYLRDIENEDQEEPHSPLPSPNLKNPKEKVMCTNAKEKEKKDSMLKKIWREVWGKKKKDQAFEPLFYAGDDFRTYCRHGHVFGEARMTLTLDFRSHEDLNELLIEVLKKFQQHYTRAYGLIELLIETGTGMPWRTRRYNLSKKEKKTRSQYCSSGNAAPVL >DRNTG_13181.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:16335672:16336882:-1 gene:DRNTG_13181 transcript:DRNTG_13181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIPQAAARVQDRCAGKKTNTGYIKGCSVAVNVWFYELTGTGKKVRFGKIQRMLCYGESTYRKEATVETSLSSLDGKEFSELVPANTEEEIFIGANRRMDVIAPQPLARRQDERATSIVRARCRSPTSSPPRARIPRRWRSPPLPRQIATTPPTTITTVPPTVAAPPTVAAPPA >DRNTG_34949.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2177478:2182865:1 gene:DRNTG_34949 transcript:DRNTG_34949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKFMQKYQLTWFLFYVAGLIGNYLGASHMRVFIISVLKKMFKRVEELEILKKNHIQTMKLLEYLAKDGMYWDLFSMGSSKQGTVSDDDDYDDDDDDDDVREKKFLIKELLKVTESVVEKRIREPTRWSESLHKVIKELLELTDSLAKEPIRAATRWTDSPLIVGAKMGLHDFVEQILKVHPQSARLKDSEGRNVLQVAIKHGHVKIVKLIAGMISGPNPTLPSWLLWDIEDDAMKNTILHYAAETTIKREGFALQMQHEIKWFEMVKKLLPKDLVNTRNSKEETAQELFNENHAEMVKSGRNQLMEIGKTCSGLLAAVVFATSFSIPGSKDSDSITGPSNNNVTNSSEGNHFQDESVGFIVFSHVYVIGLSCATCSLLLFLSLLISIYSLESFRRALPTKFILAIVSFLLALAALLVAFICNVYLSIYGGGTPKAKDLLPLILELTGFPFLCVVAWFLGGFGIPFSNVILNKFRR >DRNTG_16107.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1572936:1573796:-1 gene:DRNTG_16107 transcript:DRNTG_16107.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELALSLEKLTNEKLLHLHGVACKCNDPQMADFVESEFLGDQV >DRNTG_08483.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000380.1:1039:6684:-1 gene:DRNTG_08483 transcript:DRNTG_08483.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLFLSHPISSSSYTTKLLIASPCRRHLPLSCPILTPFFSRLTFVASCRPFAAARPEDLMTSTNSISANSNDFYTVGDFMTRKEELHAVKPTTSIDEAIRTLVEKRITGFPVIDDDWTLVGVVSDYDLLALDSISGSGQIDTSLFPEVDSSWKTFNEIQKLLSKTYGKVIGDVMTTNPLVVRETTNLEDAAKVHCESN >DRNTG_08483.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000380.1:1039:6684:-1 gene:DRNTG_08483 transcript:DRNTG_08483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLFLSHPISSSSYTTKLLIASPCRRHLPLSCPILTPFFSRLTFVASCRPFAAARPEDLMTSTNSISANSNDFYTVGDFMTRKEELHAVKPTTSIDEAIRTLVEKRITGFPVIDDDWTLVGVVSDYDLLALDSISGSGQIDTSLFPEVDSSWKTFNEIQKLLSKTYGKVIGDVMTTNPLVVRETTNLEDAARLLLKTKYRRLPVVDSEGKLVGIITRGNVVRAALQINRTSEISES >DRNTG_12854.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000577.1:1999:3176:-1 gene:DRNTG_12854 transcript:DRNTG_12854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVEFVSGVVPVRRRSDKQLVSHDTKSNNYNYKYTFSVEICPICREDLICLPPKVSNSLGNLGPLVICAKVSNSILLVDPETLRTAFLDADEYWRTPFGALLSSRQLVEFIVLDVKEKTPEVTVSGSRYCLARVEVARMSDFGQNDTTFDIKTHLGHLLKEGDVVLGYDLYSANSNDVEMDKYKGFELPYAILIKKSYEEKRQRKHGKQRKWRLKRMQMEVDDGARGRGSEEKRNNEYEEFLRELEENPTGMLDQMTIYKNKDHRPSETASMLSGDDDL >DRNTG_34412.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1167591:1176866:-1 gene:DRNTG_34412 transcript:DRNTG_34412.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLITANFEAELMFCSPHFEGLFSPSEDHIYPIASPKVTISMKGKYVATLSLSGCVDIFDMDIDQYSLSLHLSGGQYPDMSNNLLLEQKKYLTDVINLSWWNDHFLILVKKNGRITMYDVSAGRGVSENDLDVSMPLTERVKSYEGYVFLLDATSSGRKICNMDTPDDLNVNSENDNQLDTGKWSWSLMSFLSRSVPEMFMIFISNKNYIAALEFASRHGLDKDEVFKAQWLDSDHGTKAISMFLSKIKDQAFVLSECASKVGSTEEITKALLSYGLHITDQYKFLDTTDNECNLIWDFRLIRLKLLQYRDSLETFVGINMGRFLAQEYVKFRALPLTESAISLAESGKIGALNLLFKRHPYSLSSRILDILSAIPETVPVQTYGQLLPGRSPPNTFALREDDWLECQKMVEYMNQMTASSDIFPTEIILKHSRGFLWPSANELTEWYKKRARDIDNLSGQLDNGLSLVEVACRKGITELQKFCEMISYLHQLIYSDEIHETLSMSLGTWEQLSDYEKFRVMLKGVQEDNVVERLRKRAIPFMCDQFTFQTWDSECEVREHTVKDYEQGDSFLVRWLKEVAAENKLDICLSVFENACGDSPIAGLFKDVSELIETTLQCIYLCSLTDQWNIMASILSKLPRKTLRDKSANDDKELSPKHVDKLEKRIKIAEGHVEVGRLLVYYQVPKPISFFPIAQSDEKNVKQLLHLILSKFGRRQPGRSDHDWANMWRDMQCFQEKAFPFLDVEYMLIEFIRGLLKAGKFSLARNYLKGTGTVILDSEKTENLVIQAAREYFFSASSLACIEIWKAKECLNLLPNNKNVQAESDLIDVLTIRLPNLGVTILPMQFRQIRNPMEIINMAIASQNGVYLNVDELIEIAKLLGLRSIDDIASVEEAIAREAAVAGDLQLAFDLCIALAKKGHGPVWDLCAAIARGPDLDNMDLSSRKKLLGFSLSHCDEESVGELLNAWKEVDLQSQCERLMISTQTCPPNFSVQGSSIVSLPVHSTQDIFDLRASSELLNSPTHKCQADDQFNYQNVEHILSQVSKNSFREDGISLDSVLRENRKILAFSALELPWLQELSGNAKYCEKAIPGEQIPFGKNYISTRAQALVTILYWLAENDFAPKDDLIVSLSKAVMEPPVTDEEDVLGCSFLLNLADAFHGVAIIEEQLNRREVHHEVYSIMNIGMIFSSLHNSCAGCSNPDQRRKLLLHKFQESHVPLRSDGLDQIDQAQSTFWREWIIKLEEQKRLADQARTLEQLIPGVETARFLAGDIKYIKSAIFSFVDSVKLDKRHILKDAVMLADKFGLNRSEVLLRFFGCALVSYHWENDDILAEISEFREDIVTCAKDVIDMISSVVYPEIEGQNKVRLSYIYSILSACYLRLRRTEDPAMLALLDQGHKHMLEAFQFYKILEQECQKVFFINALNFKNIADLSDLNFEHFNQEIVNNIHESTVEPLANMVRVLVGIYNDSSEKGLISWQDVYKHHVIGLLASLESKTASRSDCVDPGELHTLIGEIALSYDSCKKYIRALSESDALNILGRYCTLSIPCSFSGSLKNESMWKSCLIMLLNFWVKMIDDIVGGENNEVPCEKPAYFGVKHLSRSLKVFKRLVTEGEISANHGWCTVSSYCKSILACGLSADVSVFCQAMVFSGCGFNSVAEVYYDTELTSTNLSSDDKGTQLIDLYINLLSRVLLDLSRGVSEHQKLHLLLSSLSRFGGKYIEDLKKTRHAVWEKLSVFSDNMQLESHVRVYTLELMQSVTGQNLKSLPTELVSQVEPWDAWDGSFWKKDSVSTEQGDNAPRSITSNLVALRSTQLAVTISPNIKISPEDLLTLESAVSCFLHISQFATSLDDLHVLQSVLEEWGELFSSKSEKEKTSESLEELNNWSSDDWDEGWEDLPEEPIQSEGKQEGSVCVQPLHKCWMEILRRLVGLSRLDAVLKLLDRSLSKRDGTLLDEDDTQCLLQLVLEIDCFTALKVVLLLPYEVPRIHCLHLIEAKLKDESISMVSAADDGECLMLIAYSGVLRDITNNPAFKKVFSFVCYSVGRIARLCQEDLLRRNGDGNKATLNQSLLFARVVFPSFVSELIKARQYLLAGTFVSQWMHLPTSLTLINIIEASLRKYLEGEALQAHASKVHEPGQSEMNSYGSLVCTVSCLRDKLSTLVQSALSALQTDIVR >DRNTG_34412.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1167591:1178897:-1 gene:DRNTG_34412 transcript:DRNTG_34412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVKEEKVEEVLYEIRHHARRSVTPDSSQDSVSVVSTGGFRSYLSLQGLKQFRKKLGGYKKPRTLKRRFSLFVSPGGEHVAVAVGNQIIILQKDDDYMEPCGIFVSNDLQTTFINGSWLEPCGILGVTDEASNLYFIKSNGDEISKSCRSQLKLKSPIKGLIFPSNIKLEKSPFAPHRIGFIFTEDGLFCHVDVTQQPSARNYPVSASSNQLTKKQLPHNVSCLDFHPDLSLVALVGASSVSVNSNDSAGSYSLYLMLITANFEAELMFCSPHFEGLFSPSEDHIYPIASPKVTISMKGKYVATLSLSGCVDIFDMDIDQYSLSLHLSGGQYPDMSNNLLLEQKKYLTDVINLSWWNDHFLILVKKNGRITMYDVSAGRGVSENDLDVSMPLTERVKSYEGYVFLLDATSSGRKICNMDTPDDLNVNSENDNQLDTGKWSWSLMSFLSRSVPEMFMIFISNKNYIAALEFASRHGLDKDEVFKAQWLDSDHGTKAISMFLSKIKDQAFVLSECASKVGSTEEITKALLSYGLHITDQYKFLDTTDNECNLIWDFRLIRLKLLQYRDSLETFVGINMGRFLAQEYVKFRALPLTESAISLAESGKIGALNLLFKRHPYSLSSRILDILSAIPETVPVQTYGQLLPGRSPPNTFALREDDWLECQKMVEYMNQMTASSDIFPTEIILKHSRGFLWPSANELTEWYKKRARDIDNLSGQLDNGLSLVEVACRKGITELQKFCEMISYLHQLIYSDEIHETLSMSLGTWEQLSDYEKFRVMLKGVQEDNVVERLRKRAIPFMCDQFTFQTWDSECEVREHTVKDYEQGDSFLVRWLKEVAAENKLDICLSVFENACGDSPIAGLFKDVSELIETTLQCIYLCSLTDQWNIMASILSKLPRKTLRDKSANDDKELSPKHVDKLEKRIKIAEGHVEVGRLLVYYQVPKPISFFPIAQSDEKNVKQLLHLILSKFGRRQPGRSDHDWANMWRDMQCFQEKAFPFLDVEYMLIEFIRGLLKAGKFSLARNYLKGTGTVILDSEKTENLVIQAAREYFFSASSLACIEIWKAKECLNLLPNNKNVQAESDLIDVLTIRLPNLGVTILPMQFRQIRNPMEIINMAIASQNGVYLNVDELIEIAKLLGLRSIDDIASVEEAIAREAAVAGDLQLAFDLCIALAKKGHGPVWDLCAAIARGPDLDNMDLSSRKKLLGFSLSHCDEESVGELLNAWKEVDLQSQCERLMISTQTCPPNFSVQGSSIVSLPVHSTQDIFDLRASSELLNSPTHKCQADDQFNYQNVEHILSQVSKNSFREDGISLDSVLRENRKILAFSALELPWLQELSGNAKYCEKAIPGEQIPFGKNYISTRAQALVTILYWLAENDFAPKDDLIVSLSKAVMEPPVTDEEDVLGCSFLLNLADAFHGVAIIEEQLNRREVHHEVYSIMNIGMIFSSLHNSCAGCSNPDQRRKLLLHKFQESHVPLRSDGLDQIDQAQSTFWREWIIKLEEQKRLADQARTLEQLIPGVETARFLAGDIKYIKSAIFSFVDSVKLDKRHILKDAVMLADKFGLNRSEVLLRFFGCALVSYHWENDDILAEISEFREDIVTCAKDVIDMISSVVYPEIEGQNKVRLSYIYSILSACYLRLRRTEDPAMLALLDQGHKHMLEAFQFYKILEQECQKVFFINALNFKNIADLSDLNFEHFNQEIVNNIHESTVEPLANMVRVLVGIYNDSSEKGLISWQDVYKHHVIGLLASLESKTASRSDCVDPGELHTLIGEIALSYDSCKKYIRALSESDALNILGRYCTLSIPCSFSGSLKNESMWKSCLIMLLNFWVKMIDDIVGGENNEVPCEKPAYFGVKHLSRSLKVFKRLVTEGEISANHGWCTVSSYCKSILACGLSADVSVFCQAMVFSGCGFNSVAEVYYDTELTSTNLSSDDKGTQLIDLYINLLSRVLLDLSRGVSEHQKLHLLLSSLSRFGGKYIEDLKKTRHAVWEKLSVFSDNMQLESHVRVYTLELMQSVTGQNLKSLPTELVSQVEPWDAWDGSFWKKDSVSTEQGDNAPRSITSNLVALRSTQLAVTISPNIKISPEDLLTLESAVSCFLHISQFATSLDDLHVLQSVLEEWGELFSSKSEKEKTSESLEELNNWSSDDWDEGWEDLPEEPIQSEGKQEGSVCVQPLHKCWMEILRRLVGLSRLDAVLKLLDRSLSKRDGTLLDEDDTQCLLQLVLEIDCFTALKVVLLLPYEVPRIHCLHLIEAKLKDESISMVSAADDGECLMLIAYSGVLRDITNNPAFKKVFSFVCYSVGRIARLCQEDLLRRNGDGNKATLNQSLLFARVVFPSFVSELIKARQYLLAGTFVSQWMHLPTSLTLINIIEASLRKYLEGEALQAHASKVHEPGQSEMNSYGSLVCTVSCLRDKLSTLVQSALSALQTDIVR >DRNTG_34412.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1167591:1175425:-1 gene:DRNTG_34412 transcript:DRNTG_34412.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVEYMNQMTASSDIFPTEIILKHSRGFLWPSANELTEWYKKRARDIDNLSGQLDNGLSLVEVACRKGITELQKFCEMISYLHQLIYSDEIHETLSMSLGTWEQLSDYEKFRVMLKGVQEDNVVERLRKRAIPFMCDQFTFQTWDSECEVREHTVKDYEQGDSFLVRWLKEVAAENKLDICLSVFENACGDSPIAGLFKDVSELIETTLQCIYLCSLTDQWNIMASILSKLPRKTLRDKSANDDKELSPKHVDKLEKRIKIAEGHVEVGRLLVYYQVPKPISFFPIAQSDEKNVKQLLHLILSKFGRRQPGRSDHDWANMWRDMQCFQEKAFPFLDVEYMLIEFIRGLLKAGKFSLARNYLKGTGTVILDSEKTENLVIQAAREYFFSASSLACIEIWKAKECLNLLPNNKNVQAESDLIDVLTIRLPNLGVTILPMQFRQIRNPMEIINMAIASQNGVYLNVDELIEIAKLLGLRSIDDIASVEEAIAREAAVAGDLQLAFDLCIALAKKGHGPVWDLCAAIARGPDLDNMDLSSRKKLLGFSLSHCDEESVGELLNAWKEVDLQSQCERLMISTQTCPPNFSVQGSSIVSLPVHSTQDIFDLRASSELLNSPTHKCQADDQFNYQNVEHILSQVSKNSFREDGISLDSVLRENRKILAFSALELPWLQELSGNAKYCEKAIPGEQIPFGKNYISTRAQALVTILYWLAENDFAPKDDLIVSLSKAVMEPPVTDEEDVLGCSFLLNLADAFHGVAIIEEQLNRREVHHEVYSIMNIGMIFSSLHNSCAGCSNPDQRRKLLLHKFQESHVPLRSDGLDQIDQAQSTFWREWIIKLEEQKRLADQARTLEQLIPGVETARFLAGDIKYIKSAIFSFVDSVKLDKRHILKDAVMLADKFGLNRSEVLLRFFGCALVSYHWENDDILAEISEFREDIVTCAKDVIDMISSVVYPEIEGQNKVRLSYIYSILSACYLRLRRTEDPAMLALLDQGHKHMLEAFQFYKILEQECQKVFFINALNFKNIADLSDLNFEHFNQEIVNNIHESTVEPLANMVRVLVGIYNDSSEKGLISWQDVYKHHVIGLLASLESKTASRSDCVDPGELHTLIGEIALSYDSCKKYIRALSESDALNILGRYCTLSIPCSFSGSLKNESMWKSCLIMLLNFWVKMIDDIVGGENNEVPCEKPAYFGVKHLSRSLKVFKRLVTEGEISANHGWCTVSSYCKSILACGLSADVSVFCQAMVFSGCGFNSVAEVYYDTELTSTNLSSDDKGTQLIDLYINLLSRVLLDLSRGVSEHQKLHLLLSSLSRFGGKYIEDLKKTRHAVWEKLSVFSDNMQLESHVRVYTLELMQSVTGQNLKSLPTELVSQVEPWDAWDGSFWKKDSVSTEQGDNAPRSITSNLVALRSTQLAVTISPNIKISPEDLLTLESAVSCFLHISQFATSLDDLHVLQSVLEEWGELFSSKSEKEKTSESLEELNNWSSDDWDEGWEDLPEEPIQSEGKQEGSVCVQPLHKCWMEILRRLVGLSRLDAVLKLLDRSLSKRDGTLLDEDDTQCLLQLVLEIDCFTALKVVLLLPYEVPRIHCLHLIEAKLKDESISMVSAADDGECLMLIAYSGVLRDITNNPAFKKVFSFVCYSVGRIARLCQEDLLRRNGDGNKATLNQSLLFARVVFPSFVSELIKARQYLLAGTFVSQWMHLPTSLTLINIIEASLRKYLEGEALQAHASKVHEPGQSEMNSYGSLVCTVSCLRDKLSTLVQSALSALQTDIVR >DRNTG_34412.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1167591:1178807:-1 gene:DRNTG_34412 transcript:DRNTG_34412.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESVKEEKVEEVLYEIRHHARRSVTPDSSQDSVSVVSTGGFRSYLSLQGLKQFRKKLGGYKKPRTLKRRFSLFVSPGGEHVAVAVGNQIIILQKDDDYMEPCGIFVSNDLQTTFINGSWLEPCGILGVTDEASNLYFIKSNGDEISKSCRSQLKLKSPIKGLIFPSNIKLEKSPLIGFIFTEDGLFCHVDVTQQPSARNYPVSASSNQLTKKQLPHNVSCLDFHPDLSLVALVGASSVSVNSNDSAGSYSLYLMLITANFEAELMFCSPHFEGLFSPSEDHIYPIASPKVTISMKGKYVATLSLSGCVDIFDMDIDQYSLSLHLSGGQYPDMSNNLLLEQKKYLTDVINLSWWNDHFLILVKKNGRITMYDVSAGRGVSENDLDVSMPLTERVKSYEGYVFLLDATSSGRKICNMDTPDDLNVNSENDNQLDTGKWSWSLMSFLSRSVPEMFMIFISNKNYIAALEFASRHGLDKDEVFKAQWLDSDHGTKAISMFLSKIKDQAFVLSECASKVGSTEEITKALLSYGLHITDQYKFLDTTDNECNLIWDFRLIRLKLLQYRDSLETFVGINMGRFLAQEYVKFRALPLTESAISLAESGKIGALNLLFKRHPYSLSSRILDILSAIPETVPVQTYGQLLPGRSPPNTFALREDDWLECQKMVEYMNQMTASSDIFPTEIILKHSRGFLWPSANELTEWYKKRARDIDNLSGQLDNGLSLVEVACRKGITELQKFCEMISYLHQLIYSDEIHETLSMSLGTWEQLSDYEKFRVMLKGVQEDNVVERLRKRAIPFMCDQFTFQTWDSECEVREHTVKDYEQGDSFLVRWLKEVAAENKLDICLSVFENACGDSPIAGLFKDVSELIETTLQCIYLCSLTDQWNIMASILSKLPRKTLRDKSANDDKELSPKHVDKLEKRIKIAEGHVEVGRLLVYYQVPKPISFFPIAQSDEKNVKQLLHLILSKFGRRQPGRSDHDWANMWRDMQCFQEKAFPFLDVEYMLIEFIRGLLKAGKFSLARNYLKGTGTVILDSEKTENLVIQAAREYFFSASSLACIEIWKAKECLNLLPNNKNVQAESDLIDVLTIRLPNLGVTILPMQFRQIRNPMEIINMAIASQNGVYLNVDELIEIAKLLGLRSIDDIASVEEAIAREAAVAGDLQLAFDLCIALAKKGHGPVWDLCAAIARGPDLDNMDLSSRKKLLGFSLSHCDEESVGELLNAWKEVDLQSQCERLMISTQTCPPNFSVQGSSIVSLPVHSTQDIFDLRASSELLNSPTHKCQADDQFNYQNVEHILSQVSKNSFREDGISLDSVLRENRKILAFSALELPWLQELSGNAKYCEKAIPGEQIPFGKNYISTRAQALVTILYWLAENDFAPKDDLIVSLSKAVMEPPVTDEEDVLGCSFLLNLADAFHGVAIIEEQLNRREVHHEVYSIMNIGMIFSSLHNSCAGCSNPDQRRKLLLHKFQESHVPLRSDGLDQIDQAQSTFWREWIIKLEEQKRLADQARTLEQLIPGVETARFLAGDIKYIKSAIFSFVDSVKLDKRHILKDAVMLADKFGLNRSEVLLRFFGCALVSYHWENDDILAEISEFREDIVTCAKDVIDMISSVVYPEIEGQNKVRLSYIYSILSACYLRLRRTEDPAMLALLDQGHKHMLEAFQFYKILEQECQKVFFINALNFKNIADLSDLNFEHFNQEIVNNIHESTVEPLANMVRVLVGIYNDSSEKGLISWQDVYKHHVIGLLASLESKTASRSDCVDPGELHTLIGEIALSYDSCKKYIRALSESDALNILGRYCTLSIPCSFSGSLKNESMWKSCLIMLLNFWVKMIDDIVGGENNEVPCEKPAYFGVKHLSRSLKVFKRLVTEGEISANHGWCTVSSYCKSILACGLSADVSVFCQAMVFSGCGFNSVAEVYYDTELTSTNLSSDDKGTQLIDLYINLLSRVLLDLSRGVSEHQKLHLLLSSLSRFGGKYIEDLKKTRHAVWEKLSVFSDNMQLESHVRVYTLELMQSVTGQNLKSLPTELVSQVEPWDAWDGSFWKKDSVSTEQGDNAPRSITSNLVALRSTQLAVTISPNIKISPEDLLTLESAVSCFLHISQFATSLDDLHVLQSVLEEWGELFSSKSEKEKTSESLEELNNWSSDDWDEGWEDLPEEPIQSEGKQEGSVCVQPLHKCWMEILRRLVGLSRLDAVLKLLDRSLSKRDGTLLDEDDTQCLLQLVLEIDCFTALKVVLLLPYEVPRIHCLHLIEAKLKDESISMVSAADDGECLMLIAYSGVLRDITNNPAFKKVFSFVCYSVGRIARLCQEDLLRRNGDGNKATLNQSLLFARVVFPSFVSELIKARQYLLAGTFVSQWMHLPTSLTLINIIEASLRKYLEGEALQAHASKVHEPGQSEMNSYGSLVCTVSCLRDKLSTLVQSALSALQTDIVR >DRNTG_34412.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1167591:1178807:-1 gene:DRNTG_34412 transcript:DRNTG_34412.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLITANFEAELMFCSPHFEGLFSPSEDHIYPIASPKVTISMKGKYVATLSLSGCVDIFDMDIDQYSLSLHLSGGQYPDMSNNLLLEQKKYLTDVINLSWWNDHFLILVKKNGRITMYDVSAGRGVSENDLDVSMPLTERVKSYEGYVFLLDATSSGRKICNMDTPDDLNVNSENDNQLDTGKWSWSLMSFLSRSVPEMFMIFISNKNYIAALEFASRHGLDKDEVFKAQWLDSDHGTKAISMFLSKIKDQAFVLSECASKVGSTEEITKALLSYGLHITDQYKFLDTTDNECNLIWDFRLIRLKLLQYRDSLETFVGINMGRFLAQEYVKFRALPLTESAISLAESGKIGALNLLFKRHPYSLSSRILDILSAIPETVPVQTYGQLLPGRSPPNTFALREDDWLECQKMVEYMNQMTASSDIFPTEIILKHSRGFLWPSANELTEWYKKRARDIDNLSGQLDNGLSLVEVACRKGITELQKFCEMISYLHQLIYSDEIHETLSMSLGTWEQLSDYEKFRVMLKGVQEDNVVERLRKRAIPFMCDQFTFQTWDSECEVREHTVKDYEQGDSFLVRWLKEVAAENKLDICLSVFENACGDSPIAGLFKDVSELIETTLQCIYLCSLTDQWNIMASILSKLPRKTLRDKSANDDKELSPKHVDKLEKRIKIAEGHVEVGRLLVYYQVPKPISFFPIAQSDEKNVKQLLHLILSKFGRRQPGRSDHDWANMWRDMQCFQEKAFPFLDVEYMLIEFIRGLLKAGKFSLARNYLKGTGTVILDSEKTENLVIQAAREYFFSASSLACIEIWKAKECLNLLPNNKNVQAESDLIDVLTIRLPNLGVTILPMQFRQIRNPMEIINMAIASQNGVYLNVDELIEIAKLLGLRSIDDIASVEEAIAREAAVAGDLQLAFDLCIALAKKGHGPVWDLCAAIARGPDLDNMDLSSRKKLLGFSLSHCDEESVGELLNAWKEVDLQSQCERLMISTQTCPPNFSVQGSSIVSLPVHSTQDIFDLRASSELLNSPTHKCQADDQFNYQNVEHILSQVSKNSFREDGISLDSVLRENRKILAFSALELPWLQELSGNAKYCEKAIPGEQIPFGKNYISTRAQALVTILYWLAENDFAPKDDLIVSLSKAVMEPPVTDEEDVLGCSFLLNLADAFHGVAIIEEQLNRREVHHEVYSIMNIGMIFSSLHNSCAGCSNPDQRRKLLLHKFQESHVPLRSDGLDQIDQAQSTFWREWIIKLEEQKRLADQARTLEQLIPGVETARFLAGDIKYIKSAIFSFVDSVKLDKRHILKDAVMLADKFGLNRSEVLLRFFGCALVSYHWENDDILAEISEFREDIVTCAKDVIDMISSVVYPEIEGQNKVRLSYIYSILSACYLRLRRTEDPAMLALLDQGHKHMLEAFQFYKILEQECQKVFFINALNFKNIADLSDLNFEHFNQEIVNNIHESTVEPLANMVRVLVGIYNDSSEKGLISWQDVYKHHVIGLLASLESKTASRSDCVDPGELHTLIGEIALSYDSCKKYIRALSESDALNILGRYCTLSIPCSFSGSLKNESMWKSCLIMLLNFWVKMIDDIVGGENNEVPCEKPAYFGVKHLSRSLKVFKRLVTEGEISANHGWCTVSSYCKSILACGLSADVSVFCQAMVFSGCGFNSVAEVYYDTELTSTNLSSDDKGTQLIDLYINLLSRVLLDLSRGVSEHQKLHLLLSSLSRFGGKYIEDLKKTRHAVWEKLSVFSDNMQLESHVRVYTLELMQSVTGQNLKSLPTELVSQVEPWDAWDGSFWKKDSVSTEQGDNAPRSITSNLVALRSTQLAVTISPNIKISPEDLLTLESAVSCFLHISQFATSLDDLHVLQSVLEEWGELFSSKSEKEKTSESLEELNNWSSDDWDEGWEDLPEEPIQSEGKQEGSVCVQPLHKCWMEILRRLVGLSRLDAVLKLLDRSLSKRDGTLLDEDDTQCLLQLVLEIDCFTALKVVLLLPYEVPRIHCLHLIEAKLKDESISMVSAADDGECLMLIAYSGVLRDITNNPAFKKVFSFVCYSVGRIARLCQEDLLRRNGDGNKATLNQSLLFARVVFPSFVSELIKARQYLLAGTFVSQWMHLPTSLTLINIIEASLRKYLEGEALQAHASKVHEPGQSEMNSYGSLVCTVSCLRDKLSTLVQSALSALQTDIVR >DRNTG_34412.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1167591:1173666:-1 gene:DRNTG_34412 transcript:DRNTG_34412.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDYGDILWPLIKFPCDGLMQVPKPISFFPIAQSDEKNVKQLLHLILSKFGRRQPGRSDHDWANMWRDMQCFQEKAFPFLDVEYMLIEFIRGLLKAGKFSLARNYLKGTGTVILDSEKTENLVIQAAREYFFSASSLACIEIWKAKECLNLLPNNKNVQAESDLIDVLTIRLPNLGVTILPMQFRQIRNPMEIINMAIASQNGVYLNVDELIEIAKLLGLRSIDDIASVEEAIAREAAVAGDLQLAFDLCIALAKKGHGPVWDLCAAIARGPDLDNMDLSSRKKLLGFSLSHCDEESVGELLNAWKEVDLQSQCERLMISTQTCPPNFSVQGSSIVSLPVHSTQDIFDLRASSELLNSPTHKCQADDQFNYQNVEHILSQVSKNSFREDGISLDSVLRENRKILAFSALELPWLQELSGNAKYCEKAIPGEQIPFGKNYISTRAQALVTILYWLAENDFAPKDDLIVSLSKAVMEPPVTDEEDVLGCSFLLNLADAFHGVAIIEEQLNRREVHHEVYSIMNIGMIFSSLHNSCAGCSNPDQRRKLLLHKFQESHVPLRSDGLDQIDQAQSTFWREWIIKLEEQKRLADQARTLEQLIPGVETARFLAGDIKYIKSAIFSFVDSVKLDKRHILKDAVMLADKFGLNRSEVLLRFFGCALVSYHWENDDILAEISEFREDIVTCAKDVIDMISSVVYPEIEGQNKVRLSYIYSILSACYLRLRRTEDPAMLALLDQGHKHMLEAFQFYKILEQECQKVFFINALNFKNIADLSDLNFEHFNQEIVNNIHESTVEPLANMVRVLVGIYNDSSEKGLISWQDVYKHHVIGLLASLESKTASRSDCVDPGELHTLIGEIALSYDSCKKYIRALSESDALNILGRYCTLSIPCSFSGSLKNESMWKSCLIMLLNFWVKMIDDIVGGENNEVPCEKPAYFGVKHLSRSLKVFKRLVTEGEISANHGWCTVSSYCKSILACGLSADVSVFCQAMVFSGCGFNSVAEVYYDTELTSTNLSSDDKGTQLIDLYINLLSRVLLDLSRGVSEHQKLHLLLSSLSRFGGKYIEDLKKTRHAVWEKLSVFSDNMQLESHVRVYTLELMQSVTGQNLKSLPTELVSQVEPWDAWDGSFWKKDSVSTEQGDNAPRSITSNLVALRSTQLAVTISPNIKISPEDLLTLESAVSCFLHISQFATSLDDLHVLQSVLEEWGELFSSKSEKEKTSESLEELNNWSSDDWDEGWEDLPEEPIQSEGKQEGSVCVQPLHKCWMEILRRLVGLSRLDAVLKLLDRSLSKRDGTLLDEDDTQCLLQLVLEIDCFTALKVVLLLPYEVPRIHCLHLIEAKLKDESISMVSAADDGECLMLIAYSGVLRDITNNPAFKKVFSFVCYSVGRIARLCQEDLLRRNGDGNKATLNQSLLFARVVFPSFVSELIKARQYLLAGTFVSQWMHLPTSLTLINIIEASLRKYLEGEALQAHASKVHEPGQSEMNSYGSLVCTVSCLRDKLSTLVQSALSALQTDIVR >DRNTG_34412.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1167591:1172956:-1 gene:DRNTG_34412 transcript:DRNTG_34412.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLASILQIWKAKECLNLLPNNKNVQAESDLIDVLTIRLPNLGVTILPMQFRQIRNPMEIINMAIASQNGVYLNVDELIEIAKLLGLRSIDDIASVEEAIAREAAVAGDLQLAFDLCIALAKKGHGPVWDLCAAIARGPDLDNMDLSSRKKLLGFSLSHCDEESVGELLNAWKEVDLQSQCERLMISTQTCPPNFSVQGSSIVSLPVHSTQDIFDLRASSELLNSPTHKCQADDQFNYQNVEHILSQVSKNSFREDGISLDSVLRENRKILAFSALELPWLQELSGNAKYCEKAIPGEQIPFGKNYISTRAQALVTILYWLAENDFAPKDDLIVSLSKAVMEPPVTDEEDVLGCSFLLNLADAFHGVAIIEEQLNRREVHHEVYSIMNIGMIFSSLHNSCAGCSNPDQRRKLLLHKFQESHVPLRSDGLDQIDQAQSTFWREWIIKLEEQKRLADQARTLEQLIPGVETARFLAGDIKYIKSAIFSFVDSVKLDKRHILKDAVMLADKFGLNRSEVLLRFFGCALVSYHWENDDILAEISEFREDIVTCAKDVIDMISSVVYPEIEGQNKVRLSYIYSILSACYLRLRRTEDPAMLALLDQGHKHMLEAFQFYKILEQECQKVFFINALNFKNIADLSDLNFEHFNQEIVNNIHESTVEPLANMVRVLVGIYNDSSEKGLISWQDVYKHHVIGLLASLESKTASRSDCVDPGELHTLIGEIALSYDSCKKYIRALSESDALNILGRYCTLSIPCSFSGSLKNESMWKSCLIMLLNFWVKMIDDIVGGENNEVPCEKPAYFGVKHLSRSLKVFKRLVTEGEISANHGWCTVSSYCKSILACGLSADVSVFCQAMVFSGCGFNSVAEVYYDTELTSTNLSSDDKGTQLIDLYINLLSRVLLDLSRGVSEHQKLHLLLSSLSRFGGKYIEDLKKTRHAVWEKLSVFSDNMQLESHVRVYTLELMQSVTGQNLKSLPTELVSQVEPWDAWDGSFWKKDSVSTEQGDNAPRSITSNLVALRSTQLAVTISPNIKISPEDLLTLESAVSCFLHISQFATSLDDLHVLQSVLEEWGELFSSKSEKEKTSESLEELNNWSSDDWDEGWEDLPEEPIQSEGKQEGSVCVQPLHKCWMEILRRLVGLSRLDAVLKLLDRSLSKRDGTLLDEDDTQCLLQLVLEIDCFTALKVVLLLPYEVPRIHCLHLIEAKLKDESISMVSAADDGECLMLIAYSGVLRDITNNPAFKKVFSFVCYSVGRIARLCQEDLLRRNGDGNKATLNQSLLFARVVFPSFVSELIKARQYLLAGTFVSQWMHLPTSLTLINIIEASLRKYLEGEALQAHASKVHEPGQSEMNSYGSLVCTVSCLRDKLSTLVQSALSALQTDIVR >DRNTG_04070.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15735261:15736133:-1 gene:DRNTG_04070 transcript:DRNTG_04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQLTYHFHRRTTEPLGPSSTPGCDESTSRCQTTPSIRALGSHQPVIPGVPLIR >DRNTG_30771.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3721621:3727166:1 gene:DRNTG_30771 transcript:DRNTG_30771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLEGEKHVKYILSVEKRKDDFESLVMDHLRINGAYWGLTTLDLLHKLDCVDQEEAISWVMQCYHEDCGGFGGNVGHDPHLLYTLSAVQILALFDKLDVLDVEMVSKYVSGLQNEDGSFSGDMWGEIDTRFSYCAICCLSILHCMDKINVEKAVNYIVSCKNLDGGFGCTPGGESHSGQIFCCIGALAITGSLHHVDKDLLGWWLCERQCIDGGLNGRPEKFADVCYSWWVLSSLIIIDRAHWIDKSKLINFILNCQDKEKGGISDRPDNAVDVFHTYFGVAGLSLLEYPGLKPIDPAYALPVDVVNRLFFRK >DRNTG_05174.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:15652146:15657372:-1 gene:DRNTG_05174 transcript:DRNTG_05174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEATVRNVLEQETLKWVFVGGKGGVGKTTCSSILSILLASVRQSVLVISTDPAHNLSDAFQQRFTRIPTLVNGFSNLFAMEVDPKVENDDLTNDEGMDGFISELTNAIPGVDEAMSFAEMLKLVQTMDYSVIVFDTAPTGHTLRLLQFPSTLEKGLEKMMALKNRFGGLLSQATRLFGLGDEFSEDAMLGKLEGMKDVIEQVNRQFKDPDLTTFVCVCIPEFLSLYETERLVQELAKFEIDAHNIIINQVLFDEEVVESKLLKARIRMQQKYIDQFYMLYEDFNITKLPLLPQEVCGVEALKRFSQHFLSPYQSLLVRGTVEELEQRISILRSQLQEAELELERLQKGKQVACFTSASSLLDPF >DRNTG_32550.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20069222:20069942:1 gene:DRNTG_32550 transcript:DRNTG_32550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMMSSEKIILKTRRRRKTRELEDQQQLNQQNSNNAQTGSIRFCSDCNTTKTPLWRSGPQGPKSLCNACGIRQRKARRAMAAAAETGAGFINVDKLNEVGKEKGSDADHSTVPFKKRCKFNNTEPTQKNVCLDNVMISLSKNSGFMNPPPQQDERDAAILLMSLSCNLVSS >DRNTG_20399.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19400015:19402320:-1 gene:DRNTG_20399 transcript:DRNTG_20399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQTLSFALHPCSTLHSSPLQQQQQEQSRMEMVALKGSSRPEMGQQHGGFLADEIAWAVERGNFLSDEFSVDDLLDLGADEVFDDMLEKELGHAVKQEPEVEDETENSNSSSGLSFEAPIPSEIALPGADDAAELEWVSRIMDDTYSEYPPMAGVVPTATAAVKPVSPTPKLVPVKAKRSKRARTAGWVRSNLDQTSSSSASSPAASSTSSSSSSSSSSSSCLIYDFTPVTTKASALLLPVDESSQPETRPKKRGRKPKVAGAAAAGASGERRCSHCGAQKTPQWRAGPMGAKTLCNACGVRFKSGRLLPEYRPACSPTFVSHVHSNSHRKVLEMRRKKADEQQHGVLLPSPVTSF >DRNTG_20399.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19400015:19402120:-1 gene:DRNTG_20399 transcript:DRNTG_20399.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQTLSFALHPCSTLHSSPLQQQQQEQSRMEMVALKGSSRPEMGQQHGGFLADEIAWAVERGNFLSDEFSVDDLLDLGADEVFDDMLEKELGHAVKQEPEVEDETENSNSSSGLSFEAPIPSEIALPGADDAAELEWVSRIMDDTYSEYPPMAGVVPTATAAVKPVSPTPKLVPVKAKRSKRARTAGWVRSNLDQTSSSSASSPAASSTSSSSSSSSSSSSCLIYDFTPVTTKASALLLPVDESSQPETRPKKRGRKPKVAGAAAAGASGERRCSHCGAQKTPQWRAGPMGAKTLCNACGVRFKSGRLLPEYRPACSPTFVSHVHSNSHRKVLEMRRKKADEQQHGVLLPSPVTSF >DRNTG_20399.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19400015:19402223:-1 gene:DRNTG_20399 transcript:DRNTG_20399.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQTLSFALHPCSTLHSSPLQQQQQEQSRMEMVALKGSSRPEMGQQHGGFLADEIAWAVERGNFLSDEFSVDDLLDLGADEVFDDMLEKELGHAVKQEPEVEDETENSNSSSGLSFEAPIPSEIALPGADDAAELEWVSRIMDDTYSEYPPMAGVVPTATAAVKPVSPTPKLVPVKAKRSKRARTAGWVRSNLDQTSSSSASSPAASSTSSSSSSSSSSSSCLIYDFTPVTTKASALLLPVDESSQPETRPKKRGRKPKVAGAAAAGASGERRCSHCGAQKTPQWRAGPMGAKTLCNACGVRFKSGRLLPEYRPACSPTFVSHVHSNSHRKVLEMRRKKADEQQHGVLLPSPVTSF >DRNTG_04749.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:21532:26667:-1 gene:DRNTG_04749 transcript:DRNTG_04749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATVSALAGSLLSPLREVSLEKLIGYLWDYLSSSPSPSSPDEAEKQQQLKDSLEALEDAKLTVRLMQSRIMKLFQKHKKNERIVSLHNKLKDVGYDIQDLESEMNYMELERKVQEINKAEEEEEGDSSTSSQFSTGKRSFPFRLPTVFLSKKKRRLPASSQSLSLSTDEDIVRQVTSILKQIKSIKSKLKDESELEDLFDQLIMNEVYNPREQHDFTQNELVTTSSTNERKICGRNDEIQQLIKFLTEPNVNNGSNVSVVPIVGMGGIGKTTLAQFVFHNREIKNHFDEKAWIYVSNHFDRCRITKEMLQVINPNVQRCITSNLDFLERELQRHLTGKNFLLVLDDIWSNEWQWLLVPLQSSQAHVIKIIVTCRDPMVLRSTDERNKIILKDIDHQEYWSLFLNHAFAENNPDNYSQKLHDIGRCIVKKLMGSPLAAKTVGKLLGRDLTEKHWNDVLENDLWKLQIDAHDIMPALALSYYHLSPHLQPCFVFCSLMHNLNDQYCEMDELIFMWIANGYIHESGSNSKTMYDIGEEYCHELLAMGFFDKADSTTGFSTGFYESPDIRQGFFDRGDSTKVKMHDLMHDLAQLVSHGEICIYKNGKDEKLLKNARHVYVEGSVDPGLACEVNNLRTLVLGRVDAMLALFNHGVFKRIRVLVIFDGTMKELPEVIYHLKHLQYLDLLETSIKSISESVCGLYQLRVLKLPWDLLTVPNQIHSLINLEILHTGSRKNGLMCMQLRNLNKLRGWLSIVALEIIRNKKEATKARLNERRHIKGLELCWNIDKVEHCKHDV >DRNTG_34278.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29527672:29530079:1 gene:DRNTG_34278 transcript:DRNTG_34278.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIILTVTAGIKALRPPNSDTPATSGQLAILYTAIVLYAVGSGGTRFNTMTLGADQLSNVGDQDVFFNWYFIVLYGAAAIGSTVIVYVEDSISWELGFGICSAVNTLAVLFLLLGVKYYHLSGPKGSPFTTMARVVVAGFRKRKLALPKVEAAYYCGLLEKTDHQPPSSSFRYVCDHH >DRNTG_34278.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29527672:29528463:1 gene:DRNTG_34278 transcript:DRNTG_34278.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAGNFIVYLIKYYNFKSIDGALLGNIMSGTSSLSPLLGAILSDSFFGCFPVVAFSTVASLCENYIHLHLNFFPYLLDIKRFGET >DRNTG_34278.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29527672:29531384:1 gene:DRNTG_34278 transcript:DRNTG_34278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILTVTAGIKALRPPNSDTPATSGQLAILYTAIVLYAVGSGGTRFNTMTLGADQLSNVGDQDVFFNWYFIVLYGAAAIGSTVIVYVEDSISWELGFGICSAVNTLAVLFLLLGVKYYHLSGPKGSPFTTMARVVVAGFRKRKLALPKVEAAYYCGLLEKTDHQPPSSSFRCMNRAALIQHGDVAIDGSIARPWSLCSVEEVEDHKTLIRIVPLWTSNIIISISIATQTSLSVLQALTMDRSLSPHFSIPAGSFFVTTMLATCLTLFILDHAIYPLCHRLTSYTLTPLQRVGIGQAFNIAAMAASALVEHRRSIIVHEHQAENQPDWIVPMSAFWLVLPYLFIGVGEAFHFPGQIAFYYHEFPKSLKSMATGIVAVLMSIGFYSSTAVLAVVRRATSWLPDNLNLSRLENVYWMLTLVVGINFFYFILCAKFYKKQSDDKHVVG >DRNTG_34664.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23041238:23041818:-1 gene:DRNTG_34664 transcript:DRNTG_34664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDIFGKYGAIRQIRLGTNKDTRGTAFVVYEDIYDAKTAVNHLSGFNVANRYLIVLYYQQAKMSKKQDTKKKEEEIMKMQEKYGLSSKDK >DRNTG_11577.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8174721:8179105:-1 gene:DRNTG_11577 transcript:DRNTG_11577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWLAAARRTSALRPGLRSLSSSTTCRTVVLPRFGGPEVLEVRHAVAVPDLKPRQVLVRARAVSINPLDLRMRSGYGRSIFEPLLPLILGRDVSGEVAAVGASVSSLSVGQEVFGALHPTAVRGTYSDYAILAEDELTLKPSSVTHVEASAIPFAALTAWRALMSTARIDNGQRILVIGGGGAVGLAAIQLAAAAGCSVSTTCGSQSIERVLAVGAEQAIDYTTEDIELAIKGEFNAVLDTIGIQETERVGINLLKKGGHYMTLQGETAALADRYGLGLGIPAATAILFKKQIQYRYSHGIEYSWTYMRADAEGLDEIRRLSEAGKLKIPVEKTFPITQIKEAHEVKEKRIVPGKVVLEID >DRNTG_29236.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3322827:3323552:-1 gene:DRNTG_29236 transcript:DRNTG_29236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMKVLVIRGWAPQLLILGHVAIGGFLTHCGWNSTLEGISAGVPMVTWPLTYEQFVNEKFLNKVVRLGVRVRGELWDPTVLVGADEVAVAVTLVMSGGDEAEERRRSAYKEYAKMARAAMRKGGSSYADLSRLIDELITCRAMSGGNALGNESD >DRNTG_22816.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14889284:14889811:-1 gene:DRNTG_22816 transcript:DRNTG_22816.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLRLMCMVQRVRTGVYALVLPTIRMAEDEGDEAGASQRAPEPQPALMETEVPPVAEDASPAAQYTEFMARFSTLQQILERNVASSFVLRPRTPQDPSVSPASSSSPIPAPVDPPCTSSPPPPAEDPECDTDI >DRNTG_03307.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29008257:29009464:-1 gene:DRNTG_03307 transcript:DRNTG_03307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTSQASLAVFRPCLSKSRFLTGSSGKLPREFTARTSPAITSQSFKVQAKKGEWLPGLPSPAYLDGSLPGDNGFDPLGLAEDPENLKWYIQAELVNSRWAMLGVAGMLLPEVFTKIGIINAPQWFDAGKAEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGCVNQDPIFKNYSLAPHQCGYPGGPFNPLNFEPTEEAKEKELANGRLAMLAFLGFVIQYNVTGKGPFENLLQHLSDPWHNTIIQTFSR >DRNTG_00173.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2865852:2869640:-1 gene:DRNTG_00173 transcript:DRNTG_00173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMMTVTISKPSIEKPIPFHVEKREDHLKNLNKANDKMLDQNMTTSNDFFGRPMGL >DRNTG_00173.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2868318:2869640:-1 gene:DRNTG_00173 transcript:DRNTG_00173.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCMMTVTISKPSIEKPIPFHVEKREDHLKNLNKANDKMLDQNMTTSNDFFGRPMGL >DRNTG_06400.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7540856:7545298:-1 gene:DRNTG_06400 transcript:DRNTG_06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWSVVHDGDGFPRVILTDGGGSSAEVLLYGGQVVSWKNERREELLFTSSKTSFRPQKDVRGGIPIFFPQYGSFKSLDLSGFARNRLWALDSSPAPLPPLKNHSSVDLILRSTNEDVRIWPRSFELRLRIILGPNKLTLIPRVRNTDNKDFSFTFGIRNYISVSDVSEVRVEGLETLDYLDNIMGRERFVEQPDAITIDGEVDRVYLNTPTKIAVIDHEKKRTLVLRKDGLPDAALWNPWNKKARTMPDFGDGDFNVMLCVDSAAVETPINLKTFQEWRGHQELSTVSSSYCSGQLDPRKVLFG >DRNTG_06400.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7541183:7545298:-1 gene:DRNTG_06400 transcript:DRNTG_06400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWSVVHDGDGFPRVILTDGGGSSAEVLLYGGQVVSWKNERREELLFTSSKTSFRPQKDVRGGIPIFFPQYGSFKSLDLSGFARNRLWALDSSPAPLPPLKNHSSVDLILRSTNEDVRIWPRSFELRLRIILGPNKLTLIPRVRNTDNKDFSFTFGIRNYISVSDVSEVRVEGLETLDYLDNIMGRERFVEQPDAITIDGEVDRVYLNTPTKIAVIDHEKKRTLVLRKDGLPDAALWNPWNKKARTMPDFGDGDFNVMLCVDSAAVETPINLKTFQEWRGHQELSTVSSSYCSGQLDPRKVLFG >DRNTG_11202.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2270855:2273504:-1 gene:DRNTG_11202 transcript:DRNTG_11202.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYASPANRAVGPPPTSLARYGSAPGSLLASITESILSSDDFSSSSPALGFDPQMSRFFSPDSSSESTSPPNPLPYPIPPIPSSAAAAAPVADPRPQLIRHSSSPAGFFSHLMVDNGYSVSMGIGNYSQGSRDGVHAMANKRVQSQLSFSRQDSLSQISEISIAEIEESIVSGKNSDDVVAQAYMSGNYQLGSWDETNSIVFSAPPSKRVKDNNGDVIPCLNNIESQFSLPKTSLEMEKLLQSPQDYVPFKVRAKRGCATHPRSIAERERRTRISEKLRKLQEFVPNMDKQTNTTDMLELAVQHIKALQTQVQKLSEERANCKCGSKIEMP >DRNTG_07860.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000349.1:30668:32298:-1 gene:DRNTG_07860 transcript:DRNTG_07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor Y, subunit B11 [Source:Projected from Arabidopsis thaliana (AT2G27470) UniProtKB/TrEMBL;Acc:Q9ZQH2] MSKEVTAPSAAVEELPRVVVRRLIKEKLVQLRRGGDEDVNVQKEALLAFAESARIFIHYLSATASDVCKESKRQTMNAEDVLKALEEIDFPDFIEPLRHSLQGFRRKNAMRKADAKGKGAQKKRKMEEESTLENEDNGNGAQATEEDMEHIEEEMEEAGDEAPDSSNE >DRNTG_33800.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2528551:2531666:1 gene:DRNTG_33800 transcript:DRNTG_33800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CURVATURE THYLAKOID 1D, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38100) UniProtKB/Swiss-Prot;Acc:Q8LDD3] MALHCSLSSLPSLLHGGKLFTPNPSLLLPLPHHRASFSPNACHSVRRDFQWMRTMAYEETSSVSVVSETVDAQRDEVEPKSVGEVLESSEVEESEAVAFLDKLNLKLDTEDTYSIVIYGVGAIAALWISSGIVRALDAVPLFPKIMEIVGLGFTIWFSYRYLIFKKNRDELFAKIDDLKGQIVGSDDD >DRNTG_33800.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2528551:2531666:1 gene:DRNTG_33800 transcript:DRNTG_33800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CURVATURE THYLAKOID 1D, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38100) UniProtKB/Swiss-Prot;Acc:Q8LDD3] MALHCSLSSLPSLLHGGKLFTPNPSLLLPLPHHRASFSPNACHSVFSSLMLVRRDFQWMRTMAYEETSSVSVVSETVDAQRDEVEPKSVGEVLESSEVEESEAVAFLDKLNLKLDTEDTYSIVIYGVGAIAALWISSGIVRALDAVPLFPKIMEIVGLGFTIWFSYRYLIFKKNRDELFAKIDDLKGQIVGSDDD >DRNTG_35268.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3338818:3340734:1 gene:DRNTG_35268 transcript:DRNTG_35268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLISLEPSNQVCVRIEPGHKCTGDLTLRNVMYTMPVAFRIQPANRSRYSVKPQSGIIAPLSVLKVEIIYTPSSSHELLPDSYPDSDDPFQLCSVVVPGAGVKDINSALDSVPNDWFTAKKKQVFTDSGIKVFFVGSAVLTRLVSDGAMETVREVLEKSEPEWRAVDSVDAHGETLLHLSIVRSRPDLVQMLLEFEPNLEKANRAGRTPIEEAAAAGESLIVELLLARRASTERSLHHAVAAGHTEVMRLLLLKGAEVNAVTSDGRTALHLAACERRWDCARLLLASGARTDVRGGEEGNTPLHVAAACGDEKMVRVLLGKGGAGTKETRNKLGRTAFDVAGEGGHGKLFDMLKLGDGLCVAARKGEARGVVRLVERGAAVNGRDGNGWTALMRAGFKGRVEIMKLLMEMGVEIEARDEEGYTALHCAVEAGQAEAVELLVKRGAEVEARTAKGATAMHIAGSLGYVLTEQI >DRNTG_12809.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1979698:1985056:-1 gene:DRNTG_12809 transcript:DRNTG_12809.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mini-chromosome maintenance complex-binding protein [Source:Projected from Arabidopsis thaliana (AT2G40550) UniProtKB/Swiss-Prot;Acc:Q501D5] MIRWRCSLVRIGVLLISFATSSWKRVAFPRFRYLMLQVANGFNLIPWSAFRGMVQDMLGKELYIGAFQDGSNWRTNKYSDGALFPMPAGCQSAYESNLWERLLLHCVPVPGHNSWATHSTPPQVFRNVMDNSMYEHGEKRQRDEGIVENNEHGGSPSSKKQKEGEHPCQLNHSSQRSLVQGGFSEPRNGAALSCVIKMYDTPECDLKLNDVFEFIAIYTFDPELVAYKEDADDLTDDLLDDPLMHLPPSKVPRLHCLICRKLAIQDFVSSPLSVELPNMIKGIRGSLLRHLTQVLGNDGLAAECLLLHLLSRLRARVDAVSVGKLSLNLTGFNGETASIFGNRITSAIQSLLPFSQYIPLTVDYLNTATLQPKKNNQTGRLIPGVLQLAQGTHLTIDESHLQPGNLDSNGVHNARLLKKLIEQLSVEYDFEFYTSEMTADVQLLILSDGKSNIMPADLVLPFCPNAVNSIANPSPEELQSWRWYLDTLRSLPYSSQPDLHQMLQDELVAAMREDRSLGCQQLNRWLTMARLISVSFGDGELSLEHWQMFKEIERLRKERIK >DRNTG_22589.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17461592:17462606:-1 gene:DRNTG_22589 transcript:DRNTG_22589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFFYTVSLRIVTLAAKLVRSFTELGCLHSARSIADEMTNPNAFVWTALIRGYSQHDEPEFQFKEVFSLYRRMRRCSPSIEPLTFTVSSVLKACARASALSEGMQVHANAFKHGFQFDASVQTALIGFYGKCRRMPDAQQVFDKMQAAGVRDIHACNTVIARYAEAGDMDSARHLFDRMPQWNTFTLVEMIQGYAAIGQMEHAQGLFETSLAPGDHNAVVCTAIICGYSKCGDITSARSVFDKMTDRDVASWNAMITGYTHSDHPDDALDLFRAMLNSNTKPNRATIATIASACAQLGSRN >DRNTG_07764.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:998164:999102:1 gene:DRNTG_07764 transcript:DRNTG_07764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGHVAPGFGFLLIGLWHLFNNTKLYSLNPNTYISLPWFPSPLLKYLELILIMIGSSISVSMELFIGPADHQPFDKDGTIPSNHLHNFEHASISLTFFIYAFFTMVFDHVKLKSKDSMTQLLGALAFGQQLLLFHLHSSDHMGVEGQYHWLLQIVIFLSLCTTILSIAFPKSFTVAFTRSASIAFQGVWFIVMGFALWTPGFIAKGCFINSEDGHQVVRCHDDESLHRAKSLVNIQFSWFTAGFAVVLMVLFLLMNHKYSELSQEQYVSLDNKTSDEEEEDLEGQMKLQETSSFIHMGKGFMKSMDLER >DRNTG_11983.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4324827:4327225:1 gene:DRNTG_11983 transcript:DRNTG_11983.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSACWNRCKRSRISPPSHRPSPTRRRSGEGCIDHRSLHSYDKSSLQKHHFLKHAHSPEERTSPCPTPRVSY >DRNTG_01587.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9638729:9640424:-1 gene:DRNTG_01587 transcript:DRNTG_01587.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMIFEASRPAPEHQPAPMETEAPPLAEDVPPYIYFHHLEPMITLKGSRVSVRGDLDES >DRNTG_17806.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:7797822:7800649:1 gene:DRNTG_17806 transcript:DRNTG_17806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMQDIAKSNGKQIPDGVSLCLDCGTDQTVSTGSVIDVIRSPVTRLRFILIVSVNLLSSIAYYGLSLNVMNLKTNLYLGVILNGVAEMPAYMLTAVALKWFGRRPLTIGIMLFSGVVCAVGSLMEDVGVMRIVRMMCGVIGVFAMTSAFDLLFVYASELFPTVVRNAALGCVTQAGQTGAVMAPFVVAMGGRLPFVVFAFCGVVGGVLAFLLPETLNQPLYDTMGGLEKGELQKSEVVSDG >DRNTG_27276.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22470667:22473643:-1 gene:DRNTG_27276 transcript:DRNTG_27276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLMEICSGKPNVDKQQIRMGAEYFEAKAYHQATSKDDYLRNIYLMLLSFKRHKEDNILVDPPKSNFSRGSHLSYDTASPCLQPQIGNQEQATSQSPSQQSVLPQELQNSTSPIEVQGAAGLPFNTESSSNSKNLFEQRQRQGRQLQSMDISHHQKQSLCQGYYPYQIQQQTLKQNDQQPSNLQSHVQQQTQQQYQEAQVQEWFCLQTIHPGKFNIQLDHRNPVQPTGISVHHQLPPIAPFQHKPTSTFQQPAVAPQPHPCNNKQPNMPKVQLIQMATLQQSNLPDLQHQTLSFQQQDISSDLLGPQDNIPILHHQQQQQQQLLGTLPGVSNIQEHQNLALLAQPETARQQEESQRPALVLLQSQGHQARHQLAQPPTKAQQPPQPQTHQERFQSTGSLPPFPDAVEQKRKHVQLLGGSSTSPTLLTAQDDTLDLIEEIHQKVSY >DRNTG_27597.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:2203735:2205144:1 gene:DRNTG_27597 transcript:DRNTG_27597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFHTPVWVRSKPKNAILIAHRGVFLPLPIHHYTHHRDGSSRCSQRGGEDDRTCSPGYRDDETDGDSTYIMAGSSCTDYTCTRASRGSQPLLGPQPEQTEIEVPPTAQ >DRNTG_20170.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4834085:4835688:-1 gene:DRNTG_20170 transcript:DRNTG_20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQARPSMDILVKSHFSGTNLSPLCTLISQMALRPSLISCGLNRLLPPPPSEYLVSNEDEEKKKLLGLLSHHDKNVITIVWQTPLSWLSFLVRLFGVVKRQSGRQREQIYSTIMFLLPDDDFVIEPLPELMDESHPALFRPYTYGEFVHFCHFDGKDEDECLVGSKSEGGSRLRFVDHHLRQQRALKQLGMI >DRNTG_12240.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24992221:24993027:-1 gene:DRNTG_12240 transcript:DRNTG_12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVRLLTFAVSPRPLLRLPRRPHINAPRRTLPSLVLPRNPNPRYGPFPFRTISPFLSISSSPPLTDPSAVSSAPSLTINGGHEGITGFRAELGEAWMVVLLGWLGAEAKHLKRYAELYERKGIAAVRFVVPVKETLGFDLGRRVEEKVGRLSNELVDWCLDVERSGKVPRLIF >DRNTG_33907.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15169108:15171317:1 gene:DRNTG_33907 transcript:DRNTG_33907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTILHSLGIYYFDKPVHLRVTGHPVNLERNLLLRFGKDLARSLEWFYSFQHRVPSEDSYLGSFRQHQLGEVYPRLDEGSLGEDESDVLRDKLH >DRNTG_22799.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3843617:3844907:1 gene:DRNTG_22799 transcript:DRNTG_22799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKETKSSQRPPRIAPEPEFPLQWGSRKRLRCVRVRDETTPDRSDGRRRINRRIVDKDLPFPPLLPIPLHPRISESAGSDSRKSRSASLSPEKEDRFYTTRGSLAACEENGHGIGIDERGAGAMVSMPRFFVSLSNKEKEEDFMAMKGCKLPQRPRKRSKLLQKCLLLVSPGAWLSELSHERYEVREKKSSRKRRRGLKAMCLESDSE >DRNTG_29713.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13938466:13940661:1 gene:DRNTG_29713 transcript:DRNTG_29713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVWNSGVFPVGYCSRVVTNTVASTIAALFTAGREIRETENPHGRVEIIHARVEISQGTHSEARFTGGFLDAMTEEESIKVGDEAPPKPQRPLLQTLAVSPLKSRTKDAKE >DRNTG_01249.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1816965:1872173:-1 gene:DRNTG_01249 transcript:DRNTG_01249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQFVEGGERCLEIIALSYNDLPYYLKSCFLYFGCFKEDRTIFAKKLIRFWLAEGFLPAKNGKATEEIGLDCLEELAQRSLIQVTNRKYDDSVRYCRIHDLLRDVCIREAKENRFLEIYQNDTANCAARRLIIFNEIETLNYSNSKLRGLFYGNSNNLLPFRALQGQLGRFKLLRVLLLASSDISEFPSEIKSLIHLRYLELEIWDLKEVPSWIGHLRNLQTFILFCGNLKKISDSLWTIGNLRHVNLPSLLLRASRPPPPNMGNNVPKNLQTLKWVYAGSWIGNALPKLTNLRKLCIYGVSNDDADALSSSLQKLGRLTSFSIDGNEIPSDNIITAFSNQHCLKKLSLSGSLNRKQLPHNDVFPQQLVDLCLIDSGLEQDPMATLGKLPCLKYLQLGRDAYIGKQMICSATGFPQLLSLEISRLYELEEWKIEEKAMSCLKSLWISSCGRLKMIPEGLKNVPLDQLEIFFMPEEFETRMKENIGEDWYKIQHVPNISII >DRNTG_01249.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1816965:1820871:-1 gene:DRNTG_01249 transcript:DRNTG_01249.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVGFVVEKLGNLIVQEAINLHGVKDEVEWLKEELETMQCFLKDADAKKNKGDDERVKNWVAKMRDVAFKAEDIIDTFMYYKLRRQQGQPGCIGFIKRHKVHVDVKGIKVKLHQLSESKDLYGIANIGETFGTTSQHVIPISPQLSDDIDMVGFDDEKKKIVQELVDINNANRAVISIVGMGGLGKTTLAKSVYNDLEVKRSFNIFAWVIISQQYTIFEILKGILSEQSKTSPEDTIQTLSEKVYEKLRKGKYLVVLDDVWKEDAWTELLKVFPDVNNGSRVIITTRFVNVAKIANPTTKPHELRCLDEKESLELFLRKVFPNQKVETCCPTYLVDYAHQLVQRCGGLPLALVVLGGLVSTKPQTQDAWRKVVESMKGQFVEGGERCLEIIALSYNDLPYYLKSCFLYFGCFKEDRTIFAKKLIRFWLAEGFLPAKNGKATEEIGLDCLEELAQRSLIQVTNRKYDDSVRYCRIHDLLRDVCIREAKENRFLEIYQNDTANCAARRLIIFNEIETLNYSNSKLRGLFYGNSNNLLPFRALQGQLGRFKLLRVLLLASSDISEFPSEIKSLIHLRYLELEIWDLKEVPSWIGHLRNLQTFILFCGNLKKISDSLWTIGNLRHVNLPSLLLRASRPPPPNMGNNVPKNLQTLKWVYAGSWIGNALPKLTNLRKLCIYGVSNDDADALSSSLQKLGRLTSFSIDGNEIPSDNIITAFSNQHCLKKLSLSGSLNRKQLPHNDVFPQQLVDLCLIDSGLEQDPMATLGKLPCLKYLQLGRDAYIGKQMICSATGFPQLLSLEISRLYELEEWKIEEKAMSCLKSLWISSCGRLKMIPEGLKNVPLDQLEIFFMPEEFETRMKENIGEDWYKIQHVPNISII >DRNTG_01249.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1816548:1820871:-1 gene:DRNTG_01249 transcript:DRNTG_01249.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVGFVVEKLGNLIVQEAINLHGVKDEVEWLKEELETMQCFLKDADAKKNKGDDERVKNWVAKMRDVAFKAEDIIDTFMYYKLRRQQGQPGCIGFIKRSRAACVRSAFGLQRSSIYLYMNSTSINVCVDVCVDIHVMLCGFDTLWLYVMTYVCICDFIF >DRNTG_19312.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:650116:660352:1 gene:DRNTG_19312 transcript:DRNTG_19312.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPITTAAAATTATTVAAADDDFSFYYSYFQDAIASFPASPPSDMPKKKLDSANPSKKRSIANILTSLAALDDQDASERRHADDASLHDLSLLQANHQSRLNATLDYFDRVEEHFDEAEQSDTLRSKRARLAASAAAATVADGAASGAQPQHHQRRLWVKDRSTAWWDRCNHPDFPEAEFRRAFRMSRATFDMICDELGSAVAKEDTMLRAAIPVRQRVAVCIWRLATGEPLRLVSKRFGLGISTCHKLVLEVCLAIKTVLMPKFLQWPDEQSCSSIKSRFENISRIPNVVGSMYTTHIPIIAPKVSVAAYFNRRHTERNQKTSYSITVQGVVDPDGVFTDVCIGWPGSMPDDKVLEKSALFQRAESGMLKNVWVVGSSGYPLMDWVLVPYTHQNLTWTQHTFNQKIGEVQRVGKEAFARLKGRWTCLQKRTEVKLQDLPVVLGACCVLHNICEIRKEEMEPELKFELVDDEMVPENSLRSVSSMRARDNIAHNLLHRDFAGTNFL >DRNTG_08883.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27652822:27654724:1 gene:DRNTG_08883 transcript:DRNTG_08883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTSEDESQQPFLPSVTAKPDTSSINPPSKKRRSLPGNPDPDAEVVALSPRTLLATNRYICEVCHKGFQRDQNLQLHRRGHNLPWKLKQRTSTEVKKKVYVCPEVTCVHHNPSRALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVQSDWKAHAKICGTKEYRCDCGTIFSRKDSFVTHRAFCDALAEENYRTNHGLASMVGSLQSQTQDLCSHSMPSLHSSSIDTMMNSTSSDHNSNNPHSLSLNNPLMANTSDMIFNPSAMRNSGLQLGGMNTNMMSSGGSSSSLRMSIGSSYMSATALLQKAAEMGAKVSDNSISPILLRGFTGYSSSSKSLVSMQEPVNPFSTAVADTNAYVGNNPSTTTDHHHHHHAYNSVNAENHTSMVGLEAQLIRRNTSNTTTSSHEEMGERRDGRMTQDFLGLGPAGNARINSDHGYVENSVGLRYSNEHQQNQEEMMYTGYHHSMSQGQQPTLAKPMWDF >DRNTG_15455.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1546242:1548518:-1 gene:DRNTG_15455 transcript:DRNTG_15455.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g71190 [Source:Projected from Arabidopsis thaliana (AT1G71190) UniProtKB/TrEMBL;Acc:Q9C989] MASKRRAFGWVAAIVIFVVLMIVTPAIPQSQEYHDFADQRTLFLGIPNTLNVISNFPFLVVGLVGLVLCHYGNYFRLSLEGELWGWSCFFVGVAAVAFGSSYYHLMPNDARLVWDRLPMTIAFTSIVAIFIIERIDERTGRTSLIPLVMAGILSILYWRFFDDLRLYAAVQFVPCIIIPLMAIILPPMYSHSSYWLWAAGFYLLAKVEEAADKPIYKWMHHSVSGHTLKHLCAAMVPVFLTLMLAKRNAESERKSLLETWRVEWVRIKDGRIKQEGMACEYSTVATATES >DRNTG_13242.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2941149:2943009:1 gene:DRNTG_13242 transcript:DRNTG_13242.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shewanella-like protein phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT1G18480) UniProtKB/Swiss-Prot;Acc:Q944L7] MESSDPSCSQIPSILSSFVDAFVDFSVSGLFFPSSPNPNPNPNPNPNPNPSPLPTHIPAPRRLVAIGDLHGDLPKSLAALSLAGLADSSTGRWTGGHTVAVFLGDFLDRGGDELRLIHLLHRLSIEASRSGGAIHSVLGNHEVMNVDGDFRYVTREGLDEFRRWAIWFRTGLAMKRLCEGVPTPKDPFAGIPKSFPGIKQQYWEGFRARIAALRPDGPISTRFLAGNRTVLVVGDSVFVHGGLLETHVDYGLEKINSEVRDWILGKNGRLSPEYMRRRNSLVWLRNFSEGFNCNCEHLEGILKMIPGAKRMVMGHTIQEDGINGVCEDKAIRIDVGLSKGCGDGFPEVLEISLDGKMTVLTVNPLFNPRYRPEAEKKEGLALLVPETGLKEVEVKA >DRNTG_13242.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2941149:2944614:1 gene:DRNTG_13242 transcript:DRNTG_13242.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shewanella-like protein phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT1G18480) UniProtKB/Swiss-Prot;Acc:Q944L7] MESSDPSCSQIPSILSSFVDAFVDFSVSGLFFPSSPNPNPNPNPNPNPNPSPLPTHIPAPRRLVAIGDLHGDLPKSLAALSLAGLADSSTGRWTGGHTVAVFLGDFLDRGGDELRLIHLLHRLSIEASRSGGAIHSVLGNHEVMNVDGDFRYVTREGLDEFRRWAIWFRTGLAMKRLCEGVPTPKDPFAGIPKSFPGIKQQYWEGFRARIAALRPDGPISTRFLAGNRTVLVVGDSVFVHGGLLETHVDYGLEKINSEVRDWILGKNGRLSPEYMRRRNSLVWLRNFSEGFNCNCEHLEGILKMIPGAKRMVMGHTIQEDGINGVCEDKAIRIDVGLSKGCGDGFPEVLEISLDGKMTVLTVNPLFNPRYRPEAEKKEGLALLVPETGLKEVEVKA >DRNTG_13242.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2941329:2943153:1 gene:DRNTG_13242 transcript:DRNTG_13242.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shewanella-like protein phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT1G18480) UniProtKB/Swiss-Prot;Acc:Q944L7] MESSDPSCSQIPSILSSFVDAFVDFSVSGLFFPSSPNPNPNPNPNPNPNPSPLPTHIPAPRRLVAIGDLHGDLPKSLAALSLAGLADSSTGRWTGGHTVAVFLGDFLDRGGDELRLIHLLHRLSIEASRSGGAIHSVLGNHEVMNVDGDFRYVTREGLDEFRRWAIWFRTGLAMKRLCEGVPTPKDPFAGIPKSFPGIKQQYWEGFRARIAALRPDGPISTRFLAGNRTVLVVGDSVFVHGGLLETHVDYGLEKINSEVRDWILGKNGRLSPEYMRRRNSLVWLRNFSEGFNCNCEHLEGILKMIPGAKRMVMGHTIQEDGINGVCEDKAIRIDVGLSKGCGDGFPEVLEISLDGKMTVLTVNPLFNPRYRPEAEKKEGLALLVPETGLKEVEVKA >DRNTG_13242.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2941149:2944374:1 gene:DRNTG_13242 transcript:DRNTG_13242.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shewanella-like protein phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT1G18480) UniProtKB/Swiss-Prot;Acc:Q944L7] MESSDPSCSQIPSILSSFVDAFVDFSVSGLFFPSSPNPNPNPNPNPNPNPSPLPTHIPAPRRLVAIGDLHGDLPKSLAALSLAGLADSSTGRWTGGHTVAVFLGDFLDRGGDELRLIHLLHRLSIEASRSGGAIHSVLGNHEVMNVDGDFRYVTREGLDEFRRWAIWFRTGLAMKRLCEGVPTPKDPFAGIPKSFPGIKQQYWEGFRARIAALRPDGPISTRFLAGNRTVLVVGDSVFVHGGLLETHVDYGLEKINSEVRDWILGKNGRLSPEYMRRRNSLVWLRNFSEGFNCNCEHLEGILKMIPGAKRMVMGHTIQEDGINGVCEDKAIRIDVGLSKGCGDGFPEVLEISLDGKMTVLTVNPLFNPRYRPEAEKKEGLALLVPETGLKEVEVKA >DRNTG_13242.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2941149:2943153:1 gene:DRNTG_13242 transcript:DRNTG_13242.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shewanella-like protein phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT1G18480) UniProtKB/Swiss-Prot;Acc:Q944L7] MESSDPSCSQIPSILSSFVDAFVDFSVSGLFFPSSPNPNPNPNPNPNPNPSPLPTHIPAPRRLVAIGDLHGDLPKSLAALSLAGLADSSTGRWTGGHTVAVFLGDFLDRGGDELRLIHLLHRLSIEASRSGGAIHSVLGNHEVMNVDGDFRYVTREGLDEFRRWAIWFRTGLAMKRLCEGVPTPKDPFAGIPKSFPGIKQQYWEGFRARIAALRPDGPISTRFLAGNRTVLVVGDSVFVHGGLLETHVDYGLEKINSEVRDWILGKNGRLSPEYMRRRNSLVWLRNFSEGFNCNCEHLEGILKMIPGAKRMVMGHTIQEDGINGVCEDKAIRIDVGLSKGCGDGFPEVLEISLDGKMTVLTVNPLFNPRYRPEAEKKEGLALLVPETGLKEVEVKA >DRNTG_13242.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2941329:2943287:1 gene:DRNTG_13242 transcript:DRNTG_13242.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shewanella-like protein phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT1G18480) UniProtKB/Swiss-Prot;Acc:Q944L7] MESSDPSCSQIPSILSSFVDAFVDFSVSGLFFPSSPNPNPNPNPNPNPNPSPLPTHIPAPRRLVAIGDLHGDLPKSLAALSLAGLADSSTGRWTGGHTVAVFLGDFLDRGGDELRLIHLLHRLSIEASRSGGAIHSVLGNHEVMNVDGDFRYVTREGLDEFRRWAIWFRTGLAMKRLCEGVPTPKDPFAGIPKSFPGIKQQYWEGFRARIAALRPDGPISTRFLAGNRTVLVVGDSVFVHGGLLETHVDYGLEKINSEVRDWILGKNGRLSPEYMRRRNSLVWLRNFSEGFNCNCEHLEGILKMIPGAKRMVMGHTIQEDGINGVCEDKAIRIDVGLSKGCGDGFPEVLEISLDGKMTVLTVNPLFNPRYRPEAEKKEGLALLVPETGLKEVEVKA >DRNTG_13242.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2941329:2944374:1 gene:DRNTG_13242 transcript:DRNTG_13242.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shewanella-like protein phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT1G18480) UniProtKB/Swiss-Prot;Acc:Q944L7] MESSDPSCSQIPSILSSFVDAFVDFSVSGLFFPSSPNPNPNPNPNPNPNPSPLPTHIPAPRRLVAIGDLHGDLPKSLAALSLAGLADSSTGRWTGGHTVAVFLGDFLDRGGDELRLIHLLHRLSIEASRSGGAIHSVLGNHEVMNVDGDFRYVTREGLDEFRRWAIWFRTGLAMKRLCEGVPTPKDPFAGIPKSFPGIKQQYWEGFRARIAALRPDGPISTRFLAGNRTVLVVGDSVFVHGGLLETHVDYGLEKINSEVRDWILGKNGRLSPEYMRRRNSLVWLRNFSEGFNCNCEHLEGILKMIPGAKRMVMGHTIQEDGINGVCEDKAIRIDVGLSKGCGDGFPEVLEISLDGKMTVLTVNPLFNPRYRPEAEKKEGLALLVPETGLKEVEVKA >DRNTG_13242.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2941329:2943009:1 gene:DRNTG_13242 transcript:DRNTG_13242.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shewanella-like protein phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT1G18480) UniProtKB/Swiss-Prot;Acc:Q944L7] MESSDPSCSQIPSILSSFVDAFVDFSVSGLFFPSSPNPNPNPNPNPNPNPSPLPTHIPAPRRLVAIGDLHGDLPKSLAALSLAGLADSSTGRWTGGHTVAVFLGDFLDRGGDELRLIHLLHRLSIEASRSGGAIHSVLGNHEVMNVDGDFRYVTREGLDEFRRWAIWFRTGLAMKRLCEGVPTPKDPFAGIPKSFPGIKQQYWEGFRARIAALRPDGPISTRFLAGNRTVLVVGDSVFVHGGLLETHVDYGLEKINSEVRDWILGKNGRLSPEYMRRRNSLVWLRNFSEGFNCNCEHLEGILKMIPGAKRMVMGHTIQEDGINGVCEDKAIRIDVGLSKGCGDGFPEVLEISLDGKMTVLTVNPLFNPRYRPEAEKKEGLALLVPETGLKEVEVKA >DRNTG_13242.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2941329:2944374:1 gene:DRNTG_13242 transcript:DRNTG_13242.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shewanella-like protein phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT1G18480) UniProtKB/Swiss-Prot;Acc:Q944L7] MESSDPSCSQIPSILSSFVDAFVDFSVSGLFFPSSPNPNPNPNPNPNPNPSPLPTHIPAPRRLVAIGDLHGDLPKSLAALSLAGLADSSTGRWTGGHTVAVFLGDFLDRGGDELRLIHLLHRLSIEASRSGGAIHSVLGNHEVMNVDGDFRYVTREGLDEFRRWAIWFRTGLAMKRLCEGVPTPKDPFAGIPKSFPGIKQQYWEGFRARIAALRPDGPISTRFLAGNRTVLVVGDSVFVHGGLLETHVDYGLEKINSEVRDWILGKNGRLSPEYMRRRNSLVWLRNFSEGFNCNCEHLEGILKMIPGAKRMVMGHTIQEDGINGVCEDKAIRIDVGLSKGCGDGFPEVLEISLDGKMTVLTVNPLFNPRYRPEAEKKEGLALLVPETGLKEVEVKA >DRNTG_13242.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2941329:2943449:1 gene:DRNTG_13242 transcript:DRNTG_13242.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shewanella-like protein phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT1G18480) UniProtKB/Swiss-Prot;Acc:Q944L7] MESSDPSCSQIPSILSSFVDAFVDFSVSGLFFPSSPNPNPNPNPNPNPNPSPLPTHIPAPRRLVAIGDLHGDLPKSLAALSLAGLADSSTGRWTGGHTVAVFLGDFLDRGGDELRLIHLLHRLSIEASRSGGAIHSVLGNHEVMNVDGDFRYVTREGLDEFRRWAIWFRTGLAMKRLCEGVPTPKDPFAGIPKSFPGIKQQYWEGFRARIAALRPDGPISTRFLAGNRTVLVVGDSVFVHGGLLETHVDYGLEKINSEVRDWILGKNGRLSPEYMRRRNSLVWLRNFSEGFNCNCEHLEGILKMIPGAKRMVMGHTIQEDGINGVCEDKAIRIDVGLSKGCGDGFPEVLEISLDGKMTVLTVNPLFNPRYRPEAEKKEGLALLVPETGLKEVEVKA >DRNTG_29978.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:15407877:15418815:1 gene:DRNTG_29978 transcript:DRNTG_29978.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHADGLIIMGIEISHGHGQGASALGRVSFTPRQNITPKDLAPSNATLGEMFNPDPYEGLFDQEEDYEEVMMLGSTEEVPSTAGILKKVLQKMKRARRRHRNHSKAIGDACKPKELDEPSLEFPHARVFVLRAHPEKAQGRAVAPVNDHANITRPWKPPRWSCRWSCGESSTRPPRIPSSGIGYASTEAPLPTFFLRNDNIMAPRSTKQVDKQLRESSSESEDMSYTIPEHRVHFEQLSRVHFGQTRFLDTSILRDLQQGDELTNEVEDLVSRAYRVLCGQGQYEPGVSKAMCLSRPAYRYLHAIMTRLVNGHGDSTGVLSRQELLYLYSMVQCVPIHLGHIMVEHIKHQGYYARLGVIFSGPYITRLVLGMGLLDVIRGAEKTSIPTPLSLEILRLMGIVRRVRIGVYVLVLLAPEIAEGESDHATASQPAPQPESAPMETEAPSAIEDAPPVCMFSPSRAHDRFERLESAVGVIRKRWLRLEQRLPRLGLRRPLSIQSSWHVLTYYSRS >DRNTG_17636.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4270168:4272290:-1 gene:DRNTG_17636 transcript:DRNTG_17636.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDSATPRLRSKIRLRLLIFCSVLFRLHSRRSSLVLLISVI >DRNTG_23598.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:619013:621358:1 gene:DRNTG_23598 transcript:DRNTG_23598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRSTFLAFMLSIVSLAICSSHGSDSITSNQSLSGDQKLLSVGGNFVLGFFTKNKTSSKFYIGIWYKRVSELTPVWVANRATPVSDITKSLLHISKDGNLALLDQSINSPVWSTNATIPSNSSTVAVLQDNGNLVLRDAVDSANIFWQSFDNPTHMWLPGIKINFNKITKVSRRIISWKNSEDPALGLFSNSNLEDTQNVLQWNMSKIYWTSGPWNGRIFINLPEMTSQYLFKLTFVNTSEESYFTFSLLDNTTTPYVAYVMDVSGQMKAVLWVETAQQWTQIFAAPAQCEVTNFCGPFGSCNEQSQQHCSCVKGFSQRSPKDWALRDYSGGCVRDTPLQSCNGTSSDYAGEGDKFSHMSNVRLPNDGRTMQVGNDDECMQACLHTCSCTAYFYNGTGCTIWYDDLLNLQDQYEGSDGGTLYLRLAASELPNHHKNGSVSGLVAGVVAASLVCFGIICTLVTIRIMRRRAFKLVMGPLVAFRYSDLQRATKNFSDKLGAGSFGSVSKGALPDSTAIAVKKLEGLRQGEKQFRAEVSTLGTIQHVNLVRFRGFCAEGKERLLVFYYMPNGSLDSHLFHGNDSVLDWSTRYRIALGIARGLEYLHEKCRECIIHCDIKPENILLDVEFNPKIADFGLAKLLGREFSHVLTSMRGTIGYLAPEWITGLAITPRADVYSFGMMLLEIISGKRNTEQLEESGNYYFPAVAAVKVTEDTVQCLLDDRLQGNANMDELIIACRVACWCIQGLESQRPTMGLVVRMLEGLMEVSIPPIPSIFRALVIN >DRNTG_11658.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:182602:183195:1 gene:DRNTG_11658 transcript:DRNTG_11658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGMGFGAGRVFAATATKSSGGSKEEKGLLDWIMGGLQKEDQLLETDPILKKVEEKNGKKSTTSVSVPNNKKKSGGFGGLFAKN >DRNTG_20913.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25448406:25451974:1 gene:DRNTG_20913 transcript:DRNTG_20913.1 gene_biotype:protein_coding transcript_biotype:protein_coding THLLKMKLLCLHGFRTSGRFLQKQISKWDPSILQHFSMVLLLLLSLSLSLSLSVDLSLSFNLSC >DRNTG_13529.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22038162:22042078:-1 gene:DRNTG_13529 transcript:DRNTG_13529.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLRSLARSSTHLPRPLPPILSISSSFSSPAHPPGSPPAAFGGLAPTEPGREKPRVVVLGTGWAGCRLMKGIDTELYDVVCISPRNHMVFTPLLASTCVGTLEFRSVAEPVGRIQPAVSRSPGSYFFLARCNAIDPEAHTVHCETVTDGNAGSDLNPWKFKVSYDKLVIASGAEASTFGIHGVREHAIFLREVYHAQEIRRKLLLNLMLSDVPGISEEEKRRLLHCVVIGGGPTGVEFSGELSDFIIKDVHERYSHVKDYINVTLIEANEILSSFDVRLRQYATNQLTKSGVRLVRGVVKDVQPQKIILNDGTEVPYGLLVWSTGVGPSPFVHSLPFPKSPGGRIGIDEWLRVPSVQDVFAIGDCSGFLEDTGKPVLPALAQVAERQGKYLAELLNMIGKAGGGHANSATNVELGDEFIYKHLGSMATVGRYKALVDLRQSKEGKGISLAGFVSWFIWRSAYLTRVISWRNRFYVAINWLTTLVFGRDISRI >DRNTG_13529.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22035627:22042078:-1 gene:DRNTG_13529 transcript:DRNTG_13529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLRSLARSSTHLPRPLPPILSISSSFSSPAHPPGSPPAAFGGLAPTEPGREKPRVVVLGTGWAGCRLMKGIDTELYDVVCISPRNHMVFTPLLASTCVGTLEFRSVAEPVGRIQPAVSRSPGSYFFLARCNAIDPEAHTVHCETVTDGNAGSDLNPWKFKVSYDKLVIASGAEASTFGIHGVREHAIFLREVYHAQEIRRKLLLNLMLSDVPGISEEEKRRLLHCVVIGGGPTGVEFSGELSDFIIKDVHERYSHVKDYINVTLIEANEILSSFDVRLRQYATNQLTKSGVRLVRGVVKDVQPQKIILNDGTEVPYGLLVWSTGVGPSPFVHSLPFPKSPGGRIGIDEWLRVPSVQDVFAIGDCSGFLEDTGKPVLPALAQVAERQGKYLAELLNMIGKAGGGHANSATNVELGDEFIYKHLGSMATVGRYKALVDLRQSKEGKGISLAGFVSWFIWRSAYLTRVISWRNRFYVAINWLTTLVFGRDISRI >DRNTG_08516.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28854777:28855981:1 gene:DRNTG_08516 transcript:DRNTG_08516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVKLRLPDAAAHQRLSEALAPRHLRTHLQDNLFFDGAAGELSSRFAVLRLRFYDGDTRCVLSLKARAQLSGGVSRVEEEEEDIEPTLGRACAAEPWRLADLASTSCIMKKVVDELGMKGDGGKVGAFVCFGGFKNVRSVYGWEEGLVLELDETQFEFGTNYELECETADPERAKELLEKFLKDIEVPYEYAQASKYAVFRAGKLLP >DRNTG_18206.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1134684:1135704:1 gene:DRNTG_18206 transcript:DRNTG_18206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDVCSSTLHVYVKSALYTASKGALNQLAKHLACEWSKDNIRVNCVGPAVLKTPLIESLCLENEFMEHELSRTPHGRLGEPEEVASVVVFLCLPAASYVTGQIINVDGGRTQPC >DRNTG_15441.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1503535:1504571:1 gene:DRNTG_15441 transcript:DRNTG_15441.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP8 [Source:Projected from Arabidopsis thaliana (AT1G58100) UniProtKB/Swiss-Prot;Acc:Q9C518] MDQSDSSSSNSDHHHHHHHSAMQLAGSSSNPASAASDPPKKPKRSTKDRHTKVDGRGRRIRMPATCAARVFQLTRELGHKSDGETIEWLLQHAEHAIIAATGTGTIPANFSTLNVSVRSSGSSSRSAPHHHELFDSSSLLGFQHHHHHLLSSSSSSSSSSSSSSSSSSERVAAPAIWMAGPSEWTGGGTVAAPLQFMSRINMPAVAAGPLTSMLVQQAPPATAVAHLGLGLSDSNLGMLAAMNSFNRSGLSLNSQHYYHHHHHHHHQQQQQQQQQQQQDEEEEGGGGGGESGKSH >DRNTG_15441.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1503535:1504414:1 gene:DRNTG_15441 transcript:DRNTG_15441.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP8 [Source:Projected from Arabidopsis thaliana (AT1G58100) UniProtKB/Swiss-Prot;Acc:Q9C518] MDQSDSSSSNSDHHHHHHHSAMQLAGSSSNPASAASDPPKKPKRSTKDRHTKVDGRGRRIRMPATCAARVFQLTRELGHKSDGETIEWLLQHAEHAIIAATGTGTIPANFSTLNVSVRSSGSSSRSAPHHHELFDSSSLLGFQHHHHHLLSSSSSSSSSSSSSSSSSSERVAAPAIWMAGPSEWTGGGTVAAPLQFMSRINMPAVAAGPLTSMLVQQAPPATAVAHLGLGLSDSNLGMLAAMNSFNRSGLSLNSQHYYHHHHHHHHQQQQQQQQQQQQDEEEEGGGGGGESG >DRNTG_15441.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1503549:1504414:1 gene:DRNTG_15441 transcript:DRNTG_15441.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP8 [Source:Projected from Arabidopsis thaliana (AT1G58100) UniProtKB/Swiss-Prot;Acc:Q9C518] MQLAGSSSNPASAASDPPKKPKRSTKDRHTKVDGRGRRIRMPATCAARVFQLTRELGHKSDGETIEWLLQHAEHAIIAATGTGTIPANFSTLNVSVRSSGSSSRSAPHHHELFDSSSLLGFQHHHHHLLSSSSSSSSSSSSSSSSSSERVAAPAIWMAGPSEWTGGGTVAAPLQFMSRINMPAVAAGPLTSMLVQQAPPATAVAHLGLGLSDSNLGMLAAMNSFNRSGLSLNSQHYYHHHHHHHHQQQQQQQQQQQQDEEEEGGGGGGESG >DRNTG_15441.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1503549:1504571:1 gene:DRNTG_15441 transcript:DRNTG_15441.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP8 [Source:Projected from Arabidopsis thaliana (AT1G58100) UniProtKB/Swiss-Prot;Acc:Q9C518] MQLAGSSSNPASAASDPPKKPKRSTKDRHTKVDGRGRRIRMPATCAARVFQLTRELGHKSDGETIEWLLQHAEHAIIAATGTGTIPANFSTLNVSVRSSGSSSRSAPHHHELFDSSSLLGFQHHHHHLLSSSSSSSSSSSSSSSSSSERVAAPAIWMAGPSEWTGGGTVAAPLQFMSRINMPAVAAGPLTSMLVQQAPPATAVAHLGLGLSDSNLGMLAAMNSFNRSGLSLNSQHYYHHHHHHHHQQQQQQQQQQQQDEEEEGGGGGGESGKSH >DRNTG_30814.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18422341:18423838:-1 gene:DRNTG_30814 transcript:DRNTG_30814.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDGLLVRETYVVKLANNSCSCGKWDKSGIPCQHTMAAIAFHGLDPLNYISEWFKKETYLKAYQFNISAVKGRRFWPTSEEGPMLPPTTKRMP >DRNTG_16612.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31326763:31329910:-1 gene:DRNTG_16612 transcript:DRNTG_16612.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2Fe-2S ferredoxin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G32550) UniProtKB/TrEMBL;Acc:F4IE99] MDLQILCNSTLSLSFSHSLYRFHSHRHLRIPNLGLRTMPSPHRNMIFTNAITTDAVPQPRTSPVPTHQVTVHDRRRGVVHQFLVPEDQYILHTAESQNISLPFACRHGCCTSCAVRVKSGQIRQPEALGISAELKSKVYWLQFGRYFARGPIERDDYALELALADE >DRNTG_28237.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4569015:4573823:-1 gene:DRNTG_28237 transcript:DRNTG_28237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGDEKNPNAPFGKSSDVEPVQSTGLRNRRPSHIRSHSTGSTGSTGILQFTEEATNELEAENEEAAFQNKMVEHYRGPPPTDGSILSRLVAFLVGEDPAQSYALICGNCRMHNGLARKEDFPYITYYCPHCHSLNGPRQPDTHESGSSSGLLTPHSIGDANTKIARSITGGDARTNLETVQELDVGSSVTVEKEN >DRNTG_06899.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:13949479:13953342:1 gene:DRNTG_06899 transcript:DRNTG_06899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNETMVGSSSAEALNKEKEQLTQPEDSLLKKDVPIAGMVLNSEEEVYNFYIEYARQEGFGITKKSKRLDDDGKLKRLNQRMVMTSKLKLAPKKAWDELNTESMAATVTATPVDVMLHIIIATTQYQLPAAAYEWRLPTEE >DRNTG_02847.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20677991:20686008:1 gene:DRNTG_02847 transcript:DRNTG_02847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYCMAIVRLVNVFVEPLHKKTRRSISELAEAIGLPRALIDIRHESSHRDLPSLQLVRLASVKALDWLKSNYWEPQRKAIPDVQKEIRCRLREMIHYIKAKYTQRMKAKRAKRSHQLRACGKLSSQVTGKAIVF >DRNTG_15461.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:339718:340933:-1 gene:DRNTG_15461 transcript:DRNTG_15461.7 gene_biotype:protein_coding transcript_biotype:protein_coding DDKLTNNKSYQITNSPHGISPAPLNPSIYVKLNFMI >DRNTG_15461.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:339674:340933:-1 gene:DRNTG_15461 transcript:DRNTG_15461.6 gene_biotype:protein_coding transcript_biotype:protein_coding DDKLTNNKSYQITNSPHGISPAPLNPSIYVKLNFMI >DRNTG_15461.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:336838:339435:-1 gene:DRNTG_15461 transcript:DRNTG_15461.3 gene_biotype:protein_coding transcript_biotype:protein_coding DLEYHFSLQISEGSSSATRVHGERKGRKRSEA >DRNTG_15461.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:339674:341119:-1 gene:DRNTG_15461 transcript:DRNTG_15461.5 gene_biotype:protein_coding transcript_biotype:protein_coding DDKLTNNKSYQITNSPHGISPAPLNPSIYVKLNFMI >DRNTG_15461.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:336838:340933:-1 gene:DRNTG_15461 transcript:DRNTG_15461.2 gene_biotype:protein_coding transcript_biotype:protein_coding DDKLTNNKSYQITNSPHGISPAPLNPSIYVKLNFMI >DRNTG_15461.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:336838:339315:-1 gene:DRNTG_15461 transcript:DRNTG_15461.4 gene_biotype:protein_coding transcript_biotype:protein_coding DLEYHFSLQISEGSSSATRVHGERKGRKRSEA >DRNTG_15461.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:336838:340933:-1 gene:DRNTG_15461 transcript:DRNTG_15461.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLEYHFSLQISEGSSSATRVHGERKGRKRSEA >DRNTG_05693.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1685195:1687732:-1 gene:DRNTG_05693 transcript:DRNTG_05693.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g26540 [Source:Projected from Arabidopsis thaliana (AT3G26540) UniProtKB/Swiss-Prot;Acc:Q9LRV2] MNKSGSSRPNDVSFACALGSCADLLALLLARQIHCLVLKYGFAKNVILSTSVVDVYGKCGIMSDARKVFDEMRERNAVSWNVIVRRYLEMNEGEEAVILFFTMIVDGVRPLNFTVSNALVACSDVSALKEGCQIHGIAVKLGFDGDHVVENSLMEMYAKNGLLEDARKLFDDSTSRDVVSWTSIISGYALCGRFAEAEKLFDSMPERNVVSWNAMLAGYVRCLCWGKALDFIFLMAKESEEMDNVSLKLILNVCAGVSDLELGKQVHGFVFRHGFCSNIFIGNALVDMYGKCGNLRSAELWFLSMASNRDKISWNALISGYTRHGRSEEALNAFGEMQWETTPNEFTCSTALAACANIFVLRHGKQIHAHMIRNDFNMDVIIQGALVDMYCKCRSIEYAINVFEQATSKDIILWNSMIMGCAYNGRGEFGLELFEMMLKDGTKADNVTFIAILLACITEGYVDLGHRYFNLMSDEFGIIPRVEHYECMIELLGKHGFMVELEDFIERMPFEPTTPMWMRIFDCCREHSYARLGERAERWINESNPLNPVEFNVCSGPAMEPS >DRNTG_18307.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2562353:2564504:-1 gene:DRNTG_18307 transcript:DRNTG_18307.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G77090) UniProtKB/Swiss-Prot;Acc:O49292] MEVAEHSERTYYQFELEPPHVLITATAAGNRLYLFNVTANGLQWKRHYKDLKKIAGSFRVV >DRNTG_18307.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2562353:2564504:-1 gene:DRNTG_18307 transcript:DRNTG_18307.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G77090) UniProtKB/Swiss-Prot;Acc:O49292] MNKTGWRTYRRPDDKSGGHGVGWSPIIPYLFKVPQGWEEVPVSIADLGGTEIDLRFANSQEGRLFVIVAPVLRFADLGGDATIEQIGPPEKVINAFGPEVIGENVEGKVLSMEVAEHSERTYYQFELEPPHVLITATAAGNRLYLFNVTANGLQWKRHYKDLKKIAGSFRVV >DRNTG_18307.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2562353:2564504:-1 gene:DRNTG_18307 transcript:DRNTG_18307.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G77090) UniProtKB/Swiss-Prot;Acc:O49292] MATTLLSSCCCSIRYPQRQMHAKSHKRELTSDNYDNEKHHQQQQQQQQLNNYYGSRRWALSSGLCLASGLVFSSPEDVLAVVKQGPLAGRIPGLSEPDEQGWRTYRRPDDKSGGHGVGWSPIIPYLFKVPQGWEEVPVSIADLGGTEIDLRFANSQEGRLFVIVAPVLRFADLGGDATIEQIGPPEKVINAFGPEVIGENVEGKVLSMEVAEHSERTYYQFELEPPHVLITATAAGNRLYLFNVTANGLQWKRHYKDLKKIAGSFRVV >DRNTG_35282.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:686578:688326:1 gene:DRNTG_35282 transcript:DRNTG_35282.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin-A20 [Source:Projected from Arabidopsis thaliana (AT4G38210) UniProtKB/Swiss-Prot;Acc:Q9SZM1] MARNWGQNWQCNAELRGQPLSFELTISSGSTLTSFSVAPSHWQYGQSFEGNQFEEDY >DRNTG_35282.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:686578:687729:1 gene:DRNTG_35282 transcript:DRNTG_35282.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin-A20 [Source:Projected from Arabidopsis thaliana (AT4G38210) UniProtKB/Swiss-Prot;Acc:Q9SZM1] MGLSHQQATATFSSLFFFFFFFFFYLFMLVIASGLTSSSSSSRQLEWRSGTATYSKDTAASILTGGACGYGDVIESGFGKMSTGVSGALFDKGKACGACYEVRCVDDIRWCLLGSPSIVVTVTDFCAPNYGLPSDFGGWCNFPRDHFDMSPLLFLFYCSH >DRNTG_29571.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2251875:2252864:1 gene:DRNTG_29571 transcript:DRNTG_29571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKDSTETKRWAVKNVEGGRDGQLVRSSMDRTWTIVGVGGSPRVPSSGIPGEEDQVGPCE >DRNTG_21912.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1702244:1703830:-1 gene:DRNTG_21912 transcript:DRNTG_21912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTSSPSESQSVEHHAILVDYNDGASGSGGLGDPLLRHGLKDQEQDEDGAGFLRTSFNGLNALSGVGILSIPYALAEGGWLSLILLLMIAIICCYTGLLLQRCTDANPSVRTYPDIGGLAFGYKGRVVVSVFMYVEIFLVAIGFLILEGDNLDNLFPGMSLEIGDFRIAGKQFFVVLIALVILPTTWLKNLGVLAYVSAGGVLASIIIVFSVLWAATFDGVGFHQRGRPLNVAGLPTSLGLYAFCYCGHAVFPTISNSMRNKAQYPKVLVLCFVLCTINYASMAVLGYLMYGNEVKSQVTLNLPLGHLSSKIAIYTTLINPFTKYALVITPIANAIEERLRVYNKRSSSVLVRTLLVSSTVIIAIMVPFFADLMSFIGSLLSVIVSMLLPCICYLMIFKDSRKSKIELVFIVLILVFGVLVASLGSYTSVKKIIQEM >DRNTG_11388.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000505.1:51935:55418:1 gene:DRNTG_11388 transcript:DRNTG_11388.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGAIGGFLDVAFNFNTQAFLDDNLLDQLYRIGWKLVMLGDNTWIKLFPGLFSRQDGVSSFFVKDTVEVDYNVTRHLEAELAANDWSLLILHYLGLDHVGHIGGRQSKLMAPKLNEMDEVIKTIHTRLAQEQDNVDKRTLL >DRNTG_11388.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000505.1:52120:55418:1 gene:DRNTG_11388 transcript:DRNTG_11388.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDNTWIKLFPGLFSRQDGVSSFFVKDTVEVDYNVTRHLEAELAANDWSLLILHYLGLDHVGHIGGRQR >DRNTG_11388.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000505.1:49923:55418:1 gene:DRNTG_11388 transcript:DRNTG_11388.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTFDRLVLMVVDGLPAEFILGRGDSRPSKAMMEAMPYTQSLLLKREAIAYHAKASPPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAFLDDNLLDQLYRIGWKLVMLGDNTWIKLFPGLFSRQDGVSSFFVKDTVEVDYNVTRHLEAELAANDWSLLILHYLGLDHVGHIGGRQSKLMAPKLNEMDEVIKTIHTRLAQEQDNVDKRTLL >DRNTG_11388.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000505.1:52120:55418:1 gene:DRNTG_11388 transcript:DRNTG_11388.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDNTWIKLFPGLFSRQDGVSSFFVKDTVEVDYNVTRHLEAELAANDWSLLILHYLGLDHVGHIGGRQSKLMAPKLNEMDEVIKTIHTRLAQEQDNVDKRTLL >DRNTG_11388.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000505.1:51701:55418:1 gene:DRNTG_11388 transcript:DRNTG_11388.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAMPYTQSLLLKREAIAYHAKASPPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAFLDDNLLDQLYRIGWKLVMLGDNTWIKLFPGLFSRQDGVSSFFVKDTVEVDYNVTRHLEAELAANDWSLLILHYLGLDHVGHIGGRQSKLMAPKLNEMDEVIKTIHTRLAQEQDNVDKRTLL >DRNTG_11388.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000505.1:49923:55418:1 gene:DRNTG_11388 transcript:DRNTG_11388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAMPYTQSLLLKREAIAYHAKASPPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAFLDDNLLDQLYRIGWKLVMLGDNTWIKLFPGLFSRQDGVSSFFVKDTVEVDYNVTRHLEAELAANDWSLLILHYLGLDHVGHIGGRQSKLMAPKLNEMDEVIKTIHTRLAQEQDNVDKRTLL >DRNTG_27809.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27261581:27262547:-1 gene:DRNTG_27809 transcript:DRNTG_27809.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:expansin A14 [Source:Projected from Arabidopsis thaliana (AT5G56320) TAIR;Acc:AT5G56320] MSRNWGQNWQSNSYLNGQALSFKVCTSDGACITSVNVAPSNWAFGQTYTGGQF >DRNTG_27809.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27261581:27262864:-1 gene:DRNTG_27809 transcript:DRNTG_27809.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:expansin A14 [Source:Projected from Arabidopsis thaliana (AT5G56320) TAIR;Acc:AT5G56320] MELPLLILLVIVFHLSRVSQVHGDSGWSSGHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGQSCGACFEIKCVNDDQWCLPGSIVVTGTNYCPPNNALPNNAGGWCNAPLQHFDLSQPVFQLIAKYKAGIVPVQYRRVACEKKGGIRFTINGHSYFNLVLITNVGGAGDVVSVSIKGSSNTDYQPMSRNWGQNWQSNSYLNGQALSFKVCTSDGACITSVNVAPSNWAFGQTYTGGQF >DRNTG_15494.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20892797:20897523:-1 gene:DRNTG_15494 transcript:DRNTG_15494.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit gamma [Source:Projected from Arabidopsis thaliana (AT5G26360) UniProtKB/TrEMBL;Acc:A0A178UHF4] MIELSRTQDEEVGDGTTSVIVLAGEMLHVAEAFIDKNYHPTVICRAYNKALEDAVAVLDKIAMPIDVNDRATMLGLVKSCIGTKFTGQFGDLISDLAIDSTTIVGVDLGQGLREVDIKKYIKVEKVPGGQLEDSKVLKGVMINKDVVAPGKMRRKILNPRIILLDCPLEYKKGENQTNAELVKEEDWEVLLKMEEEYIENLCMQILKFKPDLVITEKGLSDLACHYFSKAGVSAIRRLRKTDNNRIAKACGAVVVNRPEELQESDVGTGAGLFEIKKIGDEFFAFIVDCKEPKACTVLLRGASKDLLNEVERNLQDAMSVARNILKNPKLLPGGGATELTVSATLKQKSSSIEGIEKWPYEAAAVAFEAIPRTLAQNCGVNVIRTMTALQGKHANGENAWVGIDGNTGAIADMKEQKIWDSYSVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGAGPTPSKPKIEEEGDADNEQMIPE >DRNTG_10780.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000460.1:89605:97817:1 gene:DRNTG_10780 transcript:DRNTG_10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAHVLARKGIGAVGEGDVIDSEALVGTERGGDE >DRNTG_07265.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:508406:509896:1 gene:DRNTG_07265 transcript:DRNTG_07265.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYP111 [Source:Projected from Arabidopsis thaliana (AT1G08560) UniProtKB/TrEMBL;Acc:A0A178WAC8] MNNLMTKSFMSYVDLKKEALKDLEAGGGGEDEAAAIEMAATIGKVDANLGHFFEEAGLVKEEMASIRALLVNLQDANEESKSLHKPDSLRSHRNRINSDIVQVLRKAKAIRDRLEVMDRANAANRRLSGFREGTPIDRTRTSVTNGLRKKLRELMMDFQGLRQRMMAEYKETVERRYFTVAGEVPEEEVIEKIISEGASEELFSKAISEHGSGKVLETVHEIQDRHDAAKEIERSLLELHQVFLDMAVMVEAQGETMDDIEHHVTNAAQYVKDGAKELKSAKDYQRSSRKWLCIGLILLLIIILVIVVPVATSLSKS >DRNTG_12265.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24850072:24852222:-1 gene:DRNTG_12265 transcript:DRNTG_12265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKPGLRKPVFTKIDQLRPGTNGHTLTVKVVESKMVVQKGRPDGPHVRQMRIAECLVGDETGTIIFTARNEQVELLKTGATVILRNAKIDMFKGSMRLAVDKWGRVEVTDPAEFTVKEDNNLSLVEYELVNVVED >DRNTG_30743.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31722445:31725593:-1 gene:DRNTG_30743 transcript:DRNTG_30743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMVPDIAFGNHTRVVLDVGCGVASFGAFLLSRNVLTLSMAPKDVHENQIQFALERGVPAFIAAFSTRRLLYPSQAFDLIHCSRCRINWTRDDGILLLEVNRMLRAGGYFAWAAQPVYKHEASQQEAWKEMEELTGANLLGTCEKKRDILQYGGSLWITGATSIVILECNLHYVIQRMIQIVSG >DRNTG_29665.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001493.1:28378:46330:-1 gene:DRNTG_29665 transcript:DRNTG_29665.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEGLKLSLEKLDQFVNVHQHHNPYRINYHPNQRSYPNLLWDIDELQWEAPQEELQWDEKVEEDVLRLERVLPRFIEATDARFQNIEATLSCHDVSIKNIEHQLGEISDMLAKEKEEFEQARQVSRGHDEAMSTIEEVGQIEYIGAGNEKQEVEYHFEILDCVTEDCACERENFQGDLLVSCSSQVENTQEEAKPKVMEQAFLFGIDQLLQCKKETLGREEDVGRRLKPSNDPPVLSLDNSQPKLFPWRPKGFEGYWPRFWRGSTARTLSFLRKKVGRGASVEAYPIPDQGILGQ >DRNTG_27830.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19629830:19644572:1 gene:DRNTG_27830 transcript:DRNTG_27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLAEKYPMVVVDVVEEEPVEIEGVRIPVDTSKPNPNGLEFDNLYLDMNGIIHPCFHPEDRPAPTTYDEVFQCMFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLRQEFEREGRKLPPKQESQLYDTNVITPGTEFMAVLSIALQYYIHLRLNYDLGWKQVKVILSDANVPGEGEHKIMSYIRLQRNLPGYDPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQDKCFLCGQMGHLAADCQGNAKRKMGEFDEKGEDVSVVKKPYQFLNIWTLREYLDYEFRVPNPPFEVDFERIVDDFVFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFRVMGGYLTDSSKPNLRRVEHFIQAVGSYEDRIFQKRARLHQRQSERIKRGKTQAKRRDDVDPLVRPDLIVPVARFHGSRLASGPSPSPFQESGSSRRHRKAPCLSSEGATVGAALVEAENSLETEIHENKEELKSKLKELLREKSDLFNAEKPEEDKVKLGEPGWKERYYEEKVFCKNC >DRNTG_11878.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15253888:15256023:-1 gene:DRNTG_11878 transcript:DRNTG_11878.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLKSLVLLLSLPVMSGCQTLLLSLSLHCLDFFFSFGCFFLKKNTYLFLTDRVLIIE >DRNTG_25484.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1656076:1658567:1 gene:DRNTG_25484 transcript:DRNTG_25484.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative methylesterase 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G29770) UniProtKB/Swiss-Prot;Acc:Q9FW03] MEAFPSKIAKAVFVSAAMLQNSQNTLDMFSQQADADTLMRQAQIFLYANGNDHPPTAIDLSKELLKDLLFNQSPVKDIALASVSMRSIPFAPVLEKLSLTEKNYGSVRRFFIETTEDNAIPLSLQETMCRSNPPEKVFRLKGSDHSPFFSKPQALHKFLVEIAKIPQTLNTH >DRNTG_23881.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:64584:67631:-1 gene:DRNTG_23881 transcript:DRNTG_23881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRGKRVDVAKLLSLGDDLIGVLNNRKDGDNLMQSLEGMKTLQSSCEMDSREVQNLLDDYQKRVDECKGKIEKAKAESTADAEQEHLQNELEEKLQSERLLRQELR >DRNTG_23881.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:65662:67631:-1 gene:DRNTG_23881 transcript:DRNTG_23881.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENRGKRVDVAKLLSLGDDLIGVLNNRKDGDNLMQSLEGMKTLQSSCEMDSREVQNLLDDYQKRVDECKGKIEKAKAESTADAEQEHLQNELEEKLQSERLLRQE >DRNTG_23881.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:65662:67631:-1 gene:DRNTG_23881 transcript:DRNTG_23881.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENRGKRVDVAKLLSLGDDLIGVLNNRKDGDNLMQSLEGMKTLQSSCEMDSREVQNLLDDYQKRVDECKGKIEKAKAESTADAEQEHLQNELEEKLQSERLLRQE >DRNTG_23881.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:64584:65571:-1 gene:DRNTG_23881 transcript:DRNTG_23881.4 gene_biotype:protein_coding transcript_biotype:protein_coding TIISDELSALERQRVSIEKRKEMIKKEEKDSARARDLLSMCASVTSIIPTLDDQTKISGLVVDRDKKKVEKFEFEKTLPPLEVCNRLWKMAQ >DRNTG_23881.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:65662:66638:-1 gene:DRNTG_23881 transcript:DRNTG_23881.5 gene_biotype:protein_coding transcript_biotype:protein_coding MENRGKRVDVAKLLSLGDDLIGVLNNRKDGDNLMQSLEGMKTLQSSCEMDSREVQNLLDDYQKRVDECKGKIEKAKAESTADAEQEHLQNELEEKLQSERLLRQE >DRNTG_24247.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19929429:19932364:1 gene:DRNTG_24247 transcript:DRNTG_24247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITQGVDAVLTIVRAILSRCRHRHRQHVKEDSKVHLGLFKRFTLQELQVATDNFRETNIVDVNAFGKVYRGKLADNSLVAVKRLYEDRSHGQEEFQAVGDLINYICFHRNILRVIGFCMTSKERIFVYPFMANGNLKTCLRASKHLLNWPTRMQIALGAARGLSFLHERCDPKIIHGDLHSSNIFLDEEFEAVLGNFYLARQMGYKDDDGIIGSVRGTSGFIDPDYCLTGKLSEKCDVYGYGMTLLELITGLQSFTIVDEDVIFLKDRVEQLLKEKTLDNIVDPKLKNNYVKEEMESLIQIALLCTQGEPEHRPKMSEVVRMIQGSGPGREMGRARARLRNGSTWNFSLYF >DRNTG_24247.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19929429:19932364:1 gene:DRNTG_24247 transcript:DRNTG_24247.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITQGVDAVLTIVRAILSRFKEDSKVHLGLFKRFTLQELQVATDNFRETNIVDVNAFGKVYRGKLADNSLVAVKRLYEDRSHGQEEFQAVGDLINYICFHRNILRVIGFCMTSKERIFVYPFMANGNLKTCLRASKHLLNWPTRMQIALGAARGLSFLHERCDPKIIHGDLHSSNIFLDEEFEAVLGNFYLARQMGYKDDDGIIGSVRGTSGFIDPDYCLTGKLSEKCDVYGYGMTLLELITGLQSFTIVDEDVIFLKDRVEQLLKEKTLDNIVDPKLKNNYVKEEMESLIQIALLCTQGEPEHRPKMSEVVRMIQGSGPGREMGRARARLRNGSTWNFSLYF >DRNTG_24247.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19929429:19932364:1 gene:DRNTG_24247 transcript:DRNTG_24247.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILSKWLYNSNVNLSWAVKEDSKVHLGLFKRFTLQELQVATDNFRETNIVDVNAFGKVYRGKLADNSLVAVKRLYEDRSHGQEEFQAVGDLINYICFHRNILRVIGFCMTSKERIFVYPFMANGNLKTCLRASKHLLNWPTRMQIALGAARGLSFLHERCDPKIIHGDLHSSNIFLDEEFEAVLGNFYLARQMGYKDDDGIIGSVRGTSGFIDPDYCLTGKLSEKCDVYGYGMTLLELITGLQSFTIVDEDVIFLKDRVEQLLKEKTLDNIVDPKLKNNYVKEEMESLIQIALLCTQGEPEHRPKMSEVVRMIQGSGPGREMGRARARLRNGSTWNFSLYF >DRNTG_04310.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22008043:22009079:1 gene:DRNTG_04310 transcript:DRNTG_04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRVKKPERRTAVGQPTFGETSSKGDEVRDEDEDD >DRNTG_03623.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11593288:11595456:-1 gene:DRNTG_03623 transcript:DRNTG_03623.1 gene_biotype:protein_coding transcript_biotype:protein_coding EVLRRRDFNQGERAGGEVTPWCLSSPSL >DRNTG_05169.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:15165730:15167446:1 gene:DRNTG_05169 transcript:DRNTG_05169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTEARFAQNKKKLDEFGAILKNVQASIQSLENQVGQLARGQAERSLGSLPSNTECNPREQLQVVTLRSGRQLEAREKEIPSAPNDGVKEVLALNPLDEYLDEFPCQDAEEELPPPPTQQINQVEGNSKPWGLGDAIGDKFSNKAAFSPG >DRNTG_09725.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:11490069:11497709:1 gene:DRNTG_09725 transcript:DRNTG_09725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGSEEVFCGLLEVDFAEARVSLGVLEVSVAMVKPKDRKTKNKGKGQAIETSNIRSTRNSAYRPPLKVTSAIQSTMEPFVMTSSMGTPGNQASTNNDPLLETIVNETLELGSDGLSTVVEDVARQDIQRRTRGPTLGRITPQDVNRKRHLTILGDRVLERFYGFDIAHHLEEGY >DRNTG_14842.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23050415:23054458:-1 gene:DRNTG_14842 transcript:DRNTG_14842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDSEATQGEYTKDGSVNLKGKPILRSKSGGWTACTFVVVYEVFERMAYYGISSNLVLYLTNKLHQGTVTSANNVTNWVGTVWMTPMIGAYIADAHLGRYWTFIIASIIYLSGMCLLTLAVSLPSLKPPPCGANTSDPNCTEKASSLQLGVFFTALYILAIGTGGTKPNISTIGADQFDEFNEKERAHKLSFFNWWMFSIFLGTFFANTVLVYIQDNVGWTVGYALPTLGLLISIIIFVVGTPFYRHKLPSGSPFSKMAKVLLATLRKWRVQVPKDHKELHELNLEAYDTNGKFRIEHTPILRILDKAAVKTGPTSQWILCPVTQVEETKQMLRMIPILFTTFIPSTMIAQVNTLFTKQGTTLDRNIGPHFKIPAACLQAFVTISMLVSIVIYDRCFVPFMKRWTKNPRGITLLQRFGVGMVFHIILMTVASVTENRRLRTVKDHGLEGGGEVPLSIFILLPQFVLMGMADAFLEVAKIEFFYDQAPETMKSLGTSYSHASLGVGNFLSSYLLSTVSRVSKKNGHKGWILNNLNASHLDYYYAFFAILNLLNLLFFIILSRFYVYKVENTEKMDGFPSVEENKGDSMK >DRNTG_14842.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23050415:23051902:-1 gene:DRNTG_14842 transcript:DRNTG_14842.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMIPILFTTFIPSTMIAQVNTLFTKQGTTLDRNIGPHFKIPAACLQAFVTISMLVSIVIYDRCFVPFMKRWTKNPRGITLLQRFGVGMVFHIILMTVASVTENRRLRTVKDHGLEGGGEVPLSIFILLPQFVLMGMADAFLEVAKIEFFYDQAPETMKSLGTSYSHASLGVGNFLSSYLLSTVSRVSKKNGHKGWILNNLNASHLDYYYAFFAILNLLNLLFFIILSRFYVYKVENTEKMDGFPSVEENKGDSMK >DRNTG_14842.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23053673:23054458:-1 gene:DRNTG_14842 transcript:DRNTG_14842.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDSEATQGEYTKDGSVNLKGKPILRSKSGGWTACTFVVVYEVFERMAYYGISSNLVLYLTNKLHQGTVTSANNVTNWVGTVWMTPMIGAYIADAHLGRYWTFIIASIIYLSVIPMLTMIIYSM >DRNTG_21946.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2100642:2117578:1 gene:DRNTG_21946 transcript:DRNTG_21946.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC6 [Source:Projected from Arabidopsis thaliana (AT1G71820) UniProtKB/TrEMBL;Acc:F4IA34] MDDLGIEAKEAAVREVAKLLPLPELLSSISQIKSDYLSRQQANDAQLSTIVAEQVEQARAGIDALALAQNTINQLRDNFLSIEKLCQECQTLIENHDQIKVLSNARNNLNTTLKDVGGMMSISVEAAAARDSLSNDKELIHSYERLTALDGKRRFALAAAASHKEEVGRLREYFEDVDQTWETFEKTLWGHVANFFKLAKESPQTLVRALRVIEMQEILDQQLAEEAAEAEGGGTMASITNPRRSAKKATGAVASPRNVTPEKSKGQGKCYKDKCYEQIRITVEGRFNKLLTELVFEDLKAALEEARTIGNELPDVYDYVAPCFPPRYEIFQLMVNLYTERFIQMLRLLSDRANTLTNIEILKVTGWVVEYQDNLIALGVDDSLAQVCSESGAMDPLMNAYVERMQATTRKWYTNILEADKVQPPKKTEEGKLYTPAAVDLFRILGEQVQIVRENSTDVMLYRIALSVIQVMHDFQAAEKQRLEEPASEIGLEPLCAMINNNLRCYELSTELSNSTMEALPENYAEQVNFEDTCKGFLEVAKEGVHQTVSVIFEDPGVQELLAKLYQKDWLDGLVTEYLVATFGDYFTDVKMYIEERSFRRFVEACLEETVVVYIDHLLTQRNYMKEETIERMRLDEEVLLEFFRDYITVTKVESRVRILTDLRELASAESLDTFTLIYTNILEHQPDCPPEVVEKLVALREGIPRKDAKEVMQECKEIYENSLVDGNPQKTGFVFGKVKCLQAPKGIWRKLAQ >DRNTG_21946.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2100642:2110798:1 gene:DRNTG_21946 transcript:DRNTG_21946.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC6 [Source:Projected from Arabidopsis thaliana (AT1G71820) UniProtKB/TrEMBL;Acc:F4IA34] MDDLGIEAKEAAVREVAKLLPLPELLSSISQIKSDYLSRQQANDAQLSTIVAEQVEQARAGIDALALAQNTINQLRDNFLSIEKLCQECQTLIENHDQIKVLSNARNNLNTTLKDVGGMMSISVEAAAARDSLSNDKELIHSYERLTALDGKRRFALAAAASHKEEVGRLREYFEDVDQTWETFEKTLWGHVANFFKLAKESPQTLVRALRVIEMQEILDQQLAEEAAEAEGGGTMASITNPRRSAKKATGAVASPRNVTPEKSKGQGKCYKDKCYEQIRITVEGRFNKLLTELVFEDLKAALEEARTIGNELPDVYDYVAPCFPPRYEIFQLMVNLYTERFIQMLRLLSDRANTLTNIEILKVTGWVVEYQDNLIALGVDDSLAQVCSESGAMDPLMNAYVERMQATTRKWYTNILEADKVQPPKKTEEGKLYTPAAVDLFRILGEQVQIVRENSTDVMLYRIALSVIQARKYLCCNAYYIYYISTLL >DRNTG_21946.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2111040:2117578:1 gene:DRNTG_21946 transcript:DRNTG_21946.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC6 [Source:Projected from Arabidopsis thaliana (AT1G71820) UniProtKB/TrEMBL;Acc:F4IA34] MHDFQAAEKQRLEEPASEIGLEPLCAMINNNLRCYELSTELSNSTMEALPENYAEQVNFEDTCKGFLEVAKEGVHQTVSVIFEDPGVQELLAKLYQKDWLDGLVTEYLVATFGDYFTDVKMYIEERSFRRFVEACLEETVVVYIDHLLTQRNYMKEETIERMRLDEEVLLEFFRDYITVTKVESRVRILTDLRELASAESLDTFTLIYTNILEHQPDCPPEVVEKLVALREGIPRKDAKEVMQECKEIYENSLVDGNPQKTGFVFGKVKCLQAPKGIWRKLAQ >DRNTG_21946.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2100642:2110798:1 gene:DRNTG_21946 transcript:DRNTG_21946.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC6 [Source:Projected from Arabidopsis thaliana (AT1G71820) UniProtKB/TrEMBL;Acc:F4IA34] MDDLGIEAKEAAVREVAKLLPLPELLSSISQIKSDYLSRQQANDAQLSTIVAEQVEQARAGIDALALAQNTINQLRDNFLSIEKLCQECQTLIENHDQIKVLSNARNNLNTTLKDVGGMMSISVEAAAARDSLSNDKELIHSYERLTALDGKRRFALAAAASHKEEVGRLREYFEDVDQTWETFEKTLWGHVANFFKLAKESPQTLVRALRVIEMQEILDQQLAEEAAEAEGGGTMASITNPRRSANRKATGAVASPRNVTPEKSKGQGKCYKDKCYEQIRITVEGRFNKLLTELVFEDLKAALEEARTIGNELPDVYDYVAPCFPPRYEIFQLMVNLYTERFIQMLRLLSDRANTLTNIEILKVTGWVVEYQDNLIALGVDDSLAQVCSESGAMDPLMNAYVERMQATTRKWYTNILEADKVQPPKKTEEGKLYTPAAVDLFRILGEQVQIVRENSTDVMLYRIALSVIQARKYLCCNAYYIYYISTLL >DRNTG_07275.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10520009:10527326:1 gene:DRNTG_07275 transcript:DRNTG_07275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNQLHHWQLRFLTLSISREEIGLNDEVREFISVGVCPRPVKGLSTDMTDAERVPIHLGYIIANYLHHQSEYLCIGALFMGPYIIRFMFKLGLLRSVRRKERISTPAPLGLVTLCLMGIVRHAGSSGYALVKPSSEDNDGHIEAIESPPAAEPMVTKVAPVTSADPESSSTQVHQHLAQLEAVVATIIENQASGSSSIPLHNHGPNNLLLRPHERAQVHVIAYMIFLHRVILERSCTLHKENEHEDVTAFVPFQLANWLESSWMLAHSSTFMNSSHVLVLKLNKNF >DRNTG_22890.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1282930:1287226:-1 gene:DRNTG_22890 transcript:DRNTG_22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVAKKWIPELKHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPITTAQGEELKKVIGAPYYIECSSKTQQNVKAVFDAAIKVVLQPPKQKKKKRKAQKGCSIL >DRNTG_08076.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1834166:1840908:1 gene:DRNTG_08076 transcript:DRNTG_08076.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQRVVGFRALYNGSSREPCASWNLAIRRAADTGAPARVLELYRRMRAENVPPDGHTLPPVIKACAQLRDLSAGTELHRDAAQLGVLPNLIVSNSLISMYSKCGCFDLSNKVFDEMRERNVVSWSSMIGACAQHGRPTMALFLFKKMILERIRPNRPTFLNLIPCVSQVEDADELIRFIVEECLDSDLFIKNAAVLMYSRCGRIGIARRLFDGIENKDLFSWSAMIEAFTNADMFDETLKVLRQMMAQCVDPDYVTVLGIIGACSKSTLSSLRQAKFIHRFVVRHSFEQNLMVGTSLIDMYVKRGSLESARRVFDQMQERNLVTWSTMISGYGMHGKGEDALELFYHMKHFFRPDHIVFVSVLSACSHAGLIEGGWQCFNSMTREFGIVPRAEHYACMVDLLGRAGKLKEAREFIEQMPIKPDSSVWGSLLGACRIHPNVELAGLAARSLFELDSKNPGRYICLSNIYTSLGKIDEANQIRNLMRRRGVKKTDGYSVVEVKNKVYKFVVGDQMNPQSKLIYRELAILMDRIREEGYVPNTNFALHDVEEETKETSLYVHSEKLAIVFGLMNSAPDCDIRIHKNLRVCGDCHTASKFISKVTQRRIVMRDSHRFHHFSNGMCSCGDYW >DRNTG_08076.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1834166:1840908:1 gene:DRNTG_08076 transcript:DRNTG_08076.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQRVVGFRALYNGSSREPCASWNLAIRRAADTGAPARVLELYRRMRAENVPPDGHTLPPVIKACAQLRDLSAGTELHRDAAQLGVLPNLIVSNSLISMYSKCGCFDLSNKVFDEMRERNVVSWSSMIGACAQHGRPTMALFLFKKMILERIRPNRPTFLNLIPCVSQVEDADELIRFIVEECLDSDLFIKNAAVLMYSRCGRIGIARRLFDGIENKDLFSWSAMIEAFTNADMFDETLKVLRQMMAQCVDPDYVTVLGIIGACSKSTLSSLRQAKFIHRFVVRHSFEQNLMVGTSLIDMYVKRGSLESARRVFDQMQERNLVTWSTMISGYGMHGKGEDALELFYHMKHFFRPDHIVFVSVLSACSHAGLIEGGWQCFNSMTREFGIVPRAEHYACMVDLLGRAGKLKEAREFIEQMPIKPDSSVWGSLLGACRIHPNVELAGLAARSLFELDSKNPGRYICLSNIYTSLGKIDEANQIRNLMRRRGVKKTDGYSVVEVKNKVYKFVVGDQMNPQSKLIYRELAILMDRIREEGYVPNTNFALHDVEEETKETSLYVHSEKLAIVFGLMNSAPDCDIRIHKNLRVCGDCHTASKFISKVTQRRIVMRDSHRFHHFSNGMCSCGDYW >DRNTG_08076.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1838075:1840908:1 gene:DRNTG_08076 transcript:DRNTG_08076.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPALELKKSLHHSPEIILYRECMRNHAASIGGHAVDGCGEFMPTQSSPLCCAACGCHRNFHRRLSTSSTPVESSSGDDDEQDHPSAPHMLMALSAVAAPKKRFRSKFSPEQKERMLRLSERLGWRMQKKDDLVIEQSCKEIGVDKNVFKVWMHNNKHHFYEASRRTGEVATGGVGDVLNGSSSSS >DRNTG_08076.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1838075:1839290:1 gene:DRNTG_08076 transcript:DRNTG_08076.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDPALELKKSLHHSPEIILYRECMRNHAASIGGHAVDGCGEFMPTQSSPLCCAACGCHRNFHRRLSTSSTPVESSSGDDDEQDHPSAPHMLMALSAVAAPKKRFRSKFSPEQKERMLRLSERLGWRMQKKDDLVIEQSCKEIGVDKNVFKVWMHNNKHHFYEASRRTGEVATGGVGDVLNGSSSSS >DRNTG_08076.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1834166:1840908:1 gene:DRNTG_08076 transcript:DRNTG_08076.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQRVVGFRALYNGSSREPCASWNLAIRRAADTGAPARVLELYRRMRAENVPPDGHTLPPVIKACAQLRDLSAGTELHRDAAQLGVLPNLIVSNSLISMYSKCGCFDLSNKVFDEMRERNVVSWSSMIGACAQHGRPTMALFLFKKMILERIRPNRPTFLNLIPCVSQVEDADELIRFIVEECLDSDLFIKNAAVLMYSRCGRIGIARRLFDGIENKDLFSWSAMIEAFTNADMFDETLKVLRQMMAQCVDPDYVTVLGIIGACSKSTLSSLRQAKFIHRFVVRHSFEQNLMVGTSLIDMYVKRGSLESARRVFDQMQERNLVTWSTMISGYGMHGKGEDALELFYHMKHFFRPDHIVFVSVLSACSHAGLIEGGWQCFNSMTREFGIVPRAEHYACMVDLLGRAGKLKEAREFIEQMPIKPDSSVWGSLLGACRIHPNVELAGLAARSLFELDSKNPGRYICLSNIYTSLGKIDEANQIRNLMRRRGVKKTDGYSVVEVKNKVYKFVVGDQMNPQSKLIYRELAILMDRIREEGYVPNTNFALHDVEEETKETSLYVHSEKLAIVFGLMNSAPDCDIRIHKNLRVCGDCHTASKFISKVTQRRIVMRDSHRFHHFSNGMCSCGDYW >DRNTG_19274.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:457367:462887:1 gene:DRNTG_19274 transcript:DRNTG_19274.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQFDFVKEMPKPATQIRLDFSSLLSVLFFTWTLELLFPIMLTYLVYEKQHQLKLMMKMHGLGEGAYWTISYAYFLSLSLFYMLCFVAFGSVIGLKFFTLNYYSLQAVFYFVFINLQIALAFFLAAFFSDVKTATVIGYIYVFGSGLLGAFLLQPFIEDTSFSRNWVLIMEIVPGFSLYRGLYEFSQYSFIGNYMGASGMRWADLNDSDNGMNGILLIMSAEWIVLLLVAYHFDQVASLGVRIRKDPLFFLQHYWMPSTSHLKPSLQPETSKFYVAMERSDVSQERVRVKRLLQEPTAHAIICDNLIKVYRGRDGNPDKFAVRGLSLALPRGECFGMLGPNGAGKTSFINMMIGLTNPTSGTAYVQGLDIRWNMGKIYTSIGVCPQQE >DRNTG_19274.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:455754:462887:1 gene:DRNTG_19274 transcript:DRNTG_19274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFDFVKEMPKPATQIRLDFSSLLSVLFFTWTLELLFPIMLTYLVYEKQHQLKLMMKMHGLGEGAYWTISYAYFLSLSLFYMLCFVAFGSVIGLKFFTLNYYSLQAVFYFVFINLQIALAFFLAAFFSDVKTATVIGYIYVFGSGLLGAFLLQPFIEDTSFSRNWVLIMEIVPGFSLYRGLYEFSQYSFIGNYMGASGMRWADLNDSDNGMNGILLIMSAEWIVLLLVAYHFDQVASLGVRIRKDPLFFLQHYWMPSTSHLKPSLQPETSKFYVAMERSDVSQERVRVKRLLQEPTAHAIICDNLIKVYRGRDGNPDKFAVRGLSLALPRGECFGMLGPNGAGKTSFINMMIGLTNPTSGTAYVQGLDIRWNMGKIYTSIGVCPQQE >DRNTG_19274.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:461379:463011:1 gene:DRNTG_19274 transcript:DRNTG_19274.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRLSVAISLIGDPQVVYMDEPSTGLDPASRNNLWNVIKHAKQGRAILLTTHSMEEAEFLCDRLGIFVDGSLQCIGNPRELKARYGGSYIFTMTTSAEEEKEVESLVRQLSPSAIKIYHIPGTQKFELPKQEVRIADVFQAVDKAKKRLSIYAWGLADTTLEDVFIKVARGEESFTVLS >DRNTG_19274.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:455764:462887:1 gene:DRNTG_19274 transcript:DRNTG_19274.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQFDFVKEMPKPATQIRLDFSSLLSVLFFTWTLELLFPIMLTYLVYEKQHQLKLMMKMHGLGEGAYWTISYAYFLSLSLFYMLCFVAFGSVIGLKFFTLNYYSLQAVFYFVFINLQIALAFFLAAFFSDVKTATVIGYIYVFGSGLLGAFLLQPFIEDTSFSRNWVLIMEIVPGFSLYRGLYEFSQYSFIGNYMGASGMRWADLNDSDNGMNGILLIMSAEWIVLLLVAYHFDQVASLGVRIRKDPLFFLQHYWMPSTSHLKPSLQPETSKFYVAMERSDVSQERVRVKRLLQEPTAHAIICDNLIKVYRGRDGNPDKFAVRGLSLALPRGECFGMLGPNGAGKTSFINMMIGLTNPTSGTAYVQGLDIRWNMGKIYTSIGVCPQQDLLWETLTGREHLMFYGRLKNLEGDALMQAVEKSLKSVNLFYGGVADKQARKYSGGMKRRLSVAISLIGDPQVVYMDEPSTGLDPASRNNLWNVIKHAKQGRAILLTTHSMEEAEFLCDRLGIFVDGSLQCIGNPRELKARYGGSYIFTMTTSAEEEKEVESLVRQLSPSAIKIYHIPGTQKFELPKQEVRIADVFQAVDKAKKRLSIYAWGLADTTLEDVFIKVARGEESFTVLS >DRNTG_19274.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:455764:462887:1 gene:DRNTG_19274 transcript:DRNTG_19274.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMAATSRGAPSAFTQANALLRKNLIFQKRNLKTNIGVVVFPVILCVLLVVLQNMVNHELEKPKNRCGCRCVGVRADGTCEAVCGIEYSTLIQAATCPIPSPPAWPPLLQVPPPGFLATRGASTPFADLPDESCKATHSCFATVLITGLDRTVAESLSGNFFTAVNYSSSNFSDFLSSLSDFVLGTDTHPKYVNFIEPAFVSNHPLYVLQPLCNSNLSLVVPVQLGSVTVAQEVKCVQGLSLWRDSSSAVNYELFKGYQQGNTEKKINSIVTAYDFLNTNVTNFNVSIWYNSTYHDSTAVALKPLVRVKRAINLVSNAYLQWLGGTGVKMQFDFVKEMPKPATQIRLDFSSLLSVLFFTWTLELLFPIMLTYLVYEKQHQLKLMMKMHGLGEGAYWTISYAYFLSLSLFYMLCFVAFGSVIGLKFFTLNYYSLQAVFYFVFINLQIALAFFLAAFFSDVKTATVIGYIYVFGSGLLGAFLLQPFIEDTSFSRNWVLIMEIVPGFSLYRGLYEFSQYSFIGNYMGASGMRWADLNDSDNGMNGILLIMSAEWIVLLLVAYHFDQVASLGVRIRKDPLFFLQHYWMPSTSHLKPSLQPETSKFYVAMERSDVSQERVRVKRLLQEPTAHAIICDNLIKVYRGRDGNPDKFAVRGLSLALPRGECFGMLGPNGAGKTSFINMMIGLTNPTSGTAYVQGLDIRWNMGKIYTSIGVCPQQDLLWETLTGREHLMFYGRLKNLEGDALMQAVEKSLKSVNLFYGGVADKQARKYSGGMKRRLSVAISLIGDPQVVYMDEPSTGLDPASRNNLWNVIKHAKQGRAILLTTHSMEEAEFLCDRLGIFVDGSLQCIGNPRELKARYGGSYIFTMTTSAEEEKEVESLVRQLSPSAIKIYHIPGTQKFELPKQEVRIADVFQAVDKAKKRLSIYAWGLADTTLEDVFIKVARGEESFTVLS >DRNTG_19274.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:458935:462887:1 gene:DRNTG_19274 transcript:DRNTG_19274.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGRLKNLEGDALMQAVEKSLKSVNLFYGGVADKQARKYSGGMKRRLSVAISLIGDPQVVYMDEPSTGLDPASRNNLWNVIKHAKQGRAILLTTHSMEEAEFLCDRLGIFVDGSLQCIGNPRELKARYGGSYIFTMTTSAEEEKEVESLVRQLSPSAIKIYHIPGTQKFELPKQEVRIADVFQAVDKAKKRLSIYAWGLADTTLEDVFIKVARGEESFTVLS >DRNTG_19274.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:455764:462887:1 gene:DRNTG_19274 transcript:DRNTG_19274.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYLVYEKQHQLKLMMKMHGLGEGAYWTISYAYFLSLSLFYMLCFVAFGSVIGLKFFTLNYYSLQAVFYFVFINLQIALAFFLAAFFSDVKTATVIGYIYVFGSGLLGAFLLQPFIEDTSFSRNWVLIMEIVPGFSLYRGLYEFSQYSFIGNYMGASGMRWADLNDSDNGMNGILLIMSAEWIVLLLVAYHFDQVASLGVRIRKDPLFFLQHYWMPSTSHLKPSLQPETSKFYVAMERSDVSQERVRVKRLLQEPTAHAIICDNLIKVYRGRDGNPDKFAVRGLSLALPRGECFGMLGPNGAGKTSFINMMIGLTNPTSGTAYVQGLDIRWNMGKIYTSIGVCPQQE >DRNTG_32238.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9981604:10010672:1 gene:DRNTG_32238 transcript:DRNTG_32238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPPRSSHPRRPSSPSPTASDTPMANGDQFMAEVPASVDKDVDFANYFCTYAFLYHQKEMLSDRVRMDAYYNAVFRNLTHFRDKVFL >DRNTG_18790.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:312841:316689:1 gene:DRNTG_18790 transcript:DRNTG_18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDLADDLKSMSFDSSDRSGSGTMSAGSSSGSGSSISTAKPHKPDDPSLKAIRRQRSADTGNDSISFNDLRFLKRLGSGDIGSVYLAELKGGEGRERCLMAAKVMDKKELEGRNKEGRARTEKEILELLDHPFLPRLYGCAENERWSCLLTEFCPGGDLHVLRQRQPAKRFDESAVRFYASEVMVALEYIHMLGIVYRDLKPENVLVRSDGHIMLTDFDLSLKCEGPTNTPAQIITDKNPLILSSHSAATDPPPFAAASCILPACMVPAVSCLHPKRRRKKRPGRRGPELEFVAEPVDLRSMSFVGTHEYLAPEIVSGEGHGSTVDWWTLGILIYELLYGFTPFKGGDNELTLANIVARALDFPKDPPVSVTTKDLITGLLSKDPSKRLGSTMGATALKRHPFFNSVNWALLRCTSPPYIPPSFSSSSNSHDVSDDSGPDDSLVDYY >DRNTG_18790.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:312483:316055:1 gene:DRNTG_18790 transcript:DRNTG_18790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLVAGPSPRAIPSKPPPPPLLSLSTESQPPNSISPSRPRLPAMPDDLADDLKSMSFDSSDRSGSGTMSAGSSSGSGSSISTAKPHKPDDPSLKAIRRQRSADTGNDSISFNDLRFLKRLGSGDIGSVYLAELKGGEGRERCLMAAKVMDKKELEGRNKEGRARTEKEILELLDHPFLPRLYGCAENERWSCLLTEFCPGGDLHVLRQRQPAKRFDESAVRFYASEVMVALEYIHMLGIVYRDLKPENVLVRSDGHIMLTDFDLSLKCEGPTNTPAQIITDKNPLILSSHSAATDPPPFAAASCILPACMVPAVSCLHPKRRRKKRPGRRGPELEFVAEPVDLRSMSFVGTHEYLAPEIVSGEGHGSTVDWWTLGILIYELLYGFTPFKGGDNELTLANIVARALDFPKDPPVSVTTKDLITGLLSKDPSKRLGSTMGATALKRHPFFNSVNWALLRCTSPPYIPPSFSSSSNSHDVSDDSGPDDSLVDYY >DRNTG_18790.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:312841:315822:1 gene:DRNTG_18790 transcript:DRNTG_18790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDLADDLKSMSFDSSDRSGSGTMSAGSSSGSGSSISTAKPHKPDDPSLKAIRRQRSADTGNDSISFNDLRFLKRLGSGDIGSVYLAELKGGEGRERCLMAAKVMDKKELEGRNKEGRARTEKEILELLDHPFLPRLYGCAENERWSCLLTEFCPGGDLHVLRQRQPAKRFDESAVRFYASEVMVALEYIHMLGIVYRDLKPENVLVRSDGHIMLTDFDLSLKCEGPTNTPAQIITDKNPLILSSHSAATDPPPFAAASCILPACMVPAVSCLHPKRRRKKRPGRRGPELEFVAEPVDLRSMSFVGTHEYLAPEIVSGEGHGSTVDWWTLGILIYELLYGFTPFKGGDNELTLANIVARALDFPKDPPVSVTTKDLITGLLSKDPSKRLGSTMGATALKRHPFFNSVNWALLRCTSPPYIPPSFSSSSNSHDVSDDSGPDDSLVDYY >DRNTG_18790.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:312841:316055:1 gene:DRNTG_18790 transcript:DRNTG_18790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDLADDLKSMSFDSSDRSGSGTMSAGSSSGSGSSISTAKPHKPDDPSLKAIRRQRSADTGNDSISFNDLRFLKRLGSGDIGSVYLAELKGGEGRERCLMAAKVMDKKELEGRNKEGRARTEKEILELLDHPFLPRLYGCAENERWSCLLTEFCPGGDLHVLRQRQPAKRFDESAVRFYASEVMVALEYIHMLGIVYRDLKPENVLVRSDGHIMLTDFDLSLKCEGPTNTPAQIITDKNPLILSSHSAATDPPPFAAASCILPACMVPAVSCLHPKRRRKKRPGRRGPELEFVAEPVDLRSMSFVGTHEYLAPEIVSGEGHGSTVDWWTLGILIYELLYGFTPFKGGDNELTLANIVARALDFPKDPPVSVTTKDLITGLLSKDPSKRLGSTMGATALKRHPFFNSVNWALLRCTSPPYIPPSFSSSSNSHDVSDDSGPDDSLVDYY >DRNTG_17547.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29095745:29099312:1 gene:DRNTG_17547 transcript:DRNTG_17547.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGPSTDVVSTGELDLNASAVAEPGMEVSDGVGIGNGVEAREDGGLFEEKDLRVENDVGVKNTIGGSGSNGVVGKKVVDLERTLEDDDLGEDTGDRMVDEERKLEDGGMRIEEEADDKNELVAQMQSAEEIIGEETKLCDDQDEDLGNKAENQMEDAAIDAGGDGDGKVPVEEQQQSQTVRKRGRKRAPGILSFQDVEFPRYKWSSAEEKVGLSVPDLVWGKVRSHPWWPGQIFDALDASDMALKHNKKDNHLVAYFGDKTFAWCDESQLKPFLPHFSQMEKQSNLDAFVDAVDCVLEEVSRRTELGMMCNCIPEEAYYTLKYQTVDNAGIREGTYSAAADRFLNASSFRPDRLLEYIGALAHSPYSGADYLDLVIAKAQLKAFYQSKGYPELPQFLPGGTLVENDAEILPSQSEISEEDETKLTLSKMISGKRKLKVRRSLLSNRRHVKEEGRKKKSLSELMEVTLNDAIGSQSWGKAYGQSELVPFDKHWKGLTADSAGPGKNKKKRLDALGDLDTEPLSPTSSKPLKVGERISRIASQIACSPSIFEQDDGISKKGVGKSGKRKGITIVSDALPHSLVGSRRKKGNVPKDNSSPSEMLSQLCLVARDPMKEHGFESAVVSFFTGVRGMVSSSSMEVRNHMGQKERKRGRKKKSANSEPSPSDPSNSEYKLDSYWSDIMFEDSQEKSVQTNRQKRQADSQGAVA >DRNTG_17547.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29095745:29099312:1 gene:DRNTG_17547 transcript:DRNTG_17547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGPSTDVVSTGELDLNASAVAEPGMEVSDGVGIGNGVEAREDGGLFEEKDLRVENDVGVKNTIGGSGSNGVVGKKVVDLERTLEDDDLGEDTGDRMVDEERKLEDGGMRIEEEADDKNELVAQMQSAEEIIGEETKLCDDQDEDLGNKAENQMEDAAIDAGGDGDGKVPVEEQQQSQTVRKRGRKRAPGILSFQDVEFPRYKWSSAEEKVGLSVPDLVWGKVRSHPWWPGQIFDALDASDMALKHNKKDNHLVAYFGDKTFAWCDESQLKPFLPHFSQMEKQSNLDAFVDAVDCVLEEVSRRTELGMMCNCIPEEAYYTLKYQTVDNAGIREGTYSAAADRFLNASSFRPDRLLEYIGALAHSPYSGADYLDLVIAKAQLKAFYQSKGYPELPQFLPGGTLVENDAEILPSQSEISEEDETKLTLSKMISGKRKLKVRRSLLSNRRHVKEEGRKKKSLSELMEVTLNDAIGSQSWGKAYGQSELVPFDKHWKGLTADSAGPGKNKKKRLDALGDLDTEPLSPTSSKPLKVGERISRIASQIACSPSIFEQDDGISKKGVGKSGKRKGITIVSDALPHSLVGSRRKKGNVPKDNSSPSEMLSQLCLVARDPMKEHGFESAVVSFFTGVRGMVSSSSMEVRNHMGQKERKRGRKKKSANSEPSPSDPSNSEYKLDSYWSDIMFEDSQEKSVQTNRQKRQADSQGAVA >DRNTG_00896.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21691261:21694210:-1 gene:DRNTG_00896 transcript:DRNTG_00896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSLLLLFFFFSFVFLACADDPYRYFTWVVTYGTIYPLGTPQQGILINGQFPGPRLDCVTNDNLIVNVINKLDEPFLITWNGIKQRKNSWQDGVLGTNCPIPPGGNYTYKFQSKDQIGTYMYFPSTAMHRAVGGFGALNVYKRAPIPLPYDPPAGDFTMLIGDWYNATHKALRQTLDAGFALKNPDALLINGVTKSTSFTGDQGKTYLFRVSNIGLLNSINFRIQGHKLRVVEVEGSHVIQNFYDNLDIHVGQSIAFLVTLDQAPKDYYIVASTRYTRKFLTASGVLHYSNSKTPVSGPMPNPTLGLYGSMQQARTFRWNLTANAARPNPQGSYHYGNITRTRQLVFASSAPIVNSKQRYAVNGVTYVVPDTPPKLADNFNIAGVFSVDNIPSNIPSGQAPVVFATSVLRFNLHDFIEIVFQNTENTMQSWHLDGYDFWVVGYGAGTWEPKHRGTYNLVDATTRHTVQVYPYGWSAILVSLDNQGMWNIRSAMWSRQYLGQQFYIRVWTAEHSYSSEYDMPHNALQCGKARGLH >DRNTG_20482.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2057219:2059214:1 gene:DRNTG_20482 transcript:DRNTG_20482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQTTIINDANNSTTTTPPHVVLVPLFAQGHIIPMLDMARLLAQRGVLVTFITTPVNASRIKPIIARVHESKLPINFIEIPFPCAEAGIPLGCENFDLLPSPELFLNFFDAIRLFSHPLEQRLRDLVPLPTCMINDMWNPWTANVARSLNIRRLVFHGPSCAFIYCSYVFQLHKIYETVTDEFEDITVPGLTDDHDDDVGQSFKVSKAHSSGWSNMPGFEKIRHEVLHAAETVDGVVMNTLDDVELMFVEAYKKVVGKDVWTVGPLCLYDKDDDFSARIVRGNKTAVDQEKLFGWLDSMEENSVLYVSFGTLTQMKVGETLEIGSGLEASGVPFIWVIKDVEKSPAVEEWLEGFEKRMSLRSIVIKGWAPTSCNTLT >DRNTG_27262.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:764777:765627:1 gene:DRNTG_27262 transcript:DRNTG_27262.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFRKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHRLRNKFHRPILNLDRIWSLVPEDVAAKADAASGSAPDGGCLPVWLLQGAGKGEAAGG >DRNTG_27262.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:764777:765506:1 gene:DRNTG_27262 transcript:DRNTG_27262.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFRKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHRLRNKFHRPILNLDRIWSLVPEDVAAKADAASGSAPDGGCLPVWLLQGAGKGEAAGG >DRNTG_27262.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:764685:765554:1 gene:DRNTG_27262 transcript:DRNTG_27262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFRKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHRLRNKFHRPILNLDRIWSLVPEDVAAKADAASGSAPDGGCLPVWLLQGAGKGEAAGG >DRNTG_27262.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:764685:765506:1 gene:DRNTG_27262 transcript:DRNTG_27262.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFRKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHRLRNKFHRPILNLDRIWSLVPEDVAAKADAASGSAPDGGCLPVWLLQGAGKGEAAGG >DRNTG_27262.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:764777:765554:1 gene:DRNTG_27262 transcript:DRNTG_27262.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFRKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHRLRNKFHRPILNLDRIWSLVPEDVAAKADAASGSAPDGGCLPVWLLQGAGKGEAAGG >DRNTG_14640.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000730.1:17601:19089:-1 gene:DRNTG_14640 transcript:DRNTG_14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNSTNGGRIYHIGGKRDAPILSTHPLHPLQPSFV >DRNTG_12326.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4199227:4200116:-1 gene:DRNTG_12326 transcript:DRNTG_12326.10 gene_biotype:protein_coding transcript_biotype:protein_coding MASKMINSILFTCSALAALLLFVLNSQTCIAARLTQSEMSTSTSAEFIRKSCTKTDYPTLCFSSLSSYAPIIQTSTEQLAKAALSVSLDSTRSTSTMIRSTSKSRGMSSREEEAMSDCMETLQDSVEELKQSLHEMAKLRGKDVKLHMNDIQTWVSAALTDENTCINEFTSDGNKNGGAENKVRSQVVKVAQLTSNALALINGMAGVQSSYP >DRNTG_12326.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4217752:4221826:-1 gene:DRNTG_12326 transcript:DRNTG_12326.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASKMITNAMLTCCVLSGLLLFVLNSNTCNAARLTQSEMSTSTSVEFIRNSCTKTDYPTLCFSSLSAYAPTIQTSPKQLAEAALSVSLDSTKSTSTMIKSMSKGQGMSSREKEAMSDCMDTLQDSVEELKQSLKAMGELKGKDVKLHMNDIQTWVSSALTDENTCMNGFTNNEIKDEGAENKVRSQVVKVAQLTSNALALINGMAGAQSSAP >DRNTG_12326.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4220902:4221826:-1 gene:DRNTG_12326 transcript:DRNTG_12326.9 gene_biotype:protein_coding transcript_biotype:protein_coding MASKMITNAMLTCCVLSGLLLFVLNSNTCNAARLTQSEMSTSTSVEFIRNSCTKTDYPTLCFSSLSAYAPTIQTSPKQLAEAALSVSLDSTKSTSTMIKSMSKGQGMSSREKEAMSDCMDTLQDSVEELKQSLKAMGELKGKDVKLHMNDIQTWVSSALTDENTCMNGFTNNEIKDEGAENKVRSQVVKVAQLTSNALALINGMAGAQSSAP >DRNTG_12326.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4212420:4218705:-1 gene:DRNTG_12326 transcript:DRNTG_12326.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRKQEFLKKMASKMISSGMFTCNVLAVLLLFVFNSNTCNAARLPKSGMSTSTSVEFIRNSCTKTDYPTLCFSSLSAYAPTIQTSPKQLAEAALSVSLDNTRSTSAMIRSMSKGRGTSSREKEAMSDCMETLEDSVEELKQSLQAMGELRGKDVKLHMNDIQTWVSAALTDENTCMNGFTNNEIKDEGAENKVRSQVVKVAQLTSNALALINGMAGAQSSAP >DRNTG_12326.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4212420:4221826:-1 gene:DRNTG_12326 transcript:DRNTG_12326.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASKMITNAMLTCCVLSGLLLFVLNSNTCNAARLTQSEMSTSTSVEFIRNSCTKTDYPTLCFSSLSAYAPTIQTSPKQLAEAALSVSLDSTKSTSTMIKSMSKGQGMSSREKEAMSDCMDTLQDSVEELKQSLKAMGELKGKDVKLHMNDIQTWVSAALTDENTCMNGFTNNEIKDEGAENKVRSQVVKVAQLTSNALALINGMAGAQSSAP >DRNTG_12326.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4199227:4218705:-1 gene:DRNTG_12326 transcript:DRNTG_12326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRKQEFLKKMASKMISSGMFTCNVLAVLLLFVFNSNTCNAARLPKSGMSTSTSVEFIRNSCTKTDYPTLCFSSLSAYAPTIQTSPKQLAEAALSVSLDNTRSTSAMIRSMSKGRGTSSREKEAMSDCMETLEDSVEELKQSLQAMGELRGKDVKLHMNDIQTWVSAALTDENTCINEFTSDGNKNGGAENKVRSQVVKVAQLTSNALALINGMAGVQSSYP >DRNTG_12326.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4217752:4221826:-1 gene:DRNTG_12326 transcript:DRNTG_12326.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASKMITNAMLTCCVLSGLLLFVLNSNTCNAARLTQSEMSTSTSVEFIRNSCTKTDYPTLCFSSLSAYAPTIQTSPKQLAEAALSVSLDSTKSTSTMIKSMSKGQGMSSREKEAMSDCMDTLQDSVEELKQSLKAMGELKGKDVKLHMNDIQTWVSSALTDENTCMNGFTNNEIKDEGAENKVRSQVVKVAQLTSNALALINGMAGAQSSAP >DRNTG_12326.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4212420:4221826:-1 gene:DRNTG_12326 transcript:DRNTG_12326.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASKMITNAMLTCCVLSGLLLFVLNSNTCNAARLTQSEMSTSTSVEFIRNSCTKTDYPTLCFSSLSAYAPTIQTSPKQLAEAALSVSLDSTKSTSTMIKSMSKGQGMSSREKEAMSDCMDTLQDSVEELKQSLKAMGELKGKDVKLHMNDIQTWVSSALTDENTCMNGFTNNEIKDEGAENKVRSQVMKVLRIR >DRNTG_12326.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4199227:4206582:-1 gene:DRNTG_12326 transcript:DRNTG_12326.5 gene_biotype:protein_coding transcript_biotype:protein_coding METLQDSVEELKQSLQAMGELRGKDVKFHMSDIQTWVSAALTDENTCMNGFTNNEIKDEGAENKVRSQVVKVAQLTSNALALINGMAGVQSSYP >DRNTG_12326.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4212420:4221826:-1 gene:DRNTG_12326 transcript:DRNTG_12326.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKMITNAMLTCCVLSGLLLFVLNSNTCNAARLTQSEMSTSTSVEFIRNSCTKTDYPTLCFSSLSAYAPTIQTSPKQLAEAALSVSLDSTKSTSTMIKSMSKGQGMSSREKEAMSDCMDTLQDSVEELKQSLKAMGELKGKDVKLHMNDIQTWVSSALTDENTCMNGFTNNEIKDEGAENKVRSQVVKVAQLTSNALALINGMAGAQSSAP >DRNTG_31965.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001767.1:10663:12119:1 gene:DRNTG_31965 transcript:DRNTG_31965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYNIEITLDLKKEDMTSFSSRFASNIELRVLALLPLCLCFVP >DRNTG_12751.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25856051:25859076:1 gene:DRNTG_12751 transcript:DRNTG_12751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRALARHLPARPRPLPRPSLCSSMQQLRWARANSSRGKVPFSFTKGAAGVEEKSEWWVVDGEMHEIGDHVPHRERFVIPRDNLPNRRRKQMRDQFMRRTRLVLKESEHEPWCKRYMELYQELRENWERLYWDEGFAKKIAHDHANYDSAEDDDLDFSPYRRRRSHEDQNKDQIFDGSKQTNTWDKIGLVRDKFEYDRERRMREKAFAPMNRISNSDIRDSSSRHSFGLQKFFPQTRN >DRNTG_21611.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1250716:1252542:-1 gene:DRNTG_21611 transcript:DRNTG_21611.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSASSSAPAYPSAARISDSPCFPQYSASLRCLEESNQDKSKCQQQFDDYKECRKKEREARLERNRKKSFF >DRNTG_18191.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4676778:4677056:-1 gene:DRNTG_18191 transcript:DRNTG_18191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEIIIEGFPLLPQIRTSSVSNDEECATPKTEEHVLKPTLVCPPPPRKSITAKRRRRATHRSFFSIPRDLSPVFLAFPLTLEKKIKKLRVV >DRNTG_05246.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12968325:12968809:1 gene:DRNTG_05246 transcript:DRNTG_05246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLYITKEAKFYDRGEVLNKQLEILLDLKRVLHDHSRQHRSNRSFNGVSFSRLTPKSSSSESPTENKTLVEEDGSPVGDQMVVALERNGISFIWAPRAKMKKSGKLRAASMRIDIPATSPRRTVTVATSMI >DRNTG_07280.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000331.1:41743:43054:1 gene:DRNTG_07280 transcript:DRNTG_07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVTVTRRNGLAMGILAMLAVLLMTSASATSSKGSAVFEEDTREAAEEAGEESWTSWAKEKISEGLGLNQREEEEEKAAKTATDEVTFDTTRYATEKAGEATDAYNQQSSAAGENAKQAADKAQEIAYDKAKKLKEKVAEGKEAAEGTTENVREETKDTADVAKDAAGSLTEAAQKAKKETREVAKDAAGRVTEAAEKAANEAKQKAIEAGEQLHHAKDKAETKSQEKAGVAKAKAGESWMVQRRP >DRNTG_15580.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7686210:7689554:1 gene:DRNTG_15580 transcript:DRNTG_15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPASSPRDQVSLSDADLGATSMVMVRITQPRDTVDSSNEIPKNSPISILPHEKYRHNKILIIRILDRGEPKPGQDLLISTGASFWFR >DRNTG_11057.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20044006:20044922:-1 gene:DRNTG_11057 transcript:DRNTG_11057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLQVETPRNRGNRLMQRWHFKSTNTFLCRIWSSWLVHQSSEPLQLAPKEVVKIHLDIPDETFMNHLPKFDVVILSSGHWFAKQSAYVLNGNIVGGQLWWPKGAGKMQINNIKAFGISVETTLTAIAAHPNYTGLVIVRSFSPDHYEGGAWNTGGSCTGKTGPADKFERNGFTDIMHEKQVAGFRNAVKKARPGSKLRLMDITEAFSYRHDGHPGPYRSPDPNKITKRGPNGEPPPQDCLHWCMPGPVDTWNEILVEMIRREFEAP >DRNTG_04894.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11416237:11416821:1 gene:DRNTG_04894 transcript:DRNTG_04894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQGDGSLDDMSKYDDKLEYVVNKEKGFMVAQLRNMNELRGELSIADLKNIDDKEEAAKAKLKEKCHIKQLGLHWDFRVDDWGHDVQEVLEGLEPHPNLDIQEEVLEGLEPHPNLDVQETKKKATVSFTSMPTSSSSSSSSSSSSSPTIPRHRKLFLPLQKLTVKECAGVNGLHWPIRSPMKKLLISNSPGLDD >DRNTG_22796.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3865660:3867989:-1 gene:DRNTG_22796 transcript:DRNTG_22796.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVLGKGSSKTVYRAFDEYEGIEVAWNQVKLSHFLQSPDDLERLYCEIHLLKTLKHKSIMKFFTSWVDVSKGNINFVTEMFTSGTLRQFRQKHKRVNIRAVKHWCRQILNGLLYLHSHDPPIIHRDLKCDNIFINGNQGEVKIGDLGLAAVLRKSHAVHCVGTPEFMAPEVYEEEYNELVDIYSFGMCLLEMVTFEYPYSECTHPAQIYKKVVSGTKPESLYRVKDVEVREFIEKCLVSASHRLSARELLNDPFLENEVCVNGGDVFQMTSIVRPPLNDFLRSDCSLIENGFQYGTEYELDDVETFGINLFSGQEDEPFVNVDITIKGRMKDDGSIFLRLKVADKEGKVRNIYFLFDIENDTALSVAMEMVAELGITEHDVTRIAEMIDGEVSCLVPGWKPGPGIEETSRPSCCTSLCINSQCAGVHGRFEEITYQVEDSNNFVTAHSLSTDSQTSSKSCSENLNESLKAMFSQFSSEITENHCENSSEAMFTAKSFYAKSLLPISHMRTRSLPVNAINV >DRNTG_22796.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3865660:3867989:-1 gene:DRNTG_22796 transcript:DRNTG_22796.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRIMDENSTEFVELDPTGRYGRYSEVLGKGSSKTVYRAFDEYEGIEVAWNQVKLSHFLQSPDDLERLYCEIHLLKTLKHKSIMKFFTSWVDVSKGNINFVTEMFTSGTLRQFRQKHKRVNIRAVKHWCRQILNGLLYLHSHDPPIIHRDLKCDNIFINGNQGEVKIGDLGLAAVLRKSHAVHCVGTPEFMAPEVYEEEYNELVDIYSFGMCLLEMVTFEYPYSECTHPAQIYKKVVSGTKPESLYRVKDVEVREFIEKCLVSASHRLSARELLNDPFLENEVCVNGGDVFQMTSIVRPPLNDFLRSDCSLIENGFQYGTEYELDDVETFGINLFSGQEDEPFVNVDITIKGRMKDDGSIFLRLKVADKEGKVRNIYFLFDIENDTALSVAMEMVAELGITEHDVTRIAEMIDGEVSCLVPGWKPGPGIEETSRPSCCTSLCINSQCAGVHGRFEEITYQVEDSNNFVTAHSLSTDSQTSSKSCSENLNESLKAMFSQFSSEITENHCENSSEAMFTAKSFYAKSLLPISHMRTRSLPVNAINV >DRNTG_11005.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18822774:18823333:-1 gene:DRNTG_11005 transcript:DRNTG_11005.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAGGEEEENQSTHFPKAPSSSQSHAASTPPRDHCCKFSVKD >DRNTG_10857.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32098232:32101094:1 gene:DRNTG_10857 transcript:DRNTG_10857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFSLRSGKIFVFVLWILLSMNEFMLFSAKVFNVKKFGAVGDGKTDSTKAFEIAWKKACKNRGKPRVVIPEGVFLIRPLVLRGPCKSHKMHVHVEGVVKAPINITVFKNKKEWILFQHVERVIVSGYGKFDGRGAFAWPLNQCTKQKHCNFLPSSIKFNYVTNGTIQGISSVNSKSFHLSIHNSKHIKLHDIKISAPEDSPNTDGIHITDSSNVTITRSIIGTGDDCISIGPGSRRVLISGVFCGPGHGISVGSLGRYENERDVVGLRVRNCTLTGTRNGVRVKTWPASPKSSASHLVFEDILMNNVHNPIFIDQNYSPYGPSKKQRPSEVQIRKVRFDKIRGTSASKVAVRLMCSKAVPCQDVELSDIDLRYHELGQSTLASCANVHGVSKGLLKPPPCF >DRNTG_00232.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12996706:12998383:-1 gene:DRNTG_00232 transcript:DRNTG_00232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTFTLVMQLLNNVMIPQGLKIAQHKIRRDEKHHGKERKSATYKLQESFDRLISGMDNMSRSSSSKAEDEDLYSIGKCVDLLDMMPEVERGSPEYYLMVRMFARKTYRETFVHLMNRDPSLAKGWLNTFNMDNIDRF >DRNTG_25540.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3003505:3004508:-1 gene:DRNTG_25540 transcript:DRNTG_25540.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPTTAVFTRRSSYRNAHGQNSYMRGRRRSSRNTSFGGGSDDDEEDVNENDGGKDSTSAEEHSPDLRSKRCKRWTGPRSSPARTSANTDVGSEDNEDQEGSRINLGTSPLRAGTREILAWGKGGTRSQNRHGSAGGSNGRFVKGGRMSKMVEFLRNLDEKDDEFDLQLTLLPLDESLVPHLERPYICCRPTLSIRHLCHFIALEISAQAEEVEISVKKPENGGSASEEFQVIGSHETVSELHASFSSHHGDL >DRNTG_25540.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3003188:3008699:-1 gene:DRNTG_25540 transcript:DRNTG_25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHKRPHHPDDPDDLSLPPHDAVSETQPLPDQHPEISAVKDESDGEDDSSSSQSEAVKEEYIIVKLSDVRKEVQCPICLGIIRKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDTLIATLYPEIDKYEEEETAFHEEERTRNKRIQATIAETSRRQSEALGKKRPMVKPTTAVFTRRSSYRNAHGQNSYMRGRRRSSRNTSFGGGSDDDEEDVNENDGGKDSTSAEEHSPDLRSKRCKRWTGPRSSPARTSANTDVGSEDNEDQEGSRINLGTSPLRAGTREILAWGKGGTRSQNRHGSAGGSNGRFVKGGRMSKMVEFLRNLDEKDDEFDLQLTLLPLDESLVPHLERPYICCRPTLSIRHLCHFIALEISAQAEEVEISVKKPENGGSASEEFQVIGSHETVSELHASFSSHHGDLVLSYRLRCRASE >DRNTG_25540.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3003188:3004653:-1 gene:DRNTG_25540 transcript:DRNTG_25540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPTTAVFTRRSSYRNAHGQNSYMRGRRRSSRNTSFGGGSDDDEEDVNENDGGKDSTSAEEHSPDLRSKRCKRWTGPRSSPARTSANTDVGSEDNEDQEGSRINLGTSPLRAGTREILAWGKGGTRSQNRHGSAGGSNGRFVKGGRMSKMVEFLRNLDEKDDEFDLQLTLLPLDESLVPHLERPYICCRPTLSIRHLCHFIALEISAQAEEVEISVKKPENGGSASEEFQVIGSHETVSELHASFSSHHGDLVLSYRLRCRASE >DRNTG_25540.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3003188:3006640:-1 gene:DRNTG_25540 transcript:DRNTG_25540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVCMYLYLYAGYIPCMCNEVNLCNLNDDDYSVFMNRYIIVKLSDVRKEVQCPICLGIIRKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDTLIATLYPEIDKYEEEETAFHEEERTRNKRIQATIAETSRRQSEALGKKRPMVKPTTAVFTRRSSYRNAHGQNSYMRGRRRSSRNTSFGGGSDDDEEDVNENDGGKDSTSAEEHSPDLRSKRCKRWTGPRSSPARTSANTDVGSEDNEDQEGSRINLGTSPLRAGTREILAWGKGGTRSQNRHGSAGGSNGRFVKGGRMSKMVEFLRNLDEKDDEFDLQLTLLPLDESLVPHLERPYICCRPTLSIRHLCHFIALEISAQAEEVEISVKKPENGGSASEEFQVIGSHETVSELHASFSSHHGDLVLSYRLRCRASE >DRNTG_25540.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3003188:3005108:-1 gene:DRNTG_25540 transcript:DRNTG_25540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDTLIATLYPEIDKYEEEETAFHEEERTRNKRIQATIAETSRRQSEALGKKRPMVKPTTAVFTRRSSYRNAHGQNSYMRGRRRSSRNTSFGGGSDDDEEDVNENDGGKDSTSAEEHSPDLRSKRCKRWTGPRSSPARTSANTDVGSEDNEDQEGSRINLGTSPLRAGTREILAWGKGGTRSQNRHGSAGGSNGRFVKGGRMSKMVEFLRNLDEKDDEFDLQLTLLPLDESLVPHLERPYICCRPTLSIRHLCHFIALEISAQAEEVEISVKKPENGGSASEEFQVIGSHETVSELHASFSSHHGDLVLSYRLRCRASE >DRNTG_25540.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3003188:3003867:-1 gene:DRNTG_25540 transcript:DRNTG_25540.6 gene_biotype:protein_coding transcript_biotype:protein_coding KQNGRSNTIQGYRIYPLGDHNYHEDPNFN >DRNTG_29316.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29664538:29665318:1 gene:DRNTG_29316 transcript:DRNTG_29316.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYEYYYKAKGQSAKRDVVAMFSPKWTSSTENLFIWVGGWRPSSAVHILYSKSGIQFELKLNEVISGMETRDLAGLSPEQLKMVDELQRRVIKKEREITEEEAKVQEKVANRKMVEAVDVMTEMVEREVEMVEKMEEEMKRRG >DRNTG_15170.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:426121:428648:-1 gene:DRNTG_15170 transcript:DRNTG_15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNGGVKLNDNQLCLKDDPLKWNAAAEALTGSHLDEVKGMVVEFRSPLVMLEGASLKISQVASVALRDSGLVKVELSDEARPRVKASSDWVNNSVINGTDSYGVTTGFGATSHRRTKQPEALQNELIRFLNSGIFGPGNTLPSFATRAAMLVRINTLLQGYSGIRFEILEAITSLLNRNITPCLPLRGTITASGDLVPLSYIAGILTGRANSRAITGDGKTIDAQEAFKLAGISNGNGFFELQPKEGLALVNGTAVGSGLASVVLFEANVMVVLSEVLSAMFCEVMQGKPEYTDHLTHKLKHHPGQIEAAAVMEHILDGSSYMKMAKKLHELDPLQKPKQDRYALRTSPQWLGPQIEVIRSATKSIEREINSVNDNPLIDVSRDKALHGGNFQGTPIGVSMDNARLALAAIG >DRNTG_03916.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14942285:14942961:-1 gene:DRNTG_03916 transcript:DRNTG_03916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPLFLAVSAATLVSATDHIVGGHLGWNPNINYTLWSNNQTFFLNDLISFRYMKNMYNVFEVNQTGYDNCTLSGVAGNWSSGKDFILLNRTGTYYFICGNGFCLNGMKVSVRVRSLPVNSTSPETTGKTGKHASEAAMVIPSMMMAVLLAIWMGFGEI >DRNTG_22437.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23415970:23418374:-1 gene:DRNTG_22437 transcript:DRNTG_22437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLANLIIMGSGILGRAVVQAYRKALENANKTGVAQETLNNIRRASRAMTEQEARQILGVTEQSSWDEIVQYDILFERNAKNGSFYLQSKVHRAKECLDSVYQQNSS >DRNTG_25442.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1456725:1459324:-1 gene:DRNTG_25442 transcript:DRNTG_25442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDADRSFSWDSDDEYEKFIQRMNPPRVEVDNDACDNATVIQVDSANKYGILLEVVQVLTDLNLIISKAYITSDGGWFMDVFNVTDQDGKKLEDKITINGIVDYIRESLGADSCFLPSRRRSVGLTPASNHTSIELTGTDRPGLLSEVCAVLTDLKCNVVDAELWTHNTRAAAVMHVTDEATGGAVTNPERLAKNQGASLLCAQREQQDAGSENRGLSGYHSHRAETPPDDV >DRNTG_35473.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3794614:3796740:-1 gene:DRNTG_35473 transcript:DRNTG_35473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSLYSIDSSLDFDGWCDYDCESEGGAEFSEEPVKLENSRSSGLKRSRAAEVHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQILSMRNGLSLHPMYLPENLQLMQQPSQMGMGFAVNGARSEANMSVADMLQQHCSHTLNQSELCYQPPIAPNATNINNLTCTFHESFQLPIAEELKEEPEVQQQSKAAKKLTRNLASENEDEICHCGY >DRNTG_25657.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21691828:21697012:-1 gene:DRNTG_25657 transcript:DRNTG_25657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQFLGENAKKIDDHIRKPSMSTSSQTHEWLVEARKMVENSPARTGSSPKLVSASPKFVVKDNSSALNDRRDPFSRSARRHRSSPSISAEILFRSARHNADGESSGDGSGDGSDDRRWQCGDPTPEPDDYLASSLPPRHPSHRKSRFNDDSQPPIPSRRNFRSSSPGAAAAEPASRNDLVLSPPRNLVETAHRRSISSSTCSLERLSMAGNEKELESSKPRGRRSVSVNAVPGGGRNLDMVVDEDVMLINSFLRKKRSLAARIVNRRLTANAKIVLSDSSEASTTSSMVATICYAWLMENREKREGSGEVVVPMMNMKRGRMHRHKQAAWLFHYLGIDGSALLFSDDIELENLIKARQVTVLVTGKDVLCTNSEVGSLCTVLTDHYCEDAYDLLKTPNLKKLLLAGILLDTNNLDKLSKFHTQRDAEAVQLLVAGSAPSFRHEFFEHLMQDDRDKLFLESLRRNYGNPSTEYNDENGAPKEHNIPVRKSISGSLQEVKQNQTQVLTPVPAPSVVPGTPEPALRRKNKLSIGKWFGFSSK >DRNTG_25337.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6394643:6407846:-1 gene:DRNTG_25337 transcript:DRNTG_25337.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPDIIDLSSDEETEALCVKDIKPHLLSVIGCETTNEKNDVPQGSCGIKQEKLYSEELLHTSSAHNDCSLPFRQFWRSGEYETGKDLGPSSLNSQNRLLIHPKFLHSNATSHKWAFGAIAELLDNAIDEVKTGATSVIVDKFTDPRNGTPALLIQDDGGGMDPESLRRCMSFGFSDKLSDSSIGQYGNGFKTSTMRLGADVLVFSRCVSKGRVTQSIGLLSYTFLRKTGCDDIIVPVVDYEFNSSTGKFERLLRHGQKHFPANLSAILKWSMFTVEDDLLNQFNDIGPRGSKIIIFNLWFNDDGNMELDFETDPEDILISGSHKIVKTNDSTKNLKQKHVANRLRYSFRVYSSVLYLHMPMNFKIVLRGRVVDPHSVVSDLKYVECIKYKPQVDGITESEVVTFIGFLEDAPNIDVHGFSVYHKNRLILPFWKVANNSYGKGRGVVGVLEANFIKPTHDKQDFEKSNLYQKLETRLKEMTYEYWDYHCHLVGYTKSKAPVSTSNTASRSSQMSHLKSPIGATKLKIKSAAASQSLRSTEEPLGTAAQFPADEVFSDSSRSGSALPEKRRYQGHSGTAVQLKKPAIMAKFADSNGRNSVMQAQEQQMLTVMAENKSLLTECHKYEEAEKQLVLKVSFR >DRNTG_25337.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6394643:6407846:-1 gene:DRNTG_25337 transcript:DRNTG_25337.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELDFETDPEDILISGSHKIVKTNDSTKNLKQKHVANRLRYSFRVYSSVLYLHMPMNFKIVLRGRVVDPHSVVSDLKYVECIKYKPQVDGITESEVVTFIGFLEDAPNIDVHGFSVYHKNRLILPFWKVANNSYGKGRGVVGVLEANFIKPTHDKQDFEKSNLYQKLETRLKEMTYEYWDYHCHLVGYTKSKAPVSTSNTASRSSQMSHLKSPIGATKLKIKSAAASQSLRSTEEPLGTAAQFPADEVFSDSSRSGSALPEKRRYQGHSGTAVQLKKPAIMAKFADSNGRNSVMQAQEQQMLTVMAENKSLLTECHKYEEAEKQLVLKVSFR >DRNTG_25337.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6394643:6407846:-1 gene:DRNTG_25337 transcript:DRNTG_25337.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLVSSFVLSTMAFCFGFWSYPCCFFFVLSELQIHACFELNEQFNDIGPRGSKIIIFNLWFNDDGNMELDFETDPEDILISGSHKIVKTNDSTKNLKQKHVANRLRYSFRVYSSVLYLHMPMNFKIVLRGRVVDPHSVVSDLKYVECIKYKPQVDGITESEVVTFIGFLEDAPNIDVHGFSVYHKNRLILPFWKVANNSYGKGRGVVGVLEANFIKPTHDKQDFEKSNLYQKLETRLKEMTYEYWDYHCHLVGYTKSKAPVSTSNTASRSSQMSHLKSPIGATKLKIKSAAASQSLRSTEEPLGTAAQFPADEVFSDSSRSGSALPEKRRYQGHSGTAVQLKKPAIMAKFADSNGRNSVMQAQEQQMLTVMAENKSLLTECHKYEEAEKQLVLKVSFR >DRNTG_25337.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6394989:6407846:-1 gene:DRNTG_25337 transcript:DRNTG_25337.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELDFETDPEDILISGSHKIVKTNDSTKNLKQKHVANRLRYSFRVYSSVLYLHMPMNFKIVLRGRVVDPHSVVSDLKYVECIKYKPQVDGITESEVVTFIGFLEDAPNIDVHGFSVYHKNRLILPFWKVANNSYGKGRGVVGVLEANFIKPTHDKQDFEKSNLYQKLETRLKEMTYEYWDYHCHLVGYTKSKAPVSTSNTASRSSQMSHLKSPIGATKLKIKSAAASQSLRSTEEPLGTAAQFPADEVFSDSSRSGSALPEKRRYQGHSGTAVQLKKPAIMAKFADSNGRNSVMQAQEQQMLTVMAENKSLLTE >DRNTG_25337.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6395269:6407846:-1 gene:DRNTG_25337 transcript:DRNTG_25337.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFTVEDDLLNQFNDIGPRGSKIIIFNLWFNDDGNMELDFETDPEDILISGSHKIVKTNDSTKNLKQKHVANRLRYSFRVYSSVLYLHMPMNFKIVLRGRVVDPHSVVSDLKYVECIKYKPQVDGITESEVVTFIGFLEDAPNIDVHGFSVYHKNRLILPFWKVANNSYGKGRGVVGVLEANFIKPTHDKQDFEKSNLYQKLETRLKEMTYEYWDYHCHLVGYTKSKAPVSTSNTASRSSQMSHLKSPIGATKLKIKSAAASQSLRSTEEPLGTAAQFPADEVFSDSSRSGSALPEKRRYQGHSGTAVQLKKPAIMAKFADSNGRNSVMQVSDENVFYCNNDLYNTDL >DRNTG_11960.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000523.1:24516:25226:-1 gene:DRNTG_11960 transcript:DRNTG_11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEVVGEPVPHIALKPLNTVISSRTLCCEGSGNLLGTLCSISSTPTPSS >DRNTG_13097.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10187619:10191082:1 gene:DRNTG_13097 transcript:DRNTG_13097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDLEIQAWMIEDLEIQIIWEDQTWAKFGKKIGRSSVAIFGEMVACALVGTSLGRGIPSEVTTQSCSVRWVMLQLGGATSKQIGLKTSWPELNGVKGSIAKRVIEKENPNVHVILVRPLMKEALDDLKCTVRVMVDYQGFVVGIPKVG >DRNTG_27993.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:10878020:10879759:1 gene:DRNTG_27993 transcript:DRNTG_27993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHKEKIFFHSKMPHLIQPCQKDSNKDAMDGWKWRAESGIGCGGEARSEIGDGRWEMTKRRRRGSNAPKKASFVGLQ >DRNTG_28902.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:592316:594525:1 gene:DRNTG_28902 transcript:DRNTG_28902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVSEDDNAEIKLTRENIKSFVLDIFTAGSDSSAATLEWALAELVNHPEMLERAKSEIDEVIGKDRIVEESDIQKLHYVQAIVKETLRLHPAAAFAMRESINDVRINGYDIPAGCYVFINIWSVGKDPHYWEDPLEFRPESGRRVCPGMNLALHAIHATLPALIQCFEWKQQDKLDMSEGLGVVIPRAKPIVCVPVARLDPFPSLTV >DRNTG_27779.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24336299:24337370:1 gene:DRNTG_27779 transcript:DRNTG_27779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFDYLSNICSVTNTKRTLKLKKRKPLQTVELKVKMDCDGCERRVRHAVRSIKGVTAVEVNRKQSKVAVTGHVDTKKVIKKVRSIGKRAEPWPYVEYNLVYYPYAAQAYDKKAPTGYVRNVPQALPNPGAPEERYTTLFSDENVNACSIM >DRNTG_32198.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001796.1:68014:70537:-1 gene:DRNTG_32198 transcript:DRNTG_32198.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCIATAINGAGTRLKLIHQHGPCSPFNHSNKLTHNQILTQDQSRVNFINQHRRHSTITSTSTSTTSKIPAYTGNSFSTGNYIITINFGTPEQELTVIFDTGSDVTWIQCQPCLTSCYSQQEPLFAPAQSTTFSSVSCSDPACSQLNSPDCSNNTCVYTVTYGDKSYTNGFYSEDTLTLSPTDTLTSFFFGCGVNNSDGFGKVVGLLGLGRGPASLISQSNTKYNSFFSYCLPSTSSSTGYLTFGGEAPTNLNSTQMLTNSSMPSFYFLGLQGISVDGTQLDIPPTVFSNVGTIIDSGTVISRLPPEAYSSLRDAFRQKMSNYSEAQATNLLDTCYDLSSYDTVEIPTVSLEFEDGMALDLDASQILFLVNGPSQACLGFAGNKDASDVGIIGNTQQRKFSVVYDVPNQVIGFGQGGCD >DRNTG_32198.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001796.1:68100:71851:-1 gene:DRNTG_32198 transcript:DRNTG_32198.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIFALMLLCCSVLIHSSFVAEDVTQSGQNIIDISSLLPQTVCSLAKATAINGAGTRLKLIHQHGPCSPFNHSNKLTHNQILTQDQSRVNFINQHRRHSTITSTSTSTTSKIPAYTGNSFSTGNYIITINFGTPEQELTVIFDTGSDVTWIQCQPCLTSCYSQQEPLFAPAQSTTFSSVSCSDPACSQLNSPDCSNNTCVYTVTYGDKSYTNGFYSEDTLTLSPTDTLTSFFFGCGVNNSDGFGKVVGLLGLGRGPASLISQSNTKYNSFFSYCLPSTSSSTGYLTFGGEAPTNLNSTQMLTNSSMPSFYFLGLQGISVDGTQLDIPPTVFSNVGTIIDSGTVISRLPPEAYSSLRDAFRQKMSNYSEAQATNLLDTCYDLSSYDTVEIPTVSLEFEDGMALDLDASQILFLVNGPSQACLGFAGNKDASDVGIIGNTQQRKFSVVYDVPNQVIGFGQGGCD >DRNTG_32198.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001796.1:68100:69701:-1 gene:DRNTG_32198 transcript:DRNTG_32198.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCIATAINGAGTRLKLIHQHGPCSPFNHSNKLTHNQILTQDQSRVNFINQHRRHSTITSTSTSTTSKIPAYTGNSFSTGNYIITINFGTPEQELTVIFDTGSDVTWIQCQPCLTSCYSQQEPLFAPAQSTTFSSVSCSDPACSQLNSPDCSNNTCVYTVTYGDKSYTNGFYSEDTLTLSPTDTLTSFFFGCGVNNSDGFGKVVGLLGLGRGPASLISQSNTKYNSFFSYCLPSTSSSTGYLTFGGEAPTNLNSTQMLTNSSMPSFYFLGLQGISVDGTQLDIPPTVFSNVGTIIDSGTVISRLPPEAYSSLRDAFRQKMSNYSEAQATNLLDTCYDLSSYDTVEIPTVSLEFEDGMALDLDASQILFLVNGPSQACLGFAGNKDASDVGIIGNTQQRKFSVVYDVPNQVIGFGQGGCD >DRNTG_32198.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001796.1:68014:69701:-1 gene:DRNTG_32198 transcript:DRNTG_32198.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCIATAINGAGTRLKLIHQHGPCSPFNHSNKLTHNQILTQDQSRVNFINQHRRHSTITSTSTSTTSKIPAYTGNSFSTGNYIITINFGTPEQELTVIFDTGSDVTWIQCQPCLTSCYSQQEPLFAPAQSTTFSSVSCSDPACSQLNSPDCSNNTCVYTVTYGDKSYTNGFYSEDTLTLSPTDTLTSFFFGCGVNNSDGFGKVVGLLGLGRGPASLISQSNTKYNSFFSYCLPSTSSSTGYLTFGGEAPTNLNSTQMLTNSSMPSFYFLGLQGISVDGTQLDIPPTVFSNVGTIIDSGTVISRLPPEAYSSLRDAFRQKMSNYSEAQATNLLDTCYDLSSYDTVEIPTVSLEFEDGMALDLDASQILFLVNGPSQACLGFAGNKDASDVGIIGNTQQRKFSVVYDVPNQVIGFGQGGCD >DRNTG_32198.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001796.1:68014:71851:-1 gene:DRNTG_32198 transcript:DRNTG_32198.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFALMLLCCSVLIHSSFVAEDVTQSGQNIIDISSLLPQTVCSLAKAINGAGTRLKLIHQHGPCSPFNHSNKLTHNQILTQDQSRVNFINQHRRHSTITSTSTSTTSKIPAYTGNSFSTGNYIITINFGTPEQELTVIFDTGSDVTWIQCQPCLTSCYSQQEPLFAPAQSTTFSSVSCSDPACSQLNSPDCSNNTCVYTVTYGDKSYTNGFYSEDTLTLSPTDTLTSFFFGCGVNNSDGFGKVVGLLGLGRGPASLISQSNTKYNSFFSYCLPSTSSSTGYLTFGGEAPTNLNSTQMLTNSSMPSFYFLGLQGISVDGTQLDIPPTVFSNVGTIIDSGTVISRLPPEAYSSLRDAFRQKMSNYSEAQATNLLDTCYDLSSYDTVEIPTVSLEFEDGMALDLDASQILFLVNGPSQACLGFAGNKDASDVGIIGNTQQRKFSVVYDVPNQVIGFGQGGCD >DRNTG_32198.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001796.1:68100:70537:-1 gene:DRNTG_32198 transcript:DRNTG_32198.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCIATAINGAGTRLKLIHQHGPCSPFNHSNKLTHNQILTQDQSRVNFINQHRRHSTITSTSTSTTSKIPAYTGNSFSTGNYIITINFGTPEQELTVIFDTGSDVTWIQCQPCLTSCYSQQEPLFAPAQSTTFSSVSCSDPACSQLNSPDCSNNTCVYTVTYGDKSYTNGFYSEDTLTLSPTDTLTSFFFGCGVNNSDGFGKVVGLLGLGRGPASLISQSNTKYNSFFSYCLPSTSSSTGYLTFGGEAPTNLNSTQMLTNSSMPSFYFLGLQGISVDGTQLDIPPTVFSNVGTIIDSGTVISRLPPEAYSSLRDAFRQKMSNYSEAQATNLLDTCYDLSSYDTVEIPTVSLEFEDGMALDLDASQILFLVNGPSQACLGFAGNKDASDVGIIGNTQQRKFSVVYDVPNQVIGFGQGGCD >DRNTG_32198.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001796.1:68014:71851:-1 gene:DRNTG_32198 transcript:DRNTG_32198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFALMLLCCSVLIHSSFVAEDVTQSGQNIIDISSLLPQTVCSLAKATAINGAGTRLKLIHQHGPCSPFNHSNKLTHNQILTQDQSRVNFINQHRRHSTITSTSTSTTSKIPAYTGNSFSTGNYIITINFGTPEQELTVIFDTGSDVTWIQCQPCLTSCYSQQEPLFAPAQSTTFSSVSCSDPACSQLNSPDCSNNTCVYTVTYGDKSYTNGFYSEDTLTLSPTDTLTSFFFGCGVNNSDGFGKVVGLLGLGRGPASLISQSNTKYNSFFSYCLPSTSSSTGYLTFGGEAPTNLNSTQMLTNSSMPSFYFLGLQGISVDGTQLDIPPTVFSNVGTIIDSGTVISRLPPEAYSSLRDAFRQKMSNYSEAQATNLLDTCYDLSSYDTVEIPTVSLEFEDGMALDLDASQILFLVNGPSQACLGFAGNKDASDVGIIGNTQQRKFSVVYDVPNQVIGFGQGGCD >DRNTG_14603.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7131690:7132581:-1 gene:DRNTG_14603 transcript:DRNTG_14603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCSQSFLKREMREESSHQMQSSAGQSLIRKMTNHQGMFRSSTQFLWLEPTE >DRNTG_02716.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19546677:19548168:-1 gene:DRNTG_02716 transcript:DRNTG_02716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTNTGVVGDDEEFYESLDRILSSSCSSTSVSDDDAGDLHRRRFRHHHFPWFPPSSAFSYDLWISEPSSVEERRRRLLQCMGLAGDVSGESGVVVESAVTPLPARVRDVEIARSVSCEGFMWHPHAAVARVERSRSDGCVDPGVHSGQPPLMPKSQLSKEVLDGDGDPRLTIKNLDDGREFVVREDGSWDKVREVGTGRQLTMDEFEMCVGRSPIVQELMRRQSGTGTSPSCNGCGISGGSSAASRSSGSARSKKRGSWLRSIKNVAGSVVAGGYYQRDRPSSDEKDTSSEKGGRRSSSATDDSQDGIHHFPERIRVRQYGKTQKDLTAMCLSQVIQAHSGAIWCIKFSLDGRFLASAGEDCVIHVWQVTGYERNEELFLEEGTKENGNCKPFFASLTDGLAEPTLELAGVEGSYWEKKRTKTLSNWKYISSDHLVVP >DRNTG_18484.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29843961:29846493:-1 gene:DRNTG_18484 transcript:DRNTG_18484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFKGGFNSFLLLVFFLSFNIVLFVHGQPLSVVSDYGGNTSQIQTYIVHVSKPKGSNFLGAEDLENWHKSFLPNSTLDTGEPRLLYSYKEAIGGFAARLTPEEVRAMKKMEGFLRANPSQRFELQSTYTHNFLNLSTLFGAWSTSNSFFGEGIIIGVLDMGIHLPHPSFDDEGMPPRPKGWNASCNFQRPSCNNKVIGAQTFKYGPSTIPPIDKDQGHGTHVAGIAAGNFVDNAEVLDQALGRAAGMAPKAFISVYKVCWIDIGCDSIDVIAGIDQAIQDGVHILQMSIGPKPPLPDSFGMDDVAIGTYAAMQKGIFPCVTAGNYGPSQETLGRAAPWDMVVGATTTDRRIRATVTLGNGQEFHGETAYLSNTVIDKFLPLVFPGSGGQSDQMTCQNNSLNEINIRGKIVMCYVGRGTENIKKGDAVKNAGGAGMIIMNFLPNGFTTYSTPHNLPVSHVSHIDALQIEDYFATNSEPTAKITFGGTIFGVRPSPALAAFSSRGPAKYNGNIVKPDVTAPGVNILSAWPVEVGPFPSGLKTKTFNFMSGTSMAASHVSGIVALIMSKLKNDNKRKWSTSEIQSALITTANTFDLDGKPMFDEATYRNSANILQRGAGQVNATNAMDPGLVYNIESDDYVAYLCGIFSNNSTVVQYFTQNYTQDCTRSISGDQLNYPSIGVPIGSNSSRITVSRTLTNVGDAREIYNAKIIEPPSVKMDLSEYSLSFTRLEQQITYSMTFTMTGAHPGSGVYEQGELSWVSDKHTVTSPIYIAF >DRNTG_03453.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000160.1:1992:4483:-1 gene:DRNTG_03453 transcript:DRNTG_03453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMCCCCCEQESKREKTALEKTFLQKGLDRNRDSLSRILFTLVEKKETNSEENFVKLLLIYLLGSLLFTNTSCAAPPWLVVYVDDLSSLDKYAWAQAAHKWIMDSILGVATRVNDRCAGKEASIGYVRGCAVALNLWFYEVTKTGKKAHFGRRPRILCYGEFSYKKQGSVASLIDSLEGKEDLPSQESTYEKPVTRAATTATKASTLGALAVPKGKPRAPRKGNKQIVTPPSEMGVVEPKTLEDVSRAIESLTSMTPIDGEAVEDTLNTVSNVRKPQTNFIPNKKQPPVFASLDNMQQLSLSVFLNLRIDK >DRNTG_34528.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21117529:21118248:1 gene:DRNTG_34528 transcript:DRNTG_34528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSQDGSRNKWSSIRPAPPVPSIFTDPEHAPTALE >DRNTG_24919.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19308088:19315598:1 gene:DRNTG_24919 transcript:DRNTG_24919.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEKMSEADIVIHIIALALYESSPWINKNLLSRLNPPHTHPRATEILVVLKGTLYVGFVTSNPDNKLFSKVLNEGDVFVFPQGLIHFQFNHGPSNAVAFVGFGSQNPGTITIANAVFGSKPPISDNVLAKAFQVSKEIVDKLQAQFWPDN >DRNTG_21839.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6073064:6075548:-1 gene:DRNTG_21839 transcript:DRNTG_21839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPFEEATFALEVGEISDIVDTDSGVHIILRTG >DRNTG_23136.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7302481:7304443:1 gene:DRNTG_23136 transcript:DRNTG_23136.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWRVLYSSKSPFVRPRIHLAGSVPTKAFPLTFLQMERDVGAVEPLKTLAAALSQVREKMEKRIMKSRLKSALNTTGIRDPHAHFQPAMNSTCCSQMLHYFAPIQFYSTGLKLSRIHAFVEDTPRRIGADKSSPLTFFLMARDVGAVEPL >DRNTG_17858.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2879687:2887804:1 gene:DRNTG_17858 transcript:DRNTG_17858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAATADPSTPVRARGSPWSHVVRGEPDPALASPSSPSSGPISTPELQSVESPVEGLDSDASAAGAKGKKPAWNKPSNGLVEAGPVMGAVSWPALGEATRASPKSSSADSLKSLSDGSASAPPVNVVVPSQSKPSSSNQNTNHVQNHAMPARQKSMKQRSGGSGGHALANGGLEPLSPLPALAETPQGVMDKQAGLKPSPKDLPNKHNNHNNHNNWDHGPRGSGFTPLPQSDHHRGYHGGGRRNGNSGSGPHQGGYMNRRDHDRGGYDWNSPRALSGRDGPMQPLPGQRGAPRAFMRAPPPPPPPAPLSVPPYIPPPPLRPYMSPIGLPEMHSIYVQGRPTPETLRNIPLPAPVAPPMLFYQPLDSRALLLKQIEYYFSTANLCKDTYLRQKMDDQGWVPVSLIAGFNKVKQMTNDVQYILDTIRVSSVVEVQGDRIRKRYDWMTWLLPSPHQLNTAAGPSSPETSNYETLVAQAQNLGLEESDTTQTEDAQSKYGN >DRNTG_34311.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002119.1:9331:11360:-1 gene:DRNTG_34311 transcript:DRNTG_34311.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exosome complex exonuclease RRP46 homolog [Source:Projected from Arabidopsis thaliana (AT3G46210) UniProtKB/Swiss-Prot;Acc:Q9LX74] GKQEKEYEIILRRTLRSICLLTVHPNTTTSVILQVVGDDGALLPCAINASCAALVDAGIPLKHLAVAICCGLTEHGSLILDPTKIEEQKLQANTNLVFPNPPTSVIPKGSSPSAEEPFEHGIITSVTHGAMPVDDYFNCLERGRAACSKISEFFRKSLQSDLPDNASKAR >DRNTG_34311.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002119.1:9331:12735:-1 gene:DRNTG_34311 transcript:DRNTG_34311.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exosome complex exonuclease RRP46 homolog [Source:Projected from Arabidopsis thaliana (AT3G46210) UniProtKB/Swiss-Prot;Acc:Q9LX74] MVVLRTRCGCLACSRNLLHRAHGSARWSQGNTIVLAGVYGPKAGTRKGENPELASIEVIWKPKTGQIGKQEKEYEIILRRTLRSICLLTVHPNTTTSVILQVVGDDGALLPCAINASCAALVDAGIPLKHLAVAICCGLTEHGSLILDPTKIEEQKLQANTNLVFPNPPTSVIPKGSSPSAEEPFEHGIITSVTHGAMPVDDYFNCLERGRAACSKISEFFRKSLQSDLPDNASKAR >DRNTG_11995.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6611137:6615950:1 gene:DRNTG_11995 transcript:DRNTG_11995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQMSKMQALNESTNELHCLKAMGLKSSQVAKGARRSKGSISVNWRIRGGTIRDLSKLQNSASASKKCHLFLLHGLLARDNKKPMLPVDPFEWQISQDTTNSIFACLANTIRAAESVLRVVATGHDKRLFFKVLTLSNFLA >DRNTG_14583.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000708.1:992:3916:1 gene:DRNTG_14583 transcript:DRNTG_14583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATDARFQNIEATLSCHDVSIKNIEHQLGEILGMLAKEKEEFEQARQMSPGHDEAMSTIEEVGQIEYIDVENKKKEGEYHFEILDCVNEDCAFFLQVCHKGPKSFDRIRLTLDGKILLHTGNTR >DRNTG_17753.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:8441644:8450425:-1 gene:DRNTG_17753 transcript:DRNTG_17753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPRIFPRHPMLAEDGRNSLRQLLIAYPSHNPSVAYCQAMNFFAGILLLMAKENAFL >DRNTG_18002.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24185077:24186021:-1 gene:DRNTG_18002 transcript:DRNTG_18002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGVYLTEGQNLTYKEYNLTMQSDCNLVLKNGSTVIWETMTGGYGRGCYLTLSQNGKLYLYTRYGYAIWNSRTESQYGTYALVLRYDGTLRIYGPKIWTANSSSAQPLSTTGTGLVNWAKVTDSVLYSGDVAPIGTTIVNGGSVLTLQNDCNLVLTNDGVTKWQTGVIDKTLHDCFVNLEANGEFRVKRWGGDLLWTNGVAATVYAEFVLVLQSNADLGVYGPEIWSSVSASGIGKPSADHGIEMVTDK >DRNTG_20873.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1632134:1633033:-1 gene:DRNTG_20873 transcript:DRNTG_20873.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMYLPATFISCNPNIGLGLADKVLSLISHNGWDKVFAIEEVAYHEITLEVLSTIEVVRLHVVTFRALGVTRTMSDLQFGIYLNMISGFRSNESKKMPRLLKPEHRYIQGLFSRGIVGHSESTGEITRANLFMLYSMIERYPINLGHLFTDLLVRQGTYTRLGAIFSRLYITCLMRGKGLIAPTIGMQVIGGTTLLGMATLYAMGLVEQCGGGYALIHHSFTGERIVDPPSQSESKLEEGAPVELRL >DRNTG_10559.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000445.1:24060:33689:-1 gene:DRNTG_10559 transcript:DRNTG_10559.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHAASYQLAYGRAENTHTRAPDPQGQPHVPVPSLNI >DRNTG_14609.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15948580:15951798:-1 gene:DRNTG_14609 transcript:DRNTG_14609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFARWAECSPTSESSPLEKKKCPPLLQNVRARALRLLVAKDESFAFGSASSVGRPGQPSPSELSN >DRNTG_14609.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15948580:15951798:-1 gene:DRNTG_14609 transcript:DRNTG_14609.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFARWAECSPTSESSPLEKKKCPPLLQNVRARALRLLVAKDESFAFGSASSVGRPGQPSPSELSN >DRNTG_14609.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15948580:15951798:-1 gene:DRNTG_14609 transcript:DRNTG_14609.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFARWAECSPTSESSPLEKKKCPPLLQNVRARALRLLVAKDESFAFGSASSVGRPGQPSPSELSN >DRNTG_28668.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6241594:6244014:1 gene:DRNTG_28668 transcript:DRNTG_28668.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L13, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G78630) UniProtKB/Swiss-Prot;Acc:Q9SYL9] MAATMPTSSAYLPSAHSSTVPRTPFLGATLAKSSFRPLPMPAKPNLRIRCQRVQVPRDQRFMFEESEINGPDIWNKTWYPKAADHITSEKTWYIVDATDKILGRLASTIAIHIRGKNLATYTPSVDMGAFVIVVNAEKVAVSGKKRTQKLYRRHSGRPGGMKIETFDQLQVRIPERIVEHAVRGMLPKGRLGRELFTHLKVYKGPEHPHEAQKPIPLPIRDKRIQAQK >DRNTG_11419.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:905868:909172:-1 gene:DRNTG_11419 transcript:DRNTG_11419.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP12 [Source:Projected from Arabidopsis thaliana (AT5G64350) UniProtKB/Swiss-Prot;Acc:Q8LGG0] MAFSMSFGARAAMGFEKQVLKAGNGATPLRGQSVTVHCTGFGKDGDLSKKFWSTKDPGQQPFTFKIGMGSVIKGWDEGVIGMQLGEIARIQCSPDYGYGPNGFPAWGILPNSVLVFEIEVLKIQ >DRNTG_23279.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1399055:1400285:1 gene:DRNTG_23279 transcript:DRNTG_23279.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine phosphotransfer protein 6 [Source:Projected from Arabidopsis thaliana (AT1G80100) TAIR;Acc:AT1G80100] MLGLGAGNLEADMNRLIAVLFHQGVLDEQFIQLRQLQDDSSPNFVYEVVTIYFRESEKLLRNLRALLTDRECTDYMKIGIHLNQMMGSSSSIGAKSVRNVCAAFRTASDHSNWIGCIRSLEVLEHEYCYLKNKLHEFLQIDQQRMIVAGVRYHPLLQQ >DRNTG_02500.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7672318:7675623:-1 gene:DRNTG_02500 transcript:DRNTG_02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGTSKGILEIVKFAIYVAVPISLTYAVVTDSKTIHKLMGFRSYVVYPPEGPRPPPPEELRERAREIAREMARKNNSP >DRNTG_17254.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5676981:5678006:-1 gene:DRNTG_17254 transcript:DRNTG_17254.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH87 [Source:Projected from Arabidopsis thaliana (AT3G21330) UniProtKB/Swiss-Prot;Acc:Q8S3D2] MEYGYLDEISIPPWLWSDDQHIVQDEISISGSSDHKVDFDEALFIPWGDHQALSSSTNSFDGLNFIQSFNSFQDLQPINNNNNNNNNNNNNIRRDDNRAPPMMTMNNNTTNHRNLTSSCSNMSSGESSEANYSHNIKNSCSQETAMTTNNKRSSFRIIFGGESSRPAKKAKTEKYLSSIDFQENNTYEPDTEAIAQVKEMIYKAAAMRPVNFGIEEAVEKPKRKNVRISSDPQTVAARHRRERISERLRVLQRLVPGGSKMDTASMLDEAANYLKFLKSQVIALETLGKSHIQTMNTMNTNPSTSISYPFCKH >DRNTG_17254.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5676981:5678208:-1 gene:DRNTG_17254 transcript:DRNTG_17254.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH87 [Source:Projected from Arabidopsis thaliana (AT3G21330) UniProtKB/Swiss-Prot;Acc:Q8S3D2] MEYGYLDEISIPPWLWSDDQHIVQDEISISGSSDHKVDFDEALFIPWGDHQALSSSTNSFDGLNFIQSFNSFQDLQPINNNNNNNNNNNNNIRRDDNRAPPMMTMNNNTTNHRNLTSSCSNMSSGESSEANYSHNIKNSCSQETAMTTNNKRSSFRIIFGGESSRPAKKAKTEKYLSSIDFQENNTYEPDTEAIAQVKEMIYKAAAMRPVNFGIEEAVEKPKRKNVRISSDPQTVAARHRRERISERLRVLQRLVPGGSKMDTASMLDEAANYLKFLKSQVIALETLGKSHIQTMNTMNTNPSTSISYPFCKH >DRNTG_24526.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6045088:6047133:1 gene:DRNTG_24526 transcript:DRNTG_24526.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTWLGLTPPSRASQERRCTENLMKNPKPGTPLSQLLPVPRIPTKRVK >DRNTG_24526.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6045822:6047133:1 gene:DRNTG_24526 transcript:DRNTG_24526.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPKPGTPLSQLLPVPRIPTKRVK >DRNTG_02090.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10414534:10415733:-1 gene:DRNTG_02090 transcript:DRNTG_02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPGSDAAKVAPEVVAEYTVRALLRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLKGKKPWSLSFSFGRALQQSTLKTWQGKEDNVQKAQAAFLARCKANSEATLGAYKGDAAQGGLASESLHEKDYKY >DRNTG_23818.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29539083:29541917:-1 gene:DRNTG_23818 transcript:DRNTG_23818.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >DRNTG_22015.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23635197:23635918:1 gene:DRNTG_22015 transcript:DRNTG_22015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNGCRIGPLTWDALVKLYVESGEVEKADSILQKASQQNQIKPLYSSYLTVLDQYAKRGDVHNAEKIFHRLKQIGYAGRMRQYQALLQAYINAKTPAYGFRDRMKADNMFPNKPVAAQLAAVDAFRKTQISELLD >DRNTG_33917.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8823151:8830558:-1 gene:DRNTG_33917 transcript:DRNTG_33917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEDILGGLIPSWSSVVILFFYLGYLAIAGSLLPGKVIPGAVLSDGTRLHYRCNGLLSLIMLLVLLGIGVNMKMISPTVVADKGIELMSATFIFSVIVSLALYAVGCKSRKQSSSLKAHVTGNFIHDCWFGVQLNPHFIGVDLKFFFVRAGMMGWLFINLSVLAKSIQAGNVNISVILSQLFCGLYILDYFFHEEFMTSTWDIIAERLGFMLVFGDLVFIPFTFSIQGWWLLKNEVILSKVAVIANCLVFLVGYCVFRGANKQKHIFKKNPKAPIWGKAPKVVGGKLLVSGYWGVSRHCNYLGDLLLALSFSLPCGASSPIPYFYPIYLLILLIWRERRDEERCSQKYKEVWVEYCKLVPWRILPYVY >DRNTG_01032.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18206636:18207814:-1 gene:DRNTG_01032 transcript:DRNTG_01032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRRRWEDMEIDCLVSIFRRLGLDDMVLGVPFVCKSWHKASLDPHCWKVLNFSSLDFMPWSHFAHRFTSLYSLKSLSVINFMKLVLHRSAGFAIELFFPPWLDAALAQLTSFCNECSRLKIIVLPRLLSQDEMMIPEFVAGFKELEYLEMQCKPRCFLEVVKEINLKCRNLVGLSMTGYVKHEDALAMVNLIPKLKYLNLSKSYMEKESLMVIVNGCRNLERLEVKGCFALEIDDEILRRASYIKTFKYEGCRTAQEYFDDSEDDDILVM >DRNTG_14311.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17074004:17075069:1 gene:DRNTG_14311 transcript:DRNTG_14311.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRIFPVTLEGEASLWYELNIEPNPFISWEEIKSSFLEAYRPEESFDEFRAELMEIRQGEKESVNGFSLRMQWMVKKWPEHEMPEKVLKGIFVDGLREEIREVVAMRGPETVEEAVRFAVVVERARRGRKGGGEGEMKCGFCDGLHEERICEVKRRMRELWLRSRPERRTITPAGSIVRREEEEMERGLSLRRGVGECKCWKHQCWKRSDSVVTDVNANVNDAV >DRNTG_04611.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3027195:3033598:-1 gene:DRNTG_04611 transcript:DRNTG_04611.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLDPYKGLLGQEEDNEEVMMLGWTEEVPSTPGILKQVLQKLKRARRRHRKCSKAVRDKYSAEPTEFSKESRENTRPWFCIASSSREGIGAWTLPCARPCDSHTPMAIGACGYPCGRAHECGYFSHARVFAFRGSECFSREHTGACTCPCKAFCGGTHACLLSIREPAIRELTLEVLSTFKFDRSYARFDDLDVVQFGALGHHYSLITDTLSWISDPTESLLSIMWSMNGHGDSTGVLSRQELLYLYSMMQRTPIHLGHIVAEYIRHQGQYARLRSSWVHTLRDWLWIAEEEGDDAEASQPAPEPQLAPMETKDRFERLESVVGVIRTEVAEAQVEIADIRAAQAAQYTEFMAIFDT >DRNTG_15010.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23836432:23876598:-1 gene:DRNTG_15010 transcript:DRNTG_15010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIAVGDALPEGTLAWFDEKDELQQVSIHSLAAGKKVVLFGVPGAFTPTCSMKHVPGFIESGEELKSKGVDEILCISVNDPFVMKAWAKTYPENNHVKFLADGSANYTHALGLELDLSDKGLGTRSRRFALLADDLKVKVVNIEEGGAFTISGADEILKAL >DRNTG_15010.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23836432:23838269:-1 gene:DRNTG_15010 transcript:DRNTG_15010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIAVGDALPEGTLAWFDEKDELQQVSIHSLAAGKKVVLFGVPGAFTPTCSMKHVPGFIESGEELKSKGVDEILCISVNDPFVMKAWAKTYPENNHVKFLADGSANYTHALGLELDLSDKGLGTRSRRFALLADDLKVKVVNIEEGGAFTISGADEILKAL >DRNTG_15010.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23836432:23876598:-1 gene:DRNTG_15010 transcript:DRNTG_15010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIAVGDALPEGTLAWFDEKDELQQVSIHSLAAGKKVVLFGVPGAFTPTCSMKHVPGFIESGEELKSKGVDEILCISVNDPFVMKAWAKTYPENNHVKFLADGSANYTHALGLELDLSDKGLGTRSRRFALLADDLKVKVVNIEEGGAFTISGADEILKAL >DRNTG_15010.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23836432:23876598:-1 gene:DRNTG_15010 transcript:DRNTG_15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIAVGDALPEGTLAWFDEKDELQQVSIHSLAAGKKVVLFGVPGAFTPTCSMKHVPGFIESGEELKSKGVDEILCISVNDPFVMKAWAKTYPENNHVKFLADGSANYTHALGLELDLSDKGLGTRSRRFALLADDLKVKVVNIEEGGAFTISGADEILKAL >DRNTG_23458.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:740793:744519:-1 gene:DRNTG_23458 transcript:DRNTG_23458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGANDMELISTEAPPASKRRRKKSLVWEHFTIEVVDGGCTRACCKLCKQTFAYSSGTKIAGTSHLKRHIALGSCPKIKNQDKKPLMLPGAQTDGNSMDPPKRRYRRSNWNNALFEQEISCGSLAKMIILHEYPLHIVEHPGFVSFVQSLQPRFRMMSANDTEREILSVYQKEKQSLMQTLGNLPGRISLTINLWTTSQTLGYVCLSGQFIDYEWRLHRRMLNFMMVASPHSENALSEAIEICLSDWNMQTKLFTITLDNNCSSHDIYSANLRDHLTNKNTLMLKGQLFVVRCYAHILNAIAQDVMASIHGIIYNIRESVKFIKASHAREEKFAEIALQLEIFSTNNVCLDVASQWNTTYLMLVAAKDYKQAFACLENCDDSYNEAPSTEDWKKVEIVCSFLKLFYDSANVIMSTEDPTANIFFQEAWKILVELTNATRNDDDTVSCIAKEMHEKFDKYWKDCSLILAIAVVMDPRFKLKLVEFSFPKIYGEDAARYVTVVSDALHELYLEYVAQPLPLTPAYIEPEGGANMSNGNNDSNQGTITVSAGDGLVDFDIFISETAMDLHTKSELDQYLEEALVPRIQEFDILNWWKLNNLKYPTLSRMARDVLAIPVSMVGPGCSIFGVGTGGRMLDEYRSSLRPDIVEALFCARDWIQYSPIVSEPPSTTSTVKMEY >DRNTG_14099.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20720871:20723352:1 gene:DRNTG_14099 transcript:DRNTG_14099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQMSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPDNSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFIMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGHPVNEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPTTPLPDLVTIHAPKDEEEFARPPVMATEVEVPVA >DRNTG_30423.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001573.1:188873:192652:1 gene:DRNTG_30423 transcript:DRNTG_30423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSFPDVSNGSRVLITTRFLNVAKRADPRSTPYQLQLLNDDESMKLLLKKAFPYEDAEANCTNELLDIGLRLMHKCGGLPLALVVLGGLLSIKDKTPVVWRRVLETMDWAAEGRQCQEILELSYEDLPYHMKSCFFYLGAYPEDYEISGNELIWQWIAEGFIPQEERKTMEDTGEAILEELIQRSLIHVNMRKNDGSVKKCGVHDLLLDFARSAAKKDFFLTVCSNENDQPTYLASSRRVAFHNVDDTKINEISRVSTIHGLRTLMAFGLHYPPIDSPIFRFELLRVLDLTELTFVQRLPKKIELMIHLRYLRMGHVSCLPSSVGNFKSLETVILRQGTAISITLWKIKTLKHVQVGWAKPPQSLELKNLLTLENVEFGSYKTINWRFPNLRKLKVFIDKEHRGTMLTHLLSELDHLISLCICAAKDFPIGINTKDFPFHNHLLSLTLFGFWAKGDAVSEFPTCLTKLELMNSRLEQDPMPKLERLQYLVTLKFFGNVYLGETIICSTGGFPSLKSLVIARRSFKDGMLNLEEWRIERGAMPKLAFLELSSCNKLKVFPDLQHVMSLQKLEVFDLSQELMLRLQREAGED >DRNTG_30423.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001573.1:188873:189644:1 gene:DRNTG_30423 transcript:DRNTG_30423.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVSQVATRLSGLLSQEFLLLYGVHDEVEWMERELRRMKCFLKDADAKGKRDERVKNWVNEIIQVAYLAEDAIDTFLIKVHQSKGCLSCINR >DRNTG_24752.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2234802:2236381:1 gene:DRNTG_24752 transcript:DRNTG_24752.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPKIAGDEMITVGFDIGNGTCTISSVRQGFVDILLIDEPTLISFSDKQRFIGSSASSLLYPSSTFSDIKDLLLSQPRSQHRVSFLKKEITLTPVHLLGMLLSRLKLIAERSLGVPVSDCVISLPSYADQFGRRAYLQAAKIAGLKPLRLIHDTTATALGYGIYKTDFSDGEILVVFVDVGHCDTQVSVVAFDSGGLRVLSHTADRRLGGRDFDEIAFKYFSKQFKDKYKIDVSSNAKASIQLKAECEKVKKGLRAFMNVSIGIELLMDDKHLKGFITGEEFERLAYGLLEKVLFQCRNALSYARVGIHQVQSVELVGSASGIPAITRTLSLFFGKKISRTLNASECVARGCALQCAMLTPGFKIKNYQVRDWLPHSVGFVLDSFWIHKKSLVPKGELFPTVKVVNVPVRGGISHLFASSTHTDEKGQPLDGSQIIDTFF >DRNTG_04490.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9035658:9036505:1 gene:DRNTG_04490 transcript:DRNTG_04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLDSLHQANPKRLEPDIRRFVLDICRTEARDENKAAISKIPLLIPNVPQQRNGVDCGIFVLYYIYLFVQNVPTNFALDGYPYF >DRNTG_07302.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3453320:3458945:1 gene:DRNTG_07302 transcript:DRNTG_07302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGAGAGEGAGDGGNGDEGRRREIHAGSSSGSPNLEWRFNQTLKSVQGLLKGRTFPGKVMLTRKYEPSNVSTSHEHSEEFEKSTFENDLDPSYHSDNSSEVEFQISGNTVRNSSLQKSQSIPPKGKCLSPDAQQSVSGARATDSARVAKFTKELSANSVVLEKLRELAWSGAPPYMRPNVWRLLLGYASPNSDRREGALRRKRLEYLDCVSQYYEIPQSERSDDEINMLRQIAIDCPRTVPDVTFFQQEQVQKSLERILYTWAIRHPASGYVQGINDLVTPFLIVFLSEYLDGNMENWSISDLSGEVISCVEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDEPVSRHMEEQGLEFLQFAFRWFNCLLNREIPFHLVTRLWDTYLAEGDSLPDFLVYICASFLLTWSDKLQKLEFQEMVMFLQHLPTENWTHHELEMVLSRAYMWHAMFNRSPSHLAS >DRNTG_00691.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30047567:30048619:-1 gene:DRNTG_00691 transcript:DRNTG_00691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIVNGPGEMADADFGYVGGTPGKIDLYVGKVCQRYSGGYLFAQFQSK >DRNTG_12992.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7028767:7048312:-1 gene:DRNTG_12992 transcript:DRNTG_12992.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKGREVAMDEEHEHRQTPLFTPREHEGDLEEIRNFKQLARETLKEKKNLWHLAGPAIFTSLAQYSLGAVTQVFTGHLTTLELDAVSTENMVIAGLAFGVMLGMGSALETLCGQAYGAKQLHMLGVYMQRSWVILIITSLFLLPIYFFATPILRFFGQDNEISSLAGKFSLYMIPQLFAYALNFPIQKFLQAQSKVMVMAFVSALALLFHIFLSWLLLVVFKVGLVGAAVSLNLAWVVVVLGQFVYIAMGYCPGAWNGFSLGAFRDLVAFARLSIASGIMMCLEMWFYTVLVALVGQLPNPQVAVAAMSICINLLGWQLMVFFGFNAAISVRISNELGAGRPRAAKFSIIVVILSSVVIGLMFFITVLVLRDVYGIPFTNSPEVVHAVSDLALVFALSLLLNSVQPVLTGVAVGAGWQWLVAYINLGCYYMFGLPFGFLLAVYFELGVKGMWSGMLAGVGLQTLILIGITLSTNWKNEVWHISPLVLYIYDPHLK >DRNTG_12992.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7028767:7029505:-1 gene:DRNTG_12992 transcript:DRNTG_12992.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFFITVLVLRDVYGIPFTNSPEVVHAVSDLALVFALSLLLNSVQPVLTGVAVGAGWQWLVAYINLGCYYMFGLPFGFLLAVYFELGVKGMWSGMLAGVGLQTLILIGITLSTNWKNEVWHISPLVLYIYDPHLK >DRNTG_12992.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7028256:7048312:-1 gene:DRNTG_12992 transcript:DRNTG_12992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKGREVAMDEEHEHRQTPLFTPREHEGDLEEIRNFKQLARETLKEKKNLWHLAGPAIFTSLAQYSLGAVTQVFTGHLTTLELDAVSTENMVIAGLAFGVMLGMGSALETLCGQAYGAKQLHMLGVYMQRSWVILIITSLFLLPIYFFATPILRFFGQDNEISSLAGKFSLYMIPQLFAYALNFPIQKFLQAQSKVMVMAFVSALALLFHIFLSWLLLVVFKVGLVGAAVSLNLAWVVVVLGQFVYIAMGYCPGAWNGFSLGAFRDLVAFARLSIASGIMMCLEMWFYTVLVALVGQLPNPQVAVAAMSICINLLGWQLMVFFGFNAAISVRISNELGAGRPRAAKFSIIVVILSSVVIGLMFFITVLVLRDVYGIPFTNSPEVVHAVSDLALVFALSLLLNSVQPVLTGVAVGAGWQWLVAYINLGCYYMFGLPFGFLLAVYFELGVKGMWSGMLAGVGLQTLILIGITLSTNWKNEALAAESRIQLWGGFVDEPAKIIQAQLLIPHGEQSI >DRNTG_12992.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7029263:7048312:-1 gene:DRNTG_12992 transcript:DRNTG_12992.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKGREVAMDEEHEHRQTPLFTPREHEGDLEEIRNFKQLARETLKEKKNLWHLAGPAIFTSLAQYSLGAVTQVFTGHLTTLELDAVSTENMVIAGLAFGVMLGMGSALETLCGQAYGAKQLHMLGVYMQRSWVILIITSLFLLPIYFFATPILRFFGQDNEISSLAGKFSLYMIPQLFAYALNFPIQKFLQAQSKVMVMAFVSALALLFHIFLSWLLLVVFKVGLVGAAVSLNLAWVVVVLGQFVYIAMGYCPGAWNGFSLGAFRDLVAFARLSIASGIMMCLEMWFYTVLVALVGQLPNPQVAVAAMSICINLLGWQLMVFFGFNAAISVRISNELGAGRPRAAKFSIIVVILSSVVIGLMFFITVLVLRDVYGIPFTNSPEVVHAVSDLALVFALSLLLNSVQPVLT >DRNTG_11990.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6624125:6630552:1 gene:DRNTG_11990 transcript:DRNTG_11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELFTNGPVEVAFTVYEDFTHYQSGIYKHVTGDVMGGHAVKLIGWGTSDGVDYWLLANQWNRGWGDVQASSSTDMTTVQMMLPTLNERGAINQIDHSTRQTEDYLQQPLIDTQAQEEHLNQLFSESMPTTSSSNSHTITVRA >DRNTG_11990.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6626115:6630552:1 gene:DRNTG_11990 transcript:DRNTG_11990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEFFTKFGKSAEPSRSGNEEIGSYQFRKQKSGKPQGFVDIIVRIYEQSESGASYPVGVHRHHQIRDREIIYLECHS >DRNTG_11990.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6626115:6630552:1 gene:DRNTG_11990 transcript:DRNTG_11990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEFFTKFGKSAEPSRSGNEEIGSYQFRKQKSGKPQGFVDIIVRIYEQSESGASYPVGVHRHHQIRDREIIYLECHS >DRNTG_28552.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3806683:3813287:-1 gene:DRNTG_28552 transcript:DRNTG_28552.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhanced ethylene response protein 5 [Source:Projected from Arabidopsis thaliana (AT2G19560) UniProtKB/Swiss-Prot;Acc:Q8GWE6] MAYLSMGEAHRRITDYLSQFSTAVLNQDGPSLTPLLLVSSNSRLLVSLAHALDVFSDWLRLVDQADDQSQLLSEIVSPLFRCLQSFRAARFADAYVYFEKSANAFLQEFRNWESAWALEPLYGVAYEIRILAEKADRELASLGKNPEKLKAAGSYLMKGFGVLAGKSSKRVGALYVTCQLFKIYFKLGTVHLCRSVIRSIETARIFEFEEFPVRDKVTYMYYTGRLEVFNENFVAADEKLMYALMHCNPQRTANMRMILKYLIPVKLSIGILPKMWLLEKYNLVEYKDIVKALKMGDLRLLRHALVEHEERFLKSGVYLVLEKLELQVYQRLIKKIHIIQKQKDPAKAHQVKLETIVKALKWLELDMDIDEVECIVSILIYKNLMKGYFAHKSKVVVLRKQDPFPKLNGNPVSS >DRNTG_32310.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001821.1:84516:93728:-1 gene:DRNTG_32310 transcript:DRNTG_32310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRTRTPPTYISFKKKVIHDVKQHCNNLCSDLCSRLAEIGEIENPHGRVEIIHARVEIPHGRVYRPRPWSCPIPALFKADSAPILVFFSPSFPQLARGLRLGFRGVLAKVLERFYGSDIMIPLGRRLVGELRSRRILYRTKESLDDE >DRNTG_34197.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:904660:906283:1 gene:DRNTG_34197 transcript:DRNTG_34197.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G30510) UniProtKB/Swiss-Prot;Acc:Q93VC7] MADSQAQLGIGSVVLGTVQSLKPYGAFIDIGGINGLLHVSQISHDRVSDISTVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKADEMAQTFRQRIAQAEAMARADMLRFQPESGLSLSSDGILGPLTPDLPAEGLDLSDIPPAEEEAAYSE >DRNTG_11816.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:327562:332387:1 gene:DRNTG_11816 transcript:DRNTG_11816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPEDIGVETVKELAPERSVSPISNIVIIIAMQTEAIPLINRFKLSEDTDQSLFPKGVPWVRYHGTYKDLHINLIWPGKDLALGVDSVGTVSASLITYASIQALKPDLIINAGTAGGFRAKGACVGDIYLASDVAFHDRRIPIPVFDTYGIGARRTCLTPNLIKELNLKVGKLSTGDSLDMTPQDEAAILANDATVKDMEGAAIAYVADLFAVPAIFVKAVTDIIDGEKPTAEEFIQNLVAVTEALDQAVTQVIDFISAKCLSHL >DRNTG_14358.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21708606:21709516:-1 gene:DRNTG_14358 transcript:DRNTG_14358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCISSTAMEPSSPATTTVKVVFPDGALREFEWPVMASQALGKDHSRNYFVCDADEMEIDGYVSAVDGDEELRRGQLYFVLPRSMLKSPIHGEEMAALAARASKALIGGRRRRGRGVVAPVEFEIGSAPEKKRVLKKGSGRGRKFSARLSAIPE >DRNTG_34701.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002183.1:4173:7402:-1 gene:DRNTG_34701 transcript:DRNTG_34701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRELNLTFLRDYITNRRPLGGLLLLLPQKSNEKIVGEIKKLGINNKEAVMRNILVELEQLLIHANIPYPVYFAFEDDKINAALADVRKSDMATQPATATTGGYKLVLSSPEPKKLVSPTLTNIQGWLSGLKGKAILISCQLLL >DRNTG_10288.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20300521:20302560:-1 gene:DRNTG_10288 transcript:DRNTG_10288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKCTQKQKQKQKQKQNKTKIGAKPHLSQGASFLQNLGWNEHFSLHNLFNSTQNFPINNYPLRQTLWRNRGHLV >DRNTG_00422.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21955245:21955837:-1 gene:DRNTG_00422 transcript:DRNTG_00422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLITKPGTQKRVAVVTGGNKGIGFEIVRQLANNGIMVLLTARDEKRGTEAVEKLTNSGVSDVLFHQLDVSDPASVLSLAQFIKINFGKLDILVNNAAVSGIELEPDAMDFTQTSN >DRNTG_02073.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000104.1:59092:59581:1 gene:DRNTG_02073 transcript:DRNTG_02073.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPALTTPNSTSSSGAAEEDFFLKHGLRPRQ >DRNTG_02073.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000104.1:59215:59581:1 gene:DRNTG_02073 transcript:DRNTG_02073.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPALTTPNSTSSSGAAEEDFFLKHGLRPRQ >DRNTG_03449.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000158.1:9364:13477:1 gene:DRNTG_03449 transcript:DRNTG_03449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSNKNKNAKLVLLGDVGAGKSSLVLRFVKGQFIEFQESTIGAAFFSQTVSVNDESVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDLTNPASFTRAKKWVQELQAQGNANTIMALAGNKADMLEARKVTEEATKTYAQENGLFFMETSAKTALNVNDIFYEIAKKLVQAQTVAPNPSGMILMDRPADQAPPRTSSCCA >DRNTG_16160.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14851883:14853240:-1 gene:DRNTG_16160 transcript:DRNTG_16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYYRSVYGIQGAVRLVFPNSHHRLCLWHIMKKVPEKLGGLNEYKAIKKILKRVTYEALDIQEFEDTYLKMMVDYNIENNEWLNSLFKIRDRWAPIYVKGLFWAAMSTAQRSESVNAFFDGYVVPTTSLKQFVEQYDDALKSKIEKENKADFASFNSCFPLITDCYFEKQLQEAYTNEIFKLFQDELRGMIYCNLTLNGSHGAIYTFQVSDVVRGKEDAFRKQVVYNVYTNEEEFDIKCSCQLFEFKGIICRHICKVLIEKNVKDIPSRYILPRWRKDIKRMHTYVQNCYDDPQTSEEKLRYNKLCSHFTKAAELGAQSNDKYNLLMNYVDEAIEKLMDNTTCKEKFTPMLSEATIVPHQKFLTPLKVRSKGHPPSKWKKSKVEEIIIKNKKKKAQTKGDVAAQKFTQDDHCTQESVVILL >DRNTG_18058.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:104705:105588:-1 gene:DRNTG_18058 transcript:DRNTG_18058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKLGESVEDYMSRVIDILYRMRMLKEDVPVKTVVSKMFRSLTPRFMHVVSRRRKGLFMSSVIHSENKEVAVEGVVAVGIIEEEDENEHKGHNLLSMGQLMDSRYTVEFSGGKCTIRNAKTKAKVA >DRNTG_05912.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4976340:4977256:-1 gene:DRNTG_05912 transcript:DRNTG_05912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIMCLQICSLLGLLIVTDADLQLGLYTKTCPKAESIVFQYNREHIPHARSLAAAVLRMHFHDCFGCHALVLLNSISKTPEEKRTPPNLSLRGFSFIDQIKSLVEKECLELFHVRTLSAFK >DRNTG_12505.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29956003:29959253:-1 gene:DRNTG_12505 transcript:DRNTG_12505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGAMDREIIGGLINSVSRYIHLAACQNMKSATMKGFGNMVGILKLLKVVLDEVLNSEMLIDEQLIEAAEKLDAMVNEAREIMERRPQRMSKICSVLQTESIMLKARNFSLEICDALSKLQESSSFSSNSSSIQQCMQELQSVEQGQTSELIEIALKDECEIVTPSLEDILKIMENLGLTSNRELLMESIALEKERIRAELKKKTEYSDHIYQIIRLVSHFRHCMANIEQLGFLNGKPIPSYFRCPLSLELMVDPVIVASGQTYERSFIQKWLDNGLRICPNTRQPLDHINLIQNFTVKALIANWCEENNIKLSDSIQSEIISLPFSPTGGAPEELSPGNSFRDSLHRVSSSRSSLEYVKSEEQSFFAKHHQLMSDKEVVQHCGLANQQSCCHSKSESISSTISSIDIPSKFDEKVSLSEEINYTSPWPSKDQLNNPKNIHNNGTGTHAQVQKLVEDLKSRVSEVQTAAASELRLLAKNDKDNRNLIAECGAIPPLVSLLYSKIKRVQENAVTALLNLSINDNNKILIAEAGAIEPLIQVLESGNNEAKENAAATLFSLSVLEEYKIKIGRTNAVKALVYLLGFGSLRGKKDAAAALFNLSIYHENKARIVKAGAVKYLVEMLDPNTGMADKSVALLTNISTIPEGRSAIAQEGGIPLLVDTMETGSQRGKENAASALYQLCINSQKLCSIVLQEGAVPPLIALSQFGTPRAKEKAQQILSHFRSQRAGVMGKVKT >DRNTG_12505.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29956003:29959253:-1 gene:DRNTG_12505 transcript:DRNTG_12505.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKARNFSLEICDALSKLQESSSFSSNSSSIQQCMQELQSVEQGQTSELIEIALKDECEIVTPSLEDILKIMENLGLTSNRELLMESIALEKERIRAELKKKTEYSDHIYQIIRLVSHFRHCMANIEQLGFLNGKPIPSYFRCPLSLELMVDPVIVASGQTYERSFIQKWLDNGLRICPNTRQPLDHINLIQNFTVKALIANWCEENNIKLSDSIQSEIISLPFSPTGGAPEELSPGNSFRDSLHRVSSSRSSLEYVKSEEQSFFAKHHQLMSDKEVVQHCGLANQQSCCHSKSESISSTISSIDIPSKFDEKVSLSEEINYTSPWPSKDQLNNPKNIHNNGTGTHAQVQKLVEDLKSRVSEVQTAAASELRLLAKNDKDNRNLIAECGAIPPLVSLLYSKIKRVQENAVTALLNLSINDNNKILIAEAGAIEPLIQVLESGNNEAKENAAATLFSLSVLEEYKIKIGRTNAVKALVYLLGFGSLRGKKDAAAALFNLSIYHENKARIVKAGAVKYLVEMLDPNTGMADKSVALLTNISTIPEGRSAIAQEGGIPLLVDTMETGSQRGKENAASALYQLCINSQKLCSIVLQEGAVPPLIALSQFGTPRAKEKAQQILSHFRSQRAGVMGKVKT >DRNTG_12505.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29956003:29959253:-1 gene:DRNTG_12505 transcript:DRNTG_12505.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKARNFSLEICDALSKLQESSSFSSNSSSIQQCMQELQSVEQGQTSELIEIALKDECEIVTPSLEDILKIMENLGLTSNRELLMESIALEKERIRAELKKKTEYSDHIYQIIRLVSHFRHCMANIEQLGFLNGKPIPSYFRCPLSLELMVDPVIVASGQTYERSFIQKWLDNGLRICPNTRQPLDHINLIQNFTVKALIANWCEENNIKLSDSIQSEIISLPFSPTGGAPEELSPGNSFRDSLHRVSSSRSSLEYVKSEEQSFFAKHHQLMSDKEVVQHCGLANQQSCCHSKSESISSTISSIDIPSKFDEKVSLSEEINYTSPWPSKDQLNNPKNIHNNGTGTHAQVQKLVEDLKSRVSEVQTAAASELRLLAKNDKDNRNLIAECGAIPPLVSLLYSKIKRVQENAVTALLNLSINDNNKILIAEAGAIEPLIQVLESGNNEAKENAAATLFSLSVLEEYKIKIGRTNAVKALVYLLGFGSLRGKKDAAAALFNLSIYHENKARIVKAGAVKYLVEMLDPNTGMADKSVALLTNISTIPEGRSAIAQEGGIPLLVDTMETGSQRGKENAASALYQLCINSQKLCSIVLQEGAVPPLIALSQFGTPRAKEKAQQILSHFRSQRAGVMGKVKT >DRNTG_02515.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7894191:7897849:-1 gene:DRNTG_02515 transcript:DRNTG_02515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFILRRERFSQRSSIGKTGSFEELPKNENDVLKAAESVHAMIDKEVAAGINPENIFVCGFSQGGALTVANVL >DRNTG_02515.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7896750:7897849:-1 gene:DRNTG_02515 transcript:DRNTG_02515.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTSSKPFVKRTPTYASLRTTSASLSSISCVCLSLS >DRNTG_02515.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7894191:7896144:-1 gene:DRNTG_02515 transcript:DRNTG_02515.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKEVAAGINPENIFVCGFSQGGALTVANVL >DRNTG_02515.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7894191:7897849:-1 gene:DRNTG_02515 transcript:DRNTG_02515.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVQWNEEELPKNENDVLKAAESVHAMIDKEVAAGINPENIFVCGFSQGGALTVANVL >DRNTG_16808.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1593569:1600764:-1 gene:DRNTG_16808 transcript:DRNTG_16808.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSAAASGAGAGRSSTDPNRMVAGLPLVISLNCLEDLGPEQEALAGVASLHHVALSSISDGRIESAAAVLLHSLALLPRAAHRRLQPWQLILCLGSTDRAADSALAADLGLRLVHVDSGRAEEVADTVMALLLGLLRRTHLLSRHSSSIASGWLGSIQPLCRGMRRCRGLVLGIVGRSASARLLATRSIAFKMSVLYFDFHEGKGKSRVPPIFPPAARRMDTLNDLLAASDLISLHCSLTDDTMHLLNADRLRHIKPGAFIVNTGNSQLIDDCALKQLLIDGAIAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSDEVWMEIRDKAISILLSFFSDGAIPENAISDEDEDLNETGFEDDQLEKQVKEGPRLVGDDQMTDESHLSAESTQRKGVQQKKDPQVSSASQNADSSSKGKNSRSGKKGKKRPANRGSCQKSDDSSAVDRDDDIAMSGRDQALSSSSWLASPDESKNKESRLFSSNSESTSEKQVTLGVDLGRKFGELLKDGFVIALHTRDRPGFHVSRQRVPGGGWFLDTMSNVTKRDPAVQFLVSFRSKDTLGLRSFAAGGKLLQINRKMEFVFASHSFDLWESWTLEGSRLEECRLVNCRNPLAVLDVSIEILAVVDEGDGVTRWLN >DRNTG_16808.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1592804:1600764:-1 gene:DRNTG_16808 transcript:DRNTG_16808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSAAASGAGAGRSSTDPNRMVAGLPLVISLNCLEDLGPEQEALAGVASLHHVALSSISDGRIESAAAVLLHSLALLPRAAHRRLQPWQLILCLGSTDRAADSALAADLGLRLVHVDSGRAEEVADTVMALLLGLLRRTHLLSRHSSSIASGWLGSIQPLCRGMRRCRGLVLGIVGRSASARLLATRSIAFKMSVLYFDFHEGKGKSRVPPIFPPAARRMDTLNDLLAASDLISLHCSLTDDTMHLLNADRLRHIKPGAFIVNTGNSQLIDDCALKQLLIDGAIAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSDEVWMEIRDKAISILLSFFSDGAIPENAISDEDEDLNETGFEDDQLEKQVKEGPRLVGDDQMTDESHLSAESTQRKGVQQKKDPQVSSASQNADSSSKGKNSRSGKKGKKRPANRGSCQKSDDSSAVDRDDDIAMSGRDQALSSSSWLASPDESKNKESRLFSSNSESTSEKQVTLGVDLGRKFGELLKDGFVIALHTRDRPGFHVSRQRVPGGGWFLDTMSNVTKRDPAVQFLVSFRSKDTLGLRSFAAGGKLLQINRKMEFVFASHSFDLWESWTLEGSRLEECRLVNCRNPLAVLDVSIEILAVVDEGDGVTRWLN >DRNTG_16808.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1592804:1600764:-1 gene:DRNTG_16808 transcript:DRNTG_16808.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSAAASGAGAGRSSTDPNRMVAGLPLVISLNCLEDLGPEQEALAGVASLHHVALSSISDGRIESAAAVLLHSLALLPRAAHRRLQPWQLILCLGSTDRAADSALAADLGLRLVHVDSGRAEEVADTVMALLLGLLRRTHLLSRHSSSIASGWLGSIQPLCRGMRRCRGLVLGIVGRSASARLLATRSIAFKMSVLYFDFHEGKGKSRVPPIFPPAARRMDTLNDLLAASDLISLHCSLTDDTMHLLNADRLRHIKPGAFIVNTGNSQLIDDCALKQLLIDGAIAGCALDGAEGPQWMEAWV >DRNTG_30914.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7116024:7117079:-1 gene:DRNTG_30914 transcript:DRNTG_30914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLFPLFSSSNSLPLLLLLLRNTSTNYYYYYVVLVLTTTYYCETMSQEQQPRKPQQDQTPSPIKYSDVFNVSGSLSDQTITPQDAAMMQSAETLTTGQTLPASAASTMQSAATRNKRAGHVTRDDISPAAAHHGVSVTQSDLPSGRHVITETVAGQVVEQHSRPEPVTMTSPAGALKQDAVTIGEVLEATAAMAGRKPVTLSDAAAIQAAEAIITGGSGVPAPGGVAAEAQSAVAANELVTHDEYKTKLSDVLSDATMKLPGDKPVTKEDAERVIAAELRNNEEGMTAMGGVAASVSAAARINQERKDV >DRNTG_26524.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2390282:2398363:-1 gene:DRNTG_26524 transcript:DRNTG_26524.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWELAAILNFLHVFRPLLHIELEISAEELETALITPNSTLEYIHIPLLKAIPPVIRMALGHATWITVLSKKLKAWWHWVCNSSTYLLYKNHHYQVNFFCSK >DRNTG_26524.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2390282:2396398:-1 gene:DRNTG_26524 transcript:DRNTG_26524.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSLKQGIQISLFRRERIGGDSHGISFWYEDDPILGHRLYRDIRQVEVTKMKAKGARSVPPLSYQWETIATNLDEFQEVSEKLFSSKNRMEAALGKKLKFDFLPEIEKIHKKKEKLLKKQHRQALLLDGFLNTDGISSGRALRDRKPVTYTFDDYDRSISEAIKITKKRQASPEHAVQREVVVKPSSAPLANGKSSGPSYISQRVSDVSGSLESHNEAIDAEHNSEPLDRSNRRRKRPERYSEKEFVQAVSDDADFDSDDDIVGEAVYDEEYLESRKRKKMVSSSASDGDEEYRWEDESPDDEEEEDESLSISEDMDKPRRVKKLLNHSRRETKTRSVGELQSGLRRSKRSARLRGNYRQYELSESDGDSAKRAKPKATNRHSDTKGDLEMPSLSQESQCKVGEKPKHPDLIQDGMEEKQQQQQIVEKKESSEQDDNNVRCHFLDLNELAPGTGFDEGTSAMMRTTSD >DRNTG_26524.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2390282:2398071:-1 gene:DRNTG_26524 transcript:DRNTG_26524.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWELAAILNFLHVFRPLLHIELEISAEELETALITPNSTLEYIHIPLLKAIPPVIRMALGHATWITVLSKKLKAWWHWVAEGEIPIVASHGEEVEAYKALDPKIRVHILKALCDIRVEQDDIRSYMEDSLKQGIQISLFRRERIGGDSHGISFWYEDDPILGHRLYRDIRQVEVTKMKAKGARSVPPLSYQWETIATNLDEFQEVSEKLFSSKNRMEAALGKKLKFDFLPEIEKIHKKKEKLLKKQHRQALLLDGFLNTDGISSGRALRDRKPVTYTFDDYDRSISEAIKITKKRQASPEHAVQREVVVKPSSAPLANGKSSGPSYISQRVSDVSGSLESHNEAIDAEHNSEPLDRSNRRRKRPERYSEKEFVQAVSDDADFDSDDDIVGEAVYDEEYLESRKRKKMVSSSASDGDEEYRWEDESPDDEEEEDESLSISEDMDKPRRVKKLLNHSRRETKTRSVGELQSGLRRSKRSARLRGNYRQYELSESDGDSAKRAKPKATNRHSDTKGDLEMPSLSQESQCKVGEKPKHPDLIQDGMEEKQQQQQIVEKKESSEQDDNNVRCHFLDLNELAPGTGFDEGTSAMMRTTSD >DRNTG_26524.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2390282:2398363:-1 gene:DRNTG_26524 transcript:DRNTG_26524.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWELAAILNFLHVFRPLLHIELEISAEELETALITPNSTLEYIHIPLLKAIPPVIRMALGHATWITVLSKKLKAWWHWVCNSSTYLLYKNHHYQVNFFCSK >DRNTG_26524.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2390282:2395248:-1 gene:DRNTG_26524 transcript:DRNTG_26524.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSLKQGIQISLFRRERIGGDSHGISFWYEDDPILGHRLYRDIRQVEVTKMKAKGARSVPPLSYQWETIATNLDEFQEVSEKLFSSKNRMEAALGKKLKFDFLPEIEKIHKKKEKLLKKQHRQALLLDGFLNTDGISSGRALRDRKPVTYTFDDYDRSISEAIKITKKRQASPEHAVQREVVVKPSSAPLANGKSSGPSYISQRVSDVSGSLESHNEAIDAEHNSEPLDRSNRRRKRPERYSEKEFVQAVSDDADFDSDDDIVGEAVYDEEYLESRKRKKMVSSSASDGDEEYRWEDESPDDEEEEDESLSISEDMDKPRRVKKLLNHSRRETKTRSVGELQSGLRRSKRSARLRGNYRQYELSESDGDSAKRAKPKATNRHSDTKGDLEMPSLSQESQCKVGEKPKHPDLIQDGMEEKQQQQQIVEKKESSEQDDNNVRCHFLDLNELAPGTGFDEGTSAMMRTTSD >DRNTG_26524.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2390282:2391988:-1 gene:DRNTG_26524 transcript:DRNTG_26524.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSASDGDEEYRWEDESPDDEEEEDESLSISEDMDKPRRVKKLLNHSRRETKTRSVGELQSGLRRSKRSARLRGNYRQYELSESDGDSAKRAKPKATNRHSDTKGDLEMPSLSQESQCKVGEKPKHPDLIQDGMEEKQQQQQIVEKKESSEQDDNNVRCHFLDLNELAPGTGFDEGTSAMMRTTSD >DRNTG_28642.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23894679:23896612:1 gene:DRNTG_28642 transcript:DRNTG_28642.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLILWITGKVMSYGEGGSCGTCIVEIVEGQELLNERTETEKWYLKKKLKT >DRNTG_28642.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23893075:23896612:1 gene:DRNTG_28642 transcript:DRNTG_28642.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLILWITGKVMSYGEGGSCGTCIVEIVEGQELLNERTETEKWYLKKACFISKLSRL >DRNTG_28642.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23894679:23896612:1 gene:DRNTG_28642 transcript:DRNTG_28642.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLILWITGKVMSYGEGGSCGTCIVEIVEGQELLNERTETEKWYLKKKLKT >DRNTG_28642.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23892835:23896612:1 gene:DRNTG_28642 transcript:DRNTG_28642.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNWLITICGDIHGQFHDLMKLFQTGGHVPETTYIFMGDFVDHGYNSLEVFTILLLLKARYP >DRNTG_28642.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23891975:23896612:1 gene:DRNTG_28642 transcript:DRNTG_28642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNWLITICGDIHGQFHDLMKLFQTGGHVPETTYIFMGDFVDHGYNSLEVFTILLLLKARYP >DRNTG_23278.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001262.1:85780:87380:1 gene:DRNTG_23278 transcript:DRNTG_23278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRDRPSSSSSSPSPISKKPRPLPSDDLLSLLISATSLALRFLSSSSPLLPSYLSHPLYSSLLSSSSSLSRLLSLLPYPPPPPSPPPPPYPSWFLPFLTPSSPSSLWLPYFRMSKPTFDLLLQTLTPSLTADSAPPSHKLAAALLRLSHATPFSDLARRFSFSSPSLACRAFYEVCRAITHRLGHLFELRSDPRRILQGFNWMSLPNCLGAVGFSRFLIDGPACGGSVILQAVVDSEGRFLDVSAGWHGEMTPCPDSPPDGAV >DRNTG_28755.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7086651:7091385:-1 gene:DRNTG_28755 transcript:DRNTG_28755.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSTLIQTNPCHATASFSSSSSSWRSISSKCHTPGVEG >DRNTG_20375.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:127316:127799:-1 gene:DRNTG_20375 transcript:DRNTG_20375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEPLHVLFFPFLTRSHMIPMLETARLFSENGIKTSIVTTPANADPHQTSTSPPSLHLPPSHPPFLRPPSTSLPAAKNLTAVPVHLTTDFFSAAFNLRDPFSHLLSSLRPDAIISDAIYT >DRNTG_28738.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31093705:31095482:1 gene:DRNTG_28738 transcript:DRNTG_28738.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIAGATKTEAPNTSNKVHMPANANTCEGSSSASA >DRNTG_28738.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31092025:31095482:1 gene:DRNTG_28738 transcript:DRNTG_28738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTKRQDGPSAAKEEGTAPERVAP >DRNTG_28738.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31092025:31093568:1 gene:DRNTG_28738 transcript:DRNTG_28738.8 gene_biotype:protein_coding transcript_biotype:protein_coding QSTSSPQFGQEPLSATRSRLHRPSSAFTVANVDYLSLSDKVMKPCRGLNRFESNKQHKIDKH >DRNTG_28738.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31093705:31095482:1 gene:DRNTG_28738 transcript:DRNTG_28738.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTKRQDGPSAAKEEGTAPERVAP >DRNTG_28738.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31092076:31095482:1 gene:DRNTG_28738 transcript:DRNTG_28738.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIAGATKTEAPNTSNKVHMPANANTCEGSSSASA >DRNTG_28738.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31092076:31095482:1 gene:DRNTG_28738 transcript:DRNTG_28738.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIAGATKTEAPNTSNKVHMPANANTCEGSSSASA >DRNTG_28738.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31095228:31095482:1 gene:DRNTG_28738 transcript:DRNTG_28738.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTKRQDGPSAAKEEGTAPERVAP >DRNTG_28738.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31092076:31095482:1 gene:DRNTG_28738 transcript:DRNTG_28738.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTKRQDGPSAAKEEGTAPERVAP >DRNTG_02780.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1234580:1236534:-1 gene:DRNTG_02780 transcript:DRNTG_02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIQCATKWFLSTGRQPLPLVAAVASFVAEINSVEASISEIATEIHANVSTSKLRYKEFVDTLVRVARALLPWGEDVNAKNLIHHAPLLIRLMEIKSKSNSKARSQDEIFSLDLDDFLSKNSEEDSKYFNVGTGDESKDNYSRMSSQELERLKLSGISLAHGYKKALEKLGTVGENAVGLGMDLGKKRRLDVKVLLDSWKKRGIWVPNKEITLEQVVERDVGFEALPPSFVAGLESRRMRKMKIEAAKLRINETMNPCFDTSAITTETEESSRRSDWKPLLGKRKRRQGNGEGDAKCIDWEDCLIELLLLHQVNEDEIEQGQYKRLLDLHVF >DRNTG_29125.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1276308:1276916:-1 gene:DRNTG_29125 transcript:DRNTG_29125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTVEVESPVAASRLFKALLEWHNLAPKATPEIISSAQIITLNETEGVGSVRQFNFTPVMPFEYIKERLDFVDLEKLESKQSLVEGGDLGKKLESATTEYKFTPSSTGGCAVKMVMTYKLLPGVEVTDEEVKAKGALTAFVKAAEAYLLANPDACA >DRNTG_02501.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7683502:7684803:1 gene:DRNTG_02501 transcript:DRNTG_02501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSSSPASSSHPNPHLLPPSSTDPNPLITPHSLASSTSPKTGDILIGKYQISHLLGRGSFAKVYQAQALSDGSKVAIKVLDKAKIISAGLTDRVITEVSAMRRLSHPNIIHLHELMATRSKIYLIMDLAPGGDLFSQILHHRRSKLPESTARRYFHQLISALLYCHTRGVFHRDIKPHNLLLDADDNLKVADFGLSAIPESLKNGLLHTACGTPAFTAPEILRSVGYDGSQTDAWACGVVLYFLLTARLPFEEYNTQLMYKKMLARCYSFPSSFPPMARQVINGFLDPNPETRLSIKGVIDTPWFMKRAFSFESQVGSTSSSSLLELKSFDSMNAFDIITRSKSFNLSGLLEDGERKRAQRFSSNETVEVVFERVREAGAKQGCVLIVEILEVAEKMLMVEVKEEGSVNGYELISWDKLRDDLQDILLVWE >DRNTG_24949.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7925544:7926466:-1 gene:DRNTG_24949 transcript:DRNTG_24949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLPIHLAWEAKVGGPVNYRWMYSVERYMHKLKGYVRNKSRPEGSIAEGYIAEECLTFCSRYLHGIETKFNRPERNYDGDSLSRNTSSFQIFGMFGQPFGKANMQELSNDLLNAAIFYILQNCEDVQSFISEHKNILSEKGVRNIDNIHKCEFSSWFHKRIGDVYNENDE >DRNTG_00768.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:12863944:12864198:-1 gene:DRNTG_00768 transcript:DRNTG_00768.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGCSKGIKGLNKGKTYCKDLCDNIQSISKPVIRRLASLSGVKHISSLIYGETMGVFKIFLENVICNAMTYMEHRNMLRGRRS >DRNTG_15941.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000790.1:26868:27140:1 gene:DRNTG_15941 transcript:DRNTG_15941.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRRSSDHSFAGEEREREREGFGERID >DRNTG_15941.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000790.1:25692:27140:1 gene:DRNTG_15941 transcript:DRNTG_15941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTAGNSLPPSSSSICRTPLEDPVKNQRITVYFFSDLCSLPQKE >DRNTG_33642.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1969339:1974918:-1 gene:DRNTG_33642 transcript:DRNTG_33642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFRNLIDSFSQFFSVSDPSSARHHDPQLMDASASAAAGPSVVSERVVNKLKGYFDLAKEEIDKAVRAEEWGLTDDAIAHYKNAHRVMLEAKAARVPSAMPSSDQEKVRIYQQKISKWQENVTERLQVLSKRTGGVPPKKTTLGQSLDRASSSIRATTKAPLQSLPSSKRSTTSVSGNQKNSNGFSRPTEVAANNYDSKLIETINTAIVDRSPAVKWDDVAGLEKAKQALLEMVILPTKRRDLFTGLRKPARGLLLFGPPGNGKTMLAKAVASESDATFFNLSASSLTSKWVGEAEKLVRTLFMVAISKQPSVIFIDEIDSIMSTRMANENDASRRLKSEFLVQFDGVTSNPNDLVIVIGATNKPQEIDDAVLRRLVKRIYIPLPDHNVRRLLLKNQLKGQAFSLPGGDLEWLVKETEGYSGSDLQALCEEAAMMPIRELGPQNILTIKANQLRPLRFGDFQKAMAVIRPSLQKSKWEELEEWNREFGSN >DRNTG_33642.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1969339:1974918:-1 gene:DRNTG_33642 transcript:DRNTG_33642.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVILPTKRRDLFTGLRKPARGLLLFGPPGNGKTMLAKAVASESDATFFNLSASSLTSKWVGEAEKLVRTLFMVAISKQPSVIFIDEIDSIMSTRMANENDASRRLKSEFLVQFDGVTSNPNDLVIVIGATNKPQEIDDAVLRRLVKRIYIPLPDHNVRRLLLKNQLKGQAFSLPGGDLEWLVKETEGYSGSDLQALCEEAAMMPIRELGPQNILTIKANQLRPLRFGDFQKAMAVIRPSLQKSKWEELEEWNREFGSN >DRNTG_33794.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32501480:32505804:-1 gene:DRNTG_33794 transcript:DRNTG_33794.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSASSSSGSSPGQSKRKRNQVPHDVISSDGEDDPDGIVGDNIPDQKNKQPVAYAEDWQNMTKDALTTELIGSSTYKVWKDDVTVPDIPGSSDLDLINFNNSDGYEDDEFDFVEDDNVDFAYENYLTDNDLNSSLAAKLDDLDLPAGVEASVSWLQKHDVRSTRAKKENTDDEIERKYKAFKQFDTVQDSSDHYFACPKSDDGGTSAVKKPPKEWAKRIQSEWKVLEKDLPENIFVRVYEDRMDLLRALIIGPAGTPYHDGLFFFDICFQSNYPHSPPLAHYHSGGLRINPNLYACGKVCLSLLNTWPGSGCEKWNPSSSTMLQVLVSIQALVLNAAPYFNEPGYEQYANSSHGDRLSSSYNEETFLHSCKTMLYTMRRPPLHFDVFVSGYFREKGRAILAACRAYMQGAKVGNPNLDVLPDAPSDTSSPSPELLDVFSEASSASSFKRMLKSIFEELLMEFTVKGADCNEFLVQKATAGLAKGPDTSLKL >DRNTG_19402.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7169411:7170123:-1 gene:DRNTG_19402 transcript:DRNTG_19402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCYAAEERFAQGLEDVSVCLGGRVVRPSMKERSQCGLQCTNFGENLAK >DRNTG_20613.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20694031:20695060:-1 gene:DRNTG_20613 transcript:DRNTG_20613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFYEQGRSSRRDDPEVIELLTAIEKAKEEFESIERPTLEIENPKEKQIPTAKAIPSEDSQNSHSHDPQSTSSPKSTVIAGSPKSTRASTELDPDSELARLELEFGKVNKDYSSEEISGWEFDELEKELRSEAYNNP >DRNTG_15783.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17162251:17163599:-1 gene:DRNTG_15783 transcript:DRNTG_15783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYQICFFSPFKKALKVGILALFCGSLRYWGVEVLASSCWKDVCIVFLMVLVGFLVLRGRRCPHRSEELKGVCSHCVHCSSCQSMNQSCDPCKKEDKSESEEALDGTEEDIVMKLKEEIAREKELRNALELELERERTAASWAADEALAKISKLQGEKWWAEMEANQERIKAELNQLSYLHVIESLYGDLKMRGMNEDINSNHLDS >DRNTG_15783.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17162398:17163599:-1 gene:DRNTG_15783 transcript:DRNTG_15783.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQYQICFFSPFKKALKVGILALFCGSLRYWGVEVLASSCWKDVCIVFLMVLVGFLVLRGRRCPHRSEELKGVCSHCVHCSSCQSMNQSCDPCKKEDKSESEEALDGTEEDIVMKLKEEIAREKELRNALELELERERTAASWAADEALAKISKLQGEKWWAEMEANQERIKAELNQLSYLHVIESLYGDLKMRGMNEDINSNHLDS >DRNTG_15783.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17162398:17163599:-1 gene:DRNTG_15783 transcript:DRNTG_15783.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYQICFFSPFKKALKVGILALFCGSLRYWGVEVLASSCWKDVCIVFLMVLVGFLVLRGRRCPHRSEELKGVCSHCVHCSSCQSMNQSCDPCKKEDKSESEEALDGTEEDIVMKLKEEIAREKELRNALELELERERTAASWAADEALAKISKLQGEKWWAEMEANQERIKAELNQLSYLHVIESLYGDLKMRGMNEDINSNHLDS >DRNTG_11602.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19432916:19437742:-1 gene:DRNTG_11602 transcript:DRNTG_11602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAICLFSCSGKRGWGEHLRVRVGVKGSFQGVCEYDRAKIGTISFLDVHMSVRPTGGVAPNASLLLSSCLTKFGIISSLPPRVHSFPDLIPFLPSQDRQEDQNSLEGVTTNIKLLLKLLHDHCDVSKEADGRRPQRVAGMITILDDVKARIEKSQKTNKRAELRRCNTDLRRGQPQPHKEKRPPSNEPLSPSEENQKLKKELWASMTARKSLERMFSSLGKEKQMITAELTRKVHELKEMEELVNDLKEHNETLSEKIKALADSKEKESNSSVLDCCDNVLELRQQNKELSEQLVKSLDRYRSMKRRVKDVQDVVSVSLERMSNLHDCVDVEVQKEFSQVEEVLVGFLEKFSKDSPKREE >DRNTG_03140.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21421759:21436315:1 gene:DRNTG_03140 transcript:DRNTG_03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVEPQPSMEPEQAPPPPELPLLAAPVIKALEIRNEASDAVIHEDLSFSQRCIDGKEDEAAIRLPIDGSLPDEKQRGKNFRQRSMHSGEGFGNGKRIGTLDVENGIHPSDSRLKLDMFAQSAQSSVSAVVVLKTLFYIVVWYTFSTCLTLYNKTLLGDKLGKFPAPLLMNTFHFGLQAVLSKIIVCIQSRGSDAVVVMTWKDYFIKVVPTALGTALDINLSNASLVFISVTFATMCKSASPIFLLLFAFAFRLESPSIKLLGIIVIISVGVLLTVAKETEFDFWGFVFVMLAAVMSGFRWSMTQILLQKEAYGLKDPMTLMSYVTPVMAVSTLILSFVMDPWREFDSNAYFNNSWHIIRSCMLMLIGGSLAFFM >DRNTG_03140.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21421759:21435835:1 gene:DRNTG_03140 transcript:DRNTG_03140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVEPQPSMEPEQAPPPPELPLLAAPVIKALEIRNEASDAVIHEDLSFSQRCIDGKEDEAAIRLPIDGSLPDEKQRGKNFRQRSMHSGEGFGNGKRIGTLDVENGIHPSDSRLKLDMFAQSAQSSVSAVVVLKTLFYIVVWYTFSTCLTLYNKTLLGDKLGKFPAPLLMNTFHFGLQAVLSKIIVCIQSRGSDAVVVMTWKDYFIKVVPTALGTALDINLSNASLVFISVTFATMCKSASPIFLLLFAFAFRLESPSIKLLGIIVIISVGVLLTVAKETEFDFWGFVFVMLAAVMSGFRWSMTQILLQVLSVPVSLAYFYYIFF >DRNTG_03140.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21421759:21435835:1 gene:DRNTG_03140 transcript:DRNTG_03140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVEPQPSMEPEQAPPPPELPLLAAPVIKALEIRNEASDAVIHEDLSFSQRCIDGKEDEAAIRLPIDGSLPDEKQRGKNFRQRSMHSGEGFGNGKRIGTLDVENGIHPSDSRLKLDMFAQSAQSSVSAVVVLKTLFYIVVWYTFSTCLTLYNKTLLGDKLGKFPAPLLMNTFHFGLQAVLSKIIVCIQSRGSDAVVVMTWKDYFIKVVPTALGTALDINLSNASLVFISVTFATMCKSASPIFLLLFAFAFRLESPSIKLLGIIVIISVGVLLTVAKETEFDFWGFVFVMLAAVMSGFRWSMTQILLQKEAYGLKDPMTLMSYVTPVMAVSTLILSFVMDPWREFDSNAYFNNSWHIIRSCMLMLIGGSLAFFMVLIEYILISVTSAVTVTIAGVVKEAVTILVAVFYFHDHFTLLKGLGLLTIMVGVSLFNWYKYEKLKNNVCENGEMMSANSNGAPRYVILDDMDTLDDDT >DRNTG_00049.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21699945:21702987:-1 gene:DRNTG_00049 transcript:DRNTG_00049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGNVTAEDLIDALREVDWSSPPRPVSEFFARFTVPRSYSKWNSRLKCNLYYYRTNYFIMIIFILGIAFLRKPLAIVAAVLTGLSIAFLNDSFAVAFNEKVTRMVRQFSPHLAAKLRPHITPVLRGRPPTKRVIHMCGQPRWVFVLAFSAASCFLWLTSCRLLTVLWALIIGILATTLHASFRTPNLKARLNKFREEFRAVWRNYSEL >DRNTG_15881.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13118136:13120274:1 gene:DRNTG_15881 transcript:DRNTG_15881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTSAATTCTASSLPPPPHKIGARSKVLRVFPRTIRRGERDFG >DRNTG_10408.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1700569:1702210:-1 gene:DRNTG_10408 transcript:DRNTG_10408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWDIKTNLQQNAPTRVHQAATETKQSFK >DRNTG_10408.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1700287:1701299:-1 gene:DRNTG_10408 transcript:DRNTG_10408.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWDIKTNLQQNAPTRVHQAATETKQSFK >DRNTG_09428.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21173440:21179970:1 gene:DRNTG_09428 transcript:DRNTG_09428.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAAEKPAEEKEKKSVAEKAPAEKKPKAGKRLPSKDAASGEKKKKKMKKGSETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >DRNTG_09428.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21173440:21176994:1 gene:DRNTG_09428 transcript:DRNTG_09428.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAAEKPAEEKEKKSVAEKAPAEKKPKAGKRLPSKDAASGEKKKKKMKKGSETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >DRNTG_09428.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21173440:21179970:1 gene:DRNTG_09428 transcript:DRNTG_09428.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAAEKPAEEKEKKSVAEKAPAEKKPKAGKRLPSKDAASGEKKKKKMKKGSETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >DRNTG_09428.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21173440:21179970:1 gene:DRNTG_09428 transcript:DRNTG_09428.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAAEKPAEEKEKKSVAEKAPAEKKPKAGKRLPSKDAASGEKKKKKMKKGSETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >DRNTG_09428.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21173440:21179970:1 gene:DRNTG_09428 transcript:DRNTG_09428.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAAEKPAEEKEKKSVAEKAPAEKKPKAGKRLPSKDAASGEKKKKKMKKGSETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >DRNTG_09428.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21173440:21179970:1 gene:DRNTG_09428 transcript:DRNTG_09428.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAAEKPAEEKEKKSVAEKAPAEKKPKAGKRLPSKDAASGEKKKKKMKKGSETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >DRNTG_09428.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21173440:21180004:1 gene:DRNTG_09428 transcript:DRNTG_09428.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAAEKPAEEKEKKSVAEKAPAEKKPKAGKRLPSKDAASGEKKKKKMKKGSETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >DRNTG_31196.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26929426:26930138:-1 gene:DRNTG_31196 transcript:DRNTG_31196.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDWAPVVVAVVFFALLSPGLFFELPGNDRIIEFGTFRTNRKAIAVHAFIFFVIISIFILALHLHIYAGCAPNCN >DRNTG_31196.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26926387:26930138:-1 gene:DRNTG_31196 transcript:DRNTG_31196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVVIAVVLFVLLTPGLLFQLPGNGRLVEFGNFQTSGISILVHTIIYFGLITIFLIAIGVHIYTG >DRNTG_22570.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14561249:14566636:-1 gene:DRNTG_22570 transcript:DRNTG_22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVSKKWIPELRHYAPGVPIVLVGTKLDLRDDKQFFIDHPGAVPITTAQGEELRKLIGAPAYIECSSKTQQNVKAVFDAAIKVVLQPPKQKRKKKRKAQKGCSIL >DRNTG_34680.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28778956:28779842:-1 gene:DRNTG_34680 transcript:DRNTG_34680.1 gene_biotype:protein_coding transcript_biotype:protein_coding PESHRTLPFDFIPLSVEPFDHTVLVEGCDNSGSVFWVHAWSVSENGVITQVREYFNTCLTVTRVAFSSGETTVWNSRLPETAGKSMPGLVLAI >DRNTG_05423.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5035283:5037377:-1 gene:DRNTG_05423 transcript:DRNTG_05423.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTFRHESMILCHFNHEASEWLKKHLYLARTLYKAPLEWMTPSVWESLQKYWGSEEFKKISEQNKKNRAVNGSSSIVIYRGGSVSTAIHRLRLIEELGREPTPKECFIRTHGKKDGTLEGTI >DRNTG_05423.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5035283:5039174:-1 gene:DRNTG_05423 transcript:DRNTG_05423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATTWPGYFINGYNFHTIVHSMEKATMNSGICVQGSNVEDTCTDFYGLLQDVVQLEYHGNRWNRIVLFECTWFDPINGTKVHPLYNLVDVNRKKMYPKYDPFVLAQQAIQVNYIEYPSMKKGQG >DRNTG_03872.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3778024:3779737:-1 gene:DRNTG_03872 transcript:DRNTG_03872.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRLPNLTKDLMKKGKHFMLIRNPLYILTSLNKVMPPSFLELGWAELVSIYCEQCELGNPPPVIDAEDLRQNPEVSHL >DRNTG_08147.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5576484:5584899:1 gene:DRNTG_08147 transcript:DRNTG_08147.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEDVFISLKELFPQVDHRILKAVSIEHANDVDAAVEFIVSDVLPRFSGPLIETQGIKQSSHKGSFSQAFLGGSNANEIASQFSKPKAVAFGTHLYDSLINNCVTSLMEETTSRDLCASRPYVPRFLANFHKTFGDGGSSMHSREDDVEYEVPLVSDHLIGALHCTSSLMDERLPVGSLSNLQGGNSHDQLFSDRDFGTTLKDDSVLQIQNSNSLHDSFQELDCLSGKATFSPSLGGSDDDQSIILGNMSDELGSVACSEKDTNGSMFGSEKLTSCSADPANIQDSDRIEYKFADKDAFASPQEANPCVLENCISSVQFVDSAIEEFNCSTGIQEFQLGSMTEKVADLPSLSHNDCQITNLSTKSSQILSIEFLEDFIADAKTNKKVLLSAIESTIDMMKDVELEEERAKQAKVEAFKAGEDILSKVEELREILKHSKEANDMHAGEVYGEKSILATESRELQSRLLGLSNERDKSFRIIKEIEETLKERLTTAKKEIAAAEQEKLERERLAQKALIEQEQLMDAIVQESKALQQQAEENSKLREFLMERGQIVDTLQGEIAVICEDVLSLKERVDGRIQLSRSLLSRTGSLASSLSSSSSSRTSLSGSKSPSVELKDSPNPNPNSNPLATTTQQLLKSQELKSSTNNGKTTSGEKHGIDLDWELFECPILNLAETT >DRNTG_09703.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:766851:767166:1 gene:DRNTG_09703 transcript:DRNTG_09703.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGGIIFLVGAAINGAAKDVAMLIIGRILLGIGVGF >DRNTG_09703.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:766553:767166:1 gene:DRNTG_09703 transcript:DRNTG_09703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAIVNTAGGKDYPGKMTLFVFLTCLVAATGGLIFGYDLGISGGVTSMNSFLRKFFPSVYRKQLANQTTNQYCKFDSQLLTTFTSSLYLAALIASFFASTVTRVFGREVVYVWGWHHLLGWCCH >DRNTG_31361.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28405435:28407637:-1 gene:DRNTG_31361 transcript:DRNTG_31361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDEFGFYKEGRGHGFPFGFDGFLSLLLKPKDYYQILEVGSDASDETIRSNFIRLALKWHPDKRKDEAGATSRFQQINEAYQVLSDPVKRQEYDAQGIRNIQGSSLNNYLNRNKGMILSCHGLGTGFSMW >DRNTG_17241.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000886.1:4934:35148:1 gene:DRNTG_17241 transcript:DRNTG_17241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPHHQQWQRSRQSAAENHNSRESTRACGNYPHPCGNSTWASEKSTGPCGCPIPALFKADFSPDFSILSSIFSPT >DRNTG_06530.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29540205:29544135:1 gene:DRNTG_06530 transcript:DRNTG_06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIVITSPGGPEVLQLQDVEDPSIKDDEVLIQVAATALNGADLSQRMGSYSPPKGASPYLGLECSGTILAVGSSVHRWKPGDQARRSRLGFLL >DRNTG_20070.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1289230:1297323:-1 gene:DRNTG_20070 transcript:DRNTG_20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRTRRYNLGKKEKKTRSRYCSCHCSTWRVFKSGIESWHTASMLPGMITVAIEEDVFLKAYWLNEVLLGDPVHLRVSTQSGYYFTQVRATQAPFTTTIERCLFY >DRNTG_19973.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:787134:790526:-1 gene:DRNTG_19973 transcript:DRNTG_19973.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTEDDARYPPNPRRSFPPSSHRPKIPFRNPPSPPPAAYDDDEPDRAIEDSESDSDGDRGYRRMRGDDEDSSSSESRGKRRRLDKLALGFEFAPRAAAAAAAARPPPPPPARNSPADWSEESTFALLDAWGELYVQNGRKSLRSEEWSEVAKKVSQSSRTARSDTQCRNRVDTLKKKYKKEKASMAESMNPNSKWVYFKKMDFLMSAPSPPPPLPPQLQPQPSWQPPAPPRPSPYLNRSNGRDEMRDSPGETGTDNDEDDDDDSDGLPPKMTKGLGVPGPASFRMLADSIKRFGEIYERMENNKRQQLAELDRMRKEFQRDLEIHKRQILERAQVELAKLRQTEEEEEDEEDEEDIDASAR >DRNTG_19973.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:787468:790526:-1 gene:DRNTG_19973 transcript:DRNTG_19973.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTEDDARYPPNPRRSFPPSSHRPKIPFRNPPSPPPAAYDDDEPDRAIEDSESDSDGDRGYRRMRGDDEDSSSSESRGKRRRLDKLALGFEFAPRAAAAAAAARPPPPPPARNSPADWSEESTFALLDAWGELYVQNGRKSLRSEEWSEVAKKVSQSSRTARSDTQCRNRVDTLKKKYKKEKASMAESMNPNSKWVYFKKMDFLMSAPSPPPPLPPQLQPQPSWQPPAPPRPSPYLNRSNGRDEMRDSPGETGTDNDEDDDDDSDGLPPKMTKGLGVPGPASFRMLADSIKRFGEIYERMENNKRQQLAELDRMRKEFQRDLEIHKRQILERAQVELAKLRQTEEEEEDEEDEEDIDASAR >DRNTG_19973.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:787512:790526:-1 gene:DRNTG_19973 transcript:DRNTG_19973.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTEDDARYPPNPRRSFPPSSHRPKIPFRNPPSPPPAAYDDDEPDRAIEDSESDSDGDRGYRRMRGDDEDSSSSESRGKRRRLDKLALGFEFAPRAAAAAAAARPPPPPPARNSPADWSEESTFALLDAWGELYVQNGRKSLRSEEWSEVAKKVSQSSRTARSDTQCRNRVDTLKKKYKKEKASMAESMNPNSKWVYFKKMDFLMSAPSPPPPLPPQLQPQPSWQPPAPPRPSPYLNRSNGRDEMRDSPGETGTDNDEDDDDDSDGLPPKMTKGLGVPGPASFRMLADSIKRFGEIYERMENNKRQQLAELDRMRKEFQRDLEIHKRQILERAQVELAKLRQTEEEEEDEEDEEDIDASAR >DRNTG_15191.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5931878:5932567:1 gene:DRNTG_15191 transcript:DRNTG_15191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRRAQQQTVHSNKFIPLLLCTAMIHGYFSRASEGKQRRKRETRKRSCEGMKAAARVSK >DRNTG_15379.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26943461:26946933:1 gene:DRNTG_15379 transcript:DRNTG_15379.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKEEERNEKIIRGLLKLPPNRNCINCNSLGPQYVCTNFWTFVCTICSGIHREFTHRVKSVSMSKFTLKEVEALQKGGNQRAREIFLKDWDLQRMMLPDNSNTEKIREFIKHVYVDKKFAEGTSTESLKNHEDHRRSRSYHSYSQSPVYDNQYDERRNGKKYGMLTRKPGSDRGYEVKISSILHSPDQLLKQMHEEKYTDKMAVAVSPLKFGRNSPNFLDDQDAKSNVHQDLNGIPRMLRTTSSSNSIGSFAREPISLRSVNSANFTDTSTEYRHLSRTRSAEIPAAASLIRSSSTSIYLAPTVDLFTEITPQPSSANSVSQKLSKDRVSENDQWATFDTPNHAEYATLPNDIHPFVSAPWHVSPNEASQPWNAFGDDTESSNHSLFQHNAPFSNPYAEIKVKEVLMHFNSMSNVLLYWSILCLQFSNCRFL >DRNTG_15379.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26939207:26946933:1 gene:DRNTG_15379 transcript:DRNTG_15379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMCWPGRRAEPKSAVRLEGEMMRMRVTRRSIRDSGMENSSSMQRGMAPPQGFVPEGLRSIRATRKVPDFTLWDFIAKKMSSKKEEERNEKIIRGLLKLPPNRNCINCNSLGPQYVCTNFWTFVCTICSGIHREFTHRVKSVSMSKFTLKEVEALQKGGNQRAREIFLKDWDLQRMMLPDNSNTEKIREFIKHVYVDKKFAEGTSTESLKNHEDHRRSRSYHSYSQSPVYDNQYDERRNGKKYGMLTRKPGSDRGYEVKISSILHSPDQLLKQMHEEKYTDKMAVAVSPLKFGRNSPNFLDDQDAKSNVHQDLNGIPRMLVSY >DRNTG_15379.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26943461:26946933:1 gene:DRNTG_15379 transcript:DRNTG_15379.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKEEERNEKIIRGLLKLPPNRNCINCNSLGPQYVCTNFWTFVCTICSGIHREFTHRVKSVSMSKFTLKEVEALQKGGNQRAREIFLKDWDLQRMMLPDNSNTEKIREFIKHVYVDKKFAEGTSTESLKNHEDHRRSRSYHSYSQSPVYDNQYDERRNGKKYGMLTRKPGSDRGYEVKISSILHSPDQLLKQMHEEKYTDKMAVAVSPLKFGRNSPNFLDDQDAKSNVHQDLNGIPRMLRTTSSSNSIGSFAREPISLRSVNSANFTDTSTEYRHLSRTRSAEIPAAASLIRSSSTSIYLAPTVDLFTEITPQPSSANSVSQKLSKDRVSENDQWATFDTPNHAEYATLPNDIHPFVSAPWHVSPNEASQPWNAFGDDTESSNHSLFQHNAPFSNPYAEIKVKEVFVENGFGTSVVPSVNTAWEQKSTNPFELPYSSKLESDYEFFDMNDLRAALPNPYTSIGLPGGFPEPWIPQNSTTPFISPGLTYIAGQLPGQQLMNIHSQAPVASVGGNPFA >DRNTG_14001.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27726579:27729796:1 gene:DRNTG_14001 transcript:DRNTG_14001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLTRSFTSLRLGVVLVDFFLRSLREMSREFPNVQVFKVDIDLDGLGSTLSKFEIYSVPTLHFFQNGKKVGTVVGADVEQVKGKMVDLYK >DRNTG_30005.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11612565:11612901:-1 gene:DRNTG_30005 transcript:DRNTG_30005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAILSLATCSESSCSYSGYPIWIAGGDRLHASAGVGVWARQPATQQPEVGGRSGSRTPPLDPGGVFEKKRESE >DRNTG_18952.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11365647:11366140:-1 gene:DRNTG_18952 transcript:DRNTG_18952.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEAPPAAEEPPPVRMFSPSRANDRFERLENAIGVVRAEPRTLQAPPVPPAPPSSTPAPEDPLYASTSAAAAAEPESDSDT >DRNTG_05299.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2545642:2546116:1 gene:DRNTG_05299 transcript:DRNTG_05299.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDCNLVEYDNGNAIWASGTNGRGSSCYVTLQSDGNLVIYDNNNNAVWASNTNVGQGNYVLILQKDRNVVIYGGALWATNTNTVGVSRGMFIQSKATIFGSLPANETTAEAKAARISMVVNK >DRNTG_05299.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2545642:2629484:1 gene:DRNTG_05299 transcript:DRNTG_05299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDCNLVEYDNGNAIWASGTNGRGSSCYVTLQSDGNLVEYDNGNPIWASGTNGRGSSCYVTLQSDGNLVIYDKNNNAVWASNTNVGQGNYVLILQKDRNVVIYGGALWATNTYKSTGVSGDMFIQSKATIFGALPANKTTAGAKAARIAMVVNK >DRNTG_08678.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4846055:4846788:1 gene:DRNTG_08678 transcript:DRNTG_08678.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTHQNYSTKLVIYTRDSKNDVVEAASQVIDLLNKKQVKAIIGPQKSSQAVFISNLGDKAKVPIISF >DRNTG_16418.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5498447:5499382:1 gene:DRNTG_16418 transcript:DRNTG_16418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSTTSFKKYTDLKRQAEMDDMEAGISSGTEAGKESVNLDKFFEDVENIKDDIRGLDNLYRRLQESNEESKTVHNAKTMKELRARMDADIEQVLRKAKAVKGKLEALDRSNAANRKVPGCGPGSSADRTRTSVVGGLGKKLKDLMDEFQGLRARIAAEYKETVGRRYFTVTGQQADEGTIENLISSGESESFMQRAIQEQGRGQVMDTISEIQERHDAVKEIEKSLLDLHQVFLDMAALVEVQGQQLNDIESHVMHASSFVRRGTVELETAREYQKSSRKWMCIAVLLGTVVVAIMLFPVIVNIMNLM >DRNTG_12790.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2166953:2169010:-1 gene:DRNTG_12790 transcript:DRNTG_12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERLNPEKGRQELEEIYSGIIDDSADLTFKDLASFQQNGVAERKNAMTPIREDKEILSKSPSLDFSKGFEGTREHLQHIEDEMNYRISARNSVNKTKPLMVVVENSPMHRPFDELGVRRRPGIPHSNICAMCDTYIYIFRHRCLVCGRVYCRECVLTGMGDMHEGRKCIECLGRRFSERYIHKAGEMGCYCWKYPNNVRSQELKWAEKGPRRGRERRPERSGMASRPMSPMMTPGGMPGTPSMSYMSRTRSPTVGTPLRSPCHNVGMSPYNVKRSSDFPSSPYAFPL >DRNTG_30644.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31387873:31390931:1 gene:DRNTG_30644 transcript:DRNTG_30644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIHRDIKGPNILVGENGCIKLADFRASKQVASLTAAKKMMGTPYWMAPEVIRETGHSFSADIWSVGCTVIEMATGKFPWSEQFPLNDSHWVTVLFHIGMTKSHPPIPEHLSVQAKDFLLKCFEEPNLRPMPACPIC >DRNTG_14172.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22916701:22919560:-1 gene:DRNTG_14172 transcript:DRNTG_14172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKNLETLLLFFITALGFLSSISIAADLYSLIYKGCANGSLGGGAATQQAIASISSSLITQSSNSKFYKTSTSSSGQSLSGLYQCRGDLSGTDCAACINRVIPMWSSLCGPMAAAARVQLNGCYALYQAAGFPQVAGTQLLFKTCGSGGGGNGFEERRDTAFSNLQNGLSGSGGAGFYATSYQSVYAMAQCEGDLSAGDCGECVAEAVQKAEVECGGAASGQVYLDKCYISYNYYADGVPRGGGGGGGGGGGGIGGKLSSFSCLCFSF >DRNTG_14172.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22918806:22919874:-1 gene:DRNTG_14172 transcript:DRNTG_14172.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKNLETLLLFFITALGFLSSISIAADLYSLIYKGCANGSLGGGAATQQAIASISSSLITQSSNSKFYKTSTSSSGQSLSGLYQCRGDLSGTDCAACINRVIPMWSSLCGPMAAAARVQLNGCYALYQAAGFPQVAGTQLLFKTCGSGGGGNGFEERRDTAFSNLQNGLSGSGGAGFYATSYQSVYAMAQCEGDLSAGDCGECVAEAVQKAEVECGGAASGQVYLDKCYISYNYYADGV >DRNTG_32434.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10841017:10843971:-1 gene:DRNTG_32434 transcript:DRNTG_32434.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRWEAQGIGDKKPNREKARAGTSAAAPAVASPAMVTPKERKLGWSTRQGLI >DRNTG_23988.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30948526:30950968:-1 gene:DRNTG_23988 transcript:DRNTG_23988.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADVLAGCRPTCGNITVPYPFGLTDGCYSPGFKMTCNETYDPPKLFMSTGNIEISKLSFDNVLMQGWIARDCYDELNSPTHVWTNLINTPYTFSFTSNKFTAIGCDTLALVYRLGNVIDFTSGCISLCHDDTNVVNGSCSGIGCCQTSIPRGLQRFDTLLGVMANHSRTLSVSPCSFAFLIDQDQFEFSVLDFTGFRNRNRVPIVLDWAVGNQTCAEARPSPEFLCSGPNTNCTDSTNGPGYKCSCNKGYEGNPYLVEGCHDINECEDTKNGPCVGICTNTPGSYTCSCSPGSTGDGRRDGSGCTSLSSSSKTFPIVKVVLGCGLGFLAVLVSASLLYWGLKRRRLSQLRERFFKQNGGWLLLQRQVNSQEEAAAERAARIFTADELRKATNNYNQSHIIGKGANGVVYKGILPDNREVAIKKSRVMDPGQVEQFINEVVILSQVIHRNVVKMIGCCLETEVPLLVYEFISNDTLSSHLYGPGNFASLSWESRLRIACETAGALAYLHSATTRPIIHRDIKSLNILLDQNFTAKVSDFGASRVMPMDEDYISTLVQGTLGYLDPEYFHTGLLTEKSDVYSFGVVLVELITGQPPVSSDKPEEERSLANFFLINMERNQVFGILEPRVVNEGDTAQLKEVAELARRCLSLTGEERPTMKEVAGELEQAKRYQMQHPWVEDNGETLEGGRLLTRDGSWSSASFASATNPNDESDAMLAIS >DRNTG_23988.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30948526:30950015:-1 gene:DRNTG_23988 transcript:DRNTG_23988.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGQVEQFINEVVILSQVIHRNVVKMIGCCLETEVPLLVYEFISNDTLSSHLYGPGNFASLSWESRLRIACETAGALAYLHSATTRPIIHRDIKSLNILLDQNFTAKVSDFGASRVMPMDEDYISTLVQGTLGYLDPEYFHTGLLTEKSDVYSFGVVLVELITGQPPVSSDKPEEERSLANFFLINMERNQVFGILEPRVVNEGDTAQLKEVAELARRCLSLTGEERPTMKEVAGELEQAKRYQMQHPWVEDNGETLEGGRLLTRDGSWSSASFASATNPNDESDAMLAIS >DRNTG_23988.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30948526:30949761:-1 gene:DRNTG_23988 transcript:DRNTG_23988.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGQVEQFINEVVILSQVIHRNVVKMIGCCLETEVPLLVYEFISNDTLSSHLYGPGNFASLSWESRLRIACETAGALAYLHSATTRPIIHRDIKSLNILLDQNFTAKVSDFGASRVMPMDEDYISTLVQGTLGYLDPEYFHTGLLTEKSDVYSFGVVLVELITGQPPVSSDKPEEERSLANFFLINMERNQVFGILEPRVVNEGDTAQLKEVAELARRCLSLTGEERPTMKEVAGELEQAKRYQMQHPWVEDNGETLEGGRLLTRDGSWSSASFASATNPNDESDAMLAIS >DRNTG_17166.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3086489:3091629:1 gene:DRNTG_17166 transcript:DRNTG_17166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLKLKDMKVIRLETNPSRSVATSNEGGMSSTQMPDAQPRTSSHSSDTDSNCNGDDENQGHDNIHENTSGSVNVNTFDNVGCHKGRGQTTLKELWALPPQDKVLVSANRLGQPIGLEA >DRNTG_30953.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2432928:2434243:1 gene:DRNTG_30953 transcript:DRNTG_30953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMKTPFLFLALLFTLFLPSLTHCQDSWIAKAHTPSHPPKHSPKHSPAPHNSPKHSPSPHHHSPTPAPTPESVLPSPPSSSTPPPPPPPTCSCNCTCPSPPPLPPPPHSPPPPPPSYPPCTCNCSCSPSPPSPSPSPPSPPSPPSATPPSPSSYPPVHSPSPAPSPMPVSASWSFRQDAFVSLFVLISFP >DRNTG_01250.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1851086:1852834:1 gene:DRNTG_01250 transcript:DRNTG_01250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGYATNSTIIHALISKGGLIISDSLNHNSIVNGSRGSGAVVRVFQHNTPSHLEEVLRECLTWRRY >DRNTG_01250.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1849031:1852834:1 gene:DRNTG_01250 transcript:DRNTG_01250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGYATNSTIIHALISKGGLIISDSLNHNSIVNGSRGSGAVVRVFQHNSKLRLTWRKY >DRNTG_01250.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1849031:1852834:1 gene:DRNTG_01250 transcript:DRNTG_01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYATNSTIIHALISKGGLIISDSLNHNSIVNGSRGSGAVVRVFQHNTPSHLEEVLRECLTWRRY >DRNTG_01250.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1849031:1850762:1 gene:DRNTG_01250 transcript:DRNTG_01250.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSLEEKYLQQAWSNVKIALKEFGISCELNLVMRPSQ >DRNTG_01250.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1851086:1852834:1 gene:DRNTG_01250 transcript:DRNTG_01250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGYATNSTIIHALISKGGLIISDSLNHNSIVNGSRGSGAVVRVFQHNSKVFALSKLIQILSIAHLTFATCCIFSSVSLGGSTERVSHLEEVLRE >DRNTG_01250.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1851319:1852834:1 gene:DRNTG_01250 transcript:DRNTG_01250.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGYATNSTIIHALISKGGLIISDSLNHNSIVNGSRGSGAVVRVFQHNTPSHLEEVLRECLTWRRY >DRNTG_01250.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1852486:1852834:1 gene:DRNTG_01250 transcript:DRNTG_01250.8 gene_biotype:protein_coding transcript_biotype:protein_coding GGLIISDSLNHNSIVNGSRGSGAVVRVFQHNTPSHLEEVLRECLTWRRY >DRNTG_01250.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1849031:1852834:1 gene:DRNTG_01250 transcript:DRNTG_01250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYATNSTIIHALISKGGLIISDSLNHNSIVNGSRGSGAVVRVFQHNTPSHLEEVLRECLTWRRY >DRNTG_22429.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23355710:23359366:1 gene:DRNTG_22429 transcript:DRNTG_22429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYTCIREGPDHAPRFKATVNFNGEVFESPGFCTTLRQAEHSAAEIALNALSSRGPSHSLAARILDETGVYKNLLQEIAQRVGAPLPSYTTYRSGLGHLPVFTGIVELAGITFKGEPAKSKKQAEKNAAMAAWSSLKQLAREAASSSVEPENNDEQEQITIARALLNYRLKEKMAMANDPNATTLPMKFMMQPDKKPSSIQPPPTESKILSLIRPKSAQRIRPTSPVSSHNAVWPLVSQPDIRGSRPHKFPAAGAAPYIPVQHLRRPYHAMAPPVTIRTAVPVYSAPPLPPPARHQLRPVVTPPVRMASPVRIRPIVPVFAAPPQSPAVIPAQVKEPLPQSPAVIPAQIKESLPQLSPEVTPTIKVQRATRTPTLKDEKNMNNELDEMHRSAVMQCVKELAI >DRNTG_20986.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001188.1:26460:27625:1 gene:DRNTG_20986 transcript:DRNTG_20986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDPISENKSSWNQFERRSSSTGWFPFEGGSTQNPELAFQEHETSALVRAELDKLGIQYSWPVANTGVIGTVGSGSGPVFAIRADMDALPLQELKDWEYKKQEKSGKMHACGHDAHTTMLLGAAKLLQHHKNELEGKAISCTANNLICFT >DRNTG_20986.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001188.1:26460:26878:1 gene:DRNTG_20986 transcript:DRNTG_20986.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDPISENKSSWNQFERRSSSTGWFPFEGGSTQNPELAFQEHETSALVRAELDKLGIQYSWPVANTGVIGTVGSGSGPVFAIRADMDALPLQ >DRNTG_05365.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8599986:8600597:-1 gene:DRNTG_05365 transcript:DRNTG_05365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQREKKEMELQVPENCLPAAGFSSPTCSARDPPRSGPIQPQESSEGGKRLRSDEDKEKEEVVKPALVPSKAVSRCAGCRKRVGLMGFRCRCGELFCGDHRYSDRHDCSFDYKAAGKAAIARENPVVRAAKIVRI >DRNTG_10365.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:4608511:4608787:-1 gene:DRNTG_10365 transcript:DRNTG_10365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARLCFLDEKCGIRSILARVRESTRPCGISTGPCRNSTRACGAS >DRNTG_06044.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32632201:32637237:-1 gene:DRNTG_06044 transcript:DRNTG_06044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIAPLPATAAAVAVASSFPSLRPSPSRLSTLPISALRSLSCSHFNLSRRLALLQLGSAIPQSHLLGTRTYSGVIRAEGKVIEATAGENVAQASTGLSQEAALEWSKKDNRRLLHVVYRVGDLDRTIKFYTECLGMKLLRKRDIPEEKYTNAFLGYGPEDSHFVVELTYNYGVDKYDIGTAFGHFGIAVEDVYKTVDLIKAKGGKVTREPGPVKGGKTVIAFVEDPDGYKFELIERDPTPEPLCQVMLRVGDLQRSIDFYEKAFGMELLRKRDNPEYKYTIAMMGYGPEDKNAVLELTYNYGVKEYDKGNAYAQIAIGTDDVYKTAEAIKLYGGTITREPGPLPGINTKITACLDPDGWKSVFVDNIDFRKELE >DRNTG_28749.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31151096:31153667:1 gene:DRNTG_28749 transcript:DRNTG_28749.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSSIPAGNPQSYGGSHSGSSLSGQNVAGRSSMYANAESVTNPSSFSNSFASGAPSGRNMNNINSHYPRAEQGGGASNDMQVNGGYQNQRFSNPVPAGGYRPPANAYDRTGQPTYAQPPPLYTNRGPIAKNEAPARIIPIAALNPYQGRWTIKARVTAKGELRRYSNPRGEGKVFSFDLLDSDGGEIRVTCFNMVADQFYDKIEVGRVYLISKGSLKPSQKSFNHLNNEYEIFLESTSTVQLCLEEDNTIPKQQFNFRPISEIEGMENNSMLDVIGVVSSINPSGTVLRKNGTETQKRTLQLKDMSGRSVEITLWGNFCNAEGQQLQTMCDSGMFPVLAVKAGRVSDFNGKSLGTIGSSQLFIDPDFPEAHRLREWYNRDGKNLIAPSISKDSSSMGRTDVRKTVSQIKDEGLGRSDRPDWITVKATVSFIKADNFCYTACPLMVGDRQCNKKVNNNGDGTWHCDRCDKSFPECDYRYLLQFQIQDHTGMTWVTAFQECGEEIMGASAKEMYLLKYEEQDDVRFAENIRKVLFNEYLFKLKVKEETFSDEQRLKSTVVKAEKVNPSLESRYLLGLIERLSKEDLNGSLGNPGGSVSGFGMNNGGYSNMSSMPGTHSVGNNFGGNIGADNYRTGAAITTEYHANEYGGPMNNSSFGARGAYVSCNNCGSVGHTIQNCPRSSSMPAQMTGGNFMNRSANSGMDGGNAQNVCFKCQQPGHWARDCPGLGGRPSAYGTVQQHW >DRNTG_28749.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31149424:31153667:1 gene:DRNTG_28749 transcript:DRNTG_28749.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSSIPAGNPQSYGGSHSGSSLSGQNVAGRSSMYANAESVTNPSSFSNSFASGAPSGRNMNNINSHYPRAEQGGGASNDMQVNGGYQNQRFSNPVPAGGYRPPANAYDRTGQPTYAQPPPLYTNRGPIAKNEAPARIIPIAALNPYQGRWTIKARVTAKGELRRYSNPRGEGKVFSFDLLDSDGGEIRVTCFNMVADQFYDKIEVGRVYLISKGSLKPSQKSFNHLNNEYEIFLESTSTVQLCLEEDNTIPKQQFNFRPISEIEGMENNSMLDVIGVVSSINPSGTVLRKNGTETQKRTLQLKDMSGRSVEITLWGNFCNAEGQQLQTMCDSGMFPVLAVKAGRVSDFNGKSLGTIGSSQLFIDPDFPEAHRLREWYNRDGKNLIAPSISKDSSSMGRTDVRKTVSQIKDEGLGRSDRPDWITVKATVSFIKADNFCYTACPLMVGDRQCNKKVNNNGDGTWHCDRCDKSFPECDYRYLLQFQIQDHTGMTWVTAFQECGEEIMGASAKEMYLLKYEEQDDVRFAENIRKVLFNEYLFKLKVKEETFSDEQRLKSTVVKAEKVNPSLESRYLLGLIERLSKEDLNGSLGNPGGSVSGFGMNNGGYSNMSSMPGTHSVGNNFGGNIGADNYRTGAAITTEYHANEYGGPMNNSSFGARGAYVSCNNCGSVGHTIQNCPRSSSMPAQMTGGNFMNRSANSGMDGGNAQNVCFKCQQPGHWARDCPGLGGRPSAYGTVQQHW >DRNTG_28749.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31149242:31153667:1 gene:DRNTG_28749 transcript:DRNTG_28749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSSIPAGNPQSYGGSHSGSSLSGQNVAGRSSMYANAESVTNPSSFSNSFASGAPSGRNMNNINSHYPRAEQGGGASNDMQVNGGYQNQRFSNPVPAGGYRPPANAYDRTGQPTYAQPPPLYTNRGPIAKNEAPARIIPIAALNPYQGRWTIKARVTAKGELRRYSNPRGEGKVFSFDLLDSDGGEIRVTCFNMVADQFYDKIEVGRVYLISKGSLKPSQKSFNHLNNEYEIFLESTSTVQLCLEEDNTIPKQQFNFRPISEIEGMENNSMLDVIGVVSSINPSGTVLRKNGTETQKRTLQLKDMSGRSVEITLWGNFCNAEGQQLQTMCDSGMFPVLAVKAGRVSDFNGKSLGTIGSSQLFIDPDFPEAHRLREWYNRDGKNLIAPSISKDSSSMGRTDVRKTVSQIKDEGLGRSDRPDWITVKATVSFIKADNFCYTACPLMVGDRQCNKKVNNNGDGTWHCDRCDKSFPECDYRYLLQFQIQDHTGMTWVTAFQECGEEIMGASAKEMYLLKYEEQDDVRFAENIRKVLFNEYLFKLKVKEETFSDEQRLKSTVVKAEKVNPSLESRYLLGLIERLSKEDLNGSLGNPGGSVSGFGMNNGGYSNMSSMPGTHSVGNNFGGNIGADNYRTGAAITTEYHANEYGGPMNNSSFGARGAYVSCNNCGSVGHTIQNCPRSSSMPAQMTGGNFMNRSANSGMDGGNAQNVCFKCQQPGHWARDCPGLGGRPSAYGTVQQHW >DRNTG_26694.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001362.1:83163:88534:1 gene:DRNTG_26694 transcript:DRNTG_26694.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRAVIDAGAVPCLIAVLSHANDKVVDAGARSLRMIFQSKLAPKYDFLKEKNMKFLISLLNSENDNVIELAASIVAHSCEINAEQKALCDAGALQRLANLLEGSSNQKDACLDSIAAIIRKNSEVGMHFASIGTGKALNSIVKLIKDRNPRTRLLACVCAISIGHASPYYVQDLQTRTKMMLILVELLEESGRVGDDAPFALSELILDDEELHKQAISVNVVEKICDCLHKYLIEPRRLHGILLVFAELCSRLEECRCLLMSQQVLDTLVNALKHDCPDVRAAACTCIRSLSRSVKNLSAGHLSSERFVVPLAHLLHDTSTSVQVAALGAICNVAVDFAAKKSVFIQSGCVKQLVQLSKSMDSRLRLKAISALRNLMFLADAFAKESIIQELTISTIASLICDSETDIQHQALALVTNLVDGNVDAAEHIFADDGLIINAVVRQLHSASSAEICIQVFAEQGQFAADSCCVVYNQPNLPRRSRFVDSDCKITLCRHSISNKNYDQ >DRNTG_01258.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:9604387:9606782:1 gene:DRNTG_01258 transcript:DRNTG_01258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEESTVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEIITTVEIDDETYEEIVRTTRRTVPFLFVRGDGVILVSPPLRTA >DRNTG_11426.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:950490:960287:1 gene:DRNTG_11426 transcript:DRNTG_11426.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARGGVGFPGWALVVAFVLCSALVLIVKRRLRIAAERREEVRRLAALAAEESERAEEEASLVYVWGSVVEKEIERPRCAVCFSPTTTRCSRCKAVRYCSGKCQIIHWRQGHKDECHPPQIDYCHNVQISSGDAIGEHGDQSDVYDNAVETFSASPPQSESKCLSESLGKHDNFANQDDTFGVESSSSPVEKSSETLLSDVLPDNHAEMPGPLPCDASDHNSREVLGDSQLKSVQATHSAVVREGISVNDGSCLNDFNQSIPSLNSEDVKSQESVPCMASTSIGPSKDTTGCQEGARNLKVAETAQESNSVKPQFSDSKSIQLGAGSPSQAYSRVSSQKENIHITHSVTVSSDEVVASEDTAQPNLKEKPFSRISNSTCSTGGKVTQHSNHPKPVSAVHAASVNQGLPVSKENYQKIEKPPIVPMKSSIHVTSALDSNSDLKTSVRKVVQQCKSLKISRHYSSGLGSDLSGNQNHKMLFPYDVFIKLYNCEKLDLRPCGLINCGNSCFANAVLQCLAFTRPLTAYLLEGLHSKTCPKTGWCFTCEFESLVQKAKQGRSPLSPIGILSHMGNLGRGREEDAHEFLRYAVDAMQSVCLREAGKNAIGQQAEETTLIQQMFGGYLRSKIKCMRCQGKSERHDRMMDLTVEINGDVGSLEDALAHFTATEVLDGENKYHCTRCNSYERAKKKLTVSEAPNILTIALKRFQSGKFGKLNKSVRFPEYLNLAPYMSRTDDKSPVYRLYAVVVHLDVMNAAFSGHYVCYVKSTQGKWHKLDDSKVKPAELEKVLSKGAYMLFYARCSPRAPSLLRKARSQDHDSTKKNWFKVQPTARDVVRTSSIPSRRENISHQHPERTPGSSALFDPYDFVFERFQRFQHPKTDSPSSDSSSLFSCSDEGSWSTESSTRDSTSTEEFSDYSFIDSNHSNWNSPYRSFDDADGLTESSEAASPSNISYRGQVDHQNHNQRNGINYGKEWYDRDENLTFLNADKTTQVCRKSNGQCNSISGSGGSSNSNNSSSGGRDVDWSSKSSYGVKSSLQQRRRTAQTFGSGFGL >DRNTG_13822.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6274078:6277443:-1 gene:DRNTG_13822 transcript:DRNTG_13822.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSRMPTWKERENNKRRERRRRAIAAKIYSGLRMYGNYKLPKHCDNNEVLKAVCNEAGWTVEEDGTTYRKGCKPMEPMEVVGASASPSPCSSYQPSPCTSYNPSPASSSFASPASSSYITNANNTNNVTDGISLIPWLKNLASSTSSYKHPNHYYHLYMHGGSISAPVTPPLSSPTARTPRMKTDWDDLAAQASWAGGANYTFQPASSTPPSPGRRTIPDSAGWLAGLQIPSGGSSSPTFSFVSSNPFGGLPTMGSSSSQMWTPGQSGTCSPVIVGPDVQMSDEFAFGSSSNGNLPAPTVVKPWEGERIHEECVSDELELTLGSSKTRSVTQL >DRNTG_13822.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6274153:6277490:-1 gene:DRNTG_13822 transcript:DRNTG_13822.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSRMPTWKERENNKRRERRRRAIAAKIYSGLRMYGNYKLPKHCDNNEVLKAVCNEAGWTVEEDGTTYRKGCKPMEPMEVVGASASPSPCSSYQPSPCTSYNPSPASSSFASPASSSYITNANNTNNVTDGISLIPWLKNLASSTSSYKHPNHYYHLYMHGGSISAPVTPPLSSPTARTPRMKTDWDDLAAQASWAGGANYTFQPASSTPPSPGRRTIPDSAGWLAGLQIPSGGSSSPTFSFVSSNPFGGLPTMGSSSSQMWTPGQSGTCSPVIVGPDVQMSDEFAFGSSSNGNLPAPTVVKPWEGERIHEECVSDELELTLGSSKTRSVTQL >DRNTG_13822.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6274078:6277360:-1 gene:DRNTG_13822 transcript:DRNTG_13822.10 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSRMPTWKERENNKRRERRRRAIAAKIYSGLRMYGNYKLPKHCDNNEVLKAVCNEAGWTVEEDGTTYRKGCKPMEPMEVVGASASPSPCSSYQPSPCTSYNPSPASSSFASPASSSYITNANNTNNVTDGISLIPWLKNLASSTSSYKHPNHYYHLYMHGGSISAPVTPPLSSPTARTPRMKTDWDDLAAQASWAGGANYTFQPASSTPPSPGRRTIPDSAGWLAGLQIPSGGSSSPTFSFVSSNPFGGLPTMGSSSSQMWTPGQSGTCSPVIVGPDVQMSDEFAFGSSSNGNLPAPTVVKPWEGERIHEECVSDELELTLGSSKTRSVTQL >DRNTG_13822.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6274153:6277443:-1 gene:DRNTG_13822 transcript:DRNTG_13822.9 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSRMPTWKERENNKRRERRRRAIAAKIYSGLRMYGNYKLPKHCDNNEVLKAVCNEAGWTVEEDGTTYRKGCKPMEPMEVVGASASPSPCSSYQPSPCTSYNPSPASSSFASPASSSYITNANNTNNVTDGISLIPWLKNLASSTSSYKHPNHYYHLYMHGGSISAPVTPPLSSPTARTPRMKTDWDDLAAQASWAGGANYTFQPASSTPPSPGRRTIPDSAGWLAGLQIPSGGSSSPTFSFVSSNPFGGLPTMGSSSSQMWTPGQSGTCSPVIVGPDVQMSDEFAFGSSSNGNLPAPTVVKPWEGERIHEECVSDELELTLGSSKTRSVTQL >DRNTG_13822.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6274078:6277522:-1 gene:DRNTG_13822 transcript:DRNTG_13822.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSRMPTWKERENNKRRERRRRAIAAKIYSGLRMYGNYKLPKHCDNNEVLKAVCNEAGWTVEEDGTTYRKGCKPMEPMEVVGASASPSPCSSYQPSPCTSYNPSPASSSFASPASSSYITNANNTNNVTDGISLIPWLKNLASSTSSYKHPNHYYHLYMHGGSISAPVTPPLSSPTARTPRMKTDWDDLAAQASWAGGANYTFQPASSTPPSPGRRTIPDSAGWLAGLQIPSGGSSSPTFSFVSSNPFGGLPTMGSSSSQMWTPGQSGTCSPVIVGPDVQMSDEFAFGSSSNGNLPAPTVVKPWEGERIHEECVSDELELTLGSSKTRSVTQL >DRNTG_13822.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6274078:6277490:-1 gene:DRNTG_13822 transcript:DRNTG_13822.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSRMPTWKERENNKRRERRRRAIAAKIYSGLRMYGNYKLPKHCDNNEVLKAVCNEAGWTVEEDGTTYRKGCKPMEPMEVVGASASPSPCSSYQPSPCTSYNPSPASSSFASPASSSYITNANNTNNVTDGISLIPWLKNLASSTSSYKHPNHYYHLYMHGGSISAPVTPPLSSPTARTPRMKTDWDDLAAQASWAGGANYTFQPASSTPPSPGRRTIPDSAGWLAGLQIPSGGSSSPTFSFVSSNPFGGLPTMGSSSSQMWTPGQSGTCSPVIVGPDVQMSDEFAFGSSSNGNLPAPTVVKPWEGERIHEECVSDELELTLGSSKTRSVTQL >DRNTG_13822.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6274153:6277360:-1 gene:DRNTG_13822 transcript:DRNTG_13822.11 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSRMPTWKERENNKRRERRRRAIAAKIYSGLRMYGNYKLPKHCDNNEVLKAVCNEAGWTVEEDGTTYRKGCKPMEPMEVVGASASPSPCSSYQPSPCTSYNPSPASSSFASPASSSYITNANNTNNVTDGISLIPWLKNLASSTSSYKHPNHYYHLYMHGGSISAPVTPPLSSPTARTPRMKTDWDDLAAQASWAGGANYTFQPASSTPPSPGRRTIPDSAGWLAGLQIPSGGSSSPTFSFVSSNPFGGLPTMGSSSSQMWTPGQSGTCSPVIVGPDVQMSDEFAFGSSSNGNLPAPTVVKPWEGERIHEECVSDELELTLGSSKTRSVTQL >DRNTG_13822.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6274153:6277522:-1 gene:DRNTG_13822 transcript:DRNTG_13822.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSRMPTWKERENNKRRERRRRAIAAKIYSGLRMYGNYKLPKHCDNNEVLKAVCNEAGWTVEEDGTTYRKGCKPMEPMEVVGASASPSPCSSYQPSPCTSYNPSPASSSFASPASSSYITNANNTNNVTDGISLIPWLKNLASSTSSYKHPNHYYHLYMHGGSISAPVTPPLSSPTARTPRMKTDWDDLAAQASWAGGANYTFQPASSTPPSPGRRTIPDSAGWLAGLQIPSGGSSSPTFSFVSSNPFGGLPTMGSSSSQMWTPGQSGTCSPVIVGPDVQMSDEFAFGSSSNGNLPAPTVVKPWEGERIHEECVSDELELTLGSSKTRSVTQL >DRNTG_13822.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6273917:6277360:-1 gene:DRNTG_13822 transcript:DRNTG_13822.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSRMPTWKERENNKRRERRRRAIAAKIYSGLRMYGNYKLPKHCDNNEVLKAVCNEAGWTVEEDGTTYRKGCKPMEPMEVVGASASPSPCSSYQPSPCTSYNPSPASSSFASPASSSYITNANNTNNVTDGISLIPWLKNLASSTSSYKHPNHYYHLYMHGGSISAPVTPPLSSPTARTPRMKTDWDDLAAQASWAGGANYTFQPASSTPPSPGRRTIPDSAGWLAGLQIPSGGSSSPTFSFVSSNPFGGLPTMGSSSSQMWTPGQSGTCSPVIVGPDVQMSDEFAFGSSSNGNLPAPTVVKPWEGERIHEECVSDELELTLGSSKTRSVTQL >DRNTG_13822.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6273917:6277490:-1 gene:DRNTG_13822 transcript:DRNTG_13822.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSRMPTWKERENNKRRERRRRAIAAKIYSGLRMYGNYKLPKHCDNNEVLKAVCNEAGWTVEEDGTTYRKGCKPMEPMEVVGASASPSPCSSYQPSPCTSYNPSPASSSFASPASSSYITNANNTNNVTDGISLIPWLKNLASSTSSYKHPNHYYHLYMHGGSISAPVTPPLSSPTARTPRMKTDWDDLAAQASWAGGANYTFQPASSTPPSPGRRTIPDSAGWLAGLQIPSGGSSSPTFSFVSSNPFGGLPTMGSSSSQMWTPGQSGTCSPVIVGPDVQMSDEFAFGSSSNGNLPAPTVVKPWEGERIHEECVSDELELTLGSSKTRSVTQL >DRNTG_13822.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6273917:6277443:-1 gene:DRNTG_13822 transcript:DRNTG_13822.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSRMPTWKERENNKRRERRRRAIAAKIYSGLRMYGNYKLPKHCDNNEVLKAVCNEAGWTVEEDGTTYRKGCKPMEPMEVVGASASPSPCSSYQPSPCTSYNPSPASSSFASPASSSYITNANNTNNVTDGISLIPWLKNLASSTSSYKHPNHYYHLYMHGGSISAPVTPPLSSPTARTPRMKTDWDDLAAQASWAGGANYTFQPASSTPPSPGRRTIPDSAGWLAGLQIPSGGSSSPTFSFVSSNPFGGLPTMGSSSSQMWTPGQSGTCSPVIVGPDVQMSDEFAFGSSSNGNLPAPTVVKPWEGERIHEECVSDELELTLGSSKTRSVTQL >DRNTG_31741.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21732274:21738406:1 gene:DRNTG_31741 transcript:DRNTG_31741.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDSIECIPSSHGIDDEEQESVHHPFVKIGSAGCNGGGGGGGGGGGVGIGAVHGLPPATSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKSRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCPEIFPYYSKLKHEAQCNFRPYNCPYAGSECSVVGDIPSLVAHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARTYSYSLEVGANGRKLIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEPQNQETGACIPNLCS >DRNTG_32523.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1362796:1370151:1 gene:DRNTG_32523 transcript:DRNTG_32523.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSNNFTDPDTSGTSNGRGEENVPELLNTVPDENNLTESTSQTTIPIGDSIEEVDMDIEEEEGQYSPIVNVEVEAQQKDSALSQSSELTTSVTMLEKEDPQQDQENGHAIIQNVITGAKRARITYDDQQPSVHVVYKSLTRESKKKLMQLMQHWSEWEAQRQSSSDVSSEEAFESGEETYFPALSLRSGRSSTVSFWLDNMKRKEEINDIQLENESVPLYDRGYTLGSTSLDGSTNSNWIETPDTSRCFNCGSYSHMLRECPRPRNRYAISNARRLHGSKRNQPSGPRVQIRYYQKSSGKIDDDIKPGVLGTEAKESLGIRESDPLPWLHRTQEPGHPPGYLEGAEDEDQPSGITIFSEEEEIKEEYEEGELPEKAEPETPLRKMAAEFSGINAPSPANANQRLWAVHSGGFPGSYPSKHHRFSRSSHSSESHGGRSHERWSEDHRDNRLPSSDTGGYYSPRYSPYGQHPALNRSLSDRERGTPLPDKNSANPFTYPPPPPPPGTTRHSSYHSPTTSGEHWKNYSSYNGITSPSVSSQQARDRHDHHFRNHSRR >DRNTG_00868.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21900549:21901190:-1 gene:DRNTG_00868 transcript:DRNTG_00868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLPMPTVTLTNPVAQILDDGNLVIRVANSSEFAWQSFDYRTDTHLSGMKLGWDLRTGLNRNLTSWLSYDDPSPGRYVLSMDHEGIPQLILWSGLAKMWRSGPWNGTTF >DRNTG_18936.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12149009:12150320:-1 gene:DRNTG_18936 transcript:DRNTG_18936.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAGSLSPEREDQFDRLPDALLLVILNRIGEVKSLGRCCIVSRRFHALVPLVDSVVVRVDCVISDEPSPPPSTSDKPRGVLSHFARLVLSGLVKPWQALGHILGPPTSSPSSSPRRSSEPSSSSPEVSHHSPAEVLRNFKEIRRLRIELPAGELGVEDGVLLKWKAAFGSTLDTCVILGASSVSPTNPNPNSYPDSLCAGSSDDSGSIPESFYTNGSLKLRVVWTISSLIAASARHYLLQPIIADHETLETLDLTDADGQGTLTMDRAQLQELRVRPVSASGSSQRTLVPALNMRLWYAPHLDLPGGLVLKGATLVAIRPSGVEQQPRDIGGGSEGFSWVSGVFEEPYRSAARLLVKRRTYCLEMNSF >DRNTG_23368.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5827635:5830331:-1 gene:DRNTG_23368 transcript:DRNTG_23368.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 11 [Source:Projected from Arabidopsis thaliana (AT2G32990) UniProtKB/Swiss-Prot;Acc:O48766] MAFTITMLAWSVIEYGDETTAAGEYKHALESIKWGTDYFIKAHTKPYVLWAEVGDGDTDHYCWQRPEDMTTSRRAYKIDNENPGSDLAGETAAALAAASLVFRKSNPHYSHLLLHHAQQLFEFGDKYRGRYDSSIAAVKSYYASVSGYKDELLWAAFWLHRATGQSNYLDYAVDKAHCFGGTGWAISEFSWDVKYAGVQIMAVQNLLKKELQPNQKHILEQYKTKAEHYVCSCLGMNKGENVDRTPGGLLYIRQWNNMQYVSGAAFLLTVFSDFLKSMGTDLHCPNGTVTSNQVLSFAKSQVDYILGSNPMNMSYLVGFGTKYPKRVHHRGASIVSYKENKGFIGCTQGYDKWYGRRDENPNVLVGALVGGPDCQDNFGDERGNYMQTEACTYNTAPLVGVFAKLHALSDGSRLQEVVSSSAYAYDNY >DRNTG_16665.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000835.1:6263:6876:-1 gene:DRNTG_16665 transcript:DRNTG_16665.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSLLQDLHSHVPSKHQDSGLRIGARRGIESRTINDA >DRNTG_02002.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13467981:13468484:-1 gene:DRNTG_02002 transcript:DRNTG_02002.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPILSSIAGAILAPVLPQFALKKLLDPLLEYLSRDQPSSSHSEVDNKLHQQQQLE >DRNTG_02002.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13467678:13468484:-1 gene:DRNTG_02002 transcript:DRNTG_02002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPILSSIAGAILAPVLPQFALKKLLDPLLEYLSRDQPSSSHSEVDNKQIKDLKDDLEYMELQRKVQEINLMDQRWPEEVHKPPVAGV >DRNTG_09004.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000393.1:923:4788:-1 gene:DRNTG_09004 transcript:DRNTG_09004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKISFWALLMKLALSATDEFTFNGFRDVNLSMDGLAGITSDGLLRLSNATMHANGHAFFPVPLRFKRSWADNVFSFSTTFVFAIFPEHPLLGTSGFTFVLSPSKDFTQASENYFLGLFNRTNNGNASNHILAVEFDTWYSPEVKDIDNNHVGIDINSVISNKSHHAGFTSDDDGKFQNLILLSSEPMQVWIDYDGIDMQFNVTLAPLWTPKPKTALLSSTINLSSIILHHMYVGFSASVGTSYSYHYILGWSFKMNGINAPDLDLSSLPPLPQNLTSLEKHDKILSLWLPLAISLFVLINAVGVIVMVLRKKKFSEFHEDWELEFESNRFSYEQLYKATGGFNDEYLLGIGGFGRVYRGVLPASKVEVAVKKISHESKQGVREFVAEIVSLGKLRHRNVVQLLGYSRRKGELILVYEYMPNGSLDNFLFNQGKPTLNWSMRFQIIKGVSSGLQYLHEGWDQVVIHRDIKASNVLLDSDMNGRLGDFGLARLYEHGAAPQTTNVVGTLGFLAPELARACKVTTSSDVFAFGAFLLEVACGRRAVEPNKQEMEQVLVDWVFANWKKGMIHEQGTQDWVRTMCCRNWNWF >DRNTG_09004.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000393.1:923:3029:-1 gene:DRNTG_09004 transcript:DRNTG_09004.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKISFWALLMKLALSATDEFTFNGFRDVNLSMDGLAGITSDGLLRLSNATMHANGHAFFPVPLRFKRSWADNVFSFSTTFVFAIFPEHPLLGTSGFTFVLSPSKDFTQASENYFLGLFNRTNNGNASNHILAVEFDTWYSPEVKDIDNNHVGIDINSVISNKSHHAGFTSDDDGKFQNLILLSSEPMQVWIDYDGIDMQFNVTLAPLWTPKPKTALLSSTINLSSIILHHMYVGFSASVGTSYSYHYILGWSFKMNGINAPDLDLSSLPPLPQNLTSLEKHDKILSLWLPLAISLFVLINAVGVIVMVLRKKKFSEFHEDWELEFESNRFSYEQLYKATGGFNDEYLLGIGGFGRVYRGVLPASKVEVAVKKISHESKQGVREFVAEIVSLGKLRHRNVVQLLGYSRRKGELILVYEYMPNGSLDNFLFNQGKPTLNWSMRFQIIKGVSSGLQYLHEGWDQVVIHRDIKASNVLLDSDMNGRLGDFGLARLYEHGAAPQTTNVVGTLGFLAPELARACKVTTSSDVFAFGAFLLEVACGRRAVEPNKQEMEQVLVDWVFANWKKGMIHEQGTQDWVRTMCCRNWNWF >DRNTG_03451.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000159.1:3712:4703:1 gene:DRNTG_03451 transcript:DRNTG_03451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDFFSFSSSFFSSKEEEEEDIKVMAKRKALLEEMNFDDHPELSLAPSLSTFTDNTYTSSTESEANSCRKRKMLHASIELHLNHPLPFDWEQCLDLQSGRVYYMNRETLKRSWSRPKERKVDLELNISRNSSMEEMIKKEEESIITTSSMIAVPCFNCHLLVMLCKSSPTCPNCKYVYPLHALETPTNPSPPPPPPAPKSLETLSLLN >DRNTG_27005.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26881230:26886228:1 gene:DRNTG_27005 transcript:DRNTG_27005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMVARGSSPLPPSISIQEKGSRNKRKFRAEPPPSELSMLPPAVQSECASYELASVEKALGNLALEHHPVVCDLCNSFAYGHDRELESNEFQDADWGNITETQLEEMLLNNLDLIFRTAIKSIASYGYSEEVASNAVLVSGICYGYKDFACNIVDKALEYLKSGHEVESSLRETFNENLHKLEKTVLADMVGVLKEVQPFLSIGDAMWCLLICDMDVATACSMEGELLSILGNDETSGASTALQQSESESNSNISAPVTSGFDRPGSKKRNASLPFPHKTQQLITMPSAGVSTCSNVHGTIPNLGSWKESKIVSPALGVEESTTLSMSQPYARFSSHLHSTGRKLNPGKENPISSSDQNFTSLALPQPSFPEQKPASSRNSHVSSSKRDSALRQKSTHADKNYRAFAKAALRSGKHSNFGGLMLDKKCRSLPDSTSINLKSASLKISKVVGTNRAQMDAMPSLSFSAGSSASLPYNVETVSHPTPLNIANTDLSLSLPSASTIDLNAKSNCFSEVASYSDHTSITSGGLPEQSVPLDKKDEELLKLVPAVRDLQAELQEWNDWAQQKVMQAARRLTKDRSELQTLRQEKEEVGRLRKEKQSLEENTVKKLSEMENALSKAGGQVDRANDAMHRLEVENSALRQQMEAAKLRAAQSAASCQEVSRREMETLKKVQLCEKEMSLLQEELASVKRKLSQLRQHLEQEKDRRDQLEARWRQEERVKDEAIMLANAHRKEREQLEASGKSKDNELKLKAINDTQKYKDGIGRLEQQIAQLRLMTDSSKIAALRWGPDGNYSSREVDGKDRNAHYLAKITDFMELEDEEIQRDRECVMCLSEERSVVFLPCAHQVVCRECNNIHEKQGMKDCPSCRTVIHRRICVRSVNP >DRNTG_24235.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19846604:19848172:1 gene:DRNTG_24235 transcript:DRNTG_24235.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRKERNGGWMSVPAFGDWDMKQGVPDYSMDFSKIREARKINKSDFSRASLGNEDELISIQRDAASAADDHRVPPHHHQEPHQSPTTKRTIMSYFNCCIRA >DRNTG_10948.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:206874:212636:-1 gene:DRNTG_10948 transcript:DRNTG_10948.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIKEDEKNEKIIRGLLKLPANKRCINCNSLGPQYVCTNFWTFICTNCSGLHREFTHRVKSISMAKFTSQEVHALQGGGNERAREIYFKEWDPERHSFPDSSNVDRLRDFIKHVYVDRRYTGERSTDRPPMVKGEGDESNSYRSGSRSPPYEDNFEHRYGDRPNSGGRNDDRNFRYSNGGRSPASDPGDYGRSPGQFEAVNGGYQDDKYGNGNRNQRFGDRRSADGIPKPEGMSPDNQKGVGSSTAAIVPSVRDNISTSIPLPRIEPPKVGGVKVLDSSTKGNSSTSSTSIGSSGSNQGELKRVNSGSLIDFTADPEPLVTGTSHQPQQAASASASDQNWASFDVPIPEIAPQVVSSSSLESVLADLSAPGSIPTASNILNMPSSGINSSPATISGAHFPEGQQQLFSLFPATSGHTNNTPTNFPVMGIPNNERLLASSTGFAGQPTQTIVNPPQGSAVLSAQPTVEAKASGRKELPADLFAALYPATPTPVQTWQPGAHGGMGYGMQYPAAFNVPAFTHSSKSSNPFDSTNGPVSVSASQV >DRNTG_10948.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:206874:212636:-1 gene:DRNTG_10948 transcript:DRNTG_10948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIKEDEKNEKIIRGLLKLPANKRCINCNSLGPQYVCTNFWTFICTNCSGLHREFTHRVKSISMAKFTSQEVHALQGGGNERAREIYFKEWDPERHSFPDSSNVDRLRDFIKHVYVDRRYTGERSTDRPPMVKGEGDESNSYRSGSRSPPYEDNFEHRYGDRPNSGGRNDDRNFRYSNGGRSPASDPGDYGRSPGQFEAVNGGYQDDKYGNGNRNQRFGDRRSADGIPKPEGMSPDNQKGVGSSTAAIVPSVRDNISTSIPLPRIEPPKVGGVKVLDSSTKGNSSTSSTSIGSSGSNQGELKRVNSGSLIDFTADPEPLVTGTSHQPQQAASASASDQNWASFDVPIPEIAPQVVSSSSLESVLADLSAPGSIPTASNILNMPSSGINSSPATISGAHFPEGQQQLFSLFPATSGHTNNTPTNFPVMGIPNNERLLASSTGFAGQPTQTIVNPPQGSAVLSAQPTVEAKASGRKELPADLFAALYPATPTPVQTWQPGAHGGMGYGMQYPAAFNVPAFTHSSKSSNPFDSTNGPVSVSASQFPSMTSLHGVLPNMNNPSPLIRTSSVETPAPRWIPPLPHSYSSIASPSPYMAQPGQSMQQVPANVFQLANQGGQGAAFHPFGMDQLSAVRSSLPSTPNTFAPIGRNPFG >DRNTG_01050.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17657227:17658042:-1 gene:DRNTG_01050 transcript:DRNTG_01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGANKKIYDDNGLEIGSTIALAYMDHFNGMKTNWVMHEYRLHPYFFKKSHQKNTELVLCDIQESGRVFETKRKYEDHHQTSNKRMRTSILVNECNESEEPRQFDQTQSLVSKDIMSPFVPFTSFHEQTEVMDYLYVSPWPSLDDLEPNFKTNILGTPTYLMEHEPNANDFTIEMVSKEQKSYVGHESLYKELKHKEPQNIDVVTKEEDDKFNMLSSTEEVIREFLIEEYTEMNKKRLDG >DRNTG_26731.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1230490:1234111:1 gene:DRNTG_26731 transcript:DRNTG_26731.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLGATFDASNRGHPSPSSSPISWSSFVLSPNAPPFKLDRPKTQPPPPPPAPSPSSFSSVAGCRTSIQSSSSNQPCYESAVDDGLDLERAEGLSGVVAASSLFGKSSNYDAFSFQNGTYGGLAAYEDISSVWLGKHVNPFSERAPSELGCSGWPYNGRQPAYEENVAPQYDNFGSSCLLTNASSSEIPYTYFPESISVGIPVWSSNSKSLDNEFVVQHDSCLVTPIGFYSSTTNSTQTLNTQLSPRTNSPADKSTSTYESSWFHHEDMDTASKNLPAKARDPSMRITIESKEGYWNRGRVNSHADKYKSSYSLKNGSPSAGELGTGNLNGPF >DRNTG_20753.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:21930299:21933079:-1 gene:DRNTG_20753 transcript:DRNTG_20753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRPEPGSLDPVRRQTTADPQGVNQVDLQGLRTWFRTNKTITNQLGYKITDFTVYTMQRYKKSRNIKYRNIVIDNDKNYNFTTRQATSTGSLSRSTPIYHF >DRNTG_33629.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002031.1:2251:5173:-1 gene:DRNTG_33629 transcript:DRNTG_33629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDSKEIDEPAPAKLKLYNYWRSSCSQRVRIVLNLKGLDYEYKAVNLMKGEHFHPEYEKLNPIHFVPTLVDGDIVVGDSYAVILYLEDKYPQHPLLPKDLEKKALNLQVASIVSSSIQPFHGVPRLSFVEAKFNDDERLKWTQHYLGKGFTALEKLLKDVPGKYATGDEVLLADVFLVPQVHAAITRFGIDMTAYPRLSRAYAALCELPAFQDALPERQPDCPSTA >DRNTG_03583.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13482204:13482373:-1 gene:DRNTG_03583 transcript:DRNTG_03583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRLKTSELGVSSSTVAILTGEAKAWKGRRPITGNEPQSGVEGKKTAV >DRNTG_13151.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3457930:3594800:1 gene:DRNTG_13151 transcript:DRNTG_13151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTLPHSHTYYLQQTNITWSRKLSKPSPWPWLGSEPPRYSCP >DRNTG_04649.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15489011:15489364:1 gene:DRNTG_04649 transcript:DRNTG_04649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHESQHRGRGMNKHYWTTQEDKVLIETLVELSTNTVWRGENGFRNGYLYQLEKMLKEKFPQTTLKGVPNIESRVKLFRSKTTAIADILAIIGFIWDNNNNTIECEKSTYDEYVKVH >DRNTG_13755.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:993888:999153:-1 gene:DRNTG_13755 transcript:DRNTG_13755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGSGLTFKLHPLVIVNISDHHTRVKAQGLYHGGGGSGGAGGRVFGCVIGVQRGRTVEIFNSFEILCDPETHTLDRPFLEKKMELYKKVFPNFYVLGWYSTGSDAQESDMLIHRALMDINESPVYVLLNPSTNPTRKDLPVTIYESELHVIDGIPQLIFVRSNYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGIHSAIKMLNSRVKILHQYLVAMERGDIPLDNSLLRQVSSLLRRLPTMESEKFQDDFLMEFNDTLLITYLAMFTNCSSTMNELVDKFNTMYDRQTRRGGRMAFI >DRNTG_19908.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18366413:18369213:1 gene:DRNTG_19908 transcript:DRNTG_19908.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGALLPSRSRVHATYVRRNMRPKMTKGIFLKIFLLALLSVLDQNFYYLGAKDTSANFVASFNLVPVLTFIINILLRNEKIKIKNVRSWLKIIGVVVITGGSLVMILYKGKTLGSLGTRASTNIERHQLFGTFMLLLSCLCGASFSTLQDHVLKSYSTELSLSTFICLFSVIISGVTTSIVKPNPSAWKIGWNLRLVSIIYSGVICSGIAYYLQGVVIKKKGAVFSSAFSPLRMLIVDVLDPTVLAEAITLARIIGAVIMIIGLFLLLWGKMIDEKSKLEREEGVIDLPIVVPMRTSTVNPM >DRNTG_08984.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10427070:10428307:-1 gene:DRNTG_08984 transcript:DRNTG_08984.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSKKQEGPYITQLILGMGLVDAIRDIEKTFIPSPFKLDTVRIMGVSTPTADPRPSGPPAPPASPSPPPLAPFDLVPVAAEDSERDIDT >DRNTG_27765.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24419951:24422767:1 gene:DRNTG_27765 transcript:DRNTG_27765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKPENSGIETQVNKLIEDLKCESVDVQRVATSELRILTKHMENRIVIANCGAVSLLISLLYSTDLGIQENAVTALLNLSINDEIKAAIADANVIDPLVHVLETGNAEAKENSAAAIFNLSALKELMLRSGRSGAIKPLVELLENGSPQGKKDAIRALYNLSVITENKPKIVEAGAVRPLIALMDPALGMVDKAVAILANLATVSEAQIAIGREGGIPVLVEVVELGSARGKENAAAVLLRLCTSSKRFCRQVLKEGAVPPLAALSQSGTPRAKEKARSLLCFFRNAGRV >DRNTG_07034.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3495682:3503727:-1 gene:DRNTG_07034 transcript:DRNTG_07034.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVDALQWLGLAYHFEQEISEALSFINSLRETHTAAQVPSSSTLVASASSRSVIALGNQEQALTDVYIPRARYVVTMNTRDFSSIVASELRNIYMEHFHLDESHMPELRRRYGVPEEFATAYDDAHAVLNYGAAVEQWNRERLERKALIGLYIGIPESPHRTDGPTSRMRRPWRLPT >DRNTG_21502.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17715360:17746504:1 gene:DRNTG_21502 transcript:DRNTG_21502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVVASATDVEEFSVEELNLLSVAYKNEVLENAWGLLFPLVYAACKSPYTETRLKLDRSLEATLINEVSRKASVSQGSALMRAIAYVYVEIPLLKMIRETYSAPG >DRNTG_04645.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19164612:19166153:-1 gene:DRNTG_04645 transcript:DRNTG_04645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRWWNRGDYTGREMFNPDPYEGLFNQEESNEEVMMLGSTEDVTSTPGILKKVLRKMKRARRRH >DRNTG_00218.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15658540:15660296:1 gene:DRNTG_00218 transcript:DRNTG_00218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQHEYHLLLILFLSCISMSFGDLYGNAPPPPPAPSGALAPPPPFKVAGKQFPPESIVCNDPNTSCFGRTLQCPNQCPELKPADPKAKACFIDCNRPGCEDGTCRSRKPNCEGNGAACYDPRFVGGDGIMFYFHGKANHHFVLVSDHNLQINAHFIGIRPAGRPRDFTWIQSLGILFEDHSFTLSATQTSSWDNSLDHLSFTFDDTTIYVDEGYLSSWTSPSNKLNVERTSMVNSVTVTLQNVFEIMVTVVPVTKEDDRIHKYQIPENDCFAHLEVQFKFLKLSEKVEGVLGQTYRPDFENPVKRGVSMPIMSGEERYITSSLLSPSCKYCIFSPEKKKNVVGKKPEISHGAMDCTSEVSNGYGVVCRR >DRNTG_09925.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17773570:17775480:-1 gene:DRNTG_09925 transcript:DRNTG_09925.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARNGDVLAPSYTVGFTKSHALRFFTEISELAGEEILQNPSFKREQKLKIWPRC >DRNTG_13120.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17280201:17280602:1 gene:DRNTG_13120 transcript:DRNTG_13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEILKTHNLIFASRPKVNAAHIMLYGSQNMAFVPYGKHWRQIRKVVVTNLLSIKRVQSLHGLMEEFVAHLLNKISDTSSSISPIN >DRNTG_27592.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:830188:831730:1 gene:DRNTG_27592 transcript:DRNTG_27592.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein Brevis radix-like 4 [Source:Projected from Arabidopsis thaliana (AT5G20540) UniProtKB/Swiss-Prot;Acc:Q8GZ92] MFNKGQAQKWWAENHDKVLELYNVRSSSHPPPTLPGSEEESLKKEMVRLMTPSVRKERVPGNSNRAVMGSSSDDSQDHHQQHQHQHQHQQGDSSGGLAGTPKLSVNSGTNKGIVGTSSSSSSSIKEEEEVMDGCGSGEASVSSNGSSSIDQEREWVQQDEPGVYLTIRAHPGGIRELRRVRFSRERFGEMLARMWWEENRGRIHQQYIFRA >DRNTG_27592.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:828097:831730:1 gene:DRNTG_27592 transcript:DRNTG_27592.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein Brevis radix-like 4 [Source:Projected from Arabidopsis thaliana (AT5G20540) UniProtKB/Swiss-Prot;Acc:Q8GZ92] MLTCVASSKPLGGPPADDVGAVSGAGTPRRLAMKALTTQIREMAIRASGAYRQCKPCSRAWERRECTESEGLESGNGTPASMSGRTEESASMIVEEDEQVEREWIAQAEPGVLITFVSGAGGGNDLKRIRFSREMFNKGQAQKWWAENHDKVLELYNVRSSSHPPPTLPGSEEESLKKEMVRLMTPSVRKERVPGNSNRAVMGSSSDDSQDHHQQHQHQHQHQQGDSSGGLAGTPKLSVNSGTNKGIVGTSSSSSSSIKEEEEVMDGCGSGEASVSSNGSSSIDQEREWVQQDEPGVYLTIRAHPGGIRELRRVRFSRERFGEMLARMWWEENRGRIHQQYIFRA >DRNTG_18106.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:390688:394549:1 gene:DRNTG_18106 transcript:DRNTG_18106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIASKA >DRNTG_09009.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8691726:8718452:-1 gene:DRNTG_09009 transcript:DRNTG_09009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLWKEVEYFKEYQERLKAYLGEKKAKWTVNEALYIISIGK >DRNTG_20524.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001125.1:64281:68599:-1 gene:DRNTG_20524 transcript:DRNTG_20524.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDIDDMTYEELLALEEQIGDVSTGLTEESILQNLKTSFHITSSKSSFSDCSSRSFPENETCSICQVEYEESERLGTLNCGHNYHADCIKQWLLVKNLCPICKTSAFASNDKRDA >DRNTG_20524.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001125.1:64281:68599:-1 gene:DRNTG_20524 transcript:DRNTG_20524.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDIDDMTYEELLALEEQIGDVSTGLTEESILQNLKTSFHITSSKSSFSDCSSRSFPENETCSICQVEYEESERLGTLNCGHNYHADCIKQWLLVKNLCPICKTSAFASNDKRDA >DRNTG_20524.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001125.1:64281:68599:-1 gene:DRNTG_20524 transcript:DRNTG_20524.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSWRTWVCRDIRKFHLMLILLSFFQSAPMPNPAPIPNFHHHLAPLHSIQVQNYGQHINFPAPPYQLPLHSFCPSTINPSFNPLDSGNRFLPCPPPNAKLICGPLQQPQTAVSVSHRNLRILSTEDPAVLELPRFYGVGDVTDQHRDMRLDIDDMTYEELLALEEQIGDVSTGLTEESILQNLKTSFHITSSKSSFSDCSSRSFPENETCSICQVEYEESERLGTLNCGHNYHADCIKQWLLVKNLCPICKTSAFASNDKRDA >DRNTG_20524.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001125.1:64281:68599:-1 gene:DRNTG_20524 transcript:DRNTG_20524.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFLCTCWYFSDDNSSFQEDISVQGMWSWRTWVCRDIRKFHLMLILLSFFQSAPMPNPAPIPNFHHHLAPLHSIQVQNYGQHINFPAPPYQLPLHSFCPSTINPSFNPLDSGNRFLPCPPPNAKLICGPLQQPQTAVSVSHRNLRILSTEDPAVLELPRFYGVGDVTDQHRDMRLDIDDMTYEELLALEEQIGDVSTGLTEESILQNLKTSFHITSSKSSFSDCSSRSFPENETCSICQVEYEESERLGTLNCGHNYHADCIKQWLLVKNLCPICKTSAFASNDKRDA >DRNTG_28099.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1540013:1545781:1 gene:DRNTG_28099 transcript:DRNTG_28099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQLLGKLPRKPSSKSSSADGGTAQADGIVSAAVAASSVNRGGRAVLAKHAGVGGTLPAYELLPSFRDVPSSEKPALFIKKLGMCCVVFDFSDPARDVREKEVKRQTLLELVDYVSTVNGKFNEAAMQEVTRMAAANLFRTFPSSRSETKPPESFDAEEEEPAMEPAWPHLQVVYEFLLRFIASGETDAKIAKRYFDHSFVLRLLDLFDSEDQREREYLKTILHRVYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIGELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKSAAAYHQQLAYCITQFVEKDCKLADIVIRGLLKYWPITNSSKEVLFISELEEILEVTQVAEFQKCMVPLFQQIGRCLNSSHFQVAERALFLWNNDHVRNLITQNRKVILPIIFPALEKNKQEHWNQAVQSLTLNVRKLFLDADQELFEECLTKFQENKAKERELQDKRELIWKRLEEIAASKAVSNEAVLVSRVRSSLTIPSTSPRSPVST >DRNTG_29157.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5267713:5271278:-1 gene:DRNTG_29157 transcript:DRNTG_29157.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable glutamate carboxypeptidase AMP1 [Source:Projected from Arabidopsis thaliana (AT3G54720) UniProtKB/Swiss-Prot;Acc:Q9M1S8] MEKHGDPLFHRHVTLAEVWGLLGLRLADDAVLPFNYLSYAAQVQDHTSALGALLDDGISLQAINRSLLQLTAAAKEVQCAAEKLRKGKTEEDGLGELHRRAFNDRLVLAERGFLEEQGLKSRRWFKHVLYSPPEDYESKFSFFPGIADALSRRKKNSGIDVTKEVQHEVWRAARAIQRVASVLRGDIPWSS >DRNTG_29157.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5267713:5274900:-1 gene:DRNTG_29157 transcript:DRNTG_29157.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable glutamate carboxypeptidase AMP1 [Source:Projected from Arabidopsis thaliana (AT3G54720) UniProtKB/Swiss-Prot;Acc:Q9M1S8] MASFLTSKSFKPHVFPSVFLLVSFLLLLFYTLQRISSPSHTAPLRSRNAPATAAAAAEAEAEAEAQRLFLSLSAGANSTIAGHLRELTRNPHLAGTPSASTVAAYVLSQFRLAGLKTLTRDYSPLLSYPAAASLSLFSARGDLIKAFPLSEPADPESRTVPPYHAYSPSGSTLAPAVYVGYGREEEYGELDRMGVSVKGCVAVVRSGRGYRGAAVERAARKGATAVLMFGAGDGGGVERGMVMLRGVGDPLTPGWAAESGDGEVERLGFEDEELRRRFPTIPSMPVAVETAAEILRTLGGPPVPHEWKEGLGLELGGVGPGPTLVNFTYQEDRRLATIQNVFGVIMGHEEPDRLVILGNHRDAWTYGAVDPNSGTAAMLDVARRFGILMRSGWRPRRTIVLCSWDAEEFGMIGSTEWVEQNLGNLGFKAVAYLNVDCAVQGPGFFAGATPQIDDLLSEVTKQIKDPDLEHKSLYETWVAENGGISIERLARADSDFSAFLHHAGVSSVDMYFGKESPVYHTSLDSFIWMEKHGDPLFHRHVTLAEVWGLLGLRLADDAVLPFNYLSYAAQVQDHTSALGALLDDGISLQAINRSLLQLTAAAKEVQCAAEKLRKGKTEEDGLGELHRRAFNDRLVLAERGFLEEQGLKSRRWFKHVLYSPPEDYESKFSFFPGIADALSRRKKNSGIDVTKEVQHEVWRAARAIQRVASVLRGDIPWSS >DRNTG_29157.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5267713:5274900:-1 gene:DRNTG_29157 transcript:DRNTG_29157.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable glutamate carboxypeptidase AMP1 [Source:Projected from Arabidopsis thaliana (AT3G54720) UniProtKB/Swiss-Prot;Acc:Q9M1S8] MGHEEPDRLVILGNHRDAWTYGAVDPNSGTAAMLDVARRFGILMRSGWRPRRTIVLCSWDAEEFGMIGSTEWVEQNLGNLGFKAVAYLNVDCAVQGPGFFAGATPQIDDLLSEVTKQIKDPDLEHKSLYETWVAENGGISIERLARADSDFSAFLHHAGVSSVDMYFGKESPVYHTSLDSFIWMEKHGDPLFHRHVTLAEVWGLLGLRLADDAVLPFNYLSYAAQVQDHTSALGALLDDGISLQAINRSLLQLTAAAKEVQCAAEKLRKGKTEEDGLGELHRRAFNDRLVLAERGFLEEQGLKSRRWFKHVLYSPPEDYESKFSFFPGIADALSRRKKNSGIDVTKEVQHEVWRAARAIQRVASVLRGDIPWSS >DRNTG_03843.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4359922:4361109:1 gene:DRNTG_03843 transcript:DRNTG_03843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQKFRTSVDIHMQNSQGHPHAPVSSWDEEGSSAEFHMDVRKLARPVHLSQGRPQGRVHAPVCSRDNRPNSARIHTPVRKLPTGVRYSHGRS >DRNTG_03604.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:220242:222828:-1 gene:DRNTG_03604 transcript:DRNTG_03604.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSYIVVYKFVQDLHFFVTAGSDENELILAAVLQGFFDAVGLLLRNDVEKRTALENLDLILLCIDEIIDGGIILETDANTIAGKVATNGGDNTVTFSEQTLSQAFATAREHLARSLLN >DRNTG_15627.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20938299:20938828:1 gene:DRNTG_15627 transcript:DRNTG_15627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPRLHRSSGRPLLHLLLSLQIRQSQPQSLHRRRRRSIAPPFRCKIDLFEVIESACKVKSKMNIKDPMRRRRRGRERGEGGAHCPAARRGRRPWLGFGDEEGFFRLFLGS >DRNTG_20949.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16248127:16248450:-1 gene:DRNTG_20949 transcript:DRNTG_20949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSIVVGMKPSLGYYGVAEVLRSRQQQLQPQRQGTRKMAVLPLTAYCSLDNWIAGFYWALGIMLGLCLIAYLIAR >DRNTG_31824.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001751.1:19427:20619:1 gene:DRNTG_31824 transcript:DRNTG_31824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLFIALLVLASPAAFTDARCDFPAIFNFGASSSDTGGFAAAFPAQRPPYGMTYFGKPVGRASDGRLPIDFIAQGLGLPFLSPYLKSIGSNFAHGANFASSAATILMPNTSLFVTGTSPFYLTIQLNQMKEFKNRVLELSPQGDYLPPKDIFNKALYIFDIGQNDFTGKLATIGIQGVKQYLPQMALQISAGIEEIYNELGGRTFMVFNMAPIGCYPAFLTYLPHNNSDLDMYGCMISYNNEAQNYNKMLKEKLSETRNLLPDATIVYVDTHSIKLDLFQHPKDHGH >DRNTG_03135.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21473597:21479391:1 gene:DRNTG_03135 transcript:DRNTG_03135.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTWSGAKKGDSVLDLCCGSGDLTFFLSHYVGLHGQVRGLDFSSDQLSIASSLRN >DRNTG_03135.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21473597:21479391:1 gene:DRNTG_03135 transcript:DRNTG_03135.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTWSGAKKGDSVLDLCCGSGDLTFFLSHYVGLHGQVRGLDFSSDQLSIASSLRN >DRNTG_03135.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21473597:21479391:1 gene:DRNTG_03135 transcript:DRNTG_03135.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTWSGAKKGDSVLDLCCGSGDLTFFLSHYVGLHGQVRGLDFSSDQLSIASSLRN >DRNTG_03135.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21473597:21479391:1 gene:DRNTG_03135 transcript:DRNTG_03135.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTWSGAKKGDSVLDLCCGSGDLTFFLSHYVGLHGQVRGLDFSSDQLSIASSLRN >DRNTG_03135.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21473597:21479391:1 gene:DRNTG_03135 transcript:DRNTG_03135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTWSGAKKGDSVLDLCCGSGDLTFFLSHYVGLHGQVRGLDFSSDQLSIASSLRN >DRNTG_03135.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21473597:21479391:1 gene:DRNTG_03135 transcript:DRNTG_03135.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTWSGAKKGDSVLDLCCGSGDLTFFLSHYVGLHGQVRGLDFSSDQLSIASSLRN >DRNTG_09177.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7397127:7403461:-1 gene:DRNTG_09177 transcript:DRNTG_09177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRCDAIFRNAKPNYQIIAHKAISYASNLHCAGNDSSRKMIISNFCSHVYTADNPSAAELCNLVTALRLVNQHHLRMQIIFIAEPNILSLLRNSINACSWHFRSMINECNRLLIDAGSPRLCIVPRIWLKVVSKLASHGSCLHQLSFFHSGHDLPHWFMKVFFEAGFVL >DRNTG_08939.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27310354:27313447:1 gene:DRNTG_08939 transcript:DRNTG_08939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKAVHVSDVPSLDQVPESPVLSLSSPRLPNGAEIGRKAERFLVIGHRGKGMNALASQDRRLKAVKENSILSFNMAGRFPIDFVEFDVQVTRDDCPIIFHDDFILTEENNHTSEKRVTDLTLEEFLSYGPQREPGKIGKPLFRKTKDGRVFNWNVELDDSFCTLAETFQKVDSHLGFNIELKFDDNIIYSEDHLTHVLQVILRVVFQFAGDRPIIFSSFQPDAAQLIRKLQDVYPVFFLTNGGTQTYTDVRRNSLEEALNLCLSNGLQGIVSEVRAIFRNPTIVPRIKESNLTLLTYGQLNNVPEAVYMQHLMGVNGVIVDLVQEITEAVMDFSNSLDEVKENDKGVTRPNFSQRELAFLLKLIPELIQQ >DRNTG_30833.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:540236:541130:-1 gene:DRNTG_30833 transcript:DRNTG_30833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFQLEPTLTDFGFGSPSWDSLYPNPNNNPARNSSGSDGPDPVEERRRRRMVSNRESARRSRMRKQRHLEGLRVQSARLRAENRELASRLATISHHCLLYRHDSNRLIAELAALRRRFNEINSFLIFRQIQRLSSPVCGGPGFASGSAIAGSSIIA >DRNTG_31066.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30712794:30715834:-1 gene:DRNTG_31066 transcript:DRNTG_31066.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylformylglycinamidine cyclo-ligase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G55010) UniProtKB/Swiss-Prot;Acc:Q05728] MSARFGSGTAAAKLIGGGPAKGRYQNAVFSGPSGLIRLPQRSLCLPSVVAAEKKRKIAVECSKGGEEVQKLTYKDAGVDIDAGSELVRRIAKMAPGIGGFGGLFPLGDSYLVAGTDGVGTKLKLAFESEIHDTIGIDLVAMSVNDIVTSGAKPLFFLDYFATGHLDVDLAEKVIKGIVDGCQQSDCILLGGETAEMPGFYPNGEYDLSGFAVGIVKKDAVIDGKNIEVGDVLIGLPSSGVHSNGFSLVRRVLSYSGLSLKDQLPGNNGKPITLGEALMAPTVIYVKQVLDIISKGGVKGIAHITGGGFTDNIPRVFPSGLGAKIFSNSWDAPAVFKWIQEAGGIEDAEMRRTFNMGIGMVLVVTNEASKRILSEHHSAYLIGEVGPGEGVKFV >DRNTG_19046.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:21325370:21325809:1 gene:DRNTG_19046 transcript:DRNTG_19046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIGRAFGEVFLASTSCSTWKKVIGRAFIGIDPARCVLGLTRGPLEETRLLYKTIVRTIECVFYGLLF >DRNTG_10636.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:269951:273807:-1 gene:DRNTG_10636 transcript:DRNTG_10636.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRMRHKRSGYEPSDVESEESPWHAGLLTSDHRARIHIKEEKSPTNVSRSSPANRRHRRSPYKPNRDHNNVIGVSSETQRDSRRSISPLEVPRTLNRHVSPYKSPRHVSPYRNKKDDEHLKVLVRKQSQRTPSKQRNYAENVVDDRYRSKSVQKLRTRDQNEQHFNLNGVLEHRKGRSRTPPPPIKTSMILRNKDDDDDDIECREKPGIEINEVIANMKLSEAPPSDELLRESTESVLTGDIFFSKVQTALQKNPQVKKNNIENNLAPKLKVASEIKPKVNEILSSSLISNSKTSSVYTTSNQSSKWSTSGSSFKNFTANRQKNQTDGWIACIRGGSCRRSKSPEQKPLDEALFIEKAFVVEEVRQFWADKYRPRTLDGLICNRNQAQHLKNLISPKDCPNILLKGPSGSGKKSLAMAFLHEIFGNSTYKVSHDLRHFLVQDISPIQFSIPVSSSPHHLELDLKTESEKIRYALMAIVKETVTNRSLLAEVSDSSFKAEFKVLVLHGADKVTESVQHLIKWVMDCYSDACKIILCCENDTNILDSVKKRCKVITVTAPDNYTIVEVLNQVANKERIELPTSFAERIVSKSKKNLRHAIMALEACKAHNYPFVDKQPIPLGWEESLIELAAEILDDPSPQKLFTARAMFQRLLGEFVHPRLILLKLVEQFLKGIETSLKRELYYWHAYYDKRLPRGTNALLKLEEFTAKFLSISKRSMSLVNSAN >DRNTG_22984.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001255.1:63244:64483:-1 gene:DRNTG_22984 transcript:DRNTG_22984.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFALTLVQPNKSFLQVPQLLQASDDTYGSILTALANNKPGHDHFTLRQGLLWWKGRLVIPNDACLKLHPLKTYHNSHLGGHVGSLWMYIRLAGQFNWRGIHQDVQAHIQQCLILPTSKTFHNCTCWYVATSFQFPHIFGRISVWTLFVVFYSPRVIR >DRNTG_31332.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4099706:4100835:1 gene:DRNTG_31332 transcript:DRNTG_31332.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BROTHER of FT and TFL 1 [Source:Projected from Arabidopsis thaliana (AT5G62040) UniProtKB/Swiss-Prot;Acc:Q9FIT4] MNRSIEPLVIGRVIGDVLHELNPTVNMEVVYSSDKRVFNGRELMPSVVKTKPRVDIGGEDMRTAYTLIMTDPDAPNPCDPHLREHLHWLVTDIPGTTNASFGRELISYEAPEPSIGIHRFIFVLFKQQGRQTVGSPGSRHHFNTVKFSEENSLGVPVAAVYFNSQRETASRRR >DRNTG_08044.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30281041:30283141:1 gene:DRNTG_08044 transcript:DRNTG_08044.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP5 [Source:Projected from Arabidopsis thaliana (AT3G54480) UniProtKB/Swiss-Prot;Acc:Q94FT2] MQSCEPDSKSGTRLLLSSPKWKAHHRRMCAPVRGEPSRLPLISNHEHCQKQL >DRNTG_08044.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30281041:30283141:1 gene:DRNTG_08044 transcript:DRNTG_08044.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP5 [Source:Projected from Arabidopsis thaliana (AT3G54480) UniProtKB/Swiss-Prot;Acc:Q94FT2] MHIFSFLSPIPDRYNTALVCHRWRYLACHPRLWLRVEPSIKGSGEPGVFPSLEDAISAARPGDTILIAAARNHVACNIQIKKPLCLVGGGDLPDDTVLTCSRGSESALEFLSTCKVVNLTVRAELGCCFLHRSGRLIIEGCVLQCEENPLDYLSYPIMSTAKSSCDAFASTLKGAPDSVTVARTRIEGGAKAVWTSGSLVLQSVRAIYARKSIFFWFEVGEMVAA >DRNTG_15440.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1494113:1494888:-1 gene:DRNTG_15440 transcript:DRNTG_15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASRQKVCTRACGTCCSRCQCVPAGTYGNKNSCPCYANLTTKGKKPKCP >DRNTG_09195.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4928267:4929949:1 gene:DRNTG_09195 transcript:DRNTG_09195.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSRSPHIAILPTPGMGHLIPIAELAKFLVSHHGFSVTFITFAESASNAQQAFLDALPSTITSIKLPPVPLSDLPSGTAIETLISLASLRSLPALRSILLDLQKSTNLVAFIADLFGADTFDVAKELQIPPYMFFPSNLLLLSLLLHLPDLDTKMTCEFKDLPTPVELPGCVPIPGTEILQPLQDRSNECYSWMVHHGRKYREATGIIVNSFSDVEPEAAKIFSQSPPGFPPVHLVGPLVQTGLPNVEGSECLKWLDEQPSGSVLYVSFGSGGVLTCEQTIELACGLEMSGQRFLWVIRSPSVKASDTYFSATSKQDPFSYLPEGFLERTKKFGLVVPSWAPQMQVLAHTATGGFLSHCGWNSTLESLSHAVPMIGWPLYAEQKMNAVMLSEGTKLALRLRPREDGVYGREEISRVVKELMEGEEGKKVRGRARELQAAAVKSVAYDGESCKTLGDLVEKWKKSVM >DRNTG_09195.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4878360:4929949:1 gene:DRNTG_09195 transcript:DRNTG_09195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHGRKYREATGIIVNSFSDVEPEAAKIFSQSPPGFPPVHLVGPLVQTGLPNVEGSECLKWLDEQPSGSVLYVSFGSGGVLTCEQTIELACGLEMSGQRFLWVIRSPSVKASDTYFSATSKQDPFSYLPEGFLERTKKFGLVVPSWAPQMQVLAHTATGGFLSHCGWNSTLESLSHAVPMIGWPLYAEQKMNAVMLSEGTKLALRLRPREDGVYGREEISRVVKELMEGEEGKKVRGRARELQAAAVKSVAYDGESCKTLGDLVEKWKKSVM >DRNTG_06370.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24486827:24487194:1 gene:DRNTG_06370 transcript:DRNTG_06370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDRLADILQPSAPSQDNTYVEMNDSEDSSGSESDYEEDDDENDAMSDDVENDEPDDNMTLDQFQNCIWKENLVRRASLEAPSSLKKGRLDMGVEDA >DRNTG_06370.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24486827:24487351:1 gene:DRNTG_06370 transcript:DRNTG_06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDRLADILQPSAPSQDNTYVEMNDSEDSSGSESDYEEDDDENDAMSDDVENDEPDDNMTLDQFQNCIWKENLVRRASLEAPSSLKKGRLDMGVEDA >DRNTG_29792.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27398406:27403008:1 gene:DRNTG_29792 transcript:DRNTG_29792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKPSSPSSLLKLGLAPTLESLNFLLFSIAQSNKLALIPSLVSQFTSISSTIPRSSLRCLIFTLSARGEPERAVQVLETMKYANAGLQVDDFVASSIVSGFTKIGKPELGLGFYEKVEEREGFRSGLVTLTAVVDALCIIGRVGEACELVREMEKGGLVLDEVLYSCWISGLLRNGDLMEGLRKHCLMVENGIMPDVISYSVVIDGLCKEGSVEKVVGFLDEMKRKGIEPNLVTYTSIIQGFCVRGRVEEAFSVLKKVEESGIVLHEFVYAILIHGLCKVGDFDRVFGVLEEMEEKRIVAGDVTYNTVINGLCKAGRMTKADEMSKSFSGNNFTYSTLLHGYMKDMDVAGVMETRRRLEEAGICFDVVTCNVLIKALFMVRMVEDTVKLFNEMPQMGLAANCVTYCTMVDGCCKSGKIDEALQIFDQYRQKSVARNAACHNCLIRGLCNEQLVEIAVEVFEDLIEKNLVLDTSICRTLTRAQFVDGGEEKVIKFIQKMQKLDPKLLSFLSNDAVAFLCRNNCFEGALEIYFLMRGSGIVITSKSYYLLLKKLVQGQMKHVSQMIMNDFVKACGMFESRVINILLFYLCKRNVDVAIQFLGIMGKQSVSVRVLTAIVCALKNENRANDAYEVLMAAEKNGLSLDVVVYSSVVDRLCKEGHLGTALDLCESMKMKGMSPNIVIYNSVINVLCRQGSLVVAFRLFDSLEHNNVYPTAVTYAILISALAREGFMRDAKDLFGKMVGKGITPNTRIYNILINGYCNFGLIEEAQKLIMDMDDNCLQLDAFTVSAVISGFCLEGDIQGALDLFTLQKRREKFPDFLGFMNLIKGLFYNGRVEEARSILRDMLQCAEVVNLINHAGDELNIDESLVSLLGLACDQGRIKEVIDVLNEVRLTAFPTWRSESDYRIKHMKRLQDGGDFTTDVKMTGSGEGDIPLMEAEVPRHLYNISKSKNITADMVDMSNKFCKLGEENGRNNDHDHLIGKSLSHDFDSYYCIIASLCSKGELQKANSVVRAMLLSENC >DRNTG_20572.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8462014:8463519:-1 gene:DRNTG_20572 transcript:DRNTG_20572.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILANQQYEKVRKDFIPKKKKYVGQSRLNKFEQELIRIFLNCFMDKTVVWMNDSLSTTRARLFTLLKGKEMVSDDVMDAFICIIQKSLSRVPYPYKKHASITRPLALFMSKQDDVSNTTFAMIGDAARKLHDVDIVILPIIMNDHFHVVVLDNNKQEYRHYSSCESVEYDKDVLAMVSSLLNRV >DRNTG_20572.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8462014:8465130:-1 gene:DRNTG_20572 transcript:DRNTG_20572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSLSTTRARLFTLLKGKEMVSDDVMDAFICIIQKSLSRVPYPYKKHASITRPLALFMSKQDDVSNTTFAMIGDAARKLHDVDIVILPIIMNDHFHVVVLDNNKQEYRHYSSCESVEYDKDVLAMVSSLLNRV >DRNTG_31102.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001664.1:8967:9314:-1 gene:DRNTG_31102 transcript:DRNTG_31102.1 gene_biotype:protein_coding transcript_biotype:protein_coding WFHHVSQTPDHNGRTIAINYWYDMQFDIKYAYFNFLCSIEYPPTSEEMKNHDAHSPASDP >DRNTG_11477.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15473280:15474724:-1 gene:DRNTG_11477 transcript:DRNTG_11477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVARLVIYGSEVSSYDLLLWYADKVFATNSSSVVAIENDGERFKRGFFFSFGACLLGFKHVCRPLCFIDGTYLLAKYGRILLSATAKDGNKGLFHVAFTIVDNETDVNCTWFFAILGEALYSQDTYDEIITFISDRSKGLVNAVTRVFPSSPHGYYLRHLEANFMKTNSSIGKALRMQCWVVIMKIAYAYTLKEFDDAVVNLHVYQSMFKLMNMLAKRREQCNNWDTHLYPEIRKKVEQSVDESRFLRVGRSTDDTYEVNDNNNNAFSLQSHSCSCGRWELHGLSCKHACVVIMQIDTNVHSYVDDYFTVEWYPRAYTNPISPILDSDIPSDDNCQL >DRNTG_28561.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001441.1:17030:19416:-1 gene:DRNTG_28561 transcript:DRNTG_28561.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIHKPSPQGGGLSPAKLRAMLLGGAEKRKKEEDEIESRFYFRSNSGSEIESRRGSTSDDCRDLDMVSSHTSSSSRVRSQDEESLDSEIGMQGFEFQKAGRGGGVLRPPLASPFLKPAPSKWDDAQKWINSPGPNKNGRVGVTVMGKKLGNRPPPPPAKVVLEVMEEGETKRVDLGQGKKNADNSVNDFATVCSRHDSSILIQSDAAMSLINPVSTARSVCMRDMGTEMTPIASQEPSRTGTPIRSTTPARSPNSSWPSSPLRSVSTEETDRKESSKKDVQMKIREEIKVLGTQLGKKNIAAWADGEQEEKDRSTSFKRNVIDEQPQQSVAEIRATAWEEAEKAKYLARFKREGIRIQAWEDHQKALIDTEMKKIEATVERMKADAHESFMKKQAFIRQKAETKLQAAIAKRNQQAAKIARQVEYIRKTGRVPSSFSCWDWCI >DRNTG_28561.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001441.1:16632:19416:-1 gene:DRNTG_28561 transcript:DRNTG_28561.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIHKPSPQGGGLSPAKLRAMLLGGAEKRKKEEDEIESRFYFRSNSGSEIESRRGSTSDDCRDLDMVSSHTSSSSRVRSQDEESLDSEIGMQGFEFQKAGRGGGVLRPPLASPFLKPAPSKWDDAQKWINSPGPNKNGRVGVTVMGKKLGNRPPPPPAKVVLEVMEEGETKRVDLGQGKKNADNSVNDFATVCSRHDSSILIQSDAAMSLINPVSTARSVCMRDMGTEMTPIASQEPSRTGTPIRSTTPARSPNSSWPSSPLRSVSTEETDRKESSKKDVQMKIREEIKVLGTQLGKKNIAAWADGEQEEKDRSTSFKRNVIDEQPQQSVAEIRATAWEEAEKAKYLARFKREGIRIQAWEDHQKALIDTEMKKIEATVERMKADAHESFMKKQAFIRQKAETKLQAAIAKRNQQAAKIARQVEYIRKTGRVPSSFSCWDWCI >DRNTG_27143.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001384.1:42249:43557:1 gene:DRNTG_27143 transcript:DRNTG_27143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVREINYTDNGVIVFTEDGETYEADYVMVSVSLGVLQTHLIRFNPVLPVMFIFPLFIYNWKILALYQFDMAVNYTKIFIKFSETFWPTGNGTEFFLYASERRGYYPIWQARANVLLVTVSDEESRRIEQQSDEETKAEVMEVLKEMFGKDIPEATDILVSRWWSDRFFKGTFSNWPIGVNKNEDDEIR >DRNTG_05312.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12860533:12923469:-1 gene:DRNTG_05312 transcript:DRNTG_05312.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWKLPTPVWKFRMGACNVHARGVARFQPYLNPDFSILFSIFSPTCERASARVSRGIGQGFGEVLRLRHRDSIRKKVGRGASIEAYPIPDEGILGRRVEDSPQDHRHDHRGGASPFPSDVIDSTSVP >DRNTG_28793.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28825802:28826813:1 gene:DRNTG_28793 transcript:DRNTG_28793.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRITEEEINELISKLHSLLPESRRRSGASRASAAKLLKETCSYIKSLHREVDDLSDRLSGLMATLDTNSAQAEIIRELLRS >DRNTG_31327.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4073150:4076264:1 gene:DRNTG_31327 transcript:DRNTG_31327.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSKGIPNNLMAYIQQVAFGGLPELNVYVYDYPTKDGSEV >DRNTG_05820.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19005560:19006393:1 gene:DRNTG_05820 transcript:DRNTG_05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHGLHARSNSMPARSHPMIATAEEDLNKLKDCVMVSPKMICKSLSSLSAFYDYTEELLHLPSNQQAFSHSQEKKWVEEELDASLRLVELCGIIRDTLAATKEHSQELEMVLRRKRSMTNESKHQFHIQSDKKTRKSIKNCVKALKQMDGKDSDRSTVSKMFTEARDVTISLLQSVASSLPPSSTQKKSRWSIVSKALNKHKVTCEEFEDSNCGNFSFNSIYECVSCKDVDALRVVKAQDQLAEIMTSLEGLEMELESLYRRLIRNRVSFLNLLSH >DRNTG_08098.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000362.1:15723:16066:-1 gene:DRNTG_08098 transcript:DRNTG_08098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIWRPRTRKRPRSTSRRRSRYILHPSRNTNQEPRRQHTHITSTLVRIQIKRHWPSLPFS >DRNTG_07356.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7720442:7720850:1 gene:DRNTG_07356 transcript:DRNTG_07356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTKNHSKSLAKTTLNSSRRPAKRWRKDGKKDPEIELNCGFKRAGIGHPHGRGEFPHARVDSLEISFSASMKSTAIVHVYAVYRIASSINGFIGEDLAIIAHIG >DRNTG_10183.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2144246:2144731:-1 gene:DRNTG_10183 transcript:DRNTG_10183.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERNFKVFIYPDGDPKTFYQTPRKLTGKYASEGYFFQNIRESRFRTEDPNDAHLFFVPISCHKMRGKVFA >DRNTG_10183.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2140807:2144731:-1 gene:DRNTG_10183 transcript:DRNTG_10183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNFKVFIYPDGDPKTFYQTPRKLTGKYASEGYFFQNIRESRFRTEDPNDAHLFFVPISCHKMRGKITFLLPVMMLV >DRNTG_10183.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2140807:2142153:-1 gene:DRNTG_10183 transcript:DRNTG_10183.2 gene_biotype:protein_coding transcript_biotype:protein_coding RTILGFWAGHRNSKIRVILAREWENDTELAISNNRISRATGELVYQKQFYRTKFCICPGGSQVNSARIADSIHYGCIPVILSNYYDLPFNDILDWKRFSLVLKESDVYQLKAILESVSDEAFVALHKSLVEVQKHFEWHSPPIQYDAFHMVMYELWLRHHVIKY >DRNTG_30950.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2446646:2447945:1 gene:DRNTG_30950 transcript:DRNTG_30950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMHVDRQMKVTLMNGSEGESLDLKELLQSPAEGLGKGNFGSCYKTTMDSGRSLVVKRLRNLRPLSHEEFNKHMQSLSVLQHPNLHPILGYHFSNEEKLLISAFATNGNLFNRLHGGRGGNRRAFQWNTRLEVAKGVAKAMAYLHKMTTTNDNNNLNDTNFIIPHGNLKASNVLLDDNDTPLLTDYALTPLFPPPLAANFMLAYKSPEHLRWRKVSNKSDVWSYGCLLVELITGKFPKYSSPEGGNGVDLGNWIHKAVREEWTNEVFDMEISGKRKADKGMYMLLQIALRCCEKSPEKRPEMEEVVEEVVAIKPCMAEEDEDEDEVEAESTSTSN >DRNTG_00039.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21654947:21655264:1 gene:DRNTG_00039 transcript:DRNTG_00039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNETSRTPLGRLGKPEEVASVVAFLCLPASSYVTGQMINVDGGR >DRNTG_27930.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001419.1:3089:3452:1 gene:DRNTG_27930 transcript:DRNTG_27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAGQVIRCRAAVAWEAGKALVIEEVDVAPPQAMEVRLKILYTSVCRSDIYFWEAKVCFLVFYLFIYYY >DRNTG_25104.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:834036:836168:1 gene:DRNTG_25104 transcript:DRNTG_25104.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHHGLTEKVFIREFNEDRDLKMVEKLEMSCEIGSRRGFSILTNMMGDPLCRIRLYPLHVMLVAELAGNGEVVGVVRGCMKFVGTGLGTNNVRMGCILGLRVSPKHRRMGIATKLVKFIENWAIRNGAEYMSLAIEENNIASINLFVLKFDYMRLNSLWILIQPLEPHAKKIKQPSHNVKIEKLSVEQAISLYKNKIGGGKLFPGDIDAILEEKLSLGTWVSYYEDEQWSGLHCNKIKEDDDDDDHEFIHKTPNSWAILSIWKTYESYELQIRGAPIVRFFHSTLSHITSKVLPCLRVYPSSELLSKPFGFLLLYGLHGEGERVGELIKSLWFFAQSLAKNTKDCKVVVTELGACDPLREHVPLSSFTSRIDDLWFIKRVGGDAINGDIDIAHDAQAISSIFIDPRDF >DRNTG_05069.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13981222:13982415:1 gene:DRNTG_05069 transcript:DRNTG_05069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTKRLASKRPRTSGPSSTPDEPVFKLSHHRERYDRLKTKLFGTLCYLDWGIVENLGIASQVREWLSKNCLDKLFAINERTFRQLTLEVLSTFEAQQDGDSVWNRKILTISFQAFGWKSTMHHLDFAKYLGIYDDEFINSMPGKRLKLDFPSGVGRSNYWVTLAGDDQTRKASRMIDPPHRFIHALIARSIWGRTDSKGVGSYPRLGAIFIGPYVTRLIRGMGLLEQTQGMTVVGGTVPLGPTHIRAIGLMVTRDRPTRPRASGESSQQQTEHTELDPEGAPTPTPGATSLPDFDMRLRGLEGEVRAVRHEQSEIRAQLYQIIEGQRRLEADLHRFITSYCGSSSHTVATPSATVPPPPSPDCDE >DRNTG_26910.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3005348:3006743:-1 gene:DRNTG_26910 transcript:DRNTG_26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTLKLKSTTFSMVLNQYQTQIMYSCFRLPSLKVCHCQLRRFSCEREFFLGIMLDKVYIIP >DRNTG_16126.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14588017:14595403:1 gene:DRNTG_16126 transcript:DRNTG_16126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTQSKLAYDLSNSNDESTSSAKSWMAGSLIISNCRQPSFEVRSSISSANSSPCCRSRNIVMSKNRVCPKQSLDRRS >DRNTG_02485.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2225103:2227559:-1 gene:DRNTG_02485 transcript:DRNTG_02485.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G05410) UniProtKB/Swiss-Prot;Acc:F4J7A7] MAFALGLVGGGVSSASSSALLPVRCSGQVLVSMAAVFRRRLLTGIGAASLVAVGSNFGGVTSFILGLSPDLGRGLRLDVLYPVQGFTRCLAPNYGFEFIYPADWVGDRTLLYRIVDKAEAQRPLDPPSPITGETAGRPPRNVNEPVVAFGPPGSTGELNVSVIVSPVPQDFSIESFGGPQEVGEAVLRRIAGSRRGQDVKATLVGAKLREDPVNNVKYYILEFQVESTAFQRHNVAVCTARAGKLFTLNAQAPESDWSLVRAKLYQIADSFSLTED >DRNTG_02485.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2225103:2227559:-1 gene:DRNTG_02485 transcript:DRNTG_02485.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G05410) UniProtKB/Swiss-Prot;Acc:F4J7A7] MAFALGLVGGGVSSASSSALLPVRCSGQVLVSMAAVFRRRLLTGIGAASLVAVGSNFGGVTSFILGLSPDLGRGLRLDVLYPVQGFTRCLAPNYGFEFIYPADWVGDRTLLYRIVDKAEAQRPLDPPSPITGETAGRPPRNVNEPVVAFGPPGSTGELNVSVIVSPVPQDFSYSTKSNLQVLCSLIPVNIIPQLIFAE >DRNTG_19881.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17413264:17415472:1 gene:DRNTG_19881 transcript:DRNTG_19881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYRLVYAMEILVDDILRNPIMKEDIAHFKEENPAANDIKKLATDNDSATHVPEEDPVESTVSETMKDNMAIDPPAPPTSGTIVTSIGLPEGRELLGNFHRHRSSEVYPRLDEGTFGEDAATPQDH >DRNTG_05711.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1799274:1806587:-1 gene:DRNTG_05711 transcript:DRNTG_05711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILMTRVWISLVVRLVFSANMRFLSGSPEERISCYRVLDDYGHIISGSSFQQINKEVALKIYQDMVTLQTMDIIFYEAQRQGRISFYLTSIGEEAINIASAAALTMDDIVLPQYREPGVLLWRGFTLQEFANHNFGNKSDYGKGRQMPIHYGCKRLNYLTISSPISTQLPQAVGVAYSLKMDRKNACAITYFGDGATSEGDFHAALNFAAVLEAPIIFFCRNNGWAISTPTTEQFRSDGAVIKGQAYGIRSIRVDGNDALAVYSAVRAAREMVISECQPVFVEALTYRVGHHSTSDDSTKVSTT >DRNTG_05711.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1799274:1802108:-1 gene:DRNTG_05711 transcript:DRNTG_05711.6 gene_biotype:protein_coding transcript_biotype:protein_coding MILMTRVWISLVVRLVFSANMRFLSGSPEERISCYRVLDDYGHIISGSSFQQINKEVALKIYQDMVTLQTMDIIFYEAQRQGRISFYLTSIGEEAINIASAAALTMDDIVLPQYREPGVLLWRGFTLQEFANHNFGNKSDYGKGRQMPIHYGCKRLNYLTISSPISTQLPQAVGVAYSLKMDRKNACAITYFGDGATSEGDFHAALNFAAVLEAPIIFFCRNNGWAISTPTTEQFRSDGAVIKGQAYGIRSIRVDGNDALAVYSAVRAAREMVISECQPVFVEALTYRVGHHSTSDDSTKVSTT >DRNTG_05711.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1799274:1806587:-1 gene:DRNTG_05711 transcript:DRNTG_05711.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLQTMDIIFYEAQRQGRISFYLTSIGEEAINIASAAALTMDDIVLPQYREPGVLLWRGFTLQEFANHNFGNKSDYGKGRQMPIHYGCKRLNYLTISSPISTQLPQAVGVAYSLKMDRKNACAITYFGDGATSEGDFHAALNFAAVLEAPIIFFCRNNGWAISTPTTEQFRSDGAVIKGQAYGIRSIRVDGNDALAVYSAVRAAREMVISECQPVFVEALTYRVGHHSTSDDSTKVSTT >DRNTG_05711.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1799274:1806587:-1 gene:DRNTG_05711 transcript:DRNTG_05711.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLSGSPEERISCYRVLDDYGHIISGSSFQQINKEVALKIYQDMVTLQTMDIIFYEAQRQGRISFYLTSIGEEAINIASAAALTMDDIVLPQYREPGVLLWRGFTLQEFANHNFGNKSDYGKGRQMPIHYGCKRLNYLTISSPISTQLPQAVGVAYSLKMDRKNACAITYFGDGATSEGDFHAALNFAAVLEAPIIFFCRNNGWAISTPTTEQFRSDGAVIKGQAYGIRSIRVDGNDALAVYSAVRAAREMVISECQPVFVEALTYRVGHHSTSDDSTKVSTT >DRNTG_05711.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1799274:1802108:-1 gene:DRNTG_05711 transcript:DRNTG_05711.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLSGSPEERISCYRVLDDYGHIISGSSFQQINKEVALKIYQDMVTLQTMDIIFYEAQRQGRISFYLTSIGEEAINIASAAALTMDDIVLPQYREPGVLLWRGFTLQEFANHNFGNKSDYGKGRQMPIHYGCKRLNYLTISSPISTQLPQAVGVAYSLKMDRKNACAITYFGDGATSEGDFHAALNFAAVLEAPIIFFCRNNGWAISTPTTEQFRSDGAVIKGQAYGIRSIRVDGNDALAVYSAVRAAREMVISECQPVFVEALTYRVGHHSTSDDSTKVSTT >DRNTG_05711.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1802533:1806587:-1 gene:DRNTG_05711 transcript:DRNTG_05711.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDLDGQFSEMKVCADPLCSGSSLSAGNGVIDVDDDGVQITCFTENVHDVVLHFQIIRLAKQIFAWIGCNSAKLGHMHAAASTRPMNTVSVAALIGGGANSTGSSVARRLALKTGVNVVLACNIPNSKDSQMLEAAAERKLMEKLTTLGYITRPASQNTSNQSSNS >DRNTG_05711.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1799274:1802108:-1 gene:DRNTG_05711 transcript:DRNTG_05711.8 gene_biotype:protein_coding transcript_biotype:protein_coding MILFFPREPGVLLWRGFTLQEFANHNFGNKSDYGKGRQMPIHYGCKRLNYLTISSPISTQLPQAVGVAYSLKMDRKNACAITYFGDGATSEGDFHAALNFAAVLEAPIIFFCRNNGWAISTPTTEQFRSDGAVIKGQAYGIRSIRVDGNDALAVYSAVRAAREMVISECQPVFVEALTYRVGHHSTSDDSTKVSTT >DRNTG_05711.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1799274:1806587:-1 gene:DRNTG_05711 transcript:DRNTG_05711.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILFFPREPGVLLWRGFTLQEFANHNFGNKSDYGKGRQMPIHYGCKRLNYLTISSPISTQLPQAVGVAYSLKMDRKNACAITYFGDGATSEGDFHAALNFAAVLEAPIIFFCRNNGWAISTPTTEQFRSDGAVIKGQAYGIRSIRVDGNDALAVYSAVRAAREMVISECQPVFVEALTYRVGHHSTSDDSTKVSTT >DRNTG_00151.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2439605:2441151:1 gene:DRNTG_00151 transcript:DRNTG_00151.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQWQTLIRSRSHLFSILTSTSTLLHLHQTLAFTITSGLLHDPFSAARLIAAVAATDLSLAHLTFLHSPTPSAFAFNSLIRAHSSGPSPSVSLLLFRRMLRRRLHPDRFSFPFLFRAAARATTDPNLVFSLHSLALRHGVSLDPFVATSLLHAYASFDAFDSALKVFDEMPLRTIVTWNAVISCCSKSARNLHGLTLFSALLQWRDLRANADTLIAALSCCKGLNTLCHGKSLHALAIRLWLDALVELSTSLVHTYVKCGGLDYAKKVFDEMPERDVSAWTAMIGGMAMHGRGEEAAELFRQMKVSGIEPDSLTFTSVLHACSHCGLVEMGMRIFEEMEERKVVRMEHYGVVVDMLGRAGLMEEAEKFVERMDMEPSREVWGALLNGCLVNGEVEMGKRLERKCV >DRNTG_00151.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2439923:2441151:1 gene:DRNTG_00151 transcript:DRNTG_00151.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQWQTLIRSRSHLFSILTSTSTLLHLHQTLAFTITSGLLHDPFSAARLIAAVAATDLSLAHLTFLHSPTPSAFAFNSLIRAHSSGPSPSVSLLLFRRMLRRRLHPDRFSFPFLFRAAARATTDPNLVFSLHSLALRHGVSLDPFVATSLLHAYASFDAFDSALKVFDEMPLRTIVTWNAVISCCSKSARNLHGLTLFSALLQWRDLRANADTLIAALSCCKGLNTLCHGKSLHALAIRLWLDALVELSTSLVHTYVKCGGLDYAKKVFDEMPERDVSAWTAMIGGMAMHGRGEEAAELFRQMKVSGIEPDSLTFTSVLHACSHCGLVEMGMRIFEEMEERKVVRMEHYGVVVDMLGRAGLMEEAEKFVERMDMEPSREVWGALLNGCLVNGEVEMGKRLERKCV >DRNTG_00151.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2439923:2442926:1 gene:DRNTG_00151 transcript:DRNTG_00151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWQTLIRSRSHLFSILTSTSTLLHLHQTLAFTITSGLLHDPFSAARLIAAVAATDLSLAHLTFLHSPTPSAFAFNSLIRAHSSGPSPSVSLLLFRRMLRRRLHPDRFSFPFLFRAAARATTDPNLVFSLHSLALRHGVSLDPFVATSLLHAYASFDAFDSALKVFDEMPLRTIVTWNAVISCCSKSARNLHGLTLFSALLQWRDLRANADTLIAALSCCKGLNTLCHGKSLHALAIRLWLDALVELSTSLVHTYVKCGGLDYAKKVFDEMPERDVSAWTAMIGGMAMHGRGEEAAELFRQMKVSGIEPDSLTFTSVLHACSHCGLVEMGMRIFEEMEERKVVRMEHYGVVVDMLGRAGLMEEAEKFVERMDMEPSREVWGALLNGCLVNGEVEMGKRLERKCVELGLGLGLGKEGGGFMVGVSNVYAREGRWEEVGMVRERMMEKKIRKEKGFSLVERGPMISCRSF >DRNTG_14707.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20839933:20844634:-1 gene:DRNTG_14707 transcript:DRNTG_14707.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase SPL2 [Source:Projected from Arabidopsis thaliana (AT1G54150) UniProtKB/Swiss-Prot;Acc:Q9SYH3] MSSRDSAAAAAVARFATACDGVFLGITLAYFAFQSWANYFSISSSLRRLRSAPNPRLSDLRLLLNSDEDSDEGTLVVIRGSVQPKPASDRGWTTSQLVSCSGERAVVVQRTQTCLYNEWTGLFGRRFDLHTLLFKSMKEQQSSSLRSVPFVLMESSTRPNSDYVSVSLDGSAHQLPLATVYHHLHPVQPSPYTFLQILFGQGYPIALLDEEKILPVGKEVTAIGICTTKGGAVEIKSCRDLPCFLSDMTKGEIESDMATRSGVHFWCGLLLGTMSVGVLGYAIHRNWLKWKEWRERRRQAQELQREATPEINVDDETGDVADGELCVVCLMRRRRSAFVPCGHLVCCPRCAFAIEREVSPKCPVCRQSIRTCIRIFGS >DRNTG_19925.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5757790:5767097:1 gene:DRNTG_19925 transcript:DRNTG_19925.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGQGFPTSNFPAGRNSPHSLPYGDPRESGHRSGGRSKSSHGGRSTSSRGRSTPNICQRSIDNSTSIPNTVPDLGMAPCIHPSIQPTTTMTPSSPITQPSPAIPVAQPPPIPHGETSTHASEDYSPAMEVPSNESKSTEPPKLITLNSLIVDYEVKKAIHELVKDHYKEAWTRWGKVPKDVRQRIFTAFRAQFPWIAPVVWEDLQRYWESEEFKRISENNKQNSVEIGSSSTVIYRDGSVSTAVHRLRLAEELGREPTPKECFIRTHGRKDGTLEAGCATEIVVRNIVRQMSSSNYKQCSGPSESTEQLRNKVKELQEELARSRAEADAELARRELFESSLLAALRVQGLHVIINTTMCDVVFGKTHNGAVMVVGDAFYTMMFDLAQGGCATVEDAAVVLAQCLVTSVGIMAKDLGKYVKEMRWRAVGLITGVFFSNWVQQSGSGWSWWKNEALLR >DRNTG_33841.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002045.1:1:9597:1 gene:DRNTG_33841 transcript:DRNTG_33841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHLSFLKEHVIYKEAITIKDPLVLSKIHQTYRIGYIKVTRVETDALLVAQAIQSPAADFSYLGLVAGDCRFLLTRCYFARSLDEATIASPNAIIQANNATVVLLLKEDTSFIQELFARMKSPSISDESKGTCSKVQHEVKDSMAVRKGQKADCEKLRE >DRNTG_16868.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15791462:15791572:-1 gene:DRNTG_16868 transcript:DRNTG_16868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSCRVFNPRRMVYLSAEAWMKLTKHGVKNGELD >DRNTG_35071.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19648823:19649505:-1 gene:DRNTG_35071 transcript:DRNTG_35071.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTFSFFFFLFALMFFSNNIACHANRRLLEDAESFPIPELPQLPPLPEIPTLPKPELPPLPELPDLPKPELPTIPEIPKPELPHFPKVTIPSKTEEIQKPEESSLPEPELPTLPKFELPPLPELPTLPKITLPEIPTLPKPELPTFPFIPPKETSP >DRNTG_35071.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19651025:19651753:-1 gene:DRNTG_35071 transcript:DRNTG_35071.2 gene_biotype:protein_coding transcript_biotype:protein_coding LPIPLASSSLFAILFLSNNIACNASRRLLEEEKPIFPIPEVPKLPPLPEIPTLPKPELPPLPEIPSLPKPELPSIPEIPKPELPHFPESTSPSNSEEKPTLHEPALPVKHEEPSLPKPEVPELPTLPKPTLPEIPILPKPKLPEIPTLPKPEIPTLPLVKSPPEETKP >DRNTG_35071.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19648823:19651753:-1 gene:DRNTG_35071 transcript:DRNTG_35071.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPIPLASSSLFAILFLSNNIACNASRRLLEEEKPIFPIPEVPKLPPLPEIPTLPKPELPPLPELPDLPKPELPTIPEIPKPELPHFPKVTIPSKTEEIQKPEESSLPEPELPTLPKFELPPLPELPTLPKITLPEIPTLPKPELPTFPFIPPKETSP >DRNTG_20489.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2609923:2611705:1 gene:DRNTG_20489 transcript:DRNTG_20489.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHRPIPFRPSSDLSPPILMLLLLFLLTTRCTSQSSPPIDSTPFNGLSTSFNPSMAIIIVVLISAFFFLGFFSIYIRQCGGANAANAGGTFGGRSRRGIAQRGLDPEVLETFPTLAYSEVKNLKIGKGSLECAVCLSEFEEDETLRLLPKCSHVFHPDCIDAWLVSHVTCPVCRSNLAADPIPASGADGEDCQAAAAAAVVVDPEPLDQVAIAMDEDDRRDELAELARIGSQRRAAAMMARSKSMPRVQRFPRSHSTGHSILARPGENLDRFTLRLPEYLKREIVDSAVEAAGRLQRTKSLVVFPASGGEASSRRGYRSGEGSSRLGRSVRLGRSDRWPSFLARTLSTRFTGWGNGKKETEGLFQKE >DRNTG_20489.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2609628:2611525:1 gene:DRNTG_20489 transcript:DRNTG_20489.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHRPIPFRPSSDLSPPILMLLLLFLLTTRCTSQSSPPIDSTPFNGLSTSFNPSMAIIIVVLISAFFFLGFFSIYIRQCGGANAANAGGTFGGRSRRGIAQRGLDPEVLETFPTLAYSEVKNLKIGKGSLECAVCLSEFEEDETLRLLPKCSHVFHPDCIDAWLVSHVTCPVCRSNLAADPIPASGADGEDCQAAAAAAVVVDPEPLDQVAIAMDEDDRRDELAELARIGSQRRAAAMMARSKSMPRVQRFPRSHSTGHSILARPGENLDRFTLRLPEYLKREIVDSAVEAAGRLQRTKSLVVFPASGGEASSRRGYRSGEGSSRLGRSVRLGRSDRWPSFLARTLSTRFTGWGNGKKETEGLFQKE >DRNTG_20489.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2609923:2611525:1 gene:DRNTG_20489 transcript:DRNTG_20489.8 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHRPIPFRPSSDLSPPILMLLLLFLLTTRCTSQSSPPIDSTPFNGLSTSFNPSMAIIIVVLISAFFFLGFFSIYIRQCGGANAANAGGTFGGRSRRGIAQRGLDPEVLETFPTLAYSEVKNLKIGKGSLECAVCLSEFEEDETLRLLPKCSHVFHPDCIDAWLVSHVTCPVCRSNLAADPIPASGADGEDCQAAAAAAVVVDPEPLDQVAIAMDEDDRRDELAELARIGSQRRAAAMMARSKSMPRVQRFPRSHSTGHSILARPGENLDRFTLRLPEYLKREIVDSAVEAAGRLQRTKSLVVFPASGGEASSRRGYRSGEGSSRLGRSVRLGRSDRWPSFLARTLSTRFTGWGNGKKETEGLFQKE >DRNTG_20489.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2609865:2611705:1 gene:DRNTG_20489 transcript:DRNTG_20489.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHRPIPFRPSSDLSPPILMLLLLFLLTTRCTSQSSPPIDSTPFNGLSTSFNPSMAIIIVVLISAFFFLGFFSIYIRQCGGANAANAGGTFGGRSRRGIAQRGLDPEVLETFPTLAYSEVKNLKIGKGSLECAVCLSEFEEDETLRLLPKCSHVFHPDCIDAWLVSHVTCPVCRSNLAADPIPASGADGEDCQAAAAAAVVVDPEPLDQVAIAMDEDDRRDELAELARIGSQRRAAAMMARSKSMPRVQRFPRSHSTGHSILARPGENLDRFTLRLPEYLKREIVDSAVEAAGRLQRTKSLVVFPASGGEASSRRGYRSGEGSSRLGRSVRLGRSDRWPSFLARTLSTRFTGWGNGKKETEGLFQKE >DRNTG_20489.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2610075:2611525:1 gene:DRNTG_20489 transcript:DRNTG_20489.10 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHRPIPFRPSSDLSPPILMLLLLFLLTTRCTSQSSPPIDSTPFNGLSTSFNPSMAIIIVVLISAFFFLGFFSIYIRQCGGANAANAGGTFGGRSRRGIAQRGLDPEVLETFPTLAYSEVKNLKIGKGSLECAVCLSEFEEDETLRLLPKCSHVFHPDCIDAWLVSHVTCPVCRSNLAADPIPASGADGEDCQAAAAAAVVVDPEPLDQVAIAMDEDDRRDELAELARIGSQRRAAAMMARSKSMPRVQRFPRSHSTGHSILARPGENLDRFTLRLPEYLKREIVDSAVEAAGRLQRTKSLVVFPASGGEASSRRGYRSGEGSSRLGRSVRLGRSDRWPSFLARTLSTRFTGWGNGKKETEGLFQKE >DRNTG_20489.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2610036:2611525:1 gene:DRNTG_20489 transcript:DRNTG_20489.9 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHRPIPFRPSSDLSPPILMLLLLFLLTTRCTSQSSPPIDSTPFNGLSTSFNPSMAIIIVVLISAFFFLGFFSIYIRQCGGANAANAGGTFGGRSRRGIAQRGLDPEVLETFPTLAYSEVKNLKIGKGSLECAVCLSEFEEDETLRLLPKCSHVFHPDCIDAWLVSHVTCPVCRSNLAADPIPASGADGEDCQAAAAAAVVVDPEPLDQVAIAMDEDDRRDELAELARIGSQRRAAAMMARSKSMPRVQRFPRSHSTGHSILARPGENLDRFTLRLPEYLKREIVDSAVEAAGRLQRTKSLVVFPASGGEASSRRGYRSGEGSSRLGRSVRLGRSDRWPSFLARTLSTRFTGWGNGKKETEGLFQKE >DRNTG_20489.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2610075:2611705:1 gene:DRNTG_20489 transcript:DRNTG_20489.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHRPIPFRPSSDLSPPILMLLLLFLLTTRCTSQSSPPIDSTPFNGLSTSFNPSMAIIIVVLISAFFFLGFFSIYIRQCGGANAANAGGTFGGRSRRGIAQRGLDPEVLETFPTLAYSEVKNLKIGKGSLECAVCLSEFEEDETLRLLPKCSHVFHPDCIDAWLVSHVTCPVCRSNLAADPIPASGADGEDCQAAAAAAVVVDPEPLDQVAIAMDEDDRRDELAELARIGSQRRAAAMMARSKSMPRVQRFPRSHSTGHSILARPGENLDRFTLRLPEYLKREIVDSAVEAAGRLQRTKSLVVFPASGGEASSRRGYRSGEGSSRLGRSVRLGRSDRWPSFLARTLSTRFTGWGNGKKETEGLFQKE >DRNTG_20489.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2610036:2611705:1 gene:DRNTG_20489 transcript:DRNTG_20489.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHRPIPFRPSSDLSPPILMLLLLFLLTTRCTSQSSPPIDSTPFNGLSTSFNPSMAIIIVVLISAFFFLGFFSIYIRQCGGANAANAGGTFGGRSRRGIAQRGLDPEVLETFPTLAYSEVKNLKIGKGSLECAVCLSEFEEDETLRLLPKCSHVFHPDCIDAWLVSHVTCPVCRSNLAADPIPASGADGEDCQAAAAAAVVVDPEPLDQVAIAMDEDDRRDELAELARIGSQRRAAAMMARSKSMPRVQRFPRSHSTGHSILARPGENLDRFTLRLPEYLKREIVDSAVEAAGRLQRTKSLVVFPASGGEASSRRGYRSGEGSSRLGRSVRLGRSDRWPSFLARTLSTRFTGWGNGKKETEGLFQKE >DRNTG_20489.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2609628:2611705:1 gene:DRNTG_20489 transcript:DRNTG_20489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHRPIPFRPSSDLSPPILMLLLLFLLTTRCTSQSSPPIDSTPFNGLSTSFNPSMAIIIVVLISAFFFLGFFSIYIRQCGGANAANAGGTFGGRSRRGIAQRGLDPEVLETFPTLAYSEVKNLKIGKGSLECAVCLSEFEEDETLRLLPKCSHVFHPDCIDAWLVSHVTCPVCRSNLAADPIPASGADGEDCQAAAAAAVVVDPEPLDQVAIAMDEDDRRDELAELARIGSQRRAAAMMARSKSMPRVQRFPRSHSTGHSILARPGENLDRFTLRLPEYLKREIVDSAVEAAGRLQRTKSLVVFPASGGEASSRRGYRSGEGSSRLGRSVRLGRSDRWPSFLARTLSTRFTGWGNGKKETEGLFQKE >DRNTG_20489.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2609865:2611525:1 gene:DRNTG_20489 transcript:DRNTG_20489.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHRPIPFRPSSDLSPPILMLLLLFLLTTRCTSQSSPPIDSTPFNGLSTSFNPSMAIIIVVLISAFFFLGFFSIYIRQCGGANAANAGGTFGGRSRRGIAQRGLDPEVLETFPTLAYSEVKNLKIGKGSLECAVCLSEFEEDETLRLLPKCSHVFHPDCIDAWLVSHVTCPVCRSNLAADPIPASGADGEDCQAAAAAAVVVDPEPLDQVAIAMDEDDRRDELAELARIGSQRRAAAMMARSKSMPRVQRFPRSHSTGHSILARPGENLDRFTLRLPEYLKREIVDSAVEAAGRLQRTKSLVVFPASGGEASSRRGYRSGEGSSRLGRSVRLGRSDRWPSFLARTLSTRFTGWGNGKKETEGLFQKE >DRNTG_12364.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26035400:26038656:-1 gene:DRNTG_12364 transcript:DRNTG_12364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDKRARVEYKQAMEQVKVAVAKEEERVRKLEGLDF >DRNTG_26851.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1608483:1609736:-1 gene:DRNTG_26851 transcript:DRNTG_26851.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiple organellar RNA editing factor 3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G06790) UniProtKB/Swiss-Prot;Acc:Q84JZ6] MAAASRRLLSSLLVRALRPSPPLRPAAAVVLARLAPRCVPSRPKTTAGSGYSPLNDPNPNFSNRPPKETILLDGCDYEHWLIVMEFPEDPKPSEDEMIAAYVKTLTAVVGSVEEAKKKIYSVCTTTYTGFGALISEELSYKVKGLPGVLWVLPDSYLDVPNKDYGGDLFIDGKVVHRPQFRFDERQQTRSRPRPRYDRRRETMQVERRETMYRGGPGSRQMPQNAPHGDQTVVPRDGN >DRNTG_14723.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:57070:58981:-1 gene:DRNTG_14723 transcript:DRNTG_14723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLRSKKKKRLRTLRREIAEPFYENKDAAKLAAQEAALAAPKLQVRTRKDETPSVASTPISMDVEMAAGGGSHSDDTKSFLKPAGGIGKKSKRKLKLKKGKRRGKSKINLRRK >DRNTG_06785.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19842900:19852355:1 gene:DRNTG_06785 transcript:DRNTG_06785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCTKLLRRCLSSTASSSPQPWLFVGLGNPGEKYQHTRHNVGFDMIDAFAQSQGIPMTSVHCKAFFGEGFVGGTPVLLAKPQTYMNLSGESAGPLAAYYKLPLNRVLLIFDDMDLPCGVLRIQPKGGHGHHKGLKSVIYHFRGNRDFGRLRIGIGRPPGQMDPKAFLLQKFNKLARERIDSAVQEGVEILNSIVANGLTECARSANADQKYKHLRLQNLPV >DRNTG_02015.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:15358193:15364948:-1 gene:DRNTG_02015 transcript:DRNTG_02015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVCFELRRSNMMGSLSRSSSMKKSHESTRLVVTTVLGVLFGFFLGLSFPFLSISKLSLIPNLTSATDLTFVDESRPQQVKHAMTSSSNLDMNITSDDHGSGDSTKIYVPTNPRGAELLPPGIIVPESDFYLRRLWGDPNEDLTTRQKYLLTFTVGFDQWKNIDKAIKKFSEDFTILLFHYDGRTSEWDQFEWSKRAIHISLKKQTKWWYAKRFLHPDIVASYDYIFIWDEDLGVEHFNAEKYLELVKKHGLEISQPGLEPDKGLTWEMTKRRGDSEVHKETEEKPGWCPDPHLPPCAAFVEIMAPVFSRNAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSEWIVHQVIPSIGNQGESDDDKASWQGVRERCKFEWSQFQSRLANADKRFFAGLGKG >DRNTG_09140.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26871757:26878200:1 gene:DRNTG_09140 transcript:DRNTG_09140.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCYKSRGRLQPSVVLDRRPRSSLSGTGYCYSSISKSSLFLPFMEPSTQFQTLDPSCPPPPPAHETLDFQYPPPPPPPPPHESFDNHQYQDASHLSSQNPSITHGSDARAQADHETLGHASGENPNPTEASLTAQKPVVSENGLAMTHSGTDKDCSGGEEETSSRRRRRSRWDPQPDSEQNADGSGSGARKRKSRWADEEPKPVVQLPDFMKDFTADLDPEVQVLNARLLEINRLFQSGMALDDRPEGARSPSPEPIYDNMGIRINTREYRARERLTRERQEIISQLIKRNPAFRPPADYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGRLQQKRDLRPDPAENEDLHVLVEAETQDALDAAAGMVEKLLTPVDEVLNEHKRQQLRELAALNGTIRDDEFCRLCGEPGHRQFACPARTTTFKSDVLCKICGDGGHPTIDCPVKGTGKKMDDEYQNFLAELGGSMPESLTKQSSPMAAIGSGSSGTGVGASASNGIKKEYDETNLYIGYLPPTLEDDGLIRLFSSFGEIVMAKVIKDRITGLSKGYGFVKYSNVEQANQAIAAMHGYHLEGRIIAVRVAGKPPQPTVPPGPPAPPPPAYPTQDASTGAYPSQQYVSGGPITTPPPPPPGSYVGAPVPWGPPVPPPYAAYPPPPPPGSSMYTPVHQGQPMPPYGYQYPPPPPPQALPPGAPQSTEGMQTQSHSGTSVPPPVPGSMYGSSAPGIAPIAPPAYPPPPYGYQPYYTAVPPPAPSASVDPVSSLANAPWASNASVASLPPPPAEQTTPSGTDTEYEKFMSEIK >DRNTG_09140.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26871674:26878200:1 gene:DRNTG_09140 transcript:DRNTG_09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYKSRGRLQPSVVLDRRPRSSLSGTGYCYSSISKSSLFLPFMEPSTQFQTLDPSCPPPPPAHETLDFQYPPPPPPPPPHESFDNHQYQDASHLSSQNPSITHGSDARAQADHETLGHASGENPNPTEASLTAQKPVVSENGLAMTHSGTDKDCSGGEEETSSRRRRRSRWDPQPDSEQNADGSGSGARKRKSRWADEEPKPVVQLPDFMKDFTADLDPEVQVLNARLLEINRLFQSGMALDDRPEGARSPSPEPIYDNMGIRINTREYRARERLTRERQEIISQLIKRNPAFRPPADYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGRLQQKRDLRPDPAENEDLHVLVEAETQDALDAAAGMVEKLLTPVDEVLNEHKRQQLRELAALNGTIRDDEFCRLCGEPGHRQFACPARTTTFKSDVLCKICGDGGHPTIDCPVKGTGKKMDDEYQNFLAELGGSMPESLTKQSSPMAAIGSGSSGTGVGASASNGIKKEYDETNLYIGYLPPTLEDDGLIRLFSSFGEIVMAKVIKDRITGLSKGYGFVKYSNVEQANQAIAAMHGYHLEGRIIAVRVAGKPPQPTVPPGPPAPPPPAYPTQDASTGAYPSQQYVSGGPITTPPPPPPGSYVGAPVPWGPPVPPPYAAYPPPPPPGSSMYTPVHQGQPMPPYGYQYPPPPPPQALPPGAPQSTEGMQTQSHSGTSVPPPVPGSMYGSSAPGIAPIAPPAYPPPPYGYQPYYTAVPPPAPSASVDPVSSLANAPWASNASVASLPPPPAEQTTPSGTDTEYEKFMSEIK >DRNTG_09140.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26871757:26878200:1 gene:DRNTG_09140 transcript:DRNTG_09140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCYKSRGRLQPSVVLDRRPRSSLSGTGYCYSSISKSSLFLPFMEPSTQFQTLDPSCPPPPPAHETLDFQYPPPPPPPPPHESFDNHQYQDASHLSSQNPSITHGSDARAQADHETLGHASGENPNPTEASLTAQKPVVSENGLAMTHSGTDKDCSGGEEETSSRRRRRSRWDPQPDSEQNADGSGSGARKRKSRWADEEPKPVVQLPDFMKDFTADLDPEVQVLNARLLEINRLFQSGMALDDRPEGARSPSPEPIYDNMGIRINTREYRARERLTRERQEIISQLIKRNPAFRPPADYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGRLQQKRDLRPDPAENEDLHVLVEAETQDALDAAAGMVEKLLTPVDEVLNEHKRQQLRELAALNGTIRDDEFCRLCGEPGHRQFACPARTTTFKSDVLCKICGDGGHPTIDCPVKGTGKKMDDEYQNFLAELGGSMPESLTKQSSPMAAIGSGSSGTGVGASASNGIKKEYDETNLYIGYLPPTLEDDGLIRLFSSFGEIVMAKVIKDRITGLSKGYGFVKYSNVEQANQAIAAMHGYHLEGRIIAVRVAGKPPQPTVPPGPPAPPPPAYPTQDASTGAYPSQQYVSGGPITTPPPPPPGSYVGAPVPWGPPVPPPYAAYPPPPPPGSSMYTPVHQGQPMPPYGYQYPPPPPPQALPPGAPQSTEGMQTQSHSGTSVPPPVPGSMYGSSAPGIAPIAPPAYPPPPYGYQPYYTAVPPPAPSASVDPVSSLANAPWASNASVASLPPPPAEQTTPSGTDTEYEKFMSEIK >DRNTG_09140.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26871674:26878148:1 gene:DRNTG_09140 transcript:DRNTG_09140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCYKSRGRLQPSVVLDRRPRSSLSGTGYCYSSISKSSLFLPFMEPSTQFQTLDPSCPPPPPAHETLDFQYPPPPPPPPPHESFDNHQYQDASHLSSQNPSITHGSDARAQADHETLGHASGENPNPTEASLTAQKPVVSENGLAMTHSGTDKDCSGGEEETSSRRRRRSRWDPQPDSEQNADGSGSGARKRKSRWADEEPKPVVQLPDFMKDFTADLDPEVQVLNARLLEINRLFQSGMALDDRPEGARSPSPEPIYDNMGIRINTREYRARERLTRERQEIISQLIKRNPAFRPPADYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGRLQQKRDLRPDPAENEDLHVLVEAETQDALDAAAGMVEKLLTPVDEVLNEHKRQQLRELAALNGTIRDDEFCRLCGEPGHRQFACPARTTTFKSDVLCKICGDGGHPTIDCPVKGTGKKMDDEYQNFLAELGGSMPESLTKQSSPMAAIGSGSSGTGVGASASNGIKKEYDETNLYIGYLPPTLEDDGLIRLFSSFGEIVMAKVIKDRITGLSKGYGFVKYSNVEQANQAIAAMHGYHLEGRIIAVRVAGKPPQPTVPPGPPAPPPPAYPTQDASTGAYPSQQYVSGGPITTPPPPPPGSYVGAPVPWGPPVPPPYAAYPPPPPPGSSMYTPVHQGQPMPPYGYQYPPPPPPQALPPGAPQSTEGMQTQSHSGTSVPPPVPGSMYGSSAPGIAPIAPPAYPPPPYGYQPYYTAVPPPAPSASVDPVSSLANAPWASNASVASLPPPPAEQTTPSGTDTEYEKFMSEIK >DRNTG_09140.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26871757:26878258:1 gene:DRNTG_09140 transcript:DRNTG_09140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCYKSRGRLQPSVVLDRRPRSSLSGTGYCYSSISKSSLFLPFMEPSTQFQTLDPSCPPPPPAHETLDFQYPPPPPPPPPHESFDNHQYQDASHLSSQNPSITHGSDARAQADHETLGHASGENPNPTEASLTAQKPVVSENGLAMTHSGTDKDCSGGEEETSSRRRRRSRWDPQPDSEQNADGSGSGARKRKSRWADEEPKPVVQLPDFMKDFTADLDPEVQVLNARLLEINRLFQSGMALDDRPEGARSPSPEPIYDNMGIRINTREYRARERLTRERQEIISQLIKRNPAFRPPADYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGRLQQKRDLRPDPAENEDLHVLVEAETQDALDAAAGMVEKLLTPVDEVLNEHKRQQLRELAALNGTIRDDEFCRLCGEPGHRQFACPARTTTFKSDVLCKICGDGGHPTIDCPVKGTGKKMDDEYQNFLAELGGSMPESLTKQSSPMAAIGSGSSGTGVGASASNGIKKEYDETNLYIGYLPPTLEDDGLIRLFSSFGEIVMAKVIKDRITGLSKGYGFVKYSNVEQANQAIAAMHGYHLEGRIIAVRVAGKPPQPTVPPGPPAPPPPAYPTQDASTGAYPSQQYVSGGPITTPPPPPPGSYVGAPVPWGPPVPPPYAAYPPPPPPGSSMYTPVHQGQPMPPYGYQYPPPPPPQALPPGAPQSTEGMQTQSHSGTSVPPPVPGSMYGSSAPGIAPIAPPAYPPPPYGYQPYYTAVPPPAPSASVDPVSSLANAPWASNASVASLPPPPAEQTTPSGTDTEYEKFMSEIK >DRNTG_09140.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26871757:26878148:1 gene:DRNTG_09140 transcript:DRNTG_09140.6 gene_biotype:protein_coding transcript_biotype:protein_coding MCYKSRGRLQPSVVLDRRPRSSLSGTGYCYSSISKSSLFLPFMEPSTQFQTLDPSCPPPPPAHETLDFQYPPPPPPPPPHESFDNHQYQDASHLSSQNPSITHGSDARAQADHETLGHASGENPNPTEASLTAQKPVVSENGLAMTHSGTDKDCSGGEEETSSRRRRRSRWDPQPDSEQNADGSGSGARKRKSRWADEEPKPVVQLPDFMKDFTADLDPEVQVLNARLLEINRLFQSGMALDDRPEGARSPSPEPIYDNMGIRINTREYRARERLTRERQEIISQLIKRNPAFRPPADYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGRLQQKRDLRPDPAENEDLHVLVEAETQDALDAAAGMVEKLLTPVDEVLNEHKRQQLRELAALNGTIRDDEFCRLCGEPGHRQFACPARTTTFKSDVLCKICGDGGHPTIDCPVKGTGKKMDDEYQNFLAELGGSMPESLTKQSSPMAAIGSGSSGTGVGASASNGIKKEYDETNLYIGYLPPTLEDDGLIRLFSSFGEIVMAKVIKDRITGLSKGYGFVKYSNVEQANQAIAAMHGYHLEGRIIAVRVAGKPPQPTVPPGPPAPPPPAYPTQDASTGAYPSQQYVSGGPITTPPPPPPGSYVGAPVPWGPPVPPPYAAYPPPPPPGSSMYTPVHQGQPMPPYGYQYPPPPPPQALPPGAPQSTEGMQTQSHSGTSVPPPVPGSMYGSSAPGIAPIAPPAYPPPPYGYQPYYTAVPPPAPSASVDPVSSLANAPWASNASVASLPPPPAEQTTPSGTDTEYEKFMSEIK >DRNTG_34283.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28175651:28181535:1 gene:DRNTG_34283 transcript:DRNTG_34283.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTMFNFFGRIPQIFQKWAELNLEQLRKNDWKPKTIEHLVIIEKDSQTQLELNLDKQMKAWKKNIHWVDQPPEINVTVPKGSLCDLNLRVKVGLPPDAVFNIIIDPENKRVFKNIKEVISRKVLVDEGMRQVVEVEQAALWRFLWWSGTISVHVYVDQNRKNHTVKFKQGKSGFMKKFEGCWKIEPLFVDEQLCYPDKPETVAEYDVCTAGRGRVGSILNLQQLIQPAIVPPPPISWYLRGITTKTTQMLINDLITETARLRGGASDTISEHDLDLNYNNGKHSSTSDIINIKERWCQRRRTRKNRRLRDQTL >DRNTG_34283.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28175630:28181535:1 gene:DRNTG_34283 transcript:DRNTG_34283.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAWKKNIHWVDQPPEINVTVPKGSLCDLNLRVKVGLPPDAVFNIIIDPENKRVFKNIKEVISRKVLVDEGMRQVVEVEQAALWRFLWWSGTISVHVYVDQNRKNHTVKFKQGKSGFMKKFEGCWKIEPLFVDEQLCYPDKPETVAEYDVCTAGRGRVGSILNLQQLIQPAIVPPPPISWYLRGITTKTTQMLINDLITETARLRGGASDTISEHDLDLNYNNGKHSSTSDIINIKERWCQRRRTRKNRRLRDQTL >DRNTG_29996.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6537172:6538677:-1 gene:DRNTG_29996 transcript:DRNTG_29996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTERLKPGWEILDLMSSWVSHLPKEVEYKRVVGHGMNAMELARNNRLEYFFVKDLNLEQRLPLEDSSFDAVLCTVSIQYLQCPEKVYAEVFRVLKPGGVFIISFSNRLFYEKAISAWRDGTSYSRVQLVIQYFQCVDGFTQPEVIKRLPANVDTSPLTWLLRLLGVSTSDPFYAVIAHKNFKPI >DRNTG_18766.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1347084:1350690:-1 gene:DRNTG_18766 transcript:DRNTG_18766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSCWRCVGWRGDGGGGGGDGLLWHMELKPHASGDFSIAVAQANMALEDQGQVLTSPSATLVGVYDGHGGPEASRFVNSRLFPHLHKFASEQGGLSAEVIRKAFDATEEEFLHLVKQSWLSRPQIASVGSCCLVGAIVDDVLYVANLGDSRAVLGRRSANGNAVVAERLSSDHNVAVEEVRKELTELHPDDSHIVVYTRGVWRIKGIIQVSRSIGDIYLKKPEFSRDPLFQRIVSPIPLKRPVMTAEPSIEVRKLRANDLFLIFASDGLWEQLTDEDAVEIVFKNPRPGIAKRLVRAALNEAAKKREMRYNDIKRIEKGIRRHVHDDITVIVIYLDHRRGGGPRFRNSTMDCTNAPVDVYSVDASEPEGPRLPVL >DRNTG_05006.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11819362:11824988:1 gene:DRNTG_05006 transcript:DRNTG_05006.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLAIWSSFSHSSSSCFGSTLGDYSTEDKIKKRTYN >DRNTG_05006.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11821167:11824988:1 gene:DRNTG_05006 transcript:DRNTG_05006.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSVWESLQKYWGSEEFKKISEQNKKNRAVNGSS >DRNTG_05006.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11824420:11824988:1 gene:DRNTG_05006 transcript:DRNTG_05006.8 gene_biotype:protein_coding transcript_biotype:protein_coding VLAIWSSFSHSSSSCFGSTLGDYSTEDKIKKRTYN >DRNTG_05006.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11821167:11824988:1 gene:DRNTG_05006 transcript:DRNTG_05006.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSINYKPRSRPSQSSGQLYEQIKELQAELAKTQAKHNAMLAEHDTMRAEHDAMRIEWARRESFEMSLLAALRLKDIDLSDMHVATLT >DRNTG_05006.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11821167:11824988:1 gene:DRNTG_05006 transcript:DRNTG_05006.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSINYKPRSRPSQSSGQLYEQIKELQAELAKTQAKHNAMLAEHDTMRAEHDAMRIEWARRESFEMSLLAALRLKDIDLSDMHVATLT >DRNTG_05006.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11821881:11824988:1 gene:DRNTG_05006 transcript:DRNTG_05006.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQFDKALADKRDQGEDENSINQNELWDRIAVGSRNRVLGKGNISRQMSSINYKPRSRPSQSSGQLYEQIKELQAELAKTQAKHNAMLAEHDTMRAEHDAMRIEWARRESFEMSLLAALRLKDIDLSDMHVATLT >DRNTG_05006.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11821881:11824988:1 gene:DRNTG_05006 transcript:DRNTG_05006.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQFDKALADKRDQGEDENSINQNELWDRIAVGSRNRVLGKGNISRQMSSINYKPRSRPSQSSGQLYEQIKELQAELAKTQAKHNAMLAEHDTMRAEHDAMRIEWARRESFEMSLLAALRLKDIDLSDMHVATLT >DRNTG_05006.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11821167:11821787:1 gene:DRNTG_05006 transcript:DRNTG_05006.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSVWESLQKYWGSEEFKKISEQNKKNRAVNGSS >DRNTG_08511.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28894525:28895731:1 gene:DRNTG_08511 transcript:DRNTG_08511.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:OS9 [Source:Projected from Arabidopsis thaliana (AT5G35080) UniProtKB/TrEMBL;Acc:A0A178UMY2] MIQRVQEFVLGVFDAEATAAFHETHSDDSMLKDPRSIDASQRYHAHQYTNGTMCDLTNQPRETEVRFVCSESPVVISSIKEISTCKYALTVQFSILCQHPLYQQERPMWHTIHCNEMLEESTDAAIEEGNTGKLISQ >DRNTG_08511.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28891715:28893908:1 gene:DRNTG_08511 transcript:DRNTG_08511.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:OS9 [Source:Projected from Arabidopsis thaliana (AT5G35080) UniProtKB/TrEMBL;Acc:A0A178UMY2] MDRIARIPCTFSSVMLLLVLSMRSFTISADEIFTSTSGVTFGRSSREPKYKIDFHSVDSPFHPDNEQESVAMKNKEGKHYACYLPLVEEIKTVKTISQQNSSNIILETDKRAKLKTPDELIDVLHDCLFRHEGWWSYEFCNHKYVKQFHLEGEKGMTSSIFHAYKFRWF >DRNTG_08511.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28891715:28895731:1 gene:DRNTG_08511 transcript:DRNTG_08511.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OS9 [Source:Projected from Arabidopsis thaliana (AT5G35080) UniProtKB/TrEMBL;Acc:A0A178UMY2] MDRIARIPCTFSSVMLLLVLSMRSFTISADEIFTSTSGVTFGRSSREPKYKIDFHSVDSPFHPDNEQESVAMKNKEGKHYACYLPLVEEIKTVKTISQQNSSNIILETDKRAKLKTPDELIDVLHDCLFRHEGWWSYEFCNHKYVKQFHLEGEKRVQEFVLGVFDAEATAAFHETHSDDSMLKDPRSIDASQRYHAHQYTNGTMCDLTNQPRETEVRFVCSESPVVISSIKEISTCKYALTVQFSILCQHPLYQQERPMWHTIHCNEMLEESTDAAIEEGLPIL >DRNTG_21082.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4270397:4272246:1 gene:DRNTG_21082 transcript:DRNTG_21082.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pectinesterase/pectinesterase inhibitor 38 [Source:Projected from Arabidopsis thaliana (AT4G00190) UniProtKB/Swiss-Prot;Acc:O81320] MPPWTRVANRRLLQLSTKANIVVAKDGSGNFTTIKDALNSLASSSQRISNGRFVIYVKRGVYAENVQIDSSLDNLTFVGDGMGQTVITSGRSVSGGYTTFSSATFNVFGDEFIASGITFRNTFGPGSQAVAMLSGSDRSVFYRCSFEGYQDTLFVYSQRQFYRECIIYGTIDFIFGNAAVVFQRCNIFARMPRHGEANVITAQGRLDPNQNTGIVIHSCNIRGTSELWRARKTVKSYLGRPWMKYSRTVYLKNYMESIINPSGWLQFIGNNYLDTLYYVEYKNTGAGSRLSQRVKWPGFHIAVLPSQVAQFTVTNFISGGPWITSAGVPFISGRMEAKIASVLAEKNFTSWFWVAAMCPCGHGSGLLYMSTVG >DRNTG_00336.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18788440:18792228:-1 gene:DRNTG_00336 transcript:DRNTG_00336.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGLESQKKKKKDLWVVEDDCEVVMMGLPNALEVADKNGAMEFRVRLPNVGEAMKNALRCREVGEFISGALAGAMAKAVLAPLETIRTRMVVGVGSKQIVGSFVEVIEQQGWQGLWAGNTINMLRIIPTQAIEFGTFEFVKREMTAAQEKCKKEGCPMLQIGHINIDLSFPWISPVAVGGAAAGIVSTLVCHPLEVIKDRLTVNRDLYPSISLAFRKIYKDDGIGGFYAGISPTLIGMLPYSTCYYFMYETIKKSYCQAKNKKSLNRAELLIVGALSGLTASTISFPLEVARKRLMVGALQGKCPAHMAGALAEVIREDGLLGLYRGWGASCLKVMPTSGITWMFYEAWKEVLIGNKPYL >DRNTG_00336.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18788440:18792228:-1 gene:DRNTG_00336 transcript:DRNTG_00336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLPNALEVADKNGAMEFRVRLPNVGEAMKNALRCREVGEFISGALAGAMAKAVLAPLETIRTRMVVGVGSKQIVGSFVEVIEQQGWQGLWAGNTINMLRIIPTQAIEFGTFEFVKREMTAAQEKCKKEGCPMLQIGHINIDLSFPWISPVAVGGAAAGIVSTLVCHPLEVIKDRLTVNRDLYPSISLAFRKIYKDDGIGGFYAGISPTLIGMLPYSTCYYFMYETIKKSYCQAKNKKSLNRAELLIVGALSGLTASTISFPLEVARKRLMVGALQGKCPAHMAGALAEVIREDGLLGLYRGWGASCLKVMPTSGITWMFYEAWKEVLIGNKPYL >DRNTG_14514.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000701.1:47207:48918:1 gene:DRNTG_14514 transcript:DRNTG_14514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTCGMIHGLAGIIVAARGPSRGALVNGLWVSRVPCARETAREALGLTLRARAEKLTGAMRPHGARMPVKSVLSKRPRHDAEPSGVPSLASPQHRARYNILKSKPFGIIRIIDRNDLEVLGLAEAVEELINHDGK >DRNTG_16167.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20549665:20551449:-1 gene:DRNTG_16167 transcript:DRNTG_16167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILLKLRRAYRKFKLPPGPRGLPIIGNLHQLGFQPHQTLCRLSKIYGPAMYLRLGQLPTIVVSSADTAEEVLKTKDIIFCTRPPLSKPRKMFYGGLNIAFTPYNEYLKQARRLCTSHLLNSSKVQLLKPIREEEIQTLMNTIRHQCSSSCNNYGLVNLSDMLLCLLNNITCRQVFGKRFEEDGECGRSKFHELVTEWTSLMGHFNIGEFFPSMEWINLLTGLHGKFKKCFKKIDDFLDEVIDAHIIEDGNDQGCFLSTLLRFHNDSSMEIPITRESIKAFLMDLFIAGTDTGTLVLEWGMAELMKNPKVRRKAQNEIRTVVGSSKRKVEESELHQLKYLKQVVKEILRLHPPAAFLLPREAREECTINGHAVPCKTRVMLNVWAIGRDPEKWEDAEKFKPERFEKSLVDYKGHNFELLPFGAGRRGCPGVGLGSVVVELALANLLHGFDWEMPEGMEDEDVDMEESFGIVLRKKSALVLKAIPYV >DRNTG_14767.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000742.1:12815:15556:1 gene:DRNTG_14767 transcript:DRNTG_14767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHSSRKSYHLESVKATLAAALERATLEDGLERYWLGIWRGSTAPTSRSIWKKVSERAFVGTDPASMNVLKDNLPMGLSCSPNYSIMQKCRNQFNFRRSYRSSKCEVEKLILAGTIGGEVVDHVRDPSPFNFFDALAGYH >DRNTG_06482.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22973662:22973989:-1 gene:DRNTG_06482 transcript:DRNTG_06482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKGIAGDTMTFNALILGHCRSGHLDKAFDTYSQMLLVGVTPNIATYNSLLGGLSAAGRMEEVDRLLHEMQNKGLAPDGITYNILVSGHAKISDKKKSVLFYCEMVRN >DRNTG_16334.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12953212:12953759:-1 gene:DRNTG_16334 transcript:DRNTG_16334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKETIKVVLLQWNYYVFCCSTFTKRGPNAFLLRPHVRAHIHVVGYKTFLHRRIFERSCNLHKEKGHEDVTAFVPFQLVN >DRNTG_26119.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001347.1:336:2442:-1 gene:DRNTG_26119 transcript:DRNTG_26119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKFLLQLPFLRRMEKEADYIGLLLMASAGYDPHVAPSVHEKLGQITKQTSRPKYLSTHPSPKKRAELLSQAEVMEEARSVYRDAVADHVVSADFL >DRNTG_05414.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5321680:5322456:-1 gene:DRNTG_05414 transcript:DRNTG_05414.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHRRQASQALPANLDVTEDVPTSGATSTHEFTGLTNQAHNSKVGVVAKDVTPKTKMGTPEALPRRPTTLDSKKKASASDL >DRNTG_05414.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5320986:5322380:-1 gene:DRNTG_05414 transcript:DRNTG_05414.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHRRQASQALPANLDVTEDVPTSGATSTHEFTGLTNQAHNSKVGVVAKDVTPKTKMGTPEALPRRPTTLDSKKKASASDL >DRNTG_05414.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5320986:5322380:-1 gene:DRNTG_05414 transcript:DRNTG_05414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHRRQASQALPANLDVTEDVPTSGATSTHEFTGLTNQAHNSKVGVVAKDVTPKTKMGTPEALPRRPTTLDSKKKASASDL >DRNTG_05414.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5321680:5322380:-1 gene:DRNTG_05414 transcript:DRNTG_05414.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHRRQASQALPANLDVTEDVPTSGATSTHEFTGLTNQAHNSKVGVVAKDVTPKTKMGTPEALPRRPTTLDSKKKASASDL >DRNTG_18526.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:6275227:6276329:1 gene:DRNTG_18526 transcript:DRNTG_18526.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVMVPNPIRVLVRNLFRPNPPTIAIHTGKSLIRADTQPRSSPNGWRGRLPTSSPSFSKVESMKILPNDASPLDFPSLDRRIWSQLAPVKLPPPRASNMGRRYDDGEGRRVLARNSPAAHAGGARVRSAREVHSLIQVGPRFLATKTATVFLASRT >DRNTG_07201.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3723277:3726178:1 gene:DRNTG_07201 transcript:DRNTG_07201.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSTFSHVGVPRIPRGRSNRESQVSGADPNIDATFCLFKPSWKSFKLSELQSATNNFSANNIIGKGGYAEVYKGCLENGQLVAVKKLTRGTVDERTGYFLSELGIIVHVNHPNTAKLVGFSIEGGVHLVFQLSARGSLASVLHGSKKKLDWSVRYKVAVGTAEGLEYLHVRCQRRIIHRDIKAANILLTEDFEPQISDFGLAKWLPDKMTHHTVSSFEGTFGYLAPEYLMHGIVDEKTDVYAFGVLLLELITGRKAVDSSQKSLVMWAKPLLETNNMKELVDPSLDNAYNISQLTRTIRTAALCIEQSSIMRPRMCQVIKVLRGDDGRSQSLNARKKPLLHRTFSEEHFEIEEYDATRYLNDMKQHKQLALNF >DRNTG_07201.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3721572:3726178:1 gene:DRNTG_07201 transcript:DRNTG_07201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELVKVASLPREKFFPIYRKKSVALSASAQDLRSLESNKETREAIEDLSPRGVLGDSFQLSDSCSSKASTSSCEQQSVQSVSSPWRGLFRIWKPKSMRRFSTFSHVGVPRIPRGRSNRESQVSGADPNIDATFCLFKPSWKSFKLSELQSATNNFSANNIIGKGGYAEVYKGCLENGQLVAVKKLTRGTVDERTGYFLSELGIIVHVNHPNTAKLVGFSIEGGVHLVFQLSARGSLASVLHGSKKKLDWSVRYKVAVGTAEGLEYLHVRCQRRIIHRDIKAANILLTEDFEPQISDFGLAKWLPDKMTHHTVSSFEGTFGYLAPEYLMHGIVDEKTDVYAFGVLLLELITGRKAVDSSQKSLVMWAKPLLETNNMKELVDPSLDNAYNISQLTRTIRTAALCIEQSSIMRPRMCQVIKVLRGDDGRSQSLNARKKPLLHRTFSEEHFEIEEYDATRYLNDMKQHKQLALNF >DRNTG_07201.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3723631:3726178:1 gene:DRNTG_07201 transcript:DRNTG_07201.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSTFSHVGVPRIPRGRSNRESQVSGADPNIDATFCLFKPSWKSFKLSELQSATNNFSANNIIGKGGYAEVYKGCLENGQLVAVKKLTRGTVDERTGYFLSELGIIVHVNHPNTAKLVGFSIEGGVHLVFQLSARGSLASVLHGNTFFVF >DRNTG_14271.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:923422:928074:-1 gene:DRNTG_14271 transcript:DRNTG_14271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLLLRRFPRHHCRTSSFPLLIFFSTDSRSCLSEPISSLIAQRRWSDPRLTELLSSGYSPIRVSTVLTDLRDDPRSVFLFFIWAGKQPGFKHTVESHCIVAHILFMGRMYSQSQQVLKDLVMSQRALPDFSTLFDGLKSAAKVCGNTRHGVFDSLFGVLMDLGLLEEANEMFYRMRGFRIFPKIRSCNELLSRLVKSRKGELAKKLFDDMLLSEHLRPSVFTFNIMIGFLCKEGNLKVAMELFARMKEMGCLPDLVTYNTLIDGHGKYGDLDEAERLLSEMRQAGCEPDVITYNALVNSFCKYEQLCKAFAYFSEMKRNDVRPNVVTFSTFIDAFCKMGMMQAAVKFFVDMRIRGLLPNEFTYTCLIDGNCKAGNLKEALLLVDEMVREGVELNVVTYTALVDGLCEAGKIVDAEEVFHAMGKAGVAANLLIYTALIHGHFKNKNMGRAMDLVTEIKEKGLKFDLSLYSTVIWGHCSQGKIDDAKVLVDEMVEAGLEPNHVIYTTLIDAYFKAGKASEALSLLYKMVDSGTPPTVVTYCALIDGLCKEGSVCEASFHFDKMRELGLQPNVLAYTAIIDGLCKNDCLDDAMQLFEEMLGKGMTPDNVVYTSLIDGNLKQGRISEAFALKNKMEENGLEFDLHVYTSLIWGFCKNKQMREAMNLFTDMINNGIIPDDAVYNCLISNYSKLGNIEEALELKNDMKRRDMISGHCAEVSAPGGPT >DRNTG_14271.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:924119:928074:-1 gene:DRNTG_14271 transcript:DRNTG_14271.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLLLRRFPRHHCRTSSFPLLIFFSTDSRSCLSEPISSLIAQRRWSDPRLTELLSSGYSPIRVSTVLTDLRDDPRSVFLFFIWAGKQPGFKHTVESHCIVAHILFMGRMYSQSQQVLKDLVMSQRALPDFSTLFDGLKSAAKVCGNTRHGVFDSLFGVLMDLGLLEEANEMFYRMRGFRIFPKIRSCNELLSRLVKSRKGELAKKLFDDMLLSEHLRPSVFTFNIMIGFLCKEGNLKVAMELFARMKEMGCLPDLVTYNTLIDGHGKYGDLDEAERLLSEMRQAGCEPDVITYNALVNSFCKYEQLCKAFAYFSEMKRNDVRPNVVTFSTFIDAFCKMGMMQAAVKFFVDMRIRGLLPNEFTYTCLIDGNCKAGNLKEALLLVDEMVREGVELNVVTYTALVDGLCEAGKIVDAEEVFHAMGKAGVAANLLIYTALIHGHFKNKNMGRAMDLVTEIKEKGLKFDLSLYSTVIWGHCSQGKIDDAKVLVDEMVEAGLEPNHVIYTTLIDAYFKAGKASEALSLLYKMVDSGTPPTVVTYCALIDGLCKEGSVCEASFHFDKMRELGLQPNVLAYTAIIDGLCKNDCLDDAMQLFEEMLGKGMTPDNVVYTSLIDGNLKQGRISEAFALKNKMEENGLEFDLHVYTSLIWGFCKNKQMREAMNLFTDMINNGIIPDDAVYNCLISNYSKLGNIEEALELKNDMKRRDMISGHCAEVSAPGGPT >DRNTG_14365.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:328566:331428:-1 gene:DRNTG_14365 transcript:DRNTG_14365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQINSWLTSDQKPRRMETKTSLPKKAGKGMLGHGLLVSLFCRACSLM >DRNTG_14365.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:328566:330397:-1 gene:DRNTG_14365 transcript:DRNTG_14365.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQINSWLTSDQKPRRMETKTSLPKKAGKGMLGHGLLVSLFCRACSLM >DRNTG_14365.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:328566:329427:-1 gene:DRNTG_14365 transcript:DRNTG_14365.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQINSWLTSDQKPRRMETKTSLPKKAGKGMLGHGLLVSLFCRACSLM >DRNTG_14365.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:328566:329709:-1 gene:DRNTG_14365 transcript:DRNTG_14365.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQINSWLTSDQKPRRMETKTSLPKKAGKGMLGHGLLVSLFCRACSLM >DRNTG_04941.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:14223641:14230242:-1 gene:DRNTG_04941 transcript:DRNTG_04941.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRQWMYNRNLPGRQGLTNKFILGVDEFIQFAINQDDSYKNGENIRCLCFKCKNRRFLHPNDVTLHLYRRGFRECYWNWTCHGEEVFPISEELNEVDDQTSYHIAQEDEQMTWDQRMIYDCLRSHVPPMHES >DRNTG_04941.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:14223641:14230442:-1 gene:DRNTG_04941 transcript:DRNTG_04941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRQWMYNRNLPGRQGLTNKFILGVDEFIQFAINQDDSYKNGENIRCLCFKCKNRRFLHPNDVTLHLYRRGFRECYWNWTCHGEEVFPISEELNEVDDQTSYHIAQEDEQMTWDQRMIYDCLRSHVPPMHES >DRNTG_24123.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:236957:244146:1 gene:DRNTG_24123 transcript:DRNTG_24123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKLDSPVQTQMAVSVLNHSLRGDRHGNQKSEGKPVGRRRVFVQTETGLVLGMDLDRGDNAHTVKRRLQLALNFPTEESSLTFGDLVLKNDLTAIRRDSPLLLTRNNLQRSSSTPCLSPCGKDQQQRDSGGPIEVLGCSSRCSQTKQLVKDVAKAIKSGVDPVPVHSGLGGAYYFRNSRGESIAIVKPTDEEPFAPNNPKGFVGKALGQPGLKGSVRVGETGFREVAAYLLDYDCFANVPPTVLVKITHSVFHVNAGENGYNCKNHDKNQNLISKIASFQKYITHDFDASDHGTSSFPVAAVHRIGILDVRIFNTDRHAGNLLVRKVGGGVGRFGGQMELIPIDHGLCLPENLEDPYFEWIHWPQASIPFSEEELEYIANLDPVSDSEMLRMELPMIREACLRVLVLCTIFLKEAAAFGLCLSEIGGMMSREFKGKEEVPSELELVCIEARRLVAERELFPSEAEPGTEDDIQFNIEFENSYPMSHKVLTPCHFGGGTLIHTLSKLEEGSEEEDEDDNNEMSNVEKGPCYRNVPRQLPSVSNLSVSLKGIGLHEKRKRFTAVNGSITSKDSSSSSAIGRQAGKRSACEQLHRSKNFVKLSDMGEEQWVAFLDKFQELLHGAFRNRQSITTSQMQRLRLGTSCKF >DRNTG_24123.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:236957:241727:1 gene:DRNTG_24123 transcript:DRNTG_24123.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKLDSPVQTQMAVSVLNHSLRGDRHGNQKSEGKPVGRRRVFVQTETGLVLGMDLDRGDNAHTVKRRLQLALNFPTEESSLTFGDLVLKNDLTAIRRDSPLLLTRNNLQRSSSTPCLSPCGKDQQQRDSGGPIEVLGCSSRCSQTKQLVKDVAKAIKSGVDPVPVHSGLGGAYYFRNSRGESIAIVKPTDEEPFAPNNPKGFVGKALGQPGLKGSVRVGETGFREVAAYLLDYDCFANVPPTVLVKITHSVFHVNAGENGYNCKNHDKNQNLISKIASFQKYITHDFDASDHGTSSFPVAAVHRIGILDVRIFNTDRHAGNLLVRKVGGGVGRFGGQMELIPIDHGLCLPENLEDPYFEWIHWPQASIPFSEEELEYIANLDPVSDSEMLRMELPMIREACLRVLVLCTIFLKEAAAFGLCLSEIGGMMSREFKGKEEVPSELELVCIEARRLVAERELFPSEAEPGTEDDIQFNIEFENSYPMSHKVLTPCHFGGGTLIHTLSKLEEGSEEEDEDDNNEMSNVEKGPCYRNVPRQLPSVSNLSVSLKGIGLHEKRKRFTAVNGSITSKDSSSSSAIGRQAGKRSACEQLHRSKNFVKLSDMGEEQWVAFLDKFQELLHGAFRNRQSITTSQMQRLRLGTSCKF >DRNTG_24123.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:236957:241727:1 gene:DRNTG_24123 transcript:DRNTG_24123.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKLDSPVQTQMAVSVLNHSLRGDRHGNQKSEGKPVGRRRVFVQTETGLVLGMDLDRGDNAHTVKRRLQLALNFPTEESSLTFGDLVLKNDLTAIRRDSPLLLTRNNLQRSSSTPCLSPCGKDQQQRDSGGPIEVLGCSSRCSQTKQLVKDVAKAIKSGVDPVPVHSGLGGAYYFRNSRGESIAIVKPTDEEPFAPNNPKGFVGKALGQPGLKGSVRVGETGFREVAAYLLDYDCFANVPPTVLVKITHSVFHVNAGENGYNCKNHDKNQNLISKIASFQKYITHDFDASDHGTSSFPVAAVHRIGILDVRIFNTDRHAGNLLVRKVGGGVGRFGGQMELIPIDHGLCLPENLEDPYFEWIHWPQASIPFSEEELEYIANLDPVSDSEMLRMELPMIREACLRVLVLCTIFLKEAAAFGLCLSEIGGMMSREFKGKEEVPSELELVCIEARRLVAERELFPSEAEPGTEDDIQFNIEFENSYPMSHKVLTPCHFGGGTLIHTLSKLEEGSEEEDEDDNNEMSNVEKGPCYRNVPRQLPSVSNLSVSLKGIGLHEKRKRFTAVNGSITSKDSSSSSAIGRQAGKRSACEQLHRSKNFVKLSDMGEEQWVAFLDKFQELLHGAFRNRQSITTSQMQRLRLGTSCKF >DRNTG_24123.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:236957:241727:1 gene:DRNTG_24123 transcript:DRNTG_24123.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKLDSPVQTQMAVSVLNHSLRGDRHGNQKSEGKPVGRRRVFVQTETGLVLGMDLDRGDNAHTVKRRLQLALNFPTEESSLTFGDLVLKNDLTAIRRDSPLLLTRNNLQRSSSTPCLSPCGKDQQQRDSGGPIEVLGCSSRCSQTKQLVKDVAKAIKSGVDPVPVHSGLGGAYYFRNSRGESIAIVKPTDEEPFAPNNPKGFVGKALGQPGLKGSVRVGETGFREVAAYLLDYDCFANVPPTVLVKITHSVFHVNAGENGYNCKNHDKNQNLISKIASFQKYITHDFDASDHGTSSFPVAAVHRIGILDVRIFNTDRHAGNLLVRKVGGGVGRFGGQMELIPIDHGLCLPENLEDPYFEWIHWPQASIPFSEEELEYIANLDPVSDSEMLRMELPMIREACLRVLVLCTIFLKEAAAFGLCLSEIGGMMSREFKGKEEVPSELELVCIEARRLVAERELFPSEAEPGTEDDIQFNIEFENSYPMSHKVLTPCHFGGGTLIHTLSKLEEGSEEEDEDDNNEMSNVEKGPCYRNVPRQLPSVSNLSVSLKGIGLHEKRKRFTAVNGSITSKDSSSSSAIGRQAGKRSACEQLHRSKNFVKLSDMGEEQWVAFLDKFQELLHGAFRNRQSITTSQMQRLRLGTSCKF >DRNTG_24123.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:236957:241727:1 gene:DRNTG_24123 transcript:DRNTG_24123.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKLDSPVQTQMAVSVLNHSLRGDRHGNQKSEGKPVGRRRVFVQTETGLVLGMDLDRGDNAHTVKRRLQLALNFPTEESSLTFGDLVLKNDLTAIRRDSPLLLTRNNLQRSSSTPCLSPCGKDQQQRDSGGPIEVLGCSSRCSQTKQLVKDVAKAIKSGVDPVPVHSGLGGAYYFRNSRGESIAIVKPTDEEPFAPNNPKGFVGKALGQPGLKGSVRVGETGFREVAAYLLDYDCFANVPPTVLVKITHSVFHVNAGENGYNCKNHDKNQNLISKIASFQKYITHDFDASDHGTSSFPVAAVHRIGILDVRIFNTDRHAGNLLVRKVGGGVGRFGGQMELIPIDHGLCLPENLEDPYFEWIHWPQASIPFSEEELEYIANLDPVSDSEMLRMELPMIREACLRVLVLCTIFLKEAAAFGLCLSEIGGMMSREFKGKEEVPSELELVCIEARRLVAERELFPSEAEPGTEDDIQFNIEFENSYPMSHKVLTPCHFGGGTLIHTLSKLEEGSEEEDEDDNNEMSNVEKGPCYRNVPRQLPSVSNLSVSLKGIGLHEKRKRFTAVNGSITSKDSSSSSAIGRQAGKRSACEQLHRSKNFVKLSDMGEEQWVAFLDKFQELLHGAFRNRQSITTSQMQRLRLGTSCKF >DRNTG_24041.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26612691:26616850:-1 gene:DRNTG_24041 transcript:DRNTG_24041.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQRTYARALTARPPLIPFKSFTQSLPFSSAPLTKPHNKHYPPLAALLEIGGVKIAKDDVVREGDPTNNVPDTIFSKIGLQLHRRDRHPIGILKNAIYEYFDERHEGKFVKFDDLCPIVSVKQNFDDVLVPADHVSRSYNDTYYVDAQTVLRCHTSAHQAEILRKGHTHFLVTGDVYRRDSIDSTHYPVFHQVSKYYLLPWRLLLGS >DRNTG_24041.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26612691:26616850:-1 gene:DRNTG_24041 transcript:DRNTG_24041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQRTYARALTARPPLIPFKSFTQSLPFSSAPLTKPHNKHYPPLAALLEIGGVKIAKDDVVREGDPTNNVPDTIFSKIGLQLHRRDRHPIGILKNAIYEYFDERHEGKFVKFDDLCPIVSVKQNFDDVLVPADHVSRSYNDTYYVDAQTVLRCHTSAHQAEILRKGHTHFLVTGDVYRRDSIDSTHYPVFHQMEGFRVFSKDECRDSDMDATSHAAADLKKSLEGLAQHLFGAVEMRWVDTYFPFTNPSFELEIFFQENWMEVLGCGVTEQEILKRNGRTDCVAWAFGLGLERLAMVLFDIPDIRLFWSTDERFTSQFSKGQLGAKFKPFSKFPPCYKDMSFWINESFTENNLCEVVRGIAGDLVEEVKLIDNFTNKKGMTSHCYRIAYRSMERSLTDDEINQLQWRVRETVENKLNVTLR >DRNTG_34644.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002169.1:3468:4959:1 gene:DRNTG_34644 transcript:DRNTG_34644.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLAISPDGRYMASGDEDGTIMVWDLSTCRCVSPLMAHSSCVWTLALRWGMLISCTFFSLNFYFLLLETHVYWTHLSFSKH >DRNTG_34644.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002169.1:1349:4959:1 gene:DRNTG_34644 transcript:DRNTG_34644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILISKAEGEASQNKLLLGSDDGKRSYTLFQGHTGPVYSATFCPFGEFLLSSSSDSTIKLWSTKLNSNLVCYKGHNYPIWDVQ >DRNTG_12681.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:12523750:12525015:1 gene:DRNTG_12681 transcript:DRNTG_12681.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKATMNSGVCVQGTNADDSSTDFYGLLEDIVQLEYHGSRWNHVVLFECTWFDPINGTKVHPIYKLVDINRKRIYPKYDPFVLAQQAIQVNYIDYPSTKKDKVDWLVRVQD >DRNTG_12681.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:12523218:12525015:1 gene:DRNTG_12681 transcript:DRNTG_12681.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNELKMIGMKSHDCHVFMQRLIPIAFRELLPSFIWNPLTELSLLFQCICSVVLDIGKLIDLEEKVAVILCNLEKIFPPAFFDSMEHLVVHLPYEARIGGPVQYRWMYPFERFLLELKKTLKSKAHVEGSICQAYIAQEINIFAEHYFEPHISCRRRRLRRNDEGVSNDIFPPFSIFNYIGRAQGRPKIRWLSENELHVAHTYILRNCPEVHPYYKIFVNYLQGNPADAIDKAIDKHFAKWFESFVSNDTNMLKLHKKLVKKFTNIFWDVGCK >DRNTG_12681.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:12523218:12525015:1 gene:DRNTG_12681 transcript:DRNTG_12681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELKMIGMKSHDCHVFMQRLIPIAFRELLPSFIWNPLTELSLLFQCICSVVLDIGKLIDLEEKVAVILCNLEKIFPPAFFDSMEHLVVHLPYEARIGGPVQYRWMYPFERFLLELKKTLKSKAHVEGSICQAYIAQEINIFAEHYFEPHISCRRRRLRRNDEGVSNDIFPPFSIFNYIGRAQGRPKIRWLSENELHVAHTYILRNCPEVHPYYKIFVNYLQGNPADAIDKAIDKHFAKWFESFVANEINQVTDPLLQSLAWGPSKKATTWPGYFINGYNFHTVTHGMEKATMNSGVCVQGTNADDSSTDFYGLLEDIVQLEYHGSRWNHVVLFECTWFDPINGTKVHPIYKLVDINRKRIYPKYDPFVLAQQAIQVNYIDYPSTKKDKVDWLVRVQD >DRNTG_30345.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001563.1:7315:7823:-1 gene:DRNTG_30345 transcript:DRNTG_30345.1 gene_biotype:protein_coding transcript_biotype:protein_coding RQQDLLKNVVEIRPKRHRVSSPSDQVQNNKPSSLSDAQRPKPGNTDPHTEGKISCATNSVEEVHKLSPVTSQGRDVSSSRPTIAQTEVKTENSVGGLLGLAYESSDED >DRNTG_29017.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22252651:22253429:-1 gene:DRNTG_29017 transcript:DRNTG_29017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSPAHNRKPSSSPATKLTTLPLIALIFYDVSGGPFGLEDSVRAGAGALLPLLGLLLFPLLWSLPEALITAELASSLPSNAGYVLWVSSAFGPFMGFQEGFWKWTSGAMDNALYPVLFLDYLRPSLPFFSSGAGRFPTIWILTAALTFLNYRGLHIVGISAVVLTVFSVSPFIALVVLSLPRLRPRRWLTVDLKRVDLRGYFNSMLWNLNYWDKASTLAGEVEDPARSFPKAVFGALVLVVGSYLLPLLAGTG >DRNTG_03957.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:11181872:11183743:1 gene:DRNTG_03957 transcript:DRNTG_03957.1 gene_biotype:protein_coding transcript_biotype:protein_coding DQQFIFLASYSSAKSLPRAKTSSSMVKE >DRNTG_03957.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:11181872:11182481:1 gene:DRNTG_03957 transcript:DRNTG_03957.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNTSKTTKYHGFEINKHNTQHSPNITWSRK >DRNTG_26820.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1624200:1626605:-1 gene:DRNTG_26820 transcript:DRNTG_26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAIGAKRKTAKVMKIDGTTIRFKATATAGDVLRDHPGYSLLESEEVRQLGIRARPLPPDQLLKPKKLYFLVELPRLPDQRVPRRAWSGALHVSAKERLESLMLSRRAVSDLSVGKASVEDGAVRLKMRLPRSQVAKLVAESKDSAEVAEKIANLCVSNTDGPGSRSPNLDGAASP >DRNTG_17881.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000922.1:262:2192:-1 gene:DRNTG_17881 transcript:DRNTG_17881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMIMKINSRAYKHQPQALPSPPLLLPLLLLLLLPIKQQSLNLNTLPRSESNRKNKVLDLNLNKAREETILTMKKAVQRTKRMVVVVVERCRESQAGRCRPREVVLVQGTMEQKTSGGRSSYSTPRAAAIHAMNLSRVQHLLYVLNELASPTGDANHRLAAHG >DRNTG_17881.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000922.1:262:500:-1 gene:DRNTG_17881 transcript:DRNTG_17881.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKALGFTELAFGEEAMDAGRALLRKYDGNWEMRMATGVAGTGAGAGAGVLLSWKGQSVSLCSLWRVAGTTERR >DRNTG_32788.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001918.1:12480:13124:-1 gene:DRNTG_32788 transcript:DRNTG_32788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPYLSGIAALIKSIHPDWSPAAIKSAIMTTTDTMDRCGKPILDEQLLPANFFAVGSGHVNPKKAIDPGLVYDICPDDYIPYLCGLGFTNEQVGAITARRIDCGSVKAIAEGELNYPSISVSFKDGKTSQTFERIVKNVGEVNSSYCIEIDAPSEVFIDVEPKELHFSETNQEIRFKITFSIYNVGNSTYSQGQLKWISDKRTVRSPISIRFK >DRNTG_18977.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22754539:22755080:1 gene:DRNTG_18977 transcript:DRNTG_18977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGFLKHLKDLIKPSNSIKQQPKDDLAKENDESQNQTTRNHELSIVSMRILVARFNKERRKRELK >DRNTG_03653.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9617006:9622082:1 gene:DRNTG_03653 transcript:DRNTG_03653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILCKHIQGNQSDERTSEDFSSKQDKSVLEKIDRLEDASDVSDVGDDASGTIQPDLDERDGNTVNWDTDTSEIQAVVDVTNFDVQSGQLEKRNLSIVDDSSSTCSTDSVPSVVMNGPNKGNSLTNKKGRTSPNRVENHRAKDKHDGDNNLPSDASNDSGRSGDVSASNNRLETEPVDVPLKVQVHRLEHHPLEKETIVILQKRTASKDQIDSERPSDSRTLELPTSAALSGRKPAAIPQQQKPSSDNTTSTTERVAGGELASAILTDKPALPATKTTHIFPIPKSESQKPINQPVKSLPVHQVSSVSRPSSAPLNPGPKPTAPIISTVQTVPILSRSVSAAGRLGTDPSAAAPSFFPQSYRNAIMGKTSIGASPSGLHSSLSSSSQAATYFQPSNFQSSAPIPRPQSSISKDQAAVRPGFTFGSVKPEALSIHPPWRGDASRQEASNIRGGAYDSGVLTNIERMGIYELQNKPGADDGGAATSSRPSHGMVQDDFPHLDIINDLLDDEHGMGKVANGRRQHQPPFNRQYSFPGDIASMEMGLSEGFGLFDHPAQPCFDDGYMVYGSPSGALNGPRDAHLQQADISAYPTGRNDGLIQNHWPYTRADLAMLNIGSADGNGYSYTLPDYLNLARSGVPGYMYRPANGH >DRNTG_03653.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9617006:9622082:1 gene:DRNTG_03653 transcript:DRNTG_03653.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILCKHIQGNQSDERTSEDFSSKQDKSVLEKIDRLEDASDVSDVGDDASGTIQPDLDERDGNTVNWDTDTSEIQAVVDVTNFDVQSGQLEKRNLSIVDDSSSTCSTDSVPSVVMNGPNKGNSLTNKKGRTSPNRVENHRAKDKHDGDNNLPSDASNDSGRSGDVSASNNRLETEPVDVPLKVQVHRLEHHPLEKETIVILQKRTASKDQIDSERPSDSRTLELPTSAALSGRKPAAIPQQQKPSSDNTTSTTERVAGGELASAILTDKPALPATKTTHIFPIPKSESQKPINQPVKSLPVHQVSSVSRPSSAPLNPGPKPTAPIISTVQTVPILSRSVSAAGRLGTDPSAAAPSFFPQSYRNAIMGKTSIGASPSGLHSSLSSSSQAATYFQPSNFQSSAPIPRPQSSISKDQAAVRPGFTFGSVKPEALSIHPPWRGDASRQEASNIRGGAYDSGVLTNIERMGIYELQNKPGADDGGAATSSRPSHGMVQDDFPHLDIINDLLDDEHGMGKVANGRRQHQPPFNRQYSFPGDIASMEMGLSEGFGLFDHPAQPCFDDGYMVYGSPSGALNGPRDAHLQQADISAYPTGRNDGLIQNHWPYTRADLAMLNIGSADGNGYSYTLPDYLNLARSGVPGYMYRPANGH >DRNTG_03653.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9617006:9622082:1 gene:DRNTG_03653 transcript:DRNTG_03653.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILCKHIQGNQSDERTSEDFSSKQDKSVLEKIDRLEDASDVSDVGDDASGTIQPDLDERDGNTVNWDTDTSEIQAVVDVTNFDVQSGQLEKRNLSIVDDSSSTCSTDSVPSVVMNGPNKGNSLTNKKGRTSPNRVENHRAKDKHDGDNNLPSDASNDSGRSGDVSASNNRLETEPVDVPLKVQVHRLEHHPLEKETIVILQKRTASKDQIDSERPSDSRTLELPTSAALSGRKPAAIPQQQKPSSDNTTSTTERVAGGELASAILTDKPALPATKTTHIFPIPKSESQKPINQPVKSLPVHQVSSVSRPSSAPLNPGPKPTAPIISTVQTVPILSRSVSAAGRLGTDPSAAAPSFFPQSYRNAIMGKTSIGASPSGLHSSLSSSSQAATYFQPSNFQSSAPIPRPQSSISKDQAAVRPGFTFGSVKPEALSIHPPWRGDASRQEASNIRGGAYDSGVLTNIERMGIYELQNKPGADDGGAATSSRPSHGMVQDDFPHLDIINDLLDDEHGMGKVANGRRQHQPPFNRQYSFPGDIASMEMGLSEGFGLFDHPAQPCFDDGYMVYGSPSGALNGPRDAHLQQADISAYPTGRNDGLIQNHWPYTRADLAMLNIGSADGNGYSYTLPDYLNLARSGVPGYMYRPANGH >DRNTG_01909.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20932413:20936112:1 gene:DRNTG_01909 transcript:DRNTG_01909.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVHFTQFTDEFTYTTLWHQLDIDVMIFVHMLQSAFWMNPETAIKSAYRSTDKIILENSTRLGPGGSTAVTAIVVDGKDLWIANVGDSRAVLCERGAANQLTVDHEPHLERKYIEKRGGFVTILPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDVRHVPIDSSMEFVILASDGLWKVMKNQEAVDLIKNIKDPQSAAKRLTTEALTRKSKDDISCIVIRFRC >DRNTG_01909.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20932413:20936112:1 gene:DRNTG_01909 transcript:DRNTG_01909.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVHFTQFTDEFTYTTLWHQLDIDVMIFVHMLQSAFWMNPETAIKSAYRSTDKIILENSTRLGPGGSTAVTAIVVDGKDLWIANVGDSRAVLCERGAANQLTVDHEPHLERKYIEKRGGFVTILPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDVRHVPIDSSMEFVILASDGLWKVCYLTSYSLVVFACLCGIYFLNIEIKFVGVGNFVCSTHF >DRNTG_01909.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20932413:20936112:1 gene:DRNTG_01909 transcript:DRNTG_01909.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDKRKTDFLHIIKSAACLTSSSADTGKGKSRQSANKVTHGFHLVEGKSGHKMEDYHVAEYRYENNHELGLFAIFDGHLGDAVPSYLKDNLFDNILRESAFWMNPETAIKSAYRSTDKIILENSTRLGPGGSTAVTAIVVDGKDLWIANVGDSRAVLCERGAANQLTVDHEPHLERKYIEKRGGFVTILPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDVRHVPIDSSMEFVILASDGLWKVCYLTSYSLVVFACLCGIYFLNIEIKFVGVGNFVCSTHF >DRNTG_01909.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20932413:20936112:1 gene:DRNTG_01909 transcript:DRNTG_01909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDKRKTDFLHIIKSAACLTSSSADTGKGKSRQSANKVTHGFHLVEGKSGHKMEDYHVAEYRYENNHELGLFAIFDGHLGDAVPSYLKDNLFDNILRESAFWMNPETAIKSAYRSTDKIILENSTRLGPGGSTAVTAIVVDGKDLWIANVGDSRAVLCERGAANQLTVDHEPHLERKYIEKRGGFVTILPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDVRHVPIDSSMEFVILASDGLWKVMKNQEAVDLIKNIKDPQSAAKRLTTEALTRKSKDDISCIVIRFRC >DRNTG_01552.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:8480662:8482228:1 gene:DRNTG_01552 transcript:DRNTG_01552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKNPPMPCLSNSQTKLFPWRPKQFSRLLEEDLTGGGIEVERMLKPSNDPPKPRVHKSRPKLFPWRPKGTMSKVFTSSSSQKVPYGGRLCHLGHQTKPRLCPRRPPIRSPILGHL >DRNTG_31887.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4567913:4574905:1 gene:DRNTG_31887 transcript:DRNTG_31887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTNLSALPEDAADEDPQSLPSAFSPASIPPRPASQTSSQKHSPLEWSSFFDKEEDVAIPSSNDVFHIYLAGSEGPVVFCLHGGGYSGLSFALAASKIKEKARVVAMDLRGHGKSSTSNDLDLSIETLSNDVLAVLKAIYGDSPPSIILVGHSMGGSVAIHAAAKRVITNLQGLIVVDVVEGTAMASLIHMQKILSNRMQYFPTIEKAIEWSVKGGSLRNVDSARVSVPSTLKYDDSKKCYTFRIPLEETEVYWKGWYEGLSDKFLSCPAPKLLMLAGTDRLDRSLTIGQMQGKFQLVVVRHTGHAIQEDVPEEFASYVINFISRNRIGSCGVEIPGLLHR >DRNTG_31887.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4567913:4574905:1 gene:DRNTG_31887 transcript:DRNTG_31887.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSVAIHAAAKRVITNLQGLIVVDVVEGTAMASLIHMQKILSNRMQYFPTIEKAIEWSVKGGSLRNVDSARVSVPSTLKYDDSKKCYTFRIPLEETEVYWKGWYEGLSDKFLSCPAPKLLMLAGTDRLDRSLTIGQMQGKFQLVVVRHTGHAIQEDVPEEFASYVINFISRNRIGSCGVEIPGLLHR >DRNTG_21002.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001192.1:69750:71160:-1 gene:DRNTG_21002 transcript:DRNTG_21002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTQRSESINAFFDGYVSPTTSLKQFVEQYDNALKSKIEKENKADFSSFNSNFPTLIDCHFEKQLQQAYTNEIFKLFQDELRGMIYCNLAFINSDGPICTFQVTDILRGKEGVLRKQVVFNVQHNGLEFDIKCSCHLFEFRGIICRHICKVLVEKNVKEIPSHYILTCWRKDVKHWHTYVKNCYDETQTIEQKVRYNKLCAHFSKAAEIGVESDEKYNFLMNCVDKAIEELMDNAHGWPSHSNQLISPMILEEDHEHDQSKFSTTKFLTPLKVRSKGQSPSKRKK >DRNTG_09369.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15080553:15095723:1 gene:DRNTG_09369 transcript:DRNTG_09369.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATSPEAHHWLINKSDMAHWSNYMFRGDRWGEMYSNVVESFNAWIKEVRHLLVTKMVDSISLQTEIVRFVCDRL >DRNTG_01131.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18736111:18738237:-1 gene:DRNTG_01131 transcript:DRNTG_01131.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSFAKNLYSTCPTSTSSNTTVLDLRSPNAFDNNYYVDLVNRQGLFTSDQDLYSDARTREIVKRFAGDERLFFERFAASMVKMGQLSVLTGKQGEIRENCSVRNGRKVDGGDDDDEEGIWSLVDDEVVQSLAFE >DRNTG_01131.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18736005:18738237:-1 gene:DRNTG_01131 transcript:DRNTG_01131.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSFAKNLYSTCPTSTSSNTTVLDLRSPNAFDNNYYVDLVNRQGLFTSDQDLYSDARTREIVKRFAGDERLFFERFAASMVKMGQLSVLTGKQGEIRENCSVRNGRKVDGGDDDDEEGIWSLVDDEVVQSLAFE >DRNTG_01131.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18736134:18738237:-1 gene:DRNTG_01131 transcript:DRNTG_01131.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSFAKNLYSTCPTSTSSNTTVLDLRSPNAFDNNYYVDLVNRQGLFTSDQDLYSDARTREIVKRFAGDERLFFERFAASMVKMGQLSVLTGKQGEIRENCSVRNGRKVDGGDDDDEEGIWSLVDDEVVQSLAFE >DRNTG_01131.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18736005:18736915:-1 gene:DRNTG_01131 transcript:DRNTG_01131.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSFAKNLYSTCPTSTSSNTTVLDLRSPNAFDNNYYVDLVNRQGLFTSDQDLYSDARTREIVKRFAGDERLFFERFAASMVKMGQLSVLTGKQGEIRENCSVRNGRKVDGGDDDDEEGIWSLVDDEVVQSLAFE >DRNTG_16022.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17540518:17541476:1 gene:DRNTG_16022 transcript:DRNTG_16022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHILPKKPGVPAPPTEAKLPSTENEIRTEHFCLIHARPNSSRPLPNLVINFMGSCYH >DRNTG_22354.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20723996:20724938:-1 gene:DRNTG_22354 transcript:DRNTG_22354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEKIITSTLKAFSNIKSRVKLFRSKTTTIADILQISGFNWNYERCTIECEKSAYDEYIKNHKEASGMYGKAFPSFNDLVAVFARDRAQGSTRGDIGDNAEQYSHENITLDDEQASFKWRTLVEATTREVQRDDAREVALQAREKAYETVLAEIHQRRNLLPQVIFEIEGLSDDEVMFILQVLPNDDDQLKFFFWLYPT >DRNTG_19546.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8675852:8676996:1 gene:DRNTG_19546 transcript:DRNTG_19546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPPLADDETIAAPSAVDGVTIDDMPVTVEEIADDAEIIVVDKIVDSVVNDSMNPVESASDSAASKMDTIHEEQQPANVVSAIDAVVVATAEKVVDSILNELEVTVEPTVDYAASKADTIPQQQEACKEVSPVHAVVVPPSKEGNAAQMETREKNNANKKLEEVRKVFILKKKNYVGQSRLNKY >DRNTG_01209.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:412036:412949:1 gene:DRNTG_01209 transcript:DRNTG_01209.2 gene_biotype:protein_coding transcript_biotype:protein_coding KKLFINVQWLRALLSTRTKLKAGDDWLAYAIEQPEPLIHFALCSGNYSDPAVRIYSSRRLYQQLEMAKVEYIRATVGIRKEQKILLPKIIDSFAKDKGLCLPNLLDMIQFYLPETLRMVMQRCQQGKSQKIIEWVPHNPSFRYLLSRESALPQVH >DRNTG_01209.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:410921:412949:1 gene:DRNTG_01209 transcript:DRNTG_01209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCMGSIYCKIADPPLVSQGRSSSPTSSFSSMSAFSPQYVGDMWSPGCKRGSTLDSRLENPFRVEGLKEFSGPYNAMVEVPSICRENLQLPDVEETLLKYKSLVRQLETVDPRKMNADEKLAFWINIHNALMMHAYLDYGIPQNIVKRASLFTKAAYSIGRSLYQPRHNSGSDSKMPDTSTCAVASSITFHEDKVESWR >DRNTG_01209.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:410921:411801:1 gene:DRNTG_01209 transcript:DRNTG_01209.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCMGSIYCKIADPPLVSQGRSSSPTSSFSSMSAFSPQYVGDMWSPGCKRGSTLDSRLENPFRVEGLKEFSGPYNAMVEVPSICRENLQLPDVEETLLKYKSLVRQLETVDPRKMNADEKLAFWINIHNALMMHAYLDYGIPQNIVKRASLFTKVITLAMKLLNKVSLIQRLAIAFI >DRNTG_31457.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15240003:15243677:1 gene:DRNTG_31457 transcript:DRNTG_31457.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCSKGGGGLLQKCMEIVAELWQANLKAELVPLLDP >DRNTG_20126.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22553569:22555370:-1 gene:DRNTG_20126 transcript:DRNTG_20126.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNYSFSSFPDSVDSSPRSREIDNDNTASWDDTVPNSAAASQRVKLMVSFGGRIQPRPHDNQLSYVGGDTKILVVDRSSRLPAVLARLSSISGADDLCLKYQLPGEDLDALVSVTNDEDLEHMMLEYDRLCRSVVPGSKAAPRLRLFVFPVVSPHPPPAPADSKSNRQWFVDALNNVAAPPPPPVTALTPPTEGPDYLFGLEKGLTPAPAVKTQDPSPVFVTPEPLPVEAPLSRSDLPKDDRPIAVESGLNIQHQIQALQKLQIAENQDQAQFQRMPSDETLTRVYPADYYLPPRVQEKAPPVTAQIPATAQIPVTAATYWPDQRNLAAGGRYTSVATGDRPMYFIPAAAGMFPPHGAAIQPQAGQGYYAAAMPKVVVSAPDVYRETSQMYAGTAPYADGAGGVVRTQGVETAFPAPGQVAYDSTGRAVYFAGAVPSYPTATINSEGKIVKPSQVS >DRNTG_20126.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22553569:22555276:-1 gene:DRNTG_20126 transcript:DRNTG_20126.2 gene_biotype:protein_coding transcript_biotype:protein_coding METNYSFSSFPDSVDSSPRSREIDNDNTASWDDTVPNSAAASQRVKLMVSFGGRIQPRPHDNQLSYVGGDTKILVVDRSSRLPAVLARLSSISGADDLCLKYQLPGEDLDALVSVTNDEDLEHMMLEYDRLCRSVVPGSKAAPRLRLFVFPVVSPHPPPAPADSKSNRQWFVDALNNVAAPPPPPVTALTPPTEGPDYLFGLEKGLTPAPAVKTQDPSPVFVTPEPLPVEAPLSRSDLPKDDRPIAVESGLNIQHQIQALQKLQIAENQDQAQFQRMPSDETLTRVYPADYYLPPRVQEKAPPVTAQIPATAQIPVTAATYWPDQRNLAAGGRYTSVATGDRPMYFIPAAAGMFPPHGAAIQPQAGQGYYAAAMPKVVVSAPDVYRETSQMYAGTAPYADGAGGVVRTQGVETAFPAPGQVAYDSTGRAVYFAGAVPSYPTATINSEGKIVKPSQVS >DRNTG_29696.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3786077:3791149:1 gene:DRNTG_29696 transcript:DRNTG_29696.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKCGTHQLKFPFNWKLTPCFKQNQTYKSQFTTSHQIQVQIQKYNKYKYSNLRVQPLQDHHTNNKKERKRDPLQSWTQP >DRNTG_18704.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14774205:14782729:-1 gene:DRNTG_18704 transcript:DRNTG_18704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFAHFPQGSSLKDGGKTPKKCGGSVPQGQGKVPLFCPKSQLLYPSWHTSRIGGMRKPWGTRRYHLGEKKKKTGISYYPHTTQYGGHMHCSSGLFWSVCPDFHTTQYGGRMHPAEKGNFWLDLFLADFGEITWEILGDLGKEKKGKEARSSFKPKGKRHSAHSSPPPSPFHLGSVIYAFVLGFACLYCLLWDDDILDPQGHRVLVNLGGVYHGPYGPRLLGSMGMVWTSGQTTSNHSAATVHTAPIVGSMGVVMTRANPLTLVGVDPELKRTLRRRGKEPIQEPLIRAEVEGMETRMTDLEKALTKFVQSSDTRIQSVEATLHNHTASFHNLENQVGQISKSLSDRSQGSLPSNTKTNTRDPTKAITLRSGCEVEDRLPSEKTNVEASEVMEEMMHSDPYEGLLDQEVEDEEVFMLSLEEKVPSTLGIIKKVFKKMKVVFLHLEKTRGRGDAPVSGPLKIHARVELPHGPVNYLELFLGCTDKSQGHTSAPVCRAHGHGEFPNAHIAPRTKIAANKRPRENSPETEHMEFAIP >DRNTG_19420.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16833924:16837690:-1 gene:DRNTG_19420 transcript:DRNTG_19420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLRYPTAAQERQWLDEVLHLHSLWRRGPPRNHLSPPPPPRSHLAVTAVSQNPENKINKRKGRRKKEQSRQRRKEHQQHQQQQQQQQQQKVSPSAPDTLVVEEEWPCLPSPTSKPEFSNWPNPVTEKRKNLLLSADDQARADAVRVQESGIRTSCEFFSKSSSSDDGDDDDDDDDEDEEDVMEEDEYEEDDAEARAFRFFLGLFEKDGALRRYYEKNWEKGEFFCFVCRATGMKVGKRFLNCVGLVQHSKSISKTKRREAHRGFANAVCRVMGWDMERLPSIVLDLKDSLGQSLAKADKFQERICEGASFDMSKQVAEVAAVTVETVKEVVGSSPSRGIESLIEGQGMHEQSSSDIKEVVNAESNKQVPVSSSPLRDMEAFPEEGKHKDGPSKMTEEVPKEVVTKEGDQEDLANSSPAGNMDALPEVSMHSVQFMLTCIL >DRNTG_19420.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16833924:16836516:-1 gene:DRNTG_19420 transcript:DRNTG_19420.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQVAEVAAVTVETVKEVVGSSPSRGIESLIEGQGMHEQSSSDIKEVVNAESNKQVPVSSSPLRDMEAFPEEGKHKDGPSKMTEEVPKEVVTKEGDQEDLANSSPAGNMDALPEEEKIHENGSSDMNKEITNKIVDVQTNIK >DRNTG_19420.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16833924:16837690:-1 gene:DRNTG_19420 transcript:DRNTG_19420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLRYPTAAQERQWLDEVLHLHSLWRRGPPRNHLSPPPPPRSHLAVTAVSQNPENKINKRKGRRKKEQSRQRRKEHQQHQQQQQQQQQQKVSPSAPDTLVVEEEWPCLPSPTSKPEFSNWPNPVTEKRKNLLLSADDQARADAVRVQESGIRTSCEFFSKSSSSDDGDDDDDDDDEDEEDVMEEDEYEEDDAEARAFRFFLGLFEKDGALRRYYEKNWEKGEFFCFVCRATGMKVGKRFLNCVGLVQHSKSISKTKRREAHRGFANAVCRVMGWDMERLPSIVLDLKDSLGQSLAKADKFQIQERICEGASFDMSKQVAEVAAVTVETVKEVVGSSPSRGIESLIEGQGMHEQSSSDIKEVVNAESNKQVPVSSSPLRDMEAFPEEGKHKDGPSKMTEEVPKEVVTKEGDQEDLANSSPAGNMDALPEVSMHSVQFMLTCIL >DRNTG_19420.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16833924:16837690:-1 gene:DRNTG_19420 transcript:DRNTG_19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLRYPTAAQERQWLDEVLHLHSLWRRGPPRNHLSPPPPPRSHLAVTAVSQNPENKINKRKGRRKKEQSRQRRKEHQQHQQQQQQQQQQKVSPSAPDTLVVEEEWPCLPSPTSKPEFSNWPNPVTEKRKNLLLSADDQARADAVRVQESGIRTSCEFFSKSSSSDDGDDDDDDDDEDEEDVMEEDEYEEDDAEARAFRFFLGLFEKDGALRRYYEKNWEKGEFFCFVCRATGMKVGKRFLNCVGLVQHSKSISKTKRREAHRGFANAVCRVMGWDMERLPSIVLDLKDSLGQSLAKADKFQERICEGASFDMSKQVAEVAAVTVETVKEVVGSSPSRGIESLIEGQGMHEQSSSDIKEVVNAESNKQVPVSSSPLRDMEAFPEEGKHKDGPSKMTEEVPKEVVTKEGDQEDLANSSPAGNMDALPEEEKIHENGSSDMNKEITNKIVDVQTNIK >DRNTG_19420.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16834059:16837690:-1 gene:DRNTG_19420 transcript:DRNTG_19420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLRYPTAAQERQWLDEVLHLHSLWRRGPPRNHLSPPPPPRSHLAVTAVSQNPENKINKRKGRRKKEQSRQRRKEHQQHQQQQQQQQQQKVSPSAPDTLVVEEEWPCLPSPTSKPEFSNWPNPVTEKRKNLLLSADDQARADAVRVQESGIRTSCEFFSKSSSSDDGDDDDDDDDEDEEDVMEEDEYEEDDAEARAFRFFLGLFEKDGALRRYYEKNWEKGEFFCFVCRATGMKVGKRFLNCVGLVQHSKSISKTKRREAHRGFANAVCRVMGWDMERLPSIVLDLKDSLGQSLAKADKFQIQERICEGASFDMSKQVAEVAAVTVETVKEVVGSSPSRGIESLIEGQGMHEQSSSDIKEVVNAESNKQVPVSSSPLRDMEAFPEEGKHKDGPSKMTEEVPKEVVTKEGDQEDLANSSPAGNMDALPEEEKIHENGSSDMNKEITNKIVDVQTNIK >DRNTG_19420.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16834059:16837690:-1 gene:DRNTG_19420 transcript:DRNTG_19420.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLRYPTAAQERQWLDEVLHLHSLWRRGPPRNHLSPPPPPRSHLAVTAVSQNPENKINKRKGRRKKEQSRQRRKEHQQHQQQQQQQQQQKVSPSAPDTLVVEEEWPCLPSPTSKPEFSNWPNPVTEKRKNLLLSADDQARADAVRVQESGIRTSCEFFSKSSSSDDGDDDDDDDDEDEEDVMEEDEYEEDDAEARAFRFFLGLFEKDGALRRYYEKNWEKGEFFCFVCRATGMKVGKRFLNCVGLVQHSKSISKTKRREAHRGFANAVCRVMGWDMERLPSIVLDLKDSLGQSLAKADKFQIQERICEGASFDMSKQVAEVAAVTVETVKEVVGSSPSRGIESLIEGQGMHEQSSSDIKEVVNAESNKQVPVSSSPLRDMEAFPEEGKHKDGPSKMTEEVPKEVVTKEGDQEDLANSSPAGNMDALPEEEKIHENGSSDMNKEITNKIVDVQTNIK >DRNTG_07960.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:18220368:18230881:-1 gene:DRNTG_07960 transcript:DRNTG_07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSTISSVVSPRLSCRWSCGKSSTRRLRIPSSGIRYAFTEAPLPTFFLRNDDVRALEPLRYLSQYLSKPKWLTITMQVSQR >DRNTG_21128.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2532188:2534672:-1 gene:DRNTG_21128 transcript:DRNTG_21128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQGHLETLVPEERIQGEAMDDDADSGEEREVQELEREIKDLAQRILDSRRSMPDRLSQALASRLLALRPSLPSITIPEMVGQSQLSEPLAGADQEMLQKLHSFSDKTSQNVSAMPALLKRMNDCIARIDKLDEYNVNIHSVFKQK >DRNTG_01679.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23804924:23811586:-1 gene:DRNTG_01679 transcript:DRNTG_01679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSFLASILLIFLSVFWFFNACNASSHSQGGGTWGFSMKLIHRDSPRSSHYQPSATSEDRFRAMIERSISRARSISSIISGTSYSYDDSDHGYSGGGGVYTSIASSPSGAPAATISLSWRSGHHLSRSWPLPTRAQTKSPSLTASSSSSIPGIVFGCSHDSGGTFDQNGDGLIGLGGGPASLVSQLDPSIHGKFSHCLVPYTENTTSMLNFGDKAVVNDPGVITMKMDRHKTFYYVRLQNISLGNNDTVNLAEDTDIIFDSGTTLTFLPNDTMNKLIDDLTKTINLTRIKDPKIDLPLCYSHSANAPPYPFPNITFIFSDNIAPYDPKPIVLTPMQTFAHFSDDVICLAMVGDDGLPIFGNIAQQNLHVGFDLHTNVISVAPANCSNF >DRNTG_25777.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001336.1:28619:33328:1 gene:DRNTG_25777 transcript:DRNTG_25777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSYIDFSLWMGLIDVEYTRITSYSELYIDIPVHLSPDQCTIFEPWELSDPAIASKILLSLTPRFSHVVSSIIESKDLSSLTVEELSGSLRGHEGRLDVEQGHMEVKALYIKGASFHEQGALEGRRCGRGYYKGRGSGRSWNL >DRNTG_03029.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:305008:307928:1 gene:DRNTG_03029 transcript:DRNTG_03029.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILTIPSSFYSHTSFLNQRRSRLPAKRAHLLRRPISCQTLSEELHCSQIFTNTNTNTNTNTNTNTDSKDEAAMKIDRRKMLMIGLGGSLHGATGVARQAIGYPIQAPDFSHCQTASEGPKQVNCCLPLSSSPIIEFKRPSILSPLRVRPAAQFVGKEYLKKYRKAVELMRALPPDDPRSFATQAKIHCAYCNSAFDQGGLPGMELQVHNSWLFLPFHRCYIYFHERILGHLIGDQTFGLPFWNWDSPAGMRLPTFYDEVGTSLYDPLRDPKHRKHGVVDLDHSLIDDPLSDSQQISQNLKLMYRVVMTNGKTAELFMGMPFRAGDNPNPGAGSLELLPHGAVHLWTGDRAQPFIENMGTFYSAARDPIFYAHHSNIDRMWTVWQKHVVKKTITFNDADWLETAFLLYDENAQLVRIKVKDCLDTTWLRYTYQDVRNPWLNARPTPKVRRSKAKAKVAAAETATATATVNKEKMFPLTLSSPVSTTVKRPRVKRSKEEKKAEVEVLVVDGILAVKEKPVKFDVYVNAPNDFGRLGPEASEFAGCFLNPQAGHETRHRYTLRLNITDLVDEIGADGDGTITVTLVPRTYTENLEIGALWIEFLST >DRNTG_08278.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16246015:16259873:1 gene:DRNTG_08278 transcript:DRNTG_08278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLEKALTREHVKVITLRSGREVEGRLPSEKLNEHTPEVIEVEKGTTKEKEYPSPLYSDEPVQGDSMDFNDNQWKEGFCEDSLMQFVQNQGAQSIFNNYLESKWGKWADDVLSSSSQPNYIHEELDNGPEKPPELTYSINEAVIQSTLKSIEESLQDHPCGRKDAPKNYPSEGFISGVLRLGRDSFHQGKFVHLGAIFAGPYITRLIRGMGLIEHTRSMTMVGSIAPLGILTLVSIGIVEKRGNLVEALGLGDAIWR >DRNTG_30855.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001636.1:35052:35510:-1 gene:DRNTG_30855 transcript:DRNTG_30855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMGLVSKYPDGVYVLNIPFKDKGDEPQSVPMETKAPPGVKNVPPILELDVASSFVLRQRTPQPPSTSPAPPSPIPAPVDLPYVSPPPAVAEEPTERDTDI >DRNTG_20122.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22573466:22580688:1 gene:DRNTG_20122 transcript:DRNTG_20122.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSRELEGQLIPEWKDKFVNYRQLKKLVKKIKLSALQSPATPSSDGHSFMGFFSFRLLGPCFRPSNHPTAQEDEESLAEIELVHSGQNEVKKFFERLEEEREKVNNFYITKEAEFCERGEILNKQLEILLDLKRVLHEHTRRRRSSRSFDGVSFSRLTPSSSFSESAAESETPAEEDGSPVGDEMVAVLEKNGVSFIGAPRAKTKKGGKPRAASMRIDIPATTPGRTISAVTSMIWEDLINGQKKEGSCGDYISRKKIQCAEKMIRGAFVELHKGLGLLKTYRSLNMVAFRKIVKKFDKVSNQQASQRYLETVKGSHFIISDKAVRLEDEVESIFIKHFANNDRKKAMKFLKPQQRKNSHTITFFVGLFTGSFVTLFSVYAILAHLSGIFSSTESSYLRTVYPVFSMFALLSLHIFLYGCNLSMWRSSRINHNFIFEFNPNTALKHRDAFLICTCFMTMVVAAMVIHLLLRSTGVFPPHVDAIPGILLLLFTGILICPFNICYRSTRYSFLRVMRNIACSPLYSVKHLLLIKLLGKFYKC >DRNTG_20122.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22573466:22580688:1 gene:DRNTG_20122 transcript:DRNTG_20122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSRELEGQLIPEWKDKFVNYRQLKKLVKKIKLSALQSPATPSSDGHSFMGFFSFRLLGPCFRPSNHPTAQEDEESLAEIELVHSGQNEVKKFFERLEEEREKVNNFYITKEAEFCERGEILNKQLEILLDLKRVLHEHTRRRRSSRSFDGVSFSRLTPSSSFSESAAESETPAEEDGSPVGDEMVAVLEKNGVSFIGAPRAKTKKGGKPRAASMRIDIPATTPGRTISAVTSMIWEDLINGQKKEGSCGDYISRKKIQCAEKMIRGAFVELHKGLGLLKTYRSLNMVAFRKIVKKFDKVSNQQASQRYLETVKGSHFIISDKAVRLEDEVESIFIKHFANNDRKKAMKFLKPQQRKNSHTITFFVGLFTGSFVTLFSVYAILAHLSGIFSSTESSYLRTVYPVFSMFALLSLHIFLYGCNLSMWRSSRINHNFIFEFNPNTALKHRDAFLICTCFMTMVVAAMVIHLLLRSTGVFPPHVDAIPGILLLLFTGILICPFNICYRSTRYSFLRVMRNIACSPLYSVLMVDFFMADQLTSQIPLLRHLEFTTCYFMAEGLKTHNYDTCTRSQQYKLVAYIISFLPYYWRAMQCARRYIEEGYDMNHLANAGKYMSAMLAAAIRWKYAAAPTPSLLATVIISSSMATVYQLYWDFVKDWGLFKLTSKNFLLRDELILQNKSIYYVSMMFNFVLRLAWVESVLKLNLGPVENRMVDFLLASLEVIRRGHWNFYRLENEHLNNVGKFRAVKTVPLPFREIESDG >DRNTG_16557.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8476421:8477125:-1 gene:DRNTG_16557 transcript:DRNTG_16557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKPTTSATKAAKAKARSPPSHPPYLQMIGEAITALKDRTGSSQYAIGKFIEEKHKAKLPPNFAKVLLIQLRKFTASGKLIKIKNSYKLPSVPKKSAVVTKTKKPKASGKVVTPKKKKVVSGLRKRKSVKSIGKSPVKKAGAAAAAAAKKKMKAKK >DRNTG_05076.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4607199:4609578:1 gene:DRNTG_05076 transcript:DRNTG_05076.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G45040) UniProtKB/Swiss-Prot;Acc:Q93VA3] MQTQCLASSLYGHATINVLLSSTKGGARKKEKKEEQQELKFRKKSIVEHLAPPFMAAVLTLSSPVIFDQPALASEGARLFQKACIGCHDTGGNILQPGATLFKEDLERNGVNTEEEIYNVTYYGKRRMPGYGEKCSPKGQCTFGPRLQDDEIKMLAEFVKSQAESGWPKVESYED >DRNTG_15742.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20374262:20383170:1 gene:DRNTG_15742 transcript:DRNTG_15742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLEVWDGTISQKDFYVAARTLVERWKQVNPSLPQWTWIPSKSTLMGSSCKEEGYLSLENMYHIDRNEECLIVDSFSEREETDDVATLVHSTSNETHIYDFHIVYSFSYQVPVLYFHGYQSDGQPLNLDEIENDLPPSSSKILRESKWTYMTLGEHPYLRRPWYTLHPCATSDWMKLLLGGTSMKDLDISSYLLSWLSVVGQAVGLRIPLELQQRKERGESFWKKRMEGRKDLGSILPFIPLIQRSSALLWPSPAEEALKALSLGPDLSRVDSGEVFFDAILDLRDALGLSDDVLAFKAANGYALFFDKLMSRMDSRMWFGEVVPGLARLLLRLPSLLETHYQDLVEKFEEQSGLRIMGQQESGIVFLSQELVAALLTCSLFCLFPMRARHGKDLPSPINFDYLFAALHANTKQSQEQKIRCLIHYFERVCLRMPTGYVSFERKVLSVEPRSDCMTYPKDDFWRNSSIPSALLRSFLRGLLKIKTMKLWR >DRNTG_11491.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19487202:19490669:1 gene:DRNTG_11491 transcript:DRNTG_11491.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCALGVVILSRNSFSNPYSIEELRNFLGRKILVAIFFDLRFSDCLARDVIEKRGELWEKDGGELWLLYGGEEEEWSEAVDGLSRVSDSHLEANDGNWRNCIFETVILLATRLGLRSVVERIKRWKERVEEEEFPFPRNEEFVGRERELSELELLLFGYVSGDGERELLEAKSKRRRKSLRTHQQIEDGNKSKEIFRNVQRKRYTRIVYGKGIACVAGKSGIGKTELALEYAYRFSQRYKMVLWMGGEARYVKQNFLDLRNFLDVDQSDENCPTKNNKVKSFEEQERAAIDHVREALMQDIPYLVVIDNLENEKDWWDQELVMDLLPQFRGEIHIIITTRLPRVMDLEPIKLSYLSGLEAMSLMKGNVKDYPVMEINALRVIEEKLGRLTLGLGIVGAILFELSITPSKLLDTINRMPIRDSIWSDGEAHILRQHPFLMQLFDVCLSIFYYADGSRSLAARMFLVSSWFGPTAIPIPLLAMAAHKVPGKYHGTEKWQKIFRALNCIIKSTKIKKSEIEASSMLVRFGIARYLTKYDAIHFHEIIKIYARKRGSIAIAQAVVQAISHRSFASQYSEHQWAACFLLFGFGTDPITVNLKPPELLLFVKHIALPLAVHTFIKFSRCNAALELLRLCADALDAAAETMLSRADKWLGRSFCCQKPNNSVTQYTYIWQELALLKAGVSEVSAKLMLQGGEYHIAEDLIRQTIYIRKSIYGEYHADTVSAQETLNKLGSVFMNMQLN >DRNTG_11491.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19486765:19490669:1 gene:DRNTG_11491 transcript:DRNTG_11491.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCALGVVILSRNSFSNPYSIEELRNFLGRKILVAIFFDLRFSDCLARDVIEKRGELWEKDGGELWLLYGGEEEEWSEAVDGLSRVSDSHLEANDGNWRNCIFETVILLATRLGLRSVVERIKRWKERVEEEEFPFPRNEEFVGRERELSELELLLFGYVSGDGERELLEAKSKRRRKSLRTHQQIEDGNKSKEIFRNVQRKRYTRIVYGKGIACVAGKSGIGKTELALEYAYRFSQRYKMVLWMGGEARYVKQNFLDLRNFLDVDQSDENCPTKNNKVKSFEEQERAAIDHVREALMQDIPYLVVIDNLENEKDWWDQELVMDLLPQFRGEIHIIITTRLPRVMDLEPIKLSYLSGLEAMSLMKGNVKDYPVMEINALRVIEEKLGRLTLGLGIVGAILFELSITPSKLLDTINRMPIRDSIWSDGEAHILRQHPFLMQLFDVCLSIFYYADGSRSLAARMFLVSSWFGPTAIPIPLLAMAAHKVPGKYHGTEKWQKIFRALNCIIKSTKIKKSEIEASSMLVRFGIARYLTKYDAIHFHEIIKIYARKRGSIAIAQAVVQAISHRSFASQYSEHQWAACFLLFGFGTDPITVNLKPPELLLFVKHIALPLAVHTFIKFSRCNAALELLRLCADALDAAAETMLSRADKWLGRSFCCQKPNNSVTQYTYIWQELALLKAGVSEVSAKLMLQGGEYHIAEDLIRQTIYIRKSIYGEYHADTVSAQETLNKLGSVFMNMQLN >DRNTG_29229.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3280974:3282813:1 gene:DRNTG_29229 transcript:DRNTG_29229.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPFCNEVIFHSSLKYSQSRFPLVAYLQKAAFGFDQSFFHHCCSLRVSKQFYISRRMKWVIRSMVDGSRPDPSGSNTNNGTRLVRAIQNFQIKLNSKLQELRKGLLMKVLFFLLGFYCATAFATVIGQTGDWDILSAGVAVVVVEAIGALMYRASFQILDKIKSLITFFNYWKAGLSLGLFLDAFKYEVDTFFESSSPFQIQFDILSKFW >DRNTG_29229.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3280546:3282813:1 gene:DRNTG_29229 transcript:DRNTG_29229.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPFCNEVIFHSSLKYSQSRFPLVAYLQKAAFGFDQSFFHHCCSLRVSKQFYISRRMKWVIRSMVDGSRPDPSGSNTNNGTRLVRAIQNFQIKLNSKLQELRKGLLMKVLFFLLGFYCATAFATVIGQTGDWDILSAGVAVVVVEAIGALMYRASFQILDKIKSLITFFNYWKAGLSLGLFLDAFKYEVDTFFESSSPFQIQFDILSKFW >DRNTG_29229.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3279084:3282813:1 gene:DRNTG_29229 transcript:DRNTG_29229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPFCNEVIFHSSLKYSQSRFPLVAYLQKAAFGFDQSFFHHCCSLRVSKQFYISRRMKWVIRSMVDGSRPDPSGSNTNNGTRLVRAIQNFQIKLNSKLQELRKGLLMKVLFFLLGFYCATAFATVIGQTGDWDILSAGVAVVVVEAIGALMYRASFQILDKIKSLITFFNYWKAGLSLGLFLDAFKYEVDTFFESSSPFQIQFDILSKFW >DRNTG_05438.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12067936:12070936:-1 gene:DRNTG_05438 transcript:DRNTG_05438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSINGGVILFLLLLAAISASASASSALPEKPTNTSGQINSNSVLVALLDSHYTELAELVEKALLLHTLEDAVGAHNVTIFAPRNEALERDLDPEFKRFLLEPGNLKSLQTLILFHVVPARISSHSWPSSRHRTLANDHLHLSADKDSVKRVDLAAIVHPDAVVRPDGVIHGIERLLVPRSVQEDFNRRRSLASISAVLPTGAPEVDPRTHRLKKPASPAPAGSPPALPIYDAMAPGPSIAPAPAPGPGSGKHHFDGHSQVKDFIQTLLLYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMGRLTAEQLSEPGAPEQIMYYHLIPEYQTEESMYNAVRRFGRVRYDTLRVPHKVVGREADGSVKFGQAEGSAYLFDPDIYTDGRISVQGIDNVLFPPDEEPPTSSQPPRKPHAQQPKPRRGKLMEVACHMLGAFGQRSHFTSCQ >DRNTG_11788.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:530468:532101:-1 gene:DRNTG_11788 transcript:DRNTG_11788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSLIRGYAHGGDPCEAIALYCQMLADGYVPNNYTFPFVLKACTRIADPRVGLGVHGTLIRHGFEDFDPFIQTSLVNFYASCGCIVVAQKLFDRSPQRDVTSWNALIKAYIGCCRYSDAIRVFRMMQDGSNARADEITMLGVISACAHLGALDMGKWVHAYVDRCCMRLTTNLGTALINMYARCGEIETASSLFDNMKEKDVRAWSVMISGLALNGLAKEALDLFAEMQNAGVKPDSVTITGVLSACSHAGYVQKGMKLLDEMQELYFVEPTIEHYGCVVDLLGRAGELEKALALIKRMRLKPDVMIWGAMLVACRVHKNVEIGEMAAKEMLELDSQNAGALVFLSNVYAAIGKWDMVEQVRCLMKEQRIRKPPGSSSIELDGVVHEFLSGDTLHPQSDQIYRMLDEILRLAVLRGYRPVIGGVPFDINEEDKEVCISQHSEKLALAFGLINSEGGTVIRIVKNLRICEDCHSVMQLVSELFNRIVIVR >DRNTG_23287.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1295358:1297313:1 gene:DRNTG_23287 transcript:DRNTG_23287.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFHLPMLLLLFLCWSTLELAGFNPLIQELPGSSSEGRSVSVDDFGAKGDGYTDDTKAFGDAWLFACSSPYKRIIEIPAGKVYLVKPIDFAGPCKSKLTLLIMGTIIAPPDPKIWDGQNTRKWLYFHGIRRLIVTGGGTINGMGQKWWSQSCKINRTKPCQHAPTAITFHRTKHLIIQELTLMNSQQMHMSFTNCFHVKASRMKLVAPPYSPNTDGIHISASTSVEIQDSTIETG >DRNTG_23287.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1295358:1298823:1 gene:DRNTG_23287 transcript:DRNTG_23287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFHLPMLLLLFLCWSTLELAGFNPLIQELPGSSSEGRSVSVDDFGAKGDGYTDDTKAFGDAWLFACSSPYKRIIEIPAGKVYLVKPIDFAGPCKSKLTLLIMGTIIAPPDPKIWDGQNTRKWLYFHGIRRLIVTGGGTINGMGQKWWSQSCKINRTKPCQHAPTAITFHRTKHLIIQELTLMNSQQMHMSFTNCFHVKASRMKLVAPPYSPNTDGIHISASTSVEIQDSTIETGDDCISVVSNSSNVRIRNIACGPGHGISIGSLGKSRSLSQVHDVRVDGACISNTENGVRIKTWQGGCGFVSNIIFHNIFMRNVSNPIIIDQYYCDSPHQCANQTSAVKVEDVSFIGIKGTSATENAIKFVCSDSFPCERIFLEDIYLAFSGGEPTSYCWKASGLSFGLVHPPSCLSSSGHLSLNKTNGFPASQYAAVRI >DRNTG_23287.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1295358:1295881:1 gene:DRNTG_23287 transcript:DRNTG_23287.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFHLPMLLLLFLCWSTLELAGFNPLIQELPGSSSEGRSVSVDDFGAKGDGYTDDTKVPSSVF >DRNTG_23287.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1298019:1298823:1 gene:DRNTG_23287 transcript:DRNTG_23287.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVSNPIIIDQYYCDSPHQCANQTSAVKVEDVSFIGIKGTSATENAIKFVCSDSFPCERIFLEDIYLAFSGGEPTSYCWKASGLSFGLVHPPSCLSSSGHLSLNKTNGFPASQYAAVRI >DRNTG_23287.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1296300:1296799:1 gene:DRNTG_23287 transcript:DRNTG_23287.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIIAPPDPKIWDGQNTRKWLYFHGIRRLIVTGGGTINGMGQKWWSQSCKINRTKVTSQFLKIL >DRNTG_23287.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1298421:1298823:1 gene:DRNTG_23287 transcript:DRNTG_23287.6 gene_biotype:protein_coding transcript_biotype:protein_coding QTSAVKVEDVSFIGIKGTSATENAIKFVCSDSFPCERIFLEDIYLAFSGGEPTSYCWKASGLSFGLVHPPSCLSSSGHLSLNKTNGFPASQYAAVRI >DRNTG_05160.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:14191695:14196083:-1 gene:DRNTG_05160 transcript:DRNTG_05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQSFIRLSIGSLGLRMPVTSSKTVQGTVHATSASCLCEFRLRGFPIQTTQVPLISSPEINPDSQSNLTIFYLEESDVKALMTPGCFQGPDAYLEIVVYMGRKGSHCGVTSRKHQIGNFRVLVGPEWGEGKAVLLHNGWINLGKHKLGKPGLELHLKVKLDPDPRYVFHFEDETGLSPQIVQLKGAIKQPIFSCKFIRDRRASQTDQMGNYWQNENEADQEAERRERKGWKVMIHDLSGSAVAAAFMATPFVPATGFDRVAKSNPGAWLIVRPDPVGPAESWLPWGRLEAWREIGHRDFVCLRLHLLPEGQEAGVLVSDVMISADKGGEFFIDMNRQTPVGAPVPSPQNIGDDLAAALSGPIDGGFVMNCRVQGEGKGSKPLVQLAVKHVTCVEDAAIFMALAAAVDLSIQACKPFRRKAKKLTRQSS >DRNTG_05160.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:14191847:14196083:-1 gene:DRNTG_05160 transcript:DRNTG_05160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQSFIRLSIGSLGLRMPVTSSKTVQGTVHATSASCLCEFRLRGFPIQTTQVPLISSPEINPDSQSNLTIFYLEESDVKALMTPGCFQGPDAYLEIVVYMGRKGSHCGVTSRKHQIGNFRVLVGPEWGEGKAVLLHNGWINLGKHKLGKPGLELHLKVKLDPDPRYVFHFEDETGLSPQIVQLKGAIKQPIFSCKFIRDRRASQTDQMGNYWQNENEADQEAERRERKGWKVMIHDLSGSAVAAAFMATPFVPATGFDRVAKSNPGAWLIVRPDPVGPAESWLPWGRLEAWREIGHRDFVCLRLHLLPEGQEAGVLVSDVMISADKGGEFFIDMNRQTPVGAPVPSPQNIGDDLAAALSGPIDGGFVMNCRVQGEGKGSKPLVQLAVKHVTCVEDAAIFMALAAAVDLSIQACKPFRRKAKKLTRQSS >DRNTG_05160.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:14191781:14196083:-1 gene:DRNTG_05160 transcript:DRNTG_05160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQSFIRLSIGSLGLRMPVTSSKTVQGTVHATSASCLCEFRLRGFPIQTTQVPLISSPEINPDSQSNLTIFYLEESDVKALMTPGCFQGPDAYLEIVVYMGRKGSHCGVTSRKHQIGNFRVLVGPEWGEGKAVLLHNGWINLGKHKLGKPGLELHLKVKLDPDPRYVFHFEDETGLSPQIVQLKGAIKQPIFSCKFIRDRRASQTDQMGNYWQNENEADQEAERRERKGWKVMIHDLSGSAVAAAFMATPFVPATGFDRVAKSNPGAWLIVRPDPVGPAESWLPWGRLEAWREIGHRDFVCLRLHLLPEGQEAGVLVSDVMISADKGGEFFIDMNRQTPVGAPVPSPQNIGDDLAAALSGPIDGGFVMNCRVQGEGKGSKPLVQLAVKHVTCVEDAAIFMALAAAVDLSIQACKPFRRKAKKLTRQSS >DRNTG_05160.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:14191847:14196083:-1 gene:DRNTG_05160 transcript:DRNTG_05160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQSFIRLSIGSLGLRMPVTSSKTVQGTVHATSASCLCEFRLRGFPIQTTQVPLISSPEINPDSQSNLTIFYLEESDVKALMTPGCFQGPDAYLEIVVYMGRKGSHCGVTSRKHQIGNFRVLVGPEWGEGKAVLLHNGWINLGKHKLGKPGLELHLKVKLDPDPRYVFHFEDETGLSPQIVQLKGAIKQPIFSCKFIRDRRASQTDQMGNYWQNENEADQEAERRERKGWKVMIHDLSGSAVAAAFMATPFVPATGFDRVAKSNPGAWLIVRPDPVGPAESWLPWGRLEAWREIGHRDFVCLRLHLLPEGQEAGVLVSDVMISADKGGEFFIDMNRQTPVGAPVPSPQNIGDDLAAALSGPIDGGFVMNCRVQGEGKGSKPLVQLAVKHVTCVEDAAIFMALAAAVDLSIQACKPFRRKAKKLTRQSS >DRNTG_21689.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:992771:998594:1 gene:DRNTG_21689 transcript:DRNTG_21689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETGEGIDWAVGEALAFATLIVEGNHVRLSGQDVERGTFSHRHAVIHDQETGQQYCPLDHLIMNQDEELFTVSNSSLSEFGVLGFELGYSMENPNSLVLWEAQFGDFANGAQVIFDQFVSSGESKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPFVIPEMDPTLRKQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLIVMAPKNLLRHKDCKSNLSEFDDVVGHLGFDKQGTRFKRLIKDQNDHKDLEEGINRLILCSGKVYYELDEARKKTERKDVAICRVEQLCPFPYDLIQRELKRYPNSEIVWCQEEPMNMGGYTYISPRLCTAMKALGRGTMDDIKYVGRPPSAATATGFYSVHVQEQTELVQKALQREPIQF >DRNTG_22996.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1749031:1749745:-1 gene:DRNTG_22996 transcript:DRNTG_22996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSALVESSRLKALNSHQQGGTKTMSVLWVVPPLILIGVGEAFHFPGQVAMFYQEFPPSLGGTATSMAALTAGLGYYLSTAIIAMVRRLTGWLEDDTNKSRLDNVYWMLAVMAMLNFGYFLLCAKLYKRRQ >DRNTG_30559.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:821367:821735:1 gene:DRNTG_30559 transcript:DRNTG_30559.1 gene_biotype:protein_coding transcript_biotype:protein_coding NQPEKKFPVLVYYHGGGFCIESAFSSLYHNYLNSLSSKANIIIVSVEYRLAPEHPLPTGYEDSWQVLQWVVSETNDDAWLQNHADFGRVFVSGDSAGGNIAHNMVMKINNGFSEGMKVIKGM >DRNTG_17466.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21430376:21433731:1 gene:DRNTG_17466 transcript:DRNTG_17466.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 37 [Source:Projected from Arabidopsis thaliana (AT5G63390) UniProtKB/Swiss-Prot;Acc:Q9FMW3] MGRLKNLPKGTSPKYTTPFHYYLFSISKPSSPPNSSSFKPSRNKPVINTITTTNLITLLLFVLFLLSFLLSHPSIFISNTSTSALQNTISMVPRPPYALISGNVNVSQQEMEFWRQPDGLGYKPCLQFSIGYRRASAKIAKERRRFLLVKVSGGLNQQRNQIVDAVVFARILGAALVLPLLKFNVIWQDNSEFSDIFDVDHFIRTLHSDIRIVSRVPPTHKIPRPSSTVHSSIPSNLSPLWIRSRFLKQLDEDGVLVLEGLESKLSKDLPLDLQKLRCKVAFHALKFSRQILELGKKLAERAGNGGPYIALHLRLEEDVWIRTGCSPGLGQPYDDLVAQHRTARPDLLTGKLNMTHHHRKLAGLCPLNTKTLTMVLRALGAQRDARVYWAGGKPLGGELALKALKDEFPKLMSKYTLAVDGELEIYENRSSVLAALDYIVALNSDVFVPSHGGTMGLAMQGHRAYVGHRKSVRPNKRGFAAMEMEEAGFGEMVRRMHKGLMGEPELRTQKTGRDVIAFPVPECMCR >DRNTG_17466.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21425658:21429926:1 gene:DRNTG_17466 transcript:DRNTG_17466.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 37 [Source:Projected from Arabidopsis thaliana (AT5G63390) UniProtKB/Swiss-Prot;Acc:Q9FMW3] MASGSGVVDLADVPSVDLMTELLRRMKCASKPDRRLIFIGPPGSGKGTQSPFLKDEYCLCNLATGDMLRAAVAAKTPLGLKAKEAMDKGKLVSDELVIGIIDEAMKRPSCQKGFILDGFPRTVTQAEKLDQMLEKRGTKIDKVLNFAIDDALLEERITGRLIHPSSGRTYHSKFAPPKVPGIDDVTGEPLIQRKDDTAAVLKSRLEAFHKQTEPVIDYYSKKGIVTNLHAEKPPKEVREEIEKAIK >DRNTG_17466.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21425658:21430343:1 gene:DRNTG_17466 transcript:DRNTG_17466.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 37 [Source:Projected from Arabidopsis thaliana (AT5G63390) UniProtKB/Swiss-Prot;Acc:Q9FMW3] MASGSGVVDLADVPSVDLMTELLRRMKCASKPDRRLIFIGPPGSGKGTQSPFLKDEYCLCNLATGDMLRAAVAAKTPLGLKAKEAMDKGKLVSDELVIGIIDEAMKRPSCQKGFILDGFPRTVTQAEKLDQMLEKRGTKIDKVLNFAIDDALLEERITGRLIHPSSGRTYHSKFAPPKVPGIDDVTGEPLIQRKDDTAAVLKSRLEAFHKQTEPVIDYYSKKGIVTNLHAEKPPKEVREEIEKAIK >DRNTG_17466.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21431571:21433731:1 gene:DRNTG_17466 transcript:DRNTG_17466.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 37 [Source:Projected from Arabidopsis thaliana (AT5G63390) UniProtKB/Swiss-Prot;Acc:Q9FMW3] MKIINSYYFALIFTFYFILFDLYVCYACMDACSEFSDIFDVDHFIRTLHSDIRIVSRVPPTHKIPRPSSTVHSSIPSNLSPLWIRSRFLKQLDEDGVLVLEGLESKLSKDLPLDLQKLRCKVAFHALKFSRQILELGKKLAERAGNGGPYIALHLRLEEDVWIRTGCSPGLGQPYDDLVAQHRTARPDLLTGKLNMTHHHRKLAGLCPLNTKTLTMFLLYMT >DRNTG_17466.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21426570:21433731:1 gene:DRNTG_17466 transcript:DRNTG_17466.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 37 [Source:Projected from Arabidopsis thaliana (AT5G63390) UniProtKB/Swiss-Prot;Acc:Q9FMW3] MLKNLPKGTSPKYTTPFHYYLFSISKPSSPPNSSSFKPSRNKPVINTITTTNLITLLLFVLFLLSFLLSHPSIFISNTSTSALQNTISMVPRPPYALISGNVNVSQQEMEFWRQPDGLGYKPCLQFSIGYRRASAKIAKERRRFLLVKVSGGLNQQRNQIVDAVVFARILGAALVLPLLKFNVIWQDNSEFSDIFDVDHFIRTLHSDIRIVSRVPPTHKIPRPSSTVHSSIPSNLSPLWIRSRFLKQLDEDGVLVLEGLESKLSKDLPLDLQKLRCKVAFHALKFSRQILELGKKLAERAGNGGPYIALHLRLEEDVWIRTGCSPGLGQPYDDLVAQHRTARPDLLTGKLNMTHHHRKLAGLCPLNTKTLTMFLLYMT >DRNTG_28366.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2377646:2381203:1 gene:DRNTG_28366 transcript:DRNTG_28366.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G45390) UniProtKB/Swiss-Prot;Acc:Q94B60] MRGAESDVMGLLLKERIVFLGTSIDDFVADAIISQLLLLDAQDSSRDIRLFINSPGGSLSATMAIYDVVQLVRADVSTVALGIAASTSSIILGGGTKGKRLAMPNTRIMLHQPLGGASGQVIDVEIQAKEVMHNKENVIRIVAGFTGRAVEQVRKDIDRDRYMSPIEAVEYGIISLVPLPEKVKSKLNYEEITKDPRKFLTPEIPDDEIY >DRNTG_16561.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8382633:8392518:1 gene:DRNTG_16561 transcript:DRNTG_16561.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDAALVEVSVKKKVVAPRSWVLLDSTGETTILDADKYAIMHRVQIHARDLRILDPLLSYPSTILGREQAIVLNLEHIKAIITAEEVLLRDPTEENVIPIVEELRRRLPTITVAERTQGEGREHHDADAIEEEESPFEFRALEVALEAICSFLDARTTELETAAYPALDELTSKISSRNLDRVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKLFGASSPVSGSGAPNWFAASPTIGSKISRASRASAATIHGNENDVEELEMLLEAYFMQIDATLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSLYSLVAGVFGMNIPYSWNDNHGYIFKWVVIISGVVAALLFIFIVAYARYKGLVGS >DRNTG_35332.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002239.1:1232:4369:1 gene:DRNTG_35332 transcript:DRNTG_35332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRVRREYKANKISEEEYVQAIREEISKVVKLQEELDIDVLVHGEPERNDMVEYFGEQLAGITFTVNGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSSMAQSMTSRPMKAMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAAGIQVIQIDEAALREGLPLRKSEQSFYLDWAVHSFRITNCGVKDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLESNILWVNPDCGLKTRKYTEVKPALANMVAAAKLLRKELASA >DRNTG_15647.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14663621:14669122:1 gene:DRNTG_15647 transcript:DRNTG_15647.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sirohydrochlorin ferrochelatase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G50170) UniProtKB/Swiss-Prot;Acc:Q84JH7] MHSVTIPSHPPTISSLGLHSLEFSKSRRIAMNGGALCAKSCLSVGNEGPQTKRDAVIIVDHGSRRQESNLMLNEFVSMFKARTSYEIVEPAHMELAEPSIRDAFKLCVQQGAKRIIISPFFLFPGRHWNQDIPALAAEAAEDHSGISYIVTAPLGLHESLVDVVNDRINYCLKHVDGDATECSVCAGTGKCRLYQ >DRNTG_15647.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14663621:14669122:1 gene:DRNTG_15647 transcript:DRNTG_15647.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sirohydrochlorin ferrochelatase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G50170) UniProtKB/Swiss-Prot;Acc:Q84JH7] MHSVTIPSHPPTISLGLHSLEFSKSRRIAMNGGALCAKSCLSVGNEGPQTKRDAVIIVDHGSRRQESNLMLNEFVSMFKARTSYEIVEPAHMELAEPSIRDAFKLCVQQGAKRIIISPFFLFPGRHWNQDIPALAAEAAEDHSGISYIVTAPLGLHESLVDVVNDRINYCLKHVDGDATECSVCAGTGKCRLYQ >DRNTG_04552.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22566503:22572372:-1 gene:DRNTG_04552 transcript:DRNTG_04552.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor 49 [Source:Projected from Arabidopsis thaliana (AT3G56660) UniProtKB/Swiss-Prot;Acc:Q9LXX4] MAGAMAFDHSSLSGDPFDSSLFDLPNFPSGEIPFPDDFSADLDLDPLDFDFDFSVEDLLQPSDDPSSAADESPGSDPDPDPDPNPASAVSSSSSAGTNTNPPSPDSGQSGVTSRELSSEGFKRKERDIDNPSKNPNPNPRSGKLQRSEDGTSSCVFNQGGDDDEKRKARLMRNRESAQLSRQRKKHYVEELEDKLKSMHSTINDLNSRLSFIMAENASLRQQLGGNAGTAPPMAVYPPMAPMHFPWIPCGGYAMRPNGSQVPLVPIPRLKTQQPAPAPKAKGKSKKVASISFLGLLFIMFIAGVVVPQVSNLGYGVSDMGGVKGRILGEQRDRNSGVSNYPNGFNGTEEVGAMKNSSESLPAFLYVPRNGKHVKINGNLIIHSFLAGEKAMKKARDTTGKDSEETGLAIAGNVGSALAISEHQRAISSNSKDHYGESLKRTPGEGPLQQWFREGLAGPILNSGMCTEVFQFEVSPSSVDRSGIVPATSVANATTGKLPRGKKRNRRTMYPYPIPLSGSTVNNTESSFGNSSDSNVFGNKSVPSMVVSILADPKEAGDGDGEGMISPKKSLSRIFVVVLMDSVKYVTYSCVLPRKTPAPHLVN >DRNTG_14095.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20736702:20738285:-1 gene:DRNTG_14095 transcript:DRNTG_14095.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Omega-amidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12040) UniProtKB/Swiss-Prot;Acc:Q8RUF8] EYTHGDVCHIFKIGNCHNICPIRLHYSSWISPCSFVSQLFVATCSPARDASAGYTAWGHSTLVGPFGEVIATTEHEEAIIVEEIDYSLIELRRSNLPLEKQRRGDLYQLVDVQRLNDD >DRNTG_14095.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20736702:20741813:-1 gene:DRNTG_14095 transcript:DRNTG_14095.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Omega-amidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12040) UniProtKB/Swiss-Prot;Acc:Q8RUF8] MRAAIDALVTVGALPYAHRLLQSLTFSSRDHLRLSAPRSCFRRISAMASAFKPEDARAPPAVQLPSLPVSKFKIALCQLSVTADKERNISHARRAIEEAASKGAQLVLLPEIWNSPYSNDCFPIYAEDIEAGGNAAPSVAMLSEIARSLKITIVGGSIPERSGDHLYNTCCVFGTDGKLKGKHRKIHLFDIDIPGKITFKESKTLTAGQHPTIVDTDVGRIGIGICYDIRFQELAALYAARGAHLICYPGAFNMTTGPLHWELLQRARATDNQLFVATCSPARDASAGYTAWGHSTLVGPFGEVIATTEHEEAIIVEEIDYSLIELRRSNLPLEKQRRGDLYQLVDVQRLNDD >DRNTG_14095.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20738204:20741813:-1 gene:DRNTG_14095 transcript:DRNTG_14095.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Omega-amidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12040) UniProtKB/Swiss-Prot;Acc:Q8RUF8] MRAAIDALVTVGALPYAHRLLQSLTFSSRDHLRLSAPRSCFRRISAMASAFKPEDARAPPAVQLPSLPVSKFKIALCQLSVTADKERNISHARRAIEEAASKGAQLVLLPEIWNSPYSNDCFPIYAEDIEAGGNAAPSVAMLSEIARSLKITIVGGSIPERSGDHLYNTCCVFGTDGKLKGKHRKIHLFDIDIPGKITFKESKTLTAGQHPTIVDTDVGRIGIGICYDIRFQELAALYAARGAHLICYPGAFNMTTGPLHWELLQRAR >DRNTG_14095.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20736702:20741813:-1 gene:DRNTG_14095 transcript:DRNTG_14095.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Omega-amidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12040) UniProtKB/Swiss-Prot;Acc:Q8RUF8] MRAAIDALVTVGALPYAHRLLQSLTFSSRDHLRLSAPRSCFRRISAMASAFKPEDARAPPAVQLPSLPVSKFKIALCQLSVTADKERNISHARRAIEEAASKGAQLVLLPEIWNSPYSNDCFPIYAEDIEAGGNAAPSVAMLSEIARSLKITIVGGSIPERSGDHLYNTCCVFGTDGKLKGKHRKIHLFDIDIPGKITFKESKTLTAGQHPTIVDTDVGRIGIGICYDIRFQELAALYAARGAHLICYPGAFNMTTGPLHWELLQRARATDNQVHGNLPGVFLSHYPL >DRNTG_14095.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20736702:20741813:-1 gene:DRNTG_14095 transcript:DRNTG_14095.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Omega-amidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12040) UniProtKB/Swiss-Prot;Acc:Q8RUF8] MRAAIDALVTVGALPYAHRLLQSLTFSSRDHLRLSAPRSCFRRISAMASAFKPEDARAPPAVQLPSLPVSKFKIALCQLSVTADKERNISHARRAIEEAASKGAQLVLLPEIWNSPYSNDCFPIYAEDIEAGGNAAPSVAMLSEIARSLKITIVGGSIPERSGDHLYNTCCVFGTDGKLKGKHRKIHLFDIDIPGKITFKESKTLTAGQHPTIVDTDVGRIGIGICYDIRFQELAALYAARGAHLICYPGAFNMTTGPLHWELLQRARATDNQVHGNLPGVFLSHYPL >DRNTG_04218.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20899814:20900731:-1 gene:DRNTG_04218 transcript:DRNTG_04218.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTGRLEILDDDKHILSIKIVGGDHRLKHFILGIHT >DRNTG_04218.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20900471:20900731:-1 gene:DRNTG_04218 transcript:DRNTG_04218.2 gene_biotype:protein_coding transcript_biotype:protein_coding RTGRLEILDDDKHILSIKIVGGDHRLKVFLFFFFLLNIFNIFVLSV >DRNTG_20380.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:90847:106039:1 gene:DRNTG_20380 transcript:DRNTG_20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKLDERVEKVKKGCEGLEEDDLLASVAAQSNITRDQILDLILSMLFAGHETSSAAISLAIFFHETSSAAIS >DRNTG_33519.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:772722:781265:1 gene:DRNTG_33519 transcript:DRNTG_33519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHREIDDVDPDVLDFLDIFGLDTLNDQLANGLEAVILIINLFLIYELKLTLFLVRSSRVGKEIVVRIWDWSSPATLRNNLLLGSLPLPPLFSSSSSSSRFGWLLLAPHLSPERQQQRWRKQCSDGGIRCNNVDSVAMMAADRSRRQQWQVTMETTPVGDVDDSSNHPRDVGRERRGVEEKQECETEEDEEDEQADNEYEESDDNDDDDDDDDDDDDCRT >DRNTG_07099.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1983214:1984809:-1 gene:DRNTG_07099 transcript:DRNTG_07099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKKAASVKGEKDVLDGAKGEEKDAGDL >DRNTG_08839.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27924547:27925951:-1 gene:DRNTG_08839 transcript:DRNTG_08839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDISAIFKMADKDNSGILTLKEIQDVLKEIYLRYPQVELYMKTKHMTDVVDLVKEAKGEAAKVSAELSIEEFKKALANVDSQVKTLPATAQVAAQQGYYLAQCFNKMKETEDRPEGPIRIRESGRHRFKPFR >DRNTG_19441.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000998.1:108354:108779:-1 gene:DRNTG_19441 transcript:DRNTG_19441.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPMSPPVKSLTTDRKMVQARAEAGEAPPTDYIVKDESQHPLDAPPPLTAPLPVVHLGHPDEAEEIKTALQSWGMFQVSSFPSFP >DRNTG_19441.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000998.1:104624:108779:-1 gene:DRNTG_19441 transcript:DRNTG_19441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPMSPPVKSLTTDRKMVQARAEAGEAPPTDYIVKDESQHPLDAPPPLTAPLPVVHLGHPDEAEEIKTALQSWGMFQVIDHGMAPSFLDELRDVERAFFKLPMEEKQKYSNIRDGKFGQEGYGSDEIIVEGQILDWTDRLYLLVQPEDARKLEHWPTNPNSLRDVLHEYTMNTKKLVENVLKTTAKSLELNEDFFVSHLGDKFTIFARFNYYPCCLKSDLVFGLKPHTDGSLITVILPDKDVEGLQVMKDGEWITVTTCPHALIFNIGDQMEIMSNGIFKSPVHRVVTFSDKDRVSIAMFCSNLPEQVIGPADELVNDMRPRMYKNLKVRDYLEVFIQRFLQGKRAIGWAQV >DRNTG_19441.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000998.1:104624:104997:-1 gene:DRNTG_19441 transcript:DRNTG_19441.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGIFKSPVHRVVTFSDKDRVSIAMFCSNLPEQVIGPADELVNDMRPRMYKNLKVRDYLEVFIQRFLQGKRAIGWAQV >DRNTG_09825.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000414.1:67853:68581:-1 gene:DRNTG_09825 transcript:DRNTG_09825.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEINNKMFKFLNMEDMIDKTSTIRLHILDLHRKGLIISSMADISKSPQNIKEELPISNSRGKGTDDHIHKTVTIIGDASMPTTMKLHESRVRFMKSQTNKINNISFDKGIFKLPRLIIDDSMESIFLNLTAFEYLHIGLDDEVTSYVCFMDELSDSSNDVHRLWSDDIIHNVVGSDQAAADILNSHLPTSIHHSASYFSSSSSSLLPSFLSSYHFISFSIRDQLFPPHLSAREALHWCFH >DRNTG_34329.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002128.1:34770:37136:-1 gene:DRNTG_34329 transcript:DRNTG_34329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSQTHLSNNKTNNNSLLQLLLQSFTNFLKCIPSSSPQTNNNSNTIQNTTTTITSMPSESKFTISVQKRTVQSSVKQLHFGGWDEKKVAAKEIKRLAGEGHSTKKLLAELGVITSLVLMLVESTDDHLRCLAIDALIELAKGTFSNFCCRNKALIVEAGLVAKLPNIIIATQEEEQEEDSSTIVKLKLATLLQSISSLTKTHISITPQTILPFLTTILTTPTKLDPNLKLKCLSTLYNLSTKLETLNLVSSSVPLVHALLTLSLHDQSELAVAILANLVLSSRGKKAIEDDPMVPQALIDIITWHDKPMGQELVMYILMVLANGSSAQRMKMRGLGIVPLLLEVALLGSSALAQKRALKMLEWFKDESRVVQMGVHSGPQEDRFSDPAGTSSSSPEESRRAVRRLVRQSLHQNIKMMTRRGNGSQGSTSLVKSLVVNRSSKSLPY >DRNTG_12135.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11576145:11582742:1 gene:DRNTG_12135 transcript:DRNTG_12135.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEEINSCKRSRTGAQASKLHHKNQQQQQQQHQDDDDDDGEHKIENSRVRTWHHTASSRIFRVSRASGGKDRHSKVLTAKGLRDRRVRLSVSTAIQFYDLQDRLGYAQPSQAVEWLIQAASAAISKLPELDSAFPEPLSQNNNSKDVDAQDDTTEQQQQQQHQHHHQQQQQHLSLSKSGGSSTSETSKGSVLSLSRSESRIKARERARERTAKDKDKDNNRGEECHVTAATTHHNNQHHQHQHHHHSLNSQSSFTELLTGGSSNGGNCIQKQQQQQQQQVRQLQPVITTADYFGQAGLFGQSQKNQSQSPSNFSSHNQSSHFGNSSPMTMVPFNIGAPSSGDHQEMQQQFSFLQDHLIPVATSPAGDYSLNFSISPGLAGYNRGTLQSNSPSQLPHHHLQRFAPSAVDGPNIPFLFGTAPSPENQFSSGFDARLQLCYGDGFKHSSDLKGKGKS >DRNTG_12135.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11576145:11579330:1 gene:DRNTG_12135 transcript:DRNTG_12135.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEEINSCKRSRTGAQASKLHHKNQQQQQQQHQDDDDDDGEHKIENSRVRTWHHTASSRIFRVSRASGGKDRHSKVLTAKGLRDRRVRLSVSTAIQFYDLQDRLGYAQPSQAVEWLIQAASAAISKLPELDSAFPEPLSQNNNSKDVDAQDDTTEQQQQQQHQHHHQQQQQHLSLSKSGGSSTSETSKGSVLSLSRSESRIKARERARERTAKDKDKDNNRGEECHVTAATTHHNNQHHQHQHHHHSLNSQSSFTELLTGGSSNGGNCIQKQQQQQQQQVRQLQPVITTADYFGQAGLFGQSQKNQSQSPSNFSSHNQSSHFGNSSPMTMVPFNIGAPSSGDHQEMQQQFSFLQDHLIPVATSPAGDYSLNFSISPGLAGYNRGTLQSNSPSQLPHHHLQRFAPSAVDGPNIPFLFGTAPSPENQFSSGFDARLQLCYGDGFKHSSDLKGKGKS >DRNTG_12135.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11576145:11582742:1 gene:DRNTG_12135 transcript:DRNTG_12135.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEEINSCKRSRTGAQASKLHHKNQQQQQQQHQDDDDDDGEHKIENSRVRTWHHTASSRIFRVSRASGGKDRHSKVLTAKGLRDRRVRLSVSTAIQFYDLQDRLGYAQPSQAVEWLIQAASAAISKLPELDSAFPEPLSQNNNSKDVDAQDDTTEQQQQQQHQHHHQQQQQHLSLSKSGGSSTSETSKGSVLSLSRSESRIKARERARERTAKDKDKDNNRGEECHVTAATTHHNNQHHQHQHHHHSLNSQSSFTELLTGGSSNGGNCIQKQQQQQQQQVRQLQPVITTADYFGQAGLFGQSQKNQSQSPSNFSSHNQSSHFGNSSPMTMVPFNIGAPSSGDHQEMQQQFSFLQDHLIPVATSPAGDYSLNFSISPGLAGYNRGTLQSNSPSQLPHHHLQRFAPSAVDGPNIPFLFGTAPSPENQFSSGFDARLQLCYGDGFKHSSDLKGKGKS >DRNTG_12135.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11576145:11582742:1 gene:DRNTG_12135 transcript:DRNTG_12135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEEINSCKRSRTGAQASKLHHKNQQQQQQQHQDDDDDDGEHKIENSRVRTWHHTASSRIFRVSRASGGKDRHSKVLTAKGLRDRRVRLSVSTAIQFYDLQDRLGYAQPSQAVEWLIQAASAAISKLPELDSAFPEPLSQNNNSKDVDAQDDTTEQQQQQQHQHHHQQQQQHLSLSKSGGSSTSETSKGSVLSLSRSESRIKARERARERTAKDKDKDNNRGEECHVTAATTHHNNQHHQHQHHHHSLNSQSSFTELLTGGSSNGGNCIQKQQQQQQQQVRQLQPVITTADYFGQAGLFGQSQKNQSQSPSNFSSHNQSSHFGNSSPMTMVPFNIGAPSSGDHQEMQQQFSFLQDHLIPVATSPAGDYSLNFSISPGLAGYNRGTLQSNSPSQLPHHHLQRFAPSAVDGPNIPFLFGTAPSPENQFSSGFDARLQLCYGDGFKHSSDLKGKGKS >DRNTG_10656.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:368739:375156:-1 gene:DRNTG_10656 transcript:DRNTG_10656.1 gene_biotype:protein_coding transcript_biotype:protein_coding CCLENLQEDFPREHEASGHNGNFPLWTQIEQLISLQWMRPLYSYKD >DRNTG_13910.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1932432:1936355:-1 gene:DRNTG_13910 transcript:DRNTG_13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDDFADPSFSTVTIQRDLYYGYDTLMENVSDPSHIDFAHHKVTGRRDRAKPLPFKMESSGVSGFAASSDANPRISAEFVAPCYYINKIEIDTKLPIFGDQKWVIWICSFNIPMAPGKTRSIVCSARNFFQFTMPGPAWWQIIPRWHEHWTSNKVYDGDMIVLKGQEKIFLSETLQGSADVNQQYTKITFTPTQADRLVLAFRNWLRRHGKSQPEWFGSTSQLPLPSTILSKQQMLDRYEQHTLKCSSCKGAYEGFQNLQKVLIACAVLFCATTGIPSEIQLRLLLGAAAIVSASLVYLLHELKKNFVFVDYVHAEID >DRNTG_28781.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21676777:21677564:-1 gene:DRNTG_28781 transcript:DRNTG_28781.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAQTSWKLVLAEICERWGLKVFLVRVKFITPDGCKTVCPIENDVDFQRMYYVHSIFKCAEVDLVVKTDNMPLSNPTENEFFSLNSDSASAPVQPHGDPDGVGCLPSSSDHSEVLSLDIGQRFDGVEYFRDVLQNFAIKRNFDFKFIKNERHRVTVECVADGCQWRLHASKEYNKNTFKISTRHTLAMVE >DRNTG_25655.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21712568:21715797:1 gene:DRNTG_25655 transcript:DRNTG_25655.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSALKLTSMVDGCLSSLPTISFTSNTSCFPLLLLPSKRPGFHPLSCSSRRSLPFVSLVAQTSSWAQPKEEEEKEEGALSGLSDWEGEDVGGLFAEGEPEGDDGYMGEEHEVEGEDEGEDEEQSYPPPPADAKLFVGNLPYDVESEQLAQLFEQAGVVEVSEVIFNRKTDQSLGFGFVTMSTVHEAKRAVEMFHRYDYNGRLLTVNKAAPRGSRPVQIRDYEPTFRLYVGNLPWQVDGQRLKEVFGEHGNVIDAKVVSDRETGRSRGFGFVTMGSKEELDGAIAALDGGSLDGRTIRVSVAEERPRRFSY >DRNTG_03568.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5791503:5793439:-1 gene:DRNTG_03568 transcript:DRNTG_03568.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSKLHSIHNSFQLSQLQIFFILLIIILAGTIYFMCRPRPVYLLDYACFKPPATLRVTFSTFMEHASQVDFFDEKSVKFMMRILERSGLGEETCVPPPNHCIPPNPNMESSRSEARTVIFSAIDELFSKSSSIQPKHIDILIVNCSLFSPTPSLSAMIVNKYNLRSNARTFNLSGMGCSAGVISIDLARELLQAHSNSIALVISTEIITPNYYAGTERAMLLPNCLFRVGAAAVLLSNRRRDSVLAKYRLMHLVRTNKGTDDKAYRCVYEEEDSKGNVGISLSKDLMAIAGATLKSNITTIGPLVLPASEQLLFLLALARRKLINPKCKPYIPDFKQAFDHFCIHAGGRAVIDELEKNLQLSSGHVEASRMTLHRFGNTSSSSLWYELSYIEAKGRMKRGDRVWMIGFGSGFKCNSMVWKSIRTVKTPVTGPWSDCIHRYPVHIPEVVKLY >DRNTG_03568.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5791397:5793301:-1 gene:DRNTG_03568 transcript:DRNTG_03568.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSKLHSIHNSFQLSQLQIFFILLIIILAGTIYFMCRPRPVYLLDYACFKPPATLRVTFSTFMEHASQVDFFDEKSVKFMMRILERSGLGEETCVPPPNHCIPPNPNMESSRSEARTVIFSAIDELFSKSSSIQPKHIDILIVNCSLFSPTPSLSAMIVNKYNLRSNARTFNLSGMGCSAGVISIDLARELLQAHSNSIALVISTEIITPNYYAGTERAMLLPNCLFRVGAAAVLLSNRRRDSVLAKYRLMHLVRTNKGTDDKAYRCVYEEEDSKGNVGISLSKDLMAIAGATLKSNITTIGPLVLPASEQLLFLLALARRKLINPKCKPYIPDFKQAFDHFCIHAGGRAVIDELEKNLQLSSGHVEASRMTLHRFGNTSSSSLWYELSYIEAKGRMKRGDRVWMIGFGSGFKCNSMVWKSIRTVKTPVTGPWSDCIHRYPVHIPEVVKLY >DRNTG_03568.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5791503:5793301:-1 gene:DRNTG_03568 transcript:DRNTG_03568.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSKLHSIHNSFQLSQLQIFFILLIIILAGTIYFMCRPRPVYLLDYACFKPPATLRVTFSTFMEHASQVDFFDEKSVKFMMRILERSGLGEETCVPPPNHCIPPNPNMESSRSEARTVIFSAIDELFSKSSSIQPKHIDILIVNCSLFSPTPSLSAMIVNKYNLRSNARTFNLSGMGCSAGVISIDLARELLQAHSNSIALVISTEIITPNYYAGTERAMLLPNCLFRVGAAAVLLSNRRRDSVLAKYRLMHLVRTNKGTDDKAYRCVYEEEDSKGNVGISLSKDLMAIAGATLKSNITTIGPLVLPASEQLLFLLALARRKLINPKCKPYIPDFKQAFDHFCIHAGGRAVIDELEKNLQLSSGHVEASRMTLHRFGNTSSSSLWYELSYIEAKGRMKRGDRVWMIGFGSGFKCNSMVWKSIRTVKTPVTGPWSDCIHRYPVHIPEVVKLY >DRNTG_03568.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5791397:5793439:-1 gene:DRNTG_03568 transcript:DRNTG_03568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSKLHSIHNSFQLSQLQIFFILLIIILAGTIYFMCRPRPVYLLDYACFKPPATLRVTFSTFMEHASQVDFFDEKSVKFMMRILERSGLGEETCVPPPNHCIPPNPNMESSRSEARTVIFSAIDELFSKSSSIQPKHIDILIVNCSLFSPTPSLSAMIVNKYNLRSNARTFNLSGMGCSAGVISIDLARELLQAHSNSIALVISTEIITPNYYAGTERAMLLPNCLFRVGAAAVLLSNRRRDSVLAKYRLMHLVRTNKGTDDKAYRCVYEEEDSKGNVGISLSKDLMAIAGATLKSNITTIGPLVLPASEQLLFLLALARRKLINPKCKPYIPDFKQAFDHFCIHAGGRAVIDELEKNLQLSSGHVEASRMTLHRFGNTSSSSLWYELSYIEAKGRMKRGDRVWMIGFGSGFKCNSMVWKSIRTVKTPVTGPWSDCIHRYPVHIPEVVKLY >DRNTG_17748.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4206424:4209062:1 gene:DRNTG_17748 transcript:DRNTG_17748.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSSIWIYGVLLVFSVLLHPGGSFYLPGSYPYKYSVGELLSVKVNSLTSIETEMPFSYYSLPFCTPGEGVKDSAENLGELLMGDRIETSPYRFKMFTNESDILLCRTDPLNAESLSLLKKRIDEMYQVNLILDNLPAIRYTKKDEFMLRWTGYPVGIRVGDSYYLFNHLKFTVLVHKYEETNVARVMGTGDAVDVIPTLDKAGSGPPGYMVVGFEVVPCSFQHDAESVKDAKMYSQYPAKIQCDPTTVAMVVKENQPLVFTYEVSFVESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVIAFLAGIVLVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFRAPTNPSLLCIMVGDGVQILGMAVVTILFAALGFMSPASRGTLITGMLFFYLVLGIAAGYVAVRLWKTLRCGDHSGWVSVSWRVACFFPGIAFLILTTLNFLLWGSQSTGAIPIYLFIILLLLWFCISVPLTLIGGFFGARAAHIEYPVRTNQIPREIPPQKYPSWLLVLGAGTLPFGTLFIELFFIMSSLWMGRVYYVFGFLFIVLILLVIVCAEVSLVLTYMHLCVEDWRWWWKAFFSSGSVAIYIFLYSANYLVFDLKSLSGPVSATLYLGYSLLMVLAIMFATGTVGFMSSFCCRSKGKKRENSLIVYPLDEETKPIVTSFFTCFLKHLP >DRNTG_15965.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5087084:5088738:-1 gene:DRNTG_15965 transcript:DRNTG_15965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIGDIAKPDPLTLGKLVYNVLGLSMNHCMFDGLGAMEFVNSWGETARGLPLAVPPFIDRTVLKSRNPPVISYPHHEFAEIKDISNMAALYQEEMLYRSFCFDPDKLKELKLKAMEDATLTKCTTFEALSAFVWRARTQALKLKPEQETKLLFAVDGRSRFNPPLPKGYFGNGIVLTNSICQAGELVGNPLSYSVRLVQNAVSMVTDDYMRSAMDFFEATRARPSLNATLLITTWSRLSFHTTDFGWGEPVQSGPVALPEKEVILFLSHGEERKSINVLLGLPASAMLAFQELMKI >DRNTG_11929.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:956915:963678:1 gene:DRNTG_11929 transcript:DRNTG_11929.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICGSYYSNATVHRHGSTITVHMRPVCGAHFPVNLTGESMRAQACPGHVTNLITGATQVINFTGTINRTHNGVVTVAGDAFHPMTPDLGQGGCTALEDAVVLARCMANSPDSMSSGMEMYVKEMRFVQPRIANIVHYDCGVLPEKTC >DRNTG_01134.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5250425:5251392:1 gene:DRNTG_01134 transcript:DRNTG_01134.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVLSLTFRRRRAISAIIPVSNVFRLVSHPSSCIQYSSNRWCRRSPVFNGFKLVSRPSGYTSFSANRWWRRFNPKNPRNWLPPPRHCFILVAFGAGALMVFGNIETVPCTERSHFLLIGPALERLLGDFGCQVTKEFESNFILPENDIRAITILGIYGNIMRALNRNIQLKKSTKSQTSHLKELEWEVTVLKNTDSNAECLPNGCIFVNMGVLLNRNEDFVAANVNEDFVAAVIAHEIAHVVARHSAEKLTRSICGMTILVGLIPSLIVSPWFTIPVVVCSYPWRWLTSYLERR >DRNTG_24333.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:335362:338144:-1 gene:DRNTG_24333 transcript:DRNTG_24333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTSEKLWSYIQGFAQFKYQFELSDEARLGVKKITVVRNLCQKVGIKIAARKYDLEAAAPFQISDILDLQPVVKHSVPVCSEARDLMDTGKARLAEGMLSEAYVLFSEAFALLQQVTGPMHREVASCCRYLAMVLYHAGDIAGAIMQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRTLLLLSLSSGPDHPDVAATFINVAMMYQDIGKMDTALRYLQEALKKNERLLGSEHIQTAVCYHALAIAFNCMRAYKLSLQNEKKTYDILVKQLGEEDSRTRDSENWIKTFQLREQQANVQKQKGQAVNAASAQEAVDFLRAHPELVQAFQAASLGSGKANRSSVNRSINSSMINEALPRGRGVDERAARAAAQVRKKAAARGHQMRPNAVPTQALPQLAHLLNIINPGASTTQAQPNEHDKEPSMGSGSGSTSNGTAPTNESVDSAPVGLGTGLASLDSKKQKLKTKG >DRNTG_10524.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6991215:6992452:-1 gene:DRNTG_10524 transcript:DRNTG_10524.1 gene_biotype:protein_coding transcript_biotype:protein_coding GPTTAVGGYTTSSPSKLNPCQALSGRLDGEQSFL >DRNTG_06747.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13861561:13876799:-1 gene:DRNTG_06747 transcript:DRNTG_06747.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPECLCYVFHYMAYDLYGFLSGSMNLKTGEKVVPAYGGEPESFLKNVVTPIYGVILEEALKSKNGTSDHSTWRNYDDLNEYFWSVDCFKLGWPIKLDADFFHTLYSEDGIQKSQAAINEQNEEQEVQKWLGKTNFVEIRSFWHLFRSFDRMWTFFTLVLQAMIIVACHDLETPVQLLDSVIFNDILSIFITNAFLRFIQVTFDIVFTWKARKTMSFNQKLRFVVKFVIAATWTITLPVSYASSTRNCSETSFDDFCLSTYIITVGIYLASNVIGSAFFLVPAVTNYIETSNWCVCKFLSWYAQPQLYIGRGMQESQVSLLKYTIFWLILLSSKILFSYHFEIKPVVESTKQIMKISVNNYEWHELFPRVKHNAGAILAVWAPIILVYFMDTQIWYAIFCTIFGGFYGIFHHLGEIRTMGMVKSRFHTLPSVFSINLVPPTSHKQRKKNLTNFLKNKIFKDSEIGSSDLVKFALVWNNIISSFRYEDLISNKHEF >DRNTG_06747.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13857767:13877043:-1 gene:DRNTG_06747 transcript:DRNTG_06747.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLFENEQAQRDDVIIVLQDILELLIKDVMNHGNKILDLINGAQIFEEPDVDKLIQYPPDLFTSNVSDPVICFPLTYASTLKEKIQRLFLLLTVKDTAMNIPTNLEARRRISFFATSLFMDMPAAPKIRNMLSFSVMTPHYMEEVKFSEDELHSSQDGASILSYMQKIYPDEWKNFLERCDSSASNEEEIRYWASFRGQTLSRTVRGMMYYMKALRWQAFLDMASDQDIIDRRNDVEREHSKKSNRQTLAAQLDALVNMKFTYVISCQKYGEQKASGAPHAKDIIDLMTRYPYLRVAYIEEKEEMINKMSRKVYFSVLIKAENNLDQEVYRIKLPGPPIIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEETFKMRNVLQEFLGHNGDQPPTIIGLREHIFTGSVSSLAGFMSSQETSFVTIGQRFLTDPLRVRFHYGHPDLFDRVFHLTRGGISKASKTINLSEDVFAGFNSTLRQGYVTYKEYMQVGKGRDVGLNQISKFEAKVANGNSEQILSRDIYRLGRRFDFFRMLSCYFTTVGFYVNSLISILGVYVFLYGQLYLVLSGVEKALINEARMQNIKSLETALASQSFLQLGLLTGLPMFMELGLEKGFRMAVSDFILMQLQLASVFFTFSLGTKSHYFGRTILHGGAKYRPTGRKFVVFHSSFSENYQLYSRSHFVKGFEMLFLLTVYNMFRRSYQSSMAYLFATYSIWFMTFTWLFAPFLFNPSGFAWHKTVEDWKDWNKWMNNQGGIGVQPDKSWESWWNEEQAHLRHSGLTSQILEMLLSLRFFIYQYGLVYHLDIAQENKNFLVYVLSWVVIVAIFLLVKAVSKGRERLSTRFHLLFRLFKVLIFLSILTCIITLSNICKLSLTDLLICCLAFIPTGWGLLLISQVLRFKLEQYWIWESIQVIAQAYDYVMGSLIFAPVAALSWMPVISAIQTRVLFNQAFNRQLQIQPILAWKSKKK >DRNTG_06747.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13857767:13863962:-1 gene:DRNTG_06747 transcript:DRNTG_06747.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLFENEQAQRDDVIIVLQDILELLIKDVMNHGNKILDLINGAQIFEEPDVDKLIQYPPDLFTSNVSDPVICFPLTYASTLKEKIQRLFLLLTVKDTAMNIPTNLEARRRISFFATSLFMDMPAAPKIRNMLSFSVMTPHYMEEVKFSEDELHSSQDGASILSYMQKIYPDEWKNFLERCDSSASNEEEIRYWASFRGQTLSRTVRGMMYYMKALRWQAFLDMASDQDIIDRRNDVEREHSKKSNRQTLAAQLDALVNMKFTYVISCQKYGEQKASGAPHAKDIIDLMTRYPYLRVAYIEEKEEMINKMSRKVYFSVLIKAENNLDQEVYRIKLPGPPIIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEETFKMRNVLQEFLGHNGDQPPTIIGLREHIFTGSVSSLAGFMSSQETSFVTIGQRFLTDPLRVRFHYGHPDLFDRVFHLTRGGISKASKTINLSEDVFAGFNSTLRQGYVTYKEYMQVGKGRDVGLNQISKFEAKVANGNSEQILSRDIYRLGRRFDFFRMLSCYFTTVGFYVNSLISILGVYVFLYGQLYLVLSGVEKALINEARMQNIKSLETALASQSFLQLGLLTGLPMFMELGLEKGFRMAVSDFILMQLQLASVFFTFSLGTKSHYFGRTILHGGAKYRPTGRKFVVFHSSFSENYQLYSRSHFVKGFEMLFLLTVYNMFRRSYQSSMAYLFATYSIWFMTFTWLFAPFLFNPSGFAWHKTVEDWKDWNKWMNNQGGIGVQPDKSWESWWNEEQAHLRHSGLTSQILEMLLSLRFFIYQYGLVYHLDIAQENKNFLVYVLSWVVIVAIFLLVKAVSKGRERLSTRFHLLFRLFKVLIFLSILTCIITLSNICKLSLTDLLICCLAFIPTGWGLLLISQVLRFKLEQYWIWESIQVIAQAYDYVMGSLIFAPVAALSWMPVISAIQTRVLFNQAFNRQLQIQPILAWKSKKK >DRNTG_06747.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13857767:13868028:-1 gene:DRNTG_06747 transcript:DRNTG_06747.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHYMEEVKFSEDELHSSQDGASILSYMQKIYPDEWKNFLERCDSSASNEEEIRYWASFRGQTLSRTVRGMMYYMKALRWQAFLDMASDQDIIDRRNDVEREHSKKSNRQTLAAQLDALVNMKFTYVISCQKYGEQKASGAPHAKDIIDLMTRYPYLRVAYIEEKEEMINKMSRKVYFSVLIKAENNLDQEVYRIKLPGPPIIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEETFKMRNVLQEFLGHNGDQPPTIIGLREHIFTGSVSSLAGFMSSQETSFVTIGQRFLTDPLRVRFHYGHPDLFDRVFHLTRGGISKASKTINLSEDVFAGFNSTLRQGYVTYKEYMQVGKGRDVGLNQISKFEAKVANGNSEQILSRDIYRLGRRFDFFRMLSCYFTTVGFYVNSLISILGVYVFLYGQLYLVLSGVEKALINEARMQNIKSLETALASQSFLQLGLLTGLPMFMELGLEKGFRMAVSDFILMQLQLASVFFTFSLGTKSHYFGRTILHGGAKYRPTGRKFVVFHSSFSENYQLYSRSHFVKGFEMLFLLTVYNMFRRSYQSSMAYLFATYSIWFMTFTWLFAPFLFNPSGFAWHKTVEDWKDWNKWMNNQGGIGVQPDKSWESWWNEEQAHLRHSGLTSQILEMLLSLRFFIYQYGLVYHLDIAQENKNFLVYVLSWVVIVAIFLLVKAVSKGRERLSTRFHLLFRLFKVLIFLSILTCIITLSNICKLSLTDLLICCLAFIPTGWGLLLISQVLRFKLEQYWIWESIQVIAQAYDYVMGSLIFAPVAALSWMPVISAIQTRVLFNQAFNRQLQIQPILAWKSKKK >DRNTG_06747.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13857767:13889076:-1 gene:DRNTG_06747 transcript:DRNTG_06747.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLSADMSSSCARWPLFLLATKFSTAINMGRDFVGTYEQLLRKIEKDKYMYSAIQECYHSLKYILNALVVGELEKRVIDEVFSEVEKSIESCSLLKDFNLHELPALHDKLILLVKMLFENEQAQRDDVIIVLQDILELLIKDVMNHGNKILDLINGAQIFEEPDVDKLIQYPPDLFTSNVSDPVICFPLTYASTLKEKIQRLFLLLTVKDTAMNIPTNLEARRRISFFATSLFMDMPAAPKIRNMLSFSVMTPHYMEEVKFSEDELHSSQDGASILSYMQKIYPDEWKNFLERCDSSASNEEEIRYWASFRGQTLSRTVRGMMYYMKALRWQAFLDMASDQDIIDRRNDVEREHSKKSNRQTLAAQLDALVNMKFTYVISCQKYGEQKASGAPHAKDIIDLMTRYPYLRVAYIEEKEEMINKMSRKVYFSVLIKAENNLDQEVYRIKLPGPPIIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEETFKMRNVLQEFLGHNGDQPPTIIGLREHIFTGSVSSLAGFMSSQETSFVTIGQRFLTDPLRVRFHYGHPDLFDRVFHLTRGGISKASKTINLSEDVFAGFNSTLRQGYVTYKEYMQVGKGRDVGLNQISKFEAKVANGNSEQILSRDIYRLGRRFDFFRMLSCYFTTVGFYVNSLISILGVYVFLYGQLYLVLSGVEKALINEARMQNIKSLETALASQSFLQLGLLTGLPMFMELGLEKGFRMAVSDFILMQLQLASVFFTFSLGTKSHYFGRTILHGGAKYRPTGRKFVVFHSSFSENYQLYSRSHFVKGFEMLFLLTVYNMFRRSYQSSMAYLFATYSIWFMTFTWLFAPFLFNPSGFAWHKTVEDWKDWNKWMNNQGGIGVQPDKSWESWWNEEQAHLRHSGLTSQILEMLLSLRFFIYQYGLVYHLDIAQENKNFLVYVLSWVVIVAIFLLVKAVSKGRERLSTRFHLLFRLFKVLIFLSILTCIITLSNICKLSLTDLLICCLAFIPTGWGLLLISQVLRFKLEQYWIWESIQVIAQAYDYVMGSLIFAPVAALSWMPVISAIQTRVLFNQAFNRQLQIQPILAWKSKKK >DRNTG_06747.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13857767:13889076:-1 gene:DRNTG_06747 transcript:DRNTG_06747.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFENEQAQRDDVIIVLQDILELLIKDVMNHGNKILDLINGAQIFEEPDVDKLIQYPPDLFTSNVSDPVICFPLTYASTLKEKIQRLFLLLTVKDTAMNIPTNLEARRRISFFATSLFMDMPAAPKIRNMLSFSVMTPHYMEEVKFSEDELHSSQDGASILSYMQKIYPDEWKNFLERCDSSASNEEEIRYWASFRGQTLSRTVRGMMYYMKALRWQAFLDMASDQDIIDRRNDVEREHSKKSNRQTLAAQLDALVNMKFTYVISCQKYGEQKASGAPHAKDIIDLMTRYPYLRVAYIEEKEEMINKMSRKVYFSVLIKAENNLDQEVYRIKLPGPPIIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEETFKMRNVLQEFLGHNGDQPPTIIGLREHIFTGSVSSLAGFMSSQETSFVTIGQRFLTDPLRVRFHYGHPDLFDRVFHLTRGGISKASKTINLSEDVFAGFNSTLRQGYVTYKEYMQVGKGRDVGLNQISKFEAKVANGNSEQILSRDIYRLGRRFDFFRMLSCYFTTVGFYVNSLISILGVYVFLYGQLYLVLSGVEKALINEARMQNIKSLETALASQSFLQLGLLTGLPMFMELGLEKGFRMAVSDFILMQLQLASVFFTFSLGTKSHYFGRTILHGGAKYRPTGRKFVVFHSSFSENYQLYSRSHFVKGFEMLFLLTVYNMFRRSYQSSMAYLFATYSIWFMTFTWLFAPFLFNPSGFAWHKTVEDWKDWNKWMNNQGGIGVQPDKSWESWWNEEQAHLRHSGLTSQILEMLLSLRFFIYQYGLVYHLDIAQENKNFLVYVLSWVVIVAIFLLVKAVSKGRERLSTRFHLLFRLFKVLIFLSILTCIITLSNICKLSLTDLLICCLAFIPTGWGLLLISQVLRFKLEQYWIWESIQVIAQAYDYVMGSLIFAPVAALSWMPVISAIQTRVLFNQAFNRQLQIQPILAWKSKKK >DRNTG_06747.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13857767:13861490:-1 gene:DRNTG_06747 transcript:DRNTG_06747.9 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGKGRDVGLNQISKFEAKVANGNSEQILSRDIYRLGRRFDFFRMLSCYFTTVGFYVNSLISILGVYVFLYGQLYLVLSGVEKALINEARMQNIKSLETALASQSFLQLGLLTGLPMFMELGLEKGFRMAVSDFILMQLQLASVFFTFSLGTKSHYFGRTILHGGAKYRPTGRKFVVFHSSFSENYQLYSRSHFVKGFEMLFLLTVYNMFRRSYQSSMAYLFATYSIWFMTFTWLFAPFLFNPSGFAWHKTVEDWKDWNKWMNNQGGIGVQPDKSWESWWNEEQAHLRHSGLTSQILEMLLSLRFFIYQYGLVYHLDIAQENKNFLVYVLSWVVIVAIFLLVKAVSKGRERLSTRFHLLFRLFKVLIFLSILTCIITLSNICKLSLTDLLICCLAFIPTGWGLLLISQVLRFKLEQYWIWESIQVIAQAYDYVMGSLIFAPVAALSWMPVISAIQTRVLFNQAFNRQLQIQPILAWKSKKK >DRNTG_06747.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13857767:13884290:-1 gene:DRNTG_06747 transcript:DRNTG_06747.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCARWPLFLLATKFSTAINMGRDFVGTYEQLLRKIEKDKYMYSAIQECYHSLKYILNALVVGELEKRVIDEVFSEVEKSIESCSLLKDFNLHELPALHDKLILLVKMLFENEQAQRDDVIIVLQDILELLIKDVMNHGNKILDLINGAQIFEEPDVDKLIQYPPDLFTSNVSDPVICFPLTYASTLKEKIQRLFLLLTVKDTAMNIPTNLEARRRISFFATSLFMDMPAAPKIRNMLSFSVMTPHYMEEVKFSEDELHSSQDGASILSYMQKIYPDEWKNFLERCDSSASNEEEIRYWASFRGQTLSRTVRGMMYYMKALRWQAFLDMASDQDIIDRRNDVEREHSKKSNRQTLAAQLDALVNMKFTYVISCQKYGEQKASGAPHAKDIIDLMTRYPYLRVAYIEEKEEMINKMSRKVYFSVLIKAENNLDQEVYRIKLPGPPIIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEETFKMRNVLQEFLGHNGDQPPTIIGLREHIFTGSVSSLAGFMSSQETSFVTIGQRFLTDPLRVRFHYGHPDLFDRVFHLTRGGISKASKTINLSEDVFAGFNSTLRQGYVTYKEYMQVGKGRDVGLNQISKFEAKVANGNSEQILSRDIYRLGRRFDFFRMLSCYFTTVGFYVNSLISILGVYVFLYGQLYLVLSGVEKALINEARMQNIKSLETALASQSFLQLGLLTGLPMFMELGLEKGFRMAVSDFILMQLQLASVFFTFSLGTKSHYFGRTILHGGAKYRPTGRKFVVFHSSFSENYQLYSRSHFVKGFEMLFLLTVYNMFRRSYQSSMAYLFATYSIWFMTFTWLFAPFLFNPSGFAWHKTVEDWKDWNKWMNNQGGIGVQPDKSWESWWNEEQAHLRHSGLTSQILEMLLSLRFFIYQYGLVYHLDIAQENKNFLVYVLSWVVIVAIFLLVKAVSKGRERLSTRFHLLFRLFKVLIFLSILTCIITLSNICKLSLTDLLICCLAFIPTGWGLLLISQVLRFKLEQYWIWESIQVIAQAYDYVMGSLIFAPVAALSWMPVISAIQTRVLFNQAFNRQLQIQPILAWKSKKK >DRNTG_06747.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13857767:13860900:-1 gene:DRNTG_06747 transcript:DRNTG_06747.10 gene_biotype:protein_coding transcript_biotype:protein_coding MNQDNYLEETFKMRNVLQEFLGHNGDQPPTIIGLREHIFTGSVSSLAGFMSSQETSFVTIGQRFLTDPLRVRFHYGHPDLFDRVFHLTRGGISKASKTINLSEDVFAGFNSTLRQGYVTYKEYMQVGKGRDVGLNQISKFEAKVANGNSEQILSRDIYRLGRRFDFFRMLSCYFTTVGFYVNSLISILGVYVFLYGQLYLVLSGVEKALINEARMQNIKSLETALASQSFLQLGLLTGLPMFMELGLEKGFRMAVSDFILMQLQLASVFFTFSLGTKSHYFGRTILHGGAKYRPTGRKFVVFHSSFSENYQLYSRSHFVKGFEMLFLLTVYNMFRRSYQSSMAYLFATYSIWFMTFTWLFAPFLFNPSGFAWHKTVEDWKDWNKWMNNQGGIGVQPDKSWESWWNEEQAHLRHSGLTSQILEMLLSLRFFIYQYGLVYHLDIAQENKNFLVYVLSWVVIVAIFLLVKAVSKGRERLSTRFHLLFRLFKVLIFLSILTCIITLSNICKLSLTDLLICCLAFIPTGWGLLLISQVLRFKLEQYWIWESIQVIAQAYDYVMGSLIFAPVAALSWMPVISAIQTRVLFNQAFNRQLQIQPILAWKSKKK >DRNTG_06747.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13857767:13875510:-1 gene:DRNTG_06747 transcript:DRNTG_06747.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLFENEQAQRDDVIIVLQDILELLIKDVMNHGNKILDLINGAQIFEEPDVDKLIQYPPDLFTSNVSDPVICFPLTYASTLKEKIQRLFLLLTVKDTAMNIPTNLEARRRISFFATSLFMDMPAAPKIRNMLSFSVMTPHYMEEVKFSEDELHSSQDGASILSYMQKIYPDEWKNFLERCDSSASNEEEIRYWASFRGQTLSRTVRGMMYYMKALRWQAFLDMASDQDIIDRRNDVEREHSKKSNRQTLAAQLDALVNMKFTYVISCQKYGEQKASGAPHAKDIIDLMTRYPYLRVAYIEEKEEMINKMSRKVYFSVLIKAENNLDQEVYRIKLPGPPIIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEETFKMRNVLQEFLGHNGDQPPTIIGLREHIFTGSVSSLAGFMSSQETSFVTIGQRFLTDPLRVRFHYGHPDLFDRVFHLTRGGISKASKTINLSEDVFAGFNSTLRQGYVTYKEYMQVGKGRDVGLNQISKFEAKVANGNSEQILSRDIYRLGRRFDFFRMLSCYFTTVGFYVNSLISILGVYVFLYGQLYLVLSGVEKALINEARMQNIKSLETALASQSFLQLGLLTGLPMFMELGLEKGFRMAVSDFILMQLQLASVFFTFSLGTKSHYFGRTILHGGAKYRPTGRKFVVFHSSFSENYQLYSRSHFVKGFEMLFLLTVYNMFRRSYQSSMAYLFATYSIWFMTFTWLFAPFLFNPSGFAWHKTVEDWKDWNKWMNNQGGIGVQPDKSWESWWNEEQAHLRHSGLTSQILEMLLSLRFFIYQYGLVYHLDIAQENKNFLVYVLSWVVIVAIFLLVKAVSKGRERLSTRFHLLFRLFKVLIFLSILTCIITLSNICKLSLTDLLICCLAFIPTGWGLLLISQVLRFKLEQYWIWESIQVIAQAYDYVMGSLIFAPVAALSWMPVISAIQTRVLFNQAFNRQLQIQPILAWKSKKK >DRNTG_29854.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23115140:23116079:1 gene:DRNTG_29854 transcript:DRNTG_29854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTSPRLPSFCLNRVATRVRVRSPPVETKPISLTEHESSSNADSGHDEKQSTMAIGRRIMIVVDSSQEAKTALLWALTHAVQNNDTVVLFDVAKPSKHGDQSQKDINPKIYNPFCAMKNICQSKRPEVHVELCLVEGKERGPTIVEEAKKQGVSLLVLGQKKRSVTWRLLMMWAGGRVAGGGSGSVVEYVIQNASCMTLAVRKKSKRGGGYLITTRRHKDFWLLA >DRNTG_08257.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17636191:17664839:-1 gene:DRNTG_08257 transcript:DRNTG_08257.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCD1 [Source:Projected from Arabidopsis thaliana (AT1G49040) UniProtKB/TrEMBL;Acc:A0A178WQS8] MASSSRIFEYFVVCGLGPEIRSLDMTKGFQGTGVMYMPSFLDQYPASNHSIYPPPPPQLPTCVLPAGIEIYSSGLDSNDLSTYPRSYPIVLTEGDGSKIYVSCIAFRDPICEDIAEAYRIPENSYADKCICLVSHSPSFQVLRDALEELFILCFSPTGSSKPLWEVIAYMVSNVPLPTPGKDRVMFAIENCLLSAEAPSKERLPHADISFQPLVQCLDVDNLIRLFTAVLLERRILLRSNKYTLLTLVSEAICHLIYPFRWQHVYIPLLFFSGVDYIDAPTPYMMGLHSDVDTSGLAIDGVVVVDLEHNSITTSEDIPSIPDPEFSFLRGEILKLLHPNVVGIDHMKINFGVISEQYAGCGRKPWGGEHDFQLRLIFLRFFASFLSGYRNFIEISATNVFNSQAFVKKRSRSTNQPIDSMTMITQFLDSQGFLDYLERCINSDENSNNLLDKLQDATGRGQNPTSIFPSALVEPEVITIAETDADDGGASHGEVAKYCYDRFPSNIRTEEQEEKRRSILTSVSGSGRHTISSPSVPNGMDPKAESLSPRERAAERERMVLDIKVKLQGLWLRLLRLGASEDPLSSFEYGTILALIESDAEGIGGSGFVECIREHIHSGWQCRLTDEQFIAVKELLKTAINRAISRNDMMTVRDALEVSAEMYRKDSSNVPDYVQRHLYSLSLWDELRFWDGYFEYLMDRSSNKLANYVSLVTAQLYLMAGHMVRLGLSDTDAWHIIETIAEKNNLGYKQLIKLRGLLSHMQQLRVGYWGPTGKAQSSLTYGLPSPRSQDAVDDSQQPAEASGLGRSWVQSMFSRDRSIRSNSFSRVRKWTSDGDGQATPEVGASPRKTELPTSGQKKLQSNVRVLKGHTGAVTTLHCVTRREVWDLFGDREDAGFFISGSTDCTVKIWDPSLRGSELRATLIGHSRPIRAISSDRSKVVSGSDDQTVIVWDKQTSQLLEELKGHNGPVSCVRMLSGERVLTASHDGTVKMWDVRTDTCVATVGRFSNAVLCMEYDDTTGILAAAGRDVVANIWDIRAGRQMQRLQGHTKWIRSIRMAGDTILTGSDDWTARMWSASRGTCDAVLACHAGPILCVEYSMSDKGIFTGSADGLIRFWEAEEGGIKCVRNLTLHSASILSINAAEHWLGVGAADNSMSLFHRPQERLGSFSSTGSKMAGWQLYRTPQRTVAMVRCVASDLDRKRICTGGRNGMLRLWEATINI >DRNTG_29385.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:481837:484320:-1 gene:DRNTG_29385 transcript:DRNTG_29385.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKGETSRPDLEKGPNPCSNGEEESEESHNSSSDAEEQSWHSPYNTKVTGSHYDQFRVSNVSSHDTDPPPETCRNSCFSECSLEVDLEDCPASEIKVNLAKVDEKDCRICQLGLQSASHESGIAIELGCSCKDDLAAAHKQCAETWFKIKGNKTCEICGSIAKNVIGTGEAEFIEQWNETNNAAPAASPASETRTFWRGHRFLNFLLACMVFAFVISWLFHFNVPG >DRNTG_29385.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:481837:484320:-1 gene:DRNTG_29385 transcript:DRNTG_29385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKGETSRPDLEKGPNPCSNGEEESEESHNSSSDAEEQSWHSPYNTKVTGSHYDQFRVSNVSSHDTDPPPETCRNSCFSECSLEVDLEDCPASEIKVNLAKVDEKDCRICQLGLQSASHESGIAIELGCSCKDDLAAAHKQCAETWFKIKGNKTCEICGSIAKNVIGTGEAEFIEQWNETNNAAPAASPASETRTFWRGHRFLNFLLACMVFAFVISWLFHFNVPG >DRNTG_17720.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4031096:4076767:-1 gene:DRNTG_17720 transcript:DRNTG_17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLPPPTEMMYTWLAATPSTTQRSQGHSYHHSPARGQSSNPHGKTK >DRNTG_17720.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4031096:4031403:-1 gene:DRNTG_17720 transcript:DRNTG_17720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLPPPTEMMYTWLAATPSTTQRSQGHSYHHSPARGQSSNPHGKTK >DRNTG_19513.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2873331:2873936:-1 gene:DRNTG_19513 transcript:DRNTG_19513.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRLPWKEAEKLLPFYKANDQEISQEKDSITKDLRRRKYMADVRVMFSQHLDSNTLKRQKKILARLALTTASSIFDATHFVADKFARTRNMLEAMALGRSVVTHQWLESCGQANCLINEKNYIVRDLKKEKQFCFSMPVSLAYA >DRNTG_09623.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:22003792:22008240:1 gene:DRNTG_09623 transcript:DRNTG_09623.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oligopeptide transporter [Source:Projected from Arabidopsis thaliana (AT4G16370) TAIR;Acc:AT4G16370] MASKAPSEETERCPIEEVALVVPETDDPSLPVMTFRAWSLGITSCVLLIFLNTFFTFRTQPLTISAILMQIAVLPIGRFMAATLPNRQVTLFRIWTFNLNPGPFNIKEHVIITIFANCGVSIGGGDAYSIGAITVMKAYYKQTLSFLCGILIVLTTQILGYGWAGMLRRYLVEPVEMWWPSNLAQVSLFRALHEKDAKSKGPTRMQFFLIFFVASFAYYALPGYLFPMLTFFSWVCLVWPHSITAQQIGSGYHGLGVGAFTLDWAGISAYHGSPLVTPFSSILNVAVGFIMFIYIIVPLCYWKFDTFDARKFPIFSNQLFTTTGQKYDTTKILTPDFDLNVAAYENYGKLYLSPLFALSIGSGFARFTATITHVLLFHGSDIWKQSKSAMSSVKLDIHAKLMRNYKQVPQWWFLILLAGSIIFSLLMSFIWKEDVQLPWWGMLFAFGLAWVVTLPIGVIQATTNQQPGYDIIAQFMIGYVLPGKPIANLLFKIYGRISTIHALAFLSDLKLGHYMKIPPRCMYTAQLVGTVIAGIVNLGVAWWMLDSIENICDVESLHPDSPWTCPKYRVTFDASVIWGLVGPGRLFGHGGLYRNLVWLFLIGAVLPVPVWVLSKIFPDKKWIPLINVPVISYGFAGMPPATPTNIASWLITGTIFNYFVFKYRKNWWQKYNYVLSASLDAGTAFMGVLLFFALQNENRNLHWWGASEVLDHCTLASCPTAPGIAVKGCPVF >DRNTG_28098.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1552210:1553685:1 gene:DRNTG_28098 transcript:DRNTG_28098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKETLVERLANVMEKKAIMVLGVKIELQKLWQRMARIGNMLKDAENQALVLGYVVAFTCCLVIVVCNFAVRLLKKIKNLNVKLQEISEDQNKFNFITSSNSSDAYSMNEAPYGQSSSLSEPDIVGSDVRDATKSLVELLVSQPE >DRNTG_05602.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1102944:1104140:1 gene:DRNTG_05602 transcript:DRNTG_05602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHHLLPLILSLILLIRPSSSSSGETPALFTDPTQILFSGQSLIQDDLTLSLYSDCSLILYKAGSQVVDFGTSTSTSYCALLVSEGGQLQLIPDSERTPTQTIGKETYSANYALLFVNGKLGLFGPAIWNNGVKLPTLSNSHKLTLNHKKLKAGSADNFLVSNGVVTGSANGDVVIAQNGDVSAVITPYCKLIVRNDASGESIWQTKPSSAAPVECFLKLTYNGLLLLQGYNDSGLFTQWTGGYEAREGTYVCLLRYFGRITLYRLKTWLYDGSSSSSAAAAAVVAKKIKMVTA >DRNTG_10464.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5440997:5442927:1 gene:DRNTG_10464 transcript:DRNTG_10464.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGASSWVSQEELKRTLPDDDQHVHSLTSASCY >DRNTG_13909.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1928311:1930690:-1 gene:DRNTG_13909 transcript:DRNTG_13909.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGSSGSMIIPSDAMSIGSSSSLLRCALCWWSVWMRSPMAAAFASCLADWRARQLWLLRMRNSQESFDFNFMGNAASMVNRISCNKRLSSNSSSQQLTTDTTIEVIIEIPFAFRAIPVGAIESAGTQVLEQLLRLMLPRFLRQVQWF >DRNTG_13909.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1928311:1930690:-1 gene:DRNTG_13909 transcript:DRNTG_13909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNSSLVRFISAPIAPSRRPLFVRASASSSAVPRARFSARSSESTTVQQLQRPLAEYMSLPASQYSVLDAERIERVDDNTFRCYVYRFKFFAFEVCPVLVVRVDEEPYGCCIRLLSCRLEGSPIVVAQNEKFSASMVNRISCNKRLSSNSSSQQLTTDTTIEVIIEIPFAFRAIPVGAIESAGTQVLEQLLRLMLPRFLRQLEKDYQAWASGDTSRQPLGTGKI >DRNTG_13909.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1928311:1930690:-1 gene:DRNTG_13909 transcript:DRNTG_13909.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGSSGSMIIPSDAMSIGSSSSLLRCALCWWSVWMRSPMAAAFASCLADWRARQLWLLRMRNSQESFDFNFMGNAASMVNRISCNKRLSSNSSSQQLTTDTTIEVIIEIPFAFRAIPVGAIESAGTQVLEQLLRLMLPRFLRQLEKDYQAWASGDTSRQPLGTGKI >DRNTG_16397.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16136454:16141919:-1 gene:DRNTG_16397 transcript:DRNTG_16397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDDDPKPPTSAPASTPTPLPQPPPPPTIAVLLARPAAAPRPDSDSDREGSAPPPPSGAEYEVSEESRLARERQEKAVQELLMKRRAYAMAVPTNDSAVRARLRRLGEPVTLFGEREMERRDRLRSIMVRLEAEGQLDRLLRAHDDEQAAAAAAASVEDDENVPQEYPFFTEGSKELMEARVLIAKYSTARAAARLDRARRRRDDPDEDVGAEREYVLKQAKEFVLECSEIGDGRPLSGCSFSHDGSMLATSAWSGVAKIWNMPRVSQHAILKGHTERATDVAFSPVENCVATASADRTAKLWNDSGSLLTTFNGHLDRLARIAFHPSGKYLGTASFDKTWRLWDINTSTELLLQEGHSRSVYGINFHPDGSLAASCGLDSLARVWDLRTGRSILAFEGHVKPVLSVSFSPDGYHLATGSEDNTCRIWNLRKRKCEFVIPAHSHLISQVKFEPQEGYFLASASFDTKAMIWSSCDVNPIKTLAGHEAKVTGLDISPDGQSIVTVAYDHTIKLWSCKATNGKEKAMDVD >DRNTG_03816.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14657241:14658796:1 gene:DRNTG_03816 transcript:DRNTG_03816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFLNCSMDGTVVWKNDTVNTTRDKLYTLLEGKEMVTDDVMDAFVCIIQKLLSKEPYPYKKRTSITRPLALFMSKQDDAHETIMAMVGDAVRNLHEVQIVILPIIMNGHFHVVVLDNDKQ >DRNTG_12425.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20195162:20197492:1 gene:DRNTG_12425 transcript:DRNTG_12425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFDAGSSFLADKAAPIFVAGHQGLVGSAITRRLHALGFTNLLLAPHSTLDLTRQADVEAFFSVHRPHFVFLAAAKVGGILANSTYPADFIAVNLLIQTNVILSAHKFGTKKLLFLGSSCIYPKFAPQPIPESALLTAPLEPTNEWYAVAKIAGIKTCQAFRLQHGFDAISAMPTNLYGPRDNFHPDNSHVLPALIRRFHEAKVVQAKEVVVWGSGSPLREFLHVDDLADAVVFLMENYSGLEHVNVGSGKEVSIKELAEMVKEVVGFEGELVWDRSKPDGTPRKLMDSSKLAGMGWEPKISLREGLEETYMWYVENMVKQQP >DRNTG_21651.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:16628913:16630087:1 gene:DRNTG_21651 transcript:DRNTG_21651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKSVTGTEGGSGADEGSISPSLSSSFIFFVVDLGEDRTLLVVERRHRKPDRVLRCKHMSIVEPLLKS >DRNTG_21514.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1535668:1536371:-1 gene:DRNTG_21514 transcript:DRNTG_21514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSSVPNTIYLYIILNIIYMLKKYMPKGLSSTLRLHVELLFKSTTLTEEKMKSPVNQQKVISREDVEMILEKMKMSCVSTSNEPQLREYMDFDELASMFEEKEPSLIEMKDAFGVFDENNDGFIDAVELQRVLCMLGLRERVDVDACQRMIDMHDHNVDGRIDFHEFVEFMESSCFC >DRNTG_10387.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22971368:22975326:-1 gene:DRNTG_10387 transcript:DRNTG_10387.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKFLHSFADESQELQKQIGCMTGILQMFDRHHLITGRRSHGSHTHNRLPSGHGHPNNINHRSDVLVLEKNSSKSFSENRRVSMESSRNSFSSSSCSSSFSSLDCNKSTQEPSSSFDRFIAQDISSPSPPLDSSVHSRRQSLDFREVVKDSINRDSSSLSVKTSSKKESKNNGLNLKPRDSPRPITGLNDPRRVLVKLKESPWNYPETSELPRLSYDRKDASILSESRDTPRFSYDGREASRMSLDSRDNGRFVSKLREAPRLSLDSRQGNARNSNLHSKLNSILNDYDSVSVHQRSSSMASNVVAKLMGLEALPSKESANNISLTPLKSSVKDPLTPRPKNRDTVMKPMANSRLPMETAPWKQHDEKVCTPKKSGFGNREVPVKQQTETVYSEIERRLKELEFRQSNKDLRALKQILDAMQDKGMLKKSKGEEQNSEAPSSWETTRTKVAQNVRSTMIRNQVVSHSFPSLPKSVASPRAYESPIVIMKPAKSVRKSGLTGSSVIHLEGLPKLQRLNTSEVSVKKKPTRDRTRATNRVEDSNLQRNPSRLIPKANTGNSVKTTNSLSPRLPQRKLDAEKKLNRPPIPSSETNKHRKQSVSKQPSESVSPRGRLGRKSVQVPPNEDQLSEASSETTRNLRHQGDDISVQSDNNISLASEVDNIDVTSAAISEEMQDRESSSLEKKSQVNLNEVVLTVVPEQPSPVSVLDASFYQDELPVKRISNEFKDDVGSENSISEVDRKKLANIEILVQKLCQLSSTDAETQTADHIASLCETQNPDHRYVSEILLASGLLMKDLTSRLTAPMSIQLHPSGHPINPDLFTVLEQTKSGLLSQLKPVPETNLKPKSSPEKLHRKLVFDVVNEVLVQKLELTNHDPLSTLFIRTRKFARNLPTGHRLLKEVCAEIDELQSESSKDVNGEENNNLISGEHVLQHEQGWENFGTEMPSLVLEIERSIFKELIDEVVCGETNAAAGLHAKLSRRRRQLFAK >DRNTG_06687.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3289075:3291195:1 gene:DRNTG_06687 transcript:DRNTG_06687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELKMGSRATNVLLSRANRIRQKLQSTLETSVLEIEDVSYQHAGHAGVKGDANETHFNIKIVSPKFDGQSLVKRHRMVYDLLADELQSGLHALSIVAKTPQEELKVR >DRNTG_30681.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1870045:1873034:-1 gene:DRNTG_30681 transcript:DRNTG_30681.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHELLDFVTEKNIHLVSDEVFAGSNHGTGEFVSIADVAHTEGFDKSRVHIIYGLSKILSLPGFRIGVICSFNENVLQTAKKYARFFSVSVPTQRLLVSMLSDVNFALKYIEIKKKRLRRMYELFVEGLEQLGINCFPSSAGYYCWVDMNNLMRSNNEKGELELWDKLLTISKINVTPGSECRCVEPGWFRICFTTITEDDIPVVMERIKRITENNKG >DRNTG_30681.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1870045:1873034:-1 gene:DRNTG_30681 transcript:DRNTG_30681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELLDFVTEKNIHLVSDEVFAGSNHGTGEFVSIADVAHTEGFDKSRVHIIYGLSKILSLPGFRIGVICSFNENVLQTAKKYARFFSVSVPTQRLLVSMLSDVNFALKYIEIKKKRLRRMYELFVEGLEQLGINCFPSSAGYYCWVDMNNLMRSNNEKGELELWDKLLTISKINVTPGSECRCVEPGWFRICFTTITEDDIPVVMERIKRITENNKG >DRNTG_20021.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4911414:4917776:-1 gene:DRNTG_20021 transcript:DRNTG_20021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGRDGTGRDEKARRRMGSPPPSPFPMGRGFSVSKFFAGEKFPPLTPPRGSQRDVGETHSISWRFLAEESPSSTNLSTNASFLLAAKRTHRRDPLNDYKHYTGGWNISNKHYWASVGFNAAPLFVIAAVWFLGFGLILFCISCYYCCCPRRSYSYSRTAYALSLILLILFTCAAIIGCIFLYTGQGKFHSSTSGTLDYVVSQANFTVENLQNFSDILSDSKKAGVNQVFLPSDMVQKIDDIDKKLNTSATELSTRVTNNSKKINDTLDTVRLALIIVAAVMLLLTFLGFLFSVLGLQFLVYILVIVGWVLVAGTFILCGIFLIFHNPYTQSLNNRTCKAGEVDFSNASQVWQNYVCKTTVVSGNEICSTVGRITPNIYGQMTIAVNLSNGLEQYVPFLVALQDCTFVRQTFTTINENNCPGLGRYTKWIYVGLAMVSSAVMLSLIFWVLYARERRHRAYGKQFIARSHEDKGY >DRNTG_28707.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:401493:401954:1 gene:DRNTG_28707 transcript:DRNTG_28707.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLQARHGQRPCGRGKSDHEELLVQR >DRNTG_05818.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19009981:19011164:-1 gene:DRNTG_05818 transcript:DRNTG_05818.1 gene_biotype:protein_coding transcript_biotype:protein_coding ADRRDAYSKPLKPKDEPSQEGRKEITLLPL >DRNTG_32624.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001870.1:20675:21985:-1 gene:DRNTG_32624 transcript:DRNTG_32624.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIDTKNLNSTSTDKTVIDEVSGWLRLFDDGTVDRTWTGPPEALFLMSSIPPSPTPNADNVTVHDLPGKPNLRLYLPAGDHLSPGTLLPILLHFHGGGFCISHNSWYMYHQFYSRLAGAIPAAIFSVELSLAPEHRLPAQIYDSFSALLRLRLISKKPKTLTLSSHHWTSHVSSSSETAPVETLSMRWQL >DRNTG_32624.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001870.1:20623:22036:-1 gene:DRNTG_32624 transcript:DRNTG_32624.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIDTKNLNSTSTDKTVIDEVSGWLRLFDDGTVDRTWTGPPEALFLMSSIPPSPTPNADNVTVHDLPGKPNLRLYLPAGDHLSPGTLLPILLHFHGGGFCISHNSWYMYHQFYSRLAGAIPAAIFSVELSLAPEHRLPAQIYDSFSALLRLRLISKKPKTLTLSSHHWTSHVSSSSETAPVETLSMRWQL >DRNTG_32624.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001870.1:20623:21985:-1 gene:DRNTG_32624 transcript:DRNTG_32624.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIDTKNLNSTSTDKTVIDEVSGWLRLFDDGTVDRTWTGPPEALFLMSSIPPSPTPNADNVTVHDLPGKPNLRLYLPAGDHLSPGTLLPILLHFHGGGFCISHNSWYMYHQFYSRLAGAIPAAIFSVELSLAPEHRLPAQIYDSFSALLRLRLISKKPKTLTLSSHHWTSHVSSSSETAPVETLSMRWQL >DRNTG_32624.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001870.1:20675:22036:-1 gene:DRNTG_32624 transcript:DRNTG_32624.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIDTKNLNSTSTDKTVIDEVSGWLRLFDDGTVDRTWTGPPEALFLMSSIPPSPTPNADNVTVHDLPGKPNLRLYLPAGDHLSPGTLLPILLHFHGGGFCISHNSWYMYHQFYSRLAGAIPAAIFSVELSLAPEHRLPAQIYDSFSALLRLRLISKKPKTLTLSSHHWTSHVSSSSETAPVETLSMRWQL >DRNTG_34046.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23410425:23417081:1 gene:DRNTG_34046 transcript:DRNTG_34046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWPTRSKIALGSAKGLAYLHEDCNPRIIHRDIKPANILLDSNFEVKIADFGLAKVVPDNNTHVSTRIMGTLGYLAPEYLLFRRLTDKADVYAFGVMLLELITGRLPGGSHASSYSLAVLARPSLTHALEEGNYEPLVDPRLGNNYNPSEMNRMVACAAACVHISANRRPRMSQVVLVLQGHVSLEDLNNGVPPGQSRNYVSRGNEDLENLRRRALGPFS >DRNTG_34046.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23413456:23417081:1 gene:DRNTG_34046 transcript:DRNTG_34046.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDWPTRSKIALGSAKGLAYLHEDCNPRIIHRDIKPANILLDSNFEVKIADFGLAKVVPDNNTHVSTRIMGTLGYLAPEYLLFRRLTDKADVYAFGVMLLELITGRLPGGSHASSYSLAVLARPSLTHALEEGNYEPLVDPRLGNNYNPSEMNRMVACAAACVHISANRRPRMSQVVLVLQGHVSLEDLNNGVPPGQSRNYVSRGNEDLENLRRRALGPFS >DRNTG_34046.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23410425:23414472:1 gene:DRNTG_34046 transcript:DRNTG_34046.2 gene_biotype:protein_coding transcript_biotype:protein_coding QAQNGQQNAPPPPRHKDILQGGQAQDGQQNAPSPPHRKDELHGGQAQNGQQNAPPSPHCEDELHSGQAQNGQQNAPPPSDHGFEIPPHPPCPPPGPFVPYHPFPPPHPPPPPPPPPPPPPPAFFSNNGGIGSSYSGPDNSLPPPPPGISLGFSQSTFTYQELARATDGFSVANLLGEGGFGYVHRGVLPNGKKIAVKQLKSVGVQKKREFQAEVEIISRVHHRHLVSLVGYCISEERRLLVCEFVPNGTLHFHLHGEGRPTMDWPTRSKIALGSAKGLAYLHEDC >DRNTG_34046.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23410425:23414043:1 gene:DRNTG_34046 transcript:DRNTG_34046.4 gene_biotype:protein_coding transcript_biotype:protein_coding QAQNGQQNAPPPPRHKDILQGGQAQDGQQNAPSPPHRKDELHGGQAQNGQQNAPPSPHCEDELHSGQAQNGQQNAPPPSDHGFEIPPHPPCPPPGPFVPYHPFPPPHPPPPPPPPPPPPPPAFFSNNGGIGSSYSGPDNSLPPPPPGISLGFSQSTFTYQELARATDGFSVANLLGEGGFGYVHRGVLPNGKKIAVKQLKSVGVQKKREFQAEVEIISRVHHRHLVSLVGYCISEERRLLVCEFVPNGTLHFHLH >DRNTG_10972.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1079096:1081000:1 gene:DRNTG_10972 transcript:DRNTG_10972.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVLQVVGLCSMHCSFIYVLLLRQMWSIKPGWGSRPDIYVDKGHADDITGLKFSVDGLLLLSRSLDGTLKVWDLRQMKSSLKVFEDLPNHYAQTNAAFSPDEQLIFTGTSIEKEGTNGGMLCFFDRRKLELVSRVGISSKYSVVRCAWHPKINQVFATVGDKKEGGSHILYDPSISKRGALVCIGRAPRQKSVDDYEVQPVVHNPHALPLFRDQPSRKRQREKALKDPLKSHKPEIPMTGPGHGGRVGTTKGSLLTQYLLKQGGLIKETWMEEDPREAILKFADVAAKDPKFIAPAYAETQPEPVFAKSDSDEEKEK >DRNTG_10972.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1079096:1081000:1 gene:DRNTG_10972 transcript:DRNTG_10972.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSIKPGWGSRPDIYVDKGHADDITGLKFSVDGLLLLSRSLDGTLKVWDLRQMKSSLKVFEDLPNHYAQTNAAFSPDEQLIFTGTSIEKEGTNGGMLCFFDRRKLELVSRVGISSKYSVVRCAWHPKINQVFATVGDKKEGGSHILYDPSISKRGALVCIGRAPRQKSVDDYEVQPVVHNPHALPLFRDQPSRKRQREKALKDPLKSHKPEIPMTGPGHGGRVGTTKGSLLTQYLLKQGGLIKETWMEEDPREAILKFADVAAKDPKFIAPAYAETQPEPVFAKSDSDEEKEK >DRNTG_00335.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18799291:18800890:1 gene:DRNTG_00335 transcript:DRNTG_00335.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLAAAALSYGNEEGPKLVHKLDRESSGLLLMGRSKESFSRLSWLFSNIGFAKSSSQTWNDACEATLQRYWALVIGCPKEKQGIISAPLSKVLLNDGKAERIILAHPSGVNASQEALTEYRVLGPTINGCSWLELRPLTSRKHQVRVHCAEALGTPIVGDYKYGWFVHQRWKNMERVDYEPFSGKPYKLRRPEGLEVQKGSVLSKVPLLHLHCREMVIPNIAKFLDNSGKWHDEGQAWASHKPDLLRFVAPMPSHMKISWNLMSSYLV >DRNTG_00335.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18799855:18800890:1 gene:DRNTG_00335 transcript:DRNTG_00335.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAPEVEEYGASGLRTVLWKTLQTTKARRFGGSKGKRLIQGPPAAPTLSGNGHP >DRNTG_00335.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18797643:18800890:1 gene:DRNTG_00335 transcript:DRNTG_00335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGMKIYIPVSVAESRISKRYDTIPTETLHPNADEIQYLQRLVLHKDSAIIVLNKPAKVPMKGNLPVHNSMDVLAAAALSYGNEEGPKLVHKLDRESSGLLLMGRSKESFSRLSWLFSNIGFAKSSSQTWNDACEATLQRYWALVIGCPKEKQGIISAPLSKVLLNDGKAERIILAHPSGVNASQEALTEYRVLGPTINGCSWLELRPLTSRKHQVRVHCAEALGTPIVGDYKYGWFVHQRWKNMERVDYEPFSGKPYKLRRPEGLEVQKGSVLSKVPLLHLHCREMVIPNIAKFLDNSGKWHDEGQAWASHKPDLLRFVAPMPSHMKISWNLMSSYLV >DRNTG_00335.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18797643:18800890:1 gene:DRNTG_00335 transcript:DRNTG_00335.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNLPVHNSMDVLAAAALSYGNEEGPKLVHKLDRESSGLLLMGRSKESFSRLSWLFSNIGFAKSSSQTWNDACEATLQRYWALVIGCPKEKQGIISAPLSKVLLNDGKAERIILAHPSGVNASQEALTEYRVLGPTINGCSWLELRPLTSRKHQVRVHCAEALGTPIVGDYKYGWFVHQRWKNMERVDYEPFSGKPYKLRRPEGLEVQKGSVLSKVPLLHLHCREMVIPNIAKFLDNSGKWHDEGQAWASHKPDLLRFVAPMPSHMKISWNLMSSYLV >DRNTG_00335.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18797643:18800890:1 gene:DRNTG_00335 transcript:DRNTG_00335.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSKESFSRLSWLFSNIGFAKSSSQTWNDACEATLQRYWALVIGCPKEKQGIISAPLSKVLLNDGKAERIILAHPSGVNASQEALTEYRVLGPTINGCSWLELRPLTSRKHQVRVHCAEALGTPIVGDYKYGWFVHQRWKNMERVDYEPFSGKPYKLRRPEGLEVQKGSVLSKVPLLHLHCREMVIPNIAKFLDNSGKWHDEGQAWASHKPDLLRFVAPMPSHMKISWNLMSSYLV >DRNTG_00335.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18798426:18800890:1 gene:DRNTG_00335 transcript:DRNTG_00335.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYWPRLHCRMEMRRVPSWYYFGQTKIIEIIPYFIFHLMILLCFSSQTVKCSFNLMQVHKLDRESSGLLLMGRSKESFSRLSWLFSNIGFAKSSSQTWNDACEATLQRYWALVIGCPKEKQGIISAPLSKVLLNDGKAERIILAHPSGVNASQEALTEYRVLGPTINGCSWLELRPLTSRKHQVRVHCAEALGTPIVGDYKYGWFVHQRWKNMERVDYEPFSGKPYKLRRPEGLEVQKGSVLSKVPLLHLHCREMVIPNIAKFLDNSGKWHDEGQAWASHKPDLLRFVAPMPSHMKISWNLMSSYLV >DRNTG_22728.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31566977:31567761:1 gene:DRNTG_22728 transcript:DRNTG_22728.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-harvesting complex-like protein OHP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G02120) UniProtKB/Swiss-Prot;Acc:O81208] MAAISSFSSSFITHQHSLCREVRRNPNFLHLKKPSKFNVRAAKLPSGVENPRVEPQLTEPFLGFTNTAEIWNSRACMIGIICTFVVELISHKGILQMIGVEVGKGLNLPL >DRNTG_03598.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:253885:257792:1 gene:DRNTG_03598 transcript:DRNTG_03598.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLANPGVFPKPTEVRDAVGGSWNIVKEILAKMKAEMLPASQIDQSTASTSFVADGSDAKEVEGNMGSGTVNTDEGFGALTEMLDVAKSRTMEDKDSAVLASVKNSENFEVLQPKKRQETMEDFTTSGSVSNQHLLCLMNQIVGEGKQNTVAKDTHRHSLKAKTLEDASSPCRSEGYRRRIDGMASSHYSLAPNQKNSELDNTSPRNPVSLVELFTHRAEKISFDCPNVNTLKRVTPVNFSEEGPSSMNQTVGAEENEQTTVTADTNRYSFKAKSLEDASSPCRSEDYGRRIGGMASNHDSLALDQRNSELGKTSPRNLPSLVELFNRNAENIVLDCPNVDTMKKVTPVNLSEEGPGSLENLKKHPNLSYNYVFGEKIMKQADYLKLKKVHSEESEMQLGVKGNLDGIVKLTRDTLKMESWADKSSNKINLPCKEASVGNSKAFVHQSLKHLGLNDQHTSRSQLEKKQANMPSEGPGSLERLNKHPNLSYDYAFGEKIMKQADYLKLKKVHSEEFEMELGVKGSLDGIVKLTSDTLKMGSWANESSNKINLLRKGASVGNSKAFVHQSLKHLCLNDQHTSRSQLEKKQANMPYEVTESEENEDSNCLKLETILLDKDEKAVDTSDGLNSLFISDESDSDISAFEYPSPEPNNEVLSSMKGFHVNINRHDEKIALDNRLLVYFLPKIAKVKDLIQAFGDSGPISEINILPSRENRFNYAQVFFKTNEGLRKALSKTDVAVGGADVAMKAAITPSEICDRMFCTEQVNNADFPDHFLKHPSRTVVINGLPDNLSFNHLKCALSTWGRITSVVMGASVSTVFVEFESEKSKERALAKATISISGLTLSILRVDAPKTTIIRISNVNPVSGATKVHSICDSFGKVKKVTGRYIDTFDIHFKLSEWSNMLKIINRLNGLVVDQHKWTAQPATLIPAEVLQALWNKPEGQKQVHELVRNICERISDESIDTSIDTSLLSLAEEYSRS >DRNTG_03598.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:252710:257792:1 gene:DRNTG_03598 transcript:DRNTG_03598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANPGVFPKPTEVRDAVGGSWNIVKEILAKMKAEMLPASQIDQSTASTSFVADGSDAKEVEGNMGSGTVNTDEGFGALTEMLDVAKSRTMEDKDSAVLASVKNSENFEVLQPKKRQETMEDFTTSGSVSNQHLLCLMNQIVGEGKQNTVAKDTHRHSLKAKTLEDASSPCRSEGYRRRIDGMASSHYSLAPNQKNSELDNTSPRNPVSLVELFTHRAEKISFDCPNVNTLKRVTPVNFSEEGPSSMNQTVGAEENEQTTVTADTNRYSFKAKSLEDASSPCRSEDYGRRIGGMASNHDSLALDQRNSELGKTSPRNLPSLVELFNRNAENIVLDCPNVDTMKKVTPVNLSEEGPGSLENLKKHPNLSYNYVFGEKIMKQADYLKLKKVHSEESEMQLGVKGNLDGIVKLTRDTLKMESWADKSSNKINLPCKEASVGNSKAFVHQSLKHLGLNDQHTSRSQLEKKQANMPSEGPGSLERLNKHPNLSYDYAFGEKIMKQADYLKLKKVHSEEFEMELGVKGSLDGIVKLTSDTLKMGSWANESSNKINLLRKGASVGNSKAFVHQSLKHLCLNDQHTSRSQLEKKQANMPYEVTESEENEDSNCLKLETILLDKDEKAVDTSDGLNSLFISDESDSDISAFEYPSPEPNNEVLSSMKGFHVNINRHDEKIALDNRLLVYFLPKIAKVKDLIQAFGDSGPISEINILPSRENRFNYAQVFFKTNEGLRKALSKTDVAVGGADVAMKAAITPSEICDRMFCTEQVNNADFPDHFLKHPSRTVVINGLPDNLSFNHLKCALSTWGRITSVVMGASVSTVFVEFESEKSKERALAKATISISGLTLSILRVDAPKTTIIRISNVNPVSGATKVHSICDSFGKVKKVTGRYIDTFDIHFKLSEWSNMLKIINRLNGLVVDQHKWTAQPATLIPAEVLQALWNKPEGQKQVHELVRNICERISDESIDTSIDTSLLSLAEEYSRS >DRNTG_12132.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11468816:11475019:1 gene:DRNTG_12132 transcript:DRNTG_12132.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESISAGLPTLCWPYYADQRTNCHYVCKEWCMGMEIEHDVKREKVASLIKELMGGEKGKEMKEKALEWKECAFRTIKEGGLSSLNLEKLFKEAPYYRAYGSKTLPENLAGIVMPTVRIIQKSSRAELTPKTKDITAVSDPVRLMIHHSQFFMAMASEATPEGDSTHLSQRRRIVADKISLVVSVELKIEDITDGTYRHKCSRKARDPPSLAPYDHVLMPVKARLGKKGGESLGLHKRLSKEIRIQQTGEAVKLTLTAFTEGARPCSGHENYAILRHLLQFPPSFA >DRNTG_06504.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4440746:4441334:1 gene:DRNTG_06504 transcript:DRNTG_06504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGVIMRCTICGKTGHNRRFHGGQQGNIVDSRQRQSGQPSQVSSKINPIGFIDPQVLEDHFNMADALNRTRQGGEGTTHGTSQASQSQIINNSEYITEQEINTAFKAGLRRREN >DRNTG_17967.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20352402:20356359:-1 gene:DRNTG_17967 transcript:DRNTG_17967.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional dTDP-4-dehydrorhamnose 3,5-epimerase/dTDP-4-dehydrorhamnose reductase [Source:Projected from Arabidopsis thaliana (AT1G63000) UniProtKB/Swiss-Prot;Acc:Q9LQ04] MTSATANGSQYKFLIYGRTGWIGGLLGQLCAGQGIPYQYGAGRLEDRASLEADLAAVKPTHVFNAAGVTGRPNVDWCETHRVETIRANVVGTLTLADVCRAKGLVLVNYATGCIFEYDEKHPEGSGIGFKEEDTPNFIGSFYSKTKAMVEDLLKNYENVCTLRVRMPISSDLSNPRNFITKIARYEKVVNIPNSMTILDELLPISIDMAKRNLTGIYNFTNPGVVSHNEILEMYRDYIDPSFTWKNFNLEEQAKVIVAPRSNNELETTKLKNEFPELMPIKESLIKYVFEPNKKTSSA >DRNTG_34669.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002176.1:49466:49994:-1 gene:DRNTG_34669 transcript:DRNTG_34669.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTKKTRSDMRNLFDTAVDMEFGESATAKYPLLYDMETPWQKQGSVDCAIYVMRFIEQLLWGEKLLLPQTDVPYLRLKYVTRILKEGRAAGVHEKGGSSEAG >DRNTG_11718.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:565849:567237:-1 gene:DRNTG_11718 transcript:DRNTG_11718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLSKKIYLYNVTMGLYMLDWWERYLFNILILVLLWFICYNGCRFVTQIYNSNFSAEFMVGKKFSTAGFVPT >DRNTG_17029.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10734595:10735644:-1 gene:DRNTG_17029 transcript:DRNTG_17029.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRHLEIIRRTPFAVFIELETVFQERALLDSLLQRYDGRTNRFRIEESLLSFRSEDVAFVLAVRVKARFAGKKTNTGYIKGCSVVLNIWFYELTGTEKKVCFSKTARMLCYGENTYRKQATIETSLSSLEGKEFLELVPTNADEDIFVWANCRVDAIALEPLA >DRNTG_13656.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1330002:1332820:1 gene:DRNTG_13656 transcript:DRNTG_13656.5 gene_biotype:protein_coding transcript_biotype:protein_coding IGSYGEVYRADWNGTEVAVKKFLDQDFDGDALDEFRRELTQMQLDLSEEVCTEFFTVQIVKLRRSEESKWPLMW >DRNTG_13656.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1330002:1332820:1 gene:DRNTG_13656 transcript:DRNTG_13656.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLWHPNVVLFMGVVTCHPNLSIVSEFLPRIEHQGSHLMCMGLST >DRNTG_13656.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1330002:1336137:1 gene:DRNTG_13656 transcript:DRNTG_13656.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDVAKGMNYLHTSIPTIVHRDLKSPNLWLTRTGL >DRNTG_13656.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1330002:1333028:1 gene:DRNTG_13656 transcript:DRNTG_13656.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALDVAKGMNYLHTSIPTIVHRDLKSPNLWLTRTGL >DRNTG_13656.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1330002:1332820:1 gene:DRNTG_13656 transcript:DRNTG_13656.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLWHPNVVLFMGVVTCHPNLSIVSEFLPS >DRNTG_17338.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32053740:32056701:-1 gene:DRNTG_17338 transcript:DRNTG_17338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSWPSAPLRAMANGFSTPLMAFIFEDLINTFAHAN >DRNTG_18405.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4026374:4030918:1 gene:DRNTG_18405 transcript:DRNTG_18405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVFLVFQVSVLAPNIPAMYEMQFGVPMSGAVLNTINIRLDARTISVLLRHSGSKLLFVDVGSRSLVNDAIRLFPSDHQPPRIIHIDDPYEEDHQPRDPNELSYENLIDLGDPEFQWRRPKSEWDPAILNYTSGTTSAPKGVVHCHRGLFIITVDSLIDWSVPKQPVYLWTLPMFHANGWSFPWGIAAVGGTNVCLRRFDAATIYTAIATHKVTHMCGAPVVLNMLANAPISARKPLPGRVEVLTAGAPPPAAVLHRAEVLGFSISHGYGLTETAGLAISCAWKGEWNKLPADERARLKARQGVRTLAMAVIDVIDESTGRSVPRDGSTLGEIVLRGGCIMLGYLKDEKATAKTVKDDGWFYTGDVGVMHPDGYLEIRDRSKDVIISGGENISSVEVESVLYGHPAVNEAAVVARPDEFWGETPCAFVSLKEGAAAKEEELVKYCRVKLPHYMVPKSVILRDELPKTSTGKIQKFVLREAAKSLGPTRMSRI >DRNTG_17683.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5340854:5341376:-1 gene:DRNTG_17683 transcript:DRNTG_17683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVNRLINQEAADANSAPNNSPNINRSSNSSFQ >DRNTG_11563.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7998812:7999901:1 gene:DRNTG_11563 transcript:DRNTG_11563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYISCTLTTSLGNQNKGAKVIFKDGTLKQIQSPTNAAELMFENPNHFLVNSRSMEVGRRFSPLAADEDLESGNVYVMFPMKRLNAVITTGDMGRLLMAANSALRRASGGRTRVLPDFHQAAPELVVVNQDEDIEGRIKMGTEDEDEGCCSSSSSSSSSLSMLEFKYRLSVCRSRKPLLETIAEENICSR >DRNTG_00395.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000036.1:2396:7707:-1 gene:DRNTG_00395 transcript:DRNTG_00395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGDLVFPAGVAGVECAHRVPVPPSKPFLETFRTNLKETFFPDDPLSQFKNEKPSRKLIKGLQYLFPILEWAPNYTLNFFKSDLVAGITIASLAIPQGISYAKLANLPPILGLYSSFVPPLVYTILGSSKDLAVGTVAVPSLLMASMLGKEVSVTQNPGLYLHLAFTATFFAGAFQASLGLLRLGFIVDFLSHATIVGFMGGAATVVCLQQLKGILGLEHFTTATDLVSVMESVFTQTHKWRWESAVLGCCFLFFLLTTRYFSKRKPKFFWISAAAPLTSVILGSVLVFLTHAENHGVQVIGYLKKGLNPPSITTLVFSSPYMMIALKTGIVTGIIAMAEGIAVGRSFAMFKNYHIDGNKEMIAFGTMNMAGSLTSCYLTTGPFSRSAVNYNAGCKTAMSNMVMALAVMVTLLFLTPLFHYTPLVVLSAIIICAMLGIIDYETAFHLWHVDKIDFCVCFGAYFGVVFGSVEIGLVIAVVVSLLRILLFVARPRTTVLGNIPNSMIYRRMDQYSEAKRVPGVFIVQIDGPIYFANASYLRERISRWISEEEDKIKLSGERSLQYVILDMGAVGSIDTSGISMLKEAKKVVERRGLQ >DRNTG_10079.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26545304:26547587:-1 gene:DRNTG_10079 transcript:DRNTG_10079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRKSRSNGAEVTLSVEEQQAKINEVRKLVGPLADQLPNFCSDSSISRYLRARNWQTEKACKMLQDTLKWRLQYKPETIKWEDIAHEAETGKIYRANYLDKYGRPVLVMRPGFQNTKSTKGQIRYLVYSMEHAILNLADDQEQMVWLIDFQGWTMGGVSLKVTRETAHILQDYYPERLGLAILYNPPKIFESFWKVVKPFLESKTYKKVKFVYSDVTESQKIMTEVFDMNVLETAFGGRNSVGFSLAEFSERMKEDDMKMQALLKSQEGQLVSRSVVLQESQFSISETQSETSSEDDSGDSSSPKTAEQKELVSENEIKEDKNGVGFTAVVKS >DRNTG_10079.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26545304:26547096:-1 gene:DRNTG_10079 transcript:DRNTG_10079.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRKSRSNGAEVTLSVEEQQAKINEVRKLVGPLADQLPNFCSDSSISRYLRARNWQTEKACKMLQDTLKWRLQYKPETIKWEDIAHEAETGKIYRANYLDKYGRPVLVMRPGFQNTKSTKGQIRYLVYSMEHAILNLADDQEQMVWLIDFQGWTMGGVSLKVTRETAHILQDYYPERLGLAILYNPPKIFESFWKVVKPFLESKTYKKVKFVYSDVTESQKIMTEVFDMNVLETAFGGRNSVGFSLAEFSERMKEDDMKMQALLKSQEGQLVSRSVVLQESQFSISETQSETSSEDDSGDSSSPKTAEQKELVSENEIKEDKNGVGFTAVVKS >DRNTG_29175.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24292282:24294804:-1 gene:DRNTG_29175 transcript:DRNTG_29175.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHHRRAGISRAAVIAIVAGDFAALLVVSGLLFLYFWRKFTSKTRSHLQEGEKIVYSSSPYCSAGGATAGGFERGRMVFFEEGKRFELEDLLRASAEMLGKGGYGTAYKAVLDDGSVVAVKRLRDAPSTIGKREFEQQMEILGRLRHPNLVFLKAYYYARDEKLLVYDYMPGGNLFSLLHGNRGPGRMPVEWGVRMRVALGAARGLAHIHGASRSPRLAHGNVKSTNILIDKTGAARLADFGLALLGPAATARSGGYRPPEVPADGRRPWSSQRGDVYSFGVVLLELLTGRTASDAGDLPRWVQSVVREEWTAEVFDLELMRCRGIEEEMVAMLQVALGCTATSPDQRPKISQVVRMIEEIRCGGDPNASVSPTIESDSVSDSVSEDAPAL >DRNTG_29175.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24292473:24295076:-1 gene:DRNTG_29175 transcript:DRNTG_29175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHHRRAGISRAAVIAIVAGDFAALLVVSGLLFLYFWRKFTSKTRSHLQEGEKIVYSSSPYCSAGGATAGGFERGRMVFFEEGKRFELEDLLRASAEMLGKGGYGTAYKAVLDDGSVVAVKRLRDAPSTIGKREFEQQMEILGRLRHPNLVFLKAYYYARDEKLLVYDYMPGGNLFSLLHGNRGPGRMPVEWGVRMRVALGAARGLAHIHGASRSPRLAHGNVKSTNILIDKTGAARLADFGLALLGPAATARSGGYRPPEVPADGRRPWSSQRGDVYSFGVVLLELLTGRTASDAGDLPRWVQSVVREEWTAEVFDLELMRCRGIEEEMVAMLQVALGCTATSPDQRPKISQVVRMIEEIRCGGDPNASVSPTIESDSVSDSVSEDAPAL >DRNTG_29175.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24292473:24294804:-1 gene:DRNTG_29175 transcript:DRNTG_29175.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHHRRAGISRAAVIAIVAGDFAALLVVSGLLFLYFWRKFTSKTRSHLQEGEKIVYSSSPYCSAGGATAGGFERGRMVFFEEGKRFELEDLLRASAEMLGKGGYGTAYKAVLDDGSVVAVKRLRDAPSTIGKREFEQQMEILGRLRHPNLVFLKAYYYARDEKLLVYDYMPGGNLFSLLHGNRGPGRMPVEWGVRMRVALGAARGLAHIHGASRSPRLAHGNVKSTNILIDKTGAARLADFGLALLGPAATARSGGYRPPEVPADGRRPWSSQRGDVYSFGVVLLELLTGRTASDAGDLPRWVQSVVREEWTAEVFDLELMRCRGIEEEMVAMLQVALGCTATSPDQRPKISQVVRMIEEIRCGGDPNASVSPTIESDSVSDSVSEDAPAL >DRNTG_03662.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13574257:13580516:-1 gene:DRNTG_03662 transcript:DRNTG_03662.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT5.1 [Source:Projected from Arabidopsis thaliana (AT1G20150) UniProtKB/Swiss-Prot;Acc:F4HSQ2] MKFLLSNIVALLLVFYLLSLASGKEEKKKDVYIVYMGAAAPASSPETLTQTHLQLLTSVIKRHEHPKLIQSYKHGFSGFAVKLSKDEALAMSRKKGVISVFVDPIYHLHTTRSWDFLQLQNSIETSSPSKSIKAEATSSSIGGEDTIIGLLDTGIWPELPSFSDKGMGPLKRKGWKGTCVNASDFNSSNCNMKLIGARAYSTDDSSLPYSFTDADSPRDDDGHGTHTASTAAGAAVSNASYYGVAAGTAKGGSPTSRIAMYKVCGLFGCSGSSILAAFDDAIADGVDVLSISLGASSVFSNPDFDKDPIAIGSFHAVEKNVIVVCSAGNDGPLPESVVNAAPWILTVAATTIDRKFESDIVLGRNKHVIKGQAINFSTLRKSPTFSLIYGRSAKSKSRSSTDQSASHCKLESLDKKKIKGKIIVCKNSDDDDTSNSIIVDQLKGSGVIGVILVKDQQKSIASTYLDFPVTEILLQAADELFTYINSTEKPVTTILPTVAVTKYKPAPQIAYFSSRGPSSQTKNILKPDIAAPGVDILAGWPMTLSSDVPPGKKPSGFNLLSGTSMACPHVAGVAANIKSWNLAWSPAAIRSAIMTTAILMNNNKTQLMTDSGSVATPYDFGAGEVNPTAALQPGLIYDATPKDYLLFLCNYGYDKAKIKLISASIPVGFKCPKNSSKDLISGMNYPSIAVSNLQGQEKRTVSRTLTNVGGKEVITYIVSVQSPVEVEVKVVPEKLQFTKKNKKLNYDVTFSVKDFSKKSDLFGWITWSDDKHRVRTPYVLSRK >DRNTG_03662.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13577610:13580516:-1 gene:DRNTG_03662 transcript:DRNTG_03662.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT5.1 [Source:Projected from Arabidopsis thaliana (AT1G20150) UniProtKB/Swiss-Prot;Acc:F4HSQ2] MKFLLSNIVALLLVFYLLSLASGKEEKKKDVYIVYMGAAAPASSPETLTQTHLQLLTSVIKRHEHPKLIQSYKHGFSGFAVKLSKDEALAMSRKKGVISVFVDPIYHLHTTRSWDFLQLQNSIETSSPSKSIKAEATSSSIGGEDTIIGLLDTGIWPELPSFSDKGMGPLKRKGWKGTCVNASDFNSSNCNMKLIGARAYSTDDSSLPYSFTDADSPRDDDGHGTHTASTAAGAAVSNASYYGVAAGTAKGGSPTSRIAMYKVCGLFGCSGSSILAAFDDAIADGVDVLSISLGASSVFSNPDFDKDPIAIGSFHAVEKNVIVVCSAGNDGPLPESVVNAAPWILTVAATTIDRKFESDIVLGRNKHVIKVYVLFYNHRVLGIRLF >DRNTG_03662.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13574257:13580516:-1 gene:DRNTG_03662 transcript:DRNTG_03662.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT5.1 [Source:Projected from Arabidopsis thaliana (AT1G20150) UniProtKB/Swiss-Prot;Acc:F4HSQ2] MKFLLSNIVALLLVFYLLSLASGKEEKKKDVYIVYMGAAAPASSPETLTQTHLQLLTSVIKRHEHPKLIQSYKHGFSGFAVKLSKDEALAMSRKKGVISVFVDPIYHLHTTRSWDFLQLQNSIETSSPSKSIKAEATSSSIGGEDTIIGLLDTGIWPELPSFSDKGMGPLKRKGWKGTCVNASDFNSSNCNMKLIGARAYSTDDSSLPYSFTDADSPRDDDGHGTHTASTAAGAAVSNASYYGVAAGTAKGGSPTSRIAMYKVCGLFGCSGSSILAAFDDAIADGVDVLSISLGASSVFSNPDFDKDPIAIGSFHAVEKNVIVVCSAGNDGPLPESVVNAAPWILTVAATTIDRKFESDIVLGRNKHVIKGQAINFSTLRKSPTFSLIYGRSAKSKSRSSTDQSASHCKLESLDKKKIKGKIIVCKNSDDDDTSNSIIVDQLKGSGVIGVILVKDQQKSIASTYLDFPVTEILLQAADELFTYINSTE >DRNTG_03662.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13579521:13580516:-1 gene:DRNTG_03662 transcript:DRNTG_03662.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT5.1 [Source:Projected from Arabidopsis thaliana (AT1G20150) UniProtKB/Swiss-Prot;Acc:F4HSQ2] MKFLLSNIVALLLVFYLLSLASGKEEKKKDVYIVYMGAAAPASSPETLTQTHLQLLTSVIKRHEHPKLIQSYKHGFSGFAVKLSKDEALAMSRKKGVISVFVDPIYHLHTTRSWDFLQLQNSIETSSPSKSIKAEATSSSIGGEDTIIGLLDTGIWPELPSFSDKGMGPLKRKGWKGTCVNASDFNSSNCNM >DRNTG_27062.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2728574:2729952:1 gene:DRNTG_27062 transcript:DRNTG_27062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKKEESSTKKDWMVSPRLSFSHDIPQTQLLQSLSSSSSHESNDSPPVITDFDFDFTTSLEISTQEPCSADELFSDGKILPLPLKQKPQSVMTTRTSTSTRTNVKQNTTLKEIIDDDDNNEEEEDQQKKETERKPFWMFGRSGSVGSNRTTSIATTATSTTTTTKQKNNNICPFRRSRSAGCSIRDEELVTW >DRNTG_27062.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2728669:2729790:1 gene:DRNTG_27062 transcript:DRNTG_27062.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKKEESSTKKDWMVSPRLSFSHDIPQTQLLQSLSSSSSHESNDSPPVITDFDFDFTTSLEISTQEPCSADELFSDGKILPLPLKQKPQSVMTTRTSTSTRTNVKQNTTLKEIIDDDDNNEEEEDQQKKETERKPFWMFGRSGSVGSNRTTSIATTATSTTTTTKQKNNNICPFRRSRSAGCSTVKTRNKNYTNYNYKNTFRPMESNSKIYYYSGMKSWSHGDGVRINPVINLPRGGSTASSRSNGSNSSFNSSNRSNNSSIFGYLLCKCSTKRMEKEMERRGVTCSP >DRNTG_27062.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2728669:2729790:1 gene:DRNTG_27062 transcript:DRNTG_27062.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKKEESSTKKDWMVSPRLSFSHDIPQTQLLQSLSSSSSHESNDSPPVITDFDFDFTTSLEISTQEPCSADELFSDGKILPLPLKQKPQSVMTTRTSTSTRTNVKQNTTLKEIIDDDDNNEEEEDQQKKETERKPFWMFGRSGSVGSNRTTSIATTATSTTTTTKQKNNNICPFRRSRSAGCSIRDEELVTW >DRNTG_17741.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4174596:4178120:-1 gene:DRNTG_17741 transcript:DRNTG_17741.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPSGMIDPEGIESFCSDLEVDHTDVRILMLAWKMQAERQGYFTLEEWRKGLKALHADSISKIKKVLPDLEREVRRPTSFMDFYSYSFRYCLTEEKQKSIDIEIACELLSVVLGYLFRHQVDKLVEYLKNQHEYKVINMDQWMSFLRFCNEINFPSLENYDPNLAWPLILDNFVDWMREKQNGSLGLKSF >DRNTG_17741.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4174596:4180746:-1 gene:DRNTG_17741 transcript:DRNTG_17741.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSYSFRYCLTEEKQKSIDIEIACELLSVVLGYLFRHQVDKLVEYLKNQHEYKVINMDQWMSFLRFCNEINFPSLENYDPNLAWPLILDNFVDWMREKQNGSLGLKSF >DRNTG_17741.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4174596:4180746:-1 gene:DRNTG_17741 transcript:DRNTG_17741.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPPPPLPGSCDLLRSGSSKAVSKETERIDQLFYTYMDKPSGMIDPEGIESFCSDLEVDHTDVRILMLAWKMQAERQGYFTLEEWRKGLKALHADSISKIKKVLPDLEREVRRPTSFMDFYSYSFRYCLTEEKQKSIDIEIACELLSVVLGYLFRHQVDKLVEYLKNQHEYKVINMDQWMSFLRFCNEINFPSLENYDPNLAWPLILDNFVDWMREKQNGSLGLKSF >DRNTG_10772.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000460.1:8414:9062:-1 gene:DRNTG_10772 transcript:DRNTG_10772.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKISLPILVLWGDEDPFTPIDGPVGKYFSSLPSKLPNVSLYLLQGVGHCPHDDKPDLVHEKLLPWLANLPTI >DRNTG_10772.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000460.1:8414:21540:-1 gene:DRNTG_10772 transcript:DRNTG_10772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCCDAWTWNGFKINYLVKGKGMPLLLVHGFGASVAHWRRNIGVLSEKYTVYAIDLLGFGDSDKPAGFAYTMEVWAQLILDFLDEIIKEPTILIGNSVGSLACVIAASDSTKGLVRGLVLLNCAGGMNNKAIVDDWRIKLLLPLLWLVDFLLKQQGIATAIFNRVKQKDNLKNILLSVYGNKESVDEDLIEIIRRPADDLGALDAFVSIVTGPPGTKSDFIDAENFSAYFGFMG >DRNTG_31733.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:106883:108536:1 gene:DRNTG_31733 transcript:DRNTG_31733.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSH4 [Source:Projected from Arabidopsis thaliana (AT3G23290) UniProtKB/TrEMBL;Acc:A0A178VHD2] MIFSLSHAGKQDHSLKISGSSYQLGRLKMMNNHHHLNMMMQQNTNNTESSALSSDYGSPPSSALTIFPPPSSTSSTSSSSLASPLASSPPSPSPSRYESQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHVQMCPFFGHPTPPAPCPCPLRQAWGSLDALVGRLRAAFEENGGKPENNPFGARAVRLYLREIRDMQSKARGISYEKKKRKKQPTLAPSPSSPSPSPSSSGHHHLHHHQLMPPPPPSGAT >DRNTG_31733.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:106883:108536:1 gene:DRNTG_31733 transcript:DRNTG_31733.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSH4 [Source:Projected from Arabidopsis thaliana (AT3G23290) UniProtKB/TrEMBL;Acc:A0A178VHD2] MFLSLSFSLFFPLNLFVHRKNTKQQEFSSRFPSYPSSLLPLQTKPWNPTTSASSSFLFPSSSPPPDQNIYHSYLHLQLHLDQSSKQDHSLKISGSSYQLGRLKMMNNHHHLNMMMQQNTNNTESSALSSDYGSPPSSALTIFPPPSSTSSTSSSSLASPLASSPPSPSPSRYESQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHVQMCPFFGHPTPPAPCPCPLRQAWGSLDALVGRLRAAFEENGGKPENNPFGARAVRLYLREIRDMQSKARGISYEKKKRKKQPTLAPSPSSPSPSPSSSGHHHLHHHQLMPPPPPSGAT >DRNTG_08132.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000366.1:5883:6536:-1 gene:DRNTG_08132 transcript:DRNTG_08132.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFLFFASIFLFVLINTYFFSSPKFFSWLSSLLLKCFHVAKQIKKKQKKGNEIPVLIFEKTELETMFSTFDKDGDGFITMQELEESLRKLGLISTEKELVSMIERVDANRDGLIDLDEFQKLYESIVKGNNNGDDDGDDEDQKEMDLKEAFDVFDGNRDGLITVDELGLVLSSLGLGRRVEDYKEMIKKVDLDGDGMVNFDEFKRMMMSNNVGKLL >DRNTG_09034.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31346377:31347215:1 gene:DRNTG_09034 transcript:DRNTG_09034.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKVSPLSMHRSLVMSSCSYSTDRQESMD >DRNTG_09034.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31346119:31347215:1 gene:DRNTG_09034 transcript:DRNTG_09034.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKVSPLSMHRSLVMSSCSYSTDRQESMD >DRNTG_22985.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001255.1:66628:66968:1 gene:DRNTG_22985 transcript:DRNTG_22985.1 gene_biotype:protein_coding transcript_biotype:protein_coding TRTPKLPCSHLSKNLGPKQHELATRQDGSL >DRNTG_02393.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:6918678:6927640:-1 gene:DRNTG_02393 transcript:DRNTG_02393.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSCAVSVRNLVTRTKSLCGLSCVGDGHFKLSGRDLHARSGVTYPWGCRRFRVILTMMVDTGASAEPGAVVNVSSEREHVGDGGYASGGWKSEDGKLSYGFSSFRGKRASMEDFFDVKSTIIDGNSVSLFGIFDGHGGSRAAEYLKEHLFENLTKHPLFLTDTKLAISETYQKTDADFLEAERYTFRDDGSTASTAVLVGSHLYVANVGDSRAVVSVAGKAIALSDDHKPNRSDERKRIENAGGVVLWAGTWRVGGVLAMSRAFGNRLLKQYVVAEPEIQEQEVNEELEFLVLASDGLWDVVPNEDAISLARLEEEPEAAARKLTETAYSRGSADNITCIVVRFHHEKMDVDSTPADDQN >DRNTG_02393.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:6918678:6927999:-1 gene:DRNTG_02393 transcript:DRNTG_02393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVDTGASAEPGAVVNVSSEREHVGDGGYASGGWKSEDGKLSYGFSSFRGKRASMEDFFDVKSTIIDGNSVSLFGIFDGHGGSRAAEYLKEHLFENLTKHPLFLTDTKLAISETYQKTDADFLEAERYTFRDDGSTASTAVLVGSHLYVANVGDSRAVVSVAGKAIALSDDHKPNRSDERKRIENAGGVVLWAGTWRVGGVLAMSRAFGNRLLKQYVVAEPEIQEQEVNEELEFLVLASDGLWDVVPNEDAISLARLEEEPEAAARKLTETAYSRGSADNITCIVVRFHHEKMDVDSTPADDQN >DRNTG_16168.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20546020:20548232:-1 gene:DRNTG_16168 transcript:DRNTG_16168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCAIASSDALEIVENARSGIAVKALCELREGDVVATIPKAACLTIRTSAARTAIEESGIDGSLGLSLALMYERSLGSASKWFGYLQVLPEREEVPLVWSLEEVDRLLAGTELHKTVQEDQAVIYEDWKEYIEPLIHSGPLELDPKFFGVDQYFSAKSLVASRSFQIDDYHGYGMVPLADLFNHKTGAENVHFTSESLPSDSDDEDDAVVTDTSGNDQSPNVDLNNNSAGDTPVAQQGYNHDPASREHSDGD >DRNTG_09377.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15242362:15248403:1 gene:DRNTG_09377 transcript:DRNTG_09377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRRDGESGPRPLQQLSGQICQICGDDVGLTADGELFVACNECAFPICKTCYEYERREGNQVCPQCKTRFKRLKGCARVAGDEEEEGIDDLENEFSFAGRNDQDMQYMAEAMLQGHMSYGRGGDVDMPQVVHTMPQVPLLTNGQMVDDIPPEQHALVPSFMGGGGKRIHPLPFMDPSLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQEKLHSVRNDNGGKDWDADGDSPDLPLMDEARQPLSRKLPLPSSQINPYRMIIIIRLVVLGFFFHYRVTHPVDDAFALWLISVICEIWFAMSWILDQFPKWLPIERETYLDRLSLRYDKEGQPSQLAAVDLFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFNIEPRAPEWYFQQKIDYLKDKVLPSFVKERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDTDGNELPRLVYVSREKRPGFNHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYINNSKALRESMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNIVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGFDAPKSKKPPTRTCNCWPKWCCCCCCPGKKKKKSTKGKQEKKKKSFRRGESAAPVFALEGIEEGIEGIESEKSIMMSETKLEKKFGQSPVFVASTLLENGGTLKSATPASLLKEAIHVISCGYEDKTDWGKEVGWIYGSVTEDILTGFKMHCHGWRSIYCVPSRPAFKGSAPLNLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGGLKWLERLSYINATVYPWTSIPLLAYCTLPAVCLLTGKFITPELSNIASLWFLSMFICIFATSILEMRWSGIGLDDWWRNEQFWVIGGVSSHLFAVFQGLLKVLAGIDTNFTVTSKAGDDEEFSELYTFKWTTLLIPPTTLLVLNLIGVVAGISNAINNGYESWGPLFGRLFFAFWVIVHLYPFLKGLVGRHNRTPTIIIVWSILLASIFSLLWVRIDPFLPKDDGPVLEECGLDCN >DRNTG_19745.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001052.1:20785:22278:-1 gene:DRNTG_19745 transcript:DRNTG_19745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFSSMAVIVSMALLLLLVKSSSAQLSTNFYSSSCPKLFSTVKSGVQSAISAEKRMGASLLRLFFHDCFVDGCDGSILLDNTSNFTGEKDALPNKNSVRGFDVIDKIKKQVEKACPGVVSCADILAIVARDSVVILGGPNWNVKLGRRDSTTASESAANNNIPPPSSSLSNLISKFSAQGLSTKDMIALSGAHTIGKARCISFRAHIYNDSDIDNSFAKTRRANCPSKSGSGDNNLAPLDFETDTEFDNYYYKNLIIKKGLLHSDQELYNGGSADSQVKSYANSESTFFSDFTAAMINMGDITPLTGSNGEIRKNCRKIN >DRNTG_33014.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4797254:4798892:1 gene:DRNTG_33014 transcript:DRNTG_33014.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO protein 3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G61930) UniProtKB/Swiss-Prot;Acc:Q9FH50] MKELIRRAKEEKRIRQENPCRMLELPPENGLLVPDLIEVAKQVYHARESLLHSLSLLIDGEAAIPVKKCRFCPEVHIGHCGHEIRSCEGRKSGSRDGIHVWGRGGIRDVVGFPCCYHLYDRVCKPRVGHKDRFGIQRLPAIVELCIQAGLNLPGYPTKRRTKPVYSIEGRIVDFEPDNEDKSLDPPQEQFKFEVGLTKCELSLMALESWFEMRSGAVKLMKKYSVVTCGYCTEVQVGPKGHKVRMCKAAKHQFRDGLHAWQEATIDDLIMPNYVWHVRDLNGSALANEMKRYYGKAPAIVEFCVQAGAPVPDQYASMMRLDVVSPDLDEYDLVA >DRNTG_33014.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4797109:4798797:1 gene:DRNTG_33014 transcript:DRNTG_33014.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO protein 3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G61930) UniProtKB/Swiss-Prot;Acc:Q9FH50] MLLRRSLLQNLVYVNKKRLLKFQSEFTVFYSSNEKNNEGEDEELVYSDVPRPGKKGERKPYPTPMKELIRRAKEEKRIRQENPCRMLELPPENGLLVPDLIEVAKQVYHARESLLHSLSLLIDGEAAIPVKKCRFCPEVHIGHCGHEIRSCEGRKSGSRDGIHVWGRGGIRDVVGFPCCYHLYDRVCKPRVGHKDRFGIQRLPAIVELCIQAGLNLPGYPTKRRTKPVYSIEGRIVDFEPDNEDKSLDPPQEQFKFEVGLTKCELSLMALESWFEMRSGAVKLMKKYSVVTCGYCTEVQVGPKGHKVRMCKAAKHQFRDGLHAWQEATIDDLIMPNYVWHVRDLNGSALANEMKRYYGKAPAIVEFCVQAGAPVPDQYASMMRLDVVSPDLDEYDLVA >DRNTG_07730.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2528792:2531826:-1 gene:DRNTG_07730 transcript:DRNTG_07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALAPAISPPLLRFRPEHPRPLQRSRVLVSGTRVVLPLRISNEGLRGATAVRSRMVVLCMKNQLSQQRNGVLHHNQLPSAAMICAAALTQRCAAEQTQTVQRPSSTITKAPNEGKEKSQDRPPKLDDGGNGYPPYYFGGGGGGGGGGWSSSGGFYVFAFLIFLDYLKELEENKEKQKRRKQHATDDEFTSIPSE >DRNTG_06334.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20239820:20240820:-1 gene:DRNTG_06334 transcript:DRNTG_06334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEQVVEAFEFFGVYPQIEQWQDRLRQWFSSMLMNPLLEKIETSHIQVMQAAARVGVSITVNQVGSDTLTTTVPVNVSLIDGAKEWQPIFTLDEDGVLHQLRANLLQVRDASALQSPIVGLQQPQPNPILPFVQACVDAITEHQRLNTLMKGELIRGLLPLSGVRADYTVQRVRELAEGTCLKNYNYLGSVDGSDKAGKKY >DRNTG_06334.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20240042:20240820:-1 gene:DRNTG_06334 transcript:DRNTG_06334.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEQVVEAFEFFGVYPQIEQWQDRLRQWFSSMLMNPLLEKIETSHIQVMQAAARVGVSITVNQVGSDTLTTTVPVNVSLIDGAKEWQPIFTLDEDGVLHQLRANLLQVRDASALQSPIVGLQQPQPNPILPFVQACVDAITEHQRLNTLMKGELIRGLLPLSGVRADYTVQRVR >DRNTG_24851.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29424671:29426131:1 gene:DRNTG_24851 transcript:DRNTG_24851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKILMYHFRGTIHPLIPHMAFVGYIESVSNLHTSELRCKWLERLLEERFKLPTVEAMFEQVSEETEIMKRTTRFYKRHCISTYSINHSDDICTEMGWQSWRKRNWFMELFSPYNNQETELLSSLNHAGMSMIPSKTLNASGSSNSAAISVLPISVCNTDELISEDRWDFET >DRNTG_33996.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5229756:5233271:1 gene:DRNTG_33996 transcript:DRNTG_33996.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNPQFTTNKIGKDNAIARHGIHGLHNLFNVTISSNFFNQGLNTIYFTQTQSDVFSGIMYDYIRLEGPFI >DRNTG_33996.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5227582:5233271:1 gene:DRNTG_33996 transcript:DRNTG_33996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMENEVKSWPYKFPASADFEKAQIRGSVSGRLMVQDWGMINVPASYAYVGLAKPGLPGSWQKESKGYQFWTRADGNGSFIINNVRSGVYGLFAWVPSFIGDFVHDSNITITPGGKIELGTLLHKAPRAGPTLWEIGVPDRTASEFFVPDPKPDYVNKLYIKHDRFRQYGLWDRYTDLYPNEDLVYKVGLSVYKRDWFFAHVTRRIGVGLYIPTTWKIQFNLSNVIDGLYTLRIALASTHNSELQVRVNMEGGNPQFTTNKIGKDNAIARHGIHGLHNLFNVTISSNFFNQGLNTIYFTQTQSDVFSGIMYDYIRLEGPFI >DRNTG_32328.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24569728:24571896:-1 gene:DRNTG_32328 transcript:DRNTG_32328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGKKEHLARVLDSHIHNIEETLQMLERPAVSQKVDWSEVTKVGDEISKQATVAGMLWNGEHDVKNLESNMGVYINFLQGFLLLCHSSSAGAGPTLHACIVASARNVVDRSIKLFKEAVSFCDSDNANKRQTIPQLSGSVWEACDALKKTPTSNCTAIGRAITQLAVSMKDVLREMKELKPTQHDSSDGPPTDVTDEDGSFSEGDLGDDLSTEEMEIAQLATDVVTNALTAVKEIIRFITGLLRKSNRESAEKEYVDSLERILGHCQEMGSELNDLGACVYPPQEISQMKLITKKIDELVGNIHEAVETLNGSPEDLYRAFTVLKNSLIKLRCAFGDVDLAPKMESLAV >DRNTG_25565.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29688982:29689591:-1 gene:DRNTG_25565 transcript:DRNTG_25565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRDLQKLEGVLSPQHLEEMELALSLRQSKVNIKLCE >DRNTG_25565.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29689103:29689591:-1 gene:DRNTG_25565 transcript:DRNTG_25565.2 gene_biotype:protein_coding transcript_biotype:protein_coding FLQQELLRVLYPVFIYCFMDLVTAGYMQEGIIYLLAFSRGSIHI >DRNTG_17619.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4474069:4474791:1 gene:DRNTG_17619 transcript:DRNTG_17619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQLSKWISTRTSSSIKLLLFPSFRRSMQEKVTYEKEVEEQSRVPATLPDDVLELGAGTTRPDKPWTPNPNTGVFGPSDNNTTTSSTADQPVFSRPLDILDDEPSTE >DRNTG_31756.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8306130:8307291:-1 gene:DRNTG_31756 transcript:DRNTG_31756.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAHARGEFSYWRAEHLAIFLAWTEKAQWHAPAPMGWAHGRGYFLHARVVVFRGIECYPKNAHGRASALWLYPVEAHGRGIFTWFSDRFKVFNLNSLIMASQSKKQVEKRPRESSPEAESLRFTLPDHQAHLERLLRLQFKQTRFHDASILRDLQQGDKFADKVEELISVGRLRQLLSTMEPAICELTLEVLSSFEFDRSYMRFDTLDAIKFRAFGHYHNKSVTQFLVWLGLYEEYSLLPTDYPGR >DRNTG_26970.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001371.1:49187:50687:-1 gene:DRNTG_26970 transcript:DRNTG_26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVAKPTIEQHQIPLIKSPKQSTFLSIIPIIDLSDPVSASTSMVKACSEYGFFKVTSHGIPMELINKLEAEAVKFFSLPQIEKERLGQPNPFGYGNKKIGANGDFGWLEYLLLDITSKSKNKSFISSFLSEPSATSFCAALNEYIAAMRRLARQVLELLADGLGVKQRDIFSKLVMNEESDSVFRVNHYPPYPLLQGFNCSLTGFGEHTDPQVISVLRSNNTAGLQIALRDGSWVSVPPDQESFFINVGDSLQVLTNGRFRSVKHRVLANGMRSRLSMIYFGGPAPKQRLAPLQQLMEEGEQSLYKEFTWFEYKASAYKSRLADNRLAQFEKQKQLMSDGKTLINHQ >DRNTG_11772.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:626168:631161:1 gene:DRNTG_11772 transcript:DRNTG_11772.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKKIVVPGPRPFARRGVVKEEVKVEEPVVDAHKEVLEDDVVEEKQEEVGEAIEAVHVHSFEDKEYLHEDKEPENEEVVAEYEEKEIEDEAGQEEHVEEGDEEADWESVDEEMVDEMEGEMGEEIEEEVKDNGEDAENEKGNMDSKELGEQHKEHRKQKEFEVFVGGLDKDATEDDLKKVFGAVGNLVEVRLMRNHLTKKNKGFAFLRFETAEQAKRAVLELKNPIVNGKRCGVAPSKDSDTLFLGNIHRTWTKEHLKDTLKSYGIESFEDLNLLEDVNNAGMNRGFAFLEFTSRKEAIYAYRQLQKSGARFGTARPAKITFAESFLEPDDEVMAQVKTVFVDGIPAGWDEDHLKGYVKEFGEIKKVDLARNMPGARRRDFGFVTFDTHDNAVKCVLGINGQELVEGQNKKKVRARLSRPVKKERVNTGSQRDFWSSQGDLRGRAHASWDHRPAHIITQHPSRPQRFLDVPTRGHGSQRHFGFHVRQLNMAPPMSSYERRPLVPDNSLNREYHRHGELNPRNIASVDYGSRASYREDYSSHGSGYSDIVPRGAPRVMGNRPYAGDVYGQLPERPSVAYRGDSHDYVPISGSKRPYLAPDYAPPPYFDASMRHTRPRLDYGAGARVARYENANAERFGQSDARYGSSHASFSGHDPHVLSGRHQGMSYGRVSASGIGASGMHSTGFSSHLPRDHDAAGSSYPASYPGHSFSGSRHMGGGGSGIYY >DRNTG_11772.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:626168:631161:1 gene:DRNTG_11772 transcript:DRNTG_11772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKKIVVPGPRPFARRGVVKEEVKVEEPVVDAHKEVLEDDVVEEKQEEVGEAIEAVHVHSFEDKEYLHEDKEPENEEVVAEYEEKEIEDEAGQEEHVEEGDEEADWESVDEEMVDEMEGEMGEEIEEEVKDNGEDAENEKGNMDSKELGEQHKEHRKQKEFEVFVGGLDKDATEDDLKKVFGAVGNLVEVRLMRNHLTKKNKGFAFLRFETAEQAKRAVLELKNPIVNGKRCGVAPSKDSDTLFLGNIHRTWTKEHLKDTLKSYGIESFEDLNLLEDVNNAGMNRGFAFLEFTSRKEAIYAYRQLQKSGARFGTARPAKITFAESFLEPDDEVMAQVKTVFVDGIPAGWDEDHLKGYVKEFGEIKKVDLARNMPGARRRDFGFVTFDTHDNAVKCVLGINGQELVEGQNKKKVRARLSRPVKKERVNTGSQRDFWSSQGDLRGRAHASWDHRPAHIITQHPSRPQRFLDVPTRGHGSQRHFGFHVRQLNMAPPMSSYERRPLVPDNSLNREYHRHGELNPRNIASVDYGSRASYREDYSSHGSGYSDIVPRGAPRVMGNRPYAGDVYGQLPERPSVAYRGDSHDYVPISGSKRPYLAPDYAPPPYFDASMRHTRPRLDYGAGARVARYENANAERFGQSDARYGSSHASFSGHDPHVLSGRHQGMSYGRVSASGIGASGMHSTGFSSHLPRDHDAAGSSYPASYPGHSFSGSRHMGGGGSGIYY >DRNTG_28683.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21168277:21170090:1 gene:DRNTG_28683 transcript:DRNTG_28683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEQALQEEKLFMLDYHDIYLPFIDRINAMEDRKAYATRTIYFLTPLGTMKPVAIELTLPPPSPGLPRPSQVLTPPCDATTNWLWQLAKAHVGSNDAGVHQLVNHWLRTHATMEPFILAAHRQLSAMHPVFKLLDPHMRYTLEINALARQNLINADGVIESCFTPGPYCMEISADYYDKHWRFDLEGLPADLIRRGMAVEDETQPHGLRLVIDDYPYANDGLLIWFEIEKWVRTYIKPYYPNPGSVRSDPELQSWYNESIHVGHGDKRHASWWPQLSTPNDLIAILTTLIWLASAQHAALNFGQYPLGGYVPSRPPVMRRLVPESARDPKDYAEFLADPHKYFLAAMPGVLTATKFMAVVDTLSTHSPDEEYLGERQQPHTWTADKEAVEAFQEFAVAMKEIEEEIGNRNRDTSRRNRCGAGVLPYELLVPSSQPGVTCRGVPNSVSI >DRNTG_06628.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18874202:18876654:1 gene:DRNTG_06628 transcript:DRNTG_06628.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVYIVKYYHGGTLLREGEVEYVNGSVVEFLVDLDKLANNSCSCGKWDKSGIPCQHAMPAIAFHGLDPLNYISEWFKKETYLKAYQFNISAVKGRRFWPTSEEGPMLPPITKRMPGRPAKKRKREPLEGKNKSNTKLSKEGRVFKCGICHMEGHNRKNCQNKASR >DRNTG_06628.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18874202:18877487:1 gene:DRNTG_06628 transcript:DRNTG_06628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVYIVKYYHGGTLLREGEVEYVNGSVVEFLVDLDKLANNSCSCGKWDKSGIPCQHAMPAIAFHGLDPLNYISEWFKKETYLKAYQFNISAVKGRRFWPTSEEGPMLPPITKRMPGRPAKKRKREPLEGKNKSNTKLSKEGRVFKCGICHMEGHNRKNCQNKASRDTTNEVGSSGQKTNERMKTNGKEKTYATSKKKRGRPAYGPTRILRGAHTGEAILGREVANSSSFITTNELIARRNARVVANKNQAEVVGHQSSAQVEGLDLPTQQSTNN >DRNTG_33875.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26289310:26292596:1 gene:DRNTG_33875 transcript:DRNTG_33875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGKTSNKSKANKGLGDGVVEEPPGAAAWGAWFRPTPPLQSAPLTNHSIDSQTASTEVPETQNPDIGLAETEDAFTHDAVARKHLRGPNKVIPTPTNANDLVFITTLHDESRAKKHFGVNDIAECKGYNEGWIRVDIWDRLIDNVWNTEAWKIRSQKAKQNRITENHGSITKHTGGSIPFLLHAERMLNRKPTYGEVFNRTHKRMRRALVIILIISRNCYRKYGADESCHPRI >DRNTG_03550.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21574901:21578880:1 gene:DRNTG_03550 transcript:DRNTG_03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPTLLQMNNWMAWMVKGCLWRYHWRKVVSKIIPEAYVNNFNNNGHLPSGFSMINEDGHLVHTCSTRSGSKHLDVQDLEMLLEAYFVQVDSTLNKLSTLREYVDDTEDYINIMLDDKQNHLLQMGVMLTTATLIVSAYVVLAGIFGMNIQNKLFDEKKSGTPEFLWTVGGGAAGSLLLYVVAVAWCKHRRLLE >DRNTG_32030.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8366286:8367992:-1 gene:DRNTG_32030 transcript:DRNTG_32030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQHWEIIRRTPFAAFTELEAIFQDKTLLDSLLQRYDGRTNKFRIGESLLSCRPEDVALVLGLRCDGDAVVFQKKKTRSAFEERYLSKTYERHRDSIKSTLKQHAGTEGEEEKFCQNSPMVYLMGTILFPNTSCSVPNWIVDYFDDLPGMGGYAWVQATHKWLMEDIPQVAARVQARCTGKKTNIGYIKGCSVALNIWFYKLTGTRKKVCFGKIPRMLCYGKNIYRKQATIETVCHLSKEKSFLSWFRRMLMKIYLFGPTDEWMLLLRNHLLEGKVRGQLLLVSIPKRPHSKRLAKKGKTIMPLYPPPADDETIAAPSAADAVTVDDIAVTVEEIVDDVAIIAVDKIVDSVVNKIPDLVEPAADSAA >DRNTG_31694.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14075791:14084411:-1 gene:DRNTG_31694 transcript:DRNTG_31694.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQRPRTRRGDSVPSGKPTPAQPPSPLRQEGHQPTYQEYRDFLGYWSLFGGHPHIEPVSMPPPPPPPMPSVQQVPVEDSKISQSLILSKLLKKPRQLGCNAFDGLGDAMASKEWLKRLLATFEDMGIENKLKLKVAVRLLENRARIWWETLKGRSNIPLTWSDFLHEFDKEYYTQFHRDQKRQEYMKLIQGNKAAGVI >DRNTG_20357.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2671845:2677560:-1 gene:DRNTG_20357 transcript:DRNTG_20357.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lariat debranching enzyme [Source:Projected from Arabidopsis thaliana (AT4G31770) UniProtKB/Swiss-Prot;Acc:Q94K01] MKIAIEGCMHGDLDKVYATLKRLEEEEKTKIDLLLCCGDFQAVRNLNDLESLNVKPKYRSMNSFWKYYSGQAVAPYPTIFIGGNHEASNYLWELYYGGWAAPNIYFLGFAGVVKFGNIRIGGLSGIFKQNHYSLGHFERPPYNESDIRSIYHVREYDVLKLMQIEEPIDIFISHDWPLGITEYGNWQKLLREKPFFKEEVEKRSLGSRPAAELLAKLKPPYWFSAHLHCKFPAIIQHGEDGPVTKFLALDKCLPRRKFLQIVEIESEPGPFEIHFDEEWLTITRMFNSSFPVTRRSARFVAEQLGKQAHQQWVRNKLSARGPKPFEFVRTVPSYDPDQPHPSTTLDGHCRNPQTEAFLQLLELPYLLDAVAQSNTSVESSLHSADAHLHSSYINDESIDLDDVDYMDDMEELTTNEDA >DRNTG_20357.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2671394:2677560:-1 gene:DRNTG_20357 transcript:DRNTG_20357.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lariat debranching enzyme [Source:Projected from Arabidopsis thaliana (AT4G31770) UniProtKB/Swiss-Prot;Acc:Q94K01] MKIAIEGCMHGDLDKVYATLKRLEEEEKTKIDLLLCCGDFQAVRNLNDLESLNVKPKYRSMNSFWKYYSGQAVAPYPTIFIGGNHEASNYLWELYYGGWAAPNIYFLGFAGVVKFGNIRIGGLSGIFKQNHYSLGHFERPPYNESDIRSIYHVREYDVLKLMQIEEPIDIFISHDWPLGITEYGNWQKLLREKPFFKEEVEKRSLGSRPAAELLAKLKPPYWFSAHLHCKFPAIIQHGEDGPVTKFLALDKCLPRRKFLQIVEIESEPGPFEIHFDEEWLTITRMFNSSFPVTRRSARFVAEQLGKQAHQQWVRNKLSARGPKPFEFVRTVPSYDPDQPHPSTTLDGHCRNPQTEAFLQLLELPYLLDAVAQSNTSVESSLHSADAHLHSSYINDESIDLDDVDYMDDMEELTTNEDA >DRNTG_20357.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2671394:2677560:-1 gene:DRNTG_20357 transcript:DRNTG_20357.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lariat debranching enzyme [Source:Projected from Arabidopsis thaliana (AT4G31770) UniProtKB/Swiss-Prot;Acc:Q94K01] MNSFWKYYSGQAVAPYPTIFIGGNHEASNYLWELYYGGWAAPNIYFLGFAGVVKFGNIRIGGLSGIFKQNHYSLGHFERPPYNESDIRSIYHVREYDVLKLMQIEEPIDIFISHDWPLGITEYGNWQKLLREKPFFKEEVEKRSLGSRPAAELLAKLKPPYWFSAHLHCKFPAIIQHGEDGPVTKFLALDKCLPRRKFLQIVEIESEPGPFEIHFDEEWLTITRMFNSSFPVTRRSARFVAEQLGKQAHQQWVRNKLSARGPKPFEFVRTVPSYDPDQPHPSTTLDGHCRNPQTEAFLQLLELPYLLDAVAQSNTSVESSLHSADAHLHSSYINDESIDLDDVDYMDDMEELTTNEDA >DRNTG_00523.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30405408:30406053:-1 gene:DRNTG_00523 transcript:DRNTG_00523.1 gene_biotype:protein_coding transcript_biotype:protein_coding VCSHLIAESQDSHQSKATFEDMKKRWP >DRNTG_24302.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19073467:19076428:-1 gene:DRNTG_24302 transcript:DRNTG_24302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLNSLIFIILLISSASAQTLIQMFPNRPQRNGDDELFCDSWRLSVETNNAGMWNTIPAKCLKFVVEYVNGDRYASDSAVVAGYSLDFARTVPITGDGKDVWVFDIDETLLSNIPYYAAHGYGSEAFNETAFDEWVVEARAPALPASLQLYEELRGLGYQLVLLTGRAEFQRNATVDNLLFAGYHSWKRLYLREDSDIGKTAVAYKSEKRAELEAQGYRIHGSSGDQWSDLIGSPMASRSFKLPNPLYFIA >DRNTG_02364.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12890569:12891423:1 gene:DRNTG_02364 transcript:DRNTG_02364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALSLFGALRRSFSKSSCKTVSVIDNKQMVLKPMLEKSDVVSSSPSNSYRPRFSAISIEDAAAIKIQAHFRGHLIN >DRNTG_02364.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12891137:12891423:1 gene:DRNTG_02364 transcript:DRNTG_02364.2 gene_biotype:protein_coding transcript_biotype:protein_coding ARRAFRALRSLVKLQALVRGVCVRRQARIAVHCMQVLVRLQVRVRARQLLSSSGHANLS >DRNTG_11210.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2348706:2351000:-1 gene:DRNTG_11210 transcript:DRNTG_11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPELSLGPSLSTFALSANSSSTDSESTTKKKRKYTWDEPMRFTNIELNLNDPLPLDWEQCLDLHSGRMYYLNRKTLKRSLTRPKEQKLDLELNISNFSGSMERTGSASSDDLRKQSSSSGNMVAVACANCHLLVMLCRSSPLCPNCKYMHPLLPKQQTPSRGIEAVKPLETLSLLH >DRNTG_11210.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2349204:2350521:-1 gene:DRNTG_11210 transcript:DRNTG_11210.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPELSLGPSLSTFALSANSSSTDSESTTKKKRKYTWDEPMRFTNIELNLNDPLPLDWEQCLDLHSGRMYYLNRKTLKRSLTRPKEQKLDLELNISNFSGSMERTGSASSDDLRKQSSSSGNMVAVACANCHLLVMLCRSSPLCPNCKYMHPLLPKQQTPSRGIEAVKPLETLSLLH >DRNTG_11210.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2348706:2350521:-1 gene:DRNTG_11210 transcript:DRNTG_11210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPELSLGPSLSTFALSANSSSTDSESTTKKKRKYTWDEPMRFTNIELNLNDPLPLDWEQCLDLHSGRMYYLNRKTLKRSLTRPKEQKLDLELNISNFSGSMERTGSASSDDLRKQSSSSGNMVAVACANCHLLVMLCRSSPLCPNCKYMHPLLPKQQTPSRGIEAVKPLETLSLLH >DRNTG_11210.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2349204:2351000:-1 gene:DRNTG_11210 transcript:DRNTG_11210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPELSLGPSLSTFALSANSSSTDSESTTKKKRKYTWDEPMRFTNIELNLNDPLPLDWEQCLDLHSGRMYYLNRKTLKRSLTRPKEQKLDLELNISNFSGSMERTGSASSDDLRKQSSSSGNMVAVACANCHLLVMLCRSSPLCPNCKYMHPLLPKQQTPSRGIEAVKPLETLSLLH >DRNTG_11210.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2349362:2350521:-1 gene:DRNTG_11210 transcript:DRNTG_11210.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPELSLGPSLSTFALSANSSSTDSESTTKKKRKYTWDEPMRFTNIELNLNDPLPLDWEQCLDLHSGRMYYLNRKTLKRSLTRPKEQKLDLELNISNFSGSMERTGSASSDDLRKQSSSSGNMVAVACANCHLLVMLCRSSPLCPNCKYMHPLLPKQQTPSRGIEAVKPLETLSLLH >DRNTG_11210.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2349362:2351000:-1 gene:DRNTG_11210 transcript:DRNTG_11210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPELSLGPSLSTFALSANSSSTDSESTTKKKRKYTWDEPMRFTNIELNLNDPLPLDWEQCLDLHSGRMYYLNRKTLKRSLTRPKEQKLDLELNISNFSGSMERTGSASSDDLRKQSSSSGNMVAVACANCHLLVMLCRSSPLCPNCKYMHPLLPKQQTPSRGIEAVKPLETLSLLH >DRNTG_23648.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001274.1:6543:7598:-1 gene:DRNTG_23648 transcript:DRNTG_23648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFQLTFFKAHNVRAIEPLQSPSQYLPKPKPPPSLKFLERFYGSDIARRLEEGYWESFRRHRSGKVYPSSDKGSLVTSRGLSTRPSTRP >DRNTG_18953.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11336939:11343786:-1 gene:DRNTG_18953 transcript:DRNTG_18953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLSIYSMKVLDVGIWKPWRTRRYHFGKKRRKQEFHTTPILPSMGADFLLADFWEIIFGRFLGDLGEEKKGKKARRSFKPKVQGSQGKNATSFKGEIDHDLKEGELRLEKRHSAFLWRGSVIWHILASSFTISSRECHTMSLFHVLLDCMLCYEM >DRNTG_28222.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11859564:11860746:1 gene:DRNTG_28222 transcript:DRNTG_28222.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G32590) UniProtKB/Swiss-Prot;Acc:Q564K3] MSHTENIAESDHNEADRGEGPSKKELDKKLSPLSTLESSFDALNVKKFDVAFTVDPLYHQTSAQFDEGGAKGLLLSNLGVYGGCRVLFDSFEVPEISITSHRQAEQSELIDLSFAKDYIKQMMYHLPATNDISPSLREITNLLNEENSRLPTASSMSKETTMLEETLDNIHNDINENACEFEPWNFDQEDDHTSVIDDNSTNAEQYFPGHQE >DRNTG_28222.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11865324:11865769:1 gene:DRNTG_28222 transcript:DRNTG_28222.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G32590) UniProtKB/Swiss-Prot;Acc:Q564K3] MSPHLFFICLLHLANEHCLSIHDSPGLDELDIHIPASALVK >DRNTG_28222.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11856805:11865769:1 gene:DRNTG_28222 transcript:DRNTG_28222.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G32590) UniProtKB/Swiss-Prot;Acc:Q564K3] MKSPNLHFSVETLGREHSRANPKSSSMAEQEDGDYAFNLSSPPMTKPPRVASMSTRLQSPTSHPFHLGSNDDQLERAQARAARAAAIRRKPTAPPPPSHSGDHVLFDKNQIMELYHNCIKLASENKINQKNTWELGLIDHLSEIIRVESEDESETNFQKASCTLEAGVKIYSMRVDSVHTEAYKVLGGINRAGGGDEKENIAESDHNEADRGEGPSKKELDKKLSPLSTLESSFDALNVKKFDVAFTVDPLYHQTSAQFDEGGAKGLLLSNLGVYGGCRVLFDSFEVPEISITSHRQAEQSELIDLSFAKDYIKQMMYHLPATNDISPSLREITNLLNEENSRLPTASSMSKETTMLEETLDNIHNDINENACEFEPWNFDQEDDHTSVIDDNSTNAEQYFPGHQEENMDYPTQKPDMDENLERVAEFLSLGLGYTLKSNAWAGPDHWKYHKAKGSGFCRCIRVDNQKKQKTTAS >DRNTG_28222.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11860457:11865769:1 gene:DRNTG_28222 transcript:DRNTG_28222.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G32590) UniProtKB/Swiss-Prot;Acc:Q564K3] MPGQVLIIGSTIRLKVPVSVDASELTIKKSRKLQLPDIDFTECLEKDMRRCDFMPPKNPKTLLLSVNRVPSSVRLPEDCHYRPESLVSLFILPNQMCLGKRRRQSDGLRNEDNLFDAFEAWEDEHMANGQVDDGNGYSDVEDPSSLISKPRQVNKVDIQYDKFSKQVDVHALKDMLWTHMEHSVKAHEEGCDATISFRQVLHYFPNECSNVSPDEMSPHLFFICLLHLANEHCLSIHDSPGLDELDIHIPASALVK >DRNTG_28222.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11863083:11865769:1 gene:DRNTG_28222 transcript:DRNTG_28222.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G32590) UniProtKB/Swiss-Prot;Acc:Q564K3] MLWTHMEHSVKAHEEGCDATISFRQVLHYFPNECSNVSPDEMSPHLFFICLLHLANEHCLSIHDSPGLDELDIHIPASALVK >DRNTG_21678.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1061726:1065896:-1 gene:DRNTG_21678 transcript:DRNTG_21678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDDQNIKYEEEFIVNQRETKLFTCKWIPVNQEPKALVFICHGYGMECSISMRGTGTQLAKAGFEVHGMDYEGHGKSSGLQGYIPNFDNIVDDCSNYFISICERSENKKKKRFLLGESMGGAVALLLHRKEPYFWNGVVLVAPMCKISEEIKPHPIIVNFLGKLANIIPTWRITPTQELLDVAFKNPKFRNEVRNNPYCYKGRPRLKSALELLRVSLDIEKNLDKVSLPFLIVHGEDDKVTDPSTSKLLYESASSKDKTLKLYPGMWHALTSGEPPENINLVFSDIVSWLDDRAINVSNTDLETKQKSKNDKQFSLSQD >DRNTG_29431.16 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001477.1:3053:6526:1 gene:DRNTG_29431 transcript:DRNTG_29431.16 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHFDSPPGSPGAADCGSYDASMPETTRQIVDSS >DRNTG_29431.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001477.1:2183:7973:1 gene:DRNTG_29431 transcript:DRNTG_29431.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHFDSPPGSPGAADCGSYDASMPETTRQIVDSS >DRNTG_29431.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001477.1:1194:7973:1 gene:DRNTG_29431 transcript:DRNTG_29431.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHFDSPPGSPGAADCGSYDASMPETTRQIVDSS >DRNTG_29431.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001477.1:2183:7973:1 gene:DRNTG_29431 transcript:DRNTG_29431.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHFDSPPGSPGAADCGSYDASMPETTRQIVDSS >DRNTG_29431.13 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001477.1:2183:6526:1 gene:DRNTG_29431 transcript:DRNTG_29431.13 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHFDSPPGSPGAADCGSYDASMPETTRQIVDSS >DRNTG_29431.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001477.1:2183:7973:1 gene:DRNTG_29431 transcript:DRNTG_29431.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHFDSPPGSPGAADCGSYDASMPETTRQIVDSS >DRNTG_29431.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001477.1:1194:7973:1 gene:DRNTG_29431 transcript:DRNTG_29431.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHFDSPPGSPGAADCGSYDASMPETTRQIVDSS >DRNTG_29431.19.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001477.1:5910:6526:1 gene:DRNTG_29431 transcript:DRNTG_29431.19 gene_biotype:protein_coding transcript_biotype:protein_coding VPPRQIIFLFNGAEEVFLLVKTLSILLIMLSSLFLPQNFIASLLCKFLILMCSAFSFLISLEVAEMHGNVKNVVIHFIQVITGNEFYVIF >DRNTG_29431.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001477.1:1194:7973:1 gene:DRNTG_29431 transcript:DRNTG_29431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHFDSPPGSPGAADCGSYDASMPETTRQIVDSS >DRNTG_29431.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001477.1:2183:7973:1 gene:DRNTG_29431 transcript:DRNTG_29431.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHFDSPPGSPGAADCGSYDASMPETTRQIVDSS >DRNTG_29431.14 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001477.1:2183:6526:1 gene:DRNTG_29431 transcript:DRNTG_29431.14 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHFDSPPGSPGAADCGSYDASMPETTRQIVDSS >DRNTG_29431.10 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001477.1:3053:7973:1 gene:DRNTG_29431 transcript:DRNTG_29431.10 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHFDSPPGSPGAADCGSYDASMPETTRQIVDSS >DRNTG_29431.17 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001477.1:3084:6526:1 gene:DRNTG_29431 transcript:DRNTG_29431.17 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHFDSPPGSPGAADCGSYDASMPETTRQIVDSS >DRNTG_29431.11 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001477.1:3084:7973:1 gene:DRNTG_29431 transcript:DRNTG_29431.11 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHFDSPPGSPGAADCGSYDASMPETTRQIVDSS >DRNTG_29431.9 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001477.1:1194:6526:1 gene:DRNTG_29431 transcript:DRNTG_29431.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHFDSPPGSPGAADCGSYDASMPETTRQIVDSS >DRNTG_29431.15 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001477.1:3053:6526:1 gene:DRNTG_29431 transcript:DRNTG_29431.15 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHFDSPPGSPGAADCGSYDASMPETTRQIVDSS >DRNTG_29431.12 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001477.1:2183:6526:1 gene:DRNTG_29431 transcript:DRNTG_29431.12 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHFDSPPGSPGAADCGSYDASMPETTRQIVDSS >DRNTG_29431.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001477.1:1987:7973:1 gene:DRNTG_29431 transcript:DRNTG_29431.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHFDSPPGSPGAADCGSYDASMPETTRQIVDSS >DRNTG_27250.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20193139:20198175:1 gene:DRNTG_27250 transcript:DRNTG_27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVGGLKNVTSAFSGDGAPVSDQAATPASLMKLILSSMVAAGVQYGWALQVALLTSYVQTLGLPHSLASIMWLCGPVSAFIVQPSVGVWSDRCHSRFGRRRPFILAGCLLICTSALVIAFSSDIGYALGDTKEHCSVYTGKRWKATIVYVVGFWMLDFANNAVHAPSRALMADLAGPGRFTVANAVMCSWFAVGNILGYSSGATRSWHRWFPFLNTRACCEACANLKGAFLIHVVFILLCSSVSLFFAKEVPLEGKTGMVTANEQRSGVIELLNSLRHLPPGMAPVLVVTSLSWLSWFPFFLYNNDWMGREIHHGDPEGTQAQRKAYENGIRDGSFGLLLNSIVLGIGSFLLEPICRKLTTRVVWAISNFILFFAFASMCIVSIWSTNGYSYGVNKEEEVNRRVRAVALIIFAALGFPLTVLFSVPPAVAAQLADIGGTGQGLSIGILIIFAVIPQVIISVSAGPWDALFHKGNLPAFAMASFFAFFSAFVAFFVLPKLKTSTFSASH >DRNTG_05028.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5665348:5671212:-1 gene:DRNTG_05028 transcript:DRNTG_05028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIWDKHKANPVALCYPRRPPYGAVWGRTQTLPRVSLGTDGPHTGPYGGWMPHTKRLASKCPRLTTPSYAPDKPVFKLAHHPERTHSEARFTGGFLNAMTEEESIKVGDKAPTKPQRPPLQTLAVSPLKRRTKMRERI >DRNTG_07504.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27037753:27040473:1 gene:DRNTG_07504 transcript:DRNTG_07504.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVKLFGFYLSPFSRRVELALKLKGVPFEYIEEDLANKSALLVQYNPVHKKIPVLLHGGRPVIESLIILEYIDETWDGNHAILPKDPFERALARFWVKFIDDKVLGALWMSFWSDGEMQKNFMEQLKEYLQLLENELKGKKFFGGDTIGIVDIAANFITLWAIVLQEVAEISLINEENHPILCNWIEEFLSSEVVKESLPEKEKLFAYFHANKEIIFATKAPAY >DRNTG_07504.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27037753:27041189:1 gene:DRNTG_07504 transcript:DRNTG_07504.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVKLFGFYLSPFSRRVELALKLKGVPFEYIEEDLANKSALLVQYNPVHKKIPVLLHGGRPVIESLIILEYIDETWDGNHAILPKDPFERALARFWVKFIDDKVLGALWMSFWSDGEMQKNFMEQLKEYLQLLENELKGKKFFGGDTIGIVDIAANFITLWAIVLQEVAEISLINEENHPILCNWIEEFLSSEVVKESLPEKEKLFAYFHANKEIIFATKAPAY >DRNTG_07504.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27037738:27041189:1 gene:DRNTG_07504 transcript:DRNTG_07504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFWSDGEMQKNFMEQLKEYLQLLENELKGKKFFGGDTIGIVDIAANFITLWAIVLQEVAEISLINEENHPILCNWIEEFLSSEVVKESLPEKEKLFAYFHANKEIIFATKAPAY >DRNTG_29933.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2767145:2768104:-1 gene:DRNTG_29933 transcript:DRNTG_29933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDGAEEERFEDKGQGVMGFIGVSGDNNGERKTWWPCVDKERKRGIRACRPCGMRSGTVHLLLFHQPGVFFGPSDRIDHGRSFNSC >DRNTG_11071.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12110136:12111278:1 gene:DRNTG_11071 transcript:DRNTG_11071.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQHVLIHLGNIMAEYIRHQGHYARLGTIFSGPYITRLVLGIGLLDSIHGAEKTSIPAPLSLETMRLMGMICKVRTGVFALVRPAPEIAEDEGDEVGASQPAPESQPSPMETEVPPVAEEPPPVHIFSPSRAYDRFERLERALGVIRVEVVEARAEIAKIWDTQATQYIEFIARFDAPAAADLASSSPTPAPVDPPCGSSAAAAAQELERNTDI >DRNTG_33315.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23661767:23666211:-1 gene:DRNTG_33315 transcript:DRNTG_33315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDAGEADGDLSDAPQSSPPFRKRPAAETEAEPLPPSDMVETSNSSPNRNPSPLHGAPDYEWSCFADEDPMLQSSAVPEDEAAKKPLIGDKELLSALAAEYQSGSPILLEKIKLLGEQYVALRRARGDGNCFFRCFMFSYLEHILETQDKAEVDRITAKVEECKTTLQNLGYADFTFEDFFSVFLEQLENVSRGNDTPVSHEVLVEVSRDQSTSDYVVMFFRFVTAGEIQRRAEFFEPFIVGLSSATVSQFCKTSVEPMGEESDHVHIIALSDALGVPIRVIYLDRSSCDTGSVKVNHHDFIPASDGHKEISQSSGSDIGNLSKPYITLLYRPGHYDILYPK >DRNTG_10997.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18837349:18838843:1 gene:DRNTG_10997 transcript:DRNTG_10997.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSGSPAVRSELRVLSPLRSALLGCRPRRSERILPRRLPRDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKTKNIKHSGNISLDDVIEIARIMSPRSMAKDLSGTVKEILGTCVSVGCTVDGKDPKDLQQEITEGDVEVPLEVNVPG >DRNTG_10997.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18837349:18838087:1 gene:DRNTG_10997 transcript:DRNTG_10997.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSGSPAVRSELRVLSPLRSALLGCRPRRSERILPRRLPRDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKTKNIKHSGNISLDDVIEIARIMSPRSMAKDLSGTVKEILGTCVSVGCTVDGKDPKDLQQEITEGDVEVPLE >DRNTG_08654.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16896774:16898259:1 gene:DRNTG_08654 transcript:DRNTG_08654.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQEEDLIYRLHRLLGDRWEMIAGRLQNRGAEEVEEYWRIMEEKRRKEVEIIKPIAFRISSSFKFTMNSVDQKTDH >DRNTG_08654.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16896774:16898259:1 gene:DRNTG_08654 transcript:DRNTG_08654.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEYVGENKLVKEMSSQEEDLIYRLHRLLGDRWEMIAGRLQNRGAEEVEEYWRIMEEKRRKEVEIIKPIAFRISSSFKFTMNSVDQKTDH >DRNTG_08654.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16896774:16897468:1 gene:DRNTG_08654 transcript:DRNTG_08654.10 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEYVGENKLVKEMSSQEEDLIYRLHRLLGDRWEMIAGRLQNRRAEEVCVFF >DRNTG_08654.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16896709:16897468:1 gene:DRNTG_08654 transcript:DRNTG_08654.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEYVGENKLVKEMSSQEEDLIYRLHRLLGDRWEMIAGRLQNRRAEEVCVFF >DRNTG_08654.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16896709:16898157:1 gene:DRNTG_08654 transcript:DRNTG_08654.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEYVGENKLVKEMSSQEEDLIYRLHRLLGDRWEMIAGRLQNRGAEEVEEYWRIMEEKRRKEVEIIKPIAFRISSSFKFTMNSVDQKTDH >DRNTG_08654.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16896774:16898157:1 gene:DRNTG_08654 transcript:DRNTG_08654.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEYVGENKLVKEMSSQEEDLIYRLHRLLGDRWEMIAGRLQNRGAEEVEEYWRIMEEKRRKEVEIIKPIAFRISSSFKFTMNSVDQKTDH >DRNTG_08654.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16896709:16897468:1 gene:DRNTG_08654 transcript:DRNTG_08654.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQEEDLIYRLHRLLGDRWEMIAGRLQNRRAEEVCVFF >DRNTG_08654.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16896709:16898157:1 gene:DRNTG_08654 transcript:DRNTG_08654.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQEEDLIYRLHRLLGDRWEMIAGRLQNRGAEEVEEYWRIMEEKRRKEVEIIKPIAFRISSSFKFTMNSVDQKTDH >DRNTG_08654.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16896774:16897468:1 gene:DRNTG_08654 transcript:DRNTG_08654.11 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQEEDLIYRLHRLLGDRWEMIAGRLQNRRAEEVCVFF >DRNTG_08654.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16896709:16898259:1 gene:DRNTG_08654 transcript:DRNTG_08654.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQEEDLIYRLHRLLGDRWEMIAGRLQNRGAEEVEEYWRIMEEKRRKEVEIIKPIAFRISSSFKFTMNSVDQKTDH >DRNTG_08654.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16896709:16898259:1 gene:DRNTG_08654 transcript:DRNTG_08654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEYVGENKLVKEMSSQEEDLIYRLHRLLGDRWEMIAGRLQNRGAEEVEEYWRIMEEKRRKEVEIIKPIAFRISSSFKFTMNSVDQKTDH >DRNTG_15133.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5400015:5400891:-1 gene:DRNTG_15133 transcript:DRNTG_15133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSITRMEAVCAIWGTKQSLGSVPDDPPYSPPYWAVYDTIQNTREGFSGHTTPIQAVWGPNVVDEEIDNQPWSDICTTWVTTWNKLSCCDERPNSKDKDKHGDFIL >DRNTG_13752.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:981200:982482:-1 gene:DRNTG_13752 transcript:DRNTG_13752.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKVEQSHEHRITKIIYKGAHNHIKPPLSHWVPAPDYLENMASQIDLDGNLLTTTTQDYNQCATSEFGDPSISSDEEDDWGACDGEKEEAESKRR >DRNTG_13752.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:980075:983452:-1 gene:DRNTG_13752 transcript:DRNTG_13752.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWDDNSVIGGWPPTSISNFLNDDFSLKFTPLIEDDHDVPRMKTDKQKLDSSTAKEDDGFRFSSGPSVEISKIDVHKPIARSGLSERRPAWSGFTTPKINTTRIISAKLDSTTSEVRSPYLTISPGLSPTTLLDSPKLLSNMAQLSPTTGKLSYNKYDNTELVSATRIPDKARDHHTLEEVPELFAFKSHPEPYSSYFASADNKSLTSIGETAITFQTNLNLQTGLSNSSEISNPLEKVIDSFQSSDNSPPLNDQKDAEVIIAEQAEDGYSWWKYGQKQVKGCDYPRTYYRCAHLNCPMKKKVEQSHEHRITKIIYKGAHNHIKPPLSHWVPAPDYLENMASQIDLDGNLLTTTTQDYNQCATSEFGDPSISSDEEDDWGACDGEKEEAESKRRKIDASVIDMSTASSGAHEPRVVVQTTSEIDVLDDGYRWRKYGQKVVKGNPNPRSYYKCTNPGCSVRKHVERASNDLKSVITSYEGKHNHDIPAARGNNHSNSTSSIATTSAISRPQLLRKRPELTLAQDSLTTSDGFSLAIRQQGLNNLGVMELSMGTPMKVSELHQVHPLLSVNGKYYRVNNTKQRTNQMPLEDSGQTKSRMQQNHWFITR >DRNTG_13752.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:981200:981849:-1 gene:DRNTG_13752 transcript:DRNTG_13752.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKVEQSHEHRITKIIYKGAHNHIKPPLSHWVPAPDYLENMASQIDLDGNLLTTTTQDYNQCATSEFGDPSISSDEEDDWGACDGEKEEAESKRR >DRNTG_13752.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:980075:983068:-1 gene:DRNTG_13752 transcript:DRNTG_13752.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWDDNSVIGGWPPTSISNFLNDDFSLKFTPLIEDDHDVPRMKTDKQKLDSSTAKEDDGFRFSSGPSVEISKIDVHKPIARSGLSERRPAWSGFTTPKINTTRIISAKLDSTTSEVRSPYLTISPGLSPTTLLDSPKLLSNMAQLSPTTGKLSYNKYDNTELVSATRIPDKARDHHTLEEVPELFAFKSHPEPYSSYFASADNKSLTSIGETAITFQTNLNLQTGLSNSSEISNPLEKVIDSFQSSDNSPPLNDQKDAEVIIAEQAEDGYSWWKYGQKQVKGCDYPRTYYRCAHLNCPMKKKVEQSHEHRITKIIYKGAHNHIKPPLSHWVPAPDYLENMASQIDLDGNLLTTTTQDYNQCATSEFGDPSISSDEEDDWGACDGEKEEAESKRRKIDASVIDMSTASSGAHEPRVVVQTTSEIDVLDDGYRWRKYGQKVVKGNPNPRSYYKCTNPGCSVRKHVERASNDLKSVITSYEGKHNHDIPAARGNNHSNSTSSIATTSAISRPQLLRKRPELTLAQDSLTTSDGFSLAIRQQGLNNLGVMELSMGTPMKVSELHQVHPLLSVNGKYYRVNNTKQRTNQMPLEDSGQTKSRMQQNHWFITR >DRNTG_13752.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:980075:981103:-1 gene:DRNTG_13752 transcript:DRNTG_13752.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASSGAHEPRVVVQTTSEIDVLDDGYRWRKYGQKVVKGNPNPRSYYKCTNPGCSVRKHVERASNDLKSVITSYEGKHNHDIPAARGNNHSNSTSSIATTSAISRPQLLRKRPELTLAQDSLTTSDGFSLAIRQQGLNNLGVMELSMGTPMKVSELHQVHPLLSVNGKYYRVNNTKQRTNQMPLEDSGQTKSRMQQNHWFITR >DRNTG_07769.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1041709:1044652:1 gene:DRNTG_07769 transcript:DRNTG_07769.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor C subunit 5 [Source:Projected from Arabidopsis thaliana (AT1G77470) UniProtKB/Swiss-Prot;Acc:Q9CAQ8] MRTSYRTCCSMDHRGRGKTSTILAVARKLYGSQYRNMILELNASDDRGIDVVRQQIVDFASARSLSFSGQAPVKLVLLDEADAMTKDAQFALRRVIEKHTKSTRFALICNHVNKIIPALQSRCTRFRFAPLDATYIRERIQYVIKAEGLDVDEGGLTALVRLSNGDMRKALNILQSTHMASPHITEEAVYLCTGNPMPKDIEQIAFWLLNESFTTSFRKISDMKMRKGLALVDIVREVTMFVFKIKMPSDVRVKLINDLADIEYRLSFGCNDKLQLGSLVSTFTNARCAIVAAAK >DRNTG_17270.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5326985:5328303:-1 gene:DRNTG_17270 transcript:DRNTG_17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSSFDRHERSAEVQVDDSHDTSKWV >DRNTG_04379.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27099437:27099942:1 gene:DRNTG_04379 transcript:DRNTG_04379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRDNKEIKEELSCTTTTTTTTTTTPSSS >DRNTG_02224.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1165615:1168284:-1 gene:DRNTG_02224 transcript:DRNTG_02224.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKLDPLSPEVLGSSVDEQRIGGNREETTSLPRQTDRDLHVLSPPGKISIDFGGDDGFRRERREKAATLHEEAIVISTTSSVR >DRNTG_07317.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3323689:3326587:1 gene:DRNTG_07317 transcript:DRNTG_07317.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit H [Source:Projected from Arabidopsis thaliana (AT3G42050) UniProtKB/Swiss-Prot;Acc:Q9LX65] MVDLGLPQLVQSLKAQTWSDEDLLDALNQLEEGLKDNIKRLSSFDKYKQEVLLGHLDWSPMHKDPNFWRENITHFEENDFQILRVLITILDTSNDPTALAVACHDISQFIQYHPSGRIILSDLKTKERVMKLMNHENAEVTKNALLCVQRLFLGTKYASFLQT >DRNTG_07317.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3321723:3326587:1 gene:DRNTG_07317 transcript:DRNTG_07317.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit H [Source:Projected from Arabidopsis thaliana (AT3G42050) UniProtKB/Swiss-Prot;Acc:Q9LX65] MMRLLWKSNWFIQEKSCKILSLIVSVRPTSQNGLVSNGETSHSKSTFTTIDDVLKGLVEWLCSQLKSPSHPSCAVPTAINCLATLLRETSVRASFVQADGVKLLIPLISPASTQQSIQLLYETCLCVWLLSYYDAAVDYLSTTRVLPRLVDVIKGSSKEKVVRVVILTFRNLLSKGAFGAQMVDLGLPQLVQSLKAQTWSDEDLLDALNQLEEGLKDNIKRLSSFDKYKQEVLLGHLDWSPMHKDPNFWRENITHFEENDFQILRVLITILDTSNDPTALAVACHDISQFIQYHPSGRIILSDLKTKERVMKLMNHENAEVTKNALLCVQRLFLGTKYASFLQT >DRNTG_07317.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3321723:3326587:1 gene:DRNTG_07317 transcript:DRNTG_07317.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit H [Source:Projected from Arabidopsis thaliana (AT3G42050) UniProtKB/Swiss-Prot;Acc:Q9LX65] MMRLLWKSNWFIQEKSCKILSLIVSVRPTSQNGLVSNGETSHSKSTFTTIDDVLKGLVEWLCSQLKSPSHPSCAVPTAINCLATLLRETSVRASFVQADGVKLLIPLISPASTQQSIQLLYETCLCVWLLSYYDAAVDYLSTTRVLPRLVDVIKGSSKEKVVRVVILTFRNLLSKGAFGAQMVDLGLPQLVQSLKAQTWSDEDLLDALNQLEEGLKDNIKRLSSFDKYKQEVLLGHLDWSPMHKDPNFWRENITHFEENDFQILRVLITILDTSNDPTALAVACHDISQFIQYHPSGRIILSDLKTKERVMKLMNHENAEVTKNALLCVQRLFLGTKYASFLQT >DRNTG_07317.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3321723:3326587:1 gene:DRNTG_07317 transcript:DRNTG_07317.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit H [Source:Projected from Arabidopsis thaliana (AT3G42050) UniProtKB/Swiss-Prot;Acc:Q9LX65] MDRAELTTEQVLKRDIPWETYMSTKLITGTGLQLLRRYDKRPESQRAALLDEDGPAYVRVFVSILRNISKEETIEYVLGLIDEMLTANPKRARLFHDKSLSNEDNYEPFLRLLWKSNWFIQEKSCKILSLIVSVRPTSQNGLVSNGETSHSKSTFTTIDDVLKGLVEWLCSQLKSPSHPSCAVPTAINCLATLLRETSVRASFVQADGVKLLIPLISPASTQQSIQLLYETCLCVWLLSYYDAAVDYLSTTRVLPRLVDVIKGSSKEKVVRVVILTFRNLLSKGAFGAQMVDLGLPQLVQSLKAQTWSDEDLLDALNQLEEGLKDNIKRLSSFDKYKQEVLLGHLDWSPMHKDPNFWRENITHFEENDFQILRVLITILDTSNDPTALAVACHDISQFIQYHPSGRIILSDLKTKERVMKLMNHENAEVTKNALLCVQRLFLGTKYASFLQT >DRNTG_07317.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3321723:3326587:1 gene:DRNTG_07317 transcript:DRNTG_07317.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit H [Source:Projected from Arabidopsis thaliana (AT3G42050) UniProtKB/Swiss-Prot;Acc:Q9LX65] MDRAELTTEQVLKRDIPWETYMSTKLITGTGLQLLRRYDKRPESQRAALLDEDGPAYVRVFVSILRNISKEETIEYVLGLIDEMLTANPKRARLFHDKSLSNEDNYEPFLRLLWKSNWFIQEKSCKILSLIVSVRPTSQNGLVSNGETSHSKSTFTTIDDVLKGLVEWLCSQLKSPSHPSCAVPTAINCLATLLRETSVRASFVQADGVKLLIPLISPASTQQSIQLLYETCLCVWLLSYYDAAVDYLSTTRVLPRLVDVIKGSSKEKVVRVVILTFRNLLSKGAFGAQMVDLGLPQLVQSLKAQTWSDEDLLDALNQLEEGLKDNIKRLSSFDKYKQEVLLGHLDWSPMHKDPNFWRENITHFEENDFQILRVLITILDTSNDPTALAVACHDISQFIQYHPSGRIILSDLKTKERVMKLMNHENAEVTKNALLCVQRLFLGTKYASFLQT >DRNTG_02577.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19406177:19407023:1 gene:DRNTG_02577 transcript:DRNTG_02577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEPVSPTGQYLSSSVLNVTVLVVFETSIPIDDSQAIAALQSIFLPINPRFSSIMVRDEHGVQKWRKVQVKLEEHLKVPVFPQGLEQYDEFLQDYITKISMEPLPFSKPLWDVSVIKYPTSTAAGTFVFRLHHALGDGYSLMAALFSCLKRVDDPSLPLTFPSSKPRRRWDSFLGFAQELSYACVNTIRDFGWSLMKSSVIEDSVSAIRSGVPFVESRPINLSYFE >DRNTG_11482.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15299682:15300233:1 gene:DRNTG_11482 transcript:DRNTG_11482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINFPTFFTSKDSKLSKKSKKKPNSSSSFSSSSSDDSISSSITRSPKTVLPPSPSSDLFDVDKITARDLETVIRRLGHSPISDEDLSLILAEIVADDGCIPLESLTPKPSGPADLHDAFSVFDADGDGRISAEELLGVFVAIGDDGCTIEQCRRMIGAVDSDGDGFVCFQDFVRLMDGQRSC >DRNTG_01668.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23880981:23883986:-1 gene:DRNTG_01668 transcript:DRNTG_01668.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSSYNVGQSDTLPDSRASDDHQGKRNISVSLTSIIVQLLQILYGIYPQGNPHAFLVAAPSPRISASIYH >DRNTG_18306.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2566478:2570386:1 gene:DRNTG_18306 transcript:DRNTG_18306.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein 7 [Source:Projected from Arabidopsis thaliana (AT1G21760) UniProtKB/Swiss-Prot;Acc:Q9XI00] MASDVSLPPEASTYSRLIAMQFMGTERPWLNLYGIRVRPVAAFGSVSSKDLVDPALIHRCLPDELLFEVFARMTPYTLGRAACVCRKWKYAIRNPSLWRSACLKTWQLSGPITNYQLAHSVYDGSWKNMWVHRPRIRMDGLYVSRNTYIRVGVAEWKVTNPVHVVCYYRYLKFYPNGKFVYKISSQKLKEVAKCMNFRASKTDCVHKGDYGLDGDLIKAAILYPGLRRTLLRIHLRVRGTTVGANNRLDLLKLVTTGVDDSELNDPNDDMLGVVEGWQEDETHNPDVPAISHKRGLTPFVFVPFEEVETSVLNLPVDKMDYFVTG >DRNTG_00613.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22429260:22431232:-1 gene:DRNTG_00613 transcript:DRNTG_00613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVGDGAGMPRVVTILSSLLQRATDRNDAERLVSGGATWRVSAFHGLTKPSISLRAYLERIFKYAGCSTSCYVVAYIYLDRFAQRHPSIPFDSLNVHRFLITSVLLAVKFLDDLYYNNAYFAKVGGISLMEMNFLEVDLLFGLGFNLNVTPFTFTTYCSILQREMYLESPPVLPKFHCFLSEEESSSCQQKQLIV >DRNTG_20237.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001105.1:97820:144900:-1 gene:DRNTG_20237 transcript:DRNTG_20237.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 3-alpha [Source:Projected from Arabidopsis thaliana (AT5G63920) UniProtKB/Swiss-Prot;Acc:Q9LVP1] MSGRGGTWVLNVAEKPSVAKSVAEILSHAGGGLRSRDGRSRYNRIFEFDYSIRGQRCHMLMTSVTGHLMELEFDDRFRKWHSCDPADLYHAPVRKHVPQDKLDIKRTLEEEARKCQWLVLWLDCDREGENIAFEVVEVCTSANHHLNVWRAHFSALTNREIHEAVQNLVRPNRLFSDAVDARQEIDLRIGASFTRFQTLLLRDAFVLDFAGADGNIVLSYGPCQFPTLGFIVERYWEIQSHEPEEFWTINCSHTSDNGTATFNWMRGHLFDYTCSVIIYEMCVEEPTATVQNVRHQEKLKYPPYPLSTVELQKRASRYFRISSEHTMKVAEELYQAGFISYPRTETDSFSSSMDLHAIVREQEQHPIWGPYALQLLNTESRLWRNPGSGGHDDKAHPPIHPTKFSDGESSWSQDHHKIYELVVRHFLACVSQPAVGAETTVEIDIAGEQFSASGRTIIAKNYLDVYRFESWGDYIIPTYTIGEQFLPTTLTLDSGVTRPPPLLSESDLLSCMDKGEALVMGYDVMGYELWKPYLRSMMECDMKAVSMGTKIKSEVLESCLQQMKACFLDARQNKAKLLEAMGIFFERSNRSGGDTGNAVEIVRPCGVCRESNMGLKRNSNGHFMVGCLSYPLCRNVVWLPGAISEAAITSQICPSCSPGPVFLIQFKFRRLEIPPNYDIDHLGCIGGCDATLRELTEICGTGSRNLPGMTARAQRAAYPSSDSQSGHRRQRSCAHCRQTSHASNDCPSLTSHARGVHSRRSQNPRNDPGQISCHCGEPCTLRTANTENNRGRKFYTCQSRDCDFFLWEDNGNNDGSQRQSASHGRSCRSSSSSRRGGRSRGQRATETDGVAFVSATGERVSGRCYVCGDPSHFANVCPNRGR >DRNTG_20237.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001105.1:141998:142385:-1 gene:DRNTG_20237 transcript:DRNTG_20237.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 3-alpha [Source:Projected from Arabidopsis thaliana (AT5G63920) UniProtKB/Swiss-Prot;Acc:Q9LVP1] QEIDLRIGASFTRFQTLLLRDAFVLDFAGADGNIVLSYGPCQFPTLGFIVERYWEIQSHEPEEFWTINCSHTSDNGTATFNWM >DRNTG_33522.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20564132:20566339:-1 gene:DRNTG_33522 transcript:DRNTG_33522.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKSGASSWLTAVKRAFRSPSKDDHEEKRREKRRWTFRKASTSRETQGGASAEERHAIALAVATAATAEAAVATAKAAAEVVRLARPAASASFVRENYAAIVIQTAFRGYLARRALKALRGLVKLQALVRGHNVRKQAKMTLRCMQALVRVQARVREERLRLVGRDADEVSSFWDSAYGQEMAERRSIQSRDGSSFADDWDERPHTIQEIQALLQSRKEAVIRRERDLSHAFSQQLWKRVERKTTSSEDEDMAAASEGEQRPRRWLDRWAASRASCDGRPNRARSSMMDHRDPIKTLEIDTGRPFSYTTPANLRRVPPASSPFLARSASPRLVASPRTSAMPNYMATTESAKARTRSQSAPRQRPMTPERDQSGATAKKRLSFPEGYCQSLRSPSFKSASGMSVLEQRSNASSSYADSLAGEMSPAPSPALVKELRRWLR >DRNTG_22080.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20285127:20288525:1 gene:DRNTG_22080 transcript:DRNTG_22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RRP41L [Source:Projected from Arabidopsis thaliana (AT4G27490) UniProtKB/TrEMBL;Acc:A0A178UYE3] MATAPTTYSPSQGLERRQQQQRRRIFKEEDWVRSDGRGFNQCRPAFLRTGAGNAASGSAYAEFGTTKVIVSVFGPRESKKAMMYSDIGRLNCNVSFTTFSTPTRGQGLDNKEYSSMLHKALEGAIILESFPKTTVDVFALVLESGGSDLPVIITCASLALADAGIMMYDLVSSVSVSCFGKNLVIDPTAEEEAFQDGSFMVTYMPSRKEVTQLTLTGEWSTAKINEAMELCLDACLKLADVLRSCLKEAANAG >DRNTG_20774.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21853682:21855655:-1 gene:DRNTG_20774 transcript:DRNTG_20774.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELEALSEGGMRSGMFFKDLSEDSTTKRLHRRLR >DRNTG_16623.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31419016:31420719:-1 gene:DRNTG_16623 transcript:DRNTG_16623.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRIALSFISCSSFIRSFKPSVCHYGRPFPQALSSSFSFHCPLIPPPPPFRLCILGAARSLSSGSNTNTNNTNSPASFDWSDDDEHEEKKKMSEKSKLPPPYDPFSKKASVVEEAGDPSDLQTVFHKMRTEGLTNSAIKMFDALSKDGLTHEALELFSIIKDKGSMPDVVAHTAVIEAYASAAGHSKDAIRTFDRMLASGVSPNAYTYTVLIKGLARDGKLPEARKYLLEMMGNGMCPNAGTYVAVFEAYSREQRLQDARSLLEEMRDQGLQSG >DRNTG_16623.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31419514:31420739:-1 gene:DRNTG_16623 transcript:DRNTG_16623.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRIALSFISCSSFIRSFKPSVCHYGRPFPQALSSSFSFHCPLIPPPPPFRLCILGAARSLSSGSNTNTNNTNSPASFDWSDDDEHEEKKKMSEKSKLPPPYDPFSKKASVVEEAGDPSDLQTVFHKMRTEGLTNSAIKMFDALSKDGLTHEALELFSIIKDKGSMPDVVAHTAVIEAYASAAGHSKDAIRTFDRMLASGVSPNAYTYTVLIKGLARDGKLPEARKYLLEMMGNGMCPNAGTYVAVFEAYSREQRLQDARSLLEEMRDQGLQSG >DRNTG_16623.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31419540:31420719:-1 gene:DRNTG_16623 transcript:DRNTG_16623.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRIALSFISCSSFIRSFKPSVCHYGRPFPQALSSSFSFHCPLIPPPPPFRLCILGAARSLSSGSNTNTNNTNSPASFDWSDDDEHEEKKKMSEKSKLPPPYDPFSKKASVVEEAGDPSDLQTVFHKMRTEGLTNSAIKMFDALSKDGLTHEALELFSIIKDKGSMPDVVAHTAVIEAYASAAGHSKDAIRTFDRMLASGVSPNAYTYTVLIKGLARDGKLPEARKYLLEMMGNGMCPNAGTYVAVFEAYSREQRLQDARSLLEEMRDQGLQSG >DRNTG_16623.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31419514:31420719:-1 gene:DRNTG_16623 transcript:DRNTG_16623.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRIALSFISCSSFIRSFKPSVCHYGRPFPQALSSSFSFHCPLIPPPPPFRLCILGAARSLSSGSNTNTNNTNSPASFDWSDDDEHEEKKKMSEKSKLPPPYDPFSKKASVVEEAGDPSDLQTVFHKMRTEGLTNSAIKMFDALSKDGLTHEALELFSIIKDKGSMPDVVAHTAVIEAYASAAGHSKDAIRTFDRMLASGVSPNAYTYTVLIKGLARDGKLPEARKYLLEMMGNGMCPNAGTYVAVFEAYSREQRLQDARSLLEEMRDQGLQSG >DRNTG_16623.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31419540:31420791:-1 gene:DRNTG_16623 transcript:DRNTG_16623.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRIALSFISCSSFIRSFKPSVCHYGRPFPQALSSSFSFHCPLIPPPPPFRLCILGAARSLSSGSNTNTNNTNSPASFDWSDDDEHEEKKKMSEKSKLPPPYDPFSKKASVVEEAGDPSDLQTVFHKMRTEGLTNSAIKMFDALSKDGLTHEALELFSIIKDKGSMPDVVAHTAVIEAYASAAGHSKDAIRTFDRMLASGVSPNAYTYTVLIKGLARDGKLPEARKYLLEMMGNGMCPNAGTYVAVFEAYSREQRLQDARSLLEEMRDQGLQSG >DRNTG_16623.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31419540:31420739:-1 gene:DRNTG_16623 transcript:DRNTG_16623.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRIALSFISCSSFIRSFKPSVCHYGRPFPQALSSSFSFHCPLIPPPPPFRLCILGAARSLSSGSNTNTNNTNSPASFDWSDDDEHEEKKKMSEKSKLPPPYDPFSKKASVVEEAGDPSDLQTVFHKMRTEGLTNSAIKMFDALSKDGLTHEALELFSIIKDKGSMPDVVAHTAVIEAYASAAGHSKDAIRTFDRMLASGVSPNAYTYTVLIKGLARDGKLPEARKYLLEMMGNGMCPNAGTYVAVFEAYSREQRLQDARSLLEEMRDQGLQSG >DRNTG_21146.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2358685:2359044:1 gene:DRNTG_21146 transcript:DRNTG_21146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGSVRRSECLDWLDKQVEKSVLYVAFGTTSMMSDEQ >DRNTG_24399.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2666004:2675786:1 gene:DRNTG_24399 transcript:DRNTG_24399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARKAQEVAHHQINHLTIKGYGHHLLPLEKRQCNKLPTTTCVMCHSGIETVDHLFLHCPYVRPVWNYFSNLLH >DRNTG_10962.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1042596:1045422:1 gene:DRNTG_10962 transcript:DRNTG_10962.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSLINGESPHHHNNNNNNNNHHAHISCNLSSPFSDSSPSSSFSGVRRTRSDGNLHSLPSDHHHESSSSSRRSNPMLESIPSFSVYNSKLPQNLEEEKEEEDEKDEDQEEVLGIEREFSFSRGKQGPLFLARGLGIDRVASGMMLVGGGGGGGEMVEMDMYYKKMVDEDPSNALVLRNYAKFLYEIKSDLPKAEEYYSRAILAEPGDGEILSQYAKLVWELHKDEERACTYFEQAIQASPHDSHVAAAYASFLWETEEDEEEGVNEFNGAQVSHGTLTPATA >DRNTG_08714.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18100617:18107603:1 gene:DRNTG_08714 transcript:DRNTG_08714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFMGRRSKESEEERGAGGKMLRGRSRAGEVAVSPYARPQRSTAQSESPKWISSLISGAGKIISSVFGSQSSSPSSSSSDCSGKDDASDVSSKELVDQNQNGQNSEALINHGKESLAIVAKSETKLAIEQLLTEETFSRDESDRLMKIIQSRVVETPSNKVGLYGEDVNLHNKNCGGDIAFQGTCSSFDRKAKSQETIPHGAINLDALSPGYSSLQTHTPYLRTTAVMEAKKWFKERKLGSSSKFDLDCGPCAFNTDMLQYDIASEVGSPVDVAKTYMRCLPPWQSSPLSDIGFKTPPSSGGHLVKDETCHATYNQSFPSLKGLKRNYVALGSYDANVENRNVRLKSTDDAFRIPKSRQGSFERFLEHGNSRISLAADKTGLYLEDHSDDHHKLSVSHPTKTSKIISSIVSEPHNPVPSINATLEIPILTNSSDLSQSIVAGEPRQLDPSDVRISVENQEVEEPSGMKVQTAGVAACLPVENGGPSSNTENPLVAANEMPSEETNPLLLSMQKNSGSQGVSQADRPQMTKISSGETHSLSETRHNNGNTEPQNGSTQKISANNSSVELNTTSNLEPAINGSQAGIASNSSDGTRMKTIERMLAEPQPNVIRKGKKQVVSRSKRGRGRGS >DRNTG_16033.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:343133:343445:-1 gene:DRNTG_16033 transcript:DRNTG_16033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFQISRSIRMPQLHTSLQSLHPRRGREHVSTSDVLPEALGKSE >DRNTG_14930.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27149159:27152168:1 gene:DRNTG_14930 transcript:DRNTG_14930.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNWKGPSPRDLLCTFCRQHRLCEPLFNVKFLDSPGKFSELSRTCKNWKPSMLEEEIEKANTEADHVSNRDEERVSSFQCEVKILSRRGDPILVCSVEDAFRKEVDSIQNAALKVLLWFNEYFKQLNMPIGKLCLFGEAHQINVDPVHFLKEFVNPLSLYDANINFSSRNCTSSRSLCNALDREQETEIIFSNPEGADSGVFPSPGSLTCISYVVALVKNNGHLEEILESNDEFEFEIGTGSVISQLEACITQLSTNQSAKFITGLPPKDLILAASTKSDILLSQLSLDKCFLEYTVKILRVTEPLEDRLEQALFSPPLSKQRVDFAVQQINENHAASLVDFGCGSGSLLDSLLEHSTSLEKIVGVDISVKGLTRAAKILHTKLSVKSSKIQSAVLYDGSITDFDSRLHGFDIGSCLEVIEHMEEHQAHLFGDAVLSLFCPQVLIVSTPNYEYNTILQRSSLPSREEDPEDKSVPCKFRNFDHKFEWTRGQFEHWARNLASRHNYSVMFSGVGGSGDVEPGFASQIAVFRKQVHRDEKCIKMESCHPYNIVWEWSNKNSSVAT >DRNTG_14930.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27145813:27152168:1 gene:DRNTG_14930 transcript:DRNTG_14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRCSLDLPDLSVTSDTFTKKKEAEQSAAKIAIEKLGIQSTTNDPTPQEAMDELVARISGLFTNEFLSSSHPLTGHIRVALKKMGACFGRIPISVIAASDVKVCNLCRVINPDVESDPLLLISLVRKAAKMSDSLVTSDKEPWISRQGAYSAEVLQLLADCESCQTGCVDIGALLIPCSTEKHPESLSLNIDNNYYYLDAIAQVLGGADSSQILISRTVGKASSEMKLYFHVPKVSNWPAYLLSEVEGKNGSLNLMLNKRASYLSGQRIYGDAVLANVGYTWKSSDLFYEDVSLCTYYRLLLNKVPDGHYKLSREALLIAELPTRYTSRMNWKGPSPRDLLCTFCRQHRLCEPLFNVKFLDSPGKFSELSRTCKNWKPSMLEEEIEKANTEADHVSNRDEERVSSFQCEVKILSRRGDPILVCSVEDAFRKEVDSIQNAALKVLLWFNEYFKQLNMPIGKLCLFGEAHQINVDPVHFLKEFVNPLSLYDANINFSSRNCTSSRSLCNALDREQETEIIFSNPEGADSGVFPSPGSLTCISYVVALVKNNGHLEEILESNDEFEFEIGTGSVISQLEACITQLSTNQSAKFITGLPPKDLILAASTKSDILLSQLSLDKCFLEYTVKILRVTEPLEDRLEQALFSPPLSKQRVDFAVQQINENHAASLVDFGCGSGSLLDSLLEHSTSLEKIVGVDISVKGLTRAAKILHTKLSVKSSKIQSAVLYDGSITDFDSRLHGFDIGSCLEVIEHMEEHQAHLFGDAVLSLFCPQVLIVSTPNYEYNTILQRSSLPSREEDPEDKSVPCKFRNFDHKFEWTRGQFEHWARNLASRHNYSVMFSGVGGSGDVEPGFASQIAVFRKQVHRDEKCIKMESCHPYNIVWEWSNKNSSVAT >DRNTG_14930.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27148517:27152168:1 gene:DRNTG_14930 transcript:DRNTG_14930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYFHVPKVSNWPAYLLSEVEGKNGSLNLMLNKRASYLSGQRIYGDAVLANVGYTWKSSDLFYEDVSLCTYYRLLLNKVPDGHYKLSREALLIAELPTRYTSRMNWKGPSPRDLLCTFCRQHRLCEPLFNVKFLDSPGKFSELSRTCKNWKPSMLEEEIEKANTEADHVSNRDEERVSSFQCEVKILSRRGDPILVCSVEDAFRKEVDSIQNAALKVLLWFNEYFKQLNMPIGKLCLFGEAHQINVDPVHFLKEFVNPLSLYDANINFSSRNCTSSRSLCNALDREQETEIIFSNPEGADSGVFPSPGSLTCISYVVALVKNNGHLEEILESNDEFEFEIGTGSVISQLEACITQLSTNQSAKFITGLPPKDLILAASTKSDILLSQLSLDKCFLEYTVKILRVTEPLEDRLEQALFSPPLSKQRVDFAVQQINENHAASLVDFGCGSGSLLDSLLEHSTSLEKIVGVDISVKGLTRAAKILHTKLSVKSSKIQSAVLYDGSITDFDSRLHGFDIGSCLEVIEHMEEHQAHLFGDAVLSLFCPQVLIVSTPNYEYNTILQRSSLPSREEDPEDKSVPCKFRNFDHKFEWTRGQFEHWARNLASRHNYSVMFSGVGGSGDVEPGFASQIAVFRKQVHRDEKCIKMESCHPYNIVWEWSNKNSSVAT >DRNTG_14930.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27147629:27151142:1 gene:DRNTG_14930 transcript:DRNTG_14930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNWKGPSPRDLLCTFCRQHRLCEPLFNVKFLDSPGKFSELSRTCKNWKPSMLEEEIEKANTEADHVSNRDEERVSSFQCEVKILSRRGDPILVCSVEDAFRKEVDSIQNAALKVLLWFNEYFKQLNMPIGKLCLFGEAHQINVDPVHFLKEFVNPLSLYDANINFSSRNCTSSRSLCNALDREQETEIIFSNPEGADSGVFPSPGSLTCISYVVALVKNNGHLEEILESNDEFEFEIGTGSVISQLEACITQLSTNQSAKFITGLPPKDLILAASTKSDILLSQLSLDKCFLEYTVKILRVTEPLEDRLEQALFSPPLSKQRVDFAVQQINENHAASLVDFGCGSGSLLDSLLEHSTSLEKIVGVDISVKGLTRAAKILHTKLSVKSSKIQSAVLYDGSITDFDSRLHGFDIGSCLEVFLSLSLREFFF >DRNTG_14930.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27146588:27152168:1 gene:DRNTG_14930 transcript:DRNTG_14930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRCSLDLPDLSVTSDTFTKKKEAEQSAAKIAIEKLGIQSTTNDPTPQEAMDELVARISGLFTNEFLSSSHPLTGHIRVALKKMGACFGRIPISVIAASDVKVCNLCRVINPDVESDPLLLISLVRKAAKMSDSLVTSDKEPWISRQGAYSAEVLQLLADCESCQTGCVDIGALLIPCSTEKHPESLSLNIDNNYYYLDAIAQVLGGADSSQILISRTVGKASSEMKLYFHVPKVSNWPAYLLSEVEGKNGSLNLMLNKRASYLSGQRIYGDAVLANVGYTWKSSDLFYEDVSLCTYYRLLLNKVPDGHYKLSREALLIAELPTRYTSRMNWKGPSPRDLLCTFCRQHRLCEPLFNVKFLDSPGKFSELSRTCKNWKPSMLEEEIEKANTEADHVSNRDEERVSSFQCEVKILSRRGDPILVCSVEDAFRKEVDSIQNAALKVLLWFNEYFKQLNMPIGKLCLFGEAHQINVDPVHFLKEFVNPLSLYDANINFSSRNCTSSRSLCNALDREQETEIIFSNPEGADSGVFPSPGSLTCISYVVALVKNNGHLEEILESNDEFEFEIGTGSVISQLEACITQLSTNQSAKFITGLPPKDLILAASTKSDILLSQLSLDKCFLEYTVKILRVTEPLEDRLEQALFSPPLSKQRVDFAVQQINENHAASLVDFGCGSGSLLDSLLEHSTSLEKIVGVDISVKGLTRAAKILHTKLSVKSSKIQSAVLYDGSITDFDSRLHGFDIGSCLEVIEHMEEHQAHLFGDAVLSLFCPQVLIVSTPNYEYNTILQRSSLPSREEDPEDKSVPCKFRNFDHKFEWTRGQFEHWARNLASRHNYSVMFSGVGGSGDVEPGFASQIAVFRKQVHRDEKCIKMESCHPYNIVWEWSNKNSSVAT >DRNTG_14930.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27145813:27148419:1 gene:DRNTG_14930 transcript:DRNTG_14930.6 gene_biotype:protein_coding transcript_biotype:protein_coding MYRCSLDLPDLSVTSDTFTKKKEAEQSAAKIAIEKLGIQSTTNDPTPQEAMDELVARISGLFTNEFLSSSHPLTGHIRVALKKMGACFGRIPISVIAASDVKVCNLCRVINPDVESDPLLLISLVRKAAKMSDSLVTSDKEPWISRQGAYSAEVLQLLADCESCQTGCVDIGALLIPCSTEKHPESLSLNIDNNYYYLDAIAQVLGGADSSQILISR >DRNTG_03388.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17914955:17927446:-1 gene:DRNTG_03388 transcript:DRNTG_03388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPSTAGSGQQQSQEGVEKRCLNSELWHACAGPLVCLPNVGTRVVYFPQGHSEQVAASTNKEVEGHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSPQEQKDSYLPVDMGLPSKQPMNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQTPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRASRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLSKYVKAVFHTRVSVGMRFRMLFETEESSVRRYMGTITGLSDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLKRPWHPAVPSYLDNKDDENAYMWLRSDAGEQGFQSLNFQSFGMASWVQPRLDPVLFGNERDQYQAMTAAALQDMKGGNYLKEQYLNFQQPFQIPQQACRSTQFLQQHIVPQTQAQQIVNSQAQCIPENQSHYVPHQQLHVPSDGQQNPQVPSLQCYAESFENANNHMMQQQAPLLSSLGQKITFPDTLANFSTNLAPTSLQNMIESASTQGSANLLNFSRVGQPMMSDQRLQPWDAKYTLSHIAHLGSANLLPPFPGKDGSVEPENCTADSQNHTLFGVSIDSSSLLSNAVPSLNSANAVDDVSPAPYSASCVQNSLYGCLDESSGMLQNTGEADQQTKTFVKVYKSGSVGRSLDITRFSNYEELREELGQMFGIEGELEDPLRSGWQLVFVDRENDVLLLGDDPWEAFLNNVWCIKILSPEDVLKMGKQGVKSFC >DRNTG_27547.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1684793:1686034:-1 gene:DRNTG_27547 transcript:DRNTG_27547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELIFRGGAAEPETEPEQESSTSGPYSPKPSKPWPGLLRYLLREQRLLFVLIGMAIATLFFTLFSSSPSSSSSSFSTAAAISTLAVVPRTVASYPERRLSVGAKIPLGLKRKGLRIVVTGGAGFVGSHLVDRLMARGDNVIVVDNFFTGRKENVMHHFGNPNFELIRHDVVEPLLLEVDQIYHLACPASPVHYKFNPVKTIISNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNP >DRNTG_14395.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:557147:561441:-1 gene:DRNTG_14395 transcript:DRNTG_14395.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine phosphoribosyl transferase 1 [Source:Projected from Arabidopsis thaliana (AT1G27450) UniProtKB/TrEMBL;Acc:F4HSX1] MATQDDADLRLTRIASSIRVIPDFPKPGIKFQDITTLLLQPKVFRDTIDLFVARYKDKGISVVAGVEARGFIFGPPIALAIGAKFVPMRKPNKLPGKVISEEYSLEYGTDRMEMHVGAVEPGEKALVIDDLIATGGTLCAAMNLLEKVGAAVVECACVIELPELNGRKRLGDKPLFVLVKAA >DRNTG_05421.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5107868:5113373:-1 gene:DRNTG_05421 transcript:DRNTG_05421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVESGGQKSRPYADPLGISVSAEKPAMSYSDCYSELAATEIPARKHCFVAEF >DRNTG_15487.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20915071:20915211:-1 gene:DRNTG_15487 transcript:DRNTG_15487.7 gene_biotype:protein_coding transcript_biotype:protein_coding AILGRLPGTTVYRNIDQYPEAYIYIGTVVIRIDAPIYFANISYIKDR >DRNTG_15487.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20913600:20917947:-1 gene:DRNTG_15487 transcript:DRNTG_15487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANICGSFFSTYPTTGSFSRSAVNHESGAKTGLSGVAMGLTMACALFFLTPLFRDIPQCALAAIVISVGISLVDYEEAFFLWRVDKRDFLLWTITCVMTLFLGIEIGVLVGVGSSLAFVIHESANPHIAILGRLPGTTVYRNIDQYPEAYIYIGTVVIRIDAPIYFANISYIKDRLREYELNVSGSSKHGPEPERIYFVIIEMASVPYIDSSAVQALKDLHQEYKSREIQIAISNPNRSVLLTLSRAGLIELIGKKWYFVSVHDAVKACLQQMQSYHGSTPRAGDQSAGRQPGFMQRQWRHLSGDESTNIDHEPFLPPKVV >DRNTG_15487.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20913600:20914548:-1 gene:DRNTG_15487 transcript:DRNTG_15487.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPYIDSSAVQALKDLHQEYKSREIQIAISNPNRSVLLTLSRAGLIELIGKKWYFVSVHDAVKACLQQMQSYHGSTPRAGDQSAGRQPGFMQRQWRHLSGDESTNIDHEPFLPPKVV >DRNTG_15487.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20913600:20914090:-1 gene:DRNTG_15487 transcript:DRNTG_15487.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYHGSTPRAGDQSAGRQPGFMQRQWRHLSGDESTNIDHEPFLPPKVV >DRNTG_15487.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20915071:20916728:-1 gene:DRNTG_15487 transcript:DRNTG_15487.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTMACALFFLTPLFRDIPQCALAAIVISVGISLVDYEEAFFLWRVDKRDFLLWTITCVMTLFLGIEIGVLVGVGSSLAFVIHESANPHIAILGRLPGTTVYRNIDQYPEAYIYIGTVVIRIDAPIYFANISYIKDR >DRNTG_15487.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20913600:20916458:-1 gene:DRNTG_15487 transcript:DRNTG_15487.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFLGIEIGVLVGVGSSLAFVIHESANPHIAILGRLPGTTVYRNIDQYPEAYIYIGTVVIRIDAPIYFANISYIKDRLREYELNVSGSSKHGPEPERIYFVIIEMASVPYIDSSAVQALKDLHQEYKSREIQIAISNPNRSVLLTLSRAGLIELIGKKWYFVSVHDAVKACLQQMQSYHGSTPRAGDQSAGRQPGFMQRQWRHLSGDESTNIDHEPFLPPKVV >DRNTG_15487.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20913600:20914374:-1 gene:DRNTG_15487 transcript:DRNTG_15487.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYHGSTPRAGDQSAGRQPGFMQRQWRHLSGDESTNIDHEPFLPPKVV >DRNTG_07359.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000334.1:1:12019:1 gene:DRNTG_07359 transcript:DRNTG_07359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETSVYPMEHEQLKELREVTMKDIRGDMSVPAEEGQLLSVILKLMNAKKTIEIGVFTGYSLLTTALALPKDGKSVTGQYQNSRCSEYRLTTDLTLITLVFPSFSKLAWAKVQRHSLRVDHCRIEYNLQVPFNATSLVVVEIHEERVDKG >DRNTG_24087.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26355975:26360245:-1 gene:DRNTG_24087 transcript:DRNTG_24087.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATRVVLSRIQALDPENAAKIMGLILIQDHGEKEMIRLAFGPEALLHSVVLKARKDLGLLPLSRQNSAPRLLSAPPPLAVSSPSWASPPSPFSRSNGAGSDELQNTEEIHSPAPFFGAGTGADLMDEFQLQDQLSFLNEQGSAANAMLGGAKSSELYFGDVAAECRSPGAGSDGLLFPYSMGWGGANGAHHRRSCSVADLCLGSDAGFGWKPCLYFARGYCKNGSSCRFLHGIPDDASPGGKIDATAAAAVEQQCHEFLMRSKSQRASQLMASAFPYSPTGSVMPASPSSSKCMSFLMQQQGESQRAAAAAAAAALMLGGEEAHKFMGRARMESRDFGGLINPGSRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFVCDARVLVKPYKEKGKVPDKKQPQQQGERGDFSLCTTPTGMESRDPYDLQQLVSPGAGRMMYSGTSVAQEAFLRRRLEEQQQAAELQQAIELQGRRFMGLQLLDLKARTLASHMNPSITSTQEEAAPPPPPPPPPPPPPPQATEDKNGGSAAGPGASEQQQQQQVQEVTVNGGGAEREESGGEASPNEDSDFPESAEHNLPDSPFASPTKSSFTPTSFSSHEDIIPQMNTSSFKSCFFPIPRLSSGHGAVGM >DRNTG_24087.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26355975:26360245:-1 gene:DRNTG_24087 transcript:DRNTG_24087.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATRVVLSRIQALDPENAAKIMGLILIQDHGEKEMIRLAFGPEALLHSVVLKARKDLGLLPLSRQNSAPRLLSAPPPLAVSSPSWASPPSPFSRSNGAGSDELQNTEEIHSPAPFFGAGTGADLMDEFQLQDQLSFLNEQGSAANAMLGGAKSSELYFGDVAAECRSPGAGSDGLLFPYSMGWGGANGAHHRRSCSVADLCLGSDAGFGWKPCLYFARGYCKNGSSCRFLHGIPDDASPGGKIDATAAAAVEQQCHEFLMRSKSQRASQLMASAFPYSPTGSVMPASPSSSKCMSFLMQQQGESQRAAAAAAAAALMLGGEEAHKFMGRARMESRDFGGLINPGSRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFVCDARVLVKPYKEKGKVPDKKQPQQQGERGDFSLCTTPTGMESRDPYDLQQLVSPGAGRMMYSGTSVAQEAFLRRRLEEQQQAAELQQAIELQGRRFMGLQLLDLKARTLASHMNPSITSTQEEAAPPPPPPPPPPPPPPQATEDKNGGSAAGPGASEQQQQQQVQEVTVNGGGAEREESGGEASPNEDSDFPESAEHNLPDSPFASPTKSSFTPTSFSSHEDIIPQMNTSSFKSCFFPIPRLSSGHGAVGM >DRNTG_24087.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26355975:26360245:-1 gene:DRNTG_24087 transcript:DRNTG_24087.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATRVVLSRIQALDPENAAKIMGLILIQDHGEKEMIRLAFGPEALLHSVVLKARKDLGLLPLSRQNSAPRLLSAPPPLAVSSPSWASPPSPFSRSNGAGSDELQNTEEIHSPAPFFGAGTGADLMDEFQLQDQLSFLNEQGSAANAMLGGAKSSELYFGDVAAECRSPGAGSDGLLFPYSMGWGGANGAHHRRSCSVADLCLGSDAGFGWKPCLYFARGYCKNGSSCRFLHGIPDDASPGGKIDATAAAAVEQQCHEFLMRSKSQRASQLMASAFPYSPTGSVMPASPSSSKCMSFLMQQQGESQRAAAAAAAAALMLGGEEAHKFMGRARMESRDFGGLINPGSRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFVCDARVLVKPYKEKGKVPDKFRKQPQQQGERGDFSLCTTPTGMESRDPYDLQQLVSPGAGRMMYSGTSVAQEAFLRRRLEEQQQAAELQQAIELQGRRFMGLQLLDLKARTLASHMNPSITSTQEEAAPPPPPPPPPPPPPPQATEDKNGGSAAGPGASEQQQQQQVQEVTVNGGGAEREESGGEASPNEDSDFPESAEHNLPDSPFASPTKSSFTPTSFSSHEDIIPQMNTSSFKSCFFPIPRLSSGHGAVGM >DRNTG_24087.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26355975:26360245:-1 gene:DRNTG_24087 transcript:DRNTG_24087.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATRVVLSRIQALDPENAAKIMGLILIQDHGEKEMIRLAFGPEALLHSVVLKARKDLGLLPLSRQNSAPRLLSAPPPLAVSSPSWASPPSPFSRSNGAGSDELQNTEEIHSPAPFFGAGTGADLMDEFQLQDQLSFLNEQGSAANAMLGGAKSSELYFGDVAAECRSPGAGSDGLLFPYSMGWGGANGAHHRRSCSVADLCLGSDAGFGWKPCLYFARGYCKNGSSCRFLHGIPDDASPGGKIDATAAAAVEQQCHEFLMRSKSQRASQLMASAFPYSPTGSVMPASPSSSKCMSFLMQQQGESQRAAAAAAAAALMLGGEEAHKFMGRARMESRDFGGLINPGSRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFVCDARVLVKPYKEKGKVPDKFRKQPQQQGERGDFSLCTTPTGMESRDPYDLQQLVSPGAGRMMYSGTSVAQEAFLRRRLEEQQQAAELQQAIELQGRRFMGLQLLDLKARTLASHMNPSITSTQEEAAPPPPPPPPPPPPPPQATEDKNGGSAAGPGASEQQQQQQVQEVTVNGGGAEREESGGEASPNEDSDFPESAEHNLPDSPFASPTKSSFTPTSFSSHEDIIPQMNTSSFKSCFFPIPRLSSGHGAVGM >DRNTG_15891.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5776763:5783277:1 gene:DRNTG_15891 transcript:DRNTG_15891.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLDPTKVKTQVVLKDIWVCANLHCDKENTQSGRAQRTTLICSNLCNIVKSFVNVVSLKMQCDLPHECVPNHAALMKRVNSGAFRWSTVERFYGIRHQVPRFDKRTFGEPLEKTRRLLKTIDTDDKGSDTRVENLSRKSIEGVTTSLGLDLARLKMIERDNANNPMDAIDPYVLAEQFQLVNNFIQTEMNNQPSETQQIQVTTISQVIDTQQHALQNLPIETERRPMKRKIETRGGKKNNTVVGAKQHDVTNPVEPTTNRESSKKAPATSRALVLRPRMKNKNMEAKTQAGPAIKKKKEWVPPGVGKFAPATGNTS >DRNTG_09210.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4623550:4626560:-1 gene:DRNTG_09210 transcript:DRNTG_09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLALIFIWLHLVPSFVFGNGYNLTIEEDLEIERQLKLLNKPAIKTIIENGDIYDCVDIYKQPAFDHPSLKHHKIQLRPSYYPKGLFDENSLNSSKLMHVGLQSERCPKGTVVIKRVRKEELIMGMRSTLKHSKMMMMHSSSSSSPNLVHINSFEQQWAIWHTPYGENYEYYGASAFINVYGLPQLTNTQFSSAFFWIGNDDDDKDHSDQLVIGWTVDDSVYGDKNTRLATSWTDDGFRRTGCVDMRCPGFVQVSQDIPLGIAIKPVSTYNGPQYGVHISVFKDPATLNWWFVYGSEKKLVGYWPNKLFTNLSKRATKLHFGGTAGFEGYPDAGLPPMGSGHFPDEGANKACVFQQIKYINAAQQSLNLPIDELYPLSSYPNYYKVGPLTNSSGTQDTFYFGGPGGPVSS >DRNTG_31779.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16943662:16954517:1 gene:DRNTG_31779 transcript:DRNTG_31779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDNAASELENWLVCINTYSQQGKLWRVSVLTMMQEAGYSPNISVYNTLITGHGKQTNVKAAELVFQRLQTISLEPAKTTYRSMIEGFGRADYYREVVQYYVEVNSRDQDLNQTLQIST >DRNTG_31779.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16953700:16954517:1 gene:DRNTG_31779 transcript:DRNTG_31779.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHFCRSYWLHPVAQKDGNTTRERTIGEVNPNSPKAAEVEEII >DRNTG_31779.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16946646:16949528:1 gene:DRNTG_31779 transcript:DRNTG_31779.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDNAASELENWLVCINTYSQQGKLWRVSVLTMMQEAGYSPNISVYNTLITGHGKQTNVKAAELVFQRLQTISLEPAKTTYRSMIEGFGRADYYREVVQYYVEVNSRDQDLNQTLQIST >DRNTG_12705.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13857323:13859670:1 gene:DRNTG_12705 transcript:DRNTG_12705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEFLTQPFLLLLPFFVLLLSIKLDFFSNTRSVKLPPCPWKLPFIGNLHQLGLLPHQSLHKLSKKHGPLMLLKLGQVPALVVSSSEMAKEVLKTHDLNFANRPILRAAKILLYGSSSMGFSPYGEHWRNMKKVCMINFLSMKKVQSFHATRKEEVAHLMDKIASHASSNPLEPLNMSQVLYFFTNDMLCKAILGKFSREEDRNKLFHEMIEENVRLLSGFNLVDNFPSLGWLNSLLDLDKRAKRNFSKWDAVLNQIFQERGMIDEEVKDDGFMDILLSLQKNPNVDFSFTDDQIKALLVDMFAAGTETTYIVLGWSLAELIKNPEIMKKLQNEIRNMTHGKSMVQEEDISEMKYLKAVIKEILRLHPPTPMLLPRESIEGCQIEGYEIPRKTRVIINYWAIARDPRIWDSPEEFKPDRFISNDIDFKGQNYEFIPFGAGRRICPGMNFAVSTVEVALANLIYRFDWKFFQIVDGEEIDMTEAPGLTTKMKQNLYLIPKSWM >DRNTG_17405.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:8009361:8018097:1 gene:DRNTG_17405 transcript:DRNTG_17405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVNAFLGALDAFPAFQENFSYIITSASTSKLLVDVENELEDLIAKENDVRHTQEEASRTGKQLTHQVQRWLNKSY >DRNTG_25641.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21833470:21843609:-1 gene:DRNTG_25641 transcript:DRNTG_25641.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fimbrin-2 [Source:Projected from Arabidopsis thaliana (AT5G48460) UniProtKB/Swiss-Prot;Acc:O50064] MAGFVGVLVSDPWLQNQFTQVELRSLKAQFLAMKDDGGGGLKIGDLAGKMSKMKIGGDGISEGERDLFVKRSYENLDSEVDFELFLRVYLKTQGQAGAKNSSSAFLKAATTTLLHTISESEKASYVSHINNYLGEDVFLKRYLPIDPLTDDLFEISKDGVLLCKLINLAVPGTIDERTINTKKMLNPWEKNENHTLCLNSAKAIGCTVVNIGTQDLAEGRPHLVLGIISQIIKIQLLADVNLSRTPQLVELVDDSKDIEELMSLSPEKILLRWMNFQLKKGGFKRLITNFSSDVKDAEAYTCLLNVLAPEHSNKSSSMTVKSALERAKLVLEHADRMGCKRYLTPKDIVEGSPNLNLAFVAHIFQKRNGLSSQMKQISFLESMPDDAQISREERSFRLWINSLGISTYINNVFEDLRDGWVLLEAIDKASPGVVCWKFANKPPIKMPFKKVENCNQVIRIGKQLKFSLVNVAGNDIVQGNKKLILAFLWQLMRYNILQLLKNLKCHSHGKEITDADILKWANCKVKDSGRHSRMESFKDKKLSNGIFFLELLTAVEPQVVNWSLVTRGDSDEEKRMNGTYIISVARKLGCSIFLLPEDILEVNQKMMLTLTASIMYWHLKRPVEDRAMSSDSENGSSSETVSNSNIDDSASESSTDDTVSR >DRNTG_08067.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18334336:18334695:-1 gene:DRNTG_08067 transcript:DRNTG_08067.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCSTPGYLSAVDSTIIEQDISVDPPPYTIELLQIKFFAPLLLMALLLKLLSRRRSVGEPLLVRSGGGVDTDK >DRNTG_02697.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19676963:19679810:-1 gene:DRNTG_02697 transcript:DRNTG_02697.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLYYASVWLALTGNTCCAFLFFPVTRTSSLLPLIGLTSESSIRYHVWLGHIAMLFFTAHGICFVVLWAAMGDLWQLLKWDFHEISNVAGEIALICGLLMWVTTFPTIRRKMFELFFYTHQLYFLFLLFYLLHLGIRVFTLILPGVYLFLLDRYLRFLQSRRKARLVSARLLHSETLELNFSKHLGSSYTPLSTVFINVPSVSSLQWHPFTISSSSNLEPEKLSIIIKKEGSWTQRLYQMLSSPSCPQRLDISVEGPYGPVSLNFLRYDSLVLVSGGSGITPFISVIRELIYRSTTLASPTPNILLVCAFKTSADLSMLDLLLPISSTISDLSSLNLKIEAFVTREKAPPTPNNAAKLKIRTKWFKPLPSDQPISLVLGPNTWLWLATIITTSFVAFLVLLGILTNYYIYPIDKNTDEIYNISWRALLNLLFICFCIVATASAAVFWEERRSSIQLKQSSNMDVKTPIASPTSWLDNVDQELESLPLDSVLQTANVHYGGRPDLTKMLLECNELNTGVMASGPSELRHQVARVCSSGLANNLHFESISFNW >DRNTG_02697.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19676963:19679810:-1 gene:DRNTG_02697 transcript:DRNTG_02697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGSSSSYYASMVVFLLVLKLVMSLVFISWLLVWILLPTKPFDKWSDKFYTATNSTYFGSQGTNILIYTFPILLISLLGCIYLHFAKRLECCNSLSSFLRTWTRPVLVKAPLGIISLMELAFCLMFLAFLIWFFTAAVHNSFSSITKESAETEGYDQVWMQKLYYASVWLALTGNTCCAFLFFPVTRTSSLLPLIGLTSESSIRYHVWLGHIAMLFFTAHGICFVVLWAAMGDLWQLLKWDFHEISNVAGEIALICGLLMWVTTFPTIRRKMFELFFYTHQLYFLFLLFYLLHLGIRVFTLILPGVYLFLLDRYLRFLQSRRKARLVSARLLHSETLELNFSKHLGSSYTPLSTVFINVPSVSSLQWHPFTISSSSNLEPEKLSIIIKKEGSWTQRLYQMLSSPSCPQRLDISVEGPYGPVSLNFLRYDSLVLVSGGSGITPFISVIRELIYRSTTLASPTPNILLVCAFKTSADLSMLDLLLPISSTISDLSSLNLKIEAFVTREKAPPTPNNAAKLKIRTKWFKPLPSDQPISLVLGPNTWLWLATIITTSFVAFLVLLGILTNYYIYPIDKNTDEIYNISWRALLNLLFICFCIVATASAAVFWEERRSSIQLKQSSNMDVKTPIASPTSWLDNVDQELESLPLDSVLQTANVHYGGRPDLTKMLLECNELNTGVMASGPSELRHQVARVCSSGLANNLHFESISFNW >DRNTG_14059.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4993815:4994135:-1 gene:DRNTG_14059 transcript:DRNTG_14059.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRVVYGVVFLSVSCACFKLLHLFLHPIFYLTS >DRNTG_20670.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001137.1:4244:5419:1 gene:DRNTG_20670 transcript:DRNTG_20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSSCEDLIVQNLKFKNSQKMHLSFEDCRKVRASRLDINAPKTSPNTDGIHIARTTYMDVTNSEIKTGDDCVSIVTGSQNIRISNIICGPGHGISIGSLGANNSEGHVANVMVNNAKITDTSNGVRIK >DRNTG_18705.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14773517:14773925:-1 gene:DRNTG_18705 transcript:DRNTG_18705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTQFSITLRLYDEAFTDTEDYDQLPTDYPGQLTVMVTAPVCSANRRCYSYILWYRAHRYTLDTSLQRIYDIRDSIQGSASSSPVPMISACAI >DRNTG_30972.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8422999:8426651:1 gene:DRNTG_30972 transcript:DRNTG_30972.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRVYGIGSQACIFYPHSSSSLSIGSSYEALHDEVRDLRQTLSQVQDREERFQQTLGQVQDNNKKLQQNLLEMKEGPHRTHNHLLAIIMLTYNCICRILIILC >DRNTG_30972.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8422999:8426651:1 gene:DRNTG_30972 transcript:DRNTG_30972.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRVYGIGSQACIFYPHSSSSLSIGSSYEALHDEVRDLRQTLSQVQDREERFQQTLGQVQDNNKKLQQNLLEMKEGPHRTHNHLLAIIMLTYNCICRILIILC >DRNTG_30972.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8422999:8426651:1 gene:DRNTG_30972 transcript:DRNTG_30972.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRVYGIGSQACIFYPHSSSSLSIGSSYEALHDEVRDLRQTLSQVQDREERFQQTLGQVQDNNKKLQQNLLEMKEGPHRTHNHLLAIIMLTYNCICRILIILC >DRNTG_08818.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28034852:28041419:-1 gene:DRNTG_08818 transcript:DRNTG_08818.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 44 [Source:Projected from Arabidopsis thaliana (AT2G14210) TAIR;Acc:AT2G14210] MGRGKIEIRRIEDSSNRQVTFSKRRNGLLKKAKELAILCDAEIGLIVFSSTGRLYDYANTKADIAASTHPRLASFDLYLKPWPPMKFSIKVLFKNLTSCSEPNDCALGALCNFMLTSDLHILAPNMKSVIDRFGKTKVEQKQGLDMHSEIKIWQKEAGSLRQQLHSLDEAHRKLLGERLSQLSVKDLKDLEVQLERSLHNVRKEKVNLVQQENMELKKKVNVMSQENVEMYNKVYAWGSNEGVNRGMHTSYSLMNENISLHLQQQQQQDVAVAQPISPKFG >DRNTG_16066.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25675297:25679231:1 gene:DRNTG_16066 transcript:DRNTG_16066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRMMMMIQSASGCWTKKANKAVDMAVIRKVLIVPVKTSYRVARDHPFVLVWVFIMLLLHRYLPAVFAFLVSSSPVIICTALLLGTILIYGEPNIPEIDEEKKDAREISSLRAKNARNVFVGTKDDGFNGKDHEDRGQDGDEVDNDGRFTDSSSMVKKDRRILDKKKMPKEVELLYQGVIEKRELLREHEYANGVSTKSCRKKSKGPGVDIDEHDTCSGSESDHAEEDSSHAAAFVDGVLPIIDELHPLLNSERRKCARKSADNSDAVFSSSDDDDDDDDDESDDVSADGEIPEEEEEEEEEEEEEEEEEDGGGPDEKDDGTEVVLKWTADDQKNLMDLGSSELERNQRLENLIAKRIARKRFQAERNLIDLESNNIDPIMEQLSTLHVQIRSRQNPFDLPYGSEESIDLPPIPGSAPSILLPRRNPFDLPEDFVGESGAATPDRAYHPGFVSPVPQKDIFFRRHESFSVGPSSFAGELKQGMGVSRFRPYFVAEKMDSEESRFATLQKQLSGNGDSEASSAAGSDSASSVVDQEDDKELVEQENHQESVVLSPGDHVSELVEVGSQTSEEVDSLDVQQEQNMVNMDDDNNIAANASNVTTEAHQDVHEIEEDFDDSSSSSSSKASDNKLKMSITGELSATAEQIKDDYPDASSNSKQSGILGSNPESIKADKVDESQVEDPVYDSSPSAIEKSSSNIASLEEDLFPGDVRIETSEFGLLPRSAEENVSSENGTLSSSHENTTENSMPNNGGGLWVASPSINFVEQNESRSREVSMIAEHDVIGRGFPEVEPILPLIPEQIAARLARHSSLSSATADSSEDVNIDDKDAGVGRGS >DRNTG_16066.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25676465:25679231:1 gene:DRNTG_16066 transcript:DRNTG_16066.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIRKVLIVPVKTSYRVARDHPFVLVWVFIMLLLHRYLPAVFAFLVSSSPVIICTALLLGTILIYGEPNIPEIDEEKKDAREISSLRAKNARNVFVGTKDDGFNGKDHEDRGQDGDEVDNDGRFTDSSSMVKKDRRILDKKKMPKEVELLYQGVIEKRELLREHEYANGVSTKSCRKKSKGPGVDIDEHDTCSGSESDHAEEDSSHAAAFVDGVLPIIDELHPLLNSERRKCARKSADNSDAVFSSSDDDDDDDDDESDDVSADGEIPEEEEEEEEEEEEEEEEEDGGGPDEKDDGTEVVLKWTADDQKNLMDLGSSELERNQRLENLIAKRIARKRFQAERNLIDLESNNIDPIMEQLSTLHVQIRSRQNPFDLPYGSEESIDLPPIPGSAPSILLPRRNPFDLPEDFVGESGAATPDRAYHPGFVSPVPQKDIFFRRHESFSVGPSSFAGELKQGMGVSRFRPYFVAEKMDSEESRFATLQKQLSGNGDSEASSAAGSDSASSVVDQEDDKELVEQENHQESVVLSPGDHVSELVEVGSQTSEEVDSLDVQQEQNMVNMDDDNNIAANASNVTTEAHQDVHEIEEDFDDSSSSSSSKASDNKLKMSITGELSATAEQIKDDYPDASSNSKQSGILGSNPESIKADKVDESQVEDPVYDSSPSAIEKSSSNIASLEEDLFPGDVRIETSEFGLLPRSAEENVSSENGTLSSSHENTTENSMPNNGGGLWVASPSINFVEQNESRSREVSMIAEHDVIGRGFPEVEPILPLIPEQIAARLARHSSLSSATADSSEDVNIDDKDAGVGRGS >DRNTG_15884.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13126202:13132638:-1 gene:DRNTG_15884 transcript:DRNTG_15884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECVPTSSYLSEHNHLEGHKGQSYSSILDESMDSVNISSRIL >DRNTG_32703.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10865770:10866211:-1 gene:DRNTG_32703 transcript:DRNTG_32703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIMRCFSYSLHTHVPLVSSSHALLTPPILHCRLCQKLEVPRGLQSTNISTAVVNLYTDVELLLDIGSWRQALTITEAAYREPTLEFLSTFKSIPCNNHWDKPRAISFMLGGQAYQ >DRNTG_04361.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30908675:30927878:1 gene:DRNTG_04361 transcript:DRNTG_04361.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSHGLVALFLFLCVLVWSCSETVHCKNQTLPQSEVVALKKIGAKLGKHWDFTVNPCTGASGWVDPISNWPVAANVTCGACKGNYCHVTSIILTGQNLTGSLPDEFSNLTFLQAIDLRMNYLNGTIPTAWASTPLIDIELMGNCITGRIPDELGRITTLQYLSLGSNLIEGPLPETLGNLTNLLGLEITANAITGRLPESLGNLKNITFIYITGNPITGKIPGFIGKWTQLDTLEMQGTSLEGPFPPIISTLGSIKNLVISDMTGGNGKFPPLQNMTNLQRLVLRNMSITDELPTYIGNMKMLLILDLSFNALTGQLPGSLQSLLYLEYLFLNNNKLTGAIPEWMLKTGFHIDISYNSFNASNAPTDCSTDIVNMVSSYSSSKDNLINPCLRRNNPCSGIPTNHNLFINCGGRKIIIDGNEYQEDMEAQGPSYYNSYNEKWAFSSSGYFLISTQDMPYIVKNVSPISGASSANPELYTTARHNPISLTYYGLCLLNGNYTVNLHFAEIMFTDDQTYSAVGRRFFDVSIQGGRVLRDFNIAKEANETGRAIIKSFNAMVSSNTLEIHLQWAGKGTTTIPRPGVYGPLISAISVTPNFKLNTVENHELPKGTILGIIVAAGCLVIVLVSVLVYFFLRRKDAENNELRGLELQTGIFTLKQIKVATKNFNPANKLGEGGFGPVYKGVLPNGSLIAVKQLSSKSRQGNREFINEIGIISALQHPNLVKLYGYCIEGKQLLLIYEFMENNSLANALFGPERDRPKLDWSARQRICLGIARGLAYLHEETRLKIVHRDIKATNVLLDKHLNAKISDFGLARLSDEDVTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVMLETVSGLSNTTYRPKEDSVHLLDWAYILQTQDRLLELVDKSLGKNYPEEEAMMLLNLALTCTNSAPSLRPTMMTVVNIIDGKKAVPVLSREDTCSNNNLGTCFEASEMLSDHSQWTSSSVSHDPWMMSSVTTR >DRNTG_04361.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30908675:30927878:1 gene:DRNTG_04361 transcript:DRNTG_04361.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSHGLVALFLFLCVLVWSCSETVHCKNQTLPQSEVVALKKIGAKLGKHWDFTVNPCTGASGWVDPISNWPVAANVTCGACKGNYCHVTSIILTGQNLTGSLPDEFSNLTFLQAIDLRMNYLNGTIPTAWASTPLIDIELMGNCITGRIPDELGRITTLQYLSLGSNLIEGPLPETLGNLTNLLGLEITANAITGRLPESLGNLKNITFIYITGNPITGKIPGFIGKWTQLDTLEMQGTSLEGPFPPIISTLGSIKNLVISDMTGGNGKFPPLQNMTNLQRLVLRNMSITDELPTYIGNMKMLLILDLSFNALTGQLPGSLQSLLYLEYLFLNNNKLTGAIPEWMLKTGFHIDISYNSFNASNAPTDCSTDIVNMVSSYSSSKDNLINPCLRRNNPCSGIPTNHNLFINCGGRKIIIDGNEYQEDMEAQGPSYYNSYNEKWAFSSSGYFLISTQDMPYIVKNVSPISGASSANPELYTTARHNPISLTYYGLCLLNGNYTVNLHFAEIMFTDDQTYSAVGRRFFDVSIQVMFHCVKKLFPLSCSIFLFFY >DRNTG_04361.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30908675:30914727:1 gene:DRNTG_04361 transcript:DRNTG_04361.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSHGLVALFLFLCVLVWSCSETVHCKNQTLPQSEVVALKKIGAKLGKHWDFTVNPCTGASGWVDPISNWPVAANVTCGACKGNYCHVTSIILTGQNLTGSLPDEFSNLTFLQAIDLRMNYLNGTIPTAWASTPLIDIELMGNCITGRIPDELGRITTLQYLSLGSNLIEGPLPETLGNLTNLLGLEITANAITGRLPESLGNLKNITFIYITGNPITGKIPGFIGKWTQLDTLEMQGTSLEGPFPPIISTLGSIKNLVISDMTGGNGKFPPLQNMTNLQRLYVVVSTLVCLFIYIHIYVIDWRNLGELTFNGI >DRNTG_04361.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30908675:30985139:1 gene:DRNTG_04361 transcript:DRNTG_04361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSHGLVALFLFLCVLVWSCSETVHCKNQTLPQSEVVALKKIGAKLGKHWDFTVNPCTGASGWVDPISNWPVAANVTCGACKGNYCHVTSIILTGQNLTGSLPDEFSNLTFLQAIDLRMNYLNGTIPTAWASTPLIDIELMGNCITGRIPDELGRITTLQYLSLGSNLIEGPLPETLGNLTNLLGLEITANAITGRLPESLGNLKNITFIYITGNPITGKIPGFIGKWTQLDTLEMQGTSLEGPFPPIISTLGSIKNLVISDMTGGNGKFPPLQNMTNLQRLVLRNMSITDELPTYIGNMKMLLILDLSFNALTGQLPGSLQSLLYLEYLFLNNNKLTGAIPEWM >DRNTG_04361.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30908675:30927878:1 gene:DRNTG_04361 transcript:DRNTG_04361.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSNTLEIHLQWAGKGTTTIPRPGVYGPLISAISVTPNFKLNTVENHELPKGTILGIIVAAGCLVIVLVSVLVYFFLRRKDAENNELRGLELQTGIFTLKQIKVATKNFNPANKLGEGGFGPVYKGVLPNGSLIAVKQLSSKSRQGNREFINEIGIISALQHPNLVKLYGYCIEGKQLLLIYEFMENNSLANALFGPERDRPKLDWSARQRICLGIARGLAYLHEETRLKIVHRDIKATNVLLDKHLNAKISDFGLARLSDEDVTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVMLETVSGLSNTTYRPKEDSVHLLDWAYILQTQDRLLELVDKSLGKNYPEEEAMMLLNLALTCTNSAPSLRPTMMTVVNIIDGKKAVPVLSREDTCSNNNLGTCFEASEMLSDHSQWTSSSVSHDPWMMSSVTTR >DRNTG_35481.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27144981:27146550:-1 gene:DRNTG_35481 transcript:DRNTG_35481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRAVTRNRTVRLMVQRLHPSFVVFHPNIQSAKSSKDVKAYIEKGGDFVDWETFHEDSRKSRTKGQALDDVYDDALNSTSAQEAL >DRNTG_31143.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:311548:316607:1 gene:DRNTG_31143 transcript:DRNTG_31143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEMEENRGAFDGHRAAELMVELREAFGSGRTRSYEWRVAQLKALFRMIDEKEAEIMAALYSDLAKPELEAFLHEVSLTRSACQLALKELKRWMKPEKVSSSITTFPSSSEIVSEPLGVVLIISAWNYPFILSLDPVIGAIAAGNAVVLKPSEVAPATASFFARTLPAYLDSSAIRVVEGAVSETTALLEQKWDKILYTGNSKVGRIVMAAAAKHLTPVVLELGGKSPVVVDSNVNLEVAVKRIIVGKWGCNNGQACISPDYIITTKSFAPKLVEALKSTLEKFYGEDPLESKDLSRIVNSNHFARLTRLLDEDKVYGKIIYGGQRDEKKLKIAPTLLFDVPHDSLIMKEEIFGPILPIITVDKVEESFDIINSRTKPLAAYLFTKDKILEEKFVNNVSSGGMLINDVALHLANPNLPFGGVGESGIGAYHGKFSFDAFSHKKAVLHRSFGGEAPARYPPYTLKKQRILKALLGGDIISLVLALLGWPRS >DRNTG_01598.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9571468:9575028:-1 gene:DRNTG_01598 transcript:DRNTG_01598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNAGEELEAMNISLNTQDVFARFMINADGEIEAMNTAIFNTQQSLQSMENKMGESSNISTKLPRTIEDIYENDIRKEENKGEIVVEVGIEVLEVEEGIDVPPIPFGQIIDVPP >DRNTG_14563.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17672928:17675048:1 gene:DRNTG_14563 transcript:DRNTG_14563.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESYERELAKLVAKEFEKASSDSKPPLPQWLQAASNQLPVKEKEFNWKQSTEELLKKWRDTCARLHSTLPARQAFHPKSLPVSAQTIPPLKPRLVLTPSERPLASPSGSPVKTDLVLGRLKPVVETPPKQPDLFRNAHSDIDRFKRLYTGLIEKVGWQPEAVSLVIDAVLQSKSGCQRLRPGTKSDTWLMFAGPDRVGKAKMALGLSELLFGTAPVIVNFGQSHEEDGESDMNLRGRRSVNRIVDAIRQNPFSMIVLEDVDRADVVVRGTIKRAIEQGRFANSYGREVSLGNVIFVLTADWLPDDLKCAQSSLVQHEEKILEAAISGWQLELSLGEKSVKRKADWLHDDYDRPMKPSRKEPSLCLDLNLAAGVEDDHTDVSRNSSDLTVEHDHDNTRLKVQHLESSAPELIDSMDSTIIFKPVDFSPLRRKVSDAITTKFTTIIGNSLAISVDDDVLDRMVGGVWLGGSTTKLDQWTERVLVPRIEHLKSNLKTGLGNKSPVIIGEER >DRNTG_14563.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17671570:17674891:1 gene:DRNTG_14563 transcript:DRNTG_14563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGLSTIQQTLTPEASAVLTAAMAEAARRGHGQTTPLHVAATLLASPAGTLRQACLRSHPTSSHPLQCRALELCFSVALDRLPTSAAMSGGSNSGEVAVTEPPISNALMAALKRAQAHQRRGCPESQQQPLLAVKVELEQLIVSILDDPGVSRVMREASFSSPTVKAAIENSISSAASGSGAGVGAGISIPHSSSPSLGLGIAAHRPQPPRNLYMNPKLHQPSPNHPNLIVGAGVGVGKTDEAARVVDILSRGSKRNPVLVGDNSPEIVMRDVIQKMERGEIHGFADAKVISLEKRLKAVDRTEIASKIRELGDLIETQVGNRVVIDLGDLKWLVDPSPRLLPIQQQQQMVVEAGRAAVTEMAKILKRFSGDGGRVYLVGTATCATYLRCQVHHPTMENDWDLQALPIASRSSISGLLTQVLLRFPISPLSIQSIVSVSENFLLEFQDSQWIPR >DRNTG_14563.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17671570:17672845:1 gene:DRNTG_14563 transcript:DRNTG_14563.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGLSTIQQTLTPEASAVLTAAMAEAARRGHGQTTPLHVAATLLASPAGTLRQACLRSHPTSSHPLQCRALELCFSVALDRLPTSAAMSGGSNSGEVAVTEPPISNALMAALKRAQAHQRRGCPESQQQPLLAVKVELEQLIVSILDDPGVSRVMREASFSSPTVKAAIENSISSAASGSGAGVGAGISIPHSSSPSLGLGIAAHRPQPPRNLYMNPKLHQPSPNHPNLIVGAGVGVGKTDEAARVVDILSRGSKRNPVLVGDNSPEIVMRDVIQKMERGEIHGFADAKVISLEKRLKAVDRTEIASKIRELGDLIETQVGNRVVIDLGDLKWLVDPSPRLLPIQQQQQMVVEAGRAAVTEMAKILKRFSGDGGRVYLVGTATCATYLRCQVHHPTMENDWDLQALPIASRSSISGLL >DRNTG_14563.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17672928:17674891:1 gene:DRNTG_14563 transcript:DRNTG_14563.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESYERELAKLVAKEFEKASSDSKPPLPQWLQAASNQLPVKEKEFNWKQSTEELLKKWRDTCARLHSTLPARQAFHPKSLPVSAQTIPPLKPRLVLTPSERPLASPSGSPVKTDLVLGRLKPVVETPPKQPDLFRNAHSDIDRFKRLYTGLIEKVGWQPEAVSLVIDAVLQSKSGCQRLRPGTKSDTWLMFAGPDRVGKAKMALGLSELLFGTAPVIVNFGQSHEEDGESDMNLRGRRSVNRIVDAIRQNPFSMIVLEDVDRADVVVRGTIKRAIEQGRFANSYGREVSLGNVIFVLTADWLPDDLKCAQSSLVQHEEKILEAAISGWQLELSLGEKSVKRKADWLHDDYDRPMKPSRKEPSLCLDLNLAAGVEDDHTDVSRNSSDLTVEHDHDNTRLKVQHLESSAPELIDSMDSTIIFKPVDFSPLRRKVSDAITTKFTTIIGNSLAISVDDDVLDRMVGGVWLGGSTTKLDQWTERVLVPRIEHLKSNLKTGLGNKSPVIIGEER >DRNTG_28114.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22108901:22110866:-1 gene:DRNTG_28114 transcript:DRNTG_28114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCGTLEVLLVGAKGLENTDFLCNMDPYAVLTCRSQEQKSSVASGKGSDPEWNETFVFSVSVDVSELSIKLMDSDSGSNDDFVGEATIPLKALFKEGEGNIPVTIYNVVKDKEYCGEIKIGLSFTPEEENLECAEENYGGWKESA >DRNTG_20092.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:365823:366936:1 gene:DRNTG_20092 transcript:DRNTG_20092.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pantoate--beta-alanine ligase [Source:Projected from Arabidopsis thaliana (AT5G48840) UniProtKB/Swiss-Prot;Acc:Q9FKB3] MEMEKNMEMEMEMEIEVIREASAMRSWSRKHRRAGRNIAFVPTMGFLHEGHLSLIHHAKAYADLIVVSIYVNPGQFSPSEDLSTYPSDFPGDLLKLSRSGIVDAVFCPSNLYDYQHRHHAAEPSAIARPPNDGTAGISCLDDDDDDDGCGHETWIRVGRLEQGLCGKSRPVFFRGVATIVAKLFNIVEPDVAVFGKKDYQQWRIISRMVRDLDFGVKIIGSEIIREADGLAMSSRNVHLSPEERVKGISFTTSL >DRNTG_06627.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18848014:18849575:-1 gene:DRNTG_06627 transcript:DRNTG_06627.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRDARFISMLRQRVPLATSLQKLNEVCSLNVYSKSHEEVVLKAKPLAFAPEKPYEHCEHHHHNHHNHHHHHHHHQTPSPTPPYYYNSPPPPVKSWSPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKNPPSIPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYY >DRNTG_06627.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18848014:18849575:-1 gene:DRNTG_06627 transcript:DRNTG_06627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRDARFISMLRQRVPLATSLQKLNEVCSLNVYSKSHEEVVLKAKPLAFAPEKPYEHCEHHHHNHHNHHHHHHHHQTPSPTPPYYYNSPPPPVKSWSPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKNPPSIPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYY >DRNTG_08575.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:17729869:17741441:-1 gene:DRNTG_08575 transcript:DRNTG_08575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFQAFKNQSGRKQKQPVSSLGQANSSGTAHTTGPSPNSAPLIPSTHTPGDMPSLKHNNSISKPLLAFPSDGTNQLHGDNRHILQVDIDRFVDYGALDHNPGYFLRTFKGHPPAVMSLDFYPITKDFICSCDKDGEIRYWSINNGSCAEVFKAVEGGTTQMRFQPHMGTYLAAAFENTVSILDMEDMQKNVDSVCWDPSSEYEASVSEDSVMVWSVGSGSEGRVVKPIMKERSQCGSQCTDFGGNLAKVQTRRYKSGRRLVGELLSRRILYRTTESLD >DRNTG_13007.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26665510:26666627:-1 gene:DRNTG_13007 transcript:DRNTG_13007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPTKNLILTLFFILSTVCLIRLFRITLNIFSYSQHPPLLNTLMHQNTTLPDRIPHMSQRFAKYRSSSEEEPLNHKQYHLISDVISHRAPCNLLIFGLKAQLLDISKLNKGGTTIFLEDDAQKLRNRTLKTNAVQVYSVEYQDKASEAFELLKHAREHPECKPKARKLRESQCKLALTNLPNEIYRRRWDVVVIDGPRGDQPEAPGRMRAIYTAGMLARSGNSTDVFVHDTNHMIEKWYSREFLCQENLVSSKGNLWHFQGKGGLSSASFCEGNASAMSRNS >DRNTG_22704.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1403487:1406254:-1 gene:DRNTG_22704 transcript:DRNTG_22704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKDEPPSSSSSLSIEKQFEGFRAHLEDSNSLRDRIRAVAVEMESATRILYSSLLLVHQSLPISEVLVKAARQIEVLKGHYGRLAEILLECPGQYYRYHGDWRSETQTAVSLLAFLHWLETGNLLKHEEAEKKLGLGVSEFGLDIEDYLIGICFMSNELPRYVVNQVTAGDYDSPKKGVEVFD >DRNTG_28748.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31146539:31148841:1 gene:DRNTG_28748 transcript:DRNTG_28748.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLGVIDPGIRVRGLVWVLEGVGWSSPAKGRTVRMALRGSGGGRGGGDGDCRICLSAGDLWVRVAGADGGGEFVGQVGGFSHESEHDLAVMVSDFLENGSGGAESRYSSDSDSGFSDLGHLAERVLLCKHTVDEYQINLLSAVHSLLRSMDETDLHHMNDAPCNGSCIRQTIVKSLRLSGYDAAVCSSKWQGYGKVPGGDHEYIDVSLDGNSEDSDRLIVDIDFRSHFEIARAIESYDTVLTSLPVVYVGSLCRLEEFLQVMVEASKCSLKQNSMPLPPWRSLAYLRAKWHSKFERMHYEEDEEESLIGNFNPCDHKQCRGHLRRLKASLQGEMEMDRLLKPVNNEKKRRVKFDRQRQSLLSS >DRNTG_02354.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6070627:6072111:-1 gene:DRNTG_02354 transcript:DRNTG_02354.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEVKKEHGKGEKVQGVVMTSWFPASDGSFEMKASALPGRGAGRTRRSTKGGWTDEEDDILATAVRRFNGKNWKKIGTYCC >DRNTG_02354.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6068752:6072111:-1 gene:DRNTG_02354 transcript:DRNTG_02354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEVKKEHGKGEKVQGVVMTSWFPASDGSFEMKASALPGRGAGRTRRSTKGGWTDEEDDILATAVRRFNGKNWKKIAEYVPDRTDVQCLHRWQKVLNPDLVKGAWAKEEDDCIIKLVNKYGPKKWSLIAQSMPGRIGKQCRERWHNHLNPAIKKDAWTTEEETVLIHAHQLYGNKWAEMAKLLPGRYIISNAINFL >DRNTG_19828.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:8554905:8555370:-1 gene:DRNTG_19828 transcript:DRNTG_19828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVLWSSLVFSKGPLVRPRVHLAGSISSKAPPITLFQVKRDVSNLPPRRDPLPHQPTHLLAVYLTIHSGLLSVTFAGAIFVLGAISARIKKELIELAHRISVVGIYTVVWKFHTPVWIYGE >DRNTG_01956.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32602489:32603051:1 gene:DRNTG_01956 transcript:DRNTG_01956.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEA4-5 [Source:Projected from Arabidopsis thaliana (AT5G06760) UniProtKB/TrEMBL;Acc:A0A178UIZ0] MQAGKNAMASVKETAANIGASAKSGMEKTKATMQEKMEKMTAHSELEKEEAERKKQERIREAETEKLEAKQQNAMAREQVRAGHGHSDDHRRSSAAEHVEPAVISSRPIDLETGTGRPSC >DRNTG_27518.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21031149:21032509:1 gene:DRNTG_27518 transcript:DRNTG_27518.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEFMFMLLHDLKMNVREIHSRKPQLYRTRLSDEFRESKQLILVTSDVSSRGLNYPDVTLVIQVGIPPDREQYIHRLGRTGREGKDGKGILLLAPWEEYFIDEIKDLPTEKTKLPELNSDIKQKVEESIGKIDPSIKEAAYHAWLGYYNSISEIGRDKTTLAELANQFGRSIGLDTPPALFRKTALKMGLKGIPGIRIRK >DRNTG_14686.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000738.1:48148:48869:-1 gene:DRNTG_14686 transcript:DRNTG_14686.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRRLLQSTAASTVAALFTAGREIRETKNPHGRVEIIHARVEILHGRVFLERFYGFDIAHHLEEGYWESFRRHRSREVYPRPDKGSLATSRGLSTRPSTRPLRGFLYAFIAFTFDFFDCT >DRNTG_33899.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002065.1:10762:14269:1 gene:DRNTG_33899 transcript:DRNTG_33899.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVKSRATILKAIECLMEDRIAKKKAGTDEIGEADLLGFVLEQSNLDAGQFGDLLLGLLFGGHETSSTAITLAVYFLEQCPKAVQQLRVSVF >DRNTG_33899.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002065.1:11465:14269:1 gene:DRNTG_33899 transcript:DRNTG_33899.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVKSRATILKAIECLMEDRIAKKKAGTDEIGEADLLGFVLEQSNLDAGQFGDLLLGLLFGGHETSSTAITLAVYFLEQCPKAVQQLRVSVF >DRNTG_33899.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002065.1:10762:14269:1 gene:DRNTG_33899 transcript:DRNTG_33899.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVKSRATILKAIECLMEDRIAKKKAGTDEIGEADLLGFVLEQSNLDAGQFGDLLLGLLFGGHETSSTAITLAVYFLEQCPKAVQQLRVSVF >DRNTG_33899.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002065.1:10762:14269:1 gene:DRNTG_33899 transcript:DRNTG_33899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGQGLRNCAGLELAKMEIALFLHHLVLNFDWELAEPDHPLAYAFPEFDKGLPIKVRKLSILEE >DRNTG_33899.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002065.1:10762:14269:1 gene:DRNTG_33899 transcript:DRNTG_33899.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGQGLRNCAGLELAKMEIALFLHHLVLNFDWELAEPDHPLAYAFPEFDKGLPIKVRKLSILEE >DRNTG_33899.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002065.1:10762:14269:1 gene:DRNTG_33899 transcript:DRNTG_33899.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVKSRATILKAIECLMEDRIAKKKAGTDEIGEADLLGFVLEQSNLDAGQFGDLLLGLLFGGHETSSTAITLAVYFLEQCPKAVQQLRVSVF >DRNTG_22072.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20332912:20336277:1 gene:DRNTG_22072 transcript:DRNTG_22072.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCRFSICLWNVCVASLCKARNLEKAEAVIVDGIRIGYLPDVVTYNTLIAAYCRFVGVDEGYSVLHRMREAGVEPDVITYNSLIAGAARHCLPSRSVDFFEEMLQIGIDPNVWSYNTLMHCLFKSGHPEGAYRFFLEMISNNVQPSPFTYNTLLNGLCKSGNAKNALRLFRRLERFGFSAEVVTYNTIINGLCKAGMYGAAKRILTELGESSNSPNAITYTTIMKCCFKSGKFRQGLEVFAAMMDKGYSSDVFAYCTIISALVKMGRIGEAHSHTDEMLKNGIGLDMACCNTLIYMYCKEGNLRTAFQVLK >DRNTG_22072.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20334372:20336327:1 gene:DRNTG_22072 transcript:DRNTG_22072.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREAGVEPDVITYNSLIAGAARHCLPSRSVDFFEEMLQIGIDPNVWSYNTLMHCLFKSGHPEGAYRFFLEMISNNVQPSPFTYNTLLNGLCKSGNAKNALRLFRRLERFGFSAEVVTYNTIINGLCKAGMYGAAKRILTELGESSNSPNAITYTTIMKCCFKSGKFRQGLEVFAAMMDKGYSSDVFAYCTIISALVKMGRIGEAHSHTDEMLKNGIGLDMACCNTLIYMYCKEGNLRTAFQVLK >DRNTG_06507.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4378008:4381296:-1 gene:DRNTG_06507 transcript:DRNTG_06507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAENGFRNGYLGQLERMIKEKIPTRTLKAVPNIEYRVKLFRSKTTAIADILQISGFLWNYERCTIECEKSAYDEYIKNHKEAAGLYGKAFPFFNDLVAVFARDRAHGSARGDVGDDAKKYLQENVPLDDDTGFFFQMASDDFCMPTQEPIHTPSPVGLETSTSRARRKWKTFMDPSMETIFQNFHNFVEIVGPGFKIVKATAREVARDEAREKALEAHENALQAREKAQEVAQAEIAERKKLLSQVIFEIEGLSNDE >DRNTG_19494.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20828248:20829846:-1 gene:DRNTG_19494 transcript:DRNTG_19494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGYGTLEELFEVITWAQLGIHNKPVRVNIDGYYNSLLSFIDQAVEEGFINPSARHIIVYASNAKELIEKLEVIFTNGSLFSDRLQLGLGFSLRLQPFAGVRVKVRIWLSEKGEINGQRLWDL >DRNTG_14860.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2813731:2826779:1 gene:DRNTG_14860 transcript:DRNTG_14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGAYHTYLGKIETNRREKDEHFAAATDYYNRAARIDPYEPSTWIGKGQLCVARGELSKASDNFKIVLDTDPNSVPALVAKACIEFNNGENEDQYKKASESYKSSLELFKKALQIHPGCPAAVRLGIGLCRYRLGQFEKARQAFQRVLQLDPENVEALVALGIMDLQSSEVHGIWKGTEKMQRAFEIYPYCAMALNYLANHFFFTGQHFLVEQLTETALAVSNHKLMKAHSYYNLARSYHSKGDFDKAARYYMASVKEINRPQDFVLPYYGLGQVQLKLGDLRSALSSFEKVLEIHPENCDSLKAVGHIYVQLGQNDKALETFRKAARIDPKDAQAFMELGELLTLSDAVAALDALKTARTLIKKGGEEVPVELLNNIGVLYFERGEFEFAEQTFKEALGEGIWLSLLDGQNSVVDPSAYSVQYKDFTLFHQLEENGTALDLPWDKVTTMFNYARLFEQLHDTEKASILYRLILFKYPEYIDAYLRLAAMAKARNNIQLSIELIGEALKIDDKCPNALSMLGNLELKSDDWVKAKDTFRAAKDATDGKDSYATLCLGNWNYYAAMRSEKRGPKLEATHLEKAKELYTKVLMLRHGNLYAANGAGIVLAEKGQFDVSKDIFTQVQEAASGSIFVQMPDVWINLAHVYFAQGHFALAVKMYQNCLRKFYYNTDTQVLLYLARTHYEAEQWQECKKTLLRAIHLAPSNYTLRFDAGVAMQKFSASTLQKTKRTADEVRSTVTELKNAVRVFSQLSAACSSHAHGFDEKKIETHVGYCKHLLDAAKVHCEAAERDEQQNRQKLELARQVSLAEEARRKAEEQRKFQIERRRQEADLKQAIQQEEHFERVKELWKSSSNTSGNKRRDRDRTLGDDSEGGHGDRKRRKGSGKRRRKDKKSSRYEYEEEGEMEDEHEKAEEDDGHANDQDDDGAVRTQDQLMAAGLEDSDAEDDMAAPSNRKRRAWSSDEDNDDEPSGRHHDLSPAGRKEDSAGSDPDDARDTETRDEMHEPNMGAGDEDDD >DRNTG_11530.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3719061:3719793:1 gene:DRNTG_11530 transcript:DRNTG_11530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQKIDIILTDYCMPEMTGYDLLKAVKENDCPRSIPVVIMSSENDPQRIKRCLAVGAEDFFLKPLKAQDAQKLKQYATLTGPTPKAGTKRKLLVDMITDTKDSARRPRLAGVAVG >DRNTG_11530.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3719061:3719793:1 gene:DRNTG_11530 transcript:DRNTG_11530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQKIDIILTDYCMPEMTGYDLLKAVKVIIIFKNISDKVYSLRCI >DRNTG_11530.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3718910:3719793:1 gene:DRNTG_11530 transcript:DRNTG_11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEMTGYDLLKAVKENDCPRSIPVVIMSSENDPQRIKRCLAVGAEDFFLKPLKAQDAQKLKQYATLTGPTPKAGTKRKLLVDMITDTKDSARRPRLAGVAVG >DRNTG_22609.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9369313:9371401:-1 gene:DRNTG_22609 transcript:DRNTG_22609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATCECAESLGGHHRSHNSLHNGCCTHNAHDQTIRNSKRLEPHRARARLMASQHK >DRNTG_08016.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30107114:30109358:1 gene:DRNTG_08016 transcript:DRNTG_08016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLRRPLHRSLTTHHPPNTRGPDLLILDLRSLLQRNSFRPALSLAKTLIQTHRESPSDLLRSLSDSSPSLAPIAADLLVTACSDLRLPDDALGLISTLPKFPSILSLNRLLESLVSARRYQEALCLFHRIISNGTSADNFTHNKAIEAAAKSGDLDKGLKLKEEMEKIHGIKPDAFCYNVLISGLWNVRRCDDAGKLFDELLERRVVPTVVTFNAMIDGHCKKGNLDVANEVKKLMQLFGVKPNVVTYNSLLSGLCRARRLHECKKIIEEMGMNGLAADGYTYSILFDGHFKCGDSEAALDLFEVLVRTGVQISSYTCAILLNGLCKDGMVSKAEEVLQRLLDKGLVPTNVIYNSLVDGYCRIGDITTALQVIGRMELCGLEADYFTYNSILNGLCKLERVDEAEETMKVMEEKGMAPIVETYNILIDAYARLGQFDKCFDILEKMQDNKGVTPNIISYGSLLNGLCKKGKLPDAEALFQDMLARKIPLNEQTYNMLIDANGKSGNFERVGVLIEDMKSKGISPTIVTYNSLMKGLCVGGFFSKAEDLFLQLKAEGLRPDVITYNTLISASCHCCDLKKASQLFEEMEKIDLKPNLRTYQVLIYGMGMEGRMEEAEKIYNYMLQSKLSPDKGVFKALLAGYKKFGDTIKVEDLEKEMKVRGIPLDEEASTDVNSIDT >DRNTG_01684.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23781483:23786031:1 gene:DRNTG_01684 transcript:DRNTG_01684.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIFSTETLDLFSAFSSISSRLHSMASPYLLLLLLIPVLPLIFLLVLAFLARPRPAKVPVKGRHVFITGGSSGIGLAMALQAASEGARVSILARNQAKLEEARDTIRLATGKEVTILSADVRDAESVARAIEEAGPIDILIANQGVFIPQELELQDMKEIRFQVEVNLMGTFHLIKAALPGMRQNTRKTGLPASIAIISSQAGQVGVYGYTAYSASKFALRGLAEALQHEVISDKIHVSLVFPPDTETPGLAEEQKRRPELTNLIVASSGGMKADAVAKKALDGIKSGTFIVSCNFEGVMLAIATAGLSPQSSYLTAFFEVFGAGFMRFVGLCFQWNWFTIIEKFNAKNNRS >DRNTG_19319.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:688260:693140:-1 gene:DRNTG_19319 transcript:DRNTG_19319.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lycopene epsilon cyclase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G57030) UniProtKB/Swiss-Prot;Acc:Q38932] MECFGCGSSAALSVAFHGWRSRRRRVVASSEQRFHQGGQRGPLRLVGGSRATRPAMPATVKVEDEELEFADDEDFVKAGGSELLFVRMQERKPMEMQTKIADTLQPISSSGPALDMVVIGCGPAGLSLAAESAKTGLKVGLIGPDLPFTNNYGVWEDEFKDLGLENCIEHVWRDTIVYLDSNDPILIGRAYGRVSRHLLHEELIRRCHEFGVHYLDSKVDKIIEANDGCSVVVCEGGLNIPCRLATVASGAASGKLLQYEVGGPRVSVQTAYGVEVEVENNPYDPSLMVFMDYRDCVKEKKELPEEIYPTFLYVMPMTATKVFFEETCLASRDAMPFDLLKEKLMLRLDTMGIRITKVYEEEWSYIPVGGSLPNTEQKNLAFGAAASMVHPATGYSVVRSLSEAPAYASAIANILTRKLYSEQNSLIGSTINPSTLAWKTLWPQERKRQRAFFLFGLALITQLDIEGIRIFFQTFFRLPSWMWQGFLGSTLSSVDLIYFAFYMFVIAPNSLRMCLVRHLVSDPTGATMLKTYFTL >DRNTG_15062.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13142448:13142928:1 gene:DRNTG_15062 transcript:DRNTG_15062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECDCLYAPPNGCTHSNARRLAHTLASHTCPMSSHLNLSKISSKIGMNASHSYRTSTYHQPMGVPTRPSGFSVLPRKILSVPPPPVKIPHGRVDAHRGTHRGTHMPLCALGMEMKSL >DRNTG_23759.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21165104:21165806:-1 gene:DRNTG_23759 transcript:DRNTG_23759.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVKTAVIGAGPGGLVAARELRRQGHEVTVFEKSTSVGGTWVYDPTTDSDRVAVHGSLYRSLRTNLPRQLMGFLDYPFPGPSESFVDPRAFPAHEEVLAYLEGFARDFGVLEMVRFGAEVVRVWLEGDGGEWSVEWRNEDGSVAEERFEAVVVASGHHSVPRIPEIPGELEGCS >DRNTG_12190.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10700645:10703698:-1 gene:DRNTG_12190 transcript:DRNTG_12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAALMARSRDLDEGKEGIWGTWEELLLACAVARHGTRRWDSIATEVQSRIAPSSAPLFTPSRCRQRFDLLQLRFSAAVATDSGGAGGGSPGEAPLIEELRKLRVAELRREVERSDHSIVSLKLKLKNLKEGEGERRLSGGLDPETDQNPKPESPGSTEEEEEELARDRVSRESSKESNSTDPKGNDGKLDDEAGECTAGVGKRETEPATAGGDHRLAESRESGESAAELKPSDADETSEGRTSASHARQPRRRRRKAPLASSSGGGGGGGEPEADAVSLRGGTTSQPFVKILEMIRGSASGSVFERRLESQNTAEYRGMIRCHVDLEMISEKIERLGAAYTRTEFFRDLLLLCINAIVFYPLNSKESIAAVHLRDQISKEMASTHQPSPSPQPQAPPPPAVKTIKADPHLGSLLEKSESTIPLIACRKRSSITSKKTNPDPKVKRKADPDPDPEPDSDPDPKPKPKKKTKERPDPSPPPPPPPPPPPPAPSRGFRTSKSRFLNQSRRSNPSTTTNPKAEEAEVEAKPEKKNTGGAAAAALAKKRGAATLLKRIKRTPEENENVSPPSSTVSGDKTAGKKKTGKGDGRRAPEPRRSNQKTGKKAVKVPGPAKRVREEVEAAKPSPAAKKRRRR >DRNTG_12190.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10700645:10703698:-1 gene:DRNTG_12190 transcript:DRNTG_12190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAALMARSRDLDEGKEGIWGTWEELLLACAVARHGTRRWDSIATEVQSRIAPSSAPLFTPSRCRQRFDLLQLRFSAAVATDSGGAGGGSPGEAPLIEELRKLRVAELRREVERSDHSIVSLKLKLKNLKEGEGERRLSGGLDPETDQNPKPESPGSTEEEEEELARDRVSRESSKESNSTDPKGNDGKLDDEAGECTAGVGKRETEPATAGGDHRLAESRESGESAAELKPSDADETSEGRTSASHARQPRRRRRKAPLASSSGGGGGGGEPEADAVSLRGGTTSQPFVKILEMIRGSASGSVFERRLESQVFSFYRVFSREIFACDILILNLFGVAKFR >DRNTG_22261.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:946731:950810:-1 gene:DRNTG_22261 transcript:DRNTG_22261.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:KAR-UP oxidoreductase 1 [Source:Projected from Arabidopsis thaliana (AT5G07480) TAIR;Acc:AT5G07480] MDPIVATLPTRLQVLLIFKPLESLGVSTGDLNEQLDEGMQVMAVNFYPQCSTPDLSLGLPAHSDYGCITILLQSCEGLQVIGGDGNAWQEVPQVPEALHVHIGDYMEVLSNGRYKSVLHRAVLNPDKKRVSVASIHGFSMDKKVAAAKEMVDEQHPKGYKESSFRDFLDYIEATDITKGKSFIDSLRLTST >DRNTG_22261.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:945417:951637:-1 gene:DRNTG_22261 transcript:DRNTG_22261.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KAR-UP oxidoreductase 1 [Source:Projected from Arabidopsis thaliana (AT5G07480) TAIR;Acc:AT5G07480] MDPIVATLPTRLQVLLIFKPLESLGVSTGDLNEQLDEGMQVMAVNFYPQCSTPDLSLGLPAHSDYGCITILLQSCEGLQVIGGDGNAWQEVPQVPEALHVHIGDYMEVLSNGRYKSVLHRAVLNPDKKRVSVASIHGFSMDKKVAAAKEMVDEQHPKGYKESSFRDFLDYIEATDITKGKSFIDSLRLTST >DRNTG_22261.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:945417:950810:-1 gene:DRNTG_22261 transcript:DRNTG_22261.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:KAR-UP oxidoreductase 1 [Source:Projected from Arabidopsis thaliana (AT5G07480) TAIR;Acc:AT5G07480] MDPIVATLPTRLQVLLIFKPLESLGVSTGDLNEQLDEGMQVMAVNFYPQCSTPDLSLGLPAHSDYGCITILLQSCEGLQVIGGDGNAWQEVPQVPEALHVHIGDYMEVLSNGRYKSVLHRAVLNPDKKRVSVASIHGFSMDKKVAAAKEMVDEQHPKGYKESSFRDFLDYIEATDITKGKSFIDSLRLTST >DRNTG_22261.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:945417:950810:-1 gene:DRNTG_22261 transcript:DRNTG_22261.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:KAR-UP oxidoreductase 1 [Source:Projected from Arabidopsis thaliana (AT5G07480) TAIR;Acc:AT5G07480] MDPIVATLPTRLQVLLIFKPLESLGVSTGDLNEQLDEGMQVMAVNFYPQCSTPDLSLGLPAHSDYGCITILLQSCEGLQVIGGDGNAWQEVPQVPEALHVHIGDYMEVLSNGRYKSVLHRAVLNPDKKRVSVASIHGFSMDKKVAAAKEMVDEQHPKGYKESSFRDFLDYIEATDITKGKSFIDSLRLTST >DRNTG_22261.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:945417:951637:-1 gene:DRNTG_22261 transcript:DRNTG_22261.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:KAR-UP oxidoreductase 1 [Source:Projected from Arabidopsis thaliana (AT5G07480) TAIR;Acc:AT5G07480] MDPIVATLPTRLQVLLIFKPLESLGVSTGDLNEQLDEGMQVMAVNFYPQCSTPDLSLGLPAHSDYGCITILLQSCEGLQVIGGDGNAWQEVPQVPEALHVHIGDYMEVLSNGRYKSVLHRAVLNPDKKRVSVASIHGFSMDKKVAAAKEMVDEQHPKGYKESSFRDFLDYIEATDITKGKSFIDSLRLTST >DRNTG_22261.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:945417:950810:-1 gene:DRNTG_22261 transcript:DRNTG_22261.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:KAR-UP oxidoreductase 1 [Source:Projected from Arabidopsis thaliana (AT5G07480) TAIR;Acc:AT5G07480] MDPIVATLPTRLQVLLIFKPLESLGVSTGDLNEQLDEGMQVMAVNFYPQCSTPDLSLGLPAHSDYGCITILLQSCEGLQVIGGDGNAWQEVPQVPEALHVHIGDYMEVLSNGRYKSVLHRAVLNPDKKRVSVASIHGFSMDKKVAAAKEMVDEQHPKGYKESSFRDFLDYIEATDITKGKSFIDSLRLTST >DRNTG_22261.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:945417:946260:-1 gene:DRNTG_22261 transcript:DRNTG_22261.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:KAR-UP oxidoreductase 1 [Source:Projected from Arabidopsis thaliana (AT5G07480) TAIR;Acc:AT5G07480] IRSQENQEENLESENKINRIEIKEKTRKKSKEKKGEITGLSQMLLWPEIGEAGGGGHREC >DRNTG_22261.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:948481:950810:-1 gene:DRNTG_22261 transcript:DRNTG_22261.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:KAR-UP oxidoreductase 1 [Source:Projected from Arabidopsis thaliana (AT5G07480) TAIR;Acc:AT5G07480] MDPIVATLPTRLQVLLIFKPLESLGVSTGDLNEQLDEGMQVMAVNFYPQCSTPDLSLGLPAHSDYGCITILLQSCEGLQVIGGDGNAWQEVPQVPEALHVHIGDYMEVLSNGRYKSVLHRAVLNPDKKRVSVASIHGFSMDKKVAAAKEMVDEQHPKGYKESSFRDFLDYIEATDITKGKSFIDSLRLTST >DRNTG_28917.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22023704:22026229:-1 gene:DRNTG_28917 transcript:DRNTG_28917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSFAGRVLFASVFILSAYQEFNDFGVDGGPAAKALRPKYNLFINHVTAHMGVNVPEIEMRHVIAATITLKGLGGLLFIFSSSFGAYLLLVYLTFITPVVYDFYNYDIEKPEFVQLFVKFTQNLALFGALLFFLGMKNSIPRRQGKKKGPKAKTV >DRNTG_15413.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4862725:4867368:1 gene:DRNTG_15413 transcript:DRNTG_15413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDARFLLVSALFLMVCLLCSAKETEVSYCDKDNDYDVKVTGLEISPFPVKRGRETSFSISAQTGLNITSGKLLIDVEYIGIHIQQTKDLCKETSCPVSTGDFVLTHKETLPFLTPPGTYTLVMTMVGEDGKKLTCITFDFYISFWAAGVELPEPLVAY >DRNTG_13150.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3624020:3631225:1 gene:DRNTG_13150 transcript:DRNTG_13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAATSLGTSRIPFPPSTLQTLTRGLPSISFLSLPKPFFSTSSVSSSSSSSSSSALRSTVFNHTRRRRLISVRAGTENGPGDSKRFDFDLFTIGAGSGGVRASRFAASYGATVAICELPFSTISSDNSGGVGGTCVLRGCVPKKLLVYSSKYSHEFEESHGFGWNYEVDPEHDWNILMANKNAELQRLTGIYQNILKNSGVTLIEGRGKIIDPHTVDVNGKLYSARHILVSVGSRPFILDIPGKEYAIDSDAALDLPSKPEKIAIVGGGYIALEFAGIFNGLKSDVHVFIRQKKVLRGFDEEIREFVAEQMSLRGIEFHTEESPQAILKASDGSFSLKTNKETVDGFSHVMFATGRRPNTKNLGLEEVGVELAKNGAIVVNEYSQTAVDSIWAVGDATDRINLTPVALMEGMAFAKTVFGGEPTKPNYSAVPSAVFSQPPIGQVGLTEEQAIQEYGDVDIFTANFRPLKATLSGLPDRVLMKLIVCANTKKVLGVHMCGEDSPEIIQGIAIAVKAGLTKADFDATVGIHPTSAEEFVTMRSPTRKIRRSPASEGKTEEIKSAA >DRNTG_28358.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2430593:2434126:-1 gene:DRNTG_28358 transcript:DRNTG_28358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKGHVLPGSLFLAVGLWHVWSAVVRYVSASPVEFRVRVWNPVGSGWLKHLELYVVAGGAFADMCVELLYSTHLKFFVGREGILNPAHMNDFEHGGMLLMFFLYGIVAILSEKTRFLPFSNGALCLIAAAAFCSEFLLFYFHSTSHQGLEGYYHLLLVILVGLCIFSTVAGAFCPNSFAVDLSSGVAISLQGLWFYQTAFTLYGPMMPRGCQLSGNDITCKSHDSEVRGEFIANIQLFSLVLLVFIFVLGCYSVSASKYGHSELQNWHAAALKEYEQNGGEELAAQ >DRNTG_28358.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2430593:2434126:-1 gene:DRNTG_28358 transcript:DRNTG_28358.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKGHVLPGSLFLAVGLWHVWSAVVRYVSASPVEFRVRVWNPVGSGWLKHLELYVVAGGAFADMCVELLYSTHLKFFVGREGILNPAHMNDFEHGGMLLMFFLYGIVAILSEKTRFLPFSNGALCLIAAAAFCSEFLLFYFHSTSHQGLEGYYHLLLVILVGLCIFSTVAGAFCPNSFAVDLSSGVAISLQGLWFYQTAFTLYGPMMPRGCQLSGNDITCKSHDSEVRGEFIANIQLFSLVLLVFIFVLGCYSVSASKYGHSELQNWHAAALKEYEQNGGEELAAQ >DRNTG_21243.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6357884:6359359:-1 gene:DRNTG_21243 transcript:DRNTG_21243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMLWKMKRARRRHKKCRKANGDKQEWSKGDEPLCGNKLDNSPSIFKRLCSSCFQVMGKRETFNPSLYC >DRNTG_24530.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6083525:6086119:1 gene:DRNTG_24530 transcript:DRNTG_24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSRQSPFFRDLASPFPAHRTASRFATPGQAAAVSALRRENLAAISDIPPPPVFTLDDRADFSPEPGLAAGPPSPSPRTPPPPPKVWVEDGMGGTAQQSPGGVPSWLSPAKGNEEKGKGRGSPVNGVVQSGALVMLPPPREVVRPEAPKSSLPTGGLDEEEWVTVYGFFPGDINLVLREFEKCGLILKHVLGPRDANWMHILYQNRYDAQKALQKNGMQINGVLIVGVKPVDHMQRQYLDAKINSSGLGGFTASLHPLSANGRSSDVFPIGGTSRPSYLKSSMTATADSKPKSNGAIASPAKSVVSKVMDLMFGV >DRNTG_31434.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:690454:695022:1 gene:DRNTG_31434 transcript:DRNTG_31434.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G02250) UniProtKB/Swiss-Prot;Acc:Q6NQJ6] MNSPMASRVVTVRSFVRSSLFSSHYHPPTIFSSRPLPLIHANLLFRRGLSLVDSVLQELNAIRAAKLVRVTNKMGFPSNKELVDDKVEKRVLQRGLLLEFRKDSQKHLLAVAQKPDGKKNWMVFDQNGVTSSIKPQQVTYIVPGIEDFNHEDIADFIRKTRDLLDPAILEFAWEELLEKNKSVTAEELAEILYGSRDPLESYCSHLLLSEDDVYFTVSECKGSYTVYHPRPSVLVEELLKRKCLKEASEKELEEFVQLLTSAKSKPPSSRPPKCSWLSEEKIERKIECLEAYAVDTFTTDEQRQTAGMVLKAMGLQKTSSAAMNLLIDIGYFPVHVNLDLIKFNIQTKYSDELLSAAESLLTVASDPDKANRKDLTFLKVYAIDVDEADELDDALSATRLQDGRIKVWIHVADPSCLVQPHSIIDREALRRGTSIFLPTATFPMFPEKLAMEGMSLQQGNLCKAVSVSVILHQDGSIAEYTIDNSIIRPTYMLTYESASELLQLNLEEEAELRILSEAAALRLEWRRQQGAIDTATIETRIKVSDPDDPEPSISLYVEDQSDPAMRLVSEMMILCGEAVATFGSCNNLSLPYRGQPQSNISASAFSHLPEGPVRSSAYVKIMRAAEMDFRKPSRHGVLGVPGYVQFTSPIRRYLDLLAHYQVKAFLRGEPLPFSAGELEGMASIVNMHVRMAKKLHNSSLRYWLLEYLRRQPKERKYRALILRFIKDRLAALLLVEVGVQASASVSVGTQIGDEIKVVIDEAHPRDDILTVSEI >DRNTG_31434.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:690454:694201:1 gene:DRNTG_31434 transcript:DRNTG_31434.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G02250) UniProtKB/Swiss-Prot;Acc:Q6NQJ6] MMQLDDALSATRLQDGRIKVWIHVADPSCLVQPHSIIDREALRRGTSIFLPTATFPMFPEKLAMEGMSLQQGNLCKAVSVSVILHQDGSIAEYTIDNSIIRPTYMLTYESASELLQLNLEEEAELRILSEAAALRLEWRRQQGAIDTATIETRIKVSDPDDPEPSISLYVEDQSDPAMRLVSEMMILCGEAVATFGSCNNLSLPYRGQPQSNISASAFSHLPEGPVRSSAYVKIMRAAEMDFRKPSRHGVLGVPGYVQFTSPIRRYLDLLAHYQV >DRNTG_31434.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:691487:695022:1 gene:DRNTG_31434 transcript:DRNTG_31434.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G02250) UniProtKB/Swiss-Prot;Acc:Q6NQJ6] MEEYTIDNSIIRPTYMLTYESASELLQLNLEEEAELRILSEAAALRLEWRRQQGAIDTATIETRIKVSDPDDPEPSISLYVEDQSDPAMRLVSEMMILCGEAVATFGSCNNLSLPYRGQPQSNISASAFSHLPEGPVRSSAYVKIMRAAEMDFRKPSRHGVLGVPGYVQFTSPIRRYLDLLAHYQVKAFLRGEPLPFSAGELEGMASIVNMHVRMAKKLHNSSLRYWLLEYLRRQPKERKYRALILRFIKDRLAALLLVEVGVQASASVSVGTQIGDEIKVVIDEAHPRDDILTVSEI >DRNTG_31434.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:693247:695022:1 gene:DRNTG_31434 transcript:DRNTG_31434.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G02250) UniProtKB/Swiss-Prot;Acc:Q6NQJ6] MLTYESASELLQLNLEEEAELRILSEAAALRLEWRRQQGAIDTATIETRIKVSDPDDPEPSISLYVEDQSDPAMRLVSEMMILCGEAVATFGSCNNLSLPYRGQPQSNISASAFSHLPEGPVRSSAYVKIMRAAEMDFRKPSRHGVLGVPGYVQFTSPIRRYLDLLAHYQVKAFLRGEPLPFSAGELEGMASIVNMHVRMAKKLHNSSLRYWLLEYLRRQPKERKYRALILRFIKDRLAALLLVEVGVQASASVSVGTQIGDEIKVVIDEAHPRDDILTVSEI >DRNTG_31434.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:692991:695022:1 gene:DRNTG_31434 transcript:DRNTG_31434.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G02250) UniProtKB/Swiss-Prot;Acc:Q6NQJ6] MFPEKLAMEGMSLQQGNLCKAVSVSVILHQDGSIAEYTIDNSIIRPTYMLTYESASELLQLNLEEEAELRILSEAAALRLEWRRQQGAIDTATIETRIKVSDPDDPEPSISLYVEDQSDPAMRLVSEMMILCGEAVATFGSCNNLSLPYRGQPQSNISASAFSHLPEGPVRSSAYVKIMRAAEMDFRKPSRHGVLGVPGYVQFTSPIRRYLDLLAHYQVKAFLRGEPLPFSAGELEGMASIVNMHVRMAKKLHNSSLRYWLLEYLRRQPKERKYRALILRFIKDRLAALLLVEVGVQASASVSVGTQIGDEIKVVIDEAHPRDDILTVSEI >DRNTG_31434.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:690454:695022:1 gene:DRNTG_31434 transcript:DRNTG_31434.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G02250) UniProtKB/Swiss-Prot;Acc:Q6NQJ6] MVLKAMGLQKTSSAAMNLLIDIGYFPVHVNLDLIKFNIQTKYSDELLSAAESLLTVASDPDKANRKDLTFLKVYAIDVDEADELDDALSATRLQDGRIKVWIHVADPSCLVQPHSIIDREALRRGTSIFLPTATFPMFPEKLAMEGMSLQQGNLCKAVSVSVILHQDGSIAEYTIDNSIIRPTYMLTYESASELLQLNLEEEAELRILSEAAALRLEWRRQQGAIDTATIETRIKVSDPDDPEPSISLYVEDQSDPAMRLVSEMMILCGEAVATFGSCNNLSLPYRGQPQSNISASAFSHLPEGPVRSSAYVKIMRAAEMDFRKPSRHGVLGVPGYVQFTSPIRRYLDLLAHYQVKAFLRGEPLPFSAGELEGMASIVNMHVRMAKKLHNSSLRYWLLEYLRRQPKERKYRALILRFIKDRLAALLLVEVGVQASASVSVGTQIGDEIKVVIDEAHPRDDILTVSEI >DRNTG_31434.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:692312:695022:1 gene:DRNTG_31434 transcript:DRNTG_31434.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G02250) UniProtKB/Swiss-Prot;Acc:Q6NQJ6] MGLQKTSSAAMNLLIDIGYFPVHVNLDLIKFNIQTKYSDELLSAAESLLTVASDPDKANRKDLTFLKVYAIDVDEADELDDALSATRLQDGRIKVWIHVADPSCLVQPHSIIDREALRRGTSIFLPTATFPMFPEKLAMEGMSLQQGNLCKAVSVSVILHQDGSIAEYTIDNSIIRPTYMLTYESASELLQLNLEEEAELRILSEAAALRLEWRRQQGAIDTATIETRIKVSDPDDPEPSISLYVEDQSDPAMRLVSEMMILCGEAVATFGSCNNLSLPYRGQPQSNISASAFSHLPEGPVRSSAYVKIMRAAEMDFRKPSRHGVLGVPGYVQFTSPIRRYLDLLAHYQVKAFLRGEPLPFSAGELEGMASIVNMHVRMAKKLHNSSLRYWLLEYLRRQPKERKYRALILRFIKDRLAALLLVEVGVQASASVSVGTQIGDEIKVVIDEAHPRDDILTVSEI >DRNTG_31434.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:691756:695022:1 gene:DRNTG_31434 transcript:DRNTG_31434.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G02250) UniProtKB/Swiss-Prot;Acc:Q6NQJ6] MVLKAMGLQKTSSAAMNLLIDIGYFPVHVNLDLIKFNIQTKYSDELLSAAESLLTVASDPDKANRKDLTFLKVYAIDVDEADELDDALSATRLQDGRIKVWIHVADPSCLVQPHSIIDREALRRGTSIFLPTATFPMFPEKLAMEGMSLQQGNLCKAVSVSVILHQDGSIAEYTIDNSIIRPTYMLTYESASELLQLNLEEEAELRILSEAAALRLEWRRQQGAIDTATIETRIKVSDPDDPEPSISLYVEDQSDPAMRLVSEMMILCGEAVATFGSCNNLSLPYRGQPQSNISASAFSHLPEGPVRSSAYVKIMRAAEMDFRKPSRHGVLGVPGYVQFTSPIRRYLDLLAHYQVKAFLRGEPLPFSAGELEGMASIVNMHVRMAKKLHNSSLRYWLLEYLRRQPKERKYRALILRFIKDRLAALLLVEVGVQASASVSVGTQIGDEIKVVIDEAHPRDDILTVSEI >DRNTG_03297.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29049797:29051446:-1 gene:DRNTG_03297 transcript:DRNTG_03297.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 35 [Source:Projected from Arabidopsis thaliana (AT1G26760) UniProtKB/TrEMBL;Acc:F4HPB8] MHGGAGAQEEEEEEQEEMMQKLRSRATELLLKEDWNEYINLYSYFISTTSTSTYNGGSKLHKALPSAFSNRAEARLRVRDLPGALADCQRALDIEPSHVKALLCKGKTLLELHRYSQASETFQLVLSLQTHLSGNNEALREMIERSRKLEVQSKTGVFDISDWIMNGFGGSSPELAEYVGPVEVRRSIPGSGRGLFATKNIEAGTPLVITKAVVIGRGILPENDRESGVTARLVLWKDFVDKVLDVGRKCKRTLRLMYTLFNGEDDESELQVPEMALFNPNEKSKMVAMDEEVEELLLDVERILKVLDVNCLMEDAACARVLGKKSGYSGVGLWILPSFVNHSCSPNARRLHIGDRVIVHASRDVKAGEEIVFAYFDVLAPVKKRKEMSKRWGFECRCERCRFEEEVFLFKEELRKMDVRMESGFDAGEVVVGLEEGMKRWMVSKAKERGFLRASLFAAYAEVYKSDKMMRRWGKRIPTEISVAENMAGAVGGDERTMKMVLERLKKKRKSIASDQNVIEMEKALKLGKGVYGKVMKKQSMKLLFELHT >DRNTG_29322.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29686944:29694638:1 gene:DRNTG_29322 transcript:DRNTG_29322.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIISAMLPCTPPVIIATEPCGESADGTSVISFSSALVKDQQIASISTQVIESLDDCDAALKDAGSESSDTFSSKLGKASLGKAISDCDSQILAVSPLVEDVDFTDQAPDPLPPAADCIGRDKNDMEYANFSENSCGTGGSSLFSAILESNRGLKEEAMKGLPNDAPLFDFWKLGKLSSLQANDMLIKEKLASRKHRLKFKELALTLKYRAFHHLWAEDLRLLSIRKYRSKSQKRIEPSNRSSQSSSQKHRSSFRSRFALPAGNLTLVPTSEIVDYTKQLKSDSKLKVYRSNLKMPALNLDEESRKTVFVTHNGLIEDPIAFEKEKEMINPWMPEEREVFMEMLATWGKDFSKIASFLKHKTIADCVEFYYKNHKSDSFREVMKCLALRKQWQLPANNYLSTSGKRSQRDFNAVPLDMLEAVSMVAAHDNETTGNQRKFDQRPGFGSYDDFRMPGDAHGSVDKRDLLRHEKETTAADALAGIRGALSSEAMSPWIATSIGPAERINFAMPDRPLTPGVTQSIDEEEAFSDEGFGEFDSADWTDAEKTVFIQALSMYGKDFARISRCVASRTKEQCKIFFSKARKCLGLDILYQGPCNVATPRSDTNGGRSDTDDGFAAEMDSAVCSTQSCSRVDTEMTRSVVNTVGEDFAHAGNLSLQMEMDRSSEHHVSEGIDQEEIETKATDLDCDLNNDGLMRLGDDSRPVHVPKDASDAVVGSHGNVSSGGVAGFVADTELKKSGNCPAVSSSDTVSLEYVASDCLKLRTVEMTHGRSNEENCSNGTEMQDLDSVFVEGQGVKIGTDSNKSGSLCFETDPCANETASCLGNKVTACSSSIFPPNYHNQIQQDLLPSIQKRYLLNSLNQESSISVPVLPDPSSSSFGDPVHTGLQSNLIYEGLKQHENPVTGKLYQQYFLGSSTLNQISQTLQALTGYPLQSVDQQEFKQGPEMISGRSEIQQNCQNGNGLSPSSGIFDIGLHNLKPVDPKISHQRSEMLGSGRNDEQPNTLPKPCTQSFSENEEQSRQTGDVKLFGKILSNPSLQKSKSTSCESSGGKPPGQKRDSAQKPSNCQNDASAVASLSHSSRHSSPTDIPVRSYGYWDGNRIQTGFSSLPESAVLLTKLQGPLAGLSFYPTKDALPSNTRVRTEYQQAYTQPVSSGGTLPGFQQQGRTARQGNAAAVVGGGILGNGGGGGSGGSNAGGCTGVSDPVAAIKMHYARRAKLLASLESWRQTDR >DRNTG_29322.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29686944:29694638:1 gene:DRNTG_29322 transcript:DRNTG_29322.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIISAMLPCTPPVIIATEPCGESADGTSVISFSSALVKDQQIASISTQVIESLDDCDAALKDAGSESSDTFSSKLGKASLGKAISDCDSQILAVSPLVEDVDFTDQAPDPLPPAADCIGRDKNDMEYANFSENSCGTGGSSLFSAILESNRGLKEEAMKGLPNDAPLFDFWKLGKLSSLQANDMLIKEKLASRKHRLKFKELALTLKYRAFHHLWAEDLRLLSIRKYRSKSQKRIEPSNRSSQSSSQKHRSSFRSRFALPAGNLTLVPTSEIVDYTKQLKSDSKLKVYRSNLKMPALNLDEESRKTVFVTHNGLIEDPIAFEKEKEMINPWMPEEREVFMEMLATWGKDFSKIASFLKHKTIADCVEFYYKNHKSDSFREVMKCLALRKQWQLPANNYLSTSGKRSQRDFNAVPLDMLEAVSMVAAHDNETTGNQRKFDQRPGFGSYDDFRMPGDAHGSVDKRDLLRHEKETTAADALAGIRGALSSEAMSPWIATSIGPAERINFAMPDRPLTPGVTQSIDEEEAFSDEGFGEFDSADWTDAEKTVFIQALSMYGKDFARISRCVASRTKEQCKIFFSKARKCLGLDILYQGPCNVATPRSDTNGGRSDTDDGFAAEMDSAVCSTQSCSRVDTEMTRSVVNTVGEDFAHAGNLSLQMEMDRSSEHHVSEGIDQEEIETKATDLDCDLNNDGLMRLGDDSRPVHVPKDASDAVVGSHGNVSSGGVAGFVADTELKKSGNCPAVSSSDTVSLEYVASDCLKLRTVEMTHGRSNEENCSNGTEMQDLDSVFVEGQGVKIGTDSNKSGSLCFETDPCANETASCLGNKVTACSSSIFPPNYHNQIQQDLLPSIQKRYLLNSLNQESSISVPVLPDPSSSSFGDPVHTGLQSNLIYEGLKQHENPVTGKLYQQYFLGSSTLNQISQTLQALTGYPLQSVDQQEFKQGPEMISGRSEIQQNCQNGNGLSPSSGIFDIGLHNLKPVDPKISHQRSEMLGSGRNDEQPNTLPKPCTQSFSENEEQSRQTGDVKLFGKILSNPSLQKSKSTSCESSGGKPPGQKRDSAQKPSNCQNDASAVASLSHSSRHSSPTDIPVRSYGYWDGNRIQTGFSSLPESAVLLTKLQGPLAGLSFYPTKDALPSNTRVRTEYQQAYTQPVSSGGTLPGFQQQGRTARQGNAAAVVGGGILGNGGGGGSGGSNAGGCTGVSDPVAAIKMHYARRAKLLASLESWRQTDR >DRNTG_00235.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26038513:26039457:-1 gene:DRNTG_00235 transcript:DRNTG_00235.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEQDQTAEKALKHEQKNENVQQSRVLASMKKSWSTDSISSLSGSKGSCVCALTKHEGSFRCRHHRHHSAQNMATPSANPKTPGCIEEEEAK >DRNTG_23584.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7121127:7122342:1 gene:DRNTG_23584 transcript:DRNTG_23584.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLILVSRLNLKLGIPDYFFIVIDESISQMISRIKWMPILVLSSKLCPSGIEGTFFALLMAIDHIGMLTGSWGGGLLLHALKVTRTQFGNLWVAILVRSLIRMLPLALIYLVPNSDQMSRILPEGFLMENGILRTLEAEVDEAERLVV >DRNTG_23584.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7121755:7122342:1 gene:DRNTG_23584 transcript:DRNTG_23584.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLILVSRLNLKLGIPDYFFIVIDESISQMISRIKWMPILVLSSKLCPSGIEGTFFALLMAIDHIGMLTGSWGGGLLLHALKVTRTQFGNLWVAILVRSLIRMLPLALIYLVPNSDQMSRILPEGFLMENGILRTLEAEVDEAERLVV >DRNTG_23584.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7121470:7122342:1 gene:DRNTG_23584 transcript:DRNTG_23584.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLILVSRLNLKLGIPDYFFIVIDESISQMISRIKWMPILVLSSKLCPSGIEGTFFALLMAIDHIGMLTGSWGGGLLLHALKVTRTQFGNLWVAILVRSLIRMLPLALIYLVPNSDQMSRILPEGFLMENGILRTLEAEVDEAERLVV >DRNTG_10477.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000440.1:6049:6608:-1 gene:DRNTG_10477 transcript:DRNTG_10477.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKIPSLMHVSKKSKFRPNNMRFH >DRNTG_10477.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000440.1:6049:6807:-1 gene:DRNTG_10477 transcript:DRNTG_10477.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKIPSLMHVSKKSKFRPNNMRFH >DRNTG_10477.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000440.1:6015:6608:-1 gene:DRNTG_10477 transcript:DRNTG_10477.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKIPSLMHVSKKSKFRPNNMRFH >DRNTG_10477.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000440.1:6015:6807:-1 gene:DRNTG_10477 transcript:DRNTG_10477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKIPSLMHVSKKSKFRPNNMRFH >DRNTG_02332.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:613063:616827:1 gene:DRNTG_02332 transcript:DRNTG_02332.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline/neutral invertase E, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G22510) UniProtKB/Swiss-Prot;Acc:Q9FK88] MILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLAPEDGSADLIRALNNRLIALSFHIREYYWVDMRKLNEIYRYKTEEYSYDAVNKFNIYPDQISPWLVEWMPNKGGYLIGNLQPAHMDFRFFTLGNLWSVVSSLATTNQSHAILDLIEAKWSDLVSDMPFKICYPALEGQEWKIITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPEIAARAIDVAEKRIAADKWPEYYDTKKARFIGKQAHLYQTWSIAGYLVAKQLLANPDAANILWNEEDVEIINALNLMVDSSNPRSKRGRKILKKTYIV >DRNTG_02332.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:613063:616827:1 gene:DRNTG_02332 transcript:DRNTG_02332.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline/neutral invertase E, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G22510) UniProtKB/Swiss-Prot;Acc:Q9FK88] MEMNQQLKRSWILTLERLQLAVLHQLIQDCGGFILLRAYGKCSGDLSVQERIDVQTGIKMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLAPEDGSADLIRALNNRLIALSFHIREYYWVDMRKLNEIYRYKTEEYSYDAVNKFNIYPDQISPWLVEWMPNKGGYLIGNLQPAHMDFRFFTLGNLWSVVSSLATTNQSHAILDLIEAKWSDLVSDMPFKICYPALEGQEWKIITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPEIAARAIDVAEKRIAADKWPEYYDTKKARFIGKQAHLYQTWSIAGYLVAKQLLANPDAANILWNEEDVEIINALNLMVDSSNPRSKRGRKILKKTYIV >DRNTG_34209.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10434600:10437165:-1 gene:DRNTG_34209 transcript:DRNTG_34209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHSGRKSYHLESVKANLAAALERATLEDHCSQPTEKSEKQRIHTGVWKLSTPVWKFRTGVCCVHARGVARFQPYLKPIQLRFWGLERFYGSDIARRLEEDYWESFHRHRSGEVYPRPDKGSLVMSRGLSTRPSTRPTRGFLYGFIAFTFDSFDYSTPFTWDYYFDKPVHLQDIRKGILSEFSPSREDTGACGYPYFQGSHRRTGVGNFRTPMREQLESKECFPESTQGRVYTPVALL >DRNTG_31800.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:564296:569265:-1 gene:DRNTG_31800 transcript:DRNTG_31800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGGGGGEGGEEMEEDGKDARKGKEMEVDKKKAVLMWGYLPGVSLQRSPLLYPVAVPLPGSPISGDSWKDVCGGGCGFAMAISESGKLLTWGSTDDMGQSYLTAGKHEEIPEAYPLPTQVAVVKAAAGWAHCVAVTAHGDAYTWGWKECVPSGRVVVDQTMGEAVEKDEKEVKSRSNVSRATGGPVSSSETRGGEESAKRRKLSSAKQGQESSTSGDENLSAPPCLVTLNSGVRITAVAAGGRHTLALSDVGQVWGWGYGGEGQLGLGSRIRLVSSPHPVPCIGLGVYGRDRSAAIKRNSSSDEQVFMGIGNYVKAIACGGRHSTVITDAGALLTFGWGLYGQD >DRNTG_31800.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:564296:569265:-1 gene:DRNTG_31800 transcript:DRNTG_31800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGGGGGEGGEEMEEDGKDARKGKEMEVDKKKAVLMWGYLPGVSLQRSPLLYPVAVPLPGSPISGDSWKDVCGGGCGFAMAISESGKLLTWGSTDDMGQSYLTAGKHEEIPEAYPLPTQVAVVKAAAGWAHCVAVTAHGDAYTWGWKECVPSGRVVVDQTMGEAVEKDEKEVKSRSNVSRATGGPVSSSETRGGEESAKRRKLSSAKQGQESSTSGDENLSAPPCLVTLNSGVRITAVAAGGRHTLALSDVGQVWGWGYGGEGQLGLGSRIRLVSSPHPVPCIGLGVYGRDRSAAIKRNSSSDEQVFMGIGNYVKAIACGGRHSTVITDAGALLTFGWGLYGQCGQGSVDDELSPKCVTALLGIKIKGVAAGLWHTLCISADGDVYAFGGNQFGQLGTGTDQAETLPKLLDVPCLENKNAKIISCGARHSAITTDEGEVFCWGWNKYGQV >DRNTG_31800.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:564296:569265:-1 gene:DRNTG_31800 transcript:DRNTG_31800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGGGGGEGGEEMEEDGKDARKGKEMEVDKKKAVLMWGYLPGVSLQRSPLLYPVAVPLPGSPISGDSWKDVCGGGCGFAMAISESGKLLTWGSTDDMGQSYLTAGKHEEIPEAYPLPTQVAVVKAAAGWAHCVAVTAHGDAYTWGWKECVPSGRVVVDQTMGEAVEKDEKEVKSRSNVSRATGGPVSSSETRGGEESAKRRKLSSAKQGQESSTSGDENLSAPPCLVTLNSGVRITAVAAGGRHTLALSDVGQVWGWGYGGEGQLGLGSRIRLVSSPHPVPCIGLGVYGRDRSAAIKRNSSSDEQVFMGIGNYVKAIACGGRHSTVITDAGALLTFGWGLYGQVSFLSLPSVPMHLESMTNSHDAFVVPA >DRNTG_31800.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:564296:569265:-1 gene:DRNTG_31800 transcript:DRNTG_31800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGGGGGEGGEEMEEDGKDARKGKEMEVDKKKAVLMWGYLPGVSLQRSPLLYPVAVPLPGSPISGDSWKDVCGGGCGFAMAISESGKLLTWGSTDDMGQSYLTAGKHEEIPEAYPLPTQVAVVKAAAGWAHCVAVTAHGDAYTWGWKECVPSGRVVVDQTMGEAVEKDEKEVKSRSNVSRATGGPVSSSETRGGEESAKRRKLSSAKQGQESSTSGDENLSAPPCLVTLNSGVRITAVAAGGRHTLALSDVGQVWGWGYGGEGQLGLGSRIRLVSSPHPVPCIGLGVYGRDRSAAIKRNSSSDEQVFMGIGNYVKAIACGGRHSTVITDAGALLTFGWGLYGQEL >DRNTG_19040.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22501712:22503797:-1 gene:DRNTG_19040 transcript:DRNTG_19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLQLHARVLTDPLPPSILDPNLAAVKLISACSALAKPQHATLVFSTLPNPNLFACNALLQALALHRLFSHVRHYFILLLRSQTLIPDEFTFTSVIKACAGLAVFSDGEQCHGLVTKRGFDSNLFVRNSLVDMYFKFGLPNVARLLFDEMTVRDIVSWNTLVAGYCSCGDVRAARGVFDQMEEKNFVSWSTMIAGYARSGDLVVARELFDGMPERNVVCWNAMIAGYSQNEKFSEAIELFRQMLRFGGVAPNDVSLVSVLSACAHLGALDLGRWIDGFIKRRAMELSLFVGNALSDMYAKCGCIADARQVFDRMRERDVISWSILISGLAMHGHADGAISAFNEMLEHEVKPNDITFMGVLSACTHAGLVDKGLEFFHLMKDKFAIVPKVEHYGCVVDLLSRAGRLDEAENLISSMEVTPNVIVWGALLGGCRIYKDIARGERVVSHILELDPDHSGSYVYLANVYASLGRLDDAASCRLKMRDNQVVKTPGCSWIEVNNRVYEFFMGDRYHPESDRIYAFIRELGMKMKLAGYVPDTSLVSQNIDEEEKENVLSLHSEKLAVAFGIISTEEGAMIRVVKNLRVCNDCHVAMKFISKIVSREIVLRDRSRFHHFKEGKCSCKDYW >DRNTG_18298.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2611033:2617461:1 gene:DRNTG_18298 transcript:DRNTG_18298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVPLAYQNNTSAAVTDWLNKGDNAWQMISATLVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVLLCWVIWAYNMSFGDKLLPLWGKAKPALGQKFLIKQAALPATTHYYHNGSIETAMIEPYYPMASMVYFQCVFACITVILLAGSLLGRMNIKAWMLFVPLWLTFSYTVGAFSLWGGGFLFHWGVIDYSGGYVIHLSSGVGGFTAAYWVGPRSTKDRERFPPNNVLLMLAGAGLLWMGWAGFNGGDPYAANIDSSMAVLNTNICAATSLLVWTSLDVFFFKKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGILSGSIPWFTMMIVHKRSSLLQKVDDTLGVFHTHAVAGFLGGALTGLFAEPTLCSLFLPVTNSRGGVYGGSGGAQFGKQIAGALFIIGWNLVVTSVICVVIKVVVPLRMPEEMLTIGDDAVHGEEAYALWGDGEKYDSTKHGWYSDDTQHNKVPSGVTLNV >DRNTG_28030.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5330609:5332731:-1 gene:DRNTG_28030 transcript:DRNTG_28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRKTEMTHNSNAFIAMSRSYGTLEELFEVITWAQLGIHSKPVKNNARREKVGKGSTPNHHQIHGVNSSENNARNLSDPVHLPKSHL >DRNTG_14738.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:133537:137157:-1 gene:DRNTG_14738 transcript:DRNTG_14738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAVLSAFMQVLFEKLAVAALDEYKSLRNTKKELRSLRNTLSSIQDLLEDAENKQVKEKQVRRWLMKLKDVAYDIDDLLDEYTAATPRPKVTRHLLTCNFCVNEALLTSKIARKIKGINKRLENIFRERDVLGLQVLGRRIEIEIENEIEERPQTSSLVDGSHVFGREQDKENVVKLLLTSSEGLGFNNRRKVVILPVVGMGGLGKTTLTQLVYNDSRVKEHFQLRMWVCVSENFDERKLTRETLEGTLVDYNHNNSNHSTTTTTTTTTNMNMLQEDLFKRLKGKRFLLVLDDVWNEDHQRWQRYYAALTAGDIGSKIMVTTQNENVGRIMGGLPAYHLKPLSDHDCWSVFRNCAFVDGNSSGHPKLEEIGKEIVKNLKGFPLAAKALGSLLYSKLDENDWKNILRSEIWELQPDQNNNILPALRLSYKHLSPNLKQCFAFCAVFHKDYVFEKDNLVQMWMALGFIQPQGRKSMEDIGNGYLDDLVSRSFFEAQNGSYFMHDAIHELARSVAAMECVRLEDGWQNTTFSKKARHSSFSCSNTMDTSFEQFYGFKSLRTLLVLEGYKSRTRPIPNDLFLKLKFLRVLDLQRRDIDKLPSSIENLQQLRYLCLSRTGIKALPSSLTRLCGLQTLKLKYCTELSHLPVDVTNLINLRHLEVNSSLILDIARIGKLAHLQKLAEFLVRRSNGFRITELKHMAELRGHLRISGLENVTSGDEAVEAMLSAKSSLSSLELVWCNESLMDGQSIQQDVLRGLHPHVELRELIIKGYSGFRFPGWLGSSSLSSLHTIQLSNCNKCMLLPPLGQLPFLKRLDIDGLQAVTHIGQEILGQGEIMGFPSLNVLVLQDMTYLEEWSVAEGQIVLPCITEIQVSECPKLRRLPPVPPTVNRLTISEVGVNCLPQLKKTSANTTSTALSSLYVHECSSLKSLSNGLLSQELNSLRELTIANCEELVSLPMDGFKPLVSLTNLHIYNCPKLKCGLPEATDLLPESLEDLRISSCSTELINPMLKCLSCLTSLTHLNLTDCSQLSHFPEEAQLPNMLKFLVFWNCVKLWRLPPLLHVSGLESLVISNCPLVSCLPEEGLPAELQELCINGCPLLIDLLEDDSGREWAKIAPVSKVEIDHVRRAGMDGKAAFGSIKWRLGTRS >DRNTG_15976.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5120253:5121200:1 gene:DRNTG_15976 transcript:DRNTG_15976.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKYLHKSITFYSPVYAIFKLCTFVFSFNFLVPLLTFSQAAAEADSFFCFVELLSGFRDNFCQKLDNSVVGIRSTITKLSQLLKKHDGELWRHLEITLDVHSGGKYSIVNRYAQLRFFISWMRICRAGNMKGWGMQNCVSSE >DRNTG_10589.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7282237:7285800:-1 gene:DRNTG_10589 transcript:DRNTG_10589.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSQESSVSFYIFILEYSGFLLNYVLIQIFLYGGYSKEVATDKSGFEKGIVHSDMWSLDPRSWEWNKVKKSGMPPSPRAGFSMCVHKKRAVLFGGVVGMEVGGDVLMSLFMNELYGFQLDCHRWGSMKLPRRSPNCPNLPKSHPCLMTQPWKYKNYQP >DRNTG_10589.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7283027:7286553:-1 gene:DRNTG_10589 transcript:DRNTG_10589.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLDPRSWEWNKVKKSGMPPSPRAGFSMCVHKKRAVLFGGVVGMEVGGDVLMSLFMNELYGFQLDCHRWGSMKLPRRSPNCPNVILFSITFFFPTLVRS >DRNTG_10589.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7280372:7285800:-1 gene:DRNTG_10589 transcript:DRNTG_10589.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSQESSVSFYIFILEYSGFLLNYVLIQIFLYGGYSKEVATDKSGFEKGIVHSDMWSLDPRSWEWNKVKKSGMPPSPRAGFSMCVHKKRAVLFGGVVGMEVGGDVLMSLFMNELYGFQLDCHRWGSMKLPRRSPNCPNLPKSHPCLMTQPWKYKNYQP >DRNTG_10589.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7274704:7286553:-1 gene:DRNTG_10589 transcript:DRNTG_10589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDPTVEIQELSAIIKNDITALNISMSDLQVLQNTELADMNSSKDRVVHATTICDNLKSRLIGATKQFKYVLSARTENLKAHENRKQIFSTNASRENPLMHQPKTVSEPPPLSNAATSLPSSVTPAHP >DRNTG_10589.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7281733:7286553:-1 gene:DRNTG_10589 transcript:DRNTG_10589.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDPTVEIQELSAIIKNDITALNISMSDLQVLQNTELADMNSSKDRVVHATTICDNLKSRLIGATKQFKYVLSARTENLKAHENRKQIFSTNASRENPLMHQPKTVSEPPPLSNAATSLPSSVTPAHP >DRNTG_10589.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7283497:7285800:-1 gene:DRNTG_10589 transcript:DRNTG_10589.6 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSQESSVSFYIFILEYSGFLLNYVLIQIFLYGGYSKEVATDKSGFEKGIVHSDMWSLDPRSWEWNKVKKSGMPPSPRAGFSMCVHKKRAVLFGGVVGMEVGG >DRNTG_31981.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:674004:680723:1 gene:DRNTG_31981 transcript:DRNTG_31981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVDHLGHERKKAEFDVEAMKVVWAGSKHALEISDRISRLVASDPVFKKDDRTTLSRKDLFNNTLRKAAHAWKRINELRLTEEEASRLRFYVDEPSYVDLHWGMFVPAIKGQGTEEQHKKWLPLAYKMQIIGCYAQTELGHGSNVQGLETTATYDPKSEEFIIHSPTLTASKWWPGGLGKVSTHAVVYARLLTDGRDYGVHGFIVQLRSLDDHLPLPGITVGDIGTKFGNGAYNSMDNGVLRFDHVRIPRDQMLMRVSQVTKEGKYLQSDVPRQLVYGTMVYVRQTIVADASNALSRAVCIAVRYSAVRRQFGSQDGGPELQVIDYKTQQSRLFPLLASAYAFRFVGEWLKWLYTDVTQRLQANDFSTLPEAHACTAGLKSLTTSVTADGIEECRKLCGGHGYLCSSGLPELFAVYVPSCTYEGDNVVLLLQVARFLVKTVSQLASGKEPVGTTAYYRRVEHLMQCACDVQRAEDWLKPSVVLEAFEARAIRMAITCAKNLSKAPSPEEGFAALSADLVEAAVAHCQLIIVSKFIDKVQEDIEGQRVKEQLQILCSVYALSLLHKNLGDFLSTSCITPKQGALANDMLRSLYAQVRPNAVALVDAFNYTDHYLGSVLGRYDGNVYPNLYEAAWKEPLNDTVVPDGYDDYIRPVLEQKFRISRL >DRNTG_20349.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23402137:23404628:-1 gene:DRNTG_20349 transcript:DRNTG_20349.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESDHGKLFIGGISWDTNEERLRDYFTKFGEVMEAVIMKDRITGRARGFGFIVYADPAVAERVVMEKHMIDGRLVEAKKAIPRDDQNILGKQNNIVHGSPGPARTKKIFVGGLPSSITGDDFKMYFLQFGPISDVVVMYDHSTQRPRGFGFITFESEDSVDKALFKTFHELNGKMVEVKRAVPRELSPVPAIRSPFSGYSYGGMHRINSFIDAFPQGFNPTSLSSYGMKVDSRFNPPVGERNAYPSYGAGYGMGMNFAPGFNSSFLGNSNYNNNVGYGGDPGIYYSGGSSRYGSGVGYGGGDLGTGIGMMARNVWGNDDLNYNTMSASSNTYMATGGVDLCSFDNASLTWGGTSTTARSVHRVASNVSYAGADLRFATADNGIGLSGNGFGRSSGTGIGGRHLNSLNGENAGIYGSSSVYGDPTWRSGSNDLDGDAPFGYGLGSTAPVVTAKGALGYASSYNVNNRQQNRGKRYLFEFIYLSSLLLF >DRNTG_20349.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23401360:23404628:-1 gene:DRNTG_20349 transcript:DRNTG_20349.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDHGKLFIGGISWDTNEERLRDYFTKFGEVMEAVIMKDRITGRARGFGFIVYADPAVAERVVMEKHMIDGRLVEAKKAIPRDDQNILGKQNNIVHGSPGPARTKKIFVGGLPSSITGDDFKMYFLQFGPISDVVVMYDHSTQRPRGFGFITFESEDSVDKALFKTFHELNGKMVEVKRAVPRELSPVPAIRSPFSGYSYGGMHRINSFIDAFPQGFNPTSLSSYGMKVDSRFNPPVGERNAYPSYGAGYGMGMNFAPGFNSSFLGNSNYNNNVGYGGDPGIYYSGGSSRYGSGVGYGGGDLGTGIGMMARNVWGNDDLNYNTMSASSNTYMATGGVDLCSFDNASLTWGGTSTTARSVHRVASNVSYAGADLRFATADNGIGLSGNGFGRSSGTGIGGRHLNSLNGENAGIYGSSSVYGDPTWRSGSNDLDGDAPFGYGLGSTAPVVTAKGALGYASSYNVNNRQQNRGVAA >DRNTG_20349.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23401360:23404628:-1 gene:DRNTG_20349 transcript:DRNTG_20349.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDHGKLFIGGISWDTNEERLRDYFTKFGEVMEAVIMKDRITGRARGFGFIVYADPAVAERVVMEKHMIDGRLVEAKKAIPRDDQNILGKQNNIVHGSPGPARTKKIFVGGLPSSITGDDFKMYFLQFGPISDVVVMYDHSTQRPRGFGFITFESEDSVDKALFKTFHELNGKMVEVKRAVPRELSPVPAIRSPFSGYSYGGMHRINSFIDAFPQGFNPTSLSSYGMKVDSRFNPPVGERNAYPSYGAGYGMGMNFAPGFNSSFLGNSNYNNNVGYGGDPGIYYSGGSSRYGSGVGYGGGDLGTGIGMMARNVWGNDDLNYNTMSASSNTYMATGGVDLCSFDNASLTWGGTSTTARSVHRVASNVSYAGADLRFATADNGIGLSGNGFGRSSGTGIGGRHLNSLNGENAGIYGSSSVYGDPTWRSGSNDLDGDAPFGYGLGSTAPVVTAKGVAA >DRNTG_06876.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14295527:14296303:-1 gene:DRNTG_06876 transcript:DRNTG_06876.3 gene_biotype:protein_coding transcript_biotype:protein_coding GFKGADLCNVCIEAGMSIIRAECDYAIDEDFMKV >DRNTG_06876.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14274343:14296303:-1 gene:DRNTG_06876 transcript:DRNTG_06876.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFKGADLCNVCIEAGMSIIRAECDYAIDEDFMKVVCSAARVYLYLSINWMISLQGNQI >DRNTG_00472.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30733967:30734976:1 gene:DRNTG_00472 transcript:DRNTG_00472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSCDVLLHHNIYDPSFSSSFKVSPFNIDHLQTRTKMKHQTNLFATSLFLFFLLSQCFLFIIPVSIALMEGSKDHHHHHHHHILKDIYGSKSSLPDCSHACGPCFPCKRVIVSFKCSLAESCPIVYRCMCNGKYYHVPSN >DRNTG_05887.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4216141:4216717:-1 gene:DRNTG_05887 transcript:DRNTG_05887.1 gene_biotype:protein_coding transcript_biotype:protein_coding QEKVNIEDRLGEVEAGSKEALHDPERELTA >DRNTG_18787.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:298882:302468:-1 gene:DRNTG_18787 transcript:DRNTG_18787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSGGEVVEKDRTVVCIGDIHGYFSKLQALWSNLEIHLGPSAFASALVIFLGDYCDRGPQTLEVLDFLISLPARYPAQTHVFLCGNHDFAFAAFVGALPAPPDGSHFSSTWSEYECNEEREGWFKGLGFEEMHLQGRRWAGTIKVKWNSEKGMEYKGSIYDAAPTFQSYGVPHGSAGE >DRNTG_18787.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:298882:302468:-1 gene:DRNTG_18787 transcript:DRNTG_18787.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSGGEVVEKDRTVVCIGDIHGYFSKLQALWSNLEIHLGPSSFASALVIFLGDYCDRGPQTLEVLDFLISLPARYPAQTHVFLCGNHEFAFAAFVGALPAPPDGSPFSSTWSEYDCNEEREGWFKGSGYEEMHLQGRRWAGTIEAKWNSQKGMGCKGSIYDAAPTFQSYGVPHGSAGE >DRNTG_02860.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:13173930:13177965:1 gene:DRNTG_02860 transcript:DRNTG_02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFKREDIGPVRDARVCANLLVFESAQPLGGAQVQSHSSIPTYAHRTRSPPTCQSLKKQTDPRHERVPVCVTSMKGFEGYWLRFLRGSTAPTSSFLRKKVGRVASVEAYPIPDEGIVGRRVEDFPQDHRHDHRGDKARLERVERVSREVDSTSVPRVLCGHNRVSGLRDAPLLDTTDEIVDEYMQEMFNPDLYEGLFDQEEDYE >DRNTG_13934.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19601220:19604348:1 gene:DRNTG_13934 transcript:DRNTG_13934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADASPKFRTPDLIPAGAGALAVAHDGLEFWQYMIAGSIAGVVEHTGMFPVDTLKTRMQAGAPPCHPPLGLRQTLRSVLRNQGFPGLYRGISAMGLGAGPAHAVYFSVYELFKKRLSKNNPNNPLAHATSGVIATVASDAVLTPMDTVKQRLQLESSPYRGIGDCVRRMVREEGFRAFYASYRTTVVMNAPFTAVHFATYEAVKRALLEISPDSANDERLVVHATAGAAAGALAAALTTPLDVVKTQLQCQGVCGCNRFPTSSIRGGTKIYYKA >DRNTG_13934.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19601275:19604348:1 gene:DRNTG_13934 transcript:DRNTG_13934.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAADASPKFRTPDLIPAGAGALAVAHDGLEFWQYMIAGSIAGVVEHTGMFPVDTLKTRMQAGAPPCHPPLGLRQTLRSVLRNQGFPGLYRGISAMGLGAGPAHAVYFSVYELFKKRLSKNNPNNPLAHATSGVIATVASDAVLTPMDTVKQRLQLESSPYRGIGDCVRRMVREEGFRAFYASYRTTVVMNAPFTAVHFATYEAVKRALLEISPDSANDERLVVHATAGAAAGALAAALTTPLDVVKTQLQCQGVCGCNRFPTSSIRGGTKIYYKA >DRNTG_13934.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19601275:19604320:1 gene:DRNTG_13934 transcript:DRNTG_13934.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAADASPKFRTPDLIPAGAGALAVAHDGLEFWQYMIAGSIAGVVEHTGMFPVDTLKTRMQAGAPPCHPPLGLRQTLRSVLRNQGFPGLYRGISAMGLGAGPAHAVYFSVYELFKKRLSKNNPNNPLAHATSGVIATVASDAVLTPMDTVKQRLQLESSPYRGIGDCVRRMVREEGFRAFYASYRTTVVMNAPFTAVHFATYEAVKRALLEISPDSANDERLVVHATAGAAAGALAAALTTPLDVVKTQLQCQGVCGCNRFPTSSIRGGTKIYYKA >DRNTG_13934.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19601220:19604320:1 gene:DRNTG_13934 transcript:DRNTG_13934.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADASPKFRTPDLIPAGAGALAVAHDGLEFWQYMIAGSIAGVVEHTGMFPVDTLKTRMQAGAPPCHPPLGLRQTLRSVLRNQGFPGLYRGISAMGLGAGPAHAVYFSVYELFKKRLSKNNPNNPLAHATSGVIATVASDAVLTPMDTVKQRLQLESSPYRGIGDCVRRMVREEGFRAFYASYRTTVVMNAPFTAVHFATYEAVKRALLEISPDSANDERLVVHATAGAAAGALAAALTTPLDVVKTQLQCQGVCGCNRFPTSSIRGGTKIYYKA >DRNTG_13934.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19601275:19604303:1 gene:DRNTG_13934 transcript:DRNTG_13934.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAADASPKFRTPDLIPAGAGALAVAHDGLEFWQYMIAGSIAGVVEHTGMFPVDTLKTRMQAGAPPCHPPLGLRQTLRSVLRNQGFPGLYRGISAMGLGAGPAHAVYFSVYELFKKRLSKNNPNNPLAHATSGVIATVASDAVLTPMDTVKQRLQLESSPYRGIGDCVRRMVREEGFRAFYASYRTTVVMNAPFTAVHFATYEAVKRALLEISPDSANDERLVVHATAGAAAGALAAALTTPLDVVKTQLQCQGVCGCNRFPTSSIRGGTKIYYKA >DRNTG_13934.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19601220:19604303:1 gene:DRNTG_13934 transcript:DRNTG_13934.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAADASPKFRTPDLIPAGAGALAVAHDGLEFWQYMIAGSIAGVVEHTGMFPVDTLKTRMQAGAPPCHPPLGLRQTLRSVLRNQGFPGLYRGISAMGLGAGPAHAVYFSVYELFKKRLSKNNPNNPLAHATSGVIATVASDAVLTPMDTVKQRLQLESSPYRGIGDCVRRMVREEGFRAFYASYRTTVVMNAPFTAVHFATYEAVKRALLEISPDSANDERLVVHATAGAAAGALAAALTTPLDVVKTQLQCQGVCGCNRFPTSSIRGGTKIYYKA >DRNTG_01614.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1864359:1871349:-1 gene:DRNTG_01614 transcript:DRNTG_01614.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACASLCLGAAGAQMPIAGAGVPSLSVSTSRRASGRSRVRMAATLPPIPPLNPKDPFLSKLSSIAASSPDILSHISSNSDIPPFLDLFDSPTLMATPAQVERSVSYNEHRPRKPPPDLPSLLLNGRIVYIGMPLVPAVTELVIAELLYLQWMDPKEPIYLYINSTGTTRDDGETVGMETEGFAIYDAMMQLKNEIQTVAVGAAIGQACLLLASGKKGRRFMMPHAKAMIQQPRVPSSGQMPASDVLIRAKEVINNRDTLVKLLAKHTKNSTETVSNVMRRPF >DRNTG_01614.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1864137:1871349:-1 gene:DRNTG_01614 transcript:DRNTG_01614.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACASLCLGAAGAQMPIAGAGVPSLSVSTSRRASGRSRVRMAATLPPIPPLNPKDPFLSKLSSIAASSPDILSHISSNSDIPPFLDLFDSPTLMATPAQVERSVSYNEHRPRKPPPDLPSLLLNGRIVYIGMPLVPAVTELVIAELLYLQWMDPKEPIYLYINSTGTTRDDGETVGMETEGFAIYDAMMQLKNEIQTVAVGAAIGQACLLLASGKKGRRFMMPHAKAMIQQPRVPSSGQMPASDVLIRAKEVINNRDTLVKLLAKHTKNSTETVSNVMRRPF >DRNTG_15507.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20825017:20832189:-1 gene:DRNTG_15507 transcript:DRNTG_15507.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRRGGSVTMSPSVTPKSSEKWGRDLRSTENGSASKHDKDKGVNIQVLLRCRPLSEDELRVNTPVVISCNELRREVTAIQNIANKQIDRTFAFDKVFGPTSQQKDLFDAAVSPVVNEVLEGYNCTIFAYGQTGTGKTYTMEGGGRKMKNGKLPSDAGVIPRAVQRIFDILEAQSADYNMKVTFLELYNEEITDLLAMEESKLPDDKSRKPIALMEDGKGGVLVRGLEEEIVSSAGEIYKILDKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIRCGKLNLVDLAGSENISRSGAKEGRAREAGEINKSLLTLGRIINALVEHSCHIPYRDSKLTRLLRDSLGGKTKTCIIATISPSIYCLEETLSTLDYAHRAKNIKNKPEINQKMMKSALMKDLYSEIDRLKQEVYAAREKNGVYIPRDHYLLEEAEKKAMAEKMERLELDLESKEKKLIGVEELYDSQLLLTSELSGKLEKSQKKLEVTEHALCDLEGRYKQANSLIKEKEYLISNLLKSEKALVERTYDLRSELEKTASDVSGLFSKIERKDKIEDGNKILVQKFQSQLIRQLDMLHKTVSDSVMQHETHLQEMEEEMRSFVSTKSEATEELRGRVKKLQIMFCSGIRTLDDLASELDKKSQSTCEKLNSQVNLHFSALDDSFKDIVAEAGQLINELQNGLIKQEDKLSAFAQRQQEGHLRAMETTRSIAKIVTGFFHTLDVHDSNLNKILKETQVIHDQQLSQLEKRFEECAANEERQLLEKVAEMLAGSNARKKILVQTTVDGLREAAAERTSSLQKEMSTAREFTLSAKEQWAVHMKETENNYKDNTAAVESSKCSMEEGFRHCLAKARMGSEQWQNAQSALVSLGKENLSSVESIIRSATEDNQQLHSRLSSAVSTTLEDVDVANKAILSSIECSLKLDHDACANVDFIIAPAREQLRELKVGHNHKIMELSENAGKCLVDDYMVDEPTCSTPKKRGINLPSMTSIEELITPPFEELLKSFWEAKSPSKPANGDAKHYLHTNDTSRPPLSAIN >DRNTG_15507.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20825017:20829104:-1 gene:DRNTG_15507 transcript:DRNTG_15507.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKTVSDSVMQHETHLQEMEEEMRSFVSTKSEATEELRGRVKKLQIMFCSGIRTLDDLASELDKKSQSTCEKLNSQVNLHFSALDDSFKDIVAEAGQLINELQNGLIKQEDKLSAFAQRQQEGHLRAMETTRSIAKIVTGFFHTLDVHDSNLNKILKETQVIHDQQLSQLEKRFEECAANEERQLLEKVAEMLAGSNARKKILVQTTVDGLREAAAERTSSLQKEMSTAREFTLSAKEQWAVHMKETENNYKDNTAAVESSKCSMEEGFRHCLAKARMGSEQWQNAQSALVSLGKENLSSVESIIRSATEDNQQLHSRLSSAVSTTLEDVDVANKAILSSIECSLKLDHDACANVDFIIAPAREQLRELKVGHNHKIMELSENAGKCLVDDYMVDEPTCSTPKKRGINLPSMTSIEELITPPFEELLKSFWEAKSPSKPANGDAKHYLHTNDTSRPPLSAIN >DRNTG_15507.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20825868:20831098:-1 gene:DRNTG_15507 transcript:DRNTG_15507.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTFLELYNEEITDLLAMEESKLPDDKSRKPIALMEDGKGGVLVRGLEEEIVSSAGEIYKILDKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIRCGKLNLVDLAGSENISRSGAKEGRAREAGEINKSLLTLGRIINALVEHSCHIPYRDSKLTRLLRDSLGGKTKTCIIATISPSIYCLEETLSTLDYAHRAKNIKNKPEINQKMMKSALMKDLYSEIDRLKQEVYAAREKNGVYIPRDHYLLEEAEKKAMAEKMERLELDLESKEKKLIGVEELYDSQLLLTSELSGKLEKSQKKLEVTEHALCDLEGRYKQANSLIKEKEYLISNLLKSEKALVERTYDLRSELEKTASDVSGLFSKIERKDKIEDGNKILVQKFQSQLIRQLDMLHKTVSDSVMQHETHLQEMEEEMRSFVSTKSEVVLILSL >DRNTG_15507.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20825017:20830287:-1 gene:DRNTG_15507 transcript:DRNTG_15507.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSALMKDLYSEIDRLKQEVYAAREKNGVYIPRDHYLLEEAEKKAMAEKMERLELDLESKEKKLIGVEELYDSQLLLTSELSGKLEKSQKKLEVTEHALCDLEGRYKQANSLIKEKEYLISNLLKSEKALVERTYDLRSELEKTASDVSGLFSKIERKDKIEDGNKILVQKFQSQLIRQLDMLHKTVSDSVMQHETHLQEMEEEMRSFVSTKSEATEELRGRVKKLQIMFCSGIRTLDDLASELDKKSQSTCEKLNSQVNLHFSALDDSFKDIVAEAGQLINELQNGLIKQEDKLSAFAQRQQEGHLRAMETTRSIAKIVTGFFHTLDVHDSNLNKILKETQVIHDQQLSQLEKRFEECAANEERQLLEKVAEMLAGSNARKKILVQTTVDGLREAAAERTSSLQKEMSTAREFTLSAKEQWAVHMKETENNYKDNTAAVESSKCSMEEGFRHCLAKARMGSEQWQNAQSALVSLGKENLSSVESIIRSATEDNQQLHSRLSSAVSTTLEDVDVANKAILSSIECSLKLDHDACANVDFIIAPAREQLRELKVGHNHKIMELSENAGKCLVDDYMVDEPTCSTPKKRGINLPSMTSIEELITPPFEELLKSFWEAKSPSKPANGDAKHYLHTNDTSRPPLSAIN >DRNTG_15507.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20825017:20832189:-1 gene:DRNTG_15507 transcript:DRNTG_15507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRRGGSVTMSPSVTPKSSEKWGRDLRSTENGSASKHDKDKGVNIQVLLRCRPLSEDELRVNTPVVISCNELRREVTAIQNIANKQIDRTFAFDKVFGPTSQQKDLFDAAVSPVVNEVLEGYNCTIFAYGQTGTGKTYTMEGGGRKMKNGKLPSDAGVIPRAVQRIFDILEAQSADYNMKVTFLELYNEEITDLLAMEESKLPDDKSRKPIALMEDGKGGVLVRGLEEEIVSSAGEIYKILDKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIRCGKLNLVDLAGSENISRSGAKEGRAREAGEINKSLLTLGRIINALVEHSCHIPYRDSKLTRLLRDSLGGKTKTCIIATISPSIYCLEETLSTLDYAHRAKNIKNKPEINQKMMKSALMKDLYSEIDRLKQEVYAAREKNGVYIPRDHYLLEEAEKKAMAEKMERLELDLESKEKKLIGVEELYDSQLLLTSELSGKLEKSQKKLEVTEHALCDLEGRYKQANSLIKEKEYLISNLLKSEKALVERTYDLRSELEKTASDVSGLFSKIERKDKIEDGNKILVQKFQSQLIRQLDMLHKTVSDSVMQHETHLQEMEEEMRSFVSTKSEATEELRGRVKKLQIMFCSGIRTLDDLASELDKKSQSTCEKLNSQVNLHFSALDDSFKDIVAEAGQLINELQNGLIKQEDKLSAFAQRQQEGHLRAMETTRSIAKIVTGFFHTLDVHDSNLNKILKETQVIHDQQLSQLEKRFEECAANEERQLLEKVAEMLAGSNARKKILVQTTVDGLREAAAERTSSLQKEMSTAREFTLSAKEQWAVHMKETENNYKDNTAAVESSKCSMEEGFRHCLAKARMGSEQWQNAQSALVSLGKENLSSVESIIRSATEDNQQLHSRLSSAVSTTLEDVDVANKAILSSIECSLKLDHDACANVDFIIAPAREQLRELKVGHNHKIMELSENAGKCLVDDYMVDEPTCSTPKKRGINLPSMTSIEELITPPFEELLKSFWEAKSPSKPANGDAKHYLHTNDTSRPPLSAIN >DRNTG_08030.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30196874:30197523:-1 gene:DRNTG_08030 transcript:DRNTG_08030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLATVRAYIWKKPEDLVLNYRVVQTR >DRNTG_08030.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30197947:30209352:-1 gene:DRNTG_08030 transcript:DRNTG_08030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVGSAGNTSNSTRPRKEKRITYVLNDSDNTKHCAGVNCLAVLKSPSDSCDYLFTGSRDGTLKRWSLGEDEASCSGTFESHIDWVNDVVLAGNTLVSCSSDTTLKTWNCLSGGTCTRTLRQHSDYVICLAAAEKNSNIIASGGLGGEVFIWDIEAAFSPIAKSADLVEDESSNGIISSGNSGMPITSLRSINSNNIVSAQSSISHGYSPIAAKGHKESVYALAMSDNGSLLVSGGTEKVIRVWDPRTGSKNMKLRGHTDNIRALLLDSTGRYCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDLSLYLTDLSTRESILLCTKEHPILQMALQDDSIWVATTDSSVHRWPAEGRNPQKAFQKGGSFLAGNLSFTRARACLEGSTPVPVYREPSLTIPGIPGIVQHEILNNRRQVLTKDTDGSVKLWEITKGVVVQDYGQVSFEEKKEELFETVSIPAWFTMDTRLGSLSVHLDTPQCFSAEMYAVDLHVSGASEDQKINLAQETLRGLLAHWLAKRRQRPGSHASANGDVPSGKDASVRNLPHTRLDVDDVGENHNLVLPAFEFSTVSPPSIITEGSQGGPWRKKITDLEGTEDEKDIPWWCLDCVLNGRMPPRENTKCSFYLHPCEGSNAQVLTQGKLSAPRILRIHKVVNYVMDKLVPDKPLDSGSTDGTFGLGLGGGPMPFSSIDDGSFHSGLKSWQKRKPSIEILCNNQASLKKLS >DRNTG_08030.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30196874:30209352:-1 gene:DRNTG_08030 transcript:DRNTG_08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPITSLRSINSNNIVSAQSSISHGYSPIAAKGHKESVYALAMSDNGSLLVSGGTEKVIRVWDPRTGSKNMKLRGHTDNIRALLLDSTGRYCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDLSLYLTDLSTRESILLCTKEHPILQMALQDDSIWVATTDSSVHRWPAEGRNPQKAFQKGGSFLAGNLSFTRARACLEGSTPVPVYREPSLTIPGIPGIVQHEILNNRRQVLTKDTDGSVKLWEITKGVVVQDYGQVSFEEKKEELFETVSIPAWFTMDTRLGSLSVHLDTPQCFSAEMYAVDLHVSGASEDQKINLAQETLRGLLAHWLAKRRQRPGSHASANGDVPSGKDASVRNLPHTRLDVDDVGENHNLVLPAFEFSTVSPPSIITEGSQGGPWRKKITDLEGTEDEKDIPWWCLDCVLNGRMPPRENTKCSFYLHPCEGSNAQVLTQGKLSAPRILRIHKVVNYVMDKLVPDKPLDSGSTDGTFGLGLGGGPMPFSSIDDGSFHSGLKSWQKRKPSIEILCNNQVLSPEMSLATVRAYIWKKPEDLVLNYRVVQTR >DRNTG_08030.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30196874:30197523:-1 gene:DRNTG_08030 transcript:DRNTG_08030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLATVRAYIWKKPEDLVLNYRVVQTR >DRNTG_03086.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:425978:429168:-1 gene:DRNTG_03086 transcript:DRNTG_03086.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGGQNQLITSWRNSEDPAPGVFSLGLDPNNTHQLLFQKTGQASNSQNFSRVLKVASYFYNFSYVPLWNLDNYSYAIDDRTTISRGIMDVSGQFKQLTWFQDRREWVAYWAEPEKFPCEVPSYCGFNGLCANDSNLPCKCLLGFQPSSQNQWRSNDWSGGCVRRATLQCGKGDGFLKLTSTKLPIFQTDVQNLSLALDDCKAMCLKNCSCTAYASANGNGTGCLLWSKDLLGLQENYDGGQDIYVRLVASELNQVPGKCSSLSIFL >DRNTG_03086.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:425978:429168:-1 gene:DRNTG_03086 transcript:DRNTG_03086.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGGQNQLITSWRNSEDPAPGVFSLGLDPNNTHQLLFQKTGQASNSQNFSRVLKVASYFYNFSYVPLWNLDNYSYAIDDRTTISRGIMDVSGQFKQLTWFQDRREWVAYWAEPEKFPCEVPSYCGFNGLCANDSNLPCKCLLGFQPSSQNQWRSNDWSGGCVRRATLQCGKGDGFLKLTSTKLPIFQTDVQNLSLALDDCKAMCLKNCSCTAYASANGNGTGCLLWSKDLLGLQENYDGGQDIYVRLVASELNQVPDHGKKSSALVIILVVTGTIAVLLPGAVCCKYGKTIGKKLRNQDMSRALLLFKVRKKLRNQEMSSNPKSSKLFADAMMHGEDNKGCPQVSFTAIAAATNNFCDSNKLGEGGFGPVYMGMLEGYTVAIKRLAKNSGQGNREFKNEITLIANLQHKNLVRLLGCCIEKEEKILIYEYLPNKSLDTYIFDASRRAELDWQKRFHTIEGIAQGLLYLHKFSRLKVIHRDLKTSNILLDSEMNPKISDFGLARIFGQNENQANTRRVVGTYGYMAPEYAMEGLFSVKSDIYSFGVMLLEIVSGKKNTCFQHANNTVNLLGYAWELWTEGRSLELVDPILGDLYPPQEVSRCIHVALLCVQDHAADRPNISAVISMLHNETCLPFPKQPAFYIARDEGPSSDKGDIYTLNNVSFTSMQGR >DRNTG_03086.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:425978:429168:-1 gene:DRNTG_03086 transcript:DRNTG_03086.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGGQNQLITSWRNSEDPAPDHGKKSSALVIILVVTGTIAVLLPGAVCCKYGKTIGKKLRNQDMSRALLLFKVRKKLRNQEMSSNPKSSKLFADAMMHGEDNKGCPQVSFTAIAAATNNFCDSNKLGEGGFGPVYMGMLEGYTVAIKRLAKNSGQGNREFKNEITLIANLQHKNLVRLLGCCIEKEEKILIYEYLPNKSLDTYIFDASRRAELDWQKRFHTIEGIAQGLLYLHKFSRLKVIHRDLKTSNILLDSEMNPKISDFGLARIFGQNENQANTRRVVGTYGYMAPEYAMEGLFSVKSDIYSFGVMLLEIVSGKKNTCFQHANNTVNLLGYAWELWTEGRSLELVDPILGDLYPPQEVSRCIHVALLCVQDHAADRPNISAVISMLHNETCLPFPKQPAFYIARDEGPSSDKGDIYTLNNVSFTSMQGR >DRNTG_05493.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30262205:30263655:1 gene:DRNTG_05493 transcript:DRNTG_05493.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB26 [Source:Projected from Arabidopsis thaliana (AT3G13890) UniProtKB/Swiss-Prot;Acc:Q9SPG3] MGHHSCCNKQKVRRGLWSPEEDEKLVKYISTYGHGCWSSVPRLAGLQRCGKSCRLRWINYLRPDLKRGSFSHQEESLIIELHRILGNRWAQIAKHLPGRTDNEVKNFWNSTIKKKLMSHAVDGLNTMITTSPNEDLSQLVNTYPSGHFYFSPSDHHLFIPTSSCPLDHQLMNQYYNHNYSSSVIFDINSSVSLQFQEDKQLPPLVADIQDHHASALQSLSVLQEMGPSVRTYPNPTDYLDVLIGSSSLASASCGSNLAMNPYMQPFWAP >DRNTG_14953.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1056532:1059007:-1 gene:DRNTG_14953 transcript:DRNTG_14953.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCASVCHTDVIRWHGFPFPLFPRILGHEGVGVVESVGEGVEELKDGDTVIPALIAECKECLNCSSGRTNKCLKFPVSLSGLMPDQTSRMSVKGQMLYHMFTCSTFCEFMVVNVNFVVKVDPKLPLPHASLLSCGFSTGFGMPWKEAKIEKGSTVAVFGLGGVGTGAIAGAHCMGASKIIGVDLIQNKKQTAYAFGMTDFINPNELDGRSISDAIKDMTGGLGVNYSFDCTGVPSVFNEAIEATLEGTGVTVIVGTPKEPTVPFSPGALIHGVRTLKGSIFGGIKPQSDMPALIAKCVNKEFQLDRMVTHKIALDDINDAFQLIKQPDCLKVCIEINGKSAS >DRNTG_14953.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1056532:1059007:-1 gene:DRNTG_14953 transcript:DRNTG_14953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCASVCHTDVIRWHGFPFPLFPRILGHEGVGVVESVGEGVEELKDGDTVIPALIAECKECLNCSSGRTNKCLKFPVSLSGLMPDQTSRMSVKGQMLYHMFTCSTFCEFMVVNVNFVVKVDPKLPLPHASLLSCGFSTGFGMPWKEAKIEKGSTVAVFGLGGVGTGAIAGAHCMGASKIIGVDLIQNKKQTAYAFGMTDFINPNELDGRSISDAIKDMTGGLGVNYSFDCTGVPSVFNEAIEATLEGTGVTVIVGTPKEPTVPFSPGALIHGVRTLKGSIFGGIKPQSDMPALIAKCVNKEFQLDRMVTHKIALDDINDAFQLIKQPDCLKVCIEINGKSAS >DRNTG_06205.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000283.1:5903:7322:1 gene:DRNTG_06205 transcript:DRNTG_06205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTESSRNIGLKKTLVFYSGKAPKGIRSSWIMNEYRLPPNVTDQQQKAEISLCRVYKRPGLEDHHQIPAS >DRNTG_05213.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000234.1:17982:21208:1 gene:DRNTG_05213 transcript:DRNTG_05213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSVHKFGGTCMGTAQRIQSVADISLGDPSERKLIIVSAMSKVTDMLYDLLFKAQSRDDSYMSALDGVFEKHMLTAKELLTGDDLATFLSHLHSDISNLKAMLRAIYIAGHATESFSDFVVGHGELWSAQMLTYVIKKSGRPCTWMDARDVLVVNPTSSNQVDPDFEESEKRLKKWFSHHSAETVVATGFIASTPQNIPTTLKRDGSDFSAAIFGSLVKASQVTIWTDVDGVYSADPRKVSEAVILRTLSYQEA >DRNTG_29761.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15975246:15982335:1 gene:DRNTG_29761 transcript:DRNTG_29761.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTEAPARVNGGNGRESHPSGGSAPEGGGYDAGRGFGAGMGGRESDDALYRELWHACAGPLVTVPRVGERVFYFPQGHIEQVEASTNQVPEQQMKIYDLPSKILCRVLNVELKAELDTDEVFAQVTLAPESKQDENSVEKESLPPPPPRPHVHSFCKTLTSSDTSTHGGFSVLRRHADECLPPLDMSQQPPSQELVAKDLHGIEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRHQSNVPSSVISSHSMHLGVLATAWHAVTTGTMFTVYYKPRTSPSEFIVPYDQYMESLKVNYTIGTRFKMKFEGEEAPERRFTGTIISIEDVDSNKWPSSKWRCLKVQWDETSSVPRPDRVSPWKIEPALSPMNPLPVARPKRPRPQSIPLSSESSVLIRSGAPKVSVDLSQAHGIPSVINGPEIMALRSNVTDSSDSDIVQNPVLWPALHNEEKNDSGSAQRRLGSENWMPMPRHEPVLTDILSFETPRGSSKGGLFLNQTTSEINSSRNCFKDQEGEFNFLPGSWSLMPSNSYLPMNDSSLRTAAQTSESPYHKPGNATYSGFGCNPASQALGAEKHPPHWLAHHLSPNSETEAASHPRFVRPQSLTAIHQESTTTKASGNCMLFGFHLNSTPAVPDQALSHMNAIQESEPDVHPDVTLGQLHPPEAEACSLQSKGTKSVDSSHTGSEQDLNPAKDVHSKHYGGFQ >DRNTG_29761.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15975246:15982335:1 gene:DRNTG_29761 transcript:DRNTG_29761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTEAPARVNGGNGRESHPSGGSAPEGGGYDAGRGFGAGMGGRESDDALYRELWHACAGPLVTVPRVGERVFYFPQGHIEQVEASTNQVPEQQMKIYDLPSKILCRVLNVELKAELDTDEVFAQVTLAPESKQDENSVEKESLPPPPPRPHVHSFCKTLTSSDTSTHGGFSVLRRHADECLPPLDMSQQPPSQELVAKDLHGIEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRHQSNVPSSVISSHSMHLGVLATAWHAVTTGTMFTVYYKPRTSPSEFIVPYDQYMESLKVNYTIGTRFKMKFEGEEAPERRFTGTIISIEDVDSNKWPSSKWRCLKVQWDETSSVPRPDRVSPWKIEPALSPMNPLPVARPKRPRPQSIPLSSESSVLIRSGAPKVSVDLSQAHGIPSVINGPEIMALRSNVTDSSDSDIVQNPVLWPALHNEEKNDSGSAQRRLGSENWMPMPRHEPVLTDILSFETPRGSSKGGLFLNQTTSEINSSRNCFKDQEGEFNFLPGSWSLMPSNSYLPMNDSSLRTAAQTSESPYHKPGNATYSGFGCNPASQALGAEKHPPHWLAHHLSPNSETEAASHPRFVRPQSLTAIHQESTTTKASGNCMLFGFHLNSTPAVPDQALSHMNAIQESEPDVHPDVTLGQLHPPEAEACSLQSKGTKSVDSSHTGSEQDLNPAKDVHSKHYGGFQ >DRNTG_16350.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7795532:7796242:-1 gene:DRNTG_16350 transcript:DRNTG_16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRVPIHLGHIISEYIRHQGHYARLVAIFSGPYITRLVLGIDLLDSIRGAEKTSIPAALSLETMRLMGMVRREPSPVRIFSPSQAYDHFERLESAFGVIRTEVAEARAKIAKIRDTQATQYTEFMAPFDVLQQILERDVASSFVSWPRTPQAPSIPHAPSSPTLAPVDPQCASSLAIAAAPEPERDTGI >DRNTG_05050.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:249425:250844:1 gene:DRNTG_05050 transcript:DRNTG_05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQQCVQGSIGGTLFSNPETHQPVECLAGVSDSSCALSSVNSAMVHFNQKPNSNNANQHQLRRSTDGSPSLRRQQLPSSPLL >DRNTG_05050.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:250329:250885:1 gene:DRNTG_05050 transcript:DRNTG_05050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFNQKPNSNNANQHQLRRSTDGSPSLRRQQLPSSPLL >DRNTG_02851.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20754353:20756334:-1 gene:DRNTG_02851 transcript:DRNTG_02851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTSKTYIIPTITITLLFLYYTMAMYCDVISSLLYSCENFIVYGPPEMAVSSECCGGLLHLANISGESISLIDDYGPNATTIASLPGLCHMFILVFPSTQTSTAITYFDQDGMK >DRNTG_00518.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30461479:30465545:1 gene:DRNTG_00518 transcript:DRNTG_00518.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADKGKKSKVVEEDSENVDGELVLSIEKLQEIQDQLDRVNEETSEKVLEVEQKYNELRRPVYSKRDEIIRAIPDFWLTAFLSHPALGGLLTEEDQKVFRYLVSIDVEDSKDVKSGYSIIFNFSPNPFFEDTKLTKTYSFLDEGTTNITGTEIKWKDGVDIANSAGLEKKGSKRPLAEESFFSWFGETQQKNDSDGMTDEVAEIIKEDLWPNPLKYFNNEADEEDFEGEGDADADDDEKDTDCDDDEVPDEDENGEEDDS >DRNTG_19428.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27007178:27009383:1 gene:DRNTG_19428 transcript:DRNTG_19428.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVAAALTDVTTVPRFPKYPFCFTKTHSVLPRIPLKPVPRTPLSIVSALPQHQTPIPTSPAIPHRFSPDEPRKGADILVEALELAGVTDVFAYPGGASMEIHQALTRSPIIRNHLLRHEQGESFAAEGYARSTGRPGVCIATSGPGATNLVSALADGLLDSVPVVAVTGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVDDIPRIIKEAFFLATSGRPGPVLVDIPKDIQQQMTVPDWSTPIRLPGYVSRLPKAPERPHLEQIFRLVSESRRPVLYVGGGCIDASAELRRFVELTGIPVASTLMGLGVYPTDHELSLKMLGMHGTVYANYAVDRSDLLLAFGVRFDDRVTGKLEAFAKRAKIVHIDIDPAEIGKNKLPHVSICADIKVVLEGLNTVLQDNDSKFDFSEWREELNEKKKEFPLTYKNFGDAIPPQYAIEVLSELTNGEAIISTGVGQHQMWAAQYYTYKRPRQWLTSAGLGAMGFGLPAAAGAAVGNPGVTVVDIDGDGSFLMNIQELAMIRIENLPVKVMVLNNQHLGMVVQWEDRFYKANRAHTYLGNPANETEIYPDFVQIAKGFKIPSARITKKEDVREAIKMMLETPGPFLLDVIVPHQEHVLPMIPSGGAFKDVIVDGDGRSSY >DRNTG_19428.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27007178:27009350:1 gene:DRNTG_19428 transcript:DRNTG_19428.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVAAALTDVTTVPRFPKYPFCFTKTHSVLPRIPLKPVPRTPLSIVSALPQHQTPIPTSPAIPHRFSPDEPRKGADILVEALELAGVTDVFAYPGGASMEIHQALTRSPIIRNHLLRHEQGESFAAEGYARSTGRPGVCIATSGPGATNLVSALADGLLDSVPVVAVTGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVDDIPRIIKEAFFLATSGRPGPVLVDIPKDIQQQMTVPDWSTPIRLPGYVSRLPKAPERPHLEQIFRLVSESRRPVLYVGGGCIDASAELRRFVELTGIPVASTLMGLGVYPTDHELSLKMLGMHGTVYANYAVDRSDLLLAFGVRFDDRVTGKLEAFAKRAKIVHIDIDPAEIGKNKLPHVSICADIKVVLEGLNTVLQDNDSKFDFSEWREELNEKKKEFPLTYKNFGDAIPPQYAIEVLSELTNGEAIISTGVGQHQMWAAQYYTYKRPRQWLTSAGLGAMGFGLPAAAGAAVGNPGVTVVDIDGDGSFLMNIQELAMIRIENLPVKVMVLNNQHLGMVVQWEDRFYKANRAHTYLGNPANETEIYPDFVQIAKGFKIPSARITKKEDVREAIKMMLETPGPFLLDVIVPHQEHVLPMIPSGGAFKDVIVDGDGRSSY >DRNTG_19428.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27006988:27009383:1 gene:DRNTG_19428 transcript:DRNTG_19428.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVAAALTDVTTVPRFPKYPFCFTKTHSVLPRIPLKPVPRTPLSIVSALPQHQTPIPTSPAIPHRFSPDEPRKGADILVEALELAGVTDVFAYPGGASMEIHQALTRSPIIRNHLLRHEQGESFAAEGYARSTGRPGVCIATSGPGATNLVSALADGLLDSVPVVAVTGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVDDIPRIIKEAFFLATSGRPGPVLVDIPKDIQQQMTVPDWSTPIRLPGYVSRLPKAPERPHLEQIFRLVSESRRPVLYVGGGCIDASAELRRFVELTGIPVASTLMGLGVYPTDHELSLKMLGMHGTVYANYAVDRSDLLLAFGVRFDDRVTGKLEAFAKRAKIVHIDIDPAEIGKNKLPHVSICADIKVVLEGLNTVLQDNDSKFDFSEWREELNEKKKEFPLTYKNFGDAIPPQYAIEVLSELTNGEAIISTGVGQHQMWAAQYYTYKRPRQWLTSAGLGAMGFGLPAAAGAAVGNPGVTVVDIDGDGSFLMNIQELAMIRIENLPVKVMVLNNQHLGMVVQWEDRFYKANRAHTYLGNPANETEIYPDFVQIAKGFKIPSARITKKEDVREAIKMMLETPGPFLLDVIVPHQEHVLPMIPSGGAFKDVIVDGDGRSSY >DRNTG_19428.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27007178:27009708:1 gene:DRNTG_19428 transcript:DRNTG_19428.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVAAALTDVTTVPRFPKYPFCFTKTHSVLPRIPLKPVPRTPLSIVSALPQHQTPIPTSPAIPHRFSPDEPRKGADILVEALELAGVTDVFAYPGGASMEIHQALTRSPIIRNHLLRHEQGESFAAEGYARSTGRPGVCIATSGPGATNLVSALADGLLDSVPVVAVTGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVDDIPRIIKEAFFLATSGRPGPVLVDIPKDIQQQMTVPDWSTPIRLPGYVSRLPKAPERPHLEQIFRLVSESRRPVLYVGGGCIDASAELRRFVELTGIPVASTLMGLGVYPTDHELSLKMLGMHGTVYANYAVDRSDLLLAFGVRFDDRVTGKLEAFAKRAKIVHIDIDPAEIGKNKLPHVSICADIKVVLEGLNTVLQDNDSKFDFSEWREELNEKKKEFPLTYKNFGDAIPPQYAIEVLSELTNGEAIISTGVGQHQMWAAQYYTYKRPRQWLTSAGLGAMGFGLPAAAGAAVGNPGVTVVDIDGDGSFLMNIQELAMIRIENLPVKVMVLNNQHLGMVVQWEDRFYKANRAHTYLGNPANETEIYPDFVQIAKGFKIPSARITKKEDVREAIKMMLETPGPFLLDVIVPHQEHVLPMIPSGGAFKDVIVDGDGRSSY >DRNTG_19428.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27006988:27009350:1 gene:DRNTG_19428 transcript:DRNTG_19428.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVAAALTDVTTVPRFPKYPFCFTKTHSVLPRIPLKPVPRTPLSIVSALPQHQTPIPTSPAIPHRFSPDEPRKGADILVEALELAGVTDVFAYPGGASMEIHQALTRSPIIRNHLLRHEQGESFAAEGYARSTGRPGVCIATSGPGATNLVSALADGLLDSVPVVAVTGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVDDIPRIIKEAFFLATSGRPGPVLVDIPKDIQQQMTVPDWSTPIRLPGYVSRLPKAPERPHLEQIFRLVSESRRPVLYVGGGCIDASAELRRFVELTGIPVASTLMGLGVYPTDHELSLKMLGMHGTVYANYAVDRSDLLLAFGVRFDDRVTGKLEAFAKRAKIVHIDIDPAEIGKNKLPHVSICADIKVVLEGLNTVLQDNDSKFDFSEWREELNEKKKEFPLTYKNFGDAIPPQYAIEVLSELTNGEAIISTGVGQHQMWAAQYYTYKRPRQWLTSAGLGAMGFGLPAAAGAAVGNPGVTVVDIDGDGSFLMNIQELAMIRIENLPVKVMVLNNQHLGMVVQWEDRFYKANRAHTYLGNPANETEIYPDFVQIAKGFKIPSARITKKEDVREAIKMMLETPGPFLLDVIVPHQEHVLPMIPSGGAFKDVIVDGDGRSSY >DRNTG_29032.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18300073:18301391:-1 gene:DRNTG_29032 transcript:DRNTG_29032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHQECLDMPPGFRFHPTDEEIISHYLTPKVLNHGFTARAIGQVDVNKCEPWDLPSKAKMGEKEWYFFCQRDRKYPTGMRTNRATESGYWKATGKDKEIFKSRGVLVGMKKTLVFYRGRAPKGEKTNWVMHEYRLEGKTPNLLLKTSKDEWVVCRVFHKSTGGGGGGVGMVKKSPMALLDSPTLPPLMDPMPSFIPDVLPSFIHPSPIFQISHDHQNNNNNNNNNNNNSMMMMNPQMYPQINAPCPNLGYLHHDQAMIRALVASTEHASSSLIKRQQQCKVEQLSNQSILSQDTGMSTDRNTEISSVFSTKNEINNYVSSSRSYDDLDLENLWK >DRNTG_08425.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31051599:31052885:-1 gene:DRNTG_08425 transcript:DRNTG_08425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVASFALIILLSNVIFLAHANDPDITSDFLVPEGVMLDANFFTFTGLKQNLWGAPKDTPFKVTKASLIEFPALNGQSVSYAALQYGPGGINPPHTHPRSAELLIVLQGALNVGLVDSTKKQALHSNSPNW >DRNTG_16809.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1582246:1590249:1 gene:DRNTG_16809 transcript:DRNTG_16809.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF21 domain-containing protein At5g52790 [Source:Projected from Arabidopsis thaliana (AT5G52790) UniProtKB/Swiss-Prot;Acc:Q9LTD8] MAEESCCGAMFWVYLMVSVALVMFAGLMSGLTLGLMSLSLVDLEVLVKAGQPQDQSNAAKILPVVKNQHLLLCTLLIGNSLAMEALPIFLDALVPSWGAILISVTLILAFGEIIPQAVCSRYGLSVGARLAGVVRVLLLVFFPIAYPISKLLDWLLGKGHFALLRRAELKTLVDMHGNEAGKGGELTHDETTIITGALELTQKTAKDAMTTISKTFSLDINSKLDMCIPLILYVMFINFSFY >DRNTG_16809.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1582246:1590249:1 gene:DRNTG_16809 transcript:DRNTG_16809.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF21 domain-containing protein At5g52790 [Source:Projected from Arabidopsis thaliana (AT5G52790) UniProtKB/Swiss-Prot;Acc:Q9LTD8] MAEESCCGAMFWVYLMVSVALVMFAGLMSGLTLGLMSLSLVDLEVLVKAGQPQDQSNAAKILPVVKNQHLLLCTLLIGNSLAMEALPIFLDALVPSWGAILISVTLILAFGEIIPQAVCSRYGLSVGARLAGVVRVLLLVFFPIAYPISKLLDWLLGKGHFALLRRAELKTLVDMHGNEAGKGGELTHDETTIITGALELTQKTAKDAMTTISKTFSLDINSKLDMHTMGLIMSKGHSRVPIYSGSPTNIIGLILVKNLITCRAEDETPIRNVTIRKIPRVYDDLPLYDILNEFQKGHSHMAVVIKRAKNIGETVDLDKNGIVENGSEQAKKGDESQSASVEQLGTVTNASPVHFSSSGVQISTLKSITEKPGDSRSILKRMDRGKRDDILDVNLESLPSYSADEEVVGIITMEDVMEELLQEEILDETDEYVDVHNKIKINMLPQRTSSPRSPSAGPMSHFQKRTPIASPLSPFHNSSTVRSPFSPFNRGPIPTTFSSSPSKNSPISPAEGSVPRNGSPASHKVSRKHYEELPK >DRNTG_07802.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1264107:1266518:-1 gene:DRNTG_07802 transcript:DRNTG_07802.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLYREGGRLSSVGNGGVDLCMAVAKGNVELLRRMLVYGMDPNGRDYDQRTALHIAAAEGLHFMANMLLSAGASPASVDRWGNTPLEEARRCGSKSLITLLEGAESNQLTPGELQGHINQRRCTVFPFHPWDPKDKRKEGVMLWVPNIMEDLIKSSMEQLQCSTASSYIILSEDGARIHDAEMIVHDQRLYLIPDANKNTVE >DRNTG_07802.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1264107:1267249:-1 gene:DRNTG_07802 transcript:DRNTG_07802.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFLIQEGVDINLSDKFGNTALYEAIKNGHDRVASMLYREGGRLSSVGNGGVDLCMAVAKGNVELLRRMLVYGMDPNGRDYDQRTALHIAAAEGLHFMANMLLSAGASPASVDRWGNTPLEEARRCGSKSLITLLEGAESNQLTPGELQGHINQRRCTVFPFHPWDPKDKRKEGVMLWVPNIMEDLIKSSMEQLQCSTASSYIILSEDGARIHDAEMIVHDQRLYLIPDANKNTVE >DRNTG_07802.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1264107:1270956:-1 gene:DRNTG_07802 transcript:DRNTG_07802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKEMEAEIEYQLEEVNEGMKESRGSRFNLIAGQLGIGVLGRRSGRETLIIAPDNRWYRQWTKLIVVLAVYSSVFTPFEFGFFRGLPENLFLLDVAGQIAFLIDIVVKFLLAYRDPHTYRIVSNPASIAIRYLKSGFVFDFLACLPWDAIYKACNRKEEVRYLLWIRLVRVVKVTQFLTKMEKDIRINYLFTRIVKLIFVELYCTHTAACIFYYLATTLPASMEGHTWIGSLKLGDYSFENFRDIDLSKRYLTSLYFSIVTMATVGYGDIHAVNPREMLFVMIYVSLDMILGAYLIGNMTALIVKGSKTEKFRDKMKDLIKYMNRNKLDKDIREQIKGHVRLQYESSYTEASVLQDIPASIRAKISQSLYKPYIEDVPLFSGCSAEFIDQIAIRLHEEFFLPGEVIMEQGNAVDQLYFVCHGVLEGVAIGEDGTETIVPLEPNSSFGEIAILCNIPQPFTVRVCELCRLLRIDKQLFTNILEIYFVDGRTILSNLLEGNENNIKIKQFESDINLHITKQESELSLRVNSAAFHNDLNHLKSLIKAGADPKKTDYDGRSPLHLAASRGHEDMTSFLIQEGVDINLSDKFGNTALYEAIKNGHDRVASMLYREGGRLSSVGNGGVDLCMAVAKGNVELLRRMLVYGMDPNGRDYDQRTALHIAAAEGLHFMANMLLSAGASPASVDRWGNTPLEEARRCGSKSLITLLEGAESNQLTPGELQGHINQRRCTVFPFHPWDPKDKRKEGVMLWVPNIMEDLIKSSMEQLQCSTASSYIILSEDGARIHDAEMIVHDQRLYLIPDANKNTVE >DRNTG_07605.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:8577734:8584180:1 gene:DRNTG_07605 transcript:DRNTG_07605.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEILFYMQKLECLNVTTLVPLKIVVLTRVRGGWHRFLHLEPDLCLRVGTLEMLNPEPYEGLLDQEMGNKEVMKLGLEDKVPYTMKIMKKHFEGKAARFLEEVFADIGGHPSPTLIDLLSVIAKREPSGRVSATVDAFPKLHTGMVVRPSMKERSQCGSQCTDFGEDLAKVQTRRYKSGARC >DRNTG_05781.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000269.1:140563:191898:1 gene:DRNTG_05781 transcript:DRNTG_05781.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICYFDVREVSIRVRTSRLKRVERVSHEIVECPLSLPIECILSPFLKLYATIFGVRREIERFLRQDLVGATDARFQNIEATLSCHEVSIKNIEHQLGEILDMLAKEKEEFEQAR >DRNTG_04504.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000218.1:97210:100847:1 gene:DRNTG_04504 transcript:DRNTG_04504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEENTSRLGHIITEVKKQSWLLVPIIVSGVLEKLIQIISLSFVGHLGDLPLSAASMAASISGITGMSILMGMLNALDTLCGQAYGAKEYHLLGIYLQRAMLLNVVTCIPLAFVWPFAGKILHAIGQNEEISMAAQLYIRYMIPVLFAYGLIQCCYKFLQAQNIAFPMMLTSCFTILAHIFICWLLIIKWKIGYIGAVIANSISYWLSLILIASYVWFSSKVKETWTGFSKQASQDFSNTSKLAFTSALMNCLDFWSFEVIFLMYGYLPNPKLETSVLAISQNTSILTYMIPVGIGASLSTRVSNELGAGNQQNARFAIYIAGTLAILEGVTRGCGWQKLGVFVNFSAYYIVGLPFAGLFAFYWHLKVKGLWLGIMCGLSIQLFLLLIITVFADWEKVARKAVDRVNKSTVATGRITTMSDNPQADNFTERGSTSGCGGMVTAMNDNPQADNSLSEEIQADNSLTNIEVF >DRNTG_27113.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18933489:18937998:1 gene:DRNTG_27113 transcript:DRNTG_27113.1 gene_biotype:protein_coding transcript_biotype:protein_coding METARLAAPKTLKKALTSILRARRSPDDNDDDTARKLKPFQNLQPIPDRPTLRCQHRQSQERTPTTTTTTTKTKTTERHRAFIRQHLRLHLRHQSLLRPAPTRPVPIQP >DRNTG_27113.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18936373:18937998:1 gene:DRNTG_27113 transcript:DRNTG_27113.2 gene_biotype:protein_coding transcript_biotype:protein_coding METARLAAPKTLKKALTSILRARRSPDDNDDDTARKLKPFQNLQPIPDRPTLRCQHRQSQERTPTTTTTTTKTKTTERHRAFIRQHLRLHLRHQSLLRPAPTRPVPIQP >DRNTG_31672.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20149844:20155301:-1 gene:DRNTG_31672 transcript:DRNTG_31672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVNLPPPRRGPPRPWTLLDLVSTAFFGAVIIFFILVFTSLGDPLAAAGRRALARSDPSDPRQRERVLSLLDSPRSQRSAAGPVIPTCPVDEVDQMPCEDPRRNSQLSREMNFYRERHCPESGELPLCLVPPPDGYKIPVPWPESLHKIWHDNMPYNKIAERKGHQGWMKEEGSYFIFPGGGTMFPDGAVQYIEKLGQYIPIDSGLLRTALDMGCGVASFGGYMLKENIMTLSFAPRDSHKAQIQFALERGVPAFVAMLGTRRLPFPAYSFDLVHCSRCLIPFTAYNGTYLIEVDRLLRPGGYLVISGPPVQWPKQDKEWADLQSLAVTLCYELIVVDGNTVIWKKPSGASCIPSQNEFGLGLCNDANDHSEAWYFNLKNCISKVSIEGEKIAVGYMAKWPERLSKPPARVSLIKNGIDVFEADSRRWTRRVAYYKKSLGIKLGTTSIRNVMDMNAFFGGFAAALMSDPVWIMNVIPARKPLTLDVVYDRGLIGVYHDWCEAFSTYPRTYDLIHVTGINSLVKDPVTGKSRCHLVDIMVEMDRILRPEGTAVIRDMPDVIEKVGRIAHAIRWTYNIHDGEKEARGGEKILLATKNLLKLPTVSH >DRNTG_13813.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28403856:28407516:-1 gene:DRNTG_13813 transcript:DRNTG_13813.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S21 family protein [Source:Projected from Arabidopsis thaliana (AT3G27160) UniProtKB/TrEMBL;Acc:A0A1I9LRJ3] MATTSCHLLHLHFPKFPSFSPFHPPSSLSVPPRRSLRLSASFSGPISPEPDAVTHPALSNANVLFFRSGYNVQIVVDENESEEALLRRFRREVSKAGIIQECKRRRFYENPQEERSARPARPAAATAGEGQAQDSSLLHNHNQMKLQQKRKMI >DRNTG_13813.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28403211:28407516:-1 gene:DRNTG_13813 transcript:DRNTG_13813.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S21 family protein [Source:Projected from Arabidopsis thaliana (AT3G27160) UniProtKB/TrEMBL;Acc:A0A1I9LRJ3] MATTSCHLLHLHFPKFPSFSPFHPPSSLSVPPRRSLRLSASFSGPISPEPDAVTHPALSNANVLFFRSGYNVQIVVDENESEEALLRRFRREVSKAGIIQECKRRRFYENPQEERSARPARPAAATAGEGQAQDSSLLHNHNQMKLQQKRKMI >DRNTG_13813.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28403211:28407516:-1 gene:DRNTG_13813 transcript:DRNTG_13813.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S21 family protein [Source:Projected from Arabidopsis thaliana (AT3G27160) UniProtKB/TrEMBL;Acc:A0A1I9LRJ3] MATTSCHLLHLHFPKFPSFSPFHPPSSLSVPPRRSLRLSASFSGPISPEPDAVTHPALSNANVLFFRSGYNVQIVVDENESEEALLRRFRREVSKAGIIQECKRRRFYENPQEERSARPARPAAATAGEGQAQDSSLLHNHNQMKLQQKRKMI >DRNTG_01377.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28595908:28599972:-1 gene:DRNTG_01377 transcript:DRNTG_01377.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPASDEAWVKDSEPAIVSGSRQNDASSPSGYKVGFPPKRKLVTQFTDRLKETFLGDDPLRPYKDQPRSRKFVLGLQYLFPIFDWGRNYNLKKFKGDAISGLTIASLCIPQDIGYSKLAYLDPQFGLYSSFVPPLVYAVMGSSRDIAIGPVAVVSLLLGTLLQNEFDPVKEKLQYERLAFTATFFAGITQATLGFFRLGFLIDFLSHAAIVGFMAGAAITIALQQLKGFLGIKNFTKETDIISVMKSVWGSVHHGWNWQTILIGSSFLAFLLFAKYIGKKKKKLFWIPAIAPLISVILSTFFVYITRADKDGVAIVQHIEKGINPSSLHKIFFDGPNVVKGFKIGAVAGMIGLTEAIAIGRTFAGMKDYQLDGNKEMLALGTMNVVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMSLIVLLTLEVITPLFKYTPNAILSSIIISAVIGLIDYEAALLIWKIDKLDFIACMGAFFGVVFKVC >DRNTG_01377.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28595908:28599720:-1 gene:DRNTG_01377 transcript:DRNTG_01377.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPASDEAWVKDSEPAIVSGSRQNDASSPSGYKVGFPPKRKLVTQFTDRLKETFLGDDPLRPYKDQPRSRKFVLGLQYLFPIFDWGRNYNLKKFKGDAISGLTIASLCIPQDIGYSKLAYLDPQFGLYSSFVPPLVYAVMGSSRDIAIGPVAVVSLLLGTLLQNEFDPVKEKLQYERLAFTATFFAGITQATLGFFRLGFLIDFLSHAAIVGFMAGAAITIALQQLKGFLGIKNFTKETDIISVMKSVWGSVHHGWNWQTILIGSSFLAFLLFAKYIGKKKKKLFWIPAIAPLISVILSTFFVYITRADKDGVAIVQHIEKGINPSSLHKIFFDGPNVVKGFKIGAVAGMIGLTEAIAIGRTFAGMKDYQLDGNKEMLALGTMNVVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMSLIVLLTLEVITPLFKYTPNAILSSIIISAVIGLIDYEAALLIWKIDKLDFIACMGAFFGVVFKVC >DRNTG_01377.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28595908:28599972:-1 gene:DRNTG_01377 transcript:DRNTG_01377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPASDEAWVKDSEPAIVSGSRQNDASSPSGYKVGFPPKRKLVTQFTDRLKETFLGDDPLRPYKDQPRSRKFVLGLQYLFPIFDWGRNYNLKKFKGDAISGLTIASLCIPQDIGYSKLAYLDPQFGLYSSFVPPLVYAVMGSSRDIAIGPVAVVSLLLGTLLQNEFDPVKEKLQYERLAFTATFFAGITQATLGFFRLGFLIDFLSHAAIVGFMAGAAITIALQQLKGFLGIKNFTKETDIISVMKSVWGSVHHGWNWQTILIGSSFLAFLLFAKYIGKKKKKLFWIPAIAPLISVILSTFFVYITRADKDGVAIVQHIEKGINPSSLHKIFFDGPNVVKGFKIGAVAGMIGLTEAIAIGRTFAGMKDYQLDGNKEMLALGTMNVVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMSLIVLLTLEVITPLFKYTPNAILSSIIISAVIGLIDYEAALLIWKIDKLDFIACMGAFFGVVFKVC >DRNTG_01377.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28595908:28599720:-1 gene:DRNTG_01377 transcript:DRNTG_01377.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPASDEAWVKDSEPAIVSGSRQNDASSPSGYKVGFPPKRKLVTQFTDRLKETFLGDDPLRPYKDQPRSRKFVLGLQYLFPIFDWGRNYNLKKFKGDAISGLTIASLCIPQDIGYSKLAYLDPQFGLYSSFVPPLVYAVMGSSRDIAIGPVAVVSLLLGTLLQNEFDPVKEKLQYERLAFTATFFAGITQATLGFFRLGFLIDFLSHAAIVGFMAGAAITIALQQLKGFLGIKNFTKETDIISVMKSVWGSVHHGWNWQTILIGSSFLAFLLFAKYIGKKKKKLFWIPAIAPLISVILSTFFVYITRADKDGVAIVQHIEKGINPSSLHKIFFDGPNVVKGFKIGAVAGMIGLTEAIAIGRTFAGMKDYQLDGNKEMLALGTMNVVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMSLIVLLTLEVITPLFKYTPNAILSSIIISAVIGLIDYEAALLIWKIDKLDFIACMGAFFGVVFKVC >DRNTG_01377.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28595908:28599972:-1 gene:DRNTG_01377 transcript:DRNTG_01377.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPASDEAWVKDSEPAIVSGSRQNDASSPSGYKVGFPPKRKLVTQFTDRLKETFLGDDPLRPYKDQPRSRKFVLGLQYLFPIFDWGRNYNLKKFKGDAISGLTIASLCIPQDIGYSKLAYLDPQFGLYSSFVPPLVYAVMGSSRDIAIGPVAVVSLLLGTLLQNEFDPVKEKLQYERLAFTATFFAGITQATLGFFRLGFLIDFLSHAAIVGFMAGAAITIALQQLKGFLGIKNFTKETDIISVMKSVWGSVHHGWNWQTILIGSSFLAFLLFAKYIGKKKKKLFWIPAIAPLISVILSTFFVYITRADKDGVAIVQHIEKGINPSSLHKIFFDGPNVVKGFKIGAVAGMIGLTEAIAIGRTFAGMKDYQLDGNKEMLALGTMNVVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMSLIVLLTLEVITPLFKYTPNAILSSIIISAVIGLIDYEAALLIWKIDKLDFIACMGAFFGVVFKVC >DRNTG_22923.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9809482:9812690:1 gene:DRNTG_22923 transcript:DRNTG_22923.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTVPSSPPLHPPHLRRRRRRLLLSMAAPIFLLFHPNATHVHARGLIQMPPSRLINRYYLVRAGESEYEKLGVLNTNPVSKTSVDSGLSAEGALQSAKSALELQRMGACDGGCWIWPSITQRSYQAAEIIASLNGVDRSRIVPEYSFLDARGLGAFEGKSLDSIAEVYKSDKISPNNKPPAFGDGTPNESVADVFVRVTQLMSILETQYFGDTIIIVSPDSDNLTILQAGLTGLDLRRHMDLSFGPGEVRLVDPKSIPTYKQPASAVYKCANPPKCT >DRNTG_22923.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9809482:9813143:1 gene:DRNTG_22923 transcript:DRNTG_22923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTVPSSPPLHPPHLRRRRRRLLLSMAAPIFLLFHPNATHVHARGLIQMPPSRLINRYYLVRAGESEYEKLGVLNTNPVSKTSVDSGLSAEGALQSAKSALELQRMGACDGGCWIWPSITQRSYQAAEIIASLNGVDRRCYCFSV >DRNTG_22923.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9809482:9812690:1 gene:DRNTG_22923 transcript:DRNTG_22923.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFTVPSSPPLHPPHLRRRRRRLLLSMAAPIFLLFHPNATHVHARGLIQMPPSRLINRYYLVRAGESEYEKLGVLNTNPVSKTSVDSGLSAEGALQSAKSALELQRMGACDGGCWIWPSITQRSYQAAEIIASLNGVDRR >DRNTG_06369.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24455496:24458098:1 gene:DRNTG_06369 transcript:DRNTG_06369.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRDHFEEKVTVVSIVGMGGLGKTTLAQLVYGDKNVESHFQLRIWVCVSDDFNVAKLARNIMLTASGKSYNDNEPMEVLQRDLRQLLGQKRYLLVLDDVWNEDHLKWDALRRLLLDGEEGSRILVTTRNENCSTIMGAKKSYLLQGLSEERSWALFEGTFTTSVSRQPDFPEIGKKIVNKCKGLPLAIKVMGSVMCSKRDESQWQAVLDNEIWDILRAKDDFGPALWLSYVDLPSQLKKCFAFCAIFPKDSVIEVDMLVQFWMAQGFIPFQTRKETEVEGRDIFSELIWRSLLQNVTTNNYVGGTHYYSSKGGGWKVPRFCKMHDLIHDLAQFVTGDECSTLPGSNEFKKISKRTRHFILSPGVGYDMGDRALSVRTALSVGTNFNGLSKLKLLRVLDMCSESNVDELSTSIQYLLHLRYLDLSDTNIRELPESICMLVNLQTLNLNGCDLLTKLPMSIVYMNSLRHLHLSRCPELKIMPFGLSRLRCLKTLTKYIVSDKAGNNLGELKHWNLDGELGLYDLHKVKNADEAKEAKMSSRQSINSLS >DRNTG_06369.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24455496:24457804:1 gene:DRNTG_06369 transcript:DRNTG_06369.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRDHFEEKVTVVSIVGMGGLGKTTLAQLVYGDKNVESHFQLRIWVCVSDDFNVAKLARNIMLTASGKSYNDNEPMEVLQRDLRQLLGQKRYLLVLDDVWNEDHLKWDALRRLLLDGEEGSRILVTTRNENCSTIMGAKKSYLLQGLSEERSWALFEGTFTTSVSRQPDFPEIGKKIVNKCKGLPLAIKVMGSVMCSKRDESQWQAVLDNEIWDILRAKDDFGPALWLSYVDLPSQLKKCFAFCAIFPKDSVIEVDMLVQFWMAQGFIPFQTRKETEVEGRDIFSELIWRSLLQNVTTNNYVGGTHYYSSKGGGWKVPRFCKMHDLIHDLAQFVTGDECSTLPGSNEFKKISKRTRHFILSPGVGYDMGDRALSVRTALSVGTNFNGLSKLKLLRVLDMCSESNVDELSTSIQYLLHLRYLDLSDTNIRELPESICMLVNLQTLNLNG >DRNTG_06369.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24455854:24457804:1 gene:DRNTG_06369 transcript:DRNTG_06369.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRDHFEEKVTVVSIVGMGGLGKTTLAQLVYGDKNVESHFQLRIWVCVSDDFNVAKLARNIMLTASGKSYNDNEPMEVLQRDLRQLLGQKRYLLVLDDVWNEDHLKWDALRRLLLDGEEGSRILVTTRNENCSTIMGAKKSYLLQGLSEERSWALFEGTFTTSVSRQPDFPEIGKKIVNKCKGLPLAIKVMGSVMCSKRDESQWQAVLDNEIWDILRAKDDFGPALWLSYVDLPSQLKKCFAFCAIFPKDSVIEVDMLVQFWMAQGFIPFQTRKETEVEGRDIFSELIWRSLLQNVTTNNYVGGTHYYSSKGGGWKVPRFCKMHDLIHDLAQFVTGDECSTLPGSNEFKKISKRTRHFILSPGVGYDMGDRALSVRTALSVGTNFNGLSKLKLLRVLDMCSESNVDELSTSIQYLLHLRYLDLSDTNIRELPESICMLVNLQTLNLNG >DRNTG_06369.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24455854:24458098:1 gene:DRNTG_06369 transcript:DRNTG_06369.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRDHFEEKVTVVSIVGMGGLGKTTLAQLVYGDKNVESHFQLRIWVCVSDDFNVAKLARNIMLTASGKSYNDNEPMEVLQRDLRQLLGQKRYLLVLDDVWNEDHLKWDALRRLLLDGEEGSRILVTTRNENCSTIMGAKKSYLLQGLSEERSWALFEGTFTTSVSRQPDFPEIGKKIVNKCKGLPLAIKVMGSVMCSKRDESQWQAVLDNEIWDILRAKDDFGPALWLSYVDLPSQLKKCFAFCAIFPKDSVIEVDMLVQFWMAQGFIPFQTRKETEVEGRDIFSELIWRSLLQNVTTNNYVGGTHYYSSKGGGWKVPRFCKMHDLIHDLAQFVTGDECSTLPGSNEFKKISKRTRHFILSPGVGYDMGDRALSVRTALSVGTNFNGLSKLKLLRVLDMCSESNVDELSTSIQYLLHLRYLDLSDTNIRELPESICMLVNLQTLNLNGCDLLTKLPMSIVYMNSLRHLHLSRCPELKIMPFGLSRLRCLKTLTKYIVSDKAGNNLGELKHWNLDGELGLYDLHKVKNADEAKEAKMSSRQSINSLS >DRNTG_26909.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3005464:3018798:-1 gene:DRNTG_26909 transcript:DRNTG_26909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALSAVKLHLHGFHPNFFTIRGHGCYANSCPPLMRTRCSPLRTFSIRCSSRSLTGHSEGVALRLDSLENSGGGAMMLDDSPDPDESHALEKIRGKKFKNRFLKLVRLGSVINDAAESFFKSEIRRRLFVTAVLILISRIGYFIPLPGFDRRMMPEDYLSFASGSMDDLGDFTGELKMSLFQLGISPQIGASIVMQVLCHTVPSLVKLRKEGLDGQEKIKGYIWWTSLGFAIVEAVIVSCFSLQYSIYAASQRSWFIFNHLCGNLDWLYRYFVQDVDSLIKACS >DRNTG_26909.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3005464:3010487:-1 gene:DRNTG_26909 transcript:DRNTG_26909.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPVLTTSYLLVFPSIMASIFGSSFWENVKEILNPKSSIGADPWVFYAIYAFFVFLFNIFDIANLPKEISDYMTKMGARIPNIKPGKATIEYLTKVQASTRFWGGLLLCILATSSTWLDHYLRSINEGFALGFTSVLIIVGSIIELRRSYQAYNVMPNLSKVLRRYGV >DRNTG_26909.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3015819:3018555:-1 gene:DRNTG_26909 transcript:DRNTG_26909.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRCSPLRTFSIRCSSRSLTGHSEGVALRLDSLENSGGGAMMLDDSPDPDESHALEKIRGKKFKNRFLKLVRLGSVINDAAESFFKSEIRRRLFVTAVLILISRIGYFIPLPGFDRRMMPEDYLSFASGSMDDLGDFTGELKMSLFQLGISPQIGASIVMQVLCHTVPSLVKLRKEGLDGQEKIKGYMC >DRNTG_28661.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6193125:6199338:1 gene:DRNTG_28661 transcript:DRNTG_28661.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALSTMSLRDLKQLENRLEKGISKIRTKKNELLYAEVEYMQKREIELQNDNMYLRNKITENERVQQQMSMLPGNTMMTMTMSSTPAYELMPPFDARGFLQVNLMEQNQHYSHQQQQQTTLQLG >DRNTG_28661.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6193125:6199338:1 gene:DRNTG_28661 transcript:DRNTG_28661.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALSTMSLRDLKQLENRLEKGISKIRTKKNELLYAEVEYMQKREIELQNDNMYLRNKITENERVQQQMSMLPGNTMMTMTMSSTPAYELMPPFDARGFLQVNLMEQNQHYSHQQQQQTTLQLG >DRNTG_28661.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6193125:6199338:1 gene:DRNTG_28661 transcript:DRNTG_28661.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALSTMSLRDLKQLENRLEKGISKIRTKKNELLYAEVEYMQKREIELQNDNMYLRNKITENERVQQQMSMLPGNTMMTMTMSSTPAYELMPPFDARGFLQVNLMEQNQHYSHQQQQQTTLQLG >DRNTG_03159.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000141.1:39805:41552:1 gene:DRNTG_03159 transcript:DRNTG_03159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLEKALTRFVQSSDTSFQSVEATLCNHTASLHNLENLVGLIVKYLSDRPQGSLPSNNETNPRVHVNAITLRSGNEVEDRLPKIFNRACEFSKALRENARAWGNSVRR >DRNTG_32459.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2446059:2447255:1 gene:DRNTG_32459 transcript:DRNTG_32459.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICDSKKFRLLSSTLHKKTHSLSFSPAPAPALAPIPLHRYRTHVIHSKPPPPPPHRPHIAPAVTKRRENETKSRAQRITIVITTSVCTILVIFSIMAVILCRRLRRTRKKMRQRSKVNINSSSEFYLNSLSAFIERDYHQSGLISKEMSETKNVVMVSSDPCSSSDDESFHSVYNSSHCSTSHSPINSPFRSPASELAHEPSSPSSHLSIEIPKFAFHNAPPPPPPPPPPPPAKLSTKIKSGNALPPPPPPPPPCEIQQNGPPLPKLKPLHWDKVRATPDHSMVWDKIRSTSSFE >DRNTG_04675.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30372632:30375334:-1 gene:DRNTG_04675 transcript:DRNTG_04675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMKECEEDSELLPVEDREREASWRLNFDGLRTPQHREKDKSDRGLHNFLCALGSEDVIAEYYQQQVQMLDGFSEMDTLADRGFLPGVSKEEREKIAAKERMAIRLSNIANMILFVAKVYASVRSGSLAIIASTLDSLLDLLSGFILWFTSFSMQTPNPYQYPIGKRRMQPLGILVFASVMATLGLQIILESVRSLMSDENGFILTKEQEEWVVGIMVSVTIVKLLLVIYCRSFTNEIVKAYAQDHFFDVITNVIGLVAALLANYIKDWIDPIGAIILAVYTIRTWSMTVLENVNSLVGRTAAPEYLQKLTYLCWNHHKAIRHIDTVRAYTFGSHYFVEVDIVLPSEMALREAHDIGEALQEKLESLPDIERAFVHLDYEFTHRP >DRNTG_14534.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28213965:28214169:1 gene:DRNTG_14534 transcript:DRNTG_14534.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMCMVEKQAVIDHDPMNGFHEDVQCSSESVPEHCDQPSDTSLKLG >DRNTG_14534.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28213965:28214402:1 gene:DRNTG_14534 transcript:DRNTG_14534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMCMVEKQAVIDHDPMNGFHEDVQCSSESVPEHCDQPSDTSLKLGLPSSDHWE >DRNTG_14209.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11867893:11868864:-1 gene:DRNTG_14209 transcript:DRNTG_14209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWGAPMGGAHRYGEFPHAHVDAFRDYISYRESIQGRVFAPVRFECLSKLKFWQLRFLDLSALAEIQRGDKVAEEVDELLSVSSSYRLLVIQEPVIRMLTLEVLASFESDRLYNSFSSIDTIQFRAFG >DRNTG_16658.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20251829:20252677:1 gene:DRNTG_16658 transcript:DRNTG_16658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIICFQILHVFVVSQSCKHVHCSTLEFSTENKKKENAVRIDINECQDST >DRNTG_25061.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6372716:6375233:1 gene:DRNTG_25061 transcript:DRNTG_25061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLKSRAPQIPLMEKRWAFPMVLCWILCAVLLLSTFNKPITSITITTLFSSPPPPLILSNLSSPPPPSPSIPRFAYLISGSKGDLEKLWRTLHAVYHPRNVYVLHLDLKAPAMERKELEKKVEMNQLFKMVMNVHVIRKANMVTYKGPTMMANTLHACAVLLKKSKDWDWFINLSASDYPLVTQDDLLHTFSSLPRNLSFLEYFSNLGWKEDQRAKPLIIDPGLYKTKKTDVFWASQRRELPTAFKLFTGSAWMVLSREFVEYTIWGWDNLPRTLLMYYTNFVSSSEGYFQTLICNTPEFMHTVVNHDLHYISWDKPPKQHPRTLSVSDAVHMIDANVPFARKFISNDAVLDKIDDELLGGRRNESFVYGGWCEGSPVCTEVGDVLLLKPGFGAQRLGKLMDHLLVGNSGFVTGNQCK >DRNTG_14529.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7470295:7483276:-1 gene:DRNTG_14529 transcript:DRNTG_14529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMLRKMKCARRRHKKCPKANGDKQEWSKGDEPLWVCVFVQSSSPEDTGMWVISTRPCETLQRVFIHLEKTQGRVSAHAPEKQISADSHGPVEIPHSHVDARFHSI >DRNTG_32257.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25645630:25648293:1 gene:DRNTG_32257 transcript:DRNTG_32257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDAVVEGLWGLSEEAERAGDYARAVKCLEALLQSGTSLLPMTEIRSRLRLATLLLDRTHNLNHAKANLERAHLPPQPLSRLLCPSSFVPTHSSPSVTTSLAPSLTRSKSLSAPSTCSIPPPTPLCFLAISPFSGPVISKRNSPPCWRWRATALLRSWRLIPALLLLGIFADRNFRLSLLLLLFMCSFSTGRTLARLLLLSSAATLSGILFLPI >DRNTG_32257.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25645630:25647302:1 gene:DRNTG_32257 transcript:DRNTG_32257.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDAVVEGLWGLSEEAERAGDYARAVKCLEALLQSGTSLLPMTEIRSRLRLATLLLDRTHNLNHAKANLERAHLPPQPLSRLLCPSSFVPTHSSPSVTTSLAPSLTRSKSLSAPSTCSIPPPTPLCFLAISPFSGPVISKRNSPPCWRWRATALLRSWRLIPALLLLGIFADRNFRLSLLLLLFMCSFSTGRTLARLLLLSSAATLSGILFLPI >DRNTG_02143.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28927800:28928648:1 gene:DRNTG_02143 transcript:DRNTG_02143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSRGDSRNSELDSASQWKIFVGGLHKGANNRLFREHFEKCGTIDDYVIMRDKHTMQPIGFGFITYVDPSVVDKVMGDTHVILRKQVKLYPINL >DRNTG_16058.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25721811:25727073:-1 gene:DRNTG_16058 transcript:DRNTG_16058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRAKGLCFNCDSQFTPGHKCKPVLFLCLMVEQDDSDCVEDDLSLAQPQPTVEIIQENSAMDPTPSISFHALMGQFVPSTLKLAGSINGKDIVVLVDGGSTNNFIQSRLATHLNLTVQPSSHLKVTVGNGDTLTCGGECTGVQLKLEDAIFAVDLILLPIYGADVVLGVQWMRHLGPILFDYNQLWMEFSYQGRRIRLSGLTNLQYDLAQPASIRKTSADAAQFYQLTVESVQNDPNPKIGPQAPIVFLNEIKSLLSEFSTVFQVPSGLPPIRDHDHRLPLIPGVPPVNVRPYRYPHFQKSEIEKLVSEMLLDGIIRPSTSPFSSPVLLVKKKDGTWRFCVDYRALNVVTVKDRFPIPTVEELLDEIAGARVFSKLDLRAGYHQIRIHPSDIEKTAFRTHEGHYEFLVMPFRLSNAPSTFQSLMNSSFRQVLRKFVLIFFDDILIYSEDWESHLLHLREVFSRLKIHKLFAKLSKYEFGRASLGYLGQVISGDGVSVDPEKIPTIRDWPIPTSVRALQGFMGLCGYYRRFVSHYASLAAPLTDLLRKDAFVWTPATTRAFHNLQEALMNTPVLQLPDFKFPFVMQIDASGSGVGAVLLQHGHPITYFSKQLSSRLQASSTYAREMFAITEAIKK >DRNTG_17339.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32066123:32071145:1 gene:DRNTG_17339 transcript:DRNTG_17339.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRAWDLSKLTQFNNRDRSLILQIPLSIRQVEDVRYWWPEKTGNYEVKGAYHRLHVQDFMNDDLELHQLCNKIWKLRVPPRVAEFLWRVLTQCLPTKVRLTERHIAVDQSCGVCQYASETDVHILWECTFAQECWSTLGIPIQTPVSPSIRNLLNAGLQCSDLNHGELFAMICWAFWKHRNEVLWQGKSTSVATVLTSSHVLLQWQEAQLIADRFNPRT >DRNTG_17339.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32067873:32071001:1 gene:DRNTG_17339 transcript:DRNTG_17339.12 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRAWDLSKLTQFNNRDRSLILQIPLSIRQVEDVRYWWPEKTGNYEVKGAYHRLHVQDFMNDDLELHQLCNKIWKLRVPPRVAEFLWRVLTQCLPTKVRLTERHIAVDQSCGVCQYASETDVHILWECTFAQECWSTLGIPIQTPVSPSIRNLLNAGLQCSDLNHGELFAMICWAFWKHRNEVLWQGKSTSVATVLTSSHVLLQWQEAQLIADRFNPRT >DRNTG_17339.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32063849:32071046:1 gene:DRNTG_17339 transcript:DRNTG_17339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGPWTFNTNLLLFKPIASLDQASHIQLHDFEIWIQVHGLPFSLKSEWVLQHIGNHVGSFLTSDPKNFSEVWRSYFRVRVRLDVRRPLRAGMKIKKDGGSWLWLDFKYERLPTFCFLCGKIGHGENFCDKALEQGPGPSCKPFGSWLRATSRSSQINHGAQWLVEEVDGTTDSPAVNRASAIGIVANLGGKDVNSAQHGVHDRQHHVISAQQGGQERSHHVICAQHGGQVSNGVNDGYGALSDQRCNQFPCRGNPQLDTKEAEGDGVHSAIPQDDGIILLDPKRRRVGQNRTVLSLTT >DRNTG_17339.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32063849:32071001:1 gene:DRNTG_17339 transcript:DRNTG_17339.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGPWTFNTNLLLFKPIASLDQASHIQLHDFEIWIQVHGLPFSLKSEWVLQHIGNHVGSFLTSDPKNFSEVWRSYFRVRVRLDVRRPLRAGMKIKKDGGSWLWLDFKYERLPTFCFLCGKIGHGENFCDKALEQGPGPSCKPFGSWLRATSRSSQINHGAQWLVEEVDGTTDSPAVNRASAIGIVANLGGKDVNSAQHGVHDRQHHVISAQQGGQERSHHVICAQHGGQVSNGVNDGYGALSDQRCNQFPCRGNPQLDTKEAEGDGVHSAIPQDDGIILLDPKRRRVGQNRTVLSLTT >DRNTG_17339.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32063877:32071046:1 gene:DRNTG_17339 transcript:DRNTG_17339.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGPWTFNTNLLLFKPIASLDQASHIQLHDFEIWIQVHGLPFSLKSEWVLQHIGNHVGSFLTSDPKNFSEVWRSYFRVRVRLDVRRPLRAGMKIKKDGGSWLWLDFKYERLPTFCFLCGKIGHGENFCDKALEQGPGPSCKPFGSWLRATSRSSQINHGAQWLVEEVDGTTDSPAVNRASAIGIVANLGGKDVNSAQHGVHDRQHHVISAQQGGQERSHHVICAQHGGQVSNGVNDGYGALSDQRCNQFPCRGNPQLDTKEAEGDGVHSAIPQDDGIILLDPKRRRVGQNRTVLSLTT >DRNTG_17339.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32066050:32071145:1 gene:DRNTG_17339 transcript:DRNTG_17339.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRAWDLSKLTQFNNRDRSLILQIPLSIRQVEDVRYWWPEKTGNYEVKGAYHRLHVQDFMNDDLELHQLCNKIWKLRVPPRVAEFLWRVLTQCLPTKVRLTERHIAVDQSCGVCQYASETDVHILWECTFAQECWSTLGIPIQTPVSPSIRNLLNAGLQCSDLNHGELFAMICWAFWKHRNEVLWQGKSTSVATVLTSSHVLLQWQEAQLIADRFNPRT >DRNTG_17339.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32063877:32071001:1 gene:DRNTG_17339 transcript:DRNTG_17339.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGPWTFNTNLLLFKPIASLDQASHIQLHDFEIWIQVHGLPFSLKSEWVLQHIGNHVGSFLTSDPKNFSEVWRSYFRVRVRLDVRRPLRAGMKIKKDGGSWLWLDFKYERLPTFCFLCGKIGHGENFCDKALEQGPGPSCKPFGSWLRATSRSSQINHGAQWLVEEVDGTTDSPAVNRASAIGIVANLGGKDVNSAQHGVHDRQHHVISAQQGGQERSHHVICAQHGGQVSNGVNDGYGALSDQRCNQFPCRGNPQLDTKEAEGDGVHSAIPQDDGIILLDPKRRRVGQNRTVLSLTT >DRNTG_17339.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32066123:32071001:1 gene:DRNTG_17339 transcript:DRNTG_17339.10 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRAWDLSKLTQFNNRDRSLILQIPLSIRQVEDVRYWWPEKTGNYEVKGAYHRLHVQDFMNDDLELHQLCNKIWKLRVPPRVAEFLWRVLTQCLPTKVRLTERHIAVDQSCGVCQYASETDVHILWECTFAQECWSTLGIPIQTPVSPSIRNLLNAGLQCSDLNHGELFAMICWAFWKHRNEVLWQGKSTSVATVLTSSHVLLQWQEAQLIADRFNPRT >DRNTG_17339.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32067873:32071046:1 gene:DRNTG_17339 transcript:DRNTG_17339.11 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRAWDLSKLTQFNNRDRSLILQIPLSIRQVEDVRYWWPEKTGNYEVKGAYHRLHVQDFMNDDLELHQLCNKIWKLRVPPRVAEFLWRVLTQCLPTKVRLTERHIAVDQSCGVCQYASETDVHILWECTFAQECWSTLGIPIQTPVSPSIRNLLNAGLQCSDLNHGELFAMICWAFWKHRNEVLWQGKSTSVATVLTSSHVLLQWQEAQLIADRFNPRT >DRNTG_17339.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32066123:32071046:1 gene:DRNTG_17339 transcript:DRNTG_17339.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRAWDLSKLTQFNNRDRSLILQIPLSIRQVEDVRYWWPEKTGNYEVKGAYHRLHVQDFMNDDLELHQLCNKIWKLRVPPRVAEFLWRVLTQCLPTKVRLTERHIAVDQSCGVCQYASETDVHILWECTFAQECWSTLGIPIQTPVSPSIRNLLNAGLQCSDLNHGELFAMICWAFWKHRNEVLWQGKSTSVATVLTSSHVLLQWQEAQLIADRFNPRT >DRNTG_17339.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32066050:32071001:1 gene:DRNTG_17339 transcript:DRNTG_17339.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRAWDLSKLTQFNNRDRSLILQIPLSIRQVEDVRYWWPEKTGNYEVKGAYHRLHVQDFMNDDLELHQLCNKIWKLRVPPRVAEFLWRVLTQCLPTKVRLTERHIAVDQSCGVCQYASETDVHILWECTFAQECWSTLGIPIQTPVSPSIRNLLNAGLQCSDLNHGELFAMICWAFWKHRNEVLWQGKSTSVATVLTSSHVLLQWQEAQLIADRFNPRT >DRNTG_17339.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32066050:32071046:1 gene:DRNTG_17339 transcript:DRNTG_17339.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRAWDLSKLTQFNNRDRSLILQIPLSIRQVEDVRYWWPEKTGNYEVKGAYHRLHVQDFMNDDLELHQLCNKIWKLRVPPRVAEFLWRVLTQCLPTKVRLTERHIAVDQSCGVCQYASETDVHILWECTFAQECWSTLGIPIQTPVSPSIRNLLNAGLQCSDLNHGELFAMICWAFWKHRNEVLWQGKSTSVATVLTSSHVLLQWQEAQLIADRFNPRT >DRNTG_34245.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28084831:28088088:-1 gene:DRNTG_34245 transcript:DRNTG_34245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGKVIYTVGFWIRETGQAIDRLGSRLQGNYYFKEQLSRHRTLMNIFDKVPNVDKDAFVAPSASVIGDVQVGQGSSIWYGCVLRGDVNSIRVGSRTNIQDNSLVHVAKSNLSGKVLPTIIGDNVTVGHSAVLHGCTVEDEAFVGMGAILLDGVIVEKNGMVAAGALVRQNTRIPAGEVWGGNPAKFLRKLTQEEIAFITESATNYSNLAQVHAIENAKTFEEIELEKALRKKFARRDEEYDSMLGVIREVPPELILPDNVLPRKSPKLSQ >DRNTG_24130.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:174599:178456:-1 gene:DRNTG_24130 transcript:DRNTG_24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERSQRRRGLADPAGTGVASLPASSSSENPGNGADVDRVLFKNLVEMVPLVESLMGRRSTSSFTRRASMVYTPAPSHPPKVDTKGRKTAQSVYTKRKADLAGSALKKLANDGDGGADDFTIFSSNQPVAEVLQKEREDVTMLREQLDDLERKILEKDQALKSAEDSLNQMKAASMQLEELKRQVTEKDSLIKSSNSQLSNAQIKLAEKQAALEKLELEAKASNAKVEELQADLDCMDFEIRAHMQLFEELSKCDSDADSDGITTFHQVDRLPHMDDLDLDLDEDTIEQMEEARLAYAAALAAAKEDPGEESLISLAEARIKLQAFVL >DRNTG_28286.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11930363:11930618:1 gene:DRNTG_28286 transcript:DRNTG_28286.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKQPEPQRFNYNRHSHHHIKNSSFKVTDKERSEARCPEISKTPHSHKEEDEQGKRNQRVSIIASIPQIELYKFRN >DRNTG_28367.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2374302:2374943:-1 gene:DRNTG_28367 transcript:DRNTG_28367.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLGGSAVVNALTGVNIYAASFLIPLGVIVYTLAGGLKATFLASYIHSVIVHIVLVIFVYLVYTASSELGSPRAVYTHLLEVASKSRICKDPISHLWPSLWTCKW >DRNTG_28367.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2372755:2375134:-1 gene:DRNTG_28367 transcript:DRNTG_28367.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGGLVFGIINIVGNFGTVFVDNGYWVSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPLTTSEASHGLVPPAMAIALMGKGGSVLLLTMLFMAVTSAGSSELIAVSSLCTYDIYRTYINPNATGKQILKVSRGVVLGFGCFMGVLAVMLNKAGVSLGWMYLAMGVLVGSAVMPIAFMLLWRKANSIGAILGTVIGCILGIITWLAVASIEYGRVNLDTTGRNAPMLAGNLVSILTGGQVHAVSSVVWPQNYDWETTRMIKMVEKVKSDLPDDEFKDEKLLKAKSWIVKWGLSFTAVIVILWPLLSLPAGNFSIGYFTFWAVIAIAWGTIASAVIIILPLAESWKTIQSVCIGMFTNDRLMEKIDEMNSRLRAVMAVMPEAERIYLLEKENAKKLEP >DRNTG_28367.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2372755:2375901:-1 gene:DRNTG_28367 transcript:DRNTG_28367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGGLVFGIINIVGNFGTVFVDNGYWVSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPLTTSEASHGLVPPAMAIALMGKGGSVLLLTMLFMAVTSAGSSELIAVSSLCTYDIYRTYINPNATGKQILKVSRGVVLGFGCFMGVLAVMLNKAGVSLGWMYLAMGVLVGSAVMPIAFMLLWRKANSIGAILGTVIGCILGIITWLAVASIEYGRVNLDTTGRNAPMLAGNLVSILTGGQVHAVSSVVWPQNYDWETTRMIKMVEKVKSDLPDDEFKDEKLLKAKSWIVKWGLSFTAVIVILWPLLSLPAGNFSIGYFTFWAVIAIAWGTIASAVIIILPLAESWKTIQSVCIGMFTNDRLMEKIDEMNSRLRAVMAVMPEAERIYLLEKENAKKLEP >DRNTG_28367.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2373234:2374567:-1 gene:DRNTG_28367 transcript:DRNTG_28367.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGGLVFGIINIVGNFGTVFVDNGYWVSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPLTTSEASHGLVPPAMAIALMGKGGSVLLLTMLFMAVTSAGSSELIAVSSLCTYDIYRTYINPNATGKQILKVSRGVVLGFGCFMGVLAVMLNKAGVSLGWMYLAMGVLVGSAVMPIAFMLLWRKANSIGAILGTVIGCILGIITWLAVASIEYGRVNLDTTGRNAPMLAGNLVSILTGGQVHAVSSVVWPQNYDWETTRMIKMVEKVKSDLPDDEFKDEKLLKAKSWIVKWGLSFTAVIVILWPLLSLPA >DRNTG_31236.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001676.1:66488:66933:1 gene:DRNTG_31236 transcript:DRNTG_31236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEEVKNMPNDTFPSKGVPNDASSSRGSPSIKSW >DRNTG_27649.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001401.1:28549:29188:-1 gene:DRNTG_27649 transcript:DRNTG_27649.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQQGGNPVIESEKLNSEGGVNRPKGWLKTAPYERGSGTSC >DRNTG_05328.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18863916:18864618:-1 gene:DRNTG_05328 transcript:DRNTG_05328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETLYLAVNIIDWFLARQIVVRKNLQLVGVTTILLLACKYEKISVSILEHFTLISDRTYTRSKVLEMEILIVSTLQFNMSVPTPYPFMRRFLKTAEYDKKVSFISSFTYEYRTYIFFFHFFGDFWHVKMYLHALNQFLSFFIIELCLVEYQMLKFRSSLLVATAIYTAPCILKGFIHWMRNSELHINYSEEQLM >DRNTG_03656.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:17800564:17800984:1 gene:DRNTG_03656 transcript:DRNTG_03656.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGYDSGEKEKKTRARIWIMAYGLNAARYRARDDHCSYNGRYLLDGVCLPQIVSMLSSIASGIALRMEAIGSGIALGIALMMGAIGSGIALGMEAIKGV >DRNTG_03438.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3182198:3182536:1 gene:DRNTG_03438 transcript:DRNTG_03438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSPRADKLFDFNECSTSSIAEKGHFFFYTSEGKRFMVPLAYLTNSIFKELLKISEEEFWLPGNGPITLPCDAASMEYVLSMLRRGVSQRWKGHCLSPFLSLAIPHALLLL >DRNTG_18648.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3243981:3245089:-1 gene:DRNTG_18648 transcript:DRNTG_18648.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHESEDKKIAVVGIIYKIGRPDTFLAKMEGYIRKLADIKGGEEKLGKVDPREIKKGSRKYYRYMGSLTTPPCTEGVIWTIVHKVLHIYFILSLIL >DRNTG_18648.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3243125:3245590:-1 gene:DRNTG_18648 transcript:DRNTG_18648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGKGEMQSPIDLTNQRVNVLYHLRPLRRSYKPANAVIKNRGHDIMLQWKEVAGGIWINQTKYLLKQVHWHSPSEHTINSHRYSLELHMVHESEDKKIAVVGIIYKIGRPDTFLAKMEGYIRKLADIKGGEEKLGKVDPREIKKGSRKYYRYMGSLTTPPCTEGVIWTIVHKVRTVSREQVRLLREAVHDDNEMNARPTQEINERIIGLYRPRKT >DRNTG_19657.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2959096:2961929:-1 gene:DRNTG_19657 transcript:DRNTG_19657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKVFSMSKKKTTSSAKAISSSDKLQETLETLEKKEQLLQKKISNEIQKAKNYTSQKNKNAAIQCLKKKKLYEAEIERIANLQLRVHDQMLTLHGATATTETIDALRKGSNAVKSIQQSLNADDVSKTIEEASEQSENMKQLQDALATSIGVTDDFDEDELEAELEELEEAELEEQILEQNSTVTTQPPSITTTNLPKKETPKPVNNADNLADLQPEMAL >DRNTG_19251.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:304721:307136:1 gene:DRNTG_19251 transcript:DRNTG_19251.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g09950 [Source:Projected from Arabidopsis thaliana (AT5G09950) UniProtKB/Swiss-Prot;Acc:Q9FIB2] MHRYFPEFSASASHLKLRRPPPSPLEKLLAEKRRPCGRFYSSRSPPFLDGQLDAYGDLLSLFIGSSSPSHSQSLHVHLFKKGFAEDVFLSNNLINSYARTSHMADAHRVFDEIPEKNVVSWTCLIAGYTQNGFAYESCRLFRSMLFSGFAPTHFTFGSVLRACMELGPECMALGKQIHGLIMKMLYGVDTVVCNALISMYGGCCLDSTRYARLVFDGALVKNSISWNSIISVHSQRGDVVPVFQLFSEMQMCDLRPNEYTFGSLITATYSSCSSCLVEQMLARVCKSGFLSDLYVGSALVSALARTGLLDEARKFLRQMNERNAVTMNGLMVGLVRQGRGEEAVDVFRETYDYLVLNCDSYVVLLSAIAEFSTPEEGWRRGREVHGLVTRTGLINSKVAIGNGLVNMYAKCGANEEACNVFQNMSMRDQISWNSMIAGHDQNNCFEEAMDTFRDMISSGVMPTNYATISMLSSCASLRHFSAGSQVHCAGIKLGLDFDVSVSNSLLNLYGECGDISDCWKVFRSMPSYDQVSWNCMIGVLADSEKSASESIEVFLNMMRSGWRPNRVTFVNLFAALTPLSVSSLGREVHALALKYGVSDYTAVENALIYCYAKSGEMDDCERIFHNMSSRRDGVSWNSMVAGYIHNGLMAKVMDFVWLMVHNGQKMDCFTVATVLSACASAVTLKHGMELHAFSIRFLSETDVVLDSALVDMYSKCGRIDYASTVFRSMPLKNEFSWNSMISGYAQHGHGEKALELFREMQSGNQRPDHVTFVGVLSACSHAGLVEQGLDCF >DRNTG_19251.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:304721:307602:1 gene:DRNTG_19251 transcript:DRNTG_19251.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g09950 [Source:Projected from Arabidopsis thaliana (AT5G09950) UniProtKB/Swiss-Prot;Acc:Q9FIB2] MHRYFPEFSASASHLKLRRPPPSPLEKLLAEKRRPCGRFYSSRSPPFLDGQLDAYGDLLSLFIGSSSPSHSQSLHVHLFKKGFAEDVFLSNNLINSYARTSHMADAHRVFDEIPEKNVVSWTCLIAGYTQNGFAYESCRLFRSMLFSGFAPTHFTFGSVLRACMELGPECMALGKQIHGLIMKMLYGVDTVVCNALISMYGGCCLDSTRYARLVFDGALVKNSISWNSIISVHSQRGDVVPVFQLFSEMQMCDLRPNEYTFGSLITATYSSCSSCLVEQMLARVCKSGFLSDLYVGSALVSALARTGLLDEARKFLRQMNERNAVTMNGLMVGLVRQGRGEEAVDVFRETYDYLVLNCDSYVVLLSAIAEFSTPEEGWRRGREVHGLVTRTGLINSKVAIGNGLVNMYAKCGANEEACNVFQNMSMRDQISWNSMIAGHDQNNCFEEAMDTFRDMISSGVMPTNYATISMLSSCASLRHFSAGSQVHCAGIKLGLDFDVSVSNSLLNLYGECGDISDCWKVFRSMPSYDQVSWNCMIGVLADSEKSASESIEVFLNMMRSGWRPNRVTFVNLFAALTPLSVSSLGREVHALALKYGVSDYTAVENALIYCYAKSGEMDDCERIFHNMSSRRDGVSWNSMVAGYIHNGLMAKVMDFVWLMVHNGQKMDCFTVATVLSACASAVTLKHGMELHAFSIRFLSETDVVLDSALVDMYSKCGRIDYASTVFRSMPLKNEFSWNSMISGYAQHGHGEKALELFREMQSGNQRPDHVTFVGVLSACSHAGLVEQGLDCFESMTNKYELVPRMEHYSCIADLLGRAGKLDKLEEFIKSMPVSPNILIWRTVLVACSRSKDGAKTDLWKQASKMLLELEPQNPVNYVLTSHMYASKGRWEEMAETRTALSGKLVRKEAGCSWVT >DRNTG_09145.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26890122:26890657:-1 gene:DRNTG_09145 transcript:DRNTG_09145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLVVVSQLATGLGVLAGAMLVKSVMEQRPMAGGWPRCASCNGTGRVACLCSRWSDGDIGCRTCAGSGRMACSSCGGSGTGRPIPAQLPVRSNRPS >DRNTG_25293.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19716636:19717979:1 gene:DRNTG_25293 transcript:DRNTG_25293.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWRMPRKVYRELGARGCEPNAVTFNNFVHLLCKNGDFAAAAEVSMDGMKRNKVPDFGTTKMLVEGLLRGSKVRAAKRVVSKVRNKFPAKFEGGWKELGREVGLKVDDGAAKLAA >DRNTG_25293.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19716555:19718337:1 gene:DRNTG_25293 transcript:DRNTG_25293.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSSPLRHFLRRLSSTTATAAATTTTTSSLPIDVSTIRNLAKSSRHAEIAALLKPHSRTVPTVNSILSALNRSKSPSLVPPLFSSLFPALSLTPDHVSYGILIKSHCLLRRASSHALPLLHELRSKNLHITPVILTTILDSLYKEGKPDQAEKIWQEMKSNAALDLPAYNVRIMHYAFTGRPKDILNLIEEMEAVGLKPDIITFNYLMTCYCNNGRLEDAKKGLQRAWS >DRNTG_25293.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19716555:19718023:1 gene:DRNTG_25293 transcript:DRNTG_25293.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSSPLRHFLRRLSSTTATAAATTTTTSSLPIDVSTIRNLAKSSRHAEIAALLKPHSRTVPTVNSILSALNRSKSPSLVPPLFSSLFPALSLTPDHVSYGILIKSHCLLRRASSHALPLLHELRSKNLHITPVILTTILDSLYKEGKPDQAEKIWQEMKSNAALDLPAYNVRIMHYAFTGRPKDILNLIEEMEAVGLKPDIITFNYLMTCYCNNGRLEDAKKGLQRAWS >DRNTG_25293.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19716555:19717979:1 gene:DRNTG_25293 transcript:DRNTG_25293.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSSPLRHFLRRLSSTTATAAATTTTTSSLPIDVSTIRNLAKSSRHAEIAALLKPHSRTVPTVNSILSALNRSKSPSLVPPLFSSLFPALSLTPDHVSYGILIKSHCLLRRASSHALPLLHELRSKNLHITPVILTTILDSLYKEGKPDQAEKIWQEMKSNAALDLPAYNVRIMHYAFTGRPKDILNLIEEMEAVGLKPDIITFNYLMTCYCNNGRLEDAKKGLQRAWS >DRNTG_26268.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7402413:7403418:1 gene:DRNTG_26268 transcript:DRNTG_26268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGEVIAVKKLWKTKKEEELVDAFASEIQILGHIRHRNIVKLLGYCSNKCVKLLLYNYIPNGNLQQLLQENRNLDWETRYKIAVGSAQGLAYLHHDCVPAILHRDVKCNNILLDSKFEPYLADFGLAKLMNSPNFQHAMSRVAGSYGYIAPEYGYTANITEKSDVYSYGVVLLEILSGRSAIEPMTGDGLHIVEWVKKKMGSFDPAVNVLDSKLRGHPDQMVQEMLQTLGIAMFCVNSSPSERPTMKEVVALL >DRNTG_08831.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27959771:27960134:-1 gene:DRNTG_08831 transcript:DRNTG_08831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISATGEAAVWDQLSRRSARRRARDGRSRSERHPS >DRNTG_18473.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:10243792:10244720:-1 gene:DRNTG_18473 transcript:DRNTG_18473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNINLVYGGGNIGLMGLISQTVFDGGRQVLGIIPKALMNKEITGVTIGEVKPVTDMHQRKAEMTCNSDAFIAMTGGYGTLEELFEVITWAQLGIHNKPVGLLNIDGYYNSLLSFTDQAVEEGFINPSARHIFISASNAKDLIEKLEVKFKY >DRNTG_14222.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6657955:6659791:-1 gene:DRNTG_14222 transcript:DRNTG_14222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCGGLRLETSEISCLIRVITGAGLETMKLGVHCVVIKSGFESETCVATAFIGFYSALNDLRSAKHLFCQVHVKDLILWSAMVSAYCKNGLFFDAIHMFAEMQDFGVCPNSVTLLSVLLACANTASLYCGKQVHCFSIRRGFDSETSLQNALVDMYVKCSRLETSMIVFDFIKQKDIISWKSIIIGCIELDKVRKAVALFSKMRASQTEPDEIIVRNIISVCACSQTNDFIMFGFGMHGLVIKNGLSTSTSVGTALLRMYAEFKEEEETVETLFEQLEQKDIIAWSAMISVYAHSENPVLALEMFNRMKLSNIEANEITLVSLLHACSSLEAFNIGRSIHARLTRTVYSSNLYTASALIDFYCKIGKLSEGKALFNRLKNKDLVSWSSMIKGYGINGRGEEAIGVFLDMLEHGIKPNEVVFISLLSACSHCGMVDQGLNLFNAMEHDYSIKPTQAHYACIVDMFGRQGDVAGGLEFITSRMRIKPDVNVWGTLLSWCRVTAKGDTDTKVAEIAAEQLMKLDPDNPSYYVLLSNMYSEIGKWEDAERIRLLIDEKWLRKSAGISMV >DRNTG_30998.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3577711:3580260:1 gene:DRNTG_30998 transcript:DRNTG_30998.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQSEGQARRVKLGSQGLEVSKMGFGCAGLSGIWNAPLPEEEGIRVIKHAFSKGVTFFDTSDMYGPYTNEILIGKALKELPRDKIQLATKFGIVKYDLDSVVINGSPEYVRESCEASLRRLDVDYIDLYYQHRVDKSVPIEDTIGELKKLVEEGKVKYIGLSEASPDTIRRAHAVHPITAVQMEWSLWTRDIEPEIVPLCKELGIGIVPYSPLGSGFFAGRASVKALPEGSPLTLYFPKFSGDNLNRNKVLYAHLAKLAAKASVHSRSVSFSLASTSRERCGSNSRDYKN >DRNTG_15680.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4069137:4071115:1 gene:DRNTG_15680 transcript:DRNTG_15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSLQPLNILQRRAIIKNSQLIDQVLVQWEDMREEDNSWEDVAYLAQHFPPFNK >DRNTG_34731.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2224806:2226010:1 gene:DRNTG_34731 transcript:DRNTG_34731.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHMKGLYPWNCLAGHASFLILRMTTKCLNVNFLKRSIHPFLITLIKKPVATGPKHQADIPVWRPDEFQSYVGNSDDSGDTPADDNPSSTLSVSWQTCNTFDECSRLESPVGASFIPYFEDDLRVDGHNHLEET >DRNTG_34731.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2222074:2226010:1 gene:DRNTG_34731 transcript:DRNTG_34731.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMKGLYPWNCLAGHASFLILRMTTKCLNVNFLKRSIHPFLITLIKKPVATGPKHQADIPVWRPDEFQSYVGNSDDSGDTPADDNPSSTLSVSWQTCNTFDECSRLESPVGASFIPYFEDDLRVDGHNHLEET >DRNTG_34731.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2222074:2226010:1 gene:DRNTG_34731 transcript:DRNTG_34731.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHMKGLYPWNCLAGHASFLILRMTTKCLNVNFLKRSIHPFLITLIKKPVATGPKHQADIPVWRPDEFQSYVGNSDDSGDTPADDNPSSTLSVSWQTCNTFDECSRLESPVGASFIPYFEDDLRVDGHNHLEET >DRNTG_22448.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18038421:18043380:-1 gene:DRNTG_22448 transcript:DRNTG_22448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVDADLPPKGGFSFDLCRRNEMLVKKGVTLPGFRKTGTTIVGLVFQDGVVLGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYSTGRESRVVTALTLLKSHLFSYQGYVSAALVLGGVDVTGPHLHTVYPHGSTDTLPFATMGSGSLAAMAVFESKYREGLTREEGINLVAEAICSGIFNDLGSGSNVDVCVITKGKTEYLRNHLLPNPRTYVSSKGYSFVKGHTEVLSTKIIPLTEKEKVEVAAEGDAMEE >DRNTG_07606.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:8548471:8575072:-1 gene:DRNTG_07606 transcript:DRNTG_07606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKKISFGQKENKTGISYYPHTTQKGTLGLDFLLGIFWETLERLLATLGRRRRARKLEDHPSPRSKTLKARKQLHSRGDLPRFEGTRPAARGSVIRQSFRWGKRHWHILHLLLNHFIWRVSFMLLFHVLLVCIACCGMMTH >DRNTG_09167.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7153125:7153453:1 gene:DRNTG_09167 transcript:DRNTG_09167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQANLGSGVFAYFQLNFSELSEKDMEPATSSCDTESESSFLSS >DRNTG_02124.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28654361:28657979:-1 gene:DRNTG_02124 transcript:DRNTG_02124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSFAGRVLFASVFILSAYQEFNEFGVDGGPAAKALRPKYNTFINHVTSHLGAKVPHIEIKHVIAATISLKGLGGLLFIFSSSFGASLLLLYLAFITPVVYDFYNYEIETAEFALLFTKFTQNLALFGALLFFLGMKNSIPKRQGKKKGGPKAKTT >DRNTG_25236.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6841719:6842755:1 gene:DRNTG_25236 transcript:DRNTG_25236.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbM MEVNILAFIATALFILVPTAFLLIIYVKTVSQND >DRNTG_15827.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24989925:24994304:1 gene:DRNTG_15827 transcript:DRNTG_15827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREQRRNADQLDSSDDDSLSSTSTAMSDLPMAHETERVNSQDFLLEKYLDALYEKRASTREKALTGLVDAFSSQLLHGFVENKCITLLHQYLNSVKKGSSKEACLASRAIGLLAITVGAGDSAHEIMEESLPPLSLALKCGSDAQKKSSVLDCIALVTFVGAIDTDETENAMKIIWETIHPKSGTIVGSAAKLQPAVLAAAISAWSFLLTTMNGWGNNSDNWQESISFLSILLERDDRAVRIAAGEAIALIFEIGRLDKFSREEGETDSAGREGFKPRGLAYVASMKGKILNQVRELSAEAGGKGTGKKDLNLQRDLFQDILIFLETGECPEISMRISNNLGALRVTTRAQSIQLNFLKRFLASGFLKHMQDNELLHDVFSFTPGKKGNLSSKEKRMFMSPNSVVNKERTQMRNKYRSWAQDRNQGHYSVIAEDA >DRNTG_15870.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1412590:1413426:-1 gene:DRNTG_15870 transcript:DRNTG_15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTIEVETSIPASRMFKAAVLEWHNLGPKIVPEHIKSIDHIHGDGSAGSIRQINFTPVLPFPFAKERLDSIDHNNFEVKNTTIEGGDVGTKLECMSTHSKFTPTSSGGCIVKVTTTFKVLPGVKPGDEEAKAKEGITKAIKATEAYLLSHPTICA >DRNTG_15870.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1412590:1413005:-1 gene:DRNTG_15870 transcript:DRNTG_15870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHSKFTPTSSGGCIVKVTTTFKVLPGVKPGDEEAKAKEGITKAIKATEAYLLSHPTICA >DRNTG_30479.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21751292:21754023:-1 gene:DRNTG_30479 transcript:DRNTG_30479.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGSKPDAFQAEGSNIRFVATELATDIVVTVGDVKFYLHKFPLLSKSGHLKRLMSTTNEDNNDEIDISEIPGGSAAFEICAKFCYGMIVTLNAYNVVMARCAAEYLEMNETVDKGNLIYKIEVFLNSSVFRSWKDSIIVLQTTKSLLPWSEDLKIVSNCVDSIALKASIDSSEVEWSYTYNRKKLPSENGVDPHWNGVRKQQSVPKDWWVEDLCEIELDSYKQILTAIKTKGRTNREVIGEALKAYTYRRLPG >DRNTG_30479.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21751292:21751932:-1 gene:DRNTG_30479 transcript:DRNTG_30479.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTNEDNNDEIDISEIPGGSAAFEICAKFCYGMIVTLNAYNVVMARCAAEYLEMNETVDKGNLIYKIEVFLNSSVFRSWKDSIIVLQTTKSLLPWSEDLKIVSNCVDSIALKASIDSSEVEWSYTYNRKKLPSENGVDPHWNGVRKQQSVPKDWWVEDLCEIELDSYKQILTAIKTKGRTNREVIGEALKAYTYRRLPG >DRNTG_30479.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21751292:21754357:-1 gene:DRNTG_30479 transcript:DRNTG_30479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGSKPDAFQAEGSNIRFVATELATDIVVTVGDVKFYLHKFPLLSKSGHLKRLMSTTNEDNNDEIDISEIPGGSAAFEICAKFCYGMIVTLNAYNVVMARCAAEYLEMNETVDKGNLIYKIEVFLNSSVFRSWKDSIIVLQTTKSLLPWSEDLKIVSNCVDSIALKASIDSSEVEWSYTYNRKKLPSENGVDPHWNGVRKQQSVPKDWWVEDLCEIELDSYKQILTAIKTKGRTNREVIGEALKAYTYRRLPG >DRNTG_17988.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18527706:18530057:-1 gene:DRNTG_17988 transcript:DRNTG_17988.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(H) kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G78590) TAIR;Acc:AT1G78590] MLRRVLLLLKPFNVYPPRPKPSGLLHFGPNSKVLSYLDDRCKVHEEAIKFCQNVLRRKSLDWEPVMRNYLTQPIRDVDLVITVGGDGTLLKASHFLDETIPILGVNSDPTRIEEVERFSNEFDATRSTGYLCAATVENFEQVLDEMLESRKEPSKLSRISISLNGSKLSAFALNDILVAHPCPATVSRFSFRIKSNDQNISNLVNCRSSGFRVSTAAGSTAAMLSAGGFPMPILSRDLQYMVREPILPRQIDAPLLHGLVKPNQSIEATWYSKEGTIYIDGSHVFCSMQHGDTIEISSNAPMLKVYLSQNPLTQ >DRNTG_30824.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19691251:19695065:1 gene:DRNTG_30824 transcript:DRNTG_30824.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIHEGSAREHMLQQTLDQLQARKKILLENLKTSVEGSTSQQNENLNMFLSKNQADGSQGSSSNSQEEMLKGQATTPAQTENDISANNPDNNEHFSS >DRNTG_30824.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19692840:19695065:1 gene:DRNTG_30824 transcript:DRNTG_30824.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIHEGSAREHMLQQTLDQLQARKKILLENLKTSVEGSTSQQNENLNMFLSKNQADGSQGSSSNSQEEMLKGQATTPAQTENDISANNPDNNEHFSS >DRNTG_30824.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19690433:19695065:1 gene:DRNTG_30824 transcript:DRNTG_30824.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHKLPMKKINEETNLQVTYSKRKKGLMKKTYELSVLSDIDAAFHFHFWYWPC >DRNTG_30824.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19688251:19695065:1 gene:DRNTG_30824 transcript:DRNTG_30824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIHEGSAREHMLQQTLDQLQARKKILLENLKTSVEGSTSQQNENLNMFLSKNQADGSQGSSSNSQEEMLKGQATTPAQTENDISANNPDNNEHFSS >DRNTG_26814.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20310542:20315178:-1 gene:DRNTG_26814 transcript:DRNTG_26814.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQESLEKMQLRQSYRNVWHTPLMNTIQADFPYCCLSLWCGPCVSYMLRKRALYNDMSRYVCCAGYLPCSGKCGESRCPEFCLCTEVFLCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMFCLQQLACIFSIVACIVGSEELQEASQILNCLSDFVYWTVCACMQTQHKIEMDKRDGKFGPQPVMAVPPVQQMSRLDQPVPPSVGYGSQPAYGYTQQSYGQPAYPPAGSYPPPGYPSSGYPK >DRNTG_04627.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9014891:9015567:-1 gene:DRNTG_04627 transcript:DRNTG_04627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRTPIHLGHNVAEYIRHQGQAEKTSIPVPLSLETIRLMGMVCRVQTGVYALVLPTPEIAKEEGDDAEASQPTTSLSHTVYGVHGMFQHLTADLRARRRLVICPPALSAPLASPSPSPPAPFDLGPAAAKDLEGDIDT >DRNTG_13264.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10004901:10008594:1 gene:DRNTG_13264 transcript:DRNTG_13264.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFVSGEVEDDKKLASHLGRPDQEASYFQPEHGLVPNHLPGQKMLLHAKPTFLRSKLDTRVQEDGHMELAAFASKLAYENQAHIQDIVNNHLKMHFVEFFKGWNFYVNACTTKAFICCDKREDAELIIVAFRGTDSIADWRCNLNMSFISMANMGKVHLGFMTALGLQKNPDLDKLQDAQMGFPKEYNGNEQLAYYSIREVLHGLLKKHKNAKILVTGHSFGGALSILYTSLLVMHEEHDILKRVSGVMTFGQPRVGDACFGEAMVSILGKKYTRMVYRHDIVPRIPFEIPVIDFKHFGSCISYNSWYYGKNVSEVPNRNYFNALYILVKFWGAIEDFFRAIWVGEGFIATLNRLIWIIVPGVAFHNTKNYVNATKKAKITY >DRNTG_13264.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10006698:10008594:1 gene:DRNTG_13264 transcript:DRNTG_13264.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFISMANMGKVHLGFMTALGLQKNPDLDKLQDAQMGFPKEYNGNEQLAYYSIREVLHGLLKKHKNAKILVTGHSFGGALSILYTSLLVMHEEHDILKRVSGVMTFGQPRVGDACFGEAMVSILGKKYTRMVYRHDIVPRIPFEIPVIDFKHFGSCISYNSWYYGKNVSEVPNRNYFNALYILVKFWGAIEDFFRAIWVGEGFIATLNRLIWIIVPGVAFHNTKNYVNATKKAKITY >DRNTG_13264.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:9997903:10008594:1 gene:DRNTG_13264 transcript:DRNTG_13264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITSRLFILIFLFYSIICSLTSGVGNKFKSWLEYFLNNVLINGGLFGLIKNTIKGSRRRPDPNASYFRSMHSFVSGEVEDDKKLASHLGRPDQEASYFQPEHGLVPNHLPGQKMLLHAKPTFLRSKLDTRVQEDGHMELAAFASKLAYENQAHIQDIVNNHLKMHFVEFFKGWNFYVNACTTKAFICCDKREDAELIIVAFRGTDSIADWRCNLNMSFISMANMGKVHLGFMTALGLQKNPDLDKLQDAQMGFPKEYNGNEQLAYYSIREVLHGLLKKHKNAKILVTGHSFGGALSILYTSLLVMHEEHDILKRVSGVMTFGQPRVGDACFGEAMVSILGKKYTRMVYRHDIVPRIPFEIPVIDFKHFGSCISYNSWYYGKNVSEVPNRNYFNALYILVKFWGAIEDFFRAIWVGEGFIATLNRLIWIIVPGVAFHNTKNYVNATKKAKITY >DRNTG_13264.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10006217:10007391:1 gene:DRNTG_13264 transcript:DRNTG_13264.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHFVEFFKGWNFYVNACTTKAFICCDKREDAELIIVAFRGTDSIADWRCNLNMSFISMANMGKVHLGFMTALGLQKNPDLDKLQDAQMGFPKEYNGNEQLAYYSIREVLHGLLKKHKNAKILVTGHSFGGALSILYTSLLVMHEEHDILKRVSGVMTFGQPRVGDACFGEAMVSILGKKYTRMVYRHDIVPRIPFEIPVIDFKHFGSCISYNSWYYGKVCV >DRNTG_30147.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3299830:3302192:-1 gene:DRNTG_30147 transcript:DRNTG_30147.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRQICLSLSTSSCTKILTCNVLCVLYT >DRNTG_31189.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26956528:26962981:-1 gene:DRNTG_31189 transcript:DRNTG_31189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLALGSDLVVLYFVLMVCCGLCSEISMNWFLRTDFMDQKTSFLSDKSRFFIELPEIFSLKTWNNHRYTHCFGWKKLRESWWKKLLILWVVGWLLGSAWIFWFMCSQAVEKRKELLANMCDERARMLQDQFNVSMNHIQALAILVSTFHHSKDPSAIDQRTFARYTERTAFERPLTSGVAYAVKVLHSERERFEKQQGWSIKRMDTEDQLPAREDGLAPETLETSPVQEEYAPVIFAQDTVSHVISFDMLSGKEDHENILRSRESGKGVLTAPFRLLKSNRLGVILTFAVYSTELPSNATPADRIRVAIGYIGGIFDIESLVDKLLHQLACKQSIIVNVYDTTDPVKPISMYGTNLTGDAMYHKSILHFGDPLRKHEMHCSFTHKPPFPWLEITTSIGTLVIALLIGHIFHATVNRIAKVEDDYRKMMELKKQAEDADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTELDITQQDYVRTAQASGKALVSLINEVLDQAKIESGNHELEYVRFDLRILLDDVLSLFYGKSQEKGIELAVYVSDKVPEVLIGDPGRIRQIITNLIGNSVKFTEKGHIFVSVDLVEEVICLTEAEADFQSMNTLSGLPVADRRHSWENFKIFSPRYACISTCFLVNILRSNKSNCIG >DRNTG_31189.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26956528:26962761:-1 gene:DRNTG_31189 transcript:DRNTG_31189.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGSSTSRVHGGTGIGLSISKCLVGLMKGEIGFVSEPNVGSTFTFTAVLTRGHANSGEYKSREFQGMRAWVVDHRPSRAKVARYHLRRLGIHVELVTDLYQAFLRLMNNGKSVVNMMLIDKEAWPRDADLWPLLINVLKMGNQSSMPKLFLLDNPTSFTKTKSSNSLESILTVITKPLRASMLAVHLRRAMGLGEKESFGNGDIPRSSLCNLLDGKHILVVDDNKVNLRVAAGALKKYGAEVTCADSGKEAIKLLEPPHKFDACFMDIQMPETDGFEATRIIRKMESDANDRINHGEADLGNALRWHVPILAMTADVIQATQEECRKCGMDGYVSKPFEGEQLYREVTRFFN >DRNTG_31189.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26956528:26962761:-1 gene:DRNTG_31189 transcript:DRNTG_31189.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGSSTSRVHGGTGIGLSISKCLVGLMKGEIGFVSEPNVGSTFTFTAVLTRGHANSGEYKSREFQGMRAWVVDHRPSRAKVARYHLRRLGIHVELVTDLYQAFLRLMNNGKSVVNMMLIDKEAWPRDADLWPLLINVLKMGNQSSMPKLFLLDNPTSFTKTKSSNSLESILTVITKPLRASMLAVHLRRAMGLGEKESFGNGDIPRSSLCNLLDGKHILVVDDNKVNLRVAAGALKKYGAEVTCADSGKEAIKLLEPPHKFDACFMDIQMPETDGFEATRIIRKMESDANDRINHGEADLGNALRWHVPILAMTADVIQATQEECRKCGMDGYVSKPFEGEQLYREVTRFFN >DRNTG_31189.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26956528:26962761:-1 gene:DRNTG_31189 transcript:DRNTG_31189.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLALGSDLVVLYFVLMVCCGLCSEISMNWFLRTDFMDQKTSFLSDKSRFFIELPEIFSLKTWNNHRYTHCFGWKKLRESWWKKLLILWVVGWLLGSAWIFWFMCSQAVEKRKELLANMCDERARMLQDQFNVSMNHIQALAILVSTFHHSKDPSAIDQRTFARYTERTAFERPLTSGVAYAVKVLHSERERFEKQQGWSIKRMDTEDQLPAREDGLAPETLETSPVQEEYAPVIFAQDTVSHVISFDMLSGKEDHENILRSRESGKGVLTAPFRLLKSNRLGVILTFAVYSTELPSNATPADRIRVAIGYIGGIFDIESLVDKLLHQLACKQSIIVNVYDTTDPVKPISMYGTNLTGDAMYHKSILHFGDPLRKHEMHCSFTHKPPFPWLEITTSIGTLVIALLIGHIFHATVNRIAKVEDDYRKMMELKKQAEDADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTELDITQQDYVRTAQASGKALVSLINEVLDQAKIESGNHELEYVRFDLRILLDDVLSLFYGKSQEKGIELAVYVSDKVPEVLIGDPGRIRQIITNLIGNSVKFTEKGHIFVSVDLVEEVICLTEAEADFQSMNTLSGLPVADRRHSWENFKIFSPRYACISTCFLVNILRSNKSNCIG >DRNTG_01898.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20977771:20982757:1 gene:DRNTG_01898 transcript:DRNTG_01898.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVVEQFGELGVSKKMMAPVITASPQLLLRKPTEFHEVVSLMEEIGLGGKTTGRILCRCPEIFASSVDNTLRTKLDFLIDFGIPRDHLPRVIRKYPELLGLDAQKTLLPRMRFLMEIGLSKREVCSMIVRFSPLLGYSIEVVLKPKLEFLLSTMQRPLKEVVDYPRYFSYSLEKKIKPRHFVLKSRKIECSLKEMLGKNNEVFAAVYMGIGRMVVTPSSPSDGS >DRNTG_01898.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20982298:20982990:1 gene:DRNTG_01898 transcript:DRNTG_01898.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLMEIGLSKREVCSMIVRFSPLLGYSIEVVLKPKLEFLLSTMQRPLKEVVDYPRYFSYSLEKKIKPRHFVLKSRKIECSLKEMLGKNNEVFAAVYMGIGRMVVTPSSPSDGS >DRNTG_01898.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20979944:20982757:1 gene:DRNTG_01898 transcript:DRNTG_01898.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVVEQFGELGVSKKMMAPVITASPQLLLRKPTEFHEVVSLMEEIGLGGKTTGRILCRCPEIFASSVDNTLRTKLDFLIDFGIPRDHLPRVIRKYPELLGLDAQKTLLPRMRFLMEIGLSKREVCSMIVRFSPLLGYSIEVVLKPKLEFLLSTMQRPLKEVVDYPRYFSYSLEKKIKPRHFVLKSRKIECSLKEMLGKNNEVFAAVYMGIGRMVVTPSSPSDGS >DRNTG_01898.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20977771:20982757:1 gene:DRNTG_01898 transcript:DRNTG_01898.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVVEQFGELGVSKKMMAPVITASPQLLLRKPTEFHEVVSLMEEIGLGGKTTGRILCRCPEIFASSVDNTLRTKLDFLIDFGIPRDHLPRVIRKYPELLGLDAQKTLLPRMRFLMEIGLSKREVCSMIVRFSPLLGYSIEVVLKPKLEFLLSTMQRPLKEVVDYPRYFSYSLEKKIKPRHFVLKSRKIECSLKEMLGKNNEVFAAVYMGIGRMVVTPSSPSDGS >DRNTG_01898.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20978456:20979843:1 gene:DRNTG_01898 transcript:DRNTG_01898.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSCRGQEAVVGRNARRMMMHLSISIDDDIQRTLSFYEKMEARHGGLDMLGYESSFPCIIESFPSLLSQLTEDHFKILAEFLKSLGVPQPSIGIILLLFPPVIFYDMEKEMKPRIHALGEAGVKDDDIVRVLLKYPWILSTSILNNYKKILAFFKSEKVLSKLSQV >DRNTG_01898.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20982298:20982757:1 gene:DRNTG_01898 transcript:DRNTG_01898.9 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLMEIGLSKREVCSMIVRFSPLLGYSIEVVLKPKLEFLLSTMQRPLKEVVDYPRYFSYSLEKKIKPRHFVLKSRKIECSLKEMLGKNNEVFAAVYMGIGRMVVTPSSPSDGS >DRNTG_01898.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20978456:20982757:1 gene:DRNTG_01898 transcript:DRNTG_01898.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVVEQFGELGVSKKMMAPVITASPQLLLRKPTEFHEVVSLMEEIGLGGKTTGRILCRCPEIFASSVDNTLRTKLDFLIDFGIPRDHLPRVIRKYPELLGLDAQKTLLPRMRFLMEIGLSKREVCSMIVRFSPLLGYSIEVVLKPKLEFLLSTMQRPLKEVVDYPRYFSYSLEKKIKPRHFVLKSRKIECSLKEMLGKNNEVFAAVYMGIGRMVVTPSSPSDGS >DRNTG_01898.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20977771:20982757:1 gene:DRNTG_01898 transcript:DRNTG_01898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTSLAFASIPPIPPSFSSSINRFPPSNAACGCRLPIKFRSGCAALSLRLTPIRASAGGEHDPDPYALDGKVEIGEARAAVMELLLESGASAEDAFQIAVKAPKYVGMLVDSVRELDEHCLWSSWADDSEQGELLDSGRVGFRKKVFLIAKKKGNGGVVPLLESIGLKESSSLLIARYLDSQRLPALIGKVKFVKEMLFSCRGQEAVVGRNARRMMMHLSISIDDDIQRTLSFYEKMEARHGGLDMLGYESSFPCIIESFPSLLSQLTEDHFKILAEFLKSLGVPQPSIGIILLLFPPVIFYDMEKEMKPRIHALGEAGVKDDDIVRVLLKYPWILSTSILNNYKKILAFFKSEKVAKSTVDLAIKSWPHILGCSTLKMKSVVEQFGELGVSKKMMAPVITASPQLLLRKPTEFHEVVSLMEEIGLGGKTTGRILCRCPEIFASSVDNTLRTKLDFLIDFGIPRDHLPRVIRKYPELLGLDAQKTLLPRMRFLMEIGLSKREVCSMIVRFSPLLGYSIEVVLKPKLEFLLSTMQRPLKEVVDYPRYFSYSLEKKIKPRHFVLKSRKIECSLKEMLGKNNEVFAAVYMGIGRMVVTPSSPSDGS >DRNTG_14409.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:17415611:17420562:-1 gene:DRNTG_14409 transcript:DRNTG_14409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEPPVKAPRWLALYLTITVSNHQLKRDPRSQQRTHPPWCHRLQKLKEN >DRNTG_24961.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6534459:6537934:1 gene:DRNTG_24961 transcript:DRNTG_24961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAHLLRTTSFCPSPSCPTIFPPKTQILLAASECRTRTLTEPTRRTASPCGRSSAMADLARSCLKVRRAGAKKSAEARRRRRIQARRRIPEPAECGDAETKRTWPGSELGLGRRRRKQAAVEIGGTTVGRDATVWEWRGKARRRGR >DRNTG_06684.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3258681:3262538:1 gene:DRNTG_06684 transcript:DRNTG_06684.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMEEEPEAMDHQELVSSDDEIDYSIEPEFYDPNLDEKDEVWVRKQRKGHGSDAVLSCPACFTTLCLDCQRHEKYLTQYRAMFVMNCKIKMDKMLPQEKRKPKKLKSDTKSGSKPRGNTETDEIYHPVCCSVCSTEVGVFDENEIYHFFNVLPSYS >DRNTG_22095.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001229.1:28985:34167:1 gene:DRNTG_22095 transcript:DRNTG_22095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSLKDLVGPLDRGSYVSLLSKIIGEARHVQNNPPELVPKEDRVARHVLDVLLPLSTSTGGGPLIVSHVSYSDGRGNIIAEYPGCDPDRILSFVGCHMDVVTADPSDWDFDPFSLSVDGDKLRGRGTTDCLGHVALVAELMRRLGETRPALKNTVVAVFIANEENSSVLGIGVDALVKDGLLNKLKKGPLFWIDTADKQPCIGTGGMIAWKLRATGKLFHSGLPHKAINPLELAMEALREIQSRFYKDFPPHPKEEVYGFATPSTIKPTQWGYPGGGVNQIPGECTISGDVRLTPFYSTTDVVKKLQEYVDDLNANIEKLDSRGPVSNYVLPDENLRGKLSISFDEAMMSGVACNLESRGFHVLCKATEEVVGYVKPYSITGSLPLIRELQDEGFDVQTSGYGIMATYHARNEYCLFSDMCQGFQVFISIISQLEVGSA >DRNTG_22095.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001229.1:28985:34167:1 gene:DRNTG_22095 transcript:DRNTG_22095.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSLKDLVGPLDRGSYVSLLSKIIGEARHVQNNPPELVPKEDRVARHVLDVLLPLSTSTGGGPLIVSHVSYSDGRGNIIAEYPGCDPDRILSFVGCHMDVVTADPSDWDFDPFSLSVDGDKLRGRGTTDCLGHVALVAELMRRLGETRPALKNTVVAVFIANEENSSVLGIGVDALVKDGLLNKLKKGPLFWIDTADKQPCIGTGGMIAWKLRATGKLFHSGLPHKAINPLELAMEALREIQSRFYKDFPPHPKEEVYGFATPSTIKPTQWGYPGGGVNQIPGECTISGDVRYDNFFSTVSRIT >DRNTG_33628.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002030.1:77148:78977:1 gene:DRNTG_33628 transcript:DRNTG_33628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKEAFRNEIQALTEIRHRNIVRFYGFCSTNKFNFMAYEYMERGSLGTNLRSEQGAMELDWFKRVSIVRDITQALSYLHHDCNPSIVHRDITSNNILLDEECKACVADFGISRLLKPNSSHWSLLAGTYGYMAPELTYVMKVTEKCDIYSFRIVALDVIHGTHPGDLLSNLSLSILVKDMLDSCIPLHNTDQVTTNQVLLVILIAMQCINTVPQARPTMQEVSQRLSSPKSLPAFDNHSYQALTLNHLINIV >DRNTG_06060.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:24978181:24984048:-1 gene:DRNTG_06060 transcript:DRNTG_06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHAEQKVQTVAWNDTSCYSYHGQCTAQHPLEESVEEYIARIQGQDCEFYRVIKQFEESTSVSMNDQFDDSIEGILARKVQLADDMADEVEKLLLVGNWHRLLNIRDPAIRTLTLEVLASFEFDRSYAHFDSVDAIQFRAFGQHHSISVTQFSTRLGLYDDEYTETEEYENLPIDMMGLSPIKAYSLLCGKGRYEPGVSKASCLSRPSYRYLHAIIGRSVNGRGDSTGVINKQELLYLYSMVRNEPVHLGHILAEYLKHQGQYPRLGVIFSDEAGASQSAPELQPTPMEIEKPPAAVEPPPVHTVPPSQAHDRFERLESTVGVSADGGGLKLERDARD >DRNTG_11971.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6463622:6488014:-1 gene:DRNTG_11971 transcript:DRNTG_11971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSKKQVDKRPRESSSEPEGMQFVIPEHQVRYERLSRLCFRQTRFLDATILRELQQGDEFADEIEDLVSEGERLQSAMWSRSVRAGGVQGHVPFPTCIQIFARHHE >DRNTG_20336.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25761389:25767442:-1 gene:DRNTG_20336 transcript:DRNTG_20336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIPMRTHLQLSKQPTRSLIPHSRSLLQMEDCHNRRCHYVRAHITASKKLIEGVSDELNSVASQNMDFAPTRRRVHRAFLPVQQQLDHVLFKMPAPEIQMEEKYEMSSKGVEIFWKSWRPPPGMTMKAALFFCHGYGDTCTFFFEGIAKIIAKAGYGVYAMDYPSFGLSEGLHGYIHSFDEMVDHVIEQYARIRGMEELRGLRHFLLGQSMGGAVALKVHLKQPREWDGVVLVAPMCKIAEDVTPPAPILKALAIISNFLPEAKLFPQKDLAELAFRIPSKKKNDRFQCHCIL >DRNTG_32807.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001920.1:64231:65552:-1 gene:DRNTG_32807 transcript:DRNTG_32807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTGSPAIGRRSSQASRSPPGEECSNPTI >DRNTG_35328.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1469591:1472363:-1 gene:DRNTG_35328 transcript:DRNTG_35328.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTMESSSIELDQSFCESIDANLNGDGSNAIILPQKKKKNKDKGAGKALRKSNANEPQKMSKSKLRKLKQIQEEKEKRLLLSKSIEVLEKHKIWDGAYSLLKCSGTIGQMDTMREKRRRAVEFSKAGLEVPEEVSLFKRKKKDKAFEEAAVELNGNHNHFEHFQNYSQLIPTRSAFEEGVSHIVQPSLQVTNCNTDICMREGEKC >DRNTG_35328.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1469591:1472363:-1 gene:DRNTG_35328 transcript:DRNTG_35328.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMESSSIELDQSFCESIDANLNGDGSNAIILPQKKKKNKDKGAGKALRKSNANEPQKMSKSKLRKLKQIQEEKEKRLLLSKSIEVLEKHKIWDGAYSLLKCSGTIGQMDTMREKRRRAVEFSKAGLEVPEEVSLFKRKKKDKAFEEAAVELNGNHNHFEHFQNYSQLIPTRSAFEEGVSHIVQPSLQVTNCNTDICMREGEKC >DRNTG_35328.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1469591:1472363:-1 gene:DRNTG_35328 transcript:DRNTG_35328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMESSSIELDQSFCESIDANLNGDGSNAIILPQKKKKNKDKGAGKALRKSNANEPQKMSKSKLRKLKQIQEEKEKRLLLSKSIEVLEKHKIWDGAYSLLKCSGTIGQMDTMREKRRRAVEFSKAGLEVPEEVSLFKRKKKDKAFEEAAVELNGNHNHFEHFQNYSQLIPTRSAFEEGVSHIVQPSLQVTNCNTDICMREGEKC >DRNTG_20032.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001086.1:62720:63243:1 gene:DRNTG_20032 transcript:DRNTG_20032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSYEENVRRNSNELNSHKEEGDS >DRNTG_11344.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23701236:23703678:-1 gene:DRNTG_11344 transcript:DRNTG_11344.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide isomerase pTAC5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13670) UniProtKB/Swiss-Prot;Acc:A1A6M1] MRLKEALQRLGFYSGEEDMEYSSFSSGTEKAVKTWQASVGTPEDGVMTTELLEMLFMGRKIGVAALKDDLVSNAKRDNKNGAPVVSMTEIADVQQTVIKESGTEEIEVSQRRVFLIGENRWEEPSRLIGRDQPAAISKPVSTKKCLSCRGEGRLMCIECDGTGEPNIEPQFLEWIDEGTKCPYCEGLGYTVCDVCGGKATVET >DRNTG_11344.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23701236:23703678:-1 gene:DRNTG_11344 transcript:DRNTG_11344.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide isomerase pTAC5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13670) UniProtKB/Swiss-Prot;Acc:A1A6M1] MASVLALFAGIHRVPSKSRFPNTSGARRRSVNLRILSPISAALSGSSWEREEERWLREEQRWLREERRWLREEARWNSEREALLKEIAALTIRIQALEHQRPRSMVEPAPRAVLVEETEVKELVLEEDVSVPQVEMKKKRALRRGSEGDDVREMQEALQRLGFYSGEEDMEYSSFSSGTEKAVKTWQASVGTPEDGVMTTELLEMLFMGRKIGVAALKDDLVSNAKRVCFFSHFLMFRFYVIYALHVLLHEYCLTGSTDFRRSV >DRNTG_11344.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23701236:23703678:-1 gene:DRNTG_11344 transcript:DRNTG_11344.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide isomerase pTAC5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13670) UniProtKB/Swiss-Prot;Acc:A1A6M1] MASVLALFAGIHRVPSKSRFPNTSGARRRSVNLRILSPISAALSGSSWEREEERWLREEQRWLREERRWLREEARWNSEREALLKEIAALTIRIQALEHQRPRSMVEPAPRAVLVEETEVKELVLEEDVSVPQVEMKKKRALRRGSEGDDVREMQEALQRLGFYSGEEDMEYSSFSSGTEKAVKTWQASVGTPEDGVMTTELLEMLFMGRKIGVAALKDDLVSNAKRDNKNGAPVVSMTEIADVQQTVIKESGTEEIEVSQRRVFLIGENRWEEPSRLIGRDQPAAISKPVSTKKCLSCRGEGRLMCIECDGTGEPNIEPQFLEWIDEGTKCPYCEGLGYTVCDVCGGKATVET >DRNTG_11344.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23701236:23703678:-1 gene:DRNTG_11344 transcript:DRNTG_11344.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide isomerase pTAC5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13670) UniProtKB/Swiss-Prot;Acc:A1A6M1] MASVLALFAGIHRVPSKSRFPNTSGARRRSVNLRILSPISAALSGSSWEREEERWLREEQRWLREERRWLREEARWNSEREALLKEIAALTIRIQALEHQRPRSMVEPAPRAVLVEETEVKELVLEEDVSVPQVEMKKKRALRRGSEGDDVREMQEALQRLGFYSGEEDMEYSSFSSGTEKAVKTWQASVGTPEDGVMTTELLEMLFMGRKIGVAALKDDLVSNAKRDNKNGAPVVSMTEIADVQQTVIKESGTEEIEVSQRRVFLIGENRWEEPSRLIGRDQPAAISKPVSTKKCLSCRGEGRLMCIGILCYSKLPLM >DRNTG_09770.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20216201:20216523:1 gene:DRNTG_09770 transcript:DRNTG_09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRVNTSQIKAKDVGRIVARKLKDVWTVCLTIGTYLLDGKHFKPLEIDE >DRNTG_12670.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1157907:1160587:-1 gene:DRNTG_12670 transcript:DRNTG_12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHISPSLRHVTVFPGKGVREFIKVKVTSRRPSYRMVFYSLLFVTFLLRFVFVLTAMDTIEGETQCTSLGCVGKRLGPRFWGRRLESIKVPDEIYRVLEEGGDEEELLIEPDTTPQTLEDFISEMKSNRSDAKTFALRLKAMVLLLEQKTRTAKIHEYLYRHVASSSIPKPLHCLALKLAEEHSTNADARRPLPAAERVAALVDNTLRHFVLASDNVIAAGVVAASIVHNALRPSSVVIHVITDRKTYSAMQAWFSLHPLEPAVVEVRGLHHFDWFTKGRVPVLEAMEKDRNARSQFRGGSSAIVANISEKPIVVAAKLQALSPKYHSIMNHIRIHLPELFPSLKKVVFLDDDIVVQADLSPLWDIDLKGKVNGAVETCRGEDKFVMRKRLKNYLNFSHPLIAENFDPHECAWAYGMNVFDLDAWRRTNISLTYHHWLQKNLKSDLSLWQLGTLPPGLIAFHGHVHVIEPYWHMLGLGYQENTTVADTEKAAVIHFNGRAKPWLDIAFPQLKPLWTKYIDFSDKFIKACNIRAY >DRNTG_34041.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2697755:2707474:-1 gene:DRNTG_34041 transcript:DRNTG_34041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSLTFLPRLRVSAPQPCVVCKSKMSGSSGQIFPRFIYLEDGGDITRCYKFRLVSYNILAQVYVKSSNFPGSPSSCLKWKIRSQAILTALKSFNADFLCIQELDEYDSFYKSNLESTGYSGIYIQRNGQKRDGCGIFYKPNCAKLVSMEEIYYNDLANSLNLESTDTSEREFSDKDNHSDHGDLNDPRIRLKRDCVGLLAAFKLNDPSHHLVIMANTHIYWDPELIDVKLAQVKYLLLRVAQFKEAVSDKYGCSPSVIIAGDFNSTPGDKVYEYMISASTIQLHSLYGCNGGEPPFTNCTTGFTGTLDYIFISNTNSLKPISLLQLPGPGSPDVIGGLPNHQHPSDHLPIGGDFEVLSYSNCSTSKPLEEPLPEINKLKLDNGQ >DRNTG_34041.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2697755:2707474:-1 gene:DRNTG_34041 transcript:DRNTG_34041.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIYYNDLANSLNLESTDTSEREFSDKDNHSDHGDLNDPRIRLKRDCVGLLAAFKLNDPSHHLVIMANTHIYWDPELIDVKLAQVKYLLLRVAQFKEAVSDKYGCSPSVIIAGDFNSTPGDKVYEYMISASTIQLHSLYGCNGGEPPFTNCTTGFTGTLDYIFISNTNSLKPISLLQLPGPGSPDVIGGLPNHQHPSDHLPIGGDFEVLSYSNCSTSKPLEEPLPEINKLKLDNGQ >DRNTG_28547.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3874205:3876250:-1 gene:DRNTG_28547 transcript:DRNTG_28547.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSISSPSPSPPPHLSPSMSKPSAGSCPGGTVSLASPTLLRSSLYTSYLSLIPLPELFGSSLMLFLLPMLWIKRRWEFHLQS >DRNTG_28547.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3872591:3876250:-1 gene:DRNTG_28547 transcript:DRNTG_28547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSISSPSPSPPPHLSPSMSKPSAGSCPGGTVSLASPTLLRSSLYTSYLSLIPLPELFGSSLMLFLLPMLWIKRRWEFHLQS >DRNTG_28547.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3875957:3876250:-1 gene:DRNTG_28547 transcript:DRNTG_28547.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSISSPSPSPPPHLSPSMSKPSAGSCPGGTVSLASPTLLRSSLYTSYLSLIPLPELFGSSLMLFLLPM >DRNTG_28547.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3873076:3876250:-1 gene:DRNTG_28547 transcript:DRNTG_28547.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSISSPSPSPPPHLSPSMSKPSAGSCPGGTVSLASPTLLRSSLYTSYLSLIPLPELFGSSLMLFLLPMLWIKRRWEFHLQS >DRNTG_28547.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3874416:3876250:-1 gene:DRNTG_28547 transcript:DRNTG_28547.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSISSPSPSPPPHLSPSMSKPSAGSCPGGTVSLASPTLLRSSLYTSYLSLIPLPELFGSSLMLFLLPMLWIKRRWEFHLQS >DRNTG_30963.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17791531:17792966:1 gene:DRNTG_30963 transcript:DRNTG_30963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPRKNKIFTFGLLLRNLLSGNQISKKQEIEVRFGKKFPIILDSRLNGEYSAEEATALVGFAEQWMQYNPDNDRFTINDVIAALAKIQSNAARPSNGMPGAEGQDNVGVIEA >DRNTG_30963.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17792518:17792966:1 gene:DRNTG_30963 transcript:DRNTG_30963.2 gene_biotype:protein_coding transcript_biotype:protein_coding CYLPNFVLKSVRCDALSQSMQRPSNGMPGAEGQDNVGVIEA >DRNTG_16756.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000846.1:13207:21694:-1 gene:DRNTG_16756 transcript:DRNTG_16756.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTKKNVTRAEKTCSDESHYELSEGLAGERSQSAAENKTASERTFVRFFSTKHGSLRITVGRWLPKETPIRSAPRLGGIYLIPITRRGSP >DRNTG_29802.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:8167752:8171610:1 gene:DRNTG_29802 transcript:DRNTG_29802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLDKHLARFVQSANTRFESVEATLRNHTASLHNLENQVEQIAKSLSERPHESLPSNTETNPREHVKAVTLRSGREEMFNPDPYEGLFDQEEGHEDVMMLGSTVEVPSTPGILKKVLWKMKRARRRHRKHSKYVGDVREPKNLDESLLEPVGFSKASSENARACGISTRPWNCIASSSRDGTGACGCPCERPCDFHTPGRGLAPVGDLVNHTRAWVISTRPCKMLKRSSLHAEKTQVRVAAPGSHRGVPLPLWVGRTGVDMAPRVRKNEVKHLRVTPPEPIHMDFSNPEHQTRFEKLSALGFGQTHFMDLQVLRDIQRGDELADEIDEMLAVGGWRRLLTISEPAYRALTLEILCGHREYELGMSKATNLSRLSYRYLHAVISRSVSGRADNTTALTRQDLLFLYSMARNVPIHLGCILADILKYEGQSSRVGLLFADLYITRLILGMATPEIARNGRDTAEGSRQIPEPLAAQGPRAYDRIESLESDVGEIRTEIAELRATQVVQYAEILYRFDMIQRLLMGRPLVPPPPPPASPSPLLPAPFNLASPAAIADDPEDDADT >DRNTG_03424.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3235305:3235718:-1 gene:DRNTG_03424 transcript:DRNTG_03424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLLKVPSLKRMISSPRANKLSDFNECSTSSVAEKGHFFVYTSEGKRFMIPLAYLDNNIFKELLKISEEEFGLPGDGPITLPCDAKSMEYVLSMLRRGVSQEVERALLSSIFISCQSTCATFSIEHYQQFAVCSF >DRNTG_24094.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11143382:11150296:-1 gene:DRNTG_24094 transcript:DRNTG_24094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLVARTGRHQQRYQDGFRLIAGCIPFKYRDWDDGTDDEPKKIVEVLMINSQSGPGLLFPKGGWENDETVQQAAVREAIEEAGVRGDLMKFLGDYCFKSRSHQDEFSPEGWCKAAMYALLVKEELCSWPEQSTRERQWITIPEALEQCRHKWMEDSLKAFSKWHANKVFGVEEDDDPISVASSTR >DRNTG_10881.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14145215:14147000:1 gene:DRNTG_10881 transcript:DRNTG_10881.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQIHAKKREDQRGEKEDLKRRREGR >DRNTG_08817.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28032192:28041657:1 gene:DRNTG_08817 transcript:DRNTG_08817.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIETSDCKCNIKGAPLATSYDAQIRPLLDAVDRLRNLKVMQEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLIMRLKRDKSAINPQMQLEYKEKTIQITESGITAAISRATDEIAGSGKAISNAPLTLVVKKTDVPDLTMVDLPGITRVPVKGQPENIYEQISDIIKEYISPKESIILNVLSAAADFTICESIQMSRQVDRNGERTLAVVTKVDMAPVGLHEKVMADDVKIGLGYVCVRNRVGDETYDEARVEESKLFETHPLLSKIDKTIVGVPTLAQKLMRIQAASISKCMPDIVKKINEKLTFNVNELDKMPRNLSTVSDAMRAFMKVLISMKETLKKILILRDYDEYPDNLDMHGVARIVDMLTEYSKELPVNQVMISEGDFLMEEIIVLEEAKAIGLPNFLPESTFKTLLKRKIDGISHFPIEFVKKVWNYIEKVVIKVLLKHSDNYPQLQSSMTRAAENLIEKMTKLSCQSVQRMIDMERVGAYTSNPDYMATWCLLMGKQDHFMDLLDNCYKGSILDFDRFGKVNVVHLRQQQKEIAEKAFDLRMRMIAYWRSVVLRLVDGPALHILYSIQKLVEVEMDEEILNEIAGDSGKGLEKMLEESPSVARKRDRLRKSVELLEESKQVVATIIDRIALLDD >DRNTG_08817.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28022277:28041657:1 gene:DRNTG_08817 transcript:DRNTG_08817.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQVDRNGERTLAVVTKVDMAPVGLHEKVMADDVKIGLGYVCVRNRVGDETYDEARVEESKLFETHPLLSKIDKTIVGVPTLAQKLMRIQAASISKCMPDIVKKINEKLTFNVNELDKMPRNLSTVSDAMRAFMKVLISMKETLKKILILRDYDEYPDNLDMHGVARIVDMLTEYSKELPVNQVMISEGDFLMEEIIVLEEAKAIGLPNFLPESTFKTLLKRKIDGISHFPIEFVKKVWNYIEKVVIKVLLKHSDNYPQLQSSMTRAAENLIEKMTKLSCQSVQRMIDMERVGAYTSNPDYMATWCLLMGKQDHFMDLLDNCYKGSILDFDRFGKVNVVHLRQQQKEIAEKAFDLRMRMIAYWRSVVLRLVDGPALHILYSIQKLVEVEMDEEILNEIAGDSGKGLEKMLEESPSVARKRDRLRKSVELLEESKQVVATIIDRIALLDD >DRNTG_08530.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000383.1:196:1441:1 gene:DRNTG_08530 transcript:DRNTG_08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLSERSHGSLPSNTKTNPREHVKAIALRSGHEVERRLPSEKPKEYTPEEMFNQDPYEGLFDQEKSNEEVMMLGSNGEETSTPRILKNVLRKMKRARRHHRKMSQDYWRCT >DRNTG_04396.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1139605:1145411:-1 gene:DRNTG_04396 transcript:DRNTG_04396.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSRKQLHIEAIQTVTPGRAAEPGQARRISLPSPTSADTLLRSHACILLYYHTSSKEETTATLAAHLKESLNHIIPEEPLLAGRLRKDGEKDGYWEIKYNDAGIRLVQAVVDTSMDEFLSGEDREAKEEMLAYWMDIQHEDSQFWPLFYVQVTEFQGDGYSIGISWSILLTDHLFMTRFLKTWARTHREMQVQGEFSEANIFHLNYFKTPTRTSTDFISGISTTPRTILYKAPRSSDLQALVHHLIENNHVSEFLLFVNDHSGDCLKVENFASGSSKTPPANCFSDKLSVAGWDELEAGDLYFVSENKPVHVSFQVISSDEHKGLVVAMLPSQQIGPNLMISVTVPVEN >DRNTG_04396.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1139558:1141846:-1 gene:DRNTG_04396 transcript:DRNTG_04396.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSRKQLHIEAIQTVTPGRAAEPGQARRISLPSPTSADTLLRSHACILLYYHTSSKEETTATLAAHLKESLNHIIPEEPLLAGRLRKDGEKDGYWEIKYNDAGIRLVQAVVDTSMDEFLSGEDREAKEEMLAYWMDIQHEDSQFWPLFYVQVTEFQGDGYSIGISWSILLTDHLFMTRFLKTWARTHREMQVQGEFSEANIFHLNYFKTPTRTSTDFISGISTTPRTILYKAPRSSDLQALVHHLIENNHVSEFLLFVNDHSGDCLKVENFASGSSKTPPANCFSDKLSVAGWDELEAGDLYFVSENKPVHVSFQVISSDEHKGLVVAMLPSQQIGPNLMISVTVPVEN >DRNTG_04396.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1139605:1145411:-1 gene:DRNTG_04396 transcript:DRNTG_04396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSRKQLHIEAIQTVTPGRAAEPGQARRISLPSPTSADTLLRSHACILLYYHTSSKEETTATLAAHLKESLNHIIPEEPLLAGRLRKDGEKDGYWEIKYNDAGIRLVQAVVDTSMDEFLSGEDREAKEEMLAYWMDIQHEDSQFWPLFYVQVTEFQGDGYSIGISWSILLTDHLFMTRFLKTWARTHREMQVQGEFSEANIFHLNYFKTPTRTSTDFISGISTTPRTILYKAPRSSDLQALVHHLIENNHVSEFLLFVNDHSGDCLKVENFASGSSKTPPANCFSDKLSVAGWDELEAGDLYFVSENKPVHVSFQVISSDEHKGLVVAMLPSQQIGPNLMISVTVPVEN >DRNTG_08294.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23813040:23817492:-1 gene:DRNTG_08294 transcript:DRNTG_08294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSFASLRTPLNSRDGNDEEENLGDDYFDEENDEFEEKFDGVGDDDNYEALDGFEYFDDESSLAEFSNCRCDSSSIRTAVINQGNDNSVSELSREVCVCAHSESTTSGSRKDNGIVWKPVVAGSNSGQLDFMADKFISKSSGSPDELRHSIQQEQSDGFLFSPDMFNITSSSRTKDECFDEGRGKRKRKIKVHFDELNFPEKSVRSTTNKDNVVPRPYATCEFTLTRCK >DRNTG_05519.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2431616:2434659:1 gene:DRNTG_05519 transcript:DRNTG_05519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHHCRPSHWPTKSIRPFPSPIASTRLPFFPISASSSPKLRQLRRPYTTVLIVPTGVGATIGGFAGDALPVARTMASVADCLISHPNVLNAAMLYWPIPNALYVEGYALDRFAEGSWALRPVHQNKVGLVLDSGMETELRVRHLQVADAMRASLGLPVMEYVVTDSPLQVEKWIDPITGQSTGRIERPDSLLRAVQSLVNHAQVDAVAVVGRFPDEEVGDLDDYRQGKGVDSLAGVEAVISHLVVKEFQIPCAHAPAMLPPPLTSSLAPRSAAEEIGYTFLPCVLAGLSTAPQYVVIKHGSLDDGCVLATDVDSVVLPINACGGDGALAFAKRLKKKPLVIVVQENKTVLDDTPEKLGIEALQVSNYWEAIGVMAAHKAGIDPKSLRRGGVNNINCLSSPSSNVSKNSLPSQEPHQFYRT >DRNTG_23799.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29671526:29676231:1 gene:DRNTG_23799 transcript:DRNTG_23799.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PMP [Source:Projected from Arabidopsis thaliana (AT3G24160) UniProtKB/TrEMBL;Acc:A0A384KKU3] MLHVLVKNSTSLLSGLGGSFVGSAESFDGELKVPLASGATLSLQLTKKADLKFVLSVASLVRNIKNAVKIHEDISQSSVNPAELLFGRFMGIEALKEEYGPGNVVDQGVELLQTILIKLFDLLQKSYGGKIAGVILCDKETYPDSKAMLELSFSPRTSRLLEEDSLDVSKREVLLVRRSLAWITGVILLVSTFIGIYLLLNMPLTRDTLLYSNVKLD >DRNTG_21453.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19392170:19402091:1 gene:DRNTG_21453 transcript:DRNTG_21453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHVSGIVALIMSKLKNDNKRKWSTSMIQSALITAANTFDSDGKPMFDKTTSGNSANILQQGAGQVNATNAMDPVQIVSKEDNVCKLASVLYVPQLARNLLSVGQLMTSGYNLNFSRDEWTITDELSSKILTRKQNHQMEGLRGSTYALNQGIRSPMVEVGKFPRSSKNAESLRTLFTIDANVDHNAPILEEILLRFKREDIALFKADFSPDFRILFSIFSPTCERVSARISRGIGQGFGEVLRLRHRDSIRKKVGRGVSIEAYPIPDEGILGRRVEDFPQDHRHDHRGGFFMDSLLLHSISLIVLSSMES >DRNTG_16563.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8324496:8325004:1 gene:DRNTG_16563 transcript:DRNTG_16563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHPHTLNPQPCLERESKGEFMINQTK >DRNTG_19149.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6393450:6395039:-1 gene:DRNTG_19149 transcript:DRNTG_19149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNITTIIDKLLKLERGKDDGSQHKFRFEVSSHPKGSVLAPMAGLVVKVLVENGASVEGGQPVLVLEAMKMEVQR >DRNTG_07799.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1249536:1250396:-1 gene:DRNTG_07799 transcript:DRNTG_07799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLNIEKILSKITLASQEGDRYRCAELVAEIKKTMKESERNRRRIADAGTSLVLASSFDLFSRISVENSNNQVLEEIILVLSFLFPLDNKALQCLSSPESINSIISILNSGVFAKASLTTIFNLVSSSEEIARKFIDLNLVSKLLEILVDSDKKMCERALAVLDVVLNYESGREMARGNALAMPVLVKKIFRVSEMATELVVSVMWKICCCKKSCEKEYEDEKRVKCLIEGLEFGAFQKLLVLLQIGCSEGTKEKVTELLKLLNGHRAQIECIDSKDFKGVKRSF >DRNTG_10705.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13656197:13660364:-1 gene:DRNTG_10705 transcript:DRNTG_10705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASWADEMVGEVVVAASAPPRPTRPSYIPPALRNKPLSADAPEYSPISSAGRDFIGSSRSHRSRIPEPSPFEIVEKLSELGFSDGAGINFDAYDDIPVETSGTDVPQPVNTFAEIDLGDALNENIKRCKYVKPTPVQRYAIPISLAGRDLMACAQTGSGKTAAFCFPIISGVFRHCGLNQRPPVRKSRMAYPIALILSPTRELSSQIHEEVKKFSYMTGIKVAVAYGGAPMYHQLRDLEKGVDILVATPGRLVDLIERARVSLQAIKYLALDEADRMLDMGFEPQIRKIVEQLGMPPPGMRQTMLFSATFPNEIQRLASDFLSNYIFLAVGRDWL >DRNTG_05653.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18099042:18104485:-1 gene:DRNTG_05653 transcript:DRNTG_05653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLKYNREGDLLFSCAKDHTPTVWFADNGERLGTYRGHNGAVWCCDVSRDSMRLITGSADQTVKLWNVQTGAQLYSFNFDSPARAVDFSVGDKLAVISTDPFMGLPSTIQVKCIANDPSEQTGESVLTIKGPQGRINRAVWGPLNKTIISAGEDATIRIWDSETGQLLKESNKETAHQKTVTSLTKSADGSHFLTGSLDKSAKLWDIRTLALIKTYVTERPVNACAMSPILDHVVIGGGQDASHVTTTDRRAGKFEAKFFHKILQEEIGGVKGHFGPINALAFNPDGRSFSSGGEDGYVRLHHFDPDYFNIKM >DRNTG_04243.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:15873797:15876749:-1 gene:DRNTG_04243 transcript:DRNTG_04243.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G40610) UniProtKB/Swiss-Prot;Acc:Q9SCX9] MENAEELFRVAVIGSGNWGSVAAKLIASNTVKQSMFHDEVRMWVFEETLPNGRKLSETINQENENVKYLPGIKLGSNVVADPDLLNSVKEVNMLVFVTPHQFVEGICKRLVGNIRPDAEAISLIKGMEVKTEGPCMISKLISEMLSVNCCVLMGANIANEIAVEKFSEATIGYRQDKEAAQRWARLFSTPYFLVSAVPDVEGVELCGTLKNVVAIAAGLVDGLDMGNNTKAAIMRIGLREMKAFSKLLFSSVKDSTFFESCGVADLITTCLGGRNRRVAEAFARSGGKRSFDELETELLQGQKLQGVSTAKEVYEVLRNRGWQSLFPLFTTVHEISIGLLPPTAIVEYSEHARNLNLLQGAVQLH >DRNTG_04243.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:15873797:15876749:-1 gene:DRNTG_04243 transcript:DRNTG_04243.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G40610) UniProtKB/Swiss-Prot;Acc:Q9SCX9] MGGVTEAGAMENAEELFRVAVIGSGNWGSVAAKLIASNTVKQSMFHDEVRMWVFEETLPNGRKLSETINQENENVKYLPGIKLGSNVVADPDLLNSVKEVNMLVFVTPHQFVEGICKRLVGNIRPDAEAISLIKGMEVKTEGPCMISKLISEMLSVNCCVLMGANIANEIAVEKFSEATIGYRQDKEAAQRWARLFSTPYFLVSAVPDVEGVELCGTLKNVVAIAAGLVDGLDMGNNTKAAIMRIGLREMKAFSKLLFSSVKDSTFFESCGVADLITTCLGGRNRRVAEAFARSGGKRSFDELETELLQGQKLQGVSTAKEVYEVLRNRGWQSLFPLFTTVHEISIGLLPPTAIVEYSEHARNLNLLQGAVQLH >DRNTG_16757.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6386771:6390841:1 gene:DRNTG_16757 transcript:DRNTG_16757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRLDTILQLLERDTSSPFIKRPRTSPVPPATPSLDLTAPCDYVPAAAATEPIEDDNDA >DRNTG_13131.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000592.1:32707:33906:1 gene:DRNTG_13131 transcript:DRNTG_13131.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSKVTKDKVPKWIKMKKTLKKKYVFIPIVCWGHWSLVTLCNFCENWQPNIEKPFMLLLDSLHQANPKRLEPDIRRFVFDICQIEARDENKAAISKIPLLVPNVSATKKWWIVASCSLLHIFICPKCPYKFCFRWLPIFSYGRLVFVKMT >DRNTG_29136.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1343897:1346098:1 gene:DRNTG_29136 transcript:DRNTG_29136.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease DPD1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G26940) UniProtKB/Swiss-Prot;Acc:Q682U6] MSLTGMWLANFYQGRNSLASSCRIKLLRFHTVLASKEVVCPRKQILRPTTATVEERKQKSNKKKACKNNLIIPKTVGSPANIHVVETKDHEPKTLQNIGIQQKVTENGVIDRPAVFIVVDIETTGFSRTKDRIIEIAFRDLNGGKNSTFQTLVRPDKLILNDNIHGISSQMVNRPGVPRFDELIPIILQYVKSRQIDGKPVVFIAHNGRRFDIPFIIREFQRGSSEIPDDWLFFDTLPLANKLVKPDGKSNIFSLSATQHLLKSSYVHLALQVQS >DRNTG_29136.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1340884:1346098:1 gene:DRNTG_29136 transcript:DRNTG_29136.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease DPD1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G26940) UniProtKB/Swiss-Prot;Acc:Q682U6] MSLTGMWLANFYQGRNSLASSCRIKLLRFHTVLASKEVVCPRKQILRPTTATVEERKQKSNKKKACKNNLIIPKTVGSPANIHVVETKDHEPKTLQNIGIQQKVTENGVIDRPAVFIVVDIETTGFSRTKDRIIEIAFRDLNGGKNSTFQTLVRPDKLILNDNIHGISSQMVNRPGVPRFDELIPIILQYVKSRQIDGKPVVFIAHNGRRFDIPFIIREFQRGSSEIPDDWLFFDTLPLANKLVKPDGSKLESVSLNALQEHYKVPLIGPAHRAMQDVITLSSVFSRIAFDLRLTFPEIMDRVFRASDLVKHGQ >DRNTG_03758.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:8131112:8133565:-1 gene:DRNTG_03758 transcript:DRNTG_03758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVLQQQMEACKEGLADSQDNYDSCHTIQ >DRNTG_20623.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20586387:20586846:-1 gene:DRNTG_20623 transcript:DRNTG_20623.1 gene_biotype:protein_coding transcript_biotype:protein_coding KDRTLIRVHCNNHPDPCHAPQPPMPATTTN >DRNTG_18559.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16371869:16374467:-1 gene:DRNTG_18559 transcript:DRNTG_18559.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTGQQRKTNPVSSTKNKASSGISQVKLRAFDAKVSKQCRKMEGKPNIELFGEPLLHSNQSLGQQNQVASSSFQKITPGNSLTVQGHRPQHFGKLKLQLFPLDEVTCGLLEKGKYNPYLELTLGIRKKIASVIKHLNTKWVNSIPTSGELMLFPYDACPENMSCYRKWTAKDFSVCAGDVYDAVGSPAVFRLRYAWFSVSESGHHATTLSAPQFNFSSQNEQNQIVTIPEEQGEPHLLSHQESGPDLPVSSLIQDAGRTPATTM >DRNTG_18559.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16371395:16373337:-1 gene:DRNTG_18559 transcript:DRNTG_18559.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTGQQRKTNPVSSTKNKASSGISQVKLRAFDAKVSKQCRKMEGKPNIELFGEPLLHSNQSLGQQNQVASSSFQKITPGNSLTVQGHRPQHFGKLKLQLFPLDEVTCGLLEKGKYNPYLELTLGIRKKIASVIKHLNTKWVNSIPTSGELMLFPYDACPENMSCYRKWTAKDFSVCAGDVYDAVGSPAVFRLRYAWFSVSESGHHATTLSAPQFNFSSQNEQNQIVTIPEEQGEPHLLSHQESGPDLPVSSLIQDAGRTPATTMDMTTSRTVDNALLLWAECLSNISVGALLSEAVANPDANRSRSMLQNNSTLQHALVNFDSFDAAIAAHIARYQVADMPAKPASQPILEAEDTCHAFPFQKITPVQATADSCNVAHFTSCAQNISSNSVRP >DRNTG_16628.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31467694:31468470:-1 gene:DRNTG_16628 transcript:DRNTG_16628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFLNLKSTDNLFLICTDRASRGIDFTNVDHVVLFDFSP >DRNTG_20692.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16227282:16231609:-1 gene:DRNTG_20692 transcript:DRNTG_20692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRGCGKCPSRGGRGTDQGDFRGRNRFMARYDHGITIFSPDGHLFQVEYAFEAVHKGNAAVGVRGTDAIVLGVEKKSTPKLQGLPLFIHSP >DRNTG_34677.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28787527:28789432:-1 gene:DRNTG_34677 transcript:DRNTG_34677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNEVDDCIEEANGEDQQILDASTPKLTEYVLIRVPSIKTKDSDHRSKRRRLLDILKARPSRAWLKKFKFSSPFTACREAVKEHEEVSLSVPTPAGARRFHVQFIRKINWSTTLNVLKDWMKNPMNIALFIWLICVAVSLVMLALLLLGLLDQAFPSQALQNYWIEINNQVLNALFTLMSLYQHPNLFHHMVLLCRWRSEDITELRKIYCKNGAYRPHEWAHMTVVVVLLNIACFSQYFLCALYWEYRNSDRPEIPETLLVILGTATPVIAGIYTVYSPLGKEYESNSNDSNEEESSTKQLNKTGLKLYSRKAEASRPEWAGGLFDCGDDVTACSLSFFCTCCVLGWNMERLGFGNMYVHMVLFLLLCIAPFFIFNVSALNIHNDVIADVVGITGRVISVFGLLYGGYWRIEMRKRFKLPGNTHCCGSESLTDYLQWMFCWSCSLAQEVRTANLYDIEDGNLYRKEFIDIIDDNIGNTDSDDDEEFDSILQPLNPSSSSESEESCELPLSDPMAPPTQPSMQLQETNEIVHDSQLIQKEATDKTDTL >DRNTG_35059.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15259227:15260394:-1 gene:DRNTG_35059 transcript:DRNTG_35059.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSLVLGTLNTSSNNNSTNQGFKIQPENINTRTTYKVKSSGSIEGTCIL >DRNTG_02811.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13070168:13089776:-1 gene:DRNTG_02811 transcript:DRNTG_02811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRSLRHRHLPIYVALMKSGFRNVFGWDTIAGYGFRGVLARLLERFSSLDITILLEDSCWSSFRLHRFALGYNPREPLIYIEGNVESGPVRGVARPRSGGGRSITSWSREESTIYIGDNEEGAPEDEADKEMPQETPQSCWGFTSSAEAENFLSHPEKTHSHVEFPQGHVSLLRAHPEGTQGHGLPRANDLVMVTRSWGYVGFPMSLACEGPCPCGISAQTFVTLKELSRLDREATRACVYPYMAPHPKKQVGKRPRETSPKLEHIEFSNPEHQNQFEQLSRFRFRQSRFMDLSALREVQRFDSVDVMQFRAFRHHFGMSVTEFSVRMGLYDETYTDTEEYGHLPTDYPGALTPHWHTVFETFMKSETPSVSEGSISPIEQFVLTSEGRQFATMNPSLEDRAKMGQVSARVQVFEVLIGFNGYIPLLAVWWPSETSTDALCRCRRPPIWPVYEAVYDGRPPRRRGPLGPYGPHTGPALTEFVRADSPGHIVADFLKHQGQYVRLGVLFAGSYITRLIQVMGLLDTIRDAEKTIVPSPLGLDTMRMMGLVRKYGPGAYILTMPTPETIEEERDAIEASQQAPEPQPGPMETEAPPASQEPPQSDLAEVRALQDANHTESRPPMTLTLDTFFFYFFYFSYFTFALSWPCVLQNLLELVMDTAKVFYTAKDLAPSNTTGLVDARLKNIYIHDSARFGRHFPIFSPTLERLAARVRETTLSPGYYYFDIYALAVYTHIWTCQLARLLEWFYGFDTMFLFENSYWGSFHRHRSGEVCPRLVTMPLAYVPQVHRARERHGGHEDTIWAKRRRKLGRGIVSYSL >DRNTG_25038.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8795059:8797776:1 gene:DRNTG_25038 transcript:DRNTG_25038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGPPGELLRYRSAPSSSLGEVCDDFHAADHASSPESMLARFLSDLHTPLPTSPAPLPTCRPMEHVGTLEPCSNLARHSSSPAEFFSHSNVDNGFGVMRSIGGVRNGNDTMGEAGLQQSRINQQISFSSRQSSIMSQISEIGSECRAGGSPEESNLRSSNGNAGCYISGHSPGSFDESSMLSNNFLAFKRDLKQAEPQSRGLTHQFSLPKTSSEMAAIEKLLQFQGSVPFKVRAKRGCATHPRSIAERVRRTRISERMRKLQVLVPNMEKQTNTAEMLDFAVEYIKNLQKQVKMLLDNQSCCTCASIKQKSHPNQSA >DRNTG_00138.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2340546:2345795:1 gene:DRNTG_00138 transcript:DRNTG_00138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAITKLSKNLGKPIWYNGSDFNEWTNIPKAFHDLGKLTPNINEWTCETIWCEFTKIMERFRIILVHGGGSEINAWLLRTDHEPKFDNGLRVTNAHSMEVVDMVFAGKINRSLVAEINMLGGTDVGLGGKDARLLNYSKALLGSVENDALSTTRDRQYTLLEGKEMVSDDVMDVFVCIIQKSLSKVPYPYKKRASITQPLALFMSKQDNAHETTMAMIGDVARNLHDDQIVILPIIMNGHFHVVILDNDKQEYKHLFFMPKRGRSVFDICIDIGDSATSKYPIVHDMETPRQKQASVNCVVYVMRFIE >DRNTG_12126.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4489429:4492915:1 gene:DRNTG_12126 transcript:DRNTG_12126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDENFEGFGFTGKNELLNGKVAIIGFLLLIDFELLTGKGLLKGTGFLDFLYSTLNAFSLS >DRNTG_12945.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14928315:14929982:1 gene:DRNTG_12945 transcript:DRNTG_12945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLITFSSTFFFILLPLLPLLTFLLALSCFSTKKPTSQALKPYLLIGHVLNSSRTVTDTLTGQRDHPFRPYPHHGFQNPFLAHGIITANPLNVEHLIKTNFVNYPEGQFTTTMLSDFLGQGIFSSDDEQWKHQRKPASIEFNKKSLKDAVVDTVQWGIENRLLPLLRRAEGRDEVLDLQDILECFGFDTTCKLAFNEDPACLSIEKEEEEKMIMSKKAKKAFGDAQRLTMVRAMNVFPFTWTTMKKLDIGYEKKL >DRNTG_01251.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1838135:1839211:-1 gene:DRNTG_01251 transcript:DRNTG_01251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVGFVAGKLGNLLSQEAINLYGVRGEMEWLQRELGRMQCFLKDADAKKNKGDDERVKHWVTEMRDLAFDAEDAIDTFMYYKLRRKHPGSMGFMKRFVFIFHELVSRHKVHVDVEGIKTKLQELSRSREVYGISNIGETIG >DRNTG_27681.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8309332:8309945:1 gene:DRNTG_27681 transcript:DRNTG_27681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWKVLYSSSKDSLIRAAIGRLHGRGCSTRPCRIFTRPWIISARPCGFCVSLISRPAVSSAATVYATMLLQCSATVFGLNSFPIPYFHRGNATGTRSRRGSLASSMVYTLVELLFYVHKSECSNVTVFVPLQMDVPTRIRG >DRNTG_01217.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1312460:1324683:1 gene:DRNTG_01217 transcript:DRNTG_01217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSPIQLRDNRVIFDQTLGKLRRNYRAADATLRNSGHDVLMEFKSNAGSLSINRVAYQLKRIHFHSPSEHEMNGERFDLEAQLVHESQDQKRAVVSILFRFGRADTFLSDLEDFIKQFSSSQKNEINAGVVDPNQLQFDDCAYFRYMGSFTAPPCTEGISWTVMRKVATVSPRQVLLLKQAVNENAINNARPLQPTNYRSVFYFEQLKSKLCVI >DRNTG_01217.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1312460:1314229:1 gene:DRNTG_01217 transcript:DRNTG_01217.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSPIQLRDNRVIFDQTLGKLRRNYRAADATLRNSGHDVLMEFKSNAGSLSINRVAYQLKRIHFHSPSEHEMNGERFDLEAQLVHESQDQKRAVVSILFRFGRADTFLSDLEDFIKQFSSSQKNEINAGVVDPNQLQFDDCAYFRYMGSFTAPPCTEGISWTVMRKVATVSPRQVLLLKQAVNENAINNARPLQPTNFRSVFYFEQLKSKLGVM >DRNTG_11301.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1555040:1559151:-1 gene:DRNTG_11301 transcript:DRNTG_11301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQASLPLPNHTIKELSKSQAFTSSIPSYYHALRNPDEELRPEDFTDEEIPVIDFSLLVGGTAMERAEVIHHLCMACSEWGFFMVVNHGIPKRLMDETLDAFENFFNQNEEDKNEYLGKHVLDPIRFGTSFNTSVDKTRYWRDYLKVFVNPEFHSPAKPSGFSIHKRDRKRATQGNMGELGTQNRRY >DRNTG_30734.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9136210:9136746:1 gene:DRNTG_30734 transcript:DRNTG_30734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYWKEKKEMEGLKRRSRRSSQKKKEINENTLSSIRSTDFPLFVSWAYILSTCHYFYFSF >DRNTG_07254.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22899030:22899958:-1 gene:DRNTG_07254 transcript:DRNTG_07254.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit S, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G23890) UniProtKB/Swiss-Prot;Acc:Q9T0A4] MAMAMAVSMPLQTFRKSLFIVPRKHHVLSFSPRTSFTTSAKFDLFQLMGGRGLCNGENGIQKELKKTIEGETTPSPAPLTSSPTQSPPSGFQVDEGAFDKELLGLTSGFPGGEKGLKRFIQENPPPDSKDGGELGIQLVGSKPEPPQLPLFMPGMIVIVKNPKNPFYMYTGIVQRVTDGMVGVLFEGGNWDKLLTFRLDELERREKGPPMVNPKSAILESLVKTLKE >DRNTG_07254.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22897224:22899958:-1 gene:DRNTG_07254 transcript:DRNTG_07254.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit S, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G23890) UniProtKB/Swiss-Prot;Acc:Q9T0A4] MAMAMAVSMPLQTFRKSLFIVPRKHHVLSFSPRTSFTTSAKFDLFQLMGGRGLCNGENGIQKELKKTIEGETTPSPAPLTSSPTQSPPSGFQVDEGAFDKELLGLTSGFPGGEKGLKRFIQENPPPDSKDGGELGIQLVGSKPEPPQLPLFMPGMIVIVKNPKNPFYMYTGIVQRVTDGMVGVLFEGGNWDKLLTFRLDELERREKGPPMVNPKSAILESLVKTLKE >DRNTG_20420.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3157149:3173277:1 gene:DRNTG_20420 transcript:DRNTG_20420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDSYRFSISWSRILPKGSLKGGINQEGINYYNNLINELIKNGITPYVTLFHWDVPQALEDEYGGFLNKNIMFDFKDYCEICFKEFGNRVKHWITLNEPWSFSSMGYGLGRHAPGRCSQILGCSVGNSIIEPYIVTHNLLLAHGAAARLYKEKYQTTQGGQVGITLVCMWYHPYDQSHKHVEAATRALDFMLAWYLDPLMHGDYPFNMKAIVRDRLPTFSKEEADMIKGSYDFIGINYYTARYAREVPYSHDSPPFLHINESYAEQLEAKDGVPIGESNGSWIYVYPRGLRDLLLYIKRRYENPAIYITENGISNVDKSDIPKEEALADEMRKNYLAVHLAEICDAIREGANVKGYFAWSLMDNYEWEKGYTERFGLNYVDYNTLERTPKDSAKWFSKFLQPKPQN >DRNTG_20420.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3168413:3173277:1 gene:DRNTG_20420 transcript:DRNTG_20420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFQPISMSPTTLSSSSTSLLATGKPAWLSFSFNKHSSLPSSKNKYSRRTSGRGVNIVCLRGGNISVQTSAPQTTTDAAVAFGRQSFPPGFAFGAATAAYQIEGAWNEGGRGPSIWDTFAQQHPEKIEDKSNGNIGVDSYHRYKEDVKLLKDMNVDSYRFSISWSRILPKGSLKSGINQEGINYYNNLINELIKNGITPYVTLFHWDVPQALEDEYGGFLNKNIMFDFKDYCEICFKEFGNRVKHWITLNEPWSFSSMGYGLGRHAPGRCSQILGCSVGNSIIEPYIVTHNLLLAHGAAARLYKEKYQTTQGGQVGITLVCMWYHPYDQSHKHVEAATRALDFMLAWYLDPLMHGDYPFNMKAIVRDRLPTFSKEEADMIKGSYDFIGINYYTARYAREVPYSHDSPPFLHINESYAEQLEAKDGVPIGESNGSWIYVYPRGLRDLLLYIKRRYENPAIYITENGISNVDKSDIPKEEALADEMRKNYLAVHLAEICDAIREGANVKGYFAWSLMDNYEWEKGYTERFGLNYVDYNTLERTPKDSAKWFSKFLQPKPQN >DRNTG_20420.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3157149:3181194:1 gene:DRNTG_20420 transcript:DRNTG_20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDSYRFSISWSRILPKGSLKGGINQEGINYYNNLINELIKNGITPYVTLFHWDVPQALEDEYGGFLNKKIMFDFKDYCEICFKEFGNRVKHWITLNEPWSFSSMGYGLGRHAPGRCSQILGCSVGNSIIEPYIVTHNLLLAHGAAARLYKEKYQTTQGGQVGITLVCMWYHPYDQSHKHVEAATRALDFMLAWYLDPLMHGDYPFNMKAIVRDRLPTFSKEEADMIKGSYDFIGINYYTARYAREVPYSHDSPPFLHINESYAEQLEAKDGVPIGESNGSWIYVYPRGLRDLLLYIKRRYENPAIYITENGISNVDKSDIPKEEALADEMRKNYLAVHLAEICDAIREGANVKGYFAWSLMDNFE >DRNTG_20420.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3157149:3161612:1 gene:DRNTG_20420 transcript:DRNTG_20420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDSYRFSISWSRILPKGSLKGGINQEGINYYNNLINELIKNGITPYVTLFHWDVPQALEDEYGGFLNKKIMFDFKDYCEICFKEFGDRVKHWITLNEPWSFSSMGYGLGRHAPGRCSQFLGCSVGNSIIEPYIVTHNLLLAHGAAARLYKDKYQTTQGGQVGITLVCMWYHPYDQSHKHVEAATRALDFMLAWYLDPLLHGDYPFNMKAIVRDRLPTFSKEEADMIKGSYDFIGINYYTARYAREVPYSHDSPPFLHINESYAEQLEAKDGVPIGESNGSWIYVYPRGLRDLLLYIKRRYENPAIYITENGISNVDKSDIPKEEALADEMRKNYLAVHLAEICDAIREGANVKGYFAWSLMDNYEWEKGYTERFGLNYVDYNTLERTPKDSAKWFSKFMQPKPQN >DRNTG_29851.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23124948:23129664:-1 gene:DRNTG_29851 transcript:DRNTG_29851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGQSSQPRPRPMRRGLERCQNKGSSDNGEVIDVEKIDVVVIDDTESSYYRTRGKNKIPSFVISIDDEEEVDAERNPGGVGCSAAVEGSDSDGDCVVFVKEETVPLNSRMKNVIFPECGSSRNRFGLYTPSEDGSSENGSSEIELSESESSDAESSDCEIMDGSSGGIRELWEKASLRKKIAEMSRYEPEDRVSASGSNTDPESLSPETTQDKAEVRDCFNESHSKNSEQVPVQCRESCSVREGPCPGKDTATKLSEDSRTARGKYSVVDSYPDAGMHCDDPIYNHMDEQVSEKRVNLPGENAFNYKGDATEDVEVPQRTPACDDKLQTDVRAGNDQFTFPDKGEQIPVENAFLPSELPNEYISQDRNGPSPANSSSPDPQPRNMKDIFSGVTGPENKGKLTPEPSLNSKDQRRADVDYGTYPQETNDFFPGKRSLHDPQPSSTNEDFSEVTGFGGKNKASKPFSDPQTQQVDVNDEPVSRESDTPCEKISSSDPQPSCKNEVFPEFVAFKGEEKAVPPSCYPEAQHANVNYDPNVDSGKPCAEKISVFHPRPCYVNQVFSEVAGFDDDEQLAVKPSCNSRAQHANVGCEDILQEKAYSTHEELSAKKSMDCSSQAENTKVGCIVTKESEAENEVIGDREKHKESDEYKRAQEEEWASRQRELQLQSEEALRMRKRRRIEALRLIDMERRQKQRLEEMRESQKKVEETINLKEQYRAEVRKELEQMETRYRDLASLLRGLGIHVRGGQFPLPHEVHSAYKQALLRYHPDRASRTDLRGQVEAEETFKLISRCKEKLLPISY >DRNTG_27148.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:14592071:14597363:1 gene:DRNTG_27148 transcript:DRNTG_27148.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTRMSEDSQMSSMRTPTKRSSGNKRWTTVESQFFIRFMASQVEQGLKVDKGFKPQALHASIIAMKNEFRIIVTEANVNNHLRTIHKRWARIKKLKEFKRNGLG >DRNTG_27148.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:14590473:14597255:1 gene:DRNTG_27148 transcript:DRNTG_27148.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDNTRMSEDSQMSSMRTPTKRSSGNKRWTTVESQFFIRFMASQVEQGLKVDKGFKPQALHASIIAMKNEFRIIVTEANVNNHLRTIHKRWARIKKLKEFKRNGLG >DRNTG_27148.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:14596394:14597363:1 gene:DRNTG_27148 transcript:DRNTG_27148.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTRMSEDSQMSSMRTPTKRSSGNKRWTTVESQFFIRFMASQVEQGLKVDKGFKPQALHASIIAMKNEFRIIVTEANVNNHLRTIHKRWARIKKLKEFKRNGLG >DRNTG_27148.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:14592357:14597363:1 gene:DRNTG_27148 transcript:DRNTG_27148.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTRMSEDSQMSSMRTPTKRSSGNKRWTTVESQFFIRFMASQVEQGLKVDKGFKPQALHASIIAMKNEFRIIVTEANVNNHLRTIHKRWARIKKLKEFKRNGLG >DRNTG_32143.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20793998:20796587:-1 gene:DRNTG_32143 transcript:DRNTG_32143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNISSSLMDMRVITACIYICLILLCMRSSSSSVMFARGQDVGSDGGGRRQLVPAMFVFGDSLIDNGNNNNLPSLAKANYFPYGIDFAGGPTGRFSNGYTIVDGIANLLGLPLTPAYSEAVGSQVFHGVNYASAAAGILDVTGGNFVGRIPFNQQIRNFESTLSQIGMELGATEAVANSIKQSIIFIGFGSNDYLNNYMMPNYNTKNQYNAEQFADLLMQQYTRQLTRLYSLGARKFAVAGVGAIACVPSILAQSAYSKCSVDVDNLIAPFNRKVKAMISNLNENLPGAKFIYLDVYRMFVDILANPTQYGFSVIDRGCCGIGRNRGQISCLPLQAPCARRDQYVFWDAFHPTEAVNILLARNCFSGNTNVAFPMNIQQLANVEV >DRNTG_14156.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14242588:14243727:1 gene:DRNTG_14156 transcript:DRNTG_14156.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQDKMLTLKQHAISIESASTNSGPTPVDELALYIEAFGGEKKRRVYGLFSQASSYYGCSNSNGNNSPATSTMQNNEDLQNELASVRNQLQIQEE >DRNTG_14156.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14241535:14243727:1 gene:DRNTG_14156 transcript:DRNTG_14156.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDKMLTLKQHAISIESASTNSGPTPVDELALYIEAFGGEKKRRVYGLFSQASSYYGCSNSNGNNSPATSTMQNNEDLQNELASVRNQLQIQEE >DRNTG_14156.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14238077:14243727:1 gene:DRNTG_14156 transcript:DRNTG_14156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDKIRCPCCKCQNRRYEVVDNVKLHLLRNRFTQDYRQWVCHGEPMVYANCSETQCNSLRSQDVEGSSSCRDMIIDAIGPNFDPYYDANEEEMPNPTTQKLYDMLDTAEEPLWPGCEAHTQLSSIARLLTIKSEFQISERCYDAILQFMKEALPTNNKLVDNFYNTKKFVAGLGLPSEKIHCCINGCMLYWGKDSGRRSCKVCDHPRYKSGKRGIRNHKDYSRIKDVLFSSDT >DRNTG_30548.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:686596:687967:-1 gene:DRNTG_30548 transcript:DRNTG_30548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDTEVIIDCPPVFKRYKSGRVERLMGTEFTPASIHPTNGSTVSSKDITINPETSITARLFFPNFFTTPPSTKLPILVYFHGGAFFVGSAFNPSYHNFLTSLVSKANIMAVSINYRLAPEHLLPTAYDDSWEAMQWVLRGGDGEPWLAEHGDLKSVFMAGDSAGANISHQMALRVKRNEVLGMVLIHPYFWGSEVIGEETRDPKTRSFMEGLWKMACVEEIGYVDHELYNPLMEGRLAELKCGKVMVMVAGKDVLRERGRVYCEKVKESGWDGEVELHESEEEDHVFHLNKPECDKALALLDKIVAFFNSF >DRNTG_30047.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18571152:18572176:1 gene:DRNTG_30047 transcript:DRNTG_30047.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVEMCVHMDCDGCEKKIRRALMKLKGIDNIDVNMVTQKVTVTGWVDQKKVLKTVRKTGRRAVLWPYPHNVEYQYYNYEHHPVATTIAGYARNHPAIDTASSSYNYYKHGYNDLSMHEYYGGPDYSNSFIDEKASNMFSDENTNACSIM >DRNTG_28388.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17907831:17908153:-1 gene:DRNTG_28388 transcript:DRNTG_28388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHMTEHMDFSASPISSPTQPIHQLICDE >DRNTG_10737.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000456.1:15531:16960:-1 gene:DRNTG_10737 transcript:DRNTG_10737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANDLAVVLCRFLEWQTSLFRMVHDSSYENMDIALHYGAMLRECIHLQSIARYLFFSYAFVR >DRNTG_19687.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001039.1:30501:31290:-1 gene:DRNTG_19687 transcript:DRNTG_19687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHHLLPLILSLILLIHPSSSSSVETPALFTDPTQILFSGQSLIHDDLTLSLYSDCSLILYKAGSQVLDFGTSTNTSYCGLLINGEGQLELIPDSEQTPTQTIGRKTNSANYALLFINGKLGLFGPAIWNNGVSLPTLSNSHKLNLNHKKLKAGSADNFLVSGGVVTGSANGDVVIAQNGDVSTVITPYCKLVVRNDASGESIWQTKPNSGAPMECFLRLTYNGLLLLQGYNDSGLFTQWTGGYEAREG >DRNTG_09587.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23657651:23658165:-1 gene:DRNTG_09587 transcript:DRNTG_09587.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGAERSGTEMRRRNDENSVRVTNLSEDTREPDLLELFRAFGPVTRVYVAVDQKTGMSRGFGFVNFVSKEDAERAINKLNGYGYDNLILRVEWATPRPN >DRNTG_19863.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20077159:20077604:1 gene:DRNTG_19863 transcript:DRNTG_19863.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLPVCSKNPSPAVQDQWPKASPHRSLILQRHMNSEI >DRNTG_20112.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22651916:22652466:1 gene:DRNTG_20112 transcript:DRNTG_20112.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGLHICHENVKEPPKDFIPEKKRPAGFGRLKNYNK >DRNTG_32522.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1383058:1384521:1 gene:DRNTG_32522 transcript:DRNTG_32522.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYQGTEHESNENLCSLCEKEQINFMPTPNNKFCASCLNHINPLVVYYSTGSAAVSKDDLTEDKFICRKCFSVQNKNIRIDGRDIPKSSLQKKQAYGGEGATCEPWVQCARCKAWQHQICSLFNETRNQSELTEYLCPTCILHGSENGEKLLNQTKAYGAKDLPTTRLSDHIEKWLSQSLKKERQERAQSLGKSYEEVPGAEDLYVRVVSSISKIVEVKQFFRETFQEENHQSGFPYKSRVILLFQKIEGVDVIIFAMYVQEYGTKCPLPNQRHVCLSYLDSVKYFRPEIKTVKDEALRTFVYHELLLGYLDYCKKSGFTSCYIWVSPPKRGDNYILYCHPETQKTPEAKKLRDW >DRNTG_32522.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1383058:1383754:1 gene:DRNTG_32522 transcript:DRNTG_32522.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYQGTEHESNENLCSLCEKEQINFMPTPNNKFCASCLNHINPLVVYYSTGSAAVSKDDLTEDKFICRKCFSVQNKNIRIDGRDIPKSSLQKKQAYGGEGATCEPWVQCARCKAWQHQICSLFNETRNQSELTEYLCPTCILHGSENGEKLLNQTKAYGAKDLPTTRLSDHIEKWLSQSLKKERQERAQSLGKSYEE >DRNTG_32522.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1375127:1386793:1 gene:DRNTG_32522 transcript:DRNTG_32522.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSFFNVPVVGKYDLAGIYGQVTKKSQHMDLSQQNMNNAVDLKRQLGWPQSESIVHGVNQRNGLSSQGPMLPLALTKQEVCWQNQLSGTPSTWRWNQPLDNGFFVRDDAQRGIFESNVGSLSDHSKECRSHNYEACSGIGMGLVANRNPNGTTPKIETPSFEEYHSPPSKRAKTQSTQSGTITSPLTFMDIGMTSQGFLTVCQRVKTSAIAESTAKSCDSKPEVQMSTYPIWPEVRIAQCREEKLPEPDVKCEATEKSIAVSDNTVQPQMSGVSLIESFTPEQIKAHIASLRSSSDQCKEGMKRYQGTEHESNENLCSLCEKEQINFMPTPNNKFCASCLNHINPLVVYYSTGSAAVSKDDLTEDKFICRKCFSVQNKNIRIDGRDIPKSSLQKKQAYGGEGATCEPWVQCARCKAWQHQICSLFNETRNQSELTEYLCPTCILHGSENGEKLLNQTKAYGAKDLPTTRLSDHIEKWLSQSLKKERQERAQSLGKSYEEVPGAEDLYVRVVSSISKIVEVKQFFRETFQEENHQSGFPYKSRVILLFQKIEGVDVIIFAMYVQEYGTKCPLPNQRHVCLSYLDSVKYFRPEIKTVKDEALRTFVYHELLLGYLDYCKKSGFTSCYIWVSPPKRGDNYILYCHPETQKTPEAKKLRDWYLKVIHKAEQAKIAVGHSNFSDGFLDEKCRTNITATRLPYFDQDYWSEQAEEILKNTQKMSMVINSHNPSMTLRSAAHDTNQEMTREQKDAYLINELKVKMRPKDNFIMIHLQHCCKSCCKPILSGKLWISNLRKTFQICERCYDNLKNLNKQNIHSTGKEVEHEFYMVEVEKVSSFLNDEDKTLSCQIFSTREGFLNYCLQNHYEFGTLRRAKHSSMMILYNLHTAKCNTCSNTIEPALGWQCMTCSGFHICDSCYQKDGCSSHDHQLVPRANATSFFSHSKETQSKLYWQSVVRDLELALKCSETKCSHAHCRQFRELFSHTNDCRIRVNGGCVNCKRAQFVMVVHAKYCKDGNCRAPSCSKMKAYLREQNKRKLQEAKDVCVD >DRNTG_32522.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1384376:1384521:1 gene:DRNTG_32522 transcript:DRNTG_32522.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSQEGRQLYPILPSRNTEDTRG >DRNTG_32522.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1384597:1386793:1 gene:DRNTG_32522 transcript:DRNTG_32522.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVINSHNPSMTLRSAAHDTNQEMTREQKDAYLINELKVKMRPKDNFIMIHLQHCCKSCCKPILSGKLWISNLRKTFQICERCYDNLKNLNKQNIHSTGKEVEHEFYMVEVEKVSSFLNDEDKTLSCQIFSTREGFLNYCLQNHYEFGTLRRAKHSSMMILYNLHTAKCNTCSNTIEPALGWQCMTCSGFHICDSCYQKDGCSSHDHQLVPRANATSFFSHSKETQSKLYWQSVVRDLELALKCSETKCSHAHCRQFRELFSHTNDCRIRVNGGCVNCKRAQFVMVVHAKYCKDGNCRAPSCSKMKAYLREQNKRKLQEAKDVCVD >DRNTG_32522.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1384048:1386793:1 gene:DRNTG_32522 transcript:DRNTG_32522.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVQEYGTKCPLPNQRHVCLSYLDSVKYFRPEIKTVKDEALRTFVYHELLLGYLDYCKKSGFTSCYIWVSPPKRGDNYILYCHPETQKTPEAKKLRDWYLKVIHKAEQAKIAVGHSNFSDGFLDEKCRTNITATRLPYFDQDYWSEQAEEILKNTQKMSMVINSHNPSMTLRSAAHDTNQEMTREQKDAYLINELKVKMRPKDNFIMIHLQHCCKSCCKPILSGKLWISNLRKTFQICERCYDNLKNLNKQNIHSTGKEVEHEFYMVEVEKVSSFLNDEDKTLSCQIFSTREGFLNYCLQNHYEFGTLRRAKHSSMMILYNLHTAKCNTCSNTIEPALGWQCMTCSGFHICDSCYQKDGCSSHDHQLVPRANATSFFSHSKETQSKLYWQSVVRDLELALKCSETKCSHAHCRQFRELFSHTNDCRIRVNGGCVNCKRAQFVMVVHAKYCKDGNCRAPSCSKMKAYLREQNKRKLQEAKDVCVD >DRNTG_02743.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:21413011:21414319:1 gene:DRNTG_02743 transcript:DRNTG_02743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRNHLLKGRMRGQPLSCALDAVLLLLAHHTHAFLDAGEALPYPARLQQPPDHDNDSPPDYGRSPDHGSLSDRG >DRNTG_12759.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25894838:25899788:1 gene:DRNTG_12759 transcript:DRNTG_12759.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQPYLLIINHMCVLAQRPCAKVQKSVTVADLMVCLNTVDSLADLYCFRFCLVSARHAYPTAADSVIEAHEKLQ >DRNTG_08142.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5425860:5427982:-1 gene:DRNTG_08142 transcript:DRNTG_08142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDARKKIGSTGGVLRLLLSIFLKPRLTEDQNKVSVEARETLALLTLNNKQNANYVLKQMQVEDQLADSLTDPVLQISSSRILRNLCTYSGSENFFCMKGVTTTAPTLLYSIMVEEGKLLEVSIELTIQTFKFMSFEESDKELKKACISPNNFVKKLAQILEKYNTPHVQVPRIRRFVVEMVIWLMKSHEKYIQLSKKFEMDKEIKSVAETTSELECFPVFSGSIGLSRDDISLCSLTNVALELLMKH >DRNTG_29253.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001471.1:90011:92909:-1 gene:DRNTG_29253 transcript:DRNTG_29253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMANHVKTFQVLILAFLSMVISIVHARVVPTVFVFGDSIVDVGNNNFLPNCTAKVNFHPYGVDFPNGTSTGRFTNGFNAADCIVQKLGLEMSPPPFLSLNSWTQTLGGVNFASGGSGLLDATGARFIQVLSLGKQMTDFETVVANLISNLGINKTRKLIAKSIFFVSTGSNDLFEDFQANPAKNETERCAFIESLVASYQVNLEALYLLGARKFALLSIPQIGCTPAARAINNSSGNCNEDLNESARKFYNSMQVMLKELSCNLQGFKYSLGNSYGMVDFFFKSPSRFGFTELTDACCGNQTLCLPGSTFCANRTEFLFWDVNHPTEAAYRVAAQTLFVGSREFVTPINFGQLAAIKT >DRNTG_33302.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23743181:23748331:-1 gene:DRNTG_33302 transcript:DRNTG_33302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGTSASPGKTTPVLTPRAGGSSPFGGITVDKSVEFSYEELAKATNDFSITHKIGQGGFGAVYYAELRGEKAAIKKMDMQASREFLAELKVLTHAHHLNLVRLIGYCTEGSLFLVYEFIENGNLSEHLRGSDRDPLPWHIRVQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNFHGKVADFGLTKLTEVGSTSLPTRLVGTFGYMPPEYAQYGDVSPKVDVYAFGVVLYELISAKEAIVKANGSTTESKGLVALFEDALIKPEPREDLLSLVDPKLGENYPIDSVLKMAQLARACTQENPQLRPSMRSIVVALMTLSASTEDWDVGSFYENQTIVNLMSGR >DRNTG_13824.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6287245:6291695:1 gene:DRNTG_13824 transcript:DRNTG_13824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVGALLLLLLLAVWIGSPKVESLALQVEDDAIFRSSDGFSCPSPDPLLYYRPVIGILTHPGDGVNGRLSNATNASNIPASCVRFVESGGARVIPIIYNEPEDLIIQKLNLVNGVLLTGGWAKTGLYYDVAKKIFEIVLAKNDAGDHFPLLAVCLGFELLSMFISQNNDICERFYGEIRASTLQFSISETNGTLFERFPPDLLTKMSKECLAMQNHLFGISPKRLQENSALSSFFKILTTTADTNNEDYISTAQAYNYPVIAFQWHPEKNAFDWGSNEIPHSEDAVRVTQNTANYFISEARKSSSRPDDPVMLLSHLIYNHKPAYAGEGYDEVYIFT >DRNTG_13824.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6287245:6291695:1 gene:DRNTG_13824 transcript:DRNTG_13824.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVGALLLLLLLAVWIGSPKVESLALQVEDDAIFRSSDGFSCPSPDPLLYYRPVIGILTHPGDGVNGRLSNATNASNIPASCVRFVESGGARVIPIIYNEPEDLIIQKLNLVNGVLLTGGWAKTGLYYDVAKKIFEIVLAKNDAGDHFPLLAVCLGFELLSMFISQVFLFLT >DRNTG_27980.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1194051:1194396:1 gene:DRNTG_27980 transcript:DRNTG_27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKESLTWDSREGFTGFVLVLHQLSSPFFSSLAFLIRNLFSSDCASLAEQQRRQLDCSSSFPPSSSPFFLWFWVIFLNELTKSAVPVPAPAEEQR >DRNTG_29208.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3187937:3191098:1 gene:DRNTG_29208 transcript:DRNTG_29208.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP sulfurylase 2 [Source:Projected from Arabidopsis thaliana (AT1G19920) UniProtKB/Swiss-Prot;Acc:Q43870] MAARASLIEPDGGKLVELVVPEMERAGRKTEAEAMPKVRIGRVDLEWVHVVSEGWASPLRGFMREDQYLQSLHFNSLRMEDGSVVNMSLPIVLAIGDDDKERVGGARDVALVGPNGDLVAILRRIEIYKHNKEERIARTWGTTAPGLPYVEEVITSAGNWLIGGDLEVIEPIKYNDGLDHYRLSPCELRKEFDKRKADAVFAFQLRNPVHNGHALLMNDTRRRLLDMGYQNPILLLHPLGGFTKADDVPLDVRMEQHSKVLEDGILDPETTIVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDPDHGKKVLSMAPGLEKLNILPFKVAAYDMKDKKMAFFDPSRAKDFLFISGTKMRTFARNGENPPDGFMCPGGWNVLVNYYKSLQADETEQLSAALSV >DRNTG_31546.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22873677:22878191:-1 gene:DRNTG_31546 transcript:DRNTG_31546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPRRRPLLLGDIHRRRDRRNPLPYLCFSTSIKEGGGGASNGGDLVREISSLLRARTDWKLLMLSSDLHRRLNPNAISSVIESQNQVLDPELLLDFFYWSSCHIGPPPNIDSFSNLAVLLCNSGLFPLANDLVERMIKAHPSPSSVLDSITDCFKRCEKSNPAVFDVLIINYKKMGLLKSAAEFVLLMKGGSFKPGLRCCNGLLKDLLKVNQMDLFWKINEFMVEKRILRDVYTFTILIGAYFKAGDAAKAKKVFREMESMGCVPHAATYNTLIDGLCRSGAIDDAFELKREMAEKGLVADAFTYGALINGLCKDMRSKEAKLLLSEMLGLGLNPGVIAYSSLIDGFMRESNVDEAFKIRDEMVASDIRPNIVVYNSLVRGVCKMGKIDKALELLKEMASAGWNPDTMTYNLVIEAHFREQDVKGVFHMLDEMRNQNVPSNVYTYSVIINGFCRCGEMMQAAEFLEEMIVKGLQPNAVIYATLISGYCREGRLTEACDILDKMHEQMVVPDVFCYNSLIAGLCKSGKMDEARDYLAQMQEIKLLPNSFTYGSLIHGHSKVGNMDKADMYFQELLSHGLKPNDIIYTTLIDGHCKADDVAKAFSTFHSMLERGVLPDVQTYSILIHSLSKRGKMQDVLQIFSELKQKGMPPDSYTYTSIISGFFKTGDSEKALHFYDEMCEQGIEPTIVTYNALIDGICKSGDIESARRLISSILEKGLVPNAATYTIMIDGNCKSGNITEAFKLFDEMLSKGINPDNFVYNVLLGGCCRVGDLNRALDLLNEMVHGGFATVLSFNTLIDGFCKLGKLQEANQLLQVMNDKHISPNNVSYTTLIDGHSKAGNMEEACRLFSEMQEKKLSPNTVTYTSLIDGHSKKGNLVEAFALFEEMLAKGVLPDEIAYQVMIHAHCKEENLVKVFQLRDEMTGKGMRMSGATYLTMVDGLCRKGDVAKAIKLLDDMEVQGISSNDAQCRMLIDRLQRSGFMDEACQISEITTKKGWVARESSSNPLLEEASCH >DRNTG_18475.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:10322782:10324228:-1 gene:DRNTG_18475 transcript:DRNTG_18475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAGRMAAKAVRFPRPVKEVAKRPRGSAPEPEVMEFTLPEHRARFERLAKLKFGQTRIPDVGSLRKVQLADDMADEVEKLLSVGSWHKILNIHDPAIRSLTLDVLASFEFDRSYDHFDSVGAIQFRAFGQHHSMSVTQFSTRLGLYDEEYTETEEYESLPIDMSGLSSTEAYRILYGKGRYELGLSKASCLSRPSYRYLHAIISRSVNGRGDSTGVINKQELLYLYFMVRNETVHLGHILAEYLKHQGQYPKLGVIFSGPYITRLILGMGLRDAIRGAEKTIIPATLGLETMRLMGLVHKYPNGVYVLNIPFEDNGDEPQSAPMETEAPPAAENIPPVRSFTPSQTHDRFERLKNALGVVQAEVFEIRATQAAQYTEFMARFDVLQQILEQDVASSFVLRPRTPQAPSTSTAPSSSTPAPVDPPCASSTAAAAAPEPEGDTDI >DRNTG_14454.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15986720:15998834:1 gene:DRNTG_14454 transcript:DRNTG_14454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVDHARTAGPVERDIEQAIIALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLKLSHVSRIMPGQRTAIFQRYPRPEKECQSFSLIYSDRSLDLICKDKDEAEVWFAGLKALISRSHHRRWKTESRSDGVPSEMNSPRTYTRRSSPLSSPFGSSDSMPKDVGDALRLRSPYESPPKNGVDKAFSDVLLYAAPHKGIYPPDSASGSVHSLSSGCSDSGHGNMRGIAVDANRVSLSSAVSSSSQGSGHDDGDALGDVFIWGEGTGDGVLGGGANRTGSFSGIKMDSLVPKALESAVILDAQNISCGARHAALVTKQGEIYTWGEESGGRLGHGVDSDVSQPKLVDALVNMNIELVACGEHHTCAVTLSGDLYTWGDGTYNFGLLGQGNVGRHWIPKRVNGPLEGIHVSSISCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRKSVSVPREVESLKGLRTVRAACGVWHTAAVVEVMSGNSGSSNCSSGKLFTWGDGDKGRLGHGDKESKLVPTCVAALVEPNFCKVACGHSITVALTTSGQVYTMGSSVYGQLGNPQADGKLPVHVEGRLLKNFVEEIACGAYHVAILTSKTEVYTWGKGANGRLGHGDTEDRNSPTLVEALKDKQVRNIVCGTNFTAAICIHKWVSGVDQSICSGCRLPFNFKRKRHNCYNCALVFCHSCSSKKSLKASMAPNPNKPYRVCDSCYNKLGKSLESQSSNSAANKKGNVIQGYNESIEEEKFESRSNVQTSRFSAMDSFKNVEGRSFKRNKKFEFNSSRVSPIPNGNSNWVSLSRSLNPVFGSSKKFFSASVPGSRIVSRATSPISRRPSPPRSTTPTPTLGGLTSPKFVVDDAKRTNDSLSQEVLQLRAQVENLTRKAQLQEVELERTTKQLKEAIAIAEEETAKSRAAKEVIKTLTAQLKDMAERLPGGATKNSRITSLSSFSTSPTSSDASAAIDRMVGSIAASRVADSNGSNGLPVSDAQITVSRLPHSEVVRNGTKQTEADVNHEAEWVEQDEPGVYITLTALPDGIKDLKRVRFSRKRFSEKQAEQWWSENRARVYAQYNVRMVDRSTTSIGNDDRSH >DRNTG_13718.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5407107:5409085:-1 gene:DRNTG_13718 transcript:DRNTG_13718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKLSSNSLFKPNLYQACKMSINLLLACGCILDVFSDCRFSLVP >DRNTG_32770.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3790990:3792698:-1 gene:DRNTG_32770 transcript:DRNTG_32770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIDDEFDEKVQARKEQKKKRKLLES >DRNTG_08282.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16265819:16271563:-1 gene:DRNTG_08282 transcript:DRNTG_08282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWCCLIFSKGSLVKPRAQLAELTLTNAPPIALFQRNGVAAKVFPNKFLRLELIPKLSSSSYDESIHWYQSFGVRSLVLELVFVKELEKVVVLKSVKIGQLTSIEKDVEVSKVEEEDMMVVDMTKLHVCTTSEPMSK >DRNTG_08551.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17305234:17306621:1 gene:DRNTG_08551 transcript:DRNTG_08551.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTYNYIRILKYVCACVQIVKDGYQWRKYGQKVTRDNPSPRAYFRCSFAPSCPVKKKVRLASI >DRNTG_08551.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17305234:17306621:1 gene:DRNTG_08551 transcript:DRNTG_08551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSISLGLGLGLRPLDDLRSEIPINVLEAELSRMSGENKRLSDMLSVMNAKCSSLQGQLLDLMSSPSSEKKRKSPSSDDNSMNKFYSSSSHLESPSSEDTCKRAIDHVYRAKVYKSYVRRDPSDTSLIVKDGYQWRKYGQKVTRDNPSPRAYFRCSFAPSCPVKKKVQRSAEDRSILVATYEGQHNHRNTLIAGTKTIGTEHCPYSISVQSSRPEIFLDLTPKSSNQELESQEFQRLLVEQMASSITKDPSFTAALVSAISGRVNLQISPGKN >DRNTG_29911.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001516.1:22543:23298:-1 gene:DRNTG_29911 transcript:DRNTG_29911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRKIGDLNLAVAVPQPNPAVLAPLPLPPPWSSEGILFTDLERVRLLGSGVSGTVWMVRHIPSTKLYALKYIPGCKDEDNHHQSCREIEILRTTDSPFIVRCHGFNDKSGNIELLFEFMDGGSLENHRISIEPLLAEVARQLLSALAYLHSRKIVHRDIKPANILIDNHGFFKLADFGISRMVSPTTMGCKTSCGTISYLSPERLDKEGVYDGFASDIWSFGITLLECYLGYFPFGEDVKNDGSMMFAIC >DRNTG_26782.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3442786:3448815:1 gene:DRNTG_26782 transcript:DRNTG_26782.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylose isomerase [Source:Projected from Arabidopsis thaliana (AT5G57655) UniProtKB/TrEMBL;Acc:A0A178UDJ9] MSLKMKLNGFLLSLFLLGVILINVDASAKGSCSANTKSQFGSSSYEWNGEFFPGIPKIKYEGPNSKNPLAYKWYNADEEILGKKMKDWLRFSVAFWHTFRGTGADPFGAPTKAWPWEDGTNSMAMAKRRMRAHFEFITKLGVERWCFHDRDIAPDGKTLAESNANLDEIIELAAELQKGTKILPLWGTAQLFMHPRYMHGAATSSELGAYVYAAAQVKKAMEVTHYLGGENFVFWGGREGYQTLLNTDMKRELDHLARFLQAAVDWKKKIGFNGTLLIEPKPQEPTKHQYDWDAATTLSFLQKYGLSGEFKLNIECNHATLSGHSCHHELETARINGLLGNIDANTGDPQIGWDTDQFLTDIGEATLIMRSVIRNEGLAPGGFNFDAKLRRESTDVTDMFIAHISGMDTLARGLRNAAKLIEDGTLDELIRKRYESFDSEIGSQIEKGKADFATLEKKAMEWGEPSVASGKQELAEMIFQSAL >DRNTG_26782.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3442243:3448815:1 gene:DRNTG_26782 transcript:DRNTG_26782.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylose isomerase [Source:Projected from Arabidopsis thaliana (AT5G57655) UniProtKB/TrEMBL;Acc:A0A178UDJ9] MSLKMKLNGFLLSLFLLGVILINVDASAKGSCSANTKSQFGSSSYEWNGEFFPGIPKIKYEGPNSKNPLAYKWYNADEEILGKKMKDWLRFSVAFWHTFRGTGADPFGAPTKAWPWEDGTNSMAMAKRRMRAHFEFITKLGVERWCFHDRDIAPDGKTLAESNANLDEIIELAAELQKGTKILPLWGTAQLFMHPRYMHGAATSSELGAYVYAAAQVKKAMEVTHYLGGENFVFWGGREGYQTLLNTDMKRELDHLARFLQAAVDWKKKIGFNGTLLIEPKPQEPTKHQYDWDAATTLSFLQKYGLSGEFKLNIECNHATLSGHSCHHELETARINGLLGNIDANTGDPQIGWDTDQFLTDIGEATLIMRSVIRNEGLAPGGFNFDAKLRRESTDVTDMFIAHISGMDTLARGLRNAAKLIEDGTLDELIRKRYESFDSEIGSQIEKGKADFATLEKKAMEWGEPSVASGKQELAEMIFQSAL >DRNTG_26782.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3447162:3448299:1 gene:DRNTG_26782 transcript:DRNTG_26782.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylose isomerase [Source:Projected from Arabidopsis thaliana (AT5G57655) UniProtKB/TrEMBL;Acc:A0A178UDJ9] MFIAHISGMDTLARGLRNAAKLIEVKSHVLSTKFLT >DRNTG_26782.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3442786:3448815:1 gene:DRNTG_26782 transcript:DRNTG_26782.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylose isomerase [Source:Projected from Arabidopsis thaliana (AT5G57655) UniProtKB/TrEMBL;Acc:A0A178UDJ9] MRTSCSSELGAYVYAAAQVKKAMEVTHYLGGENFVFWGGREGYQTLLNTDMKRELDHLARFLQAAVDWKKKIGFNGTLLIEPKPQEPTKHQYDWDAATTLSFLQKYGLSGEFKLNIECNHATLSGHSCHHELETARINGLLGNIDANTGDPQIGWDTDQFLTDIGEATLIMRSVIRNEGLAPGGFNFDAKLRRESTDVTDMFIAHISGMDTLARGLRNAAKLIEDGTLDELIRKRYESFDSEIGSQIEKGKADFATLEKKAMEWGEPSVASGKQELAEMIFQSAL >DRNTG_23506.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:834532:837871:1 gene:DRNTG_23506 transcript:DRNTG_23506.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoserine phosphatase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G18640) UniProtKB/Swiss-Prot;Acc:O82796] MAAAGSAQLCFILPVCDSHRSALPMLTLRSFPKYPIRLSFTTTRLYKPPAIMAGSLHSSKEVSSTHLDNTLPTKDVLEIWRKAEAVCFDVDSTVCVDEGIDELADFCGAGQAVAEWTSKAMNGSVPFEEALAARLSLFNPSLSQINDFLEKRPPRISPGIAELILKLQANDTEVYLISGGFRQMINPVSVKLGIPLENIFANQLLFGSNGEFLGFDTSEPTSRSGGKATAVEDIRKKHGYKSMVMIGDGATDLEAKRPGGADLFICYGGVQLREAVAAKADWLVFQFPGLVKLFGMIPICCSLYVATFVFLCAIHVIIHISNKCS >DRNTG_23506.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:833903:837871:1 gene:DRNTG_23506 transcript:DRNTG_23506.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoserine phosphatase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G18640) UniProtKB/Swiss-Prot;Acc:O82796] MLTLRSFPKYPIRLSFTTTRLYKPPAIMAGSLHSSKEVSSTHLDNTLPTKDVLEIWRKAEAVCFDVDSTVCVDEGIDELADFCGAGQAVAEWTSKAMNGSVPFEEALAARLSLFNPSLSQINDFLEKRPPRISPGIAELILKLQANDTEVYLISGGFRQMINPVSVKLGIPLENIFANQLLFGSNGEFLGFDTSEPTSRSGGKATAVEDIRKKHGYKSMVMIGDGATDLEAKRPGGADLFICYGGVQLREAVAAKADWLVFQFPGLVKLFGMIPICCSLYVATFVFLCAIHVIIHISNKCS >DRNTG_31963.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8595231:8596225:1 gene:DRNTG_31963 transcript:DRNTG_31963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDLKSVDRSRTPWVIFTGHRPMYSSQDGILPSVDSDFVEAVEPLLMDNKVDLVLFGHVHNYERTCAVFDGDCKGMPTKDEDGTDTYNSSNYTAPVQVVIGMAGFTLDEFPQDVNNSWSLKRISEFGYARLHATKNDLKLEFVNSETREVRDSFHLVRGK >DRNTG_09390.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000398.1:7917:10217:-1 gene:DRNTG_09390 transcript:DRNTG_09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDASFLLEFLRNYCDVEGKAFRRVPSMSHMVDSKRIKFAYNSIIKDVVMLENQIPLFLLRRILCFQSLSEEEADAELSKMLVGFVTEVSPFKLLEGSKCIDVKKHAHLLGLLYCMTVPRNEEDQEIDNEIDHIGSIDGLDIDENPKEDFGDDSCIKNLLTETWSSITQGEGMVVEFVKKVLVGKPMQFLSKLPLGSVIKGSLLSLLHFRFGDKNDDKDSSNSINKPPLVEEIMVPSVTELVGAGVKFLATTQDLTTIKFDVKTATFYLPEITLDCNTEVVLRNLVAYESSVEAGPMVFTRYTELINGIIDTEDDVKLLRKCKVIKNRMKSDKEVADLWNEMMKSVRLTKVPFIDKALEDVNKYYNGRMSVKLGKFMKKYVLGSWQILTLLAAVLLLMLTCVQAFCTVYNCRLGWL >DRNTG_04013.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29219659:29225284:-1 gene:DRNTG_04013 transcript:DRNTG_04013.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRSFSKHSVGSNSKDDSNLLKYNSEGAEFAICEQNLNSSSRSNSEDLEFETLTEQINESTDVEKKLVLARWLYEPDEMDRIGASHFRKIYACSCGKLEMLSGVNYIELSICGESFMLHQIRKMVGTAVAVKRRLLPHDLIEMSLAKFSRIVLPLAPSEVLVLRGNSFSIRDRPGNIIRSEIKRMEESEEIRRSVDEFYYSILLPQICNFLDPSKSPWKEWVDNLDTHTSIPDMQLGEVRQAWKLWKERFVERKIVGVAIVDHKLSAGQMCG >DRNTG_04013.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29219659:29225284:-1 gene:DRNTG_04013 transcript:DRNTG_04013.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGALRLPVAPLLFPNPRFRIPTSRSLLRGSGSIRVFCSSSPSSQTSEIEERRWGPFRKKKVVMRVGYVGTDYRGLQMQRDVHALSTIEAELESAIYKSGGILDSNYGNLHKIGWARSSRTDKGVHSLATTISLKLEIPSHAWKDDPAGTLLASYVNSNLPRNIKVFSILPSQRSFDPRRECNVRMYSYLLPGEVIGIKNDSSAAEIDGHISEFNAILNKFEGEHPFHNYTIRSKYRKQPSGHMSRRRSFSKHSVGSNSKDDSNLLKYNSEGAEFAICEQNLNSSSRSNSEDLEFETLTEQINESTDVEKKLVLARWLYEPDEMDRIGASHFRKIYACSCGKLEMLSGVNYIELSICGESFMLHQIRKMVGTAVAVKRRLLPHDLIEMSLAKFSRIVLPLAPSEVLVLRGNSFSIRDRPGNIIRSEIKRMEESEEIRRSVDEFYYSILLPQICNFLDPSKSPWKEWVDNLDTHTSIPDMQLGEVRQAWKLWKERFVERKIVGVAIVDHKLSAGQMCG >DRNTG_04013.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29220662:29223834:-1 gene:DRNTG_04013 transcript:DRNTG_04013.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRSFSKHSVGSNSKDDSNLLKYNSEGAEFAICEQNLNSSSRSNSEDLEFETLTEQINESTDVEKKLVLARWLYEPDEMDRIGASHFRKIYACSCGKLEMLSGVNYIELSICGESFMLHQIRKMVGTAVAVKRRLLPHDLIEMSLAKFSRIVLPLAPSEVLVLRGNSFSIRDRPGNIIRSEIKRMEESEEIRRSVDEFYYSILLPQICNFLDPSKSPWKEWVDNLDTHTSIPDMQLGEVRQAWKLWKERFVERKIVGVAIVDHKLSAGQMCG >DRNTG_04013.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29219659:29225284:-1 gene:DRNTG_04013 transcript:DRNTG_04013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYLLPGEVIGIKNDSSAAEIDGHISEFNAILNKFEGEHPFHNYTIRSKYRKQPSGHMSRRRSFSKHSVGSNSKDDSNLLKYNSEGAEFAICEQNLNSSSRSNSEDLEFETLTEQINESTDVEKKLVLARWLYEPDEMDRIGASHFRKIYACSCGKLEMLSGVNYIELSICGESFMLHQIRKMVGTAVAVKRRLLPHDLIEMSLAKFSRIVLPLAPSEVLVLRGNSFSIRDRPGNIIRSEIKRMEESEEIRRSVDEFYYSILLPQICNFLDPSKSPWKEWVDNLDTHTSIPDMQLGEVRQAWKLWKERFVERKIVGVAIVDHKLSAGQMCG >DRNTG_04013.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29219659:29223834:-1 gene:DRNTG_04013 transcript:DRNTG_04013.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYLLPGEVIGIKNDSSAAEIDGHISEFNAILNKFEGEHPFHNYTIRSKYRKQPSGHMSRRRSFSKHSVGSNSKDDSNLLKYNSEGAEFAICEQNLNSSSRSNSEDLEFETLTEQINESTDVEKKLVLARWLYEPDEMDRIGASHFRKIYACSCGKLEMLSGVNYIELSICGESFMLHQIRKMVGTAVAVKRRLLPHDLIEMSLAKFSRIVLPLAPSEVLVLRGNSFSIRDRPGNIIRSEIKRMEESEEIRRSVDEFYYSILLPQICNFLDPSKSPWKEWVDNLDTHTSIPDMQLGEVRQAWKLWKERFVERKIVGVAIVDHKLSAGQMCG >DRNTG_14108.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20678069:20679230:1 gene:DRNTG_14108 transcript:DRNTG_14108.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRNTLILLIILSILLQQTKHAGSISVNYGLNGDNLPNPATVVQLFNSHGIRKLRLFAPNPQVQQSTRRHETYMYLLE >DRNTG_14108.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20678069:20679230:1 gene:DRNTG_14108 transcript:DRNTG_14108.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRNTLILLIILSILLQQTKHAGSISVNYGLNGDNLPNPATVVQLFNSHGIRKLRLFAPNPQVQQSTRRHETYMYLLE >DRNTG_14108.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20678069:20679230:1 gene:DRNTG_14108 transcript:DRNTG_14108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRNTLILLIILSILLQQTKHAGSISVNYGLNGDNLPNPATVVQLFNSHGIRKLRLFAPNPQVQQSTRRHETYMYLLE >DRNTG_14108.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20678447:20679230:1 gene:DRNTG_14108 transcript:DRNTG_14108.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRNTLILLIILSILLQQTKHAGSISVNYGLNGDNLPNPATVVQLFNSHGIRKLRLFAPNPQVQQSTRRHETYMYLLE >DRNTG_14108.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20678069:20679230:1 gene:DRNTG_14108 transcript:DRNTG_14108.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRNTLILLIILSILLQQTKHAGSISVNYGLNGDNLPNPATVVQLFNSHGIRKLRLFAPNPQVQQSTRRHETYMYLLE >DRNTG_12174.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12644968:12645676:1 gene:DRNTG_12174 transcript:DRNTG_12174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSLSFFSPKWSPPSPTTMPPISISKPQSSISSLSKKDAAMGLVLSAATGTGWTTGSNMEGPSSPAAFESGSPDQTVSTFSWSHFNKSPRRRMRVAFTDITLYSCCLFLFVAGGLANCGCSE >DRNTG_06375.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19458786:19462486:-1 gene:DRNTG_06375 transcript:DRNTG_06375.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVGSRNGPRVFLTGCRVSKAVLNARRRGFLNNAFADLYDAGIKERILAARRQLYELKARYIELYARWHGRVDNGLMQLFQRDDDFYSNADAMEAIFALEREVNEFEAYSIEQMNLIRNEMDALTRRVLEASRHHVPAAGQATKDDIQAKEQLTLDNSSDISSLATVEQIEPGTDVPLKTQKVTKRRRDEASKKTRKRRKIKKSDAKGKNHSN >DRNTG_06375.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19458786:19462486:-1 gene:DRNTG_06375 transcript:DRNTG_06375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVGSRNGPRVFLTGCRVSKAVLNARRRGFLNNAFADLYDAGIKERILAARRQLYELKARYIELYARWHGRVDNGLMQLFQRDDDFYSNADAMEAIFALEREVNEFEAYSIEQMNLIRNEMDALTRRVLEASRHHVPAAGQATKDDIQAKEQLTLDNSSDISSLATVEQIEPGTDVPLKTQKVTKRRRDEASKKTRKRRKIKKSDAKGKNHSN >DRNTG_06375.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19458786:19462051:-1 gene:DRNTG_06375 transcript:DRNTG_06375.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVGSRNGPRVFLTGCRVSKAVLNARRRGFLNNAFADLYDAGIKERILAARRQLYELKARYIELYARWHGRVDNGLMQLFQRDDDFYSNADAMEAIFALEREVNEFEAYSIEQMNLIRNEMDALTRRVLEASRHHVPAAGQATKDDIQAKEQLTLDNSSDISSLATVEQIEPGTDVPLKTQKVTKRRRDEASKKTRKRRKIKKSDAKGKNHSN >DRNTG_32404.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17668245:17668618:-1 gene:DRNTG_32404 transcript:DRNTG_32404.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLLRRCGPGAYILAIAAPALETLWHSYAGMMARLDIILQLLKRDASSPFIRRPRTPPTSPPLFLPAIFDLAPTAPAEPTADDTGI >DRNTG_26151.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29351982:29357936:1 gene:DRNTG_26151 transcript:DRNTG_26151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSRSYRPPPPPDHRSHHRDPYVSSSTATATATGIYHHSTADNDFSRKHRRFIANDGAVPDAPSSKRRRSAPVWDAVAAKNYRDAVASNAPSTSSSALSHMRSHAATEDTMFLSRDEIERCSPSRRDGIDLMRETHLRYSYCWYIQNLGMRLQLPQTTIGTAMVLCHRFYVRRSHAGNDRFLIATAALFLAAKSEETPCPLNSVLRASCEICNRQEFAFFPYLLHGQDWFEQYRERVIEAEQIILTTLDFELTVEHPYDPLASVLNKLGLSQTLLLNIAWNLISEGWVSCLYNYIIVI >DRNTG_26151.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29351982:29357842:1 gene:DRNTG_26151 transcript:DRNTG_26151.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSRSYRPPPPPDHRSHHRDPYVSSSTATATATGIYHHSTADNDFSRKHRRFIANDGAVPDAPSSKRRRSAPVWDAVAAKNYRDAVASNAPSTSSSALSHMRSHAATEDTMFLSRDEIERCSPSRRDGIDLMRETHLRYSYCWYIQNLGMRLQLPQTTIGTAMVLCHRFYVRRSHAGNDRFLIATAALFLAAKSEETPCPLNSVLRASCEICNRQEFAFFPYLLHGQDWFEQYRERVIEAEQIILTTLDFELTVEHPYDPLASVLNKLGLSQTLLLNIAWNLISEGAFSRIMCSPM >DRNTG_26151.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29351982:29357842:1 gene:DRNTG_26151 transcript:DRNTG_26151.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSRSYRPPPPPDHRSHHRDPYVSSSTATATATGIYHHSTADNDFSRKHRRFIANDGAVPDAPSSKRRRSAPVWDAVAAKNYRDAVASNAPSTSSSALSHMRSHAATEDTMFLSRDEIERCSPSRRDGIDLMRETHLRYSYCWYIQNLGMRLQLPQTTIGTAMVLCHRFYVRRSHAGNDRFLIATAALFLAAKSEETPCPLNSVLRASCEICNRQEFAFFPYLLHGDWFEQYRERVIEAEQIILTTLDFELTVEHPYDPLASVLNKLGLSQTLLLNIAWNLISEGWVSCLYNYIIVI >DRNTG_26151.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29351982:29357842:1 gene:DRNTG_26151 transcript:DRNTG_26151.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSRSYRPPPPPDHRSHHRDPYVSSSTATATATGIYHHSTADNDFSRKHRRFIANDGAVPDAPSSKRRRSAPVWDAVAAKNYRDAVASNAPSTSSSALSHMRSHAATEDTMFLSRDEIERCSPSRRDGIDLMRETHLRYSYCWYIQNLGMRLQLPQTTIGTAMVLCHRFYVRRSHAGNDRFLIATAALFLAAKSEETPCPLNSVLRASCEICNRQEFAFFPYLLHGQDWFEQYRERVIEAEQIILTTLDFELTVEHPYDPLASVLNKLGLSQTLLLNIAWNLISEGLRSSLWLQFKPHHIAAGAAFVAAKFLNFDLASYQSIWREFETTPSILQDVMQQLMELFY >DRNTG_34840.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28968330:28969259:-1 gene:DRNTG_34840 transcript:DRNTG_34840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSMAAALPLSSSLSIVSRGPSHSVPLSTNLSFSNHTANSKRQGMLNIRCVRVGGVEIPNNKRVEFSLQYIHGIGRSRSRQILCDLSLDNKITKDLSDDELISLREEVSKYMIEGDLRRFNRLAIQRLKEIRCYRGIRHEMGLPCRGQRTKNNCRTLKGKRVAVAGKKKSR >DRNTG_03558.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14430117:14438644:-1 gene:DRNTG_03558 transcript:DRNTG_03558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMGAVDPQLEDLFKEKKSPRNPLVLVGAFVTAGVLTMGLISFKRGNSQLGQKLMRARVVAQGATVALMVGSAYFYGEKLKDSKKQN >DRNTG_25431.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24687523:24690416:-1 gene:DRNTG_25431 transcript:DRNTG_25431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALHNVNSEAGLRKLDDFLLSRSYVTGCQASKDDLSVFAALSSVPSSEYVNVSRWYNHIDALLKLTGVNAEGKGVTIEGSASTVEEAPASPAITDKKAPAVDDDDDDDDDDDVDLFGEETEEETKAAAERAAAIKSSGKKESGKSSVLLDVKPWDDETDMKKLEEAVRSVKMEGLLWGASRLIPVGYGIKKLQIMMTIVDDLVSVDSLIEEHLTVEPANEYIQSCDIVAFNKI >DRNTG_08476.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7439540:7440818:1 gene:DRNTG_08476 transcript:DRNTG_08476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLGRGGPSGLELTIAVPGMSSSSGSEGGVGVGGGCIMRDLDMNYPPAAEMEEEYPMGSVEDEDEGGCGGGGRPKKLRLSKEQSRLLEESFRQNHTLNPKQKEALATRLKLRPRQVEVWFQNRRARTKLKQTEMECEYLKRWFGSLTEENRRLQREVEELRALRMAPPTVLSPHTREPMPASALTMCPRCERVTTASGSSQRHHAVRPGVAAFQRSPASPFQPRQPSAAC >DRNTG_08476.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7439666:7440818:1 gene:DRNTG_08476 transcript:DRNTG_08476.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSDYLFAFIIVEGGVGVGGGCIMRDLDMNYPPAAEMEEEYPMGSVEDEDEGGCGGGGRPKKLRLSKEQSRLLEESFRQNHTLNPKQKEALATRLKLRPRQVEVWFQNRRARTKLKQTEMECEYLKRWFGSLTEENRRLQREVEELRALRMAPPTVLSPHTREPMPASALTMCPRCERVTTASGSSQRHHAVRPGVAAFQRSPASPFQPRQPSAAC >DRNTG_13114.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17361862:17363834:1 gene:DRNTG_13114 transcript:DRNTG_13114.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRNITEYQQPELDLRPQSERTRMFGSATTENMCTWLGLAPPSWVSQEWRCTLNLLVKRSSKIQASRENRN >DRNTG_06324.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6920499:6929283:1 gene:DRNTG_06324 transcript:DRNTG_06324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGQRRREKNYLAAHGAASRLPPPPNPKELEAIPSKLRKLMLFKNPPPSGAGSSPGDAPPEKRKAIAAVDMKWKKKDSKTMDVKEQASNLHMSDKGATTDASLNEKSKRKRKRKAVSDLRFLNEVATPSKKKDRKKEFLQARKKKHKKANTNDETDFPAREEIKFGDVVQAPPKLSFTKLPMASMDASRERFRLEAIEAYRNQRNWVSRPGTKLPSLAEVP >DRNTG_06737.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5275463:5280356:-1 gene:DRNTG_06737 transcript:DRNTG_06737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPSKECRMMLPLVEGHFLVLEPFLPWWWISPLIDQTLETFCSPRMLPPKISSFFLFSSANDVTDEIVDEYMQEMFNPDSYEDLFDQEEDNEEVMMLGSTEELPSTSRILKKMLQKLKRARRSHRKCSKAVGDVREQEKLDEPLLGGPKPDN >DRNTG_27196.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:525627:526058:1 gene:DRNTG_27196 transcript:DRNTG_27196.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRIKTYGDLLLRFPLQVFAASTIDFCKAFARASSKVCINKQHYGRRAKETGRHSNNGKIGRKNRSQFNIRRCR >DRNTG_06851.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4007483:4008800:-1 gene:DRNTG_06851 transcript:DRNTG_06851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKQMEMKSYFIGTILLLIIAAPSVALTPPALFVYGDSLVDVGNNNYLPDPAPKANFPFHGIDYPGSISTGRFGNGYIGPDYLGRRNNIIGKASYVFSRGGKELIKKSWQFDC >DRNTG_02404.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000113.1:51500:55322:1 gene:DRNTG_02404 transcript:DRNTG_02404.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRKLPLVTCFHIKRFEHSSIRKMSRKVDRYLQFPFSLDMAPYSSSSILRSRFGNRMFAFDGDESDESTEFASEFELFAVVTHSGKLDAGHYVTFLRLNNLWYKCDDAWITHVSENIVRASQAYMLFYVQRKLYYKASEHAAPS >DRNTG_02404.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000113.1:53624:55322:1 gene:DRNTG_02404 transcript:DRNTG_02404.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGIHEKVDEHKPASPGNEECCIAHRVFSGILRSDVTCTICGFTSTTYDPCVDISLDLDSNHSSIKVASSKSHASNGETQSISGSQNFDISTLRGCLERFTRPERLGSDQKFFCQHCQVRQESLKQMSIRKLPLVTCFHIKRFEHSSIRKMSRKVDRYLQFPFSLDMAPYSSSSILRSRFGNRMFAFDGDESDESTEFASEFELFAVVTHSGKLDAGHYVTFLRLNNLWYKCDDAWITHVSENIVRASQAYMLFYVQRKLYYKASEHAAPS >DRNTG_02404.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000113.1:51500:54926:1 gene:DRNTG_02404 transcript:DRNTG_02404.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVLQALLHTPPLRNYFLSDRHNRYVCQQNGRKKRGGNADGGESRVCLACDLDAMYSAVFSDERLPYSPARFLYSWWQHASNLASYEQQDAHEFFISMLDGIHEKVDEHKPASPGNEECCIAHRVFSGILRSDVTCTICGFTSTTYDPCVDISLDLDSNHSSIKVASSKSHASNGETQSISGSQNFDISTLRGCLERFTRPERLGSDQKFFCQHCQVRQESLKQMSIRKLPLVTCFHIKRFEHSSIRKMSRKVDRYLQFPFSLDMAPYSSSSILRSRFGNRMFAFDGDESDESTEFASEFELFAVVTHSGKLDAGHYVTFLRLNNLWYKCDDAWITHVSENIVRASQAYMLFYVQRKLYYKASEHAAPS >DRNTG_24411.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001301.1:144853:149499:1 gene:DRNTG_24411 transcript:DRNTG_24411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTIFYDRASEIKAFDETKAGVKGLVDAGTTEVPRFFIHPTETIISASTTDSPAANWRDTLLIHMAPDPPEKEELPHGFRNHPYTTTIHNSQKCALSRESNSHHSMRALSGEELIELISEALGLKPNHLNEMECAQGIVVACHCYPHCPQSHLALGTSIHSDLGFLTILLQDKSIGGLQIVHKNKWVDVPLSPGCLTVNIADLLQSEAPHRITVAATIKPGGTLHFVINPRGFTRAPLRVCMPLVEH >DRNTG_18967.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10770001:10773125:-1 gene:DRNTG_18967 transcript:DRNTG_18967.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAT1 [Source:Projected from Arabidopsis thaliana (AT4G21120) UniProtKB/TrEMBL;Acc:A0A178UYU0] MGVDSTGMATTAGKKRRGCLCNKDDFLPEESFKSWETYGKALGETVMRLRDRLTSRSLEQTELTEVRARSGHEMKRNLSWWDLIWFGIGAVIGAGIFVLTGQEAKTEAGPAVVLSFVVSGISAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFIAAGNILLEYVIGGAAVARSWTSYFATLLNHEPNDFRIHATSLAADYSRLDPIAVAVITIICAVAVISTKATSRFNYIASIVHILIIIFIVVAGLTKAKVDNLTPFTPFGARGIFSASAVLFFAYVGFDAVSTMAEETKNPAKDIPIGLVGAMSITTLAYCLLALTLCLMQPYQKIDADAPFSVAFQAVGMDWAKYIVAFGALKGMTTVLLVSAVGQARYLTHIARTHMVPPWFANVHERTGTPINATVVMLIATAVIAFFTSLGILSNLLSISTLFIFMLVAVALLVRRYYVSGETSVVNRNKLVVCLVLILGSSVATAMYWGLSKKGWVAYVVTVPMWFLATLYLAVFVPKARAPKLWGVPLVPWLPSASIAINIFLLGSIDAKSFMRFGAWTVFLLVYYFFIGLHASYDTAKGSEMVEKVK >DRNTG_11454.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12478746:12480558:-1 gene:DRNTG_11454 transcript:DRNTG_11454.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTTAVWAVFAAAAAVIYWFVWVMGAAEVKGKRAVDLKMGSITSDKVQDNYKQYWSFFRRPKETAGDSTNVPAFVDTFYNLVTDIYEWGWGQSFHFSPSIPNLSHRDATRIHEERAADLINARPGLKVLDVGCGVGGPMRAIASHSRANITGITINEYQVARARAHNRKAGLDSICDVVCGNFLSMPFSDASFDAAYSIEATCHAPKLQDVYREIYRVLKPGALYVSYEWVTTPLFRADNEEHVEIIHGIERGDALPGLRSHDEIAVIANEIGFEVLEEKDLAKPPAGPWWTRLKMGKIAYWRNHLLVSALTMLRIAPKGVVDVHEMLYETAGHLTRGGETGIFTPMHMILCRKPSTATNVAAADE >DRNTG_19660.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2932222:2932994:1 gene:DRNTG_19660 transcript:DRNTG_19660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVCISPLEEGREEEGKSEEMNDKGGHGLKRERKIEVNDEIRISDDKHALV >DRNTG_19660.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2932376:2933135:1 gene:DRNTG_19660 transcript:DRNTG_19660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVCISPLEEGREEEGKSEEMNDKGGHGLKRERKIEVNDEIRISDDKHALVAW >DRNTG_19660.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2932376:2932935:1 gene:DRNTG_19660 transcript:DRNTG_19660.11 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQQDIEEQLVGSPAEQHRHSRHC >DRNTG_19660.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2932376:2932994:1 gene:DRNTG_19660 transcript:DRNTG_19660.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVCISPLEEGREEEGKSEEMNDKGGHGLKRERKIEVNDEIRISDDKHALV >DRNTG_19660.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2932285:2933135:1 gene:DRNTG_19660 transcript:DRNTG_19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVCISPLEEGREEEGKSEEMNDKGGHGLKRERKIEVNDEIRISDDKHALVAW >DRNTG_19660.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2932285:2932935:1 gene:DRNTG_19660 transcript:DRNTG_19660.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESSYCCLCSVHQLHIVCIQSTQQDGTCLHKSLGGRKRGRRKE >DRNTG_19660.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2932376:2932935:1 gene:DRNTG_19660 transcript:DRNTG_19660.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESSYCCLCSVHQLHIVCIQSTQQDGTCLHKSLGGRKRGRRKE >DRNTG_19660.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2932285:2932994:1 gene:DRNTG_19660 transcript:DRNTG_19660.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVCISPLEEGREEEGKSEEMNDKGGHGLKRERKIEVNDEIRISDDKHALV >DRNTG_19660.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2932222:2932935:1 gene:DRNTG_19660 transcript:DRNTG_19660.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESSYCCLCSVHQLHIVCIQSTQQDGTCLHKSLGGRKRGRRKE >DRNTG_19660.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2932376:2933057:1 gene:DRNTG_19660 transcript:DRNTG_19660.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVCISPLEEGREEEGKSEEMNDKGGHGLKRERKIEVNDEIRISDDKHALVAW >DRNTG_19660.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2932285:2933057:1 gene:DRNTG_19660 transcript:DRNTG_19660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVCISPLEEGREEEGKSEEMNDKGGHGLKRERKIEVNDEIRISDDKHALVAW >DRNTG_04365.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000204.1:1412:44848:-1 gene:DRNTG_04365 transcript:DRNTG_04365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAFTSLVSFLRTPLDSSSVDVAVFCLSLMGTNFSSYLQEANRVHKPCGWLLIAEVRSRFDPNNGGADPDTFCEAVCKLGYTSVSKDLKNNMFLLFYFKK >DRNTG_33601.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002024.1:26937:27193:1 gene:DRNTG_33601 transcript:DRNTG_33601.1 gene_biotype:protein_coding transcript_biotype:protein_coding HGVLLLFESEFETKLLLRRIDGAIQVRSNVDLTFYS >DRNTG_30474.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21157585:21166403:1 gene:DRNTG_30474 transcript:DRNTG_30474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVLSRSERDLYGPKYEMEDLKDANENLATKLSKVTNELEELKLKMKKDIFEQSGSNEDLAMNHPKKRALAIRGFPPSLAVYLKPVDSPSNGRDSFTIKNVQIFDTENVEPPIDNNQISIATIEQLLSFDPSIIKVAKPIWPYVFC >DRNTG_04565.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:7741908:7746421:1 gene:DRNTG_04565 transcript:DRNTG_04565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSSVLWSSPGINAHNLNHFPLLPAASSPTHSPYSTKIKTLLACKHTHYCRSLLRDFGPCKAKNREGFNEELQVQEDGDPVRRIALQAALWSAEAAYILWLFLLPYAPGDPVWSIKPETINDLLGLSLNFFFVVPILNSDLSKYGGGVKDYG >DRNTG_18125.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:496007:496576:-1 gene:DRNTG_18125 transcript:DRNTG_18125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRSENSDDISNCSMSSHSSWSRTSPMSVTRSSEKRPRMGGPMTTPRQE >DRNTG_13605.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000625.1:10286:11760:1 gene:DRNTG_13605 transcript:DRNTG_13605.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPVQGNHCSWLHSPPSSSRASRLWEKADMESLELIAILYECEVLRLFDFSFGTCLESGMVDLRFVDHVLKDIHDSLMHLLGSIIEGFALELVVLGRTISEYA >DRNTG_05696.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1712419:1712653:1 gene:DRNTG_05696 transcript:DRNTG_05696.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTKRSSSDKIHDRSSLRLELSENRGETRTGSRWKPKARARRRRRRRPAGPRRRAQEKKERNRKKKETSPKK >DRNTG_31888.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4563068:4565555:1 gene:DRNTG_31888 transcript:DRNTG_31888.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHLIRFSADAFGLVTIALVSIAAFLGLLCIYSSIYFQLWIRSRHYLQLSYFNGPWFTRIVLILVAIWWGFGEIVRLTLLKGDERLFTSIRCQKDICKFYIISNLGFAEPCMFLMITFLIHASLQKRESGTLSPRWNRKTVSYVLFCSLPVFIMQLCIVVIGPRFTVQNGNLKTMVAKFVLSSSMNEQVSVCTYPLGSIVILGLFYTILVFYISCVGAKVVSLVINKGLQRRIYLLIVSVMVLLPMRVLLLASSVLPRKGHLFFESLIFTSFLAVLLCVLIGISMLVYFPISDSLALGDLGNVGLEDMPYDDYFRDGNGGAPLVAHQNHQVTWRNNPDSFTKHVSISFHPSIKDEPLASGSEDFNSVPMK >DRNTG_31888.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4563068:4565803:1 gene:DRNTG_31888 transcript:DRNTG_31888.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLIRFSADAFGLVTIALVSIAAFLGLLCIYSSIYFQLWIRSRHYLQLSYFNGPWFTRIVLILVAIWWGFGEIVRLTLLKGDERLFTSIRCQKDICKFYIISNLGFAEPCMFLMITFLIHASLQKRESGTLSPRWNRKTVSYVLFCSLPVFIMQLCIVVIGPRFTVQNGNLKTMVAKFVLSSSMNEQVSVCTYPLGSIVILGLFYTILVFYISCVGAKVVSLVINKGLQRRIYLLIVSVMVLLPMRVLLLASSVLPRKGHLFFESLIFTSFLAVLLCVLIGISMLVYFPISDSLALGDLGNVGLEDMPYDDYFRDGNGGAPLVAHQNHQVTWRNNPDSFTKHVSISFHPSIKDEPLASGSEDFNSVPMK >DRNTG_31888.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4563025:4565555:1 gene:DRNTG_31888 transcript:DRNTG_31888.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLIRFSADAFGLVTIALVSIAAFLGLLCIYSSIYFQLWIRSRHYLQLSYFNGPWFTRIVLILVAIWWGFGEIVRLTLLKGDERLFTSIRCQKDICKFYIISNLGFAEPCMFLMITFLIHASLQKRESGTLSPRWNRKTVSYVLFCSLPVFIMQLCIVVIGPRFTVQNGNLKTMVAKFVLSSSMNEQVSVCTYPLGSIVILGLFYTILVFYISCVGAKVVSLVINKGLQRRIYLLIVSVMVLLPMRVLLLASSVLPRKGHLFFESLIFTSFLAVLLCVLIGISMLVYFPISDSLALGDLGNVGLEDMPYDDYFRDGNGGAPLVAHQNHQVTWRNNPDSFTKHVSISFHPSIKDEPLASGSEDFNSVPMK >DRNTG_31888.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4563068:4565555:1 gene:DRNTG_31888 transcript:DRNTG_31888.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHLIRFSADAFGLVTIALVSIAAFLGLLCIYSSIYFQLWIRSRHYLQLSYFNGPWFTRIVLILVAIWWGFGEIVRLTLLKGDERLFTSIRCQKDICKFYIISNLGFAEPCMFLMITFLIHASLQKRESGTLSPRWNRKTVSYVLFCSLPVFIMQLCIVVIGPRFTVQNGNLKTMVAKFVLSSSMNEQVSVCTYPLGSIVILGLFYTILVFYISCVGAKVVSLVINKGLQRRIYLLIVSVMVLLPMRVLLLASSVLPRKGHLFFESLIFTSFLAVLLCVLIGISMLVYFPISDSLALGDLGNVGLEDMPYDDYFRDGNGGAPLVAHQNHQVTWRNNPDSFTKHVSISFHPSIKDEPLASGSEDFNSVPMK >DRNTG_22143.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5818182:5820499:-1 gene:DRNTG_22143 transcript:DRNTG_22143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIPGPLVWEIVKKNNAFLVKQFGNGNAKVQFSKEPNNLYNVHSYKHSGLVNKKSVSIQPGGEGLSVTLATTKTKKQNKPSSLYNRSVMKKEFRKMAKAVTNQVTDNFYRPDLTKAALARLSAVHRSLKVSKSGVKKRNRQALKK >DRNTG_25808.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2641776:2645552:-1 gene:DRNTG_25808 transcript:DRNTG_25808.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:purine permease 5 [Source:Projected from Arabidopsis thaliana (AT2G24220) TAIR;Acc:AT2G24220] MDLDASQHSNTRSGTTLEESRPALSWQGQITAFANLVWETYKKKPISYWIQLFLSSSAMLTAFPASSLLSRLYFADGGKSKWIISWTAVAGFLSAADNLMFAWAYAYLPASTASLLASTSLVFSALFGYFIVKNQLNLSSINAIVLITIGAVIIALDSSSDRFPGISKTQYNLGFLWDILGSALHGLIFALSELVFMKLLGRRSFHVVLEQQVMVSFFGFVFTTIGLVINNDFNGMRLEASSFKHGGGSYSMVIIWATITFQLGILGSVAILFLSSTVLAGVLNAVRVPLTSIAAVILFHDPMSSFKIISLILTVWGFGSYIVGHSSSSSSK >DRNTG_25808.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2641776:2645457:-1 gene:DRNTG_25808 transcript:DRNTG_25808.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:purine permease 5 [Source:Projected from Arabidopsis thaliana (AT2G24220) TAIR;Acc:AT2G24220] MLTAFPASSLLSRLYFADGGKSKWIISWTAVAGFLSAADNLMFAWAYAYLPASTASLLASTSLVFSALFGYFIVKNQLNLSSINAIVLITIGAVIIALDSSSDRFPGISKTQYNLGFLWDILGSALHGLIFALSELVFMKLLGRRSFHVVLEQQVMVSFFGFVFTTIGLVINNDFNGMRLEASSFKHGGGSYSMVIIWATITFQLGILGSVAILFLSSTVLAGVLNAVRVPLTSIAAVILFHDPMSSFKIISLILTVWGFGSYIVGHSSSSSSK >DRNTG_16512.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000822.1:1682:4629:-1 gene:DRNTG_16512 transcript:DRNTG_16512.1 gene_biotype:protein_coding transcript_biotype:protein_coding GEAPSKGKETTNQCARLHTRQHVLF >DRNTG_34968.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15676356:15683022:1 gene:DRNTG_34968 transcript:DRNTG_34968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFPRKKSKRTTKCSKCQQKGHNKRTCDRHNKIIMPLIEEETLQGKRLLEYLQEFNSLEIETEYPIQEHVMMEAESSNRQASTAVPPTRVSGLPSFGPAVLMPHPIAQIPMGTNNARTTTRPYRYDLIPTLPSANIHTGSMLLLPEIAKWEETIRRWESNTITHVATKQFADNTDKNKTSKKNKDKMSKLQHTIMPILCRTKNALRNTFGTRGEL >DRNTG_31238.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001676.1:70490:72364:1 gene:DRNTG_31238 transcript:DRNTG_31238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVRRHHKKRPKVVGNAQEQNKVDEPLLSGVRGKPSSMSPRKRSPIDGIGAWTRTSERPCDGARPCGISAQGCVSRRDIEIFPEKTQGCVSRRHKTIAPVDDSTNKRMAWAIYAHPCDSVQRISPPFREDIGPCVCSYELPYQRSKKSRFMDLSVLRDVQRGDELADEIDELLAMGNWTRLLSIREPVISTLTLEVLASFKFD >DRNTG_07756.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19443755:19447265:1 gene:DRNTG_07756 transcript:DRNTG_07756.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRRDTHHSTLESSQGSQTPAKQHRNNKGTKNKTFTRRINSKVKDIQITVTELRTLVDPLHSYNAT >DRNTG_07756.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19443755:19444171:1 gene:DRNTG_07756 transcript:DRNTG_07756.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRRDTHHSTLESSQGSQTPAKQHRNNKGTKNKTFTRRINSKVKDIQITVTELRTLVDPLHSYNAT >DRNTG_11009.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29309222:29310052:-1 gene:DRNTG_11009 transcript:DRNTG_11009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAAVIQEGDVAIDGSIARPWSLCTVEEVEDLKTLICIVPLWTSTIFISVCIATQVSLSILQALNMDRSLGAHFSVPVGSFSVTASIATCLTLFILDRAIYPLCHRLTSYTPTSLQCVGIGQVFNIAAMAASALVEQRRSIIVHEHQAESQPNWIAPMSAFWLVLPYVFTGVGEAFHYPGQIAFYYQEFPESLKSTATGIIAVILSIGYYASTGLVAVVRQTTSWLPDNLNSSRLENVYWLLTVMTSINFAYYILCAKLHKYKRDKNVVVAANSE >DRNTG_28011.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20754941:20755652:-1 gene:DRNTG_28011 transcript:DRNTG_28011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKINIQALNSLQHLTYLDLSFNCFLNISIPKLLGSLETLVHLDLSYSCFTGVIPHELGNLTRLRYLNLATYGSSYKVDDAEWLSGLSSLRYLFMDYVNFSGVNNIMQSFNKLPHLKNVSLVDCRMNNIPESLPHLNFTSLTFMDIGFNMF >DRNTG_11221.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26316640:26317717:1 gene:DRNTG_11221 transcript:DRNTG_11221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDLKPRFIRFQVYNYFLAATACAYKFRNSTILNI >DRNTG_08264.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17591002:17600015:1 gene:DRNTG_08264 transcript:DRNTG_08264.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRRTPCTALFSILFVLFASSGYSVDWLKSNQTATLLIDGSPQSGRKIPDKLFGIFFEEINHAGAGGLWAELVSNRGFEAGGQTTPSNIDPWSVIGNETYVTVQTNGTSCFNRNKIALRMEVLCDDEESNACPAGGVGIYNPGFWGMNIEEGKAYKLALYVKLMEPIDISVSLTSADGLQSLATANIVPATLELSKWTKVELQLEAKGSNTNSRLQLTTTKKGVIWFDQVSLMPVDTYKGHGFRKDLFSMLADLKPSFIRFPGGCFVEGEWLRNAFRWTATIGPWEERPGHFGDVWMYWTDDGLGYFEFLQLAEDLGASPVWVVNNGVSHNDQVDTSSILPFVQDVLDSIEFARGEPTSKWGSVRAAMGHPAPFELKYMAIGNEDCGKKNYRGNYLKFYYTIKAAYPDIELISNCDGSSTRLDHPADMYDFHIYTTANDMFSRVHQFGHTLRDANSSKAFVSEYAVTGKDAGTGSLLAALAEAGFLIGLELNSDVVEMASYAPLFVNANDRRWNPDAIVFNSWQHYGTPSYWMQHFFKESSGAMLHPSVLQTNSSSLIASAIIWTSKEDSSTFLKIKIVNFGSDYVDLNITVSGLQNAINSVGSTKTELTSGGLMDENSFADPQKVTPFQSLLQNAGTDMNVELAPHSITSFDLKLVPTSYIASM >DRNTG_08264.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17591002:17600015:1 gene:DRNTG_08264 transcript:DRNTG_08264.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYDFHIYTTANDMFSRVHQFGHTLRDANSSKAFVSEYAVTGKDAGTGSLLAALAEAGFLIGLELNSDVVEMASYAPLFVNANDRRWNPDAIVFNSWQHYGTPSYWMQHFFKESSGAMLHPSVLQTNSSSLIASAIIWTSKEDSSTFLKIKIVNFGSDYVDLNITVSGLQNAINSVGSTKTELTSGGLMDENSFADPQKVTPFQSLLQNAGTDMNVELAPHSITSFDLKLVPTSYIASM >DRNTG_08264.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17591002:17600015:1 gene:DRNTG_08264 transcript:DRNTG_08264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPAPFELKYMAIGNEDCGKKNYRGNYLKFYYTIKAAYPDIELISNCDGSSTRLDHPADMYDFHIYTTANDMFSRVHQFGHTLRDANSSKAFVSEYAVTGKDAGTGSLLAALAEAGFLIGLELNSDVVEMASYAPLFVNANDRRWNPDAIVFNSWQHYGTPSYWMQHFFKESSGAMLHPSVLQTNSSSLIASAIIWTSKEDSSTFLKIKIVNFGSDYVDLNITVSGLQNAINSVGSTKTELTSGGLMDENSFADPQKVTPFQSLLQNAGTDMNVELAPHSITSFDLKLVPTSYIASM >DRNTG_01267.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:10031984:10037965:1 gene:DRNTG_01267 transcript:DRNTG_01267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNYEALMLLHGCEDAIMCRVFPFTLTEHARIWFNNLKKEGSILDFNQLRKEFIDAFLINARRKKDASYLLTIKQDEKENLKDYVERFRAATLEINTLRLEISSIGLISLSFRRMHVMRHINAGNRDKKRKDRDKTNFEGRRSRRGNEHRQVPKLKFKNFTPLNQPRSTFLESIEGSGLLTFPPKANKTMGKVTDAYCETSSSRKAYVRQAYEVNNIILKKVSSLLFSFLGESVPIMGSIQLLIMLAYNAILGRPLLNDMRAVLSSSYLLMKFLTSGGIGQGKASHQKFAPYPNPAKLRSHHHDFQRRRHSSQKKYPMPIKSSKSS >DRNTG_09126.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26755926:26761761:-1 gene:DRNTG_09126 transcript:DRNTG_09126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNQNLNNVENHLLRSDALYEYILKTNVFPREHEQLRELREATKKHPMGLMALNPDEGQLLSLILKTMNAKRTLEIGVFTGYSLLTTALALPDDAKITAIDVNKSFYEIGLPFIKKAEVEHKINFIESQAHPVLDKLIEEVKEDELFDFAFVDADKNNYIHYHEKLLKLVKVGGIIAYDNTLWLGTVVIEGPVDSSYPNLVRLWKDDVIKFNQYLAVDQRIDISQAVVGDGLTLCRRIY >DRNTG_17259.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5721497:5722429:-1 gene:DRNTG_17259 transcript:DRNTG_17259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRKASKLERKTVEKNRRLLMKGLLSQLSSLIPKTQTSGSKDALTPQYILEEATSYIKKLQGKIESLKRKRNMLEMNMQGIHMDITDDHKIEVQVTVKDDIMDVVLLSGLNKGLKLHQVVSILEEEGAEVINASFFTVGDKIMHNIHSQAISSRIGLEEKRIKERLEDLVLMANESSRKD >DRNTG_18627.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2803988:2804523:1 gene:DRNTG_18627 transcript:DRNTG_18627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLKNSVLALVELNTLKNLVGSAVAGALGGFNSHANNIMSTIFIANGQDPAQLRNQLPDFSHVCFK >DRNTG_13466.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:45102:52259:1 gene:DRNTG_13466 transcript:DRNTG_13466.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTSSWVRRTKYSRTVCHRLDSCQSPLSVITIQADQELKPNSLGKDSSKLSSPLSSSSTIDNFVRQKPTRSRLYSSNSLPPQFSLQNDGNSRLKPRRTSLDLPHFSFFPDQDQKSKIQHSLRNAQTDFHSHKSSLFRHKIPLLSKPNQRSLSPIPTTVLSDTFKEARADEKRFSTPPPTRKGSEKSIFGNLFSKEACDRRFLSSVTPEMSPSQHFHHRGKAISVVTTNEWMVDLSKLYLGQRFAFGAHSSLYYGIYKDQAVAVKIIRQPEDDENGVMAARLEKQFTREVTLLSHLYQRNVIKLVGACKKPPVFCIITEYLSGGSLRTFLHKLKHKPLCWPKLIAIALDIARGMEYIHSQGVIHRDLKPENVVFDQDLCAKIADFGIACEEAYFDKLAEDPGTYRWMAPEMIKHKPYGHKVDVYSFGLVLWEMVTGTIPYEEMMPIQAAFAVVDKNLRPIIPSECPAAFRALIEQCWALHPEKRPEFWQIVKMLEQFEFSLAQDGTLDHIANLPCHERKKQLVNWIQKLKPLQADCSGHKMMPKLRNKQS >DRNTG_13466.16 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:46132:52259:1 gene:DRNTG_13466 transcript:DRNTG_13466.16 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIHSQGVIHRDLKPENVVFDQDLCAKIADFGIACEEAYFDKLAEDPGTYRWMAPEMIKHKPYGHKVDVYSFGLVLWEMVTGTIPYEEMMPIQAAFAVVDKNLRPIIPSECPAAFRALIEQCWALHPEKRPEFWQIVKMLEQFEFSLAQDGTLDHIANLPCHERKKQLVNWIQKLKPLQADCSGHKMMPKLRNKQS >DRNTG_13466.14 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:46132:52259:1 gene:DRNTG_13466 transcript:DRNTG_13466.14 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIHSQGVIHRDLKPENVVFDQDLCAKIADFGIACEEAYFDKLAEDPGTYRWMAPEMIKHKPYGHKVDVYSFGLVLWEMVTGTIPYEEMMPIQAAFAVVDKNLRPIIPSECPAAFRALIEQCWALHPEKRPEFWQIVKMLEQFEFSLAQDGTLDHIANLPCHERKKQLVNWIQKLKPLQADCSGHKMMPKLRNKQS >DRNTG_13466.18 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:46132:52259:1 gene:DRNTG_13466 transcript:DRNTG_13466.18 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTSSWVRRTKYSRTVCHRLDSCQSPLSVITIQADQELKPNSLGKDSSKLSSPLSSSSTIDNFNDGNSRLKPRRTSLDLPHFSFFPDQDQKSKIQHSLRNAQTDFHSHKSSLFRHKIPLLSKPNQRSLSPIPTTVLSDTFKEARADEKRFSTPPPTRKGSEKSIFGNLFSKEACDRRFLSSVTPEMSPSQHFHHRGKAISVVTTNEWMVDLSKLYLGQRFAFGAHSSLYYGIYKDQAVAVKIIRQPEDDENGVMAARLEKQFTREVTLLSHLYQRNVIKLVGACKKPPVFCIITEYLSGGSLRTFLHKLKHKPLCWPKLIAIALDIARGMEYIHSQGVIHRDLKPENVVFDQDLCAKIADFGIACEEAYFDKLAEDPGTYRWMAPEMIKHKPYGHKVDVYSFGLVLWEMVTGTIPYEEMMPIQAAFAVVDKNLRPIIPSECPAAFRALIEQCWALHPEKRPEFWQIVKMLEQFEFSLAQDGTLDHIANLPCHERKKQLVNWIQKLKPLQADCSGHKMMPKLRNKQS >DRNTG_13466.20 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:46132:52259:1 gene:DRNTG_13466 transcript:DRNTG_13466.20 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIHSQGVIHRDLKPENVVFDQDLCAKIADFGIACEEAYFDKLAEDPGTYRWMAPEMIKHKPYGHKVDVYSFGLVLWEMVTGTIPYEEMMPIQAAFAVVDKNLRPIIPSECPAAFRALIEQCWALHPEKRPEFWQIVKMLEQFEFSLAQDGTLDHIANLPCHERKKQLVNWIQKLKPLQADCSGHKMMPKLRNKQS >DRNTG_13466.24 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:46132:52259:1 gene:DRNTG_13466 transcript:DRNTG_13466.24 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIHSQGVIHRDLKPENVVFDQDLCAKIADFGIACEEAYFDKLAEDPGTYRWMAPEMIKHKPYGHKVDVYSFGLVLWEMVTGTIPYEEMMPIQAAFAVVDKNLRPIIPSECPAAFRALIEQCWALHPEKRPEFWQIVKMLEQFEFSLAQDGTLDHIANLPCHERKKQLVNWIQKLKPLQADCSGHKMMPKLRNKQS >DRNTG_13466.21 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:46132:52259:1 gene:DRNTG_13466 transcript:DRNTG_13466.21 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIHSQGVIHRDLKPENVVFDQDLCAKIADFGIACEEAYFDKLAEDPGTYRWMAPEMIKHKPYGHKVDVYSFGLVLWEMVTGTIPYEEMMPIQAAFAVVDKNLRPIIPSECPAAFRALIEQCWALHPEKRPEFWQIVKMLEQFEFSLAQDGTLDHIANLPCHERKKQLVNWIQKLKPLQADCSGHKMMPKLRNKQS >DRNTG_13466.13 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:46132:52259:1 gene:DRNTG_13466 transcript:DRNTG_13466.13 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTSSWVRRTKYSRTVCHRLDSCQSPLSVITIQADQELKPNSLGKDSSKLSSPLSSSSTIDNFVRQKPTRSRLYSSNSLPPQFSLQNDGNSRLKPRRTSLDLPHFSFFPDQDQKSKIQHSLRNAQTDFHSHKSSLFRHKIPLLSKPNQRSLSPIPTTVLSDTFKEARADEKRFSTPPPTRKGSEKSIFGNLFSKEACDRRFLSSVTPEMSPSQHFHHRGKAISVVTTNEWMVDLSKLYLGQRFAFGAHSSLYYGIYKDQAVAVKIIRQPEDDENGVMAARLEKQFTREVTLLSHLYQRNVIKLVGACKKPPVFCIITEYLSGGSLRTFLHKLKHKPLCWPKLIAIALDIARGMEYIHSQGVIHRDLKPENVVFDQDLCAKIADFGIACEEAYFDKLAEDPGTYRWMAPEMIKHKPYGHKVDVYSFGLVLWEMVTGTIPYEEMMPIQAAFAVVDKNLRPIIPSECPAAFRALIEQCWALHPEKRPEFWQIVKMLEQFEFSLAQDGTLDHIANLPCHERKKQLVNWIQKLKPLQADCSGHKMMPKLRNKQS >DRNTG_13466.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:45102:52259:1 gene:DRNTG_13466 transcript:DRNTG_13466.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIHSQGVIHRDLKPENVVFDQDLCAKIADFGIACEEAYFDKLAEDPGTYRWMAPEMIKHKPYGHKVDVYSFGLVLWEMVTGTIPYEEMMPIQAAFAVVDKNLRPIIPSECPAAFRALIEQCWALHPEKRPEFWQIVKMLEQFEFSLAQDGTLDHIANLPCHERKKQLVNWIQKLKPLQADCSGHKMMPKLRNKQS >DRNTG_13466.12 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:45688:52259:1 gene:DRNTG_13466 transcript:DRNTG_13466.12 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIHSQGVIHRDLKPENVVFDQDLCAKIADFGIACEEAYFDKLAEDPGTYRWMAPEMIKHKPYGHKVDVYSFGLVLWEMVTGTIPYEEMMPIQAAFAVVDKNLRPIIPSECPAAFRALIEQCWALHPEKRPEFWQIVKMLEQFEFSLAQDGTLDHIANLPCHERKKQLVNWIQKLKPLQADCSGHKMMPKLRNKQS >DRNTG_13466.25 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:46132:52259:1 gene:DRNTG_13466 transcript:DRNTG_13466.25 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTSSWVRRTKYSRTVCHRLDSCQSPLSVITIQADQELKPNSLGKDSSKLSSPLSSSSTIDNFVRQKPTRSRLYSSNSLPPQFSLQNDGNSRLKPRRTSLDLPHFSFFPDQDQKSKIQHSLRNAQTDFHSHKSSLFRHKIPLLSKPNQRSLSPIPTTVLSDTFKEARADEKRFSTPPPTRKGSEKSIFGNLFSKEACDRRFLSSVTPEMSPSQHFHHRGKAISVVTTNEWMVDLSKLYLGQRFAFGAHSSLYYGIYKDQAVAVKIIRQPEDDENGVMAARLEKQFTREVTLLSHLYQRNVIKLVGACKKPPVFCIITEYLSGGSLRTFLHKLKHKPLCWPKLIAIALDIARGMEYIHSQGVIHRDLKPENVVFDQDLCAKIADFGIACEEAYFDKLAEDPGTYRWMAPEMIKHKPYGHKVDVYSFGLVLWEMVTGTIPYEEMMPIQAAFAVVDKNLRPIIPSECPAAFRALIEQCWALHPEKRPEFWQIVKMLEQFEFSLAQDGTLDHIANLPCHERKKQLVNWIQKLKPLQADCSGHKMMPKLRNKQS >DRNTG_13466.23 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:46132:52259:1 gene:DRNTG_13466 transcript:DRNTG_13466.23 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIHSQGVIHRDLKPENVVFDQDLCAKIADFGIACEEAYFDKLAEDPGTYRWMAPEMIKHKPYGHKVDVYSFGLVLWEMVTGTIPYEEMMPIQAAFAVVDKNLRPIIPSECPAAFRALIEQCWALHPEKRPEFWQIVKMLEQFEFSLAQDGTLDHIANLPCHERKKQLVNWIQKLKPLQADCSGHKMMPKLRNKQS >DRNTG_13466.10 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:45102:52259:1 gene:DRNTG_13466 transcript:DRNTG_13466.10 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIHSQGVIHRDLKPENVVFDQDLCAKIADFGIACEEAYFDKLAEDPGTYRWMAPEMIKHKPYGHKVDVYSFGLVLWEMVTGTIPYEEMMPIQAAFAVVDKNLRPIIPSECPAAFRALIEQCWALHPEKRPEFWQIVKMLEQFEFSLAQDGTLDHIANLPCHERKKQLVNWIQKLKPLQADCSGHKMMPKLRNKQS >DRNTG_13466.27 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:46132:52259:1 gene:DRNTG_13466 transcript:DRNTG_13466.27 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIHSQGVIHRDLKPENVVFDQDLCAKIADFGIACEEAYFDKLAEDPGTYRWMAPEMIKHKPYGHKVDVYSFGLVLWEMVTGTIPYEEMMPIQAAFAVVDKNLRPIIPSECPAAFRALIEQCWALHPEKRPEFWQIVKMLEQFEFSLAQDGTLDHIANLPCHERKKQLVNWIQKLKPLQADCSGHKMMPKLRNKQS >DRNTG_13466.9 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:45102:52259:1 gene:DRNTG_13466 transcript:DRNTG_13466.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTSSWVRRTKYSRTVCHRLDSCQSPLSVITIQADQELKPNSLGKDSSKLSSPLSSSSTIDNFVRQKPTRSRLYSSNSLPPQFSLQNDGNSRLKPRRTSLDLPHFSFFPDQDQKSKIQHSLRNAQTDFHSHKSSLFRHKIPLLSKPNQRSLSPIPTTVLSDTFKEARADEKRFSTPPPTRKGSEKSIFGNLFSKEACDRRFLSSVTPEMSPSQHFHHRGKAISVVTTNEWMVDLSKLYLGQRFAFGAHSSLYYGIYKDQAVAVKIIRQPEDDENGVMAARLEKQFTREVTLLSHLYQRNVIKLVGACKKPPVFCIITEYLSGGSLRTFLHKLKHKPLCWPKLIAIALDIARGMEYIHSQGVIHRDLKPENVVFDQDLCAKIADFGIACEEAYFDKLAEDPGTYRWMAPEMIKHKPYGHKVDVYSFGLVLWEMVTGTIPYEEMMPIQAAFAVVDKNLRPIIPSECPAAFRALIEQCWALHPEKRPEFWQIVKMLEQFEFSLAQDGTLDHIANLPCHERKKQLVNWIQKLKPLQADCSGHKMMPKLRNKQS >DRNTG_13466.26 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:46132:52259:1 gene:DRNTG_13466 transcript:DRNTG_13466.26 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTSSWVRRTKYSRTVCHRLDSCQSPLSVITIQADQELKPNSLGKDSSKLSSPLSSSSTIDNFNDGNSRLKPRRTSLDLPHFSFFPDQDQKSKIQHSLRNAQTDFHSHKSSLFRHKIPLLSKPNQRSLSPIPTTVLSDTFKEARADEKRFSTPPPTRKGSEKSIFGNLFSKEACDRRFLSSVTPEMSPSQHFHHRGKAISVVTTNEWMVDLSKLYLGQRFAFGAHSSLYYGIYKDQAVAVKIIRQPEDDENGVMAARLEKQFTREVTLLSHLYQRNVIKLVGACKKPPVFCIITEYLSGGSLRTFLHKLKHKPLCWPKLIAIALDIARGMEYIHSQGVIHRDLKPENVVFDQDLCAKIADFGIACEEAYFDKLAEDPGTYRWMAPEMIKHKPYGHKVDVYSFGLVLWEMVTGTIPYEEMMPIQAAFAVVDKNLRPIIPSECPAAFRALIEQCWALHPEKRPEFWQIVKMLEQFEFSLAQDGTLDHIANLPCHERKKQLVNWIQKLKPLQADCSGHKMMPKLRNKQS >DRNTG_13466.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:45102:52259:1 gene:DRNTG_13466 transcript:DRNTG_13466.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTSSWVRRTKYSRTVCHRLDSCQSPLSVITIQADQELKPNSLGKDSSKLSSPLSSSSTIDNFVRQKPTRSRLYSSNSLPPQFSLQNDGNSRLKPRRTSLDLPHFSFFPDQDQKSKIQHSLRNAQTDFHSHKSSLFRHKIPLLSKPNQRSLSPIPTTVLSDTFKEARADEKRFSTPPPTRKGSEKSIFGNLFSKEACDRRFLSSVTPEMSPSQHFHHRGKAISVVTTNEWMVDLSKLYLGQRFAFGAHSSLYYGIYKDQAVAVKIIRQPEDDENGVMAARLEKQFTREVTLLSHLYQRNVIKLVGACKKPPVFCIITEYLSGGSLRTFLHKLKHKPLCWPKLIAIALDIARGMEYIHSQGVIHRDLKPENVVFDQDLCAKIADFGIACEEAYFDKLAEDPGTYRWMAPEMIKHKPYGHKVDVYSFGLVLWEMVTGTIPYEEMMPIQAAFAVVDKNLRPIIPSECPAAFRALIEQCWALHPEKRPEFWQIVKMLEQFEFSLAQDGTLDHIANLPCHERKKQLVNWIQKLKPLQADCSGHKMMPKLRNKQS >DRNTG_13466.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:45102:52259:1 gene:DRNTG_13466 transcript:DRNTG_13466.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIHSQGVIHRDLKPENVVFDQDLCAKIADFGIACEEAYFDKLAEDPGTYRWMAPEMIKHKPYGHKVDVYSFGLVLWEMVTGTIPYEEMMPIQAAFAVVDKNLRPIIPSECPAAFRALIEQCWALHPEKRPEFWQIVKMLEQFEFSLAQDGTLDHIANLPCHERKKQLVNWIQKLKPLQADCSGHKMMPKLRNKQS >DRNTG_13466.11 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:45102:52259:1 gene:DRNTG_13466 transcript:DRNTG_13466.11 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIHSQGVIHRDLKPENVVFDQDLCAKIADFGIACEEAYFDKLAEDPGTYRWMAPEMIKHKPYGHKVDVYSFGLVLWEMVTGTIPYEEMMPIQAAFAVVDKNLRPIIPSECPAAFRALIEQCWALHPEKRPEFWQIVKMLEQFEFSLAQDGTLDHIANLPCHERKKQLVNWIQKLKPLQADCSGHKMMPKLRNKQS >DRNTG_13466.22 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:46132:52259:1 gene:DRNTG_13466 transcript:DRNTG_13466.22 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIHSQGVIHRDLKPENVVFDQDLCAKIADFGIACEEAYFDKLAEDPGTYRWMAPEMIKHKPYGHKVDVYSFGLVLWEMVTGTIPYEEMMPIQAAFAVVDKNLRPIIPSECPAAFRALIEQCWALHPEKRPEFWQIVKMLEQFEFSLAQDGTLDHIANLPCHERKKQLVNWIQKLKPLQADCSGHKMMPKLRNKQS >DRNTG_13466.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:45102:52259:1 gene:DRNTG_13466 transcript:DRNTG_13466.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTSSWVRRTKYSRTVCHRLDSCQSPLSVITIQADQELKPNSLGKDSSKLSSPLSSSSTIDNFNDGNSRLKPRRTSLDLPHFSFFPDQDQKSKIQHSLRNAQTDFHSHKSSLFRHKIPLLSKPNQRSLSPIPTTVLSDTFKEARADEKRFSTPPPTRKGSEKSIFGNLFSKEACDRRFLSSVTPEMSPSQHFHHRGKAISVVTTNEWMVDLSKLYLGQRFAFGAHSSLYYGIYKDQAVAVKIIRQPEDDENGVMAARLEKQFTREVTLLSHLYQRNVIKLVGACKKPPVFCIITEYLSGGSLRTFLHKLKHKPLCWPKLIAIALDIARGMEYIHSQGVIHRDLKPENVVFDQDLCAKIADFGIACEEAYFDKLAEDPGTYRWMAPEMIKHKPYGHKVDVYSFGLVLWEMVTGTIPYEEMMPIQAAFAVVDKNLRPIIPSECPAAFRALIEQCWALHPEKRPEFWQIVKMLEQFEFSLAQDGTLDHIANLPCHERKKQLVNWIQKLKPLQADCSGHKMMPKLRNKQS >DRNTG_13466.15 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:46132:52259:1 gene:DRNTG_13466 transcript:DRNTG_13466.15 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIHSQGVIHRDLKPENVVFDQDLCAKIADFGIACEEAYFDKLAEDPGTYRWMAPEMIKHKPYGHKVDVYSFGLVLWEMVTGTIPYEEMMPIQAAFAVVDKNLRPIIPSECPAAFRALIEQCWALHPEKRPEFWQIVKMLEQFEFSLAQDGTLDHIANLPCHERKKQLVNWIQKLKPLQADCSGHKMMPKLRNKQS >DRNTG_13466.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:45102:52259:1 gene:DRNTG_13466 transcript:DRNTG_13466.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIHSQGVIHRDLKPENVVFDQDLCAKIADFGIACEEAYFDKLAEDPGTYRWMAPEMIKHKPYGHKVDVYSFGLVLWEMVTGTIPYEEMMPIQAAFAVVDKNLRPIIPSECPAAFRALIEQCWALHPEKRPEFWQIVKMLEQFEFSLAQDGTLDHIANLPCHERKKQLVNWIQKLKPLQADCSGHKMMPKLRNKQS >DRNTG_13466.19 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:46132:52259:1 gene:DRNTG_13466 transcript:DRNTG_13466.19 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIHSQGVIHRDLKPENVVFDQDLCAKIADFGIACEEAYFDKLAEDPGTYRWMAPEMIKHKPYGHKVDVYSFGLVLWEMVTGTIPYEEMMPIQAAFAVVDKNLRPIIPSECPAAFRALIEQCWALHPEKRPEFWQIVKMLEQFEFSLAQDGTLDHIANLPCHERKKQLVNWIQKLKPLQADCSGHKMMPKLRNKQS >DRNTG_13466.17 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:46132:52259:1 gene:DRNTG_13466 transcript:DRNTG_13466.17 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTSSWVRRTKYSRTVCHRLDSCQSPLSVITIQADQELKPNSLGKDSSKLSSPLSSSSTIDNFNDGNSRLKPRRTSLDLPHFSFFPDQDQKSKIQHSLRNAQTDFHSHKSSLFRHKIPLLSKPNQRSLSPIPTTVLSDTFKEARADEKRFSTPPPTRKGSEKSIFGNLFSKEACDRRFLSSVTPEMSPSQHFHHRGKAISVVTTNEWMVDLSKLYLGQRFAFGAHSSLYYGIYKDQAVAVKIIRQPEDDENGVMAARLEKQFTREVTLLSHLYQRNVIKLVGACKKPPVFCIITEYLSGGSLRTFLHKLKHKPLCWPKLIAIALDIARGMEYIHSQGVIHRDLKPENVVFDQDLCAKIADFGIACEEAYFDKLAEDPGTYRWMAPEMIKHKPYGHKVDVYSFGLVLWEMVTGTIPYEEMMPIQAAFAVVDKNLRPIIPSECPAAFRALIEQCWALHPEKRPEFWQIVKMLEQFEFSLAQDGTLDHIANLPCHERKKQLVNWIQKLKPLQADCSGHKMMPKLRNKQS >DRNTG_13466.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:45102:52259:1 gene:DRNTG_13466 transcript:DRNTG_13466.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTSSWVRRTKYSRTVCHRLDSCQSPLSVITIQADQELKPNSLGKDSSKLSSPLSSSSTIDNFNDGNSRLKPRRTSLDLPHFSFFPDQDQKSKIQHSLRNAQTDFHSHKSSLFRHKIPLLSKPNQRSLSPIPTTVLSDTFKEARADEKRFSTPPPTRKGSEKSIFGNLFSKEACDRRFLSSVTPEMSPSQHFHHRGKAISVVTTNEWMVDLSKLYLGQRFAFGAHSSLYYGIYKDQAVAVKIIRQPEDDENGVMAARLEKQFTREVTLLSHLYQRNVIKLVGACKKPPVFCIITEYLSGGSLRTFLHKLKHKPLCWPKLIAIALDIARGMEYIHSQGVIHRDLKPENVVFDQDLCAKIADFGIACEEAYFDKLAEDPGTYRWMAPEMIKHKPYGHKVDVYSFGLVLWEMVTGTIPYEEMMPIQAAFAVVDKNLRPIIPSECPAAFRALIEQCWALHPEKRPEFWQIVKMLEQFEFSLAQDGTLDHIANLPCHERKKQLVNWIQKLKPLQADCSGHKMMPKLRNKQS >DRNTG_13466.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:45102:52259:1 gene:DRNTG_13466 transcript:DRNTG_13466.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIHSQGVIHRDLKPENVVFDQDLCAKIADFGIACEEAYFDKLAEDPGTYRWMAPEMIKHKPYGHKVDVYSFGLVLWEMVTGTIPYEEMMPIQAAFAVVDKNLRPIIPSECPAAFRALIEQCWALHPEKRPEFWQIVKMLEQFEFSLAQDGTLDHIANLPCHERKKQLVNWIQKLKPLQADCSGHKMMPKLRNKQS >DRNTG_05045.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:193351:193768:1 gene:DRNTG_05045 transcript:DRNTG_05045.1 gene_biotype:protein_coding transcript_biotype:protein_coding RHHEAILRSQKPKLFVMGTKDGFTSVKQLQNKLKSAAGRVETQLLDGVSHFQMEGPAYDEQMADLITKFIQSL >DRNTG_12008.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7830225:7832205:1 gene:DRNTG_12008 transcript:DRNTG_12008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSLDELIFIDAPVFATIAIILVMMSNRARRQALPRESSLGHQKANEHLNKSIPLFEELHLIAGDDHATGDYARSIYDQFGGTIDEDDHDTIPDNVPAPNDPIYCKDFEANSRRHEVLRSNASKTTARSSRTTRTIGENGGMEIVGDNWVNWQYQLNEQEKKHGRKNYQMLYGAWKVTMIRTWEWYLID >DRNTG_09503.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21662387:21663368:1 gene:DRNTG_09503 transcript:DRNTG_09503.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMALSSPSLAGKAVPFARQSVGGNGRVSMRKTGGRPAASGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWACQVILMGAVEGYRIAGGPLGEITDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >DRNTG_29068.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1698453:1704717:1 gene:DRNTG_29068 transcript:DRNTG_29068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSFNILSWNCRGITTGNTLIRIKRLIKKYNLSIACFVETRADSDRLDRFCSKLSRTWEWASILAEGFSGGIIVIWKKCLGQVTPLAVSRRALHLIISPTPNSNHIISIIYNSHLIRNQRLLWQELDKIADLNFPWLLVGDFNCILSREDHKGGLYAYYYRKALLFSNFIEINNLFDLQGSGSRFTWCNNQFGPARRWARLDWCLINNVWQDKYRSYSLKLLPRIYSDHAPLLLSIQVNATHFKFPFRFQNHWINYIGCINAVRDAWNFAPRGNPMHALTHLFARARSKINLWKSTGFTSIDSTIHSIEAEILSLELAEYYDTDVAYKLSDLYGKYSDLQFQNAYRWSQRTHLNWLLNGDRNTAFFHNMVQVRNHLNSINFVYDSFGNCWSDRNDIEDAFIHFYTNLWSETVDRNPFEIALDLPHDLPQVFDADAILLSRDVSREEIYFALFNLPLGKSPGPDGFSTEFFRFFWDNIGDQVCTAIKFFFDYSVMPASWGKTFVVFIPKNDNPRLVSNFRPISLCNVCFKIISKILANRLCSVLPKLIGREQSGFVAGRGPSDNIIALHEVAHSIERDFNDPSRMIIKIDIEKAYDTLRWSAILATLTKMNFPSQCITWIKTCLTSTSFSFLINGCPSRWISSSRGIRQGDPISLYLFILVSQILTTTLNSALQTGTIPGFNSNLNYNFNHLMYADDLVLITRASRLAARNINQCLNYYGYLTGQHLNVNKSAIYFPSWFNKRVQASICNILNIKSASFPCKYLGILISPKKLAIAVFRHLIDKISHSCTRWKHSNLSMAAKVILINSSLLSIPNYYLSVYPIPDIILKNISKIVRDFLWHRRGNGKGIHNVGWSCITECKREGGLGIRNISIAKHSLFAKNVFKYLNHDNALWVDILIHKYGHLNFWCDTSPSNSSTFFKGLCNTAQILKPNCWINCLNPSFTSAYNDH >DRNTG_06642.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1665503:1666337:-1 gene:DRNTG_06642 transcript:DRNTG_06642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAALRQPWTTLCTIMAADTSNPSYRACSLCDRPLPDSPSSSPCPLCIRHSSAAGSHRLFRLLISIATSDKVLIVICFDRATRAIFGGSADEFFDLCELHPDAVWMAGEMMVGEICRVTLRRPTNGNAEHLRAVSLVPLRAEFRPVIARLRSLYGAPSH >DRNTG_27175.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:675690:676732:1 gene:DRNTG_27175 transcript:DRNTG_27175.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ECO1 [Source:Projected from Arabidopsis thaliana (AT4G31400) UniProtKB/TrEMBL;Acc:A0A178UZG9] MYAKGEEDDEKVHKEFHKEYYEGIRFKGWRNERVVAEHRNAGDRVLMVVDEDSPVQKRKLQSVLKFIEKELGFADGEILHKLCKAYLYISSHRIVGCLVAEPIKTAHKVIVSHPTAKNLSDTTEKSDSRRLNKTLLRFGDIGFNQEVIKKCKSTKSWETDQWVNGAILSEEKAVPALCGVRAIWVVPSHRRQGIGSKLLDAMR >DRNTG_27175.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:675403:676954:1 gene:DRNTG_27175 transcript:DRNTG_27175.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ECO1 [Source:Projected from Arabidopsis thaliana (AT4G31400) UniProtKB/TrEMBL;Acc:A0A178UZG9] MMQPKISAFFKPSDPKSLEKCEHPESDLQRENEELNLKSAKSGSKIASANKILNKKRSYAQYHLELGQSDFLLHTCNVCGLMYAKGEEDDEKVHKEFHKEYYEGIRFKGWRNERVVAEHRNAGDRVLMVVDEDSPVQKRKLQSVLKFIEKELGFADGEILHKLCKAYLYISSHRIVGCLVAEPIKTAHKVIVSHPTAKNLSDTTEKSDSRRLNKTLLRFGDIGFNQEVIKKCKSTKSWETDQWVNGAILSEEKAVPALCGVRAIWVVPSHRRQGIGSKLLDAMRKSFCADKQLEHAECAFSSPTSAGHALATSYTHSMSFLIYMSGDV >DRNTG_30966.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:20898122:20898440:1 gene:DRNTG_30966 transcript:DRNTG_30966.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRHLSWSKHVVLKFFKIFYLITYMIKLETFLVFWILS >DRNTG_25706.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30425728:30429518:-1 gene:DRNTG_25706 transcript:DRNTG_25706.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFALVLILALFVLQVAEAKASTSGTKPGANETTSSPHQPINDQVTMVPLLTGDTPPLLTTDFQNEQGFLVPPSGTGGPFNSISKMPFLCGGVSSDPSGVEKHDYDFEKAQTTPSQIHNPDDDTFHGSAMSRIPSSSDSYPQSKGMEEATTEIGQLQLTVGKLQIQVLETQMQLRRFLNQFGVMVGEKRGLEPSADGVERGSDAKRQKAPTLESVIVEALKVDSLQKLCSSLEPVLHRVVSVEVERALAKLGPARLDTRSSPKRIEGPDGRNLQLHFHSRLLLPLFTRGKVEGEQGGAIHVVLLDANTGHIVTSGPESSAKTKCCSS >DRNTG_25706.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30425728:30429518:-1 gene:DRNTG_25706 transcript:DRNTG_25706.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAKASTSGTKPGANETTSSPHQPINDQVTMVPLLTGDTPPLLTTDFQNEQGFLVPPSGTGGPFNSISKMPFLCGGVSSDPSGVEKHDYDFEKAQTTPSQIHNPDDDTFHGSAMSRIPSSSDSYPQSKGMEEATTEIGQLQLTVGKLQIQVLETQMQLRRFLNQFGVMVGEKRGLEPSADGVERGSDAKRQKAPTLESVIVEALKVDSLQKLCSSLEPVLHRVVSVEVERALAKLGPARLDTRSSPKRIEGPDGRNLQLHFHSRLLLPLFTRGKVEGEQGGAIHVVLLDANTGHIVTSGPESSAKTKCCSS >DRNTG_10946.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:198666:200026:-1 gene:DRNTG_10946 transcript:DRNTG_10946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSATSTTSTDKSLVSQKFALYNRANGAHPFLFFFPGSGKLETEVCQNTKDKMSYENKNNNSKKKKKNAGRSGYHPPFPSSISIVHIDALKDTSIKNLTIHTDLVNYPRY >DRNTG_03837.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9806129:9806442:1 gene:DRNTG_03837 transcript:DRNTG_03837.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPYRVHTCVHIGSMSFSLRQYVLNQFLSRKFSICYSGYYSDLVTVPLL >DRNTG_14069.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8320379:8321995:-1 gene:DRNTG_14069 transcript:DRNTG_14069.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGSLYNFLMKQTSFAGMRVWVVIGICVTTVIVLILILLPVWIVFRRRNCRSFDKVPRSQIPDVSKVITVDRVGGRTLAQSFHDREGPVLSSQHTYSDKESEKALAHLGVSKSSEADTLSQCSSVYHNDRCGSSHSGDESSLGPSKKAYSSYGLVSASPLVGLPEFSHLGWGHWFTLRDLEYATNRFAKENVIGEGGYGVVYRGRLINGTDVAVKKLLNNL >DRNTG_14069.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8318502:8321995:-1 gene:DRNTG_14069 transcript:DRNTG_14069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGSLYNFLMKQTSFAGMRVWVVIGICVTTVIVLILILLPVWIVFRRRNCRSFDKVPRSQIPDVSKVITVDRVGGRTLAQSFHDREGPVLSSQHTYSDKESEKALAHLGVSKSSEADTLSQCSSVYHNDRCGSSHSGDESSLGPSKKAYSSYGLVSASPLVGLPEFSHLGWGHWFTLRDLEYATNRFAKENVIGEGGYGVVYRGRLINGTDVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGIHRMLVYEYVNNGNLEQWLHGAMRQHGVLSWDNRIKIILGTAKALAYLHEAIEPKVVHRDIKSSNILIDADFNAKISDFGLAKLLGSGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLEAVTGRDPVDYSRPSNEVNLIEWLKMMVGSRRAEEVVDPSLEVKPAPRILKRVLLIALRCVDPDSDKRPRMGQVVRMLEADDDPFREDRRNRRSRTASIDIESLKENSNSIDLDNKIGISEKNQAQS >DRNTG_14069.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8319422:8319817:-1 gene:DRNTG_14069 transcript:DRNTG_14069.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYEYVNNGNLEQWLHGAMRQHGVLSWDNRIKIILGTAKALAYLHEAIEPKVVHRDIKSSNILIDADFNAKISDFGLAKLLGSGKSHVTTRVMGTFGYDSLYL >DRNTG_14069.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8318502:8321163:-1 gene:DRNTG_14069 transcript:DRNTG_14069.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGSLYNFLMKQTSFAGMRVWVVIGICVTTVIVLILILLPVWIVFRRRNCRSFDKVPRSQIPDVSKVITVDRVGGRTLAQSFHDREGPVLSSQHTYSDKESEKALAHLGVSKSSEADTLSQCSSVYHNDRCGSSHSGDESSLGPSKKAYSSYGLVSASPLVGLPEFSHLGWGHWFTLRDLEYATNRFAKENVIGEGGYGVVYRGRLINGTDVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGIHRMLVYEYVNNGNLEQWLHGAMRQHGVLSWDNRIKIILGTAKALAYLHEAIEPKVVHRDIKSSNILIDADFNAKISDFGLAKLLGSGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLEAVTGRDPVDYSRPSNEVNLIEWLKMMVGSRRAEEVVDPSLEVKPAPRILKRVLLIALRCVDPDSDKRPRMGQVVRMLEADDDPFREDRRNRRSRTASIDIESLKENSNSIDLDNKIGISEKNQAQS >DRNTG_18606.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22251926:22255414:1 gene:DRNTG_18606 transcript:DRNTG_18606.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 41 [Source:Projected from Arabidopsis thaliana (AT3G02065) UniProtKB/Swiss-Prot;Acc:Q3EBD3] MEETKTQGEDHNLPLCDVEDCPVKEKCCDQREALPGEPRCVICGRFGEYICDETDDDVCSRECKQTLISRLAESQKPAAKAIHSVQLPATDECCYVRDGGGSSFQYLNTDKIESLRSKINIVVKGETVPAPLLSFSSCDLPKKLQENLEAAGYEIPTPVQMQTIPAALTRRNLLVSADTGSGKTVSFLVPIVSHCTYTRLQHLADKKWPLAMVLAPTRELCVQVEDQAKALGKGLPFKTALIVGGAAMASQVYRLEQGVEMIVGTPGRLIDLLSKHDIELNQVSVLVLDEVDCMLQKGFRDQVMQIFQALSHPQVLMFSATISKEVEKMANSLTENLIYVSAGKPRAPSEAVKQVVIWVESKQKKQKLFAILTSKQHFRPPVVVFVSSRLGADLLSEAITCATGLVALSIHGEKCMKERRESLGRFLTGDVSVIVATGVLGRGVDLLKVRQVIIFDMPNSMEEYIHQIGRASRMGEEGSAIVFINEEDKKLFKELVQNLKSVGAAIPRELANSHYLASNYPVSSKQKRRKYGS >DRNTG_18606.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22253412:22255414:1 gene:DRNTG_18606 transcript:DRNTG_18606.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 41 [Source:Projected from Arabidopsis thaliana (AT3G02065) UniProtKB/Swiss-Prot;Acc:Q3EBD3] MQTIPAALTRRNLLVSADTGSGKTVSFLVPIVSHCTYTRLQHLADKKWPLAMVLAPTRELCVQVEDQAKALGKGLPFKTALIVGGAAMASQVYRLEQGVEMIVGTPGRLIDLLSKHDIELNQVSVLVLDEVDCMLQKGFRDQVMQIFQALSHPQVLMFSATISKEVEKMANSLTENLIYVSAGKPRAPSEAVKQVVIWVESKQKKQKLFAILTSKQHFRPPVVVFVSSRLGADLLSEAITCATGLVALSIHGEKCMKERRESLGRFLTGDVSVIVATGVLGRGVDLLKVRQVIIFDMPNSMEEYIHQIGRASRMGEEGSAIVFINEEDKKLFKELVQNLKSVGAAIPRELANSHYLASNYPVSSKQKRRKYGS >DRNTG_18606.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22251926:22255414:1 gene:DRNTG_18606 transcript:DRNTG_18606.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 41 [Source:Projected from Arabidopsis thaliana (AT3G02065) UniProtKB/Swiss-Prot;Acc:Q3EBD3] MEETKTQGEDHNLPLCDVEDCPVKEKCCDQREALPGEPRCVICGRFGEYICDETDDDVCSRECKQTLISRLAESQKPAAKAIHSVQLPATDECCYVRDGGGSSFQYLNTDKIESLRSKINIVVKGETVPAPLLSFSSCDLPKKLQENLEAAGYEIPTPVQMQTIPAALTRRNLLVSADTGSGKTVSFLVPIVSHCTYTRLQHLADKKWPLAMVLAPTRELCVQVEDQAKALGKGLPFKTALIVGGAAMASQVYRLEQGVEMIVGTPGRLIDLLSKHDIELNQVSVLVLDEVDCMLQKGFRDQVMQIFQALSHPQVLMFSATISKEVEKMANSLTENLIYVSAGKPRAPSEAVKQVVIWVESKQKKQKLFAILTSKQHFRPPVVVFVSSRLGADLLSEAITCATGLVALSIHGEKCMKERRESLGRFLTGDVSVIVATGVLGRGVDLLKVRQVIIFDMPNSMEEYIHQIGRASRMGEEGSAIVFINEEDKKLFKELVQNLKSVGAAIPRELANSHYLASNYPVSSKQKRRKYGS >DRNTG_13113.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17368354:17370054:1 gene:DRNTG_13113 transcript:DRNTG_13113.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g21470 [Source:Projected from Arabidopsis thaliana (AT3G21470) UniProtKB/Swiss-Prot;Acc:Q9LVF9] MREEALHNKQYGRNKQNSTSQAFLRKLHAIYVCRGTQSSSQWSQLIRGYLSSGFLREALMVYTKNLPRRTSHTVLPLVLKACGSLALLPLGASLHGESVKAGLIGEILVGTTFVSMYCKFGNLSDARKVFDEMPHRNVVTYNAMIGGCLMNGDVDSALVLFERSPERTPVTWVTMIDGFARVGDTVTARKLFDETPNELRNVVTWTVMVHGYAAKGEMGAAREMFECMPCRSFFVWSSMISGYFKKGDAKEARMMFDRIPERNLVNWNALIAGYAQIGCCEEALDAFREMQKDGFEPDEFTMASVLSACSQLGCLGTGKKIHDMINQKRINTNYFVLNGLVDMYAKCGDLERAKTIFNGMINRNVVCWNSMISGLATHGRSYEALALFDRMEESKKEPDNVTFLAALSACTHAGFVDRGLEIFRKMEKYGLAAGVEHYGCIVDLLGRAGRLNEAYSLVKSMPGVPNRSVWGALLGACKIHADKNMADRVLSDLNSNAEHDDDVKYIVLSNVNATLNKWEEAEKLWRVMAEKGMRKIPGCSSIMVGDIEHRFHSGAIRESQACVKLV >DRNTG_26641.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18261057:18265521:1 gene:DRNTG_26641 transcript:DRNTG_26641.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMKGTTSTGTDLHFLHKEWDDISCPICMDHPHNAVLLICGSHDKGCRSYICDTSYRHSNCLDRFKKLRLNNAENSSQHSSSSIENSDSERLGLIHHHSEGSESFTGATLRRRTRLEIHEGSSLEENNSALVSGSSEALEENHSQEHGIVSEVQVEERTNPTESVEGSPVKLNCLKCPLCRGSVMGWMIAKEAREYLDLKTRSCSRESCSFSGNYRELRRHARRVHPTTRPGDVDPTRQRAWRRLEHQREYGDILSAIRSAMPGAIVLGDYVIDGADSLSRERGNSGSGEGSGPWWTSFFLFHMISSPASSHEEPRGSSRAWRTHRRRNLWGENLLVLQDDVGDGNFDGDDNPIPRRRRRFMRSRPDEEQP >DRNTG_26641.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18261057:18265521:1 gene:DRNTG_26641 transcript:DRNTG_26641.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMKGTTSTGTDLHFLHKEWDDISCPICMDHPHNAVLLICGSHDKGCRSYICDTSYRHSNCLDRFKKLRLNNAENSSQHSSSSIENSDSERLGLIHHHSEGSESFTGATLRRRTRLEIHEGSSLEENNSALVSGSSEALEENHSQEHGIVSEVQVEERTNPTESVEGSPVKLNCLKCPLCRGSVMGWMIAKEAREYLDLKTRSCSRESCSFSGNYRELRRHARRVHPTTRPGDVDPTRQRAWRRLEHQREYGDILSAIRSAMPGAIVLGDYVIDGADSLSRERGNSGSGEGSGPWWTSFFLFHMISSPASSHEEPRGSSRAWRTHRRRNLWGENLLVLQDDVGDGNFDGDDNPIPRRRRRFMRSRPDEEQP >DRNTG_26641.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18261057:18265521:1 gene:DRNTG_26641 transcript:DRNTG_26641.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMKGTTSTGTDLHFLHKEWDDISCPICMDHPHNAVLLICGSHDKGCRSYICDTSYRHSNCLDRFKKLRLNNAENSSQHSSSSIENSDSERLGLIHHHSEGSESFTGATLRRRTRLEIHEGSSLEENNSALVSGSSEALEENHSQEHGIVSEVQVEERTNPTESVEGSPVKLNCLKCPLCRGSVMGWMIAKEAREYLDLKTRSCSRESCSFSGNYRELRRHARRVHPTTRPGDVDPTRQRAWRRLEHQREYGDILSAIRSAMPGAIVLGDYVIDGADSLSRERGNSGSGEGSGPWWTSFFLFHMISSPASSHEEPRGSSRAWRTHRRRNLWGENLLVLQDDVGDGNFDGDDNPIPRRRRRFMRSRPDEEQP >DRNTG_26641.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18261057:18265521:1 gene:DRNTG_26641 transcript:DRNTG_26641.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMKGTTSTGTDLHFLHKEWDDISCPICMDHPHNAVLLICGSHDKGCRSYICDTSYRHSNCLDRFKKLRLNNAENSSQHSSSSIENSDSERLGLIHHHSEGSESFTGATLRRRTRLEIHEGSSLEENNSALVSGSSEALEENHSQEHGIVSEVQVEERTNPTESVEGSPVKLNCLKCPLCRGSVMGWMIAKEAREYLDLKTRSCSRESCSFSGNYRELRRHARRVHPTTRPGDVDPTRQRAWRRLEHQREYGDILSAIRSAMPGAIVLGDYVIDGADSLSRERGNSGSGEGSGPWWTSFFLFHMISSPASSHEEPRGSSRAWRTHRRRNLWGENLLVLQDDVGDGNFDGDDNPIPRRRRRFMRSRPDEEQP >DRNTG_26641.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18261057:18265521:1 gene:DRNTG_26641 transcript:DRNTG_26641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMKGTTSTGTDLHFLHKEWDDISCPICMDHPHNAVLLICGSHDKGCRSYICDTSYRHSNCLDRFKKLRLNNAENSSQHSSSSIENSDSERLGLIHHHSEGSESFTGATLRRRTRLEIHEGSSLEENNSALVSGSSEALEENHSQEHGIVSEVQVEERTNPTESVEGSPVKLNCLKCPLCRGSVMGWMIAKEAREYLDLKTRSCSRESCSFSGNYRELRRHARRVHPTTRPGDVDPTRQRAWRRLEHQREYGDILSAIRSAMPGAIVLGDYVIDGADSLSRERGNSGSGEGSGPWWTSFFLFHMISSPASSHEEPRGSSRAWRTHRRRNLWGENLLVLQDDVGDGNFDGDDNPIPRRRRRFMRSRPDEEQP >DRNTG_09667.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20570523:20571614:-1 gene:DRNTG_09667 transcript:DRNTG_09667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCTRYRHLKKNSISIVKNGSSTLFWLDNWVEGCALADICPHFFLLAQSKEETIVNFMNRGPNPTVYNLSTVSTVLNTLHSQPSSRIDERRWKLTLKGRFSVKTLYNFLNDRGVRCHKTNVILKGDWPKKINLFNWLAWDNKILTVDNLALRRCNVLPTTTCVLCHAVVETTKHLLLHCPLATHIWTFFANLLGIHRTPRSLLDLWGDWRRFFPKPLLPFWDLLVKAITPEAKRAKIEEPLAKVKRSLEFVTTNDAEHEAPFRRDLVFRIFVPLLDPFPVGLFSSFSLLLYLCCFSLCSPLLVISSFVEPRLCLYPPFVLVPFFS >DRNTG_34470.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18135075:18138162:1 gene:DRNTG_34470 transcript:DRNTG_34470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEIATLTEKLQKTKSEFQEQEKRATSAEAHVVALQKQSEELLLEYDRLLEDNQVLQAQALSYRG >DRNTG_16906.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4951666:4955012:1 gene:DRNTG_16906 transcript:DRNTG_16906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNGCTTTFGSMAAKSGPCKSRNHSGLKYSPLASRETNSFSRSYPINGLFSSRVFSMPHLSSASSFLLTGEHGRLTNTINMMPGREISSPRGPVITRASKDVPYSFRYPPMTKKPRWWWRTLACIPYLMPLHETWMYAETAYHLHPFLEDFEFLTYPFLEFLGRLPSWFLMAYFFVAYLGVVRKKEWPHFFRFHVVMGMLLEIALQVIGTVSRWMPLAVYWGKLGMHFWTAVAFAYLFTVLECMRCALGGMYADIPFVCDAAYIQIPYD >DRNTG_28975.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001462.1:121498:122329:1 gene:DRNTG_28975 transcript:DRNTG_28975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEINPLRSSGTICITMKLCKSWLLFWSAPLSEMVFSPLNPSTISLFMEGPDARLLNTSGKEHALLRSSYSIGWCVRIRHSQKKLEVPMKSISRSLESFGKWPEGGQDVTAAEEAISPMV >DRNTG_13720.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5414123:5415818:-1 gene:DRNTG_13720 transcript:DRNTG_13720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSLKQFTCNSYLPVILLLIGRQDRTPVYFPRTPIKLWPHDWHCFPRMILPVLFLVELLCESRANDASVERSDIDGDERGKGPGTSVGLNHIPENRLLPPIVHMIGIHSP >DRNTG_13720.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5414055:5415818:-1 gene:DRNTG_13720 transcript:DRNTG_13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSLKQFTCNSYLPVILLLIGRQDRTPVYFPRTPIKLWPHDWHCFPRMILPVLFLVELLCESRANDASVERSDIDGDERGKGPGTSVGLNHIPENRLLPPIVHMIGIHSP >DRNTG_13720.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5414123:5415886:-1 gene:DRNTG_13720 transcript:DRNTG_13720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSLKQFTCNSYLPVILLLIGRQDRTPVYFPRTPIKLWPHDWHCFPRMILPVLFLVELLCESRANDASVERSDIDGDERGKGPGTSVGLNHIPENRLLPPIVHMIGIHSP >DRNTG_11302.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1560199:1561700:-1 gene:DRNTG_11302 transcript:DRNTG_11302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTNPSRPIPMRLLLLLILAAGAAGQTNTSDTTRYTTTFNPTMAIIIVVLISAFFFLGFFSIYIRQCGGGGGLGSNAGVNPVTGALSRRGNAQRGLDPEVLFTFPTFVYSEVKDHKIGKGSLECAVCLSEFEDDESLRLLPKCDHVFHSDCIDAWLAAHVTCPVCRSNLVPGSDPEPIEAPAIVTAAPDEPPEHVAIAVDGDGDQETDDHREELAELARIGSEQRAAQALRSKSVARPVRFPRSHSTGHSILRRPGENLDRFTLRLPEHVRREMVVAGLQRTTSSLAFPGGGEASARRGYRAGEGSSRAGRSIRLGRSDRWPSVLARTLSARFTSWGNGKRGGDGEGSVKKFDGVGEGSRSGSVKLRMPSVRVPFECLGGSVRPGGDHEPSESPTQPLSRV >DRNTG_01338.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:175004:177866:1 gene:DRNTG_01338 transcript:DRNTG_01338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGGGSSGEEDGDASWRAAIDSVAALDFGSSASKPPAKSQKNSTDSVQDDSLEEQNQSRGSGLKLYQIKAQKLLDEVLEKSLEIVRSTIPAGSENSESNGGIRLFRRAPPGVILDGFDAHQQQQKRPRIIPGEEVNENSKKFKCRLQSVVVDGTNIMAAARDACQRSLARFEAREAAAKEALKREEEWIAKLKKIRGERWLPSLAREMQGGT >DRNTG_08311.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5720087:5763785:-1 gene:DRNTG_08311 transcript:DRNTG_08311.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRPSAVLAFLYIHTGVWKLPTPMWLTHRDRRTPPRPCDFHKVTHRGQFTPLCALEIICHTNTNPRRAKIPYARVFSLDGLENSIGSL >DRNTG_07767.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1021274:1024854:1 gene:DRNTG_07767 transcript:DRNTG_07767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNGPGDHISDVVNGRELPSDSISLEETPMTSVKSIDHVELLREQLKILSGEVALHSSVLKRLSDEAVHNPKKEHIQLEMRKVNEEIKAKSQQIASIEKQMADSFLATQGKIDKLENSLSYADLVEQLNEKSFELEVKTADNRVIQEQLNEKTSECQELQETVASLRLQLTQAHEVENSFLTSNQTHHGIGEAQSPRSRDLSSRKLSTELLQQAQASEICELKEKVLELTEAKSQLEVRNQKLMEESSYAKGLASAAGVELKALSEEVTKLMNHNERLATELATLRSSTPRRATSVTRNNNRRDSGQHIKRQETMAKKEANAVYERELLSLEASLREKDQREVELQKKVEESKQREAFLENELANMWVLVAKLKKANGTETNDSNFKE >DRNTG_11640.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:56389:59383:1 gene:DRNTG_11640 transcript:DRNTG_11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DWF3 [Source:Projected from Arabidopsis thaliana (AT5G05690) UniProtKB/TrEMBL;Acc:A0A178UQC4] MALSSSFAFLFLFFTHDQHSSSPTAPVGSILLLLILLSIIIIILSSLIAVARKDKGQALPPGFLCLPLVGETLKLVAAYKTEDPEPFIDERVSRHGRVFTTHVFGERTIFSADPEFNRQVLTGEGRSFECSYPSSISTLLGRHSLLLMKGPLHKRMHSLILCRFSSPSALRESLLPDIDRLIRLTLDSWVPDGQEAITVRLLEQAKKITFDLTVKQLLSFDPGVWTESLRREYLLVIEGFFSIPLALPCFLSSSTTYGRALRARNKVAEALREVLRKRRDEKQMRDRSDDESDQQRQKKRDMVEELLEAEAGGLSEDEMVDFILALLVAGYETTSTIMTLAVKFLTENPPALALLLEEHDSIRAKKLHESETLDWTDYKSMPFTQCVINETLRVANIISGVFRRAVTDVHFKGYTIPKGCMVFSSFRAVHLDQNHYEDARTFNPWRWQDKDVPLQTSAGAGIFTPFGGGPRLCPGYELARVEISVFLHHLLTRFRWEAAEKDRLVFFPTTRTLKGYPINVRHRRPVI >DRNTG_24401.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2665985:2667498:-1 gene:DRNTG_24401 transcript:DRNTG_24401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLRASIIDATSRFSNGKNAADFLAEKLGLPSPKPYLSFINKADIDDELLKGVNYASGGAGILNSTYKGYCIPFDKQVNYFSEVANLMVPLIVLLEPCLVPKELIICFGIIFITLSIPSYQDDDDDLYAMNVKKRSDH >DRNTG_07068.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2133304:2134795:1 gene:DRNTG_07068 transcript:DRNTG_07068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLRLPSGEVRLISQNCLATVGQVGNVGVNQKSLGRAGSKCWLGKRPVVRGVVMNPVDHPHGGGEGRAPIGRKKPTTPWGYPALGRRSRKRKKYSDSFILRRRK >DRNTG_25574.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29730058:29733054:-1 gene:DRNTG_25574 transcript:DRNTG_25574.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLYLTRKQMQCQQFEALMASAASNNIVVPAVPHMSRLNSNAHGSASIVTSIYSDDNDVEDLEMLLEAYFMQLDGTRNKILSVREYIDDTEDYVNIQLDNQRNELIQLQLILTIASFAIAINTLIVGIFAMNIPCNLYYVQNFFEPFVGGTSAGCFLIFLLMLGYARWKKLLGP >DRNTG_22179.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:924616:927133:1 gene:DRNTG_22179 transcript:DRNTG_22179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPEVANGCDHELTKDGTVDLKGRPCFRSRGGRWKACSYIVGYEVFERMAFYGIGANLVLYLTTKLHENTVSSANNVTDWAGTVWMTPIIGAYIADAFLGRYWTFVFSSIIYLMGMILLTLTVSIPSLRPPKCGNEQNECDIHASKFQVGIFYCALYIIAIGTGGTKPNISTMGADQFDDFHPKERSQKLSFFNWWMFSIFFGTLFANSILVYIQDHVGFAVGYALPTFGLMFSVLVFLIGTPYYRHKLPSGSPFTKIAAVLVAAIRKSNVPLPDDPNELHELHDKEYARLGKFRISSTPSLRCLNKAAVKTGDGETEWKLCTVTQVEETKQMIKMLPVLIVTFIPSVMIAQINTLFIKQGTTLERNIGRHFQIPPASLTAFVTLFMLISLLLYDRLFVPVIRKYTKNPRGITLLQRMGIGMVLHIIIMLTASLVERKRLSVAKQYGLTDQKGDNSA >DRNTG_27552.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2988614:2991478:1 gene:DRNTG_27552 transcript:DRNTG_27552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKPHVMCIPFPAQGHINSLLKLAKLLHSNGFIITFVHNKSTHSRILKSSGDSSLHDSHDFYIQTMEVDEGEIVPFSLATYDNMRLIAFRSLMSKLNDPSSSVPPVTCIISDFVFSFAYEAAAEFQLPHVTFSSLGAFSFMSFLHFKQLIEQGLIPLKSEGDLTNGYLDTPIDWIPGMKNIHLKDLPSFVRTTDPNDLALNFMIHIFQKVFQTSAIIINTFDDLEGTVLQAMAEMLPPIYTVGPLSLFSDHGSSFWKEDMNCLEWLDGKLPKSVIYVNFGSIAVLTKEQLTEFAWGLVDSEHDFLWIIRQGLVKGDQESDVVLPEEILREMNGRGLITSWCQQEKVLSHPSIAGFLTHNGWNSTLESISAGLPMLCWPYYADQQTNCHYVCKEWGMGMEIEHDVKREKVTSLIKELMGGEKGKEMKEKALEWKECAFRAIKEDGSSSLNLDKLVKELLQRRDAI >DRNTG_17995.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000931.1:1417:6746:1 gene:DRNTG_17995 transcript:DRNTG_17995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCDRNETDLNINIPAVMLPQDAGASLESTIKNGVAVAVQLYSPDRPLVDTAEVFLWLMAVCTILCASYWSAWSAREASTEHEKLLKDAPEELLKMETSGSGVVDINTASALLFVVIASCFLILLYKRMSSWFVELLVILFCIGGVEGLQTCLVVLLSRWFKRAGESFIKVPFFGDVSYLTLAVSPFCIAFAVIWAVYRRISFAWIGQDILGIALIITVLQIVHVPNLKVGTVLLSCAFLYDHLLGFSF >DRNTG_17995.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000931.1:1417:4703:1 gene:DRNTG_17995 transcript:DRNTG_17995.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCDRNETDLNINIPAVMLPQDAGASLESTIKNGVAVAVQLYSPDRPLVDTAEVFLWLMAVCTILCASYWSAWSAREASTEHEKLLKDAPEELLKMETSGSGVVDINTASALLFVVIASCFLILLYKRMSSWFVELLVILFCIGGVEGLQTCLVVLLSRWFKRAGESFIKVPFFGDVSYLTLAVSPFCIAFAVIWAVYRRISFAWIGQDILGIALIITVLQIVHVPNLKVICYFFSVH >DRNTG_01882.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21091279:21095128:1 gene:DRNTG_01882 transcript:DRNTG_01882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGVVSVSSILGVGRRASSSNSKSLRISCIGWDPEGILGPPKGGHIARLEFKKSLEKDADARESFNRQVREERERRRARREERVVPETNEGLVEYFLDTEARELEFEIAKLRPRLNEEFFDHLRLELGQIRFAVSRTKEMEDRLIELEAMQKVLLEGAEAYDKMQEDLVLVKGRLMKILQSKDRKSTLLEMVERNELNRSVLALLDENIASAVKSNQQEAVAFMESVRASILKYMTI >DRNTG_35435.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13982229:13983661:1 gene:DRNTG_35435 transcript:DRNTG_35435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGLNRVGIRHPHALWILYMGLWNFHKGVENFHLPVWILCKPDFRPAKQRIHTGVWKLSTPVWKFRTGACSVHARGVARFQPYLKPISAPISAFFSPSFPQLVRGLRQGFRGVVAKVLEKFYGSDIVIPLGRRLVGELRSSSILYRTKESLDDE >DRNTG_17870.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28303472:28305608:1 gene:DRNTG_17870 transcript:DRNTG_17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAPAIATVCSASSRRPTPLFSNPTIVLISGNTSRFHAFGSFTQTSLSSFSSWHGLKHLRISFRRDFRHKGRKEKCRGSGVYASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGNTLRAFQPTIKELQDVSREFKNTLEREIGLDEVASTANNRNIPTSSLSSETELPTVDPNGATTQDPVEYLKKVRAEQFAASMAAQSEEVASSPEASSEAPSPATLENVSAPLATSEVAAAAPEAIAEATSSEKTEK >DRNTG_29472.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:851761:853362:-1 gene:DRNTG_29472 transcript:DRNTG_29472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFNGLTELPITISRLPVFYKQRNSYFYPAWAFSIPSWILRIPYSIVEAVVWSCVVYYSVGLAPSVGRFFRFMLLMFSIHQMALGLFRMMAGVARDMIVANTFGSAALLAIFLLGGFIVPKDMIKPWWIWAFWISPLT >DRNTG_31343.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2828203:2831968:-1 gene:DRNTG_31343 transcript:DRNTG_31343.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPFSLASYDNKNLIAFRSLMLKLNDPSSGVPPVTCIISDIVFAFTYEAAAEFHLPHVTFSSLGAFSFMGFLHFKQLIEQELAPLKSESDASNGYLDIPIEWIPGMKNINLRDLPSMIRTTDPNDIPLNFMNHAFQKVFQTSAIIINTFDELEGNVLKAMAKMLPPIYTVGPLSLISDHCSSFWKEDMNCLEWLDGKQPNSVIYVSFGSFAELTNDQLIEFAWGLVYSEHDFLWIIRQGLIKGDQESDVVLPEEILREIMNERGLITSWCRQEKVLSHPSIAGFLTHSGWNSTMESISVGLPMLCWPHYGDQTTNCHYVCKEWGIGLEIEHDVNREKVASLIKELMSGKKGKEMKEKALEWKECAFRAVREGGSSFLNVDKLVEETSSREPKKYSRVKMMKRELSRHQSKKDKSNRSTYGHLKAVLTAVSIFQRTLPSVQAELTPIRAVTRGVQRSLQSSVYGDSREPWWHAYTRKGGLRVKLENLMSKAYARKDNPEGFMTTTYARKGSRKNQYSEVYGSVLKAVSWTVTQTEDLTDLIYSRKWSRKAREYLLKLPYSYVLTLQFLEAELGKQGDDFQHLKGDLMGDLDPHSRGLEVVAVKLTLAACVEASLGLPRQFFISTIEKKVFMNFMFPPIICILDACPPVMKG >DRNTG_34902.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002200.1:4474:8039:1 gene:DRNTG_34902 transcript:DRNTG_34902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLEKALTRFMQSTNTRFESVEATLRNHTTSLHNLENQVGQIAKSLSERTHGSLPSNTETNPREQVKAITLRSGREVEGRLPNITDEIIDEYMHEMFNPDPYEGLFNQEEDDEEVMILGSTEEVPSTPGILKKVIRKNE >DRNTG_35076.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19582286:19584209:1 gene:DRNTG_35076 transcript:DRNTG_35076.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASENGAQKEGDLSHSDNLGMTITNCLLNEGNYDMWEKAMTNALTVKNNVGFIDGSVMKPKDGTPEAVNWKACNLILKSWIMNLIDVSIQPSVNCHEMVKEL >DRNTG_35076.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19580288:19584209:1 gene:DRNTG_35076 transcript:DRNTG_35076.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASENGAQKEGDLSHSDNLGMTITNCLLNEGNYDMWEKAMTNALTVKNNVGFIDGSVMKPKDGTPEAVNWKACNLILKSWIMNLIDVSIQPSVNCHEMVKEL >DRNTG_18023.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000935.1:12453:16119:1 gene:DRNTG_18023 transcript:DRNTG_18023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSDNAPPRTIVQVQEPSIMLPNLCNATSVRIPTTAPMSLSKRSWARDVKNIRTGEHPLPPKVPQSPTVLTEAGE >DRNTG_01547.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:8230520:8231387:1 gene:DRNTG_01547 transcript:DRNTG_01547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHTHTHTHTQNTHTKEKRTPKKLILVSSFDLHAWPMHVHVLKEGRFLHHLQDLQVLHQIHYHLQGHHQRRQALPNLQHLLVHQALQHLLYPLQHP >DRNTG_03452.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000160.1:953:1703:-1 gene:DRNTG_03452 transcript:DRNTG_03452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYPLTHVWDCPQQKMDSVDCSIYVMRFLEQILNGEQLHLPEIDVPWLRLVYVNKIYTDGLRRNPNTTTQMDVVGEEDQVVADEVAVDQGEAPNPPTEECAAPKPETTV >DRNTG_31780.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16951295:16952593:1 gene:DRNTG_31780 transcript:DRNTG_31780.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPLRFHEIVISNFDLSYLVSSCTQLQQLELVSLKNDNSNIVKTGPARPVRPEKPRTGHEAGPATPFDSVLR >DRNTG_10217.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:22257:26052:1 gene:DRNTG_10217 transcript:DRNTG_10217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRPAISSSSSRSRRNNAIRIITQTAIDAKNAAEFEEQGSSFDYTQTVLPSHEVNSNPEPQRTSDKVTAYLQHIKKAKLIQPFGCLIAIHEESFKIMAYSENAPEMLTMVSHAVPTSSERSTTTSLGISIGTDMRTIFTSASAAALQKALGYNEVSLLNPILVHCKTSGKPFYAIVHRVTGCLIFDFEPVKPSDIPTSTTASGALQSYKLAAKAIARLQSLPGGSMDTLCETLVEEVFQFTGYDRVMVYKFHEDDHGEVYQEITKPGMVPYLGLHYPATDIPQAARFLFIKNKVRMICDCRAKHVNIHQDETLPFDITFCGSTLRAAHNCHLQYMSNMNSIASLTMAVVVNEGEEEDDHLEPGQSSQQQQQQQQQKRKHLWGLVVCHNESPRFLPFPMRYACQFLMQVFSVHVTKELELENQIREKNILRTQTLLCDMLLRDTAPVGIITQSPNIMDLVKCDGAALLYDDRIWRLGLTPTKEQIRSIAHWLAEHHMAPTALSTDSLQDAGYPDAQALGDLVCGMAAAWVTSKDILFWFRSHAAAEIRWAGAKHETDDKDDGTKMHPRSSFKAFLQVTKMKSLPWKDF >DRNTG_03165.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18590625:18591366:1 gene:DRNTG_03165 transcript:DRNTG_03165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYVQEMMNLHPYKVFLDQEVEIKDVITLGLEDKIQPTPGIMKKMLWKMKRARRRHKKRPKANGDEQERKNTKGHVEIPHGRVLSFRAHPKRT >DRNTG_08219.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1109898:1116647:-1 gene:DRNTG_08219 transcript:DRNTG_08219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNKRPEVSTPGDLYSDHLSGEEDEQETNKIKVLNNSPSFPRMN >DRNTG_08219.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1109898:1112406:-1 gene:DRNTG_08219 transcript:DRNTG_08219.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQNKRPEVSTPGDLYSDHLSGEEDEQETNKIKVLNNSPSFPRMN >DRNTG_08219.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1109898:1112406:-1 gene:DRNTG_08219 transcript:DRNTG_08219.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQNKRPEVSTPGDLYSDHLSGEEDEQETNKIKVLNNSPSFPRMN >DRNTG_08219.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1109898:1112406:-1 gene:DRNTG_08219 transcript:DRNTG_08219.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQNKRPEVSTPGDLYSDHLSGEEDEQETNKIKVLNNSPSFPRMN >DRNTG_08219.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1109898:1112406:-1 gene:DRNTG_08219 transcript:DRNTG_08219.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQNKRPEVSTPGDLYSDHLSGEEDEQETNKIKVLNNSPSFPRMN >DRNTG_15256.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4356027:4357386:-1 gene:DRNTG_15256 transcript:DRNTG_15256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVNYKVPLGDAFDAFLKGIHLAGPLWDHVLGFWNASLVNPDKVWFMKYEELKSDTAVNLKKLAEFMGCPFTEEEERDGVLEKILDMCSFEGLRNLEVNKNGTATTDISLKFGNDAYFRKGEVGDWVNFLTPEMAERLDQAMEQNLKGSGLSFEP >DRNTG_19917.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5991363:5992802:-1 gene:DRNTG_19917 transcript:DRNTG_19917.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLQNGIHVSIKNSIDSGFYFFNRDINSTQNPSHPARRIQIEMPWMDGWMEVEAGEWCRMQRRSAIEEEEDKEDEEEENKEDEDEEDKGAMHREREREAA >DRNTG_19917.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5991363:5992802:-1 gene:DRNTG_19917 transcript:DRNTG_19917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMFPSRIALTVDSISSIETSTPARRIQIEMPWMDGWMEVEAGEWCRMQRRSAIEEEEDKEDEEEENKEDEDEEDKGAMHREREREAA >DRNTG_34105.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002087.1:27993:44540:1 gene:DRNTG_34105 transcript:DRNTG_34105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKYKPSGNTSSASMVPLDFPKKALEKGNGLDPGMAHGSLPFEADVDIDLSEVYFLIMHFLSSGPCKRTCGQLWNELLEHQLLPRRYHAWYSRNGTGTGDENDDGISLPLCYLKLVERYPHIEKDHLVKLLKQLMVNCSPQNGIVGGNPPNAADVPTLLGTGSFSLLGSSKDVEDKRTNQLPRYLRWPHMQSDQVRGLSLREIGGGFAKHHRAPSVRAACYAIAKPSTLVQKMEIIKKMRGHQNAVYCAIFDRSGQYVVTGSDDRLVKIWSMETAFCLASCRGHEGDITDLAVSSNNALVASSSNDFIIRVWRLPDGLPISVLRGHTGAVTAIAFSPRPSSVYQLLSSSDDGTCRIWDARYSQMSPRIYMPKPPDVLPGKSNDAAPSASQQKHQILCCAYNANGTVFVTGSSDTYARVWNACKSNTEDSDQPIHEMDLLSGHENDVNYVQFSGCAVAARSSTADALKEDNLPKFKNSWFTHGNIVTCSRDGSAIIWIPKSHRSHGKVGRWTRAYHLKVPPPPMPPQPPRGGPRQRFQPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLVHSLIGHTQSTFVLDVHPFNPRIAMSAGYDGITIIWDIWEGTPVRIYDIGRFKLVDGKFSPDGMSIVLSDEVGQIFIIATGQGESQKDAQYDQFFLGDYRPLIQDTHGNFLDQETQLAPYRRNIQDLLCDSSMIPYPEPYQNMYQQRRLGILGIEWRPPSMKFAVGPTYNVNSGDFQPLPIIDLDRLVEPLPEFSLLDAMDWEPENEMQSDDTDSEYNVTDECSSEGEHASLSNSSSGDPECSAEDVRADHASKEGLRRSRRKKHKSEVEFTTSSGRRVKRRNLDERDGTISRSRKTRKSKNRGLNSKSKSSTSKTLRPQRRAARNALNLFSKIHVGSSDGEEEEEEDDDDEETTSSESDSALPESNTQSNESNLTSPVKLGGDKDASGDEFEDVRKPPLLTDTQTSVGNKRRLVFKLPRRDPKLVVSSETMRAECSAQAGLELSNIANADSNNLDGSYSGFSRPKQPLEDGADGVSSQKGLIGQLKESEPTEKSDQMNFSGGCQGNTIKWGEVKTRSSKRLRLGDTLTAETWPAANTGTDGQNIISSDFNGRTKSDDDCGLPSSSMNQVHGDKDQKGYKVEVNFQGSGLQGLDGARVKGLSPEYARPDSLALQNFSGIADQRGEATLPAISNGDRIEMTGPMHEKYQDSHVASDCRADDELPKASAGNNGDDNKEVDRIVQPVFRRLRIKTKGLLNEASSSSSKLQSVALDDRRTSECDLMSAGVELNGENLSSAVHEEHESTSWPTPDHQELMYNGSRSYGMKENKNGRLSNSHDLEKLNVDSDGKTFNAVYRRSKSHKIRKNSDGDVHNMEERIDSDNHVGNVKVDFGDTFVDGVRRTRSMGLRVTGEESNPTVNNFNFKPRESYGFAETSRNGGKTALNGRDQLFSDEWKSTSRPTLGLRSARNRRENYSSCELRPLDKRKYHQPIRKLSWLTLLEHEESYRYIPQQGDEVAYLRQGHEEYVKSYRSQEPVPWKFVKGSLKSVEFCKVRDLHYSTLPGSGESCCKLTLEFTDPSSCGVGKAFKLTLPELVAFPDFLVERARFEAAIERNWTHRDKCQVWWRNEDGDGGSWWEGRILAVKPKSPDYPDSPWERYVIQYRNDSSGQHSHSPWELHDADSPWVHPHIDDRSKTKLLSSLAKLEQSSLRNQDYHGVQKLNQAAQKSDFLNRFPVPLSLEIIKMRLENNYYRNVEAVKHDASVMLSNAESYFGKSAEMTMKMRRLSDWITRTF >DRNTG_34105.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002087.1:32082:44540:1 gene:DRNTG_34105 transcript:DRNTG_34105.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQPPRGGPRQRFQPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLVHSLIGHTQSTFVLDVHPFNPRIAMSAGYDGITIIWDIWEGTPVRIYDIGRFKLVDGKFSPDGMSIVLSDEVGQIFIIATGQGESQKDAQYDQFFLGDYRPLIQDTHGNFLDQETQLAPYRRNIQDLLCDSSMIPYPEPYQNMYQQRRLGILGIEWRPPSMKFAVGPTYNVNSGDFQPLPIIDLDRLVEPLPEFSLLDAMDWEPENEMQSDDTDSEYNVTDECSSEGEHASLSNSSSGDPECSAEDVRADHASKEGLRRSRRKKHKSEVEFTTSSGRRVKRRNLDERDGTISRSRKTRKSKNRGLNSKSKSSTSKTLRPQRRAARNALNLFSKIHVGSSDGEEEEEEDDDDEETTSSESDSALPESNTQSNESNLTSPVKLGGDKDASGDEFEDVRKPPLLTDTQTSVGNKRRLVFKLPRRDPKLVVSSETMRAECSAQAGLELSNIANADSNNLDGSYSGFSRPKQPLEDGADGVSSQKGLIGQLKESEPTEKSDQMNFSGGCQGNTIKWGEVKTRSSKRLRLGDTLTAETWPAANTGTDGQNIISSDFNGRTKSDDDCGLPSSSMNQVHGDKDQKGYKVEVNFQGSGLQGLDGARVKGLSPEYARPDSLALQNFSGIADQRGEATLPAISNGDRIEMTGPMHEKYQDSHVASDCRADDELPKASAGNNGDDNKEVDRIVQPVFRRLRIKTKGLLNEASSSSSKLQSVALDDRRTSECDLMSAGVELNGENLSSAVHEEHESTSWPTPDHQELMYNGSRSYGMKENKNGRLSNSHDLEKLNVDSDGKTFNAVYRRSKSHKIRKNSDGDVHNMEERIDSDNHVGNVKVDFGDTFVDGVRRTRSMGLRVTGEESNPTVNNFNFKPRESYGFAETSRNGGKTALNGRDQLFSDEWKSTSRPTLGLRSARNRRENYSSCELRPLDKRKYHQPIRKLSWLTLLEHEESYRYIPQQGDEVAYLRQGHEEYVKSYRSQEPVPWKFVKGSLKSVEFCKVRDLHYSTLPGSGESCCKLTLEFTDPSSCGVGKAFKLTLPELVAFPDFLVERARFEAAIERNWTHRDKCQVWWRNEDGDGGSWWEGRILAVKPKSPDYPDSPWERYVIQYRNDSSGQHSHSPWELHDADSPWVHPHIDDRSKTKLLSSLAKLEQSSLRNQDYHGVQKLNQAAQKSDFLNRFPVPLSLEIIKMRLENNYYRNVEAVKHDASVMLSNAESYFGKSAEMTMKMRRLSDWITRTF >DRNTG_34105.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002087.1:27993:44540:1 gene:DRNTG_34105 transcript:DRNTG_34105.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKYKPSGNTSSASMVPLDFPKKALEKGNGLDPGMAHGSLPFEADVDIDLSEVYFLIMHFLSSGPCKRTCGQLWNELLEHQLLPRRYHAWYSRNGTGTGDENDDGISLPLCYLKLVERYPHIEKDHLVKLLKQLMVNCSPQNGIVGGNPPNAADVPTLLGTGSFSLLGSSKDVEDKRTNQLPRYLRWPHMQSDQVRGLSLREIGGGFAKHHRAPSVRAACYAIAKPSTLVQKMEIIKKMRGHQNAVYCAIFDRSGQYVVTGSDDRLVKIWSMETAFCLASCRGHEGDITDLAVSSNNALVASSSNDFIIRVWRLPDGLPISVLRGHTGAVTAIAFSPRPSSVYQLLSSSDDGTCRIWDARYSQMSPRIYMPKPPDVLPGKSNDAAPSASQQKHQILCCAYNANGTVFVTGSSDTYARVWNACKSNTEDSDQPIHEMDLLSGHENDVNYVQFSGCAVAARSSTADALKEDNLPKFKNSWFTHGNIVTCSRDGSAIIWIPKSHRSHGKVGRWTRAYHLKVPPPPMPPQPPRGGPRQRFQPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLVHSLIGHTQSTFVLDVHPFNPRIAMSAGYDGITIIWDIWEGTPVRIYDIGRFKLVDGKFSPDGMSIVLSDEVGQIFIIATGQGESQKDAQYDQFFLGDYRPLIQDTHGNFLDQETQLAPYRRNIQDLLCDSSMIPYPEPYQNMYQQRRLGILGIEWRPPSMKFAVGPTYNVNSGDFQPLPIIDLDRLVEPLPEFSLLDAMDWEPENEMQSDDTDSEYNVTDECSSEGEHASLSNSSSGDPECSAEDVRADHASKEGLRRSRRKKHKSEVEFTTSSGRRVKRRNLDERDGTISRSRKTRKSKNRGLNSKSKSSTSKTLRPQRRAARNALNLFSKIHVGSSDGEEEEEEDDDDEETTSSESDSALPESNTQSNESNLTSPVKLGGDKDASGDEFEDVRKPPLLTDTQTSVGNKRRLVFKLPRRDPKLVVSSETMRAECSAQAGLELSNIANADSNNLDGSYSGFSRPKQPLEDGADGVSSQKGLIGQLKESEPTEKSDQMNFSGGCQGNTIKWGEVKTRSSKRLRLGDTLTAETWPAANTGTDGQNIISSDFNGRTKSDDDCGLPSSSMNQVHGDKDQKGYKVEVNFQGSGLQGLDGARVKGLSPEYARPDSLALQNFSGIADQRGEATLPAISNGDRIEMTGPMHEKYQDSHVASDCRADDELPKASAGNNGDDNKEVDRIVQPVFRRLRIKTKGLLNEASSSSSKLQSVALDDRRTSECDLMSAGVELNGENLSSAVHEEHESTSWPTPDHQELMYNGSRSYGMKENKNGRLSNSHDLEKLNVDSDGKTFNAVYRRSKSHKIRKNSDGDVHNMEERIDSDNHVGNVKVDFGDTFVDGVRRTRSMGLRVTGEESNPTVNNFNFKPRESYGFAETSRNGGKTALNGRDQLFSDEWKSTSRPTLGLRSARNRRENYSSCELRPLDKRKYHQPIRKLSWLTLLEHEESYRYIPQQGDEVAYLRQGHEEYVKSYRSQEPVPWKFVKGSLKSVEFCKVRDLHYSTLPGSGESCCKLTLEFTDPSSCGVGKAFKLTLPELVAFPDFLVERARFEAAIERNWTHRDKCQVWWRNEDGDGGSWWEGRILAVKPKSPDYPDSPWERYVIQYRNDSSGQHSHSPWELHDADSPWVHPHIDDRSKTKLLSSLAKLEQSSLRNQDYHGVQKLNQAAQKSDFLNRFPVPLSLEIIKMRLENNYYRNVEAVKHDASVMLSNAESYFGKSAEMTMKMRRLSDWITRTF >DRNTG_34105.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002087.1:39598:43013:1 gene:DRNTG_34105 transcript:DRNTG_34105.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQETQLAPYRRNIQDLLCDSSMIPYPEPYQNMYQQRRLGILGIEWRPPSMKFAVGPTYNVNSGDFQPLPIIDLDRLVEPLPEFSLLDAMDWEPENEMQSDDTDSEYNVTDECSSEGEHASLSNSSSGDPECSAEDVRADHASKEGLRRSRRKKHKSEVEFTTSSGRRVKRRNLDERDGTISRSRKTRKSKNRGLNSKSKSSTSKTLRPQRRAARNALNLFSKIHVGSSDGEEEEEEDDDDEETTSSESDSALPESNTQSNESNLTSPVKLGGDKDASGDEFEDVRKPPLLTDTQTSVGNKRRLVFKLPRRDPKLVVSSETMRAECSAQAGLELSNIANADSNNLDGSYSGFSRPKQPLEDGADGVSSQKGLIGQLKESEPTEKSDQMNFSGGCQGNTIKWGEVKTRSSKRLRLGDTLTAETWPAANTGTDGQNIISSDFNGRTKSDDDCGLPSSSMNQVHGDKDQKGYKVEVNFQGSGLQGLDGARVKGLSPEYARPDSLALQNFSGIADQRGEATLPAISNGDRIEMTGPMHEKYQDSHVASDCRADDELPKASAGNNGDDNKEVDRIVQPVFRRLRIKTKGLLNEASSSSSKLQSVALDDRRTSECDLMSAGVELNGENLSSAVHEEHESTSWPTPDHQELMYNGSRSYGMKENKNGRLSNSHDLEKLNVDSDGKTFNAVYRRSKSHKIRKNSDGDVHNMEERIDSDNHVGNVKVDFGDTFVDGVRRTRSMGLRVTGEESNPTVNNFNFKPRESYGFAETSRNGGKTALNGRDQLFSDEWKSTSRPTLGLRSARNRRENYSSCELRPLDKRKYHQPIRKLSWLTLLEHEESYRYIPQQGDEVAYLRQVIMVFCGMV >DRNTG_17320.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18152306:18160481:-1 gene:DRNTG_17320 transcript:DRNTG_17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVANMTTAALDWVSVKFNAPLAGMVVFGVHIHGHLVVEVLLIAVILFQLSRKSYKPPKKPLTEKEIDDLCDEWAPEPLHPPITEEMLSEPPTLESAAGPHTIIDGKEVVNFASANYLGLIGHEKINDSCIGSLEKYGVGSCGPRGFYGTIDVHLDCEARISKFLGTPDSILYSYGISTIFSVIPAFCKKGDIIVVDEGVHWAVQNGLYLSRSTIVYFKHNDMSSLKSTLEKVTRGNRRAEKIRRYIVVEAIYQNSGQIAPLDEIIRLKEKYLFRVVLDESHSFGVLGSSGRGLAEHYGVPVEKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAIAAVDYLEENPALLSKLKRNIALLWNELSELPSLILASNPLSPIVFLKLKRSTGSTKGDMKLLDEIVDRVLKEDLVFIVTTKRSTLDKCRLPVGLRIFVSAGHTESDLLKASNSLRRVTALVLSELA >DRNTG_19658.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2951203:2958018:-1 gene:DRNTG_19658 transcript:DRNTG_19658.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethanolamine-phosphate cytidylyltransferase [Source:Projected from Arabidopsis thaliana (AT2G38670) UniProtKB/Swiss-Prot;Acc:Q9ZVI9] MVSDCSGGGGNGLMENLASSRFVVACVFAGMVVGASVLALHLGGYANAVGMPGFGKKKKRRPVRVYMDGCFDMMHYGHCNALRQARALGDELVVGVVSDDEIIANKGPPVTPLRERMIMVKAVKWVDEVISDAPYAITEGFMRKLFDEYNIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRMLLCVRERSSGDSHNHSSLQRQFSHGHSQKVDVGGSDSGTRVSNFLPTSRRIVQFSNGKGPGPDARIVYIDGAFDLFHAGHVEILRVARALGDFLLVGIHTDQTVSATRGAHRPIMSLHERSLSVLACRYVDEVIIGAPWEVSKDMITTFNISLVVHGTIAENKDFLKEESNPYAVPITMGIYQQLESPLDITTSTIIKRIVSNHEAYQKRNEKKEASERKYYEEKKFVSDD >DRNTG_09282.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5546055:5546950:1 gene:DRNTG_09282 transcript:DRNTG_09282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSQEDSRGNLAREPWPRKLAGMSTKLMASKRPRKDDVPTIREPRFKQESHKTRYALLACKPFGTIRTIN >DRNTG_12941.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5105755:5109306:1 gene:DRNTG_12941 transcript:DRNTG_12941.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L3-2 [Source:Projected from Arabidopsis thaliana (AT1G61580) UniProtKB/Swiss-Prot;Acc:P22738] MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPSKAPRLTAFMGYKAGMTHIVREVDKPGSKLHKKETCEAVTVIEVPPMIVVGVVGYVKTPRGLRSLNTVWAQHLSEEVKRRFYKNWCKSKKAAFSKYSKKYENEDGKKDIQAQLEKMKKYASVVRVLAHTQIKKMKGLKQKKAHLMEIQVNGGDIAQKVDYAYSFFEKQIPVDAVFQKDEMIDVIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKIGKAGEESHSAVTDFDRTEKEVTPMGGFPHYGVVKDDYIMIKGCCMGPKKRVITLRQSLLKQTSRVAMEEIKLKFVDTSSKFGHGRFQTTQEKAKFYGRLKA >DRNTG_05457.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23072508:23073586:1 gene:DRNTG_05457 transcript:DRNTG_05457.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQYYCKMTTTVPKNPDWSAIDDLVLSMIARHLNAVDHINFRHVCTSWQAATPKRPEIPLIVTCNVSYGRHLSSFDFYDIVRNQSIPLSLTARNALSSLLSTVYKYIGCSHGWIFLAAIRRRPMIISFLNPFTGKRVTLPGIKKVNVSPFKCPRVVLSLPVVVCYSLFPRDLRFIRFGETTWKRIELSSPLRDVTAFKGRFYGHYYSGRNRNNLYLIDLEANNGATLNSGHKYEFLKVDFENGSLEMLPEIGGHVLFLGENLPVLVPPEKLLDFCPDRCHFPENPNALSVYNSYQLGRILSLKGNLGDRSQRRVAAGWITPLQL >DRNTG_25650.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21750338:21753876:-1 gene:DRNTG_25650 transcript:DRNTG_25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILPGTIAMPIHLVSKSEGEGDGEILGPDVKIVTSGRRSISVDSSILASVSPVLQSLLERPLKRGRSSAKVIPVLGVPCDAVLAFVRFLYSSRFSENEEEVDKYGMHLLVLSHVYQVGQLKRACEAGLAVRLTAETVVDVLQLARQCDAPWLYLRCMKFLAKDFSSVEQTEAWQFLQANDPWLELEILQFLQESDSRVKRRRRKREQEQVYIELSEAMECLQHICIEGCTDVGPCDGEPPSKSKGPCSKFNTCQGLQHLIKHFAVCDRKRTPGGCFRCKRMWQLFRLHSYTCDQPEPCKVPLCKQFKDGMQEVKVKGGEGKWEMLVRKVIAARVMTSLAKRHKHEPVHEY >DRNTG_06125.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25598626:25641104:-1 gene:DRNTG_06125 transcript:DRNTG_06125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERDLLQTTLSIALDLILSHLLIYLTAYIVFLLIQEFCLGLAYGVLTISLVHSVHMLLCIAQQRTMALTCIRSFSVIPTGISHTVNLIQMSRVENDRQSQQDGDQNSNSSGWDIIRSLSKTSNCYMSTPHFERVWWDKGCDSRPPISIWRPLPRPGFAALGDCVTEGLEPPSLGLVFKCDSSVISAKPVQFTKVAHIVGRGIDEAFFWYPIPPPGYASLGCVVTRTDQVPKEDSFCCPRMDLVNQANVSDEPVSRSPSSKAPNCWSIWAVDNQAYTFLARPDLRKPSSRLAYNITDCVKPKARENITAEINVGCFSVNILDSLCGTMTPVFDTTITNINLVTHGRLESMNAVLVCSIAASTFNRQLEAWEPLVEPFDGIFKFETYDTNGQSPNKIGKRIRVAATTIVNLNLSAASLEVLTETMVSWNKQNDLEQKLLRRNEEPAENFSNVDLAFSALEDDLQKVIVENNLGCDIFIKTVEQISETVDVLQNNTQMTLLMPPPTFSDRLNTVSKSREIRYYVAIEIIESKGLPLLDDGNSHEYFCALRLLIESKGPDQYKLSPQSARTRCVKPNISKNSNQEGHAKWNELFIFEVPEQGSANLEVEVTNLASKAGKGEVIGALSIPIGNGAHTLKRASSIRMLQQTANAQRFSLYPLRRKDQDGSGEDRVNCGNLVVSTTYFERGSCLNSQRKTESTMSSDRDVGFWVGLGPEGPWESIDSLLPLTVIPQSIDKNPFALDVTMQNSKKHAILRALAIVMNDADVKFEISLCPAAMLSSSIVNMENSNFSTVTEEVFENQRYQPISGWGNNSSSSHSSDPGRFSTRNFSYSSKDFFEPSLPEGWRWISPWNIERSEFVDSDGWAYGPDFQSLKWPPTSSRSSSKSVPGFVRRRRWIRTRRQLPEKRVSSAHNVIAVVNPGSSSVLPWRSMAKDMDMCLLVRPYGENSQEPYTWGQSVTLNSSKNRSLNQQASFSKQSTMQHENLSSQNSPLRLNQLEKNDMLLCCRPSGSAPPYFWLGISVDASVLHTELNTPIYDWKISINAAIRLENKLPCQSEYTIWEKTTEGAMLKRQHGIIPSCRTAFIYSADLRRPIYLTLFVQGGWVLEKEAVLIMDLISLGHASSFWMVQKPSNRRLRVSVEHDMGGTDAAPKTVRFFVPYWIQNDSCVPIIYRLVEVEPLDNSEADSLLISKAVKSAKVALKQTSRSIDRKHSLLRRNIQILENIDESYQNFVMFSPQDYMNRSGILPFPSRGDTFLSTRVGISVAVRHSDNYCPGISLFELERKERVNVKAFASDGSYYKLSAQLNMASDRTKVVRFIQQSLFLNRTGNSISLSQDGTQHVDRLHAVDPPKLFKWQSSARNEKLKLRVDGYKWSTPFSIESDGLMCVCMENEKENSQMYIKVEVRSGTKSSPDEVIFRLASSSSPYRIENRLLFLPIRFRQVNGTDNSWHSLPPNASASFFWEDLGRQRLLEVLVDGTDSTKSEKYNLDELVDHQPMQTYNGPIRPLHFTVLKEGKVNIARICDWMPDNDSVALVHGGISLPVFQPSNSDYGMSPSASDSECHVTFELAELGLSVIDHMPEEILYLSMQSLSVSYSSGLGLGISRFKLRMQGIQVDNQLPFTPMPVLFRPQRVGDDMEYILKFSLTMQTNGSLDLSVYPYLGLQVPENCAILVNIHEPIIWRLHEMFQQAKSSRIFGSPTNAVSVDPTIQIGLLNISEIRFKLSMTMSPTQRPKGVLGFWSSLMTALGNTEHMPVRIAPRFHENICMRQSALISSAVSSIQKDLLSQPLQLLSGVDILGNASSALGHMSKGMAALSMDKKFIQSRQKQDNKGVEDIGDVIREGGGALAKGFFRGVTGILTKPLEGAKSSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAVKMKIASAITSEDQLLRKRLPRVIGGDNLLRPYDEFKATGQAILQLAESGAFFGQVDLFKVRGKFAFSDAYEDHFLLPKGKVLLVTHRRVILLQQPANIMAQRKFNPARDPCTILWDVVWDDLVTMELTRGKKDQPGSLPSHLIIYLHSRSIESKESTRVIKCTRGSQQACDIYASIDQALNTYGPNASRLGHKNKVVRPYTPSTTAVSPEIFPKEAFGIWGAQASSGSLPVNSGLGSVVAQSQPRD >DRNTG_06125.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25614237:25628770:-1 gene:DRNTG_06125 transcript:DRNTG_06125.14 gene_biotype:protein_coding transcript_biotype:protein_coding MLERDLLQTTLSIALDLILSHLLIYLTAYIVFLLIQEFCLGLAYGVLTISLVHSVHMLLCIAQQRTMALTCIRSFSVIPTGISHTVNLIQMSRVENDRQSQQDGDQNSNSSGWDIIRSLSKTSNCYMSTPHFERVWWDKGCDSRPPISIWRPLPRPGFAALGDCVTEGLEPPSLGLVFKCDSSVISAKPVQFTKVAHIVGRGIDEAFFWYPIPPPGYASLGCVVTRTDQVPKEDSFCCPRMDLVNQANVSDEPVSRSPSSKAPNCWSIWAVDNQAYTFLARPDLRKPSSRLAYNITDCVKPKARENITAEINVGCFSVNILDSLCGTMTPVFDTTITNINLVTHGRLESMNAVLVCSIAASTFNRQLEAWEPLVEPFDGIFK >DRNTG_06125.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25598626:25632558:-1 gene:DRNTG_06125 transcript:DRNTG_06125.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLERDLLQTTLSIALDLILSHLLIYLTAYIVFLLIQEFCLGLAYGVLTISLVHSVHMLLCIAQQRTMALTCIRSFSVIPTGISHTVNLIQMSRVENDRQSQQDGDQNSNSSGWDIIRSLSKTSNCYMSTPHFERVWWDKGCDSRPPISIWRPLPRPGFAALGDCVTEGLEPPSLGLVFKCDSSVISAKPVQFTKVAHIVGRGIDEAFFWYPIPPPGYASLGCVVTRTDQVPKEDSFCCPRMDLVNQANVSDEPVSRSPSSKAPNCWSIWAVDNQAYTFLARPDLRKPSSRLAYNITDCVKPKARENITAEINVGCFSVNILDSLCGTMTPVFDTTITNINLVTHGRLESMNAVLVCSIAASTFNRQLEAWEPLVEPFDGIFKFETYDTNGQSPNKIGKRIRVAATTIVNLNLSAASLEVLTETMVSWNKQNDLEQKLLRRNEEPAENFSNVDLAFSALEDDLQKVIVENNLGCDIFIKTVEQISETVDVLQNNTQMTLLMPPPTFSDRLNTVSKSREIRYYVAIEIIESKGLPLLDDGNSHEYFCALRLLIESKGPDQYKLSPQSARTRCVKPNISKNSNQEGHAKWNELFIFEVPEQGSANLEVEVTNLASKAGKGEVIGALSIPIGNGAHTLKRASSIRMLQQTANAQRFSLYPLRRKDQDGSGEDRVNCGNLVVSTTYFERGSCLNSQRKTESTMSSDRDVGFWVGLGPEGPWESIDSLLPLTVIPQSIDKNPFALDVTMQNSKKHAILRALAIVMNDADVKFEISLCPAAMLSSSIVNMENSNFSTVTEEVFENQRYQPISGWGNNSSSSHSSDPGRFSTRNFSYSSKDFFEPSLPEGWRWISPWNIERSEFVDSDGWAYGPDFQSLKWPPTSSRSSSKSVPGFVRRRRWIRTRRQLPEKRVSSAHNVIAVVNPGSSSVLPWRSMAKDMDMCLLVRPYGENSQEPYTWGQSVTLNSSKNRSLNQQASFSKQSTMQHENLSSQNSPLRLNQLEKNDMLLCCRPSGSAPPYFWLGISVDASVLHTELNTPIYDWKISINAAIRLENKLPCQSEYTIWEKTTEGAMLKRQHGIIPSCRTAFIYSADLRRPIYLTLFVQGGWVLEKEAVLIMDLISLGHASSFWMVQKPSNRRLRVSVEHDMGGTDAAPKTVRFFVPYWIQNDSCVPIIYRLVEVEPLDNSEADSLLISKAVKSAKVALKQTSRSIDRKHSLLRRNIQILENIDESYQNFVMFSPQDYMNRSGILPFPSRGDTFLSTRVGISVAVRHSDNYCPGISLFELERKERVNVKAFASDGSYYKLSAQLNMASDRTKVVRFIQQSLFLNRTGNSISLSQDGTQHVDRLHAVDPPKLFKWQSSARNEKLKLRVDGYKWSTPFSIESDGLMCVCMENEKENSQMYIKVEVRSGTKSSPDEVIFRLASSSSPYRIENRLLFLPIRFRQVNGTDNSWHSLPPNASASFFWEDLGRQRLLEVLVDGTDSTKSEKYNLDELVDHQPMQTYNGPIRPLHFTVLKEGKVNIARICDWMPDNDSVALVHGGISLPVFQPSNSDYGMSPSASDSECHVTFELAELGLSVIDHMPEEILYLSMQSLSVSYSSGLGLGISRFKLRMQGIQVDNQLPFTPMPVLFRPQRVGDDMEYILKFSLTMQTNGSLDLSVYPYLGLQVPENCAILVNIHEPIIWRLHEMFQQAKSSRIFGSPTNAVSVDPTIQIGLLNISEIRFKLSMTMSPTQRPKGVLGFWSSLMTALGNTEHMPVRIAPRFHENICMRQSALISSAVSSIQKDLLSQPLQLLSGVDILGNASSALGHMSKGMAALSMDKKFIQSRQKQDNKGVEDIGDVIREGGGALAKGFFRGVTGILTKPLEGAKSSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAVKMKIASAITSEDQLLRKRLPRVIGGDNLLRPYDEFKATGQAILQLAESGAFFGQVDLFKVRGKFAFSDAYEDHFLLPKGKVLLVTHRRVILLQQPANIMAQRKFNPARDPCTILWDVVWDDLVTMELTRGKKDQPGSLPSHLIIYLHSRSIESKESTRVIKCTRGSQQACDIYASIDQALNTYGPNASRLGHKNKVVRPYTPSTTAVSPEIFPKEAFGIWGAQASSGSLPVNSGLGSVVAQSQPRD >DRNTG_06125.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25598626:25615667:-1 gene:DRNTG_06125 transcript:DRNTG_06125.12 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCIAQQRTMALTCIRSFSVIPTGISHTVNLIQMSRVENDRQSQQDGDQNSNSSGWDIIRSLSKTSNCYMSTPHFERVWWDKGCDSRPPISIWRPLPRPGFAALGDCVTEGLEPPSLGLVFKCDSSVISAKPVQFTKVAHIVGRGIDEAFFWYPIPPPGYASLGCVVTRTDQVPKEDSFCCPRMDLVNQANVSDEPVSRSPSSKAPNCWSIWAVDNQAYTFLARPDLRKPSSRLAYNITDCVKPKARENITAEINVGCFSVNILDSLCGTMTPVFDTTITNINLVTHGRLESMNAVLVCSIAASTFNRQLEAWEPLVEPFDGIFKFETYDTNGQSPNKIGKRIRVAATTIVNLNLSAASLEVLTETMVSWNKQNDLEQKLLRRNEEPAENFSNVDLAFSALEDDLQKVIVENNLGCDIFIKTVEQISETVDVLQNNTQMTLLMPPPTFSDRLNTVSKSREIRYYVAIEIIESKGLPLLDDGNSHEYFCALRLLIESKGPDQYKLSPQSARTRCVKPNISKNSNQEGHAKWNELFIFEVPEQGSANLEVEVTNLASKAGKGEVIGALSIPIGNGAHTLKRASSIRMLQQTANAQRFSLYPLRRKDQDGSGEDRVNCGNLVVSTTYFERGSCLNSQRKTESTMSSDRDVGFWVGLGPEGPWESIDSLLPLTVIPQSIDKNPFALDVTMQNSKKHAILRALAIVMNDADVKFEISLCPAAMLSSSIVNMENSNFSTVTEEVFENQRYQPISGWGNNSSSSHSSDPGRFSTRNFSYSSKDFFEPSLPEGWRWISPWNIERSEFVDSDGWAYGPDFQSLKWPPTSSRSSSKSVPGFVRRRRWIRTRRQLPEKRVSSAHNVIAVVNPGSSSVLPWRSMAKDMDMCLLVRPYGENSQEPYTWGQSVTLNSSKNRSLNQQASFSKQSTMQHENLSSQNSPLRLNQLEKNDMLLCCRPSGSAPPYFWLGISVDASVLHTELNTPIYDWKISINAAIRLENKLPCQSEYTIWEKTTEGAMLKRQHGIIPSCRTAFIYSADLRRPIYLTLFVQGGWVLEKEAVLIMDLISLGHASSFWMVQKPSNRRLRVSVEHDMGGTDAAPKTVRFFVPYWIQNDSCVPIIYRLVEVEPLDNSEADSLLISKAVKSAKVALKQTSRSIDRKHSLLRRNIQILENIDESYQNFVMFSPQDYMNRSGILPFPSRGDTFLSTRVGISVAVRHSDNYCPGISLFELERKERVNVKAFASDGSYYKLSAQLNMASDRTKVVRFIQQSLFLNRTGNSISLSQDGTQHVDRLHAVDPPKLFKWQSSARNEKLKLRVDGYKWSTPFSIESDGLMCVCMENEKENSQMYIKVEVRSGTKSSPDEVIFRLASSSSPYRIENRLLFLPIRFRQVNGTDNSWHSLPPNASASFFWEDLGRQRLLEVLVDGTDSTKSEKYNLDELVDHQPMQTYNGPIRPLHFTVLKEGKVNIARICDWMPDNDSVALVHGGISLPVFQPSNSDYGMSPSASDSECHVTFELAELGLSVIDHMPEEILYLSMQSLSVSYSSGLGLGISRFKLRMQGIQVDNQLPFTPMPVLFRPQRVGDDMEYILKFSLTMQTNGSLDLSVYPYLGLQVPENCAILVNIHEPIIWRLHEMFQQAKSSRIFGSPTNAVSVDPTIQIGLLNISEIRFKLSMTMSPTQRPKGVLGFWSSLMTALGNTEHMPVRIAPRFHENICMRQSALISSAVSSIQKDLLSQPLQLLSGVDILGNASSALGHMSKGMAALSMDKKFIQSRQKQDNKGVEDIGDVIREGGGALAKGFFRGVTGILTKPLEGAKSSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAVKMKIASAITSEDQLLRKRLPRVIGGDNLLRPYDEFKATGQAILQLAESGAFFGQVDLFKVRGKFAFSDAYEDHFLLPKGKVLLVTHRRVILLQQPANIMAQRKFNPARDPCTILWDVVWDDLVTMELTRGKKDQPGSLPSHLIIYLHSRSIESKESTRVIKCTRGSQQACDIYASIDQALNTYGPNASRLGHKNKVVRPYTPSTTAVSPEIFPKEAFGIWGAQASSGSLPVNSGLGSVVAQSQPRD >DRNTG_06125.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25598626:25613832:-1 gene:DRNTG_06125 transcript:DRNTG_06125.13 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLMPPPTFSDRLNTVSKSREIRYYVAIEIIESKGLPLLDDGNSHEYFCALRLLIESKGPDQYKLSPQSARTRCVKPNISKNSNQEGHAKWNELFIFEVPEQGSANLEVEVTNLASKAGKGEVIGALSIPIGNGAHTLKRASSIRMLQQTANAQRFSLYPLRRKDQDGSGEDRVNCGNLVVSTTYFERGSCLNSQRKTESTMSSDRDVGFWVGLGPEGPWESIDSLLPLTVIPQSIDKNPFALDVTMQNSKKHAILRALAIVMNDADVKFEISLCPAAMLSSSIVNMENSNFSTVTEEVFENQRYQPISGWGNNSSSSHSSDPGRFSTRNFSYSSKDFFEPSLPEGWRWISPWNIERSEFVDSDGWAYGPDFQSLKWPPTSSRSSSKSVPGFVRRRRWIRTRRQLPEKRVSSAHNVIAVVNPGSSSVLPWRSMAKDMDMCLLVRPYGENSQEPYTWGQSVTLNSSKNRSLNQQASFSKQSTMQHENLSSQNSPLRLNQLEKNDMLLCCRPSGSAPPYFWLGISVDASVLHTELNTPIYDWKISINAAIRLENKLPCQSEYTIWEKTTEGAMLKRQHGIIPSCRTAFIYSADLRRPIYLTLFVQGGWVLEKEAVLIMDLISLGHASSFWMVQKPSNRRLRVSVEHDMGGTDAAPKTVRFFVPYWIQNDSCVPIIYRLVEVEPLDNSEADSLLISKAVKSAKVALKQTSRSIDRKHSLLRRNIQILENIDESYQNFVMFSPQDYMNRSGILPFPSRGDTFLSTRVGISVAVRHSDNYCPGISLFELERKERVNVKAFASDGSYYKLSAQLNMASDRTKVVRFIQQSLFLNRTGNSISLSQDGTQHVDRLHAVDPPKLFKWQSSARNEKLKLRVDGYKWSTPFSIESDGLMCVCMENEKENSQMYIKVEVRSGTKSSPDEVIFRLASSSSPYRIENRLLFLPIRFRQVNGTDNSWHSLPPNASASFFWEDLGRQRLLEVLVDGTDSTKSEKYNLDELVDHQPMQTYNGPIRPLHFTVLKEGKVNIARICDWMPDNDSVALVHGGISLPVFQPSNSDYGMSPSASDSECHVTFELAELGLSVIDHMPEEILYLSMQSLSVSYSSGLGLGISRFKLRMQGIQVDNQLPFTPMPVLFRPQRVGDDMEYILKFSLTMQTNGSLDLSVYPYLGLQVPENCAILVNIHEPIIWRLHEMFQQAKSSRIFGSPTNAVSVDPTIQIGLLNISEIRFKLSMTMSPTQRPKGVLGFWSSLMTALGNTEHMPVRIAPRFHENICMRQSALISSAVSSIQKDLLSQPLQLLSGVDILGNASSALGHMSKGMAALSMDKKFIQSRQKQDNKGVEDIGDVIREGGGALAKGFFRGVTGILTKPLEGAKSSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAVKMKIASAITSEDQLLRKRLPRVIGGDNLLRPYDEFKATGQAILQLAESGAFFGQVDLFKVRGKFAFSDAYEDHFLLPKGKVLLVTHRRVILLQQPANIMAQRKFNPARDPCTILWDVVWDDLVTMELTRGKKDQPGSLPSHLIIYLHSRSIESKESTRVIKCTRGSQQACDIYASIDQALNTYGPNASRLGHKNKVVRPYTPSTTAVSPEIFPKEAFGIWGAQASSGSLPVNSGLGSVVAQSQPRD >DRNTG_06125.20.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25607671:25608497:-1 gene:DRNTG_06125 transcript:DRNTG_06125.20 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDMDMCLLVRPYGENSQEPYTWGQSVTLNSSKNRSLNQQASFSKQSTMQHENLSSQNSPLRLNQLEKNDMLLCCRPSGSAPPYFWLGISVDASVLHTELNTPIYDWKISINAAIRLENKLPCQSEYTIWEKTTEGAMLKRQHGIIPSCRTAFIYSADLRRPIYLTLFVQGGWVLEK >DRNTG_06125.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25598626:25636911:-1 gene:DRNTG_06125 transcript:DRNTG_06125.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLERDLLQTTLSIALDLILSHLLIYLTAYIVFLLIQEFCLGLAYGVLTISLVHSVHMLLCIAQQRTMALTCIRSFSVIPTGISHTVNLIQMSRVENDRQSQQDGDQNSNSSGWDIIRSLSKTSNCYMSTPHFERVWWDKGCDSRPPISIWRPLPRPGFAALGDCVTEGLEPPSLGLVFKCDSSVISAKPVQFTKVAHIVGRGIDEAFFWYPIPPPGYASLGCVVTRTDQVPKEDSFCCPRMDLVNQANVSDEPVSRSPSSKAPNCWSIWAVDNQAYTFLARPDLRKPSSRLAYNITDCVKPKARENITAEINVGCFSVNILDSLCGTMTPVFDTTITNINLVTHGRLESMNAVLVCSIAASTFNRQLEAWEPLVEPFDGIFKFETYDTNGQSPNKIGKRIRVAATTIVNLNLSAASLEVLTETMVSWNKQNDLEQKLLRRNEEPAENFSNVDLAFSALEDDLQKVIVENNLGCDIFIKTVEQISETVDVLQNNTQMTLLMPPPTFSDRLNTVSKSREIRYYVAIEIIESKGLPLLDDGNSHEYFCALRLLIESKGPDQYKLSPQSARTRCVKPNISKNSNQEGHAKWNELFIFEVPEQGSANLEVEVTNLASKAGKGEVIGALSIPIGNGAHTLKRASSIRMLQQTANAQRFSLYPLRRKDQDGSGEDRVNCGNLVVSTTYFERGSCLNSQRKTESTMSSDRDVGFWVGLGPEGPWESIDSLLPLTVIPQSIDKNPFALDVTMQNSKKHAILRALAIVMNDADVKFEISLCPAAMLSSSIVNMENSNFSTVTEEVFENQRYQPISGWGNNSSSSHSSDPGRFSTRNFSYSSKDFFEPSLPEGWRWISPWNIERSEFVDSDGWAYGPDFQSLKWPPTSSRSSSKSVPGFVRRRRWIRTRRQLPEKRVSSAHNVIAVVNPGSSSVLPWRSMAKDMDMCLLVRPYGENSQEPYTWGQSVTLNSSKNRSLNQQASFSKQSTMQHENLSSQNSPLRLNQLEKNDMLLCCRPSGSAPPYFWLGISVDASVLHTELNTPIYDWKISINAAIRLENKLPCQSEYTIWEKTTEGAMLKRQHGIIPSCRTAFIYSADLRRPIYLTLFVQGGWVLEKEAVLIMDLISLGHASSFWMVQKPSNRRLRVSVEHDMGGTDAAPKTVRFFVPYWIQNDSCVPIIYRLVEVEPLDNSEADSLLISKAVKSAKVALKQTSRSIDRKHSLLRRNIQILENIDESYQNFVMFSPQDYMNRSGILPFPSRGDTFLSTRVGISVAVRHSDNYCPGISLFELERKERVNVKAFASDGSYYKLSAQLNMASDRTKVVRFIQQSLFLNRTGNSISLSQDGTQHVDRLHAVDPPKLFKWQSSARNEKLKLRVDGYKWSTPFSIESDGLMCVCMENEKENSQMYIKVEVRSGTKSSPDEVIFRLASSSSPYRIENRLLFLPIRFRQVNGTDNSWHSLPPNASASFFWEDLGRQRLLEVLVDGTDSTKSEKYNLDELVDHQPMQTYNGPIRPLHFTVLKEGKVNIARICDWMPDNDSVALVHGGISLPVFQPSNSDYGMSPSASDSECHVTFELAELGLSVIDHMPEEILYLSMQSLSVSYSSGLGLGISRFKLRMQGIQVDNQLPFTPMPVLFRPQRVGDDMEYILKFSLTMQTNGSLDLSVYPYLGLQVPENCAILVNIHEPIIWRLHEMFQQAKSSRIFGSPTNAVSVDPTIQIGLLNISEIRFKLSMTMSPTQRPKGVLGFWSSLMTALGNTEHMPVRIAPRFHENICMRQSALISSAVSSIQKDLLSQPLQLLSGVDILGNASSALGHMSKGMAALSMDKKFIQSRQKQDNKGVEDIGDVIREGGGALAKGFFRGVTGILTKPLEGAKSSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAVKMKIASAITSEDQLLRKRLPRVIGGDNLLRPYDEFKATGQAILQLAESGAFFGQVDLFKVRGKFAFSDAYEDHFLLPKGKVLLVTHRRVILLQQPANIMAQRKFNPARDPCTILWDVVWDDLVTMELTRGKKDQPGSLPSHLIIYLHSRSIESKESTRVIKCTRGSQQACDIYASIDQALNTYGPNASRLGHKNKVVRPYTPSTTAVSPEIFPKEAFGIWGAQASSGSLPVNSGLGSVVAQSQPRD >DRNTG_06125.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25598626:25603335:-1 gene:DRNTG_06125 transcript:DRNTG_06125.18 gene_biotype:protein_coding transcript_biotype:protein_coding MCVCMENEKENSQMYIKVEVRSGTKSSPDEVIFRLASSSSPYRIENRLLFLPIRFRQVNGTDNSWHSLPPNASASFFWEDLGRQRLLEVLVDGTDSTKSEKYNLDELVDHQPMQTYNGPIRPLHFTVLKEGKVNIARICDWMPDNDSVALVHGGISLPVFQPSNSDYGMSPSASDSECHVTFELAELGLSVIDHMPEEILYLSMQSLSVSYSSGLGLGISRFKLRMQGIQVDNQLPFTPMPVLFRPQRVGDDMEYILKFSLTMQTNGSLDLSVYPYLGLQVPENCAILVNIHEPIIWRLHEMFQQAKSSRIFGSPTNAVSVDPTIQIGLLNISEIRFKLSMTMSPTQRPKGVLGFWSSLMTALGNTEHMPVRIAPRFHENICMRQSALISSAVSSIQKDLLSQPLQLLSGVDILGNASSALGHMSKGMAALSMDKKFIQSRQKQDNKGVEDIGDVIREGGGALAKGFFRGVTGILTKPLEGAKSSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAVKMKIASAITSEDQLLRKRLPRVIGGDNLLRPYDEFKATGQAILQLAESGAFFGQVDLFKVRGKFAFSDAYEDHFLLPKGKVLLVTHRRVILLQQPANIMAQRKFNPARDPCTILWDVVWDDLVTMELTRGKKDQPGSLPSHLIIYLHSRSIESKESTRVIKCTRGSQQACDIYASIDQALNTYGPNASRLGHKNKVVRPYTPSTTAVSPEIFPKEAFGIWGAQASSGSLPVNSGLGSVVAQSQPRD >DRNTG_06125.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25598626:25634556:-1 gene:DRNTG_06125 transcript:DRNTG_06125.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLERDLLQTTLSIALDLILSHLLIYLTAYIVFLLIQEFCLGLAYGVLTISLVHSVHMLLCIAQQRTMALTCIRSFSVIPTGISHTVNLIQMSRVENDRQSQQDGDQNSNSSGWDIIRSLSKTSNCYMSTPHFERVWWDKGCDSRPPISIWRPLPRPGFAALGDCVTEGLEPPSLGLVFKCDSSVISAKPVQFTKVAHIVGRGIDEAFFWYPIPPPGYASLGCVVTRTDQVPKEDSFCCPRMDLVNQANVSDEPVSRSPSSKAPNCWSIWAVDNQAYTFLARPDLRKPSSRLAYNITDCVKPKARENITAEINVGCFSVNILDSLCGTMTPVFDTTITNINLVTHGRLESMNAVLVCSIAASTFNRQLEAWEPLVEPFDGIFKFETYDTNGQSPNKIGKRIRVAATTIVNLNLSAASLEVLTETMVSWNKQNDLEQKLLRRNEEPAENFSNVDLAFSALEDDLQKVIVENNLGCDIFIKTVEQISETVDVLQNNTQMTLLMPPPTFSDRLNTVSKSREIRYYVAIEIIESKGLPLLDDGNSHEYFCALRLLIESKGPDQYKLSPQSARTRCVKPNISKNSNQEGHAKWNELFIFEVPEQGSANLEVEVTNLASKAGKGEVIGALSIPIGNGAHTLKRASSIRMLQQTANAQRFSLYPLRRKDQDGSGEDRVNCGNLVVSTTYFERGSCLNSQRKTESTMSSDRDVGFWVGLGPEGPWESIDSLLPLTVIPQSIDKNPFALDVTMQNSKKHAILRALAIVMNDADVKFEISLCPAAMLSSSIVNMENSNFSTVTEEVFENQRYQPISGWGNNSSSSHSSDPGRFSTRNFSYSSKDFFEPSLPEGWRWISPWNIERSEFVDSDGWAYGPDFQSLKWPPTSSRSSSKSVPGFVRRRRWIRTRRQLPEKRVSSAHNVIAVVNPGSSSVLPWRSMAKDMDMCLLVRPYGENSQEPYTWGQSVTLNSSKNRSLNQQASFSKQSTMQHENLSSQNSPLRLNQLEKNDMLLCCRPSGSAPPYFWLGISVDASVLHTELNTPIYDWKISINAAIRLENKLPCQSEYTIWEKTTEGAMLKRQHGIIPSCRTAFIYSADLRRPIYLTLFVQGGWVLEKEAVLIMDLISLGHASSFWMVQKPSNRRLRVSVEHDMGGTDAAPKTVRFFVPYWIQNDSCVPIIYRLVEVEPLDNSEADSLLISKAVKSAKVALKQTSRSIDRKHSLLRRNIQILENIDESYQNFVMFSPQDYMNRSGILPFPSRGDTFLSTRVGISVAVRHSDNYCPGISLFELERKERVNVKAFASDGSYYKLSAQLNMASDRTKVVRFIQQSLFLNRTGNSISLSQDGTQHVDRLHAVDPPKLFKWQSSARNEKLKLRVDGYKWSTPFSIESDGLMCVCMENEKENSQMYIKVEVRSGTKSSPDEVIFRLASSSSPYRIENRLLFLPIRFRQVNGTDNSWHSLPPNASASFFWEDLGRQRLLEVLVDGTDSTKSEKYNLDELVDHQPMQTYNGPIRPLHFTVLKEGKVNIARICDWMPDNDSVALVHGGISLPVFQPSNSDYGMSPSASDSECHVTFELAELGLSVIDHMPEEILYLSMQSLSVSYSSGLGLGISRFKLRMQGIQVDNQLPFTPMPVLFRPQRVGDDMEYILKFSLTMQTNGSLDLSVYPYLGLQVPENCAILVNIHEPIIWRLHEMFQQAKSSRIFGSPTNAVSVDPTIQIGLLNISEIRFKLSMTMSPTQRPKGVLGFWSSLMTALGNTEHMPVRIAPRFHENICMRQSALISSAVSSIQKDLLSQPLQLLSGVDILGNASSALGHMSKGMAALSMDKKFIQSRQKQDNKGVEDIGDVIREGGGALAKGFFRGVTGILTKPLEGAKSSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAVKMKIASAITSEDQLLRKRLPRVIGGDNLLRPYDEFKATGQAILQLAESGAFFGQVDLFKVRGKFAFSDAYEDHFLLPKGKVLLVTHRRVILLQQPANIMAQRKFNPARDPCTILWDVVWDDLVTMELTRGKKDQPGSLPSHLIIYLHSRSIESKESTRVIKCTRGSQQACDIYASIDQALNTYGPNASRLGHKNKVVRPYTPSTTAVSPEIFPKEAFGIWGAQASSGSLPVNSGLGSVVAQSQPRD >DRNTG_06125.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25598626:25624955:-1 gene:DRNTG_06125 transcript:DRNTG_06125.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLERDLLQTTLSIALDLILSHLLIYLTAYIVFLLIQEFCLGLAYGVLTISLVHSVHMLLCIAQQRTMALTCIRSFSVIPTGISHTVNLIQMSRVENDRQSQQDGDQNSNSSGWDIIRSLSKTSNCYMSTPHFERVWWDKGCDSRPPISIWRPLPRPGFAALGDCVTEGLEPPSLGLVFKCDSSVISAKPVQFTKVAHIVGRGIDEAFFWYPIPPPGYASLGCVVTRTDQVPKEDSFCCPRMDLVNQANVSDEPVSRSPSSKAPNCWSIWAVDNQAYTFLARPDLRKPSSRLAYNITDCVKPKARENITAEINVGCFSVNILDSLCGTMTPVFDTTITNINLVTHGRLESMNAVLVCSIAASTFNRQLEAWEPLVEPFDGIFKFETYDTNGQSPNKIGKRIRVAATTIVNLNLSAASLEVLTETMVSWNKQNDLEQKLLRRNEEPAENFSNVDLAFSALEDDLQKVIVENNLGCDIFIKTVEQISETVDVLQNNTQMTLLMPPPTFSDRLNTVSKSREIRYYVAIEIIESKGLPLLDDGNSHEYFCALRLLIESKGPDQYKLSPQSARTRCVKPNISKNSNQEGHAKWNELFIFEVPEQGSANLEVEVTNLASKAGKGEVIGALSIPIGNGAHTLKRASSIRMLQQTANAQRFSLYPLRRKDQDGSGEDRVNCGNLVVSTTYFERGSCLNSQRKTESTMSSDRDVGFWVGLGPEGPWESIDSLLPLTVIPQSIDKNPFALDVTMQNSKKHAILRALAIVMNDADVKFEISLCPAAMLSSSIVNMENSNFSTVTEEVFENQRYQPISGWGNNSSSSHSSDPGRFSTRNFSYSSKDFFEPSLPEGWRWISPWNIERSEFVDSDGWAYGPDFQSLKWPPTSSRSSSKSVPGFVRRRRWIRTRRQLPEKRVSSAHNVIAVVNPGSSSVLPWRSMAKDMDMCLLVRPYGENSQEPYTWGQSVTLNSSKNRSLNQQASFSKQSTMQHENLSSQNSPLRLNQLEKNDMLLCCRPSGSAPPYFWLGISVDASVLHTELNTPIYDWKISINAAIRLENKLPCQSEYTIWEKTTEGAMLKRQHGIIPSCRTAFIYSADLRRPIYLTLFVQGGWVLEKEAVLIMDLISLGHASSFWMVQKPSNRRLRVSVEHDMGGTDAAPKTVRFFVPYWIQNDSCVPIIYRLVEVEPLDNSEADSLLISKAVKSAKVALKQTSRSIDRKHSLLRRNIQILENIDESYQNFVMFSPQDYMNRSGILPFPSRGDTFLSTRVGISVAVRHSDNYCPGISLFELERKERVNVKAFASDGSYYKLSAQLNMASDRTKVVRFIQQSLFLNRTGNSISLSQDGTQHVDRLHAVDPPKLFKWQSSARNEKLKLRVDGYKWSTPFSIESDGLMCVCMENEKENSQMYIKVEVRSGTKSSPDEVIFRLASSSSPYRIENRLLFLPIRFRQVNGTDNSWHSLPPNASASFFWEDLGRQRLLEVLVDGTDSTKSEKYNLDELVDHQPMQTYNGPIRPLHFTVLKEGKVNIARICDWMPDNDSVALVHGGISLPVFQPSNSDYGMSPSASDSECHVTFELAELGLSVIDHMPEEILYLSMQSLSVSYSSGLGLGISRFKLRMQGIQVDNQLPFTPMPVLFRPQRVGDDMEYILKFSLTMQTNGSLDLSVYPYLGLQVPENCAILVNIHEPIIWRLHEMFQQAKSSRIFGSPTNAVSVDPTIQIGLLNISEIRFKLSMTMSPTQRPKGVLGFWSSLMTALGNTEHMPVRIAPRFHENICMRQSALISSAVSSIQKDLLSQPLQLLSGVDILGNASSALGHMSKGMAALSMDKKFIQSRQKQDNKGVEDIGDVIREGGGALAKGFFRGVTGILTKPLEGAKSSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAVKMKIASAITSEDQLLRKRLPRVIGGDNLLRPYDEFKATGQAILQLAESGAFFGQVDLFKVRGKFAFSDAYEDHFLLPKGKVLLVTHRRVILLQQPANIMAQRKFNPARDPCTILWDVVWDDLVTMELTRGKKDQPGSLPSHLIIYLHSRSIESKESTRVIKCTRGSQQACDIYASIDQALNTYGPNASRLGHKNKVVRPYTPSTTAVSPEIFPKEAFGIWGAQASSGSLPVNSGLGSVVAQSQPRD >DRNTG_06125.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25632683:25634351:-1 gene:DRNTG_06125 transcript:DRNTG_06125.19 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQVFEVSPTSVGGSLFSIAVSFRGIEIQKALESSNTLIIEFQDEDERSAWLRALVQATYKASAHPTIDVLGEPVDGLSKPGGPQFDNLGKADLVINGTLVELKMSIYGR >DRNTG_06125.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25613921:25635427:-1 gene:DRNTG_06125 transcript:DRNTG_06125.10 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPITASGSTTKISHFLMDFGHFTVCTREDQGGEQRHSLYSRFYISGRDMAALFIDSGISDENYARVLECGNQSSSSPTATADNQCYSLLDRCGMSVIIDQIRIPHPSHPSTRINVQVPNLGIHFSPAIYGKIMELLNIFYQSAEISDDNTFLQTGHMPWDPPDLASDARILAWRGIGNSLAEWQPCHLILSGLYLYVLESALSQNYQRCSSMAGRQVFEVSPTSVGGSLFSIAVSFRGIEIQKALESSNTLIIEFQDEDERSAWLRALVQATYKASAHPTIDVLGEPVDGLSKPGGPQFDNLGKADLVINGTLVELKMSIYGRVNGGSEKAQETLLLEFLAGGGKVNLVQFGGNLSVKAKLHSLKIKDGLQVHPAAGQQYLACSVQQEHERCHSPGGSELNDKDMQKLFVEEDDSFTDALPDFMLIDQSFYSQNPDVLSYSTSARSSDQYGGICDLDEINRDQLKGKNDEVFYEAWDNNVSDFVVVTFVTGSPDSPLYGGIDTQMCISMSALEFFCNRPTLVALIDFGFDLSLVSSEISSKNDVEAQELASKDTEKKEENGRVLVKGLLGYGKTRVVFNLRMDVDSVCIYLNKEDGSQLAMFVQESFLLDLKVHPSSITIEGTLGNMRLRDMSLEPDHCWSWLCDIRNQGMESLIKFTFQSYSVEDDDYQDNFIFYGACFSTHRRSNQVC >DRNTG_06125.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25598626:25609388:-1 gene:DRNTG_06125 transcript:DRNTG_06125.16 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQTANAQRFSLYPLRRKDQDGSGEDRVNCGNLVVSTTYFERGSCLNSQRKTESTMSSDRDVGFWVGLGPEGPWESIDSLLPLTVIPQSIDKNPFALDVTMQNSKKHAILRALAIVMNDADVKFEISLCPAAMLSSSIVNMENSNFSTVTEEVFENQRYQPISGWGNNSSSSHSSDPGRFSTRNFSYSSKDFFEPSLPEGWRWISPWNIERSEFVDSDGWAYGPDFQSLKWPPTSSRSSSKSVPGFVRRRRWIRTRRQLPEKRVSSAHNVIAVVNPGSSSVLPWRSMAKDMDMCLLVRPYGENSQEPYTWGQSVTLNSSKNRSLNQQASFSKQSTMQHENLSSQNSPLRLNQLEKNDMLLCCRPSGSAPPYFWLGISVDASVLHTELNTPIYDWKISINAAIRLENKLPCQSEYTIWEKTTEGAMLKRQHGIIPSCRTAFIYSADLRRPIYLTLFVQGGWVLEKEAVLIMDLISLGHASSFWMVQKPSNRRLRVSVEHDMGGTDAAPKTVRFFVPYWIQNDSCVPIIYRLVEVEPLDNSEADSLLISKAVKSAKVALKQTSRSIDRKHSLLRRNIQILENIDESYQNFVMFSPQDYMNRSGILPFPSRGDTFLSTRVGISVAVRHSDNYCPGISLFELERKERVNVKAFASDGSYYKLSAQLNMASDRTKVVRFIQQSLFLNRTGNSISLSQDGTQHVDRLHAVDPPKLFKWQSSARNEKLKLRVDGYKWSTPFSIESDGLMCVCMENEKENSQMYIKVEVRSGTKSSPDEVIFRLASSSSPYRIENRLLFLPIRFRQVNGTDNSWHSLPPNASASFFWEDLGRQRLLEVLVDGTDSTKSEKYNLDELVDHQPMQTYNGPIRPLHFTVLKEGKVNIARICDWMPDNDSVALVHGGISLPVFQPSNSDYGMSPSASDSECHVTFELAELGLSVIDHMPEEILYLSMQSLSVSYSSGLGLGISRFKLRMQGIQVDNQLPFTPMPVLFRPQRVGDDMEYILKFSLTMQTNGSLDLSVYPYLGLQVPENCAILVNIHEPIIWRLHEMFQQAKSSRIFGSPTNAVSVDPTIQIGLLNISEIRFKLSMTMSPTQRPKGVLGFWSSLMTALGNTEHMPVRIAPRFHENICMRQSALISSAVSSIQKDLLSQPLQLLSGVDILGNASSALGHMSKGMAALSMDKKFIQSRQKQDNKGVEDIGDVIREGGGALAKGFFRGVTGILTKPLEGAKSSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAVKMKIASAITSEDQLLRKRLPRVIGGDNLLRPYDEFKATGQAILQLAESGAFFGQVDLFKVRGKFAFSDAYEDHFLLPKGKVLLVTHRRVILLQQPANIMAQRKFNPARDPCTILWDVVWDDLVTMELTRGKKDQPGSLPSHLIIYLHSRSIESKESTRVIKCTRGSQQACDIYASIDQALNTYGPNASRLGHKNKVVRPYTPSTTAVSPEIFPKEAFGIWGAQASSGSLPVNSGLGSVVAQSQPRD >DRNTG_06125.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25598626:25610793:-1 gene:DRNTG_06125 transcript:DRNTG_06125.15 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQTANAQRFSLYPLRRKDQDGSGEDRVNCGNLVVSTTYFERGSCLNSQRKTESTMSSDRDVGFWVGLGPEGPWESIDSLLPLTVIPQSIDKNPFALDVTMQNSKKHAILRALAIVMNDADVKFEISLCPAAMLSSSIVNMENSNFSTVTEEVFENQRYQPISGWGNNSSSSHSSDPGRFSTRNFSYSSKDFFEPSLPEGWRWISPWNIERSEFVDSDGWAYGPDFQSLKWPPTSSRSSSKSVPGFVRRRRWIRTRRQLPEKRVSSAHNVIAVVNPGSSSVLPWRSMAKDMDMCLLVRPYGENSQEPYTWGQSVTLNSSKNRSLNQQASFSKQSTMQHENLSSQNSPLRLNQLEKNDMLLCCRPSGSAPPYFWLGISVDASVLHTELNTPIYDWKISINAAIRLENKLPCQSEYTIWEKTTEGAMLKRQHGIIPSCRTAFIYSADLRRPIYLTLFVQGGWVLEKEAVLIMDLISLGHASSFWMVQKPSNRRLRVSVEHDMGGTDAAPKTVRFFVPYWIQNDSCVPIIYRLVEVEPLDNSEADSLLISKAVKSAKVALKQTSRSIDRKHSLLRRNIQILENIDESYQNFVMFSPQDYMNRSGILPFPSRGDTFLSTRVGISVAVRHSDNYCPGISLFELERKERVNVKAFASDGSYYKLSAQLNMASDRTKVVRFIQQSLFLNRTGNSISLSQDGTQHVDRLHAVDPPKLFKWQSSARNEKLKLRVDGYKWSTPFSIESDGLMCVCMENEKENSQMYIKVEVRSGTKSSPDEVIFRLASSSSPYRIENRLLFLPIRFRQVNGTDNSWHSLPPNASASFFWEDLGRQRLLEVLVDGTDSTKSEKYNLDELVDHQPMQTYNGPIRPLHFTVLKEGKVNIARICDWMPDNDSVALVHGGISLPVFQPSNSDYGMSPSASDSECHVTFELAELGLSVIDHMPEEILYLSMQSLSVSYSSGLGLGISRFKLRMQGIQVDNQLPFTPMPVLFRPQRVGDDMEYILKFSLTMQTNGSLDLSVYPYLGLQVPENCAILVNIHEPIIWRLHEMFQQAKSSRIFGSPTNAVSVDPTIQIGLLNISEIRFKLSMTMSPTQRPKGVLGFWSSLMTALGNTEHMPVRIAPRFHENICMRQSALISSAVSSIQKDLLSQPLQLLSGVDILGNASSALGHMSKGMAALSMDKKFIQSRQKQDNKGVEDIGDVIREGGGALAKGFFRGVTGILTKPLEGAKSSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAVKMKIASAITSEDQLLRKRLPRVIGGDNLLRPYDEFKATGQAILQLAESGAFFGQVDLFKVRGKFAFSDAYEDHFLLPKGKVLLVTHRRVILLQQPANIMAQRKFNPARDPCTILWDVVWDDLVTMELTRGKKDQPGSLPSHLIIYLHSRSIESKESTRVIKCTRGSQQACDIYASIDQALNTYGPNASRLGHKNKVVRPYTPSTTAVSPEIFPKEAFGIWGAQASSGSLPVNSGLGSVVAQSQPRD >DRNTG_06125.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25598626:25638739:-1 gene:DRNTG_06125 transcript:DRNTG_06125.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLERDLLQTTLSIALDLILSHLLIYLTAYIVFLLIQEFCLGLAYGVLTISLVHSVHMLLCIAQQRTMALTCIRSFSVIPTGISHTVNLIQMSRVENDRQSQQDGDQNSNSSGWDIIRSLSKTSNCYMSTPHFERVWWDKGCDSRPPISIWRPLPRPGFAALGDCVTEGLEPPSLGLVFKCDSSVISAKPVQFTKVAHIVGRGIDEAFFWYPIPPPGYASLGCVVTRTDQVPKEDSFCCPRMDLVNQANVSDEPVSRSPSSKAPNCWSIWAVDNQAYTFLARPDLRKPSSRLAYNITDCVKPKARENITAEINVGCFSVNILDSLCGTMTPVFDTTITNINLVTHGRLESMNAVLVCSIAASTFNRQLEAWEPLVEPFDGIFKFETYDTNGQSPNKIGKRIRVAATTIVNLNLSAASLEVLTETMVSWNKQNDLEQKLLRRNEEPAENFSNVDLAFSALEDDLQKVIVENNLGCDIFIKTVEQISETVDVLQNNTQMTLLMPPPTFSDRLNTVSKSREIRYYVAIEIIESKGLPLLDDGNSHEYFCALRLLIESKGPDQYKLSPQSARTRCVKPNISKNSNQEGHAKWNELFIFEVPEQGSANLEVEVTNLASKAGKGEVIGALSIPIGNGAHTLKRASSIRMLQQTANAQRFSLYPLRRKDQDGSGEDRVNCGNLVVSTTYFERGSCLNSQRKTESTMSSDRDVGFWVGLGPEGPWESIDSLLPLTVIPQSIDKNPFALDVTMQNSKKHAILRALAIVMNDADVKFEISLCPAAMLSSSIVNMENSNFSTVTEEVFENQRYQPISGWGNNSSSSHSSDPGRFSTRNFSYSSKDFFEPSLPEGWRWISPWNIERSEFVDSDGWAYGPDFQSLKWPPTSSRSSSKSVPGFVRRRRWIRTRRQLPEKRVSSAHNVIAVVNPGSSSVLPWRSMAKDMDMCLLVRPYGENSQEPYTWGQSVTLNSSKNRSLNQQASFSKQSTMQHENLSSQNSPLRLNQLEKNDMLLCCRPSGSAPPYFWLGISVDASVLHTELNTPIYDWKISINAAIRLENKLPCQSEYTIWEKTTEGAMLKRQHGIIPSCRTAFIYSADLRRPIYLTLFVQGGWVLEKEAVLIMDLISLGHASSFWMVQKPSNRRLRVSVEHDMGGTDAAPKTVRFFVPYWIQNDSCVPIIYRLVEVEPLDNSEADSLLISKAVKSAKVALKQTSRSIDRKHSLLRRNIQILENIDESYQNFVMFSPQDYMNRSGILPFPSRGDTFLSTRVGISVAVRHSDNYCPGISLFELERKERVNVKAFASDGSYYKLSAQLNMASDRTKVVRFIQQSLFLNRTGNSISLSQDGTQHVDRLHAVDPPKLFKWQSSARNEKLKLRVDGYKWSTPFSIESDGLMCVCMENEKENSQMYIKVEVRSGTKSSPDEVIFRLASSSSPYRIENRLLFLPIRFRQVNGTDNSWHSLPPNASASFFWEDLGRQRLLEVLVDGTDSTKSEKYNLDELVDHQPMQTYNGPIRPLHFTVLKEGKVNIARICDWMPDNDSVALVHGGISLPVFQPSNSDYGMSPSASDSECHVTFELAELGLSVIDHMPEEILYLSMQSLSVSYSSGLGLGISRFKLRMQGIQVDNQLPFTPMPVLFRPQRVGDDMEYILKFSLTMQTNGSLDLSVYPYLGLQVPENCAILVNIHEPIIWRLHEMFQQAKSSRIFGSPTNAVSVDPTIQIGLLNISEIRFKLSMTMSPTQRPKGVLGFWSSLMTALGNTEHMPVRIAPRFHENICMRQSALISSAVSSIQKDLLSQPLQLLSGVDILGNASSALGHMSKGMAALSMDKKFIQSRQKQDNKGVEDIGDVIREGGGALAKGFFRGVTGILTKPLEGAKSSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAVKMKIASAITSEDQLLRKRLPRVIGGDNLLRPYDEFKATGQAILQLAESGAFFGQVDLFKVRGKFAFSDAYEDHFLLPKGKVLLVTHRRVILLQQPANIMAQRKFNPARDPCTILWDVVWDDLVTMELTRGKKDQPGSLPSHLIIYLHSRSIESKESTRVIKCTRGSQQACDIYASIDQALNTYGPNASRLGHKNKVVRPYTPSTTAVSPEIFPKEAFGIWGAQASSGSLPVNSGLGSVVAQSQPRD >DRNTG_06125.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25598626:25615998:-1 gene:DRNTG_06125 transcript:DRNTG_06125.11 gene_biotype:protein_coding transcript_biotype:protein_coding MLERDLLQTTLSIALDLILSHLLIYLTAYIVFLLIQEFCLGLAYGVLTISLVHSVHMLLCIAQQRTMALTCIRSFSVIPTGISHTVNLIQMSRVENDRQSQQDGDQNSNSSGWDIIRSLSKTSNCYMSTPHFERVWWDKGCDSRPPISIWRPLPRPGFAALGDCVTEGLEPPSLGLVFKCDSSVISAKPVQFTKVAHIVGRGIDEAFFWYPIPPPGYASLGCVVTRTDQVPKEDSFCCPRMDLVNQANVSDEPVSRSPSSKAPNCWSIWAVDNQAYTFLARPDLRKPSSRLAYNITDCVKPKARENITAEINVGCFSVNILDSLCGTMTPVFDTTITNINLVTHGRLESMNAVLVCSIAASTFNRQLEAWEPLVEPFDGIFKFETYDTNGQSPNKIGKRIRVAATTIVNLNLSAASLEVLTETMVSWNKQNDLEQKLLRRNEEPAENFSNVDLAFSALEDDLQKVIVENNLGCDIFIKTVEQISETVDVLQNNTQMTLLMPPPTFSDRLNTVSKSREIRYYVAIEIIESKGLPLLDDGNSHEYFCALRLLIESKGPDQYKLSPQSARTRCVKPNISKNSNQEGHAKWNELFIFEVPEQGSANLEVEVTNLASKAGKGEVIGALSIPIGNGAHTLKRASSIRMLQQTANAQRFSLYPLRRKDQDGSGEDRVNCGNLVVSTTYFERGSCLNSQRKTESTMSSDRDVGFWVGLGPEGPWESIDSLLPLTVIPQSIDKNPFALDVTMQNSKKHAILRALAIVMNDADVKFEISLCPAAMLSSSIVNMENSNFSTVTEEVFENQRYQPISGWGNNSSSSHSSDPGRFSTRNFSYSSKDFFEPSLPEGWRWISPWNIERSEFVDSDGWAYGPDFQSLKWPPTSSRSSSKSVPGFVRRRRWIRTRRQLPEKRVSSAHNVIAVVNPGSSSVLPWRSMAKDMDMCLLVRPYGENSQEPYTWGQSVTLNSSKNRSLNQQASFSKQSTMQHENLSSQNSPLRLNQLEKNDMLLCCRPSGSAPPYFWLGISVDASVLHTELNTPIYDWKISINAAIRLENKLPCQSEYTIWEKTTEGAMLKRQHGIIPSCRTAFIYSADLRRPIYLTLFVQGGWVLEKEAVLIMDLISLGHASSFWMVQKPSNRRLRVSVEHDMGGTDAAPKTVRFFVPYWIQNDSCVPIIYRLVEVEPLDNSEADSLLISKAVKSAKVALKQTSRSIDRKHSLLRRNIQILENIDESYQNFVMFSPQDYMNRSGILPFPSRGDTFLSTRVGISVAVRHSDNYCPGISLFELERKERVNVKAFASDGSYYKLSAQLNMASDRTKVVRFIQQSLFLNRTGNSISLSQDGTQHVDRLHAVDPPKLFKWQSSARNEKLKLRVDGYKWSTPFSIESDGLMCVCMENEKENSQMYIKVEVRSGTKSSPDEVIFRLASSSSPYRIENRLLFLPIRFRQVNGTDNSWHSLPPNASASFFWEDLGRQRLLEVLVDGTDSTKSEKYNLDELVDHQPMQTYNGPIRPLHFTVLKEGKVNIARICDWMPDNDSVALVHGGISLPVFQPSNSDYGMSPSASDSECHVTFELAELGLSVIDHMPEEILYLSMQSLSVSYSSGLGLGISRFKLRMQGIQVDNQLPFTPMPVLFRPQRVGDDMEYILKFSLTMQTNGSLDLSVYPYLGLQVPENCAILVNIHEPIIWRLHEMFQQAKSSRIFGSPTNAVSVDPTIQIGLLNISEIRFKLSMTMSPTQRPKGVLGFWSSLMTALGNTEHMPVRIAPRFHENICMRQSALISSAVSSIQKDLLSQPLQLLSGVDILGNASSALGHMSKGMAALSMDKKFIQSRQKQDNKGVEDIGDVIREGGGALAKGFFRGVTGILTKPLEGAKSSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAVKMKIASAITSEDQLLRKRLPRVIGGDNLLRPYDEFKATGQAILQLAESGAFFGQVDLFKVRGKFAFSDAYEDHFLLPKGKVLLVTHRRVILLQQPANIMAQRKFNPARDPCTILWDVVWDDLVTMELTRGKKDQPGSLPSHLIIYLHSRSIESKESTRVIKCTRGSQQACDIYASIDQALNTYGPNASRLGHKNKVVRPYTPSTTAVSPEIFPKEAFGIWGAQASSGSLPVNSGLGSVVAQSQPRD >DRNTG_06125.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25598626:25638563:-1 gene:DRNTG_06125 transcript:DRNTG_06125.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLERDLLQTTLSIALDLILSHLLIYLTAYIVFLLIQEFCLGLAYGVLTISLVHSVHMLLCIAQQRTMALTCIRSFSVIPTGISHTVNLIQMSRVENDRQSQQDGDQNSNSSGWDIIRSLSKTSNCYMSTPHFERVWWDKGCDSRPPISIWRPLPRPGFAALGDCVTEGLEPPSLGLVFKCDSSVISAKPVQFTKVAHIVGRGIDEAFFWYPIPPPGYASLGCVVTRTDQVPKEDSFCCPRMDLVNQANVSDEPVSRSPSSKAPNCWSIWAVDNQAYTFLARPDLRKPSSRLAYNITDCVKPKARENITAEINVGCFSVNILDSLCGTMTPVFDTTITNINLVTHGRLESMNAVLVCSIAASTFNRQLEAWEPLVEPFDGIFKFETYDTNGQSPNKIGKRIRVAATTIVNLNLSAASLEVLTETMVSWNKQNDLEQKLLRRNEEPAENFSNVDLAFSALEDDLQKVIVENNLGCDIFIKTVEQISETVDVLQNNTQMTLLMPPPTFSDRLNTVSKSREIRYYVAIEIIESKGLPLLDDGNSHEYFCALRLLIESKGPDQYKLSPQSARTRCVKPNISKNSNQEGHAKWNELFIFEVPEQGSANLEVEVTNLASKAGKGEVIGALSIPIGNGAHTLKRASSIRMLQQTANAQRFSLYPLRRKDQDGSGEDRVNCGNLVVSTTYFERGSCLNSQRKTESTMSSDRDVGFWVGLGPEGPWESIDSLLPLTVIPQSIDKNPFALDVTMQNSKKHAILRALAIVMNDADVKFEISLCPAAMLSSSIVNMENSNFSTVTEEVFENQRYQPISGWGNNSSSSHSSDPGRFSTRNFSYSSKDFFEPSLPEGWRWISPWNIERSEFVDSDGWAYGPDFQSLKWPPTSSRSSSKSVPGFVRRRRWIRTRRQLPEKRVSSAHNVIAVVNPGSSSVLPWRSMAKDMDMCLLVRPYGENSQEPYTWGQSVTLNSSKNRSLNQQASFSKQSTMQHENLSSQNSPLRLNQLEKNDMLLCCRPSGSAPPYFWLGISVDASVLHTELNTPIYDWKISINAAIRLENKLPCQSEYTIWEKTTEGAMLKRQHGIIPSCRTAFIYSADLRRPIYLTLFVQGGWVLEKEAVLIMDLISLGHASSFWMVQKPSNRRLRVSVEHDMGGTDAAPKTVRFFVPYWIQNDSCVPIIYRLVEVEPLDNSEADSLLISKAVKSAKVALKQTSRSIDRKHSLLRRNIQILENIDESYQNFVMFSPQDYMNRSGILPFPSRGDTFLSTRVGISVAVRHSDNYCPGISLFELERKERVNVKAFASDGSYYKLSAQLNMASDRTKVVRFIQQSLFLNRTGNSISLSQDGTQHVDRLHAVDPPKLFKWQSSARNEKLKLRVDGYKWSTPFSIESDGLMCVCMENEKENSQMYIKVEVRSGTKSSPDEVIFRLASSSSPYRIENRLLFLPIRFRQVNGTDNSWHSLPPNASASFFWEDLGRQRLLEVLVDGTDSTKSEKYNLDELVDHQPMQTYNGPIRPLHFTVLKEGKVNIARICDWMPDNDSVALVHGGISLPVFQPSNSDYGMSPSASDSECHVTFELAELGLSVIDHMPEEILYLSMQSLSVSYSSGLGLGISRFKLRMQGIQVDNQLPFTPMPVLFRPQRVGDDMEYILKFSLTMQTNGSLDLSVYPYLGLQVPENCAILVNIHEPIIWRLHEMFQQAKSSRIFGSPTNAVSVDPTIQIGLLNISEIRFKLSMTMSPTQRPKGVLGFWSSLMTALGNTEHMPVRIAPRFHENICMRQSALISSAVSSIQKDLLSQPLQLLSGVDILGNASSALGHMSKGMAALSMDKKFIQSRQKQDNKGVEDIGDVIREGGGALAKGFFRGVTGILTKPLEGAKSSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAVKMKIASAITSEDQLLRKRLPRVIGGDNLLRPYDEFKATGQAILQLAESGAFFGQVDLFKVRGKFAFSDAYEDHFLLPKGKVLLVTHRRVILLQQPANIMAQRKFNPARDPCTILWDVVWDDLVTMELTRGKKDQPGSLPSHLIIYLHSRSIESKESTRVIKCTRGSQQACDIYASIDQALNTYGPNASRLGHKNKVVRPYTPSTTAVSPEIFPKEAFGIWGAQASSGSLPVNSGLGSVVAQSQPRD >DRNTG_06125.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25598626:25607597:-1 gene:DRNTG_06125 transcript:DRNTG_06125.17 gene_biotype:protein_coding transcript_biotype:protein_coding MDLISLGHASSFWMVQKPSNRRLRVSVEHDMGGTDAAPKTVRFFVPYWIQNDSCVPIIYRLVEVEPLDNSEADSLLISKAVKSAKVALKQTSRSIDRKHSLLRRNIQILENIDESYQNFVMFSPQDYMNRSGILPFPSRGDTFLSTRVGISVAVRHSDNYCPGISLFELERKERVNVKAFASDGSYYKLSAQLNMASDRTKVVRFIQQSLFLNRTGNSISLSQDGTQHVDRLHAVDPPKLFKWQSSARNEKLKLRVDGYKWSTPFSIESDGLMCVCMENEKENSQMYIKVEVRSGTKSSPDEVIFRLASSSSPYRIENRLLFLPIRFRQVNGTDNSWHSLPPNASASFFWEDLGRQRLLEVLVDGTDSTKSEKYNLDELVDHQPMQTYNGPIRPLHFTVLKEGKVNIARICDWMPDNDSVALVHGGISLPVFQPSNSDYGMSPSASDSECHVTFELAELGLSVIDHMPEEILYLSMQSLSVSYSSGLGLGISRFKLRMQGIQVDNQLPFTPMPVLFRPQRVGDDMEYILKFSLTMQTNGSLDLSVYPYLGLQVPENCAILVNIHEPIIWRLHEMFQQAKSSRIFGSPTNAVSVDPTIQIGLLNISEIRFKLSMTMSPTQRPKGVLGFWSSLMTALGNTEHMPVRIAPRFHENICMRQSALISSAVSSIQKDLLSQPLQLLSGVDILGNASSALGHMSKGMAALSMDKKFIQSRQKQDNKGVEDIGDVIREGGGALAKGFFRGVTGILTKPLEGAKSSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAVKMKIASAITSEDQLLRKRLPRVIGGDNLLRPYDEFKATGQAILQLAESGAFFGQVDLFKVRGKFAFSDAYEDHFLLPKGKVLLVTHRRVILLQQPANIMAQRKFNPARDPCTILWDVVWDDLVTMELTRGKKDQPGSLPSHLIIYLHSRSIESKESTRVIKCTRGSQQACDIYASIDQALNTYGPNASRLGHKNKVVRPYTPSTTAVSPEIFPKEAFGIWGAQASSGSLPVNSGLGSVVAQSQPRD >DRNTG_06125.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25598626:25622548:-1 gene:DRNTG_06125 transcript:DRNTG_06125.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLERDLLQTTLSIALDLILSHLLIYLTAYIVFLLIQEFCLGLAYGVLTISLVHSVHMLLCIAQQRTMALTCIRSFSVIPTGISHTVNLIQMSRVENDRQSQQDGDQNSNSSGWDIIRSLSKTSNCYMSTPHFERVWWDKGCDSRPPISIWRPLPRPGFAALGDCVTEGLEPPSLGLVFKCDSSVISAKPVQFTKVAHIVGRGIDEAFFWYPIPPPGYASLGCVVTRTDQVPKEDSFCCPRMDLVNQANVSDEPVSRSPSSKAPNCWSIWAVDNQAYTFLARPDLRKPSSRLAYNITDCVKPKARENITAEINVGCFSVNILDSLCGTMTPVFDTTITNINLVTHGRLESMNAVLVCSIAASTFNRQLEAWEPLVEPFDGIFKFETYDTNGQSPNKIGKRIRVAATTIVNLNLSAASLEVLTETMVSWNKQNDLEQKLLRRNEEPAENFSNVDLAFSALEDDLQKVIVENNLGCDIFIKTVEQISETVDVLQNNTQMTLLMPPPTFSDRLNTVSKSREIRYYVAIEIIESKGLPLLDDGNSHEYFCALRLLIESKGPDQYKLSPQSARTRCVKPNISKNSNQEGHAKWNELFIFEVPEQGSANLEVEVTNLASKAGKGEVIGALSIPIGNGAHTLKRASSIRMLQQTANAQRFSLYPLRRKDQDGSGEDRVNCGNLVVSTTYFERGSCLNSQRKTESTMSSDRDVGFWVGLGPEGPWESIDSLLPLTVIPQSIDKNPFALDVTMQNSKKHAILRALAIVMNDADVKFEISLCPAAMLSSSIVNMENSNFSTVTEEVFENQRYQPISGWGNNSSSSHSSDPGRFSTRNFSYSSKDFFEPSLPEGWRWISPWNIERSEFVDSDGWAYGPDFQSLKWPPTSSRSSSKSVPGFVRRRRWIRTRRQLPEKRVSSAHNVIAVVNPGSSSVLPWRSMAKDMDMCLLVRPYGENSQEPYTWGQSVTLNSSKNRSLNQQASFSKQSTMQHENLSSQNSPLRLNQLEKNDMLLCCRPSGSAPPYFWLGISVDASVLHTELNTPIYDWKISINAAIRLENKLPCQSEYTIWEKTTEGAMLKRQHGIIPSCRTAFIYSADLRRPIYLTLFVQGGWVLEKEAVLIMDLISLGHASSFWMVQKPSNRRLRVSVEHDMGGTDAAPKTVRFFVPYWIQNDSCVPIIYRLVEVEPLDNSEADSLLISKAVKSAKVALKQTSRSIDRKHSLLRRNIQILENIDESYQNFVMFSPQDYMNRSGILPFPSRGDTFLSTRVGISVAVRHSDNYCPGISLFELERKERVNVKAFASDGSYYKLSAQLNMASDRTKVVRFIQQSLFLNRTGNSISLSQDGTQHVDRLHAVDPPKLFKWQSSARNEKLKLRVDGYKWSTPFSIESDGLMCVCMENEKENSQMYIKVEVRSGTKSSPDEVIFRLASSSSPYRIENRLLFLPIRFRQVNGTDNSWHSLPPNASASFFWEDLGRQRLLEVLVDGTDSTKSEKYNLDELVDHQPMQTYNGPIRPLHFTVLKEGKVNIARICDWMPDNDSVALVHGGISLPVFQPSNSDYGMSPSASDSECHVTFELAELGLSVIDHMPEEILYLSMQSLSVSYSSGLGLGISRFKLRMQGIQVDNQLPFTPMPVLFRPQRVGDDMEYILKFSLTMQTNGSLDLSVYPYLGLQVPENCAILVNIHEPIIWRLHEMFQQAKSSRIFGSPTNAVSVDPTIQIGLLNISEIRFKLSMTMSPTQRPKGVLGFWSSLMTALGNTEHMPVRIAPRFHENICMRQSALISSAVSSIQKDLLSQPLQLLSGVDILGNASSALGHMSKGMAALSMDKKFIQSRQKQDNKGVEDIGDVIREGGGALAKGFFRGVTGILTKPLEGAKSSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAVKMKIASAITSEDQLLRKRLPRVIGGDNLLRPYDEFKATGQAILQLAESGAFFGQVDLFKVRGKFAFSDAYEDHFLLPKGKVLLVTHRRVILLQQPANIMAQRKFNPARDPCTILWDVVWDDLVTMELTRGKKDQPGSLPSHLIIYLHSRSIESKESTRVIKCTRGSQQACDIYASIDQALNTYGPNASRLGHKNKVVRPYTPSTTAVSPEIFPKEAFGIWGAQASSGSLPVNSGLGSVVAQSQPRD >DRNTG_06125.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25598626:25638988:-1 gene:DRNTG_06125 transcript:DRNTG_06125.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLERDLLQTTLSIALDLILSHLLIYLTAYIVFLLIQEFCLGLAYGVLTISLVHSVHMLLCIAQQRTMALTCIRSFSVIPTGISHTVNLIQMSRVENDRQSQQDGDQNSNSSGWDIIRSLSKTSNCYMSTPHFERVWWDKGCDSRPPISIWRPLPRPGFAALGDCVTEGLEPPSLGLVFKCDSSVISAKPVQFTKVAHIVGRGIDEAFFWYPIPPPGYASLGCVVTRTDQVPKEDSFCCPRMDLVNQANVSDEPVSRSPSSKAPNCWSIWAVDNQAYTFLARPDLRKPSSRLAYNITDCVKPKARENITAEINVGCFSVNILDSLCGTMTPVFDTTITNINLVTHGRLESMNAVLVCSIAASTFNRQLEAWEPLVEPFDGIFKFETYDTNGQSPNKIGKRIRVAATTIVNLNLSAASLEVLTETMVSWNKQNDLEQKLLRRNEEPAENFSNVDLAFSALEDDLQKVIVENNLGCDIFIKTVEQISETVDVLQNNTQMTLLMPPPTFSDRLNTVSKSREIRYYVAIEIIESKGLPLLDDGNSHEYFCALRLLIESKGPDQYKLSPQSARTRCVKPNISKNSNQEGHAKWNELFIFEVPEQGSANLEVEVTNLASKAGKGEVIGALSIPIGNGAHTLKRASSIRMLQQTANAQRFSLYPLRRKDQDGSGEDRVNCGNLVVSTTYFERGSCLNSQRKTESTMSSDRDVGFWVGLGPEGPWESIDSLLPLTVIPQSIDKNPFALDVTMQNSKKHAILRALAIVMNDADVKFEISLCPAAMLSSSIVNMENSNFSTVTEEVFENQRYQPISGWGNNSSSSHSSDPGRFSTRNFSYSSKDFFEPSLPEGWRWISPWNIERSEFVDSDGWAYGPDFQSLKWPPTSSRSSSKSVPGFVRRRRWIRTRRQLPEKRVSSAHNVIAVVNPGSSSVLPWRSMAKDMDMCLLVRPYGENSQEPYTWGQSVTLNSSKNRSLNQQASFSKQSTMQHENLSSQNSPLRLNQLEKNDMLLCCRPSGSAPPYFWLGISVDASVLHTELNTPIYDWKISINAAIRLENKLPCQSEYTIWEKTTEGAMLKRQHGIIPSCRTAFIYSADLRRPIYLTLFVQGGWVLEKEAVLIMDLISLGHASSFWMVQKPSNRRLRVSVEHDMGGTDAAPKTVRFFVPYWIQNDSCVPIIYRLVEVEPLDNSEADSLLISKAVKSAKVALKQTSRSIDRKHSLLRRNIQILENIDESYQNFVMFSPQDYMNRSGILPFPSRGDTFLSTRVGISVAVRHSDNYCPGISLFELERKERVNVKAFASDGSYYKLSAQLNMASDRTKVVRFIQQSLFLNRTGNSISLSQDGTQHVDRLHAVDPPKLFKWQSSARNEKLKLRVDGYKWSTPFSIESDGLMCVCMENEKENSQMYIKVEVRSGTKSSPDEVIFRLASSSSPYRIENRLLFLPIRFRQVNGTDNSWHSLPPNASASFFWEDLGRQRLLEVLVDGTDSTKSEKYNLDELVDHQPMQTYNGPIRPLHFTVLKEGKVNIARICDWMPDNDSVALVHGGISLPVFQPSNSDYGMSPSASDSECHVTFELAELGLSVIDHMPEEILYLSMQSLSVSYSSGLGLGISRFKLRMQGIQVDNQLPFTPMPVLFRPQRVGDDMEYILKFSLTMQTNGSLDLSVYPYLGLQVPENCAILVNIHEPIIWRLHEMFQQAKSSRIFGSPTNAVSVDPTIQIGLLNISEIRFKLSMTMSPTQRPKGVLGFWSSLMTALGNTEHMPVRIAPRFHENICMRQSALISSAVSSIQKDLLSQPLQLLSGVDILGNASSALGHMSKGMAALSMDKKFIQSRQKQDNKGVEDIGDVIREGGGALAKGFFRGVTGILTKPLEGAKSSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAVKMKIASAITSEDQLLRKRLPRVIGGDNLLRPYDEFKATGQAILQLAESGAFFGQVDLFKVRGKFAFSDAYEDHFLLPKGKVLLVTHRRVILLQQPANIMAQRKFNPARDPCTILWDVVWDDLVTMELTRGKKDQPGSLPSHLIIYLHSRSIESKESTRVIKCTRGSQQACDIYASIDQALNTYGPNASRLGHKNKVVRPYTPSTTAVSPEIFPKEAFGIWGAQASSGSLPVNSGLGSVVAQSQPRD >DRNTG_09417.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21095751:21098954:-1 gene:DRNTG_09417 transcript:DRNTG_09417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPIEASIKSAESHPSQPPLNERILSSMSRRSVAAHPWHDLEIGPGAPEIFNCVVEIGRGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDSDPMDVLVIMQEPVLPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYKHYNDIKELPPHRLAEIRRFFEDYKKNENKDVAVNDFLPASDAFNAIKHSMDLYASYIVESLRR >DRNTG_19094.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:245617:249818:1 gene:DRNTG_19094 transcript:DRNTG_19094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAHVEDHSGVEAPTDAVEEIEREEATTIIELPKGANFYDFKFDINSMDGEGNANVPRSPTHAANADANNNNTTTATSQPGLSHGALTKKSKCERSFNHYVGSNEFTSTISSIRTWIESSGEHISRLANCFQFLTDEVDAKKKVFC >DRNTG_03830.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23469749:23473524:1 gene:DRNTG_03830 transcript:DRNTG_03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRPYTPVQFSQGHPQGRVHAPVCSQDNLPHSAGIHTPVWKLPTGVRKLHGRSQGQSHAPVPLASKETIWVGNCPSLAQVGLWMASISYPCLLPNPKSLSYNL >DRNTG_06508.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4365289:4368697:-1 gene:DRNTG_06508 transcript:DRNTG_06508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFYRVASASEYLAITGFGIEDLKLGKKAWIFPGQNCVKFDISPVNYTFEVQAMSLEKLPFILPAVFTIGPRADDQESLTKYARLMSQHDKQSNHVNELVQGVIEGETRVLAASMTMEEIFKGTKSFKQEVFEKVQLELNQFGLIIYNANVKQLVDVKGHEYFSYLGQKTQQEAANQARVDVAEAMMKGRIGEKEREGSTLQNAAKIDAETKIYSKKREGEGKKEIVNVETDVQIYQNKREAEVAQANAELAMKKAELQKKAKVAEVEAVKAVAIREAELQMELEKKNAAKQTEKLKADKLSQTTVEYEIKVQEANWVLYQKQKQAEAVLYEQLKTAEAQKAAAEAAFFARRQEADGQLYSKKKEAEGIVALGEAQGLYLRTLLDSLGGNYQALRDYLMIDGGLYKDMARINADAVKGMQPKLSIWSNNNEGGAGGVGAMKEIAGVYKMLPPLLSTVHEQTGMLPPAWLGALPSSAAADSN >DRNTG_29266.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001473.1:34463:38979:1 gene:DRNTG_29266 transcript:DRNTG_29266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSPAVVRRRLATDGWEVIGEEIQCFGFQRPGGDREVSILGLLKTKTLREGPVLLFSNLCSPVFIMATASKIEFEGRALLQWKATLKSQELLDTWTSKTSPCNWTGITCKYNGHQMAMITRVQLGELGLEGKLEILNFSALSSLRVLNLSDNHVHGSIPAAISALSMLTILDLSTNNLTGIIPSELGNLTRLNTLLLADNQISGSIPPSFGNLLTLRDLEIYDNRITGPIPHSIGNLTKLETFYLYNNGINGSIPREIGNPVNLRDLGIAENQITGPIPHSIGNLTKLETFYLYNNGINGSIPCEIGNLEFGTIYLARF >DRNTG_20882.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001171.1:4272:10262:1 gene:DRNTG_20882 transcript:DRNTG_20882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLQFLEMLHETVQTMRPRVTYHPPQLQTAPRSKLRYQPSS >DRNTG_15385.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:904159:911281:1 gene:DRNTG_15385 transcript:DRNTG_15385.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLYYLSPPVKIQKDQQRTLSPRFGIFPANYGKQSESGHDPHR >DRNTG_06812.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:15878503:15880868:1 gene:DRNTG_06812 transcript:DRNTG_06812.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACIVATRVVPDDINMIKEVLQTWCDHDKIDLVLTLGGTGFTPRDVTPEATKAILEKETPGLVYVMLQESLKVCCLW >DRNTG_06812.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:15879571:15880868:1 gene:DRNTG_06812 transcript:DRNTG_06812.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACIVATRVVPDDINMIKEVLQTWCDHDKIDLVLTLGGTGFTPRDVTPEATKAILEKETPGLVYVMLQESLKVCCLW >DRNTG_06812.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:15878503:15880868:1 gene:DRNTG_06812 transcript:DRNTG_06812.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACIVATRVVPDDINMIKEVLQTWCDHDKIDLVLTLGGTGFTPRDVTPEATKAILEKETPGLVYVMLQESLKVTPFAMLSRAAAGIRGSTLIINMPGNPNAVAECMEALIPRLKHALRQVKGDKREKHPRHTPHGAAEPTDQWEQSFKLASVERGCSCSH >DRNTG_34572.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1464403:1467000:1 gene:DRNTG_34572 transcript:DRNTG_34572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDNMDTASEELERRSKYLSSLIQKTKIKSDGVEEEKREKDGKDNHQPEQQQQQQQQKQPVRVRAADMAPALQRRAFRCAREVLASMPKLDSKRLALALKKEFDTSYGPAWHCIVGTSFGSYVTHSLGGFLYFSIDKVYILLFRTAVEPLDH >DRNTG_04447.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10485845:10490583:1 gene:DRNTG_04447 transcript:DRNTG_04447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLANPLENFLAHKHNFHSHCPKSQNGIQLLPFYFLHQCTQIAQLLHCRSLPHHLYKELELLSQMLVVPWRVCQVSLLIDAQGLYRRLHDILMWSPYFGRRHEDAPCVHIEGLVDLPRLLQRFPLQTQGKSRWIGADESSPIALFRRKRGVESRQTSPKPCQNLSKPQPPVP >DRNTG_27768.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24407888:24409939:1 gene:DRNTG_27768 transcript:DRNTG_27768.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQSESMDYRTELLSPMRLAGVSVEPVSSTEQSSWRLNFSDFCIPERPKDPSLASRVFLCSYGKQRKIARYYRKQEELLKEFSELESFSELGCLSGAPSEEELKAVARSERMAINISNIANLVLFVAKVYASVVSQSMAVIASTLDSFLDLLSGLILLITSYAMRKPNQYSYPIGKKRMQPVGIIVFASVMATLGFQVIFESGRQIMEQSHPNSDPEKEIWMIGIMASVTVVKFILMVYCRMYKNEIVKAYAQDHFFDVVTNSIGLITAVLGIKFIWWIDPLGAILIAIYTISTWARTVLENVWSLIGQTAPPEYLTKLIYVIWNHHEEIKQIDTVRAYTFGSHYFVEVDIVLPGDMPLSQAHDIGETLQVKLENLPEVERAFVHIDFECTHRPEHKAML >DRNTG_06540.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29449637:29452101:1 gene:DRNTG_06540 transcript:DRNTG_06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAGALQSYKLAAKAIARLQSLPGGSIERLCETVIEEVFELTGYDRVMVYRFHEDDHGEVFREITKPGLESYQGLHYPATDIPQAARFLFLKSKIRMICDCRARPIKIYQDEKLPSDLTFCGSTLRAPHSCHLQYMENMNSIASLVMAVVVNEGEEECENLEPGQSQQQKRKRLWGLVVCHHESPRFVPFPLRYACEFLMQVFAIHVNKEFDLENQLREKNILKTQTLLCDMLLRDATPVGIMTQSPNVMDLVKCDGAALLYENEIWRLGLTPTETEIRDIAYWLEENHMDSTGLSTDSLRDAGYPAALTLGDVVCGMAAARITSKDIMFWFRSHTAAEIRWGGAKHDPLDKDDGKRMHPRSSFKAFLEAVKMRSVPWKDYEMDAIHSLQLILRGTFNDSENSKRKASLDARISDLRIEEMVELQAVTNEMVRLIETATVPILAVDVNGFINGWNLKIAELTGLSVDEAIGKHLLSLVEDSSVEVVKEMLFLALQGKEKQNVQFQMKTHGLRRDDGPVILIVNACASRDINENAVGVCFVAQDMTGQKMVMDKFIRIEGDYKAIVQNPSPLIPPIFGADEFGWCSEWNPAMAKLSGWKRDEVIDRMLLGEVFGSSAACCRLKNQDAFVNLSVVINNAIAGQETEKAPFDFISRSGKHVECLLSVTKKVDAEGIVTGVFCFLHTASQELQQVLHVQQLSEQTAMKKLKALLYIRHEIRNPLSGIHYFR >DRNTG_01153.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23205741:23206118:1 gene:DRNTG_01153 transcript:DRNTG_01153.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFEMATDDFVMSTEEPIPTQSPMVSDAGTSKTRRKRKMPTTDQSFDKLNDNLNNFAEMVGPGFQALADSTAREEAREAAREAREAARIEAE >DRNTG_01153.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23202573:23206118:1 gene:DRNTG_01153 transcript:DRNTG_01153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPGFQALADSTAREEAREAAREAREAARIEAE >DRNTG_17973.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12375672:12376261:1 gene:DRNTG_17973 transcript:DRNTG_17973.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFFLSQGKNDRLERGDEGSRGGENWRRKGLWK >DRNTG_24672.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6876845:6883222:1 gene:DRNTG_24672 transcript:DRNTG_24672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCLGNAKKRLPLFSSFPFSSSSIDRITTKLRPFPDYSPRKPTINDADLVRDLTTALKQRRSEPLRRILAPFAQRFRPDHLLWAFSYLRSDLPLALSLLHWCRSRRHPIPAEALAIASHLSVASRNLEFALQLLREGLQSHSRFVEQVIYTYKHWSSNPCVFDLLFRAFVDAGKLSESRSLFFHLLTYGITISTDSCNALLSRLPVDDIQAAFTEFPESGLRWNVVSYNILIHSLCQVRKLEEAHGFLVKMEEKDGVLPDVITYSTLIDGYCKSGELQEALKLFSEMSSKGLNPNSFTYNSLITLLCHDGNVVEAERLFMQMLSYGIAPDAAVYTSLINGYSKKGNLFAMHRLFTEMKTAGHAPDAVTYTVLISGLCSNGKDA >DRNTG_18031.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:8162678:8165149:-1 gene:DRNTG_18031 transcript:DRNTG_18031.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENEFPYRSVKLLRFLSAVSEGKWSAECVYNFLNKMCGVTSLFEIPHGSHVNIHDTVVTHHHLYVLGVEGLYIPMGSRGFVLRIVDSNRALVRWEILVHVIVSEIEYALASMSVVENFNSG >DRNTG_04598.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4997386:5000832:1 gene:DRNTG_04598 transcript:DRNTG_04598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKGDIETSDVGGVLERNGSSFYEDKELVGAREPLLRKATTNNTSQIAIVGANLCPIESLDYEIVENDLFKQDWRSRKKTQIFQYITLKWTLALLIGLATGLVGFFNNLAVENIAGFKLLLTSNFMLEQRFFEAFVVFAGCNLILAAGAALLCAYVAPAAAGSGIPEVKAYLNGVDAPSILAPSTLFVKIFGSIGGVSAGFVLGKEGPMVHTGSCIAFLLGQGGSRKYRLTCTWLRYFKNDRDRRDLITCGAAAGVAAAFRAPVGGVLFAL >DRNTG_02977.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23484123:23485877:-1 gene:DRNTG_02977 transcript:DRNTG_02977.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINAAAPGGRQMQQRSGHPTHHQRQYSSDQFLLFDSASGAGGNKWLSNTQPVEYSRMLRSMQKGEFLSSAGAPSTPLGSRPSSGQQRNGGDVSPSELSPGILDLHSFDTELLPELPVPSMFESASQFGYGGRGRSFDDSDTSFALKQPMGKGRALQENNLLKSFSSDKEKPGSVAKIKVVVRKRPLNKKEIAKKEEDIISIEPHSNSITVHETKLKVDLTEYVEKHEFVFDAVLDEDVSNDEVYRETVEPIVPAIFHRTKATCFAYGQTGMAFIQLFFAL >DRNTG_02977.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23481131:23485877:-1 gene:DRNTG_02977 transcript:DRNTG_02977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINAAAPGGRQMQQRSGHPTHHQRQYSSDQFLLFDSASGAGGNKWLSNTQPVEYSRMLRSMQKGEFLSSAGAPSTPLGSRPSSGQQRNGGDVSPSELSPGILDLHSFDTELLPELPVPSMFESASQFGYGGRGRSFDDSDTSFALKQPMGKGRALQENNLLKSFSSDKEKPGSVAKIKVVVRKRPLNKKEIAKKEEDIISIEPHSNSITVHETKLKVDLTEYVEKHEFVFDAVLDEDVSNDEVYRETVEPIVPAIFHRTKATCFAYGQTGSGKTYTMQPLPLKASQDILRLMYHTHRNQGFHLFVSFFEIYGGKLFDLLNDRRKLCMREDGKQQVCIVGLQEYRVSNVDTIRELIEKGNATRSTGTTGANEESSRSHAILQLAIKRSIDGNESKPARIIGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQHHIPFRGSKLTEVLRDSFIGDSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKGNNSKKDPSVISSIRESTTLSLSSSIPTFSSYEGNNTDINTETSKYGWSKQIDKETSSQFNMDRAPSRREDRREEVPAVPSYTNYYKEQSRGSTPAEDSDFSEEAYEQSKPLRRGKVETYSAPLEDKGRRVDQQPKWKDVPDVDVNSFYSDEDLNALLKEEEDLVIAHRRQVEETISIVREEMKLLDEADQPGNQLDEYISRLSAILSQKAAGIVSLQARLAHFQRRLTEHDVLVSSLEP >DRNTG_27038.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2565814:2567387:-1 gene:DRNTG_27038 transcript:DRNTG_27038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILRAFPELARAFCRAMSMLLNVGLPPYLPVEVDDQPVAAYSPLLPQPPLGPPARPAPRAPPQVQPPSAAAAESVFD >DRNTG_26723.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7173423:7173986:-1 gene:DRNTG_26723 transcript:DRNTG_26723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDYLNSSQESQMELMTMMMEMEKLTELSNAPVGQHNYPLIPHPPTVNNVVVYEDQQPSVDSMREMIFRMAAMQPVYIDPETVKPPKRKNVRISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKNQVQSLERAAAHRSLAEHTGAGGEFSVSNYGYQDYMNHNQMIL >DRNTG_25905.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19847309:19850417:-1 gene:DRNTG_25905 transcript:DRNTG_25905.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET1 [Source:Projected from Arabidopsis thaliana (AT1G21460) UniProtKB/Swiss-Prot;Acc:Q8L9J7] MQIAHFIFGIFGNATALFLFLAPVVTFTRIIKRKSTEDFSGVPYNMTLLNCLLSAWYGMPFVSPNNILVSTINGTGAVIEAIYVIIFIIYAPKKPRKRMSGLLVLVISLFTMVALVSIFALHGQHRRFFCGMAATIFSICMYGSPLSIMRLVVKTKSVEFMPFFLSLFTFLCGTSWFIFGLLGSDLFVTVPNGCGCALGAIQLILYAIYRNNKGEVEQVDEKATNKYEDSIEMNHANIYSNNNNKSHLVNVNVDVDDKV >DRNTG_21743.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13571532:13576240:-1 gene:DRNTG_21743 transcript:DRNTG_21743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIDIYSLPPGEKVVVEWNKINQPIELSGGMLAQFLGHIASNWQNFPIWYDKWQKIPECYKDHVWNNIIKSKLEVNDDGHKRYIMKILAKKWRDNRYNLYNSMKCDPDGPSEANIARKPPEIPLEQWVASVDYRARPDTKEKQLGRSISRAELFQAIHTTSNGSFVNEEARHNHENLIIQSQSSSENEAFTSVFGKEYPGYVRGYHQETIYSRMTIEIGADRFKIPDILFNPSLVQIWVYGNSFGVAVPEKQALENWAAVNDLTGNYVELWIPSRGSIF >DRNTG_32049.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3873704:3885834:-1 gene:DRNTG_32049 transcript:DRNTG_32049.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWIKPADSEPPPLLVYKWCQGINNLQGIWDTSDGQCVVMLQTKFEKFFEKIDLTMLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPHMPNEFITYSETKIETRHPIRLYSRYIDKVHILFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKVRMTQEAFSNTKDGVWNLQNEQTKERTAIAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSQQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQSQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKVHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWPMSKPSLVAESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGIDLAYNLHSAFGNWFPGSKPLLAQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLFNIYDDWLKSISSYTAFSRLILILRALHVNNEKAKMLLKPDKTIITEPHHIWPSLTDDQWMKVEVALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEIAPPSQQRQQIAEIEKQAKEASQLTAVTTRTTNVHGDELIVTTTSPYEQAAFGSKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNILKKFICIADLRTQISGYLYGLSPQDNPQVKEIRCIVMPPQWGTHQQVHLPSALPEHDFLNDLEPLGWMHTQPNELPQLSPQDLTSHARILENNKHWDGEKCIILTCSFTPGSCSLTAYKLTPTGYEWGRVNKDTGKQPTWLPSYPL >DRNTG_32049.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3873704:3885834:-1 gene:DRNTG_32049 transcript:DRNTG_32049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNNGAPSASAPPPPPPPLAPPGTTVGGSGMPPPPPPPAVQPSYSIPPSPAELEAMLVEKARKWHQLNSKRYGDKRKFGFVETQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFIPHAVYKLLENMPMPWEQVRHVKILYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVDPLEAIQLELDEEEDSAVYTWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLHRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRQPLRTEYRIAFPHLYNNRPRKVKLGVYHTPMVMYIKTEDPDLPAFYYDPLINPITSINKADRRESRNVEDEDEEDFYLPEGVEPFLQSTQLYTDTTASGISLLFAPRPFNMRSGRMRRAEDIPLVSEWYKEHCPPAYPVKVRVSYQKLLKCFVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYTFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGIAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRSFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWIKPADSEPPPLLVYKWCQGINNLQGIWDTSDGQCVVMLQTKFEKFFEKIDLTMLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPHMPNEFITYSETKIETRHPIRLYSRYIDKVHILFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKVRMTQEAFSNTKDGVWNLQNEQTKERTAIAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSQQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQSQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKVHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWPMSKPSLVAESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGIDLAYNLHSAFGNWFPGSKPLLAQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLFNIYDDWLKSISSYTAFSRLILILRALHVNNEKAKMLLKPDKTIITEPHHIWPSLTDDQWMKVEVALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEIAPPSQQRQQIAEIEKQAKEASQLTAVTTRTTNVHGDELIVTTTSPYEQAAFGSKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNILKKFICIADLRTQISGYLYGLSPQDNPQVKEIRCIVMPPQWGTHQQVHLPSALPEHDFLNDLEPLGWMHTQPNELPQLSPQDLTSHARILENNKHWDGEKCIILTCSFTPGSCSLTAYKLTPTGYEWGRVNKDTGKQPTWLPSYPL >DRNTG_32424.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10968695:10971347:-1 gene:DRNTG_32424 transcript:DRNTG_32424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKATKKKRTKRISNSATRTNANTESTALVNSGSRLAGTPPPTPPPTCLLIAAVQLRSLR >DRNTG_12756.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25878685:25879854:1 gene:DRNTG_12756 transcript:DRNTG_12756.2 gene_biotype:protein_coding transcript_biotype:protein_coding KEVKVQEEKPVQEQISISATTTKEVDSLLEKVEEVEGVKVEVLEEKVEEVEEVKAEVLEEKVAEEGQEEAKTD >DRNTG_12756.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25878685:25879854:1 gene:DRNTG_12756 transcript:DRNTG_12756.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEVKVQEEKPVQEQISISATTTKEVDSLLEKVEEVEGVKVEVLEEKVEEVEEVKAEVLEEKVAEEGQEEAKTD >DRNTG_09379.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15352597:15355309:-1 gene:DRNTG_09379 transcript:DRNTG_09379.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitinase-like protein 1 [Source:Projected from Arabidopsis thaliana (AT1G05850) UniProtKB/Swiss-Prot;Acc:Q9MA41] MAKTVLMLVLVGLCLMAVEGKSKEKVCDKGWECSNSKYCCNETITDFFQVYQFENLFAKRNSPVAHAVGFWDYHSFITAAALYEPLGFGTTGGKQMAMKEVAAFLGHVGSKTSCGYGVATGGPLAWGLCYNHEMSPSQIYCSDDYKYPCTPGAEYYGRGALPVYWNYNYGVIGEAIKIDLLNHPEYLEQNATIAFQAAMWRWMTPMKKKQPSAHDVFVGNWKPTKNDTLNKRLPGFGATMNILYGDLVCGKGFPDDMNTIISHYQYYLDLMGVGRDYSGDNLDCSEQVPFNPSSDEKASS >DRNTG_17676.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16299141:16302610:-1 gene:DRNTG_17676 transcript:DRNTG_17676.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPSSPPLLHPSRASLRWQILRRALLSRTSHTPAPSELGGDSSRFNTKNISRKVAGGFKLIPCVPLNGHLEENQCNQRDAFVCYQLPVEGNPKVTLVHRTEDSIDFRDFDISTRYDIDTTGLICSWPSEEVLAYFCMNHGGIFRSVRIICFYSY >DRNTG_17676.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16297817:16302610:-1 gene:DRNTG_17676 transcript:DRNTG_17676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAATTDACEVVISDGNPEVVNYIKRNIYLNSGIYGDTEVQPLALHWNQEHASNMLNSFDIIIASDCTFFKDFHESLANTIKLLLKNSEDSEAIFFSPRRGNSLDRFLEKIKETGLEFLLVENYDDKVSSLHQKFLNGDDTSWPNYEKDHCYPIMIRIGFNRFLV >DRNTG_17676.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16297817:16302610:-1 gene:DRNTG_17676 transcript:DRNTG_17676.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAATTDACEVVISDGNPEVVNYIKRNIYLNSGIYGDTEVQPLALHWNQEHASNMLNSFDIIIASDW >DRNTG_32745.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18562867:18564132:1 gene:DRNTG_32745 transcript:DRNTG_32745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIPTHLLLLLRPRCTCGLHTYTDVSVHADVEFPHGHMEHLDESLSLIEKPHGRADAPVGGVGMVSHLKKKEGKRPRETLPELAHIEFSNPENQARFEWLSRLGFGQSRFVDLSVLSMVQRGDELVREIDTLMDVGSWRRPLSIREPVIRTLTLEVLVSFEFGQPYGRDVIQFRAFRRHFNISVTEFLVRMSFYDETYTGIEKYRHLPTDFPDTLASQHAYQALCG >DRNTG_23518.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22216358:22230623:1 gene:DRNTG_23518 transcript:DRNTG_23518.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPT1 [Source:Projected from Arabidopsis thaliana (AT3G45780) UniProtKB/TrEMBL;Acc:A0A178VFT4] MEERTQKPTSLIPSLPRDSRGSLEVFNPSTFSSASSAASRALSSAPFATWSSRPDPRVTSSGPPPEDAATTWMAISRNTPAAPAPTPTSFSDPISISKKSLGEGSMGDVGAAAQRAAEWGLVLKTDEETGRPQGVAVRKSREEVGKGGGRESGTSYRSSEDSDAGPKERGAGGLPRVSEELRDALSAFQQTFVVSDATKPDHPIMYASAGFFKMTGYLSKEVIGRNCRFLQGSGTDPAEISKIRETLQSGGSYCGRILNYKKDGTPFWNLLTIAPIKDENGDVLKYIGMQVEVSKHTEGTKETIVRPNGLPESLIRYDDRQKERARSSLSELVMAVKDPRASESGNRHFMRKSEGTGEVFRAEAAGRRNSENANTARRNSRSGMRSSMQKISEVPEGGKRKSGIRSFMGLIGLGQAHVEKQGMDVPMEEDILLESDDDERPESFDDQIRRKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDPATVRKIREAIDNQRDVTVQLINYTKSGRKFWNLFHLQPMRDQKGEVQYFIGVQLDGSEHVEPLHNCIPEVTAKESAKLVKETAENVDEAVRELPDANLKPEDLWANHSKVVLPKPHGKNNASWIAIQKVLESGEQIGLKHFRPVKPLGSGDTGSVHLVEFMETGEYFAMKAMDKNVMLNRNKVHRACAERDILDVLDHPFLPTLYASFQTKTHVCLITDYCPGGELFMLLDSQPLKVLKEDAVRFYAAEVVIALEYLHCQGIIYRDLKPENILLQRDGHVSLTDFDLSCLTSCKPQLLLPNTQDKKKQPKGRVPPVFIAEPIRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQKTFANILHKDLKFPGSNSVSLHGKQLMYRLLHRDPRNRLGSSEGANEIKRHPFFRGINWALVRCMAPPKLDVPLFGAETGKETKLVDAQLADLQANF >DRNTG_23518.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22216358:22230623:1 gene:DRNTG_23518 transcript:DRNTG_23518.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPT1 [Source:Projected from Arabidopsis thaliana (AT3G45780) UniProtKB/TrEMBL;Acc:A0A178VFT4] MEERTQKPTSLIPSLPRDSRGSLEVFNPSTFSSASSAASRALSSAPFATWSSRPDPRVTSSGPPPEDAATTWMAISRNTPAAPAPTPTSFSDPISISKKSLGEGSMGDVGAAAQRAAEWGLVLKTDEETGRPQGVAVRKSREEVGKGGGRESGTSYRSSEDSDAGPKERGAGGLPRVSEELRDALSAFQQTFVVSDATKPDHPIMYASAGFFKMTGYLSKEVIGRNCRFLQGSGTDPAEISKIRETLQSGGSYCGRILNYKKDGTPFWNLLTIAPIKDENGDVLKYIGMQVEVSKHTEGTKETIVRPNGLPESLIRYDDRQKERARSSLSELVMAVKDPRASESGNRHFMRKSEGTGEVFRAEAAGRRNSENANTARRNSRSGMRSSMQKISEVPEGGKRKSGIRSFMGLIGLGQAHVEKQGMDVPMEEDILLESDDDERPESFDDQIRRKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDPATVRKIREAIDNQRDVTVQLINYTKSGRKFWNLFHLQPMRDQKGEVQYFIGVQLDGSEHVEPLHNCIPEVTAKESAKLVKETAENVDEAVRELPDANLKPEDLWANHSKVVLPKPHGKNNASWIAIQKVLESGEQIGLKHFRPVKPLGSGDTGSVHLVEFMETGEYFAMKAMDKNVMLNRNKVHRACAERDILDVLDHPFLPTLYASFQTKTHVCLITDYCPGGELFMLLDSQPLKVLKEDAVRFYAAEVVIALEYLHCQGIIYRDLKPENILLQRDGHVSLTDFDLSCLTSCKPQLLLPNTQDKKKQPKGRVPPVFIAEPIRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQKTFANILHKDLKFPGSNSVSLHGKQLMYRLLHRDPRNRLGSSEGANEIKRHPFFRGINWALVRCMAPPKLDVPLFGAETGKETKLVDAQLADLQANF >DRNTG_29606.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19817506:19823159:-1 gene:DRNTG_29606 transcript:DRNTG_29606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALMAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQLMTTNIGVVYSGMGPDSRVLVRKSRKQAQQYYRLYKETIPVTQLVRETATVMQEFTQSGGVRPFGVSLLVAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTDDMELDDAVHTAILTLKEGFEGQISGKNIEIGIIGADRKFRHRLKLMTT >DRNTG_31185.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26980943:26982858:-1 gene:DRNTG_31185 transcript:DRNTG_31185.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase isoenzyme 6 homolog [Source:Projected from Arabidopsis thaliana (AT5G60340) UniProtKB/Swiss-Prot;Acc:Q9FJI1] MAGVGRTKPNILVTGTPGTGKTTTSSLVADATGLRHIDVSSLVRSKNLHDGWDEEFDCHIINEDLVCDELEDMMEVGGNIVDYHGCDFFPERWFDSVVVLQTDNSILHDRLTSRGYMGSKLTNNIECEIFQVLLEEAKSSYAEEIVVALRSDSVEDISRNVTTLTDWVNNWRPVSRSS >DRNTG_18122.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:471180:476718:-1 gene:DRNTG_18122 transcript:DRNTG_18122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSRGAPTQSSGASNARGGDSVSKAIAQYTIDARLHAVFEQSGESGRSFDYSESVRRTPSQSVPEQQITAYLSRIQRGGHVQPFGCTLAVDEPALRLIAFSANAPDLLDLSPRSVPTLDSLPILRLGADLRSLFSTSSSLLLERAASAREITLLNPLFVHSRNSGKPFYAILHRIDVGIVIDLEPARTEDPALSIAGAVQSQKLAVRAISRLQALPGGDIKLLCDTVVSHVRELTGYDRVMVYKFHDDEHGEVVAESRRDDLEPYFGLHYPATDIPQASRFLFKQNRVRMIADCNAAPVPVIQDENLMQPLCLVGSTLRAPHGCHAQYMANMGSIASLAMAVIINGSEDESSSRNSMKLWGLVVCHHTAPRCIPFPLRYACEFLMQAFGLQLNMELQLSSQMSEKHILRTQTLLCDMLLRESPTGIVTQSPSIMDLVKCDGAALYYQGKYWPLGITPTEAQIKDIVEWLSACHSDSAGLSTDSLADAGYPGAAALGDAVCGMAVAYITPNDFLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDAAEGASNSKALVNEQFGDLELQGIDELSSVAREMVRLIETATAPIFAVDSDGRINGWNAKVAELTGLSVEEAMGKSLVQDLVFKEYADVVDKLLYRALRGEEDKNVEIKLRTFGLQQSKNAVFVIVNACSSRDYVSNIVGVCFIAQDVTGQKVVMDKFIHIQGDYKAIVHNPNPLIPPIFASDENTCCSEWNTAMEKLTGWSRGNMIGKLLVGEVFGSSCQLKGPDALTKFMIVLHNAISGNETDKFPFAFFDKDGKFVQALLTANTRSNMDGETIGAFCFLQIASPELQQALEIQRQQERKCFTRMKELAYICQEIKNPLSGIQFTNSLLEMTDLSDDQKQFLETSASCERQMMKIIKDASLESIEDGSLTLEKSEFLLGSVINAVVSQVMILLRERGLQLIRDIPEEIKTTVVYGDQVRIQQVMADFLLNMVLHAPSPKGWVEIQVGSNLKRNSDGTQIMLLQFRIIVPGEGLPPELVQDMFHNSRWATQEGMGLSISRKLLKLVNGEVQYIREAERCYFLIAIELPRRPEA >DRNTG_17290.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000889.1:20612:23733:1 gene:DRNTG_17290 transcript:DRNTG_17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGNWFSALKRAFTSSSKDKLANEPDKIYPKEKKKWGFTRTRHGEASSFMPLYREPSSIEKILGDAEREQNRNVHQRPLRDAEMDQRQWTHQMAQREIQRNQQQKLQQLPPRDIEREHHHHHQKFQHLTSREVVRDSNQNLLQMPPKKGPQYKAPKSKYVFAPKTAQISAIKIQAAYRGYLARKSFRALKGLMRLQSVMKGQSVKRQTMNTMRCMQMLVRVQSQIRTRRLQMMESRNIQQHQMMGKNERDIENSLNKWNFGHQLEAEGHEGWEDSTLTKDEIDVRMRRKVEAVIKRERALAYAY >DRNTG_33492.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002008.1:6854:13073:1 gene:DRNTG_33492 transcript:DRNTG_33492.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPARVYPIEPGLRVRDWSCCSDPCSMWVGVSCRGGCVVSVNVSSLRRTRLGRRNPSSPWTGCATSIVLSLYGCRHQRYPSEPFPAFQSPGLSQIDVLAHVDVPDCIHKYHVCVVKMFRLDSQIIARAVKMKLIIQFGVGLEGVDVVAVTRHNIKVARIPGKVSGNSKACAEMTIYLILGLLRKKAGIINKEFLSSMKKESGNINENDNNDNSFKEDCERSDDPHDDDGDNDEDGDEDDDDGDGDDDDEDDDND >DRNTG_07536.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14192365:14209234:1 gene:DRNTG_07536 transcript:DRNTG_07536.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIVLPPTPTIFWASHTKIFLGFLPLGQDHHDSVEPPLGALSYTVKYLVGKSRSNDDVRSGDLMVKRNTSDGGCLRWGRLYKMTARSNFQMRWLCCFEVGATTGADADTDSTFVDVLKETSWFIDVPDSTFVACPSTAAAAIAAAAAAATTAAATIEVLKEAKRFLDSDICRDSNKENMEDLEVGYSERAMNETMAGYFGAETLNKEKEQLTQSEDSLPKKIVPTAEEDNEQCRSKSSSVKFRSPLKVRSKGRPPLKRKKSKVEEMIIRNKKKKAQSKEKTQTQHDKQAEICTQESMAASFILGDDVLEVEKNSRMHSSGSDERSITIKRTKNPNPNPSIENGEDGLEH >DRNTG_22069.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20351204:20357487:1 gene:DRNTG_22069 transcript:DRNTG_22069.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor ATP-dependent RNA helicase DEAH10 [Source:Projected from Arabidopsis thaliana (AT1G26370) UniProtKB/Swiss-Prot;Acc:F4IE66] MPSFPNTHSPSSQNQQSGGKSQQQQQQQQQQQLLAKKRWIAQQRKSLPIASVERRIVDEVRKNDILIVVGETGSGKTTQLPQFLFNAGFCQNGKAIGVTQPRRVAAITVAKRVAEECNVELGQKVGYAIRFDDVTSSSTRIKYMTDGLLLREALLDPLLSRYGVIIVDEAHERTVHTDVLLGILKKVQKARSQSISKEPIKNANIDIDMLNEVNHQRLNTLLSPKACQNTKFLPLKLIIMSASLDAKGFSEYFGGAKAVYVQGRQYPVDILYTYQPEQDYLDATLITIFQIHLEEGPGDILAFLTGQEEIESIEKLIHGRLDKLPDDKRKVMTVPIYSSLPSEQQMNAFKPAPSGFRKVILATNIAETSVTIPGIRYVVDPGLVKARSYNPVTGMESLIIIPTSKAQAQQRSGRAGREGPGKCFRLYPESEFGMLSDSTIPEIKRCNLANVVLQLKALGIDDIIGFDFMEKPSRMTIVKSLEQLFLLGALTDDYKLSDPIGHQMARLPLDPIYSKALILANEFKCLEEMLIVVSMLSVESIFYFPREKMEEARAARKSFSSPDGDHVTLVNVYRASAECLDKSKMVTSKDKTIEKNLKKWCIENFINSRSLRHARDIHSQIQGHVQHMGLSVTSCGDDMLQFRRCLTAAFFLNAAMKQPDGTYRALASGQTVQIHPSSMLFRSKPECIVFNELVRTNHNYVRNLTRIDPLWLPELAPQYYASS >DRNTG_32101.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22258144:22262663:-1 gene:DRNTG_32101 transcript:DRNTG_32101.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGESSSSDPKGTKRDFSTAILERKKAANRLIVDEAINDDNSVVALNPETMEKLQLFRGDTILLKGKKRRDTVCIALVDDTCEEPKIRMNKVVRSNLRVRLGDVVSVHQCPDVKYGKRVHILPVDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPGEYCVVSPDTEIFCEGEPVKREDEDRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLSDDVDLERIAKDTHGYVGADLAALCTEAALQCIREKMDIIDLEDETIDAEILNSMAVTNEHFKTALGTSNPSALRETVVEVPNVSWDDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEASRYQIFKAALRKSPLSKDVDLAALAKYTQGFSGADITEICQRAVKYAIRENIEKDIERERRRSENPEAMEEDDADEVAEIKPAHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFSDRSETGATTTAADPFATSAAAADEDDLYN >DRNTG_06465.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:547176:547912:1 gene:DRNTG_06465 transcript:DRNTG_06465.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDHRPIHAAEHQRVLESGGYIDDGYLNGVLSVSRALGDWDLKLPPGTPSPLIAEPEFRQQLLTEDDEFLIIGCDGIWDVMSSQHAVSIVRKGLRRHDNPEQCARELVMEALRLNTFDNLTVIIICFTSEQQDSSPSRNRREAPMLRCCSLSTEALCNLRSWLDIDRGD >DRNTG_06465.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:545603:547619:1 gene:DRNTG_06465 transcript:DRNTG_06465.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGPNLVHQSMAVLDVQYRCVAKGAEEMVDISTAAAAATVVVTPPGSPVFRPASELDMRESDAIPDVALEVNVLPFVPSIRSGSYTDIGPRRFMEDEHICIDDLSTHMGSLFKCPTPSAFYGVFDGHGGPDAAAFIRRHAIRFFFEDTGFPQSSLRLMMSFFGGC >DRNTG_00051.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21709823:21711137:-1 gene:DRNTG_00051 transcript:DRNTG_00051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYHNLDGGWLAEYGLEDEDTLVDFIWPDATVASAILEFDVSQKMDSLLENNCTKKRTRVESCCAAPATKACREKMRRIRLNDRFAELCSILDPKKPPAKADKVAILSDANHLLNQLRLEAQKLKESNEALQVSLKNLKAEKVELRDEKVRLKAEKERMEKILRSISIAPHSLHPVASVQAAAFTACTKALPYPTYNTPMGMWQWIHPAALDTSQDPVLRPPVA >DRNTG_00051.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21709823:21711137:-1 gene:DRNTG_00051 transcript:DRNTG_00051.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTRTLWWISYGLMPPLPVQFLSLMFHRKWIACWRIIAQRNGIYDGICHGLGVFLFHVRFLPIILKLFKMSKAMRILHLMRRTRVESCCAAPATKACREKMRRIRLNDRFAELCSILDPKKPPAKADKVAILSDANHLLNQLRLEAQKLKESNEALQVSLKNLKAEKVELRDEKVRLKAEKERMEKILRSISIAPHSLHPVASVQAAAFTACTKALPYPTYNTPMGMWQWIHPAALDTSQDPVLRPPVA >DRNTG_13770.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:11462613:11576705:-1 gene:DRNTG_13770 transcript:DRNTG_13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPTPAKSLESGAHAGAWPAHAPAWAGQRPNGPVFSPTRCPRARGALFTLYTCRL >DRNTG_23754.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21091400:21091708:1 gene:DRNTG_23754 transcript:DRNTG_23754.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKCVHEDCEVEFDDGASLHADCIFYCTGYEYHFPFLETNEFISIEDNRVGPLYKHVFPPAVAPWLSFVG >DRNTG_08259.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17629358:17630008:-1 gene:DRNTG_08259 transcript:DRNTG_08259.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-like protein 10 [Source:Projected from Arabidopsis thaliana (AT2G41090) UniProtKB/Swiss-Prot;Acc:P30187] MRSLGQNPTEAELQDMINEVDVDGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >DRNTG_15848.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25155562:25162145:1 gene:DRNTG_15848 transcript:DRNTG_15848.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILNKLKNLDAYPKIKEDFYSRTLSGGIITLVSFALILFLFLSEARLFLFSETETKLTVDTSRGERLHVNFDITFPSVACSLLSVDTTDISGEQHYDIRHDILKKRIDHLGNVIGSRQGGIGAPKIERPLQRHGGRLEHDEVYCGSCYGAEVSDNDCCNSCEEVREAYRKKGWGLNNQELIDQCTREGFIEKVKEEEGEGCNINGFLEVSKVAGNFHFSPGKSFHHSNIRAQELLAVPIGNYNISHKINKLSFGKEFPGVVNPLDGAQWTQQMSSGIYQYFVKVVPTIYIDIRGHKIHSNQFSVTEHFSDEKDNSKSLQGVFFYYDFSPIKVIFTEENKSILHFLTNICAIIGGVFTVSGIIDAFVYHGHRAIKKKVDLGKYR >DRNTG_16187.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12226774:12228516:-1 gene:DRNTG_16187 transcript:DRNTG_16187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRASFLGHQLPPGFRFHPTDQELIIHYLKRKVTSSLAPSASIIADIDLYKFNPWDLPGKAFFGEGEWFFFSPRDRKYPNGARPNRAAGAGYWKATGTDKPILATGSSQCLGVKKALVFYKGRPPKGIKTDWVMHEYRLLDTTSTSIQKNKTSMRLDDWVLCRVRHKNAAMPLQVEEENIISKTCCNKIPNHHLEKQGMKRVRSGLLEETDYQLLAHLLGVGSNDELTGSNSNCVSSDVGSTQIYQQENTSYGAEEGQPLTQVLDSIKRKLSFGALDEFLMLTPNKRFNNYSSESDQFSPAQSDSVDQEFPNFLI >DRNTG_16187.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12226774:12228516:-1 gene:DRNTG_16187 transcript:DRNTG_16187.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRASFLGHQLPPGFRFHPTDQELIIHYLKRKVTSSLAPSASIIADIDLYKFNPWDLPASNVHRLKLFPDACGVFYLACQPGKAFFGEGEWFFFSPRDRKYPNGARPNRAAGAGYWKATGTDKPILATGSSQCLGVKKALVFYKGRPPKGIKTDWVMHEYRLLDTTSTSIQKNKTSMRVSTR >DRNTG_25165.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:9876337:9887116:-1 gene:DRNTG_25165 transcript:DRNTG_25165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLISHRLRNKFLLKKRPPSDEEHQELITSISEHTLPTIVAREHWEKKKIEEVEDTYLIDFKQVASFDMDKWVYRKRALQGINLSLAKRLKQSLYLPSKKLNNSCPWLFPWRPKCQLTKHLSLMRAQVMKHKEPKLAWLYEIDADITLATKVDALTQKLDLLVSHGLGVSLNSRAIMFCETCGGGHRASQCPIVSSIAAPMEQVDYIEGVGTRAWSFLGGDMLLRPEQNLQDIYERNQMNQMEQRLDVFVVNLLREYFEGKPEEEPIRPTKPQLQKSYRRSSVRVTTTKKVWGKIEPKR >DRNTG_08297.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23795584:23798781:1 gene:DRNTG_08297 transcript:DRNTG_08297.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLRGEILGFFDGQIVYFWYLIDLYDFGLISGGGRGGGGRFMGMSDGGGRGRRRGGGGGREGPEGHGSGRGRGRGRGRGGGGRGCGRGRGGCGGGMKCRCRVVIEPHRHDGVFNVKGKEGALCTKNLISGESIYGKKRVVVQNEDGTKTEYRVWNPFRSKLAAGDF >DRNTG_08297.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23795584:23798781:1 gene:DRNTG_08297 transcript:DRNTG_08297.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLRGGGRGGGGRFMGMSDGGGRGRRRGGGGGREGPEGHGSGRGRGRGRGRGGGGRGCGRGRGGCGGGMKCRCRVVIEPHRHDGVFNVKGKEGALCTKNLISGESIYGKKRVVVQNEDGTKTEYRVWNPFRSKLAAGDF >DRNTG_08297.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23795584:23798781:1 gene:DRNTG_08297 transcript:DRNTG_08297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLRGGGRGGGGRFMGMSDGGGRGRRRGGGGGREGPEGHGSGRGRGRGRGRGGGGRGCGRGRGGCGGGMKCRCRVVIEPHRHDGVFNVKGKEGALCTKNLISGESIYGKKRVVVQNEDGTKTEYRVWNPFRSKLAAGDF >DRNTG_08297.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23795584:23798781:1 gene:DRNTG_08297 transcript:DRNTG_08297.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSDGGGRGRRRGGGGGREGPEGHGSGRGRGRGRGRGGGGRGCGRGRGGCGGGMKCRCRVVIEPHRHDGVFNVKGKEGALCTKNLISGESIYGKKRVVVQNEDGTKTEYRVWNPFRSKLAAGDF >DRNTG_33248.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001981.1:47756:49989:1 gene:DRNTG_33248 transcript:DRNTG_33248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWLSDFSLAKTRVGVLQCQFPILQQVKREVRGPRSARECPLVPGHAQLVSRAGAAWVHPAAQRTGWRDEDRADLPVADNVGRM >DRNTG_12443.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21012719:21015176:-1 gene:DRNTG_12443 transcript:DRNTG_12443.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNPSSGKGKAGGAGQSQQPSDSSSLKRKRGVFQKDLQHMMYGFGDDPNVHKAQDVATKRGKLLTEDFLYLIRKDMPKLHRCTELLSMNEELKQARKAFEVDEEKLATAD >DRNTG_31495.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23369193:23370401:1 gene:DRNTG_31495 transcript:DRNTG_31495.2 gene_biotype:protein_coding transcript_biotype:protein_coding GGASSSRVHLQKRSMTWTPKPNSTCGLPPWEEILS >DRNTG_31495.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23368259:23368862:1 gene:DRNTG_31495 transcript:DRNTG_31495.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLTSLRYLYIVDCPGLKSFPKGLQQLLPTLKELTIKGCPELERLCKPGGDYHNLLFTISYKQIGVQQKQSTQVPHKISTGATQALKCINTNPFLLSSVFICAIAYFIYFILNKLNSQVPLSPFLFFYLIVFLFLILFFFFNFLYLLLYKKVKPYIYFGISFQ >DRNTG_31495.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23368259:23369783:1 gene:DRNTG_31495 transcript:DRNTG_31495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLTSLRYLYIVDCPGLKSFPKGLQQLLPTLKELTIKGCPELERLCKPGGDYHNLLFTISYKQIGVQQKQSTQVPHKISTGATQALKCINTNPFLLSSVFICAIAYFIYFILNKLNSQNEQVVLYIPPT >DRNTG_00261.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5307873:5308276:-1 gene:DRNTG_00261 transcript:DRNTG_00261.2 gene_biotype:protein_coding transcript_biotype:protein_coding SKLDCIRSSHSASHAPLSGGHTSGYALARRCQRLGFQKSLNLLLLAFFLLSWKSLVGWRLERKLKGGVVLISLANW >DRNTG_00261.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5303131:5308276:-1 gene:DRNTG_00261 transcript:DRNTG_00261.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKLDCIRSSHSASHAPLSGGHTSGYALARRCQRLGFQKSLNLLLLAFFLLSWKSLVGWRLERKLKGGVVLISLANCCPSRRVSSNHHSYSTPHSGRAADCRFAMPLGGTCRGGALTSISCVHFSFHI >DRNTG_26667.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1039047:1044956:-1 gene:DRNTG_26667 transcript:DRNTG_26667.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKIGTKPDVFYTEEATRSVLSDVPTDLVIIVNNTRYLLHKFPLLLKCGLLQRLSSDTDDGGTPTPVSLHDFPGGEEAFELCAKFCYGIAISLSAHNFLPAISAARFLRMTESVAKGNLVMKLESFFEACILHGWKDSIVTLQSAGRLPTWSENPRIVRPCIDAVVEKILTHPSKVNWSFTYTRPGYDQKQHRSVPKDWWTEDVSELDLDLFRSIISAVRSSKKLQPQLIGEALHVYACKHLPDPFQTFRGRRELRRHRRNPNQEPESARVHRQHDPQRPRLRLRPLLAQTPQGGEPIGRIAINDGGAREKVRAAAGGGDGGGPPLSITDASELIRHRNRGSDPGELLSPATAAIRA >DRNTG_26667.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1039047:1044956:-1 gene:DRNTG_26667 transcript:DRNTG_26667.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKIGTKPDVFYTEEATRSVLSDVPTDLVIIVNNTRYLLHKFPLLLKCGLLQRLSSDTDDGGTPTPVSLHDFPGGEEAFELCAKFCYGIAISLSAHNFLPAISAARFLRMTESVAKGNLVMKLESFFEACILHGWKDSIVTLQSAGRLPTWSENPRIVRPCIDAVVEKILTHPSKVNWSFTYTRPGYDQKQHRSVPKDWWTEDVSELDLDLFRSIISAVRSSKKLQPQLIGEALHVYACKHLPDPFQTFRGRRELRRHRRNPNQEPESARVHRQHDPQRPRLRLRPLLAQTPQGGEPIGRIAINDGGAREKVRAAAGGGDGGGPPLSITDASELIRHRNRGSDPGELLSPATAAIRA >DRNTG_26667.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1039047:1044956:-1 gene:DRNTG_26667 transcript:DRNTG_26667.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKIGTKPDVFYTEEATRSVLSDVPTDLVIIVNNTRYLLHKFPLLLKCGLLQRLSSDTDDGGTPTPVSLHDFPGGEEAFELCAKFCYGIAISLSAHNFLPAISAARFLRMTESVAKGNLVMKLESFFEACILHGWKDSIVTLQSAGRLPTWSENPRIVRPCIDAVVEKILTHPSKVNWSFTYTRPGYDQKQHRSVPKDWWTEDVSELDLDLFRSIISAVRSSKKLQPQLIGEALHVYACKHLPDPFQTFRGRRELRRHRRNPNQEPESARVHRQHDPQRPRLRLRPLLAQTPQGGEPIGRIAINDGGAREKVRAAAGGGDGGGPPLSITDASELIRHRNRGSDPGELLSPATAAIRA >DRNTG_26667.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1039047:1044956:-1 gene:DRNTG_26667 transcript:DRNTG_26667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKIGTKPDVFYTEEATRSVLSDVPTDLVIIVNNTRYLLHKFPLLLKCGLLQRLSSDTDDGGTPTPVSLHDFPGGEEAFELCAKFCYGIAISLSAHNFLPAISAARFLRMTESVAKGNLVMKLESFFEACILHGWKDSIVTLQSAGRLPTWSENPRIVRPCIDAVVEKILTHPSKVNWSFTYTRPGYDQKQHRSVPKDWWTEDVSELDLDLFRSIISAVRSSKKLQPQLIGEALHVYACKHLPDPFQTFRGRRELRRHRRNPNQEPESARVHRQHDPQRPRLRLRPLLAQTPQGGEPIGRIAINDGGAREKVRAAAGGGDGGGPPLSITDASELIRHRNRGSDPGELLSPATAAIRA >DRNTG_26667.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1039047:1044956:-1 gene:DRNTG_26667 transcript:DRNTG_26667.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKIGTKPDVFYTEEATRSVLSDVPTDLVIIVNNTRYLLHKFPLLLKCGLLQRLSSDTDDGGTPTPVSLHDFPGGEEAFELCAKFCYGIAISLSAHNFLPAISAARFLRMTESVAKGNLVMKLESFFEACILHGWKDSIVTLQSAGRLPTWSENPRIVRPCIDAVVEKILTHPSKVNWSFTYTRPGYDQKQHRSVPKDWWTEDVSELDLDLFRSIISAVRSSKKLQPQLIGEALHVYACKHLPDPFQTFRGRRELRRHRRNPNQEPESARVHRQHDPQRPRLRLRPLLAQTPQGGEPIGRIAINDGGAREKVRAAAGGGDGGGPPLSITDASELIRHRNRGSDPGELLSPATAAIRA >DRNTG_03788.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21987513:21988554:-1 gene:DRNTG_03788 transcript:DRNTG_03788.1 gene_biotype:protein_coding transcript_biotype:protein_coding KESGFFSVESKDILQNQHKNREENQQKLKGKTEKKNHTHTH >DRNTG_01229.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:11540434:11541427:-1 gene:DRNTG_01229 transcript:DRNTG_01229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSCSRTIKTHESKNSQTFLPLISSTALSTAACPWQCSSTTDATTLNLHATSEYANNTGPSHESNATKLDSSKASNKTTPLVALANMVSIIRREAEAVEISSM >DRNTG_16293.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5567252:5567854:1 gene:DRNTG_16293 transcript:DRNTG_16293.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTARHGGSWLAASQACGKRSSPAESELQHSERP >DRNTG_33981.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1090840:1094711:-1 gene:DRNTG_33981 transcript:DRNTG_33981.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESDDHHDGHYLHEDASYNGERKSGGATAWEVLTRVELDSAYISEKLLNLEILLMQVEARASDYEGISLENEDISAESFEMAFEFDILSGIMNSEVTELDSFMAFLQTEIVDARNRLSPDGQIEESLTELEEKLHDAEEHLKQLCTQVADVKFRTANFESLLAFGISTNEGAENGDNSSACDRWKLYNVEHQRHILQSYEKSLAKELDLEKKFTDARNSADDLKLKLRCAEVEIFNMEEAMEVIMARTLQAENASEIHLGLFKELSEKLHIELRSQLQEGETSLATQKAESAEFDGVHSLDMNGTEPNPEVFALREKVSSLEEQLRVSEKELHQQKASADESQQQKSALYSQLIEMEHVIKNLKENVLQAETRALTAENNCELLTNTNREINEDLNVLRHNIEERTNLLQRKLKESQMQLEHAQVSIEANEEKQNMLNTTRIDMENLIEDLKGKVLKAEGRADAAESKCSLLTETNVELTDELSFLRGRLECLTSLHQADEAKLATEKDVRMRAKAIADLIKKLASERERLQLQVMPYPIFINLTMKIIMISCLCRKSGATIIDYQSWL >DRNTG_33981.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1090840:1094711:-1 gene:DRNTG_33981 transcript:DRNTG_33981.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDDHHDGHYLHEDASYNGERKSGGATAWEVLTRVELDSAYISEKLLNLEILLMQVEARASDYEGISLENEDISAESFEMAFEFDILSGIMNSEVTELDSFMAFLQTEIVDARNRLSPDGQIEESLTELEEKLHDAEEHLKQLCTQVADVKFRTANFESLLAFGISTNEGAENGDNSSACDRWKLYNVEHQRHILQSYEKSLAKELDLEKKFTDARNSADDLKLKLRCAEVEIFNMEEAMEVIMARTLQAENASEIHLGLFKELSEKLHIELRSQLQEGETSLATQKAESAEFDGVHSLDMNGTEPNPEVFALREKVSSLEEQLRVSEKELHQQKASADESQQQKSALYSQLIEMEHVIKNLKENVLQAETRALTAENNCELLTNTNREINEDLNVLRHNIEERTNLLQRKLKESQMQLEHAQVSIEANEEKQNMLNTTRIDMENLIEDLKGKVLKAEGRADAAESKCSLLTETNVELTDELSFLRGRLECLTSLHQADEAKLATEKDVRMRAKAIADLIKKLASERERLQLQVMPYPIFINLTMKIIMISCLCRKSGATIIDYQSWL >DRNTG_33981.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1090840:1094711:-1 gene:DRNTG_33981 transcript:DRNTG_33981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDDHHDGHYLHEDASYNGERKSGGATAWEVLTRVELDSAYISEKLLNLEILLMQVEARASDYEGISLENEDISAESFEMAFEFDILSGIMNSEVTELDSFMAFLQTEIVDARNRLSPDGQIEESLTELEEKLHDAEEHLKQLCTQVADVKFRTANFESLLAFGISTNEGAENGDNSSACDRWKLYNVEHQRHILQSYEKSLAKELDLEKKFTDARNSADDLKLKLRCAEVEIFNMEEAMEVIMARTLQAENASEIHLGLFKELSEKLHIELRSQLQEGETSLATQKAESAEFDGVHSLDMNGTEPNPEVFALREKVSSLEEQLRVSEKELHQQKASADESQQQKSALYSQLIEMEHVIKNLKENVLQAETRALTAENNCELLTNTNREINEDLNVLRHNIEERTNLLQRKLKESQMQLEHAQVSIEANEEKQNMLNTTRIDMENLIEDLKGKVLKAEGRADAAESKCSLLTETNVELTDELSFLRGRLECLTSLHQADEAKLATEKDVRMRAKAIADLIKKLASERERLQLQISALTKTNRFLVNKYLKRKVKDPFLTSEKGNYSENEHKIFRESGEGLAEPSAIENQTNESAADVFACQKTMQKTVSVADISVESSEHETVRNIGLTQLSLKHLLFGVMIIIVAAVAAYLIQSENINS >DRNTG_18542.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3255404:3257203:1 gene:DRNTG_18542 transcript:DRNTG_18542.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLQLLLHLMNCRDHFLHLLPMLMRDHHHLLLLLLVLVEGLPLMRSRLGRDPRLFELFEVTHTKKGASMFVDAHAQSIKDRYLELVEQSSQTQEGHDELPIVDETTLYYETVKGGKKNRVYGIGSQACIFYPRSSSILSTGSSFEALHAEVRDLRQSLSQV >DRNTG_31544.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22888916:22889503:-1 gene:DRNTG_31544 transcript:DRNTG_31544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTLDFRYLDEGLGGQKNKRKRSESSEPQSNGGDSMELDSMSEPPPAKRAALPSLSDPEKPAFGRPTYDGVIAGKASGRKWKQARTQRASAALVSRRGKTMELRAKEKEMKRAYKERMNELKEEIRLNKVEKRKKREEREKKKQENILRTGTKLQKITNPKTLKKIAKSKQKKLLKVVPDEILDKNANKKKNNA >DRNTG_33064.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:6161495:6163016:-1 gene:DRNTG_33064 transcript:DRNTG_33064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPQFPLKKLITWALYALIPLALFHLYFYPLPLQQNQKQQQQQQQHHHHKVLSTKGISRNCNYTDGRWVQDHRPTIYNGTSCGTIKDGQNCMAHGRPDTGYLHWRWQPRQCKLPRFNPLTFLNLIRNKHIAFVGDSLARNQLESLLCLLSSASPAELVYKNGEDNKFRRWSFSEYQVNVSVFWSPFLIKGVEKGSDYGLKNHNKLFVDVADEKWASELHGFDVIVFSVGHWFLHPAIYYEGGEENENVLGCHHCREENAFNHTEIGFFDVFRKAVRTSLHEVVRKGLNDQLVVLTTFSPAHFEGEWDKAGACPKTEPYKEGEKGMEYMDMEMRKIEVDEVERAKKEIEEKMMMKKKKVKIEALDVTRIAWLRGDGHPGPYMHAFPFANGVPERVQNDCVHWCLPGPIDAWNEILLQMVKRWRDQTGKR >DRNTG_03252.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000149.1:33012:34079:1 gene:DRNTG_03252 transcript:DRNTG_03252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLAFEAEDIIDTFMYFKLRRQQKQPGCNGFIKRFVFIFDELVSRHNVHVDVKGIKSKLHELSDSRALYDISNIGTTSQSRSPGEIPILPQLSDDIDMIGFDDEKNMIVQEL >DRNTG_00664.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16306824:16312548:1 gene:DRNTG_00664 transcript:DRNTG_00664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKDGGESAYEASKLSSKDQSDVGVEEKGSPSLAVNPMDAHIHKLQESEAEAGPGPGASNNADLAGTTSEVGHVEAEPNDKDIGVTPDSNNSTEDLALNNATEDPPLVKHVENADEHAVVGVKKEKEGEVVDLPVESGGRHGEKDNAKRTDSEDNHNLETATKEEKEEEDPSNDAPNQSFIFDYTEGEESGTEEDQVAFMNELETFHREKNLEFKPPKFYGEGLNCLKLWRAVTRLGGYDQVTSCKLWRQVGESFRPPKTCTTVSWSFRIFYEKALLEYEKHKIQTGELQVPIPSVPETMPLEHQVGGSQGSGSGRARRDAAARAMQGWHSQRLLGNGEVGDPIIKDKSSVKDKNSKGIGIVKRKKPSSLEHTEAKAARPKVAKTQTDIMVVDVGPPADWVKINVRTTKDCFEVYALVPGLLREEVHVQSDPSGRLVISGEPEQPDNPWGVTPFKKVITLSSRIDPHQTSAVVTLHGQLFVRAPFEQSDS >DRNTG_31990.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23710334:23713232:1 gene:DRNTG_31990 transcript:DRNTG_31990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLICGDIHTEIKFPFWTEDRPGYCGHPGYQLTCDPENKTLSMNIGDKSYHVRERIDYENQFLALIDADLSAAVTTTSFCHEKITSTTTSRLESSYLIYGDNDTNVTLYLNCSISTILNLNKFFPIPCTSYFPDFFGQKAFFTLAKEHIEFPENEQCNATVLIPVYDQFNLGDFITGAKNFSDVMKAGFGVKWTIGQDWCDKCTKSGGLCGSNDIKPACFCPVGTTTVGTICSHGKNRTVMNKHIIIGVVSGVGIILALCCLYLYCWFRRKRQQHSSSTLYDFACWHNTSKDQTIEIFLQKHGTVSQKRYSYSEVKKITGSFHHKLGQGGFGSVFKGTLSDGHAVAVEVLNTSDGNGNGNGDGEEFLNEVAGIGRTSHINIVSLVGFCFQGSKRALIYDFMPNGSLEKYIYAEDPKIVLGWEKLFQIAIGIGRGLEYLHRGCNTKIVHFDIKPHNILLDEDFCPKISDFGLAKFGTHKASILSLAGTRGTIGYIAPEVFSRNFGTVSSKSDVYSYGMMVLEMAGGRKNVKVTADRTSEIYFPHWIYEHLDEDASMEHCGVTADTQEIARKLIIVGLWCIQTRPESRPTMSKVVEMLEGSINDLELPPKPYLFSPPRSPAMCSTISMS >DRNTG_31990.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23712068:23713232:1 gene:DRNTG_31990 transcript:DRNTG_31990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSLEKYIYAEDPKIVLGWEKLFQIAIGIGRGLEYLHRGCNTKIVHFDIKPHNILLDEDFCPKISDFGLAKFGTHKASILSLAGTRGTIGYIAPEVFSRNFGTVSSKSDVYSYGMMVLEMAGGRKNVKVTADRTSEIYFPHWIYEHLDEDASMEHCGVTADTQEIARKLIIVGLWCIQTRPESRPTMSKVVEMLEGSINDLELPPKPYLFSPPRSPAMCSTISMS >DRNTG_24557.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25838875:25841037:1 gene:DRNTG_24557 transcript:DRNTG_24557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSGYANVSLSAPPTHCNGLNTWRVLERFYGSDIARSLEEGYWERFRRHRSGEVYPRPDKGSLATSRGLSTRPSTRPSRGKHNREFLHLKRLS >DRNTG_10161.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000424.1:20321:21603:-1 gene:DRNTG_10161 transcript:DRNTG_10161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVKYPFSVTPRTRLAGPGAQTNGRRSTGHEPSRPARPQDLV >DRNTG_18152.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:655621:658354:-1 gene:DRNTG_18152 transcript:DRNTG_18152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLESGSNSSMAASPGSKRSRDPEEEVYVDNLHSHKRYLSEIMASSLNGLTVEDSLTENLMQSPSRSETNFYPREDCVPYSPMSEDSDDCRFCETPLNSSMVQADPFSIPTSPVSPHRHQKQFIGHSSLNPYPLPGCTLPSVVCSNSRHRSSDSEGRFPSSPNDMCHTADLRRAALLRSVQMRTQPHYSQPFELPFNSGHESMQSEEDGEDPPFSCLKNSDDDPGGYQSPDHIPDYVDDCSAATVGDVSSDRN >DRNTG_26712.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31224664:31228409:1 gene:DRNTG_26712 transcript:DRNTG_26712.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNEKDKFVMEKSGAGTSAGGGGGGGGDHLSCQSSGIPSDWQIPLLSMVESFNPGIWNNTTTSSQNLGWTSSEPIPKVPLFLQPVPTGLPPSLSHIPADSAFIERAARFSCFNGGGLSGVVNPFATSEPLNPFSGVPRGVPTAPESELNLADAPPGEHRSQSGGSPMKKHRGKGSLQIGASSSEPGDTDNTNGASQEETSIGEPSSKGILGAKKRKRIINQDVELNQQQPAENAKDDSESKQITESQPTGKAAGKQVKEISDTPKDDYIHVRARRGQATNSHSLAERVRREKISQRMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLEFNIEGLLAKELVHSRGCSSSSIGFSSDIMHPQLHSSQQGLVHPGICSMVNPPDALRRAVNPQFAPLNGFKEPTSQMPNAWDDEHHNMAQMPFSTNPSLSTQEMNAKPHDGFSM >DRNTG_26712.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31225166:31228409:1 gene:DRNTG_26712 transcript:DRNTG_26712.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNEKDKFVMEKSGAGTSAGGGGGGGGDHLSCQSSGIPSDWQIPLLSMVESFNPGIWNNTTTSSQNLGWTSSEPIPKVPLFLQPVPTGLPPSLSHIPADSAFIERAARFSCFNGGGLSGVVNPFATSEPLNPFSGVPRGVPTAPESELNLADAPPGEHRSQSGGSPMKKHRGKGSLQIGASSSEPGDTDNTNGASQEETSIGEPSSKGILGAKKRKRIINQDVELNQQQPAENAKDDSESKQITESQPTGKAAGKQVKEISDTPKDDYIHVRARRGQATNSHSLAERVRREKISQRMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLEFNIEGLLAKELVHSRGCSSSSIGFSSDIMHPQLHSSQQGLVHPGICSMVNPPDALRRAVNPQFAPLNGFKEPTSQMPNAWDDEHHNMAQMPFSTNPSLSTQEMNAKPHDGFSM >DRNTG_01088.9.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000064.1:18858:21451:1 gene:DRNTG_01088 transcript:DRNTG_01088.9 gene_biotype:protein_coding transcript_biotype:protein_coding METGAIVKVTCEAGNKKYEAYGETKGHGKYIVTVEGYPYWEHGAKGCKVYLHAAPKGSTCNKPTKLNECAPLNVYSKSHEEVVLRAKATCLCTRETL >DRNTG_01088.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000064.1:18858:21499:1 gene:DRNTG_01088 transcript:DRNTG_01088.3 gene_biotype:protein_coding transcript_biotype:protein_coding METGAIVKVTCEAGNKKYEAYGETKGHGKYIVTVEGYPYWEHGAKGCKVYLHAAPKGSTCNKPTKLNECAPLNVYSKSHEEVVLRAKATCLCTRETL >DRNTG_01088.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000064.1:18858:21499:1 gene:DRNTG_01088 transcript:DRNTG_01088.5 gene_biotype:protein_coding transcript_biotype:protein_coding METGAIVKVTCEAGNKKYEAYGETKGHGKYIVTVEGYPYWEHGAKGCKVYLHAAPKGSTCNKPTKLNECAPLNVYSKSHEEVVLRAKATCLCTRETL >DRNTG_01088.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000064.1:18858:21451:1 gene:DRNTG_01088 transcript:DRNTG_01088.8 gene_biotype:protein_coding transcript_biotype:protein_coding METGAIVKVTCEAGNKKYEAYGETKGHGKYIVTVEGYPYWEHGAKGCKVYLHAAPKGSTCNKPTKLNECAPLNVYSKSHEEVVLRAKATCLCTRETL >DRNTG_01088.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000064.1:18858:21499:1 gene:DRNTG_01088 transcript:DRNTG_01088.4 gene_biotype:protein_coding transcript_biotype:protein_coding METGAIVKVTCEAGNKKYEAYGETKGHGKYIVTVEGYPYWEHGAKGCKVYLHAAPKGSTCNKPTKLNECAPLNVYSKSHEEVVLRAKATCLCTRETL >DRNTG_01088.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000064.1:18858:21451:1 gene:DRNTG_01088 transcript:DRNTG_01088.7 gene_biotype:protein_coding transcript_biotype:protein_coding METGAIVKVTCEAGNKKYEAYGETKGHGKYIVTVEGYPYWEHGAKGCKVYLHAAPKGSTCNKPTKLNECAPLNVYSKSHEEVVLRAKATCLCTRETL >DRNTG_01088.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000064.1:18858:21499:1 gene:DRNTG_01088 transcript:DRNTG_01088.2 gene_biotype:protein_coding transcript_biotype:protein_coding METGAIVKVTCEAGNKKYEAYGETKGHGKYIVTVEGYPYWEHGAKGCKVYLHAAPKGSTCNKPTKLNECAPLNVYSKSHEEVVLRAKATCLCTRETL >DRNTG_01088.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000064.1:18858:21499:1 gene:DRNTG_01088 transcript:DRNTG_01088.6 gene_biotype:protein_coding transcript_biotype:protein_coding METGAIVKVTCEAGNKKYEAYGETKGHGKYIVTVEGYPYWEHGAKGCKVYLHAAPKGSTCNKPTKLNECAPLNVYSKSHEEVVLRAKATCLCTRETL >DRNTG_01088.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000064.1:18858:21499:1 gene:DRNTG_01088 transcript:DRNTG_01088.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGAIVKVTCEAGNKKYEAYGETKGHGKYIVTVEGYPYWEHGAKGCKVYLHAAPKGSTCNKPTKLNECAPLNVYSKSHEEVVLRAKATCLCTRETL >DRNTG_22979.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26946537:26962043:-1 gene:DRNTG_22979 transcript:DRNTG_22979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVILQVAHTGMWKLPMPMGIFPEARLRFRDSQRCVDSPLTTPMRDTGRMASLYQETS >DRNTG_16320.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1561737:1564920:-1 gene:DRNTG_16320 transcript:DRNTG_16320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNMTAQFASYNTSRRFATGEANFTASNPKIYGLTQCTPDLTGDQCYRCLQGAFSVISGFADKQGLRIIGVKCNFRYEVYSFFEGKSMVVLSQSNGTNTTPTPTPTPTVEEEGKENKTGIILAISIPLVIAVLMITTICICFFFFWRRLAKEIPNLTNSEQITSVESILFDLSVLKDATEDFSEVNKLGQGGFGSVFKGTLRDGREIAVKRLSAGSGQGIEELKNELVLVAKLQHRNLVRLLGVCLEGREKMLVYEYVPNKSLDTILFDSVKSKQLDWGRRYKIISGIARGLLYLHEDSQLKIIHRDLKASNILLDEDMNPKISDFGLARLFECDQTGGTTSRVVGTFGYMSPEYVIRGQYSAKVRYIQLWSFWFWKS >DRNTG_16320.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1578926:1582067:-1 gene:DRNTG_16320 transcript:DRNTG_16320.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALIRLRFKRLSLFILSVLFLVSPTLSKLLYQVCGNTGNYKANSTYESNLFALLPSMASNGSGQGFYTETVGTIPDKVYGYILCRGDTNTSTCRSCLDTAGEDIVQLCSYAKDATIYYDYCLLRYSNQNLRESTQNSNVFYMWNVNNATDPDKFNKLALELVNMTSQYAAFNSTRRFVTGEANYTALYPKLYGLAQCTRDLSGDQCYQCLTSMFSLMSNDCREERRKSCWR >DRNTG_16320.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1561737:1582067:-1 gene:DRNTG_16320 transcript:DRNTG_16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIRLRFKRLSLFILSVLFLVSPTLSKLLYQVCGNTGNYKANSTYESNLFALLPSMASNGSGQGFYTETVGTIPDKVYGYILCRGDTNTSTCRSCLDTAGEDIVQLCSYAKDATIYYDYCLLRYSNQNLRESTQNSNVFYMWNVNNATDPDKFNKLALELVNMTSQYAAFNSTRRFVTGEANYTALYPKLYGLAQCTRDLSGDQCYQCLTSMFSLMSNDCREERRKSCWR >DRNTG_16320.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1589250:1592474:-1 gene:DRNTG_16320 transcript:DRNTG_16320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLKLFSLFILLVLFLVSPTLSDPLFQVCGKTGNYTAKDTYESNLLALLPSLASNGSSQGFYNQTVGSIPDKIYAFILCRGDTNTSTCRSCLDTAGQDIVQLCPYAKEATIWYDYCLLRYSNQNFLATTDNSDVFYMWNVNNVTEPDKFNKLVGELINVTSQYAAFNSTRRFGTGEANFTAAVPKIYGLAQCTRDLSGNQCYQCLKGMFSVMSNFAGKRGGRVLGDRCNFRYEMYSFYQGRSSLQLPSTTSSPPSPSPTSPPPSAPRVGAGGNKNDVGKGSSNCHSSGVCFPANLHYLRMLLEEEEEVWQKKFLMLATQRRSRMLSPYYLIYQLLDLQQQTSLKRINLGKVALVQFSREHYVMEEK >DRNTG_16320.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1578926:1592474:-1 gene:DRNTG_16320 transcript:DRNTG_16320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLKLFSLFILLVLFLVSPTLSDPLFQVCGKTGNYTAKDTYESNLLALLPSLASNGSSQGFYNQTVGSIPDKIYAFILCRGDTNTSTCRSCLDTAGQDIVQLCPYAKEATIWYDYCLLRYSNQNFLATTDNSDVFYMWNVNNVTEPDKFNKLVGELINVTSQYAAFNSTRRFGTGEANFTAAVPKIYGLAQCTRDLSGNQCYQCLKGMFSVMSNFAGKRGGRVLGDRCNFRYEMYSFYQGRSSLQLPSTTSSPPSPSPTSPPPSAPRVGAGGNKNDVGKGSSNCHSSGVCFPANLHYLRMLLEEEEEVWQKKFLMLATQRRSRMLSPYYLIYQLLDLQQQTSLKRINLGKVALVQFSREHYVMEEK >DRNTG_16320.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1578926:1582067:-1 gene:DRNTG_16320 transcript:DRNTG_16320.6 gene_biotype:protein_coding transcript_biotype:protein_coding MALIRLRFKRLSLFILSVLFLVSPTLSKLLYQVCGNTGNYKANSTYESNLFALLPSMASNGSGQGFYTETVGTIPDKVYGYILCRGDTNTSTCRSCLDTAGEDIVQLCSYAKDATIYYDYCLLRYSNQNLRESTQNSNVFYMWNVNNATDPDKFNKLALELVNMTSQYAAFNSTRRFVTGEANYTALYPKLYGLAQCTRDLSGDQCYQCLTSMFSLMSNDCREERRKSCWR >DRNTG_30918.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:25994:27214:-1 gene:DRNTG_30918 transcript:DRNTG_30918.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDVRLTFDLDGRPVYVQVSILLPHEVDVVTEEEEEFPWPCDVEEEDEGLLSAFFDTMFGDMELPSEIKRLMLAASGEKTDLVVPSPLFGLGVVELGSRPSVIGKLGVVEPCSRPSDLGVHGAVELCSRSSDVGERQAVAFLVIRPVLGIEEGIQAWALQRLVVRIEKLSVDGEEPKLGPEPEGKRRGLAAFRGARAPSISIATYLERIYRVMVASKVLVDLHYNNSFFARVGEVSNAELNRMELELLALLDFGVMVNYWPVGELGSATMRRDMLKFSPDEKEKQPEVMPALQQTAGSVERGSHPGAGSVVAQQEVVTWGIIKDGDPGMQDMRSSSTSGAVSQKVKAMIQASVERGSHIIGGSDVLISDKNLVVEPRSHIARETAPLRLLVHDPVDQRVARGRTDG >DRNTG_23292.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1273382:1274961:-1 gene:DRNTG_23292 transcript:DRNTG_23292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNLTPLLRPMVNNGWGLAGFAKGRTPTPPNYIAALQFAKPSSDSFYVGVQSWSARKCDDKSCAFLLSSSGSGGRPEEEKDPVSMSRQFPNTTVGVIAGLSPLSTLGFLEKLVRWSSADDGGGGGGGGMSPPFIVSNDPVRLSNYERDRLNINPKNGRHGRLDPGPVVEKLRQQRLFLERSGARCIVMPCHISHSWFNDIAYGCSVPFLHAAHSVATELKASNLRPVQTGTNVCIGILGTQTIIDSGFYQSSLNSQVS >DRNTG_13232.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2829958:2837551:-1 gene:DRNTG_13232 transcript:DRNTG_13232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASAPAHSLLCKPDTILSKAHLCILSNPELPRFVAVPFSGSRLRSRSIAMEIRASGLGNENSTPSVPLSDSPSRARDTVKRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVLGTDRVLQQVVEQLHKLVNVLKVEDLSKEPQVERELMLIKLSVDPDQRQEVMGLVDVFRAKTVDISEHSLTIEVTGDPGKMVAVQRNLSKFGIREIARTGKIALRREKMGESAPFWRFSAASYPDLEGSMPLDALPSQMNRSFITESELSSRGDVYPVEPYDGFSMNQVLDAHWGVLEDEDSSGLCSHTLSILVNDSPGVLNIVTGVFARRGYNIQSLAVGHAEKEGISRITTVVPGTDESISRLIQQLYKIIDVHEVQDITHMPFAERELMLIKIAVNTTARRDVLDIADVFRAKAVDVSDHTITLELTGDLDKMIALQRLLEPYGICEVARTGRVALVRESGVNSKHLRGYALPF >DRNTG_32592.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001867.1:35800:39102:-1 gene:DRNTG_32592 transcript:DRNTG_32592.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQYQTGNKRVQRDNYNEEESNKRSKNIKCRECGGIGHIRSECANTLKKKKKTLKATWSDESEGSEENIEEEPVGLTNHYIGFPTILSLKSNSAAYPEATSEDSDDSNKSISESDLLKAYELMLKKFDKNDFSRNKASQGREGKPT >DRNTG_23950.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001283.1:13338:14140:-1 gene:DRNTG_23950 transcript:DRNTG_23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKTECSSVTTFVPLQMDVLTRMRGGRGDNTAALTRQDLFFLYSMARNVPIHLGCIVADVLRSRAAQGPSAYDHIVRIESDVREIRTEIAELCAIQSAQCTDLMAHFDFLRDLLRYRPSASPAPPFPITAPVDPPYVSPPPAVAEEPTQCDTDI >DRNTG_01993.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13392289:13396251:1 gene:DRNTG_01993 transcript:DRNTG_01993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMFDGGYVHHGLLKAATWLLNQEADTLRELWLQRGPDYRLVIAGHSLGSGIAALMTVIIVNHRDRLGGIPRSQVRCYAIAPARCMSLNLAVKYADVIHSVILQDDFLPRTPTPLENIFGSIFCLPCLLFLVCMRDTFIPESKKLKDPRRLYAPGRMYHIVERKFCRCGRFPPEVRTAIPVEGRFEHVVLSCNATSDHGIVWIEREAQKALDLMDENVDLTSPPSQKMERKQTLEKEHKDALERIVSLNVPNAEPPISKTAKGSSDDTPVKIIIEDSSDDTPVEIKIEESSSNNLNSSGKTNWDELVEKLFDRNESGNLVLKRNIPVQ >DRNTG_01993.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13394623:13396251:1 gene:DRNTG_01993 transcript:DRNTG_01993.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDENVDLTSPPSQKMERKQTLEKEHKDALERIVSLNVPNAEPPISKTAKGSSDDTPVKIIIEDSSDDTPVEIKIEESSSNNLNSSGKTNWDELVEKLFDRNESGNLVLKRNIPVQ >DRNTG_33194.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001973.1:13560:14554:-1 gene:DRNTG_33194 transcript:DRNTG_33194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNQNLKNVEIHLLRSDALYEYILKTNVFPREHEQLRELREATKKHPM >DRNTG_17560.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29178319:29181337:-1 gene:DRNTG_17560 transcript:DRNTG_17560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFPCGSKRAKKKDRTVASSESVKDVKKQSSVRLKKEASEQSFAWKQKSIGLSKEALSNGSEHIAAQTFTFRELAMATKNFRPDCLVGEGGFGRVYKGRIEGINQIVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDLTSDKKRLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLELITGRRAIDNSRASGDHNLVAWVIESHFCSFFILLL >DRNTG_17560.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29178319:29181337:-1 gene:DRNTG_17560 transcript:DRNTG_17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFPCGSKRAKKKDRTVASSESVKDVKKQSSVRLKKEASEQSFAWKQKSIGLSKEALSNGSEHIAAQTFTFRELAMATKNFRPDCLVGEGGFGRVYKGRIEGINQIVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDLTSDKKRLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLELITGRRAIDNSRASGDHNLVAWARPLFKDRRKFAQMADPMLQGQYPARGLYQALAVAAMCVQEQPTMRPLIADVVTALTYLASQKYDPETSTVQSISRLTAPGTPPRSRRNSERRLNGVSERD >DRNTG_28818.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4182989:4186813:1 gene:DRNTG_28818 transcript:DRNTG_28818.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMTTLGPTLGFEIPHESDVDPLMPIHSQESHLETESLLVSAPPESLVEEQEKGMGVAMDSDTQIASVSTGDHQEPVLGMEFDSPEESRAFYSAYAARIGFGVRNSKSFTSRVDDTVIMRRFVCSRQGRPSKKDPFDLSKKRRNRVSSREGCKAMLQVNRRENGKWAVSRCVLEHCHPLGIAPKASPSLQKKLAKKPWELIMNSANVESQQNGLGAGGGVAQSLLEYFKKMQADNPAFFYSVQVDRNNCVANLFWADARARMAYSYFGDAVVFDMTCKRNKRVVPLAAFTGVNHHRQLIVFGCAFMTDESEASFTWLFETWLALMSGRRPVSFVTANNEAMAAASFKVFPTVRHRFCKRDIFHKCKEKLATVYSANPSFKGEFKKCVNESESIEEFESGWKLLVGKHNLDLNGWLRALYAIREKWVPVYFRNIFFAEISAPPKIETMHKFFLRHSITTTTLRDLVTQFDKAMAGQYEGEVQADIAGSHTRPVLKTPSPLEKQASDIYTRAIFDLFQEELVESSGFLMDKIEDGVISKFRVARIQDSSRIYLVTYNSSDKKIFCSCCMFEYSGILCQHIFRVSLAVGLVTLPEEYILRRWTKNAKSNILSYEHCAMVQSNCRKALSWRCNDLCRDAIRFAEEGATSAAIYKVAKGALQKAFSEVFAAKKGPFFNGAR >DRNTG_28818.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4183147:4186813:1 gene:DRNTG_28818 transcript:DRNTG_28818.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMTTLGPTLGFEIPHESDVDPLMPIHSQESHLETESLLVSAPPESLVEEQEKGMGVAMDSDTQIASVSTGDHQEPVLGMEFDSPEESRAFYSAYAARIGFGVRNSKSFTSRVDDTVIMRRFVCSRQGRPSKKDPFDLSKKRRNRVSSREGCKAMLQVNRRENGKWAVSRCVLEHCHPLGIAPKASPSLQKKLAKKPWELIMNSANVESQQNGLGAGGGVAQSLLEYFKKMQADNPAFFYSVQVDRNNCVANLFWADARARMAYSYFGDAVVFDMTCKRNKRVVPLAAFTGVNHHRQLIVFGCAFMTDESEASFTWLFETWLALMSGRRPVSFVTANNEAMAAASFKVFPTVRHRFCKRDIFHKCKEKLATVYSANPSFKGEFKKCVNESESIEEFESGWKLLVGKHNLDLNGWLRALYAIREKWVPVYFRNIFFAEISAPPKIETMHKFFLRHSITTTTLRDLVTQFDKAMAGQYEGEVQADIAGSHTRPVLKTPSPLEKQASDIYTRAIFDLFQEELVESSGFLMDKIEDGVISKFRVARIQDSSRIYLVTYNSSDKKIFCSCCMFEYSGILCQHIFRVSLAVGLVTLPEEYILRRWTKNAKSNILSYEHCAMVQSNCRKALSWRCNDLCRDAIRFAEEGATSAAIYKVAKGALQKAFSEVFAAKKGPFFNGAR >DRNTG_28818.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4182989:4186813:1 gene:DRNTG_28818 transcript:DRNTG_28818.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMTTLGPTLGFEIPHESDVDPLMPIHSQESHLETESLLVSAPPESLVEEQEKGMGVAMDSDTQIASVSTGDHQEPVLGMEFDSPEESRAFYSAYAARIGFGVRNSKSFTSRVDDTVIMRRFVCSRQGRPSKKDPFDLSKKRRNRVSSREGCKAMLQVNRRENGKWAVSRCVLEHCHPLGIAPKASPSLQKKLAKKPWELIMNSANVESQQNGLGAGGGVAQSLLEYFKKMQADNPAFFYSVQVDRNNCVANLFWADARARMAYSYFGDAVVFDMTCKRNKRVVPLAAFTGVNHHRQLIVFGCAFMTDESEASFTWLFETWLALMSGRRPVSFVTANNEAMAAASFKVFPTVRHRFCKRDIFHKCKEKLATVYSANPSFKGEFKKCVNESESIEEFESGWKLLVGKHNLDLNGWLRALYAIREKWVPVYFRNIFFAEISAPPKIETMHKFFLRHSITTTTLRDLVTQFDKAMAGQYEGEVQADIAGSHTRPVLKTPSPLEKQASDIYTRAIFDLFQEELVESSGFLMDKIEDGVISKFRVARIQDSSRIYLVTYNSSDKKIFCSCCMFEYSGILCQHIFRVSLAVGLVTLPEEYILRRWTKNAKSNILSYEHCAMVQSNCRKALSWRCNDLCRDAIRFAEEGATSAAIYKVAKGALQKAFSEVFAAKKGPFFNGAR >DRNTG_00002.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21435251:21437782:-1 gene:DRNTG_00002 transcript:DRNTG_00002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNDCFVTNGTTKKESREEEETVAYTSALRLGCSTSVAMALKAVIELNVLEVIAAAGPGARLLPEEIVSQIETTNPNAGEVLDRLLRFLASYNVLKCEVVDGEKGMMKRKYGLAPICRFFTKDEDGVSVAPLLLMNQDKVLVDAWINLKYAVSEGTTPFVKAHGESAFQYHGKDHRFSEVFNQGMFNHTAMLMKKILETYKGFESLDVLVDVGGGIGATLAIILSKYPHIKAINFDLPFVISEAKPIPGVEFVGGDMFASVPTGDAIFMKWILHDWDDEHCVKILKNCREALPDNGKVIVVEGVIPEIPEDSDDARNGYMGDLCMLTYNVGGKERNEKEFKYLAKESGFSGFKIACCVYGFSVLEFSK >DRNTG_05704.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1779905:1781362:1 gene:DRNTG_05704 transcript:DRNTG_05704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEVVIIGAGPAGLAVAACLNSFSIPNIIFEKEDCIASLWKKKAYDRLKLHLAKDFCSLPLMPHSKTTPTFIPKDQFIQYLDHYAKHFMINPVFCTEVESARCVMNERSHKWVIMTRNKITGEVHEHMSNFLVVATGENSEGIIPEVVGLESFAGEVMHSSEYKSGAGFEGKRVLVVGSGNSGMEVAYDLSNFGAMTSISIRSPVHVMSKEMIYLGMVLVKYLPVKVVDGLLVMLAKLKYGDLSKYGIVRPKNGPLTIKISTGKSAVIDVGTVHKIKTGEIKDGGCLLNEEGLPKQSFPKHWKGMNGVYCAGLARRGLDGVSMDAQNIANDIKEQINTIANE >DRNTG_32375.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:581210:583489:-1 gene:DRNTG_32375 transcript:DRNTG_32375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDRGALKPYIVVILVQLLYSGMHIISKSALEFGLSPFVFVFYRQAFGAIVLLPFAFVVIRRGSPQLSFMLLLKIFIHALIGITLSLNIYNVALKYTSATVASAFNNSIPVFTFFLAVMMRMEVFKLKSISGLMKMIGITFCVAGIIIIAFYTGAPLMRSMKHHPVSNHQPKHSPSTWIKGSFLMITANIFWSLWLTSQGQLLKEYPSKLLFTTLQTIFSMIQSFLVCLVVERNFAKWELHMDMGLLAVAYSGIVITGLTFYLQSWSVEKKGPVFLAMSTPLAFVFTLIGSFFFFSKATTLGSVLGGAFMVGGLYFVLWGKSMENTTKIVQPCIEEGIVPNEVKEMASSCPKV >DRNTG_15666.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000782.1:7419:8002:1 gene:DRNTG_15666 transcript:DRNTG_15666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAALLNMKVHGRVAVCGMVSQHSFTDSEGIHNMFAVVRKRIRIEGFLQSDYLHLFPKFVETVSNYYREGKIIYLEDIAEGLEKASSAFVGLFSGKNVGKQVVCVAK >DRNTG_15666.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000782.1:7561:8002:1 gene:DRNTG_15666 transcript:DRNTG_15666.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAALLNMKVHGRVAVCGMVSQHSFTDSEGIHNMFAVVRKRIRIEGFLQSDYLHLFPKFVETVSNYYREGKIIYLEDIAEGLEKASSAFVGLFSGKNVGKQVVCVAK >DRNTG_14849.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22993387:22999261:-1 gene:DRNTG_14849 transcript:DRNTG_14849.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARNSAEEAQAEAQEEYTKDGSMDLKGNPVLRSKSGGWTACSFIVAFEIFERMASYGISSNLVIFLTNKLHQDIITSANNVTNWVGTIWLTPIIGAYIADAHLGRYKTLIAASAVYLSVMIYKPIVLEQIQMNTFSQEFGCVCKGMCLLIVAVSVPSLKPTSCGQNHPDCNEKASPLQLGVFFSALYILAIGTGGTKPNIAIMGADQFDEFDPKERAQKLSYFNWWMFSIFFGTFFATTIVVYIQENVGWTLGYALPTMGLVIAVVIFLIGTPLYRHRPPSGSPFTKMAKVLVAAAIKWRSKVPYDPKELYELDSEEYASNRKYKINHTQTLRILDKAAVKTGPTSPWMLCPVTQVEETKQMLRMIPILIATFVPSIMVAQGNTLFVKQGTTLNRSIGPHFKIPPACLQAFITVSMLFSIVIYDRWLVPSLRKWTKNPRGITLLQRLGIGIVTHIIMMTIASLTEHYRLRAVKAHGLESGGEVPITIFILLPQFVLLGVSDALIDVARTEFFYDQAPETMKSLGTSYSYTSLGIGNFLSTFLLSTVSHITKKKGHKGWILSNLNQARLDYYYAFFTILNLLNFFVFLVLARLYVYKTENTEQKKDNVAVEKSTQFNEDGIEQDDAEKQD >DRNTG_14849.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22993387:22999261:-1 gene:DRNTG_14849 transcript:DRNTG_14849.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARNSAEEAQAEAQEEYTKDGSMDLKGNPVLRSKSGGWTACSFIVAFEIFERMASYGISSNLVIFLTNKLHQDIITSANNVTNWVGTIWLTPIIGAYIADAHLGRYKTLIAASAVYLSGMCLLIVAVSVPSLKPTSCGQNHPDCNEKASPLQLGVFFSALYILAIGTGGTKPNIAIMGADQFDEFDPKERAQKLSYFNWWMFSIFFGTFFATTIVVYIQENVGWTLGYALPTMGLVIAVVIFLIGTPLYRHRPPSGSPFTKMAKVLVAAAIKWRSKVPYDPKELYELDSEEYASNRKYKINHTQTLRILDKAAVKTGPTSPWMLCPVTQVEETKQMLRMIPILIATFVPSIMVAQGNTLFVKQGTTLNRSIGPHFKIPPACLQAFITVSMLFSIVIYDRWLVPSLRKWTKNPRGITLLQRLGIGIVTHIIMMTIASLTEHYRLRAVKAHGLESGGEVPITIFILLPQFVLLGVSDALIDVARTEFFYDQAPETMKSLGTSYSYTSLGIGNFLSTFLLSTVSHITKKKGHKGWILSNLNQARLDYYYAFFTILNLLNFFVFLVLARLYVYKTENTEQKKDNVAVEKSTQFNEDGIEQDDAEKQD >DRNTG_14849.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22993387:22999261:-1 gene:DRNTG_14849 transcript:DRNTG_14849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNSAEEAQAEAQEEYTKDGSMDLKGNPVLRSKSGGWTACSFIVAFEIFERMASYGISSNLVIFLTNKLHQDIITSANNVTNWVGTIWLTPIIGAYIADAHLGRYKTLIAASAVYLSGMCLLIVAVSVPSLKPTSCGQNHPDCNEKASPLQLGVFFSALYILAIGTGGTKPNIAIMGADQFDEFDPKERAQKLSYFNWWMFSIFFGTFFATTIVVYIQENVGWTLGYALPTMGLVIAVVIFLIGTPLYRHRPPSGSPFTKMAKVLVAAAIKWRSKVPYDPKELYELDSEEYASNRKYKINHTQTLRILDKAAVKTGPTSPWMLCPVTQVEETKQMLRMIPILIATFVPSIMVAQGNTLFVKQGTTLNRSIGPHFKIPPACLQAFITVSMLFSIVIYDRWLVPSLRKWTKNPRGITLLQRLGIGIVTHIIMMTIASLTEHYRLRAVKAHGLESGGEVPITIFILLPQFVLLGVSDALIDVARTEFFYDQAPETMKSLGTSYSYTSLGIGNFLSTFLLSTVSHITKKKGHKGWILSNLNQARLDYYYAFFTILNLLNFFVFLVLARLYVYKTENTEQKKDNVAVEKSTQFNEDGIEQDDAEKQD >DRNTG_07066.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3891098:3896403:1 gene:DRNTG_07066 transcript:DRNTG_07066.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVQESRENQVKKKAEEGLRSIMKQKALKECDHYTAKYAECAMGRTISVVWQCRKQAKELNECLHQFTNDSVLEEMKKNYMLEQEKKNNNRI >DRNTG_07066.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3891098:3896403:1 gene:DRNTG_07066 transcript:DRNTG_07066.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVQESRENQVKKKAEEGLRSIMKQKALKECDHYTAKYAECAMGRTISVVWQCRKQAKELNECLHQFTNDSVLEEMKKNYMLEQEKKNNNRI >DRNTG_07066.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3891098:3896403:1 gene:DRNTG_07066 transcript:DRNTG_07066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVQESRENQVKKKAEEGLRSIMKQKALKECDHYTAKYAECAMGRTISVVWQCRKQAKELNECLHQFTNDSVLEEMKKNYMLEQEKKNNNRI >DRNTG_19480.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7598393:7606147:1 gene:DRNTG_19480 transcript:DRNTG_19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGEWNPTRQDRRVTYDDEKFESQRHGCDDDEDDEGGGGGDVVRPYLSMALEGGGKNVEELENSNPNGKSGNEGLPPVVGLDMSSLSWSA >DRNTG_29747.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1663907:1664768:1 gene:DRNTG_29747 transcript:DRNTG_29747.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALELKFHSPTIGFEEVKAYIFEAAGLVESKENEAEANENDGATYQQSQPIPAAQIPVDV >DRNTG_27965.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3490719:3491523:1 gene:DRNTG_27965 transcript:DRNTG_27965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACGYPSARIRKYNWSMKAIRRKTTGTGRMRYLRHVPRRFKSNFREGTQATPRATAAAASASV >DRNTG_02530.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8138385:8146101:-1 gene:DRNTG_02530 transcript:DRNTG_02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGLKEHYWWNNMKREIATFVAHCLTCQQVKAEHQRPAGYLQPLPIPEWKW >DRNTG_29332.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29742656:29748290:1 gene:DRNTG_29332 transcript:DRNTG_29332.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFRVALGRILQGSQHVGPSLTPAEVLIAIHGIDPEKDMIPLKKVMEACSACFEMRDVFTQQVLAKVLNQLVVQTPLPLLFMRTVIQAIGVFPALVEFVMEILSRLVNKQIWKTPKLWVGFLKCAVQTMPQSFGVLLKLPAAQLENALSKNPVLKSHLIEHANQPNIRSTLPRSTLVVFGA >DRNTG_29332.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29732303:29742431:1 gene:DRNTG_29332 transcript:DRNTG_29332.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILAYTPDPNGSSDPPHEVDDGSEFNISWLRGGHPVLSVGDLAMEASQSLGLLLDQLRFPQVKSLSNSIVIVLVNSLSAIAKKRPSFYGRILPVLLCLDPASSVIKGVQVPGAHHALKNAFVACLNCTHASAMPWRARLVEALKVLNTGELAEQASVNKITGSMTAISEDSCSTKDDKVPSQSCDEAETDIGQKRHLNERNCDISQDDNIPGKRIRLTSPSLQEIKREPVDAFSGSIPNNPSFGGYTTSTSDRDSKPVLQLVGMFAALVAQGDKAAQSLEILISSISSDLLAEVVLSNMPYLPSRCPKVEEEEQLVSSVGRHLQNLGSSKQMGDVTSFSSAFPLIASLLNAQSSTSHNYAESHPVDEEDVGRTVDSGFVPIIATDSMSSPTMIDPNPSEMEKATPATPLFEGNVPKAESEIPGLESTSSFDDVQESPEASHTSVDLQVSNKEQTSSLSGVLAVENSSSVCTTSYAAENLGLREATADTGEVPSATSHVISAQYLLSKMVIIPDATLTDEEKDHLQKQAFVRILEAYKQIELSGSSSVRFSLLAHLGIEFPLELDTWGLLQKHALSDYVNHEGHELTLRVLYRLYRETEQDQDFLSSRTATSVYEMFLLTVAETLRDTFPASDKSLGRLLAEVPLPSRRAIEVTGNALLS >DRNTG_29332.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29732303:29748290:1 gene:DRNTG_29332 transcript:DRNTG_29332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEVATKHIEVLPVMVPALISFLKDETPAVVRQAIKTGTFLFQYVLVKLAIKGLYSSELEESLKESWTWMLNFKNAVLSVVIQAGSDGIKLLAIKFVEAMILAYTPDPNGSSDPPHEVDDGSEFNISWLRGGHPVLSVGDLAMEASQSLGLLLDQLRFPQVKSLSNSIVIVLVNSLSAIAKKRPSFYGRILPVLLCLDPASSVIKGVQVPGAHHALKNAFVACLNCTHASAMPWRARLVEALKVLNTGELAEQASVNKITGSMTAISEDSCSTKDDKVPSQSCDEAETDIGQKRHLNERNCDISQDDNIPGKRIRLTSPSLQEIKREPVDAFSGSIPNNPSFGGYTTSTSDRDSKPVLQLVGMFAALVAQGDKAAQSLEILISSISSDLLAEVVLSNMPYLPSRCPKVEEEEQLVSSVGRHLQNLGSSKQMGDVTSFSSAFPLIASLLNAQSSTSHNYAESHPVDEEDVGRTVDSGFVPIIATDSMSSPTMIDPNPSEMEKATPATPLFEGNVPKAESEIPGLESTSSFDDVQESPEASHTSVDLQVSNKEQTSSLSGVLAVENSSSVCTTSYAAENLGLREATADTGEVPSATSHVISAQYLLSKMVIIPDATLTDEEKDHLQKQAFVRILEAYKQIELSGSSSVRFSLLAHLGIEFPLELDTWGLLQKHALSDYVNHEGHELTLRVLYRLYRETEQDQDFLSSRTATSVYEMFLLTVAETLRDTFPASDKSLGRLLAEVPLPSRRAIEVTGNALLS >DRNTG_08110.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11927440:11927670:1 gene:DRNTG_08110 transcript:DRNTG_08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSITFSGIDRALTNFYYMFLTMPSPPPPLQPPPPSPSEEKKEYCSEYNLEVSDDHDHLGDDDDSITITTILQSFR >DRNTG_06727.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4835984:4844972:-1 gene:DRNTG_06727 transcript:DRNTG_06727.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative 1-phosphatidylinositol-3-phosphate 5-kinase FAB1C [Source:Projected from Arabidopsis thaliana (AT1G71010) UniProtKB/Swiss-Prot;Acc:Q9SSJ8] MGIGESSVLDLVVRRVRSWIGRFAGALVMDSLPSCSECSLGLGPGAKGFSCRCCGRLFCRKCMQSGGVDQQPKYCAFCFQSVGNMETAVVRPVCPQTVPECPQLRFKNSRFAQLMEQQQQQQQQQGRSLHSCCSSCRSDEDEDAEENGKHFSSPMSDFSQDVSSDVDASSVNLGYENCSFKSVASSPFDSPGRLGDPGDYSPVKNSVSGHDTSAHLRKPGVESDDRCSYGNLSVYKSDEGQKDQQPLDFENNGRIWYPPEPEEEYGDAENGYFEYDDEDDDVGESGKLFSSSDFDTDAFKMKERANGSNKEALTSAVHGHFTALVSQLLKAEGIHFDSESGGLGWLGIVSSLAWLAAHFVKPDTSNGGSMDPGNYVKVKCLASGSPSDSCFIKGVVCTKNISHKRMISQHKNPRILILGGSLEYQKVQHKLASINAVLEQEINHLKIAVAKIEAHRPNILLVEKSVSSYAQEYLLKKEISLVLNVKRPLLERISRCTGAEIVPSIDDIASARLGQCEIFRIAKVFEHCPQGEPLNKRSMKTWMFFEGCPRRLGCTVLLKGASQDELKRLKHVVQYASFAAYHLSRETSFLVDEGASLPETPLRAPMVLPAKVVNVDTCISMTGNSEDPYMLEAVGEDDQGANSWWRFGGKHDFQCSDMSSGNAEVLSVCSGQEKLQVASDQKNSSIVADVRNSQKSINFLAEFSADVSTHEPNALNCHSPQTEWSNSYFSADMRDHEGHQLELPDKEKTVLKTLENLESFEEKNSGILDRNEATQDFFSTSDSNQSILVSLSSSCMLKGTVCERPQLFRIKYYGNFDKPLGRFLRDDLFSQKSSCPSCKEPVEAHVRCYTHQHGCLSINVKRLSFMKLPGERDGKIWMWHRCLKCEYKDGVPPAAPRVIMSDAAWGLSFGKFLELSFSNHTTANRIASCGHSLQRDCLRFYGCGSMVAFFRYAPVNILSVHLPPSLMDFTCDGHEEWIKREENEISSKVGFLHSEVIDALYNLEQQIKTFECQPIRASIQNHISELNCLLKKERNDYNVMLQTTAIYDNIPVHLTQDILQLNRLRRSLLIDSYLWDRRLFLLNSFANSKSSSGKVDSQFLEISGHNKLKECGTRSFSEEGRPCNPLEDNFSKSLALEVSPRTILLSRQHDERNLQVLECKSNSVVEMDLSIESDESYSCQTVLSLVSGQHVSSDLRNGSSEAFCDASSFGRLPSPGCNLSEKIDLAWTGTGQTSKDPSIDGSVAVPVGSGSFLDSHRHRTVRVYSFDSGLRKIHGGLSPASARLFPVKSFDISGDFPRAAKETQNMQTIYAQRSVKEVKKFSAFKGHSPQYLSSMLRMISEGTRLLLPQPDHDDMVIAIYDDELTSVIAYAIHSQEYTDFITSKSDHLDGLNANEKGNIIQTPHQSPKQSRFEDPRSQSYGSHSGPHALPADILDQREPHFRSFFDDGPSFTTDKAKFSVTCYFARHFDALRKKSCPNEEDYIRSLSRCRRWNAQGGKSNVYFAKSWDDRFIVKQVTKTELESFLTFAPQYFKYLMHAINSRSPTCLAKVLGVYQVTAKNLKGGREVKMDLMVMENLFFRRNISRVYDLKGSLRARFNPDPSGENKVMLDLNLLETLRTKPIFLGSRAKRCLERAVWNDTSFLASVDVMDYSLLVGIDEERKELVIGIIDFIRQYTWDKHLETWVKTNALLGGSRNTAPTVISPMQYKKRFRKAMSNYFLTLPDQWSS >DRNTG_00387.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18440452:18441795:1 gene:DRNTG_00387 transcript:DRNTG_00387.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDLMGYTKMNDQIAIQEAAAAGLRGLEHLVSQLSNQSSAMDCREITDQTVSKFKKVISILNRTGHARFRRGAVTSPAPSTVTPQPRLLPPPPPPPQTLTLDFTKPNTGSIPIIVSGAGPEMASSHYGKDNFSICTSISSANSSFLSSITNDGSVSNGKTSSSLLFTPIAAVSAGGRPPLSSNYKKRCSSHSHSDDLTAKLSGGRCHCSKRRKSRVKKTIRVPAISSKMADIPPDEYSWRKYGQKPIKGSPYPRGYYKCSSLRGCPARKHVERAPDDPSMLIVTYEGEHRHTPAAVSSAAAASDPIIFDPARDI >DRNTG_21908.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1729609:1730098:1 gene:DRNTG_21908 transcript:DRNTG_21908.2 gene_biotype:protein_coding transcript_biotype:protein_coding ITSILKKRNKQSSGIYTQRSSDSAGSDILLIQ >DRNTG_21908.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1727203:1730098:1 gene:DRNTG_21908 transcript:DRNTG_21908.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKEICPDIVTFNKVVHVLCQKGDVLESSRLIVKVLKRGTVMNLFTYNILIQGLCKESKLTEAVALMDHMDNGLAPDVVTYNTLICGLCKNSKVVEAEKYLRKMSNEGCSPDDFTYNTIIDGYCKSGLVQNACKLLKDAVFKGFVPNHVTYCSLINGLCEEGDIERAVEIFNEAIGRGVKPDLILYNSLIKGLCRLGLILQALDLMNEMLEAGCCPDICTYNIIINGLCKMGKVSVANNVMNAAIAKGYLPDVFTFNTLIDGYCKQLKLETALLVVDRMWTHGVTPNAITYNSVLNGLCKAGKTNDVLETFREMHSKGCVPNVITYNIVIESLCKVHKVKEASDLLVKMINEGLSPDIVSFSTLIYGFCRNSDIDGAYELFRKMEKDKFFATTDTYNIMIRAFSDNLNMQMAEELFLEMSSKNCSPNVYTYQVLIKGFCKTANTDCAYEFLMDMINKGLIPSMTTFGRVINRLCVADRVDEAVGIIQVMVNNNVVPEVVHTILSADKRAIAAPKILVEELMKKSHITYRAYELLYDGIRDKKMLRKRRHMRCS >DRNTG_05406.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5702446:5703655:-1 gene:DRNTG_05406 transcript:DRNTG_05406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREATGACVCPCGSGAQAWVFSTRPCEISQSQGSIFPRKHRDMYTPLWRSRNLLCTRAGVSRSAEPHGRGYGTSSEEERS >DRNTG_35180.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26040934:26045305:1 gene:DRNTG_35180 transcript:DRNTG_35180.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyltransferase NSI [Source:Projected from Arabidopsis thaliana (AT1G32070) UniProtKB/Swiss-Prot;Acc:Q7X9V3] MGRATSDHAFNATIWDVLVDPSYQGQGLGKALVEQLIRALLQRDIGNITLFADSKVVDFYKNLGFEADPEGIKGMFWFPRF >DRNTG_35180.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26040934:26045305:1 gene:DRNTG_35180 transcript:DRNTG_35180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyltransferase NSI [Source:Projected from Arabidopsis thaliana (AT1G32070) UniProtKB/Swiss-Prot;Acc:Q7X9V3] MGRATSDHAFNATIWDVLVDPSYQGQGLGKALVEQLIRALLQRDIGNITLFADSKVVDFYKNLGFEADPEGIKGMFWFPRF >DRNTG_35180.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26040934:26045305:1 gene:DRNTG_35180 transcript:DRNTG_35180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyltransferase NSI [Source:Projected from Arabidopsis thaliana (AT1G32070) UniProtKB/Swiss-Prot;Acc:Q7X9V3] MLRSSLVASSSSICQVHLPACHSKSPNNSSYFRQMRDEAPTKMIWREDCSFSRKRRIELCPLKAGFWDSIRSGFLKNNNTQVVETPTSLLEDEEPLPQEIVLLERTQSDGVVEQIVFSSAGDVDLYDLQTLCDKVGWPRRPLSKVAASLKNSYLVATLHSITRLPDAEGTERKQLIGMGRATSDHAFNATIWDVLVDPSYQGQGLGKALVEQLIRALLQRDIGNITLFADSKVVDFYKNLGFEADPEGIKGMFWFPRF >DRNTG_11297.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1513794:1514981:-1 gene:DRNTG_11297 transcript:DRNTG_11297.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLGESARQSASFNPYPLLSSSLSGGFITPSNSSRNSDIYVTTFDQCFENAKHFKEALCDQAIKCNFNFCFIRNDKERVIDRDASMCTDLVIKYAKEK >DRNTG_20833.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001164.1:16349:16598:1 gene:DRNTG_20833 transcript:DRNTG_20833.1 gene_biotype:protein_coding transcript_biotype:protein_coding AATVNRLEPASRLEQVLVPRHFCKGSRANLAEERSGARPLESKNREEERETEERSGNGSLESGEGEQRRKEKGERKKKEW >DRNTG_00965.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20997319:20998680:1 gene:DRNTG_00965 transcript:DRNTG_00965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENNGRWRLIRGSAKLVYAQGKFGLSSSRHQRLTLLGVTHRLHFVFFGHYVLFSFVSSINSRALSRIIWHYVCVLSLIDIGSDEKELDETQVKRMARIFANGVTSAASLVAGTGAYYVVRAITWKSMNEFGSPLAIILRLNCYVVFWKIPFM >DRNTG_20787.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4213379:4213597:-1 gene:DRNTG_20787 transcript:DRNTG_20787.1 gene_biotype:protein_coding transcript_biotype:protein_coding YNVRPPLSSRGLSGAHVKETNSNIGQHNCNTIKSSKNKSVITQPNK >DRNTG_02271.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:931366:938464:1 gene:DRNTG_02271 transcript:DRNTG_02271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVMANLKALMEEFKVRTVYWAICIFVISYFLSHTSKSMWTNIPMSVLILSAIRYLSYEVELRWKVRPVRRQTYISHLEKKQLSVDESCLSSAPSIPKWRRKISSPPVEAAVHELVSKILQDFVVDLWYSSITPDKEVPELIHATILDALGEISERIKGINLVDLLTRDMVDAIGKHLDTYRRIQTEIGVDDMGTLSSEERDARLKLGLLASKELHPALVSPECEHKVLQCIIGGVLAVVLKPEEAQCPLVRCFSRELLTCLLIEPVMNFASPEFVNELIECIFLNTKANREGQAGPDGSGNATVVVDGSSDSVGNPHAGPESKILLSNQPPEKLAEADDIISIKTFEHGNPNEPQEDSGCFLHSQMAEQAAIVQDKYMVHMMQGSDDFSRSVLDTDNSSHISVDLVGETSAGVNLCDEYEGNAMKVKSNKGLLKQSISKSDSETIIMSGEAIVMKELYYPKFGRHKTEPTGALLTLGEGVLCAPKLKCQVVGVSFKQIRSKPFAVYSISVTDPNYKTWLVERRYQNFERLHRHLKHLPNYSLHLPPKGFLSSYMDNHFIHQRDVLLNKYLQDLLSIANVAEQHEVLDFLSVSSKNYSYGKATSVMKTFAVNVDEAMEDIVRQIKGVSDDLRRIVVGSSSSNTTSLAEKSLMLPWKEEIKRHHPRDSYVEAADSQSAYWPSEKDHHSATNTCHLDNELKHEIFSPRVSDHMKESMMLDLGKNQLADKFPNSTLDKFLANESSNVTHLLQDLDGIPPEWNLQNTSLPLLNLVDKIFLLDKQGWLRRQVFWISKQLVQLMMDDAIDDWMLRQISLLRNEEVIAQMIHWVQNNLWPNGTFFTTSERDTCSESSTSDTHLEAVRRASLVKKIILDSVPAPLVTLIGYKQYRQCAEDIYYFLQSSILLKQLAYSMLEAVILTLFPELTEIVEDIHNKTYAS >DRNTG_02271.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:937847:938464:1 gene:DRNTG_02271 transcript:DRNTG_02271.6 gene_biotype:protein_coding transcript_biotype:protein_coding SFNQNLWPNGTFFTTSERDTCSESSTSDTHLEAVRRASLVKKIILDSVPAPLVTLIGYKQYRQCAEDIYYFLQSSILLKQLAYSMLEAVILTLFPELTEIVEDIHNKTYAS >DRNTG_02271.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:935910:938464:1 gene:DRNTG_02271 transcript:DRNTG_02271.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHFIHQRDVLLNKYLQDLLSIANVAEQHEVLDFLSVSSKNYSYGKATSVMKTFAVNVDEAMEDIVRQIKGVSDDLRRIVVGSSSSNTTSLAEKSLMLPWKEEIKRHHPRDSYVEAADSQSAYWPSEKDHHSATNTCHLDNELKHEIFSPRVSDHMKESMMLDLGKNQLADKFPNSTLDKFLANESSNVTHLLQDLDGIPPEWNLQNTSLPLLNLVDKIFLLDKQGWLRRQVFWISKQLVQLMMDDAIDDWMLRQISLLRNEEVIAQMIHWVQNNLWPNGTFFTTSERDTCSESSTSDTHLEAVRRASLVKKIILDSVPAPLVTLIGYKQYRQCAEDIYYFLQSSILLKQLAYSMLEAVILTLFPELTEIVEDIHNKTYAS >DRNTG_02271.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:931366:934149:1 gene:DRNTG_02271 transcript:DRNTG_02271.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVMANLKALMEEFKVRTVYWAICIFVISYFLSHTSKSMWTNIPMSVLILSAIRYLSYEVELRWKVRPVRRQTYISHLEKKQLSVDESCLSSAPSIPKWRRKISSPPVEAAVHELVSKILQDFVVDLWYSSITPDKEVPELIHATILDALGEISERIKGINLVDLLTRDMVDAIGKHLDTYRRIQTEIGVDDMGTLSSEERDARLKLGLLASKELHPALVSPECEHKVLQCIIGGVLAVVLKPEEAQCPLVRCFSRELLTCLLIEPVMNFASPEFVNELIECIFLNTKANREGQAGPDGSGNATVVVDGSSDSVGNPHAGPESKILLSNQPPEKLAEADDIISIKTFEHGNPNEPQEDSGCFLHSQMAEQAAIVQDKYMVHMMQGSDDFSRSVLDTDNSSHISVDLVGETSAGVNLCDEYEGNAMKVKSNKGLLKQSISKSDSETIIMSGEAIVMKELYYPKFGRHKTEPTGALLTLGEGVLCAPKLKCQ >DRNTG_02271.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:936476:938464:1 gene:DRNTG_02271 transcript:DRNTG_02271.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIVRQIKGVSDDLRRIVVGSSSSNTTSLAEKSLMLPWKEEIKRHHPRDSYVEAADSQSAYWPSEKDHHSATNTCHLDNELKHEIFSPRVSDHMKESMMLDLGKNQLADKFPNSTLDKFLANESSNVTHLLQDLDGIPPEWNLQNTSLPLLNLVDKIFLLDKQGWLRRQVFWISKQLVQLMMDDAIDDWMLRQISLLRNEEVIAQMIHWVQNNLWPNGTFFTTSERDTCSESSTSDTHLEAVRRASLVKKIILDSVPAPLVTLIGYKQYRQCAEDIYYFLQSSILLKQLAYSMLEAVILTLFPELTEIVEDIHNKTYAS >DRNTG_02271.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:931366:932671:1 gene:DRNTG_02271 transcript:DRNTG_02271.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVMANLKALMEEFKVRTVYWAICIFVISYFLSHTSKSMWTNIPMSVLILSAIRYLSYEVELRWKVRPVRRQTYISHLEKKQLSVDESCLSSAPSIPKWRRKISSPPVEAAVHELVSKILQDFVVDLWYSSITPDKEVPELIHATILDALGEISERIKGINLVDLLTRDMVDAIGKHLDTYRRIQTEIGVDDMGTLSSEERDARLKLGLLASKELHPALVSPECEHKV >DRNTG_14336.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:7465843:7466784:1 gene:DRNTG_14336 transcript:DRNTG_14336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEMMDPLISLTLPRSSWDHPIPNTGFVRLVRDAPAFRTNSKCSMDFQPYGMRSRPSNLWRSCIIGREVLELGMGWLLGKGKQMLLWKDVWFGDSSLASRFPQAFEAARNPTAKVDKYISGMPQSLNIEVKKKRLDLDSIGRGPPACILLVVTMHLALSFFFYLASHGVSGSLTSSVYGDGASAADPASIVNQVQFAEIRSPMESTIGIKRIRASSCKKAKRKIGVQMDRTKKGQR >DRNTG_33408.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1553325:1556118:1 gene:DRNTG_33408 transcript:DRNTG_33408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLPMMSLKARSSSKEVYEALRSSPQWNEILFVITYDEHGGFYDHIPTPVGVPSPDDIVGPEPFFFKFDRLGVRVPTILISPWIEPGTVLHRPSGPYSNSEFEHSSIPATVKKIFNLKSFLTKRDAWAGTFETVITRTTPRTDCPVTLPVPEKMRKTDAAEEANLSDFQKELVQLAATLNGDHKKDIYPNKLVENMTVAQGAQYSKDSLKVFWNDNENVKDTTNAKKKKSFRQ >DRNTG_18308.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2555608:2562819:1 gene:DRNTG_18308 transcript:DRNTG_18308.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G64580) UniProtKB/Swiss-Prot;Acc:F4KF14] MLSSPSLSSSPKLSPSSLLPFSSLPFLHTHRLPNPPFKYPLFTVIRLRSSGFWARNSGSSSGPSSNADTSVVAAEAEVVADEDDEASQLFERLKNAERERVEKLEKLEEKANLQLERRLILASSWSRTLLTLRGKLKGTEWDPENSHRIDYSDFLRLLNSNNVHFMEYSNFGQTISVILPYYKDENAAQANGNSNKEIIFRRHIVNRMPIDGWTDVWQKLHQQIINVDVFNVDTVPAEVYSTIATAVIWSMRFALSIGIYLWIDRMARPIYAKLIPCDLGAPTKQARLPLKRNALGSLGKSRAKFISAEETTGVTFEDFAGQEYIKKELQEIVRILKNEEEFQDKGIYCPKGVLLHGPPGTGKTLLAKAIAGEAGLPFFAANGTDFVEMFVGVAASRVKDLFATARSYAPSIIFIDEIDAIGSKRGGPDIGGGGAEREQGLLQILTEMDGFKQSTSQVLVIGATNRLDILDPALLRKGRFDKIIRVGLPSKDGRLAILQVHARNKYFRSEMEKDILLQEIAELTMDFTGAELQNILNEAGILTARKDLDFIGREELLEALKRQKGTFETGQEDSKEMPEELKLRLAYREAAVAVLACYYPDPHHPFTDTDILTITSKPKMRYAEVSGRAFARKSDYVNSIVRACAPRVIEEEIFGIDNLCWISAKATSDASTRAEVLILHTGMTAFGKAYYRNQSDLVPNLAAKLEALRSEYMRFAVEKCSSILREYRSAVETITDVLLEKGVINAEEIWDIFKKAPRIAQPHVYPVDEYGALIYAGRWGIHGISLPGRVTFAPGNVGFSTFGAPRPLQTQIINDKTWKLIDGIWDKRIQEIKDEASVQIEEDEGKPQLLMADHLL >DRNTG_28921.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22056198:22057798:-1 gene:DRNTG_28921 transcript:DRNTG_28921.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSLTTLEQCFISPPKSSVPETSIPLLFTDIFFLGGGVVERLFFYKFPHPTSHFISDHLPTFKSSLSLTLQHFFPFAGHVRQSPSSPDVYELYYTDGDSVSLTISESTGDFQDFAGDQARDFSKLQPLIPTLAHESEPLMALQVTLFPNQGLCFGIVVSKVASDGTNSTNFIRSWATTCLSGAGEVSFSSPPSFDRSNIGDPYNLYSIYLQTALYMKEMYKNAGAGATKKEEFLATFRLKKEHLEKLKGAIMAGYPEGKAPFHLSTYVVSCAFMWACLVRSKGWPEEKMAYFGFPLDARPRLSPPLPAGFFGGCLAFKTTKAKVGDIVDEVTGVGAAAAAIGKAIDELKDGVFNGGETMPQTLGEVVANQGMWIAGSTRFRVYDVDFGWGKPVKVEVTTIKGVETMAMAEDGEGGGGLEFGVSFPKEQIDAFEVCFNDALKALMV >DRNTG_06736.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000296.1:65781:70524:-1 gene:DRNTG_06736 transcript:DRNTG_06736.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHCTCLSDCNRPFPLGSTTATSFKSHTLRFVHPLLSDTLTGKNCCRGNISLAEFHYLATNSRKHTIFASPFVTCLIWGMGLLGCKACMTLVGGLNPFTLCSLLKMGIGTPQHPVAPNNP >DRNTG_21473.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2684624:2691490:1 gene:DRNTG_21473 transcript:DRNTG_21473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGATGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCIPFREQLLEYYSNNKNLGDAEENLLTCLADLFTQINSQKKKTGVIAPKRFVQRVKKQNELFRSYMHQDAHEFLNFLLNELVDILEKETNAAKSSENSPPSDKLANGPRQLQANGARKEPIVTWVHKCFQGILTNETRCLRCETVTARDETFFDLSLDIEQNSSITSCLKNFCSTETLNAEDKFFCDKCCSLQEAQKRMKIKKAPYILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLNNTMDNSDSEYSLFAVVVHVGSGPNHGHYISLVKSHNHWLFFDDENVEMIDESTVQTFFGSSQEYSSNTDHGYILFYESIGGKN >DRNTG_02936.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4063088:4072578:1 gene:DRNTG_02936 transcript:DRNTG_02936.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHSIWTDRWGFTHEDKSFESVLKPNMYDCVGVAAHQPATQLSEVGGCFGCSTPATASSFWAWQLATHHIEGQDLVKLLRRKELPDAPESATFNLPVPSVRLVAVDSTRAPPAYSCFLPQVTSVGLPLPMDVPTKAHATIAPKIATEDLPIFFPNLAQIWSSQKIFI >DRNTG_34262.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28160357:28174736:-1 gene:DRNTG_34262 transcript:DRNTG_34262.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTILSVRPIRIDDFSGLGFVSLQGNSAIPASGLGSMVGGVVGGVVGGETGWKLFNEGSSMPEEGVVIFVTHQTALVVRLSPTVEVYEKLSRPDGVREGSIPYTAWKCMTYSHDASLDPSDKASWLVVAWDRSVQVEKLVNSEMKKYSEWNLDSTAIGVAWLDDKMLVILTLRGQLCLFSKDGTEIHRTSFVIDGTGIDDIITYHTHFTNIFGNPEKAYHNSVTVRGASIYIIGPMHLIVSRLLPWKERIQVLQKAGDWIGALDMAMRLYDGHAHGVIDLPRKVDDIREVIMPYLVELLLSYVDEVFSYISMAFSSQIAKVGQAEDPNFTDSSVRSEIEEQYARVGGVAVEFCVHIKRTDILFDSIFSKFVAARHGGTFLEILEPYILKDMLGSLPPEIMQALVEHYSVKGWLQRVEQCVLHMDISSLDFNQVVKLCREHGLYGALIYLFNQGLDDYRTPLEELLMVVQNGLGVDASAIGYRMLVYLKYCFLGLAFPPGHGTLPPHRCQSVAKELMQFLLENSKPSDSQVSGSFRSSCGIYPNLCHLLLLDTEAAVEVLRYAFMEDYSRGADNCLSDLSASNLEQKDNDSQSTENLHSMAQSAIDKLVYVLDLESDKIRSFSLAENVEVWPSVKDLGHLVQFIVFLVTCKGAAISRQVFKHILEHLTSADWLHWDSSHRPEASKREKQVLALLKVVPQTDWSMNVLELCAQAQFHQACGYIHTIRGHNIAALDSFMKDLNEPIVSFAFINNIFLKLKDVEVESFRSAVISRIAELVKLSRECSFFLIIDHFSLENHQMLLELRSHPYSLFLFLKSVIEVYLFGTLNFPVYEVDYVSTMVIEGVDSSNQLEAFMKRLSNFPKLLQNSPVHVTDDMAELYLELLCQYEPKSVLKFLETFDNYRLEHCLRLCQKYEVIDATAFLLERVGDVGSALGLLMTGLDEKFDLLVTAVQSMFSQISASSSAEMEVLNDLSKMDEVISVSGVLHASINLCQRNTQRLNPQESESLWFHLLDKFTEPLKRFSGGKEVHERWDVSKLPATSDTQQKDKSFSSWGISKETNCPDILRKMFSQFVGEVIERMAGYIPLPAIMAKLLADNGNQEFGDFKFTILKMLGTYGYERRILDTAKTLIEDDTYYTMNLLRKGASHAYAPQDSSCCVCGCSLTKGLSTSGVRVFNCGHSTHLHCEENEPSNKASLAGCPVCIPKKNPSARSKSVLVENGQLKHGSSSSPILGNSSVHDPDPIERTYGLQKMSRFEILSNLQNGKKSLQIDTLPQLRLSPPAIYHEKVQKRHASTTGATSNTSVKNEKPNRRWKLGESKLNGPLRRFPLRANIFGTEKKTA >DRNTG_34262.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28160357:28174736:-1 gene:DRNTG_34262 transcript:DRNTG_34262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSKRAPPMELDLDSRHRASSSSSSSSSSSSSSSSGGGISENIGTDLHRSVDDILNDYDFSSSDVADAQRRTVDDILNDFDSFEEDPFPSRVPDPMVEPRDVLETPVTETLEEAPATSPFAWSRRPRDPLSLGLRTFSPARSLPPLFGGVRPSPKPGAALAAAAAASRSWLAPHAAAIKSRRFDTERTLSAPELTPHPEESNGSEGLNGSEFSEIAILHPHSSSDVEESSEAVISDGELPGKSGGLELVDEVEIVDEVEANEGAMVSDSLISTPIDEVDVSIQLQDPAEDVQEQESEDLGSKRDDEDLLQSHDEQTDAVGEDIENRTEGDNIFEESFLFPSSEGEDKAKKKAEKKLRASMKPLEWAEELEKRQASYGQHCEEGAAAQQMRLEGIRRGPPAVGYLQMDLDNVITRLVSSQLFKRDHGSPQVVAVHMNFIAIGMSKGAIFVVPSKYSSHSPDIMDGKMLNLCSNGEKSQTPVTSMCFNQQGDLLLAGYGDGHLTLWDLQKAVVAKLVSGTHAAPVVHTLFLGQDLQVTRQFKAVTCDAKGLVLLHTFSVLPLWGFSIKSQAFPKMGTILSVRPIRIDDFSGLGFVSLQGNSAIPASGLGSMVGGVVGGVVGGETGWKLFNEGSSMPEEGVVIFVTHQTALVVRLSPTVEVYEKLSRPDGVREGSIPYTAWKCMTYSHDASLDPSDKASWLVVAWDRSVQVEKLVNSEMKKYSEWNLDSTAIGVAWLDDKMLVILTLRGQLCLFSKDGTEIHRTSFVIDGTGIDDIITYHTHFTNIFGNPEKAYHNSVTVRGASIYIIGPMHLIVSRLLPWKERIQVLQKAGDWIGALDMAMRLYDGHAHGVIDLPRKVDDIREVIMPYLVELLLSYVDEVFSYISMAFSSQIAKVGQAEDPNFTDSSVRSEIEEQYARVGGVAVEFCVHIKRTDILFDSIFSKFVAARHGGTFLEILEPYILKDMLGSLPPEIMQALVEHYSVKGWLQRVEQCVLHMDISSLDFNQVVKLCREHGLYGALIYLFNQGLDDYRTPLEELLMVVQNGLGVDASAIGYRMLVYLKYCFLGLAFPPGHGTLPPHRCQSVAKELMQFLLENSKPSDSQVSGSFRSSCGIYPNLCHLLLLDTEAAVEVLRYAFMEDYSRGADNCLSDLSASNLEQKDNDSQSTENLHSMAQSAIDKLVYVLDLESDKIRSFSLAENVEVWPSVKDLGHLVQFIVFLVTCKGAAISRQVFKHILEHLTSADWLHWDSSHRPEASKREKQVLALLKVVPQTDWSMNVLELCAQAQFHQACGYIHTIRGHNIAALDSFMKDLNEPIVSFAFINNIFLKLKDVEVESFRSAVISRIAELVKLSRECSFFLIIDHFSLENHQMLLELRSHPYSLFLFLKSVIEVYLFGTLNFPVYEVDYVSTMVIEGVDSSNQLEAFMKRLSNFPKLLQNSPVHVTDDMAELYLELLCQYEPKSVLKFLETFDNYRLEHCLRLCQKYEVIDATAFLLERVGDVGSALGLLMTGLDEKFDLLVTAVQSMFSQISASSSAEMEVLNDLSKMDEVISVSGVLHASINLCQRNTQRLNPQESESLWFHLLDKFTEPLKRFSGGKEVHERWDVSKLPATSDTQQKDKSFSSWGISKETNCPDILRKMFSQFVGEVIERMAGYIPLPAIMAKLLADNGNQEFGDFKFTILKMLGTYGYERRILDTAKTLIEDDTYYTMNLLRKGASHAYAPQDSSCCVCGCSLTKGLSTSGVRVFNCGHSTHLHCEENEPSNKASLAGCPVCIPKKNPSARSKSVLVENGQLKHGSSSSPILGNSSVHDPDPIERTYGLQKMSRFEILSNLQNGKKSLQIDTLPQLRLSPPAIYHEKVQKRHASTTGATSNTSVKNEKPNRRWKLGESKLNGPLRRFPLRANIFGTEKKTA >DRNTG_02409.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000113.1:162286:167792:1 gene:DRNTG_02409 transcript:DRNTG_02409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAILSDLLTEVLIPVAAAVGIGFALLQWVLVSKVKLTPERPAQGANKNGYSDYLIEEEEGLNDHNVVVKCAEIQNAISEGATSFLFTEYQYVGIFMIAFAVLIFLFLGSVEGFSTKSHPCTYDKSKTCKPALANAIFSTVSFLLGAITSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYIAINLLKIYYGEDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHDFTGMCYPLLISSLGIIVCLITTLFATDFFEIKAVKEIEPALKKQLIISTALMTIAIAIVSWIALPSKFTIYNFGTQKSVKNWELFFCVAVGLWAGLIIGFVTEYFTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIYVSFSLAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGIATVNVLSPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGSAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGTLFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGLLFKI >DRNTG_10027.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2957214:2961207:-1 gene:DRNTG_10027 transcript:DRNTG_10027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETMVVIIGAGPSGLATAACLTTHSIPYILLERDPCLASLWRNRAYDRVTLHLAKQYCQLPHAPYPASTPTFIPKQHFIEYLEGYAAKFRIQPRYGIEVESAWFEEGEGKWRVVARRGKEGEVVELKARFMVVASGENDEAVVPEIEGLDGFVGDLVHSNRYRSGSVYKGKSVLVVGAGNSGMEIAFDLCSFGAFPSIVVRSPVHVVSKEIWLFGMLLMRYLSLSLVDIVVLILCYLKFGDTSRYGICRPNKGPFYLKANTPTYPVLDTGTFTKIKAGEIQVLPSIANIKGNSVTFVNGKIHHFDAIIFATGYRSSIKKWLKGDDLIGDDGMAKEKFPNHWKGRNGLYCAGLVRRGIYGSGEDALNIAHDINTILIKESATF >DRNTG_15509.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20812437:20814459:-1 gene:DRNTG_15509 transcript:DRNTG_15509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIRSSFLFLFGTGCGIYIAQNYNVPNIEKLVDTYLKKAKETEEIYRKRKKDDD >DRNTG_21249.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:41208:42503:1 gene:DRNTG_21249 transcript:DRNTG_21249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHVEEKMLLSSLTAEFYSQTPPQGEAKVKRRRRKKARGDVDMEVKKRRLTDEQVKFLEMNFGEEKKLESGRKMHLASEIGLDPKQVSVWFQNRRARWKNKQMEEDYMKLKSMHDSVVVEKCHLENEVLKLKKRLEEAEELIKKISGGMGSSPSSSFSNNRVEGEADQLMYMSEYSYNGLMDWMCLYGV >DRNTG_21249.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:41208:42503:1 gene:DRNTG_21249 transcript:DRNTG_21249.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKKRRLTDEQVKFLEMNFGEEKKLESGRKMHLASEIGLDPKQVSVWFQNRRARWKNKQMEEDYMKLKSMHDSVVVEKCHLENEVLKLKKRLEEAEELIKKISGGMGSSPSSSFSNNRVEGEADQLMYMSEYSYNGLMDWMCLYGV >DRNTG_21249.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:41208:42503:1 gene:DRNTG_21249 transcript:DRNTG_21249.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKKRRLTDEQVKFLEMNFGEEKKLESGRKMHLASEIGLDPKQVSVWFQNRRARWKNKQMEEDYMKLKSMHDSVVVEKCHLENEVLKLKKRLEEAEELIKKISGGMGSSPSSSFSNNRVEGEADQLMYMSEYSYNGLMDWMCLYGV >DRNTG_32978.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20993582:20995553:1 gene:DRNTG_32978 transcript:DRNTG_32978.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKTMALKLLIDTKANRVLFAEAGKEVVDFLFSLLALPLGSIVKLVSKDQMVGSIGSIYSSLENLDSTYMQPNQDKDILLSPHLQQQKQRQNHLCLPSPAPAKVKAYYGCPNFHHKVSCYDLVSEVRGISCPSCGRRMERVLKCLQSEPGMKTGNGEAEDGYVKGVVTYTVMDDLSVMPMSSIASITLLNKFNAKTVNVFEEKYVSLGIQEALELLKASFESKTVLTDVFLAEANGEANVLCRLIELGLHFLCTMAVACSSQKSGFLGGLWINKTMTLKLVVHTKANRVLFAEADKQVVDFLFGLLALPLGSIVKVLGKDQMVGSIGCIYSSLENLDSTYIQPDQDKNILLNPQLQQQKQCLNNLLLPSPAPAKLKAFYGCHNIRSKIQCRNYVSEVCGIDCPSCSGTMERVFEVFAS >DRNTG_32978.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20993582:20996054:1 gene:DRNTG_32978 transcript:DRNTG_32978.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKTMALKLLIDTKANRVLFAEAGKEVVDFLFSLLALPLGSIVKLVSKDQMVGSIGSIYSSLENLDSTYMQPNQDKDILLSPHLQQQKQRQNHLCLPSPAPAKVKAYYGCPNFHHKVSCYDLVSEVRGISCPSCGRRMERVLKCLQSEPGMKTGNGEAEDGYVKGVVTYTVMDDLSVMPMSSIASITLLNKFNAKTVNVFEEKYVSLGIQEALELLKASFESKTVLTDVFLAEANGEANVLCRLIELGLHFLCTMAVACSSQKSGFLGGLWINKTMTLKLVVHTKANRVLFAEADKQVVDFLFGLLALPLGSIVKVLGKDQMVGSIGCIYSSLENLDSTYIQPDQDKNILLNPQLQQQKQCLNNLLLPSPAPAKLKAFYGCHNIRSKIQCRNYVSEVCGIDCPSCSGTMERVFEVFAS >DRNTG_32978.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20993508:20996054:1 gene:DRNTG_32978 transcript:DRNTG_32978.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKTMALKLLIDTKANRVLFAEAGKEVVDFLFSLLALPLGSIVKLVSKDQMVGSIGSIYSSLENLDSTYMQPNQDKDILLSPHLQQQKQRQNHLCLPSPAPAKVKAYYGCPNFHHKVSCYDLVSEVRGISCPSCGRRMERVLKCLQSEPGMKTGNGEAEDGYVKGVVTYTVMDDLSVMPMSSIASITLLNKFNAKTVNVFEEKYVSLGIQEALELLKASFESKTVLTDVFLAEANGEANVLCRLIELGLHFLCTMAVACSSQKSGFLGGLWINKTMTLKLVVHTKANRVLFAEADKQVVDFLFGLLALPLGSIVKVLGKDQMVGSIGCIYSSLENLDSTYIQPDQDKNILLNPQLQQQKQCLNNLLLPSPAPAKLKAFYGCHNIRSKIQCRNYVSEVCGIDCPSCSGTMERVFEVFAS >DRNTG_32978.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20993508:20995553:1 gene:DRNTG_32978 transcript:DRNTG_32978.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKTMALKLLIDTKANRVLFAEAGKEVVDFLFSLLALPLGSIVKLVSKDQMVGSIGSIYSSLENLDSTYMQPNQDKDILLSPHLQQQKQRQNHLCLPSPAPAKVKAYYGCPNFHHKVSCYDLVSEVRGISCPSCGRRMERVLKCLQSEPGMKTGNGEAEDGYVKGVVTYTVMDDLSVMPMSSIASITLLNKFNAKTVNVFEEKYVSLGIQEALELLKASFESKTVLTDVFLAEANGEANVLCRLIELGLHFLCTMAVACSSQKSGFLGGLWINKTMTLKLVVHTKANRVLFAEADKQVVDFLFGLLALPLGSIVKVLGKDQMVGSIGCIYSSLENLDSTYIQPDQDKNILLNPQLQQQKQCLNNLLLPSPAPAKLKAFYGCHNIRSKIQCRNYVSEVCGIDCPSCSGTMERVFEVFAS >DRNTG_32978.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20993306:20995553:1 gene:DRNTG_32978 transcript:DRNTG_32978.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKTMALKLLIDTKANRVLFAEAGKEVVDFLFSLLALPLGSIVKLVSKDQMVGSIGSIYSSLENLDSTYMQPNQDKDILLSPHLQQQKQRQNHLCLPSPAPAKVKAYYGCPNFHHKVSCYDLVSEVRGISCPSCGRRMERVLKCLQSEPGMKTGNGEAEDGYVKGVVTYTVMDDLSVMPMSSIASITLLNKFNAKTVNVFEEKYVSLGIQEALELLKASFESKTVLTDVFLAEANGEANVLCRLIELGLHFLCTMAVACSSQKSGFLGGLWINKTMTLKLVVHTKANRVLFAEADKQVVDFLFGLLALPLGSIVKVLGKDQMVGSIGCIYSSLENLDSTYIQPDQDKNILLNPQLQQQKQCLNNLLLPSPAPAKLKAFYGCHNIRSKIQCRNYVSEVCGIDCPSCSGTMERVFEVFAS >DRNTG_08759.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18533345:18534037:-1 gene:DRNTG_08759 transcript:DRNTG_08759.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKRLSRPSPSFRLRSSSLNAVRLRRVFDLFDHNGDGEITVEELGLALDRLGLGADPTDLRFTVETYIREGRKGLAFEDFEKLHSALGDALFGPVGEEGEDGDGEMEEAFKVFDEDGDGFISEAELQAVLAKLGLVEGRSMACVHEMICSVDRNHDGRVDFNEFKGMMRSISLKSA >DRNTG_06800.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3910259:3912520:1 gene:DRNTG_06800 transcript:DRNTG_06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRPSNMTRDRGHDLDLMFPLSAEKKSMEKHGKHRKKNSESVSVLSLP >DRNTG_26363.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:531239:534073:-1 gene:DRNTG_26363 transcript:DRNTG_26363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKKTREPKEENVTLGPTVRDGEHVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >DRNTG_31353.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2963718:2967946:-1 gene:DRNTG_31353 transcript:DRNTG_31353.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLYRGFSGGVRINGSRDSLGDNYQYQAKDHSENGFGHDISSSAVASRSRQALILLLFKLGLTFAIVLALYGSLSWAISISSSSQENVFHGYRRLQQQLIAELSEISEVSLGVSRLKELEFCPPEYENYVPCYYNVSENLDSADLGDPIEYERKCVREKDHGCLILPPKNYRIPLRWPTGRDFIWKENVKITGQEFSSGSLTKRMMVEEEQISFRSDSIMVDGVEDYSHQIAEMIGLRNESNFNEAGIRTVLDIGCGFGSFGAHLFSRQLLTMCIANYEASGSQVQLTLERGMPAMIGSFASKQLPYPYLSFDMLHCAKCGIDWEKNDGIFLIEVDRLLRPGGYFVWTSITNTHRSRRNKDEQKKWTIISDFTENLCWDMLSQQDETVVWRKTSKKKCYSARKSGPEICGKNRDTESPYYQPHNPCIAGTRSRRWIPIEDRTPWPARANLNSTELDIYGLQPDDFADDALNRNSVVQNYWSLLSPLIFSDHPKRPGDEDPATPFNFLRNVLDMNARFGGFNAALLGAGKSVWVMNVVPTSGPNYLPLILDRGFIGVQHDWCEAFPSYPRTYDMVHADGLLSLEAHQQHRCSLHNIFLEIDRILRPEGWVILHDTAPLIETARLITTQLKWDARMMDADSSSNEKLLVCQKPFFRKQQ >DRNTG_31353.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2963718:2967946:-1 gene:DRNTG_31353 transcript:DRNTG_31353.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLYRGFSGGVRINGSRDSLGDNYQYQAKDHSENGFGHDISSSAVASRSRQALILLLFKLGLTFAIVLALYGSLSWAISISSSSQENVFHGYRRLQQQLIAELSEISEVSLGVSRLKELEFCPPEYENYVPCYYNVSENLDSADLGDPIEYERKCVREKDHGCLILPPKNYRIPLRWPTGRDFIWKENVKITGQEFSSGSLTKRMMVEEEQISFRSDSIMVDGVEDYSHQIAEMIGLRNESNFNEAGIRTVLDIGCGFGSFGAHLFSRQLLTMCIANYEASGSQVQLTLERGMPAMIGSFASKQLPYPYLSFDMLHCAKCGIDWEKNDGIFLIEVDRLLRPGGYFVWTSITNTHRSRRNKDEQKKWTIISDFTENLCWDMLSQQDETVVWRKTSKKKCYSARKSGPEICGKNRDTESPYYQPHNPCIAGTRSRRWIPIEDRTPWPARANLNSTELDIYGLQPDDFADDALNRNSVVQNYWSLLSPLIFSDHPKRPGDEDPATPFNFLRNVLDMNARFGGFNAALLGAGKSVWVMNVVPTSGPNYLPLILDRGFIGVQHDWCEAFPSYPRTYDMVHADGLLSLEAHQQHRCSLHNIFLEIDRILRPEGWVILHDTAPLIETARLITTQLKWDARMMDADSSSNEKLLVCQKPFFRKQQ >DRNTG_31353.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2963718:2967946:-1 gene:DRNTG_31353 transcript:DRNTG_31353.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLYRGFSGGVRINGSRDSLGDNYQYQAKDHSENGFGHDISSSAVASRSRQALILLLFKLGLTFAIVLALYGSLSWAISISSSSQENVFHGYRRLQQQLIAELSEISEVSLGVSRLKELEFCPPEYENYVPCYYNVSENLDSADLGDPIEYERKCVREKDHGCLILPPKNYRIPLRWPTGRDFIWKENVKITGQEFSSGSLTKRMMVEEEQISFRSDSIMVDGVEDYSHQIAEMIGLRNESNFNEAGIRTVLDIGCGFGSFGAHLFSRQLLTMCIANYEASGSQVQLTLERGMPAMIGSFASKQLPYPYLSFDMLHCAKCGIDWEKNDGIFLIEVDRLLRPGGYFVWTSITNTHRSRRNKDEQKKWTIISDFTENLCWDMLSQQDETVVWRKTSKKKCYSARKSGPEICGKNRDTESPYYQPHNPCIAGTRSRRWIPIEDRTPWPARANLNSTELDIYGLQPDDFADDALNRNSVVQNYWSLLSPLIFSDHPKRPGDEDPATPFNFLRNVLDMNARFGGFNAALLGAGKSVWVMNVVPTSGPNYLPLILDRGFIGVQHDWCEAFPSYPRTYDMVHADGLLSLEAHQQHRCSLHNIFLEIDRILRPEGWVILHDTAPLIETARLITTQLKWDARMMDADSSSNEKLLVCQKPFFRKQQ >DRNTG_31353.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2963718:2967946:-1 gene:DRNTG_31353 transcript:DRNTG_31353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLYRGFSGGVRINGSRDSLGDNYQYQAKDHSENGFGHDISSSAVASRSRQALILLLFKLGLTFAIVLALYGSLSWAISISSSSQENVFHGYRRLQQQLIAELSEISEVSLGVSRLKELEFCPPEYENYVPCYYNVSENLDSADLGDPIEYERKCVREKDHGCLILPPKNYRIPLRWPTGRDFIWKENVKITGQEFSSGSLTKRMMVEEEQISFRSDSIMVDGVEDYSHQIAEMIGLRNESNFNEAGIRTVLDIGCGFGSFGAHLFSRQLLTMCIANYEASGSQVQLTLERGMPAMIGSFASKQLPYPYLSFDMLHCAKCGIDWEKNDGIFLIEVDRLLRPGGYFVWTSITNTHRSRRNKDEQKKWTIISDFTENLCWDMLSQQDETVVWRKTSKKKCYSARKSGPEICGKNRDTESPYYQPHNPCIAGTRSRRWIPIEDRTPWPARANLNSTELDIYGLQPDDFADDALNRNSVVQNYWSLLSPLIFSDHPKRPGDEDPATPFNFLRNVLDMNARFGGFNAALLGAGKSVWVMNVVPTSGPNYLPLILDRGFIGVQHDWCEAFPSYPRTYDMVHADGLLSLEAHQQHRCSLHNIFLEIDRILRPEGWVILHDTAPLIETARLITTQLKWDARMMDADSSSNEKLLVCQKPFFRKQQ >DRNTG_14528.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7487691:7491522:1 gene:DRNTG_14528 transcript:DRNTG_14528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVYLRSHPSPPGGEKNPMSSMRILSETGIK >DRNTG_30229.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:138005:145535:1 gene:DRNTG_30229 transcript:DRNTG_30229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLGACPEYGCLVYEYMANGSLEDCLFRHGNAPPIPWQHRFRIAAEIGTGLLFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPSVANNVTQYLMTSTAGTFCYIDPEYQQTGMLGIKSDVYSLGIMLLQIITAKPPMGLTHHVERAIEKGTFKDFLDPAIPDWPVEEALSLANMALKCAELRRKDRPDLCTIVLPELERLREFADENLNHNFMGSTLHSSPIHSYMSQQVCWSERREG >DRNTG_06661.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000295.1:50021:61063:1 gene:DRNTG_06661 transcript:DRNTG_06661.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATNQSGGQGNYGFHPSERSQPRRVWPGNMADQN >DRNTG_15167.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:459681:460194:-1 gene:DRNTG_15167 transcript:DRNTG_15167.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYGAVHNPLPIPASPDTDAGEDNLIARACALPLPMQMQGHQGGSSQLTLSFQGEAFVFDSVSPER >DRNTG_15167.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:454994:459028:-1 gene:DRNTG_15167 transcript:DRNTG_15167.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYREKRKNMSFEKKIIYEVRKDVASRMKRHKGQFASSKSKLDEVASCLSGWDPSQYNGEDAHQTHCQHCGISKDSTPMMRRGPSGPKSLCNACGLTWANKGTLRNLRQSSFCGPVSQSVSTNEQSDASDSDVASKSQNLLVPAAPNGQMPEVIGGIGLSCPGSLEEDNAGQLQWITGLGKGAFCS >DRNTG_15167.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:454994:456793:-1 gene:DRNTG_15167 transcript:DRNTG_15167.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHKGQFASSKSKLDEVASCLSGWDPSQYNGEDAHQTHCQHCGISKDSTPMMRRGPSGPKSLCNACGLTWANKGTLRNLRQSSFCGPVSQSVSTNEQSDASDSDVASKSQNLLVPAAPNGQMPEVIGGIGLSCPGSLEEDNAGQLQWITGLGKGAFCS >DRNTG_15167.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:454994:460014:-1 gene:DRNTG_15167 transcript:DRNTG_15167.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGAVHNPLPIPASPDTDAGEDNLIARACALPLPMQMQGHQGGSSQLTLSFQGEAFVFDSVSPERVQAVLLLLGGHEVPSDAADLRAPLLPNSRASSDVSRSSSNARRQKAMIRYREKRKNMSFEKKIIYEVRKDVASRMKRHKGQFASSKSKLDEVASCLSGWDPSQYNGEDAHQTHCQHCGISKDSTPMMRRGPSGPKSLCNACGLTWANKGTLRNLRQSSFCGPVSQSVSTNEQSDASDSDVASKSQNLLVPAAPNGQMPEVIGGIGLSCPGSLEEDNAGQLQWITGLGKGAFCS >DRNTG_15167.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:454994:460194:-1 gene:DRNTG_15167 transcript:DRNTG_15167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGAVHNPLPIPASPDTDAGEDNLIARACALPLPMQMQGHQGGSSQLTLSFQGEAFVFDSVSPERVQAVLLLLGGHEVPSDAADLRAPLLPNSRASSDVSRSSSNARRQKAMIRYREKRKNMSFEKKIIYEVRKDVASRMKRHKGQFASSKSKLDEVASCLSGWDPSQYNGEDAHQTHCQHCGISKDSTPMMRRGPSGPKSLCNACGLTWANKGTLRNLRQSSFCGPVSQSVSTNEQSDASDSDVASKSQNLLVPAAPNGQMPEVIGGIGLSCPGSLEEDNAGQLQWITGLGKGAFCS >DRNTG_18524.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:6416315:6417511:-1 gene:DRNTG_18524 transcript:DRNTG_18524.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTKRLAQKRPRHDREPTPPPVVEFPDPVHQQRFERLQNLKIGQSRFIDWDALEDIGLADEVRELVSVGGWDRLLAIQEPVRRDTTLEVLASFSFDRDAVDTSFDTEDAICFRAFGQSKASCLTRPAHRYIHAVLSRSVTGRGDGTGSLRRTDLLYLHSMVHGVPLHLGFVVADYFRHQSEYLRLGALFAGPYITRLMFKMGLIRSVRGEERVSSPIPLGLVTLRLMGISSTSRVHERLARLEAAVATILENQVRILERLDRIQQTLDEEVSSALHQRSAPKTQRPAPDADA >DRNTG_22123.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17343839:17345149:-1 gene:DRNTG_22123 transcript:DRNTG_22123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLWSFGIILSFVAFHVDARVEFMSTSADLGCNSCLEFARNAEKEFNSMKLFEEVVALSKQVCQALPNDLEIKCLKKSRDYVQYAKVLFQEFFHEKSLCNNTRLCDDEKGGDDEEANMKSCSKCKKYARKVISEIQNPRTKTKATNAMLNFCEERGEDREKCDEYVYQYGAAVLDKLEKAKAKNLCNALEFCDNEEEGDSMLMEINM >DRNTG_13504.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21907402:21909945:1 gene:DRNTG_13504 transcript:DRNTG_13504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKFKESVEIDPFDALLNWDVNDIDPCSWFGVECSKDCKVESLNLRDLLLEGTLSPDLGKLINIKYIVLENNSFSGTVPREIGNLNKLQVLNLGFNNLRGTLPSLLDSISTLEILILKGNKITTNALRLRFNEDHSGSKFQHLIKHIQAKHHHKGGTHGKVHQPPSPSPSPSPSPSPSPFPSPGPFERPTHSPSPSISTDSMPTLLVPLPVEHPSKPHHKHLAALIICISVAGVVVLFLLAILAFYVYHGRAKRVVTVVSEKAWKTGLSCQLQKAFVTGVSSLKREEIENACEDFSNILGSLPDCTLYKGRLSSGIEIAVTDVATKSSEDWSCVHEARFRQKITMLSTVNHKNFINLLGFCDESSPFTRMMVFEYAANGTLYEHLHGECLVLLLTTLPTKTSIDLSSEFFVAGKEAEPLDWEARMRIAMGIAYCLEHMIHKVKPPLILRNLDSSSVYLTEDFAAKVSDNGYFSAAVSENSGVLNAQLTKQEDIVLKFGVLLLEIMSGQLPFSGDAGLLVTWASSFPQGMTSFKDFVDPMLEPPRDEYLTAICRVVLSCIRRDPETRPTMAEIAGKLREITGISPSAAAPSILPLWWAVLQALSTDFESDHTELIEL >DRNTG_33072.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:336749:342766:-1 gene:DRNTG_33072 transcript:DRNTG_33072.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase 3 [Source:Projected from Arabidopsis thaliana (AT4G08170) UniProtKB/Swiss-Prot;Acc:Q9SUG3] MLEDVADLNLADCYGKVSVPKQLVIIKDPSSIPDAVSKAGLTLPLVAKPLVVDGSAKSHELSLAYDQYSLSKLEPPLVLQEFVNHGGVLFKVYIVGEVIKVVRRFSLPDVDERELTNNAGVFRFPRVSCAAASADDADLDPGIAELPPRPLLERLARELRRRLGLRLFNIDIIREHGTRDHFYVIDINYFPGYGKMPGYEHIFTDFLLRLGSKQV >DRNTG_33072.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:336749:342766:-1 gene:DRNTG_33072 transcript:DRNTG_33072.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase 3 [Source:Projected from Arabidopsis thaliana (AT4G08170) UniProtKB/Swiss-Prot;Acc:Q9SUG3] MYCHINYMMCTDAGKVSVPKQLVIIKDPSSIPDAVSKAGLTLPLVAKPLVVDGSAKSHELSLAYDQYSLSKLEPPLVLQEFVNHGGVLFKVYIVGEVIKVVRRFSLPDVDERELTNNAGVFRFPRVSCAAASADDADLDPGIAELPPRPLLERLARELRRRLGLRLFNIDIIREHGTRDHFYVIDINYFPGYGKMPGYEHIFTDFLLRLGSKQV >DRNTG_28598.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7952643:7954198:-1 gene:DRNTG_28598 transcript:DRNTG_28598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSFAFFSLYSTTKCSSLRENDPKSNKSSVKVNGTSIHELSKFSIRTSPAFTSVASVIEDLMVEKNRQNIPTEKRMVDPFRQGLIVEGGVGYRQTITVRSYEIGPDKTATLETLFNLLQETALNHVWMSGLLGDGFGATHGMIKNNLIWVVTRMHVQIDEYPIWGEVVEIETWVGSSGKNGMRRDWLIRSHLSGHVFARATSTWVMMNQETRRLSKMPQEVRDEISPWYIEKQAIIEDIPEKIIKLDNKAKYVDSNLKPKRSDMDMNNHVNNVKYVKWMLETIPVSFLEEHQLGSIILEYRRECGSSDIVESICEPDEKQDDDDD >DRNTG_22888.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1890004:1896325:1 gene:DRNTG_22888 transcript:DRNTG_22888.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGRLRSHNIISLILSCLLMRARRYRYASQERHHLRVSSSLGVFLVVSLSPLHFSEHLLQDPRR >DRNTG_13102.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9992907:9996516:-1 gene:DRNTG_13102 transcript:DRNTG_13102.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANHDLVLGQNPDLALGQNHDLVMGQGHGLGLGQRHGLGLGHAHDHDLGLGHTHDSRLVLGHHHAHDHAHNHSNELALGKPHDPDQDSLDEQNHELSLSDNHELTLAESHELAVVDQNLDQLTVDQSQELALHHSHEMTHGHLMVSPILQTRRIVTSNHELTIGQEFPDVKSCRRALRDAAIACHFEIQTVKSDKTRFTAKCAAEGCPWRIHAAKLPGVPTFTIRTIHESHTCNGLGHLGHQQASVQWVANSVEERLRENPHYKPKEILEEIHRVHGITLSYKQAWRGKERIMAAVRGSFEEGYRLLPQYCEQVKRTNPGSIASVYGNPEDNCFKRLFISFYASIYGFVNACRPLIGLDRTLLKSKYLGTLLFATGFDGDGALFPLAFGVVDEENDENWIWFLSELHALLEANTENMPRLTILSDRQKGIVDGVDFNFPTAFHGFCMRHLSDSFRKEFSNNVLVNLLWEAAHALTVIEFEAKILEIEEISQEAAFWIRRIPPRLWATAYFEGTRYGHLTANIVESLNSWILEASGLPIIQMMECIRRQLMTWFNERREMSMQWTTILVPTAERRVSDDIERARGYQVGRANEAEFEVVSPHEGTNIVDIRNRCCLCRGWQLYGLPCAHGVAALLSCRQNVHRYTESCFTVATYRKTYSQTIHPIPDKSLWKELSEQNLNGVDKAEMIINPPKSLRPPGRPRKKRVRAEDRGRVKRVVHCSRCNQTGHFRTTCAAPI >DRNTG_13102.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9992835:9996516:-1 gene:DRNTG_13102 transcript:DRNTG_13102.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHDLVLGQNPDLALGQNHDLVMGQGHGLGLGQRHGLGLGHAHDHDLGLGHTHDSRLVLGHHHAHDHAHNHSNELALGKPHDPDQDSLDEQNHELSLSDNHELTLAESHELAVVDQNLDQLTVDQSQELALHHSHEMTHGHLMVSPILQTRRIVTSNHELTIGQEFPDVKSCRRALRDAAIACHFEIQTVKSDKTRFTAKCAAEGCPWRIHAAKLPGVPTFTIRTIHESHTCNGLGHLGHQQASVQWVANSVEERLRENPHYKPKEILEEIHRVHGITLSYKQAWRGKERIMAAVRGSFEEGYRLLPQYCEQVKRTNPGSIASVYGNPEDNCFKRLFISFYASIYGFVNACRPLIGLDRTLLKSKYLGTLLFATGFDGDGALFPLAFGVVDEENDENWIWFLSELHALLEANTENMPRLTILSDRQKGIVDGVDFNFPTAFHGFCMRHLSDSFRKEFSNNVLVNLLWEAAHALTVIEFEAKILEIEEISQEAAFWIRRIPPRLWATAYFEGTRYGHLTANIVESLNSWILEASGLPIIQMMECIRRQLMTWFNERREMSMQWTTILVPTAERRVSDDIERARGYQVGRANEAEFEVVSPHEGTNIVDIRNRCCLCRGWQLYGLPCAHGVAALLSCRQNVHRYTESCFTVATYRKTYSQTIHPIPDKSLWKELSEQNLNGVDKAEMIINPPKSLRPPGRPRKKRVRAEDRGRVKRVVHCSRCNQTGHFRTTCAAPI >DRNTG_13102.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9992974:9996516:-1 gene:DRNTG_13102 transcript:DRNTG_13102.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANHDLVLGQNPDLALGQNHDLVMGQGHGLGLGQRHGLGLGHAHDHDLGLGHTHDSRLVLGHHHAHDHAHNHSNELALGKPHDPDQDSLDEQNHELSLSDNHELTLAESHELAVVDQNLDQLTVDQSQELALHHSHEMTHGHLMVSPILQTRRIVTSNHELTIGQEFPDVKSCRRALRDAAIACHFEIQTVKSDKTRFTAKCAAEGCPWRIHAAKLPGVPTFTIRTIHESHTCNGLGHLGHQQASVQWVANSVEERLRENPHYKPKEILEEIHRVHGITLSYKQAWRGKERIMAAVRGSFEEGYRLLPQYCEQVKRTNPGSIASVYGNPEDNCFKRLFISFYASIYGFVNACRPLIGLDRTLLKSKYLGTLLFATGFDGDGALFPLAFGVVDEENDENWIWFLSELHALLEANTENMPRLTILSDRQKGIVDGVDFNFPTAFHGFCMRHLSDSFRKEFSNNVLVNLLWEAAHALTVIEFEAKILEIEEISQEAAFWIRRIPPRLWATAYFEGTRYGHLTANIVESLNSWILEASGLPIIQMMECIRRQLMTWFNERREMSMQWTTILVPTAERRVSDDIERARGYQVGRANEAEFEVVSPHEGTNIVDIRNRCCLCRGWQLYGLPCAHGVAALLSCRQNVHRYTESCFTVATYRKTYSQTIHPIPDKSLWKELSEQNLNGVDKAEMIINPPKSLRPPGRPRKKRVRAEDRGRVKRVVHCSRCNQTGHFRTTCAAPI >DRNTG_27189.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:578511:579805:-1 gene:DRNTG_27189 transcript:DRNTG_27189.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRTQGMELNVPSLFRCPISLEIMRSPVSLCTGVTYDRVSIQRWLDSGNTTCPATMLPLPSTDLVPNLTLRRLISHWPHPAIDGTSRTLDLRTAGRLQDLIAFLSDADRDDFHKNSLTSAPSFAPALASLVGSDSNDAAIKVLALVLTADFIEIRSKKMAIQSLCTDLNRTVSALLETLQRSEPDARIESAIVLEAILSSDQCDVNSKSRIAENSHLIRELIRLIVPSAAGSTEAGISCLVSLSSAKRSRVRMVEQGLVPALAQAMNQEPLTIPASAVAKALKLMETASGCAEGRAAMCEGKAEAVATVARRMMKAGREGAEAAITVLWTLCHLHGDRRAVEAAAANGVVTKILVLMQGDREGNLRRKSRDLLRIFKINSKGLFVGYDTKTTHISPF >DRNTG_27189.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:578462:579836:-1 gene:DRNTG_27189 transcript:DRNTG_27189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRTQGMELNVPSLFRCPISLEIMRSPVSLCTGVTYDRVSIQRWLDSGNTTCPATMLPLPSTDLVPNLTLRRLISHWPHPAIDGTSRTLDLRTAGRLQDLIAFLSDADRDDFHKNSLTSAPSFAPALASLVGSDSNDAAIKVLALVLTADFIEIRSKKMAIQSLCTDLNRTVSALLETLQRSEPDARIESAIVLEAILSSDQCDVNSKSRIAENSHLIRELIRLIVPSAAGSTEAGISCLVSLSSAKRSRVRMVEQGLVPALAQAMNQEPLTIPASAVAKALKLMETASGCAEGRAAMCEGKAEAVATVARRMMKAGREGAEAAITVLWTLCHLHGDRRAVEAAAANGVVTKILVLMQGDREGNLRRKSRDLLRIFKINSKGLFVGYDTKTTHISPF >DRNTG_27189.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:578462:579805:-1 gene:DRNTG_27189 transcript:DRNTG_27189.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRTQGMELNVPSLFRCPISLEIMRSPVSLCTGVTYDRVSIQRWLDSGNTTCPATMLPLPSTDLVPNLTLRRLISHWPHPAIDGTSRTLDLRTAGRLQDLIAFLSDADRDDFHKNSLTSAPSFAPALASLVGSDSNDAAIKVLALVLTADFIEIRSKKMAIQSLCTDLNRTVSALLETLQRSEPDARIESAIVLEAILSSDQCDVNSKSRIAENSHLIRELIRLIVPSAAGSTEAGISCLVSLSSAKRSRVRMVEQGLVPALAQAMNQEPLTIPASAVAKALKLMETASGCAEGRAAMCEGKAEAVATVARRMMKAGREGAEAAITVLWTLCHLHGDRRAVEAAAANGVVTKILVLMQGDREGNLRRKSRDLLRIFKINSKGLFVGYDTKTTHISPF >DRNTG_33569.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11204611:11207905:1 gene:DRNTG_33569 transcript:DRNTG_33569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYITIPKAWKASCQIHIPPSCKRDGWVDKGIIHSGELDNLMDELPTFVIKSLQNGIDQKSRGSYRHPCTREPGNYPSAFRYYMTFLLHDYIRPSAQESLMQKFYLEENGPLTNIDPCENSQGNSQGTCTDVECICKLNGTVPSSCVGFDLGSLQNENLIQGTQYQ >DRNTG_00462.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30796998:30798061:1 gene:DRNTG_00462 transcript:DRNTG_00462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHSKESWGEKSQSQSALFLCGLIVLFNCNMSIQGESLNTSHSKSLFYGHCYGTVTGVTATCENYGQYYEYCYRRPSSLLFGRPLRGDLEGFYGTSSMGEGSKREKTDKGTQLQGKPFMERSRQ >DRNTG_22042.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001228.1:57600:61281:-1 gene:DRNTG_22042 transcript:DRNTG_22042.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 12 [Source:Projected from Arabidopsis thaliana (AT3G07550) UniProtKB/Swiss-Prot;Acc:Q9SRR1] MESGVAQKAITMLPYDLLQMIFQKLENTMDRNAFGLTCKCWFQIQNSSRRSLIFQFCYNPKIYRTYALYLPRLLVRFPHLSSISLAGFTELSDSALTLLSDSGKNIQNLSLYCCFGITDNGILKVSSGCTNLISVTLYRCNISDVGLEYLAKSCQALENMNLSYCMLISDSGIKALSKGCPKLHVLMISYCRGITGTGFKGCPPTLAYLEADSCLLTPDGLFETVSGGGIEYLNVSSLRSWLGADGLDRIGCGLATRLRFLNLRLCRFVSDDSVAAIAKGCPLLEEWSLAVCHEVRVSGWEAVASNCKNLKSLHVNRCRNLCDRGLEALRNGCTLLRVLYMHGCRRVTSLGLEMFKLQRQDVEVKREECISIGPCIDDFFA >DRNTG_22042.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001228.1:57600:59750:-1 gene:DRNTG_22042 transcript:DRNTG_22042.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 12 [Source:Projected from Arabidopsis thaliana (AT3G07550) UniProtKB/Swiss-Prot;Acc:Q9SRR1] MESGVAQKAITMLPYDLLQMIFQKLENTMDRNAFGLTCKCWFQIQNSSRRSLIFQFCYNPKIYRTYALYLPRLLVRFPHLSSISLAGFTELSDSALTLLSDSGKNIQNLSLYCCFGITDNGILKVSSGCTNLISVTLYRCNISDVGLEYLAKSCQALENMNLSYCMLISDSGIKALSKGCPKLHVLMISYCRGITGTGFKGCPPTLAYLEADSCLLTPDGLFETVSGGGIEYLNVSSLRSWLGADGLDRIGCGLATRLRFLNLRLCRFVSDDSVAAIAKGCPLLEEWSLAVCHEVRVSGWEAVASNCKNLKSLHVNRCRNLCDRGLEALRNGCTLLRVLYMHGCRRVTSLGLEMFKLQRQDVEVKREECISIGPCIDDFFA >DRNTG_18915.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1505152:1506610:-1 gene:DRNTG_18915 transcript:DRNTG_18915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNVPKNLQTLKGVNAGSWIKNTLPKLTNLCKLKIHRVCYYHADALSSSLQKLGRLVSFTIHYGQKIPLDNIITAFSNQHCLKDLYLDGSLSCEQLPHNDVFPQQLMKLDLLDSGLEQDPMATLEKLPYLKYLRLHRAYRGKQMICSATGFPQLLSLIIENLEELEEWQIQGKAMSCLKSLKIQECKRLKMIPEGLRNVLLDQLKLDYMFREFVSRTKENTGEDWYKIQHVPNISFI >DRNTG_18915.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1505514:1506610:-1 gene:DRNTG_18915 transcript:DRNTG_18915.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNVPKNLQTLKGVNAGSWIKNTLPKLTNLCKLKIHRVCYYHADALSSSLQKLGRLVSFTIHYGQKIPLDNIITAFSNQHCLKDLYLDGSLSCEQLPHNDVFPQQLMKLDLLDSGLEQDPMATLEKLPYLKYLRLHRAYRGKQMICSATGFPQLLSLIIENLEELEEWQIQGKAMSCLKSLKIQECKRLKMIPEGLRNVLLDQLKLDYMFREFVSRTKENTGEDWYKIQHVPNISFI >DRNTG_15305.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4730007:4732808:1 gene:DRNTG_15305 transcript:DRNTG_15305.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVMALRLIGRLVNDVVSFVIFSALDVLDVFLCFVYKLADYALEAEWRPCYCSNSPKDMITSSGKILVSENGGSKVVFLCSSKLQLDDISDTLYFRPSLASEISRSTVRELRRHKAERGTTFTINSTIIEMLQEKIGGRQAKLSTCWSDCDCKTCSGWSSPGVPPRDTLFVRAEGATAPVEDVLFIHGFISSSELWTETVFPNFSEEARSKYRFIAIDLLGFGRSPKPGDSLYTLREHVDMIERSVLEPFKVGSFHIVAHSLGSILAIALAVKYPGAVKSLTLIAPPYFPVPKGEQGTQYVLRRVAPRRVWPMMAFGSSVACWYEHISRTICLLICKNHRVWEFIFKLVTRNRFRTFLMDGFFCHTHNAAWHTLHNIICGSGGKLDGYLDTVRDQLNCEVTVFHGSADVLLPVECSHAVKSKIPRANVKVVQDKDHITILVGREKTFARELEAIWRKTQTMKLKEL >DRNTG_32818.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27745962:27748526:-1 gene:DRNTG_32818 transcript:DRNTG_32818.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRHQRGEAVPAGKQKVAAGADGRNRRVLGDIGNLVNLGGPDGKPVYQINRPVTRSFGAQLLANAQAAAAANKKPVAVGVDVAVAKDAAKVAKQKQTSKPSKPEAVITISPDTEEEKKHAEPKISHRNSTKKKVQTLTSVLTARSKVACGIVDKPKVQVEDIDAVDADNQLAVVDYVEDIYKFYKLAESSSRPHDYIDSQIEINAKMRAILADWLIEVHNKFELMPETLYLTFYIMDKYLSMETVFRRELQLVGVSAMLIACKYEEIWAPEVNDFICISDRAYSREEILAKEKVILNKLEWHLTVPTPYVFLVRFLKAAALCDKEMEHMVFFLSELALMHYPMIMYCPSIVAASAVYVARCTLNKSPLWSETLKRHTGFTETQLLDCAKVLVELHSTSSVSKLKVVYKKYSSPDYEGVALLSPATKVVEEMKKLEITSRR >DRNTG_07490.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3896927:3897383:1 gene:DRNTG_07490 transcript:DRNTG_07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAERDGEDSQLLHWYVALRLLVDLLR >DRNTG_26054.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17776670:17781211:-1 gene:DRNTG_26054 transcript:DRNTG_26054.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRISIRVPRIPPFAFFSTLQSPSLTSYLTDVWGLSPAAAIAASSKLSSISDWNRVSTKSKPDSVLAFFRSHGFTPSQITKVISRYPRFLLSDPARTLQPKMDFYLRSGFSPSTITKLICSNVDLLRSSLKKRIIPSFEFLKTILHTDEHVVAAVKRSTWVFHVNLGKKMAPNIDTLHRIGVPTANIAKLAKTHPSVLIQSTGRFGESLERVLRMGHCPGDAMFIIALHSVSAISVATLKRKLEVYKSFGLPEDKIVSRVNQKPMIVNLSEDNIRKSFGFFMEKLKWAPDFVFSSPVLLTLSLEKRVAPRVSVYEILVSKNLWGEKPIVPRFFFISDEKFSKRYLLSFQNECPEVLETYKAMRRE >DRNTG_09614.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:22064971:22069098:-1 gene:DRNTG_09614 transcript:DRNTG_09614.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-phosphate phosphatase [Source:Projected from Arabidopsis thaliana (AT3G02870) UniProtKB/Swiss-Prot;Acc:Q9M8S8] MGDIDSLAEFLAVAVDAAKSAGEIIRQGFHQKKNVEHKGQVDLVTETDKACERLIFDHLKKHYPEHKFIGEETSAVYGTDELTDDPTWIVDPLDGTTNFVHGFPFVCVSIGLTIGKVPSVGVVYNPIIDELFTGVHGQGAYLNGNPIKASSQTELVKSLLATEVGTKRDALTVNTTTNRINSLLFKVRSLRMSGSCALNLCGIACGRLDICYEIGFGGPWDVAAGAVIVREAGGLVFDPYGGDFDIMSQRVAASNGHLKERFSLALRETMEEHQS >DRNTG_11525.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5097:6684:-1 gene:DRNTG_11525 transcript:DRNTG_11525.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKFGDMMIITAECYYYYYFIFYFLFFGGC >DRNTG_11525.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4772:6460:-1 gene:DRNTG_11525 transcript:DRNTG_11525.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKFGDMMIITAECYYYYYFIFYFLFFGGC >DRNTG_11525.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1983:7249:-1 gene:DRNTG_11525 transcript:DRNTG_11525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKFGDMMIITAECYYYYYFIFYFLFFGGC >DRNTG_11525.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4084:7249:-1 gene:DRNTG_11525 transcript:DRNTG_11525.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKFGDMMIITAECYYYYYFIFYFLFFGGC >DRNTG_11525.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2238:7249:-1 gene:DRNTG_11525 transcript:DRNTG_11525.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKFGDMMIITAECYYYYYFIFYFLFFGGC >DRNTG_23311.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1138174:1140819:-1 gene:DRNTG_23311 transcript:DRNTG_23311.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g15510, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G15510) UniProtKB/Swiss-Prot;Acc:Q9M9E2] MAAASDPFFLLSNHYSFISSSLSKHPFKPATSLPFFSDSKTTQLISFSSLHPHSPTTHSSSNPIPAIRRLCLDGDLDQALRLLPSSEQFPLDEDTYISLLRLCEWKRALPQGSRLYSHISSSDILLSVALGNALLSMFVRFGNLLEAWSVFGKMIERDVFSWNVMVGGHAKSGFFDEALDLYQRMIWSGMWPDVYTFPCVLRTCGGVPDLVRGREVHAHVFRFGSASEVDVLNALITMYAKCGQLVDARKVFDTMPRRDCISWNAMIAGFFENEKFYDGLELFLMMRNHSVEPDLMTVTSVISASGWLCDVSLGKEIHGYAVKGGFSVDVSVQNSLIQMYASFGNLHQAQKIFLRMESKDVVSWTAMISGHEKNGLPEKSLEIFDQMKRADVSPDEVTLASVLSACATLGRVDVGVEVHELAKKKRLMPYTVIGNSLLDMYSKAKCVDKAMEVFRGMREKNVISWSSIISGFRINRRSFEALNYFRHMQAFVEPNSVTLISTLSACAAVGALVWGKEIHAQALRRAMGTEGFVPNAILDLYVKCGRMDYAWMQFNSLEEKDVVSWNIMMTGYAVRGHGDLAVDLFKRMEEKGVLPDEVTFIALLCACSRSGMVNQGWEYFDNMHKKYSITPSLRHYACMVDLLGRAGWLEEAQQFIKEMPIEPDAAIWGALLNGCRIHRQVDLGELAANYLFELDAQSVGYYVLLCNLYADSDRWDQVARVRKEMRERGLTIDPGCSWVEVKGMVHAFLSGDESHPQSKEINGALNGLYERIKSAGFDLPENDIVDEAEASKAEIFCGHSERLAIAFGLISTAPGTAIWVTKNLYMCQGCHALVKSISKIVRREITVRDTEQFHHFKDGKCSCGDEGYWKRCVGLKQEHGV >DRNTG_31512.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14177018:14180240:-1 gene:DRNTG_31512 transcript:DRNTG_31512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVELESPYGEPLAKHQGHENLNGSEPSRAHGESLEESLDYYLFSCNANFIFYRVYVFMQCITTHKVPRVISTGLRAGWVIGGRLLITFFVKALRPSLRIRILEKEGNVDRECTILEEILRRFKREDISQVQDARMCANLLVFKLAQ >DRNTG_26327.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16674812:16692671:-1 gene:DRNTG_26327 transcript:DRNTG_26327.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKVDLKFKSSACIIHDVGVTENYNIILDYPQKFGIERPILGKRFIEFDRDAKSRIGVMPRFGDEESILWFNVKNHCTYHLINCFEDDDKVIVRGCKLLDTIITTPDDKIERSEWYRRAYIQHDESSQDYDPSKDGVLFSRPYEWRLNLKTHRVEEGYLIENGVAMDFPVINNQFTGIKNKYSYTQVADSIASSTIGMFKYKMFAKLYFDEHDEEINGNIKVEYHVMDDNHFCSGIEFVKKQDAIDEDDGWVVCYVHDENKNQSKVYIIDAKNFSKEPVAKLKLPQRVPYGYHGIYLYK >DRNTG_26327.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16674812:16691175:-1 gene:DRNTG_26327 transcript:DRNTG_26327.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEWKQLSLKLSLAKPLQMIIKTILDAFVDSTYKFVDQPTFHEGNFAPVDEIKELTMVEISEGEVPQDFPEGVYIRNGSNYLYPTMTEAISIFGKTAYTSYEGDGMLHATYFNKDIEGKWIISYKNKYVESKTFLIDKANNKYGCIPSADGQPFAILVALILNLKVPSTGELVMMGMSYH >DRNTG_26327.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16674812:16685637:-1 gene:DRNTG_26327 transcript:DRNTG_26327.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPVINNQFTGIKNKYSYTQVADSIASSTIGMFKYKMFAKLYFDEHDEEINGNIKVEYHVMDDNHFCSGIEFVKKQDAIDEDDGWVVCYVHDENKNQSKVYIIDAKNFSKEPVAKLKLPQRVPYGYHGIYLYK >DRNTG_26327.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16674812:16684948:-1 gene:DRNTG_26327 transcript:DRNTG_26327.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPVINNQFTGIKNKYSYTQVADSIASSTIGMFKYKMFAKLYFDEHDEEINGNIKVEYHVMDDNHFCSGIEFVKKQDAIDEDDGWVVCYVHDENKNQSKVYIIDAKNFSKEPVAKLKLPQRVPYGYHGIYLYK >DRNTG_26327.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16674812:16692671:-1 gene:DRNTG_26327 transcript:DRNTG_26327.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEWKQLSLKLSLAKPLQMIIKTILDAFVDSTYKFVDQPTFHEGNFAPVDEIKELTMVEISEGEVPQDFPEGVYIRNGSNYLYPTMTEAISIFGKTAYTSYEGDGMLHATYFNKDIEGKWIISYKNKYVESKTFLIDKANNKYGCIPSADGQPFAILVALILNLMRFGKAARDICNTNVFEHGGKIYAITENHSPYEIDPSTLNTLNEWSVNGAWDRPFTSHPKKVPSTGELVMMGMSYH >DRNTG_35158.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1299615:1300496:-1 gene:DRNTG_35158 transcript:DRNTG_35158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNIVNQNGISTQPKFIFPVAALLSGIVGGLLGIGGGLLINPVLLQIGVPPQTTAATTCFMVLFSSSMSSAQYLILGMKGVKQALVYAQLCIVGSALGLIMMERVVIKSGRASLIVFMVSIVMALSTISITSFGAIDVWSDYTDGKNMGFKLPC >DRNTG_07932.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1241971:1243994:-1 gene:DRNTG_07932 transcript:DRNTG_07932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISNEVDYEKAPTAAVLAIGTANPPNVVEQSTYPDYFFRVTNNEHKHELKEKFKRICEKSMIRKRHMFLTEEILKENSNLCSFKEENSLDTRHDIMVEEVPKLGAKAAIKALEEWGRPRSEITHVIFSAIGGPDLPGADYRLIKLLGFSPSTKRVMLYGLGCFAGGSVLRIAKDLAENNENARVLIVCSEINAVFFRGLDDVHFDNFVCQAIFGDGAAAVIVGANPIPGIETPIFELVSTDQSILPDSEGAIEGYLREVGLVFHSISQVPNIIAKNIENSLVKAFKPLGISDWNSLFWIVHPGGRAILDRVEEKLELKPEKMRATRHVLSEYGNMSSVCVLFIMDEMRKHSVKQGQSTTGEGLDYGVLYGFGPGLTMETVVLRAFSCNDQK >DRNTG_09753.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24784327:24790225:1 gene:DRNTG_09753 transcript:DRNTG_09753.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDFNHISLKDQILDNQWDNFSINNIFGGVIDIANVSLAKVDFDSGNHWVWQPKTNCHKISSAVYHFFNNESSYADRWNGWNVLWHIPVAPRVKYFIWLCLHGHLTTAVLLHQLNLGPDSVCIFCGMQRETVDHLFGGCANTQQVWNFINHIENLSISWSSGFSSRDWIIKFQHSKFNLALIAAGSSLYG >DRNTG_09753.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24784327:24790257:1 gene:DRNTG_09753 transcript:DRNTG_09753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLRFSIYIIIMNTTKILCQNCKIISTRDTYSRVLRFIKVIKPLLVCLAETRANSEQVDHFCSRIPRNWQWAAILSDGISGGIIIIWNPIIGQVTPVASSHRALHIVVTTQASLSSIILVVYNSLKYRGQCFLWHELSRINGLGFPWLALGNFNSVLSCTEHKGGSFSYYARKSRFFFNFVNINNLLDLKFSDSPYTWCNN >DRNTG_09753.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24785430:24790225:1 gene:DRNTG_09753 transcript:DRNTG_09753.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDFNHISLKDQILDNQWDNFSINNIFGGVIDIANVSLAKVDFDSGNHWVWQPKTNCHKISSAVYHFFNNESSYADRWNGWNVLWHIPVAPRVKYFIWLCLHGHLTTAVLLHQLNLGPDSVCIFCGMQRETVDHLFGGCANTQQVWNFINHIENLSISWSSGFSSRDWIIKFQHSKFNLALIAAGSSLYG >DRNTG_09753.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24784327:24790225:1 gene:DRNTG_09753 transcript:DRNTG_09753.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDFNHISLKDQILDNQWDNFSINNIFGGVIDIANVSLAKVDFDSGNHWVWQPKTNCHKISSAVYHFFNNESSYADRWNGWNVLWHIPVAPRVKYFIWLCLHGHLTTAVLLHQLNLGPDSVCIFCGMQRETVDHLFGGCANTQQVWNFINHIENLSISWSSGFSSRDWIIKFQHSKFNLALIAAGSSLYG >DRNTG_09753.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24785181:24790225:1 gene:DRNTG_09753 transcript:DRNTG_09753.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDFNHISLKDQILDNQWDNFSINNIFGGVIDIANVSLAKVDFDSGNHWVWQPKTNCHKISSAVYHFFNNESSYADRWNGWNVLWHIPVAPRVKYFIWLCLHGHLTTAVLLHQLNLGPDSVCIFCGMQRETVDHLFGGCANTQQVWNFINHIENLSISWSSGFSSRDWIIKFQHSKFNLALIAAGSSLYG >DRNTG_09753.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24785430:24790225:1 gene:DRNTG_09753 transcript:DRNTG_09753.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDFNHISLKDQILDNQWDNFSINNIFGGVIDIANVSLAKVDFDSGNHWVWQPKTNCHKISSAVYHFFNNESSYADRWNGWNVLWHIPVAPRVKYFIWLCLHGHLTTAVLLHQLNLGPDSVCIFCGMQRETVDHLFGGCANTQQVWNFINHIENLSISWSSGFSSRDWIIKFQHSKFNLALIAAGSSLYG >DRNTG_09753.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24785430:24790225:1 gene:DRNTG_09753 transcript:DRNTG_09753.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDFNHISLKDQILDNQWDNFSINNIFGGVIDIANVSLAKVDFDSGNHWVWQPKTNCHKISSAVYHFFNNESSYADRWNGWNVLWHIPVAPRVKYFIWLCLHGHLTTAVLLHQLNLGPDSVCIFCGMQRETVDHLFGGCANTQQVWNFINHIENLSISWSSGFSSRDWIIKFQHSKFNLALIAAGSSLYG >DRNTG_09753.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24785430:24790225:1 gene:DRNTG_09753 transcript:DRNTG_09753.9 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLRFSIYIIIMNTTKILCQNCKIISTRDTYSRVLRFIKVIKPLLVCLAETRANSEQVDHFCSRIPRNWQWAAILSDGISGGIIIIWNPIIGQVTPVASSHRALHIVVTTQASLSSIILVVYNSLKYRGQCFLWHELSRINGLGFPWLALGNFNSVLSCTEHKGGSFSYYARKSRFFFNFVNINNLLDLKFSDSPYTWCNN >DRNTG_09753.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24784327:24790225:1 gene:DRNTG_09753 transcript:DRNTG_09753.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDFNHISLKDQILDNQWDNFSINNIFGGVIDIANVSLAKVDFDSGNHWVWQPKTNCHKISSAVYHFFNNESSYADRWNGWNVLWHIPVAPRVKYFIWLCLHGHLTTAVLLHQLNLGPDSVCIFCGMQRETVDHLFGGCANTQQVWNFINHIENLSISWSSGFSSRDWIIKFQHSKFNLALIAAGSSLYG >DRNTG_09753.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24784327:24790225:1 gene:DRNTG_09753 transcript:DRNTG_09753.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSHITLVDKVSSALKPGNIEEDNSEDEDLPGDDDEEMPEDEGPDDAITLIQYQDEARWESLIRKNPQSSEVSPKKGRVENCKIISTRDTYSRVLRFIKVIKPLLVCLAETRANSEQVDHFCSRIPRNWQWAAILSDGISGGIIIIWNPIIGQVTPVASSHRALHIVVTTQASLSSIILVVYNSLKYRGQCFLWHELSRINGLGFPWLALGNFNSVLSCTEHKGGSFSYYARKSRFFFNFVNINNLLDLKFSDSPYTWCNN >DRNTG_09329.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1034658:1035991:-1 gene:DRNTG_09329 transcript:DRNTG_09329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQHSPSAASAATAATVQPSSPRFLFPSTGGVPSSPSTGSHRRIGIAVDLSDESAYAVRWAVQHYLRPGDTVILLHVRPTSVLYGADWGAVDVSFNAVAADGDSSEESQRKLEDEFDAFTTTKAQDLAQPLVEAQIPFKIHIVKDHDMKERLCLEVERLGLCAVIMGSRGFGASRSSSKARLGSVSDYCVHHCVCPVVVVRYPDDGACVGAVDSESGLVESLPEETQEFVDASDDPKDA >DRNTG_33079.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5313081:5315778:-1 gene:DRNTG_33079 transcript:DRNTG_33079.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNVDLLHSLGVPERRISGLAKFHADVLLRKTEKFQRMTERVIEMGFRPAHHSFTVALRCFSAVSAATWEAKMAAFKSFGVPEDEILLAFKKQPLMMTVSEQKIKKIMALFVSKLNWKPEYVLANSLLLKFSLERRILPRISCCDVLLSKGLMSDKAFNYQVFWLSERRFFETYVMRFQEEHPQVLEAYLSSMK >DRNTG_33079.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5312978:5315834:-1 gene:DRNTG_33079 transcript:DRNTG_33079.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNVDLLHSLGVPERRISGLAKFHADVLLRKTEKFQRMTERVIEMGFRPAHHSFTVALRCFSAVSAATWEAKMAAFKSFGVPEDEILLAFKKQPLMMTVSEQKIKKIMALFVSKLNWKPEYVLANSLLLKFSLERRILPRISCCDVLLSKGLMSDKAFNYQVFWLSERRFFETYVMRFQEEHPQVLEAYLSSMK >DRNTG_33079.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5312978:5315778:-1 gene:DRNTG_33079 transcript:DRNTG_33079.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNVDLLHSLGVPERRISGLAKFHADVLLRKTEKFQRMTERVIEMGFRPAHHSFTVALRCFSAVSAATWEAKMAAFKSFGVPEDEILLAFKKQPLMMTVSEQKIKKIMALFVSKLNWKPEYVLANSLLLKFSLERRILPRISCCDVLLSKGLMSDKAFNYQVFWLSERRFFETYVMRFQEEHPQVLEAYLSSMK >DRNTG_33079.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5312569:5315778:-1 gene:DRNTG_33079 transcript:DRNTG_33079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNVDLLHSLGVPERRISGLAKFHADVLLRKTEKFQRMTERVIEMGFRPAHHSFTVALRCFSAVSAATWEAKMAAFKSFGVPEDEILLAFKKQPLMMTVSEQKIKKIMALFVSKLNWKPEYVLANSLLLKFSLERRILPRISCCDVLLSKGLMSDKAFNYQVFWLSERRFFETYVMRFQEEHPQVLEAYLSSMK >DRNTG_33079.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5313081:5315778:-1 gene:DRNTG_33079 transcript:DRNTG_33079.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNVDLLHSLGVPERRISGLAKFHADVLLRKTEKFQRMTERVIEMGFRPAHHSFTVALRCFSAVSAATWEAKMAAFKSFGVPEDEILLAFKKQPLMMTVSEQKIKKIMALFVSKLNWKPEYVLANSLLLKFSLERRILPRISCCDVLLSKGLMSDKAFNYQVFWLSERRFFETYVMRFQEEHPQVLEAYLSSMK >DRNTG_33079.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5312978:5315778:-1 gene:DRNTG_33079 transcript:DRNTG_33079.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNVDLLHSLGVPERRISGLAKFHADVLLRKTEKFQRMTERVIEMGFRPAHHSFTVALRCFSAVSAATWEAKMAAFKSFGVPEDEILLAFKKQPLMMTVSEQKIKKIMALFVSKLNWKPEYVLANSLLLKFSLERRILPRISCCDVLLSKGLMSDKAFNYQVFWLSERRFFETYVMRFQEEHPQVLEAYLSSMK >DRNTG_32291.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001817.1:46073:50202:-1 gene:DRNTG_32291 transcript:DRNTG_32291.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSFLWKKIDTTDEIVDEYMQEMFNPDPYEGLFNQEENYEEVMMLGSMEEVPSTPGILKKVLRKMKRARRCHRKHSKAIGDIRKPKELDEPSLGQALSV >DRNTG_12708.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13888739:13890344:1 gene:DRNTG_12708 transcript:DRNTG_12708.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHPATEPQPEQMETEAAPTTQEPSPTELAEDHATQGANHTEVMARLDNLQQILERDVTSSFVMRPRTPQASPNTNITSTSSIFVIHSSNSRLDRAGHQRLRWKVPNHNSALDTKITSTLHSVAPATKPQRKFIP >DRNTG_25669.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21594335:21595956:1 gene:DRNTG_25669 transcript:DRNTG_25669.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAIQDERSQHMNKAKALKVLRARLYEAERSRLQMSRSKLRMEQIGSGDRSERIRTYNFPQGRVTDHRVGITNHSIEDVMEGESLDVFIDALLLQEEMEAIASFST >DRNTG_25669.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21595627:21595956:1 gene:DRNTG_25669 transcript:DRNTG_25669.7 gene_biotype:protein_coding transcript_biotype:protein_coding EQIGSGDRSERIRTYNFPQGRVTDHRVGITNHSIEDVMEGESLDVFIDALLLQEEMEAIASFST >DRNTG_25669.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21592730:21595956:1 gene:DRNTG_25669 transcript:DRNTG_25669.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRDMAIEEFDVAVEEQKRLQHLLLKALLPKDDADEKDCILEVRAGTGGEEASLFAMDIFKMYEKYSQKKGWRFEVVDIMESDLKGYREASGSISGSGAYGKLKFESGIHRVQRIPVTEKSGRIHTSAVSVAILPQADEVDVHLRNEDLKIDTYRAGGCGGQSVNTTNSAVRITHIPTGMTVAIQDERSQHMNKAKALKVLRARLYEAERSRLQMSRSKLRMEQIGSGDRSERIRTYNFPQGRVTDHRVGITNHSIEDVMEGESLDVFIDALLLQEEMEAIASFST >DRNTG_25669.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21592730:21595956:1 gene:DRNTG_25669 transcript:DRNTG_25669.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFLNRLTRQPAVTTAEYSRSNKELRRLESCVELIKDLRSKRKEIDSLKSLISDSPEDKEMRDMAIEEFDVAVEEQKRLQHLLLKALLPKDDADEKDCILEVRAGTGGEEASLFAMDIFKMYEKYSQKKGWRFEVVDIMESDLKGYREASGSISGSGAYGKLKFESGIHRVQRIPVTEKSGRIHTSAVSVAILPQADEVDVHLRNEDLKIDTYRAGGCGGQSVNTTNSAVRITHIPTGMTVAIQDERSQHMNKAKALKVLRARLYEAERSRLQMSRSKLRMEQIGSGDRSERIRTYNFPQGRVTDHRVGITNHSIEDVMEGESLDVFIDALLLQEEMEAIASFST >DRNTG_25669.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21593724:21595956:1 gene:DRNTG_25669 transcript:DRNTG_25669.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRDMAIEEFDVAVEEQKRLQHLLLKALLPKDDADEKDCILEVRAGTGGEEASLFAMDIFKMYEKYSQKKGWRFEVVDIMESDLKGYREASGSISGSGAYGKLKFESGIHRVQRIPVTEKSGRIHTSAVSVAILPQADEVDVHLRNEDLKIDTYRAGGCGGQSVNTTNSAVRITHIPTGMTVAIQDERSQHMNKAKALKVLRARLYEAERSRLQMSRSKLRMEQIGSGDRSERIRTYNFPQGRVTDHRVGITNHSIEDVMEGESLDVFIDALLLQEEMEAIASFST >DRNTG_25669.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21592730:21595956:1 gene:DRNTG_25669 transcript:DRNTG_25669.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGFRVGRGRNLLGELHRSLSILNLRPRFVIPSSLPSSPSFSTGSFPEISRDIMKIVEQRMVEIERRNAYLLEQINQPAVTTAEYSRSNKELRRLESCVELIKDLRSKRKEIDSLKSLISDSPEDKEMRDMAIEEFDVAVEEQKRLQHLLLKALLPKDDADEKDCILEVRAGTGGEEASLFAMDIFKMYEKYSQKKGWRFEVVDIMESDLKGYREASGSISGSGAYGKLKFESGIHRVQRIPVTEKSGRIHTSAVSVAILPQADEVDVHLRNEDLKIDTYRAGGCGGQSVNTTNSAVRITHIPTGMTVAIQDERSQHMNKAKALKVLRARLYEAERSRLQMSRSKLRMEQIGSGDRSERIRTYNFPQGRVTDHRVGITNHSIEDVMEGESLDVFIDALLLQEEMEAIASFST >DRNTG_25669.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21592730:21595956:1 gene:DRNTG_25669 transcript:DRNTG_25669.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFLNRLTRQPAVTTAEYSRSNKELRRLESCVELIKDLRSKRKEIDSLKSLISDSPEDKEMRDMAIEEFDVAVEEQKRLQHLLLKALLPKDDADEKDCILEVRAGTGGEEASLFAMDIFKMYEKYSQKKGWRFEVVDIMESDLKGYREASGSISGSGAYGKLKFESGIHRVQRIPVTEKSGRIHTSAVSVAILPQADEVDVHLRNEDLKIDTYRAGGCGGQSVNTTNSAVRITHIPTGMTVAIQDERSQHMNKAKALKVLRARLYEAERSRLQMSRSKLRMEQIGSGDRSERIRTYNFPQGRVTDHRVGITNHSIEDVMEGESLDVFIDALLLQEEMEAIASFST >DRNTG_18927.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12743174:12743971:1 gene:DRNTG_18927 transcript:DRNTG_18927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRARQSLTMGQERLAELLHPNEARGGRPG >DRNTG_16813.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1557415:1558342:1 gene:DRNTG_16813 transcript:DRNTG_16813.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLEQEQGDNELVFGDDDLTWDAVERTAGVDENTYTTQGREKGKGINTTASSSRPSKEKEKAMKPSFASLRTPLISRDGNDEEENLGDDYFNEENDEFEEKFDGVGDDDDYEALDGFE >DRNTG_25594.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9479652:9482682:-1 gene:DRNTG_25594 transcript:DRNTG_25594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATDPYRQNCTPPPADQYKPGYAPPLQYQYGQGYAFPPSLYEHQQYYAAPPSNQYRQDHAPRTSYQCAQVYVPPRFDQSTEGYIPPSLNQYRHDYASLPPPSDQYSASEYNLGVSNEPQYVSMDTATNANYPYPPSYSAPTVSAENGFYAQATVQLPSNYPAPANGAPTQVTTDYPLTSTGAPLQVNPLYYPAT >DRNTG_25594.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9479652:9481476:-1 gene:DRNTG_25594 transcript:DRNTG_25594.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATDPYRQNCTPPPADQYKPGYAPPLQYQYGQGYAFPPSLYEHQQYYAAPPSNQYRQDHAPRTSYQCAQVYVPPRFDQSTEGYIPPSLNQYRHDYASLPPPSDQYSASEYNLGVSNEPQYVSMDTATNANYPYPPSYSAPTVSAENGFYAQATVQLPSNYPAPANGAPTQVTTDYPLTSTGAPLQVNPLYYPAT >DRNTG_33147.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19368908:19371457:1 gene:DRNTG_33147 transcript:DRNTG_33147.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLLKLISECFKPSATHEPQPQAPPGVSPAVSALAHDLLHFEITSQVPDGLGQHVVSSKKAQANWYKKLLEAWREAKPPPRTPEEASRLVIETLKRHQKADVQGLLAFYGLPSPQSLPEISTVVPSYPPEGVQYELQTLPVDPKAVADGDTITVYVDTSNPRESGIVPREVHEAAIGRARARAARNYDEADALQKIMVNAGYRVINFPNGEETLARKYRIRLRGIDAPEGAMPYGKEAKEELVKLVQGKPLKIYAFGDDRYGRCVGDIYCNGVFVQEQMLRRGFAWHYTAYDKRPELAKWENEARKARRGLWAAPNPEKPWDWRKERRNGT >DRNTG_33147.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19368908:19371457:1 gene:DRNTG_33147 transcript:DRNTG_33147.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYGKEAKEELVKLVQGKPLKIYAFGDDRYGRCVGDIYCNGVFVQEQMLRRGFAWHYTAYDKRPELAKWENEARKARRGLWAAPNPEKPWDWRKERRNGT >DRNTG_15106.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1716335:1718887:-1 gene:DRNTG_15106 transcript:DRNTG_15106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHMSLPPGFRFHPTDDELVGYYLKRKVDGLKIELEVIPVIDLYKCDPWDLPDKSFLPKRDLEWFFFCPRDRKYPNGSRTNRATASGYWKATGKDRKIVCEPSVAALKKTLVFYLGRAPGGERTDWVMHEYRLCEDHFHGAYNFVGAYALCRVVKRHEHAQKLGDLQGEHRSKKVDLTPATGAIGSSKYFGEVLNVSEDNHSPESSIFKENNNLATITSPDSGIRTEQALIVTEIDQTSFQVPVPSVLPSNALKDMDHSVYEGIMDHSTLPNEFP >DRNTG_15106.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1717132:1717841:-1 gene:DRNTG_15106 transcript:DRNTG_15106.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAQIEPQHQATGKPLVKTEKLSVNLP >DRNTG_13818.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28365788:28371388:1 gene:DRNTG_13818 transcript:DRNTG_13818.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAPAPEGFRPLDEKSLVEYMKATPALSARLRNQLDSLSIKEVGDGNLNFVYIVIGPGGSLVIKQALPYVRCVGDSWPLTKERAYFEALALKDHGRLCPNHVPEVYHFDRSMSLIGMRHIEPPHIILRKGLIAGIEYPLLAEHMADFMAKTLYFTSLLYCSTLEHRAAVAEYCGNAAMCRHTEQVIFSDPYKIAKYNHWTSPYLDQDAEAIRDDDTLKVEVADLKSMFRERAQALIHGDLHTGSVMVTSDSTQVIDPEFAFYGPMGFDIGAFLGNLILAFFAQDGHSSEGNDRRAYKKWILKTIEETWNLFHQKFIFLWNEHKDAGGEAYPSDIYNKPGVQVLAQKKYMRNLFHDTLGFGAAKMIRRIVGIAHVEDFESIQDAQKRAYCEHHALECAKKLLKERCQFESIDQVISVIQQIPV >DRNTG_13818.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28366301:28369304:1 gene:DRNTG_13818 transcript:DRNTG_13818.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIGMRHIEPPHIILRKGLIAGIEYPLLAEHMADFMAKTLYFTSLLYCSTLEHRAAVAEYCGNAAMCRHTEQVIFSDPYKIAKYNHWTSPYLDQDAEAIRDDDTLKVEVADLKSMFRERAQALIHGDLHTGSVMVTSDSTQVIDPEFAFYGPMGFDIGAFLGNLILAFFAQDGHSSEGNDRRAYKKWILKTIEETWNLFHQKFIFLWNEHKDAGGEAYPSDIYNKPGVQVLAQKKYMRNLFHDTLGFGAAKMIR >DRNTG_13818.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28365788:28367578:1 gene:DRNTG_13818 transcript:DRNTG_13818.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAPAPEGFRPLDEKSLVEYMKATPALSARLRNQLDSLSIKEVGDGNLNFVYIVIGPGGSLVIKQALPYVRCVGDSWPLTKERAYFEALALKDHGRLCPNHVPEVYHFDRSMSLIGMRHIEPPHIILRKGLIAGIEYPLLAEHMADFMAKTLYFTSLLYCSTLEHRAAVAEYCGNAAMCRHTEQVIFSDPYKIAKYNHWTSPYLDQDAEAIRDDDTLKVEVADLKSM >DRNTG_06803.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3876303:3878205:-1 gene:DRNTG_06803 transcript:DRNTG_06803.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEYKSYSHKEDHYKDNMIIGNTTPRYTSILDLIFSCEKGIHRVHKPQGRVHKPQGPSRIKEKKSR >DRNTG_06803.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3874837:3878165:-1 gene:DRNTG_06803 transcript:DRNTG_06803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEYKSYSHKEDHYKDNMIIGNTTPRYTSILDLIFSCEKGIHRVHKPQGRVHKPQGPSRIKEKKSR >DRNTG_06803.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3876303:3878165:-1 gene:DRNTG_06803 transcript:DRNTG_06803.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEYKSYSHKEDHYKDNMIIGNTTPRYTSILDLIFSCEKGIHRVHKPQGRVHKPQGPSRIKEKKSR >DRNTG_06803.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3876303:3878165:-1 gene:DRNTG_06803 transcript:DRNTG_06803.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEYKSYSHKEDHYKDNMIIGNTTPRYTSILDLIFSCEKGIHRVHKPQGRVHKPQGPSRIKEKKSR >DRNTG_06803.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3876303:3878165:-1 gene:DRNTG_06803 transcript:DRNTG_06803.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEYKSYSHKEDHYKDNMIIGNTTPRYTSILDLIFSCEKGIHRVHKPQGRVHKPQGPSRIKEKKSR >DRNTG_06803.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3876303:3878205:-1 gene:DRNTG_06803 transcript:DRNTG_06803.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEYKSYSHKEDHYKDNMIIGNTTPRYTSILDLIFSCEKGIHRVHKPQGRVHKPQGPSRIKEKKSR >DRNTG_32446.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18949036:18951045:-1 gene:DRNTG_32446 transcript:DRNTG_32446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQERGEGEIPYSKWDASRAGLWRGGLAFQRCLEHGRTAASDDPEADYPPARGQGDTHLEAHHDL >DRNTG_16644.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2020996:2022854:1 gene:DRNTG_16644 transcript:DRNTG_16644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSITGHSVNTSTVNDFTNFDAILDTAAELYGIKRETVQGVIQLKREISANTELLACVQVQLKSTMSTLHAMGLFQRNLHQAGAMVLLISRDEAVRVETLERIERALDSFKWVCREHDQLTVIDDLRRRKHDLEDMVSRIKTRTNAWNIAQWIAKFGVTVLSVLIPVAAGVQPAATAANNGAGGMIGLLQPLVDSHLAGQQRSCEVEIDLNEKILNEACFIFHRVNSTQVLVELLEDQMGLLARHAEFLVVAGDDEDMTVSMAMEHIKHKAEDLVVTIERLEKEVDRSSEDLRRAALTLLQTVTDQVSIN >DRNTG_24386.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2719456:2731130:1 gene:DRNTG_24386 transcript:DRNTG_24386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEISEMEAESQVYMACIMQGHRIGMAYYDSSACQLFVMEAWEDGHGDFPLVDLVKYQVKPSIIYASTKTEESLLSALQRGGGRDTTEVKLMRSSIFSYEQAWHRLLYLRIAGMDDGLNVRERICFCFTSLPLQLNSMMDLGSEVQVRAGGGLLAILENERLVDTFEQKECGNTSITIERVTQISLDKFLKLDAAAHEALQIFQIDKHPSHMGIGRAKEGFSVFGMFSKCVTPMGRRLLKLWFLRPILDVAILNYRLNMISFFLCCEEVMSALRETLKSVRDVPHMLKKFNSPSAICTSGDWSSFLKYQLEMVSSSWASDVLEGLNNSWIMKINVPLWIVCYINLHLLAFLFIFQSICSLLHINKIFEVGISEYLQERLDYLNLDIVEKANICISMDLVFVFDLVTGVIDIQRNKDKGYETLVKHGFCDELDELRIIYEELPDFLEQVSSVENASIPLLNGSRKAPCIVYVHQIGFLMCIFDQQIDDCTLEKLQGFEFAVSSYMLLGLFITTKINLSEQFSEDGDEKKFFYHTAKTRELDNLLGDIYHKILDMERAIVRDLVSRVLQFAPQLIRAVDFAAELDCLLSLSLVALQNNYVRPILTEDSLIDIQNGRHVLQEMTVDTFVPNDTKILNDGRINIITGPNFSGKSIYVKQVALIVFLSHVGSFVPADAAIVGMTDRIFCAMGSKFMTAEQSTFMIDLHQVGMMLRHATSRSLCLLDEFGKGTLTEDGIGLLGGAINHFVSCEYPPMSENIKVYTMSILRPDNNCTNIEDIIFLYRLVPGHSLLSYGLHCARLAGVPEEVVERASLILETAKLNKPIDRLLGQNILAKDQQYKDAVEKMLTFDAQKGDLNLFFQEIFPPEP >DRNTG_00687.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30087843:30089197:-1 gene:DRNTG_00687 transcript:DRNTG_00687.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54890) UniProtKB/TrEMBL;Acc:A0A178VBT7] MASSSLRSCCAVFPTLLSSSKSQFATSVALPAGAGANATSRFSMSAEWFPGQPRPPHLDGSAPADFGFDPLGLGEVPENLERFKESEVYHCRWAMLAVPGILLPEALGLGNWVKAQEWAAIPGGQATYLGNPVPWGTLPTILVIEFLAISFVEHQRSMEKDTEKKKYPGGAFDPLGYSKDPAKFHEYKIKEIKNGRLAMLAFVGFCVQQSAYPGTGPLENLAAHLADPWHNNIGNIIIPRDISL >DRNTG_16381.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15808453:15808974:1 gene:DRNTG_16381 transcript:DRNTG_16381.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARGYERAYNAEGGTGEGGQGRRGGGDCRRSVGALKESGGGGC >DRNTG_14664.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5767556:5768232:1 gene:DRNTG_14664 transcript:DRNTG_14664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCQKPVRCADRTNDQITGCSSVGVASCC >DRNTG_29914.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001516.1:34511:38901:1 gene:DRNTG_29914 transcript:DRNTG_29914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMERKPLFPGKDIMHQMCLQLELIGTPKEDDLGSLDEVGRRSIRRLPCYARQSFAEKFPQMHCAAIDLVEKMLTFNPSQRITVEDALAHPYFASLHDT >DRNTG_26171.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29212940:29218128:-1 gene:DRNTG_26171 transcript:DRNTG_26171.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHRMLRFHESGDGVLKVCGTTLIALGFYSC >DRNTG_26939.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30099947:30100353:-1 gene:DRNTG_26939 transcript:DRNTG_26939.2 gene_biotype:protein_coding transcript_biotype:protein_coding GRKARQRTTRSSAITRSRNSRGSPVSVYAPYSNSTNSARVPNGTTGGAGEEFSDCAFEAP >DRNTG_26939.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30099947:30101721:-1 gene:DRNTG_26939 transcript:DRNTG_26939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGRPIMAGRFAPHLEKCMGKGRKARQRTTRSSAITRSRNSRGSPVSVYAPYSNSTNSARVPNGTTGGAGEEFSDCAFEAP >DRNTG_11285.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1334731:1336088:1 gene:DRNTG_11285 transcript:DRNTG_11285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITFLPLKDLRYNHNPFQVNTTWFMSSINDIQEIDQAHHLYFPSKGKILCLHGHDHSNGANNSYALTWPEVLPAGAKLLSGLTFVSETFYDYTNLWHGLSAMTPFVNWYQNKHCMVPERWVLFHWGELRLEMTPWLETLMEVSIGRKVKIEKFNDDGDRPVCFEKAVVFRRNEEGMSKTNKEKVYDLMRCKARAYCDVGRDEDGGHDQYHGLEEGKKKVIRLTLFLRVGSRSFKNETTVVTVSGEQCRKVDGCRLRVAHSHNLSFCDQVRLMSDTDILITPHGAQLTNMIFMNKNSSILEFFPKGWLELAGVGQYVFRWLADWAGMRHEGAWNDPNGQKCPYTDNAECFTFYKDGQVGIDEDFLVKWLARVLNEVKEHKNNINGQANLSSSACSCSLV >DRNTG_30911.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7145418:7147529:1 gene:DRNTG_30911 transcript:DRNTG_30911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSFNTEIEDGSVEEIAEQLMIMHEDCLQGNFESIDQLKNSASTRNAASQSRQVVNENEGESSDEQVDEDGSEMMVDEPDPKEVALNEPKQQKQTPDEEGWFVVPTKRNRGKRWA >DRNTG_14448.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17246621:17247379:-1 gene:DRNTG_14448 transcript:DRNTG_14448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYNVEPRALRLIYTAVEAPKGEFGVFLISNGSNHPYRRKIRVPFRSSLFSLHLSQRRSLSHLSLTFFLEPILGSSKKNT >DRNTG_31055.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30650230:30651246:-1 gene:DRNTG_31055 transcript:DRNTG_31055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNNIKNIRFLILNSSLFSPTPSLSETIINRYQMREDLKVYNLSGMGCSASLISVDLATQLLKVHRNSYALVVSTENITQNAYYGNKRSMLVTNCLFRMGAAAILLSNLTADRRRAKYRLTNLIRTHAGADDNSYKCVFQEEDNENKVGVVLSKQIMFVAGEALKTNMTVLGPQVLPISELLHFLMNLIGRRVLRMKMINDYVPDFKLAFEHFCIHAGGRAILDEVAKRLKLSEYDMEPSRMTLYRFGNTSSSSVWYELGYVEEKGRVKKGDRVWQIGFGSGFKCNSAVW >DRNTG_03396.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20918240:20923212:1 gene:DRNTG_03396 transcript:DRNTG_03396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMAMKVPVSGLGMASPSSLSMVVPGRRVLVANPASRTSFFNGGVGALKAEGLRMSKSNRPRCSGRGGGALGAQMNLFDRLARVIKSYANAILGTLEDPEKILEQAVVDMNNDLIKTRQATAQVLASQKRLENKYKAAQQASDDWYRRAQLALAKGDEDLAREALKRRKSFADNASSLKAQLDQQKGMVDNLVSNTRLLESKIQEARSKKDSLKARAQSAKTATKVTEMLGNVNTSSALAAFEKMEEKVMALESQAEALTQLSIDDLEGKFAILENSSVDDDLAQLKRELSGSPVKGELPSGRTKASSYPLRDVEIENELNELRRRAREY >DRNTG_15469.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16416289:16417046:-1 gene:DRNTG_15469 transcript:DRNTG_15469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLPPGFHFFPSDKELVGHFLYRKAARLPCQPPIIPTLDLKLYKPWELHGKALQAGNYWYFFTRRTTERASNHGYWIDFNNDEPVSIGNDMVGLKKTFVFYQTTEEINTNWVMHEYHLLNGIVRHNSNSSSSSKKRKDPKIECNKWALCRVSEVNQDSQTGLLNDEEAELSCLDEVFLSLDDLDEISAMK >DRNTG_17796.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:7105182:7108667:-1 gene:DRNTG_17796 transcript:DRNTG_17796.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHRDGNPIGDKREGFNFTLELPVTDPTFNLENTVCSHGLFMMSPNHWNSSTKSLHRPLRLSSFPSLSLSVIISHPSPPNPLLISVSGIASLSSQDQLCLLAQVRRMLRISEENDRVVREFQEMHGASKERGFGRVFRSPTLFEDMVKCILLCNCQWSRTLSMARALCELQRELMGSLVAETFQPKTPQVVERKRKRGRGKKVAIKLETKFVKNYTECAENEKSLVNQDTRLQSVQQCQLSNTDSCSEELQNCSTLAVKDESLQIGNFPSPEELATLDENFLAQRCKLGYRAQRILSLAKDIVAGKINIKKLEENCHGSLSGDYNELDMQLSGIHGFGPFTRANVLMCMGFYHKIPTDTETIRHLKQVRVVGWLREKVWEAK >DRNTG_17796.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:7105182:7108667:-1 gene:DRNTG_17796 transcript:DRNTG_17796.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHRDGNPIGDKREGFNFTLELPVTDPTFNLENTVCSHGLFMMSPNHWNSSTKSLHRPLRLSSFPSLSLSVIISHPSPPNPLLISVSGIASLSSQDQLCLLAQVRRMLRISEENDRVVREFQEMHGASKERGFGRVFRSPTLFEDMVKCILLCNCQWSRTLSMARALCELQRELMGSLVAETFQPKTPQVVERKRKRGRGKKVAIKLETKFVKNYTECAENEKSLVNQDTRLQSVQQCQLSNTDSCSEELQNCSTLAVKDESLQIGNFPSPEELATLDENFLAQRCKLGYRAQRILSLAKDIVAGKINIKKLEENCHGSLSGDYNELDMQLSGIHGFGPFTRANVLMCMGFYHKIPTDTETIRHLKQSHGRSNCTIRSVQDDVEKIYTAYAPYQFLAYWSELWDGYEKRFGKLSEMPHSDYQVITANNMKCSNGCRKV >DRNTG_17796.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:7105182:7108667:-1 gene:DRNTG_17796 transcript:DRNTG_17796.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHRDGNPIGDKREGFNFTLELPVTDPTFNLENTVCSHGLFMMSPNHWNSSTKSLHRPLRLSSFPSLSLSVIISHPSPPNPLLISVSGIASLSSQDQLCLLAQVRRMLRISEENDRVVREFQEMHGASKERGFGRVFRSPTLFEDMVKCILLCNCQWSRTLSMARALCELQRELMGSLVAETFQPKTPQVVERKRKRGRGKKVAIKLETKFVKNYTECAENEKSLVNQDTRLQSVQQCQLSNTDSCSEELQNCSTLAVKDESLQIGNFPSPEELATLDENFLAQRCKLGYRAQRILSLAKDIVAGKINIKKLEENCHGSLSGDYNELDMQLSGIHGFGPFTRANVLMCMGFYHKIPTDTETIRHLKQVHFLSPFYCHKIWFVFFNFH >DRNTG_23332.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6156849:6158328:-1 gene:DRNTG_23332 transcript:DRNTG_23332.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDQEEHHLLLHSTFTHTLHSHSFTQSKQIKLLHFHHPKTKPHKTQPECNLSSDQRQFSTLGSNEEKACDLFVGKWIRDFRESNYNNWTCPTLPTLKNCLKHGKDSDYIYWRWKPDNCEFPRFDSSMFLRTVQRRKLAFIGDSLARNQMESLLCLLSQLETPVNKQRDADDKFQTWYFPSHDFTLMVMWTEFLVVGNERIVNGTASNAFDIHLDKVNGNWSDKLEGIHYAIISSGNWFFRTNYLYKGGNLIGCIYCRDSNLTDYGPVYAIKNALSTSLEFISKSKECEEMVTVLRTYTPSHFEHGSWFNGGYCNRTQPLSESEVMSLNGHAWRIRESQVEEFGKIVQSVEKKKKFVLLDVSKAMMLRADGHPGSHWPRIRDISDCLHWCLPGPVDLWNELLMVILNK >DRNTG_23332.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6156849:6158328:-1 gene:DRNTG_23332 transcript:DRNTG_23332.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIKIYKMDQWMLIKKSTICSFILPSLILCTVIVLHNLNKSNSFTSITPKQSLIKHNQSAIYQVTKDNSQHVSKCSNEEKACDLFVGKWIRDFRESNYNNWTCPTLPTLKNCLKHGKDSDYIYWRWKPDNCEFPRFDSSMFLRTVQRRKLAFIGDSLARNQMESLLCLLSQLETPVNKQRDADDKFQTWYFPSHDFTLMVMWTEFLVVGNERIVNGTASNAFDIHLDKVNGNWSDKLEGIHYAIISSGNWFFRTNYLYKGGNLIGCIYCRDSNLTDYGPVYAIKNALSTSLEFISKSKECEEMVTVLRTYTPSHFEHGSWFNGGYCNRTQPLSESEVMSLNGHAWRIRESQVEEFGKIVQSVEKKKKFVLLDVSKAMMLRADGHPGSHWPRIRDISDCLHWCLPGPVDLWNELLMVILNK >DRNTG_23332.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6156849:6158328:-1 gene:DRNTG_23332 transcript:DRNTG_23332.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIKIYKMDQWMLIKKSTICSFILPSLILCTVIVLHNLNKSNSFTSITPKQSLIKHNQSAIYQVTKDNSQHVIGSNEEKACDLFVGKWIRDFRESNYNNWTCPTLPTLKNCLKHGKDSDYIYWRWKPDNCEFPRFDSSMFLRTVQRRKLAFIGDSLARNQMESLLCLLSQLETPVNKQRDADDKFQTWYFPSHDFTLMVMWTEFLVVGNERIVNGTASNAFDIHLDKVNGNWSDKLEGIHYAIISSGNWFFRTNYLYKGGNLIGCIYCRDSNLTDYGPVYAIKNALSTSLEFISKSKECEEMVTVLRTYTPSHFEHGSWFNGGYCNRTQPLSESEVMSLNGHAWRIRESQVEEFGKIVQSVEKKKKFVLLDVSKAMMLRADGHPGSHWPRIRDISDCLHWCLPGPVDLWNELLMVILNK >DRNTG_23332.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6156849:6158328:-1 gene:DRNTG_23332 transcript:DRNTG_23332.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDQEEHHLLLHSTFTHTLHSHSFTQSKQIKLLHFHHPKTKPHKTQPECNLSSDQRQFSTCSNEEKACDLFVGKWIRDFRESNYNNWTCPTLPTLKNCLKHGKDSDYIYWRWKPDNCEFPRFDSSMFLRTVQRRKLAFIGDSLARNQMESLLCLLSQLETPVNKQRDADDKFQTWYFPSHDFTLMVMWTEFLVVGNERIVNGTASNAFDIHLDKVNGNWSDKLEGIHYAIISSGNWFFRTNYLYKGGNLIGCIYCRDSNLTDYGPVYAIKNALSTSLEFISKSKECEEMVTVLRTYTPSHFEHGSWFNGGYCNRTQPLSESEVMSLNGHAWRIRESQVEEFGKIVQSVEKKKKFVLLDVSKAMMLRADGHPGSHWPRIRDISDCLHWCLPGPVDLWNELLMVILNK >DRNTG_23332.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6156849:6158328:-1 gene:DRNTG_23332 transcript:DRNTG_23332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKIYKMDQWMLIKKSTICSFILPSLILCTVIVLHNLNKSNSFTSITPKQSLIKHNQSAIYQVTKDNSQHVSSNEEKACDLFVGKWIRDFRESNYNNWTCPTLPTLKNCLKHGKDSDYIYWRWKPDNCEFPRFDSSMFLRTVQRRKLAFIGDSLARNQMESLLCLLSQLETPVNKQRDADDKFQTWYFPSHDFTLMVMWTEFLVVGNERIVNGTASNAFDIHLDKVNGNWSDKLEGIHYAIISSGNWFFRTNYLYKGGNLIGCIYCRDSNLTDYGPVYAIKNALSTSLEFISKSKECEEMVTVLRTYTPSHFEHGSWFNGGYCNRTQPLSESEVMSLNGHAWRIRESQVEEFGKIVQSVEKKKKFVLLDVSKAMMLRADGHPGSHWPRIRDISDCLHWCLPGPVDLWNELLMVILNK >DRNTG_29278.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28641280:28643579:1 gene:DRNTG_29278 transcript:DRNTG_29278.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNLSTNVSVEGIDTSSILSEASKTVAKLIGKPEAYVMIVLKGSVPMSFGGTQEPAAYGELVSIGGLTPDVN >DRNTG_29278.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28641280:28643599:1 gene:DRNTG_29278 transcript:DRNTG_29278.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNLSTNVSVEGIDTSSILSEASKTVAKLIGKPEAYVMIVLKGSVPMSFGGTQEPAAYGELVSIGGLTPDVN >DRNTG_29278.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28641251:28643579:1 gene:DRNTG_29278 transcript:DRNTG_29278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNLSTNVSVEGIDTSSILSEASKTVAKLIGKPEAYVMIVLKGSVPMSFGGTQEPAAYGELVSIGGLTPDVN >DRNTG_26962.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30217744:30220934:-1 gene:DRNTG_26962 transcript:DRNTG_26962.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPYVGPENDTLWRIWVPDKKFILNEHFAVPKSYSGSIFREGGETPEIAPDVIYNTATELAPTNTSIARFNVTWQFDADAGSTYFLRLHFCDIVSNALFELYFDIYINTYMAVSDVDLSTINSNMLAAACYMDFVMTMTDSSSNLTVSIGPSNLPNVLPDGILNGLEILKMRSNGNVTVVSPPSSKADVGVIVGAVIGGALAVVIVVACVVCIMIRRKKAGKKQPSKTWSPLSINGIMSQSLGSGLSDGTNARMALNANFGFRFSISALQEATNNFDESCVIGVGGFGKVYKGVLKDDTEVAVKRGNPKSQQGLNEFHTEIELLSRLRHRHLVSLIGYCDERNEMILVYEYMENGTLKNHLYGSNLPALSWKQRLEVCIGSARGLHYLHTGQAKAVIHRDVKSANILLDKNLMAKVADFGLSKAGPELDQTHVSTAVKGSFGYLDPEYYRRQQLTDKSDVYSFGVVLLEVLCARPVIDPTLPREMVNLAEWAIKYQNRGELDQIVDSRIARTIRPDSLRKFGETVEKCLADCGVDRPAMGDVLWNLEYVLQLQEVDGGTEVNSINRISGLPTQMHHMSMFESETVHESGTSDSSDVSMSKVFSQLFQSQGR >DRNTG_26962.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30217744:30220934:-1 gene:DRNTG_26962 transcript:DRNTG_26962.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPYVGPENDTLWRIWVPDKKFILNEHFAVPKSYSGSIFREGGETPEIAPDVIYNTATELAPTNTSIARFNVTWQFDADAGSTYFLRLHFCDIVSNALFELYFDIYINTYMAVSDVDLSTINSNMLAAACYMDFVMTMTDSSSNLTVSIGPSNLPNVLPDGILNGLEILKMRSNGNVTVVSPPSSKADVGVIVGAVIGGALAVVIVVACVVCIMIRRKKAGKKQPSKTWSPLSINGIMSQSLGSGLSDGTNARMALNANFGFRFSISALQEATNNFDESCVIGVGGFGKVYKGVLKDDTEVAVKRGNPKSQQGLNEFHTEIELLSRLRHRHLVSLIGYCDERNEMILVYEYMENGTLKNHLYGSNLPALSWKQRLEVCIGSARGLHYLHTGQAKAVIHRDVKSANILLDKNLMAKVADFGLSKAGPELDQTHVSTAVKGSFGYLDPEYYRRQQLTDKSDVYSFGVVLLEVLCARPVIDPTLPREMVNLAEWAIKYQNRGELDQIVDSRIARTIRPDSLRKFGETVEKCLADCGVDRPAMGDVLWNLEYVLQLQEVDGGTEVNSINRISGLPTQMHHMSMFESETVHESGTSDSSDVSMSKVFSQLFQSQGR >DRNTG_26962.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30216797:30220934:-1 gene:DRNTG_26962 transcript:DRNTG_26962.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPYVGPENDTLWRIWVPDKKFILNEHFAVPKSYSGSIFREGGETPEIAPDVIYNTATELAPTNTSIARFNVTWQFDADAGSTYFLRLHFCDIVSNALFELYFDIYINTYMAVSDVDLSTINSNMLAAACYMDFVMTMTDSSSNLTVSIGPSNLPNVLPDGILNGLEILKMRSNGNVTVVSPPSSKADVGVIVGAVIGGALAVVIVVACVVCIMIRRKKAGKKQPSKTWSPLSINGIMSQSLGSGLSDGTNARMALNANFGFRFSISALQEATNNFDESCVIGVGGFGKVYKGVLKDDTEVAVKRGNPKSQQGLNEFHTEIELLSRLRHRHLVSLIGYCDERNEMILVYEYMENGTLKNHLYGSNLPALSWKQRLEVCIGSARGLHYLHTGQAKAVIHRDVKSANILLDKNLMAKVADFGLSKAGPELDQTHVSTAVKGSFGYLDPEYYRRQQLTDKSDVYSFGVVLLEVLCARPVIDPTLPREMVNLAEWAIKYQNRGELDQIVDSRIARTIRPDSLRKFGETVEKCLADCGVDRPAMGDVLWNLEYVLQLQEVDGGTEVNSINRISGLPTQMHHMSMFESETVHESGTSDSSDVSMSKVFSQLFQSQGR >DRNTG_28445.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3459360:3460958:-1 gene:DRNTG_28445 transcript:DRNTG_28445.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTQEEQTQTKPHILIVPYPAQGHMLPLLDFTHQLSLQNLTITILTTPKNLPLLSHLLSLSPSIQTLILPFPSHPSIPPNIENTKNLPSSLFIPMTHVLSLLYSPLFHWFKSTPNPPNFIISDFFLGWTQHLAEQLSIPRIVFSPSGAFTLSTLYSLWTSMPKNPNPDNPRFPTRFPIFPIPLRFPGTTSLPCSAASKKVTPFPTRFATGFSVTSRVGDSFSTRSTRSNHVIFNT >DRNTG_17605.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:9042527:9048033:-1 gene:DRNTG_17605 transcript:DRNTG_17605.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHDLTSRIAPHLDRHLVFPMLEFLQDRQLYDDGEILKAKIELLSQTNMVDYAMDIHKTLYHTEDVPQDMVDRRVEVVARLKSLEEAAAPLISFLQNATLVQELRPDKQYNLQMLQDRFQIGPDQIEALYQYAKFQFECGNYSGAADYLYQYRALCTNNDRGLSALWGKLAAEILMQNWDVALEELNHLKDIIDSKNFASPLNQLQNRIWLMHWSLFIFFNHENGRNGIIDLFFQDRYLNAIQTNAHHLLRYVATAVIVNKRRRNMLKELIKVIQQELHSYKDPITEFLESLYINYDFEGAQKKLKECEQVILNDPFLGKRVEDGNFATVPLRDEFLENARLFIFETYCRIHRCIDISLLAEELSMTYDDAERWIMNLVRSSKLDAKIDSVAGTVIMESTHLNVYEQIIESMKNLDMRTRLLAKNVLEPAQVIQTAR >DRNTG_12060.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6636324:6637373:1 gene:DRNTG_12060 transcript:DRNTG_12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMMSDELLGTFVPVFVYWFYSGLYVILDGKAMNKYRLHTRVEEDAKNLVSKPTVVKGVLIQQAFQIAVSLSLFTIISYDSGTVKPQPSLLVIVVQFLVAMFVMDTWQYFIHRYMHINKFLYKHVHSKHHTLVVPYAFGALYNHPLEGLLLDTVGGALSFLVTGMTPRTGIFFFSFATIKTVDDHCGVWLPGNILHLFFSNNSAYHDVHHQLYGSKYNFSQPFFVMWDKILGTYMPYSLETRKEGGFEARPIKKD >DRNTG_03005.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:6619165:6620647:1 gene:DRNTG_03005 transcript:DRNTG_03005.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWPIEKAKVVRAIWETTCKERLRGMLEEERKRAMRHFGVSEISKCKGYNVGWIRLDIWDRLVSDVWTTDAWKNRSINGKRNRMTEKDGSITKHTGGSIPFMVHAERMEKQLNRKPTYGELFNRTHKREKGQGDFVDHKSKNVCEAYTSSMSQKYGPDEANHPEFDPEVWCDAIGGQGTTRTHFYGFGITPRGKNFISTSINAGDASYSACSRPNHEREQTPVEIDNLREEVTLVKDRIINLEDKVEKQASDTADIKKYLEQMMEMFNPARMFTNASIGPSQPTSQDKDTGRPPL >DRNTG_03005.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:6615254:6620647:1 gene:DRNTG_03005 transcript:DRNTG_03005.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPIEKAKVVRAIWETTCKERLRGMLEEERKRAMRHFGVSEISKCKGYNVGWIRLDIWDRLVSDVWTTDAWKNRSINGKRNRMTEKDGSITKHTGGSIPFMVHAERMEKQLNRKPTYGELFNRTHKREKGQGDFVDHKSKNVCEAYTSSMSQKYGPDEANHPEFDPEVWCDAIGGQGTTRTHFYGFGITPRGKNFISTSINAGDASYSACSRPNHEREQTPVEIDNLREEVTLVKDRIINLEDKVEKQASDTADIKKYLEQMMEMFNPARMFTNASIGPSQPTSQDKDTGRPPL >DRNTG_03005.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:6615254:6620647:1 gene:DRNTG_03005 transcript:DRNTG_03005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFVDPKIVRTITKCIQLYFNDAWPTWKKVLEAIKDEMWAKFEGKFMWPIEKAKVVRAIWETTCKERLRGMLEEERKRAMRHFGVSEISKCKGYNVGWIRLDIWDRLVSDVWTTDAWKNRSINGKRNRMTEKDGSITKHTGGSIPFMVHAERMEKQLNRKPTYGELFNRTHKREKGQGDFVDHKSKNVCEAYTSSMSQKYGPDEANHPEFDPEVWCDAIGGQGTTRTHFYGFGITPRGKNFISTSINAGDASYSACSRPNHEREQTPVEIDNLREEVTLVKDRIINLEDKVEKQASDTADIKKYLEQMMEMFNPARMFTNASIGPSQPTSQDKDTGRPPL >DRNTG_03005.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:6618515:6620647:1 gene:DRNTG_03005 transcript:DRNTG_03005.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWAKFEGKFMWPIEKAKVVRAIWETTCKERLRGMLEEERKRAMRHFGVSEISKCKGYNVGWIRLDIWDRLVSDVWTTDAWKNRSINGKRNRMTEKDGSITKHTGGSIPFMVHAERMEKQLNRKPTYGELFNRTHKREKGQGDFVDHKSKNVCEAYTSSMSQKYGPDEANHPEFDPEVWCDAIGGQGTTRTHFYGFGITPRGKNFISTSINAGDASYSACSRPNHEREQTPVEIDNLREEVTLVKDRIINLEDKVEKQASDTADIKKYLEQMMEMFNPARMFTNASIGPSQPTSQDKDTGRPPL >DRNTG_03005.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:6615254:6618606:1 gene:DRNTG_03005 transcript:DRNTG_03005.3 gene_biotype:protein_coding transcript_biotype:protein_coding KNLGFFPSSFSQDSLIATAGPHLRRWRHCQISSGCSISSGLGGVLNVSKPAKGSLVVVFGLGAIGLAVSYPSPSLMPSNFGPNLSFQAQRLIKHIQNLRKVIYANTRL >DRNTG_03005.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:6615254:6616394:1 gene:DRNTG_03005 transcript:DRNTG_03005.6 gene_biotype:protein_coding transcript_biotype:protein_coding KNLGFFPSSFSQDSLIATAGPHLRRWRHCQISSGCSISSGLGGVLNVSKPAKGSLVVVFGLGAIGLAVLIYHFKHRG >DRNTG_03005.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:6615254:6616394:1 gene:DRNTG_03005 transcript:DRNTG_03005.7 gene_biotype:protein_coding transcript_biotype:protein_coding KNLGFFPSSFSQDSLIATAGPHLRRWRHCQISSGCSISSGLGGVLNVSKPAKGSLVVVFGLGAIGLAVSYPSPSLMPSNFGPNLSFQAQRLIKHIQNLRKVIYANTRL >DRNTG_33467.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4438532:4438988:-1 gene:DRNTG_33467 transcript:DRNTG_33467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTRKIGHFPSLLRQRRNDPPKTVIFFSRFVLVLSFDVKKQTSPALISNITTALLAESEKTRDKYTTETTTVTQKETMEGNGNGNIQAQTFTFRELASATKNFWLIVYLAKEDLEEFIKVNFKVPER >DRNTG_27012.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26914249:26915642:1 gene:DRNTG_27012 transcript:DRNTG_27012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKTKFFLLVVLLSMFLITCMAFPADFSIMGYSEDDLKSQESLIDLFESWMAKHSRIYSGIEEKLRRFEIFKANLKHIDEKNKMISNYWLGLNEFADLSHEEFKNKYLGLMKTKRDATSTFMYENAANLPKSVDWRKKGAVTHVKNQGACGA >DRNTG_27012.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26914249:26915642:1 gene:DRNTG_27012 transcript:DRNTG_27012.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKTKFFLLVVLLSMFLITCMAFPADFSIMGYSEDDLKSQESLIDLFESWMAKHSRIYSGIEEKLRRFEIFKANLKHIDEKNKMISNYWLGLNEFADLSHEEFKNKYLGLMKTKRDATSTFMYENAANLPK >DRNTG_27012.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26914249:26915642:1 gene:DRNTG_27012 transcript:DRNTG_27012.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYTGTLSLRTDNLEILFKLFMFVKAQCSFLIFEENSTTLSFLWLKIQVMDSSKTKFFLLVVLLSMFLITCMAFPADFSIMGYSEDDLKSQESLIDLFESWMAKHSRIYSGIEEKLRRFEIFKANLKHIDEKNKMISNYWLGLNEFADLSHEEFKNKYLGLMKTKRDATSTFMYENAANLPK >DRNTG_27012.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26914249:26915642:1 gene:DRNTG_27012 transcript:DRNTG_27012.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISNYWLGLNEFADLSHEEFKNKYLGLMKTKRDATSTFMYENAANLPKSVDWRKKGAVTHVKNQGACGA >DRNTG_33662.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2124504:2125649:1 gene:DRNTG_33662 transcript:DRNTG_33662.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYILLLSFLNHSLPKLVPAPRQLQKL >DRNTG_28860.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22204978:22208415:-1 gene:DRNTG_28860 transcript:DRNTG_28860.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASILLKTLNGFGAITSPPKSSPFRPNSTRISPISWRNSYSRFGFSVHSRNPLFDDAFSVGFGDVSRAFLASNVVSSGGSGGDWGFPVWDDVFVIFQWYLMALDEHPVLTDVITSAFLTLIGDIICQLVIEQASKLDLKRSLIFTTVGFVLVGPTLHFWYLSLSNLVTTTGASGAFLRVLLDQFLFTPIFIGVFLSLVIILEGRPSQVVPKLKQEWPSTLVANWQLWIPCQFLIFLFVPQQFQVLAANSVALVWNAILSFKANKEVVLK >DRNTG_28860.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22199590:22203176:-1 gene:DRNTG_28860 transcript:DRNTG_28860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASILLKTLIGFGAITPPPKSSSLHPNSTRISPISCRNSYSRFGFSVHSSNSLFDAAFSVGFGAMRRGFWASNAVSNGGSGGDGGFRGWDGSGGSSGGDEGGDDVSSIFQWYSMALDKHPVLTKSITSALLTLIGDLICQLMIEQASKLDLKRSLIFTTLGFVLVGPTLHFWYLSLSNLVTTTGASGAFLRLLLDQFLFSPIFIGVFLSLVVILEGRPSQVVPKLKQEWPSSVVANWQLWIPFQFLNFRFVPQQFQVLVANFVALAWNVILSFKAHREVVLK >DRNTG_28860.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22193370:22203176:-1 gene:DRNTG_28860 transcript:DRNTG_28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILLKTLIGFGAITPPPKSSSLHPNSTRISPISCRNSYSRFGFSVHSSNSLFDAAFSVGFGAMRRGFWASNAVSNGGSGGDGGFRGWDGSGGSSGGDEGGDDVSSIFQWYSMALDKHPVLTKSITSALLTLIGDLICQLMIEQASKLDLKRSLIFTTLGFVLVGPTLHFWYLSLSKLVTTTGSSGAFVCLLLDQFLFSPIFIGVFLSLVVILEGRPSQVVPKLKQEWPSSMVANWQLWIPFQFLIFRFVPQQFQVLATDFVALAWNAILSFKAHREVILK >DRNTG_28860.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22193370:22197499:-1 gene:DRNTG_28860 transcript:DRNTG_28860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVANWQLWIPFQFLIFRFVPQQFQVLATDFVALAWNAILSFKAHREVILK >DRNTG_28860.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22195054:22197499:-1 gene:DRNTG_28860 transcript:DRNTG_28860.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASILFKTLIGFGAITPPPKSSPFHPNSTRNAPISCRKSYSQVGFSVHSRNPLFDAAFSVGFGAMRRGFWASNAVSSGGSGGDGGVRGWDGNEGFEWRR >DRNTG_28860.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22199590:22208415:-1 gene:DRNTG_28860 transcript:DRNTG_28860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASILLKTLNGFGAITSPPKSSPFRPNSTRISPISWRNSYSRFGFSVHSRNPLFDDAFSVGFGDVSRAFLASNVVSSGGSGGDWGFPVWDDVFVIFQWYLMALDEHPVLTDVITSAFLTLIGDIICQLVIEQASKLDLKRSLIFTTVGFVLVGPTLHFWYLSLSNLVTTTGASGAFLRLLLDQFLFSPIFIGVFLSLVVILEGRPSQVVPKLKQEWPSSVVANWQLWIPFQFLNFRFVPQQFQVLVANFVALAWNVILSFKAHREVVLK >DRNTG_28860.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22195054:22197563:-1 gene:DRNTG_28860 transcript:DRNTG_28860.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASILFKTLIGFGAITPPPKSSPFHPNSTRNAPISCRKSYSQVGFSVHSRNPLFDAAFSVGFGAMRRGFWASNAVSSGGSGGDGGVRGWDGNEGFEWRR >DRNTG_11144.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30361218:30364039:1 gene:DRNTG_11144 transcript:DRNTG_11144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKPITSPVPVEWYPSLSVLMLSIGLVLTASFFIYEATSSKRSRSLAKEITIGAFSSFFLGFGSLFLLLATGVYV >DRNTG_25823.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1046373:1050523:1 gene:DRNTG_25823 transcript:DRNTG_25823.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGIRMHVAEKGEGPVVLLLHGFPELWYSWRHQIHGLAALGYHAVAPDFRGYGDTEAPPNPSSYSIFHIVADLISLIDSLSQDQVFVVGHDWGAMVAWDLCTLKPDKVKALVNLSVMYSPRNPNQKPLDFYMNVFGEDHYICRFQEHGVAEAEFARVGTRLLLKKLFSYHQPAPHVFPKGKALGGSPHKDFELPPWLSEEDIQYYATKFEKSGFTGALNYYRCMNLNWELMAPWTRFPIKLPVKFIVGDLDLTYQIPGVKNYIHKGHYKRDVPFLQDLVIMEGVGHFINQVKPNEITNHIYEFISKF >DRNTG_29467.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:818897:820743:1 gene:DRNTG_29467 transcript:DRNTG_29467.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLIICRYFSFLLAIFFFFFVSGCYPRVPSFSFSIGRFHESNFSADSEISLSGNAHVSSDGSSIQMTGARILYGKPIKFQGQKPAFSTNFTFSFPSPSHDLVFFVTPYPSPSSSHVPDGPRDGRNGDALFLLTALTITFQMVPLESKSQYHVQIVVASQAYTESTNFSYVNLVLDDVGEKLQSWIDYDGDFKRVQVWVAKSGELRPLNSSVSSCPIDLSDMLQRDVMFVGIDNNINSSSGGFALPTCLYSWSFTSINGAPYMMHSEPLNPQSVLVRSKDGNGNGNGTFIQLRRTSGKWRLVTAVVLSFAFGALVMLTLMLIRAAIVDKQQVAPLEDVHSIEFGYEKVLVLEDAKGVKGGGEASQ >DRNTG_29467.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:818885:820921:1 gene:DRNTG_29467 transcript:DRNTG_29467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLIICRYFSFLLAIFFFFFVSGCYPRVPSFSFSIGRFHESNFSADSEISLSGNAHVSSDGSSIQMTGARILYGKPIKFQGQKPAFSTNFTFSFPSPSHDLVFFVTPYPSPSSSHVPDGPRDGRNGDALFLLTALTITFQMVPLESKSQYHVQIVVASQAYTESTNFSYVNLVLDDVGEKLQSWIDYDGDFKRVQVWVAKSGELRPLNSSVSSCPIDLSDMLQRDVMFVGIDNNINSSSGGFALPTCLYSWSFTSINGAPYMMHSEPLNPQSVLVRSKDGNGNGNGTFIQLRRTSGKWRLVTAVVLSFAFGALVMLTLMLIRAAIVDKQQVAPLEDVHSIEFGYEKVLVLEDAKGVKGGGEASQ >DRNTG_29467.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:818885:820743:1 gene:DRNTG_29467 transcript:DRNTG_29467.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLIICRYFSFLLAIFFFFFVSGCYPRVPSFSFSIGRFHESNFSADSEISLSGNAHVSSDGSSIQMTGARILYGKPIKFQGQKPAFSTNFTFSFPSPSHDLVFFVTPYPSPSSSHVPDGPRDGRNGDALFLLTALTITFQMVPLESKSQYHVQIVVASQAYTESTNFSYVNLVLDDVGEKLQSWIDYDGDFKRVQVWVAKSGELRPLNSSVSSCPIDLSDMLQRDVMFVGIDNNINSSSGGFALPTCLYSWSFTSINGAPYMMHSEPLNPQSVLVRSKDGNGNGNGTFIQLRRTSGKWRLVTAVVLSFAFGALVMLTLMLIRAAIVDKQQVAPLEDVHSIEFGYEKVLVLEDAKGVKGGGEASQ >DRNTG_29467.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:818897:820612:1 gene:DRNTG_29467 transcript:DRNTG_29467.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLIICRYFSFLLAIFFFFFVSGCYPRVPSFSFSIGRFHESNFSADSEISLSGNAHVSSDGSSIQMTGARILYGKPIKFQGQKPAFSTNFTFSFPSPSHDLVFFVTPYPSPSSSHVPDGPRDGRNGDALFLLTALTITFQMVPLESKSQYHVQIVVASQAYTESTNFSYVNLVLDDVGEKLQSWIDYDGDFKRVQVWVAKSGELRPLNSSVSSCPIDLSDMLQRDVMFVGIDNNINSSSGGFALPTCLYSWSFTSINGAPYMMHSEPLNPQSVLVRSKDGNGNGNGTFIQLRRTSGKWRLVTAVVLSFAFGALVMLTLMLIRAAIVDKQQVAPLEDVHSIEFGYEKVLVLEDAKGVKGGGEASQ >DRNTG_08188.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6830523:6832480:1 gene:DRNTG_08188 transcript:DRNTG_08188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSERLGVASRKQQKYTHTSGSKSFARKEKEMEVHNGRKVGRLEFFKSTHTKKDGSHMNVETEQIMVKANEKLAECETVDEDMQIVETKILTQVIGKERCGTSTASSTQSSEFVEQFNQMEQQIQQLKEEREQERAQREEERAQECARYNALLGFLQTRFPEVTIPGVDPAGSTSQSQNQPSGDA >DRNTG_08389.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4585561:4598124:1 gene:DRNTG_08389 transcript:DRNTG_08389.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKELFSGLHGTNSCPGGEVTGSNGSPPPPCIPRGPAVSSSSITKTATRMIVAVNACPGSSNFDILMTSQDPPSETEFGPWMLVACRDLSLNASFVIAITGKATAGADDDVPTQASSQSGLPITDKSEALHQENADLHITKNDLAKHLSKICNSRAIRDTTTSSMDCLPLAIDESRRPHIKLVNGINSLYGMSQCYLLLRCHGNVKLWNGPGSGISQHTGASISHASHADRLRSRLGRDPRPFELFELTYTKKGTSMLVDVRAQSIKDRYLELVKQASETQERHDELPVVHETALYYEAVGEGKKSQAEVRDLCQTLTQVQVHEERLQQTLTQVQDQERDQYREEIMRQMKDMMISFEKKILQQSQFATQDSQPFTDDHDVDLHDL >DRNTG_21544.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:270563:275147:-1 gene:DRNTG_21544 transcript:DRNTG_21544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGHQAPPSRFPPWSLVSRHPAPILRHPPPISSSSSSLSPFHGRRELGNSNDGEPKPSPLRGLGRREDQV >DRNTG_21544.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:271567:275147:-1 gene:DRNTG_21544 transcript:DRNTG_21544.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKQDQPPSTMVHPNMSHLDQHKVRGKRLQL >DRNTG_26890.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21405094:21408158:-1 gene:DRNTG_26890 transcript:DRNTG_26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPAVLESVKDLAKENGSSSQDGTTGSGLADSLKKRLQELVNSHPVFLFMKGSPEEPKCGFSRKVVDILKDLGVQFGSFDILTDNEVREGLKKFSNWPTFPQLFCKGELIGGCDIVVAMHENGELKDVFRDHGISDGANAGESIVAPALPDVPQPRRGGGIAEPTSLSTALTSKLESLINSSPVVLFMKGAPEEPKCGFSRKVVDILKQEKIEFGSFDILSDDEVRQALKVFSNWSSYPQLYIKGELIGGSDIALEMHKSGELKNLLAEKGIAAKETLEDKLKTIISSSQTMLFMKGTPDAPRCGFSSKVVDALRKEDISFGSFDILTNEEVRQGLKTYSNWPTFPQLYYKGELIGGCDIILELQKSGELKSTLSE >DRNTG_02109.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10757183:10758436:1 gene:DRNTG_02109 transcript:DRNTG_02109.8 gene_biotype:protein_coding transcript_biotype:protein_coding MWKGIADEVHDRKGEGSGRKGTKNKRWRHIESRFFIRFMATQVEKGLKGNKSFKRQSIFAAARALKEEFGEEVSEANIHNHLRTIRRRWARIKHLKELSGVEWDTKTKTILMGNEEYKIYIKAHSQDEPYINKPIDDYDSLETICGNDQVAGHLTVQVGDPIGVDIEDNDDPTDATPRNNGFDDVNSEEPSVSSSSSPPHFSETDHSQSQTSTHRKGRKSGGRRARNAYADALYEVAKSIRELASALKTNKSISFATELAKECMKFKTYGYSNWEIARAYDYLMANDSKALAFYGKNDELRKLWVEDFIGLPKHRI >DRNTG_02109.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10749116:10758436:1 gene:DRNTG_02109 transcript:DRNTG_02109.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGEGSGRKGTKNKRWRHIESRFFIRFMATQVEKGLKGNKSFKRQSIFAAARALKEEFGEEVSEANIHNHLRTIRRRWARIKHLKELSGVEWDTKTKTILMGNEEYKIYIKAHSQDEPYINKPIDDYDSLETICGNDQVAGHLTVQVGDPIGVDIEDNDDPTDATPRNNGFDDVNSEEPSVSSSSSPPHFSETDHSQSQTSTHRKGRKSGGRRARNAYADALYEVAKSIRELASALKTNKSISFATELAKECMKFKTYGYSNWEIARAYDYLMANDSKALAFYGKNDELRKLWVEDFIGLPKHRI >DRNTG_02109.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10748732:10758436:1 gene:DRNTG_02109 transcript:DRNTG_02109.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGEGSGRKGTKNKRWRHIESRFFIRFMATQVEKGLKGNKSFKRQSIFAAARALKEEFGEEVSEANIHNHLRTIRRRWARIKHLKELSGVEWDTKTKTILMGNEEYKIYIKAHSQDEPYINKPIDDYDSLETICGNDQVAGHLTVQVGDPIGVDIEDNDDPTDATPRNNGFDDVNSEEPSVSSSSSPPHFSETDHSQSQTSTHRKGRKSGGRRARNAYADALYEVAKSIRELASALKTNKSISFATELAKECMKFKTYGYSNWEIARAYDYLMANDSKALAFYGKNDELRKLWVEDFIGLPKHRI >DRNTG_02109.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10752213:10758436:1 gene:DRNTG_02109 transcript:DRNTG_02109.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGEGSGRKGTKNKRWRHIESRFFIRFMATQVEKGLKGNKSFKRQSIFAAARALKEEFGEEVSEANIHNHLRTIRRRWARIKHLKELSGVEWDTKTKTILMGNEEYKIYIKAHSQDEPYINKPIDDYDSLETICGNDQVAGHLTVQVGDPIGVDIEDNDDPTDATPRNNGFDDVNSEEPSVSSSSSPPHFSETDHSQSQTSTHRKGRKSGGRRARNAYADALYEVAKSIRELASALKTNKSISFATELAKECMKFKTYGYSNWEIARAYDYLMANDSKALAFYGKNDELRKLWVEDFIGLPKHRI >DRNTG_02109.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10757729:10758529:1 gene:DRNTG_02109 transcript:DRNTG_02109.9 gene_biotype:protein_coding transcript_biotype:protein_coding AHSQDEPYINKPIDDYDSLETICGNDQVAGHLTVQVGDPIGVDIEDNDDPTDATPRNNGFDDVNSEEPSVSSSSSPPHFSETDHSQSQTSTHRKGRKSGGRRARNAYADALYEVAKSIRELASALKTNKSISFATELAKECMKFKTYGYSNWEIARAYDYLMANDSKALAFYGKNDELRKLWVEDFIGLPKHRI >DRNTG_02109.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10748732:10758436:1 gene:DRNTG_02109 transcript:DRNTG_02109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGEGSGRKGTKNKRWRHIESRFFIRFMATQVEKGLKGNKSFKRQSIFAAARALKEEFGEEVSEANIHNHLRTIRRRWARIKHLKELSGVEWDTKTKTILMGNEEYKIYIKAHSQDEPYINKPIDDYDSLETICGNDQVAGHLTVQVGDPIGVDIEDNDDPTDATPRNNGFDDVNSEEPSVSSSSSPPHFSETDHSQSQTSTHRKGRKSGGRRARNAYADALYEVAKSIRELASALKTNKSISFATELAKECMKFKTYGYSNWEIARAYDYLMANDSKALAFYGKNDELRKLWVEDFIGLPKHRI >DRNTG_02109.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10748732:10758436:1 gene:DRNTG_02109 transcript:DRNTG_02109.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGEGSGRKGTKNKRWRHIESRFFIRFMATQVEKGLKGNKSFKRQSIFAAARALKEEFGEEVSEANIHNHLRTIRRRWARIKHLKELSGVEWDTKTKTILMGNEEYKIYIKAHSQDEPYINKPIDDYDSLETICGNDQVAGHLTVQVGDPIGVDIEDNDDPTDATPRNNGFDDVNSEEPSVSSSSSPPHFSETDHSQSQTSTHRKGRKSGGRRARNAYADALYEVAKSIRELASALKTNKSISFATELAKECMKFKTYGYSNWEIARAYDYLMANDSKALAFYGKNDELRKLWVEDFIGLPKHRI >DRNTG_02109.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10752049:10758436:1 gene:DRNTG_02109 transcript:DRNTG_02109.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGEGSGRKGTKNKRWRHIESRFFIRFMATQVEKGLKGNKSFKRQSIFAAARALKEEFGEEVSEANIHNHLRTIRRRWARIKHLKELSGVEWDTKTKTILMGNEEYKIYIKAHSQDEPYINKPIDDYDSLETICGNDQVAGHLTVQVGDPIGVDIEDNDDPTDATPRNNGFDDVNSEEPSVSSSSSPPHFSETDHSQSQTSTHRKGRKSGGRRARNAYADALYEVAKSIRELASALKTNKSISFATELAKECMKFKTYGYSNWEIARAYDYLMANDSKALAFYGKNDELRKLWVEDFIGLPKHRI >DRNTG_02109.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10752213:10758436:1 gene:DRNTG_02109 transcript:DRNTG_02109.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATQVEKGLKGNKSFKRQSIFAAARALKEEFGEEVSEANIHNHLRTIRRRWARIKHLKELSGVEWDTKTKTILMGNEEYKIYIKAHSQDEPYINKPIDDYDSLETICGNDQVAGHLTVQVGDPIGVDIEDNDDPTDATPRNNGFDDVNSEEPSVSSSSSPPHFSETDHSQSQTSTHRKGRKSGGRRARNAYADALYEVAKSIRELASALKTNKSISFATELAKECMKFKTYGYSNWEIARAYDYLMANDSKALAFYGKNDELRKLWVEDFIGLPKHRI >DRNTG_14591.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:16125875:16139663:1 gene:DRNTG_14591 transcript:DRNTG_14591.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPDLSPFFSTLSPSFTHHWRRLQLRFGEALERLWRGLTVFNNAFPLEDSHLGTFCRHRSGEVCPRAGIGRLHGRGCSTRPCRIST >DRNTG_29216.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3229039:3231942:1 gene:DRNTG_29216 transcript:DRNTG_29216.3 gene_biotype:protein_coding transcript_biotype:protein_coding MECEFRHSDVARLNPRGCRYWLSGNCLNLKCPFRHPPLLDGPPSPVPQVAAPVQALALQTSAYNSNKQSVPCYYFQKGHCLKADRCPFMHWAQPTGNPPSQLSTKASTTSTDSSQKIKDSWAVKECTSQSNTSKINVDRPVELSSSSANLVLKPEATANGQAMARNFPHHPSADIIPRPQVTKFPNRQPENVHPRSRVSKFTIHPSDNVHPTAQPTKVLVGSSVDRSRPRNFHSHPATEQLKKSGESTQFLEKSSPAFNFLGENNAEDSGHFDNNDDHVRKLVQGRRKLDHVDGFSYQHRDHKPAMEFDGDQLKRMREYTQHERQSDTCGRDQQNSSERIVDQTYTNDRRVLQRKDGAEEMDSSDLRHRLLKQRKLNSSRTAVCADYRGEPHQRNDHYAEEGYRQHNLQGDLPDYHLQSSISNRLRGRITNPMRSSPDRSIDVRPERERERRQLQSRLSPVRPLSHQGRHHDGTKRKLDEISALDGRSFGDKSVRIEAADPLDFAGPKSLAELKGAKVSEGAQGRQPLKRTNASASREHKDRNLEKLAGHQEPEASLSFEGPKPLSTILKRKRETASENGASSSSRDEHNQSDQEVLNGNSVTMVIPNMQSDPVHNSGEHGGKMVDSDYEEEEEDEVGQICADSGELIDGQYSAKEMPESLGVMGENIEEQSQENSDHGESIKAAGFKTEDDEDDTYQDADDVEDMDDEDDFARKVGLMFS >DRNTG_29216.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3227122:3231942:1 gene:DRNTG_29216 transcript:DRNTG_29216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDGGAGRPLAAEEEEVLKRNTDCVYFLASPLTCKKGMECEFRHSDVARLNPRGCRYWLSGNCLNLKCPFRHPPLLDGPPSPVPQVAAPVQALALQTSAYNSNKQSVPCYYFQKGHCLKADRCPFMHWAQPTGNPPSQLSTKASTTSTDSSQKIKDSWAVKECTSQSNTSKINVDRPVELSSSSANLVLKPEATANGQAMARNFPHHPSADIIPRPQVTKFPNRQPENVHPRSRVSKFTIHPSDNVHPTAQPTKVLVGSSVDRSRPRNFHSHPATEQLKKSGESTQFLEKSSPAFNFLGENNAEDSGHFDNNDDHVRKLVQGRRKLDHVDGFSYQHRDHKPAMEFDGDQLKRMREYTQHERQSDTCGRDQQNSSERIVDQTYTNDRRVLQRKDGAEEMDSSDLRHRLLKQRKLNSSRTAVCADYRGEPHQRNDHYAEEGYRQHNLQGDLPDYHLQSSISNRLRGRITNPMRSSPDRSIDVRPERERERRQLQSRLSPVRPLSHQGRHHDGTKRKLDEISALDGRSFGDKSVRIEAADPLDFAGPKSLAELKGAKVSEGAQGRQPLKRTNASASREHKDRNLEKLAGHQEPEASLSFEGPKPLSTILKRKRETASENGASSSSRDEHNQSDQEVLNGNSVTMVIPNMQSDPVHNSGEHGGKMVDSDYEEEEEDEVGQICADSGELIDGQYSAKEMPESLGVMGENIEEQSQENSDHGESIKAAGFKTEDDEDDTYQDADDVEDMDDEDDFARKVGLMFS >DRNTG_29216.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3227122:3231942:1 gene:DRNTG_29216 transcript:DRNTG_29216.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGVFEVDVKKSRSCYSLSDQCSCLYLGMECEFRHSDVARLNPRGCRYWLSGNCLNLKCPFRHPPLLDGPPSPVPQVAAPVQALALQTSAYNSNKQSVPCYYFQKGHCLKADRCPFMHWAQPTGNPPSQLSTKASTTSTDSSQKIKDSWAVKECTSQSNTSKINVDRPVELSSSSANLVLKPEATANGQAMARNFPHHPSADIIPRPQVTKFPNRQPENVHPRSRVSKFTIHPSDNVHPTAQPTKVLVGSSVDRSRPRNFHSHPATEQLKKSGESTQFLEKSSPAFNFLGENNAEDSGHFDNNDDHVRKLVQGRRKLDHVDGFSYQHRDHKPAMEFDGDQLKRMREYTQHERQSDTCGRDQQNSSERIVDQTYTNDRRVLQRKDGAEEMDSSDLRHRLLKQRKLNSSRTAVCADYRGEPHQRNDHYAEEGYRQHNLQGDLPDYHLQSSISNRLRGRITNPMRSSPDRSIDVRPERERERRQLQSRLSPVRPLSHQGRHHDGTKRKLDEISALDGRSFGDKSVRIEAADPLDFAGPKSLAELKGAKVSEGAQGRQPLKRTNASASREHKDRNLEKLAGHQEPEASLSFEGPKPLSTILKRKRETASENGASSSSRDEHNQSDQEVLNGNSVTMVIPNMQSDPVHNSGEHGGKMVDSDYEEEEEDEVGQICADSGELIDGQYSAKEMPESLGVMGENIEEQSQENSDHGESIKAAGFKTEDDEDDTYQDADDVEDMDDEDDFARKVGLMFS >DRNTG_14610.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15948589:15950954:1 gene:DRNTG_14610 transcript:DRNTG_14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLKRALTGLGGPNPRMWQNTGMARGRALNGLGWPPFRLTNPRETPNTGRLRLYRQTDWVLRSKVERETAHL >DRNTG_32008.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001772.1:10206:11724:-1 gene:DRNTG_32008 transcript:DRNTG_32008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQSFHKLSFDQHEIVEALIDKNIVGHGGSGTVYKIELSNGEWVAVKLWTRKAKDGSSDRLSFDRELRSEVETLGSIRHKNIVKLYCCFSSLDSNLLVYEYMPNGNLWDALHTEWNFLDWPTRHRIALGIAQGLAYLHHDLLFPIVHRDIKTSNILLDASFEPKVADFGIAKVLQARGHDYDSTTTVIAGTYGYLAPEYAYTFKATTKCDVYSFGVVLMELITGKSRASQSSAKTETSFIGCQVRLQTKKGNRGVRQEIILEPFQG >DRNTG_20129.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:240153:242262:1 gene:DRNTG_20129 transcript:DRNTG_20129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFGRLPEECILHVLSRTSPEDVCRAAMVCPVFRSAANFDFLWEKFLPFDVDDILSRAVDRIEYSSKKELYFNLCDPIFIDDGKMSFCLEKSSGRKCYMLSPKLLRLEGFEWISLRDSRFSKVAELVDGPWLEIYGTLETKILSRETTYGVHLVFSIVERSRGLDRFHKATVKLGGNVSHRVGRFRGRKRKQPSSNGSNLAAVEVMEPHRRPDGWMEIEIGEFYTRDGDDGEVKMCVVDNNARHISGLIVHGIDIRPKC >DRNTG_09697.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000404.1:77139:77792:-1 gene:DRNTG_09697 transcript:DRNTG_09697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIVGKYCLGRMIGEGAFAKVKLAVDVHTNRQVADNGVCFRRAAHRQNGK >DRNTG_02298.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:786039:786739:1 gene:DRNTG_02298 transcript:DRNTG_02298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKYNQNVGTVVVNEKKYHLKQMHWHSPAEHTIDGVRHPVELHLVHFNDDGNITVVSILYKYGNEDELLLKIKDKVDELAKDKNATHIPVGVINTK >DRNTG_07505.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27071509:27073993:1 gene:DRNTG_07505 transcript:DRNTG_07505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKVKLFGSYASPFSSRVELALKLKGVPFENIEEDLANKSALLVQYNPVHKKIPVLLHGGRPVIESLIILEYIDETWDGNHAILPKDPFERALARFWVKFIDDKSLKTSQRPVVYLATLKAESVANVHIIRLTCLVHKPSSPDWHHLRLPPVSNRSRGKRAHSCSSSLYRSSHWNEDEEDKFHLKSLLDVNKHYNHVDNIAGVVLGALWMSCWSEGEKQKNFMEQSKEYLELLENELKGKKFFGGDTIGIVDIAATFIALWAIMVFKKLQY >DRNTG_20494.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2649288:2653758:1 gene:DRNTG_20494 transcript:DRNTG_20494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLWISKVKDGQHLMEDELQLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPETNYIFMGDFVDRGYNSLEVFTILLLLKARYPSNITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDIRSIDQMRVIDRNCEIPHEGPFCDLMWSDPEEIETWAVSPRGAGWLFGSRVTSEFNHINKLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNENMEREVKFFTETEENNQMRGPRTGVPYFL >DRNTG_15858.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25251341:25254242:1 gene:DRNTG_15858 transcript:DRNTG_15858.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKLDAKSDPKAASGGGNTTQPSAVEEPINDPMGEEDHQEESMDGEGEEEEEEEEEEPQDHQTLETPDSDNEGDDADAEECDPAAIQKLLEPFPKDQLVELLREAAVNHPDVLSSIYRLADADPAHRKIFVHGLGWETTAETLISAFKQYGEIEDCKAVIDKVSGKSKGYGFILFKHRAGAQRALKEPQKKIGHRTAACQLASAGPPSASTQQQAPSVSEYTQRKIYVSNVGADLEPQKLLQFFSSFGEIEDGPLGLDKASGKPKGFCLFVYRTVEGARKALQEPHKNFEGIILHCQKAIDGPKPGKPVFPSGGGHHGGFHHGGASHGPQFSRTGSASSVGHLMAPPATGLSFNQGNPQAALAAGGLNPALGQALTALIATQGGGLGGLTNLLGSLGSAGIGNQGAGASLGAGGGHGMLGAYGNQPATGGNLNAGVLGGYGAHGHMQGGYGNAGAGGTGRNQPGAGHMGGPYH >DRNTG_15858.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25251341:25254242:1 gene:DRNTG_15858 transcript:DRNTG_15858.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKLDAKSDPKAASGGGNTTQPSAVEEPINDPMGEEDHQEESMDGEGEEEEEEEEEEPQDHQTLETPDSDNEGDDADAEECDPAAIQKLLEPFPKDQLVELLREAAVNHPDVLSSIYRLADADPAHRKIFVHGLGWETTAETLISAFKQYGEIEDCKAVIDKVSGKSKGYGFILFKHRAGAQRALKEPQKKIGHRTAACQLASAGPPSASTQQQAPSVSEYTQRKIYVSNVGADLEPQKLLQFFSSFGEIEDGPLGLDKASGKPKGFCLFVYRTVEGARKALQEPHKNFEGIILHCQKAIDGPKPGKPVFPSGGGHHGGFHHGGASHGPQFSRTGSASSVGHLMAPPATGLSFNQGNPQAALAAGGLNPALGQALTALIATQGGGLGGLTNLLGSLGSAGIGNQGAGASLGAGGGHGMLGAYGNQPATGGNLNAGVLGGYGAHGHMQGGYGNAGAGGTGRNQPGAGHMGGPYH >DRNTG_15858.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25251341:25256860:1 gene:DRNTG_15858 transcript:DRNTG_15858.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKLDAKSDPKAASGGGNTTQPSAVEEPINDPMGEEDHQEESMDGEGEEEEEEEEEEPQDHQTLETPDSDNEGDDADAEECDPAAIQKLLEPFPKDQLVELLREAAVNHPDVLSSIYRLADADPAHRKIFVHGLGWETTAETLISAFKQYGEIEDCKAVIDKVSGKSKGYGFILFKHRAGAQRALKEPQKKIGHRTAACQLASAGPPSASTQQQAPSVSEYTQRKIYVSNVGADLEPQKLLQFFSSFGEIEDGPLGLDKASGKPKGFCLFVYRTVEGARKALQEPHKNFEGIILHCQKAIDGPKPGKPVFPSGGGHHGGFHHGGASHGPQFSRTGSASSVGHLMAPPATGLSFNQGNPQAALAAGGLNPALGQALTALIATQGGGLGGLTNLLGSLGSAGIGNQGAGASLGAGGGHGMLGAYGNQPATGGNLNAGVLGGYGAHGHMQGGYGNAGAGGTGRNQPGAGHMGGPYH >DRNTG_15858.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25251341:25256860:1 gene:DRNTG_15858 transcript:DRNTG_15858.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKLDAKSDPKAASGGGNTTQPSAVEEPINDPMGEEDHQEESMDGEGEEEEEEEEEEPQDHQTLETPDSDNEGDDADAEECDPAAIQKLLEPFPKDQLVELLREAAVNHPDVLSSIYRLADADPAHRKIFVHGLGWETTAETLISAFKQYGEIEDCKAVIDKVSGKSKGYGFILFKHRAGAQRALKEPQKKIGHRTAACQLASAGPPSASTQQQAPSVSEYTQRKIYVSNVGADLEPQKLLQFFSSFGEIEDGPLGLDKASGKPKGFCLFVYRTVEGARKALQEPHKNFEGIILHCQKAIDGPKPGKPVFPSGGGHHGGFHHGGASHGPQFSRTGSASSVGHLMAPPATGLSFNQGNPQAALAAGGLNPALGQALTALIATQGGGLGGLTNLLGSLGSAGIGNQGAGASLGAGGGHGMLGAYGNQPATGGNLNAGVLGGYGAHGHMQGGYGNAGAGGTGRNQPGAGHMGGPYH >DRNTG_15858.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25251341:25256860:1 gene:DRNTG_15858 transcript:DRNTG_15858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKLDAKSDPKAASGGGNTTQPSAVEEPINDPMGEEDHQEESMDGEGEEEEEEEEEEPQDHQTLETPDSDNEGDDADAEECDPAAIQKLLEPFPKDQLVELLREAAVNHPDVLSSIYRLADADPAHRKIFVHGLGWETTAETLISAFKQYGEIEDCKAVIDKVSGKSKGYGFILFKHRAGAQRALKEPQKKIGHRTAACQLASAGPPSASTQQQAPSVSEYTQRKIYVSNVGADLEPQKLLQFFSSFGEIEDGPLGLDKASGKPKGFCLFVYRTVEGARKALQEPHKNFEGIILHCQKAIDGPKPGKPVFPSGGGHHGGFHHGGASHGPQFSRTGSASSVGHLMAPPATGLSFNQGNPQAALAAGGLNPALGQALTALIATQGGGLGGLTNLLGSLGSAGIGNQGAGASLGAGGGHGMLGAYGNQPATGGNLNAGVLGGYGAHGHMQGGYGNAGAGGTGRNQPGAGHMGGPYH >DRNTG_33218.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:438529:443887:1 gene:DRNTG_33218 transcript:DRNTG_33218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIEVIAQSIGISNLSSDVAQALSPDVEYRLREIMQEAIKCMRHSKRTVLTADDVDSALNLRNVEPVYGLASADPLRFKRAVGHKDLFYIDDKDVDFKEVIEAPLPKAPLDTTIVVHWLAIEGVQPAIPENAPVEALVPPSENKRSEHNKDDGLPVDIKLPVKHVLSRELQLYFEKITELTVTKYDSDLFKEALRSLAADSGLHPLVPYFSYFIADEVTRSLNNLPVLFALMRVVRSLLQNPHIHIEPYLHQLMPSMITCLVAKRLGNRLADNHWELRDFSANLAASVCRRFGHVYHNLQSRLTKTLLHAFLDPSKAMTQHYGAIQGLAALGPSVVRLLILPNLEPYLQLLEPEMLLEKQKNEMKRKEAWRVYGALLRAAGKCLYDRMKLFPGLLTPPTRPFSRSNGRIATSSLPNKRKLSGSQPIQQPPLKKLAVDGPSGPMPSVPITANTQVGAEGFPSISGDSGLGASSSSGQVSNESTSGGSQRDKGEDRATMMSSALTQAWKEDVDAGHLLASLFELFGEAIFSFTQPVEMSMFL >DRNTG_31064.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30698869:30701768:-1 gene:DRNTG_31064 transcript:DRNTG_31064.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRASAFVDEHVGASDTGADFPAIDEGHFVFEDKNLIYSESDQMQHDFEAQVGEESPSDYGATVLWKHVVKGKKCGNAHGGSHVFKCKYCEKIYHGTYTRVYAHLMGHKKGESKGIGYCAVVKGDKNLQMLLQREVEQAESSPSSAPLKKSKLTASFGMTSNGPSLALSYVGPSEKDYQMHDGNDVDSKVMRCLCANGIPFSVLRSPYWDEMITAINRTPGYKSPSCERAQTVLLENERHRVEREFDDFKQKWTQYGISIISNAQADVKNQSLINILASNQFGSLFLHAFEYMVLDKSPRSISDYMLKVLEMVGPHNVVQLITENTANFRAVSDEVMKTYSHIFWTPCMVNILHLILKDLIHSSDNNSLSWLERTCSTAKGLVKYIVDHPQAAEIFQTYPKLELLKVATTSWAHYITLRLLLDVKDAIRAAVLSDQWIVWTSTSPLDAKQKLTDQTVKAAIMSDSFWQALQLALCIIKPVYKMVKFTDQDGPLIGEVCERMDNMLGEIKDSLQGQEEVFAAVQTVVGEKWNKMNMPLQCLAHALNPKYYDEEYLQLPGPGGTERRKPDLDDHIFDRAQLAIQKMYPNPEIQDTVRVQFMSFMEKKGRFSSPTAKRDAKNPKINVLQWWKFHGGDTKELRDLAFRVLAQPISTCSVERPWTNYNFICNAKQNGLNANHADDLAYVHSNLRLLSRYNSDYKHGPHRRWDVNAELPVLEESALKLEDICFIGIDDESSTSKEAFLSLNPIQELLRIIEDVPPVSNQPVEHSHAAGAMHSRGRGRGRGRGGGGSNSNRTTDQKGKTKKFL >DRNTG_31064.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30698869:30703255:-1 gene:DRNTG_31064 transcript:DRNTG_31064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRASAFVDEHVGASDTGADFPAIDEGHFVFEDKNLIYSESDQMQHDFEAQVGEESPSDYGATVLWKHVVKGKKCGNAHGGSHVFKCKYCEKIYHGTYTRVYAHLMGHKKGESKGIGYCAVVKGDKNLQMLLQREVEQAESSPSSAPLKKSKLTASFGMTSNGPSLALSYVGPSEKDYQMHDGNDVDSKVMRCLCANGIPFSVLRSPYWDEMITAINRTPGYKSPSCERAQTVLLENERHRVEREFDDFKQKWTQYGISIISNAQADVKNQSLINILASNQFGSLFLHAFEYMVLDKSPRSISDYMLKVLEMVGPHNVVQLITENTANFRAVSDEVMKTYSHIFWTPCMVNILHLILKDLIHSSDNNSLSWLERTCSTAKGLVKYIVDHPQAAEIFQTYPKLELLKVATTSWAHYITLRLLLDVKDAIRAAVLSDQWIVWTSTSPLDAKQKLTDQTVKAAIMSDSFWQALQLALCIIKPVYKMVKFTDQDGPLIGEVCERMDNMLGEIKDSLQGQEEVFAAVQTVVGEKWNKMNMPLQCLAHALNPKYYDEEYLQLPGPGGTERRKPDLDDHIFDRAQLAIQKMYPNPEIQDTVRVQFMSFMEKKGRFSSPTAKRDAKNPKINVLQWWKFHGGDTKELRDLAFRVLAQPISTCSVERPWTNYNFICNAKQNGLNANHADDLAYVHSNLRLLSRYNSDYKHGPHRRWDVNAELPVLEESALKLEDICFIGIDDESSTSKEAFLSLNPIQELLRIIEDVPPVSNQPVEHSHAAGAMHSRGRGRGRGRGGGGSNSNRTTDQKGKTKKFL >DRNTG_04724.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8254731:8256290:1 gene:DRNTG_04724 transcript:DRNTG_04724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGAPSPGMTDGVVKKIMLSYLYVAIWIFLSFTVIVYNKYILDRKMYNWPFPISLTMIHMAFCSSLAVVLVRVLRLVEPPTSPAMTRELYISSVLPIGALYSFSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKETFRSSAMANMLSISFGVAIAAYGEARFDVWGVTLQLAAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCFAFLLVPWVLVELPVLRQTSSFHLDFFIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTTVNLIGYGIAFLGVAYYNHVKLQALKAKEAQKKTAQADEEAGSLLEERDGDGLRKNDSQA >DRNTG_26892.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:16045029:16045667:1 gene:DRNTG_26892 transcript:DRNTG_26892.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASIENMIARFCALLFLMITMLLLLSSEVDVQILRMKSINNEGWSGSGNPYPCDEIYIVGEGETLHSISAKCGDPFILDENPHIQDSDDVFPGLVIKITRPLF >DRNTG_26892.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:16045029:16045585:1 gene:DRNTG_26892 transcript:DRNTG_26892.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASIENMIARFCALLFLMITMLLLLSSEVDVQILRMKSINNEGWSGSGNPYPCDEIYIVGEGETLHSISAKCGDPFILDENPHIQDSDDVFPGLVIKITRPLF >DRNTG_26892.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:16044936:16045667:1 gene:DRNTG_26892 transcript:DRNTG_26892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIENMIARFCALLFLMITMLLLLSSEVDVQILRMKSINNEGWSGSGNPYPCDEIYIVGEGETLHSISAKCGDPFILDENPHIQDSDDVFPGLVIKITRPLF >DRNTG_26892.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:16044936:16045585:1 gene:DRNTG_26892 transcript:DRNTG_26892.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIENMIARFCALLFLMITMLLLLSSEVDVQILRMKSINNEGWSGSGNPYPCDEIYIVGEGETLHSISAKCGDPFILDENPHIQDSDDVFPGLVIKITRPLF >DRNTG_19711.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13175306:13175781:1 gene:DRNTG_19711 transcript:DRNTG_19711.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASAAPISPLLSSSPRSSIPESLTLVVSQHRVSSKDSTLQHCAQVSRRRDFIGGLALLAALWREPLASEAREVEVGSYLPPFPSDPSFVIFKASPKDTPALRAGKFLKKSN >DRNTG_19711.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13175306:13177358:1 gene:DRNTG_19711 transcript:DRNTG_19711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASAAPISPLLSSSPRSSIPESLTLVVSQHRVSSKDSTLQHCAQVSRRRDFIGGLALLAALWREPLASEAREVEVGSYLPPFPSDPSFVIFKASPKDTPALRAGNVEPYEFILPST >DRNTG_19711.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13175306:13176847:1 gene:DRNTG_19711 transcript:DRNTG_19711.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASAAPISPLLSSSPRSSIPESLTLVVSQHRVSSKDSTLQHCAQVSRRRDFIGGLALLAALWREPLASEAREVEVGSYLPPFPSDPSFVIFKASPKDTPALRAVQCPSEK >DRNTG_18951.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11426741:11428270:-1 gene:DRNTG_18951 transcript:DRNTG_18951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRAHLLETNPSCELKPLDPEEFRRQGHLVIDFLADYYHNVDKYPVRSQVEPGYLSKLIPDTAPNNPDPIETILQDVQNDILPGITHWMSPNYYAYFPSSGSMAGFLGEMLSTGFNIVGFNWMSSPAATELETIVMDWLGKMIDLPPSFLYSGGGGGVLQGTTCEAILCTLTAARDKILNEIGRDQITRLVVYGSDQTHCALRKAAQIVGIQPRNFRAIETSPSNAFGLSPASLRDALIADIAAELVPVYLCATVGTTSSTAVDPIAGLCEVANEFGVWVHVDAAYAGSACVCPEFRHFIDGVEKANSFSFNAHKWFFTNLDCCCLWVKEPGALVNSLSTNPEYLKNKATESKQVIDYKDWQIALSRRFRAMKLWLVLRSYGVANLRNFIRSHVKMAKQFEFMVQMDKRFEIFLPRTFAMVCFRLLMPSSKSDGKEPARVLEITNELNQKLLEMVNSSGKIYMTHAIVGEVYIIRFAIGASLTEEKHVKFAWNVVQEHAEAIIAALD >DRNTG_14832.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3747923:3750084:1 gene:DRNTG_14832 transcript:DRNTG_14832.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSEDLHRSPELDSIGCAVIFNKLAQKFNNMLNETVTQLRKDLPSVTFIYVDVYSAKYKLFSNARKYGFETPLRTCCGFGGGDYNVDFNVRCGDKGIVEGEEVLLGKSCSHPEKSIIWDGVHYTEAANKWVFNEIATGKYSHPSLPLCQTCH >DRNTG_14832.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3747462:3750084:1 gene:DRNTG_14832 transcript:DRNTG_14832.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSEDLHRSPELDSIGCAVIFNKLAQKFNNMLNETVTQLRKDLPSVTFIYVDVYSAKYKLFSNARKYGFETPLRTCCGFGGGDYNVDFNVRCGDKGIVEGEEVLLGKSCSHPEKSIIWDGVHYTEAANKWVFNEIATGKYSHPSLPLCQTCH >DRNTG_14832.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3747462:3750084:1 gene:DRNTG_14832 transcript:DRNTG_14832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLVTCIALLLSLQTSSSTPCKFPAIINFGDSNSDTGGLSATFGPAPPPNGETFFHMPAGRYSDGRLIIDFIAESFGLAYLSAYLDSLGTNFSHGANFATAGSTIMQQSVPLSKGGYSPFSLQVQLSQFSQFKSRSQIISIKGKVFKSLMLKKNYFKKALYTFDIGQNDLTELFFNNQSADEYIPMTMKVFSDAVKEIYQQGGQILLDS >DRNTG_02995.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9159749:9160212:-1 gene:DRNTG_02995 transcript:DRNTG_02995.1 gene_biotype:protein_coding transcript_biotype:protein_coding EAMESRRETGGFPESSIEDRTLTVSAAAAGGEPWAWITERQWMEACREGSSAIAIITERLLSANTQLK >DRNTG_14052.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4940787:4943954:1 gene:DRNTG_14052 transcript:DRNTG_14052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQLAPEQVCYVHCNYCNTILAVSVPGNNMFNIVTVRCGYCTNLLAVNMGAMLQSIPLPNLQNNQINVSENIHMDSGSSSKCNRISMMNSMGSDHQRMLPIRPPEKRQRVPSAYNRFIKEEIQRIKSINPDISHREAFSAAAKNWAHFPNIHFGLTLDGNKEAKIDEVITAQAAQKSSQGFF >DRNTG_32363.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7230968:7231881:-1 gene:DRNTG_32363 transcript:DRNTG_32363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSKGSKMLQYINYRMRITIQDGRQLVGKFMAFDRHMNLVIGDCEEFRRLPPVSKKNLAEQREERRTLGLVLLRGEEVVSMTVEGPPPPDDSRAKAVAAASLAGPGLGRAAGRGVPTAPLVQAQPGLAGPVRGVGGPAPGMMQPQLNRPPVPILYRTARHPPSWSVQRPRSGSSSGSRSSRASSRAVSRADEAWRSSTCSWTVPCATAAVWAKANGDHHRLR >DRNTG_00263.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5321478:5334013:-1 gene:DRNTG_00263 transcript:DRNTG_00263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLHLLPNRHLSTKPWNFTALFSILLRSSYCSATAQNKREDLQGERAAKWLSLPPFSPPIDSSLIAKEIAGHPCLEKREPFTALKWVRRCCPEIPMSLVQKLFRLRQVRKQSTIPKGTIIENNAGEKQIRRVSAKSAISLGDVILLPVSSEKSTNQRGGCSCSDDEINFIRSLELYKDSAMIVINKPPGMSVQGGVGIKYSLDTLATTSLKYSNPEPPRLVHRLDRDSSGVLVLGRTRLSTSILHSIFRERTSGAVSHNLKIRSRPLQRKYWALVIGTPRDPNGLISAPLAKFVLDNGKSERITIVNDANIEKSTSSNHALTEYRVIRTSIQGYTWLELLPLTGRKHQLRVHCAEILGTPIVGDYKYGWQSHKKWQPITCSTRSTKYENIPIKRLPFGLESEGGSIDEKQPRLHLHCRQMVLPDISVALKHLQSEFSNYNLSKCEKINLVAPLPLHMQISWDILGYDS >DRNTG_20820.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20807133:20808042:-1 gene:DRNTG_20820 transcript:DRNTG_20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLVLLKASKKSMAIRAKNWSESAHKFLKICSDAGNLESCYTLGMIRFYCLASRGSGAALMARAAMASHPAALYSLAIIQFNGSGGSKSDKRPPRWRRPLRPRSPSRPRRRPPRARP >DRNTG_24724.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7768328:7768658:1 gene:DRNTG_24724 transcript:DRNTG_24724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQRRSMSVHRDWIWAMSRLTEKESSGESRPIPSGAEDDDDAAAEEKEEDSKRSRRARPSLVRRRRRRAFW >DRNTG_25873.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9054637:9055240:-1 gene:DRNTG_25873 transcript:DRNTG_25873.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSHHSSDNTSEDTSKKQSPKFQDDEFSEEEESLIIRMYNLLGDRWSLIAGRIPGRTAEEIERYWKSRCSTN >DRNTG_25873.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9054491:9055240:-1 gene:DRNTG_25873 transcript:DRNTG_25873.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSHHSSDNTSEDTSKKQSPKFQDDEFSEEEESLIIRMYNLLGDRWSLIAGRIPGRTAEEIERYWKSRCSTN >DRNTG_25873.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9054637:9055328:-1 gene:DRNTG_25873 transcript:DRNTG_25873.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSHHSSDNTSEDTSKKQSPKFQDDEFSEEEESLIIRMYNLLGDRWSLIAGRIPGRTAEEIERYWKSRCSTN >DRNTG_25873.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9054381:9055328:-1 gene:DRNTG_25873 transcript:DRNTG_25873.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSHHSSDNTSEDTSKKQSPKFQDDEFSEEEESLIIRMYNLLGDRWSLIAGRIPGRTAEEIERYWKSRCSTN >DRNTG_25873.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9054491:9055328:-1 gene:DRNTG_25873 transcript:DRNTG_25873.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSHHSSDNTSEDTSKKQSPKFQDDEFSEEEESLIIRMYNLLGDRWSLIAGRIPGRTAEEIERYWKSRCSTN >DRNTG_19023.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22570722:22572276:1 gene:DRNTG_19023 transcript:DRNTG_19023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSVPAAYLDPPNWNQLPSGGGGGGGGGDHGQLPPPGIGGLNQEAAGMLGSIRPGSMADRARLAKMPPPEPALKCPRCDSTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRNKGSGSSAKSSATSTGSSSASSTATTTVSGAIPSNMPPMPQLPFMTSLHPLADYAPSGLGLNFTGIQGLDQVDYQVGSSSSVGLEQWRLHQQIQQFPFFGGLELQPTPATVAAGLFPFDGDQVGVPDGPYAGQVHHKHSSSGLITQLAAVKMEDNSRGLSLQRQSLSMPGNDTYWSGNTASGWASDVSGSSLL >DRNTG_05597.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:285718:287921:-1 gene:DRNTG_05597 transcript:DRNTG_05597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVQKFFLTSIIMWMVPITIIYGFNHHIFPAMVFSPFIRKCCPLMLLFCVETGSSQLSLSSQTLISGFLAVISVNFVIALYVIMVMKEPTNQEPQPDPTFLDEARMSITQPSRSVASENSETRDKVE >DRNTG_09554.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22660299:22664620:-1 gene:DRNTG_09554 transcript:DRNTG_09554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQKIETGHQDVVHDVSMDYYGKRIATASSDTTIQIIGVSGSSHQHLATLSGHQGPVWQVAWAHPKFGSMLASCSYDGRVIIWKEGNKPEEWSQVHVFTDHKSSVNSIAWAPHDLGLCLACGSSDGNISVFTARADGGWDTTRIDQAHPVGVTSVSWAPSMAPGALVGSGLLDPVQKLASGGCDNTVKVWKLYNGSWKMDCFPALQMHSDWVRDVAWAPNLGLPKSTIASASQDGTVVIWTVAKEGDQWEGKVLNDFKTPVWRASWSLTGNILAVADGSNNVTLWKEAVDGEWQQVTTVQP >DRNTG_31107.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001666.1:10622:10996:1 gene:DRNTG_31107 transcript:DRNTG_31107.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTVVWMNDSLSTTQSRLFTLLDGKKMVSDDVMDAFEDASDMTFAMIRDTMRK >DRNTG_27126.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1811982:1813801:1 gene:DRNTG_27126 transcript:DRNTG_27126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKRGKPKDASTAAAPVAKFPACLRAVPPCTVAIAVHAKPGSKVATITDVGDEAVGVQIDAPARDGEANAALLEFISSVLGVKKRQVSIGSGSKSREKVVLVEDITLQNVFDALNKACKQE >DRNTG_02906.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23600809:23601535:1 gene:DRNTG_02906 transcript:DRNTG_02906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCFSAIRIGDPGNNNFKWPKAPSQKTMKARKSMFPTGKTDEPFLATRHNDPIRSAGVPSTRHKLVGEKNSNLIDSTSRSPVNYCFSLKGHTKQNQLLNYHNSVFINGKNRWFMIAFAISCPD >DRNTG_09405.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5759727:5765674:-1 gene:DRNTG_09405 transcript:DRNTG_09405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSSIGALLLLFLPLLLVDSRPFDPISILRMPWDLDLGSEGIDEATVGTRWAVLIAGSSGYGNYRHQADVCHAYQVMKRGGLKDENIIVFMYDDIAYHQENPRPGVIINHPQGSDVYAGVPRDYVGEEVTVNNFFSVILGDKAALTGGSGKVVDSGPDDHIFIFYSDHGGPGVLGMPTSPYLYADDLVAVLMKKHASGSYKSMVFYLEACESGSIFEGLLPPDINIFATTASNSVESSWGTYCPGEHPSPPSEYWTCLGDLYSVAWMEDSDKHNLHTETLKQQYELVKTRTLPHNQYSYGSHVMEYGNLNLNNKFLDIYMGTDPANENFTFTGDNSLPSLSTAVNQRDADLVYFWTKYRSSPQGSSEKIEAQKQWLDVMSHRIHVDHSIELIAKLIFGSEKGLKVINSVRSTGKPLVDDWACLKSMVRTFETHCGSLSQYGMKHMRSLANICNAGISKKTMTKVAAQACVSIPNNPWSSIHKGFSA >DRNTG_08722.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4973057:4975261:1 gene:DRNTG_08722 transcript:DRNTG_08722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNNRTNSLDSYIHQPPFMPFSSPETSSQKHHHMWNLEPQSSQTLNTTHHQNQHLYFNNSQLLDCTPPLLPMANTVPFYTPPMPSYREDHEVVGVGSQIGLNLGHRTYFSSGDALALGRLWGRSRAGYAFGHHPPPRCQADGCGADLSGAKHYHRRHKVCEFHSKAAVVIFAGGVQQRFCQQCSRFHELSEFDETKRSCRKRLADHNRRRRKPKPQTTNTSSSSSLNDNLQDKSKPSSKPTRDSSSTSKSSSKTSLDFEAQQSYHLSNNSPALSLGGVGRVNQFNHGSMLSISDEKESHFSNGFFPNQSISEASTINHLHLGQTMFNMDFI >DRNTG_08722.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4973057:4975261:1 gene:DRNTG_08722 transcript:DRNTG_08722.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNNRTNSLDSYIHQPPFMPFSSPETSSQKHHHMWNLEPQSSQTLNTTHHQNQHLYFNNSQLLDCTPPLLPMANTVPFYTPPMPSYREDHEVVGVGSQIGLNLGHRTYFSSGDALALGRLWGRSRAGYAFGHHPPPRCQADGCGADLSGAKHYHRRHKVCEFHSKAAVVIFAGGVQQRFCQQCSRFHELSEFDETKRSCRKRLADHNRRRRKPKPQTTNTSSSSSLNDNLQDKSKPSSKPTRDSSSTSKSSSKTSLDFEAQQSYHLSNNSPALSLGGVGRVNQFNHGSMLSISDEKESHFSNGFFPNQSISEASTINHLHLGQTMFNMDFI >DRNTG_20232.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4031038:4037032:1 gene:DRNTG_20232 transcript:DRNTG_20232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLWALPQGGGRRTASFRSSSATAQGDGAGGGGTETPLKSPGAMFWIALHALCCLISLVLGFRFSRLLFFLLFSSPAPILRATTTTTTTTTTTHTQTQTLTISLPTTSSQALPVVNKSDPAASRVVVGRHGILIRPWPHPNPTEVMRAHRIIERVQHEQRSQYGVKNPKPVIVITPTYVRTFQALHLTGLMHSLMLVPYDLTWIVVEAGGVSNETATLLAKSQLQIVHTAFPEKMPVEWSDRHRMEARMRLHGLRIVRERRLDGIVMFADDSNMHSMEMFDEIQSVKWMGAYSVGILAHSGNSEIGVKPEEIDVGEEKKNSPLPIQGPACNSSGHLIGWHTFNSLPYAGKSATLVGDGAMVLPRKLEWAGFVLNSRLVWKDTEEKPDWVRDLDTVDKGEEIESPLALVKDASFVEPLGSCGKKVLLWWLRVEARADSKFPPGWVINPPLEITVPAKRTPWPDVPPEIPSETQADKHPSKVVRSSRSRHNSRSKRKHEIRPEKQTSGTTQTQAN >DRNTG_18727.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1129571:1134856:1 gene:DRNTG_18727 transcript:DRNTG_18727.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAIVSILAACTESGLIGFGKKVHAYVEGTELKFVIRVCNALVDMYAKCGNLDRAWGVFEGMAERNLVSWNSMIQGLVVNGLNEQALNLYASMKSEDFTPDGVTFIGVLCACTHIGLIEEGWRFFESMESDYNITPQIEHYGCMIDLLGQGGLLEDAVDLLKSMPFESNAIMWGTLLCACRKHNNVELAEEVVEQLIQFEPSKAGNYAILSNIYASASRWVGLNKTRLKILATENEFMKSDRYHSHSERVLRIVHRLDQHLKQAAHVP >DRNTG_18727.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1129571:1134856:1 gene:DRNTG_18727 transcript:DRNTG_18727.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAIVSILAACTESGLIGFGKKVHAYVEGTELKFVIRVCNALVDMYAKCGNLDRAWGVFEGMAERNLVSWNSMIQGLVVNGLNEQALNLYASMKSEDFTPDGVTFIGVLCACTHIGLIEEGWRFFESMESDYNITPQIEHYGCMIDLLGQGGLLEDAVDLLKSMPFESNAIMWGTLLCACRKHNNVELAEEVVEQLIQFEPSKAGNYAILSNIYASASRWVGLNKTRLKILATENEFMKSDRYHSHSERVLRIVHRLDQHLKQAAHVP >DRNTG_19103.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:443885:444490:-1 gene:DRNTG_19103 transcript:DRNTG_19103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADAHAQSIKDRYLELVKQASQTQEGHDELPIVDEIALYYKVVGEGKKSWVYGIGSQACIFYPQSPLSLSTGSSYEALQAEVRDLHQTLTQVQDREERLQQTLSQVQDREERFQQTLGQVQDNNKSFNKVCLK >DRNTG_08330.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19847289:19849022:1 gene:DRNTG_08330 transcript:DRNTG_08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNLLLLLCFHCFFLFFIQSHSSIDYSQALTKSLLFFEGQRSGKLPSSQRIQWRGDSALKDGSDNGVNLTGGYYDAGDNVKFGFPLAFTMTTLAWGIIEFEQNLVSKNEFDHAQEALRWGCDYLLNAHVAPDVLYVEVGDGFSDHECWMRPEDMTTPRTSYSVDAAHPGSDVAGETSAAFAAASIAFRKSDPHYADVLLDHAKQLFQFANDYPGSYQDSVTAATKFYASSGFEDEYQWAAVWLFHATDDQTYSDYLANKGSTSTGGVRSLFSWDDKWVGVQTIISKLKIEQRLDDNQNPLWDKYESEMVQFICPTIQRGNNNVQMSPGGMLWWQPWNNFQYTAAAMLVIAAHADHLNSVGANLQCDSVTVTPGELIEFVQSQVDYILGVNPKGMSYMVGFGSTYPVKFHHRGASMPSIKSDPALIGCKDGFEKYFYSDGPNPNVLDGAVVGGPDANDQFEDQRYNFQQSEGPTVNNGPLVGVLARLAS >DRNTG_08349.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4037605:4040628:-1 gene:DRNTG_08349 transcript:DRNTG_08349.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THF1 [Source:Projected from Arabidopsis thaliana (AT2G20890) UniProtKB/TrEMBL;Acc:A0A178VVV5] MASIASLSFAPLPHASERRVWVFASPSYVFPAFSGVRLRAPSLSSRISVRCAAAPSETHVPPTVSETKLHFLKTYKRPIPSVYNTVLQELLVQQHLMRYKKTYQYDAVFALGFVTVYEQLMEGYPSNEDREAIFRAYIEALKEDPEQYRKDALKMEEWACAQTSATLVDFSSREGEVESILKNIAERAVGKGSFSYSRFFAIGLFRLLELANATDPTVLEKLCSALNINKPSVDRDLDVYRNLLSKLVQAKELLKEYVDREKKKRGERSDSKANETIKKCLGEDQYARQV >DRNTG_32292.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001817.1:55871:56674:1 gene:DRNTG_32292 transcript:DRNTG_32292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTLPVHQARFERLAKLKFGQTRITDVGSLRKVQLADDMADEVEKLLLVGSWHKLLNIHDPTICSLTLEVLASFEFDHSYAHFDIVGAIQFRAFAQHHSMSVTQFSTRLGLYDEEYTETEEYESLPIDMSGLSPKEAYKILGGKGSVNGRSDSTGVINKQELLYLYSMVQNEPVHLGHILAEYLKHQGRYPRLGVIFSGPYFTRFILGMGLRDTISGAEKTIIPAPLGLETMRLMGLVNK >DRNTG_11261.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21766347:21769105:1 gene:DRNTG_11261 transcript:DRNTG_11261.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAALPLLPFSIPPPLSFRRPSSVFLASATSPELCSAGTDAFVVSTAAAEKPTVLYGFSEDSTLNDLAGAIQRRKEISWTLSELIETSKLTFVSIHGDVNTPITGIQIDSRYVTSGDLFICCIGKKTDGHRFISEALSKGATAVVACKNLNFDDLPGCGALVIVKDSIAVLASLAASFYRNPSMMMSVVGITGTNGKTTTAHLVKSVYESMGMKTGMFGTVGYFINGEDQSEVKNTTPDAVTAQRLMAKMLENGTEALIMEISSHGLALGRCDEIEFNVAVFTNLTRDHFDFHGTEEEYRNSKLKLFVKMSDPKKHRKVVNVDDPNAPFFIAKGNADVPVVTFGMESKEADVFPLRIELSMFGTRVLISTPKGLVEVSSSLLGRHNVYNILAAVAVGIAVNCRLEDVVRGIEMVSGVPGRCELIDEHQPFAVMVDFAHTPDALARVLDSARELGARRLITVFGCAGESDTGKRPMMTKIAADKSDVVILTTDNPKTENPLNILNDMLAGVGWTLQSYVQFGKNNYYPPLPNGHKLFVHDRRRVAVRAAMAMADNGDIVIVAGRGHENYQEEGDRKRYIDDRKECREALRYVEMLHRAAIDKQIPLLITQESLISFCKDVETWFAMEDQRSPCVLPIF >DRNTG_12688.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:14705868:14714537:-1 gene:DRNTG_12688 transcript:DRNTG_12688.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGKRRPERGPGVGEERFLFVDIEKAIVRDLVSSVLQFAQLIKAVDFAAELDCLFSLSLVALQNNYVRPILTEDSLIDILNGKLYAIVRHFALSFITLINIACAFTSFAICSSPPIVSLGGFDCFLSHVGSFVLADVAILGMTDR >DRNTG_32552.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20062466:20064882:1 gene:DRNTG_32552 transcript:DRNTG_32552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWILGEPEMEKLMREYNDFIKGVAVFPLNIPGTSYWKALKSREKILEIFKRKVEERKRINGDENLRMERDDLLNWLMKNTTNGFEEIGDTLLHTLFGGHDTTSRAICLLLYFLDGCPKAIQQLREEHLQVVKIKEDRGESNKLNWDDFKAMEFTKCVINETLRLGNIAGFLHKKARTEIEFKGYVIPQGCTVITHLSAVHLDPNLFENPNHFDPWRWMGLISDVYKMKNFMPFGGGPRQCPGAELARMEMMVFVHHLLLNYSWELAEPDHPITLPFIEFPKGLPVKIQPVPHK >DRNTG_33649.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2005551:2008474:1 gene:DRNTG_33649 transcript:DRNTG_33649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDLFGDGIFAVDGEKWRHQRKLASYEFSTRVLRDFSSVVFRSNAAKFSKKISDVARDGTMIDIQDWLMKSTLDSIFKVGFGVDLDTLSGSKEQGTRFSKAFDDSNFIIFHRFVDLFWEVKRYLNIGLEAQLKRNLKVIDDFVFQLIHSKRELMNTGFERSKEDMLSRFLMASIEDPENMTDKYLRDIILNFLIAGKDTSANTLTWFFYMLCKHPFVQEKIAMEIKEVTAMPENQNIEGFAECLTDEVLDKMHYLHAALTETLRLYPAVPLDGKSAEEDDVLPNGMKVKKGDGISYMAYAMGRLTEIWGENAEEFIPERWLDNGKFLPQSSFKFVAFHAGPRICLGKEFAYRQMKILAAVLIFFFKFKLGDESYIARYRTMFTLHMDKGLHLLAFHRSCP >DRNTG_09083.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6004195:6005078:-1 gene:DRNTG_09083 transcript:DRNTG_09083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEDSNKLIKTKTRTLPHIKQGQRQVLRDPNPHASAGSYNPSFLIIAAILIFVIAASASIHLLLRLFSRSGSISTTDHDAKALIASLLISTAVAARLSGDCAVCLSHLRPQDRLRILPACRHPFHVSCINAWLRSSPSYPLCRSPITTSGLEIPTPPIPYPLPRSFSIGSEEEGIESVVARVRRDLENAMKEETPPPLESTGGRGGWLKDYVDRFASSTSSSFSSMRRGSHQSCAGGEREGLDLEDGSIYNATLYRWLADGDGDRDEDGEKLRN >DRNTG_00382.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18468322:18472835:1 gene:DRNTG_00382 transcript:DRNTG_00382.4 gene_biotype:protein_coding transcript_biotype:protein_coding MINRYGPWMDTNLVLPLGPTKCQVIFDYFLDASLVDNKKFIEESLKQSEQVQIEDIILCEGVQRGLESPAYTNGRYAPSVEMAMHHFHLLLHQSLNKF >DRNTG_00382.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18471280:18472835:1 gene:DRNTG_00382 transcript:DRNTG_00382.7 gene_biotype:protein_coding transcript_biotype:protein_coding MINRYGPWMDTNLVLPLGPTKCQVIFDYFLDASLVDNKKFIEESLKQSEQVQIEDIILCEGVQRGLESPAYTNGRYAPSVEMAMHHFHLLLHQSLNKF >DRNTG_00382.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18468322:18474395:1 gene:DRNTG_00382 transcript:DRNTG_00382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSASFGEQDFGLIPLKVATWGPFVLISLEKDCLHQQNRTKETVENEWLGHASEILSTGGIDSSLKHVCRREYTIQCNWKVFCDNYLDGGYHVPYAHGGLASGLNLNSYSTHIFEKVSVQRCESTSVDTNDYDRLGSKALYAFIYPNFMINRYGPWMDTNLVLPLGPTKCQVIFDYFLDASLVDNKKFIEESLKQSEQVQIEDIILCEGVQRGLESPAYTNGRYAPSVEMAMHHFHLLLHQSLNKF >DRNTG_00382.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18468322:18472835:1 gene:DRNTG_00382 transcript:DRNTG_00382.3 gene_biotype:protein_coding transcript_biotype:protein_coding MINRYGPWMDTNLVLPLGPTKCQVIFDYFLDASLVDNKKFIEESLKQSEQVQIEDIILCEGVQRGLESPAYTNGRYAPSVEMAMHHFHLLLHQSLNKF >DRNTG_00382.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18468322:18471221:1 gene:DRNTG_00382 transcript:DRNTG_00382.6 gene_biotype:protein_coding transcript_biotype:protein_coding SNSLGNVEFVICRDASGCLRAFHNVCRHHASLLASGSGQKSCFVCPYHGWTYGLDGVLLKATRISGIKNFHKDVSGYHPYAKFCAYVATMLMSASFGEQDFGLIPLKVATWGPFVLISLEKDCLHQQNRTKETVENEWLGHASEILSTGGIDSSLKHVCRREYTIQCNWKVYCSMLQIFPVIHFLTNLRYLIMP >DRNTG_00382.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18468322:18472835:1 gene:DRNTG_00382 transcript:DRNTG_00382.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINRYGPWMDTNLVLPLGPTKCQVIFDYFLDASLVDNKKFIEESLKQSEQVQIEDIILCEGVQRGLESPAYTNGRYAPSVEMAMHHFHLLLHQSLNKF >DRNTG_00382.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18468322:18471221:1 gene:DRNTG_00382 transcript:DRNTG_00382.5 gene_biotype:protein_coding transcript_biotype:protein_coding AITGTLALFHSWEILETRAFVSYSPPGLGFRRGFSFWCPSLSRRSPETRRWITGAQSLPRLVEEFDPKVPIEEAVTPPSSWYTEPSFLALELDHVFSRGWLAAGFTEQIKNPHDFFTGSLGNVEFVICRDASGCLRAFHNVCRHHASLLASGSGQKSCFVCPYHGWTYGLDGVLLKATRISGIKNFHKDDFGLIPLKVATWGPFVLISLEKDCLHQQNRTKETVENEWLGHASEILSTGGIDSSLKHVCRREYTIQCNWKVYCSMLQIFPVIHFLTNLRYLIMP >DRNTG_25109.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:856745:862578:-1 gene:DRNTG_25109 transcript:DRNTG_25109.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 22A1 [Source:Projected from Arabidopsis thaliana (AT3G66658) UniProtKB/Swiss-Prot;Acc:Q0WSF1] MAFWWPLIVLALAYAICRFLLMLIPPNVPSIEVDASDVLDDGSQTKENSYIYIPRKGKLAQSDKVQCYEPATMKYLGYFPALTPDEVKEHVAQARKAQKEWAKSTFRQRRQFLRILLKYIIEHQELICEISSRDTGKTMVDASLGEIMTTCEKITWLISEGEKWLKPEYRSTGKSMLHKRARVEFHPLGVIGAIVSWNYPFHNIFNPMLAAVFSGNGAVIKVSEHASWSGCFYLRIIQAALAAVGAPYNLVHVITGFAETGQALVSSVDKIIFVGSPGVGRMIMEKASETLIPVTLELGGKDAFIVCEDVDVNHVVQIAIRGALQSSGQNCAGAERFYVHRDIYPTFVTQIVKLFKSISVGPPLAGRYDMGAICMQEHADKLQNLVNDALEKGAEIAGRGSFGNLGEDAVDQFFPPTIIVNVNHTMKLMQEEAFGPIIPIMKFSSDEEVVELANDSNYGLGCAVFSGNQKRAINIASQVHCGVAAINDFASTYMCQSLPFGGVKHSGFGRFAGVEGLRACCLVKSVVVDRWWPYIKTVIPKPIQRMALNSRNHLLKLFMV >DRNTG_30851.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001636.1:12909:13868:1 gene:DRNTG_30851 transcript:DRNTG_30851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDFRCTVAVTVHNAQKMRNLEIRTPMWNFHMGVKSRFQPFKYSFEVLFWGSDTTLLHVNRKCTGERPLVTIKPQILRIASMLHSVACVTKPQRSSSLSTSLYCDRKELFKSGGRINHTKGERGCCTTSRLTLSTLSNLALSNPHRVSLIHKNYQHGFSTLVSL >DRNTG_15143.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1788114:1790427:-1 gene:DRNTG_15143 transcript:DRNTG_15143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRVTDLGYGGYGRCHSSNSYKRLSAVSGNCKVLMSRKRKTPDPSMPWMGADNCSEAIMVSEKSKLTSVMANAPKDNTSCMSKGQDEKDQKMDIRTVRQCAIILKKLMSHQVGWVFNQPVDPVKLNIPDYFSIISKPMDLGTIK >DRNTG_16468.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2551147:2555820:1 gene:DRNTG_16468 transcript:DRNTG_16468.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMPSDCPYPGCFFCVMKEGNPSKRRSSILKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFIDLGIFECMSALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNLEEFAESAVHAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYASTFPVVASHGEVLEIAVQLASSSLEIVYSHFYQYVDRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPDFLPDICKPEFLIKLPGMWGGLVNENSPAGIGLLRTICQHKLGRGPVASCPGIVEALCNIARSSDDWQYMAIDCLLWLLQDPSTCQKVIDKAVPALTDLADISTLGDHKKLGDTIVSVIQEVVQSQGTIRNSINSRTKEQIDELLSSRQRLKWEKNIPKEDLHIKQAAALVVKLEGNSLFSSGNIAGAAAKYSEALTLCPMKSKKERVVLYSNRAQCHLLLQQPLAAISDATRALCLHNPPNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSNDPDLSLRQNKVPDYAERLVKKQMRAAWLFREAAVKRGGVHSEGNTGDVYGQDADDSEWETASESDVGNNGRREEDDEDDDSEWKNEDNRKDKYDKTSSKDRSHGYGLLLAEDDP >DRNTG_16468.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2551147:2555820:1 gene:DRNTG_16468 transcript:DRNTG_16468.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMPSDCPYPGCFFCVMKEGNPSKRRSSILKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFIDLGIFECMSALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNLEEFAESAVHAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYASTFPVVASHGEVLEIAVQLASSSLEIVYSHFYQYVDRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPDFLPDICKPEFLIKLPGMWGGLVNENSPAGIGLLRTICQHKLGRGPVASCPGIVEALCNIARSSDDWQYMAIDCLLWLLQDPSTCQKVIDKAVPALTDLADISTLGDHKKLGDTIVSVIQEVVQSQGTIRNSINSRTKEQIDELLSSRQRLKWEKNIPKEDLHIKQAAALVVKLEGNSLFSSGNIAGAAAKYSEALTLCPMKSKKERVVLYSNRAQCHLLLQQPLAAISDATRALCLHNPPNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSNDPDLSLRQNKVPDYAERLVKKQMRAAWLFREAAVKRGGVHSEGNTGDVYGQDADDSEWETASESDVGNNGRREEDDEDDDSEWKNEDNRKDKYDKTSSKDRSHGYGLLLAEDDP >DRNTG_34381.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17969073:17971665:-1 gene:DRNTG_34381 transcript:DRNTG_34381.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKDKSRVGVLSSHLIPMASSEKDAALAAAASPAAADTPTIFDKIINKEIPSTVVYEDDKVLAFRDIEPQAPTHILIIPKVKDGLSGLSKAEERHIEILGYLLYIAKVVAKQEGLDDGFRIVINDGPNGCQSVYHLHIHLIGGRQMNWPPG >DRNTG_02210.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1264791:1270776:-1 gene:DRNTG_02210 transcript:DRNTG_02210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIANHLPNSTFFSNILQSCIQWKSLRAVQQTHAHLLKTQFSSEIFILNRLIDSYAKCGSFLYARNLFDGMPQRNIFSYNTMLTALTKSGRLEDADMLFRSMPDHDQCSWNSMVSGFSQHGHFEDSLGFFNVMHGEDFVLNAYSFSSALCSCAGIMDLRTGMQIHALVSKSGLSHDVYMGTALLDMYSKCKRPLDAFRVFEMMKERNTTTWNSLITCYEQNGPANEALVVYVRMMDCGVEFDEVTLASVASACATLSAIREGLQIHARVIKFERFNNDLVLSNALVDMYAKCSRIEVARRIFDRMPVRSTVAETSMISGYAKSSSVEDAKLVFSRMTEKNIIAWNALIAGYTQNGDDEEAVRLFRRLKRESVWPTHYTFGNILNASANLSDFQIGKQAHCHVLKHGFRFEAGPETDIFVGNSLVDMYLKCGSVDEGGKVFERMIGKDRVSWNAMIVGYAQNGRGEEALHLFKRMLSSGETPDNVTMIGVLSGCSHAGLVDDGRQYFQSMTREFGLVPCRDHYTCMVDLLGRAGHLAEVEAFIKEMPVAPDSVLWASLLAACKAHGNVKLGEWAAEMLFELDSASSGPYVLLSNIYAEIGQWEDVGRIRKLMKNKGVIKQPGCSWIEIERKVHVFMVRDKRHPRRKSIYNILKILQTQMKRSSMEIFVGMNLSYACSFQS >DRNTG_02210.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1264791:1270776:-1 gene:DRNTG_02210 transcript:DRNTG_02210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIANHLPNSTFFSNILQSCIQWKSLRAVQQTHAHLLKTQFSSEIFILNRLIDSYAKCGSFLYARNLFDGMPQRNIFSYNTMLTALTKSGRLEDADMLFRSMPDHDQCSWNSMVSGFSQHGHFEDSLGFFNVMHGEDFVLNAYSFSSALCSCAGIMDLRTGMQIHALVSKSGLSHDVYMGTALLDMYSKCKRPLDAFRVFEMMKERNTTTWNSLITCYEQNGPANEALVVYVRMMDCGVEFDEVTLASVASACATLSAIREGLQIHARVIKFERFNNDLVLSNALVDMYAKCSRIEVARRIFDRMPVRSTVAETSMISGYAKSSSVEDAKLVFSRMTEKNIIAWNALIAGYTQNGDDEEAVRLFRRLKRESVWPTHYTFGNILNASANLSDFQIGKQAHCHVLKHGFRFEAGPETDIFVGNSLVDMYLKCGSVDEGGKVFERMIGKDRVSWNAMIVGYAQNGRGEEALHLFKRMLSSGETPDNVTMIGVLSGCSHAGLVDDGRQYFQSMTREFGLVPCRDHYTCMVDLLGRAGHLAEVEAFIKEMPVAPDSVLWASLLAACKAHGNVKLGEWAAEMLFELDSASSGPYVLLSNIYAEIGQWEDVGRIRKLMKNKGVIKQPGCSWIEIERKVHVFMVRDKRHPRRKSIYNILKILQTQMKRSSMEIFVGMNLSYACSFQS >DRNTG_02210.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1264791:1270776:-1 gene:DRNTG_02210 transcript:DRNTG_02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIANHLPNSTFFSNILQSCIQWKSLRAVQQTHAHLLKTQFSSEIFILNRLIDSYAKCGSFLYARNLFDGMPQRNIFSYNTMLTALTKSGRLEDADMLFRSMPDHDQCSWNSMVSGFSQHGHFEDSLGFFNVMHGEDFVLNAYSFSSALCSCAGIMDLRTGMQIHALVSKSGLSHDVYMGTALLDMYSKCKRPLDAFRVFEMMKERNTTTWNSLITCYEQNGPANEALVVYVRMMDCGVEFDEVTLASVASACATLSAIREGLQIHARVIKFERFNNDLVLSNALVDMYAKCSRIEVARRIFDRMPVRSTVAETSMISGYAKSSSVEDAKLVFSRMTEKNIIAWNALIAGYTQNGDDEEAVRLFRRLKRESVWPTHYTFGNILNASANLSDFQIGKQAHCHVLKHGFRFEAGPETDIFVGNSLVDMYLKCGSVDEGGKVFERMIGKDRVSWNAMIVGYAQNGRGEEALHLFKRMLSSGETPDNVTMIGVLSGCSHAGLVDDGRQYFQSMTREFGLVPCRDHYTCMVDLLGRAGHLAEVEAFIKEMPVAPDSVLWASLLAACKAHGNVKLGEWAAEMLFELDSASSGPYVLLSNIYAEIGQWEDVGRIRKLMKNKGVIKQPGCSWIEIERKVHVFMVRDKRHPRRKSIYNILKILQTQMKRSSMEIFVGMNLSYACSFQS >DRNTG_02210.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1265376:1270776:-1 gene:DRNTG_02210 transcript:DRNTG_02210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIANHLPNSTFFSNILQSCIQWKSLRAVQQTHAHLLKTQFSSEIFILNRLIDSYAKCGSFLYARNLFDGMPQRNIFSYNTMLTALTKSGRLEDADMLFRSMPDHDQCSWNSMVSGFSQHGHFEDSLGFFNVMHGEDFVLNAYSFSSALCSCAGIMDLRTGMQIHALVSKSGLSHDVYMGTALLDMYSKCKRPLDAFRVFEMMKERNTTTWNSLITCYEQNGPANEALVVYVRMMDCGVEFDEVTLASVASACATLSAIREGLQIHARVIKFERFNNDLVLSNALVDMYAKCSRIEVARRIFDRMPVRSTVAETSMISGYAKSSSVEDAKLVFSRMTEKNIIAWNALIAGYTQNGDDEEAVRLFRRLKRESVWPTHYTFGNILNASANLSDFQIGKQAHCHVLKHGFRFEAGPETDIFVGNSLVDMYLKCGSVDEGGKVFERMIGKDRVSWNAMIVGYAQNGRGEEALHLFKRMLSSGETPDNVTMIGVLSGCSHAGLVDDGRQYFQSMTREFGLVPCRDHYTCMVDLLGRAGHLAEVEAFIKEMPVAPDSVLWASLLAACKAHGNVKLGEWAAEMLFELDSASSGPYVLLSNIYAEIGQWEDVGRIRKLMKNKGVIKQPGCSWIEIERKVHVFMVRDKRHPRRKSIYNILKILQTQMKRSSMEIFVGMNLSYACSFQS >DRNTG_34399.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25938212:25948079:-1 gene:DRNTG_34399 transcript:DRNTG_34399.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYRSRFQKIFDMCMGLGSLGSSPFLGIEQLPQFPNLQQLLGLAAVDGVVRLGNIVEPPVIQTATSIVPLGWTGVPGNKNAEPLKVDIIGHGLHLCTLVQAQVNGNWCSTAVESLPSMPPYSSQHHMQPDLQKMRILIGSPLKKPPKHSGFDDSLPSVLSSPVTDLVNVNAEQKTGYFSEERRANCEGFDAFVVYCTSDFVTVSKEVYVRARRVRLLGFEGAGKTSLLSALIKQGKPRNKAGQENIHPELNSQEVMADGISYFDSPGVNLQELHSGMSSFREELQAGANDIHRKTDLIVIVHNLSQRIPWYHQADTPNHQPALSLLLNEARCLGVPWVLAITNKFSVSADQQKTLVNSAMEAYQVSAGMTEVINSCPFVIPSAPLPWSPADQESSGKTPAQNIILAPINFARMPFQRKAIIMPAEGIAALRQLIHRVLRSQEEMSFQEFAKNRLSVELARRRETLVITKQESPEKGNSITAAVVGASLGAGLGIVMAIVMGAASALRKP >DRNTG_34399.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25938212:25939268:-1 gene:DRNTG_34399 transcript:DRNTG_34399.5 gene_biotype:protein_coding transcript_biotype:protein_coding LCHGSISSFCWHDRSHQFLSICHSQCSSTMEPSGSGVEREDTSPKYYSRSY >DRNTG_34399.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25938212:25948079:-1 gene:DRNTG_34399 transcript:DRNTG_34399.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRSRVESWIRDQTDRIMAVRLPQPPRWRWSPWKARDDRRERERKLREEFERQRKQLLDLCRAVKADSVADLQEILSCMVLSECVYKRPASEMIRAINKFKSDFGGQIVSLEHVQPSLDHVPHRYLLAEAGDTLYASFIGTKQYKDVITDVNILQGAIFHEDDDEDFGLLDIKEDQGDLPNKIEEVHGKPHQVKTKQLKNNLKPAAHRGFLARAKGIPALELYKLAQKKNQKLVLCGHSLGGAVAVLATLAILRVLLLSPLVKDHEKVNIKCITFSQPPVGNAALRNYVHRKGWQHHFKTYCIPEDLVPRILSPAYFHHYNAANLETSPDIEISKISSAKHEGIKKSSTISKENGEQLVLGLGPVQTSFWRLSKLVPLEGIQKNLNLFKRVGKDIRKPSAANNSVESMVVDTDGEPQSLEIQEGSEGISLAPLPDVGRGPGEASNSQEDEKSRDKVGNSTEWRRVPYLPSYVPFGQLYLLGNSSVESLSDAEYSKLTSVRSVLAELRERLQSHSMRSYRSRFQKIFDMCMGLGSLGSSPFLGIEQLPQFPNLQQLLGLAAVDGVVRLGNIVEPPVIQTATSIVPLGWTGVPGNKNAEPLKVDIIGHGLHLCTLVQAQVNGNWCSTAVESLPSMPPYSSQHHMQPDLQKMRILIGSPLKKPPKHSGFDDSLPSVLSSPVTDLVNVNAEQKTGYFSEERRANCEGFDAFVVYCTSDFVTVSKEVYVRARRVRLLGFEGAGKTSLLSALIKQGKPRNKAGQENIHPELNSQEVMADGISYFDSPGVNLQELHSGMSSFREELQAGANDIHRKTDLIVIVHNLSQRIPWYHQADTPNHQPALSLLLNEARCLGVPWVLAITNKFSVSADQQKTLVNSAMEAYQVSAGMTEVINSCPFVIPSAPLPWSPADQESSGKTPAQNIILAPINFARMPFQRKAIIMPAEGIAALRQLIHRVLRSQEEMSFQEFAKNRLSVELARRRETLVITKQESPEKGNSITAAVVGASLGAGLGIVMAIVMGAASALRKP >DRNTG_34399.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25938212:25948079:-1 gene:DRNTG_34399 transcript:DRNTG_34399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRSRVESWIRDQTDRIMAVRLPQPPRWRWSPWKARDDRRERERKLREEFERQRKQLLDLCRAVKADSVADLQEILSCMVLSECVYKRPASEMIRAINKFKSDFGGQIVSLEHVQPSLDHVPHRYLLAEAGDTLYASFIGTKQYKDVITDVNILQGAIFHEDDDEDFGLLDIKEDQGDLPNKIEEVHGKPHQVKTKQLKNNLKPAAHRGFLARAKGIPALELYKLAQKKNQKLVLCGHSLGGAVAVLATLAILRVLLLSPLVKDHEKVNIKCITFSQPPVGNAALRNYVHRKGWQHHFKTYCIPEDLVPRILSPAYFHHYNAANLETSPDIEISKISSAKHEGIKKSSTISKENGEQLVLGLGPVQTSFWRLSKLVPLEGIQKNLNLFKRVGKDIRKPSAANNSVESMVVDTDGEPQSLEIQEGSEGISLAPLPDVGRGPGEASNSQEDEKSRDKVGNSTEWRRVPYLPSYVPFGQLYLLGNSSVESLSDAEYSKLTSAFAGEVCFSRTEGALAITFDEII >DRNTG_34399.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25938212:25940792:-1 gene:DRNTG_34399 transcript:DRNTG_34399.4 gene_biotype:protein_coding transcript_biotype:protein_coding MILYPLCCPPQSQILSMSMQNRKLDTSVKKEEQTVKDLMLLLYIVLVTLSLSPRRFTLERVGCDYLVLRYVFTSHQIIQFHGKNTIILLSCWLQGAGKTSLLSALIKQGKPRNKAGQENIHPELNSQEVMADGISYFDSPGVNLQELHSGMSSFREELQAGANDIHRKTDLIVIVHNLSQRIPWYHQADTPNHQPALSLLLNEARCLGVPWVLAITNKFSVSADQQKTLVNSAMEAYQVSAGMTEVINSCPFVIPSAPLPWSPADQESSGKTPAQNIILAPINFARMPFQRKAIIMPAEGIAALRQLIHRVLRSQEEMSFQEFAKNRLSVELARRRETLVITKQESPEKGNSITAAVVGASLGAGLGIVMAIVMGAASALRKP >DRNTG_01386.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28228851:28230388:-1 gene:DRNTG_01386 transcript:DRNTG_01386.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKTPLRPAAGGDLKACGGQRRSERNRKEVGVKLPLELGSKAMCQWCDKKLHPVEIIASRKLSDEYEYYVHYTEFDKRLDEWVKLERLNFDFEKID >DRNTG_01386.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28228443:28230388:-1 gene:DRNTG_01386 transcript:DRNTG_01386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKTPLRPAAGGDLKACGGQRRSERNRKEVGVKLPLELGSKAMCQWCDKKLHPVEIIASRKLSDEYEYYVHYTEYQKLKNDDTSPEKED >DRNTG_27684.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001404.1:51134:53101:1 gene:DRNTG_27684 transcript:DRNTG_27684.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYPQDFILLLQLGNPIPHPVRTRTKGIASPSLPNHDGEPNS >DRNTG_10993.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18856104:18857252:-1 gene:DRNTG_10993 transcript:DRNTG_10993.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFSLNQLCGYSNENFETTPVKVGIEKPVETLPPPPLLAKVKIDNSEAKTTVIVPASPKGVEELKLVNGYGDVHTFTYNELRLATKNFRPDLILGEGGFGVVYKGVIDNNVRPGFKSTEVAVKVLDPEGFQGDREWL >DRNTG_10993.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18853265:18856455:-1 gene:DRNTG_10993 transcript:DRNTG_10993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLTRMKIALGAAKGLACLHGAERPIIYRDFKTSNILLDAEYNAKLSDFGLAKEGPIGDQTHVSTRVMGTLGYAAPEYVATGHLTAMSDVYGFGVVLLEMLIGRKATDKSRPSREHNLVEWARPLLIRPNKLLRITDPRMEGQFSNKRMQMVANLAYQCLSHNPKGRPTMSEVVRILDPFNDMHNDGDDDILVHSSGGAVTLYEASPAAGAGAGAGAENDMKRNKAAPNGRSKE >DRNTG_10993.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18854070:18856455:-1 gene:DRNTG_10993 transcript:DRNTG_10993.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACGSLEKHLFRRVCLTMPWLTRMKIALGAAKGLACLHGAERPIIYRDFKTSNILLDAEYNAKLSDFGLAKEGPIGDQTHVSTRVMGTLGYAAPEYVATGESAAISNNQQIMRMHGE >DRNTG_10993.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18854070:18855032:-1 gene:DRNTG_10993 transcript:DRNTG_10993.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVYGFGVVLLEMLIGRKATDKSRPSREHNLVEWARPLLIRPNKLLRITDPRMEGQFSNKRMQMVANLAYQCLSHNPKGRPTMSEVVRILDPFNDMHNDGDDDILVHSSGGAVTLYEASPAAGAGAGAGAENDMKRNKAAPNGRSKE >DRNTG_10993.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18856104:18856455:-1 gene:DRNTG_10993 transcript:DRNTG_10993.8 gene_biotype:protein_coding transcript_biotype:protein_coding VKVGIEKPVETLPPPPLLAKVKIDNSEAKTTVIVPASPKGVEELKLVNGYGDVHTFTYNELRLATKNFRPDLILGEGGFGVVYKGVIDNNVRPGFKSTEVAVKVLDPEGFQGDREWL >DRNTG_10993.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18854070:18855646:-1 gene:DRNTG_10993 transcript:DRNTG_10993.5 gene_biotype:protein_coding transcript_biotype:protein_coding MACGSLEKHLFRRVCLTMPWLTRMKIALGAAKGLACLHGAERPIIYRDFKTSNILLDAEYNAKLSDFGLAKEGPIGDQTHVSTRVMGTLGYAAPEYVATGESAAISNNQQIMRMHGE >DRNTG_10993.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18854070:18857252:-1 gene:DRNTG_10993 transcript:DRNTG_10993.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFSLNQLCGYSNENFETTPVKVGIEKPVETLPPPPLLAKVKIDNSEAKTTVIVPASPKGVEELKLVNGYGDVHTFTYNELRLATKNFRPDLILGEGGFGVVYKGVIDNNVRPGFKSTEVAVKVLDPEGFQGDREWLAEVNYLGQFSHPNLVKLIGFCCEDEHRLLVYEYMACGSLEKHLFRRVCLTMPWLTRMKIALGAAKGLACLHGAERPIIYRDFKTSNILLDAEYNAKLSDFGLAKEGPIGDQTHVSTRVMGTLGYAAPEYVATGHLTAMSDVYGFGVVLLEMLIGRKATDKSRPSREHNLVEWARPLLIRPNKLLRITDPRMEGQFSNKRMQMVANLAYQCLSHNPKGRPTMSEVVRILDPFNDMHNDGDDDILVHSSGGAVTLYEASPAAGAGAGAGAENDMKRNKAAPNGRSKE >DRNTG_10993.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18854070:18856455:-1 gene:DRNTG_10993 transcript:DRNTG_10993.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLTRMKIALGAAKGLACLHGAERPIIYRDFKTSNILLDAEYNAKLSDFGLAKEGPIGDQTHVSTRVMGTLGYAAPEYVATGESAAISNNQQIMRMHGE >DRNTG_04096.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5367952:5371718:-1 gene:DRNTG_04096 transcript:DRNTG_04096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFGEMVACALAERAQDESTPTKRTEGTRRVEGVASSGATARTQSCLVREVWTHISRSLQLPTLPSSMSLLWGDWRSALRPAIRVIGDGAVKAFVWAIWLTRNDCIFSHKCALLHSVMVKTDHMILSWFSAAHEGLRVKLDDSISSIRRSLEFPGSRYEGAEEESAIEMAQVVSQK >DRNTG_03612.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11186543:11190484:1 gene:DRNTG_03612 transcript:DRNTG_03612.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CURT1C [Source:Projected from Arabidopsis thaliana (AT1G52220) UniProtKB/TrEMBL;Acc:A0A178WBD4] MASASAIALPYLFFNGNRTYLRKNPYVPISTITRGRKRCFTVVAKAVGDDSDSSSGSFVKYVRNAWNNSEDRLALVGLGFAAIAAFWASSSLIAAIDKLPFIPSALEFIGIWFSWWFIYRYILFKPDREELVRNVKNSISDILGQ >DRNTG_03612.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11186543:11190484:1 gene:DRNTG_03612 transcript:DRNTG_03612.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CURT1C [Source:Projected from Arabidopsis thaliana (AT1G52220) UniProtKB/TrEMBL;Acc:A0A178WBD4] MLQVNMASASAIALPYLFFNGNRTYLRKNPYVPISTITRGRKRCFTVVAKAVGDDSDSSSGSFVKYVRNAWNNSEDRLALVGLGFAAIAAFWASSSLIAAIDKLPFIPSALEFIGIWFSWWFIYRYILFKPDREELVRNVKNSISDILGQ >DRNTG_26727.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1246259:1248062:-1 gene:DRNTG_26727 transcript:DRNTG_26727.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILSSQTMDIPEGVKVLVKAKMIVVEGPRGKLTRNFKHLNLDFELIDGGRKLKVDAWFGSRKTMAAIRTALSHVQNLITGVTKGYRYKMRFVYAHFPINSSITNNSSSIEIRNFLGEKRVRKVDMLEGVSISRSEKVKDELVLDGNDVELVSRSAALINQKCHVKNKDIRKFLDGIYVSEKGTIAEEQ >DRNTG_32603.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18402223:18404253:1 gene:DRNTG_32603 transcript:DRNTG_32603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLGGLYGATTGMNARANPIMPPDLNEGAACKIAVEDNFPPGSDFLKCCPPYQGDTTLVVTDYTFPKTPLRVRRPIQEVVNDSEYMEKFKTAIKKMKELPPTDPRNFIQQSKIHCAYCNEAYPQNGDVNATISVHNSWIFLPWHRYYLHFFERILGSLIGDDTFALPYWNFDNPDGMTIPTIYTDQNSSLYDTYRDTSHYPPKIVDYKYKYTDTDVTDDDLIAENLAYMRKTFKEGVPLPELFMGDQLRAMENPSLTSPGQLEVIHNSIHMWVGERELPHRDMGTFVTASRDCIFYGLHANVDRLWSFYRALRGNRLEFKDNDWLDATFVL >DRNTG_24192.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22422073:22424568:1 gene:DRNTG_24192 transcript:DRNTG_24192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSQAKTRSKKKLENRSDPPADPGPQCDIDSRETDPQMCPHYASDIYQYLRSMEVEAKRRPLANYIETVQTDITANMRGILVDWLVEVAEEYKLVADTVYLTVSYVDRYLSSNAITRQQLQLLGVASMFIASKYEEIGPPHMEDFCYITDNTYTKQEVLKMETEILKFLSFEMGNPTIKTFLRRFTKAGLEESKYPALQLEFLGSYLAELSLLDYGCLRFLLSVVAASAVFLARFTISPENHPWCATLRRYTGYKPAELKDCIHAIHDLQLNKTFSNLVATRDKYMHHKFKGVSTLSPPLEIPPVYFEDIKEWS >DRNTG_24192.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22422073:22423175:1 gene:DRNTG_24192 transcript:DRNTG_24192.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSQAKTRSKKKLENRSDPPADPGPQCDIDSRETDPQMCPHYASDIYQYLRSMEVEAKRRPLANYIETVQTDITANMRGILVDWLVEVAEEYKLVADTVYLTVSYVDRYLSSNAITRQQLQLLGVASMFIAS >DRNTG_03676.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13814977:13815936:-1 gene:DRNTG_03676 transcript:DRNTG_03676.1 gene_biotype:protein_coding transcript_biotype:protein_coding QGKGSGGRKKAFLGEKSQEKKAQKQFKNYTNSSKQRAIKLSLGAKMATINVLKVVFHGNIEVQGSIHYVLWSKAWLLDYFTMCSLS >DRNTG_17282.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18491211:18492487:-1 gene:DRNTG_17282 transcript:DRNTG_17282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSYDNRTGLCGLTSLKFADFSYNFFVGKIPPCLKYLSRLNFREIVFQDKESIKLAFY >DRNTG_35197.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20967618:20969165:1 gene:DRNTG_35197 transcript:DRNTG_35197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKWKKKRMRRLKRKRRKMRQRSK >DRNTG_30105.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31125166:31132645:-1 gene:DRNTG_30105 transcript:DRNTG_30105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHRSLKLKEAHKASGGGGGRAALCSIIWDPEGRHLVTASAADQSVLIHYLDQQSKPPKALDHHKEGVTALAFSPSSNSFASGSVDHSVKLYSFPDGEFQSNVTRFTLPIRSLAFNKSGSLLAAAGDDDGIKLIATIDSTISRVLKGHKASVTGLAFDPKNEFLASVDSVGTVIYWELSSGKSVHTLNSVAPICDSDSSLSNVLSWSPDGEILAVPGLRNDVVMYDRDTAEKLFTLKGDHEKSVCFLSWSPNGKYIATSGLDKQVLIWDIDLRQDIERQKFDDRITGLAWKSNGNALAVIDVMGKFGVWESTIPSCMKSPIDGAPSPQIRNDSGFLFDEDNEKSSASGSLDNVDEESHGESVPITGKRLRKQSILEDCFGEDSDGEEGLLRQIESRKRNSAKRKVDIGKGDECSSLMKSIRVRMQEAFQPGSTPIQPGKRRFLCYNLLGSITTLENEGNSHIEVDFHDTGRGPRVPSMTDYFGFTMASLNENGSVFANPCKGEKNTSTLMYRPFSSWANNSEWSMRFEAEEVQAVALGNGWVAAATSLNFLRIFTDGGLQKHILCLNGPVVTLAGLKDELAIVTHASDSLPSGDQVLDVMVLNILNGTQTIISRLPLTPSSHLTWFGFSDEGQLSSYDSKGVLRMFSTQFGGSWLPVFSASKARKSEDENYWVVGLSASKLFCIICKSPDSYPLVMPKPVLTLLNFSLPLACSDLGADELENEFVLSKISLLQIQNKVKEMVAAGIDTTALCDEAFSIEASMDRCILKLIASCCNSDKLVRATELARLLSLEKSVMGAIKLATALKLPVLAERFIGVLEESLLDKSEGAPHVSNLASSAAVTSNVAKRKILPVPAVNKPQVLKSVGSEEKSGEVGGFQLCKSPSEGNTKDSRQQMQSNLMATPSAKASSDHYKVELCNNTREGNSKAGVNQMPCVKSNETTLKDEGVNQMQSHRAVNPFAKASKNQGKSSLFDSIKKKVDNEKMDGLAKK >DRNTG_13984.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27838303:27842864:-1 gene:DRNTG_13984 transcript:DRNTG_13984.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCGASGEIPPTLANLKQLTILWASDNNFTGKIPDFIGSWTNLITL >DRNTG_13984.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27833936:27842864:-1 gene:DRNTG_13984 transcript:DRNTG_13984.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCGASGEIPPTLANLKQLTILWASDNNFTGKIPDFIGSWTNLITLRMQGNSFEGPIPSNFANLKQLTDLRIGDLLSSGSSLAVISNLTSLSTLVLRNNKISDAIPQDFTRYTSLQMLDLSFNNITGSLPDSLFNLTSLAYLFLGNNSLFGSLPAQKSSSLVNVDLSYNKLTGRFPSWVSQKNLHLNLVANNFVIDDSNSSILPSGLKCLQQDKPCYRGSPIYSSFGIKCGGNRSMEASDRVVYDADNTDLTTASYFVTEGNKWAVSTVGHFADASYFSYIINTLSQFQNTLDTELFQTARLSPSSLRYYGIGLEDGNYSVKLQFAEIIYPDSRTWKSVGRRVFDIYIQGNLQEKDFDIRKEAGGFSDRAVVKEYVIPVTNNFLEIHFFWAGKGTCCVPTQGYYGASVSAISVSPYDFTSTVSNEPPSASSKKNHTGLAIGIAAAVASLGLIAILGIFIWRWKRKLNGNDYQGVINF >DRNTG_13984.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27834822:27842864:-1 gene:DRNTG_13984 transcript:DRNTG_13984.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCGASGEIPPTLANLKQLTILWASDNNFTGKIPDFIGSWTNLITLRMQGNSFEGPIPSNFANLKQLTDLRIGDLLSSGSSLAVISNLTSLSTLVLRNNKISDAIPQDFTRYTSLQMLDLSFNNITGSLPDSLFNLTSLAYLFLGNNSLFGSLPAQKSSSLVNVDLSYNKLTGRFPSWVSQKNLHLNLVANNFVIDDSNSSILPSGLKCLQQDKPCYRGSPIYSSFGIKCGGNRSMEASDRVVYDADNTDLTTASYFVTEGNKWAVSTVGHFADASYFSYIINTLSQFQNTLDTELFQTARLSPSSLRYYGIGLEDGNYSVKLQFAEIIYPDSRTWKSVGRRVFDIYIQGNLQEKDFDIRKEAGGFSDRAVVKEYVIPVTNNFLEIHFFWAGKGTCCVPTQGYYGASVSAISVSPYDFTSTVSNEPPSASSKKNHTGLAIGIAAAVASLGLIAILGIFIWRWKRKLNGNDYQGVINF >DRNTG_02458.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19421994:19428195:-1 gene:DRNTG_02458 transcript:DRNTG_02458.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative nuclear RNA export factor SDE5 [Source:Projected from Arabidopsis thaliana (AT3G15390) UniProtKB/Swiss-Prot;Acc:Q9LUQ3] MENTPNMDQFSSSGFENDDETRALISLLSAFGSVCSLDEIADAYCKGERDVNRTGEILCQLQTSKSNGDVQGSNKGLDHPEPTQSLHGHTVVETTLPDKNSKGKSSKACASLGTVSSVMGRTYCKTTPSLNETSRTSKPLIVEMKGSIEEDHEIDLITSRIADLKASIHDKDVEEFLFSMLGDGFQLRKDVIREVLGQCGYNVKDSMEELLALSNKSMDKQRHLNCDTAQNVTGENLEIKACGSSQSHLSSSSGRNSTEQSNLDEEKSSLSREILESLFHAPPRSDQEPRKRLEWGLNRTRVAGQQIVSKPLEAVPQPPLISIRHIRPDFENTLDENDQYSLLRKAAKQHWSTMKEYYVAAVDAFNDGDRAKANYLLEQGKYYNQLAREADEKSQQEILNMKLYLFSNMDFSLYLPNLSSSFTVINFVISHDLG >DRNTG_02458.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19421994:19428195:-1 gene:DRNTG_02458 transcript:DRNTG_02458.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative nuclear RNA export factor SDE5 [Source:Projected from Arabidopsis thaliana (AT3G15390) UniProtKB/Swiss-Prot;Acc:Q9LUQ3] MENTPNMDQFSSSGFENDDETRALISLLSAFGSVCSLDEIADAYCKGERDVNRTGEILCQLQTSKSNGDVQGSNKGLDHPEPTQSLHGHTVVETTLPDKNSKGKSSKACASLGTVSSVMGRTYCKTTPSLNETSRTSKPLIVEMKGSIEEDHEIDLITSRIADLKASIHDKDVEEFLFSMLGDGFQLRKDVIREVLGQCGYNVKDSMEELLALSNKSMDKQRHLNCDTAQNVTGENLEIKACGSSQSHLSSSSGRNSTEQSNLDEEKSSLSREILESLFHAPPRSDQEPRKRLEWGLNRTRVAGQQIVSKPLEAVPQPPLISIRHIRPDFENTLDENDQYSLLRKAAKQHWSTMKEYYVAAVDAFNDGDRAKANYLLEQGKYYNQLAREADEKSQQEILNMKSSEQCDFPLDLHEHNPKEAVKLLKVHIRSLASMPSFRYLKVVLETDAMDAKNGRRRRSVTRFLEKDLINWTEEDGNPGTILIKLDEINLDKLSQKNDSN >DRNTG_02458.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19421994:19423509:-1 gene:DRNTG_02458 transcript:DRNTG_02458.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative nuclear RNA export factor SDE5 [Source:Projected from Arabidopsis thaliana (AT3G15390) UniProtKB/Swiss-Prot;Acc:Q9LUQ3] MILSDMSFSTKICPALDENDQYSLLRKAAKQHWSTMKEYYVAAVDAFNDGDRAKANYLLEQGKYYNQLAREADEKSQQEILNMKLYLFSNMDFSLYLPNLSSSFTVINFVISHDLG >DRNTG_02458.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19426518:19427375:-1 gene:DRNTG_02458 transcript:DRNTG_02458.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative nuclear RNA export factor SDE5 [Source:Projected from Arabidopsis thaliana (AT3G15390) UniProtKB/Swiss-Prot;Acc:Q9LUQ3] MENTPNMDQFSSSGFENDDETRALISLLSAFGSVCSLDEIADAYCKGERDVNRTGEILCQLQTSKSNGDVQGSNKGLDHPEPTQSLHGHTVVETTLPDKNSKGKSSKACASLGTVSSVMGRTYCKTTPSLNETSRTSKPLIVEMKGSIEEDHEIDLITSRIADLKASIHDKDVEEFLFSMLGDGFQLRKDVIREVLGNQLLYACLCLFKLLFP >DRNTG_02458.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19425088:19425490:-1 gene:DRNTG_02458 transcript:DRNTG_02458.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative nuclear RNA export factor SDE5 [Source:Projected from Arabidopsis thaliana (AT3G15390) UniProtKB/Swiss-Prot;Acc:Q9LUQ3] MEELLALSNKSMDKQRHLNCDTAQN >DRNTG_02458.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19421994:19427375:-1 gene:DRNTG_02458 transcript:DRNTG_02458.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative nuclear RNA export factor SDE5 [Source:Projected from Arabidopsis thaliana (AT3G15390) UniProtKB/Swiss-Prot;Acc:Q9LUQ3] MENTPNMDQFSSSGFENDDETRALISLLSAFGSVCSLDEIADAYCKGERDVNRTGEILCQLQTSKSNGDVQGSNKGLDHPEPTQSLHGHTVVETTLPDKNSKGKSSKACASLGTVSSVMGRTYCKTTPSLNETSRTSKPLIVEMKGSIEEDHEIDLITSRIADLKASIHDKDVEEFLFSMLGDGFQLRKDVIREVLGQCGYNVKDSMEELLALSNKSMDKQRHLNCDTAQNVTGENLEIKACGSSQSHLSSSSGRNSTEQSNLDEEKSSLSREILESLFHAPPRSDQEPRKRLEWGLNRTRVAGQQIVSKPLEAVPQPPLISIRHIRPDFENTLDENDQYSLLRKAAKQHWSTMKEYYVAAVDAFNDGDRAKANYLLEQGKYYNQLAREADEKSQQEILNMKLYLFSNMDFSLYLPNLSSSFTVINFVISHDLG >DRNTG_02458.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19421994:19425490:-1 gene:DRNTG_02458 transcript:DRNTG_02458.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative nuclear RNA export factor SDE5 [Source:Projected from Arabidopsis thaliana (AT3G15390) UniProtKB/Swiss-Prot;Acc:Q9LUQ3] MEELLALSNKSMDKQRHLNCDTAQNVTGENLEIKACGSSQSHLSSSSGRNSTEQSNLDEEKSSLSREILESLFHAPPRSDQEPRKRLEWGLNRTRVAGQQIVSKPLEAVPQPPLISIRHIRPDFENTLDENDQYSLLRKAAKQHWSTMKEYYVAAVDAFNDGDRAKANYLLEQGKYYNQLAREADEKSQQEILNMKLYLFSNMDFSLYLPNLSSSFTVINFVISHDLG >DRNTG_04473.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31670014:31671598:1 gene:DRNTG_04473 transcript:DRNTG_04473.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRYLIKTRALSIEDPFEQTENTARAVGWAELNIISRAFREAYRLFSSRNVGSDRTALLTYLVRPSIRSQLRGGLHIIDDDDNDDDDDDDDDETMENRFRDTLTISHASNTSSSRSIGRMWTGSSRVFFND >DRNTG_04473.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31670014:31671598:1 gene:DRNTG_04473 transcript:DRNTG_04473.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRYLIKTRALSIEDPFEQTENTARAVGWAELNIISRAFREAYRLFSSRNVGSDRTALLTYLVRPSIRSQLRGGLHIIDDDDNDDDDDDDDDETMENRFRDTLTISHASNTSSSRSIGRMWTGSSRVFFND >DRNTG_04473.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31670014:31671598:1 gene:DRNTG_04473 transcript:DRNTG_04473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIYHFQTCTPPILPPLKEIYEGNVADAITGTTAFTERRIRDVCLANIARFKSQVYRQKNKSSLSQLLIEFFQKFSNIGSISSTNAFCTYTGRMGTRYLIKTRALSIEDPFEQTENTARAVGWAELNIISRAFREAYRLFSSRNVGSDRTALLTYLVRPSIRSQLRGGLHIIDDDDNDDDDDDDDDETMENRFRDTLTISHASNTSSSRSIGRMWTGSSRVFFND >DRNTG_04473.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31670014:31671598:1 gene:DRNTG_04473 transcript:DRNTG_04473.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTCTPPILPPLKEIYEGNVADAITGTTAFTERRIRDVCLANIARFKSQVYRQKNKSSLSQLLIEFFQKFSNIGSISSTNAFCTYTGRMGTRYLIKTRALSIEDPFEQTENTARAVGWAELNIISRAFREAYRLFSSRNVGSDRTALLTYLVRPSIRSQLRGGLHIIDDDDNDDDDDDDDDETMENRFRDTLTISHASNTSSSRSIGRMWTGSSRVFFND >DRNTG_20629.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20549771:20550911:1 gene:DRNTG_20629 transcript:DRNTG_20629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEKAMVILLLLIHGEELQLDYLLLPCQIVFLLE >DRNTG_01823.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16270501:16274938:-1 gene:DRNTG_01823 transcript:DRNTG_01823.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g24740 [Source:Projected from Arabidopsis thaliana (AT4G24740) UniProtKB/TrEMBL;Acc:Q1EBU3] MGEGTFGQVLECWDRERREMVAIKIVRGIKKYREAAMIEIDMLQQLGKHDKNGARCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRPFPIDLVRELGRQLLECVAFMHDLRLIHTDLKPENILLVSSEYIKVPDYKVSSRSPKDGSYSKRLPKSSAIKVIDFGSTTYDHQDHTYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCSVCNHHLHLFWVALG >DRNTG_01823.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16270501:16274938:-1 gene:DRNTG_01823 transcript:DRNTG_01823.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g24740 [Source:Projected from Arabidopsis thaliana (AT4G24740) UniProtKB/TrEMBL;Acc:Q1EBU3] MALVMHDLRLIHTDLKPENILLVSSEYIKVPDYKVSSRSPKDGSYSKRLPKSSAIKVIDFGSTTYDHQDHTYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCSVCNHHLHLFWVALG >DRNTG_01823.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16270501:16274938:-1 gene:DRNTG_01823 transcript:DRNTG_01823.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g24740 [Source:Projected from Arabidopsis thaliana (AT4G24740) UniProtKB/TrEMBL;Acc:Q1EBU3] MEMELLAEYPHAHMDRRPRKRARLGWDVPEAPKAQVGMFCGQEVGDVTSFVSSGAPSDLTCSPLYAKEVARNASPPWREDDKDGHYMFALGENLTSRYKIHSKMGEGTFGQVLECWDRERREMVAIKIVRGIKKYREAAMIEIDMLQQLGKHDKNGARCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRPFPIDLVRELGRQLLECVAFMHDLRLIHTDLKPENILLVSSEYIKVPDYKVSSRSPKDGSYSKRLPKSSAIKVIDFGSTTYDHQDHTYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCSGEALFQTHENLEHLGMMERVLGPLPQHILKRADRHAEKYIRRGRLNWPQGATSRESIKAVLKLPRLQNLVMQHVDHSAGELIHLLQGLLKYDPSDRLTAHEALGHPFFSRYHGRS >DRNTG_10392.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23019642:23021057:1 gene:DRNTG_10392 transcript:DRNTG_10392.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKGRGDIRIFDTYDELATDLAEYVAQLSESSVKERGYFTIALSGGSLISLLGKLCEAPYNKTVDWTKWYVLWAEERAVSKNHSDSNYKLAKDSFLSKVSILNNHVYSINDSKTVEDAAMQYEFTIRQLVKARVLSVSGVNDCPKFDLILLCMGSDGHIAALYPHHPALELKEDWITHITDSSEPPPERITFTLPVINSASNVVVLATGEDKARAVHLAIDNVGQDFDASAVPARLVEPVDGKLVWFMDMAAASQVTTNE >DRNTG_10392.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23017995:23021057:1 gene:DRNTG_10392 transcript:DRNTG_10392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKGRGDIRIFDTYDELATDLAEYVAQLSESSVKERGYFTIALSGGSLISLLGKLCEAPYNKTVDWTKWYVLWAEERAVSKNHSDSNYKLAKDSFLSKVSILNNHVYSINDSKTVEDAAMQYEFTIRQLVKARVLSVSGVNDCPKFDLILLCMGSDGHIAALYPHHPALELKEDWITHITDSSEPPPERITFTLPVINSASNVVVLATGEDKARAVHLAIDNVGQDFDASAVPARLVEPVDGKLVWFMDMAAASQVTTNE >DRNTG_17853.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2841461:2842697:1 gene:DRNTG_17853 transcript:DRNTG_17853.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAFFSFFSSILLMLSYLSINVECFAPSGWTKATATFYGGSDASGTMGGACGYGNLYSTGYGTRTAALSTALFNDGASCGQCYKIICDYKSDSQWCIKGVSVTITATNFCPPNYALPNDDGGWCNPPRQHFDMAQPAWEKIGIYRGGIVPVLYQRVPCKKRGGVKFTVNGRDYFELVLISNVGGSGSIASMSIKGSKTDWMTMSRNWGANWQSNAYLNGQSLSFKVTTTDSQVLVFNDVVPSNWGFGQTFSSTLQFS >DRNTG_17853.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2841461:2842428:1 gene:DRNTG_17853 transcript:DRNTG_17853.13 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAFFSFFSSILLMLSYLSINVECFAPSGWTKATATFYGGSDASGTMGGACGYGNLYSTGYGTRTAALSTALFNDGASCGQCYKIICDYKSDSQWCIKGVSVTITATNFCPPNYALPNDDGGWCNPPRQHFDMAQPAWEKIGIYRGGIVPVLYQRVPCKKRGGVKFTVNGRDYFELVLISNVGGSGSIASMSIKGSKTDWMTMSRNWGANWQSNAYLNGQSLSFKVTTTDSQVLVFNDVVPSNWGFGQTFSSTLQ >DRNTG_17853.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2841350:2842428:1 gene:DRNTG_17853 transcript:DRNTG_17853.10 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAFFSFFSSILLMLSYLSINVECFAPSGWTKATATFYGGSDASGTMGGACGYGNLYSTGYGTRTAALSTALFNDGASCGQCYKIICDYKSDSQWCIKGVSVTITATNFCPPNYALPNDDGGWCNPPRQHFDMAQPAWEKIGIYRGGIVPVLYQRVPCKKRGGVKFTVNGRDYFELVLISNVGGSGSIASMSIKGSKTDWMTMSRNWGANWQSNAYLNGQSLSFKVTTTDSQVLVFNDVVPSNWGFGQTFSSTLQ >DRNTG_17853.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2841461:2842697:1 gene:DRNTG_17853 transcript:DRNTG_17853.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAWEKIGIYRGGIVPVLYQRVPCKKRGGVKFTVNGRDYFELVLISNVGGSGSIASMSIKGSKTDWMTMSRNWGANWQSNAYLNGQSLSFKVTTTDSQVLVFNDVVPSNWGFGQTFSSTLQFS >DRNTG_17853.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2841437:2842697:1 gene:DRNTG_17853 transcript:DRNTG_17853.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAWEKIGIYRGGIVPVLYQRVPCKKRGGVKFTVNGRDYFELVLISNVGGSGSIASMSIKGSKTDWMTMSRNWGANWQSNAYLNGQSLSFKVTTTDSQVLVFNDVVPSNWGFGQTFSSTLQFS >DRNTG_17853.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2841350:2842627:1 gene:DRNTG_17853 transcript:DRNTG_17853.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAFFSFFSSILLMLSYLSINVECFAPSGWTKATATFYGGSDASGTMGGACGYGNLYSTGYGTRTAALSTALFNDGASCGQCYKIICDYKSDSQWCIKGVSVTITATNFCPPNYALPNDDGGWCNPPRQHFDMAQPAWEKIGIYRGGIVPVLYQRVPCKKRGGVKFTVNGRDYFELVLISNVGGSGSIASMSIKGSKTDWMTMSRNWGANWQSNAYLNGQSLSFKVTTTDSQVLVFNDVVPSNWGFGQTFSSTLQFS >DRNTG_17853.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2841437:2842428:1 gene:DRNTG_17853 transcript:DRNTG_17853.12 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAFFSFFSSILLMLSYLSINVECFAPSGWTKATATFYGGSDASGTMGGACGYGNLYSTGYGTRTAALSTALFNDGASCGQCYKIICDYKSDSQWCIKGVSVTITATNFCPPNYALPNDDGGWCNPPRQHFDMAQPAWEKIGIYRGGIVPVLYQRVPCKKRGGVKFTVNGRDYFELVLISNVGGSGSIASMSIKGSKTDWMTMSRNWGANWQSNAYLNGQSLSFKVTTTDSQVLVFNDVVPSNWGFGQTFSSTLQ >DRNTG_17853.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2841350:2842697:1 gene:DRNTG_17853 transcript:DRNTG_17853.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAFFSFFSSILLMLSYLSINVECFAPSGWTKATATFYGGSDASGTMGGACGYGNLYSTGYGTRTAALSTALFNDGASCGQCYKIICDYKSDSQWCIKGVSVTITATNFCPPNYALPNDDGGWCNPPRQHFDMAQPAWEKIGIYRGGIVPVLYQRVPCKKRGGVKFTVNGRDYFELVLISNVGGSGSIASMSIKGSKTDWMTMSRNWGANWQSNAYLNGQSLSFKVTTTDSQVLVFNDVVPSNWGFGQTFSSTLQFS >DRNTG_17853.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2841461:2842627:1 gene:DRNTG_17853 transcript:DRNTG_17853.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAFFSFFSSILLMLSYLSINVECFAPSGWTKATATFYGGSDASGTMGGACGYGNLYSTGYGTRTAALSTALFNDGASCGQCYKIICDYKSDSQWCIKGVSVTITATNFCPPNYALPNDDGGWCNPPRQHFDMAQPAWEKIGIYRGGIVPVLYQRVPCKKRGGVKFTVNGRDYFELVLISNVGGSGSIASMSIKGSKTDWMTMSRNWGANWQSNAYLNGQSLSFKVTTTDSQVLVFNDVVPSNWGFGQTFSSTLQFS >DRNTG_17853.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2841437:2842627:1 gene:DRNTG_17853 transcript:DRNTG_17853.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAFFSFFSSILLMLSYLSINVECFAPSGWTKATATFYGGSDASGTMGGACGYGNLYSTGYGTRTAALSTALFNDGASCGQCYKIICDYKSDSQWCIKGVSVTITATNFCPPNYALPNDDGGWCNPPRQHFDMAQPAWEKIGIYRGGIVPVLYQRVPCKKRGGVKFTVNGRDYFELVLISNVGGSGSIASMSIKGSKTDWMTMSRNWGANWQSNAYLNGQSLSFKVTTTDSQVLVFNDVVPSNWGFGQTFSSTLQFS >DRNTG_17853.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2841350:2842428:1 gene:DRNTG_17853 transcript:DRNTG_17853.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAWEKIGIYRGGIVPVLYQRVPCKKRGGVKFTVNGRDYFELVLISNVGGSGSIASMSIKGSKTDWMTMSRNWGANWQSNAYLNGQSLSFKVTTTDSQVLVFNDVVPSNWGFGQTFSSTLQ >DRNTG_17853.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2841437:2842697:1 gene:DRNTG_17853 transcript:DRNTG_17853.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAFFSFFSSILLMLSYLSINVECFAPSGWTKATATFYGGSDASGTMGGACGYGNLYSTGYGTRTAALSTALFNDGASCGQCYKIICDYKSDSQWCIKGVSVTITATNFCPPNYALPNDDGGWCNPPRQHFDMAQPAWEKIGIYRGGIVPVLYQRVPCKKRGGVKFTVNGRDYFELVLISNVGGSGSIASMSIKGSKTDWMTMSRNWGANWQSNAYLNGQSLSFKVTTTDSQVLVFNDVVPSNWGFGQTFSSTLQFS >DRNTG_17853.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2841461:2842627:1 gene:DRNTG_17853 transcript:DRNTG_17853.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAWEKIGIYRGGIVPVLYQRVPCKKRGGVKFTVNGRDYFELVLISNVGGSGSIASMSIKGSKTDWMTMSRNWGANWQSNAYLNGQSLSFKVTTTDSQVLVFNDVVPSNWGFGQTFSSTLQFS >DRNTG_26225.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:406460:410870:1 gene:DRNTG_26225 transcript:DRNTG_26225.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLQELIPNCNKVDKASMLDEAIEYLKTLQLQVQIMSMGNGFCMPPMMLPPGMQHIRAPPMGPYSTMGLGMGMGMGYGMGMLDMNGSPLIPQFPCPSLPGAPGLHGMPGSAALQMFGIPGQGFPVPMPRPAQSSSFSMLPTKPNSVPEGSEADINPVPQSAAETVPSSSSKDQHHQSINSELPPKTSVHNSQIQASMKANNECAEHSTLVQMSDQNVRIGGNGSINCQRKNG >DRNTG_26225.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:406189:410787:1 gene:DRNTG_26225 transcript:DRNTG_26225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLQELIPNCNKVDKASMLDEAIEYLKTLQLQVQIMSMGNGFCMPPMMLPPGMQHIRAPPMGPYSTMGLGMGMGMGYGMGMLDMNGSPLIPQFPCPSLPGAPGLHGMPGSAALQMFGIPGQGFPVPMPRPAQSSSFSMLPTKPNSVPEGSEADINPVPQSAAETVPSSSSKDQHHQSINSELPPKTSVHNSQIQASMKANNECAEHSTLVQMSDQNVRIGGNGSINCQRKNG >DRNTG_33508.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002012.1:31201:38206:-1 gene:DRNTG_33508 transcript:DRNTG_33508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETAKSSSSIEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPAIVHRKCF >DRNTG_33508.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002012.1:31201:33430:-1 gene:DRNTG_33508 transcript:DRNTG_33508.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETAKSSSSIEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPAIVHRKCF >DRNTG_33508.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002012.1:31201:34698:-1 gene:DRNTG_33508 transcript:DRNTG_33508.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETAKSSSSIEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPAIVHRKCF >DRNTG_01396.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4584451:4590888:-1 gene:DRNTG_01396 transcript:DRNTG_01396.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trafficking protein particle complex II-specific subunit 120 homolog [Source:Projected from Arabidopsis thaliana (AT5G11040) UniProtKB/Swiss-Prot;Acc:Q9FY61] MGAADGAKSLIDASDRLILYVEIARIYGSLGYQRKAAFFSRQVAQLYLQQDNSFAAISALQVLSLTSKAYHVQSRGASQKQIGSHHSLGPSQADGGKMHQQSIVSLFESQWSTLQMVVLREILMSSVRAGDPLAAWSAAARLLRSYYPLITPAGQSGLASSLVNSAERLPVGTRCADAALPFIRQETFSLYSTLLSSLFICFQCYAIKQELRELNHSFILVLQLFSFLDIHADFCCPES >DRNTG_01396.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4579538:4584343:-1 gene:DRNTG_01396 transcript:DRNTG_01396.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trafficking protein particle complex II-specific subunit 120 homolog [Source:Projected from Arabidopsis thaliana (AT5G11040) UniProtKB/Swiss-Prot;Acc:Q9FY61] MTPFQKAVFVDGDSAGEVTSPNAMNSLLKIDPYSGSWVFRLLEIELSNPTDVVFEVNVSVKTDSTNNGNGVTSIQHYAADIGYPKTRIDCDFSARVLIPLEHFKLPVLDGSFLAKDCQPNDFLGGKISNAAERNSKAELNASINNLIKKIKVRWQSGRNSSGELNIKDAIQAALQASMMDILLPEPLTFVFNLAKNGTANEAINGTKQLAEQTNELANSVDQCASGSAVRFKGSISAHEMTPMEVLIRNNTKEPIQMSLSVTCRDVAGENCTEGSKATVLWAGVLTGIQLEVLPLEEVSHSFSLYFLVPGEYTLMGTAIINGATDMLRARARTDSSDETIFCRGSPFHINVAGAV >DRNTG_01396.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4579538:4590888:-1 gene:DRNTG_01396 transcript:DRNTG_01396.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trafficking protein particle complex II-specific subunit 120 homolog [Source:Projected from Arabidopsis thaliana (AT5G11040) UniProtKB/Swiss-Prot;Acc:Q9FY61] MEPDVSIETGCEIRVAVLPIGGAIPPPRLRLYLSMLSCHRRVDLSSVSSFYSEHQKSPFTHQPWDSGSLRFKFVVGGAPPSPWEDFQSCRKILAVVGLCHCPSSPDLSLVADQFSAACKPYTSALVERCFAFCPSDSQLDEGKRVSNVILFPPSDRQTQEFHMLTMVQDLAASLLMEFEKWVLRAESAGTILKTPLDSQSSLSSEEVIKAKKRRLGRAQKTIGDYCLLAGSPADANAHYNTAIELARLTGDVFWHAGALEGSVCALLVDRAGQQDATLEEEVKYRYYTVIQLYRRSYLQDNAQRVSTVSFELEAALKLARYLCRQELAKEVVELLMGAADGAKSLIDASDRLILYVEIARIYGSLGYQRKAAFFSRQVAQLYLQQDNSFAAISALQVLSLTSKAYHVQSRGASQKQIGSHHSLGPSQADGGKMHQQSIVSLFESQWSTLQMVVLREILMSSVRAGDPLAAWSAAARLLRSYYPLITPAGQSGLASSLVNSAERLPVGTRCADAALPFIRLHSFPIHPSQMDLVKRNPAKEEWWVGSAPSGPFIYTPFGKGGSNESNKQDLTWIVGEPVQVLVELANPCGFELVVESIYLSVHSGNFDAFPVSVNLPPNSAKVILLSGIPTKVGPVSIPGCIVHCFGVITEHLFKDVDNLLLGAAQGLVLSDPFRSCGSGKLKIVSVPNISVVPPLPLLVSHVVGGDGAAILYEGEIRDITISFL >DRNTG_01396.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4579372:4584343:-1 gene:DRNTG_01396 transcript:DRNTG_01396.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trafficking protein particle complex II-specific subunit 120 homolog [Source:Projected from Arabidopsis thaliana (AT5G11040) UniProtKB/Swiss-Prot;Acc:Q9FY61] MTPFQKAVFVDGDSAGEVTSPNAMNSLLKIDPYSGSWVFRLLEIELSNPTDVVFEVNVSVKTDSTNNGNGVTSIQHYAADIGYPKTRIDCDFSARVLIPLEHFKLPVLDGSFLAKDCQPNDFLGGKISNAAERNSKAELNASINNLIKKIKVRWQSGRNSSGELNIKDAIQAALQASMMDILLPEPLTFVFNLAKNGTANEAINGTKQLAEQTNELANSVDQCASGSAVRFKGSISAHEMTPMEVLIRNNTKEPIQMSLSVTCRDVAGENCTEGSKATVLWAGVLTGIQLEVLPLEEVSHSFSLYFLVPGEYTLMGTAIINGATDMLRARARTDSSDETIFCRGSPFHINVAGAV >DRNTG_30943.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2487176:2489207:1 gene:DRNTG_30943 transcript:DRNTG_30943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGKRIVMFLDYDGTLSPIVDDPDLAFMSDAMRTAVRNVARCFPTAIVTGRRLDKVYEFVRLAELYYAGSHGMDIKGPVKGPRHAKTKTKAVLFQPASEFLPMIDEVYKALLVKTRSIPGVKVENNKFCASVHFRCVDEKRWSALAEQVRSVLKEYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGFADNEEVFPVYIGDDRTDEDAFKVLRDRGQGFGILVSKYPKETNASYSLQEPSEVMNFLHRLVEWKRSSLKHHSRV >DRNTG_18604.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22243241:22244200:-1 gene:DRNTG_18604 transcript:DRNTG_18604.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 25 [Source:Projected from Arabidopsis thaliana (AT2G41480) UniProtKB/Swiss-Prot;Acc:O80822] MASKSKSKSTAAAAAAAAATTTGLVLPQKRSQPPGLNENEKKIYTLIKNREGMGISQPDIRSAINLDIRTLYKALESLQAKQLIKTVNSARNKRAKIYMDFQCQPSAELSGGHWFTNGEFDEGLVSAVRQSCLTLIKTLGVATVDDLISSINKCNIFVGGITEAQIDDILQSLKVDGVVEELKSSGMGDLSRVPVGKLCYRVLPDKKEPLTGVMASIPCGVCPRISECTPDGIISPDNCVYYQAWLGF >DRNTG_18604.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22242869:22244200:-1 gene:DRNTG_18604 transcript:DRNTG_18604.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 25 [Source:Projected from Arabidopsis thaliana (AT2G41480) UniProtKB/Swiss-Prot;Acc:O80822] MASKSKSKSTAAAAAAAAATTTGLVLPQKRSQPPGLNENEKKIYTLIKNREGMGISQPDIRSAINLDIRTLYKALESLQAKQLIKTVNSARNKRAKIYMDFQCQPSAELSGGHWFTNGEFDEGLVSAVRQSCLTLIKTLGVATVDDLISSINKCNIFVGGITEAQIDDILQSLKVDGVVEELKSSGMGDLSRVPVGKLCYRVLPDKKEPLTGVMASIPCGVCPRISECTPDGIISPDNCVYYQAWLGF >DRNTG_18604.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22238465:22244200:-1 gene:DRNTG_18604 transcript:DRNTG_18604.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 25 [Source:Projected from Arabidopsis thaliana (AT2G41480) UniProtKB/Swiss-Prot;Acc:O80822] MASKSKSKSTAAAAAAAAATTTGLVLPQKRSQPPGLNENEKKIYTLIKNREGMGISQPDIRSAINLDIRTLYKALESLQAKQLIKTVNSARNKRAKIYMDFQCQPSAELSGGHWFTNGEFDEGLVSAVRQSCLTLIKTLGVATVDDLISSINKCNIFVGGITEAQIDDILQSLKVDGVVEELKSSGMGDLSRVPVGKLCYRVLPDKKEPLTGVMASIPCGVCPRISECTPDGIISPDNCVYYQAWLGF >DRNTG_18604.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22238465:22242107:-1 gene:DRNTG_18604 transcript:DRNTG_18604.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 25 [Source:Projected from Arabidopsis thaliana (AT2G41480) UniProtKB/Swiss-Prot;Acc:O80822] MIMAALMWISCHFLLLILLMDVAASVYGQGSLQTGFYSSTCPKAESTVRSTVEKYFNRDATVAPGLLRLHFHDCFVQGCDASVLISGSSAEKSAFQNFGLRGFEVVDDAKLQLETACPGVVSCADILALAARDAVDLTGGPSWSVPLGRRDGMISSASEATSLPSPADSVAVQRQKFADKGLTDHDLVTLVGAHTIGQTDCLFFRYRLYNFTATGNADPSINQAFLGQLRALCPQNGDGSKRVALDKDSMSTFDVSFFKNVRDGNAVLESDQRLWEDGNTHDIVRNYAGNIRGLLGLRFDFEFRKSMIKMSSIGVKTGTQGEIRKICSKFN >DRNTG_18604.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22238286:22244200:-1 gene:DRNTG_18604 transcript:DRNTG_18604.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 25 [Source:Projected from Arabidopsis thaliana (AT2G41480) UniProtKB/Swiss-Prot;Acc:O80822] MESSPLTTAFTIRPGLAFSTSYRASVYGQGSLQTGFYSSTCPKAESTVRSTVEKYFNRDATVAPGLLRLHFHDCFVQGCDASVLISGSSAEKSAFQNFGLRGFEVVDDAKLQLETACPGVVSCADILALAARDAVDLTGGPSWSVPLGRRDGMISSASEATSLPSPADSVAVQRQKFADKGLTDHDLVTLVGAHTIGQTDCLFFRYRLYNFTATGNADPSINQAFLGQLRALCPQNGDGSKRVALDKDSMSTFDVSFFKNVRDGNAVLESDQRLWEDGNTHDIVRNYAGNIRGLLGLRFDFEFRKSMIKMSSIGVKTGTQGEIRKICSKFN >DRNTG_18604.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22238465:22244200:-1 gene:DRNTG_18604 transcript:DRNTG_18604.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 25 [Source:Projected from Arabidopsis thaliana (AT2G41480) UniProtKB/Swiss-Prot;Acc:O80822] MASKSKSKSTAAAAAAAAATTTGLVLPQKRSQPPGLNENEKKIYTLIKNREGMGISQPDIRSAINLDIRTLYKALESLQAKQLIKTVNSARNKRAKIYMDFQCQPSAELSGGHWFTNGEFDEGLVSAVRQSCLTLIKTLGVATVDDLISSINKCNIFVGGITEAQIDDILQSLKVDGVVEELKSSGMGDLSRVPVGKLCYRVLPDKKEPLTGVMASIPCGVCPRISECTPDGIISPDNCVYYQAWLGF >DRNTG_18604.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22238465:22244200:-1 gene:DRNTG_18604 transcript:DRNTG_18604.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 25 [Source:Projected from Arabidopsis thaliana (AT2G41480) UniProtKB/Swiss-Prot;Acc:O80822] MASKSKSKSTAAAAAAAAATTTGLVLPQKRSQPPGLNENEKKIYTLIKNREGMGISQPDIRSAINLDIRTLYKALESLQAKQLIKTVNSARNKRAKIYMDFQCQPSAELSGGHWFTNGEFDEGLVSAVRQSCLTLIKTLGVATVDDLISSINKCNIFVGGITEAQIDDILQSLKVDGVVEELKSSGMGDLSRVPVGKLCYRVLPDKKEPLTGVMASIPCGVCPRISECTPDGIISPDNCVYYQAWLGF >DRNTG_19819.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:8502167:8504325:1 gene:DRNTG_19819 transcript:DRNTG_19819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFAHLMDVAPVVQERGVLDALLQVYDDCNQRFKICESMLSFRAEDVTLILGLRCDGNTVSFKHERVQFDFEKTFLHKMHNHHRDAIKENLFRLVRSKDDERDREDVKRKRGKPPSPSLDSATCVTPTDIGHPTPSSDQSTSFGTRFKRVAQFWHDTKTGRGEIPAHHEDATREDVQVPPSAKPEEAVLRDVDILLETITCSGKYKPAEELLALVPYQGLAEGDKSTMRSRRRPKQASCHGRRIIYVLED >DRNTG_02338.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1525376:1528699:1 gene:DRNTG_02338 transcript:DRNTG_02338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMTEVSSMAASQDPFSFFHNYPLISSLLSFVIAQCIKFFTSWYKERHWDLKQLVGSGGMPSSHSATVTSLAIAVGLHDGFGSSSFAIAMIFACVVMYDAFGVRLHAGKQAEVLNQIVYGLPSEHPLADTRPLRELLGHTPTQVVAGALLGCVTAIIGHQISLAHRNAS >DRNTG_13410.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5888733:5891018:-1 gene:DRNTG_13410 transcript:DRNTG_13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLRPPYKGADVEAGSVRPLYPTMMEPPELRWAFIRKIYSILAIQMLLTVAIAAVVVSVRPVAEFFVSSGAGLGLYIFLIILPFIVLCPLYYYYQQHPVNYLLLGIFTVSISFAVGLTCAFTKGEIILESAILTAVVVISLTLYTFWAASRGYDFNFLGPFLFAAVMILMVFALIQVFFPMGRISLMIYGGLAALIFCGYIIYDTDNLIKRYSYDEYIWAAVALYLDIINLFLSMLTLFRAADT >DRNTG_21427.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3463517:3464460:-1 gene:DRNTG_21427 transcript:DRNTG_21427.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSSYQKKTMAAKQHLLIISIALLISFCQCDPDTLQDFCIAELKNQPFINGFPCKSPKDVTSDDFFFAGLAQEKDTDNILGSNLTAGNVLSFPGLNTLSMSMNRVDFAPGGINPPHSHPRAAELVFVMRGCLLVGFVATDGKFFSKTLKAGEVFVIPKGLIHFQYNVGKKTATAITSFNSQLPGVVIAANTLFGSTPEIPTGVLTKAFRVDDKLINEIKSKFGN >DRNTG_16072.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25647015:25648535:1 gene:DRNTG_16072 transcript:DRNTG_16072.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAINSGSIRAMTLVIVIMSSVLVTAQVHAALTCNQVYDALKPCISYVLKPNGSVPKACCDGISGLVKKAATTEDRRMACSCLNSAAKSAGRNVDLGPISSIPDKCNLSIPFKISANADCSKVN >DRNTG_16072.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25647015:25648592:1 gene:DRNTG_16072 transcript:DRNTG_16072.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINSGSIRAMTLVIVIMSSVLVTAQVHAALTCNQVYDALKPCISYVLKPNGSVPKACCDGISGLVKKAATTEDRRMACSCLNSAAKSAGRNVDLGPISSIPDKCNLSIPFKISANADCSKVN >DRNTG_16072.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25647157:25648592:1 gene:DRNTG_16072 transcript:DRNTG_16072.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAINSGSIRAMTLVIVIMSSVLVTAQVHAALTCNQVYDALKPCISYVLKPNGSVPKACCDGISGLVKKAATTEDRRMACSCLNSAAKSAGRNVDLGPISSIPDKCNLSIPFKISANADCSKVN >DRNTG_16072.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25647157:25648535:1 gene:DRNTG_16072 transcript:DRNTG_16072.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAINSGSIRAMTLVIVIMSSVLVTAQVHAALTCNQVYDALKPCISYVLKPNGSVPKACCDGISGLVKKAATTEDRRMACSCLNSAAKSAGRNVDLGPISSIPDKCNLSIPFKISANADCSKVN >DRNTG_18071.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:228991:230164:1 gene:DRNTG_18071 transcript:DRNTG_18071.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDANSSPSSSIDSSSHPVLSTTSSFSRPIYGDLSTDLRLGLSISSSPLLDETCSNSREQASDWPPIKQLLRTALEEKINKKNQDCCFFVKVYMEGLPIGRKLDLFSVHSYDCLVKTLSRMFKTTILCSDVAAQVSSSDNIHFLTYEDKEGDWMMVGDVPWEMFLTSVKRLKITKVVD >DRNTG_19351.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5491973:5495484:-1 gene:DRNTG_19351 transcript:DRNTG_19351.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNLITKSQEKHQNTSMTPSEKASSPDLTNNTINTIKIRNKNLDHCRDIEEKRKGLRSHR >DRNTG_19351.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5491973:5495484:-1 gene:DRNTG_19351 transcript:DRNTG_19351.14 gene_biotype:protein_coding transcript_biotype:protein_coding MKACKQRRTVPSENQTQTSMRARATLRAPMATLKTMAMTTPTSAT >DRNTG_19351.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5491973:5495484:-1 gene:DRNTG_19351 transcript:DRNTG_19351.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKACKQRRTVPSENQTQTSMRARATLRAPMATLKTMAMTTPTSAT >DRNTG_19351.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5491973:5495484:-1 gene:DRNTG_19351 transcript:DRNTG_19351.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNLITKSQEKHQNTSMTPSEKASSPDLTNNTINTIKIRNKNLDHCRDIEEKRKGLRSHR >DRNTG_19351.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5491973:5495484:-1 gene:DRNTG_19351 transcript:DRNTG_19351.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPCPLSSPSLLPEEKSALLSLHCPELEKTQSPETSSPAEHCLLWCCGLNRFHPSDFIARILMKACKQRRTVPSENQTQTSMRARATLRAPMATLKTMAMTTPTSAT >DRNTG_19351.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5491973:5495484:-1 gene:DRNTG_19351 transcript:DRNTG_19351.10 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPCPLSSPSLLPEEKSALLSLHCPELEKTQSPETSSPAEHCLLWCCGLNRFHPSDFIARILMKACKQRRTVPSENQTQTSMRARATLRAPMATLKTMAMTTPTSAT >DRNTG_19351.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5491973:5495484:-1 gene:DRNTG_19351 transcript:DRNTG_19351.13 gene_biotype:protein_coding transcript_biotype:protein_coding MKACKQRRTVPSENQTQTSMRARATLRAPMATLKTMAMTTPTSAT >DRNTG_19351.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5491973:5495484:-1 gene:DRNTG_19351 transcript:DRNTG_19351.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPCPLSSPSLLPEEKSALLSLHCPELEKTQSPETSSPAEHCLLWCCGLNRFHPSDFIARILMKACKQRRTVPSENQTQTSMRARATLRAPMATLKTMAMTTPTSAT >DRNTG_19351.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5491973:5495484:-1 gene:DRNTG_19351 transcript:DRNTG_19351.11 gene_biotype:protein_coding transcript_biotype:protein_coding MKACKQRRTVPSENQTQTSMRARATLRAPMATLKTMAMTTPTSAT >DRNTG_19351.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5491973:5495576:-1 gene:DRNTG_19351 transcript:DRNTG_19351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPCPLSSPSLLPEEKSALLSLHCPELEKTQSPETSSPAEHCLLWCCGLNRFHPSDFIARILMKACKQRRTVPSENQTQTSMRARATLRAPMATLKTMAMTTPTSAT >DRNTG_19351.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5491973:5495484:-1 gene:DRNTG_19351 transcript:DRNTG_19351.16 gene_biotype:protein_coding transcript_biotype:protein_coding MKACKQRRTVPSENQTQTSMRARATLRAPMATLKTMAMTTPTSAT >DRNTG_19351.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5491973:5495484:-1 gene:DRNTG_19351 transcript:DRNTG_19351.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKACKQRRTVPSENQTQTSMRARATLRAPMATLKTMAMTTPTSAT >DRNTG_19351.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5491973:5495484:-1 gene:DRNTG_19351 transcript:DRNTG_19351.9 gene_biotype:protein_coding transcript_biotype:protein_coding MNLITKSQEKHQNTSMTPSEKASSPDLTNNTINTIKIRNKNLDHCRDIEEKRKGLRSHR >DRNTG_09243.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000397.1:12532:14965:1 gene:DRNTG_09243 transcript:DRNTG_09243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNPSILIEGDPELEYTIDEIVDEYMHEIFNPDPYEGLFDQEEDYEEVMMLGSTEELPSTPGILKKVLRKMKRARRRHRKHSKAIGDIRKPKELMNHRLVVR >DRNTG_21472.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2682712:2683711:1 gene:DRNTG_21472 transcript:DRNTG_21472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPISPFGTLTDVCLSNSPHRPIIDPAKINVSDPMRSASKTTEAPVPVSDPDPDPLPHLVLASLTEKKVENGTEGKKSELKKGEVRVCFRCKCSSMMELVFHSDVPHGKSRKCQ >DRNTG_19718.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:955761:957969:-1 gene:DRNTG_19718 transcript:DRNTG_19718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVGKLCIGAVCSCIQSPVESEGMGHIFCTKEKLDSLDSPMEALMARKRDFETQLDIPCSSRQRPSYQLQLCLEKVSEKDEEVKQLKDKFGKQGCIFGTCYLNCYSRYRTSRDAMVLLNEINELKEEQLQVSFVEEQPPIPVPASLKVVGRGIGSNLDVTRSYLADETVGMVGIWGMGGVGKTTLLRKIYNSLLDDENTGFNYVILVVATKDVQLEKLREEIATKLQLISSSSKEGISNFLKTKNFVLLLDDIWVVVDLVELGIPHPHSNDNSTKQYKRKVIFTTRSEELCTKMRANEKIKVECLEPQEAWDLFKENVNLDVIESDVRMKEIARQVMNECRGLPLTLILIGKAMSNKKNFEEWDYVLRSMRKSKTSIIQDVEKSLYPTLEISYDNLPNKLYKDCFLYISLWPRGVGISNEDIIDFWIGLGLIHEFDNLREAYGHGQYILRLLEAACLLEPYE >DRNTG_32691.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:10535138:10537099:1 gene:DRNTG_32691 transcript:DRNTG_32691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTCTSTTIRRKRSSESTTTIMQLINNEDNERMDPARKKQCSIILKKLMNHTSVLLQKHLDLRRIERKLDCKAYPTTSRFAADVKQTLVNAIQNHPSTHEVHIKAKELSNIFDVSWKTRNKWWIDMPESQPEKKQKVAIVNKNLLSKAKEAKQGLQTLKTCKDGVAEEHDDKPLDTYRCTANSANFQPRKYANAVSEAKAQPSLDTVLSQKGKNNDLMEEQISSSKALHVAKMKARFADIIIKAQKTLQLNDIQANLKVEMQRKVEDLEKQHPEEKARLEYQRKTQIQKEREAARIVREKMEQTAKLNQSLEREVKRMMQIMEYGSFL >DRNTG_26659.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:10164096:10167039:1 gene:DRNTG_26659 transcript:DRNTG_26659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSSSSNSNSIAELTRLLGEFIKSTDSHLLTHEEIIRNTNATIQNLERHITQMSKLIEERLPGSLLSNTEVNPKESLKGVSLRNGKQLPNFVENEANRVIVESTGNINLEAPIDVEEKRMEQGK >DRNTG_32783.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3122354:3123805:-1 gene:DRNTG_32783 transcript:DRNTG_32783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSFKPRITFNQCRRVPSHQIGTDDSPPNNSLPKETRCQRP >DRNTG_05717.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1861335:1862425:-1 gene:DRNTG_05717 transcript:DRNTG_05717.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKELVLEKFITHQVPFAEINKAYEFMLNGDSLRCIIRMDG >DRNTG_05717.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1861335:1866454:-1 gene:DRNTG_05717 transcript:DRNTG_05717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAGQVIRCRAAVAWEAGKALVIEEVDVAPPQAMEVRLKILYTSVCRSDIYFWEAKGQIPLFPRIFGHEAAGIVESVGDGVTDLKPGDHVIPVATGECKECIHCKSEESNICDLLRVNLGRGVMISDGQSRFSINGKPIYHFVGTSTYSEYTVVHVGCAAKINPSAPLDKVCILGCGISSGLGAVLNVAKPPKGSSVAVFGLGAVGLAAAEGARISGASRIIGVDVNPKKFDHAKNFGVSEFVNPKRS >DRNTG_06215.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8415281:8427266:1 gene:DRNTG_06215 transcript:DRNTG_06215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLNPDPYEVWLDIDEEDTTNCAKQVLNEQPQEGFLKQIFHRVKKSRRCHRKYSTTLGDKHTKEERDTPSFGPYITRLMFKMGLIRSVRGKERVSTPAPLGLATLRLMGIVRHTGSSGYALVESSSGDNEEPAERLDRLEAAVATILENQTQILERLDRIQQTLDEEVTSA >DRNTG_21628.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10885:13007:-1 gene:DRNTG_21628 transcript:DRNTG_21628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMDEEVKRSTANILAASVVATSAVTASRKPENVVFHVITDKKMYPVLEAVENHHSVRKHYHGNHDMGTALSDNPRVFASQLQAWSPKYISLLNHLRVYLPELFPDLNKVVFLDDDVAVLRDLSPL >DRNTG_08272.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:4449807:4452010:1 gene:DRNTG_08272 transcript:DRNTG_08272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWKVLYSSSKDSFVRTSPKPWQIPLETLAKALSQVGEKMEKRIPKVHTPCCYSNCYNVAAVFDLNNFPISYFHRGQVSESLSGSAAAAAIVEAYSGSSGAGVEDGGARGTGGA >DRNTG_12744.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25811972:25816937:1 gene:DRNTG_12744 transcript:DRNTG_12744.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGCPANAFLYNGTLCACDPGRYFLNGSCALFNASAGTWGISSNVESTSTFLSTVLPLDSIKRVTQSQAVLLEATLVTLVLWLMFCVVIRFVKVKDGKSIWFRIRWWISRLDFFYATKHWMV >DRNTG_12744.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25811972:25816937:1 gene:DRNTG_12744 transcript:DRNTG_12744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGCPANAFLYNGTLCACDPGRYFLNGSCALFNASAGTWGISSNVESTSTFLSTVLPLDSIKRVTQSQAVLLEATLVTLVLWLMFCVVIRFVKVKDGKSIWFRIRWWISRLDFFYATKHWMDDGTVVRKRKTELGGTFSVASWILFAGLLTVLLYLLITKRSIEVHRMRPANAPDLLEFVNDLEFNITTISSMSCSHLRGLDTLVTGIPGFIDYRVFPLSTYLNYHCYNTSRGPTISLKCNNCQVPRRDHFVSWDFVDLPNEPATAVGFEFSFTAKDHSDDRHMSYVRGTLESSSNGTLKTFRGPDLNILKIHLFPRAYNNMHNLKLIQPLFYDFVPGSSLSEASDLMSSLQSSKSGIVNTTLYMRYLADYIVEIDKESVNGPVTFLADVGGLYSFSVVIFLYLLLQFEARFKKFRYEDSAMRNIKNRSRAQRNWDKLRKYVAYTWAPTSLDCSNMSSKGHSKSTVDSSHGIGPLHRTEQNSVPEATEKDRSKLAGSTARYNHKHGNSADGHQQSRQ >DRNTG_12744.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25811972:25816937:1 gene:DRNTG_12744 transcript:DRNTG_12744.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGCPANAFLYNGTLCACDPGRYFLNGSCALFNASAGTWGISSNVESTSTFLSTVLPLDSIKRVTQSQAVLLEATLVTLVLWLMFCVVIRFVKVKDGKSIWFRIRWWISRLDFFYATKHWMDDGTVVRKRKTELGGTFSVASWILFAGLLTV >DRNTG_12744.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25811972:25816937:1 gene:DRNTG_12744 transcript:DRNTG_12744.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGCPANAFLYNGTLCACDPGRYFLNGSCALFNASAGTWGISSNVESTSTFLSTVLPLDSIKRVTQSQAVLLEATLVTLVLWLMFCVVIRFVKVKDGKSIWFRIRWWISRLDFFYATKHWMDDGTVVRKRKTELGGTFSVASWILFAGLLTVLLYLLITKRSIEVHRMRPANAPDLLEFVNDLEFNITTISSMSCSHLRGLDTLVTGIPGFIDYRVFPLSTYLNYHCYNTSRGPTISLKCNNCQVPRRDHFVSWDFVDLPNEPATAVGFEFSFTAKDHSDDRHMSYVRGTLESSSNGTLKTFRGPDLNILKIHLFPRAYNNMHNLKLIQPLFYDFVPGSSLSEASDLMSSLQSSKSGIVNTTLYMRYLADYIVEIDKESVNGPVTFLADVGGLYSFSVVIFLYLLLQVSDCVFDHLVKLSLVFYHPTIKIGELLHL >DRNTG_34268.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002110.1:16814:22545:1 gene:DRNTG_34268 transcript:DRNTG_34268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKEEEVVKDCEAKEEEEKGGSFPFGWRSTMRNSLYRAITGPICNFDRVVIWTEQLPFAKYTLFPTDYKKWASEILRKSRDKLKQVSILGGVAVSIGIYNFDQSVIKVIVEIWCPQTNTFITPSDEFGTSLWDLRCIGGEASSKDIEIADQLASLKARRLVLRLDAIGSTPCCHNDCHVPAGVTILVLVMLAQHPRMHRGAGVPSEEPPPVQPPSNPRQEPHTEIVSVPPPPPIPSVQQAPMEDNKINQSLILSKLLKEARQLGRIAFDGSSDAMTAKEWLKRLLAPFEDMGIENEFKLKVVVRLLENRARNWWETLMGRSNVPLTWSHFLQEFDQDYYTWFHRDQK >DRNTG_21712.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:600341:601892:-1 gene:DRNTG_21712 transcript:DRNTG_21712.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSKKLKFLNKKPPNQWTCFPQHSGFGLSHSANQSHLENAPLTIFYGGKVLVFDNFPAEKAKDLMQMARTQTATSPIPALNPQPNSSGQIQLPLIHHIFF >DRNTG_21712.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:600341:601892:-1 gene:DRNTG_21712 transcript:DRNTG_21712.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSKKLKFLNKKPPNQWTCFPQHSGFGLSHSANQSHLENAPLTIFYGGKVLVFDNFPAEKAKDLMQMARTQTATSPIPALNPQPNSSEMPIARRASLHRFLEKRKERIIAKAPYQVNGSPASMIKPEDKKSWLGLAPQLAEDDRKFEYRR >DRNTG_34432.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21231114:21233092:-1 gene:DRNTG_34432 transcript:DRNTG_34432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGGSSPETPVETTPPASQTPDNSASTNKRKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICGQGFQRDQNLQMHRRRHKVPWKLLKREAGAGEARKRVFVCPEPTCLHHDPGHALGDLVGIKKHFRRKHSAHKQWVCNRCGKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDTCNASRTRPELQVTPVAAAGAAACLSRTASSPSPSSDTNFSASTWPGLRMPKSAMYLNPDNTIHSHNLELQLMPSSTPRITSFTSPAISPASDEAHVTKLQLSMTNSGEEPTSSAVMRIKEETREQLKLAMAEKAMAEEARQQAKRQMELAEEEFTNAKHVRQQAQVELNKAYMLRDQATRKVNSILLQITCQACKLDFQTTPVNADEVSLAASHVSSVVTQDDRRV >DRNTG_13489.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21790135:21792239:-1 gene:DRNTG_13489 transcript:DRNTG_13489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPSSSISIRKTSYSSYFLILFFIFFLVIIYSQDFLCILRQPPLEQEKDEFEQKVVEKAAETGCDLFSGEWVYDEDTRPQYEENECPYIQPQLTCQAHGRPDKGYQHWRWQPHGCSLPSFNATFMLEMLRGKRMMFIGDSLNRGQFVSMVCLLHRVIPENSKSFETIDSLTVFKAKNYNASIEFYWAPFLVESNSDDAVVHRITDRIVRAGSINKHAKHWKGVDIFVFNTYLWWMTGQKMKILRGSFGDEMKNNITLMETEDAYKLALRRVVRWLEKNLDPHQNRVFFATMSPTHESSEGWGGEKDGNCYKETTPIKDVNYWGSSSKKIMEVIKQVFKKSKVGISVLNITQLSEYRKDAHTSIHKKQWNPLTAEQIANPKSYADCTHWCLPGLQDTWNELLYTELFFPGQKQLK >DRNTG_05484.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23359719:23363900:1 gene:DRNTG_05484 transcript:DRNTG_05484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKGILLITLFLNFITFSASKLGVTQFPPSFLFGTATSSYQIEGAYLEDNKSLSNWDVFTHLQGKIEDGSNGDIACDHYHKYEEDIELMHSLGVNAYRFSISWSRVLPRGKFGGINPSGIEFYNNLINQLLVKGIQPFVTLNHYDVPKELDDQYGAWLNAEIQEDFGYFAEVCFEAFGDRVKYWVTLNEPNEVAKKGYETGEYPPGLHSQPYVAAHNMILSHATALHIYKTKYQGKQGGSIGIVLSMVWYEPLKDTAEDYSLVQSTFDFEIGWFLDPSIYGDYPNEMKKYLGPKLPTFSEKEKEKLQYGLDFIGINHYKSLYVGRCGVSYCKVAERDGIPIGKRTPMPDSFVVPEGMEKIVTYISKTYNNTPIFITENGLSQKSDDSTSKEELLDDTDRIDYLDSYLTFLTRAMRKGADVRGYFVWSFIDNFEWTYGYTLRFGLYHVDYKTQKRTPKSSAKWFKDFLNVPRLQQGREDEKSKAI >DRNTG_24304.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19095692:19097448:-1 gene:DRNTG_24304 transcript:DRNTG_24304.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERNKFGIEGRRKFSPERFLFLNLCSAEQEEQEARKQGSKKERLRRKKEKNGLETSLPRASASLNSQI >DRNTG_24304.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19095692:19096162:-1 gene:DRNTG_24304 transcript:DRNTG_24304.2 gene_biotype:protein_coding transcript_biotype:protein_coding ERNKFGIEGRRKFSPERFLFLNLCSAEQEEQEARKQGSKKERLRRKKEKNGLETSLPRASASLNSQI >DRNTG_24472.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18804215:18831657:-1 gene:DRNTG_24472 transcript:DRNTG_24472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVMEFRLKSMPHLPSCQYSFLIKRSDSKRSRPFNIVSLASKGKGFGRQPAKKEKNKNVNLTKETDTAASEARKLAPEEDKTRAREAPYLMSGIERKFSDVSDQRFNEKLEAVRRSALEQKKREENKIYQAIDYDAPIESEQGTIGLGTKVGVGIAVVVFGLVFALGDFIPSGSVGPTLQSSIVDKKLTDEEKAALQTRLRQYEATLGTTPSDPTALEGAAVTLVELGSYDRASSLLEKLTKERPDDAEAFRLLGEVKYELKDYEGSAAAYRSSLSASRSVDFDVLRGLTNALLADKKPDEAVQFLLSARQNLNTQDPNQTDGSMESQKVDPIQVELLLGKAYSDWGHVSDAVAVYDQLISAHPNDFRGYLAKGIILKENGKVGDAERMFIQARFFAPDKAKALVDQYSR >DRNTG_24472.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18804215:18823489:-1 gene:DRNTG_24472 transcript:DRNTG_24472.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQKVDPIQVELLLGKAYSDWGHVSDAVAVYDQLISAHPNDFRGYLAKGIILKENGKVGDAERMFIQARFFAPDKAKALVDQYSR >DRNTG_16081.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25600318:25602627:-1 gene:DRNTG_16081 transcript:DRNTG_16081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALALRRRGSWISRAPPPTPIVTGKGQRSAAFDDVVLSKYLETNLKVPELNLPDCYFPERSPPPIPAEIQFDSLVSDDNTAVQRMIEAAMAVGAFRIEGGVTKEEVRAAIEAWSAVLGFWEEKREALKEFISDRGDGFQSFYWARPMSSVMEKAWPDSDQPFREMVEKFASKLELVAECIAKILADNIKKQRHTESISKKGSVLCLRKHDLNHSEGDQRDIEEHYHSHALSLHLSNDDHKLYFHSPKGSSSFVLPAGSILVTIREELEEWCNGELKSANVEPLHDDISSFSLEFMCSPLVLDKEYGPVTEKNISVMDQLLILLVLAFLYKLWALLFT >DRNTG_34172.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002093.1:24103:24929:-1 gene:DRNTG_34172 transcript:DRNTG_34172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARRHHRKHSKDIGDVRKPKELDEPSLDAFAEIFLWALSIDRVFSCGIGKSLLVFLRHIVKTMGMWNFRTPVDLYCELIQRRHRGVRLPL >DRNTG_17862.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2914147:2914845:-1 gene:DRNTG_17862 transcript:DRNTG_17862.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKTLIRCDARKCGGGSSIDEINGGKNSLSPEKLRNRRGKEEHEQFPRCDSACA >DRNTG_24429.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8404262:8405522:1 gene:DRNTG_24429 transcript:DRNTG_24429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQQEEKKKKKELTPEEISQYRATAQQNSLDSIRAAEERYAKAKESGHSALRETKEAVTHGVGAAKDFAVEKTKEGYHAAKDVAVKTVEKAKDFVYHDKGEEEEEEEQEKLGEQDDDQVEEQKNHHGYEQSGTGTSSVLVGILGAVGESLMEIAQTAKEIVMGRDPEIRKE >DRNTG_30024.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19296619:19298504:1 gene:DRNTG_30024 transcript:DRNTG_30024.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVIVGGGIAGLATAVALRRIRVGVLSPRTGTRASSHWCSNHAFP >DRNTG_30024.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19296619:19298504:1 gene:DRNTG_30024 transcript:DRNTG_30024.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVIVGGGIAGLATAVALRRIRVGVLSPRTGTRASSHWCSNHAFP >DRNTG_30024.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19296619:19298504:1 gene:DRNTG_30024 transcript:DRNTG_30024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVIVGGGIAGLATAVALRRIRVGVLSPRTGTRASSHWCSNHAFP >DRNTG_30024.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19296619:19298504:1 gene:DRNTG_30024 transcript:DRNTG_30024.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVIVGGGIAGLATAVALRRIRVGVLSPRTGTRASSHWCSNHAFP >DRNTG_24294.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21262819:21279181:1 gene:DRNTG_24294 transcript:DRNTG_24294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRNFLQSLQSFLRHPEKTHGSVEISLARGFALQARPEKAQGHSKALLHPDKRQGCAIVPTEKPQGRASAPVGQAHGRGHFLHAPTVTFREFECFPESAQGRAFVHMSLFGGDAGVWSCNEF >DRNTG_24954.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16215889:16222685:-1 gene:DRNTG_24954 transcript:DRNTG_24954.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDEPKKVKILCSCGGRILPRPSDSALRYVGGDTRIVSVRRDVSFSELSRKILDSFGGPLVLKYQLPDQDLDALVSVSCPEDLDNMMEEYDKLVDASPDGSSKLRAFLFSPSETDGNPLEAGDSGHRYIDAVNGIGRRDSVASVTSATSATSGASHNSDTAFAATDCATNEGSSPTLDSKLGYQEPASIHYGHPQPLSELAGAPAQSFVPVRPLQPLSQLPPLPPSYLKPAMPVPVAQGQTVRLDDCYMCQRALPHAHSDNLIQEKGNPSSSSSLGSSFAEVNPVFYSHHSEDMMRQHRAAVGDNVVDARAENAVLGGHLGVYGVAPMSEAEYGGGGREVLQKMENLEHARGLAPASVMGLAPASMMDFLGDISYGMVAGNVPPWMHFEDFQQQQQQQQQQQQQQQQQQLRGQTLVPPPLYPVKQDVVSRPLGVDVSSTRNANFQPAESSNLIPFTEGSNDYVRPVDGMMGSLRLGLSEAARYGEQGKPVVRPNYGVPKDVKLDTSLMAEHSHIYGVQVGGPGIQVSNAVANHGVLEKNGVISTNQLPPLSYGVPYLQSLQTSEIGSASMVVNQIPDSHNLEPGHEPPIPSERLLGVPTYASAIDSTYNSHAINHKIAVGDWRGETSGVHMQNSVDQMPSPLDGNMSSTLVSAGNPASHVEYLQEPLPSDSLFSNHDPWNVAGVTHQLPPRTIRVGGKEPVAARDLCMENFAGNTTDSNIVSLLEEGALHHPLDPVAKEAHQHVQYTTVEDQIKQDLQAIAEGVAASVLRTSAPIQSSLNPAHNPDNADFLSAVAMVDQKVEETRAKQLDKVNTGIQITEDIGRLQIIKSIDLEELKELGSGTFGTVYHGKWRGSDVAIKRINDRCFSGKPSEQERMRADFWNEASKLADLHHPNVLAFYGVVLDGPGGSVATVTEYMVNGSLKQALQKNDKTLDFRKRLLIATDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGEEPYADLHYGAIIGGIVSNTLRPPIPENCDPDWRLLMEQCWSAEPSERPSFSEIARRLRAMAASLPLKGQAQPHPPPVQSHAQK >DRNTG_34684.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28754608:28763403:-1 gene:DRNTG_34684 transcript:DRNTG_34684.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLRETSQRASWTEKLLNPPRPSNNSTIARNLAKNDVGGVIFGCKNNTMMECLSKQLFGLPSLHFSYVRKIEPGLPLFLFNYSDRKMYGIYEAAGCGQMNIDPYAWTDGGAEKTAFPAQVRICIKMQCEPLVEKQFKKAIQGNYYNQVHFWFELDHIQTQDLIALFRPSGSSASNSPRMTNLYDALLTTEGKVVEPGKGNHGESSKSGESWFDPNAEICQSIFIPHVDTQSEILLQQSQSSEPTQNNKEILDQLDSLPVKKADSDEKKMVLSKLSRLAVSHNFLNQPSVYHPDDNKGVRQDIEPPAGGSHDLTDENRNTLGFSLENSKLAEMVEVLVERTTALEKKQGEQERIIQQLRDRVSELESKLNPSTSFVDDVLDQSTGLNLGPGTIYLIGGYDGRLWLSTMDSFSPSMDTLTSLRKMNYARAYASAAALNGSIYFFGGGDGSSWSDAVERYDPWRNEWTLCPPLMCEKGSLAGVSLNGKIFAIGGGNGCECFSEVEMFDPALGRWINSQPMFEKRFAPTAAAFQGAMYVVGGFNGKDYLRSFERCDPREAYWKRLPSMNVTRGCHSMAVFNDTLYVMGGYDGERMVSSVEVFDPRMESWTMAEPMNFSRGYGATVVLGDHLLTIGGVEDQETIVESVEYCSEGSGWAVSRLKAVGKRCFFSAIVL >DRNTG_34684.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28754608:28763403:-1 gene:DRNTG_34684 transcript:DRNTG_34684.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCEPLVEKQFKKAIQGNYYNQVHFWFELDHIQTQDLIALFRPSGSSASNSPRMTNLYDALLTTEGKVVEPGKGNHGESSKSGESWFDPNAEICQSIFIPHVDTQSEILLQQSQSSEPTQNNKEILDQLDSLPVKKADSDEKKMVLSKLSRLAVSHNFLNQPSVYHPDDNKGVRQDIEPPAGGSHDLTDENRNTLGFSLENSKLAEMVEVLVERTTALEKKQGEQERIIQQLRDRVSELESKLNPSTSFVDDVLDQSTGLNLGPGTIYLIGGYDGRLWLSTMDSFSPSMDTLTSLRKMNYARAYASAAALNGSIYFFGGGDGSSWSDAVERYDPWRNEWTLCPPLMCEKGSLAGVSLNGKIFAIGGGNGCECFSEVEMFDPALGRWINSQPMFEKRFAPTAAAFQGAMYVVGGFNGKDYLRSFERCDPREAYWKRLPSMNVTRGCHSMAVFNDTLYVMGGYDGERMVSSVEVFDPRMESWTMAEPMNFSRGYGATVVLGDHLLTIGGVEDQETIVESVEYCSEGSGWAVSRLKAVGKRCFFSAIVL >DRNTG_19844.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001073.1:48339:50303:-1 gene:DRNTG_19844 transcript:DRNTG_19844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCERAEATVLCCADEAALCWGCDEKVHAANKLAGKHQRVPLLSCSSSNPSSNSSPNPTCDICQEKTGYFFCLEDRALLCRHCDVSIHSASPFVSSHQRFLITGVRVALQHYLTNNSSNISSSSNNVSSNSSSSNGNNIRSNSLASNSSPANKKLKMSLMNLAGDEVDGRRSQWPWSEILETTGMDQCYGFPEPGASN >DRNTG_15175.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22686731:22690987:1 gene:DRNTG_15175 transcript:DRNTG_15175.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol phosphorylceramide glucuronosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G18480) UniProtKB/Swiss-Prot;Acc:Q8GWB7] MRSVISKMCFCLLLFAMLRSRGCEGLGSEEAYVTLLYGDEFLLGVRVLGKSIRDTGSTRDMVVLVSDGVSDYAKQLLKADGWMVELISLLANPNQVRPQRFWGVYTKLKIFNMTRYKKVVYLDADTIVLKNIDELFKCGKFCANLKHSERLNSGVMVVEPSEAVFKDMMSKVNTLYSYTGGDQGFLNTYYAGFPNAHVFEPNMSLKELNERSEPEMERLSTLYNADVGLYMLANKWMVDEKELKVIHYTLGPLKPWDWWTAWLLKPVDLWQNVREQLEETLPGTGGGRNPNVQFVVKILFVLPLCALFLCYYQSCVKMNKDSLSSCCRISPCDYVRHIFYKFKSGGGLPVYSSVTSSSVANPMQQFANGAHPKVPAYLGGVSVVVCFMAALLSVALASAVVPRQVMPWTGLLLMYEWTFATFFIMFGSYLRVAYIWGKATGGRAGTAFTRSDSAEYNSGKGHQRHESDCDSSVWFYGTGMALLAVMTPSLPCLFGITALFTRLGLMVAGGIVLASFMTYASEHLAIRAFIRGLEERDLPRSRSICLLC >DRNTG_08537.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18249385:18249849:-1 gene:DRNTG_08537 transcript:DRNTG_08537.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFELRIRDRDPVYGCAGVIRNLQDQVGVAEEKNRKLMAHVEQLENQQQSAAQ >DRNTG_08537.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18249300:18249849:-1 gene:DRNTG_08537 transcript:DRNTG_08537.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFELRIRDRDPVYGCAGVIRNLQDQVGVAEEKNRKLMAHVEQLENQQQSAAQ >DRNTG_17264.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5385833:5388729:-1 gene:DRNTG_17264 transcript:DRNTG_17264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNEEKHKIAFSFFFFEASHLRLKPLLSSSPKPLLSILKPPLISSPKPLISIPKPQLLISSKLLLISIPCLNLSTKVIVEGIDTSSIFSKASKTIAKLIGKPEVVYGDEKLRRRTRRRRYVQATNLSTTLQTQDDKKLQPKTKTEEKSRDGEKTYSFRLADEFGDGLQSLGEDGGGIDPLHTHIRREIQAQYGDEEQLRTEMRWRRYVQEGAQLGNFFNPRREKIDDMVAT >DRNTG_01397.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4569253:4569613:-1 gene:DRNTG_01397 transcript:DRNTG_01397.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQMQPHEMGLAHYDQHLEEEAAGKPSASEPPTWLNSAILRQQGAHQYVDGSFLHLQTTSESSASPTGAA >DRNTG_01397.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4569253:4569802:-1 gene:DRNTG_01397 transcript:DRNTG_01397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQMQPHEMGLAHYDQHLEEEAAGKPSASEPPTWLNSAILRQQGAHQYVDGSFLHLQTTSESSASPTGAA >DRNTG_22743.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31618173:31620853:-1 gene:DRNTG_22743 transcript:DRNTG_22743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWVFLMHALLAMAVLSNASITRHYKFNVRLKNVTRLCHTRSLVTVNGKFPGPKIIAREGDRVLVKVVNHIPNNISIHWHGVRQLQSAWADGPAYVTQCPIQTGQSYVYNFTIVGQRGTLFWHAHISWLRVTLYGPIVILPKLGVPYPFPKPYKEVPILFGEWFNADPEAVITQALQTGAGPNVSDAYTINGMPGPLYNCSNDTYKLKVKPGKTYLLRMINAALNDELFFSIANHSLTIVDVDASYVKPFTTNTLLITPGQTTNVLLTTKANVPNAKFLMAARPYATGLGTFDNTTTAAILEYHLPNTTSSSSSSSSNKILPLLKPNLPALNDTTFAANFSSRLRSLASLQFPANVPQTVHKKFFFTVGLGTSPCPKNQTCQGPNGTKFAASINNVSFALPTTAILQAYFFKQSKGVYTTDFPDNPLFPFNYTGTPPNNTFVDNSTKVEVLPFNTNVELVMQDTSIQGAESHPLHLHGFNFYVIGQGFGNYNSIKDPAKFNLADPVERNTVGVPAGGWVAIRFKADNPGVWLMHCHLEVHTSWGLKMAWIVLDGQLPNQKLPPPPLDLPKC >DRNTG_10826.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5044119:5046390:-1 gene:DRNTG_10826 transcript:DRNTG_10826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTIYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLHGKDMTQDSTFEFERKRNRPERYDRNVTENTLKAIKKIDKVRVAREARHHAMRMKGKKAIEQRAAAKELEQSIHMVKAPIALQQEPSLTLPKIKVKVSQSQAEDNMEE >DRNTG_02252.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1031743:1034608:-1 gene:DRNTG_02252 transcript:DRNTG_02252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCTVQQALTPEAASVVKQAVSLARRRGHAQVTPLHVANAMLSSSTGLLRAACLQSHSHPLQCKALELCFNVALNRLPASSSSSPILSHHHHHHHHQHHHPPSLSNALVAAFKRAQAHQRRGSIESQQQPLLAVKIELEQLIISILDDPSVSRVMREAGFSSTQVKSNVEQTISMEVSNPNASSTPSSNPKPSKPQESHSTSDQDVMYVIDSLVSKRRRGVVLVGECLESSEAVVRAVMDKVEKGDHIPESLGNLQFVILPLFSLGHMSREEVEHKIGELRCLVKSCYGGKGAVLYLGDLKWVAEYYNKGRGFYCPVEHMIMEIGRLLCGSSTSHEGDGVSQNFWLMGIASFQTYIKCRSGSPSLESLWALHPLTIPSGSLGLSLNYDNPQNQMVKTKRSGDGSSFWSLMDKGVGNQLNCCSSTDYSLKYDTDNHRKVSSSNGSVTTSLPSWLQQYKEEKKSRETSNDQDSLQVHQSSCKNWSSICSSSHKHHHQLSEMTLHFSSSSPSSSSISSHEHHYGFQQTDQPWLISAKHPWREHHLWLSESVNEGFESSSMKFSKETKGFGILKPNPLSTSSSDHTMEMDQCYPHKFRELNAENLKTLCNALEKKVSWHKDIIPDIVSTILRCRSGMMRRKERIKSTDTKEDTWLFFQGGDCEAKEKIARELANLVFGSSNNLVTISLNNYSSTTKSDSSDDLRNKRVRSEASHSYLERFFEAIKDNHHRVFLMEDLEQIDYCSQVGIKNAIERGRIRGPNGEEASVGDAILILSCENFDSRSRACSPPVKQKSESHEEDEKEDEGDNEVGSGIFLDLNLCADDEEADEDVVVVDDDDVGLLESVDRSFFFNLHEDHL >DRNTG_34577.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6845666:6846681:1 gene:DRNTG_34577 transcript:DRNTG_34577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFWNRSRDDEAVDDFDDYDPTPYGGGYDLALTFGRPLPPSEELCYPISSSEAIDYERPHFSSGSTPSVYEAKYDHGDRYGRSSQAKPQPAYGFQPVQGGGGSVGYGGGGRPGYGGQSEYGSGYGSEQGSGYGGRPGGEEGYGSGYGGRAGGQVEEGYGSGYGGRQSRQEDEGYGSGYGRKPSHQEEGYGSGYGGRPSRQDDQGYGSGYGGRSQREEQENPSYGTGYGRDEPQRYGHESQYKSGVGEYGYGGSEYQRSEEQGYGGGGYKKSGEEYGSGGRSSYGGSEYERSGEQGYGEGGYRKTSEGYGYGERPSYGGGDEGEGY >DRNTG_23124.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:248239:249226:-1 gene:DRNTG_23124 transcript:DRNTG_23124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASFPLAPFSSPPPPPPIPSPSGTSFLMPPVSYFDPTGELRTLTVSELTKGKKTIIFAVPGAFTPTCSQKHLPGFVDKSGELRAKGVDALACVSVNDAFVMRAWKESLRIGEEVLLLSDGNGDFTRALGVELDLRDKPAGLGIRSRRYAMLVEDGIVKVLNLEDGGAFTASSADHMLNAL >DRNTG_31319.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3998328:4004590:-1 gene:DRNTG_31319 transcript:DRNTG_31319.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELAEALRGLCRRHGWTYAVLWGSDPRDPRVLIMKDSCYLEEQMRNMIDGMRNQAYIVGQGSIGVAALSGRHQWIYSDVCPAELNSQGPNDKLEVLRGNSEWQHQFSAGVGTIVIISLPSFGALECGSDKKITVSMEFVDQVAHLFQQLESMRGLQHADIPQSINVYNTSSTAVSANSSDVSCSYYNATNSFHDESCEELMATALLSKTSFHSSSVLSQRFDHSCQSREIIGEMNPQVLGMPIISHSACIAKDANNPIQDTAPLFRNSSNCITKPSQGAATDYAETQVFFSPNSLLTPTSSVPDNSEVICSRADNWSKTLAASQRQLPAIGIQAFPSMSLIKSSSSIPYINAFYSGDPSLSYNTCNSPGMVLNSKPSNLSKSCLPDVDTLLDMTHSSSSFIPMTIADISSDKSSSMRSFPPKLPNSFVSQVSRSDHTTLSDSKENETLTRNNLANQPPEKVTTSLSLLPKDNQLQVTCSSSNLVGIDPLPSGPVENSDTGAVNSLDAGSDRKRNSADASPQMLHDTELFDVMDLDLSPGTLDLEQWETIIPSSCTNLNKSVSELEKGSFPEFGEPQLLDVDVGNINVAPGHFSVSSNFYAAPNPHPEYQTYREHVPLVGLPRVDFSVPECNLEKIMHGSPKEATSMSHSNLWIDESCSVNAESAVTNHPKKSEEATKVAKRRARPGESTRPRPKDRQLIQDRVKELREIVPNGAKCSIDALLDRTIKYMLFMQSVMKYAGKLKQVDEPKMIGDESGVILKDNSGGGGSGATWAFEVAGQTMVCPIIVEDLNPPGQMVIEMLCEERGLFLEIADIIRGFGLTILKGVMESRDHKIWARFLVEANKDLTRMDIFLSLVQLLQQTNTVQSSDQLSKGIDKATPEFATSQQTSMPIPVSLADRLQ >DRNTG_07226.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14145473:14160508:1 gene:DRNTG_07226 transcript:DRNTG_07226.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIELEARRERVKLNAALLKKEEEMKSTSSRPKPQSIGKVESPDLESFIQQFRRGSIDHDKGMAHDDVADIVDGNKDDKDKERSSEPTGSGESFKPGTWQPPM >DRNTG_07226.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14149904:14152937:1 gene:DRNTG_07226 transcript:DRNTG_07226.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDDVADIVDGNKDDKDKERLPPRKVQYPEVDLLLTRDPA >DRNTG_07226.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14142981:14152937:1 gene:DRNTG_07226 transcript:DRNTG_07226.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLFSKFLGVFRYRNLVGVDKAGNRYFTRTEKLDGVVKEKRWVIFKGEEDPTSIPVEWICWLNGQRKKAPTPQEMIELEARRERVKLNAALLKKEEEMKSTSSRPKPQSIGKVESPDLESFIQQFRRGSIDHDKGMAHDDVADIVDGNKDDKDKERLPPRKVQYPEVDLLLTRDPA >DRNTG_07226.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14142981:14146564:1 gene:DRNTG_07226 transcript:DRNTG_07226.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLFSKFLGVFRYRNLVGVDKAGNRYFTRTEKLDGVVKEKRWVIFKGEEDPTSIPVEWICWLNGQRKKAPTPQEMIELEARRERVKLNAAWCFVHGILKHFL >DRNTG_07226.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14142981:14160508:1 gene:DRNTG_07226 transcript:DRNTG_07226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLFSKFLGVFRYRNLVGVDKAGNRYFTRTEKLDGVVKEKRWVIFKGEEDPTSIPVEWICWLNGQRKKAPTPQEMIELEARRERVKLNAALLKKEEEMKSTSSRPKPQSIGKVESPDLESFIQQFRRGSIDHDKGMAHDDVADIVDGNKDDKDKERSSEPTGSGESFKPGTWQPPM >DRNTG_07226.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14142981:14144480:1 gene:DRNTG_07226 transcript:DRNTG_07226.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLFSKFLGVFRYRNLVGVDKAGNRYFTRTEKLDGVVKEKRWVIFKGEEDPTSIPVEWICWLNGQRKKAPTPQ >DRNTG_07226.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14142981:14149973:1 gene:DRNTG_07226 transcript:DRNTG_07226.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLFSKFLGVFRYRNLVGVDKAGNRYFTRTEKLDGVVKEKRWVIFKGEEDPTSIPVEWICWLNGQRKKAPTPQEMIELEARRERVKLNAALLKKEEEMKSTSSRPKPQSIGKVESPDLESFIQQFRRGSIDHDKGMAHDDVADIVDGNKDDKDKER >DRNTG_01559.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:8964867:8965520:-1 gene:DRNTG_01559 transcript:DRNTG_01559.1 gene_biotype:protein_coding transcript_biotype:protein_coding PQRTQGTEVESITSEGKGDARLDRSSPTNLLPNGITMSEP >DRNTG_01531.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5067235:5068201:1 gene:DRNTG_01531 transcript:DRNTG_01531.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIIWLFVVLIIQSSYTASLTSILTVQQLVSNIQGIDSLIASGYPIGVPVGSLAENYLVKELNVPRSRVQALASPDEYARSLELGPDNGGVAGLVYDRAYLEIFLSTHCQFTTVGSEFTKAGWGFAFPRESPLATDLSTAILQLSENGDLQKIRDKWLTISSCTITKDELESNRLHLRSFWDLFLISGLSCIIALIIYYFLLVYKYISSNTEYSFRGFLTFANKMPDNGDKKEEKS >DRNTG_01531.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5064902:5068201:1 gene:DRNTG_01531 transcript:DRNTG_01531.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFSVFLLLIRLLTVNVVSFAARPAVISMGAAFPFNSTYGRVASTAIQAAVADVNADPKVLKGSKLTLSMHDTECNGFIGMIGALEFMENDVVAIVGPQCSLMAHIISHIANELHVPLLSYGATDPTLSILQFPYFVRTTQSDGFQMQSIAEIIDHYQWRQVIAIFIDDENGRNAISALGDKLAQRRCMITFKAALPPNPTRNNITDLLIKVGLQESRVIVLHANPTDGITIFSVANYLQMTDSGYVWIATDWLVALLDSRGPLDQQTMDSIQGVVSLRMHTADSARKAAFTSRWSKLVRKYSGPNVRLNSYGFYAYDSVWILARALDEFFNDGGLISFSNDSNLSGEDDAANLNLQALSVFDGGKLLIDKIRRISMKGLTGLVQFDQDGNLIHPAFDIFNVVGSGLKMIGYWSNYSGLSVISPEKLYNLPPNRSSVNQKLKNVIWPGDTVIQPRGWVFANNGEELKIGVPNRVNFQEFISKDSKTGTVKGYCVDVFVAAVNLLPYPVPYKFVTYGDGIKPPNYDEILYKVANEEINGAVGDFSIITNRTMIVDFTQPFIESGLVVVTLPKKSISTNPWAFAKPFTANLWCVTGAFFILVGVVIWILERRENEEFNHHGEPMKQIVTIFWFSFSTLFFTHQEEVASIPGRMFLIIWLFVVLIIQSSYTASLTSILTVQQLVSNIQGIDSLIASGYPIGVPVGSLAENYLVKELNVPRSRVQALASPDEYARSLELGPDNGGVAGLVYDRAYLEIFLSTHCQFTTVGSEFTKAGWGFAFPRESPLATDLSTAILQLSENGDLQKIRDKWLTISSCTITKDELESNRLHLRSFWDLFLISGLSCIIALIIYYFLLVYKYISSNTEYSFRGFLTFANKMPDNGDKKEEKS >DRNTG_01531.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5064802:5068201:1 gene:DRNTG_01531 transcript:DRNTG_01531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAFPFNSTYGRVASTAIQAAVADVNADPKVLKGSKLTLSMHDTECNGFIGMIGALEFMENDVVAIVGPQCSLMAHIISHIANELHVPLLSYGATDPTLSILQFPYFVRTTQSDGFQMQSIAEIIDHYQWRQVIAIFIDDENGRNAISALGDKLAQRRCMITFKAALPPNPTRNNITDLLIKVGLQESRVIVLHANPTDGITIFSVANYLQMTDSGYVWIATDWLVALLDSRGPLDQQTMDSIQGVVSLRMHTADSARKAAFTSRWSKLVRKYSGPNVRLNSYGFYAYDSVWILARALDEFFNDGGLISFSNDSNLSGEDDAANLNLQALSVFDGGKLLIDKIRRISMKGLTGLVQFDQDGNLIHPAFDIFNVVGSGLKMIGYWSNYSGLSVISPEKLYNLPPNRSSVNQKLKNVIWPGDTVIQPRGWVFANNGEELKIGVPNRVNFQEFISKDSKTGTVKGYCVDVFVAAVNLLPYPVPYKFVTYGDGIKPPNYDEILYKVANEEINGAVGDFSIITNRTMIVDFTQPFIESGLVVVTLPKKSISTNPWAFAKPFTANLWCVTGAFFILVGVVIWILERRENEEFNHHGEPMKQIVTIFWFSFSTLFFTHQEEVASIPGRMFLIIWLFVVLIIQSSYTASLTSILTVQQLVSNIQGIDSLIASGYPIGVPVGSLAENYLVKELNVPRSRVQALASPDEYARSLELGPDNGGVAGLVYDRAYLEIFLSTHCQFTTVGSEFTKAGWGFAFPRESPLATDLSTAILQLSENGDLQKIRDKWLTISSCTITKDELESNRLHLRSFWDLFLISGLSCIIALIIYYFLLVYKYISSNTEYSFRGFLTFANKMPDNGDKKEEKS >DRNTG_07381.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20584377:20585517:-1 gene:DRNTG_07381 transcript:DRNTG_07381.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLFSPTSLKRYTDLKWQAHVDGMQESMHESINLDDFFMDVNNINVDLQNLQYLHLQLHNTNQEAKTVLNMTTIKELRAQMDLDVRQVIRQAKTVKMKLEELDKANLAHRRLPGCGPGTLAERTRTSVVGGLGSKLKKLMDEFQELRTEMVDEEVIERLTTREGSERFMKEVVMEVKERNDAVKEMEKSLKELEQVFMDMAVLVGAQGEKIDDIESHVARANSFVRRGAGELQTAMQYQRKSRKWTCIALLVGLVVLALILVPVIVNVLRMS >DRNTG_05474.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23221135:23221691:-1 gene:DRNTG_05474 transcript:DRNTG_05474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFCGIARFNGEGRVLMFTAQTSWELVLAEICERWGLEVSLVRVKFITPDGYKTVCPIENDVDFQRMCHVHSIFKCAVVDLVVETENVPLPNPNENEFYSVGRNSDSASAPVHPHG >DRNTG_12806.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2025336:2032397:-1 gene:DRNTG_12806 transcript:DRNTG_12806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLQGWLPRADGVAGELNPAPASPPAVENPHPMVIESVRWRRAENATGAIIERIQPTRVSEDRRRAVVDYVQRLVKHFTGSEVFPFGSVPLKTYLPDGDIDLTALGMPQYEDALANEVRYVLEAEEKNKDSEFEVKDVQYINAEVKLVKCLVQNIVVDISFNQIGGLCTLCFLEKVDLVIGKKNLFKQSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHLFHSSLEGPLAVLYRFLDYYSKFDWDNFCISLHGPIPLSSLPELVAEVPENDGGELLLSKEFLRSSMDSFSVPPRPGENSPRAFMPKHLNIVDPLKENNNLGRSVSKGNFYRIRSAFTYGARKLGRILLLSEERIAEELSKFFTNTLERHGSGERPDVQDEPPFNTYGTIIDDDGFGPAPFDLRANKHKEDESTLQSPHAESYGVWEINRLKFLGLDEDRGSRAQSGEHQFNHQIPVNYLQSHVKVEADGLGDGNAVSRKRLTGDARDLATSRTNSSKNMADTLKSPSNSETCLSPSSPAYYAPHHFFQPEDVVGNGPTKFGTEKYTRGFTRSDEFSIPTQQDSHETESSGGTPSWISSNHGVSTSVSYIEEFTPSNWKIHQPENLSNVDIVAGSSDGNDNKSPKPTCLADLTGEFEIHYKSLLYAQSCHEFMLSGFGYPNHRSSPPPYRGKTSWDAFRRPNFYMPVNANGLVHGQPILHGHYPVSSPIAPSTYGEDLQKPRGTGTYFPNANAVNYRTYRERPTHGRGRASANILPRNRNNGRPMTPPDMSFQEKVSHDPPSPSQSPSLGLGGSGRGKPALLDILQAPRPTVKGVSQANGLVFPPDGRVEFGSVPLGWSSLLEPGRRLDSVSPHNQSSASVILTPTAQKFVPSSTSERPKQSYQLKDDDDFPPLSG >DRNTG_02148.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28976343:28979099:1 gene:DRNTG_02148 transcript:DRNTG_02148.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTNREMSGRGNGSRKPNAQFVDWAQEKAMACLC >DRNTG_09174.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7281926:7283627:-1 gene:DRNTG_09174 transcript:DRNTG_09174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSKESKLYKFNKFTFKAIVGSNGKELGIGIVVYGPLGRGFFGGKGVSESVYKHSLPHLSYSSENLEKSKALYVRVGNLAKKHQCSTTQLALSWVLHQGDDIVPIPATTKIKNVDSNIGALQMKLTEDDLRKFLV >DRNTG_01544.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:169090:170000:1 gene:DRNTG_01544 transcript:DRNTG_01544.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALKALNPFPLFSSSFLSPSGRPATELRFSRWNNANAEPFIRRRREQQEIEADLRLHRRHHSAALIASDEPDHDPDSDRAYACAPSPPFKSIGTPSSPSSPSIPGRASKYSKPPENPRNAGSHPAFRRVFRARVPQVSEEQQAGIVVGDRGIAYRIKDAPFEFQYSYTETPKVKPLALREAPYLPFGPGTMPRPWTGRAPTPESRKKRPEFDSFKPPPPGKKGVKPVQAPGPYLAGSGPKYKAMTREEILGEPLTNEEVKDLIKSTLKTTRQLNM >DRNTG_13893.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2445334:2448409:1 gene:DRNTG_13893 transcript:DRNTG_13893.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAKTLDQAQGIDLVVNFKCKNETSLESASNHAQRHKQYTTRMLEQYYRNQNKLLDFEVGDDQVKNWQRLLAALNLQDLDDSMED >DRNTG_03804.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000179.1:6979:7617:-1 gene:DRNTG_03804 transcript:DRNTG_03804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRAMSRAMGRAALSPHAHVTERDTGATPRTAGNRWGPPLCKGGLARNHLAVVGGDRGTTRTARRFGWPVAWRRRHERGRTARPPAQVRVAHGQPPGHAMAVRAMSRAKRRAARPPHAHVAQTYPHPLLYLQNIPPKATPGVQRVSETPLFLRRGC >DRNTG_20376.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:97730:127799:-1 gene:DRNTG_20376 transcript:DRNTG_20376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEPLHVLFFPFLTRSHMIPMLETARLFSENGIKTSIVTTPANADLIKPLLHHHPSISLHLIPFPSTTFNLPSGCENLTVLPLHLANNFFSAVFSLRDPLSHLLSSLHPDAIISDALYTWTTELAGEFHIPRVIFQVTGLFPLSAANDLDLHRPYNSISDDSELFSIPGFPHTVHLTRSQLPEVFSFPPMLGWLREAELSSHAVIVNSFSALEPDYAVHYSNVAPREVFLLGPVAIAGQPEKKEKKAEPCLEWLDEKDDGSVVYVGFGTLSRFTAEQMKELAFGLENSGEGFVWAVGSGEAVEWIPDGFEKRVQGRGLVLKGWVPQTEILNHRAVGGFVCHCGWNSVMEAVVAGVPVITWPLHSEQFVIEKWICEVVKMGIPAWEGFKSVKDEEKVVFPATAVAAAVKKLMGSGEEVVEMRKRVAELAKLARMAVAEGGTSNQDLSRLIDGLVAWRDNHRPIK >DRNTG_20376.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:97730:103714:-1 gene:DRNTG_20376 transcript:DRNTG_20376.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEPLHVLFFPFLTRSHMIPMLETARLFSENGIKTSIVTTPANADLIKPLLHHHPSISLHLIPFPSTTFNLPSGCENLTAVPVHLTTDFFSAAFNLRDPFSHLLSSLHPDAIISDALYTWTTELAGEFHIPRVIFQVTGLFPLSVANDLDLHRPYNSISDDSELFSIPGFPHTVHLTRSQLPEVFSFPPMLGWLREAELSSHAVIVNSFSALEPDYAVHYSNVAPREVFLLGPVAIAGQPEKKEKKAEPCLEWLDEKDDGSVVYVGFGTLSRFTAEQMKELAFGLENSGEGFVWAVGSGEAVEWIPDGFEKRVQGRGLVLKGWVPQTEILNHRAVGGFVCHCGWNSVMEAVVAGVPVITWPLHSEQFVIEKWICEVVKMGIPAWEGFKSVKDEEKVVFPATAVAAAVKKLMGSGEEVVEMRKRVAELAKLARMAVAEGGTSNQDLSRLIDGLVAWRDNHRPIK >DRNTG_20376.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:97730:103714:-1 gene:DRNTG_20376 transcript:DRNTG_20376.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEPLHVLFFPFLTRSHMIPMLETARLFSENGIKTSIVTTPANADLIKPLLHHHPSISLHLIPFPSTTFNLPSGCENLTAVPVHLTTDFFSAAFNLRDPFSHLLSSLRPDAIISDAIYTWTTELAGEFHIPRVIFQVTGLFPLSATNDLDLHRPYNSISDDSELFSIPGFPHTVHLTRSQLPEVFSFPPMLGWLREAELSSHAVIVNSFSALEPDYAVHYSNVAPREVFLLGPVAIAGQPEKKEKKAEPCLEWLDEKDDGSVVYVGFGTLSRFTAEQMKELAFGLENSGEGFVWAVGSGEAVEWIPDGFEKRVQGRGLVLKGWVPQTEILNHRAVGGFVCHCGWNSVMEAVVAGVPVITWPLHSEQFVIEKWICEVVKMGIPAWEGFKSVKDEEKVVFPATAVAAAVKKLMGSGEEVVEMRKRVAELAKLARMAVAEGGTSNQDLSRLIDGLVAWRDNHRPIK >DRNTG_20376.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:97730:127799:-1 gene:DRNTG_20376 transcript:DRNTG_20376.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPMLETARLFSDNGIKTSIVTTPANADLIKPLLHHHPSISLHLIPFPSTTFNLPSGCENLTVLPLHLANNFFSAVFSLRDPLSHLLSSLHPDAIISDALYTWTTELAGEFHIPRVIFQVTGLFPLSAANDLDLHRPYNSISDDSELFSIPGFPHTVHLTRSQLPEVFSFPPMLGWLREAELSSHAVIVNSFSALEPDYAVHYSNVAPREVFLLGPVAIAGQPEKKEKKAEPCLEWLDEKDDGSVVYVGFGTLSRFTAEQMKELAFGLENSGEGFVWAVGSGEAVEWIPDGFEKRVQGRGLVLKGWVPQTEILNHRAVGGFVCHCGWNSVMEAVVAGVPVITWPLHSEQFVIEKWICEVVKMGIPAWEGFKSVKDEEKVVFPATAVAAAVKKLMGSGEEVVEMRKRVAELAKLARMAVAEGGTSNQDLSRLIDGLVAWRDNHRPIK >DRNTG_20376.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:97730:115820:-1 gene:DRNTG_20376 transcript:DRNTG_20376.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEPLHVLFFPFLARSHMIPMLETARLFSDNGIKTSIVTTPANADLIKPLLHHHPSISLHLIPFPSTTFNLPSGCENLTVLPLHLANNFFSAVFSLRDPLSHLLSSLHPDAIISDALYTWTTELAGEFHIPRVIFQVTGLFPLSAANDLDLHRPYNSISDDSELFSIPGFPHTVHLTRSQLPEVFSFPPMLGWLREAELSSHAVIVNSFSALEPDYAVHYSNVAPREVFLLGPVAIAGQPEKKEKKAEPCLEWLDEKDDGSVVYVGFGTLSRFTAEQMKELAFGLENSGEGFVWAVGSGEAVEWIPDGFEKRVQGRGLVLKGWVPQTEILNHRAVGGFVCHCGWNSVMEAVVAGVPVITWPLHSEQFVIEKWICEVVKMGIPAWEGFKSVKDEEKVVFPATAVAAAVKKLMGSGEEVVEMRKRVAELAKLARMAVAEGGTSNQDLSRLIDGLVAWRDNHRPIK >DRNTG_20376.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:97730:99245:-1 gene:DRNTG_20376 transcript:DRNTG_20376.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEPVHVLFFPFLARSHMIPMLETARLFSENGVKTSIVTTPANANLINPLLHHHPSISLHLIPFPSTTFNLPSGCENLTVLPLHLANNFFSAVFSLRDPLSHLLSSLHPDAIISDALYTWTTELAGEFHIPRVIFQVTGLFPLSVANDLDLHRPYNSISDDSELFSIPGFPHTVHLTRSQLPEVFSFPPMLGWLREAELSSHAVIVNSFSALEPDYAVHYSNVAPREVFLLGPVAIAGQPEKKEKKAEPCLEWLDEKDDGSVVYVGFGTLSRFTAEQMKELAFGLENSGEGFVWAVGSGEAVEWIPDGFEKRVQGRGLVLKGWVPQTEILNHRAVGGFVCHCGWNSVMEAVVAGVPVITWPLHSEQFVIEKWICEVVKMGIPAWEGFKSVKDEEKVVFPATAVAAAVKKLMGSGEEVVEMRKRVAELAKLARMAVAEGGTSNQDLSRLIDGLVAWRDNHRPIK >DRNTG_20376.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:97730:115820:-1 gene:DRNTG_20376 transcript:DRNTG_20376.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEPLHVLFFPFLARSHMIPMLETARLFSDNGIKTSIVTTPANADLIKPLLHHHPSISLHLIPFPSTTFNLPSGCENLTAVPVHLTTDFFSAAFNLRDPFSHLLSSLRPDAIISDAIYTWTTELAGEFHIPRVIFQVTGLFPLSATNDLDLHRPYNSISDDSELFSIPGFPHTVHLTRSQLPEVFSFPPMLGWLREAELSSHAVIVNSFSALEPDYAVHYSNVAPREVFLLGPVAIAGQPEKKEKKAEPCLEWLDEKDDGSVVYVGFGTLSRFTAEQMKELAFGLENSGEGFVWAVGSGEAVEWIPDGFEKRVQGRGLVLKGWVPQTEILNHRAVGGFVCHCGWNSVMEAVVAGVPVITWPLHSEQFVIEKWICEVVKMGIPAWEGFKSVKDEEKVVFPATAVAAAVKKLMGSGEEVVEMRKRVAELAKLARMAVAEGGTSNQDLSRLIDGLVAWRDNHRPIK >DRNTG_05439.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12060026:12060573:-1 gene:DRNTG_05439 transcript:DRNTG_05439.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSTYKASTFLSYGKGSPEANEKGRKQDR >DRNTG_27193.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:546547:554087:1 gene:DRNTG_27193 transcript:DRNTG_27193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGMEEGEGESSSPPKGFGGHAAHDVHDIKDEIFFKLVEMGHEEVASNPDLRDHLDAHFNRLPPSYQLDVNLDKAEDVLLHRRILTEAKDPDKRPVFHVRFMKLDDSNLDPRKSAEEIEDGSYLTEALSVRRDSIYIPIHEIIFSTLDKPKLLSQLSALLSDLGLNIREAHVFSTTDGYSLDVFVVDGWPVEDADGLQKEIEIAIVNYEGSLCGSTHSSGTEKILAVQPKIGEWEIDRRLLKMGENIASGSSGDLFRGSYMGEEVAIKVLRSEHLNEAIRVEFAQEILILSEVQHRNVVRFIGASAKPPQFCIVTEYMSGGSLHDFLHKVNNVLELPLILKFALDVCQGMNYLHQNNIIHRDLKTANLLMDKNHVVKVADFGVARFQNEQGIMTAETGTYRWMAPEIINHLPYDQKADVFSFAIVLWELTTSKIPYEDLTPLQAALGVRQGVRPELSENAHPVLVDLMKRCWEADPVKRPSFAEIIVELEELLKQVTEERGQVERNEAEEL >DRNTG_18939.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12072232:12078435:1 gene:DRNTG_18939 transcript:DRNTG_18939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDGVVLLSFWASPFGQRVQIALEEKGVEYEYKEQDILNNKSALLLESNPVYKKVPVLIHEGKPICDSLLIVEYIDEFWLDKARLLPAQPFACAQARFWADFISKQLTLIMLKPQHRFHAYEVCANLNIEKECPKIIGWAKRCMQRKSVSKTLPDPQRAYEFILLLKKLHGVE >DRNTG_26238.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1858810:1860175:-1 gene:DRNTG_26238 transcript:DRNTG_26238.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQSLGSPNSKLQIHGEIAGGGSAVDEETDETKTEKMARFCSKSERSIHLIPLLTLFCLLVLYFFSHDPSPSDLPSIGGGEVRVLDPKVVLSGEGRSHRGLKAATKPGRRHRKLGGVL >DRNTG_26238.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1858810:1860175:-1 gene:DRNTG_26238 transcript:DRNTG_26238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQSLGSPNSKLQIHGEIAGGGSAVDEETDETKTEKMARFCSKSERSIHLIPLLTLFCLLVLYFFSHDPSPSDLPSIGGGEVRVLDPKVVLSGEGRSHRGLKAATKPGRRHRKLGGVL >DRNTG_08846.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27881130:27884120:-1 gene:DRNTG_08846 transcript:DRNTG_08846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVRSSSEGRGGVWRLCRMPFWSSGTGAASSSQSSVHQTSRNSLGQSGRIDGQTGQRRGGGGGGRSVSSVARTLFPARRRLKLDPASKLYFPYEPGKQVRSAVRIKNTSKSHVAFKFQTTAPKSCFMRPPGAILSPGESLIATVFKFVEQPENNEKPQDQKSKVKFKIVSLKVKGPMEYIPELFDEQKDQVAVEQILRVVFLDISRPSPRLDKLNRQLADAEAALEARKKPPEDAGPRIVGEGLVIDEWKERRERYLARQQVEVVDSG >DRNTG_21774.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2988325:2990669:-1 gene:DRNTG_21774 transcript:DRNTG_21774.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHGNYVDSGVNPVMAAQMHHMAAQRSQHNPGVNQFPGREGSLRAEDERQYIPKAEGQWQWDREASKPSNSLPSHVLDEGKFLFCHLILLSMHNSYCSLLTFTSFLASLLILLAIYFQEICSCSK >DRNTG_21774.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2988325:2990669:-1 gene:DRNTG_21774 transcript:DRNTG_21774.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTENCSKMRQHGNYVDSGVNPVMAAQMHHMAAQRSQHNPGVNQFPGREGSLRAEDERQYIPKAEGQWQWDREASKPSNSLPSHVLDEGKFLFCHLILLSMHNSYCSLLTFTSFLASLLILLAIYFQEICSCSK >DRNTG_21774.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2983071:2990669:-1 gene:DRNTG_21774 transcript:DRNTG_21774.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHGNYVDSGVNPVMAAQMHHMAAQRSQHNPGVNQFPGREGSLRAEDERQYIPKAEGQWQWDREASKPSNSLPSHVLDEGNEPPRSLYQGHRSESKLVLDKQANKDLEIGYDDNNLPLTFDGLEQKFLQDFLKLSKEQQDAEDTENARHRERLSEINTQYQEKILTMRARQATQREEFLRKESQVRYQQYQQACMSNYPHNAGSNEAHGLGSASGPSSVIGDAHRAYPASHFDSYGERPDYPGGSRGRGYKSRGPYPGGRAYNSGGRYF >DRNTG_26828.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1985125:1988295:1 gene:DRNTG_26828 transcript:DRNTG_26828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVHTSSRVESSHIPHNSSGKTNISSVSSILTAHYSSKSTCDKLPSPRTEGGILSSSKLKIFTFNELKNATRNFRPDSFIGEGGFGRVFKGWIDEQTFAPSKPGFGLVVAVKRLKTEGSQGHKEWLAEVEHLGKLHHPNLVKLIGHCQEGDHRLLVYEFMPKGSLENHLFSRGAQPLSWAIRIKVAIGAARGLSYLHNAEPQVIYRDVKASNILLDSDFNGKLSDFGLAKAGPTGDRTHISTQVMGTQGYAAPEYMATGRLSAKADVYSFGVVLLELLSGRSALDKNKISTEQNLVEWSKTYLCDKHKLFRIMDTKLEGQYPKKSAFAVASLALQCISGEAKLRPRMSEVLATLEQLQDPKGLAKLQFHATRDAVNTYYDKATKRHYSAMKSPMRRQPAPHYSTPSVSPLPPNRYSPRYSPHLH >DRNTG_02707.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19611326:19613885:1 gene:DRNTG_02707 transcript:DRNTG_02707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWNGGAFLSGELSKPTSIFGLKLWVVICIGVGVFILLMLSLIALCIACRSRSKKARRVLDPTPPVSKEILEIAPRAEAIQIDISGKPEHRVVFPEISPRAPPSVSTASGESREVVDSVSPSGGRPPEVSHLGWGHWYTLRELEEATGGLAEENIIGEGGYGIVYRGVLQDNTMVAVKNLLNNKGQAEREFKVEVETIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLDQWLHGDMGRVNPLTWEIRIKIIIGTAKGLAYLHEGLEPKVVHRDVKSSNILLDQQWNPKVSDFGLAKLLCSERNYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIITGRTPVDYTRPPGEVNLVDWLKVMVGEKKSEEVIDPNLPEKPSPKALKRALLVALRCVDPDAQKRPKMGLVIHMLELDDLLSRDDGIVLRQGSQAQHDLPQNRPGSYRYNPEHEFTRENQSRWR >DRNTG_02707.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19611326:19613885:1 gene:DRNTG_02707 transcript:DRNTG_02707.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWNGGAFLSGELSKPTSIFGLKLWVVICIGVGVFILLMLSLIALCIACRSRSKKARRVLDPTPPVSKEILEIAPRAEAIQIDISGKPEHRVVFPEISPRAPPSVSTASGESREVVDSVSPSGGRPPEVSHLGWGHWYTLRELEEATGGLAEENIIGEGGYGIVYRGVLQDNTMVAVKNLLNNKGQAEREFKVEVETIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLDQWLHGDMGRVNPLTWEIRIKIIIGTAKGYDNFHFFLLFWRLELRNGLLRI >DRNTG_10944.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:183654:187378:1 gene:DRNTG_10944 transcript:DRNTG_10944.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sulfate transporter 3.5 [Source:Projected from Arabidopsis thaliana (AT5G19600) UniProtKB/Swiss-Prot;Acc:Q94LW6] MGTLEDAKHKVNLSKYRNFRVTFREKLKETFFPDDPFRHFRGLPPHRKAFAFLKYFAPILEWGPKYKFTEFKFDLLAGITIASMAIPQGISYARLAGLPPIIGLYSSFVPPLIYAVFGSSKNVAIGTVGAASLLLQSIIQQAVLPANDTKLYMNLFFTAAFFTGVFQTAMGIFRLGFLVDFLSRSTITGFMGGTAILIILQQMKGMLGMQHFTDKTDVVSVIHAVFHYRADWQWQSFVVGLIFLTFLLGTRHLKKKIPRLFWVSAISPLVVVILGGLLAFLIKGDEHGIPIVGTLNKGLNPISIGDLVFTSPYLSYAVKAGLIAGFIALAEGIAVGRSLGLLKNEQVDGNKEMIAFGLMNIGGSFTSCYLTTAPFSKSAVNFHAGSKTAMSNVIQAIIIMLVLLFLAPLFKYTPLVALAAIIIVAMIGLIEFEEMYHLFKVDKFDFCVCMAAFFGVSFLSMFKGLLISVGLSLIRALIHVARPTTSKLGKLDGSDMYRDIEQYPEAQSQPGILILKLGSPIYFANATYLRERTSRWVEEEMSSNKNGSDLQYIIFDMSGVTSIDSSGLGMFHEVRMIMSQKGIKMALANPRLEVVGKLVSSNFIEMIGAEWVFLSIKDAVSSCNFALQELRTGPEHV >DRNTG_21818.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17592584:17593867:-1 gene:DRNTG_21818 transcript:DRNTG_21818.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSNTFMQVFFKKWLLGLKHGGISSKNMSFLERKRTIKVSSDIAMAFAKHGRTWSQALVTNLSKQEENKAMVNAIFEDVEYHKKKSSSSSSPRYHDKPCYNTRSKKILRRSLQVCSMVRKRRHKKPSNGVVAMILAKDLVKKRTKLLKRLVPGGDSMDDFSLLDETFDYIISLQAQVDLMHLLAKVLGVLNTKN >DRNTG_33362.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26521469:26527101:-1 gene:DRNTG_33362 transcript:DRNTG_33362.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 23, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G42070) UniProtKB/Swiss-Prot;Acc:P93740] MLRSLNPLLFGLGISPLHHCKLRTLSNLPKIVSFSVLRPSSLSPRLLALYSRSRGPFMAFRMSSGGLDSSSSDADGVTLAPPAVPKPRIRFCQSCGGTTKQVIPDGEEKLRAVCSVCGKIHYENPKMVVGCLVEHDNKVLLCKRNIEPSYGLWTLPAGFLEIGESAMEGAMRETLEEACADVDVISPFAQLDIPLIGQYRVTSFSEPN >DRNTG_33362.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26521469:26527101:-1 gene:DRNTG_33362 transcript:DRNTG_33362.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 23, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G42070) UniProtKB/Swiss-Prot;Acc:P93740] MLRSLNPLLFGLGISPLHHCKLRTLSNLPKIVSFSVLRPSSLSPRLLALYSRSRGPFMAFRMSSGGLDSSSSDADGVTLAPPAVPKPRIRFCQSCGGTTKQVIPDGEEKLRAVCSVCGKIHYENPKMVVGCLVEHDNKVLLCKRNIEPSYGLWTLPAGFLEIGESAMEGAMRETLEEACADVDVISPFAQLDIPLIGQSYVIFRAKLKTPHFSPGPESLECVLFAPEDIPFGSIAFSSITVTLKMYIEDMKSGSARFHYCTINKRPGASPSDPNGFEVDNHMHL >DRNTG_14415.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17159632:17163285:1 gene:DRNTG_14415 transcript:DRNTG_14415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLMESTSYVERPSAFFGPAQFSYPSVQCTGLISWREKENVVVLLLLFADSTHSPGIVPHRKKERGAPARPVANTCPVQRKRAAQTNVSCRIPRWPSGTECEWTLCRGSVSGRRETRRMIHLDRIDEPFRESMESKRTLIRASKVEALPEARRGSGAVVEAVSSPDLLEYKSRDHEKKYVTSSRPRSIEEYLCTMFRFTDKEDRVGVGVYDVILLARRSQNQSIQTEDALTSRDQ >DRNTG_19766.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6369798:6373597:1 gene:DRNTG_19766 transcript:DRNTG_19766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLIAALHKACIYTVPKHLQPTESPSKSNDYLKIIGYHEEEGRIESSDSYVKRVESYMKLYAAIIQTQVPGIQNPHGLKEGWAWLSRFLNNLPANRTTAVALHAFLKMAGFALFKRYRSQFRKILNFISDNFLPVLKKRDDASKVYVEIEEYLQSKAYLTQPEGWSLQSGLLSRELV >DRNTG_19766.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6370934:6373597:1 gene:DRNTG_19766 transcript:DRNTG_19766.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLIAALHKACIYTVPKHLQPTESPSKSNDYLKIIGYHEEEGRIESSDSYVKRVESYMKLYAAIIQTQVPGIQNPHGLKEGWAWLSRFLNNLPANRTTAVALHAFLKMAGFALFKRYRSQFRKILNFISDNFLPVLKKRDDASKVYVEIEEYLQSKAYLTQPEGWSLQSGLLSRELV >DRNTG_19766.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6370934:6373597:1 gene:DRNTG_19766 transcript:DRNTG_19766.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLIAALHKACIYTVPKHLQPTESPSKSNDYLKIIGYHEEEGRIESSDSYVKRVESYMKLYAAIIQTQVPGIQNPHGLKEGWAWLSRFLNNLPANRTTAVALHAFLKMAGFALFKRYRSQFRKILNFISDNFLPVLKKRDDASKVYVEIEEYLQSKAYLTQPEGWSLQSGLLSRELV >DRNTG_19766.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6369798:6373597:1 gene:DRNTG_19766 transcript:DRNTG_19766.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLIAALHKACIYTVPKHLQPTESPSKSNDYLKIIGYHEEEGRIESSDSYVKRVESYMKLYAAIIQTQVPGIQNPHGLKEGWAWLSRFLNNLPANRTTAVALHAFLKMAGFALFKRYRSQFRKILNFISDNFLPVLKKRDDASKVYVEIEEYLQSKAYLTQPEGWSLQSGLLSRELV >DRNTG_19766.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6369798:6373597:1 gene:DRNTG_19766 transcript:DRNTG_19766.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLIAALHKACIYTVPKHLQPTESPSKSNDYLKIIGYHEEEGRIESSDSYVKRVESYMKLYAAIIQTQVPGIQNPHGLKEGWAWLSRFLNNLPANRTTAVALHAFLKMAGFALFKRYRSQFRKILNFISDNFLPVLKKRDDASKVYVEIEEYLQSKAYLTQPEGWSLQSGLLSRELV >DRNTG_19766.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6369798:6373597:1 gene:DRNTG_19766 transcript:DRNTG_19766.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLIAALHKACIYTVPKHLQPTESPSKSNDYLKIIGYHEEEGRIESSDSYVKRVESYMKLYAAIIQTQVPGIQNPHGLKEGWAWLSRFLNNLPANRTTAVALHAFLKMAGFALFKRYRSQFRKILNFISDNFLPVLKKRDDASKVYVEIEEYLQSKAYLTQPEGWSLQSGLLSRELV >DRNTG_19766.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6370934:6373597:1 gene:DRNTG_19766 transcript:DRNTG_19766.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLIAALHKACIYTVPKHLQPTESPSKSNDYLKIIGYHEEEGRIESSDSYVKRVESYMKLYAAIIQTQVPGIQNPHGLKEGWAWLSRFLNNLPANRTTAVALHAFLKMAGFALFKRYRSQFRKILNFISDNFLPVLKKRDDASKVYVEIEEYLQSKAYLTQPEGWSLQSGLLSRELV >DRNTG_29146.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5334363:5335454:1 gene:DRNTG_29146 transcript:DRNTG_29146.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIKLMPMPMPLGTTTLPPELPWPHLNRQSRTLSLVTRCQSSGGHAELQIGSPIIIVEAPVALKTAAYMPSLRINTGQIKTGDVGRYN >DRNTG_29146.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5334363:5334984:1 gene:DRNTG_29146 transcript:DRNTG_29146.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIKLMPMPMPLGTTTLPPELPWPHLNRQSRTLSLVTRCQSSGGHAELQIGSPIIIVEAPVALKTAAYMPSLRINTGQIKTGDVGRYN >DRNTG_29146.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5334363:5335454:1 gene:DRNTG_29146 transcript:DRNTG_29146.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIKLMPMPMPLGTTTLPPELPWPHLNRQSRTLSLVTRCQSSGGHAELQIGSPIIIVEAPVALKTAAYMPSLRINTGQIKTGDVGRIVAKKTKRCMDC >DRNTG_29146.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5334320:5334984:1 gene:DRNTG_29146 transcript:DRNTG_29146.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIKLMPMPMPLGTTTLPPELPWPHLNRQSRTLSLVTRCQSSGGHAELQIGSPIIIVEAPVALKTAAYMPSLRINTGQIKTGDVGRIVAKKTKRCMDC >DRNTG_29146.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5334320:5335454:1 gene:DRNTG_29146 transcript:DRNTG_29146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIKLMPMPMPLGTTTLPPELPWPHLNRQSRTLSLVTRCQSSGGHAELQIGSPIIIVEAPVALKTAAYMPSLRINTGQIKTGDVGRIVAKKTKRCMDC >DRNTG_29146.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5334363:5334984:1 gene:DRNTG_29146 transcript:DRNTG_29146.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIKLMPMPMPLGTTTLPPELPWPHLNRQSRTLSLVTRCQSSGGHAELQIGSPIIIVEAPVALKTAAYMPSLRINTGQIKTGDVGRIVAKKTKRCMDC >DRNTG_26852.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1617247:1617853:-1 gene:DRNTG_26852 transcript:DRNTG_26852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLISISERRKKGKKGKLLPTTFH >DRNTG_18644.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6693659:6698907:1 gene:DRNTG_18644 transcript:DRNTG_18644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEGGGGFGDPLLLCDVGLSSEFYFSSQTHMGTALNRELELEGNLDRCLIRPDSLSLGGTEPKDMSGREDMIKTTDMEMNFNDRSVKPVLDPPAGFSWRFSEGVWALFPVLGTSKLIPVEVPSELERVDTQFSGTGEDSDDSASQFERNLRVLLPNLQTGNLTGSHDPPKGSRRSERQKKSSSRFNEEAGFIPETPRSSKKKLNQEGIVEGATSKPLCISDWSNAQLNSYCNACGIVFTDSHDECLNDIRVLELSRIRLRRRLMLLLPRSVAVSSLWARVVCMTWVTLLPRSHPDLSRGSRLFVGFWWIVGLFHGVGFSFCIARFTACGARVLCCYLGVPVWLLVTSFQDRATFISHRNTVRLANVYGDVTLAKICGAIAANEKRHVMACTKVVKKLFDVDLDMTMVSLAKLMRKRIVIPVVLLFDGQDDKLFEHYSVVAKRIGVYTSKDYCDILEFFVKKWRVEEITGLSPEGRKTQEYVCRLVEKIKELEERAHKRSLMTKHLHTMPFS >DRNTG_28679.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20417853:20421535:-1 gene:DRNTG_28679 transcript:DRNTG_28679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGSSSLMAYKMLFSVLGCVMVVTLVYTLLTDGSPFRRELLTPWMVATLVDFYVNVVAIATWVVYKESTWSALLWTILLVCSGSIATCAYIVKKLSEICSQDPVQNPVRHVFLRIGCDWKTKNFSIVFLKILFSVLGLVVFTTVVYTLITDGSPFRMELLTPWMAATLIDFYINVVAISVWVIHRESSWITSFIWIIFLICFGSITTCVYIVVQLFHLSRQDQSTVVYSAITDKSDTKTRK >DRNTG_19669.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001037.1:34651:36867:1 gene:DRNTG_19669 transcript:DRNTG_19669.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISISISISISLAILLLLNPTASEQDHVKLSLINFLQKLSGNDTGITEKLSWNTSTDPCSGNWTGISCNNKNKSIRGITLEGLLLNGSIDATLACTAPTLAVLSLRDNLLHGQLPLEISSCSQLTHLFLSGNRLSGELPLEISELNNLKRLDISNNNFSGDIPSGLTTISGLVSFLAEDNQFTGSIPVFDFNNFQQFNISNNLFSGRIPPDAAQFGNESFSGNSGLCGLPLAVACAPAASPDVPNKPNESSPSTERTIMYLGYILLGATIFLFFLYKLIQKRKKMKKSEINLGSQNDDVSVPISKNNSNSNENKSNEYSTSSTDSPVISSSLVVLKSPVKRSMKFDDLLKCPAELLGKGKHGNVYKVMAVDGSVLVVRRIKEWMISSEEFHKRMEMINKARHKNVLPLVAFYCSKQEKLVVYEFQLNGSLLNLIHGSQFGRTFDWSSRLNMAAGIAEGMSFVHRELQTHGIGHGNLKSSNIIMNNTMDPCISELGLMTTDQTKPTISTTNNSTTMNNNVFKKDVYDLGIILLELLTGKLVQNNGADLAKWVNSVVREEWTVEVFDKALVAEGASEERMVHLLSDCTQVC >DRNTG_10313.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27656413:27657414:1 gene:DRNTG_10313 transcript:DRNTG_10313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPQFEIGMKFRSFKQFKEAVKNYGIRNRYVLKFKPNSQKRCKALCKKNCSFYLWASPMVKDSNTIQIKSGHLKHECARDHNNKHVNAEWITNAYLEQFRADPNWKVSGIIQAVKINQRG >DRNTG_13956.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000649.1:6781:8394:-1 gene:DRNTG_13956 transcript:DRNTG_13956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTFSNSLPVGESVWMCSTFPNFSPEERGILFLNAVSVVIIYSMSTYSASSTGDNAGGDGFMVTEGERSGQDGSGP >DRNTG_21896.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19620688:19622942:1 gene:DRNTG_21896 transcript:DRNTG_21896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMLKLTALALEFIRLEDENLDKLNAAFPSLQVLKLIKVGGLKYPKIHLPHLRVCHWTVSNYPYSLTIDAPELIELNLTCVEPRSVVLKTPSLLKLNLEINKPSGIFQLEGLLNLESLKMVASDVQCLIKLFKGCKAVRKLELDAPEYSDKCTTGTFTFEDLLISFPGMNDLKLGPRIINNCFEPRCLQMPVEWQKLKYLEVHLPPFDLDFSFVVCILNFCLPNCLVTILFHADVSTIDRNQFVSKCSSSFARIRWKWGMWK >DRNTG_34293.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28210402:28216345:1 gene:DRNTG_34293 transcript:DRNTG_34293.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISALAAHGRGPEALDLFGEMLEFGFKPDSVICIAVLHACSHAGLVEKAREYFNLMVRVYGIKPGLEHYGCMVDVLGRAGQVEEAWKMVHSMPMEADEYVLKSLLCACCNHIFLEYAEWAAEKLMSLNAGQASSYVLLSNAYASLGQWDSVERLRKLMRARGVPKISGSSAVE >DRNTG_22863.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4468362:4470565:1 gene:DRNTG_22863 transcript:DRNTG_22863.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGNSSTPPPPPPPPPPAVLHQSEADEEDENVKQLHECSTIYLALQECLAQTNRNWKSCQPEVQALKECHMRKKLTKGND >DRNTG_32011.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18404598:18407941:1 gene:DRNTG_32011 transcript:DRNTG_32011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTVTARPTSPHMTTTMTTRGGTPTTQPSSPRQAHKPLLNNKTTTKIQRK >DRNTG_04175.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:531122:535237:1 gene:DRNTG_04175 transcript:DRNTG_04175.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISGGHKMLPSKTVSKIQKQKYVATQLWYSLRAYSSILYLHLPMNFRIILRGHVVDPHYIVNDLKFREGIKYRPQLLGNMEGEINTIIGYLEGSPNIDVHGFNIYHKNRLILPFLPVVSSTNGKGKGVAGVLEANFIKPTHNKQDFERSTLYQRLVGRLREMTTEYWDLHCHLVGYRTKRVPPSLPPSSSVPAYPMQHSVESSAHVNPRPLREIPAAAAASEVSSSELNSHHPVLNGNDIFLTNKRKHDGVMPIRESLKKQAVINGTPTEVASNGKMKVRQPTHMRTQESIIMRQENEKLCAECADLEMNTKELLLKEQYLRLELQIVQEAYEKLSIEYQSRNHSKVEKL >DRNTG_04175.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:527400:535237:1 gene:DRNTG_04175 transcript:DRNTG_04175.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGSLRRCMSFGFSNKLLDSSIGQYGNGFKTSTMRLGADVVVFSRSMSQGTQSVGILSYTSLRQIGCDDVIVPVVDYKFDPVTGEYGMLACYSQKKFTSNLSLLLKWSPYSTEGELLKQFEDIGSHGTKIVIFNLWNNDDGEMELDFESDAKDVMISGGHKMLPSKTVSKIQKQKYVATQLWYSLRAYSSILYLHLPMNFRIILRGHVVDPHYIVNDLKFREGIKYRPQLLGNMEGEINTIIGYLEGSPNIDVHGFNIYHKNRLILPFLPVVSSTNGKGKGVAGVLEANFIKPTHNKQDFERSTLYQRLVGRLREMTTEYWDLHCHLVGYRTKRVPPSLPPSSSVPAYPMQHSVESSAHVNPRPLREIPAAAAASEVSSSELNSHHPVLNGNDIFLTNKRKHDGVMPIRESLKKQAVINGTPTEVASNGKMKVCYLSGLL >DRNTG_04175.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:527400:535237:1 gene:DRNTG_04175 transcript:DRNTG_04175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAEIIDLCDDDDETEVKDARGASILHLGLIKEKARSAIAIHQADFGDYTVREVFAPVESLNIPNGFLATGQLAHSANGVDFETSLPGSAPLCRQFWIAGDYEPVIPFGPTYDDGQNRMQVHPKFLHSNATSHKWAFGAIAELLDNALDEVHNGATFVALDKNINPQNGSPALLIRDDGGGMDPGSLRRCMSFGFSNKLLDSSIGQYGNGFKTSTMRLGADVVVFSRSMSQGTQSVGILSYTSLRQIGCDDVIVPVVDYKFDPVTGEYGMLACYSQKKFTSNLSLLLKWSPYSTEGELLKQFEDIGSHGTKIVIFNLWNNDDGEMELDFESDAKDVMISGGHKMLPSKTVSKIQKQKYVATQLWYSLRAYSSILYLHLPMNFRIILRGHVVDPHYIVNDLKFREGIKYRPQLLGNMEGEINTIIGYLEGSPNIDVHGFNIYHKNRLILPFLPVVSSTNGKGKGVAGVLEANFIKPTHNKQDFERSTLYQRLVGRLREMTTEYWDLHCHLVGYRTKRVPPSLPPSSSVPAYPMQHSVESSAHVNPRPLREIPAAAAASEVSSSELNSHHPVLNGNDIFLTNKRKHDGVMPIRESLKKQAVINGTPTEVASNGKMKVRQPTHMRTQESIIMRQENEKLCAECADLEMNTKELLLKEQYLRLELQIVQEAYEKLSIEYQSRNHSKVEKL >DRNTG_27484.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26515465:26523196:1 gene:DRNTG_27484 transcript:DRNTG_27484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKAMDEVMEAAAGAHFSGLRLDSLRLPIHSDPSSPSSARAATFHHGGELDSIDNHLQHFHIGLSGGMASLQTTVGDMINQKLHGHRVVPGNQHHRLPLLANHSDPSSPLSARAMAVHPSDDLDSPDNLLPPFLIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTAEESKRVSEYNFDHPDAFDTEQLLECIGKLKCGHSVNVPIYDFKNHRRCKETFRKVNASDVIILEGILVFHDSRVRKMMDMKIFVDTDADVRLTRRIRRDIVERGRDVNSVLEQYTKFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNVFVIQSTFQIRGMHTLIRDRNITTPDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTGSVYTGVDFCKKLCGVSIIRSGESMENALRACCKGIKIGKILIHRDGDNGKQLIYEKLPNDISERHVLLLDPVLATGNSAIKAIEVLIQKGVPEKRIIFLNLISAPEGIHCVCKRFPFLKIVTSEIDAALNEEYRVIPGLGEFGDRYFGTDD >DRNTG_09002.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18648985:18649380:-1 gene:DRNTG_09002 transcript:DRNTG_09002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTLLLTMAGITPSLAEDTLFSGENLNSGEFWRTGPYRFIMQGDCNLVLYVNKTKPLWNSRTNGRGTACRVTLQNNGNLVIFSGSDVIWTSDSSRGPNNYRLVMQSDGNVVIYGAALWATNTVQSKKKLL >DRNTG_18699.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14795188:14796268:-1 gene:DRNTG_18699 transcript:DRNTG_18699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGTSIWRGTKAVTFEHSDLCTENKSSINVYTIEEASDPQCEHEPLRYPDETLLTAAQETRETESPHGEGFGYGFEGYWPRFWRGSMAPTASFLRKKVGRGASVEAYSIPDEGILGRRVEDFPQDHQHDYRGGSALSPSGRDRFSPAFLEFFAAIIE >DRNTG_10667.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6094104:6100762:-1 gene:DRNTG_10667 transcript:DRNTG_10667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGSTSFGISRRRSCCSPSLTCKSSKVFV >DRNTG_23573.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18218697:18220385:1 gene:DRNTG_23573 transcript:DRNTG_23573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEQHSRPIVAPQYCLGHPTDLAFARKVDGVKHGKLSITDVNGNILFWFDASAWRSKRKLVDAATGIPLLSITQKFWSAHDRWNVFMGDSTNEKDLLFTVKRSSVFQLRTDLEVFLATNTNKNECDFKVKGEFHKRSSVIYKGNTSVVVAQMNKEHKVVKVPLGKHAFGVSIVENMDIAFIAGLVVVLNEFYEYEMAAVAGGASGAGTSAALAASC >DRNTG_18965.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10870864:10873632:-1 gene:DRNTG_18965 transcript:DRNTG_18965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVSKSEPIRKQGQAWFCTTGLPSDVTVEVEEISFHLHKFPLLSKSNVLEKLMEDKESSVIKLPDIPGGVKAFELVAKFCYGVKLELNAWNVVYLRCAAEYLQMTEEITENNLIVQTEIFLNQVVLRNWKDTIESLRTCIDLQPYAENLMIVKKCIDSLAVKACTDPNCFGWPLVDHGLMQSPGGTVLWNGISTGARLRECHSDWWYEDVSSISFPLYKRLILAMESRGIRKEVLAGSIANYADRYIPGLTRLHNNCNKPSNQIQTLISTQAATEEEQKNFIEEINKLLPMQKGVTSTKFLLGLLRTAMILGTEKSCIFNLEKRIGMQLDQATLEDLLIPNFSYSTETLYHVDCVQRILQHFLAMDHANTGASPCLVDDSADADANDEQQSIESPSLTPITTVARLIDGYLAEVAPDINLKLPKFQSLAAAMPDYARPIDDGLYRAIDIYLKAHPLLAETEREQLCRLIDCQKLSLEACTHAAQNERLPLRMVVQVIFFEQLQLRSSIAGCLMVSENLDTSRPLRSGNAASGEGNGWNSNTARENQVLKLGMDHMRMRVSELEKECSSMRKEIEKLGIGRRRWNIVSKKFGFKIKSQMCRSQEDSVSDQKSGISAVEGKLQVHAVNHRKFISIME >DRNTG_18965.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10870864:10872980:-1 gene:DRNTG_18965 transcript:DRNTG_18965.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKESSVIKLPDIPGGVKAFELVAKFCYGVKLELNAWNVVYLRCAAEYLQMTEEITENNLIVQTEIFLNQVVLRNWKDTIESLRTCIDLQPYAENLMIVKKCIDSLAVKACTDPNCFGWPLVDHGLMQSPGGTVLWNGISTGARLRECHSDWWYEDVSSISFPLYKRLILAMESRGIRKEVLAGSIANYADRYIPGLTRLHNNCNKPSNQIQTLISTQAATEEEQKNFIEEINKLLPMQKGVTSTKFLLGLLRTAMILGTEKSCIFNLEKRIGMQLDQATLEDLLIPNFSYSTETLYHVDCVQRILQHFLAMDHANTGASPCLVDDSADADANDEQQSIESPSLTPITTVARLIDGYLAEVAPDINLKLPKFQSLAAAMPDYARPIDDGLYRAIDIYLKAHPLLAETEREQLCRLIDCQKLSLEACTHAAQNERLPLRMVVQVIFFEQLQLRSSIAGCLMVSENLDTSRPLRSGNAASGEGNGWNSNTARENQVLKLGMDHMRMRVSELEKECSSMRKEIEKLGIGRRRWNIVSKKFGFKIKSQMCRSQEDSVSDQKSGISAVEGKLQVHAVNHRKFISIME >DRNTG_10212.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000431.1:133439:133827:-1 gene:DRNTG_10212 transcript:DRNTG_10212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKDSDRSTMSKMFTEAKDVTISLLQSVASSLSPSSTQKTSRWSFVSTALHKKKYVSCKDVDGLRAMKAQDRLPEIMSSLEGLEIELESLYRRLIRNRVSLLNLLSL >DRNTG_04156.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:418785:421102:1 gene:DRNTG_04156 transcript:DRNTG_04156.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPHFLLFGDSITEQSFGPAGWGAALANTYSRKADVLVRGYGGYNTRWALFLLNSLFPPNCLTPPAAATIFFGANDAAILGRTSERQHVPINEYKVNLLKIVQQMKVYYNPSISFSFASKIYCPLTSRSLNLVLFWSRCSFVLVTSISLMTVYCLLDPMLPKFLCCT >DRNTG_04156.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:418785:436265:1 gene:DRNTG_04156 transcript:DRNTG_04156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPHFLLFGDSITEQSFGPAGWGAALANTYSRKADVLVRGYGGYNTRWALFLLNSLFPPNCLTPPAAATIFFGANDAAILGRTSERQHVPINEYKVNLLKIVQQMKNCSSAMLVVLITPPPVDEHGRKEYARSLYGEKAMELPERTNEITGNYAKQCIDLAKELDLPYVDLWSLMQETEGWQKKFLTDGLHLTEEGNAVVHNEVVRAFSEGGLSTEHMQCDFPHHIHIDWHMPERAFQKK >DRNTG_22596.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17569104:17575808:-1 gene:DRNTG_22596 transcript:DRNTG_22596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSMRLLSGIMLMWTALGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLSFYKFPGDEIPIIRGSALSALQGTNEEIGKKAILKLMNAVDEYIPDPIRQLDKPFLMPIEDVFSIQGRGTVVTGRVEQGTIKTGEDVEILGLMQGGPLKTTVTGVEMFKKILDHGEAGDNVGLLLRGLKRGDVQRGQVVCKPGSLKTYKKFEAEIYVLTKDEGGRHTAFFSNYRPQFYMRTADITGKVELPENVKMVMPGDNVTAMFELISAVPLEAGQRFALREGGRTVGAGVVSKVIS >DRNTG_04710.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3963452:3968328:-1 gene:DRNTG_04710 transcript:DRNTG_04710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVKLCLSPFCSCMQSPVVRQDMNHVFSTKEKLDDLKDAMQHLMAKKEDVQRKIDDPQHKGKQLDNQHQVKDWLRDVGVKDNKVERLSDEYGKGNCVAGSCSLNCFSRYKISSDAIKLEKEINQLTTKQPEIKFTDIPPPKQVPESSKIMGEKISSNVDIARSYLADETVGIIGIWGMGGVGKTTLLKKINQRLLEDANMGFLKKINQLLSGDANMGFDHVLFIEASQNTQLEELRKEISKKLHLAPDAGQRDIINALKTKNIVLLLDNIWEPVDLVGLGIINPFRDDDDSTKPYKYKVIFTTRSEDVCARMGASKKIKVESLEPDEAWALFKLNVNLAVIESDEKFKEIAWQVMEKCGGLPLALQVVGKAMSNRTTVQE >DRNTG_04710.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3963452:3968328:-1 gene:DRNTG_04710 transcript:DRNTG_04710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVKLCLSPFCSCMQSPVVRQDMNHVFSTKEKLDDLKDAMQHLMAKKEDVQRKIDDPQHKGKQLDNQHQVKDWLRDVGVKDNKVERLSDEYGKGNCVAGSCSLNCFSRYKISSDAIKLEKEINQLTTKQPEIKFTDIPPPKQVPESSKIMGEKISSNVDIARSYLADETVGIIGIWGMGGVGKTTLLKKINQRLLEDANMGFLKKINQLLSGDANMGFDHVLFIEASQNTQLEELRKEISKKLHLAPDAGQRDIINALKTKNIVLLLDNIWEPVDLVGLGIINPFRDDDDSTKPYKYKVIFTTRSEDVCARMGASKKIKVESLEPDEAWALFKLNVNLAVIESDEKFKEIAWQVMEKCGGLPLALQVVGKAMSNRTTVQE >DRNTG_04710.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3963452:3968328:-1 gene:DRNTG_04710 transcript:DRNTG_04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVKLCLSPFCSCMQSPVVRQDMNHVFSTKEKLDDLKDAMQHLMAKKEDVQRKIDDPQHKGKQLDNQHQVKDWLRDVGVKDNKVERLSDEYGKGNCVAGSCSLNCFSRYKISSDAIKLEKEINQLTTKQPEIKFTDIPPPKQVPESSKIMGEKISSNVDIARSYLADETVGIIGIWGMGGVGKTTLLKKINQRLLEDANMGFLKKINQLLSGDANMGFDHVLFIEASQNTQLEELRKEISKKLHLAPDAGQRDIINALKTKNIVLLLDNIWEPVDLVGLGIINPFRDDDDSTKPYKYKVIFTTRSEDVCARMGASKKIKVESLEPDEAWALFKLNVNLAVIESDEKFKEIAWQVMEKCGGLPLALQVVGKAMSNRTTVQE >DRNTG_02213.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1226270:1230462:-1 gene:DRNTG_02213 transcript:DRNTG_02213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSRVWIHFAVAVLLLCVSPLRAEETAEESVVEEPSFVLTLDSGNFSETVAKHRFIVVEFYAPWCGHCKSLAPEYEKAAAILSKHDPPVILAKVDANEEANRDLASKYDVKGYPTLKIIRNEGQSIEDFKGPRDAEGIVEYLKKQVGPASSEIKSSDDAISLLDEKKVVIVGIFPDFAGEKFENYITVAEKLRSDYDFRHTSDAKLLPRGDSTVKHPTVRLLKPFDELVVDTEDFQVDALVSFIEVSSTPVVTMFNKDPSNHPYVIKFFNSPNDKAMLFLNFSNEDFEDFKSKYQSAAEHYKGQNISFLIGDIDASQGAFQFFGLNEEQVPLVIVINSDGQKYLKANVKPDQIAPWLQEFKDGSLTPFKKSEPIPEVNDEPVKVVVANTLDDVVFKSGKNVLLEFYAPWCGHCKKLAPILDEVAVSFQNDADVIIAKMDATANDVPNEFEVQGYPTLFFSSASNKLVQYDGDRTAEDMINFIQKNRVTDKDKDAAVDPVESVEPVEPDSIKDEL >DRNTG_22161.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3808875:3812554:1 gene:DRNTG_22161 transcript:DRNTG_22161.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 9 [Source:Projected from Arabidopsis thaliana (AT4G34700) UniProtKB/Swiss-Prot;Acc:Q945M1] MSLSTVGYLARRAAQKERVRILYRRALRDTLNWAVHRHLFYQDASDLRERFEANKNVEDLDTIDHLIADAEAQYEKWRHPDPYIVPWAPGGTKFTRNPPPPSGIEIVYDYGKEENE >DRNTG_20465.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001118.1:249262:249477:1 gene:DRNTG_20465 transcript:DRNTG_20465.1 gene_biotype:protein_coding transcript_biotype:protein_coding GEGFHARISTKKKYQPTTSCKHKQQDNHHHA >DRNTG_13484.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:9579094:9582094:1 gene:DRNTG_13484 transcript:DRNTG_13484.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSILIGRPSKAITIYQRSVDILELVRGPDSEELVIPLFSLGNIFISEGRAADAESCFSRILNIYEIAYGENDGRVGVALCSLAHAKCAKGNLDEAICLYKKGLQVIKDTNYVDLDDDILENLRIDLAELLHLSGR >DRNTG_13484.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:9572451:9581731:1 gene:DRNTG_13484 transcript:DRNTG_13484.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKMGNKGDALDLLQANCEVVKEQLKAGFKGIEQAALLDVLALGYMGIGEFKIAERLLQLLNELVSSLNDGLPLVDTILIHMGSMYTILRMFDNALLVYERGLKIIEKECGNKSRFLITPLLGMAKTSILIGRPSKAITIYQRSVDILELVRGPDSEELVIPLFSLGNIFISEGRAADAESCFSRILNIYEIAYGENDGRVGVALCSLAHAKCAK >DRNTG_13484.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:9570682:9582094:1 gene:DRNTG_13484 transcript:DRNTG_13484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVLRLSLPFHELGKPTAFKSISHKHNLHLRLPSLPSCFLRKDLWHLRCVTQDGFSLPDWGPENSKRAKSQDDSNNYTNGVDDIKRQLEEVFDNVKTMIKMGNKGDALDLLQANCEVVKEQLKAGFKGIEQAALLDVLALGYMGIGEFKIAERLLQLLNELVSSLNDGLPLVDTILIHMGSMYTILRMFDNALLVYERGLKIIEKECGNKSRFLITPLLGMAKTSILIGRPSKAITIYQRSVDILELVRGPDSEELVIPLFSLGNIFISEGRAADAESCFSRILNIYEIAYGENDGRVGVALCSLAHAKCAKGNLDEAICLYKKGLQVIKDTNYVDLDDDILENLRIDLAELLHLSGR >DRNTG_28887.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:667368:668986:1 gene:DRNTG_28887 transcript:DRNTG_28887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNIIFTAAITIVILSTLSPTNAQIAAAPSLSPSSAPAPAPAPPFVNLANLLSVAGPFNTFLNYITQTKVIQTFQNQANDTKQGLTLFVPKDSAFSSLNQSTLSNLTSDQLKSLLLFHAFPKYYSLSDFKTLTATPINTFAGGSYPLNLTDVAGLIKVHSDWTNPKISSSVYSTFPVAVYELDQVLLPQSIFSTTPLVALAPAPAPDISPASDLAPSLSGLSPKSSESVNNESSASAICINLLNYFIFAVAGGFMLML >DRNTG_25582.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29765426:29767598:1 gene:DRNTG_25582 transcript:DRNTG_25582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPEQSGGGDVKNSGDVDSAGVLIRKIAAEFIGTLILVFMSTAGAIVDEKTDGAVTFVGVAACTGLAVMIVVMAIGHISGGHVNPAVTVAAATLRQLPWRQIIFQPAVSGGVTIPTGGDLEAFFLEFIISFNLMFVITSLAFDAKAVGELVGIGVGATVGLNVLIAGFV >DRNTG_14470.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000693.1:80472:82630:-1 gene:DRNTG_14470 transcript:DRNTG_14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEITHAPAPDPQGQPYAPVASRGSRTPLCFLEMKGTPCKDSHRRAEITHAHVWFTRSPTGASPRPCVLSGKSAQLCESLRPSEITACVPGCMVVHEAAGALCLL >DRNTG_29277.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28640167:28641145:-1 gene:DRNTG_29277 transcript:DRNTG_29277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSFRSQSLISSLKTLVQNPSHHLLQKSPVSGTAKGKAKLKAGQTLKRSILPKKKPSGSGGGGGGSSARGRNEELNRMVDSCLDAPTPIPHLPPKQRQREVEREKLGLISKHRQREIQLKKSPPKEDPVIMGTPGA >DRNTG_08151.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:12966567:12969414:-1 gene:DRNTG_08151 transcript:DRNTG_08151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQKTKLTRTPSSLLRSPTVRSSVQSLATLDEEENADEKKDHRYKSQQSHPRPPLLPIFFTSPFLLLLYLYLKEEYPSLGTLFLVSFIIAFASVIARRSGLLRTGCRGGVRRGDSVQWFIGEDRRNGKERKNSGKIVREGVEFYSNGDFYEGEFHKGRSNGSGVYNFFAKGRYEGDWIDGKYDGYGIESWARGSRYRGQYRQGLRHGFGVYKFYNGDCYAGEWLSGQSHGIGVQTCSDGSCYVGEFKGGVKHGLGCYHFRNGDRYAGEYFGDKIHGFGVYHFANGHHYEGSWHEGRKQGFGMYAFRNGDSRSGEWDCGVLKGPHPATDLVIQRAVQAARKASENAVLVPRVEEQVNKAVAAANRAATAARVAAIKAVQNRIDGKFCDLDV >DRNTG_08899.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27546770:27547184:-1 gene:DRNTG_08899 transcript:DRNTG_08899.3 gene_biotype:protein_coding transcript_biotype:protein_coding SGGRDLSGNKRTNKEQSFDQKFEKLNEALRVSCKKGYPVRVVRSHKEKRSSYAPEHGVRYDGIYRIEKCWRKVGIQV >DRNTG_08899.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27544484:27551469:-1 gene:DRNTG_08899 transcript:DRNTG_08899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALDLPCDGDGVCMRCSTKTPEAEVVHCQTCTTPWHVQCLSKPPETMAAVVGWLCPDCVPPVDVAGAGGAGSVYAPSTASSQLVAAIRAIEGDASLTEAEKAKRRQKLLSGGGKEKEDAIELFDGKFKCSFCMQLPERPVTTPCGHNFCLKCFQKWVGQGKHTCAKCRTQIPSKMASQPRINLSLVAAIRMAKTAKSVVTGVSSQVYHFLRNEERPDKAFTTERAKRAGKANACSGQIFVTVPPDHFGPILAENDPKRNRGLLVGDLWEDRMECRQWGAHFPHVAGIAGQSEYGAQSVALSGGYADDEDHGEWFLYTGSGGRDLSGNKRTNKEQSFDQKFEKLNEALRVSCKKGYPVRVVRSHKEKRSSYAPEHGVRYDGIYRIEKCWRKVGIQGFKVCRYLFVRCENEPAPWTSDEHGDRPRPLPTIKELKNATDISERKESPAWDFDEKHGWKWMKPPPVSRKPVVTGNPEDTKKVKKAIRLAQNNTFRERLFKEFGCFICRKVMSSPMTTPCGHNFCKTCLLDAFSDKSYMRERTRADGRTLRAQKIVKKCPSCSVDISDFLQNPQVNRELMDLIESLKKQAEEEERAKVDDAGVDLDEECDIASNISEGGPGDEQAEEKNGCYKTTYKMKNDKATPKANKKFKCEEQVSNSKKDISGGKAAHSEMQREEFVNSKEDISVDKVTYTEKQGEEEIMNTKAEISVEKVAHNEAQSDEEVVGTKKEISVDKVSNSEKQNDLLVTSEGNKLDSPKGGIKSNAENLVKGGKPPIAVRYKRLAKRTRAAKRVTDTSDNGDESPSKILRAEPDDDFQ >DRNTG_08899.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27544484:27545578:-1 gene:DRNTG_08899 transcript:DRNTG_08899.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIESLKKQAEEEERAKVDDAGVDLDEECDIASNISEGGPGDEQAEEKNGCYKTTYKMKNDKATPKANKKFKCEEQVSNSKKDISGGKAAHSEMQREEFVNSKEDISVDKVTYTEKQGEEEIMNTKAEISVEKVAHNEAQSDEEVVGTKKEISVDKVSNSEKQNDLLVTSEGNKLDSPKGGIKSNAENLVKGGKPPIAVRYKRLAKRTRAAKRVTDTSDNGDESPSKILRAEPDDDFQ >DRNTG_08899.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27546770:27546922:-1 gene:DRNTG_08899 transcript:DRNTG_08899.4 gene_biotype:protein_coding transcript_biotype:protein_coding RSHKEKRSSYAPEHGVRYDGIYRIEKCWRKVGIQV >DRNTG_32991.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19901380:19905395:1 gene:DRNTG_32991 transcript:DRNTG_32991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLASNLGLSLLMKTKVLMGYPATSHIWIPPSSGSPYTGISSPSSTGKTLAKKLA >DRNTG_32991.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19901380:19905395:1 gene:DRNTG_32991 transcript:DRNTG_32991.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLASNLGLSLLMKTKVLMGYPATSHIWIPPSSGSPYTGISSPSSTGKTLAKKLA >DRNTG_32991.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19901380:19904733:1 gene:DRNTG_32991 transcript:DRNTG_32991.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLASNLGLSLLMKTKVLMGYPATSHIWIPPSSGSPYTGISSPSSTGKTLAKKLA >DRNTG_00220.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15625429:15626621:-1 gene:DRNTG_00220 transcript:DRNTG_00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWDGGWRCSHVDGDERGGLRVTWIQLGDSKGTGGRMRGWEGPMAHHSTLSFPQMAMASAGESFHPNIQTARSSSDVKAYVEKEGDFTNWGEFQIDGRSSRNGPLNLAKVYAEALNTSSVADSLQIIKEKDPKSFFLQYHNLKANAECIFARPISVFQSKQDYSSFVVDTCIAQWLEDNFFVNGASRPKGNNKYILRTVIDRPMSLILEGPSRTGKTAWARSLGRYNYICGHMDFNANNFKNDVMYNLIDDVAP >DRNTG_30688.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1831242:1834338:1 gene:DRNTG_30688 transcript:DRNTG_30688.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEKLQEIAMADPKRAKRILANRQSAARSKERKMRYISELEHKVQTLQTEATTLSAQLTMLQRDSAGLNSQNNELKFRLQAMEQQAQLRDALNEALTAEVQRLKIVTAELGDPHHPNNLNQQMHINSQLFQLPQLQKSPHQQQQQSTPSPLYHQQQKQEEDDSSSKNESIK >DRNTG_21367.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19295363:19296113:1 gene:DRNTG_21367 transcript:DRNTG_21367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPADVANRQACCSAIYNDACSLTLPMETLWLFVYIINAVLVFLAIPFTMFYYEVDQNKSDLFLSFCVFL >DRNTG_09098.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6298527:6301278:1 gene:DRNTG_09098 transcript:DRNTG_09098.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAELGDDKRLVLILDPRFVGDEVEEARQRVEEWD >DRNTG_25491.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1692715:1695570:1 gene:DRNTG_25491 transcript:DRNTG_25491.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDQSLSSSTAWRSTGKLGSLAIFAVFFLIANLNSVEAYDSLDPNGNITIKWDIMQWTPDGYVAVVTMFNFQQYRHIQTPGWTLGWTWAKKEVIWSMVGAQTTEQGDCSKYKANIPHCCKKDPSVVDLLPGTPYNMQIANCCKGGVINSWVQDPANAAASFQVSVGAAGTTNKTVRVPKNFTLKAPGPGYTCGIAKVGKPTKFTTADGRRTTQALMSWNVTCTYSQFLALKTPTCCVSLSSFYNDTIVNCPTCTCGCQNNITQPGSCVEGDSPYLASAINGPGKSTYAPLVQCTSHMCPIRVHWHVKLNYKEYWRVKITITNFNYRMNYTQWNLVVQHPNFDNLTQIFSFNYKSLTPYQAINDTAMLWGVKYYNDLLMEAGPYGNAQSELLFQKDQSTFTFDKGWAFPRRVYFNGDNCVMPPPDAYPWLPNSTPLSMTPLLLPTILFWAVLGCLLSYV >DRNTG_17083.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17260080:17267955:-1 gene:DRNTG_17083 transcript:DRNTG_17083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCACSRAKVDEKTEQLICDSDSCSFVAAKKEDRWNYSGEIAVTPARAGASKASETSSFFGRASLAGLEKAMEVIDTLGSSMSNLNAGNGFISGTTARENKISILAFEVANTIVKGANLLRSLSEENIQFLKDEVFQSDGVRQLISADTVELLVLTSTDKREELDVFSREVIRFGDLCKDPTWHNLGRYFQRLDSDFSHRPCKEEVETTMQQLMDLAQTTSELYHELHALDRFEQDHQRRLHEEKLLPAARRESLMILQSELKHQRKLVRSLKKKSLWSRSLEEVVEKLVEIVIFVHKEIDEVFGTNSVTAAPNHTGRLGTSGLALHYANIINQIDSIVCRPFSLPANMRETLYRGLPADVKIALRSRLHPFDANEKNTGAQIKAELQKTLKWIVPIADNTVRIHQGFGWVGEWANISTEVNKKPSPQHNIARIQTLFHADKRKTEEVILELIVWLHRLIIQVKNKGYGMEILNSGNAQSNKVTSLQQETKKDAFGVDNVNHISNTIQLSKEEVEMLEGASSTRTVLGRSKSLQVSSVSRSETRTRRRHCFSRSCDSSPARESCMASNLHFELKNVLDVMDGLDTL >DRNTG_30634.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1097365:1098355:1 gene:DRNTG_30634 transcript:DRNTG_30634.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNIGVDGSKYRLRMCAFDLLALGDMLSQDTWNYFRKYLCLKSTTMYFDFDKVITAASDDQKQPLLDLANKLFDNVEKLEDAAKQKKAEAAKAFYADTEVLLKDVMTRMQKPEPVG >DRNTG_02255.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1013157:1014168:1 gene:DRNTG_02255 transcript:DRNTG_02255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAADPKPVVTGYPVSAAGYPYAAPPPPPAVHYYPAPAPPPPPYRSNTLLIRRLIAGAIAVFLAAAVVTLAIWLILRPRLPDFSLTSVHFSPPSRYDLSLSVYNPNSKISIQYDHVTAAVLYGHDAFSEVSLPSFHLGKRNSSVLHTQLVADDVAKAIADDQNRGDESAGFHVRLLALVRFQAGIWRTGRHVMRVYCDDVSMGFKNKTGDSMVGPPKRCQVNL >DRNTG_27306.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:171043:176695:-1 gene:DRNTG_27306 transcript:DRNTG_27306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRMASNPGPLTQWPWQRLGNFKYALLVPFIGQSVYKFFRMRNNGEEIAMFNLAIFPIFLLRLIHSQLWISLSRFKTVQSKHLIVDKSLDFSQVDRESNWDDQILLTAIILYTANMVLPGVSFAPWWNTKGFLIIIFLHMGPVEFLYYWFHRALHHHFLYSRYHSHHHSSIVTQPITSVIHPFGEEMVYFMLFAIPLMSTAFTGTVNIIGVILYLLYIDFMNYMGHCNFEVVPQHLFHLFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYGTMDKSSDDLYERCLRGKEGVVPDVIYLSHLTSYQSIYHLSFGFASFASTPFVPFSKLCSLLLWPFTYVLSIIITNFSGSTLTLERNKLEKLHMETWLIPRYNFQYMSSVEKNKINDMIDGAITKAESMGVKVISLGLLNQLNGNGEQYIRKNPKLKVRIVDGISLAVAVVLNTVPKGTKRVIFRGKFTQMAHQLILALCQRDFKVLIADENELENLMQKIPKELRNNLTISHSYSTEVWLVGDGVKDEEQAKAQKGTHFIPFSQFPLKKKRKDCIYHTTPAMLIPKTFENVHTCENWLPRRVMSAWRVAGIVHALEGWDDAHECGNNTTEVDKVWCAALAHGFLPFQNQII >DRNTG_27306.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:171043:176424:-1 gene:DRNTG_27306 transcript:DRNTG_27306.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNGEEIAMFNLAIFPIFLLRLIHSQLWISLSRFKTVQSKHLIVDKSLDFSQVDRESNWDDQILLTAIILYTANMVLPGVSFAPWWNTKGFLIIIFLHMGPVEFLYYWFHRALHHHFLYSRYHSHHHSSIVTQPITSVIHPFGEEMVYFMLFAIPLMSTAFTGTVNIIGVILYLLYIDFMNYMGHCNFEVVPQHLFHLFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYGTMDKSSDDLYERCLRGKEGVVPDVIYLSHLTSYQSIYHLSFGFASFASTPFVPFSKLCSLLLWPFTYVLSIIITNFSGSTLTLERNKLEKLHMETWLIPRYNFQYMSSVEKNKINDMIDGAITKAESMGVKVISLGLLNQLNGNGEQYIRKNPKLKVRIVDGISLAVAVVLNTVPKGTKRVIFRGKFTQMAHQLILALCQRDFKVLIADENELENLMQKIPKELRNNLTISHSYSTEVWLVGDGVKDEEQAKAQKGTHFIPFSQFPLKKKRKDCIYHTTPAMLIPKTFENVHTCENWLPRRVMSAWRVAGIVHALEGWDDAHECGNNTTEVDKVWCAALAHGFLPFQNQII >DRNTG_19561.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5440291:5440961:1 gene:DRNTG_19561 transcript:DRNTG_19561.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SLY1 [Source:Projected from Arabidopsis thaliana (AT4G24210) UniProtKB/TrEMBL;Acc:A0A178V5B2] MKRISSIEEMPEASSKKPKLEEDPDPAPEPEPVMPRVPELGDDLVFEVLKRADARTLAAAACVSRRWRAMTKDERIWEAVCTRQWANFGCGNQQLRSVVLALGGFRQLHSLTQQQPAVASSSSSSSSVARRAWIGPARFGKDEVHLLLSLLSIGYFEKMNGFKRGGGA >DRNTG_15550.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18025736:18026407:1 gene:DRNTG_15550 transcript:DRNTG_15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMFHSKKLWNIVDKGFSEDGEPQEVEELQVQNASSLCLIQQSLDERILVRIADASSSKQTWDKLQTEFQSNSKILSVKLFSLRQEFETVKMENGEKV >DRNTG_27880.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001414.1:11305:12923:-1 gene:DRNTG_27880 transcript:DRNTG_27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMDAEANALIVALNSICNNNVSVQHIFISYSELLAAIKIG >DRNTG_14440.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000687.1:1:16902:-1 gene:DRNTG_14440 transcript:DRNTG_14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKIFYRSFLSNPTKEFVSYRYPFQEYEMASTDDQLRLQGVKEGWVGHWTDQERRKQSLIQEPLFLRAAL >DRNTG_19541.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17833562:17837727:-1 gene:DRNTG_19541 transcript:DRNTG_19541.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Evolutionarily conserved C-terminal region 11 [Source:Projected from Arabidopsis thaliana (AT1G09810) UniProtKB/TrEMBL;Acc:Q56XH8] MVSSQANLTKVCCNTYALGETMAVSGQSDDLETCFSSVQHVQHTAVRGSGSNNAIFQESRLDVRNDQSGSKNSCGVRRNGFVRHPVTALNERGIEYRKSKSSADVNLIIPFNPKPHVISQINSEVHHFANRYSQLGRIPPCTNQGQDMFSVRHNPGQFRSTRQAADNKSCFKMSGNYSSYVVDDEASTIQARGPRFNKSESYVVASEKTNILGFSICRDNFNKSDFQTKYEQALFFMIKSYCEDNIHKSIKYSVWSSTPYGNKKLEDAYQNAEAESRVNGKICPIFLFFSVNSSGQFVGVAEMVGPVDFKKNLDFWQQNGRGGFFPVKWHIIKDIPNRHFKNITLENNDNKVVTFSRDTQEIGLPQGLNMLNIMKAYPLTTSILDDFDFYENREKSLYKAKSSEPVMLRSGVGFYKEETSLNNLERSFEKLDVCARPNRTSICQKSSFDFRTRNLPFNSQLGNK >DRNTG_19541.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17833787:17839010:-1 gene:DRNTG_19541 transcript:DRNTG_19541.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Evolutionarily conserved C-terminal region 11 [Source:Projected from Arabidopsis thaliana (AT1G09810) UniProtKB/TrEMBL;Acc:Q56XH8] MAVSGQSDDLETCFSSVQHVQHTAVRGSGSNNAIFQESRLDVRNDQSGSKNSCGVRRNGFVRHPVTALNERGIEYRKSKSSADVNLIIPFNPKPHVISQINSEVHHFANRYSQLGRIPPCTNQGQDMFSVRHNPGQFRSTRQAADNKSCFKMSGNYSSYVVDDEASTIQARGPRFNKSESYVVASEKTNILGFSICRDNFNKSDFQTKYEQALFFMIKSYCEDNIHKSIKYSVWSSTPYGNKKLEDAYQNAEAESRVNGKICPIFLFFSVNSSGQFVGVAEMVGPVDFKKNLDFWQQNGRGGFFPVKWHIIKDIPNRHFKNITLENNDNKVVTFSRDTQEIGLPQGLNMLNIMKAYPLTTSILDDFDFYENREKSLYKAKSSEPVMLRSGVGFYKEETSLNNLERSFEKLDVCARPNRTSICQKSSFDFRTRNLPFNSQLGNK >DRNTG_19541.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17833787:17839010:-1 gene:DRNTG_19541 transcript:DRNTG_19541.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Evolutionarily conserved C-terminal region 11 [Source:Projected from Arabidopsis thaliana (AT1G09810) UniProtKB/TrEMBL;Acc:Q56XH8] MAVSGQSDDLETCFSSVQHVQHTAVRGSGSNNAIFQESRLDVRNDQSGSKNSCGVRRNGFVRHPVTALNERGIEYRKSKSSADVNLIIPFNPKPHVISQINSEVHHFANRYSQLGRIPPCTNQGQDMFSVRHNPGQFRSTRQAADNKSCFKMSGNYSSYVVDDEASTIQARGPRFNKSESYVVASEKTNILGFSICRDNFNKSDFQTKYEQALFFMIKSYCEDNIHKSIKYSVWSSTPYGNKKLEDAYQNAEAESRVNGKICPIFLFFSVNSSGQFVGVAEMVGPVDFKKNLDFWQQNGRGGFFPVKWHIIKDIPNRHFKNITLENNDNKVVTFSRDTQEIGLPQGLNMLNIMKAYPLTTSILDDFDFYENREKSLYKAKSSEPVMLRSGVGFYKEETSLNNLERSFEKLDVCARPNRTSICQKSSFDFRTRNLPFNSQLGNK >DRNTG_27954.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3553744:3558901:-1 gene:DRNTG_27954 transcript:DRNTG_27954.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRNQSSRAISITILLSLLLLHSLFHLAAASNDPDLDDLEELLAIDEEEENQRVMPHGKPSEADVLSRAQRIVLELTNENAQRVIDSNELLLLLGYAPWCPRSAELMPRFAEAATVLREMGSKVVMAKLDADRHAKAASSLGIKGFPTLLLFVNGSVQSYTGGFTGEEIMIWTRKKTGAPVQRLTSIPEAEEFLKTHPIFVIGYFDKFEGVEYEQFVKAATSENEIQFVEANDINIAKLLFPDIGAEKQFIGLVKNESEKFEKFTEKFEEGRILNFVNYNKFPLVTTLTEMNSARVYSSPIKTQVFIFAEADDIKNLLLSLQAVARKYKSKIMFVYVDSAEDNLAKPFLTLLGLESDHPIVAAFDNRDGSKYILETNLTPENLEVFCSSLDHGTLPQYYKSEPKPDSTGIIEKVVGKTFDASVLESAENVFLEVYTPWCIDCDATSKQIEKLAKHFKGHSNLKFARYDASLNENPKLQINNYPALLFYPAGDKSNPIKLSKKSSLKEMINFINEKVKIEDKSGPSTVDQEKKDEL >DRNTG_16856.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21867245:21868357:1 gene:DRNTG_16856 transcript:DRNTG_16856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSHCGEIGLGKGRSSTSSSSSRKGKSSSKTSLEKPKQPQRGLGVAQLEKIRLHNQFMANYNQLHNPLHSSFHHNIKQDEVVRVDHMTFEFFFISFTLWLSIKHHDGIWRK >DRNTG_16856.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21867812:21868357:1 gene:DRNTG_16856 transcript:DRNTG_16856.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGESEPNNRYCQSTSKYTMTDQNSARMPVTLPLLDQTMEWKKRRHDRSHSTGSMSQNSDNSSGSQELDLELRLSL >DRNTG_16856.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21867245:21867542:1 gene:DRNTG_16856 transcript:DRNTG_16856.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSHCGEIGLGKGRSSTSSSSSRKGKSSSKTSLEKPKQPQRGLGVAQLEKIRLHNQFMANYNQLHNPLHSSFHHNIKQ >DRNTG_15756.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20490959:20492277:-1 gene:DRNTG_15756 transcript:DRNTG_15756.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNFKTVLMRYSTDAMKDSRVVDFANIVSSLQYRKLDLEEFAAAALSVYQLESMDTWEQHARRGFELFDKEGNRPIMIEELASELGLGPSVPVHVVLQDWIRHSDGKLSFLGFVKLLHGVSSRSIPKV >DRNTG_33737.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32330598:32331672:-1 gene:DRNTG_33737 transcript:DRNTG_33737.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSTAAIPFSLPAIPRSRPSPPFPQIHSFLSPSLLLSIRPRPQLRRSFPPLSMATQPHSEPMNLLFVEMGVGYDQHGQDITAAAMRACKDAISSNSIPAFRRGSIPGVNSDQMKLQIKLGVPRSAQPFLDVERVKSVFPYGKILSFEVVDGGMICSSGVCLEAMGDKNDDCYIVNAAVYVGY >DRNTG_33737.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32330598:32331672:-1 gene:DRNTG_33737 transcript:DRNTG_33737.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSTAAIPFSLPAIPRSRPSPPFPQIHSFLSPSLLLSIRPRPQLRRSFPPLSMATQPHSEPMNLLFVEMGVGYDQHGQDITAAAMRACKDAISSNSIPAFRRGSIPGVNSDQMKLQIKLGVPRSAQPFLDVERVKSVFP >DRNTG_33737.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32330503:32331672:-1 gene:DRNTG_33737 transcript:DRNTG_33737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSTAAIPFSLPAIPRSRPSPPFPQIHSFLSPSLLLSIRPRPQLRRSFPPLSMATQPHSEPMNLLFVEMGVGYDQHGQDITAAAMRACKDAISSNSIPAFRRGSIPGVNSDQMKLQIKLGVPRSAQPFLDVERVKSVFPYGKILSFEVVDGGMICSSGVCLEAMGDKNDDCYIVNAAVYVGY >DRNTG_33737.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32330556:32331672:-1 gene:DRNTG_33737 transcript:DRNTG_33737.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSTAAIPFSLPAIPRSRPSPPFPQIHSFLSPSLLLSIRPRPQLRRSFPPLSMATQPHSEPMNLLFVEMGVGYDQHGQDITAAAMRACKDAISSNSIPAFRRGSIPGVNSDQMKLQIKLGVPRSAQPFLDVERVKSVFP >DRNTG_33737.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32330556:32331672:-1 gene:DRNTG_33737 transcript:DRNTG_33737.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSTAAIPFSLPAIPRSRPSPPFPQIHSFLSPSLLLSIRPRPQLRRSFPPLSMATQPHSEPMNLLFVEMGVGYDQHGQDITAAAMRACKDAISSNSIPAFRRGSIPGVNSDQMKLQIKLGVPRSAQPFLDVERVKSVFPYGKILSFEVVDGGMICSSGVCLEAMGDKNDDCYIVNAAVYVGY >DRNTG_19129.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29619147:29619766:1 gene:DRNTG_19129 transcript:DRNTG_19129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIRSRTQDKPTIQAKPNTKKRQQDLQKPHLSS >DRNTG_14313.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14616660:14618771:-1 gene:DRNTG_14313 transcript:DRNTG_14313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEGLEGVVQEAQDLDLVDNKEMEPLEPLVP >DRNTG_13924.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19662192:19662949:1 gene:DRNTG_13924 transcript:DRNTG_13924.1 gene_biotype:protein_coding transcript_biotype:protein_coding PNLNAQEVPQPQVQSTLSSVLGDHSQAPTNPLTSSPHPEDPLGDIAVRSHQWEEAEERQEDRNDFTQVTENAQRRAPSKRKK >DRNTG_15093.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000752.1:13476:22339:-1 gene:DRNTG_15093 transcript:DRNTG_15093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNVIGTGEVEFIEQWNETNSAAPAASPASETRTFSRGHHFLACMLTCMVFTFIIWLFYFIVAG >DRNTG_33611.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002027.1:6949:8417:-1 gene:DRNTG_33611 transcript:DRNTG_33611.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCKPFSQSFTNSTHMIEQRNSASNLMNHSGKSSSPQSINKQSETNVETPEGVIGYLDVFIHQAHNIHNICIYHKQDVYAKLSLTGNPEIAIESKVIRSAGGNPVFNEKLQLSVQNIESSLRCEIWMRSAVPSHLEDQLLGFVLIPLSNVIVAKGKLEKEFALSANDLFHSAAGFVQLSISYVGSYPDVMAVAPPPVAVFADTALPDFRE >DRNTG_20999.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001192.1:35370:41215:-1 gene:DRNTG_20999 transcript:DRNTG_20999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIMMLMLLLWAVVVPLVSFWAWRTLDWVWLTPRRIERELRRQGLGGNHYRILYGDAKDNVRLSKDVRSRPLPLYCHDIGPRVLPLFHKAIKDHGKTSFTWLGPFPRVTLMNPESVKEVLSNKFGHFMRPRTTPLAKFLVQGLLSHEGEKWAKHRRIINPAFHLEKLKLMYPAFSASCGELIRRWDKMIPDESCLELDVFPEIQNVTLDVISRTAFGSSYEEGRRIFELLTEQTRLVIPAAQNVYIPGYRFLPTPMNQKRSQVDKEMKRILRDMIEKRERAMRKGESSKKNDLLGILLESNMKEAEEVQGKKSKNRGMTTEDVIEECKLFYLAGQETTAVLLTWTMILLGMYPNWQAKAREEVLHVFGKNTPDMDGLSRLKIVTT >DRNTG_20999.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001192.1:35948:36508:-1 gene:DRNTG_20999 transcript:DRNTG_20999.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPESVKEVLSNKFGHFMRPRTTPLAKFLVQGLLSHEGEKWAKHRRIINPAFHLEKLKLMYPAFSASCGELIRRWDKMIPDESCLELDVFPEIQNVTLDVISRTAFGSSYEEGRRIFELLTEQTRLVIPAAQNVYIPGYR >DRNTG_00716.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18409998:18411720:1 gene:DRNTG_00716 transcript:DRNTG_00716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLIPTILITLLLLLFLIKPLLSNPKLHKTKHLKLPPSPPSLPFIGHLHLFKKPLHHTLSSLSSLRGPCFLLNFSSRRLLIISSYSLALQCFTTLDLIFATRPRLPSGRFLTYNYTTIGFSPYGPHWRTLRRIVAHHLFSNARLQSLVSTRTTELTSLLHHLYNTSSSSSSSSFTKVELRPKLFELVLNVLMMGVAGKRYSSEAQARRFFEMVRGTFMFSGTSNLRDFLPKIFRFFDFQGTKKRLAWLESEWDLHLQGLIDEERIKHARDDQSEEKTMIGVLLSLQQENPESYPDRFIKALFLSLLMAGTDTSTATLEWAMSLLLNHPEKLKKAQEEIDEQIEHGRLIQESDLPNLPYLQGIINETLRLYPATPLLLPHESMQDCELNGFHIPQGTILLVNVYAMHRDPDIWGAGAGEFRPERYEEESGRDLSKMMMPFGMGRRRCPGEGLALKLIGLALGALIQCFEWERLGKEMVDMKEALGMSMPKAHPLEALYKPRSSMISVLSNL >DRNTG_20445.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4537570:4541186:-1 gene:DRNTG_20445 transcript:DRNTG_20445.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEREFEALKDRVSGKRVEFKRVSCINASLPLSPSLIDASDLCSTCKFSEDGKDRVVVGTLDVNQCVKLADELAGKRPEGSGSNLTRAYLSNVCVAGELQKNGLGYTIVTKAKKLAFEWGITDLYVHVAVDNEAAQKLYKKSGFIYESEEQTWQARFLGRPKRLLLWIDLTQNNL >DRNTG_20445.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4537570:4541186:-1 gene:DRNTG_20445 transcript:DRNTG_20445.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIFAVVAPPPVRFPVALLRRSLDTPVRLASRGWDRAGMVSSHLCEREAPLPLALDWKKLNVREAASEEELLAAVQLRIRTFYEFKQSYGIEDYKRQLMEREFEALKDRVSGKRVEFKRVSCINASLPLSPSLIDASDLCSTCKFSEDGKDRVVVGTLDVNQCVKLADELAGKRPEGSGSNLTRAYLSNVCVAGELQKNGLGYTIVTKAKKLAFEWGITDLYVHVAVDNEAAQKLYKKSGFIYESEEQTWQARFLGRPKRLLLWIDLTQNNL >DRNTG_10013.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3081533:3082796:-1 gene:DRNTG_10013 transcript:DRNTG_10013.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETKVYSATRPAPSSNNSTQLLGFIVRFLAVLLTFVATIVMGVAKQTVKVDNSNLGDYSGGYTWITVKSTYNSSFVYFIVVNTLAFAYSTLSLALSIANRINFSNLQVFITIADLIMLIFLFSTNGSAAAVSIILEKGDAHLGISKICGFVDTFCAHITASIVLSMIASLAYALLVLLAIVSLRNSSR >DRNTG_17332.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32004992:32008304:-1 gene:DRNTG_17332 transcript:DRNTG_17332.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKILAALCLLSMLLLRCSSAEEEEAVTCSGIVPMRGRAEVISITDFGGVGDGLTLNTAAFQAAIFRISHLRRRGGTLLYIPAGVWLTGSFDLTSHMTLYLAKGAVIKATQDTTKWPLIDPLPSYGRGRELPGQRYKSFIHGNGLHDVIITGENGTIDGQGDVWWNMWRQRILPFTRPNLVEFMHSGNILISNTIFQNSPFWNIHPVYCSNVVVRNVTILAPFDSPNTDGVDPDSSLNVCIEDCYISTGDDLVAVKSGWDEYGIAYGRPSSGITIRRVTGSSPFAGIAIGSETSGGVDNVLVENVNIYNTGIGIHIKTNIGRGGYIKNVSISDVYLNKVRKGLRIAGDVGDHPDSKFNPNALPVVDGLIIKNVRGENVLQPGSIQGIKNSPFTRICLSNVKLFGDWSQSWKCMDVSGAALEVQPAPCQELTSTFDVSFCANAV >DRNTG_17332.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32005070:32008391:-1 gene:DRNTG_17332 transcript:DRNTG_17332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKILAALCLLSMLLLRCSSAEEEEAVTCSGIVPMRGRAEVISITDFGGVGDGLTLNTAAFQAAIFRISHLRRRGGTLLYIPAGVWLTGSFDLTSHMTLYLAKGAVIKATQDTTKWPLIDPLPSYGRGRELPGQRYKSFIHGNGLHDVIITGENGTIDGQGDVWWNMWRQRILPFTRPNLVEFMHSGNILISNTIFQNSPFWNIHPVYCSNVVVRNVTILAPFDSPNTDGVDPDSSLNVCIEDCYISTGDDLVAVKSGWDEYGIAYGRPSSGITIRRVTGSSPFAGIAIGSETSGGVDNVLVENVNIYNTGIGIHIKTNIGRGGYIKNVSISDVYLNKVRKGLRIAGDVGDHPDSKFNPNALPVVDGLIIKNVRGENVLQPGSIQGIKNSPFTRICLSNVKLFGDWSQSWKCMDVSGAALEVQPAPCQELTSTFDVSFCANAV >DRNTG_17332.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32005070:32008304:-1 gene:DRNTG_17332 transcript:DRNTG_17332.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKILAALCLLSMLLLRCSSAEEEEAVTCSGIVPMRGRAEVISITDFGGVGDGLTLNTAAFQAAIFRISHLRRRGGTLLYIPAGVWLTGSFDLTSHMTLYLAKGAVIKATQDTTKWPLIDPLPSYGRGRELPGQRYKSFIHGNGLHDVIITGENGTIDGQGDVWWNMWRQRILPFTRPNLVEFMHSGNILISNTIFQNSPFWNIHPVYCSNVVVRNVTILAPFDSPNTDGVDPDSSLNVCIEDCYISTGDDLVAVKSGWDEYGIAYGRPSSGITIRRVTGSSPFAGIAIGSETSGGVDNVLVENVNIYNTGIGIHIKTNIGRGGYIKNVSISDVYLNKVRKGLRIAGDVGDHPDSKFNPNALPVVDGLIIKNVRGENVLQPGSIQGIKNSPFTRICLSNVKLFGDWSQSWKCMDVSGAALEVQPAPCQELTSTFDVSFCANAV >DRNTG_17332.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32005070:32008267:-1 gene:DRNTG_17332 transcript:DRNTG_17332.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKILAALCLLSMLLLRCSSAEEEEAVTCSGIVPMRGRAEVISITDFGGVGDGLTLNTAAFQAAIFRISHLRRRGGTLLYIPAGVWLTGSFDLTSHMTLYLAKGAVIKATQDTTKWPLIDPLPSYGRGRELPGQRYKSFIHGNGLHDVIITGENGTIDGQGDVWWNMWRQRILPFTRPNLVEFMHSGNILISNTIFQNSPFWNIHPVYCSNVVVRNVTILAPFDSPNTDGVDPDSSLNVCIEDCYISTGDDLVAVKSGWDEYGIAYGRPSSGITIRRVTGSSPFAGIAIGSETSGGVDNVLVENVNIYNTGIGIHIKTNIGRGGYIKNVSISDVYLNKVRKGLRIAGDVGDHPDSKFNPNALPVVDGLIIKNVRGENVLQPGSIQGIKNSPFTRICLSNVKLFGDWSQSWKCMDVSGAALEVQPAPCQELTSTFDVSFCANAV >DRNTG_30739.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31709214:31710831:-1 gene:DRNTG_30739 transcript:DRNTG_30739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFQSFWQYLVTHFSDFQLATLGTFIIHESVFFLSGLPSLLFERSGFFSKYKIQKKNNTPAAQERCILRLILYHVCVNLPVMIASYPAFRFMGMRSSLPLPSLSVIIPQVLFYFILEDFVFYWGHRVLHTKWLYKHVHNVHHEYATPFGLTSEYAHPAEILFLGFATILGPALTGPHLFTMWLWMILRVLETVEAHC >DRNTG_15003.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23922047:23924370:1 gene:DRNTG_15003 transcript:DRNTG_15003.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAse II-like 1 [Source:Projected from Arabidopsis thaliana (AT4G15417) TAIR;Acc:AT4G15417] MTLEEMELVKEIEAIMAYKFKDSKLVIEALTHSSFYYPCKSAATYERLEFIGDAVLNCLVAREVFVSYPDFAPGLLTRLRAANVDTEKLARVAVSHELYRFIRHKAPQLDKQIQEFRESLLDYPIHSNGLLDPPKALADVVESLLGAVFIDSNSSLETVWEVYRRLAEPLINPKTLGKHPVSELHELCQKNRMEVRFLREKWDESTCIDVMVDGNMVASATYGNKKEIALNRAAKAAVDCLKNMLANENPLSLTQTDLFS >DRNTG_15003.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23923433:23924370:1 gene:DRNTG_15003 transcript:DRNTG_15003.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAse II-like 1 [Source:Projected from Arabidopsis thaliana (AT4G15417) TAIR;Acc:AT4G15417] IQEFRESLLDYPIHSNGLLDPPKALADVVESLLGAVFIDSNSSLETVWEVYRRLAEPLINPKTLGKHPVSELHELCQKNRMEVRFLREKWDESTCIDVMVDGNMVASATYGNKKEIALNRAAKAAVDCLKNMLANENPLSLTQTDLFS >DRNTG_14876.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2887791:2889104:-1 gene:DRNTG_14876 transcript:DRNTG_14876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFNHLIMFSWVTNQHQVSTRDCMMSDEMGLMVTKGEELHQPDLYFFSFQQQQQQQQKKKRKEKENKKEEEQGSQSSKEKKSKWVLPWPMSFHSVPLNLQNPYSCLLSRFQLKRKGQLWN >DRNTG_04401.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12594638:12596208:1 gene:DRNTG_04401 transcript:DRNTG_04401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVLFPNTSLNMPSFEPSVQLRCKGKYTTTGYMKRCSIALIIWFYEFFELMPANDEENVLVGNGSQSRKQISNRSSDPGDSKRRSRPPTRPMRP >DRNTG_26408.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23848395:23850844:-1 gene:DRNTG_26408 transcript:DRNTG_26408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFILYSGESLRSGQALYYASYTFIMQSDCNLVEYDNGNAIWASGTNGRGTNCYVTLQSDGNLVIYDNNNNAVWASNTNVGQGNYVLILQKDRNVVIYGGALWATNTNTVGVSGGMFIESKATIFGSLPANKTTADQTKAARISMVVNN >DRNTG_05400.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:603601:607504:-1 gene:DRNTG_05400 transcript:DRNTG_05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGRGGRDDFFGFGDPFAGFGGFGRPGSLMPSFFGGRDPFDDPFFTHPFGSMMRPSMFGPSLFGERAFLDMNHGGFIEQQAPQSSKSKGPIIRELSDDENNVEEESPEVDKDKKNPRKHSRLSKEPFVQDPDDGEAEEKKMKHTDYRIGASRMQPQAHTYSFSSSSVTYGGPNGAYYTASTTRRTGADGVTVEESKEADATTGKARHRLARGLHDKGHAVTRKLSSDGRVETVQMLHNLNQDELPGFNEAWKGSAREHLPGWNQGFDMIHNGDIGGGSRRNEHAAGGWALPGTEQPSTNSVRARPKFRPSDTA >DRNTG_33676.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002035.1:4688:5678:1 gene:DRNTG_33676 transcript:DRNTG_33676.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITEAISALKERSGSSQYAIGKYLEEKHKDKLPANFKKQLLYQLKKLAAGGSSPR >DRNTG_33676.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002035.1:4688:6151:1 gene:DRNTG_33676 transcript:DRNTG_33676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITEAISALKERSGSSQYAIGKYLEEKHKDKLPANFKKQLLYQLKKLAAGGSSPR >DRNTG_19652.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3033634:3034889:1 gene:DRNTG_19652 transcript:DRNTG_19652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTLVLLPLLTLAFFTVAFAEDPYLYFTWNVTYGTLSPFVIPQLVILINGQFPGPNINSTTNNNIVLNLFNYLDEPFLLSWNGIQQRKNSWMDGVPGTNCPILPGANFTYHFQVKDQIGSFFYYPSLGMHKAAGGFAVSVSTPAFLSPSLSIPRLMITLS >DRNTG_19049.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000976.1:7784:8722:1 gene:DRNTG_19049 transcript:DRNTG_19049.4 gene_biotype:protein_coding transcript_biotype:protein_coding LRLKIHILFFVRRDASLGEVRASSGDLTRLVSNNESLDPLGGQDREKDLNWKPLPNNGPRFQSGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMSLTDIPKLNQESSIATALMEMYLSMGDALAQQYGGSAAHNTVFPERQGRWKATTQSREFLKSIKRYYSNTYTDGEKQDAINLYFSLISLLLLQMHFHFCRDLC >DRNTG_19049.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000976.1:7784:8722:1 gene:DRNTG_19049 transcript:DRNTG_19049.5 gene_biotype:protein_coding transcript_biotype:protein_coding LRLKIHILFFVRRDASLGEVRASSGDLTRLVSNNESLDPLGGQDREKDLNWKPLPNNGPRFQSGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMSLTDIPKLNQESSIATALMEMYLSMGDALAQQYGGSAAHNTVRFSLRDKADGKLLLSLVNF >DRNTG_19049.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000976.1:7784:10915:1 gene:DRNTG_19049 transcript:DRNTG_19049.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRLKIHILFFVRRDASLGEVRASSGDLTRLVSNNESLDPLGGQDREKDLNWKPLPNNGPRFQSGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMSLTDIPKLNQESSIATALMEMYLSMGDALAQQYGGSAAHNTVFPERQGRWKATTQSREFLKSIKRYYSNTYTDGEKQDAINFFIVDPLNVVGTRCTLSPTPGYKQDFQRMKLTSFDALIDRTCSSIKM >DRNTG_12918.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1424004:1430889:-1 gene:DRNTG_12918 transcript:DRNTG_12918.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGAKLGLLFIAVAVGFFFAPIAESAVASIDLGSESLKVAVVNLKPGQSPISIAINEMSKRKSPSIVAFHSGNRLLGEEAAGIAARYPDKVFSQVRDMIGKPYGHVKSFLDSQYLPFDLVEDARGSVGIRVDSGGPVYSPEELLAMVLSYAMGLAESHAKVPVKDVVISVPPYFGQAERKGVVQAAQLAGINVLALINEHAGAALQYGIDKDFSNESRHVIIYDMGASSTYAALVYFSAYNTKEFGKTVSVNQFQVKDVRWSPELGGQTMEFRLVEYFADEFNKQLGNGVDVRKSPKAMAKLKKQVKRTKEILSANTVAPISVESLYDDVDFRSTISREKFEELCADLWEQSLVPVKEVLKHSGLKMDEIYAVELIGGATRVPKLQAMLQEFLGRKDLDKHLDADEAIALGASLHAANLSDGIKLNRKLGMVDGSSYGFLLEFDGPEFSNDEKIDLQLVPRMKKLPSKMFRSIKHSKDFEVSLSYENANDLPLGTSSTKFAQFFISGLTEASEKYSTRNISAPIKASLHFSLSRSAIISLDRAEAVIEITEWVEVPKKNVTVDTNSTNTLNSSSEASPESSSFDSNGNSSAEGDAKNSKSAAEEQPNTEVTTEKVLKKKTFRVPLKVVDKFTGSGKVLSKDSFSEAKLRLEVLDKKDAERRRTAELKNNLEEYIYSTREKLEDSSELATVSTEQEMQSFGEKLSEAQDWLYTDGEDASASEFKERLDSLKAIGDPIFFRLSELKERPVACEYARIYLDELQKIVSNWEKNKSWLPKDRIDEVLMEAEKVKTWLDEKETLQKGTPSTDAPAFTSSEVYVKVSKLQDKVASVNRIPKPKPKIEKPPKEESQNKENTTDSSNSTSEKESASEEQSTSQSDQSTKEAEAKPNNTGDEIADSHDEL >DRNTG_19166.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6161641:6162168:1 gene:DRNTG_19166 transcript:DRNTG_19166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLQVFTESDADADAMMTWASDPRVVRFQRRYPFATTHEAMSYIQTHIIPHHFYRAICFNDHVVGSISVKPGTGDGEQHKASVGYRLAYEHWGKGIATMALKMVVEIVLKEWEWLGRLEGMEDFENKGSQRVLEKAGFQKEGVLHKFVFLKGEARDMVMYSIIRSSSSSSSFHP >DRNTG_00170.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2888055:2888414:-1 gene:DRNTG_00170 transcript:DRNTG_00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWPVNHLLTRYAHEAFYLTLKQHVKHLEVVAHHQHIPPPQSPGYISNIRKRKT >DRNTG_06478.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16888128:16888443:-1 gene:DRNTG_06478 transcript:DRNTG_06478.1 gene_biotype:protein_coding transcript_biotype:protein_coding PNGEGFEALKKREANSEIQQEGGNANCEEASMRVGD >DRNTG_31475.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1963945:1968074:1 gene:DRNTG_31475 transcript:DRNTG_31475.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPADENPLQNRNVNLPLDFTNVDTRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAVMSNGAFILNLDCDHYIYNSLAIREGMCFMMDRGGDCISYVQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRVKEHQSGCCSCCFPRLRKSKAAVASDETQALRMGDFEEEEMSISQFPKRFGNSNIFIDSISRAVQEGQPLADHPVVKNGRPPGALIGPRQPLEAKNLADAINVISCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSVYYVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLAGPRMKLLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQNLNVTFLTYLLVIAITLCLLAVLEVKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVVAGIDISFTLTSKSAGDDMDDEFADLYLVKWTSLMIPPITIMMVNLIAIAVGISRTIYSTVPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLISITISLLWISINPPNANSQIGGSFTFP >DRNTG_31475.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1961820:1968074:1 gene:DRNTG_31475 transcript:DRNTG_31475.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNCDSDPPGSPPGNPTVPFSHHTVSGQSFTYSCDDQHSENGNVEFAKYLVDAPPTPDIQPLDRNIGLIAERRHASENLFIGGFNCLTHGHPLGRRIDPPMTKESLCAIHGCDGQVMRDGSGQAIFPCECSFKICIDCFKDATKIGEGNCPGCKEPYKSTNLDEVMRLSLPLSPGPVASKMERRLSIMRSGKLLTRSLTPNGDFDHNRWLFETRGTYGYGNAIWPQENDRDGKDGGAKGQPSELSNKQWRPLSRKIKIPAAILSPYRLLVVLRMVVLGLFLAWRIKHKNEDAVWLWGMSVVCELWFAFSWVLDQLPKLCPVNRETDLDVLTEKFETCSPDNPHGISDLPGVDVFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDAGALLTFEAMAEAASFAEIWVPFCRKHDIEPRNPESYFNLRGDPYKNKVRSDFVKDRRRMKREYDEFKVRINGLPDSIRRRSDALHAREEMDAVRSQRDNVNFDIMEPVKIPKSTRMADMTPWPGTWINPSIDHYRGDHAGIIQPADENPLQNRNVNLPLDFTNVDTRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAVMSNGAFILNLDCDHYIYNSLAIREGMCFMMDRGGDCISYVQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRVKEHQSGCCSCCFPRLRKSKAAVASDETQALRMGDFEEEEMSISQFPKRFGNSNIFIDSISRAVQEGQPLADHPVVKNGRPPGALIGPRQPLEAKNLADAINVISCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSVYYVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLAGPRMKLLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQNLNVTFLTYLLVIAITLCLLAVLEVKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVVAGIDISFTLTSKSAGDDMDDEFADLYLVKWTSLMIPPITIMMVNLIAIAVGISRTIYSTVPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLISITISLLWISINPPNANSQIGGSFTFP >DRNTG_31475.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1960834:1968074:1 gene:DRNTG_31475 transcript:DRNTG_31475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNCDSDPPGSPPGNPTVPFSHHTVSGQSFTYSCDDQHSENGNVEFAKYLVDAPPTPDIQPLDRNIGLIAERRHASENLFIGGFNCLTHGHPLGRRIDPPMTKESLCAIHGCDGQVMRDGSGQAIFPCECSFKICIDCFKDATKIGEGNCPGCKEPYKSTNLDEVMRLSLPLSPGPVASKMERRLSIMRSGKLLTRSLTPNGDFDHNRWLFETRGTYGYGNAIWPQENDRDGKDGGAKGQPSELSNKQWRPLSRKIKIPAAILSPYRLLVVLRMVVLGLFLAWRIKHKNEDAVWLWGMSVVCELWFAFSWVLDQLPKLCPVNRETDLDVLTEKFETCSPDNPHGISDLPGVDVFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDAGALLTFEAMAEAASFAEIWVPFCRKHDIEPRNPESYFNLRGDPYKNKVRSDFVKDRRRMKREYDEFKVRINGLPDSIRRRSDALHAREEMDAVRSQRDNVNFDIMEPVKIPKSTRMADMTPWPGTWINPSIDHYRGDHAGIIQPADENPLQNRNVNLPLDFTNVDTRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAVMSNGAFILNLDCDHYIYNSLAIREGMCFMMDRGGDCISYVQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRVKEHQSGCCSCCFPRLRKSKAAVASDETQALRMGDFEEEEMSISQFPKRFGNSNIFIDSISRAVQEGQPLADHPVVKNGRPPGALIGPRQPLEAKNLADAINVISCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSVYYVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLAGPRMKLLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQNLNVTFLTYLLVIAITLCLLAVLEVKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVVAGIDISFTLTSKSAGDDMDDEFADLYLVKWTSLMIPPITIMMVNLIAIAVGISRTIYSTVPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLISITISLLWISINPPNANSQIGGSFTFP >DRNTG_16236.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5913083:5916699:1 gene:DRNTG_16236 transcript:DRNTG_16236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVNPFDLLGGDDNDDPSQLIAAAQQQKLAAKKAAAVNAAAPAATPAAPAAKLPSKPLPPSQAVREAKSNTAPGGRGGGGAGRGGFSRGGRGGRGGEPRPNRDFEKSNANGYGGGYGGGGGGGRGGAGGEDGDGGRPTERDRGSYGGPRQPYRGGRRGGFGDGEAGGDSERPPRRVYDRRSGTGRGSEIKREGSGRGNWGMAADDSSTQETGEKISAEDKVASPEKMVDEDAPLTDVNKDNKEGAANEGEQKEEEDKEMTLEEYEKIKEEKRKALLAMKTEERKVDFDKEFESMQQLSLKKGNDDVFIKLGSDKDSKRKENADRDERVKKSVSISEFLKPAEGERYYSPGGRGRGRGRGGDRGSYRGGFSHGGTSAPAAAPSIEDPGQFPTLGGK >DRNTG_09297.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:871743:872509:1 gene:DRNTG_09297 transcript:DRNTG_09297.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFENEQGTREPGSSLDTPMPKNTIARTPMALTYEQDIV >DRNTG_15813.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:10201473:10205347:-1 gene:DRNTG_15813 transcript:DRNTG_15813.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGYPLSVLQDMAPSYQIIFNVKTKFRNTHCKIRELCRPIKDIGTLDLSPELGDRNPFSAIGGRPSSSFSAL >DRNTG_15813.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:10201473:10204112:-1 gene:DRNTG_15813 transcript:DRNTG_15813.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWGYPLSVLQDMAPSYQIIFNVKTKFRNTHCKIRELCRPIKDIGTLDLSPELGDRNPFSAIGGRPSSSFSAL >DRNTG_15813.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:10201473:10204889:-1 gene:DRNTG_15813 transcript:DRNTG_15813.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPNSETPTARSANSADRSKILERWISRRSSEIETLSRRLEGGRARASPLCDSCPERNENS >DRNTG_15813.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:10201473:10204112:-1 gene:DRNTG_15813 transcript:DRNTG_15813.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPNSETPTARSANSADRSKILERWISRRSSEIETLSRRLEGGRARASPLCDSCPERNENS >DRNTG_13498.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21840681:21844785:-1 gene:DRNTG_13498 transcript:DRNTG_13498.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 56, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G01590) UniProtKB/Swiss-Prot;Acc:Q7Y1W1] MASMNLGWFGDNWFSKPPKPVPAVPSFAFIGSRNLSSKNTTQNPNPNPSISSSSSSSPPTPEEPKGPLTEMLEQLWWECEHRPDYRHTPEVERIITEDPFIVKKENPTQEEIEENKQWWDGFNSSPVVQFLRRAEKIADIMNEMELKENEHPYRWEDRKLWKKLPHVPGLDGRPMPRKAIKTKKESDDKFWDWARQFFFGLWGFRQRSYPPGRPIDVAQAIGYKRLEKRYYDFIMRSGGWYYKDRLGRTRGPLELITLKTAWAGGIIDTHTFIWGEDMDEWAPIGMVYGLEKAIATWEVRLGAAATAFLHKLQKGIPPWKPLKGFEWKTYKQLQDEAIESKKRDMAVLAANGGIWPGVRTPSHALFLWASGSELTSILEADFMPNKYISKELRYRLAKIIPNLRPWEVLSIEQAMDLITCEGEWFREPLGSFTTGPPYIQRWNKDVKRMFRIFSNLSYRVYNKLERTIPGFDVVMQKVKADTDTRDARRKERRAAQKRAEKEAAIYGRRMSSDNPK >DRNTG_00663.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16314892:16316246:-1 gene:DRNTG_00663 transcript:DRNTG_00663.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGINIQYLLLCVMLARIRQCVTSQVYVAYMGSSGVHSEEVLKQNHEMLAAVHGGSNEKAMSSHVYSYSNGFRGFAAKLSEEQALEIAEMPGVVSVFPNEKRSLHTTHSWDFMGLSVDEEMEIPGFATKNQENVIIGFIDTGIWPESPSFNDRGMPPVPSRWKGECQTGESFTASDCNK >DRNTG_00663.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16311874:16316246:-1 gene:DRNTG_00663 transcript:DRNTG_00663.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGINIQYLLLCVMLARIRQCVTSQVYVAYMGSSGVHSEEVLKQNHEMLAAVHGGSNEKAMSSHVYSYSNGFRGFAAKLSEEQALEIAEMPGVVSVFPNEKRSLHTTHSWDFMGLSVDEEMEIPGFATKNQENVIIGFIDTGIWPESPSFNDRGMPPVPSRWKGECQTGESFTASDCNKKVVGARYYLNGYESEEEYGELLKKSNNAVKYKSPRDSSGHGSHTASIAAGRYVNNVNYSGLASGEARGGASMARIAVYKTCWESGCYDADILAAFNDAIRDGVDIISVSLGPTSPQGDYFSDAISIGSFHATSHGIMVVSSAGNVGTRGSVTNLAPWMLTVAASSTDRDFTSYVILGNGTKLMGESLNVLRMNRSAGIIPAGEANGGYFTPYQSSFCLESSLNKTKARGKILICRHSGSSSESRLAKSVVVKRAGGVGMILIDEVESDIAIPFAIPAASVDRRTAEKILSFVNHTRNPRSLILPTKAILGSKPAPRMAAFSSKGPNSLTPGILKPDITAPGLNILAAWSPAVKIMNFNILSGTSMSCPHITGIAALIKAVYPSWSPSAIKSAIMTTATTLDKRGKAITADPTGRMATPFDYGSGFPNPVRVLDPGLVYDAQPADYKAFLCSIGYDDKSLQRVTGDNSTCTRTAAASASDLNYPTITVPELRGSYLVTRTVTNVGRPRSVYRALISPPAGIQVTVVPKILAFRNYGEKRNFTVSFRATAPSKGYVFGSLTWKTKALAVTSPLVVRLASSNTGLL >DRNTG_00663.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16311874:16313682:-1 gene:DRNTG_00663 transcript:DRNTG_00663.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSSKGPNSLTPGILKPDITAPGLNILAAWSPAVKIMNFNILSGTSMSCPHITGIAALIKAVYPSWSPSAIKSAIMTTATTLDKRGKAITADPTGRMATPFDYGSGFPNPVRVLDPGLVYDAQPADYKAFLCSIGYDDKSLQRVTGDNSTCTRTAAASASDLNYPTITVPELRGSYLVTRTVTNVGRPRSVYRALISPPAGIQVTVVPKILAFRNYGEKRNFTVSFRATAPSKGYVFGSLTWKTKALAVTSPLVVRLASSNTGLL >DRNTG_00615.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22448446:22466403:-1 gene:DRNTG_00615 transcript:DRNTG_00615.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(7)GlcNAc(2)-PP-Dol alpha-1,6-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G02145) UniProtKB/Swiss-Prot;Acc:A8MR93] MATRRKPHQESKGWLSKFVQEFGWDVLLGSIAAFYVFMVPYTKVEESFNVQAMHDILYHRHHIGKYDHLDFPGVVPRTFIGSLLVSILASPVVLVLHLLHAPKFYSLYAVRLVLGCITLSSLRSLRIEIRRKFGYHVEAFFVILTSAQFHLLFYSTRPLPNIFAFCLVTLAYSSWFRGDALSTLKCLTIATAVFRCDTVLLFGTIGISLLLSKSVSMKEAIKCCISTAFLCIGLTILVDTIMWGRVLWPELEVFWFNSVLNRSSEWGTHAFHWYFTSALPRSLLVAYPLFMLALLLDRRLWQYIVPVFSFVLIYSKLPHKELRFIIGAVPIFNVAAAITASRVYNNRKKTLWRWLYIGMLVSILISLGCSIVTFMASYENYPGGYALKALHQSVGTTNTTMDRRVHIDSFAAMNGVSRFLENNPPWRYSKEEGITLAEYHTRNFTYLLSEHSKIDGFQCLFFVDGFSKARLRIGFPPIILLREPKVFVHGNIGHEDIALSNWPGCL >DRNTG_00615.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22448361:22466403:-1 gene:DRNTG_00615 transcript:DRNTG_00615.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(7)GlcNAc(2)-PP-Dol alpha-1,6-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G02145) UniProtKB/Swiss-Prot;Acc:A8MR93] MATRRKPHQESKGWLSKFVQEFGWDVLLGSIAAFYVFMVPYTKVEESFNVQAMHDILYHRHHIGKYDHLDFPGVVPRTFIGSLLVSILASPVVLVLHLLHAPKFYSLYAVRLVLGCITLSSLRSLRIEIRRKFGYHVEAFFVILTSAQFHLLFYSTRPLPNIFAFCLVTLAYSSWFRGDALSTLKCLTIATAVFRCDTVLLFGTIGISLLLSKSVSMKEAIKCCISTAFLCIGLTILVDTIMWGRVLWPELEVFWFNSVLNRSSEWGTHAFHWYFTSALPRSLLVAYPLFMLALLLDRRLWQYIVPVFSFVLIYSKLPHKELRFIIGAVPIFNVAAAITASRVYNNRKKTLWRWLYIGMLVSILIRMLHCDIHGIL >DRNTG_00615.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22448446:22466403:-1 gene:DRNTG_00615 transcript:DRNTG_00615.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(7)GlcNAc(2)-PP-Dol alpha-1,6-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G02145) UniProtKB/Swiss-Prot;Acc:A8MR93] MATRRKPHQESKGWLSKFVQEFGWDVLLGSIAAFYVFMVPYTKVEESFNVQAMHDILYHRHHIGKYDHLDFPGVVPRTFIGSLLVSILASPVVLVLHLLHAPKFYSLYAVRLVLGCITLSSLRSLRIEIRRKFGYHVEAFFVILTSAQFHLLFYSTRPLPNIFAFCLVTLAYSSWFRGDALSTLKCLTIATAVFRCDTVLLFGTIGISLLLSKSVSMKEAIKCCISTAFLCIGLTILVDTIMWGRVLWPELEVFWFNSVLNRSSEWGTHAFHWYFTSALPRSLLVAYPLFMLALLLDRRLWQYIVPVFSFVLIYSKLPHKVHLSTVTCNLVETHNN >DRNTG_00615.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22448446:22458011:-1 gene:DRNTG_00615 transcript:DRNTG_00615.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(7)GlcNAc(2)-PP-Dol alpha-1,6-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G02145) UniProtKB/Swiss-Prot;Acc:A8MR93] LALLLDRRLWQYIVPVFSFVLIYSKLPHKELRFIIGAVPIFNVAAAITASRVYNNRKKTLWRWLYIGMLVSILISLGCSIVTFMASYENYPGGYALKALHQSVGTTNTTMDRRVHIDSFAAMNGVSRFLENNPPWRYSKEEGITLAEYHTRNFTYLLSEHSKIDGFQCLFFVDGFSKARLRIGFPPIILLREPKVFVHGNIGHEDIALSNWPGCL >DRNTG_25043.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8872050:8873861:1 gene:DRNTG_25043 transcript:DRNTG_25043.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSWIGGITLDQALMVIPIDDHMVHRGHGVFDTTMIMNGYLYDLDSHLDRFLKSALNAKIFPPYPPKTLRNILVQLVAASKCKKGSIRFWLSAGPGNFLLTFTGIAKPIFYAVVIEQNLHQLSEGVKIITSTVPIKPSKFATMKNVNYLPNVFAKMEAEEEGVYSSIWIDEKGYVAEGPNANVAFISNNKELVFPLSDKILEGCTSKRLQLLAQKLVEKGVLKSVCSRHITLKEAKNSAEMMYVSSLLPILPIIEWDKQCIGDGMVGELTMILSDLMWEDINSGPEARRICVPYNLGE >DRNTG_25043.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8871444:8873861:1 gene:DRNTG_25043 transcript:DRNTG_25043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSPCVLPSKLKHISLITNIIIMNGSHIYANGSGEENYADFFVPVYSSSEVLEKLNWRKRTEEQKPYKAMYSSWIGGITLDQALMVIPIDDHMVHRGHGVFDTTMIMNGYLYDLDSHLDRFLKSALNAKIFPPYPPKTLRNILVQLVAASKCKKGSIRFWLSAGPGNFLLTFTGIAKPIFYAVVIEQNLHQLSEGVKIITSTVPIKPSKFATMKNVNYLPNVFAKMEAEEEGVYSSIWIDEKGYVAEGPNANVAFISNNKELVFPLSDKILEGCTSKRLQLLAQKLVEKGVLKSVCSRHITLKEAKNSAEMMYVSSLLPILPIIEWDKQCIGDGMVGELTMILSDLMWEDINSGPEARRICVPYNLGE >DRNTG_02333.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:606202:612106:1 gene:DRNTG_02333 transcript:DRNTG_02333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKDRIAYFYDGDVGSVYFGPNHPMKPHRLCMTHHLVLSYGLHNKMEIYRPHKAYPVELAQFHSPDYVEFLHRITPDTQHLFANDLKRYNLGEDCPVFDNLFEFCQMYAGGTIDAARRLNNKLCDIAVNWAGGLHHAKKCEASGFCYINDLVLGILELLKHHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKFGDMFFPGTGDVKDIGEREGKYYAINVPLKDGIDDASFIRLFKIIIAKVVETYLPNVIVLQCGADSLAGDRLGCFNLSIDGHAECVKFVKNFKLPLLVTGGGGYTKENVARCWTVETGVLLETELPNEIPDNEYIQYFAPEFSLKIQSGNLVYLSPLSE >DRNTG_02333.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:606202:612106:1 gene:DRNTG_02333 transcript:DRNTG_02333.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKDRIAYFYDGDVGSVYFGPNHPMKPHRLCMTHHLVLSYGLHNKMEIYRPHKAYPVELAQFHSPDYVEFLHRITPDTQHLFANDLKRYNLGEDCPVFDNLFEFCQMYAGGTIDAARRLNNKLCDIAVNWAGGLHHAKKCEASGFCYINDLVLGILELLKHHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKFGDMFFPGTGDVKDIGEREGKYYAINVPLKDGIDDASFIRLFKIVSREPLG >DRNTG_02333.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:606202:612106:1 gene:DRNTG_02333 transcript:DRNTG_02333.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKDRIAYFYDGDVGSVYFGPNHPMKPHRLCMTHHLVLSYGLHNKMEIYRPHKAYPVELAQFHSPDYVEFLHRITPDTQHLFANDLKRYNLGEDCPVFDNLFEFCQMYAGGTIDAARRLNNKLCDIAVNWAGGLHHAKKCEASGFCYINDLVLGILELLKHHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKFGDMFFPGTGDVKDIGEREGKYYAINVPLKDGIDDASFIRLFKIIIAKVVETYLPNVIVLQCGADSLAGDRLGCFNLSIDGHAECVKFVKNFKLPLLVTGGGGYTKENVARCWTVETGVLLETELPNEIPDNEYIQYFAPEFSLKIQSGNLVRWLL >DRNTG_02333.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:606202:612106:1 gene:DRNTG_02333 transcript:DRNTG_02333.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKDRIAYFYDGDVGSVYFGPNHPMKPHRLCMTHHLVLSYGLHNKMEIYRPHKAYPVELAQFHSPDYVEFLHRITPDTQHLFANDLKRYNLGEDCPVFDNLFEFCQMYAGGTIDAARRLNNKLCDIAVNWAGGLHHAKKCEASGFCYINDLVLGILELLKHHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKFGDMFFPGTGDVKDIGEREGKYYAINVPLKDGIDDASFIRLFKIIIAKVVETYLPNVIVLQCGADSLAGDRLGCFNLSIDGHAECVKFVKNFKLPLLVTGGGGYTKENVARCWTVETGVLLETELPNEIPDNEYIQYFAPEFSLKIQSGNLENLNSKSYLSSIKVQVLESLRCIQHAPSVQMQEVPPDFYIPDFDEDEQDPDERVDQHTQDKQIQRDDEYYEGDNDNDQNMDDGP >DRNTG_28226.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11899781:11900839:1 gene:DRNTG_28226 transcript:DRNTG_28226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESYDSLRQAFSLLSQRPLDTQSHHDNQGGAKNKTTNKKTGSAHPSKLTNNSTLPYRPLPDQQALQTLHKGPSYKINSSPIFNCDLRDRST >DRNTG_13475.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:17528443:17529156:-1 gene:DRNTG_13475 transcript:DRNTG_13475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRRIEQKEDRLRKKLKTEKHLKEDGTGRRRSPAKKEEESLHFLSLSTSLTAVTFTVATVTVATVTVARHLSSSPNKKSKAEKRLPSMDAASGEKKKKKINKGNKTYKINIFKDLKQVHPDIGVSSKAMGIMNSFINDIFEKLAPSNLLCDFSKLH >DRNTG_34284.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28183286:28184327:-1 gene:DRNTG_34284 transcript:DRNTG_34284.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGNNMDKRLSSNQEAEVRKGPWTMEEDLILINYIATHGEGVWNTLARSAGLKRTGKSCRLRWLNYLRPDVRRGNITPEEQFLIMELHARWGNRWSKIARQLPGRTDNEIKNYWRTRIQKKNKHGDPMDYQNPMHSDGASTSQTSGSMQDAATPTYTIPQMDNPHDPFYAPPFSNDCTDNFWGVEDFWAMHSFHGD >DRNTG_04271.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9292081:9294157:1 gene:DRNTG_04271 transcript:DRNTG_04271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHILPLLLFSLLSLQSIHSSPTPSTQDPELVVQEVQRSINNSTRRKLGYLSCGTGNPVDDCWRCDPNWEKNRQRLADCAIGFGKNAMGGRDGKIYTVTDASDDDPVNPKPGTLRYAVIQNEPLWIIFQRDMVIKLKEELIMNSYKTIDGRGASVHIAGGPCITIQYVTNVIVHGIHVHDCKSGGNAYVRDSPEHYGWRTVSDGDGVSIFGASHVWVDHCSLSNCNDGLIDAIHGSTSITISNNYMTHHDKVMLLGHSDALLSDKAMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSADPTINSQGNRFLAPDDRFSKEVTKREDTSEDEWKNWNWRSEGDMMLNGAFFTPSGVGASSSYAKASSLGARPSSLVGSITLSAGVLSCKKGSVC >DRNTG_02431.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000115.1:59468:64582:1 gene:DRNTG_02431 transcript:DRNTG_02431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGQGGSDLKESSSPAAVMDAPELDVKAMIHSHCLFFDRLVELIPARFYLPAPEDKPWFQGLSKAAKASAKRESRENLKKARRARHDPSSPSTTLDLLRKSIQPAEPEALATEVALTSDRAGTLEELRHRYRLKMEELRSNRNTKNPVKPRVEKEKKKKVGDRLEGKRMREERVVDDGDAKKVNKKVKVEGGGEALQDLSFSVVKIGDEEGKGKRRRVSKVKALHRAERLEEAKKDPEKGEMVAKNHSWKAAVDRAAGVKVHDDPKLLKESMKKERRKRQKHAEKWKERVQSRDQKREEKQKTRSDNIKERIQQKKMRKIEKREKKLMRPGFEGRREGYINDQ >DRNTG_02431.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000115.1:59468:64582:1 gene:DRNTG_02431 transcript:DRNTG_02431.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGQGGSDLKESSSPAAVMDAPELDVKAMIHSHCLFFDRLVELIPARFYLPAPEDKPWFQGLSKAAKASAKRESRENLKKARRARHDPSSPSTTLDLLRKSIQPAEPEALATEVALTSDRAGTLEELRHRYRLKMEELRSNRNTKNPVKPRVEKEKKKKVGDRLEGKRMREERVVDDGDAKKVNKKVKVEGGGEALQDLSFSVVKIGDEEGKGKRRRVSKVKALHRAERLEEAKKDPEKGEMVAKNHSWKAAVDRAAGVKVHDDPKLLKESMKKERRKRQKHAEKWKERVQSRDQKREEKQKTRSDNIKERIQQKKMRKIEKREKKLMRPGFEGRREGYINDQ >DRNTG_02431.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000115.1:59468:61125:1 gene:DRNTG_02431 transcript:DRNTG_02431.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGQGGSDLKESSSPAAVMDAPELDVKAMIHSHCLFFDRLVELIPARFYLPAPEDKPWFQGLSKAAKASAKRESRENLKKARRARHDPSSPSTTLDLLRKSIQPAEPEALATEVALTSDRAGTLEELRHRYRLKMEELRSNRNTKNPVKPRVEKEKKKKVGDRLEGKRMREERVVDDGDAKKVNKKVKVEGGGEALQDLSFSVVKIGDEEGKGKRRRVSKVKALHRAERLEEAKKDPEKGEMVAKNHSWKAAVDRAAGVKVHDDPKLLKESMKKERRKRQKHAEKWKERVQSRDQKREEKQKTRSDNIKERIQQKKMRKIEKREKKLMRPGFEGRREGYINDQ >DRNTG_02431.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000115.1:59259:61125:1 gene:DRNTG_02431 transcript:DRNTG_02431.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGQGGSDLKESSSPAAVMDAPELDVKAMIHSHCLFFDRLVELIPARFYLPAPEDKPWFQGLSKAAKASAKRESRENLKKARRARHDPSSPSTTLDLLRKSIQPAEPEALATEVALTSDRAGTLEELRHRYRLKMEELRSNRNTKNPVKPRVEKEKKKKVGDRLEGKRMREERVVDDGDAKKVNKKVKVEGGGEALQDLSFSVVKIGDEEGKGKRRRVSKVKALHRAERLEEAKKDPEKGEMVAKNHSWKAAVDRAAGVKVHDDPKLLKESMKKERRKRQKHAEKWKERVQSRDQKREEKQKTRSDNIKERIQQKKMRKIEKREKKLMRPGFEGRREGYINDQ >DRNTG_02431.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000115.1:59468:61286:1 gene:DRNTG_02431 transcript:DRNTG_02431.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGQGGSDLKESSSPAAVMDAPELDVKAMIHSHCLFFDRLVELIPARFYLPAPEDKPWFQGLSKAAKASAKRESRENLKKARRARHDPSSPSTTLDLLRKSIQPAEPEALATEVALTSDRAGTLEELRHRYRLKMEELRSNRNTKNPVKPRVEKEKKKKVGDRLEGKRMREERVVDDGDAKKVNKKVKVEGGGEALQDLSFSVVKIGDEEGKGKRRRVSKVKALHRAERLEEAKKDPEKGEMVAKNHSWKAAVDRAAGVKVHDDPKLLKESMKKERRKRQKHAEKWKERVQSRDQKREEKQKTRSDNIKERIQQKKMRKIEKREKKLMRPGFEGRREGYINDQ >DRNTG_17078.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17173388:17174714:-1 gene:DRNTG_17078 transcript:DRNTG_17078.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQVSKQLERRKAIDAEKKILTDLLQSSGDQFPGSDYHPSDHKTWMSSLDPSKVHIRNILFPGTHDSATNKIGIPFISRPFAQCQSSSIYRQLCRGARVLDVRVNQDRRVCHGILVTYPIDVVLDSIKRFLSETTSEILILEIRTEFGYTDPPDFDTFLSDQLSDLLIHHDDNVFDKTIAELLPRRIICIWKPRKSPAPKPGGPLWSAGYLRDNWIDTDLPNTKFESNMKHLSEQQPVSSRKYFYRVENTVTPQADNPVVCVRPVTDRIHGYARLFISQAFARGFADRLQVFSTDFIDEDFVDACAGVTHARLEGKA >DRNTG_17078.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17173280:17174629:-1 gene:DRNTG_17078 transcript:DRNTG_17078.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQVSKQLERRKAIDAEKKILTDLLQSSGDQFPGSDYHPSDHKTWMSSLDPSKVHIRNILFPGTHDSATNKIGIPFISRPFAQCQSSSIYRQLCRGARVLDVRVNQDRRVCHGILVTYPIDVVLDSIKRFLSETTSEILILEIRTEFGYTDPPDFDTFLSDQLSDLLIHHDDNVFDKTIAELLPRRIICIWKPRKSPAPKPGGPLWSAGYLRDNWIDTDLPNTKFESNMKHLSEQQPVSSRKYFYRVENTVTPQADNPVVCVRPVTDRIHGYARLFISQAFARGFADRLQVFSTDFIDEDFVDACAGVTHARLEGKA >DRNTG_17078.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17172993:17174714:-1 gene:DRNTG_17078 transcript:DRNTG_17078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQVSKQLERRKAIDAEKKILTDLLQSSGDQFPGSDYHPSDHKTWMSSLDPSKVHIRNILFPGTHDSATNKIGIPFISRPFAQCQSSSIYRQLCRGARVLDVRVNQDRRVCHGILVTYPIDVVLDSIKRFLSETTSEILILEIRTEFGYTDPPDFDTFLSDQLSDLLIHHDDNVFDKTIAELLPRRIICIWKPRKSPAPKPGGPLWSAGYLRDNWIDTDLPNTKFESNMKHLSEQQPVSSRKYFYRVENTVTPQADNPVVCVRPVTDRIHGYARLFISQAFARGFADRLQVFSTDFIDEDFVDACAGVTHARLEGKA >DRNTG_17078.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17173388:17174629:-1 gene:DRNTG_17078 transcript:DRNTG_17078.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQVSKQLERRKAIDAEKKILTDLLQSSGDQFPGSDYHPSDHKTWMSSLDPSKVHIRNILFPGTHDSATNKIGIPFISRPFAQCQSSSIYRQLCRGARVLDVRVNQDRRVCHGILVTYPIDVVLDSIKRFLSETTSEILILEIRTEFGYTDPPDFDTFLSDQLSDLLIHHDDNVFDKTIAELLPRRIICIWKPRKSPAPKPGGPLWSAGYLRDNWIDTDLPNTKFESNMKHLSEQQPVSSRKYFYRVENTVTPQADNPVVCVRPVTDRIHGYARLFISQAFARGFADRLQVFSTDFIDEDFVDACAGVTHARLEGKA >DRNTG_17078.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17173280:17174714:-1 gene:DRNTG_17078 transcript:DRNTG_17078.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQVSKQLERRKAIDAEKKILTDLLQSSGDQFPGSDYHPSDHKTWMSSLDPSKVHIRNILFPGTHDSATNKIGIPFISRPFAQCQSSSIYRQLCRGARVLDVRVNQDRRVCHGILVTYPIDVVLDSIKRFLSETTSEILILEIRTEFGYTDPPDFDTFLSDQLSDLLIHHDDNVFDKTIAELLPRRIICIWKPRKSPAPKPGGPLWSAGYLRDNWIDTDLPNTKFESNMKHLSEQQPVSSRKYFYRVENTVTPQADNPVVCVRPVTDRIHGYARLFISQAFARGFADRLQVFSTDFIDEDFVDACAGVTHARLEGKA >DRNTG_17078.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17172993:17174629:-1 gene:DRNTG_17078 transcript:DRNTG_17078.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQVSKQLERRKAIDAEKKILTDLLQSSGDQFPGSDYHPSDHKTWMSSLDPSKVHIRNILFPGTHDSATNKIGIPFISRPFAQCQSSSIYRQLCRGARVLDVRVNQDRRVCHGILVTYPIDVVLDSIKRFLSETTSEILILEIRTEFGYTDPPDFDTFLSDQLSDLLIHHDDNVFDKTIAELLPRRIICIWKPRKSPAPKPGGPLWSAGYLRDNWIDTDLPNTKFESNMKHLSEQQPVSSRKYFYRVENTVTPQADNPVVCVRPVTDRIHGYARLFISQAFARGFADRLQVFSTDFIDEDFVDACAGVTHARLEGKA >DRNTG_18621.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2899315:2901833:-1 gene:DRNTG_18621 transcript:DRNTG_18621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMLQSTDDVPVNIGFTGKGNSAKPEGLTEIVKAGAMGLKLQEDWGSTPAAINNCLAVAEIFDIQVNIHTDTLNESGCVEHTIDAFKERTIHTCHSEGAGGGHAPDIIKVCGVKNALPSSTNPTRPFTSNTVDEHLDMLMVSRHLDKNIKEDVAFAESHIRAETIAAEDILHDMGAISIITSGSQGMGRVGEVITRTWQTADKMKVQRGRSIEPNGCENDNFRIKRYIAKYTINPAIANGFSNYVGSVEIGKIADLVMWKPSFFGAKPEMVIKGGVIAWANMGDPNASIPTPEPVMMRPMFGAFGKAASVN >DRNTG_20768.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3700085:3701439:-1 gene:DRNTG_20768 transcript:DRNTG_20768.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVHQHSEKVNLLPTINKVVAKVNRKKRMKILKTRRSQSSTYTKPISPTITTDRARTRNIETNILHGNDEVETINTPRLSFDEVHEASTSKTYGNIQKITMATQHKSKYYSAFAH >DRNTG_04207.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7391319:7395970:-1 gene:DRNTG_04207 transcript:DRNTG_04207.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ISA2 [Source:Projected from Arabidopsis thaliana (AT1G03310) UniProtKB/TrEMBL;Acc:A0A178WGA8] MDIFSLPISPWYFGLVNKGTRKMPLLMHAEQQVFPHRRHIFGAKLKNNATVICKGIQNFKTSAAPTKSIDQLEQVTSISDTDEELGKVASYLFRTEVGGLVKVLVGAGSIKYSVIVEVSSLPNFTSDHKLVMCWGIFRSDSACFLVPDHESLASETTKLRDESRLDSFRTPLSQNSSGIYTAKLEFDSAQIPFYITFSLVSSSVAAATESEIRTHQKTSFCVPVGIGRGHPLPLGVSFSDDNLMNFSLFSRNGEGVVLCLYDGTTNQPALEIELDPYVNRTGDIWHISMEQTGKYVKYGYRCKGSVIWEIGSRFHTRHVLLDPYAKKIGKISFNESQSVSSVKYLGCLDDDPAFDWNGDVHPMLPIQKMAVYRLNICNFTEDKSSGLSKNVAGTFSGVIHKLQHIKSLGFNAILFEPIFSFDEQKGPYYPFHFFSVMNSCGREGNLELANNSVKKMIKTLHENGMEVLLEVVFSHTSEGGDAACQTTSFRGIDNSSYYIVEGDIGSGINNALKCNSPVVQQMILDSLRHWVTDFHVDGFCFINAAHLLRGVNGKYLSRPPLVEAIAFDPLLSKTKIIADCWSPLDLSFMKIDFPHWKRWAEMNRNFCVDVRNFVRGQGSLSDLATRLCGSGDVFSDSRGPAFSFNFIARNSGLPLVDLVSFSADDLALELSWNCGVEGPTNNSLILGTRLKQIRNFLFILFVSLGVPVLNMGDEFGYSADGLTLHGDRKPLNWSYLKTGFGSQIMQFIAFLNSLRTRRSDLFQKKDFLNAENIKWHGSNDSPPKWDDPSCKFLAMTLKAEKHETTPSSSNNDIFVSFNTSNHRDIVVLPEVSEGTIWRRLVDTALPFPGFFSDISDSDPLEIAGLSEYRMGSHSCALFEARDKIALIVNDT >DRNTG_04207.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7391319:7395970:-1 gene:DRNTG_04207 transcript:DRNTG_04207.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ISA2 [Source:Projected from Arabidopsis thaliana (AT1G03310) UniProtKB/TrEMBL;Acc:A0A178WGA8] MDIFSLPISPWYFGLVNKGTRKMPLLMHAEQQVFPHRRHIFGAKLKNNATVICKGIQNFKTSAAPTKSIDQLEQVTSISDTDEELGKVASYLFRTEVGGLVKVLVGAGSIKYSVIVEVSSLPNFTSDHKLVMCWGIFRSDSACFLVPDHESLASETTKLRDESRLDSFRTPLSQNSSGIYTAKLEFDSAQIPFYITFSLVSSSVAAATESEIRTHQKTSFCVPVGIGRGHPLPLGVSFSDDNLMNFSLFSRNGEGVVLCLYDGTTNQPALEIELDPYVNRTGDIWHISMEQTGKYVKYGYRCKGSVIWEIGSRFHTRHVLLDPYAKKIGKISFNESQSVSSVKYLGCLDDDPAFDWNGDVHPMLPIQKMAVYRLNICNFTEDKSSGLSKNVAGTFSGVIHKLQHIKSLGFNAILFEPIFSFDEQKGPYYPFHFFSVMNSCGREGNLELANNSVKKMIKTLHENGMEVLLEVVFSHTSEGGDAACQTTSFRGIDNSSYYIVEGDIGSGINNALKCNSPVVQQMILDSLRHWVTDFHVDGFCFINAAHLLRGVNGKYLSRPPLVEAIAFDPLLSKTKIIADCWSPLDLSFMKIDFPHWKRWAEMNRNFCVDVRNFVRGQGSLSDLATRLCGSGDVFSDSRGPAFSFNFIARNSGLPLVDLVSFSADDLALELSWNCGVEGPTNNSLILGTRLKQIRNFLFILFVSLGVPVLNMGDEFGYSADGLTLHGDRKPLNWSYLKTGFGSQIMQFIAFLNSLRTRRSDLFQKKDFLNAENIKWHGSNDSPPKWDDPSCKFLAMTLKAEKHETTPSSSNNDIFVSFNTSNHRDIVVLPEVSEGTIWRRLVDTALPFPGFFSDISDSDPLEIAGLSEYRMGSHSCALFEARDKIALIVNDT >DRNTG_19057.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13556047:13556670:1 gene:DRNTG_19057 transcript:DRNTG_19057.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASATAIKELERDLEAQAKALSKIQKDFSKNHQVRKQYTIQLGENELVHKELDLLKEDANVYKLIGLMVVKQDNTDNTEARGHARSTLL >DRNTG_19057.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13556047:13556670:1 gene:DRNTG_19057 transcript:DRNTG_19057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATAIKELERDLEAQAKALSKIQKGKHPSPSTSISLQISKP >DRNTG_17187.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:438670:449350:1 gene:DRNTG_17187 transcript:DRNTG_17187.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSMSLDSDLDDSAYSKLMFALSSKNLEVTPEKIRSFLPSVNWDRLGSMYLTGRSGAECEARWLNHEDPLINHNPWTILEDKKLLFIVQERGLYNWIDIAITLGTHRTPFQCLARYQRSLNPHILKKDWTEEEDAMLRAAVENFGDNNWQMVSYSLEGRTGPQCSNRWRKSILPDRRVGRWSIDEDKWLKVAVMLFGAKNWNKIARFAPGRTQVQCRERWLNCLDPSLNLEAWTAEEDAKLLDAINMYGYCWSKVATCVPPRTDSQCRRRWKVLLPHEVPLLQAARQIKRIALVSNFVDRESERPSIGPNDFCPSANPPVAEKEKSGRTRMKRPSNNLSKKRRPKSREPDQNAIADDTINSSEDNALAIFPLISLSKALNTGEMRDIKRPRNKASRYHPSDGSIYSIQ >DRNTG_17187.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:440624:449350:1 gene:DRNTG_17187 transcript:DRNTG_17187.12 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSMSLDSDLDDSAYSKLMFALSSKNLEVTPEKIRSFLPSVNWDRLGSMYLTGRSGAECEARWLNHEDPLINHNPWTILEDKKLLFIVQERGLYNWIDIAITLGTHRTPFQCLARYQRSLNPHILKKDWTEEEDAMLRAAVENFGDNNWQMVSYSLEGRTGPQCSNRWRKSILPDRRVGRWSIDEDKWLKVAVMLFGAKNWNKIARFAPGRTQVQCRERWLNCLDPSLNLEAWTAEEDAKLLDAINMYGYCWSKVATCVPPRTDSQCRRRWKVLLPHEVPLLQAARQIKRIALVSNFVDRESERPSIGPNDFCPSANPPVAEKEKSGRTRMKRPSNNLSKKRRPKSREPDQNAIADDTINSSEDNALAIFPLISLSKALNTGEMRDIKRPRNKASRYHPSDGSIYSIQ >DRNTG_17187.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:438670:449350:1 gene:DRNTG_17187 transcript:DRNTG_17187.10 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSMSLDSDLDDSAYSKLMFALSSKNLEVTPEKIRSFLPSVNWDRLGSMYLTGRSGAECEARWLNHEDPLINHNPWTILEDKKLLFIVQERGLYNWIDIAITLGTHRTPFQCLARYQRSLNPHILKKDWTEEEDAMLRAAVENFGDNNWQMVSYSLEGRTGPQCSNRWRKSILPDRRVGRWSIDEDKWLKVAVMLFGAKNWNKIARFAPGRTQVQCRERWLNCLDPSLNLEAWTAEEDAKLLDAINMYGYCWSKVATCVPPRTDSQCRRRWKVLLPHEVPLLQAARQIKRIALVSNFVDRESERPSIGPNDFCPSANPPVAEKEKSGRTRMKRPRERTKSKKQLEANRNNLSKKRRPKSREPDQNAIADDTINSSEDNALAIFPLISLSKALNTGEMRDIKRPRNKASRYHPSDGSIYSIQ >DRNTG_17187.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:438670:440588:1 gene:DRNTG_17187 transcript:DRNTG_17187.13 gene_biotype:protein_coding transcript_biotype:protein_coding LPISHSCRSSPKPNLGVAGEDQPWCRSGAGRRKEEGD >DRNTG_17187.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:438670:449350:1 gene:DRNTG_17187 transcript:DRNTG_17187.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASSLYSSSSDCDESLADDLNALRQALILTGADAEALSGGPLVSDSGSDSADEDIDLLRDLQSRFLSVPVEDFSSPLSNTLDSDDDSDEDLATLRAIQHRFSQYEASQDREEDKEASWDSSRLGLQSQFPKSAECFVEALKKNRACQRFMREKLMEIEAKIEKNKNLKERVKCLMEFQVDSRKKTGRILGQQKDTCARLVSMRMTASMSKKKSSRKVPVLCLGPPENSSVSDYKLILKRFPFSTNRHAWSNEEREKLAKGIRQQYQELMVLSSMSLDSDLDDSAYSKLMFALSSKNLEVTPEKIRSFLPSVNWDRLGSMYLTGRSGAECEARWLNHEDPLINHNPWTILEDKKLLFIVQERGLYNWIDIAITLGTHRTPFQCLARYQRSLNPHILKKDWTEEEDAMLRAAVENFGDNNWQMVSYSLEGRTGPQCSNRWRKSILPDRRVGRWSIDEDKWLKVAVMLFGAKNWNKIARFAPGRTQVQCRERWLNCLDPSLNLEAWTAEEDAKLLDAINMYGYCWSKVATCVPPRTDSQCRRRWKVLLPHEVPLLQAARQIKRIALVSNFVDRESERPSIGPNDFCPSANPPVAEKEKSGRTRMKRPRERTKSKKQLEANRNNLSKKRRPKSREPDQNAIADDTINSSEDNALAIFPLISLSKALNTGEMRDIKRPRNKASRSKHGRK >DRNTG_17187.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:438670:449350:1 gene:DRNTG_17187 transcript:DRNTG_17187.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSMSLDSDLDDSAYSKLMFALSSKNLEVTPEKIRSFLPSVNWDRLGSMYLTGRSGAECEARWLNHEDPLINHNPWTILEDKKLLFIVQERGLYNWIDIAITLGTHRTPFQCLARYQRSLNPHILKKDWTEEEDAMLRAAVENFGDNNWQMVSYSLEGRTGPQCSNRWRKSILPDRRVGRWSIDEDKWLKVAVMLFGAKNWNKIARFAPGRTQVQCRERWLNCLDPSLNLEAWTAEEDAKLLDAINMYGYCWSKVATCVPPRTDSQCRRRWKVLLPHEVPLLQAARQIKRIALVSNFVDRESERPSIGPNDFCPSANPPVAEKEKSGRTRMKRPRERTKSKKQLEANRNNLSKKRRPKSREPDQNAIADDTINSSEDNALAIFPLISLSKALNTGEMRDIKRPRNKASRYHPSDGSIYSIQ >DRNTG_17187.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:438670:449350:1 gene:DRNTG_17187 transcript:DRNTG_17187.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASSLYSSSSDCDESLADDLNALRQALILTGADAEALSGGPLVSDSGSDSADEDIDLLRDLQSRFLSVPVEDFSSPLSNTLDSDDDSDEDLATLRAIQHRFSQYEASQDREEDKEASWDSSRLGLQSQFPKSAECFVEALKKNRACQRFMREKLMEIEAKIEKNKNLKERVKCLMEFQVDSRKKTGRILGQQKDTCARLVSMRMTASMSKKKSSRKVPVLCLGPPENSSVSDYKLILKRFPFSTNRHAWSNEEREKLAKGIRQQYQELMVLSSMSLDSDLDDSAYSKLMFALSSKNLEVTPEKIRSFLPSVNWDRLGSMYLTGRSGAECEARWLNHEDPLINHNPWTILEDKKLLFIVQERGLYNWIDIAITLGTHRTPFQCLARYQRSLNPHILKKDWTEEEDAMLRAAVENFGDNNWQMVSYSLEGRTGPQCSNRWRKSILPDRRVGRWSIDEDKWLKVAVMLFGAKNWNKIARFAPGRTQVQCRERWLNCLDPSLNLEAWTAEEDAKLLDAINMYGYCWSKVATCVPPRTDSQCRRRWKVLLPHEVPLLQAARQIKRIALVSNFVDRESERPSIGPNDFCPSANPPVAEKEKSGRTRMKRPSNNLSKKRRPKSREPDQNAIADDTINSSEDNALAIFPLISLSKALNTGEMRDIKRPRNKASRYHPSDGSIYSIQ >DRNTG_17187.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:438670:449350:1 gene:DRNTG_17187 transcript:DRNTG_17187.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSMSLDSDLDDSAYSKLMFALSSKNLEVTPEKIRSFLPSVNWDRLGSMYLTGRSGAECEARWLNHEDPLINHNPWTILEDKKLLFIVQERGLYNWIDIAITLGTHRTPFQCLARYQRSLNPHILKKDWTEEEDAMLRAAVENFGDNNWQMVSYSLEGRTGPQCSNRWRKSILPDRRVGRWSIDEDKWLKVAVMLFGAKNWNKIARFAPGRTQVQCRERWLNCLDPSLNLEAWTAEEDAKLLDAINMYGYCWSKVATCVPPRTDSQCRRRWKVLLPHEVPLLQAARQIKRIALVSNFVDRESERPSIGPNDFCPSANPPVAEKEKSGRTRMKRPRERTKSKKQLEANRNNLSKKRRPKSREPDQNAIADDTINSSEDNALAIFPLISLSKALNTGEMRDIKRPRNKASRYHPSDGSIYSIQ >DRNTG_17187.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:438670:449350:1 gene:DRNTG_17187 transcript:DRNTG_17187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASSLYSSSSDCDESLADDLNALRQALILTGADAEALSGGPLVSDSGSDSADEDIDLLRDLQSRFLSVPVEDFSSPLSNTLDSDDDSDEDLATLRAIQHRFSQYEASQDREEDKEASWDSSRLGLQSQFPKSAECFVEALKKNRACQRFMREKLMEIEAKIEKNKNLKERVKCLMEFQVDSRKKTGRILGQQKDTCARLVSMRMTASMSKKKSSRKVPVLCLGPPENSSVSDYKLILKRFPFSTNRHAWSNEEREKLAKGIRQQYQELMVLSSMSLDSDLDDSAYSKLMFALSSKNLEVTPEKIRSFLPSVNWDRLGSMYLTGRSGAECEARWLNHEDPLINHNPWTILEDKKLLFIVQERGLYNWIDIAITLGTHRTPFQCLARYQRSLNPHILKKDWTEEEDAMLRAAVENFGDNNWQMVSYSLEGRTGPQCSNRWRKSILPDRRVGRWSIDEDKWLKVAVMLFGAKNWNKIARFAPGRTQVQCRERWLNCLDPSLNLEAWTAEEDAKLLDAINMYGYCWSKVATCVPPRTDSQCRRRWKVLLPHEVPLLQAARQIKRIALVSNFVDRESERPSIGPNDFCPSANPPVAEKEKSGRTRMKRPRERTKSKKQLEANRNNLSKKRRPKSREPDQNAIADDTINSSEDNALAIFPLISLSKALNTGEMRDIKRPRNKASRYHPSDGSIYSIQ >DRNTG_17187.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:438670:449350:1 gene:DRNTG_17187 transcript:DRNTG_17187.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASSLYSSSSDCDESLADDLNALRQALILTGADAEALSGGPLVSDSGSDSADEDIDLLRDLQSRFLSVPVEDFSSPLSNTLDSDDDSDEDLATLRAIQHRFSQYEASQDREEDKEASWDSSRLGLQSQFPKSAECFVEALKKNRACQRFMREKLMEIEAKIEKNKNLKERVKCLMEFQVDSRKKTGRILGQQKDTCARLVSMRMTASMSKKKSSRKVPVLCLGPPENSSVSDYKLILKRFPFSTNRHAWSNEEREKLAKGIRQQYQELMVLSSMSLDSDLDDSAYSKLMFALSSKNLEVTPEKIRSFLPSVNWDRLGSMYLTGRSGAECEARWLNHEDPLINHNPWTILEDKKLLFIVQERGLYNWIDIAITLGTHRTPFQCLARYQRSLNPHILKKDWTEEEDAMLRAAVENFGDNNWQMVSYSLEGRTGPQCSNRWRKSILPDRRVGRWSIDEDKWLKVAVMLFGAKNWNKIARFAPGRTQVQCRERWLNCLDPSLNLEAWTAEEDAKLLDAINMYGYCWSKVATCVPPRTDSQCRRRWKVLLPHEVPLLQAARQIKRIALVSNFVDRESERPSIGPNDFCPSANPPVAEKEKSGRTRMKRPRERTKSKKQLEANRNNLSKKRRPKSREPDQNAIADDTINSSEDNALAIFPLISLSKALNTGEMRDIKRPRNKASRYHPSDGSIYSIQ >DRNTG_17187.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:438670:449350:1 gene:DRNTG_17187 transcript:DRNTG_17187.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSMSLDSDLDDSAYSKLMFALSSKNLEVTPEKIRSFLPSVNWDRLGSMYLTGRSGAECEARWLNHEDPLINHNPWTILEDKKLLFIVQERGLYNWIDIAITLGTHRTPFQCLARYQRSLNPHILKKDWTEEEDAMLRAAVENFGDNNWQMVSYSLEGRTGPQCSNRWRKSILPDRRVGRWSIDEDKWLKVAVMLFGAKNWNKIARFAPGRTQVQCRERWLNCLDPSLNLEAWTAEEDAKLLDAINMYGYCWSKVATCVPPRTDSQCRRRWKVLLPHEVPLLQAARQIKRIALVSNFVDRESERPSIGPNDFCPSANPPVAEKEKSGRTRMKRPSNNLSKKRRPKSREPDQNAIADDTINSSEDNALAIFPLISLSKALNTGEMRDIKRPRNKASRYHPSDGSIYSIQ >DRNTG_17187.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:438670:449350:1 gene:DRNTG_17187 transcript:DRNTG_17187.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASSLYSSSSDCDESLADDLNALRQALILTGADAEALSGGPLVSDSGSDSADEDIDLLRDLQSRFLSVPVEDFSSPLSNTLDSDDDSDEDLATLRAIQHRFSQYEASQDREEDKEASWDSSRLGLQSQFPKSAECFVEALKKNRACQRFMREKLMEIEAKIEKNKNLKERVKCLMEFQVDSRKKTGRILGQQKDTCARLVSMRMTASMSKKKSSRKVPVLCLGPPENSSVSDYKLILKRFPFSTNRHAWSNEEREKLAKGIRQQYQELMVLSSMSLDSDLDDSAYSKLMFALSSKNLEVTPEKIRSFLPSVNWDRLGSMYLTGRSGAECEARWLNHEDPLINHNPWTILEDKKLLFIVQERGLYNWIDIAITLGTHRTPFQCLARYQRSLNPHILKKDWTEEEDAMLRAAVENFGDNNWQMVSYSLEGRTGPQCSNRWRKSILPDRRVGRWSIDEDKWLKVAVMLFGAKNWNKIARFAPGRTQVQCRERWLNCLDPSLNLEAWTAEEDAKLLDAINMYGYCWSKVATCVPPRTDSQCRRRWKVLLPHEVPLLQAARQIKRIALVSNFVDRESERPSIGPNDFCPSANPPVAEKEKSGRTRMKRPRERTKSKKQLEANRNNLSKKRRPKSREPDQNAIADDTINSSEDNALAIFPLISLSKALNTGEMRDIKRPRNKASRYHPSDGSIYSIQ >DRNTG_17187.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:438670:449350:1 gene:DRNTG_17187 transcript:DRNTG_17187.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSMSLDSDLDDSAYSKLMFALSSKNLEVTPEKIRSFLPSVNWDRLGSMYLTGRSGAECEARWLNHEDPLINHNPWTILEDKKLLFIVQERGLYNWIDIAITLGTHRTPFQCLARYQRSLNPHILKKDWTEEEDAMLRAAVENFGDNNWQMVSYSLEGRTGPQCSNRWRKSILPDRRVGRWSIDEDKWLKVAVMLFGAKNWNKIARFAPGRTQVQCRERWLNCLDPSLNLEAWTAEEDAKLLDAINMYGYCWSKVATCVPPRTDSQCRRRWKVLLPHEVPLLQAARQIKRIALVSNFVDRESERPSIGPNDFCPSANPPVAEKEKSGRTRMKRPRERTKSKKQLEANRNNLSKKRRPKSREPDQNAIADDTINSSEDNALAIFPLISLSKALNTGEMRDIKRPRNKASRYHPSDGSIYSIQ >DRNTG_28005.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001422.1:61566:63026:-1 gene:DRNTG_28005 transcript:DRNTG_28005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNWRALLEKDQLRIEQRSGRVFRGWSEGRIYFPPTYKYSNNSDRYTGDEMHPKEKRRTPAWCDRILWYGNGLNQLSYVRGESRFSDHRPVYSIFSAEVELVNPNLIKNMVCSSARVEVEELLPYSHGYAELSFF >DRNTG_20598.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18340780:18348578:1 gene:DRNTG_20598 transcript:DRNTG_20598.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGAAVSPGRALRQWDEERGSDAPDNVISDDAFDIPAKNASIQRLRRWRQAALVLNASRRFRYTLDLKKEEEKEQIRRKIRAHAQVIRAALLFKEAGEKGGPGISGGSPILPAGGHGIAMEQLTSMTRDHEFSALQEYGGVKGLSDKLKTNLDKGII >DRNTG_20598.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18340780:18348578:1 gene:DRNTG_20598 transcript:DRNTG_20598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGAAVSPGRALRQWDEERGSDAPDNVISDDAFDIPAKNASIQRLRRWRQAALVLNASRRFRYTLDLKKEEEKEQIRRKIRAHAQVIRAALLFKEAGEKGGPGISGGSPILPAGGHGIAMEQLTSMTRDHEFSALQEYGGVKGLSDKLKTNLDKGII >DRNTG_05977.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000273.1:39467:41307:1 gene:DRNTG_05977 transcript:DRNTG_05977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVFKTIKENKKKKKLFLFCSSIQCSSFGDLTQEMAAEIPLLTSYKMGKFDLSHRVVLAPLTRSRSYGNVPQHHAILYYSQRASKGGLLITEATGVSDTAQGYPETPGIWTKEQVEAWKPIVKAVHDKGGVFFCQLWHVGRVSNYGYQPNGQSPISSTDRPIPPQTHHDGTVEEYSTPRRVRTDEIPQIVNDFRLAARNATEAGFDGIEIHGAHGYLLEQFMKDSANDRTDEYGGSLENRCRFAIEVIEAIVNEIGADRVGIRLSPFADYMEAWDSNPEALGLYMVQALNKFGILYCHMVEPRMAIIDGRRQIPHRLLNLRKAFNGTFIAAGGYDRDEGNKVVAEGYTDLIAFGRLFLANPDLPKRFELDAQLNKYNRFTFYTPDPVVGYTDYPFLNEPSA >DRNTG_09606.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000402.1:34821:42659:-1 gene:DRNTG_09606 transcript:DRNTG_09606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGLGFKRKRFSRNGFSRSSKKIMSKANAYVGSGAIPTLLNTTINTLEGIADFIKLSPTKKRIKKILALLGRRFLGVLQNNLSSHLLSLAGKLDQKLRTSGKITYCGRELSEFIPHRTCAYISQHELHNGQITVRETLDFSGRCLGPSTLGWPPSETFDETTPNTNQDVKRPSMFHAAHRYKKPQRHLVAH >DRNTG_26795.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19571636:19572185:-1 gene:DRNTG_26795 transcript:DRNTG_26795.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYYGENTYRKKTMIETSLSSLEEKEFPELVPANADEDIFVWANRRVDAITPEPLARRQDERATSSVRARRRSPTSNPTRARIPRHWRSPPLPRQIAIPPRPRQR >DRNTG_04308.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8025970:8026366:1 gene:DRNTG_04308 transcript:DRNTG_04308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAECCSMTHFLGKTLGKWELLEGQFFLLGLLGHRPLFPPAIYYARLHQEGGKFWRRRLGQDPRHQRHHLRGDLFKSSRSSPSKGI >DRNTG_31970.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:631776:635971:-1 gene:DRNTG_31970 transcript:DRNTG_31970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKRSRDMDEDESSQEEVESDYLEEMMDGEEGGSDDYGNSQEEADSDHLEYMEGEGDGRDDEQQNAEMEELERQYQHLQHEEQDHLKNLKRHKDEDALKGQAIKNQKALWDKTLEFRFLLQKVFSTSNKLPQESIRSSFCIPGTEVDQAYSDLISSSKQTLNCMLELQEVLLENNPSITQDDSRKESGKHEVLSTNLEGDGDEDWLQIHEMHSRIAPFRNISVDKWQRKTQVTTGAAAFKGKLQAFNQNISEQVAGYLRDPDKMIKRMQLKASSVGVFGKVSESDGTSKEDTNTDGDPELIDDSEFYQQLLKEFLESCDPTSSEAAFYALRKMQTKKRKMVDRRASKSRKIRYNVHEKIANFMAPVPMVLPAMAPKLFENLFGLGNRKSTSAA >DRNTG_29066.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1694331:1695501:1 gene:DRNTG_29066 transcript:DRNTG_29066.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSYALHHALITYKFITFLLPQLGRLPQLKYLKIWGATSVISIGCEFLGNGKLAASAFPKLEYLILSDMTNWEQWSLVSGEEDNEIDSSKQIHFPRLHKIVISRCPKLKALPKGLNHVQELEIIGAHSLSRVSDLPALRELQVKNCPMLDCVEKLESLQSLKKSDKGDDSLPNWLISFLQQREKHQDNRFHLHLKCSAQALKGCLKGRPHWCFLQHIPRLEAYAENESMYLKYTKEPFSYQTNLDEDTTQLMRTRVKV >DRNTG_29066.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1694331:1698217:1 gene:DRNTG_29066 transcript:DRNTG_29066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIIQVFDELCPPPCLDHLQIHYFFGEKYPQWMSSNSISTALPELTYLLLINCSYCPQLPQLGRLPQLKYLKIWGATSVISIGCEFLGNGKLAASAFPKLEYLILSDMTNWEQWSLVSGEEDNEIDSSKQIHFPRLHKIVISRCPKLKALPKGLNHVQELEIIGAHSLSRVSDLPALRELQVKNCPMLDCVEKLESLQSLKKSDKGDDSLPNWLISFLQQREKHQDNRFHLHLKCSAQALKGCLKGRPHWCFLQHIPRLEAYAENESMYLKYTKEPFSYQTNLDEDTTQLMRTRVKV >DRNTG_29066.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1694331:1698217:1 gene:DRNTG_29066 transcript:DRNTG_29066.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIIQVFDELCPPPCLDHLQIHYFFGEKYPQWMSSNSISTALPELTYLLLINCSYCPQLPQLGRLPQLKYLKIWGATSVISIGCEFLGNGKLAASAFPKLEYLILSDMTNWEQWSLVSGEEDNEIDSSKQIHFPRLHKIVISRCPKLKALPKGLNHVQELEIIGAHSLSRVSDLPALRELQVKNCPMLDCVEKLESLQSLKKSDKGDDSLPNWLISFLQQREKHQDNRFHLHLKCSAQALKGCLKGRPHWCFLQHIPRLEAYAENESMYLKYTKEPFSYQTNLDEDTTQLMRTRVKV >DRNTG_29066.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1694331:1698217:1 gene:DRNTG_29066 transcript:DRNTG_29066.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIIQVFDELCPPPCLDHLQIHYFFGEKYPQWMSSNSISTALPELTYLLLINCSYCPQLPQLGRLPQLKYLKIWGATSVISIGCEFLGNGKLAASAFPKLEYLILSDMTNWEQWSLVSGEEDNEIDSSKQIHFPRLHKIVISRCPKLKALPKGLNHVQELEIIGAHSLSRVSDLPALRELQVKNCPMLDCVEKLESLQSLKKSDKGDDSLPNWLISFLQQREKHQDNRFHLHLKCSAQALKGCLKGRPHWCFLQHIPRLEAYAENESMYLKYTKEPFSYQTNLDEDTTQLMRTRVKV >DRNTG_20386.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19090787:19091640:1 gene:DRNTG_20386 transcript:DRNTG_20386.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPFTKQEQQMALEEEKRAIKEKKNLKEAGVIGSTVDALGGAASLVGSGIGAGVGMVGTGIGAGVGLVGSGLGAGAGLVGSGIGAGAGIVGSGIGAGAGFVGSGIGAVGSGLSKAGKFVGRSVTGQFSSSKRHGGQPNSGHADPNGS >DRNTG_20386.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19070958:19091640:1 gene:DRNTG_20386 transcript:DRNTG_20386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISGMFIGMLLGIALIAGWSRMMSYRSAKRITKAMDIKLLGSLNRDDLKKLCGDSYPEWISFPLYEQVKWLNKQLGKLWPFISEAVTAIVKESVEPLLDDYRPPGISSLKFSKFSLGSVPPKIEGIRLQSLKEGQITMDIDFRWGGDPNIILGVVALVASLPIQLKDLQVFTVIRVIFQLSEEIPCISAVVVALLSEPKPRFEYTLKAVGGSLTAVPGLSDMIDDTVRSIISDQLQWPHRIVVPLGGQDVDVSELELKPEGRLTVTVVKASDLKNMEIIGKSDPYVIMYVRPMFKVKTKVIRNNLNPEWNENFDMVIEDKETQSIILEVLDEDNMAQDKRLGIVKFSLNELEPEVSKEIDLRLLPALDMLKIKDKKDRGLLKIKVMYHPFTKQEQQMALEEEKRAIKEKKNLKEAGVIGSTVDALGGAASLVGSGIGAGVGMVGTGIGAGVGLVGSGLGAGAGLVGSGIGAGAGIVGSGIGAGAGFVGSGIGAVGSGLSKAGKFVGRSVTGQFSSSKRHGGQPNSGHADPNGS >DRNTG_20386.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19082957:19088645:1 gene:DRNTG_20386 transcript:DRNTG_20386.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDFRWGGDPNIILGVVALVASLPIQLKDLQVFTVIRVIFQLSEEIPCISAVVVALLSEPKPRFEYTLKAVGGSLTAVPGLSDMIDDTVRSIISDQLQWPHRIVVPLGGQDVDVSELELKPEGRLTVTVVKASDLKNMEIIGKSDPYVIMYVRPMFKVKTKVIRNNLNPEWNENFDMVIEDKETQSIILEVLDEDNMAQDKRLGIVKFSLNELEPEVSKEIDLRLLPALDMLKIKDKKDRGLLKIK >DRNTG_20386.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19072322:19091640:1 gene:DRNTG_20386 transcript:DRNTG_20386.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKLLGSLNRDDLKKLCGDSYPEWISFPLYEQVKWLNKQLGKLWPFISEAVTAIVKESVEPLLDDYRPPGISSLKFSKFSLGSVPPKIEGIRLQSLKEGQITMDIDFRWGGDPNIILGVVALVASLPIQLKDLQVFTVIRVIFQLSEEIPCISAVVVALLSEPKPRFEYTLKAVGGSLTAVPGLSDMIDDTVRSIISDQLQWPHRIVVPLGGQDVDVSELELKPEGRLTVTVVKASDLKNMEIIGKSDPYVIMYVRPMFKVKTKVIRNNLNPEWNENFDMVIEDKETQSIILEVLDEDNMAQDKRLGIVKFSLNELEPEVSKEIDLRLLPALDMLKIKDKKDRGLLKIKVMYHPFTKQEQQMALEEEKRAIKEKKNLKEAGVIGSTVDALGGAASLVGSGIGAGVGMVGTGIGAGVGLVGSGLGAGAGLVGSGIGAGAGIVGSGIGAGAGFVGSGIGAVGSGLSKAGKFVGRSVTGQFSSSKRHGGQPNSGHADPNGS >DRNTG_20386.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19088378:19091640:1 gene:DRNTG_20386 transcript:DRNTG_20386.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDKRLGIVKFSLNELEPEVSKEIDLRLLPALDMLKIKDKKDRGLLKIKVMYHPFTKQEQQMALEEEKRAIKEKKNLKEAGVIGSTVDALGGAASLVGSGIGAGVGMVGTGIGAGVGLVGSGLGAGAGLVGSGIGAGAGIVGSGIGAGAGFVGSGIGAVGSGLSKAGKFVGRSVTGQFSSSKRHGGQPNSGHADPNGS >DRNTG_20386.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19085185:19085414:1 gene:DRNTG_20386 transcript:DRNTG_20386.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIGKSDPYVIMYVRPMFKVKTKVIRNNLNPEWNENFDMVIEDKETQSIILE >DRNTG_04838.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30966655:30968053:1 gene:DRNTG_04838 transcript:DRNTG_04838.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRKKIQLKEKFFKQNGGLLLQQQLSSHEGNAESARIFTAEELEQATDNYSENRIIGRGGYGTVYKGILPDNRVVAIKKSKVMDESQIEQFINEVIILSQVIHKNVVRILGCCLETPVPLLVYEYVPNGTVHHHIHGQPGSISWDARLRIAVETANALSYLHSATARPIFHRDVKSANILVDNNYMAKVSDFGASRLIPLDRAQITTLVQGTLGYLDPEYFQSGQLTEKSDVYSFGVVLAELLTGEKPISHSRRQEEQNLAIYFLLKMRADLLFDILEARVKNEGSREQLQGVAEVAKKCLKLKGEERPTMNIVTLELERWRGQVNRHGLLSHDEQHEEVESLTRSRDESRPGGGSSRFYTANHDIRDERSNGGSTRFYTASHHENRDANSNDESSTATRYFTTTMTGDANLDAHIMLSMAMHRR >DRNTG_04838.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30966655:30968215:1 gene:DRNTG_04838 transcript:DRNTG_04838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRKKIQLKEKFFKQNGGLLLQQQLSSHEGNAESARIFTAEELEQATDNYSENRIIGRGGYGTVYKGILPDNRVVAIKKSKVMDESQIEQFINEVIILSQVIHKNVVRILGCCLETPVPLLVYEYVPNGTVHHHIHGQPGSISWDARLRIAVETANALSYLHSATARPIFHRDVKSANILVDNNYMAKVSDFGASRLIPLDRAQITTLVQGTLGYLDPEYFQSGQLTEKSDVYSFGVVLAELLTGEKPISHSRRQEEQNLAIYFLLKMRADLLFDILEARVKNEGSREQLQGVAEVAKKCLKLKGEERPTMNIVTLELERWRGQVNRHGLLSHDEQHEEVESLTRSRDESRPGGGSSRFYTANHDIRDERSNGGSTRFYTASHHENRDANSNDESSTATRYFTTTMTGDANLDAHIMLSMAMHRR >DRNTG_15865.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25343319:25347871:1 gene:DRNTG_15865 transcript:DRNTG_15865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIRVLVMGCGGVGRQLLEHIISCRSLHAQQNLVLRVVGVCDSQSLLLVDDVSEMELNDEFLLELCRAKSAGSPLSSLRGSGKFHVFENFEVKEKVIDAAGLLGSSSGLAVVDCSASSETVEILMQAIDLGCCVVLANKKPLTCKIDEYEKLVSKFRHIRYESTVGAGLPVIASITRVLASGDPIFRIIGSLSGTLGYVMSELEDGKLFSQVVNAAKGLGYTEPDPRDDLGGMDVARKALILARLLGWRINLDELKVESLYPNELGPSSMSTEEFLNRGLQSLDSYMADKVRGASLKGNVLRYVCVIEGSRCHVGLQELPKDSPLGRLRGSDNVIEIYSRCYKKSPLVIQGAGAGNDTTAAGVLADIIDLQDLFL >DRNTG_07833.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:115059:117604:-1 gene:DRNTG_07833 transcript:DRNTG_07833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQGRNPSQPNLIKRIWSRICCIMKVTAILNKVGQRWGLYISSSAPKPALINNASLVKCKATDPSFTAVTVKSPEPPLRRSANYQPNKWDYNSIKSLDSAYVHVKTHATVPLEKLKEEVRCLLINQENKLVARLQLIDDVERLGLGHHFEEEIMHALTSISDKSDHVLEVMKEDLHAIALFFGLLRQHGLDVSQDIFSHFKGEKRSFRVSLQNDVQGLLSLYEASFLGFDGEKTLEEARDFTTEHLLNLIPCMHPHLKHKVERSSELPLHWRTPRLEARWYIDQYKNSENMNPSLLQLAKVDFNLVQSTHQNELKKMINWWKVLGLGERTTFARDRLVECFFYAVGIVFDPQHGFCREELTKVMTLITILDDVYDVYGSLDELQLFTRAVERWECDGSEDLPEYMKILYNSIYNSAEELANKIQKIEGLDAMPYIGKAEAEWHYSGYKPSLEEYLNNGWMSASGNVILVHVFLTSEQGKTKEGFQHLMNNPNLIKSSSMIFRLLNNIATSAVELERGDTPTSIHCYMIEYNMTEDQARKKVWNLIDKSWKELTEGLANCSPLSLFFGIAAMNLARVMHYVYQHGDGHGAPDQDKENQIKSLFFAPIKLEEHISLYDDHAHLTWI >DRNTG_12531.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21152023:21154620:-1 gene:DRNTG_12531 transcript:DRNTG_12531.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L7-1 [Source:Projected from Arabidopsis thaliana (AT1G80750) UniProtKB/Swiss-Prot;Acc:Q9SAI5] MAEEDAKALNFVHETVLKKRKNNEDWAIKRRGQLAAKKQRNQESLKLAIKRPEQFVKEYRDKELDIVRMKHRLKKRKAKPEKFDSKLLFVIRIHGSQGMHPETRRVLNRLRLRHIFEGVFVKVNQVTSKLLMAAEPFITYGYPNLMSVKDLVYKKGCGRIGRQRFPLTDNNLIEQSLGQHNIICLEDIVHEIATVGTTLSRM >DRNTG_01500.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:14126258:14126545:-1 gene:DRNTG_01500 transcript:DRNTG_01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFSLKNIIIIINSNMGGGGRMRSHSDMDHNSTRLNDATVRTGAKSADQNINSNTVAVTSNGDPAQAVSRPTRTPFTNLSQVDSDLALAGTLQE >DRNTG_32815.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3355156:3357417:-1 gene:DRNTG_32815 transcript:DRNTG_32815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKMAWQRKAAERYRALMCSLRKGKEKTMHVSDSAWKLWTEAWNSPDFKARVKNLLQIGSRLKLGREPLPYELFKVTHTKKGASELIDARSQSIQDRYLELMEQASQTQEGHGEPPIVDEAAVYYEAVGGEKKRRVYGVGSQGSVFYPQISQSLSTGTSSKAVNNEIRELQQTVV >DRNTG_27291.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22537703:22539190:-1 gene:DRNTG_27291 transcript:DRNTG_27291.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHVSTASHSDAIAVFSQMISDGANPNSRTYTIIIDHLIKAGYLDPGMEIFTLLPSMRIRRTSRQYDVNDRMDLKPWMDPGALASALEGWDAENVRALEEARFVWTTRLVCKLLRAFKKPETAWAFFSWVACQPGGFAHDKHTVSRMIAILAKHGHCELVDRLLTKVQSEGILLPFATIRLIIDFYGLSKKPDAAMKVHREASKLCGPISHANCLLLCSSMLRTLLKSRKGDSAMDFLEDMMMNGMIPDLQTFSGLMEFFAGDGDLKRVHRVFGMVRECGMVPDAFMLQVVMRAYCKKERAALALRVFDEMRSLGLVPDRVAKELLVKSLWKEGKLREAAQVEEKCEDLAQELPMATP >DRNTG_28399.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4442931:4446756:1 gene:DRNTG_28399 transcript:DRNTG_28399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVNCEKDCLSVKSFVKPEAVMHSMTDEELFWRASLVPKKVEFPFNRVIKVAFMFLTRGPMPFLPLWERFLKGHQGLYSIYIHAQPGYKINVSESSPFYRRQIPSEEVSWGSISLIDAEKRLLSNALLDFSNERFVLLSESCIPVFDFPTVYKYLTESDYSFVESYDEDSPRGRGRYSRSMYPEIQLHQWRKGSEWFELNRDLAVNVVADYKYYLLFSKYCKPSCYPDEHYISTYLNMFHPAQTANRSLTWVNWSFGGPHPALYGQENITAEFIQTIRNNGTICTYNNKPSTYCYLFARKFSPSALESLLNLSSSVMKF >DRNTG_05573.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000255.1:114232:119054:-1 gene:DRNTG_05573 transcript:DRNTG_05573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGTAARCSGPPPSGQILQPPPMPYREVLPFPSGRPSFVPGGVFHSFPASDSLDVRTPLKRKPGQEKNNAAESSEWTTSPGFTEVITSPFHTPVSGKGGRNSGKPKAAKSNKSGSPTPIQNDGSPKNNPTPAGTCRYDSSLGLLTRKFINLLKHANDGILDLNKAAEILEVQKRRIYDITNVLEGIGLIEKKLKNRIHWKGLDDSNPGELDDGVSFLQEEVESLSMKEHNLDDHISEMQAKLRDLCEDANNQRWLYVTEDDIKGLPCFQNETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRIILRSTMGHIDVYLVSQFEEKFEEMNSADAPSSPLPAPNSRSAEAAAATGESQKMHMEIDVQGTNGTSQDLVSGMMKIVPSDVDTDADYWLLSDAEVSITDMWKTQPDVQWDAEGKHDEDFIMGSINSPGPQTPSGGCPLKS >DRNTG_05573.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000255.1:115117:115600:-1 gene:DRNTG_05573 transcript:DRNTG_05573.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLAPCLHQILDLLKRLLRQVKAKRCTWRLMFKALMVLHKT >DRNTG_05573.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000255.1:114232:115378:-1 gene:DRNTG_05573 transcript:DRNTG_05573.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLAPCLHQILDLLKRLLRQVKAKRCTWRLMFKALMVLHKT >DRNTG_26690.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001362.1:1610:8236:-1 gene:DRNTG_26690 transcript:DRNTG_26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRNFKELFPCSRGLSAEQVSTCLSFLESLHGRFGMPETSVVSPHEFLQVVMKAIDKRFQIGMQSDPVEFMSWLLNTLHLKLRHSKKKNKSIIHDYF >DRNTG_31986.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:707293:710390:-1 gene:DRNTG_31986 transcript:DRNTG_31986.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFVYLDENHQFIQWRSDIVCLYMQEGFKYHHAEESYVMLTYWIPEGPCMLPANASHQVGVGGFIINEKDEVLVVQEKYCSSTSEGVWKLPTGFIHESEDIFTGAVREVKEETGIDTEFVEVVAFRHAHQVAFEKSDLLFICLLRPLSNQIEVDGLEIQAAKWIPVAEFIEQPCIQDDNMFKKIIDICMARLRKRFCGLLPHHCISKFDGKTSCLYYNVTDQPQDFNCQGV >DRNTG_31986.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:707293:710390:-1 gene:DRNTG_31986 transcript:DRNTG_31986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVFDTKLEVLLLGMICKKPFPGCQVGAKNLQWNCHHHQQRGYLLKASCSIASTSTEDTDAIIPIKPLKSRINGNIFAYPWASTRKAIVLDASEDKYGGMVINTDELPRNSFAFASILHVSLSQWKLEGKKGVWLKLPLERSELVPIAVKEGFKYHHAEESYVMLTYWIPEGPCMLPANASHQVGVGGFIINEKDEVLVVQEKYCSSTSEGVWKLPTGFIHESEDIFTGAVREVKEETGIDTEFVEVVAFRHAHQVAFEKSDLLFICLLRPLSNQIEVDGLEIQAAKWIPVAEFIEQPCIQDDNMFKKIIDICMARLRKRFCGLLPHHCISKFDGKTSCLYYNVTDQPQDFNCQGV >DRNTG_15103.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1745945:1746558:-1 gene:DRNTG_15103 transcript:DRNTG_15103.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATPRPEVSQESARESLIAISQSIPENTFATEDSTPVKSADANGSGLKEHIGSGSDDFRSMLISISYAPPSPEAQPLPEAQPLPPTLENPAT >DRNTG_15103.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1746008:1747682:-1 gene:DRNTG_15103 transcript:DRNTG_15103.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATPRPEVSQESARESLIAISQSIPENTFATEDSTPVKSADANGSGLKEHIGSGSDDFRSMLISISYAPPSPEAQPLPEAQPLPPTLENPAT >DRNTG_15103.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1745945:1747682:-1 gene:DRNTG_15103 transcript:DRNTG_15103.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATPRPEVSQESARESLIAISQSIPENTFATEDSTPVKSADANGSGLKEHIGSGSDDFRSMLISISYAPPSPEAQPLPEAQPLPPTLENPAT >DRNTG_15103.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1745892:1747682:-1 gene:DRNTG_15103 transcript:DRNTG_15103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATPRPEVSQESARESLIAISQSIPENTFATEDSTPVKSADANGSGLKEHIGSGSDDFRSMLISISYAPPSPEAQPLPEAQPLPPTLENPAT >DRNTG_01129.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18748824:18750356:-1 gene:DRNTG_01129 transcript:DRNTG_01129.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFQMSSTLLELVDYGLNDARLSLELMFHLSVLPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHSFHAKKYMVPDKNSGRSKEMHTTKRRISAGTEGDDNDNLNVDTIPLEDGDIEQGKGKKGPAYSGGLVLEPKKGLHDKYVLLLDFNSLYPSIIQEFNICF >DRNTG_01129.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18748984:18755374:-1 gene:DRNTG_01129 transcript:DRNTG_01129.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATASGRRTRNRGPEAKARAEALERLKAIRGGARRSDPSGAAAGYQIKVEEPIYDTVEEEEYAALVARRREETRDFIVDDDGLGYVDEGQEEDWSHPSHSFSSDEEYPTSNDGLEKPRKKRNPKKEPAPKKTQPSSLSAAAALMGKQRLSSMFTSSVFKKNERTKGTGLSTDSIVDDVLAEFAPDEKDREEWRRRGSISRMLGPRGSFTPAQNSNELPLNPAYQMNAIDSSDAKLETVSVKSSLPENDISDHGKDDPMEIVTEEDVMDPNFDSKSKGNVTEMKDANEEIEVEAAKSENGFSVNAKIKVEGDDVMLRTTAGCGATCNDAIVGLGGVNLANASIDDKTELVLDSDGSLPFYIIDAHEESFGANAGTLYLFGKVKEGNAYQSCCVVVKNMQRCVYAVPNGAVFPGGRIMEFEENIANSKASPSDFHTALQEMAAGLKSELTNRLLALNVSNFSMMPVKRNYAFERADIPRGEQYVLKINYPFKDPPLPTDLRGEHFLALLGTNSSALELFLIKRKIQGPSWLWISRFACCPASQRVSWCKYEVVVDCPKDLRVSASKAALEIPPVIVAAINLKTIIGERHTANEIVSASIICCHKAKIDSPISAKELERPGMLSSFTVVRKLGGGIFPVGLAKEAASRNSNAGSNVLALESSERALLNRLMIELHKLDCDVLVGHNISGFDLDLLLHRAQFCKVPSAMWSKIGRLKRSVMPKLTKGNSLYGSGASPGIMSCIAGRLLCDTYVCSRDLLREVSYSLTQLAKDTAEKERKEIAPS >DRNTG_01129.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18749849:18751733:-1 gene:DRNTG_01129 transcript:DRNTG_01129.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSFTVVRKLGGGIFPVGLAKEAASRNSNAGSNVLALESSERALLNRLMIELHKLDCDVLVGHNISGFDLDLLLHRAQFCKVPSAMWSKIGRLKRSVMPKLTKGNSLYGSGASPGIMSCIAGRLLCDTYVCSRDLLRE >DRNTG_01129.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18748824:18755374:-1 gene:DRNTG_01129 transcript:DRNTG_01129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATASGRRTRNRGPEAKARAEALERLKAIRGGARRSDPSGAAAGYQIKVEEPIYDTVEEEEYAALVARRREETRDFIVDDDGLGYVDEGQEEDWSHPSHSFSSDEEYPTSNDGLEKPRKKRNPKKEPAPKKTQPSSLSAAAALMGKQRLSSMFTSSVFKKNERTKGTGLSTDSIVDDVLAEFAPDEKDREEWRRRGSISRMLGPRGSFTPAQNSNELPLNPAYQMNAIDSSDAKLETVSVKSSLPENDISDHGKDDPMEIVTEEDVMDPNFDSKSKGNVTEMKDANEEIEVEAAKSENGFSVNAKIKVEGDDVMLRTTAGCGATCNDAIVGLGGVNLANASIDDKTELVLDSDGSLPFYIIDAHEESFGANAGTLYLFGKVKEGNAYQSCCVVVKNMQRCVYAVPNGAVFPGGRIMEFEENIANSKASPSDFHTALQEMAAGLKSELTNRLLALNVSNFSMMPVKRNYAFERADIPRGEQYVLKINYPFKDPPLPTDLRGEHFLALLGTNSSALELFLIKRKIQGPSWLWISRFACCPASQRVSWCKYEVVVDCPKDLRVSASKAALEIPPVIVAAINLKTIIGERHTANEIVSASIICCHKAKIDSPISAKELERPGMLSSFTVVRKLGGGIFPVGLAKEAASRNSNAGSNVLALESSERALLNRLMIELHKLDCDVLVGHNISGFDLDLLLHRAQFCKVPSAMWSKIGRLKRSVMPKLTKGNSLYGSGASPGIMSCIAGRLLCDTYVCSRDLLREVSYSLTQLAKDTAEKERKEIAPS >DRNTG_04520.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19216221:19218266:-1 gene:DRNTG_04520 transcript:DRNTG_04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHICIYTYIYMYVCIYMHIYIHKYTYTHLHLCIYMHTHIYTYTHIHIYTHCHAPSLARHVAIATTTKSESYSVPLPSHRKPQNKLLTQLTKEGDKIHNRTSQGSKYN >DRNTG_29562.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001485.1:31415:34793:-1 gene:DRNTG_29562 transcript:DRNTG_29562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSELGFTSMPGCALDDLGSWVPVLTWYITGKHPPGADQLLDEARYKLVAKAREVKELAQNRLEQAGHTVTDITYDDYLYIRVSFDIQLRYVGILEKYNNINNGSSCSHFPLPTSPLTPSQMRSNKYYDAYFWVTFVNCSKEVKNESMHDYYYYRIYKLVPCLSHNKSFIYYLTEY >DRNTG_16683.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16326491:16330651:-1 gene:DRNTG_16683 transcript:DRNTG_16683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFHFIISQCFSNLSSEGPRRRRMSLVDYDSSSGEDKEDDEDYLGEEQGEQEEKEKRDEPNLPPAPPNLHNRLAPSSLAESNNASSSSLPSVEKLPDASMLLSSPSFSSYQLIGNDHSSRVVAAMAESGSRKRESNGSGFPHPRGKLPRSSLPHSRNAPDTLGGLLVPPQLNGRSNVVTEDVNKLFVNKRNHQPSQ >DRNTG_16501.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29802216:29803093:-1 gene:DRNTG_16501 transcript:DRNTG_16501.9 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHHHHHHHPPGITDGHVYGLLNYHHRLNSLIPTHSRLSFAFDQQPHSVHYPHWTNSTTTTTTINNNPNVSARFCSGIGTVSQPITGNPLPALWRPPTTSHSGATHRDHSSPLPLFSGDYSRIPVSTLLTSSSTSSSSSSSTPPSTSKDNVSLDLHL >DRNTG_16501.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29802168:29803093:-1 gene:DRNTG_16501 transcript:DRNTG_16501.8 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHHHHHHHPPGITDGHVYGLLNYHHRLNSLIPTHSRLSFAFDQQPHSVHYPHWTNSTTTTTTINNNPNVSARFCSGIGTVSQPITGNPLPALWRPPTTSHSGATHRDHSSPLPLFSGDYSRIPVSTLLTSSSTSSSSSSSTPPSTSKDNVSLDLHL >DRNTG_16501.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29802216:29803586:-1 gene:DRNTG_16501 transcript:DRNTG_16501.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDRETHDFMSVDSFSQLPFIGRPVPGRDKPLSPSQSTTSNSGIRLFGFEFPSDTDSPTEDIEIITKDQSSSAATATAAAAATAGVEGGGRKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQSAMATAHHHHHHHPPGITDGHVYGLLNYHHRLNSLIPTHSRLSFAFDQQPHSVHYPHWTNSTTTTTTINNNPNVSARFCSGIGTVSQPITGNPLPALWRPPTTSHSGATHRDHSSPLPLFSGDYSRIPVSTLLTSSSTSSSSSSSTPPSTSKDNVSLDLHL >DRNTG_16501.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29802216:29803222:-1 gene:DRNTG_16501 transcript:DRNTG_16501.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDRETHDFMSVDSFSQLPFIGRPVPGRDKPLSPSQSTTSNSGIRLFGFEFPSDTDSPTEDIEIITKDQSSSAATATAAAAATAGVEGGGRKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQSAMATAHHHHHHHPPGITDGHVYGLLNYHHRLNSLIPTHSRLSFAFDQQPHSVHYPHWTNSTTTTTTINNNPNVSARFCSGIGTVSQPITGNPLPALWRPPTTSHSGATHRDHSSPLPLFSGDYSRIPVSTLLTSSSTSSSSSSSTPPSTSKDNVSLDLHL >DRNTG_16501.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29802168:29803222:-1 gene:DRNTG_16501 transcript:DRNTG_16501.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDRETHDFMSVDSFSQLPFIGRPVPGRDKPLSPSQSTTSNSGIRLFGFEFPSDTDSPTEDIEIITKDQSSSAATATAAAAATAGVEGGGRKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQSAMATAHHHHHHHPPGITDGHVYGLLNYHHRLNSLIPTHSRLSFAFDQQPHSVHYPHWTNSTTTTTTINNNPNVSARFCSGIGTVSQPITGNPLPALWRPPTTSHSGATHRDHSSPLPLFSGDYSRIPVSTLLTSSSTSSSSSSSTPPSTSKDNVSLDLHL >DRNTG_16501.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29801547:29803222:-1 gene:DRNTG_16501 transcript:DRNTG_16501.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDRETHDFMSVDSFSQLPFIGRPVPGRDKPLSPSQSTTSNSGIRLFGFEFPSDTDSPTEDIEIITKDQSSSAATATAAAAATAGVEGGGRKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQSAMATAHHHHHHHPPGITDGHVYGLLNYHHRLNSLIPTHSRLSFAFDQQPHSVHYPHWTNSTTTTTTINNNPNVSARFCSGIGTVSQPITGNPLPALWRPPTTSHSGATHRDHSSPLPLFSGDYSRIPVSTLLTSSSTSSSSSSSTPPSTSKDNVSLDLHL >DRNTG_16501.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29802216:29802908:-1 gene:DRNTG_16501 transcript:DRNTG_16501.12 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHHHHHHHPPGITDGHVYGLLNYHHRLNSLIPTHSRLSFAFDQQPHSVHYPHWTNSTTTTTTINNNPNVSARFCSGIGTVSQPITGNPLPALWRPPTTSHSGATHRDHSSPLPLFSGDYSRIPVSTLLTSSSTSSSSSSSTPPSTSKDNVSLDLHL >DRNTG_16501.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29801547:29803586:-1 gene:DRNTG_16501 transcript:DRNTG_16501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDRETHDFMSVDSFSQLPFIGRPVPGRDKPLSPSQSTTSNSGIRLFGFEFPSDTDSPTEDIEIITKDQSSSAATATAAAAATAGVEGGGRKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQSAMATAHHHHHHHPPGITDGHVYGLLNYHHRLNSLIPTHSRLSFAFDQQPHSVHYPHWTNSTTTTTTINNNPNVSARFCSGIGTVSQPITGNPLPALWRPPTTSHSGATHRDHSSPLPLFSGDYSRIPVSTLLTSSSTSSSSSSSTPPSTSKDNVSLDLHL >DRNTG_16501.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29802193:29802908:-1 gene:DRNTG_16501 transcript:DRNTG_16501.11 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHHHHHHHPPGITDGHVYGLLNYHHRLNSLIPTHSRLSFAFDQQPHSVHYPHWTNSTTTTTTINNNPNVSARFCSGIGTVSQPITGNPLPALWRPPTTSHSGATHRDHSSPLPLFSGDYSRIPVSTLLTSSSTSSSSSSSTPPSTSKDNVSLDLHL >DRNTG_16501.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29802168:29802908:-1 gene:DRNTG_16501 transcript:DRNTG_16501.10 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHHHHHHHPPGITDGHVYGLLNYHHRLNSLIPTHSRLSFAFDQQPHSVHYPHWTNSTTTTTTINNNPNVSARFCSGIGTVSQPITGNPLPALWRPPTTSHSGATHRDHSSPLPLFSGDYSRIPVSTLLTSSSTSSSSSSSTPPSTSKDNVSLDLHL >DRNTG_16501.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29802168:29803586:-1 gene:DRNTG_16501 transcript:DRNTG_16501.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDRETHDFMSVDSFSQLPFIGRPVPGRDKPLSPSQSTTSNSGIRLFGFEFPSDTDSPTEDIEIITKDQSSSAATATAAAAATAGVEGGGRKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQSAMATAHHHHHHHPPGITDGHVYGLLNYHHRLNSLIPTHSRLSFAFDQQPHSVHYPHWTNSTTTTTTINNNPNVSARFCSGIGTVSQPITGNPLPALWRPPTTSHSGATHRDHSSPLPLFSGDYSRIPVSTLLTSSSTSSSSSSSTPPSTSKDNVSLDLHL >DRNTG_16501.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29801547:29802908:-1 gene:DRNTG_16501 transcript:DRNTG_16501.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHHHHHHHPPGITDGHVYGLLNYHHRLNSLIPTHSRLSFAFDQQPHSVHYPHWTNSTTTTTTINNNPNVSARFCSGIGTVSQPITGNPLPALWRPPTTSHSGATHRDHSSPLPLFSGDYSRIPVSTLLTSSSTSSSSSSSTPPSTSKDNVSLDLHL >DRNTG_23602.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:551888:552233:1 gene:DRNTG_23602 transcript:DRNTG_23602.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGLVSIDRWVKGSQAYFLTHLHADHTRGLSPLWTLGPLFCSPITARLLPSRFPGLDSSLIRVLEIGLTHSITLISKCSGSEVHILVTPIDANHCPG >DRNTG_23602.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:551888:554528:1 gene:DRNTG_23602 transcript:DRNTG_23602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGLVSIDRWVKGSQAYFLTHLHADHTRGLSPLWTLGPLFCSPITARLLPSRFPGLDSSLIRVLEIGLTHSITLISKCSGSEVHILVTPIDANHCPGAVMYLFRGEFGTVLHTGDFRWELDSERAQLARRNLLDALGGERVDLLYLDNTYCHPFFSFPTREVAAQQVVDFIKQHPNDEIIIAVDNLGKENLLVYISQALGVKIWVWPERLKTMHILGLDDIFTTDTSLTRVRAVPRYSFTLDTLEGLNTICPTIGIMPSGLTWGSLAFEKDIGYVGLSESEGHSGEKSKNNRKRSTNGNIKLAGDQIKPPWKFQEYSYSFPYSDHACFSEIQELMRTVLPKNVFGIVSASSFDIHPCHHFDNLNCELCTVSGSEISTKVRGNHLDASFRNKLNRRAVLHQNLNRSNVKCGRHLQPSASKTNRNEGCTEKG >DRNTG_18801.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:391109:391866:1 gene:DRNTG_18801 transcript:DRNTG_18801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYDMSSSDSNLYYSFDVAGGAVHVLMLGSYTDFDSGSAQYKWLVGDLAKVNRKKTPWLLALVHAPWYNSNDDHQGEGEDMRKSMEDLLYKAKVDVVFAGHVHAYERFDRVYNNKKDGCGPVYITIGDGGNREGLATEYLDPQPVISLFREASFGHGQFSVVNATHAQWSWHRNDDDEQVIADQIWLTSLVSQSAACG >DRNTG_33209.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:393876:396190:-1 gene:DRNTG_33209 transcript:DRNTG_33209.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLATAELCDSNASLVIKGDIRVLPPIFNMYGHCRAFSGPIVTLKVFEDNVLVRELLETPGDGRVLIIDGGGSMRCALVGGNLAQLAQNMGWAGILVNGCIRDVDEINACDVGVRALASHPQKSYKKGNGEKHIPVNIGGILIYDREWLYADSDGILISKTELSI >DRNTG_33209.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:393910:396367:-1 gene:DRNTG_33209 transcript:DRNTG_33209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLATAELCDSNASLVIKGDIRVLPPIFNMYGHCRAFSGPIVTLKVFEDNVLVRELLETPGDGRVLIIDGGGSMRCALVGGNLAQLAQNMGWAGILVNGCIRDVDEINACDVGVRALASHPQKSYKKGNGEKHIPVNIGGILIYDREWLYADSDGILISKTELSI >DRNTG_33209.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:393910:396190:-1 gene:DRNTG_33209 transcript:DRNTG_33209.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLATAELCDSNASLVIKGDIRVLPPIFNMYGHCRAFSGPIVTLKVFEDNVLVRELLETPGDGRVLIIDGGGSMRCALVGGNLAQLAQNMGWAGILVNGCIRDVDEINACDVGVRALASHPQKSYKKGNGEKHIPVNIGGILIYDREWLYADSDGILISKTELSI >DRNTG_29448.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001479.1:12093:15130:1 gene:DRNTG_29448 transcript:DRNTG_29448.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53000) TAIR;Acc:AT1G53000] MIQRTWERAMLASTLDRVVVATDDQMIAECCRGFGADVIMTSELCRNGTERCNEAFQKLDKYYDIVVNIQGDEPLIEPEIIDGIVKALQGAPDAVFSTAVTALKPEDALTRIG >DRNTG_29448.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001479.1:12093:15130:1 gene:DRNTG_29448 transcript:DRNTG_29448.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53000) TAIR;Acc:AT1G53000] MLQFDHAKWSFILLLHCLVVATDDQMIAECCRGFGADVIMTSELCRNGTERCNEAFQKLDKYYDIVVNIQGDEPLIEPEIIDGIVKALQGAPDAVFSTAVTALKPEDALTRIG >DRNTG_03288.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8934616:8941202:-1 gene:DRNTG_03288 transcript:DRNTG_03288.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSPVQLLLLTLLSLQLLSLASRLLHKPLSQRFNAARSVLLLVSILILLSAIFAFPNAEPSKDVAFSSELEKLKLKIDHLESILQENARILNSKAHHLDEEKKMAEALELRINSLERSLDSLKRSGSSGSSYSEIRISSLEEEVQLLWAESRGNNFDIHMLESKVVDAVKNLEAVASAVDKLDNIVTEQWIQIQQLEQALQMAKIKVAKVHKRSSSKFQSKGKNIKGKISEVF >DRNTG_03288.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8931779:8941202:-1 gene:DRNTG_03288 transcript:DRNTG_03288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSPVQLLLLTLLSLQLLSLASRLLHKPLSQRFNAARSVLLLVSILILLSAIFAFPNAEPSKDVAFSSELEKLKLKIDHLESILQENARILNSKAHHLDEEKKMAEALELRINSLERSLDSLKRSGSSGSSYSEIRISSLEEEVQLLWAESRGNNFDIHMLESKVVDAVKNLEAVASAVDKLDNIVTEQWIQIQQLEQALQMAKIKVAKVHKRSSSKFQSKGKNIKGKISER >DRNTG_16182.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000798.1:37285:39302:-1 gene:DRNTG_16182 transcript:DRNTG_16182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSAFRSAAFPALLILLAVSTATASESDHKYQVEEPVTLWVNKVGPYNNPQETYNYYSLPFCQSSENPTHKWGGLGEVLGGNELIDSHIDIKFQKNVSKSTICIIELDVPKLKQFKEAVMSSYWFELFI >DRNTG_08407.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4829051:4832553:1 gene:DRNTG_08407 transcript:DRNTG_08407.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SVR8 [Source:Projected from Arabidopsis thaliana (AT5G54600) UniProtKB/TrEMBL;Acc:A0A178U954] MAAIASLQSAMVSFSLNSTSFFGQRLSFPLSNSPSKATDKPCQIVMKLKRWERKECKPNSLPVLHKMHVKVGDTVQVISGREKGKIGEITRIFRHNSTVIIKDMNLKTKHMKSREQGEPGQIVKIEAVIHSSNVMLYSKEQNVASRVGHKILDDGSRVRYLVKTGEIIDSSEKWKRVVKEKQEKEEKSN >DRNTG_04282.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9039243:9040033:-1 gene:DRNTG_04282 transcript:DRNTG_04282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLKSRRLFRSCSAKLGGGERVINSNKACHGGGEIEWEVRPGGMLVQKRESCKGGGELIRVRVSMGSHCHDISIGATSTFGELKVVLSLVTGLEPRAQRILYRGKEREDVDHLHMVGIKDSDKVLLLEDPAIKDKKLRAMMERNPCNTAIKV >DRNTG_04282.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9039389:9040121:-1 gene:DRNTG_04282 transcript:DRNTG_04282.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLKSRRLFRSCSAKLGGGERVINSNKACHGGGEIEWEVRPGGMLVQKRESCKGGGELIRVRVSMGSHCHDISIGATSTFGELKVVLSLVTGLEPRAQRILYRGKEREDVDHLHMVGIKDSDKVLLLEDPAIKDKKLRAMMERNPCNTAIKV >DRNTG_32518.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4813859:4815958:-1 gene:DRNTG_32518 transcript:DRNTG_32518.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter AVT6E [Source:Projected from Arabidopsis thaliana (AT1G80510) UniProtKB/Swiss-Prot;Acc:Q9M8L9] MDSNYSAIPRNNSSIELQSHDRNNRAASHSKINGFYDDEDFALEEFDELPLILVPDSESKDVGSGVPGAVFNLATSVIGAGIMALPAAMKVLGVAFGLFSIVVMGILSEISIELLVRFSVLCKSSSYGDVVHSALGSFARVVSEICIIINNAGILVVYLIIIGDVMSGSFEHVGVFEQLLGHGWWDNRKLVILIVLVVFLAPLCALQNDRFP >DRNTG_16047.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25882731:25885115:1 gene:DRNTG_16047 transcript:DRNTG_16047.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase STN8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G01920) UniProtKB/Swiss-Prot;Acc:Q9LZV4] MASSLLPPTPAPTTPNNKHSTLPWITSSSKPTSLIHFNPPSYKSKRNRPTSCCNAILGTEFPEDFLTNTLHLDESSPLFPVLQYGFSQFEETSSTNWGVLLLLGLSWVYLTARPGVLIGAIDTYVFAPLQQGLDSLLGRRNLKMSDFVVGERLGEGSFGVVYAGAIVPKNVIVEDRIKKSARRLQMDGRFKEKVILKKVKVGIIGAEECGDYEEWFNYRVSRAAPDSCAEFLGSFIADENSMQFTKGGKWLVWKFEGDRDLADYMNDRSFPLNLEKIMFGQTLQGLDSVKRNALIIKQIMRQIVTSLKKIHDTGIVHRDIKPANLVVTRKGQIKLIDFGAATDLRIGKNYVPNRGLLDPDYCPPELYVLPEETPTPPPEPIAAILSPILWQLNSPDLFDMYSAGIVLMQMAVPNLRSAAGLKNFNTQLKGVGYDLKKWREFTRTKPDLSLLDLDYGRGWDLATKLIAKRGILGKGRLSASAALRHPYFLLGGDQAAAILSKLSLSKY >DRNTG_09451.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21268933:21271616:-1 gene:DRNTG_09451 transcript:DRNTG_09451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSQASLLLAKQLRDLSKNPVDGFSAGLVDDSNVFEWIVTIIGPPDTLYEGGYFNAIMSFPSNYPNSPPTVRFTSEMWHPNVYPDGRVCISILHAPGR >DRNTG_29889.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001513.1:12486:16127:1 gene:DRNTG_29889 transcript:DRNTG_29889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWFSRKGPSGFSYSSTAEEVTEGIDGSGLTAIVTGASSGIGVETSRVLALRGVHVIMGVRNMSAGNAVKEAILSKIPTAKVDVMELDLSSNASVRKFAADFNSAGLPLNILINNAGIGFVPFELSQDGIELIFATNHIGPFLLTELLLDKMKATAHKSNIEGRIINVASDSYKYTYRGGIRFDKINDKSGYNSFYSYGQSKLANILHANQLSSILKEQGANVTVNSLHPGPIVTNIHRHSPILNGIVTTLGKYVLKNVQQGAATTCYAALHPQVQGVTGKYFVDSNVAELKSHAADPEMGKKLWELSLNMI >DRNTG_29889.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001513.1:12486:16127:1 gene:DRNTG_29889 transcript:DRNTG_29889.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWWFSRKGPSGFSYSSTAEEVTEGIDGSGLTAIVTGASSGIGVETSRVLALRGVHVIMGVRNMSAGNAVKEAILSKIPTAKVDVMELDLSSNASVRKFAADFNSAGLPLNILINNAGIGFVPFELSQDGIELIFATNHIGPFLLTELLLDKMKATAHKSNIEGRIINVASDSYKYTYRGGIRFDKINDKSGYNSFYSYGQSKLANILHANQLSSILKVLSLHLASMC >DRNTG_00624.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22536973:22538831:-1 gene:DRNTG_00624 transcript:DRNTG_00624.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIMMRLGIAPKIPSIQNIMPGSFPSNSLLSGASDKSVKSSIGSVLNLGTKTTGSTQQHLLSDSPHQESISSSPESKETSSLFGSRSVKVINEFLQNPVLNKEETEVSELAENLHAQNNVLQMLLKHQQVIEQLIEENQMLRRVLTEDLHVQPSKLCSSREIKKGTNYQCPDCFECRRRERKSAR >DRNTG_00624.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22536973:22540169:-1 gene:DRNTG_00624 transcript:DRNTG_00624.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENRNAITSGQRENLGFALENPFSFKVGQVFTGFGVGCGVGIGVGRPIYLGAIPMVQQVLTATRGATDVLSGVGRHVNGSLKKFGVKNVEAGVGCGVGFGHGFGIGLALKPGVIQRVQSSIALTMAKIMMRLGIAPKIPSIQNIMPGSFPSNSLLSGASDKSVKSSIGSVLNLGTKTTGSTQQHLLSDSPHQESISSSPESKETSSLFGSRSVKVINEFLQNPVLNKEETEVSELAENLHAQNNVLQMLLKHQQVIEQLIEENQMLRRVLTEDLHVQPSKLCSSREIKKGTNYQCPDCFECRRRERKSAR >DRNTG_00624.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22536973:22537502:-1 gene:DRNTG_00624 transcript:DRNTG_00624.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKHQQVIEQLIEENQMLRRVLTEDLHVQPSKLCSSREIKKGTNYQCPDCFECRRRERKSAR >DRNTG_00624.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22536973:22540169:-1 gene:DRNTG_00624 transcript:DRNTG_00624.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVMFTCLIIARLLVSVHHTIDLLANQLKKFGVKNVEAGVGCGVGFGHGFGIGLALKPGVIQRVQSSIALTMAKIMMRLGIAPKIPSIQNIMPGSFPSNSLLSGASDKSVKSSIGSVLNLGTKTTGSTQQHLLSDSPHQESISSSPESKETSSLFGSRSVKVINEFLQNPVLNKEETEVSELAENLHAQNNVLQMLLKHQQVIEQLIEENQMLRRVLTEDLHVQPSKLCSSREIKKGTNYQCPDCFECRRRERKSAR >DRNTG_00624.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22536973:22540169:-1 gene:DRNTG_00624 transcript:DRNTG_00624.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGGFFNGKDKDGKEGSKSSASGSSAIPMVQQVLTATRGATDVLSGVGRHVNGSLKKFGVKNVEAGVGCGVGFGHGFGIGLALKPGVIQRVQSSIALTMAKIMMRLGIAPKIPSIQNIMPGSFPSNSLLSGASDKSVKSSIGSVLNLGTKTTGSTQQHLLSDSPHQESISSSPESKETSSLFGSRSVKVINEFLQNPVLNKEETEVSELAENLHAQNNVLQMLLKHQQVIEQLIEENQMLRRVLTEDLHVQPSKLCSSREIKKGTNYQCPDCFECRRRERKSAR >DRNTG_30611.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001604.1:21139:21482:-1 gene:DRNTG_30611 transcript:DRNTG_30611.1 gene_biotype:protein_coding transcript_biotype:protein_coding HTSPKLCLSTVSLPIRGIGPSKQTADSATQEGGEEERWDNISLCRLGDGEER >DRNTG_30397.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:82178:84840:1 gene:DRNTG_30397 transcript:DRNTG_30397.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKIKLPKLLNLLLLYIIHTTSWTAAAAATSSFSQLQLINRGFSATPSQSPFQPILNEPSGVFSLGFLKVGSSQLDLAVIHLPSRQPVWRAIPASPGSWDNSVTFSFNGSLVLSDSGKGVLWSTGSVDGDTLVLLNSSNLQIQKAGEPAASVLWQSFDFPSDTIMQGQNFTSKAALFSNNQKFSMSLGFDYLALYMEFPGVAAPMYWKRTALQAKARIIQGKGPIYARVDPTGFLGLYQTEAVPVDVISFDTFNRGIPDLRRLTLESDGNLKAYYWNGSSWVLDFKAIPDSEACQLLSACGAYGLCRLEEPMCACLDNTTAICPPADSGNLCSSGSISSVGGDDYTVFRTKGVELPNKELAGYQKMGSLEDCEQSCERNCSCWGAVYNNVSGFCYSIDYPIQTLVEAGNVQKTGYFKVRTRASSKSKSKSKAGMVVLLTVGVLVFLAAAGFGAYRVWNQIRRRSQDGLDESTVEWPYKDLKSGSSFRSIELSESFRK >DRNTG_30397.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:82147:84840:1 gene:DRNTG_30397 transcript:DRNTG_30397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKIKLPKLLNLLLLYIIHTTSWTAAAAATSSFSQLQLINRGFSATPSQSPFQPILNEPSGVFSLGFLKVGSSQLDLAVIHLPSRQPVWRAIPASPGSWDNSVTFSFNGSLVLSDSGKGVLWSTGSVDGDTLVLLNSSNLQIQKAGEPAASVLWQSFDFPSDTIMQGQNFTSKAALFSNNQKFSMSLGFDYLALYMEFPGVAAPMYWKRTALQAKARIIQGKGPIYARVDPTGFLGLYQTEAVPVDVISFDTFNRGIPDLRRLTLESDGNLKAYYWNGSSWVLDFKAIPDSEACQLLSACGAYGLCRLEEPMCACLDNTTAICPPADSGNLCSSGSISSVGGDDYTVFRTKGVELPNKELAGYQKMGSLEDCEQSCERNCSCWGAVYNNVSGFCYSIDYPIQTLVEAGNVQKTGYFKVRTRASSKSKSKSKAGMVVLLTVGVLVFLAAAGFGAYRVWNQIRRRSQDGLDESTVEWPYKDLKSGSSFRSIELSESFRK >DRNTG_31424.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:647080:650244:-1 gene:DRNTG_31424 transcript:DRNTG_31424.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT3G55520) UniProtKB/TrEMBL;Acc:A0A178VDC9] MGDVIDLTGDGGVLKTIIRKAKSDAIAPADNLPIVDVHYEGILAETEEVFDTTREDNTVFSFELGLGTVIKAWDIALRTMKVGEVAKITCKPEYAYGSAGSPPDIQPDATLIFEVELVACRPRKGASMGSVSDEKARLMELKKQREIAAALKEEEKKKREEAKAAAAARVQAKLDSKKQQGRGKGKA >DRNTG_31424.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:647080:650244:-1 gene:DRNTG_31424 transcript:DRNTG_31424.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT3G55520) UniProtKB/TrEMBL;Acc:A0A178VDC9] MGDVIDLTGDGGVLKTIIRKAKSDAIAPADNLPIVDVHYEGILAETEEVFDTTREDNTVFSFELGLGTVIKAWDIALRTMKVGEVAKITCKPEYAYGSAGSPPDIQPDATLIFEVELVACRPRKGASMGSVSDEKARLMELKKQREIAAALKEEEKKKREEAKAAAAARVQAKLDSKKQQGRGKGKA >DRNTG_02075.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000104.1:77641:79136:1 gene:DRNTG_02075 transcript:DRNTG_02075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDDVPLAAARVKERCAGKQSRIGYVRGCTMALIFWFYEVTGNGKKIHFGRTPRILCYGVGSYKKQAAVSALIDSLEGKKFVPLMADRESEIELIGCGKVQRNNSLMVLETSDAMKAPKYVRTRRRKLDGEMKGEPSQTKGQHSRQSDVFVLMLLDSLKKSPHEFDRPATICRPMALALSQQKHSVDGLDKMMSPALEDYGRVKLVLMPRSLFEDRLQIKFNVNEAASYTLTHVRDCPQQREDSVDCPVYLMDFMEQLLHGEELSVAHSDVAHLRQEYAARILSDGITRNTPQLALPTQASEASTEDGEKMDDAPPQSH >DRNTG_24242.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19885520:19897290:-1 gene:DRNTG_24242 transcript:DRNTG_24242.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Related to KPC1 [Source:Projected from Arabidopsis thaliana (AT2G22010) UniProtKB/TrEMBL;Acc:F4IIK4] MAGEGSKMNGFSSGLAVILSSDEQCVNYNKSHLVSCRDDIGHQSVERTLEYVFDLPHKSIHSPSTSIDVNSIRLLLRDQLLRFEDGSCSCSRQRDGITITNPGSGRNKIVIDSTSICGDIRIVRYPLLIESLAVFSSVRVNANVWKGKWMYEVTLETAGIQQLGWATISCPFTYHKGVGDAENSYAFDGKRVSKWNKDARSYGQSWTVGDVIGCCINLESNEISFYRNGVSLGVAFDGILKMEPGFGYFPAVSLSEGECCDLNFGSRPFKFPIDGFNPIEEPPTMLLYAYYLLQCLSRLLEVQRLDKPDSSYFEKLRRFKRFVPLEGLFHPISQGICSEFFAVISTNNSIEYIAWGTVRTVLLEIFGVQAPHDYASLDQVVDLFLKFPGSKSMFQHLIAALSYSCKTAPLILTDCPYSGSYPYLALACHVLRNAAVMGSWWISFDFEFTLEGFLSRKCPNKQDLQCMIPSVWWPGSSEDIGSESSMMLTTTALAGAFDKIEEMHRELCLLVIHFIPPTKSQQPGSVFRSFLQSFILKIRGADHKMTSASVSGNSALASLFSVILHFLSEGLTLEDASGSLKGSRTVAATDGGFLHKSGRRSFPVGLFFKESPYYSGFSRLGGSTSHLIKSSPVSEVETEVKWEEGCMDDEYTKVTHSTRQKPCCCSISDVDSTRILKDNIRYTARSSKGPCSSISDRSANVAAECNAGSLNDEIVDKPSTSDHTEADFGYRSLQYLENPPTTSQSSAGVLREEELLHVMLLLYHLGVAPNFRQAFYYMSHQSQSITLLDDTDKQIREKSCMEQVKRLKEARNVYREELVDCVRQCAWYRVSLFARWKQRGMYATCMWVVQLLLVLSDIDSLFLYIPEFYLEALVDCFHALRKSDPPFVSSGIFIKQGLASFVTFVVKHFNDPRISSADIKDLLLQSMSVLVQYKDYLAAFEMNKEALQRMPKALLSSFDNRSWIPVTNILVRLCKGSGFGSTKHAESSASLLFQVLLREICIHNEELFSSFLNRLFNTLSWTMTEFSVSIREMQDNHQIADLQQRKCGVIFDISCNLARVLEFCTREIPQAFLCGPVMNLRRLTELIIFILNHIISVADAEFFDFRSLRRPGQFQEKTNRTTILAPLVGIILNLMDASSDRVQGDLNDIIGVFASMDCPTTVHCGIKYLLGYNWSGVLKGDASLAKLAQLEEFSNYLMSRSKEKVGISGEEMENCCCICYACDSDAMFKPCNHMSCFGCITRHLLNCERCFFCNATVTSVVRMKKTRN >DRNTG_24242.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19892979:19897290:-1 gene:DRNTG_24242 transcript:DRNTG_24242.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Related to KPC1 [Source:Projected from Arabidopsis thaliana (AT2G22010) UniProtKB/TrEMBL;Acc:F4IIK4] MAGEGSKMNGFSSGLAVILSSDEQCVNYNKSHLVSCRDDIGHQSVERTLEYVFDLPHKSIHSPSTSIDVNSIRLLLRDQLLRFEDGSCSCSRQRDGITITNPGSGRNKIVIDSTSICGDIRIVRYPLLIESLAVFSSVRVNANVWKGKWMYEVTLETAGIQQLGWATISCPFTYHKGVGDAENSYAFDGKRVSKWNKDARSYGQSWTVGDVIGCCINLESNEISFYRNGVSLGVAFDGILKMEPGFGYFPAVSLSEGECCDLNFGSRPFKFPIDGFNPIEEPPTMLLYAYYLLQCLSRLLEVQRLDKPDSSYFEKLRRFKRFVPLEGLFHPISQGICSEFFAVISTNNSIEYIAWGTVRTVLLEIFGVQAPHDYASLDQVVDLFLKFPGSKSMFQHLIAALSYSCKTAPLILTDCPYSGSYPYLALACHVLRNAAVMGSWWISFDFEFTLEGFLSRKCPNKQDLQCMIPSVWWPGSSEDIGSESSMMLTTTALAGAFDKIEEMHRELCLLVIHFIPPTKSQQPGSVFRSFLQSFILKIRGADHKMTSASVSGNSALASLFSVILHFLSEGLTLEDASGSLKGSRTVAATDGGFLHKSGRRSFPVGLFFKESPYYSGFSRLGGSTSHLIKSSPVSEVETEVKWEEGCMDDEYTKVTHSTRQKPCCCSISDVDSTRILKDNIRYTARSSKGPCSSISDRSANVAAECNAGSLNDEIVDKPSTSDHTEADFGYRSLQYLENPPTTSQSSAGVLREEELLHVMLLLYHLGVAPNFRQASILKCFVSITCISL >DRNTG_24242.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19885520:19892528:-1 gene:DRNTG_24242 transcript:DRNTG_24242.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Related to KPC1 [Source:Projected from Arabidopsis thaliana (AT2G22010) UniProtKB/TrEMBL;Acc:F4IIK4] MSHQSQSITLLDDTDKQIREKSCMEQVKRLKEARNVYREELVDCVRQCAWYRVSLFARWKQRGMYATCMWVVQLLLVLSDIDSLFLYIPEFYLEALVDCFHALRKSDPPFVSSGIFIKQGLASFVTFVVKHFNDPRISSADIKDLLLQSMSVLVQYKDYLAAFEMNKEALQRMPKALLSSFDNRSWIPVTNILVRLCKGSGFGSTKHAESSASLLFQVLLREICIHNEELFSSFLNRLFNTLSWTMTEFSVSIREMQDNHQIADLQQRKCGVIFDISCNLARVLEFCTREIPQAFLCGPVMNLRRLTELIIFILNHIISVADAEFFDLSLRRPGQFQEKTNRTTILAPLVGIILNLMDASSDRVQGDLNDIIGVFASMDCPTTVHCGIKYLLGYNWSGVLKGDASLAKLAQLEEFSNYLMSRSKEKVGISGEEMENCCCICYACDSDAMFKPCNHMSCFGCITRHLLNCERCFFCNATVTSVVRMKKTRN >DRNTG_33381.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20921180:20922418:1 gene:DRNTG_33381 transcript:DRNTG_33381.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLLYLLPLLLLLLVFGLAVICSDAAALVPNVEHPTNCIESERMALLDFKKNIIDPYNNLSSWVGHACCSWDGVQCDNTTGNIVGLALGQHGSVIFHLQGGEISPTLLSLQHLNYLDLSGNDFRGTNVPSFISHFKDLKYLNLARAGFGGPVPSSFGNLSSLQTLDLSYNDGVYVDDAAHQWLSHLTSLQHLVFSGVTFSSHSSNSLFLALNKLPSINEIRLSECQLQSIPPSIPHLNFSSLLVLDLSFNSINFSVSPWVFNLKSLEYLDLSNNIFGSSISADYLSSNFSTDIAKGVGNLCNLKTLDLSDSNMGMRLAELNFTGCIKDSLQLICI >DRNTG_11705.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14304734:14305221:1 gene:DRNTG_11705 transcript:DRNTG_11705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSVAYLLGRVNGTQFLVKTSGLNQPKAHKGLVVPGVVILHGTDAIDETARYKKALWPNSYSDYLMACGSDHSPMFIRVKPAINLETKKALKEWDRNVFRKMNERLELVRLELEQI >DRNTG_04421.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22120204:22123090:-1 gene:DRNTG_04421 transcript:DRNTG_04421.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSKVSIPLRHHPFFSSPNPNPNPNPNPNPKAIPLKPLRLHPLRSSIPPSPPITTSTASPPTPAQTRVDILSESLPFIQRFRGKTIVVKYGGAAMKSAALQRNVLSDLVLLSCVGLRLVLVHGGGPEINAWLLRTGHEPKFHNGLRVTDAHTMEVVEMVLAGKINKNLVAEINMLGGTGVGLCGKDARLLTARPSSESAVLGFVGEVARVDPSVLRPILADGHIPVIASVAADEAGQGYNVNADTAAGELAAAVGAEKLILLTDVAGILEDRNDPGSLVKEIDIAGIRRMMEEGKVAGGMIPKVSCCVRSLAQGVRTASIIDGRVPHSLLLEILTDEGAGTMITG >DRNTG_04421.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22120261:22123090:-1 gene:DRNTG_04421 transcript:DRNTG_04421.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSKVSIPLRHHPFFSSPNPNPNPNPNPNPKAIPLKPLRLHPLRSSIPPSPPITTSTASPPTPAQTRVDILSESLPFIQRFRGKTIVVKYGGAAMKSAALQRNVLSDLVLLSCVGLRLVLVHGGGPEINAWLLRTGHEPKFHNGLRVTDAHTMEVVEMVLAGKINKNLVAEINMLGGTGVGLCGKDARLLTARPSSESAVLGFVGEVARVDPSVLRPILADGHIPVIASVAADEAGQGYNVNADTAAGELAAAVGAEKLILLTDVAGILEDRNDPGSLVKEIDIAGIRRMMEEGKVAGGMIPKVSCCVRSLAQGVRTASIIDGRVPHSLLLEILTDEGAGTMITG >DRNTG_04421.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22120204:22123090:-1 gene:DRNTG_04421 transcript:DRNTG_04421.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSKVSIPLRHHPFFSSPNPNPNPNPNPNPKAIPLKPLRLHPLRSSIPPSPPITTSTASPPTPAQTRVDILSESLPFIQRFRGKTIVVKYGGAAMKSAALQRNVLSDLVLLSCVGLRLVLVHGGGPEINAWLLRTGHEPKFHNGLRVTDAHTMEVVEMVLAGKINKNLVAEINMLGGTGVGLCGKDARLLTARPSSESAVLGFVGEVARVDPSVLRPILADGHIPVIASVAADEAGQGYNVNADTAAGELAAAVGAEKLILLTDVAGILEDRNDPGSLVKEIDIAGIRRMMEEGKVAGGMIPKVSCCVRSLAQGVRTASIIDGRVPHSLLLEILTDEGAGTMITG >DRNTG_04421.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22120261:22123171:-1 gene:DRNTG_04421 transcript:DRNTG_04421.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSKVSIPLRHHPFFSSPNPNPNPNPNPNPKAIPLKPLRLHPLRSSIPPSPPITTSTASPPTPAQTRVDILSESLPFIQRFRGKTIVVKYGGAAMKSAALQRNVLSDLVLLSCVGLRLVLVHGGGPEINAWLLRTGHEPKFHNGLRVTDAHTMEVVEMVLAGKINKNLVAEINMLGGTGVGLCGKDARLLTARPSSESAVLGFVGEVARVDPSVLRPILADGHIPVIASVAADEAGQGYNVNADTAAGELAAAVGAEKLILLTDVAGILEDRNDPGSLVKEIDIAGIRRMMEEGKVAGGMIPKVSCCVRSLAQGVRTASIIDGRVPHSLLLEILTDEGAGTMITG >DRNTG_04421.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22120204:22123171:-1 gene:DRNTG_04421 transcript:DRNTG_04421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSKVSIPLRHHPFFSSPNPNPNPNPNPNPKAIPLKPLRLHPLRSSIPPSPPITTSTASPPTPAQTRVDILSESLPFIQRFRGKTIVVKYGGAAMKSAALQRNVLSDLVLLSCVGLRLVLVHGGGPEINAWLLRTGHEPKFHNGLRVTDAHTMEVVEMVLAGKINKNLVAEINMLGGTGVGLCGKDARLLTARPSSESAVLGFVGEVARVDPSVLRPILADGHIPVIASVAADEAGQGYNVNADTAAGELAAAVGAEKLILLTDVAGILEDRNDPGSLVKEIDIAGIRRMMEEGKVAGGMIPKVSCCVRSLAQGVRTASIIDGRVPHSLLLEILTDEGAGTMITG >DRNTG_04421.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22120261:22123090:-1 gene:DRNTG_04421 transcript:DRNTG_04421.7 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSKVSIPLRHHPFFSSPNPNPNPNPNPNPKAIPLKPLRLHPLRSSIPPSPPITTSTASPPTPAQTRVDILSESLPFIQRFRGKTIVVKYGGAAMKSAALQRNVLSDLVLLSCVGLRLVLVHGGGPEINAWLLRTGHEPKFHNGLRVTDAHTMEVVEMVLAGKINKNLVAEINMLGGTGVGLCGKDARLLTARPSSESAVLGFVGEVARVDPSVLRPILADGHIPVIASVAADEAGQGYNVNADTAAGELAAAVGAEKLILLTDVAGILEDRNDPGSLVKEIDIAGIRRMMEEGKVAGGMIPKVSCCVRSLAQGVRTASIIDGRVPHSLLLEILTDEGAGTMITG >DRNTG_04421.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22120204:22123171:-1 gene:DRNTG_04421 transcript:DRNTG_04421.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSKVSIPLRHHPFFSSPNPNPNPNPNPNPKAIPLKPLRLHPLRSSIPPSPPITTSTASPPTPAQTRVDILSESLPFIQRFRGKTIVVKYGGAAMKSAALQRNVLSDLVLLSCVGLRLVLVHGGGPEINAWLLRTGHEPKFHNGLRVTDAHTMEVVEMVLAGKINKNLVAEINMLGGTGVGLCGKDARLLTARPSSESAVLGFVGEVARVDPSVLRPILADGHIPVIASVAADEAGQGYNVNADTAAGELAAAVGAEKLILLTDVAGILEDRNDPGSLVKEIDIAGIRRMMEEGKVAGGMIPKVSCCVRSLAQGVRTASIIDGRVPHSLLLEILTDEGAGTMITG >DRNTG_04421.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22121867:22123090:-1 gene:DRNTG_04421 transcript:DRNTG_04421.8 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSKVSIPLRHHPFFSSPNPNPNPNPNPNPKAIPLKPLRLHPLRSSIPPSPPITTSTASPPTPAQTRVDILSESLPFIQRFRGKTIVVKYGGAAMKSAALQRNVLSDLVLLSCVGLRLVLVHGGGPEINAWLLRTGHEPKFHNGLRVTDAHTMEVVEMVLAGKINKNLVAEINMLGGTGVGLCGKDARLLTARPSSESAVLGFVGEVARVDPSVLRPILADGHIPVIASVAADEAGQGYNVNADTAAGELAAAVGAEKLILLTDVAGILEDRNDPGSLVKEIDIAGIRRMMEEGKVAGGMIPKVSCCVRSLAQGVRTASIIDGRVPHSLLLEILTDEGAGTMITG >DRNTG_33644.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1981617:1983710:1 gene:DRNTG_33644 transcript:DRNTG_33644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELVTRTLIPFTLYSLFFLFALIFLFITSSIASIFIIFIKESLRNSGQAPIFGTIFNHLINFNSLLDCHLNNARKNLTFQFLRSSHSEIVTADSVIVEYILKGSFTKYNKGKYNHEIMYDLFGDGIFAVDGKKWRHQRELASYEFSVKDRK >DRNTG_01207.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:394718:396523:-1 gene:DRNTG_01207 transcript:DRNTG_01207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSLSEVQYIGEQNPGVKPMDDVVEEHMMLQVEHPQQRAVDKLAFTIHDRKQIVPDDYYQRRACDVDQPNDSNGNVDTLTKLDCAQGNSVNVNGFLAAENPLSKVKCCKLSQGDERLNKSTEGNTNFIKNNSFYQEVCDLSNGSMEEEAISLKNESNTDKSEQLKGEELAPVCVSQNNLDHSYTGREQGLEVASGICGACNYVVHLVGDRVNQTNENKDGSQVSSDMDLLRSATSDSCREEEDQNTTVCEPSDLASSIDYEQGILSLQCQDCKSFTSSKDYHLSKEKVGDKVQDNNLVGEIGPSGVEFPAEEQPV >DRNTG_01207.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:394718:395835:-1 gene:DRNTG_01207 transcript:DRNTG_01207.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSLSEVQYIGEQNPGVKPMDDVVEEHMMLQVEHPQQRAVDKLAFTIHDRKQIVPDDYYQRRACDVDQPNDSNGNVDTLTKLDCAQGNSVNVNGFLAAENPLSKVKCCKLSQGDERLNKSTEGNTNFIKNNSFYQEVCDLSNGSMEEEAISLKNESNTDKSEQLKGEELAPVCVSQNNLDHSYTGREQGLEVASGICGACNYVVHLVGDRVNQTNENKDGSQVSSDMDLLRSATSDSCREEEDQNTTVCEPSDLASSIDYEQGILSLQCQDCKSFTSSKDYHLSKEKVGDKVQDNNLVGEIGPSGVEFPAEEQPV >DRNTG_06561.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22653944:22655612:1 gene:DRNTG_06561 transcript:DRNTG_06561.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLELEQECLEVYRRKVDQANRSRAQLRQAIAVSEAEIAAICSAMGERPVHSGQSTGSLREEFSVIIPLLDEMQKRKAERRSRFLEFFEQIKHISNELSSEECNHRKNALDESDLSIKKLEELQEQLESLQKE >DRNTG_13452.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19286323:19290391:-1 gene:DRNTG_13452 transcript:DRNTG_13452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDEGILGRRVDDFPQDRRHDHRGGFFMDSLLLLSISLIVEAFSKKVDRIVTSRQQNIPCYNAYHPTEVGYPNVLCYNGEQHWEAPQEECQTGEILGEDAFQLQRVLSNFIEASDVCVQNMETTRRCHEASYKNIEHQLGGILDTLSREQQLFEQASQVPYREDVVVNDNEEVGRNEYDAVEIERIQEEPFTRYDDCLSGQYVCEQEMMQGELVKTDCFQVEIEEEATTKVMEHVSLFGIDQFINCKKEILGLEEDVGRRLKPSNDAPVLSLDNSQPKLFPWKPKSLQNFLMHPEKTHGSVEFPHDRGFALRAHQEKAQGRAATPVNDHATITRPWLISARACDFLQSWVVYPESTQGHGLAPVGDLVNHTRAWRCSQLGFGVAFARVLEKFYELQYPFLRKIVIEEAFISIDSEKCDLGLTREPFEKTWLLHKTIDKNTKGFYLWIICFYF >DRNTG_00473.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30719596:30725218:-1 gene:DRNTG_00473 transcript:DRNTG_00473.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSQWRQAIGIALAIKIILVLQPALGLASYRREEIVSQHGVVAADDWRCSRVGRDVLKEGGHAVDAAVAVALCLGVVSPASSGIGGGAFMLLRLSNGKAQAFDMRETAPLMSSKNMFGGNATLKANGPLSIAVPGEVAGLHEAWKMYGKLPWKRLVMPAELFARNGYKISPYLHMQMSKTKEAIFADEGLRNMLTLNGKLLQPGDICYNKRLASTLQAISMHGQKAFYNGLVGKALVGDIQKVGGILTMKDLQEYHVKITEPISANIMGLEILGMPPPSSGTAAMILILNILTQYGIPSGVSGSLGLHRLIESLKHAFAVRMKLGDPAFVNVTQVVSDMLSPKFAAELKKTIFDNMTFSPDHYGGKYKPLVDHGTSHICIVDRERNAISMTSTVNGYFGAHIQSLSTGILLNNEMDDFSIPANSSSNDVPPPAPANFISPFKRPLSSMTPTIVLKNGQLKAVVGASGGSMIIAGTTEVFLNHFGKGMDPLSSVFAPRSYHQLIPNVLQYENWTTVTGDHFEVPAETRAALKKKGHVLQALAGGTICQFVVHSLKNEKVLGGVIHGKLTAISDPRKGGVPA >DRNTG_00473.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30719596:30725218:-1 gene:DRNTG_00473 transcript:DRNTG_00473.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSQWRQAIGIALAIKIILVLQPALGLASYRREEIVSQHGVVAADDWRCSRVGRDVLKEGGHAVDAAVAVALCLGVVSPASSGIGGGAFMLLRLSNGKAQAFDMRETAPLMSSKNMFGGNATLKANGPLSIAVPGEVAGLHEAWKMYGKLPWKRLVMPAELFARNGYKISPYLHMQMSKTKEAIFADEGLRNMLTLNGKLLQPGDICYNKRLASTLQAISMHGQKAFYNGLVGKALVGDIQKVGGILTMKDLQEYHVKITEPISANIMGLEILGMPPPSSGTAAMILILNILTQYGIPSGVSGSLGLHRLIESLKHAFAVRMKLGDPAFVNVTQVVSDMLSPKFAAELKKTIFDNMTFSPDHYGGKYKPLVDHGTSHICIVDRERNAISMTSTVNGYFGAHIQSLSTGILLNNEMDDFSIPANSSSNDVPPPAPANFISPFKRPLSSMTPTIVLKNGQLKAVVGASGGSMIIAGTTEVFLNHFGKGMDPLSSVFAPRSYHQLIPNVLQYENWTTVTGDHFEVPAETRAALKKKGHVLQALAGGTICQFVVHSLKNEKVLGGVIHGKLTAISDPRKGGVPA >DRNTG_00473.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30719596:30726378:-1 gene:DRNTG_00473 transcript:DRNTG_00473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQWRQAIGIALAIKIILVLQPALGLASYRREEIVSQHGVVAADDWRCSRVGRDVLKEGGHAVDAAVAVALCLGVVSPASSGIGGGAFMLLRLSNGKAQAFDMRETAPLMSSKNMFGGNATLKANGPLSIAVPGEVAGLHEAWKMYGKLPWKRLVMPAELFARNGYKISPYLHMQMSKTKEAIFADEGLRNMLTLNGKLLQPGDICYNKRLASTLQAISMHGQKAFYNGLVGKALVGDIQKVGGILTMKDLQEYHVKITEPISANIMGLEILGMPPPSSGTAAMILILNILTQYGIPSGVSGSLGLHRLIESLKHAFAVRMKLGDPAFVNVTQVVSDMLSPKFAAELKKTIFDNMTFSPDHYGGKYKPLVDHGTSHICIVDRERNAISMTSTVNGYFGAHIQSLSTGILLNNEMDDFSIPANSSSNDVPPPAPANFISPFKRPLSSMTPTIVLKNGQLKAVVGASGGSMIIAGTTEVFLNHFGKGMDPLSSVFAPRSYHQLIPNVLQYENWTTVTGDHFEVPAETRAALKKKGHVLQALAGGTICQFVVHSLKNEKVLGGVIHGKLTAISDPRKGGVPA >DRNTG_00473.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30719596:30725460:-1 gene:DRNTG_00473 transcript:DRNTG_00473.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQWRQAIGIALAIKIILVLQPALGLASYRREEIVSQHGVVAADDWRCSRVGRDVLKEGGHAVDAAVAVALCLGVVSPASSGIGGGAFMLLRLSNGKAQAFDMRETAPLMSSKNMFGGNATLKANGPLSIAVPGEVAGLHEAWKMYGKLPWKRLVMPAELFARNGYKISPYLHMQMSKTKEAIFADEGLRNMLTLNGKLLQPGDICYNKRLASTLQAISMHGQKAFYNGLVGKALVGDIQKVGGILTMKDLQEYHVKITEPISANIMGLEILGMPPPSSGTAAMILILNILTQYGIPSGVSGSLGLHRLIESLKHAFAVRMKLGDPAFVNVTQVVSDMLSPKFAAELKKTIFDNMTFSPDHYGGKYKPLVDHGTSHICIVDRERNAISMTSTVNGYFGAHIQSLSTGILLNNEMDDFSIPANSSSNDVPPPAPANFISPFKRPLSSMTPTIVLKNGQLKAVVGASGGSMIIAGTTEVFLNHFGKGMDPLSSVFAPRSYHQLIPNVLQYENWTTVTGDHFEVPAETRAALKKKGHVLQALAGGTICQFVVHSLKNEKVLGGVIHGKLTAISDPRKGGVPA >DRNTG_00473.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30719596:30725218:-1 gene:DRNTG_00473 transcript:DRNTG_00473.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQWRQAIGIALAIKIILVLQPALGLASYRREEIVSQHGVVAADDWRCSRVGRDVLKEGGHAVDAAVAVALCLGVVSPASSGIGGGAFMLLRLSNGKAQAFDMRETAPLMSSKNMFGGNATLKANGPLSIAVPGEVAGLHEAWKMYGKLPWKRLVMPAELFARNGYKISPYLHMQMSKTKEAIFADEGLRNMLTLNGKLLQPGDICYNKRLASTLQAISMHGQKAFYNGLVGKALVGDIQKVGGILTMKDLQEYHVKITEPISANIMGLEILGMPPPSSGTAAMILILNILTQYGIPSGVSGSLGLHRLIESLKHAFAVRMKLGDPAFVNVTQVVSDMLSPKFAAELKKTIFDNMTFSPDHYGGKYKPLVDHGTSHICIVDRERNAISMTSTVNGYFGAHIQSLSTGILLNNEMDDFSIPANSSSNDVPPPAPANFISPFKRPLSSMTPTIVLKNGQLKAVVGASGGSMIIAGTTEVFLNHFGKGMDPLSSVFAPRSYHQLIPNVLQYENWTTVTGDHFEVPAETRAALKKKGHVLQALAGGTICQFVVHSLKNEKVLGGVIHGKLTAISDPRKGGVPA >DRNTG_15238.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23421852:23423598:-1 gene:DRNTG_15238 transcript:DRNTG_15238.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVSDADAGAGAGVGAGSEVEDEALLLKSQAEDLYGAKSFKSAHKHARRARRLKPDLAGIDAMVAALKTLRAPVADPYAALHLPPLSSSATARSQFESLSLLFSGDAFAGAAEAMDRISAAFDVLSDRPRKRALDLRLREAIASAAPLEEATFWTACAACRLLHEFDRRHLGQRLLCPRCLKPFLALEVPPSQSISSNTPSSNPGVGVRAPRSKSSSRPRIPINWPATNLRKRKTNASNPPSPKNPKRRIEKTLAEIQLELSKTLRKKNAEKKRKEKEMENSIVAVKEEIEDGDLSLMAVEDSDFYDFDKDRSERCFKKGQVWAIYDDDDGMPRHYGLIDEAVSSNPFRVKMSWLDVQSDGDEAIMLFEKSGHHVSCGRFKVGRKVDIDSVNMFSHLVDCERAAKEVYRVYPRKGSVWALYGEENLGSEGERRYDIVVFLTSYSEMHGLSMGYLEKVEGFKTIFKRREIGCHAVKWLEKDNVRLFSHQIPARKLTDMEGVDLPGECWELDPASLPADLLRLGQCR >DRNTG_15238.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23421178:23423598:-1 gene:DRNTG_15238 transcript:DRNTG_15238.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVSDADAGAGAGVGAGSEVEDEALLLKSQAEDLYGAKSFKSAHKHARRARRLKPDLAGIDAMVAALKTLRAPVADPYAALHLPPLSSSATARSQFESLSLLFSGDAFAGAAEAMDRISAAFDVLSDRPRKRALDLRLREAIASAAPLEEATFWTACAACRLLHEFDRRHLGQRLLCPRCLKPFLALEVPPSQSISSNTPSSNPGVGVRAPRSKSSSRPRIPINWPATNLRKRKTNASNPPSPKNPKRRIEKTLAEIQLELSKTLRKKNAEKKRKEKEMENSIVAVKEEIEDGDLSLMAVEDSDFYDFDKDRSERCFKKGQVWAIYDDDDGMPRHYGLIDEAVSSNPFRVKMSWLDVQSDGDEAIMLFEKSGHHVSCGRFKVGRKVDIDSVNMFSHLVDCERAAKEVYRVYPRKGSVWALYGEENLGSEGERRYDIVVFLTSYSEMHGLSMGYLEKVEGFKTIFKRREIGCHAVKWLEKDNVRLFSHQIPARKLTDMEGVDLPGECWELDPASLPADLLRLARNKFCFHCCESVADIRK >DRNTG_15238.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23420255:23423598:-1 gene:DRNTG_15238 transcript:DRNTG_15238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVSDADAGAGAGVGAGSEVEDEALLLKSQAEDLYGAKSFKSAHKHARRARRLKPDLAGIDAMVAALKTLRAPVADPYAALHLPPLSSSATARSQFESLSLLFSGDAFAGAAEAMDRISAAFDVLSDRPRKRALDLRLREAIASAAPLEEATFWTACAACRLLHEFDRRHLGQRLLCPRCLKPFLALEVPPSQSISSNTPSSNPGVGVRAPRSKSSSRPRIPINWPATNLRKRKTNASNPPSPKNPKRRIEKTLAEIQLELSKTLRKKNAEKKRKEKEMENSIVAVKEEIEDGDLSLMAVEDSDFYDFDKDRSERCFKKGQVWAIYDDDDGMPRHYGLIDEAVSSNPFRVKMSWLDVQSDGDEAIMLFEKSGHHVSCGRFKVGRKVDIDSVNMFSHLVDCERAAKEVYRVYPRKGSVWALYGEENLGSEGERRYDIVVFLTSYSEMHGLSMGYLEKVEGFKTIFKRREIGCHAVKWLEKDNVRLFSHQIPARKLTDMEGVDLPGECWELDPASLPADLLRLDPHSKRSI >DRNTG_29069.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1699599:1704699:1 gene:DRNTG_29069 transcript:DRNTG_29069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSFNILSWNCRGITTGNTLIRIKRLIKKYNLSIACFVETRADSDRLDRFCSKLSRTWEWASILAEGFSGGIIVIWKKCLGQVTPLAVSRRALHLIISPTPNSNHIISIIYNSHLIRNQRLLWQELDKIADLNFPWLLVGDFNCILSREDHKGGLYAYYYRKALLFSNFIEINNLFDLQGSGSRFTWCNNQFGPARRWARLDWCLINNVWQDKYRSYSLKLLPRIYSDHAPLLLSIQVNATHFKFPFRFQNHWINYIGCINAVRDAWNFAPRGNPMHALTHLFARARSKINLWKSTGFTSIDSTIHSIEAEILSLELAEYYDTDVAYKLSDLYGKYSDLQFQNAYRWSQRTHLNWLLNGDRNTAFFHNMVQVRNHLNSINFVYDSFGNCWSDRNDIEDAFIHFYTNLWSETVDRNPFEIALDLPHDLPQVFDADAILLSRDVSREEIYFALFNLPLGKSPGPDGFSTEFFRFFWDNIGDQVCTAIKFFFDYSVMPASWGKTFVVFIPKNDNPRLVSNFRPISLCNVCFKIISKILANRLCSVLPKLIGREQSGFVAGRGPSDNIIALHEVAHSIERDFNDPSRMIIKIDIEKAYDTLRWSAILATLTKMNFPSQCITWIKTCLTSTSFSFLINGCPSRWISSSRGIRQGDPISLYLFILVSQILTTTLNSALQTGTIPGFNSNLNYNFNHLMYADDLVLITRASRLAARNINQCLNYYGYLTGQHLNVNKSAIYFPSWFNKRVQASICNILNIKSASFPCKYLGILISPKKLAIAVFRHLIDKISHSCTRWKHSNLSMAAKVILINSSLLSIPNYYLSVYPIPDIILKNISKIVRDFLWHRRGNGKGIHNVGWSCITECKREGGLGIRNISIAKHSLFAKNVFKYLNHDNALWVDILIHKYGHLNFWCDTSPSNSSTFFKGLCNTAQILKPNCWINCLNPSFTSAYNDH >DRNTG_35105.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5991055:5998849:-1 gene:DRNTG_35105 transcript:DRNTG_35105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKYEKLSSDLADMKELFGGFMAERSLNDRMSKAPAEEVIKDSKCSHDPRRVFLSEDRNDNPLECIVFKIKVVRLAPNVITLFCLSLLKFTYYLLRGFLGRYDISQLVAMNYQARMGLMAAGCYGLPRMWSNVVAYDESQRPTLDKTLLLGNAIRDLPQITNAEKRDEMPYGQPPITNFQRKIRLTKDDMI >DRNTG_31443.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:729260:734605:1 gene:DRNTG_31443 transcript:DRNTG_31443.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQAYNRLGSSGSGGGGGGSPSPPASPRRSSPRLVRRPSKSSGGRPPPPPRSLMQRAAWMLLSLLLRRQGIFLFAPLIYVSGMLLYMGTVSLDSVPSIISRPAPGSVYRSPELYQRLRPAMDADNSSDGLATVWKHAYKGGVWRPCINQSTNGLPESNGFIFVEANGGLNQQRTSICNAVAVAGYLNATLVIPNFHYHSIWRDPSKFSDIYDEDHFISTLTNDVRVVSKVPEFIMERYDHNMSNVHNFRIKAWSSIHHYKDVVLPKLIEERFIRISPFANRLSLNAPPVVQRLRCLANFEALRFSKPISTLAETLVSRMKERSADSDGRYIAVHLRFEEDMVAFSCCVFDGGEHEKIAMDAARERGWRGKFTKRGRVIRPGVIRISGKCPLTPLEVGLMLRGMGFSNNTLLYLASGKIYNAEKVMGPLLEMFPLLQTKEMLASAEELAPFKNYSSRMAAIDYSVCLHSEVFVTTQGGNFPHFLIGHRRYLYGGHSKTIKPDKKKLALLFDNRNIGWKALKHQLLNIRAHSDSKGIEIKRANDSIYTYPCPDCMCRSNKLESTKSLSSAQ >DRNTG_31443.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:732248:734605:1 gene:DRNTG_31443 transcript:DRNTG_31443.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKERSADSDGRYIAVHLRFEEDMVAFSCCVFDGGEHEKIAMDAARERGWRGKFTKRGRVIRPGVIRISGKCPLTPLEVGLMLRGMGFSNNTLLYLASGKIYNAEKVMGPLLEMFPLLQTKEMLASAEELAPFKNYSSRMAAIDYSVCLHSEVFVTTQGGNFPHFLIGHRRYLYGGHSKTIKPDKKKLALLFDNRNIGWKALKHQLLNIRAHSDSKGIEIKRANDSIYTYPCPDCMCRSNKLESTKSLSSAQ >DRNTG_31443.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:731396:734605:1 gene:DRNTG_31443 transcript:DRNTG_31443.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERYDHNMSNVHNFRIKAWSSIHHYKDVVLPKLIEERFIRISPFANRLSLNAPPVVQRLRCLANFEALRFSKPISTLAETLVSRMKERSADSDGRYIAVHLRFEEDMVAFSCCVFDGGEHEKIAMDAARERGWRGKFTKRGRVIRPGVIRISGKCPLTPLEVGLMLRGMGFSNNTLLYLASGKIYNAEKVMGPLLEMFPLLQTKEMLASAEELAPFKNYSSRMAAIDYSVCLHSEVFVTTQGGNFPHFLIGHRRYLYGGHSKTIKPDKKKLALLFDNRNIGWKALKHQLLNIRAHSDSKGIEIKRANDSIYTYPCPDCMCRSNKLESTKSLSSAQ >DRNTG_31443.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:730780:731972:1 gene:DRNTG_31443 transcript:DRNTG_31443.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIACTSMVVIQKSIKEGFPLIPCYVFYLLK >DRNTG_31443.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:730649:732529:1 gene:DRNTG_31443 transcript:DRNTG_31443.5 gene_biotype:protein_coding transcript_biotype:protein_coding MERYDHNMSNVHNFRIKAWSSIHHYKDVVLPKLIEERFIRISPFANRLSLNAPPVVQRLRCLANFEALRFSKPISTLAETLVSRMKERSADSDGRYIAVHLRFEE >DRNTG_31443.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:729260:734605:1 gene:DRNTG_31443 transcript:DRNTG_31443.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERYDHNMSNVHNFRIKAWSSIHHYKDVVLPKLIEERFIRISPFANRLSLNAPPVVQRLRCLANFEALRFSKPISTLAETLVSRMKERSADSDGRYIAVHLRFEEDMVAFSCCVFDGGEHEKIAMDAARERGWRGKFTKRGRVIRPGVIRISGKCPLTPLEVGLMLRGMGFSNNTLLYLASGKIYNAEKVMGPLLEMFPLLQTKEMLASAEELAPFKVILRTCSFQ >DRNTG_31443.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:733362:734605:1 gene:DRNTG_31443 transcript:DRNTG_31443.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIFAGLMSIYFFQVGLMLRGMGFSNNTLLYLASGKIYNAEKVMGPLLEMFPLLQTKEMLASAEELAPFKNYSSRMAAIDYSVCLHSEVFVTTQGGNFPHFLIGHRRYLYGGHSKTIKPDKKKLALLFDNRNIGWKALKHQLLNIRAHSDSKGIEIKRANDSIYTYPCPDCMCRSNKLESTKSLSSAQ >DRNTG_24629.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31153460:31156648:1 gene:DRNTG_24629 transcript:DRNTG_24629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPEGSLNEHLSGKTSMFGLKVWVVIGISVGAFMLCILFMLVIYLNTRNQRKLRNSSFKLPISQIPTISREIKEVKAEHFSTNDFVAHEGILLAIQEKSSEKVLDKGNAHVGVGGSHSESFRVADKEFGFQSGDEMNSGALALNRPSYSHPIEGPSPFTGLPEFSQLGWGHWFTLRDLEIATNRFSKENVIGEGGYGIVYHGHLINGTPVAIKKLLNNLGQAEKEFRVEVDAIGHVRHKNLVRLLGYCIEGTQRFLFILILKSSLFFLAFLIDNSHLNKDAGL >DRNTG_24629.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31153460:31156093:1 gene:DRNTG_24629 transcript:DRNTG_24629.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPEGSLNEHLSGKTSMFGLKVWVVIGISVGAFMLCILFMLVIYLNTRNQRKLRNSSFKLPISQIPTISREIKEVKAEHFSTNDFVAHEGILLAIQEKSSEKVLDKGNAHVGVGGSHSESFRVADKEFGFQSGDEMNSGALALNRPSYSHPIEGPSPFTGLPEFSQLGWGHWFTLRDLEIATNRFSKENVIGEGGYGIVYHGHLINGTPVAIKKLLNNLGQAEKEFRVEVDAIGHVRHKNLVRLLGYCIEGTQRMLVYEYVNNGNLEQWLHGAMGGTLTWAARIKVLIGTAKALAYLHEAIEPKVVHRDIKSSNILIDDDFNAKVSDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVLLLEAITGRDPVDYGRPTPEVNLVDWLKMMIGSRRAEDVVDPNLETRPTTRALKRALLTALRCLDPDSGKRPRMSKVVSMLETDDDPIERENRRRRKNQSGSKEIDFHRES >DRNTG_24629.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31153460:31156093:1 gene:DRNTG_24629 transcript:DRNTG_24629.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPEGSLNEHLSGKTSMFGLKVWVVIGISVGAFMLCILFMLVIYLNTRNQRKLRNSSFKLPISQIPTISREIKEVKAEHFSTNDFVAHEGILLAIQEKSSEKVLDKGNAHVGVGGSHSESFRVADKEFGFQSGDEMNSGALALNRPSYSHPIEGPSPFTGLPEFSQLGWGHWFTLRDLEIATNRFSKENVIGEGGYGIVYHGHLINGTPVAIKKLLNNLGQAEKEFRVEVDAIGHVRHKNLVRLLGYCIEGTQRMLVYEYVNNGNLEQWLHGAMGGTLTWAARIKVLIGTAKALAYLHEAIEPKVVHRDIKSSNILIDDDFNAKVSDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVLLLEAITGRDPVDYGRPTPEVNLVDWLKMMIGSRRAEDVVDPNLETRPTTRALKRALLTALRCLDPDSGKRPRMSKVVSMLETDDDPIERENRRRRKNQSGSKEIDFHRES >DRNTG_24629.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31153460:31156093:1 gene:DRNTG_24629 transcript:DRNTG_24629.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPEGSLNEHLSGKTSMFGLKVWVVIGISVGAFMLCILFMLVIYLNTRNQRKLRNSSFKLPISQIPTISREIKEVKAEHFSTNDFVAHEGILLAIQEKSSEKVLDKGNAHVGVGGSHSESFRVADKEFGFQSGDEMNSGALALNRPSYSHPIEGPSPFTGLPEFSQLGWGHWFTLRDLEIATNRFSKENVIGEGGYGIVYHGHLINGTPVAIKKLLNNLGQAEKEFRVEVDAIGHVRHKNLVRLLGYCIEGTQRMLVYEYVNNGNLEQWLHGAMGGTLTWAARIKVLIGTAKALAYLHEAIEPKVVHRDIKSSNILIDDDFNAKVSDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVLLLEAITGRDPVDYGRPTPEVNLVDWLKMMIGSRRAEDVVDPNLETRPTTRALKRALLTALRCLDPDSGKRPRMSKVVSMLETDDDPIERENRRRRKNQSGSKEIDFHRES >DRNTG_14985.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24005918:24010187:-1 gene:DRNTG_14985 transcript:DRNTG_14985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPGPVTPGQVSFLLGFFPVLVAWIYSEVLEYRKSSSPHKVHSDINLVELGNVAVKEDDRALLLEGGLTKSTSAKFQNASVRANLIRFITMDETFLLENRALLKAMSEFGAILAYFYLCDRTNLFPESKKNYSRDLFVFLYMLFIIASAITSLTKHHDKSAFSGKAILYLNRHQTEEWKGWMQVLFLMYHYFAASEIYNAIRIFIAAYVWMTGFGNFSYYYIRKDFSLARFAQMMWRLNFFVAFCCIVLNNDYMLYYICPMHTLFTLMVYGTLGIFNKYNEVSSVMAMKLVSCFLMVILIWEVPGVFDLLWTPFTFLLGYKDPEPSKTNLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNIEKWMEKLEESETKRRFTIKASIVSCALVVGYLWYEYIYKLDKLAYNKLHPYTSWIPITVYICLRNCTQQLRNYSLALLAWLGKITLETYISQIHIWLRSGIPNGQPKWLLSFIPNYPLLNFLLITTIYLLISYRIFELTNVLKTAFVPSKDNKRLWHNFVAGVAISFSLYFASLILLQIPRLSG >DRNTG_10215.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:4720:6782:1 gene:DRNTG_10215 transcript:DRNTG_10215.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTLYPLSVGLNLECRKNIQDYMLHHLHIEENQVPKMCLDLYKEYGTTMAGLKVLGYEFDNDEFHAYVHGKLPYENLKPDLVLRNLLLSMPQRKIIFTNADREHAAKVLNKLGLEDCFEGVICFETLNPSVVPGNTDEQIDSTDSNAQEYSNGKSPIICKPSLEAMQAAIKIANIDPKKTIFFDDSARNIASGKEAGLNTVYVGSSTLVPGADIALSSIHNIKEALPEIWEGQDQSDALLTSTRMETVVHA >DRNTG_10215.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:4720:6782:1 gene:DRNTG_10215 transcript:DRNTG_10215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVASESKYGCLLFDMDDTLYPLSVGLNLECRKNIQDYMLHHLHIEENQVPKMCLDLYKEYGTTMAGLKVLGYEFDNDEFHAYVHGKLPYENLKPDLVLRNLLLSMPQRKIIFTNADREHAAKVLNKLGLEDCFEGVICFETLNPSVVPGNTDEQIDSTDSNAQEYSNGKSPIICKPSLEAMQAAIKIANIDPKKTIFFDDSARNIASGKEAGLNTVYVGSSTLVPGADIALSSIHNIKEALPEIWEGQDQSDALLTSTRMETVVHA >DRNTG_22560.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001244.1:177238:177588:1 gene:DRNTG_22560 transcript:DRNTG_22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLREMLNHGYGADSVVYKNLIAGFIDFGNMDKALELFDELRERCKVLFGVLKHEKIKKVEKLFEQMLDAYTHPSFTR >DRNTG_26719.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4869741:4871140:-1 gene:DRNTG_26719 transcript:DRNTG_26719.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 26 [Source:Projected from Arabidopsis thaliana (AT4G08250) UniProtKB/Swiss-Prot;Acc:Q9SUF5] MMEVVMEEGGDISGCSSTTTTTIDDDLNYALADWSPLIVHSPMFSGTNNLPSVIETIIDKNTPKEEVGVEEEEDNKGLRLLHLLMASAEALSGPTNKGKSNDLAKVILARLKELLVWSSEIRSPTNMESLALHFTKALESLTHVSISHGNTAGAGNFLTAFQLLQDMSPYIKFGHFTANQAIIESVACDRRIHIIDYDIMEGVQWAALMQDLVSKNDGLPPPHLRVTALARAGGKQVINVQETGRRLIDFANSIGQPFSFSQCRLDSSDKLCASRIKLVKGEALVVNIMRHLPHSPHRSQTSMSSIISLVASLSPRLITVVEEENEEMEKGEGFILRFRQVLHRYSAVWDSLEAGFPMQRIARGMVENVLFGPRIAEDVKMAFERSDEGDGDGEGDWGGGEVECCRI >DRNTG_29819.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23252127:23253949:-1 gene:DRNTG_29819 transcript:DRNTG_29819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLITIDLYFYSSLFIISIILLIKQITKSSQKSSTITSCKLPPGPWQLPIIGSLHHLLSVNGGSLPHHKLAQLAKEHGPLMYLKLGQFSTIVVSSREVAKEIFKTHDVCVSDRPSIASADAVTYGAKEVIFGRYGDNWRQLKKICVLELLSQKRVQSFKSIRENEVFNLMLTVQNHYSSSSPVNITEELYSLANNVITRAVIGDRCKNQKRFLEVVEEVVELVTGFDLADLFPSLTWLTWLAGNTRKIKKNQRELDEFLDAILRDHEDERHTETDKDLVDVLLRIKDEDGDLHSPLTREGIKAVMFDILAAGSDTSWNVMEWVMSELMKNPEVMKKVQLELREVLQGKTKVNEEDLSGLKYLKLVIKETLRMHPPLTLLLPRECREAFEIMGYHIPVGTRVLVNAWALARDPEYWDEPLVFKPERFEGSGINYNGHCFEYIPFGAGRRMCPGMAFGLATIEFALAQLLYHFDWKLPNGLQPQDLDMCESFGVTARRTSSLLLQPIPRIPCSSI >DRNTG_03473.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:885204:887252:-1 gene:DRNTG_03473 transcript:DRNTG_03473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSWTRIIGWMFNGVCNAVTIFFFCAAALQHQAFRKGGEVVSRDILAGTVYTCVVWVVNCQMALSVSYFTLIQHIFIWGSIALWYLFLVIYGAITPSFSTDAYMLFVEALAPAASYWIVTLFVVLATLIPYFSYAAIQMRFFPMYHNMIQWIRFEGKADDPEYCQVVRQRSVRPTTVGVSARIDARVSQINSRVHHAVQT >DRNTG_26941.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30106276:30107429:-1 gene:DRNTG_26941 transcript:DRNTG_26941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFSENSLMTLYDDDEEEEEGSIKNGGSSSNSTSENIEGRPVRAYSRSKTPRLRWTPELHLSFVRAVERLGGPERATPKTVLQAMNTKEISISHVKSHLQMYRSKKIEDKALGAIGGQEEHIQNFNQVYMPQAMNQNPITSARHPWNNYGQWRMNWYFNGAMGTTMDARSMMLNQNYNMNNPIEAQHRDHRQFQLMQNECSERQEATQKDPMWKDDETEAGIDLNLSLRVAVRPEKKQRKCEAEGVNSSLSLSLFSPSKSSKA >DRNTG_33944.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:933468:937427:-1 gene:DRNTG_33944 transcript:DRNTG_33944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALLSPPPSFPLCSLLSSSRYHCQISRSNGKNQSSKKANLVVYSSQIPNSRNSLLRRDLLLFGLFSHPAVFFPPSDLLAEVELNEDVKMGLLVDDINAYSFQYPMELPKKKFSFKWVESRKPERYSSAAPLSPNARQRIVSERVDMIHNLVISVSVGPPNSRLLTSKDKNTWNAKDVADSVLSDKSALPVATSQRMVESSVLDAHSSIVDGEPYWYYEYIVRKSPTPTVQESNLYRHNLAATAERDGYLYSLNASTLSKQWEFMGPYLEKTVASFRLVPPTDNYVPPYKDPWRFW >DRNTG_33944.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:933468:937427:-1 gene:DRNTG_33944 transcript:DRNTG_33944.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVESSVLDAHSSIVDGEPYWYYEYIVRKSPTPTVQESNLYRHNLAATAERDGYLYSLNASTLSKQWEFMGPYLEKTVASFRLVPPTDNYVPPYKDPWRFW >DRNTG_33944.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:933468:937427:-1 gene:DRNTG_33944 transcript:DRNTG_33944.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVESSVLDAHSSIVDGEPYWYYEYIVRKSPTPTVQESNLYRHNLAATAERDGYLYSLNASTLSKQWEFMGPYLEKTVASFRLVPPTDNYVPPYKDPWRFW >DRNTG_01954.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32579227:32587328:-1 gene:DRNTG_01954 transcript:DRNTG_01954.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRGITALSKLRSRLGQQTTQLGSVRWLQIQSASDLDLRSQLKDQIPEQQERLKKIKSDSGKFQLGNITVDMVIGGMRGMTGLLWETSLLDPDEGIRFRGLSIPECQKLLPAAIPGGEPLPEGLLWLLLTGKVPSKEQVDALSKELQSRSAVPDHVYKAIDAFPVTAHPMTQFATGVMALQVESEFQKAYDQGIPKSKFWEPTYEDSMNLIARVPVVAAYVYRRVYKDGRVISTDKSLDYGANFSHMLGFDDPKMLELMRLYVTIHSDHEGGNVSAHTGHLVASALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVVKECGENITTEQLKEYVWKTLNSGKVVPGFGHGVLRKTDPRYTCQREFALKHLPDDPLFQLVSKLYEVVPPILTDLGKVKNPWPNVDAHSGALLNHFGLTEARYYTVLFGVSRSLGICSQLIWDRALGLPLERPKSVTMDWLESHCKKSA >DRNTG_27655.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001402.1:10416:11401:1 gene:DRNTG_27655 transcript:DRNTG_27655.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELSESRQSYGIANIGETIGAPIHSRNQDVIPILPQLNDDIDLVGFDDEKKMIVQEVVDVSNTNRSVISIVGMGGLGKTTLAKSVYNDLEVKRSFDKFAWVIISQEYTILEILKIILSKVSVIPERDARDERDAIEDLSINLYEKLKEHKYLVVLDDVWSENVWNQLQRVFPDINYGSRIIITTRFLNVAKIADLTIQPHELRLLNEKESWELFLRKVFPRQDIETCCPIHLVDYAHRLVQRAICGWWRSKHDECHTSGGRGKEKTSSCRADSGGGGSSCDSRSGGAPVTATA >DRNTG_17092.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17349267:17350154:-1 gene:DRNTG_17092 transcript:DRNTG_17092.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEEVRTNVSKSTSLHPVVKPKQSGGPVFDDRD >DRNTG_22010.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14362834:14363436:-1 gene:DRNTG_22010 transcript:DRNTG_22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding KCSYTTHHFNLNHDNNHSLREYTSHTQHKANQHI >DRNTG_01658.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23951107:23952154:-1 gene:DRNTG_01658 transcript:DRNTG_01658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDLLDPRTRGNTLPAPLRAFPTVVVDVPPPSPPVLRRRRHSSRVEHDEAHIPRHLHHEGY >DRNTG_06386.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21077559:21078343:1 gene:DRNTG_06386 transcript:DRNTG_06386.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAVGEVEWAACECCGLREECTPAYIAGVRDRHGGRWVCGLCSEAVEDEIRRSGRRISPEEALTRHASFREAFRLAAPPLDPAAHLIAAVRQLVRRGLESPRATRSNPNSPRRRPAGSGTTVLAPSRSCFSTLAR >DRNTG_06386.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21077129:21078343:1 gene:DRNTG_06386 transcript:DRNTG_06386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAVGEVEWAACECCGLREECTPAYIAGVRDRHGGRWVCGLCSEAVEDEIRRSGRRISPEEALTRHASFREAFRLAAPPLDPAAHLIAAVRQLVRRGLESPRATRSNPNSPRRRPAGSGTTVLAPSRSCFSTLAR >DRNTG_06386.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21077415:21078390:1 gene:DRNTG_06386 transcript:DRNTG_06386.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAVGEVEWAACECCGLREECTPAYIAGVRDRHGGRWVCGLCSEAVEDEIRRSGRRISPEEALTRHASFREAFRLAAPPLDPAAHLIAAVRQLVRRGLESPRATRSNPNSPRRRPAGSGTTVLAPSRSCFSTLAR >DRNTG_06386.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21077559:21078715:1 gene:DRNTG_06386 transcript:DRNTG_06386.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAVGEVEWAACECCGLREECTPAYIAGVRDRHGGRWVCGLCSEAVEDEIRRSGRRISPEEALTRHASFREAFRLAAPPLDPAAHLIAAVRQLVRRGLESPRATRSNPNSPRRRPAGSGTTVLAPSRSCFSTLAR >DRNTG_32356.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7168931:7177581:1 gene:DRNTG_32356 transcript:DRNTG_32356.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTGWDDINCLRDQLKAHPLLGDPNRVLLLTCHGSMATSEQKLIFEKPPPNVRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISKASARQRRGRAGRVQPGECYHLYPKCVYDAFAEYQLPELLRTPLNSLCLQIKSLQLGTIGEFLSAALQPPEPRAVHNAVELLKMIRALDDKENLTNLGRFLSVLPVDPKLGKMLVMGAIFHCLDPILTVVSGLSVRDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAFEGWKDAEREGSAYEYCWRNFLSAQTLQAINSLRKQFHFILKDAGLLNADMAINNSLSCNQSLVRAIICSGLFPGITSVVHREKSMSFKTMDDGQVLLYANSVNARYQTIPYPWLVFGEKVKVNTVFIRDSTGVSDSILILFGGSLCKGEMAGHLKMLDGYLDFFMDPGLAECFWNLRIELDRLLQRKLEEPSFDIHKEGKYLMLAMQELVAGDLCEGRFVFGRETKRGRTSSTNENDNKHKFLKDGMNPKSLLQTLLMRAGHTPPKYKTKHLKTNEFRAIVEFKGMQFVGKPKKNKQLAERDAAIEALAWLTHTSDNSHQNEDDDSPLDITDNMLKLLNKRRSRRRT >DRNTG_32356.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7165709:7170561:1 gene:DRNTG_32356 transcript:DRNTG_32356.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYSELYGKVVVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLLEEYLDRFQLTFGKSRGDMGADSSIQNVEDVGPDENQEFFVDDLVMEKILQRKSSRMRNLQRSWQESPEGIKMLNFRKSLPAYKEKEKLLSAIARNQVVVISGETGCGKTTQLPQYVLESEIESGRGAFCNIICTQPRRISAMAVSERVSAERGENLGESVGYKVRLEGMKGKNTHLLFCTSGILLRRLLGDRNLNGITHVFVDEIHERGMNEDFLLIVLKDLLPRRRDLRLILMSATLNAELFSNYFGGAPTIHIPGFTYPVRAHFLEDVLEKTGYKLTSFNQIDDYGQEKMWKTQRQLIPRKRKNQITALVE >DRNTG_32356.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7165709:7170561:1 gene:DRNTG_32356 transcript:DRNTG_32356.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSERVSAERGENLGESVGYKVRLEGMKGKNTHLLFCTSGILLRRLLGDRNLNGITHVFVDEIHERGMNEDFLLIVLKDLLPRRRDLRLILMSATLNAELFSNYFGGAPTIHIPGFTYPVRAHFLEDVLEKTGYKLTSFNQIDDYGQEKMWKTQRQLIPRKRKNQITALVE >DRNTG_32356.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7165709:7177581:1 gene:DRNTG_32356 transcript:DRNTG_32356.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGWDDINCLRDQLKAHPLLGDPNRVLLLTCHGSMATSEQKLIFEKPPPNVRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISKASARQRRGRAGRVQPGECYHLYPKCVYDAFAEYQLPELLRTPLNSLCLQIKSLQLGTIGEFLSAALQPPEPRAVHNAVELLKMIRALDDKENLTNLGRFLSVLPVDPKLGKMLVMGAIFHCLDPILTVVSGLSVRDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAFEGWKDAEREGSAYEYCWRNFLSAQTLQAINSLRKQFHFILKDAGLLNADMAINNSLSCNQSLVRAIICSGLFPGITSVVHREKSMSFKTMDDGQVLLYANSVNARYQTIPYPWLVFGEKVKVNTVFIRDSTGVSDSILILFGGSLCKGEMAGHLKMLDGYLDFFMDPGLAECFWNLRIELDRLLQRKLEEPSFDIHKEGKYLMLAMQELVAGDLCEGRFVFGRETKRGRTSSTNENDNKHKFLKDGMNPKSLLQTLLMRAGHTPPKYKTKHLKTNEFRAIVEFKGMQFVGKPKKNKQLAERDAAIEALAWLTHTSDNSHQNEDDDSPLDITDNMLKLLNKRRSRRRT >DRNTG_32356.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7171775:7177581:1 gene:DRNTG_32356 transcript:DRNTG_32356.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISKASARQRRGRAGRVQPGECYHLYPKCVYDAFAEYQLPELLRTPLNSLCLQIKSLQLGTIGEFLSAALQPPEPRAVHNAVELLKMIRALDDKENLTNLGRFLSVLPVDPKLGKMLVMGAIFHCLDPILTVVSGLSVRDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAFEGWKDAEREGSAYEYCWRNFLSAQTLQAINSLRKQFHFILKDAGLLNADMAINNSLSCNQSLVRAIICSGLFPGITSVVHREKSMSFKTMDDGQVLLYANSVNARYQTIPYPWLVFGEKVKVNTVFIRDSTGVSDSILILFGGSLCKGEMAGHLKMLDGYLDFFMDPGLAECFWNLRIELDRLLQRKLEEPSFDIHKEGKYLMLAMQELVAGDLCEGRFVFGRETKRGRTSSTNENDNKHKFLKDGMNPKSLLQTLLMRAGHTPPKYKTKHLKTNEFRAIVEFKGMQFVGKPKKNKQLAERDAAIEALAWLTHTSDNSHQNEDDDSPLDITDNMLKLLNKRRSRRRT >DRNTG_32356.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7165709:7177581:1 gene:DRNTG_32356 transcript:DRNTG_32356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGWDDINCLRDQLKAHPLLGDPNRVLLLTCHGSMATSEQKLIFEKPPPNVRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISKASARQRRGRAGRVQPGECYHLYPKCVYDAFAEYQLPELLRTPLNSLCLQIKSLQLGTIGEFLSAALQPPEPRAVHNAVELLKMIRALDDKENLTNLGRFLSVLPVDPKLGKMLVMGAIFHCLDPILTVVSGLSVRDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAFEGWKDAEREGSAYEYCWRNFLSAQTLQAINSLRKQFHFILKDAGLLNADMAINNSLSCNQSLVRAIICSGLFPGITSVVHREKSMSFKTMDDGQVLLYANSVNARYQTIPYPWLVFGEKVKVNTVFIRDSTGVSDSILILFGGSLCKGEMAGHLKMLDGYLDFFMDPGLAECFWNLRIELDRLLQRKLEEPSFDIHKEGKYLMLAMQELVAGDLCEGRFVFGRETKRGRTSSTNENDNKHKFLKDGMNPKSLLQTLLMRAGHTPPKYKTKHLKTNEFRAIVEFKGMQFVGKPKKNKQLAERDAAIEALAWLTHTSDNSHQNEDDDSPLDITDNMLKLLNKRRSRRRT >DRNTG_32356.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7165709:7177581:1 gene:DRNTG_32356 transcript:DRNTG_32356.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGWDDINCLRDQLKAHPLLGDPNRVLLLTCHGSMATSEQKLIFEKPPPNVRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISKASARQRRGRAGRVQPGECYHLYPKCVYDAFAEYQLPELLRTPLNSLCLQIKSLQLGTIGEFLSAALQPPEPRAVHNAVELLKMIRALDDKENLTNLGRFLSVLPVDPKLGKMLVMGAIFHCLDPILTVVSGLSVRDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAFEGWKDAEREGSAYEYCWRNFLSAQTLQAINSLRKQFHFILKDAGLLNADMAINNSLSCNQSLVRAIICSGLFPGITSVVHREKSMSFKTMDDGQVLLYANSVNARYQTIPYPWLVFGEKVKVNTVFIRDSTGVSDSILILFGGSLCKGEMAGHLKMLDGYLDFFMDPGLAECFWNLRIELDRLLQRKLEEPSFDIHKEGKYLMLAMQELVAGDLCEGRFVFGRETKRGRTSSTNENDNKHKFLKDGMNPKSLLQTLLMRAGHTPPKYKTKHLKTNEFRAIVEFKGMQFVGKPKKNKQLAERDAAIEALAWLTHTSDNSHQNEDDDSPLDITDNMLKLLNKRRSRRRT >DRNTG_32356.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7165709:7168039:1 gene:DRNTG_32356 transcript:DRNTG_32356.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYSELYGKVVVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLLEEYLDRFQLTFGKSRGDMGADSSIQNVEDVGPDENQEFFVDDLVMEKILQRKSSRMRNLQRSWQESPEGIKMLNFRKSLPAYKEKEKLLSAIARNQVLLWS >DRNTG_19815.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5460557:5461941:1 gene:DRNTG_19815 transcript:DRNTG_19815.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF109 [Source:Projected from Arabidopsis thaliana (AT4G34410) UniProtKB/Swiss-Prot;Acc:Q9SZ06] MEHNEHYHHHQQTSNLLSPERELEIMVSTLINVISGNVSDTSTTSATQAFTLQELLVSDTCHQCGIEKCLGCDLFVAAEEHSQQGKKKKNKKNKYRGVRQRPWGKWAAEIRDPSQAVRKWLGTFNTEEEAARAYDSAAIRFRGPRAKLNFPFPDQEASNSNKECEVEEGLQGEERTEKEGSWDGLQDLFTLDSGTGELLKLAGTSAGSGSGFDLDRSPPERSS >DRNTG_19815.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5460557:5461941:1 gene:DRNTG_19815 transcript:DRNTG_19815.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF109 [Source:Projected from Arabidopsis thaliana (AT4G34410) UniProtKB/Swiss-Prot;Acc:Q9SZ06] MEHNEHYHHHQQTSNLLSPERELEIMVSTLINVISGNVSDTSTTSATQAFTLQELLVSDTCHQCGIEKCLGCDLFVAAEEHSQQGKKKKNKKNKYRGVRQRPWGKWAAEIRDPSQAVRKWLGTFNTEEEAARAYDSAAIRFRGPRAKLNFPFPDQEASNSNKECEVEEGLQGEERTEKEGSWDGLQDLFTLDSGTGELLKLAGTSAGSGSGFDLDRSPPERSS >DRNTG_19815.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5460557:5461941:1 gene:DRNTG_19815 transcript:DRNTG_19815.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF109 [Source:Projected from Arabidopsis thaliana (AT4G34410) UniProtKB/Swiss-Prot;Acc:Q9SZ06] MEHNEHYHHHQQTSNLLSPERELEIMVSTLINVISGNVSDTSTTSATQAFTLQELLVSDTCHQCGIEKCLGCDLFVAAEEHSQQGKKKKNKKNKYRGVRQRPWGKWAAEIRDPSQAVRKWLGTFNTEEEAARAYDSAAIRFRGPRAKLNFPFPDQEASNSNKECEVEEGLQGEERTEKEGSWDGLQDLFTLDSGTGELLKLAGTSAGSGSGFDLDRSPPERSS >DRNTG_11369.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15003856:15004924:-1 gene:DRNTG_11369 transcript:DRNTG_11369.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNQSSSTRNTKYILYSIQTLEVMHERNAHNCPLDLEFESYNMGPDAPESATFNLPVPSVRLVAIDSTQAPPVSSCFLPHVTSEGLPLHRDTPT >DRNTG_28518.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9110108:9110718:1 gene:DRNTG_28518 transcript:DRNTG_28518.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMCANKLGTESTLEIFGKLGRETGVREYNALIKVCIGNARLSNAEEDSLGYIHEAYQLIMSMRERGFQIDEDSYGPILMYTIDKGLIQEFKFFSKLIKDECPGSSCRMCYYETLLWIKVGYEDKIHELCNHAGVAISED >DRNTG_28518.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9108303:9110718:1 gene:DRNTG_28518 transcript:DRNTG_28518.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTLIKVFLFSPNPFATPNASIPLNLSAPKNLIHTSPPCLPASAASAAALDPSKPSVEVPSQHVSRLNTAELDSAVGISREISSILRGNNDASSQPLPLHSDVLPKNILGIPWFSNKSHSHPSQRRKEVSRERKQRYIFKNTESRRFTKLMRMCANKLGTESTLEIFGKLGRETGVREYNALIKVCIGNARLSNAEEDSLGYIHEAYQLIMSMRERGFQIDEDSYGPILMYTIDKGLIQEFKFFSKLIKDECPGSSCRMCYYETLLWIKVGYEDKIHELCNHAGVAISED >DRNTG_09026.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31275179:31284848:1 gene:DRNTG_09026 transcript:DRNTG_09026.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHNNRRASPRAGLVQHQLLSGRDLRSEKNLHHTQHLSCRDLNHFENPLAGFGGQSFSQRDSEESTGNPQFGKALYDFTAGGDDELSLDAGEEVEIDYEVDGWYYVKKKRPGRDGKMAGLVPVLYVSS >DRNTG_09026.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31267805:31284848:1 gene:DRNTG_09026 transcript:DRNTG_09026.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHNNRRASPRAGLVQHQLLSGRDLRSEKNLHHTQHLSCRDLNHFENPLAGFGGQSFSQRDSEESTGNPQFGKALYDFTAGGDDELSLDAGEEVEIDYEVDGWYYVKKKRPGRDGKMAGLVPVLYVSS >DRNTG_09026.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31267805:31284848:1 gene:DRNTG_09026 transcript:DRNTG_09026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHNNRRASPRAGLVQHQLLSGRDLRSEKNLHHTQHLSCRDLNHFENPLAGFGGQSFSQRDSEESTGNPQFGKALYDFTAGGDDELSLDAGEEVEIDYEVDGWYYVKKKRPGRDGKMAGLVPVLYVSS >DRNTG_09433.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21187720:21188092:1 gene:DRNTG_09433 transcript:DRNTG_09433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGRERSGISQAVKLHVKWAPEVYDPPVTSMSHSVKSHRQQCPKARKRDQHKHKHKGKSSRSNAKEKKYTRRTFLATREI >DRNTG_03433.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3201818:3202231:1 gene:DRNTG_03433 transcript:DRNTG_03433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLLKVPSLKRMISSPRADKLSDFNECSTSSVAEKGHFFVYTSEGKRFMVPLAYLINNIFKELLKISEEEFGLPGDGPITLPCDAASMEYVLSMLRRGVSEEVERALLSSIFISCQSTCSSLAEENTPQLTICSC >DRNTG_14142.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17828611:17833494:-1 gene:DRNTG_14142 transcript:DRNTG_14142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRLAEELLVNSFKTIDGRGANIHIAGGACITLQYISNVIIHNIHIHHCVPAGHSNVRSSPTHYSYRTLSDGDGISIYSAREIWIDHCSLSYCTDGLIDAIMGSTAITISNSYFSHHDKVMLLGHNDAYMADSGMQVTIAFNHFGEELVQRMPRCRRGYIHVVNNDFTRWEMYAIGGSANPTINSQGNRYTAPFDPNAKEVTKRVDTDESEWAAWNWKTEGDIMVNGAFFVPSGEGREIYAKATSIEPRSAMFIDQLTRNAGVMSPNGDTNKGVAYSGTNYNGPGVTSGGGNGAGGGGGDAYGYFGMVYGSNTSSLSQTRTLYCYLTVFL >DRNTG_14142.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17829677:17833494:-1 gene:DRNTG_14142 transcript:DRNTG_14142.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMMMMMIKKKKNTFNTMNTMITMHIITITYILLFSFTTAVLNSTHTDPDFIVQELQRKVNDSNRRALLDPCLTGNPIDDCWRCTGTDWRADRQRLADCGIGFGRAALGGKSGQFYVVTDSSDPDPVNPPPGTLRYGVIQDVPLWITFATDMTIRLAEELLVNSFKTIDGRGANIHIAGGACITLQYISNVIIHNIHIHHCVPAGHSNVRSSPTHYSYRTLSDGDGISIYSAREIWIDHCSLSYCTDGLIDAIMGSTAITISNSYFSHHDKVMLLGHNDAYMADSGMQVTIAFNHFGEELVQRMPRCRRGYIHVVNNDFTRWEMYAIGGSANPTINSQGNRYTAPFDPNAKEVTKRVDTDESEWAAWNWKTEGDIMVNGAFFVPSGEGREIYAKATSIEPRSAMFIDQLTRNAGVMSPNGLVLTTFIYYLLFIIYYSFNFCNCISNSNTCFYVLMVLILITK >DRNTG_14142.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17828611:17833494:-1 gene:DRNTG_14142 transcript:DRNTG_14142.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMMMMMIKKKKNTFNTMNTMITMHIITITYILLFSFTTAVLNSTHTDPDFIVQELQRKVNDSNRRALLDPCLTGNPIDDCWRCTGTDWRADRQRLADCGIGFGRAALGGKSGQFYVVTDSSDPDPVNPPPGTLRYGVIQDVPLWITFATDMTIRLAEELLVNSFKTIDGRGANIHIAGGACITLQYISNVIIHNIHIHHCVPAGHSNVRSSPTHYSYRTLSDGDGISIYSAREIWIDHCSLSYCTDGLIDAIMGSTAITISNSYFSHHDKVMLLGHNDAYMADSGMQVTIAFNHFGEELVQRMPRCRRGYIHVVNNDFTRWEMYAIGGSANPTINSQGNRYTAPFDPNAKEVTKRVDTDESEWAAWNWKTEGDIMVNGAFFVPSGEGREIYAKATSIEPRSAMFIDQLTRNAGVMSPNGDTNKGVAYSGTNYNGPGVTSGGGNGAGGGGGDAYGYFGMVYGSNTSSLSQTRTLYCYLTVFL >DRNTG_04111.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30097452:30098485:1 gene:DRNTG_04111 transcript:DRNTG_04111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLWRKPRFRWALQKAEGKDITCILLGNVHVIVVNSPEFAREFLKKNDANFTSRPITMATEYSGRGFLSAVIAPWGDQWKKMRRVIASEVINHKRFQSMAKLRGEEADNLVRYIQYQSKAREVIDVRKALRAYV >DRNTG_16121.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1474322:1474655:1 gene:DRNTG_16121 transcript:DRNTG_16121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFMDLSEFYDWCFQGELKRHLSQVVGLEPEEQRLLFRGKEKDNEERMHMAGVKDMSKLVLLEDPASRERKLDEMKKNERIARACEAVVQIRSEVDKHVE >DRNTG_26685.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9121973:9124876:1 gene:DRNTG_26685 transcript:DRNTG_26685.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSFGDEKRTPEFPKDKPNIDPSTCL >DRNTG_17739.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4166223:4169258:-1 gene:DRNTG_17739 transcript:DRNTG_17739.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAFQCCFRPAGSSPAPTQAGPTVTTSVYETTMGLVALSWSRTLLGLSLRIDLRLHDSPAFTFRVRPRLLWRRRGRRRLPLPGSSSSISLSWDLSHSIFPSSSSPEPSHFSLSLSLDSLPLLLLGDSSSPPPLISRRERIVLSDAHPFKTTARFAGQDHEISISFDLHSGGERDSISETKEKKKDREAGMRLWIDGGMALHVRRIKWKFRGSERVDLNGFRIRVSWDLHNWFFSQSGSEPDEAGRAVLLIRFENSDGDGEGYFGKDIEGAFRETEGCFGKSWDWSDKSSGENGKKIGSRRMTSSSSSASSSSASSASSSSVMEWASAEETQLKSAQGFSLLVYAWR >DRNTG_17739.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4166223:4167625:-1 gene:DRNTG_17739 transcript:DRNTG_17739.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAFQCCFRPAGSSPAPTQAGPTVTTSVYETTMGLVALSWSRTLLGLSLRIDLRLHDSPAFTFRVRPRLLWRRRGRRRLPLPGSSSSISLSWDLSHSIFPSSSSPEPSHFSLSLSLDSLPLLLLGDSSSPPPLISRRERIVLSDAHPFKTTARFAGQDHEISISFDLHSGGERDSISETKEKKKDREAGMRLWIDGGMALHVRRIKWKFRGSERVDLNGFRIRVSWDLHNWFFSQSGSEPDEAGRAVLLIRFENSDGDGEGYFGKDIEGAFRETEGCFGKSWDWSDKSSGENGKKIGSRRMTSSSSSASSSSASSASSSSVMEWASAEETQLKSAQGFSLLVYAWR >DRNTG_17739.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4166223:4170959:-1 gene:DRNTG_17739 transcript:DRNTG_17739.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAFQCCFRPAGSSPAPTQAGPTVTTSVYETTMGLVALSWSRTLLGLSLRIDLRLHDSPAFTFRVRPRLLWRRRGRRRLPLPGSSSSISLSWDLSHSIFPSSSSPEPSHFSLSLSLDSLPLLLLGDSSSPPPLISRRERIVLSDAHPFKTTARFAGQDHEISISFDLHSGGERDSISETKEKKKDREAGMRLWIDGGMALHVRRIKWKFRGSERVDLNGFRIRVSWDLHNWFFSQSGSEPDEAGRAVLLIRFENSDGDGEGYFGKDIEGAFRETEGCFGKSWDWSDKSSGENGKKIGSRRMTSSSSSASSSSASSASSSSVMEWASAEETQLKSAQGFSLLVYAWR >DRNTG_17739.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4166223:4172740:-1 gene:DRNTG_17739 transcript:DRNTG_17739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAFQCCFRPAGSSPAPTQAGPTVTTSVYETTMGLVALSWSRTLLGLSLRIDLRLHDSPAFTFRVRPRLLWRRRGRRRLPLPGSSSSISLSWDLSHSIFPSSSSPEPSHFSLSLSLDSLPLLLLGDSSSPPPLISRRERIVLSDAHPFKTTARFAGQDHEISISFDLHSGGERDSISETKEKKKDREAGMRLWIDGGMALHVRRIKWKFRGSERVDLNGFRIRVSWDLHNWFFSQSGSEPDEAGRAVLLIRFENSDGDGEGYFGKDIEGAFRETEGCFGKSWDWSDKSSGENGKKIGSRRMTSSSSSASSSSASSASSSSVMEWASAEETQLKSAQGFSLLVYAWR >DRNTG_14384.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:472824:476111:1 gene:DRNTG_14384 transcript:DRNTG_14384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLINGDDARVVVGICGMAGSGKTTLAKELCKDPQIRNHFSGGILFETVSQSPNLENLKFKLWEKITGNIVRGAYDEIPQWQIVLQPRLKAPVLVVLDDVWTPSELEELIFKVPGCKTLVVSRFKFPTIFTDTYEMELLGEEEALSLFCSSAFDQQSIPPTADKKLVKQVVEECKGLPLALKVIGASLRGQPPKIWASAKNRLSRGEAISDSHENKLLERMELSIQCLSHKVKECFLDLGSFPEDKKIPLDVLINIWMEIHDLEEVDAYAILVELSNKNLLTLVKDAQSRAGDIYSSYNELSVTQHDVLRDLVLHISSRESLNSRKRLNMPRKEDRLPKDWERNNDQQFDAQIVSIHTGEMKECDWFNMHFPKAEVLILNFSSSEYFLPPFIKTMPKLKVLVLINYGLSSTKLHNLTVFESINNLTSVWFEKITIPPLPRTTIPLQNLMKVSLVLCELKNSLQGSTVLLPLTFPCISNLTIDHCIDLTDLPSSICELNSLKSLSISNCHDLEELPHELGKLNSLHILRIYACPGLKKLPQSLCKLKSLQYLDISQCFNLEGLPEEFGYLTNLEKIDMRECPQVSSVPKSSMLLKSLGNVICDEEISLLWKEAEREIPELRVQVVEECFNLDWLVE >DRNTG_28898.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:608004:611996:1 gene:DRNTG_28898 transcript:DRNTG_28898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPRLTEAHSRPFSAYHRTRPSSSSTNPVRSTGAQLLCCLSVARRRSHLTPRWSSAPPSSPSSPPLGESPQASNSGSHAPRPKLEPVDSDSGDRPQRPVKRKDGDRNKAKPPAKKSRSGEEGAGKPSEENEKLPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCSKISGTALVLDEIINHVQSLQRLVEFLSMRLAAVTPRIDFSGLDSFLSAECGRVLTVGSEMGMWTEEGMGNVGRRQQEQNMCDIDVVQTETEEERQQWDRDETQDQHQHQQQPRVFIGNPATALFAYDPVTSVPMHANQLKTEL >DRNTG_03765.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18127379:18129100:-1 gene:DRNTG_03765 transcript:DRNTG_03765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRPCGALEEFHALQIHTGMDIAPRAKKNEVKRLRLTSPELIHVEFSNPEHQARFERLSALGFGQTCFADVQVLRDIQQGDEVINEIDEMLTVGSWRRLLTISEPAYRALTLESRFDQAGSAFLILHGPQCTTSLRVHSSRYFAVPGLFDENSCAHSSCVRYDQDDGVGTEVWARGLYSHYSYRLDHEEPSSVAPGTRAYYRIEWLETDVREIRTEIAELRAMQSAQYTDLMARFEFLRDLLIPRSSVPPAPPSSTPPPEDPVYATSVAAAATQQPESDSDT >DRNTG_16478.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28511450:28517298:-1 gene:DRNTG_16478 transcript:DRNTG_16478.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEALVQLGKHKEALDYAMAANHIDPSNSEARERIQKIRAELEKDRKDNEGTDMTEFRNGRMRSLSEVLLRSELISDASQEALRYEREDSDFDDEMELDFETSILGDEDHENESNMLHGSLNLRFHRADNWTRETCSTNGPSSSSQNEYDYQSEVAIDMKQRYIGHCNMGTDIKQASFLGQLGEYVASGSDDGRWFIWEKRTGRLMKMLEGDRAVVNCVQCHPFDCAVATSGIDNTIKMWTPHGQVSTMVAGVAGPVTADVLSAIEINQRKLRRSRETILPLQFFEQFPVHEFAEGTFHPFECAQS >DRNTG_16478.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28511450:28517150:-1 gene:DRNTG_16478 transcript:DRNTG_16478.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANHIDPSNSEARERIQKIRAELEKDRKDNEGTDMTEFRNGRMRSLSEVLLRSELISDASQEALRYEREDSDFDDEMELDFETSILGDEDHENESNMLHGSLNLRFHRADNWTRETCSTNGPSSSSQNEYDYQSEVAIDMKQRYIGHCNMGTDIKQASFLGQLGEYVASGSDDGRWFIWEKRTGRLMKMLEGDRAVVNCVQCHPFDCAVATSGIDNTIKMWTPHGQVSTMVAGVAGPVTADVLSAIEINQRKLRRSRETILPLQFFEQFPVHEFAEGTFHPFECAQS >DRNTG_12779.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000572.1:24673:25022:1 gene:DRNTG_12779 transcript:DRNTG_12779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIISNPSRRQVSKTSTTSSSPDLLHERTPYLYHPSLLPLRFSSSFRHLLQCQAHLTTSSLLRHPFAASRLLKLSSSLAPLSHTLLLFSHLPSPP >DRNTG_07853.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10608101:10610989:-1 gene:DRNTG_07853 transcript:DRNTG_07853.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLYAVVNLRQSDTHPNMPVNRKCTGVEIVITLVPNEQSVSMKSELSHGNPNIIGSGLPITDTSPLRGPGGEIAQSQLLTPHMTAKHSRDSKRTHSKARFTGGFLDAMTEEELIKVGDEAPPKPQRPPLQTLAVSPLKSRTKDDKE >DRNTG_14501.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6260954:6264991:1 gene:DRNTG_14501 transcript:DRNTG_14501.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLISDAGRVYAFGKDSFGEAEYGMQGSKLVTTPQPVESLKDIFVVQAAIGNFFTAVLSREGRVYTFSWGSDATLGHQTDSNDLEPHQLMGPLEHIPVVQIAAGYCYLLALTCQPSGMSVYSVGCGLGGKLGHGSRTDERYPRLIERFQALNLQPVVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEECESAPKVVESLNKIKAVHVATGDYTTFVVSQDGGVYSFGCGESSSLGHATAIDGQANRHGNVLSPQLVTSLKQIKEKVVQISLTNSIYWNAHTFALTDSGNLYAFGAGDKGQLGIELLAQQSERGDPERVDIDLS >DRNTG_14501.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6260954:6264991:1 gene:DRNTG_14501 transcript:DRNTG_14501.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTSGATPPIQYHNIIDQPLSLITPLQQTFQRLQRHCFGNLTPGEFPLAANPSIVLHVLTACDLEPEDLAHLEATCSFFKQPANFAPDFELSIAELAALDMCQKRAIFKPLSLEERDVLKQRCGGSWKLVLRYLLAGEVCCRREKSQAIAGPGHSIAVTSKGAVYTFGSNNSGQLGHGTLEEEWRPRLIRSLQGIRIIQAAAGAGRTMLISDAGRVYAFGKDSFGEAEYGMQGSKLVTTPQPVESLKDIFVVQAAIGNFFTAVLSREGRVYTFSWGSDATLGHQTDSNDLEPHQLMGPLEHIPVVQIAAGYCYLLALTCQPSGMSVYSVGCGLGGKLGHGSRTDERYPRLIERFQALNLQPVVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEECESAPKVVESLNKIKAVHVATGDYTTFVVSQDGGVYSFGCGESSSLGHATAIDGQANRHGNVLSPQLVTSLKQIKEKVVQISLTNSIYWNAHTFALTDSGNLYAFGAGDKGQLGIELLAQQSERGDPERVDIDLS >DRNTG_14501.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6260954:6264991:1 gene:DRNTG_14501 transcript:DRNTG_14501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTSGATPPIQYHNIIDQPLSLITPLQQTFQRLQRHCFGNLTPGEFPLAANPSIVLHVLTACDLEPEDLAHLEATCSFFKQPANFAPDFELSIAELAALDMCQKRAIFKPLSLEERDVLKQRCGGSWKLVLRYLLAGEVCCRREKSQAIAGPGHSIAVTSKGAVYTFGSNNSGQLGHGTLEEEWRPRLIRSLQGIRIIQAAAGAGRTMLISDAGRVYAFGKDSFGEAEYGMQGSKLVTTPQPVESLKDIFVVQAAIGNFFTAVLSREGRVYTFSWGSDATLGHQTDSNDLEPHQLMGPLEHIPVVQIAAGYCYLLALTCQPSGMSVYSVGCGLGGKLGHGSRTDERYPRLIERFQALNLQPVVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEECESAPKVVESLNKIKAVHVATGDYTTFVVSQDGGVYSFGCGESSSLGHATAIDGQANRHGNVLSPQLVTSLKQIKEKVVQISLTNSIYWNAHTFALTDSGNLYAFGAGDKGQLGIELLAQQSERGDPERVDIDLS >DRNTG_14501.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6260954:6264991:1 gene:DRNTG_14501 transcript:DRNTG_14501.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLISDAGRVYAFGKDSFGEAEYGMQGSKLVTTPQPVESLKDIFVVQAAIGNFFTAVLSREGRVYTFSWGSDATLGHQTDSNDLEPHQLMGPLEHIPVVQIAAGYCYLLALTCQPSGMSVYSVGCGLGGKLGHGSRTDERYPRLIERFQALNLQPVVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEECESAPKVVESLNKIKAVHVATGDYTTFVVSQDGGVYSFGCGESSSLGHATAIDGQANRHGNVLSPQLVTSLKQIKEKVVQISLTNSIYWNAHTFALTDSGNLYAFGAGDKGQLGIELLAQQSERGDPERVDIDLS >DRNTG_14501.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6260954:6264991:1 gene:DRNTG_14501 transcript:DRNTG_14501.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTSGATPPIQYHNIIDQPLSLITPLQQTFQRLQRHCFGNLTPGEFPLAANPSIVLHVLTACDLEPEDLAHLEATCSFFKQPANFAPDFELSIAELAALDMCQKRAIFKPLSLEERDVLKQRCGGSWKLVLRYLLAGEVCCRREKSQAIAGPGHSIAVTSKGAVYTFGSNNSGQLGHGTLEEEWRPRLIRSLQGIRIIQAAAGAGRTMLISDAGRVYAFGKDSFGEAEYGMQGSKLVTTPQPVESLKDIFVVQAAIGNFFTAVLSREGRVYTFSWGSDATLGHQTDSNDLEPHQLMGPLEHIPVVQIAAGYCYLLALTCQPSGMSVYSVGCGLGGKLGHGSRTDERYPRLIERFQALNLQPVVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEECESAPKVVESLNKIKAVHVATGDYTTFVVSQDGGVYSFGCGESSSLGHATAIDGQANRHGNVLSPQLVTSLKQIKEKVVQISLTNSIYWNAHTFALTDSGNLYAFGAGDKGQLGIELLAQQSERGDPERVDIDLS >DRNTG_26389.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18034964:18042722:1 gene:DRNTG_26389 transcript:DRNTG_26389.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPGNTSSLSGKIHPFPGVPSLMRSVASPSPSRRRTNLLFFNAISITSCSPPPSSVISTKIVFFSLTPVAVLLTPVATLGSLFLSNILALLILWLLILLASLRSFLILEISLMARLFIRRLEELGNVGIFFMDLQVLGNLALIAAMANFLGYDIYDLELTEVHSNSELRKLLMKTTPKSIIVIEDIDCSINLSNRSSAGKVTVPCSGPDSVVHGVDDVNSSKTITLSGLLNFTDGLWSCCGSERIFVFTTNHIEKLDPALLRSGRMDMHIFMSYCSFPALKILMKNYLDWDFDGEENGDEDELLKELEEVVDGAEMTPADISEVLIKNRRFEKRQAAKELLQVLRKQSVG >DRNTG_26389.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18034964:18042722:1 gene:DRNTG_26389 transcript:DRNTG_26389.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPGNTSSLSGKIHPFPGVPSLMRSVASPSPSRRRTNLLFFNAISITSCSPPPSSVISTKIVFFSLTPVAVLLTPVATLGSLFLSNILALLILWLLILLASLRSFLILEISLMARLFIRRLEELGNVGIFFMDLQVLGNLALIAAMANFLGYDIYDLELTEVHSNSELRKLLMKTTPKSIIVIEDIDCSINLSNRSSAGKVTVPCSGPDSVVHGVDDVNSSKTITLSGLLNFTDGLWSCCGSERIFVFTTNHIEKLDPALLRSGRMDMHIFMSYCSFPALKILMKNYLDWDFDGEENGDEDELLKELEEVVDGAEMTPADISEVLIKNRRFEKRQAAKELLQVLRKQSVG >DRNTG_26389.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18034964:18042722:1 gene:DRNTG_26389 transcript:DRNTG_26389.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPGNTSSLSGKIHPFPGVPSLMRSVASPSPSRRRTNLLFFNAISITSCSPPPSSVISTKIVFFSLTPVAVLLTPVATLGSLFLSNILALLILWLLILLASLRSFLILEISLMARLFIRRLEELGNVGIFFMDLQVLGNLALIAAMANFLGYDIYDLELTEVHSNSELRKLLMKTTPKSIIVIEDIDCSINLSNRSSAGKVTVPCSGPDSVVHGVDDVNSSKTITLSGLLNFTDGLWSCCGSERIFVFTTNHIEKLDPALLRSGRMDMHIFMSYCSFPALKILMKNYLDWDFDGEENGDEDELLKELEEVVDGAEMTPADISEVLIKNRRFEKRQAAKELLQVLRKQSVG >DRNTG_26389.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18034964:18042722:1 gene:DRNTG_26389 transcript:DRNTG_26389.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPGNTSSLSGKIHPFPGVPSLMRSVASPSPSRRRTNLLFFNAISITSCSPPPSSVISTKIVFFSLTPVAVLLTPVATLGSLFLSNILALLILWLLILLASLRSFLILEISLMARLFIRRLEELGNVGIFFMDLQVLGNLALIAAMANFLGYDIYDLELTEVHSNSELRKLLMKTTPKSIIVIEDIDCSINLSNRSSAGKVTVPCSGPDSVVHGVDDVNSSKTITLSGLLNFTDGLWSCCGSERIFVFTTNHIEKLDPALLRSGRMDMHIFMSYCSFPALKILMKNYLDWDFDGEENGDEDELLKELEEVVDGAEMTPADISEVLIKNRRFEKRQAAKELLQVLRKQSVG >DRNTG_26389.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18034964:18042722:1 gene:DRNTG_26389 transcript:DRNTG_26389.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPGNTSSLSGKIHPFPGVPSLMRSVASPSPSRRRTNLLFFNAISITSCSPPPSSVISTKIVFFSLTPVAVLLTPVATLGSLFLSNILALLILWLLILLASLRSFLILEISLMARLFIRRLEELGNVGIFFMDLQVLGNLALIAAMANFLGYDIYDLELTEVHSNSELRKLLMKTTPKSIIVIEDIDCSINLSNRSSAGKVTVPCSGPDSVVHGVDDVNSSKTITLSGLLNFTDGLWSCCGSERIFVFTTNHIEKLDPALLRSGRMDMHIFMSYCSFPALKILMKNYLDWDFDGEENGDEDELLKELEEVVDGAEMTPADISEVLIKNRRFEKRQAAKELLQVLRKQSVG >DRNTG_10287.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20300500:20301755:1 gene:DRNTG_10287 transcript:DRNTG_10287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTFYLCQFIFYLIYFKGSLHRDASRLIKANCEIRSQTYEMNLTSASSFGLANICRMMSTTKGRSMRSKVEKRMRRETRENLKCKEIAQEINDR >DRNTG_11934.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:932113:932390:-1 gene:DRNTG_11934 transcript:DRNTG_11934.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRVVASSSSAATSDHMAKGVGSIGVDELLRNIYGDTAVEGRTVEEMWREMSGGRRGEDGEMTLEDFLAR >DRNTG_11934.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:932113:932475:-1 gene:DRNTG_11934 transcript:DRNTG_11934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRVVASSSSAATSDHMAKGVGSIGVDELLRNIYGDTAVEGRTVEEMWREMSGGRRGEDGEMTLEDFLAR >DRNTG_16954.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:185881:186201:-1 gene:DRNTG_16954 transcript:DRNTG_16954.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLNSSLKNEESQGQAEEAKIKEASQQPQQDKPKDQPTPDLSSPDTTNN >DRNTG_04465.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000212.1:11990:12555:1 gene:DRNTG_04465 transcript:DRNTG_04465.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPPPTASGCTPPLPPFFSSASPHVDDDDDDDDDDDGFVYPSYPSLCHQSSPSRDSPWRISRRPPCSLDSSPSSSLLAPSLPLRTRTQL >DRNTG_24809.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31863574:31876319:1 gene:DRNTG_24809 transcript:DRNTG_24809.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARVSIPGSVRRTIQDIKEIARNHSDEEVYAMLKECAMDPNETAQRLLLQDTFHEVKRKRDKRKENSKEFTDSRWRPGLQGRGNRGGRGSFSARYVANEVNSGRYAGEKENRINQSTEKNTGSTPSPIMHDAEIKSTTPLQSSVGVLANGPSNAESLMPPQGHISQEVGVNCIIKTEENSATESIKKGPLADAKINTASRPSFLGSESPDQLQSAMRSAPVAGVYASASDPVLVPSFDTRAPALVGTIKREVGNKQPVEKAFNSVVSHDVADSALPSIGSKESSELQNNHMHGKLLGKEPAVEANQQLDAPQDASSSLVSSAGSRPSSNYSSHSQQQSAPHKGKEWKAKPTQVNSAQVSGVQATSDIVSVPAEAVGRLLPPSSSTGSDDALPKLQKKLEDLHFSDTKLVIIPNHLQVPESERSGFSFGSFDANFGLNTHSRNRADSEKSSTPLSETSQEIEENVEEPSSSIQVPPPTTQEAAAYPEDPHSSTEKLENLSLMGAGISSGGPDIQEYDQSKPESTLASEGPQHSVIHTTPTYSTLGLVPQMLSQFATFEGTENQPRDASRLPSFVLQHHFDPSASYYTPFYRPPIDGDGRFSPFLPPGAAAKFNGNIAVVPAQTGQSPQESGNSLVVSSAVPTPVATQAAGVIQSSIPVTQQPVPVFRQPAGVHISHYHPNYLPYSQYISPFFVPPANIHPFLSNAAFHQQPPTGGIFTPAAPAPSATPVKYTISQFKSGTNSGNSTHVGMPTGYGTYNLNTAGYSPNPTVTTGNSTSNEDLTSSQFKENNVYMTGQQSEGSAVWIPAPGRDIPALQPSSFYSLPPPGQPLSFAPSQAGHGAFTGIYHPTQTVAATAVHPLLPQSQPMSGAVEMVGPPAGVYQQQQRAQINWTNNY >DRNTG_18173.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29140266:29141305:1 gene:DRNTG_18173 transcript:DRNTG_18173.1 gene_biotype:protein_coding transcript_biotype:protein_coding QQEDIEETAREELWREELIEEIEKKVGSLRELEEATK >DRNTG_18173.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29140266:29141305:1 gene:DRNTG_18173 transcript:DRNTG_18173.5 gene_biotype:protein_coding transcript_biotype:protein_coding QQEDIEETAREELWREELIEEIEKKVGSLRELEEATK >DRNTG_18173.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29140266:29141305:1 gene:DRNTG_18173 transcript:DRNTG_18173.3 gene_biotype:protein_coding transcript_biotype:protein_coding DPFYDGEQYRKDGGDGTVHWYYEKQEDIEETAREELWREELIEEIEKKVGSLRELEEATK >DRNTG_18173.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29140266:29141305:1 gene:DRNTG_18173 transcript:DRNTG_18173.9 gene_biotype:protein_coding transcript_biotype:protein_coding CSLSGVAASLDPLKVSKHHSISDWFWFFTFLLVFNYPSNDLQDPFYDGEQYRKDGGDGTVHWYYEKQEDIEETAREELWREELIEEIEKKVGSLRELEEATK >DRNTG_18173.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29140266:29141305:1 gene:DRNTG_18173 transcript:DRNTG_18173.8 gene_biotype:protein_coding transcript_biotype:protein_coding CSLSGVAASLDPLKVSKHHSISDWFWFFTFLLVFNYPSNDLQDPFYDGEQYRKDGGDGTVHWYYEKQEDIEETAREELWREELIEEIEKKVGSLRELEEATK >DRNTG_18173.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29140266:29141305:1 gene:DRNTG_18173 transcript:DRNTG_18173.2 gene_biotype:protein_coding transcript_biotype:protein_coding CSLSGVAASLDPLNNDLQDPFYDGEQYRKDGGDGTVHWYYEKQEDIEETAREELWREELIEEIEKKVGSLRELEEATK >DRNTG_18173.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29140266:29141305:1 gene:DRNTG_18173 transcript:DRNTG_18173.7 gene_biotype:protein_coding transcript_biotype:protein_coding QQEDIEETAREELWREELIEEIEKKVGSLRELEEATK >DRNTG_18173.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29140266:29141305:1 gene:DRNTG_18173 transcript:DRNTG_18173.4 gene_biotype:protein_coding transcript_biotype:protein_coding QQEDIEETAREELWREELIEEIEKKVGSLRELEEATK >DRNTG_18173.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29140266:29141305:1 gene:DRNTG_18173 transcript:DRNTG_18173.6 gene_biotype:protein_coding transcript_biotype:protein_coding CSLSGVAASLDPLKVSKHHSISDWFWFFTFLLVFNYPSNDLQDPFYDGEQYRKDGGDGTVHWYYEKQEDIEETAREELWREELIEEIEKKVGSLRELEEATK >DRNTG_07091.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1989731:1992084:-1 gene:DRNTG_07091 transcript:DRNTG_07091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNWDPPFRLRLIGLQRCRIGPKFPPWLKKQRDYSILDLSYAEINDTAPDWIWNYHQQIYLLDLSHNQITGEVPGRLKYASMTTIDLSFNQFKGPLPGLPAGIEYIDFSGNSFSGTISPLFAQPMLVFSNLIISDNIINGTIPDSICNYAELFVIDLSGNLLSGELPECWADLRGLSALNLANNRLTGEIPSSMGTLSWLRALHLNNNSFHGQLPTTLKSCTSLITFDVGDNKLSGEIPTWIGESLPHLRILRLRSNMFDGSIPPQLSLLTSLQILDLAGNKLSGNIPSSLGNISAMAQSHKLKERMMETLQGSVESSLDRYGPSGYGDSLVLVTKGRQMKYTKTLQYVASIDLSENTLSGNIPHELANLYGLQNLNLSDNKLTENIPENIGQLDLLESLDLSKTIFPVLFLPAFLF >DRNTG_04293.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30054666:30055058:-1 gene:DRNTG_04293 transcript:DRNTG_04293.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFWYLILDTAMALLQAGFSLATVGYMCIMFSVTTPLWIVLGMMIFYLTGYDDSSPNALILEGLLGFLSTGVFVYMALVDLIAMDFALVDLIAVDFFHNKTMSSSPRLKKASYIALVLGSAAMSILARWA >DRNTG_22493.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2583750:2586943:-1 gene:DRNTG_22493 transcript:DRNTG_22493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGMMPSDTTLGVGHDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIDRPAYTNLNRLISQIISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLANVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGVDDDVDEGEDY >DRNTG_07830.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:135229:135939:-1 gene:DRNTG_07830 transcript:DRNTG_07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKAPLEVCVGPELKEKPYAAFLVAGLPPVLRWVSKVPLTATKTRREVGGISSVGSFEIGFVARKAIKNDVQVATHTKRSSSFNQRKHATKFNDFIFGAVTINKD >DRNTG_03948.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20616892:20629569:1 gene:DRNTG_03948 transcript:DRNTG_03948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVQYEDALDGETPEDVAAKSALQKIQDDRKTFPIYPYREELLQAVNDRQVLVIVGETGSGKTTQIPQYLHEAGYTKRGKVGCTQPRRVAAMSVAARVSQEMGVKLGHEVRLAIPFAFGLKIVL >DRNTG_03948.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20621320:20629569:1 gene:DRNTG_03948 transcript:DRNTG_03948.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVQYEDALDGETPEDVAAKSALQKIQDDRKTFPIYPYREELLQAVNDRQVLVIVGETGSGKTTQIPQYLHEAGYTKRGKVGCTQPRRVAAMSVAARVSQEMGVKLGHEVRLAIPFAFGLKIVL >DRNTG_03948.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20616892:20629569:1 gene:DRNTG_03948 transcript:DRNTG_03948.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVQYEDALDGETPEDVAAKSALQKIQDDRKTFPIYPYREELLQAVNDRQVLVIVGETGSGKTTQIPQYLHEAGYTKRGKVGCTQPRRVAAMSVAARVSQEMGVKLGHEVRLAIPFAFGLKIVL >DRNTG_03948.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20616892:20629569:1 gene:DRNTG_03948 transcript:DRNTG_03948.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVQYEDALDGETPEDVAAKSALQKIQDDRKTFPIYPYREELLQAVNDRQVLVIVGETGSGKTTQIPQYLHEAGYTKRGKVGCTQPRRVAAMSVAARVSQEMGVKLGHEVRLAIPFAFGLKIVL >DRNTG_03948.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20621320:20629569:1 gene:DRNTG_03948 transcript:DRNTG_03948.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVQYEDALDGETPEDVAAKSALQKIQDDRKTFPIYPYREELLQAVNDRQVLVIVGETGSGKTTQIPQYLHEAGYTKRGKVGCTQPRRVAAMSVAARVSQEMGVKLGHEVRLAIPFAFGLKIVL >DRNTG_03948.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20616892:20629569:1 gene:DRNTG_03948 transcript:DRNTG_03948.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVQYEDALDGETPEDVAAKSALQKIQDDRKTFPIYPYREELLQAVNDRQVLVIVGETGSGKTTQIPQYLHEAGYTKRGKVGCTQPRRVAAMSVAARVSQEMGVKLGHEVRLAIPFAFGLKIVL >DRNTG_03948.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20616892:20629569:1 gene:DRNTG_03948 transcript:DRNTG_03948.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVQYEDALDGETPEDVAAKSALQKIQDDRKTFPIYPYREELLQAVNDRQVLVIVGETGSGKTTQIPQYLHEAGYTKRGKVGCTQPRRVAAMSVAARVSQEMGVKLGHEVRLAIPFAFGLKIVL >DRNTG_03948.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20621320:20629569:1 gene:DRNTG_03948 transcript:DRNTG_03948.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVQYEDALDGETPEDVAAKSALQKIQDDRKTFPIYPYREELLQAVNDRQVLVIVGETGSGKTTQIPQYLHEAGYTKRGKVGCTQPRRVAAMSVAARVSQEMGVKLGHEVRLAIPFAFGLKIVL >DRNTG_03948.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20616892:20629569:1 gene:DRNTG_03948 transcript:DRNTG_03948.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVQYEDALDGETPEDVAAKSALQKIQDDRKTFPIYPYREELLQAVNDRQVLVIVGETGSGKTTQIPQYLHEAGYTKRGKVGCTQPRRVAAMSVAARVSQEMGVKLGHEVRLAIPFAFGLKIVL >DRNTG_06929.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16853215:16854120:-1 gene:DRNTG_06929 transcript:DRNTG_06929.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSVDARYLFDEMVYRDIRPSSHAYCALINGLVKTNLFRMAGKDLHMMLVDGFVPGTILYTMLINQFLKKGDVRFGLDLIALMVRNQV >DRNTG_13153.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3553372:3560407:-1 gene:DRNTG_13153 transcript:DRNTG_13153.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAR GTPase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G10650) UniProtKB/Swiss-Prot;Acc:O82497] MAAMAVTSLFAESVGAIVRELSLKKAADGLYGPCMAAFERAIIERVPLVDLVVEVRDARIPLTSAFEPLRRLACSHKQMIVLNKVDLADRSLTEMWLRHFKMHNFICYGVNSHNKDSINELLRVVRARIKKLKGDDRSYTSTILLVGIPNVGKSAMVNSMHQIGRTGAEVKGKLRHATVSSQPGETKDIISYKIGSHPNIYVLDTPGVLSGEIGVDDLGSKLALSGAIDDSLVGEVDLARYFLSVLNSKEEYKRWENLKADADDAVISTSEFNQGRRRQYPSDHTQDFIVRDVRRTLFRCISSNSVNLENENTMRILIENQLTALHEPLRLPKEPCEDSYKAVASKLLNLFRTGRLGRYTFDILPGITNDPILS >DRNTG_13153.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3553372:3560490:-1 gene:DRNTG_13153 transcript:DRNTG_13153.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAR GTPase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G10650) UniProtKB/Swiss-Prot;Acc:O82497] MIVLNKVDLADRSLTEMWLRHFKMHNFICYGVNSHNKDSINELLRVVRARIKKLKGDDRSYTSTILLVGIPNVGKSAMVNSMHQIGRTGAEVKGKLRHATVSSQPGETKDIISYKIGSHPNIYVLDTPGVLSGEIGVDDLGSKLALSGAIDDSLVGEVDLARYFLSVLNSKEEYKRWENLKADADDAVISTSEFNQGRRRQYPSDHTQDFIVRDVRRTLFRCISSNSVNLENENTMRILIENQLTALHEPLRLPKEPCEDSYKAVASKLLNLFRTGRLGRYTFDILPGITNDPILS >DRNTG_13153.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3553372:3558614:-1 gene:DRNTG_13153 transcript:DRNTG_13153.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAR GTPase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G10650) UniProtKB/Swiss-Prot;Acc:O82497] MAAMAVTSLFAESVGAIVRELSLKKAADGLYGPCMAAFERAIIERVPLVDLVVEVRDARIPLTSAFEPLRRLACSHKQMIVLNKVDLADRSLTEMWLRHFKMHNFICYGVNSHNKDSINELLRVVRARIKKLKGDDRSYTSTILLVGIPNVGKSAMVNSMHQIGRTGAEVKGKLRHATVSSQPGETKDIISYKIGSHPNIYVLDTPGVLSGEIGVDDLGSKLALSGAIDDSLVGEVDLARYFLSVLNSKEEYKRWENLKADADDAVISTSEFNQGRRRQYPSDHTQDFIVRDVRRTLFRCISSNSVNLENENTMRILIENQLTALHEPLRLPKEPCEDSYKAVASKLLNLFRTGRLGRYTFDILPGITNDPILS >DRNTG_13153.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3553372:3560407:-1 gene:DRNTG_13153 transcript:DRNTG_13153.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAR GTPase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G10650) UniProtKB/Swiss-Prot;Acc:O82497] MIVLNKVDLADRSLTEMWLRHFKMHNFICYGVNSHNKDSINELLRVVRARIKKLKGDDRSYTSTILLVGIPNVGKSAMVNSMHQIGRTGAEVKGKLRHATVSSQPGETKDIISYKIGSHPNIYVLDTPGVLSGEIGVDDLGSKLALSGAIDDSLVGEVDLARYFLSVLNSKEEYKRWENLKADADDAVISTSEFNQGRRRQYPSDHTQDFIVRDVRRTLFRCISSNSVNLENENTMRILIENQLTALHEPLRLPKEPCEDSYKAVASKLLNLFRTGRLGRYTFDILPGITNDPILS >DRNTG_13153.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3553372:3560407:-1 gene:DRNTG_13153 transcript:DRNTG_13153.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAR GTPase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G10650) UniProtKB/Swiss-Prot;Acc:O82497] MIVLNKVDLADRSLTEMWLRHFKMHNFICYGVNSHNKDSINELLRVVRARIKKLKGDDRSYTSTILLVGIPNVGKSAMVNSMHQIGRTGAEVKGKLRHATVSSQPGETKDIISYKIGSHPNIYVLDTPGVLSGEIGVDDLGSKLALSGAIDDSLVGEVDLARYFLSVLNSKEEYKRWENLKADADDAVISTSEFNQGRRRQYPSDHTQDFIVRDVRRTLFRCISSNSVNLENENTMRILIENQLTALHEPLRLPKEPCEDSYKAVASKLLNLFRTGRLGRYTFDILPGITNDPILS >DRNTG_13153.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3553372:3558614:-1 gene:DRNTG_13153 transcript:DRNTG_13153.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAR GTPase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G10650) UniProtKB/Swiss-Prot;Acc:O82497] MIVLNKVDLADRSLTEMWLRHFKMHNFICYGVNSHNKDSINELLRVVRARIKKLKGDDRSYTSTILLVGIPNVGKSAMVNSMHQIGRTGAEVKGKLRHATVSSQPGETKDIISYKIGSHPNIYVLDTPGVLSGEIGVDDLGSKLALSGAIDDSLVGEVDLARYFLSVLNSKEEYKRWENLKADADDAVISTSEFNQGRRRQYPSDHTQDFIVRDVRRTLFRCISSNSVNLENENTMRILIENQLTALHEPLRLPKEPCEDSYKAVASKLLNLFRTGRLGRYTFDILPGITNDPILS >DRNTG_13153.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3553372:3558614:-1 gene:DRNTG_13153 transcript:DRNTG_13153.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAR GTPase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G10650) UniProtKB/Swiss-Prot;Acc:O82497] MIVLNKVDLADRSLTEMWLRHFKMHNFICYGVNSHNKDSINELLRVVRARIKKLKGDDRSYTSTILLVGIPNVGKSAMVNSMHQIGRTGAEVKGKLRHATVSSQPGETKDIISYKIGSHPNIYVLDTPGVLSGEIGVDDLGSKLALSGAIDDSLVGEVDLARYFLSVLNSKEEYKRWENLKADADDAVISTSEFNQGRRRQYPSDHTQDFIVRDVRRTLFRCISSNSVNLENENTMRILIENQLTALHEPLRLPKEPCEDSYKAVASKLLNLFRTGRLGRYTFDILPGITNDPILS >DRNTG_15560.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000767.1:53466:89095:-1 gene:DRNTG_15560 transcript:DRNTG_15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGYDSGEKEKKTRAQYCSWKIWIMAYGLNAAWYRARDDHYSYNRRYLLDVDCLPQIVSMLSSIASGITLRMEAIGSGIALGIALMMGAIGSGIALGMEAIKRAIGSGIALEMEAIKGGRLLKGFWQPSLGQRRETNTPFFGRVWLEAWEDQELELTGEASSSKEEKHSASLGLEEASFGRHSLSSSSFGLGNVIYAFTSCFCCV >DRNTG_33786.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:109252:111010:-1 gene:DRNTG_33786 transcript:DRNTG_33786.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVVSGIAKMFVGELIETARMVMTERKDCGPIRPCHIREAYRRLRLEGKIPKRSVPRLFV >DRNTG_33786.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:108559:111010:-1 gene:DRNTG_33786 transcript:DRNTG_33786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPFEAAAEEQESPPESPIPPEDEAPFAADADEEDLDARSQPGGPSAPPSSNGAGVGGGRGKEEDDEDEDDNMDVELGKFPPGGDPDKMAKMQAILSQFTEEQMSRYESFRRSGFQKSTMKRILAGITGSQKISIPMTIVVSGIAKMFVGELIETARMVMTERKDCGPIRPCHIREAYRRLRLEGKIPKRSVPRLFV >DRNTG_33786.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:108559:111010:-1 gene:DRNTG_33786 transcript:DRNTG_33786.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSRNGCLFWLNFDVRAILSQFTEEQMSRYESFRRSGFQKSTMKRILAGITGSQKISIPMTIVVSGIAKMFVGELIETARMVMTERKDCGPIRPCHIREAYRRLRLEGKIPKRSVPRLFV >DRNTG_33786.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:108559:109426:-1 gene:DRNTG_33786 transcript:DRNTG_33786.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTERKDCGPIRPCHIREAYRRLRLEGKIPKRSVPRLFV >DRNTG_11456.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12453173:12455882:1 gene:DRNTG_11456 transcript:DRNTG_11456.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHQLRGLFEGRRVLLVLDDVWNENEHKWDELKHLLSGGTMTQGSKVIVTTRSIRVASIMGTVPSLQLSGLSEDHCWTLFRQRAFGRGKGEETLRLVAIGKDIVKKCGGVPLAAKALGSLMAFKRSEGEWLSVRDSQLWRLPEEEVGILPALRLSYDHLPSHLKQCFAYCSIFPKDYEIDKEKLIEMWVAQGFILPFDEGTMLEDIGNEYFNNLLWRSFFQDVQWNGYANKVTCKMHDLIHDLARYVAGEECFVAEIGSKERLNIPGGCRFSAVVCSKNSSEIPFALDKQKKLRSLVLLLKDSYTYIDLRSAVKEVPARMFSSLPHLRVLDLSRSNIKELPIAIGRLKHLRLLDMSRTEVETLPNSITSLINLYRLNLEYCCRLRELPQGIASMFNLRHLRIYECLSLTQMPKRMGQLQDLLTLSKYVVGEDVGRTIMELRSLNLLCGQLQIDNLEKVRDASEANEANLKAKQNLRSLYLWWKMGVNEGPRREEYVDEDVLEALQPHPSLEKLVIIGYRGFAMPKWMTTAESLPSLSYLVSITLNRLKRLQELPPFGLLPALKVLNIYDMETLCKIGNEFFGESGTFPCLQELNLCDMPNLKEWLTNPVSGSAAFPCLSVVTLKGCPNLTVEPCIPPSIQTISISYSNAGVLSADSLRKQRSSSLVQLQIQDCKSLSSSSLSSVSMFDGLQYLTVLKDLSIEHCEQLTCLPLFIFQQQQLGSSICSITISHNPNLISLGGEEVKEKIFTALKYLEIRGCHRLVTLPEWVGRLVSLQSLKICHCSSLNILPHLLVNLAQLQDLTIKHCPLLTLKCWRNIDIRKEWHKMEHIRHIDIDF >DRNTG_11456.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12452550:12455806:1 gene:DRNTG_11456 transcript:DRNTG_11456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLDVIAAERTKFHLREGGSFSLDQRRNEVNCERQTNSFINESEIYGRDEDKERLIRFLTDDENNDDRGLSVLPIVGLGGLGKTTLAQLAYNDDRIKRHFELQVWVCISLYFDIRRITKAIIESSTWNVCDLSDIEPMQHQLRGLFEGRRVLLVLDDVWNENEHKWDELKHLLSGGTMTQGSKVIVTTRSIRVASIMGTVPSLQLSGLSEDHCWTLFRQRAFGRGKGEETLRLVAIGKDIVKKCGGVPLAAKALGSLMAFKRSEGEWLSVRDSQLWRLPEEEVGILPALRLSYDHLPSHLKQCFAYCSIFPKDYEIDKEKLIEMWVAQGFILPFDEGTMLEDIGNEYFNNLLWRSFFQDVQWNGYANKVTCKMHDLIHDLARYVAGEECFVAEIGSKERLNIPGGCRFSAVVCSKNSSEIPFALDKQKKLRSLVLLLKDSYTYIDLRSAVKEVPARMFSSLPHLRVLDLSRSNIKELPIAIGRLKHLRLLDMSRTEVETLPNSITSLINLYRLNLEYCCRLRELPQGIASMFNLRHLRIYECLSLTQMPKRMGQLQDLLTLSKYVVGEDVGRTIMELRSLNLLCGQLQIDNLEKVRDASEANEANLKAKQNLRSLYLWWKMGVNEGPRREEYVDEDVLEALQPHPSLEKLVIIGYRGFAMPKWMTTAESLPSLSYLVSITLNRLKRLQELPPFGLLPALKVLNIYDMETLCKIGNEFFGESGTFPCLQELNLCDMPNLKEWLTNPVSGSAAFPCLSVVTLKGCPNLTVEPCIPPSIQTISISYSNAGVLSADSLRKQRSSSLVQLQIQDCKSLSSSSLSSVSMFDGLQYLTVLKDLSIEHCEQLTCLPLFIFQQQQLGSSICSITISHNPNLISLGGEEVKEKIFTALKYLEIRGCHRLVTLPEWVGRLVSLQSLKICHCSSLNILPHLLVNLAQLQDLTIKHCPLLTLKCWRNIDIRKEWHKMEHIRHIDIDF >DRNTG_16619.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31387619:31389092:-1 gene:DRNTG_16619 transcript:DRNTG_16619.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFNQSMPPSGHPGFSPRVRGPHEVPPQHVPVAVPSRGTHSLNSFRPSLSLSIKSQESAQGASFFPDSQVGFNRQDLRNMNPRSNSSEEPAMRIVTTNHDSELQPLTPCIMNSFLEMGSFNLLKSNRGFPSRVVLNVVIYSAAKLENKQIDLIARKMQRLTGFRNLKLENIIDPSLIAGFVISYDTDGSQGD >DRNTG_16619.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31387499:31388764:-1 gene:DRNTG_16619 transcript:DRNTG_16619.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFNQSMPPSGHPGFSPRVRGPHEVPPQHVPVAVPSRGTHSLNSFRPSLSLSIKSQESAQGASFFPDSQVGFNRQDLRNMNPRSNSSEEPAMRIVTTNHDSELQPLTPCIMNSFLEMGSFNLLKSNRGFPSRVVLNVVIYSAAKLENKQIDLIARKMQRLTGFRNLKLENIIDPSLIAGFVISYDTDGSQGD >DRNTG_16619.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31387050:31388838:-1 gene:DRNTG_16619 transcript:DRNTG_16619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFNQSMPPSGHPGFSPRVRGPHEVPPQHVPVAVPSRGTHSLNSFRPSLSLSIKSQESAQGASFFPDSQVGFNRQDLRNMNPRSNSSEEPAMRIVTTNHDSELQPLTPCIMNSFLEMGSFNLLKSNRGFPSRVVLNVVIYSAAKLENKQIDLIARKMQRLTGFRNLKLENIIDPSLIAGFVISYDTDGSQGD >DRNTG_16619.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31387619:31388838:-1 gene:DRNTG_16619 transcript:DRNTG_16619.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFNQSMPPSGHPGFSPRVRGPHEVPPQHVPVAVPSRGTHSLNSFRPSLSLSIKSQESAQGASFFPDSQVGFNRQDLRNMNPRSNSSEEPAMRIVTTNHDSELQPLTPCIMNSFLEMGSFNLLKSNRGFPSRVVLNVVIYSAAKLENKQIDLIARKMQRLTGFRNLKLENIIDPSLIAGFVISYDTDGSQGD >DRNTG_16619.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31387050:31388764:-1 gene:DRNTG_16619 transcript:DRNTG_16619.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFNQSMPPSGHPGFSPRVRGPHEVPPQHVPVAVPSRGTHSLNSFRPSLSLSIKSQESAQGASFFPDSQVGFNRQDLRNMNPRSNSSEEPAMRIVTTNHDSELQPLTPCIMNSFLEMGSFNLLKSNRGFPSRVVLNVVIYSAAKLENKQIDLIARKMQRLTGFRNLKLENIIDPSLIAGFVISYDTDGSQGD >DRNTG_16619.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31387619:31388764:-1 gene:DRNTG_16619 transcript:DRNTG_16619.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFNQSMPPSGHPGFSPRVRGPHEVPPQHVPVAVPSRGTHSLNSFRPSLSLSIKSQESAQGASFFPDSQVGFNRQDLRNMNPRSNSSEEPAMRIVTTNHDSELQPLTPCIMNSFLEMGSFNLLKSNRGFPSRVVLNVVIYSAAKLENKQIDLIARKMQRLTGFRNLKLENIIDPSLIAGFVISYDTDGSQGD >DRNTG_11747.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1916371:1916578:1 gene:DRNTG_11747 transcript:DRNTG_11747.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIICESQTPTHPLENKSLNQNITR >DRNTG_02993.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9114150:9116193:-1 gene:DRNTG_02993 transcript:DRNTG_02993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRILGRKDHLKDFWVFLRSMTSDGYSIDQGTYLTLLASFKQQKLPAECSALAKFYKDAGLKTELQNSVDGVVKAIVDADVWDDEVERCLEDLGVSWSEDLVVRVLREVRNYPMNALSFFRWAIGRQDFNHGSAAYNAVARVLGREESIEEFWSLVDEMKKKGHDMDIDTYIKLSRHFKKSKMIKDAVELYEFMMDGPYKPAIQDCGMLLRQISLSGSVDLELVYRVVRKYEAAGYSLSKVVYDGIHRCLTSTGQFDEADEILRKMKQEGFEPDNITYSQLVYGLCKAKRLEEACKVLDEMEECGCVPDVKTWTILIQGHCSAGQVEKALECMTKMIAKGCNADADLVEVMVKGLCGENKEDGAYTLIVEMVERTRVRPWQATYKYLIRELLNKRKLEEGLEILRMMKSHNFPPFADPFPSYISQFGTVGDAEEFLKALTVNNFPSSAAYLNVFKSLLFSKKIDMNIPC >DRNTG_02084.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21902324:21903657:-1 gene:DRNTG_02084 transcript:DRNTG_02084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHDHAPVLYEISKTGVHAHASSLSMGVANGPFKRHRVKEPIRGIDFTSIFHTQVHQLRYTHLSHHCFAKSQEIHWVVLREIVLEKERSPSLPRIASFHPEQITCSSTVVKWIQMSMSRIADHLGIRLSLEPTFTVCPTSHIGVDTSNLGESQDDSTSSSSDNSSEIAQF >DRNTG_04481.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000215.1:56193:57337:1 gene:DRNTG_04481 transcript:DRNTG_04481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPDPYGGLLDQEVDNEEVIALGQEEKVPSTPAIMKKVFWKMKWVRRCHRKCPKAIGDVREPNKLNEPLLGGMVVRLSMKEIGQCGS >DRNTG_10142.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19194917:19197537:1 gene:DRNTG_10142 transcript:DRNTG_10142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMFDERSNTFHLGDSFLQFKAEDVSLILGLQCDGTAIDFKRKKEHSVFEDEYFIKGVDRIRDCLVRSLMNMVVKRESKKEESFVKLLLVYILGFLLFPTTSYSSPAWLPYYVDNLSTIGQYAWAQATHKWMMDDVPLVAARVKERCAGKQSRIGYVRGCTMALIIWFYEVTGNGKKIHFERTPRILCYGVGSYKKQAVVSTLIDSLEGKKFVPLMADGESKLNPARIWKGSTE >DRNTG_18578.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3474632:3475957:-1 gene:DRNTG_18578 transcript:DRNTG_18578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSLGTLSPERLSLLPQNRFERPYAPRLPPFQQTDIYHSIPSTE >DRNTG_33095.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:9699899:9726497:1 gene:DRNTG_33095 transcript:DRNTG_33095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIKSAARSALVAFAPEAPYLASGTMAGAVDLSFSSSANLEIFKLDFQSDDSDLPVVASCPSSERFNRLSWGKLGSVSEEFSLGLIAGGLGDGNIGVWNPSKLIGENNQDSAFVVKLEKHTGPVRGLEFSAISPNLLASGADEGELCIWDLAKPPEPDLFPSLKSVGSGAQTEVSFVSWNPKFQHILASTSYNGITVVWDLRQQKPVTSFSDSNRRRCSVLQWNPDVSTQLIIASDDDHSPALRVWDVRKTISPLREFVGHTKGVIAMSWCPYDSSYLLTCAKDNRTICWDTTSGEILSELPASTNWNFDIHWYPKIPGVISASSFDVKIGIYNIEACGRFPAGDGTFSASARSRAPKWLKCPVGVTFGFGGKLVSFQPSASVTGSPTCTSEVYVHKLATESSLIKRSTEFEAAIQNGEKVSLHALCSRKSDESVLEHDKETWGFLKVMFEEEGTARTQLLAHLGFNAHQDESRNASNGIEKKLTSSLGIEDVGESSVFPIDNDEAFFNNIQPSVDSFSDNDFPNGKETPKEPAEHLDSSEHSIDDNIQRALVVGDYKEAVLQCISTNRLADALVIANLGGSSLWESTRDHYLKKSFSPYLKVVSAMVNNDLMGLVTTRPLDSWKETLALLCTFAQKEDWTLLCDTLASRLMTAGNTLAATLCYICAGNIDKTVEIWSCNLKPEHEGKSYVDLLQDLMEKTIVLALAIGQKRLSASLSKLVENYAELLASQGLLTTAMEYLKLLGSEESSHELSILQNRIALSAEDSGKTTQSSPYEGSLSQTESIYGAQSSNSSFIDNAQHYYQDKTQPQLQQRFISSQYGEGYQQSLSSHGGYQPAHQKQTFQEYINPATFQQAQPQQVFVPSQAPQVPQPSFAPVPAQPALKPFVPATPPTLKNVEQYQQPAYGSQLYPGAANYMYRPGLPVATPQGVAPSQPGPVPGHKYPQAAGSATMPRGFTPVTNPGFVQGPAGNPVQPSSPTLPAQAQPVAAPLAPPPTVQTADTSNVPVEMRSVVATLTRLYNETSEALGGMHANPSKKREIEDNSKKIGSLFAKLNGGDLSPNAASKLRQLCQALDASDFASALHTQVLLTTSDWDECNFWLAALKRMIKTKQSSSNRL >DRNTG_33095.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:9699899:9726497:1 gene:DRNTG_33095 transcript:DRNTG_33095.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACIKSAARSALVAFAPEAPYLASGTMAGAVDLSFSSSANLEIFKLDFQSDDSDLPVVASCPSSERFNRLSWGKLGSVSEEFSLGLIAGGLGDGNIGVWNPSKLIGENNQDSAFVVKLEKHTGPVRGLEFSAISPNLLASGADEGELCIWDLAKPPEPDLFPSLKSVGSGAQTEVSFVSWNPKFQHILASTSYNGITVVWDLRQQKPVTSFSDSNRRRCSVLQWNPDVSTQLIIASDDDHSPALRVWDVRKTISPLREFVGHTKGVIAMSWCPYDSSYLLTCAKDNRTICWDTTSGEILSELPASTNWNFDIHWYPKIPGVISASSFDVKIGIYNIEACGRFPAGDGTFSASARSRAPKWLKCPVGVTFGFGGKLVSFQPSASVTGSPTCTSEVYVHKLATESSLIKRSTEFEAAIQNGEKVSLHALCSRKSDESVLEHDKETWGFLKVMFEEEGTARTQLLAHLGFNAHQDESRNASNGIEKKLTSSLGIEDVGESSVFPIDNDEAFFNNIQPSVDSFSDNDFPNGKETPKEPAEHLDSSEHSIDDNIQRALVVGDYKEAVLQCISTNRLADALVIANLGGSSLWESTRDHYLKKSFSPYLKVVSAMVNNDLMGLVTTRPLDSWKETLALLCTFAQKEDWTLLCDTLASRLMTAGNTLAATLCYICAGNIDKTVEIWSCNLKPEHEGKSYVDLLQDLMEKTIVLALAIGQKRLSASLSKLVENYAELLASQGLLTTAMEYLKLLGSEESSHELSILQNRIALSAEDSGKTTQSSPYEGSLSQTESIYGAQSSNSSFIDNAQHYYQPQLQQRFISSQYGEGYQQSLSSHGGYQPAHQKQTFQEYINPATFQQAQPQQVFVPSQAPQVPQPSFAPVPAQPALKPFVPATPPTLKNVEQYQQPAYGSQLYPGAANYMYRPGLPVATPQGVAPSQPGPVPGHKYPQAAGSATMPRGFTPVTNPGFVQGPAGNPVQPSSPTLPAQAQPVAAPLAPPPTVQTADTSNVPVEMRSVVATLTRLYNETSEALGGMHANPSKKREIEDNSKKIGSLFAKLNGGDLSPNAASKLRQLCQALDASDFASALHTQVLLTTSDWDECNFWLAALKRMIKTKQSSSNRL >DRNTG_31180.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16252018:16253005:1 gene:DRNTG_31180 transcript:DRNTG_31180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPSSEYLGHMKKKLIREEAEEEEEEGVSRGRGFDNGTSSVSTTKSTTAHLKMKYTKYRDLIAHISQLTPIPKKSAPSILALESKKFSSYQETGRT >DRNTG_14009.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27672705:27676302:1 gene:DRNTG_14009 transcript:DRNTG_14009.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAMQTDGITMDNEMVKWLPRVDMLFDSENDAYEFYSAYAEKVGFFVRRSTLWTTSKNIVTRRTFVCSREGFREKKKGSKEVKSPRPETRIGCQASLTIRLTPNGKYRVTDFLPSHNHQLATQTTIHMLRAKKMRRKARLARADLVDETVRTPEFETEDEAYEFYNMYAGKMGFAVRRASMTVSAENVITRRMFVCSREGFREKKKGAKRVKKPRPETRTGCPACMVIRIEPSGKYHVSEFVTYHNHQLSIPSSINMVASTSVEIDGKNEETDLAVDSVKTSTSTDKIPGKLSRVCDDIGHHDADNKNLRSKRLKTVQRGDAGATLEYLQKMQNDNPSFFYAVMPDEDDTMMNFFWADAKSRMDFNYFGDVVCLDTTYKINGCGRPFALFIGLNHHKQSIIFGAALLYDESFCSFRWLFETFKTAMCGRQPKTVLTDRCAAISDAVTAVWPGTAHRFCVWHIYLHAAEQLSQAFHGSKTLAYDFSRCLFEYEDEDEFLSAWHTMLEKYDLKDNKWLSRLFADREKWALVYGRDTFYADMKSAQQKDTLNGELKKHLSPEMELLSFFERYERILDERRFAEMQADISSGQNARKIPSMRILRQAANAYTPAAYKMFEREFEMYMDCMLYSCGEVGTASEYKVTVEDDSKDHIVRFDSLDGSFCCSCKKFEFIGIQCRHVLKVLDFRNIKDLPPQYILKRWRKDAKVLSGNYGVLIDDDPQSSVANRFNYLCRVFSIAAARAAKTLESHSYVEGQLEMLMEQVEQTMQARHMEMPSLPPAPYDQNTVDCVVMGNLHHDKTNQASLIPCATDGLLGSRHSHPTICWGQFPAGPPE >DRNTG_14009.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27672320:27676302:1 gene:DRNTG_14009 transcript:DRNTG_14009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAMQTDGITMDNEMVKWLPRVDMLFDSENDAYEFYSAYAEKVGFFVRRSTLWTTSKNIVTRRTFVCSREGFREKKKGSKEVKSPRPETRIGCQASLTIRLTPNGKYRVTDFLPSHNHQLATQTTIHMLRAKKMRRKARLARADLVDETVRTPEFETEDEAYEFYNMYAGKMGFAVRRASMTVSAENVITRRMFVCSREGFREKKKGAKRVKKPRPETRTGCPACMVIRIEPSGKYHVSEFVTYHNHQLSIPSSINMVASTSVEIDGKNEETDLAVDSVKTSTSTDKIPGKLSRVCDDIGHHDADNKNLRSKRLKTVQRGDAGATLEYLQKMQNDNPSFFYAVMPDEDDTMMNFFWADAKSRMDFNYFGDVVCLDTTYKINGCGRPFALFIGLNHHKQSIIFGAALLYDESFCSFRWLFETFKTAMCGRQPKTVLTDRCAAISDAVTAVWPGTAHRFCVWHIYLHAAEQLSQAFHGSKTLAYDFSRCLFEYEDEDEFLSAWHTMLEKYDLKDNKWLSRLFADREKWALVYGRDTFYADMKSAQQKDTLNGELKKHLSPEMELLSFFERYERILDERRFAEMQADISSGQNARKIPSMRILRQAANAYTPAAYKMFEREFEMYMDCMLYSCGEVGTASEYKVTVEDDSKDHIVRFDSLDGSFCCSCKKFEFIGIQCRHVLKVLDFRNIKDLPPQYILKRWRKDAKVLSGNYGVLIDDDPQSSVANRFNYLCRVFSIAAARAAKTLESHSYVEGQLEMLMEQVEQTMQARHMEMPSLPPAPYDQNTVDCVVMGNLHHDKTNQASLIPCATDGLLGSRHSHPTICWGQFPAGPPE >DRNTG_14009.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27672320:27676302:1 gene:DRNTG_14009 transcript:DRNTG_14009.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAMQTDGITMDNEMVKWLPRVDMLFDSENDAYEFYSAYAEKVGFFVRRSTLWTTSKNIVTRRTFVCSREGFREKKKGSKEVKSPRPETRIGCQASLTIRLTPNGKYRVTDFLPSHNHQLATQTTIHMLRAKKMRRKARLARADLVDETVRTPEFETEDEAYEFYNMYAGKMGFAVRRASMTVSAENVITRRMFVCSREGFREKKKGAKRVKKPRPETRTGCPACMVIRIEPSGKYHVSEFVTYHNHQLSIPSSINMVASTSVEIDGKNEETDLAVDSVKTSTSTDKIPGKLSRVCDDIGHHDADNKNLRSKRLKTVQRGDAGATLEYLQKMQNDNPSFFYAVMPDEDDTMMNFFWADAKSRMDFNYFGDVVCLDTTYKINGCGRPFALFIGLNHHKQSIIFGAALLYDESFCSFRWLFETFKTAMCGRQPKTVLTDRCAAISDAVTAVWPGTAHRFCVWHIYLHAAEQLSQAFHGSKTLAYDFSRCLFEYEDEDEFLSAWHTMLEKYDLKDNKWLSRLFADREKWALVYGRDTFYADMKSAQQKDTLNGELKKHLSPEMELLSFFERYERILDERRFAEMQADISSGQNARKIPSMRILRQAANAYTPAAYKMFEREFEMYMDCMLYSCGEVGTASEYKVTVEDDSKDHIVRFDSLDGSFCCSCKKFEFIGIQCRHVLKVLDFRNIKDLPPQYILKRWRKDAKVLSGNYGVLIDDDPQSSVANRFNYLCRVFSIAAARAAKTLESHSYVEGQLEMLMEQVEQTMQARHMEMPSLPPAPYDQNTVDCVVMGNLHHDKTNQASLIPCATDGLLGSRHSHPTICWGQFPAGPPE >DRNTG_24976.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6948159:6949457:-1 gene:DRNTG_24976 transcript:DRNTG_24976.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGGCISSKSPTSLRKRVVANAPRIKRCRRLVMNDPATVLKEVTDNTCSMEQTTEPSSPIQLKELQFSTPESGKKRNQMEENEALMTQKAALSSPSSVLNPPPSLKRKTILDYFHAAS >DRNTG_24976.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6948159:6951511:-1 gene:DRNTG_24976 transcript:DRNTG_24976.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGILGGHTGSVKSISPHASNPDIIVSGSRDGSFALWDLRCNPTSTRNGETRLLPVDVVSGAHGFNKEVSTVPIKSRTCNSFRRKRAPRASPMSITSVLCLKDSLSIATGGALNSIVKFWDTRNLSSAVAQACPQNKPSAEKDTPSHGISSLSQDSHGAFITASCMDHRIYLYEVLHLNKGATKVFSGSKIDTFYVKSALSPDGAHLLSGSSDGNAYIWRVNKPEGIPVKLEGHEGEVTAVDWCSSEIGKLVTSADDSKVRVWNMKRGGCISSKSPTSLRKRVVANAPRIKRCRRLVMNDPATVLKEVTDNTCSMEQTTEPSSPIQLKELQFSTPESGKKRNQMEENEALMTQKAALSSPSSVLNPPPSLKRKTILDYFHAAS >DRNTG_24976.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6948159:6952108:-1 gene:DRNTG_24976 transcript:DRNTG_24976.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSILNSSTFFGDVVRREIDGFRVNRRPYLGFLSSEPGAGGAVAIEHQGLMSIPLVVSFCKMSGNSHFLAVGDEDGFVSLYDTRQCLPSVASCMEKSGQARVFDWIAHTNAIFDVCWIKDDSHIVTASGDQSIKLWNAENRKCMGILGGHTGSVKSISPHASNPDIIVSGSRDGSFALWDLRCNPTSTRNGETRLLPVDVVSGAHGFNKEVSTVPIKSRTCNSFRRKRAPRASPMSITSVLCLKDSLSIATGGALNSIVKFWDTRNLSSAVAQACPQNKPSAEKDTPSHGISSLSQDSHGAFITASCMDHRIYLYEVLHLNKGATKVFSGSKIDTFYVKSALSPDGAHLLSGSSDGNAYIWRVNKPEGIPVKLEGHEGEVTAVDWCSSEIGKLVTSADDSKVRVWNMKRGGCISSKSPTSLRKRVVANAPRIKRCRRLVMNDPATVLKEVTDNTCSMEQTTEPSSPIQLKELQFSTPESGKKRNQMEENEALMTQKAALSSPSSVLNPPPSLKRKTILDYFHAAS >DRNTG_24976.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6948159:6949100:-1 gene:DRNTG_24976 transcript:DRNTG_24976.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGGCISSKSPTSLRKRVVANAPRIKRCRRLVMNDPATVLKEVTDNTCSMEQTTEPSSPIQLKELQFSTPESGKKRNQMEENEALMTQKAALSSPSSVLNPPPSLKRKTILDYFHAAS >DRNTG_02891.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:765039:765614:1 gene:DRNTG_02891 transcript:DRNTG_02891.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSYLKVNGISTGPFLVLCPLSVTDSWMSEFCQVLPGFLGVLRYLGDKERRRDLRRMMHEHVQKQPPSINGQTELPFDVLLTTYDVALLDQDFLSQIPWHYAVIDEAQRLKNPSS >DRNTG_02891.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:765039:765982:1 gene:DRNTG_02891 transcript:DRNTG_02891.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSYLKVNGISTGPFLVLCPLSVTDSWMSEFCQVLPGFLGVLRYLGDKERRRDLRRMMHEHVQKQPPSINGQTELPFDVLLTTYDVALLDQDFLSQIPWHYAVIDEAQRLKNPSSVLYKVLQQNFIMPRRLLMT >DRNTG_27961.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3520177:3523416:1 gene:DRNTG_27961 transcript:DRNTG_27961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRMLLKVIILGDSGVGKTSLMNQYVNRKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVQKSFDNLNNWREEFLIQASPPDPENFPFVVLGNKIDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKEGFNVEAAFECIAKNAFKNEPEDEIYLPDTIDVTGGGRQQRSSGCEC >DRNTG_21825.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1810941:1811714:-1 gene:DRNTG_21825 transcript:DRNTG_21825.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRRELHPEYLSNGNTRLPPASFSMSNAEKDLFCHVLRNIKVPNAYSSNISKAVNEKERKLQGLKSHDYHILLHDLLPIALRSSMSKQVTLAISELSNIFKILCGKVLNVEAIDKLQDRAAIALCHLERIFPPSFFTIMVHLVIHLPLEAKLGGPVYYRWMYPIERFLLKLKNYVRN >DRNTG_16004.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5262554:5265259:-1 gene:DRNTG_16004 transcript:DRNTG_16004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKMVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVQIDLAAQQQYEAEIAAAAAQPLPDDDDDAFE >DRNTG_06148.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25440626:25450705:-1 gene:DRNTG_06148 transcript:DRNTG_06148.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSHSDAGTRSRSKTMRNTGDYLGSAQSLGADILCAIFTLLDHFDLVRCSAVCKSWPKIIYTSTLVEDLYYKKNPFAKYDKSFPQASIATLKDLALEQHRVSFLSGSTEIHQWNGHSSRVNICRMKRGVILTGGEDKLLRLWSSENYKCLNQYSFSGLDPLVDYDFDENKIVGITGSQLCIWRRNGRRSIFRSRESTLTRGLCMGYIDPEAAMGCEDGRARIFDLYSGSCTRIIRMHSAPLTCIALTEDQLILGGSTYGHVAIADISSGEQLGFLKSSISPTGMKTLFVNTSSYRVYAGSTSGYAHCWDLRTLRPLWETRASPNVIYAVHHLANYSSSLAVGGLDGVLRILNQDTGEVLSSFVADAAPTSTGHDMVEVIKGRSLGDDSNLDSILRYRRPPITRLAVGMNKIVTTHGQKYIRMWRFSA >DRNTG_06148.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25440626:25450705:-1 gene:DRNTG_06148 transcript:DRNTG_06148.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSHSDAGTRSRSKTMRNTGDYLGSAQSLGADILCAIFTLLDHFDLVRCSAVCKSWPKIIYTSTLVEDLYYKKNPFAKYDKSFPQASIATLKDLALEQHRVSFLSGSTEIHQWNGHSSRFAYFVSSL >DRNTG_16151.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000797.1:36875:37234:1 gene:DRNTG_16151 transcript:DRNTG_16151.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETPPVAEEPPLVLMFSPSRANDRFERIENVIGVVRAEILERDVASSFVLRPKTPPGPSVPLASPSSTPAPVDPLCASPAAAAAPLPESDTDT >DRNTG_19887.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18092426:18094785:1 gene:DRNTG_19887 transcript:DRNTG_19887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANVILGKALREECWSICFRITWASTAKEFDDTVNELQATSPEAHHWLINKSDMSHWSNYLFRGDCWGEMYSNVAESFNAWIKEARHLPVTKMVDSISGVSKRTNGRPTYAQTYIRR >DRNTG_18238.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3206112:3211590:1 gene:DRNTG_18238 transcript:DRNTG_18238.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PECTIC ARABINOGALACTAN SYNTHESIS-RELATED [Source:Projected from Arabidopsis thaliana (AT3G26370) UniProtKB/Swiss-Prot;Acc:Q9LIN9] MGSRASSSPAKRDAAAIPLTFDRHRDHPSGDYDDDDPRRDRDRDPLRFLPPSLRSLIHLDDARALASSHSRILAVLGLILCVAALVSLPSLWSRLTAPYLCTKDGIVLRCPFVKEPPSLWENPHSATTSWKPCAERQENEISDLPPENETSGYIFIHAEGGLNQQRIAICNAVAVAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDHFIEYLKYDVHIVRDIPDWFLDKTELFTSIRRTVKNIPKYAPAQFYIDNVLPRIKEKKIMALKPFVDRLGYDNVPAEINRLRCRVNYHALKFLPEIEEMANLLASRMRNRTGSSNPYMALHLRFEKGMVGLSFCDFVGTREEKAMMAAYRQKEWPRRYKNGSHLWELALQKRKEGRCPLEPGEVAVILRAMGYPKETQIYVASGQVYGGQNRMAPLRNMFP >DRNTG_09625.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21992997:21995157:-1 gene:DRNTG_09625 transcript:DRNTG_09625.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,6-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase [Source:Projected from Arabidopsis thaliana (AT2G05320) UniProtKB/Swiss-Prot;Acc:Q9FT88] MAFNKRSRLKDAGLRRLLPLLLSVLLVALILIALSGKRMLSFRVEGEEAPLVLEQEEVLLDSKFKPEFPNQTVLSISLEERNKLPPRNLNLFPNLAKDHIKIVLYVHNRPQYLKVVVQSLSAVEGIGETLLVVSHDGYYREMDEIVQGIKFCQVKQIFAPYSPHLFPDSFPGVAPGDCHDKENAAEKKCNGNPDQYGNHRSPKIVSLKHHWWWMMNTVWDGLPETKGYTGHILFIEEDHYVLPNAYRNIQLLTRMKPWKCPDCYAANLAPSDVNSKGEGWETLIAEKMGNVGYAWNRTVWRKIHAQAKNFCTFDDYNWDITMWATIFPSWGTTVYSLRGPRRSAAHFGKCGLHQGQDSRNACIDNGVVSVDVDDADKIPNINSNWPLHVVKKQGGYQAGFKGWGGWGDERDQKLCLSFAHMYHFVEAPSI >DRNTG_09625.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21992997:21995157:-1 gene:DRNTG_09625 transcript:DRNTG_09625.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,6-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase [Source:Projected from Arabidopsis thaliana (AT2G05320) UniProtKB/Swiss-Prot;Acc:Q9FT88] MAFNKRSRLKDAGLRRLLPLLLSVLLVALILIALSGKRMLSFRVEGEEAPLVLEQEEVLLDSKFKPEFPNQTVLSISLEERNKLPPRNLNLFPNLAKDHIKIVLYVHNRPQYLKVVVQSLSAVEGIGETLLVVSHDGYYREMDEIVQGIKFCQVKQIFAPYSPHLFPDSFPGVAPGDCHDKENAAEKKCNGNPDQYGNHRSPKIVSLKHHWWWMMNTVWDGLPETKGYTGHILFIEEDHYVLPNAYRNIQLLTRMKPWKCPDCYAANLAPSDVNSKGEGWETLIAEKMGNVGYAWNRTVWRKIHAQAKNFCTFDDYNWDITMWATIFPSWGTTVYSLRGPRRSAAHFGKCGLHQGQDSRNACIDNGVVSVDVDDADKIPNINSNWPLHVVKKQGGYQAGFKGWGGWGDERDQKLCLSFAHMYHFVEAPSI >DRNTG_09625.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21992997:21995157:-1 gene:DRNTG_09625 transcript:DRNTG_09625.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,6-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase [Source:Projected from Arabidopsis thaliana (AT2G05320) UniProtKB/Swiss-Prot;Acc:Q9FT88] MAFNKRSRLKDAGLRRLLPLLLSVLLVALILIALSGKRMLSFRVEGEEAPLVLEQEEVLLDSKFKPEFPNQTVLSISLEERNKLPPRNLNLFPNLAKDHIKIVLYVHNRPQYLKVVVQSLSAVEGIGETLLVVSHDGYYREMDEIVQGIKFCQVKQIFAPYSPHLFPDSFPGVAPGDCHDKENAAEKKCNGNPDQYGNHRSPKIVSLKHHWWWMMNTVWDGLPETKGYTGHILFIEEDHYVLPNAYRNIQLLTRMKPWKCPDCYAANLAPSDVNSKGEGWETLIAEKMGNVGYAWNRTVWRKIHAQAKNFCTFDDYNWDITMWATIFPSWGTTVYSLRGPRRSAAHFGKCGLHQGQDSRNACIDNGVVSVDVDDADKIPNINSNWPLHVVKKQGGYQAGFKGWGGWGDERDQKLCLSFAHMYHFVEAPSI >DRNTG_09625.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21992915:21995157:-1 gene:DRNTG_09625 transcript:DRNTG_09625.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,6-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase [Source:Projected from Arabidopsis thaliana (AT2G05320) UniProtKB/Swiss-Prot;Acc:Q9FT88] MLSFRVEGEEAPLVLEQEEVLLDSKFKPEFPNQTVLSISLEERNKLPPRNLNLFPNLAKDHIKIVLYVHNRPQYLKVVVQSLSAVEGIGETLLVVSHDGYYREMDEIVQGIKFCQVKQIFAPYSPHLFPDSFPGVAPGDCHDKENAAEKKCNGNPDQYGNHRSPKIVSLKHHWWWMMNTVWDGLPETKGYTGHILFIEEDHYVLPNAYRNIQLLTRMKPWKCPDCYAANLAPSDVNSKGEGWETLIAEKMGNVGYAWNRTVWRKIHAQAKNFCTFDDYNWDITMWATIFPSWGTTVYSLRGPRRSAAHFGKCGLHQGQDSRNACIDNGVVSVDVDDADKIPNINSNWPLHVVKKQGGYQAGFKGWGGWGDERDQKLCLSFAHMYHFVEAPSI >DRNTG_09625.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21992997:21995157:-1 gene:DRNTG_09625 transcript:DRNTG_09625.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,6-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase [Source:Projected from Arabidopsis thaliana (AT2G05320) UniProtKB/Swiss-Prot;Acc:Q9FT88] MAFNKRSRLKDAGLRRLLPLLLSVLLVALILIALSGKRMLSFRVEGEEAPLVLEQEEVLLDSKFKPEFPNQTVLSISLEERNKLPPRNLNLFPNLAKDHIKIVLYVHNRPQYLKVVVQSLSAVEGIGETLLVVSHDGYYREMDEIVQGIKFCQVKQIFAPYSPHLFPDSFPGVAPGDCHDKENAAEKKCNGNPDQYGNHRSPKIVSLKHHWWWMMNTVWDGLPETKGYTGHILFIEEDHYVLPNAYRNIQLLTRMKPWKCPDCYAANLAPSDVNSKGEGWETLIAEKMGNVGYAWNRTVWRKIHAQAKNFCTFDDYNWDITMWATIFPSWGTTVYSLRGPRRSAAHFGKCGLHQGQDSRNACIDNGVVSVDVDDADKIPNINSNWPLHVVKKQGGYQAGFKGWGGWGDERDQKLCLSFAHMYHFVEAPSI >DRNTG_34583.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002166.1:20077:23469:-1 gene:DRNTG_34583 transcript:DRNTG_34583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNLHRLIWVLCTWRLLVEKRRREFMVLVLTHQASIKSHFVVVLHQLPTTFSIYDPSPEIVSEMEGIKKKIIDLEEQNQSLMQRNQNMMRQMHHKWKRFEEAAAGSVKTLEPKADTRVSKTLEPKAALAHPSHILSRPEPGRKRKNGCIACKGTQVVEKHTIEDCMKAMVEDGVHEN >DRNTG_18567.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000962.1:81270:82535:1 gene:DRNTG_18567 transcript:DRNTG_18567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLGYANVTTFVSLQLIIRDFSQLKPHFATTLHHCMTWRTKWVKLRSPKISIVRVPTGAKRSPKRQTPLELSYLQVITRDN >DRNTG_00566.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30086084:30088052:-1 gene:DRNTG_00566 transcript:DRNTG_00566.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTVIHNGGCHCRQVRWQVEAPTSVVAWKCNCSNCSMRGNIHFIVPSNKFKLLGNSETSLTTYTFGTHTAKHTFCKYCGITSFYVARSNPDGIAVTLNCVASGTLKHVEIRHFDGEKWEESYNQSSISSFSK >DRNTG_00566.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30086129:30088052:-1 gene:DRNTG_00566 transcript:DRNTG_00566.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTVIHNGGCHCRQVRWQVEAPTSVVAWKCNCSNCSMRGNIHFIVPSNKFKLLGNSETSLTTYTFGTHTAKHTFCKYCGITSFYVARSNPDGIAVTLNCVASGTLKHVEIRHFDGEKWEESYNQSSISSFSK >DRNTG_00566.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30086129:30088124:-1 gene:DRNTG_00566 transcript:DRNTG_00566.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTVIHNGGCHCRQVRWQVEAPTSVVAWKCNCSNCSMRGNIHFIVPSNKFKLLGNSETSLTTYTFGTHTAKHTFCKYCGITSFYVARSNPDGIAVTLNCVASGTLKHVEIRHFDGEKWEESYNQSSISSFSK >DRNTG_00566.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30086084:30088052:-1 gene:DRNTG_00566 transcript:DRNTG_00566.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTVIHNGGCHCRQVRWQVEAPTSVVAWKCNCSNCSMRGNIHFIVPSNKFKLLGNSETSLTTYTFGTHTAKHTFCKYCGITSFYVARSNPDGIAVTLNCVASGTLKHVEIRHFDGEKWEESYNQSSISSFSK >DRNTG_00566.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30085999:30088052:-1 gene:DRNTG_00566 transcript:DRNTG_00566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTVIHNGGCHCRQVRWQVEAPTSVVAWKCNCSNCSMRGNIHFIVPSNKFKLLGNSETSLTTYTFGTHTAKHTFCKYCGITSFYVARSNPDGIAVTLNCVASGTLKHVEIRHFDGEKWEESYNQSSISSFSK >DRNTG_00566.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30086084:30088124:-1 gene:DRNTG_00566 transcript:DRNTG_00566.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTVIHNGGCHCRQVRWQVEAPTSVVAWKCNCSNCSMRGNIHFIVPSNKFKLLGNSETSLTTYTFGTHTAKHTFCKYCGITSFYVARSNPDGIAVTLNCVASGTLKHVEIRHFDGEKWEESYNQSSISSFSK >DRNTG_00566.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30086129:30088052:-1 gene:DRNTG_00566 transcript:DRNTG_00566.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTVIHNGGCHCRQVRWQVEAPTSVVAWKCNCSNCSMRGNIHFIVPSNKFKLLGNSETSLTTYTFGTHTAKHTFCKYCGITSFYVARSNPDGIAVTLNCVASGTLKHVEIRHFDGEKWEESYNQSSISSFSK >DRNTG_21693.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:972557:974321:1 gene:DRNTG_21693 transcript:DRNTG_21693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIVKFLLVMQKHG >DRNTG_01426.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000079.1:73407:79023:1 gene:DRNTG_01426 transcript:DRNTG_01426.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A(1) LCAT3 [Source:Projected from Arabidopsis thaliana (AT3G03310) UniProtKB/Swiss-Prot;Acc:Q93V61] MFGDCGLRIPFPRRRQPAAVDPEPELDPVLLVSGIGGSILNARNKKSGFLTRVWVRVFLANLEFKKNVWSLYNPETGYTESVDPNVEILVPDDDYGLYAIDILDPAWWVKCLRVSDLYHFHDMIDMLIRCGYKKGTTLFGYGYDFRQSNRIDKTMDGLKAKLETAYKASGGKKVNIISHSMGGLLVRCFITLHHEVFAKYVNKWICIACPFQGAPGCISDSLLTGLQFVYGFESFFFVSRWTMHQLLVECPSIYEMLPNPEFKWKIQPVIKVWRKQSDKKDDSSVKLDVYDSSHCIALFEEALRNNEMKFNGKSVALPFNFSILKWASETHRILNEAQLPSSVSFYNIYGISLDSPYDVCYGSKRSPIGDLSEVCHTLPEYTYVDGDGTVPAESAKADGLPAVARVGIKASHRGLLRDKQVLQLLKQWLGVTEKSTKSKLTSKVVDEFLHPDHQFGLQQNTKNIDSIQAILDAQAR >DRNTG_04943.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3800842:3805718:1 gene:DRNTG_04943 transcript:DRNTG_04943.4 gene_biotype:protein_coding transcript_biotype:protein_coding METLNAIEPHYVRCVKPNSLNRSKKFENQFNQSVIHQLHCGVSAMLLFFSLHTSFEIYLKSSLIYLILK >DRNTG_04943.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3800842:3805718:1 gene:DRNTG_04943 transcript:DRNTG_04943.13 gene_biotype:protein_coding transcript_biotype:protein_coding METLNAIEPHYVRCVKPNSLNRSKKFENQFNQSVIHQLHCGIFILCITLCSESDCHVIFVIAKEARDAEIQDEVAEVLDIICQCVS >DRNTG_04943.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3800842:3805718:1 gene:DRNTG_04943 transcript:DRNTG_04943.3 gene_biotype:protein_coding transcript_biotype:protein_coding METLNAIEPHYVRCVKPNSLNRSKKFENQFNQSVIHQLHCGIFILCITLCSESDCHVIFVIAKEARDAEIQDEVAEVLDIICQCVS >DRNTG_04943.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3800842:3805718:1 gene:DRNTG_04943 transcript:DRNTG_04943.5 gene_biotype:protein_coding transcript_biotype:protein_coding METLNAIEPHYVRCVKPNSLNRSKKFENQFNQSVIHQLHCGVSAMLLFFSLHTSFEIYLKSSLIYLILK >DRNTG_04943.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3800842:3805718:1 gene:DRNTG_04943 transcript:DRNTG_04943.14 gene_biotype:protein_coding transcript_biotype:protein_coding METLNAIEPHYVRCVKPNSLNRSKKFENQFNQSVIHQLHCGKPEMLKFRMRLLRCLISYVNV >DRNTG_04943.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3800842:3805718:1 gene:DRNTG_04943 transcript:DRNTG_04943.12 gene_biotype:protein_coding transcript_biotype:protein_coding METLNAIEPHYVRCVKPNSLNRSKKFENQFNQSVIHQLHCGVSAMLLFFSLHTSFEIYLKSSLIYLILK >DRNTG_04943.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3800842:3805718:1 gene:DRNTG_04943 transcript:DRNTG_04943.10 gene_biotype:protein_coding transcript_biotype:protein_coding METLNAIEPHYVRCVKPNSLNRSKKFENQFNQSVIHQLHCGIFILCITLCSESDCHVIFVIAKEARDAEIQDEVAEVLDIICQCVS >DRNTG_04943.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3800842:3805718:1 gene:DRNTG_04943 transcript:DRNTG_04943.9 gene_biotype:protein_coding transcript_biotype:protein_coding METLNAIEPHYVRCVKPNSLNRSKKFENQFNQSVIHQLHCGVSAMLLFFSLHTSFEIYLKSSLIYLILK >DRNTG_04943.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3800842:3805718:1 gene:DRNTG_04943 transcript:DRNTG_04943.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLNAIEPHYVRCVKPNSLNRSKKFENQFNQSVIHQLHCGVSAMLLFFSLHTSFEIYLKSSLIYLILK >DRNTG_04943.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3800842:3805718:1 gene:DRNTG_04943 transcript:DRNTG_04943.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLNAIEPHYVRCVKPNSLNRSKKFENQFNQSVIHQLHCGIFILCITLCSESDCHVIFVIAKEARDAEIQDEVAEVLDIICQCVS >DRNTG_04943.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3800842:3805718:1 gene:DRNTG_04943 transcript:DRNTG_04943.6 gene_biotype:protein_coding transcript_biotype:protein_coding METLNAIEPHYVRCVKPNSLNRSKKFENQFNQSVIHQLHCGIFILCITLCSESDCHVIFVIAKEARDAEIQDEVAEVLDIICQCVS >DRNTG_04943.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3800842:3805718:1 gene:DRNTG_04943 transcript:DRNTG_04943.7 gene_biotype:protein_coding transcript_biotype:protein_coding METLNAIEPHYVRCVKPNSLNRSKKFENQFNQSVIHQLHCGVSAMLLFFSLHTSFEIYLKSSLIYLILK >DRNTG_04943.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3800842:3805718:1 gene:DRNTG_04943 transcript:DRNTG_04943.8 gene_biotype:protein_coding transcript_biotype:protein_coding METLNAIEPHYVRCVKPNSLNRSKKFENQFNQSVIHQLHCGIFILCITLCSESDCHVIFVIAKEARDAEIQDEVAEVLDIICQCVS >DRNTG_04943.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3800842:3805718:1 gene:DRNTG_04943 transcript:DRNTG_04943.11 gene_biotype:protein_coding transcript_biotype:protein_coding METLNAIEPHYVRCVKPNSLNRSKKFENQFNQSVIHQLHCGIFILCITLCSESDCHVIFVIAKEARDAEIQDEVAEVLDIICQCVS >DRNTG_16577.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:95211:99778:-1 gene:DRNTG_16577 transcript:DRNTG_16577.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase V subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G40030) UniProtKB/Swiss-Prot;Acc:Q5D869] MEPDLSSPVVLDASIRRIKFSLATAGEIHQYSINDSPVSHPSQLTNPFLGLPLESGKCESCGTAEHGQCEGHFGYIPLITPIYHPCHITELRNILSLICLKCMRVKKGKVKNSTGKEKISNTSCFYCRDIPPISITEVKTTDGAFCLELKVRSKSRLREGFWHFLDKFGFRYGDTFCRPLLPYEALKILKEVPEETRKRLAGKGFFPQEGFILQYLPVPPNCLYVPEISDGKSIMSSDISIPLLKRVLNKNELIKRSRSGVPNFESHEVESNDLQSSIAQYMHLRGTTK >DRNTG_16577.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:85546:88357:-1 gene:DRNTG_16577 transcript:DRNTG_16577.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase V subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G40030) UniProtKB/Swiss-Prot;Acc:Q5D869] MNLMLGELGNQKMMAGPQPKNLQMKPGFPPNNSHWMLCKMVVAQSKNPYGMVHKMAMAQLKNLQRMAGVQLEKSAQNSWGSGKETAQDGWGSTKISAIDDRGSAKQPAQDGIGSAAKSSQDDWGSAKKPVQNEWGSATKPPQDNWGSSKKPAQNDWGSSKKPAQNDWGSLKKPAQDNWGSMKKSAQKEWGSVKESSQDEWGSIKKPAQDEGGSFKKSAQDDWGSAENSSQGDWGSAKDTTQDDWGSVRVSSKKPAQGNWDSAKKPAQDDLGSAKEPSVYSSPSQDGWVTDKKPAQGDWGAGKNPAQDDWGSAKEPLKDGWVTDKKPAQGDWGAGKNPAQDDWGSAKEPSKDGWASGKVPAMDNAQDGWASAKESAQDGWGSAGQNGRGSRAKVGWGSSNATEPKNQKNHSTRFDDRKGWNANRSFNSAGRRPDFFTCEEEKILVEVEPTMQRIRKILHDSSDGGRLSADDQKFVVENVFEHHPEKHAKVTDEIDYMMVDKHKNFQDSRCLYVVSSDGSSTDFSYLKCMENYVKIQFPEHAESFNRKYFKRRRTEPTNQSGQQQQQQ >DRNTG_16577.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:95211:100277:-1 gene:DRNTG_16577 transcript:DRNTG_16577.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase V subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G40030) UniProtKB/Swiss-Prot;Acc:Q5D869] MEPDLSSPVVLDASIRRIKFSLATAGEIHQYSINDSPVSHPSQLTNPFLGLPLESGKCESCGTAEHGQCEGHFGYIPLITPIYHPCHITELRNILSLICLKCMRVKKGKVKNSTGKEKISNTSCFYCRDIPPISITEVKTTDGAFCLELKVRSKSRLREGFWHFLDKFGFRYGDTFCRPLLPYEALKILKEVPEETRKRLAGKGFFPQEGFILQYLPVPPNCLYVPEISDGKSIMSSDISIPLLKRVLNKNELIKRSRSGVPNFESHEVESNDLQSSIAQYMHLRGTTK >DRNTG_16577.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:85546:88843:-1 gene:DRNTG_16577 transcript:DRNTG_16577.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase V subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G40030) UniProtKB/Swiss-Prot;Acc:Q5D869] MNLMLGELGNQKMMAGPQPKNLQMKPGFPPNNSHWMLCKMVVAQSKNPYGMVHKMAMAQLKNLQRMAGVQLEKSAQNSWGSGKETAQDGWGSTKISAIDDRGSAKQPAQDGIGSAAKSSQDDWGSAKKPVQNEWGSATKPPQDNWGSSKKPAQNDWGSSKKPAQNDWGSLKKPAQDNWGSMKKSAQKEWGSVKESSQDEWGSIKKPAQDEGGSFKKSAQDDWGSAENSSQGDWGSAKDTTQDDWGSVRVSSKKPAQGNWDSAKKPAQDDLGSAKEPSVYSSPSQDGWVTDKKPAQGDWGAGKNPAQDDWGSAKQPSKDGWVTEKKPAQGDWGAGKNPAQDDWGSAKEPSKDGWASGKVPAMDNAQDGWASAKESAQDGWGSAGQNGRGSRAKVGWGSSNATEPKNQKNHSTRFDDRKGWNANRSFNSAGRRPDFFTCEEEKILVEVEPTMQRIRKILHDSSDGGRLSADDQKFVVENVFEHHPEKHAKVTDEIDYMMVDKHKNFQDSRCLYVVSSDGSSTDFSYLKCMENYVKIQFPEHAESFNRKYFKRRRTEPTNQSGQQQQQQ >DRNTG_16577.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:85546:94840:-1 gene:DRNTG_16577 transcript:DRNTG_16577.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase V subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G40030) UniProtKB/Swiss-Prot;Acc:Q5D869] MKTLFISKGSGFSSRSVICGDPYIAVGEIGLPIEVAKKITFEERVNKYNITRLQQIVDNRLCVTYRDGLSTYAISVGSKGHTSLKVGQIINRRIMDGDIVFINRPPSTHKHSLQAFSVFIHEDNTVKINPLVCAPFGADFDGDCIHIFYPQSLAAKAEVQELFSVDKQLLSSHSGNLNLPLIQDSLLSLKLMFNRIYLRKSTAQQLAMFVSSVLPPPSLLKAHNNGPFWTVTQILQCALPSCFDSSGMRHLIRNSEILEFDFDKDLLQTVLESILISIVDKSPKDALCFLNHIQPLLMETLSLEGYSLDLRDFDVPMDVVKDLEKRAQEISKVLDQLRWTYNELVDKQVEDHIKIIKLPIVNFIGKSSALGQLVDSKSDSSIQKVVEQIGLLGVQISDRGKLYSKGLVEDLFVHFVNKYSADKADHPSEAYGLIKSSFFRGLNPFEELVHSIASREVIVRSSRGLTEPGTLFKNLMAILRDVIVCYDGTVRNICSNSIVQFDYGLSEEFSTISPAGEPVGVLAATAISNPAYKAVLDSSRSTNSSWELMKEILLCKASFKNGTIDRRVILYLNDCRCGKKFCKENAAFSVQSTLKRVALKDCAIEFLIEYQKHIVLDNNPEPVSGLVGHIHLDQTRLNVLSQSLDVILRKCQDVVFSYAKKKGHLSHYFRKISLSSSECCSFQHTNHENFSEFPCLQFSYCDPNPNSLPLEKAIHVLAEAICPILLDTIIKGDPRVHVANIVWIGHDTYSWVKNSRSTLKGELAIEVVIEQDAARRNGDAWRIVLDACLPVMHLIDTSRSIPYGIQQIEELLGISCAFDQSVRRLSTSIRMVAKGVFKEHLILVANNMTCTGSLIGFSTGGFKALFRSLKVQAPFTEATLYTPMKCFERASEKLHTDTLSSIVSSCSWGRHVALGTGASFDIIWDKHQVVADRDCGENVYDFLEMVRTTTCEEVGGTFFGVEVDNLADQDEGDECLSPEPDGALAQPTFDDISELDLNNERASKSSWDNASGSLSWDNLGAQKHTQISNESDAWGTWQSKDDGRASAKEPANEAWVSTKQFTLDAVQDGCGSVKESIWDGSQDGHGPAKESAEDGWGSTRKICTK >DRNTG_16577.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:85546:100277:-1 gene:DRNTG_16577 transcript:DRNTG_16577.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase V subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G40030) UniProtKB/Swiss-Prot;Acc:Q5D869] MEPDLSSPVVLDASIRRIKFSLATAGEIHQYSINDSPVSHPSQLTNPFLGLPLESGKCESCGTAEHGQCEGHFGYIPLITPIYHPCHITELRNILSLICLKCMRVKKGKVKNSTGKEKISNTSCFYCRDIPPISITEVKTTDGAFCLELKVRSKSRLREGFWHFLDKFGFRYGDTFCRPLLPYEALKILKEVPEETRKRLAGKGFFPQEGFILQYLPVPPNCLYVPEISDGKSIMSSDISIPLLKRVLNKNELIKRSRSGVPNFESHEVESNDLQSSIAQYMHLRGTTKAPQITQNKFSVGSDPECSGKRWLEKMKTLFISKGSGFSSRSVICGDPYIAVGEIGLPIEVAKKITFEERVNKYNITRLQQIVDNRLCVTYRDGLSTYAISVGSKGHTSLKVGQIINRRIMDGDIVFINRPPSTHKHSLQAFSVFIHEDNTVKINPLVCAPFGADFDGDCIHIFYPQSLAAKAEVQELFSVDKQLLSSHSGNLNLPLIQDSLLSLKLMFNRIYLRKSTAQQLAMFVSSVLPPPSLLKAHNNGPFWTVTQILQCALPSCFDSSGMRHLIRNSEILEFDFDKDLLQTVLESILISIVDKSPKDALCFLNHIQPLLMETLSLEGYSLDLRDFDVPMDVVKDLEKRAQEISKVLDQLRWTYNELVDKQVEDHIKIIKLPIVNFIGKSSALGQLVDSKSDSSIQKVVEQIGLLGVQISDRGKLYSKGLVEDLFVHFVNKYSADKADHPSEAYGLIKSSFFRGLNPFEELVHSIASREVIVRSSRGLTEPGTLFKNLMAILRDVIVCYDGTVRNICSNSIVQFDYGLSEEFSTISPAGEPVGVLAATAISNPAYKAVLDSSRSTNSSWELMKEILLCKASFKNGTIDRRVILYLNDCRCGKKFCKENAAFSVQSTLKRVALKDCAIEFLIEYQKHIVLDNNPEPVSGLVGHIHLDQTRLNVLSQSLDVILRKCQDVVFSYAKKKGHLSHYFRKISLSSSECCSFQHTNHENFSEFPCLQFSYCDPNPNSLPLEKAIHVLAEAICPILLDTIIKGDPRVHVANIVWIGHDTYSWVKNSRSTLKGELAIEVVIEQDAARRNGDAWRIVLDACLPVMHLIDTSRSIPYGIQQIEELLGISCAFDQSVRRLSTSIRMVAKGVFKEHLILVANNMTCTGSLIGFSTGGFKALFRSLKVQAPFTEATLYTPMKCFERASEKLHTDTLSSIVSSCSWGRHVALGTGASFDIIWDKHQVVADRDCGENVYDFLEMVRTTTCEEVGGTFFGVEVDNLADQDEGDECLSPEPDGALAQPTFDDISELDLNNERASKSSWDNASGSLSWDNLGAQKHTQISNESDAWGTWQSKDDGRASAKEPANEAWVSTKQFTLDAVQDGCGSVKESIWDGSQDGHGPAKESAEDGWGSTRKICTK >DRNTG_16577.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:85546:89574:-1 gene:DRNTG_16577 transcript:DRNTG_16577.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase V subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G40030) UniProtKB/Swiss-Prot;Acc:Q5D869] MNLMLGELGNQKMMAGPQPKNLQMKPGFPPNNSHWMLCKMVVAQSKNPYGMVHKMAMAQLKNLQRMAGVQLEKSAQNSWGSGKETAQDGWGSTKISAIDDRGSAKQPAQDGIGSAAKSSQDDWGSAKKPVQNEWGSATKPPQDNWGSSKKPAQNDWGSSKKPAQNDWGSLKKPAQDNWGSMKKSAQKEWGSVKESSQDEWGSIKKPAQDEGGSFKKSAQDDWGSAENSSQGDWGSAKDTTQDDWGSVRVSSKKPAQGNWDSAKKPAQDDLGSAKEPSVYSSPSQDGWVTDKKPAQGDWGAGKNPAQDDWGSAKEPSKDGWASGKVPAMDNAQDGWASAKESAQDGWGSAGQNGRGSRAKVGWGSSNATEPKNQKNHSTRFDDRKGWNANRSFNSAGRRPDFFTCEEEKILVEVEPTMQRIRKILHDSSDGGRLSADDQKFVVENVFEHHPEKHAKVTDEIDYMMVDKHKNFQDSRCLYVVSSDGSSTDFSYLKCMENYVKIQFPEHAESFNRKYFKRRRTEPTNQSGQQQQQQ >DRNTG_16577.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:85546:94840:-1 gene:DRNTG_16577 transcript:DRNTG_16577.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase V subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G40030) UniProtKB/Swiss-Prot;Acc:Q5D869] MKTLFISKGSGFSSRSVICGDPYIAVGEIGLPIEVAKKITFEERVNKYNITRLQQIVDNRLCVTYRDGLSTYAISVGSKGHTSLKVGQIINRRIMDGDIVFINRPPSTHKHSLQAFSVFIHEDNTVKINPLVCAPFGADFDGDCIHIFYPQSLAAKAEVQELFSVDKQLLSSHSGNLNLPLIQDSLLSLKLMFNRIYLRKSTAQQLAMFVSSVLPPPSLLKAHNNGPFWTVTQILQCALPSCFDSSGMRHLIRNSEILEFDFDKDLLQTVLESILISIVDKSPKDALCFLNHIQPLLMETLSLEGYSLDLRDFDVPMDVVKDLEKRAQEISKVLDQLRWTYNELVDKQVEDHIKIIKLPIVNFIGKSSALGQLVDSKSDSSIQKVVEQIGLLGVQISDRGKLYSKGLVEDLFVHFVNKYSADKADHPSEAYGLIKSSFFRGLNPFEELVHSIASREVIVRSSRGLTEPGTLFKNLMAILRDVIVCYDGTVRNICSNSIVQFDYGLSEEFSTISPAGEPVGVLAATAISNPAYKAVLDSSRSTNSSWELMKEILLCKASFKNGTIDRRVILYLNDCRCGKKFCKENAAFSVQSTLKRVALKDCAIEFLIEYQKHIVLDNNPEPVSGLVGHIHLDQTRLNVLSQSLDVILRKCQDVVFSYAKKKGHLSHYFRKISLSSSECCSFQHTNHENFSEFPCLQFSYCDPNPNSLPLEKAIHVLAEAICPILLDTIIKGDPRVHVANIVWIGHDTYSWVKNSRSTLKGELAIEVVIEQDAARRNGDAWRIVLDACLPVMHLIDTSRSIPYGIQQIEELLGISCAFDQSVRRLSTSIRMVAKGVFKEHLILVANNMTCTGSLIGFSTGGFKALFRSLKVQAPFTEATLYTPMKCFERASEKLHTDTLSSIVSSCSWGRHVALGTGASFDIIWDKHQVVADRDCGENVYDFLEMVRTTTCEEVGGTFFGVEVDNLADQDEGDECLSPEPDGALAQPTFDDISELDLNNERASKSSWDNASGSLSWDNLGAQKHTQISNESDAWGTWQSKDDGRASAKEPANEAWVSTKQFTLDAVQDGCGSVKESIWDGSQDGHGPAKESAEDGWGSTRKICTK >DRNTG_27624.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3571904:3573955:1 gene:DRNTG_27624 transcript:DRNTG_27624.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSLGGDRQAAGGDDEQQPPPVIPPESLFLYGGSSTSRGFELWHQHQIQQQRLFSSSAILSFSSSAEPASAPGLSTCSGRMRGSSSSGMSCQDCGNQAKKDCSHMRCRTCCKSRGFQCSTHVKSTWVPASKRRERQQQLTVLQHQRQPEPSKRPRELPITTTNTNTTTSSGMEAISFPAEVSSPAVFRCVRVSPMDEAEDEYAYQTAVSIGGHVFKGILYDQGPDSHLHHQHPGESSSSPTTAAIPSSSTAAAASASAVVVTAATTGTSTAALLDPGSLYPAPLSAFMASTQFFPHHPRP >DRNTG_27624.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3571752:3574488:1 gene:DRNTG_27624 transcript:DRNTG_27624.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSLGGDRQAAGGDDEQQPPPVIPPESLFLYGGSSTSRGFELWHQHQIQQQRLFSSSAILSFSSSAEPASAPGLSTCSGRMRGSSSSGMSCQDCGNQAKKDCSHMRCRTCCKSRGFQCSTHVKSTWVPASKRRERQQQLTVLQHQRQPEPSKRPRELPITTTNTNTTTSSGMEAISFPAEVSSPAVFRCVRVSPMDEAEDEYAYQTAVSIGGHVFKGILYDQGPDSHLHHQHPGESSSSPTTAAIPSSSTAAAASASAVVVTAATTGTSTAALLDPGSLYPAPLSAFMASTQFFPHHPRP >DRNTG_27624.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3571752:3573955:1 gene:DRNTG_27624 transcript:DRNTG_27624.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSLGGDRQAAGGDDEQQPPPVIPPESLFLYGGSSTSRGFELWHQHQIQQQRLFSSSAILSFSSSAEPASAPGLSTCSGRMRGSSSSGMSCQDCGNQAKKDCSHMRCRTCCKSRGFQCSTHVKSTWVPASKRRERQQQLTVLQHQRQPEPSKRPRELPITTTNTNTTTSSGFCYI >DRNTG_27624.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3572174:3574257:1 gene:DRNTG_27624 transcript:DRNTG_27624.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSLGGDRQAAGGDDEQQPPPVIPPESLFLYGGSSTSRGFELWHQHQIQQQRLFSSSAILSFSSSAEPASAPGLSTCSGRMRGSSSSGMSCQDCGNQAKKDCSHMRCRTCCKSRGFQCSTHVKSTWVPASKRRERQQQLTVLQHQRQPEPSKRPRELPITTTNTNTTTSSERILLYIGMEAISFPAEVSSPAVFRCVRVSPMDEAEDEYAYQTAVSIGGHVFKGILYDQGPDSHLHHQHPGESSSSPTTAAIPSSSTAAAASASAVVVTAATTGTSTAALLDPGSLYPAPLSAFMASTQFFPHHPRP >DRNTG_27624.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3572174:3573955:1 gene:DRNTG_27624 transcript:DRNTG_27624.14 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSLGGDRQAAGGDDEQQPPPVIPPESLFLYGGSSTSRGFELWHQHQIQQQRLFSSSAILSFSSSAEPASAPGLSTCSGRMRGSSSSGMSCQDCGNQAKKDCSHMRCRTCCKSRGFQCSTHVKSTWVPASKRRERQQQLTVLQHQRQPEPSKRPRELPITTTNTNTTTSSGMEAISFPAEVSSPAVFRCVRVSPMDEAEDEYAYQTAVSIGGHVFKGILYDQGPDSHLHHQHPGESSSSPTTAAIPSSSTAAAASASAVVVTAATTGTSTAALLDPGSLYPAPLSAFMASTQFFPHHPRP >DRNTG_27624.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3571904:3574257:1 gene:DRNTG_27624 transcript:DRNTG_27624.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSLGGDRQAAGGDDEQQPPPVIPPESLFLYGGSSTSRGFELWHQHQIQQQRLFSSSAILSFSSSAEPASAPGLSTCSGRMRGSSSSGMSCQDCGNQAKKDCSHMRCRTCCKSRGFQCSTHVKSTWVPASKRRERQQQLTVLQHQRQPEPSKRPRELPITTTNTNTTTSSGMEAISFPAEVSSPAVFRCVRVSPMDEAEDEYAYQTAVSIGGHVFKGILYDQGPDSHLHHQHPGESSSSPTTAAIPSSSTAAAASASAVVVTAATTGTSTAALLDPGSLYPAPLSAFMASTQFFPHHPRP >DRNTG_27624.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3572111:3574076:1 gene:DRNTG_27624 transcript:DRNTG_27624.12 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSLGGDRQAAGGDDEQQPPPVIPPESLFLYGGSSTSRGFELWHQHQIQQQRLFSSSAILSFSSSAEPASAPGLSTCSGRMRGSSSSGMSCQDCGNQAKKDCSHMRCRTCCKSRGFQCSTHVKSTWVPASKRRERQQQLTVLQHQRQPEPSKRPRELPITTTNTNTTTSSGMEAISFPAEVSSPAVFRCVRVSPMDEAEDEYAYQTAVSIGGHVFKGILYDQGPDSHLHHQHPGESSSSPTTAAIPSSSTAAAASASAVVVTAATTGTSTAALLDPGSLYPAPLSAFMASTQFFPHHPRP >DRNTG_27624.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3572174:3574076:1 gene:DRNTG_27624 transcript:DRNTG_27624.13 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSLGGDRQAAGGDDEQQPPPVIPPESLFLYGGSSTSRGFELWHQHQIQQQRLFSSSAILSFSSSAEPASAPGLSTCSGRMRGSSSSGMSCQDCGNQAKKDCSHMRCRTCCKSRGFQCSTHVKSTWVPASKRRERQQQLTVLQHQRQPEPSKRPRELPITTTNTNTTTSSGMEAISFPAEVSSPAVFRCVRVSPMDEAEDEYAYQTAVSIGGHVFKGILYDQGPDSHLHHQHPGESSSSPTTAAIPSSSTAAAASASAVVVTAATTGTSTAALLDPGSLYPAPLSAFMASTQFFPHHPRP >DRNTG_27624.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3571752:3574076:1 gene:DRNTG_27624 transcript:DRNTG_27624.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSLGGDRQAAGGDDEQQPPPVIPPESLFLYGGSSTSRGFELWHQHQIQQQRLFSSSAILSFSSSAEPASAPGLSTCSGRMRGSSSSGMSCQDCGNQAKKDCSHMRCRTCCKSRGFQCSTHVKSTWVPASKRRERQQQLTVLQHQRQPEPSKRPRELPITTTNTNTTTSSGMEAISFPAEVSSPAVFRCVRVSPMDEAEDEYAYQTAVSIGGHVFKGILYDQGPDSHLHHQHPGESSSSPTTAAIPSSSTAAAASASAVVVTAATTGTSTAALLDPGSLYPAPLSAFMASTQFFPHHPRP >DRNTG_27624.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3571752:3573955:1 gene:DRNTG_27624 transcript:DRNTG_27624.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSLGGDRQAAGGDDEQQPPPVIPPESLFLYGGSSTSRGFELWHQHQIQQQRLFSSSAILSFSSSAEPASAPGLSTCSGRMRGSSSSGMSCQDCGNQAKKDCSHMRCRTCCKSRGFQCSTHVKSTWVPASKRRERQQQLTVLQHQRQPEPSKRPRELPITTTNTNTTTSSGMEAISFPAEVSSPAVFRCVRVSPMDEAEDEYAYQTAVSIGGHVFKGILYDQGPDSHLHHQHPGESSSSPTTAAIPSSSTAAAASASAVVVTAATTGTSTAALLDPGSLYPAPLSAFMASTQFFPHHPRP >DRNTG_27624.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3571904:3574076:1 gene:DRNTG_27624 transcript:DRNTG_27624.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSLGGDRQAAGGDDEQQPPPVIPPESLFLYGGSSTSRGFELWHQHQIQQQRLFSSSAILSFSSSAEPASAPGLSTCSGRMRGSSSSGMSCQDCGNQAKKDCSHMRCRTCCKSRGFQCSTHVKSTWVPASKRRERQQQLTVLQHQRQPEPSKRPRELPITTTNTNTTTSSGMEAISFPAEVSSPAVFRCVRVSPMDEAEDEYAYQTAVSIGGHVFKGILYDQGPDSHLHHQHPGESSSSPTTAAIPSSSTAAAASASAVVVTAATTGTSTAALLDPGSLYPAPLSAFMASTQFFPHHPRP >DRNTG_27624.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3572174:3574257:1 gene:DRNTG_27624 transcript:DRNTG_27624.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSLGGDRQAAGGDDEQQPPPVIPPESLFLYGGSSTSRGFELWHQHQIQQQRLFSSSAILSFSSSAEPASAPGLSTCSGRMRGSSSSGMSCQDCGNQAKKDCSHMRCRTCCKSRGFQCSTHVKSTWVPASKRRERQQQLTVLQHQRQPEPSKRPRELPITTTNTNTTTSSGMEAISFPAEVSSPAVFRCVRVSPMDEAEDEYAYQTAVSIGGHVFKGILYDQGPDSHLHHQHPGESSSSPTTAAIPSSSTAAAASASAVVVTAATTGTSTAALLDPGSLYPAPLSAFMASTQFFPHHPRP >DRNTG_27624.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3572111:3574488:1 gene:DRNTG_27624 transcript:DRNTG_27624.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSLGGDRQAAGGDDEQQPPPVIPPESLFLYGGSSTSRGFELWHQHQIQQQRLFSSSAILSFSSSAEPASAPGLSTCSGRMRGSSSSGMSCQDCGNQAKKDCSHMRCRTCCKSRGFQCSTHVKSTWVPASKRRERQQQLTVLQHQRQPEPSKRPRELPITTTNTNTTTSSGMEAISFPAEVSSPAVFRCVRVSPMDEAEDEYAYQTAVSIGGHVFKGILYDQGPDSHLHHQHPGESSSSPTTAAIPSSSTAAAASASAVVVTAATTGTSTAALLDPGSLYPAPLSAFMASTQFFPHHPRP >DRNTG_27624.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3572111:3574257:1 gene:DRNTG_27624 transcript:DRNTG_27624.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSLGGDRQAAGGDDEQQPPPVIPPESLFLYGGSSTSRGFELWHQHQIQQQRLFSSSAILSFSSSAEPASAPGLSTCSGRMRGSSSSGMSCQDCGNQAKKDCSHMRCRTCCKSRGFQCSTHVKSTWVPASKRRERQQQLTVLQHQRQPEPSKRPRELPITTTNTNTTTSSGMEAISFPAEVSSPAVFRCVRVSPMDEAEDEYAYQTAVSIGGHVFKGILYDQGPDSHLHHQHPGESSSSPTTAAIPSSSTAAAASASAVVVTAATTGTSTAALLDPGSLYPAPLSAFMASTQFFPHHPRP >DRNTG_22238.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1246569:1249486:1 gene:DRNTG_22238 transcript:DRNTG_22238.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRTKLLTAVKKVFSSKSQEEQNNKKRRKWKACCSKGFDESSVSDDPAVPPPPPIPAQDVKLPINGQDQSQHARSVALASAVAAEAAAVAAQAAAEVVRLTNSAKFSDPSKEGLAAIKIQTAFRGHLARRALQSLRGLVRLKSLIEGDNFKRQTTNTIHCTQKMARIQSEIHIRRKQMLEQKQASQKLLQIKREKELEKLRLGEEWDVSTQSKEKLEADLVSKQEAAIRRERAMAYAFSHQWKTGSRVVKSTFADPSNPNWGWSWTERWMAARPWESRNVTDKEVGSETASTKSAVRPLDRHSPSTPASKASSTVGRKTKPASPRGGWKTPDDDSRSVLSMNSERHRRYCLTASSARDDESLASSSSVRSYMASTESTKARSRLQSPLVKRAESPNKSPASAKKRLSFTAAEKVSISPSQPRRHSGPPKIDVNTLKKDLPTHPQA >DRNTG_22238.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1246423:1249486:1 gene:DRNTG_22238 transcript:DRNTG_22238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRTKLLTAVKKVFSSKSQEEQNNKKRRKWKACCSKGFDESSVSDDPAVPPPPPIPAQDVKLPINGQDQSQHARSVALASAVAAEAAAVAAQAAAEVVRLTNSAKFSDPSKEGLAAIKIQTAFRGHLARRALQSLRGLVRLKSLIEGDNFKRQTTNTIHCTQKMARIQSEIHIRRKQMLEQKQASQKLLQIKREKELEKLRLGEEWDVSTQSKEKLEADLVSKQEAAIRRERAMAYAFSHQWKTGSRVVKSTFADPSNPNWGWSWTERWMAARPWESRNVTDKEVGSETASTKSAVRPLDRHSPSTPASKASSTVGRKTKPASPRGGWKTPDDDSRSVLSMNSERHRRYCLTASSARDDESLASSSSVRSYMASTESTKARSRLQSPLVKRAESPNKSPASAKKRLSFTAAEKVSISPSQPRRHSGPPKIDVNTLKKDLPTHPQA >DRNTG_33586.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:27391780:27392825:1 gene:DRNTG_33586 transcript:DRNTG_33586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKACEKPKIVYRKGLWSPDEDQRLNDYILKHGHSCWSAVPINAGLKKEWEELQIKVD >DRNTG_04394.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1161206:1161552:-1 gene:DRNTG_04394 transcript:DRNTG_04394.1 gene_biotype:protein_coding transcript_biotype:protein_coding LETDASQKPNQTYKSHFTTSHQLQVQIHKYHEYNNSNLRVQPLQDHNNNK >DRNTG_25455.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1520937:1524245:1 gene:DRNTG_25455 transcript:DRNTG_25455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSRSADTENKTGSTNVPCSLPFGRPWNIFKKFPCHSLRNIVDSKSFQHPSNRYNSSLTGYLFH >DRNTG_21943.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2124695:2125622:-1 gene:DRNTG_21943 transcript:DRNTG_21943.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRRIQQSSPVGSPPSDTESSAVAGNSLDNGSKEQDRFLPIANVSRIMKKSLPGNAKISKEAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTILGFENYVSPLKIYLNKYRETEDEKSSMARQGMSGGGAGLTQGFMGHGGGGDEDGDGDGDGDGDVHRVQW >DRNTG_21943.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2124695:2125716:-1 gene:DRNTG_21943 transcript:DRNTG_21943.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRRIQQSSPVGSPPSDTESSAVAGNSLDNGSKEQDRFLPIANVSRIMKKSLPGNAKISKEAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTILGFENYVSPLKIYLNKYRETEDEKSSMARQGNYEYHHSPATHHEKFTGYTGKGMSGGGAGLTQGFMGHGGGGDEDGDGDGDGDGDVHRVQW >DRNTG_21943.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2124600:2125622:-1 gene:DRNTG_21943 transcript:DRNTG_21943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRRIQQSSPVGSPPSDTESSAVAGNSLDNGSKEQDRFLPIANVSRIMKKSLPGNAKISKEAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTILGFENYVSPLKIYLNKYRETEDEKSSMARQGNYEYHHSPATHHEKFTGYTGKGMSGGGAGLTQGFMGHGGGGDEDGDGDGDGDGDVHRVQW >DRNTG_21943.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2124695:2125716:-1 gene:DRNTG_21943 transcript:DRNTG_21943.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRRIQQSSPVGSPPSDTESSAVAGNSLDNGSKEQDRFLPIANVSRIMKKSLPGNAKISKEAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTILGFENYVSPLKIYLNKYRETEDEKSSMARQGMSGGGAGLTQGFMGHGGGGDEDGDGDGDGDGDVHRVQW >DRNTG_21943.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2124600:2125622:-1 gene:DRNTG_21943 transcript:DRNTG_21943.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRRIQQSSPVGSPPSDTESSAVAGNSLDNGSKEQDRFLPIANVSRIMKKSLPGNAKISKEAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTILGFENYVSPLKIYLNKYRETEDEKSSMARQGMSGGGAGLTQGFMGHGGGGDEDGDGDGDGDGDVHRVQW >DRNTG_21943.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2124770:2125622:-1 gene:DRNTG_21943 transcript:DRNTG_21943.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRRIQQSSPVGSPPSDTESSAVAGNSLDNGSKEQDRFLPIANVSRIMKKSLPGNAKISKEAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTILGFENYVSPLKIYLNKYRETEDEKSSMARQGNYEYHHSPATHHEKFTGYTGKGMSGGGAGLTQGFMGHGGGGDEDGDGDGDGDGDVHRVQW >DRNTG_21943.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2124770:2125622:-1 gene:DRNTG_21943 transcript:DRNTG_21943.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRRIQQSSPVGSPPSDTESSAVAGNSLDNGSKEQDRFLPIANVSRIMKKSLPGNAKISKEAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTILGFENYVSPLKIYLNKYRETEDEKSSMARQGMSGGGAGLTQGFMGHGGGGDEDGDGDGDGDGDVHRVQW >DRNTG_21943.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2124695:2125622:-1 gene:DRNTG_21943 transcript:DRNTG_21943.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRRIQQSSPVGSPPSDTESSAVAGNSLDNGSKEQDRFLPIANVSRIMKKSLPGNAKISKEAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTILGFENYVSPLKIYLNKYRETEDEKSSMARQGNYEYHHSPATHHEKFTGYTGKGMSGGGAGLTQGFMGHGGGGDEDGDGDGDGDGDVHRVQW >DRNTG_15816.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24927557:24930268:-1 gene:DRNTG_15816 transcript:DRNTG_15816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADHDNLSISRNELHDLLSKPSLSGIPLLVLGNKIDKPEALSKQALTDEMELKLITDREVCCFMISCKNSTNIDSVIDWLVKHSKSKN >DRNTG_31556.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:727500:727688:-1 gene:DRNTG_31556 transcript:DRNTG_31556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFAMLLIGWNSHKTMKNLTKILCYIADGQ >DRNTG_09208.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4633354:4633739:1 gene:DRNTG_09208 transcript:DRNTG_09208.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLSSLLWRRIHHPHSLLVSYPFFLSNLQSRKTIELKRFLLHKLLLPWLGNGATSSSRRSFGEFKGIDGVQR >DRNTG_18182.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29096149:29096991:-1 gene:DRNTG_18182 transcript:DRNTG_18182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSIKPYCANDLKKRDNASVEEESQDGEKNNGFIGCSFTKVSARGHWTPSEDSKLKELVALYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINKMAFSEEEEEKLMAAHRFYGNKWALIARLFPGRTDNAVKNQWHVLMARKYREQSSANRRRMLNQNVTRKMEEEASVRTACTFSSPMDGSTQSQQNNGGNAVSSFGGISSYHILFVLSFY >DRNTG_05017.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5514242:5518516:-1 gene:DRNTG_05017 transcript:DRNTG_05017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHSKDVPKASQNPQQSQSGVHLHALSSLSKRS >DRNTG_35360.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18187156:18193226:1 gene:DRNTG_35360 transcript:DRNTG_35360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTTKLFNPETLRAAAKQSEGIHLVPLSLRRAIKKFLRERELAHMNRKVLLLSQSFNLIKETNLQLAASASRELVDDPMKSLDDRSGRWKIKSSYGDIGFKYQEDETVAYVASRMPAVYSACHRVLKEVRQRLPGFSPTSVLDFGAGPGSALWAMREVWPRSLERVNLVEPSKSMQRAAQSLLGDLKNLPLIHSYDSIQALNRKLEKRDRAHDLVISSYALGEIPSLRDRITIVRQLWDLTQDVLVLLEPGTPHGSKIIRQMRSYILWMAKRRSKGEPLRGFEDEKFCFVALRRGKRPQEAWPLDGMEFETLKEQHAKRNPEDLIIDYDDQFETEDDNIISFEEDPVLYPSDVAETSLFHQEDNNNDDEKH >DRNTG_35360.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18187156:18190243:1 gene:DRNTG_35360 transcript:DRNTG_35360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTTKLFNPETLRAAAKQSEGIHLVPLSLRRAIKKFLRERELAHMNRKVLLLSQSFNLIKETNLQLAASASRELVDDPMKSLDDRSGRWKIKSSYGDIGFKYQEDETVAYVASRMPAVYSACHRVLKEVRQRLPGFSPTSVLDFGAGPGSALWAMREVWPRSLERVNLVEPSKSMQRAAQSLLGDLKNLPLIHSYDSIQALNRKLEKRDRAHDLVISSYALGEIPSLRDRITIVRQLWDLTQDVLVLLEPGTPHGSKIIRQMRSYILWMAKRV >DRNTG_35360.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18187156:18189565:1 gene:DRNTG_35360 transcript:DRNTG_35360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTTKLFNPETLRAAAKQSEGIHLVPLSLRRAIKKFLRERELAHMNRKVLLLSQSFNLIKETNLQLAASASRELVDDPMKSLDDRSGRWKIKSSYGDIGFKYQEDETVAYVASRMPAVYSACHRVLKEVRQRLPGFSPTSVLDFGAGPGSALWAMREVWPRSLERVNLVEPSKSMQRAAQSLLGDLKNLPLIHSYDSIQALNRKLEKRDRAHDLVISSYALGEIPSLRDRITIVRQLWDLTQDVLV >DRNTG_19618.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001032.1:102210:104517:1 gene:DRNTG_19618 transcript:DRNTG_19618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIVGSRLPVFTKSQSEYLKGSFDFIGLNHYTSLFVVDNSAEALAMPIRDYNADMLATLIVWKNETPSDKYIPSSTPYRPYGLRKLLEYFKQKYKNPPIYIQENGCGLGMEDTMNDTYRIDYLNGYIGSTLEAIRNGVNVRGYFMWSLMDVFEYLSGYQSRFGLYFVDFDDKELKRIPKLSAHWYSNFLKVKNIKKMQGVHSVALDLESK >DRNTG_15392.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7324671:7325348:1 gene:DRNTG_15392 transcript:DRNTG_15392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLALLDHPQDALVVWTFSSILYHGLWSKGLQFARENVIYAKFVPEISTSSATISDESLLEEVSHLASLVKSSSIALTTNDALQQSMARYPNQASCSGLVLVSEKMGKNVAKLFDNLEKDIKSCDKERQKYNIDYELLKKGNHVETRFVLGKIIMDTMRTKITCEPGDIITETKGPVLSKLFL >DRNTG_04250.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000200.1:76610:79480:-1 gene:DRNTG_04250 transcript:DRNTG_04250.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNLQYLKISDEGLPFLSSHIGLTHLDLSGCKHLDIGFDKLECFTNLQSLEICDGYLDDSCVDSIKGLTSLKLLNLSNNCELTDMALESISGLTALCLLNASYNFKITDAGLQHLPPLKNLRSLYLDFTEVTADKLRRLQRNFLPNLVIFQPR >DRNTG_04250.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000200.1:76610:97804:-1 gene:DRNTG_04250 transcript:DRNTG_04250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLSGLRELSHLDLLGCPVTFASLGTISGFTSLLQLNLNQCGLSDEGCQKLSELIQLKDLNLGCNKITNACLINLKGLINLESLNLDACKISSEGLLSIEGLTSLRTLELSKTRVEALSHLSGLISLRTLELSETRVEALDHLSGLHNLENLDLSFTLVNDNSLAALSTLTSLMSLNLQYLKISDEGLPFLSSHIGLTHLDLSGCKHLDIGFDKLECFTNLQSLEICDGYLDDSCVDSIKGLTSLKLLNLSNNCELTDMALESISGLTALCLLNASYNFKITDAGLQHLPPLKNLRSLYLDFTEVTADKLRRLQRNFLPNLVIFQPR >DRNTG_04250.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000200.1:76610:88064:-1 gene:DRNTG_04250 transcript:DRNTG_04250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNLQYLKISDEGLPFLSSHIGLTHLDLSGCKHLDIGFDKLECFTNLQSLEICDGYLDDSCVDSIKGLTSLKLLNLSNNCELTDMALESISGLTALCLLNASYNFKITDAGLQHLPPLKNLRSLYLDFTEVTADKLRRLQRNFLPNLVIFQPR >DRNTG_04250.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000200.1:76610:77328:-1 gene:DRNTG_04250 transcript:DRNTG_04250.8 gene_biotype:protein_coding transcript_biotype:protein_coding MALESISGLTALCLLNASYNFKITDAGLQHLPPLKNLRSLYLDFTEVTADKLRRLQRNFLPNLVIFQPR >DRNTG_04250.9.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000200.1:76610:77005:-1 gene:DRNTG_04250 transcript:DRNTG_04250.9 gene_biotype:protein_coding transcript_biotype:protein_coding GLTALCLLNASYNFKITDAGLQHLPPLKNLRSLYLDFTEVTADKLRRLQRNFLPNLVIFQPR >DRNTG_04250.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000200.1:77100:97804:-1 gene:DRNTG_04250 transcript:DRNTG_04250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLSGLRELSHLDLLGCPVTFASLGTISGFTSLLQLNLNQCGLSDEGCQKLSELIQLKDLNLGCNKITNACLINLKGLINLESLNLDACKISSEGLLSIEGLTSLRTLELSKTRVEALSHLSGLISLRTLELSETRVEALDHLSGLHNLENLDLSFTLVNDNSLAALSTLTSLMSLNLQYLKISDEGLPFLSSHIGLTHLDLSGCKHLDIGFDKLECFTNLQSLEICDGYLDDSCVDSIKGLTSLKLLNLSNNCELTDMALESIS >DRNTG_04250.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000200.1:76610:79480:-1 gene:DRNTG_04250 transcript:DRNTG_04250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSFFSGLHNLENLDLSFTLVNDNSLAALSTLTSLMSLNLQYLKISDEGLPFLSSHIGLTHLDLSGCKHLDIGFDKLECFTNLQSLEICDGYLDDSCVDSIKGLTSLKLLNLSNNCELTDMALESISGLTALCLLNASYNFKITDAGLQHLPPLKNLRSLYLDFTEVTADKLRRLQRNFLPNLVIFQPR >DRNTG_04250.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000200.1:76610:97804:-1 gene:DRNTG_04250 transcript:DRNTG_04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNLQYLKISDEGLPFLSSHIGLTHLDLSGCKHLDIGFDKLECFTNLQSLEICDGYLDDSCVDSIKGLTSLKLLNLSNNCELTDMALESISGLTALCLLNASYNFKITDAGLQHLPPLKNLRSLYLDFTEVTADKLRRLQRNFLPNLVIFQPR >DRNTG_04250.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000200.1:77100:78505:-1 gene:DRNTG_04250 transcript:DRNTG_04250.7 gene_biotype:protein_coding transcript_biotype:protein_coding TSVSCLIYLSFNVHAGHIGLTHLDLSGCKHLDIGFDKLECFTNLQSLEICDGYLDDSCVDSIKGLTSLKLLNLSNNCELTDMALESIS >DRNTG_05035.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5692679:5693333:1 gene:DRNTG_05035 transcript:DRNTG_05035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADGHVFLGIETLSWARARCFGTCPCELHNPSEHYSHGISFIKRNTTRWKELPPMKYEATLTSRILVMP >DRNTG_08495.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6494778:6497701:1 gene:DRNTG_08495 transcript:DRNTG_08495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVKCCMKREYLAAMDQYIKLAIGNAPWPVGVTMVGIHERLAREKIYTNNVAHIMNDKATHKYMQSVKRLMTFYQRRYPADPSKSVEFDSLANRSDLHYLLRSPVNPGLVGVGGRVLGEILLPWKRYPLTAVEDLASTINILFCSVPTSLSMIQPRVVDFWLTLTRSNLRPCTRWGPDPLDAADLSTGSTMGLGL >DRNTG_25282.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31571097:31571783:1 gene:DRNTG_25282 transcript:DRNTG_25282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRREEKLVQEKKEWNQESGLIQKKRGRLKASASAKKKEEGRSHCHSQINHKWWGRSL >DRNTG_04905.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11112691:11114669:1 gene:DRNTG_04905 transcript:DRNTG_04905.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive patatin-like protein 9 [Source:Projected from Arabidopsis thaliana (AT3G63200) UniProtKB/Swiss-Prot;Acc:Q93ZQ3] MDFTKLSLQIFSQLELNWLKNHHKARILTIDGGGLLAGQALLHLESQIQSKTSNPDARIPDLFDLIAGTGMGGVLAALLTVSNPNSTRPLFSAKEALDFLHTNHHRLFRPSGLLRRRFSSRSFDRVLRRALPSLTLRNACKPLLIPCYDLNTSAPFVFSRADASESLSFDFELWRVCRATAATPGLFKPCELVSVDGKTSCAAIDGGVVMNNPAAAAVTHVLHNKREFPFVAGVEDLVVLSIGNGLPASPPRGYRRCSGAGVIGIVLDGVSGTVDQMLGNAFCWNPSDYVRIQAVDGER >DRNTG_11127.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30444273:30450345:-1 gene:DRNTG_11127 transcript:DRNTG_11127.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GC1 [Source:Projected from Arabidopsis thaliana (AT2G19950) UniProtKB/TrEMBL;Acc:A0A178VUM2] MASWLKVAEDLLEVVDRRAKLVVSELSDEQSGTQPSGSTGHEAPARGVRAKEKGHSRVSSNGIPKNIKPGKEESVQLLVPSVNREKSEIPPSENAVVANADIIAEVSTDPLSETSKENIEASDVPLEEAQNEKGDDEAANLTEVPVTDNGNEITTSSTIDDASDLNSNDRLEKPFVGNGDDNIVGDLSQDTELKVDSADKDASMLVDQGELESATVKSEITGSTKKIDRDPLPDPSQKMKPFENKINASTMKIQEQLDEAQGLLQSTKSTGQSKEARLARVCAGLSSRLQEYKAENAQLEELLVAERELCNSYEVRIKQLQQELSAFKSEAARAESSMSEALAVKNSEIDALVNSLEVLKKQASASEGKATSLQVNMEEIMRSRELTETRMMQALREELSSAERRAEEERAAHNATKMAAVEREVELEHRALEASNALARIQRAADDSQSRAMDLEHKLALLEVENSSLTQKLEELEVRSRRGQKKTSEEASQILQMQAWQEEVERARQGQRDAESKISSMEVELQKMRVEMAGMKRDAEHYSRQAC >DRNTG_11127.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30442109:30444138:-1 gene:DRNTG_11127 transcript:DRNTG_11127.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:GC1 [Source:Projected from Arabidopsis thaliana (AT2G19950) UniProtKB/TrEMBL;Acc:A0A178VUM2] MLFYLKSCSKTGFLFMVYFLQEHIELEKRYRELTDLLYHKQTQLEAMASEKAAAEFQLEKEAKRLQDAQAEAERSRAYRRSSSVWEEDTDLKALEPLPLHNRHMAAANVQLQKAAKLLDSGAVRATRFLWRYPIARLIVLFYLVFVHFFLMYLLHRLQEQADDFASRELAASMGLKNSSLL >DRNTG_11127.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30442109:30450345:-1 gene:DRNTG_11127 transcript:DRNTG_11127.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GC1 [Source:Projected from Arabidopsis thaliana (AT2G19950) UniProtKB/TrEMBL;Acc:A0A178VUM2] MASWLKVAEDLLEVVDRRAKLVVSELSDEQSGTQPSGSTGHEAPARGVRAKEKGHSRVSSNGIPKNIKPGKEESVQLLVPSVNREKSEIPPSENAVVANADIIAEVSTDPLSETSKENIEASDVPLEEAQNEKGDDEAANLTEVPVTDNGNEITTSSTIDDASDLNSNDRLEKPFVGNGDDNIVGDLSQDTELKVDSADKDASMLVDQGELESATVKSEITGSTKKIDRDPLPDPSQKMKPFENKINASTMKIQEQLDEAQGLLQSTKSTGQSKEARLARVCAGLSSRLQEYKAENAQLEELLVAERELCNSYEVRIKQLQQELSAFKSEAARAESSMSEALAVKNSEIDALVNSLEVLKKQASASEGKATSLQVNMEEIMRSRELTETRMMQALREELSSAERRAEEERAAHNATKMAAVEREVELEHRALEASNALARIQRAADDSQSRAMDLEHKLALLEVENSSLTQKLEELEVRSRRGQKKTSEEASQILQMQAWQEEVERARQGQRDAESKISSMEVELQKMRVEMAGMKRDAEHYSRQEHIELEKRYRELTDLLYHKQTQLEAMASEKAAAEFQLEKEAKRLQDAQAEAERSRAYRRSSSVWEEDTDLKALEPLPLHNRHMAAANVQLQKAAKLLDSGAVRATRFLWRYPIARLIVLFYLVFVHFFLMYLLHRLQEQADDFASRELAASMGLKNSSLL >DRNTG_11127.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30442109:30444138:-1 gene:DRNTG_11127 transcript:DRNTG_11127.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:GC1 [Source:Projected from Arabidopsis thaliana (AT2G19950) UniProtKB/TrEMBL;Acc:A0A178VUM2] MLFYLKSCSKTGFLFMVYFLQEHIELEKRYRELTDLLYHKQTQLEAMASEKAAAEFQLEKEAKRLQDAQAEAERSRAYRRSSSVWEEDTDLKALEYEYFSLKIFCLGHFINLFIMVSVCSP >DRNTG_02049.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1474549:1475245:-1 gene:DRNTG_02049 transcript:DRNTG_02049.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSDDSSPQRAEMESMVAKKPSMEWSKGAMPGGLCFPSFIL >DRNTG_00900.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21661893:21662927:1 gene:DRNTG_00900 transcript:DRNTG_00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAERIRDGSDNAKYAICNHVVVFGKNCIEDAEPYAMCSCKKFEREGVLCCHILKIFKKKEVPKIPKKYILRRWSMDARYQSSVMMVETHNNAFTPLMKWSAQNMCFRIAQSISSLDMYEKIMPKLNDIFEMVTEKSNAPEHTLRTKQNEENQEVIGSCSLPTFSCDNDVYGSHVSILDPKPVKSKGRPRVNTRIKSGIDLQLSVKRKRTCSRCGEKGHYMTTCTSGQP >DRNTG_22147.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001231.1:5935:7961:1 gene:DRNTG_22147 transcript:DRNTG_22147.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCINLNPSRNTHLIACPSSTAGDSSAFKQLCGNFEQEADEDEDEDEDDDEEWLS >DRNTG_31687.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18481204:18484559:-1 gene:DRNTG_31687 transcript:DRNTG_31687.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSSGTGKLMTMFHIHSILIMQEWVIITAGKFNPNDPFDNPNTFKESSPVHVRDTRTKPKYEDRRTKSRTPPSQGMKYKSELEDQNNKNWQYENKGNNKIHLRPTSHYDSRPQKPASSPPRHRYGDKKSDDQLQKPSRTHDGYDFDPAPFQLQHQTKSATNKGHGISPSSWERRGSGEASYGFAPSTSSISKMRPVSRGDET >DRNTG_31687.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18481204:18484559:-1 gene:DRNTG_31687 transcript:DRNTG_31687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSSGTGKLMTMFHIHSILIMQEWVIITAGKFNPNDPFDNPNTFKESSPVHVRDTRTKPKYEDRRTKSRTPPSQGMKYKSELEDQNNKNWQYENKGNNKIHLRPTSHYDSRPQKPASSPPRHRYGDKKSDDQLQKPSRTHDGYDFDPAPFQLQHQTKSATNKGHGISPSSWERRGSGEASYGFAPSTSSISKMRPVSRGDETNGKTSVVPKFGEWDECDPSSADGYSHIFSRMKEEKQTSSEQVRVISHDPMPTDQLKKDRSPKSTRCGCFGMRIK >DRNTG_35207.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7937776:7938573:1 gene:DRNTG_35207 transcript:DRNTG_35207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCEHDAKIRSPPSNSLLAKRKIDSQDMNGAPFKKSNVQDTQVKHLK >DRNTG_35207.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7937776:7938209:1 gene:DRNTG_35207 transcript:DRNTG_35207.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCEHDAKIRSPPSNSLLAKRKIDSQDMNGAPFKKSNVQDTQVKHLK >DRNTG_32887.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:8055730:8057969:1 gene:DRNTG_32887 transcript:DRNTG_32887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLAFLNMGSLASECAGAPLLPLLFVMVNMAYNISLLHLLKISSAVVSCLASTFSVPLSIYAFTLPLPYIGVASSLPAGFVSGAAILLAGLLVYTWTPTSYLASQEARSTPSPLTQS >DRNTG_29231.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3304071:3305893:-1 gene:DRNTG_29231 transcript:DRNTG_29231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAEIMSILGLFIVAVLSYAWAGKLLRTKLASKKEPPEPSGALPIIGHLHQMIKSKKLLSIVLSELADQLGPVFAFRLGSCRTVVVSSYDIAKECYTTNDRALSSKPATTAGRLLAYNQGVLGFIFYGPYWRTIRRIVTTELLSTSCLETHKQAQSKEIDLCIHEIFSEFINIGRSTLKVELLKWVGDLNYNVVFQIVAGKRYYGSGGNSEEACKFRRAISGLISSLGVFVPSDMFPFLDWFDFGGHKKVMNNAFKELDSLLTTLLEEHKARRRLMENKLVGTVTEDQDFMDIMLSMSKNTNFFEFDADTAIKATCLGMVLGGTHTTTFYLTWAIALLLKHREILKKVQEEIDKEVGKERVVDHSDVKNLHYLQAVIKEAFRLCPGSSSLIKRASKEDCLIGGYYIPTGTHITVNIWKIQRDPAIWDDPLVFKPERFLTKHAEVDVRGMHYELLPFRSGRFGCPGNAFALQMMHLLLARFLQGFHLETPENKPVEINEALGIISYKTLDVLVTPRLPPTLYEFSH >DRNTG_13099.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10038329:10040656:1 gene:DRNTG_13099 transcript:DRNTG_13099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEPGAGERQRSRQMSRCCSTCSVTSAPRSMR >DRNTG_20285.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5204422:5212125:-1 gene:DRNTG_20285 transcript:DRNTG_20285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRRSYKTKPCILFQRGRCHRQNCTFAHGEAELRRAPGPPFNGRRDYKGGDLRDKLDRRHSPQGRYSPGRDRRGHHLLHSKKPLLHDRGLSLSRSPARRRMRQHSDGQSDHSESFKRSIGDDEQMKEDANSSYDKNDVLEDELRQIEDAIEKLDEDKTHLERVLEDKGDEADKLMRRIEDLERQLNKEEENCKRITSKIKKFTKVYGRYLKIQEELKRAEVRFQRFGDQVGLDVSKPSVTEDSSLNIGSDGEANRDNNINSKNVELPNHASTSKKRSRLHPPYGDEAKLGSSRKRERFSTGASRSEKHAITEGTGLHSEHNSKETDLVKGKVTTKVIYNSVTDDSKQNRGKNSPSIYSLDKRKGSDVMHTMPPTSMAAHAVDELTEAIEIEGRPEALEANAVKQSGILDNKLTASYIPPIPLFTKNAYKQFEGDDEEVDVEKVDSGMVDENINSDVEI >DRNTG_20285.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5204422:5212125:-1 gene:DRNTG_20285 transcript:DRNTG_20285.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRRSYKTKPCILFQRGRCHRQNCTFAHGEAELRRAPGPPFNGELHEDHCGSLSFFFRFLEFIISFCFGCCA >DRNTG_20285.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5204422:5212125:-1 gene:DRNTG_20285 transcript:DRNTG_20285.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRRSYKTKPCILFQRGRCHRQNCTFAHGEAELRRAPGPPFNDVTSFLFSD >DRNTG_18220.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000940.1:3748:10130:-1 gene:DRNTG_18220 transcript:DRNTG_18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRDLWGDAGGGGGAGGDGGGNGGNQAEGAIPPRSQKDLTNFKEKGMTFAQVVSSSSSEEGSSLAKNTGMGSGGASSGQSGAAVKLAGSKRARSPTEKTCGRCFRWSHKTSECRHQVVCLRCSGVGHVAARCSVVLRRSPQRKRLHVRSKIMEAKAHTGSGVGLDIVPIKQVDGSNVQIPQRPRRVVLSLAFSPEINVTRDELAKVAVLTVVSGYVNVHSVLEVAPTLINRQLAGPITPLNEDAFLVPLASRDEVKEVCKLGTFSVATKDGPCTLRLAPWTAELGADGRASGEGLWVLVWNLPLHAWSWNVIAEVMRPVGELVALSHASTSHKRFLSVLVR >DRNTG_27207.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:817417:828614:1 gene:DRNTG_27207 transcript:DRNTG_27207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSNVIHIRNVGHEITENDLLQLLQPFGVVTKIVMLREKNQALLQMYDVSSAVTTLQYYGNMQPNIRGRNVYMQFSSHQELTTAEQSSQGRKVDQAEDDPERKLKKELKAKLKEEKKLKAAQKAEAAKLQTQKTTDGLKRSGRKQTKKDADEENSEVFLDPETVIGEKKRLSPQMAKQYNPSVVEKSWYAWWEKCGFFVADASSSKPPFVIVLPPPNVTGALHIGHALTAAIQDTIIRWRRMSGYNALWVPGMDHAGIATQVVVEKKLMRECNLTRHDIGRERFVSMVWEWKNQYGGTILNQERRLGASLDWSRECFTMDDQRSKAVTEAFVRLYREGLIYRDNRLVNWDCTLRTAISDIEVDVKEIKEETLLKVPGYQSPVQFGVLLSFAYPLEEGLGEVVVATTRIETMLGDTAIAIHSEDKRYNHLHGKFAIHPFNGRRLPIVCDDILVDPNFGTGAVKITPAHDHNDYEVGRRHNLEIINIFTDDGKINSNGGTQFEGMPRFKARVAVTEALQEKGLYRGVQKNEMKLGICSRSDDVVEPMIKPQWYVNCSSMAKLALDAVMDNDNKKIEIIPEHYELEWKRWLENIRDWCISRQLWWGHRIPAWYAVLEDDPFKAVGSYDDHWVVGRNEEEAVSEVKRKFPGKDFQITQDPDVLDTWFSSGLFPLSVLGWPDDTKDLQVFYPTSVLETGHDILFFWVARMVMLGMKLGGDVPFRKVYLHPMIRDAHGRKMSKSLGNVIDPLEVINGVSLEGLHKRLEEGNLDRKELAIAKEGQVKDFPNGIPECGADALRFALVTYTAQADRINLDVQRVIGYRQWCNKLWNALRFAMSKLGDHYVPPQTLVLASMPSICNWILSVLKQSC >DRNTG_25891.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19780357:19782761:1 gene:DRNTG_25891 transcript:DRNTG_25891.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHLRLHVHLRARTISSLAPSPSLSDVVNDLCRILSDRRAAHHELEPALLPFSSHLSSDLVDHVLRRCLNLPLSSHRFYLWALRHPSFRPSPHSLSILASSLLSSRLYPLLWSLLSDLPHSPDPKIFHLFFRSYASAGLASDAIRAFSNMPRFGVSPTLHDLHFLFLSLCRYKLVADAQAFFDKVKTDFSVTQKTYSILMNGWAKVEDSKMALNLFDEMRNRGLQVDVAAYNTLLAALCSGKRVSEAYDRLLEMQKVYGLEPDAASYSVFIRTACDAKELGTTVKLLDRMKRYNLAPNMYTYNCVLRLFCEMEKIDDAYELLDEMLERGVKPDVWSYNAVLAVHCKLKEVNKALRLFSRMDRDSCLPERHAYNMLLKMLVGVGRVDRAMEVWDGMEKRGFYPAAPSYSVMIHGLCRKKGGIEHACRYFEMMVEEGIPPYQSTCELLKDQLLHLGLKEKVDILFDKMQRSSSCTIQELSSSMDRKKKAYQDRKEIEECTV >DRNTG_25891.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19780431:19782761:1 gene:DRNTG_25891 transcript:DRNTG_25891.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFGVSPTLHDLHFLFLSLCRYKLVADAQAFFDKVKTDFSVTQKTYSILMNGWAKVEDSKMALNLFDEMRNRGLQVDVAAYNTLLAALCSGKRVSEAYDRLLEMQKVYGLEPDAASYSVFIRTACDAKELGTTVKLLDRMKRYNLAPNMYTYNCVLRLFCEMEKIDDAYELLDEMLERGVKPDVWSYNAVLAVHCKLKEVNKALRLFSRMDRDSCLPERHAYNMLLKMLVGVGRVDRAMEVWDGMEKRGFYPAAPSYSVMIHGLCRKKGGIEHACRYFEMMVEEGIPPYQSTCELLKDQLLHLGLKEKVDILFDKMQRSSSCTIQELSSSMDRKKKAYQDRKEIEECTV >DRNTG_27074.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001379.1:26875:31001:-1 gene:DRNTG_27074 transcript:DRNTG_27074.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAASSSSLPFKEERSAPGSYEERSQRPRRGPADQGAHLRSLLSMAMLGFP >DRNTG_27074.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001379.1:26875:28301:-1 gene:DRNTG_27074 transcript:DRNTG_27074.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAASSSSLPFKEERSAPGSYEERSQRPRRGPADQGAHLRSLLSMAMLGFP >DRNTG_35287.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:716705:717301:1 gene:DRNTG_35287 transcript:DRNTG_35287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASTPPSSSHRPPSPPNHRPPFMRYGGDDEERVKLRRVTEEVFLLVGSSAMEDFLPFPRLAGFVSGLKRRMMRLEKEMDALFQSLVDERRRRWSNCGSEEEKKKPVIDVLLEKKRAMMWQHNVTCAQSRISQPPRQLIKTLISRVTLQGKD >DRNTG_22181.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:934477:934999:1 gene:DRNTG_22181 transcript:DRNTG_22181.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSGSYPNLTPPLCFKEEEEQEQEQEDLNACFH >DRNTG_13891.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2457935:2459255:-1 gene:DRNTG_13891 transcript:DRNTG_13891.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGALWQLGQSLTRRLSHAHPHRTTKNRSFAAAAGELKKTVLHDFHVENGGKMVPFAGWSMPIQYKDSIMDSTINCRENGSLFDVSHMCGLSLMGKDCVPFLERLVIADVAGLKPGTGTLTVFTNERGGAIDDSVITKVSDDLIYLVVNAGCRDKDLAHIGSHMEAFKAKGGDVNWHIHDERSLLALRVHLLPQFFNT >DRNTG_34058.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19290314:19292765:-1 gene:DRNTG_34058 transcript:DRNTG_34058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGSKKAADKRPRGSFSELEKLEFVIPEYQVRFEHLSKLMFGQSHFLYLSGLGEIQRGDEVAEEVDELLGEHTGWIWLLVDGDIGEIPTTY >DRNTG_24308.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10901141:10906169:-1 gene:DRNTG_24308 transcript:DRNTG_24308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVEQRVGFPSKMEGEQQQQQQQRRAGGGCNPVRKPGPVSMDHVLLALRETKEEREVRIRSLFNSFDSAGLGYLDYAQIEAGLSAMRIPPEYKYARDLLKVCDANRDGRVDYQEFRRYMDAKELELYRIFQAIDVEHNGCILPEELWDALIKAGIEIDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATIENIYQYWERVCLVDIGEQAVIPEGISKHVNASKYLIAGGVAGATSRTATAPLDRLKVVLQVQTKRAQILPAIKDIWREGRFLGFFRGNGLNVMKVAPESAIRFYAYEMLKNFIGSMEGESKSDIGASGRLIAGGMAGAVAQTAIYPMDLVKTRLQTYTSESGQVPSIGKLSKDIWIQEGPRAFYRGLIPSLLGIIPYAGIDLAAYETLKDMSRAYLNTEPGPLVQLGCGTVSGALGATCVYPLQVIRTRMQAQNTTSSTAYKGMSDVFWRTLQHEGLLGFYKGILPNLLKVVPSASITYLVYESMKKSLALD >DRNTG_23098.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19783015:19787611:-1 gene:DRNTG_23098 transcript:DRNTG_23098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDWDDLRREARRLEGDLDVRLSSYGKLGAGYADARAPVSESHWKSMEMEIENLLERLLDVNEAMSRCAPAATPAAAVAQKLTRHRDILHEFTQEFKRTKGNILSMREHAELLTSVRNDINEHKASSGAQLVPSLLRERAAIHGSITQIEEVTNQADAVKGVLAAQRHTFSDIQGKVKHLSERFPVIRNLLGAIKRKKSKDTLILSAVIAACTLFLIVYWISK >DRNTG_23098.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19783015:19787611:-1 gene:DRNTG_23098 transcript:DRNTG_23098.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHSGPILKAHELKKEPQQPNLESYTKMALFEVKRRAKNLECMEGSLEASH >DRNTG_23098.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19783015:19787611:-1 gene:DRNTG_23098 transcript:DRNTG_23098.3 gene_biotype:protein_coding transcript_biotype:protein_coding IEEVTNQADAVKGVLAAQRHTFSDIQGKVKHLSERFPVIRNLLGAIKRKKSKDTLILSAVIAACTLFLIVYWISK >DRNTG_14637.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2270262:2272752:-1 gene:DRNTG_14637 transcript:DRNTG_14637.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 9 [Source:Projected from Arabidopsis thaliana (AT2G14050) TAIR;Acc:AT2G14050] MLEAGALVLADGGLCCIDEFDSMREHDRATIH >DRNTG_14637.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2277890:2278506:-1 gene:DRNTG_14637 transcript:DRNTG_14637.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 9 [Source:Projected from Arabidopsis thaliana (AT2G14050) TAIR;Acc:AT2G14050] MFPSIGRVRVKHRGVLLTLKGTVIRSGSVKMIEGEREYECRRCRHR >DRNTG_14637.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2275555:2277741:-1 gene:DRNTG_14637 transcript:DRNTG_14637.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 9 [Source:Projected from Arabidopsis thaliana (AT2G14050) TAIR;Acc:AT2G14050] MDDLVDVVKAGDDIIVTGVLTAKWSADLKDVRCDLDPMLIANHVR >DRNTG_14637.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2270262:2278506:-1 gene:DRNTG_14637 transcript:DRNTG_14637.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 9 [Source:Projected from Arabidopsis thaliana (AT2G14050) TAIR;Acc:AT2G14050] MFPSIGRVRVKHRGVLLTLKGTVIRSGSVKMIEGEREYECRRCRHRFKVYPELETGNTIRLPTSCPSKGSKSCEGTNFQFVSDSIICHDYQEIKIQESTQVLAVGSIPRSIPVILMDDLVDVVKAGDDIIVTGVLTAKWSADLKDVRCDLDPMLIANHVRRTNELKSEIDIPNDVIKKFEQFWSAFKDAPLKGRNAILKGICPQVFGLFTVKLAVALTLIGGVQHADVSGMKVRGESHLLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRATIH >DRNTG_14637.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2274613:2275364:-1 gene:DRNTG_14637 transcript:DRNTG_14637.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 9 [Source:Projected from Arabidopsis thaliana (AT2G14050) TAIR;Acc:AT2G14050] MKVRGESHLLLVGDPGTCNSWTFAT >DRNTG_14637.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2277672:2278205:-1 gene:DRNTG_14637 transcript:DRNTG_14637.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 9 [Source:Projected from Arabidopsis thaliana (AT2G14050) TAIR;Acc:AT2G14050] MFPSIGRVRVKHRGVLLTLKGTVIRSGSVKMIEGEREYECRRCRHRFKVYPELETGNTIRLPTSCPSK >DRNTG_07695.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23144140:23149076:-1 gene:DRNTG_07695 transcript:DRNTG_07695.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA cross-link repair protein SNM1 [Source:Projected from Arabidopsis thaliana (AT3G26680) UniProtKB/Swiss-Prot;Acc:Q38961] MESETLALDLDDNGFPIDSLSSEEEPVDRDSEKVEERGLVIPSAVQNTGSFALDFYAGGTDWSCLISKEKCSGNKGLVQKNLFQAWGIEKPSLIPSRSSISFSPPRKRHCSKRIAEDRSGTERPRICPFYKKIPGTSFAVDAFRYGLIQGCSGYFLTHFHYDHYGGLSKRWSHGPIYCSPLTARLVKMCLSVNPLFICPLELDTEHLIDGVKVTMLEANHCPGAALVHFRLKDGRCYLHTGDFRACKMMQSYALLTSQRINVLYLDTTYCNPKYRFPPKEDVVNFVVRTTRKYLEKQPKTLVVVGSYSIGKEHVYVTISQALGVQIYTDPSRRRILQSFGWPQLCGQLCSSGQTSPLHVLPLSVLRPEEYLKKYSPQFTAVLAFRPTGWTYSEATGNHLDLIKPTSKGNITIYGVPYSEHSNYEELREFVKFLRPERIIPTVNVGNAANRDKMQSYFQEWLKT >DRNTG_07695.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23144140:23147013:-1 gene:DRNTG_07695 transcript:DRNTG_07695.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA cross-link repair protein SNM1 [Source:Projected from Arabidopsis thaliana (AT3G26680) UniProtKB/Swiss-Prot;Acc:Q38961] RFPPKEDVVNFVVRTTRKYLEKQPKTLVVVGSYSIGKEHVYVTISQALGVQIYTDPSRRRILQSFGWPQLCGQLCSSGQTSPLHVLPLSVLRPEEYLKKYSPQFTAVLAFRPTGWTYSEATGNHLDLIKPTSKGNITIYGVPYSEHSNYEELREFVKFLRPERIIPTVNVGNAANRDKMQSYFQEWLKT >DRNTG_13766.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:11374920:11378221:1 gene:DRNTG_13766 transcript:DRNTG_13766.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLVEVEKHHQIPVMNMMTRALHKKDPKSKGPTRMQFFLIFFEARFAYYALHEKDSRYSKIANDYQSLEQIINGLQADYQDTREGYIPQKLFSW >DRNTG_13766.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:11374920:11378372:1 gene:DRNTG_13766 transcript:DRNTG_13766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFELEPCTLFAPHGDACRSRETSSNSSDEYDDQVNV >DRNTG_13766.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:11374920:11378221:1 gene:DRNTG_13766 transcript:DRNTG_13766.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFELEPCTLFAPHGDACRSRETSSNSSDEYDDQVNV >DRNTG_13766.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:11374920:11378221:1 gene:DRNTG_13766 transcript:DRNTG_13766.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFELEPCTLFAPHGDACRSRETSSNSSDEYDDQGST >DRNTG_13766.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:11374920:11378221:1 gene:DRNTG_13766 transcript:DRNTG_13766.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFELEPCTLFAPHGDACRSRETSSNSSDEYDDQGST >DRNTG_29379.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:451658:454956:-1 gene:DRNTG_29379 transcript:DRNTG_29379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNKNKNKNSWVKNSCSSSLRQEDRACMTWLDNQPDKSVIYVSFGTVAVMSPEQFIEFWHGLVNSGHRFLWAVREDMVERREEMEVTKEMEEGAKKRGCMVEWVPQEEVLAHRAVGCFLTHCGWNSTLEGMVAGVPMICWPYFSDQMINSRFVSDVWRIGLDMKDTCDRNTVERMVREVMEGENALELRSSAARMADFGEKEHRGKMGLLVLILRAWFLTSSLQSCRAVHEIFNDIGCCVSLIICKHFNNQFQTCKTICRSLLFEFYLTQSEGSH >DRNTG_03759.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:8143778:8144471:1 gene:DRNTG_03759 transcript:DRNTG_03759.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPMIKVFKPPRTTGSMPFQQSSQSSATRIRPPVFFLFQFLVKHEQKLDCGGGYMKLLSGDVDQKVWWDILSPKKIKDPEAKKPEDWEDKEYIMDPEEKKPEGYDDIPKEIPDPEAVKPEDWNDEEDDEWTSPTIPHPEYRGPWTPKKIKNPN >DRNTG_30173.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18514230:18514856:-1 gene:DRNTG_30173 transcript:DRNTG_30173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKKILKMAKKLQKITSSSTTTTTTSTMNVIADKGHFFVYTLEKKRFMVPLAYLESTVFQELLKISEEEFGLSFDGPITLPCDAVFMEYVLSSLGGRMSEEAEREIVSYILANYSSSCTLLPVQQMQQQPVVFSF >DRNTG_21096.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23294801:23296012:1 gene:DRNTG_21096 transcript:DRNTG_21096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFSESAQGRASAPVRLSSGDSRAWVISARPCKCT >DRNTG_12977.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24865597:24868056:-1 gene:DRNTG_12977 transcript:DRNTG_12977.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLGFRPDGNTFIGILCSCTHTGLVEDGRLYFYSMTQVYGLTPRIEHYGCLIDLLGRAGLLVEARQLIKDMPMEANAVVWGALLGGCKIHRDAQLAEEVLKQLIKLEPHNSGNYVLLSNIYSASGRWDDAARLRSHMVVKGIQKVPGCTWVELNGVVHEFRVGDKSHPLSEKIYSKLDELGKQLKALGYMPTTEVVLFDIEEEEKEYSLGYHSEKLAIAFGLISLGPEDTIRVVKNLRVCNDCHAAIKLISKLTGREIIVRDNNRFHCFKEGFCSCNDYW >DRNTG_12977.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24862626:24868056:-1 gene:DRNTG_12977 transcript:DRNTG_12977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQNIQRDLQSFQQYPMEQASQENQLLKSYQEPEELNDIIEASHADQQMGAIDGYKWRKYGQKAVKGSENPRSYYKCTHPNCPTKKKVERSSAGHVTEIVYSGVHNHPKPSQSTRGFMDSIGNPEASSASFADDDFDENEPDAKRWKNEVQNGEVSGNKMVKEPRVVVQTTSSVDLLDDGYRWRKYGQKAVKGNSNPRSYYKCTSDGCSVRKHVERASDDIKSVITTYEGKHNHDVPLARGCGGHSLNNNNNNNNNNNKDMSNINNNINNISMAFKPSNQSQQGPSWYESSMAFYMNQQQQLTTQTGSGSNSNAKEEPIEEDALISYVPFIG >DRNTG_12977.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24867057:24868056:-1 gene:DRNTG_12977 transcript:DRNTG_12977.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLGFRPDGNTFIGILCSCTHTGLVEDGRLYFYSMTQVYGLTPRIEHYGCLIDLLGRAGLLVEARQLIKDMPMEANAVVWGALLGGCKIHRDAQLAEEVLKQLIKLEPHNSGNYVLLSNIYSASGRWDDAARLRSHMVVKGIQKVPGCTWVELNGVVHEFRVGDKSHPLSEKIYSKLDELGKQLKALGYMPTTEVVLFDIEEEEKEYSLGYHSEKLAIAFGLISLGPEDTIRVVKNLRVCNDCHAAIKLISKLTGREIIVRDNNRFHCFKEGFCSCNDYW >DRNTG_12977.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24862626:24864304:-1 gene:DRNTG_12977 transcript:DRNTG_12977.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHFWGMLAFEDEQEEKESRTYSFSSSSPLSSLSPYFANLDHVLMDSPPPLFIQQEIKEDDMYQNIQRDLQSFQQYPMEQASQENQLLKSYQEPEELNDIIEASHADQQMGAIDGYKWRKYGQKAVKGSENPRSYYKCTHPNCPTKKKVERSSAGHVTEIVYSGVHNHPKPSQSTRGFMDSIGNPEASSASFADDDFDENEPDAKRWKNEVQNGEVSGNKMVKEPRVVVQTTSSVDLLDDGYRWRKYGQKAVKGNSNPRSYYKCTSDGCSVRKHVERASDDIKSVITTYEGKHNHDVPLARGCGGHSLNNNNNNNNNNNKDMSNINNNINNISMAFKPSNQSQQGPSWYESSMAFYMNQQQQLTTQTGSGSNSNAKEEPIEEDALISYVPFIG >DRNTG_19096.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:300373:304643:1 gene:DRNTG_19096 transcript:DRNTG_19096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLKCIQLILSLQRLNLSGAIKEIQEHGMCKLKDFIFKSLAKKWRDHRFHLYGYAQCDPDGPREVNIAKNLRRLLEQWVAFVDYKAHPDTKAKAAQNTRNRMNLTMPHKLGSKSFARLQKEMETQLGRFVTRAELFQASHTTLEGSFEDLISRSQNSSENEAFTSVFGKDHLGYVRGLGLGVSPTQVYGSSSSSSGSEAFVKDKEIRRTKRLLISSK >DRNTG_07101.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22327312:22330965:1 gene:DRNTG_07101 transcript:DRNTG_07101.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGKLGVGVRSEGGGSSSSVVETRIAMRHQNLAEIAAALDEYFGKAADAGVAVSGLLETGREQLDSSFRQLKKTVYHSNSVFSALSSSWSSRPPLAIKYRLDTGALVESGGGKSHGSTLERLLAWEKKLYGEVKAREGVKIEHEKKLSSLQSQEYKGKDDTKLDKTKTTIKRLQSLILVTSEAVTTTSSAITKVRDEELAPQLVEICYGLLNMWRSMNQFHEIQNSIVQQVRGLIDRPSASGSTSDLHRLATKELESAVSAWHSSFNRLIRHQREYIHALYDWLKLTLIDVNNDNPQKDASSAVARELSTFCDEWKQALDRLPDTVASEAIKSFINVVHVIYTKQTEELKIKKRVETYSKEFDKKSTSLRSIEKKYYQSSTVGLGLPDGSHINNANGHVFDTRDPLAEKKLEIATCRRKVEDEITRHTKAVEVTRSMTLNNIQTGLPGVFQAMTGFSSLFTEALQGVCQRAGSPN >DRNTG_19523.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:133162:135146:1 gene:DRNTG_19523 transcript:DRNTG_19523.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAKPQALNPLKPLSAEALLPFSPSKSLLFMPRASPRCESLHHSNGSASFRPVIKAQSSPDYVPDSQFYKIEAILRPWRVAHVSSGLMKMGIRGVTVSDVRGFGAQGGSMERQAGSEFSENMFLAKVKMEIVVSKDQVEAVIDKIIEEARTGEIGDGKIFLIPVSDVIRIRTGERGEKAERMMGGLADIISTASSN >DRNTG_19523.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:133162:135146:1 gene:DRNTG_19523 transcript:DRNTG_19523.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAKPQALNPLKPLSAEALLPFSPSKSLLFMPRASPRCESLHHSNGSASFRPVIKAQSSPDYVPDSQFYKIEAILRPWRVAHVSSGLMKMGIRGVTVSDVRGFGAQGGSMERQAGSEFSENMFLAKVKMEIVVSKDQVEAVIDKIIEEARTGEIGDGKIFLIPVSDVIRIRTGERGEKAERMMGGLADIISTASSN >DRNTG_08277.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:4527270:4529401:-1 gene:DRNTG_08277 transcript:DRNTG_08277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKEKEEFEQARQVSSGHDEAMNNMEEIGQIEYIGVENEKDKKVEYHFEILDCVTEDCACERENFQGDLLVSCSSQVENTQEEANPKLMEQAFLFGIDQLLQCKKETLGREEDVGRRLKPSNDPPMLILDKSQPKLFPWRPKDDIHVFLVGKLDLISC >DRNTG_34276.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29497660:29499927:1 gene:DRNTG_34276 transcript:DRNTG_34276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPILLKHWLQRCLVVFFTNSGTEANELALMITRLYTGCQDIISIRNSYHGNAAGTMGATAQSIWKFNIVQSGVHHAINPDQYRGIFGSEGPKYARDVQEIIDFGTSGQVAGFISEAIQGVGGIMELAPGYLPAVYKIIKKAGGLCIADEVQSGFGRTGTHFWGFENHGVIPDIVTMAK >DRNTG_25541.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3007489:3008209:1 gene:DRNTG_25541 transcript:DRNTG_25541.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDAIAHLAIPSSPKKNPNRASTNLPLRDRTNTNNQQPSKRKAQR >DRNTG_26597.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19997763:19998215:-1 gene:DRNTG_26597 transcript:DRNTG_26597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCRTLTCAPTNTIVMEVASRYMKLLKENAAGEDTLLLGDVLIFGNKDRIKDNDYLCYVFLDNRVKKLKQCFAEKTGWRHCLASMVQFLLNCLKLYQDYVEKEFISLEYFVRRKFFENSSSLSQCLATLRTHLPSASVSEESSRDIVFAS >DRNTG_34366.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002139.1:22601:23282:1 gene:DRNTG_34366 transcript:DRNTG_34366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTPFPQVVKNVVFFVIRSQEACLPCLLVPPDRRLEIPLTRSERHLLLISWGYHCDIRCLLDFVFFRVSGFVINSHRPIHLHNLIEQNDQVIVLHTPKDEDQPTLDLSEDIDVLILANGSDLNSDDKLDDSPDRDGDSESEGEGESREKAKGLRGVQG >DRNTG_07900.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2503412:2503623:1 gene:DRNTG_07900 transcript:DRNTG_07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNIKIHNMSASQASHKIHDNTTNIKQ >DRNTG_08810.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28100987:28101891:-1 gene:DRNTG_08810 transcript:DRNTG_08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSEYKEQIHARPCDGCIRRRARWYCAADDAFLCQCCDASIHSANPLARRHERLRLKTGSLSAALKLHTPAWQHGITRKARTPRPKPAGAVVKSEPLVPDLETVSADENNTEEEQLLLYCVPVLDHSPSEKRPFVDCNNNYLHVDEDDAELTEFAANMERLLGPGMDEDVLCMEGMGFLGLKDESNHEIKMEIGDHDDQKMVKEDVDLARETLEMNLDCGSVLETTDDQKVNECLHGKRLILRLDYEKVMTAWASCNGLSPWGDGERPVINLNQCFPAEFIM >DRNTG_06065.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4018140:4026186:1 gene:DRNTG_06065 transcript:DRNTG_06065.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor-like protein 8c [Source:Projected from Arabidopsis thaliana (AT5G37680) UniProtKB/Swiss-Prot;Acc:Q8W4C8] MGLWEALLNWLRSLFFKQEMELSLIGLQNAGKTSLVNAIATGGYSEDMIPTVRAVS >DRNTG_06065.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4018140:4026186:1 gene:DRNTG_06065 transcript:DRNTG_06065.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor-like protein 8c [Source:Projected from Arabidopsis thaliana (AT5G37680) UniProtKB/Swiss-Prot;Acc:Q8W4C8] MGLWEALLNWLRSLFFKQEMELSLIGLQNAGKTSLVNAIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVSAILYVVDAADRDSVPISKSELHELLIKPSLSGIPLLVLGNKIDKSEALSEQALGDQLGLEQIKDREVCCYMISCKDSINIDVVIDWLIKHSRTAK >DRNTG_14791.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29930444:29934137:1 gene:DRNTG_14791 transcript:DRNTG_14791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAQRSYEPKPPLHSPVPTTHPPPPHAGALDSSSFPPPPSYDSRYIHHHHQTAAVAPPLVHPNPQQQRIHTLFVSGLPDDVKPREIHNLFCRRPGFDHCLLEYTGRGNQVVAFATFSNHQSAMAAMNTLNGVIFDPQTGATLHIELARSNSRTKRPRGGGAYVVIDKRAKVSNDDHETLSDDEDGQSDEAPGSFNDDIYDNAASTTAESGEMAVSTVHAEGTSNEQLERPISSDIPPCSTLFIANLGPTCTEDELKDALSKFPGFHTLKMRGKGGMPVAFADFEDVESSTEAMNSLQGVLLESSDRGGLHLEYARSKMRKG >DRNTG_17711.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4019209:4020817:1 gene:DRNTG_17711 transcript:DRNTG_17711.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLMTPSNCSARAASISPRTSRRVLKLSAFAELLMSSGIVLNDSVHWVTFHSGYDFGYLLKLLTCQNLPETQVGFFNLINMYFPTVYDIKHLMKFCNSLHGGLNKLAELLEVERVGICHQAGSDSLLTACAFRKLKEAFFNGSPEKYAGVLYGLGVENGQNSH >DRNTG_17711.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4019209:4020817:1 gene:DRNTG_17711 transcript:DRNTG_17711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLMTPSNCSARAASISPRTSRRVLKLSAFAELLMSSGIVLNDSVHWVTFHSGYDFGYLLKLLTCQNLPETQVGFFNLINMYFPTVYDIKHLMKFCNSLHGGLNKLAELLEVERVGICHQAGSDSLLTACAFRKLKEAFFNGSPEKYAGVLYGLGVENGQNSH >DRNTG_23452.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:759032:762502:-1 gene:DRNTG_23452 transcript:DRNTG_23452.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGRGLVPLRLVVLMLLLLLFVSYFPLFISSNSSNQSSSSSSVVESCDQTKRTCGSNTVPYPFGFFKECKIPLKCNNNSNNNNNNNNNNTESTEIMLGDFVVSNITWESFQVDVPPSCNRSIRTATSFFGSNYAMTWNNMLFLRNCNVSGSSGCSISTALLSHSLNLNSCAPSSPSSGNDNISCFPSNDTAKGFLSPDNILKHTGCQSLFTSGEYDTTDDRFTSLVFRHVELGWWLDGDCHHCDPNADCTRLQSPVTRGNAIRCRCKEGFQGDGFIQGDACRKETRPSCNPSHYMSGKCGGTTRVGVLIGGIILGACIMAGLAFVIYIIRRRSSLCRMKKSMRRLLSEASCAVPLYSYKDIERATMGFAASGRLGNGAYGTVYAGKLNNGDLVAVKRIKHREADGMEQVMNEIKLLSSVSHPNLVQLLGCCIERGEQILVYEFMPNGTLSQQLQRERGDGLPWTVRLTIATETAQAIAYLHSSVHPPIYHRDVKSSNILLDYNYNSKVADFGLSRVGITGFSHISTAPQGTPGYLDPQYHQSFHLSDKSDVYSFGVVLVEIITGLKVVDFSRVPGEVNLAALAIDKIGRGLVEEIIDPFLEPHRDAWTLSSIHKVAELAFRCLAFHRDMRPSMMEVAEELDQIKLSGWAATDERNIFLSSSSSLCSASSSIINISSVTPKKCASASSNMSISVIDATVQQEVQVVDSPVSVHDRWFSDQSSPSANSLLANVRHS >DRNTG_23452.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:759032:762705:-1 gene:DRNTG_23452 transcript:DRNTG_23452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGRGLVPLRLVVLMLLLLLFVSYFPLFISSNSSNQSSSSSSVVESCDQTKRTCGSNTVPYPFGFFKECKIPLKCNNNSNNNNNNNNNNTESTEIMLGDFVVSNITWESFQVDVPPSCNRSIRTATSFFGSNYAMTWNNMLFLRNCNVSGSSGCSISTALLSHSLNLNSCAPSSPSSGNDNISCFPSNDTAKGFLSPDNILKHTGCQSLFTSGEYDTTDDRFTSLVFRHVELGWWLDGDCHHCDPNADCTRLQSPVTRGNAIRCRCKEGFQGDGFIQGDACRKETRPSCNPSHYMSGKCGGTTRVGVLIGGIILGACIMAGLAFVIYIIRRRSSLCRMKKSMRRLLSEASCAVPLYSYKDIERATMGFAASGRLGNGAYGTVYAGKLNNGDLVAVKRIKHREADGMEQVMNEIKLLSSVSHPNLVQLLGCCIERGEQILVYEFMPNGTLSQQLQRERGDGLPWTVRLTIATETAQAIAYLHSSVHPPIYHRDVKSSNILLDYNYNSKVADFGLSRVGITGFSHISTAPQGTPGYLDPQYHQSFHLSDKSDVYSFGVVLVEIITGLKVVDFSRVPGEVNLAALAIDKIGRGLVEEIIDPFLEPHRDAWTLSSIHKVAELAFRCLAFHRDMRPSMMEVAEELDQIKLSGWAATDERNIFLSSSSSLCSASSSIINISSVTPKKCASASSNMSISVIDATVQQEVQVVDSPVSVHDRWFSDQSSPSANSLLANVRHS >DRNTG_31878.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21085947:21089431:-1 gene:DRNTG_31878 transcript:DRNTG_31878.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSNNGHNSRTCPARAGGVKLFGVRLTEGVGPMKKSASMGCLSSATSAAAMASPNNPGSPSSDPHRDHPSGYASDDPTHASCSSSCRSERKKGIPWTEEEHRMFLLGLRKLGKGDWRGIARNFVVSRTPTQVASHAQKYFIRQTNATRRKRRSSLFDMVPEMPMDELPVLEEQFMVQSPPNEPETQSKSPSLHISMDQEPEPKETSSQDTPAEPQENAPQYNTMSIPIPMVPAFYPAFMPVPLPFWPASNMTNTTKEEEMGEAHEVLRPTPVLPKDANHMDFGMSKLCIGEGGPSRIEPSALSLKLRGPSSSRQSAFHINPSIAGPDLSQSKTNAIHAV >DRNTG_35089.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1429890:1433172:1 gene:DRNTG_35089 transcript:DRNTG_35089.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFFFLLLSLLLCEVVSPLRDIPQSSCSSSKLHENYHHQKINNSGVHFTLHHPRNPCSPASLHHLPISDVLHHDKLRVSGLTSRLTTKHHNIHHRANNFAANNPSLVSVPLSPGSSLGVGNYITMIGLGTPSSSYAMVVDTGSSLTWLQCSPCRVSCHDQSGPVFNPSSSATYHSIPCSATECSGLQSATLNPSACSNSNVCIYEASYGDSSFSVGYLGKDTLSFSSSSFTSFVYGCGQDNEGLFGKSAGLIGLAKDKLSLLSQLAPKIRQCFLLLSPYKILHWIPINWLL >DRNTG_35089.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1422912:1433172:1 gene:DRNTG_35089 transcript:DRNTG_35089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFFFLLLSLLLCEVVSPLRDIPQSSCSSSKLHENYHHQKINNSGVHFTLHHPRNPCSPASLHHLPISDVLHHDKLRVSGLTSRLTTKHHNIHHRANNFAANNPSLVSVPLSPGSSLGVGNYITMIGLGTPSSSYAMVVDTGSSLTWLQCSPCRVSCHDQSGPVFNPSSSATYHSIPCSATECSGLQSATLNPSACSNSNVCIYEASYGDSSFSVGYLGKDTLSFSSSSFTSFVYGCGQDNEGLFGKSAGLIGLAKDKLSLLSQLAPKIRQCFLLLSPYKILHWIPINWLL >DRNTG_28155.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001427.1:1:1779:-1 gene:DRNTG_28155 transcript:DRNTG_28155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVVRGTIFYLDSRGINEVIINPIIDPGIDPRMASLDRFWSLFNPYTAPLRPRYLGSIKAHLRGRKGSFFAATARGRKEGMKAYFGGCFLNLRGDQGLGTSRGEFHHQGESSQGGHSAFIRLEEASFCRPSSSSSSSFGLGSATYAFVSCFSLLYHVCLYDSTLDPQGHRMETFFGKLFEEFLEPTSTSSEETTREAAPIAASMEAFHLTWLVITLLLFEVVFLCHL >DRNTG_23381.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1145046:1149012:1 gene:DRNTG_23381 transcript:DRNTG_23381.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:Projected from Arabidopsis thaliana (AT1G11750) UniProtKB/TrEMBL;Acc:F4IAG5] MASMAVSSPFGVVAPCLRAAIPNSSRRNLLKFGCYEAKNRFGEGLQNGNNSLSIKANGLVQYNSQGYDAVINAKKGNPPMMPAVMTPGGPLDLSSVLFRNRIIFVGQPVNSQVAQQVISQLVTLAAIDEDSDILIYINCPGGSTYSVLAIYDCMSWIKPKVGTVCFGVAASQGALLLAGGEKGMRYAMPNARIMIHQPQSGCGGHVEDVRRQVNEAVQTRHKIDKMYAAFTGRTLDEVQQYTERDRFFSASEALEFGLIDGLLETEY >DRNTG_23325.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1068055:1069210:-1 gene:DRNTG_23325 transcript:DRNTG_23325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGPARALFMDEISTGLDSSTTFQIINSLSQTIHILGGTALIALLQPAPETYDLFDDIILLSEGQIVYQGPREHVLDFFESMGFKCPQRKGVA >DRNTG_15566.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:561224:564085:-1 gene:DRNTG_15566 transcript:DRNTG_15566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSSTMRSSNREDYMRKDANPLLGARWMGSGGGDRLTTTYDLVEQMYYLYVRVVKAKDLPTSAITGSCDPYVEVKLGNYKGTTKHLEKRPNPEWHQVFAFSKERIQSSVLEVYVKDKEMVGRDDFMGRVVFDLNEVPTRVPPDSPLAPQWYKLEDRRGTKVRGEIMVAVWVGTQADEAFPDAWHTDAASVHTEGMINIRSKVYVSPKLWYLRVNIIEAQDIEPNERGRSPEVFVRAQVGNQMLRTKTCTAKTMNPLWNEDLVFVAAEPFEEQLVLTVEDRVSPRKDDVLGKITLPLTIFEKRLDHRPVDSRWFDLEKFGLGVLEGDWRKELRFASRIHLRVCPRRRLPCYG >DRNTG_01775.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10867602:10868380:1 gene:DRNTG_01775 transcript:DRNTG_01775.11 gene_biotype:protein_coding transcript_biotype:protein_coding NQYILSLIESQGIQVNFETTPHTSHALAKTGESASHAPHTSEDVEQPQPVDNIATK >DRNTG_01775.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10863202:10867442:1 gene:DRNTG_01775 transcript:DRNTG_01775.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVGREPGKNSSSVPLSQTQGRRGRVMDIFY >DRNTG_01775.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10863202:10867442:1 gene:DRNTG_01775 transcript:DRNTG_01775.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVGREPGKNSSSVPLSQTQGRRGRVMDIFY >DRNTG_01775.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10867602:10868510:1 gene:DRNTG_01775 transcript:DRNTG_01775.9 gene_biotype:protein_coding transcript_biotype:protein_coding NQYILSLIESQGIQVNFETTPHTSHALAKTGESASHAPHTSEDVEQPQPVDNIATK >DRNTG_01775.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10863255:10867442:1 gene:DRNTG_01775 transcript:DRNTG_01775.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVGREPGKNSSSVPLSQTQGRRGRVMDIFY >DRNTG_01775.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10863255:10867442:1 gene:DRNTG_01775 transcript:DRNTG_01775.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVGREPGKNSSSVPLSQTQGRRGRVMDIFY >DRNTG_01775.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10863255:10868380:1 gene:DRNTG_01775 transcript:DRNTG_01775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGREPGKNSSSVPLSQTQGRRGREAKLGRKVSMVEAFKEVYQKDDGSWNEEGAQSAHEKFVKAHQDILA >DRNTG_01775.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10867602:10868380:1 gene:DRNTG_01775 transcript:DRNTG_01775.10 gene_biotype:protein_coding transcript_biotype:protein_coding NQYILSLIESQGIQVNFETTPHTSHALAKTGESASHAPHTSEDVEQPQPVDNIATK >DRNTG_01775.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10863255:10868380:1 gene:DRNTG_01775 transcript:DRNTG_01775.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGREPGKNSSSVPLSQTQGRRGREAKLGRKVSMVEAFKEVYQKDDGSWNEEGAQSAHEKFVKAHQDILA >DRNTG_01775.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10863255:10868380:1 gene:DRNTG_01775 transcript:DRNTG_01775.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGREPGKNSSSVPLSQTQGRRGREAKLGRKVSMVEAFKEVYQKDDGSWNEEGAQSAHEKFVKAHQDILA >DRNTG_01775.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10863255:10868380:1 gene:DRNTG_01775 transcript:DRNTG_01775.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGREPGKNSSSVPLSQTQGRRGREAKLGRKVSMVEAFKEVYQKDDGSWNEEGAQSAHEKFVKAHQDILA >DRNTG_11243.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21869379:21872337:-1 gene:DRNTG_11243 transcript:DRNTG_11243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNESELFPQLDHDKRSGFCRQTGTYHSLHRLPLDPNPSEVSNVAAFVLSNLLDVAGEKPAFIDAATGYVLTYYHLRRSVLSLATALRSLGLRPGNTVLLLSPNSIIYPVVTLGILVTGAAVSPANPVNTPAEIEKQALDSGAVLAISAPDLAHKLASLSIPILLTTRSPSDESALSAEELIEGADPEEVTSPSTASDVAVLLYSSGTTGASKGVKLTHANLIATLKLLKWTVDVSSARDDVYLGFLPMFHVYGLAFFALGLPSVGTTTVVMSRFELQAMMEAVERFKISNIPAVPPVLVAMLKNDGEIKLDLSNLRRIITGAAALAPATGREFRRRFPWVELRQGYGLTESSGAATYFAASEEAKKREEAVGRLLPWFEARVVDVASGKTVGPGEEGELWLKGPTVMAGYLGNDVATSETLDRDGWLRTGDLVFIDEDGFVYIVDRIKELIKHNGYQVAPAELEAVLVRHPDILDAAVVPMEDEESGQIPVAFVVKLAGSELTSEDVIQFVAGQVAPYKKIRRVGFINAIPRSMAGKILRKQLVALIKQAPHSKL >DRNTG_12206.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10524939:10529130:1 gene:DRNTG_12206 transcript:DRNTG_12206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGENLGPSSPSPAPASEFVLWPRREPFEHGLLPIPKLIFSDGTQTLGPLKEKLLQASPSGRITATELASALQIPPDYARLALDTLASVHPADLDPNAGVDVHDLLLFLYIQTYKRLVMRTHKDPAAVADVWPSMSAFDGYFSSMSPIQLARSNSRRFMPSQSDEEAYQLSYLQKHMGNILTLLAESVKGDGDESLVLTSERFDHLGFLIQFGEGITLSQAAPFYTNLEPDMPVVSVPVAAVHEWVLQQICLALENNADKAKGNDPSTELDVDTGDACVNQSMSESSSSPNGSFSLSYAAKSRCQTFIEGISKASVVKQSTDIKGHSVKVLNCQDSVIYILAPLQYAIVYGCCDATIVLGAIGKAVRVEHCERVHVISAAKRITVANCRECIFFLGVNQRPLFLGDNNKLRVAPYNTFYSHLEAHMAQVGVVATVNRWDKPLALGLVDSNDAVSNPAGVSDVKAESTTQLDPELFTSFLIPNWFAGESPQTTNQNPFPLPEMYSSSLGRKHSNLSDIKQALRDLKLDENKKQDLASVLHVHFRDWLYASGTIRQLYYLQCE >DRNTG_12206.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10525957:10529130:1 gene:DRNTG_12206 transcript:DRNTG_12206.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVSVPVAAVHEWVLQQICLALENNADKAKGNDPSTELDVDTGDACVNQSMSESSSSPNGSFSLSYAAKSRCQTFIEGISKASVVKQSTDIKGHSVKVLNCQDSVIYILAPLQYAIVYGCCDATIVLGAIGKAVRVEHCERVHVISAAKRITVANCRECIFFLGVNQRPLFLGDNNKLRVAPYNTFYSHLEAHMAQVGVVATVNRWDKPLALGLVDSNDAVSNPAGVSDVKAESTTQLDPELFTSFLIPNWFAGESPQTTNQNPFPLPEMYSSSLGRKHSNLSDIKQALRDLKLDENKKQDLASVLHVHFRDWLYASGTIRQLYYLQCE >DRNTG_34545.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1658088:1662159:-1 gene:DRNTG_34545 transcript:DRNTG_34545.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVGSLVKKLGDLIVQEGSNLYGVRDEVEWLERELRRMQDFLKDADAKKNKGDDERVKNWVTEMRDLAFEAEDIIDTFMYSKLRRQQPGCMGFIKRFVSIFDELVSRHKVHVDVKGIKSKLHELSESREVYGISNICATCQSRSPGEIPILPQLRDDIDMVGFVDEKKTIVQELVDTSKKHQSVISIVGMGGLGKTTLAKSIYNDPRVKRSFDIFAWVIISQECTILDILNKILLEKLETSPGDTIESLSVKVFEKLKKGRYLVVLDDVWRENVWDQLQKVFPDDQNGSRVIITTRFINVAKIANPTTEPHELRCLDEKESRALFLRKVFPNQNIETCCPTYLVDYAHQLVQRCGGLPLALVVLGGFVSTKPQTQDAWRKVVESMNGQFVECGERCLEIIALSYNNLPYYLKSCFLYFGCFKEDWTISSEILIRLWLAEGFLPVKDGKTTEEVGLDCLEDLAQRCMVQITSREYDGSVYCCQIHDVLRDMCIKKAKENRYFEIYKNNDTVDNVTMSNAARRIIVCNEVAILNYSNSMLRGLFYGVYGSYNRLAFRALKGQLGRFKLLRVLCLHTKGISEFPSEIKSLIHLRYLGFSDEFSDEFSEDVNLKEIPSWICHLHNLQTFILSCRNLEKISDSLWTIGNLRHVKLPMSSRVPPPNMGNNVPKNLQTLKGINAGSWIGNALPKLTNLCELSINEVFNDHGDALSSSLQKLGRLASLSIYSASFSIHGRAICLDNNIITAFSNQHCLKKLVLWGSLNRKHLPHNDVFPQQLVKLKLGGSGLEQDPMATLEKLPCLKYLELWHDSYVGKHMICSATGFPQLLSLRIVVLTELEEWTIEEMAMPCLKFLEIYNSRRLKMIPEGLKNVPLDQLEVIQMPKKFITRMKEKTGEDWYKIRHVPNIRMKIWRT >DRNTG_34545.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1658088:1661140:-1 gene:DRNTG_34545 transcript:DRNTG_34545.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFVDEKKTIVQELVDTSKKHQSVISIVGMGGLGKTTLAKSIYNDPRVKRSFDIFAWVIISQECTILDILNKILLEKLETSPGDTIESLSVKVFEKLKKGRYLVVLDDVWRENVWDQLQKVFPDDQNGSRVIITTRFINVAKIANPTTEPHELRCLDEKESRALFLRKVFPNQNIETCCPTYLVDYAHQLVQRCGGLPLALVVLGGFVSTKPQTQDAWRKVVESMNGQFVECGERCLEIIALSYNNLPYYLKSCFLYFGCFKEDWTISSEILIRLWLAEGFLPVKDGKTTEEVGLDCLEDLAQRCMVQITSREYDGSVYCCQIHDVLRDMCIKKAKENRYFEIYKNNDTVDNVTMSNAARRIIVCNEVAILNYSNSMLRGLFYGVYGSYNRLAFRALKGQLGRFKLLRVLCLHTKGISEFPSEIKSLIHLRYLGFSDEFSDEFSEDVNLKEIPSWICHLHNLQTFILSCRNLEKISDSLWTIGNLRHVKLPMSSRVPPPNMGNNVPKNLQTLKGINAGSWIGNALPKLTNLCELSINEVFNDHGDALSSSLQKLGRLASLSIYSASFSIHGRAICLDNNIITAFSNQHCLKKLVLWGSLNRKHLPHNDVFPQQLVKLKLGGSGLEQDPMATLEKLPCLKYLELWHDSYVGKHMICSATGFPQLLSLRIVVLTELEEWTIEEMAMPCLKFLEIYNSRRLKMIPEGLKNVPLDQLEVIQMPKKFITRMKEKTGEDWYKIRHVPNIRMKIWRT >DRNTG_34545.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1658088:1662159:-1 gene:DRNTG_34545 transcript:DRNTG_34545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVGSLVKKLGDLIVQEGSNLYGVRDEVEWLERELRRMQDFLKDADAKKNKGDDERVKNWVTEMRDLAFEAEDIIDTFMYSKLRRQQPGCMGFIKRFVSIFDELVSRHKVHVDVKGIKSKLHELSESREVYGISNICATCQSRSPGEIPILPQLRDDIDMVGFVDEKKTIVQELVDTSKKHQSVISIVGMGGLGKTTLAKSIYNDPRVKRSFDIFAWVIISQECTILDILNKILLEKLETSPGDTIESLSVKVFEKLKKGRYLVVLDDVWRENVWDQLQKVFPDDQNGSRVIITTRFINVAKIANPTTEPHELRCLDEKESRALFLRKVFPNQNIETCCPTYLVDYAHQLVQRCGGLPLALVVLGGFVSTKPQTQDAWRKVVESMNGQFVECGERCLEIIALSYNNLPYYLKSCFLYFGCFKEDWTISSEILIRLWLAEGFLPVKDGKTTEEVGLDCLEDLAQRCMVQITSREYDGSVYCCQIHDVLRDMCIKKAKENRYFEIYKNNDTVDNVTMSNAARRIIVCNEVAILNYSNSMLRGLFYGVYGSYNRLAFRALKGQLGRFKLLRVLCLHTKGISEFPSEIKSLIHLRYLGFSDEFSDEFSEDVNLKEIPSWICHLHNLQTFILSCRNLEKISDSLWTIGNLRHVKLPMSSRVPPPNMGNNVPKNLQTLKGINAGSWIGNALPKLTNLCELSINEVFNDHGDALSSSLQKLGRLASLSIYSASFSIHGRAICLDNNIITAFSNQHCLKKLVLWGSLNRKHLPHNDVFPQQLVKLKLGGSGLEQDPMATLEKLPCLKYLELWHDSYVGKHMICSATGFPQLLSLRIVVLTELEEWTIEEMAMPCLKFLEIYNSRRLKMIPEGLKNVPLDQLEVIQMPKKFITRMKEKTGEDWYKIRHVPNIRMKIWRT >DRNTG_26042.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9879767:9880962:-1 gene:DRNTG_26042 transcript:DRNTG_26042.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKDDALKCLRIGKVALESGDKGRALKFLSKAHRLDPSLPIDELLSAALGGESQDESSSSNGVNPSDAPPAEEAGNTDSAFASTASSSTTARTRISSNGSAARGCTQEQIAIVREIKKQKDFYKILDLERNCTIEDVRKAYRKISLKVHPDKNSAPGSDEAFKAVSKAFQCLSNEESRKRYDLVGSEELNYGVGRPAARNNYHGFNGFYESDFDADEIFRNFFFGGGPMQTTPFGTFHFRTGGMGRHTANEMHGGGGGSNLRILIQILPVILLLLLNFLPSSEPVYSLSQSYQHDYKVETPRGVPYYVKRDKFEKDYPYQSSERLALEKRIEREYIGILSQNCRVELQRQRWGLSYETPYCDMLQKFD >DRNTG_09052.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31404605:31407346:1 gene:DRNTG_09052 transcript:DRNTG_09052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPMHSKEPAPVFNTEISEEMQDDNEKWVLDSSVDNKGNVPLRASTGAWKAALFIIAIEFGERLSFFGISTNLMMYLTKVLHEELKTAAKTVNYWSGVTTMLPLLGGFIADAYLGRFSTILFSVFIYTAGLGLLILSQLAPALKPCTDIDGPCHGSLRLHKIVFFVALYLISIGTGGHRPSLESFGADQFDDDHPHEKMKKMSYFNWWNFGLCSGLMLGVTVIVYIEDNISFWVSYVVLCVIMGIALSIFLLGRPFYRYRVPQGSTLTPIMRTFVAAVRKRHLPYPANTQELYEVSNSFSEKRLLSHTNQFRFLDKAAIIEHTNNEKHMNPWRLATVTQVEELKLIINIIPIWLATIPFGISIAQSTTFFLKQCAVMDRRLTSSFEIPPASVFSLGAIGMIICVTLYDRILVPFFRRLTGNERGISVLQRIGVGIAMVVIAMVIAAIVEAKRLSIAHEDESKVVPMSVFWLVPQFVILGFGDGFSLVGLQEYFYDQVPDSMRSLGIAFYLSVIGAANFLSSLLITVVDYVTRRGGGTSWFAKDLNKSRLDKFFWLLAAMDAVNVVIYILVARRHSYKSVQSRVGIANSSDGDDEDVPSRV >DRNTG_09735.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1686637:1688117:1 gene:DRNTG_09735 transcript:DRNTG_09735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAQTSWELVLTEICERWGLEVSLVRVKFITPNGYKTVCPIENDVDFQRMCHVHSIFKCAVVDLVVETDSVLLSNHNKNEFFSLFCEHSRSTHGDPDDVGCLPSSSDHSEVPSLDIGQRFDGVEHFRDVLRNFAIKRNFDFKFIKNEKHRVTVECAADGCPWRLHASKEYNKNTFKIKTINPSHTCGGGIGSASHPKASKKWLGKEHARVVLDGSDISSYDLLLWYVDKVAETNPDSFAIVERKGDRFKRAFFSFSACIVGFNRTCRPLLFLDGTHLLGKYRGTLLGDTGKDGNNGFFHVALGIVNNETDANWTWFISKLGDGLYEEGDYNEIITFVSDRSKDLVNAIARVFPSSPHTYCLRHLEANFMKANVRLGKPLREE >DRNTG_04139.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22440194:22469861:-1 gene:DRNTG_04139 transcript:DRNTG_04139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGPHLYLGYEMVVSIAHHETSLYIRALFVNPYATLVIRHMGQLQETDRTRIVGGFAMMSLETLRDCVVSWSGKHIESNNTALQVLEAKGKQIRGVIEQKNQDSTKFQDLHGERTTVHCRSKYPSLEKNMKESKHGRAIRHSWRKFGEALARSLEWFYGHQNRVFSEDNYWGSFRQYQFSEVCPRLDEGALKEDEATPQTIDTSYGSSASSSKVPSSNLGHTSPDQCRRKLPNSSLPKETQCRRPSNLSKALPKSLQTLATGASKDGERKTTKHGCASQEARQCSFLCPRLFLGKHDHALTSQHKCALVPAWECLYCSLLILQHTHALFQQKTCTFGPMAMRTRGESSSQDALMINALMKVIRGDFDGIVLARCALGSTEEPLEKEKRPLETIITDNKGELNKHSELLLVPVDLTTRSHWVHFTTLTTRALVVTQGAYHSTHKKKVECMTSDLRILGISKAYEELVSSLVLSKGPLVKPRAHLARSVPTKAPLITIFQEKCGVKAVEPLQKPCQSPYKP >DRNTG_00054.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21734846:21736129:1 gene:DRNTG_00054 transcript:DRNTG_00054.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTFCASLDPLMTIMIDKYIDYPMLLDVVGVAGVEMVDGAGECGSVPVDRMAFRMAPCAAASQDPQAEVSASCCSAVQKMGQSPSCLCAVMLSDTAKSAGVKPEVAITIPKRCNLADRPVGYKCGAYTLP >DRNTG_00054.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21735408:21736129:1 gene:DRNTG_00054 transcript:DRNTG_00054.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTMMKCLSFCVLMVVLGVAGVEMVDGAGECGSVPVDRMAFRMAPCAAASQDPQAEVSASCCSAVQKMGQSPSCLCAVMLSDTAKSAGVKPEVAITIPKRCNLADRPVGYKCGAYTLP >DRNTG_00054.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21734992:21736189:1 gene:DRNTG_00054 transcript:DRNTG_00054.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTFCASLDPLMTIMIDKYIDYPMLLDVVGVAGVEMVDGAGECGSVPVDRMAFRMAPCAAASQDPQAEVSASCCSAVQKMGQSPSCLCAVMLSDTAKSAGVKPEVAITIPKRCNLADRPVGYKCGAYTLP >DRNTG_00054.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21734992:21736129:1 gene:DRNTG_00054 transcript:DRNTG_00054.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTMMKCLSFCVLMVVLGVAGVEMVDGAGECGSVPVDRMAFRMAPCAAASQDPQAEVSASCCSAVQKMGQSPSCLCAVMLSDTAKSAGVKPEVAITIPKRCNLADRPVGYKCGAYTLP >DRNTG_00054.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21734846:21736129:1 gene:DRNTG_00054 transcript:DRNTG_00054.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTMMKCLSFCVLMVVLGVAGVEMVDGAGECGSVPVDRMAFRMAPCAAASQDPQAEVSASCCSAVQKMGQSPSCLCAVMLSDTAKSAGVKPEVAITIPKRCNLADRPVGYKCGAYTLP >DRNTG_00054.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21735408:21736189:1 gene:DRNTG_00054 transcript:DRNTG_00054.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTMMKCLSFCVLMVVLGVAGVEMVDGAGECGSVPVDRMAFRMAPCAAASQDPQAEVSASCCSAVQKMGQSPSCLCAVMLSDTAKSAGVKPEVAITIPKRCNLADRPVGYKCGAYTLP >DRNTG_00054.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21734992:21736189:1 gene:DRNTG_00054 transcript:DRNTG_00054.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTMMKCLSFCVLMVVLGVAGVEMVDGAGECGSVPVDRMAFRMAPCAAASQDPQAEVSASCCSAVQKMGQSPSCLCAVMLSDTAKSAGVKPEVAITIPKRCNLADRPVGYKCGAYTLP >DRNTG_07124.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4791691:4795009:1 gene:DRNTG_07124 transcript:DRNTG_07124.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative dual specificity protein phosphatase DSP8 [Source:Projected from Arabidopsis thaliana (AT2G35680) UniProtKB/Swiss-Prot;Acc:Q9ZQP1] MRIEGLEEEDCGDAGKEELGIYGSTIDVKRVVVLVGARALFYPTLLYNVVRNKMQAEFRWWDEVDEFLLLGAVPFPSDVPHLKQLGVHGVITLNESYETLVPTSLYLEHEIEHLVIPTRDYYFAPSFGDICQAVNFIHKNASCGKTTYVHCKAGRGRSTTIVLCYLVQHKLMTPEAAFEHVRASRPRIRLAASQWQAVNDYYWLQVKKTGKSNSLDHPIVKSPSWFTTPNLMAFDESSFIMVSESDLKGYDVYSSSSNVGNSIYAELNLVYRVQFAGKSALAKLSCFWLRFHAHQDALSPDKKLLGRESCSLEADELGSGHPCLVTGLVLKL >DRNTG_12643.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1294382:1295207:1 gene:DRNTG_12643 transcript:DRNTG_12643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSKYHVHPLSSELLASLENRALFMEEELIEFSIEDEERTLH >DRNTG_32602.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22829662:22834224:1 gene:DRNTG_32602 transcript:DRNTG_32602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHLWPPVKLNFSLEFIKREACCCKFLSFAHVSLVSFNSASLETIANFLGWERIFRASQRGPRSGNQACLNNSYDASLAEMLFLYEQNLTHKMFQDFVFISVELTLGNGGHGEQPGLQRTSLAPKMRAYDPISGIDPQVLEDHLQLTIVEPRAPYCNPEYKRKP >DRNTG_00020.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21564178:21565736:1 gene:DRNTG_00020 transcript:DRNTG_00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCLYTMRYMHVHAFHISSECSAGSGSSLIKQQRGVIQQPR >DRNTG_00020.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21565215:21565736:1 gene:DRNTG_00020 transcript:DRNTG_00020.3 gene_biotype:protein_coding transcript_biotype:protein_coding RWGKYHVLSLNSECSAGSGSSLIKQQRGVIQQPR >DRNTG_08587.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9608738:9609817:-1 gene:DRNTG_08587 transcript:DRNTG_08587.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQKQQIEGGVEEVQVQDRGLFDHFTKKDEEVQEQQVLVSEMEKVHVVDEEPKKSEDEEKKPGLLEKLHRSHSSSSSSSSDEEEVGEDGEKKKKKKGLKEKIKEKLTGDKEEKKEGEQVEVVAAVVEEDTTVKVEKVDGEKGFLEKIKEKLPMQSKKPAEEAAVLPETKEQHHPEEGHENKEKKGFLEKIKEKLPGYHKNSGEDSPPTQ >DRNTG_16040.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25921857:25926881:-1 gene:DRNTG_16040 transcript:DRNTG_16040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKYYEGNLAGRSEDFLAQVALKTLPGAVLVLKSCESLLPMAEDIGLVQRCVDVIALKACNESNFPTRSQAEWWAFELAPLSPNSLHKILAAMKSHGATPKTLATTVSTYGKKSMTVLLYLPSNFPTSLSGDTLSHQRGLLESFVAILPPDSDAPLHTSLILCLLYASNFLSASNACHYVLQRRLSHAIIQATSDNLLAVTQDDPDDRIRRVFSRLIGKEESEAHSA >DRNTG_16040.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25921659:25926845:-1 gene:DRNTG_16040 transcript:DRNTG_16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKYYEGNLAGRSEDFLAQVALKTLPGAVLVLKSCESLLPMAEDIGLVQRCVDVIALKACNESNFPTRSQAEWWAFELAPLSPNSLHKILAAMKSHGATPKTLATTVSTYGKKSMTVLLYLPSNFPTSLSGDTLSHQRGLLESFVAILPPDSDAPLHTSLILCLLYASNFLSASNACHYVLQRRLSHAIIQATSDNLLAVTQDDPDDRIRRVFSRLIGKEESEAHSA >DRNTG_15657.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:126590:130922:-1 gene:DRNTG_15657 transcript:DRNTG_15657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFNFHSFLTVVLLVICTCSYIKMQFPAILEHRTGFRGFFWKAARIGERLSPWVAFGCFAMGASMIFSTF >DRNTG_26035.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1665508:1665871:1 gene:DRNTG_26035 transcript:DRNTG_26035.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDRKMVVQVFIACNKDEALAAHSLLNNHD >DRNTG_26035.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1654720:1665811:1 gene:DRNTG_26035 transcript:DRNTG_26035.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVESSDGFSFDIQARPNDSVADVKWNIGKRCGQTLYPVQDQKLKYGEKVLKDDTTLKDNNIRDNCSIYLTRVIPPRPSRVSSTTTSTTTVVPSSNSVPPSSTNPDSTPSPLTTVTANLDVHSANLPAGSSFDLTIQSFDSDIREGASRRNDVDRSSCDAFENTIKRALRYQPTVVPAHVGDSPVASQLAQLAAVQASQHTQTAAEASQPTPPAPPAPEAVPAHARDSPVASQLAQLAAVQASQHTQTAAEASQPTPPAPPAPEVSGRNATPLNLFP >DRNTG_26035.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1654720:1665811:1 gene:DRNTG_26035 transcript:DRNTG_26035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVESSDGFSFDIQARPNDSVADVKWNIGKRCGQTLYPVQDQKLKYGEKVLKDDTTLKDNNIRDNCSIYLTRVIPPRPSRVSSTTTSTTTVVPSSNSVPPSSTNPDSTPSPLTTVTANLDVHSANLPAGSSFDLTIQSFDSDIREGASRRNDVDRSSCDAFENTIKRALRYQPTVVPAHVGDSPVASQLAQLAAVQASQHTQTAAEASQPTPPAPPAPEAVPAHARDSPVASQLAQLAAVQASQHTQTAAEASQPTPPAPPAPEGIPTRHRVLAQIAAVQASQLVQAASEASQPATPPYKYKGTPARARLLMHLAAGQAPRCKQTAAQASQPAPPAPAAAEGLPACARVSLVACPQTSSSAADAPLLPSLVSQLAAAQASQPAPPAPKVSEVNATPLNLFQDIHYRGLDHVKDNPQLRASRALVQANPHILEPMLEELGRQNPDVRQSIQDNLAEFMQDMLQLSQRPVGNLTNQSADAIPQEITFTLEEREAIDRLEAMGFDRKMVVQVFIACNKDEALAAHSLLNNHD >DRNTG_26120.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001348.1:44497:50802:1 gene:DRNTG_26120 transcript:DRNTG_26120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFKEELANCSEFALELTSGANIFVNDAFSVSHKILASTVGVTRSCYASVAGFHFEEQSSLLLEIVENKNHPYIAIIGGRSLLEKASALNYLASKCDGLIFVGVLAFQIMHALGLHVPSCFLEHNAVGEALKLIHLAQDRNIPIYFPNDFWYVKDCNPELLEVFPSNAILPGWTPVDLGPLSLKDIACLLLKCKKILWIGPVKLWFIKTWCPWTLRVGFNT >DRNTG_26120.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001348.1:47309:50802:1 gene:DRNTG_26120 transcript:DRNTG_26120.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLESASVVWEFLARRSLPGAAALDRAYPFNLDWNTIFACPKRPLIVDIGSGNGLFILKMGRTWRDSNFLGLEINRKLVKRCLNNVLESGARNMHFISTNATSTFHSVVSSYPGDLAIVSIQCPNPDFNKEEYRWRMVQRSLVEAIIDLLAVNGKIFLQSDIETVAAKMKDQFLQYGKDKLAFEGDDDNEWIKENPFGVCSDWEQHVLDRGDPMFRIMLRKVR >DRNTG_26120.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001348.1:43210:50802:1 gene:DRNTG_26120 transcript:DRNTG_26120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLESASVVWEFLARRSLPGAAALDRAYPFNLDWNTIFACPKRPLIVDIGSGNGLFILKMGRTWRDSNFLGLEINRKLVKRCLNNVLESGARNMHFISTNATSTFHSVVSSYPGDLAIVSIQCPNPDFNKEEYRWRMVQRSLVEAIIDLLAVNGKIFLQSDIETVAAKMKDQFLQYGKDKLAFEGDDDNEWIKENPFGVCSDWEQHVLDRGDPMFRIMLRKVR >DRNTG_26120.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001348.1:44132:50802:1 gene:DRNTG_26120 transcript:DRNTG_26120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLESASVVWEFLARRSLPGAAALDRAYPFNLDWNTIFACPKRPLIVDIGSGNGLFILKMGRTWRDSNFLGLEINRKLVKRCLNNVLESGARNMHFISTNATSTFHSVVSSYPGDLAIVSIQCPNPDFNKEEYRWRMVQRSLVEAIIDLLAVNGKIFLQSDIETVAAKMKDQFLQYGKDKLAFEGDDDNEWIKENPFGVCSDWEQHVLDRGDPMFRIMLRKVR >DRNTG_26120.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001348.1:44996:50802:1 gene:DRNTG_26120 transcript:DRNTG_26120.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHALGLHVPSCFLEHNAVGEALKLIHLAQDRNIPIYFPNDFWYVKDCNPELLEVFPSNAILPGWTPVDLGPLSLKDIACLLLKCKKILWIGPVKLWFIKTWCPWTLRVGFNT >DRNTG_26120.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001348.1:50192:51013:1 gene:DRNTG_26120 transcript:DRNTG_26120.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQIFLQSDIETVAAKMKDQFLQYGKDKLAFEGDDDNEWIKENPFGVCSDWEQHVLDRGDPMFRIMLRKVR >DRNTG_26120.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001348.1:43210:50802:1 gene:DRNTG_26120 transcript:DRNTG_26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFKEELANCSEFALELTSGANIFVNDAFSVSHKILASTVGVTRSCYASVAGFHFEEQSSLLLEIVENKNHPYIAIIGGRSLLEKASALNYLASKCDGLIFVGVLAFQIMHALGLHVPSCFLEHNAVGEALKLIHLAQDRNIPIYFPNDFWYVKDCNPELLEVFPSNAILPGWTPVDLGPLSLKDIACLLLKCKKILWIGPVKLWFIKTWCPWTLRVGFNT >DRNTG_26120.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001348.1:50192:50802:1 gene:DRNTG_26120 transcript:DRNTG_26120.8 gene_biotype:protein_coding transcript_biotype:protein_coding IFLQSDIETVAAKMKDQFLQYGKDKLAFEGDDDNEWIKENPFGVCSDWEQHVLDRGDPMFRIMLRKVR >DRNTG_26843.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1878476:1886090:-1 gene:DRNTG_26843 transcript:DRNTG_26843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGWQVGVSDKFCLNAEQKLTNGELQHVGTRAPVVEYISSLIQWLCAVVEAGEKDLSEACRNSFVHGVLLTLRYTFEELDWNSEIVLSSGSEMRCLLEELLELVMRITSLALWVVSADALSIPYDMDDMVEDDCFLSEVPVEMDGPESLSELADVVSKTEDAVRPAEQVVMVGCWLAMKEVSLLLGTIIRKIPLPSCSQSDKLNSDFYHSNGDAIHMISSPDAILDLKQLEIMGNHFLQVLLKMKHNGAIDKTRAGFTALCNRLLCSNDPRLCKMTESWMEQLMERTIAKGQTVDDLLRRSAGIPAAFIAFFLSEPEGTPKKLLPWAMGFLINVANASMCPKSEDGKQDGTVLLEDYMPNLDGIPSENINKEVDVSCKSSKSRDEGVVPTVHAFNVLRAAFNDTNLATDTSGFCAEALIISISAFSSPYWEIRNSACLAYTALVRRMIGFLNVQKRESARRALTGLEFFHRYPALHPFLLSELKIATELLGDGHSRRVESRIAKAIHPSLCPILILLSRLKPSLISSETEDALDPFLLMPYIQRCATQSNLRVRVLASRALTGLVSNEKLPSVFSEIVYCLPYGENLMNGHETEASRRISFNSIHGVLLQLFSLLDINCKNLPDNSKKDQIIGDLIQVLLKCAWIGSMKTCPCPTLNTSYLCVLDKMLGIARLYAGSQHTTAIRKLLLELSAEYLVMEISPALAWHDPTKDDLRRQAVSSYFSCRFGGNTEDLEERFNFQRCSQPLPSVSDMLMNEISVSSLQEQVMLCLSDAAYEVRIAILKKLFHLVQCLKPDSANDIYHIWAENHLQSTVMKRLDVEENPKYWSI >DRNTG_09188.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7558525:7560325:1 gene:DRNTG_09188 transcript:DRNTG_09188.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPMKIQPIDSRTAARTTDSVKPAQKSRLKRLFERQFPGVLKIAPAEKLVGETREKDRDDGEPEPSSLCLAKMVQNFIEETATNEKSARCGRRRCNCFNGNCSDSDDEDLDFYYADAVPPPTTGDTADILKGLVPCASVAERNLLADASKIVEESKIGKSKDDCRRILTDGLRSLGYDASICKSRWEKSPSIPAGTPIPSHDLIVLLFHHY >DRNTG_09188.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7558525:7560325:1 gene:DRNTG_09188 transcript:DRNTG_09188.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPMKIQPIDSRTAARTTDSVKPAQKSRLKRLFERQFPGVLKIAPAEKLVGETREKDRDDGEPEPSSLCLAKMVQNFIEETATNEKSARCGRRRCNCFNGNCSDSDDEDLDFYYADAVPPPTTGDTADILKGLVPCASVAERNLLADASKIVEESKIGKSKDDCRRILTDGLRSLGYDASICKSRWEKSPSIPAGEYEYVDVIVNGERLLVDVDFRSEFEIARSTKGYRVVLQSLPSIFVGTADRLRQIVAVVSEAARVSLKKKGLHIPPWRKPDYMAAKWLSPYHRATDRDPDEHVNPAPIDPIPPVHFSADLDDEKTTSAASPSPWQPPAVKPRPASGSGAKVVTGLASVLGDEP >DRNTG_09188.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7558525:7561893:1 gene:DRNTG_09188 transcript:DRNTG_09188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPMKIQPIDSRTAARTTDSVKPAQKSRLKRLFERQFPGVLKIAPAEKLVGETREKDRDDGEPEPSSLCLAKMVQNFIEETATNEKSARCGRRRCNCFNGNCSDSDDEDLDFYYADAVPPPTTGDTADILKGLVPCASVAERNLLADASKIVEESKIGKSKDDCRRILTDGLRSLGYDASICKSRWEKSPSIPAGEYEYVDVIVNGERLLVDVDFRSEFEIARSTKGYRVVLQSLPSIFVGTADRLRQIVAVVSEAARVSLKKKGLHIPPWRKPDYMAAKWLSPYHRATDRDPDEHVNPAPIDPIPPVHFSADLDDEKTTSAASPSPWQPPAVKPRPASGSGAKVVTGLASVLGDEP >DRNTG_09188.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7558104:7560325:1 gene:DRNTG_09188 transcript:DRNTG_09188.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPMKIQPIDSRTAARTTDSVKPAQKSRLKRLFERQFPGVLKIAPAEKLVGETREKDRDDGEPEPSSLCLAKMVQNFIEETATNEKSARCGRRRCNCFNGNCSDSDDEDLDFYYADAVPPPTTGDTADILKGLVPCASVAERNLLADASKIVEESKIGKSKDDCRRILTDGLRSLGYDASICKSRWEKSPSIPAGEYEYVDVIVNGERLLVDVDFRSEFEIARSTKGYRVVLQSLPSIFVGTADRLRQIVAVVSEAARVSLKKKGLHIPPWRKPDYMAAKWLSPYHRATDRDPDEHVNPAPIDPIPPVHFSADLDDEKTTSAASPSPWQPPAVKPRPASGSGAKVVTGLASVLGDEP >DRNTG_33199.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:341814:345819:1 gene:DRNTG_33199 transcript:DRNTG_33199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWGGLEPNAPGTKKYNPRLVIPNQSPGNLAHIRDFSMDDGMQIYGVSMIDPEKIFEFYDDLHSYLVSQNVDGVKVDVQNILETIAAGYGGRASLTQWFHQNLERSIAKNFQDNSIICCMCQSNDSIYSAKVSAVARASDDYMPRDASSQTLHIATVAFNSLFLGEIMVPDWDMFYSRHYAAEFHAAARALGGCGVYISDKPGEHDFSLLKKLVLPDGSVLRAKYPGRPTRDCLFSDPVMDGKSLLKIWNLNSLSGVIGIFNCQGAGTWPGMVSNEDGNSSDRILTGSVRPVDIEFLENIAGKDWTGDSAVYSFNAGSLSRLSKNETVTVSLKVLECELFTISPIKKYSSNIEFAPIGLIKMYNSGGAVESIDSDLRDNNSIIQLSIRGRGSGLFGAFSSAKPKSCKLNSKEQEFSFIVDDNFLTFMIPPSDSSWKIDVSF >DRNTG_20378.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:114301:115882:-1 gene:DRNTG_20378 transcript:DRNTG_20378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEPLHVLFFPFLARSHMIPMLETARLFSDNGIKTSIVTTPANADLIKPLLHHHPSISLHLIPFPSTTFNLPSGCENLTVLPLHLANNFFSAVFSLRDPLSHLLSSLHPDAIISDALYTWTTELAGEFHIPRVIFQVTGLFPLSAANDLDLHRPYNSISNDSELFSIPGFPHTVHLTRSQLPEVFSFPPMLGWLREAELSSHAVIVNSFSALEPDYAVHYSNVAPREVFLLGPVAIAGQPEKKEKKAEPCLEWLDEKDDGSVVYVGFGTLSRFTAEQIKELAFGLENSGEGFVWAVGSGEVVEWIPEGFEKRVQGRGLVLKGWVPQTEILNHRAIGGFVCHCGWNSVMEAVVAGVPVITWPLHSEQFVIEKWICEVVKMGIPAWEGFKSVKDEEKVVFPATAVAAAVKKLMGSGEEVVEIRKRVAELAKLASIAVAEGGTSKEDLSRLIDGLVAWRDNRRPIK >DRNTG_03182.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5819117:5819630:1 gene:DRNTG_03182 transcript:DRNTG_03182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKSSPNDENVTARKGPRLDRTRLVQGSRAGRAAERAKGPLTGDGDRGKGPATGD >DRNTG_16699.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22145365:22151181:1 gene:DRNTG_16699 transcript:DRNTG_16699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRTGELLTEEQANTGVFYWQLRNPPISWSKDHRTYSCNNNWDRITMEIRFNYNLQRASHNYSVAVKGKYAQYPVMLILRSEYRLSYDLQPIQLVFPQLTEIAWAKLTGKCLKIDHCKIEYNPQAEFRIPIDCPIDLHYYSASYFSVNDPIPWRAYFRVEDSNIKKHEESVFRMLRVASSADRDDEDLSYANLFIE >DRNTG_04989.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4241667:4245564:1 gene:DRNTG_04989 transcript:DRNTG_04989.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHSSSSSSSSSSSSSSSSSSSHGQPLYLKGCFVNLLPYLENQSARILQRWMTGKQLSELFDLGLQTEIVSFICDRL >DRNTG_35226.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8964720:8971759:-1 gene:DRNTG_35226 transcript:DRNTG_35226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRGGGRGGGGGRGGGRRGGGRGQGRQGYTGSDVRPHFQPSAPAPVAPQRPRPPTDLPASSSQEAGLSEELGGKLVIGETASGSRAPPVAQDAPPSKLDVVPVPVSSRPMKFPARPGFGTAGRKCLVRANHFLVDVADKDLYHYDVSINPEITIRSVSRQVISTLVKLYGESHLGRRIPVYDGRKSMYTAGALPFTSKDFVVKLIDNEKKGKTKKEREFKVTIKLASRPDLYNLREFLRGKQLDSPQETIQVLDVVLRESPSLNYVTVARSFFSPSFGPQLELGGGLEAWKGYYQSLRPTQMGLSLNIDISSTSFYKAVSVAQFVAEYLQINDVTRPLSESDRVKMKRVLRGLKVDTSHTPRRYKITGISPAPMNQLRFLDEKGTEITVVQYFREKYNVNLRHLNWPCLQAGSDTRPTYLPLEVCKISEGQRYSRKLNEQQVRSLLKSTCQRPADRERSILDTVGRNNYNRDIYAKEFGIRVMNQLANVEARVLPPPRLKYHESGRDKVVDPEVGQWNMINKKMVNGGIVENWTCVNFSRLKDEVFQYCREQVQMCNTIGMTFNEYPVIPIGSARMDRIDAVLKDIHAQAREAIARKRGSVKHLQLLIVVLPDVKGFYGRIKTICETELGIVSQCCLPRNISRCNKQYLENVAQKINVKVGGRNNVLEDAINKRIPLVTDFPTIIFGADVTHPAPGEEGSASIAAVVASMDWPEVTKYRALVSAQERRQEMIKDLFTVTQDPQKGTLFGGMIREHLIAFRRATNRKPHRIIFYRDGVSEGQFSDVLLHEMHDIRRACASLEEGYLPPVTFVVVQKRHHTRLFPEFHGNRNLTDRSGNILPGTVVDTKICHPTEFDFYLCSHSGIQGTSRPTHYHVLLDENKFSADGLQKLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARYYIEGESSDGRTSPAAGGGTSSRQRIPDVRPLPKIMDNVKDVMFYC >DRNTG_19125.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29630392:29633076:1 gene:DRNTG_19125 transcript:DRNTG_19125.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TAFII21 [Source:Projected from Arabidopsis thaliana (AT1G54140) UniProtKB/TrEMBL;Acc:A0A178W640] MEADIGGEAPAAPAEEPRDARVVRELLRSMGIGEGEYESRVVLQFLELMYRYVVDVLGDAQAYAEHAGKTSLDPDDVRLAIQSKVNFSFSQPPPREVLLELARSRNKIPLPKSIAPPGSIPLPPEQDTLISPNYQLLIPKKQSGDVDEMEEDEDVPNPNPNANLNPSQDLRTGNDQQQPPQTPQRVSFPLNAVAAHVAAAKRPR >DRNTG_24598.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28779995:28784399:1 gene:DRNTG_24598 transcript:DRNTG_24598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDHPRATASSRRFRSWRRRTLAAALLATTIAIILTCAIPYLFFSPPPTLVSFHPSFNSSRDARQRVSLPAPLVSLPKPLSPPPARRSSDVKFAGNDHLKIRCSIVADLPAMSPDEQLLYARLEIENPENAPLDSEDADNELYAPLFRNVSVFRRSYQLMEKILKIYIYPDGKRPIFHTPNLRGIYASEGWFLKLMEENKKFVVKDPTKAHLFYLPYSSRQLELAIYVPESHDLKPLSHFLKDYVNNISSKYSFWNRTKGADHFLVACHDWGPYTTTAHEEFRKNTMKALCNADVSEGIFVRGKDVSLPETTIRTPRKPLRFLGGRPVSQRSILAFFAGNMHGRVRPVLLKYWEKDKDMRIYGPLPNRVAREMSYIHHMKTSRFCICPMGYEVNSPRIVEAIYYECVPVIIADNFVPPLDEVLDWNAFSVIIAEKDIPKMKEILLGISLRKYVSMHDNVKRLQKHFLWHNKPEKYDLFHMILHSLWFNRLNQVQLQQ >DRNTG_24598.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28780421:28784399:1 gene:DRNTG_24598 transcript:DRNTG_24598.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDEQLLYARLEIENPENAPLDSEDADNELYAPLFRNVSVFRRSYQLMEKILKIYIYPDGKRPIFHTPNLRGIYASEGWFLKLMEENKKFVVKDPTKAHLFYLPYSSRQLELAIYVPESHDLKPLSHFLKDYVNNISSKYSFWNRTKGADHFLVACHDWGPYTTTAHEEFRKNTMKALCNADVSEGIFVRGKDVSLPETTIRTPRKPLRFLGGRPVSQRSILAFFAGNMHGRVRPVLLKYWEKDKDMRIYGPLPNRVAREMSYIHHMKTSRFCICPMGYEVNSPRIVEAIYYECVPVIIADNFVPPLDEVLDWNAFSVIIAEKDIPKMKEILLGISLRKYVSMHDNVKRLQKHFLWHNKPEKYDLFHMILHSLWFNRLNQVQLQQ >DRNTG_20208.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001100.1:29805:33816:1 gene:DRNTG_20208 transcript:DRNTG_20208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLINLILMILENLCLENMGMNNWRVSNLEMSRTDLGKGRVISFTGDSFSCWIVQCAQWLAHVVIGSCSLVGKLFLLPSNSQSKQVDGIIQLPLSPLREERLRNLRQRLEVSFDNTCLDHQDSLKQLWMLAFPDRELPPLKSELWKEMGWQGTDPSTDFRGGGLISLENLIFFAKNYPASFQRLLNKQDGKRADWEYPFAVAGVNLSFMLVQMLDLQSNNEKPNSKAGALFLELLRSDEMAFDELYCVAFQLLDTKWLAMRASYMEFNEVLKSTRAQLEQELALDSISSVHDLPAYNMLR >DRNTG_20208.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001100.1:29805:33816:1 gene:DRNTG_20208 transcript:DRNTG_20208.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLINLILMILENLCLENMGMNNWRVSNLEMSRTDLGKGRVISFTGDSFSCWIVQCAQWLAHVVIGSCSLVGKLFLLPSNSQSKQVDGIIQLPLSPLREERLRNLRQRLEVSFDNTCLDHQDSLKQLWMLAFPDRELPPLKSELWKEMGWQGTDPSTDFRGGGLISLENLIFFAKNYPASFQRLLNKQDGKRADWEYPFAVAGVNLSFMLVQMLDLQSNNEKPNSKAGALFLELLRSDEMAFDELYCVAFQLLDTKWLAMRASYMEFNEVLKSTRAQLEQELALDSISSVHDLPAYNMLR >DRNTG_20208.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001100.1:31050:33816:1 gene:DRNTG_20208 transcript:DRNTG_20208.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFPDRELPPLKSELWKEMGWQGTDPSTDFRGGGLISLENLIFFAKNYPASFQRLLNKQDGKRADWEYPFAVAGVNLSFMLVQMLDLQSNNEKPNSKAGALFLELLRSDEMAFDELYCVAFQLLDTKWLAMRASYMEFNEVLKSTRAQLEQELALDSISSVHDLPAYNMLR >DRNTG_06517.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16311401:16315471:-1 gene:DRNTG_06517 transcript:DRNTG_06517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTNKATADERKEVSLMVLREVENQIIGNKMKKFGYLYLSVMPHIIVAVVSAHYPNVIVQAMAAYGMDEGAKILIDEAMDSIKQGKGFIGKINHNQSNRFPSMKDVTLQECWWCTKHCCEWGRCDGPDLIVDYGGNMTLLIHESVKAEEELEMKRNCGIFFGPQCHRRP >DRNTG_05363.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8605230:8608888:1 gene:DRNTG_05363 transcript:DRNTG_05363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDEAGCQAPESPIPCVKNCGFFGSAATMNMCSKCHKIHVLKQEQAKLAAASIETIVNEGNNSTGKEPIVTSVVDVAASSVEMNTVSIQSLDASVVSEAVEPKVKEGPKRCNTCRKRVGLTGFNCRCGNLFCSVHRYSDKHNCQFDYRTAARDAIAKANPLIKPEKLDKI >DRNTG_05363.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8604968:8606962:1 gene:DRNTG_05363 transcript:DRNTG_05363.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDEAGCQAPESPIPCVKNCGFFGSAATMNMCSKCHKIHVLKQEQAKLAAASIETIVNEGNNSTGKEPIVTSVVDVAASSVEMNTVSIQSLDASVVSEAVEPKVKEGPKRCNTCRKRVGLTGFNCRCGNLFCSVHRYSDKHNCQFDYRTAARDAIAKANPLIKPEKLDKI >DRNTG_05363.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8605230:8607509:1 gene:DRNTG_05363 transcript:DRNTG_05363.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDEAGCQAPESPIPCVKNCGFFGSAATMNMCSKCHKIHVLKQEQAKLAAASIETIVNEGNNSTGKEPIVTSVVDVAASSVEMNTVSIQSLDASVVSEAVEPKVKEGPKRCNTCRKRVGLTGFNCRCGNLFCSVHRYSDKHNCQFDYRTAARDAIAKANPLIKPEKLDKI >DRNTG_05363.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8605230:8607092:1 gene:DRNTG_05363 transcript:DRNTG_05363.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDEAGCQAPESPIPCVKNCGFFGSAATMNMCSKCHKIHVLKQEQAKLAAASIETIVNEGNNSTGKEPIVTSVVDVAASSVEMNTVSIQSLDASVVSEAVEPKVKEGPKRCNTCRKRVGLTGFNCRCGNLFCSVHRYSDKHNCQFDYRTAARDAIAKANPLIKPEKLDKI >DRNTG_05363.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8604968:8607509:1 gene:DRNTG_05363 transcript:DRNTG_05363.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDEAGCQAPESPIPCVKNCGFFGSAATMNMCSKCHKIHVLKQEQAKLAAASIETIVNEGNNSTGKEPIVTSVVDVAASSVEMNTVSIQSLDASVVSEAVEPKVKEGPKRCNTCRKRVGLTGFNCRCGNLFCSVHRYSDKHNCQFDYRTAARDAIAKANPLIKPEKLDKI >DRNTG_05363.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8605230:8606962:1 gene:DRNTG_05363 transcript:DRNTG_05363.11 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDEAGCQAPESPIPCVKNCGFFGSAATMNMCSKCHKIHVLKQEQAKLAAASIETIVNEGNNSTGKEPIVTSVVDVAASSVEMNTVSIQSLDASVVSEAVEPKVKEGPKRCNTCRKRVGLTGFNCRCGNLFCSVHRYSDKHNCQFDYRTAARDAIAKANPLIKPEKLDKI >DRNTG_05363.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8605230:8607009:1 gene:DRNTG_05363 transcript:DRNTG_05363.10 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDEAGCQAPESPIPCVKNCGFFGSAATMNMCSKCHKIHVLKQEQAKLAAASIETIVNEGNNSTGKEPIVTSVVDVAASSVEMNTVSIQSLDASVVSEAVEPKVKEGPKRCNTCRKRVGLTGFNCRCGNLFCSVHRYSDKHNCQFDYRTAARDAIAKANPLIKPEKLDKI >DRNTG_05363.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8604968:8607234:1 gene:DRNTG_05363 transcript:DRNTG_05363.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDEAGCQAPESPIPCVKNCGFFGSAATMNMCSKCHKIHVLKQEQAKLAAASIETIVNEGNNSTGKEPIVTSVVDVAASSVEMNTVSIQSLDASVVSEAVEPKVKEGPKRCNTCRKRVGLTGFNCRCGNLFCSVHRYSDKHNCQFDYRTAARDAIAKANPLIKPEKLDKI >DRNTG_05363.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8604968:8607009:1 gene:DRNTG_05363 transcript:DRNTG_05363.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDEAGCQAPESPIPCVKNCGFFGSAATMNMCSKCHKIHVLKQEQAKLAAASIETIVNEGNNSTGKEPIVTSVVDVAASSVEMNTVSIQSLDASVVSEAVEPKVKEGPKRCNTCRKRVGLTGFNCRCGNLFCSVHRYSDKHNCQFDYRTAARDAIAKANPLIKPEKLDKI >DRNTG_05363.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8605230:8607897:1 gene:DRNTG_05363 transcript:DRNTG_05363.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDEAGCQAPESPIPCVKNCGFFGSAATMNMCSKCHKIHVLKQEQAKLAAASIETIVNEGNNSTGKEPIVTSVVDVAASSVEMNTVSIQSLDASVVSEAVEPKVKEGPKRCNTCRKRVGLTGFNCRCGNLFCSVHRYSDKHNCQFDYRTAARDAIAKANPLIKPEKLDKI >DRNTG_05363.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8605230:8607234:1 gene:DRNTG_05363 transcript:DRNTG_05363.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDEAGCQAPESPIPCVKNCGFFGSAATMNMCSKCHKIHVLKQEQAKLAAASIETIVNEGNNSTGKEPIVTSVVDVAASSVEMNTVSIQSLDASVVSEAVEPKVKEGPKRCNTCRKRVGLTGFNCRCGNLFCSVHRYSDKHNCQFDYRTAARDAIAKANPLIKPEKLDKI >DRNTG_16338.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12885108:12885749:-1 gene:DRNTG_16338 transcript:DRNTG_16338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNLGLPSGHMRCVNLSAASKAGASSTRRLSKVMSGRLPMRSPKETWGCCPF >DRNTG_10038.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1788221:1788631:-1 gene:DRNTG_10038 transcript:DRNTG_10038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVFSTRTKSNVFSQGTIRVCYAGKSTMAILRVSSSESILNFLGFLNSDLINCALGETSISLLINENGNTKGNSVCKKDRS >DRNTG_34564.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1437854:1438400:-1 gene:DRNTG_34564 transcript:DRNTG_34564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEILVNDRHRSAGRRRGLTGTGILAGKDPGKPASSPA >DRNTG_05580.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000256.1:50224:50530:-1 gene:DRNTG_05580 transcript:DRNTG_05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding HVVLFECTWFDPINGTKVHPIYKLVDINRKRIYPKYDPFVLAQQAIQVNYIDYPSTKKDKVDWLAVSKTKARRMVEATWPEKDGSAYQMEEIQSLTGVNVM >DRNTG_30181.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5571492:5578656:1 gene:DRNTG_30181 transcript:DRNTG_30181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDFQTIPIIDIGPLVDKADDPKMAEDKQVLEVVRLLDEACKMAGFFYVKGHGIPYSLIEEVRELTHKFFTLPYEEKIKIKMTPASGYRGYQRVGENITKGKPDMHEAIDCYKPMKHGEYGNLGTPLEGSNLWPDNPLNFKSLMEEYIDLLKDLSRKIMRGIALALGGLPESFEGELAGNPFWVTRLIGYPVLSDAKRSEMQDSDVGCGAHTDYGLLTLVNQDPNVNALQVRNRAGEWISAVPIPGTFVCNIGDMLKIWSNGIYESTLHRVINNSPTYRVSIAFFYEPNFNACIEPVNFCKEKVNGVATVEKAVYGEHLVKKVLTNFVM >DRNTG_30181.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5571492:5578656:1 gene:DRNTG_30181 transcript:DRNTG_30181.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYIDLLKDLSRKIMRGIALALGGLPESFEGELAGNPFWVTRLIGYPVLSDAKRSEMQDSDVGCGAHTDYGLLTLVNQDPNVNALQVRNRAGEWISAVPIPGTFVCNIGDMLKIWSNGIYESTLHRVINNSPTYRVSIAFFYEPNFNACIEPVNFCKEKVNGVATVEKAVYGEHLVKKVLTNFVM >DRNTG_14799.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29894496:29900113:-1 gene:DRNTG_14799 transcript:DRNTG_14799.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSVAGAAFLIASRPRLLYSLPSISSALSSTLPRTSPIPSTSPSPSLVPFPLFSSKTQWRCFRIPTLCSQSPGPFSGFFATLRGFRKLRRRPAVKKQPPQEKQVELKVNICIEEQMPDDPEILSIAEMLRLNVPMAMKIALDGLKDSEYKTRDTSINDVGKYEKIEISLLLCNDNFISKLNKEWRGEDCATDVLSMPQHIPELDIPILMLGDIIISVETAARKAEARGQILIDEIRMLMIHGLLHLLGFDDEISDEAEAEMEKEEELVLKSLGWKGKGLIKSARDVNVRQIQAGRSDGNAINETERAGSLRFYKPKFRYIFCNMDGKLG >DRNTG_14799.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29894496:29900113:-1 gene:DRNTG_14799 transcript:DRNTG_14799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSVAGAAFLIASRPRLLYSLPSISSALSSTLPRTSPIPSTSPSPSLVPFPLFSSKTQWRCFRIPTLCSQSPGPFSGFFATLRGFRKLRRRPAVKKQPPQEKQVELKVNICIEEQMPDDPEILSIAEMLRLNVPMAMKIALDGLKDSEYKTRDTSINDVGKYEKIEISLLLCNDNFISKLNKEWRGEDCATDVLSMPQHIPELDIPILMLGDIIISVETAARKAEARGQILIDEIRMLMIHGLLHLLGFDDEISDEAEAEMEKEEELVLKSLGWKGKGLIKSARDVNVRQIQAGRSDGNAINETERAGSLRFYKPKFRYIFCNMDGTLLNSRSQISTENAEALREAISRGVNIVFATGKTRPAVISALKVVSLVGKGGVVSEVSPGIFLQGSLVYGRQGGEIFRRSLGQNICREAFLYSLEHEVPLIAFSQDRCLTLFEHPLVDSLHLDYHEPKAEVMPSVEHLLATADIQKLVFLDTVEGISSTLRPYFIKATEGWAGIVQAQPGMLEIVPAGISKGTGVKMLLDHLGIPAKEIMAIGDGENDVEMLQLASLAVALENGSDQAKAVADVIGESNNEHGVAKAIYQYAF >DRNTG_14799.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29894496:29900113:-1 gene:DRNTG_14799 transcript:DRNTG_14799.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSVAGAAFLIASRPRLLYSLPSISSALSSTLPRTSPIPSTSPSPSLVPFPLFSSKTQWRCFRIPTLCSQSPGPFSGFFATLRGFRKLRRRPAVKKQPPQEKQVELKVNICIEEQMPDDPEILSIAEMLRLNVPMAMKIALDGLKDSEYKTRDTSINDVGKYEKIEISLLLCNDNFISKLNKEWRGEDCATDVLSMPQHIPELDIPILMLGDIIISVETAARKAEARGQILIDEIRMLMIHGLLHLLGFDDEISDEAEAEMEKEEELVLKSLGWKGKGLIKSARDVNVRQIQAGRSDGMFWVMSPRFANSTHD >DRNTG_14799.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29895876:29900113:-1 gene:DRNTG_14799 transcript:DRNTG_14799.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSVAGAAFLIASRPRLLYSLPSISSALSSTLPRTSPIPSTSPSPSLVPFPLFSSKTQWRCFRIPTLCSQSPGPFSGFFATLRGFRKLRRRPAVKKQPPQEKQVELKVNICIEEQMPDDPEILSIAEMLRLNVPMAMKIALDGLKDSEYKTRDTSINDVGKYEKIEISLLLCNDNFISKLNKEWRGEDCATDVLSMPQHIPELDIPILMLGDIIISVETAARKAEARGQILIDEIRMLMIHGLLHLLGFDDEISDEAEAEMEKEEELVLKSLGWKGKGLIKSARDVNVRQIQAGRSDGNAINETERAGSLRFYKPKFRYIFCNMDGTLLNSRSQISTENAEALREAISRGVNIVFATGKTRPAVISALKVVSLVGKGGVVSEVSPGIFLQGSLVYGRQGGEIFRRSLGQNICRE >DRNTG_14799.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29894496:29900113:-1 gene:DRNTG_14799 transcript:DRNTG_14799.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSVAGAAFLIASRPRLLYSLPSISSALSSTLPRTSPIPSTSPSPSLVPFPLFSSKTQWRCFRIPTLCSQSPGPFSGFFATLRGFRKLRRRPAVKKQPPQEKQVELKVNICIEEQMPDDPEILSIAEMLRLNVPMAMKIALDGLKDSEYKTRDTSINDVGKYEKIEISLLLCNDNFISKLNKEWRGEDCATDVLSMPQHIPELDIPILLEKLRQEAKFLLMKFGCS >DRNTG_32538.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20159918:20161351:1 gene:DRNTG_32538 transcript:DRNTG_32538.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQWLPLFTIFLNSPSPENEASLWFQQGLQLHPSPSSFLSLLLSPPSRSPNSIFFLTLPSFVQARILSFFAYDRRRFSPSILRILAGNVVRSSGSDFWVLRSARNLLDVLPLDDCQSLEPSREVEAEECGDVFYSLPHWLCDHAASTTPLLPWLPLSREHYHSSLQVKGRTFRNNAPRNMDFWPESVGNNQDDEIEISSPSLDVLGREKAAALKGGILALDSTAKAMHIAGEIRKLCVDYGTNNRSAILSLIEPWKADDETLSVLLFSLSNENDTSATSWSAHLLCSFVLPKYLVLNSPASRVLLSVTIGFCKLHPTAAVDAFLFPLTLRKEGINVVLCDVLSRIIRECLHPSHVSAFCQRLVCAEEDDDKECICLPCHRDCMSNELVWTESLFVLFQHILNFDVWLTPDTVDKLVSVISEKADKFSNSLKFGNFLLCFVMKCGNISKMHKDSLEKAVNHTDTFVTKSILSKLRDL >DRNTG_16354.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7757080:7757521:-1 gene:DRNTG_16354 transcript:DRNTG_16354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPVPSRDGESSSAKICTGLQKLSTPVRSSQGRPHGGRRTPLRLLWMSSQCKSTFMQKYHTPMHFPWMP >DRNTG_03343.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5918512:5920406:1 gene:DRNTG_03343 transcript:DRNTG_03343.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHGCQLGLVEFHNSRNCSGNNVDEYCSTDTFYGQNGSDLHGLHAPAWSPYGPNGLTSTSSEEARSHVLEKGNQREKLGENLPKTPLAWMASRCLQPPRNVALEVDPPISCSPHREKLEFPPFLPSSSSGSRWGGSASLTLTVSCPKKMMIPEKKLPKKKPSKPFKPSGLDSIPSGNAVLIPNSSPIASPKFPDFY >DRNTG_31696.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2151020:2153406:-1 gene:DRNTG_31696 transcript:DRNTG_31696.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRMPLLPYVKASEAASEVASDNSLTKSEHSSSTGENVQKSAFPNGFERLILELCDETTVAELKLKIGGFEMYVRRDISSSIAQIPTIPPNALPIQAPPIPSKPMSELGPLAPPAVPQKPPRTPSSPFINVPSAKDAKLAALEDSGAKTYVIVASPTVGSFQRARTLKGKRQPPSCKEGDMIKEGQVIG >DRNTG_31696.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2151020:2153406:-1 gene:DRNTG_31696 transcript:DRNTG_31696.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRMPLLPYVKASEAASEVASDTDSLTKSEHSSSTGENVQKSAFPNGFERLILELCDETTVAELKLKIGGFEMYVRRDISSSIAQIPTIPPNALPIQAPPIPSKPMSELGPLAPPAVPQKPPRTPSSPFINVPSAKDAKLAALEDSGAKTYVIVASPTVGSFQRARTLKGKRQPPSCKEGDMIKEGQVIG >DRNTG_20033.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001086.1:66422:67861:-1 gene:DRNTG_20033 transcript:DRNTG_20033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAVLRPGRLGKLLYVPLPTADERALILKALARKKPIAADVDFVAIAHREECNNLTGADLSALMNVAATAAVEEKLLSSELGTPDIGPSRIKTSHFEPALRKISPSVSKQQRSYYETSFSQNFNRLM >DRNTG_31384.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27363906:27366114:1 gene:DRNTG_31384 transcript:DRNTG_31384.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFFFSSDCLCVAMAKFGDREWYFFSPRDRKYPNGVRPNRAAASGYWKATGTDKPIHTSSSNVNIGVKKALVFYKGRPPKGLKTNWIMHEYRLAEAQSNSHTYRPMRLRDSSMRLDDWVLCRIYKKSNHISVDQPSMDREQAEDSSSEDVHLSTSSTANTVQPKQPLDLHKSSSLSELLNLLFDNPSEILGAEPAAAGGGGGGGGNYSGAIMGHSTNATSSNQAQFISNSSGNYNAYFYQRHTHNEQQLPAFLSDNSLKRQRPEDQGLMQPPKKLNSSCINPSFSNQFSGPQYNLLSHQPLLNQQILLDSHLRLH >DRNTG_31384.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27363906:27365981:1 gene:DRNTG_31384 transcript:DRNTG_31384.11 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFFFSSDCLCVAMAKFGDREWYFFSPRDRKYPNGVRPNRAAASGYWKATGTDKPIHTSSSNVNIGVKKALVFYKGRPPKGLKTNWIMHEYRLAEAQSNSHTYRPMRLRDSSMRLDDWVLCRIYKKSNHISVDQPSMDREQAEDSSSEDVHLSTSSTANTVQPKQPLDLHKSSSLSELLNLLFDNPSEILGAEPAAAGGGGGGGGNYSGAIMGHSTNATSSNQAQFISNSSGNYNAYFYQRHTHNEQQLPAFLSDNSLKRQRPEDQGLMQPPKKLNSSCINPSFSNQFSGPQYNLLSHQPLLNQQILLDSHLRLH >DRNTG_31384.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27363584:27365910:1 gene:DRNTG_31384 transcript:DRNTG_31384.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFFFSSDCLCVAMAKFGDREWYFFSPRDRKYPNGVRPNRAAASGYWKATGTDKPIHTSSSNVNIGVKKALVFYKGRPPKGLKTNWIMHEYRLAEAQSNSHTYRPMRLRDSSMRLDDWVLCRIYKKSNHISVDQPSMDREQAEDSSSEDVHLSTSSTANTVQPKQPLDLHKSSSLSELLNLLFDNPSEILGAEPAAAGGGGGGGGNYSGAIMGHSTNATSSNQAQFISNSSGNYNAYFYQRHTHNEQQLPAFLSDNSLKRQRPEDQGLMQPPKKLNSSCINPSFSNQFSGPQYNLLSHQPLLNQQILLDSHLRLH >DRNTG_31384.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27363555:27365910:1 gene:DRNTG_31384 transcript:DRNTG_31384.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFFFSSDCLCVAMAKFGDREWYFFSPRDRKYPNGVRPNRAAASGYWKATGTDKPIHTSSSNVNIGVKKALVFYKGRPPKGLKTNWIMHEYRLAEAQSNSHTYRPMRLRDSSMRLDDWVLCRIYKKSNHISVDQPSMDREQAEDSSSEDVHLSTSSTANTVQPKQPLDLHKSSSLSELLNLLFDNPSEILGAEPAAAGGGGGGGGNYSGAIMGHSTNATSSNQAQFISNSSGNYNAYFYQRHTHNEQQLPAFLSDNSLKRQRPEDQGLMQPPKKLNSSCINPSFSNQFSGPQYNLLSHQPLLNQQILLDSHLRLH >DRNTG_31384.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27363555:27366034:1 gene:DRNTG_31384 transcript:DRNTG_31384.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFFFSSDCLCVAMAKFGDREWYFFSPRDRKYPNGVRPNRAAASGYWKATGTDKPIHTSSSNVNIGVKKALVFYKGRPPKGLKTNWIMHEYRLAEAQSNSHTYRPMRLRDSSMRLDDWVLCRIYKKSNHISVDQPSMDREQAEDSSSEDVHLSTSSTANTVQPKQPLDLHKSSSLSELLNLLFDNPSEILGAEPAAAGGGGGGGGNYSGAIMGHSTNATSSNQAQFISNSSGNYNAYFYQRHTHNEQQLPAFLSDNSLKRQRPEDQGLMQPPKKLNSSCINPSFSNQFSGPQYNLLSHQPLLNQQILLDSHLRLH >DRNTG_31384.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27363700:27366213:1 gene:DRNTG_31384 transcript:DRNTG_31384.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFFFSSDCLCVAMAKFGDREWYFFSPRDRKYPNGVRPNRAAASGYWKATGTDKPIHTSSSNVNIGVKKALVFYKGRPPKGLKTNWIMHEYRLAEAQSNSHTYRPMRLRDSSMRLDDWVLCRIYKKSNHISVDQPSMDREQAEDSSSEDVHLSTSSTANTVQPKQPLDLHKSSSLSELLNLLFDNPSEILGAEPAAAGGGGGGGGNYSGAIMGHSTNATSSNQAQFISNSSGNYNAYFYQRHTHNEQQLPAFLSDNSLKRQRPEDQGLMQPPKKLNSSCINPSFSNQFSGPQYNLLSHQPLLNQQILLDSHLRLH >DRNTG_31384.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27363906:27366034:1 gene:DRNTG_31384 transcript:DRNTG_31384.10 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFFFSSDCLCVAMAKFGDREWYFFSPRDRKYPNGVRPNRAAASGYWKATGTDKPIHTSSSNVNIGVKKALVFYKGRPPKGLKTNWIMHEYRLAEAQSNSHTYRPMRLRDSSMRLDDWVLCRIYKKSNHISVDQPSMDREQAEDSSSEDVHLSTSSTANTVQPKQPLDLHKSSSLSELLNLLFDNPSEILGAEPAAAGGGGGGGGNYSGAIMGHSTNATSSNQAQFISNSSGNYNAYFYQRHTHNEQQLPAFLSDNSLKRQRPEDQGLMQPPKKLNSSCINPSFSNQFSGPQYNLLSHQPLLNQQILLDSHLRLH >DRNTG_31384.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27363454:27365910:1 gene:DRNTG_31384 transcript:DRNTG_31384.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFFFSSDCLCVAMAKFGDREWYFFSPRDRKYPNGVRPNRAAASGYWKATGTDKPIHTSSSNVNIGVKKALVFYKGRPPKGLKTNWIMHEYRLAEAQSNSHTYRPMRLRDSSMRLDDWVLCRIYKKSNHISVDQPSMDREQAEDSSSEDVHLSTSSTANTVQPKQPLDLHKSSSLSELLNLLFDNPSEILGAEPAAAGGGGGGGGNYSGAIMGHSTNATSSNQAQFISNSSGNYNAYFYQRHTHNEQQLPAFLSDNSLKRQRPEDQGLMQPPKKLNSSCINPSFSNQFSGPQYNLLSHQPLLNQQILLDSHLRLH >DRNTG_31384.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27363700:27365910:1 gene:DRNTG_31384 transcript:DRNTG_31384.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFFFSSDCLCVAMAKFGDREWYFFSPRDRKYPNGVRPNRAAASGYWKATGTDKPIHTSSSNVNIGVKKALVFYKGRPPKGLKTNWIMHEYRLAEAQSNSHTYRPMRLRDSSMRLDDWVLCRIYKKSNHISVDQPSMDREQAEDSSSEDVHLSTSSTANTVQPKQPLDLHKSSSLSELLNLLFDNPSEILGAEPAAAGGGGGGGGNYSGAIMGHSTNATSSNQAQFISNSSGNYNAYFYQRHTHNEQQLPAFLSDNSLKRQRPEDQGLMQPPKKLNSSCINPSFSNQFSGPQYNLLSHQPLLNQQILLDSHLRLH >DRNTG_31384.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27363555:27366213:1 gene:DRNTG_31384 transcript:DRNTG_31384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFFFSSDCLCVAMAKFGDREWYFFSPRDRKYPNGVRPNRAAASGYWKATGTDKPIHTSSSNVNIGVKKALVFYKGRPPKGLKTNWIMHEYRLAEAQSNSHTYRPMRLRDSSMRLDDWVLCRIYKKSNHISVDQPSMDREQAEDSSSEDVHLSTSSTANTVQPKQPLDLHKSSSLSELLNLLFDNPSEILGAEPAAAGGGGGGGGNYSGAIMGHSTNATSSNQAQFISNSSGNYNAYFYQRHTHNEQQLPAFLSDNSLKRQRPEDQGLMQPPKKLNSSCINPSFSNQFSGPQYNLLSHQPLLNQQILLDSHLRLH >DRNTG_31384.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27363906:27365910:1 gene:DRNTG_31384 transcript:DRNTG_31384.12 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFFFSSDCLCVAMAKFGDREWYFFSPRDRKYPNGVRPNRAAASGYWKATGTDKPIHTSSSNVNIGVKKALVFYKGRPPKGLKTNWIMHEYRLAEAQSNSHTYRPMRLRDSSMRLDDWVLCRIYKKSNHISVDQPSMDREQAEDSSSEDVHLSTSSTANTVQPKQPLDLHKSSSLSELLNLLFDNPSEILGAEPAAAGGGGGGGGNYSGAIMGHSTNATSSNQAQFISNSSGNYNAYFYQRHTHNEQQLPAFLSDNSLKRQRPEDQGLMQPPKKLNSSCINPSFSNQFSGPQYNLLSHQPLLNQQILLDSHLRLH >DRNTG_31384.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27363584:27366114:1 gene:DRNTG_31384 transcript:DRNTG_31384.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFFFSSDCLCVAMAKFGDREWYFFSPRDRKYPNGVRPNRAAASGYWKATGTDKPIHTSSSNVNIGVKKALVFYKGRPPKGLKTNWIMHEYRLAEAQSNSHTYRPMRLRDSSMRLDDWVLCRIYKKSNHISVDQPSMDREQAEDSSSEDVHLSTSSTANTVQPKQPLDLHKSSSLSELLNLLFDNPSEILGAEPAAAGGGGGGGGNYSGAIMGHSTNATSSNQAQFISNSSGNYNAYFYQRHTHNEQQLPAFLSDNSLKRQRPEDQGLMQPPKKLNSSCINPSFSNQFSGPQYNLLSHQPLLNQQILLDSHLRLH >DRNTG_20509.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16610026:16613959:1 gene:DRNTG_20509 transcript:DRNTG_20509.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTISGGLRSLPIRRVLSQFVSLGLIATSALMIMKGLQCVSASKTPAVVVLSESMEPGFKRGDVLLLHMSKDPIRAGEVVVFDDGRPIPIVHRVIELHERRNNKTLDLLTKGDNNPLDDRVLYAPGQLWLHEHHIMGRAIGFVPYVGWITIVMTEKAFTKVCHLLNS >DRNTG_20509.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16610026:16613959:1 gene:DRNTG_20509 transcript:DRNTG_20509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTISGGLRSLPIRRVLSQFVSLGLIATSALMIMKGLQCVSASKTPAVVVLSESMEPGFKRGDVLLLHMSKDPIRAGEVVVFDDGRPIPIVHRVIELHERRNNKTLDLLTKGDNNPLDDRVLYAPGQLWLHEHHIMGRAIGFVPYVGWITIVMTEKAFTKYLIIGGLCLLVLFSQK >DRNTG_12605.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20384631:20387349:-1 gene:DRNTG_12605 transcript:DRNTG_12605.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSGFAGVYTEAIIKKRPSRNINVQNFWLYVFGMLFNIIAIFIQDFDSVMNKGFFHGYSFITICMILNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAIVSVFLFGYHLSLAFFLGSTVVSVAVYLHSIGKPQR >DRNTG_12605.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20384631:20388615:-1 gene:DRNTG_12605 transcript:DRNTG_12605.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPEGSLTAKPSWRLKSIVTVALTLLTSSQAILIVWSKRAGKYEYSVTTANFSVEALKCALSLAALSRIWKSQGVTEDNRLSTSFDEVKVYPIPAVLYLVKNLLQYYIFAYVDAPAYQILKNLNIISTGVLYRIILNKKLSEIQWAAFVLLSAGCTTAQLNSSSDRVLQTPFQGWIMAIIMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYVFGMLFNIIAIFIQDFDSVMNKGFFHGYSFITICMILNHALRYDVLLNFSSFNHSCFMQLVTAIVLM >DRNTG_12605.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20384631:20388615:-1 gene:DRNTG_12605 transcript:DRNTG_12605.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPEGSLTAKPSWRLKSIVTVALTLLTSSQAILIVWSKRAGKYEYSVTTANFSVEALKCALSLAALSRIWKSQGVTEDNRLSTSFDEVKVYPIPAVLYLVKNLLQYYIFAYVDAPAYQILKNLNIISTGVLYRIILNKKLSEIQWAAFVLLSAGCTTAQLNSSSDRVLQTPFQGWIMAIIMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYVFGMLFNIIAIFIQDFDSVMNKGFFHGYSFITICMILNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAIVSVFLFGYHLSLAFFLGSTVVSVAVYLHSIGKPQR >DRNTG_10307.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19572328:19576145:1 gene:DRNTG_10307 transcript:DRNTG_10307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLQIAILIVGTRGDVQPFVAVSKHLQVIVVHVKLYTFTYWQLFASF >DRNTG_10307.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19572328:19576145:1 gene:DRNTG_10307 transcript:DRNTG_10307.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLQIAILIVGTRGDVQPFVAVSKHLQVIVVHVKLYTFTYWQLFASF >DRNTG_09394.10.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000398.1:18270:19778:-1 gene:DRNTG_09394 transcript:DRNTG_09394.10 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSRSRSRGPVFPVSSAFQRSISPSGRFSSPSSSGAIVSAFASSSASFSSQTPSFFHRSSSPTRVNLVGATHMAPSVRFATSPTRRHLTVDHQVVKKPISNTGSTARRTCLCSPTTHPGSFRCSIHKGFQLHHSQQSVSHAFSSPSNRLNARRSAMTNSLVRIGTVEGDWVKRALAALIRPSSHQQRRRAAFQPRPSRLSIMTTASDES >DRNTG_09394.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000398.1:18270:20145:-1 gene:DRNTG_09394 transcript:DRNTG_09394.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSRSRSRGPVFPVSSAFQRSISPSGRFSSPSSSGAIVSAFASSSASFSSQTPSFFHRSSSPTRVNLVGATHMAPSVRFATSPTRRHLTVDHQVVKKPISNTGSTARRTCLCSPTTHPGSFRCSIHKGFQLHHSQQSVSHAFSSPSNRLNARRSAMTNSLVRIGTVEGDWVKRALAALIRPSSHQQRRRAAFQPRPSRLSIMTTASDES >DRNTG_09394.11.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000398.1:18270:19778:-1 gene:DRNTG_09394 transcript:DRNTG_09394.11 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSRSRSRGPVFPVSSAFQRSISPSGRFSSPSSSGAIVSAFASSSASFSSQTPSFFHRSSSPTRVNLVGATHMAPSVRFATSPTRRHLTVDHQVVKKPISNTGSTARRTCLCSPTTHPGSFRCSIHKGFQLHHSQQSVSHAFSSPSNRLNARRSAMTNSLVRIGTVEGDWVKRALAALIRPSSHQQRRRAAFQPRPSRLSIMTTASDES >DRNTG_09394.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000398.1:17807:20145:-1 gene:DRNTG_09394 transcript:DRNTG_09394.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSRSRSRGPVFPVSSAFQRSISPSGRFSSPSSSGAIVSAFASSSASFSSQTPSFFHRSSSPTRVNLVGATHMAPSVRFATSPTRRHLTVDHQVVKKPISNTGSTARRTCLCSPTTHPGSFRCSIHKGFQLHHSQQSVSHAFSSPSNRLNARRSAMTNSLVRIGTVEGDWVKRALAALIRPSSHQQRRRAAFQPRPSRLSIMTTASDES >DRNTG_09394.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000398.1:17807:19778:-1 gene:DRNTG_09394 transcript:DRNTG_09394.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSRSRSRGPVFPVSSAFQRSISPSGRFSSPSSSGAIVSAFASSSASFSSQTPSFFHRSSSPTRVNLVGATHMAPSVRFATSPTRRHLTVDHQVVKKPISNTGSTARRTCLCSPTTHPGSFRCSIHKGFQLHHSQQSVSHAFSSPSNRLNARRSAMTNSLVRIGTVEGDWVKRALAALIRPSSHQQRRRAAFQPRPSRLSIMTTASDES >DRNTG_09394.9.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000398.1:18190:19778:-1 gene:DRNTG_09394 transcript:DRNTG_09394.9 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSRSRSRGPVFPVSSAFQRSISPSGRFSSPSSSGAIVSAFASSSASFSSQTPSFFHRSSSPTRVNLVGATHMAPSVRFATSPTRRHLTVDHQVVKKPISNTGSTARRTCLCSPTTHPGSFRCSIHKGFQLHHSQQSVSHAFSSPSNRLNARRSAMTNSLVRIGTVEGDWVKRALAALIRPSSHQQRRRAAFQPRPSRLSIMTTASDES >DRNTG_09394.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000398.1:18190:20145:-1 gene:DRNTG_09394 transcript:DRNTG_09394.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSRSRSRGPVFPVSSAFQRSISPSGRFSSPSSSGAIVSAFASSSASFSSQTPSFFHRSSSPTRVNLVGATHMAPSVRFATSPTRRHLTVDHQVVKKPISNTGSTARRTCLCSPTTHPGSFRCSIHKGFQLHHSQQSVSHAFSSPSNRLNARRSAMTNSLVRIGTVEGDWVKRALAALIRPSSHQQRRRAAFQPRPSRLSIMTTASDES >DRNTG_09394.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000398.1:18190:19778:-1 gene:DRNTG_09394 transcript:DRNTG_09394.8 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSRSRSRGPVFPVSSAFQRSISPSGRFSSPSSSGAIVSAFASSSASFSSQTPSFFHRSSSPTRVNLVGATHMAPSVRFATSPTRRHLTVDHQVVKKPISNTGSTARRTCLCSPTTHPGSFRCSIHKGFQLHHSQQSVSHAFSSPSNRLNARRSAMTNSLVRIGTVEGDWVKRALAALIRPSSHQQRRRAAFQPRPSRLSIMTTASDES >DRNTG_09394.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000398.1:17807:19778:-1 gene:DRNTG_09394 transcript:DRNTG_09394.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSRSRSRGPVFPVSSAFQRSISPSGRFSSPSSSGAIVSAFASSSASFSSQTPSFFHRSSSPTRVNLVGATHMAPSVRFATSPTRRHLTVDHQVVKKPISNTGSTARRTCLCSPTTHPGSFRCSIHKGFQLHHSQQSVSHAFSSPSNRLNARRSAMTNSLVRIGTVEGDWVKRALAALIRPSSHQQRRRAAFQPRPSRLSIMTTASDES >DRNTG_09394.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000398.1:18190:20145:-1 gene:DRNTG_09394 transcript:DRNTG_09394.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSRSRSRGPVFPVSSAFQRSISPSGRFSSPSSSGAIVSAFASSSASFSSQTPSFFHRSSSPTRVNLVGATHMAPSVRFATSPTRRHLTVDHQVVKKPISNTGSTARRTCLCSPTTHPGSFRCSIHKGFQLHHSQQSVSHAFSSPSNRLNARRSAMTNSLVRIGTVEGDWVKRALAALIRPSSHQQRRRAAFQPRPSRLSIMTTASDES >DRNTG_09394.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000398.1:18023:19778:-1 gene:DRNTG_09394 transcript:DRNTG_09394.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSRSRSRGPVFPVSSAFQRSISPSGRFSSPSSSGAIVSAFASSSASFSSQTPSFFHRSSSPTRVNLVGATHMAPSVRFATSPTRRHLTVDHQVVKKPISNTGSTARRTCLCSPTTHPGSFRCSIHKGFQLHHSQQSVSHAFSSPSNRLNARRSAMTNSLVRIGTVEGDWVKRALAALIRPSSHQQRRRAAFQPRPSRLSIMTTASDES >DRNTG_06185.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25250458:25257820:-1 gene:DRNTG_06185 transcript:DRNTG_06185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEELKKKLEPLFDAEKGLSFGTVMDPSESYMVSDGGTVNLLSKSCGVYNVNELGFQKRQAELVEEPENSEKVYRCSSQEMHIFGTIGSGASSVVQRAIHMTIHRVLALKKINVFEKEKRQQLLNEMRTLCDACCYQGLVEFHGAFYTPDTGQVSIVLEYMDGGSLADVLRLQRSIPEHILSRMLPRLLGALSYLHKVRHLVHRDIKPANLLINLKGEPKITDFGISAGLDSSVAMCATFVGTVTYMSPERIRSENYSYPADIWSLGLTLLECATGKYPYTANDGPANLMLQILYDPSPSPPRHLFSPEFGSFIDSCLQKDPEARPTADDLLEHPFLRKYGNSDEELAAYARKVFDPTKRLKEMADMLAVHYYLLFDGGDEIWHHMKPFYNEASTFSFSGKLFAGSDDIFRALSDIRKKLAGDRPCEKLVHVVEKLHCRALENDGIGIRASGSFIIGDQFLICGDGVRAEGMPSFDELSIDLASKRMGTFHEQFSMIPGSGIGTFVIAKQELYILKP >DRNTG_04803.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2390266:2390802:1 gene:DRNTG_04803 transcript:DRNTG_04803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKCKSSYFANQEEYLAYCLVMLAKSDEAHLRPPPPPPKLAFKCSVCGKEFSSYQALGGHKSSHRGPTDGHESRIVNSSISGTSLSLSGGSRVSGGDKGHKCSICYRSFASGQALGGHKRCHYWESSTTSSSSAGIKGFDLNLPPLPEFGGVRSWIMGEEEEVQSPSPFKKPRLVVS >DRNTG_15863.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25324094:25329102:-1 gene:DRNTG_15863 transcript:DRNTG_15863.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 36 [Source:Projected from Arabidopsis thaliana (AT5G04920) UniProtKB/Swiss-Prot;Acc:Q9FF81] MADDWLSPASLTPSARPVLFPGEIERFLLPSVDLVAEENPGLAPLRSGLLIITSHRLLWIHEPSVSGRSMPLAAVIHVFPLKKSVRSMFASPRVRIQVAVGIDGKVGEKGTRSEVITVVMRGKTDPDVFLGKLWEVLRAKAWEATQKEGSDEMERGENSQMGTRVRMPVVGVSGILRKEQEMWENTDKSLQDAFQDLNALMSKAKEMVMLAEKMRQKLLSGPSSHANSNDEDIGSKQDIQDWLLSVGIVSPVTKESAGALYHQQLSRQLADFVKLPLEKAGGLIALIDVYCLFNRARGTELISPEDLLQACAIWEKFDVPIMLRKFDSGVMVIQNKAHSDEEVLARITALAMKPDALRMGISSTDAALILGIAPAMAKEHLLTAESKGLLCRDVSPDGFRFYINLFKEIDPRDIYFVKADGIIPAWVSASLASA >DRNTG_15863.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25315115:25329102:-1 gene:DRNTG_15863 transcript:DRNTG_15863.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 36 [Source:Projected from Arabidopsis thaliana (AT5G04920) UniProtKB/Swiss-Prot;Acc:Q9FF81] MADDWLSPASLTPSARPVLFPGEIERFLLPSVDLVAEENPGLAPLRSGLLIITSHRLLWIHEPSVSGRSMPLAAVIHVFPLKKSVRSMFASPRVRIQVAVGIDGKVGEKGTRSEVITVVMRGKTDPDVFLGKLWEVLRAKAWEATQKEGSDEMERGENSQMGTRVRMPVVGVSGILRKEQEMWENTDKSLQDAFQDLNALMSKAKEMVMLAEKMRQKLLSGPSSHANSNDEDIGSKQDIQDWLLSVGIVSPVTKESAGALYHQQLSRQLADFVKLPLEKAGGLIALIDVYCLFNRARGTELISPEDLLQACAIWEKFDVPIMLRKFDSGVMVIQNKAHSDEEVLARITALAMKPDALRMGISSTDAALILGIAPAMAKEHLLTAESKGLLCRDVSPDGFRFYINLFKEIDPRDIYFVKADGIIPAWVSASLASA >DRNTG_02711.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19586228:19587831:-1 gene:DRNTG_02711 transcript:DRNTG_02711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTCFFSMGCEANKSSIVVLVFFFTLSFFFSCGRGVSGDGGGGALFLLKWQPMTTSLLKLWINVQL >DRNTG_13630.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6325471:6326024:1 gene:DRNTG_13630 transcript:DRNTG_13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKEHQNKNDLGTHNSKMTDAVTNFHPASGISY >DRNTG_11947.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5265345:5266480:1 gene:DRNTG_11947 transcript:DRNTG_11947.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription repressor MYB4 [Source:Projected from Arabidopsis thaliana (AT4G38620) UniProtKB/Swiss-Prot;Acc:Q9SZP1] MGRSPCCEKAHTNKGAWTKEEDERLISYIKVHGEGCWRSLPKAAGN >DRNTG_11947.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5265345:5266480:1 gene:DRNTG_11947 transcript:DRNTG_11947.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription repressor MYB4 [Source:Projected from Arabidopsis thaliana (AT4G38620) UniProtKB/Swiss-Prot;Acc:Q9SZP1] MGRSPCCEKAHTNKGAWTKEEDERLISYIKVHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSIIAGRLPGKDR >DRNTG_05679.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:131236:133514:1 gene:DRNTG_05679 transcript:DRNTG_05679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDVGPVFNSSMNVETLEHGHSLHTSSSMFFDDDGKPKRSGNVWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVMFLFSFVIYYTSTLLSDCYRSGDPVTGKRNYTYMDAVRANLGGIKVKICGWIQYINLFGVAIGYTIAASISMMAIEKSNCFHKNGDDSPCHTSSNMYMIIFGVTEIIFSQIPDFDQISWLSMVAAVMSFTYSSIGLGLGISQVVSNGGFKGSATGVSIGSVTEMQKIWRSLQAFGDIAFAYSYSIILIEIQDTIRAPPPSEAKVMKRATLLSVAVTTFFYLLCGCMGYAAFGDASKGNLLTGFGFYNPYWLLDIANAAVVVHLVGAYQVYCQPLFAFIESWAIKQFPNSDFITREISVSYWPSKTYKLNVFRLVWRTAFVILTTIISMLLPFFNDVVGLLGALSFWPLTVYFPIEMYIVQKKVPRWSTKWVCLQMLSLACLMISISSATGSIAGVVGDLGVYKPFKSNN >DRNTG_20082.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001095.1:12537:16150:1 gene:DRNTG_20082 transcript:DRNTG_20082.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNESEWIEYHDVITDVIKESGLSKNIFYDLRGNHDNFAVPVPGGTYDFYEKYSVNAMLGRKGNVQSVTLEHNEWKHLFVGFDSKQEIGLCGPTNLFGHPTDQLLTDLDMELSQWDTKITKSSLTKISFGHFPLSFSALSESGKSLKSVFLKHSLSAYLCGHLHTSFGSNLKRHHQSSNHSVSSSEYYQLNMHQASTSNLDVANCSNEVERPEEFWEWEMGDWRKSRAMRILAIDSGHVSFVDINFRSGEPKEIIILPTFPLDSRFMQRISSQLDYQCQLLKTSCYDTVRVLIFSSAEITSVTAKIYDSKPGNLHVVLDSTMKKLAGNGTRGDIYSVPWNWRAFVDESPDRYWLQIEAIDITGRSTSSELRPFSINGITKTVSWKWKEFLVMGCQWVSIYNPALSSVLLLLFSLLLIPQVFCIFSKKHNIQKSLSPSFAGKNVVELLIGGACWILMELSRMTLIWSGILLYLLFLTFLPWFFGHVFSGNDDMAYMTREGWKVKTFDERKQLYLGVPDVMVVVLPHLCFVVLPTVLVIASMAAEKAACRSYYLSLSGKKKDDNFEVNRRRSKFADSCRILRFLRKRWMRKLLLLACVVILWKHWKSCRALVKAYDMNPLLHAPVYCFFVPVILIYAVYKTSTAYTKSMNS >DRNTG_20082.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001095.1:12537:16150:1 gene:DRNTG_20082 transcript:DRNTG_20082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVRPGSVVWVVQLSDLHFSFFHPERALDFKRLIGPALSMINPSLVLITGDLTEGKSKDLLIMKQNESEWIEYHDVITDVIKESGLSKNIFYDLRGNHDNFAVPVPGGTYDFYEKYSVNAMLGRKGNVQSVTLEHNEWKHLFVGFDSKQEIGLCGPTNLFGHPTDQLLTDLDMELSQWDTKITKSSLTKISFGHFPLSFSALSESGKSLKSVFLKHSLSAYLCGHLHTSFGSNLKRHHQSSNHSVSSSEYYQLNMHQASTSNLDVANCSNEVERPEEFWEWEMGDWRKSRAMRILAIDSGHVSFVDINFRSGEPKEIIILPTFPLDSRFMQRISSQLDYQCQLLKTSCYDTVRVLIFSSAEITSVTAKIYDSKPGNLHVVLDSTMKKLAGNGTRGDIYSVPWNWRAFVDESPDRYWLQIEAIDITGRSTSSELRPFSINGITKTVSWKWKEFLVMGCQWVSIYNPALSSVLLLLFSLLLIPQVFCIFSKKHNIQKSLSPSFAGKNVVELLIGGACWILMELSRMTLIWSGILLYLLFLTFLPWFFGHVFSGNDDMAYMTREGWKVKTFDERKQLYLGVPDVMVVVLPHLCFVVLPTVLVIASMAAEKAACRSYYLSLSGKKKDDNFEVNRRRSKFADSCRILRFLRKRWMRKLLLLACVVILWKHWKSCRALVKAYDMNPLLHAPVYCFFVPVILIYAVYKTSTAYTKSMNS >DRNTG_04826.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2275306:2277226:-1 gene:DRNTG_04826 transcript:DRNTG_04826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRACRVCGNSHGLIRKYGLMCCRQCFHSNAKAIGFIKYR >DRNTG_18941.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12004695:12005767:-1 gene:DRNTG_18941 transcript:DRNTG_18941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDKEVVLLDLWVSPFGQRVRIALAEKGVDYEYKEQDLANKSELLLKSNPVYRKIPVLLHDGKYICESLIIVQYIDEVWSNKAPLLPAEPLARANARFWADFIDKKVYECATRLWKLKGEEQEAAKKEFIEIFKLLEGELGDKKFFGGETFGFVDLALVPFVAWFYAYETCAKFSMEEECSKIVAWGQRCMERESVSKTLSDPNKVYEFVLFLKKRFGVE >DRNTG_06686.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3289073:3291180:1 gene:DRNTG_06686 transcript:DRNTG_06686.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRATNVLLSRANRIRQKLQSTLETSVLEIEDVSYQHAGHAGVKGDANETHFNIKIVSPKFDGQSLVKRHRMVYDLLADELQSGLHALSIVAKTPQEELKVR >DRNTG_26064.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:14309613:14315666:1 gene:DRNTG_26064 transcript:DRNTG_26064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRAAVIWLCAAMVLLMRGAEALWLQLPPSGTKCVSEEIQPNVVVLAYYGVIFEDRAHATPTISVKVTSPYGNTLHHQENVTSGQFAFTTSEAGNYLACFWFGGSDTNVEASVNLDWRIGIAARDWDSVAKREKIEGVELELKKLEAAVEAIHENLLYLKTREADMREVSERTNARVAWFSIMSLGVCIAVSILQLWHLKGFFQKKKLI >DRNTG_12692.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4267412:4272417:1 gene:DRNTG_12692 transcript:DRNTG_12692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVANTVSEEAAALKAVADGFQNKPGSWNGFDPCGSVWLGVNCTNYHITSIILPSAGVTGTLAGDIGNLPELLFLDLSYNPDLGGSLPASIGKLQKLKNLILLGCGFSGQIPPELGNLQCLTILALNANKLRGQIPPALGNLSSLYLFDITGNEISGSIPISDGINPGLDMLRRTEHFHFGSNNLSGNIPPKLFHSGMAIEHLIFDNNNFTGSIPSTINLVPSLEALRLDGNKLTGLVPSTLNRLTSMKQLYLSNNLLTGPLPNLTGMNALSYVDLSNNSFDGSDVPPWFSSLPSLTTLLLEKSHVQGQIPAGLFSFSPLQIVGLKNNLFNGTLVIDADYSTQLQLVDLQNNDISDFHNDGNYKNVILLTDNPVCGQGSNEKYCAVPTQNSAPYSTPDSCIGIECPSEQALSPNCFCSYPYEGTLYFRLLTVSNLQNKTYYQDLEKHLLTMFQDGKVPVDSVAIRDPIIDATHYLEISVEVFPSRKVKFDQGDIVVIASFFSNQILEASYYFGLYYFIPKPYIPILGGGSSSKSSNTAAIAGASIGGVVALLVVISLVISVMMQKKKKAKKKMEHGSPFGSWDPSKSGESAPQLKGARWFSFEELKKCTDNFSESNHIGSGGYGKVYKGTLPDGQMVAVKRSQENSLQGGHEFKTEIELLTRVHHKNLVNLVGFCFDQGEQMLVYEYLPNGTLKESLSGMSVIRLDWKKRLWIALDAARGLSYLHYLADPPIVHRDIKSNNILLDSHLHAKSRRFRSLQTNG >DRNTG_18833.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2654417:2657472:-1 gene:DRNTG_18833 transcript:DRNTG_18833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIRCGQPVPALRKSKKKQAKEEVDRQKQAEKKKRRLEKALATSAAIRSELEKKKQKKIEEQQRLDEESAALAEAVALHVLVGEDKDESCPFMLNKRLNPWEYSNNISLLMGCQSFAKYPIGGIGWMPDAYDPARKWNEWGPAASLPHGLFVRDLQTPYFKDTLHGAGICPGHIAADAVSLLQIKDDSHEDPFIDQGAASVVINKMFGGCNDGNRMNIYSKF >DRNTG_09583.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23586345:23587139:1 gene:DRNTG_09583 transcript:DRNTG_09583.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSFSKWSLKGKTALVTGGTKGIGKAVVEELATLGALVHTCSRNETELKANLQVWTNKGLQVTGSVCDVTSRTQLENLIADVSSIFPGKLDILVNNAGTGVPKPTVEFTEEEATLIWETNYKSCFNLCQLAHPLLKASGCASIVFVSSVAGIVAIPFGTPYASTK >DRNTG_09583.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23586345:23586817:1 gene:DRNTG_09583 transcript:DRNTG_09583.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSFSKWSLKGKTALVTGGTKGIGKAVVEELATLGALVHTCSRNETELKANLQVWTNKGLQVTGSVCDVTSRTQLENLIADVSSIFPGKLDILV >DRNTG_09583.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23586345:23587952:1 gene:DRNTG_09583 transcript:DRNTG_09583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSFSKWSLKGKTALVTGGTKGIGKAVVEELATLGALVHTCSRNETELKANLQVWTNKGLQVTGSVCDVTSRTQLENLIADVSSIFPGKLDILVNNAGTGVPKPTVEFTEEEATLIWETNYKSCFNLCQLAHPLLKASGCASIVFVSSVAGIVAIPFGTPYASTKGALNQLARNLACEWAKDNIRTNSVTPWFTKTPLVQFVLENEETLKYIQERTPLKRVAEPEDVSSLVAFLCMPAASYITGQTISVDGGFTVNGFFNMHMA >DRNTG_31843.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21369770:21371997:-1 gene:DRNTG_31843 transcript:DRNTG_31843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYSPESSKLSAMKKSEKVGKAMDEEPWPRRSKSGVMLEGYVDESVGLCLMPDGGETPAMGRTKSLTDEDLEELKGCLDLGFGFSYDGIPELCGTLPALELCYSLSQRFLDEQHQYNSHEAGHEPPYPLANWKISSPGDHPEDVKARLKYWAQAVACTIRLCS >DRNTG_07248.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000329.1:17936:23828:1 gene:DRNTG_07248 transcript:DRNTG_07248.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLPFPCLSRLLYQPPLIFRPISTCSNPISSLSSKNLSFFHCSSCSSTNSFQTSRATSSGGEGLMTDDSGSSLLNEELLRRVSSAKDADQVLDIVAEANSNVRSGVISASDCFSIIAAALERKNVELALLVFSAMRSVFAAGIREEDSAVGLWTWARPDVQTYALLVRGLAACLRVPDAIKIIKYVTNVGVPSEEEVLFGKIVRCPTCIVAIAVAQPQHGIQVVSCSKCRYQYELVSGDIVSIVSEEISTDFSVWRKALRFFQIIKGDAPAALHSIVVRTPSGIARTHKFATKTVELPAQEGERVTISSAAPSYVYQEIGPLRVSAKAPGFSPGEPMCLTNHTTGQVSPLLRAPDKDGNSFLLNPSQFFSLLLLLLATGDAASGIIDPSLPRLISIAAVASVAVGTTIDRVVIHAVE >DRNTG_07248.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000329.1:17936:23828:1 gene:DRNTG_07248 transcript:DRNTG_07248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLPFPCLSRLLYQPPLIFRPISTCSNPISSLSSKNLSFFHCSSCSSTNSFQTSRATSSGGEGLMTDDSGSSLLNEELLRRVSSAKDADQVLDIVAEANSNVRSGVISASDCFSIIAAALERKNVELALLVFSAMRSVFAAGIREEDSAVGLWTWARPDVQTYALLVRGLAACLRVPDAIKIIKYVTNVGVPSEEEVLFGKIVRCPTCIVAIAVAQPQHGIQVVSCSKCRYQYELVSGDIVSIVSEEIRICSCRTQHGFFCMEKSFEVLPNN >DRNTG_29870.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1971947:1973449:1 gene:DRNTG_29870 transcript:DRNTG_29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHQETIHINPSQISSTIPNPRRCSTLRRRRRRRSTSPTSPPSPSLAAAPKASSSTSAPPPNLSPSKPSPAPPSSSRPAPALAPTMPTSASGSSATSSSLFTTPSFPPSTAPSPPTRSSASPSIAAPVVISTLSAVAKSEHMFSDDIIRFYAAEMVLALEYLHGLGIIYRDLKPENVLIQDTGHIMLVDFDLSTKIPLRSPEPSSLLVPPSISPMKTKKKKKSPLLRCLSRNVNVSPETTDYPTASPASGNGDSGHGPGKSNSFVGTEEYVSPEIIAGKGHDYGVDWWSLGIVLYEMLYGRTPFKGENRKETFYRILSKSPELVGESTPLRDLIGRLLEKEPEKRIGCEGIKSHEFFRGVDWESLLLISRPPYIPSGVDWEDSTDFIDVEKVVEDVFGDISLNNNNNNNNNNNNNKAHKNDDFSGF >DRNTG_29870.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1971947:1973383:1 gene:DRNTG_29870 transcript:DRNTG_29870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHQETIHINPSQISSTIPNPRRCSTLRRRRRRRSTSPTSPPSPSLAAAPKASSSTSAPPPNLSPSKPSPAPPSSSRPAPALAPTMPTSASGSSATSSSLFTTPSFPPSTAPSPPTRSSASPSIAAPVVISTLSAVAKSEHMFSDDIIRFYAAEMVLALEYLHGLGIIYRDLKPENVLIQDTGHIMLVDFDLSTKIPLRSPEPSSLLVPPSISPMKTKKKKKSPLLRCLSRNVNVSPETTDYPTASPASGNGDSGHGPGKSNSFVGTEEYVSPEIIAGKGHDYGVDWWSLGIVLYEMLYGRTPFKGENRKETFYRILSKSPELVGESTPLRDLIGRLLEKEPEKRIGCEGIKSHEFFRGVDWESLLLISRPPYIPSGVDWEDSTDFIDVEKVVEDVFGDISLNNNNNNNNNNNNNKAHKNDDFSGF >DRNTG_29870.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1972030:1973383:1 gene:DRNTG_29870 transcript:DRNTG_29870.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSASGSSATSSSLFTTPSFPPSTAPSPPTRSSASPSIAAPVVISTLSAVAKSEHMFSDDIIRFYAAEMVLALEYLHGLGIIYRDLKPENVLIQDTGHIMLVDFDLSTKIPLRSPEPSSLLVPPSISPMKTKKKKKSPLLRCLSRNVNVSPETTDYPTASPASGNGDSGHGPGKSNSFVGTEEYVSPEIIAGKGHDYGVDWWSLGIVLYEMLYGRTPFKGENRKETFYRILSKSPELVGESTPLRDLIGRLLEKEPEKRIGCEGIKSHEFFRGVDWESLLLISRPPYIPSGVDWEDSTDFIDVEKVVEDVFGDISLNNNNNNNNNNNNNKAHKNDDFSGF >DRNTG_29870.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1972030:1973449:1 gene:DRNTG_29870 transcript:DRNTG_29870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSASGSSATSSSLFTTPSFPPSTAPSPPTRSSASPSIAAPVVISTLSAVAKSEHMFSDDIIRFYAAEMVLALEYLHGLGIIYRDLKPENVLIQDTGHIMLVDFDLSTKIPLRSPEPSSLLVPPSISPMKTKKKKKSPLLRCLSRNVNVSPETTDYPTASPASGNGDSGHGPGKSNSFVGTEEYVSPEIIAGKGHDYGVDWWSLGIVLYEMLYGRTPFKGENRKETFYRILSKSPELVGESTPLRDLIGRLLEKEPEKRIGCEGIKSHEFFRGVDWESLLLISRPPYIPSGVDWEDSTDFIDVEKVVEDVFGDISLNNNNNNNNNNNNNKAHKNDDFSGF >DRNTG_29870.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1972030:1973300:1 gene:DRNTG_29870 transcript:DRNTG_29870.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSASGSSATSSSLFTTPSFPPSTAPSPPTRSSASPSIAAPVVISTLSAVAKSEHMFSDDIIRFYAAEMVLALEYLHGLGIIYRDLKPENVLIQDTGHIMLVDFDLSTKIPLRSPEPSSLLVPPSISPMKTKKKKKSPLLRCLSRNVNVSPETTDYPTASPASGNGDSGHGPGKSNSFVGTEEYVSPEIIAGKGHDYGVDWWSLGIVLYEMLYGRTPFKGENRKETFYRILSKSPELVGESTPLRDLIGRLLEKEPEKRIGCEGIKSHEFFRGVDWESLLLISRPPYIPSGVDWEDSTDFIDVEKVVEDVFGDISLNNNNNNNNNNNNN >DRNTG_29870.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1971947:1973300:1 gene:DRNTG_29870 transcript:DRNTG_29870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHQETIHINPSQISSTIPNPRRCSTLRRRRRRRSTSPTSPPSPSLAAAPKASSSTSAPPPNLSPSKPSPAPPSSSRPAPALAPTMPTSASGSSATSSSLFTTPSFPPSTAPSPPTRSSASPSIAAPVVISTLSAVAKSEHMFSDDIIRFYAAEMVLALEYLHGLGIIYRDLKPENVLIQDTGHIMLVDFDLSTKIPLRSPEPSSLLVPPSISPMKTKKKKKSPLLRCLSRNVNVSPETTDYPTASPASGNGDSGHGPGKSNSFVGTEEYVSPEIIAGKGHDYGVDWWSLGIVLYEMLYGRTPFKGENRKETFYRILSKSPELVGESTPLRDLIGRLLEKEPEKRIGCEGIKSHEFFRGVDWESLLLISRPPYIPSGVDWEDSTDFIDVEKVVEDVFGDISLNNNNNNNNNNNNN >DRNTG_32271.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:212182:212547:-1 gene:DRNTG_32271 transcript:DRNTG_32271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHCMGFISTLFGDLLLHREDIDEIEDQAYPHLLRHEGASWRHKGSRARAQSSTLEANLKEKGVMSSFFRCSVISSMLYPPMRG >DRNTG_07957.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:942644:950570:1 gene:DRNTG_07957 transcript:DRNTG_07957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARHIYARWGKKHPGKELQLQFWNIAKSTSMAEMQNNLQIMKNMIGGESAAEELLEKWPIEGWCQAFFNDMVKCEVIDNNMCETFNGVMLEARNKPIITLLEEIRQYVMSRMVVKKAYVQKWSGECGPNIVKRIDKERRKSGKWLVEWNGGAMHEVFWDNLVVHARESHVVVLGSHSCSCRKWDKSGIPCQHALAAIAFEGKNPFDFVSEWFKKETYLKAYQFNVNPVKGRQFWPISEEGPLLAPIVKKMPGRPAKKRRREPLESKNNSKTRPYRQDRVMTCKICHSQGHNRRSCPQRTKTGTTDEAQHHQVPPRNVVTPSRVQKRIKTASSATALSVSPMLILRGAQSGQLILGREGVQRGILEPVDSPSNAVGHDKANKAGGLASMLALGIANPPNVIYQDTFADYFFSHQFNGEDKVELKEKFKRVCEKTMIRNFILNEEKLKEHPNLCSFMNHASLNNRHV >DRNTG_07800.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1255228:1256015:-1 gene:DRNTG_07800 transcript:DRNTG_07800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYPSQPNTYYPSAPPPPPPPPAMSMVAPGPIPWTTGLCDCTDDCGTCWMTCCCPCITFGMVAEITDRGNTSCGISGSLYTLLMCFTGCQWIYSCMNRSKLRAEYSLEESPCNDCLVHCCCEPCALCQEYRELQNRGFDLKIG >DRNTG_07800.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1254500:1256015:-1 gene:DRNTG_07800 transcript:DRNTG_07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYPSQPNTYYPSAPPPPPPPPAMSMVAPGPIPWTTGLCDCTDDCGTCWMTCCCPCITFGMVAEITDRGNTSCGISGSLYTLLMCFTGCQWIYSCMNRSKLRAEYSLEESPCNDCLVHCCCEPCALCQEYRELQNRGFDLKIGWRGNMEKKAQEMAMAPNIQKGMMR >DRNTG_18193.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4670600:4671537:1 gene:DRNTG_18193 transcript:DRNTG_18193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNLAGDTAAAAVPSSGDSAGWIQEAINGGSLRLVDLHSGSNGWASPPGDLFSLRGKNYLSRRQKVPAGDWLLRPAGVDWLRSPSRLDDVLGRPDNRVMAALRRAQSSGKSMKSFIVAVNLQVPGREAHSAVFYFAAEDPIPPGSLLYRFIHGDDAFRNARFKIVNRIVKGPWIVKTAVGNYSACLLGRALTCNYHRGESYLEIDVDIGSSAIASAILRLALGCVTAVTIDMGFLVEAQAEDELPEKLFGAVRVAQMEMSSATFVDDARPRVERNNFRGAARVKHHGDRTAVLGDADADEQS >DRNTG_26793.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19589091:19591225:1 gene:DRNTG_26793 transcript:DRNTG_26793.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDQEAGASTSMDVESSGAEAAPARPLFPALKPQEMFDGKVQFRKVPVPPHRFSPLKRCWMEIYTPVYDQMKIDIRMNLKARRVELKTRKDTPDLSNLQKCADFVHAFMLGFDVVDALALLRLDDLFVDSFEIKDVKMLRGEHLSRAIGRLSGKGGKTKFAIENSTRTRIVIADTKIHILGSFINIKVARDSLCSLILGSPAGKVYSKLRAVTARLAERY >DRNTG_15750.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20422146:20424539:-1 gene:DRNTG_15750 transcript:DRNTG_15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSPAPTMEELTPVSRPPKRLIFDRRYGMMSGETHRKSP >DRNTG_33411.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1567125:1568719:1 gene:DRNTG_33411 transcript:DRNTG_33411.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERGGSDGGEGGSERRTRSSWNHMQAMQRKLRSVLEYIFILPFPPFLLRLPTTRRSEKVL >DRNTG_04822.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2295410:2300826:1 gene:DRNTG_04822 transcript:DRNTG_04822.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELQYSVEKCPGRHPKLLYRQWCCHRLLNRKQIQSAKPKTKKKAKSTPNQEKSFEEQSEMQQWRNEGLNGVLVSR >DRNTG_20478.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2032033:2033668:1 gene:DRNTG_20478 transcript:DRNTG_20478.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINDMWNPWTTNVACSLNIRRLIFHGPSCGYIYCSYVFQLHKIFETVTDEFEEITVPGLTDDDDGDVGQSFKVSKVHSSDWSNMPSFEKIQDEVLHAAETADGVVMNTFDDVELMFVEAYKKVVGKDVWAVGPLCLYDKDDDLSARIVRGNKTVVDQEKLFGWLDSMEENSVLYVSFGTLTRMKVGQTLEIGSELEVFGVPFIWVIKDVEKSPAVKEWLESHCGWNSMLEAVSNGVPMITWPHFANQFLNERLVVKFLRMGIAIGVKKHVFYFGENEIFVSGADVERVVRGLMGDGEEDKGHSLERLRGRPLRLWKKVDVLMRI >DRNTG_17464.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21463848:21467148:-1 gene:DRNTG_17464 transcript:DRNTG_17464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDPKLIVAAVVSPSILAFQSPKDEIAEDRRRRAVDDERRGDQP >DRNTG_21578.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19219305:19221138:-1 gene:DRNTG_21578 transcript:DRNTG_21578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKLVLRRKRYKTSVEMRKTMTEEEARQILGISEQSSWDEILQKYNNLSENNEKNGSFYLQSKVHRAKECLEAAHQSSKVEGTSS >DRNTG_24350.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:401119:403216:1 gene:DRNTG_24350 transcript:DRNTG_24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSDAVEVGGAPKRRTFRKFSFRGVDLDQLLDMGTDELVKLFHARARRRFQRGLKRKPMALIKKLRKAKRDAPPGEKPEPVRTHLRNMIIVPEMIGSIVGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >DRNTG_14446.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17240264:17242965:-1 gene:DRNTG_14446 transcript:DRNTG_14446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSCCMSSDCVVPRASHSNCIGGPWSAYFFISSCEKLLKAPLLSSSLGAGLAHETYCLIVLARAETERLGLAGAGSFKSMNFVQSISEEDEQCSRKKNTDFVHVLLIKNKTFVTVTDARGNKKTGASAGCLEERKGRSRLSRYAAEATAEHVGRSARKMGL >DRNTG_07371.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20650247:20653828:1 gene:DRNTG_07371 transcript:DRNTG_07371.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OHP2 [Source:Projected from Arabidopsis thaliana (AT1G34000) UniProtKB/TrEMBL;Acc:A0A178WAB5] MPARNGPLCDIRSCHPGIQLPIKISPSSPFHHHSSKLSFKPKTTISIIKSSQADGPLRRPSTPSLSPPPPSPSPSPSLSPTPPLKPSTQTPSPTKPKDFVVTLEYQRKVAKELQEYFKLKKLEEANQGPFFGFMTKNEISNGRWAMFWVCCWIVDRKYATGSDLVQQVKILLSNFGVVDLE >DRNTG_32911.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1175424:1175736:1 gene:DRNTG_32911 transcript:DRNTG_32911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTGATFCLLSYVIARRGPTYPSMFNPLAITFTMIIESLFMGQELSIGSLLGMVMIIGGLYAFLWGKGKEA >DRNTG_15970.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5092103:5096532:1 gene:DRNTG_15970 transcript:DRNTG_15970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1767) [Source:Projected from Arabidopsis thaliana (AT5G63540) TAIR;Acc:AT5G63540] MLVPEVLEVLGGLVGELDEARKRLVEEINKPPRGKRKQHNLPLSQRASLAAWSAGVIDNTSEANNSIPQRTINRQPVTQESNIRESIIQEFVEPLYGGNRTEEFVAPQTEGNVAEVIITPSVRGSNLERHASAGNSSAPEPKTTFCSVSDADDHQGADHQFFLSGEKEIPFTYLACLLARWTEEKDREPVIHGKIKCFLTGVKGFQFKQRSTFELRVYVDDGSLISEVIIDHDVVQMCIGHSPEEVSAALSSSEKRIVTEMRETMKSFQLYLARFEGTMLVKISESTSVPVAVEMNQGCSTADAWMLLRRLKMFTAPQTERGHNLDPIELSP >DRNTG_15970.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5092103:5096532:1 gene:DRNTG_15970 transcript:DRNTG_15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1767) [Source:Projected from Arabidopsis thaliana (AT5G63540) TAIR;Acc:AT5G63540] MCFEEFLLSDMNHSGAGVLPDNVCSMHKVELQGPFVLQIDEIVNISSSLRERYCDAPAGVKRCLKLFMTDGHQHVVGMEYRPIKELDVLASSGLKIVIRNVQIRRGLLMLVPEVLEVLGGLVGELDEARKRLVEEINKPPRGKRKQHNLPLSQRASLAAWSAGVIDNTSEANNSIPQRTINRQPVTQESNIRESIIQEFVEPLYGGNRTEEFVAPQTEGNVAEVIITPSVRGSNLERHASAGNSSAPEPKTTFCSVSDADDHQGADHQFFLSGEKEIPFTYLACLLARWTEEKDREPVIHGKIKCFLTGVKGFQFKQRSTFELRVYVDDGSLISEVIIDHDVVQMCIGHSPEEVSAALSSSEKRIVTEMRETMKSFQLYLARFEGTMLVKISESTSVPVAVEMNQGCSTADAWMLLRRLKMFTAPQTERGHNLDPIELSP >DRNTG_15970.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5092103:5096532:1 gene:DRNTG_15970 transcript:DRNTG_15970.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1767) [Source:Projected from Arabidopsis thaliana (AT5G63540) TAIR;Acc:AT5G63540] MLVPEVLEVLGGLVGELDEARKRLVEEINKPPRGKRKQHNLPLSQRASLAAWSAGVIDNTSEANNSIPQRTINRQPVTQESNIRESIIQEFVEPLYGGNRTEEFVAPQTEGNVAEVIITPSVRGSNLERHASAGNSSAPEPKTTFCSVSDADDHQGADHQFFLSGEKEIPFTYLACLLARWTEEKDREPVIHGKIKCFLTGVKGFQFKQRSTFELRVYVDDGSLISEVIIDHDVVQMCIGHSPEEVSAALSSSEKRIVTEMRETMKSFQLYLARFEGTMLVKISESTSVPVAVEMNQGCSTADAWMLLRRLKMFTAPQTERGHNLDPIELSP >DRNTG_30749.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11003064:11003219:-1 gene:DRNTG_30749 transcript:DRNTG_30749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNLVNGRCYLTPVVETLAELKDNMTPRHWEIIRRTPFAAFTELEAIY >DRNTG_10556.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000445.1:2511:3704:-1 gene:DRNTG_10556 transcript:DRNTG_10556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTHGCAENTHTMRPTHRGHEHVEITHARVFITGSSTGTNPRPCASLDELSVETHGRVEILHGRAFSLDILGELQALQKIFTFQSIFFMIPRVGSTFSSKPSIMKFVVLLVLV >DRNTG_35003.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24119968:24122634:1 gene:DRNTG_35003 transcript:DRNTG_35003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALKDVGVTEVVLAINYQPEVMLNFLKEFETKLGIKITCSQETEPLGTAGPLALARDQLIDGSGDPFFVLNSDVISEYPFEELIQFHKSHGGEATIMVTKVDEPSKYGVVVMEEETGKVERFVEKPKMFVGNKINAGIYLLNPSVLDRIQLRPTSIEKEVFPQIAADQRLFAMVLPGFWMDIGQPRDYITGPKTLPNFSKEKISFQAIYWLSHCWKCVGA >DRNTG_33000.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001935.1:8806:11098:1 gene:DRNTG_33000 transcript:DRNTG_33000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTPLLLPEAAARPCVFSGWREQPAEFRTGVRKLPTHVRGSQGHPQGRVHAPVCSRENLPNSARIHTPVQKLPTGVRQSHGRPQGQPHAPAGSAKIF >DRNTG_21328.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9121815:9126888:1 gene:DRNTG_21328 transcript:DRNTG_21328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREYEREYDRERYGEDEYDDYEEEGGEEEEEEEEGERKPTKEEQDFLKLREQLKERFRRNSKKESAKVLGHTPRSQDRRTSANDKFGSFFGPSQPAIAQRVIEKSQSIRETQHITAKLQSSSSNKKNSTSISSAMKTNQQYQRPKVVNEIKRKAQTLKDMRDYSFLLSDDADMPVPEKDQSAPRMTTDVRLAQASLKNKLPISKPERQSVIRHHSRNSTAANRPMPAKGVAVKEALANRPMLPSSELRKAGQNISGNGPARPAGKIMPSKFPARAAITNKPQPKVTNGSTLERKPSAKPQSTTQNNFAAHRKVPQVADKVLTTKKQPEVSLKHQPLKRNPSHGIHEERLKKKPLKRHPYSDDEGEEAINMIRQMFGYDPRKFANDDGDDSDMEVGFDVIQKEERKSAKIARKEDEEQLRLIEEEEKRERMRKKQKLGHHR >DRNTG_17416.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3841634:3843885:1 gene:DRNTG_17416 transcript:DRNTG_17416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELKEMEVLRNGGDDGDVKVRVLVVDDSPVDRKVVGMLLKRCDALFEVISADNGKKAMQILGLNEGNADCPVGKGQKIDIILTDYCMPEMTGYDLLKAVKENDCPRSIPVVIMSSENDPQRIKRCLAVGAEDFFLKPLKAQDAQKLKQYATLTGPTPKAGTKRKLPVDMITDTKDSARRPRLAGVAVG >DRNTG_07996.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30000029:30000320:1 gene:DRNTG_07996 transcript:DRNTG_07996.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAEVQRRALAEAFGASSSDDDDGEVGYGDGDDHRTLGREKKLGEMIPVWEPICEVNGLWLCREFLSENEQHWLLSSIRKGLRSFKSLSLIS >DRNTG_07996.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30000029:30000422:1 gene:DRNTG_07996 transcript:DRNTG_07996.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAEVQRRALAEAFGASSSDDDDGEVGYGDGDDHRTLGREKKLGEMIPVWEPICEVNGLWLCREFLSENEQHWLLSSIRKEGWFNGGSFNQVSS >DRNTG_07996.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30000029:30002253:1 gene:DRNTG_07996 transcript:DRNTG_07996.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGEIPEWAKELAGFVREAVCFSTVEDQPLPSDLLWREPLFDQLIVNVYEPGEGICAHVDLMRFDDGIAIVSLESTCVMHFTRSKEESDNKELEEDPSQRVPVLLTPGSLVLMSGEARYLWKHEINRKPGFQLWGGKEIQQERRTSVTLRKLHPQHNSG >DRNTG_07996.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30000029:30002253:1 gene:DRNTG_07996 transcript:DRNTG_07996.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNQGICAHVDLMRFDDGIAIVSLESTCVMHFTRSKEESDNKELEEDPSQRVPVLLTPGSLVLMSGEARYLWKHEINRKPGFQLWGGKEIQQERRTSVTLRKLHPQHNSG >DRNTG_07996.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29999964:30000422:1 gene:DRNTG_07996 transcript:DRNTG_07996.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAEVQRRALAEAFGASSSDDDDGEVGYGDGDDHRTLGREKKLGEMIPVWEPICEVNGLWLCREFLSENEQHWLLSSIRKEGWFNGGSFNQVSS >DRNTG_07996.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30000029:30003069:1 gene:DRNTG_07996 transcript:DRNTG_07996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAEVQRRALAEAFGASSSDDDDGEVGYGDGDDHRTLGREKKLGEMIPVWEPICEVNGLWLCREFLSENEQHWLLSSIRKEGWFNGGSFNQAMRFGEIPEWAKELAGFVREAVCFSTVEDQPLPSDLLWREPLFDQLIVNVYEPGEGICAHVDLMRFDDGIAIVSLESTCVMHFTRSKEESDNKELEEDPSQRVPVLLTPGSLVLMSGEARYLWKHEINRKPGFQLWGGKEIQQERRTSVTLRKLHPQHNSG >DRNTG_07996.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30001107:30002253:1 gene:DRNTG_07996 transcript:DRNTG_07996.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDDGIAIVSLESTCVMHFTRSKEESDNKELEEDPSQRVPVLLTPGSLVLMSGEARYLWKHEINRKPGFQLWGGKEIQQERRTSVTLRKLHPQHNSG >DRNTG_07996.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30001107:30003069:1 gene:DRNTG_07996 transcript:DRNTG_07996.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDDGIAIVSLESTCVMHFTRSKEESDNKELEEDPSQRVPVLLTPGSLVLMSGEARYLWKHEINRKPGFQLWGGKEIQQERRTSVTLRKLHPQHNSG >DRNTG_07996.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30000029:30000698:1 gene:DRNTG_07996 transcript:DRNTG_07996.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAEVQRRALAEAFGASSSDDDDGEVGYGDGDDHRTLGREKKLGEMIPVWEPICEVNGLWLCREFLSENEQHWLLSSIRKEGWFNGGSFNQAMRFGEIPEWAKELAGFVREAVCFSTVEDQPLPSDLLWREPLFDQLIVNVYEPGEVRTLLSHAIYWL >DRNTG_07996.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30000029:30002253:1 gene:DRNTG_07996 transcript:DRNTG_07996.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAEVQRRALAEAFGASSSDDDDGEVGYGDGDDHRTLGREKKLGEMIPVWEPICEVNGLWLCREFLSENEQHWLLSSIRKEGWFNGGSFNQAMRFGEIPEWAKELAGFVREAVCFSTVEDQPLPSDLLWREPLFDQLIVNVYEPGEGICAHVDLMRFDDGIAIVSLESTCVMHFTRSKEESDNKELEEDPSQRVPVLLTPGSLVLMSGEARYLWKHEINRKPGFQLWGGKEIQQERRTSVTLRKLHPQHNSG >DRNTG_07996.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30000375:30002253:1 gene:DRNTG_07996 transcript:DRNTG_07996.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNQGICAHVDLMRFDDGIAIVSLESTCVMHFTRSKEESDNKELEEDPSQRVPVLLTPGSLVLMSGEARYLWKHEINRKPGFQLWGGKEIQQERRTSVTLRKLHPQHNSG >DRNTG_32628.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18997345:18999202:-1 gene:DRNTG_32628 transcript:DRNTG_32628.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-Ubiquinone/plastoquinone (complex I) protein [Source:Projected from Arabidopsis thaliana (ATCG00890) TAIR;Acc:ATCG00890] MIWHVQNENFILDSTRIFMKAFHLLLFHGSFIFPECILIFGLILLLMIDSTSDQKDRPWFYFISSTTLVMSITALLFRWREEPIISFSGNFQTNNFNEIFQFLILLCSTLCIPLSVEYIECTEMAITEFLLFVLTATLGGMFLCGANDLITLFVAPECFSLCSYLLSGYTKRDVRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGISIALISITVGIGFKLSPAPFHQWTPDVYEGVRFVRQIPTSISISEMFGFFKTP >DRNTG_19437.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6891600:6893821:-1 gene:DRNTG_19437 transcript:DRNTG_19437.4 gene_biotype:protein_coding transcript_biotype:protein_coding ILLKQKLSGQGLAFLVAGGSVVQKILDVDEVLVVDAACIVAMTTTISFQLKHSNPTRRIIFGVSSLFSFI >DRNTG_19437.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6890642:6891779:-1 gene:DRNTG_19437 transcript:DRNTG_19437.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTISFQLKHSNPTRRIIFGGESQNQLTAVLTGPGIVFVQSLPFPRLSQRIARSVTSPNLRENPKFFAQIVTFLFLAYVIIVASLVLTDV >DRNTG_19437.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6890642:6894438:-1 gene:DRNTG_19437 transcript:DRNTG_19437.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTISFQLKHSNPTRRIIFGGESQNQLTAVLTGPGIVFVQSLPFPRLSQRIARSVTSPNLRENPKFFAQIVTFLFLAYVIIVASLVLTDV >DRNTG_19437.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6891600:6895380:-1 gene:DRNTG_19437 transcript:DRNTG_19437.3 gene_biotype:protein_coding transcript_biotype:protein_coding IWRRNNLPDAFLCSVNDVTVTSISEQRPRNVVFGTEILLKQKLSGQGLAFLVAGGSVVQKILDVDEVLVVDAACIVAMTTTISFQLKHSNPTRRIIFGVSSLFSFI >DRNTG_19437.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6890642:6895380:-1 gene:DRNTG_19437 transcript:DRNTG_19437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTISFQLKHSNPTRRIIFGGESQNQLTAVLTGPGIVFVQSLPFPRLSQRIARSVTSPNLRENPKFFAQIVTFLFLAYVIIVASLVLTDV >DRNTG_19437.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6890594:6891562:-1 gene:DRNTG_19437 transcript:DRNTG_19437.6 gene_biotype:protein_coding transcript_biotype:protein_coding FEYSELFGTDHHQFSRQALIYLYTFVLKGESQNQLTAVLTGPGIVFVQSLPFPRLSQRIARHAYH >DRNTG_19437.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6890642:6891562:-1 gene:DRNTG_19437 transcript:DRNTG_19437.7 gene_biotype:protein_coding transcript_biotype:protein_coding FEYSELFGTDHHQFSRQALIYLYTFVLKGESQNQLTAVLTGPGIVFVQSLPFPRLSQRIARSVTSPNLRENPKFFAQIVTFLFLAYVIIVASLVLTDV >DRNTG_17939.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17223306:17270422:1 gene:DRNTG_17939 transcript:DRNTG_17939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDEAAAHYIDMIDQTTLGHRMIKKQFNKVPRIGWQIDPFGHSAVQSYLLGAELGFDSVQFARIDYQDRVKRSADKSLEVVWRGSKTFGSSSQIFAGAFPIHYSPPEGFHFEVNDDAAPVQDDPLLFDYNVEQRVNDFIDAAVTQANVTMTNHIMWTMGDDFMYQYAESWFRQMDKLIHYVNKDGRVHALYSTPSIYIDAKNAANQSWPLKVDDYFPYADSANAYWTGYFTSRPAFKRYIRMLSGYYLAARQLEFFAGIASSGPSTSSLGDAMGLVQHHDAVSGTAKQHTTNDYVKRLSFGSSKAENVVNLALSCLTSSSEQCASPAVQFAQCNLLNISYCPPTEDESFEGKSLVLVLYNPLGWVRTDFIRIPVNGDQLSVRDSSGNLIEAQLVEMDNVTTNIRKFYVEAYLGISPKANPKYWLVFQVSVPPLGWNTYFVSEVAGAATGSKTHMSTTATPENGAIEVGPGPLKMTFSSVSGQLTRVFSSKTGTDIPIQQSYLWYGSSPGDATDSQASGAYIFRPNGAPPTIVSRSVPLKIISGPLVDEVHQQFSPWIYQITRLYKDKDHAEIEFTIGPIPTDDGVGKEVITRLTANMATNKIFYTDSNGRDFLKRVRDYREDWNLQVTQPVAGNYYPINLGMYLDDGKSELSVLVDRAVGGSSIQDGELEIMFHRRILFDDGRGVGEALDETICVQDICQGLTTRGNYYLGISQSGNGSQWRRTAGQEIHSPLLLAFSLQSEEDWKSSHILKGSQMDPGYSLPPNVALITLEDLNDGTVLLRLAHLYEAGEDIQYSKLAKVELKKMFANKTIKSLTETSLSANQNKSEMKRMSWRVEGDTGNSVTPVRGGTVSNATLIVEMGPMEIRTFLLAF >DRNTG_24760.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2186345:2187258:1 gene:DRNTG_24760 transcript:DRNTG_24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVLASPIGKAAISNGFTAKKIQTKQTRRGSSDETEDVEEYEIVIPAGTALLYPGDGAITLEAVDFEVKHLIVLDGTWGKARRMYCENPWLQLMPRLRLDWKSESLYSEVRHEPKAGCLSTIESIMCALKALHGGEEEKLDELLMLFESMVGDQRRLKDEKFKIMESKS >DRNTG_24080.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26396164:26398176:-1 gene:DRNTG_24080 transcript:DRNTG_24080.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SLOW WALKER 1 [Source:Projected from Arabidopsis thaliana (AT2G47990) UniProtKB/Swiss-Prot;Acc:O82266] MAAADLQSYFPAESSAAVLPPPRRPRPSTPESNFWRSFRKSQLSPSFILPVSSLDFSPTAPHDLAVAASASIHLLDGSSISPKPYSPLSSGFSDLAHSPSFRSDGALLAAGDSSGLVHVLDPSHSRPPLRRLRAHTRAAHVVRFPKSPNDKLHLFSGGDDALLCYWDIPSETSVLSIAGAHKDYIRAGAASPVNTEIFATGSYDHTVKIWDVRVSPESNPVSSFNHGNPVESLLFLPSGGLLATAGGNVVKLWDVIGGGRLIHSMESHNKTVTSLCLEKFGDGSDDEQSRILSVSIDGYLKVFDYAAFKVTHSMRFPAQLLSVGFSPSGSAWVVGASNGTLYFGKKRTEKKEIVASVASEFDGFVAEPQKRALRPSYYRYFHRGQSEKPGEGDLIVKKAAKLKLAEHDKLLKKFRHRESLVSALRRGNPNSIVAVMEELVARKKLLKCVENLDVDELGLLLGFLHKHATTPRYARFLMGLVKKVLEMRAEDVRSSAILRGHVRNLKRMVVEEIQVQRSLQEIQGMISPLLVIAGR >DRNTG_24080.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26396062:26398264:-1 gene:DRNTG_24080 transcript:DRNTG_24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SLOW WALKER 1 [Source:Projected from Arabidopsis thaliana (AT2G47990) UniProtKB/Swiss-Prot;Acc:O82266] MAAADLQSYFPAESSAAVLPPPRRPRPSTPESNFWRSFRKSQLSPSFILPVSSLDFSPTAPHDLAVAASASIHLLDGSSISPKPYSPLSSGFSDLAHSPSFRSDGALLAAGDSSGLVHVLDPSHSRPPLRRLRAHTRAAHVVRFPKSPNDKLHLFSGGDDALLCYWDIPSETSVLSIAGAHKDYIRAGAASPVNTEIFATGSYDHTVKIWDVRVSPESNPVSSFNHGNPVESLLFLPSGGLLATAGGNVVKLWDVIGGGRLIHSMESHNKTVTSLCLEKFGDGSDDEQSRILSVSIDGYLKVFDYAAFKVTHSMRFPAQLLSVGFSPSGSAWVVGASNGTLYFGKKRTEKKEIVASVASEFDGFVAEPQKRALRPSYYRYFHRGQSEKPGEGDLIVKKAAKLKLAEHDKLLKKFRHRESLVSALRRGNPNSIVAVMEELVARKKLLKCVENLDVDELGLLLGFLHKHATTPRYARFLMGLVKKVLEMRAEDVRSSAILRGHVRNLKRMVVEEIQVQRSLQEIQGMISPLLVIAGR >DRNTG_24080.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26396023:26398176:-1 gene:DRNTG_24080 transcript:DRNTG_24080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SLOW WALKER 1 [Source:Projected from Arabidopsis thaliana (AT2G47990) UniProtKB/Swiss-Prot;Acc:O82266] MAAADLQSYFPAESSAAVLPPPRRPRPSTPESNFWRSFRKSQLSPSFILPVSSLDFSPTAPHDLAVAASASIHLLDGSSISPKPYSPLSSGFSDLAHSPSFRSDGALLAAGDSSGLVHVLDPSHSRPPLRRLRAHTRAAHVVRFPKSPNDKLHLFSGGDDALLCYWDIPSETSVLSIAGAHKDYIRAGAASPVNTEIFATGSYDHTVKIWDVRVSPESNPVSSFNHGNPVESLLFLPSGGLLATAGGNVVKLWDVIGGGRLIHSMESHNKTVTSLCLEKFGDGSDDEQSRILSVSIDGYLKVFDYAAFKVTHSMRFPAQLLSVGFSPSGSAWVVGASNGTLYFGKKRTEKKEIVASVASEFDGFVAEPQKRALRPSYYRYFHRGQSEKPGEGDLIVKKAAKLKLAEHDKLLKKFRHRESLVSALRRGNPNSIVAVMEELVARKKLLKCVENLDVDELGLLLGFLHKHATTPRYARFLMGLVKKVLEMRAEDVRSSAILRGHVRNLKRMVVEEIQVQRSLQEIQGMISPLLVIAGR >DRNTG_24080.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26396062:26398176:-1 gene:DRNTG_24080 transcript:DRNTG_24080.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SLOW WALKER 1 [Source:Projected from Arabidopsis thaliana (AT2G47990) UniProtKB/Swiss-Prot;Acc:O82266] MAAADLQSYFPAESSAAVLPPPRRPRPSTPESNFWRSFRKSQLSPSFILPVSSLDFSPTAPHDLAVAASASIHLLDGSSISPKPYSPLSSGFSDLAHSPSFRSDGALLAAGDSSGLVHVLDPSHSRPPLRRLRAHTRAAHVVRFPKSPNDKLHLFSGGDDALLCYWDIPSETSVLSIAGAHKDYIRAGAASPVNTEIFATGSYDHTVKIWDVRVSPESNPVSSFNHGNPVESLLFLPSGGLLATAGGNVVKLWDVIGGGRLIHSMESHNKTVTSLCLEKFGDGSDDEQSRILSVSIDGYLKVFDYAAFKVTHSMRFPAQLLSVGFSPSGSAWVVGASNGTLYFGKKRTEKKEIVASVASEFDGFVAEPQKRALRPSYYRYFHRGQSEKPGEGDLIVKKAAKLKLAEHDKLLKKFRHRESLVSALRRGNPNSIVAVMEELVARKKLLKCVENLDVDELGLLLGFLHKHATTPRYARFLMGLVKKVLEMRAEDVRSSAILRGHVRNLKRMVVEEIQVQRSLQEIQGMISPLLVIAGR >DRNTG_24080.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26396117:26398176:-1 gene:DRNTG_24080 transcript:DRNTG_24080.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SLOW WALKER 1 [Source:Projected from Arabidopsis thaliana (AT2G47990) UniProtKB/Swiss-Prot;Acc:O82266] MAAADLQSYFPAESSAAVLPPPRRPRPSTPESNFWRSFRKSQLSPSFILPVSSLDFSPTAPHDLAVAASASIHLLDGSSISPKPYSPLSSGFSDLAHSPSFRSDGALLAAGDSSGLVHVLDPSHSRPPLRRLRAHTRAAHVVRFPKSPNDKLHLFSGGDDALLCYWDIPSETSVLSIAGAHKDYIRAGAASPVNTEIFATGSYDHTVKIWDVRVSPESNPVSSFNHGNPVESLLFLPSGGLLATAGGNVVKLWDVIGGGRLIHSMESHNKTVTSLCLEKFGDGSDDEQSRILSVSIDGYLKVFDYAAFKVTHSMRFPAQLLSVGFSPSGSAWVVGASNGTLYFGKKRTEKKEIVASVASEFDGFVAEPQKRALRPSYYRYFHRGQSEKPGEGDLIVKKAAKLKLAEHDKLLKKFRHRESLVSALRRGNPNSIVAVMEELVARKKLLKCVENLDVDELGLLLGFLHKHATTPRYARFLMGLVKKVLEMRAEDVRSSAILRGHVRNLKRMVVEEIQVQRSLQEIQGMISPLLVIAGR >DRNTG_24080.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26396164:26398264:-1 gene:DRNTG_24080 transcript:DRNTG_24080.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SLOW WALKER 1 [Source:Projected from Arabidopsis thaliana (AT2G47990) UniProtKB/Swiss-Prot;Acc:O82266] MAAADLQSYFPAESSAAVLPPPRRPRPSTPESNFWRSFRKSQLSPSFILPVSSLDFSPTAPHDLAVAASASIHLLDGSSISPKPYSPLSSGFSDLAHSPSFRSDGALLAAGDSSGLVHVLDPSHSRPPLRRLRAHTRAAHVVRFPKSPNDKLHLFSGGDDALLCYWDIPSETSVLSIAGAHKDYIRAGAASPVNTEIFATGSYDHTVKIWDVRVSPESNPVSSFNHGNPVESLLFLPSGGLLATAGGNVVKLWDVIGGGRLIHSMESHNKTVTSLCLEKFGDGSDDEQSRILSVSIDGYLKVFDYAAFKVTHSMRFPAQLLSVGFSPSGSAWVVGASNGTLYFGKKRTEKKEIVASVASEFDGFVAEPQKRALRPSYYRYFHRGQSEKPGEGDLIVKKAAKLKLAEHDKLLKKFRHRESLVSALRRGNPNSIVAVMEELVARKKLLKCVENLDVDELGLLLGFLHKHATTPRYARFLMGLVKKVLEMRAEDVRSSAILRGHVRNLKRMVVEEIQVQRSLQEIQGMISPLLVIAGR >DRNTG_24080.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26396117:26398264:-1 gene:DRNTG_24080 transcript:DRNTG_24080.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SLOW WALKER 1 [Source:Projected from Arabidopsis thaliana (AT2G47990) UniProtKB/Swiss-Prot;Acc:O82266] MAAADLQSYFPAESSAAVLPPPRRPRPSTPESNFWRSFRKSQLSPSFILPVSSLDFSPTAPHDLAVAASASIHLLDGSSISPKPYSPLSSGFSDLAHSPSFRSDGALLAAGDSSGLVHVLDPSHSRPPLRRLRAHTRAAHVVRFPKSPNDKLHLFSGGDDALLCYWDIPSETSVLSIAGAHKDYIRAGAASPVNTEIFATGSYDHTVKIWDVRVSPESNPVSSFNHGNPVESLLFLPSGGLLATAGGNVVKLWDVIGGGRLIHSMESHNKTVTSLCLEKFGDGSDDEQSRILSVSIDGYLKVFDYAAFKVTHSMRFPAQLLSVGFSPSGSAWVVGASNGTLYFGKKRTEKKEIVASVASEFDGFVAEPQKRALRPSYYRYFHRGQSEKPGEGDLIVKKAAKLKLAEHDKLLKKFRHRESLVSALRRGNPNSIVAVMEELVARKKLLKCVENLDVDELGLLLGFLHKHATTPRYARFLMGLVKKVLEMRAEDVRSSAILRGHVRNLKRMVVEEIQVQRSLQEIQGMISPLLVIAGR >DRNTG_28555.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3781172:3784151:1 gene:DRNTG_28555 transcript:DRNTG_28555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLTSPTLIIPRPIPKPHLSCSQSPQIPPPSSPSQQQPLNPISSSSPSKPNPQRPQPPLKPRVSSTQPESTDWVASTLTRRFGLGAGLAWVAFLAIGVVSEQIKTRFEASQQESNTRTVEKEEETVLPNGIKYYELTIGGGAYPRKGDLVVIDLKGRVKDSNNAPFIDTFSEGKKPLALVMGSRPYTKGMCEGIEYVLNSMKAGGKRRVLVPPTMGFGDDGVDLGSNVQIPPASTLEYIVQVDKVSIAPS >DRNTG_17810.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:17936014:17938965:1 gene:DRNTG_17810 transcript:DRNTG_17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GABA transporter 1 [Source:Projected from Arabidopsis thaliana (AT1G08230) UniProtKB/Swiss-Prot;Acc:F4HW02] MYIYTPLIICPTYLVNLFDNIRVLYGEMASQVRDAVDNNIEQVKVGSAAAVAAPTSSFEMDGSKRTDAGALFVLKSKGTWMHAGYHLTTSIVAPSILSLPYAFVKLGWACSVIFLFIGALVSFYNYNLVCLVLEHESKNGRRQLRFRDMGSDILGPAWGRYYIGPIQLIVCLAAVIGAILLGGTSMKAIYLVSNPEGTMKLYEFVIIFGCFVLLLAQIPSFHSLRYITFFSLVLCFAYAACATGGSIHAGNSSLNPPKDYSVPGNTRNIVFGVFNGIAIIGSTYGIGIIPEIQATVAPPVTGKMFKGLCVCYAVVMTTFFSVAISGYWAFGNLSQQIILSNFITGLGQFLVPKWFIRMTNIFTLLQLTAVAAVYMQPFNELLENLLGDPNKGEYSVRNVIPRLFSRSFVVAASTTLAAMLPFLRRPQCSDWSYWLHAPRLCHPCCFLQYQFQAIKKVFHLLAQHCHRRCFLCLVCNWHRICNQTSYFGCQELQVVRRYITQ >DRNTG_07024.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3404191:3406191:1 gene:DRNTG_07024 transcript:DRNTG_07024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLLSILIFVSLVASVLFFSYLMSKINCHPKRLSVFLGYANNQKGFVCFNPIKQQICISRHVTFVENSYYYSSPTSSSTSAVFFLTLFLDSNYHNKKHQGTTVTSPLPPVQGNLSLDPPIASSSYCTLPPSLPAHPLKQYTRHPKPSITCPTSILPTASKSIPDIEVEPEHQDAPPLRRSERKSVPPKRYGYSPEYCGPKSAFLFTLAQVSIPSSCKEAMEQECWKQAMAKELKALQDNHTWEFCPDPQNG >DRNTG_08388.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4552977:4557894:-1 gene:DRNTG_08388 transcript:DRNTG_08388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHRIFHGLAAKHGSIMHLYLGHIPTIIISSPDLAFEIFKIHDIVFSNRPAFAAGMKFSRGGLSIRFSNYGEHWRQMRKLDSLELFSMKRVKSFHWAREAETDILIRTIHDYCCSKKQTVNQSEMFLCLSNNIIGQVAFSRRFSTEGKCNRSEYHDLIRYIFQLLVFKRLDEFLEREIDDHRLSSDDDHNSQHGEDFVDVLLELQRNSNLDFPITRDQIKVILTDIFNTGTETSALILEWVMVEEEDLQRLEYLGYVMNETLRLHPAAGLLLPRESAEDCKISGYDVPKKTRIMVNAWALGRDPKSWENPVIFFPERFYGSSINFKGNHMQFIPFGAGRRICPGIQLGIATVMIALAKILLLASDFQQQNVNEAS >DRNTG_06134.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25547361:25548179:1 gene:DRNTG_06134 transcript:DRNTG_06134.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNQRDRDRERAQARKPQAKGKDDGLTPEQRRERDAKALQEKAAKKAGQASAAGGDTKNKGTAKK >DRNTG_03066.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12290926:12292288:1 gene:DRNTG_03066 transcript:DRNTG_03066.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKEQKSTALVDHELFILITFHNHEISQEQNQPHSITSPRGKNINLNSRKRKNRKNTTVINHELFILISLHNMKLSQEQSQK >DRNTG_27192.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:554669:555828:-1 gene:DRNTG_27192 transcript:DRNTG_27192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMKAMVIPLLLLLLMGGMVSEATPNHQVKPAYPPLAPPPTHENHHHHHHHHHHYHHHPHHAPPPQSSPPLYPYPKSPPPPIHHGHHPPAPVHPSPPPVYTPPPTTPPVHYGTPPPPPPPIHYGRHPPAPVHHSPPPPPPPPVYTSPPPPIHYGRHPPAPVHHTPPPVYTPPPTPTPVHHGTPPPPPIHYGHYPPAPVHHSHPPPPPPVYTPPPTPVYHGTPPPPPIHYGRHPPAPIHHSPPPVYHTPPPTPAYHGTPPPPHIHHGRHPPAPIHRAPPPVYTTPPPPYHNIPEHGPPPPPYH >DRNTG_27192.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:554669:555828:-1 gene:DRNTG_27192 transcript:DRNTG_27192.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMKAMVIPLLLLLLMGGMVSEATPNHQVKPAYPPLAPPPTHENHHHHHHHHHHYHHHPHHAPPPQSSPPLYPYPKSPPPPIHYGRHPPAPVHHSPPPPPPPPVYTSPPPPIHYGRHPPAPVHHTPPPVYTPPPTPTPVHHGTPPPPPIHYGHYPPAPVHHSHPPPPPPVYTPPPTPVYHGTPPPPPIHYGRHPPAPIHHSPPPVYHTPPPTPAYHGTPPPPHIHHGRHPPAPIHRAPPPVYTTPPPPYHNIPEHGPPPPPYH >DRNTG_00124.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000031.1:12904:13430:1 gene:DRNTG_00124 transcript:DRNTG_00124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSLDFEETLYFLDTTDKIVDEYMQEMFNPDPYEGLFDQEEDNEEVMMLGSTEEVPSTPGISKKVLRKMKRARRGHWKCSKTVGDVSEPKKLDEPLLGGPKPDNSPSTLRRFCSSCFQDMGRVMRPSTKGKSQCGS >DRNTG_31106.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7007374:7008909:1 gene:DRNTG_31106 transcript:DRNTG_31106.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASVNTNGIKHRPLGRHTDAAAGTQNTEALVCIHSQDTSAFCSVMTQLISKILRQQT >DRNTG_05216.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000234.1:25830:27707:1 gene:DRNTG_05216 transcript:DRNTG_05216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKGKKLTVVAGHDDPGSGGEEPLPPYKRRGRPQKPLKDGIDEDDTEKIEEEEEEGDDTKPTISSKQVKGAVVENGRKRKRQQLKENSDSALDENGAGTKSSIEDPAKPNGFRQNGNRRKSKPRRAAEAGVECK >DRNTG_34490.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12813755:12828961:-1 gene:DRNTG_34490 transcript:DRNTG_34490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRLDTILQLLERDASSPFIKRPRTPPVPPATPSPDLTPPCDYVPASAATEPIEDDNDA >DRNTG_31392.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28070289:28077533:-1 gene:DRNTG_31392 transcript:DRNTG_31392.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARNFNQGLDSFSESDFTDSCSESDIVEAAEDPSCRDARGEGLGQRRDAGNSQLEPKVGMVFRSEDEAYEFYNEYAKKKGFSVRKGHIARRSDGSVQSRSYLCSKEGTRQKHSSHVTRKPRALERTNCMARIEYKVDKDSIWVVSKVIIDHNHHLASASTSHMLKSQRKASNSKTRVSDGVDRAEGEAAEMVGDHGVENVGFINRHRSSCLNTKRGRDLERGDAQMLFEFLKGKQLEDPSFFYAIQLDEMDRMTNFFWADSQSVDNYSYFGDVVSLDTLYRLNKSEVPFALFTGVNHHKQICVFGAAVLLDESVESFVWLFNAFMAAMSGRQPRTIFTDHCASIANAISMVLTNTCHRICLWHILQNAEKQLSSVYNIKPNLSKEFKTFLYEAGSKDDFYAGWNNLINKYDLGGNQWLRELFGIQEKWALVYQGNSFSATMTTPQWSESMLTLFKLNLNIKLPLSKIIELYQKALIQLREKELLEDYKSRTTKPVLLVDMPMLNEAAESYTRLIYMDFEDQFKSQIACVCQPVAIDETLCTFRVSLPNEHTYWLVEFHPTDAIVLCSCKKFETMGILCMHALKVLNINNVLNLSSQYILKRWTKFANEGPLDSEGSASLALRYNQVYRKMITAVDKIVASKDALEVFERGFDKLIMEVENVIHGPPKIVESESQIDQSHAITERKKKRKTHYINNSTEANTSHDVVQSKGCNVGGMDQMVHGASHLKHYDQKVVSSLHDAVPSNPASLMGGASLSTNKDNVDPSIGSQGAGNSKETQIAAKDSVGGPMQIMCGQPANLNNCTVETYPNVVVYRFHSDQPIHFTVPNRRKSMPHNHKLGSSKGN >DRNTG_31392.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28070289:28077533:-1 gene:DRNTG_31392 transcript:DRNTG_31392.9 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSCVPPGLDSFSESDFTDSCSESDIVEAAEDPSCRDARGEGLGQRRDAGNSQLEPKVGMVFRSEDEAYEFYNEYAKKKGFSVRKGHIARRSDGSVQSRSYLCSKEGTRQKHSSHVTRKPRALERTNCMARIEYKVDKDSIWVVSKVIIDHNHHLASASTSHMLKSQRKASNSKTRVSDGVDRAEGEAAEMVGDHGVENVGFINRHRSSCLNTKRGRDLERGDAQMLFEFLKGKQLEDPSFFYAIQLDEMDRMTNFFWADSQSVDNYSYFGDVVSLDTLYRLNKSEVPFALFTGVNHHKQICVFGAAVLLDESVESFVWLFNAFMAAMSGRQPRTIFTDHCASIANAISMVLTNTCHRICLWHILQNAEKQLSSVYNIKPNLSKEFKTFLYEAGSKDDFYAGWNNLINKYDLGGNQWLRELFGIQEKWALVYQGNSFSATMTTPQWSESMLTLFKLNLNIKLPLSKIIELYQKALIQLREKELLEDYKSRTTKPVLLVDMPMLNEAAESYTRLIYMDFEDQFKSQIACVCQPVAIDETLCTFRVSLPNEHTYWLVEFHPTDAIVLCSCKKFETMGILCMHALKVLNINNVLNLSSQYILKRWTKFANEGPLDSEGSASLALRYNQVYRKMITAVDKIVASKDALEVFERGFDKLIMEVENVIHGPPKIVESESQIDQSHAITERKKKRKTHYINNSTEANTSHDVVQSKGCNVGGMDQMVHGASHLKHYDQKVVSSLHDAVPSNPASLMGGASLSTNKDNVDPSIGSQGAGNSKETQIAAKDSVGGPMQIMCGQPANLNNCTVETYPNVVVYRFHSDQPIHFTVPNRRKSMPHNHKLGSSKGN >DRNTG_31392.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28070289:28077533:-1 gene:DRNTG_31392 transcript:DRNTG_31392.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSCVPPGLDSFSESDFTDSCSESDIVEAAEDPSCRDARGEGLGQRRDAGNSQLEPKVGMVFRSEDEAYEFYNEYAKKKGFSVRKGHIARRSDGSVQSRSYLCSKEGTRQKHSSHVTRKPRALERTNCMARIEYKVDKDSIWVVSKVIIDHNHHLASASTSHMLKSQRKASNSKTRVSDGVDRAEGEAAEMVGDHGVENVGFINRHRSSCLNTKRGRDLERGDAQMLFEFLKGKQLEDPSFFYAIQLDEMDRMTNFFWADSQSVDNYSYFGDVVSLDTLYRLNKSEVPFALFTGVNHHKQICVFGAAVLLDESVESFVWLFNAFMAAMSGRQPRTIFTDHCASIANAISMVLTNTCHRICLWHILQNAEKQLSSVYNIKPNLSKEFKTFLYEAGSKDDFYAGWNNLINKYDLGGNQWLRELFGIQEKWALVYQGNSFSATMTTPQWSESMLTLFKLNLNIKLPLSKIIELYQKALIQLREKELLEDYKSRTTKPVLLVDMPMLNEAAESYTRLIYMDFEDQFKSQIACVCQPVAIDETLCTFRVSLPNEHTYWLVEFHPTDAIVLCSCKKFETMGILCMHALKVLNINNVLNLSSQYILKRWTKFANEGPLDSEGSASLALRYNQVYRKMITAVDKIVASKDALEVFERGFDKLIMEVENVIHGPPKIVESESQIDQSHAITERKKKRKTHYINNSTEANTSHDVVQSKGCNVGGMDQMVHGASHLKHYDQKVVSSLHDAVPSNPASLMGGASLSTNKDNVDPSIGSQGAGNSKETQIAAKDSVGGPMQIMCGQPANLNNCTVETYPNVVVYRFHSDQPIHFTVPNRRKSMPHNHKLGSSKGN >DRNTG_31392.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28070289:28077533:-1 gene:DRNTG_31392 transcript:DRNTG_31392.12 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSCVPPGLDSFSESDFTDSCSESDIVEAAEDPSCRDARGEGLGQRRDAGNSQLEPKVGMVFRSEDEAYEFYNEYAKKKGFSVRKGHIARRSDGSVQSRSYLCSKEGTRQKHSSHVTRKPRALERTNCMARIEYKVDKDSIWVVSKVIIDHNHHLASASTSHMLKSQRKASNSKTRVSDGVDRAEGEAAEMVGDHGVENVGFINRHRSSCLNTKRGRDLERGDAQMLFEFLKGKQLEDPSFFYAIQLDEMDRMTNFFWADSQSVDNYSYFGDVVSLDTLYRLNKSEVPFALFTGVNHHKQICVFGAAVLLDESVESFVWLFNAFMAAMSGRQPRTIFTDHCASIANAISMVLTNTCHRICLWHILQNAEKQLSSVYNIKPNLSKEFKTFLYEAGSKDDFYAGWNNLINKYDLGGNQWLRELFGIQEKWALVYQGNSFSATMTTPQWSESMLTLFKLNLNIKLPLSKIIELYQKALIQLREKELLEDYKSRTTKPVLLVDMPMLNEAAESYTRLIYMDFEDQFKSQIACVCQPVAIDETLCTFRVSLPNEHTYWLVEFHPTDAIVLCSCKKFETMGILCMHALKVLNINNVLNLSSQYILKRWTKFANEGPLDSEGSASLALRYNQVYRKMITAVDKIVASKDALEVFERGFDKLIMEVENVIHGPPKIVESESQIDQSHAITERKKKRKTHYINNSTEANTSHDVVQSKGCNVGGMDQMVHGASHLKHYDQKVVSSLHDAVPSNPASLMGGASLSTNKDNVDPSIGSQGAGNSKETQIAAKDSVGGPMQIMCGQPANLNNCTVETYPNVVVYRFHSDQPIHFTVPNRRKSMPHNHKLGSSKGN >DRNTG_31392.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28070289:28077533:-1 gene:DRNTG_31392 transcript:DRNTG_31392.7 gene_biotype:protein_coding transcript_biotype:protein_coding MARNFNQGLDSFSESDFTDSCSESDIVEAAEDPSCRDARGEGLGQRRDAGNSQLEPKVGMVFRSEDEAYEFYNEYAKKKGFSVRKGHIARRSDGSVQSRSYLCSKEGTRQKHSSHVTRKPRALERTNCMARIEYKVDKDSIWVVSKVIIDHNHHLASASTSHMLKSQRKASNSKTRVSDGVDRAEGEAAEMVGDHGVENVGFINRHRSSCLNTKRGRDLERGDAQMLFEFLKGKQLEDPSFFYAIQLDEMDRMTNFFWADSQSVDNYSYFGDVVSLDTLYRLNKSEVPFALFTGVNHHKQICVFGAAVLLDESVESFVWLFNAFMAAMSGRQPRTIFTDHCASIANAISMVLTNTCHRICLWHILQNAEKQLSSVYNIKPNLSKEFKTFLYEAGSKDDFYAGWNNLINKYDLGGNQWLRELFGIQEKWALVYQGNSFSATMTTPQWSESMLTLFKLNLNIKLPLSKIIELYQKALIQLREKELLEDYKSRTTKPVLLVDMPMLNEAAESYTRLIYMDFEDQFKSQIACVCQPVAIDETLCTFRVSLPNEHTYWLVEFHPTDAIVLCSCKKFETMGILCMHALKVLNINNVLNLSSQYILKRWTKFANEGPLDSEGSASLALRYNQVYRKMITAVDKIVASKDALEVFERGFDKLIMEVENVIHGPPKIVESESQIDQSHAITERKKKRKTHYINNSTEANTSHDVVQSKGCNVGGMDQMVHGASHLKHYDQKVVSSLHDAVPSNPASLMGGASLSTNKDNVDPSIGSQGAGNSKETQIAAKDSVGGPMQIMCGQPANLNNCTVETYPNVVVYRFHSDQPIHFTVPNRRKSMPHNHKLGSSKGN >DRNTG_31392.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28070289:28077533:-1 gene:DRNTG_31392 transcript:DRNTG_31392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNFNQGLDSFSESDFTDSCSESDIVEAAEDPSCRDARGEGLGQRRDAGNSQLEPKVGMVFRSEDEAYEFYNEYAKKKGFSVRKGHIARRSDGSVQSRSYLCSKEGTRQKHSSHVTRKPRALERTNCMARIEYKVDKDSIWVVSKVIIDHNHHLASASTSHMLKSQRKASNSKTRVSDGVDRAEGEAAEMVGDHGVENVGFINRHRSSCLNTKRGRDLERGDAQMLFEFLKGKQLEDPSFFYAIQLDEMDRMTNFFWADSQSVDNYSYFGDVVSLDTLYRLNKSEVPFALFTGVNHHKQICVFGAAVLLDESVESFVWLFNAFMAAMSGRQPRTIFTDHCASIANAISMVLTNTCHRICLWHILQNAEKQLSSVYNIKPNLSKEFKTFLYEAGSKDDFYAGWNNLINKYDLGGNQWLRELFGIQEKWALVYQGNSFSATMTTPQWSESMLTLFKLNLNIKLPLSKIIELYQKALIQLREKELLEDYKSRTTKPVLLVDMPMLNEAAESYTRLIYMDFEDQFKSQIACVCQPVAIDETLCTFRVSLPNEHTYWLVEFHPTDAIVLCSCKKFETMGILCMHALKVLNINNVLNLSSQYILKRWTKFANEGPLDSEGSASLALRYNQVYRKMITAVDKIVASKDALEVFERGFDKLIMEVENVIHGPPKIVESESQIDQSHAITERKKKRKTHYINNSTEANTSHDVVQSKGCNVGGMDQMVHGASHLKHYDQKVVSSLHDAVPSNPASLMGGASLSTNKDNVDPSIGSQGAGNSKETQIAAKDSVGGPMQIMCGQPANLNNCTVETYPNVVVYRFHSDQPIHFTVPNRRKSMPHNHKLGSSKGN >DRNTG_31392.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28070289:28077533:-1 gene:DRNTG_31392 transcript:DRNTG_31392.8 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSCVPPGLDSFSESDFTDSCSESDIVEAAEDPSCRDARGEGLGQRRDAGNSQLEPKVGMVFRSEDEAYEFYNEYAKKKGFSVRKGHIARRSDGSVQSRSYLCSKEGTRQKHSSHVTRKPRALERTNCMARIEYKVDKDSIWVVSKVIIDHNHHLASASTSHMLKSQRKASNSKTRVSDGVDRAEGEAAEMVGDHGVENVGFINRHRSSCLNTKRGRDLERGDAQMLFEFLKGKQLEDPSFFYAIQLDEMDRMTNFFWADSQSVDNYSYFGDVVSLDTLYRLNKSEVPFALFTGVNHHKQICVFGAAVLLDESVESFVWLFNAFMAAMSGRQPRTIFTDHCASIANAISMVLTNTCHRICLWHILQNAEKQLSSVYNIKPNLSKEFKTFLYEAGSKDDFYAGWNNLINKYDLGGNQWLRELFGIQEKWALVYQGNSFSATMTTPQWSESMLTLFKLNLNIKLPLSKIIELYQKALIQLREKELLEDYKSRTTKPVLLVDMPMLNEAAESYTRLIYMDFEDQFKSQIACVCQPVAIDETLCTFRVSLPNEHTYWLVEFHPTDAIVLCSCKKFETMGILCMHALKVLNINNVLNLSSQYILKRWTKFANEGPLDSEGSASLALRYNQVYRKMITAVDKIVASKDALEVFERGFDKLIMEVENVIHGPPKIVESESQIDQSHAITERKKKRKTHYINNSTEANTSHDVVQSKGCNVGGMDQMVHGASHLKHYDQKVVSSLHDAVPSNPASLMGGASLSTNKDNVDPSIGSQGAGNSKETQIAAKDSVGGPMQIMCGQPANLNNCTVETYPNVVVYRFHSDQPIHFTVPNRRKSMPHNHKLGSSKGN >DRNTG_31392.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28070289:28077533:-1 gene:DRNTG_31392 transcript:DRNTG_31392.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSCVPPGLDSFSESDFTDSCSESDIVEAAEDPSCRDARGEGLGQRRDAGNSQLEPKVGMVFRSEDEAYEFYNEYAKKKGFSVRKGHIARRSDGSVQSRSYLCSKEGTRQKHSSHVTRKPRALERTNCMARIEYKVDKDSIWVVSKVIIDHNHHLASASTSHMLKSQRKASNSKTRVSDGVDRAEGEAAEMVGDHGVENVGFINRHRSSCLNTKRGRDLERGDAQMLFEFLKGKQLEDPSFFYAIQLDEMDRMTNFFWADSQSVDNYSYFGDVVSLDTLYRLNKSEVPFALFTGVNHHKQICVFGAAVLLDESVESFVWLFNAFMAAMSGRQPRTIFTDHCASIANAISMVLTNTCHRICLWHILQNAEKQLSSVYNIKPNLSKEFKTFLYEAGSKDDFYAGWNNLINKYDLGGNQWLRELFGIQEKWALVYQGNSFSATMTTPQWSESMLTLFKLNLNIKLPLSKIIELYQKALIQLREKELLEDYKSRTTKPVLLVDMPMLNEAAESYTRLIYMDFEDQFKSQIACVCQPVAIDETLCTFRVSLPNEHTYWLVEFHPTDAIVLCSCKKFETMGILCMHALKVLNINNVLNLSSQYILKRWTKFANEGPLDSEGSASLALRYNQVYRKMITAVDKIVASKDALEVFERGFDKLIMEVENVIHGPPKIVESESQIDQSHAITERKKKRKTHYINNSTEANTSHDVVQSKGCNVGGMDQMVHGASHLKHYDQKVVSSLHDAVPSNPASLMGGASLSTNKDNVDPSIGSQGAGNSKETQIAAKDSVGGPMQIMCGQPANLNNCTVETYPNVVVYRFHSDQPIHFTVPNRRKSMPHNHKLGSSKGN >DRNTG_31392.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28070289:28077533:-1 gene:DRNTG_31392 transcript:DRNTG_31392.11 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSCVPPGLDSFSESDFTDSCSESDIVEAAEDPSCRDARGEGLGQRRDAGNSQLEPKVGMVFRSEDEAYEFYNEYAKKKGFSVRKGHIARRSDGSVQSRSYLCSKEGTRQKHSSHVTRKPRALERTNCMARIEYKVDKDSIWVVSKVIIDHNHHLASASTSHMLKSQRKASNSKTRVSDGVDRAEGEAAEMVGDHGVENVGFINRHRSSCLNTKRGRDLERGDAQMLFEFLKGKQLEDPSFFYAIQLDEMDRMTNFFWADSQSVDNYSYFGDVVSLDTLYRLNKSEVPFALFTGVNHHKQICVFGAAVLLDESVESFVWLFNAFMAAMSGRQPRTIFTDHCASIANAISMVLTNTCHRICLWHILQNAEKQLSSVYNIKPNLSKEFKTFLYEAGSKDDFYAGWNNLINKYDLGGNQWLRELFGIQEKWALVYQGNSFSATMTTPQWSESMLTLFKLNLNIKLPLSKIIELYQKALIQLREKELLEDYKSRTTKPVLLVDMPMLNEAAESYTRLIYMDFEDQFKSQIACVCQPVAIDETLCTFRVSLPNEHTYWLVEFHPTDAIVLCSCKKFETMGILCMHALKVLNINNVLNLSSQYILKRWTKFANEGPLDSEGSASLALRYNQVYRKMITAVDKIVASKDALEVFERGFDKLIMEVENVIHGPPKIVESESQIDQSHAITERKKKRKTHYINNSTEANTSHDVVQSKGCNVGGMDQMVHGASHLKHYDQKVVSSLHDAVPSNPASLMGGASLSTNKDNVDPSIGSQGAGNSKETQIAAKDSVGGPMQIMCGQPANLNNCTVETYPNVVVYRFHSDQPIHFTVPNRRKSMPHNHKLGSSKGN >DRNTG_31392.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28070289:28077533:-1 gene:DRNTG_31392 transcript:DRNTG_31392.6 gene_biotype:protein_coding transcript_biotype:protein_coding MARNFNQGLDSFSESDFTDSCSESDIVEAAEDPSCRDARGEGLGQRRDAGNSQLEPKVGMVFRSEDEAYEFYNEYAKKKGFSVRKGHIARRSDGSVQSRSYLCSKEGTRQKHSSHVTRKPRALERTNCMARIEYKVDKDSIWVVSKVIIDHNHHLASASTSHMLKSQRKASNSKTRVSDGVDRAEGEAAEMVGDHGVENVGFINRHRSSCLNTKRGRDLERGDAQMLFEFLKGKQLEDPSFFYAIQLDEMDRMTNFFWADSQSVDNYSYFGDVVSLDTLYRLNKSEVPFALFTGVNHHKQICVFGAAVLLDESVESFVWLFNAFMAAMSGRQPRTIFTDHCASIANAISMVLTNTCHRICLWHILQNAEKQLSSVYNIKPNLSKEFKTFLYEAGSKDDFYAGWNNLINKYDLGGNQWLRELFGIQEKWALVYQGNSFSATMTTPQWSESMLTLFKLNLNIKLPLSKIIELYQKALIQLREKELLEDYKSRTTKPVLLVDMPMLNEAAESYTRLIYMDFEDQFKSQIACVCQPVAIDETLCTFRVSLPNEHTYWLVEFHPTDAIVLCSCKKFETMGILCMHALKVLNINNVLNLSSQYILKRWTKFANEGPLDSEGSASLALRYNQVYRKMITAVDKIVASKDALEVFERGFDKLIMEVENVIHGPPKIVESESQIDQSHAITERKKKRKTHYINNSTEANTSHDVVQSKGCNVGGMDQMVHGASHLKHYDQKVVSSLHDAVPSNPASLMGGASLSTNKDNVDPSIGSQGAGNSKETQIAAKDSVGGPMQIMCGQPANLNNCTVETYPNVVVYRFHSDQPIHFTVPNRRKSMPHNHKLGSSKGN >DRNTG_31392.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28074967:28077533:-1 gene:DRNTG_31392 transcript:DRNTG_31392.13 gene_biotype:protein_coding transcript_biotype:protein_coding MARNFNQGLDSFSESDFTDSCSESDIVEAAEDPSCRDARGEGLGQRRDAGNSQLEPKVGMVFRSEDEAYEFYNEYAKKKGFSVRKGHIARRSDGSVQSRSYLCSKEGTRQKHSSHVTRKPRALERTNCMARIEYKVDKDSIWVVSKVIIDHNHHLASASTSHMLKSQRKASNSKTRVSDGVDRAEGEAAEMVGDHGVENVGFINRHRSSCLNTKRGRDLERGDAQMLFEFLKGKQLEDPSFFYAIQLDEMDRMTNFFWADSQSVDNYSYFGDVVSLDTLYRLNKSEVPFALFTGVNHHKQICVFGAAVLLDESVESFVWLFNAFMAAMSGRQPRTIFTDHCASIANAISMVLTNTCHRICLWHILQNAEKQLSSVYNIKPNLSKEFKTFLYEAGSKDDFYAGWNNLINKYDLGGNQWLRELFGIQEKWALVYQGNSFSATMTTPQWSESMLTLFKLNLNIKLPLSKIIELYQKALIQLREKELLEDYKSRTTKPVLLVDMPMLNEAAESYTRLIYMDFEDQFKSQIACVCQPVAIDETLCTFRVSLPNEHTYWLVEFHPTDAIVLCSCKKFETMGILCMHALKVLNINNVLNLSSQYILKRWTKFANEGPLDSEGSASLALRYNQVYRKMITAVDKIVASKDALEVFERGFDKLIMEVENVIHGPPKIVESESQIDQSHAITERKKKRKTHYINNSTEANTSHDVVQSKGCNVGGMDQMVHGASHLKHYDQKVVSSLHDAVPSNPASLMGGASLSTNK >DRNTG_31392.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28070289:28077533:-1 gene:DRNTG_31392 transcript:DRNTG_31392.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARNFNQGLDSFSESDFTDSCSESDIVEAAEDPSCRDARGEGLGQRRDAGNSQLEPKVGMVFRSEDEAYEFYNEYAKKKGFSVRKGHIARRSDGSVQSRSYLCSKEGTRQKHSSHVTRKPRALERTNCMARIEYKVDKDSIWVVSKVIIDHNHHLASASTSHMLKSQRKASNSKTRVSDGVDRAEGEAAEMVGDHGVENVGFINRHRSSCLNTKRGRDLERGDAQMLFEFLKGKQLEDPSFFYAIQLDEMDRMTNFFWADSQSVDNYSYFGDVVSLDTLYRLNKSEVPFALFTGVNHHKQICVFGAAVLLDESVESFVWLFNAFMAAMSGRQPRTIFTDHCASIANAISMVLTNTCHRICLWHILQNAEKQLSSVYNIKPNLSKEFKTFLYEAGSKDDFYAGWNNLINKYDLGGNQWLRELFGIQEKWALVYQGNSFSATMTTPQWSESMLTLFKLNLNIKLPLSKIIELYQKALIQLREKELLEDYKSRTTKPVLLVDMPMLNEAAESYTRLIYMDFEDQFKSQIACVCQPVAIDETLCTFRVSLPNEHTYWLVEFHPTDAIVLCSCKKFETMGILCMHALKVLNINNVLNLSSQYILKRWTKFANEGPLDSEGSASLALRYNQVYRKMITAVDKIVASKDALEVFERGFDKLIMEVENVIHGPPKIVESESQIDQSHAITERKKKRKTHYINNSTEANTSHDVVQSKGCNVGGMDQMVHGASHLKHYDQKVVSSLHDAVPSNPASLMGGASLSTNKDNVDPSIGSQGAGNSKETQIAAKDSVGGPMQIMCGQPANLNNCTVETYPNVVVYRFHSDQPIHFTVPNRRKSMPHNHKLGSSKGN >DRNTG_31392.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28070289:28077533:-1 gene:DRNTG_31392 transcript:DRNTG_31392.10 gene_biotype:protein_coding transcript_biotype:protein_coding MARNFNQGLDSFSESDFTDSCSESDIVEAAEDPSCRDARGEGLGQRRDAGNSQLEPKVGMVFRSEDEAYEFYNEYAKKKGFSVRKGHIARRSDGSVQSRSYLCSKEGTRQKHSSHVTRKPRALERTNCMARIEYKVDKDSIWVVSKVIIDHNHHLASASTSHMLKSQRKASNSKTRVSDGVDRAEGEAAEMVGDHGVENVGFINRHRSSCLNTKRGRDLERGDAQMLFEFLKGKQLEDPSFFYAIQLDEMDRMTNFFWADSQSVDNYSYFGDVVSLDTLYRLNKSEVPFALFTGVNHHKQICVFGAAVLLDESVESFVWLFNAFMAAMSGRQPRTIFTDHCASIANAISMVLTNTCHRICLWHILQNAEKQLSSVYNIKPNLSKEFKTFLYEAGSKDDFYAGWNNLINKYDLGGNQWLRELFGIQEKWALVYQGNSFSATMTTPQWSESMLTLFKLNLNIKLPLSKIIELYQKALIQLREKELLEDYKSRTTKPVLLVDMPMLNEAAESYTRLIYMDFEDQFKSQIACVCQPVAIDETLCTFRVSLPNEHTYWLVEFHPTDAIVLCSCKKFETMGILCMHALKVLNINNVLNLSSQYILKRWTKFANEGPLDSEGSASLALRYNQVYRKMITAVDKIVASKDALEVFERGFDKLIMEVENVIHGPPKIVESESQIDQSHAITERKKKRKTHYINNSTEANTSHDVVQSKGCNVGGMDQMVHGASHLKHYDQKVVSSLHDAVPSNPASLMGGASLSTNKDNVDPSIGSQGAGNSKETQIAAKDSVGGPMQIMCGQPANLNNCTVETYPNVVVYRFHSDQPIHFTVPNRRKSMPHNHKLGSSKGN >DRNTG_33264.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23939297:23940461:-1 gene:DRNTG_33264 transcript:DRNTG_33264.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMETKEIKAAIQSIPKQKEELRKAFESLQSFSSAFVSFTLQWKQLDDYFSSVQSSIESRFQALRSLESSLPSQSSAAATAATVPSEPNPPVEPEISPRSELMLLCTKMDGKNLCTFIIMHRKDNPVNRDELIPALRAAPDAAALVLDALDGFYSDEMKSNGKDGEILAIRRTCINLLQRVQELGPEIKQPIKDKAKKVAVQWKAKVSDDGDDLEAHGFLQLLVAYKLVDEFKVDEVLDVVVLVARRKQTIELCKVLGVEMHIPGKCQRIALWLLFSLL >DRNTG_33264.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23937641:23940461:-1 gene:DRNTG_33264 transcript:DRNTG_33264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMETKEIKAAIQSIPKQKEELRKAFESLQSFSSAFVSFTLQWKQLDDYFSSVQSSIESRFQALRSLESSLPSQSSAAATAATVPSEPNPPVEPEISPRSELMLLCTKMDGKNLCTFIIMHRKDNPVNRDELIPALRAAPDAAALVLDALDGFYSDEMKSNGKDGEILAIRRTCINLLQRVQELGPEIKQPIKDKAKKVAVQWKAKVSDDGDDLEAHGFLQLLVAYKLVDEFKVDEVLDVVVLVARRKQTIELCKVLGVEMHIPVAELIQKLINKGKQLDAVKYIQAFNLIDKYPPVPLLKSYLKATRKAVQEIRLKGNYST >DRNTG_03242.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17962222:17968757:-1 gene:DRNTG_03242 transcript:DRNTG_03242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSALDLVMAILTGGDGTAPEDAARERVLAIIVTAGSLLIGCVVLLFWRRSAGQNSNRPAEPVRPVVVRDEAEDVDDGKKRVTIFFGTQTGTAEGFAKALAEEARARYEKAIFKIVDLDEYAADDDEYEEKMKKETLALFFLATYGDGEPTDNAARFYKWFTEGQERGVWLQNLQFAVFGLGNRQYEHFNKVAKVVDEILADQGGKRLVPVGLGDDDQCIEDDFNAWKELVWPELDQLLRDEDDVSGASTPYQAAIPEYRVVFIDSADASFMEKNGNLANGHAVHDIQHPCRADVAVRRELHTPASDRSCIHLEFEISGTGLVYETGDHVGVFSENCIETVEEAERLLGYSSDTYFSIHANEEDGSPRSGGSLAPPFPSPCTLRTALARYADLLSSPKKAALLALAAHASEPSEAERLRFLASPAGKDEYSQWIVASQRSLLEVMAEFPSAKPPLGVFFAAIAPRLQPRYYSISSSPKMAPSRIHVTCALVYGPTPTGRIHKGVCSTWMKHATPLVEDQDSSWAPVFVRQSNFKLPADTSVPIIMIGPGTGLAPFRGFLQERLALKHSGAELGQAILFFGCRNRKKDFIYEDELNNFVEAGALSELIVAFSREGPTKDYVQHKMTEKASELWHIISNGGYVYVCGDAKGMARDVHRVLHTIVQEQGSLDSSKAESMVKSLQMEGRYLRDVW >DRNTG_03242.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17962222:17963209:-1 gene:DRNTG_03242 transcript:DRNTG_03242.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFIYEDELNNFVEAGALSELIVAFSREGPTKDYVQHKMTEKASELWHIISNGGYVYVCGDAKGMARDVHRVLHTIVQEQGSLDSSKAESMVKSLQMEGRYLRDVW >DRNTG_12865.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18409361:18415311:1 gene:DRNTG_12865 transcript:DRNTG_12865.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFLSVAQSTLSFALRGRSNPSSHAKMADFVSEPTLTTAAPSPEAINAGLKDLCLDKKTQQEPEDVIGDQEDNEPEDEDGKPDHGDDGDDGDDDAEEEELEEQEEPVLAKEEGGKKFRYPVRPGEPACSYYIKTGTCRFGSNCKYNHPRRRRRTLTKDSLEANHQAVKEKEREIGKETLPEKAGHGKCKYFLMPGGCKFGKDCKFAHDQEMNEEGSVELNFLGLPVRPGARECSYYMRTGGCKYANNCKFHHPDPIAVGGREPRDHPSKYNQGHTPVVSQLPATSWPMQIGSNEPVTFLNPSPHFMPGMILPPQGLHPTPEWNGFQAPVNPFYLQPAPPPPHPEMFVQYAPPNPVHQQVYIDEFPDRPGQPECQHFMKTGSCKFKSSCKFHHPKSRSVKASPSMVRPHAA >DRNTG_12865.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18409361:18415311:1 gene:DRNTG_12865 transcript:DRNTG_12865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFLSVAQSTLSFALRGRSNPSSHAKMADFVSEPTLTTAAPSPEAINAGLKDLCLDKKTQQEPEDVIGDQEDNEPEDEDGKPDHGDDGDDGDDDAEEEELEEQEEPVLAKEEGGKKFRYPVRPGEPACSYYIKTGTCRFGSNCKYNHPRRRRRTLTKDSLEANHQAVKEKEREIGKETLPEKAGHGKCKYFLMPGGCKFGKDCKFAHDQEMNEEGSVELNFLGLPVRPGARECSYYMRTGGCKYANNCKFHHPDPIAVGGREPRDHPSKYNQGHTPVVSQLPATSWPMQIGSNEPVTFLNPSPHFMPGMILPPQGLHPTPEWNGFQAPVNPFYLQPAPPPPHPEMFVQYAPPNPVHQQVYIDEFPDRPGQPECQHFMKTGSCKFKSSCKFHHPKSRSVKASPSMHAA >DRNTG_33570.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11188087:11189838:1 gene:DRNTG_33570 transcript:DRNTG_33570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDLLGFHVNSCLPLLFSALHMAAANGHLDIVEYLLQNGVVARALIRGGASMSMLNSYERTPMDEAVSQGKLELVDAINAR >DRNTG_16197.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12682704:12684691:-1 gene:DRNTG_16197 transcript:DRNTG_16197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFNILLLPLVFLSPTFHICNHQASALPLSTDGRWIINEAGRRVKLACVNWPGHVDTMVIEGLNKQPVDAITAKISSLGFNCVRLTYASYMLTNETSNNITVRDSLHSNGLNESVASISVHNPEIIDLLLIDTFKAVVDSLARNNVMVILDNHISKPEWCCGREDGNGFFGDLYFNPDEWIKGLTKMAMTFNGVTNVIGMSLRNELRGPRENTIDWFKYMQRGAEAVHEANKNVIVIMSGLNFDTDLTFLINQTVNLSFQGKHAFEVHWYSFSNPGEWNSGNPNQVCKHIAGNVMRKAGFLLGQGFPLFMSEFGIDQRGLNIEDNRYLPCVLSILAKLDMDWALWTLQGSYYTRQGQIGTDETYSVLSYDWISVRNQTFLTLLSSLQSPLRGPGIEEVTRYKMIYHPLTGKCVLSANVGNPVMLGPCNKTEAWFYNEVELALMKGSLTFCIEAYGDGQAAKLGIICGGPSSKWSMISSSMMQLSSQLVTSGNNTLCLDVGSDGRTLVTNQCLCLDGSPKCDPESQWFKLVTSTRKTISMNS >DRNTG_22885.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001253.1:33794:34470:1 gene:DRNTG_22885 transcript:DRNTG_22885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELGLIGLRIQRMPSEPDLEFGIPSQYSYMMVCAPSCHDCSTMRAWWEEDEERRWRYYKNVIGSDEVPPSHCDPDIAHFILQQQFKAPSMWATKELCLIIGNS >DRNTG_14168.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10027435:10029999:1 gene:DRNTG_14168 transcript:DRNTG_14168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRKIVIGGAFVGIDSVRCALGLTREPLEKTRLLHMTIDTDYKPDIEKVEPTVGSNLETPIDLEKDVKKQSKENDALPAYQPNLPYPVKVKKDQQEE >DRNTG_28669.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6251469:6252044:-1 gene:DRNTG_28669 transcript:DRNTG_28669.5 gene_biotype:protein_coding transcript_biotype:protein_coding RISGNNFSGKIPDFISNLTKLEKLHMIGNSMEGPIPSGISALTMLIDLRITDLRGGKSGFPPLEKMDSLKIL >DRNTG_28669.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6243538:6252044:-1 gene:DRNTG_28669 transcript:DRNTG_28669.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMCRRISGNNFSGKIPDFISNLTKLEKLHMIGNSMEGPIPSGISALTMLIDLRITDLRGGKSGFPPLEKMDSLKILILRNCAIQGAIPAYIGLMKKLKHLDLSFNNLSGEIPASFVNFQKVDYIYLTANMLTGNIPQWILKRNKNVDISYNNFTYGESSPSHCLQGNINAAESYSSTVDDLNEISPCLLKNFPCRNQPSKYTLFINCGGKATNIRETRFEADSEERGASLMYLGENWAFSSTGNFMDNGVDGDNYIATNTSTLNMLDSELYTKARLSPLSLTYYGLCMLKGSYTVQLHFAEIVFTDDDTFSSLGKRLFNVLIQGEMVLENFDIAKAAGGTGTPIVKAFTAIVEDHTLKIKLYWAGKGTTGIPSRGTYGPLISAIAVLPNFHPEFPQEGKPVWRVKGMPVLLGVAALSLVLFMLTLGIGIWCVRKGREREALYTELRLDHLQAGPFTLKQIKIATNNFDPLNKIGEGGFGTVYKGTLSDGTIIAVKQLSSRSKQGNREFVNEIGLISTLQHPNLVRLYGCCTEGNQLLLIYEYMENNSLAHALFGYQPRTVLKLNWPTRQKIYLGIAKGLAYLHNASRLKIVHRDIKASNVLLDRDLNAKISDFGLAKLGEDGRSHISTRVAGTIGYMAPEYAMRGYLTDKADVYSFGVVGLEIVSGMSSTSYRPMENFGYLLDWAYDLQEKGTILALMDPNLEKEYPEEEAMLMINVALVCLNASPTLRPRMADVVSMLEGHKSVQPLLSTLRFSTIGTSSFGSGRNSWHYPAGALAINEMREFYSALDDDEVMEISSISG >DRNTG_28669.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6242871:6252044:-1 gene:DRNTG_28669 transcript:DRNTG_28669.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGNSMEGPIPSGISALTMLIDLRITDLRGGKSGFPPLEKMDSLKILILRNCAIQGAIPAYIGLMKKLKHLDLSFNNLSGEIPASFVNFQKVDYIYLTANMLTGNIPQWILKRNKNVDISYNNFTYGESSPSHCLQGNINAAESYSSTVDDLNEISPCLLKNFPCRNQPSKYTLFINCGGKATNIRETRFEADSEERGASLMYLGENWAFSSTGNFMDNGVDGDNYIATNTSTLNMLDSELYTKARLSPLSLTYYGLCMLKGSYTVQLHFAEIVFTDDDTFSSLGKRLFNVLIQGEMVLENFDIAKAAGGTGTPIVKAFTAIVEDHTLKIKLYWAGKGTTGIPSRGTYGPLISAIAVLPNFHPEFPQEGKPVWRVKGMPVLLGVAALSLVLFMLTLGIGIWCVRKGREREALYTELRLDHLQAGPFTLKQIKIATNNFDPLNKIGEGGFGTVYKGTLSDGTIIAVKQLSSRSKQGNREFVNEIGLISTLQHPNLVRLYGCCTEGNQLLLIYEYMENNSLAHALFGKSKLKNYIYYLICILVASSFHTVTDVLHRLPTANSTEVKLANKAEDLPWNSQRIGIPP >DRNTG_28669.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6244626:6252044:-1 gene:DRNTG_28669 transcript:DRNTG_28669.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIGNSMEGPIPSGISALTMLIDLRITDLRGGKSGFPPLEKMDSLKILILRNCAIQGAIPAYIGLMKKLKHLDLSFNNLSGEIPASFVNFQKVDYIYLTANMLTGNIPQWILKRNKNVDISYNNFTYGESSPSHCLQGNINAAESYSSTVDDLNEISPCLLKNFPCRNQPSKYTLFINCGGKATNIRETRFEADSEERGASLMYLGENWAFSSTGNFMDNGVDGDNYIATNTSTLNMLDSELYTKARLSPLSLTYYGLCMLKGSYTVQLHFAEIVFTDDDTFSSLGKRLFNVLIQGEMVLENFDIAKAAGGTGTPIVKAFTAIVEDHTLKIKLYWAGKGTTGIPSRGTYGPLISAIAVLPNFHPEFPQEGKPVWRVKGMPVLLGVAALSLVLFMLTLGIGIWCVRKGREREALYTELRLDHLQAGPFTLKQIKIATNNFDPLNKIGEGGFGTVYKGTLSDGTIIAVKQLSSRSKQGNREFVNEIGLISTLQHPNLVRLYGCCTEGNQLLLIYEYMENNSLAHALFGYQPRTVLKLNWPTRQKIYLGIAKGLAYLHNASRLKIVHRDIKASNVLLDRDLNAKISDFGLAKLGEDGRSHISTRVAGTIGYMAPEYAMRGYLTDKADVYSFGVVGLEIVSGMSSTSYRPMENFGYLLDWAYDLQEKGTILALMDPNLEKEYPEEEAMLMINVALVCLNASPTLRPRMADVVSMLEGHKSVQPLLSTLRFSTIGTSSFGSGRNSWHYPAGALAINEMREFYSALDDDEVMEISSISG >DRNTG_28669.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6250822:6252044:-1 gene:DRNTG_28669 transcript:DRNTG_28669.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLIDLRISGNNFSGKIPDFISNLTKLEKLHMIGNSMEGPIPSGISALTMLIDLRITDLRGGKSGFPPLEKMDSLKILILRNCAIQGAIPAYIGLMKKLKHLDLSFNNLSGEIPASFVNFQKVDYIYLTANMLTGNIPQWILKRNKNV >DRNTG_10479.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000440.1:13168:17165:1 gene:DRNTG_10479 transcript:DRNTG_10479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAFNILCWNCRGISSRETSSRVISFTRRALTFSLCVLLKPEANDSRLDRFRSLVPNSWDWAAIVADGYSGGIIALWNKNIGQVTPIAVSRRALHLVISLDNVSSVIVLIVYNSHLLHAQCSLWQELSKISTLNFPWLSIGDFNVVLSRSEHKGGSYIYYSRRSRFFLNFVDLNNLFDLNFSGPEFTWCNNQLGPSRRWARLDRGLVNSCWLDKFSVYSLKHLPRVLSDHAPLFLKISSHYNSRHKIFRFENYWLDYIGCHEAVRNAWSCATNGNPMHAFSHYISRTRFNINTWKRTGLSSLDSDINSTEASITSLELADVNDPDVFAELSDLYAKFASLQRLVSLRWAQRAHLLWLDNDIDNAFVQYYSNLWNAPNDCDFPNILTSFPGELPSISDDDGRRLIREVTGEEIYRIVLDLPSGSCPSSSSNCLAAELTALLHALQFAASGMYTIQYIFVSNLDVLHALHTTDTTCSWRHRHQINSANDLLLTAGSPQLIT >DRNTG_10479.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000440.1:13168:15621:1 gene:DRNTG_10479 transcript:DRNTG_10479.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAFNILCWNCRGISSRETSSRVISFTRRALTFSLCVLLKPEANDSRLDRFRSLVPNSWDWAAIVADGYSGGIIALWNKNIGQVTPIAVSRRALHLVISLDNVSSVIVLIVYNSHLLHAQCSLWQELSKISTLNFPWLSIGDFNVVLSRSEHKGGSYIYYSRRSRFFLNFVDLNNLFDLNFSGPEFTWCNNQLGPSRRWARLDRGLVNSCWLDKFSVYSLKHLPRVLSDHAPLFLKISSHYNSRHKIFRFENYWLDYIGCHEAVRNAWSCATNGNPMHAFSHYISRTRFNINTWKRTGLSSLDSDINSTEASITSLELADVNDPDVFAELSDLYAKFASLQRLVSLRWAQRAHLLWLDNDIDNAFVQYYSNLWNAPNDCDFPNILTSFPGELPSISDDDGRRLIREVTGEEIYRIVLDLPSGKSPGSDGLNAEFYKFYWNDIGNQLVSAIKYFFTNSVLPNSWGKTFIALIPKKEAPTLVSDFRLISLCNVCYKIISKLLTNRLMTVLPTLVGREQSGFVAGRCIFDNIITLQEVVHSIENSINDHSRMIIKIDFEKAYDTISWSAILITLTRMNFPSLWISWIRTCLSSTSFSLLVNGRPSPWISFSRGVRQGDPISSYLFILVSQHLTMLLNHALNHNFIPGFHNNLPSNFNHLLYADDLVIVTRASRSAARYILRCLDWFGNFSGQHLNLAKSAVYFPSWCNKRVQNSICSILKINPRSFSL >DRNTG_35101.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1473473:1480489:-1 gene:DRNTG_35101 transcript:DRNTG_35101.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEHVEGPELYLVQDEIRERRSDVENSEDERRRTKIGSLKKKALNASSKITHSLKKRGKRKIDYRVSSVSIEDIRDAEEERAVHAFRQELIARDLLPEKHDDYHMLLRFLKARKFDFEKASEMWAEMLRWRKEFGTDTILEDFEYEELEEVLQNYPQCYHGVDKGGRPVYIEKIGAIDHSRLMRITTLERYMKYHVQEFERAFLERFPACSIAAKKHIDSTTSILDAHGLGIKSLNKTIIDIVRAVQKMDGDYYPETLNEMFIINAGHSFKLFWNTLKGFLDPKTVSKIHVIGSKYQSRLLEVIDASQLPESLGGSCTCSDQGGCFRSNKGPWNDPIIVKLARNADATFVREIRLVPDGERKSELYPRLRPLKAKGRFSDTSTAESGSDVDDLGSPVLSRIADFTRLAPVHEEVRSRDTTAYYSCDDNFVVVDKTIDNGRGSAASVSTSIGLKDKRRAYVNGTSCSPGNSVLDRCMTTKADVEEGCLRYLARTLVAFLVKVLSFVHIFVQRRERLENVHPSDTLVPAADEQPIAEPAADAAKEDPVTPCLERLQRLETMLHELNNKPAEIPVDKDHMLLESWERIKSIECDLDRTKKVLHATVIKQVEIQDTLESVQESSARKKKFCLT >DRNTG_35101.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1473473:1480489:-1 gene:DRNTG_35101 transcript:DRNTG_35101.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEHVEGPELYLVQDEIRERRSDVENSEDERRRTKIGSLKKKALNASSKITHSLKKRGKRKIDYRVSSVSIEDIRDAEEERAVHAFRQELIARDLLPEKHDDYHMLLRFLKARKFDFEKASEMWAEMLRWRKEFGTDTILEDFEYEELEEVLQNYPQCYHGVDKGGRPVYIEKIGAIDHSRLMRITTLERYMKYHVQEFERAFLERFPACSIAAKKHIDSTTSILDAHGLGIKSLNKTIIDIVRAVQKMDGDYYPETLNEMFIINAGHSFKLFWNTLKGFLDPKTVSKIHVIGSKYQSRLLEVIDASQLPESLGGSCTCSDQGGCFRSNKGPWNDPIIVKLARNADATFVREIRLVPDGERKSELYPRLRPLKAKGRFSDTSTAESGSDVDDLGSPVLSRIADFTRLAPVHEEVRSRDTTAYYSCDDNFVVVDKTIDNGRGSAASVSTSIGLKDKRRAYVNGTSCSPGNSVLDRCMTTKADVEEGCLRYLARTLVAFLVKVLSFVHIFVQRRERLENVHPSDTLVPAADEQPIAEPAADAAKEDPVTPCLERLQRLETMLHELNNKPAEIPVDKDHMLLESWERIKSIECDLDRTKKVLHATVIKQVEIQDTLESVQESSARKKKFCLT >DRNTG_35101.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1473473:1478337:-1 gene:DRNTG_35101 transcript:DRNTG_35101.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRITTLERYMKYHVQEFERAFLERFPACSIAAKKHIDSTTSILDAHGLGIKSLNKTIIDIVRAVQKMDGDYYPETLNEMFIINAGHSFKLFWNTLKGFLDPKTVSKIHVIGSKYQSRLLEVIDASQLPESLGGSCTCSDQGGCFRSNKGPWNDPIIVKLARNADATFVREIRLVPDGERKSELYPRLRPLKAKGRFSDTSTAESGSDVDDLGSPVLSRIADFTRLAPVHEEVRSRDTTAYYSCDDNFVVVDKTIDNGRGSAASVSTSIGLKDKRRAYVNGTSCSPGNSVLDRCMTTKADVEEGCLRYLARTLVAFLVKVLSFVHIFVQRRERLENVHPSDTLVPAADEQPIAEPAADAAKEDPVTPCLERLQRLETMLHELNNKPAEIPVDKDHMLLESWERIKSIECDLDRTKKVLHATVIKQVEIQDTLESVQESSARKKKFCLT >DRNTG_00429.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21926169:21927070:-1 gene:DRNTG_00429 transcript:DRNTG_00429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCGTQDKCKACDKTVHFIDLLTADGVPYHKTCFKCSHCKGNLTMYNYSSMDGVLYCKPHFEQLFKETGNFTGKSGDKSNELCAHGGCKLTTSNYAALDGVLYCKHHFAQLFKEKGSYNHLNKTASMKKNAELASGQEPETRTDTTEQQSTQNES >DRNTG_33207.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:377861:387207:1 gene:DRNTG_33207 transcript:DRNTG_33207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSFRPRPLDIHKKLPIVKSIKEFEDDEPPTTGASTRNSQLLRLAAETDNEVQQTAVKRSAQEIPTPQYNIVDTYERDYSRSFVQPTSYLRGRGARAEIGEFVEYDLDNEDEDWLQDFNNERKILTPEKFETLLFKLEVLDQKARERAGFITTTLGPPIPVRIQLDAAAEALQSQSIRFAVFQSVYNYWKEKREQWQKPILRRLQPPPPVNDTNPYNVFRPREKVHRLHTRRTQRRENNVQSFEKLRQVRRNLDQAKKMVEVLIKREEKKRECMENEVNLQRIQMKFKNEAQLLDDGLALHGFSSAPYKFGSSEDDPIDSDDAANAQPRIHPAGVQNSRFNNSKPIMITTGRMKRDLKRRPLANGWIQKRDPLEPVLLFAKPLDPERLAVASIVPPPDPPIENGSVAPPYRFHGRIGRGGRLIFDRVPIGSESSSYVLPNFRPPQPNG >DRNTG_20981.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20844967:20847461:1 gene:DRNTG_20981 transcript:DRNTG_20981.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRRPIGVDGDDDGDEVVEFFEDDGDVLPDLDRDSPPHLRALADAAHRGDVEALRLAIDGHSGSIDDPVEDGDTLLHLSCLYGHLPCVQLLLQRGANLEIRDEEGAIPLHDASAGGMKRSQDILN >DRNTG_20981.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20844967:20847461:1 gene:DRNTG_20981 transcript:DRNTG_20981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRRPIGVDGDDDGDEVVEFFEDDGDVLPDLDRDSPPHLRALADAAHRGDVEALRLAIDGHSGSIDDPVEDGDTLLHLSCLYGHLPCVQLLLQRGANLEIRDEEGAIPLHDASAGGFTEIVQLILDRGW >DRNTG_18649.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3208756:3209817:1 gene:DRNTG_18649 transcript:DRNTG_18649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELRGLLLIDDLEKVDNSEEAEKANIKEKSHLEVLILGWNDSSTSNSLGTAHEVLEALEPNPNLKHLHIRGYKGYISPSWFMPFAVHNLQILELLNCRSKLFLQSIGQLNFLSRLCLYRVDVRIDISDEVTILFPALEVLDMRYASVVFEGMSSSLSSQRCSSFPRICCIVYQYCYGDGFKLPWQMFSTVQNLHITCSQELYDHFSRRLILLKNLSIHSGTMVSFVGEINTFKALSHLSFHGCHELTSIRGLHYMSSLCSLEINYCSKFTSWLSKGMEQGALPQNLDYICIQNCPELEPLPMWLPFLPSLKRLKIANCSLIQSWPKEDGLPSLKSLHQLRPIVHGMVLRRGF >DRNTG_12194.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10686532:10693303:1 gene:DRNTG_12194 transcript:DRNTG_12194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDPSDSSGTDDDLPPSHQNRGIRGGRVAVNGRAVGSFPRAQNDMEAQIHRLEQEAYSSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRVNADDIIRRIREWRQAGGAFQSGLLNNAQPMHDAVPSPTVSASRKRQKTSQALPSSSLGAPSSALNSQQVAASMQPSAAKRTPAAGSKSKNPKSGQTLPSAPSAKPMQYPSTGPNGRGQPSEASTFDPLIGRKVLTRWPEDNNFYEAVITDYNPREGLHALVYDINTKNETWEWVNLSEISPEDIQWEGEDPGILHRAGHGGSGRGNKKSTGPSGTIPAAGRGRGALKNNTKNNFPPSQNGIGKKGSDDMEIYHTDSVIKEVEKIFSAIHPDPLEMEKAKKLLKDHEQSLIDVLSRLADASDGESEEGEQFSHGQLMDQDQQWKNRQYGSANQHGTNYVDGVIGRGREEGSDGDPMDYRQTQEFDI >DRNTG_12194.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10686532:10693303:1 gene:DRNTG_12194 transcript:DRNTG_12194.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDPSDSSGTDDDLPPSHQNRGIRGGRVAVNGRAVGSFPRAQNDMEAQIHRLEQEAYSSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRVNADDIIRRIREWRQAGGAFQSGLLNNAQPMHDAVPSPTVSASRKRQKTSQALPSSSLGAPSSALNSQQVAASMQPSAAKRTPAAGSKSKNPKSGQTLPSAPSAKPMQYPSTGPNGRGQPSEASTFDPLIGRKVLTRWPEDNNFYEAVITDYNPREGLHALVYDINTKNETWEWVNLSEISPEDIQWEGEDPGILHRAGHGGSGRGNKKSTGPSGTIPAAGRGRGALKNNTKNNFPPSQNGIGKKGSDDMEIYHTDSVIKEVEKIFSAIHPDPLEMEKAKKLLKDHEQSLIDVLSRLADASDGESGKYI >DRNTG_21042.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001195.1:6787:9259:1 gene:DRNTG_21042 transcript:DRNTG_21042.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRRVYGLNEIQVEKWWNLHCVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPVGKAVLGHVVDALGVPIDGRGALSDQE >DRNTG_05888.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4205557:4211486:1 gene:DRNTG_05888 transcript:DRNTG_05888.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSAVSSSVAASSLLLSRRGCKKSGGLGLRFGIRAETMATEKLGIKVESNPPETKLAKLGVKSWPKWGCPPSKFPWTYTAKETCYLLEGKVKVYPEGHGDEFVEIQAGDLVEFPRGMSCTWDVSVAVDKHYNFE >DRNTG_05888.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4205557:4209017:1 gene:DRNTG_05888 transcript:DRNTG_05888.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDPVRIYATAIYFASIVIALLCALYVHNKLLTLLAIILEFGALVWYSLSYIPFARSMVTKIMVSCFDTEF >DRNTG_05888.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4209589:4211486:1 gene:DRNTG_05888 transcript:DRNTG_05888.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSAVSSSVAASSLLLSRRGCKKSGGLGLRFGIRAETMATEKLGIKVESNPPETKLAKLGVKSWPKWGCPPSKFPWTYTAKETCYLLEGKVKVYPEGHGDEFVEIQAGDLVEFPRGMSCTWDVSVAVDKHYNFE >DRNTG_34001.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5268138:5276454:-1 gene:DRNTG_34001 transcript:DRNTG_34001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYNVERERRYILEVLLNGLRRLEYRGYDSSGIAIDSDLDAVEVSLKEAPYESAFPLVFRQEGKIESLVGSVYAEVDAMDLNLEKPFSVHAGIAHTRWATHGVPSPRNSHPQSSGAWNEFIVVHNGIITNYEVLKETLIRNGFNFESETDTEVIPKLAKFVFDKAHEAGQTVTFSQVVFEVMRQLEGAYALIFKSPHYPNELIACKRGSTLILGVKELTDISNSGALFHDVKALTTNGQPKELFFSSDLCAIVEHTKNYLAIEDSEVVHIKDGCVSVLKFDYDKEKPASVQRALSVLEMEVEQIKKGNYDHFMQKEIHEQPHSLTTTMRGRLKHNSVLLGGLKEHMKTIRHSRRIVFIGCGTSYNAALAARPILEELSSIPVTMEIASDLLDRQGPIYREDTAVFVSQSGETADTLLALEYALENGALCVGITNTVGSTLARKTHCGIHINAGCEIGVASTKAYTSQIVVMVMMALAIGADRISTQARREDIINGLSDLPNQVSEVLKLDREMKDLAESLINLESLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDETLPIIVVATRDSCFSKQQSVIQQLHARKGRLIVLCSKGDAQSVCPGRSCRIIEVPQVADCLQPVVNIIPLQLLAYHLTVLRGYNVDQPRNLAKSVTTQ >DRNTG_02045.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000101.1:17778:23336:-1 gene:DRNTG_02045 transcript:DRNTG_02045.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRLSLAFAFVIVLFDFIFFEFAAANVVVIGKNVTLSFDDVEANFASPIKASGECGVLYVAEPLDACTHLTKAVGSNPNPPFALIVRGGCTFDEKVRNAQIAGFKAAIVYDNEDSDVLISMAGTPGGIHIHAIFVSKASGEKLKEYAGRTDMEFWILPNNENSAWSIMAISFISLLAVSAVLATCFFVRRHRVRHETPSLPVREFHGMSKRLVKAMPSLIFTSVLEDNCTSMTCAICLEDYNVGDKLRVLPCRHKFHAFCVDSWLTAWRSFCPVCKRDARTSSSDPSCL >DRNTG_02045.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000101.1:17778:23336:-1 gene:DRNTG_02045 transcript:DRNTG_02045.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRLSLAFAFVIVLFDFIFFEFAAANVVVIGKNVTLSFDDVEANFASPIKASGECGVLYVAEPLDACTHLTKAVGSNPNPPFALIVRGGCTFDEKVRNAQIAGFKAAIVYDNEDSDVLISTVAGTPGGIHIHAIFVSKASGEKLKEYAGRTDMEFWILPNNENSAWSIMAISFISLLAVSAVLATCFFVRRHRVRHETPSLPVREFHGMSKRLVKAMPSLIFTSVLEDNCTSMTCAICLEDYNVGDKLRVLPCRHKFHAFCVDSWLTAWRSFCPVCKRDARTSSSDPSCL >DRNTG_02045.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000101.1:17778:23336:-1 gene:DRNTG_02045 transcript:DRNTG_02045.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRLSLAFAFVIVLFDFIFFEFAAANVVVIGKNVTLSFDDVEANFASPIKASGECGVLYVAEPLDACTHLTKAVGSNPNPPFALIVRGGCTFDEKVRNAQIAGFKAAIVYDNEDSDVLISMAGTPGGIHIHAIFVSKASGEKLKEYAGRTDMEFWILPNNENSAWSIMAISFISLLAVSAVLATCFFVRRHRVRHETPSLPVREFHGMSKRLVKAMPSLIFTSVLEDNCTSMTCAICLEDYNVGDKLRVLPCRHKFHAFCVDSWLTAWRSFCPVCKRDARTSSSDPSCL >DRNTG_20915.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25450758:25453049:1 gene:DRNTG_20915 transcript:DRNTG_20915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLCLHGFRTSGRFLQKQISKWDPSILQHFSMFFPDGKHPATGKSDIEGIFPPPYFEWFQFVKEFNEYTNLDESVSFLCDYITEHGPFDGLLGFSQGAKLSALLLGYQAQGKILQDHPPFKFFVSISGSKFKDPSIYEIAYKDPIKVRSVHFIGAKDWLKVPSEDLASAFHNPLIIRLPHGHTVPRLDENTTEIFREWTKMVLLDEKKLVEDKDPKMANDASDENQSGVVEA >DRNTG_20915.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25452109:25453049:1 gene:DRNTG_20915 transcript:DRNTG_20915.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLDEKKLVEDKDPKMANDASDENQSGVVEA >DRNTG_18165.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2057612:2063622:-1 gene:DRNTG_18165 transcript:DRNTG_18165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDPVNHLRKLAEFMGCPFSKDEERDGVVEGIFKLCSFDKLNNLEVNKNNDSNSDEMKRFMVPSSAFFRKGKVGDWVNHMTMEMAEKLDAII >DRNTG_06523.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29422633:29425804:1 gene:DRNTG_06523 transcript:DRNTG_06523.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVEEWYKQMPVITRSYLTAAVVTSVGCSLEIITPYHLYLNPKLVLQNYEIWRLITNFFYFRKIDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTGIVLIGGMIPYVSQNFAAIFFLSNSLTFMLVYVWSKHNPYIHMSFLGLFTFTAAYLPWVLLGFSFLMGTSTWVDILGMIAGHAYYYLEDVYPRMTGRRPLKTPSFIKSLFADDNVVVAQPANVRFAPPAPELHQD >DRNTG_13333.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1047827:1048541:-1 gene:DRNTG_13333 transcript:DRNTG_13333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMRPQHVLLLLITISSYLSLLSAGTVKCVPRSSLYAIQLPKVVSIAPLNPFNVFCNQTTYPIVCQTAIDAGLLPNSGNDLPSVTKANILFAINKLKYNINAAKKFIVSPTTSPSMVALLRGSCLGMYKSMIYEYHVALSNIDFATKETNVDAALTDIGTCIDSYTDPMLAAVIQISLLATEDEELRRTATNIIDLKNGLKL >DRNTG_01076.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6512739:6513743:-1 gene:DRNTG_01076 transcript:DRNTG_01076.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGHLKKKEEDSMHSDGQMSEMQKTSYSCPW >DRNTG_01076.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6512739:6513222:-1 gene:DRNTG_01076 transcript:DRNTG_01076.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGHLKKKEEDSMHSDGQMSEMQKTSYSCPW >DRNTG_22504.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001243.1:69641:72097:-1 gene:DRNTG_22504 transcript:DRNTG_22504.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit TIM8 [Source:Projected from Arabidopsis thaliana (AT5G50810) UniProtKB/Swiss-Prot;Acc:Q9XGY4] MAGMENSQELQRFLEQEKQKAMISEMVGKLTSVCWDKCITGSPGSKFSSSETSCLTSCAQRYMDMSIIIMKRFQSM >DRNTG_19954.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:499732:500897:1 gene:DRNTG_19954 transcript:DRNTG_19954.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKLTLLTLPVLNLLVLTLPVALEEEKMIWQRRKAPLSGKLNLLVLTLPCCGPPRDNRHDNPRRDTHHSTLESSQDSQTPADSRCKLPSTSPRYDTPKPLKPAPYGDPGIPMNIQLGFYTPTRTGQLT >DRNTG_11784.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:547317:551291:-1 gene:DRNTG_11784 transcript:DRNTG_11784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQSFLNTSKWIEEVRTERGGDVIIVLVGNKTDLVDKRQVSIEEGEAKARDLGVMFIETSAKAGFNIKALFRKIAAALPGMETLSSTKQEDMVDVNLKATSANSGQSQPQAGGCSC >DRNTG_27241.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20092394:20094235:1 gene:DRNTG_27241 transcript:DRNTG_27241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEAQKIQNGRRNDCQSLSGSQYQSGRFPKVSIGITVDKSQKIGSDHAGNEHWGALPSLRRTSLSQDKVIEQRNISATMGIAEEIQVENEK >DRNTG_08336.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22467647:22470069:1 gene:DRNTG_08336 transcript:DRNTG_08336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIPAELGNITGLNLLYLFQNRLTGLIPLELCGLKKLSKLDLSINQLVGPIPTRFQRLSNLLQLQLFDNQLSGAIPPKLGMFSLLWVVDFSDNNLTGLIPDHLCRNSNLILLNLRSNILVRNIPSGITNCSSLVQLHLGENSLTGSFPFELCKLVNLTIIELDQNKFSGPISAEIGNCRSLQILNIPKNYFTAELPVEIGNLSHLMIFNISSNKLEGNIPLDIVNCKMLQRLDISNNQFIGTLPNGIGTLIQLKSLIVSDNQLSGKIPSMLGKLSHLIELQMGGNQFTGKIPKELGGISSLQIAMNLSCNNLFGNIPSELGNLALLEYLLLNDNSLTREIPLAFEKLSNLLGLNVSYNDLVGPLPSIQLFQSMPASSFFGNKGLLGSPLGECNGDPIGLATCPSSSQSKGHAKKHNKLINVTVIPIACIVFLVCLMLVVTLLYCKRREAVMHESSEIVHGAAIFSIWNFSGKEAYKEIVKATENFNEKYCIGAGTYGTVYKVTLSSWETFAIKKIQKIEDQAEEQSFRNEVQSLIQIRHRNIIRLYGFCSTNKFNFLAYEYMDRGSLGAILMSEDEAREFDWIKRVNIIKDIAHALSYLHHNCVPPIVHQDITSNNILLDEEHEACISDFGIAKPLNPNSSHWSMLAGTRGYMAPELAYIMRVTEKCDVYSFGVISLEVIHGMHPGDLLSALAPSMLVKDILDPRLPLHMGDQVAANTILSVILIALLCIDANPQSRPTMEKVSQILSSDKSFSISSMIPFHALTLAQLMNAHP >DRNTG_14681.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000738.1:9052:9716:1 gene:DRNTG_14681 transcript:DRNTG_14681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNILQSLQIFLSHPEKTHGRVGFPYARGYILRAHPERAQGRAAAPVNDHVTVTRPWGHGLAPVGNLLNHARAWVISARPFENLQRSLLHPEKTQGRVTAHVTRPQGLVSTTMNPLFEGAGVWTMPARPCGSPGVKRPFALPLQQSHLSPQTTLSNPKSIFPTFSSLLLRPRFD >DRNTG_32010.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22276271:22282092:1 gene:DRNTG_32010 transcript:DRNTG_32010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEVQEIKDMSLEEKDLIHRLYRLLGDRWEMIAGRLPNRTAEEVEKYWKMKEIENFEKNKIYKPICIRLGPSFKFSMNN >DRNTG_32010.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22280805:22282092:1 gene:DRNTG_32010 transcript:DRNTG_32010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEVQEIKDMSLEEKDLIHRLYRLLGDRWEMIAGRLPNRTAEEVEKYWKMKEIENFEKNKIYKPICIRLGPSFKFSMNN >DRNTG_27953.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14366023:14367079:-1 gene:DRNTG_27953 transcript:DRNTG_27953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGISLEDGVTNNNSRRGSTHAALSLSRSSSGNLNEQSQVGRDPLVDGNFGYTDRHLRSARSLSRSDTL >DRNTG_08082.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8777843:8778142:1 gene:DRNTG_08082 transcript:DRNTG_08082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLYTIGKSIKKTHRVLIVEECM >DRNTG_17440.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000896.1:32836:34923:1 gene:DRNTG_17440 transcript:DRNTG_17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESAGGRVKSTSFPPVSRRGRSKSDCPAGLVQRIRQWRVSSGGGLRFPLLYAQPYERAGRSVRLYENEEVGPKSNPGISDFDRDQTEWGRNGWCCSNYWLGGIHVPVPTEWNRIDSCAHVRIRAWVKEKSPTIEVKLGGCPLAG >DRNTG_08479.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17067031:17068336:1 gene:DRNTG_08479 transcript:DRNTG_08479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTDRTATLKEFDDTKTGVKGLVDSGITSLPAIFHQHNAHLSLPSATHLSVPIVDLSLPHPIVVDLIHSACRDWGIFQLVNHGIPLSTIDSTISAVRSFNELPPAVRSQYYTRTPVGGLSYYSNLDLFLSATTCWRDTLKIDLAPVPPELNRIPEVCREALLTWDEVVKEVAKEVMVMMCEGLGVDPGKLEEMTCLEGRTMVAHYYPPCPEPDLALGAIDHTDPGALTVLIQDQIGGLQVKSERDECWVDVKPVPGALVVFAGDFLQVRTYA >DRNTG_01593.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9597857:9611229:1 gene:DRNTG_01593 transcript:DRNTG_01593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGFGEAVHLSFYEVFVQVLDPLQPTSKDSLSPTGVRLLALHSIVTANNSWNVECFAIVIFTKCGPNTLLLRPHVRAHVHVVGYKTFLHRRIFERSCNLYKEKEHEDVAAFVPFQLVN >DRNTG_20506.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16562221:16562580:-1 gene:DRNTG_20506 transcript:DRNTG_20506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHLCSHRRSWGSAASSSKVTSSNLGHTSPDRCRRKLHNISLPKETQCRRPSNLSKALSMPLQTLAASASKDGLESGIHTSVWMFHTPV >DRNTG_24786.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31986946:31990945:1 gene:DRNTG_24786 transcript:DRNTG_24786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLEGERERDMEQKNTFLTLLMLLLFSFLQTPGFAATKASRKSSYVVYLGAHSPNTMDDEAVLVSHHRLLTSILKSKDKAKDAIFYSYTKHINGFAANLDENEANEILKHPSVVSVFPNRGHKLHTTRSWEFLGLEKDGRVMAESLWSKTKFGEDTIIGNLDTGVWPESESFKDEGIGPVPSKWKGICQNDKDKRFTCNRKLIGARYFNKGYREVVGNQRSISDSPRDTEGHGTHTLSTAGGSFVANASIFGYGTGTTKGGSPRARVAAYKVCWPPFHGGECFDADILAAFDMAIHDGVDALSLSLGGYATDYFNDGLAIGSFHAIQKGISVICSAGNSGPTPASVSNTAPWILTVGASTMDREFPAYVSFSDNQIKGQSLSSLGLTHEQYAMISSIEAKAANSSAHDAQLCYLNSLDPEKVKGKIVVCLRGINPRVEKGEAVHLAGGAGMVLANDKSAGDEIIADAHVLPATHISYSDGVYLFTYLNSTKSPLGYITLPKTKIDTSPAPVMAAFSSQGPNSVNPEILKPDITAPGVSILAAYSTASSPTGLSFDARRTPFNVESGTSMSCPHISGVVGLLTTLHPTWSPAMIKSAIMTT >DRNTG_24786.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31986946:31989908:1 gene:DRNTG_24786 transcript:DRNTG_24786.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLEGERERDMEQKNTFLTLLMLLLFSFLQTPGFAATKASRKSSYVVYLGAHSPNTMDDEAVLVSHHRLLTSILKSKDKAKDAIFYSYTKHINGFAANLDENEANEILKHPSVVSVFPNRGHKLHTTRSWEFLGLEKDGRVMAESLWSKTKFGEDTIIGNLDTGVWPESESFKDEGIGPVPSKWKGICQNDKDKRFTCNRKLIGARYFNKGYREVVGNQRSISDSPRDTEGHGTHTLSTAGGSFVANASIFGYGTGTTKGGSPRARVAAYKVCWPPFHGGECFDADILAAFDMAIHDGVDALSLSLGGYATDYFNDGLAIGSFHAIQKGISVICSAGNSGPTPASVSNTAPWILTVGASTMDREFPAYVSFSDNQIK >DRNTG_24786.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31987409:31989908:1 gene:DRNTG_24786 transcript:DRNTG_24786.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLWSKTKFGEDTIIGNLDTGVWPESESFKDEGIGPVPSKWKGICQNDKDKRFTCNRKLIGARYFNKGYREVVGNQRSISDSPRDTEGHGTHTLSTAGGSFVANASIFGYGTGTTKGGSPRARVAAYKVCWPPFHGGECFDADILAAFDMAIHDGVDALSLSLGGYATDYFNDGLAIGSFHAIQKGISVICSAGNSGPTPASVSNTAPWILTVGASTMDREFPAYVSFSDNQIK >DRNTG_01288.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1973647:1977969:-1 gene:DRNTG_01288 transcript:DRNTG_01288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYFSITTLSFILLLISLLIIIKNNHNNKENPNPNPPSPPGLPFIGHLHLLKHPIHRTLAHLSDLHGPILLLRFGSRPVLLVSSYSGADECLTVNDLTFANRPRFLSGKHLGYNYRTLVLASYGPLWRNLRRITTLEVLSTTRILSSSYIRSDEVLSLVKTLLSEYTGPGFHFTELETKFFGLACNIIMRMIANKRYYGDGHESSSEAAKEFKEVTSDTLVLSGMSNTADFLPVVRWFGIGGHERELKRLMKRKNKFSQGLIDEHRMKKESESQSVESSPTVIDLLLSMQERDPEHYDDDMIKGFIDLMMVAGTDTSAATTEWTMSLLLNNPETLGKLRAEIDANSLRMYPAGPLLLPHESSQDCTVGGFNVPSGTMLLVNAWKIHRDPELWEEPEKFKPERFLRTNNDQKSSTDEVVKEGLKMMPFGMGRRRCPGEGLAMKVVPLVVGTLVQCFKWERVGEEEVDMSEGTGLSLPKAKPLEAMFMPRECFAIFSISLSFGFLCF >DRNTG_16487.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000819.1:14253:16930:-1 gene:DRNTG_16487 transcript:DRNTG_16487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRILRLLSSYSILTCSLSTDPISGAVTHRYAAAPVVKYLAQNEDGFTLSALGLMNQDKVLMESWYYLKDTVLNGGIPFNMAHGMTSFEYHGTDPRFNKVFNEGMKNHSAIIMKRILKKYRGFDDVKVLVDVGGGVGGTLAQVVAKHKHIKGINFDLPHVISEAAPIPGVEHIGGDMFESVPSGDAIFMKWILHDWSDEH >DRNTG_01936.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32474364:32476372:1 gene:DRNTG_01936 transcript:DRNTG_01936.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MED18 [Source:Projected from Arabidopsis thaliana (AT2G22370) UniProtKB/TrEMBL;Acc:A0A178VRQ8] MDREPFPGFGSYKFILNSRILSDLTTSDLRQKDPNLDALAHFVVVVVVFFFFVSRLLGGVPCTVCTSVITFSSSHFLDPVFQHVEALEILLQGLCGVPKERLKVHELCLKSGPNLGGYAAGLVPSEVRLLCDLAQPTPSWTVRHVGGAMRGAGAEQISVLVRTVVESKVSKNVLRFFYALGYKLDHELLKVGFSFNFHRGAPITVTVTSANKMPKLHATEEAVPVTPGIQLVEITAPAAADNYNDVVSAVSSFCEYLAPLLHLSKPGVSTGIVPTASAAAAALLSNSGNKTS >DRNTG_33729.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1306575:1311959:1 gene:DRNTG_33729 transcript:DRNTG_33729.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAVAKRLREDGTEDNGAGGVSSIVEDGKTMDCISTVIPGWFSEMSPMWPGEAHSLKVEQILFQGKSLYQNVMVFQSSTYGKVLVLDGVIQVTERDECAYQEMITHLPLCSIPSPKKVLVIGGGDGGVLREVSRYSSVEVIDICEIDAMVVDVSKKFFPKLAIGFEDPRVSLHIGDGVAFLKNVPEGTYDAVIVDSSDPIGPAQELFEKPFFESVARALRPGGVVCTQAESIWLHMHIIEDIVSVCRQIFKGSVNYAWTTVPTYPSGVIGFMLCSTKGPAVDFMHPVNQIDENDSFNKAKGPLKFYNPEIHSAAFCLPSFAKRIINSETG >DRNTG_00323.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2249465:2250277:1 gene:DRNTG_00323 transcript:DRNTG_00323.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIRCLALEKVLESIKLKCRNANYGCWAMPSYLHKEEHHESCIYTPCSCPVPSCSFQCSMNNLSQHFTDNHKNSAVEFSYRRHFSVPIPDEEFLILISQEKSLFLLLVNCDVVAGKTLSIICICPATEDNKFMYELSVEAHPTHLKLKSSGEK >DRNTG_00323.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2248456:2250277:1 gene:DRNTG_00323 transcript:DRNTG_00323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIRCLALEKVLESIKLKCRNANYGCWAMPSYLHKEEHHESCIYTPCSCPVPSCSFQCSMNNLSQHFTDNHKNSAVEFSYRRHFSVPIPDEEFLILISQEKSLFLLLVNCDVVAGKTLSIICICPATEDNKFMYELSVEAHPTHLKLKSSGEK >DRNTG_19700.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4352633:4353400:-1 gene:DRNTG_19700 transcript:DRNTG_19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFMDSMCLRCAIELGVPDAIHNHGGPMTLSELVQALPMATTRAPFLRRIMRVLVNSGFFSIKGNESDGSNEEEVYYDLTATSKLLVTGSTNSLAPLVLFVTGSDVGMAGLAMSTWIKTSDDDDKNETPFHVAHDGKGLFEFSSERPEFNALLNEGMACDNRVLIGEMVKNWGDALFGGLRSLVDVGGGTGLAAAVMVAAYPEMKCSVLELDHVVDVQPENELVEFVRVICLFRSLKQMLFYSRYVF >DRNTG_21858.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19365845:19367299:1 gene:DRNTG_21858 transcript:DRNTG_21858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTVLVFDFDKTIIDCESDNWVIDHLGATKFFDHLLLSLPWNTSMNRVMEELHSQGWSVEDIAEVLKTVPLNPNTSSAIKSAFALGCELRIVSDANKFSIETILEHNGLLNCFSEINTNPGFVDEEGKLCIFPYHEFSFNSSSHGCPLCPPNMCKGLIIERIKAEIMEKGKRIIYLGDGKGDFCPSLKLSETDFVMPRLDFPVWELICENKDLVKAEVHEWIDFEEQGRVILKLINKVSTMADVHQLIDCKL >DRNTG_04319.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22095044:22095332:1 gene:DRNTG_04319 transcript:DRNTG_04319.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEKKQQPSTNHKQRKQEQTTPNHNKWKYNPKEKAQWKKLQQKTENINKKPALGDMPPQATRKDP >DRNTG_03529.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000167.1:51423:52591:-1 gene:DRNTG_03529 transcript:DRNTG_03529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPRGRPLATLGFTPYFPGVNGLGLSLVCFAIEVGSFVPSHTHPRANEVIVMIEGSIIAGFISSDNKAYYKTLQKGDVFIFPQGLLHFEVNVGNITAKILAAFEGSSPGIQGTIMSLTGNDLPSDVVQKVSLIFDKDMVKKFKAMFGGTN >DRNTG_33168.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23413603:23418807:1 gene:DRNTG_33168 transcript:DRNTG_33168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKGIMLITLFLNFITFSASKLDVTHFPPSFLFGTATSSYQIEGAYLEDNKSLSNWDVFTHLQGKIEDGSNGDIACDHYHKYEEDIEMMHSLGVNAYRFSISWSRVLPRGRFGGINPLGIEFYNKLINQLLVKGIQPFVTLNHFDVPKELEDQYGAWLNAEIQEDFGYFAEVCFEAFGDRVKYWVTLNEPNEVAKKGYETGEYPPGLHSQPYVAAHNLILSHATALHIYKTKYQEKQGGSIGIVLSMLWYEPLKDTVEDNSLIQSTFDFEIGWFLDPSIYGDYPNEMKKYLGPKLPTFSTKEKEKLQNGLDFIGINHYESLYVGRCGVSYCKVAERDGIPIGKKTPMPGSYAVPEGMEKIVTYISKTYNNTPIFITENGLPQKSDDSTSKEELLDDTDRINYLDSYLTFLARAMRKGADVRGYFVWSFIDNFEWTYGYTLRFGLYHVDYKTQKRTPKSSAKWFKDFHNVPRLQQGREDEKSKAI >DRNTG_33168.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23413603:23418807:1 gene:DRNTG_33168 transcript:DRNTG_33168.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKGIMLITLFLNFITFSASKLDVTHFPPSFLFGTATSSYQIEGAYLEDNKSLSNWDVFTHLQGKIEDGSNGDIACDHYHKYEEDIEMMHSLGVNAYRFSISWSRVLPRGRFGGINPLGIEFYNKLINQLLVKGIQPFVTLNHFDVPKELEDQYGAWLNAEIQEDFGYFAEVCFEAFGDRVKYWVTLNEPNEVAKKGYETGEYPPGLHSQPYVAAHNLILSHATALHIYKTKYQEKQGGSIGIVLSMLWYEPLKDTVEDNSLIQSTFDFEIGWFLDPSIYGDYPNEMKKYLGPKLPTFSTKEKEKLQNGLDFIGINHYESLYVGRCGVSYCKVAERDGIPIGKKTPMPGSYAVPEGMEKIVTYISKTYNNTPIFITENGKVLM >DRNTG_04369.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20485780:20487704:1 gene:DRNTG_04369 transcript:DRNTG_04369.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEQVQCDCCDLQEDCTQNYISEVKAKFDGKWLCGLCAEAVRDEVIRAKKIGYGMEEGMKAHMSFCRKFKSNPAVQVADGMKQMLRRRSGNMSGTSAPSMTAKNHGRNISNLQVRSKHK >DRNTG_11181.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2047522:2048132:1 gene:DRNTG_11181 transcript:DRNTG_11181.3 gene_biotype:protein_coding transcript_biotype:protein_coding VYALSRDQGIPFSSIWRHIHPKHKVPANAVWLCAAICILLGLPILKVNVVFTAITSICTVGWVGGYAVPIFARMIMPEKKFNPGPFYLGKARRPVCLIAFLWICYTCSVFLLPTLYPIKWDTFNYAPVALGVCITLIMFWWIVDARKWFKGPVRNIDDQIEKV >DRNTG_11181.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2046863:2048132:1 gene:DRNTG_11181 transcript:DRNTG_11181.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLVALSTQSASYVFSHFETAPASTGINSKPYAVILAVTVSQYSLYGYDAAAHLTEETKGADKNGPIAILSSIGIITIFGWAYILALTFSIQNFDYLYDTNNETAGVFVPAQILYDAFHGRYHNSTGAIIVLFVIWGSFFFGGLSITTSAARVVYALSRDQGIPFSSIWRHIHPKHKVPANAVWLCAAICILLGLPILKVNVVFTAITSICTVGWVGGYAVPIFARMIMPEKKFNPGPFYLGKARRPVCLIAFLWICYTCSVFLLPTLYPIKWDTFNYAPVALGVCITLIMFWWIVDARKWFKGPVRNIDDQIEKV >DRNTG_11181.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2045190:2048132:1 gene:DRNTG_11181 transcript:DRNTG_11181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEKRLNELGYKQELRREMTLFKTLAISFSTMTLFTGITPLYGSSLLYAGPAALVWGWVVVSFFTWFVGIAMAEICSSFPTTGSLYFWAAHLAGPVWGPFASWCCAWLETIGLIAGIGTQAYAGSQVLQSIILLCTGTNKGGGYLAPRGVFLAMYVGLTIIWAILNTFALEVIAFIDIISIWWQVIGGFVIVIMLPLVALSTQSASYVFSHFETAPASTGINSKPYAVILAVTVSQYSLYGYDAAAHLTEETKGADKNGPIAILSSIGIITIFGWAYILALTFSIQNFDYLYDTNNETAGVFVPAQILYDAFHGRYHNSTGAIIVLFVIWGSFFFGGLSITTSAARVVYALSRDQGIPFSSIWRHIHPKHKVPANAVWLCAAICILLGLPILKVNVVFTAITSICTVGWVGGYAVPIFARMIMPEKKFNPGPFYLGKARRPVCLIAFLWICYTCSVFLLPTLYPIKWDTFNYAPVALGVCITLIMFWWIVDARKWFKGPVRNIDDQIEKV >DRNTG_29219.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3236715:3237335:-1 gene:DRNTG_29219 transcript:DRNTG_29219.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNSKEVEDLCTKLQDMKLMLQPLMDELSLLDSGNETEMSQSEQSIIRAEHVDYASQQFTEEGAVDLANQRSNIKQNKKSRKKGRCVSSAAEGTECQTSSFRQASVASSSKKRKGKQSKKSNKKGKSKKNRH >DRNTG_29219.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3236715:3237744:-1 gene:DRNTG_29219 transcript:DRNTG_29219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAGLSDAYAEVHGTMVHSLQLLHEALVHPGAKEVDEMHNSKEVEDLCTKLQDMKLMLQPLMDELSLLDSGNETEMSQSEQSIIRAEHVDYASQQFTEEGAVDLANQRSNIKQNKKSRKKGRCVSSAAEGTECQTSSFRQASVASSSKKRKGKQSKKSNKKGKSKKNRH >DRNTG_09031.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31327685:31327948:1 gene:DRNTG_09031 transcript:DRNTG_09031.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Egg cell-secreted protein 1.1 [Source:Projected from Arabidopsis thaliana (AT1G76750) UniProtKB/Swiss-Prot;Acc:Q9SRD8] MAKCWESLLALKSCTGEVILFFFNGETYLGPCCCKAIRVIEHNCWAAEAMLAALGFTPEEGDALRGFCDANSPAPSSSSSSPPPSPA >DRNTG_02161.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29178820:29181029:1 gene:DRNTG_02161 transcript:DRNTG_02161.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEACDSSGSMSSVGLSEPAPAPEEELELGLSLGAKKLSGGGGRCCRILTAKDLPSLASRASSSSSVSSSNGSPNGVAGTKRVADVVGSAANPSSQMVVGWPPIRAFRMNNLVNQSKDTTSDGDNALKKSNKKESTCGKDHDKQGSSLFVKVNMDGDPIGRKVDLNAHLSYDSLAHALELMFRKPTSDCVTASKLLGGLSEFALTYEDKDGDWMLVGDVPWRMFLSAVKRLRIMRTSDANGLCKYTSLKSILQ >DRNTG_02161.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29178820:29181029:1 gene:DRNTG_02161 transcript:DRNTG_02161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEACDSSGSMSSVGLSEPAPAPEEELELGLSLGAKKLSGGGGRCCRILTAKDLPSLASRASSSSSVSSSNGSPNGVAGTKRVADVVGSAANPSSQMVVGWPPIRAFRMNNLVNQSKDTTSDGDNALKKSNKKESTCGKDHDKQGSSLFVKVNMDGDPIGRKVDLNAHLSYDSLAHALELMFRKPTSDCVTASKLLGGLSEFALTYEDKDGDWMLVGDVPWRMFLSAVKRLRIMRTSDANGLLTWNTKSIYN >DRNTG_28397.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001435.1:14889:15531:-1 gene:DRNTG_28397 transcript:DRNTG_28397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLRRVLYSSQRSPRTPVWILCFASSAGCQQNLL >DRNTG_14787.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29954548:29958862:-1 gene:DRNTG_14787 transcript:DRNTG_14787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQGKAENVLESPQKIVVAVRASKEIPRTALVWALTHVVRAGDGILLLVVIPSHNSGRRLWGFPRFAGDCANANRRLNSGTTPEQKSDIADSCTRIVLQLQNAYHQNKVNVKVKITSGSPCGAVAAESKQARANWVVLDKQMKQEEKRCMEELQCNIVVMKHNRPKVLRLNLVTSGEMKPKIPVSLAPKMYSSFMKNSNHTGNSQNSSLVSVVTSNGSAETRATYGGTELGTSSISSSDQATSLKFLSEADISHNKEEAISKSENRNSDACFSDSDGESLATPPTKEFQALLSEILSGRQYSQNEVEGHSEICNSNAHFSISKSLLEKFSRFDMEANRIVDFKHSADPGNNANAREKILIARNIPSGSPPLCSICQHKAPVFGKPPRMFTYAELELATGGFSTANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDIEFCSEVEVLSCAQHRNVVMLIGFCVEDGRKLLVYEYICNGSLDSHLYGHNKEPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEALVGDFGLARWQPDGNSGVDTRVIGTFGYLAPEYAQSGQITEKADVFSFGVVLLELFTGKKAVDINRAKGQQCLTEWARPLLEQDAILELMDPQIKNNYSNHEVKCMLLAASLCIRRNPLTRPRMSQILRILEGDMVIEPGHWNPSPNHGNGIGTECEEEQCKGLGGKYIYKTLRAACEKGDSNFMQR >DRNTG_14787.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29954548:29957747:-1 gene:DRNTG_14787 transcript:DRNTG_14787.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEEKRCMEELQCNIVVMKHNRPKVLRLNLVTSGEMKPKIPVSLAPKMYSSFMKNSNHTGNSQNSSLVSVVTSNGSAETRATYGGTELGTSSISSSDQATSLKFLSEADISHNKEEAISKSENRNSDACFSDSDGESLATPPTKEFQALLSEILSGRQYSQNEVEGHSEICNSNAHFSISKSLLEKFSRFDMEANRIVDFKHSADPGNNANAREKILIARNIPSGSPPLCSICQHKAPVFGKPPRMFTYAELELATGGFSTANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDIEFCSEVEVLSCAQHRNVVMLIGFCVEDGRKLLVYEYICNGSLDSHLYGHNKEPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEALVGDFGLARWQPDGNSGVDTRVIGTFGYLAPEYAQSGQITEKADVFSFGVVLLELFTGKKAVDINRAKGQQCLTEWARPLLEQDAILELMDPQIKNNYSNHEVKCMLLAASLCIRRNPLTRPRMSQILRILEGDMVIEPGHWNPSPNHGNGIGTECEEEQCKGLGGKYIYKTLRAACEKGDSNFMQR >DRNTG_14121.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17337632:17338431:-1 gene:DRNTG_14121 transcript:DRNTG_14121.2 gene_biotype:protein_coding transcript_biotype:protein_coding QKSTARGWIFDYEVGTELNKISIAVVGSLTAVGG >DRNTG_14121.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17336430:17338431:-1 gene:DRNTG_14121 transcript:DRNTG_14121.1 gene_biotype:protein_coding transcript_biotype:protein_coding QKSTARGWIFDYEVGTELNKISIAVVGSLTAVGG >DRNTG_09138.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26851854:26857780:-1 gene:DRNTG_09138 transcript:DRNTG_09138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISMVAFQLGKKQMFIMRLKADGQELAIKVYKTSVLVFKDRDRYVQGDYRFRYGYCKHNPRKMVKTWAEKEMRNLLRVKAAGIRCPSPILLRLHVLVMEFIGKSGWAAPRLKDASLSEDKLRESYVEIITIMRTLYQKCKLVHGDLSEYNILYFEGHLYIIDVSQSVDLDHPSALDFLREDCVHVSDFFKKGGVAVMSVKELLDFVLDPSISDDAVDEYLEKIQQNILKRCGTDVQDDEIAPTVYVQTLNHVKKCEEDLVQMAMLSRPAFVYEPKPEELYDQPLLGFIDTKAEGISKQHQDITVANETSEILDRSLSNEQPLEETVETSTDGDESSSDSEEEKLAVDDGTRMDPADKKAARKENKKKVKEEKRESRKSKVPKAEKKRRKKLAKAKCSR >DRNTG_17151.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17541305:17545036:-1 gene:DRNTG_17151 transcript:DRNTG_17151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSFLRKRLVGELPSRRAFGSSTSPCRCPILAYLKSFFSPISAFFSLSFPQLEKGWRLGFWEVLARDLERFYGFDIALHLEEDKMPSGSSTSTPEISPSLLPVFRGEGYEHWSYIMRTFLKSQNLWKIVEDGIKKNKANEKELENDAKALFLLQQVVDETILHRIESFNTAKEAWDHIKNENQGMGSEVKDENVVLKVMRSLSSRFVHAVTSIEEARETPIVSLDELSGALQDHEARCN >DRNTG_23247.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21360248:21363189:-1 gene:DRNTG_23247 transcript:DRNTG_23247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPQGHEYLDGSEPSHGHDEGLESFLDHVMLVEQLLLLLSLLLCRLEAGLNLTVRERMTVTRNQSFKEVVQLALRGEKMVLEGRRIRESIRFHRPRPVDSRVQYLLEVCQVHDQQELCDSVGIVISFMKDGLNLDHPTQSRSSEPVAKAQTTATRSVAASNTPQSGASRSQTRSQTRIFALTNEEPKCEADMITVVVVVVVTIAEFQVY >DRNTG_29349.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18086743:18089511:1 gene:DRNTG_29349 transcript:DRNTG_29349.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGHRNPITFFLIFIVFLLRFRSFSWTQTSHSQCIDLLELKKGFLFSYATTSLPSWLTGTDCCTWEGLTCEEASGLVVFLDLSERNISGKIMPFLFNITSLQRLNIAHNSFDESPLLEIRNLGNLTHLNLSNSGVIDQVPMDISLLRKLVSLDLSWSGWNTESPPLDLRKLIGGLSNLKELYLDGVNVSANGTQWCSVISESVPKLEALSLVGCSLTGPIDSSLSKLRNLSLLRLDDNDLSSEVPEFFEDFYSLNVLTLSNCKLQGFFPKRVFQLKNLKYIDILHNDELSGYLPDFLKDSTLESLIISSTNFSGSLSDSLGNLESLMDLDLSGCHFSGSIPWLFRNLSQLVYVDLSSNNVTGEIPVILGGIWISEILLSNNNLTGSVPESFSQLNHLVTLDLQENFLSGSIPASLFTLPALQELQLSENEFSGQLEEFLNGSSVLQVVDLGNNNLLGEIPKSMFDLLGLQSLVLSSNNFTVTIELDLFRNFRNLKYLDLSSNNLSVSDGTGDASLLFPSLAEFFYFFYFYFFFKKNHGLFPLAELRLESCNLVTIPAFLKHKNNMDCLNLSNNRIGDTIPEWIWSIGDINMSYCYLNLSRNLFTFIEGPPPHVTMSAGFILDLHSNLLGGPIPLPPSNSFIVDYSNNHFVAILSISSS >DRNTG_29349.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18086743:18089377:1 gene:DRNTG_29349 transcript:DRNTG_29349.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGHRNPITFFLIFIVFLLRFRSFSWTQTSHSQCIDLLELKKGFLFSYATTSLPSWLTGTDCCTWEGLTCEEASGLVVFLDLSERNISGKIMPFLFNITSLQRLNIAHNSFDESPLLEIRNLGNLTHLNLSNSGVIDQVPMDISLLRKLVSLDLSWSGWNTESPPLDLRKLIGGLSNLKELYLDGVNVSANGTQWCSVISESVPKLEALSLVGCSLTGPIDSSLSKLRNLSLLRLDDNDLSSEVPEFFEDFYSLNVLTLSNCKLQGFFPKRVFQLKNLKYIDILHNDELSGYLPDFLKDSTLESLIISSTNFSGSLSDSLGNLESLMDLDLSGCHFSGSIPWLFRNLSQLVYVDLSSNNVTGEIPVILGGIWISEILLSNNNLTGSVPESFSQLNHLVTLDLQENFLSGSIPASLFTLPALQELQLSENEFSGQLEEFLNGSSVLQVVDLGNNNLLGEIPKSMFDLLGLQSLVLSSNNFTVTIELDLFRNFRNLKYLDLSSNNLSVSDGTGDASLLFPSLAEFFYFFYFYFFFKKNHGLFPLAELRLESCNLVTIPAFLKHKNNMDCLNLSNNRIGDTIPEWIWSIGDINMSYCYLNLSRNLFTFIEGPPPHVTMSAGFILDLHSNLLGGPIPLPPSNSFIVDYSNNHFVAILSISSS >DRNTG_29349.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18086890:18089511:1 gene:DRNTG_29349 transcript:DRNTG_29349.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGHRNPITFFLIFIVFLLRFRSFSWTQTSHSQCIDLLELKKGFLFSYATTSLPSWLTGTDCCTWEGLTCEEASGLVVFLDLSERNISGKIMPFLFNITSLQRLNIAHNSFDESPLLEIRNLGNLTHLNLSNSGVIDQVPMDISLLRKLVSLDLSWSGWNTESPPLDLRKLIGGLSNLKELYLDGVNVSANGTQWCSVISESVPKLEALSLVGCSLTGPIDSSLSKLRNLSLLRLDDNDLSSEVPEFFEDFYSLNVLTLSNCKLQGFFPKRVFQLKNLKYIDILHNDELSGYLPDFLKDSTLESLIISSTNFSGSLSDSLGNLESLMDLDLSGCHFSGSIPWLFRNLSQLVYVDLSSNNVTGEIPVILGGIWISEILLSNNNLTGSVPESFSQLNHLVTLDLQENFLSGSIPASLFTLPALQELQLSENEFSGQLEEFLNGSSVLQVVDLGNNNLLGEIPKSMFDLLGLQSLVLSSNNFTVTIELDLFRNFRNLKYLDLSSNNLSVSDGTGDASLLFPSLAEFFYFFYFYFFFKKNHGLFPLAELRLESCNLVTIPAFLKHKNNMDCLNLSNNRIGDTIPEWIWSIGDINMSYCYLNLSRNLFTFIEGPPPHVTMSAGFILDLHSNLLGGPIPLPPSNSFIVDYSNNHFVAILSISSS >DRNTG_29349.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18086890:18089377:1 gene:DRNTG_29349 transcript:DRNTG_29349.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGHRNPITFFLIFIVFLLRFRSFSWTQTSHSQCIDLLELKKGFLFSYATTSLPSWLTGTDCCTWEGLTCEEASGLVVFLDLSERNISGKIMPFLFNITSLQRLNIAHNSFDESPLLEIRNLGNLTHLNLSNSGVIDQVPMDISLLRKLVSLDLSWSGWNTESPPLDLRKLIGGLSNLKELYLDGVNVSANGTQWCSVISESVPKLEALSLVGCSLTGPIDSSLSKLRNLSLLRLDDNDLSSEVPEFFEDFYSLNVLTLSNCKLQGFFPKRVFQLKNLKYIDILHNDELSGYLPDFLKDSTLESLIISSTNFSGSLSDSLGNLESLMDLDLSGCHFSGSIPWLFRNLSQLVYVDLSSNNVTGEIPVILGGIWISEILLSNNNLTGSVPESFSQLNHLVTLDLQENFLSGSIPASLFTLPALQELQLSENEFSGQLEEFLNGSSVLQVVDLGNNNLLGEIPKSMFDLLGLQSLVLSSNNFTVTIELDLFRNFRNLKYLDLSSNNLSVSDGTGDASLLFPSLAEFFYFFYFYFFFKKNHGLFPLAELRLESCNLVTIPAFLKHKNNMDCLNLSNNRIGDTIPEWIWSIGDINMSYCYLNLSRNLFTFIEGPPPHVTMSAGFILDLHSNLLGGPIPLPPSNSFIVDYSNNHFVAILSISSS >DRNTG_09408.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5790501:5794364:1 gene:DRNTG_09408 transcript:DRNTG_09408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRDHRHRARRVHRGGGASTIAQFDS >DRNTG_17453.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:8123398:8127120:-1 gene:DRNTG_17453 transcript:DRNTG_17453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDWIKKVSIVRDIPHALSYLHHDCNPPIVHRDIKSNNILLDEEYKACVSDFGISRLLKPNSSHWTLVTSTYGYMAQALEVIYGTHPGDLLRTLSSMLVKDILDPRLPLHIVDQVTTHQVLSMIVIAMECINTGPEGRPTMQQVSQSIKGSIPPSLGNSSMLYGLCPFSNEISGHIANEIGYLALISKSAGELSREQYPSINTSTSWKTAMNCIP >DRNTG_33061.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31519062:31520125:1 gene:DRNTG_33061 transcript:DRNTG_33061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTMEWAAEECTHMMATNFESALHLSQLAHPLLKASSSGNIVFISTIGTFIVYQGGAIYSASKGAMNQITKHLACEWAKDNIRVNGVAPATINTSLVEYLGKDSDILMKEASRVPLGRLGEPEEVASVVAFLCLPAASYVTGQIICIDGGRAQIS >DRNTG_12424.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20191497:20193257:1 gene:DRNTG_12424 transcript:DRNTG_12424.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQALLSGCSAGGLATFIHCDEFAELFPQNTTVKCLSDAGFFLDVTDISGNNTIRPFFHSLETLQGVLKNLNQDCLSSHLYPYKCFFPQHALPYIRTPYFILNTGYDVFQFHHIFVPPSVDPHGVWNRCKLNPAACSSIQLLILQGFRLKMLDALHLFTNSSNGGMYINSCFAHCQSELQTTWFAPDSPKLQNTTIAEAVGDWYFERRIFKEVDCPYPCDSTCQNSIPLIEEGQ >DRNTG_12424.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20188038:20193257:1 gene:DRNTG_12424 transcript:DRNTG_12424.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFSLMYISGNNTIRPFFHSLETLQGVLKNLNQDCLSSHLYPYKCFFPQHALPYIRTPYFILNTGYDVFQFHHIFVPPSVDPHGVWNRCKLNPAACSSIQLLILQGFRLKMLDALHLFTNSSNGGMYINSCFAHCQSELQTTWFAPDSPKLQNTTIAEAVGDWYFERRIFKEVDCPYPCDSTCQNSIPLIEEGQ >DRNTG_12424.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20188038:20193257:1 gene:DRNTG_12424 transcript:DRNTG_12424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDFYNWNRVKLRYCDGGSFGGDSEYNATLTPLYFRGKRIWDAIIQDLLPKGLIFAQKALLSGCSAGGLATFIHCDEFAELFPQNTTVKCLSDAGFFLDVTDISGNNTIRPFFHSLETLQGVLKNLNQDCLSSHLYPYKCFFPQHALPYIRTPYFILNTGYDVFQFHHIFVPPSVDPHGVWNRCKLNPAACSSIQLLILQGFRLKMLDALHLFTNSSNGGMYINSCFAHCQSELQTTWFAPDSPKLQNTTIAEAVGDWYFERRIFKEVDCPYPCDSTCQNSIPLIEEGQ >DRNTG_12424.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20191497:20193257:1 gene:DRNTG_12424 transcript:DRNTG_12424.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFSLMYISGNNTIRPFFHSLETLQGVLKNLNQDCLSSHLYPYKCFFPQHALPYIRTPYFILNTGYDVFQFHHIFVPPSVDPHGVWNRCKLNPAACSSIQLLILQGFRLKMLDALHLFTNSSNGGMYINSCFAHCQSELQTTWFAPDSPKLQNTTIAEAVGDWYFERRIFKEVDCPYPCDSTCQNSIPLIEEGQ >DRNTG_12424.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20188038:20193257:1 gene:DRNTG_12424 transcript:DRNTG_12424.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFSLMYISGNNTIRPFFHSLETLQGVLKNLNQDCLSSHLYPYKCFFPQHALPYIRTPYFILNTGYDVFQFHHIFVPPSVDPHGVWNRCKLNPAACSSIQLLILQGFRLKMLDALHLFTNSSNGGMYINSCFAHCQSELQTTWFAPDSPKLQNTTIAEAVGDWYFERRIFKEVDCPYPCDSTCQNSIPLIEEGQ >DRNTG_12424.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20190663:20193257:1 gene:DRNTG_12424 transcript:DRNTG_12424.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFSLMYISGNNTIRPFFHSLETLQGVLKNLNQDCLSSHLYPYKCFFPQHALPYIRTPYFILNTGYDVFQFHHIFVPPSVDPHGVWNRCKLNPAACSSIQLLILQGFRLKMLDALHLFTNSSNGGMYINSCFAHCQSELQTTWFAPDSPKLQNTTIAEAVGDWYFERRIFKEVDCPYPCDSTCQNSIPLIEEGQ >DRNTG_12424.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20188038:20193257:1 gene:DRNTG_12424 transcript:DRNTG_12424.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFSLMYISGNNTIRPFFHSLETLQGVLKNLNQDCLSSHLYPYKCFFPQHALPYIRTPYFILNTGYDVFQFHHIFVPPSVDPHGVWNRCKLNPAACSSIQLLILQGFRLKMLDALHLFTNSSNGGMYINSCFAHCQSELQTTWFAPDSPKLQNTTIAEAVGDWYFERRIFKEVDCPYPCDSTCQNSIPLIEEGQ >DRNTG_12424.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20191497:20193257:1 gene:DRNTG_12424 transcript:DRNTG_12424.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLDALHLFTNSSNGGMYINSCFAHCQSELQTTWFAPDSPKLQNTTIAEAVGDWYFERRIFKEVDCPYPCDSTCQNSIPLIEEGQ >DRNTG_12424.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20191497:20193257:1 gene:DRNTG_12424 transcript:DRNTG_12424.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQALLSGCSAGGLATFIHCDEFAELFPQNTTVKCLSDAGFFLDVTDISGNNTIRPFFHSLETLQVVTISISNFHSFIEFWIP >DRNTG_12424.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20191497:20193257:1 gene:DRNTG_12424 transcript:DRNTG_12424.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLDALHLFTNSSNGGMYINSCFAHCQSELQTTWFAPDSPKLQNTTIAEAVGDWYFERRIFKEVDCPYPCDSTCQNSIPLIEEGQ >DRNTG_29189.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3095192:3098188:1 gene:DRNTG_29189 transcript:DRNTG_29189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFRSHTHHRKGPARFRSLDFGERNGYLKGVVTDIIHDPGRGAPLARVTFRHPFRYKHQKELFIAAEGMYTGQFVYCGRKAGLMVGNVLPLRSIPEGAVVCNVEHHVGDRGVLARASGDYAIVISHNPDNGTSRIKLPSGAKKIVPSGCRAMIGQVAGGGRTEKPLLKAGNAYHKFPREKELLA >DRNTG_17021.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10648270:10648685:-1 gene:DRNTG_17021 transcript:DRNTG_17021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGYVFRAREDIDAVRVLPSTCGLYAGASLTVNLSVYFMRSVCRRKLACEPFCLPVNAAKILFTGIVHSNVANHYSCAGLMRSRIEGKLHCRSFAARMLPAYFANNLVELP >DRNTG_32204.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001797.1:41520:42623:-1 gene:DRNTG_32204 transcript:DRNTG_32204.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMYAQAHHDQDQHTCSTDSSSQHN >DRNTG_27455.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10166899:10168256:-1 gene:DRNTG_27455 transcript:DRNTG_27455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGRVGCEFCHTCTRTCYPAPYPNPTGFRNPNPHPHPTGNRVPMDLRHGQESLSWNTRVKIALGAAKGLAYFHDMADPPVILFGPVGEDTDVSTTVMGTHGYCAPDYSQSGKLNVNSDVYSFGVVLLELISG >DRNTG_13730.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5462250:5466013:1 gene:DRNTG_13730 transcript:DRNTG_13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPQPVRVLYCGVCGLPAEYCEFGPDFEKCKPWLRVNAADLYPDLLNEDNQKDVDKAAEQLQSVGISSSGDGGGASAAAASGGTSKPEEVKRLPGGKIKKKEKQEVVIEKIVRNKRKCVTVVKGLELFGVKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDIAYDIVEFLTETWPDVPETAIFFIEDGKKVAAA >DRNTG_00035.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21635979:21636628:1 gene:DRNTG_00035 transcript:DRNTG_00035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPRRMLSSPGRRRSNTPSRRRSPRRRSPVAHSPSRTPSPRRGSPYSRIKKSVSSASGKSSSPSRSPPSRSPKQKMLTVPSPRKVKVDPQTLIRQRYR >DRNTG_14117.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7749756:7753658:1 gene:DRNTG_14117 transcript:DRNTG_14117.3 gene_biotype:protein_coding transcript_biotype:protein_coding VYHAWELECLESLPRNYSCLKVVDPRLSHPSNQPQPQPSCKGSHHHLHPTPTMHHRGPTPSFSKPHQCDP >DRNTG_14117.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7749756:7753658:1 gene:DRNTG_14117 transcript:DRNTG_14117.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRGPTPSFSKPHQCDPLIEKLGRQGI >DRNTG_14117.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7749756:7753658:1 gene:DRNTG_14117 transcript:DRNTG_14117.2 gene_biotype:protein_coding transcript_biotype:protein_coding VYHAWELECLESLPRNYSCLKVVDPRLSHPSNQPQPQPSCKGSHHHLHPTPTMHHRGPTPSFSKPHQCDP >DRNTG_14117.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7749756:7753658:1 gene:DRNTG_14117 transcript:DRNTG_14117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRGPTPSFSKPHQCDPTDQSIRAPPLRNTIEEERTNFDKKN >DRNTG_14117.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7753009:7753658:1 gene:DRNTG_14117 transcript:DRNTG_14117.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRGPTPSFSKPHQCDPTDQSIRAPPLRNTIEEERTNFDKKN >DRNTG_14117.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7749756:7753658:1 gene:DRNTG_14117 transcript:DRNTG_14117.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRGPTPSFSKPHQCDPTDQSIRAPPLRNTIEEERTNFDKKN >DRNTG_14117.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7749756:7753658:1 gene:DRNTG_14117 transcript:DRNTG_14117.6 gene_biotype:protein_coding transcript_biotype:protein_coding LPESSRSTTLPSKQPTPTPTQLQRFSPPPPSHPDNGRDRENSPQIRVLEHPHFAILLKKNARILIKKTKASRGPTKGKYHGR >DRNTG_00214.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15744115:15749203:-1 gene:DRNTG_00214 transcript:DRNTG_00214.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSASCLFIMAEKGHSLLFFFFFLLALLVGAVAGLNRSSFPAGFIFGTASASYQYEGAWNEDGRGPSIWDTFTHMHPEKIADRSNGDVAVDSYHRYKEDVKIMKEMGMDGYRFSISWSRILPNGSLSGGINKQGVNYYNNLINELISNGLKPFVTLFHWDSPQALEDKYGGFRSENIVSDFQDYAVVCFKEFGDRVKHWITLNEPLGFSSSGYTIGNGGLHHCSAHEAQHCFIDDSNRGPYIVAHHQLLAHAAAVTTYKLKYQARQKGKIGITLNTGWFVPDTSSKLDYVSAQRAMDFMLGWFMDPLVFGDYPRSMRAYVGDRLPKFTKKQSEIVKGSFDFIGLNYYTSSYARNIPSSNIVKASFKTDSHVELTAVRNGIPIGPQAASPWLYVYPRGIGDLLIYTKKKYKNPIIYITENGVDEVNNGTLPLEAALKDDMRINYFEQHLFYLRRAIQKGVDVRGYFAWSLLDNFEWESGYTVRFGINYVDYKDGLKRYPKSSSLWFGKFLKN >DRNTG_00214.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15744115:15744833:-1 gene:DRNTG_00214 transcript:DRNTG_00214.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRINYFEQHLFYLRRAIQKGVDVRGYFAWSLLDNFEWESGYTVRFGINYVDYKDGLKRYPKSSSLWFGKFLKN >DRNTG_00214.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15688088:15749203:-1 gene:DRNTG_00214 transcript:DRNTG_00214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSASCLFIMAEKGHSLLFFFFFLLALLVGAVAGLNRSSFPAGFIFGTASASYQYEGAWNEDGRGPSIWDTFTHMHPEKIADRSNGDVAVDSYHRYKEDVKIMKEMGMDGYRFSISWSRILPNGSLSGGINKQGVNYYNNLINELISNGLKPFVTLFHWDSPQALEDKYGGFRSENIVSDFQDYAVVCFKEFGDRVKHWITLNEPLGFSSSGYTIGNGGLHHCSAHEAQHCFIDDSNRGPYIVAHHQLLAHAAAVTTYKLKYQARQKGKIGITLNTGWFVPDTSSKLDYVSAQRAMDFMLGWFMDPLVFGDYPRSMRAYVGDRLPKFTKKQSEIVKGSFDFIGLNYYTSSYARNIPSSNIVKASFKTDSHVELTAVRNGIPIGPQAASPWLYVYPRGIGDLLIYMKNKYKNPIIYITENGVDEVNNGTLPLEVALKDDMRINYLKQHLIYLRRAIQKGVDVRGYFAWSLLDNFEWLSGYTVRFGINYVDYKDGLKRYPKSSSLWFGKFLKN >DRNTG_16242.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5936742:5940851:-1 gene:DRNTG_16242 transcript:DRNTG_16242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSSGSVSIDVEGVLFGGEEHIIQTRHGLVSVTVFGDQEKTALVTYPDVALNYMSCFQGLFFCPEAASLLLHNFCIYNINPPGHELGAAPISFDIPIPSIDDLADQVADVLDFFGLGPVMCLGAIAGAHVLTVFAIKYRKRVLGLLLISPLSKAPSWTEWFYNKAMSNLLYYYGMCGLTKECLLQRYFSKGVRGSRQARESDTVQACRRFLNERQSTNVWRFLESINRRNDITEVLKKLQCRTLIIAGENSMFHSEATHMSSRLNQRYCAFVEVKACGSLVTEEQPHAMLIPMEYFFAWYGFFRPCQFIGSPRSPLSPLCISPELLSPESMGVKLKPIKTKVSV >DRNTG_16242.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5936742:5940851:-1 gene:DRNTG_16242 transcript:DRNTG_16242.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGAIAGAHVLTVFAIKYRKRVLGLLLISPLSKAPSWTEWFYNKAMSNLLYYYGMCGLTKECLLQRYFSKGVRGSRQARESDTVQACRRFLNERQSTNVWRFLESINRRNDITEVLKKLQCRTLIIAGENSMFHSEATHMSSRLNQRYCAFVEVKACGSLVTEEQPHAMLIPMEYFFAWYGFFRPCQFIGSPRSPLSPLCISPELLSPESMGVKLKPIKTKVSV >DRNTG_04855.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22476330:22477788:-1 gene:DRNTG_04855 transcript:DRNTG_04855.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLSELENTSKMETSQQTSQQEISRQMIKLLLRNLMRMYPATKTGKIPRPWIQSNPSIHDLHRSSRARWSFLVFGGLFENGECY >DRNTG_19993.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21056744:21067120:-1 gene:DRNTG_19993 transcript:DRNTG_19993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQSVQQRTLSDYARPSVLGTQSSIVRPPITPQ >DRNTG_28639.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23838014:23839594:-1 gene:DRNTG_28639 transcript:DRNTG_28639.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWMDLQGIKGRMKKLQKKFDVMLTRMIKKHIETAQNRKRKPDLLDTIMANKDKSVAERLSDDSVKAVLLDLLTTGTDTSSSTIERAIAEMLKNPTIMKRAQSEMDQVIGQNHRLIESDIPNLPYLRAICKESFRKHPSTPLNFPHVSSNDCEVNGYYIPKKTKLLVNIWAIGGDPEVWNDPLEFNPERFLIGQASKMDPRGADFELIPFGIGRRICAGARMGVMLVEYILGVMIHSFDWENGYKNESEMMDMDEVFGLVL >DRNTG_26537.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:924377:927294:1 gene:DRNTG_26537 transcript:DRNTG_26537.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPAHRRSPTIPSTTSSPLPPEMGGSSSLGRTTLRLSSSLMMPSLPSSCCFLSTTEFSLILPSKIISR >DRNTG_26537.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:929719:940990:1 gene:DRNTG_26537 transcript:DRNTG_26537.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFHHTVNQRKLYQLVGHVPLEVKWLLDIVMEIFFFGLYQWLQIRKNPVAFNKRESHAASNLPLLKLNLGYKLEKAPIVSLRWVVGDGKASRLYVNGFSEVGSFHSFQIIILNENTESRMIKLVLPLTEACLAMEMISCCSDRNKLKQSTLVLLMKSGNLCLFDDSDIERYLLQCQSKSCPSLPTPILVKLPYVDSSITVAKLYTGNPSPSSPTVEDHFQLANKYPCLLSTDTKDKNGNHSSSARLKEISKTRNLYITGHQDGAINFWDASCPFLLPLLSMKPQGEAGNSSTGVQVTALFFDIYSQILVAGDRNGLARIMLFKEEHSATENILSFLQAKQGGNYTTCCIKLDGAIQSISLNSDTKHLAIGTSKGYVFMIDMNGTTILYQKQFSCQAYMGINSLQFEKYNQNIYQKNALVVGMEDSSVLILDEDTGNVLSPGVIHPKKPSKALLMHILDHGDLGKDQPANDPMSNEPLIVVCSEKAVRLYYFSHAIQGIKKLYIKKKLNGSCCYASIVYSSTNVGILLVFASGKMELRSLPDLTFLKETSISSCMFSDLKSISNIVLCASSEGELIVVNSDQEAIFFSVLLQGNVYRHVEHLIQVYSKDRITAQEELSTGMITQKEKKKGIFGAMVKDLLGNKSSQSQQPNDLNFSVGTSEEHFDIFSTDNFPQDDANKHCSVKDEDVELDIDDIDLDDIQEKPKGRNFAGLNTQMLGKKFQAMKGKLKPKKDEKVNQNIEDNEDGKAVGAVDLIKRKYGYSVNNESSVPTVAASKLSENVNKLKAIETKTSEMQASAQSFSSMTKQVLRSVQRDRK >DRNTG_26537.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:924377:940990:1 gene:DRNTG_26537 transcript:DRNTG_26537.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFHHTVNQRKLYQLVGHVPLEVKWLLDIVMEIFFFGLYQWLQIRKNPVAFNKRESHAASNLPLLKLNLGYKLEKAPIVSLRWVVGDGKASRLYVNGFSEVGSFHSFQIIILNENTESRMIKLVLPLTEACLAMEMISCCSDRNKLKQSTLVLLMKSGNLCLFDDSDIERYLLQCQSKSCPSLPTPILVKLPYVDSSITVAKLYTGNPSPSSPTVEDHFQLANKYPCLLSTDTKDKNGNHSSSARLKEISKTRNLYITGHQDGAINFWDASCPFLLPLLSMKPQGEAGNSSTGVQVTALFFDIYSQILVAGDRNGLARIMLFKEEHSATENILSFLQAKQGGNYTTCCIKLDGAIQSISLNSDTKHLAIGTSKGYVFMIDMNGTTILYQKQFSCQAYMGINSLQFEKYNQNIYQKNALVVGMEDSSVLILDEDTGNVLSPGVIHPKKPSKALLMHILDHGDLGKDQPANDPMSNEPLIVVCSEKAVRLYYFSHAIQGIKKLYIKKKLNGSCCYASIVYSSTNVGILLVFASGKMELRSLPDLTFLKETSISSCMFSDLKSISNIVLCASSEGELIVVNSDQEAIFFSVLLQGNVYRHVEHLIQVYSKDRITAQEELSTGMITQKEKKKGIFGAMVKDLLGNKSSQSQQPNDLNFSVGTSEEHFDIFSTDNFPQDDANKHCSVKDEDVELDIDDIDLDDIQEKPKGRNFAGLNTQMLGKKFQAMKGKLKPKKDEKVNQNIEDNEDGKAVGAVDLIKRKYGYSVNNESSVPTVAASKLSENVNKLKAIETKTSEMQASAQSFSSMTKQVLRSVQRDRK >DRNTG_26537.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:935031:940990:1 gene:DRNTG_26537 transcript:DRNTG_26537.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMNGTTILYQKQFSCQAYMGINSLQFEKYNQNIYQKNALVVGMEDSSVLILDEDTGNVLSPGVIHPKKPSKALLMHILDHGDLGKDQPANDPMSNEPLIVVCSEKAVRLYYFSHAIQGIKKLYIKKKLNGSCCYASIVYSSTNVGILLVFASGKMELRSLPDLTFLKETSISSCMFSDLKSISNIVLCASSEGELIVVNSDQEAIFFSVLLQGNVYRHVEHLIQVYSKDRITAQEELSTGMITQKEKKKGIFGAMVKDLLGNKSSQSQQPNDLNFSVGTSEEHFDIFSTDNFPQDDANKHCSVKDEDVELDIDDIDLDDIQEKPKGRNFAGLNTQMLGKKFQAMKGKLKPKKDEKVNQNIEDNEDGKAVGAVDLIKRKYGYSVNNESSVPTVAASKLSENVNKLKAIETKTSEMQASAQSFSSMTKQVLRSVQRDRK >DRNTG_26537.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:932645:940990:1 gene:DRNTG_26537 transcript:DRNTG_26537.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLVLPLTEACLAMEMISCCSDRNKLKQSTLVLLMKSGNLCLFDDSDIERYLLQCQSKSCPSLPTPILVKLPYVDSSITVAKLYTGNPSPSSPTVEDHFQLANKYPCLLSTDTKDKNGNHSSSARLKEISKTRNLYITGHQDGAINFWDASCPFLLPLLSMKPQGEAGNSSTGVQVTALFFDIYSQILVAGDRNGLARIMLFKEEHSATENILSFLQAKQGGNYTTCCIKLDGAIQSISLNSDTKHLAIGTSKGYVFMIDMNGTTILYQKQFSCQAYMGINSLQFEKYNQNIYQKNALVVGMEDSSVLILDEDTGNVLSPGVIHPKKPSKALLMHILDHGDLGKDQPANDPMSNEPLIVVCSEKAVRLYYFSHAIQGIKKLYIKKKLNGSCCYASIVYSSTNVGILLVFASGKMELRSLPDLTFLKETSISSCMFSDLKSISNIVLCASSEGELIVVNSDQEAIFFSVLLQGNVYRHVEHLIQVYSKDRITAQEELSTGMITQKEKKKGIFGAMVKDLLGNKSSQSQQPNDLNFSVGTSEEHFDIFSTDNFPQDDANKHCSVKDEDVELDIDDIDLDDIQEKPKGRNFAGLNTQMLGKKFQAMKGKLKPKKDEKVNQNIEDNEDGKAVGAVDLIKRKYGYSVNNESSVPTVAASKLSENVNKLKAIETKTSEMQASAQSFSSMTKQVLRSVQRDRK >DRNTG_15866.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25348741:25353659:-1 gene:DRNTG_15866 transcript:DRNTG_15866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFALTSASLASIRCVPGNGYSKRLQFVSLTSNLTSTSLRGTCFALKREDGTSTLPISGEDGILSYSKTGSLIDQFNSVIAETENNLLSNTKLQPDALSLGAVATGMAHATEEFFAENGELDLDCSTEGFSSIQEAIEDIRQGKYVIVVDDEDRENEGDIIMAASLVTPDAMAFIVRHGTGIVCVSMKGEDLNRLQLPLMVSSEENEEKLRTAFTISVDAKEGTTTGVSAIDRANTILSLASPTSKPEDFNRPGHIFPLKYKEGGVLKRAGHTEASVDLATLAGLPPVAVLCEIVDDDDGSMARLPKLREFAKREGLKIISIADLIRYRRKRDKLVERASVARLPLKWGSVSAYCYRSVLDGIEHIAMVKGDIGDGQDVLVRVHSECLTGDIFGSARCDCGNQLALAMEMIEKAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDAGRDTVEANEELGLPVDSREYGIGAQILRDLGVRSMKLMTNNPAKYTGLKGYGLRVIARVPLLTPITKENKRYLETKRAKMGHIYGSEFNGRLTSLVEHIGTDEEQQTS >DRNTG_10945.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:188278:200022:1 gene:DRNTG_10945 transcript:DRNTG_10945.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKRKLAQRSERVKSLDLHPTEPWILASLYSGSVCIWNYQTQTMAKSFEVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHLKGVNCVDYFTGGDRPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWALGYMKGSRRVAIGYDEGTIMIKLGREVPVASMDSSGKIIWAKHNEIQTVNIKTVGADYEVTDGERLPLAVKELGSCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSEGEYAVRESTSRIKIFSKTFQEKKSIRPTFSAERIFGGALLAMCSNDFICFYDWAECRLIRRVDVNVKNLYWADSGDLVAIASDTSFYILKYNRDVVSSYLESGKPVDEQGVEDSFELLHEVNERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLDRANEVLPSIPKEHHNSVAHFLESRGMLEDALEVATDPNYRFDLAVQLGKLEIAKAIAVEVQSESKWKQLGELAMSIGKLEMAEECLSNAMDLSGLLLLYSSLGDAEGITRLASLAKEQGKNNVAFLCLFMLGKLEDCLQLLLESNRIPEAALMARSYLPSKVTEIVSVWKNDLNKVNPKAAESLADPEEYPNLFEDWQITLDVESNLALKRGSYPPADQYVNYAGTSNMNLVESFKSMQIDEYDVPLENGDTAHEVTEENGEEEHQETVEIDAEDTTDGSVLVNGNEGGEQWVLTPRD >DRNTG_10945.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:188278:200022:1 gene:DRNTG_10945 transcript:DRNTG_10945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKRKLAQRSERVKSLDLHPTEPWILASLYSGSVCIWNYQTQTMAKSFEVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHLKGVNCVDYFTGGDRPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWALGYMKGSRRVAIGYDEGTIMIKLGREVPVASMDSSGKIIWAKHNEIQTVNIKTVGADYEVTDGERLPLAVKELGSCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSEGEYAVRESTSRIKIFSKTFQEKKSIRPTFSAERIFGGALLAMCSNDFICFYDWAECRLIRRVDVNVKNLYWADSGDLVAIASDTSFYILKYNRDVVSSYLESGKPVDEQGVEDSFELLHEVNERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLDRANEVLPSIPKEHHNSVAHFLESRGMLEDALEVATDPNYRFDLAVQLGKLEIAKAIAVEVQSESKWKQLGELAMSIGKLEMAEECLSNAMDLSGLLLLYSSLGDAEGITRLASLAKEQGKNNVAFLCLFMLGKLEDCLQLLLESNRIPEAALMARSYLPSKVTEIVSVWKNDLNKVNPKAAESLADPEEYPNLFEDWQITLDVESNLALKRGSYPPADQYVNYAGTSNMNLVESFKSMQIDEYDVPLENGDTAHEVTEENGEEEHQETVEIDAEDTTDGSVLVNGNEGGEQWGTNNEGNPSA >DRNTG_11578.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:12362652:12363866:1 gene:DRNTG_11578 transcript:DRNTG_11578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFLAVPEVSRPDALKKIWEHIKLRQLKVSSCFMFIFLHDMVPCLHMMIDLSKILPSCSYLLVFRVLKQKRGLRSNSGILRANL >DRNTG_03216.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000146.1:478419:481970:-1 gene:DRNTG_03216 transcript:DRNTG_03216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVGRRDDVVVNDNEEVGQIEYIGVENEKGEAEYHFEILDSVYKDYACERENFQGDLLVSCSFQAENTQEEVNPKEMEQAPLFEIDQFLNCKKEILGLGEDVGRRLKPSNDPPMLNLDNFQPKLFPWRPKACRIF >DRNTG_04234.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30301872:30302765:-1 gene:DRNTG_04234 transcript:DRNTG_04234.1 gene_biotype:protein_coding transcript_biotype:protein_coding DPRVVTPGFSHNRTPCQWLRAPLDQGKPGLTTPPPIRPDRGTPHCSVGLKSAVTIKTECHI >DRNTG_03221.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000147.1:54987:57132:-1 gene:DRNTG_03221 transcript:DRNTG_03221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVVFMHGFDKEGHPVCYNVYGEFQDKDLYAKAFGDDEKRMKFLKWRIQFLEKGIRQQLDFSPGKVCTMVQVTDLKNSPGPAKRELRQATDQALTLLQDNFPEFAAKQVFIHVPWWYLAFNRMISPFLTQRTKSKFVFAGPSKSAETLFKYIAPEQVPVQYGGLSKGKQSRFHHC >DRNTG_24812.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31835077:31838442:-1 gene:DRNTG_24812 transcript:DRNTG_24812.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMASQQEAIKQLIALMEQVDDHLKATFKNMHQGHPSETVSRFLKAREWNVPKTHKMLLDCLNWRINNDIDSVLSKPIIPVDLYRAVRDSQLVGLSGYTKEGLPVFAIGVGMSTFDKASVNFYLQSHIQINEYRDRVILPAASKKHGRHISNCYKILDMTGLKLCALSQLKLLTIISTVDDLNYPEKTVTYYIVNAPYVFSACWKAVKPLLHERTRKKVQVLQGSGGDELLKIMDQASLPHFCRRENSRSSHNSSRVSDCFSLDHPFHQQLYSYVKQQQSQIHHYKVPTKQGSYHFSMPNADSDGAIIVETIKSALKEQR >DRNTG_26693.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001362.1:44495:48424:-1 gene:DRNTG_26693 transcript:DRNTG_26693.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQQLVEIGRTAASRSMFGGPERVRPISEEEEAAAAAAAVMKPVVGSMSGELSVDVSASATAEDSFSSLLELAANNDLEGFKKAVELEAAGIDEIGLWYGRKKGSSQMVLQHRTPLMVAATYGSLEVLGFILSLTSIDVNRACGPDKTTALHCAASGGAVHAAEAVKMLIAAGADPNLEDANGLRPADAIVISPKLIDVKNALEELLGVAVVERHRALRISINNSNSPPFSSSPDRDGSPTSELNSPHGLPHGPTSEKKEYPVDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDLCEYAHGVFECWLHPAQYRTRLCKDGTGCTRRVCFFAHTNEELRPLFHVHWVCCSFPEIFHGYGCCHELAPWISVFCLGYVSVYATDVTFCEWNGAVFYELAAAERANFASPWEQSAVQSSPFLA >DRNTG_26693.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001362.1:44445:48424:-1 gene:DRNTG_26693 transcript:DRNTG_26693.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQQLVEIAGRTAASRSMFGGPERVRPISEEEEAAAAAAAVMKPVVGSMSGELSVDVSASATAEDSFSSLLELAANNDLEGFKKAVELEAAGIDEIGLWYGRKKGSSQMVLQHRTPLMVAATYGSLEVLGFILSLTSIDVNRACGPDKTTALHCAASGGAVHAAEAVKMLIAAGADPNLEDANGLRPADAIVISPKLIDVKNALEELLGVAVVERHRALRISINNSNSPPFSSSPDRDGSPTSELNSPHGLPHGPTSEKKEYPVDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDLCEYAHGVFECWLHPAQYRTRLCKDGTGCTRRVCFFAHTNEELRPLFHVHWVCCSFPEIFHGYGCCHELAPWISVFCLGYVSVYATDVTFCEWNGAVFYELAAAERANFASPWEQSAVQSSPFLA >DRNTG_26693.11 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001362.1:44445:48075:-1 gene:DRNTG_26693 transcript:DRNTG_26693.11 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQQLVEIGRTAASRSMFGGPERVRPISEEEEAAAAAAAVMKPVVGSMSGELSVDVSASATAEDSFSSLLELAANNDLEGFKKAVELEAAGIDEIGLWYGRKKGSSQMVLQHRTPLMVAATYGSLEVLGFILSLTSIDVNRACGPDKTTALHCAASGGAVHAAEAVKMLIAAGADPNLEDANGLRPADAIVISPKLIDVKNALEELLGVAVVERHRALRISINNSNSPPFSSSPDRDGSPTSELNSPHGLPHGPTSEKKEYPVDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDLCEYAHGVFECWLHPAQYRTRLCKDGTGCTRRVCFFAHTNEELRPLFHVHWVCCSFPEIFHGYGCCHELAPWISVFCLGYVSVYATDVTFCEWNGAVFYELAAAERANFASPWEQSAVQSSPFLA >DRNTG_26693.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001362.1:44265:48075:-1 gene:DRNTG_26693 transcript:DRNTG_26693.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQQLVEIGRTAASRSMFGGPERVRPISEEEEAAAAAAAVMKPVVGSMSGELSVDVSASATAEDSFSSLLELAANNDLEGFKKAVELEAAGIDEIGLWYGRKKGSSQMVLQHRTPLMVAATYGSLEVLGFILSLTSIDVNRACGPDKTTALHCAASGGAVHAAEAVKMLIAAGADPNLEDANGLRPADAIVISPKLIDVKNALEELLGVAVVERHRALRISINNSNSPPFSSSPDRDGSPTSELNSPHGLPHGPTSEKKEYPVDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDLCEYAHGVFECWLHPAQYRTRLCKDGTGCTRRVCFFAHTNEELRPLFHVHWVCCSFPEIFHGYGCCHELAPWISVFCLGYVSVYATDVTFCEWNGAVFYELAAAERANFASPWEQSAVQSSPFLA >DRNTG_26693.21 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001362.1:44495:48034:-1 gene:DRNTG_26693 transcript:DRNTG_26693.21 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQQLVEIGRTAASRSMFGGPERVRPISEEEEAAAAAAAVMKPVVGSMSGELSVDVSASATAEDSFSSLLELAANNDLEGFKKAVELEAAGIDEIGLWYGRKKGSSQMVLQHRTPLMVAATYGSLEVLGFILSLTSIDVNRACGPDKTTALHCAASGGAVHAAEAVKMLIAAGADPNLEDANGLRPADAIVISPKLIDVKNALEELLGVAVVERHRALRISINNSNSPPFSSSPDRDGSPTSELNSPHGLPHGPTSEKKEYPVDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDLCEYAHGVFECWLHPAQYRTRLCKDGTGCTRRVCFFAHTNEELRPLFHVHWVCCSFPEIFHGYGCCHELAPWISVFCLGYVSVYATDVTFCEWNGAVFYELAAAERANFASPWEQSAVQSSPFLA >DRNTG_26693.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001362.1:44265:48075:-1 gene:DRNTG_26693 transcript:DRNTG_26693.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQQLVEIGRTAASRSMFGGPERVRPISEEEEAAAAAAAVMKPVVGSMSGELSVDVSASATAEDSFSSLLELAANNDLEGFKKAVELEAAGIDEIGLWYGRKKGSSQMVLQHRTPLMVAATYGSLEVLGFILSLTSIDVNRACGPDKTTALHCAASGGAVHAAEAVKMLIAAGADPNLEDANGLRPADAIVISPKLIDVKNALEELLGVAVVERHRALRISINNSNSPPFSSSPDRDGSPTSELNSPHGLPHGPTSEKKEYPVDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDLCEYAHGVFECWLHPAQYRTRLCKDGTGCTRRVCFFAHTNEELRPLFHVHWVCCSFPEIFHGYGCCHELAPWISVFCLGYVSVYATDVTFCEWNGAVFYELAAAERANFASPWEQSAVQSSPFLA >DRNTG_26693.12 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001362.1:44445:48034:-1 gene:DRNTG_26693 transcript:DRNTG_26693.12 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQQLVEIAGRTAASRSMFGGPERVRPISEEEEAAAAAAAVMKPVVGSMSGELSVDVSASATAEDSFSSLLELAANNDLEGFKKAVELEAAGIDEIGLWYGRKKGSSQMVLQHRTPLMVAATYGSLEVLGFILSLTSIDVNRACGPDKTTALHCAASGGAVHAAEAVKMLIAAGADPNLEDANGLRPADAIVISPKLIDVKNALEELLGVAVVERHRALRISINNSNSPPFSSSPDRDGSPTSELNSPHGLPHGPTSEKKEYPVDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDLCEYAHGVFECWLHPAQYRTRLCKDGTGCTRRVCFFAHTNEELRPLFHVHWVCCSFPEIFHGYGCCHELAPWISVFCLGYVSVYATDVTFCEWNGAVFYELAAAERANFASPWEQSAVQSSPFLA >DRNTG_26693.15 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001362.1:44495:48075:-1 gene:DRNTG_26693 transcript:DRNTG_26693.15 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQQLVEIAGRTAASRSMFGGPERVRPISEEEEAAAAAAAVMKPVVGSMSGELSVDVSASATAEDSFSSLLELAANNDLEGFKKAVELEAAGIDEIGLWYGRKKGSSQMVLQHRTPLMVAATYGSLEVLGFILSLTSIDVNRACGPDKTTALHCAASGGAVHAAEAVKMLIAAGADPNLEDANGLRPADAIVISPKLIDVKNALEELLGVAVVERHRALRISINNSNSPPFSSSPDRDGSPTSELNSPHGLPHGPTSEKKEYPVDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDLCEYAHGVFECWLHPAQYRTRLCKDGTGCTRRVCFFAHTNEELRPLFHVHWVCCSFPEIFHGYGCCHELAPWISVFCLGYVSVYATDVTFCEWNGAVFYELAAAERANFASPWEQSAVQSSPFLA >DRNTG_26693.14 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001362.1:44445:48034:-1 gene:DRNTG_26693 transcript:DRNTG_26693.14 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQQLVEIAGRTAASRSMFGGPERVRPISEEEEAAAAAAAVMKPVVGSMSGELSVDVSASATAEDSFSSLLELAANNDLEGFKKAVELEAAGIDEIGLWYGRKKGSSQMVLQHRTPLMVAATYGSLEVLGFILSLTSIDVNRACGPDKTTALHCAASGGAVHAAEAVKMLIAAGADPNLEDANGLRPADAIVISPKLIDVKNALEELLGVAVVERHRALRISINNSNSPPFSSSPDRDGSPTSELNSPHGLPHGPTSEKKEYPVDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDLCEYAHGVFECWLHPAQYRTRLCKDGTGCTRRVCFFAHTNEELRPLFHVHWVCCSFPEIFHGYGCCHELAPWISVFCLGYVSVYATDVTFCEWNGAVFYELAAAERANFASPWEQSAVQSSPFLA >DRNTG_26693.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001362.1:44265:48424:-1 gene:DRNTG_26693 transcript:DRNTG_26693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQQLVEIAGRTAASRSMFGGPERVRPISEEEEAAAAAAAVMKPVVGSMSGELSVDVSASATAEDSFSSLLELAANNDLEGFKKAVELEAAGIDEIGLWYGRKKGSSQMVLQHRTPLMVAATYGSLEVLGFILSLTSIDVNRACGPDKTTALHCAASGGAVHAAEAVKMLIAAGADPNLEDANGLRPADAIVISPKLIDVKNALEELLGVAVVERHRALRISINNSNSPPFSSSPDRDGSPTSELNSPHGLPHGPTSEKKEYPVDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDLCEYAHGVFECWLHPAQYRTRLCKDGTGCTRRVCFFAHTNEELRPLFHVHWVCCSFPEIFHGYGCCHELAPWISVFCLGYVSVYATDVTFCEWNGAVFYELAAAERANFASPWEQSAVQSSPFLA >DRNTG_26693.10 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001362.1:44445:48075:-1 gene:DRNTG_26693 transcript:DRNTG_26693.10 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQQLVEIAGRTAASRSMFGGPERVRPISEEEEAAAAAAAVMKPVVGSMSGELSVDVSASATAEDSFSSLLELAANNDLEGFKKAVELEAAGIDEIGLWYGRKKGSSQMVLQHRTPLMVAATYGSLEVLGFILSLTSIDVNRACGPDKTTALHCAASGGAVHAAEAVKMLIAAGADPNLEDANGLRPADAIVISPKLIDVKNALEELLGVAVVERHRALRISINNSNSPPFSSSPDRDGSPTSELNSPHGLPHGPTSEKKEYPVDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDLCEYAHGVFECWLHPAQYRTRLCKDGTGCTRRVCFFAHTNEELRPLFHVHWVCCSFPEIFHGYGCCHELAPWISVFCLGYVSVYATDVTFCEWNGAVFYELAAAERANFASPWEQSAVQSSPFLA >DRNTG_26693.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001362.1:44445:48424:-1 gene:DRNTG_26693 transcript:DRNTG_26693.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQQLVEIGRTAASRSMFGGPERVRPISEEEEAAAAAAAVMKPVVGSMSGELSVDVSASATAEDSFSSLLELAANNDLEGFKKAVELEAAGIDEIGLWYGRKKGSSQMVLQHRTPLMVAATYGSLEVLGFILSLTSIDVNRACGPDKTTALHCAASGGAVHAAEAVKMLIAAGADPNLEDANGLRPADAIVISPKLIDVKNALEELLGVAVVERHRALRISINNSNSPPFSSSPDRDGSPTSELNSPHGLPHGPTSEKKEYPVDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDLCEYAHGVFECWLHPAQYRTRLCKDGTGCTRRVCFFAHTNEELRPLFHVHWVCCSFPEIFHGYGCCHELAPWISVFCLGYVSVYATDVTFCEWNGAVFYELAAAERANFASPWEQSAVQSSPFLA >DRNTG_26693.19 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001362.1:44495:48034:-1 gene:DRNTG_26693 transcript:DRNTG_26693.19 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQQLVEIAGRTAASRSMFGGPERVRPISEEEEAAAAAAAVMKPVVGSMSGELSVDVSASATAEDSFSSLLELAANNDLEGFKKAVELEAAGIDEIGLWYGRKKGSSQMVLQHRTPLMVAATYGSLEVLGFILSLTSIDVNRACGPDKTTALHCAASGGAVHAAEAVKMLIAAGADPNLEDANGLRPADAIVISPKLIDVKNALEELLGVAVVERHRALRISINNSNSPPFSSSPDRDGSPTSELNSPHGLPHGPTSEKKEYPVDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDLCEYAHGVFECWLHPAQYRTRLCKDGTGCTRRVCFFAHTNEELRPLFHVHWVCCSFPEIFHGYGCCHELAPWISVFCLGYVSVYATDVTFCEWNGAVFYELAAAERANFASPWEQSAVQSSPFLA >DRNTG_26693.16 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001362.1:44495:48075:-1 gene:DRNTG_26693 transcript:DRNTG_26693.16 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQQLVEIGRTAASRSMFGGPERVRPISEEEEAAAAAAAVMKPVVGSMSGELSVDVSASATAEDSFSSLLELAANNDLEGFKKAVELEAAGIDEIGLWYGRKKGSSQMVLQHRTPLMVAATYGSLEVLGFILSLTSIDVNRACGPDKTTALHCAASGGAVHAAEAVKMLIAAGADPNLEDANGLRPADAIVISPKLIDVKNALEELLGVAVVERHRALRISINNSNSPPFSSSPDRDGSPTSELNSPHGLPHGPTSEKKEYPVDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDLCEYAHGVFECWLHPAQYRTRLCKDGTGCTRRVCFFAHTNEELRPLFHVHWVCCSFPEIFHGYGCCHELAPWISVFCLGYVSVYATDVTFCEWNGAVFYELAAAERANFASPWEQSAVQSSPFLA >DRNTG_26693.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001362.1:44265:48075:-1 gene:DRNTG_26693 transcript:DRNTG_26693.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQQLVEIAGRTAASRSMFGGPERVRPISEEEEAAAAAAAVMKPVVGSMSGELSVDVSASATAEDSFSSLLELAANNDLEGFKKAVELEAAGIDEIGLWYGRKKGSSQMVLQHRTPLMVAATYGSLEVLGFILSLTSIDVNRACGPDKTTALHCAASGGAVHAAEAVKMLIAAGADPNLEDANGLRPADAIVISPKLIDVKNALEELLGVAVVERHRALRISINNSNSPPFSSSPDRDGSPTSELNSPHGLPHGPTSEKKEYPVDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDLCEYAHGVFECWLHPAQYRTRLCKDGTGCTRRVCFFAHTNEELRPLFHVHWVCCSFPEIFHGYGCCHELAPWISVFCLGYVSVYATDVTFCEWNGAVFYELAAAERANFASPWEQSAVQSSPFLA >DRNTG_26693.20 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001362.1:44495:48034:-1 gene:DRNTG_26693 transcript:DRNTG_26693.20 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQQLVEIGRTAASRSMFGGPERVRPISEEEEAAAAAAAVMKPVVGSMSGELSVDVSASATAEDSFSSLLELAANNDLEGFKKAVELEAAGIDEIGLWYGRKKGSSQMVLQHRTPLMVAATYGSLEVLGFILSLTSIDVNRACGPDKTTALHCAASGGAVHAAEAVKMLIAAGADPNLEDANGLRPADAIVISPKLIDVKNALEELLGVAVVERHRALRISINNSNSPPFSSSPDRDGSPTSELNSPHGLPHGPTSEKKEYPVDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDLCEYAHGVFECWLHPAQYRTRLCKDGTGCTRRVCFFAHTNEELRPLFHVHWVCCSFPEIFHGYGCCHELAPWISVFCLGYVSVYATDVTFCEWNGAVFYELAAAERANFASPWEQSAVQSSPFLA >DRNTG_26693.9 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001362.1:44265:48034:-1 gene:DRNTG_26693 transcript:DRNTG_26693.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQQLVEIAGRTAASRSMFGGPERVRPISEEEEAAAAAAAVMKPVVGSMSGELSVDVSASATAEDSFSSLLELAANNDLEGFKKAVELEAAGIDEIGLWYGRKKGSSQMVLQHRTPLMVAATYGSLEVLGFILSLTSIDVNRACGPDKTTALHCAASGGAVHAAEAVKMLIAAGADPNLEDANGLRPADAIVISPKLIDVKNALEELLGVAVVERHRALRISINNSNSPPFSSSPDRDGSPTSELNSPHGLPHGPTSEKKEYPVDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDLCEYAHGVFECWLHPAQYRTRLCKDGTGCTRRVCFFAHTNEELRPLFHVHWVCCSFPEIFHGYGCCHELAPWISVFCLGYVSVYATDVTFCEWNGAVFYELAAAERANFASPWEQSAVQSSPFLA >DRNTG_26693.13 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001362.1:44445:48034:-1 gene:DRNTG_26693 transcript:DRNTG_26693.13 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQQLVEIGRTAASRSMFGGPERVRPISEEEEAAAAAAAVMKPVVGSMSGELSVDVSASATAEDSFSSLLELAANNDLEGFKKAVELEAAGIDEIGLWYGRKKGSSQMVLQHRTPLMVAATYGSLEVLGFILSLTSIDVNRACGPDKTTALHCAASGGAVHAAEAVKMLIAAGADPNLEDANGLRPADAIVISPKLIDVKNALEELLGVAVVERHRALRISINNSNSPPFSSSPDRDGSPTSELNSPHGLPHGPTSEKKEYPVDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDLCEYAHGVFECWLHPAQYRTRLCKDGTGCTRRVCFFAHTNEELRPLFHVHWVCCSFPEIFHGYGCCHELAPWISVFCLGYVSVYATDVTFCEWNGAVFYELAAAERANFASPWEQSAVQSSPFLA >DRNTG_26693.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001362.1:44495:48424:-1 gene:DRNTG_26693 transcript:DRNTG_26693.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQQLVEIAGRTAASRSMFGGPERVRPISEEEEAAAAAAAVMKPVVGSMSGELSVDVSASATAEDSFSSLLELAANNDLEGFKKAVELEAAGIDEIGLWYGRKKGSSQMVLQHRTPLMVAATYGSLEVLGFILSLTSIDVNRACGPDKTTALHCAASGGAVHAAEAVKMLIAAGADPNLEDANGLRPADAIVISPKLIDVKNALEELLGVAVVERHRALRISINNSNSPPFSSSPDRDGSPTSELNSPHGLPHGPTSEKKEYPVDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDLCEYAHGVFECWLHPAQYRTRLCKDGTGCTRRVCFFAHTNEELRPLFHVHWVCCSFPEIFHGYGCCHELAPWISVFCLGYVSVYATDVTFCEWNGAVFYELAAAERANFASPWEQSAVQSSPFLA >DRNTG_26693.22 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001362.1:44495:48034:-1 gene:DRNTG_26693 transcript:DRNTG_26693.22 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQQLVEIAGRTAASRSMFGGPERVRPISEEEEAAAAAAAVMKPVVGSMSGELSVDVSASATAEDSFSSLLELAANNDLEGFKKAVELEAAGIDEIGLWYGRKKGSSQMVLQHRTPLMVAATYGSLEVLGFILSLTSIDVNRACGPDKTTALHCAASGGAVHAAEAVKMLIAAGADPNLEDANGLRPADAIVISPKLIDVKNALEELLGVAVVERHRALRISINNSNSPPFSSSPDRDGSPTSELNSPHGLPHGPTSEKKEYPVDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDLCEYAHGVFECWLHPAQYRTRLCKDGTGCTRRVCFFAHTNEELRPLFHVHWVCCSFPEIFHGYGCCHELAPWISVFCLGYVSVYATDVTFCEWNGAVFYELAAAERANFASPWEQSAVQSSPFLA >DRNTG_26693.17 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001362.1:44495:48075:-1 gene:DRNTG_26693 transcript:DRNTG_26693.17 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQQLVEIGRTAASRSMFGGPERVRPISEEEEAAAAAAAVMKPVVGSMSGELSVDVSASATAEDSFSSLLELAANNDLEGFKKAVELEAAGIDEIGLWYGRKKGSSQMVLQHRTPLMVAATYGSLEVLGFILSLTSIDVNRACGPDKTTALHCAASGGAVHAAEAVKMLIAAGADPNLEDANGLRPADAIVISPKLIDVKNALEELLGVAVVERHRALRISINNSNSPPFSSSPDRDGSPTSELNSPHGLPHGPTSEKKEYPVDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDLCEYAHGVFECWLHPAQYRTRLCKDGTGCTRRVCFFAHTNEELRPLFHVHWVCCSFPEIFHGYGCCHELAPWISVFCLGYVSVYATDVTFCEWNGAVFYELAAAERANFASPWEQSAVQSSPFLA >DRNTG_26693.18 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001362.1:44495:48075:-1 gene:DRNTG_26693 transcript:DRNTG_26693.18 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQQLVEIAGRTAASRSMFGGPERVRPISEEEEAAAAAAAVMKPVVGSMSGELSVDVSASATAEDSFSSLLELAANNDLEGFKKAVELEAAGIDEIGLWYGRKKGSSQMVLQHRTPLMVAATYGSLEVLGFILSLTSIDVNRACGPDKTTALHCAASGGAVHAAEAVKMLIAAGADPNLEDANGLRPADAIVISPKLIDVKNALEELLGVAVVERHRALRISINNSNSPPFSSSPDRDGSPTSELNSPHGLPHGPTSEKKEYPVDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDLCEYAHGVFECWLHPAQYRTRLCKDGTGCTRRVCFFAHTNEELRPLFHVHWVCCSFPEIFHGYGCCHELAPWISVFCLGYVSVYATDVTFCEWNGAVFYELAAAERANFASPWEQSAVQSSPFLA >DRNTG_25676.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:982015:983925:1 gene:DRNTG_25676 transcript:DRNTG_25676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQEENDEYRYLFAIWKPGENAESSEPPINHCSFQGFDELCNNMACFRCNGLREAKSETVRGTLLIPCRTAMRGRFPLNGTYFQVNEVFADNKSSKDPIVVPRNWLWNLPRKICYFGTGISTIFRGLTMEEIQFCMGQGTVCIRGFD >DRNTG_25676.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:982015:983391:1 gene:DRNTG_25676 transcript:DRNTG_25676.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQEENDEYRYLFAIWKPGENAESSEPPINHCSFQGFDELCNNMACFRCNGLREAKSETVRGTLLIPCRTAMRGRFPLNGTYFQVNE >DRNTG_33244.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10393260:10396100:-1 gene:DRNTG_33244 transcript:DRNTG_33244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSWMYKPRQSRKYQEGVDQFLEFAFNNESVGGKIMCPCKHCVNSLWQTRDEAKVHLICDGFLRGYTQWVCHGEFSSINDIASSSSTHILETSQVQEAIRANFRGFDNMEALLHDTMGMIGQ >DRNTG_01007.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19480140:19486261:1 gene:DRNTG_01007 transcript:DRNTG_01007.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MDF [Source:Projected from Arabidopsis thaliana (AT5G16780) UniProtKB/TrEMBL;Acc:A0A178UP47] MMRKTIEGKARESDRGRERKVDRDRDLPREYERDKNRERDRDGDKGHDRSKGRDKDLGKENERELERERGKDKDRDRGKEKEREREKDRDRVKDREREKEKSKDREKGKDREKEGDRDKLERIKIKDRAREKETDKETDRDRSRAKDKEREMDRSKDGQKDEKITAEDGNGRNILRSGDGAQDEHGTHERIASRDSGEKSSGLPDATSGLGERLMKIREERHKGKSDGSSEISAWVSKSRKLEENRNAEKEKAVRLSKILDEQESILAESDDEDAAGQSGKDLAGVKILHGLDKVMEGGAVVLTLKDQDILAGGDLNEDVDMLENVEIGEQKRRDEAYKAAKKTTGIYFDKFNDDTGTQRPILPQYDDPVEDEGVTLDESGRFTGEAEKKLEELRRRIEGGLTRKNYEDLTTTGKSSSDFYSPEEMLQFKKPKKKKSLRKKDKLDLDALEAEAISAGLGAGDLGSRNDAKRQTAKEEKERSEAASRSNAYQTAYAKAEEASKVLRQGQPLTSKVSEEDDLVFGEDYEDLQKSLEQSRKLALKKQEVAAASGPQAVALLAVADREQSEIYSPAAEPQENKVVITEMEEFVLGLQMNEESHKPESDSVFMDEDDGMKSSELEVKDTGGGGLEDLEETADGELQDNEEKEDITPDEIIHETAVGKGLSGALKLLKERGTLKEDIDWGGRNMDKKKSKLVGINDNAGPKEIRIERLDEFGRIMTPKEAFRMISHKFHGKGPGKMKQEKRMKNYQMDLQTKQMKASDTPSQAMERMREAQARLKTPYLVLSGHVKPGQTSDPRSGFATVEKDHLGSLTPMLGDKKVEHFLGINRKPDSGSMGPPPPKKPKN >DRNTG_12595.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20309940:20313874:1 gene:DRNTG_12595 transcript:DRNTG_12595.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTAIDTFYLTDEQLKNSPSRKDGIDEATETTLRIYGCDLIQESGILLRLPQAVMATGQVLFHRFYCKKSFARFSVKRVAASCVWLASKLEESPRKAKQVIIVFHRMEIRRENLPLEYLDVFSKKYSELKNDLIRTERHLLKEMGFICHVEHPHKFISNYLATLGTPTELRQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRFQVPLPENPPWWLVFDADLSGMQEVCRVLAHLYSLPKAQYIAVYKDNDSFTSTNKNQDPQAQASKETVSNGGGNDNGTPNLVADNQDDSSSKDVLLKAALDKLESKKNDDDTTKSVPAEDDTKEEPVAKPKGDQKSDANMEKNRDRERERSKARDRDGRGRDSDRDSRGRDSDRDREREKDSERDREKAKERSHRAKDKSTGHPEKSRHHSLRERSEYHSSHSYRDKDRHRHHYT >DRNTG_12595.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20309940:20313874:1 gene:DRNTG_12595 transcript:DRNTG_12595.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTAIDTFYLTDEQLKNSPSRKDGIDEATETTLRIYGCDLIQESGILLRLPQAVMATGQVLFHRFYCKKSFARFSVKRVAASCVWLASKLEESPRKAKQVIIVFHRMEIRRENLPLEYLDVFSKKYSELKNDLIRTERHLLKEMGFICHVEHPHKFISNYLATLGTPTELRQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRFQVPLPENPPWWLVFDADLSGMQEVCRVLAHLYSLPKAQYIAVYKDNDSFTSTNKNQDPQAQASKETVSNGGGNDNGTPNLVADNQDDSSSKDVLLKAALDKLESKKNDDDTTKSVPAEDDTKEEPVAKPKGDQKSDANMEKNRDRERERSKARDRDGRGRDSDRDSRGRDSDRDREREKDSERDREKAKERSHRAKDKSTGHPEKSRHHSLRERSEYHSSHSYRDKDRHRHHYT >DRNTG_12595.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20309940:20313874:1 gene:DRNTG_12595 transcript:DRNTG_12595.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPQAVMATGQVLFHRFYCKKSFARFSVKRVAASCVWLASKLEESPRKAKQVIIVFHRMEIRRENLPLEYLDVFSKKYSELKNDLIRTERHLLKEMGFICHVEHPHKFISNYLATLGTPTELRQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRFQVPLPENPPWWLVFDADLSGMQEVCRVLAHLYSLPKAQYIAVYKDNDSFTSTNKNQDPQAQASKETVSNGGGNDNGTPNLVADNQDDSSSKDVLLKAALDKLESKKNDDDTTKSVPAEDDTKEEPVAKPKGDQKSDANMEKNRDRERERSKARDRDGRGRDSDRDSRGRDSDRDREREKDSERDREKAKERSHRAKDKSTGHPEKSRHHSLRERSEYHSSHSYRDKDRHRHHYT >DRNTG_18385.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000946.1:90617:96676:-1 gene:DRNTG_18385 transcript:DRNTG_18385.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVYAVFPGGQESQGQPFISKHFNVIDPLRINNNLGRSVSKGNFFRIRSAFSFGAKRLAKLVHCPKENLIAELNQFFMNTWERHGSGNRPDAPSPSLCQLQPSKSGSQEESNNSRSTSSIKKNSERLGPVEYQAEGSLGVQARTSQIFKNIRQQSANIVKTSAPSASYSPIQQNISNPSNTRASDKVEKSTSSRGSSQAEKNQKNSRPVYPLNDLGGQARFQFARTRSSPELIDSSAEVSFGGNKNKVPGTGKDQIAHTKSEYSFGRKESSYEASGCHSGISSDDPSSLRQISPHKSLRSSDLDSVSSDYQDVGFTTVGGDLGSVAEAIELQQELDQEQQDLINLGASSSGHNFSGPIRLPLHFSMPHLPIPLPPSLASLGCAQRNLASIYPTNFPLIDPAWAPKFDFSHALISSQFSHAYPTSTLSSNSEEIESGNESCSVPEINHEDNDHFWHEHVAGSNRGFELDAGGFHRIVPDDQKQPTLGFLDSVASLQVNNSGAPFVRGHHKFAREEKELATDDFTAFHHQTSRTSENNANERTGNSRSLSGHQPGSSRNKSASENSWDGSSIKASKPVKDRRGRKPSSDATSVPAKTKSGWQHEDSLDLFSAQADDEASPRSRSHQSPGYESAEIAGADSMISISPLVSGSQQKLVNNNTGVVPIAFYPTGPPVPFLTMVPVYNFPSEAGNSNGPTTQVDNDELLEHGHVNSCDQNFDAGESLDQFEAHLGSAALRTAPILAEEHKADILNSDFASHWQSLQYGRFCQSTRQQGPLIYSSNAPPVYLQGHFPWDGPGRPFSSNGNLITQIGNYGPRLVPVTALQPGPRRNSGVQRFAEEIPRYRRGTGTYLPNPKSFRDRQSSNTRNHRGNQNFDQRDRSDRESSWINSKSRNAGRSYGRPQAEKPSLQSDRLSATDNRSDKTWNSYRHEPITSYNSHTSSFRSSNPSNSSSSTTYGSYQPLPALNANGASPTGPALPPFVMLYPFNQGVGYGSPAEQLEFGSIGSVSLSSMNEVQVQPNEGVSARGAYDQRQNAHFGGSSRSSPDRPSSPLIPRSR >DRNTG_18385.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000946.1:90617:99445:-1 gene:DRNTG_18385 transcript:DRNTG_18385.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHGGWAPPGGLQPNGLLSTDAAGVTRVLDEERWSLAEERTAELIACIQPNRPSEERRNAVADYVRRLIMNCFPCQVSTFGSVPLKTYLPDGDIDLTAFSKNENLKDTWAITVRDVLQNEEKNENAEFHVKEVQYIQAEVKIVKCLVENIVVDISFNQVGGLCTLCFLEEIDHLINHNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNSFAGPLEVTLLLVVYPFYFLIFSDHVMFVIIEFQVLYRFLEFFSNFDWDKFCVSLWGPVPVNSLPDMIAEPPRNDGGELLLSKGFLDSCSMVYAVFPGGQESQGQPFISKHFNVIDPLRINNNLGRSVSKGNFFRIRSAFSFGAKRLAKLVHCPKENLIAELNQFFMNTWERHGSGNRPDAPSPSLCQLQPSKSGSQEESNNSRSTSSIKKNSERLGPVEYQAEGSLGVQARTSQIFKNIRQQSANIVKTSAPSASYSPIQQNISNPSNTRASDKVEKSTSSRGSSQAEKNQKNSRPVYPLNDLGGQARFQFARTRSSPELIDSSAEVSFGGNKNKVPGTGKDQIAHTKSEYSFGRKESSYEASGCHSGISSDDPSSLRQISPHKSLRSSDLDSVSSDYQDVGFTTVGGDLGSVAEAIELQQELDQEQQDLINLGASSSGHNFSGPIRLPLHFSMPHLPIPLPPSLASLGCAQRNLASIYPTNFPLIDPAWAPKFDFSHALISSQFSHAYPTSTLSSNSEEIESGNESCSVPEINHEDNDHFWHEHVAGSNRGFELDAGGFHRIVPDDQKQPTLGFLDSVASLQVNNSGAPFVRGHHKFAREEKELATDDFTAFHHQTSRTSENNANERTGNSRSLSGHQPGSSRNKSASENSWDGSSIKASKPVKDRRGRKPSSDATSVPAKTKSGWQHEDSLDLFSAQADDEASPRSRSHQSPGYESAEIAGADSMISISPLVSGSQQKLVNNNTGVVPIAFYPTGPPVPFLTMVPVYNFPSEAGNSNGPTTQVDNDELLEHGHVNSCDQNFDAGESLDQFEAHLGSAALRTAPILAEEHKADILNSDFASHWQSLQYGRFCQSTRQQGPLIYSSNAPPVYLQGHFPWDGPGRPFSSNGNLITQIGNYGPRLVPVTALQPGPRRNSGVQRFAEEIPRYRRGTGTYLPNPKSFRDRQSSNTRNHRGNQNFDQRDRSDRESSWINSKSRNAGRSYGRPQAEKPSLQSDRLSATDNRSDKTWNSYRHEPITSYNSHTSSFRSSNPSNSSSSTTYGSYQPLPALNANGASPTGPALPPFVMLYPFNQGVGYGSPAEQLEFGSIGSVSLSSMNEVQVQPNEGVSARGAYDQRQNAHFGGSSRSSPDRPSSPLIPRSR >DRNTG_18385.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000946.1:90617:99445:-1 gene:DRNTG_18385 transcript:DRNTG_18385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHGGWAPPGGLQPNGLLSTDAAGVTRVLDEERWSLAEERTAELIACIQPNRPSEERRNAVADYVRRLIMNCFPCQVSTFGSVPLKTYLPDGDIDLTAFSKNENLKDTWAITVRDVLQNEEKNENAEFHVKEVQYIQAEVKIVKCLVENIVVDISFNQVGGLCTLCFLEEIDHLINHNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNSFAGPLEVLYRFLEFFSNFDWDKFCVSLWGPVPVNSLPDMIAEPPRNDGGELLLSKGFLDSCSMVYAVFPGGQESQGQPFISKHFNVIDPLRINNNLGRSVSKGNFFRIRSAFSFGAKRLAKLVHCPKENLIAELNQFFMNTWERHGSGNRPDAPSPSLCQLQPSKSGSQEESNNSRSTSSIKKNSERLGPVEYQAEGSLGVQARTSQIFKNIRQQSANIVKTSAPSASYSPIQQNISNPSNTRASDKVEKSTSSRGSSQAEKNQKNSRPVYPLNDLGGQARFQFARTRSSPELIDSSAEVSFGGNKNKVPGTGKDQIAHTKSEYSFGRKESSYEASGCHSGISSDDPSSLRQISPHKSLRSSDLDSVSSDYQDVGFTTVGGDLGSVAEAIELQQELDQEQQDLINLGASSSGHNFSGPIRLPLHFSMPHLPIPLPPSLASLGCAQRNLASIYPTNFPLIDPAWAPKFDFSHALISSQFSHAYPTSTLSSNSEEIESGNESCSVPEINHEDNDHFWHEHVAGSNRGFELDAGGFHRIVPDDQKQPTLGFLDSVASLQVNNSGAPFVRGHHKFAREEKELATDDFTAFHHQTSRTSENNANERTGNSRSLSGHQPGSSRNKSASENSWDGSSIKASKPVKDRRGRKPSSDATSVPAKTKSGWQHEDSLDLFSAQADDEASPRSRSHQSPGYESAEIAGADSMISISPLVSGSQQKLVNNNTGVVPIAFYPTGPPVPFLTMVPVYNFPSEAGNSNGPTTQVDNDELLEHGHVNSCDQNFDAGESLDQFEAHLGSAALRTAPILAEEHKADILNSDFASHWQSLQYGRFCQSTRQQGPLIYSSNAPPVYLQGHFPWDGPGRPFSSNGNLITQIGNYGPRLVPVTALQPGPRRNSGVQRFAEEIPRYRRGTGTYLPNPKSFRDRQSSNTRNHRGNQNFDQRDRSDRESSWINSKSRNAGRSYGRPQAEKPSLQSDRLSATDNRSDKTWNSYRHEPITSYNSHTSSFRSSNPSNSSSSTTYGSYQPLPALNANGASPTGPALPPFVMLYPFNQGVGYGSPAEQLEFGSIGSVSLSSMNEVQVQPNEGVSARGAYDQRQNAHFGGSSRSSPDRPSSPLIPRSR >DRNTG_31245.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3045723:3048537:1 gene:DRNTG_31245 transcript:DRNTG_31245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLYRIYFCRMHSTTLCLAASCCSLRGTSLAGTSNDSVYSNLRLLDLTPARAKCAPPPAVKDGEEQTGQAARHTRMNDSGMP >DRNTG_07509.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27105248:27108936:-1 gene:DRNTG_07509 transcript:DRNTG_07509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVGLGGLGKTTLAQLVFNDEKVCQHFRKRIWVCVSDEFDGKRLTRSIIESITGSECNLKDMHSLQRILREQLRGERFLLVLDDVWNEDHEKWDRLRALLSGCATRGSKVIVTTRSARVASIMGTVSPHLLNGLSDQDCWVLFEKRAFGFGGAVKTPNLVAIGKDIVSKCAGLPLAAKALGSLMRFRRGEREWVAVKDNEIWRLPEHENQILPSLRLSYNHLPSRLKPCFAYCSIFPKNYVVRKETLVQLWMAEGFLIHDYNSFETEDIGNGYVDELLERSLFQNGDEDTDGVVRQVKMHDLVHDLAHSVAGEEGSVADEASGYAFREGCRYLSFVYDRPISESKPLPFLNEANKLRSFYFIAEGNMKYLQQNGAQVFRLDAEGGMLEADNNKEKASKPAELSDILSSLKLLRALHLNRYPLIREIPVLIGKMKHLRYLDLSSTIIEVVPPCVGLLHNLQTLNLSCCRGLRMLPDSIGQLSNLLTLDLEGCSSLQSLPGSIGCLKNLRKLDLSLSQYVQALPASLSRLSNLQDLRLRHCYSMRDLPVKMKDMKSLIHLDITSCVELTCIPAGIGQLSSLRTLPIFIVGGKTNCSLKELGSLSIEGQLYIKHLENVTNPHEAKEANLKEKQGLRSLRLSWDKNVYRAPGQSSDDNLSDDEEFGSYVIDFLLLHNMVPEADLVDDVLENLQPDTNISELEIEGYVGKKFPTWMMDLSLLPNLVDLTLDSCIRCETLPPLGQLPHLKVLRLRLLCAIRCIDSSFYGGHAAFPVLEELMISMMFMLQEWSGTSEGELFPSLTKLTLGSCPLLRELPSNFPSVKHLNMNMEDELLLSNLQEGAFPNLKHLHVGEFDEDSIPEVISLLMESVESYSVESKPRPQDDDPLAMSSLCFAKYPVYAGTTILPIFTPNRF >DRNTG_07509.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27105248:27108936:-1 gene:DRNTG_07509 transcript:DRNTG_07509.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVGLGGLGKTTLAQLVFNDEKVCQHFRKRIWVCVSDEFDGKRLTRSIIESITGSECNLKDMHSLQRILREQLRGERFLLVLDDVWNEDHEKWDRLRALLSGCATRGSKVIVTTRSARVASIMGTVSPHLLNGLSDQDCWVLFEKRAFGFGGAVKTPNLVAIGKDIVSKCAGLPLAAKALGSLMRFRRGEREWVAVKDNEIWRLPEHENQILPSLRLSYNHLPSRLKPCFAYCSIFPKNYVVRKETLVQLWMAEGFLIHDYNSFETEDIGNGYVDELLERSLFQNGDEDTDGVVRQVKMHDLVHDLAHSVAGEEGSVADEASGYAFREGCRYLSFVYDRPISESKPLPFLNEANKLRSFYFIAEGNMKYLQQNGAQVFRLDAEGGMLEADNNKEKASKPAELSDILSSLKLLRALHLNRYPLIREIPVLIGKMKHLRYLDLSSTIIEVVPPCVGLLHNLQTLNLSCCRGLRMLPDSIGQLSNLLTLDLEGCSSLQSLPGSIGCLKNLRKLDLSLSQYVQALPASLSRLSNLQDLRLRHCYSMRDLPVKMKDMKSLIHLDITSCVELTCIPAGIGQLSSLRTLPIFIVGGKTNCSLKELGSLSIEGQLYIKHLENVTNPHEAKEANLKEKQGLRSLRLSWDKNVYRAPGQSSDDNLSDDEEFGSYVIDFLLLHNMVPEADLVDDVLENLQPDTNISELEIEGYVGKKFPTWMMDLSLLPNLVDLTLDSCIRCETLPPLGQLPHLKVLRLRLLCAIRCIDSSFYGGHAAFPVLEELMISMMFMLQEWSGTSEGELFPSLTKLTLGSCPLLRELPSNFPSVKHLNMNMEDELLLSNLQEGAFPNLKHLHVGEFDEDSIPEVISLLMESVESYSVESKPRPQDDDPLAMSSLCFAKYPVYAGTTILPIFTPNRF >DRNTG_00022.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21587044:21589499:1 gene:DRNTG_00022 transcript:DRNTG_00022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAALALLFKALIFIVLYPELAQAQQTGITRHYKFDIVMANVTRLCHTKSIATVNGKFPGPPIVAREGDRLVIKVVNHIQSNITLHWHGIRQLRSGWADGPAYITQCPIRTGQSYVYNYTIVGQRGTLWWHAHISWLRSTLYGPIIILPTLGIPYPFTKPYKQVPIVFGEWFNSDTEAIINQALQTGGGPNVSDAYTINGLPGPLYNCSAKDTFKLNVKPGKVYLLRIINSALNDELFFSIANHSLTTVDVDAVYVKPFTTDTILIGPGQTTNVLLQTKPEFPSATFLMLARPYATGLGTFDNSTVAGILEYKNPDKSQASFNKKLPLLKPTLPSLNDTSFATNFTNKLRSLASSQFPANVPQKVDKNFFFTVGLGTSPCDKNQTCQGPNGTKFSASINNVSFVMPTIALLQAHFTGQSKAVYSPYFPIIPLHQFNYTGTPPNNTMVSNGTKVVVLPFNTSVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNYDPVNDPKKYNLVDPVERNTVGIPVGGWVAIRFLADNPGVWFMHCHLEVHTSWGLKMAWLVLDGSLPNQKLPPPPSDLPQC >DRNTG_32946.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30935360:30936431:-1 gene:DRNTG_32946 transcript:DRNTG_32946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGMRLLPEHPRPHLCG >DRNTG_17933.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25218019:25220429:1 gene:DRNTG_17933 transcript:DRNTG_17933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKESNRYLFNGDETLPDSIDWRDMGAVVGVKNQLKCGSCWAFSAIAAIEGLNKIVTGTLISLSEQELVDCVRKSCQGWLMTKAFEFIKKNGGIDTEEDYPYRGYYARCNRNKLRRTVVSIDGYENVPSSSEDSMKKAVAHQPISVAIDSSSDDFRFYQSGIYKGSCGTKLDHGVTLVGYGSENGDDYWIIKNSWGEKWGENGYVRIQRNSGTAEGKCGIALLPSYPVKNNYNLLEEKALKNTHGEWSKTEAEGKMATA >DRNTG_28434.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20587408:20590987:-1 gene:DRNTG_28434 transcript:DRNTG_28434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQFGDTTHTKVFVGGLAWETQRETMKKYFEQFGEILEAVVITDKNTGRSKGYGFVTFREPEAAMRACFDAAPVIDGRRANCNLASLGVQRSRPTTPQHGGNRSFRGMKAFHSGGGIHGGMGTAFPSPATFPHYAIQQGIPYNVYGYSTYSPDYTYPTNYYNVYGGTTGQYPAMYGGATGLVTGATAFYPYFQFGQGNGAYGHGQSYGVQYPQVFQYSAMASATGVTGFAQHYGGPMSTIAPTTPAQPGMTMALPAAPTLATPTAHQHYRLITSHFSQSTAPEQPLA >DRNTG_35383.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1707236:1711184:-1 gene:DRNTG_35383 transcript:DRNTG_35383.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLMAVGTSNSIDGLLGLERWDLGGGGRSLNAVACPLSLKWVAGRNVGEKASLKLAGDETAIVPISAAELDRVTMNVDPLEPRKWNRELNFLPKPLSALDLVSSSQGSNVRVAYQGVPGAFSEDAALKAYPMCETVPCEQCEVAFK >DRNTG_35383.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1705087:1711184:-1 gene:DRNTG_35383 transcript:DRNTG_35383.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLMAVGTSNSIDGLLGLERWDLGGGGRSLNAVACPLSLKWVAGRNVGEKASLKLAGDETAIVPISAAELDRVTMNVDPLEPRKWNRELNFLPKPLSALDLVSSSQGSNVRVAYQGVPGAFSEDAALKAYPMCETVPCEQCEVAFKAVELWVVDKAVLPIENSLGGSIHRNHDLLLCHRLHIVGEVQLAVNHCLMAIPGVRKEEIKRVLSHPHALAQCEFKLAKLGVIRQNVDDTAGAAQFVASNNLKDAGAIASARAAELYGLNIIEENMQDFSHNITRFLVLAREPIIPGTDRPYKTSVVFSLDESPGVLFKALAVFALRDISLLKIESRPQRKRPLRVVDGLNHGTAKYFDYLFYVDFEASMAEPRAQNALSHLQEFATFLRVLGSYPRDLSDC >DRNTG_35383.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1704643:1711184:-1 gene:DRNTG_35383 transcript:DRNTG_35383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLMAVGTSNSIDGLLGLERWDLGGGGRSLNAVACPLSLKWVAGRNVGEKASLKLAGDETAIVPISAAELDRVTMNVDPLEPRKWNRELNFLPKPLSALDLVSSSQGSNVRVAYQGVPGAFSEDAALKAYPMCETVPCEQCEVAFKAVELWVVDKAVLPIENSLGGSIHRNHDLLLCHRLHIVGEVQLAVNHCLMAIPGVRKEEIKRVLSHPHALAQCEFKLAKLGVIRQNVDDTAGAAQFVASNNLKDAGAIASARAAELYGLNIIEENMQDFSHNITRFLVLAREPIIPGTDRPYKTSVVFSLDESPGVLFKALAVFALRDISLLKIESRPQRKRPLRVVDGLNHGTAKYFDYLFYVDFEASMAEPRAQNALSHLQVNVLSFRLNKLCFSFFF >DRNTG_35383.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1706546:1711184:-1 gene:DRNTG_35383 transcript:DRNTG_35383.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLMAVGTSNSIDGLLGLERWDLGGGGRSLNAVACPLSLKWVAGRNVGEKASLKLAGDETAIVPISAAELDRVTMNVDPLEPRKWNRELNFLPKPLSALDLVSSSQGSNVRVAYQGVPGAFSEDAALKAYPMCETVPCEQCEVAFKAVELWVVDKAVLPIENSLGGSIHRNHDLLLCHRLHIVGEVQLAVNHCLMAIPGVRKEEIKRVLSHPHALAQCEFKLAKLGVIRQNVDDTAGAAQFVASNNLKDAGAIASARAAELYGLNIIEENMQAMNLSTALIL >DRNTG_18051.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:36458:37274:1 gene:DRNTG_18051 transcript:DRNTG_18051.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRGIRVRDLQGKEEKEKETKSSVFWAYPRSYYRCTSAACVVKKRVERSSEDPTVVVTTYEGQHTHPSPVVTRGAHPSQSPLGFSTPPVHVNELHIPYINGFLPMDLRQRFSDQTARNAPIMDYGGLLQDVIPRMNE >DRNTG_04735.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:91673:93140:1 gene:DRNTG_04735 transcript:DRNTG_04735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMAEVVWELFGEDITEQGLPPGFRFHPTDEELITFYLVSKVFNGGINGVDIMEVDLNKCEPWELPDLAKMGEKEWYFFSLRDRKYPTGLRTNRATNSGYWKATGKDREVRRTATGALIGMKKTLVFYRGRAPRGEKTKWVLHEYRLEGELSCRHTYKEEWVMCRILHKSGDKKNSYHPNQTGFQDPSTSTSFIDLQTLENPTQSTLPLHISNNNTTNHPSLPLVAQEEEVTVSSFIEAYLQNPLIYGMGLGPLGVYLGAPPSDELPLMGPTPFGASGPSVL >DRNTG_08863.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27769825:27770751:-1 gene:DRNTG_08863 transcript:DRNTG_08863.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSIDVLSSFLAQRFCYEGQTRSRLPLSADSDPSKRLYPRHNKNRYH >DRNTG_00801.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2373812:2379337:1 gene:DRNTG_00801 transcript:DRNTG_00801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILHPIQALVLGYVVTFTCSLVFVVCDFAVRLLKIKNLNVKLQEISEDKDRFNFITSSNSSDAYPMNEASYRQSSSLPEPDIVGWDIKDATESLVKLLVSQPEQKCCLFAIVGMGGIGKTTLAQQIYNHLKINDAFLLHSWIWVSKSSTSWIDILKGIIRDIGGTPGESTTIAPLQKMICDLLHEKSLFLVLDDVWNENVWIDLVKNSIEGTTKKCRVLVTTRDISIAKKMRATYIHPVNKLSTDVGWELLCKKVFTNNNTQDMQRLKDIGMKIVEKCDGLPVAIKAIAGLLITKSQNKKDWENVLKSDAWTIKGLPEELQGALYLSYEALPSALKHCFLYCALYPRGHEFSLEDLVCHWIAEGYIEAKGNASMEDVAKSYYMELICRSFLQPNPQYADMSICTIHDLLRALAEFFAGDESFLGDPQEVQSIESMKKLRRLTVTSNRESVNIPHLHCLRSLRLWTPPSLNTQVIGNLKHLRLLFLNGGMIENIPDSIGDLVHLRLLDLEGTCIHNLPDSLGNLINLQFLLLNDCEFLHIIPKSITKLYNLRWLRLYNTPLNYVPKGIGKLEHLHYVKGLTIGNSGDDGEEGCNSEELQMLEKLSYLSVRNLEKLSDKSSSILSSKIHLRELRLCCTPNYTNEHIQQQEMDKIVQVFDELCPPPCLEKLLIDSFFGGRYPKWMLSSTSITTALQELIYLALTNCSNCPHLPQLGQLPQLKYLRIEGAAAVVSIGPEFLGIKYNGEPTEIAFPKLETLLFINMSNWEEWSLISGRRRRRQRA >DRNTG_13735.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5515039:5516413:-1 gene:DRNTG_13735 transcript:DRNTG_13735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKGLVEEENVNNMANMSTSDPQPITQSAPAAKKKRNLPGNPDPTAEVIALSPKTLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRPNKEVKKKVYICPEISCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVHSDWKAHSKICGTKEYRCDCGTPFSRRDSFITHRAFCDALAEESARSGINNNHHQQQQQHQLLLLSQPAASSSSTLEITTNQFHGIQELNPWSLMNHIEMISASAASPSPSPSPHLSATALLQKAAQMGATMSSTRTQSQMASLSSSSSIGGGGEKVVNNGGDGMTRDFLGLRAISMHSDMLSLSGLDSCMNSSYQNV >DRNTG_18880.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2272314:2275922:-1 gene:DRNTG_18880 transcript:DRNTG_18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFYYMVFGFLALIVALLELSKTAKDRIATSSAFNSFKNNYLLVYSLMMAGDWLQGPYVYFLYSQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADKQGRRRACVTYCITYILSCITKHSPEYKVLMLGRILGGIATSLLFSAFESWLVAEHNKRGFEPQWLSLTFSKAIFLGNGLIAIVSGLFANLLADNLGFGPVAPFDAAACLLAIGMAIILSTWGENYGDPSDSKGLLTQFKGAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEDIPHGFIFATFMLSSMLGSSIASRLMARPALKVEAYMQIVFAVSAVTLLLPIITNFLVTPSSVKGGGISFGGSILIFGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCVVLYNVNAFPITVMFGMCSIFLFMASILQRRLMVVAESHKSKPQDWTALRTQDEEAEALNI >DRNTG_19965.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:398937:410801:-1 gene:DRNTG_19965 transcript:DRNTG_19965.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor [Source:Projected from Arabidopsis thaliana (AT1G72440) UniProtKB/TrEMBL;Acc:F4IDC2] MVVSKPKKPQRTPEDVDILKSDVASFASSLGLAAGDGTFGGSGFDDSDFRKSGPIKPQQSGKNLEKSTDLNQKSEENHDIPRPKPKPHPLDVGPFTNSEKKDLPKLPLMKASLLSGQWYIDADELEIKVLGEEGRRKVPALGIDELKALVAKKREVAEGLMEQYSRDYDVSRRNKGDMRLLEVTARSGTSADKVSAFTCLVEDNPIANMRSLDALLSMVTSKVGKRYAFTGFEALRELFLLRLLPDRKLRALLQHPLDELPETKDGFSLLLFWYWEECLKQRYERFVIALEESLKDMLPNLKDKAMKTVYVLLKSKPEQERKLLAALVNKLGDPERKAASGAAYHLSCLLSAHPNMKAVVIDEVDSFIFRPHIGLRAKYQAVNFLSQILLSSKGDGPKVAKRLLDVYFALFKVLISDARSVDNRSGAKGSKKGNEKGKLNKKKTSSLHDNKGPIESIEMDSRLLSALLTGVNRAFPYVTSDEADDILEVQMPILFKLVHAENFNVGVQSLMLLYQISSRNQILSDRFYRALYSKLLTPAALNSSKPEMFLGLLFKAMKNDVNLKRVSAFSKRLLQVSLQRPAQYACGCLFLLSEVLKAKPPLWSMALQNESVDDDLEHFVDIIEEPQNSSAVPSSKTPGSSGAHAAAFDSVKPPASYACVHDDSDGEDHVNDGTQFQSGYANKGPGQESSAVGYDPRHREPSYCNADRTSWWELTTLAAHVHPSVATMARTLLSGATIVYNGDPLNDLSLGAFLDKFMEKKPKASRRAEGKWHGGSQIAPAKKLDTNHRLIGDEILQLAEEDVPPEDVVFHRFYMNKTTSSKKPKAKKKKASEDEDADDLLPDINDDSEEEEIDNMLGSGKVPMDDETEGYDYDDLDKFADDDDALLGNGSDTEVADAHTLEKHPKEQIHGDDEDGEDFDDVLGVDNGDSDDDGDGDDDDDESIIVKKSKQVGKKRKHEKKTRPSPFASAEEFEHLMADKEKKARKLKKNKKSH >DRNTG_01759.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14204512:14206765:1 gene:DRNTG_01759 transcript:DRNTG_01759.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWKVLYSSYKDPSSGAITFSAPRIASSRPIPKMRLVIYRPEKITPDLARVRTPWGVYLLASSIYGLSLILYSSKAPFVRPRIHLAGSVPTKALPPTIFQMERDVGDTEPLPIPSQYLSKP >DRNTG_19002.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22644933:22650566:-1 gene:DRNTG_19002 transcript:DRNTG_19002.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit beta [Source:Projected from Arabidopsis thaliana (AT3G55480) UniProtKB/TrEMBL;Acc:F4IWW1] MANSCLEGLLALIVQESSICNGDNMNQEAVIVVQAIMSIKAIIKLDPATHEKVIVRLVRLLDAIKEAVARALIVWIVGEYNSVGEIIPKAIPTVLSYLAGSFISEEVETKLQILNTAAKIAISGHKGKQMFRKNLSYVLELAKYDMNYDIRDRARIIEKLVECYISPDDDDGNIGSTPNNGIIHEFVEEILSGKLQTTYPSVNNSRFYLPGSLSQTVLHTAPGYGPLPKPCSLLDSHHHLCSEMSNETRVLDMRMGKTALSSSGTSVEENDSDYDSENSYVSSNASEGIASKSDGSNDDSESTLSGQRDPPNETEAPLVHISDIGVKDNPNALANTSASCSTDLTELMSKSALESWLDEQPGSSSAQQNSFNLASARISINDLHYIVKPKLRALLDPVNGNGLKLDYVFASEVSSLSPFLVCIEVHFENCSAESLKGISVKDEDSDASIDSACQISENSERLSTARDVPTLVPMDEISSLDPGETVKRVLQVCFQHHLLPLKLAVLCNNKKHSIKLWPDIGYFMRPLTMNMEAFLHKESQLPGMFECMKRCTFRDHIEDVEHEGQHSAHCDDKFLVVARCLASKVLSNACVHLVNVDMPVSSDADNASGLCLRFSGEILSNSKPCLITVTADGKLSGPLEISVKVNCEDPIFGLNLLNRVVAFLQ >DRNTG_19002.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22644933:22650566:-1 gene:DRNTG_19002 transcript:DRNTG_19002.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit beta [Source:Projected from Arabidopsis thaliana (AT3G55480) UniProtKB/TrEMBL;Acc:F4IWW1] MASMFPQLGATAESFSKASSLVLRIGTDAHLYDDPDDASIAPLLDSRFDSDKSEALKRLLALIAQGADVSHFFPQVVKNVASPSLEVKKLVYLYLLHYAEKRPNEALLSINCFQKDLSDTNPLVRAWALRTMAGIRLHVVAPLVLVAVGKCARDPSAYVRKCAASALPKLSDLRHEENASALEELVGVLLSDHSPVVVGAAAAAFNSVCPNNLLLIGKNFRRLCEALLDVEEWGQVVLIDNLLRYVVARHGLVSESIMFASGSTVSSESEKDSCSDSLECDDNHVSAASDAKLNALMFKCYMEGQEEFLSQPGLLNGDGDQSEKAVSTSCKNEDVKLLLQCTSPLLWSQNSAVVLAAAGVHWIMAPRDDVDRIVKPLLFLLRSSYTSKYVILCNIQTFAKVLPAIFAPYFEDFFICSSDPYHARALKLDILSTIATDSSAPIIFEEFQDYIVDPDRQFVADTVAAIGLCTQRLPSMANSCLEGLLALIVQESSICNGDNMNQEAVIVVQAIMSIKAIIKLDPATHEKVIVRLVRLLDAIKEAVARALIVWIVGEYNSVGEIIPKAIPTVLSYLAGSFISEEVETKLQILNTAAKIAISGHKGKQMFRKNLSYVLELAKYDMNYDIRDRARIIEKLVECYISPDDDDGNIGSTPNNGIIHEFVEEILSGKLQTTYPSVNNSRFYLPGSLSQTVLHTAPGYGPLPKPCSLLDSHHHLCSEMSNETRVLDMRMGKTALSSSGTSVEENDSDYDSENSYVSSNASEGIASKSDGSNDDSESTLSGQRDPPNETEAPLVHISDIGVKDNPNALANTSASCSTDLTELMSKSALESWLDEQPGSSSAQQNSFNLASARISINDLHYIVKPKLRALLDPVNGNGLKLDYVFASEVSSLSPFLVCIEVHFENCSAESLKGISVKDEDSDASIDSACQISENSERLSTARDVPTLVPMDEISSLDPGETVKRVLQVCFQHHLLPLKLAVLCNNKKHSIKLWPDIGYFMRPLTMNMEAFLHKESQLPGMFECMKRCTFRDHIEDVEHEGQHSAHCDDKFLVVARCLASKVLSNACVHLVNVDMPVSSDADNASGLCLRFSGEILSNSKPCLITVTADGKLSGPLEISVKVNCEDPIFGLNLLNRVVAFLQ >DRNTG_28772.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9226321:9227138:1 gene:DRNTG_28772 transcript:DRNTG_28772.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAKERSKTVGDAWRRRSPPTDEPTDIVECSGKCCRACTAFILADCIAVSCCPCAVLSLLTMALFKVPLMVGRRFLSSLKKTGGNITINNKKKNTTAAVVVEKGTIGDDEVVKDVIVSKKSFAEGEEEEDINECINGHLFGGLEAERVWLEIYQVGNWGFGRVSFSGVKGEVLI >DRNTG_28772.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9224177:9227138:1 gene:DRNTG_28772 transcript:DRNTG_28772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAKERSKTVGDAWRRRSPPTDEPTDIVECSGKCCRACTAFILADCIAVSCCPCAVLSLLTMALFKVPLMVGRRFLSSLKKTGGNITINNKKKNTTAAVVVEKGTIGDDEVVKDVIVSKKSFAEGEEEEDINECINGHLFGGLEAERVWLEIYQVGNWGFGRVSFSGVKGEVLI >DRNTG_18517.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:13355746:13356937:-1 gene:DRNTG_18517 transcript:DRNTG_18517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMTLFNSGTHLLPLLLHDLISFCSFITCHPLQCAYLLFFSPYILQLLSFLSPLLLSTSLLLLALLTVSPPFDDEQLPGSACRMFVDILKDKLEANSTTSLLDNLTSMFLEFIDTPNVLVLNEKPTLEQCLDKIVDKRDEEDCSFKSRHQSSRSFQGDHGSMKLVLEAGQLQRDGSMRKEKEWKRTLACKLYEERMTFKLYEERKVADGCEEMDLLWEAYEADSSKSDKSKNDDGKGKKNFCKQEEDEDEEEDDDNDNDTDESVSRFCCLQALKLSTGKMNLGMRRPNLMKISNAMKGMGLFRRSRKHGIRK >DRNTG_18966.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10802534:10805069:1 gene:DRNTG_18966 transcript:DRNTG_18966.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESAPTTERCCWIREEEGLEYSLRHPRRLVVVSAAIFKVFGKDAAKVPLITTYPKSQGKVILFVHNPQLVVLWQRPYLIPLPTDGLEGSRALVFMHNQLQIQEEWNQQELQETRKEMAEIRRMLQLFISQNHAPSQINQGGHDEDVDPTPPT >DRNTG_15088.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4098097:4098657:1 gene:DRNTG_15088 transcript:DRNTG_15088.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNRTGLSGLTDLLSGNSGPFATGDWILPDLTIQGALKLNSKLSTFPNTFYFSYASKTTNPALGFRTTTVHPLLFLRSLQMRQWRYPIHVSPPFKAYKDEDWEENDGALNTISMTHPLFPKEHPSLCVVDDFHVHPMKSGIW >DRNTG_15088.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4098097:4099238:1 gene:DRNTG_15088 transcript:DRNTG_15088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNRTGLSGLTDLLSGNSGPFATGDWILPDLTIQGALKLNSKLSTFPNTFYFSYASKTTNPALGFRTTTVHPLLFLRSLQMRQWRYPIHVSPPFKAYKDEDWEENDGALNTISMTHPLFPKEHPSLCVVDDFHVHPMKSGIWYYKILEGDHILYVVSRERAGVKFDLLYDGIFERCRKNIIRIKSPLLPNHIG >DRNTG_06620.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:456931:459773:-1 gene:DRNTG_06620 transcript:DRNTG_06620.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLFQGWLFWMLKYINGVLAGLRGPFTPSQWMELEHQALIYKYIDANAPIPSNLLLPIRRSLCHSGFPAFSSESYRSNPLGWGSLYLGFSGNTDPEPGRCRRTDGKKWRCSRDAVADGKYCERHMNRGRHRSRKHVEGQNGHAAKAMPVIAHSPLSASAVPCGGSSNSLTIAKQCTENSRLANPSASQLGRMLTSKENVNGGALDSQGFSMLTSANPKPVNTLFSVPKHQNPFEGSSSRADFELISNFASSPEFNDQQSQTHSLRHFFDDWPKNRSDRSTITWPEVEEMQSDRTQLSISIPMVSSDFSSSTSSPNQEKLTLSPLKLAREFDPVPMGLSVGVLNEANQRQANWIPISWESSIGGPLGEVLSNTNNNAAKDSGENCLSSSLNLLTDGWDSSPRIGSSPTGVLQKTGFGSLSSSTGSSPRAESHKAQDNAHSLCDDLLCSTLVNVSTIPSL >DRNTG_06620.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:456931:460497:-1 gene:DRNTG_06620 transcript:DRNTG_06620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPSGWKFCAVLLLLLVIAVGWGSLYLGFSGNTDPEPGRCRRTDGKKWRCSRDAVADGKYCERHMNRGRHRSRKHVEGQNGHAAKAMPVIAHSPLSASAVPCGGSSNSLTIAKQCTENSRLANPSASQLGRMLTSKENVNGGALDSQGFSMLTSANPKPVNTLFSVPKHQNPFEGSSSRADFELISNFASSPEFNDQQSQTHSLRHFFDDWPKNRSDRSTITWPEVEEMQSDRTQLSISIPMVSSDFSSSTSSPNQEKLTLSPLKLAREFDPVPMGLSVGVLNEANQRQANWIPISWESSIGGPLGEVLSNTNNNAAKDSGENCLSSSLNLLTDGWDSSPRIGSSPTGVLQKTGFGSLSSSTGSSPRAESHKAQDNAHSLCDDLLCSTLVNVSTIPSL >DRNTG_06620.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:456931:460497:-1 gene:DRNTG_06620 transcript:DRNTG_06620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGELVGMEGLVSASSEGGGGLFSSSLASLDTEIKGQKGVFNNGFFKQGRPGGPDEHDWRCLKIARTNDVAMLTAAAPPSLLRSNSHSFSLSSDGEQMLSFSSPKPDALLLSSDGPLPFYHHPFPSVSSSPAYLRNAGLRGPFTPSQWMELEHQALIYKYIDANAPIPSNLLLPIRRSLCHSGFPAFSSESYRSNPLGWGSLYLGFSGNTDPEPGRCRRTDGKKWRCSRDAVADGKYCERHMNRGRHRSRKHVEGQNGHAAKAMPVIAHSPLSASAVPCGGSSNSLTIAKQCTENSRLANPSASQLGRMLTSKENVNGGALDSQGFSMLTSANPKPVNTLFSVPKHQNPFEGSSSRADFELISNFASSPEFNDQQSQTHSLRHFFDDWPKNRSDRSTITWPEVEEMQSDRTQLSISIPMVSSDFSSSTSSPNQEKLTLSPLKLAREFDPVPMGLSVGVLNEANQRQANWIPISWESSIGGPLGEVLSNTNNNAAKDSGENCLSSSLNLLTDGWDSSPRIGSSPTGVLQKTGFGSLSSSTGSSPRAESHKAQDNAHSLCDDLLCSTLVNVSTIPSL >DRNTG_06620.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:456931:459536:-1 gene:DRNTG_06620 transcript:DRNTG_06620.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPSGWKFCAVLLLLLVIAVGWGSLYLGFSGNTDPEPGRCRRTDGKKWRCSRDAVADGKYCERHMNRGRHRSRKHVEGQNGHAAKAMPVIAHSPLSASAVPCGGSSNSLTIAKQCTENSRLANPSASQLGRMLTSKENVNGGALDSQGFSMLTSANPKPVNTLFSVPKHQNPFEGSSSRADFELISNFASSPEFNDQQSQTHSLRHFFDDWPKNRSDRSTITWPEVEEMQSDRTQLSISIPMVSSDFSSSTSSPNQEKLTLSPLKLAREFDPVPMGLSVGVLNEANQRQANWIPISWESSIGGPLGEVLSNTNNNAAKDSGENCLSSSLNLLTDGWDSSPRIGSSPTGVLQKTGFGSLSSSTGSSPRAESHKAQDNAHSLCDDLLCSTLVNVSTIPSL >DRNTG_06620.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:456931:460570:-1 gene:DRNTG_06620 transcript:DRNTG_06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGELVGMEGLVSASSEGGGGLFSSSLASLDTEIKGQKGVFNNGFFKQGRPGGPDEHDWRCLKIARTNDVAMLTAAAPPSLLRSNSHSFSLSSDGEQMLSFSSPKPDALLLSSDGPLPFYHHPFPSVSSSPAYLRNAGLRGPFTPSQWMELEHQALIYKYIDANAPIPSNLLLPIRRSLCHSGFPAFSSESYRSNPLGWGSLYLGFSGNTDPEPGRCRRTDGKKWRCSRDAVADGKYCERHMNRGRHRSRKHVEGQNGHAAKAMPVIAHSPLSASAVPCGGSSNSLTIAKQCTENSRLANPSASQLGRMLTSKENVNGGALDSQGFSMLTSANPKPVNTLFSVPKHQNPFEGSSSRADFELISNFASSPEFNDQQSQTHSLRHFFDDWPKNRSDRSTITWPEVEEMQSDRTQLSISIPMVSSDFSSSTSSPNQEKLTLSPLKLAREFDPVPMGLSVGVLNEANQRQANWIPISWESSIGGPLGEVLSNTNNNAAKDSGENCLSSSLNLLTDGWDSSPRIGSSPTGVLQKTGFGSLSSSTGSSPRAESHKAQDNAHSLCDDLLCSTLVNVSTIPSL >DRNTG_06620.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:456862:460497:-1 gene:DRNTG_06620 transcript:DRNTG_06620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGELVGMEGLVSASSEGGGGLFSSSLASLDTEIKGQKGVFNNGFFKQGRPGGPDEHDWRCLKIARTNDVAMLTAAAPPSLLRSNSHSFSLSSDGEQMLSFSSPKPDALLLSSDGPLPFYHHPFPSVSSSPAYLRNAGLRGPFTPSQWMELEHQALIYKYIDANAPIPSNLLLPIRRSLCHSGFPAFSSESYRSNPLGWGSLYLGFSGNTDPEPGRCRRTDGKKWRCSRDAVADGKYCERHMNRGRHRSRKHVEGQNGHAAKAMPVIAHSPLSASAVPCGGSSNSLTIAKQCTENSRLANPSASQLGRMLTSKENVNGGALDSQGFSMLTSANPKPVNTLFSVPKHQNPFEGSSSRADFELISNFASSPEFNDQQSQTHSLRHFFDDWPKNRSDRSTITWPEVEEMQSDRTQLSISIPMVSSDFSSSTSSPNQEKLTLSPLKLAREFDPVPMGLSVGVLNEANQRQANWIPISWESSIGGPLGEVLSNTNNNAAKDSGENCLSSSLNLLTDGWDSSPRIGSSPTGVLQKTGFGSLSSSTGSSPRAESHKAQDNAHSLCDDLLCSTLVNVSTIPSL >DRNTG_12336.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20753297:20753956:1 gene:DRNTG_12336 transcript:DRNTG_12336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNEISNGRWAMFGFAVGLLTEYATGSDLVQQVKILLSNFGVVDLE >DRNTG_09296.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:871732:872523:1 gene:DRNTG_09296 transcript:DRNTG_09296.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFENEQGTREPGSSLDTPMPKNTIARTPMALTYEQDIV >DRNTG_18113.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:427208:432957:1 gene:DRNTG_18113 transcript:DRNTG_18113.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISKPVTPGQVSCLLGFIPLFLAWLYSELLEHRKKSASLKSDRDSSINLVVLDNISNKEDENARLLEAGIQVASPEAHNSYATPSLVRFFLMDEAFLLENRLTLRAISEFGAYLVYYYICDRTDLLGESKKSYNRDLFLFLYSLLILVAAVTSFKVHHDKSPFSGKSVLYLNRHQTEEWKGWMQVLFLMYHYFNAKEIYNAIRVFIAAYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFFVAFCCIVLNNDYTLYYICPMHTLFTLMVYGALGLLNKYNELGSVIAIKIAACFLVVILIWEVPNVFDILWSPFTFLLGYNDPDPSKPKLPRLHEWHFRSGLDRYIWIIGMIYAYYHPTVEKWMEKLEETEARKRISIKTLALSISLLVGYLWFEYIYKLDKITYNKFHPYTSWIPITVYICLRNLTQQFRNCSLTLFAWLGKITLETYISQIHIWLRSGVPDGQPRLLLSLIPNYPLMNFMLVTAIYIAVSHRLFELTNTLKTVFVPSRDNKRLVHNIAMASVIAVALYSLAFVLLNGPRMIVNF >DRNTG_18113.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:427208:432957:1 gene:DRNTG_18113 transcript:DRNTG_18113.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISKPVTPGQVSCLLGFIPLFLAWLYSELLEHRKKSASLKSDRDSSINLVVLDNISNKEDENARLLEAGIQVASPEAHNSYATPSLVRFFLMDEAFLLENRLTLRAISEFGAYLVYYYICDRTDLLGESKKSYNRDLFLFLYSLLILVAAVTSFKVHHDKSPFSGKSVLYLNRHQTEEWKGWMQVLFLMYHYFNAKEIYNAIRVFIAAYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFFVAFCCIVLNNDYTLYYICPMHTLFTLMVYGALGLLNKYNELGSVIAIKIAACFLVVILIWEVPNVFDILWSPFTFLLGYNDPDPSKPKLPRLHEWHFRSGLDRYIWIIGMIYAYYHPTVEKWMEKLEETEARKRISIKTLALSISLLVGYLWFEYIYKLDKITYNKFHPYTSWIPITVYICLRNLTQQFRNCSLTLFAWLGKITLETYISQIHIWLRSGVPDGQPRLLLSLIPNYPLMNFMLVTAIYIAVSHRLFELTNTLKTVFVPSRDNKRLVHNIAMASVIAVALYSLAFVLLNGPRMIQVNF >DRNTG_10044.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1730411:1732167:1 gene:DRNTG_10044 transcript:DRNTG_10044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAQVDAVRSAISKAGGDAGLEVRVSETGWPSAGDPDEAGATPENARRYNGNLMRMVASGRGTPARPRDPLRVDIFALFNENLKPGPASERHYGLFKPDGTPAYQLNLAPASSGNDTSNVSAASPSQQSAGEGLSLMGYDISSAAVWAWWAPRRGALGSLSLVILVWGWAL >DRNTG_05674.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:85334:87544:-1 gene:DRNTG_05674 transcript:DRNTG_05674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLYIVLIFYAEILESGFWMLTTFLFIKTLHLLVKERVNHTGTISSVPMSSHVRIVSLTSLLVILDFMFIYSFIISVAQTWPEPAPLIFLFQYLFLAISVVSAYATYASYAINMFFGGQSKGKSIYAFILDIARDLIHFYMNATFLYTAFVTHRMSLSALYQLRISYIKVLNRVTACIRYWKITTNMNRYLKEATPQDFYENDTTCVICRDEMFRAKKLPCGHIFHVECLLSWMQENDSCPTCRSSVVRHEKNSIIVQQCRIPSQSYRTGPAETATSRHESDESENGVMERVTTNQHQARLQAAVRAASIYGKSCVSIHIQSSSHGI >DRNTG_16299.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2056448:2057181:-1 gene:DRNTG_16299 transcript:DRNTG_16299.1 gene_biotype:protein_coding transcript_biotype:protein_coding ETRQHTETQGGGERDSSKTHQQKGGQLAGKLIVPVGIRLLAWEA >DRNTG_07416.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7256243:7258507:1 gene:DRNTG_07416 transcript:DRNTG_07416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQRLNDRRRKYPLRQETVKEGKKSKVGMMPIRAYNMV >DRNTG_25382.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24317338:24320927:1 gene:DRNTG_25382 transcript:DRNTG_25382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAPPPPATESPSLPCWSAVIRKTSASAAEEPIPSRIFGNCNSNKGISVAVVDANAIIHGDKLVGSADSFVSVREVLDEVRDPVSRQRLAFLPFHVDIMEPSPESIKKVVKFARETGDLQTLSDVDLKLIALTYMLESQIHGTHHLRESPPPIHAVNVKNLHEAEMPGWGSNVGNVAEWEALDQDGEGGADNGSRILPLKDLSNDVITACGSDEQQQSFAKPRIVYPKKEIKIDGKQMVSDGIDASQGQDMDNADDWRPAVSRSTHRRYLRRQARRAFHDAQRNEQLCSEASTEIDACTTELDELELLSQTEGSIENYAADDGSVEAAVVDEVSIGPDALDEANTQASVDDASSEQSWMLRSLSDSSVACVTSDYAMQNVILQIGLRLLAPGGMQIRQLHRWVLKCHACNKVTQEVGRIFCPKCGNGGTLRKVSVTIGENGMVLAARRQRFILRGTKFSLPLPQGGRDAITKNPILREDQLPRKLLYPKTKKKSNKKAELHSGLL >DRNTG_07443.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000338.1:29203:68798:1 gene:DRNTG_07443 transcript:DRNTG_07443.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLQESFSSIWKVRANARFIKDELESMNAFLVRNAAMGQYTDDDLEFNVWMSQVRDLAYDLEDWAEEFTWLLCQPHWHGINSCFPDVVRFTKDLVARVKIANNVHELKGRVLEVGERSKRYGLRGRTTQEPTSSLIIIGPVARMQHDPRLGAHLTDDSMLVGIDGPRNTIMNWLMEGDGFMLRVISVVGMGGLGKTTLVKKLYENQQVMKHFPRRVWITVSQTFALKVLFRDMISQLLGSQVFSAETTEGQLVQQLRDELMKLNTRYMIVLDDVWSLHAWKSFLPALPDNNRLGSRIIVTTRNLDVASFCSQESGHIYHLKPLSPENSWLLFCKKAFPRHYSICPPTFTNLSKEILAKCDGLPLAIVTIGGVLASKPLLESEWQKLHDHLGTSIMSHQGLDAMTRILSFSYYDLPYYLKPLFLYLAIFPEDYQIRRKRLLRRWIAEGLVHATRDMSTEEVAEWYFKELMDRSMILSSIINGDTTVHSCHIHDIMLEFTLNMSEKDNLVSIITRKQQPPAPAPAPQTQDVREARHLALHQHSLPANIHKNKKLEHVRSLTVFSLKV >DRNTG_27766.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24414926:24415828:-1 gene:DRNTG_27766 transcript:DRNTG_27766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVRSRRLYVLLVCILSVWLLLLCCSSCMAVAIRIFPREHIGEDTAMGLLGKRPVPESGLDESKRRVPSCPDPLHNRLVL >DRNTG_27766.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24415101:24415828:-1 gene:DRNTG_27766 transcript:DRNTG_27766.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVRSRRLYVLLVCILSVWLLLLCCSSCMAVAIRIFPREHIGEDTAMGLLGKRPVPESGLDESKRRVPSCPDPLHNR >DRNTG_07594.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15032168:15033506:1 gene:DRNTG_07594 transcript:DRNTG_07594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGRRSMISIPYQLSSVFNIKPQFALCLSPSSFFNGFIFVGNSGNYNLGPVIDVSSILMRTPLVTNPVNAQGEASSEYFIGVSSIKIDGIEVKVNTSLLDIDDQGVGGTKISTVTAFTTMETSIYKAVTVAFIKAAKAKKMKRVAPVKPFKACYSTESINSTSAGPDVPNIDLVLGREDVYWRMFGANSMVEVKWRKALCLGLVDGGSSPRTSLVIGGKQLENIFLEFDLSTSVLGFSSSLLQRNTTCSNLNTKGIWPELKSSY >DRNTG_26838.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1917454:1920933:-1 gene:DRNTG_26838 transcript:DRNTG_26838.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFAGFASSCADTEKFGSLEVDGDPMIAFDISACDEKKDASLEAGSSLIICSESKEKKGGSLENDGGCIVNALVAVEKTGEENGCLEAVNGSINVSESGREKDGSLVAEIDAIFAIESNEKKDDSFVAFGEPIASIESSGMNAGSFLAESGTMVSVGITERDNALLGVSGDLILVEDADKALVEKVGDDSAIVAPKKAPVPRSSSYHGVTKHRWSGKFEAHLWDGTINIESRRRKGKQGGYDTEEDAARAYDLAALKYWGPNSSTKLNFPISEYEKELEEMTSMSQDEWIQCLRRRSRSFSRGISSYRGVTRRGKDGKWQARLGSVAGTRAIFLGAFDTEEEAAIAYDIGLIKLRGLNAITNFDISNYTDLIDR >DRNTG_26838.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1917454:1920933:-1 gene:DRNTG_26838 transcript:DRNTG_26838.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFHTSCYCGTQTRCLQLSSVSRAGGYDTEEDAARAYDLAALKYWGPNSSTKLNFPISEYEKELEEMTSMSQDEWIQCLRRRSRSFSRGISSYRGVTRRGKDGKWQARLGSVAGTRAIFLGAFDTEEEAAIAYDIGLIKLRGLNAITNFDISNYTDLIDR >DRNTG_26838.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1917454:1920933:-1 gene:DRNTG_26838 transcript:DRNTG_26838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFAGFASSCADTEKFGSLEVDGDPMIAFDISACDEKKDASLEAGSSLIICSESKEKKGGSLENDGGCIVNALVAVEKTGEENGCLEAVNGSINVSESGREKDGSLVAEIDAIFAIESNEKKDDSFVAFGEPIASIESSGMNAGSFLAESGTMVSVGITERDNALLGVSGDLILVEDADKALVEKVGDDSAIVAPKKAPVPRSSSYHGVTKHRWSGKFEAHLWDGTINIESRRRKGKQVYLGGYDTEEDAARAYDLAALKYWGPNSSTKLNFPISEYEKELEEMTSMSQDEWIQCLRRRSRSFSRGISSYRGVTRRGKDGKWQARLGSVAGTRAIFLGAFDTEEEAAIAYDIGLIKLRGLNAITNFDISNYTDLIDR >DRNTG_26838.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1917454:1920933:-1 gene:DRNTG_26838 transcript:DRNTG_26838.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFHTSCYCGTQTRCLQLSSVSRAGGYDTEEDAARAYDLAALKYWGPNSSTKLNFPISEYEKELEEMTSMSQDEWIQCLRRRSRSFSRGISSYRGVTRRGKDGKWQARLGSVAGTRAIFLGAFDTEEEAAIAYDIGLIKLRGLNAITNFDISNYTDLIDR >DRNTG_26838.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1917454:1920933:-1 gene:DRNTG_26838 transcript:DRNTG_26838.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMSQDEWIQCLRRRSRSFSRGISSYRGVTRRGKDGKWQARLGSVAGTRAIFLGAFDTEEEAAIAYDIGLIKLRGLNAITNFDISNYTDLIDR >DRNTG_01940.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32489565:32490541:1 gene:DRNTG_01940 transcript:DRNTG_01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCALFFSEFLECCNVHDEFIVCFNRLNNNLLLDFIMGLWDLFVTASVPILNVLLVAIVGAFLATNHIGILGEETRKHLNNVVFYAFNPALEATNM >DRNTG_14051.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4927781:4931259:-1 gene:DRNTG_14051 transcript:DRNTG_14051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLLFSPESPPLSVIVAARISEVAILPDPSLPPASDPVFVFSSGLKLHGTNTLLRYLGRVSSLSNFYGQDALESAQIDEWLEHATIFSYGSEFETACGHVDAHLALRTFLVGYSLSIADVSIWSGLAGTGQRWESLRKSKKYQNLARWFNSITAEYGVLSEVTASYVGKRGLGKAPATSSKGIEPSSSQAVSRKENVTNGEVVLKEKTGGFEVDLPGAKVGEVCLRFAPEPSGYLHIGHSKAALLNQYFADRYKGRLIIRFDDTNPSKESNEFVENVLKDIETLGIKYDNVTYTSDFFPQLLDMAESLIVKGKAYVDDTPREQMQKERMDGIESRCRNNSGDANLSLWKEMIAGSERGLQCCVRGKLDMQDPNKSLRDPVYYRCNPVPHHRIGTTYKVYPTYDFACPFVDSVQGITHALRSSEYHDRNAQYDRIMADMELRPVQIYEFSRLNMVYTLLSKRKLLWFVQNGKVDGWDDPRFPTVQGIVRRGLKIEALIQFILQQGASKNLNLMEWDKLWTINKKIIDPVCPRHTAVLEDRRVILSLSNGPEKPFVRVIPRHKKYEGAGMKATTYTRRIWLDYADASLISEGEEVTLMDWGNAIVKEIKKENGIVTQLHGVLHLEGSVKTTKLKLTWLPETDELVNLLLLEFDHLIKKKKLEEEDDFLDNLNECTKKETAALGDSNMRNLQRGEIIQLERRGYYRCDVPFIRPSKPIVLFAIPDGRQQASLN >DRNTG_01299.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1898924:1904970:1 gene:DRNTG_01299 transcript:DRNTG_01299.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:Projected from Arabidopsis thaliana (AT1G69420) UniProtKB/TrEMBL;Acc:A0A178WF77] MRKHGWQLPYHPLQVVAVAVFLALGFAFYVFFVPFVGRKLVEYVVMGVYTPLVTCVFCLYIWCAATDPGDPGVFKSKKYLNIDDDRKQTHLKESEGCAIRKEHSTEVGDDPVTKGIDADVSEQVPEKVETKNPSCCKFTLLAVLFGWCHLPFLCRRHDSHDQSSEQQMSEEGMFYCSLCEVEVLKYSKHCRVCDKCVDGFDHHCRWLNNCIGRRNYRRFFILMVTALLLLILQWSIGILVLILCFLERKQFSMEIMSKLGSSFSLVPFVVVVASCTLLAMIATLPLAQLFFFHVLLIKKGISTYDYIVALREQEQPEGGGQQSPQMSQVSSFTGLSSASSFNAFHRGAWCTPPRLFLEDQVFSLSVYFFVFFESCSQRTPKQDYKELYVCDMALEHVFPHEMNKRNLYVLQ >DRNTG_01299.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1898924:1903835:1 gene:DRNTG_01299 transcript:DRNTG_01299.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:Projected from Arabidopsis thaliana (AT1G69420) UniProtKB/TrEMBL;Acc:A0A178WF77] MRKHGWQLPYHPLQVVAVAVFLALGFAFYVFFVPFVGRKLVEYVVMGVYTPLVTCVFCLYIWCAATDPGDPGVFKSKKYLNIDDDRKQTHLKESEGCAIRKEHSTEVGDDPVTKGIDADVSEQVPEKVETKNPSCCKFTLLAVLFGWCHLPFLCRRHDSHDQSSEQQMSEEGMFYCSLCEVEVLKYSKHCRVCDKCVDGFDHHCRWLNNCIGRRNYRRFFILMVTALLLLILQWSIGILVLILCFLERKQFSMEIMSKLGSSFSLVPFVVVVASCTLLAMIATLPLAQLFFFHVLLIKKGISTYDYIVALREQEQPEGGGQQSPQMSQVSSFTGLSSASSFNAFHRGAWCTPPRLFLEDQFDVIPPEIGMPANFAAKKMMAEESTKKRNAGTVKISPWTLARLDPEEVSKAAAQAKRNSKILQPVVRRETPLGQDTDSFGSGSSRQFPRPDDRRRVNKRGRPLMNLPTEPLAKISASATDSNGSDIGPGASSSLAPLQLEARSAFRTSRAMSSTGIVASSPDSSLDSPDLHPFRASSSGAEEAFVLTSRPSVSTPAQKGIQLSRSTSDGYDASGGEDSDRVPSKIVYKPSNWSNMLFNSGRSSQADVAKPSSSGGMQTNIRPL >DRNTG_34915.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20714120:20715956:-1 gene:DRNTG_34915 transcript:DRNTG_34915.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGHEGVEMAIPISSTYTVQQRQQQQQQQALSQGLINGPHPPSEEQHQHNQKKLSSSSTSPSPSWVKYKECLKNHAASIGGNATDGCGEFMPSGEEGTIESFKCSACNCHRNFHRKETDVAETYSLVPRSAGGAQMVMPLGSFHTSESDEMDRQQHHHHHHHHHHQQQQGPVVRKRFRTKFTQEQKEKMLDFAESVGWRLQKQEESVVQHFCQEIGIKRRVLKVWMHNNKHNLAKKDLNDSNVVVHPHPHPPPPPPTHHHHTSLQLE >DRNTG_34915.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20714120:20715956:-1 gene:DRNTG_34915 transcript:DRNTG_34915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGHEGVEMAIPISSTYTVQQRQQQQQQQALSQGLINGPHPPSEEQHQHNQKKLSSSSTSPSPSWVKYKECLKNHAASIGGNATDGCGEFMPSGEEGTIESFKCSACNCHRNFHRKETDVAETYSLVPRSAGGAQMVMPLGSFHTSESDEMDRQQHHHHHHHHHHQQQQGPVVRKRFRTKFTQEQKEKMLDFAESVGWRLQKQEESVVQHFCQEIGIKRRVLKVWMHNNKHNLAKKDLNDSNVVVHPHPHPPPPPPTHHHHTSLQLE >DRNTG_20315.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5691068:5694557:1 gene:DRNTG_20315 transcript:DRNTG_20315.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRILPSSPLQKLATFKDPAPIPPISSSSSSPLDAFASDPIFSAFLSPDFDSARFSSQALSSGSAAARAESLHEAIRLLQRHLRSEVLSRHPALLSSLSSLRSSSSSLSSLRASLQALHASLHRARLDLASPLRQARHHALQLSNLHSAADLLHHSARLLRLSSRLRSLLSLPSDRRDPAKAAELHHEICQLYDERGLEGISAVEAEMKWLLETGDQLRSEAMRAVEKGMDEGNQNDIWCGLQVFFNLGELGPTVDALLNKYKGIGVKSVGAALDMKAISAASSAGIGGPGGIQRSGTPQIGGRRRAGEALWERMGRCMDELHKVVTAVWQLQTVLSKKRVPFTQVLFLHEVWQEGDPLLTDRVWEALVKSFASQMKAAFTTSSFVKEIFTHGYPKLFSTVENLLERISRDTEVKGVLPALTPEGKDQLVMAIDMFQTAFLGACLSRLSDYVNSIFTVSGRGSIPSKDQISRVILRIQEEIEAVKLHGHLMLLVLREIGKVLILLAERAEYQISTGPEARQVTGPATPSQLKNFALCQHLQEIHARISSAIISLPPVAAEILSPSLGVIYGVACDSVTSLFQAMLDRLEACILQIHDQDFGTHGMDSAVDNNASVYMEEFQKFTVHFRTEFLSKLLPSSASRSETICTRLVQRMASRVLIFFIRHASLVRPLSESGKLRMARDMAELELAVGQNLFPVEQLGAPYRALRAFRPVIFLETSQLGGSPLIQDLPPSVILHHLYSRGPDELQSPLQRNKLTPLQYSLWLDSQGEDQIWKGIKATLDDYVMQVRTRGDKEYSAVYPLMLQIGSSLSDTSSSQ >DRNTG_20315.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5691068:5698072:1 gene:DRNTG_20315 transcript:DRNTG_20315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRILPSSPLQKLATFKDPAPIPPISSSSSSPLDAFASDPIFSAFLSPDFDSARFSSQALSSGSAAARAESLHEAIRLLQRHLRSEVLSRHPALLSSLSSLRSSSSSLSSLRASLQALHASLHRARLDLASPLRQARHHALQLSNLHSAADLLHHSARLLRLSSRLRSLLSLPSDRRDPAKAAELHHEICQLYDERGLEGISAVEAEMKWLLETGDQLRSEAMRAVEKGMDEGNQNDIWCGLQVFFNLGELGPTVDALLNKYKGIGVKSVGAALDMKAISAASSAGIGGPGGIQRSGTPQIGGRRRAGEALWERMGRCMDELHKVVTAVWQLQTVLSKKRVPFTQVLFLHEVWQEGDPLLTDRVWEALVKSFASQMKAAFTTSSFVKEIFTHGYPKLFSTVENLLERISRDTEVKGVLPALTPEGKDQLVMAIDMFQTAFLGACLSRLSDYVNSIFTVSGRGSIPSKDQISRVILRIQEEIEAVKLHGHLMLLVLREIGKVLILLAERAEYQISTGPEARQVTGPATPSQLKNFALCQHLQEIHARISSAIISLPPVAAEILSPSLGVIYGVACDSVTSLFQAMLDRLEACILQIHDQDFGTHGMDSAVDNNASVYMEEFQKFTVHFRTEFLSKLLPSSASRSETICTRLVQRMASRVLIFFIRHASLVRPLSESGKLRMARDMAELELAVGQNLFPVEQLGAPYRALRAFRPVIFLETSQLGGSPLIQDLPPSVILHHLYSRGPDELQSPLQRNKLTPLQYSLWLDSQGEDQIWKGIKATLDDYVMQVRTRGDKEYSAVYPLMLQIGSSLSDTSSSQ >DRNTG_27951.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14260247:14261449:1 gene:DRNTG_27951 transcript:DRNTG_27951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVSEAAEHPPAKDYTDPPPAPLLDMGEFALWSFYRALIAEFIATLLFLYITVATVIGYKDQSANDACGGVGVLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLFRAIGYIIAQCLGAICGVGLVKAFMKHKYNSLGGGANMVAPGYSKGTALGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNEHKAWHNHWIFWVGPLVGALAAAAYHQYILRAAAIKALGSFRSNPSN >DRNTG_17431.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6153364:6154201:-1 gene:DRNTG_17431 transcript:DRNTG_17431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSIPGIITVKQVVNKMKRWSIKLNEVVDMMNTRGFGWDDARKCVIVDSEQVLTEYLQKQPKVGNHANKEFKEFERLQGIFGKDRANGRGAETTVDVVEGLNDDTNNVIETDLELDEEYTSAVPTENHMSNGPNISRPPLKRQKMLDVANKFIENMDNYMSAARSDIFLIVKKMHDPPMARNLGEDVKKLGLSEDEEVDLMIKFSHKPEYEKYFWELNGVQRISFVKKIMGLP >DRNTG_25199.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28238874:28239305:1 gene:DRNTG_25199 transcript:DRNTG_25199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTSTSSSSSRSSSPQSHPPPQSPTSFPVCSLCFSFSFLY >DRNTG_05690.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:183856:189080:-1 gene:DRNTG_05690 transcript:DRNTG_05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAVACAERATNDMLIGPDWAINIELCDILNMDPGQTKDVMKVLKKRLGTKNPKIQLLTLFVLETLSKNCGDHLHQQIVERDVLHEMVKVVKKKPDLNVREKILILIDTWQEAFGGAGGRYPQYHAAYRELRAAGVEFPPRAENTSPLFTPPQTHPITYPADNTSTYHDAALEASLQSDVSTLSVNEIQNARGIVDVLTEMLSALDPNNAEGVKQEIIVDLVEQCRTYQKQVMHLVNNTGDEGLLFQALALNDDLQRVLERHDELAKGSSAIGSAPVSAAPLVNVSHEEDELEDDFSQLSRRTRDSSSGLTRKSSVPSTEQKPISPLLPPPPSSKKPISIDAGPVDYLSGDAYGSEKPTEKHAEPPPPPALSMASPPPPSSSPSLYPTLPQFDDEPIPTSKPVPEPIPKAPWDTQPSGSLPPPPSKYNQRQQFFEQQQASSGSNTSSPYNDLLAQAENLALYQEDTDIARHHVPRRLDVQESSSTPTKQTKQEDMLFKDLVDFAKAKSSSPAKPSSQRTR >DRNTG_19090.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:166782:175812:1 gene:DRNTG_19090 transcript:DRNTG_19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRKALANSRKRKSPPPEEEVVSGGVEARQEEEEVQSGAVETRQEEVQTGVVEARQEDVQSGAVEARSLEEVESGAVETRPEEEVGNGGVPEPPARKRTRKTPKPEASPEFFPEKRNLEDLWQAAFPVGTEWENMDKLGEIKWDFSNLESAFEEGGALHGKTVYMFGCTEPQLLVVNGEQKVTLIPIVVVVDSPIPPSDKIGIKSVQRATEEIIPMKAMKMAWVPYIPLEDRLSQVDRLKTQIFTLGCTQRRSALKLLKIERVKQYDYCLPYFQPLKADEDEDDSVVNIMFPLEPPIVCDFDWELDEYEEFTDELIKNEALPHDKKDEFMAFVREQVKERKKAQREAKQARQNAIDAMDDKTKSAFENMKFYKFYPVQTPDTPDISNVKVPYINRYYGKAHFVM >DRNTG_19090.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:166782:175812:1 gene:DRNTG_19090 transcript:DRNTG_19090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRKALANSRKRKSPPPEEEVVSGGVEARQEEEEVQSGAVETRQEEVQTGVVEARQEDVQSGAVEARSLEEVESGAVETRPEEEVGNGGVPEPPARKRTRKTPKPEASPEFFPEKRNLEDLWQAAFPVGTEWENMDKLGEIKWDFSNLESAFEEGGALHGKTVYMFGCTEPQLLVVNGEQKVTLIPIVVVVDSPIPPSDKIGIKSVQRATEEIIPMKAMKMAWVPYIPLEDRLSQVDRLKTQIFTLGCTQRRSALKLLKIERVKQYDYCLPYFQPLKADEDEDDSVVNIMFPLEPPIVCDFDWELDEYEEFTDELIKNEALPHDKKDEFMAFVREQVKERKKAQREAKQARQNAIDAMDDKTKSAFENMKFYKFYPVQTPDTPDISNVKVYLFCVLHIVL >DRNTG_05897.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18664828:18666292:-1 gene:DRNTG_05897 transcript:DRNTG_05897.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPLSSLSSEASTGYLQDAVTEWSDRCKRRKTTTATSTAVFCPKDFEFELPSHGFDDHLQGFWDSSTCHKEDDPLNFLLQDNNSYNNHHKVALDPLKEEEEKKDNGVVAHHPTKNQHEEKEQPKPSHQFTTLKQLALKESNIESSSTMKASKKEKKQAMGVVYPFAVVKPGGLEEEVTLEDINERLLMRPSRPVRHPVGEFACLPCVSGDGPGLSGKAVVGLTRIHTQGRGTITIIRTRG >DRNTG_05897.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18664828:18666292:-1 gene:DRNTG_05897 transcript:DRNTG_05897.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMEEKNHFLFSHQPCLDWDLHNFELEDLHVHMPLVMESPLSSLSSEASTGYLQDAVTEWSDRCKRRKTTTATSTAVFCPKDFEFELPSHGFDDHLQGFWDSSTCHKEDDPLNFLLQDNNSYNNHHKVALDPLKEEEEKKDNGVVAHHPTKNQHEEKEQPKPSHQFTTLKQLALKESNIESSSTMKASKKEKKQAMGVVYPFAVVKPGGLEEEVTLEDINERLLMRPSRPVRHPVGEFACLPCVSGDGPGLSGKAVVGLTRIHTQGRGTITIIRTRG >DRNTG_05897.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18664828:18665598:-1 gene:DRNTG_05897 transcript:DRNTG_05897.3 gene_biotype:protein_coding transcript_biotype:protein_coding FLSLWTVALDPLKEEEEKKDNGVVAHHPTKNQHEEKEQPKPSHQFTTLKQLALKESNIESSSTMKASKKEKKQAMGVVYPFAVVKPGGLEEEVTLEDINERLLMRPSRPVRHPVGEFACLPCVSGDGPGLSGKAVVGLTRIHTQGRGTITIIRTRG >DRNTG_34952.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8012459:8018600:1 gene:DRNTG_34952 transcript:DRNTG_34952.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTKRLAPSASRTSATLIYTPHEPVFKLAHHQERYDRLKTKPFGTLCYIDWGLVENPGIANQVKECQPSPINLGGIYDDEFINSMPGTRLKLDFPSEVGRSDY >DRNTG_30736.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:12571950:12572255:-1 gene:DRNTG_30736 transcript:DRNTG_30736.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRNNGEKLMLLLTLNLEKMQLELVSVGVVRCQAVDISPLRRVNQAVYLLTTGARESAFRNIKTIAKHLADELINAAKGFSNNYAIKKKDEIEQVAKANH >DRNTG_03874.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3798354:3802585:-1 gene:DRNTG_03874 transcript:DRNTG_03874.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDAAAPPTAEMASASLTPSPERRRREYIASIVGRGAVPDELIGKRIRVGGWVKTGREQGKGAFAFLELNDGSCLSNLQVIVNASVFPLAQLVHTGTSVLVEGELKKPPEGVKQRVELHADRVLEVGPADPSSYPIPKTRLTLEFLRDIVHLRPRTNTISAIARIRDELAYATHTFFRENGFRYVHTPIITTSDCEGAGEMFQVTTLFSDAEKLEKDLKANPPPSESEIEDARLVVKKNGEDVAHLKSLKASKEEISASVLKLNKAKESLAKLEERFNLKPGIPQKDGKIDYSRDFFGRQAFLTVSGQLQVETHACAVGNVYTFGPTFRAEHSHTSRHLAEFWMIEPEIAFADLEDDMYYAEEYVKFLCRWLLDHCIEDMEFMVKNYDKSAIDRLKLVASEPFKRISYTEAVELLCSVTDKKFENKVEWGVDLASEHERYLTEVIFKRPVIVYNYPKEIKAFYMRLNDDKKTVAAMDVLVPKVGELIGGSQREERYDALVDRITSAGLPLEPYHWYLELRKFGTVKHCGFGLGFERMILFATGIDNIRDVIPFPRYPGRADL >DRNTG_35125.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002232.1:21052:22982:1 gene:DRNTG_35125 transcript:DRNTG_35125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILPTIMISILALILLSQVPSPTTALIPTHEAFLHCVSLHSPPSTNHSQELLYFPNTTSYSSLYISTIYNLRFASTTSNSALTPLFIVAPTQDVHVQATVICTRNLGLHLRVRSGGHDYEGLSYRSYDHRPFIMLDLAKFRSVTVDVEHDTALVEVGATLGELYYKIAEKSPVHSFPAGICPSVGVGGHISGGGMGNLVRKYGLAADNVLDVKLVDVNGKVLDRKSMGEDLFWAIRGGGGASFGVILSWTVRLVPVTPKVAVFTMHKGLADGVLDLLDKWQRISSKLHENVYMEAAIRQPMYNGTKGMEALFNFQFMGGCEELLGIMKENFPELGVEAKDCKEMSWIQSVMYYAGYRNGEPLETLLNRSSVSGIYVKGKSDFVEEPIARDAWKGIWDEFVGVSGRSLLMYMAPYGGKMDEIPESETPFPHRKGSLYNIQYLVAWRNGSANESDESLRVIRRLYKHMRPYVSKNPRAAYVNFRDLDLGMNKDAISYSGAKVWGKHYFKSNFKRLALVKGQVDSENFFRNEQSIPPLYRSE >DRNTG_16562.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8322503:8322970:-1 gene:DRNTG_16562 transcript:DRNTG_16562.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHFFDGQIRSSTMTGQMQSSSCNQRRMRIL >DRNTG_16562.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8325250:8326358:-1 gene:DRNTG_16562 transcript:DRNTG_16562.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFHLYRSQLDAMENPEEAHARRGGKKFLFS >DRNTG_16562.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8322503:8323627:-1 gene:DRNTG_16562 transcript:DRNTG_16562.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNGGSSTMTGQMQSSSCNQRRMRIL >DRNTG_16562.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8322503:8324457:-1 gene:DRNTG_16562 transcript:DRNTG_16562.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIGIHYFFDLLCLTFGSHKLVIVEGNYLFLEEGIWKEIASIFNEKWFIDIDLDTSMQRVLRRHISTGKKPDVAKWRIEYNDRPNAELIMQSKKNADLVIKSVDFQS >DRNTG_16562.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8322503:8328500:-1 gene:DRNTG_16562 transcript:DRNTG_16562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMMELTMPATSRVGLWRYATSGHLMFNGATHLRQLNSFSLSCWSIKSRQQVVLKKNNKQIQCCQKQEAHVVEGRCIEEVYDDLSERLLLTVTGLQDPTSKYLVGLAGPPGSGKTTLSSEVVGRLNKLWIPKTLEPDSLVQPDDIATVLPMDGFHLYRSQLDAMENPEEAHARRGAPWTFNPDLLLKCLQTLRSKSQISYRRRKLLVFGRRNLERDCIYIQ >DRNTG_01723.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23578532:23580618:1 gene:DRNTG_01723 transcript:DRNTG_01723.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPDLPRTGRRTTVRRQTVQDEMAGGDKPVSTAPQTRRGADPQVSDEVTEEAKDYGDHLPENQDLAPEIPAIIGQNLSPSFTGEKEEEDLDLNLRLATITLDESKHSEDDLQIEQATEIETSPNRQINLEIQNEIETLNVEPLSEINDSAAVDDLSFCEIRSSAIETILSAAPSPLLHETTTAAAAATTTVSPAPSLGDIYRAESENEKERGLTSLTGGDPKVNVRAEKQNQKMDLHELSLRKLRTLVKES >DRNTG_01723.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23578532:23580618:1 gene:DRNTG_01723 transcript:DRNTG_01723.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPDLPRTGRRTTVRRQTVQDEMAGGDKPVSTAPQTRRGADPQVSDEVTEEAKDYGDHLPENQDLAPEIPAIIGQNLSPSFTGEKEEEDLDLNLRLATITLDESKHSEDDLYQRSMTAPPSMISASVRSVHQRLRPSSPPLLLPSSTRRQRRRRRRRRPYHQLRAWVIFIGRKVRTRRREV >DRNTG_01723.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23578415:23580618:1 gene:DRNTG_01723 transcript:DRNTG_01723.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPDLPRTGRRTTVRRQTVQDEMAGGDKPVSTAPQTRRGAARASAIKKIELAFEDGGKQEDHVEILDSPATQGSPLPRSRRVMARVSESMNLTIEDDDGEKQEGQDKVVLETPAVGTGRRTRAAARRVSKKEDGEKEEEIKTSRYRTRLSSRKQIGEVTVEEVSGRPRTRSTQMSAAVKMVALEQEVEKQGKELAMLCYLSFLEFLCCFLI >DRNTG_01723.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23578532:23580618:1 gene:DRNTG_01723 transcript:DRNTG_01723.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEDPIEEEAKIDDEKEMLNESLENGEERDDHQDNGEESPIRGLVMITKHNDEENLAEINEPIKQSPGEAVISIENDENPNKKTEVKEEFKIPDPQVSDEVTEEAKDYGDHLPENQDLAPEIPAIIGQNLSPSFTGEKEEEDLDLNLRLATITLDESKHSEDDLQIEQATEIETSPNRQINLEIQNEIETLNVEPLSEINDSAAVDDLSFCEIRSSAIETILSAAPSPLLHETTTAAAAATTTVSPAPSLGDIYRAESENEKERGLTSLTGGDPKVNVRAEKQNQKMDLHELSLRKLRTLVKES >DRNTG_01723.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23578415:23581246:1 gene:DRNTG_01723 transcript:DRNTG_01723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEDPIEEEAKIDDEKEMLNESLENGEERDDHQDNGEESPIRGLVMITKHNDEENLAEINEPIKQSPGEAVISIENDENPENQDLAPEIPAIIGQNLSPSFTGEKEEEDLDLNLRLATITLDESKHSEDDLQIEQATEIETSPNRQINLEIQNEIETLNVEPLSEINDSAAVDDLSFCEIRSSAIETILSAAPSPLLHETTTAAAAATTTVSPAPSLGDIYRAESENEKERGLTSLTGGDPKVNVRAEKQNQKMDLHELSLRKLRTLVKESIIQKYNNNNNNKVSIYIYILIA >DRNTG_01723.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23578532:23580618:1 gene:DRNTG_01723 transcript:DRNTG_01723.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEDPIEEEAKIDDEKEMLNESLENGEERDDHQDNGEESPIRGLVMITKHNDEENLAEINEPIKQSPGEAVISIENDENPNKKTEVKEEFKIPDPQVSDEVTEEAKDYGDHLPENQDLAPEIPAIIGQNLSPSFTGEKEEEDLDLNLRLATITLDESKHSEDDLYQRSMTAPPSMISASVRSVHQRLRPSSPPLLLPSSTRRQRRRRRRRRPYHQLRAWVIFIGRKVRTRRREV >DRNTG_32125.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1382946:1385060:1 gene:DRNTG_32125 transcript:DRNTG_32125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAQVITEMLKHCPDSDELVDGKGRSFLHVAVMNHSQQVVRYVLQVPILEKLLNYQDSEGNTPLHLACTLGAKDTVFDLVKDGRVDSGVMNNEGCTPLDLSFYLYNPIALWQFQESSPSLIFRCLLDTGAIFSPNRSDLQKRNVHDLENETTMISLSKTLAILSILIATVTFTAGFTVPGGYSSGSSSNDNIQEGTAILSNKFCFKVFLISNTLAMVCSLTATCSLVHAGGPLLDFKVRESHLSWSTSILWLAFMGMYVAFGMALMTVVSPQERVIEIVVCAITFGPVFYLVFVAAWSGVNLLRVTGFMNGVLRSSQDPHTVLRLREHLLLNSSSSLMIRAVSWVVLA >DRNTG_32125.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1383787:1385060:1 gene:DRNTG_32125 transcript:DRNTG_32125.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAQVITEMLKHCPDSDELVDGKGRSFLHVAVMNHSQQVVRYVLQVPILEKLLNYQDSEGNTPLHLACTLGAKDTVFDLVKDGRVDSGVMNNEGCTPLDLSFYLYNPIALWQFQESSPSLIFRCLLDTGAIFSPNRSDLQKRNVHDLENETTMISLSKTLAILSILIATVTFTAGFTVPGGYSSGSSSNDNIQEGTAILSNKFCFKVFLISNTLAMVCSLTATCSLVHAGGPLLDFKVRESHLSWSTSILWLAFMGMYVAFGMALMTVVSPQERVIEIVVCAITFGPVFYLVFVAAWSGVNLLRVTGFMNGVLRSSQDPHTVLRLREHLLLNSSSSLMIRAVSWVVLA >DRNTG_20746.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20983916:20987803:-1 gene:DRNTG_20746 transcript:DRNTG_20746.1 gene_biotype:protein_coding transcript_biotype:protein_coding TNLDIRGESKSHLANTTNSSISTKWVSSPRDEHTLW >DRNTG_32654.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21985399:21986411:1 gene:DRNTG_32654 transcript:DRNTG_32654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGLGCMSVSFLCIILLPIAGNASEHAGAIIFAYKNKIDIALSVTLGSSTQITMFVTPVSVIVAWIRGIPMDLDYKLLETASLVM >DRNTG_14062.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5016859:5020948:-1 gene:DRNTG_14062 transcript:DRNTG_14062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCVGPTLGKSGFFQSVSASLWRIRAQDDVLPSPKAENSSHKPPEIPPSSAFVPAVNKPPPPVKIPDVEVKPAPLPSKPPEVEAKAAPKVTESETAQTKPQKKPHHVRRVSSAGLQIDSVLKRKTENLKDIYSLGRKLGQGQFGTTYLCIEKATGKEYACKSIAKRKLTTEEDVEDVRREIQIMHHLSGHPNVISIKGAYEDAVAVHVVMELCAGGELFDRIIQRGHYTERKAAELARVIVSVVEACHSLGVMHRDLKPENFLFVNQDEEAPLKTIDFGLSIFFRPGEIFNDVVGSPYYVAPEVLRKRYGPEADVWSAGVIIYILLSGVPPFWAETEQGIFEEVLNGSLDFESDPWPSISESAKDLVRRMLIRDPKRRLTAHEVLCHPWVQVDSVAPDKPLDSAVLSRLKQFSAMNKLKKMALRIIAESLSEDEIAGLKEMFKMIDTDNSGQITFEELKAGLERVGANLKESEIYALMQAADVDNSGTIDYGEFIAATLHFNKIEREDHLFAAFSYFDKDGSGYITQDELQQACEEFGIEDIRLEEIIREIDQDNDGRIDYNEFVAMMQMGNPGIGKKGLQNSFSIGFREALKL >DRNTG_14062.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5017190:5020948:-1 gene:DRNTG_14062 transcript:DRNTG_14062.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCVGPTLGKSGFFQSVSASLWRIRAQDDVLPSPKAENSSHKPPEIPPSSAFVPAVNKPPPPVKIPDVEVKPAPLPSKPPEVEAKAAPKVTESETAQTKPQKKPHHVRRVSSAGLQIDSVLKRKTENLKDIYSLGRKLGQGQFGTTYLCIEKATGKEYACKSIAKRKLTTEEDVEDVRREIQIMHHLSGHPNVISIKGAYEDAVAVHVVMELCAGGELFDRIIQRGHYTERKAAELARVIVSVVEACHSLGVMHRDLKPENFLFVNQDEEAPLKTIDFGLSIFFRPGEIFNDVVGSPYYVAPEVLRKRYGPEADVWSAGVIIYILLSGVPPFWAETEQGIFEEVLNGSLDFESDPWPSISESAKDLVRRMLIRDPKRRLTAHEVLCHPWVQVDSVAPDKPLDSAVLSRLKQFSAMNKLKKMALRIIAESLSEDEIAGLKEMFKMIDTDNSGQITFEELKAGLERVGANLKESEIYALMQAADVDNSGTIDYGEFIAATLHFNKIEREDHLFAAFSYFDKDGSGYITQDELQQACEEFGIEDIRLEEIIREIDQDN >DRNTG_14062.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5018537:5020948:-1 gene:DRNTG_14062 transcript:DRNTG_14062.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCVGPTLGKSGFFQSVSASLWRIRAQDDVLPSPKAENSSHKPPEIPPSSAFVPAVNKPPPPVKIPDVEVKPAPLPSKPPEVEAKAAPKVTESETAQTKPQKKPHHVRRVSSAGLQIDSVLKRKTENLKDIYSLGRKLGQGQFGTTYLCIEKATGKEYACKSIAKRKLTTEEDVEDVRREIQIMHHLSGHPNVISIKGAYEDAVAVHVVMELCAGGELFDRIIQRGHYTERKAAELARVIVSVVEACHSLGVMHRDLKPENFLFVNQDEEAPLKTIDFGLSIFFRPGEIFNDVVGSPYYVAPEVLRKRYGPEADVWSAGVIIYILLSGVPPFWAETEQGIFEEVLNGSLDFESDPWPSISESAKDLVRRMLIRDPKRRLTAHEVLCEFLISNFW >DRNTG_18508.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1627180:1628250:-1 gene:DRNTG_18508 transcript:DRNTG_18508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECYNPIIGPQYCLLYPTDLVFTKTIAGVRHGELSVTDVNCKPLFWFDGSSENNRWFLVDANSTSPLISIKRKKSWRCHDRWQVFRGASKKKRDLLFKLKRSSSFGFNAEWRVNLVANKTIENKYDFKIKGGYKKRSIKIYKGDTSIVVAQMRKEHKFVNLPWDKHAFVVNINPHTDHAFIVSLIVVIDAISQVLQAASS >DRNTG_29353.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1584141:1589697:-1 gene:DRNTG_29353 transcript:DRNTG_29353.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase II subunit alpha-4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G23070) UniProtKB/Swiss-Prot;Acc:O64816] MALGPLRSISHHRCLLSSSFLVPLRVFASAAAAPLARPDHRSPPPPPPQKPTSTINAALAQKIGKAIRRPGAASKARTHSDINVLRPKDYWDYESLTVQWGEQDDYEVVRKVGRGKYSEVFEGVHSSNNERCIIKILKPVKKKKIKREIKILQNLCGGPNIIKLLDIVRDQQSKTPSLIFEYVNNTDFKVLYPTLSDYDTRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELNAYLNKYRLELDPNLEALVGRHSRKPWTKFVNAENLHLAVPEAIDFLDKLLRYDHQERPTAKEAMAHPYFNPVRNAESSRS >DRNTG_29353.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1584141:1599224:-1 gene:DRNTG_29353 transcript:DRNTG_29353.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase II subunit alpha-4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G23070) UniProtKB/Swiss-Prot;Acc:O64816] MALGPLRSISHHRCLLSSSFLVPLRVFASAAAAPLARPDHRSPPPPPPQKPTSTINAALAQKIGKAIRRPGAASKARTHSDINVLRPKDYWDYESLTVQWGEQDDYEVVRKVGRGKYSEVFEGVHSSNNERCIIKILKPVKKKKIKREIKILQNLCGGPNIIKLLDIVRDQQSKTPSLIFEYVNNTDFKVLYPTLSDYDTRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELNAYLNKYRLELDPNLEALVGRHSRKPWTKFVNAENLHLAVPEAIDFLDKLLRYDHQERPTAKEAMAHPYFNPVRNAESSRS >DRNTG_33700.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18658368:18661725:-1 gene:DRNTG_33700 transcript:DRNTG_33700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHQIQSVSTDLRSLSRQIFNASPSISPYLIASPDLRSPEHRQPPSHRIAESSSSISVSPNY >DRNTG_05164.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:14748954:14758303:1 gene:DRNTG_05164 transcript:DRNTG_05164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQCSTTVFDLNSFPIPYFHRGNANGHTFTSWITCFFNGVHVGETLVLCA >DRNTG_12961.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30452410:30454524:-1 gene:DRNTG_12961 transcript:DRNTG_12961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSYKEAISGFAARLTPEEVRDMEKMDGFLHANPSRTLHLQTTYTHDFLNLSTLFGVWSTSNSFYGEGIIIGVLDTGIHMPHPSFDDTGMPPRPAGWNASCYLRTPCNGKVIVAQSFNIANSTTPPSDIDQGYGTHVAGIAAGNFVDNAEVLDQALGRAAGTVPKAFISVYKVCFISIAMQKGIFPCTVAGNYSPDPETLSHAAPWDMVVDATTTDRRIRATVTLGNGTQFHGESAYQPNMVANKFYPIVYPENIKKGDVVRNAGGAGMIIMNFDKYGNTTSSDAHHLSVAYVSYKDTIQLKDYIMSNSTPTAKITFGGTIFDIHPSPTLASFSSRSPAKYNGNIMKPDVTAPGVNILSTWPVEVGLFPSHLKTKTFNFASGTSMASPHVSGIVALIMSKLKYENKRQWSILEIQSALVTTINTFDLDGRPIFDEATFNDSANILQRGARQVNATNAMDPGLVYNIELDDYVANLCGMFSNNNTEVQRFTKNNKQCTRSISGEQLNYPSIGILMASSPASTTISRTVTNVGDASEIYNATFIEPPFVKMYLSQYQFSFSRLDQQITYDITFTMNGSHPGSGVIGQGELSWVSSKHNVIERIQSEKKDCYNPAHRIWCWLCCLYY >DRNTG_10822.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5089809:5091824:1 gene:DRNTG_10822 transcript:DRNTG_10822.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLWPSPRIREAFNHAYLIKLEWNLQRMKLRPLSESEREDNGNDPLLSLSPQSKPMSILRDLSIIFCCGGDPSPLSRLVVVVVVFLSPALEIDSSLFVVLMRSDSVAERASCHRTNWFLHRVNWPAKL >DRNTG_01224.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5002472:5002874:1 gene:DRNTG_01224 transcript:DRNTG_01224.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNAQPYSSMKNARPLRVTNSRPIKLYSPN >DRNTG_30404.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:114305:115319:1 gene:DRNTG_30404 transcript:DRNTG_30404.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECDRSCRPHRPCGVRGCPYMGDVQVGVDNRACGDLGIDVTDNDIIRGSGRVRMGVGNDTGRDNYARIYENRVLDADVNVDMGVGNTAGCDSRMEVYRNTVTGGRRGTNVRVGAANDARRDNDMRVFCNNVEGAEDDVDIGVGNTAGRDNFMDVDNNDIRGARGGVRMGVGNQAGRDNKARVSGNIVRR >DRNTG_19674.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3818425:3819064:-1 gene:DRNTG_19674 transcript:DRNTG_19674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGNVEKDAHQEAMLLYVAMKKDWVDKNGSSDMIEDNCNGSQSPERSKNRNVLLPRGAALTMVEGVKLPPDDVGRTPSEYFDKEALKYDTLDASQKMIIWNLLCNQTLATADLRSLIGEENIKFHEKEKKNEAKAPIPKMKDLNQKMNAKERLLVSA >DRNTG_19064.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29029054:29031621:-1 gene:DRNTG_19064 transcript:DRNTG_19064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDSDSDSPEEVTAEQSIRQYEEIKKVQKENKLREAHEGKERRRQWAQRRTKEKPTEENVPKVSKTEEQPEPLNIPGMLPSNIVSLLAAREKVVFSSDSEEDTHQKPTKRKRRQKNSGPDTVLLKDIPSAECSKNSLEFLKRRKTQVARSSAVLKNANQALRLLSSQGLVSKN >DRNTG_18624.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2868478:2868736:-1 gene:DRNTG_18624 transcript:DRNTG_18624.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYIIAAHRQLSFMHPIFKLLHPHMRYTMEINALARQSLINGGGIIEACFSSGKYSLELSSAAYKGVWRFDMEALPAR >DRNTG_10486.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7288606:7290617:-1 gene:DRNTG_10486 transcript:DRNTG_10486.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g15930 [Source:Projected from Arabidopsis thaliana (AT3G15930) UniProtKB/Swiss-Prot;Acc:Q9LSB8] MFVRCQLIHMYALSGQSRTARQIFELSPDRDVVIWNSLMSGYNRSRRFKDSCKLFEEMEVENVKPNSVTIVSVLSACAKLKDLKFGSRVHLVVKEDEPVLRTLAVDNALVDMYAACGDMSTAWSLFENMKSRDVITWTSMVTGFANSGGIDRARFLFDRMPQKDFVSWTAMINGYIQARSFKEALAIFHEMQDSKIHPDEYTMVSLLTACAQLGALEVGEWIRLYMARNKIKIDITVANAFIDMYSKCGCIERAIKIFKMMTKRDKFTWTAMITGLAVNGSGEEALDIFYQMLKTSTRPDEITYIGVLSACTHAGMAEKGRELFSRMIIDHGIMPNVEHYGCLVDLLGRTGHLTEAFQTIKNMPMRPNATVWGALLGACRVYKNVEMAELAAKQLLELQPENSAVYVLLSNIYAKCNKWDEVHKMRELIMNKGIKKVPGCSLIEIKGTVHEFVAGDKSHPMTEKIYTKLEDMSKELKCAGYVPDTSEAFLNISEEAKESAVFQHSEKLAIAFGLLNSENGVTIRIVKNLRICVDCHNAIKIISKVYAREVVVRDRTRFHHFRHGFLLLQGLLV >DRNTG_01705.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23694220:23698477:1 gene:DRNTG_01705 transcript:DRNTG_01705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGFFSSSEKVRSRSRRPLQEVAAPKPYMPSMRSTTCFTRSSSSRQNQNCDRFIPDRSAMDFDLAHSLLTESRKEKENTAVASPSKEAYRKHLAEALMQNRTRILAFKSKPPAQVESVFQEVCSDNTSHQAKTAKHRRYIPQSAERTLDAPELVDDYYLNLLDWGSGNVLSIALGNTVYLWNATDGSTSELTTVEEDYGPVTSVSWAPDGRHIAIGLNSSDVQLWDSSSNRLLRTLRGVHQSRVGSLAWNNNLLTTGGMDGMIVNNDVRVRSHIVQTYRGHQQEVCGLKWSGTGQQLASGGNDNLLHIWDLSMASSSNSAPNQNQWLHRFDDHMAAVKAVAWCPFQSNLLASGGGGSDRCIKFWNTHTGACLNSVDTGSQVCSLLWNKNERELLSSHGFTQNQLTLWKYPSMVKIAELTGHTSRVLFMAQSPDGCTVASAAGDETLRFWNVFGSPEATKPAAAKTAHTGPFSSFNHIR >DRNTG_16401.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4410258:4411840:1 gene:DRNTG_16401 transcript:DRNTG_16401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNINDREDEYGGSLEKRCRLTLEVVEAIVDEIGGDRVGIRLSPFEDFMESGDSNPQGLGLYMAATLNKYGILYCHVIKTRSSSCLHLLPAMRETFKGTFMVAGGFDREEGNKVVKEGGADIVAFGKLFLANPDLPKRFELDACLNKYDRTTFYSSDPVLGYTDYPFLEDDSCEKNQT >DRNTG_26906.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3078950:3080590:1 gene:DRNTG_26906 transcript:DRNTG_26906.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRCAFSLEYNVLDYKDKVLDEFYDALGLPTSASEGKILSLVTLQDINLGFEAIVVNHAIDHDLVEFQPVTLCIA >DRNTG_26906.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3078950:3080765:1 gene:DRNTG_26906 transcript:DRNTG_26906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRCAFSLEYNVLDYKDKVLDEFYDALGLPTSASEGKILSLVTLQDINLGFEAIVVNHAIDHDLVEFQPVTLCIA >DRNTG_25531.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2962033:2965746:-1 gene:DRNTG_25531 transcript:DRNTG_25531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLENLLLQAAGRTGSTSGRKNNSRPQTRWRQEGSYSDGSDSNDGNSDNKFQKRKQSHFPLKKRFDPPEPDNRKNWGDGDGNGDDRLSGDDSDSAPSVGSDLYKGDEDKDELGKMSELDREMILLERSERVDDYKLKQHLRASSSKMDKKARQETPPPVLSRGRSSARSADKMAAKSDALNELRAKRMKQQDPEAYHKLRDSTIRASSISNAAKLSSPAKPKTGSVPAPSDSGDSEAGGKSDGEEVSPGDNKRDNLEDDVSPGRVGPLKFEDVKEITIRRSKLAKWCMEPFFEEVIVGCFVRIGIGKTRSGIPRYRLCTVRNVDATDPDRQYKLENWTTCKWLNCVWGNEASAARWQMIMVSDSPPLEEEFKEWVQEVERGGGRMPTSGDVETKRKAIQKVNTYVYSAATVKQMLQEKKSASSRRINIAAEKDRLRKEMEVAQSRKDETEMERIRSRLKELEDISQQSKQKDAKAVRLAEMNRKNRAENFKNASGLKPVNTSLKAGQAGYDPFSRRWTRSRNYYISKPEGTGDEAEAIANDVNSVAAAMDSKEKITTGSSNGVEAGVVATVAALEAAAGAGKLVDTNAPVDQGTESNSLHNFELPISLASLQKFGGPQGVQLGFLARKQKIEATVGFMVPDNDGRRHPLTLSVSDYKRRRGLL >DRNTG_25531.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2962165:2965746:-1 gene:DRNTG_25531 transcript:DRNTG_25531.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADLENLLLQAAGRTGSTSGRKNNSRPQTRWRQEGSYSDGSDSNDGNSDNKFQKRKQSHFPLKKRFDPPEPDNRKNWGDGDGNGDDRLSGDDSDSAPSVGSDLYKGDEDKDELGKMSELDREMILLERSERVDDYKLKQHLRASSSKMDKKARQETPPPVLSRGRSSARSADKMAAKSDALNELRAKRMKQQDPEAYHKLRDSTIRASSISNAAKLSSPAKPKTGSVPAPSDSGDSEAGGKSDGEEVSPGDNKRDNLEDDVSPGRVGPLKFEDVKEITIRRSKLAKWCMEPFFEEVIVGCFVRIGIGKTRSGIPRYRLCTVRNVDATDPDRQYKLENWTTCKWLNCVWGNEASAARWQMIMVSDSPPLEEEFKEWVQEVERGGGRMPTSGDVETKRKAIQKVNTYVYSAATVKQMLQEKKSASSRRINIAAEKDRLRKEMEVAQSRKDETEMERIRSRLKELEDISQQSKQKDAKAVRLAEMNRKNRAENFKNASGLKPVNTSLKAGQAGYDPFSRRWTRSRNYYISKPEGTGDEAEAIANDVNSVAAAMDSKEKITTGSSNGVEAGVVATVAALEAAAGAGKLVDTNAPVDQGTESNSLHNFELPISLASLQKFGGPQGVQLGFLARKQKIEATVGFMVPDNDGRRHPLTLSVSDYKRRRGLL >DRNTG_25531.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2962088:2965746:-1 gene:DRNTG_25531 transcript:DRNTG_25531.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLENLLLQAAGRTGSTSGRKNNSRPQTRWRQEGSYSDGSDSNDGNSDNKFQKRKQSHFPLKKRFDPPEPDNRKNWGDGDGNGDDRLSGDDSDSAPSVGSDLYKGDEDKDELGKMSELDREMILLERSERVDDYKLKQHLRASSSKMDKKARQETPPPVLSRGRSSARSADKMAAKSDALNELRAKRMKQQDPEAYHKLRDSTIRASSISNAAKLSSPAKPKTGSVPAPSDSGDSEAGGKSDGEEVSPGDNKRDNLEDDVSPGRVGPLKFEDVKEITIRRSKLAKWCMEPFFEEVIVGCFVRIGIGKTRSGIPRYRLCTVRNVDATDPDRQYKLENWTTCKWLNCVWGNEASAARWQMIMVSDSPPLEEEFKEWVQEVERGGGRMPTSGDVETKRKAIQKVNTYVYSAATVKQMLQEKKSASSRRINIAAEKDRLRKEMEVAQSRKDETEMERIRSRLKELEDISQQSKQKDAKAVRLAEMNRKNRAENFKNASGLKPVNTSLKAGQAGYDPFSRRWTRSRNYYISKPEGTGDEAEAIANDVNSVAAAMDSKEKITTGSSNGVEAGVVATVAALEAAAGAGKLVDTNAPVDQGTESNSLHNFELPISLASLQKFGGPQGVQLGFLARKQKIEATVGFMVPDNDGRRHPLTLSVSDYKRRRGLL >DRNTG_25531.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2962088:2965746:-1 gene:DRNTG_25531 transcript:DRNTG_25531.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADLENLLLQAAGRTGSTSGRKNNSRPQTRWRQEGSYSDGSDSNDGNSDNKFQKRKQSHFPLKKRFDPPEPDNRKNWGDGDGNGDDRLSGDDSDSAPSVGSDLYKGDEDKDELGKMSELDREMILLERSERVDDYKLKQHLRASSSKMDKKARQETPPPVLSRGRSSARSADKMAAKSDALNELRAKRMKQQDPEAYHKLRDSTIRASSISNAAKLSSPAKPKTGSVPAPSDSGDSEAGGKSDGEEVSPGDNKRDNLEDDVSPGRVGPLKFEDVKEITIRRSKLAKWCMEPFFEEVIVGCFVRIGIGKTRSGIPRYRLCTVRNVDATDPDRQYKLENWTTCKWLNCVWGNEASAARWQMIMVSDSPPLEEEFKEWVQEVERGGGRMPTSGDVETKRKAIQKVNTYVYSAATVKQMLQEKKSASSRRINIAAEKDRLRKEMEVAQSRKDETEMERIRSRLKELEDISQQSKQKDAKAVRLAEMNRKNRAENFKNASGLKPVNTSLKAGQAGYDPFSRRWTRSRNYYISKPEGTGDEAEAIANDVNSVAAAMDSKEKITTGSSNGVEAGVVATVAALEAAAGAGKLVDTNAPVDQGTESNSLHNFELPISLASLQKFGGPQGVQLGFLARKQKIEATVGFMVPDNDGRRHPLTLSVSDYKRRRGLL >DRNTG_34911.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20701929:20704840:1 gene:DRNTG_34911 transcript:DRNTG_34911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERIQPGTYFQYSPSGIQSSPHHSPSIRPSSASSLDRERYLSELLAEKQKLGPFLQVLPFCSRLLNQEILRASGLVDSERIEHGSPLRLSGYPTNGMQMDFEGWPGIQPQFLDQENGFFQRMRVLQASSMGWNGTPVVTSTPVVKKVVRLDVPIDKFPNYNFVGRLLGPRGNSLKRVEATTQCRVYIRGRGSVKDVIKEEELRGKPGYEHLNEPLHVLVEAELPADIIDTQLSQAVGVLEDLLKPVDESLDYYKKQQLRELAMLNGTLREESPHMSPSMSPGASPFNSSSMKRPKTGR >DRNTG_29141.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1368613:1374979:1 gene:DRNTG_29141 transcript:DRNTG_29141.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEGLAGNLKDQGQLFLIMYLIWIFFITCHHLSQALLPSKCLGCVYVQRESKSLKFKGVAGIVTGRIQQAHQNKYAPIMMLFPEGTTTNGDFLLPFKTGAFLAKVPVHPVILRYPYSRFSPAWDSISGIHHVFYLLCQFVNYMEVIYLPIYHPSEQEKEDPKLYANNVRKLMATEGNLKLSDLGLAEKRMYHAMLKGNSLPRALLQKDE >DRNTG_29141.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1368613:1374979:1 gene:DRNTG_29141 transcript:DRNTG_29141.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGWEPLPAVEKVLLGLAIVTLVPIRLVVGIWLLVLYYFVCWFCTMFSDPNWAEEEQEDFAHMGGWRRVVVVQLGRFLSRVMLFNLGFYWISEYKVLQNDCRGLSRESERPGAIVSNHVSYLDILYHMSSSFPSFVAKRSVAKLPLVGLISKCLGCVYVQRESKSLKFKGVAGIVTGRIQQAHQNKYAPIMMLFPEGTTTNGDFLLPFKTGAFLAKVPVHPVILRYPYSRFSPAWDSISGIHHVFYLLCQFVNYMEVIYLPIYHPSEQEKEDPKLYANNVRKLMATEGNLKLSDLGLAEKRMYHAMLKGNSLPRALLQKDE >DRNTG_29141.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1368549:1369781:1 gene:DRNTG_29141 transcript:DRNTG_29141.10 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLKEPAATPEENLEELERNYRPFCPAGRLRDDGVGAAPGGGEGALRVGDCDAGAHPACGGDLVTGFVLLRVLVLHDVLRSQLGGGGAGRLRPYGWVEESCGCSTGEVSLEGDAVQSRVLLDQ >DRNTG_29141.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1368613:1374979:1 gene:DRNTG_29141 transcript:DRNTG_29141.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWEPLPAVEKVLLGLAIVTLVPIRLVVGIWLLVLYYFVCWFCTMFSDPNWAEEEQEDFAHMGGWRRVVVVQLGRFLSRVMLFNLGFYWISEYKVLQNDCRGLSRESERPGAIVSNHVSYLDILYHMSSSFPSFVAKRSVAKLPLVGLISKCLGCVYVQRESKSLKFKGVAGIVTGRIQQAHQNKYAPIMMLFPEGTTTNGDFLLPFKTGAFLAKVPVHPVILRYPYSRFSPAWDSISGIHHVFYLLCQFVNYMEVIYLPIYHPSEQEKEDPKLYANNVRKLMATEGNLKLSDLGLAEKRMYHAMLKGNSLPRALLQKDE >DRNTG_29141.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1368613:1371224:1 gene:DRNTG_29141 transcript:DRNTG_29141.9 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLKEPAATPEENLEELERNYRPFCPAGRLRDDGVGAAPGGGEGALRVGDCDAGAHPACGGDLVTGFVLLRVLVLHDVLRSQLGGGGAGRLRPYGWVEESCGCSTGEVSLEGDAVQSRVLLDQ >DRNTG_29141.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1368549:1369032:1 gene:DRNTG_29141 transcript:DRNTG_29141.12 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLKEPAATPEENLEELERNYRPFCPAGRLRDDGVGAAPGGGEGALRVGDCDAGAHPACGGDLVTGFVLLRVLVLHDVLRSQLGGGGAGRLRPYGWVEESCGCSTGEVSLEGDAVQSRVLLDQ >DRNTG_29141.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1368613:1369781:1 gene:DRNTG_29141 transcript:DRNTG_29141.11 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLKEPAATPEENLEELERNYRPFCPAGRLRDDGVGAAPGGGEGALRVGDCDAGAHPACGGDLVTGFVLLRVLVLHDVLRSQLGGGGAGRLRPYGWVEESCGCSTGEVSLEGDAVQSRVLLDQ >DRNTG_29141.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1369826:1374979:1 gene:DRNTG_29141 transcript:DRNTG_29141.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMLFPEGTTTNGDFLLPFKTGAFLAKVPVHPVILRYPYSRFSPAWDSISGIHHVFYLLCQFVNYMEVIYLPIYHPSEQEKEDPKLYANNVRKLMATEGNLKLSDLGLAEKRMYHAMLKGNSLPRALLQKDE >DRNTG_29141.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1368613:1373122:1 gene:DRNTG_29141 transcript:DRNTG_29141.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGWEPLPAVEKVLLGLAIVTLVPIRLVVGIWLLVLYYFVCWFCTMFSDPNWAEEEQEDFAHMGGWRRVVVVQLGRFLSRVMLFNLGFYWISEYKVLQNDCRGLSRESERPGAIVSNHVSYLDILYHMSSSFPSFVAKRSVAKLPLVGLISKCLGCVYVQRESKSLKFKGVAGIVTGRIQQAHQNKYAPIMMLFPEGTTTNGDFLLPFKTGAFLAKVPVHPVILRYPYSRFSPAWDSISGIHHVFYLLCQFVNYMEVIYLPIYHPSEQEKEDPKLYANNVRKLMATE >DRNTG_29141.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1368613:1374979:1 gene:DRNTG_29141 transcript:DRNTG_29141.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEGLAGNLKDQGQLFLIMYLIWIFFITCHHLSQALLPSKCLGCVYVQRESKSLKFKGVAGIVTGRIQQAHQNKYAPIMMLFPEGTTTNGDFLLPFKTGAFLAKVPVHPVILRYPYSRFSPAWDSISGIHHVFYLLCQFVNYMEVIYLPIYHPSEQEKEDPKLYANNVRKLMATEGNLKLSDLGLAEKRMYHAMLKGNSLPRALLQKDE >DRNTG_29141.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1368613:1374283:1 gene:DRNTG_29141 transcript:DRNTG_29141.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGWEPLPAVEKVLLGLAIVTLVPIRLVVGIWLLVLYYFVCWFCTMFSDPNWAEEEQEDFAHMGGWRRVVVVQLGRFLSRVMLFNLGFYWISEYKVLQNDCRGLSRESERPGAIVSNHVSYLDILYHMSSSFPSFVAKRSVAKLPLVGLISKCLGCVYVQRESKSLKFKGVAGIVTGRIQQAHQNKYAPIMMLFPEGTTTNGDFLLPFKTGAFLAKVPVHPVILRYPYSRFSPAWDSISGIHHVFYLLCQFVNYMEVIYLPIYHPSEQEKEDPKLYANNVRKLMATEGNLKLSDLGLAEKRMYHAMLKGNSLPRALLQKDE >DRNTG_29141.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1368613:1374979:1 gene:DRNTG_29141 transcript:DRNTG_29141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWEPLPAVEKVLLGLAIVTLVPIRLVVGIWLLVLYYFVCWFCTMFSDPNWAEEEQEDFAHMGGWRRVVVVQLGRFLSRVMLFNLGFYWISEYKVLQNDCRGLSRESERPGAIVSNHVSYLDILYHMSSSFPSFVAKRSVAKLPLVGLISKCLGCVYVQRESKSLKFKGVAGIVTGRIQQAHQNKYAPIMMLFPEGTTTNGDFLLPFKTGAFLAKVPVHPVILRYPYSRFSPAWDSISGIHHVFYLLCQFVNYMEVIYLPIYHPSEQEKEDPKLYANNVRKLMATEGNLKLSDLGLAEKRMYHAMLKGNSLPRALLQKDE >DRNTG_21388.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19489688:19490805:1 gene:DRNTG_21388 transcript:DRNTG_21388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQAAALNPGQVSMKKRKWQADREEEQEEHREPKRKDDIELNLETPLPLEWQRCLDIKSGEIHYYNTRTKMRTSKDPREISTEAVVSLDLELNLASHANDQHNNNNNNNNNMKKIKKKNSLDLSSNDEKENEMIAAVCMRCHMLVMMCKASPACPNCKFLHPPTDRSSPAFLKPALSLRLLCCKD >DRNTG_12831.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32926073:32930052:1 gene:DRNTG_12831 transcript:DRNTG_12831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAVVLVVGWGWRTLEWLWWRPRRLEKMLKGQGLRGGQYRLFYGDLKDNARLIKEARSQPLALNSHDVAPRVAPLFHHAMKLHGKISITWLGPYPRVSLMDPELIKEVLSNKFGHFVKPNLTPLGKLLAQGLASHEGEKWAKHRRIINPAFHLEKLKRMLPAFSTCCDELIKRWENKINAEGSIELNVWPELQNLTGDVISRTAFGSSYEEGRRIFQLQTEQAELVMPALQSVYIPGYRFLPTPKNNRRKAIDREIRIILRGMIEKREKAIRMGESSKDDLLGLLMESNMKENEEHESKFKNKGLSVEEVIEECKLFYIAGQETTSSLLTWTMVLLSMYPEWQIKAREEVLQVFGKNKPDMEGVSRLKTLTMILYEVLRLYPPAIFMTRITYKTMELGGITYPPGVLLSLPIIFVHHDFDFWGDDAKEFKPERFAEGISKASKVAGAFCPFGGGPRVCIGQGFALIEAKLGLSMILQHFSFELSPSYVHAPYTVITLQPQHGALIKLHKL >DRNTG_28915.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22010860:22014316:1 gene:DRNTG_28915 transcript:DRNTG_28915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFENSFESTPEMEKFLCQRLLDRSQPIAERFRALFSLRNLRGPGPREALLLATKDSSNLLAHEAAFALGQMQDLEAVPTLVEILKDHSLHPIVRHEAAEALGAIGFEGIVPLLENTLALDPAVEVKETCELALRRIREKKNAGNEDGQCHVETSPFLSVDPALPASLSSSVEQLREVLLCEEEGMYERYSALFALRNRGDGPAVGAIIDSLSGKSALLRHEVAYVLGQLQNKAASAALSQILRNANEHPMVRHEAAEALGSIADSESVALLKEFTMDPEPIVSQSCEVALSMLEFERSGKSFEYLFLQTPQVQ >DRNTG_28915.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22010860:22014316:1 gene:DRNTG_28915 transcript:DRNTG_28915.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQAAEALGAIGFEGIVPLLENTLALDPAVEVKETCELALRRIREKKNAGNEDGQCHVETSPFLSVDPALPASLSSSVEQLREVLLCEEEGMYERYSALFALRNRGDGPAVGAIIDSLSGKSALLRHEVAYVLGQLQNKAASAALSQILRNANEHPMVRHEAAEALGSIADSESVALLKEFTMDPEPIVSQSCEVALSMLEFERSGKSFEYLFLQTPQVQ >DRNTG_32983.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20952175:20952890:1 gene:DRNTG_32983 transcript:DRNTG_32983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHLSVMPMSTISCITLLNKLEVKNVDVLKEKNVNLSDGKCLKLLKALFESKNVLTDVFLTSKE >DRNTG_00792.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:9631345:9681241:1 gene:DRNTG_00792 transcript:DRNTG_00792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLDFAKYLGIYDDDFIKSMPGKRLKLDFPSEVGRSNYWATLAGEDTTRKASRMIDPAHRFIHALIARSLWGRTDSKGVVTQSDIFTMYGIFERHPTHLGYIVADAFLHQGSYTRLGAIFIGPYVTRLIRGMGLFEQTRGMTVVGGTAPLGKAHIRAIGLVVAERMRGRPPQHQATGESSQQPTEHTESEPEAAPVPTPGTTSLPGFDMRLRGIEGEIQGVRQEQREIRDQLHQVLEGQRRLEADFHRFITSYYGSASHTPSATMPPPPAPDCDE >DRNTG_35394.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1647629:1649938:1 gene:DRNTG_35394 transcript:DRNTG_35394.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLAVPFNDLQSKRGLTEKYHIEGIPSLIILNPNGELLRTDSVDLIYRYGCQAYPFTPERMIELEAEEKARHASQTLEKLLAINGRDYVLSHKEQVLVSNLVGKTVGLYFSANCSPCAKFTSRLVSIYDSLKEKNKEFEIVFVSMDKDLEEFLQCFNGMPWLALPYDNESSKDLSRYFDIKGIPSLIILGPDGKTVTRDGRNLINLHLEMAFPFTEAQLQLLQEKIDEEAKAYPTTFHHVGHQHVLNLVSANSGGGPYICCECDEQGSGWAYQCIDCGYEVHLKCVNEVGKENEKNKQEFDGHDGSISATNAAKS >DRNTG_35394.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1647629:1649938:1 gene:DRNTG_35394 transcript:DRNTG_35394.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDLEEFLQCFNGMPWLALPYDNESSKDLSRYFDIKGIPSLIILGPDGKTVTRDGRNLINLHLEMAFPFTEAQLQLLQEKIDEEAKAYPTTFHHVGHQHVLNLVSANSGGGPYICCECDEQGSGWAYQCIDCGYEVHLKCVNEVGKENEKNKQEFDGHDGSISATNAAKS >DRNTG_35394.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1647629:1649938:1 gene:DRNTG_35394 transcript:DRNTG_35394.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGSGDGSLTNVLASPNRDFLISPSGDKVSVKELEGKTVGLYFAANWYSKCETFNPVLAQVYAQLKEQGLKFEVVFVSSDEDQSLFEQFHSKMPWLAVPFNDLQSKRGLTEKYHIEGIPSLIILNPNGELLRTDSVDLIYRYGCQAYPFTPERMIELEAEEKARHASQTLEKLLAINGRDYVLSHKEQVLVSNLVGKTVGLYFSANCSPCAKFTSRLVSIYDSLKEKNKEFEIVFVSMDKDLEEFLQCFNGMPWLALPYDNESSKDLSRYFDIKGIPSLIILGPDGKTVTRDGRNLINLHLEMAFPFTEAQLQLLQEKIDEEAKAYPTTFHHVGHQHVLNLVSANSGGGPYICCECDEQGSGWAYQCIDCGYEVHLKCVNEVGKENEKNKQEFDGHDGSISATNAAKS >DRNTG_14122.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17323797:17326035:-1 gene:DRNTG_14122 transcript:DRNTG_14122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLCKLNTLDFTNNSISGTIEDLVDGLSKCRENKEGFASENSVGLETLRLGNNKLNGTVPETIGLLSKLSELRLSSNCLTGVLTESHFVNLANLTYLDLSYNSLQLNVSENWNPPFDCDKIILCSCKVGPVFPSWVRTQTQLEQLCLSDAGILGSIPAWFWNPTVSGSYFLNLSNNNLEGRLPTFLKNYSFFQVDLSSNRFEGPLPEFDPTSLQVIYLNNNSFSGSIPSYFGAATFIHIFSLSDNHINGSIPSFFCNYLLVIA >DRNTG_31312.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3963288:3964677:1 gene:DRNTG_31312 transcript:DRNTG_31312.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENODL8 [Source:Projected from Arabidopsis thaliana (AT1G64640) UniProtKB/TrEMBL;Acc:A0A178WAZ0] MIHIYIYIYIVLHQLWQHRRLLVKAYTISLLSLFSTLTRGAKWKTETKIESMYSPQISTAFLALLLLLLIHTKTSLSYQYKVGDLDSWGVPPPSNKHVYSYWSQHHHFQIGDSLLFLYPPSQDSVIQVTMQAFNSCSISDPILKMSDGNSIFNITKPGTYYFTSGVPGHCQKNQKLEVDVPMANGTFLPPAGDGVAAGPGSALSPSSSAFPVVFGPSAAAAERSAAAEIRMAVATVWAAAVIALVSLV >DRNTG_20080.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001095.1:8376:9316:1 gene:DRNTG_20080 transcript:DRNTG_20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLLRVSLILHLIHTMLNYLPDKLRSLLKSFWFSAKHEDECKTTVQKNGKAVDQFELSRVFEMFDHDGDGRISRKELHESLDNLGIFISEEDLSVMIEKIDVNEDGCVDMEEFGELYKSIVGGGGREEVEEDVKEAFDVFDVNGDGYISVEELRSVLSSLGLRQGWTKEDVKGMIGKVDEDGDGRVSFEEFKVMMKSGGFVGSL >DRNTG_04142.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14067944:14074744:1 gene:DRNTG_04142 transcript:DRNTG_04142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQSKSMHSTPPELFMPATSCSPHIIYPPRRVSISPKMDFKDLQNLLSKFDLHSSKLPVLNICTKVSGYGLDSLMGFGFDGNEYIEVPTGQYTENPGHQTGSRWANIKGMKTLTALNLVATVANVGEVFKLLF >DRNTG_13323.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23114390:23114808:1 gene:DRNTG_13323 transcript:DRNTG_13323.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKLRKMSGSAPSYEASVLKPHVTGELPKELQSLFSPPARRTREPTLPEWKVVCLVSEYIYLTSHFPLLSLLLWVPLIMLICFLCFYFYFLNVYVW >DRNTG_30614.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001605.1:8865:11232:1 gene:DRNTG_30614 transcript:DRNTG_30614.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSLFPGSFVSVSLATSDKTSLKDAPLETLAEECARHFGVETGDKASDGTGNFFAIATVFPSRKVLKNGAKLSWGLSCTMGFPSVGRAIFVFPISGFSTSHNQNMNNSISQFSICKCNDLYLNLVLPKSAVINCGYDKSPLGTSTDKLTSPKTPASRPKLSSPGATPVQSKKSSDYVSNIDVSTCQDISGIRLALADEKVNELLQIYAGRWLHGRSLLNGNLVTVPICGHLCLFQVAGVSGSRTQIVCLKGNAIYHLSRLTMEIL >DRNTG_30614.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001605.1:8865:9207:1 gene:DRNTG_30614 transcript:DRNTG_30614.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSKGKKPSRSLQSEVSPSSASRTPSTPALDSKTEVSGHDEQVLPLILFCAAAKFLNLISDSHFVPESPRPRPISLQGR >DRNTG_30614.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001605.1:8865:9789:1 gene:DRNTG_30614 transcript:DRNTG_30614.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSKGKKPSRSLQSEVSPSSASRTPSTPALDSKTEVSGHDEQVLPLILFCAAAKFLNLISDSHFVPESPRPRPISLQGR >DRNTG_30614.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001605.1:8865:11232:1 gene:DRNTG_30614 transcript:DRNTG_30614.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSLFPGSFVSVSLATSDKTSLKDAPLETLAEECARHFGVETGDKASDGTGNFFAIATVFPSRKVLKNGAKLSWGLSCTMGFPSVGRAIFVFPISGFSTSHNQNMNNSISQFSICKCNDLYLNLVLPKSAVINCGYDKSPLGTSTDKLTSPKTPASRPKLSSPGATPVQSKKSSDYVSNIDVSTCQDISGIRLALADEKVNELLQIYAGRWLHGRSLLNGNLVTVPICGHLCLFQVAGVSGSRTQIVCLKGNAIYHLSRLTMEIL >DRNTG_10440.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4675214:4684706:-1 gene:DRNTG_10440 transcript:DRNTG_10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor E [Source:Projected from Arabidopsis thaliana (AT1G71440) UniProtKB/Swiss-Prot;Acc:Q8GRL7] MADDDEKALLLRFRIGQRIHAAGNPQRTGTVCYVGPVDGHAGEWIGVDWDDDEGKHDGSVNGVRYFSARGERSASLVRPKNLSAGISLIDALYTRYRSDTTTTKEEEDEMYVHSMSNKRVQIQLVGKHKVEEKLKRLDEICSMSTAYLGVSSVGSSHEITALVPKLKELDLTGNLLSKWQDITSICKALPALEVINLTRNFLEDEIGVAELSLLDRIRVLVLNNCDVTWEQVEKLKPSLESIEELHLMANNLQSIVPSPPPTKFVEGFDSLRLLSLEYNFIDDWDEVVKLCHLRSLEQLHLNNNNLKRIYYPANPTSLDSTKIDSRCDRAFKNLQCLLLGSNQIEDLASIDSLNFFPNLLDVRLSGNPIDDPAKGGVPRFALIARLGKIKILNGSEVTSRERRESEIRYVRLVMAKMQAENLAEIEQLHPRFSELKLLHGIDDEKPATGTAGPQKMSAGLLCITLKCVGPSMGEKLPLTKKLPPNTTVGRLKALCESFFKLKGIRPRLFIQEEGSPLPQFLDDDMASLLDLGFDSEATILVDEESS >DRNTG_10440.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4675214:4684706:-1 gene:DRNTG_10440 transcript:DRNTG_10440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor E [Source:Projected from Arabidopsis thaliana (AT1G71440) UniProtKB/Swiss-Prot;Acc:Q8GRL7] MADDDEKALLLRFRIGQRIHAAGNPQRTGTVCYVGPVDGHAGEWIGVDWDDDEGKHDGSVNGVRYFSARGERSASLVRPKNLSAGISLIDALYTRYRSDTTTTKEEEDEMYVHSMSNKRVQIQLVGKHKVEEKLKRLDEICSMSTAYLGVSSVGSSHEITALVPKLKELDLTGNLLSKWQDITSICKALPALEVINLTRNFLEDEIGVAELSLLDRIRVLVLNNCDVTWEQVEKLKPSLESIEELHLMANNLQSIVPSPPPTKFVEGFDSLRLLSLEYNFIDDWDEVVKLCHLRSLEQLHLNNNNLKRIYYPANPTSLDSTKIDSRCDRAFKNLQCLLLGSNQIEDLASIDSLNFFPNLLDVRLSGNPIDDPAKGGVPRFALIARLGKIKILNGSEVTSRERRESEIRYVRLVMAKMQAENLAEIEQLHPRFSELKLLHGIDDEKPATGTAGPQKMSAGLLCITLKCVGPSMGEKLPLTKKLPPNTTVGRLKALCESFFKLKGIRPRLFIQEEGSPLPQFLDDDMASLLDLGFDSEATILVDEESS >DRNTG_25527.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2950098:2957304:-1 gene:DRNTG_25527 transcript:DRNTG_25527.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPEGRTMTAARRSEKKGLRRRAREKTAGFWGAFFARARETHVL >DRNTG_19175.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6100018:6102137:-1 gene:DRNTG_19175 transcript:DRNTG_19175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPHLLLLILIILLSVFRPSASPPPPASSSSMPCTDELVAISPCLPTLAEDSDGGSTAPSTSCCANFFAAVDGTWSGPACLCHLIREPILLGFPVNGSLFTSLFVSCGRSAADAKNFSDLCRGIRRLPSFRNVTEEGTAGELKYPLYPSVGLSYNGKKHAIRMVFDGCIWNCVSDTEAEAPSPKPPAQQPLPGKRKAGGEAVLAEWFGINQSSSW >DRNTG_02179.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1397677:1398004:1 gene:DRNTG_02179 transcript:DRNTG_02179.1 gene_biotype:protein_coding transcript_biotype:protein_coding EFNNIHIADIEQKKTMLHNYSNNNNNNNNNNNNNRIQKI >DRNTG_28744.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31125390:31127167:1 gene:DRNTG_28744 transcript:DRNTG_28744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLNVVDGLPGRSRSRSRSPRYPSSRNRDRSRSDKNFLM >DRNTG_29552.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11362086:11364278:-1 gene:DRNTG_29552 transcript:DRNTG_29552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVALLLLLALALLKRSGTKSASSLSSSGKEEPRG >DRNTG_29552.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11362086:11364278:-1 gene:DRNTG_29552 transcript:DRNTG_29552.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVALLLLLALALLKRSGTKSASSLSSSGKEEPRG >DRNTG_32663.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18901034:18901556:1 gene:DRNTG_32663 transcript:DRNTG_32663.1 gene_biotype:protein_coding transcript_biotype:protein_coding QFILNHAHSDQNQLRLEKISEFCLHTKIELCKEYYFANKISSQLLLVGGSQLRTHSHAEQEFGRPYPPPPPGPTRPDETNQNTRAW >DRNTG_16823.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1445167:1448357:1 gene:DRNTG_16823 transcript:DRNTG_16823.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase 1 [Source:Projected from Arabidopsis thaliana (AT5G08380) UniProtKB/Swiss-Prot;Acc:Q9FT97] MKNKMRTLLLIVLFFLSSWPWSINSREFTDQEDNRRNLLSNGLGLTPPMGWNTWNHFGCNIDEKVIRETADALITSGLAKLGYHYVNIDDCWAELHRDSQGYLVPKKATFPSGIKALADYVHSKGLKLGIYSDAGYLTCSKTMPGSLGYEEKDANTFAAWGIDYLKYDNCNNGDTKPMERYPVMTRALMQTGHQIFFSLCEWGDMHPAFWGANVGNSWRTTNDITDTWTQMISGADQNEVYASYARPGGWNDPDMLEVGNGGMTFEEYKVHFSIWAISKAPLIIGCDVRNMSKETMEILGNQEVIAVNQDHLGVQAKKVRMEGNLEIWAAPLSGYRTAIVLVNLADNFGSPDNVIVHWDDIGIPPDTIVQARDLWEHKTLKQHYVNKMSAHVGIHQCKMFVLTPISKH >DRNTG_16823.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1445167:1468486:1 gene:DRNTG_16823 transcript:DRNTG_16823.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase 1 [Source:Projected from Arabidopsis thaliana (AT5G08380) UniProtKB/Swiss-Prot;Acc:Q9FT97] MKNKMRTLLLIVLFFLSSWPWSINSREFTDQEDNRRNLLSNGLGLTPPMGWNTWNHFGCNIDEKVIRETADALITSGLAKLGYHYVNIDDCWAELHRDSQGYLVPKKATFPSGIKALADYVHSKGLKLGIYSDAGYLTCSKTMPGSLGYEEKDANTFAAWGIDYLKYDNCNNGDTKPMERYPVMTRALMQTGHQIFFSLCEWGDMHPAFWGANVGNSWRTTNDITDTWTQMISGADQNEVYASYARPGGWNDPDMLEVGNGGMTFEEYKVHFSIWAISKAPLIIGCDVRNMSKETMEILGNQEVIAVNQDHLGVQAKKVRMEGNLEIWAAPLSGYRTAIVLVNLADNFGSPDNVIVHWDDIGIPPDTIVQARDLWEHKTLKEHYVNKMSAHIGIHQCKMF >DRNTG_23271.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001262.1:18642:21942:-1 gene:DRNTG_23271 transcript:DRNTG_23271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGSLGGPWNSTNAASLIRYTVNKGYTIHGWELGNELSGGGVGARIDADQYAADTISLKSIVNEIYQGFPDKPLILAPGGFFDANWFTEFISKTKPHSLDVITHHIYNLGPGVDTHLIEKILDPSYLDGEASYFSNLQSILTSSGTSTTAWVGEAGGAYNSGHNLVTNAFVFSFWYLDQLGMSSSYDTKTYCRQTLIGGNYGLLNTITYKPNPDYYSALLWHRLMGQNVLSTSFKGSKKIRAYAHCARDSQGITVLLINLDGNTTTQVYVTSESAYTFSVTSKNSKPRTRFHHIPGLRGSIGYTRDEYHLTAKDGNLQSQTMLLNNNALEVDSNGNIPPLEPIEVSLAQPVTVAPYSIVFVHIPLFYAPACR >DRNTG_23271.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001262.1:18642:21803:-1 gene:DRNTG_23271 transcript:DRNTG_23271.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVLHLVANLFFWSFFFTSCLVGVCCQSNGVGRGGGVGSGEGVVSVNGVSAIGRTDPDFVCATLDWWPPEKCDYGTCSWGLASLLNLNLSSNIFLNAVKAFSPLKIRLGGSLQDKVIYGTRDSGQPCIPFVYNSSEMFGFSQGCLPMSRWDQLNEFFKKSGAVIIFGLNALNGRVPMPDGSLGGPWNSTNAASLIRYTVNKGYTIHGWELGNELSGGGVGARIDADQYAADTISLKSIVNEIYQGFPDKPLILAPGGFFDANWFTEFISKTKPHSLDVITHHIYNLGPGVDTHLIEKILDPSYLDGEASYFSNLQSILTSSGTSTTAWVGEAGGAYNSGHNLVTNAFVFSFWYLDQLGMSSSYDTKTYCRQTLIGGNYGLLNTITYKPNPDYYSALLWHRLMGQNVLSTSFKGSKKIRAYAHCARDSQGITVLLINLDGNTTTQVYVTSESAYTFSVTSKNSKPRTRFHHIPGLRGSIGYTRDEYHLTAKDGNLQSQTMLLNNNALEVDSNGNIPPLEPIEVSLAQPVTVAPYSIVFVHIPLFYAPACR >DRNTG_11956.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000523.1:13861:14529:1 gene:DRNTG_11956 transcript:DRNTG_11956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECVPTSSYSSLAHPFVGAQRQSHSSIPTYAHRTRAPPTCLSLKK >DRNTG_18371.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000946.1:29413:29918:-1 gene:DRNTG_18371 transcript:DRNTG_18371.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTEKCDVYSFGIVALEVINGTHPGDLLSNFSLSMLVKDILDPRLPLPIADQVITNQVLLVILVAMQCINNDPQARPTMQQVSQRLSSPKSFTKIDNYSFQALTLDHLINIVQVHIDDQAHE >DRNTG_18371.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000946.1:24964:29918:-1 gene:DRNTG_18371 transcript:DRNTG_18371.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTEKCDVYSFGIVALEVINGTHPGDLLSNFSLSMLVKDILDPRLPLPIADQVITNQVLLVILVAMQCINNDPQARPTMQQVSQRLSSPKSFTKIDNYSFQALTLDHLINIVQVHIDDQAHE >DRNTG_32855.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32191282:32195056:1 gene:DRNTG_32855 transcript:DRNTG_32855.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLESPFYGLRRPSMQRGAKLLCVSDLLLLGRVTIEEARCLLHWLEIEEGFGKTGICGLSMGGVHAAMVGSLHPTPIATLPFLSPHSAVVAFCEGVLKHATAWEALREDAAQKVDMTLEQVRERLRSVLSLTDVTRFPIPKNPQAVIFVAATDDGYIPKHSVLELQRAWPGSEVRWVTGGHVSSFLLHNDAFRKAIVDGLDRLQWRESESS >DRNTG_32855.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32191282:32195056:1 gene:DRNTG_32855 transcript:DRNTG_32855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVNLGILHYVLDHIYGAFVHRTKLSTPFFSRGWGGSKLDMLENMVKQLFPEASGQNWPPNIVRPIWKTVWETKSACLREGVFKTPCDERLLSTLSPENHNARVAFLLPKNVPPQKMACVVHLAGTGDHTFERRLRLGGPLVKENIATMVLESPFYGLRRPSMQRGAKLLCVSDLLLLGRVTIEEARCLLHWLEIEEGFGKTGICGLSMGGVHAAMVGSLHPTPIATLPFLSPHSAVVAFCEGVLKHATAWEALREDAAQKVDMTLEQVRERLRSVLSLTDVTRFPIPKNPQAVIFVAATDDGYIPKHSVLELQRAWPGSEVRWVTGGHVSSFLLHNDAFRKAIVDGLDRLQWRESESS >DRNTG_28414.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24597452:24598403:-1 gene:DRNTG_28414 transcript:DRNTG_28414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNHTKQLSVVVMYSIFSLRRRRLFPNATTQYPLHPTSIGYLELKDEEAQQDKHEKERLNERRELHLCPMMITFILVL >DRNTG_25695.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30334672:30338437:-1 gene:DRNTG_25695 transcript:DRNTG_25695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSETEKEITKSDPPQDPIIPGLPDDIAEQCLLHLPFPYHALARSVSPAWSSAVSSAARRRSLSPSSSLPYLTVFAFHRPSLRLRCLAFDPISYRWIPLPPIPLPFPLLPSSFAAASLPRSGNLFFIGGMRADTYSPIPTVLSFNASTNAWISAASMPTPRAFFSAATVGDQLVVAGGDLNSVERYDPDLDRWTEISPMRRNIERYDAAVLGRRLYITGGWKWPFEDPPRGGQYDVDDDTWQEMKAGMRTGWTGVSAVVDDKLFVVSECGAGRVKTYDEKEDTWRAVGGEGVPLLLEKPYAACGVKGTVYVIGNGLSVGVGRVGGNGSDLNIEWELIKGSDKFADLAPVSCQLIYA >DRNTG_07580.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22123983:22126292:1 gene:DRNTG_07580 transcript:DRNTG_07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g16610 [Source:Projected from Arabidopsis thaliana (AT3G16610) UniProtKB/Swiss-Prot;Acc:Q9LUS3] MNSTMLLLTQSLSNGSQECKRIHHCAFEEEFIQKHLSMGEIDFARKVFDGMPKPSVFLWNAMIRAYSWHGPFYQAVELYHDMLSSCAIKPNKFTFPFVLKACSSLLALDEGKEIHEYVKRIGLESDLFVSTALIDMYMKCGCLDESCAVFDVMPTKDIVAWNAMVAGFALHGMSEDSIGFVLEMQNAGQRPNRSTVVSVLPVVGQAKALRQGRSIHGYCVRRYFDEDDIMVGTALLDMYGKCACLVYACRVFDSMSAKNEVTWSAMIGGYVVCDRMVDALEVFDQMMIEGSSKLASSSLASALRACTKLADLNRGKIMHNYSIKCGLLPDITIGNSLLSMYAKIGSVDDTVKYFDEMVFKDSVSYSAIISGYVQNGNVEEAFAIFRRMQLSDVEPDVAVMVGIIPACSHLAALQHGKCTHGLAIIHGLAFDVSVSNALIDMYTKCGRIDHGREVFDRMTKRDIVSWNTIIAGYGMHGLGKEAIFLFLNMQTDGIIPDDITFICLLSACSHSGLVTEGKHWFHAMTLNYGIKPRMEHYICLIDILGRGGFLDEAREYIRRMPFEPDVRVWGALLGACRIHKNIELGEQVSQMIEKLGHESTGNFVLLSNIYSAAGRFTEAAKVRIVQRDRGFVKSPGCSWIEIKGNIHAFIGGDRSHPQSRNIYQKLEDLLVEIKKLGYQPDTSFVLQDMDDEEKEHALLYHSEKLAIAFAILSLSSDKPIFVTKNLRVCGDCHTAIKLITLVSKRIIVVRDTSRFHHFHEGMCNCGDFW >DRNTG_08276.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:4478796:4479471:-1 gene:DRNTG_08276 transcript:DRNTG_08276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYRPEYTSTKEYHNLISSPPLVESQRIRCRRLTDSGLLFRLSLTASTTLKSPALQIIHMLLSSTITGQIHGTNFIASSKFKYLLRMVNQTPYHFSFLITNSSNHQATHTRVRIIFAKSYITRLIRGIGLLEDMDHMLTSLQHIMDHCESSYTPPSDDQSLDDTF >DRNTG_25771.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21164613:21166666:-1 gene:DRNTG_25771 transcript:DRNTG_25771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTATPFFLRDVDMASDYWEPLIPFLSTTTTNSNNSNQISYESHLISSHHIPFLELLQCAIQQKEELDDQEQHQPLESCITNTTTPSASRKKRKRSRPTTCCKSPEEVESQRMTHIAVERNRRRLMNHHLASLRSLMPSSYVQRGDQASVVGGAIDLVKELEQLLLSLQSEKRLREEALESFFISPQYTSFSNGGNGAAGVDVEATVVQGHVNLKVVSARRPGQLVKVVAALEELCLTVLHLNVTSMDSSSVLYSLNLKMEEECRLGSADEIATAVYQLFCCINGS >DRNTG_01291.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1945979:1947854:-1 gene:DRNTG_01291 transcript:DRNTG_01291.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLFFSITTLSFILLLSSLLILIKLHNNNKRKPNPNPNPPSVPGLPLIGHLHLLNHPIHRALAHLSDLHGPILLLRFGSRPVLLISSSSGADECFTVNDVTFANRPRFLAGKYLGYNYRILVWASYGPHWRNLRRITTLEVLSTHRVLSSSHLRSDEVFSLVKTLLHDYSGPGFHFTELKTKFFGLTYNIIMRMLANKRYYGDTEESSSEAGKEFRELARETFVLSGASNAADFLPVVRWFDIGGHERRLKRLSRRKNKLFQGLIDEHKMKESRSQDVDSSPAGRSTVIDLLLSMQESDPEQYDDDVIKGFIELMLVAGTDTSAATMEWTMSLLLNNPQTLEKLRAEIDANVSQGSLLQEADFPKLPYLHAVIKESMRLYPASPFLFPHESSQDCTVGGFNVPSGTMILVNVWKIHRDPELWEEPDKFKPERFLKTNSSDQKSTDEQGIKEGSKMMPFGMGRRRCPGEGLVMKVVPLVVGTLVQCFEWERVGEEEVDMSEGIGASIPKAKPLEAMFKPRESLTALLNQL >DRNTG_16884.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29913098:29915091:-1 gene:DRNTG_16884 transcript:DRNTG_16884.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNYLAHLASETSLALVLQQAGLSTNQNILLCLVDMWLDKMDNCTNIQRKTYALALAVILTLRLPEVMDKLDDILSVCTSVILGGGEEVVDEDSSSDTTSSSAPCSETFGYGAVQSKEFRRKQIKDSDPIKRLSLEDVLRENLKTCAAIHGEGSFNAAVSRIHPSAFAQLQQALKMS >DRNTG_16884.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29912724:29915091:-1 gene:DRNTG_16884 transcript:DRNTG_16884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNYLAHLASETSLALVLQQAGLSTNQNILLCLVDMWLDKMDNCTNIQRKTYALALAVILTLRLPEVMDKLDDILSVCTSVILGGGEEVVDEDSSSDTTSSSAPCSETFGYGAVQSKEFRRKQIKDSDPIKRLSLEDVLRENLKTCAAIHGEGSFNAAVSRIHPSAFAQLQQALKMS >DRNTG_16884.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29912724:29915091:-1 gene:DRNTG_16884 transcript:DRNTG_16884.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNYLAHLASETSLALVLQQAGLSTNQNILLCLVDMWLDKMDNCTNIQRKTYALALAVILTLRLPEVMDKLDDILSVCTSVILGGGEEVVDEDSSSSDTTSSSAPCSETFGYGAVQSKEFRRKQIKDSDPIKRLSLEDVLRENLKTCAAIHGEGSFNAAVSRIHPSAFAQLQQALKMS >DRNTG_16884.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29912724:29915091:-1 gene:DRNTG_16884 transcript:DRNTG_16884.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNYLAHLASETSLALVLQQAGLSTNQNILLCLVDMWLDKMDNCTNIQRKTYALALAVILTLRLPEVMDKLDDILSVCTSVILGGGEEVVDEDSSSSDTTSSSAPCSETFGYGAVQSKEFRRKQIKDSDPIKRLSLEDVLRENLKTCAAIHGEGSFNAAVSRIHPSAFAQLQQALKMS >DRNTG_18185.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4709023:4714596:-1 gene:DRNTG_18185 transcript:DRNTG_18185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPCTLLVHFDKASPALANEIKEALEGNDVEAKVEAMKKAIMLLLNGETLPQLFITIIRYVLPSEDHTIQKLLLHYLEIIDKTDQKGRLLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLTEAEIIEPLVPSVLSNLEHRHPFVRRHAVLAVMSIYKLPQGEQLLADAPELIEKLLSTEQDPSAKRNAFLMLFNCAQERAVNYLLTNADAVPGWGELMQMVALDLIRKVCRSSRGEKGKYIKIIIDLLVAPSAAVVYECAGALVSLSSAPTAIRAAANTYCQLLQSQSDNNVKLIVLDRINELKISHREIMVDMIMDILRALSSPNLDIRRKTIDIALELITPRNIDEVVLTLKKEVVKTQSGELEKNGEYRQMLVQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASAIDVVLFVREIIETNPKLRVSIITRLLDTFYQIRAARVCSCALWIIGEYCLSVSELESGIATIKQCLGELPFYTIAEEGEATDAAKKQQQANSSVTVSSRRPAVLADGTYATQSAASETAISAPTLVPGTLASPGNLRSLILAGDFFLGAVVACTLTKLVLRLEEVQPSKVEANKACTDALLIMVAMLQLGQSSYMPHPIDNDSLDRIVLCVRLLCNTGDDVRKIWLQSCRQSFAKMLAEKQFRETEEIKAKAQISHAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFTKDGDDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTIAPESSKQIRANIKVSSTETGVIFGNIVYETSNVLERNVVVLNDIHIDIMDYISPATCADVAFRNMWAEFEWENKVAVNTVIQDEKEFLNHIIKSTNMKCLTPPSALDGECGFLAANLYAKSVFGEDALVNISIEKQSDGKLSGYIRIRSKTQGIALSLGDKITLKQKGSSS >DRNTG_30364.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001566.1:81249:85865:-1 gene:DRNTG_30364 transcript:DRNTG_30364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVTQILLNAQSVDSSVRKQAEDNLKQFQEQNLPVFLLSLSGELANNDKPVDSRKLAGLILKNALDAKEQHRKNELTQRWLSLDMAMKAQIKGCLMQTLSSPVPDARSTASQVIAKIAGIELPHNQWPELITSLLSNMPQHQPHVKQATLETLGYICEEVSPDVVEQDQVNAILTAVVQGMNASEGNSDVRLAATRALYNALGFAHANFSNDMERDFIMRVVCEATLSADLKIRQAAFECLVAISSTYYEKLAAYMQDIFSITAKAVREDEEPVALQAIEFWSSICDEEIDILDEYGTDFSADSEVPCFYFIKQALPALVPMLLETLLKQEEDQDQDEGAWNIAMAGGTCLGLVARTVGDDIVPLVMPFIEENITKPDWRQREAATYAFGSILEGPSPDKLIPIVNVALNFMLSALVQDPNNHVKDTTAWTLGRIFEFLHGSIVETPIITQENCQQILSVLLQSMKDVPNVAEKACGALFFLAQGYEDVGSSSSPLSPFFNDIIHSLLTVTHREDAGESRLRTAAYETLNEVVRCSTDETAATVVQLAPLIMTELLRTLEGQKISSEEREKQNELQGLLCGCLQVIIQKLGATESTKYAVMQYADQIMELFLRVFASRNATVHEEAMLAIGALAYATGPNFAKYMQGFYPYLEVGLQNFEEYQVCATTVGVVGDLCRALEEKILPFCDSIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLIYAMPMLQSAAELSVHVAAADDEMIEYTNQLRNGILEAYSGIFQGFKSSPKTQLLLSYAPHILQFLDSLYRQKDMDEAVMKTAIGVLGDLADTLGSNAGPLINQAVSSKGFLEECLSSDDPLIKESAEWAKLAIARAVSV >DRNTG_29894.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4888997:4892608:-1 gene:DRNTG_29894 transcript:DRNTG_29894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLQTQGLAIVIMGVSGSGKSTVCEMLAKSLGCNFIEADDYHSQANKEKMSRAIPLNDEDRVPWLEALSGAIRKYMMNGETLTLTCSALQNKYREILRSADPNYKPGNYTTCRVKFVCLVAPAEVIAERIKIRSNDGKHFMPATLLQSQLDLLQINEDEQINKIDATLKLQSIVDSILLLIKGLELQQ >DRNTG_29894.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4888997:4892064:-1 gene:DRNTG_29894 transcript:DRNTG_29894.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKSLGCNFIEADDYHSQANKEKMSRAIPLNDEDRVPWLEALSGAIRKYMMNGETLTLTCSALQNKYREILRSADPNYKPGNYTTCRVKFVCLVAPAEVIAERIKIRSNDGKHFMPATLLQSQLDLLQINEDEQINKIDATLKLQSIVDSILLLIKGLELQQ >DRNTG_15954.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000793.1:15650:17185:1 gene:DRNTG_15954 transcript:DRNTG_15954.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVGALFPNLQRCGKSCRLRWINYLRPDLKRGTFSEQEENLIIELHAVFRKQVVSDCCTVARKN >DRNTG_01714.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23623941:23625059:1 gene:DRNTG_01714 transcript:DRNTG_01714.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVPVRRPTSPWMPLSALIAVLSRSLPPSQMCLIRRYHSDYMVEKNIQEATDLSNQANIRGQNTDFSY >DRNTG_01714.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23621893:23625059:1 gene:DRNTG_01714 transcript:DRNTG_01714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCISRMGGRVHNEEQSEMSDESCSSPVSSNITSGFISESQCAFSKLGLVSLNVDDEEHILLKHRFYTSIGALAQHCSVAAIHRNMYCSPLGRASLESFRLFLKATRQKRNGNANVVNGWLGTSQDGIHRILNDGFGIYGMPEDDVPHCFGLCLYSERSAVDSVMSSPVDVDGLRHVLFCRLILGSTEEVPPGSRQLGPSSDKFDSGVDNMQSPKKYTIWYPHVNTHILPLYILSVRLDFRPKEMQTVPVRRPTSPWMPLSALIAVLSRSLPPSQMCLIRRYHSDYMEKKISRKQLIFQIRQISGDKILISAIKSFQDKQPKAAACTSAMLIKSE >DRNTG_01714.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23623593:23625059:1 gene:DRNTG_01714 transcript:DRNTG_01714.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVDVDGLRHVLFCRLILGSTEEVPPGSRQLGPSSDKFDSGVDNMQSPKKYTIWYPHVNTHILPLYILSVRLDFRPKEMQTVPVRRPTSPWMPLSALIAVLSRSLPPSQMCLIRRYHSDYMEKKISRKQLIFQIRQISGDKILISAIKSFQDKQPKAAACTSAMLIKSE >DRNTG_01714.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23623941:23625059:1 gene:DRNTG_01714 transcript:DRNTG_01714.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVPVRRPTSPWMPLSALIAVLSRSLPPSQMCLIRRYHSDYMEKKISRKQLIFQIRQISGDKILISAIKSFQDKQPKAAACTSAMLIKSE >DRNTG_01714.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23623012:23625059:1 gene:DRNTG_01714 transcript:DRNTG_01714.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCISRMGGRVHNEEQSEMSDESCSSPVSSNITSGFISESQCAFSKLGLVSLNVDDEEHILLKHRFYTSIGALAQHCSVAAIHRNMYCSPLGRASLESFRLFLKATRQKRNGNANVVNGWLGTSQDGIHRILNDGFGIYGMPEDDVPHCFGLCLYSERSAVDSVMSSPVDVDGLRHVLFCRLILGSTEEVPPGSRQLGPSSDKFDSGVDNMQSPKKYTIWYPHVNTHILPLYILSVRLDFRPKEMQTVPVRRPTSPWMPLSALIAVLSRSLPPSQMCLIRRYHSDYMVEKNIQEATDLSNQANIRGQNTDFSY >DRNTG_24886.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:304222:306799:1 gene:DRNTG_24886 transcript:DRNTG_24886.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase 68 [Source:Projected from Arabidopsis thaliana (AT5G47500) UniProtKB/Swiss-Prot;Acc:Q8LPF3] MKERMVLLLLMTIIISTLITIPTRSHACELNSTIKHHHKWHGPTSKRVITVDATGSGDFLSVQEAIDSIPDNNTQRVIIKIKAGVYIEKVVVPRTKPYITFQGAGRNETVVEWHDRACDRGPNGQQLRTYNTASVTVFANYFIARNISFKNTAPAPMPGMEGWQAAAFRVSGDKAYFYGCGFYGAQDTLCDDAGRHYFKDCYIEGSIDFIFGNGRSMYKGCHLHSIANRFGSIAAHDRKSLCERTGFAFVNCKVTGTGRLYVGRAMGQYSRIIYAYTYFDDLIAPGAWDDWDHSSNKNTTAFFGVYKCWGPGAEAVSSASWARELDFESARPFLVKSFVNGRHWLGPSDA >DRNTG_24886.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:304222:306799:1 gene:DRNTG_24886 transcript:DRNTG_24886.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase 68 [Source:Projected from Arabidopsis thaliana (AT5G47500) UniProtKB/Swiss-Prot;Acc:Q8LPF3] MPGMEGWQAAAFRVSGDKAYFYGCGFYGAQDTLCDDAGRHYFKDCYIEGSIDFIFGNGRSMYKGCHLHSIANRFGSIAAHDRKSLCERTGFAFVNCKVTGTGRLYVGRAMGQYSRIIYAYTYFDDLIAPGAWDDWDHSSNKNTTAFFGVYKCWGPGAEAVSSASWARELDFESARPFLVKSFVNGRHWLGPSDA >DRNTG_35402.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1588169:1592217:1 gene:DRNTG_35402 transcript:DRNTG_35402.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 32 [Source:Projected from Arabidopsis thaliana (AT5G54910) UniProtKB/Swiss-Prot;Acc:Q9FFT9] MKLQILSVQSCRRQILVLDEADRILDAGFRYEVDAIISQLPKHRQTLLFSATQTKSVKDLARLSLKDPEYISVHAESVTATPERLKQIAMEVPLDQKLSMLWSFIKSHLRSKILVFLSTCKQVKFVYETFKKLRPGIPLKCLHGRMKQIVRMAIYSQFCEETSVLFSTDVASRGLDFPAVDWVVQLDCPEDIPAYIHRVGRTARFASEGKSVLFLLPSELEMFRKLQAVEPKIPIQLRKANTQRMQSISGLLSSLLVKFPTLMELAKGAFKTYLRSIKKQKDKEVFDLFKLPIDDFAASLGLPMTPKVRFIQQKTKKNTESEETTDSENNEDGSRPASDEKQHLERFGRSRR >DRNTG_35402.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1588169:1592217:1 gene:DRNTG_35402 transcript:DRNTG_35402.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 32 [Source:Projected from Arabidopsis thaliana (AT5G54910) UniProtKB/Swiss-Prot;Acc:Q9FFT9] MRNPKTKKSNARKQRRLSEVEEIELLDAWIESGKPDSGSNPLAFPPPPPSAPVGRLADGGFSPYVGCKLFQQLPISQKTKDGLKRKYTEMSEIQRASLPHTLSGRDVLGAAKTGSGKTLAFIIPVLEKLYRARWGPEDGVGCIIISPTKELAGQLFEEFKFVGKYHSLSAGLLIGGRKDVEAEKERVNFLNILVCTPGRLLQHMNETPNFECSELQILVLDEADRILDAGFRYEVDAIISQLPKHRQTLLFSATQTKSVKDLARLSLKDPEYISVHAESVTATPERLKQIAMEVPLDQKLSMLWSFIKSHLRSKILVFLSTCKQVKFVYETFKKLRPGIPLKCLHGRMKQIVRMAIYSQFCEETSVLFSTDVASRGLDFPAVDWVVQLDCPEDIPAYIHRVGRTARFASEGKSVLFLLPSELEMFRKLQAVEPKIPIQLRKANTQRMQSISGLLSSLLVKFPTLMELAKGAFKTYLRSIKKQKDKEVFDLFKLPIDDFAASLGLPMTPKVRFIQQKTKKNTESEETTDSENNEDGSRPASDEKQHLERFGRSRR >DRNTG_21640.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:103512:104231:-1 gene:DRNTG_21640 transcript:DRNTG_21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLDISHASTTTKNTNQHHCNPSTTTTACRRFVGVRQRPSGRWVAEIKDSSQHVRLWLGTFDSPEDAARAYDDAARALRGDNARTNFSPATNFSGDHAFSQLRSKLSKNIMQHAKISSLHHQHHQYFMPGETRVSDQFTFASIFHHESRDFHRFFVDENEVQPSFVVPDSVEINGHEHFGLMRKKRSRDDDGFICGSNDINDDDDDDNNNNNNNNNNKRFKVSSSFIVPPSFNVFNEE >DRNTG_09529.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21968263:21977688:-1 gene:DRNTG_09529 transcript:DRNTG_09529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRRSLEMGQPSKKNKSEGSSKGTSTSGPKGFGKSLEWFYGFNTTLLLEEDSWGSFRRHLSSKVCPRPNKGTFGEEEVTPQDHRHEYRGGFPIDRGAIGAFVCPCGYGTRTCKISIRHVLAFKSIVCYPEITQGRASAPVGLYCGVTQAWKQANKCPRVASPKPENMGFAIPEHQVRFKRLSKLRPGTVAEKCSNTVAKECSRTIHSRPRKLQNTESTRVCGNSTRPCEKFIRRAYVVLCDQGKYETRVSKATCLSRPAYRYLHGIMSRSVNGRGDSTDVLSWQEPLYHYSMVKSTPIHLGHIIAEYLRH >DRNTG_01486.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13903486:13903971:1 gene:DRNTG_01486 transcript:DRNTG_01486.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNQVVLFIHFDSRRNTASVTPSSSHLSIGKVPINPR >DRNTG_01486.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13897856:13903971:1 gene:DRNTG_01486 transcript:DRNTG_01486.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITCSGLHCHTYSQRHLKKAQAIKENKREMGRNQVVLFIHFDSRRNTASVTPSSSHLSIGKVPINPR >DRNTG_01486.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13897856:13903971:1 gene:DRNTG_01486 transcript:DRNTG_01486.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNQVVLFIHFDSRRNTASVTPSSSHLSIGKVPINPR >DRNTG_01486.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13897856:13903971:1 gene:DRNTG_01486 transcript:DRNTG_01486.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNQVVLFIHFDSRRNTASVTPSSSHLSIGKVPINPR >DRNTG_32314.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001823.1:9141:12074:1 gene:DRNTG_32314 transcript:DRNTG_32314.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPDQYEGLFDQEEDYEEVMMLGSTKEVPSTPGILKKVHRKMKRARRHHRKHSKTVGDVREPKKLDKPLLDMAPRVKKNEVKHLRVTPPEPLHLYFSNPEHQTRFERLSALGFGQTCFADLQVLRDIQQDDDLTNEIDEMLVVGSWRRLLTTSEPAYHALTLEVLASFEFRLMHGRFDTTDAIQFRAFGHPFSMSVTEFLIRPGMYDIAYTGTVEYGRLPVDFLVSVTPHHAYRILCGHGEYEPGLSKATSLSQSSYRHLHAVISRSVSGRADNTSVLTKQDLFFLYSMARNVPIHLGCILADILRLILGMGLGDALRGAERTFTPTPLAFDTIRMMGLVRRCGPGVYILATATPETARSEGDTTEGSPQISRPPVAHGPRAYDRIERLKSVVREIQTEMVELRTIQSAQYTDLMARFNFLRDLLRYRPLVPPAPPSPTPAPVDPPCASSPAAVAAPEPEGNTDI >DRNTG_18431.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20123683:20125248:1 gene:DRNTG_18431 transcript:DRNTG_18431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVGPRLYSCYNCRNHVSLHDDVISKAFQGRNGRAFLFSHAMNIVVGPKEDRQLMTGLHTVADIYCQDCREVLGWKYEKAYEETQKYKEGKFIFEKSKIVKENW >DRNTG_34748.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002187.1:591:4618:1 gene:DRNTG_34748 transcript:DRNTG_34748.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQYPGVAESIESDIDNVRRLLDYTNLIPKGLFLDRAIKVAKEELARECDYLLEAANQKHFRELLSNSEGYYVPMVIDDISSKKVLTTELVTGVPVDKVALLDQETRNYVGKKLLELTLKELFAFRFMQANPNWSNFLYDEPTKMINLIDFGAAREYPQNFVDDYLRMVIACANSDRDAVIEMSTRLGFLTGEESEVMLDAHVQAGFLVGLPFSKSGGYDFRSTNITRSISDLGATMLKHRLTPPPDEVYSLHRKLSGAFLACIKLGAVVPCRELLLDVYRHYLFSDESSQLASSSV >DRNTG_34748.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002187.1:2334:4618:1 gene:DRNTG_34748 transcript:DRNTG_34748.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQYPGVAESIESDIDNVRRLLDYTNLIPKGLFLDRAIKVAKEELARECDYLLEAANQKHFRELLSNSEGYYVPMVIDDISSKKVLTTELVTGVPVDKVALLDQETRNYVGKKLLELTLKELFAFRFMQANPNWSNFLYDEPTKMINLIDFGAAREYPQNFVDDYLRMVIACANSDRDAVIEMSTRLGFLTGEESEVMLDAHVQAGFLVGLPFSKSGGYDFRSTNITRSISDLGATMLKHRLTPPPDEVYSLHRKLSGAFLACIKLGAVVPCRELLLDVYRHYLFSDESSQLASSSV >DRNTG_34748.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002187.1:591:1714:1 gene:DRNTG_34748 transcript:DRNTG_34748.3 gene_biotype:protein_coding transcript_biotype:protein_coding SRIRGKVWSLAKELQESSGESELQSSGGETEEQRMNVGESRDLDAVKEEKVVTLGDLTNDGASNEEKDVNLVVSAEKRVTDGGCAEISAGVAPVKRRRPRERRVPSTPFSRALGFAGLGAGLAWGTLQESAKRLVFGTPDLEDKQSAISPFLSEQNAERLALALCRMRGAALKLGQMLSIQDDSLVPKPVFS >DRNTG_22119.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9069780:9070626:1 gene:DRNTG_22119 transcript:DRNTG_22119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSLGFKIPGFGDREFSLNPGLFNMKEHVLITIFANAGSAFGIGPAYAVGIAFYKRSISFIAAWILIVTTQAFEIRIRVLLSLLLTGVGLWFGW >DRNTG_25617.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22051340:22054822:-1 gene:DRNTG_25617 transcript:DRNTG_25617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNQLIPSVASNQSFSDSWVSSQILPFYPKARIRYLLVGNEILSDFSISNSTWPHLVPAMANLKRSLRSHSIHNIKLGTTLAMDSLSVSFPPSAGAFRADIATSVITPLLRFLNSSKSFYFVDVYPYFPWAANPSSIDLDYALFAGNPSKYYTDPGSGLTYTNLFDQMLDAVFAAMTRLGFGQIPIFVAETGWPNNCDIDQIGANIFNAATYNRNLARRLSHKPPIGTPARPGMVIPAMIFALYNENLKGGPGTERHWGLLYPNGTRVYPVDLTGKLPDRKYPPLPAPTNNAPYKGKIWCVVDEEKGVDEKALVAALAYACGQGNGTCEGIEKGKECFRPNTVVAHASYAFNSYWQQFRYSGGTCFFGGLAVQTTKDPSYGSCKFRA >DRNTG_25617.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22051340:22054120:-1 gene:DRNTG_25617 transcript:DRNTG_25617.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLFLLLLILSSLTSHLNATTSLRIGVNLGQLGDNLPPPARSIELIRRLRAKAVKIYDANATVLSAVSGTGIRVSVMLPNQLIPSVASNQSFSDSWVSSQILPFYPKARIRYLLVGNEILSDFSISNSTWPHLVPAMANLKRSLRSHSIHNIKLGTTLAMDSLSVSFPPSAGAFRADIATSVITPLLRFLNSSKSFYFVDVYPYFPWAANPSSIDLDYALFAGNPSKYYTDPGSGLTYTNLFDQMLDAVFAAMTRLGFGQIPIFVAETGWPNNCDIDQIGANIFNAATYNRNLARRLSHKPPIGTPARPGMVIPAMIFALYNENLKGGPGTERHWGLLYPNGTRVYPVDLTGKLPDRKYPPLPAPTNNAPYKGKIWCVVDEEKGVDEKALVAALAYACGQGNGTCEGIEKGKECFRPNTVVAHASYAFNSYWQQFRYSGGTCFFGGLAVQTTKDPSYGSCKFRA >DRNTG_33836.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002043.1:6689:10464:-1 gene:DRNTG_33836 transcript:DRNTG_33836.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFFFDDILIYSIDWDTHLQHLEMVLQTLQQHQLYAKLSKCSFGLLQIDYLGHVVSANGVQMEPSKIATILNWPTPVNVKLLRGFLGITGYYPRFIHHYANLAHPLTELLMKENFHWSTEAQLAFEKLKSVMVTAPVLQLPDFSKPFVLETDASGIGIGAILSQQSHPIAYFSKKLSPRMQQQLAYVRELFAVTEAVTKFRHYLLGHPFIIKTDQQALKHLCQQTIQTPEQ >DRNTG_33836.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002043.1:6689:12713:-1 gene:DRNTG_33836 transcript:DRNTG_33836.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKYTATLPAKRIAYSPDISSLETPGKVSTAVTRPLHHSPAGSINNGTHSTNSQPFRRISFQEMQVRKAKGLCFNCDEKFTPSHRCVSRRLLLLQWDTEPPEDLDPGDADFIVDLDSSQIKEEATQKLALNAMNSATLSGILRFSGTIKGHPVNILLDGGSDDNFIQPRIAQLLHLDVQPTSSIKVMVGNGHALQVEGYIPDLSILVQGNSITLPVYVLPIAGAEIILGAAWLATLGHHMVDYNAKFIQFHSDMIFIKLQGETNSTPHVTLLNQLQRLHTTNSIAEYYIVHEEGRDQPP >DRNTG_33836.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002043.1:6689:11165:-1 gene:DRNTG_33836 transcript:DRNTG_33836.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKYTATLPAKRIAYSPDISSLETPGKVSTAVTRPLHHSPAGSINNGTHSTNSQPFRRISFQEMQVRKAKGLCFNCDEKFTPSHRCVSRRLLLLQWDTEPPEDLDPGDADFIVDLDSSQIKEEATQKLALNAMNSATLSGILRFSGTIKGHPVNILLDGGSDDNFIQPRIAQLLHLDVQPTSSIKVMVGNGHALQVEGYIPDLSILVQGNSITLPVYVLPIAGAEIILGAAWLATLGHHMVDYNAKFIQFHSDMIFIKLQGETNSTPHVTLLNQLQRLHTTNSIAEYYIVHEEGRDQPP >DRNTG_33836.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002043.1:6864:10464:-1 gene:DRNTG_33836 transcript:DRNTG_33836.6 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFFFDDILIYSIDWDTHLQHLEMVLQTLQQHQLYAKLSKCSFGLLQIDYLGHVVSANGVQMEPSKIATILNWPTPVNVKLLRGFLGITGYYPRFIHHYANLAHPLTELLMKENFHWSTEAQLAFEKLKSVMVTAPVLQLPDFSKPFVLETDASGIGIGAILSQQSHPIAYFSKKLSPRMQQQLAYVRELFAVTEAVTKFRHYLLGHPFIIKTDQQALKHLCQQTIQTPEQ >DRNTG_33836.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002043.1:6864:12713:-1 gene:DRNTG_33836 transcript:DRNTG_33836.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKYTATLPAKRIAYSPDISSLETPGKVSTAVTRPLHHSPAGSINNGTHSTNSQPFRRISFQEMQVRKAKGLCFNCDEKFTPSHRCVSRRLLLLQWDTEPPEDLDPGDADFIVDLDSSQIKEEATQKLALNAMNSATLSGILRFSGTIKGHPVNILLDGGSDDNFIQPRIAQLLHLDVQPTSSIKVMVGNGHALQVEGYIPDLSILVQGNSITLPVYVLPIAGAEIILGAAWLATLGHHMVDYNAKFIQFHSDMIFIKLQGETNSTPHVTLLNQLQRLHTTNSIAEYYIVHEEGRDQPP >DRNTG_33836.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002043.1:6864:9331:-1 gene:DRNTG_33836 transcript:DRNTG_33836.8 gene_biotype:protein_coding transcript_biotype:protein_coding MHCNLWPQIRVLQHQDHFCSTKITDLQNGTLLDTKFTYRHNLLWKQNRIVIPKDASIKSQLLYEYHSTPIGGHAGFLRTYARLALQFYWPGMFQMLKPLFDTVLFANRPNQPILTQPVYCNLCQSHNRFGKTSLLILSHLYQHQRGLQSSLL >DRNTG_33836.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002043.1:6864:11165:-1 gene:DRNTG_33836 transcript:DRNTG_33836.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKYTATLPAKRIAYSPDISSLETPGKVSTAVTRPLHHSPAGSINNGTHSTNSQPFRRISFQEMQVRKAKGLCFNCDEKFTPSHRCVSRRLLLLQWDTEPPEDLDPGDADFIVDLDSSQIKEEATQKLALNAMNSATLSGILRFSGTIKGHPVNILLDGGSDDNFIQPRIAQLLHLDVQPTSSIKVMVGNGHALQVEGYIPDLSILVQGNSITLPVYVLPIAGAEIILGAAWLATLGHHMVDYNAKFIQFHSDMIFIKLQGETNSTPHVTLLNQLQRLHTTNSIAEYYIVHEEGRDQPP >DRNTG_33836.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002043.1:6689:9331:-1 gene:DRNTG_33836 transcript:DRNTG_33836.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHCNLWPQIRVLQHQDHFCSTKITDLQNGTLLDTKFTYRHNLLWKQNRIVIPKDASIKSQLLYEYHSTPIGGHAGFLRTYARLALQFYWPGMFQMLKPLFDTVLFANRPNQPILTQPVYCNLCQSHNRFGKTSLLILSHLYQHQRGLQSSLL >DRNTG_20446.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4543626:4547644:-1 gene:DRNTG_20446 transcript:DRNTG_20446.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:XBAT32 [Source:Projected from Arabidopsis thaliana (AT5G57740) UniProtKB/TrEMBL;Acc:A0A178UH83] MRFLSIMGNSFGCSASGERLVSAARDGDLQEAKALLEYNPRLARYSTFGVRNSPLHYSAAQGHNEIVTLLIESGVDINLRNIRGQTALMQACQYGHWEVVQTLILFRANIHRMDYLNGGTAIHFAALNGHTRCVRLLLVDYVPSISDFWKIMRMRSGQETSTSEFDEDALVKIVNKKADGGITALHMAALNGHAETVHLLLDLGASVSDVTVEDGTTIDLIGAASTPLHYAAYGGNAVCCQVLIARGASLTAENANGWTPVMVARSWHRNWLEGILTRRPEGRLHALPSPYLSIPLMSIVKIAREYGWRNANLSPAVDPCVVCLEGKCTVAAGGICA >DRNTG_20446.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4543626:4547644:-1 gene:DRNTG_20446 transcript:DRNTG_20446.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:XBAT32 [Source:Projected from Arabidopsis thaliana (AT5G57740) UniProtKB/TrEMBL;Acc:A0A178UH83] MRFLSIMGNSFGCSASGERLVSAARDGDLQEAKALLEYNPRLARYSTFGVRNSPLHYSAAQGHNEIVTLLIESGVDINLRNIRGQTALMQACQYGHWEVVQTLILFRANIHRMDYLNGGTAIHFAALNGHTRCVRLLLVDYVPSISDFWKIMRMRSGQETSTSEFDEDALVKIVNKKADGGITALHMAALNGHAETVHLLLDLGASVSDVTVEDGTTIDLIGAASTPLHYAAYGGNAVCCQVLIARGASLTAENANGWTPVMVARSWHRNWLEGILTRRPEGRLHALPSPYLSIPLMSIVKIAREYGWRNANLSPAVDPCVVCLEGKCTVAAGGCGHEFCTRCALYLCSTSSSMAVSGPPGSIACPLCRHAIVTFAKLQSLSPIRESPRPSLSLSLCTACPSMGSESASSMANKLWKPDFHCTRISPSASLRSLSFQKFPSMRLSPTLCMGAADTTTCLIRCPRSRLRRSISHGESSRRSWLFPFNQYITSTGNS >DRNTG_35463.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3736304:3739172:1 gene:DRNTG_35463 transcript:DRNTG_35463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALVADKEQFSLGFEATELRLGLPGDGETAKSNGKRGFSETIDLKLKLQTVDSDETSEEPERMKKSVAEKSIKEPEKPPAKAQVVGWPPVRSYRKNIMAVQSEKATKEDGEKSGNGTAGAAFVKVSMDGAPYLRKVDLKMYNSYKELSTALEKMFSSFTIGNCGSQGMNGRDFMNESKLMDLLNGSEYVPTYEDKDGDWMLVGDVPWEMFVDSCKRLRIMKGSEAIGLAPRAMEKCKNRR >DRNTG_16138.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15707550:15708066:-1 gene:DRNTG_16138 transcript:DRNTG_16138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIEQELHQLARSNTVASTVAAVHSRPRKQKFRESTPV >DRNTG_10704.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13672214:13676070:-1 gene:DRNTG_10704 transcript:DRNTG_10704.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLALRLATRQITFFSEVVGVPGVTATTPFQIKSLKRLDEDLNQFAEALKQGLNKSSG >DRNTG_10704.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13672532:13676070:-1 gene:DRNTG_10704 transcript:DRNTG_10704.11 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLALRLATRQITFFSEVVGVPGVTATTPFQIKSLKRLDEDLNQFAEALKQGLNKSSG >DRNTG_10704.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13672532:13676004:-1 gene:DRNTG_10704 transcript:DRNTG_10704.13 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLALRLATRQITFFSEVVGVPGVTATTPFQIKSLKRLDEDLNQFAEALKQGLNKSSG >DRNTG_10704.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13672214:13676004:-1 gene:DRNTG_10704 transcript:DRNTG_10704.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLALRLATRQITFFSEVVGVPGVTATTPFQIKSLKRLDEDLNQFAEALKQGLNKSSG >DRNTG_10704.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13672532:13676004:-1 gene:DRNTG_10704 transcript:DRNTG_10704.14 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLALRLATRQITFFSEVVGVPGVTATTPFQIKSLKRLDEDLNQFAEALKQGLNKSSG >DRNTG_10704.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13672532:13676004:-1 gene:DRNTG_10704 transcript:DRNTG_10704.12 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLALRLATRQITFFSEVVGVPGVTATTPFQIKSLKRLDEDLNQFAEALKQGLNKSSG >DRNTG_10704.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13672214:13676004:-1 gene:DRNTG_10704 transcript:DRNTG_10704.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLALRLATRQITFFSEVVGVPGVTATTPFQIKSLKRLDEDLNQFAEALKQGLNKSSG >DRNTG_10704.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13672425:13676004:-1 gene:DRNTG_10704 transcript:DRNTG_10704.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLALRLATRQITFFSEVVGVPGVTATTPFQIKSLKRLDEDLNQFAEALKQGLNKSSG >DRNTG_10704.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13672425:13676004:-1 gene:DRNTG_10704 transcript:DRNTG_10704.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLALRLATRQITFFSEVVGVPGVTATTPFQIKSLKRLDEDLNQFAEALKQGLNKSSG >DRNTG_10704.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13672425:13676070:-1 gene:DRNTG_10704 transcript:DRNTG_10704.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLALRLATRQITFFSEVVGVPGVTATTPFQIKSLKRLDEDLNQFAEALKQGLNKSSG >DRNTG_10704.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13672214:13676004:-1 gene:DRNTG_10704 transcript:DRNTG_10704.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLALRLATRQITFFSEVVGVPGVTATTPFQIKSLKRLDEDLNQFAEALKQGLNKSSG >DRNTG_10704.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13672214:13676070:-1 gene:DRNTG_10704 transcript:DRNTG_10704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLALRLATRQITFFSEVVGVPGVTATTPFQIKSLKRLDEDLNQFAEALKQGLNKSSG >DRNTG_10704.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13672532:13676070:-1 gene:DRNTG_10704 transcript:DRNTG_10704.10 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLALRLATRQITFFSEVVGVPGVTATTPFQIKSLKRLDEDLNQFAEALKQGLNKSSG >DRNTG_10704.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13672532:13676070:-1 gene:DRNTG_10704 transcript:DRNTG_10704.9 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLALRLATRQITFFSEVVGVPGVTATTPFQIKSLKRLDEDLNQFAEALKQGLNKSSG >DRNTG_27639.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18697694:18701651:-1 gene:DRNTG_27639 transcript:DRNTG_27639.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASKLVVFMILLCFIIISTSHLFVCLISLGNYDLNITLPQWLFNLTSLVYLDLSNCALHGKLPVTIGNLSSLRFLSLSYNSFDGMIPESLGNLSSLETLSLNSNMFNGGIPESINNLTNLVNLDLSRNEFQKLPKRFGGLKKLERLDLSENQVQGCIPESIGELENIKFLVFSENMMSGEIPESIGNLTVLQHFDGSQCHNLSGTLPETIGNLVDLQYLDLSKNMISGELPQSLGNLMQLRQFKMALNQITGQLPVSIGKLSNLSELDLSYNNISGTLPKSMGNLCNLMIMYLNDNFISGSIHDLLNKLSKCTQNMRFNGSDSPVGLTTLALGKNRFNSTVPESLGQFSNLMALFLSSNSFVGVLTEAHFTNLANLQSMDFSFNLLRLNVSENWIPPFNASVIRMCSCKIRSKFPSWIKTQANLGDLCLSDAEISGEIPSWFWNSGYVNLNLSHNNLEGNLPTSLEYNSFSNIDLSWNKFEGLIPNLDPSLLIAIDLSNNLFSGPIPSYFAAAEEVKVFSFSFNHFNGSIPLFFCNLTALMVLDLSNNDMSGELPLCWNQSSNLEILDLSDNNFSGTIPDCIFSLSYLRSLHLRKNGFSGNLPISLKKYADKLVTLDMGENKLSGSIPAWIGENLLSLVILRLRSNLFEGVIPEQLSNLSSLQVLDLANNKISGQIPHSFGDFKAMATSHVGSPLLSVYTYEPCIFLVSMILENGDSLNCASLERLQNRKMSIYSEYLFISAKGLETKFTKVLPLVKSVDLSGNLLSGELPDEITKLYGLRFFNLADNHFNGMIPENIGDMKQLESLDLSVNNFSGVIPSSLATLSFLNHLNLSHNRFSGKIPVGSQLQTFDASAYDWNDGLCGFPLSDCTNQIPDDSVHEGFHDNEEHGDWYDIWIYIGFSMGFIFGFWIFIGIIITKQSIRIAYFRAIDTVYDSIYLKIVLQSRRLKSIFRR >DRNTG_25730.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19985361:19987786:-1 gene:DRNTG_25730 transcript:DRNTG_25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELESTTRTSDAQVWQQITPTATDRPTSSNKGTMQTSTDDWKKTNKVALTIGLFILATCTALLVNAVTPKVLFFLLASYYLLLNLSLFIGIGLALCSIKNGGSNSITASSTAADQVVWMKGLMITGLTTTSFTLLLRGLMVHSYSYAWYVIFMIIGSAFLYLFMIWKLKRSSLFFKAISRKKILDIATNCHLNMPPHEEPQLVRC >DRNTG_25730.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19987137:19987786:-1 gene:DRNTG_25730 transcript:DRNTG_25730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELESTTRTSDAQVWQQITPTATDRPTSSNKGTMQTSTDDWKKTNKVALTIGLFILATCTALLVNAVTPKVLFFLLASYYLLLNLSLFIGIGLALCSIKNGGSNSITASSTAADQVVWMKGLMITGLTTTSFTLLLRGLMVHSYSYAWYVIFMIIGSAFLYLFMIWKLKRSSEN >DRNTG_25730.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19985361:19986820:-1 gene:DRNTG_25730 transcript:DRNTG_25730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLVNPMLDHQHLQNPHKLGNSTVGVLTEGELEN >DRNTG_10330.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3065276:3065653:1 gene:DRNTG_10330 transcript:DRNTG_10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKWQIAKSCSDSETCTTTSVAHKGRFTVYTCEGKRFMVPLEYLDSKIFQELFRMSEEEYGLPVDGSITLHCNEVFVKYIMLLLKKQVSKDVERALLSSILVPYQSSCSSLAVVHEQQVAVCGF >DRNTG_29983.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:4238742:4240704:1 gene:DRNTG_29983 transcript:DRNTG_29983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARRCEWKRSKAVRNVHELNKLDEPLLEETHGRVEFTHAHGVVLRAHPEKAQGSRHAPVRLERQTTLRIGHTRFPDVSTIMEIQWVEGIEDEIEDMLAVGSWDHLLSIRDPAIHALTLEVLATFEFNPSYFSFNSVSAIQFRALGQNHSLSMA >DRNTG_02964.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22500739:22501950:1 gene:DRNTG_02964 transcript:DRNTG_02964.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIKLSNTNNFRKPSSCFKQSDELDVFDAARYFSGSIDCVSNALREDKLMRKPAKKSLERATTSTPAAVNTAQASKKEITKDEPYKQPSSPCGSLADFLSLLFQSSLKKKLRYLEDGEKILELRDKSNVNSKAKVTSHEAWQDNKVAMINDDHTWMAEKARFRERFFKNYKFASNGTYSLEDQGKKINGDEFCGECMVPNNTELGAVENKWVLKGNSAWKDKVWANEMKAKERRGFKRVVLEEEDGGESDSSSDLFEIKSFCSGTLSSDLPVYGTTDMEFVKREAIAIAISIGSSARSARNGKDPNTYNNN >DRNTG_07878.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18863939:18870984:1 gene:DRNTG_07878 transcript:DRNTG_07878.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEVRRVSHQDIQLVQNLIERCLQLYMNQREVVDTLLMQAKIEPGFTELVWQKLEEENREFFKAYHVRLVLKDQIQLFNKLLDKQVELMRKICPSGVGSVSPSNGTNSSTLHQTPYFVNEQASASGPANLLCSGDPTSAMLNGGSTGNQPMQVNNDGPFCAATDISTSMLSTQNSNMGMLQGMNGTTIKSEPCYSNGAEFAFCADNGFLNARSGIEDASVASFGSSDLTGPPLNETLLDVDPSIGFLSQIPRSFSFSDLTEDFTQCNDILENYGRSPFLASEANNFSDSPLGDCKDETRRLGTISECLSHEDFGSD >DRNTG_07878.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18863939:18870984:1 gene:DRNTG_07878 transcript:DRNTG_07878.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEVRRVSHQDIQLVQNLIERCLQLYMNQREVVDTLLMQAKIEPGFTELVWQKLEEENREFFKAYHVRLVLKDQIQLFNKLLDKQVELMRKICPSGVGSVSPSNGTNSSTLHQTPYFVNEQASASGPANLLCSGDPTSAMLNGGSTGNQPMQVNNDGPFCAATDISTSMLSTQNSNMGMLQGMNGTTIKSEPCYSNGAEFAFCADNGFLNARSGIEDASVASFGSSDLTGPPLNETLLDVDPSIGFLSQIPRSFSFSDLTEDFTQCNDILENYGRSPFLASEANNFSDSPLGDCKDETRRLGTISECLSHEDFGSD >DRNTG_07878.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18863939:18870984:1 gene:DRNTG_07878 transcript:DRNTG_07878.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEVRRVSHQDIQLVQNLIERCLQLYMNQREVVDTLLMQAKIEPGFTELVWQKLEEENREFFKAYHVRLVLKDQIQLFNKLLDKQVELMRKICPSGVGSVSPSNGTNSSTLHQTPYFVNEQASASGPANLLCSGDPTSAMLNGGSTGNQPMQVNNDGPFCAATDISTSMLSTQNSNMGMLQGMNGTTIKSEPCYSNGAEFAFCADNGFLNARSGIEDASVASFGSSDLTGPPLNETLLDVDPSIGFLSQIPRSFSFSDLTEDFTQCNDILENYGRSPFLASEANNFSDSPLGDCKDETRRLGTISECLSHEDFGSD >DRNTG_07878.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18863939:18870984:1 gene:DRNTG_07878 transcript:DRNTG_07878.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEVRRVSHQDIQLVQNLIERCLQLYMNQREVVDTLLMQAKIEPGFTELVWQKLEEENREFFKAYHVRLVLKDQIQLFNKLLDKQVELMRKICPSGVGSVSPSNGTNSSTLHQTPYFVNEQASASGPANLLCSGDPTSAMLNGGSTGNQPMQVNNDGPFCAATDISTSMLSTQNSNMGMLQGMNGTTIKSEPCYSNGAEFAFCADNGFLNARSGIEDASVASFGSSDLTGPPLNETLLDVDPSIGFLSQIPRSFSFSDLTEDFTQCNDILENYGRSPFLASEANNFSDSPLGDCKDETRRLGTISECLSHEDFGSD >DRNTG_28091.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20512707:20513288:-1 gene:DRNTG_28091 transcript:DRNTG_28091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSNFNLYFSSSSSSSSSSSSHMPFSSSIMPISFLFLCILCCKTALGAAEITSNTTDHEALVSFMSMISKDPLRVLSTWRNQSLHHCQWTGVSCSNNHQPQRVTSLILDSLMLAGTLSPSLANLTFLQTIILSNNYFS >DRNTG_09798.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26831067:26836332:-1 gene:DRNTG_09798 transcript:DRNTG_09798.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17220) UniProtKB/Swiss-Prot;Acc:Q9SHI1] MASPASLATLGSTRPSGLDVSSPWAVSIRRIHVVSRISFNYYGETRRWCRSPARLCKCMVTTGFIEEKKASVSPESTFKSSSVGGGDGDADLVLKPAPKPVLKAPDDSINSTLWSPAKVAGKVRPELPVEGRENVLESLGEVLEKAEKLETSNSTKFVVDKDRRDNGKMSDNPKPPKPVSASSSLKKAKTLKSVWRKGNPVANMKRVVSEVPRAEKGKEEEKAPSLVVERKDSVNLPVTPSRPQMSLPPKPTPKLQARPPAAPLPPKPPVLNKPNSVRERKPILIDKFAPKKSAIDPIAAEAILAAPTKPGKGPPPTKVKDERRKRSSAAGSLRRRLADDAKIPEEDASELGVPIPGVKDTRKGRKWTKASRKAARLQAARAAEPVRVEILEVGEEGMLAEDLAFNLAVSEADILSYLYAKGVKPDMVQTLDKDMVKMICKEYDVEVLEVEPVRVEDMAKKNEMLDEEDLDMLEDRPPVITIMGHVDHGKTTLLDYIRKSKVVASEAGGITQGIGAYKVQIPVDGKLQPCVFLDTPGHEAFGAMRARGAKVTDIVIIVVAADDGVRPQTSEAIAHAKAAGVPIIVAINKVDKDGASPDRVMQELSSVGLMPEAWGGDTPMVQISALKGENIDELLETIVLVAELQELKANPHRSAKGTVVEAGLDKAKGPVATLIVQNGTLKKSDVVVCGEAYGKVRALFDDRGNRVDEAGPSTAVQVIGLSDVPIAGDRFEVVESLDVAREKAEACGVSLRAARISAKAGEGKVTLSSIASAVSAGKQGGLDMHQLNIILKVDVQGSIEAIRQAIQVLPQDNVTLKFLLQAPGDISASDADLAVATEAVIFGFNVKAPGSVKSYADKKNVEIRLYRVIYDLIDDMRKAMEGLLYPVEEQVSIGTANVRATFSSGSGRVAGCMVAEGKVVKDCGVSVLRKGKIIHTGKISSLRRVKEEVKEVAAGLECGIGMDDYNDWEAGDVIEAFNTIKKQRTLEEASASVTAALAGAGIQL >DRNTG_17428.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6063915:6067971:-1 gene:DRNTG_17428 transcript:DRNTG_17428.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPQVKRFTTEDVLAKLMIHTEQRFRDIDTKFDALTSELHALDTKCNARFEAIDATLLLVQASLHKLESRVEQLIQERAIELPTTNNNEKEERYDGREVDENFGVLEVKRLEEVSLISLELALRMEVTQNTKHKRDLSGMIEDIGRRLKPSNDPPLPMLDTSQPKLFPWRPKRFLWIINGKLIMVEEGDVGRKFTPSKDAPMLSLKFLQPNLYPWRSKRFLRKLQGNSANNRMGAGRMVKPTKDPPKFKLHNFRSKLFPWRPKGTMFMASTRSSSWKGGSFEVNSIHTASIWPVIKETSSWPFELPPPHFLEAYWPSSSDDQCGKRAHIITKRERSKGERVGVKF >DRNTG_29770.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1926700:1927530:-1 gene:DRNTG_29770 transcript:DRNTG_29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAGAPWYKIIFAAVKSTVTSAASVAAAIFTKFHLRRWRRRQLKAAPQAPVDTLFGHKTILVNVMYRRAHDCLCPRIGEAAVMAFVTALATRCAETLFRRHKPPPALVTPLPPAPVTPTRTGHPTITRTGHPTTTRTGHPTTTSDDPTKKP >DRNTG_20435.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4481735:4483556:-1 gene:DRNTG_20435 transcript:DRNTG_20435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRVDHRLKEVVAMVVVQSIYSCMTIFSKEAFTEGMSIIVFVVYRQAIASLLLIPTSIILNRGKINQLALGLKGFLLVFLTSFVGPTMHQYLYYNGLDLSLSSLAAAMTNTLPAATFLMALVFGVEKLKPKSLRTYAKIFGTLICVAGAVCMALYKGSKLHNINLLEEKWIKGFLFLTGSICCWSLWLILQAIICKNYLDPLSLATWVSFLSTMQSFILALIIETNSNAWIIKTVFQLLSCLFVGIFGSGVTFYLVSWVIASRGPVFSAMFAPLSLVITTILSALLLQENVYVGSLIGATAVVGGLYMVLWGKTEDYETKAKVDQKDDSTEQDDVQSSLHESLLIGRDLDIEGHPQNN >DRNTG_32300.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001817.1:86307:87789:-1 gene:DRNTG_32300 transcript:DRNTG_32300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYCDPSSTSSSPPAISRSGTINTFTPGKYLHNTPDCVPVPNASPALMIKLPLEVQALDLPKLQAKSPKNMMSSFLSFLPSGGCQEPSKSLDPGWNPNLNEDLIEVVYWLNEDLIDTVRGKETPQMHSKQYPYWLNEHLIEPECLQSINFLCATVIIPVSILGSIEAVCHDSISILETLQVL >DRNTG_05121.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30549649:30551628:-1 gene:DRNTG_05121 transcript:DRNTG_05121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWRRLAFPVRRVWLTLATRVRPRKTGGGILKLRNDVQTCGYQDVQVMWEILRRSEMEIPKENKRSFWRIPIWSNKTSSCVSDKDHQHHQQQQQKQKLKQKQKQ >DRNTG_05121.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30549971:30551628:-1 gene:DRNTG_05121 transcript:DRNTG_05121.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWRRLAFPVRRVWLTLATRVRPRKTGGGILKLRNDVQTCGYQDVQVMWEILRRSEMEIPKENKRSFWRIPIWSNKTSSCVSDKDHQHHQQQQQKQKLKQKQKQ >DRNTG_05121.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30550077:30551628:-1 gene:DRNTG_05121 transcript:DRNTG_05121.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWRRLAFPVRRVWLTLATRVRPRKTGGGILKLRNDVQTCGYQDVQVMWEILRRSEMEIPKENKRSFWRIPIWSNKTSSCVSDKDHQHHQQQQQKQKLKQKQKQ >DRNTG_25433.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24698007:24699122:1 gene:DRNTG_25433 transcript:DRNTG_25433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWLAFGPSHPNPSYRQNPHLRRHLARPSPRFICAPGHAAHVNVHAPQHQHPFFPSNIYALTPPPPPPPP >DRNTG_08360.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4107823:4109874:1 gene:DRNTG_08360 transcript:DRNTG_08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMLDYQSIVIIILLSSVTLLLILAFNFKLRSRGRKLPPGPWRIPVIGNLHQLGKLPHRTLRHLAEKYGPLMHLQLGQIPAIIVSSPEVASEIMKTHDLEFCSRPSNVVLMKFSYNGLDISLSKYGEHWRQMRRLATLEIFSMKRVQSFRTVREEEVHVLIQSIRQYSCSQGPVNLSEMFLCMTNNIICRQVFGKRFSEDGQCNRSKLHDLVMETVELMGGFSVGDFFPCLGCLSVITGFQGKIERNFKRMDEFFEGEIEEHCLSLMNDQGVHDDQEQEDFLDVLLKSQKDSANLSFSLTRDHIKAILMDMFLAGTDTSAATLEWAMTELMRCPSVMKKAQDEVQGVVKNKGKVEECDLQQLQYLKCIINETLRLHCIVPLLLPRESMKGCKVFGYDIAKNVRVLINAWAIARDPKFWENPETFMPERFEGNVINYRGQHFEFIPFGAGRRICPGMQMGVFAVEIALANILYHFNWELPFGICCKDIDMTETFGIVLHKKLPLCLQARPTNILV >DRNTG_29348.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17978351:17983042:-1 gene:DRNTG_29348 transcript:DRNTG_29348.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine 5'-monophosphate synthase [Source:Projected from Arabidopsis thaliana (AT3G54470) UniProtKB/Swiss-Prot;Acc:Q42586] MEDLIVDLHEIEAVKLGNFKLKSGISSPIYIDLRLIISYPSILSRIASLLHASLPSSTQPFDLICGVPYTALPIATAISISNSIPMLMRRKEVKSHGTAKSIEGSFRSGQCCLIVEDLVTSGASVLETAAPLRDEGLVVSDAVVVIDREQGGRENLAAQGITLHSLFTLSYMIAVLVSKGRVSDAVAKNVRDFLDGNRRVAVPVAPSVENKVVARVSFGARAGMAKNPTGRRLFEVMEEKQSNLCLAADVGTAEELLAIADEVAEKHNFLIFEDRKFADIGNTVTMQYEGGIFRILEWADIVNAHIISGPGIVDGLKLKGLPRGRGLLLLAEMSSSGNLAKGDYTLAAVKIAEQHSDFVIGFISVNPASWQCGPIDPSFIHATPGVQMVSGGDSLGQQYNTPYSVIHDRGSDMIIVGRGIIKASNPAAAAREYRIQGWDAYRASCK >DRNTG_11353.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23746132:23747144:-1 gene:DRNTG_11353 transcript:DRNTG_11353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNIVSGTSLSSPVIAEVATLLKIAHPDWSPAAIKSAIMTTADTLDRDGLPISDLYSMGAGHINPTKANDPGLVYDIVRDDYIAYLCGLGYTDKQVSAVARRIIECASIDPINAEELNYPSVSVALGSKAEKTITRSVTNVGESESLYSMQIKRPEGVEVSVYPEKLSFSEMNQTLTFSLYFSSGDVGAMRGGVSEGYLRWVSNKHIVRSPILVTFT >DRNTG_07629.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000344.1:46867:49521:-1 gene:DRNTG_07629 transcript:DRNTG_07629.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGQFYCLHCDRYFANMEIRDEHFRSKRHKKEAEANGWTSTTHPTGC >DRNTG_07629.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000344.1:46867:49568:-1 gene:DRNTG_07629 transcript:DRNTG_07629.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKCPHRKVKKRRFSHKTARRSKFLLKGDDAVYDELLKLAEVGEGKALPVDEDLPGMGQFYCLHCDRYFANMEIRDEHFRSKRHKKRLKLMDGPAPHTQLDADLAAGMGMPDNGPKLMSA >DRNTG_07629.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000344.1:46649:49521:-1 gene:DRNTG_07629 transcript:DRNTG_07629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQFYCLHCDRYFANMEIRDEHFRSKRHKKEVCLSFLFFFSSFYFLN >DRNTG_01064.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29866478:29867006:-1 gene:DRNTG_01064 transcript:DRNTG_01064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLWRKPHFRWVLRKAEGKDITCIRLGNVHVIVVNSPELAREFLKKNDAIFASRPKTMATEYSGRGFLSVVFTPWGDQWKKMRRVIVSHVVNHQQFQKMSKMRVEEADNLVWYIQHQSKAGEEINLRK >DRNTG_05242.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13016436:13017669:1 gene:DRNTG_05242 transcript:DRNTG_05242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDGSLDVSHNHARALGRCTLHQFINVWFSQQYDIGSKGITIPVNLSLDSDSNPTLCWGQDSPCRRHAQQISTIKAKINYTTFIKMLMEEPNFCSEMVSSLSLLISFQVRSLVVSVGAVPQLIQLLPELTLTTSPPLRKPVRIEGVPAHQSQLISIT >DRNTG_31204.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21596983:21599976:-1 gene:DRNTG_31204 transcript:DRNTG_31204.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin-like protein subfamily 3 member 3 [Source:Projected from Arabidopsis thaliana (AT5G20630) UniProtKB/Swiss-Prot;Acc:P94072] MPSSQMWEYAFFEKIFREEEAKRANSLVRSTEMYDPTLPEGPAGYSCKKPASVTVNDFTFSGFEKAGNTSNIIKAAVTPAFAAQFPGVNGLGISTARLDLAPGGVVPLHTHPGGTELLVVTEGCIQAGFISSANTVYYEILAEGDVMVFPQGLLHFQINVGDGNAVAIVSFNSPSPGLQITSIALFGSKNFPSSIIEKVTFLDDAQVKKLKGVLGGTG >DRNTG_17201.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:353403:354269:1 gene:DRNTG_17201 transcript:DRNTG_17201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWDTSTKSALTKIPLLTTRAGPRDGAAWTQRLKEEYRALIAYTSMNKSNDNDWFRISAANPEGTRWEGTCWYVHNLRRYEFQLQFDIPVTYPATAPEIELPQLDGKTHKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEVPILVDSGMVKHKDDEATSAES >DRNTG_00017.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21552170:21554297:-1 gene:DRNTG_00017 transcript:DRNTG_00017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKREISSTLRNLKFMQRASLKEPKPDKDEAKTKPDESFGSNPPPARRCIVIMEGDPHPEALKGRMSFQSFNPQIEKLNEEAANSQQKQECFANSKDQNSTISDRLNGPESATRSENLSVAPDVDLKRKQPEMDTESISPHNSQSGTTDDGEAQSSQNQRKSLHKQNKREKLDWNVLRPPKVQSRRQ >DRNTG_00017.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21552170:21554297:-1 gene:DRNTG_00017 transcript:DRNTG_00017.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDPHPEALKGRMSFQSFNPQIEKLNEEAANSQQKQECFANSKDQNSTISDRLNGPESATRSENLSVAPDVDLKRKQPEMDTESISPHNSQSGTTDDGEAQSSQNQRKSLHKQNKREKLDWNVLRPPKVQSRRQ >DRNTG_29247.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21483810:21485573:1 gene:DRNTG_29247 transcript:DRNTG_29247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRTKKRLDLLTSDQAAAPQEVPRKRGRPRKVIAVKEKADQEGDNIPDETGDEQQQQQQEHQQEEASSSRDAGKDEEKAIQEQNQEDEKPSSSRGRRKSRKPLKST >DRNTG_16759.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22086454:22087911:-1 gene:DRNTG_16759 transcript:DRNTG_16759.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHKGLHDFITYLRQRDCGGVIKIPAVNSMWTRVLFILPYSPETCSMLAIPRILLIVFLRWFCRRKNEPRDCMICRRMGTFFVFYTVHG >DRNTG_16759.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22086454:22086891:-1 gene:DRNTG_16759 transcript:DRNTG_16759.2 gene_biotype:protein_coding transcript_biotype:protein_coding ELACLPLTTETQWLYVLQLHDFITYLRQRDCGGVIKIPAVNSMWTRVLFILPYSPETCSMLAIPRILLIVFLRWFCRRKNEPRDCMICRRMGTFFVFYTVHG >DRNTG_06310.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2168067:2169276:1 gene:DRNTG_06310 transcript:DRNTG_06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMIKSIAARFVPSAFPCGRSLGGHMRSHLPSSSSFDPDDKLSPPFPPHSNTNKTKSYVLRDNPKKSCKLPSSSSSSSIEECFNPCFYSSDVEEEQEEDVALILMMLSRDTASSSWTGTGAGFNHESSDKNSIVFEDNARDYDVSDNEFNSLTLTKKRKSRYRYRYQCRACNKCFDTYQALGGHRASHKRTDKGSKKKIIESVECPALKKVKKEHQCSICLKVFSSGQALGGHKRSHIAATIAIATNTTNTDTDTEIVHHQKELESSPLMSELLDLNVPAVAVAAAAAADDDDVHVDEESNDSINVNGGESWWNGNLE >DRNTG_10578.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8644840:8646423:-1 gene:DRNTG_10578 transcript:DRNTG_10578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQQALSCSPLNLTKQHMPIIAHQDPVFKGKETTNGGPLTNSSVNQSKMKKKEIYKPY >DRNTG_07681.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23256859:23257490:-1 gene:DRNTG_07681 transcript:DRNTG_07681.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMATDDARAGEHQLSIVDSDGCSGPLSGPLNKRARFNLPEAAPARDDQMYVEITLDVREDSMGVHSVKAARDGECEDPEVTLLKKKKTLEKKASFGSTAIKSASSRIRQVSHELRRLASMTKKPSPGLMDRSRSAAAHALLGLKFISKTDGSAGWPAIEKKFDELAVDGALHRALFGQCI >DRNTG_07681.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23253059:23257490:-1 gene:DRNTG_07681 transcript:DRNTG_07681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMATDDARAGEHQLSIVDSDGCSGPLSGPLNKRARFNLPEAAPARDDQMYVEITLDVREDSMGVHSVKAARDGECEDPEVTLLKKKKTLEKKASFGSTAIKSASSRIRQVSHELRRLASMTKKPSPGLMDRSRSAAAHALLGLKFISKTDGSAGWPAIEKKFDELAVDGALHRALFGQCIGMKESKEFAGELFDGLARKRNIIGNSITKSELREFWDQISDPSFDSRLQTFFDMVDKNADGRINEEEVKEIILVSASANKLSKIQEQAEEYARLIMEELDPNNLGYVEIYNLETLLLQAPNQTARGGTGNSRNLSHMLSQKLKPTLEPNPMKRYYQRGRYFMEDNWKRAWVLALWLFICFALFTWKFIQYRRRAVYHIMGYCVCTAKGAAETLKFNMALILLPVCRNTITWLRNKTKLSFIVPFDDNLNFHKVIAAGIAVGVAVHGIVHLTCDFPRLLHASDQEYIPMKPYFGETRPDNFWWFVKGTEGWTGIIMVILMFIAFTLAMPWFRRGRLNLPGPFRRLTGFNAFWYSHHLFVIVYVLLFVHGFFLYLTHKWYKKTTWMYLSVPVILYGSERLIRALRSSVRPVRILKVAVYSGNVLALHVSKPHGFKYRSGQYIFVNCAAVSPFEWHPFSITSAPQDDYLSVHIRTLGDWTRQLRAVFSEVCQPPTGGKSGLLRADYDHRANSPCPNFPRVLIDGPYGAPAQDYEKYEVVLLVGLGIGATPFISILKDIVNNMKLLDSEHTNTSTTNHRGSRSFKTRRAYFYWVTREQGSFDWFKGVMNEVAEADKKGAIELHNYCTSVYEEGDARSALIAMLQSLNHAKHGVDIVSGTRVKSHFARPDWRHVYKRIALAHRDQRIGVFYCGAAGLTKELHQLALDFSRKTSTKFDFHKENF >DRNTG_07681.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23253059:23254059:-1 gene:DRNTG_07681 transcript:DRNTG_07681.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLDSEHTNTSTTNHRGSRSFKTRRAYFYWVTREQGSFDWFKGVMNEVAEADKKGAIELHNYCTSVYEEGDARSALIAMLQSLNHAKHGVDIVSGTRVKSHFARPDWRHVYKRIALAHRDQRIGVFYCGAAGLTKELHQLALDFSRKTSTKFDFHKENF >DRNTG_07681.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23253059:23256241:-1 gene:DRNTG_07681 transcript:DRNTG_07681.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDPNNLGYVEIYNLETLLLQAPNQTARGGTGNSRNLSHMLSQKLKPTLEPNPMKRYYQRGRYFMEDNWKRAWVLALWLFICFALFTWKFIQYRRRAVYHIMGYCVCTAKGAAETLKFNMALILLPVCRNTITWLRNKTKLSFIVPFDDNLNFHKVIAAGIAVGVAVHGIVHLTCDFPRLLHASDQEYIPMKPYFGETRPDNFWWFVKGTEGWTGIIMVILMFIAFTLAMPWFRRGRLNLPGPFRRLTGFNAFWYSHHLFVIVYVLLFVHGFFLYLTHKWYKKTTWMYLSVPVILYGSERLIRALRSSVRPVRILKVAVYSGNVLALHVSKPHGFKYRSGQYIFVNCAAVSPFEWHPFSITSAPQDDYLSVHIRTLGDWTRQLRAVFSEVCQPPTGGKSGLLRADYDHRANSPCPNFPRVLIDGPYGAPAQDYEKYEVVLLVGLGIGATPFISILKDIVNNMKLLDSEHTNTSTTNHRGSRSFKTRRAYFYWVTREQGSFDWFKGVMNEVAEADKKGAIELHNYCTSVYEEGDARSALIAMLQSLNHAKHGVDIVSGTRVKSHFARPDWRHVYKRIALAHRDQRIGVFYCGAAGLTKELHQLALDFSRKTSTKFDFHKENF >DRNTG_07681.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23253059:23254695:-1 gene:DRNTG_07681 transcript:DRNTG_07681.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLDSEHTNTSTTNHRGSRSFKTRRAYFYWVTREQGSFDWFKGVMNEVAEADKKGAIELHNYCTSVYEEGDARSALIAMLQSLNHAKHGVDIVSGTRVKSHFARPDWRHVYKRIALAHRDQRIGVFYCGAAGLTKELHQLALDFSRKTSTKFDFHKENF >DRNTG_07681.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23253059:23255499:-1 gene:DRNTG_07681 transcript:DRNTG_07681.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPYFGETRPDNFWWFVKGTEGWTGIIMVILMFIAFTLAMPWFRRGRLNLPGPFRRLTGFNAFWYSHHLFVIVYVLLFVHGFFLYLTHKWYKKTTWMYLSVPVILYGSERLIRALRSSVRPVRILKVAVYSGNVLALHVSKPHGFKYRSGQYIFVNCAAVSPFEWHPFSITSAPQDDYLSVHIRTLGDWTRQLRAVFSEVCQPPTGGKSGLLRADYDHRANSPCPNFPRVLIDGPYGAPAQDYEKYEVVLLVGLGIGATPFISILKDIVNNMKLLDSEHTNTSTTNHRGSRSFKTRRAYFYWVTREQGSFDWFKGVMNEVAEADKKGAIELHNYCTSVYEEGDARSALIAMLQSLNHAKHGVDIVSGTRVKSHFARPDWRHVYKRIALAHRDQRIGVFYCGAAGLTKELHQLALDFSRKTSTKFDFHKENF >DRNTG_27444.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28732132:28737580:1 gene:DRNTG_27444 transcript:DRNTG_27444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGNVGSEKRVSTRWILILCISSFGLGMLFTNRLWVTPEPGGHFISRRRQEQELQIISEDCTTKRKLGQDKDIMGEVTKTHEAIQSLDKTIATLQMELAASRSSQQIGVDGSPSITTATQQQRQKAFFVMGINTAFSSRKRRDSVRATWMPQGEKLQQLEREKGIVIRFMIGHSATSNSILDRAIDSEEAQHNDFLRLEHVEGYHELSAKTKTFFSTAVAKWDADFYIKVDDDVHVNLGMLAATLAKHRSKPRVYIGCMKSGPVLSQKSVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISINQPILHKYANEDVSLGSWFIGLEVEHIDDRSMCCGTPPDCEWKAQAGNVCIASFDWSCSGICKSVERIKEVHEKCGEGDGAVWGAI >DRNTG_02590.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000119.1:26460:30622:-1 gene:DRNTG_02590 transcript:DRNTG_02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRTFTNLIIIDVFNNSLSGNLPPNLGNRGLLQHLALGYNNFQGPIPISLKKAINLVRVRLERNQFTGDVSQSFGVHPYLYYIDLSFNKLSGTLSPSWGECLNLTSFKISGNRISGQIPLEIVQLPKLHLLDISSNNFVGNIPREFGKSPYIFQLNMSNNHLIGTIPPEFGGLSLLEVLDLSSNNLRGEIPIHLENCIKLNSLNLSDNQLSGVIHFQLGNLNLHDILDLSHNLFIGEIPQQLSKLMELRELNLSHNELVGSIPSSFQSMIGLISLDLSYNSLEGLIPESHFFQAAPLE >DRNTG_08071.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1804001:1805365:-1 gene:DRNTG_08071 transcript:DRNTG_08071.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEISDELLSAVVPVIVYWVFSFFYEFLAQHCVNYRLHPIGEEEEKNTVSRSKVIKGVLTHQALQILTVCIVTKVWEEKGEEPTVQHSLPRIAVQFVIGMAVIDTVQYFGHRYMHENKFLYKHTHSAHHALVVPYVYGAQYGSLLDGLVLDTMGSALAFVVSGMTVRTSIYFYSFAIIKNLDVHSGLYFPWNPLQGFFPNNCAFHDTHHQLKGQKYNFSQPFFLSWDLILGTYRPFTVEKRKEGGFQVCLLTKDD >DRNTG_33106.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001953.1:11921:14115:-1 gene:DRNTG_33106 transcript:DRNTG_33106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKMDTFFVSHGSPLLSIDDSIPARGFFKLWKSQVLREIPKTILVVSAHWETYAPTVNVISGLNDTIYDFHGFPKPMYQLKYPAPGAPKVARRVKELLQGAGFGPVEEEKARGLDHGSWVPLMFMYPEANIPVCQLSIQTMEDGTYHYNMGKALAPLRDEGVLIVGSGSATHNLRATGPDDAPIVSWALEFDAWLTNSLMNGSHEDVNNYEDKAPHAKMAHPSPDHFYPLHVALGAAGEQAKAELIHASFTESSLSYSSYRFYNI >DRNTG_34030.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16361745:16362121:-1 gene:DRNTG_34030 transcript:DRNTG_34030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKMNGSKRHAKLTESYKADKHHTHTLIH >DRNTG_00930.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21294912:21297729:-1 gene:DRNTG_00930 transcript:DRNTG_00930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transcription factor KAN4 [Source:Projected from Arabidopsis thaliana (AT5G42630) UniProtKB/Swiss-Prot;Acc:Q9FJV5] MATATSTTFPDLSLQISPPSVTTTTTTGDEQAGRALIGDYNSMTDSGSSGGSEASHEQPMFHRATRLSLGFDASQDNQILDQRLVQHCHHPHPQIYEFKRNSKQGNGGKRSIRAPRMRWTTTLHAHFVHAVELLGGHERATPKSVLELMNVKDLTLAHVKSHLQVPVPHHCSLGLGFQ >DRNTG_00930.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21294912:21297729:-1 gene:DRNTG_00930 transcript:DRNTG_00930.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transcription factor KAN4 [Source:Projected from Arabidopsis thaliana (AT5G42630) UniProtKB/Swiss-Prot;Acc:Q9FJV5] MATATSTTFPDLSLQISPPSVTTTTTTGDEQAGRALIGDYNSMTDSGSSGGSEASHEQPMFHRATRLSLGFDASQDNQILDQRLVQHCHHPHPQIYEFKRNSKQGNGGKRSIRAPRMRWTTTLHAHFVHAVELLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDRGAAGQPAQVAAAADMVVCVEKSGFIPSYTSLCSPTPPSQPLPNSNSNSPRGSYSSLEDNNWNPSIAPKAPSNPYLTHENFQRDENKNTRVSQSENIESGSPKKLPNLDITLGRQSWQMEHTESSNELTLLRCL >DRNTG_00930.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21294912:21297729:-1 gene:DRNTG_00930 transcript:DRNTG_00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transcription factor KAN4 [Source:Projected from Arabidopsis thaliana (AT5G42630) UniProtKB/Swiss-Prot;Acc:Q9FJV5] MATATSTTFPDLSLQISPPSVTTTTTTGDEQAGRALIGDYNSMTDSGSSGGSEASHEQPMFHRATRLSLGFDASQDNQILDQRLVQHCHHPHPQIYEFKRNSKQGNGGKRSIRAPRMRWTTTLHAHFVHAVELLGGHERATPKSVLELMNVKDLTLAHVKSHLQVPVPHHCSLGLGFQ >DRNTG_00930.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21294912:21297729:-1 gene:DRNTG_00930 transcript:DRNTG_00930.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transcription factor KAN4 [Source:Projected from Arabidopsis thaliana (AT5G42630) UniProtKB/Swiss-Prot;Acc:Q9FJV5] MATATSTTFPDLSLQISPPSVTTTTTTGDEQAGRALIGDYNSMTDSGSSGGSEASHEQPMFHRATRLSLGFDASQDNQILDQRLVQHCHHPHPQIYEFKRNSKQGNGGKRSIRAPRMRWTTTLHAHFVHAVELLGGHERATPKSVLELMNVKDLTLAHVKSHLQANQLRLQQLQTWWCVWRNLGSYLPTLLSAHQPHHHNHYQTPTLTLPEGHTHHLRTIIGILQ >DRNTG_16080.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25603743:25608357:-1 gene:DRNTG_16080 transcript:DRNTG_16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDMRRPGELAGEANGYVETDPSGRYGRFDEMLGKGAMKTVYRAFDELHGIEVAWNQAKICDVLRSPDALQRMYSEVHLLSTLHHDSIIKFHTSWIDVENRTFNFITEMFTSGTLREYRQKYRRVDIRAVKNWSRQILRGLVYLHGHDPPVIHRDLKCDNVFVNGHLGQVKIGDLGLAAVLRGSQAAHSVIGTPEFMAPELYEEEYNELVDIYSFGMCVLEMLTSEYPYSECSNPAQIYKKVTSGKLPDAFYRIQDAEAKHFIGRCLETASKRSPASELLLDPFLSCGDQLRPLPVLKVNSGDEFGLKSYGPSTPPHSPKRRTDMMITGKMNPEDNTIFLKVQIADKDGKVRNIYFPFDILSDTAIDVANEMVKELEIKDREPLEIAEIIDKEISNLAPDWKEALVNEEEHHVYSYGGENHPFYSMSSPSSASSSHCSMLEPGPSSRHREHCQEDWLRDDLYTDDDEDGQSCKYSNVSYNSGDELDSSNQREENKPTRFCSEEKQKISSPSETEFQRQCQLLQEGKKPVTDRKRMMRNRSMIDMRSQLLHKNLVEELNKRLFKTVGAVENIGFRMPWSRKGSSSSSPKREGKKQGNSWGRA >DRNTG_16080.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25603743:25608357:-1 gene:DRNTG_16080 transcript:DRNTG_16080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDMRRPGELAGEANGYVETDPSGRYGRFDEMLGKGAMKTVYRAFDELHGIEVAWNQAKICDVLRSPDALQRMYSEVHLLSTLHHDSIIKFHTSWIDVENRTFNFITEMFTSGTLREYRQKYRRVDIRAVKNWSRQILRGLVYLHGHDPPVIHRDLKCDNVFVNGHLGQVKIGDLGLAAVLRGSQAAHSVIGTPEFMAPELYEEEYNELVDIYSFGMCVLEMLTSEYPYSECSNPAQIYKKVTSGKLPDAFYRIQDAEAKHFIGRCLETASKRSPASELLLDPFLSCGDQLRPLPVLKVNSGDEFGLKSYGPSTPPHSPKRRTDMMITGKMNPEDNTIFLKVQIADKDGKVRNIYFPFDILSDTAIDVANEMVKELEIKDREPLEIAEIIDKEISNLAPDWKEALVNEEEHHVYSYGGENHPFYSMSSPSSASSSHCSMLEPGPSSRHREHCQEDWLRDDDEDGQSCKYSNVSYNSGDELDSSNQREENKPTRFCSEEKQKISSPSETEFQRQCQLLQEGKKPVTDRKRMMRNRSMIDMRSQLLHKNLVEELNKRLFKTVGAVENIGFRMPWSRKGSSSSSPKREGKKQGNSWGRA >DRNTG_33071.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:359417:363297:-1 gene:DRNTG_33071 transcript:DRNTG_33071.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIELPNMNSFIEESLGRAQDQKNSETDDQIERTGTLLTATSHIITSVIGSGVLSLAWAIAQLGWIAGPATLLAFAFITWFCSALLADCYRSPDPINGKRNHTYMDAVKANLGGVKVQLCGLAQYVMLIGVTIAYIITSGISMVAVKRSNCFHKNGIHAECYVSPSFYILIFACIQIVLSQIPNFHKIWGLSIIAAVMSFAYSLTALGLSIAMVAEKGLGRSSLTGATIGVDVSASDKVWRVFQSFGNIACAFTYSMVLIEIQDTLKSSPPENKVMKKASLIGVTITTMFYMLCGVFGYAAFGNNAPGNMLTGFGFYNPFWLVDLANICIVIHLIGAYQVFSQPIFQFVESSTHRKWPENYFLNYEHLITIPMIGQYRLSFFRLACRTLYIAATTFIAMIFPFFNDFIGLVGGIAFWPLTVYFPVEMYIKQAKILRFSGPWFWLHLMSSICFVASVLAAGASIQGLSHDFGNYHPFKNI >DRNTG_33071.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:359417:359992:-1 gene:DRNTG_33071 transcript:DRNTG_33071.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQYRLSFFRLACRTLYIAATTFIAMIFPFFNDFIGLVGGIAFWPLTVYFPVEMYIKQAKILRFSGPWFWLHLMSSICFVASVLAAGASIQGLSHDFGNYHPFKNI >DRNTG_33071.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:359417:363297:-1 gene:DRNTG_33071 transcript:DRNTG_33071.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAYSLTALGLSIAMVAEKGLGRSSLTGATIGVDVSASDKVWRVFQSFGNIACAFTYSMVLIEIQDTLKSSPPENKVMKKASLIGVTITTMFYMLCGVFGYAAFGNNAPGNMLTGFGFYNPFWLVDLANICIVIHLIGAYQVFSQPIFQFVESSTHRKWPENYFLNYEHLITIPMIGQYRLSFFRLACRTLYIAATTFIAMIFPFFNDFIGLVGGIAFWPLTVYFPVEMYIKQAKILRFSGPWFWLHLMSSICFVASVLAAGASIQGLSHDFGNYHPFKNI >DRNTG_33332.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3433113:3436266:-1 gene:DRNTG_33332 transcript:DRNTG_33332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAWATTQTVLQSRFHCCCSSSSSPSSPPSFTQFKTLAPANASPKLRSEFLGATFRIDAYQLERSFSSSFSVRMSWDGALSSVRLIIQGRNLELTEAVKKHVEDKVGKAVQKHSHLVREVDVRLSIRGGDFGKGPKLRRCEVTLFTKRHGVVRAEEDAETLYGSIDLVSSIIQRKLRKIKEKESDHGRHMKGFSRLKVREPELRQVEGEVKSEEKEEYPELLQPVSVEEDKEDIVSEVVRTKYFEMPPLTVMEAMEQLENVDHDFYAFRNDETGEINILYKRKDGGYGLIIPKEDGKVEKLEKLAADSMREPSVAEQISG >DRNTG_15176.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18371795:18372215:-1 gene:DRNTG_15176 transcript:DRNTG_15176.2 gene_biotype:protein_coding transcript_biotype:protein_coding METMVMIVGHPRHRRRSNSGHQSDPSGGVKDEEGGMAVICVTIGCGDGGGLSESRERERIRQAGINYNSI >DRNTG_15176.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18371795:18374806:-1 gene:DRNTG_15176 transcript:DRNTG_15176.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMVMIVGHPRHRRRSNSGHQSDPSGGVKDEEGGMAVICVTIGCGDGGGLSESRERERIRQAGINYNSI >DRNTG_23724.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:806882:808712:1 gene:DRNTG_23724 transcript:DRNTG_23724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAVEEEMKRFSGRTHGYSRDLLQRLGSTSCYEEKEEFVRRDSDEIELSLGLSLGGCFGEERKEKGGLVRSSSIASMSMMGRELEFTVVKGIARASSLPAETEEELRKRKEIQSLKRLEAKRKRLERMTSRSMVGVGRDRSEESVDEELERSGVELGGNLPTALTRWPANGAGGLGPVSQRSIGSQGSCSSVVSELDTTAMQGLNSRCAHSIKPMPDGTNRKTGTVPATVGGKRINCNREGDDPMRRNVRTRNGLSEMEKSMMQEMPCVSTRGDGPDGRRIEGFLYKYRKGEDVRIVCICHGRFLTPAEFVKHAGGGDVAHPLRHIVVSQFPSVFL >DRNTG_12746.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25822454:25824320:-1 gene:DRNTG_12746 transcript:DRNTG_12746.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLEKPAPGETSVAPPPLQHHEGEDADDAEKLSRKFSTTSLCSTENNDDDEPEDDEEDDKNLQVPLGPQVPLKEQLEMDKDDESLRRWKEQLLGSVDLSDVGDVAEPEVKIQSLTIVTPNRPDLVLPIPFVPNAKGYAFCLKDGSRYRLKFSFTVTNNIVSGLRYTNTVWKTGVRVENTKVMLGTFSPQKEPYIYELEEETTPSGIFARGSYSAKTKFVDDDGKCYLDISYFFEIRKDWPTPS >DRNTG_32332.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24545997:24551093:-1 gene:DRNTG_32332 transcript:DRNTG_32332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVRWPPEDSDLFPSRPPPAATEMVSDDDRSVAADSWSIKSDYGSTLDDEQRHADAAEILSVSTFPAASDSSSDKEDSDANEAEPSILGLQSYWDATYAEDLVNFHEHGHAGEIWFGVEVMDVVASWTKNLCTNMVGGGNLANGSSNKSESKDKACGDLSKWSVLDIGTGNGLLLQELAKQGFSDLTGIDYSEKAINLARNLAERDGFSSIKFLVDDVLGTKLDRKFQLIVDKGTFDAVGLHPDGPVKRMVYWEAVSNLVTACGLLVITSCNNTKDELLAEVDDFNKRIRSKNLVQYPGTEPTDVFQYLDHVCTYPTFVFGGVEGSHVSTVAFIRK >DRNTG_14333.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:7412646:7415826:-1 gene:DRNTG_14333 transcript:DRNTG_14333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYGYHDRSPSRKKNFSTSLRVSGALKHPKYAGDVNDIAFLIENDDSFRKTKLFKLFLLPKKSRSDGQTRKESLVPSHHYTPSS >DRNTG_14779.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30032784:30036486:-1 gene:DRNTG_14779 transcript:DRNTG_14779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRWLRCGFSIGEWLYKEKALQPMPGCWVFGCGCDVGDFLAEAVLEGPGSW >DRNTG_32528.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:19452487:19454692:1 gene:DRNTG_32528 transcript:DRNTG_32528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIHPKKTQGRAAAYYSSRMSREATWGVWLPLWSRSTRAWVFFASCEKSSQRSVFHERARSARALIMAPRSKKKADKRPHEASPEPEGMRFAIPELQVDEFADEIEDLISEGGWRQLLTIREPAI >DRNTG_19911.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:6095047:6095726:1 gene:DRNTG_19911 transcript:DRNTG_19911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSALSSPLLLQPPIASKTLTRSPLLPLRTLATRRRIATRPFSPFAVPIRATVAIEKETPENERPETFLRASDDLLSSSSSSSPLSVRARFEKMIRETQDEVCAAIEVADGGGSFKEDVWSRPGGGGGISRVLQDGAVWEKAGVNVSVVYGVMPPEAYRAAKGDVGGNSGAKAGPVPFFAAGI >DRNTG_34200.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:919468:920850:1 gene:DRNTG_34200 transcript:DRNTG_34200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMHADEEMMDLRRGPWTVEEDLLLVNYIANHGEGRWNSLARHAGLKRTGKSCRLRWLNYLRPDVRRGNITPEEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDVMKYLWMPRLIERIKAASGVSPAITGVLQNSPSSHHHLIINDGVLESSSTVVSRPSSESSSFGAVSSFSESFEAPPATVSGLPEGADSGGLCGWPEEQSLMSPGFMEFDQAWWNGDMISDGLWNNVEDSSWFL >DRNTG_32789.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001918.1:13174:14519:-1 gene:DRNTG_32789 transcript:DRNTG_32789.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYQLDCNNRQQAHADHACNHPSTVTTKDGFIAAYPNHLHTRLTTHTPEFLGLNLQNGLWNSSGHGEGIIIGVLDGGVLPNHPSFSDEGMLKPPLKWKGRCDFNASLCNNKIIGARTFLNGITGMAPMDEDSHGTHTASTAAGNFVARAEVLGNAKGIAVGMAPKAHLAIYKVCGLETCASSDILVAMDATIYDGVDVLSISLGSLILQPFFENSIVVGAFSAIEQGVFVSCSAGNGGPIASVITNDAPWILTVAASTMDRRILVRVKLGNGIVIDGESVNQDQHNLPAGFLPLVYAGASGKADASFCGNGSLDGLDVKGKIVYVIEVGTLLELTKERQCGLQVGWEWYSQTKLPMHAYSILADVHVLPASHVSYSDGLKIKEYINTSSNPTPRISFLGTKLGTSPAPAITSFSSRGPSLASPGILKPDITGPGVNVLAAWPFPVLPD >DRNTG_09014.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8481764:8482998:1 gene:DRNTG_09014 transcript:DRNTG_09014.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSNPEHQARFERLSALGFGQTCFVHLQVLKDIQHGDEVTNEIEEMLAVGSRRRLLTISEPAYHALTLEILTSFDFRLIHGRFDTTEAIQFRAFGHPFFMSVTEFTIRTSLYDVAYIGTVEYGRLPVDFPVSMTPYHAYWILCGHGEFEPGLSKAFSLSRFSYRYLHAVINRSVTGRVGNTAALTKLDLLFLYSMARNVPLHLGCILADILQ >DRNTG_20350.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23405801:23411234:-1 gene:DRNTG_20350 transcript:DRNTG_20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDHGKLFIGGISWDTNEDRLRDYFSRFGEVVEAVIMKDRTTGRARGFGFIVFADPAVAERVVMEKHMIDGRLVEAKKAIPRDDQNILGRQTSSVHGSPGPARTKKIFVGGLPSSITGDDFKKYFLQFGPISDVVVMYDHSTQRPRGFGFITFESEDSVDKALFKTFHELNGKMVEVKRAVPKELSPGPVIRSPFTGYNYGMHRINSFINGFPQGFSPSSLAGYGMRTEARFSPLASGRNGYPAFGAGYGMGMNFEPGFNSSFGGNSNYNNSVSYGRGLGAYYGGSPSRYASAIGYGGGNLGTGTGIGSIGRNVWGNGGLNFTTNSMNSSAYMTSGSGDLGAFGSSSLNWGGSSPPISAQGGVSNASYAGENLGFGSGGNSFGLGGNSFGRSSATVPATTSLNDPGDSYGGKYADLYGSSSVYGDPTWRSGSSDLDASGPFGYGLGGATSDVTTKDSAGYAAGYSVTNRQHNRGIAS >DRNTG_05223.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000236.1:15366:18379:1 gene:DRNTG_05223 transcript:DRNTG_05223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLEKHLARFVQSANTRFESVEATLRNLTASLHNLENQVGKIAKSLSERPHRSLPSNTETNPREHVKAIALRSGHEVEGRLPSEKPKEHAPEVVEEMFNPDPYEGLFDQEESIEEVLMLGSIGEETSTPGILKKVLRKMKRARRRHQKWPKTVGDVHEPRELDEQLLGQRSKLIRGHMSHPLSPRACNLLFLNIRPVMSAYRDSASDRLDSLTRLFYEIFSREMSSLRRLRNLFQRGVGGSC >DRNTG_06248.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15039711:15043783:-1 gene:DRNTG_06248 transcript:DRNTG_06248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEVTELNSVPTSPPRTPKGFGFGAGANAVPCAGSSQMAHPISAPPSQLHSPSLSRSPLLAPATPKTAPRTPMTPMTPRTVPMTPKTAPMTPKSGLRTPRFMTPLASPMRKAIVTMKGYLEEVGHITKLDPHDAWLPITESRNGNVYYAAFHTLTSGVGFQALVLPLAFTYLGWTWGILCMTVAFFWQLYTLWLLIQLHEVEPGTRYSRYLQLAKTAFGPKLGKILVLVPIMYLSAGICSATLVVGGQSLKLFFELVCGQTCESQPLTAIEWYLVFTCLAGVLAQLPNLNSIAGVSLVGAVTAVAYCTLIWVISVVKGRLPGVSYDPVKTNTTLDKVIHILNALGIIAFSFRGHNLVLEIQGTMPSTLKHPSRIPMWRGVKVAYLLIAFCLFPIAIGGFWAYGNKIPSAGILIALYQFHEQDTSRALLGLTALLVVINCLGSFQIYAMPVVDHMESGYTTKHNKPCPRWRRFANRVFFTAVSFLIAVAFPFLSDLSALFGGIALPVTLAYPCFMWIVIKKPKRYSAMWYINWSSGIIGMVLSVILIVGGVWGLVDTGIKLRFFKPQ >DRNTG_05774.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000269.1:47503:50558:1 gene:DRNTG_05774 transcript:DRNTG_05774.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNQCNGESTLDADYIPKFDTPAHQEHYTCLSRHHFGESWEIDWDVLRELERGLRLGFRGVVAKVLERFYGSDIVIP >DRNTG_13207.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2577606:2581149:1 gene:DRNTG_13207 transcript:DRNTG_13207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKMKSRVSEEEAANPEVEDDQPHRETLAQEKSLYEILGVERTASQQEIKKAYYKLALRLHPDKNPGDEEAKEKFQLLQKVISVLGDEEKRALYDQTGCVDDEGLVGEAAHNLQEFFRSVYKRVTEADVEEFHANYRGSDSERKDLKDLYAKFKGNMERLFCSMLCSDPKLDSHRFKDIIDEAIAEGELQSTKTYKKWSKQVSATKPPTNPLARRGKPKKQPENDLMALIAQRRDQRKEQFNSVLSSIISKCNDNLTVEPSEEEFQRARQRLESDKQTKKRKR >DRNTG_01959.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32611069:32612606:-1 gene:DRNTG_01959 transcript:DRNTG_01959.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPMNTDVKQRKTSARGQRTRPAGRAYAKELTDTEGEKKVDTDMNMITMFDILRKNKSIRLENLVLNRTSFAQTVENLFTLSFLVKDGRVSITVNMNEHHIVSPKNAPAATSITSGDASYNQFIFRLDFNDWKLMINIVKDGEDVMPHRNFQTG >DRNTG_01959.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32611069:32613082:-1 gene:DRNTG_01959 transcript:DRNTG_01959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGVKKRKLGSGTGSPQPFRDQPDALGVEERRVLRSRYIAIQKFINDIMKGSLEKFWPIMTHVDSLHKMVNKPREQVADAVALLDIANTLVTSVRSQTNGELTPSDFLTSLIKNSGKIYGGSLLENVPNTKIWVDVGLIVAPILRISPAFHTMVGPMNTDVKQRKTSARGQRTRPAGRAYAKELTDTEGEKKVDTDMNMITMFDILRKNKSIRLENLVLNRTSFAQTVENLFTLSFLVKDGRVSITVNMNEHHIVSPKNAPAATSITSGDASYNQFIFRLDFNDWKLMINIVKDGEDVMPHRNFQTG >DRNTG_26571.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20226360:20228979:-1 gene:DRNTG_26571 transcript:DRNTG_26571.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERNRRAASFVLPRSLSPTPATVTMGSKSDRHSRSRRMSLSPWRSRPKTTEDTTDHHHFRRASSPAEPVVTEKKSLWSWKVMRALSRIGMRRVCCLFSIEVITIQNLPVSMNGLRLAIAVRKKESKDGCVRTMPVRVLQRSVDFEETLFIRCHIYCTGGIGTGKPLKLEPRQFVISAEAVDAPELDLGKSNVDLSDLVKESIERSLEGDPVRQWDAVHLQQA >DRNTG_26571.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20225264:20228979:-1 gene:DRNTG_26571 transcript:DRNTG_26571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERNRRAASFVLPRSLSPTPATVTMGSKSDRHSRSRRMSLSPWRSRPKTTEDTTDHHHFRRASSPAEPVVTEKKSLWSWKVMRALSRIGMRRVCCLFSIEVITIQNLPVSMNGLRLAIAVRKKESKDGCVRTMPVRVLQRSVDFEETLFIRCHIYCTGGIGTGKPLKLEPRQFVISAEAVDAPELDLGKSNVDLSDLVKESIERSLEGDPVRQWDAVHLQQA >DRNTG_06001.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19557164:19557790:1 gene:DRNTG_06001 transcript:DRNTG_06001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPQAQGKPNTPPTLMMKLSPLNLALDLPKPQATTLFKITSSFLLFFVSAGCQVSSQVSPRKSSSIYITHPPPKWPPSLVALYRAALKPFAVIRFMTRKPTRCYSGSYSNLVTVPLLQYSNYSTLLQ >DRNTG_34627.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:95112:96770:1 gene:DRNTG_34627 transcript:DRNTG_34627.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSTPVPFFHVSTHSSLSPLLYHPLLLLSLIFLVPHHHHHHHHHHHCFLISLTLMERRGGCCIVRYGAEPDVAWKMDRIMLRFRPIAPKPTVDGAVAAAAPALPPPKTGRKRRGNPTSTSTGVTAKDGGGRGRKVLKKVENLNFNLKTLALMPEMPERKEEVKTTTTTTTEKKMVTTYVPAVWRVGMGTTGEVVVPRPVRPAGSWVTVECVTDTWREVIGWGCEEEARRRAVMDTCPVFLSGPLDRVTWTNEAYRRMVVGEDDGEEEEEEEEEEVRVALVTRGMVPQESMAFTCRVRVRYACRKGKGSLAAPCDVWRLGDGVLAWRLDVKAALSLSLGR >DRNTG_34627.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:94713:96770:1 gene:DRNTG_34627 transcript:DRNTG_34627.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSTPVPFFHVSTHSSLSPLLYHPLLLLSLIFLVPHHHHHHHHHHHCFLISLTLMERRGGCCIVRYGAEPDVAWKMDRIMLRFRPIAPKPTVDGAVAAAAPALPPPKTGRKRRGNPTSTSTGVTAKDGGGRGRKVLKKVENLNFNLKTLALMPEMPERKEEVKTTTTTTTEKKMVTTYVPAVWRVGMGTTGEVVVPRPVRPAGSWVTVECVTDTWREVIGWGCEEEARRRAVMDTCPVFLSGPLDRVTWTNEAYRRMVVGEDDGEEEEEEEEEEVRVALVTRGMVPQESMAFTCRVRVRYACRKGKGSLAAPCDVWRLGDGVLAWRLDVKAALSLSLGR >DRNTG_34627.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:95112:96829:1 gene:DRNTG_34627 transcript:DRNTG_34627.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSTPVPFFHVSTHSSLSPLLYHPLLLLSLIFLVPHHHHHHHHHHHCFLISLTLMERRGGCCIVRYGAEPDVAWKMDRIMLRFRPIAPKPTVDGAVAAAAPALPPPKTGRKRRGNPTSTSTGVTAKDGGGRGRKVLKKVENLNFNLKTLALMPEMPERKEEVKTTTTTTTEKKMVTTYVPAVWRVGMGTTGEVVVPRPVRPAGSWVTVECVTDTWREVIGWGCEEEARRRAVMDTCPVFLSGPLDRVTWTNEAYRRMVVGEDDGEEEEEEEEEEVRVALVTRGMVPQESMAFTCRVRVRYACRKGKGSLAAPCDVWRLGDGVLAWRLDVKAALSLSLGR >DRNTG_34627.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:95407:96982:1 gene:DRNTG_34627 transcript:DRNTG_34627.5 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGGCCIVRYGAEPDVAWKMDRIMLRFRPIAPKPTVDGAVAAAAPALPPPKTGRKRRGNPTSTSTGVTAKDGGGRGRKVLKKVENLNFNLKTLALMPEMPERKEEVKTTTTTTTEKKMVTTYVPAVWRVGMGTTGEVVVPRPVRPAGSWVTVECVTDTWREVIGWGCEEEARRRAVMDTCPVFLSGPLDRVTWTNEAYRRMVVGEDDGEEEEEEEEEEVRVALVTRGMVPQESMAFTCRVRVRYACRKGKGSLAAPCDVWRLGDGVLAWRLDVKAALSLSLGR >DRNTG_34627.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:95112:95850:1 gene:DRNTG_34627 transcript:DRNTG_34627.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSTPVPFFHVSTHSSLSPLLYHPLLLLSLIFLVPHHHHHHHHHHHCFLISLTLMERRGGCCIVRYGAEPDVAWKMDRIMLRFRPIAPKPTVDGAVAAAAPALPPPKTGRKRRGNPTSTSTGVTAKDGGGRGRKVLKKVENLNFNLKTLALMPEMPERKEEVKTTTTTTTEKKMVTTYVPAVW >DRNTG_34627.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:95407:96829:1 gene:DRNTG_34627 transcript:DRNTG_34627.6 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGGCCIVRYGAEPDVAWKMDRIMLRFRPIAPKPTVDGAVAAAAPALPPPKTGRKRRGNPTSTSTGVTAKDGGGRGRKVLKKVENLNFNLKTLALMPEMPERKEEVKTTTTTTTEKKMVTTYVPAVWRVGMGTTGEVVVPRPVRPAGSWVTVECVTDTWREVIGWGCEEEARRRAVMDTCPVFLSGPLDRVTWTNEAYRRMVVGEDDGEEEEEEEEEEVRVALVTRGMVPQESMAFTCRVRVRYACRKGKGSLAAPCDVWRLGDGVLAWRLDVKAALSLSLGR >DRNTG_34627.9.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:95407:95850:1 gene:DRNTG_34627 transcript:DRNTG_34627.9 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGGCCIVRYGAEPDVAWKMDRIMLRFRPIAPKPTVDGAVAAAAPALPPPKTGRKRRGNPTSTSTGVTAKDGGGRGRKVLKKVENLNFNLKTLALMPEMPERKEEVKTTTTTTTEKKMVTTYVPAVW >DRNTG_34627.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:95407:96770:1 gene:DRNTG_34627 transcript:DRNTG_34627.7 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGGCCIVRYGAEPDVAWKMDRIMLRFRPIAPKPTVDGAVAAAAPALPPPKTGRKRRGNPTSTSTGVTAKDGGGRGRKVLKKVENLNFNLKTLALMPEMPERKEEVKTTTTTTTEKKMVTTYVPAVWRVGMGTTGEVVVPRPVRPAGSWVTVECVTDTWREVIGWGCEEEARRRAVMDTCPVFLSGPLDRVTWTNEAYRRMVVGEDDGEEEEEEEEEEVRVALVTRGMVPQESMAFTCRVRVRYACRKGKGSLAAPCDVWRLGDGVLAWRLDVKAALSLSLGR >DRNTG_34627.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:94713:96829:1 gene:DRNTG_34627 transcript:DRNTG_34627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSTPVPFFHVSTHSSLSPLLYHPLLLLSLIFLVPHHHHHHHHHHHCFLISLTLMERRGGCCIVRYGAEPDVAWKMDRIMLRFRPIAPKPTVDGAVAAAAPALPPPKTGRKRRGNPTSTSTGVTAKDGGGRGRKVLKKVENLNFNLKTLALMPEMPERKEEVKTTTTTTTEKKMVTTYVPAVWRVGMGTTGEVVVPRPVRPAGSWVTVECVTDTWREVIGWGCEEEARRRAVMDTCPVFLSGPLDRVTWTNEAYRRMVVGEDDGEEEEEEEEEEVRVALVTRGMVPQESMAFTCRVRVRYACRKGKGSLAAPCDVWRLGDGVLAWRLDVKAALSLSLGR >DRNTG_08052.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30329263:30329825:1 gene:DRNTG_08052 transcript:DRNTG_08052.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAFLEDRDGLEWTGLEKEAEKKERK >DRNTG_03969.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26333278:26336394:-1 gene:DRNTG_03969 transcript:DRNTG_03969.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate kinase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06200) UniProtKB/Swiss-Prot;Acc:Q94JM2] MEGALLASSLHLPLFSSMLPLRRIFTASLASRSVLPRLVSNTLVSCFPHRNPLGFRNKSLASPLMASGFSTDDSRRPPFAPLPPPDADEVELFRGLEATLGSSFSSDPLSPPPHPLVIVISGPSGVGKDAVIKKLREVREGIHFVVTATSRAKRPGEVDGKDYYFVSKEEFLSMVDKHELLEYALVYGDYKGIPKQQIRDFMAKGYDIVLRVDIQGAATLRSILGSSAVFIFLVAESEAALVKRLINRKTETSEMLLVRVATAREEVKRMKDFDYVVVNAGGQLEEAVKLVGSIIDSEKARVRQRRAVI >DRNTG_31265.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19901255:19902277:1 gene:DRNTG_31265 transcript:DRNTG_31265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAQTSWELVLAEIVDLVVETEDVSLPNPNENEFYSFVGRNSDSASAPVHPHGDPDGVGCLPSSSDHSEVLSLDIGQRFDGVEHFRDVLQNHAIKQNFDFKFIKNEKHRVTVECAADGCRWRLHASKEYNKNTFRIKTINPSHTCGGGIGSASHPKASKKWVSARVIQKFKDRPLYKAIDIQKDMLREHGVHIPYKQAWLGKEHARVVLDGSDISSYDYLLWYVDK >DRNTG_06848.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6154441:6160615:1 gene:DRNTG_06848 transcript:DRNTG_06848.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 3.1 [Source:Projected from Arabidopsis thaliana (AT1G68570) UniProtKB/Swiss-Prot;Acc:Q9SX20] MEPDMENNTNIKNNKKRLGGLRTMPFIFANEVAEKLAVVGFAANMITYLTQQLHIPLAKSATTLTNFSGTASLTPLLGAFIADAYIGRFWTITIASVLYQIGMISLTISAVLPHFRPPPCGGNQVCQEATSWQLGVLYVSLLLTALGAGGIRPCVVAFGADQFDESNPKEKTRTWNFFNWYYFCMGVSMLLAVTVIVYIQDNVGWGWGFGIPTIAMAMSIVSFVGGYGMYRRLEPAGSPFTRLVQVMVAAYKKRKLPMVSDPSFLYENDEVDAAISLFGKLGHTKNFRFLDKAAIVTEEESTEIQKNNQIPNPWKLSTVHRIEEIKSLIRMSPVWSAGILVITASAQQGTFSLQQARTMDRHLFSTSFQIPPGSMSVFTMLAMLLTITLYDRILIPLTRRFTGLNRGISFLHRMAIGFFISVLATLIAGFIELKRKHVAAAHHLLDEPLTMVPISVFWLVPQYALHGVAEAFTSIGHLEFFYDQAPESMRSTATALFWLAISAGSYVSTLIVSMIHRFSARPDGSNWLPDNLNRGKLEYLYWIVTLLQFLNLLYYGVCVRFYTFKPLQLKVAVNEEDVGDVGKSGKEGGA >DRNTG_06848.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6154441:6160615:1 gene:DRNTG_06848 transcript:DRNTG_06848.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 3.1 [Source:Projected from Arabidopsis thaliana (AT1G68570) UniProtKB/Swiss-Prot;Acc:Q9SX20] MISLTISAVLPHFRPPPCGGNQVCQEATSWQLGVLYVSLLLTALGAGGIRPCVVAFGADQFDESNPKEKTRTWNFFNWYYFCMGVSMLLAVTVIVYIQDNVGWGWGFGIPTIAMAMSIVSFVGGYGMYRRLEPAGSPFTRLVQVMVAAYKKRKLPMVSDPSFLYENDEVDAAISLFGKLGHTKNFRFLDKAAIVTEEESTEIQKNNQIPNPWKLSTVHRIEEIKSLIRMSPVWSAGILVITASAQQGTFSLQQARTMDRHLFSTSFQIPPGSMSVFTMLAMLLTITLYDRILIPLTRRFTGLNRGISFLHRMAIGFFISVLATLIAGFIELKRKHVAAAHHLLDEPLTMVPISVFWLVPQYALHGVAEAFTSIGHLEFFYDQAPESMRSTATALFWLAISAGSYVSTLIVSMIHRFSARPDGSNWLPDNLNRGKLEYLYWIVTLLQFLNLLYYGVCVRFYTFKPLQLKVAVNEEDVGDVGKSGKEGGA >DRNTG_07465.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26836841:26838342:-1 gene:DRNTG_07465 transcript:DRNTG_07465.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLPLVMEPESGFYADPVVVLDFQLLCPSMIIGYDLYLSTCMGKVGPSRETVLGVNCYTPDQKILMDLKQ >DRNTG_10742.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000457.1:38001:39707:-1 gene:DRNTG_10742 transcript:DRNTG_10742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVKKNEVKRLRVTSPEPIHMEFSNPEHQARFERLSALGFVVHTPLAFETIRIMGLVRRCGLGVYIIASATPETAGSGGDTVEDSRQVPRSRAAQGPRAYDRIESLKSDVREIRTEIEELRVIQSAQYTDIMARFDFLRDLLRSSSPASPTPPSPIPAPVDPPYVFPPPAVAEESAERDTDI >DRNTG_11665.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:209678:212357:-1 gene:DRNTG_11665 transcript:DRNTG_11665.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF641) [Source:Projected from Arabidopsis thaliana (AT5G58960) TAIR;Acc:AT5G58960] MASISDLIHRVTSTCLTHPIARAHARRDVIEDEEETIEEEEEEEEELDGNEEEEEEERIMEKPGRIRDMETLMVEVFEAVSVMKRAYISLQEAHCPWDPEKMRFADGAVVSELKRLARLRDRFRRGGIGVSVAAAAPIREMVAPYEAALEELRRELKLKETEVENLKEKLKSPTPRKKSRFHGSKRVSCSTALTTPAPPTSELFETIMEQVKEASKSFTAHLLSLMRSARWDIAAAVRSIMDGDGEGEKGINGVEPQHAKHALESYVSKRMFHGFENETFYIDGTLASLLNPAEFRRDSYAQFKDIRGMDPAELLGILPTCHFGRFAAKKYLAIVHAKMEESLFGGLEQRRAVMGGGHPRTGFYGEFLRLAKAVWMLHLLAFALEPAPAHFEASKGAEFHPAYMESVVRFASGRVPSGLVVGFSVGPGFKLGNGSLVRARVYLVPKGQVLVD >DRNTG_11665.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:209678:213190:-1 gene:DRNTG_11665 transcript:DRNTG_11665.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF641) [Source:Projected from Arabidopsis thaliana (AT5G58960) TAIR;Acc:AT5G58960] MNRIEVVKMRNRGCDMTLEQHNVQLFTPLRFRNEEEEIGETKTKKTKTKTKEMASISDLIHRVTSTCLTHPIARAHARRDVIEDEEETIEEEEEEEEELDGNEEEEEEERIMEKPGRIRDMETLMVEVFEAVSVMKRAYISLQEAHCPWDPEKMRFADGAVVSELKRLARLRDRFRRGGIGVSVAAAAPIREMVAPYEAALEELRRELKLKETEVENLKEKLKSPTPRKKSRFHGSKRVSCSTALTTPAPPTSELFETIMEQVKEASKSFTAHLLSLMRSARWDIAAAVRSIMDGDGEGEKGINGVEPQHAKHALESYVSKRMFHGFENETFYIDGTLASLLNPAEFRRDSYAQFKDIRGMDPAELLGILPTCHFGRFAAKKYLAIVHAKMEESLFGGLEQRRAVMGGGHPRTGFYGEFLRLAKAVWMLHLLAFALEPAPAHFEASKGAEFHPAYMESVVRFASGRVPSGLVVGFSVGPGFKLGNGSLVRARVYLVPKGQVLVD >DRNTG_11665.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:209678:213394:-1 gene:DRNTG_11665 transcript:DRNTG_11665.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF641) [Source:Projected from Arabidopsis thaliana (AT5G58960) TAIR;Acc:AT5G58960] MLPYLCIGFGKLLPRNKKSERMNRIEVVKMRNRGCDMTLEQHNVQLFTPLRFRNEEEEIGETKTKKTKTKTKEMASISDLIHRVTSTCLTHPIARAHARRDVIEDEEETIEEEEEEEEELDGNEEEEEEERIMEKPGRIRDMETLMVEVFEAVSVMKRAYISLQEAHCPWDPEKMRFADGAVVSELKRLARLRDRFRRGGIGVSVAAAAPIREMVAPYEAALEELRRELKLKETEVENLKEKLKSPTPRKKSRFHGSKRVSCSTALTTPAPPTSELFETIMEQVKEASKSFTAHLLSLMRSARWDIAAAVRSIMDGDGEGEKGINGVEPQHAKHALESYVSKRMFHGFENETFYIDGTLASLLNPAEFRRDSYAQFKDIRGMDPAELLGILPTCHFGRFAAKKYLAIVHAKMEESLFGGLEQRRAVMGGGHPRTGFYGEFLRLAKAVWMLHLLAFALEPAPAHFEASKGAEFHPAYMESVVRFASGRVPSGLVVGFSVGPGFKLGNGSLVRARVYLVPKGQVLVD >DRNTG_20211.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001103.1:17619:18854:-1 gene:DRNTG_20211 transcript:DRNTG_20211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHLDILDSKWTRFYNQYDYMVLSGSPWFYKPSIIFEKSEVIGCHYCPGLELKEYGAARAYRKALQLTLNFIAASEHKPFVIVRTWPPSHYEAGDSPTERVCNRTKPFREGEISGAPADLNMREVEIEEYEKAAPIGARNGVRIELLDFYHPFLLRPDGHPGPYGTYHPFDGGKKQNDENDCIHWCLPGPIDNVNDMLMKMVINGDIHDSASAML >DRNTG_20211.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001103.1:17619:18687:-1 gene:DRNTG_20211 transcript:DRNTG_20211.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHLDILDSKWTRFYNQYDYMVLSGSPWFYKPSIIFEKSEVIGCHYCPGLELKEYGAARAYRKALQLTLNFIAASEHKPFVIVRTWPPSHYEAGDSPTERVCNRTKPFREGEISGAPADLNMREVEIEEYEKAAPIGARNGVRIELLDFYHPFLLRPDGHPGPYGTYHPFDGGKKQNDENDCIHWCLPGPIDNVNDMLMKMVINGDIHDSASAML >DRNTG_27677.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19530003:19532904:1 gene:DRNTG_27677 transcript:DRNTG_27677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINGAMNQLTKNLACEWAKDNIRCNCIAPGLIKTPLARWLHENKELLDKVVSQVPLGRIGEPEEVASLTAFLCVPAASYITGQVICVDGGRSVYVCDEISFNE >DRNTG_10745.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26133323:26138621:1 gene:DRNTG_10745 transcript:DRNTG_10745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDFDFSAGDEMMNDGMGMPNEPPALKVGEEKEIGKDGLKKKLVKEGEGWETPEVGDEVEVHYTGTLLDGTQFDSSRDRGTPFKFKLGQGQVIKGWDEGIKTMKKGENAIFTIPSELAYGSSGSPPTIPPNATLQFDVELLSWASVKDICKDGGVFKKIIKEGEKWENPKDLDEVLVKYEARLDSGTVISKSDGVEFTVKEGFFCPALSKAVKTMKKAEKVLLTVKPQYGFGEKGRPASGDEGAVPPGATLNIDLELVSWKTVTEVGDDKKILKKVLKEGEGYEHPNEGAVVRVKLVGKLADGTVFVKKGHDDEEPLEFKTDEEQVIDGLDQAVLTMKKGEVALLTIPPEHAFGATESKQDLAIVPPNSTVSYEVELVSFEKEKESWDLNTAEKIEAAGRKKDEGNALFKAGKYARASKRYEKAAKFIEYDSSFSDEEKKQAKALKVTCNLNNAACKLKLKDYKQAEKLCTKVLEIDSCNVKALYRRAQAYIHLVDLDLAEVDIKKALEIDPNNRDVKLEYRTLKEKVKEYNKKDAKFYGNIFAKMSKLDHMESNDEESKQEPMTIDSAA >DRNTG_29948.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21381293:21382509:-1 gene:DRNTG_29948 transcript:DRNTG_29948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDYLALLTGGRHIIANGEHGWMSRLTCEEQKCGAVVCFGCHTKNSRDTKYPHIKAINFDLLHVVSKARAIQGVEFVGGDMFESVPTGDGIFMKVLRQEITDGVVLQDSTISGQAARQRGAEDEEVRERAVLEEARRKAKSHGLN >DRNTG_18698.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14805559:14809274:1 gene:DRNTG_18698 transcript:DRNTG_18698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLEKALTRFVQLSTTRFESVEDALRNHNASLHNLENKVGQIVKSLSERPHGNLPSNIETNTREQVKTITLRSGREVDGRLPIPPTSGILKKVLRKLKRARRHHRKRSKAVGDVREPNKLSLQNFLRHPKKTHKHVELTHARGFVLRAHPEKAQGRGLAFVNDHAILAHRWIISARACQVLQRLEDFPESTQGRGLTHVGDLVKIAQAWVCSPAPVKLSSGGMAPNSRRQEGKHPREPSPELAHIVFLNPEYQARFERLSGLRELEALMAVGGWQRLLSIREPVFWPLTLEFVWAYTTRLTRSCAYRDSMNRESVPIHLGHAVVDYIRYQGQNDKTVIPSPLGLDTLRMMGMVRRYGPGAYILATTTTESAEGGRDVVEGSAPMATETSGCL >DRNTG_18005.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24229793:24230790:1 gene:DRNTG_18005 transcript:DRNTG_18005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYQDAMSAAMQWENQVKDCLKQNEELRHLLDKMRSEQVNPLQSSDGNIPSYPDTEKIVGNMSTSPASAVEYQLLKV >DRNTG_16148.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000797.1:7722:12580:-1 gene:DRNTG_16148 transcript:DRNTG_16148.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHSDRKSYHLKSVKATLAAVWERATLEIVGQIVKSLSQRPHGSLPSNTETNPREHVKAITLRSGREVEGCYSSQSGGCGGGMVTEKVVPVCEDEP >DRNTG_30486.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:639640:641995:1 gene:DRNTG_30486 transcript:DRNTG_30486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVNWEAENLTNFTVQARGTSSFDELQKKKSPGLSWDTLCSSCQEVYTDAVAADGVTQTSLECGICLEKFMLGDELIKLQCGHRFHLTCLEPWVRSSGDCPYCRASIIYQ >DRNTG_30486.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:639640:641995:1 gene:DRNTG_30486 transcript:DRNTG_30486.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLFRLFSLLIRQTPATGAISWDEFISTSDFNLMDSVNWEAENLTNFTVQARGTSSFDELQKKKSPGLSWDTLCSSCQEVYTDAVAADGVTQTSLECGICLEKFMLGDELIKLQCGHRFHLTCLEPWVRSSGDCPYCRASIIYQ >DRNTG_29620.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20025983:20029492:-1 gene:DRNTG_29620 transcript:DRNTG_29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLHAPLRPKRKKQWVDFLVQFRWVVVIFVVLPISFTIYFLTYLGDIRSELKSFKRRQREHDENVLKVVNRLKQRNPKKDGLVCTARKPFIAVGMRNVDYKRARHFEVDLSAFRNILDIDKESMIARVEPLVNMGQISRVTVPMNLALAVVAELDDLTVGGLINGYGIEGSSHLYGLFSDTVVAMEVVLADGRVVRATKDNEYSDLFYAIPWSQGTIGFLVSADIRLIPVKEYMKVTCKPVRGNLKELAQAYADSFAPRDGDPAKVPDFVETMIYTQTEAVVTTGKYASKQEAQRKGNAINELGWWFKPWFYQHCQTALTRGQFVEYIPTREYYHRHTRSIYWEGKLILPFADQWWFRWCFGWLMPPKVSLLKATQGEAIRNYYHDMHVIQDMLVPLYKVSDALEFLHNEMEVYPVWLCPHRLFKLPVKTMVHPEPGFELHHRQGDTNYAQMYTDIGLYYAPAAVLRGEEFNGVEAVRRFEKWLIQSHGFQPQYAVSELSEKDFWRMFDGELYEECRRKYKAVGTFMSIYYKSKKGRKTEKEVYEAEQAMLESAYAEKTSLG >DRNTG_29620.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20025983:20027940:-1 gene:DRNTG_29620 transcript:DRNTG_29620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLHAPLRPKRKKQWVDFLVQFRWVVVIFVVLPISFTIYFLTYLGDIRSELKSFKRRQREHDENVLKVVNRLKQRNPKKDGLVCTARKPFIAVGMRNVDYKRARHFEVDLSAFRNILDIDKESMIARVEPLVNMGQISRVTVPMNLALAVVAELDDLTVGGLINGYGIEGSSHLYGLFSDTVVAMEVVLADGRVVRATKDNEYSDLFYAIPWSQGTIGFLVSADIRLIPVKEYMKVTCKPVRGNLKELAQAYADSFAPRDGDPAKVPDFVETMIYTQTEAVVTTGKYASKQEAQRKGNAINELGWWFKPWFYQHCQTALTRGQFVEYIPTREYYHRHTRSIYWEGKLILPFADQWWFRWCFGWLMPPKVSLLKATQGEAIRNYYHDMHVIQDMLVPLYKVSDALEFLHNEMEVYPVWLCPHRLFKLPVKTMVHPEPGFELHHRQGDTNYAQMYTDIGLYYAPAAVLRGEEFNGVEAVRRFEKWLIQSHGFQPQYAVSELSEKDFWRMFDGELYEECRRKYKAVGTFMSIYYKSKKGRKTEKEVYEAEQAMLESAYAEKTSLG >DRNTG_33838.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002043.1:16846:18312:-1 gene:DRNTG_33838 transcript:DRNTG_33838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDWIKRVNIEQDIAQALSYLHHDCNPPIVHRDITSNNILLDEEFKGCVANFGAAAGVVPWLEDSGLASHFCGLSCFVDNIC >DRNTG_16755.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11139492:11216437:-1 gene:DRNTG_16755 transcript:DRNTG_16755.1 gene_biotype:protein_coding transcript_biotype:protein_coding ACLTVRPTGRTETKVGHSDPGSPVWKGSRSTDQRYAGDNRLMTPKSSYRRSRLAPRCRLITSWG >DRNTG_16755.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11139492:11140142:-1 gene:DRNTG_16755 transcript:DRNTG_16755.7 gene_biotype:protein_coding transcript_biotype:protein_coding ACLTVRPTGRTETKVGHSDPGSPVWKGSRSTDQRYAGDNRLMTPKSSYRRSRLAPRCRLITSWG >DRNTG_16755.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11165404:11216437:-1 gene:DRNTG_16755 transcript:DRNTG_16755.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASTEMRKERVTQDDFGEQVEYTRARRENHVEGTRQNDPVTSGEGVLSS >DRNTG_16755.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11165404:11216437:-1 gene:DRNTG_16755 transcript:DRNTG_16755.5 gene_biotype:protein_coding transcript_biotype:protein_coding NFQEKTSNWEGDPPGELTVPQTDTGEQVEYTRARRENHVEGTRQNDPVTSGEGVLSS >DRNTG_16755.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11139492:11216437:-1 gene:DRNTG_16755 transcript:DRNTG_16755.2 gene_biotype:protein_coding transcript_biotype:protein_coding ACLTVRPTGRTETKVGHSDPGSPVWKGSRSTDQRYAGDNRLMTPKSSYRRSRLAPRCRLITSWG >DRNTG_02366.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15121335:15123996:-1 gene:DRNTG_02366 transcript:DRNTG_02366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLQNDLTRKEGIPHRQGRHGVDREHSQNLNSTRKTHFQWNSIMAHSISQLTLTPRSQRREY >DRNTG_29976.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001533.1:9230:18184:-1 gene:DRNTG_29976 transcript:DRNTG_29976.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGVPLHLGYVVTDYFRHQSEYLRLGALFAGPYITHLMFKMGLICSVRGEERVSTLAPLGLATLRLMGIVRRTGSGGYALVESSSGDDEEPNEATEAPPAAEPIVTEAAPAEIGPDLESSSLEFTRRPCSTRGCRYYHS >DRNTG_28315.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29388947:29390632:1 gene:DRNTG_28315 transcript:DRNTG_28315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELAGAPGWSQLSRDLIEVIFSKLPLRSLVRSAAVCRHWRTVVTDPSFPSPHRRRPWFFIYGHNNVSPHLNQAFAFDPDDSAAAWVPLRLPLCPPDYFSGSGGFFLATTSSSSLLVSPLPRSHLFHPTPPLSFPRSNPLVSVFAPGPKLLVVGGARLIGGLVDIEAPLATEIFDPSTDPSSWQLCPPLPHDFRSGNSSQWLSSALVSGRHFFVHGIYSSLISSFDLHLRSWSPVRLLRPPGVLFSFLLPSRSSTLLLAALATNPDASPSLFIWSVDSTSLAFDRIGAMPPEMLSQLFDGGADDDSRFASLKCVGLDGLVYVFNEDHSKAYPAAYCQVDGSTCTWRLVPSLPFPVNRFHKVIAFCSPVPLASLFPNFSLI >DRNTG_01555.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:8809791:8811586:1 gene:DRNTG_01555 transcript:DRNTG_01555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVKHNLHSHNPKSQNGIQLLSFHYLHQCTQFAQLLHCRSIPHHFCKGLELLAQILVVPWRVCQVSLLIDAQGF >DRNTG_16111.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1540735:1548426:-1 gene:DRNTG_16111 transcript:DRNTG_16111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKETVCVTGGSGFIGSHVIRLLLHRGYTVHATVQHLDDEAETKHLQAMDAAHHRLKLFQIDLLDFDSILAAVHGVSGVLHIASPCIVDLVRDPERELIEPAVKGTINVLRAAKESGVKRVVVTSSISSMVPNPGWPADVVMDEECWTDLEYCKQNGIWYPASKTMAEKAAWEFARENGLDVVVINPGTVMGPIIPPGINASMAMFRRLLEGCSDQYMNFFIGPVHVEDVALAHILLYENPAASGRHLCIESICHWSDFASKAAELYPEYKIPSFPKDTQPGVLRAQNPSKKLFALGMSFIPMEQIIKDAVESLKSKGYI >DRNTG_05644.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22379791:22382751:1 gene:DRNTG_05644 transcript:DRNTG_05644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIAAAQHHLPAKDPRLNLYGPILLLLAAILLLLSLSFSSSPSPSPSRSNPLPISSSPPPPSLAYLLSGSDGDASRLLRLLHAVYHPRNLYVLHLDLAASRDQRLRLARSVQSVPTFRRHGNVHVIGRPGRADPRGSSTIAVVLHGAALLLRISDGWSWFLNLHASDYPLVSQDDLLHVFSVLPEDLNFVQHTSNLTWRETHRLKPIIVDPGLHLSSKADVFYTSEKRQLPNAFRVFTGSASVILSRKFIEHCILGTDNLPRTLLMYYANTPSSHRNYFQTVLCNSPAFNRTIVNHHLHYEIWDTPPKRDPRMLNLKRL >DRNTG_04674.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30369343:30372062:1 gene:DRNTG_04674 transcript:DRNTG_04674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYIALDYEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPSIVHRKCF >DRNTG_14316.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14628908:14629623:-1 gene:DRNTG_14316 transcript:DRNTG_14316.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGSAAAGDEVHGGSTGAGIGDGGAEKPRGLDKSSVAGQMASRRLALRSLFVSPPQRSRASQSDLGLEIVKTYARGVGPLSPEMPRSL >DRNTG_02058.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000103.1:30354:34876:-1 gene:DRNTG_02058 transcript:DRNTG_02058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKLQFGSKKYNKRPKFSGATVTSGMLQ >DRNTG_02058.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000103.1:30354:30848:-1 gene:DRNTG_02058 transcript:DRNTG_02058.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKLQFGSKKYNKRPKFSGATVTSGMLQ >DRNTG_19464.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:19619822:19624310:1 gene:DRNTG_19464 transcript:DRNTG_19464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNSYQCNAREKKKVAGLHEIDAVTSLAAQVESLGKKLDLIASNRVAAVTNCTGYGGGHAPSDCPIVIGNVSSVENVDFVGNVMRPQGNPYSNTYNSGWKNHPNFSWSNQGPQKTMGPSGFQQQQQAPQVENRISGLETRMTDLEKHLARFVQSANTRFESVEATLRNHTASLHNLENQVGQIAKSLSERPHGSLPSNKETNPREHVKAITLRSGREGDEFADEIEDLVSEGGWRQLLTIREPAIREFTLEVPSSFEFDRAYASFDSLGTIQFRVFGRHHSLSITQFSVLLGLYEEAFTT >DRNTG_21098.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23303455:23310724:1 gene:DRNTG_21098 transcript:DRNTG_21098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGTYPMSLEDHPCGRKGWSAKTHPSEGMPIKRFASKMARREPTPPVQEPRLDSREEVSTGSPVPCGFDYPTHRIATAFATARCYSTDPKHYRVHVFIENGKCLTRSPCVYPASARVCRSRVVRPIMKERSQCGSKCTNFGGNLAKVQRRRHRKLFMADTVVEHFSNSVASIVAALFTTGRENRSSENPHGRVEIINARVEIPHGRLKHPCPPSRSIQALFKAKSARFYYSFLHLFPT >DRNTG_06157.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25393612:25394941:1 gene:DRNTG_06157 transcript:DRNTG_06157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKEKDLETKVNEMKLDNQKDGKEGETNAKKVVEEEAEEEVKMEVEVKTGVSFPVKLPDGKALNSVGIRKKKILALGINVYTFGIYADNAALGKLLVDKFTKGAPEKPTKELYEAVINSDVGMMVRLVIVFGGLTMSMVRKNFDEGLGSSIKKLNGGQKNEELVNKVMGEAKDSIKLPSKSIIEITRLPGFVLQTKIKDELVSQVESELLCRAFFHMYLGDDPFDKEAKDKFGERLLTLF >DRNTG_10276.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20181746:20184416:-1 gene:DRNTG_10276 transcript:DRNTG_10276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSPSPTPSNKTPDTSIRKSGSFHNLSKHSISPDVHQRSSGSKAGTPSRSLRASLELKENERDQHSLRQGKVKSPAMASLKNFMAPTISASSKVVAASPRRRILGDRNEMVSVSVSDSPKSETRMMDLEIKKSSEDVVVPKFPISPVCDSLPPYDPLTNYLSPRPQFLRYNPNRRVEKCYLKKDVAFFDEPEGDGISDDSFLSDCFTDITEEMQSSDLQKSSQEEEEEEEEEEEVQGETKPQIVHIQTTRSTSRSKLVPFLLVLVMACLCIPLSDSPLVVSPSSVLKEGSLAKVEIREYLTDIAAFAKLNLEGLSDRMSHWSVNTMSYLATMPWLQKDSDFGVFHLPNISTTASDEDVAIDFFSFSETRPNQEHWNVELETEQFLIEDVEELEEEVEELENGGFLGPEPEVKEVEETDEVNESEMGLVAFHDVLENDHEVPTVEEVAKEDYTGVASVVEGKTEESPLSIHPVSGGLGIVENQEDIDELNSIVEILPVAGFDGKQSIKAAKEDSMAAASKMERKTEESLLGIHPVSDELGMVENQIDTHVLNSTVEMLPVAGFDGKHSTLKSKEIMNHGAKIFVAVIAVIGAIVVLYLNRRKSSADGNHGLDDKYDNVMQKFTEEVEMAGYSGSSDGSSLNNKTSYDQQRARGAKLEDSLGHERSLRRDSSALSSISYGSFTTYERLSAKKGAKDESAVTPVRRSSRIRNQVVSP >DRNTG_23936.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5842082:5844250:-1 gene:DRNTG_23936 transcript:DRNTG_23936.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSVFDGKPPSVPEELWEVFISKKSKKMLKKLPELPGIKWRNVEKLPEGLPVKQTKRKNKKKKQKREHSKHKKSIIKQYIESLEKFEQKERVWITLKEYFPKEVKELLEELDEDNDEMMQVETYRAISVGEYPICNQNEDEDSFSESEELYDRHGRPYISKKQKKKMAFRERVKKANAKLSEPHYSEKPKNPYYTPKTPKYKILRKVMPAKEIPSFIRIVIEESANRLMQLRIADNKCDTESSGDENDTSQTRESTQESTLHITRVQMAFINPWMI >DRNTG_10470.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15353084:15353466:1 gene:DRNTG_10470 transcript:DRNTG_10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGAKSIGAGAATIALAGAAVGIGNVFSSLIHSVARNPSLAKQSFGYAILGFALTEAIALSEERGKVQLLGSRPCLVRPRAQPVMLLQLPQFQ >DRNTG_33747.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32370197:32373248:-1 gene:DRNTG_33747 transcript:DRNTG_33747.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRQMALSSPSISFSCSSSPPISRLPKPPPSITPSLSNPFLRSLPLLRNPRITRSLTPFRSPTRSLFTGIVEEMGEVLHLGPNDTGGIELQISAKTVLSGVHLGDSIAVNGTCLTVSSFDPEASHFTVGLSPETLRKTSLFGISPGDSVNLERALQPISRMGGHFVQGHVDGTGEIVSMVPEGDSLWVKVRAPPEIVRYVVPKGFITVDGTSLTVVGVYDEECCFDFMLVAYTQQKVVIPLKKVGEKVNLEVDILGKYVEKLLKCGIGAGEDAGLS >DRNTG_22371.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18616959:18617914:-1 gene:DRNTG_22371 transcript:DRNTG_22371.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQRLSSMFTSTVFKKNERTKGTGLSTDSIVDDVLAEFAPDEKDREEWRRRGSISRMLGPRGSFTPAQNSNELPLNPANQMNAIDSSDAKLETVSVKSSLPENDISDHGKDDPMEIVTEEDVMDPNFDSKSKGNVTEMKDANEEIEVEAAKSENGFSVNAKIKVEGDDVMLRTTAGCGATCNDVIVGLGGVNLANASIDDKTELVLDSDGSLPFYIIDAHEESFGANAGTLYLFGK >DRNTG_22371.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18613227:18617914:-1 gene:DRNTG_22371 transcript:DRNTG_22371.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQRLSSMFTSTVFKKNERTKGTGLSTDSIVDDVLAEFAPDEKDREEWRRRGSISRMLGPRGSFTPAQNSNELPLNPANQMNAIDSSDAKLETVSVKSSLPENDISDHGKDDPMEIVTEEDVMDPNFDSKSKGNVTEMKDANEEIEVEAAKSENGFSVNAKIKVEGDDVMLRTTAGCGATCNDVIVGLGGVNLANASIDDKTELVLDSDGSLPFYIIDAHEESFGANAGTLYLFGKVKEGNAYQSCCVVVKNMQRCVYAVPNGAVFPGGRIMEFEENIANSKASPSDFHTALQEMAAGLKSELTNRLLALNVSNFSMMPVKRNYAFERADIPRGEQYVLKINYPFKDPPFPTDLRGEHFLALLGTNSSALELFLIKRKIQGPSWLWISRFACCPASQRVSWCKYEVVVDCPKDLRVSASKAALEIPPVIVAAINLKTIIGERHTANEIVSASIICCHKAKIDSPISAKELERPGMLSSFTVVRKLGGGIFPVGLAKEAASRNSNAGSNVLALES >DRNTG_22371.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18615955:18617914:-1 gene:DRNTG_22371 transcript:DRNTG_22371.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQRLSSMFTSTVFKKNERTKGTGLSTDSIVDDVLAEFAPDEKDREEWRRRGSISRMLGPRGSFTPAQNSNELPLNPANQMNAIDSSDAKLETVSVKSSLPENDISDHGKDDPMEIVTEEDVMDPNFDSKSKGNVTEMKDANEEIEVEAAKSENGFSVNAKIKVEGDDVMLRTTAGCGATCNDVIVGLGGVNLANASIDDKTELVLDSDGSLPFYIIDAHEESFGANAGTLYLFGKVKEGNAYQSCCVVVKNMQRCVYAVPNGAVFPGGRIMEFEENIANSKASPSDFHTALQEMAAGLKSELTNRLLALNVSNFSMMPVKRNYAFERADIPRGEQYVLKINYPFK >DRNTG_22371.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18616089:18617914:-1 gene:DRNTG_22371 transcript:DRNTG_22371.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQRLSSMFTSTVFKKNERTKGTGLSTDSIVDDVLAEFAPDEKDREEWRRRGSISRMLGPRGSFTPAQNSNELPLNPANQMNAIDSSDAKLETVSVKSSLPENDISDHGKDDPMEIVTEEDVMDPNFDSKSKGNVTEMKDANEEIEVEAAKSENGFSVNAKIKVEGDDVMLRTTAGCGATCNDVIVGLGGVNLANASIDDKTELVLDSDGSLPFYIIDAHEESFGANAGTLYLFGKVKEGNAYQSCCVVVKNMQRCVYAVPNGAVFPGGRIMEFEENIANSKASPSDFHTALQEMAAGLKSELTNRLLALNVSNFSMMPVKVGCDSRGVDLRLLCHFWKSFFLTC >DRNTG_10829.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5033602:5036912:1 gene:DRNTG_10829 transcript:DRNTG_10829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNRLLWDASWTVAGRILQLSPQQESFQLAFEKLSMVAFWIKIFHLSMELWGGDILEMVASQFGRVLKVDEHTLDYFRAKFARVCVEDDLSQPRQLSTWLCRINHGEAHCSFPSNHLQLEQPMPPELVV >DRNTG_18231.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2763369:2765829:1 gene:DRNTG_18231 transcript:DRNTG_18231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLVFTVKRQEPVLVGPAKPTPHEFKCLSDIDDQEGLRFLIPVIQFYRKDPSMGGKDPVKVIREALGKALVFYYPFAGRLKEEIGRKLVVECNGEGVLFIEADADVSLEDFGDGLQPPFPCLEELVFDVQGSGGVLHSPLLLIQVTRLKCGGFVFGTRLNHTMSDAQGLVQFLNAVAELARGATTPSIIPVWSRELLNARTPPRPAFAHHEYDDVPDTNGTIIPLDDMVHRSFFFGPREIRALRARMPHHLRTSSTFEILTACLWRSRTIALKPDPEEEVRIICIVNARGKDGKKLPEGYYGNAFSFPVAVASVKRLCGNPVGYALELVKKAKGEVNGEYLQSVADLMVLRGRPHFTVVRSYLVSDVTRAGFGDVDFGWGKAVYGGPAKGGVGAIPGVASFYIPFRNGKGEDGIVVPVCLPGDAMERFVKEIEGLVSGDDGLLGEQQQQPPVQILSAL >DRNTG_04172.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:501893:506124:-1 gene:DRNTG_04172 transcript:DRNTG_04172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLFKIKDQKREESGNATGRAPVKKQSAGELRLHKDISELNLPKTTGITFPNGKDDLMNFEISIRPDEGYYLGGTFLFTFQVSPSYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIIYGLNLLFSQPNDEDPLNHDAAAVLRDNPRLFENNVKRAMAGGFVGQIYFPRCI >DRNTG_07052.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3793500:3794559:-1 gene:DRNTG_07052 transcript:DRNTG_07052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMGALYALSWTLDHFMAANSSTLARPRSLIVFHAQQPFQQFVVPAGQGVFVSASVTDSMRKAQEENSAHVLAKAIKVCEERNVIAETLVMDGEPKEMICQAVQQMSVDLVVVGSRGLGKFKRAFLGSVSDYVAHHAKCPVVIVKPPKEDH >DRNTG_02824.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20178324:20248433:1 gene:DRNTG_02824 transcript:DRNTG_02824.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATQKTPKSPPPHISSTIHHLQCRHRQHRRLLGPKRL >DRNTG_02824.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20178324:20178901:1 gene:DRNTG_02824 transcript:DRNTG_02824.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATQKTLTLLLLTLAALSITSNAGIGSIVVYWGQNGYEGSLAEACSTGNYDIVVLAFLYQFGNFQTPGLNLAGHCDPTSSSGCTSISNDIKACQRKHIKVFLSLGGASGSYTLVSNKDAQEVADYLWNNFLGGSSSSRPLGDAVLDGIDFDIESGTNEHWEELAQMLYEYSKHGKKV >DRNTG_02824.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20178324:20248433:1 gene:DRNTG_02824 transcript:DRNTG_02824.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQKTLTLLLLTLAALSITSNAGIGSIVVYWGQNGYEGSLAEACSTGNYDIVVLAFLYQFGNFQTPGLNLAGHCDPTSGGCVSVGVDIKACQNKGIKVFLSLGGASGSYTLVSTEDAQQVADYLWNNYLGGSSSSRPLGDAVLDGIDFDIEGGTTQHWDELAQMLYDYSQQGQKV >DRNTG_02824.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20178324:20248433:1 gene:DRNTG_02824 transcript:DRNTG_02824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQKTLTLLLLTLAALSITSNAGIGSIVVYWGQNGYEGSLAEACSTGNYDIVVLAFLYQFGNFQTPGLNLAGHCDPTSGGCVSVGVDIKACQNKGIKVFLSLGGASGSYTLVSTEDAQQVADYLWNNYLGGSSSSRPLGDAVLDGIDFDIEGGTTQHWDELAQMLYDYSQQGQKV >DRNTG_01384.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28222267:28222766:-1 gene:DRNTG_01384 transcript:DRNTG_01384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIEGNTGLINRTWPNNGVWASGVLVSSCEYIDVTIALSSDSPTNDPSKEKTQGLKDPTRIRAIKQEMVSLSISSITEDIGTSSSTVATPPR >DRNTG_28884.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001455.1:91779:93008:1 gene:DRNTG_28884 transcript:DRNTG_28884.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRPSQTPHLTMSSSTGQKSHCVSIR >DRNTG_28884.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001455.1:91779:94004:1 gene:DRNTG_28884 transcript:DRNTG_28884.1 gene_biotype:protein_coding transcript_biotype:protein_coding DESVRRGAGSQWIVAARPLCHLQCPVAYLSRLQRIQPAARSESRFEAAARGRSTSGGRCTVTQARGDAWSPTAGRGSGRRGVAPHASLDSGLEAFSHNPAHGSFAPLAFQPSAMTNCVNQRFLSY >DRNTG_24674.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7692261:7694839:1 gene:DRNTG_24674 transcript:DRNTG_24674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQCEIESSSSRRRKHMDSESQQRGHGQNNRYWTAEEDKALIDALVELSVNPIWRAENGFRGGYLLQLEKMIKDKISQTMLKDVPNIESQVKLLRTKTTAIADILRVSGFDWNYERSTIMCEKSAYDEYVKEHKEAAGLYGKSFPFFNYLVAVFTKDRSYGNARADIGDEARQYENEDDNIFEEDAGFSQVPIEEFSMPSQENDETPLPTPMESSDE >DRNTG_01286.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1987150:1987923:-1 gene:DRNTG_01286 transcript:DRNTG_01286.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMVDLFFSIAIISSILLLPLLLHLLLKNPNPNPPSLPGLPIIGRLHLLKPPLHRTLANLSDFHGPILLLRFSSHRVLHISSYSSEHFSYNHQAIVWAPNGPH >DRNTG_13093.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2568563:2570260:1 gene:DRNTG_13093 transcript:DRNTG_13093.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATLIIYEDMDANEDESEADMETDENDENLRAVIGSHSRWSLAMSD >DRNTG_13093.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2568563:2571243:1 gene:DRNTG_13093 transcript:DRNTG_13093.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLIIYEDMDANEDESEADMETDENDENLRAVIGSHSRWSLAMSD >DRNTG_13093.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2568563:2571406:1 gene:DRNTG_13093 transcript:DRNTG_13093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISASQFLFVINITEDDDDEEERMATLIIYEDMDANEDESEADMETDENDENLRAVIGSHSRWSLAMSD >DRNTG_13093.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2568563:2570260:1 gene:DRNTG_13093 transcript:DRNTG_13093.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATLIIYEDMDANEDESEADMETDENDENLRAVIGSHSRWSLAMSD >DRNTG_13093.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2568563:2570260:1 gene:DRNTG_13093 transcript:DRNTG_13093.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPISASQFLFVINITEDDDDEEERMATLIIYEDMDANEDESEADMETDENDENLRAVIGSHSRWSLAMSD >DRNTG_34448.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8047705:8049385:-1 gene:DRNTG_34448 transcript:DRNTG_34448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKQDIELNSDFFIEIHNKPPQVKDIDVQSLEEVNVMMDFPAYDSGSVSESLEQTKIENEQLNEIEVDIEQEDEDGVMDAEPVHLTEFDNKRKSRNESQYLQVESEPEAEKVDLKHLVMSERRTAEEWMIDYALQEVVNKLGPARKSKVELLVEAFEIIRPTPECELPPKHAQQRPVQARS >DRNTG_14722.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:54125:56080:1 gene:DRNTG_14722 transcript:DRNTG_14722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALYSSSRDRRTATLLSRQVPCPSTLLFNALIRGHSLFASPLETLDVFRQMQSHGLPPDHFSFPFALKCCAELQFLPLGQSLHSQCLRFGLEKDVYVGSSLVNMYAKCGYMKFGAVEMAKELFDDMPKRNIVTWTAMISGYSQNGMADSALSLFEDMKREGSQVQPNWVTIVSVLPACAHSAALEQGQRIHDYATAMGMDAHPTVRIALVTMYAKCGNLVAARRCFTSILGEDDNNSKNDLVPWNAMIAAYASHGLGAEAATLFEDMIKTGVRPDSVTFTSLLSGCSHSGLVEDGLKYFDSMRTVYSVEPQAEHYACVVDLLGRAGRLDEAVGIIERMNVVEVGPSVWGSLLSACRTFRNLEYGEMAANRLFVLEPENSGNYVLLCNIYADFGKWEEVKKLRSLLKERGIRKSPGCSWTEINGKIHAFFEGDKSHPQSRQIQILLEDLDKKMKAAGYVPDTRYVLHDISEEEKECSLKTHSEKLAIAFGLLNASPGTVRVLRVTKNLRICGDCHMFAKFISNIYSQEIIIRDVNRFHHFKTGTCSCGDHW >DRNTG_18438.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14714260:14715216:-1 gene:DRNTG_18438 transcript:DRNTG_18438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARLCYLDEKWIREYFWQSTAAGASPFPSDVIDSTSVPRVLCGHNRVNGLRDETPLGPSCPCNGVKR >DRNTG_27372.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001391.1:66643:68782:1 gene:DRNTG_27372 transcript:DRNTG_27372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKAMIELDVLEVLATEGPDALSSIEIASKIESSNPDAHEVLDRMLRFLAAHKVVTCEVVVGEEVGESTRRYGLAPVCKFFTKNEDGVPLVPFLLLFLSKAWTDT >DRNTG_27372.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001391.1:66643:67096:1 gene:DRNTG_27372 transcript:DRNTG_27372.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVEEITSDYSHLQSQRSTLRTQQSISSMSPEVMSKLEFLEKAYDEQKKQNQYIISLLESRGIQVNLEITPRTSHAPARTRESASHGPHTSEDVEQPQPVDDIATK >DRNTG_20930.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7515743:7518806:1 gene:DRNTG_20930 transcript:DRNTG_20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >DRNTG_09882.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:268584:270753:1 gene:DRNTG_09882 transcript:DRNTG_09882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYYTPQAYPTPSVWRPYVAQKETQNSPKSHPYRVNNGVNDGVYEGVDDGLIESPQCSSRCQCRAALRPYGLDQFLPLKSSRAMEQEVVVAYEDDPREEMTN >DRNTG_35194.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20931506:20941431:-1 gene:DRNTG_35194 transcript:DRNTG_35194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISYVEFVVFSVLCSIAWLGVLCQENAAVYMVTLKQAPTSHYHGDLQRIWGSDLGYEASGTFKVLNRPRNALQDNEGYSSYLIQLQDSLLKRTLKGENYLKLYSYHYLINGFAVLITPTQADKLAKAEEVANVVLDFSVRTATTHTPEFLGLPHGAWAKEGGPEFAGEGVVIGFIDTGIDPMHPSFSDDLSFNIYPIPEHYSGACEVTKDFPSGSCNRKLIGARHFAASAITRGIFNVSRDYASPFDADGHGTHTASIAAGNHGIPVIVSGNLFGNASGMAPHAHIAVYKALYKGFGGFAADVVAAIDQAAQDGVDILTLSLTPNRRPSGLATFFNPMDMSLLSAVKAGIFVVQAAGNTGPSPKSMASFSPWIFTVGAASHDRVYNNYLKLGNNITISGVGLAPGTNAIHTLVSAIHALKNGTVDTNEMYVGECQDPSVLNQDLIKGNLLICSYSIRFILGLSSIKQASETAKSVGAAGIIFYMDPFFSGFPLNPTPMDMPGLIIPSPDECKTFLRYYNSSLVREEVSNSVIKFIGVGKILGGLEANFNNSAPKVMYYSARGPDPQDSSLANADIMKPNLIAPGHLIWGAWSSAAADSSEFKGENFAMISGTSMAAPHIAGLAALVKQKFPSFSPSAISSALSTTATLYDNKGGSIMAQRAYGNSDSTQSPATPFDMGSGFVNATAALDPGLIFDASYNDYISFLCGINGSSAILKNYTGNHCDNIAMNGADLNLPSITIALLNHSRTITRTVTNIAYNETYIVTWSSPFGVSLSVTPTRFSVMAGQKQNLMFTLSAMMNSSSASFGRIGLYGSKGHVSMILLSVISKIT >DRNTG_35194.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20937122:20941431:-1 gene:DRNTG_35194 transcript:DRNTG_35194.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISYVEFVVFSVLCSIAWLGVLCQENAAVYMVTLKQAPTSHYHGDLQRIWGSDLGYEASGTFKVLNRPRNALQDNEGYSSYLIQLQDSLLKRTLKGENYLKLYSYHYLINGFAVLITPTQADKLAKAEEVANVVLDFSVRTATTHTPEFLGLPHGAWAKEGGPEFAGEGVVIGFIDTGIDPMHPSFSDDLSFNIYPIPEHYSGACEVTKDFPSGSCNRKLIGARHFAASAITRGIFNVSRDYASPFDADGHGTHTASIAAGNHGIPVIVSGNLFGNASGMAPHAHIAVYKALYKGFGGFAADVVAAIDQAAQDGVDILTLSLTPNRRPSGLATFFNPMDMSLLSAVKAGIFVVQAAGNTGPSPKSMASFSPWIFTVGAASHDRVYNNYLKLGNNITISGVGLAPGTNAIHTLVSAIHALKNGTVDTNEMYVGECQDPSVLNQDLIKGNLLICSYSIRFILGLSSIKQASETAKSVGAAGIIFYMDPFFSGFPLNPTPMDMPGLIIPSPDECKTFLRYYNSSLVREEVSNSVIKFIGVGKILGGLEANFNNSAPKVMYYSARGPDPQDSSLANADIMKPNLIAPGHLIWGAWSSAAADSSEFKGENFAMISGTSMAAPHIAGLAALVKQKFPSFSPSAISSALSTTATLYDNKGGSIMAQRAYGNSDSTQSPATPFDMGSGFVNATAALDPGLIFDAS >DRNTG_17930.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25242048:25242873:1 gene:DRNTG_17930 transcript:DRNTG_17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVLTGNCGTDIDHGVTIVGYGSENGKDYWLIKNSYGPNWGDSGYVKIQRNSHQPEGKCGIAMYPSYPIKTKPKVIGRMCFSSSLYTYFKQRIKIFCFPLK >DRNTG_29030.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18314617:18318132:-1 gene:DRNTG_29030 transcript:DRNTG_29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWNMRTLSVLTTMLLFSTAMIQLFLVSKRLWFCYYSTGTDITVGDTLPELSDMLPVQEHYSSSALLPPKRIYKSNGYLWVSSNGGLNQMRAGICDMVTIARYLNLTLLVPQLDKRSFWADSSDFEDIFNVQHFIDSLRDEVKIIKSLPQKFSEKVRTNLFSMSPISWSNEKYYLEKVLPLIRKNKLVFFNKTDSRLANNLPSELQKLRCRVNYQALRFTPEIEALGNKLISLLRKSGFFVVLHLRYEMDMLAFSGCLQGCNDQEVKQLTKLRYAFPWWKEKFIDSEKKRLAGLCPLTPEETGLILQALGIEKNTKIYIAAGKIYGGGRRLSALRTAYPNLVNKEMLLSADELRPFKNHSTKMAALDYLVSIESDVFIPTYKGNMAKVVEGHRRFAGFRTTISLDTRQLVELLDLYKNGSLTWYQFSDAVQETHRNRVGQPTIRNVISGNPKVEDYFYTNPQECLAPLTFSASNSKFITI >DRNTG_32308.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3311161:3311631:-1 gene:DRNTG_32308 transcript:DRNTG_32308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSDDAAKNASGCDAGASKSAPSPDITPHSSIPLVNVDSTRSAPLPDVTPHSSNPSSSDDSNLNDEIGTNNTCTRLDNLHPINEGSDLNSSMLARSGQHIGLQYESWHKVPKTLRDELLKFIE >DRNTG_27147.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:8301136:8304183:-1 gene:DRNTG_27147 transcript:DRNTG_27147.1 gene_biotype:protein_coding transcript_biotype:protein_coding NCSAIIVRERDEDQPAELNRDRKREREREKREVDEINKRDKERILEGYEGFFLRIPVGFQKRPERWF >DRNTG_15854.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25201743:25202094:-1 gene:DRNTG_15854 transcript:DRNTG_15854.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLRADETNNQHQQDLQDCMKELTSTRGALIKVSEERDRLWGEVKHSSETIMLLEHEVNSLKKKIEVLDEDVLLKEGQITILKDSLDDKPFNVIYNPLAMKDLTLE >DRNTG_15854.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25203146:25207420:-1 gene:DRNTG_15854 transcript:DRNTG_15854.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFSFKSLASSSGNSSDDVKVSNSKHGKVRGSSQSPQDNAYDLRGQHQETEDSSSKHLSRSISFSYPAIYCASGGGNMNSVNEQSVFISSCGNSPHQKAECSNNYNSLTPERYTRLKRGERASFRKTHRIEKLDTSADSKGYQCSFGNSLYDSPNPLRCRATHLAETASENNFLDLYIDGEHQEMKSKKDYLQHRSDTGHDDFVIENGTLPCLGRPPQAQSTAPASPAYGKENRRCYSFREVKDIGRERPMQDWARDDDRLIYRHKYRKENLDRLISPQKYMKNSIDSLSLEVPGISVKSQDYDSETTVTMEDIYEDSSDPRPNSNSIRFLEKHSSDLTSYLEDLNGSSSMKELSSFRRQNYLPGIGRIGINSAKTINSGLEKLDIDKELLKKAKEVEEKLEGLSAEEVDLDELESGNLKSSAMLLKIQNVVEDRISLALDLSSQIKCRLAERFSAREHIKNSKVELDTRTRRLEKEKNELQVSLEKELDRRSKEWSLKLERFQSEEQRLQERVRELAEQNVFLQREISFIKGNEADARSKFMNSHVQVNSLTENLEEVKTENNNLHQKLSELQERFNGVVEDLECVRLNYKEKEKENGDLHKLVVRFQRTCSEQDKTIDVLRQEYNDEIRKQLIHRNDGSNRLQMEHLRLTGVEQMLRRELESCRQELESLRHENVSLLERFQGSRNNKSFSLFKLDQELHAQVECLKTQGLSLLNDNAQFCAELLSFIKRKHCDQCQEANSEFSGYAVADYTVKHQSLRRGTENLRKTLQTISETLEEKSNLEVLGSQLRTNASRHLMDQ >DRNTG_15854.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25203146:25207192:-1 gene:DRNTG_15854 transcript:DRNTG_15854.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFSFKSLASSSGNSSDDVKVSNSKHGKVRGSSQSPQDNAYDLRGQHQETEDSSSKHLSRSISFSYPAIYCASGGGNMNSVNEQSVFISSCGNSPHQKAECSNNYNSLTPERYTRLKRGERASFRKTHRIEKLDTSADSKGYQCSFGNSLYDSPNPLRCRATHLAETASENNFLDLYIDGEHQEMKSKKDYLQHRSDTGHDDFVIENGTLPCLGRPPQAQSTAPASPAYGKENRRCYSFREVKDIGRERPMQDWARDDDRLIYRHKYRKENLDRLISPQKYMKNSIDSLSLEVPGISVKSQDYDSETTVTMEDIYEDSSDPRPNSNSIRFLEKHSSDLTSYLEDLNGSSSMKELSSFRRQNYLPGIGRIGINSAKTINSGLEKLDIDKELLKKAKEVEEKLEGLSAEEVDLDELESGNLKSSAMLLKIQNVVEDRISLALDLSSQIKCRLAERFSAREHIKNSKVELDTRTRRLEKEKNELQVSLEKELDRRSKEWSLKLERFQSEEQRLQERVRELAEQNVFLQREISFIKGNEADARSKFMNSHVQVNSLTENLEEVKTENNNLHQKLSELQERFNGVVEDLECVRLNYKEKEKENGDLHKLVVRFQRTCSEQDKTIDVLRQEYNDEIRKQLIHRNDGSNRLQMEHLRLTGVEQMLRRELESCRQELESLRHENVSLLERFQGSRNNKSFSLFKLDQELHAQVECLKTQGLSLLNDNAQFCAELLSFIKRKHCDQCQEANSEFSGYAVADYTVKHQSLRRGTENLRKTLQTISETLEEKSNLEVLGSQLRTNASRHLMDQ >DRNTG_15854.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25201743:25207594:-1 gene:DRNTG_15854 transcript:DRNTG_15854.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFSFKSLASSSGNSSDDVKVSNSKHGKVRGSSQSPQDNAYDLRGQHQETEDSSSKHLSRSISFSYPAIYCASGGGNMNSVNEQSVFISSCGNSPHQKAECSNNYNSLTPERYTRLKRGERASFRKTHRIEKLDTSADSKGYQCSFGNSLYDSPNPLRCRATHLAETASENNFLDLYIDGEHQEMKSKKDYLQHRSDTGHDDFVIENGTLPCLGRPPQAQSTAPASPAYGKENRRCYSFREVKDIGRERPMQDWARDDDRLIYRHKYRKENLDRLISPQKYMKNSIDSLSLEVPGISVKSQDYDSETTVTMEDIYEDSSDPRPNSNSIRFLEKHSSDLTSYLEDLNGSSSMKELSSFRRQNYLPGIGRIGINSAKTINSGLEKLDIDKELLKKAKEVEEKLEGLSAEEVDLDELESGNLKSSAMLLKIQNVVEDRISLALDLSSQIKCRLAERFSAREHIKNSKVELDTRTRRLEKEKNELQVSLEKELDRRSKEWSLKLERFQSEEQRLQERVRELAEQNVFLQREISFIKGNEADARSKFMNSHVQVNSLTENLEEVKTENNNLHQKLSELQERFNGVVEDLECVRLNYKEKEKENGDLHKLVVRFQRTCSEQDKTIDVLRQEYNDEIRKQLIHRNDGSNRLQMEHLRLTGVEQMLRRELESCRQELESLRHENVSLLERFQGSRNNKSFSLFKLDQELHAQVECLKTQGLSLLNDNAQFCAELLSFIKRKHCDQCQEANSEFSGYAVADYTVKHQSLRRGTENLRKTLQTISETLEEKSNLEVLGSQLRTNASRHLMDQDKLELELKAETNLTRLLREKLLSRELELERLEADFASLVRSHDVMRTEIQRLQDELSCLNHKTKDMELQMLRADETNNQHQQDLQDCMKELTSTRGALIKVSEERDRLWGEVKHSSETIMLLEHEVNSLKKKIEVLDEDVLLKEGQITILKDSLDDKPFNVIYNPLAMKDLTLE >DRNTG_15854.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25201159:25207192:-1 gene:DRNTG_15854 transcript:DRNTG_15854.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFSFKSLASSSGNSSDDVKVSNSKHGKVRGSSQSPQDNAYDLRGQHQETEDSSSKHLSRSISFSYPAIYCASGGGNMNSVNEQSVFISSCGNSPHQKAECSNNYNSLTPERYTRLKRGERASFRKTHRIEKLDTSADSKGYQCSFGNSLYDSPNPLRCRATHLAETASENNFLDLYIDGEHQEMKSKKDYLQHRSDTGHDDFVIENGTLPCLGRPPQAQSTAPASPAYGKENRRCYSFREVKDIGRERPMQDWARDDDRLIYRHKYRKENLDRLISPQKYMKNSIDSLSLEVPGISVKSQDYDSETTVTMEDIYEDSSDPRPNSNSIRFLEKHSSDLTSYLEDLNGSSSMKELSSFRRQNYLPGIGRIGINSAKTINSGLEKLDIDKELLKKAKEVEEKLEGLSAEEVDLDELESGNLKSSAMLLKIQNVVEDRISLALDLSSQIKCRLAERFSAREHIKNSKVELDTRTRRLEKEKNELQVSLEKELDRRSKEWSLKLERFQSEEQRLQERVRELAEQNVFLQREISFIKGNEADARSKFMNSHVQVNSLTENLEEVKTENNNLHQKLSELQERFNGVVEDLECVRLNYKEKEKENGDLHKLVVRFQRTCSEQDKTIDVLRQEYNDEIRKQLIHRNDGSNRLQMEHLRLTGVEQMLRRELESCRQELESLRHENVSLLERFQGSRNNKSFSLFKLDQELHAQVECLKTQGLSLLNDNAQFCAELLSFIKRKHCDQCQEANSEFSGYAVADYTVKHQSLRRGTENLRKTLQTISETLEEKSNLEVLGSQLRTNASRHLMDQDKLELELKAETNLTRLLREKLLSRELELERLEADFASLVRSHDVMRTEIQRLQDELSCLNHKTKDMELQMLRADETNNQHQQDLQDCMKELTSTRGALIKVSEERDRLWGEVKHSSETIMLLEHEVNSLKKKIEVLDEDVLLKEGQITILKDSLDDKPFNVIYNPLAMKDLTLE >DRNTG_15854.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25201159:25207776:-1 gene:DRNTG_15854 transcript:DRNTG_15854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFSFKSLASSSGNSSDDVKVSNSKHGKVRGSSQSPQDNAYDLRGQHQETEDSSSKHLSRSISFSYPAIYCASGGGNMNSVNEQSVFISSCGNSPHQKAECSNNYNSLTPERYTRLKRGERASFRKTHRIEKLDTSADSKGYQCSFGNSLYDSPNPLRCRATHLAETASENNFLDLYIDGEHQEMKSKKDYLQHRSDTGHDDFVIENGTLPCLGRPPQAQSTAPASPAYGKENRRCYSFREVKDIGRERPMQDWARDDDRLIYRHKYRKENLDRLISPQKYMKNSIDSLSLEVPGISVKSQDYDSETTVTMEDIYEDSSDPRPNSNSIRFLEKHSSDLTSYLEDLNGSSSMKELSSFRRQNYLPGIGRIGINSAKTINSGLEKLDIDKELLKKAKEVEEKLEGLSAEEVDLDELESGNLKSSAMLLKIQNVVEDRISLALDLSSQIKCRLAERFSAREHIKNSKVELDTRTRRLEKEKNELQVSLEKELDRRSKEWSLKLERFQSEEQRLQERVRELAEQNVFLQREISFIKGNEADARSKFMNSHVQVNSLTENLEEVKTENNNLHQKLSELQERFNGVVEDLECVRLNYKEKEKENGDLHKLVVRFQRTCSEQDKTIDVLRQEYNDEIRKQLIHRNDGSNRLQMEHLRLTGVEQMLRRELESCRQELESLRHENVSLLERFQGSRNNKSFSLFKLDQELHAQVECLKTQGLSLLNDNAQFCAELLSFIKRKHCDQCQEANSEFSGYAVADYTVKHQSLRRGTENLRKTLQTISETLEEKSNLEVLGSQLRTNASRHLMDQDKLELELKAETNLTRLLREKLLSRELELERLEADFASLVRSHDVMRTEIQRLQDELSCLNHKTKDMELQMLRADETNNQHQQDLQDCMKELTSTRGALIKVSEERDRLWGEVKHSSETIMLLEHEVNSLKKKIEVLDEDVLLKEGQITILKDSLDDKPFNVIYNPLAMKDLTLE >DRNTG_19575.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2044523:2044953:-1 gene:DRNTG_19575 transcript:DRNTG_19575.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAAVAPKKTVRASDSASSIGAGGADGLPWEDISAKITPNLLKNLGSRDWKL >DRNTG_05036.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:133736:140745:-1 gene:DRNTG_05036 transcript:DRNTG_05036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAETSKYRNFFPTTNSGEPTPKIYSPHPLE >DRNTG_05036.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:136006:140745:-1 gene:DRNTG_05036 transcript:DRNTG_05036.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAETSKYRNFFPTTNSGEPTPKIYSPHPLE >DRNTG_05036.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:134510:140745:-1 gene:DRNTG_05036 transcript:DRNTG_05036.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAETSKYRNFFPTTNSGEPTPKIYSPHPLE >DRNTG_06255.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8297536:8298685:1 gene:DRNTG_06255 transcript:DRNTG_06255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRLQQELQDLRKDPPSSCSAGPVGDNLFYWQATIMGPEDSPYAGGIFSVEIHFPEDYPFKPPQVDFQTEVYHPNIGLSGYICLDILKNRWSPAMSVSTLLLSICSLLTDPNPDDPLNPAIGNMYKNRRTLFEKNARAWTRKYAMG >DRNTG_02349.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000108.1:15997:17629:-1 gene:DRNTG_02349 transcript:DRNTG_02349.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDNGRYGLHNGCYEAVMASMLLLMSSCYHGLITVVFLSHHWPGHVDPESAQHIKPKAGLVQSVAEEVDDCWGMFNVGDFVPPVTWINLQGIQAKLKKSKEMMDQIIKAMFVHHEALTEERKGRTVRGCPMLKSKVSLQVFSVYLLAISSIAVNIYYYVFYVEVFVINFFMFWT >DRNTG_17543.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29068520:29071558:-1 gene:DRNTG_17543 transcript:DRNTG_17543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFDRSKKRIQLWKKALLQFSLCFVMGFFSGFAPTTTTSSFFSSSSTSTSSSISFQSPQPILSNTTITTNLLSPPSLTSTQPSLDLQIETEDSEDSEDMTEDFQDFQDSQDSQDSQDSQQPEEPQEYSIIPNKLLIIVTTTSSRDPIREVKLRRLSHTLSLVDPPLLWIVVEDHLQAPKTSENLRKTGVMYRALDSEGKFH >DRNTG_28257.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2753762:2760121:-1 gene:DRNTG_28257 transcript:DRNTG_28257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNPVIDQEMENLDDDDVLLPLPDEQEQLHPSTPAIPQSKLKRLKKASSSSDGISISDPPESRKTPDFEVSVLKYVGLEKQVDPDDGIDPLFGDLAVSGRPGSHGFEKDGEGEDEGGSDGMEKEIGGEGKVQKEMDLDDGLDPLFGNPVISEGWESHDFGNDGEDENDGGTGCGESGNKLMDKLIGGLGKEKSARKRLSWDGGEDEGLKKKKKGKIKNEKPKESVREKRKLEKERKAYVEQIHSESQRILRETRDASFKPAPIVHKPISSVLERIRIRKLELLKQCSVESDSFAGADCSVEDIGQASNLCITKSNDGEILESDDQVAGDENCKASPGVSEDAPYNKPSDEDLNDPLQQNSDESDDTLGLENVSKHKNGEDSENGPLDDIADDILADNLTSSLPISSSKLKSDDDLSSSHEDSDKENNDPYPCKVLNKVSYPKDDLAKTYVDDEAEEEDDSDHDLMRFQESEDDDSDDSDGNKVLDDLIMTGYEEAPVEHEKRNQLHQKWLEQQDANETDNVLQRLNCGQIRREPSIIDDEDDNVDTDDEDSADEMTEDQIPANLVRKNSKKAKQMMAQMFTDETDVYVSSEDEETERSLIREHLLHQKDLGPSFISPIEDEHSKKVSGLIKKLNIGPDYKKKGKILKSSLDMLITDTGSNSSSKSSFLARAQSNSLPASHKQGSITVRTFVFGRDDSSSRSSFSASENIDANQQNNQPLKTPGARYSSRQSKATSLGAKAEVKTNSSSSLFEILKSSSIGSEKKIRKNQSLTTESQSSHQFSAFKLVKKSS >DRNTG_07631.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000344.1:49081:49568:-1 gene:DRNTG_07631 transcript:DRNTG_07631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKCPHRKVKKRRFSHKTARRSKFLLKDIDAVYDELLKLAEVGEGKALPVDEDLPGMGQFYCLHCE >DRNTG_21779.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2945485:2946174:1 gene:DRNTG_21779 transcript:DRNTG_21779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVKRKPVILKEARRGTRCAEVAGGTAAECAAVCCCCPCGLVDLLVLAVVRLPCGLCRRAMRRRRRRRLAALMNGKKKPGVLLAAAVKGKGGGGDEVEVVVIPRQGGVVIPRQEDEKEGEKKDNEMVEMEKEMWLKFYSTGFWRSPSQREER >DRNTG_27025.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2520789:2523352:1 gene:DRNTG_27025 transcript:DRNTG_27025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVGSTLVHVLVVALCLTAFGFAIAAERRRSTGTIHPDPNTNTTYCVYDSDVATGYGVGSFLFLLSSQSLLMGVTKCMCFGRPLAPGGNRAWSIIYFASSWLTFLIAEACLIAGATKNAYHTKYRDMVYAQNWTCESLRKGVFIAGAVFVVFTMILNVYYYMYFTKATSQAAHKANRASSTVGMAGYT >DRNTG_16837.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18105065:18107826:1 gene:DRNTG_16837 transcript:DRNTG_16837.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKLPAFTIRTRKFMTNRLLSRKQFVIDVLHPGRANVSKVELKDKLAKMYEVKDENSIFVFKFRTHFGGGKSTGFGLIYDSVDSAKKFEPKYRLIRNGLATKVEKSRKQVKERKNRAKKIRGVKKTKAGDAAKAGKKK >DRNTG_03487.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:816494:817371:1 gene:DRNTG_03487 transcript:DRNTG_03487.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQREAISAAHLGSPKWIPAVRSDGDGNRDAEKEEVGDDSDFAFVPRDPISGQEVTADDIFSNGQIRTAFPLFGRELAPVIPMQGLAIKDRDELVGSASSSECDELEGVPEASYCVWAPERQVRSKSYGEPGRLRLRDIVQGRSRSDGNKKFLFLAPPPPPPPVVEKEKEKSKGGSEKKGKVGKVTELDIVTAHRLYYSKKGGEGMKGGKRSFLPYRPEFVGFFGNAANRSHF >DRNTG_03487.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:816494:817478:1 gene:DRNTG_03487 transcript:DRNTG_03487.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQREAISAAHLGSPKWIPAVRSDGDGNRDAEKEEVGDDSDFAFVPRDPISGQEVTADDIFSNGQIRTAFPLFGRELAPVIPMQGLAIKDRDELVGSASSSECDELEGVPEASYCVWAPERQVRSKSYGEPGRLRLRDIVQGRSRSDGNKKFLFLAPPPPPPPVVEKEKEKSKGGSEKKGKVGKVTELDIVTAHRLYYSKKGGEGMKGGKRSFLPYRPEFVGFFGNAANRSHF >DRNTG_03487.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:816494:817746:1 gene:DRNTG_03487 transcript:DRNTG_03487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQREAISAAHLGSPKWIPAVRSDGDGNRDAEKEEVGDDSDFAFVPRDPISGQEVTADDIFSNGQIRTAFPLFGRELAPVIPMQGLAIKDRDELVGSASSSECDELEGVPEASYCVWAPERQVRSKSYGEPGRLRLRDIVQGRSRSDGNKKFLFLAPPPPPPPVVEKEKEKSKGGSEKKGKVGKVTELDIVTAHRLYYSKKGGEGMKGGKRSFLPYRPEFVGFFGNAANRSHF >DRNTG_33143.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19393734:19395790:-1 gene:DRNTG_33143 transcript:DRNTG_33143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVIMLLHITCVSQYVLCGLYWGISMKVRPDWAVNVCIGVGIAAPIIAGVYSIKSPLGKRYETESDEESRRQVALTNAIPNEDQLNKYNGRVVVTSPEWIGGLFDCCDDRPVACLSLFCVCCVFGWNMERLGFGNMYVHIFTFILLCIGPFLVFSASALNINDDTIRYVVGIFGIMLCFFGMLYGGFWRIQMRKRFKLPGKFFCFGYPSVTDCFQWFFCWSCSLAQEVRTGNFYDIEDSSFYRSVAKDEDERQIMVPLNREGGSTPVFVINAMFDYSTRSKSFPPSRSSEMVVQKKVPVLLERVSTFGPIHSMRPPLPPLIQLED >DRNTG_04845.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31081281:31084183:1 gene:DRNTG_04845 transcript:DRNTG_04845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNNNNNNNNQINQTTQFINEVFILSQVIHKNVVKMLGCCFETPVPLLVYEYVSGGTLYHHIHERRGSLSWSVRLKIATETAHALSYLHSATETPILHRDVKSANILLDDNCMAKVSDFGASRLMPNDTDYITTRVQGTLGYLDPEYFHTGMLTEKSDVYSFGVLLVELLTGEESMSYKRIEEEMNLGMYFLRRITTGSMFDILEPRVKIEAKQEQLRGMAELIKGCLNIKGDERPTMMTVALELERLKNQDEDILHPHEWSLNEHGVLDDTVVVNTKILSNWSRSEDESLLVGSISTDASCHETGNTSDQLVSSVGV >DRNTG_18618.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22325600:22331722:-1 gene:DRNTG_18618 transcript:DRNTG_18618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKSFVSREPSSSIPSPLFSPSSKATPKLGLTRERHHQREENITVEEDGLLLFSPCTLCRGLNKALRTMVKNLEERVDARDENQDASSQAIKTIPKTSPAQSEEANFDPPPKKLQATFFSRPSLLPSKSIPILLYPKR >DRNTG_19898.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18243248:18245599:1 gene:DRNTG_19898 transcript:DRNTG_19898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSALFRHADSLKRLIHAGLRICEAEASFPSLLIRPYCSGQTKNRRLTLASVIYPLGHPSNNMATELDRWVNNGNRTRPVELRELVRDLRKRRRFSNALEVSEWMKDKGHVPFTPGDHAVVLDLIGRVRGLASAESYFNGMHERDKTEKTYGALLNCYVRECLVEKSLSHLQKMKEMGLATSALTYNDIMCLYTNTGQHEKVPSVLAEMKENGVLPDNFSYRICINSYGTRSDINGMEKLFEEMEHQPQIVVDWNTYSVVANIYIKAGLREKAIAILKKYEERLEKRNGLCYNHLVSLYGNLEMKSDIKRIWEIQKLNGVKLINRDYTTMIGTMVKLGDLLEAEILLKEWETSGNACDFRVPNVLLIGYRNKGLIEKAEELLDDFLKKGKQPPASSWGIVAAGYATNGETSKAYECMKNALCMYAPNAGWEPKDHVIDDILHWLGYEGAPDDVETFVNLLKIACPMNRTMYHTLIRTNVRCGKPVKEILKNMKADGIDENEETKEILSSKSNPVTLAI >DRNTG_10708.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000452.1:4418:8058:-1 gene:DRNTG_10708 transcript:DRNTG_10708.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPLMLLFMNNQLTNQKVLSNFQTTSRSDKDKSKKIEGIKYTATTFNIEKGKGVAESHKPEQAKVPSLEESSQREVIVVGNKVIFTSTEDDKPCAVPEELWETKQSKKKDKKRKQKREQSKLKKSIIEEYIETLEEYEQKERVLITLRDYFPEEVEELLRELAEKIDENEEDVQVESCRVISGGEPLYNQEDNDNDKYDSFSEPEESYDCYGWPYVKPYYTPKTPKYKVLKEVIPAEDIPAFIQRVTEESANRLRKLHVEDAEWDESGAYHPESSGQNFVSEVMDSPAPSKATNTKERFIRKDKRKELFDQAIENGLDLPKPKRQRMMHLDSHPNYCQYHRLIEHTIEECHAFQKWLQGQVSLGNLTLTEDYFEEKGECCAIVAHDDSEDELNFPQEEDEAPPHVHQMQLRTGKTLQPRQVPSSNNRDKGKMVEEENGSPKKPLKKPNYNILAHLKKVPALLSMYDALMMSAEVRESLIHALQNPEEYQAYFAEGNIKEALYASHTPSVSFTNEDLLLGTMAPNRPLYVTGFCDGTTKTTPVLQKREPASPHFGSDTESSEDESDEDMHQSKGQIERSIEENALYITRIPDGLYKSLHDLNVNTVEHLKTFHVPARHEKERTHKLPKPLPQQAQDDSREIWKQNQVVEEVASGLS >DRNTG_17087.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17306602:17318383:1 gene:DRNTG_17087 transcript:DRNTG_17087.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSLPPLIAAQLNHLISNSPHSIKVDQVRSGCKNGLYSDRFTLLIPFCLDFIKWDFIFNALYPSVAPDVVFSPDDDDFCPLVGEEDDVSVALKNSLVDWNSKDSTRLLELVHKLRDAYVNYQRKRVGELDDARLKFEISTVFSREGIEVCLVSGPDKPEEVKFSVPLLDTSLNQLVIGCPWKNEQKIYLQVIFPIIRSYSSTPAAPRLKLVSTSELKSLFSIEDVKLPPWLDGMCMAEYLPALEDNLQMQVVEAVASIGARRRFIEALAPLFGRPLEADPVFCRKATVVAVSGTFNFLVSFSFSTQFPKQQPTLALQSCQHFTSQGVPLMSSPINDYPWSPRWDTPQMAERIFEFLIDECLNFKKHCQDTQQV >DRNTG_12017.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:733996:734927:-1 gene:DRNTG_12017 transcript:DRNTG_12017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIIKHGRPLYVCSFSGLNLWGHVDGTRPPPVSSTIASSSSSSSTVTSASSSSSADLLKWTEDDARTIAIICQSCELPIRLAVCYLSTAKAIWDHLRALYLPSS >DRNTG_34383.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17951279:17954484:-1 gene:DRNTG_34383 transcript:DRNTG_34383.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-sulfoquinovose synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G33030) UniProtKB/Swiss-Prot;Acc:O48917] MAHLLTAFCSVNPSPSTKTYLKLPSQCSSIGRTSVTFRSCRTLYRSSISFRKKSIKPYQINATAASIGQDVENRIQPGSHQILDNGNSSKQKRVMVIGGDGYCGWATALHLSNKNYEVAIVDNLVRRLFDLQLGLDSLTPISSIHNRVRCWKSLTGKTIQLHIGDICDFEFLTEAFKSFEPDAVVHFGEQRSAPYSMIDRSRAIFTQHNNVIGTLNVLFAIKEFSEECHLVKLGTMGEYGTPNIDIEEGYITITHNGRTDTLPYPKQASSFYHLSKVHDSNNIAFTCKAWGIRATDLNQGVVYGVKTDETEMHEELCNRFDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPAKQGEFRVFNQFTEQFSVNDLARLVTKAGKKLGLDVETISVPNPRVEAEEHYYNAKHTKLIELGLKPHLLSDSLLDSLLNFAIEYKDRVDGKQIMPSVSWKKIGVKPLTVPA >DRNTG_34383.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17951279:17954484:-1 gene:DRNTG_34383 transcript:DRNTG_34383.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-sulfoquinovose synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G33030) UniProtKB/Swiss-Prot;Acc:O48917] MAHLLTAFCSVNPSPSTKTYLKLPSQCSSIGRTSVTFRSCRTLYRSSISFRKKSIKPYQINATAASIGQDVENRIQPGSHQILDNGNSSKQKRVMVIGGDGYCGWATALHLSNKNYEVAIVDNLVRRLFDLQLGLDSLTPISSIHNRVRCWKSLTGKTIQLHIGDICDFEFLTEAFKSFEPDAVVHFGEQRSAPYSMIDRSRAIFTQHNNVIGTLNVLFAIKEFSEECHLVKLGTMGEYGTPNIDIEEGYITITHNGRTDTLPYPKQASSFYHLSKVHDSNNIAFTCKAWGIRATDLNQGVVYGVKTDETEMHEELCNRFDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPAKQGEFRVFNQFTEQFSVNDLARLVTKAGKKLGLDVETISVPNPRVEAEEHYYNAKHTKLIELGLKPHLLSDSLLDSLLNFAIEYKDRVDGKQIMPSVSWKKIGVKPLTVPA >DRNTG_34562.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1417792:1419758:1 gene:DRNTG_34562 transcript:DRNTG_34562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTTLSQLQTSSSSSSYNVAGAGYFQAESQCDGDLSPKSCAECVNLAVRQLRNACGGAVAGDVYLGRCFARFWSINDFYSHKPSSSSSSSSSSSGNEGEKTFAILIGLMAGIALLIVFLTFIRRAATDGK >DRNTG_34562.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1417792:1419450:1 gene:DRNTG_34562 transcript:DRNTG_34562.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTTLSQLQTSSSSSSYNVAGAGYFQAESQCDGDLSPKSCAECVNLAVRQLRNACGGAVAGDVYLGRCFARFWSINDFYSHKPSSSSSSSSSSSGNEGEKTFAILIGLMAGIALLIVFLTFIRRAATDG >DRNTG_34562.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1417792:1418550:1 gene:DRNTG_34562 transcript:DRNTG_34562.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTTLSQLQTSSSSSSYNVAGAGYFQAESQCDGDLSPKSCAECVNLAVRQLRNACGGAVAGDVYLGRCFARFWSINDFYSHKPSSSSSSSSSSS >DRNTG_28680.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20414636:20416928:-1 gene:DRNTG_28680 transcript:DRNTG_28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT1G10500 protein [Source:Projected from Arabidopsis thaliana (AT1G10500) UniProtKB/TrEMBL;Acc:B9DF88] MALAGTTSSAFLCRLPSGSRSPCPSRSSISFALSSLADFGHRGAISIRCSAVSEAPTFENLAPAISLTAKALTHLNKIRSERNEDLCLRIGVKQGGCSGMSYTMDFEDRANAKADDSIIEYNGFQIVCDPKSLLFLYGMQLDFSDALIGGGFSFKNPNATKTCGCGKSFASGASGESTPEASAMDCNSSS >DRNTG_09267.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21715199:21722785:-1 gene:DRNTG_09267 transcript:DRNTG_09267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKYVVLEGTIPSHGETVFEYHGKDPRFNEVFSKGMFNQTTMLMKMILSQYKGFDSLKVLVDVGGGFGANLAIILSKYPHLKGSTLIYFLSSFSEAKPIPVHIRSTVG >DRNTG_06957.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:769851:772106:1 gene:DRNTG_06957 transcript:DRNTG_06957.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSM34 [Source:Projected from Arabidopsis thaliana (AT4G11650) UniProtKB/TrEMBL;Acc:A0A178V0T2] MYEGDNLSHAWDAHNDGHVLGLEREHAVINLESFSSAIIYTEQCVHDPSLLDHGCQVYHVHKKGPVEYLPVRIVHHFLQSLYRLHDRGQSQHNQFIIIIIIIFSFLLFPLCHAAIFNIVNQCPYTIWPAAIPGGGCELLPGQNWTIFINPRMDNARIWARTSCTFNSSGYGQCETGDCDGLLGCQTYGSPPNTLAEFTLNDFNNLDFIDISLIDGFNVPMEFSPITGCNHAIQCSANITRQCPDELKTSGGCNNPCTV >DRNTG_31159.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13343820:13346978:1 gene:DRNTG_31159 transcript:DRNTG_31159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSPQRFTPLHSQQSPSGGSSLSSFALLWPQRTRGMEVESITPEGKGDAPIPLDSPSQPSPIKLCLTLVEKSEAGVSQNAGQIEEGCTNPMDNIDSQVLDEHFTMVINAANQGQSTRGFSVGSRILRQKMHTRGDKANTLQMTQTMDTADATKKRKSWVP >DRNTG_29147.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5332171:5333367:-1 gene:DRNTG_29147 transcript:DRNTG_29147.1 gene_biotype:protein_coding transcript_biotype:protein_coding QAQSVVGWCSIHHFRVVIVCLAIYLVCLLIGYDSFYEICFLPSKVVSGFQVHRIYTAVLFHGSLLHVVFNMLALVPLGTELERIM >DRNTG_15359.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26766306:26768486:1 gene:DRNTG_15359 transcript:DRNTG_15359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLITRNLSTIQTKNPRNPTAPSPNSNPLNSSSIASYLIDSFGISPEKAFAAIKKLNCRCKLDIKSDKIKARRDLNAVPSFFASNGFTKDQISKIITKFPRILLCRPDRTLKPKIDFFLGAGFSRSDLARLMSDDVEILQASLNKRIIPFFDFLKTILYSDKEVIAALKQTPRLLHYSAEKRISPNVETLRGFGMPEHRIYTLSKAHTRILLCETDRFRRSIERVRDLGFKVSDYSFAVALQCVSWLSAATWEGKVVALMSFGLSEDQILSAFKKKPAVMIISEDKLKRNMSFFVSRVNWSPEYVVSEPRLLGFSLERRLLPRTLTLEVLLSNGLISDKSFNHRVFVMNESRFFEKYVMRYQEEHPQVLEAYLAALKQQH >DRNTG_09999.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21635410:21635910:1 gene:DRNTG_09999 transcript:DRNTG_09999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMALQTLRSLKIKINKRKKSPIIKIISENLKKFLKRSLDFSRERDPDHGQQVFLRSSSGGEC >DRNTG_10763.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29628179:29629521:1 gene:DRNTG_10763 transcript:DRNTG_10763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSILPSSETIEIRDVWAENLEDEFALIRSFIDEFPYVAMDTEFPGVALRPVGAFPSTADYHYLTLKANVDILKLIQLGLTLSAADGSLPASPSSGRFLVWQFNFREFDPDSDIYAHDSIDLLTSSGIDLIKNREEGVDSMRFAELLMSSGIVLNDSIHWVTFHSGYDFGYLLKVLTCQNLPETQAGFFELINIYFPRVYDIKHLMRFCNSLHGGLNKLAELLEVDRVGICHQAGSDSLLTACTFRKLKECFFSGSTERYAGVLYGLGVENGHASGH >DRNTG_24509.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19069375:19080346:-1 gene:DRNTG_24509 transcript:DRNTG_24509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLSSDLHNGRPSTIQFKEKLPWFLDALPSSNCAKGGKGAYTSSVDLTGYDSGLIQASSFRTYHTPLNKQSDYVSSMKAALDFSSRISDALQIQVFPYSVFYIFFEQYLHIWKTAVINIAIGLGAVFVVCLVITCSLWTSSIILMVLTMIIVDMMGVMALLEIQLNAVSVVNLVMSVGIAVEFCVHITHAFSIASGDRETRAKEALCTMGASVFSGITLTKLVGVIVLHFARSELFVVYYFKMFLALVLIGFLHGLVFLPVVLSICGPPSRFVPVDGKQENQPAAPAQSS >DRNTG_08620.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:15319649:15322010:1 gene:DRNTG_08620 transcript:DRNTG_08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLSGGQKSRVAFSKITFKKPHIILLDEPSNHLDLDAVEALIQGLVVFQGGVLM >DRNTG_08620.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:15320797:15322010:1 gene:DRNTG_08620 transcript:DRNTG_08620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLSGGQKSRVAFSKITFKKPHIILLDEPSNHLDLDAVEALIQGLVVFQGGVLM >DRNTG_08620.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:15320797:15322185:1 gene:DRNTG_08620 transcript:DRNTG_08620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLSGGQKSRVAFSKITFKKPHIILLDEPSNHLDLDAVEALIQGLVVFQGGVLMVSHDEHLISSSICSMTQNSLQSYIL >DRNTG_07660.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23159648:23163243:1 gene:DRNTG_07660 transcript:DRNTG_07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKGGAAVDNECAGGGGDEKQEFLGVKLRKRLTLGWKGGPCTPVPNWKLEPGPSFATAAALSARKLGANLWEMQGLSESPLMSRRGGKASRRKDMELVDDVPDLPARRSAGSPRRHASNASIEHHKLIESIRAMQSGSPASCSSMEVVTPFSQPLSPGSSLDFKGRLQDSGYSLKTSTELLKVLNWIWRREEQHESNVSLVKELKLELQHARRRIQELMQDQQVYRNEMDDMVKQVAENKLFRKNKEQDRLKDMMQSISNELEDEKRLRRRSESLHRKLGKELSQVKLAFAESVEDLDRQRKTNVLLENLCDEFAKGIREYEQELRALKQKAKGDSDHKFDRLVLHMSEAWLDERLQMAQENGDMNEKGSITDRLRGEIKNFFRARLANNAIAHPKDGRKESNLRRRSLESVCLNKSVSAPQVAVADDGSTSSDLHCFELNMNMNDSAKHDQSNHRSEIADICESLWQKDTKSRNVNKMQLREKKLLKSRRSYTAPETGAGANQIELVMSENFEKYHLKEAGLHDKTIDNSMKNSSECSDGCKVHPYDDHAEESRNHISWRGHFVPVGVGDAGADVHTAFSPVKQWDHRHASPELEISQCSSELLPGVKKNGLKAKLLEARLKGKHSRVKMTMKMKQQTAVS >DRNTG_10671.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5252929:5330765:-1 gene:DRNTG_10671 transcript:DRNTG_10671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEVRGKEEGVTLSFLSKSRVVASEPVYGSKQGRKDQHQTTSGGTKERGFAPGHSERPSLYASLAGVVQGEKLKAPLKQKKFNGVLRHLAVSQSDRRLLAQPWLRSPAPSCTLLLL >DRNTG_10671.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5330052:5330765:-1 gene:DRNTG_10671 transcript:DRNTG_10671.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEVRGKEEGVTLSFLSKSRVVASEPVYGSKQGRKDQHQTTSGGTKERGFAPGHSERPSLYASLAGVVQGEKLKAPLKQKKFNGVLRHLAVSQSDRRLLAQPWLRSPAPSCTLLLL >DRNTG_03339.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5938910:5946766:1 gene:DRNTG_03339 transcript:DRNTG_03339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMWIFHTPVDLHKGHSQGHSHALETSQNG >DRNTG_09830.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000414.1:114074:118212:-1 gene:DRNTG_09830 transcript:DRNTG_09830.7 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIVLTFRFWIHLFMEYLFTLWACFIIYKQYYNYGWSPALTISKVLVAIRSVFTTPDPYKPVMPNIARLYLTDRAKHDEIAAEWTMRFAR >DRNTG_09830.12 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000414.1:114074:118212:-1 gene:DRNTG_09830 transcript:DRNTG_09830.12 gene_biotype:protein_coding transcript_biotype:protein_coding MNYNIQYNEVGNEFKIANSFPTSCLNKFWIHLFMEYLFTLWACFIIYKQYYNYGWSPALTISKVLVAIRSVFTTPDPYKPVMPNIARLYLTDRAKHDEIAAEWTMRFAR >DRNTG_09830.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000414.1:114047:118212:-1 gene:DRNTG_09830 transcript:DRNTG_09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMMWFWIHLFMEYLFTLWACFIIYKQYYNYGWSPALTISKVLVAIRSVFTTPDPYKPVMPNIARLYLTDRAKHDEIAAEWTMRFAR >DRNTG_09830.13 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000414.1:114074:118212:-1 gene:DRNTG_09830 transcript:DRNTG_09830.13 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMMWFWIHLFMEYLFTLWACFIIYKQYYNYGWSPALTISKVLVAIRSVFTTPDPYKPVMPNIARLYLTDRAKHDEIAAEWTMRFAR >DRNTG_09830.11 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000414.1:114074:118212:-1 gene:DRNTG_09830 transcript:DRNTG_09830.11 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIVLTFRFWIHLFMEYLFTLWACFIIYKQYYNYGWSPALTISKVLVAIRSVFTTPDPYKPVMPNIARLYLTDRAKHDEIAAEWTMRFAR >DRNTG_09830.10 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000414.1:114074:118212:-1 gene:DRNTG_09830 transcript:DRNTG_09830.10 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIVLTFRFWIHLFMEYLFTLWACFIIYKQYYNYGWSPALTISKVLVAIRSVFTTPDPYKPVMPNIARLYLTDRAKHDEIAAEWTMRFAR >DRNTG_09830.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000414.1:114074:118212:-1 gene:DRNTG_09830 transcript:DRNTG_09830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIVLTFRFWIHLFMEYLFTLWACFIIYKQYYNYGWSPALTISKVLVAIRSVFTTPDPYKPVMPNIARLYLTDRAKHDEIAAEWTMRFAR >DRNTG_09830.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000414.1:114074:118212:-1 gene:DRNTG_09830 transcript:DRNTG_09830.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIVLTFRFWIHLFMEYLFTLWACFIIYKQYYNYGWSPALTISKVLVAIRSVFTTPDPYKPVMPNIARLYLTDRAKHDEIAAEWTMRFAR >DRNTG_09830.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000414.1:114074:118212:-1 gene:DRNTG_09830 transcript:DRNTG_09830.6 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIVLTFRFWIHLFMEYLFTLWACFIIYKQYYNYGWSPALTISKVLVAIRSVFTTPDPYKPVMPNIARLYLTDRAKHDEIAAEWTMRFAR >DRNTG_09830.9 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000414.1:114074:118212:-1 gene:DRNTG_09830 transcript:DRNTG_09830.9 gene_biotype:protein_coding transcript_biotype:protein_coding MNYNIQYNEVGNEFKIANSFPTSCLNKFWIHLFMEYLFTLWACFIIYKQYYNYGWSPALTISKVLVAIRSVFTTPDPYKPVMPNIARLYLTDRAKHDEIAAEWTMRFAR >DRNTG_09830.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000414.1:114074:118212:-1 gene:DRNTG_09830 transcript:DRNTG_09830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMMWFWIHLFMEYLFTLWACFIIYKQYYNYGWSPALTISKVLVAIRSVFTTPDPYKPVMPNIARLYLTDRAKHDEIAAEWTMRFAR >DRNTG_09830.15 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000414.1:114074:118212:-1 gene:DRNTG_09830 transcript:DRNTG_09830.15 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIVLTFRFWIHLFMEYLFTLWACFIIYKQYYNYGWSPALTISKVLVAIRSVFTTPDPYKPVMPNIARLYLTDRAKHDEIAAEWTMRFAR >DRNTG_09830.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000414.1:114047:118212:-1 gene:DRNTG_09830 transcript:DRNTG_09830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIVLTFRFWIHLFMEYLFTLWACFIIYKQYYNYGWSPALTISKVLVAIRSVFTTPDPYKPVMPNIARLYLTDRAKHDEIAAEWTMRFAR >DRNTG_09830.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000414.1:114074:118212:-1 gene:DRNTG_09830 transcript:DRNTG_09830.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMMWFWIHLFMEYLFTLWACFIIYKQYYNYGWSPALTISKVLVAIRSVFTTPDPYKPVMPNIARLYLTDRAKHDEIAAEWTMRFAR >DRNTG_09830.14 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000414.1:114074:118212:-1 gene:DRNTG_09830 transcript:DRNTG_09830.14 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLFTLWACFIIYKQYYNYGWSPALTISKVLVAIRSVFTTPDPYKPVMPNIARLYLTDRAKHDEIAAEWTMRFAR >DRNTG_15922.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:928309:929202:-1 gene:DRNTG_15922 transcript:DRNTG_15922.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWKHRRGGDGGGEEWIGRSWVKSGGEGSGSGSRYGGGCGR >DRNTG_00786.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:10323237:10325945:-1 gene:DRNTG_00786 transcript:DRNTG_00786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATENGAKVIVLKSSDGETFEVEESVLMESQTIKHLIEDDCAENIPLPNVTSKILSKVIEYCKKHVDHASKASSDEKLIDDEIKAWDADFVKVDQATLFDLILAANYLNIKSLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEIRRENQWAFE >DRNTG_32436.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1931546:1931960:1 gene:DRNTG_32436 transcript:DRNTG_32436.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVNIMAIASFDSGLFPPQRCSYPFGVTNCTAGNSSTEPYIAVHNALLAHASIFHLYKTKYQ >DRNTG_32436.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1922100:1935812:1 gene:DRNTG_32436 transcript:DRNTG_32436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACIGDQKSEMQGTRPLMASILLLLSLIYLNLKGCSSQSSPPNFTRNDFPSDFVFGAGTSAYQVEGAAAEDGRSPCIWDTHAHAGKMPDKSTGDIASDQYHKYKEDVKLMSDTGLEAYKFSISWSRLIPNGRGEVNPKGLDYYNNLINALIEKGIQPHVMLYHQDLPQALEDEYNGWLSPRIVNDFTAYADVCFTEFGDRVSHWTTMAEVNIMAIASFDSGLFPPQRCSYPFGVTNCTAGNSSTEPYIAVHNALLAHASIFHLYKTKYQAFQHGWIGLNIYTAWYTPYSDTKADIQATKRVRDFRLGWIVDPLVFGDYPKTMKTIVGSRLPVFTKSQSEYLKGSFNFIGLNYYSSAFVADNSVEALAMHIRDCSSDMLATLTDQDDVATIVTRHS >DRNTG_32436.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1932585:1935812:1 gene:DRNTG_32436 transcript:DRNTG_32436.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIVGSRLPVFTKSQSEYLKGSFNFIGLNYYSSAFVADNSVEALAMHIRDCSSDMLATLTDQDDVATIVTRHS >DRNTG_32436.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1922725:1930902:1 gene:DRNTG_32436 transcript:DRNTG_32436.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTGLEAYKFSISWSRLIPNGRGEVNPKGLDYYNNLINALIEKGIQPHVMLYHQDLPQALEDEYNGWLSPRIV >DRNTG_24580.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7861655:7867243:-1 gene:DRNTG_24580 transcript:DRNTG_24580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGKTTLLKKINQRLLDNANMGFDHVLFIEASQNSQLEELQKEIAKELHLAPDSVQKDFFNALKTKNIVLLLDNIWEPVDLVGLGIINPYRDDDDSTKPYKYKVIFTTRSENVCARMRASKRIKVECLEPDEAWALFKHNVNLAVIESDEKFKKIAWQVMNKCGGLPLALQVVGKAMSNRNTVQEWDDILSLLKNSGTEVVQGVQESLLPILKFSYDNLPRNIQECFLCASILQWLRKDDLLELWMGLGLIGDFVNLQQAYGKARHIFKNLEESCLLYSSDDVYVRSHDVIYEMAVWIASDCGMNMNKWIVKRFSSFEVEITSINAENWRFANRVIVSGKVELLPILSCQCSDLLCLMIQINSYFKNIPERFFSAMPNLTYLDLQETGIEELPKGMKCLANLQYLNISKTNISSLPKELVYLKKLQYLICRNLKGLGKVEDGLMSRLQKLKVIDIYPYGWVEPEELKLWKKHNSIKAIGMRVVSQEVLQQLSCLPTTQLYLANMDNLISLSFDTLSCKVHGFLQSLQIHSCPQLKELVMNGKWESSLYSQNP >DRNTG_24580.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7861655:7867243:-1 gene:DRNTG_24580 transcript:DRNTG_24580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLMATKEDVQRKLDDPQHNGKLLDNQHQVKDWLRDVGEKEYKVERLLDEYDKGNCVPAGSCSLNCFSRYKIGRNAFKLKEEITQLTTKLPEIKFTGVPPPKPVPESSKIAGKKIGSNLDVACSYLADETDDIIGIWGMGGVGKTTLLKKINQRLLDNANMGFDHVLFIEASQNSQLEELQKEIAKELHLAPDSVQKDFFNALKTKNIVLLLDNIWEPVDLVGLGIINPYRDDDDSTKPYKYKVIFTTRSENVCARMRASKRIKVECLEPDEAWALFKHNVNLAVIESDEKFKKIAWQVMNKCGGLPLALQVVGKAMSNRNTVQEWDDILSLLKNSGTEVVQGVQESLLPILKFSYDNLPRNIQECFLCASILQWLRKDDLLELWMGLGLIGDFVNLQQAYGKARHIFKNLEESCLLYSSDDVYVRSHDVIYEMAVWIASDCGMNMNKWIVKRFSSFEVEITSINAENWRFANRVIVSGKVELLPILSCQCSDLLCLMIQINSYFKNIPERFFSAMPNLTYLDLQETGIEELPKGMKCLANLQYLNISKTNISSLPKELVYLKKLQYLICRNLKGLGKVEDGLMSRLQKLKVIDIYPYGWVEPEELKLWKKHNSIKAIGMRVVSQEVLQQLSCLPTTQLYLANMDNLISLSFDTLSCKVHGFLQSLQIHSCPQLKELVMNGKWESSLYSQNP >DRNTG_24580.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7861655:7867243:-1 gene:DRNTG_24580 transcript:DRNTG_24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLMATKEDVQRKLDDPQHNGKLLDNQHQVKDWLRDVGEKEYKVERLLDEYDKGNCVPAGSCSLNCFSRYKIGRNAFKLKEEITQLTTKLPEIKFTGVPPPKPVPESSKIAGKKIGSNLDVACSYLADETDDIIGIWGMGGVGKTTLLKKINQRLLDNANMGFDHVLFIEASQNSQLEELQKEIAKELHLAPDSVQKDFFNALKTKNIVLLLDNIWEPVDLVGLGIINPYRDDDDSTKPYKYKVIFTTRSENVCARMRASKRIKVECLEPDEAWALFKHNVNLAVIESDEKFKKIAWQVMNKCGGLPLALQVVGKAMSNRNTVQEWDDILSLLKNSGTEVVQGVQESLLPILKFSYDNLPRNIQECFLCASILQWLRKDDLLELWMGLGLIGDFVNLQQAYGKARHIFKNLEESCLLYSSDDVYVRSHDVIYEMAVWIASDCGMNMNKWIVKRFSSFEVEITSINAENWRFANRVIVSGKVELLPILSCQCSDLLCLMIQINSYFKNIPERFFSAMPNLTYLDLQETGIEELPKGMKCLANLQYLNISKTNISSLPKELVYLKKLQYLICRNLKGLGKVEDGLMSRLQKLKVIDIYPYGWVEPEELKLWKKHNSIKAIGMRVVSQEVLQQLSCLPTTQLYLANMDNLISLSFDTLSCKVHGFLQSLQIHSCPQLKELVMNGKWESSLYSQNP >DRNTG_24580.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7864400:7867243:-1 gene:DRNTG_24580 transcript:DRNTG_24580.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGKTTLLKKINQRLLDNANMGFDHVLFIEASQNSQLEELQKEIAKELHLAPDSVQKDFFNALKTKNIVLLLDNIWEPVDLVGLGIINPYRDDDDSTKPYKYKVIFTTRSENVCARMRASKRIKVECLEPDEAWALFKHNVNLAVIESDEKFKKIAWQVMNKCGGLPLALQVVGKAMSNRNTVQEWDDILSLLKNSGTEVVQGVQESLLPILKFSYDNLPRNIQECFLCASILQWLRKDDLLELWMGLGLIGDFVNLQQAYGKARHIFKNLEESCLLYSSDDVYVRSHDVIYEMAVWIASDCGMNMNKWIVKRFSSFEVEITSINAENWRFANRVIVSGKVELLPILSCQCSDLLCLMIQINSYFKNIPERFFSAMPNLTYLDLQETGIEELPKGMKCLANLQYLNISKTNISSLPKELVYLKKLQYLICRNLKGLGKVEDGLMSRLQKLKVIDIYPYGWVEPEELKLWKKHNSIKAIGMRVVSQEVLQQLSCLPTTQLYLANMDNLISLSFDTLSCKVHGFLQSLQIHSCPQLKELVMNGKWESSLYSQNP >DRNTG_24580.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7861655:7867243:-1 gene:DRNTG_24580 transcript:DRNTG_24580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLMATKEDVQRKLDDPQHNGKLLDNQHQVKDWLRDVGEKEYKVERLLDEYDKGNCVPAGSCSLNCFSRYKIGRNAFKLKEEITQLTTKLPEIKFTGVPPPKPVPESSKIAGKKIGSNLDVACSYLADETDDIIGIWGMGGVGKTTLLKKINQRLLDNANMGFDHVLFIEASQNSQLEELQKEIAKELHLAPDSVQKDFFNALKTKNIVLLLDNIWEPVDLVGLGIINPYRDDDDSTKPYKYKVIFTTRSENVCARMRASKRIKVECLEPDEAWALFKHNVNLAVIESDEKFKKIAWQVMNKCGGLPLALQVVGKAMSNRNTVQEWDDILSLLKNSGTEVVQGVQESLLPILKFSYDNLPRNIQECFLCASILQWLRKDDLLELWMGLGLIGDFVNLQQAYGKARHIFKNLEESCLLYSSDDVYVRSHDVIYEMAVWIASDCGMNMNKWIVKRFSSFEVEITSINAENWRFANRVIVSGKVELLPILSCQCSDLLCLMIQINSYFKNIPERFFSAMPNLTYLDLQETGIEELPKGMKCLANLQYLNISKTNISSLPKELVYLKKLQYLICRNLKGLGKVEDGLMSRLQKLKVIDIYPYGWVEPEELKLWKKHNSIKAIGMRVVSQEVLQQLSCLPTTQLYLANMDNLISLSFDTLSCKVHGFLQSLQIHSCPQLKELVMNGKWESSLYSQNP >DRNTG_29395.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9103254:9105844:1 gene:DRNTG_29395 transcript:DRNTG_29395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRCTWAAFVERKGEVLSQSKEYAASALLFIERGVDPCLVYWNRIILLHGPPGIGKTSLYIKAYVGPPTLQARYEILRSCL >DRNTG_05319.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1979679:1991226:-1 gene:DRNTG_05319 transcript:DRNTG_05319.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYEYLEKAVENPDAAKEGVGANGNGVRAEDEKDRSRSSKRRGDEAERESERGLKRSRSESHRDQRSRDGERERDRHRSGREHRDHVRDERNGKEDRERGRNSGRESEREKEREKSPRRKSRPERLVEEDRHRSKERSGERGLREKEKERDFKERDRETRRPKEKKEDRIEPEADPERDQRTVFAYQISLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALSGQPLLGQPVMVKPSEAEKNLVQSTAAAGVGGVIGPYSGGARRLYVGNLHYNISEDQLRQVFEPFGSVELVQLPADPETGHCKGYGFVQFARLEDARAAQSLNGQIEIAGKPIKVSAVSDQVGIQEAGTNAGDFDDDDGGGLGLNARSRALLMQKLDRSGTTTSATVNSALPTPATSVLGMPPVVAPLLQPPAPSILGLHDLSLQIPPPAPTIDIAGVPSECLLLKNMFDPSIEAQPDFDIDIKEDVRDECSKFGTVKHIFVDKNSAGFVYLRFDSPTAAINAQHALHGRWFAGKMITATFMLPEIYETKFPANS >DRNTG_05319.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1979679:1991226:-1 gene:DRNTG_05319 transcript:DRNTG_05319.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNSRRSKGVGYIEFYDAMSVPMAIALSGQPLLGQPVMVKPSEAEKNLVQSTAAAGVGGVIGPYSGGARRLYVGNLHYNISEDQLRQVFEPFGSVELVQLPADPETGHCKGYGFVQFARLEDARAAQSLNGQIEIAGKPIKVSAVSDQVGIQEAGTNAGDFDDDDGGGLGLNARSRALLMQKLDRSGTTTSATVNSALPTPATSVLGMPPVVAPLLQPPAPSILGLHDLSLQIPPPAPTIDIAGVPSECLLLKNMFDPSIEAQPDFDIDIKEDVRDECSKFGTVKHIFVDKNSAGFVYLRFDSPTAAINAQHALHGRWFAGKMITATFMLPEIYETKFPANS >DRNTG_05319.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1979679:1991226:-1 gene:DRNTG_05319 transcript:DRNTG_05319.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNSRRSKGVGYIEFYDAMSVPMAIALSGQPLLGQPVMVKPSEAEKNLVQSTAAAGVGGVIGPYSGGARRLYVGNLHYNISEDQLRQVFEPFGSVELVQLPADPETGHCKGYGFVQFARLEDARAAQSLNGQIEIAGKPIKVSAVSDQVGIQEAGTNAGDFDDDDGGGLGLNARSRALLMQKLDRSGTTTSATVNSALPTPATSVLGMPPVVAPLLQPPAPSILGLHDLSLQIPPPAPTIDIAGVPSECLLLKNMFDPSIEAQPDFDIDIKEDVRDECSKFGTVKHIFVDKNSAGFVYLRFDSPTAAINAQHALHGRWFAGKMITATFMLPEIYETKFPANS >DRNTG_04367.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000204.1:17225:18495:-1 gene:DRNTG_04367 transcript:DRNTG_04367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAYRESIESLWLRYKRSSNSMHQEDCEASVCDSVADVHHENTYEEEEGETGTYILPGTFESGGSSKFNLKKRKIFPQKSYGTKLYETGANLSGEPCLDSRIGNQPLTLIGKRPSGALNVGSIPTKRMRTAARQRFVSPFNTTPTGGMQLDSKTDVSSG >DRNTG_26262.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21809609:21810879:-1 gene:DRNTG_26262 transcript:DRNTG_26262.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFMNEFCTEHFSCTDMEEEEEEEEEEEEGEGEEESDGGGQNMHVYEKEEKTKKKTQNLFLSCMLVCPLSFHPSMC >DRNTG_26262.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21809609:21810706:-1 gene:DRNTG_26262 transcript:DRNTG_26262.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFMNEFCTEHFSCTDMEEEEEEEEEEEEGEGEEESDGGGQNMHVYEKEEKTKKKTQNLFLSCMLVCPLSFHPSMC >DRNTG_26262.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21809765:21810706:-1 gene:DRNTG_26262 transcript:DRNTG_26262.7 gene_biotype:protein_coding transcript_biotype:protein_coding MFMNEFCTEHFSCTDMEEEEEEEEEEEEGEGEEESDGGGQNMHVYEKEEKTKKKTQNLFLSCMLVCPLSFHPSMC >DRNTG_26262.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21810044:21810879:-1 gene:DRNTG_26262 transcript:DRNTG_26262.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLDPHLMTSMTTSTILQSPKDSNKHNSKTFSDVEEKKEDEECKTPTSKESKIPLVPTTCPPAPRKPRTIIRCKRRLSELDFFVVPQPDIECFFLPQPKKFRHLPLSN >DRNTG_26262.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21809765:21810879:-1 gene:DRNTG_26262 transcript:DRNTG_26262.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFMNEFCTEHFSCTDMEEEEEEEEEEEEGEGEEESDGGGQNMHVYEKEEKTKKKTQNLFLSCMLVCPLSFHPSMC >DRNTG_26262.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21809609:21810706:-1 gene:DRNTG_26262 transcript:DRNTG_26262.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFMNEFCTEHFSCTDMEEEEEEEEEEEEGEGEEESDGGGQNMHVYEKEEKTKKKTQNLFLSCMLVCPLSFHPSMC >DRNTG_26262.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21809609:21810879:-1 gene:DRNTG_26262 transcript:DRNTG_26262.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMNEFCTEHFSCTDMEEEEEEEEEEEEGEGEEESDGGGQNMHVYEKEEKTKKKTQNLFLSCMLVCPLSFHPSMC >DRNTG_26262.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21809609:21810958:-1 gene:DRNTG_26262 transcript:DRNTG_26262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMNEFCTEHFSCTDMEEEEEEEEEEEEGEGEEESDGGGQNMHVYEKEEKTKKKTQNLFLSCMLVCPLSFHPSMC >DRNTG_16287.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25662827:25663591:-1 gene:DRNTG_16287 transcript:DRNTG_16287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGHQQRTLSYYAQPTLIGSQSRSLSNKAPEAKHSIEEIDINNYYWNSRGKLGSKDNGILEVSEVTALASHVKALSQKIDNLSI >DRNTG_33623.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002029.1:45131:48402:-1 gene:DRNTG_33623 transcript:DRNTG_33623.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGQERAIPLGTHHGRTHHGDGSTEGDQSGREDDCSILRSLETIRLMGIVRRVMETSLEENKQKWIMDAIVEETLGSNKDKSTSCAHRHMGVCQPPKCSSEYTKWTGTKAVTLICSDLCNIVKSFINVFESKTQRELPHGCVPNLAASMKKNEFGSTLGLERFLLGFRSGSMAFDIDFLWRRTILRAFVGIDSTRVP >DRNTG_34720.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11942774:11945760:1 gene:DRNTG_34720 transcript:DRNTG_34720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFPRLNLLIIETLCLSDTTDEIVDEYLQEMFNPDPYEGLFDQDKDNEELMMLCSIEERPSTPGIMKKVLRKMKRARRCHRKHSKAVGDVRELKKLDEPLLEPAEFSKAPEKTHGHVELTHTHGFVLRAHPEKAQGRGLTPVNDLMILACPWMSSLHPEKTQWRAVAPMSWACECPCPCGISARACAEHVAIFLGCPKHPQGRAAAPVGACVCPCKAFLWKHMGMGNFRTLVQMYRTPRGTSPFKGPSFLLIRTLPLQLSAPSHFFLTLHCHIWSNFCVVFWPLQGFPLQLVCVAVPFSRPVDPHGRADIPHDRFLKIGPTLIPGEGSLTPYKVPAEKSLNLIPHTKYGWIESSEYGDRKHSIGRERGHSTIS >DRNTG_24651.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8070612:8073140:-1 gene:DRNTG_24651 transcript:DRNTG_24651.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLTLPCACLPKRSIRTLSSSAKELLRSSSSKTPLSEPPPDALPTQSLSPAPPAHFAQFLKTHAQASPSSLLRRILHHPRLRPYDLHLFNPSSSSSSFSLDHSTYELMARSLAASNRLDSLLLLLRHPCPCSDSGIFSCSRAEPIFRLSLLALLRASRLNDAESLFHTLKKSLDSSRPPADLYNILINGFSKHSLYDKALQWYHTMLKDRVKPDTYTFNILISCCCRTRSFDNALTWFKAMRAQGCDPNVVTFNTLISGFFKEKRYKEGIGVAREILDLGHSFSVATCEILVKGLCGEGKEEEACELMKEFVKRGAVPDGFEWLELIERLCRKDKAGIALKVFDEMWERGRGVSAVACSALMEGLRSVGRNEEACGVMERMMEKGMVPDLVTCNCVLEGLCDAGKTKDANRLRVLAMRKGLGNLMV >DRNTG_24651.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8070778:8073140:-1 gene:DRNTG_24651 transcript:DRNTG_24651.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLTLPCACLPKRSIRTLSSSAKELLRSSSSKTPLSEPPPDALPTQSLSPAPPAHFAQFLKTHAQASPSSLLRRILHHPRLRPYDLHLFNPSSSSSSFSLDHSTYELMARSLAASNRLDSLLLLLRHPCPCSDSGIFSCSRAEPIFRLSLLALLRASRLNDAESLFHTLKKSLDSSRPPADLYNILINGFSKHSLYDKALQWYHTMLKDRVKPDTYTFNILISCCCRTRSFDNALTWFKAMRAQGCDPNVVTFNTLISGFFKEKRYKEGIGVAREILDLGHSFSVATCEILVKGLCGEGKEEEACELMKEFVKRGAVPDGFEWLELIERLCRKDKAGIALKVFDEMWERGRGVSAVACSALMEGLRSVGRNEEACGVMERMMEKGMVPDLVTCNCVLEGLCDAGKTKDANRLRVLAMRKGLGNLMV >DRNTG_24651.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8070853:8073140:-1 gene:DRNTG_24651 transcript:DRNTG_24651.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLTLPCACLPKRSIRTLSSSAKELLRSSSSKTPLSEPPPDALPTQSLSPAPPAHFAQFLKTHAQASPSSLLRRILHHPRLRPYDLHLFNPSSSSSSFSLDHSTYELMARSLAASNRLDSLLLLLRHPCPCSDSGIFSCSRAEPIFRLSLLALLRASRLNDAESLFHTLKKSLDSSRPPADLYNILINGFSKHSLYDKALQWYHTMLKDRVKPDTYTFNILISCCCRTRSFDNALTWFKAMRAQGCDPNVVTFNTLISGFFKEKRYKEGIGVAREILDLGHSFSVATCEILVKGLCGEGKEEEACELMKEFVKRGAVPDGFEWLELIERLCRKDKAGIALKVFDEMWERGRGVSAVACSALMEGLRSVGRNEEACGVMERMMEKGMVPDLVTCNCVLEGLCDAGKTKDANRLRVLAMRKGLGNLMV >DRNTG_24651.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8070853:8073140:-1 gene:DRNTG_24651 transcript:DRNTG_24651.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLTLPCACLPKRSIRTLSSSAKELLRSSSSKTPLSEPPPDALPTQSLSPAPPAHFAQFLKTHAQASPSSLLRRILHHPRLRPYDLHLFNPSSSSSSFSLDHSTYELMARSLAASNRLDSLLLLLRHPCPCSDSGIFSCSRAEPIFRLSLLALLRASRLNDAESLFHTLKKSLDSSRPPADLYNILINGFSKHSLYDKALQWYHTMLKDRVKPDTYTFNILISCCCRTRSFDNALTWFKAMRAQGCDPNVVTFNTLISGFFKEKRYKEGIGVAREILDLGHSFSVATCEILVKGLCGEGKEEEACELMKEFVKRGAVPDGFEWLELIERLCRKDKAGIALKVFDEMWERGRGVSAVACSALMEGLRSVGRNEEACGVMERMMEKGMVPDLVTCNCVLEGLCDAGKTKDANRLRVLAMRKGLGNLMV >DRNTG_24651.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8070612:8073140:-1 gene:DRNTG_24651 transcript:DRNTG_24651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLTLPCACLPKRSIRTLSSSAKELLRSSSSKTPLSEPPPDALPTQSLSPAPPAHFAQFLKTHAQASPSSLLRRILHHPRLRPYDLHLFNPSSSSSSFSLDHSTYELMARSLAASNRLDSLLLLLRHPCPCSDSGIFSCSRAEPIFRLSLLALLRASRLNDAESLFHTLKKSLDSSRPPADLYNILINGFSKHSLYDKALQWYHTMLKDRVKPDTYTFNILISCCCRTRSFDNALTWFKAMRAQGCDPNVVTFNTLISGFFKEKRYKEGIGVAREILDLGHSFSVATCEILVKGLCGEGKEEEACELMKEFVKRGAVPDGFEWLELIERLCRKDKAGIALKVFDEMWERGRGVSAVACSALMEGLRSVGRNEEACGVMERMMEKGMVPDLVTCNCVLEGLCDAGKTKDANRLRVLAMRKGLGNLMV >DRNTG_24651.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8070853:8072947:-1 gene:DRNTG_24651 transcript:DRNTG_24651.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLTLPCACLPKRSIRTLSSSAKELLRSSSSKTPLSEPPPDALPTQSLSPAPPAHFAQFLKTHAQASPSSLLRRILHHPRLRPYDLHLFNPSSSSSSFSLDHSTYELMARSLAASNRLDSLLLLLRHPCPCSDSGIFSCSRAEPIFRLSLLALLRASRLNDAESLFHTLKKSLDSSRPPADLYNILINGFSKHSLYDKALQWYHTMLKDRVKPDTYTFNILISCCCRTRSFDNALTWFKAMRAQGCDPNVVTFNTLISGFFKEKRYKEGIGVAREILDLGHSFSVATCEILVKGLCGEGKEEEACELMKEFVKRGAVPDGFEWLELIERLCRKDKAGIALKVFDEMWERGRGVSAVACSALMEGLRSVGRNEEACGVMERMMEKGMVPDLVTCNCVLEGLCDAGKTKDANRLRVLAMRKGLGNLMV >DRNTG_08563.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17167188:17168307:1 gene:DRNTG_08563 transcript:DRNTG_08563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEKEVRPNTVTIGMLMGLYQRKSNRSEAEFIFSHMRTCKIHFFMAYSSMMTIYTRLGMYDKSEGIIHFMGGDEAVPDSENWLVRLNASSERGKLKEAESVLTLMQEAEFPPIILCTTP >DRNTG_05620.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20654262:20655512:1 gene:DRNTG_05620 transcript:DRNTG_05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRLLLLVLFAIFVVSTFPPVFYVHGITSCIETERIALLSIKAGINHSNNQSLFSSWNGQDCCKWQGVTCNHESRHVNKLDLRQHPSDPFDPFYHFLQPASKLSSSLTQLHHLRHLDLSMNNFNSSPIPDFIGSLANLEYLNLYDAQFSGIIPHTLGNLSRLRYLNISSPHAHPYLKANDLHWLSGMTSLHHLDLSGVDLSNVHGWLHDINMLPSLLVLKLKRTNPQVGGIHDIALRHHLNLSSLRVLDLYWNYLNITLPQWLFNLTSLVHLNLSECSLYGKLPVTIGNLSSLRVLSLSGNYFDGVMPESLGNLGSLERLDLLGNDFSGSIPESLSNLTNLAYFDLSYNMISGVLPESFGNLTLLQYFSASGGNNLS >DRNTG_30050.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18612819:18621115:1 gene:DRNTG_30050 transcript:DRNTG_30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSDNIAKHWKANEAEAVETAKEWTRLYASGA >DRNTG_18962.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10999499:11006884:1 gene:DRNTG_18962 transcript:DRNTG_18962.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34640) UniProtKB/Swiss-Prot;Acc:F4IHY7] MASLSSSWLIRDGIVFGIIHDTGFDTVKSRNWLFKRPFLGVSHSRTIQVLVRPNRTSRLPHIIKCARKDGKFDPSSIEPPPYSSYMDSTSGQLEPASGARSSIPGKEYWPEGTMNRVRAARAPEPVGESEGKPSYGKNPGSRRKKYKEKAATSEAVETSTDKTDEFPVLETSDDASDEPRDPFDEYVTYQTEPEVENLTPYELDKKIGRPHPFVDPSKVKPIEEPRSSEDLWWNWRKPDKEQWSRWQRRRPDVDTVFAKAMAETGQIILFGDHPTRTETALARARKQVLKEERLEAEQRRLEEIGPIAYYSEWVTAWTRDTSREAVQKHYEETGEDENTQLITMFQHQTAEEYRIMMGTDARIKRDPLAMRLREDQIKEIWGGDPVYPTINYIQDPDEVIDYRGPDFHEPTPSMLAYLMDQGKIISREELEEILAKERKPELEVTDMDEAMASAVDIGQDDDEEDGEDEEEEDEGKITRNWSVLKSTPPLRKGKEKPKKDSPMTLEEAINDSENLTDFLMDFNEE >DRNTG_18962.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10999499:11006884:1 gene:DRNTG_18962 transcript:DRNTG_18962.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34640) UniProtKB/Swiss-Prot;Acc:F4IHY7] MASLSSSWLIRDGIVFGIIHDTGFDTVKSRNWLFKRPFLGVSHSRTIQVLVRPNRTSRLPHIIKCARKDGKFDPSSIEPPPYSSYMDSTSGQLEPASGARSSIPGKEYWPEGTMNRVRAARAPEPVGESEGKPSYGKNPGSRRKKYKEKAATSEAVETSTDKTDEFPVLETSDDASDEPRDPFDEYVTYQTEPEVENLTPYELDKKIGRPHPFVDPSKVKPIEEPRSSEDLWWNWRKPDKEQWSRWQRRRPDVDTVFAKAMAETGQIILFGDHPTRTETALARARKQVLKEERLEAEQRRLEEIGPIAYYSEWVTAWTRDTSREAVQKHYEETGEDENTQLITMFQHQTAEEYRIMMGTDARIKRDPLAMRLREDQIKEIWGGDPVYPTINYIQDPDEVIDYRGPDFHEPTPSMLAYLMDQGKIISREELEEILAKERKPELEVTDMDEAMASAVDIGQDDDEEDGEDEEEEDEGKITRNWSVLKSTPPLRKGKEKPKKDSPMTLEEAINDSENLTDFLMDFNEE >DRNTG_18962.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10999499:11006884:1 gene:DRNTG_18962 transcript:DRNTG_18962.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34640) UniProtKB/Swiss-Prot;Acc:F4IHY7] MAETGQIILFGDHPTRTETALARARKQVLKEERLEAEQRRLEEIGPIAYYSEWVTAWTRDTSREAVQKHYEETGEDENTQLITMFQHQTAEEYRIMMGTDARIKRDPLAMRLREDQIKEIWGGDPVYPTINYIQDPDEVIDYRGPDFHEPTPSMLAYLMDQGKIISREELEEILAKERKPELEVTDMDEAMASAVDIGQDDDEEDGEDEEEEDEGKITRNWSVLKSTPPLRKGKEKPKKDSPMTLEEAINDSENLTDFLMDFNEE >DRNTG_18962.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10999499:11006884:1 gene:DRNTG_18962 transcript:DRNTG_18962.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34640) UniProtKB/Swiss-Prot;Acc:F4IHY7] MASLSSSWLIRDGIVFGIIHDTGFDTVKSRNWLFKRPFLGVSHSRTIQVLVRPNRTSRLPHIIKCARKDGKFDPSSIEPPPYSSYMDSTSGQLEPASGARSSIPGKEYWPEGTMNRVRAARAPEPVGESEGKPSYGKNPGSRRKKYKEKAATSEAVETSTDKTDEFPVLETSDDASDEPRDPFDEYVTYQTEPEVENLTPYELDKKIGRPHPFVDPSKVKPIEEPRSSEDLWWNWRKPDKEQWSRWQRRRPDVDTVFAKAMAETGQIILFGDHPTRTETALARARKQVLKEERLEAEQRRLEEIGPIAYYSEWVTAWTRDTSREAVQKHYEETGEDENTQLITMFQHQTAEEYRIMMGTDARIKRDPLAMRLREDQIKEIWGGDPVYPTINYIQDPDEVIDYRGPDFHEPTPSMLAYLMDQGKIISREELEEILAKERKPELEVTDMDEAMASAVDIGQDDDEEDGEDEEEEDEGKITRNWSVLKSTPPLRKGKEKPKKDSPMTLEEAINDSENLTDFLMDFNEE >DRNTG_18962.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10999499:11006884:1 gene:DRNTG_18962 transcript:DRNTG_18962.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34640) UniProtKB/Swiss-Prot;Acc:F4IHY7] MASLSSSWLIRDGIVFGIIHDTGFDTVKSRNWLFKRPFLGVSHSRTIQVLVRPNRTSRLPHIIKCARKDGKFDPSSIEPPPYSSYMDSTSGQLEPASGARSSIPGKEYWPEGTMNRVRAARAPEPVGESEGKPSYGKNPGSRRKKYKEKAATSEAVETSTDKTDEFPVLETSDDASDEPRDPFDEYVTYQTEPEVENLTPYELDKKIGRPHPFVDPSKVKPIEEPRSSEDLWWNWRKPDKEQWSRWQRRRPDVDTVFAKAMAETGQIILFGDHPTRTETALARARKQVLKEERLEAEQRRLEEIGPIAYYSEWVTAWTRDTSREAVQKHYEETGEDENTQLITMFQHQTAEEYRIMMGTDARIKRDPLAMRLREDQIKEIWGGDPVYPTINYIQDPDEVIDYRGPDFHEPTPSMLAYLMDQGKIISREELEEILAKERKPELEVTDMDEAMASAVDIGQDDDEEDGEDEEEEDEGKITRNWSVLKSTPPLRKGKEKPKKDSPMTLEEAINDSENLTDFLMDFNEE >DRNTG_34578.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6842649:6845108:-1 gene:DRNTG_34578 transcript:DRNTG_34578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAERRLLANALLDLSNQHFILLSETCIPLFNFSIVYQYITKSSLSFLSSYDDPKKTGRGRYNKLMMPVVTLQQWRKGSQWFHLQRKLAIEVVSDHRYYLVFKQHCRPPCYMDEHYLPTLVTMFFPECNSNRSITFVDWSRGGSHPVTFKHRDVSEKLLQSIRHGSNCIYNGHRTTLCFLFARKFDHSTLGPLVKIAPTILGLDL >DRNTG_05495.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30254801:30256365:-1 gene:DRNTG_05495 transcript:DRNTG_05495.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVTESRVFTENKQDTDSLTATELKGSSLTKACIHGHSNSTSISNSSSEQKKVEIR >DRNTG_17164.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21801785:21805890:-1 gene:DRNTG_17164 transcript:DRNTG_17164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRREEGLVDREREKEKDLDPLLGKPVSDSSEGGSDEIKDEEIDSSSAPCCRICLECDGEPGDELISPCMCKGTQQFVHRNCLDHWRSVKEGFAFSHCTTCKAQFHLRVELLEDNSWRKIKFRIFVARDVLLVFLAVQTVIATIGGAAYLLDKNGDFRNSFSDSWDRILSKHPIPFYYCVGVVVFFVFLGFLGLIFHCASFNGNDPCMSGCRNCCYGWGILDCFPASMEACFALAVIFVVVFAILGVAYGFLAATMAMQRIWQRHYHILTKRELTKEYVVEDLHGQYTPPRLTPEHEEKLKLLKLL >DRNTG_17164.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21801785:21805890:-1 gene:DRNTG_17164 transcript:DRNTG_17164.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRREEGLVDREREKEKDLDPLLGKPVSDSSEGGSDEIKDEEIDSSSAPCCRICLECDGEPGDELISPCMCKGTQQFVHRNCLDHWRSVKEGFAFSHCTTCKAQFHLRVELLEDNSWRKIKFRIFVARDVLLVFLAVQTVIATIGGAAYLLDKNGDFRNSFSDSWDRILSKHPIPFYYCVGVVVFFVFLGFLGLIFHCASFNGNDPCMSGCRNCCYGWGILDCFPASMEACFALAVIFVVVFAILGVAYGFLAATMAMQRIWQRHYHILTKRELTKEYVVEDLHGQYTPPRLTPEHEEKLKLLKLL >DRNTG_31864.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:10674:11733:1 gene:DRNTG_31864 transcript:DRNTG_31864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVRRRKSSGELGDDLLSRFISESSSYSDEFLRDIIVSFVLAGRDTTSATLTWFFYLISIHPEVKTKLLDELRAVRARGAREGELTVEQVKGLNYMHAALSETLRLYPPVPLQTRACAESDVWPDGTKVKKGKTVMYSAYAMGKSEKIWGSDWEEFRPERWMDEGEFRAVNAFKFPVFHAGPRMCLGKEMAYVQMKTVVAAVMERFEIEVVDEVKKKREVEFTMILRMKGGLPVRVRRKTMMMNDDGTVEI >DRNTG_14141.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17807923:17815740:-1 gene:DRNTG_14141 transcript:DRNTG_14141.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSTSRLRTSSSSALAPPPRGGRSLVWMTFSLIIMRSKVKLFKDSQRNVRQKVIILMMKMIRLRKTKRECFPNLLMSAKNRHAESARSFHFFFFRRKLQHNFL >DRNTG_14141.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17807923:17815740:-1 gene:DRNTG_14141 transcript:DRNTG_14141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPLDFEAEDKLLFSARPTTKRRKVIGLDDLLTDYYEEQSKVVQRQSKKRKTKGHNSDDEDDKTQKNKERMLSKFVDECQKQVHEMSTEESIPLWGLRIFGQQQPPPLVNFSKILNCKLLQTFKKKELNAIFEANVVEGTNFLEGLLINSWLTKLVFSCGVVEDSVASWTFNQMLYSSDEELQEAACDFWCSVLLSKTEADQPLVKLSWFPCYAVLNDALKSYGYQSDASYNCSSMSKIVTTDFRHEGPPLNIGSWLRVLSSCCQFRSARPIFSTSEAEELLQIIFWLFLDRQLIGLSYCLCECMRSIIAYFMENEWEVSCRNVAESIAYRIPKDVNCLRIVECVSGVDDRSKKLKGQLALQILLVAFDKKGTSGKEILDMLLLISVKDKDCDFFRLYMYLDLVGNWLLYDHLIVESYELNEMWFKFLRNCSSQITSTDWRSYASKVRNKASYLLQNTVQKKNS >DRNTG_14141.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17812640:17814239:-1 gene:DRNTG_14141 transcript:DRNTG_14141.4 gene_biotype:protein_coding transcript_biotype:protein_coding RRKVIGLDDLLTDYYEEQSKVVQRQSKKRKTKGHNSDDEDDKTQKNKERMLSKFVDECQKQAC >DRNTG_13569.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:966426:967610:-1 gene:DRNTG_13569 transcript:DRNTG_13569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSIQVQAASPYPQYNNTKQQLELRTKTSSNQSTNIPLSTSKKYEGSSELIHLRYHMGPVLSSPINLFLIWYGHWSSLHAKLQSATSSSPSPTPTPAPDPIQLFPNGGDPQPHSTPIKQDPTSPAE >DRNTG_07707.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23067019:23068838:1 gene:DRNTG_07707 transcript:DRNTG_07707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQNQPVPGRPLSRLASIFQRGPQAPPPAPPPAPIPVPTQPLQPPSLRAPIRLPTFARPPAPTTTAPPPPPPPPVLAPAPAPALEVEPLPPLRPIQTRPAVPSPPLVTPPQTPPVPSPKPAQTQVVTTPRQTSPRPAPSPPQPPSPKPAQIQVTTAQRETSPRPAPPSPKPAQTQVTTTQRQISPRLTPPPPPSPKPAQTQFTTTQRQISPRPTPPPPPSPKPEISQATAIAQPQASPKSAPTNYDRPWTSTAPPAPTSTALPASPKSAVQPQASLRPRAVAYRSLTPPQSPKIIKPSEPTPPPSPKTIQQQPKSIFSQYKNGNGNSKVTSDKKEPPVEKNHAHLSPKKGDVVTDGKIANNSTMPSGKKKAEKSKEEEQTGWRLITIAGENMGAYMELGSGYHKLQKQQPAATIFKYQHNSKPSEVQENEPQSDIKESMTVSKARPLAAMVNSNVQAINNSMVFNCSCTHKSPGVHLNVSSHRLPTGTSSSHPKKGE >DRNTG_07707.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23067019:23068838:1 gene:DRNTG_07707 transcript:DRNTG_07707.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQNQPVPGRPLSRLASIFQRGPQAPPPAPPPAPIPVPTQPLQPPSLRAPIRLPTFARPPAPTTTAPPPPPPPPVLAPAPAPALEVEPLPPLRPIQTRPAVPSPPLVTPPQTPPVPSPKPAQTQVVTTPRQTSPRPAPSPPQPPSPKPAQIQVTTAQRETSPRPAPPSPKPAQTQFTTTQRQISPRPTPPPPPSPKPEISQATAIAQPQASPKSAPTNYDRPWTSTAPPAPTSTALPASPKSAVQPQASLRPRAVAYRSLTPPQSPKIIKPSEPTPPPSPKTIQQQPKSIFSQYKNGNGNSKVTSDKKEPPVEKNHAHLSPKKGDVVTDGKIANNSTMPSGKKKAEKSKEEEQTGWRLITIAGENMGAYMELGSGYHKLQKQQPAATIFKYQHNSKPSEVQENEPQSDIKESMTVSKARPLAAMVNSNVQAINNSMVFNCSCTHKSPGVHLNVSSHRLPTGTSSSHPKKGE >DRNTG_08400.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4746664:4750748:-1 gene:DRNTG_08400 transcript:DRNTG_08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative beta-glucosidase 41 [Source:Projected from Arabidopsis thaliana (AT5G54570) UniProtKB/Swiss-Prot;Acc:Q9FIU7] MPGVILMLCLLSSSLIISEAINRADFPDGFVFGTASSAYQFEGAVKEGNKGDSIWDTFTRRPGRILDFSNADVAVDQYHRFKADVDLMKDLGMDAYRFSISWSRIFPNGTGEPNSEGINHYNHLINALLEKGIQPYITLYHWDLPQALEDRYEGWLNIQIVEDFNNYAFTCFKAFGDRVKHWITFNEPHGFSILGYDTGIQAPGRCSILSHLLCKKGKSSTEPYVVAHNILLSHASVFHTYKLHFKAKQGGAIGIALDSKWYEPFSDADEDIDAARRAMDFELGWFLEPLLFGQYPRSMQDLVGSRLPTFTAEMSKLLAGSLDFLGINHYTTLYARNDRTRIWKRILDDAYTDAGVIRTAFRYGELIGENAASRWLHIVPWGIHKLMSYIRKNYGHTPVIITENGMDDANSPFIALDKALQDDKRINYHNDYLSNLHAAIREEGCDIRGYFVWSLLDNWEWNSGYTVRFGLYFIDYKNNLTRIPKDSAYWFKNFLGKSAPRKANM >DRNTG_08400.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4746664:4747975:-1 gene:DRNTG_08400 transcript:DRNTG_08400.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative beta-glucosidase 41 [Source:Projected from Arabidopsis thaliana (AT5G54570) UniProtKB/Swiss-Prot;Acc:Q9FIU7] MSYIRKNYGHTPVIITENGMDDANSPFIALDKALQDDKRINYHNDYLSNLHAAIREEGCDIRGYFVWSLLDNWEWNSGYTVRFGLYFIDYKNNLTRIPKDSAYWFKNFLGKSAPRKANM >DRNTG_08400.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4748574:4750748:-1 gene:DRNTG_08400 transcript:DRNTG_08400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative beta-glucosidase 41 [Source:Projected from Arabidopsis thaliana (AT5G54570) UniProtKB/Swiss-Prot;Acc:Q9FIU7] MPGVILMLCLLSSSLIISEAINRADFPDGFVFGTASSAYQFEGAVKEGNKGDSIWDTFTRRPGRILDFSNADVAVDQYHRFKADVDLMKDLGMDAYRFSISWSRIFPNGTGEPNSEGINHYNHLINALLEKGIQPYITLYHWDLPQALEDRYEGWLNIQIVEDFNNYAFTCFKAFGDRVKHWITFNEPHGFSILGYDTGIQAPGRCSILSHLLCKKGKSSTEPYVVAHNILLSHASVFHTYKLHFK >DRNTG_26647.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:291618:293143:-1 gene:DRNTG_26647 transcript:DRNTG_26647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAFLYLFTSPSSSQDRSHIAQDHGDPDRDHFPASISKPTARKAGGKPPAPKRPPQRGLGIAQLERLRVQESRMKLTDDEFQLPTYTASPKVYVDGDRWNDLKAPRHGLGVAPFNYSRLQESFNQITDREFLLQSYAVPSYTNVGGGGVWHDLPPGSLSHGYQRWRTLRHAMTPYVPAPGIRSEFLDYYAVDRSLMTGGDTRFCAVCPPMVEPPSNQMPHLGNCEFLALHSQKKRRLSGDQEFDTPNAGHVAAREESVAPYYMFFPPIDQSAGDSEIADQTVDVASPSTSSPNLVDLSLKLGH >DRNTG_14731.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:92315:100917:1 gene:DRNTG_14731 transcript:DRNTG_14731.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENKVPLLPKRKVYFDDCPGCRLAQRIESKPGIPYIEFLYVWLVTLCTALPISSLFPFLYFMIRDLHIAKREEDIGFYAGFVGASFMLGRSLTSVLWGLVADRYGRKPVIVFGIISVIIFNTLFGLSTSYWMAILTRFLLGALNGLLGPIKAYAAEVCRPEYQAIGVSLVSTAWGIGLVVGPAIGGFFAQPAENFPSIFAEDSLFGRFPYLLPCLIISIFAACVTITCIWLPETLHMHKESQNGYGTVEALEACSNGSDSKESNENPEGRQQAREESLLKNWPLMSSIIVYCVFSLYDMAFTEILPLWAESDRKFGGLNYSSQDVGVILAVSGFGLLVYQLSIYPLLEKTFGPIVLSRIGAALTIPLIASFPFLAMLSGAVLFVLINCGSLLKNIFSVSIITGLFILQNKAVPQHQRGAASGIAVASQSLFKAVAPAGAGAVFSWAQKRQQASFLPGDHMVFFFANVIVFIGFLMTFRPFLVPPNVDYTIIS >DRNTG_34846.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28934297:28935428:-1 gene:DRNTG_34846 transcript:DRNTG_34846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLETQEEQFEEKEEKPRGRSQSRRVTPTTNSSIVEKVLLNGDVYRGGFVGEHATRCWEVSVDGRVHVRRGVEAWEGCGEGKFSWPSGATFEGEFRSGRMEGIGTFTGPDGCTYRGSWVADRKHGFGRKCYANGDYYEGSWRRNVQEGQGRYVWKNGNEYIGEWKNGVISGRGILIWANGNRYDGHWENGVPKGSGVFTWPDGSCYVGTWGKDPRSLNGTFYPSSLPQPRKRSSVDGVVLGRGSGVAAEKGFPRICIWESDGEAGDITCDIIDTLEASMLYRDGTSFYRGSACN >DRNTG_34846.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28934297:28934918:-1 gene:DRNTG_34846 transcript:DRNTG_34846.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIGTFTGPDGCTYRGSWVADRKHGFGRKCYANGDYYEGSWRRNVQEGQGRYVWKNGNEYIGEWKNGVISGRGILIWANGNRYDGHWENGVPKGSGVFTWPDGSCYVGTWGKDPRSLNGTFYPSSLPQPRKRSSVDGVVLGRGSGVAAEKGFPRICIWESDGEAGDITCDIIDTLEASMLYRDGTSFYRGSACN >DRNTG_34846.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28933954:28934918:-1 gene:DRNTG_34846 transcript:DRNTG_34846.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIGTFTGPDGCTYRGSWVADRKHGFGRKCYANGDYYEGSWRRNVQEGQGRYVWKNGNEYIGEWKNGVISGRGILIWANGNRYDGHWENGVPKGSGVFTWPDGSCYVGTWGKDPRSLNGTFYPSSLPQPRKRSSVDGVVLGRGSGVAAEKGFPRICIWESDGEAGDITCDIIDTLEASMLYRDGTSFYRGSACNRRSPCCLTPGRRRNPARRYPKGTRTTI >DRNTG_11926.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:972147:973987:-1 gene:DRNTG_11926 transcript:DRNTG_11926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEKEHHHHLFHRHKDEKPAEETGYGGGEYTGGYGDTQSKYSSGGGDYTEGYANTQSKYSTEGGEFTEGYGNTQSKYSTGGGEYTGEYGGTETKYSSGEGGDGDYNSGYANPEYGSGEGKYTGEEYNKEEEREKYKKEEKHHKHKEHLGEMGAVAAGAFALYEKHEAKKDPEHAHRHKIEEEVAAAVGVGSGGYAFHEHHEKKEAKEDAEEAEGKKKHHFFG >DRNTG_00651.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16531052:16532462:1 gene:DRNTG_00651 transcript:DRNTG_00651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVPKVQEIVSQIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMASDNKLLGEFELTGIPPAPRGMPQIEVTFDIDANGIVKVSAKDKATSREQEITIRSSGGLSDDEIEKMVKEAELHAKKDQERKNLIDIRNSADTTIYSIEKSLSEYRDKIPSEVAQEIEAAVSELRDAMGKDDVDKIKEKLDAANKAVSKIGHSMNQRGGNEGSSGPGSDGGDQTQEAEYKEAKM >DRNTG_13079.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13145231:13147620:-1 gene:DRNTG_13079 transcript:DRNTG_13079.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL esterase/lipase CPRD49 [Source:Projected from Arabidopsis thaliana (AT3G11210) UniProtKB/Swiss-Prot;Acc:Q9SRM5] MRPRFVLFGSSIVQYSFSNGGWGTILTDVYARKADIVLRGYIGWNSRRALQVLDKVFPKDATVQPALIIVYFGGNDSMQAHPSGLGPHVPLEEYKENMRVIGNHLKSLSETTRIIFLTCPPLNEERLRQNTSGLCLVRTNEMCRRYSEACIEVCKELDVKVIDLFTAIQKRDDWANVCFYDGMHFAEEGSKIVAEEILKALKEADWEPSLHWKSMPTEFAEDSPYDLLASDGNSTLNPSDWTFHREIQWD >DRNTG_35247.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3472568:3483055:1 gene:DRNTG_35247 transcript:DRNTG_35247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGARQARLKSKKLDDIPPASQPFEQAENWLPTPNRTGRRTGAGRGRGANAATVAKGPAATPVRPTVAGRGKGIRVIDLDPDQPCEALPGAAAGGIQDFFLNQAAEVAADKDLVMEGGSAEKLVGAEDEPTTTPVPERVQVGNSPVYKIERKLGKGGFGQVYVGRRLSGGTERTGPDAFEVALKFEHRSSKGCNYGPPYEWQVYNSLNGCYGIPWVHYKGRQGDYYILVMDMLGPSLWDVWNSVGQAMSPNMVACIAVEAISILEKLHMKGFVHGDVKPENFLLGQPGSADEKKLFLIDLGLASRWKESSSGQHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDNKSFLVCKRKMATSPDVLCCFCPPPFRQFLEAVTNMKFDEEPNYSKLISLFDSLIEPCTPLRPIRIDGAIKVGQKRGRLLVNLEEDDQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADARLHQHVEKGNEDGLYISCVASSTNLWALIMDAGTGFCSQVYELSPIFLHKDWIMEQWEKNYYISAIAGANNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGSRWGVVMSRNSGFSDQVVELDFLYPSEGIHRRWESGYRITSTAATADQAAFILSIPKRKLMDETQETLRTSAFPSNHVKEKWAKNLYIASICYGRTVC >DRNTG_35247.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3472568:3483055:1 gene:DRNTG_35247 transcript:DRNTG_35247.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGARQARLKSKKLDDIPPASQPFEQAENWLPTPNRTGRRTGAGRGRGANAATVAKGPAATPVRPTVAGRGKGIRVIDLDPDQPCEALPGAAAGGIQDFFLNQAAEVAADKDLVMEGGSAEKLVGAEDEPTTTPVPERVQVGNSPVYKIERKLGKGGFGQVYVGRRLSGGTERTGPDAFEVALKFEHRSSKGCNYGPPYEWQVYNSLNGCYGIPWVHYKGRQGDYYILVMDMLGPSLWDVWNSVGQAMSPNMVACIAVEAISILEKLHMKGFVHGDVKPENFLLGQPGSADEKKLFLIDLGLASRWKESSSGQHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDNKSFLVCKRKMATSPDVLCCFCPPPFRQFLEAVTNMKFDEEPNYSKLISLFDSLIEPCTPLRPIRIDGAIKVGQKRGRLLVNLEEDDQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADARLHQHVEKGNEDGLYISCVASSTNLWALIMDAGTGFCSQVYELSPIFLHKDWIMEQWEKNYYISAIAGANNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGSRWGVVMSRNSGFSDQVVELDFLYPSEGIHRRWESGYRITSTAATADQAAFILSIPKRKLMDETQETLRTSAFPSNHVKEKWAKNLYIASICYGRTVC >DRNTG_00778.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9012326:9016686:1 gene:DRNTG_00778 transcript:DRNTG_00778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERRTLSEYERPQFTGDEFSVQAPSVASNNFEIKASAIGMVQNSVQFNGLANEDAHDHLSRRLRRAQRLCAIADGPHTAPIRGRLLRADPLGREGHLAHTAPIRGRMPNTKRLASKRPRTSGPSSTPDEPVFKLSHHRERYDRLKTKLFGTLCYLDWGIVENLGIASQVREWLSKNCWDKLFAINERTFRQLTLEVLSTFEAQQNGDCVRNRKILTISFQAFGQKRTMHHLDFAKYFGIYDDEFINSMPGKRLKLDFPSGVGRSNYWATLAGDDQTRKASRMIDPARKFIHALIAQSIWGRTDSKGVVTQSDLYTMYGIFERCPTHLGHLVVDAFLHQGSYPR >DRNTG_19377.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22283888:22284341:-1 gene:DRNTG_19377 transcript:DRNTG_19377.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKEKERERERNFGSNDDGGLQAFGVLDRPPIRSRCCVDLPRRRRDLDFRFHLELFVPLLRLLCGSCQSCCRSDQTPCQSYQMVHSPDPLLISDI >DRNTG_07780.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1097157:1101327:1 gene:DRNTG_07780 transcript:DRNTG_07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase epsilon subunit B [Source:Projected from Arabidopsis thaliana (AT5G22110) UniProtKB/Swiss-Prot;Acc:Q500V9] MEKLAVVLDGYNSVEVVPSLFILMGNFCSRPCNLAFNSFSSLRSQFGKLGEMISARSRLKEQSRFLLIPGPDDAGPSKVLPRCALPKYLIEELQEHVPNAIFSSNPCRIKFYTQEIVLFRQDLLYRMRRSSLIPPSTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIILGDRSEQKAFKYTGITCFNPGSFSNDSTFAAYRPSTQEVELSALEN >DRNTG_07780.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1099196:1101327:1 gene:DRNTG_07780 transcript:DRNTG_07780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase epsilon subunit B [Source:Projected from Arabidopsis thaliana (AT5G22110) UniProtKB/Swiss-Prot;Acc:Q500V9] MGLDFFGGGILSTEETLRLSGLEKNAVNDMFVILSDIWLDNDEAMEKLAVVLDGYNSVEVVPSLFILMGNFCSRPCNLAFNSFSSLRSQFGKLGEMISARSRLKEQSRFLLIPGPDDAGPSKVLPRCALPKYLIEELQEHVPNAIFSSNPCRIKFYTQEIVLFRQDLLYRMRRSSLIPPSTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIILGDRSEQKAFKYTGITCFNPGSFSNDSTFAAYRPSTQEVELSALEN >DRNTG_07780.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1100713:1101327:1 gene:DRNTG_07780 transcript:DRNTG_07780.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase epsilon subunit B [Source:Projected from Arabidopsis thaliana (AT5G22110) UniProtKB/Swiss-Prot;Acc:Q500V9] MRRSSLIPPSTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIILGDRSEQKAFKYTGITCFNPGSFSNDSTFAAYRPSTQEVELSALEN >DRNTG_14932.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27129141:27136828:1 gene:DRNTG_14932 transcript:DRNTG_14932.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Obg-like ATPase 1 [Source:Projected from Arabidopsis thaliana (AT1G30580) UniProtKB/TrEMBL;Acc:A0A178WF69] MPPKASKAKEPAPERPILGRFSSHLKIGIVGLPNVGKSTLFNTLTKLSIPAENFPFCTIEPNEARINVPDERFDWLCQLYKPKSEVSAFLEIHDIAGLVKGAHQGQGLGNNFLSHIRAVDGIFHVLRAFEDPEIIHVDDIVDPVRDLEVITEELRLKDIEFMEKKLEDLEKSMKRSNDKQLKIEHEVCEKVKAWLTDGKDVRLGDWKAADIEILNTFQLLTAKPVVYLVNMNEKDYQRKKNKFLPKIHAWVQEHGGEPIIPFSCVLERNLADLPEDEAAKYCEENKVQSALSKIIKTGFAAINLIYFFTAGPDEVKCWQIRRQTKAPQAAGAIHTDFERGFICAEVMKFDDLKELGSEGAVKAAGKYKQEGKTYVVQDGDIIFFKFNVSGGGKK >DRNTG_28693.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7055879:7060001:1 gene:DRNTG_28693 transcript:DRNTG_28693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLEKHLTRFIQFVNTRFESVESTLHNHIASLHNLENQVGKITKSLSEKPHGSLPSNTETNPREHVKAITLRIGREVEDTTDEIIDEYMQEMFNPYPYEGLFDQEGDYEEVMMLGSTEEVPSTPRILKKVLRKMKRARRHHRKHSKAVGDKQADKRPRESSPETEGMRFAIPEHQVRYERLSKLRFGQTRFLDTTILRDLQHRDELVDEVEDLVSAGGWWQLLMIREPAIREFELEGQYEPWVSKATCLSRPAYRYLHAIMSRSVNGRGDSTGVLRPGPYITRLVLGIDLLDSIRGAEKTSIPAPLSLETMRLMAMIRSVQTGGFALVLPAPEIAEDEGDEAGASQPTPEPQPAPMETEASPVAEEPSPVHMFSPSRAYDRFERLESTLGVVRTQVAEAQAKIAEIRATQATQYTEFMARFDVLQQILERDVTSSLVLRPRTPQVPSVPPAPPSPTPAPVDPPCASSPKQ >DRNTG_32347.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001827.1:96601:97383:-1 gene:DRNTG_32347 transcript:DRNTG_32347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAQLSPSGDHPLALHSFMTSKNSRKWRYDKSHRKGKEGRSATSRPTLSTLSNLPLSSPHGAGIGHPHGPMDVPHGPVDVPHVPVEFPHTPVEIPHGHVDSPEF >DRNTG_24531.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6087959:6094179:1 gene:DRNTG_24531 transcript:DRNTG_24531.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G16290) UniProtKB/Swiss-Prot;Acc:A8MPR5] MKLPLNPHFSFSLQWWTSIDPSISMAFSSLASPFISSFSPIPKPHSLFRSSALPSLIFCVSNSGNGGRDDDDDARRTTLKLLQFSVTITIVAASLPQPRAHAKVSEKKRSSKRSDGLSPEDLKSWSRDLPSVHDRIPYTDIIDLKRDGKVKHIVKLSTASLRQRPDPVLVVLNDSRVLRAVLPTMERDERFWCSWDEESLNSLCINAYTPPIKKPEVPAPYLGWLAKVPRFFWSLTKKKPQSKRVMELERTRKELAARRKAELARVREEREMMEKALRTQKKIEERKQRLEARKTNYQDSLRQARENYKNMASMWASMAMDQNVATALGGVFFVIFYRTVVLSYRKQRKDYEDRLKIEKAEAEERKKMRQLEREMAGMEGDGDDENEKGGEDNAYLKMAKKFMQSGARVRRARSKRLPQYLERGIDVKFSDVAGLGNIRLELEEIVKFFTLGEVYRRRGVRIPGGILLCGPPGVGKTLLAKAVAGEAGVNFFSISASQFVEIYVGVGASRVRALYQEAKENAPSVVFIDELDAVGRERGLIKGSGGQERDATLNQLLVCLDGFEGRGDVITIAATNRPDILDPALVRPGRFDRKIYIPKPSLIGRVEILKVHARKKPMAEDVDYMAVASMTDGMVGAELANIIEVAAINMMRDGRPEITTDDLLQAAQIEERGMLDKKDRSLDMWNQLALNEAAMAIVAMNFPDLKNIEFVTIAPRAGRELGYVRVKMDHMKFTEGMLTRQSLLDHITVQVAPRAADELWYGESQLSTIWAETADNARSAARSFVLGGLSEKYYGLSNFWVADRINEIDLEALNILNMCYDRAKEILQRNRRLMDAVVDQLVQKKSLTKQEFFNLVDENGNLERMPPNILDIRAAKRAQFQQMLMTKK >DRNTG_24531.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6087959:6094179:1 gene:DRNTG_24531 transcript:DRNTG_24531.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G16290) UniProtKB/Swiss-Prot;Acc:A8MPR5] MKLPLNPHFSFSLQWWTSIDPSISMAFSSLASPFISSFSPIPKPHSLFRSSALPSLIFCVSNSGNGGRDDDDDARRTTLKLLQFSVTITIVAASLPQPRAHAKVSEKKRSSKRSDGLSPEDLKSWSRDLPSVHDRIPYTDIIDLKRDGKVKHIVKLSTASLRQRPDPVLVVLNDSRVLRAVLPTMERDERFWCSWDEESLNSLCINAYTPPIKKPEVPAPYLGWLAKVPRFFWSLTKKKPQSKRVMELERTRKELAARRKAELARVREEREMMEKALRTQKKIEERKQRLEARKTNYQDSLRQARENYKNMASMWASMAMDQNVATALGGVFFVIFYRTVVLSYRKQRKDYEDRLKIEKAEAEERKKMRQLEREMAGMEGDGDDENEKGGEDNAYLKMAKKFMQSGARVRRARSKRLPQYLERGIDVKFSDVAGLGNIRLELEEIVKFFTLGEVYRRRGVRIPGGILLCGPPGVGKTLLAKAVAGEAGVNFFSISASQFVEIYVGVGASRVRALYQEAKENAPSVVFIDELDAVGRERGLIKGSGGQERDATLNQLLVCLDGFEGRGDVITIAATNRPDILDPALVRPGRFDRKIYIPKPSLIGRVEILKVHARKKPMAEDVDYMAVASMTDGMVGAELANIIEVAAINMMRDGRPEITTDDLLQAAQIEERGMLDKKDRSLDMWNQLALNEAAMAIVAMNFPDLKNIEFVTIAPRAGRELGYVRVKMDHMKFTEGMLTRQSLLDHITVQVAPRAADELWYGESQLSTIWAETADNARSAARSFVLGGLSEKYYGLSNFWVADRINEIDLEALNILNMCYDRAKEILQRNRRLMDAVVDQLVQKKSLTKQEFFNLVDENGNLERMPPNILDIRAAKRAQFQQMLMTKK >DRNTG_13170.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19994017:20000365:1 gene:DRNTG_13170 transcript:DRNTG_13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLSSSSPAQQTQEGEQKSLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEIDSHIPNYPSLPPQLMCQLHNVTMHADVETDEVYAQMTLQPLSPQEQKDPFLPAELGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPAQELTAMDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDNNQLLLGIRHANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATSSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISNVDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPSGLPSLHGIKDEDIGLNPSLMWLRDGADRGFQSMNFQGIGMSPWLQPRLDTSFLGLQPDMYQAMAAAAALQDMRTIEPSKQTNPAILQFQQPQSMTSNMPILAGQVLQQIQPQSQQALLQMIQGNPRQNETQSQFIQHQLQHCNSFGDQQHQHIQPIPPKHHQIQQHQNHQIQPQQHQHVQQHKLLVDHQQNPNVMPAMSHFIAASQPQQFIQHQNFADSNGNPVPSSTVSPLHGLLQSLSSEETSSLLSLPRTNPVINSGVWPSKRVAVESKIASGSQLEQIGNLQSGISQNSVSLPSFPGRECSVDQDGSIDPQNHFLFGVNIDSPLLMQDGMSGLRSVCTESDSTVVPYAPTNFLSPTDTDFALNHSLSSNHLDESALVQSSENNLGHANPQNGTFVKIYKSGSFGRSLDITRFSSYDELRSELGRLFGLEGQLEDPLRSGWQLVFVDRENDVLLLGDDPWQEFVNNVWCIKILSPQEVQQMGKQGIDLLTSIPLKRLSSSCEDYRQDSRNLSTSIPSVGSLNY >DRNTG_01669.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23877116:23880248:1 gene:DRNTG_01669 transcript:DRNTG_01669.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G22150) UniProtKB/TrEMBL;Acc:A0A178VER0] MSSSLQLSSSTLLPLRPQSSDLHEPDLRATTSLRSRLSKLCREGRLDAARRVFDAIPRPAPTLLWNTLLIGYVCNSMPLHALRLYSLMNHIPYSSPSDHYTYSSALKACADAHQLHLGKSIHCQILRRSPAPPKNRVLNNSLLNMYASAMPRSPVDIVRTVFDRMPKRNVISWNTLIAWSIRSGRPADALEQFRSMMSMGIVPTVVSFVNVFPAAAVLADKNCFNALYGLLVKYGSEYVNDLFVVSSAILMFSELSDILSARRVFDSSVRKNVEVWNSMIGAYVQNDRFEDAVELFTQVLELGTIEADAVSCVTTLMAISQLQNVIMGQQLHAHLIKKYSSKLPLILYNALIVMYSRCGCVHLAFELFHQMLERDVVSWNTMISAFVQNGLDFEGLLLVYEMQKEGCSVDSVTITALLSAASNLGNSRIGRETHAYLTRHGIHCAGMESYLIDMYAKSGSVEIARRLFDGCQLDERDQVIWNAMITGYAHSEQKEEAMLVFRMMLGEDQTPNSVTLSSVLPACSLLGDIQAGRQIHGFAVRRYLDTNVFVGSALVDMYSKCGAINFAERVFHNMAEKNTVTYTTMLLGLGQHGLGKRALDLFQSMKDSGVKPDGITFVAVISACSYSGLVDEGLLIFESMEEFGIEATAEHSCCVVDLLGRAGRVEQAYEFVQSLGDSGDVVGIWGSLLAACKVHGKFELGKLVAERLFQIKQNSDVVAGYHVLLSNVYAAEGKWQTVNKVRKEMRDRGLRKEPGSSWIEVGDSSHRFMSRDQRHPENEQISSLLQGLISEMKLSGYDNMPVDDLCLPGLIDAE >DRNTG_01669.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23877175:23880269:1 gene:DRNTG_01669 transcript:DRNTG_01669.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G22150) UniProtKB/TrEMBL;Acc:A0A178VER0] MSSSLQLSSSTLLPLRPQSSDLHEPDLRATTSLRSRLSKLCREGRLDAARRVFDAIPRPAPTLLWNTLLIGYVCNSMPLHALRLYSLMNHIPYSSPSDHYTYSSALKACADAHQLHLGKSIHCQILRRSPAPPKNRVLNNSLLNMYASAMPRSPVDIVRTVFDRMPKRNVISWNTLIAWSIRSGRPADALEQFRSMMSMGIVPTVVSFVNVFPAAAVLADKNCFNALYGLLVKYGSEYVNDLFVVSSAILMFSELSDILSARRVFDSSVRKNVEVWNSMIGAYVQNDRFEDAVELFTQVLELGTIEADAVSCVTTLMAISQLQNVIMGQQLHAHLIKKYSSKLPLILYNALIVMYSRCGCVHLAFELFHQMLERDVVSWNTMISAFVQNGLDFEGLLLVYEMQKEGCSVDSVTITALLSAASNLGNSRIGRETHAYLTRHGIHCAGMESYLIDMYAKSGSVEIARRLFDGCQLDERDQVIWNAMITGYAHSEQKEEAMLVFRMMLGEDQTPNSVTLSSVLPACSLLGDIQAGRQIHGFAVRRYLDTNVFVGSALVDMYSKCGAINFAERVFHNMAEKNTVTYTTMLLGLGQHGLGKRALDLFQSMKDSGVKPDGITFVAVISACSYSGLVDEGLLIFESMEEFGIEATAEHSCCVVDLLGRAGRVEQAYEFVQSLGDSGDVVGIWGSLLAACKVHGKFELGKLVAERLFQIKQNSDVVAGYHVLLSNVYAAEGKWQTVNKVRKEMRDRGLRKEPGSSWIEVGDSSHRFMSRDQRHPENEQISSLLQGLISEMKLSGYDNMPVDDLCLPGLIDAE >DRNTG_01669.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23877239:23880248:1 gene:DRNTG_01669 transcript:DRNTG_01669.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G22150) UniProtKB/TrEMBL;Acc:A0A178VER0] MSSSLQLSSSTLLPLRPQSSDLHEPDLRATTSLRSRLSKLCREGRLDAARRVFDAIPRPAPTLLWNTLLIGYVCNSMPLHALRLYSLMNHIPYSSPSDHYTYSSALKACADAHQLHLGKSIHCQILRRSPAPPKNRVLNNSLLNMYASAMPRSPVDIVRTVFDRMPKRNVISWNTLIAWSIRSGRPADALEQFRSMMSMGIVPTVVSFVNVFPAAAVLADKNCFNALYGLLVKYGSEYVNDLFVVSSAILMFSELSDILSARRVFDSSVRKNVEVWNSMIGAYVQNDRFEDAVELFTQVLELGTIEADAVSCVTTLMAISQLQNVIMGQQLHAHLIKKYSSKLPLILYNALIVMYSRCGCVHLAFELFHQMLERDVVSWNTMISAFVQNGLDFEGLLLVYEMQKEGCSVDSVTITALLSAASNLGNSRIGRETHAYLTRHGIHCAGMESYLIDMYAKSGSVEIARRLFDGCQLDERDQVIWNAMITGYAHSEQKEEAMLVFRMMLGEDQTPNSVTLSSVLPACSLLGDIQAGRQIHGFAVRRYLDTNVFVGSALVDMYSKCGAINFAERVFHNMAEKNTVTYTTMLLGLGQHGLGKRALDLFQSMKDSGVKPDGITFVAVISACSYSGLVDEGLLIFESMEEFGIEATAEHSCCVVDLLGRAGRVEQAYEFVQSLGDSGDVVGIWGSLLAACKVHGKFELGKLVAERLFQIKQNSDVVAGYHVLLSNVYAAEGKWQTVNKVRKEMRDRGLRKEPGSSWIEVGDSSHRFMSRDQRHPENEQISSLLQGLISEMKLSGYDNMPVDDLCLPGLIDAE >DRNTG_20547.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:588247:593351:-1 gene:DRNTG_20547 transcript:DRNTG_20547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLKFREDQKPLVRAKIPISIFGLPFLSGFSAGDTRELRLDLATAFDSGPSLRASYRPNDPLNPFTLSLRTGVGARGSPTAAPMTITAEFNLLSRSSSSSLHSFSILFKPRLGDFSLKKCIDSTTISRPIKSTVDKADDSDHDGTPRKGMLGMISGTEVAASSMMPLRGSAAVRFRWGLKLPAELQTAFSDRDRTAGIPFARIPLLVMSKISIEHVATDTKVKKTKAEEPDDVAKGSGDVAQTCSLIRRQLEALRAENGAMSNALEEIRKEVGVADVAKREMCVADVAKRETRGAPPMPSPEELTQELAKALKK >DRNTG_20547.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:588247:591361:-1 gene:DRNTG_20547 transcript:DRNTG_20547.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLKFREDQKPLVRAKIPISIFGLPFLSGFSAGDTRELRLDLATAFDSGPSLRASYRPNDPLNPFTLSLRTGVGARGSPTAAPMTITAEFNLLSRSSSSSLHSFSILFKPRLGDFSLKKCIDSTTISRPIKSTVDKADDSDHDGTPRKGMLGMISGTEVAASSMMPLRGSAAVRFRWGLKLPAELQTAFSDRDRTAGIPFARIPLLVMSKISIEHVATDTKVKKTKAEEPDDVAKGSGDVAQTCSLIRRQLEALRAENGAMSNALEEIRKEVGVADVAKREMCVADVAKRETRGAPPMPSPEELTQELAKALKK >DRNTG_08534.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1026518:1028309:-1 gene:DRNTG_08534 transcript:DRNTG_08534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQLACGLHTINDNEEPFPWRTTPRSPHPPPPPLPPPHLLPVYDIRWKFPHNCLNAEDSPAVDDGQAIKRAFRLGFELTRMLCRPP >DRNTG_00398.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000037.1:51251:53086:-1 gene:DRNTG_00398 transcript:DRNTG_00398.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTIYDRLSEIKDFDEAKAGVKGLVDAGITEVPRFFIHPTEKISTSPTTELQIPVIDMKDVNMRKKEIVEKVKEASETIGFFKVVNHGVPNKAMDEMLDAIKRFMEDDEEVKKKYYTRDYKRKVVYNCNFDLYNSPAANWRDSLFLNMAPDPPEKEEVPHAFREIVFEYSFHMKKLGEVLLELISEALGLKPDYLKEMECGKGIGIACHYYPPCPEPHLALGTSKHSDRGFLTILLHDKTIGGLQILYKNKWVDVPPSPGCLIINIADLLQLISNDKLKSVEHRVLASKEGPRLSVACFLVTQYSPSSMAYGPIMELLANGSAPIYREVVIDEFNKYYYSKGLDGKSALDHFKL >DRNTG_03667.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13658547:13662067:1 gene:DRNTG_03667 transcript:DRNTG_03667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRNYADKSDDDDIGNDAGSPVNQPEKPATGEEPEPELEPEDEDDSLSPPRISLPSKSSAPRVDDTALALSVAGAARALSGPLDPTQRAVSFNPTYDQLWAPIHGPAHPYAKDGVAQGMRNHKLGFVEDASIQPFLFDEQYNTFHNFGYASDPSGLSFVGDLQSLSTNNALSVYNIPQQEQKRRRLQMKSGDEESKSDLGPEAENPASEQWLLKNKKSPWSGKKEELPTELTEEQKKYAEEYAEKKAEKERGGEGRDRAEHADKSTFHGKEEHDYQGRSWIAPPKDAKPANEHCYIPKRWIHTWSGHTKGVSAIRFFPKHGHLLLSAGMDSKVKIWDVFNSGKCMRTYMGHSKAVRDISFSNDGTKFLSAGYDKHIKYWDTETGKVISTFSTGKIPYVVKLNPDEDKQNILLAGMSDKKIVQWDMKSGEITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISLHPNSNWLAAQSLDNQILIYSTKERFQLNKKKRFSGHIVAGYACQVNFSPDGRFVMSGDGEGKCWFWDWKSCKVFRTLKCHEGVCIGVEWHPLEQSKVATCGWDGMIKYWD >DRNTG_23235.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21634572:21640357:-1 gene:DRNTG_23235 transcript:DRNTG_23235.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 30 [Source:Projected from Arabidopsis thaliana (AT5G63480) UniProtKB/Swiss-Prot;Acc:Q9FMV4] MAGRSRQELGVDGQRHLEETIAAAFQILSSMNDELCNPALWSATPASAGASSGDVSTDSSQPLEIGSGGAAGGGAGGGGALEEARLRYKSAVSALRAVITAIPTSSQEAGVLETKLDQAETDRLEARLSVLRKELAIKNKHLKLLIDQLRDLISDISMWQSPCSV >DRNTG_23235.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21636176:21640357:-1 gene:DRNTG_23235 transcript:DRNTG_23235.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 30 [Source:Projected from Arabidopsis thaliana (AT5G63480) UniProtKB/Swiss-Prot;Acc:Q9FMV4] MAGRSRQELGVDGQRHLEETIAAAFQILSSMNDELCNPALWSATPASAGASSGDVSTDSSQPLEIGSGGAAGGGAGGGGALEEARLRYKSAVSALRAVITAIPTSSQEAGVLETKLDQAETDRLEARLSVLRKELAIKNKHLKLLIDQLRDLISDISMWQSPCSV >DRNTG_23235.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21636090:21640357:-1 gene:DRNTG_23235 transcript:DRNTG_23235.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 30 [Source:Projected from Arabidopsis thaliana (AT5G63480) UniProtKB/Swiss-Prot;Acc:Q9FMV4] MAGRSRQELGVDGQRHLEETIAAAFQILSSMNDELCNPALWSATPASAGASSGDVSTDSSQPLEIGSGGAAGGGAGGGGALEEARLRYKSAVSALRAVITAIPTSSQEAGVLETKLDQAETDRLEARLSVLRKELAIKNKHLKLLIDQLRDLISDISMWQSPCSV >DRNTG_23235.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21634572:21640357:-1 gene:DRNTG_23235 transcript:DRNTG_23235.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 30 [Source:Projected from Arabidopsis thaliana (AT5G63480) UniProtKB/Swiss-Prot;Acc:Q9FMV4] MAGRSRQELGVDGQRHLEETIAAAFQILSSMNDELCNPALWSATPASAGASSGDVSTDSSQPLEIGSGGAAGGGAGGGGALEEARLRYKSAVSALRAVITAIPTSSQEAGVLETKLDQAETDRLEARLSVLRKELAIKNKHLKLLIDQLRDLISDISMWQSPCSV >DRNTG_18511.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1593315:1597184:1 gene:DRNTG_18511 transcript:DRNTG_18511.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASCALVPSVFLGKIPRRVSSSSLPRNSWGSRVSMSVSTGIPEPLTADTIFKDYKPTCGFLFPGQGAQSVGMGAASENVPAAAELYSKANDILGFDLLDVCINGPKEKLDSTVISQPAIYVTSLAAVEVLRAREGGQDIIDSVDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGEAMQDAADAASSAMVSVIGLDSEKVQLLCDAANDEVDENNKVHIANFLCPGNYAVSGGVKGVEVVEAKAKSFKARMTVRLAVAGAFHTSFMEPAVSRLEAALAATELRTPRIPVISNVDAKPHSDPETIKKILARQVTSPVQWESTVKTLMERGLKKSFELGPGKVIAGILKRIDKGADIENISA >DRNTG_34646.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002169.1:16067:17584:1 gene:DRNTG_34646 transcript:DRNTG_34646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNGHVLVSNQNKDYERVQEIKEEIERLNEENKELTSMLDLVSTNVDNLKAQVEKITREMDLDDGLMIIKEMPLELQNTKTWTSFFRCDDNNGSLTTKDGYHWRKYGQKVTRNNPFPRAYFRCAMAPKCLVRKKVQRCSNNAKFLMATYEGEHNHPPPNKYFLNTTQSTTNSNLILSMTSCLDLNPSKNAEIFSENHKNNEYFNTCINEAGTSNNNNNNRLEEYINLLKSDPNFTQLLARKIVFSMLNNNNNNNNNSKIG >DRNTG_19335.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5293516:5296698:-1 gene:DRNTG_19335 transcript:DRNTG_19335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVLAREEHNLRKDHIITEVKKQAWLSVPIIVSGVLEKLIQIISLAFVGHLGELPLSAASMATSISGITGISILVGMGNALDTLCGQAYGAKEYHLLGIYLQKAMLVITIVCMPLAFVWAFAGEILHAIGQNKEISMAAQLYARCMIPVLFTYGLLQCYYRFLQAQNIAFPMMLTSGFTILVHIFTCWLLIIKWKIGYIGAAIANSLSYCLSFVLIASYVQLSSRFKHTWTGFSKQALHDFSSLLRLVIPSASMSCLEYWSFEAIVLMSDFLPNPKLETSVLAICLNTAIITYMIPIGIGASLSTHVSNELGAGNPRNAHSAIYVAGTIAIFEGLIVGSTLFLARNDWGKIFSNKLEVINYIARVVPLLALSHLIDAFQCVLLGIVRGCGWQKFGVVVNIGAFYIVGLPFAALFAFYWHLKAKGLWLGIICGLSTQIFLLFIITLFTNWEKQAKKAVDRVNKSTVAVGVVTTTNNNPQADSSLREEVQASDDCH >DRNTG_13867.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4733749:4736934:-1 gene:DRNTG_13867 transcript:DRNTG_13867.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRHLLRRSSLDRFSARLFSSAASAPRPIRATLFPGDGIGPEIAESVKQVFNAAEVPIEWEEHFVGNKVDPRTESFLTWESLESVRRNGVGLKGPMATPIGKGHRSLNLTLRKELGLYANVRPCYSLPGYKTRYDDVNLVTIRENTEGEYSGLEHQVVRGVVESVKIITRQASSRVAEYAFHYAKTNGRQRVSVVHKANIMRKTDGLFLKCCREVAKKYPDITYEEVVIDNCCMMLVKNPTLFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGIALAEAVHGSAPDIAGKNLANPTALLLSGVAMLRHLKLTDKADRIHDAILNTIAEGKHRTVDLGGTSTTTDFTKAVCDHL >DRNTG_32389.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30285151:30287164:1 gene:DRNTG_32389 transcript:DRNTG_32389.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLEEACHTGNLSMFHSLLQEDKLLLHRFSSSSTASIDNPLHIAASLGHTDLANEIIIGNPDLSSDLNPRALSALHLASAHGHLEIVKLLISKVGSHLCFLKDKDGRLAIHIAATKGRIDILEELIKICPESARALTYQHESILHIAVQSNSFETIEFLVNKLEDDGDINELFNLKDDKGNTILHHAVARRQLQSVKLLLSKGDVAEVNAMNDKGLTALDVLLDSPREYGDLALGEVIRVAGGKIASEMDPQQTSLETNTSRNESSGTTIPPSRSWVSRLFGGRRGTRLQRRTKKHDEVEDNYTPGTLMVVATLIATVTFQSGLNPPGGFTQDNGNNTTADNSSNASPPPGVAILGSNLNYFLLYDMIALFASFSIILILICVRPRKKKIMMKILVVVMLVAVFSTALAFSSGIVAIFMYSNFRISGYLESGWFLLLTIFSSWVFLGIVYLLRKVGWWRKKEGDKVSNIVRNGGRLLWRMRVGVVIIILLISAFLILIYWETFKICESRDDTTLGDASLGGNIIG >DRNTG_05685.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:167576:171361:1 gene:DRNTG_05685 transcript:DRNTG_05685.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLFHMIFTFLPFQLMNEDLLQDLVLYKKSHEKAISTAARSLIMLFREICPSLLVKKDRGRPTNPKAKPKAFGEVNIETSVPGLELLQNDGNSMPESSDDELNSYDDEDDLPQSNLDSGHDNEAFYESKEDSCRSEDDEEDQAAVSDEEEDDDDTDTLGDSCKGLGPRGCDNSHGIISDDSDSDDDMDDDDSDEDEDDEDTDEEESAQKSLAETADVEEDVEEAANGEDENDMKKAKTLKRKFVDYAGKPNETSLRALKRLAGSNMSPIPSVDDKEILSNEDFQRIKELKAKKEAKLALSRQGLLRKGSDPKLSALKLPSAEQLSLKRVDPAKLEIHVKRKLSKEERLALVKAGREDRVKYQARTATKQNKTGGLSNRQKEHKKAMPLAAKRAKVARSRHEKRKKQNRSGKQFRGKKAWK >DRNTG_05685.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:161942:171361:1 gene:DRNTG_05685 transcript:DRNTG_05685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLILLNFYPFLQKYIKAHQRDVTTLLAAAVQACHDMVPPDAVEPLFRQIVDQFVHDRSRSEAIAVGLNVVREICLRMPLLMNEDLLQDLVLYKKSHEKAISTAARSLIMLFREICPSLLVKKDRGRPTNPKAKPKAFGEVNIETSVPGLELLQNDGNSMPESSDDELNSYDDEDDLPQSNLDSGHDNEAFYESKEDSCRSEDDEEDQAAVSDEEEDDDDTDTLGDSCKGLGPRGCDNSHGIISDDSDSDDDMDDDDSDEDEDDEDTDEEESAQKSLAETADVEEDVEEAANGEDENDMKKAKTLKRKFVDYAGKPNETSLRALKRLAGSNMSPIPSVDDKEILSNEDFQRIKELKAKKEAKLALSRQGLLRKGSDPKLSALKLPSAEQLSLKRVDPAKLEIHVKRKLSKEERLALVKAGREDRVKYQARTATKQNKTGGLSNRQKEHKKAMPLAAKRAKVARSRHEKRKKQNRSGKQFRGKKAWK >DRNTG_05685.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:167124:171361:1 gene:DRNTG_05685 transcript:DRNTG_05685.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLILLNFYPFLQKYIKAHQRDVTTLLAAAVQACHDMVPPDAVEPLFRQIVDQFVHDRSRSEAIAVGLNVVREICLRMPLLMNEDLLQDLVLYKKSHEKAISTAARSLIMLFREICPSLLVKKDRGRPTNPKAKPKAFGEVNIETSVPGLELLQNDGNSMPESSDDELNSYDDEDDLPQSNLDSGHDNEAFYESKEDSCRSEDDEEDQAAVSDEEEDDDDTDTLGDSCKGLGPRGCDNSHGIISDDSDSDDDMDDDDSDEDEDDEDTDEEESAQKSLAETADVEEDVEEAANGEDENDMKKAKTLKRKFVDYAGKPNETSLRALKRLAGSNMSPIPSVDDKEILSNEDFQRIKELKAKKEAKLALSRQGLLRKGSDPKLSALKLPSAEQLSLKRVDPAKLEIHVKRKLSKEERLALVKAGREDRVKYQARTATKQNKTGGLSNRQKEHKKAMPLAAKRAKVARSRHEKRKKQNRSGKQFRGKKAWK >DRNTG_05685.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:161942:166439:1 gene:DRNTG_05685 transcript:DRNTG_05685.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPSSVQLLTPETLLASGKGSERLSLPALQSKMKCDPEGYESELVLLHRHFGSSLQLFRQQSALAPSTDPAVVKDLGDFAMFLAHVSPLYPSHLADFPGELIDLLRSDAGSLPSSLRCHLVQALILLVNRKLVGIEETLELFMDLQILGDRTMRKLAFAHVVHSIRRMNQKHKNEANNRKLQNILFFMLQVSSVMSISLQSLLYQILRFRSSVSSLCL >DRNTG_05685.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:161942:166439:1 gene:DRNTG_05685 transcript:DRNTG_05685.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPSSVQLLTPETLLASGKGSERLSLPALQSKMKCDPEGYESELVLLHRHFGSSLQLFRQQSALAPSTDPAVVKDLGDFAMFLAHVSPLYPSHLADFPGELIDLLRSDAGSLPSSLRCHLVQALILLVNRKLVGIEETLELFMDLQILGDRTMRKLAFAHVVHSIRRMNQKHKNEANNRKLQNILFFMLQEEDEARAKRALVVLSDLHRRRVWFDDRTANAICTACFHSSSRILISALSFLLGYEQVEEEEDDSDNSSSEDDTTNQTAQIILSREAVYKAHRKGTTSSKKKKKAKLQRVARSMKKQQRISSENNNSNWYSPLAHLQDAQGFVEKLFSRLQKCNERFEVLLLIL >DRNTG_00239.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26016412:26017611:1 gene:DRNTG_00239 transcript:DRNTG_00239.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRASDLQGDKGTINAQFRRKLPIKYIRFLICRRIKDGNNENNQKQKKQKKCGLGHQISKVMRVTSMNNSDANYPLNHSDSSFADLNRNQNRKSERKKEGTRIHT >DRNTG_00239.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26015592:26017560:1 gene:DRNTG_00239 transcript:DRNTG_00239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRASDLQGDKGTINAQFRRKLPIKYIRFLICRRIKDGNNENNQKQKKQKKCGLGHQISKVMRVTSMNNSDANYPLNHSDSSFADLNRNQNRKSERKKEGTRIHT >DRNTG_00239.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26016412:26017560:1 gene:DRNTG_00239 transcript:DRNTG_00239.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRASDLQGDKGTINAQFRRKLPIKYIRFLICRRIKDGNNENNQKQKKQKKCGLGHQISKVMRVTSMNNSDANYPLNHSDSSFADLNRNQNRKSERKKEGTRIHT >DRNTG_01463.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27673981:27675819:1 gene:DRNTG_01463 transcript:DRNTG_01463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPDNNKVMRSTKDFLTTAASLAATAVVARTVINDLVPYELRDYILSGINYLRSRSSSHDLIIVIEEKDGYTPNHVYQAAMAYLSSKPSSSMRRIKVRKHDEDKNLVVSLDQGEEMIDVFEGIEFKWCLVCSQQESSNKDNGLGMLAESRSFELSFKKKHKDTALNVYVPWILDWWKAFQDQDKTLKLYMNEGDCWCPINLHHPATFETLAMDPDLKRSVMDDLARFVKRKDYYKRIGKAWKRGYLLYGPPGTGKSSLIAAMANFLKFDIYDLELTEVNWNSSLRRLLMGTSNKSIIVVEDIDCSVQLPNRDTSDNSNDEKVTLSGLLNFVDGLWSSCGEERIIVFTTNYKEKLDLALLRPGRMDMHIHMGYCSPSAFRILASNYHNIDDHPLFEEIETLIKEVEITPAAIAEELMRSDDVDVALQGLVDCLQHLKLEVNKPKSGVDDVDKAEVKDVDSTQNESQQDG >DRNTG_18265.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:9622810:9623278:-1 gene:DRNTG_18265 transcript:DRNTG_18265.1 gene_biotype:protein_coding transcript_biotype:protein_coding APTSCVTTYGGNGSTTLDKGLKKEIG >DRNTG_21671.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10962906:10964569:1 gene:DRNTG_21671 transcript:DRNTG_21671.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-D-deoxyxylulose 5-phosphate synthase-like protein [Source:Projected from Arabidopsis thaliana (AT5G11380) UniProtKB/TrEMBL;Acc:Q9LFL9] MACLPNMIVMAPADEDELISMVGIAANTNDRPVCFRCPGVGSISGIFGPLCSVTPIEVGKGEILVEGRDVALLGYGVMVQNCLKAQSLLAELNIQVTVVNARFCKPLDIELVRKLCREHEFLITVEEGSIGGFGSHVAQFIALDGLLDGRTKWRPIVLPDSYIEHGTLIEQLDTAGLSGHHIAATALRLLGRNREALMLLR >DRNTG_21671.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10961488:10964569:1 gene:DRNTG_21671 transcript:DRNTG_21671.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-D-deoxyxylulose 5-phosphate synthase-like protein [Source:Projected from Arabidopsis thaliana (AT5G11380) UniProtKB/TrEMBL;Acc:Q9LFL9] MHEWAAKFDEFARGMVVPLGATLFEELGLYYIGPVDGTSIEDLICVLNEVASLDSTGPVLIHVITEAEQGLADITNGALNSGLLASPDTISCKLSGTFNDCLVESLIAEAEKDKDIVVVHAGMGIDASLNLFQSKFPERIFDVGMAEQHAVTFAAGLSCGGLKPFCIIPSTFLQRAYDQIIEDVDLQNVPVRFAVTSAGLVGPDGPTKCGAFDIAFMACLPNMIVMAPADEDELISMVGIAANTNDRPVCFRCPGVGSISGIFGPLCSVTPIEVGKGEILVEGRDVALLGYGVMVQNCLKAQSLLAELNIQVTVVNARFCKPLDIELVRKLCREHEFLITVEEGSIGGFGSHVAQFIALDGLLDGRTKWRPIVLPDSYIEHGTLIEQLDTAGLSGHHIAATALRLLGRNREALMLLR >DRNTG_21671.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10964070:10964736:1 gene:DRNTG_21671 transcript:DRNTG_21671.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-D-deoxyxylulose 5-phosphate synthase-like protein [Source:Projected from Arabidopsis thaliana (AT5G11380) UniProtKB/TrEMBL;Acc:Q9LFL9] MTTKREQLVQGWLQIINDLACAFGISLSCKLMRRWCLRQ >DRNTG_21671.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10962906:10964736:1 gene:DRNTG_21671 transcript:DRNTG_21671.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-D-deoxyxylulose 5-phosphate synthase-like protein [Source:Projected from Arabidopsis thaliana (AT5G11380) UniProtKB/TrEMBL;Acc:Q9LFL9] MACLPNMIVMAPADEDELISMVGIAANTNDRPVCFRCPGVGSISGIFGPLCSVTPIEVGKGEILVEGRDVALLGYGVMVQNCLKAQSLLAELNIQVTVVNARFCKPLDIELVRKLCREHEFLITVEEGSIGGFGSHVAQFIALDGLLDGRTKWRPIVLPDSYIEHGTLIEQLDTAGLSGHHIAATALRLLGRNREALMLLR >DRNTG_21671.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10963504:10964569:1 gene:DRNTG_21671 transcript:DRNTG_21671.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-D-deoxyxylulose 5-phosphate synthase-like protein [Source:Projected from Arabidopsis thaliana (AT5G11380) UniProtKB/TrEMBL;Acc:Q9LFL9] MVQNCLKAQSLLAELNIQVTVVNARFCKPLDIELVRKLCREHEFLITVEEGSIGGFGSHVAQFIALDGLLDGRTKWRPIVLPDSYIEHGTLIEQLDTAGLSGHHIAATALRLLGRNREALMLLR >DRNTG_21671.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10958219:10964569:1 gene:DRNTG_21671 transcript:DRNTG_21671.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-D-deoxyxylulose 5-phosphate synthase-like protein [Source:Projected from Arabidopsis thaliana (AT5G11380) UniProtKB/TrEMBL;Acc:Q9LFL9] MNSYAFPPIQTYAHKILTGRRSLMHTIMQKNGISGFTSRRESEYDAFGAGHGCNSISAGLGMAVARDLKGKKDQVVTVISNWSTMAGQVYEAMGNAGYLDSNMVVILNDSRHSLHPKQEEGVKPTINALSSTLSKLQSSKSFRRFREAAKVVTKRIGKGMHEWAAKFDEFARGMVVPLGATLFEELGLYYIGPVDGTSIEDLICVLNEVASLDSTGPVLIHVITEAEQGLADITNGALNSGLLASPDTISCKLSGTFNDCLVESLIAEAEKDKDIVVVHAGMGIDASLNLFQSKFPERIFDVGMAEQHAVTFAAGLSCGGLKPFCIIPSTFLQRAYDQIIEDVDLQNVPVRFAVTSAGLVGPDGPTKCGAFDIAFMACLPNMIVMAPADEDELISMVGIAANTNDRPVCFRCPGVGSISGIFGPLCSVTPIEVGKGEILVEGRDVALLGYGVMVQNCLKAQSLLAELNIQVTVVNARFCKPLDIELVRKLCREHEFLITVEEGSIGGFGSHVAQFIALDGLLDGRTKWRPIVLPDSYIEHGTLIEQLDTAGLSGHHIAATALRLLGRNREALMLLR >DRNTG_21671.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10958219:10964569:1 gene:DRNTG_21671 transcript:DRNTG_21671.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-D-deoxyxylulose 5-phosphate synthase-like protein [Source:Projected from Arabidopsis thaliana (AT5G11380) UniProtKB/TrEMBL;Acc:Q9LFL9] MGLASASSWSHLSLRSRLFLHSECSLQQSKFYFFEVAKQFKGEIFKTNLHTESMSSYSRKGLCGQVAALPDANDFFSDKAPTPILDMVDTPIHLKNLSIKELKQLAEEIRSEISFIMSKSGPLFKASLAVVELTIAIHYVFNAPVDKILWDIGDQTYAHKILTGRRSLMHTIMQKNGISGFTSRRESEYDAFGAGHGCNSISAGLGMAVARDLKGKKDQVVTVISNWSTMAGQVYEAMGNAGYLDSNMVVILNDSRHSLHPKQEEGVKPTINALSSTLSKLQSSKSFRRFREAAKVVTKRIGKGMHEWAAKFDEFARGMVVPLGATLFEELGLYYIGPVDGTSIEDLICVLNEVASLDSTGPVLIHVITEAEQGLADITNGEQHSENYAHIIKMLKHASIDPAQKTRNLILSCLWDNEIFFKVNN >DRNTG_21671.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10958219:10964569:1 gene:DRNTG_21671 transcript:DRNTG_21671.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-D-deoxyxylulose 5-phosphate synthase-like protein [Source:Projected from Arabidopsis thaliana (AT5G11380) UniProtKB/TrEMBL;Acc:Q9LFL9] MGLASASSWSHLSLRSRLFLHSECSLQQSKFYFFEVAKQFKGEIFKTNLHTESMSSYSRKGLCGQVAALPDANDFFSDKAPTPILDMVDTPIHLKNLSIKELKQLAEEIRSEISFIMSKSGPLFKASLAVVELTIAIHYVFNAPVDKILWDIGDQTYAHKILTGRRSLMHTIMQKNGISGFTSRRESEYDAFGAGHGCNSISAGLGMAVARDLKGKKDQVVTVISNWSTMAGQVYEAMGNAGYLDSNMVVILNDSRHSLHPKQEEGVKPTINALSSTLSKLQSSKSFRRFREAAKVVTKRIGKGMHEWAAKFDEFARGMVVPLGATLFEELGLYYIGPVDGTSIEDLICVLNEVASLDSTGPVLIHVITEAEQGLADITNGALNSGLLASPDTISCKLSGTFNDCLVESLIAEAEKDKDIVVVHAGMGIDASLNLFQSKFPERIFDVGMAEQHAVTFAAGLSCGGLKPFCIIPSTFLQRAYDQIIEDVDLQNVPVRFAVTSAGLVGPDGPTKCGAFDIAFMACLPNMIVMAPADEDELISMVGIAANTNDRPVCFRCPGVGSISGIFGPLCSVTPIEVGKGEILVEGRDVALLGYGVMVQNCLKAQSLLAELNIQVTVVNARFCKPLDIELVRKLCREHEFLITVEEGSIGGFGSHVAQFIALDGLLDGRTKWRPIVLPDSYIEHGTLIEQLDTAGLSGHHIAATALRLLGRNREALMLLR >DRNTG_21671.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10958219:10964569:1 gene:DRNTG_21671 transcript:DRNTG_21671.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-D-deoxyxylulose 5-phosphate synthase-like protein [Source:Projected from Arabidopsis thaliana (AT5G11380) UniProtKB/TrEMBL;Acc:Q9LFL9] MAVARDLKGKKDQVVTVISNWSTMAGQVYEAMGNAGYLDSNMVVILNDSRHSLHPKQEEGVKPTINALSSTLSKLQSSKSFRRFREAAKVVTKRIGKGMHEWAAKFDEFARGMVVPLGATLFEELGLYYIGPVDGTSIEDLICVLNEVASLDSTGPVLIHVITEAEQGLADITNGALNSGLLASPDTISCKLSGTFNDCLVESLIAEAEKDKDIVVVHAGMGIDASLNLFQSKFPERIFDVGMAEQHAVTFAAGLSCGGLKPFCIIPSTFLQRAYDQIIEDVDLQNVPVRFAVTSAGLVGPDGPTKCGAFDIAFMACLPNMIVMAPADEDELISMVGIAANTNDRPVCFRCPGVGSISGIFGPLCSVTPIEVGKGEILVEGRDVALLGYGVMVQNCLKAQSLLAELNIQVTVVNARFCKPLDIELVRKLCREHEFLITVEEGSIGGFGSHVAQFIALDGLLDGRTKWRPIVLPDSYIEHGTLIEQLDTAGLSGHHIAATALRLLGRNREALMLLR >DRNTG_21671.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10961488:10964569:1 gene:DRNTG_21671 transcript:DRNTG_21671.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-D-deoxyxylulose 5-phosphate synthase-like protein [Source:Projected from Arabidopsis thaliana (AT5G11380) UniProtKB/TrEMBL;Acc:Q9LFL9] MGIDASLNLFQSKFPERIFDVGMAEQHAVTFAAGLSCGGLKPFCIIPSTFLQRAYDQIIEDVDLQNVPVRFAVTSAGLVGPDGPTKCGAFDIAFMACLPNMIVMAPADEDELISMVGIAANTNDRPVCFRCPGVGSISGIFGPLCSVTPIEVGKGEILVEGRDVALLGYGVMVQNCLKAQSLLAELNIQVTVVNARFCKPLDIELVRKLCREHEFLITVEEGSIGGFGSHVAQFIALDGLLDGRTKWRPIVLPDSYIEHGTLIEQLDTAGLSGHHIAATALRLLGRNREALMLLR >DRNTG_21671.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10958219:10964569:1 gene:DRNTG_21671 transcript:DRNTG_21671.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-D-deoxyxylulose 5-phosphate synthase-like protein [Source:Projected from Arabidopsis thaliana (AT5G11380) UniProtKB/TrEMBL;Acc:Q9LFL9] MGIDASLNLFQSKFPERIFDVGMAEQHAVTFAAGLSCGGLKPFCIIPSTFLQRAYDQIIEDVDLQNVPVRFAVTSAGLVGPDGPTKCGAFDIAFMACLPNMIVMAPADEDELISMVGIAANTNDRPVCFRCPGVGSISGIFGPLCSVTPIEVGKGEILVEGRDVALLGYGVMVQNCLKAQSLLAELNIQVTVVNARFCKPLDIELVRKLCREHEFLITVEEGSIGGFGSHVAQFIALDGLLDGRTKWRPIVLPDSYIEHGTLIEQLDTAGLSGHHIAATALRLLGRNREALMLLR >DRNTG_21671.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10958219:10964569:1 gene:DRNTG_21671 transcript:DRNTG_21671.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-D-deoxyxylulose 5-phosphate synthase-like protein [Source:Projected from Arabidopsis thaliana (AT5G11380) UniProtKB/TrEMBL;Acc:Q9LFL9] MGIDASLNLFQSKFPERIFDVGMAEQHAVTFAAGLSCGGLKPFCIIPSTFLQRAYDQIIEDVDLQNVPVRFAVTSAGLVGPDGPTKCGAFDIAFMACLPNMIVMAPADEDELISMVGIAANTNDRPVCFRCPGVGSISGIFGPLCSVTPIEVGKGEILVEGRDVALLGYGVMVQNCLKAQSLLAELNIQVTVVNARFCKPLDIELVRKLCREHEFLITVEEGSIGGFGSHVAQFIALDGLLDGRTKWRPIVLPDSYIEHGTLIEQLDTAGLSGHHIAATALRLLGRNREALMLLR >DRNTG_21671.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10964070:10964569:1 gene:DRNTG_21671 transcript:DRNTG_21671.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-D-deoxyxylulose 5-phosphate synthase-like protein [Source:Projected from Arabidopsis thaliana (AT5G11380) UniProtKB/TrEMBL;Acc:Q9LFL9] MTTKREQLVQGWLQIINDLACAFGISLSCKLMRRWCLRQ >DRNTG_14724.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:63967:65172:-1 gene:DRNTG_14724 transcript:DRNTG_14724.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPHLSLLSSSLIPPSSSSLHPTSIPIASRPFARLWQQDLVLQRGTLRGVRVGKTLGFHNRSIMVQASSQVDGADDGGPDSIPSQRPEEAVAVENLPLESKQQMILEQRLRMKLAKKIRLRRKRLLRKRRMRKKGRWPPSKMKKNKNV >DRNTG_14724.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:62960:65172:-1 gene:DRNTG_14724 transcript:DRNTG_14724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPHLSLLSSSLIPPSSSSLHPTSIPIASRPFARLWQQDLVLQRGTLRGVRVGKTLGFHNRSIMVQASSQVDGADDGGPDSIPSQRPEEAVAVENLPLESKQQMILEQRLRMKLAKKIRLRRKRLLRKRRMRKKGRWPPSKMKKNKNV >DRNTG_03549.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21573018:21573854:1 gene:DRNTG_03549 transcript:DRNTG_03549.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMCDAERRLLANALLDISNEWFVLLSESCIPLYPFSITYRYLMRSRFSYVGAFDDPSPHGRGRYDPNMAPEVDISLWRKASQWFEVNRELAVIIIKDTKFYPKFKEFCKPDCYVDEHYFPTMLTIETPHKIANRTITWVDWSRGGAHPATFGKADITESFLKTIVEGQTCLFNGQKSNLCYMFARKFAPSALEPLLQLAPDLLGYG >DRNTG_03549.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21570072:21573854:1 gene:DRNTG_03549 transcript:DRNTG_03549.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSELRYKCVGFDAGEWRGFDSKVVLFRSIRMQARVLTIEEGKDLAQRTQPRVFPMRVLKVLGFLSTLGICFFIASMYMTRYYGIPNVVSQARSSFQVCWEEPRGLQWWIKPPSKLLHNMTDEELFWRASMEPQVEKYPFKRVRKVAFMFLTIGPLPLSPLWEKYFKGNERLYSIYIHSLPNYKADFLESSVFYRRQIPSQVSEWGKMSMCDAERRLLANALLDISNEWFVLLSESCIPLYPFSITYRYLMRSRFSYVGAFDDPSPHGRGRYDPNMAPEVDISLWRKASQWFEVNRELAVIIIKDTKFYPKFKEFCKPDCYVDEHYFPTMLTIETPHKIANRTITWVDWSRGGAHPATFGKADITESFLKTIVEGQTCLFNGQKSNLCYMFARKFAPSALEPLLQLAPDLLGYG >DRNTG_31134.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:219554:222924:1 gene:DRNTG_31134 transcript:DRNTG_31134.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMELTDEVLKSMEVGMAFRDYNGRISSMDFHRATSYLVTASDDESIRLYDVQNAMCLKTINSKKYGVDLVCFTDNPMTVIYSSKNGWDESLRHLSLNDNKYLRYFKGHHDRVVSLSLCPRTEFFVSGSLDRTVLLWDQRTEKSQGLLRVQRRPAVSYDDQGMVFAIAYGGYIRMFDARHYEKGPFDVFSVGGDVSDANVLKFSPDGRLMLLTTTDGFIHVVDSFRGTVISTYSVKPVSSNATLEASFSPDGMFIVSGSGDGSVCAFSVRTGKIACWGSTDTEPPLIKWAPGNLMFTTGSSELSFWVPDLSKLGSFAGMK >DRNTG_16944.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:11675644:11676007:-1 gene:DRNTG_16944 transcript:DRNTG_16944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKTICYCIEVMIDIDVVEVINQLDGTTTIREKLGPTVRATMLQSKKNGAKFSFKRGCTEVSSSPCKKNVIMISGNEEPEPALPVNGSHAASEYTKSEK >DRNTG_19646.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3013316:3016898:1 gene:DRNTG_19646 transcript:DRNTG_19646.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIKPLRIMAGHLSDVDCIQWHPNCNYISTGSSDKTVRLWDVQSGECVRIFIGHRGMVLSLAMSPDGRYMASGDEDGTIMVWDLSTGRCVSPLMGHSSCVWTLAFSCEGTVLASGSADNTVKLWDVTASMKPLRIDDNKTGGTINRLRLLKVLLTKSTPVYTLQFSRRNLLFTAGALSKC >DRNTG_19646.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3008025:3098334:1 gene:DRNTG_19646 transcript:DRNTG_19646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRPESGALRYHDGYSKLRSWAYSSLDLYKHELLRVLYPVFIHCFMDLVAAGYMQEARTFFHTFREDHDLMHLRDLQKLEGVLSPQHLEEMELARSLRQSKVNIKLCEYSYDLLLQYLQKTQALTMLGIINEHINFQGGR >DRNTG_19646.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3008025:3016898:1 gene:DRNTG_19646 transcript:DRNTG_19646.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRPESGALRYHDGYSKLRSWAYSSLDLYKHELLRVLYPVFIHCFMDLVAAGYMQEARTFFHTFREDHDLMHLRDLQKLEGVLSPQHLEEMELARSLRQSKVNIKLCEYSYDLLLQYLQKTQALTMLGIINEHINFQVSPGQPTSISEDADVVSLMGSSHEIAKQINQKEVHWGLLEDSLEERLERAHSDSEKVEGENKEVDPDENKKRSTEGGKQVVPVKKLKKDKVVGTLGKNVRSETSTVSMAPRVKAELTLPVMPTEAEQSILEDLRNRVQLSSLAMPSVSFYTFVNTHNGLNCSAISHDGSLVAGGFSDSSLKVWDVSKIGQAAKTFNTQGEGEASENELLLGSDDGKRSYTLFQGHTGPVYSATFCPLGEFLLSSSSDSTSKVTLSILSYL >DRNTG_19646.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3011963:3016898:1 gene:DRNTG_19646 transcript:DRNTG_19646.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIIAFHFLTAIRLWSTKLNSNLVCYKGHNYPVWDVQFSPVGHYFASCSHDRTARVWSMDKIKPLRIMAGHLSDVDCIQWHPNCNYISTGSSDKTVRLWDVQSGECVRIFIGHRGMVLSLAMSPDGRYMASGDEDGTIMVWDLSTGRCVSPLMGHSSCVWTLAFSCEGTVLASGSADNTVKLWDVTASMKPLRIDDNKTGGTINRLRLLKVLLTKSTPVYTLQFSRRNLLFTAGALSKC >DRNTG_19646.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3012497:3015319:1 gene:DRNTG_19646 transcript:DRNTG_19646.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIKPLRIMAGHLSDVDCIQWHPNCNYISTGSSDKTVRLWDVQSGECVRIFIGHRGMVLSLAMSPDGRYMASGDEDGTIMVWDLSTGRCVSPLMGHSSCVWTLAFRW >DRNTG_19646.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3008327:3016898:1 gene:DRNTG_19646 transcript:DRNTG_19646.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVAAGYMQEARTFFHTFREDHDLMHLRDLQKLEGVLSPQHLEEMELARSLRQSKVNIKLCEYSYDLLLQYLQKTQALTMLGIINEHINFQVSPGQPTSISEDADVVSLMGSSHEIAKQINQKEVHWGLLEDSLEERLERAHSDSEKVEGENKEVDPDENKKRSTEGGKQVVPVKKLKKDKVVGTLGKNVRSETSTVSMAPRVKAELTLPVMPTEAEQSILEDLRNRVQLSSLAMPSVSFYTFVNTHNGLNCSAISHDGSLVAGGFSDSSLKVASLLQVGFF >DRNTG_02800.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1055558:1058347:-1 gene:DRNTG_02800 transcript:DRNTG_02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRNGGCEDDHPRRGRSLYIAKERHLGQGERDGRIPMNSMAEGKKTKHVPHPMAKVRIAGV >DRNTG_32195.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001796.1:27438:29068:-1 gene:DRNTG_32195 transcript:DRNTG_32195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFSYCLPSTSSSAGYLTFGGGAPANIKYTSMLTDSSMPTFYFLNLLSIRVAGIKLSISPTVFSNAGTLIDSGTVISRLPPAAYSSLRQAFKQKDGQVSDGTGTVSY >DRNTG_13809.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28435688:28436702:1 gene:DRNTG_13809 transcript:DRNTG_13809.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFKDYRRKLIAFQGLIKARKTLKNALYVMSLGTNDFLENYYTTPRRYRQQPVDQYENFLVGIAQKFIIKLYRLGARKIDLTGLPPMGCLPLERATNFFSASNCNEDYDIVANQFNIKLQNLVTKLNRQLLGLELVYADVYTPFAKVVNDPISY >DRNTG_17590.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000905.1:8096:9169:1 gene:DRNTG_17590 transcript:DRNTG_17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding YHSENYFAFIPLLPRVTYGTVETRSIVDPIRNIVKKVRCLPENWTPNKKVLYLCQL >DRNTG_09165.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7119037:7119509:-1 gene:DRNTG_09165 transcript:DRNTG_09165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSWAYNSPVPEEQGIGIIKHAFNQGITFFDTSDIYGPHTNEILVGKALKELPREKIQLATKFGVERSSRDGATVVNGRPEYVRACCEASLKRLQVDYIDLYYQHRIDQTVPIEET >DRNTG_01738.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4192238:4196061:-1 gene:DRNTG_01738 transcript:DRNTG_01738.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRLRRLAFSEGFRPQGKTINSAVKVVETALALQEVGCFSVVLECVPAPVAAAATAALQIPTIGIGAGPYCSGQVLVYHDLLGMLQHPHHAKVTPKFCKQYGHVGEAINKALSEYKQEVQDKVFPGVSHTPYKISEGDVDGFMNELQKMGLSEAASAAATASKGMGGRE >DRNTG_01738.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4192238:4196061:-1 gene:DRNTG_01738 transcript:DRNTG_01738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCLARRLFSNVPESTVYGGPKPQNPNQRVTLNSLRQKHLAGEPITMVTAYDYPSAVQVDTAGIDICLVGDSAAMVVHGYDTTLPITLDEMLSHCRAVARGATRSLLVGDLPFGSYESSSAQAVDTAVRVLKEGNMDAIKLEGAAPSRIGAARAIVEAGIAVIGHVGLTPQAISVLGGFSAAREDHQQCSKGGGDGAGVAGGGMLLGGFGVCTCARCCCCDCCIADSHYWYWSWAILQWTGVGLS >DRNTG_21029.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:162564:166485:1 gene:DRNTG_21029 transcript:DRNTG_21029.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSETRLVQELVLYAASAALSCLVLFAGLRQLDPNRAASKKALEQKKEIAKRLGRPLINTNQYEDVIACDVINPDHIDVEFDSVGGLDHIKQALYELVILPLRRPELFAHGKLLSPQKGVLLFGPPGTGKTMLAKAIAKESGAVFINVRVSNLMSKWFGDAQKLVTAVFTLAYKLQPAIIFIDEVDSFLGQRRTTDHEAMTNMKTEFMSLWDGFTTDKNAQVMVLAATNRPSELDEAILRRFPQAFEIGIPDQSGRSQILKVILKGEKVDENIDYDYIASLCCGFTGSDLFELCKKAAYFPVRELLNDERQGKQAHSPRALTQADLERALSTSKKMKKSASEYRLGMQLTPWARQTETDDDQVQNAILEISKLMSRIVNNQSEAQDP >DRNTG_21029.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:162564:166485:1 gene:DRNTG_21029 transcript:DRNTG_21029.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSETRLVQELVLYAASAALSCLVLFAGLRQLDPNRAASKKALEQKKEIAKRLGRPLINTNQYEDVIACDVINPDHIDVEFDSVGGLDHIKQALYELVILPLRRPELFAHGKLLSPQKGVLLFGPPGTGKTMLAKAIAKESGAVFINVRVSNLMSKWFGDAQKLVTAVFTLAYKLQPAIIFIDEVDSFLGQRRTTDHEAMTNMKTEFMSLWDGFTTDKNAQVMVLAATNRPSELDEAILRRFPQAFEIGIPDQSGRSQILKVILKGEKVDENIDYDYIASLCCGFTGSDLFELCKKAAYFPVRELLNDERQGKQAHSPRALTQADLERALSTSKKMKKSASEYRLGMQLTPWARQTETDDDQEL >DRNTG_21029.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:162564:166485:1 gene:DRNTG_21029 transcript:DRNTG_21029.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSETRLVQELVLYAASAALSCLVLFAGLRQLDPNRAASKKALEQKKEIAKRLGRPLINTNQYEDVIACDVINPDHIDVEFDSVGGLDHIKQALYELVILPLRRPELFAHGKLLSPQKGVLLFGPPGTGKTMLAKAIAKESGAVFINVRVSNLMSKWFGDAQKLVTAVFTLAYKLQPAIIFIDEVDSFLGQRRTTDHEAMTNMKTEFMSLWDGFTTDKNAQVMVLAATNRPSELDEAILRRFPQAFEIGIPDQSGRSQILKVILKGEKVDENIDYDYIASLCCGFTGSDLFELCKKAAYFPVRELLNDERQGKQAHSPRALTQADLERALSTSKKMKKSASEYRLGMQLTPWARQTETDDDQVQNAILEISKLMSRIVNNQSEAQDP >DRNTG_02487.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000116.1:15153:16760:1 gene:DRNTG_02487 transcript:DRNTG_02487.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEICQRIKEESEKKGIKLEERAVVAQEEHVVVKEKSLRKKKSHKVEDKAVVEEGLCGNGKDEATRIVKEDKKIVVKEEPALVVDEEPAKVVKLVFGEDIRVAQLPANCSLLQLREVIRNRFPKTRALLIKYRDKEGDLVTITTTEELRWAEESADPHGSVRLYLVEVDPGLEPVVEEEGKASEGRGLEVNQNHISENGSTVFDDDKSSSTYIDHWIVQFARLFKNHVGFDSDAYLYLHELGMKLYSEAMEDAVTSEEAQEIFDITADKFQEMAALALFNWGNVHMSRARKRLFLSEDASRATMLAQIKSAYEWAKAEYIKAGKRYEEALKIKTRLL >DRNTG_02487.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000116.1:15153:17421:1 gene:DRNTG_02487 transcript:DRNTG_02487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEICQRIKEESEKKGIKLEERAVVAQEEHVVVKEKSLRKKKSHKVEDKAVVEEGLCGNGKDEATRIVKEDKKIVVKEEPALVVDEEPAKVVKLVFGEDIRVAQLPANCSLLQLREVIRNRFPKTRALLIKYRDKEGDLVTITTTEELRWAEESADPHGSVRLYLVEVDPGLEPVVEEEGKASEGRGLEVNQNHISENGSTVFDDDKSSSTYIDHWIVQFARLFKNHVGFDSDAYLYLHELGMKLYSEAMEDAVTSEEAQEIFDITADKFQEMAALALFNWGNVHMSRARKRLFLSEDASRATMLAQIKSAYEWAKAEYIKAGKRYEEALKIKTRLL >DRNTG_27048.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2604979:2607749:-1 gene:DRNTG_27048 transcript:DRNTG_27048.1 gene_biotype:protein_coding transcript_biotype:protein_coding REDISKRGAAIMPPLRQQQQHCGRIVHANAHDHELGEGADGSVPVGNCRYLHGKRSQDFLAELLLLMVGLPPYLSLETDASMDDDKGDIFDD >DRNTG_07834.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:113164:114946:1 gene:DRNTG_07834 transcript:DRNTG_07834.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAPAIGQGHNQVNGLVLCRGDLKNVACSTCIGAAEAHVRKLCPFKKEATIWFDACLFRYSNNKFFGELDNSNEFCMTNTLNVSVNSVAFNMKKVTELMHRLALAAHLSPLLYATGELEIGESEKLHGLVQCTRDLSGGDCKKCLENAIGELPSCSYGKQNGRLMGGSCNIRYELYPFFDA >DRNTG_04152.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:399089:403303:-1 gene:DRNTG_04152 transcript:DRNTG_04152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKPTPKGTRQLEINKTQGLERMRKGAFSTIRFVVFLLLMLLTHVSEVMSASVPSWWEEMEIWGDEAAMELELELDIPTMWMSQRASRIPVNVDSFGAAGDGVTDDTQAFLNAWDKACSLKNAVFLVPDQRHYLVNAARFRGPCESNLIVQISGTIVAPDEPNGWDPKNPRIWLYFSKLKGVRIQGGGVIDGSGSKWWASSCKINKTNPCREAPTALTIDASSGVRVQDLTIQSAQQMHFTIYRSDAIKVSDVRVQSPRDSPNTDGIHISNSSNVTIQNVQIGTGDDCISIANASYNIKMKNIDCGPGHGISIGSLGKDNSLAVVTGIVVDTATLTDTTNGLRIKTWQGGSGYVRAVRFENVKMNAVANPIIIDQFYCDSPTTCKNQTSAVKISQVLYKNIRGTSKSRDAMKFACSDTVPCSNIVLNDINLEREDGSAETFCNCAMGFDYGFVKPAADCLRNDGKSACDGTRVGSQNQTLLPIRHTEL >DRNTG_26936.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2388837:2390492:1 gene:DRNTG_26936 transcript:DRNTG_26936.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTSCILLMLASFCNMVCFFTTNAQSSSPALFVFGASMLDFGNNIYINSTSNCSVPPYGIDYPGHFHRKAVSRCSNGRNIIDFLVEMLGVPSPKAYLSMSKTRNLPEEFLKGVNFASCSAGILRPTKEGFCIPMDSQIDYYSSVYGTLMEKKGFVQTQRFISNSIFFIDIGNNDILVYNGTGISKYVSLLISTLEGQLKRIYKLGARKFAFMGTEPVGCWPTLRAMNKNTGDCDIEVNQLSVLFNEQAAVLLQKMQSEYADMIYSFFDAYKEFNKYINHPETYGFEEVKAACCGMGFLGVEILCGACDSYCSDRTKYLFWDSWHQTEVAAKLMASAAIDGFPPIVFPINVKQLIGNGLHSQI >DRNTG_28108.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14305274:14308445:-1 gene:DRNTG_28108 transcript:DRNTG_28108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWSHLIFSKGSLVKPRAHLAKLMPTKAPPIAFFQKEIGVSISITHTNEMRMLSPFISILTLKCSKLIITIHKKRMITGKGNDTPFASAYRKCTGCRSNKTVPNLRSTVTNPILCPGGEIAQSQHLTL >DRNTG_01196.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29509663:29511923:1 gene:DRNTG_01196 transcript:DRNTG_01196.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G25840) UniProtKB/TrEMBL;Acc:F4ISP4] MSLTDGLSKMSKSAPSDQSRINILDPKDLIVNKIKRCKTDSFPSLEFDNPERPECNNLLSIYQLITEKTKEEVAQECQDMNWGTFKGKLTDALIDHLDPIQQRYQEITSDAAYLDGILSEGARKASEIADATVSNVYQAMGFLKR >DRNTG_01196.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29506696:29511923:1 gene:DRNTG_01196 transcript:DRNTG_01196.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G25840) UniProtKB/TrEMBL;Acc:F4ISP4] MGRALVSQILSATSPRLLCPARGAFRPRLLFRCNCSVAASEAPQIPKMKRIVSGVQPTGTVHLGNYLGAIKNWVSLQNSYETLFFIVDLHAITLPHDAQELSKATRSTAAIYLACGIDTTKASVFVQSHVRAHVELMWLLSSATPIGWLNKMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDLVPVGNDQQQHLELTRELAERVNYLYGGRKWKKLGGWAFSSFF >DRNTG_01196.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29506696:29507757:1 gene:DRNTG_01196 transcript:DRNTG_01196.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G25840) UniProtKB/TrEMBL;Acc:F4ISP4] MGRALVSQILSATSPRLLCPARGAFRPRLLFRCNCSVAASEAPQIPKMKRIVSGVQPTGTVHLGNYLGAIKNWVSLQNSYETLFFIVDLHA >DRNTG_01196.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29506696:29511923:1 gene:DRNTG_01196 transcript:DRNTG_01196.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G25840) UniProtKB/TrEMBL;Acc:F4ISP4] MWLLSSATPIGWLNKMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDLVPVGNDQQQHLELTRELAERVNYLYGGRKWKKLGGRGGSIFKVPEALIPPVGARVMSLTDGLSKMSKSAPSDQSRINILDPKDLIVNKIKRCKTDSFPSLEFDNPERPECNNLLSIYQLITEKTKEEVAQECQDMNWGTFKGKLTDALIDHLDPIQQRYQEITSDAAYLDGILSEGARKASEIADATVSNVYQAMGFLKR >DRNTG_01196.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29510227:29511923:1 gene:DRNTG_01196 transcript:DRNTG_01196.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G25840) UniProtKB/TrEMBL;Acc:F4ISP4] MSKSAPSDQSRINILDPKDLIVNKIKRCKTDSFPSLEFDNPERPECNNLLSIYQLITEKTKEEVAQECQDMNWGTFKGKLTDALIDHLDPIQQRYQEITSDAAYLDGILSEGARKASEIADATVSNVYQAMGFLKR >DRNTG_01196.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29511289:29511923:1 gene:DRNTG_01196 transcript:DRNTG_01196.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G25840) UniProtKB/TrEMBL;Acc:F4ISP4] MNWGTFKGKLTDALIDHLDPIQQRYQEITSDAAYLDGILSEGARKASEIADATVSNVYQAMGFLKR >DRNTG_01196.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29506696:29511923:1 gene:DRNTG_01196 transcript:DRNTG_01196.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G25840) UniProtKB/TrEMBL;Acc:F4ISP4] MGRALVSQILSATSPRLLCPARGAFRPRLLFRCNCSVAASEAPQIPKMKRIVSGVQPTGTVHLGNYLGAIKNWVSLQNSYETLFFIVDLHAITLPHDAQELSKATRSTAAIYLACGIDTTKASVFVQSHVRAHVELMWLLSSATPIGWLNKMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDLVPVGNDQQQHLELTRELAERVNYLYGGRKWKKLGGRGGSIFKVPEALIPPVGARVMSLTDGLSKMSKSAPSDQSRINILDPKDLIVNKIKRCKTDSFPSLEFDNPERPECNNLLSIYQLITEKTKEEVAQECQDMNWGTFKGKLTDALIDHLDPIQQRYQEITSDAAYLDGILSEGARKASEIADATVSNVYQAMGFLKR >DRNTG_01196.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29506696:29511923:1 gene:DRNTG_01196 transcript:DRNTG_01196.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G25840) UniProtKB/TrEMBL;Acc:F4ISP4] MGRALVSQILSATSPRLLCPARGAFRPRLLFRCNCSVAASEAPQIPKMKRIVSGVQPTGTVHLGNYLGAIKNWVSLQNSYETLFFIVDLHAITLPHDAQELSKATRSTAAIYLACGIDTTKASVFVQSHVRAHVELMWLLSSATPIGWLNKMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDLVPVGNDQQQHLELTRELAERVNYLYGGRKWKKLGGWAFSSFF >DRNTG_01196.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29509663:29510946:1 gene:DRNTG_01196 transcript:DRNTG_01196.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G25840) UniProtKB/TrEMBL;Acc:F4ISP4] MSLTDGLSKMSKSAPSDQSRINILDPKDLIVNKIKRCKTDSFPRYAELPSLLFTFC >DRNTG_01196.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29509663:29511923:1 gene:DRNTG_01196 transcript:DRNTG_01196.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G25840) UniProtKB/TrEMBL;Acc:F4ISP4] MSLTDGLSKMSKSAPSDQSRINILDPKDLIVNKIKRCKTDSFPSLEFDNPERPECNNLLSIYQLITEKTKEEVAQECQDMNWGTFKGKLTDALIDHLDPIQQRYQEITSDAAYLDGILSEGARKASEIADATVSNVYQAMGFLKR >DRNTG_01196.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29510538:29511923:1 gene:DRNTG_01196 transcript:DRNTG_01196.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G25840) UniProtKB/TrEMBL;Acc:F4ISP4] MNWGTFKGKLTDALIDHLDPIQQRYQEITSDAAYLDGILSEGARKASEIADATVSNVYQAMGFLKR >DRNTG_01196.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29506696:29511923:1 gene:DRNTG_01196 transcript:DRNTG_01196.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G25840) UniProtKB/TrEMBL;Acc:F4ISP4] MGRALVSQILSATSPRLLCPARGAFRPRLLFRCNCSVAASEAPQIPKMKRIVSGVQPTGTVHLGNYLGAIKNWVSLQNSYETLFFIVDLHAITLPHDAQELSKATRSTAAIYLACGIDTTKASVFVQSHVRAHVELMWLLSSATPIGWLNKMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDLVPVGNDQQQHLELTRELAERVNYLYGGRKWKKLGGRGGSIFKVGTCFLTVIYIFSSIESDPFYCLQQYFHAGSGSPYSSCRCSSDVTDRWSFEDVKISSFRSVSHQHP >DRNTG_21746.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13524219:13525325:-1 gene:DRNTG_21746 transcript:DRNTG_21746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNQSKRSRISKLSDTLELKQDELPVCPKPRRPSEFVYPLNYMQSNSSHQQVSSEILEMIGIKSDELHECSSNGCPPSCYCGSPPTRVDNPHVHDVHFLQQAKLLQQYAQPLLPKRLDF >DRNTG_32252.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3356916:3359757:-1 gene:DRNTG_32252 transcript:DRNTG_32252.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14U [Source:Projected from Arabidopsis thaliana (AT5G27950) UniProtKB/Swiss-Prot;Acc:Q8W0Y9] MEFQPDFMDVNVVPEQDVERLELEISGLQDEISALRSQQRFLDSKRKEILNRILDIKGSIRVFGRVKPLIQGKNSKKHELVFSEAEKITVTSGTIKKEFCADKVFDQSSTQEDVFLEVEPILRSAIDGHNVCIFAYGQTGTGKTFTMEGTSYQPGIVPRAIEELFHQSSLDKSGSFVFSMSMLEVYLGSLRDLLAQKKCVLNILAGSNGYVEIEGLTDVLIPDVKLAKRLYARGKRARSTSWTNVNEASSRSHCLTRITITRTRDAVKGHEVVSKLWMVDLGGSERLLKTGATGQTMDEGKAINLSLSALGDVIAALKKKHGHIPFRNSKLTQLLSDSLGNGSKVLMIVHVSPCKDDIGETVCSLNFARRVRAVESNREHFNSEIKKQKENAMAKLNQQIREAEEELHKLRNQIERTENLLLEKKKLVEEQKGSPTSPLVIQHIEVVESPKTTERPAGKLHCSSLPHFMTFDNM >DRNTG_32252.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3356851:3359757:-1 gene:DRNTG_32252 transcript:DRNTG_32252.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14U [Source:Projected from Arabidopsis thaliana (AT5G27950) UniProtKB/Swiss-Prot;Acc:Q8W0Y9] MEFQPDFMDVNVVPEQDVERLELEISGLQDEISALRSQQRFLDSKRKEILNRILDIKGSIRVFGRVKPLIQGKNSKKHELVFSEAEKITVTSGTIKKEFCADKVFDQSSTQEDVFLEVEPILRSAIDGHNVCIFAYGQTGTGKTFTMEGTSYQPGIVPRAIEELFHQSSLDKSGSFVFSMSMLEVYLGSLRDLLAQKKCVLNILAGSNGYVEIEGLTDVLIPDVKLAKRLYARGKRARSTSWTNVNEASSRSHCLTRITITRTRDAVKGHEVVSKLWMVDLGGSERLLKTGATGQTMDEGKAINLSLSALGDVIAALKKKHGHIPFRYIYIFIHIYIFYFHNSPRFFDSIVFLLFLNRNSKLTQLLSDSLGAFYICFNKMLFLNSFDL >DRNTG_32252.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3356916:3359757:-1 gene:DRNTG_32252 transcript:DRNTG_32252.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14U [Source:Projected from Arabidopsis thaliana (AT5G27950) UniProtKB/Swiss-Prot;Acc:Q8W0Y9] MVDLGGSERLLKTGATGQTMDEGKAINLSLSALGDVIAALKKKHGHIPFRNSKLTQLLSDSLGNGSKVLMIVHVSPCKDDIGETVCSLNFARRVRAVESNREHFNSEASLGFFAVLIR >DRNTG_32252.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3356916:3359757:-1 gene:DRNTG_32252 transcript:DRNTG_32252.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14U [Source:Projected from Arabidopsis thaliana (AT5G27950) UniProtKB/Swiss-Prot;Acc:Q8W0Y9] MEFQPDFMDVNVVPEQDVERLELEISGLQDEISALRSQQRFLDSKRKEILNRILDIKGSIRVFGRVKPLIQGKNSKKHELVFSEAEKITVTSGTIKKEFCADKVFDQSSTQEDVFLEVEPILRSAIDGHNVCIFAYGQTGTGKTFTMEGTSYQPGIVPRAIEELFHQSSLDKSGSFVFSMSMLEVYLGSLRDLLAQKKCVLNILAGSNGYVEIEGLTDVLIPDVKLAKRLYARGKRARSTSWTNVNEASSRSHCSVYLA >DRNTG_32252.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3356883:3359757:-1 gene:DRNTG_32252 transcript:DRNTG_32252.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14U [Source:Projected from Arabidopsis thaliana (AT5G27950) UniProtKB/Swiss-Prot;Acc:Q8W0Y9] MNLLLMFIILVKQFVEDVFLEVEPILRSAIDGHNVCIFAYGQTGTGKTFTMEGTSYQPGIVPRAIEELFHQSSLDKSGSFVFSMSMLEVYLGSLRDLLAQKKCVLNILAGSNGYVEIEGLTDVLIPDVKLAKRLYARGKRARSTSWTNVNEASSRSHCLTRITITRTRDAVKGHEVVSKLWMVDLGGSERLLKTGATGQTMDEGKAINLSLSALGDVIAALKKKHGHIPFRYIYIFIHIYIFYFHNSPRFFDSIVFLLFLNRNSKLTQLLSDSLGNGSKVLMIVHVSPCKDDIGETVCSLNFARRVRAVESNREHFNSEIKKQKENAMAKLNQQIREAEEELHKLRNQIERTENLLLEKKKLVEEQKGSPTSPLVIQHIEVVESPKTTERPAGKLHCSSLPHFMTFDNM >DRNTG_32252.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3356883:3359757:-1 gene:DRNTG_32252 transcript:DRNTG_32252.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14U [Source:Projected from Arabidopsis thaliana (AT5G27950) UniProtKB/Swiss-Prot;Acc:Q8W0Y9] MEFQPDFMDVNVVPEQDVERLELEISGLQDEISALRSQQRFLDSKRKEILNRILDIKGSIRVFGRVKPLIQGKNSKKHELVFSEAEKITVTSGTIKKEFCADKVFDQSSTQEDVFLEVEPILRSAIDGHNVCIFAYGQTGTGKTFTMEGTSYQPGIVPRAIEELFHQSSLDKSGSFVFSMSMLEVYLGSLRDLLAQKKCVLNILAGSNGYVEIEGLTDVLIPDVKLAKRLYARGKRARSTSWTNVNEASSRSHCSVYLA >DRNTG_32252.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3356916:3359757:-1 gene:DRNTG_32252 transcript:DRNTG_32252.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14U [Source:Projected from Arabidopsis thaliana (AT5G27950) UniProtKB/Swiss-Prot;Acc:Q8W0Y9] MEFQPDFMDVNVVPEQDVERLELEISGLQDEISALRSQQRFLDSKRKEILNRILDIKGSIRVFGRVKPLIQGKNSKKHELVFSEAEKITVTSGTIKKEFCADKVFDQSSTQEDVFLEVEPILRSAIDGHNVCIFAYGQTGTGKTFTMEGTSYQPGIVPRAIEELFHQSSLDKSGSFVFSMSMLEVYLGSLRDLLAQKKCVLNILAGSNGYVEIEGLTDVLIPDVKLAKRLYARGKRARSTSWTNVNEASSRSHCLTRITITRTRDAVKGHEVVSKLWMVDLGGSERLLKTGATGQTMDEGKAINLSLSALGDVIAALKKKHGHIPFRNSKLTQLLSDSLGNGSKVLMIVHVSPCKDDIGETVCSLNFARRVRAVESNREHFNSEASLGFFAVLIR >DRNTG_28892.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:642777:649300:1 gene:DRNTG_28892 transcript:DRNTG_28892.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNGEVGLETPLLQDSGSASLNHSQDGWKIKQNTRKLIFKIQGIECASCAVSIESMVGSMDGIDNIAVSPLQGQAVIIYKPEITNARSIKEAIEDMGYQVDQFPEQVIEVCRLRIKGMACTSCSESVERALLMANGVKKAVVGLALEEAKIHFDPNLTDANHLIEAVEDAGFEADLISSGDDVNKVHLRIEGLQSSEDAILIQSSLKALEGVNHVQMDEEGQKITISYDPDFTGPRSLLRCIQEAARNPNQYHVSLYTPSRQRETERQHEIKGYRNQFLWSCLFSFPVFIFSMVLPMIPSYGDWLDGKVYNNLTIGLLLRWTFCTPVQFIIGWRFYIGTYHALRQVSANMDVLVAMGTNAAYFYSVYVAIKAFTSSSFEGQDFFETSAMLISFILLGKYLEVVAKGKTSDALAKLTELAPDTAVLLNFDADGKVISEMEISTQLLQRNDVIKIVPGAKVPTDGIVVEGQSRVNESMITGEARAISKKPGDKVCGSIYIYVYLQFQILSIIL >DRNTG_28892.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:642777:649300:1 gene:DRNTG_28892 transcript:DRNTG_28892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNGEVGLETPLLQDSGSASLNHSQDGWKIKQNTRKLIFKIQGIECASCAVSIESMVGSMDGIDNIAVSPLQGQAVIIYKPEITNARSIKEAIEDMGYQVDQFPEQVIEVCRLRIKGMACTSCSESVERALLMANGVKKAVVGLALEEAKIHFDPNLTDANHLIEAVEDAGFEADLISSGDDVNKVHLRIEGLQSSEDAILIQSSLKALEGVNHVQMDEEGQKITISYDPDFTGPRSLLRCIQEAARNPNQYHVSLYTPSRQRETERQHEIKGYRNQFLWSCLFSFPVFIFSMVLPMIPSYGDWLDGKVYNNLTIGLLLRWTFCTPVQFIIGWRFYIGTYHALRQVSANMDVLVAMGTNAAYFYSVYVAIKAFTSSSFEGQDFFETSAMLISFILLGKYLEVVAKGKTSDALAKLTELAPDTAVLLNFDADGKVISEMEISTQLLQRNDVIKIVPGAKVPTDGIVVEGQSRVNESMITGEARAISKKPGDKVIGGTVNEYGCILVKATHVGSDTALSQIVQLVEAAQLSRAPVQRLADKISRIFVPTVVVAAFLTWLGWFITGEVHLYPRSWIPKDMDGFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGNALENAHKVKAVIFDKTGTLTVGKPAVVNTMVFSNTPLLELCDLAAAAEANSEHPFAKAIVEYTKKLHEQYGIHTNHIVESRDFEVHPGAGVSAKVGDRTVLVGNKRLMLAFQVPVSPEVQAYVSDTEHLARTCVLVAVDGVLCGAFAVSDPLKPEAGRVVSFLNSMSILSIMVTGDNQATATAIANEVGISRVYAETDPVGKADRIKELQMKGLTVAMVGDGINDSPALAAADVGMAIGAGTNIAIEAADVVLMKSNLEDVVTAIDLSKKTLSRIRLNYVWALGYNILGMPVAAGVLFPFTRIRLPPWLAGACMAASSLSVVCSSLLLQSYKKPLQVEHSEKPEDSSNKV >DRNTG_28892.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:642777:644971:1 gene:DRNTG_28892 transcript:DRNTG_28892.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNGEVGLETPLLQDSGSASLNHSQDGWKIKQNTRKLIFKIQGIECASCAVSIESMVGSMDGIDNIAVSPLQGQAVIIYKPEITNARSIKEAIEDMGYQVDQFPEQVIEVCRLRIKGMACTSCSESVERALLMANGVKKAVVGLALEEAKIHFDPNLTDANHLIEAVEDAGFEADLISSGDDVNKVHLRIEGLQSSEDAILIQSSLKALEGVNHVQMDEEGQKITISYDPDFTGPRSLLRCIQEAARNPNQYHVSLYTPSRQRETERQHEIKGYRNQFLWSCLFSFPVFIFSMVLPMIPSYGDWLDGKVYNNLTIGLLLRWTFCTPVQFIIGWR >DRNTG_28892.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:645287:649300:1 gene:DRNTG_28892 transcript:DRNTG_28892.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLVAMGTNAAYFYSVYVAIKAFTSSSFEGQDFFETSAMLISFILLGKYLEVVAKGKTSDALAKLTELAPDTAVLLNFDADGKVISEMEISTQLLQRNDVIKIVPGAKVPTDGIVVEGQSRVNESMITGEARAISKKPGDKVIGGTVNEYGCILVKATHVGSDTALSQIVQLVEAAQLSRAPVQRLADKISRIFVPTVVVAAFLTWLGWFITGEVHLYPRSWIPKDMDGFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGNALENAHKVKAVIFDKTGTLTVGKPAVVNTMVFSNTPLLELCDLAAAAEANSEHPFAKAIVEYTKKLHEQYGIHTNHIVESRDFEVHPGAGVSAKVGDRTVLVGNKRLMLAFQVPVSPEVQAYVSDTEHLARTCVLVAVDGVLCGAFAVSDPLKPEAGRVVSFLNSMSILSIMVTGDNQATATAIANEVGISRVYAETDPVGKADRIKELQMKGLTVAMVGDGINDSPALAAADVGMAIGAGTNIAIEAADVVLMKSNLEDVVTAIDLSKKTLSRIRLNYVWALGYNILGMPVAAGVLFPFTRIRLPPWLAGACMAASSLSVVCSSLLLQSYKKPLQVEHSEKPEDSSNKV >DRNTG_17550.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29111237:29114119:1 gene:DRNTG_17550 transcript:DRNTG_17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRETWITYLTLVPVVAGVMIASRGEPSFHLFGFIMCISATAARALKSVLQGILLSSEGEKLNSINLLLYMAPIAVIFLLPATIIMEPNVLAITVTLAREDKKIICYLLFNSSMAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVISILIFRNPVSLTGMLGYALTVFGVVLYSESKKRSK >DRNTG_17550.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29111237:29112063:1 gene:DRNTG_17550 transcript:DRNTG_17550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPEPTNGLPFTIVLVTTWYSCNIGLLLLNKYLLSNYGFSYPIFLTMCHMTACSLLSYASVAWLKVVPLQIVRSRVQLLKIAALSLVFCFSVVGGNISLKFLPVSFNQAVGCDDAVLHRRVRVFHGDEARDLDHVSHSRSGRRRRHDRQPGSVPFSAFDFKFLFPYLFVYTFAY >DRNTG_05839.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31625300:31626998:1 gene:DRNTG_05839 transcript:DRNTG_05839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEQERKGMVVLYPSPGMGHLVSMVELGKLIVSHGFSVTILIVKAHYNTGSTDPFIAHVSSTNPSISFHTLQPPSSLPPNPSHHHEAHAYNLLRHTNPSLRAFLLSSSPCALIIDFFCIYSVDMVKELAIPCHCFFTSSASILAVFLNIPVLHESISKSFSELGKTPVHIPGIPPFPADHMILPMLEREDEAYQGFLDTGLHLPDCDGIIVNTFEALEPRALEAITAGHCTLEGLPTPPIYCIGPLITEGRENISTVDCMAWLDTQPKGSVVFLCFGSLGLFTAEQIKEIAIGLEKSGQRFLWVVRSPPSDNPAKYMVSPPEPDLDVLMPEGFLERTSERGLVVKSWAPQVEVLRHDSVGGFVTHCGWNSILEGVLAGVPMVGWPQYAEQKLNIVFLEKELKLVVAMRGYDEGFVPAEEVETRVRWLMESDGGMELRKSTLAAKDAAMAALQEGGSSRSALARLVSEWTRPS >DRNTG_01521.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:12648162:12648667:-1 gene:DRNTG_01521 transcript:DRNTG_01521.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLLIGLESGDYTGVDASRARAGFPHGGIPNDKSLPNYRPNPLVQAEGPSGGSSLRPFTLLWPQRTQGMEVESITSEGKGDAPVPLDSPSQPFPT >DRNTG_25108.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:849429:850576:-1 gene:DRNTG_25108 transcript:DRNTG_25108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSEKFGLESDDLAETLVLDGCFILELFIKLALKEENEVIFDEMWKLPLIFNDLLLLENQIPFFILQELFDCTIIPGISTKDKNEPPLITLPNLALICTTTSLSMSTLQMFPDDVEIHHLLHLFHMNLTPSPKQKGSRFFPVDNIPTATELQEAGIIYIQGKEKIQCAS >DRNTG_04173.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:506879:513430:1 gene:DRNTG_04173 transcript:DRNTG_04173.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIRSDRKRIRDKEEAMEWRFSQVFGERTPGEEIEDVDIISAIEFDKCGKYIATGDHGGRVVLFKRTNAKDFNDEKQVFSRKMLEHMDYHVAEPPKYSYITEFQSHEPDFDYLKSLEIQEKITKLRWCTSSNDSLFILSTNDRTIKLWKVR >DRNTG_04173.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:506879:513430:1 gene:DRNTG_04173 transcript:DRNTG_04173.17 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKVSDCKVKKMKEADHLSQHVSSENSLLAEQSFTADPNNMTISNGYRLEWINKKPDYASPSFRENWNTILNDLETSTARCRRVFSHAHDFNINSISTNSDAETFISADDLRINLWNLEITNQCFNILDMKPFDMEDLVEVITSAEFHPSHCNLLAYSSSRGFLRLVDMRRSALCDQNARILQDREAHGSRSFFTEIVASISDIKFAKDGRHILSRDYMNLKLWDLHMESAPIATFKMHEHLRPKLSELYTSDSIFDKFNCCLSMDGRHFASGTYGNTFRVFSLDGGHDDGLTLKASKTPNRASHLQAAPKAKSLLASLARTQNRRESDSIFSGKGISSDFQSKIIHLAWHPIENLIACAAANSLYTFQA >DRNTG_04173.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:506879:513430:1 gene:DRNTG_04173 transcript:DRNTG_04173.10 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSALCDQNARILQDREAHGSRSFFTEIVASISDIKFAKDGRHILSRDYMNLKLWDLHMESAPIATFKMHEHLRPKLSELYTSDSIFDKFNCCLSMDGRHFASGTYGNTFRVFSLDGGHDDGLTLKASKTPNRASHLQAAPKAKSLLASLARTQNRRESDSIFSGKGISSDFQSKIIHLAWHPIENLIACAAANSLYTFQA >DRNTG_04173.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:506879:513430:1 gene:DRNTG_04173 transcript:DRNTG_04173.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGHSMVFISFLLGSQVSDCKVKKMKEADHLSQHVSSENSLLAEQSFTADPNNMTISNGYRLEWINKKPDYASPSFRENWNTILNDLETSTARCRRVFSHAHDFNINSISTNSDAETFISADDLRINLWNLEITNQCFNILDMKPFDMEDLVEVITSAEFHPSHCNLLAYSSSRGFLRLVDMRRSALCDQNARILQDREAHGSRSFFTEIVASISDIKFAKDGRHILSRDYMNLKLWDLHMESAPIATFKMHEHLRPKLSELYTSDSIFDKFNCCLSMDGRHFASGTYGNTFRVFSLDGGHDDGLTLKASKTPNRASHLQAAPKAKSLLASLARTQNRRESDSIFSGKGISSDFQSKIIHLAWHPIENLIACAAANSLYTFQA >DRNTG_04173.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:506879:513430:1 gene:DRNTG_04173 transcript:DRNTG_04173.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGHSMVFISFLLGSQVSDCKVKKMKEADHLSQHVSSENSLLAEQSFTADPNNMTISNGYRLEWINKKPDYASPSFRENWNTILNDLETSTARCRRVFSHAHDFNINSISTNSDAETFISADDLRINLWNLEITNQCFNILDMKPFDMEDLVEVITSAEFHPSHCNLLAYSSSRGFLRLVDMRRSALCDQNARILQDREAHGSRSFFTEIVASISDIKFAKDGRHILSRDYMNLKLWDLHMESAPIATFKMHEHLRPKLSELYTSDSIFDKFNCCLSMDGRHFASGTYGNTFRVFSLDGGHDDGLTLKASKTPNRASHLQAAPKAKSLLASLARTQNRRESDSIFSGKGISSDFQSKIIHLAWHPIENLIACAAANSLYTFQA >DRNTG_04173.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:506879:513430:1 gene:DRNTG_04173 transcript:DRNTG_04173.16 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHMDYHVAEPPKYSYITEFQSHEPDFDYLKSLEIQEKITKLRWCTSSNDSLFILSTNDRTIKLWKVSDCKVKKMKEADHLSQHVSSENSLLAEQSFTADPNNMTISNGYRLEWINKKPDYASPSFRENWNTILNDLETSTARCRRVFSHAHDFNINSISTNSDAETFISADDLRINLWNLEITNQCFNILDMKPFDMEDLR >DRNTG_04173.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:506879:513430:1 gene:DRNTG_04173 transcript:DRNTG_04173.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHMDYHVAEPPKYSYITEFQSHEPDFDYLKSLEIQEKITKLRWCTSSNDSLFILSTNDRTIKLWKVSDCKVKKMKEADHLSQHVSSENSLLAEQSFTADPNNMTISNGYRLEWINKKPDYASPSFRENWNTILNDLETSTARCRRVFSHAHDFNINSISTNSDAETFISADDLRINLWNLEITNQCFNILDMKPFDMEDLR >DRNTG_04173.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:506879:513430:1 gene:DRNTG_04173 transcript:DRNTG_04173.15 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGHSMVFISFLLGSQVSDCKVKKMKEADHLSQHVSSENSLLAEQSFTADPNNMTISNGYRLEWINKKPDYASPSFRENWNTILNDLETSTARCRRVFSHAHDFNINSISTNSDAETFISADDLRINLWNLEITNQCFNILDMKPFDMEDLVEVITSAEFHPSHCNLLAYSSSRGFLRLVDMRRSALCDQNARILQDREAHGSRSFFTEIVASISDIKFAKDGRHILSRDYMNLKLWDLHMESAPIATFKMHEHLRPKLSELYTSDSIFDKFNCCLSMDGRHFASGTYGFVLSRVTATLENSCCYNFCVLFPL >DRNTG_04173.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:506879:513430:1 gene:DRNTG_04173 transcript:DRNTG_04173.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSALCDQNARILQDREAHGSRSFFTEIVASISDIKFAKDGRHILSRDYMNLKLWDLHMESAPIATFKMHEHLRPKLSELYTSDSIFDKFNCCLSMDGRHFASGTYGNTFRVFSLDGGHDDGLTLKASKTPNRASHLQAAPKAKSLLASLARTQNRRESDSIFSGKGISSDFQSKIIHLAWHPIENLIACAAANSLYTFQA >DRNTG_04173.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:506879:513430:1 gene:DRNTG_04173 transcript:DRNTG_04173.12 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHMDYHVAEPPKYSYITEFQSHEPDFDYLKSLEIQEKITKLRWCTSSNDSLFILSTNDRTIKLWKVSDCKVKKMKEADHLSQHVSSENSLLAEQSFTADPNNMTISNGYRLEWINKKPDYASPSFRENWNTILNDLETSTARCRRVFSHAHDFNINSISTNSDAETFISADDLRINLWNLEITNQCFNILDMKPFDMEDLVEVITSAEFHPSHCNLLAYSSSRGFLRLVDMRRSALCDQNARILQDREAHGSRSFFTEIVASISDIKFAKDGRHILSRDYMNLKLWDLHMESAPIATFKMHEHLRPKLSELYTSDSIFDKFNCCLSMDGRHFASGTYGNTFRVFSLDGGHDDGLTLKASKTPNRASHLQAAPKAKSLLASLARTQNRRESDSIFSGKGISSDFQSKIIHLAWHPIENLIACAAANSLYTFQA >DRNTG_04173.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:506879:513430:1 gene:DRNTG_04173 transcript:DRNTG_04173.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHMDYHVAEPPKYSYITEFQSHEPDFDYLKSLEIQEKITKLRWCTSSNDSLFILSTNDRTIKLWKVSDCKVKKMKEADHLSQHVSSENSLLAEQSFTADPNNMTISNGYRLEWINKKPDYASPSFRENWNTILNDLETSTARCRRVFSHAHDFNINSISTNSDAETFISADDLRINLWNLEITNQCFNILDMKPFDMEDLR >DRNTG_04173.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:506879:513430:1 gene:DRNTG_04173 transcript:DRNTG_04173.9 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSALCDQNARILQDREAHGSRSFFTEIVASISDIKFAKDGRHILSRDYMNLKLWDLHMESAPIATFKMHEHLRPKLSELYTSDSIFDKFNCCLSMDGRHFASGTYGNTFRVFSLDGGHDDGLTLKASKTPNRASHLQAAPKAKSLLASLARTQNRRESDSIFSGKGISSDFQSKIIHLAWHPIENLIACAAANSLYTFQA >DRNTG_04173.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:506879:513430:1 gene:DRNTG_04173 transcript:DRNTG_04173.11 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGHSMVFISFLLGSQVSDCKVKKMKEADHLSQHVSSENSLLAEQSFTADPNNMTISNGYRLEWINKKPDYASPSFRENWNTILNDLETSTARCRRVFSHAHDFNINSISTNSDAETFISADDLRINLWNLEITNQCFNILDMKPFDMEDLVEVITSAEFHPSHCNLLAYSSSRGFLRLVDMRRSALCDQNARILQDREAHGSRSFFTEIVASISDIKFAKDGRHILSRDYMNLKLWDLHMESAPIATFKMHEHLRPKLSELYTSDSIFDKFNCCLSMDGRHFASGTYGNTFRVFSLDGGHDDGLTLKASKTPNRASHLQAAPKAKSLLASLARTQNRRESDSIFSGKGISSDFQSKIIHLAWHPIENLIACAAANSLYTFQA >DRNTG_04173.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:506879:513430:1 gene:DRNTG_04173 transcript:DRNTG_04173.13 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSALCDQNARILQDREAHGSRSFFTEIVASISDIKFAKDGRHILSRDYMNLKLWDLHMESAPIATFKMHEHLRPKLSELYTSDSIFDKFNCCLSMDGRHFASGTYGNTFRVFSLDGGHDDGLTLKASKTPNRASHLQAAPKAKSLLASLARTQNRRESDSIFSGKGISSDFQSKIIHLAWHPIENLIACAAANSLYTFQA >DRNTG_04173.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:506879:513430:1 gene:DRNTG_04173 transcript:DRNTG_04173.14 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSALCDQNARILQDREAHGSRSFFTEIVASISDIKFAKDGRHILSRDYMNLKLWDLHMESAPIATFKMHEHLRPKLSELYTSDSIFDKFNCCLSMDGRHFASGTYGFVLSRVTATLENSCCYNFCVLFPL >DRNTG_04173.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:508928:513800:1 gene:DRNTG_04173 transcript:DRNTG_04173.19 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSALCDQNARILQDREAHGSRSFFTEIVASISDIKFAKDGRHILSRDYMNLKLWDLHMESAPIATFKMHEHLRPKLSELYTSDSIFDKFNCCLSMDGRHFASGTYGNTFRVFSLDGGHDDGLTLKASKTPNRASHLQAAPKAKSLLASLARTQNRRESDSIFSGKGISSDFQSKIIHLAWHPIENLIACAAANSLYTFQA >DRNTG_04173.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:506879:513430:1 gene:DRNTG_04173 transcript:DRNTG_04173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIRSDRKRIRDKEEAMEWRFSQVFGERTPGEEIEDVDIISAIEFDKCGKYIATGDHGGRVVLFKRTNAKDFNDEKQVFSRKMLEHMDYHVAEPPKYSYITEFQSHEPDFDYLKSLEIQEKITKLRWCTSSNDSLFILSTNDRTIKLWKVSDCKVKKMKEADHLSQHVSSENSLLAEQSFTADPNNMTISNGYRLEWINKKPDYASPSFRENWNTILNDLETSTARCRRVFSHAHDFNINSISTNSDAETFISADDLRINLWNLEITNQCFNILDMKPFDMEDLR >DRNTG_04173.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:506879:513430:1 gene:DRNTG_04173 transcript:DRNTG_04173.18 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIRSDRKRIRDKEEAMEWRFSQVFGERTPGEEIEDVDIISAIEFDKCGKYIATGDHGGRVVLFKRTNAKDVFSRKMLEHMDYHVAEPPKYSYITEFQSHEPDFDYLKSLEIQEKITKLRWCTSSNDSLFILSTNDRTIKLWKVSDCKVKKMKEADHLSQHVSSENSLLAEQSFTADPNNMTISNGYRLEWINKKPDYASPSFRENWNTILNDLETSTARCRRVFSHAHDFNINSISTNSDAETFISADDLRINLWNLEITNQCFNILDMKPFDMEDLR >DRNTG_04173.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:506879:513430:1 gene:DRNTG_04173 transcript:DRNTG_04173.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIRSDRKRIRDKEEAMEWRFSQVFGERTPGEEIEDVDIISAIEFDKCGKYIATGDHGGRVVLFKRTNAKDFNDEKQVFSRKMLEHMDYHVAEPPKYSYITEFQSHEPDFDYLKSLEIQEKITKLRWCTSSNDSLFILSTNDRTIKLWKVSDCKVKKMKEADHLSQHVSSENSLLAEQSFTADPNNMTISNGYRLEWINKKPDYASPSFRENWNTILNDLETSTARCRRVFSHAHDFNINSISTNSDAETFISADDLRINLWNLEITNQCFNILDMKPFDMEDLVEVITSAEFHPSHCNLLAYSSSRGFLRLVDMRRSALCDQNARILQDREAHGSRSFFTEIVASISDIKFAKDGRHILSRDYMNLKLWDLHMESAPIATFKMHEHLRPKLSELYTSDSIFDKFNCCLSMDGRHFASGTYGNTFRVFSLDGGHDDGLTLKASKTPNRASHLQAAPKAKSLLASLARTQNRRESDSIFSGKGISSDFQSKIIHLAWHPIENLIACAAANSLYTFQA >DRNTG_35494.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23528588:23531341:-1 gene:DRNTG_35494 transcript:DRNTG_35494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGFPLSSREVALSMSIVASFGFGLGAVYLSMPASDYSFLKLPRTLEDLQILSDYTIQVLVGYFVVYIFMQTFMIPGTIFMSLLAGALFGIARGVILVVIAATAGASSCYFLSKLIGRPLVHALWPDKLGFFQAQVAKRREKLLNYMLFLRVTPTLPNTFINVASPIVGVPYHIFFLATFIGLIPAAYVTVRAGLALGELRSLGDLYDFQAVATLFFIGIVTVTPTLISKSQNKNVD >DRNTG_16444.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7738625:7743710:-1 gene:DRNTG_16444 transcript:DRNTG_16444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLSSSVDINGEMALRKAEEAASRRFQAAQWLRRMDGAASETLPAEPSEEEFCLALRNGLILCNVLNRVNPGSIPKIVENPIITVESNDGAAQSAIQYFENMRNFLVAVAEMKLLTFEASDLEKGGSSGKVVDCILCLKGYHEWKLAGGIGIWRYGGTVKITSSINVLPSSLLDSGNGDESPDKSNSLHGQQLLERLNLFTDIAHEESKAASALSFLFNKFGLQLLQSFLTQCNGTDDLPLSSTLIDVVLEKTVEELYMLLVSQRNQLGYVLKNIMKDENRTISRQDLLDVVSRHLKENNYQATCFSTNNDYCAVGAFQNANNENKLDHQKQLEVLKLSLHELRVQFHHSKTKWEEEVKKFEHTIQGLEVSKSSYQKLLEENRLLYNQVQDLKGNIRVYCRVRPFISNQVDGKSTVDYIGENGNIMVVNPHKQGKEARRIFSFNKVFGVNASQR >DRNTG_13329.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23146713:23148397:1 gene:DRNTG_13329 transcript:DRNTG_13329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLFIALLVLASLAAFTDARCDFPAIFNFGASSSDTGGFAAAFPAQRPPYGMTYFGKPVGRASDGRLPIDFIAQGLGLPFLSPYLKSIGSNFAHGANFASSAATILMPNTSLFVTGTSPFYLTIQLNQMKEFKNRVLELSPQGDYLPPKDIFNKALYIFDIGQNDFTGKLATIGIQGVKQYLPQMALQISAGIEELYNELGGRTFMVFNMAPIGCYPAFLTYLPHNNSDLDMYGCMISYNNEAQNYNKMLKEKLSETRNLLPDATIVYVDTHSIKLDLFQHPKDHGLVYGTKACCGYGGEYNFNKQVFCGNTKVIDGKQITASPCKDPENYVSWDGVHTTDAANKLIALGVLNGSFSEPVFPFIKFCDLKPLG >DRNTG_33086.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20056220:20057380:1 gene:DRNTG_33086 transcript:DRNTG_33086.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAETSEIPLDASHVDCINLLQDISVKLPHSVGRAKGLVMPQLSNGTIDVNSVPEDVSVQNGVIRNNVRPIIVYKRAERPKKKQKSEAEPMSNVHLSSNEFIRSPCEGLRPRTGRRSLDETADVGAAEKGEGYKTKKRDRPAGQSIRQKAEGTYICDIDGCLISFRTKRELDRHRDNRCIFKGCGKMFSSHALAMRHQRAHEDERPLKCPWKGCGMSFKWAWARTEHVRLHTGERPYKCKVAGCGLTFRFVSDFSRHRRKTGHSGNSKT >DRNTG_33086.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20052400:20056011:1 gene:DRNTG_33086 transcript:DRNTG_33086.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVQPELFSLSNDESYQKSEKSGWLKPCLPSYVQRTAKLGSADTKDSCLESEEQPNQESGPDSSSQLCGHIPAAECQGNACGSKLLKNVSQESTSCVTKLVKVTCGRFGDTDSEYIKARIFCLQHAIEIADLFRCKGGARILIICHSDYLKIKALAIAVAEEIGMQFNFKDFPIEDASATDLDLINFSIDGGEEHEDWTSKLGINLRYCIKVRKQSSSNQEPLPLSLSKLFADSPHLSVVSTLKWLSRKSRTPYKVVGKSYSKTHIVKDTVNDEALKVCQNHKRRPSFITAKHHGQHSKGQLEESHGRRGTKSVDDGNDHSRTSGFLLCKDNLELFCTDSLVTVPVLAAERLHMHQDSWPTQKTNPLCIACDSSPSDKRDVHVNSAVKGCERQQVLNSNEEASQTLLCDSVNSGFCQSSSDLSMFENAEAHQSGLVADAVPTGCKTGDFEESESEINIVVQEVESLEVLKEIIVAEDSYPLKVW >DRNTG_33086.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20056116:20057420:1 gene:DRNTG_33086 transcript:DRNTG_33086.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFVPNSITMNQTLMILNHNKNHILGSKESSLQCNGEDQSRQLGCEYVIDKPELQQNIKASADAEINGSMASEAETSEIPLDASHVDCINLLQDISVKLPHSVGRAKGLVMPQLSNGTIDVNSVPEDVSVQNGVIRNNVRPIIVYKRAERPKKKQKSEAEPMSNVHLSSNEFIRSPCEGLRPRTGRRSLDETADVGAAEKGEGYKTKKRDRPAGQSIRQKAEGTYICDIDGCLISFRTKRELDRHRDNRCIFKGCGKMFSSHALAMRHQRAHEDERPLKCPWKGCGMSFKWAWARTEHVRLHTGERPYKCKVAGCGLTFRFVSDFSRHRRKTGHSGNSKT >DRNTG_33086.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20052363:20056011:1 gene:DRNTG_33086 transcript:DRNTG_33086.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVQPELFSLSNDESYQKSEKSGWLKPCLPSYVQRTAKLGSDTKDSCLESEEQPNQESGPDSSSQLCGHIPAAECQGNACGSKLLKNVSQESTSCVTKLVKVTCGRFGDTDSEYIKARIFCLQHAIEIADLFRCKGGARILIICHSDYLKIKALAIAVAEEIGMQFNFKDFPIEDASATDLDLINFSIDGGEEHEDWTSKLGINLRYCIKVRKQSSSNQEPLPLSLSKLFADSPHLSVVSTLKWLSRKSRTPYKVVGKSYSKTHIVKDTVNDEALKVCQNHKRRPSFITAKHHGQHSKGQLEESHGRRGTKSVDDGNDHSRTSGFLLCKDNLELFCTDSLVTVPVLAAERLHMHQDSWPTQKTNPLCIACDSSPSDKRDVHVNSAVKGCERQQVLNSNEEASQTLLCDSVNSGFCQSSSDLSMFENAEAHQSGLVADAVPTGCKTGDFEESESEINIVVQEVESLEVLKEIIVAEDSYPLKVW >DRNTG_33086.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20056289:20057380:1 gene:DRNTG_33086 transcript:DRNTG_33086.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAETSEIPLDASHVDCINLLQDISVKLPHSVGRAKGLVMPQLSNGTIDVNSVPEDVSVQNGVIRNNVRPIIVYKRAERPKKKQKSEAEPMSNVHLSSNEFIRSPCEGLRPRTGRRSLDETADVGAAEKGEGYKTKKRDRPAGQSIRQKAEGTYICDIDGCLISFRTKRELDRHRDNRCIFKGCGKMFSSHALAMRHQRAHEDERPLKCPWKGCGMSFKWAWARTEHVRLHTGERPYKCKVAGCGLTFRFVSDFSRHRRKTGHSGNSKT >DRNTG_33086.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20052400:20057380:1 gene:DRNTG_33086 transcript:DRNTG_33086.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVPNSITMNQTLMILNHNKNHILGSKESSLQCNGEDQSRQLGCEYVIDKPELQQNIKASADAEINGSMASEAETSEIPLDASHVDCINLLQDISVKLPHSVGRAKGLVMPQLSNGTIDVNSVPEDVSVQNGVIRNNVRPIIVYKRAERPKKKQKSEAEPMSNVHLSSNEFIRSPCEGLRPRTGRRSLDETADVGAAEKGEGYKTKKRDRPAGQSIRQKAEGTYICDIDGCLISFRTKRELDRHRDNRCIFKGCGKMFSSHALAMRHQRAHEDERPLKCPWKGCGMSFKWAWARTEHVRLHTGERPYKCKVAGCGLTFRFVSDFSRHRRKTGHSGNSKT >DRNTG_26780.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3429945:3433594:1 gene:DRNTG_26780 transcript:DRNTG_26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIATVSELKQSVSGKRTFRPSLSTRHTIDWPLSDVSSDLTVEVGTSSFALHKFPLVSRSGKIRKLISEAKDTKATRINLQGIPGGAEAFELSAKFCYGVNIEITLSNVAMIRCAAHYLQMTEEFAEKNLATQAESYLRETVISNILNSITVLQRCEMLLPVAEEINLISRIITAISSNVCKEQLTSGLSRLETNLASKSSTIDRELASDWWGKSLAVLNLDFFQRVLSTMKSKGLKQDTISRILINYAHNSLQSLIVRDMQSWKCSFSDEENQKKQRVIVETIVGLLPTQSRKSPVPIAFLSGLLKTAVMVSASSICKADLERRIGFQLDQAILEDILIPANPQNNGHQTLYDTDTVSRIFSVFLNLDEEDDEGAQLREERDVCYEYDSPRSPKQSVIIKASKLMDSYLAEIALDSNLLPSKFIALAELLPDHARVVNDGLYRAVDIFLKVHPNIKESERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGSHDQFFFGSANGQYPQRSSSGVGSGAISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQELVRANPANKILRSLTKKLGKLNALFRMRDVKPLNAKATSEARLLFQRRRRHSIS >DRNTG_06425.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29838847:29848736:1 gene:DRNTG_06425 transcript:DRNTG_06425.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative RING-H2 finger protein ATL69 [Source:Projected from Arabidopsis thaliana (AT5G07040) UniProtKB/Swiss-Prot;Acc:Q9FL42] MSTASFSTPLSPATANATATAAGVGLGYGIAIAVGILVLVSTIMLASYLCVRVKGRSTRHHHHHSNSGSSNTTTTTTTTATAAPTTLTLGLDRPTIESFPKFLFGSQVNLQRPITGPCPICLSEYKPGDAIRCVPECDHCFHAGCVDEWLQVSASCPLCRSSPVPSAASTPLATPLSELIPLAVHSR >DRNTG_06425.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29838847:29848736:1 gene:DRNTG_06425 transcript:DRNTG_06425.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative RING-H2 finger protein ATL69 [Source:Projected from Arabidopsis thaliana (AT5G07040) UniProtKB/Swiss-Prot;Acc:Q9FL42] MSTASFSTPLSPATANATATAAGVGLGYGIAIAVGILVLVSTIMLASYLCVRVKGRSTRHHHHHSNSGSSNTTTTTTTTATAAPTTLTLGLDRPTIESFPKFLFGSQVNLQRPITGPCPICLSEYKPGDAIRCVPECDHCFHAGCVDEWLQVSASCPLCRSSPVPSAASTPLATPLSELIPLAVHSR >DRNTG_27591.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:832102:836518:-1 gene:DRNTG_27591 transcript:DRNTG_27591.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAV2 [Source:Projected from Arabidopsis thaliana (AT5G20520) UniProtKB/TrEMBL;Acc:A0A178UB23] MFSEPELYLLDIAHRLEFVRIMLQRLHCNVFMLSYRGYGASDGYPSQHGITKDAQAALDHLIQREDIDTSRIVVFGRSLGGAVGAVLARNNPDKVSALILENTFTSILDMAGVLLPFLKWFIGGSSSKGPKILNRLVRSPWSTIDVVGQIKQPILFMSGLQDEMVPPSHMRLLYNKAIESNSECLFVDFPNGMHMDTWLSGGDRYWRTIQLFLDQYVPQTRRSSRSRIDDDNDGSNDGNYTFHWP >DRNTG_27591.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:832102:836518:-1 gene:DRNTG_27591 transcript:DRNTG_27591.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAV2 [Source:Projected from Arabidopsis thaliana (AT5G20520) UniProtKB/TrEMBL;Acc:A0A178UB23] MVSLWSLVGYGVGGVIVAGVALLVAFQEKLVYVPVLPGLAKAYAVTPARLRLAYEDVWLRSSDGVRLHSWFIKLSPNCTGPTILFFQENAGNIAHRLEFVRIMLQRLHCNVFMLSYRGYGASDGYPSQHGITKDAQAALDHLIQREDIDTSRIVVFGRSLGGAVGAVLARNNPDKVSALILENTFTSILDMAGVLLPFLKWFIGGSSSKGPKILNRLVRSPWSTIDVVGQIKQPILFMSGLQDEMVPPSHMRLLYNKAIESNSECLFVDFPNGMHMDTWLSGGDRYWRTIQLFLDQYVPQTRRSSRSRIDDDNDGSNDGNYTFHWP >DRNTG_27591.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:832102:836518:-1 gene:DRNTG_27591 transcript:DRNTG_27591.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAV2 [Source:Projected from Arabidopsis thaliana (AT5G20520) UniProtKB/TrEMBL;Acc:A0A178UB23] MVSLWSLVGYGVGGVIVAGVALLVAFQEKLVYVPVLPGLAKAYAVTPARLRLAYEDVWLRSSDGVRLHSWFIKLSPNCTGPTILFFQENAGNIAHRLEFVRIMLQRLHCNVFMLSYRGYGASDGYPSQHGITKDAQAALDHLIQREDIDTSRIVVFGRSLGGAVGAVLARNNPDKVSALILENTFTSILDMAGVLLPFLKWFIGGSSSKGPKILNRLVRSPWSTIDVVGQIKQPILFMSGLQDEMVPPSHMRLLYNKAIESNSECLFVDFPNGMHMDTWLSGGDRYWRTIQLFLDQYVPQTRRSSRSRIDDDNDGSNDDFMER >DRNTG_27591.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:832102:836518:-1 gene:DRNTG_27591 transcript:DRNTG_27591.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAV2 [Source:Projected from Arabidopsis thaliana (AT5G20520) UniProtKB/TrEMBL;Acc:A0A178UB23] MVSLWSLVGYGVGGVIVAGVALLVAFQEKLVYVPVLPGLAKAYAVTPARLRLAYEDVWLRSSDGVRLHSWFIKLSPNCTGPTILFFQENAGNIAHRLEFVRIMLQRLHCNVFMLSYRGYGASDGYPSQHGITKDAQAALDHLIQREDIDTSRIVVFGRSLGGAVGAVLARNNPDKVSALILENTFTSILDMAGVLLPFLKWFIGGSSSKGPKILNRLVRSPWSTIDVVGQIKQPILFMSGLQDEMVPPSHMRLLYNKAIESNSECLFVDFPNGMHMDTWLSGGDRYWRTIQLFLDQYVPQTRRSSRSRIDDDNDGSNDGNYTFHWP >DRNTG_27591.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:832102:836518:-1 gene:DRNTG_27591 transcript:DRNTG_27591.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAV2 [Source:Projected from Arabidopsis thaliana (AT5G20520) UniProtKB/TrEMBL;Acc:A0A178UB23] MVSLWSLVGYGVGGVIVAGVALLVAFQEKLVYVPVLPGLAKAYAVTPARLRLAYEDVWLRSSDGVRLHSWFIKLSPNCTGPTILFFQENAGNIAHRLEFVRIMLQRLHCNVFMLSYRGYGASDGYPSQHGITKDAQAALDHLIQREDIDTSRIVVFGRSLGGAVGAVLARNNPDKVSALILENTFTSILDMAGVLLPFLKWFIGGSSSKGPKILNRLVRSPWSTIDVVGQIKQPILFMSGLQDEMVPPSHMRLLYNKAIESNSECLFVDFPNGMHMDTWLSGGDRYWRTIQLFLDQYVPQTRRSSRSRIDDDNDGSNDDFMER >DRNTG_11357.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23765804:23768594:1 gene:DRNTG_11357 transcript:DRNTG_11357.7 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQLVAQVQDHGLVASDHSLVIGQEFQDVESCRRALKEIAIALHFELRIVKSDRSRFIAKCSKEGCPWRIHVAKCPGVPTFSIRTLHSDHTCEGVRNLHHQQASVGWVARSVEARLRDNPQYKPKEILQDIREQHGVAVSYMQAWRGKERSMAAVHGTLEDGYRLLPAYCEQIRRTNPGSIAVVYATGPENCFHRLFISYRALIYGFLQACRPLLELDKAQLKGKYLGTLLCASAVDANDTLFPLAFAVVDSETDDNWMWFLSELRKLLGVSTDKMPILTILSERQNGVVEAVETHFPSAYHGFCLRHVSESFRDEFKNSKLVNLFWNAVYALTAAEFELKVAEMMEVQDVMPWFHRYPPNLWAVAYFEGVRYGHFTLGITELLYNWALEGHELPMVQMMEHIRHHLNTWFHERRNMAMSWASILVPSAEKLIAEAVADSRCYQVLRANKVEFEIVSSERTNIVDIQSRCCSCRRWQIYGIPCAHAAAALLSCGEDVRLYAQECFSINSYRETYSQVINQIPDRSLWKDVAEGTEGGLGKADIIIRPPKTRRPPGRPKKKVLRIESLKRPKRVVQCGRCHLLGHSQKKCTLQI >DRNTG_11357.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23765804:23768510:1 gene:DRNTG_11357 transcript:DRNTG_11357.11 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQLVAQVQDHGLVASDHSLVIGQEFQDVESCRRALKEIAIALHFELRIVKSDRSRFIAKCSKEGCPWRIHVAKCPGVPTFSIRTLHSDHTCEGVRNLHHQQASVGWVARSVEARLRDNPQYKPKEILQDIREQHGVAVSYMQAWRGKERSMAAVHGTLEDGYRLLPAYCEQIRRTNPGSIAVVYATGPENCFHRLFISYRALIYGFLQACRPLLELDKAQLKGKYLGTLLCASAVDANDTLFPLAFAVVDSETDDNWMWFLSELRKLLGVSTDKMPILTILSERQNGVVEAVETHFPSAYHGFCLRHVSESFRDEFKNSKLVNLFWNAVYALTAAEFELKVAEMMEVQDVMPWFHRYPPNLWAVAYFEGVRYGHFTLGITELLYNWALEGHELPMVQMMEHIRHHLNTWFHERRNMAMSWASILVPSAEKLIAEAVADSRCYQVLRANKVEFEIVSSERTNIVDIQSRCCSCRRWQIYGIPCAHAAAALLSCGEDVRLYAQECFSINSYRETYSQVINQIPDRSLWKDVAEGTEGGLGKADIIIRPPKTRRPPGRPKKKVLRIESLKRPKRVVQCGRCHLLGHSQKKCTLQI >DRNTG_11357.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23765721:23768510:1 gene:DRNTG_11357 transcript:DRNTG_11357.9 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQLVAQVQDHGLVASDHSLVIGQEFQDVESCRRALKEIAIALHFELRIVKSDRSRFIAKCSKEGCPWRIHVAKCPGVPTFSIRTLHSDHTCEGVRNLHHQQASVGWVARSVEARLRDNPQYKPKEILQDIREQHGVAVSYMQAWRGKERSMAAVHGTLEDGYRLLPAYCEQIRRTNPGSIAVVYATGPENCFHRLFISYRALIYGFLQACRPLLELDKAQLKGKYLGTLLCASAVDANDTLFPLAFAVVDSETDDNWMWFLSELRKLLGVSTDKMPILTILSERQNGVVEAVETHFPSAYHGFCLRHVSESFRDEFKNSKLVNLFWNAVYALTAAEFELKVAEMMEVQDVMPWFHRYPPNLWAVAYFEGVRYGHFTLGITELLYNWALEGHELPMVQMMEHIRHHLNTWFHERRNMAMSWASILVPSAEKLIAEAVADSRCYQVLRANKVEFEIVSSERTNIVDIQSRCCSCRRWQIYGIPCAHAAAALLSCGEDVRLYAQECFSINSYRETYSQVINQIPDRSLWKDVAEGTEGGLGKADIIIRPPKTRRPPGRPKKKVLRIESLKRPKRVVQCGRCHLLGHSQKKCTLQI >DRNTG_11357.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23765575:23768594:1 gene:DRNTG_11357 transcript:DRNTG_11357.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQLVAQVQDHGLVASDHSLVIGQEFQDVESCRRALKEIAIALHFELRIVKSDRSRFIAKCSKEGCPWRIHVAKCPGVPTFSIRTLHSDHTCEGVRNLHHQQASVGWVARSVEARLRDNPQYKPKEILQDIREQHGVAVSYMQAWRGKERSMAAVHGTLEDGYRLLPAYCEQIRRTNPGSIAVVYATGPENCFHRLFISYRALIYGFLQACRPLLELDKAQLKGKYLGTLLCASAVDANDTLFPLAFAVVDSETDDNWMWFLSELRKLLGVSTDKMPILTILSERQNGVVEAVETHFPSAYHGFCLRHVSESFRDEFKNSKLVNLFWNAVYALTAAEFELKVAEMMEVQDVMPWFHRYPPNLWAVAYFEGVRYGHFTLGITELLYNWALEGHELPMVQMMEHIRHHLNTWFHERRNMAMSWASILVPSAEKLIAEAVADSRCYQVLRANKVEFEIVSSERTNIVDIQSRCCSCRRWQIYGIPCAHAAAALLSCGEDVRLYAQECFSINSYRETYSQVINQIPDRSLWKDVAEGTEGGLGKADIIIRPPKTRRPPGRPKKKVLRIESLKRPKRVVQCGRCHLLGHSQKKCTLQI >DRNTG_11357.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23765721:23768594:1 gene:DRNTG_11357 transcript:DRNTG_11357.6 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQLVAQVQDHGLVASDHSLVIGQEFQDVESCRRALKEIAIALHFELRIVKSDRSRFIAKCSKEGCPWRIHVAKCPGVPTFSIRTLHSDHTCEGVRNLHHQQASVGWVARSVEARLRDNPQYKPKEILQDIREQHGVAVSYMQAWRGKERSMAAVHGTLEDGYRLLPAYCEQIRRTNPGSIAVVYATGPENCFHRLFISYRALIYGFLQACRPLLELDKAQLKGKYLGTLLCASAVDANDTLFPLAFAVVDSETDDNWMWFLSELRKLLGVSTDKMPILTILSERQNGVVEAVETHFPSAYHGFCLRHVSESFRDEFKNSKLVNLFWNAVYALTAAEFELKVAEMMEVQDVMPWFHRYPPNLWAVAYFEGVRYGHFTLGITELLYNWALEGHELPMVQMMEHIRHHLNTWFHERRNMAMSWASILVPSAEKLIAEAVADSRCYQVLRANKVEFEIVSSERTNIVDIQSRCCSCRRWQIYGIPCAHAAAALLSCGEDVRLYAQECFSINSYRETYSQVINQIPDRSLWKDVAEGTEGGLGKADIIIRPPKTRRPPGRPKKKVLRIESLKRPKRVVQCGRCHLLGHSQKKCTLQI >DRNTG_11357.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23765721:23768594:1 gene:DRNTG_11357 transcript:DRNTG_11357.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQLVAQVQDHGLVASDHSLVIGQEFQDVESCRRALKEIAIALHFELRIVKSDRSRFIAKCSKEGCPWRIHVAKCPGVPTFSIRTLHSDHTCEGVRNLHHQQASVGWVARSVEARLRDNPQYKPKEILQDIREQHGVAVSYMQAWRGKERSMAAVHGTLEDGYRLLPAYCEQIRRTNPGSIAVVYATGPENCFHRLFISYRALIYGFLQACRPLLELDKAQLKGKYLGTLLCASAVDANDTLFPLAFAVVDSETDDNWMWFLSELRKLLGVSTDKMPILTILSERQNGVVEAVETHFPSAYHGFCLRHVSESFRDEFKNSKLVNLFWNAVYALTAAEFELKVAEMMEVQDVMPWFHRYPPNLWAVAYFEGVRYGHFTLGITELLYNWALEGHELPMVQMMEHIRHHLNTWFHERRNMAMSWASILVPSAEKLIAEAVADSRCYQVLRANKVEFEIVSSERTNIVDIQSRCCSCRRWQIYGIPCAHAAAALLSCGEDVRLYAQECFSINSYRETYSQVINQIPDRSLWKDVAEGTEGGLGKADIIIRPPKTRRPPGRPKKKVLRIESLKRPKRVVQCGRCHLLGHSQKKCTLQI >DRNTG_11357.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23765804:23768510:1 gene:DRNTG_11357 transcript:DRNTG_11357.10 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQLVAQVQDHGLVASDHSLVIGQEFQDVESCRRALKEIAIALHFELRIVKSDRSRFIAKCSKEGCPWRIHVAKCPGVPTFSIRTLHSDHTCEGVRNLHHQQASVGWVARSVEARLRDNPQYKPKEILQDIREQHGVAVSYMQAWRGKERSMAAVHGTLEDGYRLLPAYCEQIRRTNPGSIAVVYATGPENCFHRLFISYRALIYGFLQACRPLLELDKAQLKGKYLGTLLCASAVDANDTLFPLAFAVVDSETDDNWMWFLSELRKLLGVSTDKMPILTILSERQNGVVEAVETHFPSAYHGFCLRHVSESFRDEFKNSKLVNLFWNAVYALTAAEFELKVAEMMEVQDVMPWFHRYPPNLWAVAYFEGVRYGHFTLGITELLYNWALEGHELPMVQMMEHIRHHLNTWFHERRNMAMSWASILVPSAEKLIAEAVADSRCYQVLRANKVEFEIVSSERTNIVDIQSRCCSCRRWQIYGIPCAHAAAALLSCGEDVRLYAQECFSINSYRETYSQVINQIPDRSLWKDVAEGTEGGLGKADIIIRPPKTRRPPGRPKKKVLRIESLKRPKRVVQCGRCHLLGHSQKKCTLQI >DRNTG_11357.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23765575:23768510:1 gene:DRNTG_11357 transcript:DRNTG_11357.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQLVAQVQDHGLVASDHSLVIGQEFQDVESCRRALKEIAIALHFELRIVKSDRSRFIAKCSKEGCPWRIHVAKCPGVPTFSIRTLHSDHTCEGVRNLHHQQASVGWVARSVEARLRDNPQYKPKEILQDIREQHGVAVSYMQAWRGKERSMAAVHGTLEDGYRLLPAYCEQIRRTNPGSIAVVYATGPENCFHRLFISYRALIYGFLQACRPLLELDKAQLKGKYLGTLLCASAVDANDTLFPLAFAVVDSETDDNWMWFLSELRKLLGVSTDKMPILTILSERQNGVVEAVETHFPSAYHGFCLRHVSESFRDEFKNSKLVNLFWNAVYALTAAEFELKVAEMMEVQDVMPWFHRYPPNLWAVAYFEGVRYGHFTLGITELLYNWALEGHELPMVQMMEHIRHHLNTWFHERRNMAMSWASILVPSAEKLIAEAVADSRCYQVLRANKVEFEIVSSERTNIVDIQSRCCSCRRWQIYGIPCAHAAAALLSCGEDVRLYAQECFSINSYRETYSQVINQIPDRSLWKDVAEGTEGGLGKADIIIRPPKTRRPPGRPKKKVLRIESLKRPKRVVQCGRCHLLGHSQKKCTLQI >DRNTG_11357.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23765575:23768594:1 gene:DRNTG_11357 transcript:DRNTG_11357.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQLVAQVQDHGLVASDHSLVIGQEFQDVESCRRALKEIAIALHFELRIVKSDRSRFIAKCSKEGCPWRIHVAKCPGVPTFSIRTLHSDHTCEGVRNLHHQQASVGWVARSVEARLRDNPQYKPKEILQDIREQHGVAVSYMQAWRGKERSMAAVHGTLEDGYRLLPAYCEQIRRTNPGSIAVVYATGPENCFHRLFISYRALIYGFLQACRPLLELDKAQLKGKYLGTLLCASAVDANDTLFPLAFAVVDSETDDNWMWFLSELRKLLGVSTDKMPILTILSERQNGVVEAVETHFPSAYHGFCLRHVSESFRDEFKNSKLVNLFWNAVYALTAAEFELKVAEMMEVQDVMPWFHRYPPNLWAVAYFEGVRYGHFTLGITELLYNWALEGHELPMVQMMEHIRHHLNTWFHERRNMAMSWASILVPSAEKLIAEAVADSRCYQVLRANKVEFEIVSSERTNIVDIQSRCCSCRRWQIYGIPCAHAAAALLSCGEDVRLYAQECFSINSYRETYSQVINQIPDRSLWKDVAEGTEGGLGKADIIIRPPKTRRPPGRPKKKVLRIESLKRPKRVVQCGRCHLLGHSQKKCTLQI >DRNTG_11357.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23765804:23768594:1 gene:DRNTG_11357 transcript:DRNTG_11357.8 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQLVAQVQDHGLVASDHSLVIGQEFQDVESCRRALKEIAIALHFELRIVKSDRSRFIAKCSKEGCPWRIHVAKCPGVPTFSIRTLHSDHTCEGVRNLHHQQASVGWVARSVEARLRDNPQYKPKEILQDIREQHGVAVSYMQAWRGKERSMAAVHGTLEDGYRLLPAYCEQIRRTNPGSIAVVYATGPENCFHRLFISYRALIYGFLQACRPLLELDKAQLKGKYLGTLLCASAVDANDTLFPLAFAVVDSETDDNWMWFLSELRKLLGVSTDKMPILTILSERQNGVVEAVETHFPSAYHGFCLRHVSESFRDEFKNSKLVNLFWNAVYALTAAEFELKVAEMMEVQDVMPWFHRYPPNLWAVAYFEGVRYGHFTLGITELLYNWALEGHELPMVQMMEHIRHHLNTWFHERRNMAMSWASILVPSAEKLIAEAVADSRCYQVLRANKVEFEIVSSERTNIVDIQSRCCSCRRWQIYGIPCAHAAAALLSCGEDVRLYAQECFSINSYRETYSQVINQIPDRSLWKDVAEGTEGGLGKADIIIRPPKTRRPPGRPKKKVLRIESLKRPKRVVQCGRCHLLGHSQKKCTLQI >DRNTG_11357.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23765575:23768510:1 gene:DRNTG_11357 transcript:DRNTG_11357.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQLVAQVQDHGLVASDHSLVIGQEFQDVESCRRALKEIAIALHFELRIVKSDRSRFIAKCSKEGCPWRIHVAKCPGVPTFSIRTLHSDHTCEGVRNLHHQQASVGWVARSVEARLRDNPQYKPKEILQDIREQHGVAVSYMQAWRGKERSMAAVHGTLEDGYRLLPAYCEQIRRTNPGSIAVVYATGPENCFHRLFISYRALIYGFLQACRPLLELDKAQLKGKYLGTLLCASAVDANDTLFPLAFAVVDSETDDNWMWFLSELRKLLGVSTDKMPILTILSERQNGVVEAVETHFPSAYHGFCLRHVSESFRDEFKNSKLVNLFWNAVYALTAAEFELKVAEMMEVQDVMPWFHRYPPNLWAVAYFEGVRYGHFTLGITELLYNWALEGHELPMVQMMEHIRHHLNTWFHERRNMAMSWASILVPSAEKLIAEAVADSRCYQVLRANKVEFEIVSSERTNIVDIQSRCCSCRRWQIYGIPCAHAAAALLSCGEDVRLYAQECFSINSYRETYSQVINQIPDRSLWKDVAEGTEGGLGKADIIIRPPKTRRPPGRPKKKVLRIESLKRPKRVVQCGRCHLLGHSQKKCTLQI >DRNTG_01790.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:123411:129512:1 gene:DRNTG_01790 transcript:DRNTG_01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTLSFLRKKVGRGASVEAYHIPDEGILERRVEDFSQDHRHDYRGGGRSLTTTEPWILRSPQRFILLHAQLSPSGSSSLRPFTLLWQQRTGGTEVESITPEGKGDAPVPLDSVSQ >DRNTG_22558.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001244.1:158183:164412:1 gene:DRNTG_22558 transcript:DRNTG_22558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCTGSLNAATYGFFIERCLEVGRIEDALNYFEKMVSGEDGAPKVDEKKKKFHSRTQLSLEAQNSRFSLAKVLRRPAPSEENLTIPRLPHKGCRPNVIVSLTGKDVAVRELSRDTKQSNEYLQHSFEHLKLVKKNATTVDLRPQLSENKTTSRNDRDKSKRTEGIKYTATTFNIENGKGIAEPHKQEQAKLPGLSIANYESRPLSSLKDRMNNKYSFRRDKVHKIFKDAVREGLQLPECKRPEEQSKEDYPNYYPYHKKLLSEQPAEHTRYVTIVNLEGSSQKEVTVVGNKVILTSTEDDKLFAIPEELWEVFISKRSMKMLKKLAELQGISWKRSQQPPKEVEELRGELAKEIDENEEDVQVETCRVISGGEYPLYNPEDDDDDDDDDEYDSFSEPEEFMTTTVDLISARNVEWDKSGTYPLESLGQNFVSQFVDFPVLAKATNAKERFIKREDYFEERGECYAIAAYDDSEDELNFPEEEDEAPQHVYQMQLRTGKTLQPR >DRNTG_19832.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001073.1:379:2963:1 gene:DRNTG_19832 transcript:DRNTG_19832.1 gene_biotype:protein_coding transcript_biotype:protein_coding AIALCPNVAVYWTNRALCYRKREEWARVEEDCRRAIAIDGGSVKAHYMLGLVLLEKQEYASGIKELE >DRNTG_11555.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15844876:15848754:1 gene:DRNTG_11555 transcript:DRNTG_11555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRATGHQHSWSNQAQGSRRMICRINRALINLEWLLQDCPDGFVEYLNPGSAESTTIVVQSMVEFPGMVIQEAPPGTTPIRFLRELEKRLQYPDQRADEGDERRGHLLYAVQLEETLGIAGVRRPQVSILWGPSSTSGKNQGESRSCIAQVGATYHRAFNRQSREAPIKKILRRLRDRGLISRRRPRLNHVASLTNVSGGDIVNRSAGIVISPLSYCRKFLKKRATPRKCHTPLSLGIHCSFCGYQPSPPANLRSPSLIECSTEVVYNNLKCTSLGWAYPNP >DRNTG_00506.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30510275:30512958:1 gene:DRNTG_00506 transcript:DRNTG_00506.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH47 [Source:Projected from Arabidopsis thaliana (AT3G47640) UniProtKB/Swiss-Prot;Acc:Q9SN74] MQQPPLVGPLFVVPLHQGIHQEIEPCNEAEAAPKPPSLVRRPQARYPAPSDSWPLELLSRHQHSASSSSATTNSGD >DRNTG_00506.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30510275:30512958:1 gene:DRNTG_00506 transcript:DRNTG_00506.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH47 [Source:Projected from Arabidopsis thaliana (AT3G47640) UniProtKB/Swiss-Prot;Acc:Q9SN74] MTPNQSPSMVDDANVIAENMAGEALPNRKNNGKIPKKIHKAEREKLKRDHLNELFLELGHVLEPSRQNNGKACILGDATRLLRDLIAQVKSLRKENAALVSESSYVTVEKNELKDENLVLEAEIERLQNELRGRLQTDSAPPQTTTVTSAQPMQQPPLVGPLFVVPLHQGIHQEIEPCNEAEAAPKPPSLVRRPQARYPAPSDSWPLELLSRHQHSASSSSATTNSGD >DRNTG_00506.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30510275:30512991:1 gene:DRNTG_00506 transcript:DRNTG_00506.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH47 [Source:Projected from Arabidopsis thaliana (AT3G47640) UniProtKB/Swiss-Prot;Acc:Q9SN74] MTPNQSPSMVDDANVIAENMAGEALPNRKNNGKIPKKIHKAEREKLKRDHLNELFLELGHVLEPSRQNNGKACILGDATRLLRDLIAQVKSLRKENAALVSESSYVCGLQSLINACLTSHCGEERAQR >DRNTG_00506.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30510275:30513634:1 gene:DRNTG_00506 transcript:DRNTG_00506.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH47 [Source:Projected from Arabidopsis thaliana (AT3G47640) UniProtKB/Swiss-Prot;Acc:Q9SN74] MTPNQSPSMVDDANVIAENMAGEALPNRKNNGKIPKKIHKAEREKLKRDHLNELFLELGHVLEPSRQNNGKACILGDATRLLRDLIAQVKSLRKENAALVSESSYVTVEKNELKDENLVLEAEIERLQNELRGRLQTDSAPPQTTTVTSAQPMQQPPLVGPLFVVPLHQGIHQEIEPCNEAEAAPKPPSLVRRPQARYPAPSDSWPLELLSRHQHSASSSSATTNSGD >DRNTG_00506.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30510136:30512958:1 gene:DRNTG_00506 transcript:DRNTG_00506.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH47 [Source:Projected from Arabidopsis thaliana (AT3G47640) UniProtKB/Swiss-Prot;Acc:Q9SN74] MTPNQSPSMVDDANVIAENMAGEALPNRKNNGKIPKKIHKAEREKLKRDHLNELFLELGHVLEPSRQNNGKACILGDATRLLRDLIAQVKSLRKENAALVSESSYVTVEKNELKDENLVLEAEIERLQNELRGRLQTDSAPPQTTTVTSAQPMQQPPLVGPLFVVPLHQGIHQEIEPCNEAEAAPKPPSLVRRPQARYPAPSDSWPLELLSRHQHSASSSSATTNSGD >DRNTG_00506.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30510275:30512958:1 gene:DRNTG_00506 transcript:DRNTG_00506.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH47 [Source:Projected from Arabidopsis thaliana (AT3G47640) UniProtKB/Swiss-Prot;Acc:Q9SN74] MQQPPLVGPLFVVPLHQGIHQEIEPCNEAEAAPKPPSLVRRPQARYPAPSDSWPLELLSRHQHSASSSSATTNSGD >DRNTG_00506.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30510275:30512958:1 gene:DRNTG_00506 transcript:DRNTG_00506.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH47 [Source:Projected from Arabidopsis thaliana (AT3G47640) UniProtKB/Swiss-Prot;Acc:Q9SN74] MTPNQSPSMVDDANVIAENMAGEALPNRKNNGKIPKKIHKAEREKLKRDHLNELFLELGHVLEPSRQNNGKACILGDATRLLRDLIAQVKSLRKENAALVSESSYVCGLQSLINACLTSELSSISFIHSNLLKL >DRNTG_00506.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30510171:30512958:1 gene:DRNTG_00506 transcript:DRNTG_00506.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH47 [Source:Projected from Arabidopsis thaliana (AT3G47640) UniProtKB/Swiss-Prot;Acc:Q9SN74] MTPNQSPSMVDDANVIAENMAGEALPNRKNNGKIPKKIHKAEREKLKRDHLNELFLELGHVLEPSRQNNGKACILGDATRLLRDLIAQVKSLRKENAALVSESSYVTVEKNELKDENLVLEAEIERLQNELRGRLQTDSAPPQTTTVTSAQPMQQPPLVGPLFVVPLHQGIHQEIEPCNEAEAAPKPPSLVRRPQARYPAPSDSWPLELLSRHQHSASSSSATTNSGD >DRNTG_00506.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30510171:30512958:1 gene:DRNTG_00506 transcript:DRNTG_00506.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH47 [Source:Projected from Arabidopsis thaliana (AT3G47640) UniProtKB/Swiss-Prot;Acc:Q9SN74] MTPNQSPSMVDDANVIAENMAGEALPNRKNNGKIPKKIHKAEREKLKRDHLNELFLELGHVLEPSRQNNGKACILGDATRLLRDLIAQVKSLRKENAALVSESSYVCGLQSLINACLTSHCGEERAQR >DRNTG_22038.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2426478:2428083:1 gene:DRNTG_22038 transcript:DRNTG_22038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLISFLILLISWPPLTLGRVVEGETVLFHVKNRCPFPIWPATAPNTGHPVIADGGFYLPSGKAHRVTAPPNWNGRFWARTGCNFSSGSSPACQTGDCQSLLACNGTIGLPPATLVEVNLVADSSKPSFYDVSVVDGYNIPVAVSTVPSNPKCMIQGCKKSINSVCPQELQVLDKIKGNVVACKSACLAFNLDLFCCRNSYGKAEKCKPSMYSEMFKDACPSYFSYAFDTPTSLVACASKEYLITFCPDKWNVDHQYSM >DRNTG_01527.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5205098:5205875:-1 gene:DRNTG_01527 transcript:DRNTG_01527.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELEALDLSGNQLSGVIPSSLTSLTFLAFLNLSNNRLVGRVPQSYQFSTFSNSSFEGNPGLCGSPLSRDCIDSTSVEPYSDSENAPTEFDMDKIWFWMFTGLGYGVGFAAAIINQLFFPKWRMWYKRRFMNR >DRNTG_03403.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20886007:20892080:1 gene:DRNTG_03403 transcript:DRNTG_03403.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWANMRKRWLMKQMLYGFGALFLRPIKTLRGECQSFGVSQFLCNITSMASSLEIELVPCLQDNYAYLLHDTDTGTVGVVDPTDALPVINALDRKNQNLTYILNTHHHHDHTGGNMELKARYGAKVIGSSKDKDRIPGIDITLHDGETWMFAGHEVLLMETPGHTKGHVSYYFPGCGAVFTGDTLFSLSCGKLFEGSPEQMLSSLQKIMSLPEETNVYCGHEYTMNNAKFALSIEPNNEALQAYAAHVAQLHSKNLPTIPTMLKTEKLCNPFLRTSSTEIRQALNIPLSASDAKALGIIRQAKDNF >DRNTG_03403.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20886007:20892080:1 gene:DRNTG_03403 transcript:DRNTG_03403.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWANMRKRWLMKQMLYGFGALFLRPIKTLRGECQSFGVSQFLCNITSMASSLEIELVPCLQDNYAYLLHDTDTGTVGVVDPTDALPVINALDRKNQNLTYILNTHHHHDHTGGNMELKARYGAKVIGSSKDKDRIPGIDITLHDGETWMFAGHEVLLMETPGHTKGHVSYYFPGCGAVFTGDTLFSLSCGKLFEGSPEQVC >DRNTG_03403.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20886007:20892080:1 gene:DRNTG_03403 transcript:DRNTG_03403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWANMRKRWLMKQMLYGFGALFLRPIKTLRGECQSFGVSQFLCNITSMASSLEIELVPCLQDNYAYLLHDTDTGTVGVVDPTDALPVINALDRKNQNLTYILNTHHHHDHTGGNMELKARYGAKVIGSSKDKDRIPGIDITLHDGETWMFAGHEVLLMETPGHTKGHVSYYFPGCGAVFTGDTLFSLSCGKLFEGSPEQMLSSLQKIMSLPEETNVYCGHEYTMNNAKFALSIEPNNEALQAYAAHVAQLHSKNLPTIPTMLKTEKLCNPFLRTSSTEIRQALNIPLSASDAKALGIIRQAKDNF >DRNTG_17630.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4368977:4372079:-1 gene:DRNTG_17630 transcript:DRNTG_17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVSLRSLSPLASALKKPALVTTACRQAPSPLSRFPRRISVRCSYTASGINEDSSSVPIDVVADVKTEKIVVLGGNGFVGTAICKAAVSKGIEVVSLSRSGRPSYSDSWVDQVTWVEGDVFYARWDEVLVGATAVVSTLGGFGNEEQMKRINGEANILAVNAAKDYGVPKFILISVHDYNLPSFLLSTGYFIGKRKAESEVLTKYPSSGVVLRPGFIYGKRKVDGFEIPLDLIGEPLERFLAAIENFTKPLSSLPASDLLLAPPVSVDDVAYAVVNAVIDDDVFGVFTIEQIKEAAAKVRT >DRNTG_04332.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31216369:31220889:-1 gene:DRNTG_04332 transcript:DRNTG_04332.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMVYDEIHGYSLTAINPFLDDTSPKDHAFVDEFSCIGCKNCANLVPDVFRIEEDFGRARVHCQSGNPDLVQQAIDSCPVDCIHWTSAAQVSLLEDEMRRVERVNVGLMLAGMGVASDVFRMANSRWEKRQAKVLENVKVQLMKQKYTDNNSWSWSNVWGKPKNYQNSGEETNERAKRAAAAARRWREYSKKGTDKPPTFKLPEAMTKE >DRNTG_04332.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31216369:31220889:-1 gene:DRNTG_04332 transcript:DRNTG_04332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAQLFSPVCSETPLCFQASSSPSCCPRTWWRSSPGTTRSGIDFHGRCRSRRRMGFRIAASVAGDSLSKQDFADDYYAVLGLSPDATPQQIKKAYYNCMKSCHPDLSGDDPDVTSFCMFINEIYEVLSDPMQRMVYDEIHGYSLTAINPFLDDTSPKDHAFVDEFSCIGCKNCANLVPDVFRIEEDFGRARVHCQSGNPDLVQQAIDSCPVDCIHWTSAAQVSLLEDEMRRVERVNVGLMLAGMGVASDVFRMANSRWEKRQAKVLENVKVQLMKQKYTDNNSWSWSNVWGKPKNYQNSGEETNERAKRAAAAARRWREYSKKGTDKPPTFKLPEAMTKE >DRNTG_31183.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10015127:10018382:-1 gene:DRNTG_31183 transcript:DRNTG_31183.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIHTSRDSDGWTNQDASKSRQKRNIIIPNSFWTNL >DRNTG_31183.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10014650:10018382:-1 gene:DRNTG_31183 transcript:DRNTG_31183.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHTSRDSDGWTNQDASKSRQKRNIIIPNSFWTNL >DRNTG_31183.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10014650:10015469:-1 gene:DRNTG_31183 transcript:DRNTG_31183.4 gene_biotype:protein_coding transcript_biotype:protein_coding PISTPNPNRSWKPKPNEARVPSLSLTHQMERDSRLQRREKRITRSKKKGRR >DRNTG_31183.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10014650:10018510:-1 gene:DRNTG_31183 transcript:DRNTG_31183.1 gene_biotype:protein_coding transcript_biotype:protein_coding PISTPNPNRSWKPKPNEARVPSLSLTHQMERDSRLQRREKRITRSKKKGRR >DRNTG_08845.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27887311:27903106:-1 gene:DRNTG_08845 transcript:DRNTG_08845.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 18 [Source:Projected from Arabidopsis thaliana (AT1G12470) UniProtKB/Swiss-Prot;Acc:F4IDS7] MDTGGQVFSVDPLERNAAKGHGVITSMAAGNDVILLGTSRGWIIRHDFGAGDSFDLELSGGRGGEQPVHRIFADPGGSHCIATVLHPGGAETYYTHAKWGRPRPITRLKGLVVNAVAWNRQQITEASTREIILGTENGQMYEMAVDEVDKKEKYVKVLFELNELPEAITGLQMETAAVGNATRYYVMAVTPTRLYSFTGIGSLETVFASYSDRAVHFMELPGEIPNSELHFFINQRRAKHFAWLSGAGIYHGDLNFGAQHSSTSGDENFVENKGLLNYSKLSDTAETIIPRSLAVSEFHFLLLIGDKVKVVNRISQTIVEELKFDHAPESISKGIIGLCSDATAGLFYAYDESSIFQVSVHDEGRDMWQVYLDMKEYATSLAHCRNPYQRDQVYLVQADAAFSTKDFYRAASFYAKVNYILSFEEISLKFIAIGEQDALRTFLLRKLDNLTSEDKCQITMISTWATELYLDKVNRLLLEDNSSIGGSERPDGTSEYESIIKEFRAFLSDSKDVLDETTTMRLLESYGRVDELVYFAGLKEHYETVVHHYIQQGETKKALEVLQRPNVPIDLQYKFAPDLVMLDAYETVESWMSSRKLNPRKLIPAMMRYASEPHAKNETHEVIKYLEFCVHNLQNEDPGVHNLLLSLYAKQEDDSALLRFLQCKFGKGQPNGPEFFYDPKYALRLCLKSKRMRPCVHIYSMMSMHEEAVALALQVDPELAMAEADKVEDDEDLRRKLWLMVAKHVIEQEKGTKRENIRKAIAFLKETDGLLKIEDILPFFPDFALIDDFKEAICSSLEDYNKQIEQLKQEMNDATHGADNIRGDISALAQRYTVIELNEECGVCKRRILNGGANSVARGYTTVGPLAPFYVFPCGHAFHADCLIAHVTQCSSQAEAEYILDLQKRLSLLGVTASNDATVGSNDESIKNTTPADNLRSQLDDAVASECPFCGDLMIREISLPFVLPEESDQLASWEIKPQVQAQKILPMIM >DRNTG_07451.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19638880:19640457:1 gene:DRNTG_07451 transcript:DRNTG_07451.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELDDAVHTAILTLKEGFEGQISGKNIEIGIIGADRKFRVLTPAEIDDYLAEVE >DRNTG_07451.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19638304:19640457:1 gene:DRNTG_07451 transcript:DRNTG_07451.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHLLWGRMFLMQKTFLEKRYTDDMELDDAVHTAILTLKEGFEGQISGKNIEIGIIGADRKFRVLTPAEIDDYLAEVE >DRNTG_07451.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19635903:19640457:1 gene:DRNTG_07451 transcript:DRNTG_07451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHLLWGRMFLMQKTFLEKRYTDDMELDDAVHTAILTLKEGFEGQISGKNIEIGIIGADRKFRVLTPAEIDDYLAEVE >DRNTG_07451.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19638487:19640457:1 gene:DRNTG_07451 transcript:DRNTG_07451.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHLLWGRMFLMQKTFLEKRYTDDMELDDAVHTAILTLKEGFEGQISGKNIEIGIIGADRKFRVLTPAEIDDYLAEVE >DRNTG_13276.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2633530:2638640:1 gene:DRNTG_13276 transcript:DRNTG_13276.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RRP4 [Source:Projected from Arabidopsis thaliana (AT1G03360) UniProtKB/TrEMBL;Acc:A0A178W3N1] MRSIFEEDDVICAEVRGFQHDGSLQLQARSQKYGKLERGQLLTVPPYLVKRRKQHFHHLEDDGVDLIVGCNGYIWVGEHLETGENVMLEDQDNHMEKDGKSNLKLKGDQDEEGTFTSVETRRSICRIANAIRLLSALGFTLSLEAIIDTVELSFASNISVKDMLAAEFYVQTAEREVERRVSKLRKKA >DRNTG_13276.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2633530:2638640:1 gene:DRNTG_13276 transcript:DRNTG_13276.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RRP4 [Source:Projected from Arabidopsis thaliana (AT1G03360) UniProtKB/TrEMBL;Acc:A0A178W3N1] MRDLQVSFSQTQRVRFQSALQQLKDLSPGSGPAATVVVADSIPVNLEDSVLKGHGTAESDGQLVATVCGVVERVNKLVYVRPFRARYKPEIGDIIVGRVIEVAPKRWRLEINFSQDAVLMLSSMNLPDGIQRRRTAVDELNMRSIFEEDDVICAEVRGFQHDGSLQLQARSQKYGKLERGQLLTVPPYLVKRRKQHFHHLEDDGVDLIVGCNGYIWVGEHLETGENVMLEDQDNHMEKDGKSNLKLKGDQDEEGTFTSVETRRSICRIANAIRLLSALGFTLSLEAIIDTVELSFASNISVKDMLAAEFYVQTAEREVERRVSKLRKKA >DRNTG_13276.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2633530:2638640:1 gene:DRNTG_13276 transcript:DRNTG_13276.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RRP4 [Source:Projected from Arabidopsis thaliana (AT1G03360) UniProtKB/TrEMBL;Acc:A0A178W3N1] MRSIFEEDDVICAEVRGFQHDGSLQLQARSQKYGKLERGQLLTVPPYLVKRRKQHFHHLEDDGVDLIVGCNGYIWVGEHLETGENVMLEDQDNHMEKDGKSNLKLKGDQDEEGTFTSVETRRSICRIANAIRLLSALGFTLSLEAIIDTVELSFASNISVKDMLAAEFYVQTAEREVERRVSKLRKKA >DRNTG_10353.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9733270:9737166:-1 gene:DRNTG_10353 transcript:DRNTG_10353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHISHWRCMRLLLGCGMYIFEETGKRKMVFRGHSDYMHCVVARESSNQVITGSEDGSARIWGKRANLFKIIYQMTRICFLL >DRNTG_10353.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9733270:9735566:-1 gene:DRNTG_10353 transcript:DRNTG_10353.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRGHSDYMHCVVARESSNQVITGSEDGSARIWGKRANLFKIIYQMTRICFLL >DRNTG_30510.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:781996:787554:-1 gene:DRNTG_30510 transcript:DRNTG_30510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEESSGGIPWLRKKPICERNAAQSYTQLLANCAITSSGFEGKPNNGKGLFTSSQQELQQTLEMDEASNSLSGKTILGFTFAETFKQSDIQTRFLTDNVKCNEGAGIRFERTSGSKSSRNQIDLNSLGSPRKIMLNIDLEAPVTCQADDESAEIDYTFKADCLNKTENLHEQCIREAAESIFAISQDTFNNADKLTCHKTLVTESDALSWFADVVLSSDMEKELKGEDYLGSESLDDDGLDLFESMTLKLEEMKVEELQCHSQGQDDQEEERKGSVALLLLTKPRRGSTRKRRQKRDFQKDILPGLATLSRHEVIEDMKTIEGLARSAGGPWSQASPTKRKAQTRGRGRPRSLPAVEPVSEPKNAKPVDDDKSIVGWGRTTRRCRRPRCPPGSVGAPLV >DRNTG_30510.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:781996:787554:-1 gene:DRNTG_30510 transcript:DRNTG_30510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEESSGGIPWLRKKPICERNAAQSYTQLLANCAITSSGFEGKPNNGKGLFTSSQQELQQTLEMDEASNSLSGKTILGFTFAETFKQSDIQTRFLTDNVKCNEGAGIRFERTSGSKSSRNQIDLNSLGSPRKIMLNIDLEAPVTCQADDESAEIDYTFKADCLNKTENLHEQCIREAAESIFAISQDTFNNADKLTCHKTLVTESDALSWFADVVLSSDMEKELKGEDYLGSESLDDDGLDLFESMTLKLEEMKVEELQCHSQGQDDQEEERKGSVALLLLTKPRRGSTRKRRQKRDFQKDILPGLATLSRHEVIEDMKTIEGLARSAGGPWSQASPTKRKAQTRGRGRPRSLPAVEPVSEPKNAKPVDDDKSIVGWGRTTRRCRRPRCPPGSVGAPLV >DRNTG_30510.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:781996:787554:-1 gene:DRNTG_30510 transcript:DRNTG_30510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEESSGGIPWLRKKPICERNAAQSYTQLLANCAITSSGFEGKPNNGKGLFTSSQQELQQTLEMDEASNSLSGKTILGFTFAETFKQSDIQTRFLTDNVKCNEGAGIRFERTSGSKSSRNQIDLNSLGSPRKIMLNIDLEAPVTCQADDESAEIDYTFKADCLNKTENLHEQCIREAAESIFAISQDTFNNADKLTCHKTLVTESDALSWFADVVLSSDMEKELKGEDYLGSESLDDDGLDLFESMTLKLEEMKVEELQCHSQGQDDQEEERKGSVALLLLTKPRRGSTRKRRQKRDFQKDILPGLATLSRHEVIEDMKTIEGLARSAGGPWSQASPTKRKAQTRGRGRPRSLPAVEPVSEPKNAKPVDDDKSIVGWGRTTRRCRRPRCPPGSVGAPLV >DRNTG_31426.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:655353:656058:1 gene:DRNTG_31426 transcript:DRNTG_31426.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTKIQINNVSELGQQLEQQQLQQQQNTAIIDVDHVMESPQGLLEDIVPLLVRKPCFSNTNTSSSSQASSPSYSSSLSWSPSVLSSII >DRNTG_31426.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:655353:656058:1 gene:DRNTG_31426 transcript:DRNTG_31426.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKWNTSRYTQLNLAGPPTFPASFTILLNLFQELLQMHQPTLQCQETSRKINRRSGNSHRHI >DRNTG_30265.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5097290:5098433:1 gene:DRNTG_30265 transcript:DRNTG_30265.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEGNRKAVKEEKGKGAGGHGNDVVDDDDNHGLDPTVKMLIHQLITGGQAAAAQGDESHTKKDEKKQVVTNSPFQVLAFSRTPLDAKAKRKEAEPPSALHVRRHHCSTAVRATPRRWLLSHHPLLFVTNKNQAHLSPFFLSIFLRKLSRKYLSLYISLSLFRYIYIYIYIYIIEEEDSRA >DRNTG_30265.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5097290:5098433:1 gene:DRNTG_30265 transcript:DRNTG_30265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEGNRKAVKEEKGKGAGGHGNDVVDDDDNHGLDPTVKMLIHQLITGGQAAAAQGDESHTKKDEKKQVVTNSPFQVLAFSRTVNQIDSNLE >DRNTG_26208.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17370155:17370762:-1 gene:DRNTG_26208 transcript:DRNTG_26208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGYYAMAPPPRMVPSPAQEIYREVATPVYAVSAPPLDGGALQVGFYNGSFPIAESIVKEEVMKALNDDPGLAAGLVRMHFHDAFVRDADGPVSIDLTSNTIVEKDLLTNNPSFPGFEIIDAAKSRLKTECKGISYVLISLHLQ >DRNTG_04809.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2352654:2353547:-1 gene:DRNTG_04809 transcript:DRNTG_04809.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEEGKTSSAFTFDVEEDEALSLSDLPVSTTTNEDKVSFRDKNEDSTIKSPENEEFEFRTWGSSGLCVADEVFFKGRILPLRPSISSDTCVFVPSRSSSSSSRSSSCISRSHSSSSSSTGGQIVAPRPHAMISNNFYAHPSPSPQLRRANAGRRSTGSAPVGWSVFRLGLVQAPEIEIHNLRARRVASVESSSTSTIGSRSFRELCFSSVVKAKSVSDHQNNGKVVDEKKGPLKFFGLGFACKCSPDSVEKHEQKMMMVKSKKKKKSSSEEKRGESVRQRRIFEWLEELSVARMMV >DRNTG_25516.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001331.1:17103:22559:-1 gene:DRNTG_25516 transcript:DRNTG_25516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGTFRVWDARLNFCIHLGPYLPGCTAFFKKLPVELAPISIRAGPIDIPIIKSPVNWWNTSHQPGSISRSGTSIHVPMPIPILCNFANSPSQPAPFATPRLAWLGLSPFARLSYRISFVHQVIGHGLLVRQLLH >DRNTG_15897.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5949792:5954525:1 gene:DRNTG_15897 transcript:DRNTG_15897.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTKSFYPFTTQVDVVLACCVLHNFIKEVDLMTYCYKRMSPARRKLIHMRDLSQGENDGMHKCDGGNFMRKLQMACGMTTLEEAQYRMTPLFVVFMFSLDKGQPKAKEYLNKPILFFKYLRLVVGDDHATGDYARTIFDQFGGAPVEDGSAPPPNASLDDGPIDTENQRHEAPRTSTTSRPINLPVMLSPSRCSQISRSRLLATSLPPQFLITQSPRKGCTSLCVFHKTCQT >DRNTG_13708.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5363840:5365504:-1 gene:DRNTG_13708 transcript:DRNTG_13708.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMIITRSTAENEAPWRFVIEPSEVDEMMKELGLSSVMELLPTLVPAAKKMARTPISRFHVGAVGLGESGRIYLGVNLEFPGLPLHHSVHAEQFLITNAISHKERRIRCIAVSAAPCGHCRQFMQEIRNASEIEILITGSESGSEFRPLSSFLPEPFGPPDLLRDDVPLLLEPHDNHFEMIEMCDEIGGAARNVRLAAEKGAREAHAPYTGCPAGFAVVDREGRVAGGAYAESAAYNPGMGPTAAAMVAFVMAGGGDWEEIEEAALVEKEDALVSQEGTARLLLAAIAPKARLRVYHYRTSDADEL >DRNTG_13708.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5364426:5365504:-1 gene:DRNTG_13708 transcript:DRNTG_13708.2 gene_biotype:protein_coding transcript_biotype:protein_coding METMIITRSTAENEAPWRFVIEPSEVDEMMKELGLSSVMELLPTLVPAAKKMARTPISRFHVGAVGLGESGRIYLGVNLEFPGLPLHHSVHAEQFLITNAISHKERRIRCIAVSAAPCGHCRQFMQEIRNASEIEILITGSESGSEFRPLSSFLPEPFGPPDLLRDDVPLLLEPHDNHFEMIEMCDEIGGAARNVRLAAEKGAREAHAPYTGCPAGFAVVDREGRVAGGAYAESAAYNPGMGPTAAAMVAFVMAGGGDWEEIEEAALVEKEDALVSQEGTARLLLAAIAPKARLRVYHYRTSDADEL >DRNTG_01794.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000094.1:35265:36123:1 gene:DRNTG_01794 transcript:DRNTG_01794.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKLSCGNPNIIGSGLPITDTSPLRGPGGEIAQSQHLTPYMTAKHSRDSKRPTPKLGLPVASLML >DRNTG_03787.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1799165:1801768:1 gene:DRNTG_03787 transcript:DRNTG_03787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVDGYDDQFHAMYHVPQNSRREKLRFSDSNPLLLPIPSSSFSASSLNPNSNLNSGCLGGSAFPIPSSSSSSPNPNLNLNSGRLGGSASRAFSLLFSSAALFEHAPSRPFTGYAAVLSGSRYLEPALQVLEVACGVGRVRAFYGDHFGMEDTCRAGRVVNESVLGKEQQWKKARLVSMLDEVCSRYTHYYQQLQAVIQAFESVAGLSTAAPYALMALQTMSKQFKCLTNLISDHFHLTARNPETYRQPPIWRPQRGLPERAVAVLRKWLFEHFLHPYPTDIDKQMLAKHTGLSRNQVSNWFINARVRLWKPMVEEVQSLELSQSHQFPAGDRKIEELGKGLSAAGRGNGGVPLTLALHQSNEVCIYKPLHRNAVRSFGFDYDDITRNTGKFNGNICTDSFCRYL >DRNTG_03787.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1801252:1801768:1 gene:DRNTG_03787 transcript:DRNTG_03787.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILIFVAIIIAFNKISYTFCYLAGFELVYQCKSQALEANGGGGTVPRAQSISSISGRRQED >DRNTG_03787.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1800134:1801768:1 gene:DRNTG_03787 transcript:DRNTG_03787.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQTMSKQFKCLTNLISDHFHLTARNPETYRQPPIWRPQRGLPERAVAVLRKWLFEHFLHPYPTDIDKQMLAKHTGLSRNQVSNWFINARVRLWKPMVEEVQSLELSQSHQFPAGDRKIEELGKGLSAAGRGNGGVPLTLALHQSNEVCIYKPLHRNAVRSFGFDYDDITRNTGKFNGNICTDSFCRYL >DRNTG_10405.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1693156:1694057:-1 gene:DRNTG_10405 transcript:DRNTG_10405.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENQRIPNQTKHRKPQSQSPRGITRKHLNLQNSLNKTRNIESWITERNHKKTSGSPSLK >DRNTG_10405.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1693156:1693687:-1 gene:DRNTG_10405 transcript:DRNTG_10405.2 gene_biotype:protein_coding transcript_biotype:protein_coding ENQRIPNQTKHRKPQSQSPRGITRKHLNLQNSLNKTRNIESWITERNHKKTSGSPSLK >DRNTG_01301.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1881219:1887661:1 gene:DRNTG_01301 transcript:DRNTG_01301.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRLFYRKPPDRLLEISERVYVFDCCFSTEILEENKYKDYIRGIANQLHDYFPDASFMVSNFREGEQRSRVFDILSEYDMTVMDYPCEYERCPLLPLQMIHHFLRSSVSWLSMEGQHNVLLMHCERGGWPVLAFMLSGLLLYHKLYTGEQKTLEMVYRQAPKELLHLCSPLNPQPSHMRYLRYISRRGLELPPQDKPFTLECMILKVVPDFDGEGGCRPIVRIYGTDPLTSAGRSSKILFSSLKTKRHVQCHGQAESEPIKIHVHCHIQGDVVIECVHIDEDLGQERMMFRVMFNTAFIQSNVLLLSREDVDVVWDANDRFLKEFKAEMHFQDFDAGESDTTMEAAIDEDGTEVASTEEFFEAEDILSHADWQDIKRDSDIEVIEIKTALEDQNSNLKISSLVERQLESLLPHNLIAVHEASGGLETGPLTEYTDYKVDNDHSRQEKDIFVEKTFSLADRTTVEETNTSNASNFKQDSEEGSINLVVDEKSMIKDNTLTEKLFTSYDNDMISFDGKSISQTNKKDTEEFSTLSVDGKSMFYSKLDAQEVAGRKKPILKDSSYRSDTPDVADESRSISGFIYHHRDVGNVKADNKAGSSISGTSTMVVQEEGLLHNMICSHDVKDVKDKAVILDETTILIDERSVIGINNHTLDVKCIITQAGEEKNGLEKSNFKQDENSLISEKSFALDHKRNKSEVPARIEGFTRFGNKNSKQDIVKIDTEKESSLDDNIHKSVASNVANEVRPKSEIPNGNKDADNGSGTKLRAGSKLLNLGGTDIQKQNHEKLLTKYPCPPPPPPPPPPPKKHRTKLNILLFFH >DRNTG_01301.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1892574:1896002:1 gene:DRNTG_01301 transcript:DRNTG_01301.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMQFFLELMKVPRMESKLRVFFYKIQFGSQVSDLRESLSTIDSACAQIRNSAKLKELMKKILLLGNTLNQGTARGSAIGFRLDSLLKLTDTRATNNKMTLMHYLCKVLASRFRHILNFYEDLTSLEAASKIQLKTLAEEMQAVVKGLEKVELELTASENDGPASKVFRKTVKEFTAGASAEVCSLSSLYTSAGRNADALVLYFGEDPTRCPFEQVISTLLNFVRMFRRAHDENCKQTELEKKKAEKEAELEKSKSISSTGHDHKKRKTTTITASKEVKNFKATRK >DRNTG_01301.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1881219:1896002:1 gene:DRNTG_01301 transcript:DRNTG_01301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRLFYRKPPDRLLEISERVYVFDCCFSTEILEENKYKDYIRGIANQLHDYFPDASFMVSNFREGEQRSRVFDILSEYDMTVMDYPCEYERCPLLPLQMIHHFLRSSVSWLSMEGQHNVLLMHCERGGWPVLAFMLSGLLLYHKLYTGEQKTLEMVYRQAPKELLHLCSPLNPQPSHMRYLRYISRRGLELPPQDKPFTLECMILKVVPDFDGEGGCRPIVRIYGTDPLTSAGRSSKILFSSLKTKRHVQCHGQAESEPIKIHVHCHIQGDVVIECVHIDEDLGQERMMFRVMFNTAFIQSNVLLLSREDVDVVWDANDRFLKEFKAEMHFQDFDAGESDTTMEAAIDEDGTEVASTEEFFEAEDILSHADWQDIKRDSDIEVIEIKTALEDQNSNLKISSLVERQLESLLPHNLIAVHEASGGLETGPLTEYTDYKVDNDHSRQEKDIFVEKTFSLADRTTVEETNTSNASNFKQDSEEGSINLVVDEKSMIKDNTLTEKLFTSYDNDMISFDGKSISQTNKKDTEEFSTLSVDGKSMFYSKLDAQEVAGRKKPILKDSSYRSDTPDVADESRSISGFIYHHRDVGNVKADNKAGSSISGTSTMVVQEEGLLHNMICSHDVKDVKDKAVILDETTILIDERSVIGINNHTLDVKCIITQAGEEKNGLEKSNFKQDENSLISEKSFALDHKRNKSEVPARIEGFTRFGNKNSKQDIVKIDTEKESSLDDNIHKSVASNVANEVRPKSEIPNGNKDADNGSGTKLRAGSKLLNLGGTDIQKQNHEKLLTKYPCPPPPPPPPPPPKKHRTKLNILLFFH >DRNTG_01301.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1881219:1896002:1 gene:DRNTG_01301 transcript:DRNTG_01301.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRLFYRKPPDRLLEISERVYVFDCCFSTEILEENKYKDYIRGIANQLHDYFPDASFMVSNFREGEQRSRVFDILSEYDMTVMDYPCEYERCPLLPLQMIHHFLRSSVSWLSMEGQHNVLLMHCERGGWPVLAFMLSGLLLYHKLYTGEQKTLEMVYRQAPKELLHLCSPLNPQPSHMRYLRYISRRGLELPPQDKPFTLECMILKVVPDFDGEGGCRPIVRIYGTDPLTSAGRSSKILFSSLKTKRHVQCHGQAESEPIKIHVHCHIQGDVVIECVHIDEDLGQERMMFRVMFNTAFIQSNVLLLSREDVDVVWDANDRFLKEFKAEMHFQDFDAGESDTTMEAAIDEDGTEVASTEEFFEAEDILSHADWQDIKRDSDIEVIEIKTALEDQNSNLKISSLVERQLESLLPHNLIAVHEASGGLETGPLTEYTDYKVDNDHSRQEKDIFVEKTFSLADRTTVEETNTSNASNFKQDSEEGSINLVVDEKSMIKDNTLTEKLFTSYDNDMISFDGKSISQTNKKDTEEFSTLSVDGKSMFYSKLDAQEVAGRKKPILKDSSYRSDTPDVADESRSISGFIYHHRDVGNVKADNKAGSSISGTSTMVVQEEGLLHNMICSHDVKDVKDKAVILDETTILIDERSVIGINNHTLDVKCIITQAGEEKNGLEKSNFKQDENSLISEKSFALDHKRNKSEVPARIEGFTRFGNKNSKQDIVKIDTEKESSLDDNIHKSVASNVANEVRPKSEIPNGNKDADNGSGTKLRAGSKLLNLGGTDIQKQNHEKLLTKYPCPPPPPPPPPPPKKHRTKLNILLFFH >DRNTG_01301.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1894120:1896002:1 gene:DRNTG_01301 transcript:DRNTG_01301.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVVKGLEKVELELTASENDGPASKVFRKTVKEFTAGASAEVCSLSSLYTSAGRNADALVLYFGEDPTRCPFEQVISTLLNFVRMFRRAHDENCKQTELEKKKAEKEAELEKSKSISSTGHDHKKRKTTTITASKEVKNFKATRK >DRNTG_01301.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1882671:1887661:1 gene:DRNTG_01301 transcript:DRNTG_01301.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMFRVMFNTAFIQSNVLLLSREDVDVVWDANDRFLKEFKAEMHFQDFDAGESDTTMEAAIDEDGTEVASTEEFFEAEDILSHADWQDIKRDSDIEVIEIKTALEDQNSNLKISSLVERQLESLLPHNLIAVHEASGGLETGPLTEYTDYKVDNDHSRQEKDIFVEKTFSLADRTTVEETNTSNASNFKQDSEEGSINLVVDEKSMIKDNTLTEKLFTSYDNDMISFDGKSISQTNKKDTEEFSTLSVDGKSMFYSKLDAQEVAGRKKPILKDSSYRSDTPDVADESRSISGFIYHHRDVGNVKADNKAGSSISGTSTMVVQEEGLLHNMICSHDVKDVKDKAVILDETTILIDERSVIGINNHTLDVKCIITQAGEEKNGLEKSNFKQDENSLISEKSFALDHKRNKSEVPARIEGFTRFGNKNSKQDIVKIDTEKESSLDDNIHKSVASNVANEVRPKSEIPNGNKDADNGSGTKLRAGSKLLNLGGTDIQKQNHEKLLTKYPCPPPPPPPPPPPKKHRTKLNILLFFH >DRNTG_11428.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1012021:1019382:-1 gene:DRNTG_11428 transcript:DRNTG_11428.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTPNVFSNVSLTAKPILNLCKIQFMAVRAKTSILVSVSKYFSSKNSCGFVSTALPTSGCISSDILSINVRLSTNVLFPLIDCPNSSLHACNKVSICFFFSSEFEDWRWHVSSKKLIHV >DRNTG_34409.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25995692:26011017:-1 gene:DRNTG_34409 transcript:DRNTG_34409.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable UDP-N-acetylglucosamine--peptide N-acetylglucosaminyltransferase SEC [Source:Projected from Arabidopsis thaliana (AT3G04240) UniProtKB/Swiss-Prot;Acc:Q9M8Y0] MMLLLQGDGREPQQQQQAGAAAAAAAAAAVPMMFGPGGVGNLGFLAGPSGGADPEEAGYLLPPFDASTEVKPLQPVKSIEVDGDVYLSIAHQLYKAGNYQQALEHCKAIYEKNPRRTDVLLLIGAIYFQLRDYDMCIAKNEEALSIDPHFAECYGNMANAWKEKGNIDLAIRYYLFAIELRPNFCDAWSNLASAYTKKGRLNEAAQCCRQALALNPRLVDAHSNLGNLLKAQGLVQDAYNCYIEALRIQPTFAIAWSNLAGLFMEAGDLPRALLYYKEAVKHRPTFADAYLNQGHIYKAMGMPQEAIICYQRALQARPDYSMAYGSLGTTYYEQGQLDLAILHSKQAIACDSGFVEAYNNLGNALKDAGKVEEAINCYRSCLAFQPNHPQALTNLGNVYMEWNMMSAAATFYKATLSVTTGLSAPYSNLALIYKQQGNYGEAIACYNEVLRIDPLAADGLVNRGNTFKEMGRVSEAIQDYLRAVTVRPTMAEAHANLASAYKDSGHVEAAIKSYKQALLLRPDFPEATCNLLHTLQCVCNWDDRENKFIEVEGIIRRQIKMSVLPSVQPFHAIAYPIDPMLALEISRKYAAHCSLIGSRYGLPPFVHPPPIPVKSEKGQGRLRIGYVSSDFGNHPLSHLMGSVFGMHNRDNIEVFCYALSQNDGSEWRQRTQREAEHFIDVSSMSSDMIARMINEDRIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGATYIDYLVTDEFVSPTRFAHIYSEKLVHLPHCYFVNDYKQKNRDVLEPVSRHKRSDYGLPEDKFIFACFNQLYKMDPDIFNTWCNILKRVPKSALWLLRFPAAGEARLRAYAAAQGVRPDQIIFTDVAMKNEHIRRSALADLFLDTPLCNAHTTGTDVLWAGLPMITLPLEKMATRVAGSLCLATGVGEEMIVNSMKEYEEKAVALAENPTRLQTLRNKLKAARMTCPLFDTARWVRNLDRAYFKMWNLYCSGKHPQPFKVTENDSEFTYDR >DRNTG_34409.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25995692:26011017:-1 gene:DRNTG_34409 transcript:DRNTG_34409.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable UDP-N-acetylglucosamine--peptide N-acetylglucosaminyltransferase SEC [Source:Projected from Arabidopsis thaliana (AT3G04240) UniProtKB/Swiss-Prot;Acc:Q9M8Y0] MGMPQEAIICYQRALQARPDYSMAYGSLGTTYYEQGQLDLAILHSKQAIACDSGFVEAYNNLGNALKDAGKVEEAINCYRSCLAFQPNHPQALTNLGNVYMEWNMMSAAATFYKATLSVTTGLSAPYSNLALIYKQQGNYGEAIACYNEVLRIDPLAADGLVNRGNTFKEMGRVSEAIQDYLRAVTVRPTMAEAHANLASAYKDSGHVEAAIKSYKQALLLRPDFPEATCNLLHTLQCVCNWDDRENKFIEVEGIIRRQIKMSVLPSVQPFHAIAYPIDPMLALEISRKYAAHCSLIGSRYGLPPFVHPPPIPVKSEKGQGRLRIGYVSSDFGNHPLSHLMGSVFGMHNRDNIEVFCYALSQNDGSEWRQRTQREAEHFIDVSSMSSDMIARMINEDRIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGATYIDYLVTDEFVSPTRFAHIYSEKLVHLPHCYFVNDYKQKNRDVLEPVSRHKRSDYGLPEDKFIFACFNQLYKMDPDIFNTWCNILKRVPKSALWLLRFPAAGEARLRAYAAAQGVRPDQIIFTDVAMKNEHIRRSALADLFLDTPLCNAHTTGTDVLWAGLPMITLPLEKMATRVAGSLCLATGVGEEMIVNSMKEYEEKAVALAENPTRLQTLRNKLKAARMTCPLFDTARWVRNLDRAYFKMWNLYCSGKHPQPFKVTENDSEFTYDR >DRNTG_10639.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:280785:282918:-1 gene:DRNTG_10639 transcript:DRNTG_10639.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCRYNIPELAKKYKVYALDLLGFGWSDKAVIEYDAIVWRDQVSDFMKEIVREPAILVGNSLGGFTALFTAAELEQQVLGLVLLNSAGQFGSPSAQNTNTEEQSIINKFIINPVKEVLQRIILSFVFWQSKQPARIESVLKTVYINTSNVDDYLVESITKPAGDPNAGEVYYRLMARFMTNQTKYTLDSLLSKLSCPLLLLWGDLDPWVGPGKAARIKEFYPNTSIVNLKAGHCPHDEVPELVNGALIDWLSSLQLNPAPLHTL >DRNTG_10639.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:280785:282918:-1 gene:DRNTG_10639 transcript:DRNTG_10639.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLAASGRTSLFNPHHRALITKKFLPNGRSAGMPRRSFVSKGIAISVFCSLLSATPAQGMERLPFKPEGYNFWTWRGHKIHYVVQGNGTPIVLIHGFGASAFHWRYNIPELAKKYKVYALDLLGFGWSDKAVIEYDAIVWRDQVSDFMKEIVREPAILVGNR >DRNTG_10639.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:280785:282918:-1 gene:DRNTG_10639 transcript:DRNTG_10639.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLAASGRTSLFNPHHRALITKKFLPNGRSAGMPRRSFVSKGIAISVFCSLLSATPAQGMERLPFKPEGYNFWTWRGHKIHYVVQGNGTPIVLIHGFGASAFHWRFSSFTCLFLVFELIEDVYQT >DRNTG_10639.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:280785:282918:-1 gene:DRNTG_10639 transcript:DRNTG_10639.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLAASGRTSLFNPHHRALITKKFLPNGRSAGMPRRSFVSKGIAISVFCSLLSATPAQGMERLPFKPEGYNFWTWRGHKIHYVVQGNGTPIVLIHGFGASAFHWRYNIPELAKKYKVYALDLLGFGWSDKAVIEYDAIVWRDQVSDFMKEIVREPAILVGNSLGGFTALFTAAELEQQVLGLVLLNSAGQFGSPSAQNTNTEEQSIINKFIINPVKEVLQRIILSFVFWQSKQPARIESVLKTVYINTSNVDDYLVESITKPAGDPNAGEVYYRLMARFMTNQTKYTLDSLLSKLSCPLLLLWGDLDPWVGPGKAARIKEFYPNTSIVNLKAGHCPHDEVPELVNGALIDWLSSLQLNPAPLHTL >DRNTG_03855.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:27825485:27829546:-1 gene:DRNTG_03855 transcript:DRNTG_03855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVSMAFQILSSAAVMEPSHGLNLHIVLSCMWNK >DRNTG_24705.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19084674:19091643:-1 gene:DRNTG_24705 transcript:DRNTG_24705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKSLQWRLVIGAFYLLQAIVLTSPVSAVEPHSHPPRMLATATSEKKYAPGYCSMYGICGQRSDGKVLNCPNNTQSVKPDALLSSKIQNLCPTITGNICCSQEQFDTLRSQVQQAVPLLVGCPACLRNFLNLFCELSCSPDQSLFINVTSVSKVNNSLAVDGIDLYATSTYGEELFNSCKDVKFGTLNTRVMDFVGGGAQNYEDWFAFLGRRANLNEAGSPYAITFRPNISDSSGMKPMNSTVYSCGDPSLGCSCGDCSSSSLCTENKLPVPQEKHSCFIRIGSLKIKCIVFSLGIVYIALISAIFGWGIIHLRRKKTGPSSKTKPLLNVMDETELPSINNQEQSAQPIQISGGVPPVSRDAQFSIVQGYLSKLFRKYGSFVSRNPTLVLCSSIVLPLLLCIGLIRFKVETRPEKLWVSPGSKAAEEKYYF >DRNTG_24705.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19084674:19090841:-1 gene:DRNTG_24705 transcript:DRNTG_24705.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLATATSEKKYAPGYCSMYGICGQRSDGKVLNCPNNTQSVKPDALLSSKIQNLCPTITGNICCSQEQFDTLRSQVQQAVPLLVGCPACLRNFLNLFCELSCSPDQSLFINVTSVSKVNNSLAVDGIDLYATSTYGEELFNSCKDVKFGTLNTRVMDFVGGGAQNYEDWFAFLGRRANLNEAGSPYAITFRPNISDSSGMKPMNSTVYSCGDPSLGCSCGDCSSSSLCTENKLPVPQEKHSCFIRIGSLKIKCIVFSLGIVYIALISAIFGWGIIHLRRKKTGPSSKTKPLLNVMDETELPSINNQEQSAQPIQISGGVPPVSRDAQFSIVQGYLSKLFRKYGSFVSRNPTLVLCSSIVLPLLLCIGLIRFKVETRPEKLWVSPGSKAAEEKYYF >DRNTG_03551.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21579479:21591899:1 gene:DRNTG_03551 transcript:DRNTG_03551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAYRLSSELRGHEDDVRGICVCGGAGIATSSRDRTVRFWAPDPEKKQRYSLSKTFVGHSSFVGPLAWIPPGERFPEGGIVSGGMDALVLLWDLRTMEAVETMRGHQMQVTGVAVDAVGDIISSSVDCSIRRWRKGHMVDTWEAHKLPIQTVVTLPSGEIITGSSDASIKLWKGKTCQHTFLGHTDTVRGLTLMPGLGILSASHDCSIRLWALTGQLLMEMVGHTSLVYSVDAHISGLVASGSEDCSLKIWKDGLCIQSIEHPGCVWDAKFLENGDIVTACSDGVIRIWTLHADLVAESNALESYEIELSQYKSSRKKVGGLKLADLPGLEGLQIPGTSDGQTKVIREGDNGVAYSWNSRDHQWEKIGEVVDGPGDNTGNRVFDGVQYDYVFDVDIGDGEPTRKLPYNKGDNPYTAADKWLLKENLPLSYRQQVVEFILQNSGQSNFSLDTSFRDPYTGSSAYVPGQPSMSVGSAAKPTFKHVPKKGMLFFDSAQFDGILKKIGEFNTNLLSDMENKSLSLTEIELSRLSAIAKVLKDTSHYHCSTFAAADIDLLSKILRSWPIAMMFPVIDVLRIFIMHPDGASLLHKRIESGDDVLLELFKRGMTSPIQAGNLLTIIRATTNLFKHSCFSQWLQRHCSEILDALSGCRSSFNKNANLSYSTLIVNYAVLLINQKDQEGQAQILSAALEIAEDENQDTDSRFRALVAIGSLMLDGLVKSIAIDFDVQSIAKSAKTSKDTRIAEVAADIDLIIKAT >DRNTG_08835.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27935537:27939145:1 gene:DRNTG_08835 transcript:DRNTG_08835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKDMDFVEILEKISRVTDRSTKEGQGSEVEKRVEEMKR >DRNTG_11804.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:399228:402290:1 gene:DRNTG_11804 transcript:DRNTG_11804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNSNAIEIIPEPTVPGGANSWTSNLNDQALWATEDDYRSWNGETSVDSMSNSVQDSRQGPSRAGGEQTPTKKARNDSQGNGSNSKSKAIGKMFFKTKLCCKFRAGTCPYITNCNFAHGIEELRKPPPNWQEIVAAHDEASEPREEHQIPIITSSNVSGDTQRSYKGRHCKKFYTEEGCPYGDACTFLHDEQSRSRESVAISLGPSMGSSGNYAAVANPTAQKPSNWKTRICNKWEMTGNCPFGSKCHFAHGAAEIEGGSAPSAAQESKHAGVPLKAPTDTVVAANTSVPHAEVYHHIGTPAQRSVGIPQRQGQRQFQKWKGPDKISRIYGDWIDEIE >DRNTG_11804.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:399228:402290:1 gene:DRNTG_11804 transcript:DRNTG_11804.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNSNAIEIIPEPTVPGGANSWTSNLNDQALWATEDDYRSWNGETSVDSMSNSVQDSRQGPSRAGGEQTPTKKARNDSQGNGSNSKSKAIGKMFFKTKLCCKFRAGTCPYITNCNFAHGIEELRKPPPNWQEIVAAHDEASEPREEHQIPIITSSNVSGDTQRSYKGRHCKKFYTEEGCPYGDACTFLHDEQSRSRESVAISLGPSMGSSGNYAAVANPTAQKPSNWKTRICNKWEMTGNCPFGSKCHFAHGAAELHKYGGGLVEIEGGSAPSAAQESKHAGVPLKAPTDTVVAANTSVPHAEVYHHIGTPAQRSVGIPQRQGQRQFQKWKGPDKISRIYGDWIDEIE >DRNTG_21419.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3419194:3419679:1 gene:DRNTG_21419 transcript:DRNTG_21419.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLFSFFLLLFLFQGLVADKHISTKFLNPSRVTQLSWHPRIFIYKGFLSDEECEHLIELGKDKLKPSMVTHSDSGKITFTKQRTSSSTFLHKHQ >DRNTG_21419.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3419194:3420700:1 gene:DRNTG_21419 transcript:DRNTG_21419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLFSFFLLLFLFQGLVADKHISTKFLNPSRVTQLSWHPRIFIYKGFLSDEECEHLIELGKDKLKPSMVTHSDSGKITFTKQRTSSSTFLHKHQDEIVTRIEERIATWTFLPEENGEVLQILHYGHGEKYDPHLDFFIDKVDPKPSGHRVATVLMYLSDVKKGGETVFPYLKEKHAQKQADVSWSDCAKTGYAVKPVKGDALLFFSLHPNTSTDLMTLHGSCPVIDGEKWSATKWIHLRTFEVPERSTTSGKCD >DRNTG_12783.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2243211:2244716:-1 gene:DRNTG_12783 transcript:DRNTG_12783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTCSFQCKEAAKCELELVGFESLPTFLKDNEFILNYYRSQWPWKQTILSIFSIHNETLNIWTHLIGFIIFLTLTLCTMHAFAPLAFSQNSDKVIIMSLNATSATVFRAQVPANQTNIINILSIMKDDDVLESTTTRWPFYAYLFGAMFCLLTSSVCHLLSCHSEHCAYTMLRLDYAGISTLIVTSFYPLVYYTFMCDPFVRNLYIGFITVFGVAAILASLVPVFQTPEFRSVRALLFFCMGVSGLVPIIHKLMVFNEEPVAVMTAMYELVMGGFYGLGVVVYATRMPERWMPGKFDLVGHSHQLFHVLVIAGAYTHYLASVMYLNWREMDQCYLL >DRNTG_35295.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22601372:22607352:1 gene:DRNTG_35295 transcript:DRNTG_35295.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPHVDSEITEVTTSVNTFVSSPPFYGDEESCDFDEIGGSTNSRTLADFPSASIRDFQRKTTFQFPRGLDGLNRCKSIKDGAGNLHCPLIEARDEICLSSSKVGRCVQSPIPRIVGFESGCLGSLDDVPENSLEDGVHPATSLTMPDNFTNSNGHQARKRLLSPLSSMLSHHFRGEPLNIGSGNTQIDLRNLIKTESITNSHDCKKANVGSSDFSKASISVTSKCSQLTSLLASKCDTYAGGVFTDGPLLDNNGSFVRDYQISGRVDYSKEGSELQAFMRTISVSPRKVYARSLSMSPLGPRLPERIKAAEFQRDILKDMANDFSTLIDIDRSADHGVAGNLFASEEDACSTSCDFDNFIYQGSSSFTQASFLDSAIKPRCDKFVRSLSGLPVRRSLVGSFEESLLTGRFSSGNVNQRIDGFLAVLNVTGGNFSPPSQKLPFSVTSVDGDNYLLYYASIDLAGSLPSIKCKGLKLRRSLSNNDSRAARSRLRIPMKGRIQLVLGFLALKN >DRNTG_35295.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22601372:22607352:1 gene:DRNTG_35295 transcript:DRNTG_35295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPHVDSEITEVTTSVNTFVSSPPFYGDEESCDFDEIGGSTNSRTLADFPSASIRDFQRKTTFQFPRGLDGLNRCKSIKDGAGNLHCPLIEARDEICLSSSKVGRCVQSPIPRIVGFESGCLGSLDDVPENSLEDGVHPATSLTMPDNFTNSNGHQARKRLLSPLSSMLSHHFRGEPLNIGSGNTQIDLRNLIKTESITNSHDCKKANVGSSDFSKASISVTSKCSQLTSLLASKCDTYAGGVFTDGPLLDNNGSFVRDYQISGRVDYSKEGSELQAFMRTISVSPRKVYARSLSMSPLGPRLPERIKAAEFQRDILKDMANDFSTLIDIDRSADHGVAGNLFASEEDACSTSCDFDNFIYQGSSSFTQASFLDSAIKPRCDKFVRSLSGLPVRRSLVGSFEESLLTGRFSSGNVNQRIDGFLAVLNVTGGNFSPPSQKLPFSVTSVDGDNYLLYYASIDLAGSLPSIKCKGLKLRRSLSNNDSRAARSRLRIPMKGRIQLVVSNPEMTPLHTFFCNYDLSDMPTGTKTFIRQRVTLASTGSMTTTTSKGSKDQSSKSESEDKECKPERRDGCVFTDDSRKITEIERK >DRNTG_35295.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22601372:22607352:1 gene:DRNTG_35295 transcript:DRNTG_35295.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPHVDSEITEVTTSVNTFVSSPPFYGDEESCDFDEIGGSTNSRTLADFPSASIRDFQRKTTFQFPRGLDGLNRCKSIKDGAGNLHCPLIEARDEICLSSSKVGRCVQSPIPRIVGFESGCLGSLDDVPENSLEDGVHPATSLTMPDNFTNSNGHQARKRLLSPLSSMLSHHFRGEPLNIGSGNTQIDLRNLIKTESITNSHDCKKANVGSSDFSKASISVTSKCSQLTSLLASKCDTYAGGVFTDGPLLDNNGSFVRDYQISGRVDYSKEGSELQAFMRTISVSPRKVYARSLSMSPLGPRLPERIKAAEFQRDILKDMANDFSTLIDIDRSADHGVAGNLFASEEDACSTSCDFDNFIYQGSSSFTQASFLDSAIKPRCDKFVRSLSGLPVRRSLVGSFEESLLTGRFSSGNVNQRIDGFLAVLNVTGGNFSPPSQKLPFSVTSVDGDNYLLYYASIDLAGSLPSIKCKGLKLRRSLSNNDSRAARSRLRIPMKGRIQLVLGFLALKN >DRNTG_29373.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3834306:3836821:-1 gene:DRNTG_29373 transcript:DRNTG_29373.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSPQRFTPLHSQLSPSGGSSLSPFTLSWPQRTRGTERYPHLRSKIRLRYVDIPLRLHSDFIVEDPTSSLLICY >DRNTG_15383.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26996731:26997783:-1 gene:DRNTG_15383 transcript:DRNTG_15383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDSSFPPSNPTTTQQRQCSQYDSVLQSLTQILGSPLPDSITLSDNPPFSLLHNHHITTQITNLLLSPSSGAGDDSLCRWLYDTFQSTCPDLQLLVLNFLPTLAGLYLSRSISRQPLAGFEAVLLALYAHETTSRANQPLTFTLPNLTYPSIYHESKSPAKNRPTEDPEVVVFSQAIEPHGTVRSTKRARIVGVALELYFSKIALMPLSSKLEFCRFCIAWSGFKEKEIEKEEEEKEEMGRRVPLPWELLQPILRILGHCLMGGGEHDRLKEMKVMANDAVNCIYERALHDMNPQAILASRSLLSLGKMEDEIMWETSSCLPTSTTTSYNETVAQVQDVEKNQPVNQD >DRNTG_33340.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10686355:10687073:1 gene:DRNTG_33340 transcript:DRNTG_33340.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLPNRDISTYSSDTKRCHTHPFYRGKCGTHQLKFPFNWKLTPCFKQNQTYKSQFTTSHQVQVQIQKYNKYKYSNLRVQPLQDHNTNNNKERKGDPLQSWTQP >DRNTG_00229.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13063642:13065791:-1 gene:DRNTG_00229 transcript:DRNTG_00229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIQVEALLTWNSLELTFLQWRSILQGKGNCRPVSASRGEMADF >DRNTG_21449.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19349834:19352770:1 gene:DRNTG_21449 transcript:DRNTG_21449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAADRKITALKQLQGHIWRTGFQSNELQGVVYEDVPEALKKWHAHGIKVYIYSSGSREAQRLIFRNTTYGDLRKYLCGFFDTTTGNKKEPCSYLEISQSVGVDEPSQVLFLTDVYQEAVAAKAAGLEVIISVRPGNAALPENHGFRTVTSFAEV >DRNTG_30468.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18695660:18700102:-1 gene:DRNTG_30468 transcript:DRNTG_30468.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAKSLRKALIPPSLIPNPSPGNLQSTRLALLVNGDASSCSVFIASGCRVYKIEVSMDDSVVTKGKESLLIPVQAHVIRSSEVDRCPHRSEIQSVVLAEGDGDNCLVMGTVDSYGHLIVSQLDAVGSDIDRLSYSVLPKDSGAGEGSWSGVCFSPIHWSTAAVARSFCKSIDIYDQDIHIRSLHTLWYPAALSFLGSSITGDGSSSVVAVAEGSQLTIWDLRTNHNGGCVQRICGSVGDLIYAVCCSPSGAVAVGGSDRTVTIYDPRRWSAMSRWVNCSKYEITGLSFSSTDSTCVYIQGVDYEVLCGQWKENKKIFSFRGDSNWLGFSKCANMDVLAGWCESGSIFVADVWNPQSI >DRNTG_30468.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18695660:18699357:-1 gene:DRNTG_30468 transcript:DRNTG_30468.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSVVTKGKESLLIPVQAHVIRSSEVDRCPHRSEIQSVVLAEGDGDNCLVMGTVDSYGHLIVSQLDAVGSDIDRLSYSVLPKDSGAGEGSWSGVCFSPIHWSTAAVARSFCKSIDIYDQDIHIRSLHTLWYPAALSFLGSSITGDGSSSVVAVAEGSQLTIWDLRTNHNGGCVQRICGSVGDLIYAVCCSPSGAVAVGGSDRTVTIYDPRRWSAMSRWVNCSKYEITGLSFSSTDSTCVYIQGVDYEVLCGQWKENKKIFSFRGDSNWLGFSKCANMDVLAGWCESGSIFVADVWNPQSI >DRNTG_12733.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25681176:25687555:1 gene:DRNTG_12733 transcript:DRNTG_12733.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase I precursor (EC 3.4.16.5) (Carboxypeptidase C) [Source: Projected from Oryza sativa (Os12g0257000)] MSGFVSSLLWLLLSTAVVPLFSAPESALITELSGFSGTFPSKHYGGYVTIDENHGRNLYYYFVVSERNPAKDPVVLWLNGGPGCSSFDGFIYEHGPFNFEKGNSGSLPKLHLNSYSWSKVSSVIYLDSPAGVGLSYSLNKSDYTTGDLKTAADTHLFLLKWFEQYPEFLENPFFISGESYAGVYVPTLAAEVAKGIEDGQKPIINFKGYMVGNGVTDTQFDGDALVPFAHGMGLISNDIYEEVFAACKGSYWNPTNMSCQDGLIKVDSVIDDLNIYDILEPCYHSTKIRGPISSYNRFPSSFRRLGETNRPLPVRKRMFGRSWPLRAPVRDGRVPTWPEIENGVPCTDDEVANVWLNNEAVRNSIHARPAGEIGEWVICTSNIEFFHDAGSMIGYHKNLTLKGYRSLIFSGDHDMCVPYTGSEAWTRSLGYQITDEWRPWYLGDQVAGYTQGYDHNLTFLTIKGAGHTVPEYKPAEALAFYSRFLNGGSI >DRNTG_21102.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23323307:23326229:-1 gene:DRNTG_21102 transcript:DRNTG_21102.1 gene_biotype:protein_coding transcript_biotype:protein_coding STLESSQGSQTPAKQHHNNKGTKSKTFTRRVNRKVKDIQITVTELRTPVDPLQSYNAI >DRNTG_21102.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23325510:23326229:-1 gene:DRNTG_21102 transcript:DRNTG_21102.2 gene_biotype:protein_coding transcript_biotype:protein_coding STLESSQGSQTPAKQHHNNKGTKSKTFTRRVNRKVKDIQITVTELRTPVDPLQSYNAI >DRNTG_08428.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31058228:31059433:-1 gene:DRNTG_08428 transcript:DRNTG_08428.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPRLQGKVAIITGAASGIGEATARLFVLNGAYVIIADIQDELGQSVANSIGLTKCTYKHCDVTDEKQVEDTVQYTIKTHGRLDIMFSNAGMTDPVTSIVSVDIANYDRVMAVNARGTITVIKHAARAMTQSGTRGSIICTASVASCQAGNGTVSYTGSKHAVLGLVRAAAGELGRFGIRVNCVSPSGVGTPLACGFSGSSQDEVEAQCAGMANLKGVVLKVGHVAEAVLFLASDESAKFMSGHNLVIDGGNTVVNPLIQLLAPGEDC >DRNTG_17409.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14771015:14776454:1 gene:DRNTG_17409 transcript:DRNTG_17409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFSSSLRLSKPCFSKLDSGPNPSISSTLFLTSLTCGKQIIAAHSEIS >DRNTG_25355.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24146270:24150186:-1 gene:DRNTG_25355 transcript:DRNTG_25355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAALRLDSGLSFSLHRGSRGLSVLPGRVNAISCSGEDKTLALAFSSPVLGNRSSLLPLASAVVSEAELEAGGSVDRVGELSQVAGVLGCQWGDEGKGKLVDILAQRFDVVARCQGGANAGHTIYNSEGKKFALHLVPSGILNEQTICLIGNGVVVHLPGLFKEIEGLEANGVSCKGRILVSDRAHLLFDFHQEVDGLREAELAKSLIGTTKRGIGPCYASKVIRNGIRVCDLRHMDTFGQKLEILLKDAALRFEEFKYSADMLKEEVERYKRFAERLEPYIIDTVHAMNQYILQGKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRSIGDLIGVVKAYTTRVGSGPFPTEILGKGGDLLRAAGMEFGTTTGRPRRCGWLDLVALQYCCQINGFSSLNLTKLDVLSDLPEIKLGVSYKLINGHGIESFPADLNVLEKLEASI >DRNTG_25355.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24146270:24150186:-1 gene:DRNTG_25355 transcript:DRNTG_25355.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAALRLDSGLSFSLHRGSRGLSVLPGRVNAISCSGEDKTLALAFSSPVLGNRSSLLPLASAVVSEAELEAGGSVDRVGELSQVAGVLGCQWGDEGKGKLVDILAQRFDVVARCQGGANAGHTIYNSEGKKFALHLVPSGILNEQTICLIGNGVVVHLPGLFKEIEGLEANGVSCKGRILVSDRAHLLFDFHQEVDGLREAELAKSLIGTTKRGIGPCYASKVIRNGIRVCDLRHMDTFGQKLEILLKDAALRFEEFKYSADMLKEEVERYKRFAERLEPYIIDTVHAMNQYILQGKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRSIGDLIGVVKAYTTRVGSGPFPTEILGKGGDLLRAAGMEFGTTTGRPRRCGWLDLVALQYCCQINGFSSLNLTKLDVLSDLPEIKLGVSYKLINGHGIESFPADLNVLEKLEVEYEVLPGWQSDISSIRNYKDLPPAARRYIEKVEEHISIPIHYIGVGPGRDALITK >DRNTG_05449.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:18568812:18571900:-1 gene:DRNTG_05449 transcript:DRNTG_05449.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RTE1-HOMOLOG [Source:Projected from Arabidopsis thaliana (AT3G51040) UniProtKB/Swiss-Prot;Acc:Q9SD42] MELETADDSEFTLKETCILGPIDPKRARFPCCIVWTPLPLLSWFIPFIGHIGICREDGVILDFAGPNFVCVDHFTFGAVVRYIQLNREECQLLFSSSYQITTSDHYIKNQQDRIMSWDDALRKSTQEFQHRAYNFFTCNCHSFVANNLNRLSYGGLKEWNVVNLAVWLFLKGNWVNKTAIVKSFLPFIVVFSIGLLFGGLGFLIYLAAFTSILVSWFVIGSYCCCNLIQL >DRNTG_05449.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:18568812:18570874:-1 gene:DRNTG_05449 transcript:DRNTG_05449.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RTE1-HOMOLOG [Source:Projected from Arabidopsis thaliana (AT3G51040) UniProtKB/Swiss-Prot;Acc:Q9SD42] MELETADDSEFTLKETCILGPIDPKRARFPCCIVWTPLPLLSWFIPFIGHIGICREDGVILDFAGPNFVCVDHFTFGAVVRYIQLNREECQLLFSSSYQITTSDHYIKNQQDRIMSWDDALRKSTQEFQHRAYNFFTCNCHSFVANNLNRLSYGGLKEWNVVNLAVWLFLKGNWVNKTAIVKSFLPFIVVFSIGLLFGGLGFLIYLAAFTSILVSWFVIGSYCCCNLIQL >DRNTG_05449.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:18568812:18570874:-1 gene:DRNTG_05449 transcript:DRNTG_05449.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RTE1-HOMOLOG [Source:Projected from Arabidopsis thaliana (AT3G51040) UniProtKB/Swiss-Prot;Acc:Q9SD42] MELETADDSEFTLKETCILGPIDPKRARFPCCIVWTPLPLLSWFIPFIGHIGICREDGVILDFAGPNFVCVDHFTFGAVVRYIQLNREECQLLFSSSYQITTSDHYIKNQQDRIMSWDDALRKSTQEFQHRAYNFFTCNCHSFVANNLNRLSYGGLKEWNVVNLAVWLFLKGNWVNKTAIVKSFLPFIVVFSIGLLFGGLGFLIYLAAFTSILVSWFVIGSYCCCNLIQL >DRNTG_05449.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:18568812:18569957:-1 gene:DRNTG_05449 transcript:DRNTG_05449.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RTE1-HOMOLOG [Source:Projected from Arabidopsis thaliana (AT3G51040) UniProtKB/Swiss-Prot;Acc:Q9SD42] MELETADDSEFTLKETCILGPIDPKRARFPCCIVWTPLPLLSWFIPFIGHIGICREDGVILDFAGPNFVCVDHFTFGAVVRYIQLNREECQLLFSSSYQITTSDHYIKNQQDRIMSWDDALRKSTQEFQHRAYNFFTCNCHSFVANNLNRLSYGGLKEWNVVNLAVWLFLKGNWVNKTAIVKSFLPFIVVFSIGLLFGGLGFLIYLAAFTSILVSWFVIGSYCCCNLIQL >DRNTG_05449.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:18568812:18571900:-1 gene:DRNTG_05449 transcript:DRNTG_05449.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RTE1-HOMOLOG [Source:Projected from Arabidopsis thaliana (AT3G51040) UniProtKB/Swiss-Prot;Acc:Q9SD42] MELETADDSEFTLKETCILGPIDPKRARFPCCIVWTPLPLLSWFIPFIGHIGICREDGVILDFAGPNFVCVDHFTFGAVVRYIQLNREECQLLFSSSYQITTSDHYIKNQQDRIMSWDDALRKSTQEFQHRAYNFFTCNCHSFVANNLNRLSYGGLKEWNVVNLAVWLFLKGNWVNKTAIVKSFLPFIVVFSIGLLFGGLGFLIYLAAFTSILVSWFVIGSYCCCNLIQL >DRNTG_12834.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32969582:32970400:-1 gene:DRNTG_12834 transcript:DRNTG_12834.2 gene_biotype:protein_coding transcript_biotype:protein_coding KQSTSNHEPMKEKEKNSRRSEEFWKRRDETFHFSSSRMVLQNKSLIYSSLFLLVY >DRNTG_12834.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32969582:32970400:-1 gene:DRNTG_12834 transcript:DRNTG_12834.3 gene_biotype:protein_coding transcript_biotype:protein_coding KQSTSNHEPMKEKEKNSRRSEEFWKRRDETFHFSSSRMVLQNKSLIYSSLFLLVY >DRNTG_12834.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32969582:32970400:-1 gene:DRNTG_12834 transcript:DRNTG_12834.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQSTSNHEPMKEKEKNSRRSEEFWKRRDETFHFSSSRMVLQNKSLIYSSLFLLVY >DRNTG_28502.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14935515:14937559:-1 gene:DRNTG_28502 transcript:DRNTG_28502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCANLLVFKIAQRFGGAQGQSHSNIPACACIARSLPTCPILKKQSDSRRLGEVLARDLERFYALTLRSVWKKVIGRAFVDTDPVRTHSEARFTGGFLDAMTEEESIKVGYEAPPKPQRPPLQTLAVSPLKSRTKNEKE >DRNTG_10081.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1569772:1570283:-1 gene:DRNTG_10081 transcript:DRNTG_10081.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAVRSVVQKLGNLIVQEAMDLHGVRDQVEWLERELRRMQCFLKDADAKKNKGGIDGERVKNWVTEMRDVAFEAEDIIDSYMDLKLRGQQKDGCIGFLERY >DRNTG_10081.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1569313:1570283:-1 gene:DRNTG_10081 transcript:DRNTG_10081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAVRSVVQKLGNLIVQEAMDLHGVRDQVEWLERELRRMQCFLKDADAKKNKGGIDGERVKNWVTEMRDVAFEAEDIIDSYMDLKLRGQQKDGCIGFLERYMFILVELIGLHKVHVDLKGVKVRMHELSESRTSYG >DRNTG_18818.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000974.1:59618:60048:1 gene:DRNTG_18818 transcript:DRNTG_18818.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASPLLISVPRSHAPALNRRCIRAPIMANSSEKSTRLVTFLGKGGSGKTTAAVLAAQHYAMEGLNTCL >DRNTG_01491.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13988868:13992535:-1 gene:DRNTG_01491 transcript:DRNTG_01491.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVVTGWHVCVGAARAGGGEVGTERNILSAGSAVKKIEDIVRSMLAMGYVLGKDALNKLLQPSVASLDSKIGLSEKISMGTSLITGKLKEVDKRFQVTEITKLAITATEQTATSAGSTLMSNQYVSTGASWLSSAFNKVVKAASDLSVMTQDKVDKAEEEKQENSSRERTGMVSENAQLHFDETSLDDSPTVPVSYHVEKSNHVIILLSEI >DRNTG_08489.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6625048:6626112:-1 gene:DRNTG_08489 transcript:DRNTG_08489.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLKTCGIRYNVWEQLLLIWNDAKYRKYCEKNKKSRQSKTEGPTTIYHGGTISMIEHRDRLMEFLGKAYEEQKQQN >DRNTG_08489.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6625048:6625509:-1 gene:DRNTG_08489 transcript:DRNTG_08489.2 gene_biotype:protein_coding transcript_biotype:protein_coding GIRYNVWEQLLLIWNDAKYRKYCEKNKKSRQSKTEGPTTIYHGGTISMIEHRDRLMEFLGKAYEEQKQQN >DRNTG_24620.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31103703:31105906:-1 gene:DRNTG_24620 transcript:DRNTG_24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAVSKLSQGLVVPAEATPGGSLALSDLDQMPLIRCMVPSMHVFKHGPWDAANVIRTALSKALVLYYPLAGKFVEDHKTGEVRVDCTGDGAWFIEASANCSLEDVNYLEHPFAIPSQALLPDSRPHIDIDDLIVLMQVTRFTCGGLVIGIRTSHTMADGKGAAQFFRAVAELARGMDRPSIMPVWSRELVRRRVERAPAGPPQDLPSKRLEYMIIDVPTEHVNKLKQQYLNETCKPCSTFEVLIATVWQCRTRAIGLPPNALTKLSFAANFLHLLTPALPAEGGYYGNCFYVLKAKATSEMVANASIVELVKIIKETKGKLAEGFAKWVKGEDEEEGDDDCYDTLNATDWRHLGFNDVDYGWGKAARIVPFEHTDFMPFCVLGLPPAKENSVRLMTYCVLKEHYAAFRDQMISLA >DRNTG_06999.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1775627:1778901:1 gene:DRNTG_06999 transcript:DRNTG_06999.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITSAIKMGDIHGEADHMHGLPSRRWKVVTGNNRGSAVIGEGISTRTHRDRDTHTHTRKHTR >DRNTG_06999.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1776498:1778901:1 gene:DRNTG_06999 transcript:DRNTG_06999.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIHGEADHMHGLPSRRWKVVTGNNRGSAVIGEGISTRTHRDRDTHTHTRKHTR >DRNTG_06999.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1776498:1778901:1 gene:DRNTG_06999 transcript:DRNTG_06999.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIHGEADHMHGLPSRRWKVVTGNNRGSAVIGEGISTRTHRDRDTHTHTRKHTR >DRNTG_06999.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1775627:1778901:1 gene:DRNTG_06999 transcript:DRNTG_06999.3 gene_biotype:protein_coding transcript_biotype:protein_coding MITSAIKMGDIHGEADHMHGLPSRRWKVVTGNNRGSAVIGEGISTRTHRDRDTHTHTRKHTR >DRNTG_06999.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1776498:1778901:1 gene:DRNTG_06999 transcript:DRNTG_06999.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIHGEADHMHGLPSRRWKVVTGNNRGSAVIGEGISTRTHRDRDTHTHTRKHTR >DRNTG_06999.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1775627:1778901:1 gene:DRNTG_06999 transcript:DRNTG_06999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSAIKMGDIHGEADHMHGLPSRRWKVVTGNNRGSAVIGEGISTRTHRDRDTHTHTRKHTR >DRNTG_16152.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000797.1:40746:41370:1 gene:DRNTG_16152 transcript:DRNTG_16152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKFYGSDIASRLEEGYWESFRRHRSGEVYPRPDKGSLETSRGLSTRPSTRPSRGMTKGLRVLVDNIVRASPFHSGVIDSTSVPRVLCGYNRVNGLRDELPLGLSCVYKEVKR >DRNTG_27713.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3722488:3723922:-1 gene:DRNTG_27713 transcript:DRNTG_27713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVFIPRVESLANSGLDEIPPEYVRLESERDDRLGDAIEEEKKSDEGPQIPTIDIKGLDSDNEAVRKRCVEHLLDAAVNWGVMHIVGHGIPAELIDKLREVGTRFFDLPVEEKEKYANDQPSGMIQGYGSKLANSASGRLEWMDYFFHLMFPENQTDLSIWPHYPSDYVEVTKEFGKELRVMATKIFTLLSLGLGLPAEKLETEAGGMENILFQMKINYYPKCPQPNLALGVEAHTDVSHLTFIFHNNIPGLQVYYDGKWVTAKNVSDSIIVHIGDSLEILSNGLFKSVLHRGLVNKEKVRISWTIFAEPHKDNVLLRPLPELVSDASPPKFGPRTFAQHVRQKLFKIKDDESSPPAAAAADAAAK >DRNTG_12833.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32953571:32955056:1 gene:DRNTG_12833 transcript:DRNTG_12833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLVWNFSKSESKGSRSGEKWAKHRRIINPAFHLEKLKLMEPAFSRSCGELIKRWDKMIPDEGYLELDVFPEIQNVTQDVISRTAFGSSYEEGRRIFQLLTEKLHLVMPAFHNVYIPGYQFLPTPMNKKVSQIEKELERILRGMIEEREKALRTGAFSKDDLLGILLESNMKEGEEEHGKSKNRVMTTEDVIEECKMFYTAGQETTSVLLTWTMILLAMYPNWQTYKRRGSPSVWEEHTGHGWIEPLEHLTMNSGEKMQKSSNQRGLQKGYQKHPKLQVLSCHLVLALVYVEID >DRNTG_12238.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25005627:25007915:1 gene:DRNTG_12238 transcript:DRNTG_12238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKSKVLIIGGTGYIGKFIVHASAKLGHPTFALVRAATASDPAKTELINGFKSAGVTIVHGDLYDHESLVKAIKQVDVVISTVGFMQLADQTKIIDAIKQAGNIKKFYTSDFGLDVDRGHAVEPVKSTFATKAQIRRAVEASGIGYTFVSSNYFAGYALPTLGQVGGPPTDKIVILGDGNPKGIFVAEEDIATYTIKSVDDPRTLNKILYLRPPGNIYSHNELVALWEKKTGKTLEKIYVPEDEVLKKIQESPIPLNIMLAINYLVFVKGDNTNFEIEPSFGVEASELYPDVKYTPVDELLNRFI >DRNTG_17126.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20988215:20989178:1 gene:DRNTG_17126 transcript:DRNTG_17126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASNNPGSTTVNKSQVSSQGTVNSSDGQKAFYQNQDPGTYTQLVLETAAIEILSLQATASQIVSSLVQIVVHVQPTLIQSSNGLQGISGGLGQNSGLPTSPSGGSSESLNTNRSTPSATGLSATNFMSRSGYSCQQLSCLMIQACGLLLAQLPPEFHMQLYIEASRIIKDCWWLVDGKRTIKELDSAVGYALLDPAWASQDNTS >DRNTG_17126.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20988413:20989178:1 gene:DRNTG_17126 transcript:DRNTG_17126.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADASNNPGSTTVNKSQVSSQGTVNSSDGQKAFYQNQDPGTYTQLVLETAAIEILSLQATASQIVSSLVQIVVHVQPTLIQSSNGLQGISGGLGQNSGLPTSPSGGSSESLNTNRSTPSATGLSATNFMSRSGYSCQQLSCLMIQACGLLLAQLPPEFHMQLYIEASRIIKDCWWLVDGKRTIKELDSAVGYALLDPAWASQDNTS >DRNTG_34350.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21202076:21207867:1 gene:DRNTG_34350 transcript:DRNTG_34350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSRRRSQQSKDNAGCMWGFISLFDFRQGNSTRKLLSDRKHGSSRNGVPGYSTNKFELLRDLDEESGDVINGDARSEAGTVDLAMASVKSLMQEEMSNSNLAKKNPSDVSRRQLKKNHKKADKSSKLAAVLVDDLNLPDSDQSHCSMNHLEQSITNFDVAAFVADFCVDNHQCSEKHVVSLAEHESDQSEKFSIIQKALSDVGEVFLRYKFNDEKQVSDKEAVYQSKRFIEAIETLNTNKELILRLMEDPNSVLLKHLQDLQNVQTDSHIREETSEILGEEIGSSAQSEESARHKLIEKQNGHSFFWKKDKSKSIKTPKESRNNQNLNRIVVLKPTASIPQSSLVTNMVISPSSSPISFYDSKHPEVSERVGSYFSLREIKRRFKQAIGENKKERHLISMDGILHKIPYGHKSFDDIGKQKSIEKAVHNKIFGNGKNTPAQSSDNAMKKDKLKSGIEDASLRSNIPTTSQLMHREPSFYEEAKRHLVDMLNSAEEKETLPSKQGSKLLGKVLSLPEYNSLSPRLSPGREKEAELSPRCIIFSPNHQAKQENFVNNLSPRKQADSEQETNSCLDINPQLVEMLPELIENHIQVDINIEEESDTKVVAEEILERDNAERNVLRETCKEEVSESSPLGSYDETPSTASPSNKMPSTLFIHNAEAPDNLIDKPERPSPVSVLEPFFSEDVGSPQSASLGYTDLPIQPRQLQYEEHQNSSIVMISPDCGANLRTCLDDKEVMGDFIRTALKATGLCCDKIPERWHLSDQLFELSLLDEVGISYSQLTDDPQLLFDCINEVLVEIHERYFSCSPWLSLVRRDIRPVPKGANFIEEVCKGIEWHLKLQFPTTLDQLIGKDMESAGWMDIRVDHEQVFVQMADEILTYLMEEAILEI >DRNTG_34350.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21202076:21207867:1 gene:DRNTG_34350 transcript:DRNTG_34350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSRRRSQQSKDNAGCMWGFISLFDFRQGNSTRKLLSDRKHGSSRNGVPGYSTNKFELLRDLDEESGDVINGDARSEAGTVDLAMASVKSLMQEEMSNSNLAKKNPSDVSRRQLKKNHKKADKSSKLAAVLVDDLNLPDSDQSHCSMNHLEQSITNFDVAAFVADFCVDNHQCSEKHVVSLAEHESDQSEKFSIIQKALSDVGEVFLRYKFNDEKQVSDKEAVYQSKRFIEAIETLNTNKELILRLMEDPNSVLLKHLQDLQNVQTDSHIREETSEILGEEIGSSAQSEESARHKLIEKQNGHSFFWKKDKSKSIKTPKESRNNQNLNRIVVLKPTASIPQSSLVTNMVISPSSSPISFYDSKHPEVSERVGSYFSLREIKRRFKQAIGENKKERHLISMDGILHKIPYGHKSFDDIGKQKSIEKAVHNKIFGNGKNTPAQSSDNAMKKDKLKSGIEDASLRSNIPTTSQLMHREPSFYEEAKRHLVDMLNSAEEKETLPSKQGSKLLGKVLSLPEYNSLSPRLSPGREKEAELSPRCIIFSPNHQAKQENFVNNLSPRKQADSEQETNSCLDINPQLVEMLPELIENHIQVDINIEEESDTKVVAEEILERDNAERNVLRETCKEEVSESSPLGSYDETPSTASPSNKMPSTLFIHNAEAPDNLIDKPERPSPVSVLEPFFSEDVGSPQSASLGYTDLPIQPRQLQYEEHQNSSIVMISPDCGANLRTCLDDKEVMGDFIRTALKATGLCCDKIPERWHLSDQLFELSLLDEVGISYSQLTDDPQLLFDCINEVLVEIHERYFSCSPWLSLVRRDIRPVPKGANFIEEVCKGIEWHLKLQFPTTLDQLIGKDMESAGWMDIRVDHEQVFVQMADEILTYLMEEAILEI >DRNTG_34350.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21202076:21207867:1 gene:DRNTG_34350 transcript:DRNTG_34350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSRRRSQQSKDNAGCMWGFISLFDFRQGNSTRKLLSDRKHGSSRNGVPGYSTNKFELLRDLDEESGDVINGDARSEAGTVDLAMASVKSLMQEEMSNSNLAKKNPSDVSRRQLKKNHKKADKSSKLAAVLVDDLNLPDSDQSHCSMNHLEQSITNFDVAAFVADFCVDNHQCSEKHVVSLAEHESDQSEKFSIIQKALSDVGEVFLRYKFNDEKQVSDKEAVYQSKRFIEAIETLNTNKELILRLMEDPNSVLLKHLQDLQNVQTDSHIREETSEILGEEIGSSAQSEESARHKLIEKQNGHSFFWKKDKSKSIKTPKESRNNQNLNRIVVLKPTASIPQSSLVTNMVISPSSSPISFYDSKHPEVSERVGSYFSLREIKRRFKQAIGENKKERHLISMDGILHKIPYGHKSFDDIGKQKSIEKAVHNKIFGNGKNTPAQSSDNAMKKDKLKSGIEDASLRSNIPTTSQLMHREPSFYEEAKRHLVDMLNSAEEKETLPSKQGSKLLGKVLSLPEYNSLSPRLSPGREKEAELSPRCIIFSPNHQAKQENFVNNLSPRKQADSEQETNSCLDINPQLVEMLPELIENHIQVDINIEEESDTKVVAEEILERDNAERNVLRETCKEEVSESSPLGSYDETPSTASPSNKMPSTLFIHNAEAPDNLIDKPERPSPVSVLEPFFSEDVGSPQSASLGYTDLPIQPRQLQYEEHQNSSIVMISPDCGANLRTCLDDKEVMGDFIRTALKATGLCCDKIPERWHLSDQLFELSLLDEVGISYSQLTDDPQLLFDCINEVLVEIHERYFSCSPWLSLVRRDIRPVPKGANFIEEVCKGIEWHLKLQFPTTLDQLIGKDMESAGWMDIRVDHEQVFVQMADEILTYLMEEAILEI >DRNTG_34350.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21203389:21207867:1 gene:DRNTG_34350 transcript:DRNTG_34350.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSRRRSQQSKDNAGCMWGFISLFDFRQGNSTRKLLSDRKHGSSRNGVPGYSTNKFELLRDLDEESGDVINGDARSEAGTVDLAMASVKSLMQEEMSNSNLAKKNPSDVSRRQLKKNHKKADKSSKLAAVLVDDLNLPDSDQSHCSMNHLEQSITNFDVAAFVADFCVDNHQCSEKHVVSLAEHESDQSEKFSIIQKALSDVGEVFLRYKFNDEKQVSDKEAVYQSKRFIEAIETLNTNKELILRLMEDPNSVLLKHLQDLQNVQTDSHIREETSEILGEEIGSSAQSEESARHKLIEKQNGHSFFWKKDKSKSIKTPKESRNNQNLNRIVVLKPTASIPQSSLVTNMVISPSSSPISFYDSKHPEVSERVGSYFSLREIKRRFKQAIGENKKERHLISMDGILHKIPYGHKSFDDIGKQKSIEKAVHNKIFGNGKNTPAQSSDNAMKKDKLKSGIEDASLRSNIPTTSQLMHREPSFYEEAKRHLVDMLNSAEEKETLPSKQGSKLLGKVLSLPEYNSLSPRLSPGREKEAELSPRCIIFSPNHQAKQENFVNNLSPRKQADSEQETNSCLDINPQLVEMLPELIENHIQVDINIEEESDTKVAEEILERDNAERNVLRETCKEEVSESSPLGSYDETPSTASPSNKMPSTLFIHNAEAPDNLIDKPERPSPVSVLEPFFSEDVGSPQSASLGYTDLPIQPRQLQYEEHQNSSIVMISPDCGANLRTCLDDKEVMGDFIRTALKATGLCCDKIPERWHLSDQLFELSLLDEVGISYSQLTDDPQLLFDCINEVLVEIHERYFSCSPWLSLVRRDIRPVPKGANFIEEVCKGIEWHLKLQFPTTLDQLIGKDMESAGWMDIRVDHEQVFVQMADEILTYLMEEAILEI >DRNTG_34350.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21202076:21207867:1 gene:DRNTG_34350 transcript:DRNTG_34350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSRRRSQQSKDNAGCMWGFISLFDFRQGNSTRKLLSDRKHGSSRNGVPGYSTNKFELLRDLDEESGDVINGDARSEAGTVDLAMASVKSLMQEEMSNSNLAKKNPSDVSRRQLKKNHKKADKSSKLAAVLVDDLNLPDSDQSHCSMNHLEQSITNFDVAAFVADFCVDNHQCSEKHVVSLAEHESDQSEKFSIIQKALSDVGEVFLRYKFNDEKQVSDKEAVYQSKRFIEAIETLNTNKELILRLMEDPNSVLLKHLQDLQNVQTDSHIREETSEILGEEIGSSAQSEESARHKLIEKQNGHSFFWKKDKSKSIKTPKESRNNQNLNRIVVLKPTASIPQSSLVTNMVISPSSSPISFYDSKHPEVSERVGSYFSLREIKRRFKQAIGENKKERHLISMDGILHKIPYGHKSFDDIGKQKSIEKAVHNKIFGNGKNTPAQSSDNAMKKDKLKSGIEDASLRSNIPTTSQLMHREPSFYEEAKRHLVDMLNSAEEKETLPSKQGSKLLGKVLSLPEYNSLSPRLSPGREKEAELSPRCIIFSPNHQAKQENFVNNLSPRKQADSEQETNSCLDINPQLVEMLPELIENHIQVDINIEEESDTKVVAEEILERDNAERNVLRETCKEEVSESSPLGSYDETPSTASPSNKMPSTLFIHNAEAPDNLIDKPERPSPVSVLEPFFSEDVGSPQSASLGYTDLPIQPRQLQYEEHQNSSIVMISPDCGANLRTCLDDKEVMGDFIRTALKATGLCCDKIPERWHLSDQLFELSLLDEVGISYSQLTDDPQLLFDCINEVLVEIHERYFSCSPWLSLVRRDIRPVPKGANFIEEVCKGIEWHLKLQFPTTLDQLIGKDMESAGWMDIRVDHEQVFVQMADEILTYLMEEAILEI >DRNTG_34350.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21203389:21207867:1 gene:DRNTG_34350 transcript:DRNTG_34350.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSRRRSQQSKDNAGCMWGFISLFDFRQGNSTRKLLSDRKHGSSRNGVPGYSTNKFELLRDLDEESGDVINGDARSEAGTVDLAMASVKSLMQEEMSNSNLAKKNPSDVSRRQLKKNHKKADKSSKLAAVLVDDLNLPDSDQSHCSMNHLEQSITNFDVAAFVADFCVDNHQCSEKHVVSLAEHESDQSEKFSIIQKALSDVGEVFLRYKFNDEKQVSDKEAVYQSKRFIEAIETLNTNKELILRLMEDPNSVLLKHLQDLQNVQTDSHIREETSEILGEEIGSSAQSEESARHKLIEKQNGHSFFWKKDKSKSIKTPKESRNNQNLNRIVVLKPTASIPQSSLVTNMVISPSSSPISFYDSKHPEVSERVGSYFSLREIKRRFKQAIGENKKERHLISMDGILHKIPYGHKSFDDIGKQKSIEKAVHNKIFGNGKNTPAQSSDNAMKKDKLKSGIEDASLRSNIPTTSQLMHREPSFYEEAKRHLVDMLNSAEEKETLPSKQGSKLLGKVLSLPEYNSLSPRLSPGREKEAELSPRCIIFSPNHQAKQENFVNNLSPRKQADSEQETNSCLDINPQLVEMLPELIENHIQVDINIEEESDTKVVAEEILERDNAERNVLRETCKEEVSESSPLGSYDETPSTASPSNKMPSTLFIHNAEAPDNLIDKPERPSPVSVLEPFFSEDVGSPQSASLGYTDLPIQPRQLQYEEHQNSSIVMISPDCGANLRTCLDDKEVMGDFIRTALKATGLCCDKIPERWHLSDQLFELSLLDEVGISYSQLTDDPQLLFDCINEVLVEIHERYFSCSPWLSLVRRDIRPVPKGANFIEEVCKGIEWHLKLQFPTTLDQLIGKDMESAGWMDIRVDHEQVFVQMADEILTYLMEEAILEI >DRNTG_34350.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21202076:21207867:1 gene:DRNTG_34350 transcript:DRNTG_34350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSRRRSQQSKDNAGCMWGFISLFDFRQGNSTRKLLSDRKHGSSRNGVPGYSTNKFELLRDLDEESGDVINGDARSEAGTVDLAMASVKSLMQEEMSNSNLAKKNPSDVSRRQLKKNHKKADKSSKLAAVLVDDLNLPDSDQSHCSMNHLEQSITNFDVAAFVADFCVDNHQCSEKHVVSLAEHESDQSEKFSIIQKALSDVGEVFLRYKFNDEKQVSDKEAVYQSKRFIEAIETLNTNKELILRLMEDPNSVLLKHLQDLQNVQTDSHIREETSEILGEEIGSSAQSEESARHKLIEKQNGHSFFWKKDKSKSIKTPKESRNNQNLNRIVVLKPTASIPQSSLVTNMVISPSSSPISFYDSKHPEVSERVGSYFSLREIKRRFKQAIGENKKERHLISMDGILHKIPYGHKSFDDIGKQKSIEKAVHNKIFGNGKNTPAQSSDNAMKKDKLKSGIEDASLRSNIPTTSQLMHREPSFYEEAKRHLVDMLNSAEEKETLPSKQGSKLLGKVLSLPEYNSLSPRLSPGREKEAELSPRCIIFSPNHQAKQENFVNNLSPRKQADSEQETNSCLDINPQLVEMLPELIENHIQVDINIEEESDTKVVAEEILERDNAERNVLRETCKEEVSESSPLGSYDETPSTASPSNKMPSTLFIHNAEAPDNLIDKPERPSPVSVLEPFFSEDVGSPQSASLGYTDLPIQPRQLQYEEHQNSSIVMISPDCGANLRTCLDDKEVMGDFIRTALKATGLCCDKIPERWHLSDQLFELSLLDEVGISYSQLTDDPQLLFDCINEVLVEIHERYFSCSPWLSLVRRDIRPVPKGANFIEEVCKGIEWHLKLQFPTTLDQLIGKDMESAGWMDIRVDHEQVFVQMADEILTYLMEEAILEI >DRNTG_31034.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30525685:30527718:-1 gene:DRNTG_31034 transcript:DRNTG_31034.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGISHRTMEVNGIAMHIAEKGMGGPIVLMLHGFPELWYSWRHQINGLADKGYHAVAPDLRGYGDTDSPSAISSYSIFHLVGDMIALIAILGQAQVFVVGHDWGALVAWHLCLFRPDKVKALVNLSVAYMPRNPAIKPVDYFRSIYGDDYYICRFQEPGVIEAEFGRVSTKQVIKKFYTLRDPDGIFIPKKGWISPNDKFTLPNWLSEEDVNYISKKFEKSGWSGGVNYYRCLNSNWELTEPWTGAQIKVPTKFIVGDLDLTYHYPGIQDYIHKGGFKHDVPLLEEVVVMKGVAHFIQQEKAHNITEHILNFIKKF >DRNTG_09913.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1658787:1661337:-1 gene:DRNTG_09913 transcript:DRNTG_09913.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSTRLFYDDERVVPFPDINPSAFRHYLVIPVEHVATVNDLKRGVDDHQLGILVFIYNVRNY >DRNTG_09913.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1658427:1661337:-1 gene:DRNTG_09913 transcript:DRNTG_09913.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSTRLFYDDERVVPFPDINPSAFRHYLVIPVEHVATVNDLKRGVDDHQLGILVFIYNVRNY >DRNTG_14258.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1005695:1006476:1 gene:DRNTG_14258 transcript:DRNTG_14258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEARYYTEFTGENPASVTQGFDQGPELFNLQAGMEMLGIPSKNHRGGHQSQNPSLWRGFNTDDDDDTTNGNNTHHNDIIVTHDGNPWQQQQQQQHAGRLIVDDSSLRCLFPPSGVNSDQHHHHHHQQQQLAGLSLFPADTLFAKSLTTNHHHHQQQQQQQQQMVIQDGRFYTTYIPSPSAPQSQSMQLRSSKYLTPAQELLNEFCNLGSSSSNNNNNNKIAKEKQQRSSQWEEGGTSSSSNTFTQSLLSMDLL >DRNTG_09505.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21678013:21681875:-1 gene:DRNTG_09505 transcript:DRNTG_09505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPEGSLNEHLSGRTSIFGLKVWVLIGISVGVFMLCILLILVIYVNARTKKKLRRSRNKLPVYQIPIFSKEIKEVKVEQQFSPNDFVAHEGILLAIQEKSNVKDSDKVMLHLGYADEKSHSGSFCHTEKEFGSQSGDEGSSGKVTYHRLSDSHSIAGPSPLTGLPELSHLGWGHWFTLRDLETATNRFAKENIIGEGGYGVVYRGQLINGTSVAIKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGTQRMLVYEYVSNGNLEEWLHGAQRDQRSLTWEARMKILISTAKALAYLHECIEPKVVHRDIKSSNILIDDDFNAKVSDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVVLLEAISGRDPVDYARAVQEVNLVDWLKMMVGNKRSEEVVDPYLVPRPSIRALKRALLTALRCVDPDSEKRPNMGQVVRMLESDDSMPREERRRRRSRNGSRELEFQRESSDTEKSDNPDTKINSSRNKTLKK >DRNTG_00066.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21780939:21788665:-1 gene:DRNTG_00066 transcript:DRNTG_00066.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNECILNIQNLPGEYFCPICRTLVYPDEALQSQCTHLYCKPCLAYVVGTTRACPYDGYLVTEADSKPLIESNKSIAEAIGKVPVYCLYHRSGCQWQGTLTECTAHCPECSYGNCPVICNRCGMHIIHRQVQEHAHSCPTLQSQPQQLDSALTQVSTGQSEIINEALSTASTSTAMAAPSSTSTAMPVASSTPSAQTVASMAITSAAAASDSTPAAASDQATQTQAAAIAQPQVPPAEQWYQQQQMQYQQYYQQYPGYDPYQQQYQHYGQYQQQVHQQYPQTYLQVPVQPVVQGQQQPLHTMQPQPLSQPHSQLSMQNQQPALQIQPQGQAAQPQVQAQPPPQALTAQPQPQQQHYPQLPQHPQQPQLHSHQLHVPAQQLLTPTQPQNHPPPYAQMARQSQPQPQPQPHPHAQPPQFPTQPNPQLSKSLPPQSHLHSQMPQQQPPRQQPHMQQQPHSQPHLRPQSQPIPQAQPQQPAAQAVTGHQSYPQPYPFQPAPSGVAQQRPMHMHPQHLQAPIQPVQHTGHMHNQFPPQQNQMRPPPNSVPGQAQQIGMLPPQSSRGSAIPSLQPGQQFHHHAGMQPQQFLPHNQAQSQLHPTQQYQQGPYLPQQAIRSQAPTLQQSVPAPPVAHGVTSYQAQVPAPGPGIISHGTPQPASQQISTQYPLESNLTESSEVKSGTMQHAQVTPSQGPLLPQPSTLQSGASVDHNQASTAKESSRLIASELKGKSSPEKGAQVHKLEVEMEPKNSKGADLAQTKTSSDYVAISVDEGKANADSRESSQPNSDGKDVQESAHVRSSQSDNLDVQVAEHATDKVSHFTNDAKEVLELSAAQGDMLSNGAGRVQSLQDSRESLSSSQSKERAPIADVPQGIPPAGSFPGEKYDHQTYGISPNMSEQTMNSQRASAPDRMVPQHMQLHDPPFAPSQMRPPVHNVIENRSSQGQGRQPYGSYLNEVPKAGHLGSFSNYSSSTGALGQNPLPLPANGPPAGVFAAAGGMTSNLPPGLESQTGQPRHTNGDHSKGHAGGTERFGSRPFSEERFQSTVHDPYRRATSQGDLEEDFKRFPKSTHSESESLPKFDGPISSSWSAEGSRPLVNAFPPNSSGSTLLPMREHHKPGGIHDEWGRRSDALGAIPGAGNHLVGGLAPLRSPGREYTGFEMRKFGFSKQGTDHFGKEPLNFGERSHAFNMPSDSFNGSFLESRFPRPYAPGPTSFPGGSSDGLQHMRMMDQLASRNLPGDSGNELDGPAMHPSHFRHLRSNEAFGRSHLHFSDPGGFGGLHRDTRMGERSFAGHFPPHARESTGYLPVHMRSGESGPTHGYSMHGFPNETGRFGLVSNFDEIDSFGHSSKRNLASIGWCRLCKIDCGSVEGLDIHSQTREHQKMAMDIVLNIKQENSKKKKKAIDDGTAIEGPSKSRKVKF >DRNTG_00066.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21777142:21788665:-1 gene:DRNTG_00066 transcript:DRNTG_00066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNECILNIQNLPGEYFCPICRTLVYPDEALQSQCTHLYCKPCLAYVVGTTRACPYDGYLVTEADSKPLIESNKSIAEAIGKVPVYCLYHRSGCQWQGTLTECTAHCPECSYGNCPVICNRCGMHIIHRQVQEHAHSCPTLQSQPQQLDSALTQVSTGQSEIINEALSTASTSTAMAAPSSTSTAMPVASSTPSAQTVASMAITSAAAASDSTPAAASDQATQTQAAAIAQPQVPPAEQWYQQQQMQYQQYYQQYPGYDPYQQQYQHYGQYQQQVHQQYPQTYLQVPVQPVVQGQQQPLHTMQPQPLSQPHSQLSMQNQQPALQIQPQGQAAQPQVQAQPPPQALTAQPQPQQQHYPQLPQHPQQPQLHSHQLHVPAQQLLTPTQPQNHPPPYAQMARQSQPQPQPQPHPHAQPPQFPTQPNPQLSKSLPPQSHLHSQMPQQQPPRQQPHMQQQPHSQPHLRPQSQPIPQAQPQQPAAQAVTGHQSYPQPYPFQPAPSGVAQQRPMHMHPQHLQAPIQPVQHTGHMHNQFPPQQNQMRPPPNSVPGQAQQIGMLPPQSSRGSAIPSLQPGQQFHHHAGMQPQQFLPHNQAQSQLHPTQQYQQGPYLPQQAIRSQAPTLQQSVPAPPVAHGVTSYQAQVPAPGPGIISHGTPQPASQQISTQYPLESNLTESSEVKSGTMQHAQVTPSQGPLLPQPSTLQSGASVDHNQASTAKESSRLIASELKGKSSPEKGAQVHKLEVEMEPKNSKGADLAQTKTSSDYVAISVDEGKANADSRESSQPNSDGKDVQESAHVRSSQSDNLDVQVAEHATDKVSHFTNDAKEVLELSAAQGDMLSNGAGRVQSLQDSRESLSSSQSKERAPIADVPQGIPPAGSFPGEKYDHQTYGISPNMSEQTMNSQRASAPDRMVPQHMQLHDPPFAPSQMRPPVHNVIENRSSQGQGRQPYGSYLNEVPKAGHLGSFSNYSSSTGALGQNPLPLPANGPPAGVFAAAGGMTSNLPPGLESQTGQPRHTNGDHSKGHAGGTERFGSRPFSEERFQSTVHDPYRRATSQGDLEEDFKRFPKSTHSESESLPKFDGPISSSWSAEGSRPLVNAFPPNSSGSTLLPMREHHKPGGIHDEWGRRSDALGAIPGAGNHLVGGLAPLRSPGREYTGFEMRKFGFSKQGTDHFGKEPLNFGERSHAFNMPSDSFNGSFLESRFPRPYAPGPTSFPGGSSDGLQHMRMMDQLASRNLPGDSGNELDGPAMHPSHFRHLRSNEAFGRSHLHFSDPGGFGGLHRDTRMGERSFAGHFPPHARESTGYLPVHMRSGESGPTHGYSMHGFPNETGRFGLVSNFDEIDSFGHSSKRNLASIGWCRLCKIDCGSVEGLDIHSQTREHQKMAMDIVLNIKQENSKKKKKAIDDGTAIEGPSKSRKVKF >DRNTG_00066.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21780939:21788665:-1 gene:DRNTG_00066 transcript:DRNTG_00066.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNECILNIQNLPGEYFCPICRTLVYPDEALQSQCTHLYCKPCLAYVVGTTRACPYDGYLVTEADSKPLIESNKSIAEAIGKVPVYCLYHRSGCQWQGTLTECTAHCPECSYGNCPVICNRCGMHIIHRQVQEHAHSCPTLQSQPQQLDSALTQVSTGQSEIINEALSTASTSTAMAAPSSTSTAMPVASSTPSAQTVASMAITSAAAASDSTPAAASDQATQTQAAAIAQPQVPPAEQWYQQQQMQYQQYYQQYPGYDPYQQQYQHYGQYQQQVHQQYPQTYLQVPVQPVVQGQQQPLHTMQPQPLSQPHSQLSMQNQQPALQIQPQGQAAQPQVQAQPPPQALTAQPQPQQQHYPQLPQHPQQPQLHSHQLHVPAQQLLTPTQPQNHPPPYAQMARQSQPQPQPQPHPHAQPPQFPTQPNPQLSKSLPPQSHLHSQMPQQQPPRQQPHMQQQPHSQPHLRPQSQPIPQAQPQQPAAQAVTGHQSYPQPYPFQPAPSGVAQQRPMHMHPQHLQAPIQPVQHTGHMHNQFPPQQNQMRPPPNSVPGQAQQIGMLPPQSSRGSAIPSLQPGQQFHHHAGMQPQQFLPHNQAQSQLHPTQQYQQGPYLPQQAIRSQAPTLQQSVPAPPVAHGVTSYQAQVPAPGPGIISHGTPQPASQQISTQYPLESNLTESSEVKSGTMQHAQVTPSQGPLLPQPSTLQSGASVDHNQASTAKESSRLIASELKGKSSPEKGAQVHKLEVEMEPKNSKGADLAQTKTSSDYVAISVDEGKANADSRESSQPNSDGKDVQESAHVRSSQSDNLDVQVAEHATDKVSHFTNDAKEVLELSAAQGDMLSNGAGRVQSLQDSRESLSSSQSKERAPIADVPQGIPPAGSFPGEKYDHQTYGISPNMSEQTMNSQRASAPDRMVPQHMQLHDPPFAPSQMRPPVHNVIENRSSQGQGRQPYGSYLNEVPKAGHLGSFSNYSSSTGALGQNPLPLPANGPPAGVFAAAGGMTSNLPPGLESQTGQPRHTNGDHSKGHAGGTERFGSRPFSEERFQSTVHDPYRRATSQGDLEEDFKRFPKSTHSESESLPKFDGPISSSWSAEGSRPLVNAFPPNSSGSTLLPMREHHKPGGIHDEWGRRSDALGAIPGAGNHLVGGLAPLRSPGREYTGFEMRKFGFSKQGTDHFGKEPLNFGERSHAFNMPSDSFNGSFLESRFPRPYAPGPTSFPGGSSDGLQHMRMMDQLASRNLPGDSGNELDGPAMHPSHFRHLRSNEAFGRSHLHFSDPGGFGGLHRDTRMGERSFAGHFPPHARESTGYLPVHMRSGESGPTHGYSMHGFPNETGRFGLVSNFDEIDSFGHSSKRNLASIGWCRLCKIDCGSVEGLDIHSQTREHQKMAMDIVLNIKQENSKKKKKAIDDGTAIEGPSKSRKVKF >DRNTG_03819.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28022916:28029220:-1 gene:DRNTG_03819 transcript:DRNTG_03819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVVRQVKMHDLVHDLARSVAGEEGSVADEASGYAFRQGCRYLSFVYDRPISKSKPLPFLNEANKLPSFYFIAQGSMKHLELKRRGILNLDVGAIEEANDTKEKTSKSAERSGIFSSLKLLRALHLSRYPLIREILDSICKMKHLRYLNLSGNNIEVVPTCIGLLHNLQTLSLSYCRWLRMLPDSIGQLSNLSTLDLKGCSILQSLPSSIGCLMNLTKLDLSKCYGVQALPESLSRLSNLQVLNLQNCQHLLGGKTNCNIRELGSLNIEGELHIKNIENVRNFDEAKEANLKEKQGLRSLRLSWDENVYRAPAQSSDDLLNGMVPQADLVDGVLENLQPGANINKLAIEGYGGRKCRKKMRTREDVQKFPTWLMDSSLLHNLVNLTLDSCVRCEVLPPLGQLRHLQVLRVRRLLSIRCIDSGFYGGHAPFPALDELCLSGMLMLEEWSGTSEGEVFPSLTVLGLVDCPILKSLPSTFPTVTDLVMINANERVLLSALGDCAFPNLEGDLKTQGLYTD >DRNTG_04882.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11024243:11024594:-1 gene:DRNTG_04882 transcript:DRNTG_04882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMVLWRVLYSSKGPYVRPRIHLAGSVPTKALPLTFFQMQHDVRAVEPRPILSQYLLKP >DRNTG_19077.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16613484:16615491:-1 gene:DRNTG_19077 transcript:DRNTG_19077.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSIDRAIAVKAFDETKAGVKGLVDSGITSVPFIFHHPTANLSLPVAAHLSIPTVDLSLPRPITIDRIRSASRDWGFFQLINHSIPISTMQSTISAVRAFHELPTAVRSKHYTRSPVGGVAYLSNGDLFKSSAASWRDTLQISFGPTPPELDDIPEVCRSELVKWDESAKEVAREVMSLMCEGLGLGPRSLEELSCLEGRGMSCHYYPPCPEPNLTVGAGNHTDPVILTVLLQDEIGGLRAKRKVEDGHEVWVDVKPIPGALVVNVGDLQIFTNDEFKSVHHQVVANSSDVARVSVACFFNPGRREESTTYGPLPELLSSENPPRYRNFTVNDFLGRFLSKELNTKTLIEFFKL >DRNTG_08458.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21471376:21477792:1 gene:DRNTG_08458 transcript:DRNTG_08458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTTLHHNPSERVAHQIDHSPHARVQPSYKLHRDPFPLRRSWPSLGCEKL >DRNTG_21642.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:116506:120501:-1 gene:DRNTG_21642 transcript:DRNTG_21642.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLASPVRPTLRFSSRSSPRRNPRCSIRPPPPGIDYKSEFIEESRSFLEEKHTELMDLAADGTLVRIAKHRFGPVPAWRTEFVEPEEIWLLGTSHLAAESASDVERVVRALMPDNVVVELCRSRAGIMYASDNAEDAQLSKSNMFSLSGAQFFGAVNRSINLGGQSALALRLLLAVFSSKISSTANRPFGDEFRAARKASEEVGAQIVLGDRPIEITLERAWRSLMWSEKVRFIFSLIQGITSPSIELPENDLKGQLPGDSPLQLYEKLSFSYPSLLQPLIHERDTYLAWSLKRSKAVNNCKRVVGIIGKGHMNGVIYSLISDQGNLRFRDLVGRTSNNNTSNGWIINTFKSFARDTILGFGLWALYEKLKDKF >DRNTG_21642.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:116898:117442:-1 gene:DRNTG_21642 transcript:DRNTG_21642.2 gene_biotype:protein_coding transcript_biotype:protein_coding GQLPGDSPLQLYEKLSFSYPSLLQPLIHERDTYLAWSLKRSKAVNNCKRVVGIIGKGHMNGVIYSLISDQGNLRFRDLVGRTSNNNTSNGWIINTFKSFARDTILGFGLWALYEKLKDKF >DRNTG_24003.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001285.1:46235:49694:1 gene:DRNTG_24003 transcript:DRNTG_24003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMIPEALDSFRFIMVCQCVPSTRCCNAFLESVLSSSHTQKAWNFHALALRIGMVPDSRTWSLLAKLFCIEGKLENSVKVLSLSCCDASAYDLVVGCYSKKGDFRAVIHLLRVMTNKGLALRFSTYGAVLDGGCEFGDAGVVGFVLKVMIVKGLLPMAPCFDYNWIIRKLCESDKTFAAEMFFEKARKWERELDNVSFVCLLKALSRTGRVEHALKLFDVMSQKGVGMDNGCLDVFVNSICKGEPSKKANSVLKDVLAKGFAPKTCYFSDYVAELCREGSWIEAGDLWNAAMEKSILLNAHCSNSLLEHYCCEGLVDSAMLLHDKLMKLGGCLDATAYNSLLRVVLVQRRIEAAIRVFDYMRERNVLSSSNYIIMISAFCQEKEMRKAMELHDEMVKVGLKPDDSIYKHLISEFA >DRNTG_24003.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001285.1:46235:49240:1 gene:DRNTG_24003 transcript:DRNTG_24003.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMIPEALDSFRFIMVCQCVPSTRCCNAFLESVLSSSHTQKAWNFHALALRIGMVPDSRTWSLLAKLFCIEGKLENSVKVLSLSCCDASAYDLVVGCYSKKGDFRAVIHLLRVMTNKGLALRFSTYGAVLDGGCEFGDAGVVGFVLKVMIVKGLLPMAPCFDYNWIIRKLCESDKTFAAEMFFEKARKWERELDNVSFVCLLKALSRTGRVEHALKLFDVMSQKGVGMDNGCLDVFVNSICKGEPSKKANSVLKDVLAKGFAPKTCYFSDYVAELCREGSWIEAGDLWNAAMEKSILLNAHCSNSLLEHYCCEGLVDSAMLLHDKLMKLGGCLDATAYNSLLRVVLVQRRIEAAIRVFDYMRERNVLSSSNYIIMISAFCQEKEMRKAMELHDEMVKVGLKPDDSIYKHLISEFA >DRNTG_34522.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5074363:5075494:-1 gene:DRNTG_34522 transcript:DRNTG_34522.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLRLGSWVKPLYYHSLIVSMPSGVGIMSFSAPLIVSDNPIPRDESSDVGA >DRNTG_16183.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000798.1:67896:68968:-1 gene:DRNTG_16183 transcript:DRNTG_16183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCEKAHTNKGAWTKEEDEKLISHIKVHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFSGEEDELIIKLHSLLGNKWSIIASRLPGRTDNEIKNYWNTHIKRKLLSRGLDPKTHRPLTGITTFRAEYPKEANDDSSDEGNSSTLSTEDDNSSLINLELSISLPEISPTMPMNSSVEKTSALNALCLCYNLGFQRSQACACQYLL >DRNTG_02782.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1214734:1215734:-1 gene:DRNTG_02782 transcript:DRNTG_02782.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-8B [Source:Projected from Arabidopsis thaliana (AT3G49650) UniProtKB/Swiss-Prot;Acc:Q9SCJ4] MIDEAINSKGNKTYLHILSQYRLLGMANAELQFEMAIRDQVIHNQKEAQRNMWNMLMGLGLDQKCLMDLAAKEGITIEDSTTPLIKGSPFLHHTTLSPHSSCAQYPGIDGQPYASQACVLQHHQDFSTTAYYKGRYNSHGLCRQEHHSSYYLFSHDHSPYSEMRHQASGSPSSCFFTPIKLTRDKCGFYPESRTPPCPHTKESITSSLNEGFKRLQE >DRNTG_02782.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1216240:1218308:-1 gene:DRNTG_02782 transcript:DRNTG_02782.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-8B [Source:Projected from Arabidopsis thaliana (AT3G49650) UniProtKB/Swiss-Prot;Acc:Q9SCJ4] MVGTHQDPGLMVLSLHTIFNLIKKDKSSDVFEVSCSYLEVYNEVIYDLLVKSSGHLELREDPEHGIIVAGLRNIKVQSADKILELLHIGNSRRKTESTEVNATSSRSHAVLEIMVRRTRRNQYGSQVLRGKLALVDLAGSERASETNIAGQKLRDGANINRSLLALANCINALGKQQKKGLAYVPYRNRYPSGYNNLSKMHFQLANARFLQQVDPDSEGWTQWKF >DRNTG_02782.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1213428:1220491:-1 gene:DRNTG_02782 transcript:DRNTG_02782.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-8B [Source:Projected from Arabidopsis thaliana (AT3G49650) UniProtKB/Swiss-Prot;Acc:Q9SCJ4] MPSIRAPATKQTATLMVAVKCRPLTDLERLRSRNIIQVTDDKSVVVLDPDLSKDYLDRIQNRTKEKRYNFDHAFGPECTNLDVYQRISSTIAGVIQGLNATVFAYGSTGSGKTYTMVGTHQDPGLMVLSLHTIFNLIKKDKSSDVFEVSCSYLEVYNEVIYDLLVKSSGHLELREDPEHGIIVAGLRNIKVQSADKILELLHIGNSRRKTESTEVNATSSRSHAVLEIMVRRTRRNQYGSQVLRGKLALVDLAGSERASETNIAGQKLRDGANINRSLLALANCINALGKQQKKGLAYVPYRNSKLTRILKDGLSGNSRTVMVATISPADDQYHHTVNTLKYADRAKEIKTEIHKNIGTVDTHVEDYKRMIDNLKVEVCRLREELAEKESQLTLKPAERAANEELSLLDVLSRETSENVQERINLQKALFELEETNTRNRIELQQLDDAIAKQQVIERDGTVVHALRSRRQVILDNIRDNDEAGTGYQKEIEINEKHRCQLQSMIDEAINSKGNKTYLHILSQYRLLGMANAELQFEMAIRDQVIHNQKEAQRNMWNMLMGLGLDQKCLMDLAAKEGITIEDSTTPLIKGSPFLHHTTLSPHSSCAQYPGIDGQPYASQACVLQHHQDFSTTAYYKGRYNSHGLCRQEHHSSYYLFSHDHSPYSEMRHQASGSPSSCFFTPIKLTRDKCGFYPESRTPPCPHTKESITSSLNEGFKRLQEESCLDRSSTATFSSSVIPEPYHLNHNTLSSRPDLSKLQSLFATIKPMTSNPARP >DRNTG_12741.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25774636:25775889:-1 gene:DRNTG_12741 transcript:DRNTG_12741.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHVEVPGEATETGTAVVETATGIIQSFAPINQIHQHLCAFHFYADDMTRQVEAHHYCAHLNEEVRQCLIFDSSKADAKLIGVEYIISPMLFLALPEEEKPLWHSHEYEVKSGVLFMPDLPLSVQ >DRNTG_33263.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23936860:23940461:-1 gene:DRNTG_33263 transcript:DRNTG_33263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMETKEIKAAIQSIPKQKEELRKAFESLQSFSSAFVSFTLQWKQLDDYFSSVQSSIESRFQALRSLESSLPSQSSAAATAATVPSEPNPPVEPEISPRSELMLLCTKMDGKNLCTFIIMHRKDNPVNRDELIPALRAAPDAAALVLDALDGFYSDEMKSNGKDGEILAIRRTCINLLQRVQELGPEIKQPIKDKAKKVAVQWKAKVSDDGDDLEAHGFLQLLVAYKLVDEFKVDEVLDVVVLVARRKQTIELCKVLGVEMHIPELIQKLINKGKQLDAVKYIQAFNLIDKYPPVPLLKSYLKATRKAVQEIRLKGNYSTQNMNDAMVKELGALKSVLRAIEEYGLESEYPREHLQKRITQLERQKAAEKKRTATDTAASNSKMQQQQQQRKQHQQTNKRPRPLTASTTPTVASYPPPPLVRNQPQLGMPERASFMGSAGSYGIAGPSTHYHHAGHNLLPATAGLGGLAAPPSSYLYPSEHIVGSGLYDRSLPYGGYSISGLSTPYGPAR >DRNTG_28363.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2398872:2405015:-1 gene:DRNTG_28363 transcript:DRNTG_28363.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPIVLTFVKDRPKSTFRKKLHRAVPQWHGNSNLPPSTIKSIEKEVPKNKKKPQSRTSWDNKTRHM >DRNTG_28363.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2398872:2405015:-1 gene:DRNTG_28363 transcript:DRNTG_28363.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATCHQVQLKALRRKSPRTKKNPNQELPGIIRLGTCSN >DRNTG_28363.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2398872:2400827:-1 gene:DRNTG_28363 transcript:DRNTG_28363.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKESKSDGMLIKKVHDIQQHNLLVKDRPKSTFRKKLHRAVPQWHGNSNLPPSTIKSIEKEVPKNKKKPQSRTSWDNKTRHM >DRNTG_28363.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2398872:2405015:-1 gene:DRNTG_28363 transcript:DRNTG_28363.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVTNKFFVKDRPKSTFRKKLHRAVPQWHGNSNLPPSTIKSIEKEVPKNKKKPQSRTSWDNKTRHM >DRNTG_28363.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2398872:2405015:-1 gene:DRNTG_28363 transcript:DRNTG_28363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVTNKFFVKDRPKSTFRKKLHRAVPQWHGNSNLPPSTIKSIEKEVPKNKKKPQSRTSWDNKTRHM >DRNTG_28363.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2398872:2401162:-1 gene:DRNTG_28363 transcript:DRNTG_28363.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATCHQVQLKALRRKSPRTKKNPNQELPGIIRLGTCSN >DRNTG_28363.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2398872:2405015:-1 gene:DRNTG_28363 transcript:DRNTG_28363.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVTNKFFVKDRPKSTFRKKLHRAVPQWHGNSNLPPSTIKSIEKEVPKNKKKPQSRTSWDNKTRHM >DRNTG_23248.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21348676:21354080:1 gene:DRNTG_23248 transcript:DRNTG_23248.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGTSKAATKRGTPNKRWKPDFDNVLIPVLVEQVRQGLKCDKSFKRAAFGHAASAVNARFNTNFTPENVENHYRTLKVRYMEIKKARDLSGADWDDKNKVIILDPLVAFTYTEAHPGAKPFINKPIENYEGLRIICGEDSATGSYAASLFLDFADKSENEGTDNDNGESDPVDIASDVEGNNGNSTPVGSNLAPSSSVRSQRNSKGPKSPSMMADVLKVVDKMANAIQNPTHWTEILYERVMAVNPTH >DRNTG_23248.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21348676:21354080:1 gene:DRNTG_23248 transcript:DRNTG_23248.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGTSKAATKRGTPNKRWKPDFDNVLIPVLVEQVRQGLKCDKSFKRAAFGHAASAVNARFNTNFTPENVENHYRTLKVRYMEIKKARDLSGADWDDKNKVIILDPLVAFTYTEAHPGAKPFINKPIENYEGLRIICGEDSATGSYAASLFLDFADKSENEGTDNDNGESDPVDIASDVEGNNGNSTPVGSNLAPSSSVRSQRNSKGPKSPSMMADVLKVVDKMANAIQNPTHWTEILYERVMAVNPTH >DRNTG_23248.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21348676:21354080:1 gene:DRNTG_23248 transcript:DRNTG_23248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGTSKAATKRGTPNKRWKPDFDNVLIPVLVEQVRQGLKCDKSFKRAAFGHAASAVNARFNTNFTPENVENHYRTLKVRYMEIKKARDLSGADWDDKNKVIILDPLVAFTYTEAHPGAKPFINKPIENYEGLRIICGEDSATGSYAASLFLDFADKSENEGTDNDNGESDPVDIASDVEGVFYMAMMTT >DRNTG_20934.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7568536:7570607:1 gene:DRNTG_20934 transcript:DRNTG_20934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVNGRGDSTGVLSRQELLYLYSMVQRVPIHLRHILAEYIRHQGHYARLGAIFSGPYITRLVLGMGLLDSIRGAEKTSVPAPLIPATEIAEDEGDEVRASQPTPKPQPAAMETEVPPVAEEPPLVHFRVRRRLIICIAAEDSSGPLSSSNTSILDPSTGGPTMCVSSSSSIIGV >DRNTG_17961.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20419000:20419305:1 gene:DRNTG_17961 transcript:DRNTG_17961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVCVSCFVAIMLLLMASARQTDAQLTSTFYDKSCPAALSTIRKAVRTAIAKERRMAASLIRLHFHDCFVQVRYLLYSNGCMLHA >DRNTG_02469.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2125486:2129557:1 gene:DRNTG_02469 transcript:DRNTG_02469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDGKKLEYKGEDALRELEELTIKAKEVQDSILKEIITRNGASEYLNKYMQGSIDLLTFKTRVPVITYEKIQPYIQRIVNGEDSSIISGHQITEMLMSSGTSCGEPKLMPSIAEDLDRRTYLYNLIMPIMNKHIPDLDKGKAMYLLFIKAEKQTPSGLPARPVLTSYYKSPHFRTRTHDPFNDYTSPNEAILCPDRDQSMYCQLLAGLIHRQCVLRLGAVFASALLRSISFLEKNWIDLCRDIRTGHLNKSITDPNLRAAMSKTITSQDPQLANELEAICSLRTWKGIICKLWPRVKYIEAVLTGSMAQYIPALEFYSEGKIPLVCTMYASSECYFGVNLNPLSYPTEVSYTLLPNMAYFEFIPLENGLQPNNEEKIEQDKLVSLVDVKLWCYYELVVTTFAGLCRHRVGDILLVTSFYNSVPQFKFICRRNILLSIDTDKTNEEELHKSVTASKKLLEAYDLLLNEYTSHADTSTIPGHYVLFWEITNTSTKLSLATPLNAELLQNCCQVLEDSLGYVYRRCRSHDKSIGPLEIRVVEEGTFEALMDLIISQGGSINQYKTPRYIEQGQALELLNSRVKDRFFSSRDPVWSL >DRNTG_02469.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2125486:2129557:1 gene:DRNTG_02469 transcript:DRNTG_02469.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDGKKLEYKGEDALRELEELTIKAKEVQDSILKEIITRNGASEYLNKYMQGSIDLLTFKTRVPVITYEKIQPYIQRIVNGEDSSIISGHQITEMLMSSGTSCGEPKLMPSIAEDLDRRTYLYNLIMPIMNKHIPDLDKGKAMYLLFIKAEKQTPSGLPARPVLTSYYKSPHFRTRTHDPFNDYTSPNEAILCPDRDQSMYCQLLAGLIHRQCVLRLGAVFASALLRSISFLEKNWIDLCRDIRTGHLNKSITDPNLRAAMSKTITSQDPQLANELEAICSLRTWKGIICKLWPRVKYIEAVLTGSMAQYIPALEFYSEGKIPLVCTMYASSECYFGVNLNPLSYPTEVSYTLLPNMAYFEFIPLENGLQPNNEEKIEQDKLVSLVDVKLWCYYELVVTTFAGIGLAIYFWLLAFTIPFHNLSLFVVETSCLVLILTRQTRKNSIKASQPQRSF >DRNTG_02469.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2125486:2129557:1 gene:DRNTG_02469 transcript:DRNTG_02469.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDGKKLEYKGEDALRELEELTIKAKEVQDSILKEIITRNGASEYLNKYMQGSIDLLTFKTRVPVITYEKIQPYIQRIVNGEDSSIISGHQITEMLMSSGTSCGEPKLMPSIAEDLDRRTYLYNLIMPIMNKHIPDLDKGKAMYLLFIKAEKQTPSGLPARPVLTSYYKSPHFRTRTHDPFNDYTSPNEAILCPDRDQSMYCQLLAGLIHRQCVLRLGAVFASALLRSISFLEKNWIDLCRDIRTGHLNKSITDPNLRAAMSKTITSQDPQLANELEAICSLRTWKGIICKLWPRVKYIEAVLTGSMAQYIPALEFYSEGKIPLVCTMYASSECYFGVNLNPLSYPTEVSYTLLPNMAYFEFIPLENGLQPNNEEKIEQDKLVSLVDVKLWCYYELVVTTFAGKLILNNTPFFH >DRNTG_02469.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2125486:2129557:1 gene:DRNTG_02469 transcript:DRNTG_02469.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLFIKAEKQTPSGLPARPVLTSYYKSPHFRTRTHDPFNDYTSPNEAILCPDRDQSMYCQLLAGLIHRQCVLRLGAVFASALLRSISFLEKNWIDLCRDIRTGHLNKSITDPNLRAAMSKTITSQDPQLANELEAICSLRTWKGIICKLWPRVKYIEAVLTGSMAQYIPALEFYSEGKIPLVCTMYASSECYFGVNLNPLSYPTEVSYTLLPNMAYFEFIPLENGLQPNNEEKIEQDKLVSLVDVKLWCYYELVVTTFAGKLILNNTPFFH >DRNTG_25639.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21879917:21881776:-1 gene:DRNTG_25639 transcript:DRNTG_25639.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g66500, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G66500) UniProtKB/Swiss-Prot;Acc:Q9FJY9] MRAFSTLSRRSPITNPFKHPLAQHLLDEMPHRDAHAPRLNALLSAHVRNNNQTSALLLFLHMHRHNIPLDGFTFNPILSACPALPAAGSRLGFQLHSLMFKLGCLSEPIPATSLLNMYSKCGFFEDAVNVFDEMPERDVVSWNALLSCFIHHGHSQLTINAFFSMVQTRIEFTGFTLSTVLTACASSRSLAQGLQLHALTFICGYHNSLVIATSLIDLYSSCGMITQAMQVFTGLNSPKDTAMRNALITGLVQSQKYDDAFALFAQTTPNAVAFTSVLTACSDSLSLKHGKQIHCTALRRDFTSDTILCNVLVRIYAKCGDIHSAHSTFVMTDNKDVISWTSIIDAYGSQGCGLEALNLFTEMENEGSVLPNAVTFISVLSACGHSGLINEGIKYFNVMKNKYGIDPGAEHYACLIDMLGKGGRIEEAWEVYGGVVKEGKLSSGVCVAMLNGCKVCMDLGRGEIVGKHMMELGEEDKAGVYVLLSNFYAGIGRWKGAEEVRKVMEDKGLRKEVGSSVIAICC >DRNTG_25639.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21880160:21881776:-1 gene:DRNTG_25639 transcript:DRNTG_25639.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g66500, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G66500) UniProtKB/Swiss-Prot;Acc:Q9FJY9] MRAFSTLSRRSPITNPFKHPLAQHLLDEMPHRDAHAPRLNALLSAHVRNNNQTSALLLFLHMHRHNIPLDGFTFNPILSACPALPAAGSRLGFQLHSLMFKLGCLSEPIPATSLLNMYSKCGFFEDAVNVFDEMPERDVVSWNALLSCFIHHGHSQLTINAFFSMVQTRIEFTGFTLSTVLTACASSRSLAQGLQLHALTFICGYHNSLVIATSLIDLYSSCGMITQAMQVFTGLNSPKDTAMRNALITGLVQSQKYDDAFALFAQTTPNAVAFTSVLTACSDSLSLKHGKQIHCTALRRDFTSDTILCNVLVRIYAKCGDIHSAHSTFVMTDNKDVISWTSIIDAYGSQGCGLEALNLFTEMENEGSVLPNAVTFISVLSACGHSGLINEGIKYFNVMKNKYGIDPGAEHYACLIDMLGKGGRIEEAWEVYGGVVKEGKLSSGVCVAMLNGCKVCMDLGRGEIVGKHMMELGEEDKAGVYVLLSNFYAGIGRWKGAEEVRKVMEDK >DRNTG_25639.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21880160:21881929:-1 gene:DRNTG_25639 transcript:DRNTG_25639.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g66500, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G66500) UniProtKB/Swiss-Prot;Acc:Q9FJY9] MRAFSTLSRRSPITNPFKHPLAQHLLDEMPHRDAHAPRLNALLSAHVRNNNQTSALLLFLHMHRHNIPLDGFTFNPILSACPALPAAGSRLGFQLHSLMFKLGCLSEPIPATSLLNMYSKCGFFEDAVNVFDEMPERDVVSWNALLSCFIHHGHSQLTINAFFSMVQTRIEFTGFTLSTVLTACASSRSLAQGLQLHALTFICGYHNSLVIATSLIDLYSSCGMITQAMQVFTGLNSPKDTAMRNALITGLVQSQKYDDAFALFAQTTPNAVAFTSVLTACSDSLSLKHGKQIHCTALRRDFTSDTILCNVLVRIYAKCGDIHSAHSTFVMTDNKDVISWTSIIDAYGSQGCGLEALNLFTEMENEGSVLPNAVTFISVLSACGHSGLINEGIKYFNVMKNKYGIDPGAEHYACLIDMLGKGGRIEEAWEVYGGVVKEGKLSSGVCVAMLNGCKVCMDLGRGEIVGKHMMELGEEDKAGVYVLLSNFYAGIGRWKGAEEVRKVMEDK >DRNTG_25639.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21879917:21881929:-1 gene:DRNTG_25639 transcript:DRNTG_25639.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g66500, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G66500) UniProtKB/Swiss-Prot;Acc:Q9FJY9] MRAFSTLSRRSPITNPFKHPLAQHLLDEMPHRDAHAPRLNALLSAHVRNNNQTSALLLFLHMHRHNIPLDGFTFNPILSACPALPAAGSRLGFQLHSLMFKLGCLSEPIPATSLLNMYSKCGFFEDAVNVFDEMPERDVVSWNALLSCFIHHGHSQLTINAFFSMVQTRIEFTGFTLSTVLTACASSRSLAQGLQLHALTFICGYHNSLVIATSLIDLYSSCGMITQAMQVFTGLNSPKDTAMRNALITGLVQSQKYDDAFALFAQTTPNAVAFTSVLTACSDSLSLKHGKQIHCTALRRDFTSDTILCNVLVRIYAKCGDIHSAHSTFVMTDNKDVISWTSIIDAYGSQGCGLEALNLFTEMENEGSVLPNAVTFISVLSACGHSGLINEGIKYFNVMKNKYGIDPGAEHYACLIDMLGKGGRIEEAWEVYGGVVKEGKLSSGVCVAMLNGCKVCMDLGRGEIVGKHMMELGEEDKAGVYVLLSNFYAGIGRWKGAEEVRKVMEDKGLRKEVGSSVIAICC >DRNTG_29170.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5586:8877:1 gene:DRNTG_29170 transcript:DRNTG_29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRALVRPLGEGLAEMMKPLRSLKEEDLLRHRTNGLRKRKKIKRELGECLEKLSHAEETIDYMNKGKAKLDEILSSSKEARNAGKSSQTMEKPDDRKGSSPEASEDLSMSIVPYLAPTPLEEEAPVPIEEERRDPMMHFLESLRKERRRRWKSKEKDLQYQLGS >DRNTG_25472.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1594951:1600784:1 gene:DRNTG_25472 transcript:DRNTG_25472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVKKRPGDRICDICGDAGYTENLVICFQCNTASEHSYCMQNGGFVGSESWFCGKCSASHGEHHDGKLNSKRKNLDISDVTSSQFKKTKVHDLDDLSFNIPQSGEFDNDAMCQPGSKKPFPSEDSSLEKRDVGKQQVATKSLCRSSFFNRKEPSKVKPLSAEEVVQLTSGAVGRTSTTRITSRRSFFSSANQSHVPSFRHVSHRTHQSKSTSSRPEENFHQRMKISFDSEVKHQHSNCMMTRENNGNESNDKEVRIENDRMPGEKVPAVLHGKQIMKQSPSTDVLSPRCNTSTCSRSVSAAPYLYKTSGLRKRQADNTLEPIKEEPLKNGDLIAVCSPAQNTKLADSECLNSRDIVDKRKKATSDG >DRNTG_16332.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12956765:12961676:-1 gene:DRNTG_16332 transcript:DRNTG_16332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSMVLWRVLYLSSKDSLVRHHLWDVSNYGTGVIIGVLDTGILPNHTSFNHKGILPPPAKWKGRCEFIAPSCNRKLIGARNFISGFNAMHGVKLAKVESQPPFDAEGHSTRTASIAPGKFVHGAGVYGNAQGVAVAWLLVLTSQFIRSVGRMVAR >DRNTG_12550.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21058990:21060072:1 gene:DRNTG_12550 transcript:DRNTG_12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLHECKPDPIIHCDLRPKNILLDSGFQLKVAGFGSTNVMHLSSGKAKLAHPMAQMDNRSCYMAPEVYRNEIFDRSVDAFSFGLILYE >DRNTG_23096.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19800892:19802511:1 gene:DRNTG_23096 transcript:DRNTG_23096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRTHTNQPHRQKEIHDATKSISTNIIKTEAQILNDVLPSFPLPLQQ >DRNTG_14306.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000667.1:74545:75486:-1 gene:DRNTG_14306 transcript:DRNTG_14306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNFEASGTHFPWRLGRNLVLTGKVLLNGKKRRLDYSVVAYVTQENVLLGTLTVRETITYSAHLRLPTTMRKKEVQAVVERILDEMGLQECVDRPIGN >DRNTG_24799.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31921142:31923538:-1 gene:DRNTG_24799 transcript:DRNTG_24799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLYAESFSRSRWLTANWGPRRIKQALAQKGVSETVANKATKQVFEEDDPDMSNQDMLHGMSKSSMDRLFVQASKQWLRGQDASPEKRKARIIRWLQYRGFSWGVTGFILKKLQSQFPP >DRNTG_16486.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000819.1:13834:14156:-1 gene:DRNTG_16486 transcript:DRNTG_16486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLAHNPGGKERTAQEFESMAKQAGFSAMKPYFSFAGAWVIELFK >DRNTG_29288.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:8052661:8054634:-1 gene:DRNTG_29288 transcript:DRNTG_29288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRWFSLRCRGCEIPAKPSRAPMRAHGARMPNKRVASKRPRHDDVPTGDLHFTQPQHRGSVYKFEKLKQFGITRTIEWGDIKTIGLADKVLSLISHNGWDKVFAIEEVAYREITLEVLSTIEVLRAHGVTFRDLWVLQRTMSDLRFGL >DRNTG_06191.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25214593:25216082:-1 gene:DRNTG_06191 transcript:DRNTG_06191.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCAKQKLRKGLWSPEEDEKLFNHITRYGIGCWSSVPKQAGKLHVYIYIYIFLF >DRNTG_06191.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25214593:25216082:-1 gene:DRNTG_06191 transcript:DRNTG_06191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCAKQKLRKGLWSPEEDEKLFNHITRYGIGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGAFSQVEEDLIIGLHEILGNRWSQIAAQLPGTD >DRNTG_06730.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000296.1:4627:5098:1 gene:DRNTG_06730 transcript:DRNTG_06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKMVPLTCATSQIQTRRVIVPLSCAANSKHPHNPLSKLESSSEGVVEVSKSHKSVVSTPTSRAGRKGKISSRDNRIPKCSTMRT >DRNTG_01268.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:10103142:10106575:1 gene:DRNTG_01268 transcript:DRNTG_01268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSGSTSMGMSKPMMGGTSEASPLKHYSGLRPSLGSLQVALTKARGSISFSPSVKFRKIRAVATSNVSAPKRETDPKKRIVVTGMGLVSIFGNDIDVFYDKLLEGQSGISLIDRFDASSYSVRFAGQIRDFSSKGYIDGKNDRRLDDCWRYCLVAGRRALDDANLGEGVLQTMDKSKIGVLVGSGMGGLTVFSNGVEALIQKGFKKINPFFIPYSITNMGSALLAIDTGLMGPNYSISTACATANYCFYAAANHIRRGEADIMVVGGTEGAILPVGVGGFIACRALSQRNDEPEKASRPWDKGRDGFVMGEGSGVLVMESLEHARKRGANIIAEYLGGAITCDAHHMTDPRSDGLGVSSCIMKSLDDAGISPEEVNYINAHATSTLAGDLAEVNAIKKVFKDTSEIKMNGTKSLIGHCLGAAGGLEAIATIKAITTGWLHPTINQDDLEPAVDIDTVPNIKKQHEVHVGISNSFGFGGHNSVVVFAPFKP >DRNTG_16854.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21850454:21853975:1 gene:DRNTG_16854 transcript:DRNTG_16854.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTTPITILTNPKTPLPPCTTTYTSPAIIFSTGGFAGNFFHDINDVLIPLFLTAGHLRTNVLLIFDYQPWWAIKYRRLLASLSSEIIITSSSTDVHCFPAAVIGLKYHGNLACNSSDVPGGVTIKDFIFFLRSSLELKPKRMHVGQEPVMVILSRRKSRMLVNEADVVELAKKIGFQVELATTEMMSKVDEFAELVNSCSVLMGVHGAGLTNMVFLQPGSVLLQVVPWGLDWASKAYYGRPAQEMGLKYVEYHIAVEESTLYEEYPKNHPVLTDPWSINLKGYNISRPVYTDGQNVKLDLVKFSEVLSHAKQLVVSSS >DRNTG_16854.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21850454:21853975:1 gene:DRNTG_16854 transcript:DRNTG_16854.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSRRLLLGFLFVSFLYASVLRWKLPILHWPSNLGFRSNIIGGKERAEYFNFLLRKLVRGHAKNELKQNHITYISDQESNLCITSNPIRITTTPSPTIYTTNPNQQNTTQFTLRPYPRKTDALAMQRTTPITILTNPKTPLPPCTTTYTSPAIIFSTGGFAGNFFHDINDVLIPLFLTAGHLRTNVLLIFDYQPWWAIKYRRLLASLSSEIIITSSSTDVHCFPAAVIGLKYHGNLACNSSDVPGGVTIKDFIFFLRSSLELKPKRMHVGQEPVMVILSRRKSRMLVNEADVVELAKKIGFQVELATTEMMSKVDEFAELVNSCSVLMGVHGAGLTNMVFLQPGSVLLQVVPWGLDWASKAYYGRPAQEMGLKYVEYHIAVEESTLYEEYPKNHPVLTDPWSINLKGYNISRPVYTDGQNVKLDLVKFSEVLSHAKQLVVSSS >DRNTG_16854.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21850454:21853975:1 gene:DRNTG_16854 transcript:DRNTG_16854.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTTPITILTNPKTPLPPCTTTYTSPAIIFSTGGFAGNFFHDINDVLIPLFLTAGHLRTNVLLIFDYQPWWAIKYRRLLASLSSEIIITSSSTDVHCFPAAVIGLKYHGNLACNSSDVPGGVTIKDFIFFLRSSLELKPKRMHVGQEPVMVILSRRKSRMLVNEADVVELAKKIGFQVELATTEMMSKVDEFAELVNSCSVLMGVHGAGLTNMVFLQPGSVLLQVVPWGLDWASKAYYGRPAQEMGLKYVEYHIAVEESTLYEEYPKNHPVLTDPWSINLKGYNISRPVYTDGQNVKLDLVKFSEVLSHAKQLVVSSS >DRNTG_16854.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21850454:21853975:1 gene:DRNTG_16854 transcript:DRNTG_16854.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSRRLLLGFLFVSFLYASVLRCNVSPIRLWKLPILHWPSNLGFRSNIIGGKERAEYFNFLLRKLVRGHAKNELKQNHITYISDQESNLCITSNPIRITTTPSPTIYTTNPNQQNTTQFTLRPYPRKTDALAMQRTTPITILTNPKTPLPPCTTTYTSPAIIFSTGGFAGNFFHDINDVLIPLFLTAGHLRTNVLLIFDYQPWWAIKYRRLLASLSSEIIITSSSTDVHCFPAAVIGLKYHGNLACNSSDVPGGVTIKDFIFFLRSSLELKPKRMHVGQEPVMVILSRRKSRMLVNEADVVELAKKIGFQVELATTEMMSKVDEFAELVNSCSVLMGVHGAGLTNMVFLQPGSVLLQVVPWGLDWASKAYYGRPAQEMGLKYVEYHIAVEESTLYEEYPKNHPVLTDPWSINLKGYNISRPVYTDGQNVKLDLVKFSEVLSHAKQLVVSSS >DRNTG_16854.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21850454:21853975:1 gene:DRNTG_16854 transcript:DRNTG_16854.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTTPITILTNPKTPLPPCTTTYTSPAIIFSTGGFAGNFFHDINDVLIPLFLTAGHLRTNVLLIFDYQPWWAIKYRRLLASLSSEIIITSSSTDVHCFPAAVIGLKYHGNLACNSSDVPGGVTIKDFIFFLRSSLELKPKRMHVGQEPVMVILSRRKSRMLVNEADVVELAKKIGFQVELATTEMMSKVDEFAELVNSCSVLMGVHGAGLTNMVFLQPGSVLLQVRNILFPFFFILKLILILLLLYMNIYIYILYIYIYIYIFAGGAMGIRLGF >DRNTG_16854.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21850454:21853975:1 gene:DRNTG_16854 transcript:DRNTG_16854.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTTPITILTNPKTPLPPCTTTYTSPAIIFSTGGFAGNFFHDINDVLIPLFLTAGHLRTNVLLIFDYQPWWAIKYRRLLASLSSEIIITSSSTDVHCFPAAVIGLKYHGNLACNSSDVPGGVTIKDFIFFLRSSLELKPKRMHVGQEPVMVILSRRKSRMLVNEADVVELAKKIGFQVELATTEMMSKVDEFAELVNSCSVLMGVHGAGLTNMVFLQPGSVLLQVVPWGLDWASKAYYGRPAQEMGLKYVEYHIAVEESTLYEEYPKNHPVLTDPWSINLKGYNISRPVYTDGQNVKLDLVKFSEVLSHAKQLVVSSS >DRNTG_16854.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21852487:21853975:1 gene:DRNTG_16854 transcript:DRNTG_16854.8 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTTPITILTNPKTPLPPCTTTYTSPAIIFSTGGFAGNFFHDINDVLIPLFLTAGHLRTNVLLIFDYQPWWAIKYRRLLASLSSEIIITSSSTDVHCFPAAVIGLKYHGNLACNSSDVPGGVTIKDFIFFLRSSLELKPKRMHVGQEPVMVILSRRKSRMLVNEADVVELAKKIGFQVELATTEMMSKVDEFAELVNSCSVLMGVHGAGLTNMVFLQPGSVLLQVVPWGLDWASKAYYGRPAQEMGLKYVEYHIAVEESTLYEEYPKNHPVLTDPWSINLKGYNISRPVYTDGQNVKLDLVKFSEVLSHAKQLVVSSS >DRNTG_16854.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21850454:21853975:1 gene:DRNTG_16854 transcript:DRNTG_16854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTTPITILTNPKTPLPPCTTTYTSPAIIFSTGGFAGNFFHDINDVLIPLFLTAGHLRTNVLLIFDYQPWWAIKYRRLLASLSSEIIITSSSTDVHCFPAAVIGLKYHGNLACNSSDVPGGVTIKDFIFFLRSSLELKPKRMHVGQEPVMVILSRRKSRMLVNEADVVELAKKIGFQVELATTEMMSKVDEFAELVNSCSVLMGVHGAGLTNMVFLQPGSVLLQVVPWGLDWASKAYYGRPAQEMGLKYVEYHIAVEESTLYEEYPKNHPVLTDPWSINLKGYNISRPVYTDGQNVKLDLVKFSEVLSHAKQLVVSSS >DRNTG_32071.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18909226:18910282:1 gene:DRNTG_32071 transcript:DRNTG_32071.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHEGKGRSFWGSSWSRFSPVFRRQDHTFWRREIKWRSSVLARSSSISSLGVCRRRGKPPPSQRPWKHFYL >DRNTG_19750.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19360907:19362481:-1 gene:DRNTG_19750 transcript:DRNTG_19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHATCYLCSSMNDNGCVDNSMVTEFVLHDMALAKNRAMEEGGHYSSDSVQIPTKNTNASSAGIRPLDTNDSSPACDPICSASLPWTPVDVQPQNEIAAIVIQIPFGKKDDSKALQTDEVSAKECPNLSSPANMKVVTPSGSHGLPNTKGGPSSLLDRWRTGGGCDCGGWDMGCPIVIFDNSRGSKTNHTRHESQKLMMLFSQGKKDKVPALTVTAEGKGVYSVQFHAQLSALQAFSICIAMLHGSETSGGCEENRLRLYSNSLKLLLEEEVRNLIEAVAKDEKKKTKKRLEQIHPSFLLDPPFSPIGRV >DRNTG_29299.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:19137780:19138385:-1 gene:DRNTG_29299 transcript:DRNTG_29299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFLLITVLLSILTSTKAIIAVVLDTDGDILKPGAEYYIRPAARDIAGGIILNSPNNSCPLLIGQAKSGADLGYPVIFSPVNPNAETLNVGADTNIQVQVITICIQSNVWRLTGSEDDTALYYVATDGVKGNPGKDTLSNWFKVDEYMGYYKLRFCPGVCNFCKPVCGDLSVVIRDGKRWLVLDKENQPFPFEFVKAWEE >DRNTG_00704.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2732178:2734708:1 gene:DRNTG_00704 transcript:DRNTG_00704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFANICWYLLLVQYLRFIFACSIVQPVVDAFDPRLLVSQPTFHTLNFTNMKEEELYEIDIPLNYTATVGTRVHGLACWFDVLFDGSSVQRWLSTAPGSPTTHWYQLRCVLSQPLYVMAGQEITGRLLLVAHSAQSYTINLTMSVKMWGPGAEQGGILQTSTGKLDLKEPYYRLSQPQPYAWAQDQQQPQQQISSLDLSPQIQDAVDPALMQQSSPNSTAL >DRNTG_19072.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16480213:16481292:-1 gene:DRNTG_19072 transcript:DRNTG_19072.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMTRSMAMSSAMTDRVTALKEFDDTKTGVKGLVDSGITSIPVIFHHPNISLSIPSTTYLSIPTVDLSLSRPIAVDLIRSACRNWGFFQLINHDIPLSTIENTISAVRSFHELPATIRSQHYRRTPGAGVSYFSNLDLFLSEAASWKDTLKITFGPVPPEVDQIPEVCRSELVAWDEQVKEVAKQVMGMMCEGLGVKPARLEDLTCLEGRGLAGQYYPPCPEPDRTFGSAEHTDAVVLTVLIQDKIGGLQVKSLRDESWIDVKPIPGALVVNVGDFLQVRMHA >DRNTG_19072.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16479052:16481292:-1 gene:DRNTG_19072 transcript:DRNTG_19072.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMTRSMAMSSAMTDRVTALKEFDDTKTGVKGLVDSGITSIPVIFHHPNISLSIPSTTYLSIPTVDLSLSRPIAVDLIRSACRNWGFFQLINHDIPLSTIENTISAVRSFHELPATIRSQHYRRTPGAGVSYFSNLDLFLSEAASWKDTLKITFGPVPPEVDQIPEVCRSELVAWDEQVKEVAKQVMGMMCEGLGVKPARLEDLTCLEGRGLAGQYYPPCPEPDRTFGSAEHTDAVVLTVLIQDKIGGLQVKSLRDESWIDVKPIPGALVVNVGDFLQIISNDEFKSVQHRVIANSTNEPRVSVAVFFNPGSKEDMNLYGPLYELISNEKPACYRNFNMSELVNIFLAKAVGCKSITEHFKHK >DRNTG_23894.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2084581:2085290:-1 gene:DRNTG_23894 transcript:DRNTG_23894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHLALTTHRVKFGHLCFLVAAMNLFLSFPMPGKGNLQKESSRRSRGCSLRPS >DRNTG_04363.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30950603:30951563:1 gene:DRNTG_04363 transcript:DRNTG_04363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGLASNTRKIRSMVLEDNVTTRDPNKPSSRNDDCKCTLRVTVIC >DRNTG_06565.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6638741:6640740:1 gene:DRNTG_06565 transcript:DRNTG_06565.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQSFILLTISSLLIFLVLGQVFSLSSGRETTYNNAEQRSMEMKSAPSVRYFSRTISPSQHDENGNVSPSFAMYDRSVPQGPNPLHN >DRNTG_06565.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6638741:6640740:1 gene:DRNTG_06565 transcript:DRNTG_06565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQSFILLTISSLLIFLVLGQVFSLSSGRETTYNNAEQRSMEMKSAPSVRYFSRTISPSQHDENGNVSPSFAMYDRSVPQGPNPLHN >DRNTG_06565.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6638741:6640740:1 gene:DRNTG_06565 transcript:DRNTG_06565.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQSFILLTISSLLIFLVLGQVFSLSSGRETTYNNAEQRSMEMKSAPSVRYFSRTISPSQHDENGNVSPSFAMYDRSVPQGPNPLHN >DRNTG_25486.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1664367:1667635:-1 gene:DRNTG_25486 transcript:DRNTG_25486.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-mannose transporter GONST3 [Source:Projected from Arabidopsis thaliana (AT1G76340) UniProtKB/Swiss-Prot;Acc:Q9S845] MSNNEDTTNKETSSNRSDASTVPKLQGNWSDTLVSLAKQASVYGVAAGYCISASLLSIINKWAIMKFPYPGALTALQYFTSAFGVLLCGSLKILDHDPINLKTMWKFLPAAIMFYLSLFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLKQPWPSLYTWLSLGTIFGGSVLYVITDYQFTVTAYSWAAAYLVSMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPLELLIMGELSKIKHEISDESDWYSFSVVLPVALSCLFGLAISFFGFSCRRAISATGFTVLGIVNKLLTVVINLVIWDKHSTLIGTVGLLICMFGGVLYQQSTIKPKPTVSETKTQDVVDNEEEQHLLEMQPSSESNQSEKLALVSEKPK >DRNTG_25486.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1664384:1667635:-1 gene:DRNTG_25486 transcript:DRNTG_25486.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-mannose transporter GONST3 [Source:Projected from Arabidopsis thaliana (AT1G76340) UniProtKB/Swiss-Prot;Acc:Q9S845] MSNNEDTTNKETSSNRSDASTVPKLQGNWSDTLVSLAKQASVYGVAAGYCISASLLSIINKWAIMKFPYPGALTALQYFTSAFGVLLCGSLKILDHDPINLKTMWKFLPAAIMFYLSLFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLKQPWPSLYTWLSLGTIFGGSVLYVITDYQFTVTAYSWAAAYLVSMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPLELLIMGELSKIKHEISDESDWYSFSVVLPVALSCLFGLAISFFGFSCRRAISATGFTVLGIVNKLLTVVINLVIWDKHSTLIGTVGLLICMFGGVLYQQSTIKPKPTVSETKTQDVVDNEEEQHLLEMQPSSESNQSEKLALVSEKPK >DRNTG_25486.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1664491:1667635:-1 gene:DRNTG_25486 transcript:DRNTG_25486.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-mannose transporter GONST3 [Source:Projected from Arabidopsis thaliana (AT1G76340) UniProtKB/Swiss-Prot;Acc:Q9S845] MSNNEDTTNKETSSNRSDASTVPKLQGNWSDTLVSLAKQASVYGVAAGYCISASLLSIINKWAIMKFPYPGALTALQYFTSAFGVLLCGSLKILDHDPINLKTMWKFLPAAIMFYLSLFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLKQPWPSLYTWLSLGTIFGGSVLYVITDYQFTVTAYSWAAAYLVSMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPLELLIMGELSKIKHEISDESDWYSFSVVLPVALSCLFGLAISFFGFSCRRAISATGFTVLGIVNKLLTVVINLVIWDKHSTLIGTVGLLICMFGGVLYQQSTIKPKPTVSETKTQDVVDNEEEQHLLEMQPSSESNQSEKLALVSEKPK >DRNTG_25486.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1664491:1667635:-1 gene:DRNTG_25486 transcript:DRNTG_25486.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-mannose transporter GONST3 [Source:Projected from Arabidopsis thaliana (AT1G76340) UniProtKB/Swiss-Prot;Acc:Q9S845] MSNNEDTTNKETSSNRSDASTVPKLQGNWSDTLVSLAKQASVYGVAAGYCISASLLSIINKWAIMKFPYPGALTALQYFTSAFGVLLCGSLKILDHDPINLKTMWKFLPAAIMFYLSLFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLKQPWPSLYTWLSLGTIFGGSVLYVITDYQFTVTAYSWAAAYLVSMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPLELLIMGELSKIKHEISDESDWYSFSVVLPVALSCLFGLAISFFGFSCRRAISATGFTVLGIVNKLLTVVINLVIWDKHSTLIGTVGLLICMFGGVLYQQSTIKPKPTVSETKTQDVVDNEEEQHLLEMQPSSESNQSEKLALVSEKPK >DRNTG_25486.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1664367:1667635:-1 gene:DRNTG_25486 transcript:DRNTG_25486.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-mannose transporter GONST3 [Source:Projected from Arabidopsis thaliana (AT1G76340) UniProtKB/Swiss-Prot;Acc:Q9S845] MSNNEDTTNKETSSNRSDASTVPKLQGNWSDTLVSLAKQASVYGVAAGYCISASLLSIINKWAIMKFPYPGALTALQYFTSAFGVLLCGSLKILDHDPINLKTMWKFLPAAIMFYLSLFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLKQPWPSLYTWLSLGTIFGGSVLYVITDYQFTVTAYSWAAAYLVSMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPLELLIMGELSKIKHEISDESDWYSFSVVLPVALSCLFGLAISFFGFSCRRAISATGFTVLGIVNKLLTVVINLVIWDKHSTLIGTVGLLICMFGGVLYQQSTIKPKPTVSETKTQDVVDNEEEQHLLEMQPSSESNQSEKLALVSEKPK >DRNTG_12821.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000575.1:22627:27304:-1 gene:DRNTG_12821 transcript:DRNTG_12821.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPWMPRIFSQSSPPTLASLLVKSCIVDHRWEEWGGGLRGPRENCPPPKTPPLALENQPPFISRQVHTSSMREYGAREAHWHFAKKCVGATVLSYSDFDIVLCHSVSATVEGRFATSITDMLAEILLYMHKPVSAPLLMLVLDQRVPVEMVIVMLEGPEVEALTRKLDQFVNVHQHHNPYRINYHPNQRSYPNLLWDIDEQQWEAPQEEFQMDEKHEDDVLLLERVLPRFIEATDARFQNIEATLSCHEVSIKNIEHQLGEILDMLAKEKEEFEQARQVSPGHDEAMSNIEEVGQIEYIDVENKKKEVEYHFEILDCVNEDCACARGNFQGDLLVSCSSQVENTQEEANPKLMEQASFFRIDQLLQCKREVLGREGDVGRRLKPSNDPPVLSLDNSQPKLFPWRPKVRWLDSPTNTSSRQVDSW >DRNTG_29524.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2166874:2174761:1 gene:DRNTG_29524 transcript:DRNTG_29524.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSKKKHSLCSDGFDRCLSKLPDNLLLRILSLLQMKDRVRTGCLSTRWKNLWKPISNIDFTQTNMHKTPELIAAIDKTLSYHDVLQVREFHVNAESDICSMNKVGSWIDFVVGHNIEVLYLDLTPHNLEDKYLRLPLIPARVFDSKSLTRLTLKFCRLKLPPKFCLASLKTLHFERVAFDNEELASLFSNCPVLKHLTLKNCNRKTALKIDAKNSQLENLDIDEDSGDEADGVPEFEIFAPNLLTLNFFGKPKRSKYIAMNLKSLVSVNFNFLYPSKFTAFWKTQLIIYGKLLEDFWMFIDDFLHAKVLKLSCWCTMSLSFKEILGISGSPSVSVTDLTFETEFWKCNLSGLVYVLRDFSKLEVLTINVMRNHDNKSGDFYKEEKSGINAYWKPDDSSVLGLQQHLKTVKINGFLGSLDTSAEFETTTESILNARDTEFVFVKFLLRNLTELETMIITITEELDNFPALKKLDILSQLSSRLLAFSKSSRKAQILINPDQLTDA >DRNTG_29524.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2166874:2174761:1 gene:DRNTG_29524 transcript:DRNTG_29524.10 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSKASCISMDRLSKKKHSLCSDGFDRCLSKLPDNLLLRILSLLQMKDRVRTGCLSTRWKNLWKPISNIDFTQTNMHKTPELIAAIDKTLSYHDVLQVREFHVNAESDICSMNKVGSWIDFVVGHNIEVLYLDLTPHNLEDKYLRLPLIPARVFDSKSLTRLTLKFCRLKLPPKFCLASLKTLHFERVAFDNEELASLFSNCPVLKHLTLKNCNRKTALKIDAKNSQLENLDIDEDSGDEADGVPEFEIFAPNLLTLNFFGKPKRSKYIAMNLKSLVSVNFNFLYPSKFTAFWKTQLIIYGKLLEDFWMFIDDFLHAKVLKLSCWCTMSLSFKEILGISGSPSVSVTDLTFETEFWKCNLSGLVYVLRDFSKLEVLTINVMRNHDNKSGDFYKEEKSGINAYWKPDDSSVLGLQQHLKTVKINGFLGSLDTSAEFETTTESILNARDTEFVFVKFLLRNLTELETMIITITEELDNFPALKKLDILSQLSSRLLAFSKSSRKAQILINPDQLTDA >DRNTG_29524.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2166874:2174761:1 gene:DRNTG_29524 transcript:DRNTG_29524.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSKASCISMDRLSKKKHSLCSDGFDRCLSKLPDNLLLRILSLLQMKDRVRTGCLSTRWKNLWKPISNIDFTQTNMHKTPELIAAIDKTLSYHDVLQVREFHVNAESDICSMNKVGSWIDFVVGHNIEVLYLDLTPHNLEDKYLRLPLIPARVFDSKSLTRLTLKFCRLKLPPKFCLASLKTLHFERVAFDNEELASLFSNCPVLKHLTLKNCNRKTALKIDAKNSQLENLDIDEDSGDEADGVPEFEIFAPNLLTLNFFGKPKRSKYIAMNLKSLVSVNFNFLYPSKFTAFWKTQLIIYGKLLEDFWMFIDDFLHAKVLKLSCWCTMSLSFKEILGISGSPSVSVTDLTFETEFWKCNLSGLVYVLRDFSKLEVLTINVMRNHDNKSGDFYKEEKSGINAYWKPDDSSVLGLQQHLKTVKINGFLGSLDTSAEFETTTESILNARDTEFVFVKFLLRNLTELETMIITITEELDNFPALKKLDILSQLSSRLLAFSKSSRKAQILINPDQLTDA >DRNTG_29524.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2166874:2174761:1 gene:DRNTG_29524 transcript:DRNTG_29524.11 gene_biotype:protein_coding transcript_biotype:protein_coding MMFYRLKLPPKFCLASLKTLHFERVAFDNEELASLFSNCPVLKHLTLKNCNRKTALKIDAKNSQLENLDIDEDSGDEADGVPEFEIFAPNLLTLNFFGKPKRSKYIAMNLKSLVSVNFNFLYPSKFTAFWKTQLIIYGKLLEDFWMFIDDFLHAKVLKLSCWCTMSLSFKEILGISGSPSVSVTDLTFETEFWKCNLSGLVYVLRDFSKLEVLTINVMRNHDNKSGDFYKEEKSGINAYWKPDDSSVLGLQQHLKTVKINGFLGSLDTSAEFETTTESILNARDTEFVFVKFLLRNLTELETMIITITEELDNFPALKKLDILSQLSSRLLAFSKSSRKAQILINPDQLTDA >DRNTG_29524.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2166874:2174761:1 gene:DRNTG_29524 transcript:DRNTG_29524.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMFYRLKLPPKFCLASLKTLHFERVAFDNEELASLFSNCPVLKHLTLKNCNRKTALKIDAKNSQLENLDIDEDSGDEADGVPEFEIFAPNLLTLNFFGKPKRSKYIAMNLKSLVSVNFNFLYPSKFTAFWKTQLIIYGKLLEDFWMFIDDFLHAKVLKLSCWCTMSLSFKEILGISGSPSVSVTDLTFETEFWKCNLSGLVYVLRDFSKLEVLTINVMRNHDNKSGDFYKEEKSGINAYWKPDDSSVLGLQQHLKTVKINGFLGSLDTSAEFETTTESILNARDTEFVFVKFLLRNLTELETMIITITEELDNFPALKKLDILSQLSSRLLAFSKSSRKAQILINPDQLTDA >DRNTG_29524.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2166874:2174761:1 gene:DRNTG_29524 transcript:DRNTG_29524.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSKKKHSLCSDGFDRCLSKLPDNLLLRILSLLQMKDRVRTGCLSTRWKNLWKPISNIDFTQTNMHKTPELIAAIDKTLSYHDVLQVREFHVNAESDICSMNKVGSWIDFVVGHNIEVLYLDLTPHNLEDKYLRLPLIPARVFDSKSLTRLTLKFCRLKLPPKFCLASLKTLHFERVAFDNEELASLFSNCPVLKHLTLKNCNRKTALKIDAKNSQLENLDIDEDSGDEADGVPEFEIFAPNLLTLNFFGKPKRSKYIAMNLKSLVSVNFNFLYPSKFTAFWKTQLIIYGKLLEDFWMFIDDFLHAKVLKLSCWCTMSLSFKEILGISGSPSVSVTDLTFETEFWKCNLSGLVYVLRDFSKLEVLTINVMRNHDNKSGDFYKEEKSGINAYWKPDDSSVLGLQQHLKTVKINGFLGSLDTSAEFETTTESILNARDTEFVFVKFLLRNLTELETMIITITEELDNFPALKKLDILSQLSSRLLAFSKSSRKAQILINPDQLTDA >DRNTG_29524.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2166874:2174761:1 gene:DRNTG_29524 transcript:DRNTG_29524.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSKASCISMDRLSKKKHSLCSDGFDRCLSKLPDNLLLRILSLLQMKDRVRTGCLSTRWKNLWKPISNIDFTQTNMHKTPELIAAIDKTLSYHDVLQVREFHVNAESDICSMNKVGSWIDFVVGHNIEVLYLDLTPHNLEDKYLRLPLIPARVFDSKSLTRLTLKFCRLKLPPKFCLASLKTLHFERVAFDNEELASLFSNCPVLKHLTLKNCNRKTALKIDAKNSQLENLDIDEDSGDEADGVPEFEIFAPNLLTLNFFGKPKRSKYIAMNLKSLVSVNFNFLYPSKFTAFWKTQLIIYGKLLEDFWMFIDDFLHAKVLKLSCWCTMSLSFKEILGISGSPSVSVTDLTFETEFWKCNLSGLVYVLRDFSKLEVLTINVMRNHDNKSGDFYKEEKSGINAYWKPDDSSVLGLQQHLKTVKINGFLGSLDTSAEFETTTESILNARDTEFVFVKFLLRNLTELETMIITITEELDNFPALKKLDILSQLSSRLLAFSKSSRKAQILINPDQLTDA >DRNTG_29524.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2166874:2174761:1 gene:DRNTG_29524 transcript:DRNTG_29524.9 gene_biotype:protein_coding transcript_biotype:protein_coding MMFYRLKLPPKFCLASLKTLHFERVAFDNEELASLFSNCPVLKHLTLKNCNRKTALKIDAKNSQLENLDIDEDSGDEADGVPEFEIFAPNLLTLNFFGKPKRSKYIAMNLKSLVSVNFNFLYPSKFTAFWKTQLIIYGKLLEDFWMFIDDFLHAKVLKLSCWCTMSLSFKEILGISGSPSVSVTDLTFETEFWKCNLSGLVYVLRDFSKLEVLTINVMRNHDNKSGDFYKEEKSGINAYWKPDDSSVLGLQQHLKTVKINGFLGSLDTSAEFETTTESILNARDTEFVFVKFLLRNLTELETMIITITEELDNFPALKKLDILSQLSSRLLAFSKSSRKAQILINPDQLTDA >DRNTG_29524.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2166874:2174761:1 gene:DRNTG_29524 transcript:DRNTG_29524.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSKKKHSLCSDGFDRCLSKLPDNLLLRILSLLQMKDRVRTGCLSTRWKNLWKPISNIDFTQTNMHKTPELIAAIDKTLSYHDVLQVREFHVNAESDICSMNKVGSWIDFVVGHNIEVLYLDLTPHNLEDKYLRLPLIPARVFDSKSLTRLTLKFCRLKLPPKFCLASLKTLHFERVAFDNEELASLFSNCPVLKHLTLKNCNRKTALKIDAKNSQLENLDIDEDSGDEADGVPEFEIFAPNLLTLNFFGKPKRSKYIAMNLKSLVSVNFNFLYPSKFTAFWKTQLIIYGKLLEDFWMFIDDFLHAKVLKLSCWCTMSLSFKEILGISGSPSVSVTDLTFETEFWKCNLSGLVYVLRDFSKLEVLTINVMRNHDNKSGDFYKEEKSGINAYWKPDDSSVLGLQQHLKTVKINGFLGSLDTSAEFETTTESILNARDTEFVFVKFLLRNLTELETMIITITEELDNFPALKKLDILSQLSSRLLAFSKSSRKAQILINPDQLTDA >DRNTG_29524.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2166874:2174761:1 gene:DRNTG_29524 transcript:DRNTG_29524.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFYRLKLPPKFCLASLKTLHFERVAFDNEELASLFSNCPVLKHLTLKNCNRKTALKIDAKNSQLENLDIDEDSGDEADGVPEFEIFAPNLLTLNFFGKPKRSKYIAMNLKSLVSVNFNFLYPSKFTAFWKTQLIIYGKLLEDFWMFIDDFLHAKVLKLSCWCTMSLSFKEILGISGSPSVSVTDLTFETEFWKCNLSGLVYVLRDFSKLEVLTINVMRNHDNKSGDFYKEEKSGINAYWKPDDSSVLGLQQHLKTVKINGFLGSLDTSAEFETTTESILNARDTEFVFVKFLLRNLTELETMIITITEELDNFPALKKLDILSQLSSRLLAFSKSSRKAQILINPDQLTDA >DRNTG_29524.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2166874:2174761:1 gene:DRNTG_29524 transcript:DRNTG_29524.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMFYRLKLPPKFCLASLKTLHFERVAFDNEELASLFSNCPVLKHLTLKNCNRKTALKIDAKNSQLENLDIDEDSGDEADGVPEFEIFAPNLLTLNFFGKPKRSKYIAMNLKSLVSVNFNFLYPSKFTAFWKTQLIIYGKLLEDFWMFIDDFLHAKVLKLSCWCTMSLSFKEILGISGSPSVSVTDLTFETEFWKCNLSGLVYVLRDFSKLEVLTINVMRNHDNKSGDFYKEEKSGINAYWKPDDSSVLGLQQHLKTVKINGFLGSLDTSAEFETTTESILNARDTEFVFVKFLLRNLTELETMIITITEELDNFPALKKLDILSQLSSRLLAFSKSSRKAQILINPDQLTDA >DRNTG_30675.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14493487:14496813:-1 gene:DRNTG_30675 transcript:DRNTG_30675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALLGSSTSGIPCSILLGLWLKREQHNVWRCSREMSLHVGDGSSQELSEVREVILVVGHAHVHRSSVRIVSLRKRTKGTGDWGVFFYFHSLVGAVIWILGSLWTFRCCACFAGLANLAVDLIIIPIKVIRWSISPRKLINILSGVTRTGEDRKIPYRDSPLTHLLKDTLGGNAKVTYLCSISPDNRDKAGTLSTVRFR >DRNTG_20563.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3570276:3571968:-1 gene:DRNTG_20563 transcript:DRNTG_20563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMHKPLLLLWLISMMIGAIIITTTTTVAAMLNPIDFLALQEIHSSLEDTPGSNFLLAWDFSSDPCSFPGVLCSPTTNRVVSLSLGDPRAGAPGLTGKLHPSLSLLSELTDLSLVPGRVYGPIPPSLSLLRRLRFLALSENFLSGPIPQSLSSLPSLQTLDLSFNLLSGSIPPPPPSLSSLILSHNRLSGTIPSAIGGNPRLLRLDLKHNHLTGEIPPLPQSLRYLALSSNNLSGEVRNVLPRLRMINYLDLSSNQLSGEIPGEIFGFDSLSVLLLQRNRFSGEVRPEGRVGIGRVDLSYNRLTGVVPEELATVESLYLNSNRFTGAVPMRFVERLMGGSSGLQVLYLQHNFLTGIEISPAAKIPGSAAVCLRYNCMVPPAVESCPGNAGEPRSRSPEQCPEWR >DRNTG_18821.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000974.1:65652:67443:-1 gene:DRNTG_18821 transcript:DRNTG_18821.1 gene_biotype:protein_coding transcript_biotype:protein_coding CVDFDGSFPKRAVKSLFRALEGGKKNPRLSKLPKLVLVATKVDLLPSQISPARLDRWVRNRAKAAGAPKLNGVYLVSARKDLGVRNLLAFIKESAGPRGNVWVIGAQNAGKSTLINTFAKKEGMKITRLTEAAVPGTTLGILRIGGILPAKAKMYDTPGLLQPNLMTMRLNREEQKMVEIRKELKPRSYRMKAGQTVHVGGLMRLDVLETSVSTIFVTIWASPNISLHMGKIENADELQTNHVGIRLQPPIGQDRVPELGEWKPREIKVSGVSWDVNSIDIAASGLGWFSLGLKGEATVMLWTFDGVEITEREALVLDRAQFLERPGFLLPKAISDAIGNQSRSKAEKKKRKEEMIDTLVETSI >DRNTG_22645.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20895446:20908979:-1 gene:DRNTG_22645 transcript:DRNTG_22645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIPTHLVFYYSTNPCTYGTYVRSIVNLLHLVPAHQLPNSSSCVSKSASEPPNLLAVHPLMLATSSKSSLPRIPSSLYSLKTQVYWLHAWTAWESCAALTRSKASCINEIAKTRKLGVESFLDFAFDNSSEDNRIVCPCVNHGAIGEFLRDAFNIRHDVHGGIEPNIENAVNDEGKGQDGAGEEEPPTKTAKFYKLLEDMNEKRYDGSKHSKVTTRDRCANFKGAKILMAHNLVATAANVGESVLGHYLFNRDEILVFEEFVSIAMTRGLSGGMPSAQMHDAQPRTSTHSSNTDSKHNGDDENQDHGNILENTSGSVNVNTEDKVLVSANRLGQPIGLEAHLFSSFLGMIARSSQKIGLQYESWHKVPKTLKDELLNFIKEKANEKLAEYESIDGDEGMVETKILTQVVGKERHAQNQCIGSQTPKANTAGSSVHVQESDFFRTLMPS >DRNTG_17306.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31857603:31862043:1 gene:DRNTG_17306 transcript:DRNTG_17306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFFSSDEFMVMIVPLIVYWVYSGLYEMLGSLDNYRLHSRRDEDTKNLVSKLDVFKGVLFQQSLQAATTFLIFKLTHDPNQSKTTSTSTTSSFPTLARQIFVAMFVLDAWQYMVHRCMHSNKFLYRNFHSWHHRVVAPYAFAAQYNHPLDGFLTEALSGAMAFFISGMSARTSIFFFSFTTIKGIDDHCGLVLPWNPFQLLFSNNTAYHDVHHQLSGSKCNFSQPFFVVWDKVFGTYAPYSIKKREGGGYEARALKSCDN >DRNTG_17306.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31857603:31858659:1 gene:DRNTG_17306 transcript:DRNTG_17306.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFFSSDEFMVMIVPLIVYWVYSGLYEMLGSLDNYRLHSRRDEDTKNLVSKLDVFKGVLFQQSLQAATTFLIFKLTHDPNQSKTTSTSTTSSFPTLARQIFVAMFVLDAWQYMVHRCMHSNKFLYRNFHSWHHRVVAPYAFAAQYNHPLDGFLTEALSGAMAFFISGMSARTSIFFFSFTTIKGIDDHCGLVLPWNPFQLLFSNNTAYHDVHHQLSGSKCNFSQPFFVVWDKVFGTYAPYSIKKREGGGYEARALKSCDN >DRNTG_17306.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31860944:31862043:1 gene:DRNTG_17306 transcript:DRNTG_17306.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFFSSDEFMVMIVPLIVYWVYSGLYEMLGSLDNYRLHSRRDEDTKNLVSKLDVFKGVLFQQSLQAATTFLIFKLTHDPNQSKTTSTSTTSSFPTLARQIFVAMFVLDAWQYMVHRCMHSNKFLYRNFHSWHHRVVAPYAFAAQYNHPLDGFLTEALSGAMAFFISGMSARTSIFFFSFTTIKGIDDHCGLVLPWNPFQLLFSNNTAYHDVHHQLSGSKCNFSQPFFVVWDKVFGTYAPYSIKKREGGGYEARALKSCDN >DRNTG_28538.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6313762:6322723:-1 gene:DRNTG_28538 transcript:DRNTG_28538.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMPSSASPPLPIPPYPIFIDTNLDTHLALGIFWDDTVADLKRKVRSEHVLCFPDIGEITVRAIKVRREATVYNLSDLMLVRSAFNGINGAWFLIVDATPASVIQHQAGSADCIVTVNNGLPRNHDQFQTEPNSSSLPNHLILADKDNVPEKLALTNDKDVESDHMELGVKDKHGECEMPLQELEQRPGHNVPVSAEPVNETNVVVVPNAPRTIGLLVDQPENDAREEECGNSGVSVDGELTSSIVVKNVNTKKRKSLIQNDQVDNIPVEKKSLEGKDESSGKPFDDSPPTKNLEASHYSNKPSVDTPKASLADKHKEIPASLEASANEALYCNLPSTENPDNTEKKKKKRSSKLHSEAESAVPSNAADTKSPSKMGKESTQTGLADEAPHGSHPLTENTDNREKKKKRRSSKLNNEVDPAVPSNTVDTNSPSKMGKTSAETSLADELLDLNDAAAALQNQASEVIPVDTNHSVAVIKETPAYPSVSGHTNLNDKSNATAAHIEFNGYSDHAEAKSELLTKLSHTKKKTKSKKAPNKIDASPEEAAGNNYNDKNSSMHTKLESADCFQQDLSKSKLVDYPLSEPPTEDKPADPSDSTYANLNEKSNAATAHKERDGHNHHMEDITEPATKSSHKKKKTKTKKEQNKIDAPREVGEEKTLEEAVRKIDNDQRKESHAVILDEEIADDGNCIKQGSEDLSHTKGRRSNQRKKTELPDQQPKQFQTPITPDAQALEEHSLRDQKNGQVEAFKRVIPNETAAPRSDSAPVVLKEKKPRGSKKKSSKVDLSNHEATVDEYVPSLGKDTAEVNDEGMLEATQNSLGKDSKMATVNGEAVSLETPAEVHGRRSRLQKKKSEKTTEETTTGSLLDAHDDFGKEAACDISHNVDHGRIQDGSLKNAVEEALNKVPSEVDGEPLENDVVDFIKHFVPKVSQNESAEPQELAPMETQTRKPRKEQTSKKRKLSSHPRDSSNKADNLMSNEHHLHQSKPEETNFALHDLADLAEQLSEKGRKSNKADNEKPKEDVGKTEVSHGNNSPKIGSPSKLHNKKIAKKLKEPRSGMVHDLLTRDERNVHEEYPTGKLPVSGSNAKSLPYSESNDVTKLKTASTGIQSAPVNQARSGVDSDISLKEGVADRVASDDSTEEDTSYQKKRYKVAVRKIPSKRYVKLMGNSRQKNGVQATSSTIFDDTVSGSSDDDAFEFRTRKSTLEDNSFTSADSDGDYMNTSGVVSAADDKNSKESDADGFNLSQSSNTARKSMPLSSILRSSSSYRKVKRTGSQSQAEDSESQPVDMVLETQPDE >DRNTG_15793.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21610133:21613122:1 gene:DRNTG_15793 transcript:DRNTG_15793.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLCSLHTLGLFTNKIEGETTALAEILSGCVSESIQVLNLRGNKFRGDLSDWIGTLRRITMIDLSKNLLYGSIPAALGKLSTLTYLYLNHNEFNGSLPESIRELSQLQVFDMSFNQIEGVVSEAHFANLTSLKQLSMASNSLTLNMSSEWIPPFKLEVFSFRSCMLGPKFPAWLRTQRNYSLMDLSSTGISDTMPDWFWTLTGHIVILDLSDNLITGIVPSSLKFIIINVINLSSNRFYGALPPFSSNIEYIDFSNNLFSGTLLPITSEYLPLLGELHLSNNLINGTILASICNFAGMQVIDLSSNRLFGQVPACFPDLVALMVINLENNNLSGEIPDNLDSFSLLQALHLGDNSISGRIPTSLRACKSLLIIDLGGNKLSGNIPSWIGEALPSLRILRLRANMFEGHIPEKLSYLTALQILDLGDNNLSGTIPESFGNFSAMVEIHKERKNILDSVLGAAFSSIGNYVPLGYTESLQVITKGMEMEYTKNLQFVTSMDLSDNQISGHIPAGLGKLSGLQSLNLSGNHLSGNIPVDIGNLRFLESLDLSRNELSGAIPTSMSAMTSLSHLNLSYNNLSGKIPSGYQLQTINEQSMYIGNDNLCGPPLKVECDSAKNQTEEGSMMSCGDGEEDCESEKLWWYIGSVLGYIVGFWAVCGTLLYSESLRNAYFHFLEKLGVTIGMKRRNKNDDSIEEHDQ >DRNTG_07006.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:9185277:9186876:-1 gene:DRNTG_07006 transcript:DRNTG_07006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTASPCFYDTKDPQAELLDPTLNGTLNVLGSCAKISSLKRVVVTSSIAVVIFNSRCTDDVIVDETWFSSADFCKLAKVWLELFGWQAK >DRNTG_08217.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1120260:1121950:1 gene:DRNTG_08217 transcript:DRNTG_08217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPALKYLYLSDLSNLVSINNFALDFPRLSLLSVCGCPNLRVE >DRNTG_23388.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001263.1:8131:9965:1 gene:DRNTG_23388 transcript:DRNTG_23388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHRPNSQGQAHAPVPSRDRESSSAGFHMGVQKLPMPTMAPRSKKQADKYPRESSPESKSMRFTIPVHQAYFKHLLRLWFGQTRFLDTSILRDLQQGNEFINEVEDLVSVDGWR >DRNTG_12946.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14906068:14908929:1 gene:DRNTG_12946 transcript:DRNTG_12946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPNTILDCISKLARDFLWGFGSNNSGNHSVGWALSTQRKSEGGLEIRNLRLIKHALMAKNIFAILNSEMEASEESRKHGVQQEVIKGCCCGYTALGIENRLLLLLRRAEVRDEVLDLQDILECFGFDIICKLALNEDPACLSIEKEEEEGKKKIMSKKAKKAFGDAQRLTLVEEKILKEVEEVRAKQGYKKLREMQYLPVALTESIRLYPPMAMNTGQGHVWGRSEVAYIQMKVVAARVLEEFKVEALVEKGRVLEHEFTLTLWMTDGLPVQVRKRE >DRNTG_32028.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8350370:8350741:1 gene:DRNTG_32028 transcript:DRNTG_32028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQHVDASSSGKQKELMNEWGGLKEGAMCRRRKRVRRISSKPGGYIILAEKQNIKVGHEKEKGRLELDG >DRNTG_23627.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30208720:30211069:-1 gene:DRNTG_23627 transcript:DRNTG_23627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILRRLPRVDSSNRPHHLTPLFHASEPGVFDDDEPLDSHTEATNDSQHGCIKTVEIKTYPEFSAVPQLASEENFFALIHLKAPLASTREISGQNIQSNLPASQTTRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVIQNLGPADRLSVIAFSSTARRLFHLRLMSESGRQQALQAVNSLVSSGGTNIAEGLRKGAKVIEDRKEKNPVCSIILLSDGQDTYTISAATGSRHAQPDYRSLVPSSILGGSGHQIPVHAFGFGVDHDSASMHSISETSGGTFSFIEAEGVIQDAFAQCIGGLLSVVVQEMRPGVRINCIKSGSYPNQVVDNGHVGSINVGDLYADEERDFLVSVAVPPSSEETVLLKVNCVYRDPVSQEMVHLQSEEVRIQRPGSLMAQAMSIEVDRQRNRLRAAEAMADARISAERGSLSEAVSILEGCRKMLAESLAGRSGDQLCSALDVELKEMQDRMASRQRYEASGRAYVLSGLSSHSWQRATTRGDSTDSNSLVHAYQTPSMVDMLQRSQTFSPSVRRPNPPIRPSRSFPARPQPR >DRNTG_32547.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20087605:20090667:1 gene:DRNTG_32547 transcript:DRNTG_32547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFSSFASATQRKIKLQYDQLVKSNQDKSLTMAQVGEFVNCLVEARNELQHKSDIIQRSFKIKKALVCKADRSSFDRLCQQLYKLEAEHRRLEEDAAVYNLLQEQLKLSPAYKTMLEIGTNMELKDKLDQPTEFADISFEELLAQEKKDSFWLKNGKLRTSTS >DRNTG_15095.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1800095:1803541:-1 gene:DRNTG_15095 transcript:DRNTG_15095.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRDKDCILVSFVRSSRNSRACASSLLGDWHRINVALTRAKKKLIMVGSSETLSKIPLLKLLIEKVNEQGGIIHIPSKDFQHVEWLKKCSLKSV >DRNTG_15095.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1804151:1806548:-1 gene:DRNTG_15095 transcript:DRNTG_15095.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVRIVGVTCLGINHPLLANKTFDICIMDEAGQITLPVSLGPLMLASTFVLVGDHYQLPPLVQSTEARENGMGVSLFCRLSEAHPQAISELQCQYRMSAGIMELSNALIYGERLRCGSAEIANSRLQLSGDKPTTLWLKEVLDPSRSVVFINTDLLPAPEVKDYKPGSNPMEAYVVSEV >DRNTG_15095.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1807213:1807455:-1 gene:DRNTG_15095 transcript:DRNTG_15095.13 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTGKTSTMVHAVKALLLRGGSILLTSYTNTAIDNLLLKLKAEVWNIMPSVYPLYNSKSYLIIVLVE >DRNTG_15095.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1803778:1805589:-1 gene:DRNTG_15095 transcript:DRNTG_15095.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSLFCRLSEAHPQAISELQCQYRMSAGIMELSNALIYGERLRCGSAEIANSRLQLSGDKPTTLWLKEVLDPSRSVVFINTDLLPAPEVKDYKPGSNPMEAYVVSEVTRELVKRDICLNEIGIITPYNSQANLIRSVIDASGLVEVHTIDKYQVRLK >DRNTG_15095.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1800095:1804013:-1 gene:DRNTG_15095 transcript:DRNTG_15095.4 gene_biotype:protein_coding transcript_biotype:protein_coding VTRELVKRDICLNEIGIITPYNSQANLIRSVIDASGLVEVHTIDKYQGRDKDCILVSFVRSSRNSRACASSLLGDWHRINVALTRAKKKLIMVGSSETLSKIPLLKLLIEKVNEQGGIIHIPSKDFQHVEWLKKCSLKSV >DRNTG_15095.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1800095:1803069:-1 gene:DRNTG_15095 transcript:DRNTG_15095.6 gene_biotype:protein_coding transcript_biotype:protein_coding KKLIMVGSSETLSKIPLLKLLIEKVNEQGGIIHIPSKDFQHVEWLKKCSLKSV >DRNTG_15095.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1805252:1806548:-1 gene:DRNTG_15095 transcript:DRNTG_15095.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVRIVGVTCLGINHPLLANKTFDICIMDEAGQITLPVSLGPLMLASTFVLVGDHYQLPPLVQSTEARENGMGVSLFCRLSEAHPQAISELQCQYRMSAGIMELSNALIYGERLRCGSAEIANSRLQLSGDKPTTLWLKE >DRNTG_15095.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1800095:1804355:-1 gene:DRNTG_15095 transcript:DRNTG_15095.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYVVSEVTRELVKRDICLNEIGIITPYNSQANLIRSVIDASGLVEVHTIDKYQGRDKDCILVSFVRSSRNSRACASSLLGDWHRINVALTRAKKKLIMVGSSETLSKIPLLKLLIEKVNEQGGIIHIPSKDFQHVEWLKKCSLKSV >DRNTG_15095.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1805252:1806324:-1 gene:DRNTG_15095 transcript:DRNTG_15095.11 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVRIVGVTCLGINHPLLANKTFDICIMDEAGQITLPVSLGPLMLASTFVLVGDHYQLPPLVQSTEARENGMGVSLFCRLSEAHPQAISELQCQYRMSAGIMELSNALIYGERLRCGSAEIANSRLQLSGDKPTTLWLKE >DRNTG_15095.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1800095:1808402:-1 gene:DRNTG_15095 transcript:DRNTG_15095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCIDKDEVSSSYAIMRFNIVQLFDKSFRSSHLRKMVVDLEVPRFDSGGIISQDPALSYLRSENNLNKDQRGAIQKILSAKDYALVLGMPGTGKTSTMVHAVKALLLRGGSILLTSYTNTAIDNLLLKLKAEDIDFVRIGRHEAIHDDIRGHCFSASETCTVEDIRERMEQVRIVGVTCLGINHPLLANKTFDICIMDEAGQITLPVSLGPLMLASTFVLVGDHYQLPPLVQSTEARENGMGVSLFCRLSEAHPQAISELQCQYRMSAGIMELSNALIYGERLRCGSAEIANSRLQLSGDKPTTLWLKEVLDPSRSVVFINTDLLPAPEVKDYKPGSNPMEAYVVSEVTRELVKRDICLNEIGIITPYNSQANLIRSVIDASGLVEVHTIDKYQGRDKDCILVSFVRSSRNSRACASSLLGDWHRINVALTRAKKKLIMVGSSETLSKIPLLKLLIEKVNEQGGIIHIPSKDFQHVEWLKKCSLKSV >DRNTG_15095.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1800095:1804229:-1 gene:DRNTG_15095 transcript:DRNTG_15095.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYVVSEVTRELVKRDICLNEIGIITPYNSQANLIRSVIDASGLVEVHTIDKYQGRDKDCILVSFVRSSRNSRACASSLLGDWHRINVALTRAKKKLIMVGSSETLSKIPLLKLLIEKVNEQGGIIHIPSKDFQHVEWLKKCSLKSV >DRNTG_15095.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1802436:1803667:-1 gene:DRNTG_15095 transcript:DRNTG_15095.10 gene_biotype:protein_coding transcript_biotype:protein_coding YGRDKDCILVSFVRSSRNSRACASSLLGDWHRINVALTRAKKKLIMVGSSETLSKIPLLKLLIEKVNEQGGIIHIPSKDFQHVEWLKKCSLKSV >DRNTG_16481.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28497663:28502750:-1 gene:DRNTG_16481 transcript:DRNTG_16481.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxine/pyridoxamine 5'-phosphate oxidase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G49970) UniProtKB/Swiss-Prot;Acc:Q9LTX3] MLSRFHPLLPRLLVPILVSCPVPLASQNTRFSSHNTPPRSFPISGPLSSCFSGLQCPRARSGASFPVCLARGFASERAMEEGSISYINQRDAAEIDELLMGPLGFSVDQLMELAGLSVAASIAEVYKSSEYNRILVLCGPGNNGGDGLVAARHLHHFGYKLFVCYPKRTPKALYTGLVTQLESLSIPFLPVEDLPQNLTDDFDLVVDAMFGFSFHGKPRPPFDDLIQRLVSLSIADNSSKRRTAVVSVDIPSGWHVEEGDTDGSGFKPEMLVSLTAPKLCAKKFVGPHHFLGGRFVPPSIVEKYGLQLPPYPGTSMCVRIGKPPSVDISALRENYISPELLEDQVMANPTDQFLKWFDEAVAAGLLEPNAMALSTSGKGGKLSSRMVLLKGVDNDGFVWYTNYGSRKAHDLSENPHAALLFFWNDLHRQVRIEGTVQKVPLDESEKYFHSRPRGSQLGAIVSKQSSVIAGREVLYQAYKELEEKYPDGSLIPKPDYWGGYRLTPNRFEFWQGQPSRLHDRVQYCLKEIDGKQVWLIERLAP >DRNTG_15616.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25709630:25711203:-1 gene:DRNTG_15616 transcript:DRNTG_15616.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTENHPPSLKIYAGADAFGCDLKDALLSHLRSKPGIEVIDLGTDKYYTIAERIGHNVSSAAGKTTSGSETRGLLACGTGVGVSIFANKFPRVYAATCTSVDEAVNTRSINDCNVLSVGGMGTKAEEGVRILDAWLQTPFKAPCPASGGAPWPEDIQAFFDTSTQEMARIPSSVSNCAICCLRKGMEFEPVGIMPGGEMKIVRESPTSAILKFTAGSVEPAHHHTFGHDLLVIKGRKKVWNLTKGEAYELLEGDFLFTPAGDVHRVKYFEDTEFFIRWDGDWDIFLDEDLKAAADAIEKELGGNK >DRNTG_00686.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30089706:30098866:1 gene:DRNTG_00686 transcript:DRNTG_00686.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39190) UniProtKB/TrEMBL;Acc:O80962] MASLAVASCRPPLSATNKEERWSPFADVLRRDRKFISERLKSAAAPFAPLRDRLSKSLGDLFWLRNLEDPLTLRTMRPPSSWPKLSYTPGLSGMDLLMADLGALRVYADYLHYVSKIWSMPLPEQYDPHQVADYFSCRPHVITFRILEIFSSVVLAAIKLYSLRSSKFYKNSIEKNGSLDNSNHYIGLLLKETILNLGPTFIKVGQSISTRPDIIGPEISKALSELHDKVPPFPREVAMKIIEEEMGCPIGNIFSYISEEPVAAASFGQVYQGRTVDGSIVAVKVQRPDLLPVVLRDVYILRLGLAVLRKVAKRKSDICLYADELGKGFVGELDYTLEAANASKFLEAHSQYSFISVPKIFGNLTKTRVLTMEWMIGENPTELLLLSSGSAQGKIVQSKGQATEAKARLLDLVNKGVEASLVQLLETGLLHADPHPGNLRYTSNGCIGFLDFGLLCRMEKKHQLAMLASIVHIVNGDWGALVYDLVEMDVVRPGVNFRRVTMDLEEALGEVAFKDGIPDIKFSKVLGKIWSVALKYHFRMPPYYTLVLRSLASLEGLALAADHNFKTFQAAYPYVIRKLLHDNSANSRRILYSVVFNKKREFQWQKIMLFLRIGLTREGKSGFSHFHGEKSRRYEKRYFRSCKPDIEALVNQGWGCSQKTSNVCGSCFFSSVNGF >DRNTG_30339.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18667264:18676959:1 gene:DRNTG_30339 transcript:DRNTG_30339.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIC40 [Source:Projected from Arabidopsis thaliana (AT5G16620) UniProtKB/TrEMBL;Acc:A0A178UEV6] METFALASPKIPFFATSPARRRPSYDVPGRGIFRSGGTRRTGLVLASLNRGTGDETSQRIFRIGFASISSPTDNSTTTTTTTSGTPQITIPQTSSSLGSPLFWIGVGVGLTVLFTTVSSKLKRYAMQQVVKTMIGQTATSNGGLSNAPGFPGTQFPFPPIAPSAPRATSSTPVASQSVVTVDVPPTKVDSIPSVNDEIGIAQEPKKYAFVDVSPEEVQKESFESYKATTKDAATVEVSPNGAAVKTGKAASNDQSSQPASGLSVEALEKMMEDPTVQQMVYPYLPEEMRNPTTFKWMLQNPQYRQQLQDMLNNMGGGSEWDKRMMESMKNFDLSSPEVKQQFEQIGLSPEEVISKIMANPDIAMAFQNPKVQAAIMECSQNPLSITKYQNDKEVMDVFNKISELFPGMTGS >DRNTG_34689.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22828573:22829362:1 gene:DRNTG_34689 transcript:DRNTG_34689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSFLEFSTRLHLFNSIQPKRHHWSSLIVSKMAPLVILLLPLLLCGTVSAWEATCSGQVPAWQRAETISLTEFGAIGDGRTLNTWPFRKAIYQIEHLQRRGGTTLYVPPGVWLTGSFNLTSHMTLFLARGAVIKATRDAWNWPLMDPLPSYGRGRELPGGRYKSLIHGNGLRDVVIT >DRNTG_31416.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:616142:620208:1 gene:DRNTG_31416 transcript:DRNTG_31416.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGAPLYIAETAPSQIRGTLISLKELFIVLGILLGYLVGSFEINAVGGWRYMYGSSAAIAILMGIGMWSLPPSPRWLLLRAVQGKSSLQENREKAIYALGKLRGRPPGDKASEKQIDDTLNSLKTAYAEEKPEGSLWEVFEGASLKAFIIGGGLVLFQQITGQPSVLYYAASILQSAGFSAAADAAKVSVLIGSFKLLMTGVAVLKVDDLGRRPLLIGGVGGIVLSLFLLGAYYKGLSGLPLVAVGALLLYVGSYQVSFGPISWLMVSEIFPIRTRGRGISLAVLTNFGSNALVTFAFSPLQELLGAGNLFFVFGAIALLSLLFVILYVPETKGLSLEKIESKIFKIKPYILLSQRVNIFR >DRNTG_31416.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:616142:620208:1 gene:DRNTG_31416 transcript:DRNTG_31416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISVPLPIIGFSARSRGPGVANFSKDLFRSHQLVSPLSSSPFPKALFHCSESRLRVVAKSSPSPPPPSSGDGVVDSDAAEAEAFAWPSVILPFLFPALGGLLFGYDIGATSVASISLQSAELSGTTWFNLSSVQLGLVVSGSLYGALAGSLLAYPVSDFLGRRRELIAAAAFYLIGGLITGSAQELLVLILGRCLYGIGIGLAMHGAPLYIAETAPSQIRGTLISLKELFIVLGILLGYLVGSFEINAVGGWRYMYGSSAAIAILMGIGMWSLPPSPRWLLLRAVQGKSSLQENREKAIYALGKLRGRPPGDKASEKQIDDTLNSLKTAYAEEKPEGSLWEVFEGASLKAFIIGGGLVLFQQITGQPSVLYYAASILQSAGFSAAADAAKVSVLIGSFKLLMTGVAVLKVDDLGRRPLLIGGVGGIVLSLFLLGAYYKGLSGLPLVAVGALLLYVGSYQVSFGPISWLMVSEIFPIRTRGRGISLAVLTNFGSNALVTFAFSPLQELLGAGNLFFVFGAIALLSLLFVILYVPETKGLSLEKIESKIFKIKPYILLSQRVNIFRMEKIRKRTRVGFFIGCVFFVSMFYEDVVLFCHLSNLNGIKQGLKSKKFFYLRPAL >DRNTG_22241.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1224160:1224442:1 gene:DRNTG_22241 transcript:DRNTG_22241.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAWGDEKKPASSVTSLDLRSSMANSTSGK >DRNTG_33994.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6874732:6875220:-1 gene:DRNTG_33994 transcript:DRNTG_33994.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L16 [Source:Projected from Arabidopsis thaliana (ATMG00080) UniProtKB/TrEMBL;Acc:G1C2Q4] MELQVSKCGSHIVKIRRDVLYPKHTKYKKSSKGRCSIGRELDGTQLGFGRYGTKSCRAGRLSYRAIEAVHRATIGQFHHAMSGQFRRNGKIWVRVLADLPITGKPTEVRMGRGKGNPTGWIARVSTGQIPFEMDGVSLSNTRQAATLAAHKPCPSTKFVLWS >DRNTG_14239.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:931110:934334:-1 gene:DRNTG_14239 transcript:DRNTG_14239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVFSLTPAKLCSLASSSSLLPVKHFSFRSRIRCSAETEPESSSQENIATTASSPPSATSETAVESSKLPHSLISVANVQKALRGIAITDVDHYGKLGIPRGASDDEVNAAYKEKREELMNQGLEEEQLNKELELLKESYMILSSEDERRLYDWSLARSDRPERYTWPFEADITQTPKETPPPREPEDVGPTRIVGYFFLAWLILSVVLSVSLNR >DRNTG_14239.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:931110:933220:-1 gene:DRNTG_14239 transcript:DRNTG_14239.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGLEEEQLNKELELLKESYMILSSEDERRLYDWSLARSDRPERYTWPFEADITQTPKETPPPREPEDVGPTRIVGYFFLAWLILSVVLSVSLNR >DRNTG_14239.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:931110:932980:-1 gene:DRNTG_14239 transcript:DRNTG_14239.3 gene_biotype:protein_coding transcript_biotype:protein_coding HNANAKGNSSSSRTRRCGPNKDCRLFLLSLAYIVCCIVCVPQQVIKLFVSCAR >DRNTG_34444.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:12366503:12367459:-1 gene:DRNTG_34444 transcript:DRNTG_34444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAIPEHQARFELLLKLKFCQTRFLDLSALREVQLADDMADEVKELLTVGSWRRLLSICDHAIRMLTLKVLASFEFDRLALCGQGQYETRSGGAKKKIVPVPMGIETMRLMGMVRRYRLGVYVLVTLAPEIAEGGGDIGEGSQPILEPQEERDGRREAPPEARGSHH >DRNTG_17724.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4056975:4057511:-1 gene:DRNTG_17724 transcript:DRNTG_17724.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPVPSHTEMMYTWLAATPSTTQRSQGHSYHHSPARRQSSNPHGKTKLFSPTLHSQIFLASTN >DRNTG_17724.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4056084:4062170:-1 gene:DRNTG_17724 transcript:DRNTG_17724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPVPSHTEMMYTWLAATPSTTQRSQGHSYHHSPARRQSSNPHGKTKLFSPTLHSQIFLASTN >DRNTG_17724.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4061273:4062170:-1 gene:DRNTG_17724 transcript:DRNTG_17724.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPVPSHTEMMYTWLAATPSTTQRSQGHSYHHSPARRQSSNPHGKTKLFSPTLHSQIFLASTN >DRNTG_29871.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1975738:1977465:-1 gene:DRNTG_29871 transcript:DRNTG_29871.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter AVT1H [Source:Projected from Arabidopsis thaliana (AT5G16740) UniProtKB/Swiss-Prot;Acc:Q9LFE3] MKDPSKFTKVSITSFTLVTTLYTGLAFMGAKLFGPTVSSQMTLSMPRHLIVTKIALWATVLTPMTKYALEFTPFAAQLDHNLPSYMSSRMKMAIRGSIGSLLLLLILVLALSVPYFECVLSLTGSLVSVAISAIFPCAFYLKIFWPKLTKQVVVLNGVIIIIGAVFGVIGTISSSKSLIMSFQRGH >DRNTG_07450.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19650837:19652338:-1 gene:DRNTG_07450 transcript:DRNTG_07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGSLAGKLGFLLHQSLSHSLAAATLTISDLKPIHAAIITSGLSTDHITIPRLIAYCAVSNSGDLFSYGQCLFSATPNPSLFTFNSMIRGFSLSSDPIQSIHLYTRMLRTGISPDNFTFPFLIRSCSSSPSSFLGRGIHGHVLKLRFDSDIFVVNNLLSLYSGFKDMGAAQKVFDESPCTLDVVSWTTMITGHSKCGEMDRARWFFDRMPSRNLISWNAMIAGYARSGSVIHARCLFDEMPERDVMSWSSLVSGFSQRGLCNEALAVFDEMILNGFTPNEATLVSAASACAQLRDLDRGRRVHCCALDRELRKMSVILGTALVDMYGKCGSIEDAYKVFREMSARNVYSWNSMITGLALNGSGKQALTLFWKMKLAGLQPNAITFIGVLSACSHAGLVDEGEMLFDMMTRVYGIRPIEEHYGCMVDLLSRAGLLQEAVDFVANMPVEPHPGLWGALAGACRIHDNVELGVEVGKRLIELEP >DRNTG_00057.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21737109:21738989:-1 gene:DRNTG_00057 transcript:DRNTG_00057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIFIFSKLTFPIKDPYFCMLSMFVSKLIGARYYNLERSMVEDGGDSPRDLEGHGSHTSSTAAGSLVTGVSLYGLGEGTARGAVPSARIAAYKICLPDFGCSDANILAAFDDAIADGVDIISISVGSDYPFDYFDDSIAIGAFHAMKNGILTSNSAGNSGPGLYSVANYSPWSLTVAASTIDRRFLAEIKLGNGNSYQVLLFTIQPLLDFDN >DRNTG_12057.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000529.1:32812:36045:-1 gene:DRNTG_12057 transcript:DRNTG_12057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRCRSRRTSPNLGQYPSKPLAKPSAKLGKK >DRNTG_29492.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12254435:12256501:-1 gene:DRNTG_29492 transcript:DRNTG_29492.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGLSIKEEERQETEEEEEEEEEEEEEEEEEGGGGGGGGGE >DRNTG_08059.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18158167:18159846:-1 gene:DRNTG_08059 transcript:DRNTG_08059.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGKRNMHDTINAISHHLAMFVGVSRRFDFIGKVNGCHIYDDYAHHPAEVRALLRAARQKFPSQALWLVFQPHTYSRLAAFMEDFITAFTAADHVIVTEIYAARETDNGNFSGRDLTTSIIGPSSEYIPKMDDVIDLLETKLQSSRNQDVVVFTVGAGDITTLGPKLLARLQQSSYCQMAV >DRNTG_08059.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18158167:18158899:-1 gene:DRNTG_08059 transcript:DRNTG_08059.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFITAFTAADHVIVTEIYAARETDNGNFSGRDLTTSIIGPSSEYIPKMDDVIDLLETKLQSSRNQDVVVFTVGAGDITTLGPKLLARLQQSSYCQMAV >DRNTG_08059.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18158167:18158749:-1 gene:DRNTG_08059 transcript:DRNTG_08059.5 gene_biotype:protein_coding transcript_biotype:protein_coding IYAARETDNGNFSGRDLTTSIIGPSSEYIPKMDDVIDLLETKLQSSRNQDVVVFTVGAGDITTLGPKLLARLQQSSYCQMAV >DRNTG_08059.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18159725:18160743:-1 gene:DRNTG_08059 transcript:DRNTG_08059.3 gene_biotype:protein_coding transcript_biotype:protein_coding KGISKINVKVVFSAGACDLLVEDQQGIVPNIKRVTNAQITNQGYSVTTYGISSKNDWHASVITSNLQGGLDYVLHHKGCPVANISLLLTGDHNVLNSLAVGAFFHYS >DRNTG_08059.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18158167:18168500:-1 gene:DRNTG_08059 transcript:DRNTG_08059.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQNMQHLLIPASSSHLVTTIHKFSLKMRSFAFLATTNACLPINARPNRDQCSRRLCFAVTPCGRRRLGFTGGFCLTPEGQNNVELCKDGVFEDDDGEKRKKEWVHFVGIGGSGLSALAMLALQQGFDVSGSDIMWSSFLDKLHEAGARLYIGHSASNLKSENGARLPDAIVVSSAVPSDNEEVVYANSVGIPIYKRDLWLGKITEQYNLIAISGTHGKSTTTAMLSFVLNAMGDNLISVVGANVPQFAGGNIIAGKGPNFVLEADEYDKCFLALAPQIAVVTNVNWDHVDTFPDEEDIKNAFREFVHRIRAGGHLILCGDRCL >DRNTG_08059.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18162053:18162151:-1 gene:DRNTG_08059 transcript:DRNTG_08059.6 gene_biotype:protein_coding transcript_biotype:protein_coding ADEYDKCFLALAPQIAVVTNVNWDHVDTFPDE >DRNTG_21918.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1683670:1685516:-1 gene:DRNTG_21918 transcript:DRNTG_21918.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTKRNKTTILPILMIFMIINLPRRTCSVSVVQFIFGDSLSDVGNNNYLTRSLARASLPWYGIDFGNGMPNGRFTNGRTIADIVGDYMGLPRPPAFLDPSLNDDMILENGVNYASGGGGILNETSSLFIQRFSLSKQIELFQGTQEMIRMKIGPESADKFFSQARYVVCMGSNDYINNYLLPVYADSWTYTGDSFTNYVISTLDSQLRLLYALGARKVAFFGLGPMGCIPLQRLMSSDGNCRESTNKLSKGFNKAALQLLNNLTNILPNSSFVFGDAYDYFQDIIDQPGKTWFQ >DRNTG_21918.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1683670:1685516:-1 gene:DRNTG_21918 transcript:DRNTG_21918.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTKRNKTTILPILMIFMIINLPRRTCSVSVVQFIFGDSLSDVGNNNYLTRSLARASLPWYGIDFGNGMPNGRFTNGRTIADIVGDYMGLPRPPAFLDPSLNDDMILENGVNYASGGGGILNETSSLFIQRFSLSKQIELFQGTQEMIRMKIGPESADKFFSQARYVVCMGSNDYINNYLLPVYADSWTYTGDSFTNYVISTLDSQLRLLYALGARKVAFFGLGPMGCIPLQRLMSSDGNCRESTNKLSKGFNKAALQLLNNLTNILPNSSFVFGDAYDYFQDIIDQPGKTWFVRSTT >DRNTG_10462.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5451293:5454646:-1 gene:DRNTG_10462 transcript:DRNTG_10462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKADSPMLTPASQYLTLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDATNIILDPIFMFVFGLGVSGAAIAHVISQYLISVILLW >DRNTG_32127.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001787.1:5282:7143:1 gene:DRNTG_32127 transcript:DRNTG_32127.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPARRVSAASARAHTRKQKQRNSIFSLGLIRKILAVFACGIGSLVVSVNSTSSPEKFVEHRMALLLHHLESSSKMEGISHI >DRNTG_32127.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001787.1:5282:7803:1 gene:DRNTG_32127 transcript:DRNTG_32127.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPARRVSAASARAHTRKQKQRNSIFSLGLIRKILAVFACGIGSLVVSVNSTSSPEKFVEHRMALLLHHLESSSKMEGISHI >DRNTG_32127.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001787.1:6799:7143:1 gene:DRNTG_32127 transcript:DRNTG_32127.3 gene_biotype:protein_coding transcript_biotype:protein_coding EVLEELGVHVLSFDRPGYGESDPNLHQTVKSIALDVEELADQLKLGPKFHVIGFSLGGQLVWSCLKYIPHRYDSYAFSPVLKKTTILSRHCSVFFLHLHHCEIFH >DRNTG_09956.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:6723615:6724668:-1 gene:DRNTG_09956 transcript:DRNTG_09956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRRSSEVLAGVEARERDEEKVVK >DRNTG_09956.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:6723615:6724668:-1 gene:DRNTG_09956 transcript:DRNTG_09956.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRRSSEVLAGVEARERDEEKVVK >DRNTG_26260.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21778739:21780542:-1 gene:DRNTG_26260 transcript:DRNTG_26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSQEMRKMEESLKNQLKEHADQLDRAIQEFREQQSKDMEEVRTMLVKLACLISSQSSSSCISCLESGKECGFTSAIQPKFAMLEFPKFHGEGLNEWLCKCKQYFDFDETSDDIKVRMASLHLEGRALVWHQNYMRYKGNQGVLQWEEYIHALSIRFGEALYLDPIAELKQLEQVGTLETYLDKFDEILNRLDLCEDYAVSLFLSGLKEEIRYPVRVFSPSNLVEAVRLAKLQELIVEKLHKEDENYTEDNQENTPMIPLNGLAGVPSLCNYRTMRVNGSIKGQKVHILISSGSTRNLIDANTVNSLGCTVASISPVTVVVADGSKIQCEKTCVDLKWKMQGQEFQDDVLVMPLNGCQMVLGIQWLILLGPIIWNFKQLSMEFTVGNKKVVLRGA >DRNTG_15972.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5105155:5107482:1 gene:DRNTG_15972 transcript:DRNTG_15972.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid transfer protein GPI-anchored 1 [Source:Projected from Arabidopsis thaliana (AT1G27950) UniProtKB/Swiss-Prot;Acc:Q9C7F7] MASSSSCSSCLFRALFLMMMCMGVVMSDDPLATKCSNDFQKLMGCLEYATAKKDTPSEDCCSSVTDIKGKEPVCLCFIIQQTHSGSQSVTSLGLQFGRLLQLPAACKLANASLSDCPKLLKLSPNSTDAAIFTNATKASSSTSSSTSAVPASSAGIIDHILLVVALVTVVASSMLLSIL >DRNTG_14123.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17284997:17285379:-1 gene:DRNTG_14123 transcript:DRNTG_14123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIERQDTDVQGNTFDFGMLLLKLISRRPSYCRDRRCSLDWNMSMTDKLRGLPWKLLLQ >DRNTG_21118.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2599058:2601485:1 gene:DRNTG_21118 transcript:DRNTG_21118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEWGATKFSERQPIGTSAQDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFMATFLFLYITLLTVMGVVKANSKCTTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALFYMIMQCLGAICGAGVVKGFQKGLYESNGGGANVVQPGYTKGDALGAEIIGTFVLVYTVFSATDAKRNARDSHVPLLAPLPIGFAVFLVHLATIPITGTSINPARSFGAAVIYNKHHAWKDHWIFWVGPFIGASLAAMYHQIVIRAIPFKSRS >DRNTG_24381.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16693799:16713155:1 gene:DRNTG_24381 transcript:DRNTG_24381.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFENHIYPTNRDYFLPIENRIHYLPYKMFSQDHQHQLQFQDFQYFVVIDFEATCDKERKPHPQEIIEFPSVLVNSVTGQLEASFQTYVRPVYHQFLTDFCKELTGIQQIQVDRGVSLSEALLMHDKWLEDKGIKHKSFAVVTWGNWDCRTMLESECKFKRIRKPPYFNRWINLKALFQEMVSGVRCNLMEAVQRAGLTWEGLPHCGLDDARNTARLLALLMHWGFKFSITNELLPITTN >DRNTG_26420.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23916703:23919860:1 gene:DRNTG_26420 transcript:DRNTG_26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMYIAREVSKLWKKVCMETAVEWKLFKEKWKLLLAGLVFQYIHGLAARGVHYLHRPGPILQDVGFMILPELGKERGHVSENTFGLIFFSFILWTFHPFVYHSKRFYTVLIWRRVLAFLVGSQALRIITFYSTQLPGPNYHCREGSTLARLPRPDNVLEVLFLNFPRGVFYGCGDLIFSSHMIFTLVFVLTYQKYGSKRFIKMLAWVTAIVQSLLIIASRKHYTVDIVVAWYTVNLVVFFVDKKLPEMPERTGVSQPLLPMSAKGKDSKSVEEQHKLLNGNSADNADWRQRIQLNGKHLENGGHALPEATMNGGST >DRNTG_22838.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4993200:4995751:1 gene:DRNTG_22838 transcript:DRNTG_22838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQTQTQTQTSKNFKEEDASIDWRGRACNPNKQGGMRAAFFVLGIQAFEIMAIGAVGNNLITYVFTEMHFPLSKSANIVTNFVGTIFLLSLLGGFLSDSYLGSFWTMLIFAFVELSGFILLAVQAHVPQLRPPKCNMMSKGEQCLEAKGFKATIFFIALYLVALGSGCLKPNMISHGADQFNVKEDSKQTKKLSTYFNTAYFSFCFGQLIALTLLVWIQTHYGMDVGFGVSAAAMAMALVSLVSGVCFYRNKPPQGTIFTPLVQVFVAAAIKRKQVCPMNSEVSYKQHRHWDKFRFLDKACVRIENGTNTKESAWTLCSRKQVEQVKTILSLIPIFACTIIFNTILAQLQTFSVQQGTSMNTNLTNSFTIPPASLQSIPYLMLIILVPSYELFFIPLSRKLTGKTSGVSPLQRIGIGLFTVTFSMVSAAIVENKRRNIALTSSKTISIFWIAPQFLIFGLSEMFTAVGLIEFFYKQSLDGMQSFLTAMTYCSYSFGFFLSSVLVSLVNKITGDGWLSYNDLNKDRLDLFYWLLSALSLVNFFNYLFWSSWYSNSSSLEGGSFGEKEEEEDKANCFSSSRISNGDGDIV >DRNTG_15961.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:333085:336163:1 gene:DRNTG_15961 transcript:DRNTG_15961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMFRVRVSSFFAGAAAASVAGFFFFYKDYKLAQDAIFRQVRGTHLSLEDRYEHLDKRLTALEKQNEAETAIAVEAS >DRNTG_30043.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001539.1:44491:45663:1 gene:DRNTG_30043 transcript:DRNTG_30043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSFLIVDYVDDLPAMGRYAWAQATHKWLMEDIPQAAARVQDRCAGKKTNTGYIKGCSVALNIWFLEWPERERKSDSARS >DRNTG_24060.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26496781:26500556:-1 gene:DRNTG_24060 transcript:DRNTG_24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQNGSAKASASGNAYRIDLENFSKRLKAFYGHWQEHKSDLWAGSDVIAIATPPPSDDLRYLKSSALNIWLLGYEFPETIMVFMNRQIHFLCSQKKANLLETLKKSSKEAVGADAVIHVKAKNDDGAGLMEEILHAIRTQSKPDSAVIGYIAKEAPEGKLLEIWSEKLNGSGSQLRDVTNGFSELFAVKDESELTCVKKAAYLTSSVMKNFVVPKLEKIIDEENKVSHSSLMDDTEKVILDPLKVKVKLKAENVDICYPPIFQSGGEFDLRPSASSTDDNLYYDSTSVILCAIGSRYNSYCSNVARTFLIDANAKQSKAYEVLLKAHDAAIAAMKPGNKVSSAYQAATAVIEKEAAELLPHLTKTAGTGIGLEFRESGLSLNLKNDRVIKAGMIFNISLGFQNLQAGTKNPKTDKFSLLLADTVVVGGKSPEVLTQGCSKAIKDVAYSFNEDVEEDERPKLKPELNGEPLLSKATLRSDLQEMSKEELRRQHQAELARQKNEETARRLAGGGPAAGDGRGPVKASSDLIANIWEHGALPCCYCQECH >DRNTG_22620.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17843263:17847074:-1 gene:DRNTG_22620 transcript:DRNTG_22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTGPEVEVEVQRRPKTKIVCTLGPASRSVQMIERLLRAGMNVARFNFSHGSHEYHQETLDNLHAAMENTGILCAVMLDTKGPEIRTGFLKDSKPIQLKKGQEITISTDYSIKGDENMISMSYKKLAEDVQPESVILCADGTVTLTVLSCDKENGLVCCRCENSATIGERKNVNLPGVIVDLPTLTEKDKEDIMKWGVPNKIDMIALSFVRKGSDLVEVRKLLGEHAKSIMLMSKVENQEGVANFDDILTNSDAFMVARGDLGMEIPIEKIFYAQKVMIFKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMAKICLEAESYVDYNSAFKGIMALAPVPMSPLESLASSAVRMANSSMASLILVLTRGGSTAKLVAKYRPAMPILSVVVPEIKTDSFDWSCSDESPARHSLIFRGLIPALSAGSARASHSESTEEALEFAMQHGKSIGLCKSGDAVVALHRVGASSVIKILTVK >DRNTG_05068.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:4021556:4044315:-1 gene:DRNTG_05068 transcript:DRNTG_05068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFMSFVFMVWWPSETTQRLCAVTDGPPYGPYMGQSVTGCPLGREGHLAHTAPILGRMPNTKRLASKRPRTTGPSSTPDEPVFKLSHHRERYDRLKTKPLGTLCYLEWGLVENLGIASQVREWLSTNCWDKLFAINEPTFRQLTLEVLSTFEAQQDGDSVWNRKILTIRFQAFGRKRTMYHLDFAKYLGIYDDEFINSMPGKRLKLDFPSGVGRRNCWATLAGDDQTR >DRNTG_13567.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:962674:963481:-1 gene:DRNTG_13567 transcript:DRNTG_13567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYISADELDKEKFNVDLNDAVNGLGYSLNGYVDSEAPVHVPDVFNGTLFFLEKEVIPGSKLHLRFTKMFSSSPLISRLQANTIPFAANKLLEILTDHIHVKPTSVTASVMNKTLIECEEPALEGESKHCATLLESMVEFHHAESGND >DRNTG_12622.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000556.1:2942:3271:1 gene:DRNTG_12622 transcript:DRNTG_12622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPDLQCIRLLCHQRRRSRGSTGYLGVRRRPLGCYATEITNPYTKKRHWLGTFDTSEEASLAYDMSSITFSGIDKAQTNFSYMFRIMPSPPPPPPPPSPLPPPPPPPS >DRNTG_10053.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4672651:4674486:1 gene:DRNTG_10053 transcript:DRNTG_10053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTESSKAKARSQSAPKQRTVSFERQPSRQRPSVEGRHIPRCVKMQRSASHICSTAKGYQHGTYIKLDQSNMSLANSECGSTSTIFTTASHCRPTYEAYRSRH >DRNTG_32826.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27701898:27702383:1 gene:DRNTG_32826 transcript:DRNTG_32826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITTLVFHLLISILIIHGVSLFMLLNKAIISVVTPLLPVQTRERLAWWHDTLFHSHKPGLLDPCSGVQLRISKFKSGSEDEEEVECVVCLCGIKEGEEIRELVCRHLFHRACLDRWLELWHSRCPLCRSCLIPCETKKKKVSGLESLEMEDLVAFVHDSS >DRNTG_34843.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28951534:28955774:-1 gene:DRNTG_34843 transcript:DRNTG_34843.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEAFMWNEHDANGNTIKCGRPSDIWSLGCILYQMVYGKTPFAEYKTFWAKFKVITDRNHEIVYEPVSNPWLIDLMRRCLAWDRNERWRIPELLRHPFLVPPLPEELPLPQDHPCRLLMQKVQLFWNEPKVSQLCSELHMIVEKP >DRNTG_34843.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28951534:28956751:-1 gene:DRNTG_34843 transcript:DRNTG_34843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEAFMWNEHDANGNTIKCGRPSDIWSLGCILYQMVYGKTPFAEYKTFWAKFKVITDRNHEIVYEPVSNPWLIDLMRRCLAWDRNERWRIPELLRHPFLVPPLPEELPLPQDHPCRLLMQKVQLFWNEPKVSQLCSELHMIVEKP >DRNTG_25805.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2664571:2666049:-1 gene:DRNTG_25805 transcript:DRNTG_25805.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNASRKLDIVAQYWELLAQPDDTRSGDFGYSADDIERFGAGVGRSVYRALEDAADRMVDIRILQHSGVYPDYTKESSALASGRSNVQNVTLELGDWWGSGIVHAKVWISDVKDIYIGSANNDWKSLTQVFQYFMQINSDIFQYIMQINIDMCWNESWFSVKEEGRRRDVAY >DRNTG_25805.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2659844:2666324:-1 gene:DRNTG_25805 transcript:DRNTG_25805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKSLVLVFVSSFVLLFFDSWPRIETLASPTSPSSCKAWLVQSIPTDMPHLRLVPGVLSSGDVLRWMAGNASRKLDIVAQYWELLAQPDDTRSGDFGYSADDIERFGAGVGRSVYRALEDAADRMVDIRILQHSGVYPDYTKESSALASGRSNVQNVTLELGDWWGSGIVHAKVWISDVKDIYIGSANNDWKSLTQVKEVGIYLTGCSPIANKLEIYFDNLWKLATLDPTGFTRTVWDEQWQANRTVPCWSHFIHYRQRCRSPLPHYVEVPHVTGYPILSDPFMFRIPLETPGCNSSTLIQYPSYLSFAPPELLFGKFLADEQAWLDTIKSVKLGGTIRMSSMDWLGQSQYLKQTVFWSSLSSAISEVIFSKNASVKILVAYWAHNIENTDQYLKSLLYSNVLCSSSKYNNCGGKVQIKYYKVPGYNKTGPAKSHGNLTGNVYPGYTRVNHGKYAVSDVRAHIGTSNLVWDYFYTTAGVSFGTYNPVIVSQLQDIFEADWTSPYAVQVEPLQGS >DRNTG_25805.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2659844:2661302:-1 gene:DRNTG_25805 transcript:DRNTG_25805.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMDWLGQSQYLKQTVFWSSLSSAISEVIFSKNASVKILVAYWAHNIENTDQYLKSLLYSNVLCSSSKYNNCGGKVQIKYYKVPGYNKTGPAKSHGNLTGNVYPGYTRVNHGKYAVSDVRAHIGTSNLVWDYFYTTAGVSFGTYNPVIVSQLQDIFEADWTSPYAVQVEPLQGS >DRNTG_25805.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2659844:2662049:-1 gene:DRNTG_25805 transcript:DRNTG_25805.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRIPLETPGCNSSTLIQYPSYLSFAPPELLFGKFLADEQAWLDTIKSVKLGGTIRMSSMDWLGQSQYLKQTVFWSSLSSAISEVIFSKNASVKILVAYWAHNIENTDQYLKSLLYSNVLCSSSKYNNCGGKVQIKYYKVPGYNKTGPAKSHGNLTGNVYPGYTRVNHGKYAVSDVRAHIGTSNLVWDYFYTTAGVSFGTYNPVIVSQLQDIFEADWTSPYAVQVEPLQGS >DRNTG_01754.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4351398:4352267:-1 gene:DRNTG_01754 transcript:DRNTG_01754.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSLMGPRTSPAVQQMRTAAQRGLSQTPPGQQTFEDRLQNLRAQHTASPVTTTRPLRFPSMPVQQTAQTTAALAAASSARNHQVLASQRAANMARSPAGATPPQISRTPTSIPVAINSPRVSSSEQTRNTVTGGMPVARSDGISESPSEPSWRPTGRMRGSLTGSAYAAALSHYLSPATLQPVVARSPVAAAAATDQLLTTASMPSTQSQQTNTGHTEVGRQTGASGV >DRNTG_01754.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4351329:4353487:-1 gene:DRNTG_01754 transcript:DRNTG_01754.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESESDKPNLVTDVMDLTMDEDDDSDGGPASAQGLANEFNSEAEDRKPTKDVQGYSVSEFLSESLAVNSTSSSIPLTALPRDDFWLRMLASTSVTDGLSTSIGPSAYPIGSLESPVPDAVMNHVATDAASPGLIQESGAAPEASQSPFPFHLPSRMSPLEENMQLQQSHNRNSAISSLPDRQPIPRFVIRNPIAVQALPVQPQVPVSSQRMRSDIFNTIHAPNGSGGSHVETAATDIQASRSLDNASSLLHAQSRAQSFQNQQQNQYARSSTALPHLVAMPAPSLMGPRTSPAVQQMRTAAQRGLSQTPPGQQTFEDRLQNLRAQHTASPVTTTRPLRFPSMPVQQTAQTTAALAAASSARNHQVLASQRAANMARSPAGATPPQISRTPTSIPVAINSPRVSSSEQTRNTVTGGMPVARSDGISESPSEPSWRPTGRMRGSLTGSAYAAALSHYLSPATLQPVVARSPVAAAAATDQLLTTASMPSTQSQQTNTGHTEVGRQTGASGV >DRNTG_01754.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4351398:4360817:-1 gene:DRNTG_01754 transcript:DRNTG_01754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIPRPAAPPHAAASAAASVFVAKPAVQAANVIRFQVVKERLELYFKGEIPYQAPEFANAVFSLAKAIDYSLSINDVPAIAPSLPSVIKQAYKYKNDGSLQSAVMVLMISVKNACKVGWFSATDVAELLSMMEELLNGFSMAINSIPDAVKAQEVISKVMPRFYPNLKLGCLVVAFEAKPGYDILMADFLIPRNIPAEERIRLFVIQTDNLETSACIVSPPMVSFLVNGKGVERRTNVSLDTGPQFPTDISKMLKYGTNMIQAVGYFVGSYVIAIAFASNLVPSTPTLEDYVQPAIDLPSSDSELIVGASRISLNCLISFRRIKTPVKGYLCKHHQCFDYDNFMEMNSRKPSWRCPCCNQPVSFH >DRNTG_01754.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4351398:4353487:-1 gene:DRNTG_01754 transcript:DRNTG_01754.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESESDKPNLVTDVMDLTMDEDDDSDGGPASAQGLANEFNSEAEDRKPTKDVQGYSVSEFLSESLAVNSTSSSIPLTALPRDDFWLRMLASTSVTDGLSTSIGPSAYPIGSLESPVPDAVMNHVATDAASPGLIQESGAAPEASQSPFPFHLPSRMSPLEENMQLQQSHNRNSAISSLPDRQPIPRFVIRNPIAVQALPVQPQVPVSSQRMRSDIFNTIHAPNGSGGSHVETAATDIQASRSLDNASSLLHAQSRAQSFQNQQQNQYARSSTALPHLVAMPAPSLMGPRTSPAVQQMRTAAQRGLSQTPPGQQTFEDRLQNLRAQHTASPVTTTRPLRFPSMPVQQTAQTTAALAAASSARNHQVLASQRAANMARSPAGATPPQISRTPTSIPVAINSPRVSSSEQTRNTVTGGMPVARSDGISESPSEPSWRPTGRMRGSLTGSAYAAALSHYLSPATLQPVVARSPVAAAAATDQLLTTASMPSTQSQQTNTGHTEVGRQTGASGV >DRNTG_03206.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000146.1:4806:5108:-1 gene:DRNTG_03206 transcript:DRNTG_03206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINYPDVREDEKTIRYTSRKAYVETRPRIKGRFAKGSEIDGDRSHLLFLGTECDLHRLHEGAYARPHRNSKSDFSYL >DRNTG_16781.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3415745:3420895:1 gene:DRNTG_16781 transcript:DRNTG_16781.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-IV [Source:Projected from Arabidopsis thaliana (AT4G33350) UniProtKB/TrEMBL;Acc:A0A178UWE7] MESSPNPSNPFDEFTSFLHHHASRVGAELSARFDDGRRRLRFLAAAAKAAPFAAASLEAKHAFDVALSADYVAKTLSGTPVYTVSNSNNEFVLVSDPNNGLKSLGLLCFRHEDAQALLAQVQLRQPVLGKGARVVPITLDQVYMLKVEGIAFRFLPDPLQIKNALELKAAERSKGFDGVPVFQSDLLVIKKKNKRYCPIYFQKEDIERELMKVSKTSRGFSSSQHIMVGCLEDVLKKMELNDKNSGWDDLIFIPPGKSYTQHINEVSA >DRNTG_26226.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:422162:423784:1 gene:DRNTG_26226 transcript:DRNTG_26226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGETKNQGETQRSSEKLRDPLEAGQHIINSDTLSLGKISFDFDNCLVWFPKTKKFKLASIIYSHLNNSHVQQDFWDGWGNIWKLRVAPRTKHFIWLVFHNGVKTYDYLYRLNLGPQNSCVFCRLENVNIEHLLCLCPKALLIWSYIKLLTGKSFSFEGGFMSGNWLSPSVAGNDSFIRSTIATTAWYIWKARCNMIFKNVNLDCWLLASKAVAHTSEYFSVPDTLTGRNLFLNNFTVLDSPFLVISAVWNNETSCSGAGFYICDYNAKISIASCFGLHADSLFEAEAVALKSVLQYLYQNKLKPKQLFITHEELARTIKYGVLLPCWRTTTLMENIGAMMTILGDIQLHTIPKCWAKASSGLAVLGRNLHELTLFHHGHELPNWIMKIFQNLGLHYI >DRNTG_30620.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1867134:1876409:-1 gene:DRNTG_30620 transcript:DRNTG_30620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRVLGSFVIFLFLGFVRPLMGLRPLRERDSAAAASWGDERLFLRRDENDLSPYTHWNITGTYRGRWSFVESTNGSSRFLNFGKSNGDSVLELVSSPTKINGVHYVQGAIVFHDVFDNERDLGVARIRVEGVYIWPFKQLRMIANSGTDSEPVQEEEYFLSNPYHLLGVFSSQVFPESPHDKARRRKRALTHDMEKHCNIEISAQVSRISSTQSDGERDRYHLEGLMESPAVDDDGECLSPILLNATSVNIEVYYNKAVNYTLMVTFVSFLQVLLLIRQMEHSNTQSGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPLNNGEGWETMRRELSVLYSRFYGILLGGILIMYELHNFLRPILFLMYSFWIPQIITNVIRDTRKPLHPHYILGMTLTRLAIPLYIFGCPSNFMRIEVNQSWCIFLGVFMALQASILLLQHYFGSRWFIPRQILPEKYSYYRRLETDTTQAADCVICMTAIDVMQRSNDYMVTPCDHFFHSGCLQRWMDIKMECPTCRRSLPPA >DRNTG_11586.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:12730280:12734456:1 gene:DRNTG_11586 transcript:DRNTG_11586.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKLDDFDKVVQKYFTTKTPLRVAVRQLEQALANRYEKEAQKDFVTMFNKPFLRTASSVEKQAAGIYTRTIFNRFQEEFLESLGYQVDKIVDGVISKFRVVRNGDAYTVTYNASETKAHCSCLFEFSGILCRHALKVFIVVGMHKLSKDFILKRWTRNAKSGAVLDDCGVGFQSNCEEPSTS >DRNTG_11586.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:12730280:12734088:1 gene:DRNTG_11586 transcript:DRNTG_11586.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKLDDFDKVVQKYFTTKTPLRVAVRQLEQALANRYEKEAQKDFVTMFNKPFLRTASSVEKQAAGIYTRTIFNRFQEEFLESLGYQVDKIVDGVISKFRVVRNGDAYTVTYNASETKAHCSCLFEFSGILCRHALKVFIVVGMHKLSKDFILKRWTRNAKSGAVLDDCGVGFQSNCEEPSTS >DRNTG_11586.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:12730280:12737772:1 gene:DRNTG_11586 transcript:DRNTG_11586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKLDDFDKVVQKYFTTKTPLRVAVRQLEQALANRYEKEAQKDFVTMFNKPFLRTASSVEKQAAGIYTRTIFNRFQEEFLESLGYQVDKIVDGVISKFRVVRNGDAYTVTYNASETKAHCSCLFEFSGILCRHALKVFIVVGMHKLSKDFILKRWTRNAKSGAVLDDCGVGFQSNCEEPSTS >DRNTG_27082.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2986128:2986494:1 gene:DRNTG_27082 transcript:DRNTG_27082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCHANSSAINVCRVNCLYKEHVDITLVPCSQTLLAARHRALNTGILRML >DRNTG_27881.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001414.1:14877:18460:1 gene:DRNTG_27881 transcript:DRNTG_27881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFPSDPRTPLLLLLLFVIISFSSAAKIGETCSSDKTCDSGLHCGGCGDSPAKCTRMQPIDPKTKDKGLPFNRYTWLTTHNSYAMDGAKSATGSVLLTETNQEDTITAQLRNGVRGLMLDMYDFLNDIWLCHSFGGKCYNFTAFQPAINVLKEIQSFLDANPSEVITIFIEDYVTSPHGLSKVFNASGLTKYWFPVSQMPKNGGDWPLLSDMISKNQRLLVFTSKSSKEGSEGIAYEWKYVVENQYGDDGMKPGSCPNRAESTPMNTTSRSLVLMNYFRTNPNNTAVCSDNSAPLVSMLNTCMKASGKRWANYIAVDYYMRSDGGGAPEATDIGNGHLVCGCDNIAYCKANATFGTCDIPPKAPEAPSPSSPGAVPQGATPSSSTFGMSFFWRRLLPVVVPMVTGFLI >DRNTG_18150.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:642230:646480:-1 gene:DRNTG_18150 transcript:DRNTG_18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPGGNAGGVDNTFRRKWNKEEFLEKAREREQQEDEEGRLKNKGKAPPVQRKPLKHRDFEVDLSSRLGKTQVVTPIAPLSQQAGFYCDVCECVVKDSANYLDHINGKKHQRVLGMSMRVERASLQQVQERFESLKKRKTPGSFTEQDLDERILKQQQEEEERKRLRRERKKEKKREKAAEDAEDIDPEVAAMMGFGGFRSSKT >DRNTG_02121.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28634679:28635961:-1 gene:DRNTG_02121 transcript:DRNTG_02121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLGATHFAAIHKVFGASNVSKLLLHLPVSDRFDAAVTISYEAQARLQDPIYGCVSHIFALQQQVVALQTQLASLKAQASQSFVNLQEHKLFCNKMPSYVIDEQDGSQHGLFPAQEMNEYENSEQKQASFSFDENFSCALEPHDLQDQDMEDLRSIAFAYLHHHA >DRNTG_12971.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30514818:30521439:1 gene:DRNTG_12971 transcript:DRNTG_12971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSDQPPPLSLSSPPTMTGMIHT >DRNTG_29556.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:112899:113991:-1 gene:DRNTG_29556 transcript:DRNTG_29556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSFDHVLKPACEGCVLCYKLLYGVPPFEEPDQNDIFRKIMKVDLNFPSTPRVSPEAKDLITKLLVKGSSKRLSLKKILEHPWI >DRNTG_02886.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:820178:821276:1 gene:DRNTG_02886 transcript:DRNTG_02886.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPLLLLQLQECNPNPSTSYSSSSSSSIPIKDHPKPNINELPTNNQNNKLSSSSSSSSSSSSSSSSSSSCNNKTRHNNNNNNNNNNNNNKKHPTYRGVRMRNWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDVAALTIKGQSAYLNFPELASFLPRPATSSPKDIQAAAAKAANATKFTEVNDDDHHDHLLSPTTVSTTSSSSSSSPPNSSPVDDTLFFDLPDLILDLRDGFYYSSSSSSWLEETFSCDLHPLPHLGTSIN >DRNTG_22457.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7301841:7314471:-1 gene:DRNTG_22457 transcript:DRNTG_22457.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIHMLTCPASFGFAQPSKINSVFDDAIRLRLFPFSLRGAAYRWLTSLALGSITTWKEMAEKFLARYFPPSKASRLRQEISAFREGDSEAHERFNDLLRKCPNHGFSSSMRVQTLCIYEVNDNTTLVVKVEALMKNVMDNNALAAKVEALTKRFDVGLKSNSKIVDYVSGGPRGSRNPYGNTFNPGWRNHPNLSWNQGQSQHRPLPPQGSQYQAPPQQERKYTTEDVLAKFMINKKEILALNPLDEYLEEIGAHEFEEKVEALSKKVDRIVTSRQQNIPCYNAYHPIEVGYPNLLWNNGEQHWETPQVECQRGEILGEDALQLQRVLANFIEASDVCVQNMETTRRCHEASYKNLEHQLGGILYTLSKE >DRNTG_18168.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1967150:1968612:-1 gene:DRNTG_18168 transcript:DRNTG_18168.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEFIDTIGEPDRRTINENIHTKIEPHAFGSQNIGENQDQKQHHGFQC >DRNTG_17100.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17465695:17469484:-1 gene:DRNTG_17100 transcript:DRNTG_17100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSHIRKNGDAIPVAASHDLRRQTVCVTGAGGFIGSWLVKLLLLKGYNVRGTVRNIEDMKNLHLKGLEGAEERLILYKADVLDYESICLAFDGCDGIFHVASPVTNDPEKVKVAVAGTMNAVNAAAKSGVRRFVFTSSIGAVHMNPNRSSDAVLDENCWSDLEYCKKTKV >DRNTG_17100.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17466286:17469484:-1 gene:DRNTG_17100 transcript:DRNTG_17100.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSHIRKNGDAIPVAASHDLRRQTVCVTGAGGFIGSWLVKLLLLKGYNVRGTVRNIEDMKNLHLKGLEGAEERLILYKADVLDYESICLAFDGCDGIFHVASPVTNDPVISDL >DRNTG_17100.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17465695:17469484:-1 gene:DRNTG_17100 transcript:DRNTG_17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSHIRKNGDAIPVAASHDLRRQTVCVTGAGGFIGSWLVKLLLLKGYNVRGTVRNIEDMKNLHLKGLEGAEERLILYKADVLDYESICLAFDGCDGIFHVASPVTNDPEKVKVAVAGTMNAVNAAAKSGVRRFVFTSSIGAVHMNPNRSSDAVLDENCWSDLEYCKKTKHWYCYGKMLAELVAMDIAKKRELDLVVVVPPLTVGPMLQPILNASCFRVFTYMRGTKKAYRNAVMALVDVKDVAQAHIHVYEVDPNASGRYLCIATVVHRSKFVQMLSEMFSKYPITNECEDKVNPRVKPYKFSNKRLQELGLEYTPIKKSLYETVESLQEKGHLPPAHMLLVSPRIPSSL >DRNTG_17100.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17465695:17469484:-1 gene:DRNTG_17100 transcript:DRNTG_17100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSHIRKNGDAIPVAASHDLRRQTVCVTGAGGFIGSWLVKLLLLKGYNVRGTVRNIEDMKNLHLKGLEGAEERLILYKADVLDYESICLAFDGCDGIFHVASPVTNDPEKVKVAVAGTMNAVNAAAKSGVRRFVFTSSIGAVHMNPNRSSDAVLDENCWSDLEYCKKTKHWYCYGKMLAELVAMDIAKKRELDLVVVVPPLTVGPMLQPILNASCFRVFTYMRGTKKAYRNAVMALVDVKDVAQAHIHVYEVDPNASGRYLCIATVVHRSKFVQMLSEMFSKYPITNECEDKVNPRVKPYKFSNKRLQELGLEYTPIKKSLYETVESLQEKGHLPPAHMLLVSPRIPSSL >DRNTG_17100.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17465695:17469484:-1 gene:DRNTG_17100 transcript:DRNTG_17100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSHIRKNGDAIPVAASHDLRRQTVCVTGAGGFIGSWLVKLLLLKGYNVRGTVRNIEDMKNLHLKGLEGAEERLILYKADVLDYESICLAFDGCDGIFHVASPVTNDPEKVKVAVAGTMNAVNAAAKSGVRRFVFTSSIGAVHMNPNRSSDAVLDENCWSDLEYCKKTKV >DRNTG_17100.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17466286:17469484:-1 gene:DRNTG_17100 transcript:DRNTG_17100.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSHIRKNGDAIPVAASHDLRRQTVCVTGAGGFIGSWLVKLLLLKGYNVRGTVRNIEDMKNLHLKGLEGAEERLILYKADVLDYESICLAFDGCDGIFHVASPVTNDPEKVKVAVAGTMNAVNAAAKSGVRRFVFTSSIGAVHMNPNRSSDAVLDENCWSDLEYCKKTKHWYCYGKMLAELVAMDIAKKRELDLVVVVPPLTVGPMLQPILNASCFRVFTYMRGTKKAYRNAVMALVDVKDVAQAHIHVYEVDPNASGRYLCIATVVHRSKFVQMLSEMFSKYPITNECEDKVNPRVKPYKFSNKRLQELGLEYTPIKKSLYETVESLQEKGHLPPAHMLLVSPRIPSSL >DRNTG_35121.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6372508:6375012:-1 gene:DRNTG_35121 transcript:DRNTG_35121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLTHKCTASSSPKVPLSGLGHTSPDRYRRKLHQNPSSKWSMVSNP >DRNTG_15516.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20775303:20777442:-1 gene:DRNTG_15516 transcript:DRNTG_15516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANYKASPPFLRFPSTQQQVSKTLLLLLLLCLALMMPFITGTITTSSTGNTTRGCIKAERDALLAFKAELMYHKAHPISSWGNYTDIDCCQWAGVHCDNNSGHIVRLDPRLWRPPPNNFDDYNYYVWCDDESGLSGNISESLLGLKHLTYLDLSFNCFLNISIPKFLSSLENLVHLDLSYSGFTGVIPYELGNLTKLSYLNLANYDNKVDDAEWLSGLSSLRYLFLDHVNFSGVNNVMQSLNKLRHLEKCFSVKLQFNKFDNTSIPEWLFRIPNLRHLTLTDCGFTGTIPSSIRNATSLQMLSLALNKGISGDIPRGFGDLCNLQELYLSGTFVGKSLEDFKDAFSGCIRRNLNVLTFEFSWLQESLSQLSNLVILDLSYNFNYHSLITEAHLANLTGLKYLILHRTNLVLNISTDWIPGFQAFGIYLSYCHIGPKFPVWLANQVNLASLEISNSGIKDSMPNWFWNITGTMISLDLSNNEIKGRMPQRFKFQAEEDYAEILLSSNHFEGSIPYFSSNVYALDLSNNSFSGIIPSDLGNFVGVRPRLTHLSLSSNNLTGGIPNSLCNFMELIFLELSNNHLEGVIPSCWNNLTSLQYLILANNSLVGDISNSLSSPSQSLQVLHLSNNQLHGEFVD >DRNTG_00680.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15847790:15848172:-1 gene:DRNTG_00680 transcript:DRNTG_00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKCQVLPTSLKFVGLTNTRVRGIKSVNSKFFHISLVECQNFWASNIRITAPGNSPNTDGIHLDRSTGISIHNSIITTGDDCISVGPGSSKIILKNIR >DRNTG_06927.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16855775:16857690:1 gene:DRNTG_06927 transcript:DRNTG_06927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQNLCDRNCHKQTRGSEQPSLDFSNSAIQTPMAANRCPAMKETSNNTLM >DRNTG_01128.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000068.1:13289:14318:1 gene:DRNTG_01128 transcript:DRNTG_01128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSAPVPSWDVAPAPPASSGGRRQTGPAPAPHCLASYENPPGEVSRILDDRSQCSIGGVCVGVGTAGRSTLESHHGTLADGFVIIKNPKGEVSSKTYEWTGR >DRNTG_24625.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31136425:31138933:1 gene:DRNTG_24625 transcript:DRNTG_24625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSYKKLKGSVHFRQRLVLATLSSTPVSIEDIRADETSPGLRPHEVSLLRLLEKISDDCAVEINETGTKLRFKPGVVMGGKHLVHDCGLSRSIGYFLEPLIVLGIFGKKPLSMRLKGITNDPKDPSIDVFRMVTLHMLKRFGVPLEGLELKIESRGAPPLGGGEVVLGLPIVHDSLTAATWVDEGMVKSIRGVTFSTRVSPQIGNRIVYAARGIFNRLIPDVHIFTDHRSGPSGGKSPGYGVSLVAETTTGCLISADSAVCYSQGDNMNAFEDSEEKPELMPPEDVGVQAASMLLGEIEQGGVVDSTHQGFLFLLCALCPPDVSKVRVGKLTPYGIETLRHIRDFLGVKFIIQPETSTNTVILKCVGCGMKNLSRKIT >DRNTG_24625.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31136425:31138933:1 gene:DRNTG_24625 transcript:DRNTG_24625.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSYKKLKGSVHFRQRLVLATLSSTPVSIEDIRADETSPGLRPHEVSLLRLLEKISDDCAVEINETGTKLRFKPGVVMGGKHLVHDCGLSRSIGYFLEPLIVLGIFGKKPLSMRLKGITNDPKDPSIDVFRMVTLHMLKRFGVPLEGLELKIESRGAPPLGGGEVVLGLPIVHDSLTAATWVDEGMVKSIRGVTFSTRVSPQIGNRIVYAARGIFNRLIPDVHIFTDHRSGPSGGKYAWISACLIYHLFESCQLGLACQLRALLWQVPWLWCIFSCRDHYGVFDLCRLCSLLLTG >DRNTG_07109.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22261445:22264240:1 gene:DRNTG_07109 transcript:DRNTG_07109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKLFRRCRKEPSSGSSSLKLKQTLAMLKEKEIVLQKKISIEAERAREFTKAKNKQAALESLKRKRYYEVQMEQLESFQSRILDQEQKLPKKSPVHCREVQQMSQKVKINLRKSNLA >DRNTG_22202.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1409893:1412443:-1 gene:DRNTG_22202 transcript:DRNTG_22202.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKEKPVKKEEEEDDVSLSLSMLKKRSSVDSGKVKREGILGDEDEKVFKKKDEVKSSGKTSKVKKEEPDDSEEKDQEKSSKKKQHKKEMVKSNAQLSKVKKEEPLNSDDEEEAEKEKIKKKRRNMRRR >DRNTG_22202.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1409893:1412443:-1 gene:DRNTG_22202 transcript:DRNTG_22202.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKEKPVKKEEEEDDVSLSLSMLKKRSSVDSGKVKREGILGDEDEKVFKKKDEVKSSGKTSKVKKEEPDDSEEKDQEKSSKKQHKKEMVKSNAQLSKVKKEEPLNSDDEEEAEKVSSCVSLFLLAVCWLASIVF >DRNTG_22202.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1409893:1412443:-1 gene:DRNTG_22202 transcript:DRNTG_22202.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKEKPVKKEEEEDDVSLSLSMLKKRSSVDSGKVKREGILGDEDEKVFKKKDEVKSSGKTSKVKKEEPDDSEEKDQEKSSKKKQHKKEMVKSNAQLSKVKKEEPLNSDDEEEAEKVSSCVSLFLLAVCWLASIVF >DRNTG_33154.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001964.1:1635:5058:-1 gene:DRNTG_33154 transcript:DRNTG_33154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANVRLGKALRDECWSIYFYIAWASTAKEFDDTVNELQATSPRVYQWLIHKSDMSHWSNYQFRGER >DRNTG_27610.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28476210:28477002:1 gene:DRNTG_27610 transcript:DRNTG_27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAISAVFSLSTGVSKEKSSASIRDFICLQSSKNL >DRNTG_19498.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001003.1:5857:10160:-1 gene:DRNTG_19498 transcript:DRNTG_19498.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRGSTAPTSSFFRKNVGRGASDEAFVQSANTRFELVKATLCNHTGSLHNLENQVGQIAKPLFERRHGSLPSNTETNPREHVKATTLRSGREVEGRLPNEKPKEHAPEEMINPDPYDGLFDQEEDYEEVMMLGSTEEVSSTPGILKKVLRKMKRARRRHRKHSKSVGDVREPKKLDESLLGGLKPDNSPSTLKRLSTSCFEAMGKRAVTLKPDNSPPHI >DRNTG_09194.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4978327:4979210:1 gene:DRNTG_09194 transcript:DRNTG_09194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYIEFSVAMALVGMTLQGRESHVCGTTKASTLVHAAFRYLHAVLLWTLIGRGNNTGVVNLRDLLARALGLINHYSGMWQVRGMQPLEVTTLWAMCMIEWRDTPHKMQFWVVHGSDSKSLYDEGFLPKSTITVDPSPTTVPVVTAAAFSHSSMTAALPTSAPSALEDPSTTLAPTSPTVIALVVPEAPPALVTSLVLH >DRNTG_25013.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19464159:19473003:-1 gene:DRNTG_25013 transcript:DRNTG_25013.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 10 [Source:Projected from Arabidopsis thaliana (AT5G63810) UniProtKB/Swiss-Prot;Acc:Q9FN08] MYLFVWLQVENEYGDIETVYGEGGKPYAMWAANMALSKNIGVPWIMCQQYDAPGPVINTCNSFYCDQFTPNSLDKPKIWTENWPGWFKTFGSRNPHRPPEDIAFAVARFFQKGGSLQNYYMYHGGTNFGRTSGGPFITTSYDYDAPIDEYGLARLPKWAHLKELHKSIKLCESTMLFGMSTLLHLGHQQEANVYTDSSGNCVAFLANIDEVNDKLVDFQNRSYHLPAWSVSILPDCKNEAFNTAKIRSQTSMVDMVPENLQATMKSPSKFSGDLRWDIFMEKAGIWEEPDFVKETLVDHINTTKDSTDYLWYTTSLHVDEREDFLYAGSKGRLILESKGHAVHAFINQELQGSASGNGTVSPFKLETPITLKAGKNEIALLSMTVGLQNAGPFFEWVGAGLTSVKISGFRNGTVDLTSAVWSYKVGLEGEHKGVYNIDGSSKVKWISMSEPPRYKPLTWYKTVIDAPKGAEPVGLDMNHMGKGQAWLNGKAIGRYWPRKGPRHGCPASCNYRGKFFPDKCNNGCGEPTQRWYHVPRSWFQPSGNILVIFEEKGGDPTKIALSRRRVTGVCGLVSENYPSIDLESWDRGVKFEDGTKASIHLKCPERTQISSVRFASFGNPSGICGSYIQGSCHYPHSASVVEKACLNKNECVVSLSEAKFVTTELCDGVTKTLAIEAACD >DRNTG_25013.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19459703:19461210:-1 gene:DRNTG_25013 transcript:DRNTG_25013.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 10 [Source:Projected from Arabidopsis thaliana (AT5G63810) UniProtKB/Swiss-Prot;Acc:Q9FN08] MHYIFKQITILMYSQPNVILRLIVKKQESQTIKVKPGWKKGTKITFEGMGDERPGCLPADVIYMIAEKEHQCFKRVGNDLVLKVEVPLVNALTGWTFSFRLIGGEKMSCTFNDEIIYPGYEKVITGQGMPLANDKGMRGDLRIKFCIIFPGNLSDEQRSDIVQV >DRNTG_25013.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19459703:19474285:-1 gene:DRNTG_25013 transcript:DRNTG_25013.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 10 [Source:Projected from Arabidopsis thaliana (AT5G63810) UniProtKB/Swiss-Prot;Acc:Q9FN08] MAMSSSSLLIFFSLLCFSISSALAANVTYDHRSLIIDGRRRLIISTSIHYPRSVPEMWPRLVAMAKDGGADAIETYVFWNGHEPSPGNYYFEDRFDLVKFVEIVRDAGMYLLLRIGPFVAAEWNFGGVPVWLHYVPGTVFRTDNKNFKFYMEKFLTLIVDMMKQRRFFASQGGPIILSQVENEYGDIETVYGEGGKPYAMWAANMALSKNIGVPWIMCQQYDAPGPVINTCNSFYCDQFTPNSLDKPKIWTENWPGWFKTFGSRNPHRPPEDIAFAVARFFQKGGSLQNYYMYHGGTNFGRTSGGPFITTSYDYDAPIDEYGLARLPKWAHLKELHKSIKLCESTMLFGMSTLLHLGHQQEANVYTDSSGNCVAFLANIDEVNDKLVDFQNRSYHLPAWSVSILPDCKNEAFNTAKIRSQTSMVDMVPENLQATMKSPSKFSGDLRWDIFMEKAGIWEEPDFVKETLVDHINTTKDSTDYLWYTTSLHVDEREDFLYAGSKGRLILESKGHAVHAFINQELQGSASGNGTVSPFKLETPITLKAGKNEIALLSMTVGLQNAGPFFEWVGAGLTSVKISGFRNGTVDLTSAVWSYKVGLEGEHKGVYNIDGSSKVKWISMSEPPRYKPLTWYKTVIDAPKGAEPVGLDMNHMGKGQAWLNGKAIGRYWPRKGPRHGCPASCNYRGKFFPDKCNNGCGEPTQRWYHVPRSWFQPSGNILVIFEEKGGDPTKIALSRRRVTGVCGLVSENYPSIDLESWDRGVKFEDGTKASIHLKCPERTQISSVRFASFGNPSGICGSYIQGSCHYPHSASVVEKACLNKNECVVSLSEAKFVTTELCDGVTKTLAIEAACD >DRNTG_25013.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19459590:19461210:-1 gene:DRNTG_25013 transcript:DRNTG_25013.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 10 [Source:Projected from Arabidopsis thaliana (AT5G63810) UniProtKB/Swiss-Prot;Acc:Q9FN08] MHLFKTSANPTKNLSRSGILTNIPPSSKLEAEARFKAITQAYEALHEQECQSMFGVNDEKPEMRRQYRNEDLPPYVTPRREFKNIYYANMARSPGYSSSFSNSLRRKPPPIERKLECTLEELCHGCRKEIKFTRDVVTKAGLIVKKQESQTIKVKPGWKKGTKITFEGMGDERPGCLPADVIYMIAEKEHQCFKRVGNDLVLKVEVPLVNALTGWTFSFRLIGGEKMSCTFNDEIIYPGYEKVITGQGMPLANDKGMRGDLRIKFCIIFPGNLSDEQRSDIVQVLKDTT >DRNTG_25013.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19459590:19461210:-1 gene:DRNTG_25013 transcript:DRNTG_25013.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 10 [Source:Projected from Arabidopsis thaliana (AT5G63810) UniProtKB/Swiss-Prot;Acc:Q9FN08] MHYIFKQITILMYSQPNVILRLIVKKQESQTIKVKPGWKKGTKITFEGMGDERPGCLPADVIYMIAEKEHQCFKRVGNDLVLKVEVPLVNALTGWTFSFRLIGGEKMSCTFNDEIIYPGYEKVITGQGMPLANDKGMRGDLRIKFCIIFPGNLSDEQRSDIVQVLKDTT >DRNTG_25013.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19459703:19461288:-1 gene:DRNTG_25013 transcript:DRNTG_25013.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 10 [Source:Projected from Arabidopsis thaliana (AT5G63810) UniProtKB/Swiss-Prot;Acc:Q9FN08] MHLFKTSANPTKNLSRSGILTNIPPSSKLEAEARFKAITQAYEALHEQECQSMFGVNDEKPEMRRQYRNEDLPPYVTPRREFKNIYYANMARSPGYSSSFSNSLRRKPPPIERKLECTLEELCHGCRKEIKFTRDVVTKAGLIVKKQESQTIKVKPGWKKGTKITFEGMGDERPGCLPADVIYMIAEKEHQCFKRVGNDLVLKVEVPLVNALTGWTFSFRLIGGEKMSCTFNDEIIYPGYEKVITGQGMPLANDKGMRGDLRIKFCIIFPGNLSDEQRSDIVQV >DRNTG_25013.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19473264:19474285:-1 gene:DRNTG_25013 transcript:DRNTG_25013.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 10 [Source:Projected from Arabidopsis thaliana (AT5G63810) UniProtKB/Swiss-Prot;Acc:Q9FN08] MAMSSSSLLIFFSLLCFSISSALAANVTYDHRSLIIDGRRRLIISTSIHYPRSVPEMWPRLVAMAKDGGADAIETYVFWNGHEPSPGNYYFEDRFDLVKFVEIVRDAGMYLLLRIGPFVAAEWNFGGVPVWLHYVPGTVFRTDNKNFKFYMEKFLTLIVDMMKQRRFFASQGGPIILSQASAFNELQYLILLAMC >DRNTG_25013.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19459703:19461210:-1 gene:DRNTG_25013 transcript:DRNTG_25013.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 10 [Source:Projected from Arabidopsis thaliana (AT5G63810) UniProtKB/Swiss-Prot;Acc:Q9FN08] MHLFKTSANPTKNLSRSGILTNIPPSSKLEAEARFKAITQAYEALHEQECQSMFGVNDEKPEMRRQYRNEDLPPYVTPRREFKNIYYANMARSPGYSSSFSNSLRRKPPPIERKLECTLEELCHGCRKEIKFTRDVVTKAGLIVKKQESQTIKVKPGWKKGTKITFEGMGDERPGCLPADVIYMIAEKEHQCFKRVGNDLVLKVEVPLVNALTGWTFSFRLIGGEKMSCTFNDEIIYPGYEKVITGQGMPLANDKGMRGDLRIKFCIIFPGNLSDEQRSDIVQV >DRNTG_25013.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19459590:19461288:-1 gene:DRNTG_25013 transcript:DRNTG_25013.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 10 [Source:Projected from Arabidopsis thaliana (AT5G63810) UniProtKB/Swiss-Prot;Acc:Q9FN08] MHYIFKQITILMYSQPNVILRLIVKKQESQTIKVKPGWKKGTKITFEGMGDERPGCLPADVIYMIAEKEHQCFKRVGNDLVLKVEVPLVNALTGWTFSFRLIGGEKMSCTFNDEIIYPGYEKVITGQGMPLANDKGMRGDLRIKFCIIFPGNLSDEQRSDIVQVLKDTT >DRNTG_29779.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001508.1:24718:30546:1 gene:DRNTG_29779 transcript:DRNTG_29779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTACCSWKGLACNNQTCNIISLDYGHLHSGPNNEWQLDGKIDASLVELKHLSYLDLSSNDFVGSQILDFIGSITTLSYLNLSNAGFHGEIPSQLGNLTNLSIFDMSRVNLANDVAVFDALTTLSSLFVVVLPNCQIQRIPASLPFVSNFTSLATIVLDGNQINATFPSWFFNIASLKNAYLLFNSFHGVILDSFEQLGMS >DRNTG_12675.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1575590:1579194:1 gene:DRNTG_12675 transcript:DRNTG_12675.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease adapter protein CLPS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68660) UniProtKB/Swiss-Prot;Acc:Q9SX29] METAICSRVALPANHVINSKPGDRLSSYKGQGRGIPVTVSATGPGKGGGLLERPTIEKTTPGRESEFDLRKSRKTAPPYRVMLHNDNFNKREYVVQVLMKVIPGMTLDNAVNIMQEAHYNGLSVVIICAQADAEEHCMQLRGNGLLSSIEPASGGC >DRNTG_03580.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13520152:13520936:-1 gene:DRNTG_03580 transcript:DRNTG_03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDNRLKMIIMGESEFKNYIKIHPHDEPYLNKQIEDHDLLEIVCGNDQATGRRAVQFGDEIGTHINDSDEYRHPSQTDSLDDMFEDTYFHVNIPLPTHNQSESTENRGESSAQSKKGKGKRKMPTEVEAIQEINNTIKETLLTKKSTQNLEFAKELIGECMKLKVYGYCGHQINKAYDWLMSDDSRAMAFLAKDESYGSIGRRTSLNQFTNKKNIFEVPFRFVFVCVCVL >DRNTG_20566.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001131.1:25514:29839:-1 gene:DRNTG_20566 transcript:DRNTG_20566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPNDSATQTGTSHPYQDNEDFITSESDQQMLLIKQQDEELDELSASVQRIGGVGLTIHEELIGQEKILGELSLEMETTSNRLDFVQKRVAMVMKKAGAKGQFMIIAFLLVLFIILFILVFLT >DRNTG_27459.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21815192:21815991:-1 gene:DRNTG_27459 transcript:DRNTG_27459.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHYTSYKPSDLCECVKALHRLFCNSPSNSLPAIREKYSQHKYKFVAKKYCPATIPSEFFQDSGI >DRNTG_27459.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21815192:21820552:-1 gene:DRNTG_27459 transcript:DRNTG_27459.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGNRRSVVPSSSSGLAKRPAAAENAAKASAAAPQHAKKRVALGNLTNQSSLGRAVPRPAAGAKGNNAKSGTSTSIVKKAPVTRVHEAAPRPTANAFLAPCNTVISPNRSRDSVSLDETMSTCDSMKSPDFEYIDNGDSSIVASLERRATDNLRISEDDDDTLDCTLKRDVPVSMGTMDNIIDLDSDHKDPQLCATLACDIYKHLRIAETKKRPSMDFIEKIQKDINASMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSTNEVNRQRLQLLGVACMLIASKYEEICAPQVEEFCYITDNTYFKEEVLQMEAVVLKHLKFEMTAPTPKCFLRRFVRAAQACDEVSHLQLEFLADYLAELSLLEYNFLCYSPSLVAASSIFLAKFICQPTKRPWCATMVHYTSYKPSDLCECVKALHRLFCNSPSNSLPAIREKYSQHKYKFVAKKYCPATIPSEFFQDSGI >DRNTG_28640.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23839619:23844189:-1 gene:DRNTG_28640 transcript:DRNTG_28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGALPLGTMLHIALACMAKRYGPVMCLKLGVVGVVVSSTTDAAKVFLKTLDVNFSNRPI >DRNTG_32087.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22343004:22347598:1 gene:DRNTG_32087 transcript:DRNTG_32087.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAMNRQRVILKHLLPSSSSASDSLAVLSASVCSAGDSAAYQRSSCFGDDVVVVAAFRTPICKAKRGGFKDTFAEDLLTPVLKALIDKTKIDPSEVGDIVVGTVLGPGSQRAIECRMAALYAGFPETVPLRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLECMSVNAAGWEGTVNPKVNTLQQAQDCLLPMGITSENVAHRFGVTRQEQDQAALESHRRAAAAVAAGKFKEEIIPVPTKIVDPKTGDEKKVTISMDDGIRPETALSGLSKLRPVFKKDGTTTAGNSSQVSDGAGAVLLMKRSVANQKGLPILGVLRSFAAVGVDPAVMGIGPAVAIPAAVKSAGLQIEDIDLFEINEAFASQFVYCLKKLELDPSKVNVNGGAIALGHPLGATGARCVSTLLNEMKRKGRDCRFGIVSMCIGSGMGAAAVFERGDGVDDLSNARICQSPNFLSKDAF >DRNTG_19950.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:517226:521617:1 gene:DRNTG_19950 transcript:DRNTG_19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHKKSQMRVRLRVTAKKRAKDGAVVGESLRKLRERGSLNSVKKLLRREIGGLPRMSRGTSSNAPEKFRNIQLQEEFDTYDDNIHWFLKLQFLKKRSKIIEIVAAKDVIFALAQSGLCAAFSRTTNRRICFLNISPDEVIRSLFYNKNNDSLITVSVYASDHFSSLKCRTTPIEYIRRNQLDAGFPLFETESLKWPGFVEFDDVNGKVLTYSAQDGIYKVFDLKNYSFLYSISDKNVQEIKISPGIMLLIFHRTPGYVPLKILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNSELTEVSRTEFMTPSAFIFLYENHLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKAEENCEDEGEVSAVGSINMSDILTGKCIAKICANDPQLRINLRGRNETGRSSIRSTIREALEDVTALFYDEDRNEIYTGNKQGLIHVWSN >DRNTG_32478.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001842.1:2353:2922:-1 gene:DRNTG_32478 transcript:DRNTG_32478.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIISTSTSNVTRGCIKDEREALLAFEAEIIYHKVHPISLWGNQADDCCYWAGVRCDNNSGHIVRLDLQRKQP >DRNTG_32488.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12208562:12212127:-1 gene:DRNTG_32488 transcript:DRNTG_32488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTKVDKEKPRKPEGVKHVAFATDKVKESTTSANYDKPKPPSLGSGSEPPKPIRGISEGMQAEGGFQVPKEPAPLGVPEGLWEIFISKKSRKMLKKLGELPGIKWRRAQEPDEKPKQKAKKNKKIKNKKKKQNQVKPAGFHYKKSIIEEYIDSLEDYQQKERALITLEAWDDVDYEDELELHYPNEDDSVPEQLYDRHGRPYVSKEQKKKQAFKERIRMANARLQEASTQSYQANQHYPKEKSRRAPKYKILRKVMLYEEIPSFIKEVAYNFTHRKPHVPDGDAPWNESGIMEPVNLSFYQEETHLHHSEDRRADMEEGRAPHLLSNSSRHIDPCLAKPVRVSLYFVETHMTKLLTHLVLQ >DRNTG_19957.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:475986:477616:1 gene:DRNTG_19957 transcript:DRNTG_19957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVDVISLSFGGGAVPFYNDAVAIGALAAIEKGIIVTSSAGNSGPAKGTVENDSPWLITVGASTMDRMIRARVKLGNGEELLGESAYHPSGFTYGFLPIVYPGQHGGSRAKACSDGSLSRINVKGMVVLCHNFGENNTVEKGIIVQKAGGVAMILINEKDQNMTTQATAHVLPATHVSYSDSKRILAYVLSKTNPTATIIFDGTLYGISPAPSVASFSSRGPSSVNEGVLKPDIIAPGVNILAAWPSPVGPLSLESPVNSNITSMEFNIVSGTSLASPVIAGVATLLKIAHPDWSPAVIKSAIMTTANTLDRDGFPISDLYSMGAGHINPAKANDPGLVYDIVGDDYIAYLCGLGYTDKQVSAVARRIIDCANIDPINAEELNYPSVSVALGSKAKKTITRSVMNVGESESLYSMQIKRPEGVEVSVYPEKLSFSEMNQTLTFSLYFSSNDVSAMRGSVSEGYLRWVSNKH >DRNTG_12117.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7095593:7097069:-1 gene:DRNTG_12117 transcript:DRNTG_12117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFAWMDVYKRDHAMIKPESHVFSPLPDCVMGAHFVMNLAKMEAFPFPKLSLLGHPCLKEELHFPSLSRRRIPAEVFAISSVCSLRLVIMAINLVNGRCYLTPVVETVAE >DRNTG_10929.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:70767:74641:1 gene:DRNTG_10929 transcript:DRNTG_10929.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLLILLVLLPLQLSFSALQPDIKLLISFKSSLPNPQTLPTWSSNGDPCASFSGVTCISGRVAVVDLTGVALTSDFHAVTSYLLALDNLQSLFLKSTNLTGTLAGGRCSGGQLAVLDLSENGLKSSLADLLSFAASCSSLTLLNLSTNFITGGGKGIAGAGAGTSFETLDLSFNQISDVEDLHQLLSLPGILRLNLTGNGLSGQIPAITNGSSLQHLDLSSNNLYGEIGAGVFAGCRSLLSLNLSSNHFTGTLPADLSSCYSLTSLSLSNNNFSGEIPVETLTSLPDLITLEFAFNNLSGKLPDTLSKLTKLELLDLSSNGFFGSIPSGLCQSPATSLRELYLQNNIFTGLVPPSLSNCSMLVSLDLSFNYLHGAIPASLGSLSRLRDLIMWQNLLSGEIPSELTLAQSLENLILDNNGLQGTIPEGLVNCSNLNWISLSSNKLSGPIPSWLGRLSNLAILKLGNNSFSGPIPPELGDCKSLIWLDLNSNSLNGTIPATLSKQSGNIAVGLVTGKRYVYLKNDGSTECHGAGNLLEFAGIRPDQLSRLPSRRFCNFTRVYMGNTRYTFKNNGSMIFLDLSYNQLSGEIPRPLGSMYYLMILNLGHNLLSGSIPPALGGLRYVAVLDLSHNLLEGPIPSTFSGLAMLSEIDLSNNQLNGSIPQLGQLATFPRYRYENNSGLCGFPLPSCDDGPSSGDNGQRRKSHRWQASLAGSIAMGLLFSLFCILGLILVFFESKKRRQRRKDISNVSTRDVDSRSHSGTATTAWKLTATKDAMNINLSTFDKPLRKLTFADLLEATNGFHNDSLIGSGGFGDVYKAQLKDGSVVAIKKLIHISGQGDREFTAEMETIGKIKHRNLVPLLGYCRVGEERLLVYEYMKYGSLEDVLRDRRRAGIKLNWAARRKIAIGAARGLAFLHHNCFPHIIHRDMKSSNVLLDDNLEARVSDFGMARMMNAVDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLTGKQPTDSSDFGDNNLVGWVKQHSKLRIIDVFDPELLKEDPSLELELLEHLKIACSCLDDRPMRRPTMLKVMAMFKEIQAGSTVDSTPSATTTEGTTTFTVVDMKMNGGLKV >DRNTG_10929.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:70767:75026:1 gene:DRNTG_10929 transcript:DRNTG_10929.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLLILLVLLPLQLSFSALQPDIKLLISFKSSLPNPQTLPTWSSNGDPCASFSGVTCISGRVAVVDLTGVALTSDFHAVTSYLLALDNLQSLFLKSTNLTGTLAGGRCSGGQLAVLDLSENGLKSSLADLLSFAASCSSLTLLNLSTNFITGGGKGIAGAGAGTSFETLDLSFNQISDVEDLHQLLSLPGILRLNLTGNGLSGQIPAITNGSSLQHLDLSSNNLYGEIGAGVFAGCRSLLSLNLSSNHFTGTLPADLSSCYSLTSLSLSNNNFSGEIPVETLTSLPDLITLEFAFNNLSGKLPDTLSKLTKLELLDLSSNGFFGSIPSGLCQSPATSLRELYLQNNIFTGLVPPSLSNCSMLVSLDLSFNYLHGAIPASLGSLSRLRDLIMWQNLLSGEIPSELTLAQSLENLILDNNGLQGTIPEGLVNCSNLNWISLSSNKLSGPIPSWLGRLSNLAILKLGNNSFSGPIPPELGDCKSLIWLDLNSNSLNGTIPATLSKQSGNIAVGLVTGKRYVYLKNDGSTECHGAGNLLEFAGIRPDQLSRLPSRRFCNFTRVYMGNTRYTFKNNGSMIFLDLSYNQLSGEIPRPLGSMYYLMILNLGHNLLSGSIPPALGGLRYVAVLDLSHNLLEGPIPSTFSGLAMLSEIDLSNNQLNGSIPQLGQLATFPRYRYENNSGLCGFPLPSCDDGPSSGDNGQRRKSHRWQASLAGSIAMGLLFSLFCILGLILVFFESKKRRQRRKDISNVSTRDVDSRSHSGTATTAWKLTATKDAMNINLSTFDKPLRKLTFADLLEATNGFHNDSLIGSGGFGDVYKAQLKDGSVVAIKKLIHISGQGDREFTAEMETIGKIKHRNLVPLLGYCRVGEERLLVYEYMKYGSLEDVLRDRRRAGIKLNWAARRKIAIGAARGLAFLHHNCFPHIIHRDMKSSNVLLDDNLEARVSDFGMARMMNAVDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLTGKQPTDSSDFGDNNLVGWVKQHSKLRIIDVFDPELLKEDPSLELELLEHLKIACSCLDDRPMRRPTMLKVMAMFKEIQAGSTVDSTPSATTTEGTTTFTVVDMKMNGGLKV >DRNTG_10929.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:70767:74551:1 gene:DRNTG_10929 transcript:DRNTG_10929.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLLILLVLLPLQLSFSALQPDIKLLISFKSSLPNPQTLPTWSSNGDPCASFSGVTCISGRVAVVDLTGVALTSDFHAVTSYLLALDNLQSLFLKSTNLTGTLAGGRCSGGQLAVLDLSENGLKSSLADLLSFAASCSSLTLLNLSTNFITGGGKGIAGAGAGTSFETLDLSFNQISDVEDLHQLLSLPGILRLNLTGNGLSGQIPAITNGSSLQHLDLSSNNLYGEIGAGVFAGCRSLLSLNLSSNHFTGTLPADLSSCYSLTSLSLSNNNFSGEIPVETLTSLPDLITLEFAFNNLSGKLPDTLSKLTKLELLDLSSNGFFGSIPSGLCQSPATSLRELYLQNNIFTGLVPPSLSNCSMLVSLDLSFNYLHGAIPASLGSLSRLRDLIMWQNLLSGEIPSELTLAQSLENLILDNNGLQGTIPEGLVNCSNLNWISLSSNKLSGPIPSWLGRLSNLAILKLGNNSFSGPIPPELGDCKSLIWLDLNSNSLNGTIPATLSKQSGNIAVGLVTGKRYVYLKNDGSTECHGAGNLLEFAGIRPDQLSRLPSRRFCNFTRVYMGNTRYTFKNNGSMIFLDLSYNQLSGEIPRPLGSMYYLMILNLGHNLLSGSIPPALGGLRYVAVLDLSHNLLEGPIPSTFSGLAMLSEIDLSNNQLNGSIPQLGQLATFPRYRYENNSGLCGFPLPSCDDGPSSGDNGQRRKSHRWQASLAGSIAMGLLFSLFCILGLILVFFESKKRRQRRKDISNVSTRDVDSRSHSGTATTAWKLTATKDAMNINLSTFDKPLRKLTFADLLEATNGFHNDSLIGSGGFGDVYKAQLKDGSVVAIKKLIHISGQGDREFTAEMETIGKIKHRNLVPLLGYCRVGEERLLVYEYMKYGSLEDVLRDRRRAGIKLNWAARRKIAIGAARGLAFLHHNCFPHIIHRDMKSSNVLLDDNLEARVSDFGMARMMNAVDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLTGKQPTDSSDFGDNNLVGWVKQHSKLRIIDVFDPELLKEDPSLELELLEHLKIACSCLDDRPMRRPTMLKVMAMFKEIQAGSTVDSTPSATTTEGTTTFTVVDMKMNGGLKV >DRNTG_05743.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7229371:7232323:1 gene:DRNTG_05743 transcript:DRNTG_05743.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEFPGVIHRSHLHPLVLSPSDRYSLLKSNVDALHLIQIGLTLSDHAGAARFVWEFNLKDFDLRRDEHAPESIDLLRSHGMDFDENRERGIDSARFAERMMASGLLCNDSAVSWVTFHSAYDFGYLIKVLTGRLLPKRMEEFLDLVRVFFGEKVFDVKYMMRYCEGLCGGLERVAREMGVERVAGRSHQAGSDSLLTWHAYARMKERFFGDDSEGEEHAGVLYGLEVF >DRNTG_05743.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7229371:7232919:1 gene:DRNTG_05743 transcript:DRNTG_05743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEFPGVIHRSHLHPLVLSPSDRYSLLKSNVDALHLIQIGLTLSDHAGAARFVWEFNLKDFDLRRDEHAPESIDLLRSHGMDFDENRERGIDSARFAERMMASGLLCNDSAVSWVTFHSAYDFGYLIKVLTGRLLPKRMEEFLDLVRVFFGEKVFDVKYMMRYCEGLCGGLERVAREMGVERVAGRSHQAGSDSLLTWHAYARMKERFFGDDSEGEEHAGVLYGLEVF >DRNTG_05743.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7229371:7232323:1 gene:DRNTG_05743 transcript:DRNTG_05743.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEFPGVIHRSHLHPLVLSPSDRYSLLKSNVDALHLIQIGLTLSDHAGAARFVWEFNLKDFDLRRDEHAPESIDLLRSHGMDFDENRERGIDSARFAERMMASGLLCNDSAVSWVTFHSAYDFGYLIKVLTGRLLPKRMEEFLDLVRVFFGEKVFDVKYMMRYCEGLCGGLERVAREMGVERVAGRSHQAGSDSLLTWHAYARMKERFFGDDSEGEEHAGVLYGLEVF >DRNTG_03458.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6955493:6960550:1 gene:DRNTG_03458 transcript:DRNTG_03458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASTQACENFLRPCARPTGAPTRPCGSFESLPSTSQPLLKVIAFTCSFRLVSVLLGKLPCGLSNRDFVICPT >DRNTG_09852.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000417.1:55314:61653:-1 gene:DRNTG_09852 transcript:DRNTG_09852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYRNPKLFLSLALLLLPCVISIDPLFSICSSSNDYSASQTFKHNLNKLMYTLANRAPAIGFGLESVGEGQNQVNGLVLCRGDLKIVACSTCIRAAEGHVRKLCPFKKEATIWFDGCLFRYSNNKFFGELDNSNEFCMTNTLNASVNSVAFNMKVTELMHRLALAAHLSPLLYATGEIKIGESEKLHGLVQCTRDLSGGDCKKCLENAIVSSQAIGHAREYHYSSSSYIVIYNEETSSAGCGFLVYDHQAKFIMAGSCWCPAASELEAELMALCFGLHCCRSGGTNIKTILASNEILVEAFKQGFFIDCWRLNWQVFAVKELLAHFGDPKMNTIPRCWNQATSSIALHGLDHHNISLFHQVDRLKGGSGDVTMTAVNEGSMALHLTSEALSTAVNDILRFSSCSLEHKG >DRNTG_19065.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29024922:29027801:1 gene:DRNTG_19065 transcript:DRNTG_19065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEQFEYGDYICGVVVNVRAKQEKISLWTQNGSDEVAQASIGKQWKEFLDYKENIGFILH >DRNTG_19065.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29024922:29027067:1 gene:DRNTG_19065 transcript:DRNTG_19065.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEQFEYGDYICGVVVNVRAKQEKISLWTQNGSDEVAQ >DRNTG_05877.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13731146:13731916:1 gene:DRNTG_05877 transcript:DRNTG_05877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICQRSSELSEWKKQAGIDRIWGMIASFFGLKARACGSLSIPVLERYNLVVRLSMSGSFPCPLSRCGAFHSALSTLYRNAGR >DRNTG_13118.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17288286:17295892:-1 gene:DRNTG_13118 transcript:DRNTG_13118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTTPTLGKFFIQHKVLTKINMNIQGKLSRPDPRARHVTTAATIPRRDTHHSTLESSQGSQTPAKQHRNNKGTKNKTFTRRINSKVKDIQITFTELITLVDPLHSYNAT >DRNTG_13118.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17292973:17295892:-1 gene:DRNTG_13118 transcript:DRNTG_13118.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITTTPTLGKFFIQHKVLTKINMNIQGKLSRPDPRARHVTTAATIPRRDTHHSTLESSQGSQTPAKQHRNNKGTKNKTFTRRINSKVKDIQITFTELITLVDPLHSYNAT >DRNTG_25051.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001323.1:7654:11285:1 gene:DRNTG_25051 transcript:DRNTG_25051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGKERTRLRGGKRRGRTSQAHSNIEGSSNADSAPFSNGNIPNVDETMNSIGSQEPNHNVVAISATQGKHGTTQDASTINGRLCITVVNGLLEASSKCAQIITKLF >DRNTG_06048.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32577278:32579099:1 gene:DRNTG_06048 transcript:DRNTG_06048.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISHGPTSSRVASGSAANLTYSTLDAMKGSGAKHAGEASAYQGESEGKGNSIIFKPKETNSQHVGEGFAQEGSAIRPGIAPNVKFGGSGSYPDLPWVSTTGSGPNGKTISGVTYKYNKDQIKIVCACHGTHMTPEEFVQHANADAPVLENNTGLGTISSSNPAASAHS >DRNTG_02842.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19997155:19998261:1 gene:DRNTG_02842 transcript:DRNTG_02842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFTNKKKTLQAEHGKLIRHSSPP >DRNTG_26622.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:348325:349657:1 gene:DRNTG_26622 transcript:DRNTG_26622.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIGRTHHRNLVRLLGFCNEGSNRLLVYEFMSNGSLADLIFKPDHPNRPPWRDRLRIALDVARGIHYLHEECETHIIHCDIKPQNILMDENWTAKISDFGLAKLLMPTQTRTFTGIRGTRGYLAPDWHQNLPITVKTDVYSFGIVLLEILCCRRNMDLEAEVDEIILSQWVYNCCIAGELEKLVLNEEVDMVEFERVVKVALRCIQTDPTQRLSMKNVIIMLEGLVEISSPPHP >DRNTG_26622.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:346917:348193:1 gene:DRNTG_26622 transcript:DRNTG_26622.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFMFFLILQTPDFQSAAAAPPPPAIAAPLSPPEAPAAPTTAPPPKAPAVRPPPPPKAAAPPPPPPPESNCQINFDSTLSTSSSWPSPSGSFAFGFYPEGSGALKVGIQFIVPSNKTTVIWTSNRDGSPVSENATLKFGEGGLKLLRATSQGKDQYVSDLDQSSSSSVYCASMLDSGNFVIYDSSSSVIWQTFDYPTDTLMVGQLLRNETELISSVSEMNHSSGRFRLRMQTNGNLIMCPVGIDYTADYAYWDSNTYLGPYLYLSLSEDGILFLTDNNQSQRRNLTRGDQSYNTNTVHLARLEPNGMLHVYMPMIYWGTPLMFWIHDQMMSAK >DRNTG_26622.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:347195:349559:1 gene:DRNTG_26622 transcript:DRNTG_26622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLENVQWLKQHLSAKQTFTASKNDCRQSCLDDCLCDAAIYNGNDNSCSKQSFPLNYGKENTSTSNPTSTFIKRTERRDIDVVPRVKKELTGGPLIVFVGVVSGLIIFILIFVFVIFKCQSGRYRLIWRSKELSFFDEIAPRSFSYSELYEATEGFKEEVGKGAFGTVFRGTLPSTGKSVAVKRLEKVVEEGEREFQTEMKAIGRTHHRNLVRLLGFCNEGSNRLLVYEFMSNGSLADLIFKPDHPNRPPWRDRLRIALDVARGIHYLHEECETHIIHCDIKPQNILMDENWTAKISDFGLAKLLMPTQTRTFTGIRGTRGYLAPDWHQNLPITVKTDVYSFGIVLLEILCCRRNMDLEAEVDEIILSQWVYNCCIAGELEKLVLNEEVDMVEFERVVKVALRCIQTDPTQRLSMKNVIIMLEGLVEISSPPHP >DRNTG_10558.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000445.1:16948:19357:1 gene:DRNTG_10558 transcript:DRNTG_10558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKEPIKVGEELPLNRRRPSPQTLAGSLSMSMLKAPQKVPLESAKSTFAAVISTNAMINILLLRPHDRAHDHMVGYTAFPSSMRLERTHSEARFTDGFLDAMTEEESIKVGDEAPPKPQRPPLQTQPSHPSRAAQKMRKNRANRLFIGLRSGLSCALMRPCGFSTRPRGLQEFSRGPVEFPREQ >DRNTG_05023.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5651866:5652518:1 gene:DRNTG_05023 transcript:DRNTG_05023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAKRCYRKHSKAVEDVREPNKLDEPLLEKTHARVEFPHKRASLFRAHPEKTQGCGLAPVSDLVMVLARG >DRNTG_16629.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31468528:31470188:-1 gene:DRNTG_16629 transcript:DRNTG_16629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQYLFVTATLPVDIYNKLVETFPDCEVIMGPGLHRMSSRLEEVLVDCSGDVEGEKSPDTAFTNKRSALLQLVQESPVSRTIVFCNKIETCRKVENALKRFDRRGVH >DRNTG_09755.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10867690:10870710:-1 gene:DRNTG_09755 transcript:DRNTG_09755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVQMAEAETFAFQAEINQLLSLIINTFYTNKEIFLRELISNSSDALDKIRYESLTDKSKLDAQPELFIRLVPDKVNKTLSIIDSGIGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYIWESQAGGSFTVTRDVNGEPLGRGTKITLFLKEDQLEYLEERRLKDLVKKHSEFISYPIYLWTEKTTEKEVSDDEDEDNKKEEEGDVEEVDEDKEKEESKKKKKVKEVSHEWTLINKQKPIWLRKPEEITKEEYASFYKSLTNDWEDHLAVKHFSVEGQLEFKAILFVPRRAPFDLFDTRKKMNNIKLYVRRVFIMDNCEELIPEYLSFVKGVVDSDDLPLNISREMLQQNKILKVIRKNLVKKCLEMFSEIAENKEDYTKFYEAFSKNLKLGIHEDSQNRAKLADLLRYHSTKSGEEMTSLKDYVTRMKEGQKDIYYITGESKKAVENSPFLEKLKKKGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLEDTEEEKRRKEEKKAAFESLCKTMKDILGDKVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDSSMSSYMSSKKTMEINPENGIMEELRKRAEADKNDKSVKDLVLLLYETALLTSGFSLDDPNTFAGRIHRMLKLGLSIDEDETAGDDVDMPPLEEYVQEESKMEEVD >DRNTG_01692.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23756144:23756547:-1 gene:DRNTG_01692 transcript:DRNTG_01692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGRNRSRKPVETQTISQSQSSSSTVTSLAPSGSSSSFQSATPLHAVTGTGNNPQGSFSVGSSGGSSSRLHVDPGSYGMASKDYR >DRNTG_28125.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18822773:18824154:-1 gene:DRNTG_28125 transcript:DRNTG_28125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETENRLAAMLMEEARRLRLQAENEGVHAYLKPNVRARPNSRFLTATVL >DRNTG_28125.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18822773:18823314:-1 gene:DRNTG_28125 transcript:DRNTG_28125.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLYFCSQSISNLTRLHCQKVMDLETENRLAAMLMEEARRLRLQAENEGVHAYLKPNVRARPNSRFLTATVL >DRNTG_17240.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000886.1:1949:4883:1 gene:DRNTG_17240 transcript:DRNTG_17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAECSFSLYDEALHLKFKFLITSKPQANISKDFFVLLLLSPKCWLPKSPSRNPPNPLLYPPRILPNGGRMGHKMRPKKTPPKSLPYQILTYTPQEYSPMEASWKSLHT >DRNTG_07479.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:955918:956690:1 gene:DRNTG_07479 transcript:DRNTG_07479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQDKVLMESWYYLKDTVLNGGIPFNMAHGMTSFEYHGTDPRFNKVFNEGMKNHSAIIMKRILEKYRGFDDVKVLVDVGGGVGGTLAQVVAKHKHIKGINFDLPHVISEAAPIP >DRNTG_20633.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20531098:20534619:1 gene:DRNTG_20633 transcript:DRNTG_20633.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRCCLPKSSWSRMEGWREGGKDSGNKPGSGTTEVALVVVSELEKRARRAERFGIIVMLLEEEKRDS >DRNTG_20633.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20531098:20534619:1 gene:DRNTG_20633 transcript:DRNTG_20633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRCCLPKSSWSRMEGWREGGKDSGNKPGSGTTEVALVVVSELEKRARRAERFGIIVMLLEEEKRDS >DRNTG_20633.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20531920:20534619:1 gene:DRNTG_20633 transcript:DRNTG_20633.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRCCLPKSSWSRMEGWREGGKDSGNKPGSGTTEVALVVVSELEKRARRAERFGIIVMLLEEEKRDS >DRNTG_30618.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1858075:1858401:1 gene:DRNTG_30618 transcript:DRNTG_30618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMVLMDNVARGEWMEEDVNGRERRMTDGNGVWVTQQMSASSGPAPCNLDHGALEEGVVVGAHTRKPMEPLRGPTVSHALDPVHQDTCRLHGRGGAGGPRILFISRAW >DRNTG_20865.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17364959:17366161:1 gene:DRNTG_20865 transcript:DRNTG_20865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKNSRSGGRIYHAGGERGRSRVPTKGLALHGAKYNQRNRM >DRNTG_33624.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002029.1:105058:114793:1 gene:DRNTG_33624 transcript:DRNTG_33624.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTRSLCHAAATCSMFNKCAVDPLCYANIDLTAVVPKVNNTVVSTMIQRAGRNLQSLKLGIWPSPSSAEISQSMSYSSRNSIDTSGPSWNEKKPRQGRESSVLSRSCLLALSVDGGTAGALLKRLHLYNIDKIDGPALCTALSACQSLIDLEVIGLHIELRRTLDAVSTYCHSMKRLFLESSETGRDDSLKSTTCLDLVTGCPNLISLALRGFKLQDHKVKILVKGLHYLKVVDFSTSYSITGIFLRNLVNGASAPALEVLILRDCLHLKEVEIARFLSAVLGGDCQLLKYLDISNKDGLSAEEDWNNRCYNPRIPIMQVLEARPDICLVAEFPQEASFVDIECSSNSQVSRSSDGQISSSSSLQMMPNMSLDLSSANSSESNYNSDQGSGNDDGQDAAYVAYDGDSFDDADYQSPW >DRNTG_33624.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002029.1:105058:114793:1 gene:DRNTG_33624 transcript:DRNTG_33624.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTRSLCHAAATCSMFNKCAVDPLCYANIDLTAVVPKVNNTVVSTMIQRAGRNLQSLKLGIWPSPSSAEISQSMSYSSRNSIDTSGPSWNEKKPRQGRESSVLSRSCLLALSVDGGTAGALLKRLHLYNIDKIDGPALCTALSACQSLIDLEVIGLHIELRRTLDAVSTYCHSMKRLFLESSETGRDDSLKSTTCLDLVTGCPNLISLALRGFKLQDHKVKILVKGLHYLKVVDFSTSYSITGIFLRNLVNGASAPALEVLILRDCLHLKEVEIARFLSAVLGGDCQLLKYLDISNKDGLSAEEDWNNRCYNPRIPIMQVLEARPDICLVAEFPQEASFVDIECSSNSQVSRSSDGQISSSSSLQMMPNMSLDLSSANSSESNYNSDQGSGNDDGQDAAYVAYDGDSFDDADYQSPW >DRNTG_33624.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002029.1:112373:114793:1 gene:DRNTG_33624 transcript:DRNTG_33624.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLEARPDICLVAEFPQEASFVDIECSSNSQVSRSSDGQISSSSSLQMMPNMSLDLSSANSSESNYNSDQGSGNDDGQDAAYVAYDGDSFDDADYQSPW >DRNTG_28719.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8271628:8273936:-1 gene:DRNTG_28719 transcript:DRNTG_28719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFPIGDLRPFSGFCDGLELPASSSLVMDGDRGELMRAGRGRSGGCGDLNDRAAMALKSHSEAERRRRERINAHLSTLRGLIPSSDKLDKASLLAEVISHVKKLKSNATEISKGCIVPSEVDEARVETESIGLNSEALMIKASLSCDDRPEILADLKQTLQTFKLKTLGAEISTLSGRMKVVFLLACEGNISDTEGHLLTTSIQQALRSVLDRATSPQDFLLRASYPNKRRRIPLFESSSSSS >DRNTG_28719.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8271582:8273803:-1 gene:DRNTG_28719 transcript:DRNTG_28719.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFPIGDLRPFSGFCDGLELPASSSLVMDGDRGELMRAGRGRSGGCGDLNDRAAMALKSHSEAERRRRERINAHLSTLRGLIPSSDKLDKASLLAEVISHVKKLKSNATEISKGCIVPSEVDEARVETESIGLNSEALMIKASLSCDDRPEILADLKQTLQTFKLKTLGAEISTLSGRMKVVFLLACEGNISDTEGHLLTTSIQQALRSVLDRATSPQDFLLRASYPNKRRRIPLFESSSSSS >DRNTG_15596.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3975598:3979651:-1 gene:DRNTG_15596 transcript:DRNTG_15596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPLELEEEAKEEIKHRGRAQSRRVAPASTVEKALLNGDVYRGRFAGNVPHGEGKYLWTDGCMYEGEWRRGKAAGKGKFSWPSGATFEGEFKSGRMEGIGTFTGPDGGTYRGSWVADRKHGFGRKSYPNGDFYEGSWRRNVQQGQGRYVWRNGNEYVGEWKNGVISGRGVLIWANGNRYDGHWENGVPKGSGVFTWPDGSCYVGMWGKDPRSLNGTFYPAATPAVVERRSSGAADKGFPRICIWDSDGEAGDITCDIIDALEASMLYRDGSTFGRRSAGDRRSPCCFTNGEVKKPGQTISKGHKNYSLMLNLQLGIRYSVGKLALTELRELRPVDFDPSEKFWTRFPTEGTKITPPHHTAEFRWKDYCPMVFRHLRKLFSVDAADYMLTICGSDALRELSSPGKSGSFFYLSQDDRFMIKTVKKSEVKVLIRMLPSYYKHVCCYENSLVTKFYGVHCVKPIGGPKVRFIVMGNFFCSEYRIHRRFDLKGSSYGRTTDKPEEEIDETTILKDLDLNFVFRLHKSWFRELLGQIDRDCEFLEAERIMDYSLLVGVHFRDDVSASKIGFSNSMCSPKYLRKISSCKGGGMAEICLSDFEHGLPGGRKPVMRLGVNMPAMAEQVSRRSDTESTPSSRGGFRTPSCTRKGEEYEVFLYFGIIDILQDYDICKKLEHAYKSLQVDPTSISAVDPKLYSKRFRDFIAKIFVEEE >DRNTG_13308.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22956801:22961543:-1 gene:DRNTG_13308 transcript:DRNTG_13308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPNETPISFFLAVGQTMARKQRPKGKTGPFAFVFKRHARLFMAEVGVGGGAKVRVVKCPKCEKLLPELANFTVYKCGGCNATLQAKKPAAVMGAPLGQPDGEKAKMPENLKSSSNKMVASEVISDTDFEVNSSGCLMENGSNEVAESNTKGSQTRKVLNEPQSPDRRIGNKVVLDGVDSKVGRYRHPSKALVRDISPNAAEMPKTNAGDDLRFNEQNEFPNVQQEVPRAPKAWKGEERGSLPSYRGALRVSAEGIGLGPYPDEGPSNYHMKQNAGGLNRVQNLDQDRAELLQKLDELRDRLRRSCEVTDTNRDRTPTHKRAVSSNPYGNHGQNAWFSDGSSSLNRASSRHDPFLNEHIVDMANAYPATQSSFPGYREPFGSQSLRMPAFHRNVQHPQGSYNGYPFGQLDRDPVFSYNYDGMYHQPACSCQHCNRHCPVPAQAPPTIFGSRRAPYIAHNNGFYPVEDHLHFGQRSYNHRLASASLNSHGPLRNKRPVFNKDGRSCRPVAGAAPFVVCCNCSKVLELPSRLLLVKKKKFKLQCGSCSQVISVELDGKKLTTSVVIEDNGPTGGMKENLPCCDNLNQYPGMLYSGDYDSPGYEVGSSDDKLVLPSFPNSSPEMLEKECGFNLSESEKMQGLSSSSSTTEDVESSDSLICQQDMPSSTELPVEVELPAHVPGLPLREHFAHPLSSHVMNGPGKGSRSRRSDQEKTVPVHGNFKQNSVKDVPVAAEMDLSVDDGPNPGISHDTWEVGRYENQPKAGKGSDSFLAGLIKKSFKKDFRFNQSEENSKSKVSVNGHPISDRLVKKAEKQAGSIQTGDYWYDYRAGFWGVIGHQCLGIIPPFIEEFNYPMSRSCSSGNTGVIVNGRELHQKDLDLLVGRGLPPTEGGSYIVEISGKVWDEATGEELDCLGKLAPTVERVKHGFGMRVPRATT >DRNTG_04232.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30322081:30324572:1 gene:DRNTG_04232 transcript:DRNTG_04232.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLGPSLEDLFNFCSRKLSLKSVLMLADQMINRLEFVHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGFVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSVEALCRGYPSEFASYFHYCRSLRFDDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQAQIVSAPPRAMTPSAGPSSGLPPAVADADRKTGRSLTFVVIHLISSFEVSASGQ >DRNTG_04232.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30322081:30324857:1 gene:DRNTG_04232 transcript:DRNTG_04232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLGPSLEDLFNFCSRKLSLKSVLMLADQMINRLEFVHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGFVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSVEALCRGYPSEFASYFHYCRSLRFDDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQAQIVSAPPRAMTPSAGPSSGLPPAVADADRKTGAEEGRVGGVWSTRDPFS >DRNTG_33707.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1145387:1148003:-1 gene:DRNTG_33707 transcript:DRNTG_33707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISSLSNLYSSSLSPHSLHLFSHSKSHPYLLLRVSSSPPISAPKLPRLRTLTTRASISRAEKHAHEAEKESVVEDLGEDGDVYRKTLRLVECAMFASVAGLAYLLSNSLAIENYFGCFFSLPIVISSMRWGLAAGRKTMVATTMLLFTLSGPVKASTYMLMHGLVGLAMGSLWRLGANWGLSIVLCTIVRALGAIGYVLVSSFLIRENILELITINIHASLTYILMAVGVNAIPSMDAIYGIFGTLLLLNCGFFVFLLHILYAVFLTKLGMKDSLSFPQWLAKGI >DRNTG_04336.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31166386:31168884:-1 gene:DRNTG_04336 transcript:DRNTG_04336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFRLAGDTTHLISVLILLLKIYATKSCSGISLKTQELYVMVFVARYLDLFTDFISLYNSVMKVVFITSSVAIVWCMRRHPLVRRSYDKDQDTFRHYFLVAVSFVLALIFHDKFTIKEVLWAFSIYLEAVAILPQLVLLQRSGNVDNLTGQYVLFLGAYRGFYILNWIYRYFTEKNYTRWISWIAGIVQSALYADFFYYYFISWKNNSKLQLPA >DRNTG_14813.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29792384:29792857:1 gene:DRNTG_14813 transcript:DRNTG_14813.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTANPNVASRSCSKEYSWNTGSPSPSLFTSLSTSGVSSTVTLL >DRNTG_34770.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3047896:3060248:1 gene:DRNTG_34770 transcript:DRNTG_34770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDMFHGHLVLSLKKEGLPMLCSINMPINVDNEQAKYIEDLNPWFFPLPSALCSFLPASNHDFASSVYRLVVSSPVVPDLIVDYDMFQRKFTFLHQEEFIGLTASTNPSRIQSNGELHFEKVETSQQWTDLSETYSCERREVISHDGVVIPLTILYSQKVHSSGNSPGLIYGYGAYGEVLDKGWSADRISLLDRGWVIAYADVRGGGDRAWHQAGSKMNKLNSFHDFAACAIYLISEGYVHKNQLVAIGCSAGGLLVGATINKYPDLFCAAILKVPFLDISNTMLDPSLPLTILDYDEFGDPNVQEEFETIHSYSPYDNIVPGVCYPSTLVTASFHDSRVGVWEAAKWVAKVREKTCPSCSPSVILKTNMDGGHFSDGGRLKHCEDMAFEYAFLIKTTGFLHDAKQ >DRNTG_34770.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3056145:3060248:1 gene:DRNTG_34770 transcript:DRNTG_34770.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPDLIVDYDMFQRKFTFLHQEEFIGLTASTNPSRIQSNGELHFEKVETSQQWTDLSETYSCERREVISHDGVVIPLTILYSQKVHSSGNSPGLIYGYGAYGEVLDKGWSADRISLLDRGWVIAYADVRGGGDRAWHQAGSKMNKLNSFHDFAACAIYLISEGYVHKNQLVAIGCSAGGLLVGATINKYPDLFCAAILKVPFLDISNTMLDPSLPLTILDYDEFGDPNVQEEFETIHSYSPYDNIVPGVCYPSTLVTASFHDSRVGVWEAAKWVAKVREKTCPSCSPSVILKTNMDGGHFSDGGRLKHCEDMAFEYAFLIKTTGFLHDAKQ >DRNTG_34770.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3042296:3045272:1 gene:DRNTG_34770 transcript:DRNTG_34770.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSTLRKPSLFSFPFARLLLLPSLFSSSSSSSFSSCKRRLSSPFLSSPLKPPLPKKIASTISVHGFYWDDPFHWMSNTSDPDLLRYLHHENSYAQAFMADTQELQRMFFAEMKNRMPPKISTPPERWGKWLYYQHVPEGKEYPVLCRKLSCHDGFFGAFLNHKKGPQEETLLDWNEIAEQYGMHLSFLPFFSTVTSFPAVLNLF >DRNTG_34770.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3045659:3060248:1 gene:DRNTG_34770 transcript:DRNTG_34770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWQMICYLLKMIWVVVLILQAQKMASLSQLTLIRGRHLRKDIVLPGQDVTFQDMDMFHGHLVLSLKKEGLPMLCSINMPINVDNEQAKYIEDLNPWFFPLPSALCSFLPASNHDFASSVYRLVVSSPVVPDLIVDYDMFQRKFTFLHQEEFIGLTASTNPSRIQSNGELHFEKVETSQQWTDLSETYSCERREVISHDGVVIPLTILYSQKVHSSGNSPGLIYGYGAYGEVLDKGWSADRISLLDRGWVIAYADVRGGGDRAWHQAGSKMNKLNSFHDFAACAIYLISEGYVHKNQLVAIGCSAGGLLVGATINKYPDLFCAAILKVPFLDISNTMLDPSLPLTILDYDEFGDPNVQEEFETIHSYSPYDNIVPGVCYPSTLVTASFHDSRVGVWEAAKWVAKVREKTCPSCSPSVILKTNMDGGHFSDGGRLKHCEDMAFEYAFLIKTTGFLHDAKQ >DRNTG_34770.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3058679:3060248:1 gene:DRNTG_34770 transcript:DRNTG_34770.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPSLPLTILDYDEFGDPNVQEEFETIHSYSPYDNIVPGVCYPSTLVTASFHDSRVGVWEAAKWVAKVREKTCPSCSPSVILKTNMDGGHFSDGGRLKHCEDMAFEYAFLIKTTGFLHDAKQ >DRNTG_34770.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3045659:3060248:1 gene:DRNTG_34770 transcript:DRNTG_34770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMFHGHLVLSLKKEGLPMLCSINMPINVDNEQAKYIEDLNPWFFPLPSALCSFLPASNHDFASSVYRLVVSSPVVPDLIVDYDMFQRKFTFLHQEEFIGLTASTNPSRIQSNGELHFEKVETSQQWTDLSETYSCERREVISHDGVVIPLTILYSQKVHSSGNSPGLIYGYGAYGEVLDKGWSADRISLLDRGWVIAYADVRGGGDRAWHQAGSKMNKLNSFHDFAACAIYLISEGYVHKNQLVAIGCSAGGLLVGATINKYPDLFCAAILKVPFLDISNTMLDPSLPLTILDYDEFGDPNVQEEFETIHSYSPYDNIVPGVCYPSTLVTASFHDSRVGVWEAAKWVAKVREKTCPSCSPSVILKTNMDGGHFSDGGRLKHCEDMAFEYAFLIKTTGFLHDAKQ >DRNTG_34770.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3050373:3060248:1 gene:DRNTG_34770 transcript:DRNTG_34770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFQRKFTFLHQEEFIGLTASTNPSRIQSNGELHFEKVETSQQWTDLSETYSCERREVISHDGVVIPLTILYSQKVHSSGNSPGLIYGYGAYGEVLDKGWSADRISLLDRGWVIAYADVRGGGDRAWHQAGSKMNKLNSFHDFAACAIYLISEGYVHKNQLVAIGCSAGGLLVGATINKYPDLFCAAILKVPFLDISNTMLDPSLPLTILDYDEFGDPNVQEEFETIHSYSPYDNIVPGVCYPSTLVTASFHDSRVGVWEAAKWVAKVREKTCPSCSPSVILKTNMDGGHFSDGGRLKHCEDMAFEYAFLIKTTGFLHDAKQ >DRNTG_34770.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3057125:3060248:1 gene:DRNTG_34770 transcript:DRNTG_34770.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLNSFHDFAACAIYLISEGYVHKNQLVAIGCSAGGLLVGATINKYPDLFCAAILKVPFLDISNTMLDPSLPLTILDYDEFGDPNVQEEFETIHSYSPYDNIVPGVCYPSTLVTASFHDSRVGVWEAAKWVAKVREKTCPSCSPSVILKTNMDGGHFSDGGRLKHCEDMAFEYAFLIKTTGFLHDAKQ >DRNTG_34770.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3042296:3042720:1 gene:DRNTG_34770 transcript:DRNTG_34770.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSTLRKPSLFSFPFARLLLLPSLFSSSSSSSFSSCKRRLSSPFLSSPLKPPLPKKIASTISVHGFYWDDPFHWMSNTSDPDLLRYLHHENSYAQAFMADTQELQRMFFAEMKNRMPPKISTPP >DRNTG_34770.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3042296:3047241:1 gene:DRNTG_34770 transcript:DRNTG_34770.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSTLRKPSLFSFPFARLLLLPSLFSSSSSSSFSSCKRRLSSPFLSSPLKPPLPKKIASTISVHGFYWDDPFHWMSNTSDPDLLRYLHHENSYAQAFMADTQELQRMFFAEMKNRMPPKISTPPERWGKWLYYQHVPEGKEYPVLCRKLSCHDGFFGAFLNHKKGPQEETLLDWNEIAEQYGMHLSFLPFFSTVMLI >DRNTG_07563.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21806498:21809302:-1 gene:DRNTG_07563 transcript:DRNTG_07563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARNLKNATIALLVPLPSIVFFLFFIHAHSDAAGGNSVFSWCYNHPFLLANVLFFFNVNVLFWIIGLIQSNNWMIDLYWTVIPVMLVHYYASHPMTVKDGLRSVVVIGLTWVWSVRLTHNYFRREKWQWGEREDWRFNEMRRQYGKQWWWVSFFAVYFSQQIFLIGICLPMYAIHMSNKPWDRWDFLATVACIIGIVIAYYADTQLHDFVQKNERLKELGAPTVPNLDKGLWRYSRHPNYFGEQLWWWSLAGFAWNLEQGWMFIGTFINTLCLAYVTVLVEKRMLKQQHRAEAYGRYQKSTSVWIPWFRSAIKDSKAKTS >DRNTG_26850.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1603422:1605166:-1 gene:DRNTG_26850 transcript:DRNTG_26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQRGSSASGGSFNNYQFDFGLAGAGSGSSRPLRPSSKPSWTHQPAPSAAPRPTFANPSPSMVGDIFGKTWTSSSSSSSSSSGIGIPTSNPNLFSDLLGPALGSSRASSNTPLKSTKNSFSTGSLSDSLPKQTAPPSTTASAAAAAAPIRPSWGSAENLAGFGVSKGAGQGQPMRSAAGVAGSAMNSKKADPFGSLLDFGSMNSKNSSIASAKPKAPSTGGNGSGFGSFQTANPSKKDDFGTFQNANPPKPENFGIPPPSQKPAPAKAAGADPLDMFFSASAPAAPAPTEASGSEPFSELNDWDLGSEFGGNDSGGGTTTELEGLPPPPPGVSSSGAKTKGLDNYKQGQYADAIKWLSWAVALLEKSGDNASTIEVLSCRASCYKEVGEYKKAVADCSKVLENDGKNVAVLLQRALLYESIEKYRLGAEDLRMVLKIDPGNRLAKSTIHRLNKLAD >DRNTG_29887.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23583067:23587693:1 gene:DRNTG_29887 transcript:DRNTG_29887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQDPSSPHEIETELESKSKPEHEHRESQRSLESSFKEFRTEVCQQLQVLKRRQRYKRSLGRVVRPSMKERSQCGSQCTDFGGNLAKVQTRRHRVSRGIGQGFGEVLRLRHHDSIRKKVGRGASIEAYPIPDEGIHGRRVEVFPQDHRHDHRGELIATLCECEVLRLFDFTSGTCIELGIVDLRFGTIIGKLFRSDTVAEHRSNTEQDCSSTVHIRPRKQEFRESTRACGNYLRPCENSARVREASTPGFERYWPRFWRGSTAPTSSFLRKKVGWGVFVEAYPISDEGILGRRVEDFPQDH >DRNTG_17032.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10749007:10751478:-1 gene:DRNTG_17032 transcript:DRNTG_17032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCYFFFLVYLEDAPGKELSSQLRVLQSNLKASSTSPSPALIFLGCHGKMDFDDGVDDMLKGDLVAQTLNEASNEIEID >DRNTG_16141.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15710966:15712579:-1 gene:DRNTG_16141 transcript:DRNTG_16141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGELTLRVGDDKLTYRLAEAMRHSIDFDDTLYFLDTTDEIIDDYMEEMFNPDPYEGLFDQEEGNEKVMMLGSTEEVPSTPGILKKVLRKMKRARRRHRKHSKAVGDIREPKKLGEPLLGE >DRNTG_34210.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10997100:11002306:-1 gene:DRNTG_34210 transcript:DRNTG_34210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQLRKLNQLIYLNFMANKLQEEISELIELVFLVLSGNQFSGPLSRKLYQNSSKLERLFLSTNNFTREIPVSFVSCQYLKQLDLANNNLNGLIPLELDEFLNLTDLLLNNNSFSSTIPRSLGNLSSLQTLTLYHNELRGRITEELGMLYNLVHGNDGEGTKEMTEEKRGWLT >DRNTG_30113.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31063520:31068320:1 gene:DRNTG_30113 transcript:DRNTG_30113.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFPYDTFLIHVCCCLYFLICWLYDKSSRMLVILVFVSYAMQTTVIDPADVDSLTAALDKHNVSLFFTETPTNPFFRCVDIKLVSELCHSKGTVVCIDGTFASPINQKALMLGADLVLHSATKFIAGHNDVLGGCISGSEELISKIRLYHNVVGGVLNPNAAYMILRGMKTLHLRVQQHNSTALRMAQFLEEHPKIIRVYYPGLPSHPEHHIAKKQMTGFGGVVSFEVDGDLRTTMKFIDSLKIPYNAPSFGGCESIIDQPALMSYWDSPSERTKYGIKDNLVRFSCGVEDFEDLKADIAQALDAI >DRNTG_30113.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31063520:31068320:1 gene:DRNTG_30113 transcript:DRNTG_30113.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTSASCHFLALPKPFIPSAAATATAAAASFDPRSTAANHGGPDKPSACLRPGSSSSLRHLGFSSALILRFPPNFVRQLSTKARRNCSNIGVAQIVAASWSNNTNPVPPPSAAAAIDGPLAAVPLAADADSAAVVFDGIASPPQTADGPKAAASASAIFSSDGSLAVHAGERFGRGIVTDGITTPIVNTSAYWFKNSNQLLDFKEGRHTSYEYGRYGNPTTAVLEAKISALERAESTLFMASGMNASVCLLSALVPAGGHIVTTTDFYRKTRKYMENELPQKGITTTVIDPADVDSLTAALDKHNVSLFFTETPTNPFFRCVDIKLVSELCHSKGTVVCIDGTFASPINQKALMLGADLVLHSATKFIAGHNDVLGGCISGSEELISKIRLYHNVVGGVLNPNAAYMILRGMKTLHLRVQQHNSTALRMAQFLEEHPKIIRVYYPGLPSHPEHHIAKKQMTGFGGVVSFEVDGDLRTTMKFIDSLKIPYNAPSFGGCESIIDQPALMSYWDSPSERTKYGIKDNLVRFSCGVEDFEDLKADIAQALDAI >DRNTG_23527.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22277561:22281489:-1 gene:DRNTG_23527 transcript:DRNTG_23527.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKQQPSKAELAKKQKIVEDKTFGLKNKNKSKNVQKYVQNLQQAVQPKPDASKTAAKKKKEEEKAREKELNDLFKVAVSQPKVPVGVDPKSILCEFFKAGQCVKGFKCKFSHDLNVQRKGEKIDLYSDQRDQEKEGTMEDWDQETLEKVVESKKKEYNQNKPTEIVCKYFLEAVEKKQYGWFWVCPNGGKDCHYRHALPPGYVLKSQMKALLAEESEKMPIEEEIEKERAKVATTTPMTPDLFMQWKKKKMDEREAGLAAMRAERAKNDRMSGRELFMSNASLFVDDAEAYDNYEREESDATEETEKNKDDQEEPSSSNHAGGVAEEDIPDDDDDDDDDDDELDIDELNELEASLSRTSIQIHEP >DRNTG_15310.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4800608:4812385:1 gene:DRNTG_15310 transcript:DRNTG_15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3 family protein A [Source:Projected from Arabidopsis thaliana (AT2G39340) UniProtKB/Swiss-Prot;Acc:F4IUY8] MEPTAFTSGVGHGTPPSSGYNFDPQKEMAVLGNTQIGKNDASYAPASSSLEATTATQDYYGYASYRNPTNPYAYNNTEYPNYYYGYQQSPCVSSSHQVGANQNSGAPYQPLISFQNSASSVGPTSYSGTYYNTGDHQTMAGYQSNSYNNQNNMWNDGSFGSYNAQHYPSYGASCASSSQSSSSIPGNNPHLQYQYNQWPGYYNHPASSVSAPGIESLSTNPPVHGVGGGYSYANNQPPPPGTTSWRRDSVSSAFPTIQGTPEIAGQNLNALEARAPALQTTQINQVSSYFQKPSDTNIVSDYSEDQQKTTIIQGSSLQLSSTNQISENFQPPLQTVPMMDTRRMPKIQIPTNPRITSSLLLGMPKAEKEIPMNSATPKPAYISVSVPKLNNKMPSHDDSETNIKMGTFPPSLCAYVERTFARCKDDAQRAANQELMKEMIAKSSTDGTLFTRNWDIEPLFPLHIATSDKADQNNMLSSGPVSSLPTHKRSPTKRSKSRWEPIPEEKLVEKIASVSNEPVKDANGNQISETERMVQADTRKHDPKDNGWGGLKFFASQQKNPFNKTIQRPVKKPRFGDTDLTENANASSDSDKEQGLTKYYSSAIALANSPEERKKREHRSKRFEKGRSHPVELKSCSPKVAGTGNLYPRRASGLMPVRSDNNNNQVVEDIDRDALTVRGTCQEVEKRYLRLTSAPDPASVRPEDILEKALQMVQASGKNYLYKCDQLKSIRQDLTVQRIQNELTVKVYETHARLALEAGDLPEYNQCQSQLKNLYAEGIKGSYMEFSAYHLLCMILHSNNKRDLLSTMARLSAETKENEAVKHALAVRRAVSSGNYIQFFRLYGRAPNLNTCLMGLHVEKMRFEALRCMAKSYRPTVPVSYISKVLRFSSKTPVEESPTKLADGLVECEDWLKAHGAVLIMDSNGESLIDTKVTSSSLYMPEPDDAVPHGDANLAVNDFLTRTL >DRNTG_15310.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4800608:4812385:1 gene:DRNTG_15310 transcript:DRNTG_15310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3 family protein A [Source:Projected from Arabidopsis thaliana (AT2G39340) UniProtKB/Swiss-Prot;Acc:F4IUY8] MAGYQSNSYNNQNNMWNDGSFGSYNAQHYPSYGASCASSSQSSSSIPGNNPHLQYQYNQWPGYYNHPASSVSAPGIESLSTNPPVHGVGGGYSYANNQPPPPGTTSWRRDSVSSAFPTIQGTPEIAGQNLNALEARAPALQTTQINQVSSYFQKPSDTNIVSDYSEDQQKTTIIQGSSLQLSSTNQISENFQPPLQTVPMMDTRRMPKIQIPTNPRITSSLLLGMPKAEKEIPMNSATPKPAYISVSVPKLNNKMPSHDDSETNIKMGTFPPSLCAYVERTFARCKDDAQRAANQELMKEMIAKSSTDGTLFTRNWDIEPLFPLHIATSDKADQNNMLSSGPVSSLPTHKRSPTKRSKSRWEPIPEEKLVEKIASVSNEPVKDANGNQISETERMVQADTRKHDPKDNGWGGLKFFASQQKNPFNKTIQRPVKKPRFGDTDLTENANASSDSDKEQGLTKYYSSAIALANSPEERKKREHRSKRFEKGRSHPVELKSCSPKVAGTGNLYPRRASGLMPVRSDNNNNQVVEDIDRDALTVRGTCQEVEKRYLRLTSAPDPASVRPEDILEKALQMVQASGKNYLYKCDQLKSIRQDLTVQRIQNELTVKVYETHARLALEAGDLPEYNQCQSQLKNLYAEGIKGSYMEFSAYHLLCMILHSNNKRDLLSTMARLSAETKENEAVKHALAVRRAVSSGNYIQFFRLYGRAPNLNTCLMGLHVEKMRFEALRCMAKSYRPTVPVSYISKVLRFSSKTPVEESPTKLADGLVECEDWLKAHGAVLIMDSNGESLIDTKVTSSSLYMPEPDDAVPHGDANLAVNDFLTRTL >DRNTG_16891.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29954260:29956814:-1 gene:DRNTG_16891 transcript:DRNTG_16891.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin like protein [Source:Projected from Arabidopsis thaliana (AT3G22480) UniProtKB/TrEMBL;Acc:Q56X68] MADIAGKDNREAMSEQAIANMYSVLRSELNQLYSKITEIEMEVSEHSLVLGAIQPLDPSRRCYRMIGGVLVERTIKEVMPAVQRNKEGLEEVITRLNEALEKKKKEIAEFELKHKIKIRKSDNEAKNDNSRKEGTAQGVLVGPASEQGV >DRNTG_16891.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29954260:29956855:-1 gene:DRNTG_16891 transcript:DRNTG_16891.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin like protein [Source:Projected from Arabidopsis thaliana (AT3G22480) UniProtKB/TrEMBL;Acc:Q56X68] MADIAGKDNREAMSEQAIANMYSVLRSELNQLYSKITEIEMEVSEHSLVLGAIQPLDPSRRCYRMIGGVLVERTIKEVMPAVQRNKEGLEEVITRLNEALEKKKKEIAEFELKHKIKIRKSDNEAKNDNSRKEGTAQGVLVGPASEQGV >DRNTG_16891.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29954260:29956814:-1 gene:DRNTG_16891 transcript:DRNTG_16891.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin like protein [Source:Projected from Arabidopsis thaliana (AT3G22480) UniProtKB/TrEMBL;Acc:Q56X68] MADIAGKDNREAMSEQAIANMYSVLRSELNQLYSKITEIEMEVSEHSLVLGAIQPLDPSRRCYRMIGGVLVERTIKEVMPAVQRNKEGLEEVITRLNEALEKKKKEIAEFELKHKIKIRKSDNEAKNDNSRKEGTAQGVLVGPASEQGV >DRNTG_00134.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2320842:2324451:-1 gene:DRNTG_00134 transcript:DRNTG_00134.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLQIPISSTPKFGVRLGDGHRTEAQGICQNVQIQLASISMKVDCYVFPLGGVDIILGVSWLQTLGDVKVNWATMMMHFAVDGNQVQIAGDPSLSSTPISSQALLRLQDVEFGLLLWNVSATEDSTIVGNSNLLPPELQQLLNEFSSIFAEPSGLPPQRTLDHHIPLKEGVTAISCRPYRYSHLQKDEIERLVADMLATGVIQPSNSPFSSPVLLVRKKDGGWRFCVDYRELNKATIPDKYPIPVIQELLDELHGSKFFSKVDLKSGYHQIRVKEEDVPKTAFRTHTGHYEFKVMPFGLMNAPATFQATMNDLFRPFLRRFVLVFFDDILIYSKSWMEHLHHLHLVLSKLLAQHFVANKKKSCFGQQSIDYLGHIVSQGGVSMDPLKVLSVLNWPTPKSIKAVRSFLGLTGYYRRFIKGYGDIARPLTELLKKDQATKFTWSTDANLAFQRLKQAITKAPTLAMPDFSQTFVVECDASGTGVGAVLMQNDRPLAFFSKQLAPRHLAKPAYEKELMALVLAIRQWRPYLIGQHFVVKTDHSSLQHILQQHVNTPAQQNWIAKLLGYEFDVQYRPGSTNKAADALSRAYGDLELTAVSIPYWAHWEHLHQEIQQDSSLRSLHDQISQKPSAFPNHQLIHGRLFYKGRLVIPSGSSWIPRLLEEFHCSPQGGHSGALRTYKRLAGSVYWRGMMASVQKYVADCLVCQKNKYDTLSPKGLLHPLPIPTQVWTDISLDFLSGLPKSHGWDCILVVVDRLSKYAHFIGLKHPFTARMVAELFAKEIVRLHGVPHSIVSDRDPIFISCFWQEFFSKWGTKLRMSSAYHPETDGQTEVLNRCLESYLRCFTSEHPKHWSRWLPWAEYWYNTTFHGATNTTPFQIVYGHPPPSLLQFMPGEFQVAAVAQAHQDRSAMLKELQHHLSKAQQQMIRSANQHRRHVEYEVGDPVFVKLRPYRQQSVQQRSSQKLASCYFGPYKITHKFSPVAYKLDLPHGSKIHPIFHISQLKKMVGSHPVSPALPHELSFEEESYTPSAILKVQDRGSGSFPCHQVLVQWQTRPPEESSWMTCSDFRGQFPTSNLVDKVCSIQGSTDRPFQKHLLVYSRRNKISQGQNGKLAST >DRNTG_00134.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2320842:2323630:-1 gene:DRNTG_00134 transcript:DRNTG_00134.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGLMNAPATFQATMNDLFRPFLRRFVLVFFDDILIYSKSWMEHLHHLHLVLSKLLAQHFVANKKKSCFGQQSIDYLGHIVSQGGVSMDPLKVLSVLNWPTPKSIKAVRSFLGLTGYYRRFIKGYGDIARPLTELLKKDQATKFTWSTDANLAFQRLKQAITKAPTLAMPDFSQTFVVECDASGTGVGAVLMQNDRPLAFFSKQLAPRHLAKPAYEKELMALVLAIRQWRPYLIGQHFVVKTDHSSLQHILQQHVNTPAQQNWIAKLLGYEFDVQYRPGSTNKAADALSRAYGDLELTAVSIPYWAHWEHLHQEIQQDSSLRSLHDQISQKPSAFPNHQLIHGRLFYKGRLVIPSGSSWIPRLLEEFHCSPQGGHSGALRTYKRLAGSVYWRGMMASVQKYVADCLVCQKNKYDTLSPKGLLHPLPIPTQVWTDISLDFLSGLPKSHGWDCILVVVDRLSKYAHFIGLKHPFTARMVAELFAKEIVRLHGVPHSIVSDRDPIFISCFWQEFFSKWGTKLRMSSAYHPETDGQTEVLNRCLESYLRCFTSEHPKHWSRWLPWAEYWYNTTFHGATNTTPFQIVYGHPPPSLLQFMPGEFQVAAVAQAHQDRSAMLKELQHHLSKAQQQMIRSANQHRRHVEYEVGDPVFVKLRPYRQQSVQQRSSQKLASCYFGPYKITHKFSPVAYKLDLPHGSKIHPIFHISQLKKMVGSHPVSPALPHELSFEEESYTPSAILKVQDRGSGSFPCHQVLVQWQTRPPEESSWMTCSDFRGQFPTSNLVDKVCSIQGSTDRPFQKHLLVYSRRNKISQGQNGKLAST >DRNTG_00134.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2320480:2323630:-1 gene:DRNTG_00134 transcript:DRNTG_00134.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGLMNAPATFQATMNDLFRPFLRRFVLVFFDDILIYSKSWMEHLHHLHLVLSKLLAQHFVANKKKSCFGQQSIDYLGHIVSQGGVSMDPLKVLSVLNWPTPKSIKAVRSFLGLTGYYRRFIKGYGDIARPLTELLKKDQATKFTWSTDANLAFQRLKQAITKAPTLAMPDFSQTFVVECDASGTGVGAVLMQNDRPLAFFSKQLAPRHLAKPAYEKELMALVLAIRQWRPYLIGQHFVVKTDHSSLQHILQQHVNTPAQQNWIAKLLGYEFDVQYRPGSTNKAADALSRAYGDLELTAVSIPYWAHWEHLHQEIQQDSSLRSLHDQISQKPSAFPNHQLIHGRLFYKGRLVIPSGSSWIPRLLEEFHCSPQGGHSGALRTYKRLAGSVYWRGMMASVQKYVADCLVCQKNKYDTLSPKGLLHPLPIPTQVWTDISLDFLSGLPKSHGWDCILVVVDRLSKYAHFIGLKHPFTARMVAELFAKEIVRLHGVPHSIVSDRDPIFISCFWQEFFSKWGTKLRMSSAYHPETDGQTEVLNRCLESYLRCFTSEHPKHWSRWLPWAEYWYNTTFHGATNTTPFQIVYGHPPPSLLQFMPGEFQVAAVAQAHQDRSAMLKELQHHLSKAQQQMIRSANQHRRHVEYEVGDPVFVKLRPYRQQSVQQRSSQKLASCYFGPYKITHKFSPVAYKLDLPHGSKIHPIFHISQLKKMVGSHPVSPALPHELSFEEESYTPSAILKVQDRGSGSFPCHQVLVQWQTRPPEESSWMTCSDFRGQFPTSNLVDKVCSIQGSTDRPFQKHLLVYSRRNKISQGQNGKLAST >DRNTG_00134.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2320480:2324451:-1 gene:DRNTG_00134 transcript:DRNTG_00134.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLQIPISSTPKFGVRLGDGHRTEAQGICQNVQIQLASISMKVDCYVFPLGGVDIILGVSWLQTLGDVKVNWATMMMHFAVDGNQVQIAGDPSLSSTPISSQALLRLQDVEFGLLLWNVSATEDSTIVGNSNLLPPELQQLLNEFSSIFAEPSGLPPQRTLDHHIPLKEGVTAISCRPYRYSHLQKDEIERLVADMLATGVIQPSNSPFSSPVLLVRKKDGGWRFCVDYRELNKATIPDKYPIPVIQELLDELHGSKFFSKVDLKSGYHQIRVKEEDVPKTAFRTHTGHYEFKVMPFGLMNAPATFQATMNDLFRPFLRRFVLVFFDDILIYSKSWMEHLHHLHLVLSKLLAQHFVANKKKSCFGQQSIDYLGHIVSQGGVSMDPLKVLSVLNWPTPKSIKAVRSFLGLTGYYRRFIKGYGDIARPLTELLKKDQATKFTWSTDANLAFQRLKQAITKAPTLAMPDFSQTFVVECDASGTGVGAVLMQNDRPLAFFSKQLAPRHLAKPAYEKELMALVLAIRQWRPYLIGQHFVVKTDHSSLQHILQQHVNTPAQQNWIAKLLGYEFDVQYRPGSTNKAADALSRAYGDLELTAVSIPYWAHWEHLHQEIQQDSSLRSLHDQISQKPSAFPNHQLIHGRLFYKGRLVIPSGSSWIPRLLEEFHCSPQGGHSGALRTYKRLAGSVYWRGMMASVQKYVADCLVCQKNKYDTLSPKGLLHPLPIPTQVWTDISLDFLSGLPKSHGWDCILVVVDRLSKYAHFIGLKHPFTARMVAELFAKEIVRLHGVPHSIVSDRDPIFISCFWQEFFSKWGTKLRMSSAYHPETDGQTEVLNRCLESYLRCFTSEHPKHWSRWLPWAEYWYNTTFHGATNTTPFQIVYGHPPPSLLQFMPGEFQVAAVAQAHQDRSAMLKELQHHLSKAQQQMIRSANQHRRHVEYEVGDPVFVKLRPYRQQSVQQRSSQKLASCYFGPYKITHKFSPVAYKLDLPHGSKIHPIFHISQLKKMVGSHPVSPALPHELSFEEESYTPSAILKVQDRGSGSFPCHQVLVQWQTRPPEESSWMTCSDFRGQFPTSNLVDKVCSIQGSTDRPFQKHLLVYSRRNKISQGQNGKLAST >DRNTG_33356.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26657103:26662178:1 gene:DRNTG_33356 transcript:DRNTG_33356.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGAVLIAFAAAIGNLLQGWDNATIAGSILYIKKEFNLESQPTMEGLIVAMSLIGATIITTFSGAVSDSIGRRPMLIISSVLYFISGLIMFWSPTVYVLLLARLIDGFGIGLAVTLVPVYISETAPSDIRGLLNTFPQFTGSAGMFLSYCMVFGMSLMAQPNWRLMLGVLFIPSLLYFILTIFFLPESPRWLVSKGRMAEAKQVLQRLRGREDVSGEMALLVEGLGVGGETSIEEYIIGPAGELADDQDPATDKDKIMLYGPEEGLSWVAKPVTGQSILGSALGLTSRHGSMANQGSTLVDPLVTLFGSVHEKLPEMGSMRSTLFPNFGSMFSTAEQQPKTEQWDEESGRRDDDDEYASEGAGGDSDDNVHTPLLSRQTTSLEGKDLVPPHAHGSTFSMRRNSSLMQANAGEAVSSMGIGGGWQLAWKWSERESADGKKEGGFKRIYLHQEGVPGSRRGSLVSLPGGEIPEGGEYIQAAALVSQPALYTKDLMGQKLVGPAMVHPSEAATKGPSWRDLFEGGVKQALIVGVGIQFLQQFAGINGVLYYTPQILEQAGVEVLLKNIGISSDSVSLLISALTTLLMLPSIGVAMKLMDIAGRRSLLLRTIPVLIASLIVLILSNLIDLGTVLHAVLSTVSVIIYFCCFVMGFGPIPNILCAEIFPTKVRGLCIAICALTFWICDIIVTYSLPVMLTSIGLAGVFGIYAVVCILAMVFVYLKVPETKGMPLEVITEFFAVGARAKTEMVSN >DRNTG_33356.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26657103:26662178:1 gene:DRNTG_33356 transcript:DRNTG_33356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGAVLIAFAAAIGNLLQGWDNATIAGSILYIKKEFNLESQPTMEGLIVAMSLIGATIITTFSGAVSDSIGRRPMLIISSVLYFISGLIMFWSPTVYVLLLARLIDGFGIGLAVTLVPVYISETAPSDIRGLLNTFPQFTGSAGMFLSYCMVFGMSLMAQPNWRLMLGVLFIPSLLYFILTIFFLPESPRWLVSKGRMAEAKQVLQRLRGREDVSGEMALLVEGLGVGGETSIEEYIIGPAGELADDQDPATDKDKIMLYGPEEGLSWVAKPVTGQSILGSALGLTSRHGSMANQGSTLVDPLVTLFGSVHEKLPEMGSMRSTLFPNFGSMFSTAEQQPKTEQWDEESGRRDDDDEYASEGAGGDSDDNVHTPLLSRQTTSLEGKDLVPPHAHGSTFSMRRNSSLMQANAGEAVSSMGIGGGWQLAWKWSERESADGKKEGGFKRIYLHQEGVPGSRRGSLVSLPGGEIPEGGEYIQAAALVSQPALYTKDLMGQKLVGPAMVHPSEAATKGPSWRDLFEGGVKQALIVGVGIQFLQQFAGINGVLYYTPQILEQAGVEVLLKNIGISSDSVSLLISALTTLLMLPSIGVAMKLMDIAGRRSLLLRTIPVLIASLIVLILSNLIDLGTVLHAVLSTVSVIIYFCCFVMGFGPIPNILCAEIFPTKVRGLCIAICALTFWICDIIVTYSLPVMLTSIGLAGVFGIYAVVCILAMVFVYLKVPETKGMPLEVITEFFAVGARAKTEMVSN >DRNTG_33356.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26657103:26662178:1 gene:DRNTG_33356 transcript:DRNTG_33356.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGAVLIAFAAAIGNLLQGWDNATIAGSILYIKKEFNLESQPTMEGLIVAMSLIGATIITTFSGAVSDSIGRRPMLIISSVLYFISGLIMFWSPTVYVLLLARLIDGFGIGLAVTLVPVYISETAPSDIRGLLNTFPQFTGSAGMFLSYCMVFGMSLMAQPNWRLMLGVLFIPSLLYFILTIFFLPESPRWLVSKGRMAEAKQVLQRLRGREDVSGEMALLVEGLGVGGETSIEEYIIGPAGELADDQDPATDKDKIMLYGPEEGLSWVAKPVTGQSILGSALGLTSRHGSMANQGSTLVDPLVTLFGSVHEKLPEMGSMRSTLFPNFGSMFSTAEQQPKTEQWDEESGRRDDDDEYASEGAGGDSDDNVHTPLLSRQTTSLEGKDLVPPHAHGSTFSMRRNSSLMQANAGEAVSSMGIGGGWQLAWKWSERESADGKKEGGFKRIYLHQEGVPGSRRGSLVSLPGGEIPEGGEYIQAAALVSQPALYTKDLMGQKLVGPAMVHPSEAATKGPSWRDLFEGGVKQALIVGVGIQFLQQFAGINGVLYYTPQILEQAGVEVLLKNIGISSDSVSLLISALTTLLMLPSIGVAMKLMDIAGRRSLLLRTIPVLIASLIVLILSNLIDLGTVLHAVLSTVSVIIYFCCFVMGFGPIPNILCAEIFPTKVRGLCIAICALTFWICDIIVTYSLPVMLTSIGLAGVFGIYAVVCILAMVFVYLKVPETKGMPLEVITEFFAVGARAKTEMVSN >DRNTG_33356.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26657103:26662178:1 gene:DRNTG_33356 transcript:DRNTG_33356.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGAVLIAFAAAIGNLLQGWDNATIAGSILYIKKEFNLESQPTMEGLIVAMSLIGATIITTFSGAVSDSIGRRPMLIISSVLYFISGLIMFWSPTVYVLLLARLIDGFGIGLAVTLVPVYISETAPSDIRGLLNTFPQFTGSAGMFLSYCMVFGMSLMAQPNWRLMLGVLFIPSLLYFILTIFFLPESPRWLVSKGRMAEAKQVLQRLRGREDVSGEMALLVEGLGVGGETSIEEYIIGPAGELADDQDPATDKDKIMLYGPEEGLSWVAKPVTGQSILGSALGLTSRHGSMANQGSTLVDPLVTLFGSVHEKLPEMGSMRSTLFPNFGSMFSTAEQQPKTEQWDEESGRRDDDDEYASEGAGGDSDDNVHTPLLSRQTTSLEGKDLVPPHAHGSTFSMRRNSSLMQANAGEAVSSMGIGGGWQLAWKWSERESADGKKEGGFKRIYLHQEGVPGSRRGSLVSLPGGEIPEGGEYIQAAALVSQPALYTKDLMGQKLVGPAMVHPSEAATKGPSWRDLFEGGVKQALIVGVGIQFLQQFAGINGVLYYTPQILEQAGVEVLLKNIGISSDSVSLLISALTTLLMLPSIGVAMKLMDIAGRRSLLLRTIPVLIASLIVLILSNLIDLGTVLHAVLSTVSVIIYFCCFVMGFGPIPNILCAEIFPTKVRGLCIAICALTFWICDIIVTYSLPVMLTSIGLAGVFGIYAVVCILAMVFVYLKVPETKGMPLEVITEFFAVGARAKTEMVSN >DRNTG_33356.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26657103:26662178:1 gene:DRNTG_33356 transcript:DRNTG_33356.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGAVLIAFAAAIGNLLQGWDNATIAGSILYIKKEFNLESQPTMEGLIVAMSLIGATIITTFSGAVSDSIGRRPMLIISSVLYFISGLIMFWSPTVYVLLLARLIDGFGIGLAVTLVPVYISETAPSDIRGLLNTFPQFTGSAGMFLSYCMVFGMSLMAQPNWRLMLGVLFIPSLLYFILTIFFLPESPRWLVSKGRMAEAKQVLQRLRGREDVSGEMALLVEGLGVGGETSIEEYIIGPAGELADDQDPATDKDKIMLYGPEEGLSWVAKPVTGQSILGSALGLTSRHGSMANQGSTLVDPLVTLFGSVHEKLPEMGSMRSTLFPNFGSMFSTAEQQPKTEQWDEESGRRDDDDEYASEGAGGDSDDNVHTPLLSRQTTSLEGKDLVPPHAHGSTFSMRRNSSLMQANAGEAVSSMGIGGGWQLAWKWSERESADGKKEGGFKRIYLHQEGVPGSRRGSLVSLPGGEIPEGGEYIQAAALVSQPALYTKDLMGQKLVGPAMVHPSEAATKGPSWRDLFEGGVKQALIVGVGIQFLQQFAGINGVLYYTPQILEQAGVEVLLKNIGISSDSVSLLISALTTLLMLPSIGVAMKLMDIAGRRSLLLRTIPVLIASLIVLILSNLIDLGTVLHAVLSTVSVIIYFCCFVMGFGPIPNILCAEIFPTKVRGLCIAICALTFWICDIIVTYSLPVMLTSIGLAGVFGIYAVVCILAMVFVYLKVPETKGMPLEVITEFFAVGARAKTEMVSN >DRNTG_02803.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:998572:1006548:1 gene:DRNTG_02803 transcript:DRNTG_02803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKIFIWHLLLNLALSTASDFTYNGFKGANLSLDGLATLTSDGLLRLTNISKHEQGHAFSTVPLQFKRSPMGTVISFSTVFVFAITPEYPMFGGHGFAFVLSPSMHLIQTFPTRYLGLFNSSNNGNPLNHIFAVEFDTIEDTEMADINDNHVGIDINGGISSKSEPTGFTSDDDGQFKNLSLVSGEPMIAWIEYDGINMQLNVTVSPLSMPKPKLALLSFTVNLSNVILDHMYAGFSASTGLPCSFHYILGWSFKMDGKVPELNISNLPRLPSLMTSSKDKTETILMWLLLSLSVLVLMAAAGARTISVRKKIFSELREDWELDFELHRFSYKQLYKATRGFKDEYLLGVGGFGRVYRGVLPDTKVEVAIKRVCHESRQGVREFVAEIVSLGQLQHRNLVPLLGYCRREGELILVYEYMPNRSLDKFLFGEGKSTLSWSQRFWIIKGVASGLLYLHEDWERVVIHRDVKASNVLLDGDMNGRLGDFGLARLYDHGGVPQTTHLAGTVGYLAPELSRTCRVTTSSDVFAFGVFLLEVACGRRPIEPEKAEDQQVLLDWVLANWRKGTIVETRDERLGEEYVAEELELVLKLGLLCSHPSPTARPSIRRVTQILHGDLPLPDPLLNQLNTKDSAFVKYEGSNAYAMSFTSMSSESLLFSGR >DRNTG_02803.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:998572:1003120:1 gene:DRNTG_02803 transcript:DRNTG_02803.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKIFIYTLLFKLASLTAGDFTYNGFKGANLSLDGLAALTPNGLLRLTNISKHDQGHAFSSVPLQFKRSSMDTVISFSTVFVFSVVPEYPMYGGHGFAFVLSPSMRLLQTLPSYYLGLFNATNNRDPSNYIFAVEFDTLNNAEVADIDDNHVGIDINSLISNKSASAGFTSDDDGQFKNLRLWSGEPMQVWIEYDGLNMQLNVTLSSLGKPKPKIPLLSSTINLSSIIMDHMYVGFSASTGIAYSYHYILGWSFMMNGKVPELNISSLPQLPQTMTSSKDKTKAISIWLPVSLSVLVLMTVAAARMIMVRKKRFSELLEDWELDFELHRFSYKQLYKATRGFKDEYLLGVGGFGRVYKGVLKDTKVEVAIKRVCHESRQGVREFVAEIVSLGQLQHRNLVPLLGYCRREGELILVYEYMPNRSLDKFLFCEGKSTLSWSRRFWIIKGVASGLLYLHEDWDRVVIHRDVKASNVLLDGDMNGRLGDFGLARLYDHGGVPQTTHLAGTVGYLAPELFRTCRVTTSSDVFAFGVFLLEVACGRRPIDREKADNQEVLIDWVLANWRKGTIMETRDERLGEEYVAEELELVLKLGLLCSHPLPTARPRMRRVTHLLHGDIPLADPLLNQLITKDSTFVKYEGSNAYAMSFTSMSSESLLFSGR >DRNTG_09611.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:959748:961530:1 gene:DRNTG_09611 transcript:DRNTG_09611.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHFCFPSAAMVLLIFVCFVGRAIGGVYFSTLPRTLAVTASPKQGQVLMAGVDKLKVSWGLNQTEKEGTDEAYKKVKVKLCYAPVSQQNRGWRKTEDDLKKDKTCQNTITTQPYTKNPNPSSFEYILERDLPSASYFVRAYVLDGNDKEVGYGQTTDAQKATNLFQVISITGRHASLDIAAACFSAFSVVSLIFFFVKEKRKAKK >DRNTG_09611.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:959646:961530:1 gene:DRNTG_09611 transcript:DRNTG_09611.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHFCFPSAAMVLLIFVCFVGRAIGGVYFSTLPRTLAVTASPKQGQVLMAGVDKLKVSWGLNQTEKEGTDEAYKKVKVKLCYAPVSQQNRGWRKTEDDLKKDKTCQNTITTQPYTKNPNPSSFEYILERDLPSASYFVRAYVLDGNDKEVGYGQTTDAQKATNLFQVISITGRHASLDIAAACFSAFSVVSLIFFFVKEKRKAKK >DRNTG_09611.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:959748:961479:1 gene:DRNTG_09611 transcript:DRNTG_09611.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHFCFPSAAMVLLIFVCFVGRAIGGVYFSTLPRTLAVTASPKQGQVLMAGVDKLKVSWGLNQTEKEGTDEAYKKVKVKLCYAPVSQQNRGWRKTEDDLKKDKTCQNTITTQPYTKNPNPSSFEYILERDLPSASYFVRAYVLDGNDKEVGYGQTTDAQKATNLFQVISITGRHASLDIAAACFSAFSVVSLIFFFVKEKRKAKK >DRNTG_29400.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1934021:1942060:-1 gene:DRNTG_29400 transcript:DRNTG_29400.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGCQRMDWLINIISAFDTQAFAFIREHIGYLWYYKSNIGKLEVKFRELDARREDIQRRVDTAKRKRAEEEVSEIKNWFEEVKRMKREVERIRDDLASEISTNYFLNIKLHYKLGRDAANHIKTIDDDLRSRNFDIVSHERPPPSTTTSLLYNEDYLIFDSRESHVRKILEALKNEAVHSIGLWGMGGVGKTMLVKDAAKQAKEQSLFGEVVMVTISQNIDLKRIQTEIAECLGFKLEEESLEVRAFKLADRLTTTRNKVLVILDDLWEQLDLSKVGIRLPEMATTCKLVITTRNKYVCERMSCQEIVELNKLSDEESWSLFKRRAGDAVESSTIRELAWNVMRECAGLPMALVVLGTALKGKRPVIWEVVLMQLKRVKTVDLEGVSKKLFHSIKVSFDFLESKAAKSCFLHCCLYPEDTNIPKDELMHMMVGGGLLADVETLNEAQSRVDLLLDYLKACGLLLQGWHEDFVRMHDVVRDVAIQIGDAADHAFYVRAGQGLTEWPRTTESEMRRLSLMNTDIKDLPPDPMQYPKLEMLILRLNKRLSSIPEMFFQHMGSLMVLDLSQTGIKSLPESFSCLINLRALNLEYCHYLKDISHIKGLKKLEILILNNAQVSIAPEGLEWAQNLRCVHLYTGGSPSLTYYFSNELPRFPRLEQLFLGEFVGSFQELISSRHLTHVFIMDGLDFDDSFLSQEELVSLDSWADRLLEFGLSFLQGEACHLPLTIHRRSLKLRGTKKPLAVWVKKLLEKTTALKLVQFQETELISMNSDIPPLVFSSLKCLEVVNWPKLTKLLGDELSLHEEIPLSQLHELVMDNCPRLTKLIPSRLCQRNLRELWRLKVMNCPMMLELFPCDQGAHDIIELLPTLKDLYLIGLRSLQNALQPFQCLPNLKDLYICDCGVRYVVSSEMETVAILADPFPALENLDIRYCPEMIGMIFPRPSSSQTRCYFQVLRELRIWSCPRLTHLLSCKQAISMQHLSELDIVDCDALEAVVISTENIVEASASTSTHVVDSESYNSPFPNLKELFLNNLPQLTAFCHPTALPIDWLHLTHSGIIECPKLQHPLFGPRTPAHELVKDTSEEEEGETDDAAMLDLIDDESEGEEGETDDAEGEEEETDNAEEE >DRNTG_29400.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1934021:1949289:-1 gene:DRNTG_29400 transcript:DRNTG_29400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLINIISAFDTQAFAFIREHIGYLWYYKSNIGKLEVKFRELDARREDIQRRVDTAKRKRAEEEVSEIKNWFEEVKRMKREVERIRDDLASEISTNYFLNIKLHYKLGRDAANHIKTIDDDLRSRNFDIVSHERPPPSTTTSLLYNEDYLIFDSRESHVRKILEALKNEAVHSIGLWGMGGVGKTMLVKDAAKQAKEQSLFGEVVMVTISQNIDLKRIQTEIAECLGFKLEEESLEVRAFKLADRLTTTRNKVLVILDDLWEQLDLSKVGIRLPEMATTCKLVITTRNKYVCERMSCQEIVELNKLSDEESWSLFKRRAGDAVESSTIRELAWNVMRECAGLPMALVVLGTALKGKRPVIWEVVLMQLKRVKTVDLEGVSKKLFHSIKVSFDFLESKAAKSCFLHCCLYPEDTNIPKDELMHMMVGGGLLADVETLNEAQSRVDLLLDYLKACGLLLQGWHEDFVRMHDVVRDVAIQIGDAADHAFYVRAGQGLTEWPRTTESEMRRLSLMNTDIKDLPPDPMQYPKLEMLILRLNKRLSSIPEMFFQHMGSLMVLDLSQTGIKSLPESFSCLINLRALNLEYCHYLKDISHIKGLKKLEILILNNAQVSIAPEGLEWAQNLRCVHLYTGGSPSLTYYFSNELPRFPRLEQLFLGEFVGSFQELISSRHLTHVFIMDGLDFDDSFLSQEELVSLDSWADRLLEFGLSFLQGEACHLPLTIHRRSLKLRGTKKPLAVWVKKLLEKTTALKLVQFQETELISMNSDIPPLVFSSLKCLEVVNWPKLTKLLGDELSLHEEIPLSQLHELVMDNCPRLTKLIPSRLCQRNLRELWRLKVMNCPMMLELFPCDQGAHDIIELLPTLKDLYLIGLRSLQNALQPFQCLPNLKDLYICDCGVRYVVSSEMETVAILADPFPALENLDIRYCPEMIGMIFPRPSSSQTRCYFQVLRELRIWSCPRLTHLLSCKQAISMQHLSELDIVDCDALEAVVISTENIVEASASTSTHVVDSESYNSPFPNLKELFLNNLPQLTAFCHPTALPIDWLHLTHSGIIECPKLQHPLFGPRTPAHELVKDTSEEEEGETDDAAMLDLIDDESEGEEGETDDAEGEEEETDNAEEE >DRNTG_29400.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1934021:1949289:-1 gene:DRNTG_29400 transcript:DRNTG_29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLINIISAFDTQAFAFIREHIGYLWYYKSNIGKLEVKFRELDARREDIQRRVDTAKRKRAEEEVSEIKNWFEEVKRMKREVERIRDDLASEISTNYFLNIKLHYKLGRDAANHIKTIDDDLRSRNFDIVSHERPPPSTTTSLLYNEDYLIFDSRESHVRKILEALKNEAVHSIGLWGMGGVGKTMLVKDAAKQAKEQSLFGEVVMVTISQNIDLKRIQTEIAECLGFKLEEESLEVRAFKLADRLTTTRNKVLVILDDLWEQLDLSKVGIRLPEMATTCKLVITTRNKYVCERMSCQEIVELNKLSDEESWSLFKRRAGDAVESSTIRELAWNVMRECAGLPMALVVLGTALKGKRPVIWEVVLMQLKRVKTVDLEGVSKKLFHSIKVSFDFLESKAAKSCFLHCCLYPEDTNIPKDELMHMMVGGGLLADVETLNEAQSRVDLLLDYLKACGLLLQGWHEDFVRMHDVVRDVAIQIGDAADHAFYVRAGQGLTEWPRTTESEMRRLSLMNTDIKDLPPDPMQYPKLEMLILRLNKRLSSIPEMFFQHMGSLMVLDLSQTGIKSLPESFSCLINLRALNLEYCHYLKDISHIKGLKKLEILILNNAQVSIAPEGLEWAQNLRCVHLYTGGSPSLTYYFSNELPRFPRLEQLFLGEFVGSFQELISSRHLTHVFIMDGLDFDDSFLSQEELVSLDSWADRLLEFGLSFLQGEACHLPLTIHRRSLKLRGTKKPLAVWVKKLLEKTTALKLVQFQETELISMNSDIPPLVFSSLKCLEVVNWPKLTKLLGDELSLHEEIPLSQLHELVMDNCPRLTKLIPSRLCQRNLRELWRLKVMNCPMMLELFPCDQGAHDIIELLPTLKDLYLIGLRSLQNALQPFQCLPNLKDLYICDCGVRYVVSSEMETVAILADPFPALENLDIRYCPEMIGMIFPRPSSSQTRCYFQVLRELRIWSCPRLTHLLSCKQAISMQHLSELDIVDCDALEAVVISTENIVEASASTSTHVVDSESYNSPFPNLKELFLNNLPQLTAFCHPTALPIDWLHLTHSGIIECPKLQHPLFGPRTPAHELVKDTSEEEEGETDDAAMLDLIDDESEGEEGETDDAEGEEEETDNAEEE >DRNTG_29400.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1936975:1949289:-1 gene:DRNTG_29400 transcript:DRNTG_29400.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLINIISAFDTQAFAFIREHIGYLWYYKSNIGKLEVKFRELDARREDIQRRVDTAKRKRAEEEVSEIKNWFEEVKRMKREVERIRDDLASEISTNYFLNIKLHYKLGRDAANHIKTIDDDLRSRNFDIVSHERPPPSTTTSLLYNEDYLIFDSRESHVRKILEALKNEAVHSIGLWGMGGVGKTMLVKDAAKQAKEQSLFGEVVMVTISQNIDLKRIQTEIAECLGFKLEEESLEVRAFKLADRLTTTRNKVLVILDDLWEQLDLSKVGIRLPEMATTCKLVITTRNKYVCERMSCQEIVELNKLSDEESWSLFKRRAGDAVESSTIRELAWNVMRECAGLPMALVVLGTALKGKRPVIWEVVLMQLKRVKTVDLEGVSKKLFHSIKVSFDFLESKAAKSCFLHCCLYPEDTNIPKDELMHMMVGGGLLADVETLNEAQSRVDLLLDYLKACGLLLQGWHEDFVRMHDVVRDVAIQIGDAADHAFYVRAGQGLTEWPRTTESEMRRLSLMNTDIKDLPPDPMQYPKLEMLILRLNKRLSSIPEMFFQHMGSLMVLDLSQTGIKSLPESFSCLINLRALNLEYCHYLKDISHIKGLKKLEILILNNAQVSIAPEGLEWAQNLRCVHLYTGGSPSLTYYFSNELPRFPRLEQLFLGEFVGSFQELISSRHLTHVFIMDGLDFDDSFLSQEELVSLDSWADRLLEFGLSFLQGEACHLPLTIHRRSLKLRGTKKPLAVWVKKLLEKTTALKLVQFQETELISMNSDIPPLVFSSLKCLEVVNWPKLTKLLGDELSLHEEIPLSQLHELVMDNCPRLTKLIPSRLCQRNLRELWRLKVMNCPMMLELFPCDQGAHDIIELLPTLKDLYLIGLRSLQNALQPFQCLPNLKDLYICDCGVRYVVSSEMETVAILADPFPALENLDIRYCPEMIGMIFPRPSSSQTRCYFQVLRELRIWSCPRLTHLLSCKQAISMQHLSELDIVDCDALEAVVISTENIVEASASTSTHVVDSESYNSPFPNLKELFLNNLPQLTAFCHPTALPIDWLHLTHSGIIECPKLQHPLFGPRTPAHELVKDTSEEEEGETDDAAMLDLIDDESEGEEGETDDAEGEEEETDNAEEE >DRNTG_20089.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:378734:380172:-1 gene:DRNTG_20089 transcript:DRNTG_20089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPCCEKMGLKKGPWTSEEDQILITHIQRFGHGNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFSREEEETIISLHQLLGNKWSAIASRLPGRTDNEIKNVWHTHLKKRVKQEAGQTLQQEPKRIKGNDQDSKKLIMNNVNNIDPVSPERSYSTDISSSCTELSNFASKEHCMDSSEEVPVIEDSFWSEALSMDTSTSSTAEVDYTPFGCGFGFGYEYDQNYITAPFNDPFNCTDVSYNDHMSFWLKMLESGDLQELPEI >DRNTG_26544.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5637435:5638921:-1 gene:DRNTG_26544 transcript:DRNTG_26544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREHGVHIPYKQAWLGKDHARVVLDGSDISSYDLLLWYVDKVAETNTGSIVIVERNGDRFERAFFSFSACIVGFKRACRPLLFLDGTHLLGKYRGTLLGATGKDGINGFFHVAFGIADNETDGNWTWFISKLGNALYDEGDYHEIITFVSNRSKGLVNAIARVFPSSPYVYCLRYLEANFMKSNVRLGKALRKEYWSICFRIAWASTAKEFDDTVNELQVTSPEAHHWLINKSDMTHWSNYLFRGDHWGEMYSNVAESFNAWIKEACHLLVTKMVDSIRFKLMRMLCNRREQVNKWETYLCSDIHLKTDTNVHRFFSGYFTVDNYKLAYKEAIFHIPDDDKPSDGNRELRLQPPVTRRQPGRRRRKRI >DRNTG_17900.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17309758:17309976:-1 gene:DRNTG_17900 transcript:DRNTG_17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLSEKIADSMDLFEAFEPTQANLQVTLDEAKTQLAKNQEENSDTPLMVIFTKWLDELEEAKESLVKFTRH >DRNTG_23751.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21074272:21076054:1 gene:DRNTG_23751 transcript:DRNTG_23751.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEGLGEELIQDCEKIMRSEFSEYHRQLKAQYDEHLTILNTYDSQLEPGNVDDDTITTHEGHLQLLNDDFSSSGHGKFSEYSTTESSDDVGKQDIVELSDEDEPCFFDTRESFTERSTENANKRCTFGDHMADDEMVDFEPELSNDHSFPHVQRRKKLPDPTEKEKCVSLWSVIKDNVGKDLTRVCLPVYFNEPLSSLQKCCEDLEYSYLLDRAYDYGKM >DRNTG_23751.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21075218:21077523:1 gene:DRNTG_23751 transcript:DRNTG_23751.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADDEMVDFEPELSNDHSFPHVQRRKKLPDPTEKEKCVSLWSVIKDNVGKDLTRVCLPVYFNEPLSSLQKCCEDLEYSYLLDRAYDYGKMGNSLMRILNVAAFAVSGYASSDGRPCKPFNPLLGETYEGDYPEKGIRFFSEKVSHHPMLIACHCEGKGWKFWGDSNLRSKFWARSIQLDPVGILTLEFDDGEIFQWSK >DRNTG_23751.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21071942:21079125:1 gene:DRNTG_23751 transcript:DRNTG_23751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARVMPPLCCISANCGNIGAGDRSPLPEDDRAEEIADAAPCEPAVAGVLYKWTNYGRGWRSRWFSLRNGILSYSKIRRRAGDGGDLIGGGGARLIGNASELFSLSGAKLAAVVYLKISSFRESKSDDRRFYIFSPTKTLHLRTYSKKDRVAWIEALVSSSCVISLNEQLSFRHNNISFSTERLRNHMRSEGLGEELIQDCEKIMRSEFSEYHRQLKAQYDEHLTILNTYDSQLEPGNVDDDTITTHEGHLQLLNDDFSSSGHGKFSEYSTTESSDDVGKQDIVELSDEDEPCFFDTRESFTERSTENANKRCTFGDHMADDEMVDFEPELSNDHSFPHVQRRKKLPDPTEKEKCVSLWSVIKDNVGKDLTRVCLPVYFNEPLSSLQKCCEDLEYSYLLDRAYDYGKMGNSLMRILNVAAFAVSGYASSDGRPCKPFNPLLGETYEGDYPEKGIRFFSEKVSHHPMLIACHCEGKGWKFWGDSNLRSKFWARSIQLDPVGILTLEFDDGEIFQWSKVTTTIYNLILGKIYCDHHGTMKIEGNREYSCNLKFKEQSFLDRSPRHVQGFVEDGTGAKVATLKGKWDDNMYYTLEDDVSKTKGVTSPQKASLLWQRSKPPSDPTRYNLSSFAITLNELTPELQEKLPPTDSRLRPDQRHLENGEYEKANAEKLRLETRQRMSRKLQDDGWKPRWFQRDNESGTYRYIGGYWEAREQGKWDSCPHIFSKATS >DRNTG_23751.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21077638:21077794:1 gene:DRNTG_23751 transcript:DRNTG_23751.6 gene_biotype:protein_coding transcript_biotype:protein_coding VTTTIYNLILGKIYCDHHGTMKIEGNREYSCNLKFKEQSFLDRSPRHVRKR >DRNTG_23751.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21077340:21079125:1 gene:DRNTG_23751 transcript:DRNTG_23751.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLIACHCEGKGWKFWGDSNLRSKFWARSIQLDPVGILTLEFDDGEIFQWSKVTTTIYNLILGKIYCDHHGTMKIEGNREYSCNLKFKEQSFLDRSPRHVQGFVEDGTGAKVATLKGKWDDNMYYTLEDDVSKTKGVTSPQKASLLWQRSKPPSDPTRYNLSSFAITLNELTPELQEKLPPTDSRLRPDQRHLENGEYEKANAEKLRLETRQRMSRKLQDDGWKPRWFQRDNESGTYRYIGGYWEAREQGKWDSCPHIFSKATS >DRNTG_23751.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21076571:21079125:1 gene:DRNTG_23751 transcript:DRNTG_23751.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRILNVAAFAVSGYASSDGRPCKPFNPLLGETYEGDYPEKGIRFFSEKVSHHPMLIACHCEGKGWKFWGDSNLRSKFWARSIQLDPVGILTLEFDDGEIFQWSKVTTTIYNLILGKIYCDHHGTMKIEGNREYSCNLKFKEQSFLDRSPRHVQGFVEDGTGAKVATLKGKWDDNMYYTLEDDVSKTKGVTSPQKASLLWQRSKPPSDPTRYNLSSFAITLNELTPELQEKLPPTDSRLRPDQRHLENGEYEKANAEKLRLETRQRMSRKLQDDGWKPRWFQRDNESGTYRYIGGYWEAREQGKWDSCPHIFSKATS >DRNTG_13064.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:920796:924173:-1 gene:DRNTG_13064 transcript:DRNTG_13064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKGRPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGNSRGPESPGKEDQSLKQGTYGSVTKPGAKKWLCCIQSGPAES >DRNTG_21390.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19492070:19493102:1 gene:DRNTG_21390 transcript:DRNTG_21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELKKSSPSRLSELRLESSWAAIEFSDPCPCCGSKKRRCRQPLTGPRKKLLVFETPSRPQLSPPDAATIQPSTISPSPPGSQSPCSTAPIAPLAVAKLAMNGEKMLERSGSSSAEQKTVSSLSEESKKEESVTEEQLCDEIWVSVRCHCGIAREIILRR >DRNTG_21481.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2781422:2784591:1 gene:DRNTG_21481 transcript:DRNTG_21481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQPSRRCRTQSTATPGSSSRLVSSVQNSKGKVVVKKKEEEEKKKKNASPTNHD >DRNTG_00914.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21527076:21530123:-1 gene:DRNTG_00914 transcript:DRNTG_00914.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPISYCAAMILEKKNKDKDFSEFHEESKKKKQKPSILAHLQEIKIREALDEASEDGSLFKSQDLGSQAIAPGKVLAQKEFLCATAEFSSMSFSSEDSIPDLQESYKRFLTIYPKFKASEKVDKLRYDEYYHLSEAGAKVCLDYCGFGLFSYLQSFQNYESSAFGLSEITAANLSNHALFGGAEDGTVEHDIKARIMNYLNIPENEYGLVFTVSRGSAFKLLASSYPFHTNKKLLTMFDHESQSVHWMAQSAKEKGAKIYNAWFRWPTLRLCSTELRRQLSSNKKRRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGSDPTGFGCLVIKKSVMASMQNKNGSTGSGMVRIVPVFPQYLGDSLDGLDDFSVNEDEEVDGNEDVSVTDTKQGSQLPAFSGVFTSAQVRDVFETEVDQDNSSDRDGASTIFEEAESVSVGDVMKSPVSSEDELSENSLWIDLGQSPIQSENSSQLNKGDNGSPLSTSLSNGSETKYHKRTSPRVGAKMLDNPVYDGRIFSFDAAVLSASQELPKCKQNCATKIEEDAEFTNSIVGCQNGSESVMEMKESAIRRETESDFRLLGRRDVNRRFYGIEENDHLATMSRRVSFILEDNKPGGRLSRNLDAGKLVDNNSISDGDDDDDDDTEEWNRKEPEIVCRHLDHVNMMGLNKTTLRLRYLVNWLVTSLLQLHLQDSEGKNGLPLVHIYGPKIKYERGAAVAFNIKDKNGTVINPEIVQRLAEKDGIALGISFLGHIKIMENNGKQLNGAVDIGENVWCRPIKNGRHDTKHAVIRVEVVTASLGYLTNFEDVYRMWAFAAKFLDPAFVETAGLSSVLETSEAAGLSTVLETSEI >DRNTG_00914.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21526996:21530284:-1 gene:DRNTG_00914 transcript:DRNTG_00914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPISYCAAMILEKKNKDKDFSEFHEESKKKKQKPSILAHLQEIKIREALDEASEDGSLFKSQDLGSQAIAPGKVLAQKEFLCATAEFSSMSFSSEDSIPDLQESYKRFLTIYPKFKASEKVDKLRYDEYYHLSEAGAKVCLDYCGFGLFSYLQSFQNYESSAFGLSEITAANLSNHALFGGAEDGTVEHDIKARIMNYLNIPENEYGLVFTVSRGSAFKLLASSYPFHTNKKLLTMFDHESQSVHWMAQSAKEKGAKIYNAWFRWPTLRLCSTELRRQLSSNKKRRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGSDPTGFGCLVIKKSVMASMQNKNGSTGSGMVRIVPVFPQYLGDSLDGLDDFSVNEDEEVDGNEDVSVTDTKQGSQLPAFSGVFTSAQVRDVFETEVDQDNSSDRDGASTIFEEAESVSVGDVMKSPVSSEDELSENSLWIDLGQSPIQSENSSQLNKGDNGSPLSTSLSNGSETKYHKRTSPRVGAKMLDNPVYDGRIFSFDAAVLSASQELPKCKQNCATKIEEDAEFTNSIVGCQNGSESVMEMKESAIRRETESDFRLLGRRDVNRRFYGIEENDHLATMSRRVSFILEDNKPGGRLSRNLDAGKLVDNNSISDGDDDDDDDTEEWNRKEPEIVCRHLDHVNMMGLNKTTLRLRYLVNWLVTSLLQLHLQDSEGKNGLPLVHIYGPKIKYERGAAVAFNIKDKNGTVINPEIVQRLAEKDGIALGISFLGHIKIMENNGKQLNGAVDIGENVWCRPIKNGRHDTKHAVIRVEVVTASLGYLTNFEDVYRMWAFAAKFLDPAFVETAGLSSVLETSEAAGLSTVLETSEI >DRNTG_00914.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21527076:21530284:-1 gene:DRNTG_00914 transcript:DRNTG_00914.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPISYCAAMILEKKNKDKDFSEFHEESKKKKQKPSILAHLQEIKIREALDEASEDGSLFKSQDLGSQAIAPGKVLAQKEFLCATAEFSSMSFSSEDSIPDLQESYKRFLTIYPKFKASEKVDKLRYDEYYHLSEAGAKVCLDYCGFGLFSYLQSFQNYESSAFGLSEITAANLSNHALFGGAEDGTVEHDIKARIMNYLNIPENEYGLVFTVSRGSAFKLLASSYPFHTNKKLLTMFDHESQSVHWMAQSAKEKGAKIYNAWFRWPTLRLCSTELRRQLSSNKKRRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGSDPTGFGCLVIKKSVMASMQNKNGSTGSGMVRIVPVFPQYLGDSLDGLDDFSVNEDEEVDGNEDVSVTDTKQGSQLPAFSGVFTSAQVRDVFETEVDQDNSSDRDGASTIFEEAESVSVGDVMKSPVSSEDELSENSLWIDLGQSPIQSENSSQLNKGDNGSPLSTSLSNGSETKYHKRTSPRVGAKMLDNPVYDGRIFSFDAAVLSASQELPKCKQNCATKIEEDAEFTNSIVGCQNGSESVMEMKESAIRRETESDFRLLGRRDVNRRFYGIEENDHLATMSRRVSFILEDNKPGGRLSRNLDAGKLVDNNSISDGDDDDDDDTEEWNRKEPEIVCRHLDHVNMMGLNKTTLRLRYLVNWLVTSLLQLHLQDSEGKNGLPLVHIYGPKIKYERGAAVAFNIKDKNGTVINPEIVQRLAEKDGIALGISFLGHIKIMENNGKQLNGAVDIGENVWCRPIKNGRHDTKHAVIRVEVVTASLGYLTNFEDVYRMWAFAAKFLDPAFVETAGLSSVLETSEAAGLSTVLETSEI >DRNTG_00914.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21526996:21530123:-1 gene:DRNTG_00914 transcript:DRNTG_00914.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPISYCAAMILEKKNKDKDFSEFHEESKKKKQKPSILAHLQEIKIREALDEASEDGSLFKSQDLGSQAIAPGKVLAQKEFLCATAEFSSMSFSSEDSIPDLQESYKRFLTIYPKFKASEKVDKLRYDEYYHLSEAGAKVCLDYCGFGLFSYLQSFQNYESSAFGLSEITAANLSNHALFGGAEDGTVEHDIKARIMNYLNIPENEYGLVFTVSRGSAFKLLASSYPFHTNKKLLTMFDHESQSVHWMAQSAKEKGAKIYNAWFRWPTLRLCSTELRRQLSSNKKRRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGSDPTGFGCLVIKKSVMASMQNKNGSTGSGMVRIVPVFPQYLGDSLDGLDDFSVNEDEEVDGNEDVSVTDTKQGSQLPAFSGVFTSAQVRDVFETEVDQDNSSDRDGASTIFEEAESVSVGDVMKSPVSSEDELSENSLWIDLGQSPIQSENSSQLNKGDNGSPLSTSLSNGSETKYHKRTSPRVGAKMLDNPVYDGRIFSFDAAVLSASQELPKCKQNCATKIEEDAEFTNSIVGCQNGSESVMEMKESAIRRETESDFRLLGRRDVNRRFYGIEENDHLATMSRRVSFILEDNKPGGRLSRNLDAGKLVDNNSISDGDDDDDDDTEEWNRKEPEIVCRHLDHVNMMGLNKTTLRLRYLVNWLVTSLLQLHLQDSEGKNGLPLVHIYGPKIKYERGAAVAFNIKDKNGTVINPEIVQRLAEKDGIALGISFLGHIKIMENNGKQLNGAVDIGENVWCRPIKNGRHDTKHAVIRVEVVTASLGYLTNFEDVYRMWAFAAKFLDPAFVETAGLSSVLETSEAAGLSTVLETSEI >DRNTG_13694.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5301530:5308493:-1 gene:DRNTG_13694 transcript:DRNTG_13694.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-1-pyrroline-5-carboxylate dehydrogenase 12A1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G62530) UniProtKB/Swiss-Prot;Acc:Q8VZC3] MSRLLSRRGITSIAPRFAGNWLSSSLFLASRRIHSSSPPFATVAAVEISGSHPAEVQNLVQGKWTGSNSWNWIIDPLNGERFIKVAEVHEADIEPFVESLSKCPKYGLHNPLRSPERYVMYGDISAKAANMLAQPEVSDFFTRLIQRVSPKSYNQALAEVTVSQKFLENFSGDQVRFLARSFAVPGNHLGQQSHGYRWPYGPVAIVTPFNFPLEIPLLQLMGALYMGNKPILKVDSKVSIVMEQMLRLLHECGLPKEDTDFINADGITMNRFLLKANLQMTLFTGSSRVAEKLAADLKGRVKLEDAGFDWKILGPDVQEVDYVAWVCDQDAYACSGQKCSAQSILFMHENWASSGLIPKMKNLAGRRKLEDLTIGPVLTVTTETMREHIEKLLEIPGAKLLFGGEPLENHSIPKVYGALQPTAVFIPIREILKDYNFELVTREIFGPFQIITDYKQDELQMVLDACERMHAHLTAALVSNDPLFLQDVLGRSVNGTTYAGIRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREIIFDIGPLPTHWELPPPT >DRNTG_13694.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5301530:5308493:-1 gene:DRNTG_13694 transcript:DRNTG_13694.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-1-pyrroline-5-carboxylate dehydrogenase 12A1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G62530) UniProtKB/Swiss-Prot;Acc:Q8VZC3] MGALYMGNKPILKVDSKVSIVMEQMLRLLHECGLPKEDTDFINADGITMNRFLLKANLQMTLFTGSSRVAEKLAADLKGRVKLEDAGFDWKILGPDVQEVDYVAWVCDQDAYACSGQKCSAQSILFMHENWASSGLIPKMKNLAGRRKLEDLTIGPVLTVTTETMREHIEKLLEIPGAKLLFGGEPLENHSIPKVYGALQPTAVFIPIREILKDYNFELVTREIFGPFQIITDYKQDELQMVLDACERMHAHLTAALVSNDPLFLQDVLGRSVNGTTYAGIRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREIIFDIGPLPTHWELPPPT >DRNTG_01344.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:197285:198727:1 gene:DRNTG_01344 transcript:DRNTG_01344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDPHHVGDHGRIQISRPSDPAENFRALLQDQRRR >DRNTG_01344.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:197285:197710:1 gene:DRNTG_01344 transcript:DRNTG_01344.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDPHHVGDHGRIQISRPSDPAENFRALLQDQRRR >DRNTG_08781.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7323959:7324826:-1 gene:DRNTG_08781 transcript:DRNTG_08781.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLGRGGPSGLELTIAVPGMSSSSGSGGGCIMRDLDMNYPPAAEMEEEYPMGSVEDEDEGGCGGGGRPKKLRLSKEQSRLLEESFRQNHTLNPKQKEALATRLKLRPRQVEVWFQNRRARTKLKQTEMECEYLKRCFGSLTEENRRLQREVEELRALRMAPPTVLSPHTREPMPASALTM >DRNTG_08781.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7323959:7324670:-1 gene:DRNTG_08781 transcript:DRNTG_08781.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLDMNYPPAAEMEEEYPMGSVEDEDEGGCGGGGRPKKLRLSKEQSRLLEESFRQNHTLNPKQKEALATRLKLRPRQVEVWFQNRRARTKLKQTEMECEYLKRCFGSLTEENRRLQREVEELRALRMAPPTVLSPHTREPMPASALTM >DRNTG_30225.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:126156:129811:-1 gene:DRNTG_30225 transcript:DRNTG_30225.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPN13 [Source:Projected from Arabidopsis thaliana (AT2G26590) UniProtKB/TrEMBL;Acc:A0A178VY31] MDDHAPLQEVMVEFRAGKMNIEGTRVTPDTRKGLVRIGKGDEGLTHFQWFDRGLNKVEEDQIIFPDEAIFEKVSQSSERVYVLKFSTDNRKFFFWMQEPKSDGDSQICSSVNSCINRPIDMLGEDNAEASTHLQMSEMSEETGEDDLSSRAGNLVDQSMGTELAGEVTSSAGPVQLADLQRILRSIQPAAVVEDPDGGIGLGDILKPDLVMPLIETLPLEQTLAAYLPEGSWSTDDLMELLQSPPFRQQVDSFSHVLRTGQIDLSQFGIDPSKYKFSVLSFLEALEDSVAKTSESGGGESRQGENNDPESRRHGGGDAMDES >DRNTG_30225.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:126156:129811:-1 gene:DRNTG_30225 transcript:DRNTG_30225.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPN13 [Source:Projected from Arabidopsis thaliana (AT2G26590) UniProtKB/TrEMBL;Acc:A0A178VY31] MDDHAPLQEVMVEFRAGKMNIEGTRVTPDTRKGLVRIGKGDEGLTHFQWFDRGLNKVEEDQIIFPDEAIFEKVSQSSERVYVLKFSTDNRKFFFWMQEPKSDGDSQICSSVNSCINRPIDMLGEDNAEASTHLQMSEMSEETGEDDLSSRAGNLVDQSMGTELAGEVTSSAGPVQLADLQRILRSIQPAAVVEDPDGGIGLGDILKPDLVMPLIETLPLEQTLAAYLPEGSWSTDDLMELLQSPPFRQQVDSFSHVLRTGQIDLSQFGIDPSKYKFSVLSFLEALEDSVAKTSESGGGESRQGENNDPESRRHGGGDAMDES >DRNTG_30225.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:126156:129811:-1 gene:DRNTG_30225 transcript:DRNTG_30225.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPN13 [Source:Projected from Arabidopsis thaliana (AT2G26590) UniProtKB/TrEMBL;Acc:A0A178VY31] MDDHAPLQEVMVEFRAGKMNIEGTRVTPDTRKGLVRIGKGDEGLTHFQWFDRGLNKVEEDQIIFPDEAIFEKVSQSSERVYVLKFSTDNRKFFFWMQEPKSDGDSQICSSVNSCINRPIDMLGEDNAEASTHLQMSEMSEETGEDDLSSRAGNLVDQSMGTELAGEVTSSAGPVQLADLQRILRSIQPAAVVEDPDGGIGLGDILKPDLVMPLIETLPLEQTLAAYLPEGSWSTDDLMELLQSPPFRQQVDSFSHVLRTGQIDLSQFGIDPSKYKFSVLSFLEALEDSVAKTSESGGGESRQGENNDPESRRHGGGDAMDES >DRNTG_15300.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4669331:4688865:1 gene:DRNTG_15300 transcript:DRNTG_15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPANPGISYMDLSNNFFDGHIPSILGSWTNLSMLNISNNLLSGSIPPELGNLKKLGKLSLSANKLNGSIPSELGNCTELLELVLSNNLLSGRIPAEIVVLDKLRNLLLSGNKFSGGIPDFFTPTQDLLELQLGDNMLEGSIPSSLGSLQYISTALNLSSNRLNGSIPPSLGQLRGLEVLDLSNNFLSGEIPSRLSDMVALTFVNVSSNQLSGRLPEGWIKFLNSSPASFSGNPALCIVGNYYCQKEAKEHGHEIHWAIILVIVVLGIIFCLVGMRIATYVAAGRAQDGSSHLPSSIRSVDSVADFPEDLTYEDILRATENLSEKYVIGRGKHGTVYRTEFEAGKLWAVKRVDLSQSCFILEMKVLSSVKHRNLVKVAGYCVKDGFGMIIYEYMPGGTLFDTLHDRKTQVALDWETRHRIALGIAQGLSYLHHDCVPRIVHRDVKSNNILMDSDLEPKIGDFGTAKLLGDAESSSTVSVVVGTLGYIAPEIGYSTKVTEKSDVYSYGVVLLELLCRKLAVDPNFGDGVDIVTWIRSKLENADMFSRLSLLDVEMQYWMEDEKNKALELLDLAISCTKVAFEARPSMREVVGMLMKMKGREIKEDKKKASF >DRNTG_15300.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4669331:4672852:1 gene:DRNTG_15300 transcript:DRNTG_15300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKDSSFLQLHSIKTHSHNQHKMHTKTVQKCKLETTMLLSQLLNFITTTFIILVFSTTATAANLSLPHPLISFLESLPQSSQRLLLWNNTLPPCQWPGVSCSSNSTSITSIDVSSLGLSGQLSSSAPHLCRITTLREIILSYNNFSGPIPPSLFHCPSLTSLRLGFNSLSGPIPPDVLLATHLTELVLSNNFLSGSIPKQLLRLPHLQLLNLHSNNLTGPLPDFPPSCSVSKLNLNKNILSGPLPPTLSNCVNLTEFLVSSNKLSGIITSDAFVGLRSLQCLFMENNDFTGELPRSLLGLTGLTVLVLSKNQFNGTIPEAIGHLQSLTEIFLWGNNLTGPIPRSVGTLALLKTLELSSNQLVGPLPSELGNCSSLVELLLQFNLIGGPIPPEISNLKKLEKLYLFDNELEGIIPPQIGNMTSLIDLQLYNNSLSFRIPAEVVYLRNLRYMSLAFNKLSGEVPGELGRNLSYGLVKLDLTGNDFHGLIPPYLCEGG >DRNTG_15300.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4687009:4688865:1 gene:DRNTG_15300 transcript:DRNTG_15300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVALTFVNVSSNQLSGRLPEGWIKFLNSSPDSFSGNPALCIVGNYYCQKEAKEHGHEIHWAIILVIVVLGIIFCLVGMRIATYVAAGRAQDGSSHLPSSIRSVDSVADFPEDLTYEDILRATENLSEKYVIGRGKHGTVYRTEFEAGKLWAVKRVDLSQSCFILEMKVLSSVKHRNLVKVAGYCVKDGFGMIIYEYMPGGTLFDTLHDRKTQVALDWETRHRIALGIAQGLSYLHHDCVPRIVHRDVKSNNILMDSDLEPKIGDFGTAKLLGDAESSSTVSVVVGTLGYIAPEIGYSTKVTEKSDVYSYGVVLLELLCRKLAVDPNFGDGVDIVTWIRSKLENADMFSRLSLLDVEMQYWMEDEKNKALELLDLAISCTKVAFEARPSMREVVGMLMKMKGREIKEDKKKASF >DRNTG_15300.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4669331:4672852:1 gene:DRNTG_15300 transcript:DRNTG_15300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKDSSFLQLHSIKTHSHNQHKMHTKTVQKCKLETTMLLSQLLNFITTTFIILVFSTTATAANLSLPHPLISFLESLPQSSQRLLLWNNTLPPCQWPGVSCSSNSTSITSIDVSSLGLSGQLSSSAPHLCRITTLREIILSYNNFSGPIPPSLFHCPSLTSLRLGFNSLSGPIPPDVLLATHLTELVLSNNFLSGSIPKQLLRLPHLQLLNLHSNNLTGPLPDFPPSCSVSKLNLNKNILSGPLPPTLSNCVNLTEFLVSSNKLSGIITSDAFVGLRSLQCLFMENNDFTGELPRSLLGLTGLTVLVLSKNQFNGTIPEAIGHLQSLTEIFLWGNNLTGPIPRSVGTLALLKTLELSSNQLVGPLPSELGNCSSLVELLLQFNLIGGPIPPEISNLKKLEKLYLFDNELEGIIPPQIGNMTSLIDLQLYNNSLSFRIPAEVVYLRNLRYMSLAFNKLSGEVPGELGRNLSYGLVKLDLTGNDFHGLIPPYLCEGG >DRNTG_11685.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:344609:347322:-1 gene:DRNTG_11685 transcript:DRNTG_11685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQIEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVQRWLRELRDHADSNIVIMMAGNKSDLKHLRSVQEDDAQEFAEKEGLFFLETSALESLNIEKAFQTILTEIYHIVSKKALAAQEATATATAPPVQGTTINVTDSSGTFSRRTCCST >DRNTG_33965.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1028837:1037359:-1 gene:DRNTG_33965 transcript:DRNTG_33965.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTKGFTFAEIASARASTNKVICCHFSSDGKLLATGGHDKKACLWYTDTLKLKLTLEEHSFLITDVRFSPSMPRLATSSFDKTVRVWDAENPGYSLRTFTGHPAAVMSLDFHPIKEDFICSCDKDGEIRYWSINNGSCAEVFKTVEGGTTQMRFQPHMGRYLAAASENTVSILDVESLAIRQSLKGHAKTVDSVCWDPSGEYVASVSEDSVKVWSVGSGSEGDCVHELSCYGNKFHSCVFHPNYPSLLVIGAYQSIELWDMTENKTRSIAAHERLIASMAVSNVTGLIASASHDTFVKLWK >DRNTG_33965.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1028837:1037359:-1 gene:DRNTG_33965 transcript:DRNTG_33965.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLLMQRHAHPQQQQHQQLRRDGAHLLNGSANGLAGGDPLMRQSSGTANVLATKMYEEQLKLPLQRGSLDEASMKQRLAENAGQLLDPNHASMAKPAAGSGQSSGHLLPGSTVGTPGTLQQVQARNQQLPGSTPDIKTEMNPVLNSRAASLDGSLMGSNQAGSNLTLKGWPLTGLDQLRTGVLQQKPFIQSPQQFHQLQFLTSQQQQQLLLQAQQNLTSSPAGDIDSRKLRMFLNNRNLVLGKDGQANLVGDIIQNVGSPMQTAGPVLNRTDTDLLIKKIAQLQQQQQNSSQQGQLQHHPISSQQSQSSNPHLHQQEKMGTGSVTVDGGMSNSYRGPEQAPKNQGGRKRKQPVSSSGPANSSGTANTTGPSPSSAPSTPSTHTPGDMPSLQHNGSSSKPLLVFPSDGTNQLVDIDRFVDDGALDDNVESFLSQDDSDPRDAIGRSMDVTKGFTFAEIASARASTNKVICCHFSSDGKLLATGGHDKKACLWYTDTLKLKLTLEEHSFLITDVRFSPSMPRLATSSFDKTVRVWDAENPGYSLRTFTGHPAAVMSLDFHPIKEDFICSCDKDGEIRYWSINNGSCAEVFKTVEGGTTQMRFQPHMGRYLAAASENTVSILDVESLAIRQSLKGHAKTVDSVCWDPSGEYVASVSEDSVKVWSVGSGSEGDCVHELSCYGNKFHSCVFHPNYPSLLVIGAYQSIELWDMTENKTRSIAAHERLIASMAVSNVTGLIASASHDTFVKLWK >DRNTG_33965.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1029856:1037359:-1 gene:DRNTG_33965 transcript:DRNTG_33965.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIYDYFIKRNLQATAKAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDVAATYIETQLVKAREQQQHQQQQQQQQQQQQQPQQQQQQHQHQQQQQQQQQQQQIQMQQLLMQRHAHPQQQQHQQLRRDGAHLLNGSANGLAGGDPLMRQSSGTANVLATKMYEEQLKLPLQRGSLDEASMKQRLAENAGQLLDPNHASMAKPAAGSGQSSGHLLPGSTVGTPGTLQQVQARNQQLPGSTPDIKTEMNPVLNSRAASLDGSLMGSNQAGSNLTLKGWPLTGLDQLRTGVLQQKPFIQSPQQFHQLQFLTSQQQQQLLLQAQQNLTSSPAGDIDSRKLRMFLNNRNLVLGKDGQANLVGDIIQNVGSPMQTAGPVLNRTDTDLLIKKIAQLQQQQQNSSQQGQLQHHPISSQQSQSSNPHLHQQEKMGTGSVTVDGGMSNSYRGPEQAPKNQGGRKRKQPVSSSGPANSSGTANTTGPSPSSAPSTPSTHTPGDMPSLQHNGSSSKPLLVFPSDGTNQLVSMQEAVL >DRNTG_33965.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1029856:1037359:-1 gene:DRNTG_33965 transcript:DRNTG_33965.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIYDYFIKRNLQATAKAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDVAATYIETQLVKAREQQQHQQQQQQQQQQQQQPQQQQQQHQHQQQQQQQQQQQQIQMQQLLMQRHAHPQQQQHQQLRRDGAHLLNGSANGLAGGDPLMRQSSGTANVLATKMYEEQLKLPLQRGSLDEASMKQRLAENAGQLLDPNHASMAKPAAGSGQSSGHLLPGSTVGTPGTLQQVQARNQQLPGSTPDIKTEMNPVLNSRAASLDGSLMGSNQAGSNLTLKGWPLTGLDQLRTGVLQQKPFIQSPQQFHQLQFLTSQQQQQLLLQAQQNLTSSPAGDIDSRKLRMFLNNRNLVLGKDGQANLVGDIIQNVGSPMQTAGPVLNRTDTDLLIKKIAQLQQQQQNSSQQGQLQHHPISSQQSQSSNPHLHQQEKMGTGSVTVDGGMSNSYRGPEQAPKNQGGRKRKQPVSSSGPANSSGTANTTGPSPSSAPSTPSTHTPGDMPSLQHNGSSSKPLLVFPSDGTNQLVDIDRFVDDGALDDNVESFLSQDDSDPRDAIGRSMDVTKGFTFAEIASARASTNKVICCHFSSDGKLLATGGHDKKACLWYTDTLKLKLTLEEHSFLITDVRFSPSMPRLATSSFDKTVRVWDAENPGYSLRTFTGHPAAVMSLDFHPIKEDFICSCDKDGEIRYWSINNGSCAEVFKTVEGGTTQMRFQPHMGRYLAAASENTVSILDVESLAIRQSLKGHAKTVDSVCWDPSGEYVASVSEDSVKVWSVGSGSEGDCVHELSCYGNKFHSCVFHPNYPSLLVIGAYQASS >DRNTG_33965.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1029856:1037359:-1 gene:DRNTG_33965 transcript:DRNTG_33965.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTKGFTFAEIASARASTNKVICCHFSSDGKLLATGGHDKKACLWYTDTLKLKLTLEEHSFLITDVRFSPSMPRLATSSFDKTVRVWDAENPGYSLRTFTGHPAAVMSLDFHPIKEDFICSCDKDGEIRYWSINNGSCAEVFKTVEGGTTQMRFQPHMGRYLAAASENTVSILDVESLAIRQSLKGHAKTVDSVCWDPSGEYVASVSEDSVKVWSVGSGSEGDCVHELSCYGNKFHSCVFHPNYPSLLVIGAYQASS >DRNTG_33965.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1028837:1037359:-1 gene:DRNTG_33965 transcript:DRNTG_33965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIYDYFIKRNLQATAKAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDVAATYIETQLVKAREQQQHQQQQQQQQQQQQQPQQQQQQHQHQQQQQQQQQQQQIQMQQLLMQRHAHPQQQQHQQLRRDGAHLLNGSANGLAGGDPLMRQSSGTANVLATKMYEEQLKLPLQRGSLDEASMKQRLAENAGQLLDPNHASMAKPAAGSGQSSGHLLPGSTVGTPGTLQQVQARNQQLPGSTPDIKTEMNPVLNSRAASLDGSLMGSNQAGSNLTLKGWPLTGLDQLRTGVLQQKPFIQSPQQFHQLQFLTSQQQQQLLLQAQQNLTSSPAGDIDSRKLRMFLNNRNLVLGKDGQANLVGDIIQNVGSPMQTAGPVLNRTDTDLLIKKIAQLQQQQQNSSQQGQLQHHPISSQQSQSSNPHLHQQEKMGTGSVTVDGGMSNSYRGPEQAPKNQGGRKRKQPVSSSGPANSSGTANTTGPSPSSAPSTPSTHTPGDMPSLQHNGSSSKPLLVFPSDGTNQLVDIDRFVDDGALDDNVESFLSQDDSDPRDAIGRSMDVTKGFTFAEIASARASTNKVICCHFSSDGKLLATGGHDKKACLWYTDTLKLKLTLEEHSFLITDVRFSPSMPRLATSSFDKTVRVWDAENPGYSLRTFTGHPAAVMSLDFHPIKEDFICSCDKDGEIRYWSINNGSCAEVFKTVEGGTTQMRFQPHMGRYLAAASENTVSILDVESLAIRQSLKGHAKTVDSVCWDPSGEYVASVSEDSVKVWSVGSGSEGDCVHELSCYGNKFHSCVFHPNYPSLLVIGAYQSIELWDMTENKTRSIAAHERLIASMAVSNVTGLIASASHDTFVKLWK >DRNTG_09910.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7197454:7201333:-1 gene:DRNTG_09910 transcript:DRNTG_09910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDPVRVYATIIYIGSVILALICALVVHNKVLTMIAIIVEICALIWYSLSYIPFARRMVSELLISCCDTEI >DRNTG_09910.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7197454:7201333:-1 gene:DRNTG_09910 transcript:DRNTG_09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIKRFQQSLLILAGEGEQEEDLLGESSGSFSLSPLQRLYGFALCLVAGFAFMLLSLIVFYRPIKFAVMFSFGNILAVGSTAFFIGPVEQARMMLDPVRVYATIIYIGSVILALICALVVHNKVLTMIAIIVEICALIWYSLSYIPFARRMVSELLISCCDTEI >DRNTG_03674.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13776994:13777383:1 gene:DRNTG_03674 transcript:DRNTG_03674.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLFIQESLGYVVISLADVISNRRINEKYHLIDSKRGRIQIELEWRTS >DRNTG_03674.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13772707:13777383:1 gene:DRNTG_03674 transcript:DRNTG_03674.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVPNFPCFANIFVSLMEKPHVDFGLKLFGADIMSIPGLYRFVQETIKSQIASLYLWPRTLDVQILDPSKARKKPVGILHVKVLRAQQLRRKDFMGKSDPYVKLKLTEDKHLSKKTSVKKNNLNPEWNEEFKLVVKDPGTQALELNVYDWEQVGQHEKMGMNLIPLRDLVPDKPKLFTLDLLKTMDPNDPQNQKLRGQLFVEVTYKPFSEDSDAVDKAPAGTLSGGGLLVVIVHEAQDLEGKHHTNPYVQILFRGDTKKTKHIKKNRNPRWEEEFQFECEEPPLNDKIRVEVHSKRSTIGIHSEESLGYVVISLADVISNRRINEKYHLIDSKRGRIQIELEWRTS >DRNTG_03674.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13770751:13777383:1 gene:DRNTG_03674 transcript:DRNTG_03674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSFLLGFFGFGSGVVIGLVIGYYLFIYLQFDDHKDVKIRPFVEFDSETLERILPEIPLWVKNPDYDRADWMNQFLLMMWPNLNTAICRMAKEIAKPIIDENTQKYKLESVEFKTFTLGSLPPTIQGMKVYITDENQLIMEPFLKWAGNANVTAIVKAFGLKATVQVVDLQIFASPRITLMPLVPNFPCFANIFVSLMEKPHVDFGLKLFGADIMSIPGLYRFVQETIKSQIASLYLWPRTLDVQILDPSKARKKPVGILHVKVLRAQQLRRKDFMGKSDPYVKLKLTEDKHLSKKTSVKKNNLNPEWNEEFKLVVKDPGTQALELNVYDWEQVGQHEKMGMNLIPLRDLVPDKPKLFTLDLLKTMDPNDPQNQKLRGQLFVEVTYKPFSEDSDAVDKAPAGTLSGGGLLVVIVHEAQDLEGKHHTNPYVQILFRGDTKKTKHIKKNRNPRWEEEFQFECEEPPLNDKIRVEVHSKRSTIGIHSEESLGYVVISLADVISNRRINEKYHLIDSKRGRIQIELEWRTS >DRNTG_14706.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20853481:20868528:1 gene:DRNTG_14706 transcript:DRNTG_14706.4 gene_biotype:protein_coding transcript_biotype:protein_coding METLNATEPHYVRCVKPNSLNQPQKFENQSVIHQLRCGGVLEAVRISLAGYPTRRSYSDFVDRFGLLALDHLDGRISEKSLTQKILQKLSLDNFQLGRTKVFLRAGQIGLLDSRRNEVLNNAARLIQGRFLTYNARKDFTRTRRAAITLQSYCRGCLARSILASKRQIAASLMIQKHTRRLLVRQAFLQTYTAVVVVQSFIRGSSVRRKFMCIREHRAASLIQATWRYQKACWASKKRRKAVISIQCAWRQKVARRELRMLKLAANEAGALREAKNKLEKKLEDLTWRLTLEKRLRAASEDSRLVEVSKLQKGLETLKSELDAERSAVVGERNKNGLLVSQLEEAKKNIATLQTNLNEMEELNKQNSLLRTSLDSLTKQNMEMEQELLKTRKYGDDTFNKLQGVEEEYSLLQRNMQSLEEKLSSLEDENHILRQKALSLSPMNYFPGTVKPLTEKYAGAPMLHNINQNAVYETPTPTKLFAPLPHSLSESRRSRMLVDRHEEYHDLLLRCIKEDLGFMDDKPIAACVIYKCLLQWRVFEAERTATFDFIIEALNDVLKVDNENDILPYWLSNVSALLCLLQRNLRSNGFLTTPRRSVGSMGSNLRMPQGKSPLALTGAEESISHVDARYPAILFKQQLTACLEKIFGLIRDNLKKEISPLLSLCIQAPKSTRGSAGKTSKSPGGAPQQPLSTHWDRIINFLDSLMERLQKNHVPSFFIRKLITQVFSFINIQLFNSLLLRRECCTFSNGEYVKSGLAVLEKWITDATEEFAGTSWHELNYIRQAVGFLVIHQKRRKTLEEIRQDLCPALSVRQIYRICTMYWDDKYSTQSVSNEVVATMREMVNKDSQNLVSNSFLLDDDLSIPFSTEDISKAIPVIDPRDVDVPVSLRKSPSVQLLLQPAPV >DRNTG_14706.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20860631:20868528:1 gene:DRNTG_14706 transcript:DRNTG_14706.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLAANEAGALREAKNKLEKKLEDLTWRLTLEKRLRAASEDSRLVEVSKLQKGLETLKSELDAERSAVVGERNKNGLLVSQLEEAKKNIATLQTNLNEMEELNKQNSLLRTSLDSLTKQNMEMEQELLKTRKYGDDTFNKLQGVEEEYSLLQRNMQSLEEKLSSLEDENHILRQKALSLSPMNYFPGTVKPLTEKYAGAPMLHNINQNAVYETPTPTKLFAPLPHSLSESRRSRMLVDRHEEYHDLLLRCIKEDLGFMDDKPIAACVIYKCLLQWRVFEAERTATFDFIIEALNDVLKVDNENDILPYWLSNVSALLCLLQRNLRSNGFLTTPRRSVGSMGSNLRMPQGKSPLALTGAEESISHVDARYPAILFKQQLTACLEKIFGLIRDNLKKEISPLLSLCIQAPKSTRGSAGKTSKSPGGAPQQPLSTHWDRIINFLDSLMERLQKNHVPSFFIRKLITQVFSFINIQLFNSLLLRRECCTFSNGEYVKSGLAVLEKWITDATEEFAGTSWHELNYIRQAVGFLVIHQKRRKTLEEIRQDLCPALSVRQIYRICTMYWDDKYSTQSVSNEVVATMREMVNKDSQNLVSNSFLLDDDLSIPFSTEDISKAIPVIDPRDVDVPVSLRKSPSVQLLLQPAPV >DRNTG_14706.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20845954:20868528:1 gene:DRNTG_14706 transcript:DRNTG_14706.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRKGSMVWVEDKDAAWIEAEVVDVRDKTLVVVTAARKKITVSLGKLLPRDPEADHGGVDDMTKLTYLNEPGVLYNLARRYALNEIYTYTGSILIAVNPFAKLPHLYNGHMMEQYKGVRFGELSPHVFAIADASYRAMMNEGRSQSILVSGESGAGKTETTKLIMQYLTFVGGRAAGGERTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDRSGRISGAAIRTYLLERSRVVQIADPERNYHCFYQLCASGKDATKYKLGHPREFHYLNQSRTFELEGVSSAEEYLKTRRAMDIVGISLADQEAIFRTLAAILHLGNVEFSPGKEHDSSIVKDSKSNFHLQMSADLFMCDPNILLATLCSRSIQTHEGSIIKALDCAAATASRDALAKTVYARLFDWLVENINKSVGQDLNSMMQIGVLDIYGFECFRNNSFEQFCINFANEKLQQHFNEHVFKMEQEEYKNEEINWSYIEFIDNQDILDLIEKKPLGIISLLDEACMFPKSTHATFSTKLFRSFGTHPRLEKAKFSETDFTLSHYAGKVNYQTESFLDKNRDYVVVEHCNLLSSSKCTFISGLFSSLPEETSRSSYKFSSVASRFKQQLQALMETLNATEPHYVRCVKPNSLNQPQKFENQSVIHQLRCGGVLEAVRISLAGYPTRRSYSDFVDRFGLLALDHLDGRISEKSLTQKILQKLSLDNFQLGRTKVFLRAGQIGLLDSRRNEVLNNAARLIQGRFLTYNARKDFTRTRRAAITLQSYCRGCLARSILASKRQIAASLMIQKHTRRLLVRQAFLQTYTAVVVVQSFIRGSSVRRKFMCIREHRAASLIQATWRYQKACWASKKRRKAVISIQCAWRQKVARRELRMLKLAANEAGALREAKNKLEKKLEDLTWRLTLEKRLRAASEDSRLVEVSKLQKGLETLKSELDAERSAVVGERNKNGLLVSQLEEAKKNIATLQTNLNEMEELNKQNSLLRTSLDSLTKQNMEMEQELLKTRKYGDDTFNKLQGVEEEYSLLQRNMQSLEEKLSSLEDENHILRQKALSLSPMNYFPGTVKPLTEKYAGAPMLHNINQNAVYETPTPTKLFAPLPHSLSESRRSRMLVDRHEEYHDLLLRCIKEDLGFMDDKPIAACVIYKCLLQWRVFEAERTATFDFIIEALNDVLKVDNENDILPYWLSNVSALLCLLQRNLRSNGFLTTPRRSVGSMGSNLRMPQGKSPLALTGAEESISHVDARYPAILFKQQLTACLEKIFGLIRDNLKKEISPLLSLCIQAPKSTRGSAGKTSKSPGGAPQQPLSTHWDRIINFLDSLMERLQKNHVPSFFIRKLITQVFSFINIQLFNSLLLRRECCTFSNGEYVKSGLAVLEKWITDATEEFAGTSWHELNYIRQAVGFLVIHQKRRKTLEEIRQDLCPALSVRQIYRICTMYWDDKYSTQSVSNEVVATMREMVNKDSQNLVSNSFLLDDDLSIPFSTEDISKAIPVIDPRDVDVPVSLRKSPSVQLLLQPAPV >DRNTG_14706.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20848114:20868528:1 gene:DRNTG_14706 transcript:DRNTG_14706.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVGISLADQEAIFRTLAAILHLGNVEFSPGKEHDSSIVKDSKSNFHLQMSADLFMCDPNILLATLCSRSIQTHEGSIIKALDCAAATASRDALAKTVYARLFDWLVENINKSVGQDLNSMMQIGVLDIYGFECFRNNSFEQFCINFANEKLQQHFNEHVFKMEQEEYKNEEINWSYIEFIDNQDILDLIEKKPLGIISLLDEACMFPKSTHATFSTKLFRSFGTHPRLEKAKFSETDFTLSHYAGKVNYQTESFLDKNRDYVVVEHCNLLSSSKCTFISGLFSSLPEETSRSSYKFSSVASRFKQQLQALMETLNATEPHYVRCVKPNSLNQPQKFENQSVIHQLRCGGVLEAVRISLAGYPTRRSYSDFVDRFGLLALDHLDGRISEKSLTQKILQKLSLDNFQLGRTKVFLRAGQIGLLDSRRNEVLNNAARLIQGRFLTYNARKDFTRTRRAAITLQSYCRGCLARSILASKRQIAASLMIQKHTRRLLVRQAFLQTYTAVVVVQSFIRGSSVRRKFMCIREHRAASLIQATWRYQKACWASKKRRKAVISIQCAWRQKVARRELRMLKLAANEAGALREAKNKLEKKLEDLTWRLTLEKRLRAASEDSRLVEVSKLQKGLETLKSELDAERSAVVGERNKNGLLVSQLEEAKKNIATLQTNLNEMEELNKQNSLLRTSLDSLTKQNMEMEQELLKTRKYGDDTFNKLQGVEEEYSLLQRNMQSLEEKLSSLEDENHILRQKALSLSPMNYFPGTVKPLTEKYAGAPMLHNINQNAVYETPTPTKLFAPLPHSLSESRRSRMLVDRHEEYHDLLLRCIKEDLGFMDDKPIAACVIYKCLLQWRVFEAERTATFDFIIEALNDVLKVDNENDILPYWLSNVSALLCLLQRNLRSNGFLTTPRRSVGSMGSNLRMPQGKSPLALTGAEESISHVDARYPAILFKQQLTACLEKIFGLIRDNLKKEISPLLSLCIQAPKSTRGSAGKTSKSPGGAPQQPLSTHWDRIINFLDSLMERLQKNHVPSFFIRKLITQVFSFINIQLFNSLLLRRECCTFSNGEYVKSGLAVLEKWITDATEEFAGTSWHELNYIRQAVGFLVIHQKRRKTLEEIRQDLCPALSVRQIYRICTMYWDDKYSTQSVSNEVVATMREMVNKDSQNLVSNSFLLDDDLSIPFSTEDISKAIPVIDPRDVDVPVSLRKSPSVQLLLQPAPV >DRNTG_14706.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20845913:20868528:1 gene:DRNTG_14706 transcript:DRNTG_14706.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVGISLADQEAIFRTLAAILHLGNVEFSPGKEHDSSIVKDSKSNFHLQMSADLFMCDPNILLATLCSRSIQTHEGSIIKALDCAAATASRDALAKTVYARLFDWLVENINKSVGQDLNSMMQIGVLDIYGFECFRNNSFEQFCINFANEKLQQHFNEHVFKMEQEEYKNEEINWSYIEFIDNQDILDLIEKKPLGIISLLDEACMFPKSTHATFSTKLFRSFGTHPRLEKAKFSETDFTLSHYAGKVNYQTESFLDKNRDYVVVEHCNLLSSSKCTFISGLFSSLPEETSRSSYKFSSVASRFKQQLQALMETLNATEPHYVRCVKPNSLNQPQKFENQSVIHQLRCGGVLEAVRISLAGYPTRRSYSDFVDRFGLLALDHLDGRISEKSLTQKILQKLSLDNFQLGRTKVFLRAGQIGLLDSRRNEVLNNAARLIQGRFLTYNARKDFTRTRRAAITLQSYCRGCLARSILASKRQIAASLMIQKHTRRLLVRQAFLQTYTAVVVVQSFIRGSSVRRKFMCIREHRAASLIQATWRYQKACWASKKRRKAVISIQCAWRQKVARRELRMLKLAANEAGALREAKNKLEKKLEDLTWRLTLEKRLRAASEDSRLVEVSKLQKGLETLKSELDAERSAVVGERNKNGLLVSQLEEAKKNIATLQTNLNEMEELNKQNSLLRTSLDSLTKQNMEMEQELLKTRKYGDDTFNKLQGVEEEYSLLQRNMQSLEEKLSSLEDENHILRQKALSLSPMNYFPGTVKPLTEKYAGAPMLHNINQNAVYETPTPTKLFAPLPHSLSESRRSRMLVDRHEEYHDLLLRCIKEDLGFMDDKPIAACVIYKCLLQWRVFEAERTATFDFIIEALNDVLKVDNENDILPYWLSNVSALLCLLQRNLRSNGFLTTPRRSVGSMGSNLRMPQGKSPLALTGAEESISHVDARYPAILFKQQLTACLEKIFGLIRDNLKKEISPLLSLCIQAPKSTRGSAGKTSKSPGGAPQQPLSTHWDRIINFLDSLMERLQKNHVPSFFIRKLITQVFSFINIQLFNSLLLRRECCTFSNGEYVKSGLAVLEKWITDATEEFAGTSWHELNYIRQAVGFLVIHQKRRKTLEEIRQDLCPALSVRQIYRICTMYWDDKYSTQSVSNEVVATMREMVNKDSQNLVSNSFLLDDDLSIPFSTEDISKAIPVIDPRDVDVPVSLRKSPSVQLLLQPAPV >DRNTG_00448.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27300028:27303625:1 gene:DRNTG_00448 transcript:DRNTG_00448.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G46330) UniProtKB/TrEMBL;Acc:C0LGU8] MAHYQSITTFLSLLLLFFIPLLHCNASMQTIQNEALIAFKSSITDDPLGALLDWKNTAHHCNWSGVSCDPSTNAIISITLIETQLTGSLSPFLANISTLQDLELSVNGFSGSIPSEIGNLKNLQFLDLSTNLFNGSIPETICNCSSLSILALDTNNFTGSVPPCFGTELLNLQVLQAYSNALVGHLPASLGNLIALRILDLSNNMLSGPLPSHMANLSSLSILQLHINQLSGNLPPDLGKCTNLTLLNLYTNGFTGSIPPQLGQLENLQVLRLHDNELSSSIPASLSGCKSLLSLGLSINQLTGVIPPELGSLSSLKYLGLHVNRLVGHIPSSLMNLSSLTYLALSINLLSGTIPSNLGSLGNLVVLNLQNNSLHGPIPPSIINCTHLQNVSLAFNKFTGSLPSGLGKLKNLTFFGIGSSELSGPIPEDIFNCSKLFLIDLSFNNLTGPLSPRVSKLTGLQTLLLRSNSLSGGIPPEIGNLSSLLVLSLGGNRFSGNIPPEISKLSSLQGLALDDNSLEGAIPDHVFQLKQLVRLQMQGNQFFGPVSESISNLQSLAYLDLHGNMLNGSIPISMKNLRQLLLLDLSYNNFTGPIPGSVLENMESMQLYLNLSNNLFDGSIPVQIGGLQMIQAIDISHNNLSGSVPASIQGCRNLYSLDLSGNKLSGPLPSKVFSQLDLLTSLKLSDNELDGELPSSFAEMKHIVSIDVSNNHFSGRIPENLGNLSSLRQLNLSFNQFEGPVPETGVFRSLNLSNLEGNAALCGSKFFSPCDKEQQKQRFSRKALVIVIVLVSAAFLLVIVFIVLFTYRRSSGKRKAASSRHSNAEPSIVPALKRFTKKELEIATDFFSENNVIGHSNWSTVYKGKLEGDHDLDHFIAVKKLNLEQFPSVSDKLFITELRILSQLKHKNLVKVLGYACETGKLKALALPLMENGNLENIIHNNEHRSRFTTIYERLKVLISVAHGLVYLHSGYDFPIVHCDLKPSNILLDKDFEAHVSDFGTARILGLHLLDGNSKSTTTTTFQGTIGYMAPEFAYMMRPTTKIDVFSFGIVVMEFMTKRRPTAGNEDNGEELALQDYVGNAVGGGVQSVVSMVDSDMNLATEIEVEKVVGVLELALSCVRPGTGDRPDMNEVLSSLLKLNKD >DRNTG_06338.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20153486:20156347:-1 gene:DRNTG_06338 transcript:DRNTG_06338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPICQWTRLVGLGRDINDKYNDFPGDHIPIDKGYSLITEHLAVELPPGTIQLGRKVRLIEWCSNGGRASPKKALVRVFLDDESVEIADHVILTVSLGVLKECLKEKAKARVSSLRFNPGLPSFKKNAI >DRNTG_15436.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14957483:14974312:-1 gene:DRNTG_15436 transcript:DRNTG_15436.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYQRKMHLYSFVRGLCWIFFGVSVKAHELLNRVLELQLLAKQTSRYPDPVSQFRLVTHHKSPQWSKSCGWKPVDDSRLLLGIYYHGFVNWEKIRLDSRLGLTRKIAPVSLGEQGNISASCSKLGQSC >DRNTG_15436.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14955382:14977981:-1 gene:DRNTG_15436 transcript:DRNTG_15436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLITRFVRQQQLCKNELSAILRFVAEELLRKKRMMKKARGDLRAWILMKFLRELRKLKQRQQMVNREVNC >DRNTG_15436.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14960007:14960749:-1 gene:DRNTG_15436 transcript:DRNTG_15436.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLTSQRKVPRGRKGALNPKITNPRGVQLEQQILQSILCQLLMGLLLM >DRNTG_15436.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14957483:14977981:-1 gene:DRNTG_15436 transcript:DRNTG_15436.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYQRKMHLYSFVRGLCWIFFGVSVKAHELLNRVLELQLLAKQTSRYPDPVSQFRLVTHHKSPQWSKSCGWKPVDDSRLLLGIYYHGFVNWEKIRLDSRLGLTRKIAPVSLGEQGNISASCSKLGQSC >DRNTG_03513.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:15400230:15403126:-1 gene:DRNTG_03513 transcript:DRNTG_03513.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICYFDVREVSIRVRTSRLKRVERVSHEIVECPLSLPIECILSLFPKLYTTIFGVRLEIERFLHRDLVGVCIVLHGNHLNMLEKAFIRVLEHR >DRNTG_13083.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13214884:13224476:-1 gene:DRNTG_13083 transcript:DRNTG_13083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAVALMQNLARRRLQSLAPTVTSLPAAVTQEWVVVSKGGKRHAKGHSLGRNPSGSSSSISGCARPGPRLNAKRRRSPESPSQPCSCCFRVGHRADKCRHLIVCLRCSRVGHTASSCHLPPRSKAGEVSRRGSLSSAQKHGVVLSRSSSGHVNPSTGSGSTSPPSSSDSCSFPGDRGPLFPRPSGLGRKVSVSIPLSLDIIRAKQELNFVVVASFTSGFISPDKLLEVLAAELGVQSIGSSSGFCGDSLLLKCLGEEITKKVVKLSDFKCSSAFGLCTVRFRRWSPELGSSGRAIGRTDWVHIWNLPLHCWGWNAVSDLLKSFGEVVTMRRHLEEPSSALSALVRLRPSVELPITIELSVGIRRFLVLLTDRRSPVPRFNPQTDRFSLLNHEVDLHQAAHQIPAHLKGKQKLVVDGGQKRASRTTADVEDAGRNSSHLPVSLEGGVLQKTDGLTLPVMTTDGSTSPSCERPMGRSQSKKRRPYIVVDRDEALGNRSSDVSVDEVSQDPCYPRSQGRPSESVREKLIPHVVRESDRDVLSEKGGNRTAGVSSMSVAQSRGVITVDIDSSSSVQSKGLATQPRGIITVDIDSSSAVQSQGNSGSDLGPLILNRDVGQSSGHILGPEAESFKSQLLGPIGGPCSDLGYEDALLPLSSAPSLGQAGNDTPTQFPREPVTEPSSDSDLNVMLPGAYRNPDHHPVGVPIPPGFTWHFMLGIWSIVPKENFLLIDSFLPDPSYTLADGVDVDDDEIEEGLVAEAEDPDLNHLALVPFEPSVQALDPDLMVVVDPVHDGLPQFVCNTERQMSSMPQAQRRSYRQKKPSSRWNEEAGFLPQPPRSAKKKSSGASPEGGPSKKHLVRDFIDQFKPSIVCLQETKLAVVDHPTWKAIAGCLLDGFCLIAASGTSWVMIVGWNGTLFKGKLIHSGPYCLTVEFLSILDHSSWNCTTVYGPNARNQKMDFWSEIRNCKPPPGMPWILCGDFNVIFSTLDKNSGNVCWDDIRNGQDLIRDLNLVETQLRGRSFTWTNNQQTPIWVRLDRFLVTPDWLCRFPRAHHFQASLARSRIPTGTTSFPASCPPDLLLSVGGVPLSSSLYFCLEVGSPSHDARDDDPNDEYMEESASDDSGSFQEAEDDFILCQYKKDIKLESLARRKPSKSGTKSKKRSLLRTTGDYLTLELWSGIVVIWKKQVGNVTPVVVFRQAFHFVIFTSDDTWILSMIC >DRNTG_03153.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16715825:16716229:-1 gene:DRNTG_03153 transcript:DRNTG_03153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIQSGIQETPSMVMSMVLGKVLYSSSKDSFVRYRIRLDRSSPTNLHSNGIMMSEP >DRNTG_19969.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:385020:387583:-1 gene:DRNTG_19969 transcript:DRNTG_19969.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDLKTSRIHKLPGPGGIRSLVPPLLSSAADVLPSPQMVEYTCSRCGDKFESIDKLNAHTNKRPRCDQKPTKKNTMGDESPATPGTPATGSSESPGDSGNSGSSGGTAAVASQEKKNTPEN >DRNTG_19969.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:385020:387583:-1 gene:DRNTG_19969 transcript:DRNTG_19969.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFNPTTFTTITKNLSNCSSHHSTVPYPQMVEYTCSRCGDKFESIDKLNAHTNKRPRCDQKPTKKNTMGDESPATPGTPATGSSESPGDSGNSGSSGGTAAVASQEKKNTPEN >DRNTG_19969.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:385020:387583:-1 gene:DRNTG_19969 transcript:DRNTG_19969.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDLKTSRIHKLPGPGGIRSLVPPLLSSAADVLPSPQMVEYTCSRCGDKFESIDKLNAHTNKRPRCDQKPTKKNTMGDESPATPGTPATGSSESPGDSGNSGSSGGTAAVASQEKKNTPEN >DRNTG_04099.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13348086:13353825:1 gene:DRNTG_04099 transcript:DRNTG_04099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVTSLVQSMSKAILERLLPYHPECDKKIGRGIDFITGFIQNMIIRDVYSLFGRMGCWLTSPIGSA >DRNTG_02351.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000108.1:56167:62966:1 gene:DRNTG_02351 transcript:DRNTG_02351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIEAQGLGRKGAGEDSPYHNKRWTVSFTSCFASNDCLTGIYCDCKLLWIVLTGILTGVSLARKTPSSPTALPTSSAPKTSPTTATTWSSPTSPLAGKSFVSSPITTCSTPKLSLLGLPSAGLSSTLCSNPSTHRASLSQLDQPVVLPELLMCTTGNVISRVMLSRRVFDVRGKELGRFKSVLEDTLTIVGLFNIGDFVSSIMWMDLQGVQERFDGIITRMLAEHAATVVECADNPDFIDLVLANHVGDNSETLSDVNIKGLIWKMHISSEVFRDIFSELISFMSHSDDAYGVFKLLGIGPGIHYRLARVADAATLRPIAQSIMATMIDKGMVEGLNSHVSVASYRFTHMIPQELKGKAKMVESSFTSGRVDVTLTHPLMMMGDSGDIQRKVAIMKPPLGQQQMNSLMVSPMSTVI >DRNTG_15925.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:915907:917030:-1 gene:DRNTG_15925 transcript:DRNTG_15925.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWIMRISGFFAAALLTIILFPSLQSFPPAEAIRSPRRFASPDRFSFRQAPAFRNAAECGAGIDENTTICEPSLVHIAITLDEDYLRGSIAAVHSVLQHALCPESIFFHFLISEPKLETVVRSAFPDLHFKVYYFDPDRVRNLISTSVRSALEQPLNYARNYLADLLEPCVRRVIYLDSDLILVDDVAKLWRTSLGSRAIGAPEYCHANFTKYFTNRFWSDPHLAGTFSGRRPCYFNTGVMVIDLHQWRKSGFTRSIEKWMEIQKLGGTGSAGRIYELGSLPPFLLVFAGHVAPIEHRWNQHGLGGDNVKGSCRDLHPGPVSLLHWSGSGKPWARLDSNNPCPLDALWAPYDLYGPTANSR >DRNTG_10504.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6360725:6362205:1 gene:DRNTG_10504 transcript:DRNTG_10504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLTLKIGQQIHFSIEDEEEYEYVEFCKLIGLMRLKLNNAENKAN >DRNTG_10504.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6360937:6362205:1 gene:DRNTG_10504 transcript:DRNTG_10504.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLQNPQGSFKKAQMGNGEECHCRQCC >DRNTG_08154.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16105739:16112698:1 gene:DRNTG_08154 transcript:DRNTG_08154.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSLSFANPNPNPISSSFSFSNPNPNLKLLPSILLLSKPCISSSSSFSFFSRRSLWSPSFARPLLAARCSIRPRPAYIPNRIDDPSYVRIFDTTLRDGEQSPGATMTSVEKLAVAKQLARLGVDIIEAGFPASSPDDLDAVRSIAIDVGNNPADESGHVPVICGLARCNKKDIDAAWEAVRHAKRPRVHTFIATSEIHLKYKLRKSQEEVVKIASEMVAYARSLGCEDVEFSPEDAGRSDREFLYHVLEEVIKAGATTVNIPDTVGYTLPSEFGKLIADIKANTRGIENVIISTHCQNDLGLATANTLAGAYAGARQLEVTINGIGERAGNASLEEVVMAIKCRKELVGGLHTGINTKHIVRASKMVVEYTGLHVQPHKAIVGANAFAHESGIHQDGMLKFKGTYEIISPEDIGLARANESGIVLGKLSGRHALRSRLLEFGYDINGKELDDIFKRFKEVAEKKKHVTDDDIEALISDEIFQPQVVWSLGDLQVTCGTLGLSTATVKLITSDGEEKIECSIGTGPVDAAYKAVDNIVQIPVTLQEYSMNAVTEGIDAIATTRVVIRGDDRPTTTNASTGETVQRTFSGSGAGMDIVVSSVRAYISALNKMLGFQDFSAHKVAAGQTSQSSQ >DRNTG_08154.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16105739:16112698:1 gene:DRNTG_08154 transcript:DRNTG_08154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSLSFANPNPNPISSSFSFSNPNPNLKLLPSILLLSKPCISSSSSFSFFSRRSLWSPSFARPLLAARCSIRPRPAYIPNRIDDPSYVRIFDTTLRDGEQSPGATMTSVEKLAVAKQLARLGVDIIEAGFPASSPDDLDAVRSIAIDVGNNPADESGHVPVICGLARCNKKDIDAAWEAVRHAKRPRVHTFIATSEIHLKYKLRKSQEEVVKIASEMVAYARSLGCEDVEFSPEDAGRSDREFLYHVLEEVIKAGATTVNIPDTVGYTLPSEFGKLIADIKANTRGIENVIISTHCQNDLGLATANTLAGAYAGARQLEVTINGIGERAGNASLEEVVMAIKCRKELVGGLHTGINTKHIVRASKMVVEYTGLHVQPHKAIVGANAFAHESGIHQAGCRLCYTLQ >DRNTG_08154.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16105739:16112698:1 gene:DRNTG_08154 transcript:DRNTG_08154.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSLSFANPNPNPISSSFSFSNPNPNLKLLPSILLLSKPCISSSSSFSFFSRRSLWSPSFARPLLAARCSIRPRPAYIPNRIDDPSYVRIFDTTLRDGEQSPGATMTSVEKLAVAKQLARLGVDIIEAGFPASSPDDLDAVRSIAIDVGNNPADESGHVPVICGLARCNKKDIDAAWEAVRHAKRPRVHTFIATSEIHLKYKLRKSQEEVVKIASEMVAYARSLGCEDVEFSPEDAGRSDREFLYHVLEEVIKAGATTVNIPDTVGYTLPSEFGKLIADIKANTRGIENVIISTHCQNDLGLATANTLAGAYAGARQLEVTINGIGERAGNASLEEVVMAIKCRKELVGGLHTGINTKHIVRASKMVVEYTGLHVQPHKAIVGANAFAHESGIHQAGWNA >DRNTG_07191.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000323.1:138436:140171:1 gene:DRNTG_07191 transcript:DRNTG_07191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWLFYYALFLKSYYSCSSLGGEGVALACFIVLRFCVQTEIVMAVEVVVGDHEQLLVKEGRNNISLIFFKFIGVIFLAGAIFYLSVSNFNTRMVEIEKFSMSLEKQDVLNIMALTKESAVKEKQLKKGTEVCDLWVGEWIPNAAEPAYTHTCNLILPFENCVRNGRPDTSYLHWRWKPNSCDLPPIDPLKFLNEMRNKSFAFIGDSICHSLLSSLMCHLSKVAKVYDVYHNPSFTTRTWYYPSHNVTLYMISSLFLIHYETVDNHGDASQIHLHLHLDILDSKWTSEYNKYDYVVISIGPHFFRSSIIYENNQVIGCHHCPHLNLKKFGIDELYRKALHLSLNFIAKSEHKTFVILKTWSPDHSEHGELPSAIHRGNGLGHSRRGSFIILQHCYEESRGRGV >DRNTG_01459.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27734679:27737934:-1 gene:DRNTG_01459 transcript:DRNTG_01459.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQSKEASMITAKTMLSTAASLAASIVVVRTIINDVLPNSLRHHILNSFHNLLGRHFSSQLTIIIKEYNGFFPSEIYQATETYLSTKISPNTRRLYASKMEGEKTLEITMHQGEEIIDTFQGVHFKWKCICHQTQHEIPTPSNYHYRGSIMSSEERTFVVSFHQKHKSMVLESYFPHILSQAKAIKNKGKAIKLHAVRHDRVHRPRSDMWSAVNLDHPATFETVAMEQNLKQELMADLERFVRRKEYYKKVGKAWKRGYLLYGPPGTGKSSLIAAMANFLKFDVYDLELTAIHSNSELRKLLVSTANRSILVVEDIDCSIELENRKEVSEIVPRIVHSSWHGGFNQEKITLSGLLNFIDGLWSSCGDERIIIFTTNHKDRLDHALLRPGRMDMHIYMGYCSPCGFKSLLSNYHSVENHRLCEVIERLIQEIEVTPAEVAEELMKSDIVDVALECLIKFLEKKMIEPTESKDKVTKFESDQGEEAIEIEKDEE >DRNTG_33533.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20698684:20699929:1 gene:DRNTG_33533 transcript:DRNTG_33533.1 gene_biotype:protein_coding transcript_biotype:protein_coding IYIYIYIYGKALGFLYPQFRARGHLWQQHDKGSQEEKDSGGVSTER >DRNTG_33533.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20698684:20699929:1 gene:DRNTG_33533 transcript:DRNTG_33533.2 gene_biotype:protein_coding transcript_biotype:protein_coding IYIYIYIYGKALGFLYPQFRARGHLWQQHDKGSQEEKDSGGVSTER >DRNTG_20527.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001125.1:75124:81208:-1 gene:DRNTG_20527 transcript:DRNTG_20527.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGTSIRPRTFKQEEAEYEEKEESEHESEISWLMRSSDFMADEISTKKPHCLFSQSLVLLIFLLALASSCQGLLQADSSSAAVKQNVTRVSAVFAFGDSTLDSGNNNYLVTPYKSDFLPYGKDFFGHKPTGRFTNGRLLTDFAASYVGLKEEVPAYLDPSLSLDDLITGVCFASAGSGFDPLTAEINQVIPMGEQIDYFRDYLSRIELQVGPDRMDKIMNNAVFIISAGTNDFAANYIPLPIRKKMFSIADYQNFVLQNLQEFLQGIHKLGARKMAVVGLPPVGCLPVIITLFGFGDRKCIEAFNSMSIIYNNKLKDILRKASTVLQGAQLIYVDVFTPLYDFILSPNKYGFEETMVGCCGTGMVEAGLACNANSLVCIDASKYVFWDSIHPTEKTYLTLFNYFKPLIDNFLESSN >DRNTG_20527.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001125.1:76464:79600:-1 gene:DRNTG_20527 transcript:DRNTG_20527.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSDFMADEISTKKPHCLFSQSLVLLIFLLALASSCQGLLQADSSSAAVKQNVTRVSAVFAFGDSTLDSGNNNYLVTPYKSDFLPYGKDFFGHKPTGRFTNGRLLTDFAASYVGLKEEVPAYLDPSLSLDDLITGVCFASAGSGFDPLTAEINQVIPMGEQIDYFRDYLSRIELQVGPDRMDKIMNNAVFIISAGTNDFAANYIPLPIRKKMFSIADYQNFVLQNLQEFLQ >DRNTG_33405.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1542408:1543886:1 gene:DRNTG_33405 transcript:DRNTG_33405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKLKNRRIMGGGGPGEEEEKWPPWLKPLLRTSFFVQCKQHADSHKSECNMYCLDCMNGALCSLCLSYHRDHHAIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGSYQHL >DRNTG_19407.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6218224:6226149:-1 gene:DRNTG_19407 transcript:DRNTG_19407.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGKLVYQYAKKRASEPKCLVTGKRIQGETGKMVQQLCAFGSRGKQFEEDIQARSQDPALPHRPQPS >DRNTG_19407.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6218224:6226174:-1 gene:DRNTG_19407 transcript:DRNTG_19407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGKLVYQYAKKRASEPKCLVTGKRIQGETGKMVQQLCAFGSRGKQ >DRNTG_19407.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6218224:6226149:-1 gene:DRNTG_19407 transcript:DRNTG_19407.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGKLVYQYAKKRASEPKCLVTGKRIQGETGKMVQQLCAFGSRGKQF >DRNTG_19407.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6218224:6220797:-1 gene:DRNTG_19407 transcript:DRNTG_19407.13 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEFFTKFGKSAEPSRSGNEETGSYQLRKQKSGKPQGFVDIAVRIYEQSKSGASHPAGVHRHHQIHDREIIYLECHS >DRNTG_19407.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6218224:6226174:-1 gene:DRNTG_19407 transcript:DRNTG_19407.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGKLVYQYAKKRASEPKCLVTGKRIQGETGKMVQQLCAFGSRGKQ >DRNTG_19407.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6218224:6226174:-1 gene:DRNTG_19407 transcript:DRNTG_19407.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGKLVYQYAKKRASEPKCLVTGKRIQGETGKMVQQLCAFGSRGKQ >DRNTG_19407.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6218224:6226149:-1 gene:DRNTG_19407 transcript:DRNTG_19407.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGKLVYQYAKKRASEPKCLVTGKRIQGETGKMVQQLCAFGSRGKQF >DRNTG_19407.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6218195:6221095:-1 gene:DRNTG_19407 transcript:DRNTG_19407.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEFFTKFGKSAEPSRSGNEETGSYQLRKQKSGKPQGFVDIAVRIYEQSKSGASHPAGVHRHHQIHDREIIYLECHS >DRNTG_19407.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6218224:6221095:-1 gene:DRNTG_19407 transcript:DRNTG_19407.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEFFTKFGKSAEPSRSGNEETGSYQLRKQKSGKPQGFVDIAVRIYEQSKSGASHPAGVHRHHQIHDREIIYLECHS >DRNTG_19407.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6218224:6226149:-1 gene:DRNTG_19407 transcript:DRNTG_19407.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGKLVYQYAKKRASEPKCLVTGKRIQGETGKMVQQLCAFGSRGKQF >DRNTG_19407.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6218195:6220797:-1 gene:DRNTG_19407 transcript:DRNTG_19407.11 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEFFTKFGKSAEPSRSGNEETGSYQLRKQKSGKPQGFVDIAVRIYEQSKSGASHPAGVHRHHQIHDREIIYLECHS >DRNTG_19407.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6218195:6220797:-1 gene:DRNTG_19407 transcript:DRNTG_19407.12 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEFFTKFGKSAEPSRSGNEETGSYQLRKQKSGKPQGFVDIAVRIYEQSKSGASHPAGVHRHHQIHDREIIYLECHS >DRNTG_06279.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25839953:25848905:1 gene:DRNTG_06279 transcript:DRNTG_06279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTLPSRPHDDVDMKPSFRKPSNEMATRKYRRHSPVDGSDSSSSGGSPRCERSPVYSTEDRAKTHSDRQRRDEKRVLESDSGGNRSSRDGDSQRHSDKHTINNSHDLRRYDDHNRHNRPADEEDRGYHRSSRSDRDFRNSTHSDYTRRDSNYERSRESWRNTGKYTRDRSDDVGRRSNDKERDSLTSDHHKHNEKDSDRAAHGSKAGNSSRHDVRTGDRDRRRDREAHDERIDRRRSPGDQNNDKSRACLKELVVGRDSGNAHSKDARETSNKELDGLKNDQLHKRKHNSEESDEHKKYSGELGTSESKSSTFHDKERRKEHIPENQNSSDKKLKLVQEEGEKPFSSSKQVETAGKFTIQPSGSVTNQVDAAQNLDAAKVKAMQAAELVNKNLVGGGYMSTDQKKKLLWGSKKNTTTQEPSKRWDLHSFADRERQEKFNKLMGVKGDANLEQKPGEKDGGLRAEQQEQLQIDLERQYTAGLRRRDGRTVGLGL >DRNTG_06279.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25839953:25848905:1 gene:DRNTG_06279 transcript:DRNTG_06279.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTLPSRPHDDVDMKPSFRKPSNEMATRKYRRHSPVDGSDSSSSGGSPRCERSPVYSTEDRAKTHSDRQRRDEKRVLESDSGGNRSSRDGDSQRHSDKHTINNSHDLRRYDDHNRHNRPADEEDRGYHRSSRSDRDFRNSTHSDYTRRDSNYERSRESWRNTGKYTRDRSDDVGRRSNDKERDSLTSDHHKHNEKDSDRAAHGSKAGNSSRHDVRTGDRDRRRDREAHDERIDRRRSPGDQNNDKSRACLKELVVGRDSGNAHSKDARETSNKELDGLKNDQLHKRKHNSEESDEHKKYSGELGTSESKSSTFHDKERRKEHIPENQNSSDKKLKLVQEEGEKPFSSSKQVETAGKFTIQPSGSVTNQVDAAQNLDAAKVKAMQAAELVNKNLVGGGYMSTDQKKKLLWGSKKNTTTQEPSKRWDLHSFADRERQEKFNKLMGVKGDANLEQKPGEKDGGLRAEQQEQLQIDLERQYTAGLRRRDGRTVGLGL >DRNTG_14482.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23774124:23774366:1 gene:DRNTG_14482 transcript:DRNTG_14482.1 gene_biotype:protein_coding transcript_biotype:protein_coding GPGTTGLSPSPAPLSRGLGPGPSLRTLLQTTIRAASPPDSQAGLFPVRSPLLGESS >DRNTG_21532.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1447497:1448953:-1 gene:DRNTG_21532 transcript:DRNTG_21532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKMIKHPNNNPNLEEEERDWSHIPGQFLWGVMNYLPPFDAMRISYVCNSWKSIIKPEPNKITIPWVLCNPSSSISTDRIFIDLSTNAISRVKIPDIVSQGTCISSKHGWLLLHPNSHPMNIINGGFYSHRIFPYQPRVTSSKYEPNTSIVNNKCTLRLLHPVSGTVIDLPEIQEEDCNVVVGPAIIGAVSELTGKPELIIQASFRGHKGIVLRITRAGQSQWEEHRFQHCFGWETRVARVAMHGSTRVCCFDPKGRMCVFEMEDKSWLIFPELCNSRSGFVVECEDEVVKVAPLPPSVHGNQERYMFYKLGLDENGVIGWVEMERVGLENKWWFLDINGESYCVKGVGRKVFQLFGHYGMVRNGGGRVRFGTRIVSEVGIPLSVLDLDDGVPQELMPELALKDCKLGWILMDFPVSV >DRNTG_21240.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32754637:32757539:-1 gene:DRNTG_21240 transcript:DRNTG_21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKRLDFDAPLLSVRRFSSPARPPAGVKRPEAERRVSLPFYKADLKSGPVARPGIVPFVWEQTPGRPKDGPLPVSVRTRPKPPPGRILYENLENGTESSWTVASVSSAVSGGDVVGMEEVKVEKKKEEDEEKREERREEKRVVPAKPGGYEEEDDAFLDAFDTLSRTESFYMNCSVSGLTGGADAGLPHSGLFSTDPQVRDFMMGRFLPAAQAMASSSPQCGSRKPAAQVRETVRMAGRAENGKNGESRRPLPLTYQGRANYGLQYAHEQQGGGLAVEEEDDDDDDDDDGDAEEDYGGDLSKACGLLPKFCMKSSCFLINPVPGMKVRGRLPPPRSQRVNGQQNRNLGNAASLGQAGDEFSWEAVYRHKLGHVNRFQLENESKLTSESNQLTNWSDSPDGSSPYRRSGVDGISPYRNEAPSSPFHEGKGFLGVPTRERKSSKGNSMESFEKDGDHYWEMTPHDSSTKGSGSMSPAIEKTLYVDSVYVPETSHSKSSSVGTMADVRGVVPSDDKGSEAGTGSRRLDEIIPLESFRPDELQDKAAGAVEMEFPICTENPDHLDFSCNKEIKGSEDVDVPLALEAAMHAKNNGNPLQSLLPPPLPKSPSESWLLRTLPSVSYKNPPPQSLLGIQVQPRKQPLRGSSADVRPETKVKSVKQHRQIRFAEVLTKPVSPQ >DRNTG_31087.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15692650:15694974:-1 gene:DRNTG_31087 transcript:DRNTG_31087.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKAVIKPEKSFTTSHHFHQETRNRGGGEVKKKKIMNLQFDDAFKKSLPNYMKPTTCSGARKVTPVNPVQSKISCSGSKHAKNLVRKSSLKHVRVGLSSVVCNSRKAVKKATCSSTQKDFKFPKALDLNPGGTSVVRVCPYNYCSLNGHRHEALPPLKSFLESRRLAIKIEQRMKPKKEIDAGKKKKVVNKNQPLVEELGDDFFVEIYVKELVSCDQSEVVIDDNGSDCGSESSICEMDGMMSLIEYVAFDQIVKDVEENKFECIVSEQKGIEDAADSNDVFIASETVSVSHDVYEDVAHCDIVVSEQKGIEDAVDSNDAFITSEIVSVTHEVCEDVADCEIIASEGRGIEDAADSNDAFITSEIVSVSHDVCEDVPDEEASDDEKEENLVGDSSEDQSNKLIVEEEEVSKESVENLAGESAQDSVTFEQLSEIDKSTTTTTTDSDQECFEASDDETNCDQECFEASDDETDCDQECFEASDDETDGDAELIKIRNNRARASDRSLTDSLCDHPKLRLRITRKKRTEEEEMMIRVFNPRSPNFLPCQPEPDNEKVDLKHQEMDDRKNAEEWMVDYALRQAVTKLSPASKRKVALLVKAFETVIPMRIQACS >DRNTG_31087.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15692650:15695029:-1 gene:DRNTG_31087 transcript:DRNTG_31087.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKAVIKPEKSFTTSHHFHQETRNRGGGEVKKKKIMNLQFDDAFKKSLPNYMKPTTCSGARKVTPVNPVQSKISCSGSKHAKNLVRKSSLKHVRVGLSSVVCNSRKAVKKATCSSTQKDFKFPKALDLNPGGTSVVRVCPYNYCSLNGHRHEALPPLKSFLESRRLAIKIEQRMKPKKEIDAGKKKKVVNKNQPLVEELGDDFFVEIYVKELVSCDQSEVVIDDNGSDCGSESSICEMDGMMSLIEYVAFDQIVKDVEENKFECIVSEQKGIEDAADSNDVFIASETVSVSHDVYEDVAHCDIVVSEQKGIEDAVDSNDAFITSEIVSVTHEVCEDVADCEIIASEGRGIEDAADSNDAFITSEIVSVSHDVCEDVPDEEASDDEKEENLVGDSSEDQSNKLIVEEEEVSKESVENLAGESAQDSVTFEQLSEIDKSTTTTTTDSDQECFEASDDETNCDQECFEASDDETDCDQECFEASDDETDGDAELIKIRNNRARASDRSLTDSLCDHPKLRLRITRKKRTEEEEMMIRVFNPRSPNFLPCQPEPDNEKVDLKHQEMDDRKNAEEWMVDYALRQAVTKLSPASKRKVALLVKAFETVIPMRIQACS >DRNTG_31087.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15692614:15694974:-1 gene:DRNTG_31087 transcript:DRNTG_31087.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKAVIKPEKSFTTSHHFHQETRNRGGGEVKKKKIMNLQFDDAFKKSLPNYMKPTTCSGARKVTPVNPVQSKISCSGSKHAKNLVRKSSLKHVRVGLSSVVCNSRKAVKKATCSSTQKDFKFPKALDLNPGGTSVVRVCPYNYCSLNGHRHEALPPLKSFLESRRLAIKIEQRMKPKKEIDAGKKKKVVNKNQPLVEELGDDFFVEIYVKELVSCDQSEVVIDDNGSDCGSESSICEMDGMMSLIEYVAFDQIVKDVEENKFECIVSEQKGIEDAADSNDVFIASETVSVSHDVYEDVAHCDIVVSEQKGIEDAVDSNDAFITSEIVSVTHEVCEDVADCEIIASEGRGIEDAADSNDAFITSEIVSVSHDVCEDVPDEEASDDEKEENLVGDSSEDQSNKLIVEEEEVSKESVENLAGESAQDSVTFEQLSEIDKSTTTTTTDSDQECFEASDDETNCDQECFEASDDETDCDQECFEASDDETDGDAELIKIRNNRARASDRSLTDSLCDHPKLRLRITRKKRTEEEEMMIRVFNPRSPNFLPCQPEPDNEKVDLKHQEMDDRKNAEEWMVDYALRQAVTKLSPASKRKVALLVKAFETVIPMRIQACS >DRNTG_31087.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15692614:15695029:-1 gene:DRNTG_31087 transcript:DRNTG_31087.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKAVIKPEKSFTTSHHFHQETRNRGGGEVKKKKIMNLQFDDAFKKSLPNYMKPTTCSGARKVTPVNPVQSKISCSGSKHAKNLVRKSSLKHVRVGLSSVVCNSRKAVKKATCSSTQKDFKFPKALDLNPGGTSVVRVCPYNYCSLNGHRHEALPPLKSFLESRRLAIKIEQRMKPKKEIDAGKKKKVVNKNQPLVEELGDDFFVEIYVKELVSCDQSEVVIDDNGSDCGSESSICEMDGMMSLIEYVAFDQIVKDVEENKFECIVSEQKGIEDAADSNDVFIASETVSVSHDVYEDVAHCDIVVSEQKGIEDAVDSNDAFITSEIVSVTHEVCEDVADCEIIASEGRGIEDAADSNDAFITSEIVSVSHDVCEDVPDEEASDDEKEENLVGDSSEDQSNKLIVEEEEVSKESVENLAGESAQDSVTFEQLSEIDKSTTTTTTDSDQECFEASDDETNCDQECFEASDDETDCDQECFEASDDETDGDAELIKIRNNRARASDRSLTDSLCDHPKLRLRITRKKRTEEEEMMIRVFNPRSPNFLPCQPEPDNEKVDLKHQEMDDRKNAEEWMVDYALRQAVTKLSPASKRKVALLVKAFETVIPMRIQACS >DRNTG_02024.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12987132:12990283:1 gene:DRNTG_02024 transcript:DRNTG_02024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSLDLHAFITRSRVLQLYRQALRIAGRAPSQSRDELRQMMRQEMEKNRHCNDKQKIRFLISDGLQRIKDLDEMLDMQGHS >DRNTG_02024.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12989744:12990283:1 gene:DRNTG_02024 transcript:DRNTG_02024.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLADELRQMMRQEMEKNRHCNDKQKIRFLISDGLQRIKDLDEMLDMQGHS >DRNTG_32006.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001772.1:4351:5505:1 gene:DRNTG_32006 transcript:DRNTG_32006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYALPDDALFLGLDSSTQSLKATILDANLSIVASEQINFDSELPHYKTKDGVYRDSSDNSRIVSPTLMWVEALDLLLEKLKSKVDFGRVAAISGEWTTTWKCLLEEG >DRNTG_01588.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9633867:9637662:-1 gene:DRNTG_01588 transcript:DRNTG_01588.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEATTPLERATTSKINKSLILRALRRILWVDLNTTSVPRVLCDLNRVNGLSLVLSNGPLVKPRAHLAGSVPMKASPITIFKEKYTVKAVEPL >DRNTG_23731.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15689849:15691142:-1 gene:DRNTG_23731 transcript:DRNTG_23731.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHPGRKSYHLESVKATLAAASERATLEDLNKSLILGTSRSILWVYLSRWLGFRGALARLLEWFSGFNTVILLEDSYWGSFLRHRFGEVCPRLDKGTIGEDDATPQDRRHEY >DRNTG_16158.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24027386:24028569:1 gene:DRNTG_16158 transcript:DRNTG_16158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQVLRTLLTLFSFQLAVLISPGLASNSMITGGYLTEGQNLTYREYTLTMQSDCNLVLKNGSTVIWETMTGGYGQGCYLTLSQNGKLYLYARYGMYSYAIWNSRTESQYGTYALVLRYDGTLRIYGPNIWTASSSSAQPLSTTGTGLVNCKVTDSVLYSGDVAPIGTTIVNGGNVLTLQYDCNLVLTSDGVTKWQTGVTDNTLHDCFVNLEANGEFRVKHWGGDLLWTNGVAATVYAEFVLVLQSNADLGVYGPEIWSSVSASGIGKPSADHGIEMVTDK >DRNTG_22084.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20257576:20258951:-1 gene:DRNTG_22084 transcript:DRNTG_22084.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 1-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G61440) UniProtKB/Swiss-Prot;Acc:Q9XFI1] MAVSLRPGMSLSGSCYDNGLETQKKSSVFPLDQSMALKTELKGRQVAVIDDRNQNQRKMSICVPRVLRWWEKTTSSNMIEIKCAQELVDALINAGDKLVIVDFYSPGCGGCKALHPKICQIAELNPNAQFLKVNYEELKPMCHKLHIHVLPFFRFYRGSQGLVCSFSCTNATINKFKNALAKHGKDQSSSSSSSSSSSSSIGPAKGLEIKELLSLASSGEIDQLSYSLSSSM >DRNTG_22084.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20257576:20258623:-1 gene:DRNTG_22084 transcript:DRNTG_22084.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 1-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G61440) UniProtKB/Swiss-Prot;Acc:Q9XFI1] MYMLLQNQRKMSICVPRVLRWWEKTTSSNMIEIKCAQELVDALINAGDKLVIVDFYSPGCGGCKALHPKICQIAELNPNAQFLKVNYEELKPMCHKLHIHVLPFFRFYRGSQGLVCSFSCTNATINKFKNALAKHGKDQSSSSSSSSSSSSSIGPAKGLEIKELLSLASSGEIDQLSYSLSSSM >DRNTG_22084.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20257428:20258623:-1 gene:DRNTG_22084 transcript:DRNTG_22084.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 1-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G61440) UniProtKB/Swiss-Prot;Acc:Q9XFI1] MAVSLRPGMSLSGSCYDNGLETQKKSSVFPLDQSMALKTELKGRQVAVIDDRNQNQRKMSICVPRVLRWWEKTTSSNMIEIKCAQELVDALINAGDKLVIVDFYSPGCGGCKALHPKICQIAELNPNAQFLKVNYEELKPMCHKLHIHVLPFFRFYRGSQGLVCSFSCTNATINKFKNALAKHGKDQSSSSSSSSSSSSSIGPAKGLEIKELLSLASSGEIDQLSYSLSSSM >DRNTG_22084.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20257576:20258951:-1 gene:DRNTG_22084 transcript:DRNTG_22084.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 1-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G61440) UniProtKB/Swiss-Prot;Acc:Q9XFI1] MYMLLQNQRKMSICVPRVLRWWEKTTSSNMIEIKCAQELVDALINAGDKLVIVDFYSPGCGGCKALHPKICQIAELNPNAQFLKVNYEELKPMCHKLHIHVLPFFRFYRGSQGLVCSFSCTNATINKFKNALAKHGKDQSSSSSSSSSSSSSIGPAKGLEIKELLSLASSGEIDQLSYSLSSSM >DRNTG_22084.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20257428:20258951:-1 gene:DRNTG_22084 transcript:DRNTG_22084.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 1-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G61440) UniProtKB/Swiss-Prot;Acc:Q9XFI1] MAVSLRPGMSLSGSCYDNGLETQKKSSVFPLDQSMALKTELKGRQVAVIDDRNQNQRKMSICVPRVLRWWEKTTSSNMIEIKCAQELVDALINAGDKLVIVDFYSPGCGGCKALHPKVCLFLFYFILFYFIFSPQSSRSNQSMFVLLNVFQICQIAELNPNAQFLKVNYEELKPMCHKLHIHVLPFFRFYRGSQGLVCSFSCTNATINKFKNALAKHGKDQSSSSSSSSSSSSSIGPAKGLEIKELLSLASSGEIDQLSYSLSSSM >DRNTG_22084.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20257515:20258623:-1 gene:DRNTG_22084 transcript:DRNTG_22084.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 1-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G61440) UniProtKB/Swiss-Prot;Acc:Q9XFI1] MYMLLQNQRKMSICVPRVLRWWEKTTSSNMIEIKCAQELVDALINAGDKLVIVDFYSPGCGGCKALHPKICQIAELNPNAQFLKVNYEELKPMCHKLHIHVLPFFRFYRGSQGLVCSFSCTNATINKFKNALAKHGKDQSSSSSSSSSSSSSIGPAKGLEIKELLSLASSGEIDQLSYSLSSSM >DRNTG_22084.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20257515:20258623:-1 gene:DRNTG_22084 transcript:DRNTG_22084.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 1-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G61440) UniProtKB/Swiss-Prot;Acc:Q9XFI1] MAVSLRPGMSLSGSCYDNGLETQKKSSVFPLDQSMALKTELKGRQVAVIDDRNQNQRKMSICVPRVLRWWEKTTSSNMIEIKCAQELVDALINAGDKLVIVDFYSPGCGGCKALHPKICQIAELNPNAQFLKVNYEELKPMCHKLHIHVLPFFRFYRGSQGLVCSFSCTNATINKFKNALAKHGKDQSSSSSSSSSSSSSIGPAKGLEIKELLSLASSGEIDQLSYSLSSSM >DRNTG_22084.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20257576:20258623:-1 gene:DRNTG_22084 transcript:DRNTG_22084.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 1-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G61440) UniProtKB/Swiss-Prot;Acc:Q9XFI1] MAVSLRPGMSLSGSCYDNGLETQKKSSVFPLDQSMALKTELKGRQVAVIDDRNQNQRKMSICVPRVLRWWEKTTSSNMIEIKCAQELVDALINAGDKLVIVDFYSPGCGGCKALHPKICQIAELNPNAQFLKVNYEELKPMCHKLHIHVLPFFRFYRGSQGLVCSFSCTNATINKFKNALAKHGKDQSSSSSSSSSSSSSIGPAKGLEIKELLSLASSGEIDQLSYSLSSSM >DRNTG_22084.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20257515:20258951:-1 gene:DRNTG_22084 transcript:DRNTG_22084.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 1-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G61440) UniProtKB/Swiss-Prot;Acc:Q9XFI1] MAVSLRPGMSLSGSCYDNGLETQKKSSVFPLDQSMALKTELKGRQVAVIDDRNQNQRKMSICVPRVLRWWEKTTSSNMIEIKCAQELVDALINAGDKLVIVDFYSPGCGGCKALHPKICQIAELNPNAQFLKVNYEELKPMCHKLHIHVLPFFRFYRGSQGLVCSFSCTNATINKFKNALAKHGKDQSSSSSSSSSSSSSIGPAKGLEIKELLSLASSGEIDQLSYSLSSSM >DRNTG_08274.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:4471279:4472011:-1 gene:DRNTG_08274 transcript:DRNTG_08274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVWMPDSSPLKAAIQPRFLHLFTCLFFHLSPSLEAPAARVWRGFDKGLVRFDGLRHRVSFKRELLGSFRRHRSGDLCTRVNGLRDDLPLGLSCECNGVKY >DRNTG_17945.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17597180:17599823:-1 gene:DRNTG_17945 transcript:DRNTG_17945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPHLSTSCMNNLARTGESSVCSAISMASTSTFINIDGVRKVDESNSQLDDGVNDEKQIEVAVNAKGDKEGSHLENCNISSSNISQWKPLKESDDNFDGKESSSCIPKDVVSQVCEIGDDESLKYTRNFNDCQENENEDHSLDCQGLSSKATINREIDVIETNCQLKEAFSSKDDTVIVDGTSNLKVNGVDLKAVEAKLRGVTDRPSDLELECSEIDAVDVAQQDARKVEHEVSNYREPSSRSPEISSGKIEANTFDSLESKEGQPPTKEQEVNGQAIGNDVSERPSSPMEDGLKVSEDLVFDPRKEDMASLKSVPIDKKPAGEVAVCRPDFDLNADVADEENDCSMYPSNKSSVILSAPKAVVASSKGTSGLPVTRLQFEGEMGWRGSAATSAFRPTSSQKTPDSSSGSKQKPAFLGIDLNMTSEDGEAANTTSVKQLPHSGFPSADSSIEVSSRRSEKLNLDLNRLGDEEVSPYSSLNWRPLHKIADRSMSPSSSSSSRVPSTRDFDLNDNPSLLVVVGSQNLNRSSLNTSGTYDGSKTEDPCITIMGSRMTAERKSYADQPSPSHQAFLGGGVNIGTNMATRTMMPYHMPATAYGYNGIGMETAMPIPSALYGIHSTPYLVDSSGVKVIPQLLRTLEQNTSTSGAFHLGVGSDRPGWNMPEASEPALDLNFGMTPMESASRQPGSLKQFFGFLEEQATTSQPESSGMSFKRKEPDSGWDSHPFSYKQVKSSWL >DRNTG_08724.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4974759:4976727:1 gene:DRNTG_08724 transcript:DRNTG_08724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVNSSSFSSSSVNESSTPTLKPGKQALVSLLSLFSRSFISVF >DRNTG_25272.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31520121:31520389:-1 gene:DRNTG_25272 transcript:DRNTG_25272.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSSAACCRLKNQDAFVNLSVVINNAIAGQETEKTPFDFISRSGKHVECLLSVTKKVDAEGIVTGVFCFLHTASQELQQVLHVQQLSEQT >DRNTG_33842.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002045.1:34533:34814:-1 gene:DRNTG_33842 transcript:DRNTG_33842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPITEASPVETTSETASEMEKPTENPPLPLKSPRWLQPRLPMQGH >DRNTG_29393.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7591810:7599347:1 gene:DRNTG_29393 transcript:DRNTG_29393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTSIGSDLPKAGCPVERISTPKSHQLSSQKRGDPYSSLT >DRNTG_31111.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:7518:19671:1 gene:DRNTG_31111 transcript:DRNTG_31111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMKRSGFWKVKRVFFVTPQVLEKDIQSGICLVKQLVCLVIDEAHRAMGNYSYCVAVRELMAVPVQLRILALTATPGSKQQTIQNVIDNLCISTLEYRNESDPDVSPYVHDRKLEVVQVALAKDVVDINNLLLDAIQPFFARLCAIGVLYNRDFTTLSPCELLNSRDKFRQVPPPNLPQAKFGEIEGCFTVLITLYHLQKLLSTHGIRPAYDMLEEKLHQGSFARLMSRNETIWKSKLLMQQSLSHGAPNPKLVKMTEILLDHFKTKDPRESRVIIFSNFRGSVKDIMDSLSKIGDLVKATEFIGQSSGKTLKGQTQKVQQAVLQKFRTGGYNVIVATSIGEEGLDIMEVDLVICFDANISPLRMIQRMGRTGRNHDGRVVVLACEGSELKGYLKKQASSKTVRKHMHNGGIKSFNFHSSPRMIPHICKPQVQFVELAIEQFIPRGRKLKDGSSCQPPIVKKISDGESALLDRYFHPSKEETWRPSLISFPHFQAFPSGVYKVPHAFKTTAMLIDAMQRLQGPSVSKAKQAEISFYSPEDDTFEHDEREELADVHCAVSDSLTTFADAKNPDVGKSCDGASPTEKEIHLPDSPIKKNSGHCFLSGEQFLAVSTSGIVSVLSVPVLPPKGSLVSNVITTVGNKDFINMVEKDAGAFATLTVKFEPSLHARDAVAIEVSTEQRSVVNKATLASQFSFPKDQGNGVAQTTLPQWGLADSETDVSGMPCDPENDMPTPPAAESRDTCTDLSPRLSHYIEEGIVPESPIVEKIQSHKVDDVICNKHGDGGYGSPSKYPLSGMHKEVLSGIASISAALLDDQAIYKSPTPCQLSRDTVDSSCLLRKEIQNSDVKMQTIVEIELEGEEAASPFDVEIHTPLANHMNNSSSEEWQLNSGGVSKSLLQAPKYKRLRKHGEVFRRPPCNILNETSTSTAPRNHRHFMDVKFDRIDCRKGKKNKNHANYLIDEEAEVSQDAEVSEDEEDGDKDDEYEDSFIDDKTNPTPTQAEHSGDMLAFYRRSLLTQSPFGVTTSLVPPHGSVSPRTIESGSSSVKTMANVLETPQDGLRSANQSTVLNSVTCPSDSKMVLQISPHIEAGNSLRETSDKVGSRKRKLTFQHAISSPAAHLQHATSHPELITESSNHYQAGNTGNGNESCDDDFYKSVDLDAIEEQATKLLRYKAEVSVSQTQMAMGNQLVNAENTRIISTPPTFDLGI >DRNTG_31111.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:16592:19671:1 gene:DRNTG_31111 transcript:DRNTG_31111.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKDAGAFATLTVKFEPSLHARDAVAIEVSTEQRSVVNKATLASQFSFPKDQGNGVAQTTLPQWGLADSETDVSGMPCDPENDMPTPPAAESRDTCTDLSPRLSHYIEEGIVPESPIVEKIQSHKVDDVICNKHGDGGYGSPSKYPLSGMHKEVLSGIASISAALLDDQAIYKSPTPCQLSRDTVDSSCLLRKEIQNSDVKMQTIVEIELEGEEAASPFDVEIHTPLANHMNNSSSEEWQLNSGGVSKSLLQAPKYKRLRKHGEVFRRPPCNILNETSTSTAPRNHRHFMDVKFDRIDCRKGKKNKNHANYLIDEEAEVSQDAEVSEDEEDGDKDDEYEDSFIDDKTNPTPTQAEHSGDMLAFYRRSLLTQSPFGVTTSLVPPHGSVSPRTIESGSSSVKTMANVLETPQDGLRSANQSTVLNSVTCPSDSKMVLQISPHIEAGNSLRETSDKVGSRKRKLTFQHAISSPAAHLQHATSHPELITESSNHYQAGNTGNGNESCDDDFYKSVDLDAIEEQATKLLRYKAEVSVSQTQMAMGNQLVNAENTRIISTPPTFDLGI >DRNTG_31111.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:8326:19671:1 gene:DRNTG_31111 transcript:DRNTG_31111.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMKRSGFWKVKRVFFVTPQVLEKDIQSGICLVKQLVCLVIDEAHRAMGNYSYCVAVRELMAVPVQLRILALTATPGSKQQTIQNVIDNLCISTLEYRNESDPDVSPYVHDRKLEVVQVALAKDVVDINNLLLDAIQPFFARLCAIGVLYNRDFTTLSPCELLNSRDKFRQVPPPNLPQAKFGEIEGCFTVLITLYHLQKLLSTHGIRPAYDMLEEKLHQGSFARLMSRNETIWKSKLLMQQSLSHGAPNPKLVKMTEILLDHFKTKDPRESRVIIFSNFRGSVKDIMDSLSKIGDLVKATEFIGQSSGKTLKGQTQKVQQAVLQKFRTGGYNVIVATSIGEEGLDIMEVDLVICFDANISPLRMIQRMGRTGRNHDGRVVVLACEGSELKGYLKKQASSKTVRKHMHNGGIKSFNFHSSPRMIPHICKPQVQFVELAIEQFIPRGRKLKDGSSCQPPIVKKISDGESALLDRYFHPSKEETWRPSLISFPHFQAFPSGVYKVPHAFKTTAMLIDAMQRLQGPSVSKAKQAEISFYSPEDDTFEHDEREELADVHCAVSDSLTTFADAKNPDVGKSCDGASPTEKEIHLPDSPIKKNSGHCFLSGEQFLAVSTSGIVSVLSVPVLPPKGSLVSNVITTVGNKDFINMVEKDAGAFATLTVKFEPSLHARDAVAIEVSTEQRSVVNKATLASQFSFPKDQGNGVAQTTLPQWGLADSETDVSGMPCDPENDMPTPPAAESRDTCTDLSPRLSHYIEEGIVPESPIVEKIQSHKVDDVICNKHGDGGYGSPSKYPLSGMHKEVLSGIASISAALLDDQAIYKSPTPCQLSRDTVDSSCLLRKEIQNSDVKMQTIVEIELEGEEAASPFDVEIHTPLANHMNNSSSEEWQLNSGGVSKSLLQAPKYKRLRKHGEVFRRPPCNILNETSTSTAPRNHRHFMDVKFDRIDCRKGKKNKNHANYLIDEEAEVSQDAEVSEDEEDGDKDDEYEDSFIDDKTNPTPTQAEHSGDMLAFYRRSLLTQSPFGVTTSLVPPHGSVSPRTIESGSSSVKTMANVLETPQDGLRSANQSTVLNSVTCPSDSKMVLQISPHIEAGNSLRETSDKVGSRKRKLTFQHAISSPAAHLQHATSHPELITESSNHYQAGNTGNGNESCDDDFYKSVDLDAIEEQATKLLRYKAEVSVSQTQMAMGNQLVNAENTRIISTPPTFDLGI >DRNTG_31111.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:8326:8629:1 gene:DRNTG_31111 transcript:DRNTG_31111.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMKRSGFWKVKRVFFVTPQVLEKDIQS >DRNTG_31111.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:15663:19671:1 gene:DRNTG_31111 transcript:DRNTG_31111.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKDAGAFATLTVKFEPSLHARDAVAIEVSTEQRSVVNKATLASQFSFPKDQGNGVAQTTLPQWGLADSETDVSGMPCDPENDMPTPPAAESRDTCTDLSPRLSHYIEEGIVPESPIVEKIQSHKVDDVICNKHGDGGYGSPSKYPLSGMHKEVLSGIASISAALLDDQAIYKSPTPCQLSRDTVDSSCLLRKEIQNSDVKMQTIVEIELEGEEAASPFDVEIHTPLANHMNNSSSEEWQLNSGGVSKSLLQAPKYKRLRKHGEVFRRPPCNILNETSTSTAPRNHRHFMDVKFDRIDCRKGKKNKNHANYLIDEEAEVSQDAEVSEDEEDGDKDDEYEDSFIDDKTNPTPTQAEHSGDMLAFYRRSLLTQSPFGVTTSLVPPHGSVSPRTIESGSSSVKTMANVLETPQDGLRSANQSTVLNSVTCPSDSKMVLQISPHIEAGNSLRETSDKVGSRKRKLTFQHAISSPAAHLQHATSHPELITESSNHYQAGNTGNGNESCDDDFYKSVDLDAIEEQATKLLRYKAEVSVSQTQMAMGNQLVNAENTRIISTPPTFDLGI >DRNTG_26711.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31229255:31232016:-1 gene:DRNTG_26711 transcript:DRNTG_26711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFALEEFLGEGVLKDLMHKLIEAGWDDVPTIKMMNSEDMELIKFNQQQKNALELRIYLHDRALMEHAEKLEASNKSLTELLGLSTIVLSSQFSMKRGHVARFIDRTRTSRILMPPNYTLPARQRNYSRSVTPRTMQMFRSISKDEISLDQSVFESKIREGYVFKGMVAAGPAEPRLCGCIQPPEVVDDVAPYSSIENISIQKLTPEYKAGVENLVKKKAPPMKASMLWTEKPAVLFCVRRPGCIMCRAEAHQLYVRKPIFDAMGVQLIAVLHEQIESEVKDFWPRYWGGLVVLDLKLDFFKALGGGKLLKDKFVSGFLFNPRSIANFKRAADTGLDYNFRGEGEIKGGVFIVGNGRRGIVYQFIERNFGDWAPVAEVVEVCRQLSDPFPNQEETITESSSST >DRNTG_26711.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31229920:31230319:-1 gene:DRNTG_26711 transcript:DRNTG_26711.3 gene_biotype:protein_coding transcript_biotype:protein_coding VKDFWPRYWGGLVVLDLKLDFFKALGGGKLLKDKFVSGFLFNPRSIANFKRAADTGLDYNFRGEGEIKGGVFIVGNGRRGIVYQFIERNFGDWAPVAEVVEVCRQLSVLSPYHSSKN >DRNTG_26711.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31229255:31231910:-1 gene:DRNTG_26711 transcript:DRNTG_26711.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFALEEFLGEGVLKDLMHKLIEAGWDDVPTIKMMNSEDMELIKFNQQQKNALELRIYLHDRALMEHAEKLEASNKSLTELLGLSTIVLSSQFSMKRGHVARFIDRTRTSRILMPPNYTLPARQRNYSRSVTPRTMQMFRSISKDEISLDQSVFESKIREGYVFKGMVAAGPAEPRLCGCIQPPEVVDDVAPYSSIENISIQKLTPEYKAGVENLVKKKAPPMKASMLWTEKPAVLFCVRRPGCIMCRAEAHQLYVRKPIFDAMGVQLIAVLHEQIESEVKDFWPRYWGGLVVLDLKLDFFKALGGGKLLKDKFVSGFLFNPRSIANFKRAADTGLDYNFRGEGEIKGGVFIVGNGRRGIVYQFIERNFGDWAPVAEVVEVCRQLSDPFPNQEETITESSSST >DRNTG_19558.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001017.1:1863:2320:1 gene:DRNTG_19558 transcript:DRNTG_19558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGDALRGAERAVVPTPLAFDTIRMMGLIRRFGPGTYILTIATETTRASRLLACDIMRTPPSCIAPGARAYDHIERLETEIPSSSSTYILDPALEDPLYSTSVAAAQEPERDIYT >DRNTG_16554.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8510312:8513495:-1 gene:DRNTG_16554 transcript:DRNTG_16554.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYIASWEEFVERSIQIFRADPQSSRYVMKYRHCDGKLVLKVTDNRECLKFKTDQAQDVKKMEKLNNIFFTLMARGPDADISEVSGKEQVEQLASKKGRGRRQ >DRNTG_16554.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8510312:8513799:-1 gene:DRNTG_16554 transcript:DRNTG_16554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYIASWEEFVERSIQIFRADPQSSRYVMKYRHCDGKLVLKVTDNRECLKFKTDQAQDVKKMEKLNNIFFTLMARGPDADISEVSGKEQVEQLASKKGRGRRQ >DRNTG_34511.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002160.1:31528:34080:1 gene:DRNTG_34511 transcript:DRNTG_34511.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKDRFAESKKELDALLSDDSLAKVPFLILGNKIDIPYAASEEELRYHLGLSNFTTGKGKVNLVDSNVRPLEVFMCSIVRKMGYGDGFKWVSQYIK >DRNTG_11291.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1444659:1447280:-1 gene:DRNTG_11291 transcript:DRNTG_11291.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWPVLLLPLSFSYLRQYKQTKKVSFCMSPKLTLACSLLGLFLGLDSYLFTFSSAYLPVSTASILFSSQLAFTAFFSFFIVRQRFTACLVNAIVLLTMGSVALGLRAGGDRPDGESNSEYWMGFVMTVGAAALCGLVTPLIQLVYEKSKQKMSFDLLLEVSLVIAFFGAGFCLVGMLINNDFQAIPKEAREFGLGETKYYIVIVCDAILWQVCNIGLYGLLLYASSVIAGIGSALLVPLVQVLALILLDEKFSELKGLALVLTIWGFTSYLYGEYQQTKKINKMKESVALELPVP >DRNTG_11291.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1421970:1447280:-1 gene:DRNTG_11291 transcript:DRNTG_11291.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWPVLLLPLSFSYLRQYKQTKKVSFCMSPKLTLACSLLGLFLGLDSYLFTFSSAYLPVSTASILFSSQLAFTAFFSFFIVRQRFTACLVNAIVLLMMGSVVLGLRADGDKPKGESNGEYWTGFVMTMGSSALYGLMMPLTQLAYEKSKQKMSFDLLLEVSLVTAFSGAVFCLVGMLINNDFQAIPKEAREFGLGETKYYMLIVCDAILWQVCNIGLHGLLLYASSVLAGIGDALLVPVVQALAVILLDEKFSELKCLALALTIWGFASYLYGEYQQTKKIKKMKESVALELPGP >DRNTG_11291.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1421970:1426112:-1 gene:DRNTG_11291 transcript:DRNTG_11291.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELNKHHDIEHPPSHHHHQQQQQQQQQQQQQQQQQTHLPRIILSFTLLFLGAGGPFLLRVYFIYGGSRKWFSSWLQMGGWPVLLLPLSVSYLRQYKQTKKVSFCMSSKLTLACSLLGLFFGLDCYLFSFSSAYLPVSTASILFSTELAFTAFFSFFIVRQRFTACLVNAIVLLMMGSVVLGLRADGDKPKGESNGEYWTGFVMTMGSSALYGLMMPLTQLAYEKSKQKMSFDLLLEVSLVTAFSGAVFCLVGMLINNDFQAIPKEAREFGLGETKYYMLIVCDAILWQVCNIGLHGLLLYASSVLAGIGDALLVPVVQALAVILLDEKFSELKCLALALTIWGFASYLYGEYQQTKKIKKMKESVALELPGP >DRNTG_18441.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14625050:14628920:1 gene:DRNTG_18441 transcript:DRNTG_18441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLMKLVDLLAPYHCGGKIGLFWGAGVGKTVLIMELINNIAKAHGDVSVFGGVGERTREGNDLYMEMKEYRCITPRMRSHQRRIPPNRLFGQRKKMRLYHRGAIPIFRSNMRDKHRYKLSKLPRNSSFARGQNPFYLSMFAVLTSLSNSLARISVFTIRTLEVGQEA >DRNTG_08533.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000383.1:21685:23648:1 gene:DRNTG_08533 transcript:DRNTG_08533.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLRIRHRASLGKRLLCSEYCVVYYKVIDFKVKWLVEASLVPSRFLQERCEEFFCGSLEIE >DRNTG_05669.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:63026:64739:1 gene:DRNTG_05669 transcript:DRNTG_05669.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MSATLSDATKTNSENTDVPVIQKKVEEKIDRSVAEDSETEDNDLYLSNCRILLLGLDEKQLSKSVTMIRRGGGSRHMLLNEKLTHIIIGNPSEMEKKEVRRLAAWGVINVVKATWLEECDLAKRELPVSLRHVVSDMLPPKASTCSTWETAADFCSGKKCKSFSGTSHMPMNTVSEDRTFERGSLAEKEKVRENSEKGLSRSGHAELATSSEQSNRVYSLKSARNDNLKRLFHSSTMGSSRKSSNMFQGQSFCFSSSFPEDRRPEIIEWVREGGGQIIDHYQMMNANFIIETHGSFQGSPVASQSIAVSPHWIRFCLE >DRNTG_05669.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:67336:68292:1 gene:DRNTG_05669 transcript:DRNTG_05669.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] RDSKQENQHNPSGHNRNLGSYDTFSETQTESQVVGYEEDLSGRQKIIDRVRSQSMTMTPDKYTEP >DRNTG_05669.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:67509:68292:1 gene:DRNTG_05669 transcript:DRNTG_05669.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] CSGNFVNSRNNGNAKSTPHSVIGHQNRCRETT >DRNTG_05669.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:61872:64739:1 gene:DRNTG_05669 transcript:DRNTG_05669.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MGGELHTKPSLDIDFVVAKNVLATKYKWALNVLKKPIVNVTWVYQCWTEHRIVPQEPFKVPPFSGLTVCVTKIPADERKEMEKFIVENGGHYSADLTKKCTHLVSDISFKV >DRNTG_05669.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:60440:68292:1 gene:DRNTG_05669 transcript:DRNTG_05669.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MRPAVFEGASVLLSRSLVPPEAFDAVHDALRLNGAQVFLCCDPSRSAPSEFHVISSIDHEKFEYLRSKGCKLVGPQCVLSCAKECRTLPNQGYTCCLAMDGVKVLASGFEKDEKVKIELLVTAMGGELHTKPSLDIDFVVAKNVLATKYKWALNVLKKPIVNVTWVYQCWTEHRIVPQEPFKVPPFSGLTVCVTKIPADERKEMEKFIVENGGHYSADLTKKCTHLVSDAPGGDKYMVAKRWGHIHIVTRRWVHQSIARKACLDEGFYPVVEAPVSSNNVKTSQKELGNQLQTSEPIPPTEFGDLETTLSQNMSATLSDATKTNSENTDVPVIQKKVEEKIDRSVAEDSETEDNDLYLSNCRILLLGLDEKQLSKSVTMIRRGGGSRHMLLNEKLTHIIIGNPSEMEKKEVRRLAAWGVINVVKATWLEECDLAKRELPVSLRHVVSDMLPPKASTCSTWETAADFCSGKKCKSFSGTSHMPMNTVSEDRTFERGSLAEKEKVRENSEKGLSRSGHAELATSSEQSNRVYSLKSARNDNLKRLFHSSTMGSSRKSSNMFQGQSFCFSSSFPEDRRPEIIEWVREGGGQIIDHYQMMNANFIIETHGSFQGSPVASQSIAVSPHWIRFCLEDGRIQDVGNHVLFSPLCCKVPFPGFEQLRFCVSQYEEKDRLLLRNLCHTLGAKFTEKLTKKVTHLLCKFTSGPKYEAACKWGIHSVTADWITECIKQDAMVSLEPFWPKAATAQDREAGLCTVSQYPTQAARMVIASVPSQRTSDSQVPIECQIADAGVADQRHSMTLVKRPRLSENNSMNVASGKDRTTEDVKEVSNAVPDVADAIEDLLAQSNKIHDMKSPGGSGCDRSTFSPQHPIISQNNAVPHSNFEIGRPWLSRDSKQENQHNPSGHNRNLGSYDTFSETQTESQVVGYEEDLSGRQKIIDRVRSQSMTMTPDKYTEP >DRNTG_05669.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:66487:68292:1 gene:DRNTG_05669 transcript:DRNTG_05669.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MTLVKRPRLSENNSMNVASGKDRTTEDVKEVSNAVPDVADAIEDLLAQSNKIHDMKSPGGSGCDRSTFSPQHPIISQNNAVPHSNFEIGRPWLSRDSKQENQHNPSGHNRNLGSYDTFSETQTESQVVGYEEDLSGRQKIIDRVRSQSMTMTPDKYTEP >DRNTG_05669.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:61872:68292:1 gene:DRNTG_05669 transcript:DRNTG_05669.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MGGELHTKPSLDIDFVVAKNVLATKYKWALNVLKKPIVNVTWVYQCWTEHRIVPQEPFKVPPFSGLTVCVTKIPADERKEMEKFIVENGGHYSADLTKKCTHLVSDAPGGDKYMVAKRWGHIHIVTRRWVHQSIARKACLDEGFYPVVEAPVSSNNVKTSQKELGNQLQTSEPIPPTEFGDLETTLSQNMSATLSDATKTNSENTDVPVIQKKVEEKIDRSVAEDSETEDNDLYLSNCRILLLGLDEKQLSKSVTMIRRGGGSRHMLLNEKLTHIIIGNPSEMEKKEVRRLAAWGVINVVKATWLEECDLAKRELPVSLRHVVSDMLPPKASTCSTWETAADFCSGKKCKSFSGTSHMPMNTVSEDRTFERGSLAEKEKVRENSEKGLSRSGHAELATSSEQSNRVYSLKSARNDNLKRLFHSSTMGSSRKSSNMFQGQSFCFSSSFPEDRRPEIIEWVREGGGQIIDHYQMMNANFIIETHGSFQGSPVASQSIAVSPHWIRFCLEDGRIQDVGNHVLFSPLCCKVPFPGFEQLRFCVSQYEEKDRLLLRNLCHTLGAKFTEKLTKKVTHLLCKFTSGPKYEAACKWGIHSVTADWITECIKQDAMVSLEPFWPKAATAQDREAGLCTVSQYPTQAARMVIASVPSQRTSDSQVPIECQIADAGVADQRHSMTLVKRPRLSENNSMNVASGKDRTTEDVKEVSNAVPDVADAIEDLLAQSNKIHDMKSPGGSGCDRSTFSPQHPIISQNNAVPHSNFEIGRPWLSRDSKQENQHNPSGHNRNLGSYDTFSETQTESQVVGYEEDLSGRQKIIDRVRSQSMTMTPDKYTEP >DRNTG_23941.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15720078:15721385:-1 gene:DRNTG_23941 transcript:DRNTG_23941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGTMEFATSDGTKEEVCNKWRWWHAKQQGSEDVQEEGSDLEGILLNLGKDNNKKHGEEDSDIHNSEWSFSLESDVEAIDTNAVHRKIVLVVGELPNLRDDAGMDSDYAGLEKL >DRNTG_27837.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19671006:19673109:-1 gene:DRNTG_27837 transcript:DRNTG_27837.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNEISMVVVLFLFVAAACYLPINVSAARNVPAQNKFIVEGKVFCDSCQFGYETPLSTYLAGAKVRVECRDKATGAKTCHFDGVTDHTGTYNIYVSDEHEHETCESVLLSSPHPQCAKLVAGRERAVVFLTHNNGIASDKRFANAMGFEKDTPLPKCAELKKLYEQYDD >DRNTG_31137.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:255953:256360:-1 gene:DRNTG_31137 transcript:DRNTG_31137.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPINNKYDMVANKCQVSNQHHAKTTPKHANNLQEPK >DRNTG_31137.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:255953:258570:-1 gene:DRNTG_31137 transcript:DRNTG_31137.1 gene_biotype:protein_coding transcript_biotype:protein_coding TDRPHPTGLGPTGCKASDLTWSESTLTSRTGNNIK >DRNTG_29397.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9088801:9090579:1 gene:DRNTG_29397 transcript:DRNTG_29397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVEETCIEEKSLENISTMKGVRHLCESGITKVPKKYIFPLLDRPSVLQQGDKKLPVIDLALLQAPQSHFQMLESLKNACENHGFFRVVNHGVESEVIRRMMDVGKRFFELPFEEIMRYMSTDIRSPVRCGTSFNQNKDGVFCWRDFLKLNCFPLSTHLPHWPSSPLDLREGASEYAKQTQTLFLILMEAILKSMQLDTSVMREFREGTQMMVVNCYPSCPEPDLTLGMPPHSDYGFLTLLLQDDVEGLQVQHKGEWLTIEPIPNSFIVNVGDHLEIYSNGRYKSVLHRVLVNSTRSRVSIASLHSLPFERVIGPSPELITRETPRLYKDTDFTTFLHYMEISDPTNKTFITSRKLNLANN >DRNTG_30848.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001633.1:6973:14002:-1 gene:DRNTG_30848 transcript:DRNTG_30848.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILTTVFEFGDDTGPNFSILEWWSRHTETFSTLAKIAKQLLAVPASTVVVEQTFSNGGNILDERRSRLGPESLEAQTCLDDWERARLRSQDDLIHYHHQTSG >DRNTG_03880.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3829408:3831412:-1 gene:DRNTG_03880 transcript:DRNTG_03880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDAPLLFPPGQLALAALCRSNEVHRVLDF >DRNTG_03880.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3829637:3836578:-1 gene:DRNTG_03880 transcript:DRNTG_03880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHPKHIMLTCIYASCKVEENHVSAEELGKGIQQDHKMILNNEMLVLQSLGFDLIVYAPYRPIEGFVADMEEFCQSRIDEPSKFKDLIETAKSEVDKIMLTDAPLLFPPGQLALAALCRSNEVHRVLDF >DRNTG_03880.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3829408:3836578:-1 gene:DRNTG_03880 transcript:DRNTG_03880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHPKHIMLTCIYASCKVEENHVSAEELGKGIQQDHKMILNNEMLVLQSLGFDLIVYAPYRPIEGFVADMEVSLSFMLLYFPACPI >DRNTG_03880.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3829408:3836578:-1 gene:DRNTG_03880 transcript:DRNTG_03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHPKHIMLTCIYASCKVEENHVSAEELGKGIQQDHKMILNNEMLVLQSLGFDLIVYAPYRPIEGFVADMEEFCQSRIDEPSKFKDLIETAKSEVDKIMLTDAPLLFPPGQLALAALCRSNEVHRVLDF >DRNTG_30678.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14459904:14461496:1 gene:DRNTG_30678 transcript:DRNTG_30678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKYGLYTFVPHRIDADESSSTNLLPNGARCRA >DRNTG_01220.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1329386:1330033:-1 gene:DRNTG_01220 transcript:DRNTG_01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding DFTGSEQNKTLKALNKERKLRKRRHPLGDTGLPERED >DRNTG_20580.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3306985:3309558:-1 gene:DRNTG_20580 transcript:DRNTG_20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPEQVAKSTNHQPKAGVAPDTKGKHRIAVELKRLESEIQHLQEDLEELKDNGDSICCIERAIKRS >DRNTG_04382.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1214770:1216931:1 gene:DRNTG_04382 transcript:DRNTG_04382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYHLPEAELLNGRAAMIGFFMAYFVDSLTGAGPCRSDGKFLFAKTLLFIAVVGVLVIRKNEDIETVKKLIEETTFYDKQWQATWKDD >DRNTG_28395.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3852040:3852955:1 gene:DRNTG_28395 transcript:DRNTG_28395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPLWVGLVKSHARAEYPYKRARHFDDSLSWKEKSQGRAATLWVGLTGVGIFCECFPESHRGMRRPCEALLWRCTGVGNFRTPVWMRRILRDAHVEFCNTISGALLIIFLSILADMEPRSKKHEVKHPRETPPELVHMEFSNPEQQSQFERLSALSFFGQSCFVDLSVLREIRRDDELADEADEIVAVGS >DRNTG_04187.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14593363:14599289:-1 gene:DRNTG_04187 transcript:DRNTG_04187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYMIRSQGGRVESFGKTPPHEEKIKLRVGGLFWGFFCSCDLSVPVLRVNIIVSILLVRVDSNQVKSEALHPVGPVPLDAADLSVDPLCWVVA >DRNTG_01376.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28615751:28618535:-1 gene:DRNTG_01376 transcript:DRNTG_01376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCDENHVDEEMVLVPESSDESEVWDCETIVSTYSNLDNHPGKIHTPSNQKKLPATGDGISKSNIIALRGKEKLPVDFLPHNKPSVEKPKRTVSAEANKPRSRQHCSESKEEKKERKAALKEEKKEARRAKKDLKVLYKCETQKAQKVAAVSGPSSIHLM >DRNTG_13462.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:28338:29750:-1 gene:DRNTG_13462 transcript:DRNTG_13462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKTSTSFLLCILFSLLLFDHCICRTTLQDFSSQKTYYTSPDPHHSSPPHPHTHRRKPSCGSTPPHNYGSPPHRSSGGGHGGGGGYYNSPPSSSYTPTTPPSPILGPVTPTPTTPIISTPPSPVYIDPGTPTTPIISTPPSPIYIDPGTPTTPIISTPPSPRPFDPSSPPYYPAPCSYWITHPAAIWSLLGYWGTVGGFFGSSLAYGKDLTLHQALSNPRTDGIGELYREGAASLLNSMATTNFPFSSEQVKDAFTAALVSQKAAATQAEIFKLANEGKLKKKKH >DRNTG_32645.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22105464:22107101:1 gene:DRNTG_32645 transcript:DRNTG_32645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMRGLPPACHLQGGEVAGVEGLEKVKEVAGVEVVIIPLFFQAYLSLQQQPKHATTFTGRIGASSLSQICNAMIVTFQNFRKIDESMEITSFEKEALFTAAVLSEKEP >DRNTG_02730.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19724432:19727960:1 gene:DRNTG_02730 transcript:DRNTG_02730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSETSNNPSSDSNSSMTAETSTLPLSFLSLVLLSLLFTASKTLFRSSTSSPPSLVLTKINFGFLRDKEKQSPALSSPVSEFRNRALSPSSLAQTQTGFLPITRNETLDPSSPRFRRER >DRNTG_02730.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19724375:19727960:1 gene:DRNTG_02730 transcript:DRNTG_02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETSNNPSSDSNSSMTAETSTLPLSFLSLVLLSLLFTASKTLFRSSTSSPPSLVLTKINFGFLRDKEKQSPALSSPVSEFRNRALSPSSLAQTQTGFLPITRNETLDPSSPRFRRER >DRNTG_02730.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19724432:19727985:1 gene:DRNTG_02730 transcript:DRNTG_02730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETSNNPSSDSNSSMTAETSTLPLSFLSLVLLSLLFTASKTLFRSSTSSPPSLVLTKINFGFLRDKEKQSPALSSPVSEFRNRALSPSSLAQTQTGFLPITRNETLDPSSPRFRRER >DRNTG_02730.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19724601:19728133:1 gene:DRNTG_02730 transcript:DRNTG_02730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSETSNNPSSDSNSSMTAETSTLPLSFLSLVLLSLLFTASKTLFRSSTSSPPSLVLTKINFGFLRDKEKQSPALSSPVSEFRNRALSPSSLAQTQTGFLPITRNETLDPSSPRFRRER >DRNTG_13970.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21518286:21521572:1 gene:DRNTG_13970 transcript:DRNTG_13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVFPKLLLLLLAFKYGFLLVGSGSLPNHEVSALEAFKRAIFEDPWRKLSDWGVSDGNPCKWSGVFCSGSQNGVIITLNLSSSSLKGFLAPELGLLSSLQEIILDNNLLLGSIPKELGELKNLRVLDLGMNRLSGSIPPEIGNLTSITKIDLHSNGLTGTLPFELGNLAYLAELRLDRNRFSGPIPGSNNLSSSSSLQLASQSHSTGLCRLSRLKTADFSFNFFIGRIPTCLKYLPRLSFQGNCLRDKSFVAQRSTEKCNDSASEGVSEGVYWHSDVGSNHQRQRQPEWLLILEIVTGALMVVFFISASATVIKICKPKSTLAIPWRKSSSLKDQLEISIDGEKLKNVEKIGRMDLEVACEDFSNIIGSYQDTIVYKGTRKDGPEIAVVSLCIAEGNWTRYLEFLFQNKVADFARLNHENIAKLLGYCKDDDPFTRMLVFEYASNGTLSEHLHYGEDCQLSWLRRMKIAIGIARGLRYLHTELDPPFTISDLNSTTVYLTEDFSPKLVDFESWKMVFSKSGKNSGSFYSVMDSIEQQHMDVQGNTFAFGVLLLEIISGRPSHCKDRGSLVNWAIEYLRQPEEIDKLVVPELKNVKHDELAVICSVVSLCIDPDPTKRPSMQIICSALENGIDTSPDAFLKDSSLAWAELALSS >DRNTG_20790.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28345140:28348028:-1 gene:DRNTG_20790 transcript:DRNTG_20790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDQDLGFFANFLGIFIFVLVIAYHYVMADPKYEGN >DRNTG_20790.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28345140:28348028:-1 gene:DRNTG_20790 transcript:DRNTG_20790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDQDLGFFANFLGIFIFVLVIAYHYVMADPKYEGN >DRNTG_20790.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28345140:28348150:-1 gene:DRNTG_20790 transcript:DRNTG_20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDQDLGFFANFLGIFIFVLVIAYHYVMADPKYEGN >DRNTG_06879.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14249868:14254302:1 gene:DRNTG_06879 transcript:DRNTG_06879.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVIFELFRKIQALLCEGAAIKEEKRIDATQQGQSERGTVDSLLKLLRKHSVDQDRKTSEEDDDIDLLDRSNPFEDEQFPNFFDANNINKEVNAPEPAPNCRPASNFRRRSPVPR >DRNTG_06879.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14253426:14254302:1 gene:DRNTG_06879 transcript:DRNTG_06879.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERVIFELFRKIQALLCEGAAIKEEKRIDATQQGQSERGTVDSLLKLLRKHSVDQDRKTSEEDDDIDLLDRSNPFEDEQFPNFFDANNINKEVNAPEPAPNCRPASNFRRRSPVPR >DRNTG_06879.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14249868:14251464:1 gene:DRNTG_06879 transcript:DRNTG_06879.2 gene_biotype:protein_coding transcript_biotype:protein_coding ISHAEKALSDLHIKGEKHPFWLVQLEEEERDDLVQLEEEERDDQLVA >DRNTG_30483.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:618347:623146:1 gene:DRNTG_30483 transcript:DRNTG_30483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARVYAEINVVRPKEYWDYEALTLQWGEQDDYEVVRKVGRGKYSEVFEGVNVTNNDRCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLHDIVRDQHSKTPSLIFEFVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDELNAYLNKYRLVLDSQLDALVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRFTAREAMAHPYFQQVRAAENSRMRT >DRNTG_16734.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000845.1:57936:58456:1 gene:DRNTG_16734 transcript:DRNTG_16734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSHSYRTSTYHRVRVYIIDSATLKTPGSRGDTMEDSRQSAQGTDLMAHFDFLRDLLRSRPSASPAPPSPITTPVDPPYVSPLPAVAEEPTQLDTDI >DRNTG_15715.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4370449:4370873:1 gene:DRNTG_15715 transcript:DRNTG_15715.3 gene_biotype:protein_coding transcript_biotype:protein_coding EDFFAESPELFRERCQLCKLDLKFNPDGSRNSDSGPSVHAVLPCGHCYHYSCLLDIFGPLQPGEDPTCIQCLEGGANSAN >DRNTG_15715.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4368946:4370873:1 gene:DRNTG_15715 transcript:DRNTG_15715.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSELQNALIAFQDQRKLTQQQTDLIRQFQNLLQMRQTQPQLKPVDHIKAEDFFAESPELFRERCQLCKLDLKFNPDGSRNSDSGPSVHAVLPCGHCYHYSCLLDIFGPLQPGEDPTCIQCLEGGANSAN >DRNTG_15715.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4367789:4370354:1 gene:DRNTG_15715 transcript:DRNTG_15715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTQPGGWQRPFLPYQEPAPQGINSADFNSFGQWQVPPIQGIPPPQPFLLGPNGSNHVLQTSSIGSSVPWVQSSLTNLASLVHSSNAIPPTPFASGQDAQAAIPFGSKFDAQRGPGARNIGGVPIAQAPPAQANKRKSPSGPQEGLAAARVTRSRAQKDKGGGPSVEPGSSKPVGLVILDKGKEIAPSINRNTGLVTDSNKLPKKPIFSAHATDTLNMSELQNALIAFQDQRKLTQQQTDLIRQFQNLLQMRQTQPQLKPVDHIKAGLFLDALHCVSIQILESFMLRVESIYMLLSLVHLVPALLNV >DRNTG_30108.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31115217:31116414:-1 gene:DRNTG_30108 transcript:DRNTG_30108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEDQALRFEPQIDSEIQIADLNCFSMDLDYRPGEGEEIVAGGSGEVQQGSNIQEVSGVVRDFISMIGSGGDMECESYQKEITDDVQDFISMIDRREIELESDHEQVADEDVRDFISLIGGGGVMQESNQMNLVEDAQDFISMIDGRDNGEEFHQDEVMETVWDVDHDRNHEEHGWEVLVDLDDDDDDVGGPPAAAESVVEALPSVSMAGEGLAGESMACAVCKDEIELEEKVKKLPCKHYFHSECIVPWLKMRNTCPVCRRELPTDDAEYERWRGRRDTEV >DRNTG_03141.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21414636:21418222:-1 gene:DRNTG_03141 transcript:DRNTG_03141.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerase [Source:Projected from Arabidopsis thaliana (AT1G78650) UniProtKB/TrEMBL;Acc:Q9SYL7] MNLMGAAMQSKPNTVSKTSLVQPLKQEHAGKSAKIDVKPSTLETSNDKSNNPAPENFDRTSKPDLGKEATCAVQATRKKGQCEKTSSASSGSLANLWGRASTKLKPSAPSIETDKVVSKVAPTAEAQICAQEAADASSSDDDDHQVNCKRESKGGSNRKRKVIMDFSDDEEEENVVNLASPDPPSRLFVDSKHHTEHLISENKNLNFEEIKKDTSVACQDNGSERNSSSTREVSGNKGSNIFLQPKTENHVSEELDKIQKDMVSPAATSPQRRKVLKTRVDERGREVTEVVWEGSGADNKNSEKNNTAGNHGNRPPTANKAPAASSNSLSNAATNKAGNKKTAKGGGKDTKQGNILSFFKKV >DRNTG_03141.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21413508:21418419:-1 gene:DRNTG_03141 transcript:DRNTG_03141.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerase [Source:Projected from Arabidopsis thaliana (AT1G78650) UniProtKB/TrEMBL;Acc:Q9SYL7] MNLMGAAMQSKPNTVSKTSLVQPLKQEHAGKSAKIDVKPSTLETSNDKSNNPAPENFDRTSKPDLGKEATCAVQATRKKGQCEKTSSASSGSLANLWGRASTKLKPSAPSIETDKVVSKVAPTAEAQICAQEAADASSSDDDDHQVNCKRESKGGSNRKRKVIMDFSDDEEEENVVNLASPDPPSRLFVDSKHHTEHLISENKNLNFEEIKKDTSVACQDNGSERNSSSTREVSGNKGSNIFLQPKTENHVSEELDKIQKDMVSPAATSPQRRKVLKTRVDERGREVTEVVWEGSGADNKNSEKNNTAGNHGNRPPTANKAPAASSNSLSNAATNKAGNKKTAKGGGKDTKQGNILSFFKKV >DRNTG_03141.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21414636:21420003:-1 gene:DRNTG_03141 transcript:DRNTG_03141.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerase [Source:Projected from Arabidopsis thaliana (AT1G78650) UniProtKB/TrEMBL;Acc:Q9SYL7] MAGGQALDLLPQIEALVSDKLQVVSYKWLSRNFSLTSNYAKRLLQEFVDTHGSELEVIYALSGWLKDNPQTYHIKLASGLKLAEVKHEFVDNCSVQVYSVQACIPQDSALLWNAEFVQAEEFFNQPLTAENCLRDNRYCGVSNSFVKRTIDGKSVGAIPHPMNLMGAAMQSKPNTVSKTSLVQPLKQEHAGKSAKIDVKPSTLETSNDKSNNPAPENFDRTSKPDLGKEATCAVQATRKKGQCEKTSSASSGSLANLWGRASTKLKPSAPSIETDKVVSKVAPTAEAQICAQEAADASSSDDDDHQVNCKRESKGGSNRKRKVIMDFSDDEEEENVVNLASPDPPSRLFVDSKHHTEHLISENKNLNFEEIKKDTSVACQDNGSERNSSSTREVSGNKGSNIFLQPKTENHVSEELDKIQKDMVSPAATSPQRRKVLKTRVDERGREVTEVVWEGSGADNKNSEKNNTAGNHGNRPPTANKAPAASSNSLSNAATNKAGNKKTAKGGGKDTKQGNILSFFKKV >DRNTG_03141.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21413508:21417913:-1 gene:DRNTG_03141 transcript:DRNTG_03141.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerase [Source:Projected from Arabidopsis thaliana (AT1G78650) UniProtKB/TrEMBL;Acc:Q9SYL7] MNLMGAAMQSKPNTVSKTSLVQPLKQEHAGKSAKIDVKPSTLETSNDKSNNPAPENFDRTSKPDLGKEATCAVQATRKKGQCEKTSSASSGSLANLWGRASTKLKPSAPSIETDKVVSKVAPTAEAQICAQEAADASSSDDDDHQVNCKRESKGGSNRKRKVIMDFSDDEEEENVVNLASPDPPSRLFVDSKHHTEHLISENKNLNFEEIKKDTSVACQDNGSERNSSSTREVSGNKGSNIFLQPKTENHVSEELDKIQKDMVSPAATSPQRRKVLKTRVDERGREVTEVVWEGSGADNKNSEKNNTAGNHGNRPPTANKAPAASSNSLSNAATNKAGNKKTAKGGGKDTKQGNILSFFKKV >DRNTG_22555.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001244.1:137343:141985:-1 gene:DRNTG_22555 transcript:DRNTG_22555.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSHSSCNCFSDLQLQITVRHHASAQIVPKLQIQHSSGATTSIASHLAGDQTLIPNRTRPVRFSPMARQ >DRNTG_13321.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23064595:23072305:-1 gene:DRNTG_13321 transcript:DRNTG_13321.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSEAATSSKSDKAPSSAQEHPTPQGYPDWAAMQAYYGPGVAMPPYFSTTVVPGHPPHPFVWSPQPLMTPFGAPYTAIYPPGLYPHPSMPRGSHAPTIAPSSSGNEAIVMLAPLAIEAPTKSSSDKEKSPVKKFKGIDGLVSIANGSAKNSAGDHAQKLTQSRENDTEVSTEGSDGCMESRGKKIRRKRSSEDTYNSGNDGKVKTLVSPVPTVATNGSAHPSLALIPVPADIVEKTASTIAPSNATAGLGLKVSTIVKANPVSPVTSAMLTGSDGALNEHWIQDDRALKRERRKQSNRESARRSRLRKQAETEELAMKVDALTNENLSLRSEINRLREKSENLRLENTALMDKLKKANINLTEEVACETGDSHGTKSIGTENLLSRMNNSGSNTNSDQWENEGHENSSGKLYQLLDSSPRTTDAVAAG >DRNTG_13321.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23064595:23072305:-1 gene:DRNTG_13321 transcript:DRNTG_13321.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSEAATSSKSDKAPSSAQEHPTPQGYPDWAAMQAYYGPGVAMPPYFSTTVVPGHPPHPFVWSPQPLMTPFGAPYTAIYPPGLYPHPSMPRGSHAPTIAPSSSGNEAIVMLAPLAIEAPTKSSSDKEKSPVKKFKGIDGLVSIANGSAKNSAGDHAQKLTQSRENDTEVSTEGSDGCMESRGKKIRRKRSSEDTYNSGNDGKVKTLVSPVPTVATNGSAHPSLALIPVPADIVEKTASTIAPSNATAGLGLKVSTIVKANPVSPVTSAMLTGSDGALNEHWIQDDRALKRERRKQSNRESARRSRLRKQAETEELAMKVDALTNENLSLRSEINRLREKSENLRLENTALMDKLKKANINLTEEVACETGDSHGTKSIGTENLLSRMNNSGSNTNSDQWENEGHENSSGKLYQLLDSSPRTTDAVAAG >DRNTG_13321.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23064595:23072305:-1 gene:DRNTG_13321 transcript:DRNTG_13321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSEAATSSKSDKAPSSAQEHPTPQGYPDWAAMQAYYGPGVAMPPYFSTTVVPGHPPHPFVWSPQPLMTPFGAPYTAIYPPGLYPHPSMPRGSHAPTIAPSSSGNEAIVMLAPLAIEAPTKSSSDKEKSPVKKFKGIDGLVSIANGSAKNSAGDHAQKLTQSRENDTEVSTEGSDGCMESRGKKIRRKRSSEDTYNSGNDGKVKTLVSPVPTVATNGSAHPSLALIPVPADIVEKTASTIAPSNATAGLGLKVSTIVKANPVSPVTSAMLTGSDGALNEHWIQDDRALKRERRKQSNRESARRSRLRKQAETEELAMKVDALTNENLSLRSEINRLREKSENLRLENTALMDKLKKANINLTEEVACETGDSHGTKSIGTENLLSRMNNSGSNTNSDQWENEGHENSSGKLYQLLDSSPRTTDAVAAG >DRNTG_33685.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1287295:1293905:-1 gene:DRNTG_33685 transcript:DRNTG_33685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDSSMPTFYFLNLLSIRVAGIKLSISPTVFSNAGTLIDSGTVISRLPPAAYSSLRQAFKQKMGKYPTAPALSILDTCYDFSNYSTVSVPTVALEFAGGVVVDLDFSGILYVASLSQACLAFAGNSDAGDVGIFGNIQQHKLNVVYNVGKQVIGFGKGGCN >DRNTG_18260.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11580585:11580988:-1 gene:DRNTG_18260 transcript:DRNTG_18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYRNPLNGRVDGHVESPLLVARDPLSGLGYTSPDRC >DRNTG_33037.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3517550:3518232:1 gene:DRNTG_33037 transcript:DRNTG_33037.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRRRDQPMRSTTRRTARRRRSLRRRRRCLTGKDCLDACDSIRPLIVLHVGLVISLSITDGDDIHVQF >DRNTG_32243.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9993723:9995037:1 gene:DRNTG_32243 transcript:DRNTG_32243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFLLRESMFDSVICARDRWLKPDGVM >DRNTG_16582.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:117959:118863:1 gene:DRNTG_16582 transcript:DRNTG_16582.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTNSGTGIVIGTEIIVAGVIFFFMLVAFAFFIYFYTIGRHHLRGSSHSGDAIPTTTLELRTSTGLEEAVIQSIPVVRFSSSDGVECAVCLSDIGDGEKARLLPLCKHGFHLVCIDVWLSSHSTCPLCRCDITGKVAGGTTSADNQRLQRVDEIQVEVIPALDDETPSMDQDVMNSSNRNKTPVSSSPRLRSLTRLWSQGKRTMAVASSSSSLSTREGDIEDQGMHN >DRNTG_16582.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:117959:126941:1 gene:DRNTG_16582 transcript:DRNTG_16582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTNSGTGIVIGTEIIVAGVIFFFMLVAFAFFIYFYTIGRHHLRGSSHSGDAIPTTTLELRTSTGLEEAVIQSIPVVRFSSSDGVECAVCLSDIGDGEKARLLPLCKHGFHLVCIDVWLSSHSTCPLCRCDITGKVAGGTTSADNQRLQRVDEIQIEVIPA >DRNTG_02700.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19667749:19670506:1 gene:DRNTG_02700 transcript:DRNTG_02700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKCDTRLYVGNLDKRITESNVIKMFTPFGKIMSEEFLWHTRGPKRGEPRGYVFIEYSTKE >DRNTG_02700.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19667749:19670506:1 gene:DRNTG_02700 transcript:DRNTG_02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKCDTRLYVGNLDKRITESNVIKMFTPFGKIMSEEFLWHTRGPKRGEPRGYVFIEYSTKEEAELAKAKMDGRLACGRPLVVRLASEKCLLETSNQLKPSCDGKKPSAAGCTSGMMNRSAKIAAIKNKLKSLEGEGVGIKKLRETDSSTSTHETVSSARKS >DRNTG_04360.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30987279:30988315:1 gene:DRNTG_04360 transcript:DRNTG_04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEYAMRGYLTDKADVYSFGVVILETVSGLCNTSYRPKEDCLHLLDWAYILQEQGRLVELVDKSLGPNYSKEEAVMLLSLALTCTSSSPSLRPTMPAVVNIIEGKKPVPVLPKKGTGSNSVPSTWVGAFEILSHNNRPLVSSSKYNEPWMESVVSTNVEEEEDTSSTSGLISDYSH >DRNTG_23107.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9791537:9797936:-1 gene:DRNTG_23107 transcript:DRNTG_23107.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEAKKEAFRKYLESSGVLDALTKVLVALYEESDKPNSAIEFSGALNEGNKSGSVFNFIQQKLGGPSISDYEKLQAEKSDLQLKYDELLAHHRETCRRLEELRNTLPMAICKESVDRETTKDEMPMI >DRNTG_33250.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3527041:3527489:-1 gene:DRNTG_33250 transcript:DRNTG_33250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQALGIVLAISALVPFLAAPASASLIAPFLNRHDALLSDPFSDPFRVLEHIPFGLDRDDVLTVSPARADWKETPDAHLITIDVPGIPKLSIHLLIFIIILKYIILIK >DRNTG_33250.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3526501:3527489:-1 gene:DRNTG_33250 transcript:DRNTG_33250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQALGIVLAISALVPFLAAPASASLIAPFLNRHDALLSDPFSDPFRVLEHIPFGLDRDDVLTVSPARADWKETPDAHLITIDVPGLKKDELKNRDNGKTEY >DRNTG_09023.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31255819:31256937:1 gene:DRNTG_09023 transcript:DRNTG_09023.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLIMEKMDLQMEAFEESSEIIRQEIAKDEKVLEDFEEQIERERNQDLFFKSLSQKAPPGKQAAEVLKPVRETITKNAGSKFRRNIYLGLMTLVFGTIGNYLITYPQVEWKKVAALGLILLGLLVQYIYERSLSTATMEEMENKDNPGEDG >DRNTG_09023.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31255819:31258307:1 gene:DRNTG_09023 transcript:DRNTG_09023.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLIMEKMDLQMEAFEESSEIIRQEIAKDEKVLEDFEEQIERERNQDLFFKSLSQKAPPGKQAAEVLKPVRETITKNAGSKFRRNIYLGLMTLVFGTIGNYLITYPQVEWKKVAALGLILLGLLVQYIYERSLSTATMEEMENKDNPGEDG >DRNTG_09023.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31255819:31258307:1 gene:DRNTG_09023 transcript:DRNTG_09023.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLIMEKMDLQMEAFEESSEIIRQEIAKDEKVLEDFEEQIERERNQDLFFKSLSQKAPPGKQAAEVLKPVRETITKNAGSKFRRNIYLGLMTLVFGTIGNYLITYPQVEWKKVAALGLILLGLLVQYIYERSLSTATMEEMENKDNPGEDG >DRNTG_09023.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31255819:31258307:1 gene:DRNTG_09023 transcript:DRNTG_09023.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTLNSILASFPPTTPGFVSSKKLSNNKNKKKKLALCLCNKSDGAEPEKEGDKRKQELLAQIAMLQAQKVRLTNFLDERSAYLTQFGQEAEADFDQIGEKALKDLDDAGERIMEKMDLQMEAFEESSEIIRQEIAKDEKVLEDFEEQIERERNQDLFFKSLSQKAPPGKQAAEVLKPVRETITKNAGSKFRRNIYLGLMTLVFGTIGNYLITYPQVEWKKVAALGLILLGLLVQYIYERSLSTATMEEMENKDNPGEDG >DRNTG_09023.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31255819:31258307:1 gene:DRNTG_09023 transcript:DRNTG_09023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTLNSILASFPPTTPGFVSSKKLSNNKNKKKKLALCLCNKSDGAEPEKEGDKRKQELLAQIAMLQAQKVRLTNFLDERSAYLTQFGQEAEADFDQIGEKALKDLDDAGERIMEKMDLQMEAFEESSEIIRQEIAKDEKVLEDFEEQIERERNQDLFFKSLSQKAPPGKQAAEVLKPVRETITKNAGSKFRRNIYLGLMTLVFGTIGNYLITYPQVEWKKVAALGLILLGLLVQYIYERSLSTATMEEMENKDNPGEDG >DRNTG_09023.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31255819:31256937:1 gene:DRNTG_09023 transcript:DRNTG_09023.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTLNSILASFPPTTPGFVSSKKLSNNKNKKKKLALCLCNKSDGAEPEKEGDKRKQELLAQIAMLQAQKVRLTNFLDERSAYLTQFGQEAEADFDQIGEKALKDLDDAGERIMEKMDLQMEAFEESSEIIRQEIAKDEKVLEDFEEQIERERNQDLFFKSLSQKAPPGKQAAEVLKPVRETITKNAGSKFRRNIYLGLMTLVFGTIGNYLITYPQVEWKKVAALGLILLGLLVQYIYERSLSTATMEEMENKDNPGEDG >DRNTG_09023.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31255819:31256937:1 gene:DRNTG_09023 transcript:DRNTG_09023.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRGSVPLSLCHPYKYIYIRGKSFYTCFEKIMQIMEKMDLQMEAFEESSEIIRQEIAKDEKVLEDFEEQIERERNQDLFFKSLSQKAPPGKQAAEVLKPVRETITKNAGSKFRRNIYLGLMTLVFGTIGNYLITYPQVEWKKVAALGLILLGLLVQYIYERSLSTATMEEMENKDNPGEDG >DRNTG_06403.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7516436:7517323:1 gene:DRNTG_06403 transcript:DRNTG_06403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGFRVLIFRGVFFPFFFLGIFVDFVTVVFIFIFIFLFCIFLRVFETWVLMEEKERREKN >DRNTG_10132.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19091552:19094645:-1 gene:DRNTG_10132 transcript:DRNTG_10132.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKKYTKECADDVIKSLGLDLKEIDKCIGDPNVDVENPVLKAEQDAQIGKNSRGDVTILPTLVINNRQYRGKLDKGAVLKAICAGFKETTEPGVCLSEEIETNECLERNGGCWEDKVANATACKDTFRGRVCECPIVRGVKFVGDGYTHCEASGTGRCEINNGGCWKHTKHGSTFSACLENHVQGCKCPPGFKGDGIDSCEDIDECKERTACQCSGCKCKNTWGSYECNCRGDLLYMKEHDTCISKKATTEVGWSFLWVIFF >DRNTG_35203.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7915562:7918103:1 gene:DRNTG_35203 transcript:DRNTG_35203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPCGVCENNPSLAITKSEKPSIICGYPSSGYRTTAENRQCVSRITNVCYKLMLSSDPFVSFGARCAATQPPPPDGWANAACRWTSLRSTVYSKLLSSCLYWNLEEYGGEEEEEKKRNFLFFLISLNPSVFFMFRVC >DRNTG_35016.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6854489:6855790:-1 gene:DRNTG_35016 transcript:DRNTG_35016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESFPSLNIALPQSPWAPLPQYHPSPWGTSPLTNSRTHGFP >DRNTG_11321.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:8943149:8952483:-1 gene:DRNTG_11321 transcript:DRNTG_11321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHRDPGVTTRDRWANVKVMKTLADRNLVVTTAKSGRVFGLCKAGLERVERVSREDNLAEHLSLLSFLDLGGEDNTSSFHLDSGDFASTVKLDVAGGPSYRGSSDSLHSIARDAPALVTSAPNVLSEPLPPSVANACAGSSSSSASTPCASGGVTPNGEPTMHTRDTPTSSMDCLPLTIDESRRPRIKLVNGM >DRNTG_24634.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31182653:31186410:1 gene:DRNTG_24634 transcript:DRNTG_24634.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVKNVAETGRTVVCTIHQPSIDIFEAFDELMLMKKGGKLIYSGPLGQHSRRVIEYFEKIPGVPKIKHNYNPATWMLEITSASVEEQLGINFAQIDRESTIYNDNKELVKQLNTPTRGSRDLHFPTRFPQNGWEQFKACLWKQHLSYWRNPSYNLLQWIFSILSAVILATLFWKHGQTLNNQQSLLNILGSLYGAIMLNGYNNGLSVIPFVVTKRDVLYCEKYSGMYSPWAYSLAQVVIEIPYIFIQVLSFMIVAYPAIGYYWSAYKFLWFFYAMFCALLCYAYLGMFVASLTPNVKMATIVSSFFFQNFNLFSGFIIPGPRIPKWWIWFYYFTPMSWALNAIFSSQYGDIQEEIQEFGKTKTVAIFLQDYFGFHHHQLGCVALVLLAFPLLFSSLFIYCIGKLNFQRR >DRNTG_24634.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31186083:31191147:1 gene:DRNTG_24634 transcript:DRNTG_24634.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEEIGTENRLSLHLPAAPYINNINGHDDDDDDDPDGDIRRRTTTAIVSDQKEFNEVDHDVTTKLGAAGDKRLFIDSLIKHIENDNLRLLHRQKQRTDRVDVKVPSIEVRYSNLNVEAECEVVEGKPLPTLWNATKGFFSGFLRLTGLNHENAKIIIIKDASGVIKPSRMTLLLGPPGCGKTT >DRNTG_24634.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31183085:31183468:1 gene:DRNTG_24634 transcript:DRNTG_24634.9 gene_biotype:protein_coding transcript_biotype:protein_coding MFISNTTLGNLVLSNHGLVFKSYSYWISVGAILGFALIFNVGFILALTFRKSVGKPRSIISREKLCQINSHNDLHNDIHLKGSTTITFPASTKETNAR >DRNTG_24634.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31183542:31185706:1 gene:DRNTG_24634 transcript:DRNTG_24634.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVKNVAETGRTVVCTIHQPSIDIFEAFDELMLMKKGGKLIYSGPLGQHSRRVIEYFEKIPGVPKIKHNYNPATWMLEITSASVEEQLGINFAQIDRESTIYNDNKELVKQLNTPTRGSRDLHFPTRFPQNGWEQFKACLWKQHLSYWRNPSYNLLQWIFSILSAVILATLFWKHGQTLNNQQSLLNILGSLYGAIMLNGYNNGLSVIPFVVTKRDVLYCEKYSGMYSPWAYSLAQ >DRNTG_24634.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31183709:31185706:1 gene:DRNTG_24634 transcript:DRNTG_24634.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVKNVAETGRTVVCTIHQPSIDIFEAFDELMLMKKGGKLIYSGPLGQHSRRVIEYFEKIPGVPKIKHNYNPATWMLEITSASVEEQLGINFAQIDRESTIYNDNKELVKQLNTPTRGSRDLHFPTRFPQNGWEQFKACLWKQHLSYWRNPSYNLLQWIFSILSAVILATLFWKHGQTLNNQQSLLNILGSLYGAIMLNGYNNGLSVIPFVVTKRDVLYCEKYSGMYSPWAYSLAQ >DRNTG_24634.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31182653:31183468:1 gene:DRNTG_24634 transcript:DRNTG_24634.7 gene_biotype:protein_coding transcript_biotype:protein_coding MFISSVIFMVFLSTGGFILPRSSMPSWLRWAFWVSPLTYAEVGLTVNEFFTPRWQKMFISNTTLGNLVLSNHGLVFKSYSYWISVGAILGFALIFNVGFILALTFRKSVGKPRSIISREKLCQINSHNDLHNDIHLKGSTTITFPASTKETNAR >DRNTG_24634.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31184862:31189013:1 gene:DRNTG_24634 transcript:DRNTG_24634.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEITSASVEEQLGINFAQIDRESTIYNDNKELVKQLNTPTRGSRDLHFPTRFPQNGWEQFKACLWKQHLSYWRNPSYNLLQWIFSILSAVILATLFWKHGQTLNNQQSLLNILGSLYGAIMLNGYNNGLSVIPFVVTKRDVLYCEKYSGMYSPWAYSLAQVVIEIPYIFIQVLSFMIVAYPAIGYYWSAYKFLWFFYAMFCALLCYAYLGMFVASLTPNVKMATIVSSFFFQNFNLFSGFIIPGPRIPKWWIWFYYFTPMSWALNAIFSSQYGDIQEEIQEFGKTKTVAIFLQDYFGFHHHQLGCVALVLLAFPLLFSSLFIYCIGKLNFQRSLLHSTPISNSTELTEAFI >DRNTG_24634.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31182453:31191147:1 gene:DRNTG_24634 transcript:DRNTG_24634.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVKNVAETGRTVVCTIHQPSIDIFEAFDELMLMKKGGKLIYSGPLGQHSRRVIEYFEKIPGVPKIKHNYNPATWMLEITSASVEEQLGINFAQIDRESTIYNDNKELVKQLNTPTRGSRDLHFPTRFPQNGWEQFKACLWKQHLSYWRNPSYNLLQWIFSILSAVILATLFWKHGQTLNNQQSLLNILGSLYGAIMLNGYNNGLSVIPFVVTKRDVLYCEKYSGMYSPWAYSLAQVVIEIPYIFIQVLSFMIVAYPAIGYYWSAYKFLWFFYAMFCALLCYAYLGMFVASLTPNVKMATIVSSFFFQNFNLFSGFIIPGPRIPKWWIWFYYFTPMSWALNAIFSSQYGDIQEEIQEFGKTKTVAIFLQDYFGFHHHQLGCVALVLLAFPLLFSSLFIYCIGKLNFQRSLLHSTPISNSTELTEAFI >DRNTG_24634.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31188217:31189013:1 gene:DRNTG_24634 transcript:DRNTG_24634.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEEIGTENRLSLHLPAAPYINNINGHDDDDDDDPDGDIRRRTTTAIVSDQKEFNEVDHDVTTKLGAAGDKRLFIDSLIKHIENDNLRLLHRQKQRTDRVDVKVPSIEVRYSNLNVEAECEVVEGKPLPTLWNATKGFFS >DRNTG_08932.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27339459:27345607:1 gene:DRNTG_08932 transcript:DRNTG_08932.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLKKLTGFSLHKSDPKEKKGRQTLGKFDELVQASQDLQDIRNCYDTLLSAAAATANGAYEFSIYLKEMGDCLLKRTSLNDDEGNENALSMLGKAQYELQKLLDTYRGHVTQTITNPSESLIKELQLVEEMKRQCDDKRDMYKFMLEKQREKGRTKHTKGETFSAQQLQEAQEEYENQVTLFVFRLKSLKQGQSRSLLTQAARHHAAQLNFFRKGLKFLEDVDSYIKVDVEQQHIDYQIQELDDNAEEDVDDGGGYDSGDGDDEPSFDYSRNDQGQEVFSMPRSSWEDRNQADLLGYSGMSKAGSQSAPIFAEKVFDSSDKLKETQPSSTRKSYSYVLPTPGDTKSSDFVIPKVISSAQVKNNDGRPAYVWHSSPLEPHKSVNEFRVDGLPSNMRSAKAVSVLKENNRNSDTKMPPPLAESSMLQYNARITSTKKNSRQAFSDPIISKPAESMSFAGSLTTVSARRSPTHQPSISPSISPRASPPPILSPKISELHELPRPPISSTRSPRPYSLVDHSAPLVSRGQEHQISSKSPSGPLETATPLPPPPTGMMRSHSIPSSGQRTPTASATKSIKAPHTTSRVYDFASPHLMPLPPSSKA >DRNTG_08932.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27341840:27345607:1 gene:DRNTG_08932 transcript:DRNTG_08932.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCLLKRTSLNDDEGNENALSMLGKAQYELQKLLDTYRGHVTQTITNPSESLIKELQLVEEMKRQCDDKRDMYKFMLEKQREKGRTKHTKGETFSAQQLQEAQEEYENQVTLFVFRLKSLKQGQSRSLLTQAARHHAAQLNFFRKGLKFLEDVDSYIKVDVEQQHIDYQIQELDDNAEEDVDDGGGYDSGDGDDEPSFDYSRNDQGQEVFSMPRSSWEDRNQADLLGYSGMSKAGSQSAPIFAEKVFDSSDKLKETQPSSTRKSYSYVLPTPGDTKSSDFVIPKVISSAQVKNNDGRPAYVWHSSPLEPHKSVNEFRVDGLPSNMRSAKAVSVLKENNRNSDTKMPPPLAESSMLQYNARITSTKKNSRQAFSDPIISKPAESMSFAGSLTTVSARRSPTHQPSISPSISPRASPPPILSPKISELHELPRPPISSTRSPRPYSLVDHSAPLVSRGQEHQISSKSPSGPLETATPLPPPPTGMMRSHSIPSSGQRTPTASATKSIKAPHTTSRVYDFASPHLMPLPPSSKA >DRNTG_08932.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27339459:27345607:1 gene:DRNTG_08932 transcript:DRNTG_08932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLKKLTGFSLHKSDPKEKKGRQTLGKFDELVQASQDLQDIRNCYDTLLSAAAATANGAYEFSIYLKEMGDCLLKRTSLNDDEGNENALSMLGKAQYELQKLLDTYRGHVTQTITNPSESLIKELQLVEEMKRQCDDKRDMYKFMLEKQREKGRTKHTKGETFSAQQLQEAQEEYENQVTLFVFRLKSLKQGQSRSLLTQAARHHAAQLNFFRKGLKFLEDVDSYIKVDVEQQHIDYQIQELDDNAEEDVDDGGGYDSGDGDDEPSFDYSRNDQGQEVFSMPRSSWEDRNQADLLGYSGMSKAGSQSAPIFAEKVFDSSDKLKETQPSSTRKSYSYVLPTPGDTKSSDFVIPKVISSAQVKNNDGRPAYVWHSSPLEPHKSVNEFRVDGLPSNMRSAKAVSVLKENNRNSDTKMPPPLAESSMLQYNARITSTKKNSRQAFSDPIISKPAESMSFAGSLTTVSARRSPTHQPSISPSISPRASPPPILSPKISELHELPRPPISSTRSPRPYSLVDHSAPLVSRGQEHQISSKSPSGPLETATPLPPPPTGMMRSHSIPSSGQRTPTASATKSIKAPHTTSRVYDFASPHLMPLPPSSKA >DRNTG_03259.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16896299:16900158:1 gene:DRNTG_03259 transcript:DRNTG_03259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSFLYPGSRGGVESLKGCEGLERRKESKDSADLQLQCAPIYNSATISVLQHIIVKLHPLNSLIAAPRSWFLELFSLSRPHCHCAQGFVGAMIGVRVWGPTSGIPLLIRK >DRNTG_18793.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:329049:334533:1 gene:DRNTG_18793 transcript:DRNTG_18793.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVNSLTGKVDYQGWLEAKKRKWKTIREERKRRKLGSIKQPHQSNDSIKLVSSKLSSRHGQDSSGLVTLFRKHEMALIRSHWQIIQILSSTQLGCYFAWVVADGSMFKVPIKVPRVFYLNSKAPITEEFPGRLVNKILPHNKPNFNLIEVAIDEDQFRAESKKLAIHLADPEVEGIYETKLSLEFNAILHIGCVCKVDKTAKFRNTQEGWALNELHMKTTAECSYLERNVSFFYLYHSLSDGRALYAIYFPVSCTVLVVVVNPFQNRELSSSLLERQFREACQGLSNKSPLRENNTTFKVDYVRSIEAGEQLLQRALIEYRHHHPGPAIGIIECPNIEAMKLGIRTLDDFPCIKIPCNARDNNYQALGWQLAAGKIGMQRCAASLQWFNERIHLSRYVHVPLGNFELDWLLFTADVFFSRALRDQQQILWISDDGIPDLGGSFEGDSCFADEVSQPSLTYPGAYRKLVVELKIHHLAVNALLKSSQVDEMEGGSLFGYDHDMHSGSLASDVNYDEASACVHAFRVLKQLIQRCIADAVTSGNVFADAILQHLYRWLCSPLSKLHDPALHRLLHKVMKKVFALLLAELRKLGATIIFANFSKIIIDTGKMDLPSAHAYCDCLLKTLQTR >DRNTG_18793.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:327820:333014:1 gene:DRNTG_18793 transcript:DRNTG_18793.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVNSLTGKVDYQGWLEAKKRKWKTIREERKRRKLGSIKQPHQSNDSIKLVSSKLSSRHGQDSSGLVTLFRKHEMALIRSHWQIIQILSSTQLGCYFAWVVADGSMFKVPIKVPRVFYLNSKAPITEEFPGRLVNKILPHNKPNFNLIEVAIDEDQFRAESKKLAIHLADPEVEGIYETKLSLEFNAILHIGCVCKVDKTAKFRNTQEGWALNELHMKTTAECSYLERNVSFFYLYHSLSDGRALYAIYFPVSCTVLVVVVNPFQNRELSSSLLERQFREACQGLSNKSPLRENNTTFKVDYVRSIEAGEQLLQRALIEYRHHHPGPAIGIIECPNIEAMKLGIRTLDDFPCIKIPCNARDNNYQALGWQLAAGKIGMQRCAASLQWFNERIHLSRYVH >DRNTG_18793.26 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:319163:319749:1 gene:DRNTG_18793 transcript:DRNTG_18793.26 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDARRRVRGDSRGISKQKLRISAEEELESKLGFSPFTEGEKRLGWLLTVASSSWEDQDTSKIYSCVDLYFVTQDGSTFKAKHKFRPYFYAAAK >DRNTG_18793.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:323283:325841:1 gene:DRNTG_18793 transcript:DRNTG_18793.16 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFAMEQPQIMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMPPDEVLRKGSGTLCEMLLMVQAHKANVICPNKHQADPEKFYNNRLLESETYIGGHVECLESGVFRSDLPTKFQLDPSAFEQLIRNLDRDLQYAISTEGKMDVDSISNYEDVKKAILDKLTLLRDHPTREECPLIYHLDVAAMYPNIILTNRLQPPSIVSDVVCTACDFNRPGKNCLRKLEWVWRGETFMAKKSDYFHLRNQIESEIIENVDGQSSRPFLDLPKTEQLAKLKDRLRKYCQK >DRNTG_18793.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:329680:336768:1 gene:DRNTG_18793 transcript:DRNTG_18793.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVNSLTGKVDYQGWLEAKKRKWKTIREERKRRKLGSIKQPHQSNDSIKLVSSKLSSRHGQDSSGLVTLFRKHEMALIRSHWQIIQILSSTQLGCYFAWVVADGSMFKVPIKVPRVFYLNSKAPITEEFPGRLVNKILPHNKPNFNLIEVAIDEDQFRAESKKLAIHLADPEVEGIYETKLSLEFNAILHIGCVCKVDKTAKFRNTQEGWALNELHMKTTAECSYLERNVSFFYLYHSLSDGRALYAIYFPVSCTVLVVVVNPFQNRELSSSLLERQFREACQGLSNKSPLRENNTTFKVDYVRSIEAGEQLLQRALIEYRHHHPGPAIGIIECPNIEAMKLGIRTLDDFPCIKIPCNARDNNYQALGWQLAAGKIGMQRCAASLQWFNERIHLSRYVHVPLGNFELDWLLFTADVFFSRALRDQQQILWISDDGIPDLGGSFEGDSCFADEVSQPSLTYPGAYRKLVVELKIHHLAVNALLKSSQVDEMEGGSLFGYDHDMHSGSLASDVNYDEASACVHAFRVLKQLIQRCIADAVTSGNVFADAILQHLYRWLCSPLSKLHDPALHRLLHKVMKKVFALLLAELRKLGATIIFANFSKIIIDTGKMDLPSAHAYCDCLLKTLQTRDLFEWIELEPMHYWHSFLFMDQYNYGGIQAKSLPGSQTEAVIDESEVDIVSSWNIAECLPKMTQDHFVLVVSEFLYIPWKYLREQVASRMSARDENTCTPSITIAASETFQACITEYLKEQISNYFTEKLLRIVRDTLHHLKGKNKMETDDQTSHALEQAAPHPHKGDAALEFIKHVCAVLALDLNVQHDVLRMRKNLLKLVRVKEFSPEAQFHDPSPTFTLPNVICSYCNDCRDLDLCRDSVLMEHEWRCAVPQCGQPYNREQMENALLQIVRQRERLFHLQDVVCLRCRQIKAAHLAEQCVCGGIFRVKEDSSEFHNKMQVFLNIARRQKFQLLQDCTSWILSS >DRNTG_18793.20 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:325697:327738:1 gene:DRNTG_18793 transcript:DRNTG_18793.20 gene_biotype:protein_coding transcript_biotype:protein_coding MRENPFYVDTVRSFRDRRYEYKGLNKIWKGKLAEAKASGNSMMIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVEKIGRPLELDTDGIWCALPGSFPENFTFETKQAKRKLTISYPCVMLNVDVAQNNTNDQYQTLKDPITKTYTTHSECSIEFEVDGPYK >DRNTG_18793.22 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:325912:327738:1 gene:DRNTG_18793 transcript:DRNTG_18793.22 gene_biotype:protein_coding transcript_biotype:protein_coding MRENPFYVDTVRSFRDRRYEYKGLNKIWKGKLAEAKASGNSMMIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVEKIGRPLELDTDGIWCALPGSFPENFTFETKQAKRKLTISYPCVMLNVDVAQNNTNDQYQTLKDPITKTYTTHSECSIEFEVDGPYK >DRNTG_18793.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:324714:336768:1 gene:DRNTG_18793 transcript:DRNTG_18793.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVNSLTGKVDYQGWLEAKKRKWKTIREERKRRKLGSIKQPHQSNDSIKLVSSKLSSRHGQDSSGLVTLFRKHEMALIRSHWQIIQILSSTQLGCYFAWVVADGSMFKVPIKVPRVFYLNSKAPITEEFPGRLVNKILPHNKPNFNLIEVAIDEDQFRAESKKLAIHLADPEVEGIYETKLSLEFNAILHIGCVCKVDKTAKFRNTQEGWALNELHMKTTAECSYLERNVSFFYLYHSLSDGRALYAIYFPVSCTVLVVVVNPFQNRELSSSLLERQFREACQGLSNKSPLRENNTTFKVDYVRSIEAGEQLLQRALIEYRHHHPGPAIGIIECPNIEAMKLGIRTLDDFPCIKIPCNARDNNYQALGWQLAAGKIGMQRCAASLQWFNERIHLSRYVHVPLGNFELDWLLFTADVFFSRALRDQQQILWISDDGIPDLGGSFEGDSCFADEVSQPSLTYPGAYRKLVVELKIHHLAVNALLKSSQVDEMEGGSLFGYDHDMHSGSLASDVNYDEASACVHAFRVLKQLIQRCIADAVTSGNVFADAILQHLYRWLCSPLSKLHDPALHRLLHKVMKKVFALLLAELRKLGATIIFANFSKIIIDTGKMDLPSAHAYCDCLLKTLQTRDLFEWIELEPMHYWHSFLFMDQYNYGGIQAKSLPGSQTEAVIDESEVDIVSSWNIAECLPKMTQDHFVLVVSEFLYIPWKYLREQVASRMSARDENTCTPSITIAASETFQACITEYLKEQISNYFTEKLLRIVRDTLHHLKGKNKMETDDQTSHALEQAAPHPHKGDAALEFIKHVCAVLALDLNVQHDVLRMRKNLLKLVRVKEFSPEAQFHDPSPTFTLPNVICSYCNDCRDLDLCRDSVLMEHEWRCAVPQCGQPYNREQMENALLQIVRQRERLFHLQDVVCLRCRQIKAAHLAEQCVCGGIFRVKEDSSEFHNKMQVFLNIARRQKFQLLQDCTSWILSS >DRNTG_18793.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:332673:336768:1 gene:DRNTG_18793 transcript:DRNTG_18793.10 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGIRTLDDFPCIKIPCNARDNNYQALGWQLAAGKIGMQRCAASLQWFNERIHLSRYVHVPLGNFELDWLLFTADVFFSRALRDQQQILWISDDGIPDLGGSFEGDSCFADEVSQPSLTYPGAYRKLVVELKIHHLAVNALLKSSQVDEMEGGSLFGYDHDMHSGSLASDVNYDEASACVHAFRVLKQLIQRCIADAVTSGNVFADAILQHLYRWLCSPLSKLHDPALHRLLHKVMKKVFALLLAELRKLGATIIFANFSKIIIDTGKMDLPSAHAYCDCLLKTLQTRDLFEWIELEPMHYWHSFLFMDQYNYGGIQAKSLPGSQTEAVIDESEVDIVSSWNIAECLPKMTQDHFVLVVSEFLYIPWKYLREQVASRMSARDENTCTPSITIAASETFQACITEYLKEQISNYFTEKLLRIVRDTLHHLKGKNKMETDDQTSHALEQAAPHPHKGDAALEFIKHVCAVLALDLNVQHDVLRMRKNLLKLVRVKEFSPEAQFHDPSPTFTLPNVICSYCNDCRDLDLCRDSVLMEHEWRCAVPQCGQPYNREQMENALLQIVRQRERLFHLQDVVCLRCRQIKAAHLAEQCVCGGIFRVKEDSSEFHNKMQVFLNIARRQKFQLLQDCTSWILSS >DRNTG_18793.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:333651:336768:1 gene:DRNTG_18793 transcript:DRNTG_18793.14 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSLFGYDHDMHSGSLASDVNYDEASACVHAFRVLKQLIQRCIADAVTSGNVFADAILQHLYRWLCSPLSKLHDPALHRLLHKVMKKVFALLLAELRKLGATIIFANFSKIIIDTGKMDLPSAHAYCDCLLKTLQTRDLFEWIELEPMHYWHSFLFMDQYNYGGIQAKSLPGSQTEAVIDESEVDIVSSWNIAECLPKMTQDHFVLVVSEFLYIPWKYLREQVASRMSARDENTCTPSITIAASETFQACITEYLKEQISNYFTEKLLRIVRDTLHHLKGKNKMETDDQTSHALEQAAPHPHKGDAALEFIKHVCAVLALDLNVQHDVLRMRKNLLKLVRVKEFSPEAQFHDPSPTFTLPNVICSYCNDCRDLDLCRDSVLMEHEWRCAVPQCGQPYNREQMENALLQIVRQRERLFHLQDVVCLRCRQIKAAHLAEQCVCGGIFRVKEDSSEFHNKMQVFLNIARRQKFQLLQDCTSWILSS >DRNTG_18793.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:331254:334729:1 gene:DRNTG_18793 transcript:DRNTG_18793.12 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTAECSYLERNVSFFYLYHSLSDGRALYAIYFPVSCTVLVVVVNPFQNRELSSSLLERQFREACQGLSNKSPLRENNTTFKVDYVRSIEAGEQLLQRALIEYRHHHPGPAIGIIECPNIEAMKLGIRTLDDFPCIKIPCNARDNNYQALGWQLAAGKIGMQRCAASLQWFNERIHLSRYVHVPLGNFELDWLLFTADVFFSRALRDQQQILWISDDGIPDLGGSFEGDSCFADEVSQPSLTYPGAYRKLVVELKIHHLAVNALLKSSQVDEMEGGSLFGYDHDMHSGSLASDVNYDEASACVHAFRVLKQLIQRCIADAVTSGNVFADAILQHLYRWLCSPLSKLHDPALHRLLHKVMKKVFALLLAELRKLGATIIFANFSKIIIDTGKMDLPSAHAYCDCLLKTLQTRDLFEWIELEPMHYWHSFLFMDQVLYCHQEYIVPFNL >DRNTG_18793.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:328314:330840:1 gene:DRNTG_18793 transcript:DRNTG_18793.17 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSLVDYGEQKSCSVTTARRLADFLGDTMVKDKGLRCQYIVACEPRGTPVSERAVPVAIFETDSEIAKFYLRKWCKISSSVGIRSIIDWSYYRQRLSSAIQKIITIPAAMQKVSNPVPRVVHPDWLHRKVREKEERFRQRKLIDLFAPISKDEAMLEESHVQDLEDAIHEGRTSRIRKQPIAHSYELNKENLMGKPSCVVDGVLPEDQQHNAAMKRLHKPLISVSQNGTSSEFIDRKS >DRNTG_18793.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:325912:333411:1 gene:DRNTG_18793 transcript:DRNTG_18793.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRENPFYVDTVRSFRDRRYEYKGLNKIWKGKLAEAKASGNSMMIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVEKIGRPLELDTDGIWCALPGSFPENFTFETKQAKRKLTISYPCVMLNVDVAQNNTNDQYQTLKDPITKTYTTHSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNHDGTLAELKGFEIKRRGELKLIKVFQAEVFDKFLYGSTLEECYAAVAAVANRWLDLLDNQGIDIADSELLDYISESSTMSKSLVDYGEQKSCSVTTARRLADFLGDTMVKDKGLRCQYIVACEPRGTPVSERAVPVAIFETDSEIAKFYLRKWCKISSSVGIRSIIDWSYYRQRLSSAIQKIITIPAAMQKVSNPVPRVVHPDWLHRKVREKEERFRQRKLIDLFAPISKDEAMLEESHVQDLEDAIHEGRTSRIRKQPIAHSYELNKENLMGKPSCVVDGVLPEDQQHNAAMKRLHKPLISVSQNGTSSEFIDRKS >DRNTG_18793.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:333979:336768:1 gene:DRNTG_18793 transcript:DRNTG_18793.15 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFALLLAELRKLGATIIFANFSKIIIDTGKMDLPSAHAYCDCLLKTLQTRDLFEWIELEPMHYWHSFLFMDQYNYGGIQAKSLPGSQTEAVIDESEVDIVSSWNIAECLPKMTQDHFVLVVSEFLYIPWKYLREQVASRMSARDENTCTPSITIAASETFQACITEYLKEQISNYFTEKLLRIVRDTLHHLKGKNKMETDDQTSHALEQAAPHPHKGDAALEFIKHVCAVLALDLNVQHDVLRMRKNLLKLVRVKEFSPEAQFHDPSPTFTLPNVICSYCNDCRDLDLCRDSVLMEHEWRCAVPQCGQPYNREQMENALLQIVRQRERLFHLQDVVCLRCRQIKAAHLAEQCVCGGIFRVKEDSSEFHNKMQVFLNIARRQKFQLLQDCTSWILSS >DRNTG_18793.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:319163:325841:1 gene:DRNTG_18793 transcript:DRNTG_18793.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDARRRVRGDSRGISKQKLRISAEEELESKLGFSPFTEGEKRLGWLLTVASSSWEDQDTSKIYSCVDLYFVTQDGSTFKAKHKFRPYFYAAAKDKMESEVEAYLKRRYESYIANIDIIDKEDLSLRNHLSGLCRSYLKICFDTVQQLMHVKNDLLHVVERNQSKLDTSEAFESIYSDKRVERPQDYLDCIIDLREYDVPYHVRFAIDMDVRCGQWYDVSVSVEGVELKKRADLLQRAEVHVCAFDIETTKLPLKFPDAEYDIIMMISYMIDGQGHLIINRECVGNDIEDLEYTPKPEFEGLFKVQNVKDEKELLRVWFDHMKKVKPGIYVTYNGDFFDWPFLEKRAAHHGIIMSEEIGLQCDQNQGECRAKFSCHLDCFAWVKRDSYLPQGSQGLKAVTKAKLGYDPLEVNPEDMVRFAMEQPQIMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMPPDEVLRKGSGTLCEMLLMVQAHKANVICPNKHQADPEKFYNNRLLESETYIGGHVECLESGVFRSDLPTKFQLDPSAFEQLIRNLDRDLQYAISTEGKMDVDSISNYEDVKKAILDKLTLLRDHPTREECPLIYHLDVAAMYPNIILTNRLQPPSIVSDVVCTACDFNRPGKNCLRKLEWVWRGETFMAKKSDYFHLRNQIESEIIENVDGQSSRPFLDLPKTEQLAKLKDRLRKYCQK >DRNTG_18793.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:328941:332161:1 gene:DRNTG_18793 transcript:DRNTG_18793.13 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVNSLTGKVDYQGWLEAKKRKWKTIREERKRRKLGSIKQPHQSNDSIKLVSSKLSSRHGQDSSGLVTLFRKHEMALIRSHWQVVTIKAHFAL >DRNTG_18793.27 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:334223:334729:1 gene:DRNTG_18793 transcript:DRNTG_18793.27 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFALLLAELRKLGATIIFANFSKIIIDTGKMDLPSAHAYCDCLLKTLQTRDLFEWIELEPMHYWHSFLFMDQVLYCHQEYIVPFNL >DRNTG_18793.25 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:333299:334533:1 gene:DRNTG_18793 transcript:DRNTG_18793.25 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSLFGYDHDMHSGSLASDVNYDEASACVHAFRVLKQLIQRCIADAVTSGNVFADAILQHLYRWLCSPLSKLHDPALHRLLHKVMKKVFALLLAELRKLGATIIFANFSKIIIDTGKMDLPSAHAYCDCLLKTLQTR >DRNTG_18793.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:319163:336768:1 gene:DRNTG_18793 transcript:DRNTG_18793.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDARRRVRGDSRGISKQKLRISAEEELESKLGFSPFTEGEKRLGWLLTVASSSWEDQDTSKIYSCVDLYFVTQDGSTFKAKHKFRPYFYAAAKDKMESEVEAYLKRRYESYIANIDIIDKEDLSLRNHLSGLCRSYLKICFDTVQQLMHVKNDLLHVVERNQSKLDTSEAFESIYSDKSRVERPQDYLDCIIDLREYDVPYHVRFAIDMDVRCGQWYDVSVSVEGVELKKRADLLQRAEVHVCAFDIETTKLPLKFPDAEYDIIMMISYMIDGQGHLIINRECVGNDIEDLEYTPKPEFEGLFKVQNVKDEKELLRVWFDHMKKVKPGIYVTYNGDFFDWPFLEKRAAHHGIIMSEEIGLQCDQNQGECRAKFSCHLDCFAWVKRDSYLPQGSQGLKAVTKAKLGYDPLEVNPEDMVRFAMEQPQIMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMPPDEVLRKGSGTLCEMLLMVQAHKANVICPNKHQADPEKFYNNRLLESETYIGGHVECLESGVFRSDLPTKFQLDPSAFEQLIRNLDRDLQYAISTEGKMDVDSISNYEDVKKAILDKLTLLRDHPTREECPLIYHLDVAAMYPNIILTNRLQPPSIVSDVVCTACDFNRPGKNCLRKLEWVWRGETFMAKKSDYFHLRNQIESEIIENVDGQSSRPFLDLPKTEQLAKLKDRLRKYCQKAYKRVLDKPITELREAAICMRENPFYVDTVRSFRDRRYEYKGLNKIWKGKLAEAKASGNSMMIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVEKIGRPLELDTDGIWCALPGSFPENFTFETKQAKRKLTISYPCVMLNVDVAQNNTNDQYQTLKDPITKTYTTHSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNHDGTLAELKGFEIKRRGELKLIKVFQAEVFDKFLYGSTLEECYAAVAAVANRWLDLLDNQGIDIADSELLDYISESSTMSKSLVDYGEQKSCSVTTARRLADFLGDTMVKDKGLRCQYIVACEPRGTPVSERAVPVAIFETDSEIAKFYLRKWCKISSSVGIRSIIDWSYYRQRLSSAIQKIITIPAAMQKVSNPVPRVVHPDWLHRKVREKEERFRQRKLIDLFAPISKDEAMLEESHVQDLEDAIHEGRTSRIRKQPIAHSYELNKENLMGKPSCVVDGVLPEDQQHNAAMKRLHKPLISVSQNGTSSEFIDRKS >DRNTG_18793.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:329451:336768:1 gene:DRNTG_18793 transcript:DRNTG_18793.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVNSLTGKVDYQGWLEAKKRKWKTIREERKRRKLGSIKQPHQSNDSIKLVSSKLSSRHGQDSSGLVTLFRKHEMALIRSHWQIIQILSSTQLGCYFAWVVADGSMFKVPIKVPRVFYLNSKAPITEEFPGRLVNKILPHNKPNFNLIEVAIDEDQFRAESKKLAIHLADPEVEGIYETKLSLEFNAILHIGCVCKVDKTAKFRNTQEGWALNELHMKTTAECSYLERNVSFFYLYHSLSDGRALYAIYFPVSCTVLVVVVNPFQNRELSSSLLERQFREACQGLSNKSPLRENNTTFKVDYVRSIEAGEQLLQRALIEYRHHHPGPAIGIIECPNIEAMKLGIRTLDDFPCIKIPCNARDNNYQALGWQLAAGKIGMQRCAASLQWFNERIHLSRYVHVPLGNFELDWLLFTADVFFSRALRDQQQILWISDDGIPDLGGSFEGDSCFADEVSQPSLTYPGAYRKLVVELKIHHLAVNALLKSSQVDEMEGGSLFGYDHDMHSGSLASDVNYDEASACVHAFRVLKQLIQRCIADAVTSGNVFADAILQHLYRWLCSPLSKLHDPALHRLLHKVMKKVFALLLAELRKLGATIIFANFSKIIIDTGKMDLPSAHAYCDCLLKTLQTRDLFEWIELEPMHYWHSFLFMDQYNYGGIQAKSLPGSQTEAVIDESEVDIVSSWNIAECLPKMTQDHFVLVVSEFLYIPWKYLREQVASRMSARDENTCTPSITIAASETFQACITEYLKEQISNYFTEKLLRIVRDTLHHLKGKNKMETDDQTSHALEQAAPHPHKGDAALEFIKHVCAVLALDLNVQHDVLRMRKNLLKLVRVKEFSPEAQFHDPSPTFTLPNVICSYCNDCRDLDLCRDSVLMEHEWRCAVPQCGQPYNREQMENALLQIVRQRERLFHLQDVVCLRCRQIKAAHLAEQCVCGGIFRVKEDSSEFHNKMQVFLNIARRQKFQLLQDCTSWILSS >DRNTG_18793.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:332908:336768:1 gene:DRNTG_18793 transcript:DRNTG_18793.11 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCAASLQWFNERIHLSRYVHVPLGNFELDWLLFTADVFFSRALRDQQQILWISDDGIPDLGGSFEGDSCFADEVSQPSLTYPGAYRKLVVELKIHHLAVNALLKSSQVDEMEGGSLFGYDHDMHSGSLASDVNYDEASACVHAFRVLKQLIQRCIADAVTSGNVFADAILQHLYRWLCSPLSKLHDPALHRLLHKVMKKVFALLLAELRKLGATIIFANFSKIIIDTGKMDLPSAHAYCDCLLKTLQTRDLFEWIELEPMHYWHSFLFMDQYNYGGIQAKSLPGSQTEAVIDESEVDIVSSWNIAECLPKMTQDHFVLVVSEFLYIPWKYLREQVASRMSARDENTCTPSITIAASETFQACITEYLKEQISNYFTEKLLRIVRDTLHHLKGKNKMETDDQTSHALEQAAPHPHKGDAALEFIKHVCAVLALDLNVQHDVLRMRKNLLKLVRVKEFSPEAQFHDPSPTFTLPNVICSYCNDCRDLDLCRDSVLMEHEWRCAVPQCGQPYNREQMENALLQIVRQRERLFHLQDVVCLRCRQIKAAHLAEQCVCGGIFRVKEDSSEFHNKMQVFLNIARRQKFQLLQDCTSWILSS >DRNTG_18793.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:323500:325841:1 gene:DRNTG_18793 transcript:DRNTG_18793.18 gene_biotype:protein_coding transcript_biotype:protein_coding MASYSVSDAVATYYLYMTYVHPFIFSLATIIPMPPDEVLRKGSGTLCEMLLMVQAHKANVICPNKHQADPEKFYNNRLLESETYIGGHVECLESGVFRSDLPTKFQLDPSAFEQLIRNLDRDLQYAISTEGKMDVDSISNYEDVKKAILDKLTLLRDHPTREECPLIYHLDVAAMYPNIILTNRLQPPSIVSDVVCTACDFNRPGKNCLRKLEWVWRGETFMAKKSDYFHLRNQIESEIIENVDGQSSRPFLDLPKTEQLAKLKDRLRKYCQK >DRNTG_18793.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:319163:327738:1 gene:DRNTG_18793 transcript:DRNTG_18793.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVKPGIYVTYNGDFFDWPFLEKRAAHHGIIMSEEIGLQCDQNQGECRAKFSCHLDCFAWVKRDSYLPQGSQGLKAVTKAKLGYDPLEVNPEDMVRFAMEQPQIMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMPPDEVLRKGSGTLCEMLLMVQAHKANVICPNKHQADPEKFYNNRLLESETYIGGHVECLESGVFRSDLPTKFQLDPSAFEQLIRNLDRDLQYAISTEGKMDVDSISNYEDVKKAILDKLTLLRDHPTREECPLIYHLDVAAMYPNIILTNRLQPPSIVSDVVCTACDFNRPGKNCLRKLEWVWRGETFMAKKSDYFHLRNQIESEIIENVDGQSSRPFLDLPKTEQLAKLKDRLRKYCQKAYKRVLDKPITELREAAICMRENPFYVDTVRSFRDRRYEYKGLNKIWKGKLAEAKASGNSMMIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVEKIGRPLELDTDGIWCALPGSFPENFTFETKQAKRKLTISYPCVMLNVDVAQNNTNDQYQTLKDPITKTYTTHSECSIEFEVDGPYK >DRNTG_19692.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001040.1:82948:87293:-1 gene:DRNTG_19692 transcript:DRNTG_19692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSPPVSNPEVIRFKHHYGFLLRDRTIFLELTTTILDPRLKKGLEDISMGIWRGEHDWEQDLLRGLDLSRLKMMGSHPFEWHLWKLLHPSSFEGVFLLFCLVEDLGGRDSVSNIHTGLGVNCHDRQRDNLESDDDDDDDDDDDDDDDDDDDDDDD >DRNTG_03531.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21541486:21543468:1 gene:DRNTG_03531 transcript:DRNTG_03531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVAGYYDSLTDEFQNLRLYSGETMQAWVDYDGAEMQLNVTLSPVPMAKPNRPLLSFSMINLSSILSDRMYVGFSSSTGTAAVSHYLYGWSFKMNGMAEAFSPLVLSKAVIKEESKHRKTWLMLAVIMSVALLVGIMGAVAILMVRRRIKFSELLEDWELQYGPHRFSYQTLFKATKGFNDKQLLGVGGFGKVYKGVLSKCNTEVAVKRVSHESRQGMREFIAEIVTLGQLRHRNLVQLLGYCRRKGELLLVYEYMPNDSLGNYLHCKDKPALNWSQRLHIIKGVASGLEYLHEDWEQVVIHRDIKTNNVLLDSELNGRLSDFGLARLYDHNMDPQTTQVAGTMGYIAPELARTGRPTTMTDVFAYGVFVLEVACGRRPLDFKLKDDNQIVLVDWVLENWKNGTIVGSIDQRLGDECNVDASEMELVLELGLLCSHPLPALRPSMKQVVQCLNGDASLPPVSLAFSNFSLQENEGFDKYLLSCPNSSIHSSSADLRCIRIEE >DRNTG_23960.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30799436:30799944:1 gene:DRNTG_23960 transcript:DRNTG_23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSIPSLMPEKKTLAMLAIEEPRRRSCETPRRPTITPEIRRSSADSPRELVRDESSGAVDLDLKTRCAMNP >DRNTG_05760.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000267.1:14523:17457:-1 gene:DRNTG_05760 transcript:DRNTG_05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILTTVFLRYDNMKIIYPNSLLSTKLIGNIYRSPDMGDSIDFCVHVATPVQKLAIMKDRIKSFIESKKEHWYPTPTVMLRDVDDMNRLRISIWLRHRINYQDMGLRWARREMVFQEMIKVLRELDIEFRLLPLDVNVRDMPPLTSPRFPSTWTTYN >DRNTG_05982.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000273.1:79850:83520:1 gene:DRNTG_05982 transcript:DRNTG_05982.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPQHRRRSTWAAALGGPASSCSNQDKRSWISSAPSHPQNASEGNPSRPPWLMSSRFRWSRA >DRNTG_29242.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21463632:21465305:1 gene:DRNTG_29242 transcript:DRNTG_29242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKETAKLLGSSSKLIDSPELEYKRLPSGPSINIQQRSLSDQEVPIKDNIFWKEMLLLLFVWVAFLAVQIIKTRAANCSIEYWVLNALQIPIAGSVTIYEAIGLFKGTRTIASRGRHAVNWKVHQFVLYCFCGILAGIVGGFLGLGGGFILGPLFLELGVPPQVASATSTFAMTFSSSMSVIQYYLLDRFPVPYAAYFVFLATVAAFTGQHIVRKLIVLLGRASLIIFILALTIFISAISLGGVGIAYMVEKLERKEHMGFENLCYH >DRNTG_29242.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21463899:21465305:1 gene:DRNTG_29242 transcript:DRNTG_29242.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLFVWVAFLAVQIIKTRAANCSIEYWVLNALQIPIAGSVTIYEAIGLFKGTRTIASRGRHAVNWKVHQFVLYCFCGILAGIVGGFLGLGGGFILGPLFLELGVPPQVASATSTFAMTFSSSMSVIQYYLLDRFPVPYAAYFVFLATVAAFTGQHIVRKLIVLLGRASLIIFILALTIFISAISLGGVGIAYMVEKLERKEHMGFENLCYH >DRNTG_29242.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21464359:21465305:1 gene:DRNTG_29242 transcript:DRNTG_29242.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPIAGSVTIYEAIGLFKGTRTIASRGRHAVNWKVHQFVLYCFCGILAGIVGGFLGLGGGFILGPLFLELGVPPQVASATSTFAMTFSSSMSVIQYYLLDRFPVPYAAYFVFLATVAAFTGQHIVRKLIVLLGRASLIIFILALTIFISAISLGGVGIAYMVEKLERKEHMGFENLCYH >DRNTG_02491.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000117.1:94106:121035:-1 gene:DRNTG_02491 transcript:DRNTG_02491.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTCAHLRYLDESMDSGEFWASIVQCKVVKHCSISLFTDGCNRGLERYWLSFGEVLARATTSRSIWKKVSGRALVGIDLARVKGGGFWRKLLGKFLEPTSTSSEEARSHILEKGNQSEKLGLARSSSISSLGACRRQGKPPRSRCQWKPSISLGLSSHFYSAFELEGMMSTHILDSWRRSEHYNVARTIPLEEHDVHDLFTHNMKSNNVLPLVELIPPVLSSISIGEKERNKSDNSSVLVHRDEEEIDLMGKSDSGSSGYLHSNSFSYAPLPLGDDALVSPKDRRRRMAGRPQDYVVEATQEAKKKFPLKVFQDP >DRNTG_19681.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4646269:4649185:-1 gene:DRNTG_19681 transcript:DRNTG_19681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTMSSFFPSVLLLLSCLFFSSIAASPLGSGDGYTITGRVKIDGTGTRGFGLPAKLSNAKVILNGGQRVSFIRADGYFSFYNVPAGTHLIEVSAIGYFFSPVRVDISARNPGKVQAALTENRRVLHELVLEPLKEEQYYEIREPFSVMSLVKSPMGLMLGFMLIMLFVMPKMMENMDPEEIRRAQEEMRSQGVPSIANLLQGRSS >DRNTG_32285.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001817.1:9833:12139:1 gene:DRNTG_32285 transcript:DRNTG_32285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWSGHVFSGGYLIKPWAHFAESMSMKAPPITIFRRNVVLKTIEPLQKPSQRLSKFKLSYVDGLVDCLVFSKGPIVRPRAHLAGSVPTKAQLITFFQVKRDVEAVKPLPIPIKTLAAALLKVAEKMGKRMLKSG >DRNTG_00262.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5315733:5317409:-1 gene:DRNTG_00262 transcript:DRNTG_00262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYTWISPHSSLSPFSPPRSLSFTTLSTKKHLYF >DRNTG_27666.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001403.1:6285:6943:-1 gene:DRNTG_27666 transcript:DRNTG_27666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRCNLCLSVYYPLHVPPILFPRAAQTPWLEAAIFVSQFTLPLRSWKSCKERGKEIEKPAVAMYSSLFGGITLDPSLMRIPIDNDMVHSGHGVFGTPVLIDG >DRNTG_30127.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3165933:3168557:1 gene:DRNTG_30127 transcript:DRNTG_30127.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g57430, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57430) UniProtKB/Swiss-Prot;Acc:Q7Y211] MASTLLLSPPPPPLQLPSLQTPPPNSSSPPQRRPLPTPSSWTETLRSHARANSFQEALSTFISMTSAGVSPDHFAFPAALKAAAGLKDPNAGRQLHAAVVKSGYHSSPVTVSNTLLTMYAKCDDIGSAFKVFDRMPEKDQVSWSSMVAALCMFEEWELALEAFRMMQEDDLDPSSFTLVSVVQACSNLSKSEGLRLGKQVHGYGLRNGFYSDGKTFTYNSLVSMYAKLGKVCDSVALFDRFDNRDMVTWNTMISSLAQNERDEEALALVNQMVLSGIKPDGVTLSSVLPACSRLELFSTGKEIHAYALRNDGLFENSFVACALVDMYCNFGKVDKGRYVFDRVSEKRLGIWNAMISGYAQNGLYEEALDQFIQVETIAGLMPNATTLASVLPACVCSETFPDKESIHGYVVKRGLEGDKYVQNTLMDMYSRVGKLDVARRIFNCMEARDVVSWNTMITGCIICGHFSEAFYLLSQMQRTRNTDDEIDTDAVVHTNYKPNNISLITVLPACAILAALAKGKEIHAYAVRNFSASDVAVGSALVDMYAKCGCLTLSRRVFDRMSKRNVITWNVLIMAYGMNGQGEEALRLFQDMVIGGEAKPNEVTYIAVFAACSHSGMVDKGVDLFHKMRKDHEVEPTAEHYACVVDLLGRAGKLEEAYHLITTMKPGIQQAAAWSSLLGSCRIHRNVKLGETAANHLFELEPNVSSHYVLLSNIYAAAGLWDKAMEVRNKMKELGVKKEPGYSWIEVGDQVHQFRAGDSLHPQSAEVHAFLEKLWSKMRKEGYVPDTSCVLHNVDEDEKELLLCGHSEKLAIAFGILNTAPGTPIRVAKNLRVCNDCHTASKYISKIEGRDIILRDVRRFHHFRNGFCSCGDYW >DRNTG_10751.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26079173:26083266:1 gene:DRNTG_10751 transcript:DRNTG_10751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKLLLIFFSVTLLFSSSSGADADVIAALAISLTNTPPSWKPNSDPCTSKWQGINCDSSGAVTEINLGSKSLGGSLPPNLNKLSSLKSLQLQQNQIAGSIPSLSTSLQIVALDGNLFNSIPNGFFSGLASLQKISLDDNPLDPWSIPDDLSQCNSLTDFSASNASINGTIPDFLGTMPSLQTLRLSYNQLTGGLPLSFGSSGLQNLILNNQGSGAKLSGRIDVIGSATQLSMIWLQSNSFTGPIPDVSNLTNLLSFNVRDNDLTGVVPESLLTCPTLTNVTLSNNKLQGPFPQFSSSAKLDVDKGNNFCSKTPGPCDPKVMILLSIAGGFGYPIDLATSWNGNDPCAGWAGVACDASKNIQVLNFANKHFTGIISPDVANLTTLTKLILNNNSLTGKIPEALASMPLLQLLDVSNNNLSGSKPNFKSSVTVKLSGNPSLGDGSGSGGSPDQSSGDNNGSPGVSPSSGDQSGKKSSSSAGLIAGVVIAAVVVAGCFAGCFYYNRHKRTARKFGRVQTQSPPHEPEMVKIGIMGMQSNGAASSELYSHSSTDSAGTYLVENHSMSMSIQALRIATNNFSEDYILGRGGFGVVYKGDLNGTLVAVKRNESLGSKGMAEFHAEIDVLRKVRHRHLVGLLGYCIDGNERLLVYEYMPEGTLGQHLFEWNEGRYAPLSWKQRLTIALDVARGIEYLHSLAQESFIHRDLKPSNILLDKDMRAKVSDFGLVKLADNTKSLATRLAGTFGYLAPEYATTGKVTTKVDVYAYGVILMELITGRRALDETRPEDETHLVTCFRRNIINKEKFMKTVDSFLDLDDESREGLLEVAELARHCTAREPYQRPDMGHAVNVLAPLIEQWKPTSYDEGDLCDSGTNLAQRMQKWQLGDGSSTTDLFGSYRGSIENSRVASMMRN >DRNTG_28807.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28723999:28730101:-1 gene:DRNTG_28807 transcript:DRNTG_28807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIMQKIKDIEDEMARTQKNKATAHHLGLLKAKLAKLRRDLLAPPSKGGGGAAGEGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVASYEFTTLTCIPGVIGYRGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNCILIVLDAIKPITHKRLIEKELEGFGIRLNKEPPNLTFRKKDKGGINFTSTVTNTNLDLETVKAICSEYRIHNADISLRYDATADDLIDVIEGSRIYMPCIYAVNKIDQITLEELEILDKLPHYCPVSAHLEWNLDGLLEKIWEYLDLTRIYTKPKGMNPDYEDPVILSSKRRTVEDFCNRIHKDMLKQFKYALVWGSSVKHKPQRVGKEHELEDEDVVQIIKKI >DRNTG_28807.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28723999:28728125:-1 gene:DRNTG_28807 transcript:DRNTG_28807.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCIYAVNKIDQITLEELEILDKLPHYCPVSAHLEWNLDGLLEKIWEYLDLTRIYTKPKGMNPDYEDPVILSSKRRTVEDFCNRIHKDMLKQFKYALVWGSSVKHKPQRVGKEHELEDEDVVQIIKKI >DRNTG_29086.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20926026:20928462:-1 gene:DRNTG_29086 transcript:DRNTG_29086.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWAGPEDVFLSTSLASYLDKKLLVLLRDGRKLLGILRSFDQFANAVLEGACERVIVGDLYCDIHLGLYVIRGENVVLIGELDLERQELPAHMICVSEAEIRRAQKAERDATDLKGSMRKRMEFLDLD >DRNTG_33259.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22159951:22164416:-1 gene:DRNTG_33259 transcript:DRNTG_33259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHRVGDDDDEDLETLVSHQRRELVAAMDAESDLDFAFRLQLEETMAASLALQPSSSASHQKLECTPASADDEISGVIALQTLEFDRYEQAVKDTEQCHAEMRHIKEDLRRRTHDMQVAQEIDDMPDDEWDSIGNEYERPIGVEEEVPFRLYFKGMSSQDMVQGVPVQYAAIAIAICDQRDELVLKIQKPVASGICHKMLEANALIEGLNAVISLGIKRVNVFCDFKALHNHVIGKWAVKQRKVANLIDQIKLLQRKVESCGMFLVPRCHVRFVFQLVRDAIDAQIRKNVEQNNCKVPAETCSICLEDTDSSQMFVVDGCSHRFCFSCMKQHVEVKLLHGMLPCCPREGCNKGLNLDGSRKFLSPRLLEIMAHRLKEASIPPTERLYCPYPQCSALMSVSEAAKCSQQESSSKQQFGDTSGLRKCAKCTRNFCIKCKVPWHDRVSCRDYKRLHPFPRAEDAKLQFLAREKLWRPCIKCGNMIELAEGCFHITCRCGYEFCYTCGAEWREKKATCNCPLWEEDNIWFDEDEDDEDDDFSDEEDDDF >DRNTG_14844.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23042485:23043197:-1 gene:DRNTG_14844 transcript:DRNTG_14844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADQFDEFDPKERAHKVSFFNWWSFSIFLGAFFATTILVYVQENVGWTLGYALPTLGLFISSIIFVIGTPFYRHKLPSGSPFSKMAKVLVATLRKWRIELPDDRKELHELALEQYYNTNGNFRIEHTPTLRILDKAAVKSGPTSPWILCTVTQVEETKQMLRMMPILVTTFIPST >DRNTG_30772.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3719646:3720489:1 gene:DRNTG_30772 transcript:DRNTG_30772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSQDTASGGRSSANGSGAGWAYGSGFTQDPRNGTGFGFGWYASSGSTNAGGGGGGGGGGGGWSGFGSGSGSGSGSGFGYGGASGGGGVGREREFGFGFGFGPFGSGFSGFGNSGRSGSN >DRNTG_30772.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3719592:3720295:1 gene:DRNTG_30772 transcript:DRNTG_30772.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSQDTASGGRSSANGSGAGWAYGSGFTQDPRNGTGFGFGWYASSGSTNAGGGGGGGGGGGGWSGFGSGSGSGSGSGFGYGGASGGGGVGREREFGFGFGFGPFGSGFSGFGNSGRSGSN >DRNTG_30772.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3719646:3720295:1 gene:DRNTG_30772 transcript:DRNTG_30772.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSQDTASGGRSSANGSGAGWAYGSGFTQDPRNGTGFGFGWYASSGSTNAGGGGGGGGGGGGWSGFGSGSGSGSGSGFGYGGASGGGGVGREREFGFGFGFGPFGSGFSGFGNSGRSGSN >DRNTG_30772.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3719646:3720232:1 gene:DRNTG_30772 transcript:DRNTG_30772.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSQDTASGGRSSANGSGAGWAYGSGFTQDPRNGTGFGFGWYASSGSTNAGGGGGGGGGGGGWSGFGSGSGSGSGSGFGYGGASGGGGVGREREFGFGFGFGPFGSGFSGFGNSGRSGSN >DRNTG_25714.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17301438:17303270:-1 gene:DRNTG_25714 transcript:DRNTG_25714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDERMKAMKEVGATNIKECKGMEREWITKDVWDALVDNEWGTDASQSKSGKAKTNHLTKKEGSITKHTGDSRPFTVHGIKLAEKLGREVGYSEIFQATHKRKGGEGEFIDNKSRVVNEKYNAALVDKYGDDTSVHPSFDDSRASCLVRHLHVLPHLI >DRNTG_23047.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3313496:3315928:-1 gene:DRNTG_23047 transcript:DRNTG_23047.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKKKTEVVIVGAGLAGLATAACLTMHAIPHVILEREDCIASLWHKKSYDRLHLHLAKQFCQLPHLPHPSSCPTFMSKNHFITYINDYVACFNLCPRLRCEVESAFFDDEDGKWQVVVRNLETGEVEEYVARFVVVATGENDKAVVPEFPGIESFPGEVIHSMDYRNGSKYKGKDVLVVGCGNSGMEIALDLSNFGAKATIVVRNELHVVPRELLFWIMLLRKFLPIYLLDALLLFLCYFKYGDTAKYGIQRPKQGPLHLKETTPIYPVIDVGTFQKIKSGHIQVLPSVKSIKGNNVRFKNEISHQFDAIILATGYKSSAKEWLKGDDYLIGEDGISKQKFPNHWKGRHGLYCAGLVRKGIYGLANDAEKIANDINTLLKDDDAKKMN >DRNTG_20671.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001137.1:5500:6825:1 gene:DRNTG_20671 transcript:DRNTG_20671.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANVKYKNIWGKSASEVAVRLECSTSVPCRHIIFDEIHLVGEEGEQTNGLCQNVMWNEIGNVYPTC >DRNTG_34916.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20722808:20735644:-1 gene:DRNTG_34916 transcript:DRNTG_34916.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMBRYO DEFECTIVE 140 [Source:Projected from Arabidopsis thaliana (AT4G24270) UniProtKB/TrEMBL;Acc:F4JQ75] MNVLLVQALKILLMLRKLYERGVYEYLSVPLWCDYLDFVQEHDQSVSQCSPTGISKMRNLFERAITAAGLHVVEGNGIWEAYREFEQAIFLTIAEDNNEEKAKQVNRIRSLFHRQLSVPLVDLKSTLMAYKLWEVEQGNAKDIDSADMDGIPSNVVSSYQKAMEMFKARTIYEDPLLNKEATDNDKLQHFMNYIKFEESSGDPARVQILFERAVSELPVSSDLWVAYTSYLDRTLKVPNVLKNVYSRATKNCSWVGDLWFRYMLSLERICASEEELSAVFEKSLQCSFPSFKEYMELFLTRVDGLRRRVSVVGTNDGFQGHTLIRDVFQRAVDYLSPDMVSINDLLNLHAYWARLEAKLGNDLVAARGVWESLIKKSGSLLEVWQAYIAMEIEMGHIIEARSIYKRCYSKRFPGTGSEDICYSWLRFEREYGNLDDYDLAVKKVTPRLQELIMFKNQQEHKNSIMSAQKDSTKDVSHKRIMTNTASDKQSPAKKQKHSSPKSVKTSYKDSIKQSDGVRGLGENDEVPSLPEVPESHAIDEKAAGSSNSNKTKSKFYKDQCTAFISNIGFQANEGHLGEFFADCGGVTAIRILKDKFTGKSRGLAYVDFSDEEHLKAAVAKNRQKLLGQKLSIARSDPARGNKRTTSGKGPGQPDQGQSSNIYRRGTTSSSGADVLKSYETNIKPKGSNTFAAPRAVVRPLGWTDGKAKPKESLEPPKSNEQFRDMLLKK >DRNTG_02009.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000099.1:89151:92939:-1 gene:DRNTG_02009 transcript:DRNTG_02009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVLDPAKLVPLAIILFHHLNSLVQYEAASTWGYNTDHHALMEFKNGVASTGDHLATVLSSWNDKIHFCDWQGITCNQNSRRHQQRVTALSLPSQRLTGSLSPYLGNLSYLQELNLGNNFLQGEIPQELGKLSLLRILNVTDNHFGGQIPSNISNCKALTHLDLGNNELQGEIPMEITKLSKLKTLHLLVNNLTGPIPQSIGNLSSLTTLTMGRNTLSGSLPAGIGMIPQLQFLQIAENQLHGVIPPSIYNLSSLTFIALATNKLQGSLPPDIGQLLPNLSTLYLGDNQITGSIPASITNLSSLANLDLAYNNFTGPVPTDLGRLSNLVWFNLEGNQLGFRDKYGLDFITSLSNCTSLETLDIYNNNFESQLPISVANLSTRLSMLILAGNKIHGHIHEGITNLVSLTVLRLENNDLQGPLPITIGRLENLQLLSASSNKLSGQIPSSIGNLTQLIDLRLADNLLQGGIPSTLGNCKILQLFDLSENMLNGTIPPQVIGIPSLEIFFGVSGNSITGSLPAEVGKLQHLREIDLSENRISGEIPGALGDCQSLEYLHMQGNIFQGVIPISLNNLKAIQYLDLSRNKLSGRIPEYLGKLHFLAYLNLSSNNLEGLVPQSGVFEIASAISIQGNTNLCGGAGFLRLPACPNEISINKRSKRSKWVIVLVVCVSSGVTLLSCLGVIFYCRKRSTERLPSPTVASLLVESYMTISYKELFDATDGFSVANIVGEGSYGTVYKGILGNRGTMIAVKVLNLQQQQGAAISFLRECEALRHIRHRNLIKILTTCSSIDLNGQEFQALIYEFMSNGSLEEWLHPDITRLQDRKVLQIIDRLNISIDVATALDYLHHQCQAPIVHCDLKPSNILLDDDMTAHVSDFGLAKILLSNVQEATETIGVKGSIGYVPPEYGMGSGVSIKGDVYSYGILLLEIFTGKRPTDNMFTGGFTLHSMAEKAYPDQLLEIIDPQLLTQGEKPSNSRMQECLASVIGISLSCTKESPGERMEIKYVARNMQAIREGFLKGMD >DRNTG_14367.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:364548:365161:-1 gene:DRNTG_14367 transcript:DRNTG_14367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKLGLVMFILFIGCGFFFVLYISFVWRV >DRNTG_02524.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8072773:8073166:1 gene:DRNTG_02524 transcript:DRNTG_02524.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKLHKLTPGSGSGTHMAQGYVGTFNMSEELSKRVKRH >DRNTG_11355.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23759145:23762914:1 gene:DRNTG_11355 transcript:DRNTG_11355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTSDCLGAETLIKLLKNYSRSHELKVSITVGIVGLPNVGKSSLINSLKRSHVVNVGATPGLTRSMQEVHLDKNVKLLDCPGVVMLKSSDDDASVALRNCKRIEKLEDPVGPVKKIIDLCPAERLMSLYKLPSFSSVDDFLYKVATLRGKLKKGGIVDVEAAARIVLHDWNEGKIPYYTLPPVRNQVDNVEAVIVSEFGKEFNVDDVYKTECSFIGTLPSVEEFNHVEVPPSSPPNFDEQMMDEGAVEQNEVTQNDESMARDSKDPEETKQNTSNRQK >DRNTG_24877.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32736160:32737460:1 gene:DRNTG_24877 transcript:DRNTG_24877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLLLAYTLIIAFYFSVKRKEKQAQTYLDAVGFNHQSFSHRQLIEATNNFSEELGRGSFGIVYKGVLRRETANINVAVKKLDRLSKDAEKEFMAEVRSIGQTHHKNLVRLIGFCNEGENRLLVYEFMSNGSLASFLFGETKPEWNKRVKIILGVARALFYLHEECTSSIIHCDIKSQNILLDDNFVARISDFGLAKLLRADQTRTSTGIRGTKGYVAPEWFKNMPVTTKVDVYSFGVLLLEIICCRKNFKQELASDHEEGEVILVYWVYDCYRNGRLDLLLVASDREAMIDRRRLERFVMIAIWCIQEDPSLRPSMQKVTLMLEGSVAVPVPPDPSSFMSSIQ >DRNTG_08391.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4620829:4625084:-1 gene:DRNTG_08391 transcript:DRNTG_08391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRSYVARKKSEATFRIVHAEIKDDNMPPIEAILAHLKVELKLDRQEDDNRALDWLTKSKEAALLESERIVQIALTKASILDDLQNKNQELMKHIEIYLVKE >DRNTG_21892.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19596569:19600157:-1 gene:DRNTG_21892 transcript:DRNTG_21892.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNFMITRVKEMVIFLVLLYIASSSAVVVGKQSNPFPGEELQVEAKLRLLNKPFVKSIQSEDGDIIDCVDLYKQPALDHPLLKNHSIRISPSFDPTNGDSSTPLILNYSLPFSQVWRRSGSCPDGTIPIRRVLKHHLLNASSLERYGKKNTNVITKRDFRMLEHTFAVGIENYHTAAALITAGYSYIGAKADINVWNPRVEADDEYSTAQIWLRNGPFNNSDSIEFGWIVNPSVYGDRQTRLFIYWTNDSGKSTGCFDLLCPGFVQVNKDILLGGTIYPTSAFHGQQYHISLEVVRDFDQNVWWLISSTDNIMIGYWPCDIFSYLYNIAVIVEWGGDVYSPRMHQEPHTATAMGSSNFASDHWSFACFIHQPRIKDYSMTYKYPYPSFPFTPDVNCYSGENYAETLFTEPLFYFGGPGRNLYCP >DRNTG_21892.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19596569:19600157:-1 gene:DRNTG_21892 transcript:DRNTG_21892.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIGYWPCDIFSYLYNIAVIVEWGGDVYSPRMHQEPHTATAMGSSNFASDHWSFACFIHQPRIKDYSMTYKYPYPSFPFTPDVNCYSGENYAETLFTEPLFYFGGPGRNLYCP >DRNTG_21892.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19596569:19600157:-1 gene:DRNTG_21892 transcript:DRNTG_21892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNFMITRVKEMVIFLVLLYIASSSAVVVGKQSNPFPGEELQVEAKLRLLNKPFVKSIQSEDGDIIDCVDLYKQPALDHPLLKNHSIRISPSFDPTNGDSSTPLILNYSLPFSQVWRRSGSCPDGTIPIRRVLKHHLLNASSLERYGKKNTNVITKRDFRMLEHTFAVGIENYHTAAALITAGYSYIGAKADINVWNPRVEADDEYSTAQIWLRNGPFNNSDSIEFGWIVNPSVYGDRQTRLFIYWTNDSGKSTGCFDLLCPGFVQVNKDILLGGTIYPTSAFHGQQYHISLEVVRDFDQNVWWLISSTDNIMIGYWPCDIFSYLYNIAVIVEWGGDVYSPRMHQEPHTATAMGSSNFASDHWSFACFIHQPRIKDYSMTYKYPYPSFPFTPDVNCYSGENYAETLFTEPLFYFGGPGRNLYCP >DRNTG_21892.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19596569:19600157:-1 gene:DRNTG_21892 transcript:DRNTG_21892.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSITSPWKLSGYILIRLSIHSHTHSNKMQISTELLVVSFTHIHSKKYTQDFDQNVWWLISSTDNIMIGYWPCDIFSYLYNIAVIVEWGGDVYSPRMHQEPHTATAMGSSNFASDHWSFACFIHQPRIKDYSMTYKYPYPSFPFTPDVNCYSGENYAETLFTEPLFYFGGPGRNLYCP >DRNTG_07294.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3543884:3545459:-1 gene:DRNTG_07294 transcript:DRNTG_07294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFRITFLQAILFAGAVSGLLSRFAVAQNCGCASNLCCSKYGYCGTGDAYCGDGCQQGPCYSSGSGGGSVGNIVTQAFFDGIANQAGAGCAGKGFYTRDAFLSAASAYSQFGTTGADDVQKREIAAYFAHVTHETGHFCYIDEINGASQNYCQASTAYPCNADKKYFGRGPLQLTWNYNYIDAGNALNFDGLNAPETVGSDRVISFKSSLWFWTAKKVHDAITSGQGFGATIRIINGGVECDGKNTDQMNARVGYYKDYCSQLGVAPGDNLTC >DRNTG_07760.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19416364:19417741:1 gene:DRNTG_07760 transcript:DRNTG_07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGKQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGELEEEKEGELVVSKIFYQTQPRQCHLKTDNLVFDEVEEQQREAAFHVSRPSNPISTVIPPTPLHQTSIVLDDPFRMSFQQQQHQRMIERSGSGLEDVIMGCTSTENKGESSMQQSQETEWLKVTHLIGYLTILITMDSSRKE >DRNTG_25940.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001341.1:30578:37111:1 gene:DRNTG_25940 transcript:DRNTG_25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWLPSEFTIQAMLMMVGLSSLAFFSNVDFPVPMMTTASKIESQGRALLQWKATLETQELLNTWMSNTSPCNWTGITCRNDNHLMQTITKIQLAGMGLEGKLETLNFFALPSVRVLDLRDNHIHGSIPATISALSKLSALGLSNNNLTGIIPSELGNLTRLKTLVLYENQISGSLPPFLGKLLNLNFLVISTNFLVGSIPQEFGNLTNLKVLHLWRNGLNGSIPHEIGNLVNLIDFEISQNQITGPIPHSIGNLTKLETLYLFSNNINGSIPSEIGNLVNLIDFATNENQITSPIPHSIGNLTKLETFYLSDNGINGSIPYEIGNLLNLRDFEIFDNQMIGPIPHSIGNLTKLEILYLYGNIINGSIPSEIGSIVNLRDFQMYDNKITGSIPHSIGNLNNLEIFYLHNNNINGLLPNEMVNLTNLIDLQLVNNSLSGNVPTDLAKGALLQYLSLAYNNFQGPIPISLKNSTKLVRVRLERNHFTGDVSKSFGVHPQLDFIDLSFNRLSGTLLPSWGACLNLTSFTISSNRISGEIPWEIVQLPKLQLLDISSNNLVGKIPKAFYKLSHIFHLNMSNNHLTGTIPIEFGNLSSLEVLDLSSNNLKGEIPVQLENCLKLDLLKLSNNELNGSIPFQLGNLNLHQVLDLSENLFTGEIPLQLSKLIMLQELNLSNNELVGRIPSSFQLMTGLASLDVSYNHLEGPIPKNHFFQTAPIKWFAHNKGLCGQVHGLPPCDQSWSTSKGDAKKHHKIIILIAILVFGILFIIFLAIGLFTLLYYKRKRSTMNDTKEEFDGHFFSIWRVNHGKEAYKEIIRATENFDEKYQIGTGAYSMVYKATLSSGKTLAIKKIQEEEAQVNEQAFKNEIQALTKIRHRNIVRFYGFCSTNKFNFLAYEYMERGCLHATLKSEQEAMKLDWIKRAAIIRDIAQALSYLHHDCIPPIVHRDITSNNVLLDEEYKAYVSDFGISRLLKPNSSHWSLLAGTYGYMAPELAYIMRVTEKCDVYSFGILALEVIHGTHPGDLLSNLSLSMLVKDMLDPRLPFHIADQATTNQVLSVILIAMQCINTDPQARPTMQQASQRLSSPKSLQAFDICSFPTLTLDYLENIVQVHIDDQVHG >DRNTG_25940.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001341.1:33733:34676:1 gene:DRNTG_25940 transcript:DRNTG_25940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTEKCDVYSFGILALEVIHGTHPGDLLSNLSLSMLVKDMLDPRLPFHIADQATTNQVLSVILIAMQCINTDPQARPTMQQASQRLSSPKSLQAFDICSFPTLTLDYLENIVQVHIDDQVHG >DRNTG_12883.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20693541:20696793:-1 gene:DRNTG_12883 transcript:DRNTG_12883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDKQKDGLNISDLSAALPANVPGLSAEDRADLVNALKNKLHSLAGQHSDVLESLPTKVRKRVEVLREIQSQHDELEAKFFEERAALEAKYQKLYEPLYTKRYEIVNGVVEVETVKGEGTEEAPAEEKETEEKGVPDFWLTAMKTNEVLGEEIQERDEAALKYLKDIKWYRIDNPKGFKLEFFFDTNPFFKNSVLSKTYHMIDDDEPILEKAIGTEIEWHPGKCLTQKILKKKPKKGSKNAKPITKTENCESFFNFFNPPQVPEDDEDIDDETAEQLQSQMEQDYDIGSTIRDKIIPHAVSWFTGEAVQGEDFEDLGDVDEEDGDEEDENEDEDEEEDDEDEDDDEEEVKTRKKVRWLCYVYLFLCHRPS >DRNTG_21408.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:663233:664152:1 gene:DRNTG_21408 transcript:DRNTG_21408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVDYDAPGHWCTTMAYSADGSRLFSCGTSKDGESHLVEWNETEGSIKRTYYGLKKHSFGFVQFDTTRNRFLAAGDEFMIKFWDMDNTNILLTIDADAGLTASPRLRFNKEGSLLAVTTNENGIKILANADGQHLIRMLETRPFVGECVEYLELAVGVK >DRNTG_21408.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:663502:664152:1 gene:DRNTG_21408 transcript:DRNTG_21408.2 gene_biotype:protein_coding transcript_biotype:protein_coding RLFSCGTSKDGESHLVEWNETEGSIKRTYYGLKKHSFGFVQFDTTRNRFLAAGDEFMIKFWDMDNTNILLTIDADAGLTASPRLRFNKEGSLLAVTTNENGIKILANADGQHLIRMLETRPFVGECVEYLELAVGVK >DRNTG_27107.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14526218:14528441:-1 gene:DRNTG_27107 transcript:DRNTG_27107.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITKMKKTKIFNRESWGEGDGERRGGGEGDASNRRRCSCSSGGKKR >DRNTG_27107.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14526218:14527517:-1 gene:DRNTG_27107 transcript:DRNTG_27107.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITKMKKTKIFNRESWGEGDGERRGGGEGDASNRRRCSCSSGGKKR >DRNTG_34828.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16859099:16859715:1 gene:DRNTG_34828 transcript:DRNTG_34828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTVIEDILSISGFVWNNEHSNIKFFTKDRAQSNARGALGDDVDQYMHENISLDNNAGFSQFHMEDVFMPTHEPVEPPSPITSDNGALSSSAQKKKYVQKNLNIASISEIFCNFVDMVGPGFKALAECDFRNANAYALRDAAHKEV >DRNTG_05635.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6275457:6279344:1 gene:DRNTG_05635 transcript:DRNTG_05635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVKLLGLVLLALVRLVFGSEEWHGNGTEVESELNVWTAPRPLRVGLTLIPSAVAQGAVCLDGTPPAYHLHRGHGTGANSWLIQLEGGGWCNDIATCVHRKNTHRGSSNYMERVIEFTGILSNKPEENPDFYNWNRVKIRYCDGASFSGESYNKAAGLYFRGQRIFSAAMEELMSKGNALCQPCSSFWLFCRWCSIDNTL >DRNTG_12149.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11862315:11874474:1 gene:DRNTG_12149 transcript:DRNTG_12149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKSKTRYIGSEDITPDLVKQEDSKREVFGATPHVGLTQLTPKPIASLTSPNCTPFPLKRFLARLPLIEAWRPYVLLILAISIPLLLLESLFSHLIFVNRARVLIKGSLNQLQEKHVSRRMEAADVDESLSPRQRSQRKSGGVVDSQVMLQPRTWQGRGMRLARIKVKVANPGIKALPAPNPPQHSQQIIPAPRRISFNEMQLRKAKGCVSTRSSPAIGTGGKYSRISSNFQFVITGSIATRPRIKRSTDLPLGFRYDGMFKILEVADMHFGKDVLDSEFGWCSDLNTMRFLRRMIEAERPDLIVFTSIDSLLYYFSDKIFDFKLVSSIGADNGVWRAVMNNEVVQELRKSLRG >DRNTG_15380.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26947069:26950441:-1 gene:DRNTG_15380 transcript:DRNTG_15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor SPF27 homolog [Source:Projected from Arabidopsis thaliana (AT3G18165) UniProtKB/Swiss-Prot;Acc:Q949S9] MAGREVLMLEAPPDAALEGWSAVRGDEDIDALPYIDEDYGNPRVKAEVDRLVEEEMRRSVKKPADFLRDLPPMPKFDFENHPMLAREYERVRAGRPSATLEMSRYGLEPPPINKRNDVSAWRQAVRNAQTLVQHQIIRIENLDLMVKYGVDVWKHQNKQMESFLSRLQAIALEYNENIENVNRERKFHQQNTGVELDALSAQWTELCLKNIGIQAACAELENHIEHLKMEAKESGLDLNPNMETAPATS >DRNTG_34897.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21816561:21817492:-1 gene:DRNTG_34897 transcript:DRNTG_34897.1 gene_biotype:protein_coding transcript_biotype:protein_coding ETLGFAWVIGGEPRRDRRVVHGIVEAPCLPSSYPQLLRLLLRERREPPRRLRSTPFLFSYSSSALAGLDIVRLRRPPEQRGPPSTTASSTNACTQAPLPTIVSGSRCARPDHRRTEGKHDPGRHFDPKLRKIFLNFV >DRNTG_34897.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21816749:21817600:-1 gene:DRNTG_34897 transcript:DRNTG_34897.2 gene_biotype:protein_coding transcript_biotype:protein_coding ETLGFAWVIGGEPRRDRRVVHGIVEAPCLPSSYPQLLRLLLRERREPPRRLRSTPFLFSYSSSALAGLDIVRLRRPPEQRGPPSTTASSTNACTQAPLPTIVSGSRCARPDHRRTEGKHDPGRHFDPKLRKIFLNFV >DRNTG_34897.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21816683:21817492:-1 gene:DRNTG_34897 transcript:DRNTG_34897.3 gene_biotype:protein_coding transcript_biotype:protein_coding ETLGFAWVIGGEPRRDRRVVHGIVEAPCLPSSYPQLLRLLLRERREPPRRLRSTPFLFSYSSSALAGLDIVRLRRPPEQRGPPSTTASSTNACTQAPLPTIVSGSRCARPDHRRTEGKHDPGRHFDPKLRKIFLNFV >DRNTG_34897.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21816749:21817492:-1 gene:DRNTG_34897 transcript:DRNTG_34897.4 gene_biotype:protein_coding transcript_biotype:protein_coding ETLGFAWVIGGEPRRDRRVVHGIVEAPCLPSSYPQLLRLLLRERREPPRRLRSTPFLFSYSSSALAGLDIVRLRRPPEQRGPPSTTASSTNACTQAPLPTIVSGSRCARPDHRRTEGKHDPGRHFDPKLRKIFLNFV >DRNTG_17296.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31802535:31804516:1 gene:DRNTG_17296 transcript:DRNTG_17296.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTIHLHSLNEQYYKKKTKDRTQKANN >DRNTG_22169.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3759686:3762137:1 gene:DRNTG_22169 transcript:DRNTG_22169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVHEFASMNFIIFFIAPLLILLSITTLRNRRKLPLPPGPLGLPIIGNMLMMDQLTHRGLANLAQKHGGLFHLRLGFLHAITVSTPDMARQVLQVQDNLFSNRPATIAISYLTYNRSDMAFAHYGPFWRQMRKLCVMKLFSRKRAESWASVRDEVDRAVRAAASVSPGSAVNIGELVFNLTKNITFRAAFGSQSHEEQDEFISILQEFSKLFGAFNIGDFIPWLRLMDLQGINKRLKKARLSLDKFIDHIIDEHIANPKEGDDVDGDMVDDMLAFFEDYGSSEKKDSAGDELQATLKLTRDNIKAIIMDVMFGGTETVASAIEWAMAELMRSPDELKRLQQELTDVIGLDRKVHESDLDKLPFLKCVTKETLRLHPPIPLLLHETATDCELAGYFIPARSRVMINSFAIGRDPSSWKDPNTFRPSRFAPGGEASGIDFKGNFFELLPFGSGRRSCPGMQLGLYSLELAVAQLIHCFKWELPEGMKPAELDMGDVFGLTAPRAVRLVAVPSPRLACTLV >DRNTG_33567.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28649133:28652418:1 gene:DRNTG_33567 transcript:DRNTG_33567.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 10 [Source:Projected from Arabidopsis thaliana (AT2G18290) UniProtKB/Swiss-Prot;Acc:Q9ZPW2] MATESEGEEDAATAAGKLTGIPQLSVEDDLREMANKAAWSVSSCKPGNGVLSLRDDNLDTYWQSDGAQPHLVNIQFQKKVKLQLLVLYVDFKLDESYTPSKISIRAGDGFHNLKEIKTVELVKPVGWVHISLSGTDPRETFIHTFMLQLAVLSNHLNGRDTHIRQIKVYGPRPNPVPRQPFHFTSPEFITYSCLR >DRNTG_26163.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29264480:29267365:1 gene:DRNTG_26163 transcript:DRNTG_26163.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCX5 [Source:Projected from Arabidopsis thaliana (AT1G08960) UniProtKB/TrEMBL;Acc:A0A178W3U3] MASSSSSSAILRLISITITITLLLLLFSRSSSPLPRRHLLRSSPPSPTHCSSILSLSPSLLNYTSLHSCLFPSGSPLVLPFLVLLLLAHFSLLVRAASAHFSPAVASISSRLRLSPSIAAVTLLALGNGAPDAFSSSAALRSGLPRTGLAAILSAGAFVSAFVVGSVALLSGPFSVPPGPFVRDVFFYLLAVSGLFYVYLSAEIYLWQAVGLVCFYVFFVGLVFWMDLVVEGRGGKVAREDEEEVEVEMGLVEDVKARHPISLNKMQDLEDGNNDSTFWALLGKKIGYIFDLQGQNDMAVITTFDSVSVLSKQNCLLAIKFKTYGILDLIPDFLSPFA >DRNTG_26163.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29264480:29267899:1 gene:DRNTG_26163 transcript:DRNTG_26163.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCX5 [Source:Projected from Arabidopsis thaliana (AT1G08960) UniProtKB/TrEMBL;Acc:A0A178W3U3] MASSSSSSAILRLISITITITLLLLLFSRSSSPLPRRHLLRSSPPSPTHCSSILSLSPSLLNYTSLHSCLFPSGSPLVLPFLVLLLLAHFSLLVRAASAHFSPAVASISSRLRLSPSIAAVTLLALGNGAPDAFSSSAALRSGLPRTGLAAILSAGAFVSAFVVGSVALLSGPFSVPPGPFVRDVFFYLLAVSGLFYVYLSAEIYLWQAVGLVCFYVFFVGLVFWMDLVVEGRGGKVAREDEEEVEVEMGLVEDVKARHPISLNKMQDLEDGNNDSTFWALLGKITLLWEVPVNTLLKLTIPSPSPAEWSRFYAAANIALCPIFLLYSLNSYINLNDKIVFFIPQVYFPIWSVVLFVSACLALSYLYLEKEPPVTESAATALVAFMMSVFWISTVAGELLNCLAAIGIVMELPPAILGLTVLAWGNSVGDLVADVAVAKAGQPATAMAGCFAGPMFNMLVGLGSALVRETWNMYPGAYVLQFHISIVVAFVFLLLSLMGSLLVVTWFGFRVPRFWGFFLVGLYMLFTAISLAIAKFSG >DRNTG_26163.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29264480:29267899:1 gene:DRNTG_26163 transcript:DRNTG_26163.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCX5 [Source:Projected from Arabidopsis thaliana (AT1G08960) UniProtKB/TrEMBL;Acc:A0A178W3U3] MASSSSSSAILRLISITITITLLLLLFSRSSSPLPRRHLLRSSPPSPTHCSSILSLSPSLLNYTSLHSCLFPSGSPLVLPFLVLLLLAHFSLLVRAASAHFSPAVASISSRLRLSPSIAAVTLLALGNGAPDAFSSSAALRSGLPRTGLAAILSAGAFVSAFVVGSVALLSGPFSVPPGPFVRDVFFYLLAVSGLFYVYLSAEIYLWQAVGLVCFYVFFVGLVFWMDLVVEGRGGKVAREDEEEVEVEMGLVEDVKARHPISLNKMQDLEDGNNDSTFWALLGKITLLWEVPVNTLLKLTIPSPSPAEWSRFYAAANIALCPIFLLYSLNSYINLNDKIVFFIPQVYFPIWSVVLFVSACLALSYLYLEKEPPVTESAATALVAFMMSVFWISTVAGELLNCLAAIGIVMELPPAILGLTVLAWGNSVGDLVADVAVAKAGQPATAMAGCFAGPMFNMLVGLGSALVRETWNMYPGAYVLQFHISIVVAFVFLLLSLMGSLLVVTWFGFRVPRFWGFFLVGLYMLFTAISLAIAKFSG >DRNTG_24805.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31895393:31897847:1 gene:DRNTG_24805 transcript:DRNTG_24805.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRQIFYLGIKFDLILDAFYVTVTSERMYRGGLDRFKKAQSIEPFSVGLNSAAKTSQPPKINTTACPPPVTTPKTQNSHPPNLIQQHHNAASKGLVSDGAAPAHPVTQIGGGQSVWQPPDWAIEPRPGVYYLEVLKDGEVLDRINLDKRRHIFGRQMVTCDFVLDHQSVSRQHAAVVPHKNGSIYVIDLGSVHGTLVANERLTKDNPVELEVGQSLKFAASTRTYILRKNTSALFPTPVLPAEVNLPSPPDPSDEDAVVAYNTVLNRYGLRKSDVSSKGKQCFN >DRNTG_24805.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31895393:31897847:1 gene:DRNTG_24805 transcript:DRNTG_24805.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGGLDRFKKAQSIEPFSVGLNSAAKTSQPPKINTTACPPPVTTPKTQNSHPPNLIQQHHNAASKGLVSDGAAPAHPVTQIGGGQSVWQPPDWAIEPRPGVYYLEVLKDGEVLDRINLDKRRHIFGRQMVTCDFVLDHQSVSRQHAAVVPHKNGSIYVIDLGSVHGTLVANERLTKDNPVELEVGQSLKFAASTRTYILRKNTSALFPTPVLPAEVNLPSPPDPSDEDAVVAYNTVLNRYGLRKSDVSSKGKQCFN >DRNTG_24805.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31895126:31897847:1 gene:DRNTG_24805 transcript:DRNTG_24805.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRQIFYLGIKFDLILDAFYVTVTSERMYRGGLDRFKKAQSIEPFSVGLNSAAKTSQPPKINTTACPPPVTTPKTQNSHPPNLIQQHHNAASKGLVSDGAAPAHPVTQIGGGQSVWQPPDWAIEPRPGVYYLEVLKDGEVLDRINLDKRRHIFGRQMVTCDFVLDHQSVSRQHAAVVPHKNGSIYVIDLGSVHGTLVANERLTKDNPVELEVGQSLKFAASTRTYILRKNTSALFPTPVLPAEVNLPSPPDPSDEDAVVAYNTVLNRYGLRKSDVSSKGKQCFN >DRNTG_24805.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31895126:31897847:1 gene:DRNTG_24805 transcript:DRNTG_24805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGGLDRFKKAQSIEPFSVGLNSAAKTSQPPKINTTACPPPVTTPKTQNSHPPNLIQQHHNAASKGLVSDGAAPAHPVTQIGGGQSVWQPPDWAIEPRPGVYYLEVLKDGEVLDRINLDKRRHIFGRQMVTCDFVLDHQSVSRQHAAVVPHKNGSIYVIDLGSVHGTLVANERLTKDNPVELEVGQSLKFAASTRTYILRKNTSALFPTPVLPAEVNLPSPPDPSDEDAVVAYNTVLNRYGLRKSDVSSKGKQCFN >DRNTG_24805.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31895126:31897847:1 gene:DRNTG_24805 transcript:DRNTG_24805.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRQIFYLGIKFDLILDAFYVTVTSERMYRGGLDRFKKAQSIEPFSVGLNSAAKTSQPPKINTTACPPPVTTPKTQNSHPPNLIQQHHNAASKGLVSDGAAPAHPVTQIGGGQSVWQPPDWAIEPRPGVYYLEVLKDGEVLDRINLDKRRHIFGRQMVTCDFVLDHQSVSRQHAAVVPHKNGSIYVIDLGSVHGTLVANERLTKDNPVELEVGQSLKFAASTRTYILRKNTSALFPTPVLPAEVNLPSPPDPSDEDAVVAYNTVLNRYGLRKSDVSSKGKQCFN >DRNTG_10370.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:13985340:13996344:1 gene:DRNTG_10370 transcript:DRNTG_10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPLLKIGLKCMLYGLLISGEDSSMPLMLIIPDIQDVYTHLYTDLIVALSEVCVDIFLTTQSFVGIASISVLPRTTGGQNLDLPTLMWAKIQRILPTTFKSIGTRVADKALTECAHVSTAPSPLVPPRLVHVPGVYYYYPFSALSDLGQLYNGLSGTSVGSSNTRILWKFCKRLPTDVDLPGQVEEVEFAVPRGRRSRREVRTSEPRGRSGRREEGRRNDSQLAIHDLTLKDEDEYEDEDENKSLVSSIIPLSNEHVTDDGIYLLDNEEDAYVYVGNTVNPDILQQLFGVSTADAIPTQVLRPCMPTWFRPCRCMAVCRRPGSIELGSGRDSYDGTKMWLIITHWIASV >DRNTG_23597.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:632076:634559:1 gene:DRNTG_23597 transcript:DRNTG_23597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRNTTFHYLLLFLQLCLTICISSALNSISTNQTLSGEQQLTSEAGNFTLGFFTKSNSSSKFYIGIWYSRVSKLNPVWVANRAIPISDNSKSVLQISQDGNLILLNQDKSLIWSTNVTISSNSTVAILGDDGSLVLRDENNSSKVFWRSIEDPTDTWLPGGKIVFNKTTGVSQKLISWKNSENPAPGIFSLELDPVGRQYLLKWNGTETYYNTGPWNGKIFSGVPEMRKSYIYDFNYEDDPQQSYFIYYVNGSSMISRFIMDVSGQIKMLNWIDISQEWIEFWAKPKTPCEVTRLCGDFGSCTEQTDQYCSCLTGFSPNSEEDWNLSDHSGGCKRNIQLQCSSNNSSTNVEPDKFFQMSSVKLPVNGQPLQVGGEDECELACLSNCSCTAYSYSTNCTVWYGELINLQDQYSESDAGTLQLRLNAAELPSSKSKKGTKGIILGVVVGAVAACLVCVALLWILIWRRKRSKLITASKLVEGTLTTFRYIELQRITKNFSEKLGVGGFGSVFKGVLPDSTAIAVKKLAGLSQGEKQFRTEVSTIGTIQHVNLVRLRGFCSEDSERLLVYDYMPNGSLDAHLLHNTSDVLSWSTRYQIALGTARGLAYLHEKCRDCIIHCDIKPENILLDDSFVPKVSDFGLAKLMGRDFSRVLTTMRGTRGYLAPEWISGVPITPKADVYSYGMMLFEIISGRRNTEQVEKEGAAVFFPRVASRKTH >DRNTG_32359.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7216415:7224520:1 gene:DRNTG_32359 transcript:DRNTG_32359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDASPPSPVKHKVDALQELVSRRLSSSATRLSAASRSIPSDKDFHFYNNFPEFRRPASSIAARSEAFLKSLSSAKLWGEKPAPGLPDDLDDYYDWLVNVNDDILERFSVSMDEFKKLREKQEEGGSSTLEDGQDGFQLVYGKKKRVTGGLRGGAEKDSSYVAYGVKVASRDQQTKGARSKVAFHMPMITRPQIQFNIIVNNSNKPFEHVWLERSEDGTRFIHPLEKLSVMDFVDRNVGEGDPVRPLPLEDTPFKLVDEVNGLKELCAKLQDANEFAVDLEHNHYRSFQGLTCLMQISTREEDFIIDTLKLRIHVGPYLREVFKDPSKRKVLHGADSDIMWLQRDFGIYVCNLFDTGQASRVLQLERNSLEYLLHHFCEVRANKEYQTADWRLRPLPDDMIKYAREDTHYLLYIYDLMRKELLKNSTDEKDLLAEVYERSYEICWQLYEKELLTDTSYLYIYGLKEADFNSSQLAIVAALFQWRDNVARAEDESTGYVLPNRLLLEIARQMPVSSNKLRRLMRSKNPIIERHFDSLVSIIRSAIQNSAAFEDVSEQLKKARLEYLEAQKLEANGGNSVPTEAADHMDVTTAQADVTSANDTSLIQCDVEQAVTSADIKDNQVDSFHSIPLPMTDCIIRQSEIAKAADREKLDDHKIEAKPPAAQISRKSSSALSSMFRATSSKRKVMIDSVATAEQSKNVNKVDQIEASFVPPLHSFSGLEKNTRQSHPEMDNNTRQAHPENMQQNDSQPSTATGLDEVIPLENETGDCQMAENNLKHRAWFPRLPENGSNTDSKTETRIEEAPMSLSELSSSFQQCFKSLNEMKGGKRNQRSAQQPVDLKSSVQAI >DRNTG_25836.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001338.1:11938:13130:1 gene:DRNTG_25836 transcript:DRNTG_25836.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDAATSDETTPHKCELELYLDEPRLDRKIELDVLKYWKGNEFRYPSVASMARDILMIPITIVASESAFSTGGRILDQYRSALKPDVVEALVCTRDWIYGLKDVLQNEVEELATMVELNPNDK >DRNTG_27928.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001417.1:20598:24393:1 gene:DRNTG_27928 transcript:DRNTG_27928.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIVLGKRSNSIFEELHHHSPSQSPFDTPVSKRIRCSSSPTHIRFSPPRPSSQSPRGLNGGGIVVDSAYSAHLDHLRSLFPDMDQKFLERALEASNNDLDSAIKSLHDLCLESAELNLASALGKSVNESELNFQSSGVGVLDESSRDEDPVHPSPAVTLPRDASEWVDLFVMEMMNASNVDDARARASRALEVLEKSIMSRVDAEAMQNFQKENMLLKEQVETLLRENNVLKRAIAIQHERQKESDERNQEVQHLKQLVSQYQEQLRTLEVNNYALAMHLKQAQQSNSMPGRFHPDIF >DRNTG_21136.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2465763:2467219:1 gene:DRNTG_21136 transcript:DRNTG_21136.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSICASTSIIAHQVFDRKSQSVVCRIPIVEFAGRRVRRSWSLRMVARQRKDRVLGLAVRAQAAEVTKEAYAFREDRIRIPRGLNDGSDSGLSHGRLWPPANKADDPALHNPLLRQERMGCGWLGVIFEWEGVVVEYDPELEKQAWLALSQEEGKSPPPGFVLKRIEGMKNEQAISEVLCWSRDPSELRRLASRKEEIYQRLNGEFHQFRTGSREFLGSLINNGIPMAVASTRPRKFLEESMVAVGVEGSFSAIVAAEDVHRGKPDPEMFVYAAQLLSVIPERCIVFGNSNSTVEAAHDAQMKCVAVASKHPVYELGAADLVVRKLDELSIVDLKNLADIDSSEFESQEPELEME >DRNTG_32560.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19022379:19026529:1 gene:DRNTG_32560 transcript:DRNTG_32560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCSGNVAELLFMLPAFGCELHGVHAPAGNDTFSGRNDSELHGLHAPAWRPRLPPVQRRQDHTFWRRGMKGRSLVWIDLHRSLLWEFVDDKGSRPNSVVRGSLPPHLACHLTSITIREEKVRVDPWAQGIRPSRARTGERPQGSLPSNTETNPTGHVKVITLRSGHEIEGKLPRAWVITTSLCGSPKPSSPPS >DRNTG_08128.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1446615:1451199:-1 gene:DRNTG_08128 transcript:DRNTG_08128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGEPLLAGESSGALIGMVDYRGRQIISRSRTGGWTSALFIIGVEVAERFAYYGISSNLITYLTGPLQESTASAAVAVNTWSGVASMLPLLGAFVADSYLGRYRTIVISSLLYVLGLGLLTLSSMLPSLSPHKCSTSIGPTVCPPTQFQVIAFFVSLYLVAFAQGGHKPCTQAFGGDQFDQNDPEENKSKSSFFNWWYFGLCFGTFITLWILNFVQDNIGWGLGFGIPCIAMMLALIVFLLGTTTYRYYVLQDTSPFVQVYKAFVTMIKSRATPHPYSSADAPKVDNSIEGAKGVIRLFPIWATCLIYAIVFSQSSTLFTKQGSTMERSIGPNIQIPPATLQSFISLSIVMFIPIYDRILVPITRKFSGIPSGISMLQRIGTGMVLSILSMVIAALVEMKRLQTAKDYGLVDKPQATIPMSLWWLVPQYVLYGIADVFTMVGLQEFFYDQVPDSLRSLGLALYLSIFGIGSFISGFLISVINEVTGKDGHSWFSNNLNRAHLDYFYWLLAGLSALQFVIYLCCAKCYVYKKQK >DRNTG_12535.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21126061:21126831:-1 gene:DRNTG_12535 transcript:DRNTG_12535.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILLVNQGALDGGLDIPHSEKRFAGFKKDDKQLDAEAHRKYIFGDHVACYMRVLMDDEPEKYQSHFSAYLKKGLEADDIEEMYKKVHAAIRADPMPVKSTKEPPKEHK >DRNTG_05756.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:4216437:4218273:-1 gene:DRNTG_05756 transcript:DRNTG_05756.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVRKYSNDVYVLNIPFEDKAGASQHAPEPQPASMETETPPVAEEPSPVCHSVQEFMARFDLLKQILERDVTSSFVLRPRSPQGPAVHPASPSSTLASVDPPCASSATAAAQEPEGDTDI >DRNTG_32248.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3395760:3403437:1 gene:DRNTG_32248 transcript:DRNTG_32248.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVIGKAAAAPSSPARDGGRNENHSASKIDAPNPDQNPNANPDTIDEKPQKPAGERRRRPRADPRLGNLPKAVHGEQVAAGWPAWLSAVAGEAINGWTPRRADSYEKLDKIGQGTYSNVYKARDTLTGKIVALKKVRFDNLEPESVKFMAREILILRKLDHPNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPGIKFTEPQVKCYMKQLLSGLEHCHTRHVLHRDIKGSNLLLDNTGLLKIADFGLASFFDPDHRQPMTSRVVTLWYRPPELLLGATYYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPADEYWKKAKLPHATIFKPQQPYKRCIQETFKEFPPSSLPLIETLLAIDPAERQTASAALGSEFFTTEPFACDPSSLPKYPPSKEMDAKRRDEEARRLRAAGGKGHGDGGKKTRRDRPARAAPVPEANAELQANLDRRRLISHANAKCKSEKFPPPHQDGALGFPVGSSHYMDPPGYDLPDTSSFSTIFPSQKGASLSTWSGPLVDPAGVGHPKRKKHSAKEIHKDKTNIRAR >DRNTG_32248.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3395760:3403437:1 gene:DRNTG_32248 transcript:DRNTG_32248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVIGKAAAAPSSPARDGGRNENHSASKIDAPNPDQNPNANPDTIDEKPQKPAGERRRRPRADPRLGNLPKAVHGEQVAAGWPAWLSAVAGEAINGWTPRRADSYEKLDKIGQGTYSNVYKARDTLTGKIVALKKVRFDNLEPESVKFMAREILILRKLDHPNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPGIKFTEPQVKCYMKQLLSGLEHCHTRHVLHRDIKGSNLLLDNTGLLKIADFGLASFFDPDHRQPMTSRVVTLWYRPPELLLGATYYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPADEYWKKAKLPHATIFKPQQPYKRCIQETFKEFPPSSLPLIETLLAIDPAERQTASAALGSEFFTTEPFACDPSSLPKYPPSKEMDAKRRDEEARRLRAAGGKGHGDGGKKTRRDRPARAAPVPEANAELQANLDRRRLISHANAKCKSEKFPPPHQDGALGFPVGSSHYMDPPGYDLPDTSSFSTIFPSQKGASLSTWSGPLVDPAGVGHPKRKKHSAKEIHKDKTNIRAR >DRNTG_25447.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1487368:1490128:1 gene:DRNTG_25447 transcript:DRNTG_25447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGEVGFRVRRMEAEMVHGINDDNKEKNKYYYYYYLSNLDQNIAVVMKTVHVYKAVEGKSTEEVCRVMKDGLAKVLEYYYPCEGTLTVSQEGKLIVRCDGRGVPFVEAFADCDLDVLGDISIPDPEKLMKLVYQLPEHLNIFEAPLLTVQVTKFKCGGYVLGMAKNHCLSDGMAVVEFLRSWAEMARGIPLTVPPVLDRSIQQPRSPPKIEFHHDEFTEVEDVSNLTDLYKGEELQHRCFTFNVDKLTTLKEMAMQDGTVESCTSFVVLTAFTWRARTKALKMGPGQKTKLLFAVDGRRKMEPPLPAGFYGNGIVLVCCLCDAGELLDRPLSYAVEMVQNTIQNTTDGYIRSVIDYF >DRNTG_00065.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21773779:21776000:1 gene:DRNTG_00065 transcript:DRNTG_00065.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVPLHVDEKMFMTSGLGVMPCQPDQTNCSTFQVLGNVNNISFQFPTRMSLLEAHFNGVQGIYTRDFPDKPPLVFDYTNNSLSATLPLIMTTTGTKLKRLKYNSTVEVVLQNTALIAAESHPLHIHGFNFFVLAQGFGNYNEESAQKMFNLVHPQVRNTIAVPPAGWAVIRFTANNPGVWLVHCHLDVHLPIGLAMALEVENGPAPYSNLPPPPPDFPAC >DRNTG_00065.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21772340:21776000:1 gene:DRNTG_00065 transcript:DRNTG_00065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFIFLLACVIAFISSWAHCSVVEHTFNVGNLKVRRLCSNASITAVNGQFPGPTIHVQEGDKLIVHVVNDSPYNISIHWHGIFQLLSAWADGPSYVTQCPILPGNKYTYKFDIIGQEGTLWWHAHFSSLRTTVYGALIIQPRAGPNAYPFAKPYKEVPIILGEWWKSNMADLQEVSMNAGGIAPVSDAYTINGRMGDLYCCSRKHTYKLEVVAGKTYMLRIINSALNNQLFFKIAGHNFTVVAVDASYTMPYPTDVLVLAPGQTVDALMVADAPPDDRYYMAARAYISAAGPPNAPFDNTTTTGILQYKYSNYVPEPPSTNISTSIVMPFMPAFNDTPTAHNFYSSLTGLIKARDAFSSPSCR >DRNTG_19207.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5070489:5076368:1 gene:DRNTG_19207 transcript:DRNTG_19207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSQPRIELSPLGESSIGDPCTNRPEGRWRWKNAPLLPFQATSQDLILVTHRFLSEGGGLDQSTDMEDRPDGEEGGEEQTRATARWPGVHLRWITGDSSSVARSRIFLT >DRNTG_11770.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:638661:641056:-1 gene:DRNTG_11770 transcript:DRNTG_11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNATGTPLWRNGPAHKPVLCNACGSRWRTKGTLENYVPQHARELTDQVEPKVSKEKITPLKNNLQRVQKRKLENVIPETEETVALNVQNFRKVLDEDAGYQSISGTATSCSESRIHDIIDLRASAQFCGWNVPSRKRSYIHRTRSSPLARLQKDLYSIFSAQGSSSTSMASDGDLLYGTKTQTQSGSIEIGHGVVLIRPPSSKVAEEESKAGSFLALNQSYIANETNSRSASYSVSTGCIDIEKSKEHIAELGQEHEKRDETLYEMLNIKHDRKSPLMSIDLNVLKHKENTLEDRASALKHSSPAPFKRQNDPVLKGSMGIPVGKCGPSGLSICSKTLTNSSDAASRLTHTGDTLTGNKDGNSMLSSFQLTVQDIHLSVPANTSTSNSEAKNLSNPYKGKTVKKASFEGHGSNSSQPKHRGPNNVTTNAALFECSNVCL >DRNTG_22697.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001250.1:69248:76631:1 gene:DRNTG_22697 transcript:DRNTG_22697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIVAESSMVLLRLLDYCIYAHSSVDSKQTTEDAEKSFIEHSIEERVKLDEETLVNFNNFKKHVLLNETTNDLNEYTVVTILVVVAGSLKQPVLNNILDLKDALNKLSAISISQTLAAEVMWTPHSEKDTFSELEMLESFPLLKPLDKRYKALTKDAFSTYNNPIFE >DRNTG_22697.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001250.1:69248:69643:1 gene:DRNTG_22697 transcript:DRNTG_22697.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLFSCLFLALSVGSFAVPGGRMGGSFFPSPPPPPPPLSSSSPHPSSNSLYSYHSCSSYPETSKPGDSSCSSSGETSNPSGFNWAFLVVPLIAVVALVAGIFIKQRPDSMIHVYKLQ >DRNTG_26154.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29337846:29340368:1 gene:DRNTG_26154 transcript:DRNTG_26154.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast stem-loop binding protein of 41 kDa a, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63140) UniProtKB/Swiss-Prot;Acc:Q9LYA9] MAAAASASAAAAAAILTESSTLSGSPRSTALPSPLPRFYSSSAISPPYFFRPILRRRSIRPRSPRFYVSSNASAKVAERKKVLIVNTDSGGHAVIGFYLARDLLASGHEVTILTVGDASSDKMKKPPFNRFSELVSAGGETVWGEPAEVGNILGSAVFDVVLDNNGKDLDTVKPVVDWAKSSGASQFLFISSAGIYKTSDEVPHVEGDPVKEDAGHAAVEKYIAGLSFSSWAIFRPQYMIASGNNKDCEEWFFDRIVRDRPVPIPGSGMQLTNISHVRDLSSMLALAVEKPAAASAKIFNCVSDRAITFNGLAKLCAEAAGRPLDIVYYDPKTVGVDARKAFPFRNMHFYAEPRAPKELLGWTSTTNLPEDLKERFEEYVSIGRDKKEMKFETDDKILEYLKAPVAV >DRNTG_19172.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6111129:6112918:1 gene:DRNTG_19172 transcript:DRNTG_19172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLSITMMIMTMVIAPTVSGQQNCIPAITSLSPCLGFISGNTTTTTTAPSPMCCSQLASVISSQAQCLCSVVNGGGAAAQLGLVLNQTQALALPGACNLKIPPLSQCNVVAGAPAGSPVMAPVGAPAASVSPVTPSVSNNKSPAAQSVPSPVPTSGGGSKSVPSTKSQSSDGVLQRSANYNLVVLLLAFACYAIKF >DRNTG_27582.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6686664:6688323:-1 gene:DRNTG_27582 transcript:DRNTG_27582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFADSNGRNSVMQAQEQQMLTVMAENKSLLTECHKYEEAEKQLVLKAQQLRNKLEEVQKAYKNLLDEFKLMEVVKEES >DRNTG_27296.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:137947:140913:1 gene:DRNTG_27296 transcript:DRNTG_27296.1 gene_biotype:protein_coding transcript_biotype:protein_coding YPRVKVREEEEEPVVGKEETTKFIPRVLDSLSLENHHSLRICTSNQGNETEMYSPPSCARISKFSHKNFSTPPISASKVKKEKELSDNRTNIRASSVPRPRAVLSSPDNDVMIGSQNRKLRDSRGQKKGSITSQNLHAPIRPSHGNVRAKNPLNSKKVSKESGNNNNHLKQKQASQPAASMPRASIRN >DRNTG_27647.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18854013:18856966:1 gene:DRNTG_27647 transcript:DRNTG_27647.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMWNSKLGPGFPSWIQKLENLSALLLSNAGISDTLPDWFWNFSANLQLVDLSLNVIRGKLPATLEHLSNLRYVDLSGNSFEGSLPQFPANLEYLLLFSNTITGRIPETLCYLKKLAALDLSKNQLIGEIPDCWNHSLRPRLFTFDLSDNKLSGGIPTTICSSSLDYLHLSNNNLSGKLPLSLRNCRALRTLDLGQNKISGSIPTWLPKSLLNLEALRLRSNMFVGLIPPGLGNLTALRVIDFAYNHLSGLIPHSFGNLKAMRVAHTIFYNKKVAFVAYDKISLDYVDNMEILYMTSNKLGLGYMDNMRVNLKGKDVRYGKLLPLVISIDLSSNKLFGEIPGDLMSLSYLQNLKFIWKFFDRGNSTRDQHVTMVGIT >DRNTG_27647.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18854013:18856831:1 gene:DRNTG_27647 transcript:DRNTG_27647.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMWNSKLGPGFPSWIQKLENLSALLLSNAGISDTLPDWFWNFSANLQLVDLSLNVIRGKLPATLEHLSNLRYVDLSGNSFEGSLPQFPANLEYLLLFSNTITGRIPETLCYLKKLAALDLSKNQLIGEIPDCWNHSLRPRLFTFDLSDNKLSGGIPTTICSSSLDYLHLSNNNLSGKLPLSLRNCRALRTLDLGQNKISGSIPTWLPKSLLNLEALRLRSNMFVGLIPPGLGNLTALRVIDFAYNHLSGLIPHSFGNLKAMRVAHTIFYNKKVAFVAYDKISLDYVDNMEILYMTSNKLGLGYMDNMRVNLKGKDVRYGKLLPLVISIDLSSNKLFGEIPGDLMSLSYLQNLKFIWKFFDRGNSTRDQHVTMVGIT >DRNTG_27647.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18854151:18856966:1 gene:DRNTG_27647 transcript:DRNTG_27647.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMWNSKLGPGFPSWIQKLENLSALLLSNAGISDTLPDWFWNFSANLQLVDLSLNVIRGKLPATLEHLSNLRYVDLSGNSFEGSLPQFPANLEYLLLFSNTITGRIPETLCYLKKLAALDLSKNQLIGEIPDCWNHSLRPRLFTFDLSDNKLSGGIPTTICSSSLDYLHLSNNNLSGKLPLSLRNCRALRTLDLGQNKISGSIPTWLPKSLLNLEALRLRSNMFVGLIPPGLGNLTALRVIDFAYNHLSGLIPHSFGNLKAMRVAHTIFYNKKVAFVAYDKISLDYVDNMEILYMTSNKLGLGYMDNMRVNLKGKDVRYGKLLPLVISIDLSSNKLFGEIPGDLMSLSYLQNLKFIWKFFDRGNSTRDQHVTMVGIT >DRNTG_27647.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18854013:18857039:1 gene:DRNTG_27647 transcript:DRNTG_27647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMWNSKLGPGFPSWIQKLENLSALLLSNAGISDTLPDWFWNFSANLQLVDLSLNVIRGKLPATLEHLSNLRYVDLSGNSFEGSLPQFPANLEYLLLFSNTITGRIPETLCYLKKLAALDLSKNQLIGEIPDCWNHSLRPRLFTFDLSDNKLSGGIPTTICSSSLDYLHLSNNNLSGKLPLSLRNCRALRTLDLGQNKISGSIPTWLPKSLLNLEALRLRSNMFVGLIPPGLGNLTALRVIDFAYNHLSGLIPHSFGNLKAMRVAHTIFYNKKVAFVAYDKISLDYVDNMEILYMTSNKLGLGYMDNMRVNLKGKDVRYGKLLPLVISIDLSSNKLFGEIPGDLMSLSYLQNLKFIWKFFDRGNSTRDQHVTMVGIT >DRNTG_27647.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18854151:18857039:1 gene:DRNTG_27647 transcript:DRNTG_27647.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMWNSKLGPGFPSWIQKLENLSALLLSNAGISDTLPDWFWNFSANLQLVDLSLNVIRGKLPATLEHLSNLRYVDLSGNSFEGSLPQFPANLEYLLLFSNTITGRIPETLCYLKKLAALDLSKNQLIGEIPDCWNHSLRPRLFTFDLSDNKLSGGIPTTICSSSLDYLHLSNNNLSGKLPLSLRNCRALRTLDLGQNKISGSIPTWLPKSLLNLEALRLRSNMFVGLIPPGLGNLTALRVIDFAYNHLSGLIPHSFGNLKAMRVAHTIFYNKKVAFVAYDKISLDYVDNMEILYMTSNKLGLGYMDNMRVNLKGKDVRYGKLLPLVISIDLSSNKLFGEIPGDLMSLSYLQNLKFIWKFFDRGNSTRDQHVTMVGIT >DRNTG_27647.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18853831:18856831:1 gene:DRNTG_27647 transcript:DRNTG_27647.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRYTYTVYPVCIENKSHLSMGVKLTGALLVVLLYLKFSICDGVSIKGCKENERKALLDLKEGLKDPGRRLSSWVGQDCCIWRGVQCDNQTGHVVQLDLGNKRPLHDMFQYGPRSEPLEGEISPALLWLKHLKYLDLSMNFFGGIQIPAFIGSLAQLRYLDLSCAGFSGLVPHELGNLSHLHHLDLSNPFYSSWNKLYIVGSYWLSNLSSLQYLNLNFVGLSKATDWLESLNTLPSVSEIYLSNCTLELPLSLAQVNLTKLKVLDLSSNNVHSVVPPWLFKLSSLENLDLSVNAFKELVPSAIGNLTSLRVLNLANNRVLEVGVPLSLENLCMLTSLDLSGNKYLHGDLNELGEVFSGCIKDSLEILNWVFSELTGQFPDWLGNLKSLKMLNLYGNYFYGPFLQLGLHSLKKLDVSRNTLNGSVPVNLGQLYPKLEIFGFCLQ >DRNTG_27647.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18854151:18856831:1 gene:DRNTG_27647 transcript:DRNTG_27647.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMWNSKLGPGFPSWIQKLENLSALLLSNAGISDTLPDWFWNFSANLQLVDLSLNVIRGKLPATLEHLSNLRYVDLSGNSFEGSLPQFPANLEYLLLFSNTITGRIPETLCYLKKLAALDLSKNQLIGEIPDCWNHSLRPRLFTFDLSDNKLSGGIPTTICSSSLDYLHLSNNNLSGKLPLSLRNCRALRTLDLGQNKISGSIPTWLPKSLLNLEALRLRSNMFVGLIPPGLGNLTALRVIDFAYNHLSGLIPHSFGNLKAMRVAHTIFYNKKVAFVAYDKISLDYVDNMEILYMTSNKLGLGYMDNMRVNLKGKDVRYGKLLPLVISIDLSSNKLFGEIPGDLMSLSYLQNLKFIWKFFDRGNSTRDQHVTMVGIT >DRNTG_30048.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18572656:18586538:-1 gene:DRNTG_30048 transcript:DRNTG_30048.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KOS1 [Source:Projected from Arabidopsis thaliana (AT3G23980) UniProtKB/TrEMBL;Acc:A0A178VL19] MASVQVLPSSRKLGHLEAGKKRLEEFRKKKAEGRAKKVASTGQLQSADVEQFGKLSQKHEHATDETISERDDFGVTDSSGFLKAQEDIAVSSTHGANTGSSTGEHANPSVWVDSNHFSHDNLRQESHKDELSTLDENSGFPQPNLSRYENWRGVNGELSSNEELKFRSSGGTESLYAINPFHAKPDVERNNHIPSFSPFVDVHSEENKINSKFNSEYPDASGYNLKSTLSGKSASFLDQNRLGITTTSVNSSSTFEESSTGLGLQSTGNLNQGYFTDNRRGRSIADSINRHLNVDHGAWRASEPSSADIDLSSRNSHGQHLFPYVSSGTIGGRSRPSFLDALGVPRASSTAFAASSTPEKSNPPMLLGGSNFLGTEVQSSPSNQILAEEANAVDHSLNLESQGSREKEFPINPSNSLNNGLIAALEGRDHDAQGQGHQEFLSTKKDEGFAALEQHIEDLTQEKFSLQRALEKSQALAESLAAENSSLTDSYNQQGEVVNQLKSDMDSLQEEIKAQQLAFESVKMEYVNAQMECNASDERAKILASEVIGLEEKALRLRSNELKLEKQSESLNYEISSYKRKVSILEKERQDFQSTVDALQEEKKLLQSKLRKVSDLNIIDSKKASPLKRDAATVTDEEFVGVAGFSAMNVRGPSVLLPNDASTSSLTDDIGVNDSDASNIIPHDQLRMIDNINSLISELALEKEELMRALKTETHNNSKLKDLNKDLSQKLEVQTQRLELLTAQRMANENVQAKPSDVHSMNDSIGYADEGDEVVERVLGWIMKLFPGGPAKRRTSKLL >DRNTG_30048.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18572656:18586538:-1 gene:DRNTG_30048 transcript:DRNTG_30048.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:KOS1 [Source:Projected from Arabidopsis thaliana (AT3G23980) UniProtKB/TrEMBL;Acc:A0A178VL19] MASVQVLPSSRKLGHLEAGKKRLEEFRKKKAEGRAKKVASTGQLQSADVEQFGKLSQKHEHATDETISERDDFGVTDSSGFLKAQEDIAVSSTHGANTGSSTGEHANPSVWVDSNHFSHDNLRQESHKDELSTLDENSGFPQPNLSRYENWRGVNGELSSNEELKFRSSGGTESLYAINPFHAKPDVERNNHIPSFSPFVDVHSEENKINSKFNSEYPDASGYNLKSTLSGKSASFLDQNRLGITTTSVNSSSTFEESSTGLGLQSTGNLNQGYFTDNRRGRSIADSINRHLNVDHGAWRASEPSSADIDLSSRNSHGQHLFPYVSSGTIGGRSRPSFLDALGVPRASSTAFAASSTPEKSNPPMLLGGSNFLGTEVQSSPSNQILAEEANAVDHSLNLESQGSREKEFPINPSNSLNNGLIAALEGRDHDAQGQGHQEFLSTKKDEGFAALEQHIEDLTQEKFSLQRALEKSQALAESLAAENSSLTDSYNQQGEVVNQLKSDMDSLQEEIKAQQLAFESVKMEYVNAQMECNASDERAKILASEVIGLEEKALRLRSNELKLEKQSESLNYEISSYKRKVSILEKERQDFQSTVDALQEEKKLLQSKLRKVSDLNIIDSKKASPLKRDAATVTDEEFVGVAGFSAMNVRGPSVLLPNDASTSSLTDDIGVNDSDASNIIPHDQLRMIDNINSLISELALEKEELMRALKTETHNNSKLKDLNKDLSQKLEVQTQRLELLTAQRMANENVQAKPSDVHSMNDSIGYADEGDEVVERVLGWIMKLFPGGPAKRRTSKLL >DRNTG_11774.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:617182:621564:1 gene:DRNTG_11774 transcript:DRNTG_11774.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAAEEAQLNRIESQVDNGGGGAWEYLCLVRKLKARRPDKVLKHGLFILNNPKARSNLGTEEWTLYEQVAIAAMDCQRVDIAKDCISVLSNKFPGSTRVGRLEGMLLEANGAWADAETTYRRLLEENPNDQVVQKRMIAMAKALGNISTAVEYLNKYLEIFMADQDAWRELAEIYVSLQMYKQAAYCYEELILCQPTVPLHHLAYAEVLYTMGGLENLQMAKKYYASAINFTGGKNTRALYGLCLCSVAINQITKGRNKEDKESPELQYLTAEALVKDYKEKAPAKLTLVTNTLKSMKLIS >DRNTG_00036.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21640157:21642221:1 gene:DRNTG_00036 transcript:DRNTG_00036.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 16, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G46780) UniProtKB/Swiss-Prot;Acc:Q9STF2] MAPAITYNSFHLVSSPRSKPNHANVSRKSIILSAAKQNAFSFGRRQKKSEDESQSTEQTKPNNGFFFDFGKIPDPKSLVPIVSSPSTSMFGGRRKDPDTVFVAGATGQAGVRIVQSLLRQGFSVRAGVPDLPSAQELARLASTYKIISSAESKRLNAVESTFNSGLESIAKAIGPAAKVVVTIGPTENGPLGEVTTNNALEVILAAQLSKVDHVAVVYDSVTGVSSASSGNVIDGITTFFSNLFSGSQSLTLSEFLNKIVETDVSYTLIKTKLTEDFSADSSYGLVVSNEGSSTTEFKVSRTQIAKLVADVFSNTSISENKVVEVSASPSAPF >DRNTG_18213.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1117805:1118387:1 gene:DRNTG_18213 transcript:DRNTG_18213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHELSRTPHGRLGEPEEVASVVVFLCLPAASYVTGQIINVDGGRTQPC >DRNTG_20145.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15901992:15903284:1 gene:DRNTG_20145 transcript:DRNTG_20145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELGTLDISENQLFGSLPNCMNNLIYLEVFHVGGNNLEGNIPSTFCGFQKLKSLDLSNNHFSGLVPSCFNLSSLLYLNLNDNNLTGPFPSAFFGNPLEVLDMGNNHFIGDIPNWIDTLQNLKIFSLKGNHFKGPIPEQICDLKYLRILDFSQNNLSEDIPPCVHNMGHNLASQYQFLEVVVIGIGGTIIPNVVKHFSSMPYDIALFWTSIVYIDFATKGRSYTYKGDIISYFSGLDLSCNKLVGRIPVDIGNMTWLQALNLSNNMLYGPIPNTLARLVEIESLDLSHNMLEGRIPSQLAELHFIESFSVAYNNLSGPTLGMVGQFSTFEEKSYEGNPYLCGPPLVKSCSNISSPQQNQVKDGHKNEETMEHLITIAIFVLGFIMGFWGWMALLFFKRSWRYSFFLGVDGYMEDIVDMARNLLAKIKSRL >DRNTG_18158.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4009221:4011791:1 gene:DRNTG_18158 transcript:DRNTG_18158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEKSKQDLGDKSLWWEVGHQSREDKSESIKDQHVGARQRKSNQRAFINYFELEFSFDETPSFRFKLHLPRFPCIGFPDHVHDQHHDDDDDDAVFFFYGVHDNNVINSISYDHHKNDDDDDVNEEEEELEGIDNQAEVFINKIYQEMKFQRQVSFIQYNEMLLRSIS >DRNTG_10062.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4571501:4574416:1 gene:DRNTG_10062 transcript:DRNTG_10062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPKVQLGRQGLEVSKLGFGCMSLSGIYNSPLKDEDGVNIIKHAFDMGVTFFDTSDAYGPHSNELLLGKSLKEIPRERIQVATKFGVMHAATSGIVVKGTPDYVRTCCLASLQRLGVDYIDLYYQHRVDQTIPIEHTVREMKKLVEEGKVKY >DRNTG_10062.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4571501:4572406:1 gene:DRNTG_10062 transcript:DRNTG_10062.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPKVQLGRQGLEVSKLGFGCMSLSGIYNSPLKDEDGVNIIKHAFDMGVTFFDTSDAYGPHSNELLLGK >DRNTG_10062.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4571501:4573378:1 gene:DRNTG_10062 transcript:DRNTG_10062.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPKVQLGRQGLEVSKLGFGCMSLSGIYNSPLKDEDGVNIIKHAFDMGVTFFDTSDAYGPHSNELLLGKSLKEIPRERIQVATKFGVMHAATSGIVVKGTPDYVRTCCLASLQRLGVDYIDLYYQHRVDQTIPIEHTVEYSTLFEPYLFVYLFFEFL >DRNTG_10095.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20734334:20734921:-1 gene:DRNTG_10095 transcript:DRNTG_10095.1 gene_biotype:protein_coding transcript_biotype:protein_coding ICDHVPSHYQHPHPSYDQCQRALNSNTK >DRNTG_02830.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20128079:20131400:-1 gene:DRNTG_02830 transcript:DRNTG_02830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRREITEDGKELFFDHGAPFFTVERDEVKGLVDSWMARGIVAEWNVRFASFDRATANFVDFEKEGASRRLVGIPGMNSICRALCLEPGVEAKFGTTVGKMVWLPNRSIWSLTGLDGQDLGYFDGLVASDKNVASPRFKEATGSPPPLDVTSSLEGGMLLKDIPVRSCFAAMLAFQEPLSSVRNHPWLQSFKHVVFTIWLLFIKL >DRNTG_02830.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20121471:20131400:-1 gene:DRNTG_02830 transcript:DRNTG_02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRREITEDGKELFFDHGAPFFTVERDEVKGLVDSWMARGIVAEWNVRFASFDRATANFVDFEKEGASRRLVGIPGMNSICRALCLEPGVEAKFGTTVGKMVWLPNRSIWSLTGLDGQDLGYFDGLVASDKNVASPRFKEATGSPPPLDVTSSLEGGMLLKDIPVRSCFAAMLAFQEPLSSVPVKGISFKNSQVLSWAFCDTSKPGRSCVPDNVECWVLHSTDEYAARIISMMGLRKPLNDILAKVAEELFQEFQTIGPYIPRPLFMKAHRWGSAFPMTFIGGEEKCLWAKSKRLAICGDFCVSPNVEGAILSGLCAASKMIEIRGNL >DRNTG_02830.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20128079:20131400:-1 gene:DRNTG_02830 transcript:DRNTG_02830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSASTVAVVGGGISGAVCASILASRGVSVSLFESGRGAGGRMSKRREITEDGKELFFDHGAPFFTVERDEVKGLVDSWMARGIVAEWNVRFASFDRATANFVDFEKEGASRRLVGIPGMNSICRALCLEPGVEAKFGTTVGKMVWLPNRSIWSLTGLDGQDLGYFDGLVASDKNVASPRFKEATGSPPPLDVTSSLEGGMLLKDIPVRSCFAAMLAFQEPLSSVRNHPWLQSFKHVVFTIWLLFIKL >DRNTG_18723.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1110079:1113007:-1 gene:DRNTG_18723 transcript:DRNTG_18723.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division topological specificity factor homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G69390) UniProtKB/Swiss-Prot;Acc:Q9C4Z7] MAIAGDLKAFGAFASLRPKHPFRPSVSSSKHLQLGGFLTGGSNDLKITSKWPHMKLEGHSIGREDFRCFRTTDNKLSSTTFNQDAEGFLLNAITMNFFDRLSLAWKILFPTSTARRNSNAKVAKQRLKMILFSDRCAVSDEAKQKIVTNIVEALSDFVEIDSQDKVQLSVSTDPDLGTVYSVTVPVRRVKPEYQESEEDCKGKITGVQYRDTGDASGTVDVTFDFYVPNGN >DRNTG_00535.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30300116:30303927:1 gene:DRNTG_00535 transcript:DRNTG_00535.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTFQHALGTVKFLGWDSSPGSQNGISTFSVKMVSKDFGLDMRLLKRGNCSSGSCKLRMVHALASHSSVADPVQAPSNQNSSDSQKKTNETALILIRHGESLWNEKNLFTGCVDVPLTQKGVEEAIEAGKRISNIPVDIIYTSALIRAQMTAMLAMTQHRRKKVPIIMHNESQQAKTWSQIYSGDTMKQSIPVITAWQLNERMYGELQGLNKQETADRFGKEKVHEWRRSYDIPPPNGESLEMCAERAVAYFKEQIQPDLLTGKNIMIAAHGNSLRSIIMYLDRLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPVAPSEAGVYAYTRKLALYRQKLDEMLH >DRNTG_29546.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11376981:11377651:-1 gene:DRNTG_29546 transcript:DRNTG_29546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGKNTVQIKTGVLKHECTRDHVSKHVNADWVARNYLEQFRADPAWRITGIIQAVKSNQEVDISRLKAYRAKSIALRIIDGDEKSQMSRLYDYRVELLKTHPGSTIIINYTNEGVFQAFYVCLAPLREGFLAGCSHFVSLDGCFLKGCMEGNYYVLLG >DRNTG_09774.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20119801:20120571:-1 gene:DRNTG_09774 transcript:DRNTG_09774.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSSSATASPEFEFWNPSIPDPHILSADQLFSNGVLLPLHPPPHPPSPHPSLLSSNSSNSSSSSSSSSSSSSSSSKRWLHIFNKPSSDKQKPKKDIPRSNSGGSTTATATATAELNINLWPFSRSRSAGSTTTTTTTAKQKTPRKSTSAPCSRSNSRGESSAGRRWSAPSPGRPGVHVGRSSPVWQPRRHPGKVTASASGGSGIRGINLNVNTCIGCRTLGNGTVGDRIRKDGNASLFNFRAFFSKKVY >DRNTG_21727.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:686360:689692:1 gene:DRNTG_21727 transcript:DRNTG_21727.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTGNSRQIFNVLFALTFVFFFYNRSGDLRSQFLRSSSNTPTAWRAFRSSLYHHRRLSEVEGNPESTEDPTTVCAGVAKHDGFESPCEFLKSHSQCNPGGFFDYLRFFYCDCEKFRIFGYAVLGLWLSALFYLLGNTAADYFCCSLAKLSALLRLPPTVAGVTLLPLGNGAPDVFASIAAFMGTGAGEVGLNSVLGGAVFVTCIVVGAVSLCVAEKNVQIDRKCFVRDIGFFLLTLISLSLVLIVGKITVWGAVMFVSIYMLYAFVVAANELLRKHARMLKLDAVTPLLPMRGSIFSQGIEDDETVYGALLEEDASSDVPVLRESLPQWMWASNFAIYSNQGYRGVGMESRQTSWGWNEGGEDDDSRFSFPKFFKILELPLTLPRRLTIPVVEEDRWSKFYGVATACLAPVLLAVLWNTSDSEGLKVSIAAYILGGLIGVVLGSLAFFVYQP >DRNTG_21727.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:686360:688608:1 gene:DRNTG_21727 transcript:DRNTG_21727.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTGNSRQIFNVLFALTFVFFFYNRSGDLRSQFLRSSSNTPTAWRAFRSSLYHHRRLSEVEGNPESTEDPTTVCAGVAKHDGFESPCEFLKSHSQCNPGGFFDYLRFFYCDCEKFRIFGYAVLGLWLSALFYLLGNTAADYFCCSLAKLSALLRLPPTVAGVTLLPLGNGAPDVFASIAAFMGTGAGEVGLNSVLGGAVFVTCIVVGAVSLCVAEKNVQIDRKCFVRDIGFFLLTLISLSLVLIVGKITVWGAVMFVSIYMLYAFVVAANELLRKHARMLKLDAVTPLLPMRGSIFSQGIEDDETVYGALLEEDASSDVPVLRESLPQWMWASNFAIYSNQGYRGVGMESRQTSWGWNEGGEDDDSRFSFPKFFKILELPLTLPRRLTIPVVEEDRWSKFYGVATACLAPVLLAVLWNTSDSEGLKVSIAAYILGGLIGVVLGSLAFFVYQP >DRNTG_04131.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30186895:30190738:1 gene:DRNTG_04131 transcript:DRNTG_04131.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVYVGNLDPRVTERELEDEFRVFGVLRSVWVARKPPGYAFIDFDDHRDAQDAIRELDGKNGWRVELSHNSRGGGGRGASRPRGRSGGGSDMNCYECGEPGHFARECRLRIGPGGLGSGRRRSRTPPRYRRSPSYGRRSYSPRDRSPRRRSVSPPRKRSYSRSPVYKRGREESPYANGDRRRSRS >DRNTG_04131.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30186895:30190738:1 gene:DRNTG_04131 transcript:DRNTG_04131.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVYVGNLDPRVTERELEDEFRVFGVLRSVWVARKPPGYAFIDFDDHRDAQDAIRELDGKNGWRVELSHNSRGGGGRGASRPRGRSGGGSDMNCYECGEPGHFARECRLRIGPGGLGSGRRRSRTPPRYRRSPSYGRRSYSPRDRSPRRRSVSPPRKRSYSRSPVYKRGREESPYANGDRRRSRS >DRNTG_04131.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30186895:30190738:1 gene:DRNTG_04131 transcript:DRNTG_04131.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVYVGNLDPRVTERELEDEFRVFGVLRSVWVARKPPGYAFIDFDDHRDAQDAIRELDGKNGWRVELSHNSRGGGGRGASRPRGRSGGGSDMNCYECGEPGHFARECRLRIGPGGLGSGRRRSRTPPRYRRSPSYGRRSYSPRDRSPRRRSVSPPRKRSYSRSPVYKRGREESPYANGDRRRSRS >DRNTG_20664.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20293730:20294700:-1 gene:DRNTG_20664 transcript:DRNTG_20664.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERSQWSTLVAISLLIVATFVLSKDAVVSDASNEEIYQIDYRGPETHSALPPPEISRADPKPKPQMKHHAHKTRKIRHG >DRNTG_20664.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20291533:20294700:-1 gene:DRNTG_20664 transcript:DRNTG_20664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSQWSTLVAISLLIVATFVLSKDAVVSDASNEEIYQIDYRGPETHSALPPPEISRADPKPKPQMKHHAHKTRKIRHG >DRNTG_20664.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20291533:20294700:-1 gene:DRNTG_20664 transcript:DRNTG_20664.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSQWSTLVAISLLIVATFVLSKDAVVSDASNEEIYQIDYRGPETHSALPPPEISRADPKPKPQMKHHAHKTRKIRHG >DRNTG_20664.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20293730:20294917:-1 gene:DRNTG_20664 transcript:DRNTG_20664.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERSQWSTLVAISLLIVATFVLSKDAVVSDASNEEIYQIDYRGPETHSALPPPEISRADPKPKPQMKHHAHKTRKIRHG >DRNTG_07951.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1037650:1039194:1 gene:DRNTG_07951 transcript:DRNTG_07951.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVNGAAVDHCEKGNKAGGLASVLALGTANPPNVVYQDTFADYFFRVTNSEDKVELKEKLKRVCDKSMIRKRHFFLNEEKLKEHPNLCSFMDHTSLNTRHDIVVEEVPKLGEKAAIKALEEWGRPRSEITHIIFCSTGGVDLPGADYRIIKLLGLSPSTKRVMLYSQGCFAGGTVLRIAKDLAENNENARVLIVCAELTVISFRGPDEAKENFDNLVGQAIFADGAAAVVVGAKPIPEVETPYFEIVSTDQYILPESEGYIGGHLREVGLTFYLHNQVPSTVGNNIEKTLIKAFSPLGISDWNSLFFITHPGGRAILDKIEEKLELKPEKMRATRHVLSEYGNMSSPSVLFIMDEMRKRSMADGMRTAGEGLDYGVLHGLGPGITVETVVLHALPLANFINQN >DRNTG_07951.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1031712:1039194:1 gene:DRNTG_07951 transcript:DRNTG_07951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVNGAAVDHCEKGNKAGGLASVLALGTANPPNVVYQDTFADYFFRVTNSEDKVELKEKLKRVCDKSMIRKRHFYLNEEKLKEHPNLCAFMDHASLNTRHDIVVEEVPKLGEKAAIKALEEWGRPRSEITHIIFCSTGGVDLPGADYRIIKLLGLSPSTKRVMLYSQGCFAGGTVLRIAKDLAENNENSRVLIVCAELTVISFRGPDEAKENFDNLVGQAIFADGAAAVVVGAKPIPEVETPYFEIVSTDQYILPESEGYIGGHLREVGLTFYLHNQVPSTVGNNIEKTLIKAFSPLGISDWNSLFFITHPGGRAILDKIEEKLELKPEKMRATRHVLSEYGNMSSPSVLFIMDEMRKRSMADGMRTAGEGLDYGVLHGLGPGITVETVVLHALPLANFINQN >DRNTG_21303.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27416672:27418432:1 gene:DRNTG_21303 transcript:DRNTG_21303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLNLLLLFILSISASMILLASSSTTTRSSKFLQCFINKTNSTKNIYTPNTSSYTNVLLSSVQNTRFITSNTTTKPSFIITAATFSDVQASITCSRLAGLRVRVRSGGHDYEAMSYVSSGDPFITIDLAKLRSITVDKAHGTAWAQAGATVGELYYTIAKNNSTVAFPAGICTTLGLGGHFTGGGIGTLMRMYGTSADNIVDALIVDSKGKLMNRKAMGEDLFWAIRGGGGASFGVVLSFKIKLVSVPSTVTVFNVIKNLGENATELVERWQTIAPKFDEKLFIRVVAQAANGGTTIQAVFNSFYLGRIEELLPVMKKSFPELGLKREDCTEVSWLESVLFFNGELGKSVDILLDREPQSNGSFKAKSDFVKKSINKEGLEKIWEFLMEAKDEPLTLIFDPFGGKMYEILESDIAFPHRKGNLYNIQYFMRWSETASAVSEKHLEWMRKMYEFMAPYVSSQPRTAYYNYKDIDLGVAHGSYLEDEVWGVKYFKDNFKRLALVKGKVDPDNFFRNEQSIPPLVLAKH >DRNTG_27297.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:141474:142750:1 gene:DRNTG_27297 transcript:DRNTG_27297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESERKERNERAKNAILAMKAMGIPVEKVRPVLKNLLKEFDNNWGYIEADNYSVLVDALLALPQTPVNNPLL >DRNTG_28734.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2331909:2334488:-1 gene:DRNTG_28734 transcript:DRNTG_28734.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLSAPVSRTKSLDDCETSQTETCKRLKMTASCDDNPRLIPSLPDEISFQILARVPRIHYLSMKLVAKNWKAALSSNEVYQLRKELGSTEEWLYILVKVERENTLMWLGLDPVSRKWQRLPPIPYVAPEEESSSTALIGSWMWNVLGSSVKIADYIRGWMGRRNVFERIKLCGCATGVVDGCLYVVGGFSTASAMKSVWRYDPCLNQWREVRSMATGRAFCKTGLLNNKLYVVGGVNLNGGSLTPLLSAEVFDPCTEQWSEVPTMPFAKADLLAIDLLADMLKPMATGMTPYMGKLYVPQSLYCWPFFVDVGGQIYDPETNSWFEMPPGMGDGWPARQAGTKLSVIVGGDLYALEPSNSTDGAKIKRYDFLKDEWNIVVETVPVHDFTNSHSRYLLASLLGKVHVITKDADNNTTILQADLQDQTCLSTSRSSSTHASSSHGNASSSSASSSRGSFDLSEGEMNAWKVIARKNFGTGRAC >DRNTG_28734.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2331867:2334488:-1 gene:DRNTG_28734 transcript:DRNTG_28734.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLSAPVSRTKSLDDCETSQTETCKRLKMTASCDDNPRLIPSLPDEISFQILARVPRIHYLSMKLVAKNWKAALSSNEVYQLRKELGSTEEWLYILVKVERENTLMWLGLDPVSRKWQRLPPIPYVAPEEESSSTALIGSWMWNVLGSSVKIADYIRGWMGRRNVFERIKLCGCATGVVDGCLYVVGGFSTASAMKSVWRYDPCLNQWREVRSMATGRAFCKTGLLNNKLYVVGGVNLNGGSLTPLLSAEVFDPCTEQWSEVPTMPFAKADLLAIDLLADMLKPMATGMTPYMGKLYVPQSLYCWPFFVDVGGQIYDPETNSWFEMPPGMGDGWPARQAGTKLSVIVGGDLYALEPSNSTDGAKIKRYDFLKDEWNIVVETVPVHDFTNSHSRYLLASLLGKVHVITKDADNNTTILQADLQDQTCLSTSRSSSTHASSSHGNASSSSASSSRGSFDLSEGEMNAWKVIARKNFGTGRAC >DRNTG_28734.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2331686:2334488:-1 gene:DRNTG_28734 transcript:DRNTG_28734.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLSAPVSRTKSLDDCETSQTETCKRLKMTASCDDNPRLIPSLPDEISFQILARVPRIHYLSMKLVAKNWKAALSSNEVYQLRKELGSTEEWLYILVKVERENTLMWLGLDPVSRKWQRLPPIPYVAPEEESSSTALIGSWMWNVLGSSVKIADYIRGWMGRRNVFERIKLCGCATGVVDGCLYVVGGFSTASAMKSVWRYDPCLNQWREVRSMATGRAFCKTGLLNNKLYVVGGVNLNGGSLTPLLSAEVFDPCTEQWSEVPTMPFAKADLLAIDLLADMLKPMATGMTPYMGKLYVPQSLYCWPFFVDVGGQIYDPETNSWFEMPPGMGDGWPARQAGTKLSVIVGGDLYALEPSNSTDGAKIKRYDFLKDEWNIVVETVPVHDFTNSHSRYLLASLLGKVHVITKDADNNTTILQADLQDQTCLSTSRSSSTHASSSHGNASSSSASSSRGSFDLSEGEMNAWKVIARKNFGTGRAC >DRNTG_28734.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2331786:2334488:-1 gene:DRNTG_28734 transcript:DRNTG_28734.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLSAPVSRTKSLDDCETSQTETCKRLKMTASCDDNPRLIPSLPDEISFQILARVPRIHYLSMKLVAKNWKAALSSNEVYQLRKELGSTEEWLYILVKVERENTLMWLGLDPVSRKWQRLPPIPYVAPEEESSSTALIGSWMWNVLGSSVKIADYIRGWMGRRNVFERIKLCGCATGVVDGCLYVVGGFSTASAMKSVWRYDPCLNQWREVRSMATGRAFCKTGLLNNKLYVVGGVNLNGGSLTPLLSAEVFDPCTEQWSEVPTMPFAKADLLAIDLLADMLKPMATGMTPYMGKLYVPQSLYCWPFFVDVGGQIYDPETNSWFEMPPGMGDGWPARQAGTKLSVIVGGDLYALEPSNSTDGAKIKRYDFLKDEWNIVVETVPVHDFTNSHSRYLLASLLGKVHVITKDADNNTTILQADLQDQTCLSTSRSSSTHASSSHGNASSSSASSSRGSFDLSEGEMNAWKVIARKNFGTGRAC >DRNTG_28734.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2331909:2334596:-1 gene:DRNTG_28734 transcript:DRNTG_28734.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLSAPVSRTKSLDDCETSQTETCKRLKMTASCDDNPRLIPSLPDEISFQILARVPRIHYLSMKLVAKNWKAALSSNEVYQLRKELGSTEEWLYILVKVERENTLMWLGLDPVSRKWQRLPPIPYVAPEEESSSTALIGSWMWNVLGSSVKIADYIRGWMGRRNVFERIKLCGCATGVVDGCLYVVGGFSTASAMKSVWRYDPCLNQWREVRSMATGRAFCKTGLLNNKLYVVGGVNLNGGSLTPLLSAEVFDPCTEQWSEVPTMPFAKADLLAIDLLADMLKPMATGMTPYMGKLYVPQSLYCWPFFVDVGGQIYDPETNSWFEMPPGMGDGWPARQAGTKLSVIVGGDLYALEPSNSTDGAKIKRYDFLKDEWNIVVETVPVHDFTNSHSRYLLASLLGKVHVITKDADNNTTILQADLQDQTCLSTSRSSSTHASSSHGNASSSSASSSRGSFDLSEGEMNAWKVIARKNFGTGRAC >DRNTG_28734.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2331786:2334596:-1 gene:DRNTG_28734 transcript:DRNTG_28734.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLSAPVSRTKSLDDCETSQTETCKRLKMTASCDDNPRLIPSLPDEISFQILARVPRIHYLSMKLVAKNWKAALSSNEVYQLRKELGSTEEWLYILVKVERENTLMWLGLDPVSRKWQRLPPIPYVAPEEESSSTALIGSWMWNVLGSSVKIADYIRGWMGRRNVFERIKLCGCATGVVDGCLYVVGGFSTASAMKSVWRYDPCLNQWREVRSMATGRAFCKTGLLNNKLYVVGGVNLNGGSLTPLLSAEVFDPCTEQWSEVPTMPFAKADLLAIDLLADMLKPMATGMTPYMGKLYVPQSLYCWPFFVDVGGQIYDPETNSWFEMPPGMGDGWPARQAGTKLSVIVGGDLYALEPSNSTDGAKIKRYDFLKDEWNIVVETVPVHDFTNSHSRYLLASLLGKVHVITKDADNNTTILQADLQDQTCLSTSRSSSTHASSSHGNASSSSASSSRGSFDLSEGEMNAWKVIARKNFGTGRAC >DRNTG_28734.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2331615:2334488:-1 gene:DRNTG_28734 transcript:DRNTG_28734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLSAPVSRTKSLDDCETSQTETCKRLKMTASCDDNPRLIPSLPDEISFQILARVPRIHYLSMKLVAKNWKAALSSNEVYQLRKELGSTEEWLYILVKVERENTLMWLGLDPVSRKWQRLPPIPYVAPEEESSSTALIGSWMWNVLGSSVKIADYIRGWMGRRNVFERIKLCGCATGVVDGCLYVVGGFSTASAMKSVWRYDPCLNQWREVRSMATGRAFCKTGLLNNKLYVVGGVNLNGGSLTPLLSAEVFDPCTEQWSEVPTMPFAKADLLAIDLLADMLKPMATGMTPYMGKLYVPQSLYCWPFFVDVGGQIYDPETNSWFEMPPGMGDGWPARQAGTKLSVIVGGDLYALEPSNSTDGAKIKRYDFLKDEWNIVVETVPVHDFTNSHSRYLLASLLGKVHVITKDADNNTTILQADLQDQTCLSTSRSSSTHASSSHGNASSSSASSSRGSFDLSEGEMNAWKVIARKNFGTGRAC >DRNTG_02474.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2164783:2165481:-1 gene:DRNTG_02474 transcript:DRNTG_02474.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPDPVLSQSPSPAAPSRSSPKPDLQPIGSRIADLDQSQSELMQRLQGLKMDLQNWRSNLDNQVKTYKDVSVQILCFGEL >DRNTG_02474.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2163020:2165481:-1 gene:DRNTG_02474 transcript:DRNTG_02474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPDPVLSQSPSPAAPSRSSPKPDLQPIGSRIADLDQSQSELMQRLQGLKMDLQNWRSNLDNQVKTYKDDFLDLKKVLNSDLQQLTADFKELKDTLQKQQDDVTASLKNLGLHDTADHAKESGDQKVKDNSEKAEAFPDKTEDSNS >DRNTG_12949.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14850017:14850712:1 gene:DRNTG_12949 transcript:DRNTG_12949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQNPFLAHGIITTNPLNVEHIIKTKFVNYPKGQFATTLLNDLLGQSTTSYDDTICKLALNEDPACLSIKEEDEGKKIMSKKAKKAFGVTQRLKLVRANDWFPYTWQMMKMLDIGYEKTLRKSVAVVHDYAMKMILREK >DRNTG_06124.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000281.1:35891:39107:1 gene:DRNTG_06124 transcript:DRNTG_06124.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACFLLKRRFLSPVWINFSLVSRVCGLCSEAIRTPIDRDNELGTARNHFGRVGLPSMIPSIGNQQEKFDVELMDPDLWRVSFGLSHSMDGIVGKGEDFCSSVGDDDGSGDCSTVMDNCPDFDEIDDLRLRKKLFYKLDRGSKEFEEYSFDFHRKIPSSKHQEKSKQTSARKEDKKSEKQSLKVSSSQMNKLVNAEKKKASFQEGKKLPFKIERNTRESSGVGDMTKFIEGKKLRTPTFNQLTDPYHLPFCLDIFVTKGSVRACVVHRATSKVVVVAHSISKDMKFDVASRKGVKACFAVGKILAQRAMEEDIYNVVYTPRKGDKIEGKLQIVLQSIIDRGIDVKLKLKQKQKKPLKASQTVST >DRNTG_06124.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000281.1:35891:39107:1 gene:DRNTG_06124 transcript:DRNTG_06124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFLLKRRFLSPVWINFSLVSRVCGLCSEAIRTPIDRDNELGTARNHFGRVGLPSMIPSIGNQQEKFDVELMDPDLWRVSFGLSHSMDGIVGKGEDFCSSVGDDDGSGDCSTVMDNCPDFDEIDDLRLRKKLFYKLDRGSKEFEEYSFDFHRKIPSSKHQEKSKQTSARKEDKKSEKQSLKVSSSQMNKLVNAEKKKASFQEGKKLPFKIERNTRESSGVGDMTKFIEGKKLRTPTFNQLTDPYHLPFCLDIFVTKGSVRACVVHRATSKVVVVAHSISKDMKFDVASRKGVKACFAVGKILAQRAMEEDIYNVVYTPRKGDKIEGKLQIVLQSIIDRGIDVKLKLKQKQKKPLKASQTVST >DRNTG_25536.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2982545:2986709:1 gene:DRNTG_25536 transcript:DRNTG_25536.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARDVVSWNAMMSGYTRNGFAWRALEAFQLLRVEEGLAPDLVSVETALQACAQIGEEAIFGGEMVHAVLFKFGFPMDVYAENCLLHMYCKCGKIETAHYLFDVMETRNIVSSNIIVHGYVQINEPKKAIAVIRQLRFVEAQISSDVLVNALQAVKLLASRLECVLCMHCLVIKMGYGCDEFVSSSLIDVYGDHGEAEFARKCLDDVVGEQKNATLCYNTMLSVYLHQDYYSQVFELLRLMCQNDCKIDSVTLVNAVSACARCLDLNLGRVMHAYILRKGFDSDVYVATSLIELYSKCGHLSFACWLFSKMSFRSIVSWNSLIHGCVENGFPRVSLKLFFFMQLQDGFMPDSTSVVGAVESIGLRGYENERNYIHNFAIQSGLASDEYVANALISMHARFCEFEKARLVFDGACKDRTVIWNTFMSEYTYHGMLDNAVSVFSLMKHENVSPDSVTILCLLRICAFLGSLSCTSVVHTFICKNGYDSDAHVETSLLDVYAKCGDLHSARLLFDKMNSKTVVSWNSMIQAYGIHGNVEEASNLFSQLQQSGILPTIVTFLILISACSHAGDAEKGWQYIDLMTQAYSLSPGREHFSSFIDLLGRRGLIKEAYEFLENLPVNPGVSAWGALFGACRAEGNLKVGLAAAKKVFDIDPLHCGYYSLLSNMFMEAGRCIDAFRIRRKVESMQVKKVQGYSMIESIY >DRNTG_27326.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17147012:17147453:1 gene:DRNTG_27326 transcript:DRNTG_27326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLRWSRWERGPYTHGHWDHPHTTTAMCPQPRIEFSPLGKSPIGDPYTNRPCNHWQPI >DRNTG_00583.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29940859:29948934:-1 gene:DRNTG_00583 transcript:DRNTG_00583.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription repressor KAN1 [Source:Projected from Arabidopsis thaliana (AT5G16560) UniProtKB/Swiss-Prot;Acc:Q93WJ9] MRSQGVFMETTSISGPDLSLHISLPSTGAIDATGSSSASTELSLSNHSSENPQRWPQGSLARAAAPPPPQDFHGMPFIQTPIKGIPIYNNNPFTFISTDKKMAFYKQASPTSSPFVDPMATYFQTIGGRPPPMSSSVKPQLHQLAMVPLEASSHSMMRSRFMPKLPPKRSMRAPRMRWTTALHSRFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPTISSGGQSDGSGEEDLISGNGGDLNFRRSTDNQEPNGTSTWSNSSRGTWLHSSSNNMETLRPDFSSQNDQMQETDLLRPKNSPESNGKLKFPSLEFTLGRADWHGAEHD >DRNTG_00583.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29940859:29942508:-1 gene:DRNTG_00583 transcript:DRNTG_00583.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription repressor KAN1 [Source:Projected from Arabidopsis thaliana (AT5G16560) UniProtKB/Swiss-Prot;Acc:Q93WJ9] MYRTVKTTDKPTISSGGQSDGSGEEDLISGNGGDLNFRRSTDNQEPNGTSTWSNSSRGTWLHSSSNNMETLRPDFSSQNDQMQETDLLRPKNSPESNGKLKFPSLEFTLGRADWHGAEHD >DRNTG_19951.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:513690:515229:-1 gene:DRNTG_19951 transcript:DRNTG_19951.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G26060) UniProtKB/TrEMBL;Acc:F4JBC9] MACTTSLSKHPIPYLHNTPKPKSSHSQIPLPIFPTSSHSQFHGLKLSTTTTTCSTTFFKSSFSPRTHIYAKVNKGDVPPSFTLKDQDGKNVSLSKFKGKPVVVYFYPADETPGCTKQACAFRDSYEKFKKAGAEVIGISGDDTASHKAFAKKYRLPFTLLSDEGSKVRKDWGVPSDLFGTLPGRQTYVLDKKGVVQLIYNNQFQPEKHVDETLKLLQSL >DRNTG_24246.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19922662:19926116:1 gene:DRNTG_24246 transcript:DRNTG_24246.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGIAAAEEELDVHLGQLKKFSLLELQVATDSFNKKNILGRGGFGNVYRGRLADGTLVAVKRLKEERTPGGEVQFQTEVEIISLAVHRNLLRLLGFCLTQTERLLVYPFMANGSVASLLRERSSSQPSLEWPTRWQIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFVAIVGDFGLAKLMNYRDTHVTTAVRGTIGHIAPEYISTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDDVMLLEWVKSLLKDKRLDKLIDPDMQNNYVETEVESLIRVALLCTQGSPADRPRMAEVVRMLEGEGLAERWEEWQDLEPVTQQDLRLQIPSSHGNSFSNFNYSAVELSGPR >DRNTG_24246.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19922333:19926116:1 gene:DRNTG_24246 transcript:DRNTG_24246.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGIAAGAALLFAAPAIGFAWWSRHRQRDYFYDIPAEEELDVHLGQLKKFSLLELQVATDSFNKKNILGRGGFGNVYRGRLADGTLVAVKRLKEERTPGGEVQFQTEVEIISLAVHRNLLRLLGFCLTQTERLLVYPFMANGSVASLLRERSSSQPSLEWPTRWQIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFVAIVGDFGLAKLMNYRDTHVTTAVRGTIGHIAPEYISTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDDVMLLEWVKSLLKDKRLDKLIDPDMQNNYVETEVESLIRVALLCTQGSPADRPRMAEVVRMLEGEGLAERWEEWQDLEPVTQQDLRLQIPSSHGNSFSNFNYSAVELSGPR >DRNTG_24246.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19919905:19924818:1 gene:DRNTG_24246 transcript:DRNTG_24246.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPWISHNFTSPVFHAKTMCSDLSNNHLSGEVPVTGSFSRFTPVSFKGNPLLCGPNTEKSCPNAPPLSPPPAIVQPASPSSKGNSASSVGTMAAGIAAGAALLFAAPAIGFAWWSRHRQRDYFYDIPAEEELDVHLGQLKKFSLLELQVATDSFNKKNILGRGGFGNVYRGRLADGTLVAVKRLKEERTPGGEVQFQTEVEIISLAVHRNLLRLLGFCLTQTERLLVYPFMANGSVASLLRERSSSQPSLEWPTRWQIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFVAIVGDFGLAKLMNYRDTHVTTAVRGTIGHIAPEYISTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDDVMLLEWVKSLLKDKRLDKLIDPDMQNNYVETEVESLIRVALLCTQGSPADRPRMAEVVRMLEGEGLAERWEEWQDLEPVTQQDLRLQIPSSHGNSFSNFNYSAVELSGPR >DRNTG_24246.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19919905:19924818:1 gene:DRNTG_24246 transcript:DRNTG_24246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWFLCLVLVLHHSSRVYANDEGDALYTFYLALIDSKGVLQSWDRTLPNPCTWFHVTCDPDDRVIRVDLGNAELSGKLVPQLGQLKKLQYLELYNNSISGTIPSELGNLSILASLDLYWNNFTGGIPDTLGKLTNLRFLRLNNNNLTDKIPQDLTKINNLQVLDLSNNHLSGEVPVTGSFSRFTPVSFKGNPLLCGPNTEKSCPNAPPLSPPPAIVQPASPSSKGNSASSVGTMAAGIAAGAALLFAAPAIGFAWWSRHRQRDYFYDIPAEEELDVHLGQLKKFSLLELQVATDSFNKKNILGRGGFGNVYRGRLADGTLVAVKRLKEERTPGGEVQFQTEVEIISLAVHRNLLRLLGFCLTQTERLLVYPFMANGSVASLLRERSSSQPSLEWPTRWQIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFVAIVGDFGLAKLMNYRDTHVTTAVRGTIGHIAPEYISTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDDVMLLEWVKSLLKDKRLDKLIDPDMQNNYVETEVESLIRVALLCTQGSPADRPRMAEVVRMLEGEGLAERWEEWQDLEPVTQQDLRLQIPSSHGNSFSNFNYSAVELSGPR >DRNTG_24246.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19924220:19924818:1 gene:DRNTG_24246 transcript:DRNTG_24246.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQNNYVETEVESLIRVALLCTQGSPADRPRMAEVVRMLEGEGLAERWEEWQDLEPVTQQDLRLQIPSSHGNSFSNFNYSAVELSGPR >DRNTG_24246.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19919905:19924818:1 gene:DRNTG_24246 transcript:DRNTG_24246.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPWISHNFTSPVFHAKTMCSDLSNNHLSGEVPVTGSFSRFTPVSFKGNPLLCGPNTEKSCPNAPPLSPPPAIVQPASPSSKGNSASSVGTMAAGIAAGAALLFAAPAIGFAWWSRHRQRDYFYDIPAEEELDVHLGQLKKFSLLELQVATDSFNKKNILGRGGFGNVYRGRLADGTLVAVKRLKEERTPGGEVQFQTEVEIISLAVHRNLLRLLGFCLTQTERLLVYPFMANGSVASLLRERSSSQPSLEWPTRWQIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFVAIVGDFGLAKLMNYRDTHVTTAVRGTIGHIAPEYISTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDDVMLLEWVKSLLKDKRLDKLIDPDMQNNYVETEVESLIRVALLCTQGSPADRPRMAEVVRMLEGEGLAERWEEWQDLEPVTQQDLRLQIPSSHGNSFSNFNYSAVELSGPR >DRNTG_08671.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4769295:4770821:1 gene:DRNTG_08671 transcript:DRNTG_08671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDAKLKKYIEENGTGGNWIALPHKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEAEDQIICNLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGKRPETLSSSRLSSIENSGDELNGMHCNSPTQSLSASAIERMQLHMQLQGLCNPFSFYNHPALWPKHHLQMDRPLHSQQTDAIITAPVSQVTEDYRLSQQGINLPMSATVQEELGFSSSSSSTVFNSEVAGLQAELRELIYGRNESFGGQSSDNQITIPDCLKDMNEGKEHMNWWPNNTIEDKYSSPISSWDSASGFQPDSLIQDFMSCYDVL >DRNTG_10472.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16738890:16741565:1 gene:DRNTG_10472 transcript:DRNTG_10472.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEEPYRSTVAATIKPGRSSSTRQQLRDQEQ >DRNTG_28195.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001428.1:64659:65223:-1 gene:DRNTG_28195 transcript:DRNTG_28195.1 gene_biotype:protein_coding transcript_biotype:protein_coding IIHKTTTSMNNADKAEDFIPWQAHQIASPHTP >DRNTG_26375.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:767687:768459:1 gene:DRNTG_26375 transcript:DRNTG_26375.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQPLSSTVLLIFSLSLTTLLSDHHQTLFLSIFFHSSNDTITSNSSSSSSSSSSSASTSTTLCMNGFLKTIKENNIRWIHCGNAKKDQGERMRRMEKTL >DRNTG_26375.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:766521:768459:1 gene:DRNTG_26375 transcript:DRNTG_26375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQPLSSTVLLIFSLSLTTLLSDHHQTLFLSIFFHSSNDTITSNSSSSSSSSSSSASTSTTLCMNGFLKTIKENNIRWIHCGNAKKDQGERMRRMEKTL >DRNTG_01513.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:13875107:13878398:-1 gene:DRNTG_01513 transcript:DRNTG_01513.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLEEDPSTSTLARFGGSLTGERPLVTSKPQTRESLQCFTLLLAQLSPSGVHPLELHSIATAKNSRKLRYYKSHWKGKGMLRYLSTHPLNPLQSSNV >DRNTG_17785.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000912.1:1:5583:-1 gene:DRNTG_17785 transcript:DRNTG_17785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQNAMTSKEPIKVREELPLNRQIPSPQTLAASLSMSLLKAPQKVIGHTIIW >DRNTG_24648.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8040032:8045020:1 gene:DRNTG_24648 transcript:DRNTG_24648.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSFNRNCSSFFWSLQSAVVSSKSSTSASVSRSYCFMTSGCRISDKGIKAN >DRNTG_24648.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8040032:8045020:1 gene:DRNTG_24648 transcript:DRNTG_24648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAYAGDLHGQGPQEGGGRRSPPGDSGHVAPPISTRERPPLNPRRIATWTKIHQRLDRKRMMTMVSMTSMMIQTMLLATWRTRGGWRGSSQGLVMSIIYGAVFAVLTEICEVHTMESFALRNFSGSLAR >DRNTG_24648.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8040032:8045020:1 gene:DRNTG_24648 transcript:DRNTG_24648.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLMSRMSRLTSTNKSCYIYFFIQFSSFVTGSLFCNYPGLFSLQ >DRNTG_16015.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17571072:17574060:1 gene:DRNTG_16015 transcript:DRNTG_16015.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTLLSTLVFLVLIRVSSAELARDRAALQDFIAKTPHEGRLRWNSTVPTCEWTGVTCDANGSSVIALRLPGVGLVGVLPAGTLARLSSLRVLSLRANRLSGALPDDFSSLVSLRHLYLQENLLSGEFPAVIPKLVGLTRLDLSGNGFSGQIPFAVNNLTQLTGLFLERNRFSGTLPNIAIQTLNAFNVSYNHLNGSIPETLADFPASSFTGNIQLCGGPLQPCERPFFQSPSPAPTATRTRSFSSRKLSTAAIIVIATASAAVVSALLLMMIWCAMRRREEKGKPPKAPESVVARGVDPMGTASSSSKDMGSGVGEADRNRLVFVGEGGGYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVAASRKEFEGHVEALGRVAVHANVLPLRAYYYSKDEKLVVYDHLPAGCLAGVIHGTRGSGRTPLDWDGRLQVALAVGRGLSHLHNSAKIPHGNIKANNILLRDNPSSAVLSDFGLTPLFSSAAPPPTRVAGYRAPEVIETRRATFKSDVYSYGVLLLELLTGRAPNQTTIGSEEGIDLPRWVQSVVREEWTAEVFDAELMRSPGVEEEMVQLLQIAMACVATMPESRPEIGEVVKMIEEIVSRSEPTPPSDDQEVTEGVSLS >DRNTG_16015.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17570969:17574140:1 gene:DRNTG_16015 transcript:DRNTG_16015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTLLSTLVFLVLIRVSSAELARDRAALQDFIAKTPHEGRLRWNSTVPTCEWTGVTCDANGSSVIALRLPGVGLVGVLPAGTLARLSSLRVLSLRANRLSGALPDDFSSLVSLRHLYLQENLLSGEFPAVIPKLVGLTRLDLSGNGFSGQIPFAVNNLTQLTGLFLERNRFSGTLPNIAIQTLNAFNVSYNHLNGSIPETLADFPASSFTGNIQLCGGPLQPCERPFFQSPSPAPTATRTRSFSSRKLSTAAIIVIATASAAVVSALLLMMIWCAMRRREEKGKPPKAPESVVARGVDPMGTASSSSKDMGSGVGEADRNRLVFVGEGGGYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVAASRKEFEGHVEALGRVAVHANVLPLRAYYYSKDEKLVVYDHLPAGCLAGVIHGTRGSGRTPLDWDGRLQVALAVGRGLSHLHNSAKIPHGNIKANNILLRDNPSSAVLSDFGLTPLFSSAAPPPTRVAGYRAPEVIETRRATFKSDVYSYGVLLLELLTGRAPNQTTIGSEEGIDLPRWVQSVVREEWTAEVFDAELMRSPGVEEEMVQLLQIAMACVATMPESRPEIGEVVKMIEEIVSRSEPTPPSDDQEVTEGVSLS >DRNTG_16015.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17570969:17574060:1 gene:DRNTG_16015 transcript:DRNTG_16015.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTLLSTLVFLVLIRVSSAELARDRAALQDFIAKTPHEGRLRWNSTVPTCEWTGVTCDANGSSVIALRLPGVGLVGVLPAGTLARLSSLRVLSLRANRLSGALPDDFSSLVSLRHLYLQENLLSGEFPAVIPKLVGLTRLDLSGNGFSGQIPFAVNNLTQLTGLFLERNRFSGTLPNIAIQTLNAFNVSYNHLNGSIPETLADFPASSFTGNIQLCGGPLQPCERPFFQSPSPAPTATRTRSFSSRKLSTAAIIVIATASAAVVSALLLMMIWCAMRRREEKGKPPKAPESVVARGVDPMGTASSSSKDMGSGVGEADRNRLVFVGEGGGYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVAASRKEFEGHVEALGRVAVHANVLPLRAYYYSKDEKLVVYDHLPAGCLAGVIHGTRGSGRTPLDWDGRLQVALAVGRGLSHLHNSAKIPHGNIKANNILLRDNPSSAVLSDFGLTPLFSSAAPPPTRVAGYRAPEVIETRRATFKSDVYSYGVLLLELLTGRAPNQTTIGSEEGIDLPRWVQSVVREEWTAEVFDAELMRSPGVEEEMVQLLQIAMACVATMPESRPEIGEVVKMIEEIVSRSEPTPPSDDQEVTEGVSLS >DRNTG_16015.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17571072:17574140:1 gene:DRNTG_16015 transcript:DRNTG_16015.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTLLSTLVFLVLIRVSSAELARDRAALQDFIAKTPHEGRLRWNSTVPTCEWTGVTCDANGSSVIALRLPGVGLVGVLPAGTLARLSSLRVLSLRANRLSGALPDDFSSLVSLRHLYLQENLLSGEFPAVIPKLVGLTRLDLSGNGFSGQIPFAVNNLTQLTGLFLERNRFSGTLPNIAIQTLNAFNVSYNHLNGSIPETLADFPASSFTGNIQLCGGPLQPCERPFFQSPSPAPTATRTRSFSSRKLSTAAIIVIATASAAVVSALLLMMIWCAMRRREEKGKPPKAPESVVARGVDPMGTASSSSKDMGSGVGEADRNRLVFVGEGGGYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVAASRKEFEGHVEALGRVAVHANVLPLRAYYYSKDEKLVVYDHLPAGCLAGVIHGTRGSGRTPLDWDGRLQVALAVGRGLSHLHNSAKIPHGNIKANNILLRDNPSSAVLSDFGLTPLFSSAAPPPTRVAGYRAPEVIETRRATFKSDVYSYGVLLLELLTGRAPNQTTIGSEEGIDLPRWVQSVVREEWTAEVFDAELMRSPGVEEEMVQLLQIAMACVATMPESRPEIGEVVKMIEEIVSRSEPTPPSDDQEVTEGVSLS >DRNTG_16015.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17570969:17573940:1 gene:DRNTG_16015 transcript:DRNTG_16015.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTLLSTLVFLVLIRVSSAELARDRAALQDFIAKTPHEGRLRWNSTVPTCEWTGVTCDANGSSVIALRLPGVGLVGVLPAGTLARLSSLRVLSLRANRLSGALPDDFSSLVSLRHLYLQENLLSGEFPAVIPKLVGLTRLDLSGNGFSGQIPFAVNNLTQLTGLFLERNRFSGTLPNIAIQTLNAFNVSYNHLNGSIPETLADFPASSFTGNIQLCGGPLQPCERPFFQSPSPAPTATRTRSFSSRKLSTAAIIVIATASAAVVSALLLMMIWCAMRRREEKGKPPKAPESVVARGVDPMGTASSSSKDMGSGVGEADRNRLVFVGEGGGYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVAASRKEFEGHVEALGRVAVHANVLPLRAYYYSKDEKLVVYDHLPAGCLAGVIHGTRGSGRTPLDWDGRLQVALAVGRGLSHLHNSAKIPHGNIKANNILLRDNPSSAVLSDFGLTPLFSSAAPPPTRVAGYRAPEVIETRRATFKSDVYSYGVLLLELLTGRAPNQTTIGSEEGIDLPRWVQSVVREEWTAEVFDAELMRSPGVEEEMVQLLQIAMACVATMPESRPEIGEVVKMIEEIVSRSEPTPPSDDQEVTEGVSLS >DRNTG_16015.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17571072:17573940:1 gene:DRNTG_16015 transcript:DRNTG_16015.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTLLSTLVFLVLIRVSSAELARDRAALQDFIAKTPHEGRLRWNSTVPTCEWTGVTCDANGSSVIALRLPGVGLVGVLPAGTLARLSSLRVLSLRANRLSGALPDDFSSLVSLRHLYLQENLLSGEFPAVIPKLVGLTRLDLSGNGFSGQIPFAVNNLTQLTGLFLERNRFSGTLPNIAIQTLNAFNVSYNHLNGSIPETLADFPASSFTGNIQLCGGPLQPCERPFFQSPSPAPTATRTRSFSSRKLSTAAIIVIATASAAVVSALLLMMIWCAMRRREEKGKPPKAPESVVARGVDPMGTASSSSKDMGSGVGEADRNRLVFVGEGGGYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVAASRKEFEGHVEALGRVAVHANVLPLRAYYYSKDEKLVVYDHLPAGCLAGVIHGTRGSGRTPLDWDGRLQVALAVGRGLSHLHNSAKIPHGNIKANNILLRDNPSSAVLSDFGLTPLFSSAAPPPTRVAGYRAPEVIETRRATFKSDVYSYGVLLLELLTGRAPNQTTIGSEEGIDLPRWVQSVVREEWTAEVFDAELMRSPGVEEEMVQLLQIAMACVATMPESRPEIGEVVKMIEEIVSRSEPTPPSDDQEVTEGVSLS >DRNTG_27615.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3648435:3650008:-1 gene:DRNTG_27615 transcript:DRNTG_27615.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQTLTSFSFHHSSSSSSSSLQALVSPVKMEVVALKVSSREEMGEVVGEEVGVGLEKGSSLGDEFSIDDLLDFGAEEEADEAGVREDEEAEVFEPENSNSSSSSSLSLELPPLSEIALPAADVAELEWVSRIMDDSYSEFPPCSGVIPGVPVPKIEPKVEAVVPVKAKRSKRHRTAGWVRASSGQNPDPTSSSSTSSPVSSCSSSSSSPPCLIYDFKPPSLLLLDDEAPQSPKPKKRGRKPKSIVAATAGATGERRCSHCGVQKTPQWRAGPLGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSHVHSNSHRKVLEMRRKKAEEEQRRHFLLTIPSSPLLPIYS >DRNTG_27615.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3648435:3649977:-1 gene:DRNTG_27615 transcript:DRNTG_27615.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQTLTSFSFHHSSSSSSSSLQALVSPVKMEVVALKVSSREEMGEVVGEEVGVGLEKGSSLGDEFSIDDLLDFGAEEEADEAGVREDEEAEVFEPENSNSSSSSSLSLELPPLSEIALPAADVAELEWVSRIMDDSYSEFPPCSGVIPGVPVPKIEPKVEAVVPVKAKRSKRHRTAGWVRASSGQNPDPTSSSSTSSPVSSCSSSSSSPPCLIYDFKPPSLLLLDDEAPQSPKPKKRGRKPKSIVAATAGATGERRCSHCGVQKTPQWRAGPLGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSHVHSNSHRKVLEMRRKKAEEEQRRHFLLTIPSSPLLPIYS >DRNTG_27615.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3648039:3649977:-1 gene:DRNTG_27615 transcript:DRNTG_27615.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQTLTSFSFHHSSSSSSSSLQALVSPVKMEVVALKVSSREEMGEVVGEEVGVGLEKGSSLGDEFSIDDLLDFGAEEEADEAGVREDEEAEVFEPENSNSSSSSSLSLELPPLSEIALPAADVAELEWVSRIMDDSYSEFPPCSGVIPGVPVPKIEPKVEAVVPVKAKRSKRHRTAGWVRASSGQNPDPTSSSSTSSPVSSCSSSSSSPPCLIYDFKPPSLLLLDDEAPQSPKPKKRGRKPKSIVAATAGATGERRCSHCGVQKTPQWRAGPLGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSHVHSNSHRKVLEMRRKKAEEEQRRHFLLTIPSSPLLPIYS >DRNTG_27615.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3648435:3650008:-1 gene:DRNTG_27615 transcript:DRNTG_27615.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSYSEFPPCSGVIPGVPVPKIEPKVEAVVPVKAKRSKRHRTAGWVRASSGQNPDPTSSSSTSSPVSSCSSSSSSPPCLIYDFKPPSLLLLDDEAPQSPKPKKRGRKPKSIVAATAGATGERRCSHCGVQKTPQWRAGPLGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSHVHSNSHRKVLEMRRKKAEEEQRRHFLLTIPSSPLLPIYS >DRNTG_27615.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3648435:3650245:-1 gene:DRNTG_27615 transcript:DRNTG_27615.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQTLTSFSFHHSSSSSSSSLQALVSPVKMEVVALKVSSREEMGEVVGEEVGVGLEKGSSLGDEFSIDDLLDFGAEEEADEAGVREDEEAEVFEPENSNSSSSSSLSLELPPLSEIALPAADVAELEWVSRIMDDSYSEFPPCSGVIPGVPVPKIEPKVEAVVPVKAKRSKRHRTAGWVRASSGQNPDPTSSSSTSSPVSSCSSSSSSPPCLIYDFKPPSLLLLDDEAPQSPKPKKRGRKPKSIVAATAGATGERRCSHCGVQKTPQWRAGPLGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSHVHSNSHRKVLEMRRKKAEEEQRRHFLLTIPSSPLLPIYS >DRNTG_27615.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3648435:3650105:-1 gene:DRNTG_27615 transcript:DRNTG_27615.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQTLTSFSFHHSSSSSSSSLQALVSPVKMEVVALKVSSREEMGEVVGEEVGVGLEKGSSLGDEFSIDDLLDFGAEEEADEAGVREDEEAEVFEPENSNSSSSSSLSLELPPLSEIALPAADVAELEWVSRIMDDSYSEFPPCSGVIPGVPVPKIEPKVEAVVPVKAKRSKRHRTAGWVRASSGQNPDPTSSSSTSSPVSSCSSSSSSPPCLIYDFKPPSLLLLDDEAPQSPKPKKRGRKPKSIVAATAGATGERRCSHCGVQKTPQWRAGPLGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSHVHSNSHRKVLEMRRKKAEEEQRRHFLLTIPSSPLLPIYS >DRNTG_27615.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3648039:3649977:-1 gene:DRNTG_27615 transcript:DRNTG_27615.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSYSEFPPCSGVIPGVPVPKIEPKVEAVVPVKAKRSKRHRTAGWVRASSGQNPDPTSSSSTSSPVSSCSSSSSSPPCLIYDFKPPSLLLLDDEAPQSPKPKKRGRKPKSIVAATAGATGERRCSHCGVQKTPQWRAGPLGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSHVHSNSHRKVLEMRRKKAEEEQRRHFLLTIPSSPLLPIYS >DRNTG_27615.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3648039:3650008:-1 gene:DRNTG_27615 transcript:DRNTG_27615.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQTLTSFSFHHSSSSSSSSLQALVSPVKMEVVALKVSSREEMGEVVGEEVGVGLEKGSSLGDEFSIDDLLDFGAEEEADEAGVREDEEAEVFEPENSNSSSSSSLSLELPPLSEIALPAADVAELEWVSRIMDDSYSEFPPCSGVIPGVPVPKIEPKVEAVVPVKAKRSKRHRTAGWVRASSGQNPDPTSSSSTSSPVSSCSSSSSSPPCLIYDFKPPSLLLLDDEAPQSPKPKKRGRKPKSIVAATAGATGERRCSHCGVQKTPQWRAGPLGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSHVHSNSHRKVLEMRRKKAEEEQRRHFLLTIPSSPLLPIYS >DRNTG_27615.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3648039:3650105:-1 gene:DRNTG_27615 transcript:DRNTG_27615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQTLTSFSFHHSSSSSSSSLQALVSPVKMEVVALKVSSREEMGEVVGEEVGVGLEKGSSLGDEFSIDDLLDFGAEEEADEAGVREDEEAEVFEPENSNSSSSSSLSLELPPLSEIALPAADVAELEWVSRIMDDSYSEFPPCSGVIPGVPVPKIEPKVEAVVPVKAKRSKRHRTAGWVRASSGQNPDPTSSSSTSSPVSSCSSSSSSPPCLIYDFKPPSLLLLDDEAPQSPKPKKRGRKPKSIVAATAGATGERRCSHCGVQKTPQWRAGPLGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSHVHSNSHRKVLEMRRKKAEEEQRRHFLLTIPSSPLLPIYS >DRNTG_26263.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6215831:6217384:-1 gene:DRNTG_26263 transcript:DRNTG_26263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPVGWAHWRGEFPHARVDAFREMALITKKSPGKHPREHSPEPEHMEFAIPKQQAREVQLADDIADEVDELLSVGSWCRLLTLHDPAIRMLMLETHHRDGFIGYYSWGREDDRTCSSGHKDDEIDGIVRRYGDGVYVLNMPPPEIAEGRGNATEGSHPVPEPQEE >DRNTG_03425.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3233200:3233574:1 gene:DRNTG_03425 transcript:DRNTG_03425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSPRADKLSDFNECSTSSVAEKGHFFIYTSEGKRFMVPLAYLENNIFKELLKISEEEFGLPGDGPITLPCDAASMEYVLSMLRRGVSQEVERALLSSIFISCKSTCSTLAVEHTQQFAVCSC >DRNTG_13989.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27789536:27794017:1 gene:DRNTG_13989 transcript:DRNTG_13989.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allantoate deiminase [Source:Projected from Arabidopsis thaliana (AT4G20070) UniProtKB/Swiss-Prot;Acc:O49434] MAISFPWFYGSKPLLLFHVSILLISGLSLGTGTGTGTVDYGSDEVFADVLRDEAVDRIHQLSKISDGDGYLERTFLSPASIRAANLILSWMQDAGLRTWVDPMGNVHGRTESVNPTAEALLIGSHLDTVIDAGMYDGTLGIICAISALKVLKNTGKLDELVRPVEVIAFSDEEGVRFQTTFLGSAAIAGILPESALKISDKNGVTVEEVLKENSFEATEESLTQVKYDPKSVWGYIELHIEQGPLLESLGYPLGVVKGIAGQTRLKVIVRGSQGHAGTVPMFMRQDPMAASAELIILLESLCKHPENFLTYDEKCGGFPKESLTGLVCTVGEISSWPSASNVIPGQEIPLILLSL >DRNTG_13989.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27789536:27794017:1 gene:DRNTG_13989 transcript:DRNTG_13989.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allantoate deiminase [Source:Projected from Arabidopsis thaliana (AT4G20070) UniProtKB/Swiss-Prot;Acc:O49434] MAISFPWFYGSKPLLLFHVSILLISGLSLGTGTGTGTVDYGSDEVFADVLRDEAVDRIHQLSKISDGDGYLERTFLSPASIRAANLILSWMQDAGLRTWVDPMGNVHGRTESVNPTAEALLIGSHLDTVIDAGMYDGTLGIICAISALKVLKNTGKLDELVRPVEVIAFSDEEGVRFQTTFLGSAAIAGILPESALKISDKKFVLYL >DRNTG_19317.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:683158:689117:1 gene:DRNTG_19317 transcript:DRNTG_19317.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPPVKYKRRRVSAIRHFPKGCGPHAPKLADLVNPAEEIHPTSVPDDPVLVSATKEVSLPQEFEKAASDRTGLDESLKVFNGESVDLIVGDGGAPSEAVGGEEKMDVEVGKAKELVLKMYPPPRRRKVSAIRTFPAGCGSKAPPLMKALEDESLIVRGNENVELDGRIVENTGSRLVDPAPATHVGKSMEAEEKHNGKEIECVEKVNKDDEIGLDTNDSPKAKSAEGVQKLVVKPRDNSREFGSSSVVLKVGDVDGRKLESDSEIGRDGSESPKVSNVDGDQKLDVKPGDNEKVVESASVVQKDANVDVLKKLALKQKVVDKSSNQVVVPVGEVEVEEKGNATKQAKERTIENMGLVCVRSLENIHSRENVAEGEGKKMTLNRSVSEKKMEVDNLKHDAKSTKFGLQENRPFQLDATSPSRIKIVDRDGSSLPEREEDSRCLMIRKNTKKLARKSLVRSQEKSIVLSAQEQEDLVSYADRLIVQALTSAENCPWKQGKKPIKSGSQTVQALTTAENCPWKQGKKPIKSRSQTVTPKNKVKRNGKASGKLSAGVASSQGTSLVAVNDENGSLHWEDEIRQALVAHKKTRQFDVTLTPLGPSMPCPPESGGNEGVVTRNSVKKTLRLFQVIVRKLLQREESKRADPNRGNRIDLMAANILKEHNEWVNKGKNFVGVVPGVEVGDEFHYRVELSIIGLHRPFQGGIDATKLGDINVATSIVASGGYPDDLDSSESSDVLIYSGSGGNPQKGEIQGSDQKLERGNLALKNSIETKTPVRVIYGFKETKASESHDSKPKLVSTFTYDGLYLVESYWKERGKHGDVFKFRLRRIPGQPDIGLKEVKKSKKLKEREGLCVKDISNGKEIIPICVINNIDSDQPLPFKYITKVIAPSWYIPTPPVGCECTNGCLDSVNCACAIKNGGEIPYNFSGAIIQAKPLVYECGPSCKCPPSCSNRVSQHGIKIPLEVFKTRSRGWGVRSLSSIQSGSFICEYIGELLQESEAEQRCNDEYLFDIGHNYDDQALWEGLPTLIPALQSNPLGEVKEDSGFTIDAADCGNVGRFINHSCSPNLYAQNVLYDHDDKRIPHIMFFAADNIPPLQELTYHYNYTIDQVRDSEGNIKRKDCYCGAPDCTGRLY >DRNTG_19317.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:682935:687658:1 gene:DRNTG_19317 transcript:DRNTG_19317.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPPVKYKRRRVSAIRHFPKGCGPHAPKLADLVNPAEEIHPTSVPDDPVLVSATKEVSLPQEFEKAASDRTGLDESLKVFNGESVDLIVGDGGAPSEAVGGEEKMDVEVGKAKELVLKMYPPPRRRKVSAIRTFPAGCGSKAPPLMKALEDESLIVRGNENVELDGRIVENTGSRLVDPAPATHVGKSMEAEEKHNGKEIECVEKVNKDDEIGLDTNDSPKAKSAEGVQKLVVKPRDNSREFGSSSVVLKVGDVDGRKLESDSEIGRDGSESPKVSNVDGDQKLDVKPGDNEKVVESASVVQKDANVDVLKKLALKQKVVDKSSNQVVVPVGEVEVEEKGNATKQAKERTIENMGLVCVRSLENIHSRENVAEGEGKKMTLNRSVSEKKMEVDNLKHDAKSTKFGLQENRPFQLDATSPSRIKIVDRDGSSLPEREEDSRCLMIRKNTKKLARKSLVRSQEKSIVLSAQEQEDLVSYADRLIVQALTSAENCPWKQGKKPIKSGSQTVQALTTAENCPWKQGKKPIKSRSQTVTPKNKVKRNGKASGKLSAGVASSQGTSLVAVNDENGSLHWEDEIRQALVAHKKTRQFDVTLTPLGPSMPCPPESGGNEGVVTRNSVKKTLRLFQVIVRKLLQREESKRADPNRGNRIDLMAANILKEHNEWVNKGKNFVGVVPGVEVGDEFHYRVELSIIGLHRPFQGGIDATKLGDINVATSIVASGGYPDDLDSSESSDVLIYSGSGGNPQKGEIQGSDQKLERGNLALKNSIETKTPVRVIYGFKETKASESHDSKPKLVSTFTYDGLYLVESYWKERGKHGDVFKFRLRRIPGQPDIGLKEVKKSKKLKEREGLCVKDISNGKEIIPICVINNIDSDQPLPFKYITKVIAPSWYIPTPPVGCECTNGCLDSVNCACAIKNGGEIPYNFSGAIIQAKPLVYECGPSCKCPPSCSNRVSQHGIKIPLEVFKTRSRGWGVRSLSSIQSGSFICEYIGELLQESEAEQRCNDEYLFDIGHNYDDQALWEGLPTLIPALQSNPLGEVKEDSGFTIDAADCGNVGRFINHSCSPNLYAQNVLYDHDDKRIPHIMFFAADNIPPLQELTYHYNYTIDQVRDSEGNIKRKDCYCGAPDCTGRLY >DRNTG_19317.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:683158:687886:1 gene:DRNTG_19317 transcript:DRNTG_19317.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPPVKYKRRRVSAIRHFPKGCGPHAPKLADLVNPAEEIHPTSVPDDPVLVSATKEVSLPQEFEKAASDRTGLDESLKVFNGESVDLIVGDGGAPSEAVGGEEKMDVEVGKAKELVLKMYPPPRRRKVSAIRTFPAGCGSKAPPLMKALEDESLIVRGNENVELDGRIVENTGSRLVDPAPATHVGKSMEAEEKHNGKEIECVEKVNKDDEIGLDTNDSPKAKSAEGVQKLVVKPRDNSREFGSSSVVLKVGDVDGRKLESDSEIGRDGSESPKVSNVDGDQKLDVKPGDNEKVVESASVVQKDANVDVLKKLALKQKVVDKSSNQVVVPVGEVEVEEKGNATKQAKERTIENMGLVCVRSLENIHSRENVAEGEGKKMTLNRSVSEKKMEVDNLKHDAKSTKFGLQENRPFQLDATSPSRIKIVDRDGSSLPEREEDSRCLMIRKNTKKLARKSLVRSQEKSIVLSAQEQEDLVSYADRLIVQALTSAENCPWKQGKKPIKSGSQTVQALTTAENCPWKQGKKPIKSRSQTVTPKNKVKRNGKASGKLSAGVASSQGTSLVAVNDENGSLHWEDEIRQALVAHKKTRQFDVTLTPLGPSMPCPPESGGNEGVVTRNSVKKTLRLFQVIVRKLLQREESKRADPNRGNRIDLMAANILKEHNEWVNKGKNFVGVVPGVEVGDEFHYRVELSIIGLHRPFQGGIDATKLGDINVATSIVASGGYPDDLDSSESSDVLIYSGSGGNPQKGEIQGSDQKLERGNLALKNSIETKTPVRVIYGFKETKASESHDSKPKLVSTFTYDGLYLVESYWKERGKHGDVFKFRLRRIPGQPDIGLKEVKKSKKLKEREGLCVKDISNGKEIIPICVINNIDSDQPLPFKYITKVIAPSWYIPTPPVGCECTNGCLDSVNCACAIKNGGEIPYNFSGAIIQAKPLVYECGPSCKCPPSCSNRVSQHGIKIPLEVFKTRSRGWGVRSLSSIQSGSFICEYIGELLQESEAEQRCNDEYLFDIGHNYDDQALWEGLPTLIPALQSNPLGEVKEDSGFTIDAADCGNVGRFINHSCSPNLYAQNVLYDHDDKRIPHIMFFAADNIPPLQELTYHYNYTIDQVRDSEGNIKRKDCYCGAPDCTGRLY >DRNTG_19317.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:683158:687658:1 gene:DRNTG_19317 transcript:DRNTG_19317.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPPVKYKRRRVSAIRHFPKGCGPHAPKLADLVNPAEEIHPTSVPDDPVLVSATKEVSLPQEFEKAASDRTGLDESLKVFNGESVDLIVGDGGAPSEAVGGEEKMDVEVGKAKELVLKMYPPPRRRKVSAIRTFPAGCGSKAPPLMKALEDESLIVRGNENVELDGRIVENTGSRLVDPAPATHVGKSMEAEEKHNGKEIECVEKVNKDDEIGLDTNDSPKAKSAEGVQKLVVKPRDNSREFGSSSVVLKVGDVDGRKLESDSEIGRDGSESPKVSNVDGDQKLDVKPGDNEKVVESASVVQKDANVDVLKKLALKQKVVDKSSNQVVVPVGEVEVEEKGNATKQAKERTIENMGLVCVRSLENIHSRENVAEGEGKKMTLNRSVSEKKMEVDNLKHDAKSTKFGLQENRPFQLDATSPSRIKIVDRDGSSLPEREEDSRCLMIRKNTKKLARKSLVRSQEKSIVLSAQEQEDLVSYADRLIVQALTSAENCPWKQGKKPIKSGSQTVQALTTAENCPWKQGKKPIKSRSQTVTPKNKVKRNGKASGKLSAGVASSQGTSLVAVNDENGSLHWEDEIRQALVAHKKTRQFDVTLTPLGPSMPCPPESGGNEGVVTRNSVKKTLRLFQVIVRKLLQREESKRADPNRGNRIDLMAANILKEHNEWVNKGKNFVGVVPGVEVGDEFHYRVELSIIGLHRPFQGGIDATKLGDINVATSIVASGGYPDDLDSSESSDVLIYSGSGGNPQKGEIQGSDQKLERGNLALKNSIETKTPVRVIYGFKETKASESHDSKPKLVSTFTYDGLYLVESYWKERGKHGDVFKFRLRRIPGQPDIGLKEVKKSKKLKEREGLCVKDISNGKEIIPICVINNIDSDQPLPFKYITKVIAPSWYIPTPPVGCECTNGCLDSVNCACAIKNGGEIPYNFSGAIIQAKPLVYECGPSCKCPPSCSNRVSQHGIKIPLEVFKTRSRGWGVRSLSSIQSGSFICEYIGELLQESEAEQRCNDEYLFDIGHNYDDQALWEGLPTLIPALQSNPLGEVKEDSGFTIDAADCGNVGRFINHSCSPNLYAQNVLYDHDDKRIPHIMFFAADNIPPLQELTYHYNYTIDQVRDSEGNIKRKDCYCGAPDCTGRLY >DRNTG_19317.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:682935:687631:1 gene:DRNTG_19317 transcript:DRNTG_19317.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPPVKYKRRRVSAIRHFPKGCGPHAPKLADLVNPAEEIHPTSVPDDPVLVSATKEVSLPQEFEKAASDRTGLDESLKVFNGESVDLIVGDGGAPSEAVGGEEKMDVEVGKAKELVLKMYPPPRRRKVSAIRTFPAGCGSKAPPLMKALEDESLIVRGNENVELDGRIVENTGSRLVDPAPATHVGKSMEAEEKHNGKEIECVEKVNKDDEIGLDTNDSPKAKSAEGVQKLVVKPRDNSREFGSSSVVLKVGDVDGRKLESDSEIGRDGSESPKVSNVDGDQKLDVKPGDNEKVVESASVVQKDANVDVLKKLALKQKVVDKSSNQVVVPVGEVEVEEKGNATKQAKERTIENMGLVCVRSLENIHSRENVAEGEGKKMTLNRSVSEKKMEVDNLKHDAKSTKFGLQENRPFQLDATSPSRIKIVDRDGSSLPEREEDSRCLMIRKNTKKLARKSLVRSQEKSIVLSAQEQEDLVSYADRLIVQALTSAENCPWKQGKKPIKSGSQTVQALTTAENCPWKQGKKPIKSRSQTVTPKNKVKRNGKASGKLSAGVASSQGTSLVAVNDENGSLHWEDEIRQALVAHKKTRQFDVTLTPLGPSMPCPPESGGNEGVVTRNSVKKTLRLFQVIVRKLLQREESKRADPNRGNRIDLMAANILKEHNEWVNKGKNFVGVVPGVEVGDEFHYRVELSIIGLHRPFQGGIDATKLGDINVATSIVASGGYPDDLDSSESSDVLIYSGSGGNPQKGEIQGSDQKLERGNLALKNSIETKTPVRVIYGFKETKASESHDSKPKLVSTFTYDGLYLVESYWKERGKHGDVFKFRLRRIPGQPDIGLKEVKKSKKLKEREGLCVKDISNGKEIIPICVINNIDSDQPLPFKYITKVIAPSWYIPTPPVGCECTNGCLDSVNCACAIKNGGEIPYNFSGAIIQAKPLVYECGPSCKCPPSCSNRVSQHGIKIPLEVFKTRSRGWGVRSLSSIQSGSFICEYIGELLQESEAEQRCNDEYLFDIGHNYDDQALWEGLPTLIPALQSNPLGEVKEDSGFTIDAADCGNVGRFINHSCSPNLYAQNVLYDHDDKRIPHIMFFAADNIPPLQELTYHYNYTIDQVRDSEGNIKRKDCYCGAPDCTGRLY >DRNTG_19317.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:683158:687631:1 gene:DRNTG_19317 transcript:DRNTG_19317.6 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPPVKYKRRRVSAIRHFPKGCGPHAPKLADLVNPAEEIHPTSVPDDPVLVSATKEVSLPQEFEKAASDRTGLDESLKVFNGESVDLIVGDGGAPSEAVGGEEKMDVEVGKAKELVLKMYPPPRRRKVSAIRTFPAGCGSKAPPLMKALEDESLIVRGNENVELDGRIVENTGSRLVDPAPATHVGKSMEAEEKHNGKEIECVEKVNKDDEIGLDTNDSPKAKSAEGVQKLVVKPRDNSREFGSSSVVLKVGDVDGRKLESDSEIGRDGSESPKVSNVDGDQKLDVKPGDNEKVVESASVVQKDANVDVLKKLALKQKVVDKSSNQVVVPVGEVEVEEKGNATKQAKERTIENMGLVCVRSLENIHSRENVAEGEGKKMTLNRSVSEKKMEVDNLKHDAKSTKFGLQENRPFQLDATSPSRIKIVDRDGSSLPEREEDSRCLMIRKNTKKLARKSLVRSQEKSIVLSAQEQEDLVSYADRLIVQALTSAENCPWKQGKKPIKSGSQTVQALTTAENCPWKQGKKPIKSRSQTVTPKNKVKRNGKASGKLSAGVASSQGTSLVAVNDENGSLHWEDEIRQALVAHKKTRQFDVTLTPLGPSMPCPPESGGNEGVVTRNSVKKTLRLFQVIVRKLLQREESKRADPNRGNRIDLMAANILKEHNEWVNKGKNFVGVVPGVEVGDEFHYRVELSIIGLHRPFQGGIDATKLGDINVATSIVASGGYPDDLDSSESSDVLIYSGSGGNPQKGEIQGSDQKLERGNLALKNSIETKTPVRVIYGFKETKASESHDSKPKLVSTFTYDGLYLVESYWKERGKHGDVFKFRLRRIPGQPDIGLKEVKKSKKLKEREGLCVKDISNGKEIIPICVINNIDSDQPLPFKYITKVIAPSWYIPTPPVGCECTNGCLDSVNCACAIKNGGEIPYNFSGAIIQAKPLVYECGPSCKCPPSCSNRVSQHGIKIPLEVFKTRSRGWGVRSLSSIQSGSFICEYIGELLQESEAEQRCNDEYLFDIGHNYDDQALWEGLPTLIPALQSNPLGEVKEDSGFTIDAADCGNVGRFINHSCSPNLYAQNVLYDHDDKRIPHIMFFAADNIPPLQELTYHYNYTIDQVRDSEGNIKRKDCYCGAPDCTGRLY >DRNTG_32987.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28697906:28707185:-1 gene:DRNTG_32987 transcript:DRNTG_32987.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEPEFNDLSDDSDYAASQQQGLGGSVFGGDPGMTSGFNEHEASEVVYSKDNVTIHPTQYGSERISGRLRLVKQGESVFMTWVPYKPYPDGPDHLGQSSNSGLSEKDRNLYTIAPVPLSEVCSIRRHTPTLSWPYMIVILSSGLAFPPLYFYSGGLREFLATLKQHVHVVRSADDANVFLVNNFQDPLQRSLSSLALPMALSVANGAPSENLSSSVPLFENSERLNGTKYYTSPNTSEYSGRRKHKSHDPARDLSLQVLEKFSLVTKFARETTSHIFRENQGNSFDASEKKQQKQSPALTPTTSKPSEEQSHSNDAVVEPDPLELDKSSLVWGKPRQPPMNFEEWAAFLDSEGRIMDSKTLRKRIFYGGVDFSLRKEVWKFLLGYHTYESTDAEREYLASVKKSEYETIKSQWQSISPAQAKRFTKFRERKGLIEKDVVRTDRSISYFDGDDNPNVALLHDILLTYSFYNFDLGYCQGMSDLLSPILFVMEDESEAFWCFVALMERLGPNFLTVIKNGMHSQLFALSKLVELLDAPLHNYLKQSDCLNYFFCFRWILIQFKREFEYERIMRLWEVLWTHYLS >DRNTG_32987.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28699358:28707185:-1 gene:DRNTG_32987 transcript:DRNTG_32987.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHEPEFNDLSDDSDYAASQQQGLGGSVFGGDPGMTSGFNEHEASEVVYSKDNVTIHPTQYGSERISGRLRLVKQGESVFMTWVPYKPYPDGPDHLGQSSNSGLSEKDRNLYTIAPVPLSEVCSIRRHTPTLSWPYMIVILSSGLAFPPLYFYSGGLREFLATLKQHVHVVRSADDANVFLVNNFQDPLQRSLSSLALPMALSVANGAPSENLSSSVPLFENSERLNGTKYYTSPNTSEYSGRRKHKSHDPARDLSLQVLEKFSLVTKFARETTSHIFRENQGNSFDASEKKQQKQSPALTPTTSKPSEEQSHSNDAVVEPDPLELDKSSLVWGKPRQPPMNFEEWAAFLDSEGRIMDSKTLRKRIFYGGVDFSLRKEVWKFLLGYHTYESTDAEREYLASVKKSEYETIKSQWQSISPAQAKRFTKFRERKGLIEKDVVRTDRSISYFDGDDNPNVALLHDILLTYSFYNFDLGYCQV >DRNTG_32987.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28699358:28707185:-1 gene:DRNTG_32987 transcript:DRNTG_32987.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHEPEFNDLSDDSDYAASQQQGLGGSVFGGDPGMTSGFNEHEASEVVYSKDNVTIHPTQYGSERISGRLRLVKQGESVFMTWVPYKPYPDGPDHLGQSSNSGLSEKDRNLYTIAPVPLSEVCSIRRHTPTLSWPYMIVILSSGLAFPPLYFYSGGLREFLATLKQHVHVVRSADDANVFLVNNFQDPLQRSLSSLALPMALSVANGAPSENLSSSVPLFENSERLNGTKYYTSPNTSEYSGRRKHKSHDPARDLSLQVLEKFSLVTKFARETTSHIFRENQGNSFDASEKKQQKQSPALTPTTSKPSEEQSHSNDAVVEPDPLELDKSSLVWGKPRQPPMNFEEWAAFLDSEGRIMDSKTLRKRIFYGGVDFSLRKEVWKFLLGYHTYESTDAEREYLASVKKSEYETIKSQWQSISPAQAKRFTKFRERKGLIEKDVVMHHDKKMHSCIHVLGQMLRAHKFY >DRNTG_32987.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28698723:28707185:-1 gene:DRNTG_32987 transcript:DRNTG_32987.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHEPEFNDLSDDSDYAASQQQGLGGSVFGGDPGMTSGFNEHEASEVVYSKDNVTIHPTQYGSERISGRLRLVKQGESVFMTWVPYKPYPDGPDHLGQSSNSGLSEKDRNLYTIAPVPLSEVCSIRRHTPTLSWPYMIVILSSGLAFPPLYFYSGGLREFLATLKQHVHVVRSADDANVFLVNNFQDPLQRSLSSLALPMALSVANGAPSENLSSSVPLFENSERLNGTKYYTSPNTSEYSGRRKHKSHDPARDLSLQVLEKFSLVTKFARETTSHIFRENQGNSFDASEKKQQKQSPALTPTTSKPSEEQSHSNDAVVEPDPLELDKSSLVWGKPRQPPMNFEEWAAFLDSEGRIMDSKTLRKRIFYGGVDFSLRKEVWKFLLGYHTYESTDAEREYLASVKKSEYETIKSQWQSISPAQAKRFTKFRERKGLIEKDVVRTDRSISYFDGDDNPNVALLHDILLTYSFYNFDLGYCQGMSDLLSPILFVMEDESEAFWCFVALMERLGPNFLTVIKNGMHSQLFALSKVRDNFILFYFFG >DRNTG_32987.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28697906:28707185:-1 gene:DRNTG_32987 transcript:DRNTG_32987.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHEPEFNDLSDDSDYAASQQQGLGGSVFGGDPGMTSGFNEHEASEVVYSKDNVTIHPTQYGSERISGRLRLVKQGESVFMTWVPYKPYPDGPDHLGQSSNSGLSEKDRNLYTIAPVPLSEVCSIRRHTPTLSWPYMIVILSSGLAFPPLYFYSGGLREFLATLKQHVHVVRSADDANVFLVNNFQDPLQRSLSSLALPMALSVANGAPSENLSSSVPLFENSERLNGTKYYTSPNTSEYSGRRKHKSHDPARDLSLQVLEKFSLVTKFARETTSHIFRENQGNSFDASEKKQQKQSPALTPTTSKPSEEQSHSNDAVVEPDPLELDKSSLVWGKPRQPPMNFEEWAAFLDSEGRIMDSKTLRKRIFYGGVDFSLRKEVWKFLLGYHTYESTDAEREYLASVKKSEYETIKSQWQSISPAQAKRFTKFRERKGLIEKDVVRTDRSISYFDGDDNPNVALLHDILLTYSFYNFDLGYCQGMSDLLSPILFVMEDESEAFWCFVALMERLGPNFLTVIKNGMHSQLFALSKLVELLDAPLHNYLKQSDCLNYFFCFRWILIQFKRYVKFT >DRNTG_20160.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4717013:4720338:-1 gene:DRNTG_20160 transcript:DRNTG_20160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:BI1-like protein [Source:Projected from Arabidopsis thaliana (AT4G15470) UniProtKB/Swiss-Prot;Acc:Q94A20] MASYAKMEKGELDLEAGGMLFPGISRGENELRWGFIRKVYGILTAQIVLTTIVSAVTVLHRPLNALLSDSFGLAFGLAILPLVLLIPLHHYQQKHPLNFVFLGLFTLCLSLSIGVACANTEGRLVLEALILTSGVVISLTGYTFWASKKGKDFSFLGPILFAGLMVLLLTSFLQIFFPLGSASVAVVGGLGALLFSAYLVYDTDNLIKRFTYDEYIWASVVLYLDILNLFLSILNILRGMQSDG >DRNTG_20160.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4716196:4720287:-1 gene:DRNTG_20160 transcript:DRNTG_20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BI1-like protein [Source:Projected from Arabidopsis thaliana (AT4G15470) UniProtKB/Swiss-Prot;Acc:Q94A20] MASYAKMEKGELDLEAGGMLFPGISRGENELRWGFIRKVYGILTAQIVLTTIVSAVTVLHRPLNALLSDSFGLAFGLAILPLVLLIPLHHYQQKHPLNFVFLGLFTLCLSLSIGVACANTEGRLVLEALILTSGVVISLTGYTFWASKKGKDFSFLGPILFAGLMVLLLTSFLQIFFPLGSASVAVVGGLGALLFSAYLVYDTDNLIKRFTYDEYIWASVVLYLDILNLFLSILNILRGMQSDG >DRNTG_20160.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4717013:4720287:-1 gene:DRNTG_20160 transcript:DRNTG_20160.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:BI1-like protein [Source:Projected from Arabidopsis thaliana (AT4G15470) UniProtKB/Swiss-Prot;Acc:Q94A20] MASYAKMEKGELDLEAGGMLFPGISRGENELRWGFIRKVYGILTAQIVLTTIVSAVTVLHRPLNALLSDSFGLAFGLAILPLVLLIPLHHYQQKHPLNFVFLGLFTLCLSLSIGVACANTEGRLVLEALILTSGVVISLTGYTFWASKKGKDFSFLGPILFAGLMVLLLTSFLQIFFPLGSASVAVVGGLGALLFSAYLVYDTDNLIKRFTYDEYIWASVVLYLDILNLFLSILNILRGMQSDG >DRNTG_07698.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23138536:23140648:1 gene:DRNTG_07698 transcript:DRNTG_07698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEESERASGGEREKRVKELLSRPRLRSRFPFCCRSLILIGFVVVVSGGIEGGWSFGDGSGGLSFGSETVKMEGCPARFGAISQLFPEQASEIKSRFWQNGYQAHTRTELICPEPRRATRAPYVLDGLNRSCSRPKGMMQMHRGEFEILDIILNKDDGDLDTGNQMSFFCGSPPVRTSNPIVHDAVFIKQSLCQVSPLGPISPGSKLSLARTERVERGSPSCGSSLGTKPKVRIEGFTCGNSEPHCVVPAFA >DRNTG_28156.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:527056:529173:1 gene:DRNTG_28156 transcript:DRNTG_28156.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGSRVASEFNHINKLDLVCRAHQLIQEGLKYMFQDKGLVTVWSAPNHCYRCGNVASILTFNENMEREVKFFSPKHRKNNQMRGPRTGVP >DRNTG_28156.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:527056:529173:1 gene:DRNTG_28156 transcript:DRNTG_28156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGSRVASEFNHINKLDLVCRAHQLIQEGLKYMFQDKGLVTVWSAPNHCYRCGNVASILTFNENMEREVKFFSPKHRKNNQMRGPRTGVP >DRNTG_28156.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:527056:528893:1 gene:DRNTG_28156 transcript:DRNTG_28156.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGSRVASEFNHINKLDLVCRAHQLIQEGLKYMFQDKGLVTVWSAPNHCYRCGNVASILTFNENMVCYYTTTIPAISVIVAVLFILMHLTFKMNL >DRNTG_14255.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1013480:1014129:1 gene:DRNTG_14255 transcript:DRNTG_14255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTMQQMSRIDQPLPPNVGYPPPPPPPSQPYGQPYGYPQPPPPPPQPYGQPYGNPPPPQGHAYGYPPPAYPPQGYPPAGYK >DRNTG_31946.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20398266:20402016:1 gene:DRNTG_31946 transcript:DRNTG_31946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGTSVKTHISDYTTLLNEMEKIGIKVDDEDRSMVLLCSLPKSYKGFKETMLHSTDSLTLDNVKSNLLVKPNIDNDFTMANGIHHQVVGLFVERGRKKEKKLYSERTRLKSKHKNLTCNYCKKKGRIESACFKIKNKQKANKKGALLGEANIVESDTDDVLYVANGRNKSFIFLITIVHSLAHRMLPNQRLFLYYHRLAHEQNLNRGICAITSRSFHWVASKANSVSSFAPNFPFFGNRWQSQKQ >DRNTG_07241.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9304756:9305244:1 gene:DRNTG_07241 transcript:DRNTG_07241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEMQHRQLPKISLSRLKLELVGTPEWIKVAEEVVQALENYGSFEVVNDEENMVKLCQKVFKFAVRDFFSLPSETKSRSPRYLDKKIFGAPVESMAVFDATADGFHSFTNLMWPQGHPYFWYNGIKNSR >DRNTG_29807.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23309276:23313947:-1 gene:DRNTG_29807 transcript:DRNTG_29807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSGANFHQNPSSKMLPPRQHNRPAGLHTSLSLASSDAGGSPDVQEPASNSDHNHDSPSESASSQETWPIEHNQPDAIVTKSLEKEKEGENGFPEPQVIRRVSNADKLSLREVARERVEIVSDKMMVLPDELLEELKTELRVILEGTGGSQHREEFLHLQKLVQGRGDLTAKSLVRAHRVQLEILVAINTGIQAFLHPNISLPQNKLIEVFFYKRCRNIACQSALPADDCSCEICTNRNGFCNLCMCVICNKFDFEVNTCRWIGCDLCSHWTHTDCAIRGGQIGMGSSSKSVVGSPEMLFRCQACNRTSELLGWVKDVFQHCAPSWDREALMRELDIVSRIFRGSEDPRGRKLFWKCEDLVEKMKTGANDSTACRMLLMFFQELEIDPTKSSESEEAGRLIAPQEACNKIAEVVQEAVRKMEMVAEEKMRMFKRARLALETCDRELEDKTREVSELKMERQRKKQQVEELESIVRLKQAEAEMFQVKANEARQEAERLQSIALAKSEKAEQDYTSRYLKRRLDEAEAEKQYLFEKMKLQESQRAPHGNSSVGAVGGGGGNSAGGNDPAQMFMLSKIQELVKNVYSLPPKSEGQQSTDHLSRGQVP >DRNTG_17531.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28976814:28979658:-1 gene:DRNTG_17531 transcript:DRNTG_17531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAFAVRFSKCSPLRNPLSGALALRRRSSRASLEILAPRSLISSQLERGTRVGIARASISTSAIGGRTERKAEMDLFQISPSSVLKLQRGDITKWLVNGSTDAIVNAANERLQRGGGVDGAIHRAAGAGLQAACLEIPEVQPGVRCPTGEARITPAFQLPVSQIIHTVGPIYDAHRHPEILLRNAYRNSLQLAKENNIEYIAFPSISCGVFGYPFDEASNVAISTIKEFSDNFKEVHFVLFEDGVYNAWLESARKLL >DRNTG_29989.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7479873:7483413:-1 gene:DRNTG_29989 transcript:DRNTG_29989.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALGEALKNLVFLLLICHGATAKSLNASCSSTKYTENSTFSTNLNSLLFILKAKSSSFLSTNQTFGQTPNAVFGLFFCTGDLSLDHCQSCIQTAINDITESSCPSSKQAIIWYDYCELRYSDTNFFGLPDTDGFPMTNPFENTTSSRPVEVVFQLVKEAPLGHPIMFKSLALRSQSLDALAQCSPDLTRQGCSDCLTTIFANIKACCIGPKGWRYLAPSCWVRYEATPFLENINGASIVISQSYCSGDVFPTNGLNATARLESLLSNLTQQAPALNGYYNTSIGKGMNKLYGLALCRGDLQNRKDDCQNCLNDASKNIVEDCSNEAPAIEWYEKCMVRYSNMNFFGVVDTDAGKTLCGPKQISQADYNATWTLAVTLINDAPNSPLFLRAGQYISISFILVQCTRDLSKDGCRQCLQNGMNMVSGQCNQTNGWRYLSGSCTLRYEDHPFFDTALIWTQSPASSPQPNTTSDKSGEKGGKQFDEYRPLTSNELPFMDLASIQEATDNFSVENKLGEGGFGPVYKGVLNNGTEIAVKRLSTKSKQGAIEFENEVKLIAKLQHRNLVRMLGWCAEREEKLLIYEYLPNKSLDALLFDSEKRAQLDWNRRLQIIGGIARGLLYLHEDSLLKVIHRDLKASNVLLDNKMTAKISDFGMAKIFGGEENEANTSRVVGTYGYMAPEYAMAGLFSVKSDVFSFGVLLLEVLTGQRNGKEHFEEYGQTLIRNMWHLWIQDKALELMDPLLGDSCPINEAKKYIKIGLLCAQENTEERPTMSMVVHMFRSSDETVFPEPSQPPTFMKHRDGSTFFNDNKVDGSSSSINSQSLGFHSINDITISEIEAR >DRNTG_29989.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7479873:7481580:-1 gene:DRNTG_29989 transcript:DRNTG_29989.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASIQEATDNFSVENKLGEGGFGPVYKGVLNNGTEIAVKRLSTKSKQGAIEFENEVKLIAKLQHRNLVRMLGWCAEREEKLLIYEYLPNKSLDALLFDSEKRAQLDWNRRLQIIGGIARGLLYLHEDSLLKVIHRDLKASNVLLDNKMTAKISDFGMAKIFGGEENEANTSRVVGTYGYMAPEYAMAGLFSVKSDVFSFGVLLLEVLTGQRNGKEHFEEYGQTLIRNMWHLWIQDKALELMDPLLGDSCPINEAKKYIKIGLLCAQENTEERPTMSMVVHMFRSSDETVFPEPSQPPTFMKHRDGSTFFNDNKVDGSSSSINSQSLGFHSINDITISEIEAR >DRNTG_29989.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7472941:7483413:-1 gene:DRNTG_29989 transcript:DRNTG_29989.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGEALKNLVFLLLICHGATAKSLNASCSSTKYTENSTFSTNLNSLLFILKAKSSSFLSTNQTFGQTPNAVFGLFFCTGDLSLDHCQSCIQTAINDITESSCPSSKQAIIWYDYCELRYSDTNFFGLPDTDGFPMTNPFENTTSSRPVEVVFQLVKEAPLGHPIMFKSLALRSQSLDALAQCSPDLTRQGCSDCLTTIFANIKACCIGPKGWRYLAPSCWVRYEATPFLENINGASIVISQSYCSGDVFPTNGLNATARLESLLSNLTQQAPALNGYYNTSIGKGMNKLYGLALCRGDLQNRKDDCQNCLNDASKNIVEDCSNEAPAIEWYEKCMVRYSNMNFFGVVDTDAGKTLCGPKQISQADYNATWTLAVTLINDAPNSPLFLRAGQYISISFILVQCTRDLSKDGCRQCLQNGMNMVSGQCNQTNGWRYLSGSCTLRYEDHPFFDTALIWTQSPASSPQPNTTSDKSGEKGGKQFDEYRPLTSNELPFMDLASIQEATDNFSVENKLGEGGFGPVYKGVLNNGTEIAVKRLSTKSKQGAIEFENEVKLIAKLQHRNLVRMLGWCAEREEKLLIYEYLPNKSLDALLFDSEKRAQLDWNRRLQIIGGIARGLLYLHEDSLLKVIHRDLKASNVLLDNKMTAKISDFGMAKIFGGEENEANTSRVVGTYGYMAPEYAMAGLFSVKSDVFSFGVLLLEVLTGQRNGKEHFEEYGQTLIRNMWHLWIQDKALELMDPLLGDSCPINEAKKYIKIGLLCAQENTEERPTMSMVVHMFRSSDETVFPEPSQPPTFMKHRDGSTFFNDNKVDGSSSSINSQSLGFHSINDITISEIEAR >DRNTG_06012.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11976946:11977391:1 gene:DRNTG_06012 transcript:DRNTG_06012.1 gene_biotype:protein_coding transcript_biotype:protein_coding CVLNSNNPWIEYVVDPGLVKARLYNQVTGMESLIVIPTSKSQAQQRRWIN >DRNTG_12630.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23105882:23109024:1 gene:DRNTG_12630 transcript:DRNTG_12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFFLVLEPSSARASTCFPLLRVTAFKCSLGLFSVLLGKLPGGRSEFTLASCPTWLSND >DRNTG_10214.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000431.1:137881:138412:1 gene:DRNTG_10214 transcript:DRNTG_10214.2 gene_biotype:protein_coding transcript_biotype:protein_coding TILTSILHDLYVFDASTAQQTQCGNNHSPELSHQAKSKGIK >DRNTG_10214.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000431.1:137881:138515:1 gene:DRNTG_10214 transcript:DRNTG_10214.1 gene_biotype:protein_coding transcript_biotype:protein_coding TILTSILHDLYVFDASTAQQTQCGNNHSPELSHQAKSKGIK >DRNTG_21599.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2784404:2784881:1 gene:DRNTG_21599 transcript:DRNTG_21599.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYHPYDQTHKQVDAATRALDFMLAWYLDPLMHGDYPFNMKAIVRD >DRNTG_34963.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3026429:3029498:1 gene:DRNTG_34963 transcript:DRNTG_34963.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSILSPLEVDPVERNFDLVYGGGSIGLMGLFYQAFHDGGRHVLGAIPKSLMPREVSFR >DRNTG_34963.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3026429:3030870:1 gene:DRNTG_34963 transcript:DRNTG_34963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKLSPVERNFDLVYGGGSIGLMGLFYQAFHDGGRHVLGAIPKSLMPREVSFRRTHGQELRGLKIRESQDCS >DRNTG_34963.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3027381:3030870:1 gene:DRNTG_34963 transcript:DRNTG_34963.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSILSPLEVDPVERNFDLVYGGGSIGLMGLFYQAFHDGGRHVLGAIPKSLMPREENSWSRIKRFEN >DRNTG_34963.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3028592:3030870:1 gene:DRNTG_34963 transcript:DRNTG_34963.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQVERNFDLVYGGGSIGLMGLFYQAFHDGGRHVLGAIPKSLMPREVSFRRTHGQELRGLKIRESQDCS >DRNTG_00711.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000052.1:57839:59985:-1 gene:DRNTG_00711 transcript:DRNTG_00711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRRVVQERGKGDWQTEGRNRKRGDRCGCRSPKREDESEETTSVVWEPITPAATEKKQRRSPVRQ >DRNTG_00711.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000052.1:57902:59985:-1 gene:DRNTG_00711 transcript:DRNTG_00711.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRRVVQERGKGDWQTEGRNRKRGDRCGCRSPKREDESEETTSVVWEPITPAATEKKQRRSPVRQ >DRNTG_00711.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000052.1:57839:59985:-1 gene:DRNTG_00711 transcript:DRNTG_00711.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRRVVQERGKGDWQTEGRNRKRGDRCGCRSPKREDESEETTSVVWEPITPAATEKKQRRSPVRQ >DRNTG_00711.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000052.1:57902:59985:-1 gene:DRNTG_00711 transcript:DRNTG_00711.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRRVVQERGKGDWQTEGRNRKRGDRCGCRSPKREDESEETTSVVWEPITPAATEKKQRRSPVRQ >DRNTG_00711.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000052.1:57902:59985:-1 gene:DRNTG_00711 transcript:DRNTG_00711.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRRVVQERGKGDWQTEGRNRKRGDRCGCRSPKREDESEETTSVVWEPITPAATEKKQRRSPVRQ >DRNTG_00711.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000052.1:57902:59985:-1 gene:DRNTG_00711 transcript:DRNTG_00711.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRRVVQERGKGDWQTEGRNRKRGDRCGCRSPKREDESEETTSVVWEPITPAATEKKQRRSPVRQ >DRNTG_17678.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5141530:5142318:-1 gene:DRNTG_17678 transcript:DRNTG_17678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHHLRSKQHNNTIGKLFQDNKITLSSEPSPFPL >DRNTG_01449.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8207840:8211320:-1 gene:DRNTG_01449 transcript:DRNTG_01449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSKKTVAKRPRKPAPEPEIMEFTLPAHQARFGRLEKLKFGQSRFPDVELLRKVQLADDMADEVKKLLLVGNWHKLLNIRHPAICTLTLEFSTRLGLYDDEYTETEEYENLPIDMTGLSPIKAYSLLCGKGRYEPEVSKASCLSRPSYRYLHAIISRSVNGHGDSTGVINKQELLYLYSMVRNEPVHLGHILAEYLKHQGQYPRLGVIFSGPYITKLIVGMGLRDKISGTEKAIIPAPLGLETMRLMGLIRKYSNGVYVLNIPFEDEAGASESAPEPQPTPMETETPPAAEELPPVHIVPPSRAHDRFERLESALGVIRTEKELPPRKCESHLRGRFGKGYLRGSTIVHVRPKNRESRESTWACEIIHARVEIPMHGV >DRNTG_21335.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:16563117:16564781:1 gene:DRNTG_21335 transcript:DRNTG_21335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTFKNGEPLPELFMGDPVRAGEKVNDDGRASGVLEGIHNSVHQWSGLKEKPYFDLGSFATAGRDCLFYSLHANVDRLWDLFRNRRGNRIEFNDRDWLNSTFVFYDENRQIVRVKVKDCLDQSKLCYTYEPSPAPWLAAVPKRKLKTETKTTGPTVQVEEFGPTPKKLDKPILALVQRPQKSRSKAEKEDKSEVLTIEGIQVASGAAARFDVYIIVPAANDGVYTDDSGYFVGSYVTLPETAGKEKKARLSLGITYAVEDVDAENSKNIVVRLVPRFGDVTVGAVGIQLKQNDLFSL >DRNTG_15109.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7129280:7130751:1 gene:DRNTG_15109 transcript:DRNTG_15109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFVLVKGGKEVSHVVGAKKDELDRKICTFRA >DRNTG_29054.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2843632:2855595:1 gene:DRNTG_29054 transcript:DRNTG_29054.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGARLLLSVVALAISCPPLVWSSTAQSTDPSEVKALRAIKSNLVDPFSNLNSWNSGDPCTSNWTGIICQSTTNDGYLHVHELLLLNSNLSGTLAPEVGLLSRLEIMDFMWNNISGSIPKEIGNITSLKLLLLNGNRLSGFLPKEIGFLPNLNRIQIDENQISGPIPTSFANLKSMKHFHMNNNSLSGQIPLELSGLSSVFHLLLDNNKLSGHIPPELAKMPSLQILQLDNNNFSGSTVPTPFANMTSLLKLSLRNCSLRGAVPDLSMIPQLIYLDLSWNQLEGTIPSNKLSENITTIDLSNNNLNGPIPSNFSHLPKLQRLSLENNKLNGSVPSAIWQNMPLYGNKSVLLDFQNNSLTELSAAFSIPENVTVLLYGNPVCSGQINISNLCQPVNKSSAPGSSPDSNSSCGCNTDLGYEFNQLSPSTCSCSIPFGIGIRLKSPGISYFHPYKDDFKDFLTNRLNLYRNQLYVDSDIWEGRRLKMYLKLFPSNTSLFNESEILRIRDIYSSWEITLPGIFGPYELLNFTLGPYANVIPTTAASGLSKIAIVGIVLGACLGAAFISATVTVFIMKKLPRNQKGSKRRLLSSSIKIDGVKGFAFDEMALATSNFNSSTQVGEGGYGKVYKGVLADGSLVAIKRAQEGSLQGSKEFFTEIQLLSRLHHRNLVSLVGYCIEEGEQMLVYEFIPNGTLRDHLTGKSKEPMNFPVRLRVALAAAKGILYLHTEADPPIFHRDIKASNILLDSKLVAKVADFGLSRLAPVPDFEGATPDHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTAMKPISHGKNIVREVNSAYQSGNIFSIIDSQMGAYPSECVGKFVSLALKCCQDETDMRPSMSEVVRELEVIWRMTPESDVMSTDSVVTESLDTNTTPSSSSVTGNPFSTLNFSGNSFSNLYPR >DRNTG_29054.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2842575:2855595:1 gene:DRNTG_29054 transcript:DRNTG_29054.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGARLLLSVVALAISCPPLVWSSTAQSTDPSEVKALRAIKSNLVDPFSNLNSWNSGDPCTSNWTGIICQSTTNDGYLHVHELLLLNSNLSGTLAPEVGLLSRLEIMDFMWNNISGSIPKEIGNITSLKLLLLNGNRLSGFLPKEIGFLPNLNRIQIDENQISGPIPTSFANLKSMKHFHMNNNSLSGQIPLELSGLSSVFHLLLDNNKLSGHIPPELAKMPSLQILQLDNNNFSGSTVPTPFANMTSLLKLSLRNCSLRGAVPDLSMIPQLIYLDLSWNQLEGTIPSNKLSENITTIDLSNNNLNGPIPSNFSHLPKLQRLSLENNKLNGSVPSAIWQNMPLYGNKSVLLDFQNNSLTELSAAFSIPENVTVLLYGNPVCSGQINISNLCQPVNKSSAPGSSPDSNSSCGCNTDLGYEFNQLSPSTCSCSIPFGIGIRLKSPGISYFHPYKDDFKDFLTNRLNLYRNQLYVDSDIWEGRRLKMYLKLFPSNTSLFNESEILRIRDIYSSWEITLPGIFGPYELLNFTLGPYANVIPTTAASGLSKIAIVGIVLGACLGAAFISATVTVFIMKKLPRNQKGSKRRLLSSSIKIDGVKGFAFDEMALATSNFNSSTQVGEGGYGKVYKGVLADGSLVAIKRAQEGSLQGSKEFFTEIQLLSRLHHRNLVSLVGYCIEEGEQMLVYEFIPNGTLRDHLTGKSKEPMNFPVRLRVALAAAKGILYLHTEADPPIFHRDIKASNILLDSKLVAKVADFGLSRLAPVPDFEGATPDHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTAMKPISHGKNIVREVNSAYQSGNIFSIIDSQMGAYPSECVGKFVSLALKCCQDETDMRPSMSEVVRELEVIWRMTPESDVMSTDSVVTESLDTNTTPSSSSVTGNPFSTLNFSGNSFSNLYPR >DRNTG_28727.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2387384:2388033:1 gene:DRNTG_28727 transcript:DRNTG_28727.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 23 [Source:Projected from Arabidopsis thaliana (AT4G39700) UniProtKB/Swiss-Prot;Acc:O65657] MGRTLQYISWILLGSGHKHKKKKQINTVELKVRMDCDGCELKVKNALSNMKGVKSVEVNRKQNKVTVVGFVEQNKVLKKVISTGKKAEIWPYVPYNLVAYPYIAPTYDKRAPPGYVRNAEVITAFSQVNRQEDQFMHLFSDDNPNSCSVM >DRNTG_35375.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002242.1:1285:2640:1 gene:DRNTG_35375 transcript:DRNTG_35375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNKVFNYHHSSLRSVIERIFGVCKARWRILRNITEYTMKV >DRNTG_34275.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29493509:29494622:1 gene:DRNTG_34275 transcript:DRNTG_34275.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNLLKYLKYFKSWSKEQSRHLMIFYCIRINISMLRMNFLICLLTYASSTSTMRMGDWVSIRDESPQSIAKGLPVVSFSVGNSAEFLYGVERDVDKAEKVVLESGDVLIFGGKSRMIFHGVSTIQANTTPKLLIEETNLRPGRLNLTFRQY >DRNTG_34275.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29492994:29493336:1 gene:DRNTG_34275 transcript:DRNTG_34275.3 gene_biotype:protein_coding transcript_biotype:protein_coding VEGQKIWVKVDHGNKSPQTVNTPQQRQSSPGRKEGDGESLRALRPFDICRSGGVDTVRLKASLLELNREKRKELVRSACMSQCHNLRSGMILLKNHLSHEDQ >DRNTG_34275.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29491863:29494622:1 gene:DRNTG_34275 transcript:DRNTG_34275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNLLKYLKYFKSWSKEQSRHLMIFYCIRINISMLRMNFLICLLTYASSTSTMRMGDWVSIRDESPQSIAKGLPVVSFSVGNSAEFLYGVERDVDKAEKVVLESGDVLIFGGKSRMIFHGVSTIQANTTPKLLIEETNLRPGRLNLTFRQY >DRNTG_30595.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8180352:8183118:1 gene:DRNTG_30595 transcript:DRNTG_30595.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLNLNKISSKIDTTDEIVDEYMQEMFNPDSYEGLFDQEDDYEEVMMLGATEEIPSTLGILQKVLRKKKRARRRHRKHSKSVGDVREPKKLDESLLEKAQGRAAAPVNDHATVTRPWVIFARACEFCRVGRIIPRAHRGVDSPLTLDNFLGRSGKPEGRAAAPVGWAHGRAVFSARL >DRNTG_22511.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3380764:3385945:1 gene:DRNTG_22511 transcript:DRNTG_22511.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSTGIVYGGLKYQARCIADVSADPDNTSFLAGTLSLREENEVHLIRMSTSGTELVCEGLFYHPSEIWDLKSCPFDPRIFSTVFSSGETHGASLWEIPELCGQSNAPQLKELVSLKEHSFKIKCVVWWPTGKHDKLVSIDDGNLFLWSIDSSNKTAKVISQESVGMLHSLSGGVWDPHDRNAIAAMSDSSLQFWDLRTMKKASSIEHIHVRDADYNPKKQFMIVTAEDGSGIRLWDLRMPKFPLTEFPGHAHWTWAVRYNPQYDELILSAGTDSAVNLWSASSAGDDMSSESPIESPTRKIDPLLNSYKDYEDSVYGLAWSSREPSVFASLSYDGRVVAESVKPYLPRN >DRNTG_08341.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3925638:3927488:-1 gene:DRNTG_08341 transcript:DRNTG_08341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRFWTSIVYILKIFSPLVSVLRLIDGEKKLAMGYIYEAMDRAKEAIAKLFAENEEQYKDVLISLTNDGNVNSTDLCMRLGTILIRNFFMPIQWRLSELVR >DRNTG_33578.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10544137:10553667:1 gene:DRNTG_33578 transcript:DRNTG_33578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTENPRTRTSRFPDPASTPPLLPMEKPRTDSSDHPLRLLSPLTPFSPVSDQRSVLLFDWWLIKVENGSDAKGLAVGGLTTRGQFATRIFTSAPIVKRYDAYTLETADGITVRIQGVINRARMHHSGFPSEVCRRFLTGFPYNWYVHADDFFVKKPTAESIPRSISGAQGLENDRNRNPDGAFPIEIKEFPLKRVLDILNFCGSPADDVMASNMTNCLKSFDASHTSMDPTTQKSPDMKNCSSPVMITCSENVILSKFEREMRNHQQAESEVNTGDSVVQRSVATHVEEVGHQIDTLVFDSLLANGFDLRNIKSSHNSSAKPSMHDSLPETGSSLQRKILLGETKEMEPDNHQSPKIQGKRNISQIENVTDRNCNDRSAANMVGVTVEIGIASEGLDVSHLKDEKELKNILLENGRGAKETMVCSRNNSQSGKVFDPKDADLVDVKLSVAIETSPNADVSNSLEKPNVSSKKQKCVSKGSRKRLSLEKEKVPSILRSDYAHINHADEEPRKSDILKAPNITSGILNHTSLEEINDACLGVLEKINNCFVNPVMNRTAEFSLVTDAERVKTSEAGFCSRLSRKKPNVQANFQKEHKCELADGKFDTHSPMRPPKSVTNGLDHSEENSRCNSLSLTEEQPSTKNGNGLINFGTESATCESQLATPKGQTKRCVDRRTKLVEEIETNSETRILRKKKLNIKQKDNEMEKSVLEEDVRKNTVRSVPVDQNVASVAKDTVVKERGRHVGEMAQNKVRKRTGRIRKKEQDIQHECGGLRSGPSAPCEVQLGTGRINDHIRIDNSDGGKSFQFSVGCDTKPASQSGDAMELHTTVNNTIVRSKKPHRKRNHQIGRTYATRDLANRLSLALPENLNLRRSRSGRLLVPALANWCQHLIYDVDGTITGIVGVDAQNLLSSGGISEQNKKRKKIR >DRNTG_33578.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10546983:10553667:1 gene:DRNTG_33578 transcript:DRNTG_33578.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLERWLKTRFEKELVELEKRNKIFNMNVEVFVQALLLHVRSSLVLGVLMIILE >DRNTG_30903.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001640.1:67623:72942:-1 gene:DRNTG_30903 transcript:DRNTG_30903.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVAAVPAILQFFLMLLLPESPRWLYMKNEKEQAVSVLSKIYDPDRLEEEKVLLSVASDENIESKDSAKYLDVFKSKEMRLAFFAGAGLQAFQQFTGINTVMYYSPTIVQMAGFSSNQLALLLSLIVAGMNAAGTIVGIYLIDRCGRRRLALTSLLGVILSLFILSGAFFLQSSSVSVGTNIGWFAVLGLALYIASFAPGMGPVPWAVNSEIYPEAYRGVCGGMSATVNWVCNLIVAQTFLSVVALVGTAATFLTIAGIAIVAFGFVTAFVPETKGLSFDQVERLWKDKAWGTGDSQERLLSETEP >DRNTG_30903.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001640.1:67623:72942:-1 gene:DRNTG_30903 transcript:DRNTG_30903.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVAAVPAILQFFLMLLLPESPRWLYMKNEKEQAVSVLSKIYDPDRLEEEKVLLSVASDENIESKDSAKYLDVFKSKEMRLAFFAGAGLQAFQQFTGINTVMYYSPTIVQMAGFSSNQLALLLSLIVAGMNAAGTIVGIYLIDRCGRRRLALTSLLGVILSLFILSGAFFLQSSSVSVGTNIGWFAVLGLALYIASFAPGMGPVPWAVNSEIYPEAYRGVCGGMSATVNWVCNLIVAQTFLSVVALVGTAATFLTIAGIAIVAFGFVTAFVPETKGLSFDQVERLWKDKAWGTGDSQERLLSETEP >DRNTG_30903.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001640.1:67623:72942:-1 gene:DRNTG_30903 transcript:DRNTG_30903.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVAAVPAILQFFLMLLLPESPRWLYMKNEKEQAVSVLSKIYDPDRLEEEKVLLSVASDENIESKDSAKYLDVFKSKEMRLAFFAGAGLQAFQQFTGINTVMYYSPTIVQMAGFSSNQLALLLSLIVAGMNAAGTIVGIYLIDRCGRRRLALTSLLGVILSLFILSGAFFLQSSSVSVGTNIGWFAVLGLALYIASFAPGMGPVPWAVNSEIYPEAYRGVCGGMSATVNWVCNLIVAQTFLSVVALVGTAATFLTIAGIAIVAFGFVTAFVPETKGLSFDQVERLWKDKAWGTGDSQERLLSETEP >DRNTG_30903.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001640.1:67623:72942:-1 gene:DRNTG_30903 transcript:DRNTG_30903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIESLPGSSSSLGPKRSMSYFSNVYVLGLTITAGIGGLLFGYDTGVISGALLYIKDEFELVAQSNFLQETIVSMALVGAMIGAAGGGWVNDAYGRKRATLIADVVFTIGSLVMCAAPDPYVLIFGRLLVGLGVGMASVTAPVYIAEASPSEIRGGLVSTNVLMITSGQFLSYLVNLAFTEVNGTWRWMLGVAAVPAILQFFLMLLLPESPRWLYMKNEKEQAVSVLSKIYDPDRLEEEKVLLSVASDENIESKDSAKYLDVFKSKEMRLAFFAGAGLQAFQQFTGINTVMYYSPTIVQMAGFSSNQLALLLSLIVAGMNAAGTIVGIYLIDRCGRRRLALTSLLGVILSLFILSGAFFLQSSSVSVGTNIGWFAVLGLALYIASFAPGMGPVPWAVNSEIYPEAYRGVCGGMSATVNWVCNLIVAQTFLSVVALVGTAATFLTIAGIAIVAFGFVTAFVPETKGLSFDQVERLWKDKAWGTGDSQERLLSETEP >DRNTG_06113.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4225201:4227479:1 gene:DRNTG_06113 transcript:DRNTG_06113.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKETISVITNDGRNIVGVLKGFDQATNIISDESHGRVYSTK >DRNTG_06113.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4225201:4226266:1 gene:DRNTG_06113 transcript:DRNTG_06113.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLKETISVITNDGRNIVGVLKGFDQATNIISDESHGRVYSTKVHLEY >DRNTG_06113.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4225201:4227479:1 gene:DRNTG_06113 transcript:DRNTG_06113.1 gene_biotype:protein_coding transcript_biotype:protein_coding FHRSETISVITNDGRNIVGVLKGFDQATNIISDESHGRVYSTK >DRNTG_06113.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4225201:4227479:1 gene:DRNTG_06113 transcript:DRNTG_06113.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKETISVITNDGRNIVGVLKGFDQATNIISDESHGRVYSTK >DRNTG_11865.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15504621:15509178:1 gene:DRNTG_11865 transcript:DRNTG_11865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGQEAEMKTTRLQANQLTQKFLVFIIIKDALYSE >DRNTG_22857.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4580260:4589502:1 gene:DRNTG_22857 transcript:DRNTG_22857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGNTKVRRSSGIHRCPFRVGKKKLDGQLVGENESRLKDSLSRFNPVRVVTLWDAKGHTGNVIVDFGRDWAEFKDAMEFEGHLESQNLGFSHIQTEEEVKQAFENSDQMENIRLTSEGSLINEWEIYRNVTGEPSHGRVLGLGTCVKGKDVYGGSSSQTCSKKCEEKKKRERRGMGGVFQENGIHY >DRNTG_24473.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18832503:18839495:1 gene:DRNTG_24473 transcript:DRNTG_24473.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADNDNEKARETMCMALQGSQASSVYSLVAVEMLLLLVFSKLLHLLLLRRLGQPRLICDFLAAMLMANIDTVKHHFQLVIGDVIDPAGEFVFATYLFVLGLEMDPRLLLRRKGPEASVAYFGILSNFLLTLLFFPVLQDMHIAQKDDFLTSAGLAAILATTSSHILARLATELKFSRSEIGRFSITAGVTTDLLSTILMLVGYTCYSLYNQWKWTMIGITYVAVETILVFAVITPVVDWMNDRNPEGKPLKGQYMVAAFMIPAMLCYLARKAGYDWKLNAFLVGLALPGKGRISSFLISRINFSLTYLILPFYFVLVNMKTGDDGNSSTASFSMVGVFTILGGMGKTLGTMYAGIMRHDMKWEDAMRIGLLLNVKGPYHFFCAHMAVNSHRNSVA >DRNTG_24473.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18832503:18835119:1 gene:DRNTG_24473 transcript:DRNTG_24473.10 gene_biotype:protein_coding transcript_biotype:protein_coding MADNDNEKARETMCMALQGSQASSVYSLVAVEMLLLLVFSKLLHLLLLRRLGQPRLICDFLAAMLMANIDTVKHHFQLVIGDVIDPAGEFVFATYLFVLGLEMDPRLLLRRKGPEASVAYFGILSNFLLTLLFFPVLQDMHIAQKDDFLTSAGLAAILATTSSHILARLATELKFSRSEIGRFSITAGVTTDLLSTILMLVGYTCYSLYNQWKWTMIGITYVAVETILVFAVITPVVDWMNDRNPEGKPLKGQYMVAAFMIPAMLCYLARKAGYDWKLNAFLVGLALPGKGRISSFLISRINFSLTYLILPFYFVLVNMKTGDDGNSSTASFSMVGVFTILGGMGKTLGTMYAGIMRHDMKWEDAMRIGLLLNVKGPYHFFCAHMAVNSHRNSVA >DRNTG_24473.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18832503:18843208:1 gene:DRNTG_24473 transcript:DRNTG_24473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNDNEKARETMCMALQGSQASSVYSLVAVEMLLLLVFSKLLHLLLLRRLGQPRLICDFLAAMLMANIDTVKHHFQLVIGDVIDPAGEFVFATYLFVLGLEMDPRLLLRRKGPEASVAYFGILSNFLLTLLFFPVLQDMHIAQKDDFLTSAGLAAILATTSSHILARLATELKFSRSEIGRFSITAGVTTDLLSTILMLVGYTCYSLYNQWKWTMIGITYVAVETILVFAVITPVVDWMNDRNPEGKPLKGQYMVAAFMIPAMLCYLARKAGYDWKLNAFLVGLALPGKGRISSFLISRINFSLTYLILPFYFVLVNMKTGDDGNSSTASFSMVGVFTILGGMGKTLGTMYAGIMRHDMKWEDAMRIGLLLNVKGPYHFFCAHMAVNSHRNSVA >DRNTG_24473.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18832503:18835364:1 gene:DRNTG_24473 transcript:DRNTG_24473.8 gene_biotype:protein_coding transcript_biotype:protein_coding MADNDNEKARETMCMALQGSQASSVYSLVAVEMLLLLVFSKLLHLLLLRRLGQPRLICDFLAAMLMANIDTVKHHFQLVIGDVIDPAGEFVFATYLFVLGLEMDPRLLLRRKGPEASVAYFGILSNFLLTLLFFPVLQDMHIAQKDDFLTSAGLAAILATTSSHILARLATELKFSRSEIGRFSITAGVTTDLLSTILMLVGYTCYSLYNQWKWTMIGITYVAVETILVFAVITPVVDWMNDRNPEGKPLKGQYMVAAFMIPAMLCYLARKAGYDWKLNAFLVGLALPGKGRISSFLISRINFSLTYLILPFYFVLVNMKTGDDGNSSTASFSMVGVFTILGGMGKTLGTMYAGIMRHDMKWEDAMRIGLLLNVKGPYHFFCAHMAVNSHRNSVA >DRNTG_24473.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18832503:18835364:1 gene:DRNTG_24473 transcript:DRNTG_24473.9 gene_biotype:protein_coding transcript_biotype:protein_coding MADNDNEKARETMCMALQGSQASSVYSLVAVEMLLLLVFSKLLHLLLLRRLGQPRLICDFLAAMLMANIDTVKHHFQLVIGDVIDPAGEFVFATYLFVLGLEMDPRLLLRRKGPEASVAYFGILSNFLLTLLFFPVLQDMHIAQKDDFLTSAGLAAILATTSSHILARLATELKFSRSEIGRFSITAGVTTDLLSTILMLVGYTCYSLYNQWKWTMIGITYVAVETILVFAVITPVVDWMNDRNPEGKPLKGQYMVAAFMIPAMLCYLARKAGYDWKLNAFLVGLALPGKGRISSFLISRINFSLTYLILPFYFVLVNMKTGDDGNSSTASFSMVGVFTILGGMGKTLGTMYAGIMRHDMKWEDAMRIGLLLNVKGPYHFFCAHMAVNSHRNSVA >DRNTG_24473.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18832503:18843208:1 gene:DRNTG_24473 transcript:DRNTG_24473.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADNDNEKARETMCMALQGSQASSVYSLVAVEMLLLLVFSKLLHLLLLRRLGQPRLICDFLAAMLMANIDTVKHHFQLVIGDVIDPAGEFVFATYLFVLGLEMDPRLLLRRKGPEASVAYFGILSNFLLTLLFFPVLQDMHIAQKDDFLTSAGLAAILATTSSHILARLATELKFSRSEIGRFSITAGVTTDLLSTILMLVGYTCYSLYNQWKWTMIGITYVAVETILVFAVITPVVDWMNDRNPEGKPLKGQYMVAAFMIPAMLCYLARKAGYDWKLNAFLVGLALPGKGRISSFLISRINFSLTYLILPFYFVLVNMKTGDDGNSSTASFSMVGVFTILGGMGKTLGTMYAGIMRHDMKWEDAMRIGLLLNVKGPYHFFCAHMAVNSHRNSVA >DRNTG_24473.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18832503:18833900:1 gene:DRNTG_24473 transcript:DRNTG_24473.11 gene_biotype:protein_coding transcript_biotype:protein_coding MADNDNEKARETMCMALQGSQASSVYSLVAVEMLLLLVFSKLLHLLLLRRLGQPRLICDFLAAMLMANIDTVKHHFQLVIGDVIDPAGEFVFATYLFVLGLEMDPRLLLRRKGPEASVAYFGILSNFLLTLLFFPVLQDMHIAQKDDFLTSAGLAAILATTSSHILARLATELKFSRSEIGRFSITAGVTTDLLSTILMLVGYTCYSLYNQWKWTMIGITYVAVETILVFAVITPVVDWMNDRNPEGKPLKGQYMVAAFMIPAMLCYLARKAGYDWKLNAFLVGLALPGKGRISSFLISRINFSLTYLILPFYFVLVNMKTGDDGNSSTASFSMVGVFTILGGMGKTLGTMYAGIMRHDMKWEDAMRIGLLLNVKGPYHFFCAHMAVNSH >DRNTG_24473.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18832503:18839495:1 gene:DRNTG_24473 transcript:DRNTG_24473.6 gene_biotype:protein_coding transcript_biotype:protein_coding MADNDNEKARETMCMALQGSQASSVYSLVAVEMLLLLVFSKLLHLLLLRRLGQPRLICDFLAAMLMANIDTVKHHFQLVIGDVIDPAGEFVFATYLFVLGLEMDPRLLLRRKGPEASVAYFGILSNFLLTLLFFPVLQDMHIAQKDDFLTSAGLAAILATTSSHILARLATELKFSRSEIGRFSITAGVTTDLLSTILMLVGYTCYSLYNQWKWTMIGITYVAVETILVFAVITPVVDWMNDRNPEGKPLKGQYMVAAFMIPAMLCYLARKAGYDWKLNAFLVGLALPGKGRISSFLISRINFSLTYLILPFYFVLVNMKTGDDGNSSTASFSMVGVFTILGGMGKTLGTMYAGIMRHDMKWEDAMRIGLLLNVKGPYHFFCAHMAVNSHRNSVA >DRNTG_24473.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18842564:18843208:1 gene:DRNTG_24473 transcript:DRNTG_24473.12 gene_biotype:protein_coding transcript_biotype:protein_coding NILYSATDHFRERNKEALEREKTKTRERERKKIPNQQVFGGKSKIKSLNPAPTSEPKTPATAHAAEPDGDAEHHPQHHHRRRRLHQRRLRTHHPLYRLQLRHWLEPPRRRPLAPPPAHLPLRPCSDPGAQATAHETPRRARAQRSVVPESARRALEISGVH >DRNTG_24473.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18832503:18836712:1 gene:DRNTG_24473 transcript:DRNTG_24473.7 gene_biotype:protein_coding transcript_biotype:protein_coding MADNDNEKARETMCMALQGSQASSVYSLVAVEMLLLLVFSKLLHLLLLRRLGQPRLICDFLAAMLMANIDTVKHHFQLVIGDVIDPAGEFVFATYLFVLGLEMDPRLLLRRKGPEASVAYFGILSNFLLTLLFFPVLQDMHIAQKDDFLTSAGLAAILATTSSHILARLATELKFSRSEIGRFSITAGVTTDLLSTILMLVGYTCYSLYNQWKWTMIGITYVAVETILVFAVITPVVDWMNDRNPEGKPLKGQYMVAAFMIPAMLILPFYFVLVNMKTGDDGNSSTASFSMVGVFTILGGMGKTLGTMYAGIMRHDMKWEDAMRIGLLLNVKGPYHFFCAHMAVNSHRNSVA >DRNTG_24473.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18832503:18843208:1 gene:DRNTG_24473 transcript:DRNTG_24473.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADNDNEKARETMCMALQGSQASSVYSLVAVEMLLLLVFSKLLHLLLLRRLGQPRLICDFLAAMLMANIDTVKHHFQLVIGDVIDPAGEFVFATYLFVLGLEMDPRLLLRRKGPEASVAYFGILSNFLLTLLFFPVLQDMHIAQKDDFLTSAGLAAILATTSSHILARLATELKFSRSEIGRFSITAGVTTDLLSTILMLVGYTCYSLYNQWKWTMIGITYVAVETILVFAVITPVVDWMNDRNPEGKPLKGQYMVAAFMIPAMLCYLARKAGYDWKLNAFLVGLALPGKGRISSFLISRINFSLTYLILPFYFVLVNMKTGDDGNSSTASFSMVGVFTILGGMGKTLGTMYAGIMRHDMKWEDAMRIGLLLNVKGPYHFFCAHMAVNSHRNSVA >DRNTG_24473.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18832503:18843208:1 gene:DRNTG_24473 transcript:DRNTG_24473.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADNDNEKARETMCMALQGSQASSVYSLVAVEMLLLLVFSKLLHLLLLRRLGQPRLICDFLAAMLMANIDTVKHHFQLVIGDVIDPAGEFVFATYLFVLGLEMDPRLLLRRKGPEASVAYFGILSNFLLTLLFFPVLQDMHIAQKDDFLTSAGLAAILATTSSHILARLATELKFSRSEIGRFSITAGVTTDLLSTILMLVGYTCYSLYNQWKWTMIGITYVAVETILVFAVITPVVDWMNDRNPEGKPLKGQYMVAAFMIPAMLCYLARKAGYDWKLNAFLVGLALPGKGRISSFLISRINFSLTYLILPFYFVLVNMKTGDDGNSSTASFSMVGVFTILGGMGKTLGTMYAGIMRHDMKWEDAMRIGLLLNVKGPYHFFCAHMAVNSHRNSVA >DRNTG_01761.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14174730:14180157:-1 gene:DRNTG_01761 transcript:DRNTG_01761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTKRLASKRPRTSGPSSTPDEPVFKLSHHRGRYDLLKTKLFGTLCYLDWGIVENLGIASQVREWLSKNCWDKLFAINERTFRQLTLEVLSTFEAQQNGDSVLNRKILTISFQAFGRKRTMHHLDFAKYLGIYDDEFINSMPGKRLKLDFPSGVGRSNYWATLAGDDQTRKASRMIDPAHIFIHALIARSIWDWTDSKGL >DRNTG_25473.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1600874:1606245:1 gene:DRNTG_25473 transcript:DRNTG_25473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINKAGGIDIGLLDKPIPRLDASPGFSISISDDDHEERPLVKFSLQKVRDISQTILPFISRNSGLANQNNSVEINHGFPIPLRAVNNSFVSLSSRVDNAITSEMYNLLKNNEVLPTESMSPLEPYSSGPINLSMEKNEVHAKDDVCFKNSDHARSLSSELPLSLPEEEKVSSSMEHHIDENAISSAKFDSISTSSFEKKSGIGLFDSLKAKPQSSSENSLYEGFTHEETKKSEEIDDQVTNYESPLPSCEEQVIFGRTPLPLFPAAAEFRSGSVSVNEVNDQDVQLINDKTDPSITPDLSLSRPHYVQDGNIWISAASHEKLKKDNCGDSLKLSF >DRNTG_09300.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:889100:890305:1 gene:DRNTG_09300 transcript:DRNTG_09300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKAIGSGASKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGTVTIANGGVMPNIHNLLLPKKTGAGSSKSAPDDDN >DRNTG_09300.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:889100:890212:1 gene:DRNTG_09300 transcript:DRNTG_09300.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKAIGSGASKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGTVTIANGGVMPNIHNLLLPKKTGAGSSKSAPDDDN >DRNTG_09300.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:889100:890146:1 gene:DRNTG_09300 transcript:DRNTG_09300.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKAIGSGASKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGTVTIANGGVMPNIHNLLLPKKTGAGSSKSAPDDDN >DRNTG_09300.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:889035:890212:1 gene:DRNTG_09300 transcript:DRNTG_09300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKAIGSGASKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGTVTIANGGVMPNIHNLLLPKKTGAGSSKSAPDDDN >DRNTG_09300.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:887999:890212:1 gene:DRNTG_09300 transcript:DRNTG_09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTGAIIICSFILTIDIVAGILGIEAEIAQNKGKHHKAFLIECRQTVHQAYNLGIAAVVLLAVVHTMINILGGCVCYCSKDKFKRAPVDQQIAAITFLMLWVTFGVGFSLLMIAATANSRSKVSCGLSRRHFLSIGGVLCFVHGFFCVIYYVSVSAKGWLEESKNKREVTPQSSIAGFTSP >DRNTG_09300.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:889035:890305:1 gene:DRNTG_09300 transcript:DRNTG_09300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKAIGSGASKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGTVTIANGGVMPNIHNLLLPKKTGAGSSKSAPDDDN >DRNTG_11711.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000515.1:36827:37233:1 gene:DRNTG_11711 transcript:DRNTG_11711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLRAALRSIQLSLRSSIALKESLASSPAPLPLLFARHFSGSRSRDDFPPYGFEPTTLPMNPGVRIVPEKKTFVVEAFEERATLNENIVVRLLTIVSFEVFSRL >DRNTG_25998.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:983578:984421:-1 gene:DRNTG_25998 transcript:DRNTG_25998.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEYCKLLAIFMFSLYLAALITFFFIGIVTREFGRVWIMLRGVQWSIRWVLTSMNWASACCHAHSGQDLTWDWRWLCQSAVHSA >DRNTG_02593.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21235809:21236353:-1 gene:DRNTG_02593 transcript:DRNTG_02593.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPPPILNPSPAPPTPASNPSPAPPTPAPNPGPGAATPTLAPTPNPPPPPPSLPPYPKVQSHSSTSLSTETLLHHQDQPEMPSSQAF >DRNTG_09921.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1621869:1622462:-1 gene:DRNTG_09921 transcript:DRNTG_09921.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLMQTLLLLLLVLLTSPVLSADLIYQSCEDTGNYTTNSTYQSNLLTLLPSLLSNGSVSGSYANTVGSIPDQVYGLVLCSGDTNTTSCRNCLNVAKQDVLQLCPNSKGAVVWYDPCFLRYSNQNFLSSNLNSNPPVLTDQQSVSEPDKFSELVSELMDMVAKYASYNSSRKYATGEANFTVSN >DRNTG_09921.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1621869:1622671:-1 gene:DRNTG_09921 transcript:DRNTG_09921.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLMQTLLLLLLVLLTSPVLSADLIYQSCEDTGNYTTNSTYQSNLLTLLPSLLSNGSVSGSYANTVGSIPDQVYGLVLCSGDTNTTSCRNCLNVAKQDVLQLCPNSKGAVVWYDPCFLRYSNQNFLSSNLNSNPPVLTDQQSVSEPDKFSELVSELMDMVAKYASYNSSRKYATGEANFTVSN >DRNTG_04162.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:457651:462118:1 gene:DRNTG_04162 transcript:DRNTG_04162.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVAAMKMLASTEPPIFLSPSQQLSGIARTASAEVFSSLVPSCPTPPLDRLLVDDGFDAEQIWQQIELLSSPLLSSLRRELKRLQREPPSMLSAPPKNEEGDHEDMEVEDGAEEAFEEEDDEVDEGKASEERDEEEEEEEEEEDADDESEEVKDGGVEDMFLKIKELEEYLEKDEAREYGAPKKKGRKSALKDDDSDNSEDEDDEDEDQGDFNFADLEADDDDGGEEEANGAEDARYEDFFGSKKKRGGQKAGVDAKKRRRLEKVEVLGDPDDMGMDSEQGDDIDEDDDGDNKTKDDQLLSIHEKQLQNIQAKIEQMEKENLETKSWTMQGEITAAKRPKNSALEVNLDFDHKARPPPVITDEITASLEDLIRRRIIEGHFDDVQRSPALPLKAPKELKQLDEKKSQKGLAEIYEV >DRNTG_04162.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:457651:462118:1 gene:DRNTG_04162 transcript:DRNTG_04162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVAAMKMLASTEPPIFLSPSQQLSGIARTASAEVFSSLVPSCPTPPLDRLLVDDGFDAEQIWQQIELLSSPLLSSLRRELKRLQREPPSMLSAPPKNEEGDHEDMEVEDGAEEAFEEEDDEVDEGKASEERDEEEEEEEEEEDADDESEEVKDGGVEDMFLKIKELEEYLEKDEAREYGAPKKKGRKSALKDDDSDNSEDEDDEDEDQGDFNFADLEADDDDGGEEEANGAEDARYEDFFGSKKKRGGQKAGVDAKKRRRLEKVEVLGDPDDMGMDSEQGDDIDEDDDGDNKTKDDQLLSIHEKQLQNIQAKIEQMEKENLETKSWTMQGEITAAKRPKNSALEVNLDFDHKARPPPVITDEITASLEDLIRRRIIEGHFDDVQRSPALPLKAPKELKQLDEKKSQKGLAEIYEEEYAQKTGLAHVPLSSSDEQKKEASILFKRLCLKLDALSHFHFAPKPVIEDMSVQVNVPALAMEEIAPLAVSDSAMLAPEEVFQGKGDIKEAAELTQAERKRKRANKKRKFKVETAKRAAKKPRELLNVNKSTGSKDL >DRNTG_00537.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30281161:30282974:-1 gene:DRNTG_00537 transcript:DRNTG_00537.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKRPRPPMRRTTSMTEFIPDVGVIETTKPQAEQNQRTAAPVDVPEWLTSRYVAAVATPRAGHRRNSADFSVVDTAPFLKACGLCKRRLGPGRDIFMYRGEMAFCSLECRQQQMNYDERKEKCSLSSMKKDTPSVSTGTDSSGSGETVAAA >DRNTG_32362.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7229380:7231984:-1 gene:DRNTG_32362 transcript:DRNTG_32362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSKGSKMLQYINYRMRITIQDGRQLVGKFMAFDRHMNLVIGDCEEFRRLPPVSKKNLAEQREERRTLGLVLLRGEEVVSMTVEGPPPPDDSRAKAVAAASLAGPGLGRAAGRGVPTAPLVQAQPGLAGPVRGVGGPAPGMMQPQLNRPPVPILYRTARHPPSWSVQRPRSGSSSGSRSSRASSRAVSRADEAWRSSTCSWTVPCATAAVWAKANGDHHRLR >DRNTG_32362.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7229380:7231881:-1 gene:DRNTG_32362 transcript:DRNTG_32362.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSKGSKMLQYINYRMRITIQDGRQLVGKFMAFDRHMNLVIGDCEEFRRLPPVSKKNLAEQREERRTLGLVLLRGEEVVSMTVEGPPPPDDSRAKAVAAASLAGPGLGRAAGRGVPTAPLVQAQPGLAGPVRGVGGPAPGMMQPQLNRPPVPILYRTARHPPSWSVQRPRSGSSSGSRSSRASSRAVSRADEAWRSSTCSWTVPCATAAVWAKANGDHHRLR >DRNTG_32362.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7229380:7231881:-1 gene:DRNTG_32362 transcript:DRNTG_32362.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSKGSKMLQYINYRMRITIQDGRQLVGKFMAFDRHMNLVIGDCEEFRRLPPVSKKNLAEQREERRTLGLVLLRGEEVVSMTVEGPPPPDDSRAKAVAAASLAGPGLGRAAGRGVPTAPLVQAQPGLAGPVRGVGGPAPGMMQPQLNRPPVPILYRTARHPPSWSVQRPRSGSSSGSRSSRASSRAVSRADEAWRSSTCSWTVPCATAAVWAKANGDHHRLR >DRNTG_32362.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7229309:7231881:-1 gene:DRNTG_32362 transcript:DRNTG_32362.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSKGSKMLQYINYRMRITIQDGRQLVGKFMAFDRHMNLVIGDCEEFRRLPPVSKKNLAEQREERRTLGLVLLRGEEVVSMTVEGPPPPDDSRAKAVAAASLAGPGLGRAAGRGVPTAPLVQAQPGLAGPVRGVGGPAPGMMQPQLNRPPVPILYRTARHPPSWSVQRPRSGSSSGSRSSRASSRAVSRADEAWRSSTCSWTVPCATAAVWAKANGDHHRLR >DRNTG_28698.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:452927:454323:-1 gene:DRNTG_28698 transcript:DRNTG_28698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKKDGVLMFKELALFQDYHGLPAFKSALAEFMSEMRGNKVEFNPEKLVLTAGATSANETLMFCLTDPGDAFLLPTPYYPGFDRDLKWRTGAEIVPIHCSSNNGFKITKQALEKAYNNAKKRNLRIKGVLITNPSNPLGTSMTRDELDILINFIASKDIHLISDEIYSGTVFASPGFISVKEVIKGRDDVSNKVHIVYSLSKDLGLPGFRVGAIYSEDDLVVAAATKMSSFGLISSQTQYLLSVMLSDKDFTMKYIMENKKRLEHRHRIFVDGLESAGIKCLNSNAGLFCWVDMRHLLKSKTFEAEMELWKKILFQVGLNISPGASCHCQEPGFFRMCFANMSTDTLNLALQRLNNFVEADDTNCTHRRLSSLPKWVRRLFAFSSQMGSSPFAFLSKVRTL >DRNTG_06417.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29758259:29759563:-1 gene:DRNTG_06417 transcript:DRNTG_06417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFLVAWGKMVRGIPIDPLPVYDQSWLKPRDPHLVQFDHWGSEFMPLSPQPNEFINITRTDVDPSEITNLLLHFSPDFIMKLKAQTNKLNTEKHTTFETLAGYLWRKVTIARQLDDEECTMLSVPVNGRRRLQPPVPLEFFGNLALNVYPKAKGRALIEGGVATAAGIVREAVRVMGDDYFRSFIDFGEVYGDRDLVACNEKPGNVLSPNMEVDSWLGLVFDVVDFGGGVKLCGISLTWMPFEGLSILIPSLSPDGGVDVFLSLLEKHATKFREISHSLD >DRNTG_12069.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6819974:6820480:-1 gene:DRNTG_12069 transcript:DRNTG_12069.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALSTGLFDYVWVQFYNNPLCHYFFWLNSWNQWTSSVTATSFFVGLPASPEAAESGYLPPKTLISQVLPFIMDSDEYGGVMLWNRYYDRLSGYSSQIKSVDLALLPRNTSAIRASV >DRNTG_12069.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6819974:6820934:-1 gene:DRNTG_12069 transcript:DRNTG_12069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQYSQEEQKVYLSAAPQCVYPDERMEKALSTGLFDYVWVQFYNNPLCHYFFWLNSWNQWTSSVTATSFFVGLPASPEAAESGYLPPKTLISQVLPFIMDSDEYGGVMLWNRYYDRLSGYSSQIKSVDLALLPRNTSAIRASV >DRNTG_04558.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22688904:22690315:1 gene:DRNTG_04558 transcript:DRNTG_04558.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase U9 [Source:Projected from Arabidopsis thaliana (AT5G62480) UniProtKB/Swiss-Prot;Acc:Q9FUT0] MASNGLLNGLKLYGSWASPYTHRVQLALKLKGLEFEYIEEDLTNKSSSLLLHNPIYKKVPVLLHGDHSIVESVIILQYIDETWTDNSLLPSDPYERALVRFWCYFIDDKLGTALGTVFKSAGEEQKLAVGEVHENLKLVEGELQEGVFKGRRFFGGEKIGLLDIVLGCGSYWLSVFEKVADVKLIDSEMFPLFCSWLKDFEEQPEVKEIIPAIDKLLEYAHGVRQLMLSLSSAAGDPTTTTTTTTTTNNTDDDQGSKD >DRNTG_29214.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3218957:3219800:1 gene:DRNTG_29214 transcript:DRNTG_29214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSVNKGLSKNKILSLPSISYKSAENGSTDQCVICLLNFEDGDSLVSLSCEHLYHRDCIRKWLLTNKVCPICNAEVNLP >DRNTG_28838.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001449.1:3712:5491:1 gene:DRNTG_28838 transcript:DRNTG_28838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLEGPEESSAARQMTRQHLALKSQQPLPVSPPQHSQACQSDHGLEMVKTYLLGVGPLPPKVPQSLLVLANARGQAEKPRHHHPYPQLSLKLIEPKHKLLSEPCGPYPSILLSPGSGA >DRNTG_14797.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29905530:29907723:-1 gene:DRNTG_14797 transcript:DRNTG_14797.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRNLARRNAMRSGLVVVGAIAFGYLSIQVGFKPFLERAQESMERSQPQPKLESDPSSQLSSDDGFVSSFSEEERL >DRNTG_10455.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1864905:1891240:-1 gene:DRNTG_10455 transcript:DRNTG_10455.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT3G48110) UniProtKB/Swiss-Prot;Acc:Q8L785] MICISSLMVLAEELPKIISNISFPKSMRWNSKFTFSRPIRWILALHGDNIVPFVFAGVISGRLSCGLRNSASAVFEVESAETHSNYLVKTGVVIDMEERKENIVRDSISLAEGVGGCIVMDDNLLNEVANLVEAPVPILGKFDESFLELPKDILIMVMQKHQRYFHLIDDSSNKLLPYFIAVANGVIDKVVVTKGNEAVLRARYEDAKFFFSLDIQKKFSEFRRELSGILFHEKLGTMLDKVSRIEKTVGKLSTALGLSRSFVPVLEEAAGLAMSDLATSVVTEFTSLAGIMGRHYALRDGYSQQVAESLFEITLPRFSGDALPKTEVGIVLAIADRLDSLVGLFGVGCQPSSTSDPFGLRRIAYGLVQVLVENNKNLDLKNALQLVAHVQPIKIEENILHDVHQFISRRLEQLLLDKGISSEIVRSVLSERSNSPCLAAKSAIEMEALAGGDLFLKVIEAYSRPTRIIRGKDIGTDVEVSEAAFESDEERALWSAYLAVESEIHPDVDIGVFL >DRNTG_10455.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1864905:1892808:-1 gene:DRNTG_10455 transcript:DRNTG_10455.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT3G48110) UniProtKB/Swiss-Prot;Acc:Q8L785] MDLNALLAPVPLAIRSQGAHPLLSQCLRRNSCCFPRRPPRYRRWFPLRRYQASVSIPQFQSEELKARIRASSIPTFQQAIQRLQGYWASVGCTIMQCSNTEVGAGTMNPLTFLRVLGPEPWNVAYVEPSIRPDDSRYGDNPNRLQRHTQFQVILKPDPGNSQDLFIGSLSALGIDVNEHDIRFVEDNWESPVLGAWGLGWEVWMDGMEITQFTYFQQAGSLPLLPVSVEITYGLERILMLLQGVDHFKKIKYADGITYGELFLENEKEMSAYYMQHANIGHIQNHFENFEEEARALLSLGLAIPAYDQLLKTSHAFNILDSRGFIGVTERARYFGRMRSLARQCAQLWVKTRETLGHPLGICSGVNHVDHPKTIKAEVEKVGVDPREFVLEIGTEEMPPGDVIDASEQLKISIVQMLDKQRLAHGEVSAWGTPRRLVIRVQSLWLKQAENELEIRGPPLEKAFDQQGNPTKAAEGFCRKYSLSTDDMYKQLDGKTEYVYVRVKKSARFALEVLAEELPKIISNISFPKSMRWNSKFTFSRPIRWILALHGDNIVPFVFAGVISGRLSCGLRNSASAVFEVESAETHSNYLVKTGVVIDMEERKENIVRDSISLAEGVGGCIVMDDNLLNEVANLVEAPVPILGKFDESFLELPKDILIMVMQKHQRYFHLIDDSSNKLLPYFIAVANGVIDKVVVTKGNEAVLRARYEDAKFFFSLDIQKKFSEFRRELSGILFHEKLGTMLDKVSRIEKTVGKLSTALGLSRSFVPVLEEAAGLAMSDLATSVVTEFTSLAGIMGRHYALRDGYSQQVAESLFEITLPRFSGDALPKTEVGIVLAIADRLDSLVGLFGVGCQPSSTSDPFGLRRIAYGLVQVLVENNKNLDLKNALQLVAHVQPIKIEENILHDVHQFISRRLEQLLLDKGISSEIVRSVLSERSNSPCLAAKSAIEMEALAGGDLFLKVIEAYSRPTRIIRGKDIGTDVEVSEAAFESDEERALWSAYLAVESEIHPDVDIGVFL >DRNTG_10455.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1864905:1891240:-1 gene:DRNTG_10455 transcript:DRNTG_10455.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT3G48110) UniProtKB/Swiss-Prot;Acc:Q8L785] MVKASFFSYSCLLQGYWASVGCTIMQCSNTEVGAGTMNPLTFLRVLGPEPWNVAYVEPSIRPDDSRYGDNPNRLQRHTQFQVILKPDPGNSQDLFIGSLSALGIDVNEHDIRFVEDNWESPVLGAWGLGWEVWMDGMEITQFTYFQQAGSLPLLPVSVEITYGLERILMLLQGVDHFKKIKYADGITYGELFLENEKEMSAYYMQHANIGHIQNHFENFEEEARALLSLGLAIPAYDQLLKTSHAFNILDSRGFIGVTERARYFGRMRSLARQCAQLWVKTRETLGHPLGICSGVNHVDHPKTIKAEVEKVGVDPREFVLEIGTEEMPPGDVIDASEQLKISIVQMLDKQRLAHGEVSAWGTPRRLVIRVQSLWLKQAENELEIRGPPLEKAFDQQGNPTKAAEGFCRKYSLSTDDMYKQLDGKTEYVYVRVKKSARFALEVLAEELPKIISNISFPKSMRWNSKFTFSRPIRWILALHGDNIVPFVFAGVISGRLSCGLRNSASAVFEVESAETHSNYLVKTGVVIDMEERKENIVRDSISLAEGVGGCIVMDDNLLNEVANLVEAPVPILGKFDESFLELPKDILIMVMQKHQRYFHLIDDSSNKLLPYFIAVANGVIDKVVVTKGNEAVLRARYEDAKFFFSLDIQKKFSEFRRELSGILFHEKLGTMLDKVSRIEKTVGKLSTALGLSRSFVPVLEEAAGLAMSDLATSVVTEFTSLAGIMGRHYALRDGYSQQVAESLFEITLPRFSGDALPKTEVGIVLAIADRLDSLVGLFGVGCQPSSTSDPFGLRRIAYGLVQVLVENNKNLDLKNALQLVAHVQPIKIEENILHDVHQFISRRLEQLLLDKGISSEIVRSVLSERSNSPCLAAKSAIEMEALAGGDLFLKVIEAYSRPTRIIRGKDIGTDVEVSEAAFESDEERALWSAYLAVESEIHPDVDIGVFL >DRNTG_10455.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1864905:1878375:-1 gene:DRNTG_10455 transcript:DRNTG_10455.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT3G48110) UniProtKB/Swiss-Prot;Acc:Q8L785] MEERKENIVRDSISLAEGVGGCIVMDDNLLNEVANLVEAPVPILGKFDESFLELPKDILIMVMQKHQRYFHLIDDSSNKLLPYFIAVANGVIDKVVVTKGNEAVLRARYEDAKFFFSLDIQKKFSEFRRELSGILFHEKLGTMLDKVSRIEKTVGKLSTALGLSRSFVPVLEEAAGLAMSDLATSVVTEFTSLAGIMGRHYALRDGYSQQVAESLFEITLPRFSGDALPKTEVGIVLAIADRLDSLVGLFGVGCQPSSTSDPFGLRRIAYGLVQVLVENNKNLDLKNALQLVAHVQPIKIEENILHDVHQFISRRLEQLLLDKGISSEIVRSVLSERSNSPCLAAKSAIEMEALAGGDLFLKVIEAYSRPTRIIRGKDIGTDVEVSEAAFESDEERALWSAYLAVESEIHPDVDIGVFL >DRNTG_10455.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1864905:1892808:-1 gene:DRNTG_10455 transcript:DRNTG_10455.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT3G48110) UniProtKB/Swiss-Prot;Acc:Q8L785] MPPGDVIDASEQLKISIVQMLDKQRLAHGEVSAWGTPRRLVIRVQSLWLKQAENELEIRGPPLEKAFDQQGNPTKAAEGFCRKYSLSTDDMYKQLDGKTEYVYVRVKKSARFALEVLAEELPKIISNISFPKSMRWNSKFTFSRPIRWILALHGDNIVPFVFAGVISGRLSCGLRNSASAVFEVESAETHSNYLVKTGVVIDMEERKENIVRDSISLAEGVGGCIVMDDNLLNEVANLVEAPVPILGKFDESFLELPKDILIMVMQKHQRYFHLIDDSSNKLLPYFIAVANGVIDKVVVTKGNEAVLRARYEDAKFFFSLDIQKKFSEFRRELSGILFHEKLGTMLDKVSRIEKTVGKLSTALGLSRSFVPVLEEAAGLAMSDLATSVVTEFTSLAGIMGRHYALRDGYSQQVAESLFEITLPRFSGDALPKTEVGIVLAIADRLDSLVGLFGVGCQPSSTSDPFGLRRIAYGLVQVLVENNKNLDLKNALQLVAHVQPIKIEENILHDVHQFISRRLEQLLLDKGISSEIVRSVLSERSNSPCLAAKSAIEMEALAGGDLFLKVIEAYSRPTRIIRGKDIGTDVEVSEAAFESDEERALWSAYLAVESEIHPDVDIGVFL >DRNTG_10455.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1864905:1892808:-1 gene:DRNTG_10455 transcript:DRNTG_10455.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT3G48110) UniProtKB/Swiss-Prot;Acc:Q8L785] MDGRDGNNTIYIFSAAIQAGSLPLLPVSVEITYGLERILMLLQGVDHFKKIKYADGITYGELFLENEKEMSAYYMQHANIGHIQNHFENFEEEARALLSLGLAIPAYDQLLKTSHAFNILDSRGFIGVTERARYFGRMRSLARQCAQLWVKTRETLGHPLGICSGVNHVDHPKTIKAEVEKVGVDPREFVLEIGTEEMPPGDVIDASEQLKISIVQMLDKQRLAHGEVSAWGTPRRLVIRVQSLWLKQAENELEIRGPPLEKAFDQQGNPTKAAEGFCRKYSLSTDDMYKQLDGKTEYVYVRVKKSARFALEVLAEELPKIISNISFPKSMRWNSKFTFSRPIRWILALHGDNIVPFVFAGVISGRLSCGLRNSASAVFEVESAETHSNYLVKTGVVIDMEERKENIVRDSISLAEGVGGCIVMDDNLLNEVANLVEAPVPILGKFDESFLELPKDILIMVMQKHQRYFHLIDDSSNKLLPYFIAVANGVIDKVVVTKGNEAVLRARYEDAKFFFSLDIQKKFSEFRRELSGILFHEKLGTMLDKVSRIEKTVGKLSTALGLSRSFVPVLEEAAGLAMSDLATSVVTEFTSLAGIMGRHYALRDGYSQQVAESLFEITLPRFSGDALPKTEVGIVLAIADRLDSLVGLFGVGCQPSSTSDPFGLRRIAYGLVQVLVENNKNLDLKNALQLVAHVQPIKIEENILHDVHQFISRRLEQLLLDKGISSEIVRSVLSERSNSPCLAAKSAIEMEALAGGDLFLKVIEAYSRPTRIIRGKDIGTDVEVSEAAFESDEERALWSAYLAVESEIHPDVDIGVFL >DRNTG_10455.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1864905:1887570:-1 gene:DRNTG_10455 transcript:DRNTG_10455.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT3G48110) UniProtKB/Swiss-Prot;Acc:Q8L785] MLLSYLLMISLARQCAQLWVKTRETLGHPLGICSGVNHVDHPKTIKAEVEKVGVDPREFVLEIGTEEMPPGDVIDASEQLKISIVQMLDKQRLAHGEVSAWGTPRRLVIRVQSLWLKQAENELEIRGPPLEKAFDQQGNPTKAAEGFCRKYSLSTDDMYKQLDGKTEYVYVRVKKSARFALEVLAEELPKIISNISFPKSMRWNSKFTFSRPIRWILALHGDNIVPFVFAGVISGRLSCGLRNSASAVFEVESAETHSNYLVKTGVVIDMEERKENIVRDSISLAEGVGGCIVMDDNLLNEVANLVEAPVPILGKFDESFLELPKDILIMVMQKHQRYFHLIDDSSNKLLPYFIAVANGVIDKVVVTKGNEAVLRARYEDAKFFFSLDIQKKFSEFRRELSGILFHEKLGTMLDKVSRIEKTVGKLSTALGLSRSFVPVLEEAAGLAMSDLATSVVTEFTSLAGIMGRHYALRDGYSQQVAESLFEITLPRFSGDALPKTEVGIVLAIADRLDSLVGLFGVGCQPSSTSDPFGLRRIAYGLVQVLVENNKNLDLKNALQLVAHVQPIKIEENILHDVHQFISRRLEQLLLDKGISSEIVRSVLSERSNSPCLAAKSAIEMEALAGGDLFLKVIEAYSRPTRIIRGKDIGTDVEVSEAAFESDEERALWSAYLAVESEIHPDVDIGVFL >DRNTG_10455.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1864905:1879791:-1 gene:DRNTG_10455 transcript:DRNTG_10455.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT3G48110) UniProtKB/Swiss-Prot;Acc:Q8L785] MRWNSKFTFSRPIRWILALHGDNIVPFVFAGVISGRLSCGLRNSASAVFEVESAETHSNYLVKTGVVIDMEERKENIVRDSISLAEGVGGCIVMDDNLLNEVANLVEAPVPILGKFDESFLELPKDILIMVMQKHQRYFHLIDDSSNKLLPYFIAVANGVIDKVVVTKGNEAVLRARYEDAKFFFSLDIQKKFSEFRRELSGILFHEKLGTMLDKVSRIEKTVGKLSTALGLSRSFVPVLEEAAGLAMSDLATSVVTEFTSLAGIMGRHYALRDGYSQQVAESLFEITLPRFSGDALPKTEVGIVLAIADRLDSLVGLFGVGCQPSSTSDPFGLRRIAYGLVQVLVENNKNLDLKNALQLVAHVQPIKIEENILHDVHQFISRRLEQLLLDKGISSEIVRSVLSERSNSPCLAAKSAIEMEALAGGDLFLKVIEAYSRPTRIIRGKDIGTDVEVSEAAFESDEERALWSAYLAVESEIHPDVDIGVFL >DRNTG_10455.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1864905:1886689:-1 gene:DRNTG_10455 transcript:DRNTG_10455.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT3G48110) UniProtKB/Swiss-Prot;Acc:Q8L785] MLLSYLLMISLARQCAQLWVKTRETLGHPLGICSGVNHVDHPKTIKAEVEKVGVDPREFVLEIGTEEMPPGDVIDASEQLKISIVQMLDKQRLAHGEVSAWGTPRRLVIRVQSLWLKQAENELEIRGPPLEKAFDQQGNPTKAAEGFCRKYSLSTDDMYKQLDGKTEYVYVRVKKSARFALEVLAEELPKIISNISFPKSMRWNSKFTFSRPIRWILALHGDNIVPFVFAGVISGRLSCGLRNSASAVFEVESAETHSNYLVKTGVVIDMEERKENIVRDSISLAEGVGGCIVMDDNLLNEVANLVEAPVPILGKFDESFLELPKDILIMVMQKHQRYFHLIDDSSNKLLPYFIAVANGVIDKVVVTKGNEAVLRARYEDAKFFFSLDIQKKFSEFRRELSGILFHEKLGTMLDKVSRIEKTVGKLSTALGLSRSFVPVLEEAAGLAMSDLATSVVTEFTSLAGIMGRHYALRDGYSQQVAESLFEITLPRFSGDALPKTEVGIVLAIADRLDSLVGLFGVGCQPSSTSDPFGLRRIAYGLVQVLVENNKNLDLKNALQLVAHVQPIKIEENILHDVHQFISRRLEQLLLDKGISSEIVRSVLSERSNSPCLAAKSAIEMEALAGGDLFLKVIEAYSRPTRIIRGKDIGTDVEVSEAAFESDEERALWSAYLAVESEIHPDVDIGVFL >DRNTG_10455.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1864828:1872005:-1 gene:DRNTG_10455 transcript:DRNTG_10455.14 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT3G48110) UniProtKB/Swiss-Prot;Acc:Q8L785] RLDSLVGLFGVGCQPSSTSDPFGLRRIAYGLVQVLVENNKNLDLKNALQLVAHVQPIKIEENILHDVHQFISRRLEQLLLDKGISSEIVRSVLSERSNSPCLAAKSAIEMEALAGGDLFLKVIEAYSRPTRIIRGKDIGTDVEVSEAAFESDEERALWSAYLAVESEIHPDVDIGVFL >DRNTG_10455.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1864828:1877277:-1 gene:DRNTG_10455 transcript:DRNTG_10455.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT3G48110) UniProtKB/Swiss-Prot;Acc:Q8L785] MDDNLLNEVANLVEAPVPILGKFDESFLELPKDILIMVMQKHQRYFHLIDDSSNKLLPYFIAVANGVIDKVVVTKGNEAVLRARYEDAKFFFSLDIQKKFSEFRRELSGILFHEKLGTMLDKVSRIEKTVGKLSTALGLSRSFVPVLEEAAGLAMSDLATSVVTEFTSLAGIMGRHYALRDGYSQQVAESLFEITLPRFSGDALPKTEVGIVLAIADRLDSLVGLFGVGCQPSSTSDPFGLRRIAYGLVQVLVENNKNLDLKNALQLVAHVQPIKIEENILHDVHQFISRRLEQLLLDKGISSEIVRSVLSERSNSPCLAAKSAIEMEALAGGDLFLKVIEAYSRPTRIIRGKDIGTDVEVSEAAFESDEERALWSAYLAVESEIHPDVDIGVFL >DRNTG_10455.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1864905:1892808:-1 gene:DRNTG_10455 transcript:DRNTG_10455.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT3G48110) UniProtKB/Swiss-Prot;Acc:Q8L785] MDGRDGNNTIYIFSAAIQAGSLPLLPVSVEITYGLERILMLLQGVDHFKKIKYADGITYGELFLENEKEMSAYYMQHANIGHIQNHFENFEEEARALLSLGLAIPAYDQLLKTSHAFNILDSRGFIGVTERARYFGRMRSLARQCAQLWVKTRETLGHPLGICSGVNHVDHPKTIKAEVEKVGVDPREFVLEIGTEEMPPGDVIDASEQLKISIVQMLDKQRLAHGEVSAWGTPRRLVIRVQSLWLKQAENELEIRGPPLEKAFDQQGNPTKAAEGFCRKYSLSTDDMYKQLDGKTEYVYVRVKKSARFALEVLAEELPKIISNISFPKSMRWNSKFTFSRPIRWILALHGDNIVPFVFAGVISGRLSCGLRNSASAVFEVESAETHSNYLVKTGVVIDMEERKENIVRDSISLAEGVGGCIVMDDNLLNEVANLVEAPVPILGKFDESFLELPKDILIMVMQKHQRYFHLIDDSSNKLLPYFIAVANGVIDKVVVTKGNEAVLRARYEDAKFFFSLDIQKKFSEFRRELSGILFHEKLGTMLDKVSRIEKTVGKLSTALGLSRSFVPVLEEAAGLAMSDLATSVVTEFTSLAGIMGRHYALRDGYSQQVAESLFEITLPRFSGDALPKTEVGIVLAIADRLDSLVGLFGVGCQPSSTSDPFGLRRIAYGLVQVLVENNKNLDLKNALQLVAHVQPIKIEENILHDVHQFISRRLEQLLLDKGISSEIVRSVLSERSNSPCLAAKSAIEMEALAGGDLFLKVIEAYSRPTRIIRGKDIGTDVEVSEAAFESDEERALWSAYLAVESEIHPDVDIGVFL >DRNTG_10455.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1864905:1891240:-1 gene:DRNTG_10455 transcript:DRNTG_10455.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT3G48110) UniProtKB/Swiss-Prot;Acc:Q8L785] MLLSYLLMISLARQCAQLWVKTRETLGHPLGICSGVNHVDHPKTIKAEVEKVGVDPREFVLEIGTEEMPPGDVIDASEQLKISIVQMLDKQRLAHGEVSAWGTPRRLVIRVQSLWLKQAENELEIRGPPLEKAFDQQGNPTKAAEGFCRKYSLSTDDMYKQLDGKTEYVYVRVKKSARFALEVLAEELPKIISNISFPKSMRWNSKFTFSRPIRWILALHGDNIVPFVFAGVISGRLSCGLRNSASAVFEVESAETHSNYLVKTGVVIDMEERKENIVRDSISLAEGVGGCIVMDDNLLNEVANLVEAPVPILGKFDESFLELPKDILIMVMQKHQRYFHLIDDSSNKLLPYFIAVANGVIDKVVVTKGNEAVLRARYEDAKFFFSLDIQKKFSEFRRELSGILFHEKLGTMLDKVSRIEKTVGKLSTALGLSRSFVPVLEEAAGLAMSDLATSVVTEFTSLAGIMGRHYALRDGYSQQVAESLFEITLPRFSGDALPKTEVGIVLAIADRLDSLVGLFGVGCQPSSTSDPFGLRRIAYGLVQVLVENNKNLDLKNALQLVAHVQPIKIEENILHDVHQFISRRLEQLLLDKGISSEIVRSVLSERSNSPCLAAKSAIEMEALAGGDLFLKVIEAYSRPTRIIRGKDIGTDVEVSEAAFESDEERALWSAYLAVESEIHPDVDIGVFL >DRNTG_10455.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1864905:1892808:-1 gene:DRNTG_10455 transcript:DRNTG_10455.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT3G48110) UniProtKB/Swiss-Prot;Acc:Q8L785] MYHNAMQQYRGGDFCKVGAGTMNPLTFLRVLGPEPWNVAYVEPSIRPDDSRYGDNPNRLQRHTQFQVILKPDPGNSQDLFIGSLSALGIDVNEHDIRFVEDNWESPVLGAWGLGWEVWMDGMEITQFTYFQQAGSLPLLPVSVEITYGLERILMLLQGVDHFKKIKYADGITYGELFLENEKEMSAYYMQHANIGHIQNHFENFEEEARALLSLGLAIPAYDQLLKTSHAFNILDSRGFIGVTERARYFGRMRSLARQCAQLWVKTRETLGHPLGICSGVNHVDHPKTIKAEVEKVGVDPREFVLEIGTEEMPPGDVIDASEQLKISIVQMLDKQRLAHGEVSAWGTPRRLVIRVQSLWLKQAENELEIRGPPLEKAFDQQGNPTKAAEGFCRKYSLSTDDMYKQLDGKTEYVYVRVKKSARFALEVLAEELPKIISNISFPKSMRWNSKFTFSRPIRWILALHGDNIVPFVFAGVISGRLSCGLRNSASAVFEVESAETHSNYLVKTGVVIDMEERKENIVRDSISLAEGVGGCIVMDDNLLNEVANLVEAPVPILGKFDESFLELPKDILIMVMQKHQRYFHLIDDSSNKLLPYFIAVANGVIDKVVVTKGNEAVLRARYEDAKFFFSLDIQKKFSEFRRELSGILFHEKLGTMLDKVSRIEKTVGKLSTALGLSRSFVPVLEEAAGLAMSDLATSVVTEFTSLAGIMGRHYALRDGYSQQVAESLFEITLPRFSGDALPKTEVGIVLAIADRLDSLVGLFGVGCQPSSTSDPFGLRRIAYGLVQVLVENNKNLDLKNALQLVAHVQPIKIEENILHDVHQFISRRLEQLLLDKGISSEIVRSVLSERSNSPCLAAKSAIEMEALAGGDLFLKVIEAYSRPTRIIRGKDIGTDVEVSEAAFESDEERALWSAYLAVESEIHPDVDIGVFL >DRNTG_18976.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22755415:22758425:1 gene:DRNTG_18976 transcript:DRNTG_18976.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSGGRTKLKCVYCMKLFLGGGIHRIKEHLAKQKGNASRCPKVPPEVQNAMQDSLDGAAVRKKKKLKLAEEVTRVGPANHLSDGNAMDATGIPIVSLPDMLDSGTIEVEIKEEGVLRTVEKGRRRRAKLDVQAAPSLAPPVASDVGLLSKSHLAPVMDKEQVYIAIGRFLFEAGVPLDAVNSAYFQPMVDAIASAGPGLQVPSYHDFRGWILKRSIDELNSTMELYRGTWSRTGCSVLADEWTTDMGKTLINFLVYCPEGMVFLRSVDASHIITSEETLYELLKHVVEQVGERNVVQVVTNNSEVHVLAGKRLSETFPTLFWTPCASRCIDAMLDDISKLDDISMIIENAKSITGFIYNHADVLNMMKRYTNGRDLIVLGEFRAAMNFITLKTMTTLKDELHAMITSEEWADCPFYKRPAGIAMTNLVSSSTFWSSCAMVVRITEPLVRVLNLVDSNRRPTIGYVYVGMYQAKDVIKKELMKKNIYMPYWKIIDWRWNRQLARPLYAAGFFLNPLFFYNLQGQISNEISSGMLDCIERLVPEPKVQDKIQKELNLYKSAAGDFGRKMAVRARHTLLPAEWWSTYGGGCPNLTRLAIRILSQTCSARGCERNHIPF >DRNTG_18976.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22755415:22757760:1 gene:DRNTG_18976 transcript:DRNTG_18976.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSGGRTKLKCVYCMKLFLGGGIHRIKEHLAKQKGNASRCPKVPPEVQNAMQDSLDGAAVRKKKKLKLAEEVTRVGPANHLSDGNAMDATGIPIVSLPDMLDSGTIEVEIKEEGVLRTVEKGRRRRAKLDVQAAPSLAPPVASDVGLLSKSHLAPVMDKEQVYIAIGRFLFEAGVPLDAVNSAYFQPMVDAIASAGPGLQVPSYHDFRGWILKRSIDELNSTMELYRGTWSRTGCSVLADEWTTDMGKTLINFLVYCPEGMVFLRSVDASHIITSEETLYELLKHVVEQVGERNVVQVVTNNSEVHVLAGKRLSETFPTLFWTPCASRCIDAMLDDISKLDDISMIIENAKSITGFIYNHADVLNMMKRYTNGRDLIVLGEFRAAMNFITLKTMTTLKDELHAMITSEEWADCPFYKRPAGIAMTNLVSSSTFWSSCAMVVRITEPLVRVLNLVDSNRRPTIGYVYVGMYQAKDVIKKELMKKNIYMPYWKIIDWRWNRQLARPLYAAGFFLNPLFFYNLQGQISNEISSGMLDCIERLVPEPKVQDKIQKELNLYKSAAGDFGRKMAVRARHTLLPAEWWSTYGGGCPNLTRLAIRILSQTCSARGCERNHIPF >DRNTG_18976.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22757854:22758425:1 gene:DRNTG_18976 transcript:DRNTG_18976.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNQPVASEPLAQYPKDEDAEAFISGLDLEVIQGAGREAEDDDDDDDDDDCKVEHDAHNGTSFS >DRNTG_18976.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22755415:22758425:1 gene:DRNTG_18976 transcript:DRNTG_18976.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSGGRTKLKCVYCMKLFLGGGIHRIKEHLAKQKGNASRCPKVPPEVQNAMQDSLDGAAVRKKKKLKLAEEVTRVGPANHLSDGNAMDATGIPIVSLPDMLDSGTIEVEIKEEGVLRTVEKGRRRRAKLDVQAAPSLAPPVASDVGLLSKSHLAPVMDKEQVYIAIGRFLFEAGVPLDAVNSAYFQPMVDAIASAGPGLQVPSYHDFRGWILKRSIDELNSTMELYRGTWSRTGCSVLADEWTTDMGKTLINFLVYCPEGMVFLRSVDASHIITSEETLYELLKHVVEQVGERNVVQVVTNNSEVHVLAGKRLSETFPTLFWTPCASRCIDAMLDDISKLDDISMIIENAKSITGFIYNHADVLNMMKRYTNGRDLIVLGEFRAAMNFITLKTMTTLKDELHAMITSEEWADCPFYKRPAGIAMTNLVSSSTFWSSCAMVVRITEPLVRVLNLVDSNRRPTIGYVYVGMYQAKDVIKKELMKKNIYMPYWKIIDWRWNRQLARPLYAAGFFLNPLFFYNLQGQISNEISSGMLDCIERLVPEPKVQDKIQKELNLYKSAAGDFGRKMAVRARHTLLPAEWWSTYGGGCPNLTRLAIRILSQTCSARGCERNHIPF >DRNTG_05334.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18766887:18771549:-1 gene:DRNTG_05334 transcript:DRNTG_05334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIWTLYNVNVLLACDNIFKARSYYKVIEEVNDPGLDELTEFENKFSSWLSSGLEARKAHHLSSNSTTNSTHGKLSLPSLVRTSSPLSRQATCILIVHGFIEMRMRCGDHDPEDVPEALEATLKGLRLDYLDLYLILTTSYGQTKFHEDVKLLKDAGMDAYRFSISWSRTLPRSIKGGINHEGIAYYNNLINELIQNGIKVFLTIFHWDVPQALEDEYGGFLNRRIL >DRNTG_07825.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10082887:10083290:-1 gene:DRNTG_07825 transcript:DRNTG_07825.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLDASSDQQIPHGNNHSHESSHQTNLNASSDAF >DRNTG_06420.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29779854:29780627:-1 gene:DRNTG_06420 transcript:DRNTG_06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTSNIYQYYKLHFLCYSLAPLVVSSSFLAFTPSISTNADIISALSKTLIHFPTLTANLSTDSFGRPSLTIGGPNGGALIVEATVSSKLEDHMPLTPSTGFRLLHPGIKEEKYLLQLQLNRFQCGGLVIGVTSHHRVADGQSMGSFFVAWGKMVYGIPIDSLPVYNQSWFKPREPPLVQFDHWETHFIPLFPQPNEFIFTPVNADLSEITNMLLHFSPEFIMKLKAHTNKLSTDKHTTFETLSGYLWRKLTIARLR >DRNTG_14111.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7797393:7798685:1 gene:DRNTG_14111 transcript:DRNTG_14111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPLLLLALLALAVAEPPPSERSALLSFLSKTPHESRIQWNSSTPTCSWVGVTCDPNATTVIALRLPGTGLVGPIPSSTLSNRLSGPLPSDFSNLTLLRSLYLHNNLLSGPFPPAIPLFPHLTRLDLSGNNFSGEIPFSLNNLTHLSRLFLQHNHFSGSLPSIDLVSLTSFDVSYNALNGSVPRSLDRFPESSFTGNLDLCGSPLPPCTPFFPAPAPAPEVPTKSSSGGLSKKAIIGISVAGGLIVIILLTVLLVCCFFRCCHRRSRDKPKPDVPPPPPPPVVSGGGESSRATAEVDDGETNRLVFVGKRGYGFDLEDLLRASAEVLGKGSVGTSYKAVLEDGTTVVVKRLKDVSAPKPDFEAHVEIIGRLDEHPNVLPLRAFYYSKDEKLLVYDYLPSGSLSALLH >DRNTG_09682.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1425286:1426769:1 gene:DRNTG_09682 transcript:DRNTG_09682.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTLKDGQDIAVKRLSATSGQGLQELRNEVIFVAKLQHRNLVRLLGCCLDNNEKLLVYEYLPNSSLDKFLFDDNGSQQLDWSSRYKIIEGIARGLLYLHEDSRLRIIHRDLKASNILLDENMNPKISDFGLAKHFGLNETQANTTRIAGTHGYMAPEYLMRGEFSPKSDVFSYGVLVLEIVTGQKNRGVVGYQPASDLVNNVYKHWNEGKALELKDKRIGEEFPAEQVLRCIHIGLLLRSGRPNKEAMHGFGCEHAQQLFHFSSTAFNPWIFQQK >DRNTG_09682.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1412131:1426769:1 gene:DRNTG_09682 transcript:DRNTG_09682.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKISDFGLAKHFGLNETQANTTRIAGTHGYMAPEYLMRGEFSPKSDVFSYGVLVLEIVTGQKNRGVVGYQPASDLVNNVYKHWNEGKALELKDKRIGEEFPAEQVLRCIHIGLLLRSGRPNKEAMHGFGCEHAQQLFHFSSTAFNPWIFQQK >DRNTG_09682.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1409045:1426769:1 gene:DRNTG_09682 transcript:DRNTG_09682.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKISDFGLAKHFGLNETQANTTRIAGTHGYMAPEYLMRGEFSPKSDVFSYGVLVLEIVTGQKNRGVVGYQPASDLVNNVYKHWNEGKALELKDKRIGEEFPAEQVLRCIHIGLLLRSGRPNKEAMHGFGCEHAQQLFHFSSTAFNPWIFQQK >DRNTG_09682.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1374404:1426769:1 gene:DRNTG_09682 transcript:DRNTG_09682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQATSLEHLRTGKIIMELILFMILLFLPLFLSEEVCNTQDPILVNCDFSSNYTIPSPFKANLDVLLSNLPNSTASSKDLFFNYSVGTASDVVHGFAQCRPQMSTYDCAICLYRSAFSASSNCLLSRSATIRFNDCILRFSDRPFFSQVSNDNGCTYYNVNNVSNPTVFNKPLRKLMYEVSLNASMNAIKFASATFNDSTIGDIYGMAECTRDLTDTGCSTCLDRSVLNLLEYFYYKQGYRAFSMSCFVRFEIYPSWHSRLPHLPRLRH >DRNTG_09682.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1374404:1376962:1 gene:DRNTG_09682 transcript:DRNTG_09682.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQATSLEHLRTGKIIMELILFMILLFLPLFLSEEVCNTQDPILVNCDFSSNYTIPSPFKANLDVLLSNLPNSTASSKDLFFNYSVGTASDVVHGFAQCRPQMSTYDCAICLYRSAFSASSNCLLSRSATIRFNDCILRFSDRPFFSQVSNDNGCTYYNVNNVSNPTVFNKPLRKLMYEVSLNASMNAIKFASATFNDSTIGDIYGMAECTRDLTDTGCSTCLDRSVLNLLEYFYYKQGYRAFSMSCFVRFEIYPSWHSRLPHLPRLRH >DRNTG_09682.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1408271:1426769:1 gene:DRNTG_09682 transcript:DRNTG_09682.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKISDFGLAKHFGLNETQANTTRIAGTHGYMAPEYLMRGEFSPKSDVFSYGVLVLEIVTGQKNRGVVGYQPASDLVNNVYKHWNEGKALELKDKRIGEEFPAEQVLRCIHIGLLLRSGRPNKEAMHGFGCEHAQQLFHFSSTAFNPWIFQQK >DRNTG_32922.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1238929:1244078:1 gene:DRNTG_32922 transcript:DRNTG_32922.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLSRQMSCNFMSVPHSNLNTNILAISCFSCTKR >DRNTG_21272.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4889824:4892879:-1 gene:DRNTG_21272 transcript:DRNTG_21272.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLSFLLCRQEGLAYEVLQWYICRMEAWFAADVDTISLKNWDQEHVLTGGHGLMVQGYYPLINALAKDLDIRLNHRVTKILQNSNKVIVTAEDGSSFIANAVIITVPLGVLQANLIEFEPSLPEWKLSALSDIGVGNENKIALRFDSVFWPNVEVLGIVAPTSYACGYFLNLQKATGYPVLVYMAAGTFAYSIEKLSDEEAAKLAMAELKKMLPNATEPVGYLVSRWGTDPNSLGSYSCDLVGKPADLYERFRAPVDNIYFAGEATSLDHSGTVHGAYSSGILAAEECRRDLSMKHGFSDAFNLVLREEKTGLGFSDVLNLVLREEMVPFQISRI >DRNTG_21272.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4889824:4894569:-1 gene:DRNTG_21272 transcript:DRNTG_21272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTNFVIGGSFLAHIDKHQTSPPSVIVVGGGISGIAAARALSNASFNVTLLESRNRLGGRVHTDYSLGFPVDMGASWLHGVCNENSLAPLIRHLGLRLYRTSGDNSVLYDHDLESYTLFDKNGHQVPQELVIEVGLIFEKILKETKKVRDEHIEDMSILRAISIVLDRHPELRQEGLAYEVLQWYICRMEAWFAADVDTISLKNWDQEHVLTGGHGLMVQGYYPLINALAKDLDIRLNHRVTKILQNSNKVIVTAEDGSSFIANAVIITVPLGVLQANLIEFEPSLPEWKLSALSDIGVGNENKIALRFDSVFWPNVEVLGIVAPTSYACGYFLNLQKATGYPVLVYMAAGTFAYSIEKLSDEEAAKLAMAELKKMLPNATEPVGYLVSRWGTDPNSLGSYSCDLVGKPADLYERFRAPVDNIYFAGEATSLDHSGTVHGAYSSGILAAEECRRDLSMKHGFSDAFNLVLREEKTGLGFSDVLNLVLREEMVPFQISRI >DRNTG_26231.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:451482:454451:-1 gene:DRNTG_26231 transcript:DRNTG_26231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEGVANLTNETKQGLRKGGFRATMFIFFMTGLENIGFVANMVSMVLYFLLVMHFNLADSATTLTNFLGSTFLLTLVGGFIADTYLTRLNTVLLFACFEILGYILAIIQAHRPSLRPPGQCLTCKLEGKKAAIFYLSLSLLALGFGGIRGSYPALGGDQFDKNNPKERKAVASFFNWLLMSITLGATLGVTVIVWVSTERSWALGFLIGMICAFLGFCIITAGKPFYRVRIVKDSPLIRLFQVMVAAIRNRKLSLPENPDELHQQYISSSSISSNNNNNNKAEDEEIILHSTQFRCLDKAAIILPRSSTLQLDPWRVCTVSQVEELKDYNKDAAHPGQHHPHEHMLGPATNLHGATREHNGPPSGFF >DRNTG_23870.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20831907:20834112:1 gene:DRNTG_23870 transcript:DRNTG_23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMMMTMSSMDAMNTVVLDDIIRRLLGGARSGKQVQLSEAEIRQICVESRRIFLSQPNLLVLKAPIKICGDLHGQFADLLRLFECGGFPPSSSYLFLGDYVDRGKQSLETICLLLAYKLKYPDKLFLLRGNHEDPKINRVYGFYDECKRRFNVRLWKIFTDCFNCLPVAALIDDKILCMHGGLSPDLNSLEQIKDIERPTEIPESGLLCDLLWSDPDSGTEGWGNSDRGVSCTFGADKLIEFLDKHELDLIVRGHQVVEDGYEFFGQRRLVTIFSAPNYCGDFDNAGALLSIDDSLLCSFEILKPILKAIPGGSNTLRSLPKKSSKGGKV >DRNTG_19363.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5685416:5687634:-1 gene:DRNTG_19363 transcript:DRNTG_19363.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNFHMRALSYTTPTLASAIINSIPAMTFILSVILRVESLKPRKLSGIAKIIGVVLSLAGVVVIALYIGPFIKSLNPHYVFGDKNHERNGGQANQNKKFWLIGTSLMTITNITWALWLVLQGAVLKEYPAKLMFTALQCIFSTVQSFFVALAMERDFSKWKLSSGMSFISVAYCVNTLNIKSSLSVFLGINYTSLT >DRNTG_19363.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5685416:5687634:-1 gene:DRNTG_19363 transcript:DRNTG_19363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNFHMRALSYTTPTLASAIINSIPAMTFILSVILRVESLKPRKLSGIAKIIGVVLSLAGVVVIALYIGPFIKSLNPHYVFGDKNHERNGGQANQNKKFWLIGTSLMTITNITWALWLVLQGAVLKEYPAKLMFTALQCIFSTVQSFFVALAMERDFSKWKLSSGMSFISVAYCGVIVTGVSFYLQSWCIEKRGPVFLSMFTPLTLLITMACSFLFLGDVINLGSILGGALMVTGLYSVLWGKSKEVKESTIPVAAPTESPCFDETQMVNRQKE >DRNTG_19363.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5685416:5687634:-1 gene:DRNTG_19363 transcript:DRNTG_19363.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFTALQCIFSTVQSFFVALAMERDFSKWKLSSGMSFISVAYCGVIVTGVSFYLQSWCIEKRGPVFLSMFTPLTLLITMACSFLFLGDVINLGSILGGALMVTGLYSVLWGKSKEVKESTIPVAAPTESPCFDETQMVNRQKE >DRNTG_19363.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5685416:5687634:-1 gene:DRNTG_19363 transcript:DRNTG_19363.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNFHMRALSYTTPTLASAIINSIPAMTFILSVILRVESLKPRKLSGIAKIIGVVLSLAGVVVIALYIGPFIKSLNPHYVFGDKNHERNGGQANQNKKFWLIGTSLMTITNITWALWLVLQVNTLIFH >DRNTG_33553.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28460683:28462792:1 gene:DRNTG_33553 transcript:DRNTG_33553.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase 4 [Source:Projected from Arabidopsis thaliana (AT1G19440) UniProtKB/Swiss-Prot;Acc:Q9LN49] MTRPRAVFLIDFACYRPEPHLKAPFLAFMEHSRLCGEFNESALEFQRKILERSGLGEETYFPEAMHHLPPNPSMAAARAEAEQVMFGALDNLFRSTGVNPKDIGVLVVNCSLFNPTPSLSAMIVNKYKFRGNIRSFNLGGMGCSAGVISIDLARDLLQVHGSSYAVVVSTENITQNWYFGNRKSMLIPNCLFRVGGAAVLLSNRRSDRRRAKYRLVHVVRTHKGADDNAFRCVYQEQDEVGKVGVSLSKDLMAIAGGALKTNITTLGPLVLPISEQLLFFATLVAKKLFNAKVKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLSPVHVEASRMTLHRFGNTSSSSIWYELAYIEAKGRIRRGHRIWQIAFGSGFKCNSAVWEALCNVKASSHSPWADCINSYPVQVVDGHIASQ >DRNTG_21554.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:27089464:27093663:1 gene:DRNTG_21554 transcript:DRNTG_21554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINMFESFDTIMRMVEHVEQKVQTVAWNDISCYSYHEQCTTQQPLEKSVEEYIARIQGQSCKLDNVIKQFEESTSVSMRDQLEEDVERIIARFDSSYQDQKQELFSVGVDISNLKFCGMDMLISIVDCKEIDFQAQGRAAAPVNDHANIARPWVISARAWNSLQSWAFFPRAHGAWTHPCERPCEPRTGAVISTPRLETLQMSSLHPEDTGASGLPLGVRLPL >DRNTG_06947.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4706495:4707348:1 gene:DRNTG_06947 transcript:DRNTG_06947.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVNTKRNFSNETKLSKIKTFSRSKQAQKSQIHPKETKAKSTKRIK >DRNTG_03423.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3236365:3238127:-1 gene:DRNTG_03423 transcript:DRNTG_03423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRIRDENMANVHSTIDSSLKSKSKTMKRSIKASGSGKGTAPKQEAKFSIISLKILLVRYAKGTMYRFPQMYKQRNGPSLQ >DRNTG_25369.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24213312:24214013:-1 gene:DRNTG_25369 transcript:DRNTG_25369.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIVITKTKVSRSTIATSQRYFFEGKNTSDMITSFYIFFNIHLNLLLTMAMVTLSFNCNPFKNKQQNSDHLLSCRSISSKTTIIRSSVSFRSPAPPGPGEDKKEKAEDEENKGVEKKMKKKGLSLAQHVIYGEVEKLGKGLKECLRPKQKGDWKDLFLMSFSFAVYVYISQKIVCAYCAWTAMH >DRNTG_01037.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18622677:18623055:-1 gene:DRNTG_01037 transcript:DRNTG_01037.1 gene_biotype:protein_coding transcript_biotype:protein_coding SILTKINSQDEQQRQQRSSLSMAGPMRRLTSIVLILLYGRGGQEAGLGRSEKAKKQQPWIGLDWSFLSMVPREMEMEMEMRSLGGDGVDG >DRNTG_27493.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17228350:17230348:-1 gene:DRNTG_27493 transcript:DRNTG_27493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISLDRGIMGRKRVRKEETFEEHPFFCYSEREQFEG >DRNTG_25469.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1582052:1587587:1 gene:DRNTG_25469 transcript:DRNTG_25469.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase DDM1 [Source:Projected from Arabidopsis thaliana (AT5G66750) UniProtKB/Swiss-Prot;Acc:Q9XFH4] MKFQGLYLPWALFYIMGQRMKGGKFEKSLCRNKLGPKFPVVVTSYEVVLNDASVLAQYRWKYVVVDEGHRLKNTNCLLLKQLRRLPIENKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDLSGRCNNDYQSEETEEKRRVQVISKLHSILRPFLLRRMKADVEQLLPRKKEIILYADMTEHQKLIQDHLINKTFEDHVGTIDYAIQRSGMKGKLNNLLMQLRKNCNHPDLLESAYDGSFLYPPIEQIVEQCGKFRLLDRLLPLLLSRRHKVLIFSQFTKVLDIMDYYFSEKNIEVCRIDGRVRLDERKKQIEAFNDLNSNVNVFLLSTRAGGLGINLTAADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSQSVEGRIMKKAFGKLKLEHVVIGKGQFHQERAKPNILDESDLLSLLKDEEDAEDKLIQTDISDENLLKVLDRSDLLAGDSEEVHSFPIRGPGWEVVIPTKSGGGMLSSLSS >DRNTG_30765.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28732538:28734996:-1 gene:DRNTG_30765 transcript:DRNTG_30765.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGANPRAEELERPRRRCRSDRHYRLSRSSNAKMQTLDGILFCFFPGISRSKERER >DRNTG_30750.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2232626:2233189:-1 gene:DRNTG_30750 transcript:DRNTG_30750.1 gene_biotype:protein_coding transcript_biotype:protein_coding FATCIREGVRPHKSLHNTPKESCGLGMRPYRSP >DRNTG_24695.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19169171:19172471:-1 gene:DRNTG_24695 transcript:DRNTG_24695.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQLKKKKKKKKKTRMVSCEREREGAGLGGIRRRSDGAWKWEIKTRSFDRREINEFKKGFGRGFEI >DRNTG_03907.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14662593:14664344:1 gene:DRNTG_03907 transcript:DRNTG_03907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWSPMPRVGHDIIIEYKENSEHWEPLGSGTKFNIGHGGVGVNDSLKYVYIGSETQVHDDPNVSLFFLEMDLNLGSKFKLQFTKTTSRSVTAGAMKKTLMEFEVSTMEGKNKLCSTSLESIIEFSMMSLGTREVASAIGIKKLVVCHVQLYLYTLFYCHVMGKSKAYTVALDVNDGTKMLKVKPGRVPICHFMPEDNFLWTIRE >DRNTG_27548.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1685296:1686048:-1 gene:DRNTG_27548 transcript:DRNTG_27548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELIFRGGAAEPETEPEQESSTSGPYSPKPSKPWPGLLRYLLREQRLLFVLIGMAIATLFFTLFSSSPSSSSSSFSTAAAISTLAVVPRTVASYPERRLSVGAKIPLGLKRKGLRIVVTGGAGFVGSHLVDRLMARGDNVIVVDNFFTGRKENVMHHFGNPNFELIRHDVVEPLLLEVDQIYHLACPASPVHYKFNPVKTIISFQMRSSSFSTFFPKFS >DRNTG_30807.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20793336:20798273:1 gene:DRNTG_30807 transcript:DRNTG_30807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAVSRYIECISRSKVSLMEKTKKSLLDTLYENMRHPNAQIQCAAVDALKYFIPAYLDSVIVGNNIISKYLALLDDSNVAARRGSALAFGVLPYEFLALRWKVILAKLCSSCLIQDKPEDPDVETRVNAIQGLVSVCETLTIGSPGPFFEEEASLYSRIRCDVLGTSFKALDDYSVDNRGDVGSWVREAAMNGLERCAYILCKRDSVNSLKANHDEHQSAVPEPYLVTEDPVSSMFDADIATNLVGGLAKQAVEKLDKLREVSCKILQRILYNQSYLIPFIPHRDVLEDIIPNKTDLMWGMRSVSYPRLVKLLQFSCYSRHVLSGLVVSVGGLQESLQKMALSALLEYVNVLEADSNEKRNTREHLLSCDFLWILQQYQKCDRVITPTLKTIEILLSKKVFLDMEDHTQNFGAAVLDSLQVELKGSKDFTKLCSGLSIIGYYASLQESISSRAFLRLLSFLTHRYPKIRKAAADQVYLALLQNTNIIGDDKMDMALEVVSETCWDGALEEAKQGRLRLSDFAGLESDSSILRATTIHESTQGKVERTSGVDENETYSSLVGAAGF >DRNTG_04325.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31278883:31283157:1 gene:DRNTG_04325 transcript:DRNTG_04325.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLYNHFASSSSSSSSSSSSCLELNFSYQLYCSCMGIALFVVSDFMWIFFYGA >DRNTG_04325.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31278883:31283157:1 gene:DRNTG_04325 transcript:DRNTG_04325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGSADHRAGLRSTWFPSDLEALARFVDLSNLKMVNFGKRLMQDQVQEWKEYYINYKLMKKRVKLYVQQTQVDGKDHQQVLKEFSRILDEQVDRSQWDELLAYNGKVLMNLTK >DRNTG_04325.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31278883:31283157:1 gene:DRNTG_04325 transcript:DRNTG_04325.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLYNHFASSSSSSSSSSSSCLELNFSYQLYCSCMGIALFVVSDFMWIFFYGA >DRNTG_30916.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16767943:16769391:-1 gene:DRNTG_30916 transcript:DRNTG_30916.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNNVQSSASSGNVRSKTDPAWEHFTLSINDKGTKVYHCLYCGKKYQGGGIYRMKEHLAGKSGNILPCGKVPLDVRECIKEYITNSTSSKSRGKELVKEAYEEVEEVEEQEINPTKNFGKRKAKGSLGNFITSKPTSLSQPGIKSAMASKQAIHKANMAFA >DRNTG_07431.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7077949:7080909:1 gene:DRNTG_07431 transcript:DRNTG_07431.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMAQKVWRISQGLGDIAFAYPYSLILLEIQDTLKAPPAENKTMKKASMASILITTFFYLCCGCFGYAAFGNTTPGNLLTGFGFYEPYWLVDFANVCIIIHLVGGYQVYSQPIFAFFDRWSTKKFPNSWFINKAYTIKLPGLPPYRLTLFRLCFRTLFVASTTGIAMIFPYFNDVLGILGSLNFWPLAIYFPVEMYFVQRNVTPWSKNWIILQTFSLACLLISLFSLIGSVQGLISDRLT >DRNTG_07431.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7077949:7080909:1 gene:DRNTG_07431 transcript:DRNTG_07431.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMAQKVWRISQGLGDIAFAYPYSLILLEIQDTLKAPPAENKTMKKASMASILITTFFYLCCGCFGYAAFGNTTPGNLLTGFGFYEPYWLVDFANVCIIIHLVGGYQVYSQPIFAFFDRWSTKKFPNSWFINKAYTIKLPGLPPYRLTLFRLCFRTLFVASTTGIAMIFPYFNDVLGILGSLNFWPLAIYFPVEMYFVQRNVTPWSKNWIILQTFSLACLLISLFSLIGSVQGLISDRLT >DRNTG_07431.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7077949:7080909:1 gene:DRNTG_07431 transcript:DRNTG_07431.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMAQKVWRISQGLGDIAFAYPYSLILLEIQDTLKAPPAENKTMKKASMASILITTFFYLCCGCFGYAAFGNTTPGNLLTGFGFYEPYWLVDFANVCIIIHLVGGYQVYSQPIFAFFDRWSTKKFPNSWFINKAYTIKLPGLPPYRLTLFRLCFRTLFVASTTGIAMIFPYFNDVLGILGSLNFWPLAIYFPVEMYFVQRNVTPWSKNWIILQTFSLACLLISLFSLIGSVQGLISDRLT >DRNTG_07431.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7077949:7080909:1 gene:DRNTG_07431 transcript:DRNTG_07431.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMAQKVWRISQGLGDIAFAYPYSLILLEIQDTLKAPPAENKTMKKASMASILITTFFYLCCGCFGYAAFGNTTPGNLLTGFGFYEPYWLVDFANVCIIIHLVGGYQVYSQPIFAFFDRWSTKKFPNSWFINKAYTIKLPGLPPYRLTLFRLCFRTLFVASTTGIAMIFPYFNDVLGILGSLNFWPLAIYFPVEMYFVQRNVTPWSKNWIILQTFSLACLLISLFSLIGSVQGLISDRLT >DRNTG_07431.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7077949:7080909:1 gene:DRNTG_07431 transcript:DRNTG_07431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDQTLEISDSSCQDDDNGVIRTGTIRTAIAHIITAVIGSGVLSLAWSTAQLGWVAGPVAMFCFAIVTYVSASLLSDCYRAPNCVTGVRNRSYMDAVRVTLGRKQTWICGWLQYISMFGTAIAYVITTSIGMRAIQKSNCYHKEGHKAPCEYATYHYMLAFGGVQVVFSQIPDFHNMEWLSIVAAVMSFCYSTIGFGLGVAKVITNGTIKGSIKGVPMPTMAQKVWRISQGLGDIAFAYPYSLILLEIQDTLKAPPAENKTMKKASMASILITTFFYLCCGCFGYAAFGNTTPGNLLTGFGFYEPYWLVDFANVCIIIHLVGGYQVYSQPIFAFFDRWSTKKFPNSWFINKAYTIKLPGLPPYRLTLFRLCFRTLFVASTTGIAMIFPYFNDVLGILGSLNFWPLAIYFPVEMYFVQRNVTPWSKNWIILQTFSLACLLISLFSLIGSVQGLISDRLT >DRNTG_07616.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000340.1:72038:76719:-1 gene:DRNTG_07616 transcript:DRNTG_07616.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASILLLLLLVSILFMSMVSLMTLAAERENHSSSNSLGGILWSTDMAEGDLLAMADSSPVEEHTDEFSGGFSSLDGMLQWAIGHSDPAKLKEKASDVRRLSADELKKRQLELKELMEKLKMPSDTELMRIAIADLNNSSLSLEDRQRALNELLILVEHIDNANDLDKLGGLVAVFRELYNPEPEIRITSAWILGKASQNNALVQNQILSLGALEELMKMVKSGSTEEVIKALYAVSALIRNNIVGQELFFSENGDLVLQDIMNDSSADIRLHKKAALLVSDLADYQLANVDNVKLPFFNNRLLLKSVVGLASSTDLDLQEKALSAIRSLLQLSYTEASVFKDFCDMDGVLERSRELLENLMTQEDLKEYAREIEALRREVYIIFHNKLAGKGGMGANMNVLAR >DRNTG_07112.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22245343:22248173:-1 gene:DRNTG_07112 transcript:DRNTG_07112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKLFDSWNSEVLFLRKPCLKPSLGFSKTLCFHSAKGFMLKASCSITSTSSEEEIDAVSPKKSLDNSFSKLNGNGFSYPWPSSRKTYSLDAFEDEYGGIVINSEKLPRNANAFASILHVSLSQWKLEGKKGVWLKLPLQHSELVPVAVKEGFKYHHAEESYVMLTYWIPRRTLHASRQCFASSWRGGFCHQ >DRNTG_18097.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:349842:354986:1 gene:DRNTG_18097 transcript:DRNTG_18097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSCAVCADALEWAAYGPCGHREVCSTCVIRLRFVLDDRRCCICKTENPFVFVTKAMGDYTRVITDFSKFPTGATEGKSGEFWYHEGSQAYFDDMDHYRMIKAMCRLSCSVCDKNAGEQATEPARRRSGFRSIEQLKGHLFHQHRLFMCSLCLEGRKVFICEQKLYTRSQLNQHVSTGDSEVDGSESERGGFMGHPMCEFCRSPFYGDNELYLHMSTEHYTCHICQRQHPGQYDYFKNYDDLETHFRQEHFLCENEACLAKKFIVFQNEAEMKRHNAIEHGGHMSRSQRNAALQIPTSFRFRRTEQEQRRGRGRGYRSDPSDNQLSMAIQASLEAAAIGDSSSHDSTSGARIVSEQRETNHMGAVSKSFESLNVNSGSGSSSRSGTIQNQNSQSAPILEDLSFPALTDREPPETSSRYAQALQSSVNAADLKEESFPPLPGSKKSGKQKARQGSQGLGRSTMANHLQIRQGNSSGSSSHTFTPSGSSRSSQIGPEARHQVARRSVTPEAWPAIGRGAPLASAANPQVMSARENGPISSGLAWNANNGTRLRHSASDPNLTQGRFLSQPSSTMASVATDRSQASSSSSSSSSSSGGSQPLPKVEDVQTANKSLVERIIASLDNDEDKYAAFKTISSEYRQNLINTPEYLSYVQQFGLMHLVLELAQLCPDAQKQKELIEAYNASVRGKGSVENNVNNPQRSKGINIPKKGKDKGKDGSSSTNAKDALTDNFLNTVRKLQSERISQEEEIEVEVLLKDGYRAAKGKKATLSSSNSNTVSVQLTDSSGDDDSSKTVTSITGQKPDDGGSSKQRKRTSKFNRIRLGDGSAAALLNLGRVDVSPPRPERESGEEPSDRSAVRGVWKNGGGQRLVASVQKSPAK >DRNTG_00150.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2429551:2437578:1 gene:DRNTG_00150 transcript:DRNTG_00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCES1 [Source:Projected from Arabidopsis thaliana (AT4G22330) UniProtKB/TrEMBL;Acc:A0A178UXI2] MADTSMISSFWGPVTSTTEWCEKNYTHSSYIAEFYNTISNIPCILLALIGLINALRQRFEKRFSVLHISNMILAIGSMLFHATLQHVQQQSDETPMVWEMLLYLYVLYSPDWHYRSTMPTFLFLYGAAFAVVHSFVRFGIGFKVHYVVLCFLCIPRMYKYYIHTKDIAAKRLAKLYVATIFLGSLCWLFRSPLLQATLKLVHQSTRSRIVACAYGFQLILCQYILNVLPSPTIGMESTSCPFVRILPLCEDSKAEEAVTRNTSVSGLFILDFLL >DRNTG_27598.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:2198766:2203707:1 gene:DRNTG_27598 transcript:DRNTG_27598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGWAQRQSYSCVPTCAILARLSSNVFLFKDATQSTAWTSARLCSLDEKYGFRSILAEYYSSTDELIDEYVQEMMNSNPYKGLVDQEVENENVMMLGLEEKIQPTVGIMKKMLQKMKCARRRHKKRPKNNGDEEERSKGDEPMCGNILDNFPSTFKRLCSSPFQTQERVNANMNDLVMVTCPWGIFTWPCVSLQSSKLYPEKRQGRVSAPVDDLMNYTRLWVISTRPCETLQRVLIHPEKTQWCVSTPVSGPCEYPRPCGISTWACETLREILILTGSLKGV >DRNTG_01582.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16439157:16440766:1 gene:DRNTG_01582 transcript:DRNTG_01582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVAAQTKAIADKINDWTNVVIAYEPIWAIGTGKVATPAQAQEVPLAVQIAKN >DRNTG_14478.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000693.1:128879:152102:-1 gene:DRNTG_14478 transcript:DRNTG_14478.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGKDVAPGVGAGASSEPHLIREELHLRQPRMGAV >DRNTG_02757.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:153169:158220:-1 gene:DRNTG_02757 transcript:DRNTG_02757.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-3-methylbut-2-enyl diphosphate reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G34350) UniProtKB/Swiss-Prot;Acc:Q94B35] MMERKIFDVVDKDDVVILPAFGASVDEMFTLSEKKVQIVDTTCPWVSKVWNTVEKHKKGDYTSIIHGKYSHEETIATASFAAKYVIVKNMSEAMYVCDYILGGKLDGSSSTKEEFFEKFKYAVSPGFDPDIDLVKLGIANQTTMLKGETEEIGKLVEKTMMRKHGVENINEHFISFNTICDATQERQDAMYKLVDEKLDLILVVGGWNSSNTSHLQEIAELNGIPSYWVDSEKRIGPGNRISYKLNHGELVEKENWLPSGPITIGITSGASTPDKVVEDVLYKVFDLKRDEALQLA >DRNTG_23775.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14243160:14243606:-1 gene:DRNTG_23775 transcript:DRNTG_23775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSTNSSIQVRSEAHPHPTARCGAEGFPGSDHGVVRRGGRGVAVRDQNEGGQGAFRDLAGVPNEGLFELRVYYRGGYKRDGVGGGIEMTMAATGTTLAVVAEIEMKEVVGVVAEVMVVEVMKMVVAEMEMTVEMEAEANEGRTEGI >DRNTG_02123.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28648087:28648445:-1 gene:DRNTG_02123 transcript:DRNTG_02123.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSIQRRRRKDEGDDGEEEEGRGLKKKEDK >DRNTG_30390.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18338773:18342589:-1 gene:DRNTG_30390 transcript:DRNTG_30390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGVGISLSDGNLNVLGTKILSDVHENIVLSPACGDAMVNGAFIGVTTEKSGCHNVFPVGKLQGLRFMCTFRFKLWWMTQRMGSYGKEIPYETQFLIVEGNDGSHLEDEHEIGLSSVYTVFLPLVEGAFRAVLQGNENDELEICLESGCPAVQRFQGTHLVFMAAGNDPFVVITSAVKMVERHLQTFSHREEKKMPDILNWFGWCTWDAFYTQVSAEGVHQGLESFANRLTNIKENHKFQKNGKEGHRQEDPALGLAYIVSEIKDKHKLKYVYVWHAITGYWGGVRPGVTGMEHYESKMTYLVSSPGVKSNGHCDVFTNIAANGVGLVNPEKVFDFYDELHSYLSSAGIDGVKVDAQSILETLGAGHGGRVQLARKYHQALEASVAKNFPNNGIISCMCHNTDSLYCSKRTAIVRASDDFFPRDPASHTTHIASVVYNTVFLGEFMQPDWDMFHSLHPMAEYHGAARAVGGCAIYVSDKPGNHDFNLLKKLVLPDGSILRAKLPGRPTRDCLFADPTQDGKSLLKIWNLNDRCGVIGVFNCQGAGWSMLAKKNLIHNDQLGMITGVIRSKDVEYLSRVADEDWKGNAIVYSHIGGELTYLAKDASLPVTLNSREYEIFTVVPIKEFSNGASIAPVGLIKMFNSGGAVKEVSYETVKKGVIEIKTRGPGTFIAYSSIKPKKITVDAEEVDFVYKEESGLLTFELGIGEKELQKWSVSVELW >DRNTG_00987.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6709719:6731929:-1 gene:DRNTG_00987 transcript:DRNTG_00987.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTPKKSKKKRSFEEDEKKMRLESLRKITISYDDPDATDSSSDENENESTTKKRNIIILMPQNPIKEKLKTKTPVKRSSTSKYKGVRQRQWGKWAAEIRDPIRGVRLWLGTFDTAEKAAEAYALTSKRLEAEKQTLLRSSSEFGLDHQPSSPSSVLDISRSSSSAGNTRAKKAQSFEHNTVPEMAEIPFPISKLCFDDDDSTLETEMDLLFCEDAVDLEAFDLDTCEDELEFIFNGDPFDFDDAEAFSFLKPEALSWIDELGI >DRNTG_00987.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6709719:6710795:-1 gene:DRNTG_00987 transcript:DRNTG_00987.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLESLRKITISYDDPDATDSSSDENENESTTKKRNIIILMRQNPIKEKLKTKTPVKRSSTSSKYKGVRQRQWGKWAAEIRDPIRGVRLWLGTFDTAEKAAEAYALTSKRLEAEKQTLLRSSSEFGLDHQPSSPSSVLDISRSSSSAGNTRAKKAQSFEHNTVPEMAEIPFPISKLCFDDDDSTLETEMDLLFCEDAVDLEAFDLDTCEDELEFIFNGDPFDFDDAEAFSFLKPEALSWIDELGI >DRNTG_13807.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10341210:10346863:1 gene:DRNTG_13807 transcript:DRNTG_13807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWRPEQPRQQSECFSCVSTSAGLVELAPHSRDGINLWPLVGQSSLQRSHRLQLLYHQPTEKQREKRGFLIIDGDEASQMARLHDYRNTWPKSGQPPIIPPEPVNKRRGRKTLLRRQEDGEETSFNKGKVSRQGGKMKCSVCGQVGHNKRYHGAQQARREDEMQVNKDFSIVQMHGETSEFINVQVHGATTPSSLIELRLSTEESHKSVPPATINTSSGANIGIEHPMAQANIDTLHTINLEQPSGKTQLKIPKLPTRGVKKFTNTSNKETHNGSNVEQRKAKDTARVADANKRRRVWLPPGSGTCAG >DRNTG_19279.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:491433:499679:-1 gene:DRNTG_19279 transcript:DRNTG_19279.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G69830) UniProtKB/Swiss-Prot;Acc:Q94A41] MKQSRHSGFYEEYAISKEEKVKNTVTVTVMKSNETDKNLVYFETDLPGDVTIHWGVCRDNSKKWEIPPTPHPPATKIFRRNALQTSLQHKKDGLGCWASFLLDNDIAGLLFVLKLKEYVWLNNMGTDFYIPLISMSSLPSQTSRDIEWTKPYNLEKSDAQVGVHPFESKSLELSTPQIEGAESNLPGDFGKTKEESLGVDHATYTAEIINEIRNLVTDISSEKRKSTKTKEAQESILQEIEKLAAEAYSIFRSSIPTFEESVLDVAETLEPSKKVCSGTGSGYEILCQGFNWESNKSGRWYSELSAKASELSSLGFTVIWLPPPTESVSPEGYMPKDLYNLNSRYGSMEELKDLVGRFHDVGISVLGDVVLNHRCAHYKNGNGIWNIFGGRLNWDDRAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQDFVRKDLKEWLCWLRKEVGYDGWRLDFVRGFWGGYVKDYLEASEPYFAVGEYWDSLSYTYGEMDHNQDAHRQRIVDWINATNGTAGAFDVTTKGILHAALERCEYWRLSDSKGKPPGVVGWWPSRAVTFIENHDTGSTQGHWRFPAGKEMQGYAYILTHPGTPAVFYDHIFSHHQQEISRIISLRNRNKIHCRSEVKITKAERDVYAAEMDEKVVVKIGPGYYEPANGPKQWVVAAEGRDYKIWEAL >DRNTG_11636.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2556:3689:1 gene:DRNTG_11636 transcript:DRNTG_11636.1 gene_biotype:protein_coding transcript_biotype:protein_coding RNSLQRFLEKRRDRLVSKAPYTATKTTSDGLEAAQLEVKSQLS >DRNTG_22432.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23375839:23384379:-1 gene:DRNTG_22432 transcript:DRNTG_22432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAISGKTVQNVFRTQDCQPNRQLETCHRRECCSFGRRSTYPLFWSKLACAGISAMQLYTLRTHQISLGKRACVYCNAVQFVSAASAFEKVDFQKLQNGSDIRGVAVAGVEGEPVSLTERVAEAIAAAFAAWLCDRKKSDGSRPLRVSIGHDSRISAQTLQDAVSRGLAGAGFDVIQYGLASTPAMFNSTLTEDEDVFCPVDGSIMITASHLPYNRNGLKFFTNAGGLGKADIKDILERAAIIYDNFSNDALKESELAVSAAVRKADYMNIYTANLVEAVRRAAGNIEKPLEGFHIVVDAGNGAGGFFMGKVLEPLGAVTSGSQFLEPDGLFPNHIPNPEDKAAMRALTQAVLENKADLGIIFDTDVDRSAAVDSSGREFNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTAFIENKLGGKHHRFKRGYKNVIDEAIRLNFVGEESHLAIETSGHGALKENHWLDDGAYLMVKVLNKLASARVSGSGCGSKVLTDMVDGLEEAEVAVELRLKIDQNHADLKGGSFRDYGEAVLKHLENMITSDSKLNRAPVNYEGVRVSGHGGWFLLRLSLHDPVLPLNIEAPSLEEAVKLGLAVLAAVKEFAALDTSALDKFVQGL >DRNTG_22432.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23375839:23384379:-1 gene:DRNTG_22432 transcript:DRNTG_22432.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVQFVSAASAFEKVDFQKLQNGSDIRGVAVAGVEGEPVSLTERVAEAIAAAFAAWLCDRKKSDGSRPLRVSIGHDSRISAQTLQDAVSRGLAGAGFDVIQYGLASTPAMFNSTLTEDEDVFCPVDGSIMITASHLPYNRNGLKFFTNAGGLGKADIKDILERAAIIYDNFSNDALKESELAVSAAVRKADYMNIYTANLVEAVRRAAGNIEKPLEGFHIVVDAGNGAGGFFMGKVLEPLGAVTSGSQFLEPDGLFPNHIPNPEDKAAMRALTQAVLENKADLGIIFDTDVDRSAAVDSSGREFNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTAFIENKLGGKHHRFKRGYKNVIDEAIRLNFVGEESHLAIETSGHGALKENHWLDDGAYLMVKVLNKLASARVSGSGCGSKVLTDMVDGLEEAEVAVELRLKIDQNHADLKGGSFRDYGEAVLKHLENMITSDSKLNRAPVNYEGVRVSGHGGWFLLRLSLHDPVLPLNIEAPSLEEAVKLGLAVLAAVKEFAALDTSALDKFVQGL >DRNTG_30139.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3248523:3254227:1 gene:DRNTG_30139 transcript:DRNTG_30139.7 gene_biotype:protein_coding transcript_biotype:protein_coding MCGAVKLILSQKAPNSSASKQMDQMEIWCLIDAAIAFCKLQHLDPSVPVKTQVDLIVAVHDLLAEYGLCCAGRDSAGKEGSFLKLAIKHLLALSMKLKSLNGRLESEANQKDVSVSQPDHEKTVVTESRLSPVLEIPAKRNEEIAPLNSDASKGLSEEQILTSEEQFNLNLDSKNDKGAQSDTALKQSDVPADVEELEKVELGIDNALDQSFLCLYGLNINPDSYGEDDLAIHKNTSHGDYQTKEQCADVFQYILPYAKDLSRAGLVKLRRVLRAIRKHFPQPPDEIMAENAIEKFLDDPNLCEDTLAELPRSGISREPILNILFADGRGPESFKTSLTASTQPYNEVYGNLYYLMAQAEETSATDKYPGFVLKKEGEEFVQVNANLFKYDLLYNPLRFESWQKLANIYDEEVDLLLNDGSKHINIMDWRKNSSLTQRVECGRRRSRRCLLMSLALAKTLDQQSQIHELLALVYYDNIQNVVPLYDQRSILPTKDATWMKFCQNSMKHFERAFALKPDWIHAFYLGKLCEKKGFASERALSYYSKAASLNSSALDPAYRMHASRLKLLYTQGKEDINIIQVVAAYAFSQSAKEAVLNMFKWSSQDPLHSASHEKDACLSDDQKNEEKKIEPHLLDEAWHMLYDDCLSALEICVEGELKHFHKARYKLAQGLYKRGEGTDLERAKDELSFCFKSSRSAFTINMWEIDGTAKKGRRKNPGLGGNKRVLEVSLSESSRKFITCIRKYILLYLNLLEKTGDLCTLERAYIYLRTDKRFSLCLGDIVPIALGKYIQLLSSIIRNAESHGSSDKIISHEQMLDRMFTTLMDHVHLWSDISNMPEVNCPDLSESNLCGYIHQYIHLLESDTRSDTLEAVHEKIRKRFKNPKLCSVNSAKICKHASLAWFRSILMKLVSITPLPDSEHVSDQVNDPENELLYADLEPDELLSSSVEGAHSKGIDMNWYEALSKIKNIQIRQASEENIEAASALMRCSYNFYRESSCGALPSGITLYLASSSKVPEGGIHQIRDGNLGMSVLDLSIPRKLLLWSYTLVHGRYSNISAVVKFCEDNAKSRLRRGIATYSVGQPASVTTGYNHPGGGRERNDRDEYGDSEDNPSSTPPSSSHQEEPMHASTSLGAIEPGKHFDTGPQLQKCSSSKSIENVQEECKGKPAD >DRNTG_30139.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3243750:3254227:1 gene:DRNTG_30139 transcript:DRNTG_30139.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSTSRWAFEQGLLCSPNNWNCMEKLLEVLIAIGDEVACLSVADLILRHWPSHSRALHVKNTIEDMEPAPFAPRGIDKLEPKHARLKFSEKRKAEDDEVDGDTMLKKHKQNIEVELAGATWTALAGAVLGIIRLISGEVSVPGFAQDSGNEMTEQAACKQADVLSGDTNTENGNLDTRIREKCGRLTNINIELCLPASSKILADTSDGKGHVVSPVSTITSPNCNGFRKTGILNEKEICAEKEQHQERRSTRLERLRSRKSGKEDMEFANTKDLEKLVFQFLDPFILSRSETKNSKYTGILDNACPEMPAYSSVQEYNDVVQFISETSQNLGACHIGHLFLERVAHGNIPFQENFDKFLQLEKLIRHWGQDRTPLCSLFLAELCYDQGQSFADESKRLELYTDASYHLCKVIELVALDSSNDLFRPWSQVNNSMNNMAMGGTDQTGSLLNQQTINSNTSLSDQAQDGSMLIVKDSLSQEFSDQDCISINDDIFWVRFFWLSGHLSISGCVEKALSELGICLSLLRNIKKMKETSGVLLPHCRFVRILTIDRVLREINLLKLEAFARETTNKMMEKKMYKDCIELLAPLLLSTKDVFLDEMVGAPKEIERLVNVELSALDVLLLACEKVEPMDIHVYLTSFRRKLIVLCIAAGMVGPNALEKCKFFLPNVASAFDLDHRDAISKQWIQMVAEEMKKISRGASQVKNALDQLGTYDGFKDLVCVIGEIQSLILNVMCGAVKLILSQKAPNSSASKQMDQMEIWCLIDAAIAFCKLQHLDPSVPVKTQVDLIVAVHDLLAEYGLCCAGRDSAGKEGSFLKLAIKHLLALSMKLKSLNGRLESEANQKDVSVSQPDHEKTVVTESRLSPVLEIPAKRNEEIAPLNSDASKGLSEEQILTSEEQFNLNLDSKNDKGAQSDTALKQSDVPADVEELEKVELGIDNALDQSFLCLYGLNINPDSYGEDDLAIHKNTSHGDYQTKEQCADVFQYILPYAKDLSRAGLVKLRRVLRAIRKHFPQPPDEIMAENAIEKFLDDPNLCEDTLAELPRSGISREPILNILFADGRGPESFKTSLTASTQPYNEVYGNLYYLMAQAEETSATDKYPGFVLKKEGEEFVQVNANLFKYDLLYNPLRFESWQKLANIYDEEVDLLLNDGSKHINIMDWRKNSSLTQRVECGRRRSRRCLLMSLALAKTLDQQVFLII >DRNTG_30139.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3243750:3254227:1 gene:DRNTG_30139 transcript:DRNTG_30139.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIAAINDTDSSASWEPLAPTKEAQEFHVTQTYHEGLLKLQAKDYAKARELFETVLKDPLISSSQVYNNATDNHLLQLRFLTLKNLATVFLEQGSTHYESALRCYLQATEIDANDSVVWNQLGTLSCTMGLLSTSRWAFEQGLLCSPNNWNCMEKLLEVLIAIGDEVACLSVADLILRHWPSHSRALHVKNTIEDMEPAPFAPRGIDKLEPKHARLKFSEKRKAEDDEVDGDTMLKKHKQNIEVELAGATWTALAGAVLGIIRLISGEVSVPGFAQDSGNEMTEQAACKQADVLSGDTNTENGNLDTRIREKCGRLTNINIELCLPASSKILADTSDGKGHVVSPVSTITSPNCNGFRKTGILNEKEICAEKEQHQERRSTRLERLRSRKSGKEDMEFANTKDLEKLVFQFLDPFILSRSETKNSKYTGILDNACPEMPAYSSVQEYNDVVQFISETSQNLGACHIGHLFLERVAHGNIPFQENFDKFLQLEKLIRHWGQDRTPLCSLFLAELCYDQGQSFADESKRLELYTDASYHLCKVIELVALDSSNDLFRPWSQVNNSMNNMAMGGTDQTGSLLNQQTINSNTSLSDQAQDGSMLIVKDSLSQEFSDQDCISINDDIFWVRFFWLSGHLSISGCVEKALSELGICLSLLRNIKKMKETSGVLLPHCRFVRILTIDRVLREINLLKLEAFARETTNKMMEKKMYKDCIELLAPLLLSTKDVFLDEMVGAPKEIERLVNVELSALDVLLLACEKVEPMDIHVYLTSFRRKLIVLCIAAGMVGPNALEKCKFFLPNVASAFDLDHRDAISKQWIQMVAEEMKKISRGASQVKNALDQLGTYDGFKDLVCVIGEIQSLILNVMCGAVKLILSQKAPNSSASKQMDQMEIWCLIDAAIAFCKLQHLDPSVPVKTQVDLIVAVHDLLAEYGLCCAGRDSAGKEGSFLKLAIKHLLALSMKLKSLNGRLESEANQKDVSVSQPDHEKTVVTESRLSPVLEIPAKRNEEIAPLNSDASKGLSEEQILTSEEQFNLNLDSKNDKGAQSDTALKQSDVPADVEELEKVELGIDNALDQSFLCLYGLNINPDSYGEDDLAIHKNTSHGDYQTKEQCADVFQYILPYAKDLSRAGLVKLRRVLRAIRKHFPQPPDEIMAENAIEKFLDDPNLCEDTLAELPRSGISREPILNILFADGRGPESFKTSLTASTQPYNEVYGNLYYLMAQAEETSATDKYPGFVLKKEGEEFVQVNANLFKYDLLYNPLRFESWQKLANIYDEEVDLLLNDGSKHINIMDWRKNSSLTQRVECGRRRSRRCLLMSLALAKTLDQQVFLII >DRNTG_30139.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3243750:3254227:1 gene:DRNTG_30139 transcript:DRNTG_30139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIAAINDTDSSASWEPLAPTKEAQEFHVTQTYHEGLLKLQAKDYAKARELFETVLKDPLISSSQVYNNATDNHLLQLRFLTLKNLATVFLEQGSTHYESALRCYLQATEIDANDSVVWNQLGTLSCTMGLLSTSRWAFEQGLLCSPNNWNCMEKLLEVLIAIGDEVACLSVADLILRHWPSHSRALHVKNTIEDMEPAPFAPRGIDKLEPKHARLKFSEKRKAEDDEVDGDTMLKKHKQNIEVELAGATWTALAGAVLGIIRLISGEVSVPGFAQDSGNEMTEQAACKQADVLSGDTNTENGNLDTRIREKCGRLTNINIELCLPASSKILADTSDGKGHVVSPVSTITSPNCNGFRKTGILNEKEICAEKEQHQERRSTRLERLRSRKSGKEDMEFANTKDLEKLVFQFLDPFILSRSETKNSKYTGILDNACPEMPAYSSVQEYNDVVQFISETSQNLGACHIGHLFLERVAHGNIPFQENFDKFLQLEKLIRHWGQDRTPLCSLFLAELCYDQGQSFADESKRLELYTDASYHLCKVIELVALDSSNDLFRPWSQVNNSMNNMAMGGTDQTGSLLNQQTINSNTSLSDQAQDGSMLIVKDSLSQEFSDQDCISINDDIFWVRFFWLSGHLSISGCVEKALSELGICLSLLRNIKKMKETSGVLLPHCRFVRILTIDRVLREINLLKLEAFARETTNKMMEKKMYKDCIELLAPLLLSTKDVFLDEMVGAPKEIERLVNVELSALDVLLLACEKVEPMDIHVYLTSFRRKLIVLCIAAGMVGPNALEKCKFFLPNVASAFDLDHRDAISKQWIQMVAEEMKKISRGASQVKNALDQLGTYDGFKDLVCVIGEIQSLILNVMCGAVKLILSQKAPNSSASKQMDQMEIWCLIDAAIAFCKLQHLDPSVPVKTQVDLIVAVHDLLAEYGLCCAGRDSAGKEGSFLKLAIKHLLALSMKLKSLNGRLESEANQKDVSVSQPDHEKTVVTESRLSPVLEIPAKRNEEIAPLNSDASKGLSEEQILTSEEQFNLNLDSKNDKGAQSDTALKQSDVPADVEELEKVELGIDNALDQSFLCLYGLNINPDSYGEDDLAIHKNTSHGDYQTKEQCADVFQYILPYAKDLSRAGLVKLRRVLRAIRKHFPQPPDEIMAENAIEKFLDDPNLCEDTLAELPRSGISREPILNILFADGRGPESFKTSLTASTQPYNEVYGNLYYLMAQAEETSATDKYPGFVLKKEGEEFVQVNANLFKYDLLYNPLRFESWQKLANIYDEEVDLLLNDGSKHINIMDWRKNSSLTQRVECGRRRSRRCLLMSLALAKTLDQQSQIHELLALVYYDNIQNVVPLYDQRSILPTKDATWMKFCQNSMKHFERAFALKPDWIHAFYLGKLCEKKGFASERALSYYSKAASLNSSALDPAYRMHASRLKLLYTQGKEDINIIQVVAAYAFSQSAKEAVLNMFKWSSQDPLHSASHEKDACLSDDQKNEEKKIEPHLLDEAWHMLYDDCLSALEICVEGELKHFHKARYKLAQGLYKRGEGTDLERAKDELSFCFKSSRSAFTINMWEIDGTAKKGRRKNPGLGGNKRVLEVSLSESSRKFITCIRKYILLYLNLLEKTGDLCTLERAYIYLRTDKRFSLCLGDIVPIALGKYIQLLSSIIRNAESHGSSDKIISHEQMLDRMFTTLMDHVHLWSDISNMPEVNCPDLSESNLCGYIHQYIHLLESDTRSDTLEAVHEKIRKRFKNPKLCSVNSAKICKHASLAWFRSILMKLVSITPLPDSEHVSDQVNDPENELLYADLEPDELLSSSVEGAHSKGIDMNWYEALSKIKNIQIRQASEENIEAASALMRCSYNFYRESSCGALPSGITLYLASSSKVPEGGIHQIRDGNLGMSVLDLSIPRKLLLWSYTLVHGRYSNISAVVKFCEDNAKSRLRRGIATYSVGQPASVTTGYNHPGGGRERNDRDEYGDSEDNPSSTPPSSSHQEEPMHASTSLGAIEPGKHFDTGPQLQKCSSSKSIENVQEECKGKPAD >DRNTG_30139.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3243750:3254227:1 gene:DRNTG_30139 transcript:DRNTG_30139.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIAAINDTDSSASWEPLAPTKEAQEFHVTQTYHEGLLKLQAKDYAKARELFETVLKDPLISSSQVYNNATDNHLLQLRFLTLKNLATVFLEQGSTHYESALRCYLQATEIDANDSVVWNQLGTLSCTMGLLSTSRWAFEQGLLCSPNNWNCMEKLLEVLIAIGDEVACLSVADLILRHWPSHSRALHVKNTIEDMEPAPFAPRGIDKLEPKHARLKFSEKRKAEDDEVDGDTMLKKHKQNIEVELAGATWTALAGAVLGIIRLISGEVSVPGFAQDSGNEMTEQAACKQADVLSGDTNTENGNLDTRIREKCGRLTNINIELCLPASSKILADTSDGKGHVVSPVSTITSPNCNGFRKTGILNEKEICAEKEQHQERRSTRLERLRSRKSGKEDMEFANTKDLEKLVFQFLDPFILSRSETKNSKYTGILDNACPEMPAYSSVQEYNDVVQFISETSQNLGACHIGHLFLERVAHGNIPFQENFDKFLQLEKLIRHWGQDRTPLCSLFLAELCYDQGQSFADESKRLELYTDASYHLCKVIELVALDSSNDLFRPWSQVNNSMNNMAMGGTDQTGSLLNQQTINSNTSLSDQAQDGSMLIVKDSLSQEFSDQDCISINDDIFWVRFFWLSGHLSISGCVEKALSELGICLSLLRNIKKMKETSGVLLPHCRFVRILTIDRVLREINLLKLEAFARETTNKMMEKKMYKDCIELLAPLLLSTKDVFLDEMVGAPKEIERLVNVELSALDVLLLACEKVEPMDIHVYLTSFRRKLIVLCIAAGMVGPNALEKCKFFLPNVASAFDLDHRDAISKQWIQMVAEEMKKISRGASQVKNALDQLGTYVRQHFQRMASKT >DRNTG_30139.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3243750:3254227:1 gene:DRNTG_30139 transcript:DRNTG_30139.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIAAINDTDSSASWEPLAPTKEAQEFHVTQTYHEGLLKLQAKDYAKARELFETVLKDPLISSSQVYNNATDNHLLQLRFLTLKNLATVFLEQGSTHYESALRCYLQATEIDANDSVVWNQLGTLSCTMGLLSTSRWAFEQGLLCSPNNWNCMEKLLEVLIAIGDEVACLSVADLILRHWPSHSRALHVKNTIEDMEPAPFAPRGIDKLEPKHARLKFSEKRKAEDDEVDGDTMLKKHKQNIEVELAGATWTALAGAVLGIIRLISGEVSVPGFAQDSGNEMTEQAACKQADVLSGDTNTENGNLDTRIREKCGRLTNINIELCLPASSKILADTSDGKGHVVSPVSTITSPNCNGFRKTGILNEKEICAEKEQHQERRSTRLERLRSRKSGKEDMEFANTKDLEKLVFQFLDPFILSRSETKNSKYTGILDNACPEMPAYSSVQEYNDVVQFISETSQNLGACHIGHLFLERVAHGNIPFQENFDKFLQLEKLIRHWGQDRTPLCSLFLAELCYDQGQSFADESKRLELYTDASYHLCKVIELVALDSSNDLFRPWSQVNNSMNNMAMGGTDQTGSLLNQQTINSNTSLSDQAQDGSMLIVKDSLSQEFSDQDCISINDDIFWVRFFWLSGHLSISGCVEKALSELGICLSLLRNIKKMKETSGVLLPHCRFVRILTIDRVLREINLLKLEAFARETTNKMMEKKMYKDCIELLAPLLLSTKDVFLDEMVGAPKEIERLVNVELSALDVLLLACEKVEPMDIHVYLTSFRRKLIVLCIAAGMVGPNALEKCKFFLPNVASAFDLDHRDAISKQWIQMVAEEMKKISRGASQVKNALDQLGTYVRQHFQRMASKT >DRNTG_30139.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3243750:3254227:1 gene:DRNTG_30139 transcript:DRNTG_30139.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSTSRWAFEQGLLCSPNNWNCMEKLLEVLIAIGDEVACLSVADLILRHWPSHSRALHVKNTIEDMEPAPFAPRGIDKLEPKHARLKFSEKRKAEDDEVDGDTMLKKHKQNIEVELAGATWTALAGAVLGIIRLISGEVSVPGFAQDSGNEMTEQAACKQADVLSGDTNTENGNLDTRIREKCGRLTNINIELCLPASSKILADTSDGKGHVVSPVSTITSPNCNGFRKTGILNEKEICAEKEQHQERRSTRLERLRSRKSGKEDMEFANTKDLEKLVFQFLDPFILSRSETKNSKYTGILDNACPEMPAYSSVQEYNDVVQFISETSQNLGACHIGHLFLERVAHGNIPFQENFDKFLQLEKLIRHWGQDRTPLCSLFLAELCYDQGQSFADESKRLELYTDASYHLCKVIELVALDSSNDLFRPWSQVNNSMNNMAMGGTDQTGSLLNQQTINSNTSLSDQAQDGSMLIVKDSLSQEFSDQDCISINDDIFWVRFFWLSGHLSISGCVEKALSELGICLSLLRNIKKMKETSGVLLPHCRFVRILTIDRVLREINLLKLEAFARETTNKMMEKKMYKDCIELLAPLLLSTKDVFLDEMVGAPKEIERLVNVELSALDVLLLACEKVEPMDIHVYLTSFRRKLIVLCIAAGMVGPNALEKCKFFLPNVASAFDLDHRDAISKQWIQMVAEEMKKISRGASQVKNALDQLGTYDGFKDLVCVIGEIQSLILNVMCGAVKLILSQKAPNSSASKQMDQMEIWCLIDAAIAFCKLQHLDPSVPVKTQVDLIVAVHDLLAEYGLCCAGRDSAGKEGSFLKLAIKHLLALSMKLKSLNGRLESEANQKDVSVSQPDHEKTVVTESRLSPVLEIPAKRNEEIAPLNSDASKGLSEEQILTSEEQFNLNLDSKNDKGAQSDTALKQSDVPADVEELEKVELGIDNALDQSFLCLYGLNINPDSYGEDDLAIHKNTSHGDYQTKEQCADVFQYILPYAKDLSRAGLVKLRRVLRAIRKHFPQPPDEIMAENAIEKFLDDPNLCEDTLAELPRSGISREPILNILFADGRGPESFKTSLTASTQPYNEVYGNLYYLMAQAEETSATDKYPGFVLKKEGEEFVQVNANLFKYDLLYNPLRFESWQKLANIYDEEVDLLLNDGSKHINIMDWRKNSSLTQRVECGRRRSRRCLLMSLALAKTLDQQSQIHELLALVYYDNIQNVVPLYDQRSILPTKDATWMKFCQNSMKHFERAFALKPDWIHAFYLGKLCEKKGFASERALSYYSKAASLNSSALDPAYRMHASRLKLLYTQGKEDINIIQVVAAYAFSQSAKEAVLNMFKWSSQDPLHSASHEKDACLSDDQKNEEKKIEPHLLDEAWHMLYDDCLSALEICVEGELKHFHKARYKLAQGLYKRGEGTDLERAKDELSFCFKSSRSAFTINMWEIDGTAKKGRRKNPGLGGNKRVLEVSLSESSRKFITCIRKYILLYLNLLEKTGDLCTLERAYIYLRTDKRFSLCLGDIVPIALGKYIQLLSSIIRNAESHGSSDKIISHEQMLDRMFTTLMDHVHLWSDISNMPEVNCPDLSESNLCGYIHQYIHLLESDTRSDTLEAVHEKIRKRFKNPKLCSVNSAKICKHASLAWFRSILMKLVSITPLPDSEHVSDQVNDPENELLYADLEPDELLSSSVEGAHSKGIDMNWYEALSKIKNIQIRQASEENIEAASALMRCSYNFYRESSCGALPSGITLYLASSSKVPEGGIHQIRDGNLGMSVLDLSIPRKLLLWSYTLVHGRYSNISAVVKFCEDNAKSRLRRGIATYSVGQPASVTTGYNHPGGGRERNDRDEYGDSEDNPSSTPPSSSHQEEPMHASTSLGAIEPGKHFDTGPQLQKCSSSKSIENVQEECKGKPAD >DRNTG_33502.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9784484:9795340:-1 gene:DRNTG_33502 transcript:DRNTG_33502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVNHQASRNPASKLSKYPIPWHLVVYLVGVLTDRNLERFTFKMANGKDCKSALTVFLDYVVLLATWFIVKVEHNHVPRCMLVKSDQVVLGAITVIIPLENTHDENKAYAEVTVGKDEVAITASPSGTAHALINIDRERTTFFLGCQDNVIDYNASSTDFNIWKNI >DRNTG_23246.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21390743:21393638:1 gene:DRNTG_23246 transcript:DRNTG_23246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLKLRLLSRPDVPYLPTIFKSLATEYDEKVLPSIGNEVLKAVVAQFNADQLLT >DRNTG_26764.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18635617:18637994:-1 gene:DRNTG_26764 transcript:DRNTG_26764.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine racemase [Source:Projected from Arabidopsis thaliana (AT4G11640) UniProtKB/Swiss-Prot;Acc:Q2PGG3] MDEKDQITYAADIVSIKEAHRRIGPYIHRTPVLTSGSLDSLAGKQLFFKCECFQKGGAFKIRGATNAVLSLEDDQVAKGVVTHSSGNHAAALSLAAKLRGIPAYIVIPKNAPKCKVDNVKRYGGQIIWSETTVQSREAVASKVQQETGAVLVHPFNDKRIISGQGTISLELLEQIPEIDLIIVPISGGGLISGVTLGAKAIKPSIRIVAAEPKGADDAAQSKAAGRIVTLPHTNTIADGLRAFLGDLTWPVVRDLVDDIITVDDREIVEAMKLCYEILKVAIEPSGAIGLAAVLSNQFRNNAAWKNCNKVGIIISGGNLDLNVLWESFS >DRNTG_26764.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18635617:18638743:-1 gene:DRNTG_26764 transcript:DRNTG_26764.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine racemase [Source:Projected from Arabidopsis thaliana (AT4G11640) UniProtKB/Swiss-Prot;Acc:Q2PGG3] MDEKDQITYAADIVSIKEAHRRIGPYIHRTPVLTSGSLDSLAGKQLFFKCECFQKGGAFKIRGATNAVLSLEDDQVAKGVVTHSSGNHAAALSLAAKLRGIPAYIVIPKNAPKCKVDNVKRYGGQIIWSETTVQSREAVASKVQQETGAVLVHPFNDKRIISGQGTISLELLEQIPEIDLIIVPISGGGLISGVTLGAKAIKPSIRIVAAEPKGADDAAQSKAAGRIVTLPHTNTIADGLRAFLGDLTWPVVRDLVDDIITVDDREIVEAMKLCYEILKVAIEPSGAIGLAAVLSNQFRNNAAWKNCNKVGIIISGGNLDLNVLWESFS >DRNTG_16886.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29934586:29937996:1 gene:DRNTG_16886 transcript:DRNTG_16886.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMS1 [Source:Projected from Arabidopsis thaliana (AT3G08970) UniProtKB/TrEMBL;Acc:A0A178VJB6] MPSHQHQRAMIIRLLFFVFLSFVFASLISSGDAKTLDPYKVLGVDKNASQRDIQKAFHKLSLKYHPDKNKEKSAQEKFAEINNAYEILSDDDKRKNYDLYGDEKGNPGFDGGNFGNQNGYTYSTGGGPGGWQTMGGQGSTKSFSFSFGGDPSMGGNPFGFGFGDMFADLFSGGMKGGKQHGGFSTTGGPKSGFTPSESSIQEINSQYFKKQIKDKMLNWILLFHTPSAKGYHLSESIVEDVASSLKGAVKAGSINCQKEQPLCKDLGISPSKSAKIFIYSYGAGEKGTLVEYSDELDAKSLKKFVQDHLPRFSKRIDLGQFDYSSSATDNIPQVLLLSTKKDTPVMWRVLSGLFLKRMQFYDAEVQDTSHPMLKTLGVAALPAVIGRLADGNKLVLKEGIAVKDLQSGINELKALLEKFEKKNKKVASNQANKSSQREAQAGVLAHLTASNIDSVCSEKNALCIIGVFRSSKAKEKLEAVLTNVSQKTLLRRQNQAGDSVSYSLLDATKQPSFLDSFDKSGYKSLDNLLVAYKPRKEKFAVFTSEPTMEAVERFISAVASGDIPFKKIQQKPVLR >DRNTG_14114.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7778176:7786854:1 gene:DRNTG_14114 transcript:DRNTG_14114.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEC1 [Source:Projected from Arabidopsis thaliana (AT2G26910) UniProtKB/TrEMBL;Acc:A0A178VNA5] MWNSVEPAFSRSSSFRDFEPDDEEALRWAALERLPTFSRVRRGLLRSPTGNLSEIDISCLSSGDRISLIERLLGDSGDAELFFQRIRQRFDRVNLEFPKIEVRFKDLKVDAHVHIGSRALPTIPNFIFNMTEALLRQLRIFPGQRTKLSILDNISGIIRPSRMTLLLGPPSSGKTTLLLALAGRLGSGLQMSGSITYNGHSLNEFVPQRTSAYVSQQDWLAAEMTVREIVNFAGCCQGVGIKYDMLMELSRREKNAGIKPDEDLDIFMKALALEGKQTNLVVEYILKILGLDICADTLVGDEMIKGISGGQKKRLTTGELLVGSARVLFMDEISTGLDSSTTYQIIKYLRHSTHALDGTTIISLLQPPPETYELFDDVILISEGRIVYQGPRDDALDFFVAMGFKCPERKNVADFLQEVTSKKDQRQYWYDYDRPYRYVPVVKFAEAFHLFRVGKRLSGELAHPYNRLQNHPAALSTSNYGVKRFELLKVNFSWQLLLMKRNSFVYIFKFIQLLLVALITMTVFFRTTMPRDTVDDGIVFLGALYFSIVIILFNGFTEVSLLITKLPVLYKHRDLHFYPAWAYTLPSWILSIPTSLIESGLWVVVTYYVVGYDPQITRFLRQYLLLFFLHQMSLALFRVMGSLGRNMIVSNTFGSFAMLVIMILGGFIISRDSIPNWWIWGYWFSPLMYAQNALSINELLGHSWDKLLDVGMQQPMRLGEAVLRRYGLFTESYWYWIGVGALFGYTILFNLLFTLFLTYLDPIGRQQAVVSKKELQERNQARTGEGLVVELRSYLQSEALTGKHDKEKKGMVLPFQPLSMCFNNINYYVDVPVELKQQGVLEDRLQLLVNVTGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGYIEGSITISGYPKNQETFARVSGYCEQNDVHSPCVTVYESLLFSAWLRLPSHVNMETRKVFVEEVMELVELSSLSGALVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARAAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGQLIYAGPLGRNSRKLVEFFEAIGGVPKIRDGHNPAAWMLEVTSPAMESCLGVDFADYYRKSKLFRMNKELVESLSRPNSDAKDISFPTKYSQSFLSQYLTCIWKQNLSYWRNPQYTAVRFFYTVIISMMFGTICWNFGSKRKTQLDVFNAMGAMYAAVLFLGITNATAVQPVVSIERFVSYRERAAGMYSALPFALAQVSIEFPYVFVQTLIYGPIFYSLGSFDWVTTKFLWYIYFMYFTLLYFTFFGMMTIAITPNHVVAPIIAAPFYTLWNLFSGFMITRKRIPVWWRWYYWANPVSWSLYGLLASQFGDIEEHVILADGIHSVSIKVFLDKHFGFRHELLGFVGVMVVGFTVLFALVFALAIKSLNFQRR >DRNTG_14114.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7778176:7786854:1 gene:DRNTG_14114 transcript:DRNTG_14114.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEC1 [Source:Projected from Arabidopsis thaliana (AT2G26910) UniProtKB/TrEMBL;Acc:A0A178VNA5] MWNSVEPAFSRSSSFRDFEPDDEEALRWAALERLPTFSRVRRGLLRSPTGNLSEIDISCLSSGDRISLIERLLGDSGDAELFFQRIRQRFDRVNLEFPKIEVRFKDLKVDAHVHIGSRALPTIPNFIFNMTEALLRQLRIFPGQRTKLSILDNISGIIRPSRMTLLLGPPSSGKTTLLLALAGRLGSGLQMSGSITYNGHSLNEFVPQRTSAYVSQQDWLAAEMTVREIVNFAGCCQGVGIKYDMLMELSRREKNAGIKPDEDLDIFMKALALEGKQTNLVVEYILKILGLDICADTLVGDEMIKGISGGQKKRLTTGELLVGSARVLFMDEISTGLDSSTTYQIIKYLRHSTHALDGTTIISLLQPPPETYELFDDVILISEGRIVYQGPRDDALDFFVAMGFKCPERKNVADFLQEVTSKKDQRQYWYDYDRPYRYVPVVKFAEAFHLFRVGKRLSGELAHPYNRLQNHPAALSTSNYGVKRFELLKVNFSWQLLLMKRNSFVYIFKFIQLLLVALITMTVFFRTTMPRDTVDDGIVFLGALYFSIVIILFNGFTEVSLLITKLPVLYKHRDLHFYPAWAYTLPSWILSIPTSLIESGLWVVVTYYVVGYDPQITRFLRQYLLLFFLHQMSLALFRVMGSLGRNMIVSNTFGSFAMLVIMILGGFIISRDSIPNWWIWGYWFSPLMYAQNALSINELLGHSWDKLLDVGMQQPMRLGEAVLRRYGLFTESYWYWIGVGALFGYTILFNLLFTLFLTYLDPIGRQQAVVSKKELQERNQARTGEGLVVELRSYLQSEALTGKHDKEKKGMVLPFQPLSMCFNNINYYVDVPVYC >DRNTG_31994.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13566380:13567117:1 gene:DRNTG_31994 transcript:DRNTG_31994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSPETRNFGMTKPPFAALGQKTLDEFRPGADSKFLKSSLDSSFTLWLELSDLLALPSFKPKPRSERLDSGRNSI >DRNTG_13625.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6281074:6282756:-1 gene:DRNTG_13625 transcript:DRNTG_13625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTKHRWKLSFHRPPPSAPSSPSPASPPPPPPPPPPLPHEFLCPISSSLMADPVIIPSGQSFDRATIQACKDLSLFPSTLLPSSSSSSSSSLLLIPNSALQTAIFRWCDLSGHPRPLPLSSDSARDLVRCLFDGDRDPSPVAAPKTPIIDSCSSRYSPRSSSSLSSYCSSSSCSSSEIVAADDSFGDEILSKLKDPRVSEQESALISLRRATRESVDRRISLCTPTFLAALRPLLGSRYRTIQSNAVAALVNLSLEPRNKVPVVRSGAVPALVEVLRCGHDEARDHAAGAIFSLSLEDDNKAAIGVLGAVPLLLHLFARPSEEARARRDSGMAIYHLCTMGTNRKRVAKGARAVVSVAKEGGEVGLVAMMVACRLAGCADGRAALMDADAVAAIVELLRKTSSVEEEYCVGALYGMSKGGLRFVATARAVAAAEVVAKVAEKETAGEAVREMARRTAMVIRGEREGSESAEFDAGVSWRKRLNEFISSDSDGFSSNSF >DRNTG_16322.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1562566:1562800:-1 gene:DRNTG_16322 transcript:DRNTG_16322.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKISDFGLARLFECDQTGGTTSRVVGTL >DRNTG_06937.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16813063:16813396:1 gene:DRNTG_06937 transcript:DRNTG_06937.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSHTPSHWKVIRTSSLPPKRDKRKRSRSSNGNGKRKEEREEHGAMR >DRNTG_24157.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001288.1:18471:19624:-1 gene:DRNTG_24157 transcript:DRNTG_24157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGVTSTKFLLGLLRTAMILHASPSSRENLEKRIGLQLEDAALEDLLIPNLGYSVETLYDTDCVQRILDHFMSTEQLSAPTSPGILDEGQLMGSNPSVKPMTMVAKLIDGYLAEVAPDVTLKLPKFQALAAVIPDYSRPLDDGIYRAIDIYLKSHPWLTDSEREQLCRLMNCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLRLRTSIASWFFVSENMENPHGPHGNLMMLQKNTDGEITQELQEDDLILSTDQMRQRVSVLEKECSSMKQEIQKIGKPKNSWSLFSKKFGFGSKSQQQQ >DRNTG_24157.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001288.1:18471:18949:-1 gene:DRNTG_24157 transcript:DRNTG_24157.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLRLRTSIASWFFVSENMENPHGPHGNLMMLQKNTDGEITQELQEDDLILSTDQMRQRVSVLEKECSSMKQEIQKIGKPKNSWSLFSKKFGFGSKSQQQQ >DRNTG_19030.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22547491:22548537:-1 gene:DRNTG_19030 transcript:DRNTG_19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLRNGNLPVPSPHHHHHHHHHFQSTVSAQKLRRLNTLVLILRFASFCFSLASAIFMSTNSSRSTGSPSWLHFPSFRFVFAANAISALYSLFEMGSSIWEILRSSTLLPEPLQLWFDFGHDQVFGYMVLAAGAAGVEAIRGLKSGRTCDAENSLCVQAIIAVALGFVGFAFLALAALISGFRLACFLITGSRFHL >DRNTG_15295.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4648715:4649781:1 gene:DRNTG_15295 transcript:DRNTG_15295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSSFLEDEERIVRPALSHHIVSLTSTTYGLLTTLDHSTVPPTPSPPTPPPPPPPVPPSLPHLPLRPEPEIINSWELMAGLDFSTPTNTPIPRRLPSKQHTHTHSHSHSRSNSQSHRALFVMDQPLKLEKNEHPVVLYTTTLRGVRKTFEDCNAVRSVLHGLGVAVKEKDVSMDLGFLEELRRVMKGRNDGFVVPRLFVKGRYVGGVEEVMRLHEEGGLARLVEGLPKVKVGDVCDGCGGVLFLPCFQCSGSRKVVVVVEVGKAGGGGGGGKEKVVRCPECNENGLVLCPICS >DRNTG_02195.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1337164:1339050:1 gene:DRNTG_02195 transcript:DRNTG_02195.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRVPFPADGDPPATRTSSPAGRDRKDSRLR >DRNTG_02195.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1337164:1339050:1 gene:DRNTG_02195 transcript:DRNTG_02195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIMNKKSQCKRLRHLIVIYMNHIQSKNNANERNADKQWHNLRLPKEHMN >DRNTG_23159.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1833427:1836342:-1 gene:DRNTG_23159 transcript:DRNTG_23159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDACMQSGILVGPSVLSRMGWFKDLFFPDKEKPVVEVMSRFGIMFAAFLIGLKMDPGLLKRSGRKAVVIGLSSIIVPFVSIALTSGLILMAKDKEEKFVYVVSICSVNSFTSFANIVPSLAELKLLNSEIGRVAMSASMTNDIIANVGMIIYTIRNAGKTNELYSFYALMAITVYVFFIAGVIRPLAIWVIERTPPGKPVDEGYIFLFLVIVLVTAFVGNMIGGNTFHISLILGLVIPDGPPLGAALTEKIEAFIHGILVPLYFARSGMRTNIDSVTNSNDWRFLQLINFMGWLGKVTGTLIPALYYKFPFSDALSLSLFINSKGIVEIISYNFMYLNGIISQQAFTVMILSAVAVTGLSTPMAASIYKPFRRYTVYKRRTVQHSKPDSELRVVACVHDQAHVPTAISLLEAIYVSEETPLSIHALHLVELVGRAAPLLIPHKLSRSDSMAHHISRSERIINAFLLHEKRHQGHVHVHPFTTIAPYVSMHDEVCHLALEKRTSLILLPFHKKRMIGGSIQVNSRLRAANHKVLLHAPCSVGIIIDTVTIDTGVNTICATPGKFEYSIALFYFGGEDDRESLSIAGRMVANPGVSLDVTRFREQLVQDMEEIVGVLREYADADYDLVIVGMRHRVNSVVTEGGLMDWSDCPELGVVGDFLGITGLWWQVYNPCSEAAGPIRR >DRNTG_23159.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1834400:1836342:-1 gene:DRNTG_23159 transcript:DRNTG_23159.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDACMQSGILVGPSVLSRMGWFKDLFFPDKEKPVVEVMSRFGIMFAAFLIGLKMDPGLLKRSGRKAVVIGLSSIIVPFVSIALTSGLILMAKDKEEKFVYVVSICSVNSFTSFANIVPSLAELKLLNSEIGRVAMSASMTNDIIANVGMIIYTIRNAGKTNELYSFYALMAITVYVFFIAGVIRPLAIWVIERTPPGKPVDEGYIFLFLVIVLVTAFVGNMIGGNTFHISLILGLVIPDGPPLGAALTEKIEAFIHGILVPLYFARSGMRTNIDSVTNSNDWRFLQLINFMGWLGKVTGTLIPALYYKFPFSDALSLSLFINSKGIVEIISYNFMYLNGIISQQAFTVMILSAVAVTGLSTPMAASIYKPFRRYTVYKRRTVQHSKPDSELRVVACVHDQAHVPTAISLLEAIYVSEETPLSIHALHLVELVGRAAPLLIPH >DRNTG_23159.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1834400:1835388:-1 gene:DRNTG_23159 transcript:DRNTG_23159.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMTNDIIANVGMIIYTIRNAGKTNELYSFYALMAITVYVFFIAGVIRPLAIWVIERTPPGKPVDEGYIFLFLVIVLVTAFVGNMIGGNTFHISLILGLVIPDGPPLGAALTEKIEAFIHGILVPLYFARSGMRTNIDSVTNSNDWRFLQLINFMGWLGKVTGTLIPALYYKFPFSDALSLSLFINSKGIVEIISYNFMYLNGIISQQAFTVMILSAVAVTGLSTPMAASIYKPFRRYTVYKRRTVQHSKPDSELRVVACVHDQAHVPTAISLLEAIYVSEETPLSIHALHLVELVGRAAPLLIPH >DRNTG_17432.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6157416:6165583:1 gene:DRNTG_17432 transcript:DRNTG_17432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVDYEEEKDDGGEREGGAGSGLANSLGQNVILRAPSTRFYGVKQQQQQQQQFQQQQQQQQQMDQELWKDINLPSTPSLPLNQHRPNPQHSSILPSSNPSSSTSIILQDFLAGTFKTPPPPPPSSSSSSSSASFITAVAPSLALKTIQPPVSLSLNSSNFGIGSRACSHKRGPPAGGQESSSADRRQRRMIKNRESAARMAQRLDFKDPQQLHFEE >DRNTG_14181.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:6737502:6738962:1 gene:DRNTG_14181 transcript:DRNTG_14181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKKSTASKFKSKKSYQDAAINLGKELVLKNIDLVYGGGSIGLMGLISQTVFNGGRNVIGVIPKALMAREITGITVGEEKTVIDMHHRKAEMGSYADAFIALPGGYGTLEELFEVIIWAQLGIHSKPIGLLNVDGYYNSLLSFIDKVLEEGFINLAARQIIISSSNAKELIEKLEVIIIKF >DRNTG_10301.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000433.1:45674:46282:1 gene:DRNTG_10301 transcript:DRNTG_10301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVTLLLLLPLLFVLALVSQGHAQSCSPYGEEHGQSSSPFGEGHGQSCSYFGKEKVTRLHFFYSERVTGDKPTIVLSAKPENNPSAGIETFGALSVIDTPLTEGEDLNSKVVGRAQGLVVAAGLDKTMLIFAVDFEFTSGEYSGSSISVLSRNPILDVYRELAVVGGRGKFRMARGYALLRKAIANADVNIIEYDVTVFHY >DRNTG_31901.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11323206:11325431:1 gene:DRNTG_31901 transcript:DRNTG_31901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINNIKSLDYHNYQGIINKVKESKLLFEPFNIDLTSLEPFIEKIARCATYAKEALEDPNVQACIHRQQAKACVHERTLELERLNKLRADWTNDINANKEEYQKLAEREHQL >DRNTG_00814.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12014984:12025451:-1 gene:DRNTG_00814 transcript:DRNTG_00814.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNGSIDSLTKNSHNTSNFPTCSNRPKKEPFPSEIGLEHLHSFLQRPAQC >DRNTG_00814.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12014984:12015533:-1 gene:DRNTG_00814 transcript:DRNTG_00814.4 gene_biotype:protein_coding transcript_biotype:protein_coding NSGSTAAECSSSREGQREGGPGEEWTLRGVKVRGATTGTHYECHQGDIRIWRLDFSRMPRLEHLQRGA >DRNTG_00814.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12014984:12025877:-1 gene:DRNTG_00814 transcript:DRNTG_00814.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNGSIDSLTKNSHNTSNFPTCSNRPKKEPFPSEIGLEHLHSFLQRPAQC >DRNTG_00814.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12014984:12016525:-1 gene:DRNTG_00814 transcript:DRNTG_00814.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNGSIDSLTKNSHNTSNFPTCSNRPKKEPFPSEIGLEHLHSFLQRPAQC >DRNTG_30299.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001557.1:3437:4780:1 gene:DRNTG_30299 transcript:DRNTG_30299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPRFTFMTGPSSSPHSSSINSSTFPTPTNRLRTLWPMKTPIRMPIKTIQRSL >DRNTG_00909.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21584448:21587741:1 gene:DRNTG_00909 transcript:DRNTG_00909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKIDPAFIQPAEHRPKPTISGAGTIPLIDLSPLLHHQIPSDSSDPAIPNEISSLIAAIGAACRDWGFFQVINHGVDPQLLERIQKAVVEFFALPAEEKRKVKRDEVNPLGYYDSENTKNVRDWKEVLDLVNREYDDGLLVLKNRWPEYPPAMRKTCEEYISVVEKLSYKLVELISLSLNLPAKRLNGFFKDSSSYFRLNYYNPCPMPDLVMGVGRHKDSGALTVLYQDQVGGLDVKRKSDGEWVRVKPTSNSYIINVGDVIEVWTNQRYESVEHRASVNSEKARLSIPFFFLPTFSTNVKPLEELVSDENPAKYEEYNWREFVSSRLGSNFKKMDKENIQISHFKKTTI >DRNTG_24377.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16747850:16748637:1 gene:DRNTG_24377 transcript:DRNTG_24377.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSEEEEEEEEEMEKQSNTTVEHQKNKQLGQKRSSKECKNKKKEIKVVYISNPMKFQTSAANFRELVQKVTGQDSDISNFPENTTTTALASQLSDTTTTTSSSVEDDGFAESWSAFVPPSMFYEPPQGFFRPPADRV >DRNTG_24377.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16747850:16748702:1 gene:DRNTG_24377 transcript:DRNTG_24377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSEEEEEEEEEMEKQSNTTVEHQKNKQLGQKRSSKECKNKKKEIKVVYISNPMKFQTSAANFRELVQKVTGQDSDISNFPENTTTTALASQLSDTTTTTSSSVEDDGFAESWSAFVPPSMFYEPPQGFFRPPADRV >DRNTG_17236.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16750574:16754282:1 gene:DRNTG_17236 transcript:DRNTG_17236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMIYYKRFHFSQMTSVFLSHHRHHPSPSMTSSPAHSLKPHHIVAMPYPGRGHINTLLNLAGHLSTHGLLVTVVVTEEWLSLLSPPPCAVHFRSIPNVIPSEHGRANDFNGFLDAVNTKLEKPFERLLEGLDPPPEAILADTYLSWMVAVIERRRLPVYSLWTMTAAIFSLFYGFEDIFGGDSTAAVTEEIDKKLEQHVKGLNLGDVSSIVCSATPKKWTLESFFWARGAKGVIFNSVHELEPHMINSLKSKLSCPVYTVGPCIPYLKLKDKPVNSNYMQWLESQPINSVLYLSLGSFLSVSVAQMDEIVTGLHESGIRFLMVHEATLRMCRQRWATQALLCNECLFAGKPMLTFPLFLDQPLNCKLIANVWKVGLNVKEEIGDGCLVGGKVIAKFAKRLMDVEGMEGKEMRKKAVELSEVVHRAVEEGGSSYCSISAFVEDVSSSF >DRNTG_34985.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002222.1:42511:43966:1 gene:DRNTG_34985 transcript:DRNTG_34985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIEKIGPALADDDAPVIFSFEYCTPKPSHAGPEAVLDTIARMAAYGPAFSDVTWRPQPPYPENTLFLAGRMQSLPGVETNLHLTSLSMPLASIDHALATARAAGIRNILALRGDPIEPPIAEDAFTSAIDLVRYIRANHGDYFGISVAGYPAHPSKIPEGSDRATKEGYESDLAYLKEKVEAGADLVITQLFFDASIFLKFLDDCRRIGIKCPIVPGIMPITTYRNFKFMTETCKTRVPAEVRERVEALKGDNEALGAYGVELATAICKELVENGVRMLHFYTMNKEGPAVKVLRNLGVIAGEDVWKVTIPRQLKVHEPLENGVVVAS >DRNTG_20283.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5188663:5189441:1 gene:DRNTG_20283 transcript:DRNTG_20283.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDWLRISEHCSGFPRSSASATPWISRSRGERFPPARQRRCGSFPGFSPTRQRWMRALAKELAAKPALTLMGTKAVIMKSKDMAVENGLDYVATWNVGMLRSQELEEAVLSKLQKRKPNFSKM >DRNTG_30648.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31437495:31437794:1 gene:DRNTG_30648 transcript:DRNTG_30648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDHKRKRGRKAKQPLTETLDLQISASPAVPDDPEASNPSPPTTRKRRGRPRKVQRQSDAAKPSS >DRNTG_29049.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2803876:2807929:-1 gene:DRNTG_29049 transcript:DRNTG_29049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKDVSFRLSWQTGQVRVEHFGLLVTKFISAHEPSIACLTLTMDGLLLATASVKGTLIRIFNTMDGTRLLEVHRGVDKAEIYSISLCPTVQWLAASSDKGTVHLLCLRVRVSGEGASAQTTSGQAPGMVHQNSSTSLDAFISPNMGANANSSLSFMIRMKHFFGVYCQSTLVRSGPLLNFTYQKFLDILLHLEVKILLWLSAWMAAR >DRNTG_29049.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2807394:2807929:-1 gene:DRNTG_29049 transcript:DRNTG_29049.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKDVSFRLSWQTGQVRVEHFGLLVTKFISAHEPSIACLTLTMDGLLLATASVKGTLIRIFNTMDGTRLLEVMPVISL >DRNTG_29049.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2806609:2807929:-1 gene:DRNTG_29049 transcript:DRNTG_29049.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKDVSFRLSWQTGQVRVEHFGLLVTKFISAHEPSIACLTLTMDGLLLATASVKGTLIRIFNTMDGTRLLEVHRGVDKAEIYSISLCPTVQWLAASSDKGTVHLLCLRVRVSGEGASAQTTSGQAPGMVHQNSSTSLDAFISPNMGANANSSLSFMIRMKHFFGVCTHF >DRNTG_23542.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22374126:22374844:1 gene:DRNTG_23542 transcript:DRNTG_23542.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >DRNTG_31792.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14870996:14878764:1 gene:DRNTG_31792 transcript:DRNTG_31792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLEEVDKAGASGIEGSEDSLEEHGEVITRTVSVGTISYEQHQNLDKDASGIRRCAMFGKTESAIGYEQGPVVASGWWRVCGEVGGCVGGLYWLRALRKLNKERILSLACKDLIIYWRTNAGPFFFFERRGEVQDHRDDTYGVINSGEAIEEDTQNELREGYSGLDGGDSGHVAYGILDDGGEDKLDDRSGDAQEDEINAHYAHSRLAGHSEVEDEIENEYGASEELQSFSSIDDEMVGLSKGLFGGQLFIAVEIDANDYIHPIAWARVEKENCDNWVTNNDPMVAINPQVLEEHFQQVDLISGDQVSAGQRTPAQHQPKPSS >DRNTG_22423.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23312662:23313335:-1 gene:DRNTG_22423 transcript:DRNTG_22423.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPLFFLLLSASGLALSDISPSPAPDFHSPFSAPSPGSRSPSPSSAPTPNPFSPPAPPMPAPTPSVSPSPVPSPVNELIHANGDDGEHAERTADEERNGMGKGKGGGLSGGQKVGVAIGVVAVVALVGLSAVVYRKRQQNIRRASYGGYGTRHVEMI >DRNTG_22423.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23312013:23313335:-1 gene:DRNTG_22423 transcript:DRNTG_22423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPLFFLLLSASGLALSDISPSPAPDFHSPFSAPSPGSRSPSPSSAPTPNPFSPPAPPMPAPTPSVSPSPVPSPVNELIHANGDDGEHAERTADEERNGMGKGKGGGLSGGQKVGVAIGVVAVVALVGLSAVVYRKRQQNIRRASYGGYGTRHVEMI >DRNTG_22351.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29858265:29862590:1 gene:DRNTG_22351 transcript:DRNTG_22351.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-10A [Source:Projected from Arabidopsis thaliana (AT4G14330) UniProtKB/Swiss-Prot;Acc:Q8VWI7] MMYGPTGAGKSHTMFGCSKEPGIVYRALRDILGDEDGENGVDDGGFRVGLFVQVAVLEIYNEEIYDLLSGNSNGGGTSSGLPKGNTPKVRLEVMGKKAKNASYISGNEAGKISKEVAKVEKRRIVKSTLCNERSSRSHCLIILDVPAVGGRLMLVDMAGSENIEQAGQLGLEAKMQTAKINQGNIALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKELHKTISTLEYGAKAKCIVRAAHIATPKEKTNHEESSVLLRSRIVAMNQFIYKLQLENKLKEKERDEAHKELLKKEEELLELRNRLKLIEGRGSTAKEDEIKSKVDERTQTFES >DRNTG_30579.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:286673:287215:-1 gene:DRNTG_30579 transcript:DRNTG_30579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPMLETARLFSENSVKTSIVTTPANANLINPLLHHHPSISLHLIPFPSTTFQPPFRLRKPHTSSLSTLANNFFSAVFSLRDPLSHLLSSLHPDAIISDALYTWTTELA >DRNTG_22270.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2417744:2423739:-1 gene:DRNTG_22270 transcript:DRNTG_22270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEPLPPESEVPSSPATAPVRLVDCVEDLLFFTLSSHLDGSLDVDLGLANDYCSRLLQTDPLPVDSNIGDDCRGVPMYPLYKHLAHSLERSLSSGTFVRVPDSDDELLKMKEDEWSKLLLENGSELMKIFEAVNFELHVQEPFFSQLKAGLKIVEGRCAVGDYNRIAPGASLLFNKALVVEVQHVNRYNSFSEMLQVETLAKVLPGVQTIEEGIQIYRKFYTEEKERTNGVLAICVSKPASQPYVHMAKLLTGLGYDGLNFLLGMGHTNGTVTDALPPPRSVLIASAMKPFRPNEQ >DRNTG_22270.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2417744:2423739:-1 gene:DRNTG_22270 transcript:DRNTG_22270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEPLPPESEVPSSPATAPVRLVDCVEDLLFFTLSSHLDGSLDVDLGLANDYCSRLLQTDPLPVDSNIGDDCRGVPMYPLYKHLAHSLERSLSSGTFVRVPDSDDELLKMKEDEWSKLLLENGSELMKIFEAVNFELHVQEPFFSQLKAGLKIVEGRCAVGDYNRIAPGASLLFNKALVVEVQHVNRYNSFSEMLQVETLAKVLPGVQTIEEGIQIYRKFYTEEKERTNGVLAICVSKPASQPYVHMAKLLTGLGYDGLNFLLGMGHTNGTVTDALPPPRSVLIASAMKPFRPNVLP >DRNTG_22270.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2417744:2423739:-1 gene:DRNTG_22270 transcript:DRNTG_22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEPLPPESEVPSSPATAPVRLVDCVEDLLFFTLSSHLDGSLDVDLGLANDYCSRLLQTDPLPVDSNIGDDCRGVPMYPLYKHLAHSLERSLSSGTFVRVPDSDDELLKMKEDEWSKLLLENGSELMKIFEAVNFELHVQEPFFSQLKAGLKIVEGRCAVGDYNRIAPGASLLFNKALVVEVQHVNRYNSFSEMLQVETLAKVLPGVQTIEEGIQIYRKFYTEEKERTNGVLAICVSKPASQPYVHMAKLLTGLGYDGLNFLLGMGHTNGTVTDALPPPRSVLIASAMKPFRPNEQ >DRNTG_24390.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2700235:2702770:1 gene:DRNTG_24390 transcript:DRNTG_24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSSGEKHFIRGGIAQDLRSDGRQRLNYRPVSVEVGVIPQANGSARVRLGATDVIASIKAELGRPDPLRPDKGKVSIFVDCSPTAEPKFEGRGGEDLSTELSVALQRCLLGGKSGAGAGIDLSSLMIAEGKICWDLYIDALVVSSDGNLLDALAAAIKVGLSNTGIPKVTVDLGTAPDDQPEVDVSDEEFLQFDTSGVPLIVTVTKVGRHYIVDATSEEESQMSTAVSVSVNKRGHICGMTKRGGAGLDSSVILDMISVAKHVSEHLLSVLDSEIAVAEAAAMS >DRNTG_21415.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:704713:706997:-1 gene:DRNTG_21415 transcript:DRNTG_21415.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPTLDSLSLHSTLFILTLPLALIYISKLHPWRGLRHRVARHSDTENPHQAPFRRPHSPHLRLLS >DRNTG_21415.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:704713:706997:-1 gene:DRNTG_21415 transcript:DRNTG_21415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPTLDSLSLHSTLFILTLPLALIYISKLHPWRGLRHRVARHSDTENPHQAPFRRPHSPHLRLLS >DRNTG_21415.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:704713:706997:-1 gene:DRNTG_21415 transcript:DRNTG_21415.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPTLDSLSLHSTLFILTLPLALIYISKLHPWRGLRHRVARHSDTENPHQAPFRRPHSPHLRLLS >DRNTG_21415.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:704713:706997:-1 gene:DRNTG_21415 transcript:DRNTG_21415.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPTLDSLSLHSTLFILTLPLALIYISKLHPWRGLRHRVARHSDTENPHQAPFRRPHSPHLRLLS >DRNTG_21415.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:704713:706997:-1 gene:DRNTG_21415 transcript:DRNTG_21415.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPTLDSLSLHSTLFILTLPLALIYISKLHPWRGLRHRVARHSDTENPHQAPFRRPHSPHLRLLS >DRNTG_21415.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:704713:706997:-1 gene:DRNTG_21415 transcript:DRNTG_21415.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPTLDSLSLHSTLFILTLPLALIYISKLHPWRGLRHRVARHSDTENPHQAPFRRPHSPHLRLLS >DRNTG_21415.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:704713:706997:-1 gene:DRNTG_21415 transcript:DRNTG_21415.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPTLDSLSLHSTLFILTLPLALIYISKLHPWRGLRHRVARHSDTENPHQAPFRRPHSPHLRLLS >DRNTG_21415.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:704713:706997:-1 gene:DRNTG_21415 transcript:DRNTG_21415.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPTLDSLSLHSTLFILTLPLALIYISKLHPWRGLRHRVARHSDTENPHQAPFRRPHSPHLRLLS >DRNTG_25401.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24502014:24508256:-1 gene:DRNTG_25401 transcript:DRNTG_25401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLKPKRNKYAILCAALASMNSIILGYDVAVISGAALFIKDDLKIDDTKLEILAGIINIYSLIGSLAAGRTSDWIGRRYTIVLASAIFFTGALIMGLAPNYALLMVGRFIAGIGVGYGLMIAPVYTAEVSPTSARGFLTSFPEVFINFGILLGYISNFAYANLPEHLAWRMMFLTGAIPPIFLGVGVLAMPESPRWLVMQGRLGEAGRVLGRTSDTPEEAKLRLAEIKEAAGIPESCTEEVVEVPKSKSSGEGVWKELLISPTPSVRRILVAGIGLQFFQQASGIDSVVLYGPRVFEKAGFQTQSRTKLLAATVSIGFTKTIFILVATFLLDRVGRRPLLLTSAGGMVVSLTMLATGLFVIDHHTEKLPWAVGLCVSMVLCFVAFFSIGLGPIAWVYSSEIFPLRLRAQGTSMGTATNRIMSGVITMTFISLYKAITIAGSFYLYAGVATAGWLFFYTFLPETKGRSLEEVGELFGGKRLERKAEAELVHGDVVEEPVKKSVQPV >DRNTG_25401.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24506386:24508322:-1 gene:DRNTG_25401 transcript:DRNTG_25401.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLKPKRNKYAILCAALASMNSIILGYDVAVISGAALFIKDDLKIDDTKLEILAGIINIYSLIGSLAAGRTSDWIGRRYTIVLASAIFFTGALIMGLAPNYALLMVGRFIAGIGVGYGLMIAPVYTAEVSPTSARGFLTSFPEVFINFGILLGYISNFAYANLPEHLAWRMMFLTGAIPPIFLGVGVLAMPESPRWLVMQGRLGEAGRVLGRTSDTPEEAKLRLAEIKEAAGIPESCTEEVVEVPKSKSSGEGVWKELLISPTPSVRRILVAGIGLQFFQQASGIDSVVLYGPRVFEKAGFQTQSRTKLLAATVSIGFTKTIFILVATFLLDRVGRRPLLLTSAGGMVVSLTMLATGLFVIDHHTEKLPWAVGLCVSMVLCFVAFFSIGLGPIAWVYSSEIFPLRLRAQGTSMGTATNRIMSGVITMTFISLYKAITIAGSFYLYAGVATAGWLFFYTFLPETKGRSLEEVGELFGGKRLERKAEAELVHGDVVEEPVKKSVQPV >DRNTG_25401.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24506386:24508256:-1 gene:DRNTG_25401 transcript:DRNTG_25401.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLKPKRNKYAILCAALASMNSIILGYDVAVISGAALFIKDDLKIDDTKLEILAGIINIYSLIGSLAAGRTSDWIGRRYTIVLASAIFFTGALIMGLAPNYALLMVGRFIAGIGVGYGLMIAPVYTAEVSPTSARGFLTSFPEVFINFGILLGYISNFAYANLPEHLAWRMMFLTGAIPPIFLGVGVLAMPESPRWLVMQGRLGEAGRVLGRTSDTPEEAKLRLAEIKEAAGIPESCTEEVVEVPKSKSSGEGVWKELLISPTPSVRRILVAGIGLQFFQQASGIDSVVLYGPRVFEKAGFQTQSRTKLLAATVSIGFTKTIFILVATFLLDRVGRRPLLLTSAGGMVVSLTMLATGLFVIDHHTEKLPWAVGLCVSMVLCFVAFFSIGLGPIAWVYSSEIFPLRLRAQGTSMGTATNRIMSGVITMTFISLYKAITIAGSFYLYAGVATAGWLFFYTFLPETKGRSLEEVGELFGGKRLERKAEAELVHGDVVEEPVKKSVQPV >DRNTG_25401.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24506345:24508322:-1 gene:DRNTG_25401 transcript:DRNTG_25401.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLKPKRNKYAILCAALASMNSIILGYDVAVISGAALFIKDDLKIDDTKLEILAGIINIYSLIGSLAAGRTSDWIGRRYTIVLASAIFFTGALIMGLAPNYALLMVGRFIAGIGVGYGLMIAPVYTAEVSPTSARGFLTSFPEVFINFGILLGYISNFAYANLPEHLAWRMMFLTGAIPPIFLGVGVLAMPESPRWLVMQGRLGEAGRVLGRTSDTPEEAKLRLAEIKEAAGIPESCTEEVVEVPKSKSSGEGVWKELLISPTPSVRRILVAGIGLQFFQQASGIDSVVLYGPRVFEKAGFQTQSRTKLLAATVSIGFTKTIFILVATFLLDRVGRRPLLLTSAGGMVVSLTMLATGLFVIDHHTEKLPWAVGLCVSMVLCFVAFFSIGLGPIAWVYSSEIFPLRLRAQGTSMGTATNRIMSGVITMTFISLYKAITIAGSFYLYAGVATAGWLFFYTFLPETKGRSLEEVGELFGGKRLERKAEAELVHGDVVEEPVKKSVQPV >DRNTG_25401.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24501685:24504558:-1 gene:DRNTG_25401 transcript:DRNTG_25401.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSFLSATLRLCDPPFIHLSIRPLLSFQLQTPKSLPRIHADSSSSLDLPKPISSGEIGGSESSLLSSIMNDSEKMTFGGCSSDSIGRSDTQLNSYTDGTSQQRISIKNNVGEILMGILHEAGSDDLVILCHGFRSSKESKTIVNLAKALVEERFSVYRFDFAGNGESEGSFQYGNYRREVEDLRAVILYFQKQNRKVCAIAGHSKGGNVVLLYASVYNDIPRVVNISGRFNLKRGIEDRLGKDYMRRIKENGFIDVKDKFGAFLYKVTEESLVDRLTTDLLTACLSIDQKCRVMTVHGSDDDIVPSEDAYEFANHIFIHKLHIIEGADHKYMTHQDQLAKLVLDFVKSDLKEDDSA >DRNTG_25401.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24506345:24508256:-1 gene:DRNTG_25401 transcript:DRNTG_25401.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLKPKRNKYAILCAALASMNSIILGYDVAVISGAALFIKDDLKIDDTKLEILAGIINIYSLIGSLAAGRTSDWIGRRYTIVLASAIFFTGALIMGLAPNYALLMVGRFIAGIGVGYGLMIAPVYTAEVSPTSARGFLTSFPEVFINFGILLGYISNFAYANLPEHLAWRMMFLTGAIPPIFLGVGVLAMPESPRWLVMQGRLGEAGRVLGRTSDTPEEAKLRLAEIKEAAGIPESCTEEVVEVPKSKSSGEGVWKELLISPTPSVRRILVAGIGLQFFQQASGIDSVVLYGPRVFEKAGFQTQSRTKLLAATVSIGFTKTIFILVATFLLDRVGRRPLLLTSAGGMVVSLTMLATGLFVIDHHTEKLPWAVGLCVSMVLCFVAFFSIGLGPIAWVYSSEIFPLRLRAQGTSMGTATNRIMSGVITMTFISLYKAITIAGSFYLYAGVATAGWLFFYTFLPETKGRSLEEVGELFGGKRLERKAEAELVHGDVVEEPVKKSVQPV >DRNTG_25401.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24501685:24504558:-1 gene:DRNTG_25401 transcript:DRNTG_25401.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSEKMTFGGCSSDSIGRSDTQLNSYTDGTSQQRISIKNNVGEILMGILHEAGSDDLVILCHGFRSSKESKTIVNLAKALVEERFSVYRFDFAGNGESEGSFQYGNYRREVEDLRAVILYFQKQNRKVCAIAGHSKGGNVVLLYASVYNDIPRVVNISGRFNLKRGIEDRLGKDYMRRIKENGFIDVKDKFGAFLYKVTEESLVDRLTTDLLTACLSIDQKCRVMTVHGSDDDIVPSEDAYEFANHIFIHKLHIIEGADHKYMTHQDQLAKLVLDFVKSDLKEDDSA >DRNTG_25401.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24502014:24504558:-1 gene:DRNTG_25401 transcript:DRNTG_25401.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSFLSATLRLCDPPFIHLSIRPLLSFQLQTPKSLPRIHADSSSSLDLPKPISSGEIGGSESSLLSSIMNDSEKMTFGGCSSDSIGRSDTQLNSYTDGTSQQRISIKNNVGEILMGILHEAGSDDLVILCHGFRSSKESKTIVNLAKALVEERFSVYRFDFAGNGESEGSFQYGNYRREVEDLRAVILYFQKQNRKVCAIAGHSKGGNVVLLYASVYNDIPRVVNISGRFNLKRGIEDRLGKDYMRRIKENGFIDVKDKFGAFLYKVTEESLVDRLTTDLLTACLSIDQKCRVMTVHGSDDDIVPSEDAYEFANHIFIHKLHIIEGADHKYMTHQDQLAKLVLDFVKSDLKEDDSA >DRNTG_35318.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1529448:1532529:1 gene:DRNTG_35318 transcript:DRNTG_35318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQALSTTALLLNVSLLLVMLLFFSNNVLGMKQHHNKKQATQNYRDALSKSILFFEGQRSGKLPANQRMKWRRDSGLSDGSAMHVDLVGGYYDAGDNVKFGFPMAFTTTMLSWSVIEFGGMMKGELQHAKDAIRWATDYLLKATAHPNTIYVQVGDANSDHACWERPEDMDTPRTVLKIDPQNPGSDVAAETAAALAAASIVFRRSDPSYSKLLLKTSIKVFDFADKFRGPYSNSLKSFVCPFYCSYSGYQDELLWGAAWLHRATKNPKYLYYIKLNGQTLGADESDNTFGWDNKHVGARILLSKAYLVQNVKELHDYKGHADNFICSIIPGASFSSTQYTRGGLLFKMGDSNMQYVTSTSFLLVTYAKYLTFSSQTVSCAGTVVTAKKLRSIAKRQVDYLLGENPMRMSYMVGYGSKYPKRIHHRGSSLPSISSHPARIACHAGFSVLGSMAPNPNLLVGAVVGGPDAQDRFPDLRSDYEQSEPATYINAPLVGALAYLAHSSGQI >DRNTG_04953.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3927063:3937710:-1 gene:DRNTG_04953 transcript:DRNTG_04953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCICLLQKKGHFNVYTLDGKRFLIPLAYLNNIVLRSP >DRNTG_04953.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3936999:3937710:-1 gene:DRNTG_04953 transcript:DRNTG_04953.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCICLLQKKGHFNVYTLDGKRFLIPLAYLNNIVLRSP >DRNTG_10846.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25042927:25048096:-1 gene:DRNTG_10846 transcript:DRNTG_10846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSISIAFQILSSAAVIEPSHGLNLHVALSCMWNK >DRNTG_34484.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19044413:19045232:-1 gene:DRNTG_34484 transcript:DRNTG_34484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYIIAVNLIFSFTNGVDWDGQYKLQFEAPKRWRNKPISFFNKGLAEELKVEGACNSAIFPDSNIVITSCSIGTIYAEGVDE >DRNTG_06020.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000275.1:11063:11941:-1 gene:DRNTG_06020 transcript:DRNTG_06020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSRTLSGVVFEPFEELKGEGSHRVPMSSQESLARQLYSDDCEIAINEQINVEYNVSYVYHALYAYFDRDNVALKGLAK >DRNTG_06020.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000275.1:8435:11941:-1 gene:DRNTG_06020 transcript:DRNTG_06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSRTLSGVVFEPFEELKGEGSHRVPMSSQESLARQLYSDDCEIAINEQINVEYNVSYVYHALYAYFDRDNVALKGLAKFFKESSEEEREQAEMLMEYQNKRGGRVKLNSIILPHSEFDHPEKGDALYAMELALSLEKVTNEKLLHLHSVACNNNDPQMQEFVESEFLGEQVEAIKKISDYVSQLRRVGKGHGVWHFDQMLLH >DRNTG_06020.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000275.1:8471:11941:-1 gene:DRNTG_06020 transcript:DRNTG_06020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSRTLSGVVFEPFEELKGEGSHRVPMSSQESLARQLYSDDCEIAINEQINVEYNVSYVYHALYAYFDRDNVALKGLAKFFKESSEEEREQAEMLMEYQNKRGGRVKLNSIILPHSEFDHPEKGDALYAMELALSLEKVTNEKLLHLHSVACNNNDPQMQEFVESEFLGEQVEAIKKISDYVSQLRRVGKGHVNMLMFY >DRNTG_12752.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25859684:25862981:1 gene:DRNTG_12752 transcript:DRNTG_12752.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFAEAPPGNSKAGEKIFKTKCAQCHTVEKGAGHKQGPNLNGLFGRQSGTTAGYSYSAANKNMAVIWEESTLYDYLLNPKKYIPGTKMVFPGLKKPQERVDLIAYLKESTAP >DRNTG_12633.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1338771:1343921:1 gene:DRNTG_12633 transcript:DRNTG_12633.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRMGSDIPVETQMLLVESKEEKESQNGSSDYILFLSVLDGPFRSSLQGNSLNELEFCIKCSSHS >DRNTG_12633.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1338771:1343921:1 gene:DRNTG_12633 transcript:DRNTG_12633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRMGSDIPVETQMLLVESKEEKESQNGSSDYILFLSVLDGPFRSSLQGNSLNELEFCIKCSSHS >DRNTG_12633.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1338771:1343921:1 gene:DRNTG_12633 transcript:DRNTG_12633.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRMGSDIPVETQMLLVESKEEKESQNGSSDYILFLSVLDGPFRSSLQGNSLNELEFCIKCSSHS >DRNTG_12633.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1341346:1343921:1 gene:DRNTG_12633 transcript:DRNTG_12633.8 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRMGSDIPVETQMLLVESKEEKESQNGSSDYILFLSVLDGPFRSSLQGNSLNELEFCIKCSSHS >DRNTG_12633.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1338771:1343921:1 gene:DRNTG_12633 transcript:DRNTG_12633.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRMGSDIPVETQMLLVESKEEKESQNGSSDYILFLSVLDGPFRSSLQGNSLNELEFCIKCSSHS >DRNTG_12633.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1338771:1343921:1 gene:DRNTG_12633 transcript:DRNTG_12633.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRMGSDIPVETQMLLVESKEEKESQNGSSDYILFLSVLDGPFRSSLQGNSLNELEFCIKCSSHS >DRNTG_12633.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1338771:1343921:1 gene:DRNTG_12633 transcript:DRNTG_12633.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRMGSDIPVETQMLLVESKEEKESQNGSSDYILFLSVLDGPFRSSLQGNSLNELEFCIKCSSHS >DRNTG_12633.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1338771:1343921:1 gene:DRNTG_12633 transcript:DRNTG_12633.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRMGSDIPVETQMLLVESKEEKESQNGSSDYILFLSVLDGPFRSSLQGNSLNELEFCIKCSSHS >DRNTG_21538.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1431109:1432602:-1 gene:DRNTG_21538 transcript:DRNTG_21538.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKIGLKKGPWTPEEDQKLLAYIEEHGHGSWRALPTKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPKNETLGCQTKNAANLSHMAQWESARLEAEARLVRESTLRQSSTSSSCTTNPTKSTPPPPPPPPPPPPCLDVFKAWQSVSSSTGVDLESPTSTLSFSTTGHPIPTTTIMTQTQLCPVDQEVDWKCFGKSTWLNPGTETENENGHGFCGMLLDNSSENLEAQGSCVEEEQENHNNNNNNNNNNINNNSNSNYWDSILDLVNSSSPSNSPSVF >DRNTG_16791.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7719749:7721779:-1 gene:DRNTG_16791 transcript:DRNTG_16791.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNISLQNCALTQGWRYLSGSCTLRYEAFPFFDTSVIPEGTPTQEFGVRKKSSNVSVLVIVMPIVGFILLATCLSCLCCRLRRKHASKRAQFDKYMPLSSIQTATNNFADQNKLGEGGFGPVYKGVLQNGTEIAVKRLSTASKQGATEFENEVKLIAKLQHRNLVRMLGWCVEKEEKLLVYEYLPKKGLDALLFDSEKRVQLDWNQRLQIIGGIARGLVYLHEDSLLKVIHRDLKASNVLLDNKLTPKISDFGMAKIYGGDEIEANSSRVVGTYGYMAPEYAMAGLFSVKSDVFSFGVLLLEVLTAQRNGRAHFEEYGQTLIRHMWHLWTENKALELVDPLLEGSYSTDEAIKLIKIGLLCVQENVEERPTMSVVIHMLRSSDHTVFPTPTQPPSFITRPRNIQLLASSSSSQSQATIVPSVNDVTNSDLLPR >DRNTG_16791.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7719749:7721034:-1 gene:DRNTG_16791 transcript:DRNTG_16791.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGWCVEKEEKLLVYEYLPKKGLDALLFDSEKRVQLDWNQRLQIIGGIARGLVYLHEDSLLKVIHRDLKASNVLLDNKLTPKISDFGMAKIYGGDEIEANSSRVVGTYGYMAPEYAMAGLFSVKSDVFSFGVLLLEVLTAQRNGRAHFEEYGQTLIRHMWHLWTENKALELVDPLLEGSYSTDEAIKLIKIGLLCVQENVEERPTMSVVIHMLRSSDHTVFPTPTQPPSFITRPRNIQLLASSSSSQSQATIVPSVNDVTNSDLLPR >DRNTG_16791.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7719749:7723155:-1 gene:DRNTG_16791 transcript:DRNTG_16791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLLLLLLLLPNKSLSLAILFLLHVLVHHVNAGILSFSPITANCTGGNYTDNSIFSTNLNSLLSTFNSKSSSSNSTYQISGTVYGLFFCTGDLSQDNCQACIQSAIKNISEKCPSSKQAIIWYDYCELRYSDTNFFGLPDTNGFSMINPFENTSSSEPMEVMSQLVKEAPSQVPVMFSYRALPPERLYALAQCSPDLTAEGCSRCLTTILANIKACCTMRKGWRYLATSCWIRYEATPFLQNLQGVYIEVTQSSCPYQDTLPNDLILNNILSDLMTNTPLKGGFYNISEGETMNKLYGLALCRGDLAPQGDSCETCLQNARNSILEDCTNKTQAIEWYESCFIKYSNQSFFGVVDTDGRTMCGTEQSNQIAANITTGMVQGLILDAVNSPTFLGVGKIAINSSLESYALVQCTRDLSREGCGDCLQRGMNISLQNCALTQGWRYLSGSCTLRYEAFPFFDTSVIPEGTPTQEFGVRKKSSNVSVLVIVMPIVGFILLATCLSCLCCRLRRKHASKRAQFDKYMPLSSIQTATNNFADQNKLGEGGFGPVYKGVLQNGTEIAVKRLSTASKQGATEFENEVKLIAKLQHRNLVRMLGWCVEKEEKLLVYEYLPKKGLDALLFDSEKRVQLDWNQRLQIIGGIARGLVYLHEDSLLKVIHRDLKASNVLLDNKLTPKISDFGMAKIYGGDEIEANSSRVVGTYGYMAPEYAMAGLFSVKSDVFSFGVLLLEVLTAQRNGRAHFEEYGQTLIRHMWHLWTENKALELVDPLLEGSYSTDEAIKLIKIGLLCVQENVEERPTMSVVIHMLRSSDHTVFPTPTQPPSFITRPRNIQLLASSSSSQSQATIVPSVNDVTNSDLLPR >DRNTG_24124.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:235513:239302:-1 gene:DRNTG_24124 transcript:DRNTG_24124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGQPRITTGGSPAAVRRGSATDGGRRSGRRSNGLDSSRRNNGSSPLDSVIGPSNRWY >DRNTG_20681.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7015405:7020760:1 gene:DRNTG_20681 transcript:DRNTG_20681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVTLALPMSPSAPSSPLLSSRTRAGPSLPLLTTASLVTESPKNEKDVLQAVESVHAMINKEVAAGINPENIFVCGFSQGGALTLASVLLYPKALGGGAVFSGWVPFNSSIIERISPEAKKTPILWSHGMADQTVRFGAGQAGPPFLEQAGMTCEFKAYPNLGHSITPEELHTLESFIKKHLKSSS >DRNTG_33355.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26662277:26666206:-1 gene:DRNTG_33355 transcript:DRNTG_33355.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MULTIPLE CHLOROPLAST DIVISION SITE 1 [Source:Projected from Arabidopsis thaliana (AT1G20830) UniProtKB/Swiss-Prot;Acc:Q8GWA7] MASIPATLHLPRLRSLPPLIRIRFLSVAASPNSQVDSFPSRRIHLKNLVIRAAGGSLDSGDGKNAKDPAHNELEGGGVDPQRMGLSLHATISTIRAPLLRMKGSSFDMKYAVWLCIAFAASVVVIFVRQIVVRSHRRERQGSVADLIRRGQLRSDRRGISKPLRYDDPFNNPLVKAGEGDSTIEMFGKVYRMAPVTLTREQQSSHQKRRSQIYQWKSPTVFLKEGDDIPPDVDPDRVRWIPANHPFATTVSDIDENLARENVYQKHGAPFRIRVEHEAMQRKIDALQSRQKSSKTPHDPNRSTRDFERQINSARPQEQQETDLPTNQHLEHPTSGRDSDEITTTDELKKL >DRNTG_19690.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001040.1:44651:54629:-1 gene:DRNTG_19690 transcript:DRNTG_19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKETVTGKRAWDESTAIKQTEGTGRVEGVASSGATSYNSVMFSKLQVMTRGSPSILVEGDLDIGRRIYRKGKEPLQDQSNQAEIEGEGSDNMAEQHKQQITLSDYARPAGKLQPIPGIMKKMIQKMKQARRHHKKSPKANGNVQALSNGDEPLVPRLHPEKIQGRVNTPVDDPVNGTWAWIEFLKCDLIVSCSILTGMAPRTKKAAGKHPREHSPETEHMEFAIPEHHVRFE >DRNTG_05542.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16655878:16659220:-1 gene:DRNTG_05542 transcript:DRNTG_05542.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNETRPISKGDQAMLNTDFSIDWRGRPCKPNKHGGMRAAVFVLGIQAFEIMAIGAVGNNLITYVFNEMHFPLSKSANIVTNFIGTIFLLSLLGGFLSDSYLGSFWTMLIFGFIELSGFILLAVQAHLPQLRPSQCNMITDDNCIEAKGFKATIFFIALYLVALGSGCLKPNMIAHGADQFSKDDAKQANKLSTYFNSAYFSFCVGELIALTVLVWFQTHVGMDVGFAISAIVMGMGLISLTSGLFFYRNKPPQGSILTPILQVFVAAISKRKQVCPSNAETMCRNQNHAVSVDGSLNIDFRHSDKFRFLDKASLRVEQQNGNNNEKESPWKLCSVKQVEQVKVILSVIPIFACTIVFNTVLAQLQTFSVQQGSSMNTHLTKSFTIPPASLQAIPYLILIILVPIYELFGIPLARRITKNKHGITPLQRIGVGLFTVTFSMVAAALIENKRKNMFLSSNKIISIFWITPQFLIFGISEMFTAVGLIEFFYMQSLQGRMQSFLTGMTYCSYSFGFFLSSLLVSLVNKLTSSNSRNGGWLSDNDLNKDRLDLFYWLLAVLSLVNFFNYLFWSRWYSKSPSNSSTNDVVVPVV >DRNTG_26017.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23158794:23160372:-1 gene:DRNTG_26017 transcript:DRNTG_26017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNVSQNHHHGTNIHQQICGSPTWKKHPMLNSSC >DRNTG_02257.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1004739:1006127:1 gene:DRNTG_02257 transcript:DRNTG_02257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVFLPNHEDGELWLPSDLYPDVEVHRSPELAYVEDLVKQLTAFGLLAPASGPAPTMSYSRNPEGFRPVARFEPERFETETRFGYGRFRAPVAASGGLGLGLGFGSRRVYPNGFSPVQRVGPVGKCVGTGVFFPRVNPGYKADVGRGGGRQEKPALKNAGKKGMSCHSPTEIALPQDWTY >DRNTG_17645.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4134707:4137546:1 gene:DRNTG_17645 transcript:DRNTG_17645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASKSKSGLSEISSNKAMPAATATSRVSKVTRTGSTKTDPNPPSTLQRRNSVDSKPARERRAPKTSVTTPEKPLRSSKVSASEVQEQLDVAQKELKKVNEQLDLVENDRAQAIEGLKIAKKLAEEANEKFTDALSSRKKAEERLEIEKSRSVELEQASIEAAKKEEALEIELKKVRDELAMALKAKNAALSQADDADKIAEANAEEVDRLKALLDSKLESVNEETAKMVKELDSEVVSLKQGLERAKVFERKVTDMEALIEELQIKVADAKRAEFDASDLANEWKKKAEVLQVRVEETCQSEQSSLESQALLTRQVEEANASLQEALSEIVALQGRVELLETELARHKADLKDSDLLLDEARREAVEMQHTIQVLKAEFQVVEEEKKQALDNQKIANSSTRDVLEERDKLREEVEKYKKTLDSLASALHEASTEGRDMQERLLMKQTEAENAHARVVELESALENTKESYQLMLDEAKYEIVCLKKAIDRYEMEVKNSKSEFDAKQLDLVCIMKNSEEEINTLKAEMAKTVGSLKGVEYEAQEAKEDGAQMLDKLRQTEYNAMIANEVIEDLKAESLALKERLLDKENQLQSIAKDNDELRLREATAHLKIRELSALLPNAETNNTVKNTVLDNGNGEEEDIMEKVIDLSSEIEAESIDDELDYKMDGSSFDQTNGSSAENTENGSVSPNKQVELKKKKKALLGKFGGLLKKKNNNTK >DRNTG_20762.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001150.1:27783:30273:-1 gene:DRNTG_20762 transcript:DRNTG_20762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVGDEAPLGAPVLRAIGLLEKKSGTRRLASHLTIGTSSQYDHDEAEYKSDEAPTQDLPSTSFPLDFDSRFKGMEDDIQAIRYEQQTPWRTRKYNLGEKEKKTTQRTWRVFKAEIEPWHTTSMLLWIDPGMITVAREEGSVFEGILAQGVWRSSLSKYTTSMEVLIEPVYR >DRNTG_33600.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002024.1:26936:27193:1 gene:DRNTG_33600 transcript:DRNTG_33600.1 gene_biotype:protein_coding transcript_biotype:protein_coding HGVLLLFESEFETKLLLRRIDGAIQVRSNVDLTFYS >DRNTG_05209.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19551780:19558419:-1 gene:DRNTG_05209 transcript:DRNTG_05209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSRLKSALNGPEIGHPHASVDSPHGPVEFHMGMDNFHKPMWILWNSLFRPAVNSTCYSKLLQCSLYYTAVVPARYNPKSMFSSRGSEGYPENTQGHVSAPVFDPVNYTRSRSVNGRGDSTRVLSRQELKIGVIFSVPYITRLIVGMGLLDEIRGAEKTIIPIPLDLETMCMMGMIKCYRDRVYVLNIPQLEPLEIEVAEAKGSLPAPELQLEPVETDAPPAAQELLPSAYLFSISRL >DRNTG_15995.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5207379:5207826:-1 gene:DRNTG_15995 transcript:DRNTG_15995.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPSFETHYAHQDSLKPSKSQNNRGMDSHSHGELKYGSPKPLYHTNH >DRNTG_27603.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16609686:16610456:1 gene:DRNTG_27603 transcript:DRNTG_27603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVTAQDGENAQGSPYMNPDLELPRKFGNSTMLIESIAVAEYQGRPLADHPGVKNGRPPGALLVPRPPLDAPTVAEAVSVISCWYEDKTEWGTRIGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNAFCASRRLKFLQRVAYLNVGIYPFTSIFLV >DRNTG_06472.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16909601:16913139:1 gene:DRNTG_06472 transcript:DRNTG_06472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRLLVQQRSTCIIQKGCGNVKLNLLAKRAMLLFQMVLNLHLHHLHLLRRNLRFPHHSQRFWPNLPGIEHRNHRCRGNESTKIHKTQSQAPKPNPFPKNPNLLRRRRRFKEEDEEEKRIPETPRKPKEGTKDSKCEIF >DRNTG_19433.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13314934:13316358:-1 gene:DRNTG_19433 transcript:DRNTG_19433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQVSYLQKADVMLNGNNYKAWSSTLRVLLRGLNLCGHIDGTRPPPVSSSAASSSSPSSTVTSASSSTPADLLKWTEDDARTIAIICQSCELPICLAVCDLSTAKAIWDHLRALYLPSSQALRYSLLQTLTYTYQRETSVSDFFAVISDLWRQCDEMTPSPSPTCAQCLAIAQDRDYLRIYEFLMRLRPEFEAVRAQLLHRVTPPSASDTLAYVLAEETLLL >DRNTG_24346.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:389168:392469:1 gene:DRNTG_24346 transcript:DRNTG_24346.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMREEASAAGEPLLEVAPASRRVGSATWAQTLGNIVVSVVGTGMLGLPYAFRIAGWLAGSLGVAIAGLTTLYCMILLVGLCVALLFTFPIMMHPIHDIIESRLKSSGWFQKLSYNVRCAECVGLYGARIIMVMLLATVASFIPGFGSFVSFVGSTLCALLSFVLPAAFHLTFMGSSLNIWRRALDYCILLVGVVFAGHGTYAAISGH >DRNTG_08857.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27822515:27822998:-1 gene:DRNTG_08857 transcript:DRNTG_08857.1 gene_biotype:protein_coding transcript_biotype:protein_coding YGPPPQPSFIIRQSSREHQNTSSLYECILDREN >DRNTG_17072.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:111152:113620:-1 gene:DRNTG_17072 transcript:DRNTG_17072.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESQGNNGLKSSPQLLNLIPNGHHWMVRDTREEGGTKFGAPEEKKLELSLCPPGTQQEHRLQIKDNNTERTLTFLQQKQKGAIEGKAECPLTSNAAGTNNGSQTRTSSVPVVGWPPIRTFRKNIASSSSKPSLASPNVPSKTEETLENCKKGLFLKINMDGIPIGRKIDLKAYNSYEKLSLAVEELFLGLLAAQRDHQTIGIQDGSQEKQAFKGLLDGTGEYTLVYEDNEGDRMLAGDIPWDMFVSTAKRLRVLKTADISALASKSKGKKRTSER >DRNTG_21935.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2181153:2183438:1 gene:DRNTG_21935 transcript:DRNTG_21935.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFKKFSSLPPKTKNVVVAGGLTGFVISVYYYTMRAVGGTDELQVAIDKFEEMKNNKNENGTPAASPGS >DRNTG_21935.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2181153:2183710:1 gene:DRNTG_21935 transcript:DRNTG_21935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFKKFSSLPPKTKNVVVAGGLTGFVISVYYYTMRAVGGTDELQVAIDKFEEMKNNKNENGTPAASPGS >DRNTG_21935.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2181059:2183466:1 gene:DRNTG_21935 transcript:DRNTG_21935.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFKKFSSLPPKTKNVVVAGGLTGFVISVYYYTMRAVGGTDELQVAIDKFEEMKNNKNENGTPAASPGS >DRNTG_21935.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2181153:2183466:1 gene:DRNTG_21935 transcript:DRNTG_21935.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFKKFSSLPPKTKNVVVAGGLTGFVISVYYYTMRAVGGTDELQVAIDKFEEMKNNKNENGTPAASPGS >DRNTG_06101.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20085916:20086865:-1 gene:DRNTG_06101 transcript:DRNTG_06101.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSDLEFHIFKEFGEEDLLDVDKELLEVAKVCLGTILQCCNACLYKLNALRYSFSPNKASP >DRNTG_13539.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21056181:21057601:1 gene:DRNTG_13539 transcript:DRNTG_13539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPQPHFLFITYPMQSHINPSLNLAKHIAATTGTAITFSTTVFTHRRMFSSTPNSDKGFNDGLITYLPFSDGFDEEGYKRGSMDANEYRSIFRINSKRNVSILVKELTAGDRPVTCMIHTIFLNWVVEIAGEHGIPSVLYWIQAASVFATELFEFLDEEQEGKKRVVLMNTFHEWETDDLASVSADIETIPIGLLPKQTNSSSSYLFKEDEKKYMEWLDTKEEGSVVYISFGSLSVMKKEQMEEMVKGLKESKRPYLWVVRKDNREKELLEIEGEEGDDQDGNGMMVEWCSQVRVLAHKAVGCFVTHCGWNSTLESLVCGVPVVGMPQWSDQGMNAKLVESLWGCGVRSEFDGDCVVKGEELVRCLELVMGDGEKGVKIRTKAKMWKDKASEAVSKCGSSDLNLKKFLLKFSE >DRNTG_23996.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001285.1:4268:5842:-1 gene:DRNTG_23996 transcript:DRNTG_23996.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKEKANRFLSVFVPSNRYKYLVTGEDPKDTDINPPDYLMKLMLNNSAVQFNVITVEKIWRCPGRVLKNSKEAKDVCLSFAFFKLLRRRFTGYPWTEAKQDKTKRLILEGLLNDPIRAFKVIETELGFLYDSFYTKYAVITGKPLLLLSSVATLLGSFWVIGILLNYKPTNGELHMEGNIDVLITVFFLLAISFVELWQIITYVFSDWAKVLLLCYYVKLSSLHEMDLLQTLLSFVCRQSVLKPWDQKLGQYSLLDSYRYEPSCLKTVLMRGGRGQKESPFVQLPDEVKKAIAQSLIENEGKLKSIGESLLTLSGFDRDAQLRQLLWACKLETYTEIILVWHVATFICLKKTALDHRRDEDQFNHKVADSLSKYCAYLVVFAREFLLPEPTELTSFTFDRTVFETSDLLEGKTSMSDKLNEIMKVGDDPPQSILKKGGKLGRQLIELVGEESLRFRVLKEIWVKIILCIAPSDNTNAHLQHLTEGGEFITHVWTLLCHLGIFQRPQSGIPDHPV >DRNTG_23996.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001285.1:4268:6540:-1 gene:DRNTG_23996 transcript:DRNTG_23996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVAAGNSTSTAQALLKWLDEDVGKLARIEVLVVISGALMTFLALFGSYRRRSRSALIKYTLWAAFTLTDSISAYTIGLMQTANFHNNLFLLWSLFLIIIKCSTNSITAFSVQDNDNKMSYYFNLLLLILYVGFIFNSYFKNISFGIPLYIFVFVFMFKAIERLIGLNLVDLPNRPMLNTKLVSDYMHYEHELSNEIDPVSMKGYKYLVTGEDPKDTDINPPDYLMKLMLNNSAVQFNVITVEKIWRCPGRVLKNSKEAKDVCLSFAFFKLLRRRFTGYPWTEAKQDKTKRLILEGLLNDPIRAFKVIETELGFLYDSFYTKYAVITGKPLLLLSSVATLLGSFWVIGILLNYKPTNGELHMEGNIDVLITVFFLLAISFVELWQIITYVFSDWAKVLLLCYYVKLSSLHEMDLLQTLLSFVCRQSVLKPWDQKLGQYSLLDSYRYEPSCLKTVLMRGGRGQKESPFVQLPDEVKKAIAQSLIENEGKLKSIGESLLTLSGFDRDAQLRQLLWACKLETYTEIILVWHVATFICLKKTALDHRRDEDQFNHKVADSLSKYCAYLVVFAREFLLPEPTELTSFTFDRTVFETSDLLEGKTSMSDKLNEIMKVGDDPPQSILKKGGKLGRQLIELVGEESLRFRVLKEIWVKIILCIAPSDNTNAHLQHLTEGGEFITHVWTLLCHLGIFQRPQSGIPDHPV >DRNTG_34977.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002221.1:91113:94085:-1 gene:DRNTG_34977 transcript:DRNTG_34977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMPCISWSFQVIPYLPELKVGYYVRGQVGFWNFVWLLQCCALHNSNHMLARLKGCKPYNHIFTLDGVSLHDLPISLSKDQKLPTSETDDLCNICEDDGDLLKCDLCPRVFHKECVGLSKILKKIGIVTGVKICGREESAAVGRTIHVQLQRLLMSNRSNNDVAMS >DRNTG_20022.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4935503:4936688:1 gene:DRNTG_20022 transcript:DRNTG_20022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKEIRKPAWLEALNTQKFFVGCSIHETGKKNEKNICCLDCCNSICPHCLPSHRHHRLLQVRRYVYHDVVRLEDLEKLIDCSNVQCYTINSSKVVFIKKRPQSRQFKGSANICTSCDRCLQEPYIHCSLGCKVDYVLSQNKDLSMYLRKCESLTLSPDFLIPNDVDIGDEEGEEEEEEEEEEEEEEAEEEEGEGEVNNTENSMDVDIDIVSKKTTDLFVCARTANSVSGEDIATSMSTRRKGIPHRSPLC >DRNTG_27027.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2530410:2537610:1 gene:DRNTG_27027 transcript:DRNTG_27027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILFLKTLLNSISRFDLLSSSNNMKVEMVRRYYQNIDKMLKLLKPVLEGVAEGDLNEQRSKVLQELDAFVNEARELIESWHHMTSKIYFVMHIEGALTKIQTLALDVCELLKTLPSSAVDESTSECIEQCVQKIQHVHCEWVSNIIKESIIDQREKRIPRPEQLEKVSDSLSLSTNQELLMEVVALDKLKSKISHVEKHGEVEQIDHIIALVTHMHECFVRVKQVHSINGVPIPPDFCCPLSLELMSDPVIVASGQTYERAFIKKWLDQGLTVCPKTRQTLVHTNLIPNYTVKALIANWCESNDIKLPDPIKSVNLNLPTAFINCADLHDNDCNNNHSAHSPRSSPSPDPDVAKLSSHKDAMSSNGSLQETYQPEKPLAHHNLSTGSILPTTNGFEKDISRMSLNSSDGSQQSNSEVRHVDSGGQYSSPSRDSGSPDDDRFQGHNQSVSASGAVATNDSLPPGGDANLVSRVSSDLTQYSSDNSGEVVREAPASTAPLRESAFPLRLSESRSRSQTIWRRPSEKFSPRIISSPSMDSRPDLSGIETQVRKFIEDLKSDSVDLQRTATAEIRQLAKHNMENRIVIANCGAIPLLVGLLQSTDQKIQENAVTALLNLSINDNNKTAIANADAIDPLIHVLETGNAEAKENSAATLFSLSVIEENKVKIGRSGAIRPLVELLGSGTPRGKKDAATALFNLSIFHENKARIVQAGAVRHLVELMDPAAGMVDKAVAVLANLATIPEGRTAIGHEGGIPVLVEVVELGSARGKENAAAALLQLCTTSNRFCSQVLQEGAVPPLVALSQSGTPRAKEKAQSLLTYFRSQRHGNAGRG >DRNTG_29440.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:2444210:2444927:-1 gene:DRNTG_29440 transcript:DRNTG_29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKKDLRLHDAIRDMALWIVAKSEARNIWIANANMGLNRISNVAAKKWRFAVGVSLMNNNIETLPKLTQQCSHLLSLMMQHNLNLKNIPDGFFLCMSNLR >DRNTG_02157.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29076145:29079558:-1 gene:DRNTG_02157 transcript:DRNTG_02157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSAFDSPISSKKIFRFENAWLDYEGCHSNVISAWNAISSSSPLHSFSHFISCTKRNLCRWKSSRPCHFDKEIQNIEREISSLDQNVTLLDDSWRNTWLRALKNRHAALLRQKTIFWGQRARNRWLAGALGVRHNSPINAELDAIYHALSICGDRGWNPNRIFTDCPGAAELALNPHPCIAWQSTGLIIKLRNILYEFQNVCISSVPRENNLLADALAEFGRQNPHPSLFFSGLDRPCWLEELCSSLNLSF >DRNTG_07412.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7303605:7306490:1 gene:DRNTG_07412 transcript:DRNTG_07412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMMVGVPVFLSCVLLLLLALLPLVLLFFHFLPLLLNFRASIPSGIFGWPLVGETLNFLTPHASNSLGTFLEQHISRYGKVFKTHLFCTPTVVSCDQELNQFILQNEEKLFQCSYPKPIHGILGKSSMIVVVGDTHKRLRNLALTLVTTTKSKPSYLNDIESIAIHVLNSWKDKSIVFFCEEARKFTFSVIVKQVLGLSPDEPQTSKILEDFLTFMKGLISFPLYIPGTSYAKAVKARARISFTVKSIMEERRRKHGEDSAKQQQKGDHFLDVLLSLTNLSEDEKVSFVLDSLLGGYETTSLLMSMAVFFLGQSPSALQQVKQEHEDIKAKRNKDEYFLSSEDYKQMEFTQNVINEALRCGNVVKFVHRKALKDVTFQGHLIPAGWKVLPVFSAVHLDSSLHDNPIEFHPWRWENQSQMTCKKYTPFGGGSRLCPGFELAKVETAFFLHHLVLNFRWKQEGEDCPIAYPYVEFPRALPLEIEPIPMESSL >DRNTG_28164.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:492438:496008:-1 gene:DRNTG_28164 transcript:DRNTG_28164.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGSAKVATMRKESEKCLDSQLWHACAGGMVQMPAVNSKVYYFPQGHAEHAQGKPDFGSSPQVPALVLCWVAAVKFMADPETDEVFAKIRLVPVCSNEHDYGDGDEEMNLGSDGSELTEKSTSFAKTLTQSDANNGGGFSVPRYCAETIFPKLDYSADPPVQTVLAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNHKKLVAGDSIVFLRAENGDLCVGIRRAKRGIAGGSESPAGWNPLMNNSVSSYGGFSPLFREDEGKFMRDNVHGGGMNMNGNMRGRGKVKAESVIEAASLAANGQPFEVVYYPRASTPEFCVRAAAVRGAMRIQWTSGMRFKMAFETEDSSRISWFMGTISSAQVADPIRWPNSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPAIHLAPFSPPRKKLRFPPHPDFPPDGQLPPPIFSTKPLGPSRTPFCCLPDGTPAGIQGARHAQFGVTLPDLHLNKLQAGLFQNGFHQLDNNTPTSRIPTKFFIGNPNLKLTIGNPSMNTKKPQLVLFGQPILTEEQLSLSSSGDGGSPVLTGNSLSDENPEKAANMSDNSGSAIHQNGPIENSSCEGFRWYRDHQATEHGFENGICKVFMEAEDVGRTLDLSVFHSYEELYGRLSNMFGIEKSDMMNHVLYHDPCGAVKHAGVEPFSEFMKTARRLTILMDSGSDNIGR >DRNTG_28164.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:492438:496008:-1 gene:DRNTG_28164 transcript:DRNTG_28164.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGSAKVATMRKESEKCLDSQLWHACAGGMVQMPAVNSKVYYFPQGHAEHAQGKPDFGSSPQVPALVLCWVAAVKFMADPETDEVFAKIRLVPVCSNEHDYGDGDEEMNLGSDGSELTEKSTSFAKTLTQSDANNGGGFSVPRYCAETIFPKLDYSADPPVQTVLAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNHKKLVAGDSIVFLRAENGDLCVGIRRAKRGIAGGSESPAGWNPLMNNSVSSYGGFSPLFREDEGKFMRDNVHGGGMNMNGNMRGRGKVKAESVIEAASLAANGQPFEVVYYPRASTPEFCVRAAAVRGAMRIQWTSGMRFKMAFETEDSSRISWFMGTISSAQVADPIRWPNSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPAIHLAPFSPPRKKLRFPPHPDFPPDGQLPPPIFSTKPLGPSRTPFCCLPDGTPAGIQGARHAQFGVTLPDLHLNKLQAGLFQNGFHQLDNNTPTSRIPTKFFIGNPNLKLTIGNPSMNTKKPQLVLFGQPILTEEQLSLSSSGDGGSPVLTGNSLSDENPEKAANMSDNSGSAIHQNGPIENSSCEGFRWYRDHQATEHGFENGICKVFMEAEDVGRTLDLSVFHSYEELYGRLSNMFGIEKSDMMNHVLYHDPCGAVKHAGVEPFSEFMKTARRLTILMDSGSDNIGR >DRNTG_28164.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:492438:496008:-1 gene:DRNTG_28164 transcript:DRNTG_28164.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGSAKVATMRKESEKCLDSQLWHACAGGMVQMPAVNSKVYYFPQGHAEHAQGKPDFGSSPQVPALVLCWVAAVKFMADPETDEVFAKIRLVPVCSNEHDYGDGDEEMNLGSDGSELTEKSTSFAKTLTQSDANNGGGFSVPRYCAETIFPKLDYSADPPVQTVLAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNHKKLVAGDSIVFLRAENGDLCVGIRRAKRGIAGGSESPAGWNPLMNNSVSSYGGFSPLFREDEGKFMRDNVHGGGMNMNGNMRGRGKVKAESVIEAASLAANGQPFEVVYYPRASTPEFCVRAAAVRGAMRIQWTSGMRFKMAFETEDSSRISWFMGTISSAQVADPIRWPNSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPAIHLAPFSPPRKKLRFPPHPDFPPDGQLPPPIFSTKPLGPSRTPFCCLPDGTPAGIQGARHAQFGVTLPDLHLNKLQAGLFQNGFHQLDNNTPTSRIPTKFFIGNPNLKLTIGNPSMNTKKPQLVLFGQPILTEEQLSLSSSGDGGSPVLTGNSLSDENPEKAANMSDNSGSAIHQNGPIENSSCEGFRWYRDHQATEHGFENGICKVFMEAEDVGRTLDLSVFHSYEELYGRLSNMFGIEKSDMMNHVLYHDPCGAVKHAGVEPFSEFMKTARRLTILMDSGSDNIGR >DRNTG_28164.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:492438:496008:-1 gene:DRNTG_28164 transcript:DRNTG_28164.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGSAKVATMRKESEKCLDSQLWHACAGGMVQMPAVNSKVYYFPQGHAEHAQGKPDFGSSPQVPALVLCWVAAVKFMADPETDEVFAKIRLVPVCSNEHDYGDGDEEMNLGSDGSELTEKSTSFAKTLTQSDANNGGGFSVPRYCAETIFPKLDYSADPPVQTVLAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNHKKLVAGDSIVFLRAENGDLCVGIRRAKRGIAGGSESPAGWNPLMNNSVSSYGGFSPLFREDEGKFMRDNVHGGGMNMNGNMRGRGKVKAESVIEAASLAANGQPFEVVYYPRASTPEFCVRAAAVRGAMRIQWTSGMRFKMAFETEDSSRISWFMGTISSAQVADPIRWPNSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPAIHLAPFSPPRKKLRFPPHPDFPPDGQLPPPIFSTKPLGPSRTPFCCLPDGTPAGIQGARHAQFGVTLPDLHLNKLQAGLFQNGFHQLDNNTPTSRIPTKFFIGNPNLKLTIGNPSMNTKKPQLVLFGQPILTEEQLSLSSSGDGGSPVLTGNSLSDENPEKAANMSDNSGSAIHQNGPIENSSCEGFRWYRDHQATEHGFENGICKVFMEAEDVGRTLDLSVFHSYEELYGRLSNMFGIEKSDMMNHVLYHDPCGAVKHAGVEPFSEFMKTARRLTILMDSGSDNIGR >DRNTG_28164.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:492438:496008:-1 gene:DRNTG_28164 transcript:DRNTG_28164.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGSAKVATMRKESEKCLDSQLWHACAGGMVQMPAVNSKVYYFPQGHAEHAQGKPDFGSSPQVPALVLCWVAAVKFMADPETDEVFAKIRLVPVCSNEHDYGDGDEEMNLGSDGSELTEKSTSFAKTLTQSDANNGGGFSVPRYCAETIFPKLDYSADPPVQTVLAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNHKKLVAGDSIVFLRAENGDLCVGIRRAKRGIAGGSESPAGWNPLMNNSVSSYGGFSPLFREDEGKFMRDNVHGGGMNMNGNMRGRGKVKAESVIEAASLAANGQPFEVVYYPRASTPEFCVRAAAVRGAMRIQWTSGMRFKMAFETEDSSRISWFMGTISSAQVADPIRWPNSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPAIHLAPFSPPRKKLRFPPHPDFPPDGQLPPPIFSTKPLGPSRTPFCCLPDGTPAGIQGARHAQFGVTLPDLHLNKLQAGLFQNGFHQLDNNTPTSRIPTKFFIGNPNLKLTIGNPSMNTKKPQLVLFGQPILTEEQLSLSSSGDGGSPVLTGNSLSDENPEKAANMSDNSGSAIHQNGPIENSSCEGFRWYRDHQATEHGFENGICKVFMEAEDVGRTLDLSVFHSYEELYGRLSNMFGIEKSDMMNHVLYHDPCGAVKHAGVEPFSEFMKTARRLTILMDSGSDNIGR >DRNTG_28164.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:492377:496008:-1 gene:DRNTG_28164 transcript:DRNTG_28164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGSAKVATMRKESEKCLDSQLWHACAGGMVQMPAVNSKVYYFPQGHAEHAQGKPDFGSSPQVPALVLCWVAAVKFMADPETDEVFAKIRLVPVCSNEHDYGDGDEEMNLGSDGSELTEKSTSFAKTLTQSDANNGGGFSVPRYCAETIFPKLDYSADPPVQTVLAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNHKKLVAGDSIVFLRAENGDLCVGIRRAKRGIAGGSESPAGWNPLMNNSVSSYGGFSPLFREDEGKFMRDNVHGGGMNMNGNMRGRGKVKAESVIEAASLAANGQPFEVVYYPRASTPEFCVRAAAVRGAMRIQWTSGMRFKMAFETEDSSRISWFMGTISSAQVADPIRWPNSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPAIHLAPFSPPRKKLRFPPHPDFPPDGQLPPPIFSTKPLGPSRTPFCCLPDGTPAGIQGARHAQFGVTLPDLHLNKLQAGLFQNGFHQLDNNTPTSRIPTKFFIGNPNLKLTIGNPSMNTKKPQLVLFGQPILTEEQLSLSSSGDGGSPVLTGNSLSDENPEKAANMSDNSGSAIHQNGPIENSSCEGFRWYRDHQATEHGFENGICKVFMEAEDVGRTLDLSVFHSYEELYGRLSNMFGIEKSDMMNHVLYHDPCGAVKHAGVEPFSEFMKTARRLTILMDSGSDNIGR >DRNTG_28164.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:492438:496008:-1 gene:DRNTG_28164 transcript:DRNTG_28164.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGSAKVATMRKESEKCLDSQLWHACAGGMVQMPAVNSKVYYFPQGHAEHAQGKPDFGSSPQVPALVLCWVAAVKFMADPETDEVFAKIRLVPVCSNEHDYGDGDEEMNLGSDGSELTEKSTSFAKTLTQSDANNGGGFSVPRYCAETIFPKLDYSADPPVQTVLAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNHKKLVAGDSIVFLRAENGDLCVGIRRAKRGIAGGSESPAGWNPLMNNSVSSYGGFSPLFREDEGKFMRDNVHGGGMNMNGNMRGRGKVKAESVIEAASLAANGQPFEVVYYPRASTPEFCVRAAAVRGAMRIQWTSGMRFKMAFETEDSSRISWFMGTISSAQVADPIRWPNSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPAIHLAPFSPPRKKLRFPPHPDFPPDGQLPPPIFSTKPLGPSRTPFCCLPDGTPAGIQGARHAQFGVTLPDLHLNKLQAGLFQNGFHQLDNNTPTSRIPTKFFIGNPNLKLTIGNPSMNTKKPQLVLFGQPILTEEQLSLSSSGDGGSPVLTGNSLSDENPEKAANMSDNSGSAIHQNGPIENSSCEGFRWYRDHQATEHGFENGICKVFMEAEDVGRTLDLSVFHSYEELYGRLSNMFGIEKSDMMNHVLYHDPCGAVKHAGVEPFSEFMKTARRLTILMDSGSDNIGR >DRNTG_30631.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1100315:1100870:-1 gene:DRNTG_30631 transcript:DRNTG_30631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHANLDFNPNPENYFKPIVPLKSSKPEFSMEYILEATGPLEPFPFDDETDVPVDGGFAYWIKQVFAKLISLFK >DRNTG_12241.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24988609:24992923:-1 gene:DRNTG_12241 transcript:DRNTG_12241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVRLLTFAVSPRPLLRLPRRPHINAPRRTLPSLVLPRNPNPRYGPFPFRTISPFLSISSSPPLTDPSAVSSAPSLTINGGHEGITGFRAELGEAWMVVLLGWLGAEAKHLKRYAELYERKGIAAVRFVVPVKETLGFDLGRRVEEKVGRLSNELVDWCLDVERSGKVPRLIFHTFSNTGWLAYGEILNSLQLRNDILQKIKGCIFDSGAAPEIDPQIWAAGFSAALLKKQSSPAYVSAESTEGKFDGDMSKSRMQPNRPNLCETTLLSILEKFFAIILKMPSVNKRLTKVISILSEKQPFCPQLYLYSSSDKVIPVGSIESFIKKQKALGRIVHAHDFVSSPHVDHFRSFPHIYSSKVDEFLEECCSAPVC >DRNTG_21716.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:621824:622112:1 gene:DRNTG_21716 transcript:DRNTG_21716.3 gene_biotype:protein_coding transcript_biotype:protein_coding ILLDQVVEDGYEFFADRQLVTIFSAPNYCGEFNNAGALMSVDASLLCSFQILKPYRGKPPGQTPD >DRNTG_21716.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:619877:622112:1 gene:DRNTG_21716 transcript:DRNTG_21716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSALDELIDRLLEGKKNKGSGKRVQLSEAEIRHLCVVSKEIFLSQPNLLELEAPINVCGDIHGQYSDLLRLFEYGGFPPASNYLFLGDYVDRGKQSIETICLLLAYKIKFPDNFFLLRGNHECASINRIYGFYDECKRRFSVRLWKLFTDCFNCLPVAAVIDDKILCMHGGLSPDLDNLDRIRVIERPVDVPDQGLLCDLLWSDPDREIRGWGENDRGVSYTFGADMVTEFLNKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFNNAGALMSVDASLLCSFQILKPYRGKPPGQTPD >DRNTG_21716.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:620456:621111:1 gene:DRNTG_21716 transcript:DRNTG_21716.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGLSPDLDNLDRIRVIERPVDVPDQGLLCDLLWSDPDREIRGWGENDRGVSYTFGADMVTEFLNKHDLDLICRAHQVLSMHVFIQFIYF >DRNTG_02207.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1280353:1282965:1 gene:DRNTG_02207 transcript:DRNTG_02207.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRLWRKLESLGISQSQWSSRASSMAANSPVGSHSFCFSGSLMPFLLIRRCLGSNKAGESLSQVCGDSNVQVLDFPGGKVNFSSEMRFLSESSPERISCYRVLDDYGRIISNSSSQQVRKEVALKMYRDMVTLQTMDTIFYEAQRQGRISFYLTTIGEEAINIASAAALTIDDIVIPQEFAHHNFGTKYDSGKGRQMPVHYGCSRLNYFTVSSTIATQLPHAVGVAFALKMDKKNACAVVYFGDGGTSEGDFHAALNFAAVMEVPVIFFCRNNGWAISTPTTEQFRSDGAVIRGQAYGIRSIRIDGNDGLAVYNAVCAAREMAISECRPVLVEALTYRVGHHSTSDDSTKYRPMDEIEHWRTARDPVSRFRKWVEGNGWWSNEVELNLRSNVRKELLQAIQVAEQTEKPPLFELFTDVYDQVPLNLCEQEKSLRETIRKHPGDYPIDVPV >DRNTG_02207.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1280353:1282965:1 gene:DRNTG_02207 transcript:DRNTG_02207.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRLWRKLESLGISQSQWSSRASSMAANSPVGSHSFCFSGSLMPFLLIRRCLGSNKAGESLSQVCGDSNVQVLDFPGGKVNFSSEMRFLSESSPERISCYRVLDDYGRIISNSSSQQVRKEVALKMYRDMVTLQTMDTIFYEAQRQGRISFYLTTIGEEAINIASAAALTIDDIVIPQYREPGVLLWRGFTLQEFAHHNFGTKYDSGKGRQMPVHYGCSRLNYFTVSSTIATQLPHAVGVAFALKMDKKNACAVVYFGDGGTSEGDFHAALNFAAVMEVPVIFFCRNNGWAISTPTTEQFRSDGAVIRGQAYGIRSIRIDGNDGLAVYNAVCAAREMAISECRPVLVEALTYRVGHHSTSDDSTKYRPMDEIEHWRTARDPVSRFRKWVEGNGWWSNEVELNLRSNVRKELLQAIQVAEQTEKPPLFELFTDVYDQVPLNLCEQEKSLRETIRKHPGDYPIDVPV >DRNTG_02207.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1280353:1285870:1 gene:DRNTG_02207 transcript:DRNTG_02207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPYFDPDYEHLNQFINPPRVCIDNTSCSDCTLVKVDSMNKPGILLEVVQVLSDLDLFISKAYISSDGRWFMDVFHVTDQQGRKLTDPKTIQYIEKALGPENRDFGTKGDTLPGKSVGMHLAGDHTAIELIGTDRPGLLSEIFAVLTSLRCNVIAAEVWTHNTHVACVVYVNDEITMSAVDDASRLSLMKEQLKHVLRGPLHGGREACTNISIGSTHVDRRLHQLMFADRDYESHGGVMDELRPVISVDLCEDKGYSVVNVKCKDRPKLLFDIVCTLTDMQFVVFHASASSDGPYAMQELYVRQKDGCILDSDDDKEKVVKCLEAAILRRVSEGLSLELCGKDRVGLLSDVTRVLREHGLSVTRADVTTVGEQALNVFYVRDASGNPVDMKTVEALRREIGQKVMLNVKNVSATATKAREPSGWAKISFTFGSLLERFLT >DRNTG_22521.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3477254:3480716:-1 gene:DRNTG_22521 transcript:DRNTG_22521.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PIN domain-like family protein [Source:Projected from Arabidopsis thaliana (AT2G34570) UniProtKB/TrEMBL;Acc:Q8L8C2] MRLKKQKRHRKAVRFYSACFGFREPYKVLCDGTFVHHLLLHGLVPADNALQRLLGARVLLFSSCCVVAELQALGESHSEALNAARHLVSARCDHEKRVSATACIESIIGESNPEHFFVATQDADLRRMFQKIPGVPVIYGLKNSLFIDQPSAHQREFVKSTEERRMHMSEPEYYKLHEKESKDELTSAKLNDDSANEASGSEHMRKSIGGGHALGVVDKTKFKRKKAKGPNPLSCLKKKKKEASSNAINQDEKADSNSKRMRIRKRNRIRKEGNLSGSAS >DRNTG_15327.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15578162:15586245:-1 gene:DRNTG_15327 transcript:DRNTG_15327.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHEKDKAVNVQVLLRCRPFSDDELRNNAPQVVTCNDFQREVAVSQSIAGKQIDRVFTFDKVFGPSAKQKDLYDQAVVPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECRRAKSGPKEYSVKVTFLELYNEEITDLLAPEELSKIALEEKQKKPLPLMEDGKGGVLVRGLEEEIVTSADEIFSLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVITALVEHLGHIPYRDSKLTRLLRDSLGGRTKTCIIATVSPSVHCLEETLSTLDYAHRAKNIKNRPEVNQKMMKSTLIKDLYGEIDRLKAEVYAAREKVGVYMPKERYYQEESERKAMADQIEQMGVLIENNQKQLEALQDKYNAQLQQSEDLSKKLEAAEKSLEHTSKLLATSKENLRHAQYSLKEKDFIVSEQKKAESALAQQAHTLQSQLEKSISDNTSLFSKIAREDKLNTANRSVVNNFHVDLSEKIRALCGTVVTSLEQQNKHLQCVENLCESCLEFHDKAFSELKKKVTTSRTLYNSHMEAVQNIVRLHNASTNASLEEILSLTSGNFCSIDQLLTLGSGEANLIFEDLHGALSSHRGEIAHFAHELRERFHNILDRTKQMSSFVVGLLGMLGKEVKDLENHSEPCPRSSS >DRNTG_15327.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15578162:15585423:-1 gene:DRNTG_15327 transcript:DRNTG_15327.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGECRRAKSGPKEYSVKVTFLELYNEEITDLLAPEELSKIALEEKQKKPLPLMEDGKGGVLVRGLEEEIVTSADEIFSLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVITALVEHLGHIPYRDSKLTRLLRDSLGGRTKTCIIATVSPSVHCLEETLSTLDYAHRAKNIKNRPEVNQKMMKSTLIKDLYGEIDRLKAEVYAAREKVGVYMPKERYYQEESERKAMADQIEQMGVLIENNQKQLEALQDKYNAQLQQSEDLSKKLEAAEKSLEHTSKLLATSKENLRHAQYSLKEKDFIVSEQKKAESALAQQAHTLQSQLEKSISDNTSLFSKIAREDKLNTANRSVVNNFHVDLSEKIRALCGTVVTSLEQQNKHLQCVENLCESCLEFHDKAFSELKKKVTTSRTLYNSHMEAVQNIVRLHNASTNASLEEILSLTSGNFCSIDQLLTLGSGEANLIFEDLHGALSSHRGEIAHFAHELRERFHNILDRTKQMSSFVVGLLGMLGKEVKDLENHSEPCPRSSS >DRNTG_08037.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30243025:30248455:1 gene:DRNTG_08037 transcript:DRNTG_08037.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Suppressor of mec-8 and unc-52 protein homolog 2 [Source:Projected from Arabidopsis thaliana (AT2G26460) UniProtKB/Swiss-Prot;Acc:O48713] MSSAKKNYKEKMMRRKEEKHEEPEIPKYRDRAKERREDQNPDYEPTELGSFHAVAPPGAANLRPADAHKLSIENSKYLGGDVEHTHLVKGLDFALLHKVRSEMEKKPDVEDEIDGNSRSSKEDQPVSFRTATAKSVYQWIVKPQSTIKANEMFLPGRMAFIFNMDTGFSHDIPTTLHRSKADCPIPEEMVTVSVDGSVLERISKIMSYLRLGSSGKVMKKKKKDKDVKGKISTVGNGYDEFEKPLQHHDGGILVNKPEREILPPPPPRRNNGDVREKQPLPIARTVEDDIFVGDGIDYDIPNKDMSQSPISEDMEESPRNKERQSYFNEPVYGPVPPETAQVWGQTNGYDTAQAQVVAAGYQGDWQDYQYAEQLAYPDQYLQQNIQEYDALAATNLLQDPRFMTQEDKDRGLGSVFKRDDQRLQQLREKDAREKDPNFISESYSECYPGYQEYNREIVDSDDEDDLSKMDMGGRAKGRLHRWDFETEEEWATYNEQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKINNELHKINKILARKKGERGEGNDDGGGYDEDPQPAKKLRI >DRNTG_08037.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30243025:30248455:1 gene:DRNTG_08037 transcript:DRNTG_08037.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Suppressor of mec-8 and unc-52 protein homolog 2 [Source:Projected from Arabidopsis thaliana (AT2G26460) UniProtKB/Swiss-Prot;Acc:O48713] MSSAKKNYKEKMMRRKEEKHEEPEIPKYRDRAKERREDQNPDYEPTELGSFHAVAPPGAANLRPADAHKLSIENSKYLGGDVEHTHLVKGLDFALLHKVRSEMEKKPDVEDEIDGNSRSSKEDQPVSFRTATAKSVYQWIVKPQSTIKANEMFLPGRMAFIFNMDTGFSHDIPTTLHRSKADCPIPEEMVTVSVDGSVLERISKIMSYLRLGSSGKVMKKKKKDKDVKGKISTVGNGYDEFEKPLQHHDGGILVNKPEREILPPPPPRRNNGDVREKQPLPIARTVEDDIFVGDGIDYDIPNKDMSQSPISEDMEESPRNKERQSYFNEPVYGPVPPETAQVWGQTNGYDTAQAQVVAAGYQGDWQDYQYAEQLAYPDQYLQQNIQEYDALAATNLLQDPRFMTQEDKDRGLGSVFKRDDQRLQQLREKDAREKDPNFISESYSECYPGYQEYNREIVDSDDEDDLSKMDMGGRAKGRLHRWDFETEEEWATYNEQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKINNELHKINKILARKKGERGEGNDDGGGYDEDPQPAKKLRI >DRNTG_33159.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001968.1:3571:4665:1 gene:DRNTG_33159 transcript:DRNTG_33159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIHEEQQPTNIVSAIDAVAVAAVEKVVDSILNELEVTVEPTANRAASKADTIPQHQEACKEVSLVDDAVLPPSKEGNAAEMETREKNNANKKLEEVRKVFIPKKKKYVGQSRLNKYQQELIRIFLNCPMDNTARSSLFDLLEGKEMVEDDVMDTFQRDDAHESTMAMIGDAAHNLLEVDIVILPIIMSGHFHVVVLDNDKQEYRHYSSWQSMEYDKNELEM >DRNTG_33756.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32409205:32413600:1 gene:DRNTG_33756 transcript:DRNTG_33756.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQQPGPQTAVTPPAPPNEVMELDLVDVDAASWPFDSSGFQGNSLSSFLLSPSPPFSQGLSQLPFPPPGSPLWFFEDRAAEISADLLSSTRSLHSEHLASRGDSETVNVNNNGEAGRAKQPQLPHVEGVSDSSFLIKERMSQALRYFKELTDQHVLVQVWAPVKDGDRHVLTTSGQPFVLDPQSIGLLQYRTVSLMYMFSVDEESNGVLGLPGRVYRQQQPEWTPNVQYYSSKEYPRLNHALYYDVRGTLALPVFDSSGKSCVGVVEMIMTSQKINYAPDVDKVCKALEAVNLKSSEIMDHPRVQICNEGRQAAFAEILEILTAVCETHKLPLAQTWVPCRHRNVLVHGGGLKKNCSSIDGSCMGQVCMSTTDVAFYIIDGHMWGFRDACVEHHLQKGQGVAGRAYKARQACFSRDVTQFSKTEYPLVHYAKWFGLRSCFAICLQSSESGSDDYILEFFMPVDLNSSTDQQALLDSILTTMKQHCRSLKFAANTELPDGGVFVEIINMSATDANGDAEVLEGLKIELSGMLSTEENAVKAHLIGGPGKELSHHASCGTYPSPLDDLPYDENVIPDTDAQEQPFVTDDDVGKESNQIINSDGCGTSNSSAGDKSKKKTERRRGKAEKSISLDVLQHYFAGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKRVIESVQGAEGTFNITSLTCPIPVAVGSISWPAGLGCSKQNGAPEEKELSPHHSPERDDHHNKLLVHQKLISNQTGSQPEPGQHADSSKSRSSSGEPSGNSHTSQGSCQGSPINETCLGVPISPLNLEQQLNTVDCLGSQPNAAALCSMPDAAEIADQLPLLGGMLIQDSGSSKDLKLLFTTMEGCPDEQVNASNNSLSQPAAVQDSRTVTIKASYKEDIIRFRLPCNAGVVALKDEVAKRLKLENGLFDIKYLDDDHEWVVLACDADLEECMEIAKLSGGRLIRLAVHDVVVVLGSSCESSE >DRNTG_18942.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11973927:11975066:-1 gene:DRNTG_18942 transcript:DRNTG_18942.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKGVVLLDFWVSPFGQRVRIALAEKGVDYEYKEEQDLVNTKSELLLKSNPVYKKVPVLLHDGKPICESLIIVQYIDEVWSDKTPLLPAEPLARANARFWADFIDKKVYECGTRLWKLKGEEQEAAKEEFVEIFKLLQGELGDRKFFGGETFGFLDLALVPFVAWFYAYETVAKFSMDEECPKIVAWAKRCIERESVSKTLSDPNKVYDFVLVLKKKFGLEY >DRNTG_26232.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:451807:454451:-1 gene:DRNTG_26232 transcript:DRNTG_26232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHLGSFKVPAASIPVIPLIFMSALVPVYEFIFIPFARRFTRHPNGITTLQRVGVGLVLSAISMAIGALIEVKRRDAFNNTGKRISLFWLSFQYGVFGIADMFTLVGLMDFFYSEAPSGLRSLSTSFSWLSLSFGLLPQHSPRASGELGHGQRDNHRPWMAIWDRP >DRNTG_20704.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21148386:21149179:-1 gene:DRNTG_20704 transcript:DRNTG_20704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHRWSIIASHLPGRTDNDVKNYWNTKLKKKVTTIAEDTEASQTPTPAIDHSIDQSKTFISELLNDLNEVSSKSSPGQESSNINIPDWFAYEKWRDEYIVVGVGVCKF >DRNTG_03512.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:15569312:15572475:-1 gene:DRNTG_03512 transcript:DRNTG_03512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPEAQFLLKDIKLGTHPKVTNTCLVNINTQPEGQFNGLSTILRDVQESFRSLERRVEELAEVMTKKQPIHEPEIESPSATNNENEESKDENEVEEIVDALEVGSVENVASISLEHVLGIEVNEHTKHKTEISGIVEDVDRKSKPFKNPPMPGSLTPCKNPPMPCLSNSQRKLFPWRPKQFSRLLEEDLTGGGIEVERMLKPSNDPPKPQVHNSRPKLFPWRPKGTMFKVFTSSSSRKTAPHTGPSGMPSKMTEKPSQAIRPGPGDSCRMNSDHTAPYGLMPNTKRLASKRPRTTGPSSTLDEPVSKLSHHRERYDRLKTKPFGTLCYLEWGLVESLGIASQTRGMTIVGGTVPHGPTHIRAIGLMVARGRPTRHRATGESSQRQTEHTELDPEDAPTPTPGATSLPDFDMRLRGFEGEVRAVRQEQREIHGQLYQIIEGQRRLDANLHRFITSYCGSSSHTVATSSTAMPPPPAPDCDE >DRNTG_10871.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19965862:19970837:1 gene:DRNTG_10871 transcript:DRNTG_10871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLRQNEQADVEEDYLLLDLDSVCVQGDIPANASYVLSGLDTQNPVLTIANHLKLIGEYQETMGTCYVFSEKDGVTQVMHSENHISETNFPKDTSITNSTQLPAKELKLITGLHRVLKFRLASEDESQSAKQKQC >DRNTG_10871.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19965862:19970837:1 gene:DRNTG_10871 transcript:DRNTG_10871.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLRQNEQADVEEDYLLLDLDSVCVQGDIPANASYVLSGLDTQNPVLTIANHLKLIGEYQETMGTCYVFSEKDGVTQVMHSENHISETNFPKDTSITNSTQLPAKELKLITGLHRVLKFRLASEDESQSAKQKQC >DRNTG_10871.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19965862:19970837:1 gene:DRNTG_10871 transcript:DRNTG_10871.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLRQNEQADVEEDYLLLDLDSVCVQGDIPANASYVLSGLDTQNPVLTIANHLKLIGEYQETMGTCYVFSEKDGVTQVMHSENHISETNFPKDTSITNSTQLPAKELKLITGLHRVLKFRLASEDESQSAKQKQC >DRNTG_10871.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19965862:19970837:1 gene:DRNTG_10871 transcript:DRNTG_10871.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLRQNEQADVEEDYLLLDLDSVCVQGDIPANASYVLSGLDTQNPVLTIANHLKLIGEYQETMGTCYVFSEKDGVTQVMHSENHISETNFPKDTSITNSTQLPAKELKLITGLHRVLKFRLASEDESQSAKQKQC >DRNTG_10871.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19965862:19970837:1 gene:DRNTG_10871 transcript:DRNTG_10871.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLRQNEQADVEEDYLLLDLDSVCVQGDIPANASYVLSGLDTQNPVLTIANHLKLIGEYQETMGTCYVFSEKDGVTQVMHSENHISETNFPKDTSITNSTQLPAKELKLITGLHRVLKFRLASEDESQSAKQKQC >DRNTG_10871.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19965862:19970837:1 gene:DRNTG_10871 transcript:DRNTG_10871.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLRQNEQADVEEDYLLLDLDSVCVQGDIPANASYVLSGLDTQNPVLTIANHLKLIGEYQETMGTCYVFSEKDGVTQVMHSENHISETNFPKDTSITNSTQLPAKELKLITGLHRVLKFRLASEDESQSAKQKQC >DRNTG_10871.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19965862:19970837:1 gene:DRNTG_10871 transcript:DRNTG_10871.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLRQNEQADVEEDYLLLDLDSVCVQGDIPANASYVLSGLDTQNPVLTIANHLKLIGEYQETMGTCYVFSEKDGVTQVMHSENHISETNFPKDTSITNSTQLPAKELKLITGLHRVLKFRLASEDESQSAKQKQC >DRNTG_10871.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19965862:19970837:1 gene:DRNTG_10871 transcript:DRNTG_10871.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLRQNEQADVEEDYLLLDLDSVCVQGDIPANASYVLSGLDTQNPVLTIANHLKLIGEYQETMGTCYVFSEKDGVTQVMHSENHISETNFPKDTSITNSTQLPAKELKLITGLHRVLKFRLASEDESQSAKQKQC >DRNTG_16705.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000839.1:8210:10386:-1 gene:DRNTG_16705 transcript:DRNTG_16705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLVRTLGRLQPRGQSQKVHRLGELEVKIQPVNEGSSTTDRFERWMATRGQPQLTLSCGHVVHAWRYQHAGSICKYENVNITDLPEHVDWRDEGAVTPIKDQGTYCRCYAFSVVAAVEEAFKLSTGRLVRFV >DRNTG_17447.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2051443:2055243:1 gene:DRNTG_17447 transcript:DRNTG_17447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEVKRIKDEASAMPNNCFLHINLHYKLGSEAAHHMKTTGELIRKGNFDSVSQKRPPPSTTDSLLFNEGYTIFHSRKSREKKILEALKDEAVYLIGLWGMGGGGKTTLTKEVAKQAKEQKLFDEVVMVTVSQNIDLKRIQTDIAEILGLDLKEDNVDVRAGKLADRLKGTEQKILVILDDLWEMLDLSKVRIPRPQMGSTCKVVITTRNKAVCNSMSCQEMVELKTLTDEESWSLFRSRAGDAVESPTIRELAWNVAKECAGLPLALVVLGTALKGKSPEIWEAVLMGLKTSKEVDLPGVSKHVFQSIQLSFNFLESEAAKSCFLHCCLYPEDWNIPIEELMPIMVGGGLLATGETLNEAQRKVYVLLDQLKACGLLLQGLSEGYVRMHDVVRDVAMQISAKDHMFYVRAGRGFVEWPRTTESQMRNCQRLSLMDNDIKDLPPDPMEYPKLEMLILRGNSRLSSIPEMFFLHMGSLMVLDLRNTSIVSLPKSLSCLTNLRVLNLRNCYRLNDISHINGLKMVEMFILEDSPVSIAPEGVAWVQNVRFVNLGCSMDISPSLVDYFSNELPRFHRLEQLFMSKFAGSFRELLKLRHLTHLFIAEAMDLDVPLSHELVSTSSLPDQLLKFGLSFVPDQPWYWSLPSDRRGLKLMGTKPLAVWVKRLLEKTIELVLAEFQGAELISIKSSIPWLKLSSLEYLHVVNWPNLTKLLGDQELLHEQIPLSQLKTMIIDDCPTLTSSVPSNIWQKLEHLSVKDCPMMLELFPRRHKAHDINLRLQNVLQPFQCLPNLKRLYINNCGVRYVLSFERETVTTADPFPTLEVLHIEKCQKMTEIISPCTSMQVLCFCLGLRDLEINSCPRLTHVFSYKQAKSMQHLINLSITDCVALKAVAISMENKEEASASTSTHAADHESYRLFPNLRQLEINSCPRLTHVFSYKQAKSMQHLIKLSITDCVALKAVAISMENKEEASASTSTHAADHESYSLFPNLRQLVLYNLPQLTGFHHPAAPPMEWSGLHSCKIHGCPKLQ >DRNTG_34110.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002087.1:83385:85250:1 gene:DRNTG_34110 transcript:DRNTG_34110.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC8 [Source:Projected from Arabidopsis thaliana (AT3G10380) UniProtKB/TrEMBL;Acc:A0A178VA38] MSSRNGGLFDGLPIPADKAYLREGLSRIDESWTAARFDSLPHVVHILTSKDREGEVQFLKEQSDIIEDVVDEVVHAYHSGFNKAIQNYSQILRLFSESAESISVLKVDMAESKKLLGSRNKQLRQLWYRSLTLRHILSFLDQIEGVAKV >DRNTG_34110.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002087.1:83728:90500:1 gene:DRNTG_34110 transcript:DRNTG_34110.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC8 [Source:Projected from Arabidopsis thaliana (AT3G10380) UniProtKB/TrEMBL;Acc:A0A178VA38] MSSRNGGLFDGLPIPADKAYLREGLSRIDESWTAARFDSLPHVVHILTSKDREGEVQFLKEQSDIIEDVVDEVVHAYHSGFNKAIQNYSQILRLFSESAESISVLKVDMAESKKLLGSRNKQLRQLWYRSLTLRHILSFLDQIEGVAKVPARIEKLIAEKQLYAAVQLHVQSSLLLEREGLQVVGALQDVRSELTKLRGVLFYRVLDDLHNHLYNKGEYSSASLSVPDSDELPTITAIGLTVSNPQPLSRRTRSIKVDNHLNGSTFGDGFHRPSSIDGGSSFDGHDEESGSEILDGISDGHFPSSRVHAGEGYKRDIKSISSRTPTWLSCATPDEFIETMKKSDASLNMKYLQTLVECLCMLGKVAAAGAIICQRLRPTIHDIITSKIKAHAAAQSSSRSCISQATGAPNSNFFPSKGLLQGYQAMKPKGISGSSCIVAQLSVSPVSSAMAPSGMTQSAAGELLSSILDSVIHILENHVVVGELLESKSSQQDVNITTTPKSINGDVSLTADSESSQATGGFSVVFSLTVIQSECQQLICEILRATPEAATADAAVQTARLANKGPVKEKRQVYSENNYPNLYLFMFSCDPFQSLLFLGCASMLSEILVQ >DRNTG_34110.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002087.1:83385:97788:1 gene:DRNTG_34110 transcript:DRNTG_34110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC8 [Source:Projected from Arabidopsis thaliana (AT3G10380) UniProtKB/TrEMBL;Acc:A0A178VA38] MSSRNGGLFDGLPIPADKAYLREGLSRIDESWTAARFDSLPHVVHILTSKDREGEVQFLKEQSDIIEDVVDEVVHAYHSGFNKAIQNYSQILRLFSESAESISVLKVDMAESKKLLGSRNKQLRQLWYRSLTLRHILSFLDQIEGVAKVPARIEKLIAEKQLYAAVQLHVQSSLLLEREGLQVVGALQDVRSELTKLRGVLFYRVLDDLHNHLYNKGEYSSASLSVPDSDELPTITAIGLTVSNPQPLSRRTRSIKVDNHLNGSTFGDGFHRPSSIDGGSSFDGHDEESGSEILDGISDGHFPSSRVHAGEGYKRDIKSISSRTPTWLSCATPDEFIETMKKSDASLNMKYLQTLVECLCMLGKVAAAGAIICQRLRPTIHDIITSKIKAHAAAQSSSRSCISQATGAPNSNFFPSKGLLQGYQAMKPKGISGSSCIVAQLSVSPVSSAMAPSGMTQSAAGELLSSILDSVIHILENHVVVGELLESKSSQQDVNITTTPKSINGDVSLTADSESSQATGGFSVVFSLTVIQSECQQLICEILRATPEAATADAAVQTARLANKGPVKEKRDGSEGLSFAFRFTDATASMPNQGVGQGWKRGSNVQQEGYGTSAVLPEQGIYLAASIYRPVIQFTDKITAMLPNKYSQLGNEGLLAFVQNFLKDHFLPAMFVDYRKCVQQSISSPAAFRPRVNATSVYNPSVEKGRPVLQGLLATDFLVKEVLCWAQLIPKYTSELVE >DRNTG_34110.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002087.1:83385:90500:1 gene:DRNTG_34110 transcript:DRNTG_34110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC8 [Source:Projected from Arabidopsis thaliana (AT3G10380) UniProtKB/TrEMBL;Acc:A0A178VA38] MSSRNGGLFDGLPIPADKAYLREGLSRIDESWTAARFDSLPHVVHILTSKDREGEVQFLKEQSDIIEDVVDEVVHAYHSGFNKAIQNYSQILRLFSESAESISVLKVDMAESKKLLGSRNKQLRQLWYRSLTLRHILSFLDQIEGVAKVPARIEKLIAEKQLYAAVQLHVQSSLLLEREGLQVVGALQDVRSELTKLRGVLFYRVLDDLHNHLYNKGEYSSASLSVPDSDELPTITAIGLTVSNPQPLSRRTRSIKVDNHLNGSTFGDGFHRPSSIDGGSSFDGHDEESGSEILDGISDGHFPSSRVHAGEGYKRDIKSISSRTPTWLSCATPDEFIETMKKSDASLNMKYLQTLVECLCMLGKVAAAGAIICQRLRPTIHDIITSKIKAHAAAQSSSRSCISQATGAPNSNFFPSKGLLQGYQAMKPKGISGSSCIVAQLSVSPVSSAMAPSGMTQSAAGELLSSILDSVIHILENHVVVGELLESKSSQQDVNITTTPKSINGDVSLTADSESSQATGGFSVVFSLTVIQSECQQLICEILRATPEAATADAAVQTARLANKGPVKEKRQVYSENNYPNLYLFMFSCDPFQSLLFLGCASMLSEILVQ >DRNTG_16490.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6122539:6123331:1 gene:DRNTG_16490 transcript:DRNTG_16490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFISAFGLEKKGICQHPTSRKTKIRINNRKTYTPLPDSSLPAAAPLPDSSLPAAAEYRQKAPEEGRFQM >DRNTG_16490.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6122440:6123591:1 gene:DRNTG_16490 transcript:DRNTG_16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNKPKNTNKEEKERDKNNQNYNEINKQN >DRNTG_16490.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6122539:6123331:1 gene:DRNTG_16490 transcript:DRNTG_16490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFISAFGLEKKGICQHPTSRKTKIRINNRKTYTPLPDSSLPAAAEYRQKAPEEGRFQM >DRNTG_15242.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23435005:23439812:1 gene:DRNTG_15242 transcript:DRNTG_15242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEESAIRQEQTRRATEEQIQAQRRQTEREKAEIERETIRVKALAEAEGRAHEAKLAEDVNRRMLIERANAEREKWISAINTTFEHVGGGLRAILTDQNKLVVAVGGLTALAAGIYTTREGAKVVWGYVDRILGQPSLIRESSRGKYPWSGFLSRATSSVLKKKTETNGLGKKGNGFGDVILNPSLQKRIQQLAGATANTKAHQAPFRNMLFYGPPGTGKTMAARELARKSGLDYALMTGGDVAPLGPQAVTKIHQLFDWAKKSRRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRIDEVLEFPLPGEEERFKLLKLYLDKYIARAGERKPGFLSFFRQQPQKIEIKGVTDDIIREAAAKTEGFSGREIAKLLASVQAAVYGSKDCELTPSLFREVVDYKVAEHQQRRKLADAEEGA >DRNTG_15242.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23435005:23439812:1 gene:DRNTG_15242 transcript:DRNTG_15242.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEESAIRQEQTRRATEEQIQAQRRQTEREKAEIERETIRVKALAEAEGRAHEAKLAEDVNRRMLIERANAEREKWISAINTTFEHVGGGLRAILTDQNKLVVAVGGLTALAAGIYTTREGAKVVWGYVDRILGQPSLIRESSRGKYPWSGFLSRATSSVLKKKTETNGLGKKGNGFGDVILNPSLQKRIQQLAGATANTKAHQAPFRNMLFYGPPGTGKTMAARELARKSGLDYALMTGGDVAPLGPQAVTKIHQLFDWAKKSRRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRIDEVLEFPLPGEEERFKLLKLYLDKYIARAGERKPGFLSFFRQQPQKIEIKGVTDDIIREAAAKTEGFSGREIAKLLASVQAAVYGSKDCELTPSLFREVVDYKVAEHQQRRKLADAEEGA >DRNTG_25050.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8977493:8982646:-1 gene:DRNTG_25050 transcript:DRNTG_25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific demethylase JMJ30 [Source:Projected from Arabidopsis thaliana (AT3G20810) UniProtKB/Swiss-Prot;Acc:Q8RWR1] MGGKADDTTPSPAPPEPVLVDEERRTSLLRRITEEGGFAFVSSAEKAAAGDLRAADAAREMAWEQLHSGPWNEVVPAWRDAYAMACLHVAALRDGAGDRSEAIRALDMGIIMGGPLLRPDLDAALQRIMAQPLPEVSERRDSPVRLVCEEDSKGLDLTEVLRILPNKSLSCKIVEKRSSLSLERFVSDYFLKGCPIIISGYIDGWPARTKWKDIEYLKRIAGDRTVPVEVGKNYLCSEWKQELITFSQFLERIVSADCPSKLTYLAQHPLFDQIKELRNDIMIPDYCFAGGGELQSLNAWFGPPGTVTPLHHDPHHNLFAQVVGQKYIRLYPSSVSEELHPYVESMLSNSSQVDLDNIDAKQFPKVEDIDFIDCILEEGELLYIPPKWWHYVRSLTTSFSVSFWWSASVPPHSVS >DRNTG_21729.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:696207:696969:1 gene:DRNTG_21729 transcript:DRNTG_21729.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHHKQTMLSFSTSQEIIYTTNHSFIDMNNNNNNNNNNNNNFTSATHGTTHKQTTSSSQPLKI >DRNTG_07528.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14438188:14438949:1 gene:DRNTG_07528 transcript:DRNTG_07528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNWKPSNIFARGAGHINPPKAMDPGLVYDRNFSDYIGYMCGLKYNPTLMQHVTGRRVNCATVKNITPSQLNYPSIMVTLSSKSSNETIMRTVTNVGKANEVYTPKIVHLANASLILSTNRLQFSAMDQQLSFNVTITIMPPALIKGTISEGKLEWISMSNNHVVRSPIAIVFD >DRNTG_14960.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1028815:1029696:1 gene:DRNTG_14960 transcript:DRNTG_14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYTPYRHSGFLTRENGDFQWSYGLWHPITNTDASHLSALSMPAPPPPPPPPPTSIITFIIYFFSHDLHYPRDNGEGRTHLYATLYTTERKEFNKMNIMPFITSKSSAEDALRNMFLNTRTWNDMVISETCSEMRESMFSELSESIFSFLIDRVFEHEVSEIVFEIDVEKERVLTVDDIPEEEYDFLEYLVDSIDHELDEIEDLVDSINYDLHEQDSEYSCPAPQVLLESMVTEVFHSTEEEGMGCVICLEELVSGTEVKRLPCFHCFHGQCIDRWFQEMDKCPMCRFTLPA >DRNTG_19142.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000984.1:29312:29939:-1 gene:DRNTG_19142 transcript:DRNTG_19142.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSRKTFIRDGEEISKLLTINKRQGKRGFKSGKRMSKEGKKRVEK >DRNTG_27935.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14032516:14039423:1 gene:DRNTG_27935 transcript:DRNTG_27935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKLIELVLINSKRAKRILANWQSAAHSKEKKIKYTSKLERKGYHWLDC >DRNTG_21017.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:76954:83192:-1 gene:DRNTG_21017 transcript:DRNTG_21017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLDWNKKHSSYWKFTSFGARRRICPGINFVITSIELALASLLYHFN >DRNTG_04772.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3711516:3716380:-1 gene:DRNTG_04772 transcript:DRNTG_04772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTLRHSSRGLSIRASSQVGAAGSDPLLDKLSSFKDSFWRFLRPHTIRGTALGSVALVTKALIENPALINWWLTFKALYGLIALICGNGYIVGINQIYDIGIDKVNKPYLPIAAGDLSVQSAWLLVLLFAAAGLLIVGWNFGPFISSLYCLGLFLGTIYSVPPFRLKRYPVAAFLIIATVRGFLLNFGVYHATRSALGLSFKWSSPVAFITIFVTVFALVIAITKDLPDVEGDRKFQISTLATKLGVRNIALLGSGLLLANYLGAVLAAVYMPQGFRRSLMIPAHAILALGLIFQAWILERANYTKEAISQFYRFIWNLFYAEYIIFPFI >DRNTG_17751.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4213829:4216975:-1 gene:DRNTG_17751 transcript:DRNTG_17751.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transport protein 7 [Source:Projected from Arabidopsis thaliana (AT4G02050) UniProtKB/Swiss-Prot;Acc:O04249] MAPAHLRGALNMMFQLATTLGIFTANMINYGTEKINPWGWRLSLGLAAVPAFLMTVGGFFLPETPNSLIERGLEEKGRRVLEKIRGTHDVDAEFQDMVEASELANSIKHPFRNIMERRNRPQLVMAIFMPTFQILTGINSILFYAPVLFQSMGFGNNASLYSSVMTGAVLASSTLVSIATVDRWGRRVLLIGGGIQMIICQVIVAIILGVKFGNDKQLSKEFSIIVVVIICLFVAAFGWSWGPLGWTVPSEIFPLETRSAGQSITVSVNLFFTFAIAQSFLSLLCSFKFGIFLFFAGWITIMTVFVIVFLPETKGVPIEEMILLWRKHWFWKKVMPPLQVDNEK >DRNTG_17751.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4215086:4220121:-1 gene:DRNTG_17751 transcript:DRNTG_17751.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transport protein 7 [Source:Projected from Arabidopsis thaliana (AT4G02050) UniProtKB/Swiss-Prot;Acc:O04249] MAGGGIGPVGVAKERAQQYKGRVTLFVVMACVTAAIGGSIFGYDIGISGGVTSMDPFLEKFFPVVYRKKNLGSKNNYCKYDNQGLSAFTSSLYLAGLVASLFASPVTRKYGRRVSIICGGISFLVGATLNAAAQNLAMLLLGRIMLGVGIGFGNQAVPLYLSEMAPAHLRGALNMMFQLATTLGIFTANMINYGTEKINPWGWRLSLGLAAVPAFLMTVGGFFLPETPNSLIERGLEEKGRRVLEKIRGTHDVDAEFQDMVEASELANSIKHPFRNIMERRNRPQLVMAIFMPTFQILTGINSILFYAPVLFQSMGFGNNASLYSSVMTGAVLASSTLVSIATVDRWGRRVLLIGGGIQMIICQVIVAIILGVKFGNDKQLSKEFSIIVVVIICLFVAAFGWSWGPLGWTVPSEIFPLETRSAGQSITVSVNLFFTFAIAQSFLSLLCSFKFGIFLFFAGWITIMTVFVIVFLPETKGVPIEEMILLWRKHWFWKKVMPPLQVDNEK >DRNTG_17751.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4215007:4220121:-1 gene:DRNTG_17751 transcript:DRNTG_17751.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transport protein 7 [Source:Projected from Arabidopsis thaliana (AT4G02050) UniProtKB/Swiss-Prot;Acc:O04249] MAGGGIGPVGVAKERAQQYKGRVTLFVVMACVTAAIGGSIFGYDIGISGGVTSMDPFLEKFFPVVYRKKNLGSKNNYCKYDNQGLSAFTSSLYLAGLVASLFASPVTRKYGRRVSIICGGISFLVGATLNAAAQNLAMLLLGRIMLGVGIGFGNQAVPLYLSEMAPAHLRGALNMMFQLATTLGIFTANMINYGTEKINPWGWRLSLGLAAVPAFLMTVGGFFLPETPNSLIERGLEEKGRRVLEKIRGTHDVDAEFQDMVEASELANSIKHPFRNIMERRNRPQLVMAIFMPTFQILTGINSILFYAPVLFQSMGFGNNASLYSSVMTGAVLASSTLVSIATVDRWGRRVLLIGGGIQMIICQVIVAIILGVKFGNDKQLSKEFSIIVVVIICLFVAAFGWSWGPLGWTVPSEIFPLETRSAGQSITVSVNLFFTFAIAQSFLSLLCSFKFGIFLFFAGWITIMTVFVIVFLPETKGVPIEEMILLWRKHWFWKKVMPPLQVDNEK >DRNTG_17751.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4215086:4220121:-1 gene:DRNTG_17751 transcript:DRNTG_17751.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transport protein 7 [Source:Projected from Arabidopsis thaliana (AT4G02050) UniProtKB/Swiss-Prot;Acc:O04249] MAPAHLRGALNMMFQLATTLGIFTANMINYGTEKINPWGWRLSLGLAAVPAFLMTVGGFFLPETPNSLIERGLEEKGRRVLEKIRGTHDVDAEFQDMVEASELANSIKHPFRNIMERRNRPQLVMAIFMPTFQILTGINSILFYAPVLFQSMGFGNNASLYSSVMTGAVLASSTLVSIATVDRWGRRVLLIGGGIQMIICQVIVAIILGVKFGNDKQLSKEFSIIVVVIICLFVAAFGWSWGPLGWTVPSEIFPLETRSAGQSITVSVNLFFTFAIAQSFLSLLCSFKFGIFLFFAGWITIMTVFVIVFLPETKGVPIEEMILLWRKHWFWKKVMPPLQVDNEK >DRNTG_20559.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3528314:3535562:-1 gene:DRNTG_20559 transcript:DRNTG_20559.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARHLLLLRRCSTTKLLLPFLSLHPHPIPKTLTPSSSSTVRRFFCSDDGLSDLHGRIVLLTEKPSSSEADADAIRSQLSDLTSRLLSLPDPADPSQILSSSPLLPSFAFLHLLSLLRSRPSLALAAFDWRRHHSDSLSPPIHPEEYAKAITIAGRNHNPELASELLTDARKRRVISTSVYNALMAAYMYNGLTKKAISVFDDLKLDPDCKPSVVTYNILLSVFGRSMMVTPMEAVLRTIEESELSPNISTYNTVIAGYITAWMWDKMESTYRLMEEGPVKPDNHTHLLMLRGYAHSGNLEKMEQVYELVREHVNDKDVQLIRAMICAYCKSNDPERVKKIEALSKFIPEEDYRPWLNVLLIRVYAQEGLMEAMERFIFEAFQRNTIVTTIGVMNSIISGYFQCNAVDRLAGFVRRAEYAGWRLCRSLFHCKMVMYGKEKRWEEMHGVLDEMELFRFDPTKKTYLIMYKAYSEIGRRWEAETVIGKMWKHGFVTPAEVVNSLQST >DRNTG_20559.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3533695:3535456:-1 gene:DRNTG_20559 transcript:DRNTG_20559.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARHLLLLRRCSTTKLLLPFLSLHPHPIPKTLTPSSSSTVRRFFCSDDGLSDLHGRIVLLTEKPSSSEADADAIRSQLSDLTSRLLSLPDPADPSQILSSSPLLPSFAFLHLLSLLRSRPSLALAAFDWRRHHSDSLSPPIHPEEYAKAITIAGRNHNPELASELLTDARKRRVISTSVYNALMAAYMYNGLTKKAISVFDDLKLDPDCKPSVVTYNILLSVFGRSMMVTPMEAVLRTIEESELSPNISTYNTVIAGYITAWMWDKMESTYRLMEEGPVKPDNHTHLLMLRGYAHSGNLEKMEQVYELVREHVNDKDVQLIRAMICAYCKSNDPERVKKIEALSKFIPEEDYRPWLNVLLIRVYAQEGLMEAMERFIFEAFQRNTIVTTIGVMNSIISGYFQCNAVDRLAGFVRRAEYAGWRLCRSLFHCKMVMYGKEKRWEEMHGVLDEMELFRFDPTKKTYLIMYKAYSEIGRRWEAETVIGKMWKHGFVTPAEVVNSLQST >DRNTG_20559.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3533642:3535456:-1 gene:DRNTG_20559 transcript:DRNTG_20559.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARHLLLLRRCSTTKLLLPFLSLHPHPIPKTLTPSSSSTVRRFFCSDDGLSDLHGRIVLLTEKPSSSEADADAIRSQLSDLTSRLLSLPDPADPSQILSSSPLLPSFAFLHLLSLLRSRPSLALAAFDWRRHHSDSLSPPIHPEEYAKAITIAGRNHNPELASELLTDARKRRVISTSVYNALMAAYMYNGLTKKAISVFDDLKLDPDCKPSVVTYNILLSVFGRSMMVTPMEAVLRTIEESELSPNISTYNTVIAGYITAWMWDKMESTYRLMEEGPVKPDNHTHLLMLRGYAHSGNLEKMEQVYELVREHVNDKDVQLIRAMICAYCKSNDPERVKKIEALSKFIPEEDYRPWLNVLLIRVYAQEGLMEAMERFIFEAFQRNTIVTTIGVMNSIISGYFQCNAVDRLAGFVRRAEYAGWRLCRSLFHCKMVMYGKEKRWEEMHGVLDEMELFRFDPTKKTYLIMYKAYSEIGRRWEAETVIGKMWKHGFVTPAEVVNSLQST >DRNTG_20559.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3527542:3535456:-1 gene:DRNTG_20559 transcript:DRNTG_20559.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARHLLLLRRCSTTKLLLPFLSLHPHPIPKTLTPSSSSTVRRFFCSDDGLSDLHGRIVLLTEKPSSSEADADAIRSQLSDLTSRLLSLPDPADPSQILSSSPLLPSFAFLHLLSLLRSRPSLALAAFDWRRHHSDSLSPPIHPEEYAKAITIAGRNHNPELASELLTDARKRRVISTSVYNALMAAYMYNGLTKKAISVFDDLKLDPDCKPSVVTYNILLSVFGRSMMVTPMEAVLRTIEESELSPNISTYNTVIAGYITAWMWDKMESTYRLMEEGPVKPDNHTHLLMLRGYAHSGNLEKMEQVYELVREHVNDKDVQLIRAMICAYCKSNDPERVKKIEALSKFIPEEDYRPWLNVLLIRVYAQEGLMEAMERFIFEAFQRNTIVTTIGVMNSIISGYFQCNAVDRLAGFVRRAEYAGWRLCRSLFHCKMVMYGKEKRWEEMHGVLDEMELFRFDPTKKTYLIMYKAYSEIGRRWEAETVIGKMWKHGFVTPAEVVNSLQST >DRNTG_20559.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3533695:3535562:-1 gene:DRNTG_20559 transcript:DRNTG_20559.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARHLLLLRRCSTTKLLLPFLSLHPHPIPKTLTPSSSSTVRRFFCSDDGLSDLHGRIVLLTEKPSSSEADADAIRSQLSDLTSRLLSLPDPADPSQILSSSPLLPSFAFLHLLSLLRSRPSLALAAFDWRRHHSDSLSPPIHPEEYAKAITIAGRNHNPELASELLTDARKRRVISTSVYNALMAAYMYNGLTKKAISVFDDLKLDPDCKPSVVTYNILLSVFGRSMMVTPMEAVLRTIEESELSPNISTYNTVIAGYITAWMWDKMESTYRLMEEGPVKPDNHTHLLMLRGYAHSGNLEKMEQVYELVREHVNDKDVQLIRAMICAYCKSNDPERVKKIEALSKFIPEEDYRPWLNVLLIRVYAQEGLMEAMERFIFEAFQRNTIVTTIGVMNSIISGYFQCNAVDRLAGFVRRAEYAGWRLCRSLFHCKMVMYGKEKRWEEMHGVLDEMELFRFDPTKKTYLIMYKAYSEIGRRWEAETVIGKMWKHGFVTPAEVVNSLQST >DRNTG_20559.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3528902:3535456:-1 gene:DRNTG_20559 transcript:DRNTG_20559.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARHLLLLRRCSTTKLLLPFLSLHPHPIPKTLTPSSSSTVRRFFCSDDGLSDLHGRIVLLTEKPSSSEADADAIRSQLSDLTSRLLSLPDPADPSQILSSSPLLPSFAFLHLLSLLRSRPSLALAAFDWRRHHSDSLSPPIHPEEYAKAITIAGRNHNPELASELLTDARKRRVISTSVYNALMAAYMYNGLTKKAISVFDDLKLDPDCKPSVVTYNILLSVFGRSMMVTPMEAVLRTIEESELSPNISTYNTVIAGYITAWMWDKMESTYRLMEEGPVKPDNHTHLLMLRGYAHSGNLEKMEQVYELVREHVNDKDVQLIRAMICAYCKSNDPERVKKIEALSKFIPEEDYRPWLNVLLIRVYAQEGLMEAMERFIFEAFQRNTIVTTIGVMNSIISGYFQCNAVDRLAGFVRRAEYAGWRLCRSLFHCKMVMYGKEKRWEEMHGVLDEMELFRFDPTKKTYLIMYKAYSEIGRRWEAETVIGKMWKHGFVTPAEVVNSLQST >DRNTG_20559.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3528314:3535456:-1 gene:DRNTG_20559 transcript:DRNTG_20559.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARHLLLLRRCSTTKLLLPFLSLHPHPIPKTLTPSSSSTVRRFFCSDDGLSDLHGRIVLLTEKPSSSEADADAIRSQLSDLTSRLLSLPDPADPSQILSSSPLLPSFAFLHLLSLLRSRPSLALAAFDWRRHHSDSLSPPIHPEEYAKAITIAGRNHNPELASELLTDARKRRVISTSVYNALMAAYMYNGLTKKAISVFDDLKLDPDCKPSVVTYNILLSVFGRSMMVTPMEAVLRTIEESELSPNISTYNTVIAGYITAWMWDKMESTYRLMEEGPVKPDNHTHLLMLRGYAHSGNLEKMEQVYELVREHVNDKDVQLIRAMICAYCKSNDPERVKKIEALSKFIPEEDYRPWLNVLLIRVYAQEGLMEAMERFIFEAFQRNTIVTTIGVMNSIISGYFQCNAVDRLAGFVRRAEYAGWRLCRSLFHCKMVMYGKEKRWEEMHGVLDEMELFRFDPTKKTYLIMYKAYSEIGRRWEAETVIGKMWKHGFVTPAEVVNSLQST >DRNTG_24329.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:320246:322654:1 gene:DRNTG_24329 transcript:DRNTG_24329.5 gene_biotype:protein_coding transcript_biotype:protein_coding MENLAMIANDLADGSDFEVDDVRCENLTENDVSDEEIDEEDLARRMWKDRVKLRRIKEQQKLAAQLAAEKPKPKQTSDQARRKKMARAQDGILKYMLKLMEVCNTRGFVYGIIPEKGKPVSGASDNLRAWWKEKVKFDKNGPAAVEKYDADNFVAANALGNGKGNNNSLMDLQDATLGSLLSSLMQHCDPPQRKYPLLNGTPPPWWPSGNEDWWINLGLPKGQAPMYRKPHDLKKVWKVGVLTGVIKHMSPNIDKIKYHIRKSKCLQDKMSAKESSIWLGVLSKEQMLAQQVSSDNGTSEVTETPATGKGERRDDNCSNDSEYDVDGFEDAQGSISSKDDRGKVHEGAQPSVEHAVSKVAQHSSRENVKQVQERGEVGKQRKRKRHRESQKHADVPTEATNDQQISEESRDAILDMNQDGYHEIQNVHQQTSAAMPSRPSEEGFANQSLVHEPGYHDTFRFSSANMPAQSMSVGVQPMLYSGIENDGVLSGNNFGFFPSPVDYELSHNKEQHHQLPLPGSQMIPEGAGFPSASHIFGYELTLNGRQSTIAEDIHPYIEEPFPSGTDKFVSSHFDPTIDEIPFDMSMIPSPPFLVEDLLQDDEELIEYLGA >DRNTG_24329.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:319657:322654:1 gene:DRNTG_24329 transcript:DRNTG_24329.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLAMIANDLADGSDFEVDDVRCENLTENDVSDEEIDEEDLARRMWKDRVKLRRIKEQQKLAAQLAAEKPKPKQTSDQARRKKMARAQDGILKYMLKLMEVCNTRGFVYGIIPEKGKPVSGASDNLRAWWKEKVKFDKNGPAAVEKYDADNFVAANALGNGKGNNNSLMDLQDATLGSLLSSLMQHCDPPQRKYPLLNGTPPPWWPSGNEDWWINLGLPKGQAPMYRKPHDLKKVWKVGVLTGVIKHMSPNIDKIKYHIRKSKCLQDKMSAKESSIWLGVLSKEQMLAQQVSSDNGTSEVTETPATGKGERRDDNCSNDSEYDVDGFEDAQGSISSKDDRGKVHEGAQPSVEHAVSKVAQHSSRENVKQVQERGEVGKQRKRKRHRESQKHADVPTEATNDQQISEESRDAILDMNQDGYHEIQNVHQQTSAAMPSRPSEEGFANQSLVHEPGYHDTFRFSSANMPAQSMSVGVQPMLYSGIENDGVLSGNNFGFFPSPVDYELSHNKEQHHQLPLPGSQMIPEGAGFPSASHIFGYELTLNGRQSTIAEDIHPYIEEPFPSGTDKFVSSHFDPTIDEIPFDMSMIPSPPFLVEDLLQDDEELIEYLGA >DRNTG_24329.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:319734:322654:1 gene:DRNTG_24329 transcript:DRNTG_24329.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENLAMIANDLADGSDFEVDDVRCENLTENDVSDEEIDEEDLARRMWKDRVKLRRIKEQQKLAAQLAAEKPKPKQTSDQARRKKMARAQDGILKYMLKLMEVCNTRGFVYGIIPEKGKPVSGASDNLRAWWKEKVKFDKNGPAAVEKYDADNFVAANALGNGKGNNNSLMDLQDATLGSLLSSLMQHCDPPQRKYPLLNGTPPPWWPSGNEDWWINLGLPKGQAPMYRKPHDLKKVWKVGVLTGVIKHMSPNIDKIKYHIRKSKCLQDKMSAKESSIWLGVLSKEQMLAQQVSSDNGTSEVTETPATGKGERRDDNCSNDSEYDVDGFEDAQGSISSKDDRGKVHEGAQPSVEHAVSKVAQHSSRENVKQVQERGEVGKQRKRKRHRESQKHADVPTEATNDQQISEESRDAILDMNQDGYHEIQNVHQQTSAAMPSRPSEEGFANQSLVHEPGYHDTFRFSSANMPAQSMSVGVQPMLYSGIENDGVLSGNNFGFFPSPVDYELSHNKEQHHQLPLPGSQMIPEGAGFPSASHIFGYELTLNGRQSTIAEDIHPYIEEPFPSGTDKFVSSHFDPTIDEIPFDMSMIPSPPFLVEDLLQDDEELIEYLGA >DRNTG_24329.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:319734:322559:1 gene:DRNTG_24329 transcript:DRNTG_24329.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENLAMIANDLADGSDFEVDDVRCENLTENDVSDEEIDEEDLARRMWKDRVKLRRIKEQQKLAAQLAAEKPKPKQTSDQARRKKMARAQDGILKYMLKLMEVCNTRGFVYGIIPEKGKPVSGASDNLRAWWKEKVKFDKNGPAAVEKYDADNFVAANALGNGKGNNNSLMDLQDATLGSLLSSLMQHCDPPQRKYPLLNGTPPPWWPSGNEDWWINLGLPKGQAPMYRKPHDLKKVWKVGVLTGVIKHMSPNIDKIKYHIRKSKCLQDKMSAKESSIWLGVLSKEQMLAQQVSSDNGTSEVTETPATGKGERRDDNCSNDSEYDVDGFEDAQGSISSKDDRGKVHEGAQPSVEHAVSKVAQHSSRENVKQVQERGEVGKQRKRKRHRESQKHADVPTEATNDQQISEESRDAILDMNQDGYHEIQNVHQQTSAAMPSRPSEEGFANQSLVHEPGYHDTFRFSSANMPAQSMSVGVQPMLYSGIENDGVLSGNNFGFFPSPVDYELSHNKEQHHQLPLPGSQMIPEGAGFPSASHIFGYELTLNGRQSTIAEDIHPYIEEPFPSGTDKFVSSHFDPTIDEIPFDMSMIPSPPFLVEDLLQDDEELIEYLGA >DRNTG_24329.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:319734:322786:1 gene:DRNTG_24329 transcript:DRNTG_24329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLAMIANDLADGSDFEVDDVRCENLTENDVSDEEIDEEDLARRMWKDRVKLRRIKEQQKLAAQLAAEKPKPKQTSDQARRKKMARAQDGILKYMLKLMEVCNTRGFVYGIIPEKGKPVSGASDNLRAWWKEKVKFDKNGPAAVEKYDADNFVAANALGNGKGNNNSLMDLQDATLGSLLSSLMQHCDPPQRKYPLLNGTPPPWWPSGNEDWWINLGLPKGQAPMYRKPHDLKKVWKVGVLTGVIKHMSPNIDKIKYHIRKSKCLQDKMSAKESSIWLGVLSKEQMLAQQVSSDNGTSEVTETPATGKGERRDDNCSNDSEYDVDGFEDAQGSISSKDDRGKVHEGAQPSVEHAVSKVAQHSSRENVKQVQERGEVGKQRKRKRHRESQKHADVPTEATNDQQISEESRDAILDMNQDGYHEIQNVHQQTSAAMPSRPSEEGFANQSLVHEPGYHDTFRFSSANMPAQSMSVGVQPMLYSGIENDGVLSGNNFGFFPSPVDYELSHNKEQHHQLPLPGSQMIPEGAGFPSASHIFGYELTLNGRQSTIAEDIHPYIEEPFPSGTDKFVSSHFDPTIDEIPFDMSMIPSPPFLVEDLLQDDEELIEYLGA >DRNTG_11378.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5940173:5946641:-1 gene:DRNTG_11378 transcript:DRNTG_11378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLGATTSRERTCRRNRKEKRLTNNQSPEAMDNPEEARAGDNAPANEQLQVMTRGNPSILIEEDPELELEATLRYHTASLHNLENQVEQIAKSLFERPHGSLSNNIETNPREHVKAITLRSGHTTDEIVDEYMQEMFNPEPYNGLFSQDEDYEEVMILGSTEEVPSTAGILKKVLRKMKSARRRHWKHSKSVGDRCETKKLDESLLEPTDFSKADPMNDQANIARPSVIYARVCEFLQSLTDYPESTQGCELTPVGDLVKRT >DRNTG_04252.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000202.1:38288:40584:-1 gene:DRNTG_04252 transcript:DRNTG_04252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDNSTSTSRRRERKTVANDLTMDQISTNFQDLEHWMRLQPVTLTHAEMQGKRRSLIDVLLSINGLTVDEAMFMLQVLAKDEDQLTVFWDLPVDKKLCFYQVFLSRMSYCPPNTSIILFFLTL >DRNTG_31930.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2812943:2824567:1 gene:DRNTG_31930 transcript:DRNTG_31930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D delta [Source:Projected from Arabidopsis thaliana (AT4G35790) UniProtKB/Swiss-Prot;Acc:Q9C5Y0] MGEKNKNSRSYCIIVQVVGNLFTHHQKCVLVDTQASRNKRKITAFIGGLDLCDGRYDTPQHRIFCDLDTVFANDFHNPTFASATKGPRQPWHDLHSKIEGPAAYDVLKNFEQRWRKATKWKEFGKRFRKVSRWSDDALIKLERISWILSPSPTVPNDSQSLWVSKEEDSSTWHVQVLRSIDSGSVTGFPKNVQEAEEKDLVCGKNIVIDKSIHTAYVKAIRSAQNFIYIENQYFLGSSFAWPSYKNAGASNLVPIELALKIVSKIRARERFAVYIVIPMWPEGVPTDSSVQEILFWQGQTMQMMYDIVGQELKSMNIENAHPEDYLNFYCLGNREECPKDIMEPTDQASEKSPVALAQKFRRFMIYVHSKGNDS >DRNTG_31930.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2810722:2823224:1 gene:DRNTG_31930 transcript:DRNTG_31930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D delta [Source:Projected from Arabidopsis thaliana (AT4G35790) UniProtKB/Swiss-Prot;Acc:Q9C5Y0] MDSSHGPSSESMPDQALDAAGGGSSDDPVLLHGDLELWIIEARSLPNMDVFSERFRRCFAPCRSLLSTATRSIFDPQKQQQQQHHHHKIITSDPYVKVSIAGATVARTRVISNAQDPRWDEHFTIPLAHFASFIEFQAKDNDTFGAQVIGAVRVAAARVASGEVIEDWFSVIDSTGKPPKPDSALHLKMQFFPVESNLLYCNGVAGDPEKKGIRGTYFPLRKGCSVKLYQDAHFQDGELPPIELENGAVYEQGKCWEDICNAILEAHHMIYIVGWSVFTEVRLVREPPRDPSLPESYTLGDLLKYKSQEGVRVCLLVWDDKTSHDKLCFKTAGLMQTHDEETKKFFKHSSVICVLSPRYGSRKLSLFKQQVVGNLFTHHQKCVLVDTQASRNKRKITAFIGGLDLCDGRYDTPQHRIFCDLDTVFANDFHNPTFASATKGPRQPWHDLHSKIEGPAAYDVLKNFEQRWRKATKWKEFGKRFRKVSRWSDDALIKLERISWILSPSPTVPNDSQSLWVSKEEDSSTWHVQVLRSIDSGSVTGFPKNVQEAEEKDLVCGKNIVIDKSIHTAYVKAIRSAQNFIYIENQYFLGSSFAWPSYKNAGASNLVPIELALKIVSKIRARERFAVYIVIPMWPEGVPTDSSVQEILFWQGQTMQMMYDIVGQELKSMNIENAHPEDYLNFYCLGNREECPKDIMEPTDQASEKSPVALAQKFRRFMIYVHSKGNDS >DRNTG_05930.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5304188:5308187:1 gene:DRNTG_05930 transcript:DRNTG_05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDLELESRRFLVSRRIFKQRSKERDDRGWTLLHIGARKGDLKEVKRLLDEGMDVNVPALGPKSQGVTPLHLAAEGGHLKVMDELLERGADIDARTKGACGWTPLHSAAKTRNKKAVKFLVENGAFLPPDMNDNRFNPPLHYCPGLEWAYEIKRQQDESLSGGDSSTVSSEN >DRNTG_32824.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27711322:27715103:-1 gene:DRNTG_32824 transcript:DRNTG_32824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGILSSIINLTEKLATPLRRYLTASSSSLQPPSIVEADLVELKRTLTKIEAVLGDAEKREVKDESVKLWLKELRDVAFAAEDLLDEFEYELLRIGVEAKAHKRNTHKRKQDDVTSPLVLILPSPLDEPSFRDRVATTVKEINARLDEIAKEKEALHLREEDASHRVEYKMRPMTSSYVDQSEVYGRDEACSLLVEHLLKNDGDEKTSVSVIPIVGMPGVGKTTLTQLIYNHSSVCENFELRAWVYVSQDFHVARLVKVLIESITKSLCSVDELDELQDCLKSLLQEKRFLIVLDDVWNEEQILWERLSSLLCSGVGGCRVIVTTRNESVAKIVQANMPVCRLNCLSDEDCWKIFRQQAFGGGEVDLDAFPAGLVETGKMIADKCKGLPLAAKVLGGLLRCETDQEVWTDVLESDLWDIDEAGDEILPAVKLSYQHLPVHLKRCFVYCSVFPKNFMFKRSQLILLWMVQGLINHQTEQHEEPEDAGFDYFEDLISRSFFQKAEIEIGEEHMFMMHDLVHDLARNLSSGECHTTEFTNLSSVSAIVRHASVSSYSSQNIIQFQSAEKPTALRSLLVVHRLINQWGGVGIWLDKDFLHVKIQNDVFACLKCLRALDLSCTDIKALPDSIVGLKLLRYLSLRNTKIQKLPESVCKLYNLQTLDLDYCRRLKELPKGIGNLLNLRHVDLPTMDSSFICIPSGIASLTGLQELAAFNVGTDSSHCSIGELKHLINLSGDLYISGLRNVARGWDAKEANMESKKNIQRLTLDWYVHQSNYKCSHNLNISASKSKSDNGIALPWTQVEEEEAVLKNLRPNKDLVVLDIRQYGGTNFPHWLGDPSFAKLVTVRLFQCNQCKVLPSLGDLQSLKNLMIEGMESLQSIGPEFSGTKSFPALETLKFTWIPELEEWSEAVFPQLTELDIMTCHKLKKVPKCLAPALKKLDISECEKVTELPASESLASLSIAGEFQVEIWTYIASLTQLNSLEISFCNSLTCLPLHNMPSLSSLKIESCSELVSIDCHSCSRTVSAADVASSSSSSSALVKDDTGLHNLVSLEKLKLEECPKLRFSEDEQLPSTLKSIEISGCKSLMDWCLGDKGQSQLPLVPEVFIADFDAEELQEMIKEEMGSEEDMDEEEVDAEDMDED >DRNTG_32824.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27711198:27713749:-1 gene:DRNTG_32824 transcript:DRNTG_32824.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRSQLILLWMVQGLINHQTEQHEEPEDAGFDYFEDLISRSFFQKAEIEIGEEHMFMMHDLVHDLARNLSSGECHTTEFTNLSSVSAIVRHASVSSYSSQNIIQFQSAEKPTALRSLLVVHRLINQWGGVGIWLDKDFLHVKIQNDVFACLKCLRALDLSCTDIKALPDSIVGLKLLRYLSLRNTKIQKLPESVCKLYNLQTLDLDYCRRLKELPKGIGNLLNLRHVDLPTMDSSFICIPSGIASLTGLQELAAFNVGTDSSHCSIGELKHLINLSGDLYISGLRNVARGWDAKEANMESKKNIQRLTLDWYVHQSNYKCSHNLNISASKSKSDNGIALPWTQVEEEEAVLKNLRPNKDLVVLDIRQYGGTNFPHWLGDPSFAKLVTVRLFQCNQCKVLPSLGDLQSLKNLMIEGMESLQSIGPEFSGTKSFPALETLKFTWIPELEEWSEAVFPQLTELDIMTCHKLKKVPKCLAPALKKLDISECEKVTELPASESLASLSIAGEFQVEIWTYIASLTQLNSLEISFCNSLTCLPLHNMPSLSSLKIESCSELVSIDCHSCSRTVSAADVASSSSSSSALVKDDTGLHNLVSLEKLKLEECPKLRFSEDEQLPSTLKSIEISGCKSLMDWCLGDKGQSQLPLVPEVFIADFDAEELQEMIKEEMGSEEDMDEEEVDAEDMDED >DRNTG_07050.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3784429:3787470:1 gene:DRNTG_07050 transcript:DRNTG_07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQRVFGPPPRCFSGNIFDIASLVSTTTSSDMEINDHNIVGRLLPHYLLWSKQYGKRFIFWYGNEPRLCLTETDLIKEFLSSKNSPATGKSWLQRQGTKDFIGKGILMANGQDWFHQRHIVAPHFMGDKLKGFFEYMVECSKETMKELHGVIGREEKEVEISEYMTKLTADIIARTGFFPSKYRKEIEELKKKVEKGMREIMEMKKTDQNEKPIESTTSSSSSSSSSSSSPRKQQGLLQVLLSYDSENKQINNKNSFTYTQQLIMDECKTLFFAGHDTSSLLLTWTIMLLATNPSWQERARNEVLQVCDQSPLSIDHLSKFTLLQLIINESLRLYPPATLLPRMVFEDMELGGNNKLHIPKGLSVWIPVLAIHHSKELWGEDADEFKPERFAGKSFAQTRNFLPFASGPRNCVGQAFAIMEAKIILAMLLSNFSFRISENYRHAPVNVLTLKPKYGVPVYLKPL >DRNTG_13938.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19575776:19578944:-1 gene:DRNTG_13938 transcript:DRNTG_13938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSARLLLASLLFSSFCFCFCLASSQASAPKVSLQLYYETLCPYCSRFMVNHLSKIFEDGLISIVNLDLIPYGNARVNSNDSITCQHGPYECLLNTIEACVISVWPDVSEHFKFIYCVERLVIDHKYESWETCFEETGFDFNGCSEVL >DRNTG_05942.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15269872:15273463:1 gene:DRNTG_05942 transcript:DRNTG_05942.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTKGVHNFLEFAFNHTKLENPIPCPCVKCNNALLKTRDEVEEHLMVDGIVKSYTRWLYHGEVIIEGETNHKLQVNDFDDMFSMIYDPAGRKIMDTIMQDASNQEHDDSNIFEHGECESQPSKLPNLRKEASKFFKRLEDAQQKLYPNCENFTKLSFIVKLFQIKCL >DRNTG_05942.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15272795:15273463:1 gene:DRNTG_05942 transcript:DRNTG_05942.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTKGVHNFLEFAFNHTKLENPIPCPCVKCNNALLKTRDEVEEHLMVDGIVKSYTRWLYHGEVIIEGETNHKLQVNDFDDMFSMIYDPAGRKIMDTIMQDASNQEHDDSNIFEHGECESQPSKLPNLRKEASKFFKRLEDAQQKLYPNCENFTKLSFIVKLFQIKCL >DRNTG_12184.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10804904:10805371:-1 gene:DRNTG_12184 transcript:DRNTG_12184.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGKNAMASVKEKAENAAASAKAGMEKTKATVQENVAKMTTRNPVEKEAAEERKEERKAVAEEEKRAQQERNRAEKEQTRTGAVSGYPSGMSGIAIGHHQPTAGHTAGTTTTTGTTGTTGARYT >DRNTG_23105.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9766101:9769632:1 gene:DRNTG_23105 transcript:DRNTG_23105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHSVHSEDLSPALQFDGRNQNNFVQLDSSVTGQLYRPIDLVHNRACEYPDNSGRIRAMHLQTAEGNDYRGLCYGQDNGLSLTLGSCALSDMVNAYQYKQKGMQGNMICSSYITARDDLTDSCCLSARHMRDEYMSCADETANGDYSSNQSSNLPNSIVYYAASIQSSRYLKPMQQLLDEAVCVSNGVELESDKQSRKIFLARMSLGNAARIREWVNVHDDHLSSHEKHDVQIRINKLVHLLDELESRYEQYFHRMDNVISSFEMIAGRGAATSYIALTIQAMSRHFINLRDAIIAQIHASRHQFLAEGSLRNQPTLSQNEVMDQNTRQAKDSLHRLGMIQVRQVWRPLRGLPENSVALLRAWLFEHFLHPYPNEHEKLMLASKTGLTKNQISNWFINARVRLWKPMIEEMYREEIAEDSRDSISS >DRNTG_23105.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9766101:9769632:1 gene:DRNTG_23105 transcript:DRNTG_23105.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHSVHSEDLSPALQFDGRNQNNFVQLDSSVTGQLYRPIDLVHNRACEYPDNSGRIRAMHLQTAEGNDYRGLCYGQDNGLSLTLGSCALSDMVNAYQYKQKGMQGNMICSSYITARDDLTDSCCLSARHMRDEYMSCADETANGDYSSNQSSNLPNSIVYYAASIQSSRYLKPMQQLLDEAVCVSNGVELESDKQSRKIFLARMSLGNAARIREWVNVHDDHLSSHEKHDVQIRINKLVHLLDELESRYEQYFHRMDNVISSFEMIAGRGAATSYIALTIQAMSRHFINLRDAIIAQIHASRHQFLAEGSLRNQPTLSQNEVMDQNTRQAKDSLHRLGMIQVRQVWRPLRGLPENSVALLRAWLFEHFLHPYPNEHEKLMLASKTGLTKNQISNWFINARVRLWKPMIEEMYREEIAEDSRDSISS >DRNTG_01240.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15619614:15627771:-1 gene:DRNTG_01240 transcript:DRNTG_01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNLVNGRCYLTPVVETLAKLKDNMTPRHWEIIRRTPFAAFTELESIFQERVLLDSLLQRYDGRTNKFRIGESLLSFRPQDVALVLGLRCNGDAVVFQKKKTHSAFEGRYLSKTYERHRDSIKSTLVQLIRQRGEEENFVKLLMV >DRNTG_07971.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2240643:2241594:-1 gene:DRNTG_07971 transcript:DRNTG_07971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLHLSSISTVRPSAHLRSPPSISTPSSSLKLSSTFRPPPLKLALRRSRRSRRGGAVIADTAAASYANALSDVACRNNTLDTTMADVEKLGRIFSDPEVLSFFVNPIIANEEKEKVACEIADSSCLQRHTANFISILIDMNRIDIIQDIVREFENCYNRITGTEVAVVSSVVQLDSQHLAQIAQVVQKLTKAKNIRLKTVIDPSLVAGFTIRFGNSRSKLIDLSVKKHLDEIASQLDFSSISFA >DRNTG_04677.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30382083:30387096:1 gene:DRNTG_04677 transcript:DRNTG_04677.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase [Source:Projected from Arabidopsis thaliana (AT3G55260) UniProtKB/TrEMBL;Acc:A0A178VFV1] MPSKSRIVLLLLLLGIVFVLAPLSDAGKAREARRRVSKSSLDLDLNDTLVYLWPLPKNFSQGDQTLTVDPDLTLRLRGARGNSLIVSEAFERYKDLVFRSQVVLRGVSFDVSTLTVVVESDDDELQFGVDESYAIYVAGGDSTSIIGQAIIEANTIYGALRGLETFSQLCIFNYESKRVEIYKAPWYIKDEPRFAFRGLLLDTSRHFLPISTIKQVIDSMSYAKLNVLHWHIIDEEAFPLEVPSYPDLWKGSYSKWERYTVEDAKDIVNFAKKRGIHVMAEIDVPGHAESWGNGYPDLFPSSNCTEPLDVTKNFTFEVISGILSDMRKIFPFGFFSPGRR >DRNTG_04677.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30382083:30387096:1 gene:DRNTG_04677 transcript:DRNTG_04677.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase [Source:Projected from Arabidopsis thaliana (AT3G55260) UniProtKB/TrEMBL;Acc:A0A178VFV1] MPSKSRIVLLLLLLGIVFVLAPLSDAGKAREARRRVSKSSLDLDLNDTLVYLWPLPKNFSQGDQTLTVDPDLTLRLRGARGNSLIVSEAFERYKDLVFRSQVVLRGVSFDVSTLTVVVESDDDELQFGVDESYAIYVAGGDSTSIIGQAIIEANTIYGALRGLETFSQLCIFNYESKRVEIYKAPWYIKDEPRFAFRGLLLDTSRHFLPISTIKQVIDSMSYAKLNVLHWHIIDEEAFPLEVPSYPDLWKGSYSKWERYTVEDAKDIVNFAKKRGIHVMAEIDVPGHAESWGNGYPDLFPSSNCTEPLDVTKNFTFEVISGILSDMRKIFPFGFFSPGRR >DRNTG_04677.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30382083:30387096:1 gene:DRNTG_04677 transcript:DRNTG_04677.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase [Source:Projected from Arabidopsis thaliana (AT3G55260) UniProtKB/TrEMBL;Acc:A0A178VFV1] MPSKSRIVLLLLLLGIVFVLAPLSDAGKAREARRRVSKSSLDLDLNDTLVYLWPLPKNFSQGDQTLTVDPDLTLRLRGARGNSLIVSEAFERYKDLVFRSQVVLRGVSFDVSTLTVVVESDDDELQFGVDESYAIYVAGGDSTSIIGQAIIEANTIYGALRGLETFSQLCIFNYESKRVEIYKAPWYIKDEPRFAFRGLLLDTSRHFLPISTIKQVIDSMSYAKL >DRNTG_19075.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16579944:16606332:1 gene:DRNTG_19075 transcript:DRNTG_19075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPPKLSPIGWPKSREKAARKALISSFYNSGIGVLMPLNNPYARNNARKVLSGLLQYTVVAPVSPPVPYIGCSFRREPGIEPHVLRKGLGFDALGLQVWGLVEAAGANSAVDFIVVMDFVEGCSVTAGALNSVFALLIAIIWGIGNGVIITHLNALLRLLSKHEMDRYLELVEQASQTQEGHDELPIVDETTLREMIEDPAEDEFETTSLEESVEDDNEHIAAVQPTDEWTQFRQELAVDIMDLEPQQRGRGQNKRYWTTEEDRALIEALVELSTSPMWRAENGFRGGYLLQLEKIIKEKIPETVLKAIPNIESRVKLLRSKTNAIADILQLSGFDWNYQNSTIHIKHFVDAIMQGHKEAAGLYGKSFPFFNDLAPVFTKDRAIGNSRGDIGDDAAQYENEDNISMDYIAGFSQVPIEDFPMPTQEPTETPSPMASDNSTSRTPRQRKRKHAVQDQTMDRISTNFQNFVDLVSLEFRALAEAAARNAESAARSAESAARKAESAARNIEIAARNVEISAHKIDITSRNDAARVEIEDKKKRLGHRLAPSLLQTKPFFGAPLPILHPPSLRRLPSLISDLAWPPHPTPLSALHFHPFIYRVFYLITNLSDFQLATIAIFIVYENVFFLSGLPSIVFERLGLFSRYKIQECSPTSNFNLVYFRGFCILLVAQGTPHQIVTQACALYLP >DRNTG_03684.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000170.1:67063:77624:-1 gene:DRNTG_03684 transcript:DRNTG_03684.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNTVFLWKIVIVVVFVSIPSAMCALGLTRDPLKKTQTLHKTIDTNTKACKTLREISQRDREATGACGCPSRLAHERGEFYTLVWMRSEVKCVIRRPHSLVAMRLSRPVDSYEDVARSTRKTANKRPREPSLEPKALSGYGQYELGASKATCLSRPSYRYINQVLSRSSEPLHLGHIVEDYLRHQGQYHRDKPGVYVMIMSPAEIVEAEGDAVEGCQQVPEPQPEQIETEAPSTAQEPPPVHTFTPTQAHDQFERPESAVGVLQADLAKGRCT >DRNTG_30759.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28687541:28693246:1 gene:DRNTG_30759 transcript:DRNTG_30759.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLGSPNGCSAIHLNLVARHGTRSPTKKRIKELDQLAVRLDDLLREAKLEADKGKLSLQKIPAWLSGWQSPWKGRKKGGELVIKGEEELHDIGIRTREMFPELFSDEYHPDIYPIRATQVPRASASAVAFGIALFSGKGSLGPGMHRAFSVISESRASDIWLRFHDTCETYKEFRKSQEPAVEKLKEPILDEIAVALVKRFQLNFTRQDIATLWFLCKQCRKHLCWTLLIKLVASSPPRRFLYLSGLMTWKYFY >DRNTG_30759.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28687541:28693246:1 gene:DRNTG_30759 transcript:DRNTG_30759.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLGSPNGCSAIHLNLVARHGTRSPTKKRIKELDQLAVRLDDLLREAKLEADKGKLSLQKIPAWLSGWQSPWKGRKKGGELVIKGEEELHDIGIRTREMFPELFSDEYHPDIYPIRATQVPRASASAVAFGIALFSGKGSLGPGMHRAFSVISESRASDIWLRFHDTCETYKEFRKSQEPAVEKLKEPILDEIAVALVKRFQLNFTRQDIATLWFLCKQEASLLDIIDQACGLFTASEV >DRNTG_30759.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28687541:28693246:1 gene:DRNTG_30759 transcript:DRNTG_30759.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLGSPNGCSAIHLNLVARHGTRSPTKKRIKELDQLAVRLDDLLREAKLEADKGKLSLQKIPAWLSGWQSPWKGRKKGGELVIKGEEELHDIGIRTREMFPELFSDEYHPDIYPIRATQVPRASASAVAFGIALFSGKGSLGPGMHRAFSVISESRASDIWLRFHDTCETYKEFRKSQEPAVEKLKEPILDEIAVALVKRFQLNFTRQDIATLWFLCKQEASLLDIIDQACGLFTASEVSLLEWTDDLEVFLLKGYGKSINYHMGVPLLDDVTQSMEQAILAKEEKHKPGTYEKARLRFAHAETVIPFTCLLGLFLEGSEFEKMQRDEPLPLPPKPPQDRNWKGSIIAPFSGNNMLVLYHCPSNDSSVTVSSGGYKSKYFVRVLHNESPVTMSGCGNTDFCPFELFKEKIVNPHLKHDFSSICSAKLESPKPQSFVRKLLNFFGNPFSLQSESNPSTLTDKVEL >DRNTG_30759.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28687541:28689069:1 gene:DRNTG_30759 transcript:DRNTG_30759.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLGSPNGCSAIHLNLVARHGTRSPTKKRIKELDQLAVRLDDLLREAKLEADKGKLSLQKIPAWLSGWQSPWKGRKKGGELVIKGEEELHDIGIRTREMFPELFSDEYHPDIYPIRATQ >DRNTG_08806.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28125300:28125960:1 gene:DRNTG_08806 transcript:DRNTG_08806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPSPSEKEETFADIDDSEVKVYLNNKTRTRLKTLIWESMNSDYLEEQATKKAVVPSRRSRAEEKLHKDRKRKPVKDRHAAGEKTKKPRSSAVNYDALKSLIPDFDRGDEEQQYQDRSEWNNAGAAGANGDDRSSKSDEMNAFFNGGYAGDEYEQDYRFGDEDDYF >DRNTG_00117.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10991453:10992499:1 gene:DRNTG_00117 transcript:DRNTG_00117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPLRTQTFVRASPNNSQSSSSFSFLAIFSSGRFLEEVSPSEW >DRNTG_25759.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21393180:21398343:-1 gene:DRNTG_25759 transcript:DRNTG_25759.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase ATG1a [Source:Projected from Arabidopsis thaliana (AT3G61960) UniProtKB/Swiss-Prot;Acc:Q94C95] MPQGLADTLCGSPLYMAPEIIQNKKYDAKADLWSVGAILYQLVTGKPPFDGASQFQLFQNILASSELKFPQEVLADLHPDIVDLCKRLLRQEPVERLTFEEFFNHKFLAVERPSECVESVQGTANDKVGMTPDCAPSSSSNARSVQAESMDHKLFGLVTSKVTDSLEGIEQEYVLVHRHLASLETLASSIEETSWKDSSGAKLPSKGTSVQLQKDETYITSLCQSESGQDNLPSHSSVLQQSFAAEKPQGAPSLHPSTKLQFLYHYIRAITDVVQEKLTEGLHLESFSIELVALAVWREALRMCTCWMSSAKGKALDTCLLSIAPQTNDSSFPYTVQEVDFWNPSSVCSWVEHGFINAYELAEKIADNFQNIDGLNIFFSFLFFFEIVFL >DRNTG_25759.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21393180:21400356:-1 gene:DRNTG_25759 transcript:DRNTG_25759.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase ATG1a [Source:Projected from Arabidopsis thaliana (AT3G61960) UniProtKB/Swiss-Prot;Acc:Q94C95] MEELGGRLIGDYMLGPKIGSGSFAVVWHGRHRHSGMEVAVKEIDKKQLSPKVHDSLLKEIDILRHVSHPNIVRFHHAVQTDERIFLVLEYCDGGDLAAYIQRHGRVSEALARHFMRQLASGLQVLRENNLIHRDLKPQNLLLSTNGDAPVLKIGDFGFARYLMPQGLADTLCGSPLYMAPEIIQNKKYDAKADLWSVGAILYQLVTGKPPFDGASQFQLFQNILASSELKFPQEVLADLHPDIVDLCKRLLRQEPVERLTFEEFFNHKFLAVERPSECVESVQGTANDKVGMTPDCAPSSSSNARSVQAESMDHKLFGLVTSKVTDSLEGIEQEYVLVHRHLASLETLASSIEETSWKDSSGAKLPSKGTSVQLQKDETYITSLCQSESGQDNLPSHSSVLQQSFAAEKPQGAPSLHPSTKLQFLYHYIRAITDVVQEKLTEGLHLESFSIELVALAVWREALRMCTCWMSSAKGKALDTCLLSIAPQTNDSSFPYTVQEVDFWNPSSVCSWVEHGFINAYELAEKIADNFQNIDGDIMMPDAMEILFQAALCAGKSGAADELMGNKSRAMSSYSRATTLFTFILLEAMSLPLNPPFVLSSSDKQRIQRYIMNLRAHMNRSLMGEPVTDQTKDSTSK >DRNTG_25759.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21393180:21398343:-1 gene:DRNTG_25759 transcript:DRNTG_25759.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase ATG1a [Source:Projected from Arabidopsis thaliana (AT3G61960) UniProtKB/Swiss-Prot;Acc:Q94C95] MPQGLADTLCGSPLYMAPEIIQNKKYDAKFGTLG >DRNTG_28230.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11927409:11937331:1 gene:DRNTG_28230 transcript:DRNTG_28230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDVWDALINNEWGTYAWQSKSGKAKANRLTKKEGSITKHTGGSRPFAVHGIKLAEKLGREVGYSEIFQAAHKRKCGEGDFIDSKSRVVNEKYNAALIDKYGDDISSHPSFDGQSWYDAIGGLKATHTSVYGFGSRVDSRQLFSVASTCPSTSDSACGPSTYQPFATNESMLQTQQLAEKVQNLESTVLDIQQG >DRNTG_28230.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11927409:11937331:1 gene:DRNTG_28230 transcript:DRNTG_28230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDVWDALINNEWGTYAWQSKSGKAKANRLTKKEGSITKHTGGSRPFAVHGIKLAEKLGREVGYSEIFQAAHKRKCGEGDFIDSKSRVVNEKYNAALIDKYGDDISSHPSFDGQSWYDAIGGLKATHTSVYGFGSRVDSRQLFSVASTCPSTSDSACGPSTYQPFATNESMLQTQQLAEKVQNLESTVLDIQQG >DRNTG_28230.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11927409:11937331:1 gene:DRNTG_28230 transcript:DRNTG_28230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDVWDALINNEWGTYAWQSKSGKAKANRLTKKEGSITKHTGGSRPFAVHGIKLAEKLGREVGYSEIFQAAHKRKCGEGDFIDSKSRVVNEKYNAALIDKYGDDISSHPSFDGQSWYDAIGGLKATHTSVYGFGSRVDSRQLFSVASTCPSTSDSACGPSTYQPFATNESMLQTQQLAEKVQNLESTVLDIQQG >DRNTG_28230.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11927409:11937331:1 gene:DRNTG_28230 transcript:DRNTG_28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDVWDALINNEWGTYAWQSKSGKAKANRLTKKEGSITKHTGGSRPFAVHGIKLAEKLGREVGYSEIFQAAHKRKCGEGDFIDSKSRVVNEKYNAALIDKYGDDISSHPSFDGQSWYDAIGGLKATHTSVYGFGSRVDSRQLFSVASTCPSTSDSACGPSTYQPFATNESMLQTQQLAEKVQNLESTVLDIQQG >DRNTG_28230.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11927409:11937331:1 gene:DRNTG_28230 transcript:DRNTG_28230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDVWDALINNEWGTYAWQSKSGKAKANRLTKKEGSITKHTGGSRPFAVHGIKLAEKLGREVGYSEIFQAAHKRKCGEGDFIDSKSRVVNEKYNAALIDKYGDDISSHPSFDGQSWYDAIGGLKATHTSVYGFGSRVDSRQLFSVASTCPSTSDSACGPSTYQPFATNESMLQTQQLAEKVQNLESTVLDIQQG >DRNTG_17924.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:205272:206029:-1 gene:DRNTG_17924 transcript:DRNTG_17924.1 gene_biotype:protein_coding transcript_biotype:protein_coding TNKPKVQKHQTKYEQVSNCKGSDIRET >DRNTG_11859.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:34311:45457:1 gene:DRNTG_11859 transcript:DRNTG_11859.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRQKMARGRRRRMRRRRTQKTKKKDANVTI >DRNTG_11859.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:34311:45457:1 gene:DRNTG_11859 transcript:DRNTG_11859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRQKMARGRRRRMRRRRTQKTKKKDANVTI >DRNTG_11859.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:34311:45457:1 gene:DRNTG_11859 transcript:DRNTG_11859.6 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRQKMARGRRRRMRRRRTQKTKKKDANVTI >DRNTG_11859.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:34311:45457:1 gene:DRNTG_11859 transcript:DRNTG_11859.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIVSHVKFYPDDVHQLGDQTSRGGAAGVLRQKMARGRRRRMRRRRTQKTKKKDANVTI >DRNTG_11859.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:34311:45457:1 gene:DRNTG_11859 transcript:DRNTG_11859.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRQKMARGRRRRMRRRRTQKTKKKDANVTI >DRNTG_11859.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:34311:45457:1 gene:DRNTG_11859 transcript:DRNTG_11859.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRQKMARGRRRRMRRRRTQKTKKKDANVTI >DRNTG_29194.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3117514:3118077:-1 gene:DRNTG_29194 transcript:DRNTG_29194.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPFEDATFSLKVGEISDIVDTDSGVHIILRTG >DRNTG_29194.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3116394:3118077:-1 gene:DRNTG_29194 transcript:DRNTG_29194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPFEDATFSLKVGEISDIVDTDSGVHIILRTG >DRNTG_30413.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001573.1:17617:18791:-1 gene:DRNTG_30413 transcript:DRNTG_30413.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRT1 [Source:Projected from Arabidopsis thaliana (AT5G55760) UniProtKB/TrEMBL;Acc:A0A178UR49] SNTSQLGEFCSPHPATSLTVGRMKKW >DRNTG_30413.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001573.1:17617:25328:-1 gene:DRNTG_30413 transcript:DRNTG_30413.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRT1 [Source:Projected from Arabidopsis thaliana (AT5G55760) UniProtKB/TrEMBL;Acc:A0A178UR49] MIHKSKHLVAFTGAGISTSCGIPDFRGPKGIWTLQHEGKGLPESSLPFHCAMPSLTHMALVELERAGILKFIISQNVDCLHLRSGIPRQKLAELHGNSFRELCPSCGAEFVRDFEIETIGRKVTSRRCSDSNCGAKLRDTVLDWEDALPPKEMNLAEKHCKTADIVLCLGTRSLQLAICR >DRNTG_30413.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001573.1:17617:23931:-1 gene:DRNTG_30413 transcript:DRNTG_30413.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRT1 [Source:Projected from Arabidopsis thaliana (AT5G55760) UniProtKB/TrEMBL;Acc:A0A178UR49] MPSLTHMALVELERAGILKFIISQNVDCLHLRSGIPRQKLAELHGNSFRELCPSCGAEFVRDFEIETIGRKVTSRRCSDSNCGAKLRDTVLDWEDALPPKEMNLAEKHCKTADIVLCLGTRSLQLAICR >DRNTG_30413.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001573.1:17617:26484:-1 gene:DRNTG_30413 transcript:DRNTG_30413.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRT1 [Source:Projected from Arabidopsis thaliana (AT5G55760) UniProtKB/TrEMBL;Acc:A0A178UR49] MSLGYAEKLSYREDVGKVGMAELFDPPHVLQQKIEELAIMIHKSKHLVAFTGAGISTSCGIPDFRGPKGIWTLQHEGKGLPESSLPFHCAMPSLTHMALVELERAGILKFIISQNVDCLHLRSGIPRQKLAELHGNSFRELCPSCGAEFVRDFEIETIGRKVTSRRCSDSNCGAKLRDTVLDWEDALPPKEMNLAEKHCKTADIVLCLGTRSLQLAICR >DRNTG_28042.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3386033:3389695:1 gene:DRNTG_28042 transcript:DRNTG_28042.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPKDGAKNDRQVLISSSSSPKSPIGGGVGGQCLCSPTTHQGSFRCRFHRSSSSTWMMNRSKSMPSSAKSSKPLETV >DRNTG_28042.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3387807:3389695:1 gene:DRNTG_28042 transcript:DRNTG_28042.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPKDGAKNDRQVLISSSSSPKSPIGGGVGGQCLCSPTTHQGSFRCRFHRSSSSTWMMNRSKSMPSSAKSSKPLETV >DRNTG_28042.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3388883:3389695:1 gene:DRNTG_28042 transcript:DRNTG_28042.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPKDGAKNDRQVLISSSSSPKSPIGGGVGGQCLCSPTTHQGSFRCRFHRSSSSTWMMNRSKSMPSSAKSSKPLETV >DRNTG_12844.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21105990:21106512:-1 gene:DRNTG_12844 transcript:DRNTG_12844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDWGPVIVSTVLFILLSPGLLFQLPARTRVVEFGNMGTSGISILVHSILFFAILTILLIAIGIHIHAA >DRNTG_12844.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21105990:21106405:-1 gene:DRNTG_12844 transcript:DRNTG_12844.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDWGPVIVSTVLFILLSPGLLFQLPARTRVVEFGNMGTSGISILVHSILFFAILTILLIAIGIHIHAA >DRNTG_12844.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21105940:21106405:-1 gene:DRNTG_12844 transcript:DRNTG_12844.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDWGPVIVSTVLFILLSPGLLFQLPARTRVVEFGNMGTSGISILVHSILFFAILTILLIAIGIHIHAA >DRNTG_29129.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1297934:1298801:1 gene:DRNTG_29129 transcript:DRNTG_29129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDAEGLEKIENLQSHDNTEIYEKAVKILETYWLEDEDEMPTGDASQPGFLLGEQPAAPPGGFNFG >DRNTG_02318.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:664194:667362:-1 gene:DRNTG_02318 transcript:DRNTG_02318.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine nucleosidase 1 [Source:Projected from Arabidopsis thaliana (AT2G36310) UniProtKB/Swiss-Prot;Acc:Q9SJM7] MESFGDLNSNSHGHLEIGGQPLSKKEKVIIDTDPGIDDSMTILMAFQTPEIEILGLTTIFGNVSTEDATRNALLLCEIAGRSGLPVAQGSDEPLKRGKPRIADFIHGSDGLGNISLPPPKGKRIEKGAAEFLVDKVSEFPNEVSILALGPLTNLALAIKRDSSFASKVKRIVVLGGAFFASGNVNPAAEANIYGDPEAADIVFTCGADILVVGINITTQVCLKDSDLLELRNSKGRYAQLLCDMCQFYRDWHVKSDGVYGIFLHDPVCFAALVRPDLFSFKKGVVRVETQGICVGHTLMDQGLKNWNSSNPWSGYSPISVAWSVDVPRVILFVKELLMKP >DRNTG_06798.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3926985:3929646:-1 gene:DRNTG_06798 transcript:DRNTG_06798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLRSSSPLIQWPLHRPIPRPKPYSPSSSSSCIFYSTPGAPVPFRDPVRAVPPVSSSYQMRKLINAFSPASMVAEGQLIEALLKNQRNPKAALKHFQLAQMKGSISGIDPLCILLHILVGTDRQSSARELLRCSLLHSTSPSPATVVDGLVNASRRCVSDPRSFGYLLTCYSHAGKAEQALEAFDCMLQHGVFPSVRSRNDFLAALVRSNLTTKAREIYQVIKEKGMGFDCYTIDIMMHACLKDGKSEEAQVFFQDLRDTGLVPDLLVYATIIQSVCRIPDSTRALELLNEMKGRGLVPTQFIYTFVVGACVKQGNLEEALRLKDELVASGHPLSLVSANSLMKGYCDQGDLDNALDLYTSVLKQEILPNVVTYSVLIDGCCRNGNVGKAFELYCQMKQTRVSPNVFQVNTIINGFLKKNKWEEALVVFDDAVSFGVVNVFTYNILMHWLFNADKVKEACCDLWDQMEDEVMDPSIASYNMLVFVHCMRGNMEAAADLLTQMSQIGIKPNVITYTTLIDGYLKKEDFDQAFDLLNTMCSSVISHNNFTINSILYGLSEVGRMSELTRVLQKFRDDGFIPSCITYNSIIHGFIKEGKMSSAFEIYHEMLRNGVSPDVVTFTNFIVGLCKGNNTDGALKMQSEMKRLGLQMDIAGYNALIVGLCSKGNMEAALALFDELPEVGLKPNAIIFNSLITAYKNTNNMEAIIKLHRRMCTEGIPCDTATYTTLIDGSLKTSDLVFASELYFEMLEKGIVPDEITYTALTNRLCKNVDLDNSRKLLDELHHRGSQASVLIYNMLIAGYFREGDLQEAFRLHDEMLDRGLVPDDITYDILLNMKYGGGKSQAAT >DRNTG_21021.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:106012:107799:1 gene:DRNTG_21021 transcript:DRNTG_21021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLESGWAFSLTLAAKCKAIDPSRLLLLTTAILFFYFVTSLIYWSFPGGPAWGRSLIRSHRRTMIITNNNNHIPGPKGLPIIGSINLMHGLAHRHLFNISQTMHATRLMAFSLGYTRVIITSNHDVAREILNHSAFADRPIKESAYGLMFNRAIGFAPYGVYWRTLRRIAATHLFSPKQISLSGHHRADIAHSMIETLGLISSSSSTSNVTIRVRDVLKRASLINVMASVFGRRYELGGSECEEMKELRSLVEEGYDLLGKINWSDHLPFLVGFDLQGIRFRCSKLVPRVNHFVNRIIHQHRIRPASSSPDFVDVLLSLQGSDRLSDPDMVAVLWEMIFRGTDTVAVLIEWVMARLVKHKEVQEKVHEELDRVVGRHRAVTESETSSLVYLNAVIKEVLRLHPPGPLLSWARLATRDVHVDGKLVPEGTTAMVNMWAIMHDPHVWENPNEFRPDRFVGPGRAEFPVFGSDLRLAPFGSGRRSCPGKGLALTTVSYWVAALMHEFEWDAPRVDLSEVLRLTCEMAIPLTVTLRPRRRLN >DRNTG_30987.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18804765:18808025:-1 gene:DRNTG_30987 transcript:DRNTG_30987.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKNDAVSTTRDKLYTLLEGKEMVTDDVMDAFVCIIQKSLSKEPYPYKKRASITRPLALFMSKQDDAHETIMAMVGDAVRNLHEVQIVILPIIMNDHFHVVVLDNDKQEYMHYSSCPGYDKDALDMRNLFDNCVDMQFGESAMAKYPLVHDMETARQKQGSVDCAVYFMRFIEQLLWGEKLRLPHDRAFLTSS >DRNTG_25386.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24349499:24351743:-1 gene:DRNTG_25386 transcript:DRNTG_25386.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTYLLFFSSLLTMISGGMGAATFTFSNNCQYTVWPGTLSSAGRAALDTTGFALQSGESRTLNAPTSWSGRFWGRTLCTTDSTGKFSCGTGDCGSGQIECLGGGAAPPATLAEFTLNGDQNMDFYDVSLVDGYNVPMLVVPQGGSGGNCSTTGCVVDLNGVCPTDLRVMLAQSDGASENVACKSACEAFQTPQYCCSGAYGNPNTCRPSSYSEFFKNACPRAYSYAYDDATSTFTCVSANYLITFCPSTTSQKSGENPQAVPPLTNDTMVFLGGEEVGQMSLAAPPLATTVLSLVVPALFWLLLRLF >DRNTG_25386.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24349399:24351656:-1 gene:DRNTG_25386 transcript:DRNTG_25386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTYLLFFSSLLTMISGGMGAATFTFSNNCQYTVWPGTLSSAGRAALDTTGFALQSGESRTLNAPTSWSGRFWGRTLCTTDSTGKFSCGTGDCGSGQIECLGGGAAPPATLAEFTLNGDQNMDFYDVSLVDGYNVPMLVVPQGGSGGNCSTTGCVVDLNGVCPTDLRVMLAQSDGASENVACKSACEAFQTPQYCCSGAYGNPNTCRPSSYSEFFKNACPRAYSYAYDDATSTFTCVSANYLITFCPSTTSQKSGENPQAVPPLTNDTMVFLGGEEVGQMSLAAPPLATTVLSLVVPALFWLLLRLF >DRNTG_25386.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24349499:24351656:-1 gene:DRNTG_25386 transcript:DRNTG_25386.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTYLLFFSSLLTMISGGMGAATFTFSNNCQYTVWPGTLSSAGRAALDTTGFALQSGESRTLNAPTSWSGRFWGRTLCTTDSTGKFSCGTGDCGSGQIECLGGGAAPPATLAEFTLNGDQNMDFYDVSLVDGYNVPMLVVPQGGSGGNCSTTGCVVDLNGVCPTDLRVMLAQSDGASENVACKSACEAFQTPQYCCSGAYGNPNTCRPSSYSEFFKNACPRAYSYAYDDATSTFTCVSANYLITFCPSTTSQKSGENPQAVPPLTNDTMVFLGGEEVGQMSLAAPPLATTVLSLVVPALFWLLLRLF >DRNTG_25386.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24349399:24351434:-1 gene:DRNTG_25386 transcript:DRNTG_25386.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTYLLFFSSLLTMISGGMGAATFTFSNNCQYTVWPGTLSSAGRAALDTTGFALQSGESRTLNAPTSWSGRFWGRTLCTTDSTGKFSCGTGDCGSGQIECLGGGAAPPATLAEFTLNGDQNMDFYDVSLVDGYNVPMLVVPQGGSGGNCSTTGCVVDLNGVCPTDLRVMLAQSDGASENVACKSACEAFQTPQYCCSGAYGNPNTCRPSSYSEFFKNACPRAYSYAYDDATSTFTCVSANYLITFCPSTTSQKSGENPQAVPPLTNDTMVFLGGEEVGQMSLAAPPLATTVLSLVVPALFWLLLRLF >DRNTG_25386.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24349499:24351517:-1 gene:DRNTG_25386 transcript:DRNTG_25386.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTYLLFFSSLLTMISGGMGAATFTFSNNCQYTVWPGTLSSAGRAALDTTGFALQSGESRTLNAPTSWSGRFWGRTLCTTDSTGKFSCGTGDCGSGQIECLGGGAAPPATLAEFTLNGDQNMDFYDVSLVDGYNVPMLVVPQGGSGGNCSTTGCVVDLNGVCPTDLRVMLAQSDGASENVACKSACEAFQTPQYCCSGAYGNPNTCRPSSYSEFFKNACPRAYSYAYDDATSTFTCVSANYLITFCPSTTSQKSGENPQAVPPLTNDTMVFLGGEEVGQMSLAAPPLATTVLSLVVPALFWLLLRLF >DRNTG_25386.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24349499:24351434:-1 gene:DRNTG_25386 transcript:DRNTG_25386.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTYLLFFSSLLTMISGGMGAATFTFSNNCQYTVWPGTLSSAGRAALDTTGFALQSGESRTLNAPTSWSGRFWGRTLCTTDSTGKFSCGTGDCGSGQIECLGGGAAPPATLAEFTLNGDQNMDFYDVSLVDGYNVPMLVVPQGGSGGNCSTTGCVVDLNGVCPTDLRVMLAQSDGASENVACKSACEAFQTPQYCCSGAYGNPNTCRPSSYSEFFKNACPRAYSYAYDDATSTFTCVSANYLITFCPSTTSQKSGENPQAVPPLTNDTMVFLGGEEVGQMSLAAPPLATTVLSLVVPALFWLLLRLF >DRNTG_25386.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24349399:24351517:-1 gene:DRNTG_25386 transcript:DRNTG_25386.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTYLLFFSSLLTMISGGMGAATFTFSNNCQYTVWPGTLSSAGRAALDTTGFALQSGESRTLNAPTSWSGRFWGRTLCTTDSTGKFSCGTGDCGSGQIECLGGGAAPPATLAEFTLNGDQNMDFYDVSLVDGYNVPMLVVPQGGSGGNCSTTGCVVDLNGVCPTDLRVMLAQSDGASENVACKSACEAFQTPQYCCSGAYGNPNTCRPSSYSEFFKNACPRAYSYAYDDATSTFTCVSANYLITFCPSTTSQKSGENPQAVPPLTNDTMVFLGGEEVGQMSLAAPPLATTVLSLVVPALFWLLLRLF >DRNTG_05989.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:24138985:24140042:1 gene:DRNTG_05989 transcript:DRNTG_05989.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAPRAKKNEVKRLRLTSTEPIHMEFSNPENQARFERLSALGFGQTCFADVQVLRDIQQGDEVINEIDEMLAVGSWRRLLTISEPAYHALTLEVLVSFEFLLLHGRVDTTEAIQFRAFGHPFSMSVMEFSIRMGLHDVAYIGFCVDMGSTNRDCRRPLAYPGSVTDMYTQSSAGEWVWATLYVVLRGQLYALLLRTIRLG >DRNTG_01824.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16281482:16305700:-1 gene:DRNTG_01824 transcript:DRNTG_01824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEPMIRRVRVVFDDHRHLLTKPQRLDGLKKCWLLLRPKLATISDLSSHLSRRFHLRRSCPLGLVLSMDGFVLPSFESTYILNDGDIIRVRKKDSKLKALEKANGDYHIQKNLDIVERLPIRSSGEVMVNKDTHEELEEYKANKSCDLQIGTSTGSPLVLDLNSKRKRADPDVLQKSERRKKSKLMISDKPVVSDEDTEFVPLLQRHLCSTIADNSCDRSHVKEELLREKGKSNAVTFYPVGCSAMKTTDCVLNGRRTDQVGESSKVKQSDPCIDGSKKLPSRNARRKKASREWLKELNLQNKNHTLSCVPAKAIQMTSSDHSTVDLDAVMENEITPIVVRPGHIRFETADRSKELQHAPDDLQWHGTSNKKREGWLKGINAKKVFVSEVEQLRKYNVDEGKSPIVHIDFERLFPLTRPPKEGDVVAYRMIELSSAWSAELSSFRVGKVSLYDPVSMKICLVPIPDYPFNLPDKKDAESSEKPNVSPYNEDGSLEIEYRSLVDVRLFKTSNKGASNAHSFGRQAFSADNWELAIKSTKETYTTHMGSWDQFVQSSNEAPKLVENDGWREWPKENPGIALWFDYCRSLRESKSFFPKRDNWKSKSGKPNGVRVRYFHHPLKFGK >DRNTG_02042.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000101.1:2254:2918:1 gene:DRNTG_02042 transcript:DRNTG_02042.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRVTPACSHVGEAQFFDGNNNGNQNQGNCDKFFWGGKQSKHKSRRHNPIRKASQAGEKSRCPIQESDKFRELVQWFSPGFCFWKFHNFRMLLGSDLLLLSNEKYVAVSLHLWDVSRQVTPLTWLEAWLDNVMASVPELAICYHQNGVVQGYELIKTDDIFLLKGISEDGTPAFHPQVVQQNGLSVLRFLQDNCKQDPGAYW >DRNTG_22612.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9352244:9353524:1 gene:DRNTG_22612 transcript:DRNTG_22612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTIPKHQAHFERLSRLRFGQTRFLDTSILRDLQQGDEFADEVEDLVSVGGWRQLLSIREPAIRELTLEVLSSFKFDRSHVRFDDLDVVQLRALGHHYSLSITQFSIRFGLYKEVFTDTEEYAQLPTDYPGALTPQRAYRALCGQDQSVNGRGDSTGVLSRQELLYLYSMMQRIPIHLGHIITEYIRHLGQYAKLAVIFSGPYITRLAMGMGFLGVIRRAEKKSIPAPLSLETMRLMGMVCRVRTGVYALVLPSPEIAEEDGDDAKASQPAPEPQSAPMETEAPPVTEDPHLVRMFSPSRAQDHFERLESAVGVIWTEVAEARAEIADIRAAQATQYTEFMAHFDTLQKILERDVSSSFILRPRTYQAPSASPAPPSLISIPIDPPCTLAAVEPEDDIDT >DRNTG_02100.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10603401:10604084:-1 gene:DRNTG_02100 transcript:DRNTG_02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNEKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTGSVRSKMVLLMEKIWW >DRNTG_08730.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4998509:5003085:-1 gene:DRNTG_08730 transcript:DRNTG_08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYLRRTAGNIGSSRKGSNLVGRDLKHEDKSVQHCNRIGCSTNLNYMKGGHLSNANKSTCSRSSFRSACSKALANMDDLEKPKCLKATFRSTCGKALAGSSSASFPTSIVLNKSHREQKSRLLSKGTSVTDSSSASSSSSRQDIQDLDSVDAQEQTQDETENGTATSMTGNFQSLLRGADSLDSTRGCSTSVIRRVANGNTMSTSRSCRQKPGNISQDTSSSSAKSSVVSRSGTSKLVSQIPGTGSQRYGLKNLGCTSISDVLPSGWSSSNGGQGRAVESVRKRPSEGESSSGRGKGIIGSSSERRLGSQHSKLSGPSVSTPENLIAQEGLRNSNKPFSRGLSVRTQRGPVRDTRMRLSERGDNSTPHLDPLVIPQPRVYIQDVPESPSRSFLLESPPSLSHDSFSSQGSSSRSIRNRLLASHSDNRGGHHFNMETTAEVLLALERIEHIEDLTFEQLMMLEMNLYLGGLSFQDQHRDMRMDIDNMSYEELLALEEKIGTVSTALSEEALSKCLKRSIYIRPTLASTVTACGDDIKCSICQEDYVLGEEIGSLACEHRYHVACIQQWLRLKNWCPICKSSAVASSKSPINRPT >DRNTG_00351.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18689152:18689595:-1 gene:DRNTG_00351 transcript:DRNTG_00351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFSRKSKDSMATNLLELMPYQASYPILKLGYSAAANQSHNMGMNKADLFISKAEVNGGTIMKNLRPKAQELTYPGKKPICHITILLKRKSKLSLNESKF >DRNTG_02652.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22852560:22856228:1 gene:DRNTG_02652 transcript:DRNTG_02652.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRRRVLTSIFLMTLLVSSAHCLEFGRKKKKKPDGPIKTVVILVMENRSFDHILGWLKSSRPDIDGLTGRESNRLSTSDPSSPEVFVSDDAVFIDSDPGHSFQAIREQIFGSEDTSADPAPMNGFAQQAESMGEGMARTVMSGFTPDSVPVYTALVNEFAVFDRWFASVPTSTQPNRFYVHSATSHGASSNVRKDLIHGFPQKTIFDSLDESGLSFGIYYQNIPATLFFKSLRKLKHITKFHNYKLTFKLHAKWGKLPNYVVIEQRYMDVELFPANDDHPSHDVARGQRFVKEVYETLRSSPQWNETALLITYDEHGGFYDHVPTPVFNVPNPDGIIGPDPFFFKFDRLGVRVPTILVSPWIDKATVIHGPNGPTPYSHFEHSSIPATIKKLFNLNSNFLTKRDAWAGTFESYFSIRKSPRTDCPEKLPEVTKSLRPFGPKEDAALSEFQMELIQLASQLVGDHVLNTYPEIGKGMSVGEANQYAEDAVARFLEAGRAALRAGANES >DRNTG_02652.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22852244:22856228:1 gene:DRNTG_02652 transcript:DRNTG_02652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRRRVLTSIFLMTLLVSSAHCLEFGRKKKKKPDGPIKTVVILVMENRSFDHILGWLKSSRPDIDGLTGRESNRLSTSDPSSPEVFVSDDAVFIDSDPGHSFQAIREQIFGSEDTSADPAPMNGFAQQAESMGEGMARTVMSGFTPDSVPVYTALVNEFAVFDRWFASVPTSTQPNRFYVHSATSHGASSNVRKDLIHGFPQKTIFDSLDESGLSFGIYYQNIPATLFFKSLRKLKHITKFHNYKLTFKLHAKWGKLPNYVVIEQRYMDVELFPANDDHPSHDVARGQRFVKEVYETLRSSPQWNETALLITYDEHGGFYDHVPTPVFNVPNPDGIIGPDPFFFKFDRLGVRVPTILVSPWIDKATVIHGPNGPTPYSHFEHSSIPATIKKLFNLNSNFLTKRDAWAGTFESYFSIRKSPRTDCPEKLPEVTKSLRPFGPKEDAALSEFQMELIQLASQLVGDHVLNTYPEIGKGMSVGEANQYAEDAVARFLEAGRAALRAGANES >DRNTG_10867.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19881844:19884835:-1 gene:DRNTG_10867 transcript:DRNTG_10867.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQLLFFLLSLCLSLSSVYNASAYNPTTAYFLNCGSSTNITFSDESRTFTTDSPFLISSSTSNTVSVTDPINQSNSLYNTARLFTQTSSYNFPIKTTGTYVLRLHFFPFITKSYNLINSSNFNVSSPQTQTFLLGSFSPSKSNTSTIKEYYLWLDTDGLILTFTPNSPSLAFISAIELFTAPLSLINDTEPTTVSTTGQNFNIGDLSRQSLETLYRVNVGGPLITPTNDTLWRTWIPDDQFLYSISSSSKEDSTSTDNIKYGLDTREVAPPFVYSTVREMNISSITRVANSNFNFNLTWTFKVPAGYKYFIRMHFCDIVSNQPLDLIFDVYIGDASAYPNLQLGSLTHLSLDAAYYLDFITDEDIVENSGLLNVSVGRSSKSTPDTANAIMNGLEIMKINNSVGSLNGSYNSFASNSVKRSTHVGITVIIVSVVAAALVIIIIAITVIILTRKKSKPAPAPSPLTPKANTTAPVSWSPYRNDSIDKSSSGATPRMKVELELYISLSDIKLATNNFDEALLIGHGGFGNVYKGVLRDGTEVAVKRAMRGSRQGYPEFQNEISLLSRIRHRHLVSLVGYCDEMGEMILVYEFMEKGTLKSYLYGSPVLPFLTWKQRLQICIGAGRGLHYLHTAHSQVIIHRDVKSTNILLGEDFLAKVSDFGLSKLGPSLGETHVSTGVKGSFGYLDPEYFKMQKLTTKSDVYSFGVVLFEVLCARPVIDPMLGRDELNLAEWALLCQRKGQLEKIIDQRIAGQINPKSLKKFGETAERCLAEYGVDRPTIGDVLWNLEYALQLQETELRREPHEDSGTVDPPIHSVDLARRVPSISATIDEDDLIGMSSTQLDANPSKVFSQLINGEGR >DRNTG_34365.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19407273:19408417:1 gene:DRNTG_34365 transcript:DRNTG_34365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMGAEKIIVPSSLRQETMRLMDMIRRYRDNIFVMIMPPPERGEGEEDEAKGSQPAQEPSRRRWRLMHPLLHKSHHQYRYFLLLESMITLRGSIVL >DRNTG_09726.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32254539:32257564:1 gene:DRNTG_09726 transcript:DRNTG_09726.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPKVAGPQIAILGAGIFVRTQYIPRLRELADCVTIKTIWSRTEESARAAAEFARDFAPTIESKWGEEGLNDIIRDDSITGVAVVLAGQVQVDMSLRMLRAGKHVIQEKPAAGSISEAEAALSQYNSVCNNSIYPIWAVAENYRFEPAFLESRKLIKDIGDMMNVQVIVEGSMNSSNPYFSSTWRRNFYGGFILDMGVHFIA >DRNTG_09726.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32253469:32257564:1 gene:DRNTG_09726 transcript:DRNTG_09726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPKVAGPQIAILGAGIFVRTQYIPRLRELADCVTIKTIWSRTEESARAAAEFARDFAPTIESKWGEEGLNDIIRDDSITGVAVVLAGQVQVDMSLRMLRAGKHVIQEKPAAGSISEAEAALSQYNSVCNNSIYPIWAVAENYRFEPAFLESRKLIKDIGDMMNVQVIVEGSMNSSNPYFSSTWRRNFYGGFILDMGVHFIA >DRNTG_01800.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19767575:19767995:-1 gene:DRNTG_01800 transcript:DRNTG_01800.2 gene_biotype:protein_coding transcript_biotype:protein_coding GSTLPSEQDQYVCRFSTPPQHVGHENHLLQ >DRNTG_01800.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19766417:19767995:-1 gene:DRNTG_01800 transcript:DRNTG_01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding FAEKACRQIYFSKKGVRPNEEEGMKTKKKEGNEK >DRNTG_15261.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4393614:4395423:-1 gene:DRNTG_15261 transcript:DRNTG_15261.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVPSTPGKFKTDKPYHQRLLLQRWIHNHRPAFWVLIALSLLAIVLLFSPRSSSPLISSRRHLSTSTLAPWGGPDWEKRVRASARVRPSSSRRSISVLVTGAAGFVGSHASLALKRRGDGVLGLDNFNDYYDTSLKRSRQTLLDRAGVFVVEGDINDPDLLRKLFDVVPFTHVLHLAAQAGVRYALRDPASYVRSNVAGLVSILEAARSASPQPAIVWASSSSVYGLNSKVPFSESDRTDRPASLYAATKKAGEEITHAYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFTKDILRGKPISVYEGPNHTNVARDFTYIDDVVNGCLAALDTAQKSTGTGGKKRGPAQLRLYNLGNTTPVSVGELVGILERLLKVKAIRKVMKMPRNGDVQFTHANISLAQRELGYRPSTDLETGLKKFVRWYLDYYYSRSSSLQKKFSSIGGRLTSS >DRNTG_00406.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2798614:2799214:1 gene:DRNTG_00406 transcript:DRNTG_00406.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKGTTRSVHSDMSLEEFKEWLSKFDTDGDGRISKAELKKAIKSVQGRFSSWKSRQGIRLADSDGDGFIDENEIENLVEFAKQNMGLKISVY >DRNTG_00406.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2798614:2799166:1 gene:DRNTG_00406 transcript:DRNTG_00406.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKGTTRSVHSDMSLEEFKEWLSKFDTDGDGRISKAELKKAIKSVQGRFSSWKSRQGIRLADSDGDGFIDENEIENLVEFAKQNMGLKISVY >DRNTG_00406.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2798445:2799214:1 gene:DRNTG_00406 transcript:DRNTG_00406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKGTTRSVHSDMSLEEFKEWLSKFDTDGDGRISKAELKKAIKSVQGRFSSWKSRQGIRLADSDGDGFIDENEIENLVEFAKQNMGLKISVY >DRNTG_26916.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2480932:2481336:-1 gene:DRNTG_26916 transcript:DRNTG_26916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMVSAQGIQQLQHLQSDPDMFRCRSCPPDHDTPKVSSDSSEHKFEYKDEDDGQVKVCEKGQTCLAKYCILVSAKQECQDVHIWEVWPGSPTARPWWVGRSVHALQPDEDPNEIIERASTKPGLGVVSTDEGR >DRNTG_06013.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11979037:11979649:1 gene:DRNTG_06013 transcript:DRNTG_06013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLPLDPIYSKALILANEFKCLEEMLIVVSMLLIESIFYFPCERMEEVW >DRNTG_04944.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3806786:3814456:1 gene:DRNTG_04944 transcript:DRNTG_04944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGVYVEDFNAYGLLENLSIIWSFSYTTSTAAMARNRMDSVEMTQESENIGRRCPTNFRWTAIMTSFLLKCLVEQANLGLKTDKGFKSTAINVVARAVSTRFSMAVSDTHVINRLRHSHPNEAVYINKPIEDYEEMAIVCGNDQATGSFARTGSQSSRSLGVRMEMPSTPPTLDSDDQPQGV >DRNTG_06683.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3253452:3256622:1 gene:DRNTG_06683 transcript:DRNTG_06683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVVAHGEVKDYKGKITVFVLVSCMIAATGGLIFGYDLGVSGGVTSMDVFLEDFFPAVYHRMEKSKDDNQYCKFDSQLLTSFTSSLYIAGLLASFGASYVTRNKGRKISILVGGLTFLVGTVINAAATNVFMLLLGRILLGVGVGFANQAVPVYLSEMAPPKLRGALNIGFQLCTTIGILAANLINYGTSKIKGRSGWRVSIALAVIPAAIITIGAIILPDTPNSLISRGHTDEARRMLEKIRGTTEVEEELQDLVEASETVMAIENPWKNILQPHYRPQLMVAIFIPMFQQLTGINVIMFYAPVLFKTLGFGDEASLMSAVITGTVNLLATIVSIIAVDKFGRKFLFLEGGIQMLISQVIIGVMLGNAFGLSGVGQMSKSSANTLLFLICVYVAAFAWSWGPLGWLVPSEVFPLEIRSAGQSITVSVNFLWTFIVAQLFLFALCHLKFGLFFFFASFVVLMTLYVFFFLPETKNVPIEEMHLAWKKHWFWRKYLPDDQDVGYSMRSMA >DRNTG_02931.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4154379:4157964:-1 gene:DRNTG_02931 transcript:DRNTG_02931.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKYFMPINPSPSSTSKPSTMNNETPIDQASGKRPRVEVEVSDNDIVSDPGLRNPIDSFPLEMRDTLRRRYLAKGPCQPV >DRNTG_07182.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:12301912:12306487:1 gene:DRNTG_07182 transcript:DRNTG_07182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRYVDATREFNKILLYILKFKQYHEKSPQFDQIPEKKNEQMYALLAICLSLCPQTKLIEEKVSAQLKEKYGDKMLRMQRYDDEAYAVYDELFSYACPKFITPSAPLLEEPLINRNQDAYRLQLKLFLLEVKQQQLLSGLRSYLKLYSTISIGKLATYMELDEATLRSLLSTYKHKMHAVNNDGSIISNADINFYIDEDIIHVVDSKSEKRYGDYFLRQILTFEDIISKLDRVKFD >DRNTG_22588.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17452640:17459476:-1 gene:DRNTG_22588 transcript:DRNTG_22588.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESKPLISFRGDDGGAMEARFVDLCKNGVGLDESAAMHAVVLYKESKHILLATMSSIGSGSPEEIERLWSAFVLYCVTRLSKGRKQEDEKGVTLCQILRASKVNVVDFFKEMPQFYLKVGYILVSLYGSDWEKRLELKELQADIVHLRALSRYYRQAYQEFFMPSQSSGPHSAFCNNKGYISDYHRFGWLLFLALRVHAFSQFKDLVTCTNGLVSILAILVLHVPVHLRTFTMQNAPRFAKKSNNGVNLLASLCDMFHTSEDELKRTLEQTNILIADILKKKPCPASRCKSETLHHVDTDNLTYFEDLLEESSLQSSLAILEKDYDEAIYVKGIIDERLFVNDEDSLLGSGSLSGNAIHICGTKRRFDEIASPTKTITSPLSPPRTPSSTINASIVGNLKMTPITPVSTAMTTAKWLRNVISPLPSKPSPELALFLSSCERDLSSDVVRRTNIILGAIFPTSSLGERCITGNLQSAKLTDSIWAEQRKMEALKLYYKVLEAMCRAESQILNGNNLTSLLSNERFHRCMLACSAELVLATHKTVTMMFPAALERAGITAFDLSKVIEGFVRHEETLPRELKRHLNSLEERLLESMSWEKGSSMYNSLIIARPALAAEINRLGLLAEPMPSLDAISIHYNIPNGNLPPLPFQKRETLTDQNGDASPKAVSNEQRIVLVERNSFTSPVKERLLSFNSLKSKLPPLQSAFASPTRPNPAGEGETYAETGINVFFSKIIKLAAIRIRSLCEKLQLSPQILERVYCLFQQILSQRTALLFNRHIDQIILCSFYGVAKISQLTLTFKEIIYNYRKQPQCKPQVFRSVFVDWPSTSRNGRTGQEHVDIITFYNEVFIPSVKPLLVDLGPTTASEKHTKFPDDKSNAEGQLPGSPRLSPFPSLPDMSPKKVSACHNVYVSPLRSSKMDALLSPSSKSYYACVGESTHAYQSPSKDLTAINNRLNCKKVNGRLNFDIVSDSVVARSFGPQNGSSGSSSSAATVNLQVKHEQPDSH >DRNTG_22588.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17452640:17455329:-1 gene:DRNTG_22588 transcript:DRNTG_22588.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKKVSACHNVYVSPLRSSKMDALLSPSSKSYYACVGESTHAYQSPSKDLTAINNRLNCKKVNGRLNFDIVSDSVVARSFGPQNGSSGSSSSAATVNLQVKHEQPDSH >DRNTG_10747.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26107447:26128327:1 gene:DRNTG_10747 transcript:DRNTG_10747.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT5G44240) UniProtKB/TrEMBL;Acc:F4K8T6] MKRFVYINENDAVKDLYCDNRISNRKYTLLNFLPKNLWEQFRRFMNQYFLLIACLQLWPLITPVNPASTWGPLIFIFAVSATKEAWDDYNRYLSDKQANEKEVWVVKDGVRKHIQAQDIHVGDVVWLRENDEVPCDLVLIGTSDPQGICYIETSALDGETDLKTRVIPSACVGLVSEQLQRIKGVIECPKPDKDIRRFDANMRLFPPFLDNDLCPLTINNTLLQSCYLRNTEWACGVSVYTGNETKLGMSRGIPEPKLTAVDAMIDKLTGAIFLFQIVVVIVLGFAGNVWKDTEARQQWYVMYPEEAPWYELLVIPLRFELLCSIMIPISIKVSLDLVKSLYAKFIDWDEEMYDRDTNTPSHAANTAISEDLGQVEYILTDKTGTLTENKMLFRRCCINSIYYGNESGDALKDAELLNAVSNNVPDVIRFLTVMAVCNTVVPIRSDSGTILYKAQSQDEEALVNAAACLRMVLISRNGNTLDIKFNTSIIQYEILDILEFTSDRKRMSVVVKDCQNEKILLLSKGADEAIFPLARSGQQIRTTVEAVEQYAQLGLRTLCLGWRELEEDEYREWARLFKEASSTLIDREWKLAEICQRLEHDLEILGVSAIEDRLQDGVPETIETLRQAGINFWMLTGDKQNTAIQIALLCNFISSEPKGQLLFINGKSEDEVLRSLERVLLTMSITTTEPKDMAFVVDGWALEIVLKHYREAFTELAILSRTAICCRVTPSQKAQLVELLKSCEYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQILFSLFSGISGTSLFNSVSLMAYNVFYTSLPVLTSVLDKDLSEKTVMQHPRILFYCQAGRLLNPSTFAGWFGRSLYHAVVVFLVSVHVYANEKCEMEEVSMVALSGCIWLQAFVVALEMNSFTILQHLAIWGNFTAFYAINCVVSALPSAGMYTIMFRLVRQPSYWITMTLITAIGMGPVLALKYFRYTYRSSAINLLQQAERSRTPFFSIGNLESQLKSTQKDAESLSPQPKSRSAVYEPLLTDSPTAVRRSIGSSTQDFFQPSQSRLSSYARNYKSK >DRNTG_10747.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26118501:26128327:1 gene:DRNTG_10747 transcript:DRNTG_10747.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT5G44240) UniProtKB/TrEMBL;Acc:F4K8T6] MSVVVKDCQNEKILLLSKGADEAIFPLARSGQQIRTTVEAVEQYAQLGLRTLCLGWRELEEDEYREWARLFKEASSTLIDREWKLAEICQRLEHDLEILGVSAIEDRLQDGVPETIETLRQAGINFWMLTGDKQNTAIQIALLCNFISSEPKGQLLFINGKSEDEVLRSLERVLLTMSITTTEPKDMAFVVDGWALEIVLKHYREAFTELAILSRTAICCRVTPSQKAQLVELLKSCEYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQILFSLFSGISGTSLFNSVSLMAYNVFYTSLPVLTSVLDKDLSEKTVMQHPRILFYCQAGRLLNPSTFAGWFGRSLYHAVVVFLVSVHVYANEKCEMEEVSMVALSGCIWLQAFVVALEMNSFTILQHLAIWGNFTAFYAINCVVSALPSAGMYTIMFRLVRQPSYWITMTLITAIGMGPVLALKYFRYTYRSSAINLLQQAERSRTPFFSIGNLESQLKSTQKDAESLSPQPKSRSAVYEPLLTDSPTAVRRSIGSSTQDFFQPSQSRLSSYARNYKSK >DRNTG_10747.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26107383:26112722:1 gene:DRNTG_10747 transcript:DRNTG_10747.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT5G44240) UniProtKB/TrEMBL;Acc:F4K8T6] MKRFVYINENDAVKDLYCDNRISNRKYTLLNFLPKNLWEQFRRFMNQYFLLIACLQLWPLITPVNPASTWGPLIFIFAVSATKEAWDDYNRYLSDKQANEKEVWVVKDGVRKHIQAQDIHVGDVVWLRENDEVPCDLVLIGTSDPQGICYIETSALDGETDLKTRVIPSACVGLVSEQLQRIKGVIECPKPDKDIRRFDANMRLFPPFLDNDLCPLTINNTLLQSCYLRNTEWACGVSVYT >DRNTG_10747.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26113485:26128327:1 gene:DRNTG_10747 transcript:DRNTG_10747.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT5G44240) UniProtKB/TrEMBL;Acc:F4K8T6] MSRGIPEPKLTAVDAMIDKLTGAIFLFQIVVVIVLGFAGNVWKDTEARQQWYVMYPEEAPWYELLVIPLRFELLCSIMIPISIKVSLDLVKSLYAKFIDWDEEMYDRDTNTPSHAANTAISEDLGQVEYILTDKTGTLTENKMLFRRCCINSIYYGNESGDALKDAELLNAVSNNVPDVIRFLTVMAVCNTVVPIRSDSGTILYKAQSQDEEALVNAAACLRMVLISRNGNTLDIKFNTSIIQYEILDILEFTSDRKRMSVVVKDCQNEKILLLSKGADEAIFPLARSGQQIRTTVEAVEQYAQLGLRTLCLGWRELEEDEYREWARLFKEASSTLIDREWKLAEICQRLEHDLEILGVSAIEDRLQDGVPETIETLRQAGINFWMLTGDKQNTAIQIALLCNFISSEPKGQLLFINGKSEDEVLRSLERVLLTMSITTTEPKDMAFVVDGWALEIVLKHYREAFTELAILSRTAICCRVTPSQKAQLVELLKSCEYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQILFSLFSGISGTSLFNSVSLMAYNVFYTSLPVLTSVLDKDLSEKTVMQHPRILFYCQAGRLLNPSTFAGWFGRSLYHAVVVFLVSVHVYANEKCEMEEVSMVALSGCIWLQAFVVALEMNSFTILQHLAIWGNFTAFYAINCVVSALPSAGMYTIMFRLVRQPSYWITMTLITAIGMGPVLALKYFRYTYRSSAINLLQQAERSRTPFFSIGNLESQLKSTQKDAESLSPQPKSRSAVYEPLLTDSPTAVRRSIGSSTQDFFQPSQSRLSSYARNYKSK >DRNTG_10747.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26107447:26128327:1 gene:DRNTG_10747 transcript:DRNTG_10747.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT5G44240) UniProtKB/TrEMBL;Acc:F4K8T6] MQSSLTGMRKCMIGILIHLLMQQTISEDLGQVEYILTDKTGTLTENKMLFRRCCINSIYYGNESGDALKDAELLNAVSNNVPDVIRFLTVMAVCNTVVPIRSDSGTILYKAQSQDEEALVNAAACLRMVLISRNGNTLDIKFNTSIIQYEILDILEFTSDRKRMSVVVKDCQNEKILLLSKGADEAIFPLARSGQQIRTTVEAVEQYAQLGLRTLCLGWRELEEDEYREWARLFKEASSTLIDREWKLAEICQRLEHDLEILGVSAIEDRLQDGVPETIETLRQAGINFWMLTGDKQNTAIQIALLCNFISSEPKGQLLFINGKSEDEVLRSLERVLLTMSITTTEPKDMAFVVDGWALEIVLKHYREAFTELAILSRTAICCRVTPSQKAQLVELLKSCEYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQILFSLFSGISGTSLFNSVSLMAYNVFYTSLPVLTSVLDKDLSEKTVMQHPRILFYCQAGRLLNPSTFAGWFGRSLYHAVVVFLVSVHVYANEKCEMEEVSMVALSGCIWLQAFVVALEMNSFTILQHLAIWGNFTAFYAINCVVSALPSAGMYTIMFRLVRQPSYWITMTLITAIGMGPVLALKYFRYTYRSSAINLLQQAERSRTPFFSIGNLESQLKSTQKDAESLSPQPKSRSAVYEPLLTDSPTAVRRSIGSSTQDFFQPSQSRLSSYARNYKSK >DRNTG_10747.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26107447:26112722:1 gene:DRNTG_10747 transcript:DRNTG_10747.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT5G44240) UniProtKB/TrEMBL;Acc:F4K8T6] MKRFVYINENDAVKDLYCDNRISNRKYTLLNFLPKNLWEQFRRFMNQYFLLIACLQLWPLITPVNPASTWGPLIFIFAVSATKEAWDDYNRYLSDKQANEKEVWVVKDGVRKHIQAQDIHVGDVVWLRENDEVPCDLVLIGTSDPQGICYIETSALDGETDLKTRVIPSACVGLVSEQLQRIKGVIECPKPDKDIRRFDANMRLFPPFLDNDLCPLTINNTLLQSCYLRNTEWACGVSVYT >DRNTG_10747.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26113485:26128327:1 gene:DRNTG_10747 transcript:DRNTG_10747.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT5G44240) UniProtKB/TrEMBL;Acc:F4K8T6] MAVCNTVVPIRSDSGTILYKAQSQDEEALVNAAACLRMVLISRNGNTLDIKFNTSIIQYEILDILEFTSDRKRMSVVVKDCQNEKILLLSKGADEAIFPLARSGQQIRTTVEAVEQYAQLGLRTLCLGWRELEEDEYREWARLFKEASSTLIDREWKLAEICQRLEHDLEILGVSAIEDRLQDGVPETIETLRQAGINFWMLTGDKQNTAIQIALLCNFISSEPKGQLLFINGKSEDEVLRSLERVLLTMSITTTEPKDMAFVVDGWALEIVLKHYREAFTELAILSRTAICCRVTPSQKAQLVELLKSCEYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQILFSLFSGISGTSLFNSVSLMAYNVFYTSLPVLTSVLDKDLSEKTVMQHPRILFYCQAGRLLNPSTFAGWFGRSLYHAVVVFLVSVHVYANEKCEMEEVSMVALSGCIWLQAFVVALEMNSFTILQHLAIWGNFTAFYAINCVVSALPSAGMYTIMFRLVRQPSYWITMTLITAIGMGPVLALKYFRYTYRSSAINLLQQAERSRTPFFSIGNLESQLKSTQKDAESLSPQPKSRSAVYEPLLTDSPTAVRRSIGSSTQDFFQPSQSRLSSYARNYKSK >DRNTG_10747.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26119304:26128327:1 gene:DRNTG_10747 transcript:DRNTG_10747.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT5G44240) UniProtKB/TrEMBL;Acc:F4K8T6] MLTGDKQNTAIQIALLCNFISSEPKGQLLFINGKSEDEVLRSLERVLLTMSITTTEPKDMAFVVDGWALEIVLKHYREAFTELAILSRTAICCRVTPSQKAQLVELLKSCEYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQILFSLFSGISGTSLFNSVSLMAYNVFYTSLPVLTSVLDKDLSEKTVMQHPRILFYCQAGRLLNPSTFAGWFGRSLYHAVVVFLVSVHVYANEKCEMEEVSMVALSGCIWLQAFVVALEMNSFTILQHLAIWGNFTAFYAINCVVSALPSAGMYTIMFRLVRQPSYWITMTLITAIGMGPVLALKYFRYTYRSSAINLLQQAERSRTPFFSIGNLESQLKSTQKDAESLSPQPKSRSAVYEPLLTDSPTAVRRSIGSSTQDFFQPSQSRLSSYARNYKSK >DRNTG_10747.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26113485:26128327:1 gene:DRNTG_10747 transcript:DRNTG_10747.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT5G44240) UniProtKB/TrEMBL;Acc:F4K8T6] MAVCNTVVPIRSDSGTILYKAQSQDEEALVNAAACLRMVLISRNGNTLDIKFNTSIIQYEILDILEFTSDRKRMSVVVKDCQNEKILLLSKGADEAIFPLARSGQQIRTTVEAVEQYAQLGLRTLCLGWRELEEDEYREWARLFKEASSTLIDREWKLAEICQRLEHDLEILGVSAIEDRLQDGVPETIETLRQAGINFWMLTGDKQNTAIQIALLCNFISSEPKGQLLFINGKSEDEVLRSLERVLLTMSITTTEPKDMAFVVDGWALEIVLKHYREAFTELAILSRTAICCRVTPSQKAQLVELLKSCEYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQILFSLFSGISGTSLFNSVSLMAYNVFYTSLPVLTSVLDKDLSEKTVMQHPRILFYCQAGRLLNPSTFAGWFGRSLYHAVVVFLVSVHVYANEKCEMEEVSMVALSGCIWLQAFVVALEMNSFTILQHLAIWGNFTAFYAINCVVSALPSAGMYTIMFRLVRQPSYWITMTLITAIGMGPVLALKYFRYTYRSSAINLLQQAERSRTPFFSIGNLESQLKSTQKDAESLSPQPKSRSAVYEPLLTDSPTAVRRSIGSSTQDFFQPSQSRLSSYARNYKSK >DRNTG_10747.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26113485:26128327:1 gene:DRNTG_10747 transcript:DRNTG_10747.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT5G44240) UniProtKB/TrEMBL;Acc:F4K8T6] MQSSLTGMRKCMIGILIHLLMQQTISEDLGQVEYILTDKTGTLTENKMLFRRCCINSIYYGNESGDALKDAELLNAVSNNVPDVIRFLTVMAVCNTVVPIRSDSGTILYKAQSQDEEALVNAAACLRMVLISRNGNTLDIKFNTSIIQYEILDILEFTSDRKRMSVVVKDCQNEKILLLSKGADEAIFPLARSGQQIRTTVEAVEQYAQLGLRTLCLGWRELEEDEYREWARLFKEASSTLIDREWKLAEICQRLEHDLEILGVSAIEDRLQDGVPETIETLRQAGINFWMLTGDKQNTAIQIALLCNFISSEPKGQLLFINGKSEDEVLRSLERVLLTMSITTTEPKDMAFVVDGWALEIVLKHYREAFTELAILSRTAICCRVTPSQKAQLVELLKSCEYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQILFSLFSGISGTSLFNSVSLMAYNVFYTSLPVLTSVLDKDLSEKTVMQHPRILFYCQAGRLLNPSTFAGWFGRSLYHAVVVFLVSVHVYANEKCEMEEVSMVALSGCIWLQAFVVALEMNSFTILQHLAIWGNFTAFYAINCVVSALPSAGMYTIMFRLVRQPSYWITMTLITAIGMGPVLALKYFRYTYRSSAINLLQQAERSRTPFFSIGNLESQLKSTQKDAESLSPQPKSRSAVYEPLLTDSPTAVRRSIGSSTQDFFQPSQSRLSSYARNYKSK >DRNTG_10747.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26115929:26128327:1 gene:DRNTG_10747 transcript:DRNTG_10747.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT5G44240) UniProtKB/TrEMBL;Acc:F4K8T6] MAVCNTVVPIRSDSGTILYKAQSQDEEALVNAAACLRMVLISRNGNTLDIKFNTSIIQYEILDILEFTSDRKRMSVVVKDCQNEKILLLSKGADEAIFPLARSGQQIRTTVEAVEQYAQLGLRTLCLGWRELEEDEYREWARLFKEASSTLIDREWKLAEICQRLEHDLEILGVSAIEDRLQDGVPETIETLRQAGINFWMLTGDKQNTAIQIALLCNFISSEPKGQLLFINGKSEDEVLRSLERVLLTMSITTTEPKDMAFVVDGWALEIVLKHYREAFTELAILSRTAICCRVTPSQKAQLVELLKSCEYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQILFSLFSGISGTSLFNSVSLMAYNVFYTSLPVLTSVLDKDLSEKTVMQHPRILFYCQAGRLLNPSTFAGWFGRSLYHAVVVFLVSVHVYANEKCEMEEVSMVALSGCIWLQAFVVALEMNSFTILQHLAIWGNFTAFYAINCVVSALPSAGMYTIMFRLVRQPSYWITMTLITAIGMGPVLALKYFRYTYRSSAINLLQQAERSRTPFFSIGNLESQLKSTQKDAESLSPQPKSRSAVYEPLLTDSPTAVRRSIGSSTQDFFQPSQSRLSSYARNYKSK >DRNTG_10747.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26120640:26128327:1 gene:DRNTG_10747 transcript:DRNTG_10747.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT5G44240) UniProtKB/TrEMBL;Acc:F4K8T6] MLTGDKQNTAIQIALLCNFISSEPKGQLLFINGKSEDEVLRSLERVLLTMSITTTEPKDMAFVVDGWALEIVLKHYREAFTELAILSRTAICCRVTPSQKAQLVELLKSCEYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQILFSLFSGISGTSLFNSVSLMAYNVFYTSLPVLTSVLDKDLSEKTVMQHPRILFYCQAGRLLNPSTFAGWFGRSLYHAVVVFLVSVHVYANEKCEMEEVSMVALSGCIWLQAFVVALEMNSFTILQHLAIWGNFTAFYAINCVVSALPSAGMYTIMFRLVRQPSYWITMTLITAIGMGPVLALKYFRYTYRSSAINLLQQAERSRTPFFSIGNLESQLKSTQKDAESLSPQPKSRSAVYEPLLTDSPTAVRRSIGSSTQDFFQPSQSRLSSYARNYKSK >DRNTG_10747.17.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26112365:26112722:1 gene:DRNTG_10747 transcript:DRNTG_10747.17 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT5G44240) UniProtKB/TrEMBL;Acc:F4K8T6] MRLFPPFLDNDLCPLTINNTLLQSCYLRNTEWACGVSVYT >DRNTG_10747.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26115929:26128327:1 gene:DRNTG_10747 transcript:DRNTG_10747.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT5G44240) UniProtKB/TrEMBL;Acc:F4K8T6] MYDRDTNTPSHAANTAISEDLGQVEYILTDKTGTLTENKMLFRRCCINSIYYGNESGDALKDAELLNAVSNNVPDVIRFLTVMAVCNTVVPIRSDSGTILYKAQSQDEEALVNAAACLRMVLISRNGNTLDIKFNTSIIQYEILDILEFTSDRKRMSVVVKDCQNEKILLLSKGADEAIFPLARSGQQIRTTVEAVEQYAQLGLRTLCLGWRELEEDEYREWARLFKEASSTLIDREWKLAEICQRLEHDLEILGVSAIEDRLQDGVPETIETLRQAGINFWMLTGDKQNTAIQIALLCNFISSEPKGQLLFINGKSEDEVLRSLERVLLTMSITTTEPKDMAFVVDGWALEIVLKHYREAFTELAILSRTAICCRVTPSQKAQLVELLKSCEYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQILFSLFSGISGTSLFNSVSLMAYNVFYTSLPVLTSVLDKDLSEKTVMQHPRILFYCQAGRLLNPSTFAGWFGRSLYHAVVVFLVSVHVYANEKCEMEEVSMVALSGCIWLQAFVVALEMNSFTILQHLAIWGNFTAFYAINCVVSALPSAGMYTIMFRLVRQPSYWITMTLITAIGMGPVLALKYFRYTYRSSAINLLQQAERSRTPFFSIGNLESQLKSTQKDAESLSPQPKSRSAVYEPLLTDSPTAVRRSIGSSTQDFFQPSQSRLSSYARNYKSK >DRNTG_10747.16.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26107447:26108745:1 gene:DRNTG_10747 transcript:DRNTG_10747.16 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT5G44240) UniProtKB/TrEMBL;Acc:F4K8T6] MKRFVYINENDAVKDLYCDNRISNRKYTLLNFLPKNLWEQFR >DRNTG_10747.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26109659:26112722:1 gene:DRNTG_10747 transcript:DRNTG_10747.14 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT5G44240) UniProtKB/TrEMBL;Acc:F4K8T6] MNQYFLLIACLQLWPLITPVNPASTWGPLIFIFAVSATKEAWDDYNRYLSDKQANEKEVWVVKDGVRKHIQAQDIHVGDVVWLRENDEVPCDLVLIGTSDPQGICYIETSALDGETDLKTRVIPSACVGLVSEQLQRIKGVIECPKPDKDIRRFDANMRLFPPFLDNDLCPLTINNTLLQSCYLRNTEWACGVSVYT >DRNTG_08719.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4948219:4948752:-1 gene:DRNTG_08719 transcript:DRNTG_08719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAAKAYDEAARLICGTRARTNFPYDPNGSGRSSTLSTGLMEKLEKYCGVMKEESKKSRTEIVGKEEINYLNDEFIEEMIEELIYYGSMELSSSSSSSSL >DRNTG_23086.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1401099:1401760:-1 gene:DRNTG_23086 transcript:DRNTG_23086.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFENHGVGRSGNEAPICFSRKARLESSPHPVFVNKGKTSHKALSVPSNPD >DRNTG_23086.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1401099:1402744:-1 gene:DRNTG_23086 transcript:DRNTG_23086.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFENHGVGRSGNEAPICFSRKARLESSPHPVFVNKGKTSHKALSVPSNPD >DRNTG_29847.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23143545:23146977:1 gene:DRNTG_29847 transcript:DRNTG_29847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRCLTDCLSEEEREMGWAIALHGGAGDIPVTLAEERRAPREATLGRCLSLGVAALKANQAPLDVVELVVRELENCPHFNAGKGSVLTTKGTVEMEACIMDGITKNCGAVSGVSTVVNPISLARVIMEKTPHIYLGFDGAEAFAREQGVEVAETSHFITQENVERLKQAKGANRVQIDYTQPISAVNGDSQIGTVGCVAVDGAGNLAAATSTGGLVNKMAGKGEFIIRATVARDVAAVMEYKGLSLKEAATYVIDSVPKGNAGLVAVSANGEVAMPYNTTGMFRACATEDGYSEVGIWDTEVEHNFIDNSKS >DRNTG_25457.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1527799:1529656:-1 gene:DRNTG_25457 transcript:DRNTG_25457.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase QRT1 [Source:Projected from Arabidopsis thaliana (AT5G55590) UniProtKB/Swiss-Prot;Acc:Q9FM79] MDLLHVLILFLLCFTVLGLQQDERLISWNDLVLNDRVSSESESLRNRVISVSKDGNGDSVTVQGAVDLVPHGNNQRVKIFISPGVYREKVLVPSTKPYISFISKGSSETVIAWNSQASDLLSNGQTIGTLNSASVAVEADYFCANGITFKNTAQAAQPGAVGMQAVALRLSGDKAVLYRCRILGNQDTLFDHQGRHLFKQCYIEGSIDFIFGSARSLYQGCELHAVAQSYGAIAASQRSSPSDDSGFSFTDCKVTGSGLVYLGRAWGRTVWFGEFENTGSGANLSQRVPWARSLKNDEAKPFLDQNYIDGDQWVKL >DRNTG_16282.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3482751:3506304:-1 gene:DRNTG_16282 transcript:DRNTG_16282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIFTLLSLGLGLPAEKLETEAGGMENILFQMKINYYPKCPQPNLALGVEAHTDVSHLTFIFHNNIPGLQVYYDGKWVTAKNVSDSIIVHIGDSLEILSNGLFKSVLHRGLVNKEKVRISWTIFAEPHKDNVLLRPLPELVSDASPPKFGPRTFAQHVRQKLFKIKDDESSPPPAAAAAAAPAAAAK >DRNTG_16282.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3505459:3506304:-1 gene:DRNTG_16282 transcript:DRNTG_16282.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIFTLLSLGLGLPAEKLETEAGGMENILFQMKINYYPKCPQPNLALGVEAHTDVSHLTFIFHNNIPGLQVYYDGKWVTAKNVSDSIIVHIGDSLEILSNGLFKSVLHRGLVNKEKVRISWTIFAEPHKDNVLLRPLPELVSDASPPKFGPRTFAQHVRQKLFKIKDDESSPPPAAAAAAAAADAAAK >DRNTG_16282.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3482751:3506304:-1 gene:DRNTG_16282 transcript:DRNTG_16282.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIFTLLSLGLGLPAEKLETEAGGMENILFQMKINYYPKCPQPNLALGVEAHTDVSHLTFIFHNNIPGLQVYYDGKWVTAKNVSDSIIVHIGDSLEILSNGLFKSVLHRGLVNKEKVRISWTIFAEPHKDNVLLRPLPELVSDASPPKFGPRTFAQHVRQKLFKIKDDESSPPPAAAAPAAAAK >DRNTG_16282.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3482751:3506304:-1 gene:DRNTG_16282 transcript:DRNTG_16282.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIFTLLSLGLGLPAEKLETEAGGMENILFQMKINYYPKCPQPNLALGVEAHTDVSHLTFIFHNNIPGLQVYYDGKWVTAKNVSDSIIVHIGDSLEILSNGLFKSVLHRGLVNKEKVRISWTIFAEPHKDNVLLRPLPELVSDASPPKFGPRTFAQHVRQKLFKIKDDESSPPPAAAAAAPAAAAK >DRNTG_02153.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29038282:29040361:-1 gene:DRNTG_02153 transcript:DRNTG_02153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLHLHGSLLVSGPRRSSFTRISPPMISRWSSKSSKMTLRASTEDLRLEIDENPEGIISGEWEGNFSILTYSDLKAYLESQTMPDHKITPTAVLGEVMSSPIRTATVDQSLEEIEHHFEFVSGLPVVDGDVRCVGVVSRKDFSRASHGLKSKVGEVMSSPAITLSPDKTGLDAAALMLKMKVDRIPIVNQKGQTIGIVARSDVFPALEAQEA >DRNTG_32799.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12305565:12313824:-1 gene:DRNTG_32799 transcript:DRNTG_32799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILFTRMLSSIFGNREARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVTAKEEFHAILEEDELKGAVVLVYANKQDLPGALNDAAITEALELHKIKSRQWAIFKTSAIKGEGLFEGLDWLSNTLKSGGG >DRNTG_20650.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20392276:20398736:-1 gene:DRNTG_20650 transcript:DRNTG_20650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSNSSKKETQTSKTSRTRSSEGLQRSSPATPKSTRGTKTNGSESDSPATHTPSRISTDHSPKVVQRRSPRSPATEKKRPSRMKELEVQLNQVQEDLKKAKDQLNSSEAWKKKAQQEAEEAKTQLAAMSAKLEESQLQLVEFSAAEEDRLQELRRISQDRDRAWQSELEAIQKQHSMDSAALGSAMSEMQRLKVQLEKVVKSEAAQAEQSQLASSELQDLKQELAETMSTIENLKFQLGDSQKAEANARAMVSETQEQLEAAKSMADTLRSDSLKFSESLDATVSELNESRARVSLLEETVRKLEEELLAARNVSVIDHEGKGDELSSNNHDSSLATQVEQLKSDLEAAEIKRQEEQIQSTMQIQSAHEMAARLKNELEQREAVLESELKNAKAEIFNLKTSLIDKETELQNILDVNKELEAEKRQSLASEAETELENKLMEMKANLMDKETELQSISEENEKLKQEMGNKEVESQKSYEAVIAEVELAKAAERDALLRLGYVTEEADKSSRRAARVTEQLDAAQAVNTEMETELRKLRVQSDQWRKAAEAAAAVLTTGNNGRPMERTGSLDTDYNSIAGKLMSSPFSDDLSDDSPKKKNNTMLKKIGGLWKKGPK >DRNTG_20650.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20392276:20398736:-1 gene:DRNTG_20650 transcript:DRNTG_20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSNSSKKETQTSKTRTRSSEGLQRSSPATPKSTRGTKTNGSESDSPATHTPSRISTDHSPKVVQRRSPRSPATEKKRPSRMKELEVQLNQVQEDLKKAKDQLNSSEAWKKKAQQEAEEAKTQLAAMSAKLEESQLQLVEFSAAEEDRLQELRRISQDRDRAWQSELEAIQKQHSMDSAALGSAMSEMQRLKVQLEKVVKSEAAQAEQSQLASSELQDLKQELAETMSTIENLKFQLGDSQKAEANARAMVSETQEQLEAAKSMADTLRSDSLKFSESLDATVSELNESRARVSLLEETVRKLEEELLAARNVSVIDHEGKGDELSSNNHDSSLATQVEQLKSDLEAAEIKRQEEQIQSTMQIQSAHEMAARLKNELEQREAVLESELKNAKAEIFNLKTSLIDKETELQNILDVNKELEAEKRQSLASEAETELENKLMEMKANLMDKETELQSISEENEKLKQEMGNKEVESQKSYEAVIAEVELAKAAERDALLRLGYVTEEADKSSRRAARVTEQLDAAQAVNTEMETELRKLRVQSDQWRKAAEAAAAVLTTGNNGRPMERTGSLDTDYNSIAGKLMSSPFSDDLSDDSPKKKNNTMLKKIGGLWKKGPK >DRNTG_29237.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3340799:3341296:-1 gene:DRNTG_29237 transcript:DRNTG_29237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERRGEQRLRRQLLQVRGSRRSSRASTREWRRRGGENNGGTDTATLEKIWVGGLQIFFKVYISR >DRNTG_07678.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23284613:23286669:-1 gene:DRNTG_07678 transcript:DRNTG_07678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLSIANAALQANGKGFSDFSGLRTSSASLPFSRKASPDDFVSVIAFQTSAVGGNGGYRKGVVEAKIKVAINGFGRIGRNFLRCWHGRKDSPLDVIAINDTGGVKQASHLLKYDSTLGIFEADVKPASDTSISVDGKVIRVVSDRNPANLPWKEMGIDLVIEGTGVFVDREGAGKHIQAGAKKVLITAPGKGDIPTYVVGVNEDSYNPDEPIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPTLKGKLNGIALRVPTPNVSVVDLVVQVSKKTFAEEVNAAFRESADKELQGILSVCDEPLVSVDFRCSDVSSTVDSSLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANNMK >DRNTG_06841.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6418131:6436701:1 gene:DRNTG_06841 transcript:DRNTG_06841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTILAVMDQYAERIKLLEKSLATISQYIENHQAQSLNNTMQPLSQPVSDLSSLTKPCTVILLPIQLDFPRIVIQFMVNIVHTLMHRTHSEARFTGGFLDAMTEEESIKVGDEAPLKPQRPPLQTLAVSPLKSRTKDEKE >DRNTG_14996.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23950591:23951200:-1 gene:DRNTG_14996 transcript:DRNTG_14996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKANWSLQADENSLLLFFFIFFFVVVFTGLCVTALLRKKNMKNSTLVNNDNEEEEEDDDDDEEEEEEEEEGSGWERTRKMLARTLRWSEATRWQNEWAAMAEMRCNSRRDEHRNAMASPLWKRRILMGEKCELPRFSGVILYDEHGFPLRDSPREEIDQHSYYRDEPVKVLTTLKDLL >DRNTG_35021.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2312085:2314505:-1 gene:DRNTG_35021 transcript:DRNTG_35021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPQMCNIVCKIKLNDKEVKEFVEKIDDDYRVNMILDNLPLVVPAQRVNQDSTIIYQLGFFVGLKLSFSGSKDYKYFINNHLSFTVKYHKDEEMDLARIVGFEVKPFSVKHVFEGQWSGNTTRLTTCDPHAKQTVVNSNNPQEIEADKDIIFTYDVEFKESDVKWASRWDTYLLMADDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETQEEAQEETGWKLVHADVFRPRLIQTCCVCMLEQVFSSLGCCL >DRNTG_30894.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:395615:396335:-1 gene:DRNTG_30894 transcript:DRNTG_30894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDMITKFSNPSITTSQVKTLEQELKKTYKLLKGFSKLSGFGWDYERHIVSTPDDVWAPLLERNRDERKWHTRPFPYFMALQEVYEGRYAEGKRSRGIEDYEDISQSPVHTPSPSVFPPNNSRQPSPTHETEDDDIMQFEPPSSQPRNPQTQSSSNEILQGLRDQDGHKRKRERKRKKPQDSSFNMDKYIAF >DRNTG_17278.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5176123:5180241:-1 gene:DRNTG_17278 transcript:DRNTG_17278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVYGYMEPHHGPCSLSHQIDQNSHPYYHSMPMHYNAMTMNNSPDYNACCNKSYPYGYQAFRPPYFHYQPPPQFYQHGPYPPFPDHPYYSPYFIPPPPDNHCCGCPNYACHQRENNGVKILKQSPEPEQKKSSDLSNYHYPVVWFPPDYLNEKNMNNLKNLVHGGEEKKKTQPPWPIVWMPGNEKPEGNVKELKEINDAPKSVEEPPKFKIIPLKFLENGVEKENKENNKVRSIPVKQFEEMPEMQRQGDDVKKSSVVEMPMEEKKDVKKSNSGKLTSPAKSSKLPPVCLRVDPLPKKKGSNGSSMSPCPPEIKETKKDNEKEDIKVVEIKDKSSKNVEQVHEKVVSDDKKVEGKSSEDENLKNITEAASDRKKSEVKKITKSMSESEAAVLIQSAYRGYEVRRMQVLEKLRKIARVQERIADVRTQIHQFEASKERDSKQQAVISETVMNLLLQLDTIQGLHPDVREIRKSVARELVFLQEKLDTLGSCIAKSNGSDEANKQSKDEVLEMGTQSVAVIDGEKYEEITEDEQRGSAEMTEPVEHEKYASDSSTNTIDSKMVEESQLICDRKETEAMDDKIGESIDPPVSSVCREENEDRTTTTPTEPIESTNDSGKALDTQPEYVEQMPNIVDEVEKIAAETSTITPEIVPAQSEFAQDLEKQIMHQSDNKEKILAMPCNEEVIAESVALVEGDQIEKIAEEISAEKLKLKEESDGEKSVPAVGNEIAKIAEESATILTTDIDPTLSETSEISEEKTGNNEESSSIIQSVIADEAHTFVKIAAESATATTLDIVPTQSGQTELSEEKPDSTEESSLIMPLLTEGEGKIENVAEDSAAMEFDIVSTQSEHAEILEDKPDFNEESSSIMQSIAAERAKIEHIAEESAVIMTPDIVPTKNDSADNQVVNAQDTFSEEKRLLEENQKLREMLEKLFEAGKEQLEVISTLNRRVKNLEKKVTSSQKKKPRVKMNKTSKSTTYFTGNNNTVTSAA >DRNTG_28512.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9198800:9199462:-1 gene:DRNTG_28512 transcript:DRNTG_28512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYKINNEIKSKCKQSIEITPLSSVSMVFKSCLIFSKNSFIKPRAHLVESMPTKALPIALL >DRNTG_16119.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1483932:1487710:-1 gene:DRNTG_16119 transcript:DRNTG_16119.12 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQELQRRSVEHPPEDPEAHGNPEDDDDEEVDDDDEEEEEASNELLGRLSDGTVRVRVHDVVIRGNVVTKESIIEAEVADLFRSVSTLKELVQAAATANAMLRQLGIFDSVSITLDAGPPELTDTANVVIQLVEAKNPLTGDIGIYTRPEARTWTLEGSLKRKNYFGYGDIWDASLAYGWDQTTELGVGVYLPRFRVLSSPLSARISLLTQDWLKFSSYKEHLLGLSLGLFSKREHDLSYNLTWRALADPSQMASKSVRRQLGHSLLSSIKYTFKVDHRDSFLRPRTGYAFLSTTQIAGLGPDSKSVRFLRQVCFFFLIFCCLQKD >DRNTG_16119.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1481470:1487710:-1 gene:DRNTG_16119 transcript:DRNTG_16119.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQELQRRSVEHPPEDPEAHGNPEDDDDEEVDDDDEEEEEASNELLGRLSDGTVRVRVHDVVIRGNVVTKESIIEAEVADLFRSVSTLKELVQAAATANAMLRQLGIFDSVSITLDAGPPELTDTANVVIQLVEAKNPLTGDIGIYTRPEARTWTLEGSLKRKNYFGYGDIWDASLAYGWDQTTELGVGVYLPRFRVLSSPLSARISLLTQDWLKFSSYKEHLLGLSLGLFSKREHDLSYNLTWRALADPSQMASKSVRRQLGHSLLSSIKYTFKVDHRDSFLRPRTGYAFLSTTQIAGLGPDSKSVRFLRQEFDLRGALPLDFYNAALNVGVSAGFVMPWGRGFMNNCSPLPDRFFMGGHSSPVCTLAGPTSLLGFKFRGLGPTDSRRHIPSRTGSGSEDSTASPGRDVLGGDIAVTAFADVSFDLPLKLFREAGIHGHAFVCVGNLAKLLPQELKSFSLHKFRETVRSSAGFGLIIPTKLFRMEINYCYILKQFEHDQGKTGIQFNFSSP >DRNTG_16119.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1483819:1485793:-1 gene:DRNTG_16119 transcript:DRNTG_16119.14 gene_biotype:protein_coding transcript_biotype:protein_coding MGKITNVTALGAFLQEFDLRGALPLDFYNAALNVGVSAGFVMPWGRGFMNNCSPLPDRFFMGGHSSPVCTLAGPTSLLGFKFRGLGPTDSRRHIPSRTGSGSEDSTASPGRDVLGGDIAVTAFADVSFDLPLKLFREAGIHGHAFVCVGNLAKLLPQELKSFSLHKFRETVRSSAGFGLIIPTKLFRMEINYCYILKQFEHDQGKTGIQFNFSSP >DRNTG_16119.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1479506:1487710:-1 gene:DRNTG_16119 transcript:DRNTG_16119.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQELQRRSVEHPPEDPEAHGNPEDDDDEEVDDDDEEEEEASNELLGRLSDGTVRVRVHDVVIRGNVVTKESIIEAEVADLFRSVSTLKELVQAAATANAMLRQLGIFDSVSITLDAGPPELTDTANVVIQLVEAKNPLTGDIGIYTRPEARTWTLEGSLKRKNYFGYGDIWDASLAYGWDQTTELGVGVYLPRFRVLSSPLSARISLLTQDWLKFSSYKEHLLGLSLGLFSKREHDLSYNLTWRALADPSQMASKSVRRQLGHSLLSSIKYTFKVDHRDSFLRPRTGYAFLSTTQIAGLGPDSKSVRFLRQEFDLRGALPLDFYNAALNVGVSAGFVMPWGRGFMNNCSPLPDRFFMGGHSSPVCTLAGPTSLLGFKFRGLGPTDSRRHIPSRTGSGSEDSTASPGRDVLGGDIAVTAFADVSFDLPLKLFREAGIHGHAFVCVGNLAKLLPQELKSFSLHKFRETVRSSAGFGLIIPTKLFRMEINYCYILKQFEHDQGKTGIQFNFSSP >DRNTG_16119.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1483932:1487710:-1 gene:DRNTG_16119 transcript:DRNTG_16119.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQELQRRSVEHPPEDPEAHGNPEDDDDEEVDDDDEEEEEASNELLGRLSDGTVRVRVHDVVIRGNVVTKESIIEAEVADLFRSVSTLKELVQAAATANAMLRQLGIFDSVSITLDAGPPELTDTANVVIQLVEAKNPLTGDIGIYTRPEARTWTLEGSLKRKNYFGYGDIWDASLAYGWDQTTELGVGVYLPRFRVLSSPLSARISLLTQDWLKFSSYKEHLLGLSLGLFSKREHDLSYNLTWRALADPSQMASKSVRRQLGHSLLSSIKYTFKVDHRDSFLRPRTGYAFLSTTQIAGLGPDSKSVRFLRQEFDLRGALPLDFYNAALNVGVSAGFVMPWGRGFMNNCSPLPDRFFMGGHSSPVCTLAGPTSLLGFKFRGLGPTDSRRHIPSRTGSGSEDSTASPGRDVLGGDIAVTAFADVSFDLPLKLFREAGIHGHAFVCVGNLAKLLPQELKSFSLHKFRETVRSSAGFGLIIPTKLFRMEINYCYILKQFEHDQGKTGIQFNFSSP >DRNTG_16119.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1483819:1487753:-1 gene:DRNTG_16119 transcript:DRNTG_16119.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQELQRRSVEHPPEDPEAHGNPEDDDDEEVDDDDEEEEEASNELLGRLSDGTVRVRVHDVVIRGNVVTKESIIEAEVADLFRSVSTLKELVQAAATANAMLRQLGIFDSVSITLDAGPPELTDTANVVIQLVEAKNPLTGDIGIYTRPEARTWTLEGSLKRKNYFGYGDIWDASLAYGWDQTTELGVGVYLPRFRVLSSPLSARISLLTQDWLKFSSYKEHLLGLSLGLFSKREHDLSYNLTWRALADPSQMASKSVRRQLGHSLLSSIKYTFKVDHRDSFLRPRTGYAFLSTTQIAGLGPDSKSVRFLRQEFDLRGALPLDFYNAALNVGVSAGFVMPWGRGFMNNCSPLPDRFFMGGHSSPVCTLAGPTSLLGFKFRGLGPTDSRRHIPSRTGSGSEDSTASPGRDVLGGDIAVTAFADVSFDLPLKLFREAGIHGHAFVCVGNLAKLLPQELKSFSLHKFRETVRSSAGFGLIIPTKLFRMEINYCYILKQFEHDQGKTGIQFNFSSP >DRNTG_16119.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1483819:1487710:-1 gene:DRNTG_16119 transcript:DRNTG_16119.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQELQRRSVEHPPEDPEAHGNPEDDDDEEVDDDDEEEEEASNELLGRLSDGTVRVRVHDVVIRGNVVTKESIIEAEVADLFRSVSTLKELVQAAATANAMLRQLGIFDSVSITLDAGPPELTDTANVVIQLVEAKNPLTGDIGIYTRPEARTWTLEGSLKRKNYFGYGDIWDASLAYGWDQTTELGVGVYLPRFRVLSSPLSARISLLTQDWLKFSSYKEHLLGLSLGLFSKREHDLSYNLTWRALADPSQMASKSVRRQLGHSLLSSIKYTFKVDHRDSFLRPRTGYAFLSTTQIAGLGPDSKSVRFLRQEFDLRGALPLDFYNAALNVGVSAGFVMPWGRGFMNNCSPLPDRFFMGGHSSPVCTLAGPTSLLGFKFRGLGPTDSRRHIPSRTGSGSEDSTASPGRDVLGGDIAVTAFADVSFDLPLKLFREAGIHGHAFVCVGNLAKLLPQELKSFSLHKFRETVRSSAGFGLIIPTKLFRMEINYCYILKQFEHDQGKTGIQFNFSSP >DRNTG_16119.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1476069:1487710:-1 gene:DRNTG_16119 transcript:DRNTG_16119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQELQRRSVEHPPEDPEAHGNPEDDDDEEVDDDDEEEEEASNELLGRLSDGTVRVRVHDVVIRGNVVTKESIIEAEVADLFRSVSTLKELVQAAATANAMLRQLGIFDSVSITLDAGPPELTDTANVVIQLVEAKNPLTGDIGIYTRPEARTWTLEGSLKRKNYFGYGDIWDASLAYGWDQTTELGVGVYLPRFRVLSSPLSARISLLTQDWLKFSSYKEHLLGLSLGLFSKREHDLSYNLTWRALADPSQMASKSVRRQLGHSLLSSIKYTFKVDHRDSFLRPRTGYAFLSTTQIAGLGPDSKSVRFLRQEFDLRGALPLDFYNAALNVGVSAGFVMPWGRGFMNNCSPLPDRFFMGGHSSPVCTLAGPTSLLGFKFRGLGPTDSRRHIPSRTGSGSEDSTASPGRDVLGGDIAVTAFADVSFDLPLKLFREAGIHGHAFVCVGNLAKLLPQELKSFSLHKFRETVRSSAGFGLIIPTKLFRMEINYCYILKQFEHDQGKTGIQFNFSSP >DRNTG_16119.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1481470:1487710:-1 gene:DRNTG_16119 transcript:DRNTG_16119.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQELQRRSVEHPPEDPEAHGNPEDDDDEEVDDDDEEEEEASNELLGRLSDGTVRVRVHDVVIRGNVVTKESIIEAEVADLFRSVSTLKELVQAAATANAMLRQLGIFDSVSITLDAGPPELTDTANVVIQLVEAKNPLTGDIGIYTRPEARTWTLEGSLKRKNYFGYGDIWDASLAYGWDQTTELGVGVYLPRFRVLSSPLSARISLLTQDWLKFSSYKEHLLGLSLGLFSKREHDLSYNLTWRALADPSQMASKSVRRQLGHSLLSSIKYTFKVDHRDSFLRPRTGYAFLSTTQIAGLGPDSKSVRFLRQEFDLRGALPLDFYNAALNVGVSAGFVMPWGRGFMNNCSPLPDRFFMGGHSSPVCTLAGPTSLLGFKFRGLGPTDSRRHIPSRTGSGSEDSTASPGRDVLGGDIAVTAFADVSFDLPLKLFREAGIHGHAFVCVGNLAKLLPQELKSFSLHKFRETVRSSAGFGLIIPTKLFRMEINYCYILKQFEHDQGKTGIQFNFSSP >DRNTG_16119.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1483819:1487710:-1 gene:DRNTG_16119 transcript:DRNTG_16119.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQELQRRSVEHPPEDPEAHGNPEDDDDEEVDDDDEEEEEASNELLGRLSDGTVRVRVHDVVIRGNVVTKESIIEAEVADLFRSVSTLKELVQAAATANAMLRQLGIFDSVSITLDAGPPELTDTANVVIQLVEAKNPLTGDIGIYTRPEARTWTLEGSLKRKNYFGYGDIWDASLAYGWDQTTELGVGVYLPRFRVLSSPLSARISLLTQDWLKFSSYKEHLLGLSLGLFSKREHDLSYNLTWRALADPSQMASKSVRRQLGHSLLSSIKYTFKVDHRDSFLRPRTGYAFLSTTQIAGLGPDSKSVRFLRQVCFFFLIFCCLQKD >DRNTG_16119.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1483914:1487710:-1 gene:DRNTG_16119 transcript:DRNTG_16119.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQELQRRSVEHPPEDPEAHGNPEDDDDEEVDDDDEEEEEASNELLGRLSDGTVRVRVHDVVIRGNVVTKESIIEAEVADLFRSVSTLKELVQAAATANAMLRQLGIFDSVSITLDAGPPELTDTANVVIQLVEAKNPLTGDIGIYTRPEARTWTLEGSLKRKNYFGYGDIWDASLAYGWDQTTELGVGVYLPRFRVLSSPLSARISLLTQDWLKFSSYKEHLLGLSLGLFSKREHDLSYNLTWRALADPSQMASKSVRRQLGHSLLSSIKYTFKVDHRDSFLRPRTGYAFLSTTQIAGLGPDSKSVRFLRQVCFFFLIFCCLQKD >DRNTG_16119.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1483914:1487710:-1 gene:DRNTG_16119 transcript:DRNTG_16119.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQELQRRSVEHPPEDPEAHGNPEDDDDEEVDDDDEEEEEASNELLGRLSDGTVRVRVHDVVIRGNVVTKESIIEAEVADLFRSVSTLKELVQAAATANAMLRQLGIFDSVSITLDAGPPELTDTANVVIQLVEAKNPLTGDIGIYTRPEARTWTLEGSLKRKNYFGYGDIWDASLAYGWDQTTELGVGVYLPRFRVLSSPLSARISLLTQDWLKFSSYKEHLLGLSLGLFSKREHDLSYNLTWRALADPSQMASKSVRRQLGHSLLSSIKYTFKVDHRDSFLRPRTGYAFLSTTQIAGLGPDSKSVRFLRQEFDLRGALPLDFYNAALNVGVSAGFVMPWGRGFMNNCSPLPDRFFMGGHSSPVCTLAGPTSLLGFKFRGLGPTDSRRHIPSRTGSGSEDSTASPGRDVLGGDIAVTAFADVSFDLPLKLFREAGIHGHAFVCVGNLAKLLPQELKSFSLHKFRETVRSSAGFGLIIPTKLFRMEINYCYILKQFEHDQGKTGIQFNFSSP >DRNTG_16119.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1476191:1487710:-1 gene:DRNTG_16119 transcript:DRNTG_16119.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQELQRRSVEHPPEDPEAHGNPEDDDDEEVDDDDEEEEEASNELLGRLSDGTVRVRVHDVVIRGNVVTKESIIEAEVADLFRSVSTLKELVQAAATANAMLRQLGIFDSVSITLDAGPPELTDTANVVIQLVEAKNPLTGDIGIYTRPEARTWTLEGSLKRKNYFGYGDIWDASLAYGWDQTTELGVGVYLPRFRVLSSPLSARISLLTQDWLKFSSYKEHLLGLSLGLFSKREHDLSYNLTWRALADPSQMASKSVRRQLGHSLLSSIKYTFKVDHRDSFLRPRTGYAFLSTTQIAGLGPDSKSVRFLRQEFDLRGALPLDFYNAALNVGVSAGFVMPWGRGFMNNCSPLPDRFFMGGHSSPVCTLAGPTSLLGFKFRGLGPTDSRRHIPSRTGSGSEDSTASPGRDVLGGDIAVTAFADVSFDLPLKLFREAGIHGHAFVCVGNLAKLLPQELKSFSLHKFRETVRSSAGFGLIIPTKLFRMEINYCYILKQFEHDQGKTGIQFNFSSP >DRNTG_30025.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19291669:19296295:1 gene:DRNTG_30025 transcript:DRNTG_30025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSTTGLVAGSHRRNELHVMHGFDETNKQISHANSKICRVCGDEIGVKDNGEVFVACSECGFPVCKPCYEYERTEGNQACPQCNIRYKRHKGCVRVEGDEDDAADMDDFEDEFQIKSPKKAQDFNSENGEQTQQWPPHRPAFSSIAGSVVGKDLDADRELEGNLEWKDRVEKWKAKQEKRGLISKDDGGDNDNEEDDVLMAEARQPLWRKVPLPSSKINPYRIVIIFRLVILAFFFRFRIMTPAKDAYPLWLISVICEIWFALSWILDQFPKWFPINRETYLDRLSMRFEREGEPNRLLPVDVFVSTVDPLKEPPIITANTVLSILALDYPVDKVSCYVSDDGASMLLFDTLSETAEFARRWVPFCKKYTIEPRAPEFYFSQKIDYLKDKVQPTFVKERRAMKREYEEFKVRINALVAKAQKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSAGALDVEGKELPRLVYVSREKRPGYQHHKKAGAMNALVRVSAVLTNAPFMLNLDCDHYINNSKAVREAMCFLMDPQLGKKLCYVQFPQRFDGIDRHDRYANRNVVFFDINMRGLDGIQGPVYVGTGCVFNRQALYGYDPPVSEKPKKMTCDCWPSWCCCCCGGSKRKSKTRKERNGMGLGGLLKIGKTKKKMQMGKKKGYAKRGFDLEEIEEGLEGYEELEKSSLMSQKNFEKRFGQSPVFITSTLMEDGGLPEGVNTAGLIKEAIHVISCGYEEKTDWGKEIGWIYGSVTEDILTGFKMHCRGWKSVYCMPERPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGNLKWLERFAYINTIVYPFTSIPLLAYCTIPAVCLLTGKFIIPTLTNFASIWFMALFLSIIATGVLELRWSGVSIQDWWRNEQFWVIGGVSAHLFAVFQGLLKVLGGVDTNFHCHSKGGRRFRVR >DRNTG_05746.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7302567:7303284:1 gene:DRNTG_05746 transcript:DRNTG_05746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFKPPNPVTDVNGVSFKFYGRKCPCMGSRGCSHLGDLRGSGVVFRRVTPRDEFIGAVYLLFLNSREVPRATSWHFISLQSKVLNG >DRNTG_34163.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17668497:17674088:1 gene:DRNTG_34163 transcript:DRNTG_34163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISPIDGHRSDQAPSSPAFSPSPSSHHGRHLLPRPRHHQIGGATRRATHKSPTASSSDTVLVAADSDSRPTVTFPGDGSHSFETVTNSSTISQSDSAGGRPPSQLQPDLLGHAQAHSNGKSAEPANSLQYDRLAAGSGGSQGNSTQSAARRNHSVNANHLLNFYYDPISRPQQPSRSPPTRRQRKIKPYNKDLFLQANFKFVVLDNGSYLSETMDPDKMLQWEDVICVRYSSSFPVQCPICLEAPLCPQITSCGHIYCFPCILRYLLMGEEDHKGECWKKCPLCFMSISCKDLYTLRIENVTQFHVGDHVDFTLLTRAKDSLVPSMKNQQGAGLTSDDCDYSDGTFSKFILTSDVELSVMEAKMELSNWLEKAESGLVDDLEKLPYVCGALEQLEERVKNLMEHQKLSASPPKRNHSSAAAHVKVAHLPNNRSQAPSPTSIIKAKNASLEAWELDDGTSQTNGKRDISESSEKHGLEDSGPLSAGLATEKDSYIFYQVIDGQHLILHPLNMKCLLHHYGSYSMLPPRISGVILELETVSQSEAIRRRYRYLSHFSLTTTFQLCEIDLRESLPPESLAPFVEEIKKRGKQRKRLARKEEVEKAKAEAAALRAIPVPSNRGHSSINDAIFSLDDFEALGSGMPSTS >DRNTG_23171.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001260.1:57108:58755:-1 gene:DRNTG_23171 transcript:DRNTG_23171.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSLVYVAWFDSVDEGRISSYWIDGKTPSSIHPVFENLTDVECRSVNGIISFEFSLSLNSSSSPSSFPFHVIDPMSPLKVVWAMGSRWSEDRLSWGNMHFLASDRPVEVLLLGGVVLTENFRPILAIHGLLMFVSWGLLIPSGILLKRYLKFLDYHKAYKMHAYFECLGIVVSLIGVLFAAAELHNFVISSWHVKFGVIGMVLGLLQLINLCVRPKRGVNVDTFLKKRILLTYFHVITGRCAVGAGVIALLSGMYGLGQKYDSEVAERLTWGLVIWFMIVACVVIYLEYLVLKRRRWELNTFGNSWFLEEDDAVDLLQPSEEVNKSESVREEVQLESMNR >DRNTG_13915.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19710593:19711472:1 gene:DRNTG_13915 transcript:DRNTG_13915.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ABI4 [Source:Projected from Arabidopsis thaliana (AT2G40220) UniProtKB/Swiss-Prot;Acc:A0MES8] MDNSSPLSLPIEQCSSSPTSTSTTTTKKKKNKGKGGPDNNKFRYRGVRQRSWGKWVAEIREPRRRTRKWLGTFSTAEDAARAYDRAALVLYGPRAQLNLESSSPHPSTTSSSSSSSSSSSSTTTTTTSSTPPALRPLLPRPSGFPYQPLLHPIRPDNPLLRTPTDYQRTLEIGLSSASGSGSGSKPVYPNPNIYEEINSLAGSVSCGLTLASPEMSLTPPADPSPPAPPVESPPMASTWPFDDYSSYLWDDADAFFFDL >DRNTG_20584.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3282507:3286937:1 gene:DRNTG_20584 transcript:DRNTG_20584.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR3 [Source:Projected from Arabidopsis thaliana (AT1G04190) UniProtKB/TrEMBL;Acc:A0A178WLS7] MAGAGGEGISLKDQGNENFKAGNYLKAAALYTHAIKLEPSNQILYSNRSAAFLHLVKLTKALADAETTITLSPQWEKGYFRKGCVLEAMQRYDEALASFHEAQKYNPQSVEISKKIKRLSQLDKEKKRSQEVENIKSNVDMRNFFGPLKPEILDKFGNAEGCEDVFSFIVETMESAIKTYHETAKLDPRVHFLLDKQRTQTDKYAPAVNIDKAFESPDTHSDCFSFLRQYAEESFSGAACLVAPKKIISYPQVWKGQGSRKWKQSHSDGFFVQFESPAMRKLWFVPSSNEKGQTLCRDPEVLDISVHELLPRLFKGSTPAR >DRNTG_14256.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1010632:1014128:1 gene:DRNTG_14256 transcript:DRNTG_14256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEYLDKMQLRQSYRNLWHTDLLSTIQADFPYCCLSLWCGPCVSYMLRKRALYNDMSRYTCCGGYLPCSGKCGESRCPEFCLCTEVFLCFGNSVASTRFMLQDEFNIQTTQCDNCIIGFMFCLQQVACIFSIVAMIVGSEEIQEAAQILSCLSDFVYCTVCACMQTQHKIELDKRDGVFGPPLPVMAPPTMQQMSRIDQPLPPNVGYPPPPPPPSQPYGQPYGYPQPPPPPPQPYGQPYGNPPPPQGHAYGYPPPAYPPQGYPPAGYK >DRNTG_27781.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001407.1:15585:16992:-1 gene:DRNTG_27781 transcript:DRNTG_27781.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIMLKVLFPVAVCLMFLTIAEANVGDQHKPFPPKHFPKPPVVNPGPKRPPPPPPPRMFPPGKSPSPSPPPNPISPPISPANPPSPSSPPSTTLFPPTPSPLSSPSLSPSPVPSSHSSPGEIPASSPSPFLEPSPSPSPLLPPFSPVPAPPPTPSSTPSPSFGSPTPTPSSAPVPSLPPTPLSGPSPSLGSPSPTPTHSIAPVPSSPFSPSSAPSPSLSPSSPTSNPSLTPSSSPSPSPLFPSFSPIPSPQPTPSSAPSPAVSPSPRR >DRNTG_34690.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22825851:22826068:-1 gene:DRNTG_34690 transcript:DRNTG_34690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMMKKFRQKYRRARDEMDRWDELQSRLVSQFVNACSIIDRLE >DRNTG_34690.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22821851:22826068:-1 gene:DRNTG_34690 transcript:DRNTG_34690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMMKKFRQKYRRARDEMDRWDELQSRLVSQFVNACSIIDRLEVLRDTKNYGILGSVNGIKETLLGKQMEALEMIFCSMKETMKEFYGTVMSFEKIASDGNQLLRGGSIPTAQKQLKIGIWPSLEECVDGLRTIHEMHRSEYLLKSSIVSSLTWMCSASDIGALRQLLMDQPNISTDEVQSIFEIIFAEEIS >DRNTG_26040.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:498517:502524:1 gene:DRNTG_26040 transcript:DRNTG_26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIWDLNNEERVVVKLNNQHIPVGKETTKLTQFIGSMMRMPNFVPITYTTWHGFPDSTKEEIWKVIEAKVQRLKEALITVISLFQKQFPNENVELLNTVTRMANGEEGNPRGDGDVDGEANSPCWRISNVESPSPPLAETGTGTGRASLPLPRPVTTPGYR >DRNTG_25068.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:597911:598207:1 gene:DRNTG_25068 transcript:DRNTG_25068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQEGVELNQFVCSIVIRACASIKNRILGKQMHAATVKSGHDKVLPVGNSIVDMYCRCMSLLEAE >DRNTG_20602.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16097523:16107053:1 gene:DRNTG_20602 transcript:DRNTG_20602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVEPTVGSNFETPIDLEKDVKEQSKEKHALPPAYQPNLPYPVKDTFMKDKLAKLLENGPREDGAPKEAMEESNYLDFVAIEPTIPIHLTSCPSSSSFRALVHRVGLSLCQGCLWPFLIFLNSSLKPEFTRTPAYDTLLISRPGRGDSTRVVSHQDFDFLLSLIDGFHLHLGPLYHSSDQTYGHPRVDRQDLRELGSVELSSFKRFCITIGSGSMLVGAN >DRNTG_29003.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22347716:22348357:1 gene:DRNTG_29003 transcript:DRNTG_29003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSATLVLILLLITTFLQAAMAGSGFCSGKCKVRCSKASIKDRCLKYCNICCKECKCVPSGTYGNKDQCPCYRDKVVKGSKKPKCP >DRNTG_35263.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3353449:3357635:1 gene:DRNTG_35263 transcript:DRNTG_35263.5 gene_biotype:protein_coding transcript_biotype:protein_coding MISGSCFSRLIVLFIIFILIPNSSQLQSSQAWTLLRIRRLLNYPSVLSNWNSYTNICELEQNPSVTVVCYEESITQLHIIGNESSSPLPASFSIKSLFTTIARLPNLKVLSLRSLGLWGHLPGKISHLSALEIVNMSSNFLYGVIPQQISSLENLQTLVLDHNMFSGQVPDWLSSLPLLTFLSLENNNLTGRLPDSLSKLKTLRMLVLSSNRLSGNVPDLRPLTNLQELRLEDNRLGPQFPSLGNRLVSLTLQKNRFTGSLPSEVASYFLLQRLDISLNRFVGPFLPSLLTLPYIQYLNISGNRFTGMLYENMSCSEGLESADLSANLLTGNIPVCLISNHDNKVVLYSDNCLVTKIQSQHPYAYCQNQALAVGILPHEQKKTLASNPIYLTGLIGGIILSVIVVCLVIFFAIRRVNAKRANERSPRRLIEHASYGYSSKLLADARYISVTKKLGALGVPAYRSFSLEELEAATNNFATSAFMGEGSHGHELYKGSLKDGSLAVIRCLKLHRGQNSQNYNRHIEIISKLRHRHLVCALGHCFDYYTDDSSISRVFLIFEYVPNGTLRSSISAESATDTQSAEGVAGQKLNWTQRIAAAIGVARGIQFLHAGVIPGLFANNLKTTNILLDQNLVTKISSYNLPVFSENVKNEVAPGSSLDGSKDERYATSILSQHHAPAQYDHRSKL >DRNTG_35263.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3353449:3357635:1 gene:DRNTG_35263 transcript:DRNTG_35263.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGLLIFGGERGYLVIVATIAAKMISGSCFSRLIVLFIIFILIPNSSQLQSSQAWTLLRIRRLLNYPSVLSNWNSYTNICELEQNPSVTVVCYEESITQLHIIGNESSSPLPASFSIKSLFTTIARLPNLKVLSLRSLGLWGHLPGKISHLSALEIVNMSSNFLYGVIPQQISSLENLQTLVLDHNMFSGQVPDWLSSLPLLTFLSLENNNLTGRLPDSLSKLKTLRMLVLSSNRLSGNVPDLRPLTNLQELRLEDNRLGPQFPSLGNRLVSLTLQKNRFTGSLPSEVASYFLLQRLDISLNRFVGPFLPSLLTLPYIQYLNISGNRFTGMLYENMSCSEGLESADLSANLLTGNIPVCLISNHDNKVVLYSDNCLVTKIQSQHPYAYCQNQALAVGILPHEQKKTLASNPIYLTGLIGGIILSVIVVCLVIFFAIRRVNAKRANERSPRRLIEHASYGYSSKLLADARYISVTKKLGALGVPAYRSFSLEELEAATNNFATSAFMGEGSHGHELYKGSLKDGSLAVIRCLKLHRGQNSQNYNRHIEIISKLRHRHLVCALGHCFDYYTDDSSISRVFLIFEYVPNGTLRSSISEGVAGQKLNWTQRIAAAIGVARGIQFLHAGVIPGLFANNLKTTNILLDQNLVTKISSYNLPVFSENVKNEVAPGSSLDGSKDERTKHLDKVDIYDFGVILLEIISGRVITFQHEIEMVEDELRASITTEDDGAPRRSIVDPVFPRVTCDESLKTVIDICIRCLSMDPMERPSIEDVLWNLQFASQVHDGWKQSSTSSDEPPLNEPHM >DRNTG_35263.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3353449:3357635:1 gene:DRNTG_35263 transcript:DRNTG_35263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGSCFSRLIVLFIIFILIPNSSQLQSSQAWTLLRIRRLLNYPSVLSNWNSYTNICELEQNPSVTVVCYEESITQLHIIGNESSSPLPASFSIKSLFTTIARLPNLKVLSLRSLGLWGHLPGKISHLSALEIVNMSSNFLYGVIPQQISSLENLQTLVLDHNMFSGQVPDWLSSLPLLTFLSLENNNLTGRLPDSLSKLKTLRMLVLSSNRLSGNVPDLRPLTNLQELRLEDNRLGPQFPSLGNRLVSLTLQKNRFTGSLPSEVASYFLLQRLDISLNRFVGPFLPSLLTLPYIQYLNISGNRFTGMLYENMSCSEGLESADLSANLLTGNIPVCLISNHDNKVVLYSDNCLVTKIQSQHPYAYCQNQALAVGILPHEQKKTLASNPIYLTGLIGGIILSVIVVCLVIFFAIRRVNAKRANERSPRRLIEHASYGYSSKLLADARYISVTKKLGALGVPAYRSFSLEELEAATNNFATSAFMGEGSHGHELYKGSLKDGSLAVIRCLKLHRGQNSQNYNRHIEIISKLRHRHLVCALGHCFDYYTDDSSISRVFLIFEYVPNGTLRSSISEGVAGQKLNWTQRIAAAIGVARGIQFLHAGVIPGLFANNLKTTNILLDQNLVTKISSYNLPVFSENVKNEVAPGSSLDGSKDERTKHLDKVDIYDFGVILLEIISGRVITFQHEIEMVEDELRASITTEDDGAPRRSIVDPVFPRVTCDESLKTVIDICIRCLSMDPMERPSIEDVLWNLQFASQVHDGWKQSSTSSDEPPLNEPHM >DRNTG_35263.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3353449:3357635:1 gene:DRNTG_35263 transcript:DRNTG_35263.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGYLVIVATIAAKMISGSCFSRLIVLFIIFILIPNSSQLQSSQAWTLLRIRRLLNYPSVLSNWNSYTNICELEQNPSVTVVCYEESITQLHIIGNESSSPLPASFSIKSLFTTIARLPNLKVLSLRSLGLWGHLPGKISHLSALEIVNMSSNFLYGVIPQQISSLENLQTLVLDHNMFSGQVPDWLSSLPLLTFLSLENNNLTGRLPDSLSKLKTLRMLVLSSNRLSGNVPDLRPLTNLQELRLEDNRLGPQFPSLGNRLVSLTLQKNRFTGSLPSEVASYFLLQRLDISLNRFVGPFLPSLLTLPYIQYLNISGNRFTGMLYENMSCSEGLESADLSANLLTGNIPVCLISNHDNKVVLYSDNCLVTKIQSQHPYAYCQNQALAVGILPHEQKKTLASNPIYLTGLIGGIILSVIVVCLVIFFAIRRVNAKRANERSPRRLIEHASYGYSSKLLADARYISVTKKLGALGVPAYRSFSLEELEAATNNFATSAFMGEGSHGHELYKGSLKDGSLAVIRCLKLHRGQNSQNYNRHIEIISKLRHRHLVCALGHCFDYYTDDSSISRVFLIFEYVPNGTLRSSISAESATDTQSAEGVAGQKLNWTQRIAAAIGVARGIQFLHAGVIPGLFANNLKTTNILLDQNLVTKISSYNLPVFSENVKNEVAPGSSLDGSKDERTKHLDKVDIYDFGVILLEIISGRVITFQHEIEMVEDELRASITTEDDGAPRRSIVDPVFPRVTCDESLKTVIDICIRCLSMDPMERPSIEDVLWNLQFASQVHDGWKQSSTSSDEPPLNEPHM >DRNTG_35263.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3353449:3357635:1 gene:DRNTG_35263 transcript:DRNTG_35263.6 gene_biotype:protein_coding transcript_biotype:protein_coding MISGSCFSRLIVLFIIFILIPNSSQLQSSQAWTLLRIRRLLNYPSVLSNWNSYTNICELEQNPSVTVVCYEESITQLHIIGNESSSPLPASFSIKSLFTTIARLPNLKVLSLRSLGLWGHLPGKISHLSALEIVNMSSNFLYGVIPQQISSLENLQTLVLDHNMFSGQVPDWLSSLPLLTFLSLENNNLTGRLPDSLSKLKTLRMLVLSSNRLSGNVPDLRPLTNLQELRLEDNRLGPQFPSLGNRLVSLTLQKNRFTGSLPSEVASYFLLQRLDISLNRFVGPFLPSLLTLPYIQYLNISGNRFTGMLYENMSCSEGLESADLSANLLTGNIPVCLISNHDNKVVLYSDNCLVTKIQSQHPYAYCQNQALAVGILPHEQKKTLASNPIYLTGLIGGIILSVIVVCLVIFFAIRRVNAKRANERSPRRLIEHASYGYSSKLLADARYISVTKKLGALGVPAYRSFSLEELEAATNNFATSAFMGEGSHGHELYKGSLKDGSLAVIRCLKLHRGQNSQNYNRHIEIISKLRHRHLVCALGHCFDYYTDDSSISRVFLIFEYVPNGTLRSSISEGVAGQKLNWTQRIAAAIGVARGIQFLHAGVIPGLFANNLKTTNILLDQNLVTKISSYNLPVFSENVKNEVAPGSSLDGSKDERTKHLDKVDIYDFGVILLEIISGRVITFQHEIEMVEDELRASITTEDDGAPRRSIVDPVFPRVTCDESLKTVIDICIRCLSMDPMERPSIEDVLWNLQFASQVHDGWKQSSTSSDEPPLNEPHMDFFTSSAT >DRNTG_35263.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3353449:3357635:1 gene:DRNTG_35263 transcript:DRNTG_35263.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGYLVIVATIAAKMISGSCFSRLIVLFIIFILIPNSSQLQSSQAWTLLRIRRLLNYPSVLSNWNSYTNICELEQNPSVTVVCYEESITQLHIIGNESSSPLPASFSIKSLFTTIARLPNLKVLSLRSLGLWGHLPGKISHLSALEIVNMSSNFLYGVIPQQISSLENLQTLVLDHNMFSGQVPDWLSSLPLLTFLSLENNNLTGRLPDSLSKLKTLRMLVLSSNRLSGNVPDLRPLTNLQELRLEDNRLGPQFPSLGNRLVSLTLQKNRFTGSLPSEVASYFLLQRLDISLNRFVGPFLPSLLTLPYIQYLNISGNRFTGMLYENMSCSEGLESADLSANLLTGNIPVCLISNHDNKVVLYSDNCLVTKIQSQHPYAYCQNQALAVGILPHEQKKTLASNPIYLTGLIGGIILSVIVVCLVIFFAIRRVNAKRANERSPRRLIEHASYGYSSKLLADARYISVTKKLGALGVPAYRSFSLEELEAATNNFATSAFMGEGSHGHELYKGSLKDGSLAVIRCLKLHRGQNSQNYNRHIEIISKLRHRHLVCALGHCFDYYTDDSSISRVFLIFEYVPNGTLRSSISEGVAGQKLNWTQRIAAAIGVARGIQFLHAGVIPGLFANNLKTTNILLDQNLVTKISSYNLPVFSENVKNEVAPGSSLDGSKDERTKHLDKVDIYDFGVILLEIISGRVITFQHEIEMVEDELRASITTEDDGAPRRSIVDPVFPRVTCDESLKTVIDICIRCLSMDPMERPSIEDVLWNLQFASQVHDGWKQSSTSSDEPPLNEPHM >DRNTG_16844.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4892496:4898953:1 gene:DRNTG_16844 transcript:DRNTG_16844.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDDLDDDQQNAPKRWIGRDDLRHKLLNKGLHRRGDVVRGAEGHVDLRVKLSRNAKISSRHEQQQRQESKPSAPMRRIIPTRSADDLLQLDSVQKSYSAWPLDGRRHRSPDRLIGVSRGPSNHDGLRHVSSRPVDTSRPSTYPSKDLFDASRPVPFLTKPSVAIEAPKQVLRVPPPSGIIQKSSHMVG >DRNTG_16844.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4890973:4899920:1 gene:DRNTG_16844 transcript:DRNTG_16844.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALKQMSDHDLKELGIPMGPRKKILLAVLSRQKRHP >DRNTG_16844.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4890973:4899920:1 gene:DRNTG_16844 transcript:DRNTG_16844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALKQMSDHDLKELGIPMGPRKKILLAVLSRQKRHP >DRNTG_16844.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4890973:4899280:1 gene:DRNTG_16844 transcript:DRNTG_16844.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPQVTITLGRSGQVVKKAGTTIDGSQPDYAPSPSLGSKRPIRERLGGNVEDPHAYASQSRSKRQRREGHDWMSDDDLDDDQQNAPKRWIGRDDLRHKLLNKGLHRRGDVVRGAEGHVDLRVKLSRNAKISSRHEQQQRQESKPSAPMRRIIPTRSADDLLQLDSVQKSYSAWPLDGRRHRSPDRLIGVSRGPSNHDGLRHVSSRPVDTSRPSTYPSKDLFDASRPVPFLTKPSVAIEAPKQVLRVPPPSGIIQKSSHMSEEPITVAGFIRSLGFGEICDSLSGRRGGHACAETDE >DRNTG_01383.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28566815:28567653:1 gene:DRNTG_01383 transcript:DRNTG_01383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDNGENDDSSEEEDEEMLDEEDGPDDSMTLVQYQAEIRRDALIKKGSHTNEISPKKGRVKTESSCT >DRNTG_01183.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29341725:29348203:1 gene:DRNTG_01183 transcript:DRNTG_01183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDQKKKNAPEKDFFTEYGDANRYKIQEVIGKGSYGVVCSAIDTQTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIFVVFELMESDLHQVIKANDDLTGEHYRFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVSFSDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNEKARRYLSNMRRKQLIPFSQKFPNADPLAIKLLERLLAFDPKDRPTAEEALADPYFKGLAKVEREPSCHPITKLEFEFERRRVTKEDIRELIFREILEYRPQLLKDYTNGTERTNFLYPSAVDQFRRQFAHLEENGGKSGTVVPLERKHVSLPRSTVVHSTTIPPREEHNLASGRERQISNELITKNSRDAERLPRNPSTSMVFPAHPGIPIAKSGKATGPVMPYDCSSMKDAYDPRRLIRDATLPPHPTMRPAYSCHRNTNKADKMEVEREFLQQQKPSHHCLAGKVAQETAVDIRPSPFYLAGVTRADPIEGNLLQTKSPFSGIAAAAAAAAAGAHRKIGPVQFGMSRLY >DRNTG_23830.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29494387:29495604:-1 gene:DRNTG_23830 transcript:DRNTG_23830.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA(Gln) amidotransferase subunit B, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G48520) UniProtKB/Swiss-Prot;Acc:Q9FV81] MASLRFLGFRATPSSFLHHPSLTAIRASPLLLFASSSDRILTVQSPPAMPKEKSIYPSNKKVADPGLHGFEAVIGIETHVQLSTITKAFCSCPYSYGAQPNTTICPVCMGLPGTLPVLNSKVVEFAVRLGLALNCSISMSSKFDRKQYFYPDLPKGYQISQFDVPIASRGFVDVDLPVEFGGGHRRFGITRVHMEEDAGKLMHSDSGSCSQVDLNRAGVPLLEIVSEPDMRTGIEAAEYAAEIQRMVRYLSVSNGNMQEGSLRCDVNVSIRPVGQVEFGTKVNMFVFLLMSVYSVLVFSNRVASLFIVGQLRNWEVVETILDNKVAIFVTSMMVWNSVMF >DRNTG_23830.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29491335:29495604:-1 gene:DRNTG_23830 transcript:DRNTG_23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA(Gln) amidotransferase subunit B, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G48520) UniProtKB/Swiss-Prot;Acc:Q9FV81] MASLRFLGFRATPSSFLHHPSLTAIRASPLLLFASSSDRILTVQSPPAMPKEKSIYPSNKKVADPGLHGFEAVIGIETHVQLSTITKAFCSCPYSYGAQPNTTICPVCMGLPGTLPVLNSKVVEFAVRLGLALNCSISMSSKFDRKQYFYPDLPKGYQISQFDVPIASRGFVDVDLPVEFGGGHRRFGITRVHMEEDAGKLMHSDSGSCSQVDLNRAGVPLLEIVSEPDMRTGIEAAEYAAEIQRMVRYLSVSNGNMQEGSLRCDVNVSIRPVGQVEFGTKVEIKNMNSFSAMSRAIDFEISRQVLLHSQGQSDQIVQETRLWEEGAQKTVTMRKKEGLADYRYFPEPDLPEVVLSEEYIDQIRKSLPELPEAKRRRYENMGLSMQDVLFLANDNYVADFFDDTIKKGADAKLAANWIMGDIAAYLKNERLSINDIKLSPQELAELIASIKNGTISGKIGKEILVELITKGGTVKAVIEEKDLIQIVDPAAIEALVDKVITANPKQLQQYHGGKTKLQGFFAGQVMKESKGKANPMLLNKILIEKLNAEN >DRNTG_23830.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29491408:29495604:-1 gene:DRNTG_23830 transcript:DRNTG_23830.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA(Gln) amidotransferase subunit B, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G48520) UniProtKB/Swiss-Prot;Acc:Q9FV81] MRKKEGLADYRYFPEPDLPEVVLSEEYIDQIRKSLPELPEAKRRRYENMGLSMQDVLFLANDNYVADFFDDTIKKGADAKLAANWIMGDIAAYLKNERLSINDIKLSPQELAELIASIKNGTISGKIGKEILVELITKGGTVKAVIEEKDLIQIVDPAAIEALVDKVITANPKQLQQYHGGKTKLQGFFAGQVSKSPKLHVNSASLVIIISFTHVSSS >DRNTG_23830.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29491335:29495604:-1 gene:DRNTG_23830 transcript:DRNTG_23830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA(Gln) amidotransferase subunit B, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G48520) UniProtKB/Swiss-Prot;Acc:Q9FV81] MRTGIEAAEYAAEIQRMVRYLSVSNGNMQEGSLRCDVNVSIRPVGQVEFGTKVEIKNMNSFSAMSRAIDFEISRQVLLHSQGQSDQIVQETRLWEEGAQKTVTMRKKEGLADYRYFPEPDLPEVVLSEEYIDQIRKSLPELPEAKRRRYENMGLSMQDVLFLANDNYVADFFDDTIKKGADAKLAANWIMGDIAAYLKNERLSINDIKLSPQELAELIASIKNGTISGKIGKEILVELITKGGTVKAVIEEKDLIQIVDPAAIEALVDKVITANPKQLQQYHGGKTKLQGFFAGQVMKESKGKANPMLLNKILIEKLNAEN >DRNTG_17172.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14790337:14790771:1 gene:DRNTG_17172 transcript:DRNTG_17172.1 gene_biotype:protein_coding transcript_biotype:protein_coding GNYPRPCGNSPLAREASTKKVGRGASVEAYPIPHEEILG >DRNTG_31249.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3037339:3039325:-1 gene:DRNTG_31249 transcript:DRNTG_31249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWCLDEYEKFVTRMNTPRVVIDNAVCPTATLVKVDSARKHGVLLEAIQALADLNLSINKAYFSSDGRWFMDVFHVTDHLGRKLADDRVISYIERSLGTGGSARSEVLNGFTALELTGTDRPGLLSEVFAVLSDLQCGVSDATVWTHNGRIASLAFIKDEGGSDSPIADDAQRIQCIEARLRNVLKGDDDIRSSRATVSAAATVVGVNADRRLHQMMFEDRDYERFVDWRRGVLLGAIRLCSELDREGVLSRERSVPGSPEALVRHCLHPDGHGVRRLSWNYRHQRR >DRNTG_31249.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3037339:3038103:-1 gene:DRNTG_31249 transcript:DRNTG_31249.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSMSLAPPATRSISRPLLAYNRESELAYSQSRKNHGNRSARRDLTAKRPPGLGFSI >DRNTG_04305.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14447372:14448909:1 gene:DRNTG_04305 transcript:DRNTG_04305.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHAILRYLVCTFPGVPDHWYPADVSNRARIEAILDWHHSNLRRGSASLVFNSVLAPKFGLPLNLEAAKEAEKILDSSISKIEGIWLK >DRNTG_04305.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14447188:14448909:1 gene:DRNTG_04305 transcript:DRNTG_04305.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVPAIVDGRFKLFESHAILRYLVCTFPGVPDHWYPADVSNRARIEAILDWHHSNLRRGSASLVFNSVLAPKFGLPLNLEAAKEAEKILDSSISKIEGIWLK >DRNTG_10773.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000460.1:23038:31037:1 gene:DRNTG_10773 transcript:DRNTG_10773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAGAYNPRTAEEVFRDFRGRRAGMVKALTTDVEKFYQLCDPEKENLCLYGLPNETWEVTLPAEEVPPELPEPALGINFARDGMDEKDWLALVAVHSDAWLLAVAFYFGARFGFDKDARRRLFQMINNLPTIYEVVTGSTKKQTKEKTPNSSNKNNKSSSKTSRHPESQTKSTKMSSAKEEEESGEEDEEEEDEDEHGNTLCGACGDNYAHDEFWICCDVCEKWFHGKCVKITPARAEHIKQYKCPACSNKRARV >DRNTG_31498.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18979936:18984401:-1 gene:DRNTG_31498 transcript:DRNTG_31498.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFSNSPIPDFIGSLANLEYLNLSNAGFKGIIPHTLGNLSRLRYLDLNSYYYCYYYFLEANDLHWLSGMSSLQHLDMSGVGLSKVSGWLYDINMLSSLLVLKLSHSELQAGGIHDITPLHHLNFTSLRVLDLSWNIGLSITLPLWLFHLTSLVNLDLSDCAFYGRLPVTISNLSSLGVLSLAHSSFHGVIPESLGNLGSLERLDLSENELNGSIPESLGNLGSLERLVLSENELNGSIPESLSNLTNLMYFDLSYNNIGEELPKSIGRLQKLQKIYLSGNKVQGWMPASIGVLRNLQYLDLSRNMLTGTIPESFGNLTLLQHFDGSGGNRLSGKLPETIGNLVNLQFLDLSLNAISGKLPESLGNLSQLQQLRMPGNGITCGLPKSAGKLSSLLELDLSENNINGTLPEGMGNLCKLQTLDFTSNFISGGIDDLVDGLSNCKEKKDDYASESSSVIEILHLGNNKLNGTVPKNIGKLSNMSLLSLSSNSLMGVLTESHFANLANLVDLDFSYNSLQLNVNDNWRPPFNCKKIRMCSCRVGPIFPTWVKTQTRLFILCLSDAGISGNIPGWFWDLSSNPQYSLNLSNNNLEGRLPTSMQNYSFYLLDLSSNRFEGPLPVLDISVLFVIDLTNNSFSGSIPSCFANAMYIQVFSLSNNHINDTIPSFFCNITSLELFDVSNNDMSGELPNCWSSTSALEIIDLSNNNFIGKIPDGLVSFTNLRSLHLRNNCFSGDLPLSLKMANKLVTLDIGENKLSGSIPPWIGENLSSLIVLRLRSNLFQGIIPEQLSKLSSLQILDLAYNNLSGCIPPSFGYFKAMVVTNHNESWSLFSIITEILFSNDEWYSSPDSFGHSEILLISTKGLQMEYSKVLSQVTSIDLSNNKLSCELPEELTKLHGLHFLNLSYNLFNGKIPESISDMKQLESLDLSENKLFGIIPSSMSTLNFLSYLNLSHNNLSGEIPSGGQLQTFDPSAYNWNHNLCGSPLQNCANGTHYSQAANKVEGKGDWSEMLWLYIGFAIGFIIGFWVIIGTIIIKKTIRIAYFRSIDKVYDWLHVKMFMYSRRLKSTFSWRN >DRNTG_31498.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18980486:18984401:-1 gene:DRNTG_31498 transcript:DRNTG_31498.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFSNSPIPDFIGSLANLEYLNLSNAGFKGIIPHTLGNLSRLRYLDLNSYYYCYYYFLEANDLHWLSGMSSLQHLDMSGVGLSKVSGWLYDINMLSSLLVLKLSHSELQAGGIHDITPLHHLNFTSLRVLDLSWNIGLSITLPLWLFHLTSLVNLDLSDCAFYGRLPVTISNLSSLGVLSLAHSSFHGVIPESLGNLGSLERLDLSENELNGSIPESLGNLGSLERLVLSENELNGSIPESLSNLTNLMYFDLSYNNIGEELPKSIGRLQKLQKIYLSGNKVQGWMPASIGVLRNLQYLDLSRNMLTGTIPESFGNLTLLQHFDGSGGNRLSGKLPETIGNLVNLQFLDLSLNAISGKLPESLGNLSQLQQLRMPGNGITCGLPKSAGKLSSLLELDLSENNINGTLPEGMGNLCKLQTLDFTSNFISGGIDDLVDGLSNCKEKKDDYASESSSVIEILHLGNNKLNGTVPKNIGKLSNMSLLSLSSNSLMGVLTESHFANLANLVDLDFSYNSLQLNVNDNWRPPFNCKKIRMCSCRVGPIFPTWVKTQTRLFILCLSDAGISGNIPGWFWDLSSNPQYSLNLSNNNLEGRLPTSMQNYSFYLLDLSSNRFEGPLPVLDISVLFVIDLTNNSFSGSIPSCFANAMYIQVFSLSNNHINDTIPSFFCNITSLELFDVSNNDMSGELPNCWSSTSALEIIDLSNNNFIGKIPDGLVSFTNLRSLHLRNNCFSGDLPLSLKMANKLVTLDIGENKLSGSIPPWIGENLSSLIVLRLRSNLFQGIIPEQLSKLSSLQILDLAYNNLSGCIPPSFGYFKAMVVTNHNESWSLFSIITEILFSNDEWYSSPDSFGHSEILLISTKGLQMEYSKVLSQVTSIDLSNNKLSCELPEELTKLHGLHFLNLSYNLFNGKIPESISDMKQLESLDLSENKLFGIIPSSMSTLNFLSYLNLSHNNLSGEIPSGGQLQTFDPSAYNWNHNLCGSPLQNCANGTHYSQAANKVEGKGDWSEMLWLYIGFAIGFIIGFWVIIGTIIIKKTIRIAYFRSIDKVYDWLHVKMFMYSRRLKSTFSWRN >DRNTG_28035.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26238879:26247899:-1 gene:DRNTG_28035 transcript:DRNTG_28035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSELKRARVLIIGFVLVSWCISLVHGDIDGGRRGGGQARLLLAFRETQGNSTFQCSPSGPCLPCQYSEKKDDKYHCSETGYRVPLKCIEVQDGSKEKPHNKVQRKLLFLQKKKEKFVSRWRKLLDNSSETESGKQNYVTYRSCVPVGNEEKVSVLGFEAIMVFLLLISSAAIYIRQKRIFTMPGVSMVRIPTNSPRF >DRNTG_21112.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2638981:2644009:-1 gene:DRNTG_21112 transcript:DRNTG_21112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIDLVYGGGNIRLMGLISQTVFDGGRHVLGVIPKPLMDKSDHWCHYIRSKTSCKCAPEESRDEPTF >DRNTG_34961.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002216.1:7410:9407:-1 gene:DRNTG_34961 transcript:DRNTG_34961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRPNPFAAARLANRTQQEQGNSPDSGRHMSPNAIMNVRLTLDYVGTVPVQVRRRSVLETAAAARGVNDRTCCNLGITRQLFRSIGILGGH >DRNTG_11539.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21301360:21310652:-1 gene:DRNTG_11539 transcript:DRNTG_11539.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQESLEFYLNLSRKELQGLCKGSNLPANRSHVQLAKSLVSHFKRKNGSSKLSKDQASNNKGKNNIPIKNTKGVIESQSAYPESNDWRHSSVGIQIDRNGGLETQNSQKDRSIEGREKESSECSQFPGFVVENGKKPHSSKTTEEFQSKKQHSNGESKSGAVMNLRSTETICDSVLPRRSSRLVSKV >DRNTG_11539.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21301360:21310652:-1 gene:DRNTG_11539 transcript:DRNTG_11539.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQESLEFYLNLSRKELQGLCKGSNLPANRSHVQLAKSLVSHFKRKNGSSKLSKDQASNNKGKNNIPIKNTKGVIESQSAYPESNDWRHSSVGIQIDRNGGLETQNSQKVFNCNPHFVHPCPSRNERAENYSSSACLLPSIATNVQDITGIIQPAIECCDWNRNEPQKAIMVDCANDKTSNSAPVSCKRKRVSDFSKDSGFVASVVPTKAAPSFEFFMTSDEGINLHVDLNSSLSDWVGRLKNDVCIYQESQHCKSMTLGDHIRDLLDAEDPMKDSSGKVGKDCQSGAAEKNNGCTNSSSSSVVSENCHAEAYLTDITIITSGCLAGNQMVGASCTTHSDILNNVSVSIPSCARDKDLMLQESMEVACSMLKSNKSVIDASVKPAEMTDILNPDGRKISNAVEHKKVNILSVGSDNAKVNRLDKEVVAVCEKQSPSKCNALQDNLDVSSSGNLKHSGGGSQVSADGVRAGGLSEALDSNKSSSESDCCQINQPLLDLPMTDAQSDVGSSDNMLNGHPCCSLGPSATEVPVSAVDDELV >DRNTG_11539.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21301360:21310652:-1 gene:DRNTG_11539 transcript:DRNTG_11539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQESLEFYLNLSRKELQGLCKGSNLPANRSHVQLAKSLVSHFKRKNGSSKLSKDQASNNKGKNNIPIKNTKGVIESQSAYPESNDWRHSSVGIQIDRNGGLETQNSQKVFNCNPHFVHPCPSRNERAENYSSSACLLPSIATNVQDITGIIQPAIECCDWNRNEPQKAIMVDCANDKTSNSAPVSCKRKRVSDFSKDSGFVASVVPTKAAPSFEFFMTSDEGINLHVDLNSSLSDWVGRLKNDVCIYQESQHCKSMTLGDHIRDLLDAEDPMKDSSGKVGKDCQSGAAEKNNGCTNSSSSSVVSENCHAEAYLTDITIITSGCLAGNQMVGASCTTHSDILNNVSVSIPSCARDKDLMLQESMEVACSMLKSNKSVIDASVKPAEMTDILNPDGRKISNAVEHKKVNILSVGSDNAKVNRLDKEVVAVCEKQSPSKCNALQDNLDVSSSGNLKHSGGGSQVSADGVRAGGLSEALDSNKSSSESDCCQINQPLLDLPMTDAQSDVGSSDNMLNGHPCCSLGPSATEVPVSAVDDELDRSIEGREKESSECSQFPGFVVENGKKPHSSKTTEEFQSKKQHSNGESKSGAVMNLRSTETICDSVLPRRSSRLVSKV >DRNTG_08088.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10076467:10087784:1 gene:DRNTG_08088 transcript:DRNTG_08088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELPLVGGKDEDDESDNESNNESSLSYAIGPAGPSSRAVSFRHRPEILELWVAMTQLQKAYNQAYYRKSIPEDLIGMLMPIRGIQNQVRGPYRNCLLPQGCP >DRNTG_17138.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000882.1:14204:14852:1 gene:DRNTG_17138 transcript:DRNTG_17138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSMVLWKALYSSSKDSFVWYRIRLNRSSPTNLLPNGITILLQHSARNTPEFHTFIGVTQTGTCSRCGSFASSMVYTLVELLFYVHKSECSNVTAFVPLQMDVPTRIRGGWHTLWHLTPDLCLRVGTLARFPP >DRNTG_12300.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29714316:29719722:1 gene:DRNTG_12300 transcript:DRNTG_12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSAAIGLRSATHAVLATVNKASSELSSAQRKVFKIDDHIGVAIAGLTADGRVLSRYLRNECINHSFVYESPLPVGRLVVRLADKAQVCTQRSWKRPYGVGLLVAGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTFLERKYGTYSRASCDELIKDALFAIKETLQGEKLMSSICTVAVVGVGEPFHVLDQKTIQELIDSIETREEAPAAEPGAMQEDQGQDVAPMDI >DRNTG_03008.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:6434047:6435699:-1 gene:DRNTG_03008 transcript:DRNTG_03008.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISSQYSTLPPELALVVINWSTTPPQKAGHGNRLLSFKSNKIGQEEEKNSPRNEEE >DRNTG_02628.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21395327:21395950:-1 gene:DRNTG_02628 transcript:DRNTG_02628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTRKRNPSSTNHRLKRSKKQRQRGTTHPTLDPTGKPKSHWSRAS >DRNTG_26308.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21346103:21350042:-1 gene:DRNTG_26308 transcript:DRNTG_26308.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OBERON 3 [Source:Projected from Arabidopsis thaliana (AT1G14740) UniProtKB/Swiss-Prot;Acc:Q94B71] MFLDPDSMMDLSRHQSIPKSPDRETPAQKVDVFDGMSSKILKPGNSELTLSYLCENPKEKEQMGSDFLISVEKSRSKGKEIVPDLPQPGDEPKWVERDFLQLNGMGSKTPAKREIDDGVDGDGREKKGKIESLNLSLSLNSSNPSANNANTSNLNPLPPKKSYSNNTWTTNSDDFGLSMSYSQSVPFSHNPSCSLTRNSTENYDNSHIWYCGGEGTNGSVHSKFRPVNDGNTITFSNNNSLYRANSSENHSLFPSELPAKNVKSNVVVTLDNGRNGSVKNGNVMVTRPSMMLLEIATEPVPIMAQMLQEIASESLEAVKECLRSMMDAAEKKDEFASLQRRLERRSDLTLETLSKSHKVQLEVLVAIKTGSVEFLSLKKQISTEQLVEIFLLVRCRNLNCQNALPVDDCDCKICSTQKGFCSACMCPICLKFDCASNTCSWVGCDVCSHWCHAVCGIQKNLIRPGTSLNAAGGTMHFHCLGCGHASDMFGFVKEVFNCCAKDWDLDTLIKELDCVRKIFHGSADLEGKELHGKAEEMLTSLSKKRISPLDACNSILQFFKYGVADLSVSGSSHKSILTAQPVQCNEPLPLTPLAASIPQKPTFNLKPANFVIDTTIDPCKPDIKPPLHDQHFGQPKEAEGFQSIESIIRFKEAEAKLFQRLADDAKREVENYRQIIRAKTLKLEEEYASKLAKLCLQETEERRRKKLEEFKFLENSNCDFHNMKRRMQAEIAGLLERMEATKKQWV >DRNTG_21012.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:39407:40898:-1 gene:DRNTG_21012 transcript:DRNTG_21012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSRSDLIGGGVVNPKLTPDQEMCRAIDKVHLSEKPGVTTRRSQWPTPR >DRNTG_21012.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:39407:40898:-1 gene:DRNTG_21012 transcript:DRNTG_21012.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSRSDLIGGGVVNPKLTPDQEMCRAIDKVHLSEKPGVTTRRSQWPTPR >DRNTG_12045.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000527.1:39943:49069:-1 gene:DRNTG_12045 transcript:DRNTG_12045.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDEMLKSFDIKPNVYIYTSLIKALCKSEKLELALELKNEMLLDQALNLDSAVYSTIIRALFRVGRKGEVVGILEEMKTNGVKPDIVTYNAMIAGFCEDKEFDAVFEALNEMEKNGCKADVFSYNTIIAGFCKEKRWRDALDLFEDMPRRECRPDVVSYRTLFDGLCESGEFGEAMAILDEMMFKGFVPGAGSVRRFVKGLDCEGGTMSFRAALCGLAKQNAVEPDVWEIGGWQYVDGV >DRNTG_10246.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19885649:19889307:-1 gene:DRNTG_10246 transcript:DRNTG_10246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINRLLLAIYTLLSLYSLATAQSYIGVNYGEVADNLPPPDATVRLLQSTTISKVRLYGADPAIIKALAGTGISVVIGVANGDIPSLAGDPAAASQWAAANVLAYLPASSISVVAVGNEVLSSGDSSLASQLLPAMQNLHSALSTAAPSVKISTVNTMAVLAQSDPPSTGAFHSDLIPGLKGILGFLNETGSPFMINPYPYFAYRSDPRPETLAFCLFQPNSGRFDGNSKINYMNMFDAQVDAVRAALDATGFPSTEIVVAETGWPYKGDDGEVGASVDNAKAFTSGLVAHLRSMAGTPRMPGRPVETYLFALYDEDLKPGPTSERSFGLFKPDLTATYDAGLSRSASSTPTAPSAVPKGKKGTMGWCVPRDGVSDEELQADLDYVCGTAAMDCRPIQPGGACYLPNTVRSHAAYAMNVLYQTSGRNPWNCDFRQSATLTSTNPSYEGCVYPGSQ >DRNTG_10246.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19885575:19888343:-1 gene:DRNTG_10246 transcript:DRNTG_10246.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINRLLLAIYTLLSLYSLATAQSYIGVNYGEVADNLPPPDATVRLLQSTTISKVRLYGADPAIIKALAGTGISVVIGVANGDIPSLAGDPAAASQWAAANVLAYLPASSISVVAVGNEVLSSGDSSLASQLLPAMQNLHSALSTAAPSVKISTVNTMAVLAQSDPPSTGAFHSDLIPGLKGILGFLNETGSPFMINPYPYFAYRSDPRPETLAFCLFQPNSGRFDGNSKINYMNMFDAQVDAVRAALDATGFPSTEIVVAETGWPYKGDDGEVGASVDNAKAFTSGLVAHLRSMAGTPRMPGRPVETYLFALYDEDLKPGPTSERSFGLFKPDLTATYDAGLSRSASSTPTAPSAVPKGKKGTMGWCVPRDGVSDEELQADLDYVCGTAAMDCRPIQPGGACYLPNTVRSHAAYAMNVLYQTSGRNPWNCDFRQSATLTSTNPSYEGCVYPGSQ >DRNTG_10246.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19885752:19888343:-1 gene:DRNTG_10246 transcript:DRNTG_10246.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINRLLLAIYTLLSLYSLATAQSYIGVNYGEVADNLPPPDATVRLLQSTTISKVRLYGADPAIIKALAGTGISVVIGVANGDIPSLAGDPAAASQWAAANVLAYLPASSISVVAVGNEVLSSGDSSLASQLLPAMQNLHSALSTAAPSVKISTVNTMAVLAQSDPPSTGAFHSDLIPGLKGILGFLNETGSPFMINPYPYFAYRSDPRPETLAFCLFQPNSGRFDGNSKINYMNMFDAQVDAVRAALDATGFPSTEIVVAETGWPYKGDDGEVGASVDNAKAFTSGLVAHLRSMAGTPRMPGRPVETYLFALYDEDLKPGPTSERSFGLFKPDLTATYDAGLSRSASSTPTAPSAVPKGKKGTMGWCVPRDGVSDEELQADLDYVCGTAAMDCRPIQPGGACYLPNTVRSHAAYAMNVLYQTSGRNPWNCDFRQSATLTSTNPSYEGCVYPGSQ >DRNTG_10246.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19885649:19888343:-1 gene:DRNTG_10246 transcript:DRNTG_10246.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINRLLLAIYTLLSLYSLATAQSYIGVNYGEVADNLPPPDATVRLLQSTTISKVRLYGADPAIIKALAGTGISVVIGVANGDIPSLAGDPAAASQWAAANVLAYLPASSISVVAVGNEVLSSGDSSLASQLLPAMQNLHSALSTAAPSVKISTVNTMAVLAQSDPPSTGAFHSDLIPGLKGILGFLNETGSPFMINPYPYFAYRSDPRPETLAFCLFQPNSGRFDGNSKINYMNMFDAQVDAVRAALDATGFPSTEIVVAETGWPYKGDDGEVGASVDNAKAFTSGLVAHLRSMAGTPRMPGRPVETYLFALYDEDLKPGPTSERSFGLFKPDLTATYDAGLSRSASSTPTAPSAVPKGKKGTMGWCVPRDGVSDEELQADLDYVCGTAAMDCRPIQPGGACYLPNTVRSHAAYAMNVLYQTSGRNPWNCDFRQSATLTSTNPSYEGCVYPGSQ >DRNTG_10246.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19885649:19887966:-1 gene:DRNTG_10246 transcript:DRNTG_10246.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINRLLLAIYTLLSLYSLATAQSYIGVNYGEVADNLPPPDATVRLLQSTTISKVRLYGADPAIIKALAGTGISVVIGVANGDIPSLAGDPAAASQWAAANVLAYLPASSISVVAVGNEVLSSGDSSLASQLLPAMQNLHSALSTAAPSVKISTVNTMAVLAQSDPPSTGAFHSDLIPGLKGILGFLNETGSPFMINPYPYFAYRSDPRPETLAFCLFQPNSGRFDGNSKINYMNMFDAQVDAVRAALDATGFPSTEIVVAETGWPYKGDDGEVGASVDNAKAFTSGLVAHLRSMAGTPRMPGRPVETYLFALYDEDLKPGPTSERSFGLFKPDLTATYDAGLSRSASSTPTAPSAVPKGKKGTMGWCVPRDGVSDEELQADLDYVCGTAAMDCRPIQPGGACYLPNTVRSHAAYAMNVLYQTSGRNPWNCDFRQSATLTSTNPSYEGCVYPGSQ >DRNTG_10246.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19885649:19888215:-1 gene:DRNTG_10246 transcript:DRNTG_10246.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINRLLLAIYTLLSLYSLATAQSYIGVNYGEVADNLPPPDATVRLLQSTTISKVRLYGADPAIIKALAGTGISVVIGVANGDIPSLAGDPAAASQWAAANVLAYLPASSISVVAVGNEVLSSGDSSLASQLLPAMQNLHSALSTAAPSVKISTVNTMAVLAQSDPPSTGAFHSDLIPGLKGILGFLNETGSPFMINPYPYFAYRSDPRPETLAFCLFQPNSGRFDGNSKINYMNMFDAQVDAVRAALDATGFPSTEIVVAETGWPYKGDDGEVGASVDNAKAFTSGLVAHLRSMAGTPRMPGRPVETYLFALYDEDLKPGPTSERSFGLFKPDLTATYDAGLSRSASSTPTAPSAVPKGKKGTMGWCVPRDGVSDEELQADLDYVCGTAAMDCRPIQPGGACYLPNTVRSHAAYAMNVLYQTSGRNPWNCDFRQSATLTSTNPSYEGCVYPGSQ >DRNTG_10246.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19885752:19887966:-1 gene:DRNTG_10246 transcript:DRNTG_10246.13 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINRLLLAIYTLLSLYSLATAQSYIGVNYGEVADNLPPPDATVRLLQSTTISKVRLYGADPAIIKALAGTGISVVIGVANGDIPSLAGDPAAASQWAAANVLAYLPASSISVVAVGNEVLSSGDSSLASQLLPAMQNLHSALSTAAPSVKISTVNTMAVLAQSDPPSTGAFHSDLIPGLKGILGFLNETGSPFMINPYPYFAYRSDPRPETLAFCLFQPNSGRFDGNSKINYMNMFDAQVDAVRAALDATGFPSTEIVVAETGWPYKGDDGEVGASVDNAKAFTSGLVAHLRSMAGTPRMPGRPVETYLFALYDEDLKPGPTSERSFGLFKPDLTATYDAGLSRSASSTPTAPSAVPKGKKGTMGWCVPRDGVSDEELQADLDYVCGTAAMDCRPIQPGGACYLPNTVRSHAAYAMNVLYQTSGRNPWNCDFRQSATLTSTNPSYEGCVYPGSQ >DRNTG_10246.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19885683:19889307:-1 gene:DRNTG_10246 transcript:DRNTG_10246.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINRLLLAIYTLLSLYSLATAQSYIGVNYGEVADNLPPPDATVRLLQSTTISKVRLYGADPAIIKALAGTGISVVIGVANGDIPSLAGDPAAASQWAAANVLAYLPASSISVVAVGNEVLSSGDSSLASQLLPAMQNLHSALSTAAPSVKISTVNTMAVLAQSDPPSTGAFHSDLIPGLKGILGFLNETGSPFMINPYPYFAYRSDPRPETLAFCLFQPNSGRFDGNSKINYMNMFDAQVDAVRAALDATGFPSTEIVVAETGWPYKGDDGEVGASVDNAKAFTSGLVAHLRSMAGTPRMPGRPVETYLFALYDEDLKPGPTSERSFGLFKPDLTATYDAGLSRSASSTPTAPSAVPKGKKGTMGWCVPRDGVSDEELQADLDYVCGTAAMDCRPIQPGGACYLPNTVRSHAAYAMNVLYQTSGRNPWNCDFRQSATLTSTNPSYEGCVYPGSQ >DRNTG_10246.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19885752:19888215:-1 gene:DRNTG_10246 transcript:DRNTG_10246.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINRLLLAIYTLLSLYSLATAQSYIGVNYGEVADNLPPPDATVRLLQSTTISKVRLYGADPAIIKALAGTGISVVIGVANGDIPSLAGDPAAASQWAAANVLAYLPASSISVVAVGNEVLSSGDSSLASQLLPAMQNLHSALSTAAPSVKISTVNTMAVLAQSDPPSTGAFHSDLIPGLKGILGFLNETGSPFMINPYPYFAYRSDPRPETLAFCLFQPNSGRFDGNSKINYMNMFDAQVDAVRAALDATGFPSTEIVVAETGWPYKGDDGEVGASVDNAKAFTSGLVAHLRSMAGTPRMPGRPVETYLFALYDEDLKPGPTSERSFGLFKPDLTATYDAGLSRSASSTPTAPSAVPKGKKGTMGWCVPRDGVSDEELQADLDYVCGTAAMDCRPIQPGGACYLPNTVRSHAAYAMNVLYQTSGRNPWNCDFRQSATLTSTNPSYEGCVYPGSQ >DRNTG_10246.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19885752:19889307:-1 gene:DRNTG_10246 transcript:DRNTG_10246.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINRLLLAIYTLLSLYSLATAQSYIGVNYGEVADNLPPPDATVRLLQSTTISKVRLYGADPAIIKALAGTGISVVIGVANGDIPSLAGDPAAASQWAAANVLAYLPASSISVVAVGNEVLSSGDSSLASQLLPAMQNLHSALSTAAPSVKISTVNTMAVLAQSDPPSTGAFHSDLIPGLKGILGFLNETGSPFMINPYPYFAYRSDPRPETLAFCLFQPNSGRFDGNSKINYMNMFDAQVDAVRAALDATGFPSTEIVVAETGWPYKGDDGEVGASVDNAKAFTSGLVAHLRSMAGTPRMPGRPVETYLFALYDEDLKPGPTSERSFGLFKPDLTATYDAGLSRSASSTPTAPSAVPKGKKGTMGWCVPRDGVSDEELQADLDYVCGTAAMDCRPIQPGGACYLPNTVRSHAAYAMNVLYQTSGRNPWNCDFRQSATLTSTNPSYEGCVYPGSQ >DRNTG_10246.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19885683:19888215:-1 gene:DRNTG_10246 transcript:DRNTG_10246.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINRLLLAIYTLLSLYSLATAQSYIGVNYGEVADNLPPPDATVRLLQSTTISKVRLYGADPAIIKALAGTGISVVIGVANGDIPSLAGDPAAASQWAAANVLAYLPASSISVVAVGNEVLSSGDSSLASQLLPAMQNLHSALSTAAPSVKISTVNTMAVLAQSDPPSTGAFHSDLIPGLKGILGFLNETGSPFMINPYPYFAYRSDPRPETLAFCLFQPNSGRFDGNSKINYMNMFDAQVDAVRAALDATGFPSTEIVVAETGWPYKGDDGEVGASVDNAKAFTSGLVAHLRSMAGTPRMPGRPVETYLFALYDEDLKPGPTSERSFGLFKPDLTATYDAGLSRSASSTPTAPSAVPKGKKGTMGWCVPRDGVSDEELQADLDYVCGTAAMDCRPIQPGGACYLPNTVRSHAAYAMNVLYQTSGRNPWNCDFRQSATLTSTNPSYEGCVYPGSQ >DRNTG_10246.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19885683:19888343:-1 gene:DRNTG_10246 transcript:DRNTG_10246.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINRLLLAIYTLLSLYSLATAQSYIGVNYGEVADNLPPPDATVRLLQSTTISKVRLYGADPAIIKALAGTGISVVIGVANGDIPSLAGDPAAASQWAAANVLAYLPASSISVVAVGNEVLSSGDSSLASQLLPAMQNLHSALSTAAPSVKISTVNTMAVLAQSDPPSTGAFHSDLIPGLKGILGFLNETGSPFMINPYPYFAYRSDPRPETLAFCLFQPNSGRFDGNSKINYMNMFDAQVDAVRAALDATGFPSTEIVVAETGWPYKGDDGEVGASVDNAKAFTSGLVAHLRSMAGTPRMPGRPVETYLFALYDEDLKPGPTSERSFGLFKPDLTATYDAGLSRSASSTPTAPSAVPKGKKGTMGWCVPRDGVSDEELQADLDYVCGTAAMDCRPIQPGGACYLPNTVRSHAAYAMNVLYQTSGRNPWNCDFRQSATLTSTNPSYEGCVYPGSQ >DRNTG_10246.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19885683:19887966:-1 gene:DRNTG_10246 transcript:DRNTG_10246.12 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINRLLLAIYTLLSLYSLATAQSYIGVNYGEVADNLPPPDATVRLLQSTTISKVRLYGADPAIIKALAGTGISVVIGVANGDIPSLAGDPAAASQWAAANVLAYLPASSISVVAVGNEVLSSGDSSLASQLLPAMQNLHSALSTAAPSVKISTVNTMAVLAQSDPPSTGAFHSDLIPGLKGILGFLNETGSPFMINPYPYFAYRSDPRPETLAFCLFQPNSGRFDGNSKINYMNMFDAQVDAVRAALDATGFPSTEIVVAETGWPYKGDDGEVGASVDNAKAFTSGLVAHLRSMAGTPRMPGRPVETYLFALYDEDLKPGPTSERSFGLFKPDLTATYDAGLSRSASSTPTAPSAVPKGKKGTMGWCVPRDGVSDEELQADLDYVCGTAAMDCRPIQPGGACYLPNTVRSHAAYAMNVLYQTSGRNPWNCDFRQSATLTSTNPSYEGCVYPGSQ >DRNTG_07744.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2672646:2673651:-1 gene:DRNTG_07744 transcript:DRNTG_07744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYVNPNKASFLFDLWYSNTPIAFNPTFFNSNLNFEILRITDFILHNNWDSQKLRDLFGDSFDCFSSRLGHIDANAPCYWIWTPKSHSNRISSMTYHFLNYNPHGIYSWDGWKNIWNLRVAPPHAQHFIWLTLNGKIATYDFLQSINLGLRNICSICGLDNESAGHLLAFCPKAQLVWHTVGSKIGFNLTFPNGFTEGSWLSGCNSNLFTKSIIAATAWFIWKNRSGSFLFYSTVWNHETEVCGIGYFVFDCNNQDSLTGCGSLTANSGLLADLQALNVTIQAVTNN >DRNTG_26984.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001373.1:25566:26917:1 gene:DRNTG_26984 transcript:DRNTG_26984.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESVCVPPKNALLLMRCRSDPVRMAALATRFWGSPAAAKVGAEEEEEEEEEEEEEQQQQQKEEEEAVAVHVETIIEKEEEQEEQPLEQEKEEEEKVEVETAIVENKKNLEEKEEEEEEGQEKEEAKFEVLEENGGKKEKIKDESFY >DRNTG_18716.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14718271:14725203:1 gene:DRNTG_18716 transcript:DRNTG_18716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQVRWKSFHGRPHDRAASLCRLQAPKEEIDEDPPNQASPFDSPSPKRVILPLLNWWKSAPRTPPKSLFQKPLPLPAVLPRKYAGLQCRGLSNSSKPHGHP >DRNTG_34478.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24521275:24523063:1 gene:DRNTG_34478 transcript:DRNTG_34478.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQVLYRRSNLRGGAHTSHFKEKLGHGGYGSVFKGRLPGNHLLAIKMLGHSKANGEDFINEVSTIGRIHHVNVVRLIGFCSDGSHRALVYEYMANGSLDKFIFSSNNGLNHRFSLEKLTEIALGIARGIDYLHQGCDMQILHFDIKPHNILLDHNFMPKISDFGLAKLYPRDYNFVTLSVARGTIGYIALELISRSFGLISHKSDVYSYGMLLLEMVGGRKNADQRAEKTSQFYYPSWIFDKLNNPIYHDAQEIDTGVAKLVISDAEKKLCMIGLWCIQMRASDRPSMSKVIEMLEGDVDDLQMPPKPFFSDPSLAVLSLPCSNISQEELNTSSDNIVCLV >DRNTG_29010.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22285262:22287394:-1 gene:DRNTG_29010 transcript:DRNTG_29010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMMYDRPDCPRGPCKIQTSSAIGGRSTHTVTASFETAFEVDKEVAAAVKKAFVRLASCPSSSNKEEFRNLLLKISQNPDSIEADQEISEVTSECEFDPGSELEVDVQNAGGLAVGDVCRKSDIQTKERRCINEHPPTDHDEIHSSSSQDLVSEMLDRLRCLQEDQLASLAVIVATCGLNAALLEADSGKDHGIEAVTESISASVIPRPKNMALLGTNGRRYSSVTKFIGGDTRKEAEAELPSLDKFLVKHVSKFEREVQEARKKEDHRASGASVLQVVKEREKVHTSGKITSSDSMSDLGSILVKHVSRLEREIQKAKSQNNSQMIAKGKDAEGASVKDVMVAPAPEGNRDSGGVLVEHSLNGELDTERGGCKQSRNSERLTEKSEQHDLFHEAQVDKENMDCNIEETQMSRIQGAKVEAQRTLKARDELTGLEKILVKPVHRLEKDKMQALEKGSHYIIKKENRKHGHGVAASESLDKILVKHVSRLEKEKLAHCKSEDSVTVKKRDQFADRNTESLDEILVKHQSKLEKAKIAVSQQSADDRIKTVDSRKQAREKELLEAWGGLSLGNSIRPHLSRLERDKAAWRKAEEEERRQNTTMQL >DRNTG_29010.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22285262:22289041:-1 gene:DRNTG_29010 transcript:DRNTG_29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTVETSPDLEKKTFFLDAEASPRNSSANSRKNVGSRESGNSMLNSVNKQTPQIKKPHRRNGSPLYWFPRKKTDSFLKRKLRRLQEAGGMSSTLEEFLDSANPHYSRMMREKIAAREAANKAMEARKAAMIEGSWCRILRAARIQSKEAETKLAEAEKHVAEAFEAAKAMGVMMYDRPDCPRGPCKIQTSSAIGGRSTHTVTASFETAFEVDKEVAAAVKKAFVRLASCPSSSNKEEFRNLLLKISQNPDSIEADQEISEVTSECEFDPGSELEVDVQNAGGLAVGDVCRKSDIQTKERRCINEHPPTDHDEIHSSSSQDLVSEMLDRLRCLQEDQLASLAVIVATCGLNAALLEADSGKDHGIEAVTESISASVIPRPKNMALLGTNGRRYSSVTKFIGGDTRKEAEAELPSLDKFLVKHVSKFEREVQEARKKEDHRASGASVLQVVKEREKVHTSGKITSSDSMSDLGSILVKHVSRLEREIQKAKSQNNSQMIAKGKDAEGASVKDVMVAPAPEGNRDSGGVLVEHSLNGELDTERGGCKQSRNSERLTEKSEQHDLFHEAQVDKENMDCNIEETQMSRIQGAKVEAQRTLKARDELTGLEKILVKPVHRLEKDKMQALEKGSHYIIKKENRKHGHGVAASESLDKILVKHVSRLEKEKLAHCKSEDSVTVKKRDQFADRNTESLDEILVKHQSKLEKAKIAVSQQSADDRIKTVDSRKQAREKELLEAWGGLSLGNSIRPHLSRLERDKAAWRKAEEEERRQNTTMQL >DRNTG_05168.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:15059416:15064923:-1 gene:DRNTG_05168 transcript:DRNTG_05168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKETCEEVEETPSTTFEDATCLNIDFSIHPSILVKCKNKIMGIVFEDMGTKLRSSLNPPMPGLDNSQPKIFPWRPKQTLWAIEVHHNRVERKIMDRMLMPPINPPMQSMTSSQPYLFPWRPKQHLWVVQGNLTLVEEENLGRRLKPSKDPPIPTLNNSRPNLFPWRPKQLCGGLLKGILAVTTEEVGRILKPSKEPPKFKLHNSRPKLFPWRPKGNLCLAFNLTPSRKILKEPNEAQKCKLDEFDTKNGIFVVPAL >DRNTG_19446.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000998.1:128349:128901:1 gene:DRNTG_19446 transcript:DRNTG_19446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGMGSGRSLGWRKEEGGGERRRRSWSEELIGDEGSMEWERREEDV >DRNTG_13044.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000589.1:3708:5101:1 gene:DRNTG_13044 transcript:DRNTG_13044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNHTCPPIRSSKSSTLTIQPSPPKGDFSK >DRNTG_27924.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22751929:22752700:1 gene:DRNTG_27924 transcript:DRNTG_27924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCKNGWRRELREDKQTAEMVVALRKPPLHPTSSHTAEMAAASTSRTRAFLF >DRNTG_34656.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002170.1:21731:22080:1 gene:DRNTG_34656 transcript:DRNTG_34656.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPGWIIRTPHQGNTKKTAQDKRLERKT >DRNTG_06582.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:551355:552451:-1 gene:DRNTG_06582 transcript:DRNTG_06582.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPQSKEDRTVRGSQWGHDEIKQQPPAQRSASFHGRTSGASPKPRLSRRPKTQPDLFSGARTTTKLSEDGGPRNPNYSNRGSWGQRVPAKVLLNVTCQGSLATLLVMSSTEWTVADLVAHVLHLYVKEARRPPLSTTDPSAFGLHYSQFSLDCLNPNEKLIELGSRHFFLCPKPAAPRSPSTITGTSASTSSSCSNESQATPKIGVPWLKFIESFF >DRNTG_06582.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:551242:552761:-1 gene:DRNTG_06582 transcript:DRNTG_06582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPQSKEDRTVRGSQWGHDEIKQQPPAQRSASFHGRTSGASPKPRLSRRPKTQPDLFSGARTTTKLSEDGGPRNPNYSNRGSWGQRVPAKVLLNVTCQGSLATLLVMSSTEWTVADLVAHVLHLYVKEARRPPLSTTDPSAFGLHYSQFSLDCLNPNEKLIELGSRHFFLCPKPAAPRSPSTITGTSASTSSSCSNESQATPKIGVPWLKFIESFF >DRNTG_06582.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:551355:552566:-1 gene:DRNTG_06582 transcript:DRNTG_06582.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPQSKEDRTVRGSQWGHDEIKQQPPAQRSASFHGRTSGASPKPRLSRRPKTQPDLFSGARTTTKLSEDGGPRNPNYSNRGSWGQRVPAKVLLNVTCQGSLATLLVMSSTEWTVADLVAHVLHLYVKEARRPPLSTTDPSAFGLHYSQFSLDCLNPNEKLIELGSRHFFLCPKPAAPRSPSTITGTSASTSSSCSNESQATPKIGVPWLKFIESFF >DRNTG_06582.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:551242:552451:-1 gene:DRNTG_06582 transcript:DRNTG_06582.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPQSKEDRTVRGSQWGHDEIKQQPPAQRSASFHGRTSGASPKPRLSRRPKTQPDLFSGARTTTKLSEDGGPRNPNYSNRGSWGQRVPAKVLLNVTCQGSLATLLVMSSTEWTVADLVAHVLHLYVKEARRPPLSTTDPSAFGLHYSQFSLDCLNPNEKLIELGSRHFFLCPKPAAPRSPSTITGTSASTSSSCSNESQATPKIGVPWLKFIESFF >DRNTG_06582.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:551355:552566:-1 gene:DRNTG_06582 transcript:DRNTG_06582.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPQSKEDRTVRGSQWGHDEIKQQPPAQRSASFHGRTSGASPKPRLSRRPKTQPDLFSGARTTTKLSEDGGPRNPNYSNRGSWGQRVPAKVLLNVTCQGSLATLLVMSSTEWTVADLVAHVLHLYVKEARRPPLSTTDPSAFGLHYSQFSLDCKKLSV >DRNTG_06582.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:551355:552761:-1 gene:DRNTG_06582 transcript:DRNTG_06582.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPQSKEDRTVRGSQWGHDEIKQQPPAQRSASFHGRTSGASPKPRLSRRPKTQPDLFSGARTTTKLSEDGGPRNPNYSNRGSWGQRVPAKVLLNVTCQGSLATLLVMSSTEWTVADLVAHVLHLYVKEARRPPLSTTDPSAFGLHYSQFSLDCLNPNEKLIELGSRHFFLCPKPAAPRSPSTITGTSASTSSSCSNESQATPKIGVPWLKFIESFF >DRNTG_06582.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:551242:552566:-1 gene:DRNTG_06582 transcript:DRNTG_06582.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPQSKEDRTVRGSQWGHDEIKQQPPAQRSASFHGRTSGASPKPRLSRRPKTQPDLFSGARTTTKLSEDGGPRNPNYSNRGSWGQRVPAKVLLNVTCQGSLATLLVMSSTEWTVADLVAHVLHLYVKEARRPPLSTTDPSAFGLHYSQFSLDCLNPNEKLIELGSRHFFLCPKPAAPRSPSTITGTSASTSSSCSNESQATPKIGVPWLKFIESFF >DRNTG_06582.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:551355:552761:-1 gene:DRNTG_06582 transcript:DRNTG_06582.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPQSKEDRTVRGSQWGHDEIKQQPPAQRSASFHGRTSGASPKPRLSRRPKTQPDLFSGARTTTKLSEDGGPRNPNYSNRGSWGQRVPAKVLLNVTCQGSLATLLVMSSTEWTVADLVAHVLHLYVKEARRPPLSTTDPSAFGLHYSQFSLDCKKLSV >DRNTG_07474.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28960462:28971434:1 gene:DRNTG_07474 transcript:DRNTG_07474.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCPRDGYVYFRNSELICGQLGKATLGNGNKDGLFSVLLRDYNSHAAASCMNRLAKLSARWIGNHGFSIGIDDVQPGETLNQQKKKEINDGYRECHEFISLYGEGKLTLQPGCNAAQTLEVKITGVLNQIRAKAGDVCMRELHWRNSPLIMSQCGSKGSPINISQMIACVGQQSVGGRRAPNGFIDRTLPHFPINSKTPAAKGFVANSFYTGLTATEFFFHTMGGREGLVDTAVKTAETGYMSRRLMKGLEDLSVHYDQTVRNASGGIVQFVYGDDGMDPAKMEGKDGIPLNLDQLFMKIMATCPARDEDALSPSEISEVVDNRFSKYDVSPEGGCSSAFKKSLSDFLNNRVAAFKKTRSALQLDENYVGSRNSNKIENVAANIAGITSKQLKVGSIV >DRNTG_07474.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28912205:28971434:1 gene:DRNTG_07474 transcript:DRNTG_07474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPRDGYVYFRNSELICGQLGKATLGNGNKDGLFSVLLRDYNSHAAASCMNRLAKLSARWIGNHGFSIGIDDVQPGETLNQQKKKEINDGYRECHEFISLYGEGKLTLQPGCNAAQTLEVKITGVLNQIRAKAGDVCMRELHWRNSPLIMSQCGSKGSPINISQMIACVGQQSVGGRRAPNGFIDRTLPHFPINSKTPAAKGFVANSFYTGLTATEFFFHTMGGREGLVDTAVKTAETGYMSRRLMKGLEDLSVHYDQTVRNASGGIVQFVYGDDGMDPAKMEGKDGIPLNLDQLFMKIMATCPARDEDALSPSEISEVVDNRFSKYDVSPEGGCSSAFKKSLSDFLNNRVAAFKKTRSALQLDENYVGSRNSNKIENVAANIAGITSKQLKVGSIV >DRNTG_27804.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27210080:27213710:1 gene:DRNTG_27804 transcript:DRNTG_27804.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPAIKLFGRTIPLQEGMDGDFPDKGSVLAAAEDFGEKDADKELKFESKDPASNGPEEPEQNGQHDPSDSCNGNGEDHETSAQGDKVTEDAKNEQDQAGTETKVLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKNKHTASHQHHLMMLSDGMPTNRLDASDLNHSRTLPSGPSTASIPIKVNATLLKFGPEAPLCESMASVLNLGDQKKKSELSSIACGENVDEPSCASSMTVPNGVEKEHPEGATNLRNSSMPGYCTGVNPLHPLPSYPGPPWVYPWSPGWNNVAAMAAGRSSNENGSENGHPNPIPWNPPPMVVAPAFCAPAIPFPFIPPSFWGCMPTWPYGAWNAPWAGSNGGLSPSSSTSNSGCSGNGGSPTLGKHSRDANSQCEEKVEKSLWVPKTLRIDDPDEAAKSSIWATLGIKPDEGGIFKSFKSKSESKGQESDTAQVLHANPAALSRSQTFQEST >DRNTG_27804.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27210098:27213710:1 gene:DRNTG_27804 transcript:DRNTG_27804.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPAIKLFGRTIPLQEGMDGDFPDKGSVLAAAEDFGEKDADKELKFESKDPASNGPEEPEQNGQHDPSDSCNGNGEDHETSAQGDKVTEDAKNEQDQAGTETKVLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKNKHTASHQHHLMMLSDGMPTNRLDASDLNHSRTLPSGPSTASIPIKVNATLLKFGPEAPLCESMASVLNLGDQKKKSELSSIACGENVDEPSCASSMTVPNGVEKEHPEGATNLRNSSMPGYCTGVNPLHPLPSYPGPPWVYPWSPGWNNVAAMAAGRSSNENGSENGHPNPIPWNPPPMVVAPAFCAPAIPFPFIPPSFWGCMPTWPYGAWNAPWAGSNGGLSPSSSTSNSGCSGNGGSPTLGKHSRDANSQCEEKVEKSLWVPKTLRIDDPDEAAKSSIWATLGIKPDEGGIFKSFKSKSESKGQESDTAQVLHANPAALSRSQTFQEST >DRNTG_27804.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27210098:27213904:1 gene:DRNTG_27804 transcript:DRNTG_27804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPAIKLFGRTIPLQEGMDGDFPDKGSVLAAAEDFGEKDADKELKFESKDPASNGPEEPEQNGQHDPSDSCNGNGEDHETSAQGDKVTEDAKNEQDQAGTETKVLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKNKHTASHQHHLMMLSDGMPTNRLDASDLNHSRTLPSGPSTASIPIKVNATLLKFGPEAPLCESMASVLNLGDQKKKSELSSIACGENVDEPSCASSMTVPNGVEKEHPEGATNLRNSSMPGYCTGVNPLHPLPSYPGPPWVYPWSPGWNNVAAMAAGRSSNENGSENGHPNPIPWNPPPMVVAPAFCAPAIPFPFIPPSFWGCMPTWPYGAWNAPWAGSNGGLSPSSSTSNSGCSGNGGSPTLGKHSRDANSQCEEKVEKSLWVPKTLRIDDPDEAAKSSIWATLGIKPDEGGIFKSFKSKSESKGQESDTAQVLHANPAALSRSQTFQEST >DRNTG_13793.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000641.1:20474:23893:-1 gene:DRNTG_13793 transcript:DRNTG_13793.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATMLSTSLSLSAAASRLPLPTIPKFPLPNSATISSFSLHLTRCSLCIATEPSPSPSPSPSPLKEDQERHVKPSLAEVSRTIMELASVGTLSTTVDDGWPLGIGARFVVDAQGLPALCLNDSERFFQACGKSSFHVGFEQSGLRTPQCTILGSLNKTEDESLLKRLCTKWERKFGEEVDKDFLYVISVERVLQVENFNEGGLWVNSLEYINAEPDPLRNFAAKIVNEMNSVHSEEVRRLCNIYVDTEFQVRDTKMIWVDRLGFDLYVYSGDSVFAVRIPFPSEVTDEKGVKSSFNSMSHLAWEIEKNYIALDFEKVKTLKKIR >DRNTG_22564.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001244.1:292154:292804:-1 gene:DRNTG_22564 transcript:DRNTG_22564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIAVVPLSPVARRCCFFVMPRRFSQLRLLTEGIMLCSIQTLLDGHQSPNGEDIEALCKLMSIIDVHTIYRNGLNVINLCSSDENDVNLDDHFINSTCWDAKIQRKERMVEEDRYILEPDACQSLAADLERKVALTYDSEEDVCIISKKRLGCM >DRNTG_17857.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2863071:2866901:-1 gene:DRNTG_17857 transcript:DRNTG_17857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPREETPINENEIRITSQGRTRSYITYATSLLQEKGSEEIVIKAMGRAINKTIMIVELIKRRIVGLHQNTAIGSTDITDMWEPLEEGLLPLETTRHVSMITITLSKKELDTSSVGYQPPIPKDQVKPLADFGYEGEGSPRGGRGRGRGSRGRGRARGNGPIAVDYNDGGYDNGDHGYVRGGWGRGRDRGFRGRGRGGYGGRNSYQQGPGGYYDEGPIPVRGRGRGRGRGQFRGRARDFKANGPIHAAAAGEA >DRNTG_30724.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12556289:12558194:-1 gene:DRNTG_30724 transcript:DRNTG_30724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCGGTHVNNTSEIRGFKIISEQGIASGVRRIEAVAGDSYVEYVNTRDNYMKRLCSMLKVKAEDVTNRVESILDELITTRTQVSNLRSQMAVLKASLIVSKAFMIENTNIRIVVENMDDIDADALKSAAEYLVNSLQDPAAVVLGSCPGDGKVSLVAAFSPGVVKMGIQAGKFIGGIAKICGGGGGGRPNFAQAGGRNPDKLLVALEKRSSGIICSSW >DRNTG_21169.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2142884:2145943:-1 gene:DRNTG_21169 transcript:DRNTG_21169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFELRFSPSMATASSPLLHRSPPPRARILTSSFLSSTSLPRLKLSPSPSLLVVRSRSRFPTIVAAQSNWLRVIQTVWKVSKDGIEAGTNLMPDMVPRPIARIAVAFIGGAIVLFVFKSFLSTLFFALAVMGLVYFAFIAMNSDEVSKGAGSTASSEDETLEEARRIMEKYK >DRNTG_05773.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000269.1:1:160620:-1 gene:DRNTG_05773 transcript:DRNTG_05773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSKNYPIMPGLFRCWGHNRVLCVPRFMSRNFELKSAFIHMLLQSAQFNGLADEDANSHIESFLEVCDMLKINGVTDDGIKLRAFPFSRKGRAKQWLHSLPRASITTWEEMVEAFLARYFPPEKSAKLRNEISSFVQLELESLFKKWEKFKELLRKCPQHGFPKWMIVLTFYNGLNPSTRQLLDATVGGTLGSKTPDEARQLIEEMGLNSYQWNSREK >DRNTG_02534.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8235826:8237789:-1 gene:DRNTG_02534 transcript:DRNTG_02534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRREQGPPNPPSSSNNFRRARQLAYFIV >DRNTG_19313.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:656443:659227:1 gene:DRNTG_19313 transcript:DRNTG_19313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEMEEKKKFDGEPEGPEPSQPSFLEVLCKSSGKMRRFAAGTESGFAIFLINRKFEPGNPHALHIEAVKDGEEPVCFGPNAVLVNYGKGWRLQTVIDEGMHSMHRKGFEEMRGLKSAMRQRTSTINPSEIQSAEKPIAESSIRGNCEYFGRILLAFAFIFLLGGTLTVFLEKLPELISFVSSAM >DRNTG_09114.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:76956:77483:-1 gene:DRNTG_09114 transcript:DRNTG_09114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKATDEQVSVVRAVVGQDFSDMDIIRALHMANNDAAAAINIIFDTPHFRISSSPPNKNPNPPVILKETQSPISSTAKVIAESRVTPPESSEQEIEGHWWLVGTSELAGLSTCKGRRIKVGDKVNFSFPSRNK >DRNTG_27383.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1273484:1275850:1 gene:DRNTG_27383 transcript:DRNTG_27383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSSTMESIREWVVEHKLRAVGCLWLSGIGGSIAYNWSRPNMKPSVKIIHARLHAQALTLAALAGAAVVEYYDHKSGKGSKVNKYAQQFLVMDPQTRKRLNLLFFFRINYSMRYIT >DRNTG_09671.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1315611:1316446:-1 gene:DRNTG_09671 transcript:DRNTG_09671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVWMSLKRSLQCKSESCDVYDPKARLHLNTILTRKAGRSGCSRSIANLKDVIHGSKKRLERPPSCSPRSIGSSEFLNPITHEVVLNNSNYELKITGFGAFHEVDNGVNSTYVGTLKPGTPGPGGHHHGFRYNPSPRAAAIRTPSKRTSSHETVAIMRCHKCGKDFGKWESLEAHHLSNHAGQFFTS >DRNTG_04387.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1201073:1202438:-1 gene:DRNTG_04387 transcript:DRNTG_04387.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin-like protein [Source:Projected from Arabidopsis thaliana (AT4G17030) UniProtKB/TrEMBL;Acc:Q0WRS3] MAKLVIFLLPVILFLPILSTCQNIYTSRATFYGSPECSGTPSGACGYGDFGRNINGGDVGAVSRLYRNGSGCGACYQVRCRCPQLCNDYGVRIVVTDHGEGDNTDFILSARGFVKLANPNMAAQLMAYGTIDVEYRRIPCQYPGNNLNIKVTENSRYPDYLALVILYQAGQKDIMGVELWQEDCKQWRGMRRAYGAVWDIVNPPKGPMNMRLQVASDDGAQDWVKLTAVVPSAWQAGVSYDSTIQLT >DRNTG_07007.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3179516:3184062:-1 gene:DRNTG_07007 transcript:DRNTG_07007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFTSKKNDEENCAKDRPMNRGPSQVLVDQWVRDLCHTQEETFRLDRQPKSERQLDLEKVLRAKEEVRAETGIILIKTRSSMLKVICSQTMIDSKIGSRKQKRK >DRNTG_15730.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4237777:4245240:1 gene:DRNTG_15730 transcript:DRNTG_15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELVAVEITESCMVTPNEETPNHRLWLSKFDLLAPKTHASAIYLYKSNGQDPNFFRVDTLKQALSKALVIFYPLAGRLTVDDNGRPEVHCNGEGVFFSVARANCTMDEFGDFRPSPVLRRLLMPIVDNKDTQRSCILMLFQLTVFKCGSVCLSRAIHHSVADGTTSFQFVTAWADIARAIASHLWRTACKARELTSEKNTRLYIIVNTRSRLKPPLPTGYLGNTVMRVSSHLQVGDLLMKSLHQIAGDIQEAISKVDDEYVHSLLDFLEINKNAKQRAGEPEDFGMVSWLGFPMFEADFGWGRPWFIGPASMGPFSYAYVIPGAESSGGVSISLSFEQDNIARFKEIFYKERWISSPIAYRPGLLIFAAPPPEILPCGRSSPQSNWCRKFHSNWFSFNLSNLLLVIQSLIRCPNPFPRIELLISGLCLDGTKRAGTDVFFGNLSGVTKRRDDSVRTFSASLITYASIQALKPDLIVNARTVGGFSVGKLSNGNSLEMTPQDETAILANDAIVKDMEENSWIQIKRVEDEEDTQSFSLRVIKPKNIL >DRNTG_01009.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17921915:17924180:1 gene:DRNTG_01009 transcript:DRNTG_01009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNENVEDVQAEQLLHMIGFVWNNEHNIIECEKSTYDECVKNHKEAMGLYGKSFPFFKDLTPVFTKDREEANARGDLGDDVDQNMHENISLDDNAGFSRFHMEDIFMPTDEHTEPPSPITSDNGA >DRNTG_19165.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6173384:6174427:-1 gene:DRNTG_19165 transcript:DRNTG_19165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKRSRSVENETNCSITAESKLFFAEKPVGLGIADAALKGDTGLVFFASKLKIQIPSPTNSLTEESHLSPILEFGIKTKNSNLSLFSPCGNNNNKSSNQLFLRSLSAKDIDQLSEDYTCVISHGPNPKTIHIFDDYVFENFGHNSFLPSCFACKKSLCLDKDHSLIYRGEKVFFCHECCNQEMVLDQGSSV >DRNTG_10688.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13891522:13896370:-1 gene:DRNTG_10688 transcript:DRNTG_10688.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSHNSGRYWTYLLSRLLNIKVKHGLSLRMCHQRLKHSSVCKRIQYAKTKSDLIAKADGTFVPRERRKKHDERADRKKREHHHDNNYSGGGLSATHGAYGATPPLSQLPLGVAKLPEAPAPPNSILFVQNLPTETTPMMLQMLFCQYPGFKEVRMVETKPGIAFVEYGDEMQSTVAMQGLHGFKITPQNPMHVTYAKK >DRNTG_12193.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10690449:10693311:1 gene:DRNTG_12193 transcript:DRNTG_12193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDQQWKNRQYGSANQHGTNYVDGVIGRGREEGSDGDPMDYRQTQEFDI >DRNTG_26250.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6972065:6972709:-1 gene:DRNTG_26250 transcript:DRNTG_26250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPNAGIDILAHVFSMMEAFRAFDSDNDGQITVDELGGIMSSLGYNPSRQEIVDMMRRGDTDGDGLLSIEEFLDVNTRELSLGSLAGSLSAALPALSFQSGDYEITGEELFEVLGEFGNLSLEQCLEIAASMDGDGDGAVNLEDFRLVVNALL >DRNTG_26250.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6971729:6972709:-1 gene:DRNTG_26250 transcript:DRNTG_26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPNAGIDILAHVFSMMEAFRAFDSDNDGQITVDELGGIMSSLGYNPSRQEIVDMMRRGDTDGDGLLSIEEFLDVNTRELSLGSLAGSLSAALPALSFQSGDYEITGEELFEVLGEFGNLSLEQCLEIAASMDGDGDGAVNLEDFRLVVYSQGIFCGLSTIILEKIALVFGGISSFKCNI >DRNTG_08283.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1689504:1702227:-1 gene:DRNTG_08283 transcript:DRNTG_08283.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQNEQQRTLSDCARPSEMFNPDPYEGLFDQEERHEDVMMLGSTDEVPPIPGIFKKVLRKMKRARRRHQKHSKDVGDVREPKKLDELLLEKTVGLYEVSSNDTLAEKVDVLTRKLDFLMGSSSSEIGTVLRNLQASVKSLEHRVGELAKAQSERPLGCLPDNTEDNPREHLKDIALRSGRQVETSVEVDPSVKKSGVASGEDPKLVEENSEDNNQGRNAKPQQQGPSKSSEYKPPIPYPTRLKHDKEDQPKERVSCTNAKEKEKKESFVKKMWREIHGRKKKGNKPYHPSSHGGKGIRKPSTARRYHLGKKRRKQEFHTTPILPSMGVGRGYFPHARGFALRAHPEKAHGRRRLLNHHVTRPHAWVLSARACEFSQSGRFSREHTGAWTRPCGATL >DRNTG_32206.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001798.1:9938:10586:1 gene:DRNTG_32206 transcript:DRNTG_32206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSEVMADVLADGRAACYKARDAFYACLEKEVDKKPTEIATVGLLYPAECKKSRTVFVNSCRPTWVKHFDRQYCAKKRVQRLLDSDEAHRGPISLPQPYTFKP >DRNTG_19260.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:353738:359088:1 gene:DRNTG_19260 transcript:DRNTG_19260.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin-2 [Source:Projected from Arabidopsis thaliana (AT2G46520) UniProtKB/Swiss-Prot;Acc:Q9ZPY7] MDFNNPAALETLSQWFLQSLSPSPEPRRAAESSLSAAADHPGFPIALLRLVAGSSIDQQICLAAAVHFKNLVRSRWSPSDTDRPVIPQPEKDQIKSLLLPLMLSSPSPLVRSQLSESLSLIASHDFPQSWPSLLPDIVSTLRSSTDYSAINGLLAAANSIFLKFRHSFDTPALRLDLKYCLDLFAAPLLEIFLKTSSLISTTISGNGQPESLRAPFESQRLCCEIFLSLNFIDLPEFFEDHMREWMSEFLVYLTKTYPPALEADGSADALRAAVCENLQFYMEKNEEEFKDYLKDFASAVWNLLITPATSPFRDQLTITAIRFLTKVSTSVHHSLFSSSQALQEICQSIVFPNVRLRDEDEELFEMNYVEYIRRDIEGSDIDTRRRIACELLKGLALNYKEQVTALVSMQIQNMLALYAANPGENWKEKDSAIYLVVSLATKGSTGHLVDVDSFFATVIVPELQGQDVNASSMLKAGALKFFTTFREQIPKQASMALLPDVIRFLAAESNVVHSYAANCIEKLLLVKDKVPAPASGLTAVHSHARYGPSDIDPLLPSLLTNLFNALQFPDSQENQYVMRCIMRVVGVATVVGEAAAVCMARLAFVLGEVCKNPKNPIFNHYLFEAIAALIARSCEKDQSLISLFEGNLFPVLQNILVNDITEFWPYAFQIFAQLVETSKPPLSGSYMQLFQVLLSLESWKRSANVPALVRLLQAYLQKMPTELNREGRLSQVLAIFNKLVMSPSTEELGFFVLNTVVENLGSDVLAPYIGHIWSALFTRLQIRRNVKKFVNSLIIFMSLVLVKHGPGVLVDSVNAVQPNIFVTILQQFWIPNVKLISGVIEVKLASIASTRLLCESPSLLDPSAAELWGKLLDSIITLLAQPEEFRENVENEPPVVPETEGYSASFARLHNAGKKEEDPLKEIRDPKEFLVSSLARLSAASPGRYRASIEKFVDPQNQSALIQLCGAYNCTIV >DRNTG_19260.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:353738:359770:1 gene:DRNTG_19260 transcript:DRNTG_19260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin-2 [Source:Projected from Arabidopsis thaliana (AT2G46520) UniProtKB/Swiss-Prot;Acc:Q9ZPY7] MDFNNPAALETLSQWFLQSLSPSPEPRRAAESSLSAAADHPGFPIALLRLVAGSSIDQQICLAAAVHFKNLVRSRWSPSDTDRPVIPQPEKDQIKSLLLPLMLSSPSPLVRSQLSESLSLIASHDFPQSWPSLLPDIVSTLRSSTDYSAINGLLAAANSIFLKFRHSFDTPALRLDLKYCLDLFAAPLLEIFLKTSSLISTTISGNGQPESLRAPFESQRLCCEIFLSLNFIDLPEFFEDHMREWMSEFLVYLTKTYPPALEADGSADALRAAVCENLQFYMEKNEEEFKDYLKDFASAVWNLLITPATSPFRDQLTITAIRFLTKVSTSVHHSLFSSSQALQEICQSIVFPNVRLRDEDEELFEMNYVEYIRRDIEGSDIDTRRRIACELLKGLALNYKEQVTALVSMQIQNMLALYAANPGENWKEKDSAIYLVVSLATKGSTGHLVDVDSFFATVIVPELQGQDVNASSMLKAGALKFFTTFREQIPKQASMALLPDVIRFLAAESNVVHSYAANCIEKLLLVKDKVPAPASGLTAVHSHARYGPSDIDPLLPSLLTNLFNALQFPDSQENQYVMRCIMRVVGVATVVGEAAAVCMARLAFVLGEVCKNPKNPIFNHYLFEAIAALIARSCEKDQSLISLFEGNLFPVLQNILVNDITEFWPYAFQIFAQLVETSKPPLSGSYMQLFQVLLSLESWKRSANVPALVRLLQAYLQKMPTELNREGRLSQVLAIFNKLVMSPSTEELGFFVLNTVVENLGSDVLAPYIGHIWSALFTRLQIRRNVKKFVNSLIIFMSLVLVKHGPGVLVDSVNAVQPNIFVTILQQFWIPNVKLISGVIEVKLASIASTRLLCESPSLLDPSAAELWGKLLDSIITLLAQPEEFRENVENEPPVVPETEGYSASFARLHNAGKKEEDPLKEIRDPKEFLVSSLARLSAASPGRYRASIEKFVDPQNQSALIQLCGAYNCTIV >DRNTG_19260.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:353738:359770:1 gene:DRNTG_19260 transcript:DRNTG_19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin-2 [Source:Projected from Arabidopsis thaliana (AT2G46520) UniProtKB/Swiss-Prot;Acc:Q9ZPY7] MDFNNPAALETLSQWFLQSLSPSPEPRRAAESSLSAAADHPGFPIALLRLVAGSSIDQQICLAAAVHFKNLVRSRWSPSDTDRPVIPQPEKDQIKSLLLPLMLSSPSPLVRSQLSESLSLIASHDFPQSWPSLLPDIVSTLRSSTDYSAINGLLAAANSIFLKFRHSFDTPALRLDLKYCLDLFAAPLLEIFLKTSSLISTTISGNGQPESLRAPFESQRLCCEIFLSLNFIDLPEFFEDHMREWMSEFLVYLTKTYPPALEADGSADALRAAVCENLQFYMEKNEEEFKDYLKDFASAVWNLLITPATSPFRDQLTITAIRFLTKVSTSVHHSLFSSSQALQEICQSIVFPNVRLRDEDEELFEMNYVEYIRRDIEGSDIDTRRRIACELLKGLALNYKEQVTALVSMQIQNMLALYAANPGENWKEKDSAIYLVVSLATKGSTGHLVDVDSFFATVIVPELQGQDVNASSMLKAGALKFFTTFREQIPKQASMALLPDVIRFLAAESNVVHSYAANCIEKLLLVKDKVPAPASGLTAVHSHARYGPSDIDPLLPSLLTNLFNALQFPDSQENQYVMRCIMRVVGVATVVGEAAAVCMARLAFVLGEVCKNPKNPIFNHYLFEAIAALIARSCEKDQSLISLFEGNLFPVLQNILVNDITEFWPYAFQIFAQLVETSKPPLSGSYMQLFQVLLSLESWKRSANVPALVRLLQAYLQKMPTELNREGRLSQVLAIFNKLVMSPSTEELGFFVLNTVVENLGSDVLAPYIGHIWSALFTRLQIRRNVKKFVNSLIIFMSLVLVKHGPGVLVDSVNAVQPNIFVTILQQFWIPNVKLISGVIEVKLASIASTRLLCESPSLLDPSAAELWGKLLDSIITLLAQPEEFRENVENEPPVVPETEGYSASFARLHNAGKKEEDPLKEIRDPKEFLVSSLARLSAASPGRYRASIEKFVDPQNQSALIQLCGAYNCTIV >DRNTG_19260.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:353738:359088:1 gene:DRNTG_19260 transcript:DRNTG_19260.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin-2 [Source:Projected from Arabidopsis thaliana (AT2G46520) UniProtKB/Swiss-Prot;Acc:Q9ZPY7] MDFNNPAALETLSQWFLQSLSPSPEPRRAAESSLSAAADHPGFPIALLRLVAGSSIDQQICLAAAVHFKNLVRSRWSPSDTDRPVIPQPEKDQIKSLLLPLMLSSPSPLVRSQLSESLSLIASHDFPQSWPSLLPDIVSTLRSSTDYSAINGLLAAANSIFLKFRHSFDTPALRLDLKYCLDLFAAPLLEIFLKTSSLISTTISGNGQPESLRAPFESQRLCCEIFLSLNFIDLPEFFEDHMREWMSEFLVYLTKTYPPALEADGSADALRAAVCENLQFYMEKNEEEFKDYLKDFASAVWNLLITPATSPFRDQLTITAIRFLTKVSTSVHHSLFSSSQALQEICQSIVFPNVRLRDEDEELFEMNYVEYIRRDIEGSDIDTRRRIACELLKGLALNYKEQVTALVSMQIQNMLALYAANPGENWKEKDSAIYLVVSLATKGSTGHLVDVDSFFATVIVPELQGQDVNASSMLKAGALKFFTTFREQIPKQASMALLPDVIRFLAAESNVVHSYAANCIEKLLLVKDKVPAPASGLTAVHSHARYGPSDIDPLLPSLLTNLFNALQFPDSQENQYVMRCIMRVVGVATVVGEAAAVCMARLAFVLGEVCKNPKNPIFNHYLFEAIAALIARSCEKDQSLISLFEGNLFPVLQNILVNDITEFWPYAFQIFAQLVETSKPPLSGSYMQLFQVLLSLESWKRSANVPALVRLLQAYLQKMPTELNREGRLSQVLAIFNKLVMSPSTEELGFFVLNTVVENLGSDVLAPYIGHIWSALFTRLQIRRNVKKFVNSLIIFMSLVLVKHGPGVLVDSVNAVQPNIFVTILQQFWIPNVKLISGVIEVKLASIASTRLLCESPSLLDPSAAELWGKLLDSIITLLAQPEEFRENVENEPPVVPETEGYSASFARLHNAGKKEEDPLKEIRDPKEFLVSSLARLSAASPGRYRASIEKFVDPQNQSALIQLCGAYNCTIV >DRNTG_19260.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:353738:359088:1 gene:DRNTG_19260 transcript:DRNTG_19260.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin-2 [Source:Projected from Arabidopsis thaliana (AT2G46520) UniProtKB/Swiss-Prot;Acc:Q9ZPY7] MDFNNPAALETLSQWFLQSLSPSPEPRRAAESSLSAAADHPGFPIALLRLVAGSSIDQQICLAAAVHFKNLVRSRWSPSDTDRPVIPQPEKDQIKSLLLPLMLSSPSPLVRSQLSESLSLIASHDFPQSWPSLLPDIVSTLRSSTDYSAINGLLAAANSIFLKFRHSFDTPALRLDLKYCLDLFAAPLLEIFLKTSSLISTTISGNGQPESLRAPFESQRLCCEIFLSLNFIDLPEFFEDHMREWMSEFLVYLTKTYPPALEADGSADALRAAVCENLQFYMEKNEEEFKDYLKDFASAVWNLLITPATSPFRDQLTITAIRFLTKVSTSVHHSLFSSSQALQEICQSIVFPNVRLRDEDEELFEMNYVEYIRRDIEGSDIDTRRRIACELLKGLALNYKEQVTALVSMQIQNMLALYAANPGENWKEKDSAIYLVVSLATKGSTGHLVDVDSFFATVIVPELQGQDVNASSMLKAGALKFFTTFREQIPKQASMALLPDVIRFLAAESNVVHSYAANCIEKLLLVKDKVPAPASGLTAVHSHARYGPSDIDPLLPSLLTNLFNALQFPDSQENQYVMRCIMRVVGVATVVGEAAAVCMARLAFVLGEVCKNPKNPIFNHYLFEAIAALIARSCEKDQSLISLFEGNLFPVLQNILVNDITEFWPYAFQIFAQLVETSKPPLSGSYMQLFQVLLSLESWKRSANVPALVRLLQAYLQKMPTELNREGRLSQVLAIFNKLVMSPSTEELGFFVLNTVVENLGSDVLAPYIGHIWSALFTRLQIRRNVKKFVNSLIIFMSLVLVKHGPGVLVDSVNAVQPNIFVTILQQFWIPNVKLISGVIEVKLASIASTRLLCESPSLLDPSAAELWGKLLDSIITLLAQPEEFRENVENEPPVVPETEGYSASFARLHNAGKKEEDPLKEIRDPKEFLVSSLARLSAASPGRYRASIEKFVDPQNQSALIQLCGAYNCTIV >DRNTG_05777.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000269.1:98326:100441:1 gene:DRNTG_05777 transcript:DRNTG_05777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSVSRATKPSGSSSLRPFTLLWPQRTRGTEVVNALKSKKEAEIGYKDIMLGVLVQLKDQDTRGVYKRGDIARPLKDTSMKKSFISYHMDVCPDMWPQEKSDWTAFCEKYCSKNTVEVLYQNYCSRARMENPHGRMRARDRRDLRPITSRFALFFLIFCAALEGEVSIRVRTSRLKRVERVSHEIVECSLSLPIECILSPFLKLYATIFGVRREIERFLCRDLVGG >DRNTG_01903.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20962833:20963092:-1 gene:DRNTG_01903 transcript:DRNTG_01903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIFDTTDGDARLLRFRSGHSAPPLCIRQANYGSGSLSFSFCFCS >DRNTG_29905.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001515.1:38036:41912:1 gene:DRNTG_29905 transcript:DRNTG_29905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLESELRWIKSFIKDADGKGKRDENVKNWVNDVIQVAYLAEDAIDTFFIKVHHSKGGLSCIKRLKARHDVGKEICKIKERLNEIKARIALYGIQCSGEDGDALSLMPVRRRHFLSQPDDADIVGRFNDEKILLERLINHHCQQQQGSCVISIVGIGGLGKTTLARKLYRSNAVNNHFHKCIWLTVSQENSLMGLLRKLLKQVPGIKEEDLQNKEENDLIDMINDSLKTQRIFIVLDDIWREDVCVQMEGIFRNVNNGSRILITTRFLNVAKRADPSSTPHQLLLLNEGESKKLLLKKAFHWEDAETNCCSEFLDIGLRLVRKCGGLPLALVVLGGFLSIRDKTPVEWRRVLETMSWEAQGISTCQEILALSYEDLPHHMKLCFLYFSAYPEDSEIYGIELIRKWIAEGFIPQEGNKMMEETGEAILKELVQRSLIHANEWKSNGCVRTCGVHDLLLDFARSAAKKDRFLTVCSTQNDQPVSLASSSHRVAIHIINEPLTNEITNEISTRYDGLRTLIVFGRIVSSPEIIPAMFTFQFLRMLDLAAICLQDRIPEEIKLLI >DRNTG_11512.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29464332:29466303:-1 gene:DRNTG_11512 transcript:DRNTG_11512.3 gene_biotype:protein_coding transcript_biotype:protein_coding KNLITVLAPLVPVIGCRAVLALAGYVINNVAFIFAALYFYRLSVLILKDSKAAFLASVLFCFNPASVFYSSIYSESLYALFSLGGIYYVFSGSNTLAMLLLAVSGSARSNGVLNAGYFMFLTLVKVYEAVSQNRKIK >DRNTG_11512.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29462682:29466801:-1 gene:DRNTG_11512 transcript:DRNTG_11512.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANGIRSSAGDILRLAFISRLLILTLIVLFRFLFDPYDTSGALNHPCHHLDGTPPPRSPLLWPRVAAAIEQSVVWDSVYFVRIAECGYEYEQTFAFLPLLPVATSLLSRSVLAPLVPVIGCRAVLALAGYVINNVAFIFAALYFYRLSVLILKDSKAAFLASVLFCFNPASVFYSSIYSESLYALFSLGGIYYVFSGSNTLAMLLLAVSGSARSNGVLNAGYFMFLTLVKVYEAVSQNRKIKVVKAIVDGTLYSICIFIPYVAFQAYGYSNICKGGSLDELRPWCKARIPHLYAFLQSHYWGVGFLRYFQVKQLPNFLLASPILTLAVCSISKYAKSLPQVVQALVVRQGNNPPFSNVTSTAKDGISFKNSKGDSVVKQRRRENADEVQKNLKPISQGTIFKTNQGYYNIMVLPFILHLGFLTFTAFFVMHVQVSTRFLSASPPIYWFASYTMLSPDRNLAKWRYLISTYFIAYILLGALLFSNFYPFT >DRNTG_11512.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29463411:29465360:-1 gene:DRNTG_11512 transcript:DRNTG_11512.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAVSGSARSNGVLNAGYFMFLTLVKVYEAVSQNRKIKVVKAIVDGTLYSICIFIPYVAFQAYGYSNICKGGSLDELRPWCKARIPHLYAFLQSHYWGVGFLRYFQVKQLPNFLLASPILTLAVCSISKYAKSLPQVVQALVVRQGNNPPFSNVTSTAKDGISFKNSKGDSVVKQRRRENADEVQKNLKPISQGTIFKTNQGYYNIMVLPFILHLGFLTFTAFFVMHVQVRPLFTLLCHCTWDFFGFHFNISIVFIYYYLNVPSSQ >DRNTG_11512.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29462682:29466801:-1 gene:DRNTG_11512 transcript:DRNTG_11512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGIRSSAGDILRLAFISRLLILTLIVLFRFLFDPYDTSGALNHPCHHLDGTPPPRSPLLWPRVAAAIEQSVVWDSVYFVRIAECGYEYEQTFAFLPLLPVATSLLSRSVLAPLVPVIGCRAVLALAGYVINNVAFIFAALYFYRLSVLILKDSKAAFLASVLFCFNPASVFYSSIYSESLYALFSLGGIYYVFSGSNTLAMLLLAVSGSARSNGVLNAGYFMFLTLVKVYEAVSQNRKIKVRPTLILHQFVLKFELHIVSRRNQHQP >DRNTG_11512.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29462682:29462989:-1 gene:DRNTG_11512 transcript:DRNTG_11512.5 gene_biotype:protein_coding transcript_biotype:protein_coding QVSTRFLSASPPIYWFASYTMLSPDRNLAKWRYLISTYFIAYILLGALLFSNFYPFT >DRNTG_19051.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000976.1:19727:23787:-1 gene:DRNTG_19051 transcript:DRNTG_19051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVKGSIEGEKEFNGKVFPLTLVPPQRNDIKNSWKDLVEMVKKGKEKLSECLSDHGAILFRGFKVESGEEFEKVVESFGWDEFPYEGAADRNKVASRIYTANEAPLHVFINFHHEMALIRKFAPKIFFYCLEPAPEGGETAIVRSDVIVEEMEKKVPEVVEKLTGEGFKFVLHTKVPYKHGNHDGLDDTNTTVWQRMLKTKDKLEAQKRALETLACNAVKFNEDGTAEFTYGPMNPIKEFNGKRVWFNTILGYQTSERDGRVSFTDGTSIPTAATDTYSAVLEANCVDIKWLKGDVLLVDNLAVQHARRVGKPPRSIHVSLCI >DRNTG_19051.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000976.1:22967:23787:-1 gene:DRNTG_19051 transcript:DRNTG_19051.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVKGSIEGEKEFNGKVFPLTLVPPQRNDIKNSWKDLVEMVKKGKEKLSECLSDHGAILFRGFKVESGEEFEKVVESFGWDEFPYEGAADRNKVASRIYTANEAPLHVFINFHHEMALIRKFAPKIFFYCLEPAPEGGETAIVRSDVIVEEMEKKVPEVVEKLTGEGFKFVLHTKVPYKHGNHDGLDDTNTTVWQRMLKTKDKLEAQKR >DRNTG_11130.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30433757:30434699:-1 gene:DRNTG_11130 transcript:DRNTG_11130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCSLLLSVARVLVWRSPGFRKEFFPFERVHELRPCAADVTVINWSPDSAYVLVGSKDLTVRLYCLKSYRGSRKPYLFLGHRESIVGAFFAMEKNDNAYRVYTISKDGAVFTWKLDERQHLDDSKRMDLDPPSPGTPEQRSPGENNKFEEESEIPLNQAKWELVKKDFFMQTPAKLTACDYVMIPLVPHRL >DRNTG_11130.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30433725:30434874:-1 gene:DRNTG_11130 transcript:DRNTG_11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCSLLLSVARVLVWRSPGFRKEFFPFERVHELRPCAADVTVINWSPDSAYVLVGSKDLTVRLYCLKSYRGSRKPYLFLGHRESIVGAFFAMEKNDNAYRVYTISKDGAVFTWKLDERQHLDDSKRMDLDPPSPGTPEQRSPGENNKFEEESEIPLNQAKWELVKKDFFMQTPAKLTACDYVMIPLVPHRL >DRNTG_11130.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30433757:30434874:-1 gene:DRNTG_11130 transcript:DRNTG_11130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCSLLLSVARVLVWRSPGFRKEFFPFERVHELRPCAADVTVINWSPDSAYVLVGSKDLTVRLYCLKSYRGSRKPYLFLGHRESIVGAFFAMEKNDNAYRVYTISKDGAVFTWKLDERQHLDDSKRMDLDPPSPGTPEQRSPGENNKFEEESEIPLNQAKWELVKKDFFMQTPAKLTACDYVMIPLVPHRL >DRNTG_11130.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30433725:30434699:-1 gene:DRNTG_11130 transcript:DRNTG_11130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCSLLLSVARVLVWRSPGFRKEFFPFERVHELRPCAADVTVINWSPDSAYVLVGSKDLTVRLYCLKSYRGSRKPYLFLGHRESIVGAFFAMEKNDNAYRVYTISKDGAVFTWKLDERQHLDDSKRMDLDPPSPGTPEQRSPGENNKFEEESEIPLNQAKWELVKKDFFMQTPAKLTACDYVMIPLVPHRL >DRNTG_10200.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20080638:20081599:-1 gene:DRNTG_10200 transcript:DRNTG_10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIPQAAARVQTRCAGKKTNTGYIKGCLAALNIWFYELTGTEKKVHFGKTPRMLCYGENTYRNQATIETSLSSLEEKECRRSPPLPCPIATPPPTTTTIIPLIVIAPLTVAAPPYGNWRRCHCNSSARVPDIDYQVLSAVAQIEALEGRSQSTTPSLQTNEAPRTDEASKFDDDDFIEMAIPT >DRNTG_28058.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23086421:23090264:-1 gene:DRNTG_28058 transcript:DRNTG_28058.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEIDNLNLKNIGGSLPVPNVQSLAASKEFTEVPGRYVRPEINSSPVLDSAARHGDIPIIDLSRLDYLQHSQDEVLKLGLACEEWGFFQLINHGVPDKVIETMKVVIEEFFRLPLEEKKVYEQLPGSVEGYGHASVVSEEQKLDWGDMYFLSTRPVSGRNLKLWPTNPPIFKDALDQYSEEMQRVANILLEAIGKSLKLNKLIDNFKGGLQAIRINYYPPCPQASKVMGLSPHSDAVGLSVLLQVNDVQGLQIKKNGAWLPIKPLPNAFIINLGDIIEIMSNGKYKSIEHRAVVSPERERLSIVTFHSLRTDAQVGPLQAETQEKSEPFYYKDH >DRNTG_28058.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23084708:23090264:-1 gene:DRNTG_28058 transcript:DRNTG_28058.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEIDNLNLKNIGGSLPVPNVQSLAASKEFTEVPGRYVRPEINSSPVLDSAARHGDIPIIDLSRLDYLQHSQDEVLKLGLACEEWGFFQLINHGVPDKVIETMKVVIEEFFRLPLEEKKVYEQLPGSVEGYGHASVVSEEQKLDWGDMYFLSTRPVSGRNLKLWPTNPPIFKDALDQYSEEMQRVANILLEAIGKSLKLNKLIDNFKGGLQAIRINYYPPCPQASKVMGLSPHSDAVGLSVLLQVNDVQGLQIKKNGAWLPIKPLPNAFIINLGDIIEIMSNGKYKSIEHRAVVSPERERLSIVTFHSLRTDAQVGPLQAETQEKSEPFYYKDH >DRNTG_28058.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23084708:23090264:-1 gene:DRNTG_28058 transcript:DRNTG_28058.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEIDNLNLKNIGGSLPVPNVQSLAASKEFTEVPGRYVRPEINSSPVLDSAARHGDIPIIDLSRLDYLQHSQDEVLKLGLACEEWGFFQLINHGVPDKVIETMKVVIEEFFRLPLEEKKVYEQLPGSVEGYGHASVVSEEQKLDWGDMYFLSTRPVSGRNLKLWPTNPPIFKDALDQYSEEMQRVANILLEAIGKSLKLNKLIDNFKGGLQAIRINYYPPCPQASKVMGLSPHSDAVGLSVLLQVNDVQGLQIKKNGAWLPIKPLPNAFIINLGDIIEIMSNGKYKSIEHRAVVSPERERLSIVTFHSLRTDAQVGPLQAETQEKSEPFYYKDH >DRNTG_28058.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23084708:23088548:-1 gene:DRNTG_28058 transcript:DRNTG_28058.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEIDNLNLKNIGGSLPVPNVQSLAASKEFTEVPGRYVRPEINSSPVLDSAARHGDIPIIDLSRLDYLQHSQDEVLKLGLACEEWGFFQLINHGVPDKVIETMKVVIEEFFRLPLEEKKVYEQLPGSVEGYGHASVVSEEQKLDWGDMYFLSTRPVSGRNLKLWPTNPPIFKDALDQYSEEMQRVANILLEAIGKSLKLNKLIDNFKGGLQAIRINYYPPCPQASKVMGLSPHSDAVGLSVLLQVNDVQGLQIKKNGAWLPIKPLPNAFIINLGDIIEIMSNGKYKSIEHRAVVSPERERLSIVTFHSLRTDAQVGPLQAETQEKSEPFYYKDH >DRNTG_28058.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23084708:23088548:-1 gene:DRNTG_28058 transcript:DRNTG_28058.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEIDNLNLKNIGGSLPVPNVQSLAASKEFTEVPGRYVRPEINSSPVLDSAARHGDIPIIDLSRLDYLQHSQDEVLKLGLACEEWGFFQLINHGVPDKVIETMKVVIEEFFRLPLEEKKVYEQLPGSVEGYGHASVVSEEQKLDWGDMYFLSTRPVSGRNLKLWPTNPPIFKDALDQYSEEMQRVANILLEAIGKSLKLNKLIDNFKGGLQAIRINYYPPCPQASKVMGLSPHSDAVGLSVLLQVNDVQGLQIKKNGAWLPIKPLPNAFIINLGDIIEIMSNGKYKSIEHRAVVSPERERLSIVTFHSLRTDAQVGPLQAETQEKSEPFYYKDH >DRNTG_28058.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23086421:23088548:-1 gene:DRNTG_28058 transcript:DRNTG_28058.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEIDNLNLKNIGGSLPVPNVQSLAASKEFTEVPGRYVRPEINSSPVLDSAARHGDIPIIDLSRLDYLQHSQDEVLKLGLACEEWGFFQLINHGVPDKVIETMKVVIEEFFRLPLEEKKVYEQLPGSVEGYGHASVVSEEQKLDWGDMYFLSTRPVSGRNLKLWPTNPPIFKDALDQYSEEMQRVANILLEAIGKSLKLNKLIDNFKGGLQAIRINYYPPCPQASKVMGLSPHSDAVGLSVLLQVNDVQGLQIKKNGAWLPIKPLPNAFIINLGDIIEIMSNGKYKSIEHRAVVSPERERLSIVTFHSLRTDAQVGPLQAETQEKSEPFYYKDH >DRNTG_28058.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23084708:23090264:-1 gene:DRNTG_28058 transcript:DRNTG_28058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEIDNLNLKNIGGSLPVPNVQSLAASKEFTEVPGRYVRPEINSSPVLDSAARHGDIPIIDLSRLDYLQHSQDEVLKLGLACEEWGFFQLINHGVPDKVIETMKVVIEEFFRLPLEEKKVYEQLPGSVEGYGHASVVSEEQKLDWGDMYFLSTRPVSGRNLKLWPTNPPIFKDALDQYSEEMQRVANILLEAIGKSLKLNKLIDNFKGGLQAIRINYYPPCPQASKVMGLSPHSDAVGLSVLLQVNDVQGLQIKKNGAWLPIKPLPNAFIINLGDIIEIMSNGKYKSIEHRAVVSPERERLSIVTFHSLRTDAQVGPLQAETQEKSEPFYYKDH >DRNTG_16522.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7952807:7958232:-1 gene:DRNTG_16522 transcript:DRNTG_16522.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMMHTIAAHTSNNQMTPSAVAACIAPLLLRPLLAGQCELDDDFNVNGDKFCSATGSSKCC >DRNTG_30197.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15115090:15117575:1 gene:DRNTG_30197 transcript:DRNTG_30197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARRHPKKPSEAVGDAQEPNKVDEPLLGEPALFSKCPEKDKRPCGISAWPCVFVQGSSREGIGRISPPSQEDTRMCICPCELPSKNLRPCIISARELFEILTGFKCLDFISSLRIGQTRFPDMSILREVQLGEDMADEIEGMLFVSFVYPRDSYSSAHFGGTFIT >DRNTG_29849.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23134368:23136515:-1 gene:DRNTG_29849 transcript:DRNTG_29849.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRDGKKLRNSITINGIGDYIRKSLGADSCFVPTWRRSMDAVLSTDYTSIELTGTDRPGLLSELSAVLTDLKCNIVNAEVWTHNTRAAAVIHVTDESAGSAITDPEKISKIKELLYTVLMASNKVHGAKVAVSGEITHIERRLHQTQCDCYQLLS >DRNTG_29849.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23134368:23136350:-1 gene:DRNTG_29849 transcript:DRNTG_29849.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERRDGKKLRNSITINGIGDYIRKSLGADSCFVPTWRRSMDAVLSTDYTSIELTGTDRPGLLSELSAVLTDLKCNIVNAEVWTHNTRAAAVIHVTDESAGSAITDPEKISKIKELLYTVLMASNKVHGAKVAVSGEITHIERRLHQTQCDCYQLLS >DRNTG_29849.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23134368:23137834:-1 gene:DRNTG_29849 transcript:DRNTG_29849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRDGKKLRNSITINGIGDYIRKSLGADSCFVPTWRRSMDAVLSTDYTSIELTGTDRPGLLSELSAVLTDLKCNIVNAEVWTHNTRAAAVIHVTDESAGSAITDPEKISKIKELLYTVLMASNKVHGAKVAVSGEITHIERRLHQTQCDCYQLLS >DRNTG_29849.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23134368:23135953:-1 gene:DRNTG_29849 transcript:DRNTG_29849.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVLSTDYTSIELTGTDRPGLLSELSAVLTDLKCNIVNAEVWTHNTRAAAVIHVTDESAGSAITDPEKISKIKELLYTVLMASNKVHGAKVAVSGEITHIERRLHQTQCDCYQLLS >DRNTG_03273.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30598812:30614383:-1 gene:DRNTG_03273 transcript:DRNTG_03273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCISVHIFKNLGQKCFCSIEEGFDLAYNSGSRTNSSGYAPSSRDFSPLGSENNSEYHMQRGDVNADTDSINVDMQLTDSYPYGHGDIPLDIEEEDACDAEGLYYIFFSSHLSFLFTYCYFAEKAFPLEVPSYLDLWKGSYSKWERYIVEDAKYVVK >DRNTG_19605.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3462184:3462868:-1 gene:DRNTG_19605 transcript:DRNTG_19605.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRNPNPRNPRVFQTPVPSSHGAAAP >DRNTG_20498.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21090028:21108025:-1 gene:DRNTG_20498 transcript:DRNTG_20498.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMAYGWPQVIPLEPGLCGHSSRLDRIIYLKVISRLLLLVAPTHIELWSSSQHKVRLGKHTRNLGSIQTEGENSEAIWSPDTKTIAILTSLSILHIYKVHFSGKKLLVGGKHSSGLFLATISLVITEKTPFADKNLITSNFVCDNKSLLIGLSDGCLQLASWNGEFSDAFKPSYHPSAYQGDSRGASSTESNGKNAAIIQLGFSLALRMLFILYSDGCIALCSTSKKGLRLVNSLKIERWLNTPDALCASVASNQHILAIGCRRGVVELYDLIEGVSHLRTVSVYDWGYSMEDTGPVSCIAWTPDNCAFAVGWKFRGLAVWSVSGCRLMCTIRQIGINSASSPIVKSSQDLKFEPFLGGTSVVHWDEYGYKLYAVEESSSERIVAFSFGKYCLNRGLSGTTYVRQVIYGEDRVLLVQPDDTDELKMLHLNLPVSYVSQNWPVSQVVASKDGMYLAVAGHHGLILYDLRNKKWRVFGDVTQEQKIECKGLLWLGKIIVVCNYNETTYSYELLFFPRYHLDQSSLLCRKSLLGKPIVMDVFQDYILVTYYPFDVHIFHVKILGDLSPSTSPVLQLSTVRELSIMSAKSSPAIMRFIPDPAGEATLTSSLSSNFLSQQPARCLILRTNGELSLLDLDDGHEHVLSNSIELFWVTCGQSEEKANLIEEVSWLDYGHRGMEVWYPSPGVDPFKQEDFLQLDPELEFDREVYPLGLLPNAGVVVGVCQRMSFSACTEFPCFEPSPQAQTILHCLLRHLLQRDKKEEALRLACLSAEKTHFAHCLEWLLFTVFDAEISRQSGSKNQFPASAVPSSFSLLEKACDLIRNFPEYLDVVVSVARKTDGRHWADLFSSAGRSTELFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGRDYENVTTESDKLSPRFLGYFLFRSSYKRQSSDLKSTSFKEPKRTYYFC >DRNTG_31860.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7976152:7976751:1 gene:DRNTG_31860 transcript:DRNTG_31860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPSPKDYEGMALKCLEVPLDVDIKNGGHVMVLDLPLVGKVGLSVDLIMIDAHLICSLDFSCDSGYQVTYIVKGSSHVQVVGINDKHKLETRIRACCLSAAPRFFVADSGGILQHYSKKMEKLFRFKRSSDEIFFVPPVDHAGNFETKRLAIRFMSSASDLEILNKA >DRNTG_29291.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14644300:14644893:-1 gene:DRNTG_29291 transcript:DRNTG_29291.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSKKVDRLASLYTIDLLASLYAAKKKLGEGITHNYNHIYDLSVIGFRFFTAYRPWGRPSMAYFSFTRKILHGKPINIYRGKD >DRNTG_28241.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4495260:4498484:1 gene:DRNTG_28241 transcript:DRNTG_28241.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uracil-DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT3G18630) UniProtKB/TrEMBL;Acc:A0A178VFQ0] MASSKTLIEIFSPAKRLKTQASSSETLTPKSPTVSLCNPSPVAGELPPDSLTADQKKRIEINKYLARSKRNLRLCTERIEKSKAEGMGYLRLEDLLVEDSWLGALPGELQKPYAKNLCKFLEREVGGSIPIYPPPFLIFNALHSTPFDRVKVVILGQDPYHGPGQAMGLSFSVAEGIKLPPSLLNIFKELHKDVGCSIPSHGNLERWAVQGVLLLNTVLTVRNHQANSHAKKGWEPFTDAVIRTISQKRKGIVFLLWGNSAQEKSRMIDETKHHILKAAHPSGLSANRGFFGCRHFSQTNKILESMGHSPIDWQL >DRNTG_03742.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000175.1:24155:26195:-1 gene:DRNTG_03742 transcript:DRNTG_03742.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g06430, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06430) UniProtKB/Swiss-Prot;Acc:Q9SQU6] MKLLVLLGKSGQAHRAQTLFDCMVEEGIEPTSELYTALLLAYCRCKLLDEAFIVLGKMKALPLCQPDVFTYSTLLKACVEASRFELVDALYQEMAERMVTPNTVTQNIVLGGYGRAGKFDEMEKVLSGMIENKDCKPDVWTMNIILGLFGNQGQVDVMEKWYEKFRSFGIEPESRTFNILIGAYGKKRMYNKMTSVLEYMRRLQFPWTTSTYNNVIEAFADVGDARNVELAFNQMRAEGMKADTKTFCCLINGYSNAGLFHKVVSSIQLAERLEVPANVSFYNAVISACAKAGDLMEMERVFRRMKDRHFIPDSMTYSILVNAYQKEGMNDKIFDLEQEQQKLSTPELVAA >DRNTG_13389.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22915049:22915448:-1 gene:DRNTG_13389 transcript:DRNTG_13389.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKEDEESVVSDDKVSEIEAKEREGSGGSVTFGQSDGESSGGCSGKSNLEEEDVKCLDAAEEDKGCKQSYAGLDDSVEAEKKIVEFVEESVVVSSVETQELKPVAERVDECESVTKEIVEQSVDVPGLEEPLNL >DRNTG_04580.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:22298933:22299251:-1 gene:DRNTG_04580 transcript:DRNTG_04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRKIRTAVDIHRCNSQGHSHAPVRSRDREGIVCRIPHGRVENTHTRVMTHARAETTHDYVIITWSLTGASSRPCVFSG >DRNTG_01222.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4990098:4990508:1 gene:DRNTG_01222 transcript:DRNTG_01222.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPTKFAVAAAPVAAAETASTPAAAAEPEKKEEPAEESDDDMGFSLFD >DRNTG_01222.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4989670:4990508:1 gene:DRNTG_01222 transcript:DRNTG_01222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFINAEKKEEPAEESDDDMGFSLFD >DRNTG_17304.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31842270:31842868:1 gene:DRNTG_17304 transcript:DRNTG_17304.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >DRNTG_17304.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31842270:31847541:1 gene:DRNTG_17304 transcript:DRNTG_17304.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMVDDDGSVRSDRSDQSKNEKIVMEPFMGMKVRGRASLHRDCEGDYLDVPSDPHLMKILSKQGDDKVLFADRVLKFTGSGKMKQRILLITDFAIYIIDPDSDLLKRRIALAAVDKLCLSKLSDNFFAIIVPSEYDCLMASTRKTEIVSVLVDATRSTSEYELEVDFSNRFEYHAAADSVKEVEFVEVEGGIRTRISKKESPQD >DRNTG_17304.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31842270:31843379:1 gene:DRNTG_17304 transcript:DRNTG_17304.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >DRNTG_17304.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31842270:31843379:1 gene:DRNTG_17304 transcript:DRNTG_17304.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >DRNTG_17304.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31842270:31843379:1 gene:DRNTG_17304 transcript:DRNTG_17304.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >DRNTG_11758.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:707130:709076:-1 gene:DRNTG_11758 transcript:DRNTG_11758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTRSSSEGEEEEEEEEEEEEEGEKETRPGGLAGDAPIAGALIPGATGRPAVRSVLPPPIGVERRENEWT >DRNTG_19059.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14522422:14524953:-1 gene:DRNTG_19059 transcript:DRNTG_19059.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMAEPVMSGGENRKRDGDDGGSRERRNP >DRNTG_19059.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14522422:14524953:-1 gene:DRNTG_19059 transcript:DRNTG_19059.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKDSNANKKSSSRINPGGAPRLCAYLRH >DRNTG_19059.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14522422:14524953:-1 gene:DRNTG_19059 transcript:DRNTG_19059.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMAEPVMSGGENRKRDGDDGGSRERRNP >DRNTG_05082.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:6349369:6349649:1 gene:DRNTG_05082 transcript:DRNTG_05082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGESATAKYPLVHDIETPRQKQGSVDCAVYVMRFIEQLLWGEKLRLPQTDVPYLRLRYVTRILKEGRAAGVHDKGGSSQAG >DRNTG_00981.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20834369:20846332:1 gene:DRNTG_00981 transcript:DRNTG_00981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKANQQWDKTARQVFVPKKKKWVGQSRLNKYEQELMRIFLNCRMDSTVVWKNDVVSTTRANLYTLLEGKEMVTDDVMDAFLCIIQKSMSKVPYPYKKRASIIRPFALFMSKHDDAHETTMAMIGDVVRSLHKVQIVILPIIMNGHFHIVVLDNDKQEYKHYSSCAGYEKDASDMAPQYISQLLLPVTTTVSGVLTFLFGVVAEAGEEKGARSNDSSMKSASIKDLVDM >DRNTG_05672.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:79506:81414:-1 gene:DRNTG_05672 transcript:DRNTG_05672.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHT4 [Source:Projected from Arabidopsis thaliana (AT5G44370) UniProtKB/TrEMBL;Acc:A0A178U9F1] MARIRFPKRYLIVLLTFICTNVCYVERMGFSIAYTFVADAIGVDQSSKGVILSAFYYGYVMSQVPGGWAAQRLGGRRVLLLSFVLWSLTCALVPVDPSRVVLLVIARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTMSGMYLGAAAGMLLLPSLVKFNGPRSVFLVEAALGAFWSVLWYKYASDPPRSDHPKAAAAGFGDTYLPVSSVFKDSKGVVQKIKAQNGVSSVHAPKIPWKKIVFSLPIWAIVVNNFTFHYALYVLMNWLPTYFELGLKLSLQDMGSSKMLPYLNMVIFSNVGGVAADHLITKRILSVTKTRKLLNTVGFLIAAMALMAVPLFQTSGGTVLCSSISLGFLALGRAGFAVNHMDVAPRYAGIVMGVSNTAGTLAGIVGVGLTGRILDHAKAANMDLAGTESWKPVFFIPGYLCIFSSVIFLLFSTGERILD >DRNTG_05672.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:79313:81414:-1 gene:DRNTG_05672 transcript:DRNTG_05672.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHT4 [Source:Projected from Arabidopsis thaliana (AT5G44370) UniProtKB/TrEMBL;Acc:A0A178U9F1] MARIRFPKRYLIVLLTFICTNVCYVERMGFSIAYTFVADAIGVDQSSKGVILSAFYYGYVMSQVPGGWAAQRLGGRRVLLLSFVLWSLTCALVPVDPSRVVLLVIARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTMSGMYLGAAAGMLLLPSLVKFNGPRSVFLVEAALGAFWSVLWYKYASDPPRSDHPKAAAAGFGDTYLPVSSVFKDSKGVVQKIKAQNGVSSVHAPKIPWKKIVFSLPIWAIVVNNFTFHYALYVLMNWLPTYFELGLKLSLQDMGSSKMLPYLNMVIFSNVGGVAADHLITKRILSVTKTRKLLNTVGFLIAAMALMAVPLFQTSGGTVLCSSISLGFLALGRAGFAVNHMDVAPRYAGIVMGVSNTAGTLAGIVGVGLTGRILDHAKAANMDLAGTESWKPVFFIPGYLCIFSSVIFLLFSTGERILD >DRNTG_05672.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:79506:81340:-1 gene:DRNTG_05672 transcript:DRNTG_05672.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHT4 [Source:Projected from Arabidopsis thaliana (AT5G44370) UniProtKB/TrEMBL;Acc:A0A178U9F1] MGFSIAYTFVADAIGVDQSSKGVILSAFYYGYVMSQVPGGWAAQRLGGRRVLLLSFVLWSLTCALVPVDPSRVVLLVIARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTMSGMYLGAAAGMLLLPSLVKFNGPRSVFLVEAALGAFWSVLWYKYASDPPRSDHPKAAAAGFGDTYLPVSSVFKDSKGVVQKIKAQNGVSSVHAPKIPWKKIVFSLPIWAIVVNNFTFHYALYVLMNWLPTYFELGLKLSLQDMGSSKMLPYLNMVIFSNVGGVAADHLITKRILSVTKTRKLLNTVGFLIAAMALMAVPLFQTSGGTVLCSSISLGFLALGRAGFAVNHMDVAPRYAGIVMGVSNTAGTLAGIVGVGLTGRILDHAKAANMDLAGTESWKPVFFIPGYLCIFSSVIFLLFSTGERILD >DRNTG_05672.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:79313:81340:-1 gene:DRNTG_05672 transcript:DRNTG_05672.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHT4 [Source:Projected from Arabidopsis thaliana (AT5G44370) UniProtKB/TrEMBL;Acc:A0A178U9F1] MARIRFPKRYLIVLLTFICTNVCYVERMGFSIAYTFVADAIGVDQSSKGVILSAFYYGYVMSQVPGGWAAQRLGGRRVLLLSFVLWSLTCALVPVDPSRVVLLVIARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTMSGMYLGAAAGMLLLPSLVKFNGPRSVFLVEAALGAFWSVLWYKYASDPPRSDHPKAAAAGFGDTYLPVSSVFKDSKGVVQKIKAQNGVSSVHAPKIPWKKIVFSLPIWAIVVNNFTFHYALYVLMNWLPTYFELGLKLSLQDMGSSKMLPYLNMVIFSNVGGVAADHLITKRILSVTKTRKLLNTVGFLIAAMALMAVPLFQTSGGTVLCSSISLGFLALGRAGFAVNHMDVAPRYAGIVMGVSNTAGTLAGIVGVGLTGRILDHAKAANMDLAGTESWKPVFFIPGYLCIFSSVIFLLFSTGERILD >DRNTG_05672.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:79393:81414:-1 gene:DRNTG_05672 transcript:DRNTG_05672.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHT4 [Source:Projected from Arabidopsis thaliana (AT5G44370) UniProtKB/TrEMBL;Acc:A0A178U9F1] MGFSIAYTFVADAIGVDQSSKGVILSAFYYGYVMSQVPGGWAAQRLGGRRVLLLSFVLWSLTCALVPVDPSRVVLLVIARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTMSGMYLGAAAGMLLLPSLVKFNGPRSVFLVEAALGAFWSVLWYKYASDPPRSDHPKAAAAGFGDTYLPVSSVFKDSKGVVQKIKAQNGVSSVHAPKIPWKKIVFSLPIWAIVVNNFTFHYALYVLMNWLPTYFELGLKLSLQDMGSSKMLPYLNMVIFSNVGGVAADHLITKRILSVTKTRKLLNTVGFLIAAMALMAVPLFQTSGGTVLCSSISLGFLALGRAGFAVNHMDVAPRYAGIVMGVSNTAGTLAGIVGVGLTGRILDHAKAANMDLAGTESWKPVFFIPGYLCIFSSVIFLLFSTGERILD >DRNTG_05672.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:79134:81340:-1 gene:DRNTG_05672 transcript:DRNTG_05672.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHT4 [Source:Projected from Arabidopsis thaliana (AT5G44370) UniProtKB/TrEMBL;Acc:A0A178U9F1] MGFSIAYTFVADAIGVDQSSKGVILSAFYYGYVMSQVPGGWAAQRLGGRRVLLLSFVLWSLTCALVPVDPSRVVLLVIARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTMSGMYLGAAAGMLLLPSLVKFNGPRSVFLVEAALGAFWSVLWYKYASDPPRSDHPKAAAAGFGDTYLPVSSVFKDSKGVVQKIKAQNGVSSVHAPKIPWKKIVFSLPIWAIVVNNFTFHYALYVLMNWLPTYFELGLKLSLQDMGSSKMLPYLNMVIFSNVGGVAADHLITKRILSVTKTRKLLNTVGFLIAAMALMAVPLFQTSGGTVLCSSISLGFLALGRAGFAVNHMDVAPRYAGIVMGVSNTAGTLAGIVGVGLTGRILDHAKAANMDLAGTESWKPVFFIPGYLCIFSSVIFLLFSTGERILD >DRNTG_05672.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:79134:81340:-1 gene:DRNTG_05672 transcript:DRNTG_05672.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHT4 [Source:Projected from Arabidopsis thaliana (AT5G44370) UniProtKB/TrEMBL;Acc:A0A178U9F1] MARIRFPKRYLIVLLTFICTNVCYVERMGFSIAYTFVADAIGVDQSSKGVILSAFYYGYVMSQVPGGWAAQRLGGRRVLLLSFVLWSLTCALVPVDPSRVVLLVIARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTMSGMYLGAAAGMLLLPSLVKFNGPRSVFLVEAALGAFWSVLWYKYASDPPRSDHPKAAAAGFGDTYLPVSSVFKDSKGVVQKIKAQNGVSSVHAPKIPWKKIVFSLPIWAIVVNNFTFHYALYVLMNWLPTYFELGLKLSLQDMGSSKMLPYLNMVIFSNVGGVAADHLITKRILSVTKTRKLLNTVGFLIAAMALMAVPLFQTSGGTVLCSSISLGFLALGRAGFAVNHMDVAPRYAGIVMGVSNTAGTLAGIVGVGLTGRILDHAKAANMDLAGTESWKPVFFIPGYLCIFSSVIFLLFSTGERILD >DRNTG_05672.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:79393:81414:-1 gene:DRNTG_05672 transcript:DRNTG_05672.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHT4 [Source:Projected from Arabidopsis thaliana (AT5G44370) UniProtKB/TrEMBL;Acc:A0A178U9F1] MARIRFPKRYLIVLLTFICTNVCYVERMGFSIAYTFVADAIGVDQSSKGVILSAFYYGYVMSQVPGGWAAQRLGGRRVLLLSFVLWSLTCALVPVDPSRVVLLVIARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTMSGMYLGAAAGMLLLPSLVKFNGPRSVFLVEAALGAFWSVLWYKYASDPPRSDHPKAAAAGFGDTYLPVSSVFKDSKGVVQKIKAQNGVSSVHAPKIPWKKIVFSLPIWAIVVNNFTFHYALYVLMNWLPTYFELGLKLSLQDMGSSKMLPYLNMVIFSNVGGVAADHLITKRILSVTKTRKLLNTVGFLIAAMALMAVPLFQTSGGTVLCSSISLGFLALGRAGFAVNHMDVAPRYAGIVMGVSNTAGTLAGIVGVGLTGRILDHAKAANMDLAGTESWKPVFFIPGYLCIFSSVIFLLFSTGERILD >DRNTG_05672.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:79134:81414:-1 gene:DRNTG_05672 transcript:DRNTG_05672.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHT4 [Source:Projected from Arabidopsis thaliana (AT5G44370) UniProtKB/TrEMBL;Acc:A0A178U9F1] MARIRFPKRYLIVLLTFICTNVCYVERMGFSIAYTFVADAIGVDQSSKGVILSAFYYGYVMSQVPGGWAAQRLGGRRVLLLSFVLWSLTCALVPVDPSRVVLLVIARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTMSGMYLGAAAGMLLLPSLVKFNGPRSVFLVEAALGAFWSVLWYKYASDPPRSDHPKAAAAGFGDTYLPVSSVFKDSKGVVQKIKAQNGVSSVHAPKIPWKKIVFSLPIWAIVVNNFTFHYALYVLMNWLPTYFELGLKLSLQDMGSSKMLPYLNMVIFSNVGGVAADHLITKRILSVTKTRKLLNTVGFLIAAMALMAVPLFQTSGGTVLCSSISLGFLALGRAGFAVNHMDVAPRYAGIVMGVSNTAGTLAGIVGVGLTGRILDHAKAANMDLAGTESWKPVFFIPGYLCIFSSVIFLLFSTGERILD >DRNTG_05672.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:79393:81340:-1 gene:DRNTG_05672 transcript:DRNTG_05672.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHT4 [Source:Projected from Arabidopsis thaliana (AT5G44370) UniProtKB/TrEMBL;Acc:A0A178U9F1] MARIRFPKRYLIVLLTFICTNVCYVERMGFSIAYTFVADAIGVDQSSKGVILSAFYYGYVMSQVPGGWAAQRLGGRRVLLLSFVLWSLTCALVPVDPSRVVLLVIARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTMSGMYLGAAAGMLLLPSLVKFNGPRSVFLVEAALGAFWSVLWYKYASDPPRSDHPKAAAAGFGDTYLPVSSVFKDSKGVVQKIKAQNGVSSVHAPKIPWKKIVFSLPIWAIVVNNFTFHYALYVLMNWLPTYFELGLKLSLQDMGSSKMLPYLNMVIFSNVGGVAADHLITKRILSVTKTRKLLNTVGFLIAAMALMAVPLFQTSGGTVLCSSISLGFLALGRAGFAVNHMDVAPRYAGIVMGVSNTAGTLAGIVGVGLTGRILDHAKAANMDLAGTESWKPVFFIPGYLCIFSSVIFLLFSTGERILD >DRNTG_05672.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:79506:81340:-1 gene:DRNTG_05672 transcript:DRNTG_05672.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHT4 [Source:Projected from Arabidopsis thaliana (AT5G44370) UniProtKB/TrEMBL;Acc:A0A178U9F1] MARIRFPKRYLIVLLTFICTNVCYVERMGFSIAYTFVADAIGVDQSSKGVILSAFYYGYVMSQVPGGWAAQRLGGRRVLLLSFVLWSLTCALVPVDPSRVVLLVIARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTMSGMYLGAAAGMLLLPSLVKFNGPRSVFLVEAALGAFWSVLWYKYASDPPRSDHPKAAAAGFGDTYLPVSSVFKDSKGVVQKIKAQNGVSSVHAPKIPWKKIVFSLPIWAIVVNNFTFHYALYVLMNWLPTYFELGLKLSLQDMGSSKMLPYLNMVIFSNVGGVAADHLITKRILSVTKTRKLLNTVGFLIAAMALMAVPLFQTSGGTVLCSSISLGFLALGRAGFAVNHMDVAPRYAGIVMGVSNTAGTLAGIVGVGLTGRILDHAKAANMDLAGTESWKPVFFIPGYLCIFSSVIFLLFSTGERILD >DRNTG_05672.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:79393:81340:-1 gene:DRNTG_05672 transcript:DRNTG_05672.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHT4 [Source:Projected from Arabidopsis thaliana (AT5G44370) UniProtKB/TrEMBL;Acc:A0A178U9F1] MGFSIAYTFVADAIGVDQSSKGVILSAFYYGYVMSQVPGGWAAQRLGGRRVLLLSFVLWSLTCALVPVDPSRVVLLVIARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTMSGMYLGAAAGMLLLPSLVKFNGPRSVFLVEAALGAFWSVLWYKYASDPPRSDHPKAAAAGFGDTYLPVSSVFKDSKGVVQKIKAQNGVSSVHAPKIPWKKIVFSLPIWAIVVNNFTFHYALYVLMNWLPTYFELGLKLSLQDMGSSKMLPYLNMVIFSNVGGVAADHLITKRILSVTKTRKLLNTVGFLIAAMALMAVPLFQTSGGTVLCSSISLGFLALGRAGFAVNHMDVAPRYAGIVMGVSNTAGTLAGIVGVGLTGRILDHAKAANMDLAGTESWKPVFFIPGYLCIFSSVIFLLFSTGERILD >DRNTG_05672.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:79313:81533:-1 gene:DRNTG_05672 transcript:DRNTG_05672.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHT4 [Source:Projected from Arabidopsis thaliana (AT5G44370) UniProtKB/TrEMBL;Acc:A0A178U9F1] MARIRFPKRYLIVLLTFICTNVCYVERMGFSIAYTFVADAIGVDQSSKGVILSAFYYGYVMSQVPGGWAAQRLGGRRVLLLSFVLWSLTCALVPVDPSRVVLLVIARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTMSGMYLGAAAGMLLLPSLVKFNGPRSVFLVEAALGAFWSVLWYKYASDPPRSDHPKAAAAGFGDTYLPVSSVFKDSKGVVQKIKAQNGVSSVHAPKIPWKKIVFSLPIWAIVVNNFTFHYALYVLMNWLPTYFELGLKLSLQDMGSSKMLPYLNMVIFSNVGGVAADHLITKRILSVTKTRKLLNTVGFLIAAMALMAVPLFQTSGGTVLCSSISLGFLALGRAGFAVNHMDVAPRYAGIVMGVSNTAGTLAGIVGVGLTGRILDHAKAANMDLAGTESWKPVFFIPGYLCIFSSVIFLLFSTGERILD >DRNTG_28553.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3791216:3795388:-1 gene:DRNTG_28553 transcript:DRNTG_28553.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDEVNVYPIPAALYLIKNLLQYYIFAYVDAPAYQILKNLNIISTGVLYRIILKKKLSEIQWAAFILLCAGCTTAQLNPSSDHVLQTPFPGWMMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYVFGMIFNLIAICVQDYDAVMNKGFFHGYSFITVCMVFNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAVVSVFLFSFHLSLAFFLGSTIVSVSIYLHSIGKPQR >DRNTG_28553.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3791216:3792477:-1 gene:DRNTG_28553 transcript:DRNTG_28553.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIFNLIAICVQDYDAVMNKGFFHGYSFITVCMVFNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAVVSVFLFSFHLSLAFFLGSTIVSVSIYLHSIGKPQR >DRNTG_28553.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3791216:3796100:-1 gene:DRNTG_28553 transcript:DRNTG_28553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRKIKGEEDSMSASQDDIESLQEKHNPNSDAHLPRSVPSLGKRSDWRLKSFVTLALTILTSSQAILIVWSKRAGKYDYSVTTANFSVEALKCAFSLAALVRIWKKDGVTEDNKLSMSFDEVNVYPIPAALYLIKNLLQYYIFAYVDAPAYQILKNLNIISTGVLYRIILKKKLSEIQWAAFILLCAGCTTAQLNPSSDHVLQTPFPGWMMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYVFGMIFNLIAICVQDYDAVMNKGFFHGYSFITVCMVFNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAVVSVFLFSFHLSLAFFLGSTIVSVSIYLHSIGKPQR >DRNTG_03781.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1755442:1761989:1 gene:DRNTG_03781 transcript:DRNTG_03781.2 gene_biotype:protein_coding transcript_biotype:protein_coding GFRPGTNSISPIKQRQREHLLGFRAGKNSISPIKERERKREREREREDKWKGEEGESGTRQV >DRNTG_03781.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1760014:1761989:1 gene:DRNTG_03781 transcript:DRNTG_03781.3 gene_biotype:protein_coding transcript_biotype:protein_coding GFRPGTNSISPIKQRQREHLLGFRAGKNSISPIKERERKREREREREDKWKGEEGESGTRQV >DRNTG_03781.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1755442:1761989:1 gene:DRNTG_03781 transcript:DRNTG_03781.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFRPGTNSISPIKQRQREHLLGFRAGKNSISPIKERERKREREREREDKWKGEEGESGTRQV >DRNTG_08953.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17786301:17786652:1 gene:DRNTG_08953 transcript:DRNTG_08953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYFRLGNNPFFGFHLLSTSCRGIYF >DRNTG_06239.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20673109:20674017:1 gene:DRNTG_06239 transcript:DRNTG_06239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLEILASFEFDRSYSSFDSVDTIQFRALGHHYKRAVTSGTYCYRVSETVGIVIIPAPLGIEMIRQMGMLRRYLPGVNVLIIPNPEIAGAGGDATKGSQQAPESQLEHTEAEASSAAKEPPPLRMFSPSRTYDHFERLEDAVGVLRTEITEIHVTQAVQHAEVKARLDIL >DRNTG_35485.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23494226:23495675:1 gene:DRNTG_35485 transcript:DRNTG_35485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQNRVLSAVLLVLLSATICFASRALLSTLDIPPYGTGHGLGNAGYGSGGGSGGGYGAGGEHGIGYGGGGGSGGGGGYGVGGDHGVGYGGGSGSGSGGGSGAAGGEHGGGYGGGGGAGGGSGYGAGGEHGGGYGEGAGTGGGAGYGAGGEHGGGYGGGGGTGGGAGYGGAGGEHGGGYGGGSGSGGGGGAGYGAGGEHGGGYGSGGGSGGGAGYGAGGEQGGGAGYGAGGAHGGGYGSGGEHGGGYGGGGGSGGGAGYGAGGEHGGGYGGGGGSGGGAGGGAGGEHGGGYGGGSGAGGGDGGGYGGGSGAGGGAGYGAGGEHGGGYGGGGGSGGGGGGGYGAGGGVGGGAGGGYGGGH >DRNTG_11609.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7784032:7791163:-1 gene:DRNTG_11609 transcript:DRNTG_11609.9 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHPDGINSINLGRVLREFSPEEVTSNIYTMVDVLLHNIQVELQHGHVVQDLLMKAIANLAFFVWTHELLPLDILLLALIDRDDDHCALRIVISMLDRPELQQRIKLFCSNRQGPEHWFNNQPPKRVDLQKALGNHLSWKERNPPFFDDIAARLLPVIPLIIYRLIENDATDVADKVLALYTPLLIYHPLRFTFVRDILAYFYGHLPIKLIVRILKVLDIPKIPFSESFPHQVGLNNSAFCPPPDYFATLLLGLVNNVIPPLNSKSKSDSMADASNNPGSTTVNKSQVSSQGTVNSSDGQKAFYQNQDPGTYTQLVLETAAIEILSLQATASQIVSSLVQIVVHVQPTLIQSSNGLQGISGGLGQNSGLPTSPSGGSSESLNTNRSTPSATGLSATNFMSRSGYSCQQLSCLMIQACGLLLAQLPPEFHMQLYIEASRIIKDCWWLVDGKRTIKELDSAVGYALLDPAWASQDNTSTAIGNIVALLHAFFSNLPQEWLESTHTIIKHLRPVTSVAMLRIAFRIMGPLLPRLAFARPLFMKTLALLFNVLADVFGKNSQPSVPVEASHITDIIDFLHHAVLYEGQGGPVQSTSKPKPEILTLCGKVIELLRPDVQHLLSHLRTDPSSIYAATHPKLAQNQT >DRNTG_11609.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7784032:7832882:-1 gene:DRNTG_11609 transcript:DRNTG_11609.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHPDGINSINLGRVLREFSPEEVTSNIYTMVDVLLHNIQVELQHGHVVQDLLMKAIANLAFFVWTHELLPLDILLLALIDRDDDHCALRIVISMLDRPELQQRIKLFCSNRQGPEHWFNNQPPKRVDLQKALGNHLSWKERNPPFFDDIAARLLPVIPLIIYRLIENDATDVADKVLALYTPLLIYHPLRFTFVRDILAYFYGHLPIKLIVRILKVLDIPKIPFSESFPHQVGLNNSAFCPPPDYFATLLLGLVNNVIPPLNSKSKSDSMADASNNPGSTTVNKSQVSSQGTVNSSDGQKAFYQNQDPGTYTQLVLETAAIEILSLQATASQIVSSLVQIVVHVQPTLIQSSNGLQGISGGLGQNSGLPTSPSGGSSESLNTNRSTPSATGLSATNFMSRSGYSCQQLSCLMIQACGLLLAQLPPEFHMQLYIEASRIIKDCWWLVDGKRTIKELDSAVGYALLDPAWASQDNTSTAIGNIVALLHAFFSNLPQEWLESTHTIIKHLRPVTSVAMLRIAFRIMGPLLPRLAFARPLFMKTLALLFNVLADVFGKNSQPSVPVEASHITDIIDFLHHAVLYEGQGGPVQSTSKPKPEILTLCGKVIELLRPDVQHLLSHLRTDPSSIYAATHPKLAQNQT >DRNTG_11609.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7784032:7798165:-1 gene:DRNTG_11609 transcript:DRNTG_11609.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKGQSIQAWQQAEQTVINQCTQLLSPSADPTYVLTYLSHSFPQHRQYLCAGAWVLMKGHPDGINSINLGRVLREFSPEEVTSNIYTMVDVLLHNIQVELQHGHVVQDLLMKAIANLAFFVWTHELLPLDILLLALIDRDDDHCALRIVISMLDRPELQQRIKLFCSNRQGPEHWFNNQPPKRVDLQKALGNHLSWKERNPPFFDDIAARLLPVIPLIIYRLIENDATDVADKVLALYTPLLIYHPLRFTFVRDILAYFYGHLPIKLIVRILKVLDIPKIPFSESFPHQVGLNNSAFCPPPDYFATLLLGLVNNVIPPLNSKSKSDSMADASNNPGSTTVNKSQVSSQGTVNSSDGQKAFYQNQDPGTYTQLVLETAAIEILSLQATASQIVSSLVQIVVHVQPTLIQSSNGLQGISGGLGQNSGLPTSPSGGSSESLNTNRSTPSATGLSATNFMSRSGYSCQQLSCLMIQACGLLLAQLPPEFHMQLYIEASRIIKDCWWLVDGKRTIKELDSAVGYALLDPAWASQDNTSTAIGNIVALLHAFFSNLPQEWLESTHTIIKHLRPVTSVAMLRIAFRIMGPLLPRLAFARPLFMKTLALLFNVLADVFGKNSQPSVPVEASHITDIIDFLHHAVLYEGQGGPVQSTSKPKPEILTLCGKVIELLRPDVQHLLSHLRTDPSSIYAATHPKLAQNQT >DRNTG_11609.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7784032:7797478:-1 gene:DRNTG_11609 transcript:DRNTG_11609.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTVINQCTQLLSPSADPTYVLTYLSHSFPQHRQYLCAGAWVLMKGHPDGINSINLGRVLREFSPEEVTSNIYTMVDVLLHNIQVELQHGHVVQDLLMKAIANLAFFVWTHELLPLDILLLALIDRDDDHCALRIVISMLDRPELQQRIKLFCSNRQGPEHWFNNQPPKRVDLQKALGNHLSWKERNPPFFDDIAARLLPVIPLIIYRLIENDATDVADKVLALYTPLLIYHPLRFTFVRDILAYFYGHLPIKLIVRILKVLDIPKIPFSESFPHQVGLNNSAFCPPPDYFATLLLGLVNNVIPPLNSKSKSDSMADASNNPGSTTVNKSQVSSQGTVNSSDGQKAFYQNQDPGTYTQLVLETAAIEILSLQATASQIVSSLVQIVVHVQPTLIQSSNGLQGISGGLGQNSGLPTSPSGGSSESLNTNRSTPSATGLSATNFMSRSGYSCQQLSCLMIQACGLLLAQLPPEFHMQLYIEASRIIKDCWWLVDGKRTIKELDSAVGYALLDPAWASQDNTSTAIGNIVALLHAFFSNLPQEWLESTHTIIKHLRPVTSVAMLRIAFRIMGPLLPRLAFARPLFMKTLALLFNVLADVFGKNSQPSVPVEASHITDIIDFLHHAVLYEGQGGPVQSTSKPKPEILTLCGKVIELLRPDVQHLLSHLRTDPSSIYAATHPKLAQNQT >DRNTG_11609.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7784032:7832882:-1 gene:DRNTG_11609 transcript:DRNTG_11609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKGQSIQAWQQAEQTVINQCTQLLSPSADPTYVLTYLSHSFPQHRQYLCAGAWVLMKGHPDGINSINLGRVLREFSPEEVTSNIYTMVDVLLHNIQVELQHGHVVQDLLMKAIANLAFFVWTHELLPLDILLLALIDRDDDHCALRIVISMLDRPELQQRIKLFCSNRQGPEHWFNNQPPKRVDLQKALGNHLSWKERNPPFFDDIAARLLPVIPLIIYRLIENDATDVADKVLALYTPLLIYHPLRFTFVRDILAYFYGHLPIKLIVRILKVLDIPKIPFSESFPHQVGLNNSAFCPPPDYFATLLLGLVNNVIPPLNSKSKSDSMADASNNPGSTTVNKSQVSSQGTVNSSDGQKAFYQNQDPGTYTQLVLETAAIEILSLQATASQIVSSLVQIVVHVQPTLIQSSNGLQGISGGLGQNSGLPTSPSGGSSESLNTNRSTPSATGLSATNFMSRSGYSCQQLSCLMIQACGLLLAQLPPEFHMQLYIEASRIIKDCWWLVDGKRTIKELDSAVGYALLDPAWASQDNTSTAIGNIVALLHAFFSNLPQEWLESTHTIIKHLRPVTSVAMLRIAFRIMGPLLPRLAFARPLFMKTLALLFNVLADVFGKNSQPSVPVEASHITDIIDFLHHAVLYEGQGGPVQSTSKPKPEILTLCGKVIELLRPDVQHLLSHLRTDPSSIYAATHPKLAQNQT >DRNTG_11609.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7784730:7832882:-1 gene:DRNTG_11609 transcript:DRNTG_11609.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFFYLMKSGSIDFLDFINKLASRVTASDQQILWSNHVTWLLAQIIRIDVVMNILSSDPKKVETIKKIISFHKEDKSPDSNNISAQSIILDFISSSQTLRIWSFNTTIREYLNSDQLQKGKQIDEWWKQVTKGERMMDLTNLDEKSMGMFWVLSFTMAQPACEAIMNWLTSSGAQDLLQGSNVQSGDRMMMLRETYPLPISLLSGLSINLCMKLAYQLEETIFLGQAEQTVINQCTQLLSPSADPTYVLTYLSHSFPQHRQYLCAGAWVLMKGHPDGINSINLGRVLREFSPEEVTSNIYTMVDVLLHNIQVELQHGHVVQDLLMKAIANLAFFVWTHELLPLDILLLALIDRDDDHCALRIVISMLDRPELQQRIKLFCSNRQGPEHWFNNQPPKRVDLQKALGNHLSWKERNPPFFDDIAARLLPVIPLIIYRLIENDATDVADKVLALYTPLLIYHPLRFTFVRDILAYFYGHLPIKLIVRILKVLDIPKIPFSESFPHQVGLNNSAFCPPPDYFATLLLGLVNNVIPPLNSKSKSDSMADASNNPGSTTVNKSQVSSQGTVNSSDGQKAFYQNQDPGTYTQLVLETAAIEILSLQATASQIVSSLVQIVVHVQPTLIQSSNGLQGISGGLGQNSGLPTSPSGGSSESLNTNRSTPSATGLSATNFMSRSGYSCQQLSCLMIQACGLLLAQLPPEFHMQLYIEASRIIKDCWWLVDGKRTIKELDSAVGYALLDPAWASQDNTSTAIGNIVALLHAFFSNLPQEWLESTHTIIKHLRPVTSVAMLRIAFRIMGPLLPRLAFARPLFMKTLALLFNVLADVFGKNSQPSVPVEASHITDIIDFL >DRNTG_11609.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7784032:7829953:-1 gene:DRNTG_11609 transcript:DRNTG_11609.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKGQSIQAWQQAEQTVINQCTQLLSPSADPTYVLTYLSHSFPQHRQYLCAGAWVLMKGHPDGINSINLGRVLREFSPEEVTSNIYTMVDVLLHNIQVELQHGHVVQDLLMKAIANLAFFVWTHELLPLDILLLALIDRDDDHCALRIVISMLDRPELQQRIKLFCSNRQGPEHWFNNQPPKRVDLQKALGNHLSWKERNPPFFDDIAARLLPVIPLIIYRLIENDATDVADKVLALYTPLLIYHPLRFTFVRDILAYFYGHLPIKLIVRILKVLDIPKIPFSESFPHQVGLNNSAFCPPPDYFATLLLGLVNNVIPPLNSKSKSDSMADASNNPGSTTVNKSQVSSQGTVNSSDGQKAFYQNQDPGTYTQLVLETAAIEILSLQATASQIVSSLVQIVVHVQPTLIQSSNGLQGISGGLGQNSGLPTSPSGGSSESLNTNRSTPSATGLSATNFMSRSGYSCQQLSCLMIQACGLLLAQLPPEFHMQLYIEASRIIKDCWWLVDGKRTIKELDSAVGYALLDPAWASQDNTSTAIGNIVALLHAFFSNLPQEWLESTHTIIKHLRPVTSVAMLRIAFRIMGPLLPRLAFARPLFMKTLALLFNVLADVFGKNSQPSVPVEASHITDIIDFLHHAVLYEGQGGPVQSTSKPKPEILTLCGKVIELLRPDVQHLLSHLRTDPSSIYAATHPKLAQNQT >DRNTG_11609.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7784032:7832882:-1 gene:DRNTG_11609 transcript:DRNTG_11609.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKGQSIQAWQQAEQTVINQCTQLLSPSADPTYVLTYLSHSFPQHRQYLCAGAWVLMKGHPDGINSINLGRVLREFSPEEVTSNIYTMVDVLLHNIQVELQHGHVVQDLLMKAIANLAFFVWTHELLPLDILLLALIDRDDDHCALRIVISMLDRPELQQRIKLFCSNRQGPEHWFNNQPPKRVDLQKALGNHLSWKERNPPFFDDIAARLLPVIPLIIYRLIENDATDVADKVLALYTPLLIYHPLRFTFVRDILAYFYGHLPIKLIVRILKVLDIPKIPFSESFPHQVGLNNSAFCPPPDYFATLLLGLVNNVIPPLNSKSKSDSMADASNNPGSTTVNKSQVSSQGTVNSSDGQKAFYQNQDPGTYTQLVLETAAIEILSLQATASQIVSSLVQIVVHVQPTLIQSSNGLQGISGGLGQNSGLPTSPSGGSSESLNTNRSTPSATGLSATNFMSRSGYSCQQLSCLMIQACGLLLAQLPPEFHMQLYIEASRIIKDCWWLVDGKRTIKELDSAVGYALLDPAWASQDNTSTAIGNIVALLHAFFSNLPQEWLESTHTIIKHLRPVTSVAMLRIAFRIMGPLLPRLAFARPLFMKTLALLFNVLADVFGKNSQPSVPVEASHITDIIDFLHHAVLYEGQGGPVQSTSKPKPEILTLCGKVIELLRPDVQHLLSHLRTDPSSIYAATHPKLAQNQT >DRNTG_11609.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7784032:7832682:-1 gene:DRNTG_11609 transcript:DRNTG_11609.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHPDGINSINLGRVLREFSPEEVTSNIYTMVDVLLHNIQVELQHGHVVQDLLMKAIANLAFFVWTHELLPLDILLLALIDRDDDHCALRIVISMLDRPELQQRIKLFCSNRQGPEHWFNNQPPKRVDLQKALGNHLSWKERNPPFFDDIAARLLPVIPLIIYRLIENDATDVADKVLALYTPLLIYHPLRFTFVRDILAYFYGHLPIKLIVRILKVLDIPKIPFSESFPHQVGLNNSAFCPPPDYFATLLLGLVNNVIPPLNSKSKSDSMADASNNPGSTTVNKSQVSSQGTVNSSDGQKAFYQNQDPGTYTQLVLETAAIEILSLQATASQIVSSLVQIVVHVQPTLIQSSNGLQGISGGLGQNSGLPTSPSGGSSESLNTNRSTPSATGLSATNFMSRSGYSCQQLSCLMIQACGLLLAQLPPEFHMQLYIEASRIIKDCWWLVDGKRTIKELDSAVGYALLDPAWASQDNTSTAIGNIVALLHAFFSNLPQEWLESTHTIIKHLRPVTSVAMLRIAFRIMGPLLPRLAFARPLFMKTLALLFNVLADVFGKNSQPSVPVEASHITDIIDFLHHAVLYEGQGGPVQSTSKPKPEILTLCGKVIELLRPDVQHLLSHLRTDPSSIYAATHPKLAQNQT >DRNTG_11966.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14345990:14426089:1 gene:DRNTG_11966 transcript:DRNTG_11966.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWSSLFSKGPLVRPRPHLARSVLTKTPPITFFQEKCNVECRRSSLNPFILL >DRNTG_19085.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:75134:76683:-1 gene:DRNTG_19085 transcript:DRNTG_19085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQTNEKLKQTKNHLITYKNKLNK >DRNTG_19085.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:75448:76683:-1 gene:DRNTG_19085 transcript:DRNTG_19085.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQTNEKLKQTKNHLITYKNKLNK >DRNTG_03644.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3331795:3339417:-1 gene:DRNTG_03644 transcript:DRNTG_03644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKNIAGGPSKRPRTDASSYGIETQPTFHTRPHIGSYARLSRRHFGESREIDWHILRKIELEVEVHRLLNAGAWR >DRNTG_13941.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21247633:21249666:1 gene:DRNTG_13941 transcript:DRNTG_13941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRASRRFIKRSSFGTVAHCSDHVDLPTRHRRSERKPLVTGINELKHRARLQRKTRQDVREIPLRAPENGLLVKSLISVAHSVLSARSKVLSCVSKIVGIIPCSCLQIVWRGSCGGVSPSDSKL >DRNTG_13941.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21247633:21249666:1 gene:DRNTG_13941 transcript:DRNTG_13941.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRASRRFIKRSSFGTVAHCSDHVDLPTRHRRSERKPLVTGINELKHRARLQRKTRQDVREIPLRAPENGLLVKSLISVAHSVLSARSKVLSCVSKIVGIIPCSCLQVCALEFQFKTCSFCEN >DRNTG_11673.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:260765:264525:-1 gene:DRNTG_11673 transcript:DRNTG_11673.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal nicotinamide adenine dinucleotide carrier [Source:Projected from Arabidopsis thaliana (AT2G39970) UniProtKB/Swiss-Prot;Acc:O04200] MVSNPSIQFMLYETLLQRLKKKRALSGKGADGVTALEIFLLGAMAKLGATVVTYPLLVVKSRLQAKQSSGDDKRHHYKGTFDAITKMIRYEGISVFYKGMNTKIVQSVLAAAVLFMIKEELVKAAGLLLNGSKLKPP >DRNTG_11673.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:260765:264525:-1 gene:DRNTG_11673 transcript:DRNTG_11673.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal nicotinamide adenine dinucleotide carrier [Source:Projected from Arabidopsis thaliana (AT2G39970) UniProtKB/Swiss-Prot;Acc:O04200] MVLVQSIVLWSRCVNVLLTNPIWVIVTRMQTHKTKRRPPTQALPFISDNANSPTPYGTSRAVRELYEEAGLTGFWKGVIPTLIMVSNPSIQFMLYETLLQRLKKKRALSGKGADGVTALEIFLLGAMAKLGATVVTYPLLVVKSRLQAKQSSGDDKRHHYKGTFDAITKMIRYEGISVFYKGMNTKIVQSVLAAAVLFMIKEELVKAAGLLLNGSKLKPP >DRNTG_11673.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:260765:264525:-1 gene:DRNTG_11673 transcript:DRNTG_11673.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal nicotinamide adenine dinucleotide carrier [Source:Projected from Arabidopsis thaliana (AT2G39970) UniProtKB/Swiss-Prot;Acc:O04200] MSDALINGVAGAGGGIIAQLITYPLQTVNTRQQTDRDPSKSGSKDGTIKQMYQVVKHEGWERLYGGLGPSLIGTAASQGVYYYFYQIFRDRAESAALKRFKKGNGDGSVGMFQSLIVAAISGCVNVLLTNPIWVIVTRMQTHKTKRRPPTQALPFISDNANSPTPYGTSRAVRELYEEAGLTGFWKGVIPTLIMVSNPSIQFMLYETLLQRLKKKRALSGKGADGVTALEIFLLGAMAKLGATVVTYPLLVVKSRLQAKQSSGDDKRHHYKGTFDAITKMIRYEGISVFYKGMNTKIVQSVLAAAVLFMIKEELVKAAGLLLNGSKLKPP >DRNTG_35233.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18019954:18033457:1 gene:DRNTG_35233 transcript:DRNTG_35233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFATQNPPTVYGGRKVLSRAFRNRFLEVHVDEIPEDELTTIIEKRCKMPESYALKMIDVMKDLQLHRQKSKIFAGKQGFITPRDLFRWANRFRVFGKSYEDLAKDAYLLLAERLRDDSEKIVVRETLERRLRVKLFMDDLYKRELGLGDCALQFPKQQEILPNVGNVTWTESMWRLYFLVERCYKMREPVLLVGETGGGKTTVCQMLSAVLGSRLHILNFHQYTETSDFIGGFYPVRDRSKLMMEFKQLIGRLKHTKIYLKFSEDYVISSDITQASTLTLLDEIMSRYRNHASLDPDITQLDIDGFEKIKLELTRLQQKWQTIFLWQDGPLVQAMKCGDLFLVDEISLADDSVLERLNSVLEPERALSLPEKGGPEMEKVTGHPGFFLLATMNPGGDYGKKELSPALRNRFTEVWVPPVRDKEELIKIASGRFTKSELSCFADCIVMFWEWFNQSQTCRTLTVRDLLSWVSFLNVTEESLGSQYAFIHGAFLVVLDGLSLGTGMSKSDANKLRQTSLSFLLNVLKGRVGCINFAISKMENYGWGDEKHGDLSHVNGFHLENLFGVHPFYINKGPNDCRQEGFQFLAPTTRRNALRVLRAMQLPKPVLLEGSPGVGKTSLVTALAAYSGHSVVRINLSEQTDMMDLLGSDLPVEGEGGMEFSWSDGVLLQALKNGNWVLLDELNLAPQSVLEGLNAILDHRAEVYIPELGMTFKCSSSFRIFACQNPSCQGGGRKGLPKSFLNRFTKVYVDELVEDDYLFICQSLHPSIPETVLSKLILFNNRLYEDTMIHRKFAHEGSPWEFNLRDIIRSCQVIE >DRNTG_35233.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18019954:18028480:1 gene:DRNTG_35233 transcript:DRNTG_35233.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLFATQNPPTVYGGRKVLSRAFRNRFLEVHVDEIPEDELTTIIEKRCKMPESYALKMIDVMKDLQLHRQKSKIFAGKQGFITPRDLFRWANRFRVFGKSYEDLAKDAYLLLAERLRDDSEKIVVRETLERRLRVKLFMDDLYKRELGLGDCALQFPKQQEILPNVGNVTWTESMWRLYFLVERCYKMREPVLLVGETGGGKTTVCQMLSAVLGSRLHILNFHQYTETSDFIGGFYPVRDRSKLMMEFKQLIGRLKHTKIYLKFSEDYVISSDITQASTLTLLDEIMSRYRNHASLDPDITQLDIDGFEKIKLELTRLQQKWQTIFLWQDGPLVQAMKCGDLFLVDEISLADDSVLERLNSVLEPERALSLPEKGGPEMEKVTGHPGFFLLATMNPGGDYGKKELSPALRNRFTEVWVPPVRDKEELIKIASGRFTKSELSCFADCIVMFWEWFNQSQTCRTLTVRDLLSWVSFLNVTEESLGSQYAFIHGAFLVVLDGLSLGTGMSKSDANKLRQTSLSFLLNVLK >DRNTG_35233.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18019954:18027460:1 gene:DRNTG_35233 transcript:DRNTG_35233.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLFATQNPPTVYGGRKVLSRAFRNRFLEVHVDEIPEDELTTIIEKRCKMPESYALKMIDVMKDLQLHRQKSKIFAGKQGFITPRDLFRWANRFRVFGKSYEDLAKDAYLLLAERLRDDSEKIVVRETLERRLRVKLFMDDLYKRELGLGDCALQFPKQQEILPNVGNVTWTESMWRLYFLVERCYKMREPVLLVGETGGGKTTVCQMLSAVLGSRLHILNFHQYTETSDFIGGFYPVRDRSKLMMEFKQLIGRLKHTKIYLKFSEDYVISSDITQASTLTLLDEIMSRYRNHASLDPDITQLDIDGFEKIKLELTRLQQKWQTIFLWQDGPLVQAMKCGDLFLVDEISLADDSVLERLNSVLEPERALSLPEKGGPEMEKVTGHPGFFLLATMNPGGDYGKKELSPALRNRFTEVWVPPVRDKEELIKIASGRFTKSELSCFADCIVMFWEWFNQSQTCRTLTVRDLLSWVSFLNVTEESLGSQYAFIHGAFLVVLDGLSL >DRNTG_35233.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18019954:18032027:1 gene:DRNTG_35233 transcript:DRNTG_35233.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFATQNPPTVYGGRKVLSRAFRNRFLEVHVDEIPEDELTTIIEKRCKMPESYALKMIDVMKDLQLHRQKSKIFAGKQGFITPRDLFRWANRFRVFGKSYEDLAKDAYLLLAERLRDDSEKIVVRETLERRLRVKLFMDDLYKRELGLGDCALQFPKQQEILPNVGNVTWTESMWRLYFLVERCYKMREPVLLVGETGGGKTTVCQMLSAVLGSRLHILNFHQYTETSDFIGGFYPVRDRSKLMMEFKQLIGRLKHTKIYLKFSEDYVISSDITQASTLTLLDEIMSRYRNHASLDPDITQLDIDGFEKIKLELTRLQQKWQTIFLWQDGPLVQAMKCGDLFLVDEISLADDSVLERLNSVLEPERALSLPEKGGPEMEKVTGHPGFFLLATMNPGGDYGKKELSPALRNRFTEVWVPPVRDKEELIKIASGRFTKSELSCFADCIVMFWEWFNQSQTCRTLTVRDLLSWVSFLNVTEESLGSQYAFIHGAFLVVLDGLSLGTGMSKSDANKLRQTSLSFLLNVLKGRVGCINFAISKMENYGWGDEKHGDLSHVNGFHLENLFGVHPFYINKGPNDCRQEGFQFLAPTTRRNALRVLRAMQLPKPVLLEGSPGVGKTSLVTALAAYSGHSVVRINLSEQTDMMDLLGSDLPVEGEGGMEFSWSDGVLLQALKNGNWVLLDELNLAPQSVLE >DRNTG_35233.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18019954:18031872:1 gene:DRNTG_35233 transcript:DRNTG_35233.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFATQNPPTVYGGRKVLSRAFRNRFLEVHVDEIPEDELTTIIEKRCKMPESYALKMIDVMKDLQLHRQKSKIFAGKQGFITPRDLFRWANRFRVFGKSYEDLAKDAYLLLAERLRDDSEKIVVRETLERRLRVKLFMDDLYKRELGLGDCALQFPKQQEILPNVGNVTWTESMWRLYFLVERCYKMREPVLLVGETGGGKTTVCQMLSAVLGSRLHILNFHQYTETSDFIGGFYPVRDRSKLMMEFKQLIGRLKHTKIYLKFSEDYVISSDITQASTLTLLDEIMSRYRNHASLDPDITQLDIDGFEKIKLELTRLQQKWQTIFLWQDGPLVQAMKCGDLFLVDEISLADDSVLERLNSVLEPERALSLPEKGGPEMEKVTGHPGFFLLATMNPGGDYGKKELSPALRNRFTEVWVPPVRDKEELIKIASGRFTKSELSCFADCIVMFWEWFNQSQTCRTLTVRDLLSWVSFLNVTEESLGSQYAFIHGAFLVVLDGLSLGTGMSKSDANKLRQTSLSFLLNVLKGRVGCINFAISKMENYGWGDEKHGDLSHVNGFHLENLFGVHPFYINKGPNDCRQEGFQFLAPTTRRNALRVLRAMQLPKPVLLEGSPGVGKTSLVTALAAYSGHSVVRINLSEQTDMMDLLGSDLPVEGEGGMEFSWSDGVLLQ >DRNTG_14983.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24017986:24019361:1 gene:DRNTG_14983 transcript:DRNTG_14983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSSGGESISGKKPRSSSTHQAQSKWRTGAQERIYNRRLIEALRAVRGARAVKDAADSALALTARGQSRWSRAILAGRLRRRKLLVKAGGKIRRHRRPKPPVKPPESASMKVGKVGERLRVLGRLVPGCRKLSTPTLLEETADYVAALELQVKAMRALADALSAAAVTTAAAAAPDG >DRNTG_08070.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1778162:1780014:-1 gene:DRNTG_08070 transcript:DRNTG_08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSDEMLSAIIPPVVHWVFAGIYGLLGTYLTKYRLHPKGDEEKNNTVSKRAVIKGVLIQHVIQIAVHFMAAKVRANEGSAAKEQQPSLPVLAVQWIIAMVVMDTWQYFIHRLVHVNRFLYRHIHAAHHAQIVPYVYGALYGHPIESLLLDIIGGALAFLISGMTTRTSIYFFSFATIKSLDLHSGLYIPWNPLQALFFNNCAFHDTHHQLKGHRHNFSQPFFISWDKILGTYMPYSVEERTGGGFEIKFLKDA >DRNTG_21978.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:886299:893409:-1 gene:DRNTG_21978 transcript:DRNTG_21978.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LEO1 homolog [Source:Projected from Arabidopsis thaliana (AT5G61150) UniProtKB/Swiss-Prot;Acc:Q9FNQ0] MAGGREIEDETRNQMMQNLFGDQSEEEEEDEEEEEVDSEHDAAVQQPAFHSDEGEGEAEFEGDGETEGQGEIEVESEPEVHDVDPEQADSEGERAQSSPERGISEQKVESGEKDAESEEEGYGQRVVTSRRREVIASESEGSDENQYADNDHEDEEVDQTRTQSRSPMEQDDNEVVRDVFGDSDEEEPDEYPTHNELEQDSHRSPMEEEGGYEKVMRPEDVVPDEDAPYESEEEENLEQKPKEKPVGPPLEIEVPLCRPPGPPDRMNMIKVSNIMGIEPKPFDPKTYVEEDVFVTDESGTKKRIRLEDNIVRWRRTRNSDGTTAYESNARFVEWEDGSLQLLIGNEVLNISVHEAHHDHTHLFLRHGKGILQSQGRLLRKMRFMPSSLSSKSHRLLTALVDSRHKKVYKVKNCITDIDPEKEKEEKEKAEGQTIRANELLHRKREKVNRKYVPPNDKGRQLSPGLLEEASEEDDEHEDYYSSRRSAARNRFEEDMEVEAQAEKRIINAKKAYKNIHRKPSMPAARPSRRPVEEYSESEREESEYESEGEDIEHSPSRRRGEPDLEDEYDEDQEAEDDAGRW >DRNTG_21978.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:886299:893409:-1 gene:DRNTG_21978 transcript:DRNTG_21978.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LEO1 homolog [Source:Projected from Arabidopsis thaliana (AT5G61150) UniProtKB/Swiss-Prot;Acc:Q9FNQ0] MAGGREIEDETRNQMMQNLFGDQSEEEEEDEEEEEVDSEHDAAVQQPAFHSDEGEGEAEFEGDGETEGQGEIEVESEPEVHDVDPEQADSEGERAQSSPERGISEQKVESGEKDAESEEEGYGQRVVTSRRREVIASESEGSDENQYADNDHEDEEVDQTRTQSRSPMEQDDNEVVRDVFGDSDEEEPDEYPTHNELEQDSHRSPMEEEGGYEKVMRPEDVVPDEDAPYESEEEENLEQKPKEKPVGPPLEIEVPLCRPPGPPDRMNMIKVSNIMGIEPKPFDPKTYVEEDVFVTDESGTKKRIRLEDNIVRWRRTRNSDGTTAYESNARFVEWEDGSLQLLIGNEVLNISVHEAHHDHTHLFLRHGKGILQSQGRLLRKMRFMPSSLSSKSHRLLTALVDSRHKKVYKVKNCITDIDPEKEKEEKEKAEGQTIRANELLHRKREKVNRKYVPPNDKGRQLSPGLLEEASEEDDEHEDYYSSRRSAARNRFEEDMEVEAQAEKRIINAKKAYKNIHRKPSMPAARPSRRPVEEYSESEREESEYESEGEDIEHSPSRRRGEPDLEDEYDEDQEAEDDAGRW >DRNTG_21978.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:886299:893409:-1 gene:DRNTG_21978 transcript:DRNTG_21978.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LEO1 homolog [Source:Projected from Arabidopsis thaliana (AT5G61150) UniProtKB/Swiss-Prot;Acc:Q9FNQ0] MAGGREIEDETRNQMMQNLFGDQSEEEEEDEEEEEVDSEHDAAVQQPAFHSDEGEGEAEFEGDGETEGQGEIEVESEPEVHDVDPEQADSEGERAQSSPERGISEQKVESGEKDAESEEEGYGQRVVTSRRREVIASESEGSDENQYADNDHEDEEVDQTRTQRSPMEQDDNEVVRDVFGDSDEEEPDEYPTHNELEQDSHRSPMEEEGGYEKVMRPEDVVPDEDAPYESEEEENLEQKPKEKPVGPPLEIEVPLCRPPGPPDRMNMIKVSNIMGIEPKPFDPKTYVEEDVFVTDESGTKKRIRLEDNIVRWRRTRNSDGTTAYESNARFVEWEDGSLQLLIGNEVLNISVHEAHHDHTHLFLRHGKGILQSQGRLLRKMRFMPSSLSSKSHRLLTALVDSRHKKVYKVKNCITDIDPEKEKEEKEKAEGQTIRANELLHRKREKVNRKYVPPNDKGRQLSPGLLEEASEEDDEHEDYYSSRRSAARNRFEEDMEVEAQAEKRIINAKKAYKNIHRKPSMPAARPSRRPVEEYSESEREESEYESEGEDIEHSPSRRRGEPDLEDEYDEDQEAEDDAGRW >DRNTG_21978.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:886299:893409:-1 gene:DRNTG_21978 transcript:DRNTG_21978.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LEO1 homolog [Source:Projected from Arabidopsis thaliana (AT5G61150) UniProtKB/Swiss-Prot;Acc:Q9FNQ0] MAGGREIEDETRNQMMQNLFGDQSEEEEEDEEEEEVDSEHDAAVQQPAFHSDEGEGEAEFEGDGETEGQGEIEVESEPEVHDVDPEQADSEGERAQSSPERGISEQKVESGEKDAESEEEGYGQRVVTSRRREVIASESEGSDENQYADNDHEDEEVDQTRTQRSPMEQDDNEVVRDVFGDSDEEEPDEYPTHNELEQDSHRSPMEEEGGYEKVMRPEDVVPDEDAPYESEEEENLEQKPKEKPVGPPLEIEVPLCRPPGPPDRMNMIKVSNIMGIEPKPFDPKTYVEEDVFVTDESGTKKRIRLEDNIVRWRRTRNSDGTTAYESNARFVEWEDGSLQLLIGNEVLNISVHEAHHDHTHLFLRHGKGILQSQGRLLRKMRFMPSSLSSKSHRLLTALVDSRHKKVYKVKNCITDIDPEKEKEEKEKAEGQTIRANELLHRKREKVNRKYVPPNDKGRQLSPGLLEEASEEDDEHEDYYSSRRSAARNRFEEDMEVEAQAEKRIINAKKAYKNIHRKPSMPAARPSRRPVEEYSESEREESEYESEGEDIEHSPSRRRGEPDLEDEYDEDQEAEDDAGRW >DRNTG_05195.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22643383:22646148:-1 gene:DRNTG_05195 transcript:DRNTG_05195.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDD1 [Source:Projected from Arabidopsis thaliana (AT1G04110) UniProtKB/TrEMBL;Acc:A0A178WPN6] MLTNTAPHEFLHAFLLFKYSPFSSSSSSFSFSSNLLLLLLLLFMDPKLCLFSSFFFFFFFFSEALLQTYIVQLEPNLDPLITNKLEWHLSLLQQASTPSRLLYSYEAAIEGFAARLTEEEAEAMRSIAGVVSVRLDRGFQLHTTYSHKFLGLSFSGNGAWSESGFGEGTVVGVLDTGVWPESPSFDDRGMPPVPKKWRGVCQEGEDFNSSHCNRKLVGARYYAKGHHASNPASSSSVTEYISPRDAHGHGTHTSSTVTGVAVPGASVLGLGLGTASGMAPRAHLAIYKVCWFSGCYSSDILAGMDDAIKDGVDVLSLSLGGFPIPLFEDSIAIGSYRATASGISVICAGGNNGPVASSVANEAPWITTVGAGTLDRRFPAVVKMGNGELLYGESMFPGNRYSKNGGKEVELELVYENGGNDGGESCYKGSLTRAQVAGKLVVCDRGGSGRAEKGEVVKEAGGAAMILTNTEVNLEEDSVDVHVLPATLVGYNEAIQLKKYINATAHPVAKLVFGGSSVGRSRAPAVALFSSRGPSLTNPSILKPDIIAPGVNIIAAWPENLGPSGLPEDVRRSNFSVLSGTSMACPHVSGITALIRAKHPTWSPAMIKSAIMTSADITDHYGKPILDGVKPAGIFAIGAGHVNPAKAIDPGLVYDISPDEYVIHLCSLGYTRSEIFGITHKNSSCKETLQRNKHFQFELPFDFGDFQAWQKQEWLFRGE >DRNTG_10953.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:226154:227776:-1 gene:DRNTG_10953 transcript:DRNTG_10953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVRLLSISIRFLNPYRTSSTRFNAIPSSIFIFQSKSSSFYTNSPFPNPKSFSSLTSNDEARIPVADQVFDAITSSSGAGRSLETSLDSLGIELSTELVLDVIHRLRYEEKLAFRFFTWAAHQDSYAHERQTYNFMIDVLSSTKYKNKQFGVVCDVLDYMKRRCCNSVPVDALITILRTYTDKHLTHLRKFAKKKKLKLKTEPALDAFNLLLDSLCKCCLVEEAVLLFHRMKSKVVPDANTYSILFFGWCRVRDPKNAMKVLDEMLQRGHTPENFTYNAAIDAFCSAGMVSEARELFEFMRTRGSTISSPTAKTYSIIIVALAKADQMDECFRLLADMRDRGCLPDVSTYKDLIEGLCLAGKIDDAYKVLDEMGHKGFPADILTYNCFLNVLCNLKRSEEALRLCERMIELGCEPSVHTYNMLITMFFAMGEPDRAIGVWHEMDNRGCARNADSYSIMIEGLLRFERIDNACFLLDELINCGMKLPYRSFDSLLRQFSEIGNLRAIHRLSDHMRKFYNVAMARRFAISEKKKSMSLRRQ >DRNTG_28839.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001449.1:5667:7420:1 gene:DRNTG_28839 transcript:DRNTG_28839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHRPNSQGQAHAPVPSRDRESSSAGYLRALLHCFLTSYLQFGVISLGFLVVFKAFSSQLDGKPYVDQSKSVVMTMAPKSKKQADKRPRESSPESKSMRFTIPCIRLVLSIC >DRNTG_12652.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1247810:1249140:1 gene:DRNTG_12652 transcript:DRNTG_12652.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTRSRWKAKSFAEIAEANPMSGIIIKLQASLSESQAQAMLLDCSDALLEATPELSDLLNRACFGKQINTYDSGKQWFQLGPEEAFYLHHALHCSTINGETGNAMNAVEVWECMVSRNKAFPWLYTAYAHLRRKNWVVRSGLQYGVDFVAYRHHPALVHSEFAVLVLSDDDDGESRLRVWSDLQCSLRVCGGVAKTLLVLHVIKCSTKNELDSRLLYGWDEYTVIEERTITRWVPEHCREAHASDGGATQ >DRNTG_12652.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1247709:1249166:1 gene:DRNTG_12652 transcript:DRNTG_12652.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTRSRWKAKSFAEIAEANPMSGIIIKLQASLSESQAQAMLLDCSDALLEATPELSDLLNRACFGKQINTYDSGKQWFQLGPEEAFYLHHALHCSTINGETGNAMNAVEVWECMVSRNKAFPWLYTAYAHLRRKNWVVRSGLQYGVDFVAYRHHPALVHSEFAVLVLSDDDDGESRLRVWSDLQCSLRVCGGVAKTLLVLHVIKCSTKNELDSRLLYGWDEYTVIEERTITRWVPEHCREAHASDGGATQ >DRNTG_12652.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1248277:1249140:1 gene:DRNTG_12652 transcript:DRNTG_12652.7 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTRSRWKAKSFAEIAEANPMSGIIIKLQASLSESQAQAMLLDCSDALLEATPELSDLLNRACFGKQINTYDSGKQWFQLGPEEAFYLHHALHCSTINGETGNAMNAVEVWECMVSRNKAFPWLYTAYAHLRRKNWVVRSGLQYGVDFVAYRHHPALVHSEFAVLVLSDDDDGESRLRVWSDLQCSLRVCGGVAKTLLVLHVIKCSTKNELDSRLLYGWDEYTVIEERTITRWVPEHCREAHASDGGATQ >DRNTG_12652.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1247709:1249220:1 gene:DRNTG_12652 transcript:DRNTG_12652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTRSRWKAKSFAEIAEANPMSGIIIKLQASLSESQAQAMLLDCSDALLEATPELSDLLNRACFGKQINTYDSGKQWFQLGPEEAFYLHHALHCSTINGETGNAMNAVEVWECMVSRNKAFPWLYTAYAHLRRKNWVVRSGLQYGVDFVAYRHHPALVHSEFAVLVLSDDDDGESRLRVWSDLQCSLRVCGGVAKTLLVLHVIKCSTKNELDSRLLYGWDEYTVIEERTITRWVPEHCREAHASDGGATQ >DRNTG_12652.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1248277:1249166:1 gene:DRNTG_12652 transcript:DRNTG_12652.6 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTRSRWKAKSFAEIAEANPMSGIIIKLQASLSESQAQAMLLDCSDALLEATPELSDLLNRACFGKQINTYDSGKQWFQLGPEEAFYLHHALHCSTINGETGNAMNAVEVWECMVSRNKAFPWLYTAYAHLRRKNWVVRSGLQYGVDFVAYRHHPALVHSEFAVLVLSDDDDGESRLRVWSDLQCSLRVCGGVAKTLLVLHVIKCSTKNELDSRLLYGWDEYTVIEERTITRWVPEHCREAHASDGGATQ >DRNTG_12652.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1247810:1249220:1 gene:DRNTG_12652 transcript:DRNTG_12652.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTRSRWKAKSFAEIAEANPMSGIIIKLQASLSESQAQAMLLDCSDALLEATPELSDLLNRACFGKQINTYDSGKQWFQLGPEEAFYLHHALHCSTINGETGNAMNAVEVWECMVSRNKAFPWLYTAYAHLRRKNWVVRSGLQYGVDFVAYRHHPALVHSEFAVLVLSDDDDGESRLRVWSDLQCSLRVCGGVAKTLLVLHVIKCSTKNELDSRLLYGWDEYTVIEERTITRWVPEHCREAHASDGGATQ >DRNTG_12652.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1247810:1249166:1 gene:DRNTG_12652 transcript:DRNTG_12652.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTRSRWKAKSFAEIAEANPMSGIIIKLQASLSESQAQAMLLDCSDALLEATPELSDLLNRACFGKQINTYDSGKQWFQLGPEEAFYLHHALHCSTINGETGNAMNAVEVWECMVSRNKAFPWLYTAYAHLRRKNWVVRSGLQYGVDFVAYRHHPALVHSEFAVLVLSDDDDGESRLRVWSDLQCSLRVCGGVAKTLLVLHVIKCSTKNELDSRLLYGWDEYTVIEERTITRWVPEHCREAHASDGGATQ >DRNTG_05745.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7292822:7295854:1 gene:DRNTG_05745 transcript:DRNTG_05745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCYYRRLVTMAVNLVNGRCYLTPVVETLAELKDNMTPRHWEIIRRTPFAAFTELEAIYQERALLDSLLQRYDGRTNKFRIGESLLSFRPQDVALVLSLHCDGDAVVFQKKKTRSAFEERYLSKTYERHRDSIKSTLAQLVRQREEEDNFVKLLMVYLMGTVLFPNTSCSVPNWIVDYVDDLSAMGRYAWAQATHK >DRNTG_04255.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:8465444:8469842:-1 gene:DRNTG_04255 transcript:DRNTG_04255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPALKVLSMAEACLTSLPSTIFASKTPQSLVSIPPRPIYKPLLHLYVSPSTPFLSLKKSSSLIPLVAQTSDFARQDEEGTVVEAEGVDPLVDWESDGGEDGAVEAEGEVEEDGGEGFYPEPPEEAKLFVGNIPDDVDSEKLAHLFEGAGIVDVAEVIYDRNTQQSRGFGFVTMSTVEEAQRATEMFHRYDVGGRLLTVNKAAPRGTRPERRDYEPSFRIYVGNLPWQVDDARLEQVFSEHGKVEEARVVYERETGRSRGFGFVKMATRTEMDDAIAALDGHTLDGRALRVNVAEERPRRRPF >DRNTG_02017.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:15411489:15419968:-1 gene:DRNTG_02017 transcript:DRNTG_02017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHQQSQIAQSSQYNPMDQTGSQVLQEHFNMFVLLSTNTQQETSTICVEAFEALKS >DRNTG_19270.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:429550:434343:-1 gene:DRNTG_19270 transcript:DRNTG_19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQTTIQLLKLIFFTLLSLTLALHAILSFTSSSSNLIDQKLVIILSNNKLIVFSFLWCTLVSILAYISTRPLPVLLLNYTCFKPDHDRRCTLELCEYVGLRSRRYSEESADFMRAIYRKSGLGDETYAPLFLFQTDYEAKFHYAILEAEEGMFSSASSVLSKSGVSPSEITVLIVACSMFAPSPSLSSFVVNHLKLPSNVKTFNLSGMGCSAGTMAMDLAGSILRHRIGYALLVITESTSLNWYFGDNRHMLVTNCIFRAGTAAALMTSDPSRRWSAKMELIRTLRTHHGADDAAYNAAIQREDENGNIGVALTKDLVRVAGAGLKGHITTLAPRVLPVSELLKFAYLVVKSMFRGDKKTQHVPDFTTAFEHMCIHAGGKAVIDSVARLMKFSDKVVEPARMCLHRFGNTSSSLVLYEFAYFEAKGRIKAGDRVWMLAFGTGFKACSVVWRALQDSWMDADNPWRDCIHRFQAGSGQSGPLGRDTEAGQGIRVEHSCLTILLKQIGQTPSGLEEYFHQSAVQLCSMISQNLMLYSYLFSLHMCAASEFAGEFGFGSE >DRNTG_08750.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18622156:18625035:-1 gene:DRNTG_08750 transcript:DRNTG_08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMTKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPLGTCARVSIGQVLLSVRCKDSNGNSAQEALRRAKFKFR >DRNTG_08750.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18622156:18625035:-1 gene:DRNTG_08750 transcript:DRNTG_08750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMTKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPLGTCARVSIGQVLLSVRCKDSNGNSAQEALRRAKFKFR >DRNTG_11940.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18955842:18956355:1 gene:DRNTG_11940 transcript:DRNTG_11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSMSCFGGSGRVKDEEMEIRDQKIRRRLRSDEDGDPNVDFKAEDYIAKKRGEWNE >DRNTG_30599.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8217669:8221351:1 gene:DRNTG_30599 transcript:DRNTG_30599.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALWPRRPWPFTCLTHRGCRTPLCFLGMERTPPRVCDCRMVVHMGSRMPLCLLWMSSQCNPHGRVEIPHARVFSLDDLEKSAGSVENF >DRNTG_14076.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:21198379:21212786:1 gene:DRNTG_14076 transcript:DRNTG_14076.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILWKVLYSSSKDPLVRYRIRLDGSSPTNLLPKTWRFFSAGCEQCCYSTLLQWSATVSGLKYFPNPYFHRASRRRNRSKKPHGRMEIFTRAKACSSASVARLKHMNRITVNVLASRLYFHYSYACELINNLAEIRGEPSALKRSPTISGSKPRLYPDEAQQLWPILQPSTATNARSRLEVPKRVIGGQRSTIMAKTIPMAPTKKNTSEISKEREMRGGGGTAAGYFEGSIEKRQNQEDNRALLVFSTTRQNQWTRFAGISSEEPRPFDRSHNEMT >DRNTG_01564.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:9088916:9092897:1 gene:DRNTG_01564 transcript:DRNTG_01564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCASFPQGSSPEYGRKASRRYGGGSTIPLKLTSIPGGIPGSMRTSLSPSLTYSEYSTVP >DRNTG_34230.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1384579:1388502:1 gene:DRNTG_34230 transcript:DRNTG_34230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIPSMALNEIHTAEKRYEVGREFGKQEAKQRQLRSILNKLTPANFEVLFEQVLDVALVDASVVPDFVSQIVGRAIGEPIYCEMYAELCYLIDGKLSVYYSSDNNIFTVKRLVLNECQKICERDFGVVDEETIKLSEDGRNYDKIKTHIHMLGSIQFIGELFKTTMIPLKIMHHIIQVLLEGYQNPDEKNIEALCLLMSTAGEMLDGKSEKLMDKYFCRMLELSANKKLSSRVRFKVMNAIDLRNNDWQERRKIERPTKIWEMHLACKRHTQYRPYGFTIISQTQLDYDSPVPCVQDVFEEGVLNRRYEHIGRTLSRSSPNDLIRSSHAKMLDPDNPDRLPFGYGSREHQLGPSDVVETQRKLVPSSRTPLVVLHKAERRYEVGRVFGREDAKQRKLRAILNKLTFQNLGKLLLEVREVNIEHIITLSGFVSQIFDKALLEPTYSEVYANFCSCLVNELPGFHRNDETVTFRRLLLTKCQEKFERRDRDQPPVKGGEGEGIDSKLYEVDSKVSEFQGCHQRLGNIQFIGELYRVRMLAEGIMLCCIQTLLNGHQSPNGEDIEALCKLISIIGDKIDHPRTKKRLDAYFVIMEQLSTSQKLSHRIRFMLMDVIDLRQNEWQRIRKTEKLQKTEDVHTIYRNGLNVIDLCSSDENDVNLDDHFVNNTCLDAKIPRKERMVEEDCYILEPDACQSLAADLEHKVALTDDSEEDVCIISEKGQVACRDYPHPRHLCAQYPFNLTNHENSCSQCYCYVCDERAPCEQWEGQLGHCHASDKEHKWIDLRAVFRIKRKI >DRNTG_20569.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8479994:8483103:1 gene:DRNTG_20569 transcript:DRNTG_20569.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRCSSPQPTLSPSGMRNTSWSPSNQIHLLPRLLFLIFNLKIQRASMQHLQLHFRDQSQDSY >DRNTG_20569.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8479994:8484242:1 gene:DRNTG_20569 transcript:DRNTG_20569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRCSSPQPTLSPSGMRNTSWSPSNQIHLLPRLLFLIFNLKIQRASMQHLQLHFRDQSQDSY >DRNTG_20569.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8480028:8483103:1 gene:DRNTG_20569 transcript:DRNTG_20569.7 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRCSSPQPTLSPSGMRNTSWSPSNQIHLLPRLLFLIFNLKIQRASMQHLQLHFRDQSQDSY >DRNTG_20569.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8480028:8483103:1 gene:DRNTG_20569 transcript:DRNTG_20569.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRCSSPQPTLSPSGMRNTSWSPSNQIHLLPRLLFLIFNLKIQRASMQHLQLHFRDQSQDSY >DRNTG_20569.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8479994:8483103:1 gene:DRNTG_20569 transcript:DRNTG_20569.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRCSSPQPTLSPSGMRNTSWSPSNQIHLLPRYKSLSLGILSFSFFFFFFFVSILLNY >DRNTG_20569.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8480028:8483103:1 gene:DRNTG_20569 transcript:DRNTG_20569.6 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRCSSPQPTLSPSGMRNTSWSPSNQIHLLPRLLFLIFNLKIQRASMQHLQLHFRDQSQDSY >DRNTG_20569.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8479929:8483103:1 gene:DRNTG_20569 transcript:DRNTG_20569.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRCSSPQPTLSPSGMRNTSWSPSNQIHLLPRLLFLIFNLKIQRASMQHLQLHFRDQSQDSY >DRNTG_20569.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8480028:8481361:1 gene:DRNTG_20569 transcript:DRNTG_20569.8 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRCSSPQPTLSPSGMRNTSWSPSNQIHLLPRLLFLIFNLKIQRASMQHLQLHFRDQSQ >DRNTG_21573.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19232093:19238219:-1 gene:DRNTG_21573 transcript:DRNTG_21573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGELLLLAAAAVPPAHYLVALLVFVVAFLYDFLEFHFLRDLVRGLRGDAVVLTYGSPCELYHDVVSKCRILHGRYLPTPWLSSPHFQTTFLNFFGRPPAVRYRRQLFSVRDGGTIALDWILASDAKGYSLHTDGGISKDDTTPIMVVVPGLTSDSASAYIKHLAHTVAKQGWHVVVSNHRGLGGVSITSDCLYNAGWTEDLREVVNNLNHEHPKAPLFAVGTSIGANILVKYLAEEGESTPVAGAVSVCSPWDLVICDRFINRKLVQRLYDRALAIGLLGYAKQHQPLLTRLANWEGIQKSRTVRDFDHHATRIVANFETVDTYYRHCSSAQVVGNVSVPLLCLSALDDPVCTREAIPWDECRANHNIVLATTAHGGHLGFFQGITATKLWWVGAVAEFLAVLHSSSITHKPRKTQDLGPHTSLQSSIDKSPYISLADDGMVVAAQKDEIDTDKPPAESTQHHPGQSSQDNNSTESLKDDEITTRLKRSINQLSRQSNKSMWLLAYIAIISTCPLVGSLILIIFRRKLKNVLPASWFSGR >DRNTG_24706.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:9158990:9160436:-1 gene:DRNTG_24706 transcript:DRNTG_24706.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIPRVIAVLDAKSFDVLFDVSRVEKRDVFTGTYMPSTSLTGGYRVCSYLDPSEPTHAKIKQLLFILLASRKSHVIPSFRSFYSSLFQTMESQLTASLGAKSDFNKLNDVTAFDFLGDAFFGVSPSSTNLGTSGPSKATKWLFFQLCPLMTLGLPKILEELFLHTFPLPPFLAKSDYKALYAYFSSAAAPVLDTAEKLGLSREEACHNLLFATVFNSYGGVKVLFPGILKWLALADPKLHSQLAKEIRSVVEAEGGKVTLAAIEKMELTKSVVYEALRMDPPVKFQYGKAKHDLVIESHDAAYKVSKGEMIFGYQPLATKDTRVFENGGEFVGDRFVGEQGKKLLMHVLWSNGHETESPTLENKQCAGKDFVVLVGRLLVVEFFLRYDTFNAEVGTLLLGAQVTVTSLTKAA >DRNTG_00397.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000037.1:42534:46872:-1 gene:DRNTG_00397 transcript:DRNTG_00397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGLNAARYRARDDHCSTKEVDLLKAYWLNEVLIEQVWILFGVHLRSSFTPNSVNEKLNEVLIEPVYHLNEEVLSLNPLDEYLRELENEDQEEPHSPLPSPNLKKPKEKVMCINGKEKEKKDSMLKKIWREVRGKKKKEAMRTSMSCSLRSSRNSRKFTLPLTASMSSSLRSSRNSSNITLGHMASMSTSLRPLHELSLHGRISLDYALNHLHISLGSRSSAFLQAPLKCFQDLSWNATSQEICLQTSKKHNANAEKPHFKHAYHKRSVKFRRPNHMAHFIT >DRNTG_12202.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10609330:10610048:-1 gene:DRNTG_12202 transcript:DRNTG_12202.2 gene_biotype:protein_coding transcript_biotype:protein_coding AGVGHRPRQGIRTIWSWSWPWSWHALASLEAMLYCVFFFFGF >DRNTG_12202.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10609330:10612122:-1 gene:DRNTG_12202 transcript:DRNTG_12202.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGMDMDSSSSSSSEKPSKKGKGLVQQQEPPRCQVEGCKLDLSDAKAYYSRHKVCGMHSKSPMVIVAGLEQRFCQQCSRFHQLPEFDQGKRSCRRRLAGHNERRRKPPPVPLSSRYGRPTSFQEDNSSRYRSFLMDFTSYPRPPGREVWPTIRADDRSASSNWQSGFSPAAARVPEHNASHQYMQGSISGTLFSNQDVSQVESLTGVDEDSSCALSLLSTQPWCSTPGSQAQTVSANTSFEGTTSLASNYADTTAYGVSSSSVGAIEVQHEMAQFPGELELALQGGRRGLDIVPGKEYEQSGRGHGHGHGMHWPL >DRNTG_15063.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13164473:13165343:-1 gene:DRNTG_15063 transcript:DRNTG_15063.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPALQLGSVWLQQQRLLKDDLNTARTTSNSNIMRDAVSRAMVRYEAYFRARAETVRADPVRFYCEPWVTPLERGTHWIAGWRPSAVIHLLYSESGIRFQAQLEDLLLGIHSGDLGDLSPRQLGRVDEVQRRTIAEEGEIEDELRELQVGLGDLFPVTDADLVEKIRKMEEIIRRADGLRMRTLKDVVDILEPAQAVDILVAAADLEIGMREIGMCWERSR >DRNTG_15063.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13164459:13165343:-1 gene:DRNTG_15063 transcript:DRNTG_15063.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPALQLGSVWLQQQRLLKDDLNTARTTSNSNIMRDAVSRAMVRYEAYFRARAETVRADPVRFYCEPWVTPLERGTHWIAGWRPSAVIHLLYSESGIRFQAQLEDLLLGIHSGDLGDLSPRQLGRVDEVQRRTIAEEGEIEDELRELQVGLGDLFPVTDADLVEKIRKMEEIIRRADGLRMRTLKDVVDILEPAQAVDILVAAADLEIGMREIGMCWERSR >DRNTG_15063.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13164473:13165431:-1 gene:DRNTG_15063 transcript:DRNTG_15063.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPALQLGSVWLQQQRLLKDDLNTARTTSNSNIMRDAVSRAMVRYEAYFRARAETVRADPVRFYCEPWVTPLERGTHWIAGWRPSAVIHLLYSESGIRFQAQLEDLLLGIHSGDLGDLSPRQLGRVDEVQRRTIAEEGEIEDELRELQVGLGDLFPVTDADLVEKIRKMEEIIRRADGLRMRTLKDVVDILEPAQAVDILVAAADLEIGMREIGMCWERSR >DRNTG_15063.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13164459:13165431:-1 gene:DRNTG_15063 transcript:DRNTG_15063.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALQLGSVWLQQQRLLKDDLNTARTTSNSNIMRDAVSRAMVRYEAYFRARAETVRADPVRFYCEPWVTPLERGTHWIAGWRPSAVIHLLYSESGIRFQAQLEDLLLGIHSGDLGDLSPRQLGRVDEVQRRTIAEEGEIEDELRELQVGLGDLFPVTDADLVEKIRKMEEIIRRADGLRMRTLKDVVDILEPAQAVDILVAAADLEIGMREIGMCWERSR >DRNTG_15063.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13164473:13165598:-1 gene:DRNTG_15063 transcript:DRNTG_15063.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALQLGSVWLQQQRLLKDDLNTARTTSNSNIMRDAVSRAMVRYEAYFRARAETVRADPVRFYCEPWVTPLERGTHWIAGWRPSAVIHLLYSESGIRFQAQLEDLLLGIHSGDLGDLSPRQLGRVDEVQRRTIAEEGEIEDELRELQVGLGDLFPVTDADLVEKIRKMEEIIRRADGLRMRTLKDVVDILEPAQAVDILVAAADLEIGMREIGMCWERSR >DRNTG_03679.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000170.1:23452:34914:-1 gene:DRNTG_03679 transcript:DRNTG_03679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARRCHRKHSKAIGDARKPKELDEPSLGSECVNMFRNFLQSLQVFLRHPVKMYGRVEFPHARVFVLRAHPEKAQGRAAAPVDDRANITHPWMVLSSWELKLCHGHGQSALALDCVSFTTRWSITPKDLAPSNATLGCGNSALRVYRLRPWSRSIPALFKADSAPLLVIGRAFFGIDPARCILGRKKDPLLRVEDSPQDYRHDQRGGMATKSKKAVAKRPQKPAPEPEVMEFTLPAHQARFERLEKLKFGQTRIPDVGLLRKVQLADDMADEVEKVLLVGNWHKLLNIHDPAIRTLTLEFSTRLGLYDEEYTETEEYENLPIDITGLSPIEAYPLLCGKGSYEPGVSKASCLSRPSYRYLHAIISSGVFEAARTISSIGCHLLRSIYYQTHCGDGFVRQNQRNRESDNTSTTWVRDNEAHVVDPKIFKWCLCA >DRNTG_00978.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20861795:20865847:-1 gene:DRNTG_00978 transcript:DRNTG_00978.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKISENMEKSVVPVAGSQNEDFNFAVGQQFPDVKTFRRTIKEAAIAQHFELKIIKSDLIRYFARCAKEDCPWRIRAVKLPDSPTFTIRSIEGTHTCGKDAQLGHHQASVDWIVNLIEERLRDNVNYKPKDILQDIQKQYGITIPYKQAWRAKERGLAAIYGSSEEGYGLLPAYCEQIKQSNPGSVAQVFTTGLDHRFQRLFVSFNASIHGFLNGCLPIISIGGFELKSKYLGTLMSVTSFDADGGLFPVAFGIVDVESDENWMWFLSEFHKLLEGSTERMPQLTILTDGRKNIADAVKRKFSTAHHGVCVRHLAESIGKEFKNTRLTHLLWKAAYSITTGGFRERMAEIEEVCVDAARWIEQFPPSQWALVYFEGSRFGHLSSNIDEFNRWILDAWELPIIQVIERIHNKLMAEFDERREKSMAWTMILAPSADKLFSEAAHCAGVYQVLRSDDVEFEVLSVDHSNIVNIGTRSCSCRNWQLHGIPCSHAIAALLSCRKNVYEYTEKHFTVTNYREAYSHVLHPIPDKSEWNKMNEGATEDETRIVRPPKFRRPPGRPEKKRNCLEESNREKHTVHCSRCNQTGHYKRTCKAEIVQRIEL >DRNTG_00978.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20861795:20865892:-1 gene:DRNTG_00978 transcript:DRNTG_00978.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKISENMEKSVVPVAGSQNEDFNFAVGQQFPDVKTFRRTIKEAAIAQHFELKIIKSDLIRYFARCAKEDCPWRIRAVKLPDSPTFTIRSIEGTHTCGKDAQLGHHQASVDWIVNLIEERLRDNVNYKPKDILQDIQKQYGITIPYKQAWRAKERGLAAIYGSSEEGYGLLPAYCEQIKQSNPGSVAQVFTTGLDHRFQRLFVSFNASIHGFLNGCLPIISIGGFELKSKYLGTLMSVTSFDADGGLFPVAFGIVDVESDENWMWFLSEFHKLLEGSTERMPQLTILTDGRKNIADAVKRKFSTAHHGVCVRHLAESIGKEFKNTRLTHLLWKAAYSITTGGFRERMAEIEEVCVDAARWIEQFPPSQWALVYFEGSRFGHLSSNIDEFNRWILDAWELPIIQVIERIHNKLMAEFDERREKSMAWTMILAPSADKLFSEAAHCAGVYQVLRSDDVEFEVLSVDHSNIVNIGTRSCSCRNWQLHGIPCSHAIAALLSCRKNVYEYTEKHFTVTNYREAYSHVLHPIPDKSEWNKMNEGATEDETRIVRPPKFRRPPGRPEKKRNCLEESNREKHTVHCSRCNQTGHYKRTCKAEIVQRIEL >DRNTG_00978.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20862001:20865847:-1 gene:DRNTG_00978 transcript:DRNTG_00978.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKISENMEKSVVPVAGSQNEDFNFAVGQQFPDVKTFRRTIKEAAIAQHFELKIIKSDLIRYFARCAKEDCPWRIRAVKLPDSPTFTIRSIEGTHTCGKDAQLGHHQASVDWIVNLIEERLRDNVNYKPKDILQDIQKQYGITIPYKQAWRAKERGLAAIYGSSEEGYGLLPAYCEQIKQSNPGSVAQVFTTGLDHRFQRLFVSFNASIHGFLNGCLPIISIGGFELKSKYLGTLMSVTSFDADGGLFPVAFGIVDVESDENWMWFLSEFHKLLEGSTERMPQLTILTDGRKNIADAVKRKFSTAHHGVCVRHLAESIGKEFKNTRLTHLLWKAAYSITTGGFRERMAEIEEVCVDAARWIEQFPPSQWALVYFEGSRFGHLSSNIDEFNRWILDAWELPIIQVIERIHNKLMAEFDERREKSMAWTMILAPSADKLFSEAAHCAGVYQVLRSDDVEFEVLSVDHSNIVNIGTRSCSCRNWQLHGIPCSHAIAALLSCRKNVYEYTEKHFTVTNYREAYSHVLHPIPDKSEWNKMNEGATEDETRIVRPPKFRRPPGRPEKKRNCLEESNREKHTVHCSRCNQTGHYKRTCKAEIVQRIEL >DRNTG_00978.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20862001:20865892:-1 gene:DRNTG_00978 transcript:DRNTG_00978.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKISENMEKSVVPVAGSQNEDFNFAVGQQFPDVKTFRRTIKEAAIAQHFELKIIKSDLIRYFARCAKEDCPWRIRAVKLPDSPTFTIRSIEGTHTCGKDAQLGHHQASVDWIVNLIEERLRDNVNYKPKDILQDIQKQYGITIPYKQAWRAKERGLAAIYGSSEEGYGLLPAYCEQIKQSNPGSVAQVFTTGLDHRFQRLFVSFNASIHGFLNGCLPIISIGGFELKSKYLGTLMSVTSFDADGGLFPVAFGIVDVESDENWMWFLSEFHKLLEGSTERMPQLTILTDGRKNIADAVKRKFSTAHHGVCVRHLAESIGKEFKNTRLTHLLWKAAYSITTGGFRERMAEIEEVCVDAARWIEQFPPSQWALVYFEGSRFGHLSSNIDEFNRWILDAWELPIIQVIERIHNKLMAEFDERREKSMAWTMILAPSADKLFSEAAHCAGVYQVLRSDDVEFEVLSVDHSNIVNIGTRSCSCRNWQLHGIPCSHAIAALLSCRKNVYEYTEKHFTVTNYREAYSHVLHPIPDKSEWNKMNEGATEDETRIVRPPKFRRPPGRPEKKRNCLEESNREKHTVHCSRCNQTGHYKRTCKAEIVQRIEL >DRNTG_00978.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20861326:20865847:-1 gene:DRNTG_00978 transcript:DRNTG_00978.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISENMEKSVVPVAGSQNEDFNFAVGQQFPDVKTFRRTIKEAAIAQHFELKIIKSDLIRYFARCAKEDCPWRIRAVKLPDSPTFTIRSIEGTHTCGKDAQLGHHQASVDWIVNLIEERLRDNVNYKPKDILQDIQKQYGITIPYKQAWRAKERGLAAIYGSSEEGYGLLPAYCEQIKQSNPGSVAQVFTTGLDHRFQRLFVSFNASIHGFLNGCLPIISIGGFELKSKYLGTLMSVTSFDADGGLFPVAFGIVDVESDENWMWFLSEFHKLLEGSTERMPQLTILTDGRKNIADAVKRKFSTAHHGVCVRHLAESIGKEFKNTRLTHLLWKAAYSITTGGFRERMAEIEEVCVDAARWIEQFPPSQWALVYFEGSRFGHLSSNIDEFNRWILDAWELPIIQVIERIHNKLMAEFDERREKSMAWTMILAPSADKLFSEAAHCAGVYQVLRSDDVEFEVLSVDHSNIVNIGTRSCSCRNWQLHGIPCSHAIAALLSCRKNVYEYTEKHFTVTNYREAYSHVLHPIPDKSEWNKMNEGATEDETRIVRPPKFRRPPGRPEKKRNCLEESNREKHTVHCSRCNQTGHYKRTCKAEIVQRIEL >DRNTG_18652.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28968918:28981291:-1 gene:DRNTG_18652 transcript:DRNTG_18652.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:Projected from Arabidopsis thaliana (AT5G34850) UniProtKB/TrEMBL;Acc:A0A178UD94] MKYMSKVSGLWSLYFQFLFLTLILLCSLNSVLSRKTSSFARSEYPSVDIPLDNEVFAVPKGYNAPQQVHITQGDYDGKAVIISWVTTEQPGSSKVFYGDVEGTYDRSAEGKTTNYTYYKYQSGYIHHCVIDGLEYEKKYYYKIGTGDSAREFWFQTPPKIDPDTPYIFGIIGDLGQTYNSLSTFEHYLQSGAQSVLYLGDLSYADRYEHFDGVRWDSWGRFIERSAAYQPWIWSAGNHEIEYAPHLGEFITFKQFLHRYTTPSHCFQKQLSALVCDQAGICSYHCALKLFTIR >DRNTG_26274.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15586394:15594927:-1 gene:DRNTG_26274 transcript:DRNTG_26274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWKVLYSPSKDLLVRNCYSDLLQRIATVPAMVST >DRNTG_00258.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5196539:5198218:1 gene:DRNTG_00258 transcript:DRNTG_00258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARCGQRVGLQYENWRKVPKTLKEELLKFVELQFILKSSREFVLKSLGKKWRDYKHDLKKCYFKTEAGPQANKDNYLDGRVRWQWEELVDFWYSRKGEEAKKIGLACRKQQKYTHMSGLKSFARKKKEMEQANELLGEYEGTNDDAQMVEAEILTQVIRKERHGRVKRLGLGRTPKTYYGSSSSRVSTCACKETRQGDGQFNQNLVQRVQ >DRNTG_12143.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11685376:11706923:-1 gene:DRNTG_12143 transcript:DRNTG_12143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISQDLIIGVNHYGEHFWSQVEEKYENNNNSNWVSCNAKSLKKRVQVIEKDCRKLNACIRQIDNLNPSGASEQDIVSHPLPHRLHPPLIFIPGTSSSPSDPGTRLPSLPHCARTLPSVPQSTRGFRLSHHGGGVAEEGADITGFVFMVLIRKGNLAAVVCAALSFFVLLIRRQGGGEDDNEKGFNMIR >DRNTG_31306.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3914965:3918538:1 gene:DRNTG_31306 transcript:DRNTG_31306.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTEQNRGVNYRTLEELFKISGERKETISYSISVSVLEVYNEQIRDLLATSPSSKKLEVRQAAEGFHHVPGIVEAKVENIKEVWDVLQAGSNARAVGSNNVNEHSSRSHCMLCIMVRAKNLMSGECTRSKLWLVDLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISALATKSSHVPYRNSKLTHLLQDSLGGDSKALMFVQISPSENDLGETLSSLNFASRVRGIELGPAKKQIDTAELQKIKQMLEKVRQECRIKDESIQKLEDNCQNLENKIRGKDQLWRNLQEKNKELEGQLVSKGELQNFSERQQWQLSEKLKGKEEMCMTLHQKVKELESKMKEQQHSDSLILQQKVKELENKIKEQLRSESMAEQKFRELEHKLKEKLRTEMNLEQKVVELECKLREREQLDSMMLLSNDTVDVSTRIKTPNEIKAASLSRDSLTSEVDAQILRCSDTTNSSSIGQGSSSLLLRGTESLHEIKRKRELRSGTMGESENRISLPTAMERKMATVSDMNKSRKIDPAKAFGRITRTSKVGTTTTQRPFSNSRIGKEQEKTRVWSR >DRNTG_31306.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3912739:3918538:1 gene:DRNTG_31306 transcript:DRNTG_31306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTEQNRGVNYRTLEELFKISGERKETISYSISVSVLEVYNEQIRDLLATSPSSKKLEVRQAAEGFHHVPGIVEAKVENIKEVWDVLQAGSNARAVGSNNVNEHSSRSHCMLCIMVRAKNLMSGECTRSKLWLVDLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISALATKSSHVPYRNSKLTHLLQDSLGGDSKALMFVQISPSENDLGETLSSLNFASRVRGIELGPAKKQIDTAELQKIKQMLEKVRQECRIKDESIQKLEDNCQNLENKIRGKDQLWRNLQEKNKELEGQLVSKGELQNFSERQQWQLSEKLKGKEEMCMTLHQKVKELESKMKEQQHSDSLILQQKVKELENKIKEQLRSESMAEQKFRELEHKLKEKLRTEMNLEQKVVELECKLREREQLDSMMLLSNDTVDVSTRIKTPNEIKAASLSRDSLTSEVDAQILRCSDTTNSSSIGQGSSSLLLRGTESLHEIKRKRELRSGTMGESENRISLPTAMERKMATVSDMNKSRKIDPAKAFGRITRTSKVGTTTTQRPFSNSRIGKEQEKTRVWSR >DRNTG_31306.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3918000:3918538:1 gene:DRNTG_31306 transcript:DRNTG_31306.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLSNDTVDVSTRIKTPNEIKAASLSRDSLTSEVDAQILRCSDTTNSSSIGQGSSSLLLRGTESLHEIKRKRELRSGTMGESENRISLPTAMERKMATVSDMNKSRKIDPAKAFGRITRTSKVGTTTTQRPFSNSRIGKEQEKTRVWSR >DRNTG_31306.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3917330:3918538:1 gene:DRNTG_31306 transcript:DRNTG_31306.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCMTLHQKVKELESKMKEQQHSDSLILQQKVKELENKIKEQLRSESMAEQKFRELEHKLKEKLRTEMNLEQKVVELECKLREREQLDSMMLLSNDTVDVSTRIKTPNEIKAASLSRDSLTSEVDAQILRCSDTTNSSSIGQGSSSLLLRGTESLHEIKRKRELRSGTMGESENRISLPTAMERKMATVSDMNKSRKIDPAKAFGRITRTSKVGTTTTQRPFSNSRIGKEQEKTRVWSR >DRNTG_31306.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3917858:3918538:1 gene:DRNTG_31306 transcript:DRNTG_31306.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEQKVVELECKLREREQLDSMMLLSNDTVDVSTRIKTPNEIKAASLSRDSLTSEVDAQILRCSDTTNSSSIGQGSSSLLLRGTESLHEIKRKRELRSGTMGESENRISLPTAMERKMATVSDMNKSRKIDPAKAFGRITRTSKVGTTTTQRPFSNSRIGKEQEKTRVWSR >DRNTG_21305.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27421241:27422985:-1 gene:DRNTG_21305 transcript:DRNTG_21305.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQMVPPETFFFFFSFLFLSFHACFPITPETLHTSFTQCFQNHSHHPSIFNLIIPSNTTAYTNFFHSSIKNTRLVMTNTTHTTFKPLFIISPTLKSHVQASVVCAARHGLRLRTMSGGHDYEGLSYISHHDHPFIILNLSKLRSIHIAGDIAWVQAGATLGELYHEIAKKNKSMGFPAGLCPTVGVGGHFSGGGFGTLVRKYGLAADNIIDAHLVDVNGRVLNRETMGEDLFWAIRGGGGASFGVILAYKIKLVEVPPKVTVFTIHRTLSQGATKLVNKWEHIAYELNERLFIRVLFLVKDNIENKGRNNKTIEVLFNSLFLGSREELLPIMEDSFPELGLEANDCKEMRWIKSVLYMAWYPKGTPVSTLLDRNPPRLKNSLKGKSDFVTEHLNEKVWERIWKKVLGWR >DRNTG_11085.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30777975:30780203:-1 gene:DRNTG_11085 transcript:DRNTG_11085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGVEVVEGKVDWKGRPAKKRVHGGTRSTFLILGLVVFDNFAVLGLSVNFVTYFTTVMHWDIATASSAVTSFMGTGYMLAVIGAFFADGFIGRSMISIISCWFYFMGLVLLTVQAHFPSLKPEPCNIYLQKCEEVKGGNAAFLYTSMYLVAAGMAGLKAGLPPHGADQFDENDPQEASHMSSFFNWLLLSICIGGSFSLTFAVWIQDNVGWDWGFAIACISIFLGTIIFVAGYPVYRFHVIQKNNPITEIIQVYVASIRNRNLQLPENPKDLYEIDEQDKESATHSEVEFQPHRDMYRCLDKAAIRTEQSPSPWKLCRVTQVEHAKTILAMLPIFASSILMSTCLAQLQTFSVQQGATMDTHIGSSFKIPAASLPIIPVAFLVIIVPVYDQLIVPFIRKFTGHPTGITHLQRIGVGLVLAFISMVVGALVEVKRKNVAKSHAMLDAVPIQQPLPISCFWLGFQFFIFGIADMFTYVGLLEFFYSEAPKQLKSIASSFLWCSLALGYFLNTILVELVNAVTKKFTRSGGWLAGNNLNRNHLNLFYWLLAFLCFFNFFNYLFWASRYKYKQETQPVFK >DRNTG_17902.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17214832:17218457:-1 gene:DRNTG_17902 transcript:DRNTG_17902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQEFNRLLDLFPVVRTRNYRAGSESVPESTSHSSQTEVTDFKDARNGTDNDSLGETPGGDAFWQKLRSAATAKVGPEKAERFCKAFQRVQTELVHKGLSPDAAQRFINRGN >DRNTG_20102.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:311882:316346:1 gene:DRNTG_20102 transcript:DRNTG_20102.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGDGGQKRHLVPLICGGAVVLVFLFLYCGSFFGSGGQHANTALEYGSRFSKSLGWSSDENAEDGKSDESIFGSEGGADSALPKSFPVCDDRHSELIPCLDRNLIYQTRLKLDLSLMEHYERHCPQPERRYNCLIPPPAGYKIPIKWPKSRDEVWQANIPHTHLAHEKSDQNWMVVKGDKIEFPGGGTHFHYGADKYIASIANMLNFSNNILNNEGRLRTVLDVGCGVASFGGYLLSSDIIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFEFAHCSRCRIDWLQRGGILLLELDRVLRPGGYFAYSSPEAYAQDEEDLRIWKEMSALVERMCWKIAAKRNQTVIWVKPLTNDCYMDREPGTHPPLCKTDDDPDAVWGVRMEACITRYSEQMHRDKGTGLAPWPERLTTPPPRLAELDISTDTFEKDMEAWQKRVESYWKLLSSKIGPNTLRNIMDMKANLGSFAAVLKDKDVWVMNVVPEDGPNTLKVIYDRGLIGTVHDWCEAFSTYPRTYDLLHAGTVFSGIEKKGCSAEDLLLEMDRILRPNGFIIIRDKRIVVEFIKKRLTALRWESVAVVDPEPGSDSEDGEMVFVIQKQMWLLDNTA >DRNTG_22187.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1523073:1532605:-1 gene:DRNTG_22187 transcript:DRNTG_22187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIVFRRMEADSSAAPVQPIVVADLMDPGTAPAPPDANMTQFVQSFISKIIGDIDGVLNPTTPAAKSGAHDGAFETTAVEHTNPADLLDSTDKDMLDAKYWEISMYKTALEGRKDELGADGMMEREDDSEVQIGNKLRRDAFLVFRALCKLSMKTPPKEAATDPTLMRGKILALELLKILLENAGAVFRTSERFLGAIKQYLCLSLLKNSASTHMIVFQLSCSIFMSLVSRFRPGLKAEIGVFFPMIVLRVLENVAQPNFQQKMIVLRFLEKLCVDSQILVDIFINYDCDVHSSNIFERMVNGLLKTAQGPTPGTVTTLVPPQDVTMKHEAMKCLVAILRSMGDWMNKQLRIPDPHSPGSPESAESTSDGGNDPPMASGDGEEATEGTSSHSDSASEVSDAASFEQRRAYKLELQEGISLFNRKPKKGIEFLINAKKVGDSPEEISSFLRSASGLNKTLIGDYLGEREELPLKVMHAYADSFDFQGMEFDEAIRTFLQGFRLPGEAQKIDRIMEKFAERFCKCNPKAFMSADTAYVLAYSVILLNTDAHNPMVKNKMSADDFIRNNRGIDDGKDLPEEYLRSLFERISRNEIKMKEDDLASQQTQPLNSNKMLGLDNILNVVVRKRGDKSMETSDDLIRHMQEQFKEKARKSESVYYAATDVVILKFMIEVCWAPMLAAFSVPLDQSEDETVISLCLEGFRCAIHVTAVMTMKTQRDAFVTSLAKFTSLHSAADMKQKNIDAIKTIVSIAEDDGNYLQEAWEHVLTCVSRFEHLHLLGEGAPPDATFFAIQQNELDKSRQAKSSILPVLKKKGPGRMQNVAPTARRGSYDSAGVGGHASGVVTSEQMSNLVSNLNLLEQVGIADMNRIFMRSENLNSEAIVDFVNALCKVSMEELRSASNPRVFSLTKIVEIAHFNMNRIRLVWSKIWHVLAEFFVTIGCSENLSIAIFAMDSLRQLAMKFLEREELANYNFQNEFMKPFVIVMRKSRAVEIRELIIRCVSQMVLARVNHVKSGWKSMFMVFTTASSDEHKNIVLLAFEIIEKIVRDYFPYITETETTTFTDCVNCLVAFTNSRFNKDISLSAIGFLRLCAVKLAEGDLGSSPKLKGNEGAEVEKDGKIDGQRPIDKDDHLHFWFPLLAGLSELTFDLRPEIRKTALQVLFDMLRNHGHLFSLALWEKVFDSVLFPIFDYVRHAIDPSGRNLQGQEIEDDSSEQDQDAWLYETCTLALQLVVDLFVKFYDTVNPLLKKVLMLLTSFIKRPHQSLAGIGIAAFVRLMGNAGNLFFEEKWLEVVLSLEEAAAATLPDFSLVSSDVYLENLARDSISESNEEPQKSTDDDDVEGRRTRILFAAINDAKCRAAVQLLLIQAVLEIYGMYRAQLSARNRVVLFKALHVVAAHAHKINSDSELRSKLQELGPMTQMQDPPLLRLENESYQICLTLLQNIIFDRPTNDGDIDIEAHLIALCSEVLQVYLKTAKPGQLLRISSAGQQKPQWLIPIGSSKRRELAARAPLIVSTIHAICDLEGSSFQNNLSHFFPLFAGLITCEHGSNEVQLALSDMLDSWVGPVLLKSCC >DRNTG_20639.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20484529:20496546:1 gene:DRNTG_20639 transcript:DRNTG_20639.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNVKEDMLWAGVDLNIVTWSSLISAFTNAGDVDRALQMFEKMIRAGCAPNAQCCNILLNAFVESCQYDRAFRLFYTWKESGFKVFQNMKKREHDNIHDSSLLDQLTGDGDTQSICLYDSKPLGNMVVVPFRPTVATFNILMKACGSDHYRAKSLMDEMKIMDLSPNHISWSILINIYGTSQDIWGAIQAFKTMHRFGIKPDVIAYTTAIKACVENENPKMAFILFEEMKRHKIQPNLVTYNTLLRALTRYGSLHDVQQCLAMYQDMRKAGYNSIDYHLEELLEEWCEGVLSGGNQNKILAGAGRSHTMKKPYSLLIEKVATHLQKDVGDNQAIDIRGLTKVEARIIVLSVLRMIKERYQSGKPIEDDILIISGIGKYANDAANHESDVQHAIIKVLQDELGLEVNTGHGTGPVGNSKDQKYQARRPQDIGLLKVTKESLCHWLQRKNRQ >DRNTG_20639.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20484529:20496546:1 gene:DRNTG_20639 transcript:DRNTG_20639.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALNVKEDMLWAGVDLNIVTWSSLISAFTNAGDVDRALQMFEKMIRAGCAPNAQCCNILLNAFVESCQYDRAFRLFYTWKESGFKVFQNMKKREHDNIHDSSLLDQLTGDGDTQSICLYDSKPLGNMVVVPFRPTVATFNILMKACGSDHYRAKSLMDEMKIMDLSPNHISWSILINIYGTSQDIWGAIQAFKTMHRFGIKPDVIAYTTAIKACVENENPKMAFILFEEMKRHKIQPNLVTYNTLLRALTRYGSLHDVQQCLAMYQDMRKAGYNSIDYHLEELLEEWCEGVLSGGNQNKILAGAGRSHTMKKPYSLLIEKVATHLQKDVGDNQAIDIRGLTKVEARIIVLSVLRMIKERYQSGKPIEDDILIISGIGKYANDAANHESDVQHAIIKVLQDELGLEVNTGHGTGPVGNSKDQKYQARRPQDIGLLKVTKESLCHWLQRKNRQ >DRNTG_20639.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20484529:20496546:1 gene:DRNTG_20639 transcript:DRNTG_20639.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLQSMGVFKLDVITYSTMIKVFADAKLSQMALNVKEDMLWAGVDLNIVTWSSLISAFTNAGDVDRALQMFEKMIRAGCAPNAQCCNILLNAFVESCQYDRAFRLFYTWKESGFKVFQNMKKREHDNIHDSSLLDQLTGDGDTQSICLYDSKPLGNMVVVPFRPTVATFNILMKACGSDHYRAKSLMDEMKIMDLSPNHISWSILINIYGTSQDIWGAIQAFKTMHRFGIKPDVIAYTTAIKACVENENPKMAFILFEEMKRHKIQPNLVTYNTLLRALTRYGSLHDVQQCLAMYQDMRKAGYNSIDYHLEELLEEWCEGVLSGGNQNKILAGAGRSHTMKKPYSLLIEKVATHLQKDVGDNQAIDIRGLTKVEARIIVLSVLRMIKERYQSGKPIEDDILIISGIGKYANDAANHESDVQHAIIKVLQDELGLEVNTGHGTGPVGNSKDQKYQARRPQDIGLLKVTKESLCHWLQRKNRQ >DRNTG_00285.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1995252:1995969:1 gene:DRNTG_00285 transcript:DRNTG_00285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSVIVGFFFITLVTIFSLFIQFQVAHGGIIDGNGGRRLGDRTSFTPESPVRGVGNQPCIDCNDEPLDKRKV >DRNTG_05204.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22695712:22698325:1 gene:DRNTG_05204 transcript:DRNTG_05204.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNHLTGTIPPELGDLSLLEILDLSSNNLRGEIPIRLEDCIKLNSLMLSHNQLSGVIPFQLGNLNLHDILDLSHNLFIGEMPQQLSKLMELRELNLSHNKLVGPIPSSFQSMTSLISLDLSYNYLEGLVPESHFFQAAPLQWFIHNKGLCGQVHGLPPCHQSWLASKGDEEKQHKIIILIVPLVCGILLILFLIIGICALFYCKKKRSIVNDTSEEFDGHFFSIWRVSHGKEAYKEIIRATENFDEKYQIGTGACSIVYKATLSSGLTLAIKKIQEEKEEVQMNEEAFRNEIQALIEIRHRNIGAMELDWIKRVSIVRDIAQALSYLHHDCNPSIVHRDITSNNILLDEEYKACVADFGISRLLKPNSSHWSLLAGTYGYMAPELAYVMRVTEKCDVYSFGVVAFEIIHGTHPGDLLSNLSLSMLVKDMLDSRLPLHITDQVTTNQVLLVILIAMQCINIVPEARPTMQQVSQRLSSPKSLLACDNYSFQALTLGHLINIVQVHIDDRAQE >DRNTG_05204.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22695712:22699724:1 gene:DRNTG_05204 transcript:DRNTG_05204.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNHLTGTIPPELGDLSLLEILDLSSNNLRGEIPIRLEDCIKLNSLMLSHNQLSGVIPFQLGNLNLHDILDLSHNLFIGEMPQQLSKLMELRELNLSHNKLVGPIPSSFQSMTSLISLDLSYNYLEGLVPESHFFQAAPLQWFIHNKGLCGQVHGLPPCHQSWLASKGDEEKQHKIIILIVPLVCGILLILFLIIGICALFYCKKKRSIVNDTSEEFDGHFFSIWRVSHGKEAYKEIIRATENFDEKYQIGTGACSIVYKATLSSGLTLAIKKIQEEKEEVQMNEEAFRNEIQALIEIRHRNIGAMELDWIKRVSIVRDIAQALSYLHHDCNPSIVHRDITSNNILLDEEYKACVADFGISRLLKPNSSHWSLLAGTYGYMAPELAYVMRVTEKCDVYSFGVVAFEIIHGTHPGDLLSNLSLSMLVKDMLDSRLPLHITDQVTTNQVLLVILIAMQCINIVPEARPTMQQVSQRLSSPKSLLACDNYSFQALTLGHLINIVQVHIDDRAQE >DRNTG_06895.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14015413:14016078:-1 gene:DRNTG_06895 transcript:DRNTG_06895.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKLLPLFLLPLILPISYAATFEIVNQCQDTVWAAAIPGGGQQLNRGQSWTITVNAGTTGGRIWARTGCNFDSSGQGNCETGDCNGLLQCQGYGQPPNTLAEFALNQFENLDFIDISLVDGFNVPMDFSPTSGCARGIQCSADINGQCPAELKAPGGCNNPCTVFKTDEYCCNSGSCGPTYYSKFFKNLCPDAYSYPKDDQTSTFTCPGDTNYKVVFCP >DRNTG_15595.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4034496:4034938:1 gene:DRNTG_15595 transcript:DRNTG_15595.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESSDLEAPACLPGFASPSKMAFPRSSEKLHSHPCH >DRNTG_35362.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18204248:18207094:-1 gene:DRNTG_35362 transcript:DRNTG_35362.9 gene_biotype:protein_coding transcript_biotype:protein_coding MMSERRREKDHSRDRERDRERDRDLDRERVRHRDRDRDRDHRERDRDRDRDRERRKRSRSRSRSPDNYHRRRGRSGTHSPSPDSRRRKRRRDESADDDRERRHRSTSQPEDSKGAKEQKAAEAPAGGEAAAVVPTDADPDEVEMMKIMGIPMGFDSTKGKPVPGADVSGVRVVTKRQPRQYMNRRGGFNRPLPPERNR >DRNTG_35362.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18203602:18207094:-1 gene:DRNTG_35362 transcript:DRNTG_35362.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMSERRREKDHSRDRERDRERDRDLDRERVRHRDRDRDRDHRERDRDRDRDRERRKRSRSRSRSPDNYHRRRGRSGTHSPSPDSRRRKRRRDESADDDRERRHRSTSQPEDSKGAKEQKAAEAPAGGEAAAVVPTDADPDEVEMMKIMGIPMGFDSTKGKPVPGADVSGVRVVTKRQPRQYMNRRGGFNRPLPPERNR >DRNTG_35362.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18203602:18207094:-1 gene:DRNTG_35362 transcript:DRNTG_35362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSERRREKDHSRDRERDRERDRDLDRERVRHRDRDRDRDHRERDRDRDRDRERRKRSRSRSRSPDNYHRRRGRSGTHSPSPDSRRRKRRRDESADDDRERRHRSTSQPEDSKGAKEQKAAEAPAGGEAAAVVPTDADPDEVEMMKIMGIPMGFDSTKGKPVPGADVSGVRVVTKRQPRQYMNRRGGFNRPLPPERNR >DRNTG_35362.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18203602:18207094:-1 gene:DRNTG_35362 transcript:DRNTG_35362.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSERRREKDHSRDRERDRERDRDLDRERVRHRDRDRDRDHRERDRDRDRDRERRKRSRSRSRSPDNYHRRRGRSGTHSPSPDSRRRKRRRDESADDDRERRHRSTSQPEDSKGAKEQKAAEAPAGGEAAAVVPTDADPDEVEMMKIMGIPMGFDSTKGKPVPGADVSGVRVVTKRQPRQYMNRRGGFNRPLPPERNR >DRNTG_35362.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18204248:18207094:-1 gene:DRNTG_35362 transcript:DRNTG_35362.11 gene_biotype:protein_coding transcript_biotype:protein_coding MMSERRREKDHSRDRERDRERDRDLDRERVRHRDRDRDRDHRERDRDRDRDRERRKRSRSRSRSPDNYHRRRGRSGTHSPSPDSRRRKRRRDESADDDRERRHRSTSQPEDSKGAKEQKAAEAPAGGEAAAVVPTDADPDEVEMMKIMGIPMGFDSTKGKPVPGADVSGVRVVTKRQPRQYMNRRGGFNRPLPPERNR >DRNTG_35362.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18203602:18207094:-1 gene:DRNTG_35362 transcript:DRNTG_35362.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMSERRREKDHSRDRERDRERDRDLDRERVRHRDRDRDRDHRERDRDRDRDRERRKRSRSRSRSPDNYHRRRGRSGTHSPSPDSRRRKRRRDESADDDRERRHRSTSQPEDSKGAKEQKAAEAPAGGEAAAVVPTDADPDEVEMMKIMGIPMGFDSTKGKPVPGADVSGVRVVTKRQPRQYMNRRGGFNRPLPPERNR >DRNTG_35362.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18203602:18207094:-1 gene:DRNTG_35362 transcript:DRNTG_35362.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMSERRREKDHSRDRERDRERDRDLDRERVRHRDRDRDRDHRERDRDRDRDRERRKRSRSRSRSPDNYHRRRGRSGTHSPSPDSRRRKRRRDESADDDRERRHRSTSQPEDSKGAKEQKAAEAPAGGEAAAVVPTDADPDEVEMMKIMGIPMGFDSTKGKPVPGADVSGVRVVTKRQPRQYMNRRGGFNRPLPPERNR >DRNTG_35362.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18204248:18207094:-1 gene:DRNTG_35362 transcript:DRNTG_35362.10 gene_biotype:protein_coding transcript_biotype:protein_coding MMSERRREKDHSRDRERDRERDRDLDRERVRHRDRDRDRDHRERDRDRDRDRERRKRSRSRSRSPDNYHRRRGRSGTHSPSPDSRRRKRRRDESADDDRERRHRSTSQPEDSKGAKEQKAAEAPAGGEAAAVVPTDADPDEVEMMKIMGIPMGFDSTKGKPVPGADVSGVRVVTKRQPRQYMNRRGGFNRPLPPERNR >DRNTG_35362.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18204248:18207094:-1 gene:DRNTG_35362 transcript:DRNTG_35362.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMSERRREKDHSRDRERDRERDRDLDRERVRHRDRDRDRDHRERDRDRDRDRERRKRSRSRSRSPDNYHRRRGRSGTHSPSPDSRRRKRRRDESADDDRERRHRSTSQPEDSKGAKEQKAAEAPAGGEAAAVVPTDADPDEVEMMKIMGIPMGFDSTKGKPVPGADVSGVRVVTKRQPRQYMNRRGGFNRPLPPERNR >DRNTG_35362.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18203602:18207094:-1 gene:DRNTG_35362 transcript:DRNTG_35362.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMSERRREKDHSRDRERDRERDRDLDRERVRHRDRDRDRDHRERDRDRDRDRERRKRSRSRSRSPDNYHRRRGRSGTHSPSPDSRRRKRRRDESADDDRERRHRSTSQPEDSKGAKEQKAAEAPAGGEAAAVVPTDADPDEVEMMKIMGIPMGFDSTKGKPVPGADVSGVRVVTKRQPRQYMNRRGGFNRPLPPERNR >DRNTG_35362.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18204248:18207094:-1 gene:DRNTG_35362 transcript:DRNTG_35362.8 gene_biotype:protein_coding transcript_biotype:protein_coding MMSERRREKDHSRDRERDRERDRDLDRERVRHRDRDRDRDHRERDRDRDRDRERRKRSRSRSRSPDNYHRRRGRSGTHSPSPDSRRRKRRRDESADDDRERRHRSTSQPEDSKGAKEQKAAEAPAGGEAAAVVPTDADPDEVEMMKIMGIPMGFDSTKGKPVPGADVSGVRVVTKRQPRQYMNRRGGFNRPLPPERNR >DRNTG_20138.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15975881:15984169:1 gene:DRNTG_20138 transcript:DRNTG_20138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLRVLYSSSKDSLIRRSPLSSWEKDGEENAEIGAESALNRAGVGRLHGPPSVNRSATMTLLRCSIMAPRSKKQADKRPRESSCGSPPEPENMSFAIQEHQEDEFADEVEDLVSAGGWRQLLMIREPAIREFALEVLSSFEFDRSYASFDSLDTIQFRALGHYHSLSITQFSVLLDLYQEAFTDTEKYSQLLTDYP >DRNTG_21832.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6008047:6009918:1 gene:DRNTG_21832 transcript:DRNTG_21832.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTATAGTGRDGHVDDVSQKANDKRWSQGDERGTRSQV >DRNTG_21832.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6008047:6009918:1 gene:DRNTG_21832 transcript:DRNTG_21832.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTATAGTGRDGHVDDVSQKANDKRWSQGDERGTRSQV >DRNTG_21832.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6008047:6009918:1 gene:DRNTG_21832 transcript:DRNTG_21832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTATAGTGRDGHVDDVSQKANDKRWSQGDERGTRSQV >DRNTG_24555.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001308.1:156755:157022:-1 gene:DRNTG_24555 transcript:DRNTG_24555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGESATAKYPLVHDTETPRQKKGSVDCSVYVMRFIEQLLADEKLRLPQTDVPYLRLKYVSRILKEGRAAGITAKGE >DRNTG_02829.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20140817:20146064:1 gene:DRNTG_02829 transcript:DRNTG_02829.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLRRSTSIASSASKQALSALLFSSSESFRTLPSITSQPFSSYLSGASHGVAPAGNGGFWRVPSRDFHFSTGGLGFRATEIAQAEYAVDDYFDDVPLKKGDEGLEIAKLGISSEITSALEKKGIVKLFPIQRAVLEPAMQGRDMIGRAKTGTGKTLAFGIPIMDKIISFNAKHGRGRNPLALVLAPTRELARQVEKEFKESSNLDSICVYGGVPIQSQMRILNYGVDVVVGTPGRIIDLQKRGALNLSEVQFLVLDEADQMLNVGFDEAVEIILDKLPPNRQTMMFSATMPDWIRKLTQKYLKNPLTIDLVGDSDQKLAEGITLYSIASSMQSKASILGPLIKEHAKGGKCIVFTQTKRDADRLAYVMGRSIDCQALHGDISQNQRERTLSGFRDGRFNTLVATDVAARGLDIPNVDLVIHYELPNTSELFVHRSGRTGRAGKKGSAILIYSPEQARAVRGIEGDVGCRFTELPRIAVENAGDDMLSMGGRYDSYGGGRGGGSGFGRGGSSYGRSRSGGFGGSSYGRMNDFGESDSGRSRSFGGPSSRSSGGFGSFGSDRSTGFGSDRSSGFGLFGQGRSGGFGNSKKGNFEDSGFGGFGRADDSQAGGSFRRSS >DRNTG_02829.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20140817:20146064:1 gene:DRNTG_02829 transcript:DRNTG_02829.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLRRSTSIASSASKQALSALLFSSSESFRTLPSITSQPFSSYLSGASHGVAPAGNGGFWRVPSRDFHFSTGGLGFRATEIAQAEYAVDDYFDDVPLKKGDEGLEIAKLGISSEITSALEKKGIVKLFPIQRAVLEPAMQGRDMIGRAKTGTGKTLAFGIPIMDKIISFNAKHGRGRNPLALVLAPTRELARQVEKEFKESSNLDSICVYGGVPIQSQMRILNYGVDVVVGTPGRIIDLQKRGALNLSEVQFLVLDEADQMLNVGFDEAVEIILDKLPPNRQTMMFSATMPDWIRKLTQKYLKNPLTIDLVGDSDQKLAEGITLYSIASSMQSKASILGPLIKEHAKGGKCIVFTQTKRDADRLAYVMGRSIDCQALHGDISQNQRERTLSGFRDGRFNTLVATDVAARGLDIPNVDLVIHYELPNTSELFVHRSGRTGRAGKKGSAILIYSPEQARAVRGIEGDVGCRFTELPRIAVENAGDDMLSMGGRYDSYGGGRGGGSGFGRGGSSYGRSRSGGFGGSSYGRMNDFGESDSGRSRSFGGPSSRSSGGFGSFGSDRSTGFGSDRSSGLGSFGQGLSGGFGNSKKGNFEDSGFGGFGRADDSQAGGSFRRSS >DRNTG_02829.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20140817:20146064:1 gene:DRNTG_02829 transcript:DRNTG_02829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLRRSTSIASSASKQALSALLFSSSESFRTLPSITSQPFSSYLSGASHGVAPAGNGGFWRVPSRDFHFSTGGLGFRATEIAQAEYAVDDYFDDVPLKKGDEGLEIAKLGISSEITSALEKKGIVKLFPIQRAVLEPAMQGRDMIGRAKTGTGKTLAFGIPIMDKIISFNAKHGRGRNPLALVLAPTRELARQVEKEFKESSNLDSICVYGGVPIQSQMRILNYGVDVVVGTPGRIIDLQKRGALNLSEVQFLVLDEADQMLNVGFDEAVEIILDKLPPNRQTMMFSATMPDWIRKLTQKYLKNPLTIDLVGDSDQKLAEGITLYSIASSMQSKASILGPLIKEHAKGGKCIVFTQTKRDADRLAYVMGRSIDCQALHGDISQNQRERTLSGFRDGRFNTLVATDVAARGLDIPNVDLVIHYELPNTSELFVHRSGRTGRAGKKGSAILIYSPEQARAVRGIEGDVGCRFTELPRIAVENAGDDMLSMGGRYDSYGGGRGGGSGFGRGGSSYGRSRSGGFGGSSYGRMNDFGESDSGRSRSFGGPSSRSSGGFGSFGSDRSTGFGSDRSSGFGLFGQGRSGGFGNSNSGSDRSSGLGSFGQGLSGGFGNSKKGNFEDSGFGGFGRADDSQAGGSFRRSS >DRNTG_25637.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21887929:21891533:1 gene:DRNTG_25637 transcript:DRNTG_25637.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSWRWSFRRRGSASSEEKKGSSDDEWIMEEFGVTEQLCEFVSGFNVSTFKDFPLQDRSEEPISATVTSTVRKDLTEWQERHASLVLSKVKEISQLRYTLCPRLLKEQQFWRIYFLLVKTYVTPYEIRALQKAKIKKISSEDNISAKKSGIEVEMIESKNPSGSSLLTQ >DRNTG_25637.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21887929:21891533:1 gene:DRNTG_25637 transcript:DRNTG_25637.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQALSFPKSRMFASFFQEISQLRYTLCPRLLKEQQFWRIYFLLVKTYVTPYEIRALQKAKIKKISSEDNISAKKSGIEVEMIESKNPSGSSLLTQ >DRNTG_13527.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22028194:22030624:-1 gene:DRNTG_13527 transcript:DRNTG_13527.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSNWLERLHRSQGLPISDLDLDAFLSSLPQPNPNPDPVRPHAPPANPGCGKPKVFDLMSGVLAELFVMTGPSGIVAEKPKSSRKQLNPKLCSRPDSASASGARDTPRAAPAASPSSAENSLAGVKKGRPAKPRRKRGPASSDFGAYSRTEVTVIDTSSSPGWKSEKIIFSKGLVWKVRDKKLWNFNRKKRKLGIVDKFGGEKEKAQKEKQSLAELKAKAQKETLASLEEEHVDNRDASKESQDQIPILKKRPKFSRSPRIPAAKDSSIFRIKVLTGRKNGTTCAKNSVKGK >DRNTG_19369.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5832508:5834794:-1 gene:DRNTG_19369 transcript:DRNTG_19369.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKHTTMQRHNFSVETPTNRGKNHGTP >DRNTG_27514.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21052458:21057619:-1 gene:DRNTG_27514 transcript:DRNTG_27514.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKALKELDVSRLNSTMFEEKAQRISELAISLQDEAASTWDEVNSAVSRVQVIINEESVAKEAVQKATMSLSMAEARLQLAIEALDSIRKEAVPVETSKQNDEDEAVALAQEEIKECEICLAYCEADLKKIQAKKMELQKEVDRLSEVAEKAQFDALKAEEEVANIMLLAEQAVAFEIEATQRVNDAEIALQRAEKAISSADIVDQQGPSQNQVISEEFVTKEEFSRGTGSDSIIGRDEDALAGDDLLADDAFGPSAKGYVSSHDILDQENGNINLDLHGEAEAEIDKSVDGFQGKKLEAQQKDLTKDGSVPPNVPKALLKKSSRFFSASFFSFSEDGEEITYVSFFQALVAYLRKQAPKLVLGMMLLGMGTMFLNNKAEKYSQLVPQQDIITGIEEVTSTAKPMLER >DRNTG_27514.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21053974:21057619:-1 gene:DRNTG_27514 transcript:DRNTG_27514.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKALKELDVSRLNSTMFEEKAQRISELAISLQDEAASTWDEVNSAVSRVQVIINEESVAKEAVQKATMSLSMAEARLQLAIEALDSIRKEAVPVETSKQNDEDEAVALAQEEIKECEICLAYCEADLKKIQAKKMELQKEVDRLSEVAEKAQFDALKAEEEVANIMLLAEQAVAFEIEATQRVNDAEIALQRAEKAISSADIVDQQGPSQNQVISEEFVTKEEFSRGTGSDSIIGRDEDALAGDDLLADDAFGPSAKGYVSSHDILDQENGNINLDLHGEAEAEIDKSVDGFQGKKLEAQQKDLTKDGSVPPNVPKALLKKSSRFFSASFFSFSEDGEEITYVSFFQALVAYLRKQAPKLVLGMMLLGMGTMFLNNKAEKYSQLVPQQDIITGIEEVTSTAKPMLER >DRNTG_27514.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21051268:21057619:-1 gene:DRNTG_27514 transcript:DRNTG_27514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKLPFLTCYGYFLPVLYLYLYSRKYLEAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGTAQVLATATVVGLVAHFASGLPGPAAIVIGNGLALSSTAVVLQVLQERGESTSRHGR >DRNTG_09462.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21328466:21331297:1 gene:DRNTG_09462 transcript:DRNTG_09462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLAGFPFPAGWARGQLALGDDVTLVQTHKEPTVRLRRAPSLRSPTLCENPSGQR >DRNTG_14435.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000681.1:19038:19408:1 gene:DRNTG_14435 transcript:DRNTG_14435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFSGLLATSRAARPPPSPRSEHFTGPFNR >DRNTG_33936.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22047722:22063873:-1 gene:DRNTG_33936 transcript:DRNTG_33936.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFFSFFLFLCVCVCVILELLPCWRATMESYYEKMLAVSKKLISLMALSLGLDDLFFEKIGAWHEPLAYVRLLHYPGKTFEGSCGRLGASAHSDFWNCYASIN >DRNTG_33936.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22047722:22063873:-1 gene:DRNTG_33936 transcript:DRNTG_33936.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESYYEKMLAVSKKLISLMALSLGLDDLFFEKIGAWHEPLAYVRLLHYPGKTFEGSCGRLGASAHSDFWNCYASIN >DRNTG_33936.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22047722:22064364:-1 gene:DRNTG_33936 transcript:DRNTG_33936.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPGLQICRDKDRRPQLWEDVPHVHGALIVNVGDLLERWTNCLFRSTLHRVLPTEQERYSVAFFLNGDPDCMVECLENCCSEENPPRFPAIQCVDYLQQRISAIYTGGQR >DRNTG_33936.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22047722:22063873:-1 gene:DRNTG_33936 transcript:DRNTG_33936.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFFSFFLFLCVCVCVILELLPCWRATMESYYEKMLAVSKKLISLMALSLGLDDLFFEKIGAWHEPLAYVRLLHYPGKTFEGSCGRLGASAHSDFWNCYASIN >DRNTG_33936.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22047722:22052418:-1 gene:DRNTG_33936 transcript:DRNTG_33936.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPGLQICRDKDRRPQLWEDVPHVHGALIVNVGDLLERWTNCLFRSTLHRVLPTEQERYSVAFFLNGDPDCMVECLENCCSEENPPRFPAIQCVDYLQQRISAIYTGGQR >DRNTG_33936.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22047722:22049696:-1 gene:DRNTG_33936 transcript:DRNTG_33936.6 gene_biotype:protein_coding transcript_biotype:protein_coding QICRDKDRRPQLWEDVPHVHGALIVNVGDLLERWTNCLFRSTLHRVLPTEQERYSVAFFLNGDPDCMVECLENCCSEENPPRFPAIQCVDYLQQRISAIYTGGQR >DRNTG_05713.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1830632:1831242:-1 gene:DRNTG_05713 transcript:DRNTG_05713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYYNREKPEDYLHSCYEVRTFMDTYRHILNPTRDKDSWPKSDQCPIIPPEPVNNRRGRRTILRRREMDENRGFAKGKVSKKGVKNKCSMCGATGHNKRFHGQDNTNMSARTSL >DRNTG_20037.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13372749:13378045:1 gene:DRNTG_20037 transcript:DRNTG_20037.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMSIKQSDIVRFPLLLLYDIDHSQIPHHLTSRSIGDTEHSWCRLVPGGTGITVFLLRLFCSIPRTLIAVAITDLLNSHPLLHAQLTRTSPNEPFFFSIPPLPDPIIKTISLSPVLTFLSTLDLELNQILGLILLNRTKSRFSLQQSIKTNLILKELMVLVARGGGGGEGQSGNRENIINMGIEDLIPKKDLWKAQGKI >DRNTG_18159.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4007156:4007646:-1 gene:DRNTG_18159 transcript:DRNTG_18159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITFSGIDRAQTNFSYEFPTMPSPPPPLPPPPPPPLSPPPPPPLPPPVPPPSEEEKEYYSEYDFEINNHDDDWINITTILQSFCQSNAFSSSFIL >DRNTG_00982.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20820612:20831872:-1 gene:DRNTG_00982 transcript:DRNTG_00982.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSYDRSLQPNWSIMSMFKKKVTGQCVLAKASSIFIELEDGLATELEKLGAGESWNNLAFDLSVAPDRVIKEHNSVEGKSSSILYEFKVVKYSKYEPLDVGIKWKIPLVWSCPEAPFHARRFLMGSGNERGSIAISLQPTQSRDQFLSDSHKCTVWAVIFQVVPWAGILKFTIIHFKFL >DRNTG_00982.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20826554:20831872:-1 gene:DRNTG_00982 transcript:DRNTG_00982.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSYDRSLQPNWSIMSMFKKKVTGQCVLAKASSIFIELEDGLATELEKLGAGESWNNLAFDLSVAPDRVIKEHNSVEGKSSSILYEFKVVKYSKYEPLDVGIKWKIPLVWSCPEAPFHARRFLMGSGNERGSIAISLQPTQSRDQFLSDSHKCTVWAVIFQVVPWAGILKFTIIHFKFL >DRNTG_00982.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20826554:20831872:-1 gene:DRNTG_00982 transcript:DRNTG_00982.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSYDRSLQPNWSIMSMFKKKVTGQCVLAKASSIFIELEDGLATELEKLGAGESWNNLAFDLSVAPDRVIKEHNSVEGKSSSILYEFKVVKYSKYEPLDVGIKWKIPLVWSCPEAPFHARRFLMGSGNERGSIAISLQPTQSRDQFLSDSHKCTVWAVIFQVVPWAGILKFTIIHFKFL >DRNTG_00982.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20825885:20831872:-1 gene:DRNTG_00982 transcript:DRNTG_00982.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSYDRSLQPNWSIMSMFKKKVTGQCVLAKASSIFIELEDGLATELEKLGAGESWNNLAFDLSVAPDRVIKEHNSVEGKSSSILYEFKVVKYSKYEPLDVGIKWKIPLVWSCPEAPFHARRFLMGSGNERGSIAISLQPTQSRDQFLSDSHKCTVWAVIFQVVPWAGILKFTIIHFKFL >DRNTG_17537.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29032874:29035543:1 gene:DRNTG_17537 transcript:DRNTG_17537.3 gene_biotype:protein_coding transcript_biotype:protein_coding VGQIESLKAYCCIPYCRFYHGIVEAFEPTTKRHKVVYSDGDVEILLLKNERYELIEEGQDELTRSPNGPSKGWRGKKTKCSESVPRAAKKETLLKRWEKSRSVVEGFNSKNGSYIRKLRGKPKVIYPTCYWSVCFFKQIQCKACKQNSRDQFQSM >DRNTG_17537.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29034010:29035543:1 gene:DRNTG_17537 transcript:DRNTG_17537.6 gene_biotype:protein_coding transcript_biotype:protein_coding GQDELTRSPNGPSKGWRGKKTKCSESVPRAAKKETLLKRWEKSRSVVEGFNSKNGSYIRKLRGKPKVIYPTCYWSVCFFKQIQCKACKQNSRDQFQSM >DRNTG_17537.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29034010:29035690:1 gene:DRNTG_17537 transcript:DRNTG_17537.5 gene_biotype:protein_coding transcript_biotype:protein_coding GQDELTRSPNGPSKGWRGKKTKCSESVPRAAKKETLLKRWEKSRSVVEGFNSKNGSYIRKLRGKPKVIYPTCYWSVCFFKQIQCKACKQNSRDQFQSM >DRNTG_17537.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29028192:29035543:1 gene:DRNTG_17537 transcript:DRNTG_17537.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKSKHRSTILERKTRAGIFQGLGSVKFGLMASPGSLLEMKLMEVGLLLLRPPSTVEELLSLLDRTETLLSVVEQSPSSTMTTSLFPTVIALVSKEIFIHQDEDIKLGVASCISEITRITSPEVPYDYDLMKEAFQRIVEAFEKLDDMTSQSYPRRVSILETVAKVRSSVVMLDLECDALILEMFRHFLRTIGSNNSENVFFLMENIMTFVLEECEDIPSEFLSCLLTSLKKDSKVS >DRNTG_17537.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29028192:29035543:1 gene:DRNTG_17537 transcript:DRNTG_17537.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKSKHRSTILERKTRAGIFQGLGSVKFGLMASPGSLLEMKLMEVGLLLLRPPSTVEELLSLLDRTETLLSVVEQSPSSTMTTSLFPTVIALVSKEIFIHQDEDIKLGVASCISEITRITSPEVPYDYDLMKEAFQRIVEAFEKLDDMTSQSYPRRVSILETVAKVRSSVVMLDLECDALILEMFRHFLRTIGSNNSENVFFLMENIMTFVLEECEDIPSEFLSCLLTSLKKDSKNILPTSRRLAEKVIANCGAKLKPYLVDTLNSTGVPLSDYCDIVASICQGKSDDFDQDGLEPSNEHLNFGKENNKDLNETLVGSKIKVWWPEDKLFYHGIVEAFEPTTKRHKVVYSDGDVEILLLKNERYELIEEGQDELTRSPNGPSKGWRGKKTKCSESVPRAAKKETLLKRWEKSRSVVEGFNSKNGSYIRKLRGKPKVIYPTCYWSVCFFKQIQCKACKQNSRDQFQSM >DRNTG_23460.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:734605:736260:1 gene:DRNTG_23460 transcript:DRNTG_23460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHKIGSYSTFPLLEMIVLNLDATPGNVNVWGELASCFLKLVMTMNGDYEDCNSTNERSIKDASHKNIPKAFEERHTRESWKLRCRWWATHHFSRKAYMQDLQAGALKLLASKAACASHLYGPRCEFVVHVLSSLRKEDSIDQITVLSQHILNSMKLAHLLSDAIIL >DRNTG_23460.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:729044:736260:1 gene:DRNTG_23460 transcript:DRNTG_23460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHKIGSYSTFPLLEMIVLNLDATPGNVNVWGELASCFLKLVMTMNGDYEDCNSTNERSIKDASHKNIPKAFEERHTRESWKLRCRWWATHHFSRKAYMQDLQAGALKLLASKAACASHLYGPRCEFVVHVLSSLRKEDSIDQITVLSQHILNSMKLAHLLSDAIIL >DRNTG_23460.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:724317:736260:1 gene:DRNTG_23460 transcript:DRNTG_23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTKMHSWKEASGVVSILLKGTPRGYSLIDDDRSFLVAMELHRQFKSTSHYQTKIRQLFEIRMDRLIWAKKCPYKKPSIQLELALFYISQGNIQEAHNITKSLVQGSSVIDPKVNLIHGLILYQLWYSSLPGEMQIKGFNNQMAFDTHNMPSNGCDETEIFESSNDHYAIYNKNSNTSSRFASESSVGNGKVFPGCWSDAKKKPFQDASPTPLFYMERSEENSVPGVPDTVHRFLNKSIFFAHDKLDASLLPLKLKHSSIICEDFIHSHRRSINEYYEDAVKNLQLALHSTPPLFAALLPLVQILLLGDRVGEAFNELEEFSCDRDIVLVYRIKARLLECFCSNQASLISSCYENVLRRDPTCSYSLERLIKMHKIGSYSTFPLLEMIVLNLDATPGNVNVWGELASCFLKLVMTMNGDYEDCNSTNERSIKDASHKNIPKAFEERHTRESWKLRCRWWATHHFSRKAYMQDLQAGALKLLASKAACASHLYGPRCEFVVHVLSSLRKEDSIDQITVLSQHILNSMKLAHLLSDAIIL >DRNTG_23460.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:725054:736260:1 gene:DRNTG_23460 transcript:DRNTG_23460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQKFLSCFDFIGAVIADSKVTIASYANVSVKIKVEEAEGEEEEYDKGQNCVNSDVQRHDSGNIIAERHSRKILGPFRKRLTRNVRGKPLLSIHRARLSSLLMKLTKMHSWKEASGVVSILLKGTPRGYSLIDDDRSFLVAMELHRQFKSTSHYQTKIRQLFEIRMDRLIWAKKCPYKKPSIQLELALFYISQGNIQEAHNITKSLVQGSSVIDPKVNLIHGLILYQLWYSSLPGEMQIKGFNNQMAFDTHNMPSNGCDETEIFESSNDHYAIYNKNSNTSSRFASESSVGNGKVFPGCWSDAKKKPFQDASPTPLFYMERSEENSVPGVPDTVHRFLNKSIFFAHDKLDASLLPLKLKHSSIICEDFIHSHRRSINEYYEDAVKNLQLALHSTPPLFAALLPLVQILLLGDRVGEAFNELEEFSCDRDIVLVYRIKARLLECFCSNQASLISSCYENVLRRDPTCSYSLERLIKMHKIGSYSTFPLLEMIVLNLDATPGNVNVWGELASCFLKLVMTMNGDYEDCNSTNERSIKDASHKNIPKAFEERHTRESWKLRCRWWATHHFSRKAYMQDLQAGALKLLASKAACASHLYGPRCEFVVHVLSSLRKEDSIDQITVLSQHILNSMKLAHLLSDAIIL >DRNTG_29089.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20939379:20945094:1 gene:DRNTG_29089 transcript:DRNTG_29089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCFATSANQQLRVLSLLTLSGADPFQTLGVDIKKSIVMHFINDGNSDTCCCGRVVRELQPK >DRNTG_17775.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000910.1:69369:72706:-1 gene:DRNTG_17775 transcript:DRNTG_17775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDPLLHLICRRILSALVLRMSSGSSLRVSLSARFVISQWKMNLRCKKSQLCQTSGKILVNGKLDMLLWFCPLLSYEKQYAEEVTMKANEQSSSDRLREASTATPVSKDEVKETKSEPKGSSSKIEQDLDVFLLGDLGSEDDGPDGGDDGFDDDFDKNGKMGLESDEDGEGEKKS >DRNTG_08305.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000375.1:143020:143976:-1 gene:DRNTG_08305 transcript:DRNTG_08305.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIIDSKSYIHRLMGIQTRKRNHRNYQGVHTNDPAEHPKHRKQTKITNPQSIIPIVPEHHQSVKTYTIPEASTRF >DRNTG_31130.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:177582:181718:-1 gene:DRNTG_31130 transcript:DRNTG_31130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAMEVHSPVEDGGNASWVPKVDMEFKSDEDAYKFYNNYAKQIGFSVRKAWINRRISGTIISRTYVCYKEGYQGNRKDETVVTKPRVNERTGCLAHLTIKIVSNGSYRVSEFHPMHNHELVPSTKAYLLKSHRIKKSRTLAGKLVPRKGAVEYLFRGAGVHRQVAFISVEEAASKSWAPKIDMEFENDEKAYEFYNNYAKKIGFGVRKAWVNRKASGIIVSRTYVCYKEGYNGNKKDGNQVKKHQARPTERTGCPARMMIKLTKNGRYHVTLFHSLHNHEFVMSKMLHTLKSCRDAAKSLITAENEKDDWALQPEVIHEVVDGQDEIRKNPSCFLKYENSSHTKRKVNLKLGDAGAIMQYMQERQADDPSFYYAMQVDKEDQITNVFWADSKSIIDFSYFGDVICFDMTYKTNGYSRPFTSFLGINHHRQLIVFGAAFLCDESAESFKWLADTFKTAMYGKQPKVILTDQNLALSEAVASVWPGTTCRVCVWHIYNNAKKYLKQVFQGSRTFASAFSRCVFDCVEEDEFILAWEEMLDKYDLRGNQWLSKLFDDRKEWSLAYSRDTFCADIRSALRKETLICMLKEHLGPEKGLLQFLRHFERVVYERRQAELQADFHATQNTLKIVSSRMLKQASSMYTPPVFEMFQSEFEMSMDCMVHCSGEFETIYEYQVTSDENPKEHVVRFDSLESTLLCSCKKFEFSGIQCRHVLKALDIINIKELPPQYFLKRWTKDARGGALRDPDRLAIEADSSLFKGNRYKSLCRMFNKVAARAAETVETDTFIQFQSDQLMDQVNQILQARPPDEFQYH >DRNTG_31130.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:177582:181718:-1 gene:DRNTG_31130 transcript:DRNTG_31130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAMEVHSPVEDGGNASWVPKVDMEFKSDEDAYKFYNNYAKQIGFSVRKAWINRRISGTIISRTYVCYKEGYQGNRKDETVVTKPRVNERTGCLAHLTIKIVSNGSYRVSEFHPMHNHELVPSTKAYLLKSHRIKKSRTLAGKLVPRKGAVEYLFRGAGVHRQVAFISVEEAASKSWAPKIDMEFENDEKAYEFYNNYAKKIGFGVRKAWVNRKASGIIVSRTYVCYKEGYNGNKKDGNQVKKHQARPTERTGCPARMMIKLTKNGRYHVTLFHSLHNHEFVMSKMLHTLKSCRDAAKSLITAENEKDDWALQPEVIHEVVDGQDEIRKNPSCFLKYENSSHTKRKVNLKLGDAGAIMQYMQERQADDPSFYYAMQVDKEDQITNVFWADSKSIIDFSYFGDVICFDMTYKTNGYSRPFTSFLGINHHRQLIVFGAAFLCDESAESFKWLADTFKTAMYGKQPKVILTDQNLALSEAVASVWPGTTCRVCVWHIYNNAKKYLKQVFQGSRTFASAFSRCVFDCVEEDEFILAWEEMLDKYDLRGNQWLSKLFDDRKEWSLAYSRDTFCADIRSALRKETLICMLKEHLGPEKGLLQFLRHFERVVYERRQAELQADFHATQNTLKIVSSRMLKQASSMYTPPVFEMFQSEFEMSMDCMVHCSGEFETIYEYQVTSDENPKEHVVRFDSLESTLLCSCKKFEFSGIQCRHVLKALDIINIKELPPQYFLKRWTKDARGGALRDPDRLAIEADSSLFKGNRYKSLCRMFNKVAARAAETVETDTFIQFQSDQLMDQVNQILQARPPDEFQYH >DRNTG_31130.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:177582:181718:-1 gene:DRNTG_31130 transcript:DRNTG_31130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAMEVHSPVEDGGNASWVPKVDMEFKSDEDAYKFYNNYAKQIGFSVRKAWINRRISGTIISRTYVCYKEGYQGNRKDETVVTKPRVNERTGCLAHLTIKIVSNGSYRVSEFHPMHNHELVPSTKAYLLKSHRIKKSRTLAGKLVPRKGAVEYLFRGAGVHRQVAFISVEEAASKSWAPKIDMEFENDEKAYEFYNNYAKKIGFGVRKAWVNRKASGIIVSRTYVCYKEGYNGNKKDGNQVKKHQARPTERTGCPARMMIKLTKNGRYHVTLFHSLHNHEFVMSKMLHTLKSCRDAAKSLITAENEKDDWALQPEVIHEVVDGQDEIRKNPSCFLKYENSSHTKRKVNLKLGDAGAIMQYMQERQADDPSFYYAMQVDKEDQITNVFWADSKSIIDFSYFGDVICFDMTYKTNGYSRPFTSFLGINHHRQLIVFGAAFLCDESAESFKWLADTFKTAMYGKQPKVILTDQNLALSEAVASVWPGTTCRVCVWHIYNNAKKYLKQVFQGSRTFASAFSRCVFDCVEEDEFILAWEEMLDKYDLRGNQWLSKLFDDRKEWSLAYSRDTFCADIRSALRKETLICMLKEHLGPEKGLLQFLRHFERVVYERRQAELQADFHATQNTLKIVSSRMLKQASSMYTPPVFEMFQSEFEMSMDCMVHCSGEFETIYEYQVTSDENPKEHVVRFDSLESTLLCSCKKFEFSGIQCRHVLKALDIINIKELPPQYFLKRWTKDARGGALRDPDRLAIEADSSLFKGNRYKSLCRMFNKVAARAAETVETDTFIQFQSDQLMDQVNQILQARPPDEFQYH >DRNTG_19787.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001060.1:115940:119816:-1 gene:DRNTG_19787 transcript:DRNTG_19787.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAATSGIVVKGAPDYVRTCCLASLQRLGVDYIDLYYQHRVDQTIPIEHTVREMKKLVEEGKVKYIGLCEASPDTIRRAHAVHPITALQVEWSLWTRDIEDEILPLCRELGIGLVPYSPLGRGFFAGKAVVESIPSNSFLVHHPRFQGENLVKNKVLYERVANLASKHNCSPPQLALAWLLHQGHDVIPIPGTTKVHNLEDNVGSLNVKLSEEDLKEISDAVCIEDVAGPRTYGHVESHSWKYANTPQID >DRNTG_19787.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001060.1:115940:121184:-1 gene:DRNTG_19787 transcript:DRNTG_19787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAATSGIVVKGAPDYVRTCCLASLQRLGVDYIDLYYQHRVDQTIPIEHTVREMKKLVEEGKVKYIGLCEASPDTIRRAHAVHPITALQVEWSLWTRDIEDEILPLCRELGIGLVPYSPLGRGFFAGKAVVESIPSNSFLVHHPRFQGENLVKNKVLYERVANLASKHNCSPPQLALAWLLHQGHDVIPIPGTTKVHNLEDNVGSLNVKLSEEDLKEISDAVCIEDVAGPRTYGHVESHSWKYANTPQID >DRNTG_14364.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:318510:319669:-1 gene:DRNTG_14364 transcript:DRNTG_14364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMALSYKSHHKQQKTKIQRDTKARMCYKVDCKQCGKVTWGGCGKHVLSVYNGVEKGKHCMCRSWPGVSLPSDTASSKNSTSSSSSAR >DRNTG_14364.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:318394:319507:-1 gene:DRNTG_14364 transcript:DRNTG_14364.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCYKVDCKQCGKVTWGGCGKHVLSVYNGVEKGKHCMCRSWPGVSLPSDTASSKNSTSSSSSAR >DRNTG_14364.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:318558:319669:-1 gene:DRNTG_14364 transcript:DRNTG_14364.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMALSYKSHHKQQKTKIQRDTKARMCYKVDCKQCGKVTWGGCGKHVLSVYNGVEKGKHCMCRSWPGVSLPSDTASSKNSTSSSSSAR >DRNTG_14364.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:318510:319527:-1 gene:DRNTG_14364 transcript:DRNTG_14364.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCYKVDCKQCGKVTWGGCGKHVLSVYNGVEKGKHCMCRSWPGVSLPSDTASSKNSTSSSSSAR >DRNTG_14364.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:318394:319527:-1 gene:DRNTG_14364 transcript:DRNTG_14364.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCYKVDCKQCGKVTWGGCGKHVLSVYNGVEKGKHCMCRSWPGVSLPSDTASSKNSTSSSSSAR >DRNTG_14364.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:318558:319527:-1 gene:DRNTG_14364 transcript:DRNTG_14364.7 gene_biotype:protein_coding transcript_biotype:protein_coding MCYKVDCKQCGKVTWGGCGKHVLSVYNGVEKGKHCMCRSWPGVSLPSDTASSKNSTSSSSSAR >DRNTG_14364.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:318510:319507:-1 gene:DRNTG_14364 transcript:DRNTG_14364.6 gene_biotype:protein_coding transcript_biotype:protein_coding MCYKVDCKQCGKVTWGGCGKHVLSVYNGVEKGKHCMCRSWPGVSLPSDTASSKNSTSSSSSAR >DRNTG_14364.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:318558:319507:-1 gene:DRNTG_14364 transcript:DRNTG_14364.8 gene_biotype:protein_coding transcript_biotype:protein_coding MCYKVDCKQCGKVTWGGCGKHVLSVYNGVEKGKHCMCRSWPGVSLPSDTASSKNSTSSSSSAR >DRNTG_09028.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31300404:31316971:-1 gene:DRNTG_09028 transcript:DRNTG_09028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQEYLDKHLLSRKIEDAVNAAVRAKAPDPILFISNHMRKAVPSAITKIRARQILDSRGIPTVEVDLYTNKGMYRASSPSGTAIGMYEAVELRDGDKGKFLGKGVSKAVKLINEKISETLIGMDPTLQAQIDQAMIDLDKTENKTELGANAILAVSIAACKAGAAEKEVPVYKHIADLSGKSEPVLPVPAFTVISGGKHAGNSLAVQAIMICPIGAKNFMEALQMGSETYHHLKSIISEKYGSNECNVGHDGGFAPNISSFSEGLDLVKEAIDRAGYNGRIKLAMDVAATDFCIGRKYELDSKALNKSGQNFKTGEEMIEMYTQLCSDYPIVSIEQPFDKDDWEHSKLFSALGICQVVGDDLLMSNPKRIDRAIQESACNALLLKMNQIGTVTEAIEVVKQAKDAQWGVIISHRSGETEDSFLADFAVGLAAGQIKAGAPCRGERVAKYNQLLRIEEELVGQAVYAGENWRFP >DRNTG_09850.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000417.1:44547:47062:1 gene:DRNTG_09850 transcript:DRNTG_09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHNYLLSYSNHYAPMSMMIRIPFAAVNNGSLVTRRAATNCSFTTATTPSPEPPLRRSANYQPNQWDYNSITSLDSDYDQVKALTTILFAKMKEEVRSLIKQENEFVARLELIDDIEHLGLEHHFGEEIMDVLTSISAKSDHNLVVMKEDLHATALLFRLLRQHGLHVSQDVFSHFKDEKGSFRVSLLEKDVQGLLSLYEASFLGFNGEETLEEARDFTTKHLVNLIPCMHPHMKEKVQRSMELPLHWRMRRLEARWYIDQYKTSENMNPVLLQFAKLDFNLVQSTHHKELKKMIEWWKKLGLGERLSFARDRLVECFFCAVGIVFGPQHGFCREEITKVMALITTLDDVYDVYGSLDELQLFTKAVERWQCDGSEELPEYMKICYNSLYNTAEELANKIQKLEGWDCMPYIGKAWADLFKAFLREAEWHYSGYKPRLEEYLNNGWISVSGHVILVHVFLLSDRRGKTKEGLQHLMNYPNLIKSSSMIFRLGNDIATSAAELARGDTPTSMHCYMSEYNTTEDQARREIWNLISKSWKDLNEGLSDCSPLSLFFGKTAMNLARVMHCVYQHGDSHGAPDQDKENQIKSLFCEPMKLE >DRNTG_28040.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26176566:26177768:-1 gene:DRNTG_28040 transcript:DRNTG_28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKFSIFLLLILSVMILFQDNKSGCMGVTACPQYCLDVAYMTCTSSGNQQLTGKCNCCLAPKDCTLHLSDGSQVNCAS >DRNTG_26016.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23152788:23155833:1 gene:DRNTG_26016 transcript:DRNTG_26016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSSNILTDKEALLSLKALITDPSNSLSSWNETTTVCKWHGVSCNRAQQRVSGLDLQGLGLQGSISPHIGNLSSLTFLNLQDNQLSGTIPSQLGSLSSLNILNISSNFINGLIPQTILNCYELTVLDLSNNLITGSIPQNLKLLSKLQIMKLGKNKLNGVIPNSIGNISSLTFLDLGTNTLTGSIPNELGHLYKLQHLELSINNLTGTVPPSLYNVSSLAFFALSANNLHGEIPADVGFTLPNLIFFHLCMNEFTGLIPPSLHNVTKIQSIRLSYNFLDGSVPPGLNMLYELTMYKIGYNRLVSTDTNVLHFITSLANCSKLKFLAFDGNFFEGVIPDTVGNLSTSLSSLYMGENHIFGSIPKSIGQLTCLTLLNVSQNLISGEIPEEISKLSELQELLLSGNEIQGSIPAALGSLTKLINLDLSDNSLAGTIPSTFSNYQSLQSLDLSNNKLNASIPTEIFSISSLSSSLNLSRNLLSGPLPEEIERLENVVAIDFSDNLLSGDIPESMGNCHSLQVLSLSNNSFTGFIPEQISNLKGLQSLDLSSNQLSGSIPSDLGKLGGLQFLNLSFNDLQGVIPNEGIFKNPSRFHLQGNSKLCNSSSPSWCQQSCKCGGKIRFPIIIVICVNVFVLVIVAWIVFTRTRRRKNPAKVSANSNSNTNTFKSLHPLISYEKLLRSTENFSTSHLIGTGSFGSVFEGVLSDGMMIAIKVLNLATDGAARSFLAECEALKNAKHRNLVKLITSCSSIDFENRDFIALVYEFMRGGSLEDLIHGARELSVLDRLNIAMDVASALDYLHNDCQPPVVHCDLKPSNVLLDENMNAKVGDFGLANLMVDQEPSSSTNWIKGSIGYIPPEYGYGGRVSTRGDVYSYGVMLLELVTGKRPTNEVFQQGLSLEKWVRMAFPDRIMEVIDPKLVATYELTTNGGLMISSEKQEVYMISMVGVGLACATDTPETRIGMRDVMHQLNDIKDTLIHEALSN >DRNTG_12797.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2109913:2111296:1 gene:DRNTG_12797 transcript:DRNTG_12797.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMKVSVCMVALMVVCMAVGWQKGEGAVTCGTVTSCLAPCMPLAKGTGGLSPACCAGVKRLNTLAATTADRKTACGCLKTIGNALKKANWGAIEAVPAKCGVSVGYKISPSTDCSTVH >DRNTG_29409.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1879840:1882642:-1 gene:DRNTG_29409 transcript:DRNTG_29409.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SCO1 homolog 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G08950) UniProtKB/Swiss-Prot;Acc:Q8VYP0] MNRLRSSRAIATPLIRNPQIISSWNSRCATVFPYRLLSTDATVSGQTNEGKSSEGSSSSSSSSSNEGGKPVRGGPVSWLSLLLLMVTGGGIIVYYDNEKKRHIEDLKKGSTEPKQGPSAGVAAIGGPFNLVNQDGKSVTEKDFLGKWTLIYFGFTHCPDICPDELQKLAIAVDKLKEKSGMDIVPVFISVDPERDTVEQVHEYVKEFHPNLVGLTGTPDAVRQAARAYRVYYMKTEEEGSDYLVDHSIVMYLMSPNMEFVKFFGKNYDADALAEGIAKEITVHKGQ >DRNTG_17174.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10596603:10599756:-1 gene:DRNTG_17174 transcript:DRNTG_17174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRRGVSTCRGLLDQFRHISSPEDQETPSTHDGRHDDLPSMTQRTPAHVTSTPAVLSRPLYTPTGHSFGGSSSSSVATPCAGGAATHDGSFLIAPANGNSTSSMDGVTHAKHFISDESISSIIKLAWQRKATERYRSLMCVFKKGKEKTLYVSDTAWQKWNEAWNSAEYKARSLKFSANRLTDAEGPRSGISWHSGGSISHICHAEKLRSKLGRDPLPYELFEATHTKKGTSELIDARAQAIKDRYLYLLDQASQTQEESTSSQELQVKITQLCETIDQLQDKNKELQEKSARDEYRNQMLRQMQDMMMDFEARMLQRSQFTQGSQPASNDHDVDHVDEL >DRNTG_27263.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:754291:757319:-1 gene:DRNTG_27263 transcript:DRNTG_27263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSLLKELLCCFGNSDVSPQPKPRKHTGNSLSPRRLSYSDISNSPSLLSPEDLSISLIGSNLHVFTLQELKLVTNGFSTSQFIGEGGFGPVYKGFIDDKLKPGLKAQPVAVKLLDQDGAQGPKEWQAEVIFLGQLRHQNLVKLIGYCYENEHRMLVYEFMSRGSLENHLFKRFFNTLTWSMRLKIAVGAAKGLAFLHEAEKPVIYRDFKASNILLDSDYSAKLSDFGLAKDGPQGDDTHVSTRVMGTHGYAAPEYVMTGHLTAKSDVYSYGVVLLELLTGRRSVDKNRPPREQNLVDWAKSYLTDVRKLDRIMDPSMDGQYSLKGAQKAAEVAYQCLSRKPKTRPDMKNVVEALEPLLKLADVPAGPFVYTAAPEIICKDDDNHKKDEQKEHHRHMHKLKLPKNSAQSISNGLHWNSPKHHQHHHKRSLEN >DRNTG_18597.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22150189:22153267:-1 gene:DRNTG_18597 transcript:DRNTG_18597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLRCSSNNPSPLLLLPRAITTKLLSFSSSSSSSNASPSHSFPPLLPIAHSSLNLDLDSSLIATSFRQWFKDGAAPLSLLDRIYAALASCPDDDPSVDAALAPLHLPLSESLVLRVLRHRPTPSIPNLLLLRLKFFDWSGRQRHYRHSISVFHAIFRILSRCSLTSIVHSLLRDFSDRAQHSFVPRFHHTLVVGYSVASRPDLALLILARIRFHGLDLDPFSYHVLTNSLIDSSDFDLADSLLSHLQSQGHSGPVSTSLRIKSLCRQNKLDDAMVYLRDIERSKLGHRRELIDGGVGVLVHALCARGRFRDAGRIVHDFGAPYTYGVWIADLVTAGRLNAAMEFLEAKKSSEDYVPESEHYNMLISRMLQKNQLDKVYDLLVEMREEGVVPDRATMNTTLCFFCKAGMVDVAIQLYNSRVELGLAPNKLVYNYLITALCRDGSVDEVCQVLEESMAHGYFPGRETFKILSNLLCREGRLDRMRKLLDDALQRDIRPVTYVYARYLAALCKAGHVEEACMVPHMVSGENAGIGRFRYTYTSLIHAFVVLRRVDVLPQLIVQMQERGHSPSRRLYREVICCMCESGKYDNVLNLLSEQLELKVLDQRICYNYFIDGASNAKKPELARKVYDRLVAAGIEPNLDTEILLLQSYLKSKRIGDARLYFYTLTEKREPSTKLYNIFITGLLEAGKTEQAVVFWREARRKGLIPSLQCYEELVNELCLSKQYDIAVKVLDDFKETSRPVSSYLCNVLMLHTLKSRDLLRAWVHSREDWHRQSKPEEISEETSASGRLVLGQLIAAFSGGIRMTENLDKLDEVVETFFPVDLFTYNLLLRALTMVGRMDYACDLFNRICKKGLEPNTWTYDIIVHGFCKLGRRKDAERWMMNMYRNGFHPTWYTMSLYNKTP >DRNTG_08111.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11919094:11920039:1 gene:DRNTG_08111 transcript:DRNTG_08111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRRSNPNPFQILNKNLRAHFSTIVVHIHASFTVKTLNSKAFPFFFFFYTELTPCEKEKTSPTSPTIHNEFQTFFLLFLFFPFLLFLGHYKRKKPDPPRQISCHRSPRSPPLSPPVTVVGSHRVAPDLCFLQEYV >DRNTG_02880.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:859936:871746:1 gene:DRNTG_02880 transcript:DRNTG_02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALASQIREIKAVLRGREDPLRRPITRPSIIFDPKEAADIDLRTILSLALSGLDVLNELDSRFGKYRNTLFSHTSLEVDREKMVPKEEEKLNISIRSYLRLLSGHLQTPAALKTLEYLIRRYKVHVLDVDELILCALPYHDTHAFVRIVQLLELGNSKWIFLEGIKTSGAPAPRKVIVQQSIRDKGILETICNYASPTKEFQHSRPVICFCTAVIVEALGGIPRLDTDTVKRVLNFVFDGLNPDMRGSQDHKAGALMVVGLLATRATLAPKLAQNLILFVARVAQQDSKQSPDLSWLRVVVMAMVSLVQAQSTQMFPKKTIMILRDIRDFAAILSGLLLDFKIQKFLCLYLEALVDYSTSDDSCRLTLVETMESLPLKDFVERIVFKVLGFCIKLSRQVDSSKLHDAGIWGKQILLVIGNYYPCELQAAVCKYLENSMRNSTEEESVFDTFCLMFDGQLDLPQSISDSKVWFSLEHPKAVVRQATLSQIARTGIIKEFADTPQKLINVQDAIVRRLYDDELNVVQAALSVDGLSILIDHACLFKAYQDILSRCVHIIEKSTSKSSQATDVAILCLVHLVTDFGSHLDYSKEVATILFPFLLVLPKTWKVSLKALELVKQVKWKFYCDKLVEHDPVSCERIKGWDADYVTTINSETIEALANALLSNPQDHIQWLVNSSNTNKLSRILFLLVILKALMICKEVNGSFFKLHKACFPPLVNEWREMESRRIILLSEEFYMEKFDKVCSGFVDQLFSADLDAMNSKIMVCIFWSLVQACAEAAHQDSSEYCCDQILDELFLFFANSPSRNVFRKHLHFLVTRYNKDTFQLLSKYFTDEGFPEEVQIESLVSVSAICSMHTSPDTNMKESSPLQLLFGFSSLLIPLSNKNKDIRASAVSCTENLLKLWRSFDVSRLRNGNDMMLPRGISTAIFGDFLESIVNQKKLIISDVDFLSSFLTSMLSPCPDTLRAPENINKRFDQSTKDGILFFILSSALELSSYGKLAVFSLLKGVGSVIFHVEGLKLLLFELLERRNQYCITHQALPTIESETLCLLLEVFLSSPSSGQYDADIGSSLIKSLKVGAMALGICYSFAK >DRNTG_02880.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:859936:871746:1 gene:DRNTG_02880 transcript:DRNTG_02880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFPKKTIMILRDIRDFAAILSGLLLDFKIQKFLCLYLEALVDYSTSDDSCRLTLVETMESLPLKDFVERIVFKVLGFCIKLSRQVDSSKLHDAGIWGKQILLVIGNYYPCELQAAVCKYLENSMRNSTEEESVFDTFCLMFDGQLDLPQSISDSKVWFSLEHPKAVVRQATLSQIARTGIIKEFADTPQKLINVQDAIVRRLYDDELNVVQAALSVDGLSILIDHACLFKAYQDILSRCVHIIEKSTSKSSQATDVAILCLVHLVTDFGSHLDYSKEVATILFPFLLVLPKTWKVSLKALELVKQVKWKFYCDKLVEHDPVSCERIKGWDADYVTTINSETIEALANALLSNPQDHIQWLVNSSNTNKLSRILFLLVILKALMICKEVNGSFFKLHKACFPPLVNEWREMESRRIILLSEEFYMEKFDKVCSGFVDQLFSADLDAMNSKIMVCIFWSLVQACAEAAHQDSSEYCCDQILDELFLFFANSPSRNVFRKHLHFLVTRYNKDTFQLLSKYFTDEGFPEEVQIESLVSVSAICSMHTSPDTNMKESSPLQLLFGFSSLLIPLSNKNKDIRASAVSCTENLLKLWRSFDVSRLRNGNDMMLPRGISTAIFGDFLESIVNQKKLIISDVDFLSSFLTSMLSPCPDTLRAPENINKRFDQSTKDGILFFILSSALELSSYGKLAVFSLLKGVGSVIFHVEGLKLLLFELLERRNQYCITHQALPTIESETLCLLLEVFLSSPSSGQYDADIGSSLIKSLKVGAMALGICYSFAK >DRNTG_02880.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:863142:871746:1 gene:DRNTG_02880 transcript:DRNTG_02880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSTEEESVFDTFCLMFDGQLDLPQSISDSKVWFSLEHPKAVVRQATLSQIARTGIIKEFADTPQKLINVQDAIVRRLYDDELNVVQAALSVDGLSILIDHACLFKAYQDILSRCVHIIEKSTSKSSQATDVAILCLVHLVTDFGSHLDYSKEVATILFPFLLVLPKTWKVSLKALELVKQVKWKFYCDKLVEHDPVSCERIKGWDADYVTTINSETIEALANALLSNPQDHIQWLVNSSNTNKLSRILFLLVILKALMICKEVNGSFFKLHKACFPPLVNEWREMESRRIILLSEEFYMEKFDKVCSGFVDQLFSADLDAMNSKIMVCIFWSLVQACAEAAHQDSSEYCCDQILDELFLFFANSPSRNVFRKHLHFLVTRYNKDTFQLLSKYFTDEGFPEEVQIESLVSVSAICSMHTSPDTNMKESSPLQLLFGFSSLLIPLSNKNKDIRASAVSCTENLLKLWRSFDVSRLRNGNDMMLPRGISTAIFGDFLESIVNQKKLIISDVDFLSSFLTSMLSPCPDTLRAPENINKRFDQSTKDGILFFILSSALELSSYGKLAVFSLLKGVGSVIFHVEGLKLLLFELLERRNQYCITHQALPTIESETLCLLLEVFLSSPSSGQYDADIGSSLIKSLKVGAMALGICYSFAK >DRNTG_02880.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:859936:871746:1 gene:DRNTG_02880 transcript:DRNTG_02880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPKKTIMILRDIRDFAAILSGLLLDFKIQKFLCLYLEALVDYSTSDDSCRLTLVETMESLPLKDFVERIVFKVLGFCIKLSRQVDSSKLHDAGIWGKQILLVIGNYYPCELQAAVCKYLENSMRNSTEEESVFDTFCLMFDGQLDLPQSISDSKVWFSLEHPKAVVRQATLSQIARTGIIKEFADTPQKLINVQDAIVRRLYDDELNVVQAALSVDGLSILIDHACLFKAYQDILSRCVHIIEKSTSKSSQATDVAILCLVHLVTDFGSHLDYSKEVATILFPFLLVLPKTWKVSLKALELVKQVKWKFYCDKLVEHDPVSCERIKGWDADYVTTINSETIEALANALLSNPQDHIQWLVNSSNTNKLSRILFLLVILKALMICKEVNGSFFKLHKACFPPLVNEWREMESRRIILLSEEFYMEKFDKVCSGFVDQLFSADLDAMNSKIMVCIFWSLVQACAEAAHQDSSEYCCDQILDELFLFFANSPSRNVFRKHLHFLVTRYNKDTFQLLSKYFTDEGFPEEVQIESLVSVSAICSMHTSPDTNMKESSPLQLLFGFSSLLIPLSNKNKDIRASAVSCTENLLKLWRSFDVSRLRNGNDMMLPRGISTAIFGDFLESIVNQKKLIISDVDFLSSFLTSMLSPCPDTLRAPENINKRFDQSTKDGILFFILSSALELSSYGKLAVFSLLKGVGSVIFHVEGLKLLLFELLERRNQYCITHQALPTIESETLCLLLEVFLSSPSSGQYDADIGSSLIKSLKVGAMALGICYSFAK >DRNTG_02880.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:866704:871746:1 gene:DRNTG_02880 transcript:DRNTG_02880.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRIILLSEEFYMEKFDKVCSGFVDQLFSADLDAMNSKIMVCIFWSLVQACAEAAHQDSSEYCCDQILDELFLFFANSPSRNVFRKHLHFLVTRYNKDTFQLLSKYFTDEGFPEEVQIESLVSVSAICSMHTSPDTNMKESSPLQLLFGFSSLLIPLSNKNKDIRASAVSCTENLLKLWRSFDVSRLRNGNDMMLPRGISTAIFGDFLESIVNQKKLIISDVDFLSSFLTSMLSPCPDTLRAPENINKRFDQSTKDGILFFILSSALELSSYGKLAVFSLLKGVGSVIFHVEGLKLLLFELLERRNQYCITHQALPTIESETLCLLLEVFLSSPSSGQYDADIGSSLIKSLKVGAMALGICYSFAK >DRNTG_29687.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3891410:3893992:1 gene:DRNTG_29687 transcript:DRNTG_29687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVEEESRPRFLFQSRTSPLPTSDLQLTKLSKLHAFLCVFAGSLLFAAAISLSSSQPLLSSLLFWVSLSLFVGPFAPPSLTGGDVRVGVGEPLPDPEPIPDPLPDPKAQPRHATRRTRAPVPDPAPIVVPAVAPEKKPRAQAAVPNGGQMEEADWTDLDLDLLKKQISKHPAGEPGRWERIQEAFQGRHGVESVIRMAKSLAERRPGDSDSYQRFLKQRKPVDRRVEEEEAISGGWSSGEDLALLNALKAFPKDAAMRWEKVAAAVPGKSKAECVKRIAELKKDFRNSKASQA >DRNTG_06944.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5755187:5758978:-1 gene:DRNTG_06944 transcript:DRNTG_06944.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVRGSANYVPLTPLSFLERAAIVFGERTSLVYGSTTYTWKETHQRCHRLASSLVDLGISKLDVVAVLAMNIPAMYELHFGVPMTGGVLCTLNTRHDSAMVSILLKHSEAKIIFVDHQLLKIAQGALDLMSQDNSKLPILILISESGEHSFPDYLEYETLLRSANPNFDVRWPIDECDPITLNYTSGTTSRPKGVIYSHRGAFLNSISTLLFGDITTMPVFLWTVPMFHCNGWCLTWGVAAQGGINICLRNFTGKDVFDRIALHKVTHMGGAPTVLSMIANTPTDDRKPLPGCVAILTGAAPPPPQILFEMEQLGFRVVHAYGLTETYGPGTVCTWKPEWDALPTEERSKLKARQGLNHIGMEEVDIKDSKTMKSVPADGKTMGEVMFRGNVVMNGYFKDLNATMESFAGGWFRSGDLGVKQADGYIQLKDRSKDIIISGGENISTIEVESALFSHPAVLEAAVVGRPDDHWGETPCAFVKLKEGVHVQPEEIIQFCRAKLPHYMAPRSVIFENLPKTSTGKTQKFVLREKAKAMGSLFRKGGSKL >DRNTG_06944.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5755187:5758914:-1 gene:DRNTG_06944 transcript:DRNTG_06944.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVRGSANYVPLTPLSFLERAAIVFGERTSLVYGSTTYTWKETHQRCHRLASSLVDLGISKLDVVAVLAMNIPAMYELHFGVPMTGGVLCTLNTRHDSAMVSILLKHSEAKIIFVDHQLLKIAQGALDLMSQDNSKLPILILISESGEHSFPDYLEYETLLRSANPNFDVRWPIDECDPITLNYTSGTTSRPKGVIYSHRGAFLNSISTLLFGDITTMPVFLWTVPMFHCNGWCLTWGVAAQGGINICLRNFTGKDVFDRIALHKVTHMGGAPTVLSMIANTPTDDRKPLPGCVAILTGAAPPPPQILFEMEQLGFRVVHAYGLTETYGPGTVCTWKPEWDALPTEERSKLKARQGLNHIGMEEVDIKDSKTMKSVPADGKTMGEVMFRGNVVMNGYFKDLNATMESFAGGWFRSGDLGVKQADGYIQLKDRSKDIIISGGENISTIEVESALFSHPAVLEAAVVGRPDDHWGETPCAFVKLKEGVHVQPEEIIQFCRAKLPHYMAPRSVIFENLPKTSTGKTQKFVLREKAKAMGSLFRKGGSKL >DRNTG_06944.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5754892:5758914:-1 gene:DRNTG_06944 transcript:DRNTG_06944.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVRGSANYVPLTPLSFLERAAIVFGERTSLVYGSTTYTWKETHQRCHRLASSLVDLGISKLDVVAVLAMNIPAMYELHFGVPMTGGVLCTLNTRHDSAMVSILLKHSEAKIIFVDHQLLKIAQGALDLMSQDNSKLPILILISESGEHSFPDYLEYETLLRSANPNFDVRWPIDECDPITLNYTSGTTSRPKGVIYSHRGAFLNSISTLLFGDITTMPVFLWTVPMFHCNGWCLTWGVAAQGGINICLRNFTGKDVFDRIALHKVTHMGGAPTVLSMIANTPTDDRKPLPGCVAILTGAAPPPPQILFEMEQLGFRVVHAYGLTETYGPGTVCTWKPEWDALPTEERSKLKARQGLNHIGMEEVDIKDSKTMKSVPADGKTMGEVMFRGNVVMNGYFKDLNATMESFAGGWFRSGDLGVKQADGYIQLKDRSKDIIISGGENISTIEVESALFSHPAVLEAAVVGRPDDHWGETPCAFVKLKEGVHVQPEEIIQFCRAKLPHYMAPRSVIFENLPKTSTGKTQKFVLREKAKAMGSLFRKGGSKL >DRNTG_06944.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5755244:5758978:-1 gene:DRNTG_06944 transcript:DRNTG_06944.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVRGSANYVPLTPLSFLERAAIVFGERTSLVYGSTTYTWKETHQRCHRLASSLVDLGISKLDVVAVLAMNIPAMYELHFGVPMTGGVLCTLNTRHDSAMVSILLKHSEAKIIFVDHQLLKIAQGALDLMSQDNSKLPILILISESGEHSFPDYLEYETLLRSANPNFDVRWPIDECDPITLNYTSGTTSRPKGVIYSHRGAFLNSISTLLFGDITTMPVFLWTVPMFHCNGWCLTWGVAAQGGINICLRNFTGKDVFDRIALHKVTHMGGAPTVLSMIANTPTDDRKPLPGCVAILTGAAPPPPQILFEMEQLGFRVVHAYGLTETYGPGTVCTWKPEWDALPTEERSKLKARQGLNHIGMEEVDIKDSKTMKSVPADGKTMGEVMFRGNVVMNGYFKDLNATMESFAGGWFRSGDLGVKQADGYIQLKDRSKDIIISGGENISTIEVESALFSHPAVLEAAVVGRPDDHWGETPCAFVKLKEGVHVQPEEIIQFCRAKLPHYMAPRSVIFENLPKTSTGKTQKFVLREKAKAMGSLFRKGGSKL >DRNTG_06944.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5755244:5758914:-1 gene:DRNTG_06944 transcript:DRNTG_06944.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVRGSANYVPLTPLSFLERAAIVFGERTSLVYGSTTYTWKETHQRCHRLASSLVDLGISKLDVVAVLAMNIPAMYELHFGVPMTGGVLCTLNTRHDSAMVSILLKHSEAKIIFVDHQLLKIAQGALDLMSQDNSKLPILILISESGEHSFPDYLEYETLLRSANPNFDVRWPIDECDPITLNYTSGTTSRPKGVIYSHRGAFLNSISTLLFGDITTMPVFLWTVPMFHCNGWCLTWGVAAQGGINICLRNFTGKDVFDRIALHKVTHMGGAPTVLSMIANTPTDDRKPLPGCVAILTGAAPPPPQILFEMEQLGFRVVHAYGLTETYGPGTVCTWKPEWDALPTEERSKLKARQGLNHIGMEEVDIKDSKTMKSVPADGKTMGEVMFRGNVVMNGYFKDLNATMESFAGGWFRSGDLGVKQADGYIQLKDRSKDIIISGGENISTIEVESALFSHPAVLEAAVVGRPDDHWGETPCAFVKLKEGVHVQPEEIIQFCRAKLPHYMAPRSVIFENLPKTSTGKTQKFVLREKAKAMGSLFRKGGSKL >DRNTG_06944.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5754892:5758978:-1 gene:DRNTG_06944 transcript:DRNTG_06944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVRGSANYVPLTPLSFLERAAIVFGERTSLVYGSTTYTWKETHQRCHRLASSLVDLGISKLDVVAVLAMNIPAMYELHFGVPMTGGVLCTLNTRHDSAMVSILLKHSEAKIIFVDHQLLKIAQGALDLMSQDNSKLPILILISESGEHSFPDYLEYETLLRSANPNFDVRWPIDECDPITLNYTSGTTSRPKGVIYSHRGAFLNSISTLLFGDITTMPVFLWTVPMFHCNGWCLTWGVAAQGGINICLRNFTGKDVFDRIALHKVTHMGGAPTVLSMIANTPTDDRKPLPGCVAILTGAAPPPPQILFEMEQLGFRVVHAYGLTETYGPGTVCTWKPEWDALPTEERSKLKARQGLNHIGMEEVDIKDSKTMKSVPADGKTMGEVMFRGNVVMNGYFKDLNATMESFAGGWFRSGDLGVKQADGYIQLKDRSKDIIISGGENISTIEVESALFSHPAVLEAAVVGRPDDHWGETPCAFVKLKEGVHVQPEEIIQFCRAKLPHYMAPRSVIFENLPKTSTGKTQKFVLREKAKAMGSLFRKGGSKL >DRNTG_32021.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:16544763:16546937:-1 gene:DRNTG_32021 transcript:DRNTG_32021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSFSLPSNGCQESSPPPKVPPMTFKIPSYTAQH >DRNTG_12667.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1168277:1173473:-1 gene:DRNTG_12667 transcript:DRNTG_12667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNDVQRPQGAAPRGGKGNGIFSLRNISNYLRIVSSGASTVASTVRATGASVASSIAGDDDASRDQVHWAGFDKLECEGDILRLVLLLGYRSGFQVWDVEEVDDVRQLVSRHEGFVSFLQMQKNPLPSRMAEDRFSDFRPLLVVVGDGSSTGNSNSADGSGLHCAGSASNFPELGNENIVPTFVRFYSLRTHEYVHVLKFRSAIYSVRCSPRVVAVSLASQIQCFDAATLEREYTILTFPIVSGSVGVSNIGYGPLAVGSRWLAYSGNPVAVSNTLRVTPQDLNPATGLPASLPNGSLVAHYAKESSKQLAAGIVTLGDLGYKKLSKYYSEIISDSNSSVKQGNPTSKTNGAIAGHFPDAENTGMVIVRDIVSKNVVVQFKAHRSPISALCFDPSGTLLVTASIHGHNINVFRIMPSPNGSSLESDVPGTCVHLYRLQRGMTNAVIQDISFSNDSQWIMISSSRGTSHLFAISPFGGTSNRQYNDFKHGNSGYGADMTSKGAVSYPLKGCQQSLCASGSPVTLSVVSRIKNGSNGWKGAVTGAAAAATGKVNPLSVAIASTFHYCKRSGRYADIRSSRAKYTLLVFSPSGSIIQYALRESNGEDSGLDMSGINTVSHVPVPETDAGFVVEALQKWDVCHKRNRRDRGDAFDVYGEHGNGESIKFFQKGLRKGNTVYPANSGTDTRVKPITEENHHLYISEAELHMHSVFIPLWAKSEISFQVMKYDNSKTDIGGDSGGEIEIEKISTCPIETRSKDLVPVFDYYQAPRLPQSRSHSMDNERNGTLLHQKSEFSEDGEKHSRRSSCSSLECAPEGAAVGDMPNVFNENSFGSLQATTEANEDFVNSMDKPNINRQLEFVHNRESLKPEAQLEHVNNIESLNMETDLEDHDNQPDYLR >DRNTG_29179.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24263162:24265740:-1 gene:DRNTG_29179 transcript:DRNTG_29179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSIAAKFAFFPPTPPSYHVVTDEQSGKLVIPEISSVIVRKVDGIEVLRLPTRRGSEIIAVYLKNNRAKGTLLYSHGNAADIGQMFDLFIELSAHLRVNIMAYDYSGYGQSTGKPSEYNTYADIEAVYDCVKTQYAAKDEDLILYGQSVGSGPTVDLASRLPNLRAVVLHSGILSGLRVLSPVKRSYWFDIYKNVDKIGLVNCPVLVIHGTADDVVDCSHGKQLWELCKEKYEPLWLKGAGHCNVELYPEYIRHLKKFVSTVSHWNTGRTRMGTTQANINDQSQQTAFWTSAYTNADSDIPESSSATSFGNQLRKFSDE >DRNTG_17549.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29107234:29110345:1 gene:DRNTG_17549 transcript:DRNTG_17549.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQPFGDTTLTKVFVGGLAWETQKDVLRDHFARFGDILEAVIISDKTSGRSKGYGFVTFKDAESAKKACEDPSPVINGRRANCNLASLGARRLRPSPPPTPISTTPPPPPPRHGARGVAAPPWYYATGTPGPYPQHHHHYHAGVVPFYAAGAATTPAAYGYSPSYVTDYGYNQKLSHGGGGSYVQGQFSHVPIPKPRRDFCSKCYAACIPTVSLPAPPAPHHGSSCSHLPSNYCKHHDHYPHHHLQASCNPCYHSGTC >DRNTG_12037.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:81464:102085:-1 gene:DRNTG_12037 transcript:DRNTG_12037.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFAGKLVERLANIIEEKAIMVLGVKDELQRLRRRMERIALVLKDAEKKKIQDETVKGWVHELKDLMYDADDIIDLCMIQGTGILQDVDHPSQLAESSTTTASTRVCCHFPLLSCVRSVPSRYEIADKIKSLNDKLEEISVDKNKFNFITSSNSSDAYAMNEASYRQSSSLPEPDIVGWDIKDATKSLVELLVSQPEQKCRLFAIVGMGGIGKTTLAQQIYNDPKINDAFVLRSWIWVSKSFTSRIDFLKEIIRNIGGKYGECTTISELQNILCDFLREKSLFLVLDDVWDENIWVDLIKNAIERTTTKCRVLVTTRDRNTAVKVGATHIHHVNKLPSDVGWELLCKKVFTNNSTQDMQRLKDIGMKIVEKCDGLPVAIKAIAGVLVTKDQNKREWENVLNSDAWTITGIPEELQGALYLSYEVLPSTLKHCFLYCALYPRGREFEIEDLVCQWIAEGYIEAKGNASMEDVAKSYYMELICRSFLQPDPLYVDMSRCTIHDLLRALAEFFAGDESSGDPQEVQSTESMKKLRRLTVASNRESVSIPYLDCLRSLRLWTPPSLNTQVVGNLKHLRLLLLNGDKIENIPDSIGGLVHLRLLDLGHTCIHNLPDSLGNLINLQFLLLNKCKSLHILPKSITKLYNLRWLRLEDTPLNYVPKGIGKLEQLHHVEGLIIGDSGDDGEEGCNLEELQMLEKLSYLSIRNLEKSSIKSSSVLSSKTHLRTLGLRCTFNYTDGHIQQQEMDKIVQVFDELRPPPCLEDLGIQAYFGGRYPNWMSSSTSINTALPELIYLQLFNCSNCPHLPQLGQLPQLKYLRIVGATAVVSIGPEFLGNYNGEPTEIAFPKLETLLFVNMSNWEEWSLILSGEEEEDNELESSKPLMFFPRLNRIAIVKCPKLKALPSGLNRVNMARLFIRGAHSLSRVSHLPTLKELEVEDCPMLECVEKLESLQSLKVIDYEEDNASLPQWLISFLQQREEKPREDDLFKLYLECSAQALKGCLKGRPHWLFIQQVPRFIGYVKKQRMYLKYTKEPYYYETNIDH >DRNTG_03636.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11840981:11842336:1 gene:DRNTG_03636 transcript:DRNTG_03636.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHPLDGRCFSALGFFSVRAFLAMGFFSLSSTSFSVMVVVTLLVRDTSFDVRSVDNKLDHQFRLKESRWEVVEVEAIKKVVH >DRNTG_24109.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31355920:31357642:1 gene:DRNTG_24109 transcript:DRNTG_24109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLLPMAATCLLLLTFLPSSSEAHNITKILAQHPEFSTFNHYLTITHLATEIDRRLTITVLAVDNSGMSSILAKHFTLPTLKNVLRLHILVDYYGAKKLHQLTGGSATASSMFQATGDAPGTSGYVNISDHHGGKVSFSAIDDAEGDAPATPSSMFVKSIKEMPYNISIIQISAPLTSPIAEAPAAAPAPINITESMKKKGCGLFADLLLSTPDVEKTFESNVDGGLTIFCPIDSAVKSFMPKFKNLTSEAKQSLLLFHGYPVYNSLQGLKTNNGLVPTLATDGGGKSFRFTIQTEGADITLKTKAITATIKSTIIDQDPCAIYTIDKVLEPHELFKEVQTDAPAPAPAHAAKSHKGKNAASPPAPADGPDSAPADDKAADDNAGIVLSSGRFLTATAAVLVVLMV >DRNTG_13908.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1925184:1927523:1 gene:DRNTG_13908 transcript:DRNTG_13908.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSSPAIAGESQPSIPTSSIVSINVGGELFQTTTQTLSAAGAGSVLATLPAGSVSFFDRDPSLFSSLLSLLRSSSPPANPSDLLPELRFFSIDPLLLLPKFDPFSLQRSLLLPLHGRNHPSALAAGAGSIHVGHGGKITTFDSTLSRRATVLTPLPAIDSLLSISPSLVAAGASDFPSVHLINIPSGHVNQTLDWSPTPLNSSPPSIVQALTSSLDHLFASFESSRRTSNAIVAFDLNTFTPATEIARGEIYGAELDSAIPATKLKWVESLKLLMAAGSHAGPSGLTGNIRLWDVRSGAAVWESPEKVDCFADVTVCDALLGIFKIGLFSGDVFFMDLRKIGSGESSWMCLGDQRKGIVNGRKEGNGCRIESYGNQVFVSRGEEVEMWSSVSMAGAGEKVMKKNLMGKTEKHDRNRIVHMAFGCNRMVIARKDEHCVEVWQSSC >DRNTG_13908.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1925184:1927523:1 gene:DRNTG_13908 transcript:DRNTG_13908.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSSPAIAGESQPSIPTSSIVSINVGGELFQTTTQTLSAAGAGSVLATLPAGSVSFFDRDPSLFSSLLSLLRSSSPPANPSDLLPELRFFSIDPLLLLPKFDPFSLQRSLLLPLHGRNHPSALAAGAGSIHVGHGGKITTFDSTLSRRATVLTPLPAIDSLLSISPSLVAAGASDFPSVHLINIPSGHVNQTLDWSPTPLNSSPPSIVQALTSSLDHLFASFESSRRTSNAIVAFDLNTFTPATEIARGEIYGAELDSAIPATKLKWVESLKLLMAAGSHAGPSGLTGNIRLWDVRSGAAVWESPEKVDCFADVTVCDALLGIFKIGLFSGDVFFMDLRKIGSGESSWMCLGDQRKGIVNGRKEGNGCRIESYGNQVFVSRGEEVEMWSSVSMAGAGEKVMKKNLMGKTEKHDRNRIVHMAFGCNRMVIARKDEHCVEVWQSSC >DRNTG_13908.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1925184:1927523:1 gene:DRNTG_13908 transcript:DRNTG_13908.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSSPAIAGESQPSIPTSSIVSINVGGELFQTTTQTLSAAGAGSVLATLPAGSVSFFDRDPSLFSSLLSLLRSSSPPANPSDLLPELRFFSIDPLLLLPKFDPFSLQRSLLLPLHGRNHPSALAAGAGSIHVGHGGKITTFDSTLSRRATVLTPLPAIDSLLSISPSLVAAGASDFPSVHLINIPSGHVNQTLDWSPTPLNSSPPSIVQALTSSLDHLFASFESSRRTSNAIVAFDLNTFTPATEIARGEIYGAELDSAIPATKLKWVESLKLLMAAGSHAGPSGLTGNIRLWDVRSGAAVWESPEKVDCFADVTVCDALLGIFKIGLFSGDVFFMDLRKIGSGESSWMCLGDQRKGIVNGRKEGNGCRIESYGNQVFVSRGEEVEMWSSVSMAGAGEKVMKKNLMGKTEKHDRNRIVHMAFGCNRMVIARKDEHCVEVWQSSC >DRNTG_01235.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9382008:9384557:-1 gene:DRNTG_01235 transcript:DRNTG_01235.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short integuments 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G41670) UniProtKB/Swiss-Prot;Acc:Q8L607] FWIDVVQIANQPSIYVLDTPGVLVPSIPDIETGLKLALTGAVKDSVVGEERLAQYLLAVLNIRKVPLHWDRLYNQKIKESLDGSSDSKENIFGDGLSRKRNRINCSDVSYIEDLAEEVQRTLYATFIESAVDVEDESELESLIDRQLTALQTSFRIPPKASDEARTMVSKKLLTLFRMGKLGPFVLDDLPDSCKPIT >DRNTG_01235.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9382008:9391254:-1 gene:DRNTG_01235 transcript:DRNTG_01235.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short integuments 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G41670) UniProtKB/Swiss-Prot;Acc:Q8L607] MSGWAKLGKRVSEMGFNSGGGIVNWFPGHMAAATRAIRDRLKLADLVIEVRDARIPLSSANEDLQNVLASKRRIIALNKKDLANPNIMHKWARHFDLLKQDCLSINAHSRSSVSQLLALVELKLKEAISREPTLLVLVVGVPNVGKSALINSINRIAASRFPAQEKTKRAVVGPLPGVTQDIAGYKIANQPSIYVLDTPGVLVPSIPDIETGLKLALTGAVKDSVVGEERLAQYLLAVLNIRKVPLHWDRLYNQKIKESLDGSSDSKENIFGDGLSRKRNRINCSDVSYIEDLAEEVQRTLYATFIESAVDVEDESELESLIDRQLTALQTSFRIPPKASDEARTMVSKKLLTLFRMGKLGPFVLDDLPDSCKPIT >DRNTG_01235.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9382008:9391254:-1 gene:DRNTG_01235 transcript:DRNTG_01235.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short integuments 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G41670) UniProtKB/Swiss-Prot;Acc:Q8L607] MVLHYIWCFDIAQEKTKRAVVGPLPGVTQDIAGYKIANQPSIYVLDTPGVLVPSIPDIETGLKLALTGAVKDSVVGEERLAQYLLAVLNIRKVPLHWDRLYNQKIKESLDGSSDSKENIFGDGLSRKRNRINCSDVSYIEDLAEEVQRTLYATFIESAVDVEDESELESLIDRQLTALQTSFRIPPKASDEARTMVSKKLLTLFRMGKLGPFVLDDLPDSCKPIT >DRNTG_32939.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30958210:30961725:1 gene:DRNTG_32939 transcript:DRNTG_32939.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNQIKKAAVVGSETGERASPAAAGNLSLSEEERGVDDEWKEC >DRNTG_32939.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30955743:30961725:1 gene:DRNTG_32939 transcript:DRNTG_32939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTSSVRGAPSPSLFMAKSTSSSWNSIASKRSLLSGAAFEDSLRTSFS >DRNTG_15105.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1740602:1743574:-1 gene:DRNTG_15105 transcript:DRNTG_15105.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g21190 [Source:Projected from Arabidopsis thaliana (AT4G21190) UniProtKB/Swiss-Prot;Acc:Q8LG95] MLSKGQGKTMGSYYTLLNALAEDGRLDEAEELWTKIFSRNLECLPRVFFGKMISIYYKHQMYEKIFEVFADMEELGVSPDKRIVDLMGNTFQKLGMLDKYEKLHEKYPPPKWEYRYIKGKRVRIKMKNLIDSYGEEPEYNLLNQDAESSSDPDDLQKTRHQGVGIKVEHLTDSEGEQDLQIRSGEEPEHNPLDHDAESFSDQDETENI >DRNTG_13214.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2655477:2660671:1 gene:DRNTG_13214 transcript:DRNTG_13214.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETEVMMVGKDDKDEVHLEEPSCSEMEMDMDDNGGVTGDSDDEKEGEPLMQERGEGSNVVFSREAPLSNKNLRNSVNCGCKSKKVRVRALDSGEEFEVRRKDKDSDGKERRLSRQDRIELGRLFQGAVSSHDWELAESLILLADPQMLNDALCISLDSIWFLTTRQQLNGITGLIKKIVANGARDFTRAALRTSFLASCVSACQSRTMSLADTVTVMAQRLHERLQECNGDEILKAEAGAKVQKFTEWALKCIGIHSRCQGNRGGGNQSTIVEIQLQLSAFKTFLDLAGNHLTGKDFTEAFDAACFPLTLFSSSFDPGWASGTSAAAIQGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVDLALGFASHYCKIGTMECLVEEGNAIAFLGPLMRAAERGCMQVVQWFVARGCRDMELCLALTAATSSSQVEIAAYLLPHVPQHVLAALSIEILKAAGERSGGSLNGVAFLLRSDFLSDPAATYAVADSIAKSEDEAVAPELKSFMRKHWSEEAFHEGLISGEEHYINFMRVFRQGGSAIRLRDLPMPLVTAIAYLPLYRECVKTDGKLLPQRLRGELLEAARRIGGLPVDIRSQGKELLAILEHHLPPFLLNC >DRNTG_13214.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2655477:2660645:1 gene:DRNTG_13214 transcript:DRNTG_13214.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETEVMMVGKDDKDEVHLEEPSCSEMEMDMDDNGGVTGDSDDEKEGEPLMQERGEGSNVVFSREAPLSNKNLRNSVNCGCKSKKVRVRALDSGEEFEVRRKDKDSDGKERRLSRQDRIELGRLFQGAVSSHDWELAESLILLADPQMLNDALCISLDSIWFLTTRQQLNGITGLIKKIVANGARDFTRAALRTSFLASCVSACQSRTMSLADTVTVMAQRLHERLQECNGDEILKAEAGAKVQKFTEWALKCIGIHSRCQGNRGGGNQSTIVEIQLQLSAFKTFLDLAGNHLTGKDFTEAFDAACFPLTLFSSSFDPGWASGTSAAAIQGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVDLALGFASHYCKIGTMECLVEEGNAIAFLGPLMRAAERGCMQVVQWFVARGCRDMELCLALTAATSSSQVEIAAYLLPHVPQHVLAALSIEILKAAGERSGGSLNGVAFLLRSDFLSDPAATYAVADSIAKSEDEAVAPELKSFMRKHWSEEAFHEGLISGEEHYINFMRVFRQGGSAIRLRDLPMPLVTAIAYLPLYRECVKTDGKLLPQRLRGELLEAARRIGGLPVDIRSQGKELLAILEHHLPPFLLNC >DRNTG_13214.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2655477:2660727:1 gene:DRNTG_13214 transcript:DRNTG_13214.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETEVMMVGKDDKDEVHLEEPSCSEMEMDMDDNGGVTGDSDDEKEGEPLMQERGEGSNVVFSREAPLSNKNLRNSVNCGCKSKKVRVRALDSGEEFEVRRKDKDSDGKERRLSRQDRIELGRLFQGAVSSHDWELAESLILLADPQMLNDALCISLDSIWFLTTRQQLNGITGLIKKIVANGARDFTRAALRTSFLASCVSACQSRTMSLADTVTVMAQRLHERLQECNGDEILKAEAGAKVQKFTEWALKCIGIHSRCQGNRGGGNQSTIVEIQLQLSAFKTFLDLAGNHLTGKDFTEAFDAACFPLTLFSSSFDPGWASGTSAAAIQGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVDLALGFASHYCKIGTMECLVEEGNAIAFLGPLMRAAERGCMQVVQWFVARGCRDMELCLALTAATSSSQVEIAAYLLPHVPQHVLAALSIEILKAAGERSGGSLNGVAFLLRSDFLSDPAATYAVADSIAKSEDEAVAPELKSFMRKHWSEEAFHEGLISGEEHYINFMRVFRQGGSAIRLRDLPMPLVTAIAYLPLYRECVKTDGKLLPQRLRGELLEAARRIGGLPVDIRSQGKELLAILEHHLPPFLLNC >DRNTG_13214.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2655477:2660727:1 gene:DRNTG_13214 transcript:DRNTG_13214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETEVMMVGKDDKDEVHLEEPSCSEMEMDMDDNGGVTGDSDDEKEGEPLMQERGEGSNVVFSREAPLSNKNLRNSVNCGCKSKKVRVRALDSGEEFEVRRKDKDSDGKERRLSRQDRIELGRLFQGAVSSHDWELAESLILLADPQMLNDALCISLDSIWFLTTRQQLNGITGLIKKIVANGARDFTRAALRTSFLASCVSACQSRTMSLADTVTVMAQRLHERLQECNGDEILKAEAGAKVQKFTEWALKCIGIHSRCQGNRGGGNQSTIVEIQLQLSAFKTFLDLAGNHLTGKDFTEAFDAACFPLTLFSSSFDPGWASGTSAAAIQGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVDLALGFASHYCKIGTMECLVEEGNAIAFLGPLMRAAERGCMQVVQWFVARGCRDMELCLALTAATSSSQVEIAAYLLPHVPQHVLAALSIEILKAAGERSGGSLNGVAFLLRSDFLSDPAATYAVADSIAKSEDEAVAPELKSFMRKHWSEEAFHEGLISGEEHYINFMRVFRQGGSAIRLRDLPMPLVTAIAYLPLYRECVKTDGKLLPQRLRGELLEAARRIGGLPVDIRSQGKELLAILEHHLPPFLLNC >DRNTG_13214.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2655415:2660645:1 gene:DRNTG_13214 transcript:DRNTG_13214.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETEVMMVGKDDKDEVHLEEPSCSEMEMDMDDNGGVTGDSDDEKEGEPLMQERGEGSNVVFSREAPLSNKNLRNSVNCGCKSKKVRVRALDSGEEFEVRRKDKDSDGKERRLSRQDRIELGRLFQGAVSSHDWELAESLILLADPQMLNDALCISLDSIWFLTTRQQLNGITGLIKKIVANGARDFTRAALRTSFLASCVSACQSRTMSLADTVTVMAQRLHERLQECNGDEILKAEAGAKVQKFTEWALKCIGIHSRCQGNRGGGNQSTIVEIQLQLSAFKTFLDLAGNHLTGKDFTEAFDAACFPLTLFSSSFDPGWASGTSAAAIQGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVDLALGFASHYCKIGTMECLVEEGNAIAFLGPLMRAAERGCMQVVQWFVARGCRDMELCLALTAATSSSQVEIAAYLLPHVPQHVLAALSIEILKAAGERSGGSLNGVAFLLRSDFLSDPAATYAVADSIAKSEDEAVAPELKSFMRKHWSEEAFHEGLISGEEHYINFMRVFRQGGSAIRLRDLPMPLVTAIAYLPLYRECVKTDGKLLPQRLRGELLEAARRIGGLPVDIRSQGKELLAILEHHLPPFLLNC >DRNTG_17765.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:744671:748613:-1 gene:DRNTG_17765 transcript:DRNTG_17765.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLALSEQHARTLLIYYRWDVERIFELLEQRGKEKLFSEAGVTIIDKSNVIGLLNSSQTVNCSICFEDVTQNSVTEMDCGHSYCNDCWTEHFIVKINDGQSRRIRCMAPKCTAVCDEAIVRTLVSARHPDIADRFDRFLLESYIEDNNKVKWCPSIPHCGNAIRVEGDICCEVECTCGIQFCFSCLSEAHSPCSCQMWELWTRKCQDESETVNWMTVNTKPCPKCHKPVEKNGGCNLVACICGQAFCWLCGGATGRDHTWSSIAGHSCGRFKEDIAKRTERARRDLYRYMHYHNRYKAHTDSLNQESNLKESIQEKIAVSESKQSKIKDYSWVMNGLNRLFRSRRVLSYSYPFAFYMFGDELFKDEMTPQERDMKQNLFEDQQQQLEANVEKLSMFLEKEFQTFSDDEVLDTMSHVINLSNVVDKLCKQMYQCIENELLYPLQRATHNIAPYKSKGLERATELSVCWDSDQSLRSTKNNNEGSCARWDLAVNGIGTTGTGRHSGSQFPGSSSSDESGCSSTRKRPRRDVAGAAAPFDLNMPPEVIDNSRY >DRNTG_17765.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:744671:748613:-1 gene:DRNTG_17765 transcript:DRNTG_17765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEDYASSSDMEEEDMSGFYLSDREEDVLEETVLQGLESQHEEDCHWSVSSVITKESLLAAQKEDLRKVMELLALSEQHARTLLIYYRWDVERIFELLEQRGKEKLFSEAGVTIIDKSNVIGLLNSSQTVNCSICFEDVTQNSVTEMDCGHSYCNDCWTEHFIVKINDGQSRRIRCMAPKCTAVCDEAIVRTLVSARHPDIADRFDRFLLESYIEDNNKVKWCPSIPHCGNAIRVEGDICCEVECTCGIQFCFSCLSEAHSPCSCQMWELWTRKCQDESETVNWMTVNTKPCPKCHKPVEKNGGCNLVACICGQAFCWLCGGATGRDHTWSSIAGHSCGRFKEDIAKRTERARRDLYRYMHYHNRYKAHTDSLNQESNLKESIQEKIAVSESKQSKIKDYSWVMNGLNRLFRSRRVLSYSYPFAFYMFGDELFKDEMTPQERDMKQNLFEDQQQQLEANVEKLSMFLEKEFQTFSDDEVLDTMSHVINLSNVVDKLCKQMYQCIENELLYPLQRATHNIAPYKSKGLERATELSVCWDSDQSLRSTKNNNEGSCARWDLAVNGIGTTGTGRHSGSQFPGSSSSDESGCSSTRKRPRRDVAGAAAPFDLNMPPEVIDNSRY >DRNTG_06746.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000299.1:6515:11596:-1 gene:DRNTG_06746 transcript:DRNTG_06746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLIFVHETFMKDELADMLEDTPLDDEGHEWVVEEAQGLDLVANKDTKPPTKRISSTMPRKNITAWPSKESWS >DRNTG_10180.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000426.1:845:2626:-1 gene:DRNTG_10180 transcript:DRNTG_10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELEKHLSRFVQSANTRFELVEATLHNHNASLHNLENQVGQIVKSLSERPHGSLLSNTKTNPREHVKAITLRSGREEMFNPDPYEGLFDQEEGNEEVMMLGSTEEVPSTPGILKKVLQKMKRARRHHRKHSKAVGDKSSAVPADFSKSLECGGRINYTGGDGGRFATS >DRNTG_24692.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19186100:19186759:1 gene:DRNTG_24692 transcript:DRNTG_24692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDEMRKCDEACGCDVPCPGGHRCRCVTADAPLVGSTAPVGTTAVRHVTCSCGEHCSCNPCTCGRSSVSVGTGRGSCKCGGSCTCRTCAA >DRNTG_17046.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22203903:22206579:-1 gene:DRNTG_17046 transcript:DRNTG_17046.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:Projected from Arabidopsis thaliana (AT5G16530) UniProtKB/TrEMBL;Acc:A0A178UIR3] MMIGVGEIYKVVVAVAPLYTALGLGYGSVRWWHIFTPEQCEAINLLVSYFTLPFFVFEFTIHADPFSMNYQFILADVISKVVIVSILFAWAKCSSKGSYGWSITTFSLSTLTNSLVVGVPMLNAMYGPSAQDLVVQSSIFQGIVWLVLLLFVLEFRKVKIGIPTKPGVVSNQYSHVVSIDISPSSKDTAAAAAAAAAATTTTTNNNDQNTNSVADHELLIAKPSFCSLMRIVWFKLALNPNSYASIIGITWAFISNRWHFEMPSIMEGSILVMSKAGTGMAMFSMGLFMALQEKLVASGPSLMAFGMVMKFIAGPAAMAIGAIAVGLRGDVLRIAIIQAALPQSITSFIFARDYGLHADVLSTAVIFGMLVSLPVLVAYYFLFGLMS >DRNTG_17120.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:7033392:7036977:1 gene:DRNTG_17120 transcript:DRNTG_17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLLIRSNSRLRRPTNRTSFDASSSPFGIRQFATKLLKS >DRNTG_29371.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1364108:1367038:-1 gene:DRNTG_29371 transcript:DRNTG_29371.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRQCEVCKEAQSKYKCPSCLAPYCSVACFRKHKENPCQKSSSPLESVAEVLPERSYQVEESSWVLSKEQLESIVESKEIRDSLQNRELQNLIKSISSSKKS >DRNTG_29371.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1364108:1367038:-1 gene:DRNTG_29371 transcript:DRNTG_29371.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRQCEVCKEAQSKYKCPSCLAPYCSVACFRKHKENPCQKSSSPLESVAEVLPERSYQVEESSWVLSKEQLESIGTSLLSCNLSCLHHFLIDVKMTLLNFS >DRNTG_29371.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1364108:1367038:-1 gene:DRNTG_29371 transcript:DRNTG_29371.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRQCEVCKEAQSKYKCPSCLAPYCSVACFRKHKENPCQKSSSPLESVGAQSLSKGMCLSRIYCLN >DRNTG_29371.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1364108:1367038:-1 gene:DRNTG_29371 transcript:DRNTG_29371.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRQCEVCKEAQSKYKCPSCLAPYCSVACFRKHKENPCQKSSSPLESVAEVLPERSYQVEESSWVLSKEQLESIVESKEIRDSLQNRELQNLIKSISSSKKS >DRNTG_29371.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1364108:1367038:-1 gene:DRNTG_29371 transcript:DRNTG_29371.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRQCEVCKEAQSKYKCPSCLAPYCSVACFRKHKENPCQKSSSPLESVGAQSLSKAEVLPERSYQVEESSWVLSKEQLESIVESKEIRDSLQNRELQNLIKSISSSKKS >DRNTG_26697.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26935861:26947893:1 gene:DRNTG_26697 transcript:DRNTG_26697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSSLFNRSSFGVRCKTCLNLAISRIKLLRNKREAQLKHMHKEISQFLQTGQEAIARIRVEHVIREQNILAAYDILELFCEFILARIPILDTQRECPSELQEAVASIIFASPRCSDLPEFMQIRNLFTTKYGKEFVSSASELRPDSGVNRTLIEKLSVRVAPSDLRLKVLKDIAREHNVDWDSSSTEAEFRKKHEDLLEGLNSSNSPATAVDSLTNITTNTDRHLVSPSAQNQKIHQSEPQMFLTNTNSALKTANSSVQSIAKQQVNTQTEQECHGANPVVICSSDVLEQARAAIAAAERASAAARAAAELVNFKRVAQVSSDKHSK >DRNTG_01198.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29513747:29519020:-1 gene:DRNTG_01198 transcript:DRNTG_01198.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LysM domain receptor-like kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G51940) UniProtKB/Swiss-Prot;Acc:F4IB81] MAALSISLALPLILFLWTTLPSASHSTPLNCSDTTRICTSFLAFKTSQSTTSTLIQSMFDATPSDLTADPAASPGYVFVRKNCSCAAASRMYFANTTFTVRDHDGPVFPMISDAYRGLAFMPNTSRRAKVGAVVGLHLLCGCSSGLWNYLMSYVMEEGDTVESLSSRFGVSMDSIETANGMSDPNSIVIGDVYYIPLNSVPGQPYLVNSGDSASPSPAPAPLFYDISGDTGKHSSKFPYGWVIGSMGVALFLIAVVFLSCIAFKTLHCCGRQRTNRTKDPNQPTSHKFHILKSTSFCYPSGGYFCCRSSTVKSSAGDIGNHQINIPKGMFADGFNMEKPIVFTYEEILVSTDAFSDANLLGHGTYGSVYYGVLRDQEVAIKRMTATKTNEFMAEMNVLCKVHHASLVELIGYAASNDDLFLVYEYAQKGSLKNHLHEPQNKGHTSLSWISRVQIALDAARGLEYIHEHTKTNYVHRDIKTSNILLDGSFRAKISDFGLAKLLVRTADGEASATRVVGTFGYLAPEYLRDGFATTKSDVYAFGVVLFELISGKEATTRTEGTTMTNNERRSLASIMLGALKNSPNSMSMASLKEHIDPNLVDLYPHDCVFKTAMLAKQCVDDDPVLRPDMKQVVISLSHILLSSVEWEAALAGNSQVFSGLVQGR >DRNTG_27273.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22464424:22465406:1 gene:DRNTG_27273 transcript:DRNTG_27273.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSTFEIAVMLLKWMPVWVVDKVLLVVAWLVLGNISKYGIRRPLVGPMELKNREGKTPVLDTGALEKIKNGDIKVVPGIKRFFAGKVELVNGQSIDIDSVILATGYRSNVPSWFQESEFFAKNGYPKKPFPNGWKGEAGLYAVGFTRRGLSGATVDAVRIAEDIAKVWREETKQAKQFSACHRRCISQV >DRNTG_27273.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22463677:22465406:1 gene:DRNTG_27273 transcript:DRNTG_27273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVNGALIIGAGPSGLAVGACLKDRGVPFILLERSDCIASLWQNKTYDRLKLHLPKQFCQLPKLPFPDHFPEYPSKKQFIDYLESYAQHFDLKPRFNETVHCVSYDKTCNLWRVKTVVMKNGAELEYICQWLVVATGENSESVIPEIEGLEEFGLSRSVRHSCEYKSGEEFSGKKVLVVGCGNSGMEICLDLCLHNAFPTIVVRNSVHVLPREVMGKSTFEIAVMLLKWMPVWVVDKVLLVVAWLVLGNISKYGIRRPLVGPMELKNREGKTPVLDTGALEKIKNGDIKVVPGIKRFFAGKVELVNGQSIDIDSVILATGYRSNVPSWFQESEFFAKNGYPKKPFPNGWKGEAGLYAVGFTRRGLSGATVDAVRIAEDIAKVWREETKQAKQFSACHRRCISQV >DRNTG_01816.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3068337:3079462:-1 gene:DRNTG_01816 transcript:DRNTG_01816.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT3G18520) UniProtKB/TrEMBL;Acc:F4J8S1] MKKWFCTNCTMPNFDDVSHCDVCGEHKESEFFKHDVFKTRNELGTEKFSSLDSGGRDRESASDTSTAIGFDERMLLHYEVSMKSHPHPERPDRLRAIASCLADAGIFPGKCSLIPAREITEGELEMVHSVDHIETVQKSSCMVASYFTSDTYANEHSARAARLAAGLCADLAVSIMSGRSKNGFALVRPPGHHAGIKQAMGFCLHNNAAVAALAAQRAGAKKVLIVDWDVHHGNGTQEIFEGSKSVLYISLHRHEGGRFYPGTGAADEVGTMDGRGYSVNIPWSHGGVGDHDYIFAFQHIVLPIAFKFAPDMTIISAGFDAARGDPLGCCDVTPAGFAQMTNMLTSLSQGKLLVVLEGGYNLRSISSSATAVVKVLLGEKPSSEMSYVTPTKEGLQTILLVSRIQLEFWPILQETYTALRAQWDSVSSLDSRRRAKKRKRLVGPFWWKWGSKRFIYERLYKS >DRNTG_01816.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3068337:3079462:-1 gene:DRNTG_01816 transcript:DRNTG_01816.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT3G18520) UniProtKB/TrEMBL;Acc:F4J8S1] MKKWFCTNCTMPNFDDVSHCDVCGEHKESEFFKHDVFKTRNELGTEKFSSLDSGGRDRESASDTSTAIGFDERMLLHYEVSMKSHPHPERPDRLRAIASCLADAGIFPGKCSLIPAREITEGELEMVHSVDHIETVQKSSCMVASYFTSDTYANEHSARAARLAAGLCADLAVSIMSGRSKNGFALVRPPGHHAGIKQAMGFCLHNNAAVAALAAQRAGAKKVLIVDWDVHHGNGTQEIFEGSKSVLYISLHRHEGGRFYPGTGAADEVGTMDGRGYSVNIPWSHGGVGDHDYIFAFQHIVLPIAFKFAPDMTIISAGFDAARGDPLGCCDVTPAGFAQMTNMLTSLSQGKLLVVLEGGYNLRSISSSATAVVKVLLGEKPSSEMSYVTPTKEGLQTILLVSRIQLEFWPILQETYTALRAQWDSVSSLDSRRRAKKRKRLVGPFWWKWGSKRFIYERLYKS >DRNTG_01816.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3068337:3079462:-1 gene:DRNTG_01816 transcript:DRNTG_01816.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT3G18520) UniProtKB/TrEMBL;Acc:F4J8S1] MGFCLHNNAAVAALAAQRAGAKKVLIVDWDVHHGNGTQEIFEGSKSVLYISLHRHEGGRFYPGTGAADEVGTMDGRGYSVNIPWSHGGVGDHDYIFAFQHIVLPIAFKFAPDMTIISAGFDAARGDPLGCCDVTPAGFAQMTNMLTSLSQGKLLVVLEGGYNLRSISSSATAVVKVLLGEKPSSEMSYVTPTKEGLQTILLVSRIQLEFWPILQETYTALRAQWDSVSSLDSRRRAKKRKRLVGPFWWKWGSKRFIYERLYKS >DRNTG_22642.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20806182:20807232:1 gene:DRNTG_22642 transcript:DRNTG_22642.2 gene_biotype:protein_coding transcript_biotype:protein_coding YGNKRKRKERGTDSAIIDNTTPTSQRTQRGRRTKGQNTQMMHMN >DRNTG_22642.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20803368:20807232:1 gene:DRNTG_22642 transcript:DRNTG_22642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVQVLKKHNRVKKRKRKDKRTDSVIAANINPSG >DRNTG_09994.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21582206:21583151:1 gene:DRNTG_09994 transcript:DRNTG_09994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGSLETWLHPQTEEEQHKSQSLTFIHRLSIAIDIADALEYLHEGCQPPMVHCDIKPGNILLDNEMVAHVADFGLAKVLSGSSRASSRSSIDIRGSIGYVPPEYGVGGQAITSGDVYSYGILLLEMLTGKRPADDMFKNGLTLRKLVEMQISSEGFLNIVDPLMLSQNHASKEEECFVSVALVGLSCSIDSPYGRPNIAEVATKMHAIKTGIKN >DRNTG_17178.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10545773:10546108:-1 gene:DRNTG_17178 transcript:DRNTG_17178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPSLAHDRFERLKSEVGVQILECDVTFSFVLRPRTPQPPRHSPAPPSPITAPEDPPYVFPSPAVTEEPTERDTDI >DRNTG_26788.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001366.1:29730:31026:1 gene:DRNTG_26788 transcript:DRNTG_26788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAQSKARRIQIPTWNLKMIWFFSSLIQMDYHKGPIDSPLMNHHFLYTIKEEIGTVINILFIINLCLMAHFLLVESHLIAFGHYSGR >DRNTG_11660.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:183297:184752:-1 gene:DRNTG_11660 transcript:DRNTG_11660.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVLLHEIEKQKILRESIGKSTNPSDESNNVNGASSSSDALVIPVKKTTTASVGNGRENTTALTQRQKLSTINSKPGSVPDKNLPVTGKNLKAPGNPKRPSGNLTSFFDRVKKGNNASSEHTGDMLNNTGTTERDSRPLIFKYNEGYTNAVKRPVKVRELL >DRNTG_11660.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:190041:190704:-1 gene:DRNTG_11660 transcript:DRNTG_11660.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEDANSKGISDVWNRKSTTNQPPEQKVHYEQC >DRNTG_11660.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:183297:185029:-1 gene:DRNTG_11660 transcript:DRNTG_11660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYSLTYKNLKPEPAQKFFNKLGASADALVPSLDPPINDFTNFKDYQSEHYELPVAMKQVLLHEIEKQKILRESIGKSTNPSDESNNVNGASSSSDALVIPVKKTTTASVGNGRENTTALTQRQKLSTINSKPGSVPDKNLPVTGKNLKAPGNPKRPSGNLTSFFDRVKKGNNASSEHTGDMLNNTGTTERDSRPLIFKYNEGYTNAVKRPVKVRELL >DRNTG_11660.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:183297:184500:-1 gene:DRNTG_11660 transcript:DRNTG_11660.8 gene_biotype:protein_coding transcript_biotype:protein_coding IEKQKILRESIGKSTNPSDESNNVNGASSSSDALVIPVKKTTTASVGNGRENTTALTQRQKLSTINSKPGSVPDKNLPVTGKNLKAPGNPKRPSGNLTSFFDRVKKGNNASSEHTGDMLNNTGTTERDSRPLIFKYNEGYTNAVKRPVKVRELL >DRNTG_11660.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:184833:186359:-1 gene:DRNTG_11660 transcript:DRNTG_11660.5 gene_biotype:protein_coding transcript_biotype:protein_coding TECDIRSCLNTLQFLHKKKVNLNVLDIGSQVVGRKDVSRSSFDVWKEVFQKRKSKRERKSLNDGIRHLDSLYTLIANRGDYELTMDGIHENFLQLSYHDPKMQKTARCLDMLGISDSLLHYIFRTQQMSLQGRVKQ >DRNTG_11660.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:183739:185375:-1 gene:DRNTG_11660 transcript:DRNTG_11660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYSLTYKNLKPEPAQKFFNKLGASADALVPSLDPPINDFTNFKDYQSEHYELPVAMKQVLLHEIEKQKILRESIGKSTNPSDESNNVNGASSSSDALVIPVKKTTTASVGNGRENTTALTQRQKLSTINSKPGSVPDKNLPVTGKNLKAPGNPKRPSGNLTSFFDRVKKGNNASSEHTGDMLNNTGTTERDSRPLIFKYNE >DRNTG_11660.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:187541:188023:-1 gene:DRNTG_11660 transcript:DRNTG_11660.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRPVICICNDLYAPALRPLRQVANVHIFVQPTISRVVNR >DRNTG_11660.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:190563:191877:-1 gene:DRNTG_11660 transcript:DRNTG_11660.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPAADELEWLESNSFFPEEEDEEEFIVPEDEDEVQEIHALESRDQSPVTEVQNSRKRLLSVDDGVREGESGSKRRASDDEEWLRYSPPKQVFANEPTETDDPVVSVAEEKIISRFASDIEGAFIPVTGPGGDRVYAKLSCVEKVGGKSLLHRDKPSNVLLSVSIDVLMQRLEQETFQKALQESFGSPNQENQTTTHTVSGQLWVDKYAPNSFTELLSDEQTNRE >DRNTG_11660.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:188372:188616:-1 gene:DRNTG_11660 transcript:DRNTG_11660.11 gene_biotype:protein_coding transcript_biotype:protein_coding INASDDRSSSTIESKILDVVQMDSVMTDCKPKCLVIDEIDGALGEGKGAVEVILKMVN >DRNTG_11660.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:183297:191877:-1 gene:DRNTG_11660 transcript:DRNTG_11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPAADELEWLESNSFFPEEEDEEEFIVPEDEDEVQEIHALESRDQSPVTEVQNSRKRLLSVDDGVREGESGSKRRASDDEEWLRYSPPKQVFANEPTETDDPVVSVAEEKIISRFASDIEGAFIPVTGPGGDRVYAKLSCVEKVGGKSLLHRDKPSNVLLSVSIDVLMQRLEQETFQKALQESFGSPNQENQTTTHTVSGQLWVDKYAPNSFTELLSDEQTNREVLLWLKQWDSCVFGSQIRATTDDVLTALHRHSSITQHESFSERKGFNRNRRVPFVKQSLKHSNVMDKEDANSKGISDVWNRKSTTNQPPEQKVLLLCGPPGLGKTTLAHVAARHCGYRVVEINASDDRSSSTIESKILDVVQMDSVMTDCKPKCLVIDEIDGALGEGKGAVEVILKMVNAEKKPSVEKGVVGQEAQLEKSSSKKGRKAMSLSRPVICICNDLYAPALRPLRQVANVHIFVQPTISRVVNRLKYICNKEGFRTNSIALSALAEYTECDIRSCLNTLQFLHKKKVNLNVLDIGSQVVGRKDVSRSSFDVWKEVFQKRKSKRERKSLNDGIRHLDSLYTLIANRGDYELTMDGIHENFLQLSYHDPKMQKTARCLDMLGISDSLLHYIFRTQQMSLQVYHPLSAVGMSYLIAQVEKPNIEWPKSLQRCRALTVEKKDLLKSWHNKISPSISRHISIESFVEDVVSPFLHILSPQTLRPVALHLLSEREKDDLAQLVDTMVSYSLTYKNLKPEPAQKFFNKLGASADALVPSLDPPINDFTNFKDYQSEHYELPVAMKQVLLHEIEKQKILRESIGKSTNPSDESNNVNGASSSSDALVIPVKKTTTASVGNGRENTTALTQRQKLSTINSKPGSVPDKNLPVTGKNLKAPGNPKRPSGNLTSFFDRVKKGNNASSEHTGDMLNNTGTTERDSRPLIFKYNEGYTNAVKRPVKVRELL >DRNTG_11660.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:185216:190472:-1 gene:DRNTG_11660 transcript:DRNTG_11660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEDANSKGISDVWNRKSTTNQPPEQKVLLLCGPPGLGKTTLAHVAARHCGYRVVEINASDDRSSSTIESKILDVVQMDSVMTDCKPKCLVIDEIDGALGEGKGAVEVILKMVNAEKKPSVEKGVVGQEAQLEKSSSKKGRKAMSLSRPVICICNDLYAPALRPLRQVANVHIFVQPTISRVVNRLKYICNKEGFRTNSIALSALAEYTECDIRSCLNTLQFLHKKKVNLNVVSYLLFFLVNDSCRLLLVHFYEILF >DRNTG_31511.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14182158:14183635:1 gene:DRNTG_31511 transcript:DRNTG_31511.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSGHARLQTVSRLNPLFLTLVFRVSSLFLFGDLLLHQKDIDESEDQAYPHFLRLQGASLRHKGSGASTQSSTLEANLKEKGVMSFFSVRQELCEMILSFCEQTTQWCNVVHAEISSAREVVQHSPISVEFENNLHFAGFMNDKASWEDSPLVISYNQDMCAIITDSIWVDSEAIVVPAV >DRNTG_03429.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3211948:3212361:-1 gene:DRNTG_03429 transcript:DRNTG_03429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLLKVPSIMRMISSPRADKLSDFSEYSTSCVAEKGHFFVYTSEGKRFMIPLAYLTNNIFKELLRISEEEFGLPCDGPITLPCDAASMEYVLSLLRRGVSKEIEMQLLSSIFVSRQSACSMLAVEQPQQLAVCSF >DRNTG_24925.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001317.1:12056:16224:-1 gene:DRNTG_24925 transcript:DRNTG_24925.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNPSTLVEEDPEIERRFRRRGKEPVQEQNSPAVIDIERSENMAEQEGQQRTLSDFARPTIRGTQSSIVRPPINDNEYDNDSILNNENNNKRKDKSKEGGQVLYSSSKDSFVRYRIRLDRSSPTNLLPNGITMSEP >DRNTG_26609.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19909863:19911194:-1 gene:DRNTG_26609 transcript:DRNTG_26609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAKPEEKKEETKDQEMKEEKTEEKNVEANTSPPNPVILFVDLHCVGCAKKIERTILKYRGVEEVEINMVQNQVTVKGIVDPQALCSRIQKKTRRNAKIMSPVPPADQGDTNKPDIVVESQVNGTVPTVELLVNMHCDACAQTLQKKILEIRGVQRVEAELKSGKVIVTGTIEAEKLVDYIHRRTGKIAKPITPPKEEVKIEDDKPPEEKKEEKHDEEGMKKEDDTEEKKDEKEPTVAQEQESSAVGDEKKQEGYAEGGNNNTTVVSEEDMVKKAMNWSPFYMIERLPPPQIFSDENPNACCIS >DRNTG_17578.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3549357:3553483:-1 gene:DRNTG_17578 transcript:DRNTG_17578.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRAFCCFSGQMSSSRWKICALLLVFFYSFTSGVYVGINIGTDMSNLPSPSDIVSILQHHGIKHVRLFDADHQMLNALANTGIEVMVGVPNGQILQIGESKTEAANWINKNIAAFVPATNITYIAVGDEVLTTIPNAALVLVPALQFLESALLAANLNFQVKVSSPHSMGVIQKSFPPSTATFNSTWNSVMVQYLQFLKNTGSSFMLNAQPYYGYTTGGGIFPLEYALFRMLNPNKQIVDPNTLFSYSNMFDAMVDAAYYSMEAVNFSAIPVIVTATGWPWFGGANEKDADVDNALAYNSNLIRHVLNGSGTPSQPSIPINTYISALFNEDLRSGAVSEKNWGLFFPNGTTVYSLNFGDIAELDTNSTGLVGVFCVALSNANRTALKVGLDWACGPGGANCSAIQPGQPCYDANDLVAIASYAFNDYYHRTQASGGTCNFGNTATITQVDPSHGSCIFAGSTGSNTSSGGSFSPPTSAFGPISPGNGGSTSQPTEFISLVLLSALLFFINL >DRNTG_17578.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3549357:3553483:-1 gene:DRNTG_17578 transcript:DRNTG_17578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRAFCCFSGQMSSSRWKICALLLVFFYSFTSGVYVGINIGTDMSNLPSPSDIVSILQHHGIKHVRLFDADHQMLNALANTGIEVMVGVPNGQILQIGESKTEAANWINKNIAAFVPATNITYIAVGDEVLTTIPNAALVLVPALQFLESALLAANLNFQVKVSSPHSMGVIQKSFPPSTATFNSTWNSVMVQYLQFLKNTGSSFMLNAQPYYGYTTGGGIFPLEYALFRMLNPNKQIVDPNTLFSYSNMFDAMVDAAYYSMEAVNFSAIPVIVTATGWPWFGGANEKDADVDNALAYNSNLIRHVLNGSGTPSQPSIPINTYISALFNEDLRSGAVSEKNWGLFFPNGTTVYSLNFGDIAELDTNSTGLVGVFCVALSNANRTALKVGLDWACGPGGANCSAIQPGQPCYDANDLVAIASYAFNDYYHRTQASGGTCNFGNTATITQVDPSHGSCIFAGSTGSNTSSGGSFSPPTSAFGPISPGNGGSTSQPTEFISLVLLSALLFFINL >DRNTG_17578.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3549357:3553483:-1 gene:DRNTG_17578 transcript:DRNTG_17578.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRAFCCFSGQMSSSRWKICALLLVFFYSFTSGVYVGINIGTDMSNLPSPSDIVSILQHHGIKHVRLFDADHQMLNALANTGIEVMVGVPNGQILQIGESKTEAANWINKNIAAFVPATNITYIAVGDEVLTTIPNAALVLVPALQFLESALLAANLNFQVKVSSPHSMGVIQKSFPPSTATFNSTWNSVMVQYLQFLKNTGSSFMLNAQPYYGYTTGGGIFPLEYALFRMLNPNKQIVDPNTLFSYSNMFDAMVDAAYYSMEAVNFSAIPVIVTATGWPWFGGANEKDADVDNALAYNSNLIRHVLNGSGTPSQPSIPINTYISALFNEDLRSGAVSEKNWGLFFPNGTTVYSLNFGDIAELDTNSTGLVGVFCVALSNANRTALKVGLDWACGPGGANCSAIQPGQPCYDANDLVAIASYAFNDYYHRTQASGGTCNFGNTATITQVDPSHGSCIFAGSTGSNTSSGGSFSPPTSAFGPISPGNGGSTSQPTEFISLVLLSALLFFINL >DRNTG_17578.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3549357:3553483:-1 gene:DRNTG_17578 transcript:DRNTG_17578.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLPSPSDIVSILQHHGIKHVRLFDADHQMLNALANTGIEVMVGVPNGQILQIGESKTEAANWINKNIAAFVPATNITYIAVGDEVLTTIPNAALVLVPALQFLESALLAANLNFQVKVSSPHSMGVIQKSFPPSTATFNSTWNSVMVQYLQFLKNTGSSFMLNAQPYYGYTTGGGIFPLEYALFRMLNPNKQIVDPNTLFSYSNMFDAMVDAAYYSMEAVNFSAIPVIVTATGWPWFGGANEKDADVDNALAYNSNLIRHVLNGSGTPSQPSIPINTYISALFNEDLRSGAVSEKNWGLFFPNGTTVYSLNFGDIAELDTNSTGLVGVFCVALSNANRTALKVGLDWACGPGGANCSAIQPGQPCYDANDLVAIASYAFNDYYHRTQASGGTCNFGNTATITQVDPSHGSCIFAGSTGSNTSSGGSFSPPTSAFGPISPGNGGSTSQPTEFISLVLLSALLFFINL >DRNTG_17578.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3549357:3553483:-1 gene:DRNTG_17578 transcript:DRNTG_17578.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLPSPSDIVSILQHHGIKHVRLFDADHQMLNALANTGIEVMVGVPNGQILQIGESKTEAANWINKNIAAFVPATNITYIAVGDEVLTTIPNAALVLVPALQFLESALLAANLNFQVKVSSPHSMGVIQKSFPPSTATFNSTWNSVMVQYLQFLKNTGSSFMLNAQPYYGYTTGGGIFPLEYALFRMLNPNKQIVDPNTLFSYSNMFDAMVDAAYYSMEAVNFSAIPVIVTATGWPWFGGANEKDADVDNALAYNSNLIRHVLNGSGTPSQPSIPINTYISALFNEDLRSGAVSEKNWGLFFPNGTTVYSLNFGDIAELDTNSTGLVGVFCVALSNANRTALKVGLDWACGPGGANCSAIQPGQPCYDANDLVAIASYAFNDYYHRTQASGGTCNFGNTATITQVDPSHGSCIFAGSTGSNTSSGGSFSPPTSAFGPISPGNGGSTSQPTEFISLVLLSALLFFINL >DRNTG_24973.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6933626:6934711:1 gene:DRNTG_24973 transcript:DRNTG_24973.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYVVTRWYRAPELLLCCENYDTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIINVLGTRTDADLGFIDNPKARKYIKSLPYTPGTPFSTLYPRANPLAIDLLQKMLVFDPSKRISVTEALEHPYMSPLYDPNSNPPAQVPIDLDIDEDLGEDVIREMMWQEMLHYHPESAAATADVN >DRNTG_06216.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8402248:8402868:-1 gene:DRNTG_06216 transcript:DRNTG_06216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWRSVVSSKGPLVRRRGHHARSVLTKASPITFFQGLNRESTGPCGFTTRASGITHERVEIPHARVDSLEIAFLGLLWTISVIVNFYSVCYNTLLRATLMEILLYMHKPKYLNVATFVPTQIIVLT >DRNTG_23825.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29508106:29511124:1 gene:DRNTG_23825 transcript:DRNTG_23825.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFGIITILCCSMFKENIRSDVVFSGIVSLVRSEKHFPACLDDYSGMGLNNFKLIFCLSIVVVVLILSIQLLIGMYLFIIFLFIVINLIINLSKLSHVLVFLFVFAAVLALIGRFVIAPLTICAFLLYKWTSMMVSNDFVERFLRNHQQTLAPTRYSYTDIIAMTSHFREKLGQGGFGSVYKGRLPGDRLIAVKLLRNSKSNGDDFINEVSTIGMIHHVNVVKLIGFCSEGSDRALVYEYMPNGSLDKYIFSSSNSNNKFSSAKLNEIALGIARGINYLHQGCDMRILHFDIKPHNILLDHNFTPKVSDFGLAKLYPRDNSLVAVSAVRGTIGYMAPELISRSFGVISYKSDVYSFGMLLLEMASGKRNADPKVGTTSQIYYPSWIYDKLNPINAETEIITEPDIVINETEKKLCMVGLWCIQIRPMDRPPMSKVVEMLEGDVDSLQMPPKPFFAASKPQLSPTLFLNSTEDAEHTTISEDD >DRNTG_14771.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20982788:20995142:1 gene:DRNTG_14771 transcript:DRNTG_14771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFEKALTRFVQSLDTRFQLVEATLRNHTASLHNLENQVEQIAKSLLERPQGSLSSNIETNPREYVKAITLRSGREVEGRLPSERSNEHAPEEMFNPDPYEGLFDQKVENEEVMMLSSTEQVPSTSRIPKKVMCIASRTRCKIENPHGRVEIPHACESLNCAQKDLRDVSSLMREFSYA >DRNTG_09549.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22709318:22716313:1 gene:DRNTG_09549 transcript:DRNTG_09549.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HUA1 [Source:Projected from Arabidopsis thaliana (AT3G12680) UniProtKB/TrEMBL;Acc:A0A178VA41] MDFRCKFNHPKDKANASSGAEYSDNSVLPERPSEAICAFYAKTGTCNFGATCKFHHPKDIQIPLTGHVNGHTGYGELALMYNRASVPALMHNTKGLPIRPGESDCPFYIKTGSCKYGAACRFNHPERNFAFGSAMFPSAATSLPFGILNSAANIMQTIDPRLTQATLSMAIYPQRPGQIECDFYMKTGHCKFGDRCKYDHPLDRSGSISAANQAGQLNVKLTLAGLPRREGSTVCAYYMKTGTCKFGPTCKYDHPPPGEAVAKATVHGNQQEEMENTGEEKTAVV >DRNTG_04160.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:449133:453340:-1 gene:DRNTG_04160 transcript:DRNTG_04160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKAMEGLLILDANPKIARGGNASSAQARTEEETGQLQRKRTEQKFQPKNQHMSNRETNFSQKIPTHVKQGNEQEVLINEKH >DRNTG_04160.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:447701:453340:-1 gene:DRNTG_04160 transcript:DRNTG_04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWHSKGHATPPTASTITVHDLMTNQEMDKATRLGHKHEQSTEI >DRNTG_04160.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:447701:450014:-1 gene:DRNTG_04160 transcript:DRNTG_04160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASNAESRENAKTRKARSQAGWSCLRQGLQVSSAYKVPTGER >DRNTG_25127.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20200050:20200410:1 gene:DRNTG_25127 transcript:DRNTG_25127.2 gene_biotype:protein_coding transcript_biotype:protein_coding SWDFDSCGYHGDDGCLFLERTYRKPFGPTFATW >DRNTG_25127.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20199235:20200410:1 gene:DRNTG_25127 transcript:DRNTG_25127.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLASWCSSLGFEIFSWDFDSCGYHGDDGCLFLERTYRKPFGPTFATW >DRNTG_32916.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1200377:1205061:1 gene:DRNTG_32916 transcript:DRNTG_32916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTCALSSPALINGNGKLAPRPHCSPVKGLPPLPSSLNRSLHPFVLKNTIGFVVVRATGETPAEGETELPEILNTIQETWNSLDDKYAVAALVFALVVALWCSTGLISAVDRLPLLPGIFELIGIGYTGWFIYCNLIFKPDREALITKVKCTYSDIIGKSY >DRNTG_32916.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1200377:1205061:1 gene:DRNTG_32916 transcript:DRNTG_32916.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTCALSSPALINGNGKLAPRPHCSPVKGLPPLPSSLNRSLHPFVLKNTIGCHILAKVVVVRATGETPAEGETELPEILNTIQETWNSLDDKYAVAALVFALVVALWCSTGLISAVDRLPLLPGIFELIGIGYTGWFIYCNLIFKPDREALITKVKCTYSDIIGKSY >DRNTG_01326.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:52010:54479:1 gene:DRNTG_01326 transcript:DRNTG_01326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELFFSIAIISFILLLPLLLHLLLKNPNPNPNPPSPPGLPLIGHLHLLKPPHHRALAHLSDLHGPILLLRFGSRRVLLVSSYSDANECFTVNDITFANRPRLLAGKYLGYNYRTLSWAPYGPHWRNLRRIATMQVLSTHRLLSSSHLRSDEVLSLVKVLLRDYSGPGFHLAELRTKFFALSYNIVMRMIANKRYYGDADESSSEAGKEFRDLAKETFSGSAMSNAADLFPVVRWLGIGGQERRLKRLRKRRDTFFQQLVNEHRNMRKCGSRGGEGSPAEKSTVIDVLLSLQESDPDYYDDDMIKGFITQMLIAGTDTTSLTIEWMMSLLLNNPHILKKVREELDANIKPGSLLEEAYFSKLPYLYAVINETLRMYPAGPVLLPHESSQDCIINGFYVPSGTILLVNVWKVHRDPEFWEEPNKFKPERFFKSSTDGTCKVINEGFKMMPFGLGRRRCPGEVLAMRVVALVVGTLVHCFEWEKVGDEEVDMSEGPEFTLTKAKPLEAMYKPRESKVGSLVWE >DRNTG_03372.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30140516:30143837:-1 gene:DRNTG_03372 transcript:DRNTG_03372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGATMMDSGSSRPAVAAPRYSLPPCRFMNEDVLFCVDVDVESKMEMKLSGPKGRPFTRLDAVRQAILLFVHSKLSINSDHRFAFSVLGQSVSWLRKEFSNDVDSARAALHSLTAADSPYGIADLTQLFRIAAHEGKKSRAQGRHLRVILIYCRSSLRPQHQWPVSQKLFTFDVIYLHDKPGPENCPQRVYDALVDALEHVSEYEGYILESGHGLSRVLFRHISVLLSHPQQRCVQDDLDIPKSLTRKSPLPTEASQSEESVPVSSQ >DRNTG_33180.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23516715:23517392:-1 gene:DRNTG_33180 transcript:DRNTG_33180.2 gene_biotype:protein_coding transcript_biotype:protein_coding RFSTVSALMVYISFHFVAIVIGLALSSAFIVFICIRLSAVVPREPELRGELGFQVSPCSCS >DRNTG_33180.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23515123:23517392:-1 gene:DRNTG_33180 transcript:DRNTG_33180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYISFHFVAIVIGLALSSAFIVFICIRLSAVVPREPELRGELGFQLKKTNKGLEPIKLAAFPTMIYNSEVLHSREDIQCSICLGEYQQSELIRTLPTCGHCFHLICIDSWLHNHSTCPVCRLSLNDSDDSLIEILQAMEVDSPPLHPLTHP >DRNTG_10724.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21694923:21701337:-1 gene:DRNTG_10724 transcript:DRNTG_10724.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQHSQEFLNYFRFVLWWVALGVASSIGLGSGLHTFVLYLGPHIAFFTIKAMKCGRVDLKFAPYDTIQLKRGPSWLEKDCAQFGPPLYLPSAGSLVKIPVSIILPHVQLEAVLWGIGTALGELPPYFISSAARLSGNKLDAMEELDTSSKDDGFVSAFLKRLKRWFLNHSQHLNFFTILVLASVPNPLFDLAGIMCGQFGIPFWKFFLATLIGKAVIKTHIQTVFIICVCNNQLLEWVENELFWVLGLFPGFSYMLPNIITKLHLAKERYLSAPAPVTAAVSDIQGEKQWNMSFSLIWNSVVWLMLVNFFIKIVTATAQSYLKKQQDMELKKLLELKQSNED >DRNTG_10724.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21694923:21701337:-1 gene:DRNTG_10724 transcript:DRNTG_10724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGYPATLNTLPDDVHPSILELRERHQLELQNLTLTTQPFRTLQLFIFSTLIHLKRSFLYVLMKGSRFMLLSMLIVGFIVLFMTTNGSREKHSQEFLNYFRFVLWWVALGVASSIGLGSGLHTFVLYLGPHIAFFTIKAMKCGRVDLKFAPYDTIQLKRGPSWLEKDCAQFGPPLYLPSAGSLVKIPVSIILPHVQLEAVLWGIGTALGELPPYFISSAARLSGNKLDAMEELDTSSKDDGFVSAFLKRLKRWFLNHSQHLNFFTILVLASVPNPLFDLAGIMCGQFGIPFWKFFLATLIGKAVIKTHIQTVFIICVCNNQLLEWVENELFWVLGLFPGFSYMLPNIITKLHLAKERYLSAPAPVTAAVSDIQGEKQWNMSFSLIWNSVVWLMLVNFFIKIVTATAQSYLKKQQDMELKKLLELKQSNED >DRNTG_34194.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18866845:18868045:-1 gene:DRNTG_34194 transcript:DRNTG_34194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITGEMDTFLIAKKLKKIGKVDIVSVGPAKQEKKDDKK >DRNTG_20226.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:21371963:21373176:1 gene:DRNTG_20226 transcript:DRNTG_20226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALVPHELAKAVAMMTKGQPHAHASALVPLSSFTLHNAILDDPSCFFLMKWHHASGPQMKQVHLTTIPLEELEFVTSKVCELVIASG >DRNTG_23478.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2837550:2840192:-1 gene:DRNTG_23478 transcript:DRNTG_23478.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQASKRIAVVTGANKGIGLEIVKQLASNGIMVLLTARDVKRGTEAVEKLKDSGFSDVVFHQLDVSDSASIASLVDFIKTEFGKLDILVNNAAVLGIFVDLQDLDSSTKEIIEKGEGPAFFKLLDAAVEDYEKTEECLNINYYGTKKVIDALMPFLQLSHSPRIVNVSSSAGKLQYIPGESIRREFGDADGLSEEKLDELLRHFLSDFKAKNLEQNGWPTRLSAYIISKVALNALTRILAKKYPKFCINCVHPGYVKTEINFNTGTKTVEEGAKGPVMLALLPDGSPSGFYYDQTSVSTYE >DRNTG_23478.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2837550:2840192:-1 gene:DRNTG_23478 transcript:DRNTG_23478.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQASKRIAVVTGANKGIGLEIVKQLASNGIMVLLTARDVKRGTEAVEKLKDSGFSDVVFHQLDVSDSASIASLVDFIKTEFGKLDILVNNAAVLGIFVDLQDLDSSTKEIIEKGEGPAFFKLLDAAVEDYEKTEECLNINYYGTKKVIDALMPFLQLSHSPRIVNVSSSAGKLQYIPGESIRREFGDADGLSEEKLDELLRHFLSDFKAKNLEQNGWPTRLSAYIISKVALNALTRILAKKYPKFCINCVHPGYVKTEINFNTGTKTVEEGAKGPVMLALLPDGSPSGFYYDQTSVSTYE >DRNTG_23478.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2837550:2840192:-1 gene:DRNTG_23478 transcript:DRNTG_23478.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQASKRIAVVTGANKGIGLEIVKQLASNGIMVLLTARDVKRGTEAVEKLKDSGFSDVVFHQLDVSDSASIASLVDFIKTEFGKLDILVNNAAVLGIFVDLQDLDSSTKEIIEKGEGPAFFKLLDAAVEDYEKTEECLNINYYGTKKVIDALMPFLQLSHSPRIVNVSSSAGKLQYIPGESIRREFGDADGLSEEKLDELLRHFLSDFKAKNLEQNGWPTRLSAYIISKVALNALTRILAKKYPKFCINCVHPGYVKTEINFNTGTKTVEEGAKGPVMLALLPDGSPSGFYYDQTSVSTYE >DRNTG_10418.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14273799:14275497:-1 gene:DRNTG_10418 transcript:DRNTG_10418.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPIFPDSILPFLSLSRDSSTSFAPAVVAPIVTPTTTRPPPSSTPHSPGSDRRSLSHLGVLDVPQSSLKPPFRGFQAIGAIIGIRRRQNN >DRNTG_10418.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14275020:14275497:-1 gene:DRNTG_10418 transcript:DRNTG_10418.4 gene_biotype:protein_coding transcript_biotype:protein_coding IPIFPDSILPFLSLSRDSSTSFAPAVVAPIVTPTTTRPPPSSTPHSPGSDRRSLSHLGVLDVPQSSLKPPFRGFQAIGAIIGIRRRQNN >DRNTG_27529.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21075844:21077212:-1 gene:DRNTG_27529 transcript:DRNTG_27529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPCIVSWNCLLDGYVKSGEIENARKVFEEMPERDTVSWTTMLLGYVNEGMLDEACCLFDEMPEKNMVSWSVMIKGFWRSGCYKEALDLFKEMQVLDIEIDKITLTTLLSACAGLGALDQGCWIHAFIDKHDIQVDAHLCTALVDMYAKCGRLDLARKVFRGFKKRKVFVWNAMLGGLAMHSLGLEAVVLFSEMLSSGIRPNEITFICVLSACSHSGLVKDGLQIFHSMAEDYKIKPCVQHYGCLVDLLGRAGLFEEAKRVIEVMPMKANGNVWRALLGACRVHGCAELGERVGKMLLEMEPMDDGNYVLLSNVYAVDNRWEDVARLRKEMKEKRVLKTPGWSSIELDGVAYMFASGDLSHPQSRDIYSLLDELTKQLVVANVQ >DRNTG_17205.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:328120:328453:-1 gene:DRNTG_17205 transcript:DRNTG_17205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGWDKLWKLHVAPRVKHFIWLMFHGCISTTDFLNSINIGPHTLCFLQH >DRNTG_28284.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11894629:11901353:-1 gene:DRNTG_28284 transcript:DRNTG_28284.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKNTDQMKQDCKEEEQDTKNGDEEDEVHLPLPTQKGDVIGWERGHFWDCLRREKLAQLVAEQRDATSRVKAIKSQALLVVDKVSGASKKNKGKEVMLYAHQVAQAFRHGDPTFVAALLEKSNKRNKDDSLDSLLASQSNRRRLDDDRCRQFSYPALISILNLALNDVDCYPNLHLQKERRRSPSNLNLGLNNFSRCKHNHADGHANDCAGLQMLGSDFSAKPITKRGSPRRCLLPVLIEHDSVRSTVTVLMFPRDFQ >DRNTG_07611.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000340.1:36116:37378:1 gene:DRNTG_07611 transcript:DRNTG_07611.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAINATTIMSSSTQSLIPHKLNLSSNNLIHPSMSIEPSQHSINYSSRPRLSTMWKEIQGSKNWNNLINPLSPLLRQELIRYGDFVTACYKACDLDTSSKMYSKCKHAKNNMFEEVGMDNCGYTITKYIYATPEIGIQAKDGAWIGYIAVSSDNESARIGRRDILVSFRGTVTRCEWIANFMSSLSPARLHPDNPRPEIKVESGFLSLYTSSNNGSKFCQESCREQLLSEISRLMNKYGGNDHEEMSISLAGHSMGSSLALLLGYDLAELGLNYGVPITVYSFGGPRVGNIGFKKRCEELGVKVLRVVNVHDPVTKLPGVFLNENFRVFGDETSCYAHVGVELGLNFFKMKNPACVHDLEAYLGLLKFPKKKKKKKSSIGYNLVESAWKKSLLSLQNIDAWPWHDAARQVGDLVQSLGF >DRNTG_17629.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4372667:4373815:-1 gene:DRNTG_17629 transcript:DRNTG_17629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKINGEANILTVNAAKDYGVPKFILIFVHDYNLPSFLVSSGYFTRKHKFCVLQQHSCFTPTTGIVLRPGFIYGKRKIDGFEIPLNLIGEPLERLLSTKNFAASPLRSLPASDLLLAPLVSVDDVAFAVLNAVIDDDFFGVYTIEQIKEAAAKQRT >DRNTG_09555.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22653963:22659306:1 gene:DRNTG_09555 transcript:DRNTG_09555.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNCINVFGRILNNGIFFTKFFKLITDAFSPICECRIHVEQRHNSRKSIVDFPFRPELRGLKGSQSTQPKRERARERERERRKENRAKERRKKRAVYIYLLLYSRVGREWDPHN >DRNTG_09555.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22653963:22659306:1 gene:DRNTG_09555 transcript:DRNTG_09555.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNCINVFGRILNNGIFFTKFFKLITDAFSPICECRIHVEQRHNSRKSIVDFPFRPELRGLKGSQSTQPKRERARERERERRKENRAKERRKKRAVYIYLLLYSRVGREWDPHN >DRNTG_09555.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22653963:22659306:1 gene:DRNTG_09555 transcript:DRNTG_09555.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNCINVFGRILNNGIFFTKFFKLITDAFSPICECRIHVEQRHNSRKSIVDFPFRPELRGLKGSQSTQPKRERARERERERRKENRAKERRKKRAVYIYLLLYSRVGREWDPHN >DRNTG_09555.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22653963:22659306:1 gene:DRNTG_09555 transcript:DRNTG_09555.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNCINVFGRILNNGIFFTKFFKLITDAFSPICECRIHVEQRHNSRKSIVDFPFRPELRGLKGSQSTQPKRERARERERERRKENRAKERRKKRAVYIYLLLYSRVGREWDPHN >DRNTG_09555.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22653963:22659306:1 gene:DRNTG_09555 transcript:DRNTG_09555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNCINVFGRILNNGIFFTKFFKLITDAFSPICECRIHVEQRHNSRKSIVDFPFRPELRGLKGSQSTQPKRERARERERERRKENRAKERRKKRAVYIYLLLYSRVGREWDPHN >DRNTG_09555.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22653963:22659306:1 gene:DRNTG_09555 transcript:DRNTG_09555.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNCINVFGRILNNGIFFTKFFKLITDAFSPICECRIHVEQRHNSRKSIVDFPFRPELRGLKGSQSTQPKRERARERERERRKENRAKERRKKRAVYIYLLLYSRVGREWDPHN >DRNTG_15698.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4440879:4447822:-1 gene:DRNTG_15698 transcript:DRNTG_15698.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to RAD23 protein, isoform II [Source: Projected from Oryza sativa (Os02g0179300)] MKLTVKTLKGSHFQIEVQPSDTVMAVKKNIEEAQGKESYPCGLQLLIYSGKVLKDESTLEENNIGEEGFLVVMLSKSKSSGPAGSSAVTQNKSSGSAGSSAVTQPSAAAAPNQPPIVDTPSQAPSSADTASAIEGTTTSGSSNAYGQAASNIVAGSNIEPMVQHLMDMGGGNWDKETVQRALRAAYNNPERAVEYLYSGIPASAEVAIPAATNPLNQSSLGGNTTDAAVTGLPNSSPLDMFPQGAQNTGVGAGGGALEFLRNNQQFQALRAMVQANPQILQPMLQELAKQNPHLLRLIQEHHAEFLQLINEPVEGVEGDLFDQPEHEMPNSISVTPSEQAAIERLEAMGFDRPRVIEAFLACDRDEQLAANYLLEHAGDED >DRNTG_15698.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4440879:4468746:-1 gene:DRNTG_15698 transcript:DRNTG_15698.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to RAD23 protein, isoform II [Source: Projected from Oryza sativa (Os02g0179300)] MKLTVKTLKGSHFQIDVQPSDTVMAVKKNIEEAQGKESYPCGLQLLIYSGKVLKDESTLEENNINEEGFLVVMLSKNKSSGSAGSSAATQPSTAVPSNQPAIVDTPSQAPASADVANTIEGTTTSGSSNAYGQAASNIVAGSNIEPMVQHLMDMGGGNWDKETVQRALRAAYNNPERAVEYLYSGIPAAAEVAIPAATNPLNQSGLGGNPTDAGITGLPNSSPLDMFPQGAQNTGVGAGGGALEFLRNNQQFQALRAMVQANPQILQPMLQELAKQNPHLLRLIQEHHAEFLQLINEPVEGVEGDLFDQPEHEMPNSISVTPSEQAAIERLEAMGFDRPRVIEAFLACDRDEQLAANYLLEHAGDED >DRNTG_15698.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4461490:4468746:-1 gene:DRNTG_15698 transcript:DRNTG_15698.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to RAD23 protein, isoform II [Source: Projected from Oryza sativa (Os02g0179300)] MKLTVKTLKGSHFQIDVQPSDTVMAVKKNIEEAQGKESYPCGLQLLIYSGKVLKDESTLEENNINEEGFLVVMLSKNKSSGSAGSSAATQPSTAVPSNQPAIVDTPSQAPASADVANTIEGTTTSGSSNAYGQAASNIVAGSNIEPMVQHLMDMGGGNWDKETVQRALRAAYNNPERAVEYLYSGIPAAAEVAIPAATNPLNQSGLGGNPTDAGITGLPNSSPLDMFPQGAQNTGVGAGGGALEFLRNNQQFQALRAMVQANPQILQPMLQELAKQNPHLLRLIQEHHAEFLQLINEPVEGVEGDLFDQPEQEMANTISVTPSEQAAIERLEAMGFDRPRVIEAFLACDRDEQLAANYLLEHAGDED >DRNTG_15698.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4461490:4468746:-1 gene:DRNTG_15698 transcript:DRNTG_15698.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to RAD23 protein, isoform II [Source: Projected from Oryza sativa (Os02g0179300)] MKLTVKTLKGSHFQIDVQPSDTVMAVKKNIEEAQGKESYPCGLQLLIYSGKVLKDESTLEENNINEEGFLVVMLSKNKSSGSAGSSAATQPSTAVPSNQPAIVDTPSQAPASADVANTIEGTTTSGSSNAYGQAASNIVAGSNIEPMVQHLMDMGGGNWDKETVQRALRAAYNNPERAVEYLYSGIPAAAEVAIPAATNPLNQSGLGGNPTDAGITGLPNSSPLDMFPQGAQNTGVGAGGGALEFLRNNQQFQALRAMVQANPQILQPMLQELAKQNPHLLRLIQEHHAEFLQLINEPVEGVEGDLFDQPEQEMANTISVTPSEQAAIERLEAMGFDRPRVIEAFLACDRDEQLAANYLLEHAGDED >DRNTG_01876.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21130659:21135707:-1 gene:DRNTG_01876 transcript:DRNTG_01876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRAFITLLLALLLISSPVLQVVRCQDDAAFSVSEAEGGDLGIVGDDLQDFGDGSFSAAPGVATVCVFPKNGARLVPAGEETELLVGLHNEGEATLNVIGIRATVHFLYDHRMLVQNLTAQEFYNASVPVSAQAAFPYIFAVSKFLQPGAFDLVGTIVYEIDQQLYQGTFYNGSIEVVEAGGFLSVESVFLVTLGAALIGIFGLWAYGQIQHFSKKTKKATKVEVGTRNADANMDEWLQGTAYSKSLSSKSKKK >DRNTG_31169.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13319435:13327820:-1 gene:DRNTG_31169 transcript:DRNTG_31169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRLTQMRKDGKRQTKQGSKHVWTIEKDSMLVECLTRLAQSDGEENATIPPSLANATATSQGPSHDAPAKKSKRKRSLGQDTANDEFSSTVSSIGTWINSSGKHISRLANCFQFLADEADSKKKVFSELLKIEGLSQSERIRAGVLIVSGTSKVSYFFSLPDECRKEYVTWVLGGCQ >DRNTG_32912.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1179602:1181049:-1 gene:DRNTG_32912 transcript:DRNTG_32912.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEISRFLRTHARSIAGKSQLFINSYAKALEIIPRQLCDNAGFDATDVLNKLRQKHALGTGANYGVDINTGGVADSYTNFVWEPAVVKINAINAATEAACLVLSVDETVKNPKSESAQGEAAASAMAGHGGAAFRGRGGRGMRR >DRNTG_32912.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1179602:1180603:-1 gene:DRNTG_32912 transcript:DRNTG_32912.5 gene_biotype:protein_coding transcript_biotype:protein_coding IIPRQLCDNAGFDATDVLNKLRQKHALGTGANYGVDINTGGVADSYTNFVWEPAVVKINAINAATEAACLVLSVDETVKNPKSESAQGEAAASAMAGHGGAAFRGRGGRGMRR >DRNTG_32912.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1181815:1182403:-1 gene:DRNTG_32912 transcript:DRNTG_32912.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSFLVNGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEIRLSDPMQYQSIVDAEWNIIYDKLDKCVKSGAKIVLSRLPIGDLGTQYFADRDVFCAGRVAEEDLRRVAAATGGTVQTSVNNFVDEV >DRNTG_32912.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1181815:1183902:-1 gene:DRNTG_32912 transcript:DRNTG_32912.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVGSSIEQQPQIILLKEGTDTSQGKAHVVSNINACTAVADAVRTTLGPRGMDKLIHDDKGNTTISNDGATIMKLLDIVHPAAKILVDIAKSQDSEVGDGTTTVMLLAGEFLKFEKIFIEDGVHPQNLIRSYRTACYLYLHFDFQQAIEKIKEIAVSIEGKSMEEKKSLLAKCAATTLSSKLIGGEKEFFASMVVDAVMAIGDDDRLNLIGIKKVPGGNMRDSFLVNGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEIRLSDPMQYQSIVDAEWNIIYDKLDKCVKSGAKIVLSRLPIGDLGTQYFADRDVFCAGRVAEEDLRRVAAATGGTVQTSVNNFVDEV >DRNTG_32912.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1179602:1183902:-1 gene:DRNTG_32912 transcript:DRNTG_32912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIHRISLGVTGQHAIWLAIEKIKEIAVSIEGKSMEEKKSLLAKCAATTLSSKLIGGEKEFFASMVVDAVMAIGDDDRLNLIGIKKVPGGNMRDSFLVNGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEIRLSDPMQYQSIVDAEWNIIYDKLDKCVKSGAKIVLSRLPIGDLGTQYFADRDVFCAGRVAEEDLRRVAAATGGTVQTSVNNFVDEVLGSCEVFEEKQVGNERFNIFSGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVPDGNKPFLENTCSINCWEVTIIY >DRNTG_32912.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1179602:1182146:-1 gene:DRNTG_32912 transcript:DRNTG_32912.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYQSIVDAEWNIIYDKLDKCVKSGAKIVLSRLPIGDLGTQYFADRDVFCAGRVAEEDLRRVAAATGGTVQTSVNNFVDEVLGSCEVFEEKQVGNERFNIFSGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVPDGNKPFLENTCSINCWEVTIIY >DRNTG_32912.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1183464:1183902:-1 gene:DRNTG_32912 transcript:DRNTG_32912.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVGSSIEQQPQIILLKEGTDTSQGKAHVVSNINACTAVADAVRTTLGPRGMDKLIHDDKGNTTISNDGATIMKLLDIVHPAAKILVDIAKSQDSE >DRNTG_27736.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001405.1:19715:20960:-1 gene:DRNTG_27736 transcript:DRNTG_27736.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGVHRFAVENFAADSLNESKEKEVVLFDEASEVRALIEGQLLSMRGHTERFGMPTPPNRIIATGGASSNQSILKSIAAIFGCPIYTVQRPDSASLGAALRAAHGWLCNKEGAFVPISNMYEGKLEKTSLAAKLAMKAGGKELLSKYTLMMKKRMEIEKALVDKYGRK >DRNTG_27736.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001405.1:19715:21465:-1 gene:DRNTG_27736 transcript:DRNTG_27736.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGVHRFAVENFAADSLNESKEKEVVLFDEASEVRALIEGQLLSMRGHTERFGMPTPPNRIIATGGASSNQSILKSIAAIFGCPIYTVQRPDSASLGAALRAAHGWLCNKEGAFVPISNMYEGKLEKTSLAAKLAMKAGGKELLSKYTLMMKKRMEIEKALVDKYGRK >DRNTG_29501.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21115850:21117137:1 gene:DRNTG_29501 transcript:DRNTG_29501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAQPLHVHGLSNSFDRSTCPCNC >DRNTG_24280.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29578783:29587083:-1 gene:DRNTG_24280 transcript:DRNTG_24280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMKLADFDRDVGCCSCLGFLRKSDSDMDRFLEDEFAYERMLSSGNGYHSEDYEKAFHLPGKDRVICREHPVKETTVLVRSENADGHRMVNEYVRECNIGHGSYGKVVLYQSNSDGKQYAIKAFYKSRLAKVRVSSFETALTDVRREVSILKTLQHPNIVNLVEVIDDPDSDHFYMVLEYVEGKLVYDASGMCDGIEEDTARRYLRDIISGLMYLHAHDIVHGDIKPENILVTGNGTAKICDFSVSHAFGDGNDELRRSPGTPVFTAPECCFGSSYHGKAADVWAVGVTLYCMIFGKCPFIGDCLQDTYDKIVNSPLELPEDMDFELSDLLQGLLCKDPKRRVTLNTVAEHPWVVREDGPLPRFSCRCKSRTIGAKTEIIVT >DRNTG_24280.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29578783:29587083:-1 gene:DRNTG_24280 transcript:DRNTG_24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMKLADFDRDVGCCSCLGFLRKSDSDMDRFLEDEFAYERMLSSGNGYHSEDYEKAFHLPGKDRVICREHPVKETTVLVRSENADGHRMVNEYVRECNIGHGSYGKVVLYQSNSDGKQYAIKAFYKSRLAKVRVSSFETALTDVRREVSILKTLQHPNIVNLVEVIDDPDSDHFYMVLEYVEGKLVYDASGMCDGIEEDTARRYLRDIISGLMYLHAHDIVHGDIKPENILVTGNGTAKICDFSVSHAFGDGNDELRRSPGTPVFTAPECCFGSSYHGKAADVWAVGVTLYCMIFGKCPFIGDCLQDTYDKIVNSPLELPEDMDFELSDLLQGLLCKDPKRRVTLNTVAEHPWVVREDGPLPRFSCRCKSRTIGAKTEIIVT >DRNTG_24280.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29578783:29587083:-1 gene:DRNTG_24280 transcript:DRNTG_24280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEYVEGKLVYDASGMCDGIEEDTARRYLRDIISGLMYLHAHDIVHGDIKPENILVTGNGTAKICDFSVSHAFGDGNDELRRSPGTPVFTAPECCFGSSYHGKAADVWAVGVTLYCMIFGKCPFIGDCLQDTYDKIVNSPLELPEDMDFELSDLLQGLLCKDPKRRVTLNTVAEHPWVVREDGPLPRFSCRCKSRTIGAKTEIIVT >DRNTG_24280.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29578783:29587083:-1 gene:DRNTG_24280 transcript:DRNTG_24280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEYVEGKLVYDASGMCDGIEEDTARRYLRDIISGLMYLHAHDIVHGDIKPENILVTGNGTAKICDFSVSHAFGDGNDELRRSPGTPVFTAPECCFGSSYHGKAADVWAVGVTLYCMIFGKCPFIGDCLQDTYDKIVNSPLELPEDMDFELSDLLQGLLCKDPKRRVTLNTVAEHPWVVREDGPLPRFSCRCKSRTIGAKTEIIVT >DRNTG_03935.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2631556:2632288:1 gene:DRNTG_03935 transcript:DRNTG_03935.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHLQTKENSLSPSVSEEDVNADTPFHHCEAYHLPPPNVMVQPAQP >DRNTG_23982.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30913341:30918164:1 gene:DRNTG_23982 transcript:DRNTG_23982.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTAASADWERRTSEQETREESTRSRADLRCL >DRNTG_23982.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30913341:30918164:1 gene:DRNTG_23982 transcript:DRNTG_23982.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTAASADWERRTSEQETREESTRSRADLRCL >DRNTG_01077.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6490250:6494166:1 gene:DRNTG_01077 transcript:DRNTG_01077.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKQLGGHFALARKESFHLNYVSRIFTSVRAQVKLSILAQVQVDAHVMQPQYNKEGRVLMFTAQTSWDLVLAEICERWGLEVFVVRVKFITLKTVCPIENDVDFQWMCHVHSIFKCAVVNLVVETDDVPLSNPTENEFFSLNSDSARAPVQPHGDHNGVGCLPSSSEYSEVLSLDIEQRFDGVEHFRDAWLGKEHARVVLDGSDISSYNLLLWPLLFLDGTHLLGKYRGTLLDATSKDENNGLFHIAFGIVDNETDTNWTWSKGLVNAIARVFSSSPHAYSLRHLEANFMKANVRLGKTLREECWSICFYIAWASTAKELDDTLNELQSTLAEARHWLINKSDMAHWSNYLFRGDRWGKMCSNVAESFNAWIKEAHHLPVTKMVDSIRFKLMCMLCNCREQANKWETYLYPNIHSKVEILIEDSRNFRVGHCVDDRYEVID >DRNTG_13070.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:954585:959700:-1 gene:DRNTG_13070 transcript:DRNTG_13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGSDKIKPRDVCVVGVARTPIGAFLGSLSSFSATKLGSIAIECALKRANVDPALVQEVFFGNVLNANLGQAPARQAALGAGIPNTVICTTINKVCASGMKATMFAAQSIQLGINDIVVSGGMESMSNAPKYLAEARKGSRFGHDTIVDGMLKDGLWDVYNDCSMGICAELCSDNHSITREEQDAFAIQSNERGISARDSGAFAWEIAPVEVSLGRGKPSIIVDKDESLDKFDAVKLKKLRPSFKENRGTVTAGNSSSISDGAAALVLVSGEKALELGLQVIAKITGYADAAQAPELFTTAPALAIPKAISNAGLEPSQVDYYEINEAFSVVAVANMKLLGLPWVRVNAHGGAVSLGHPLGCSGARILVTLLGVLRHRNGKAGVAAVCNGGGGASALVLELMPHVRAERSLL >DRNTG_13070.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:954585:955743:-1 gene:DRNTG_13070 transcript:DRNTG_13070.3 gene_biotype:protein_coding transcript_biotype:protein_coding SSLSLTRLASALRRRVNAHGGAVSLGHPLGCSGARILVTLLGVLRHRNGKAGVAAVCNGGGGASALVLELMPHVRAERSLL >DRNTG_29926.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5392553:5393355:1 gene:DRNTG_29926 transcript:DRNTG_29926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLWSGFPSIYFLSRLLQSSSTGTSSNELQVKITQLCETIDQLQDKNKELQESLYEVRDDNKGLQQSLHEMRAKKDGYRNQMLRQMQDMMMDFETRMLQRSQFTQDSQPASNDHDIDM >DRNTG_03397.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20915506:20917820:-1 gene:DRNTG_03397 transcript:DRNTG_03397.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT2G43560) UniProtKB/TrEMBL;Acc:A0A178VVY6] MSSSSLLSWAHPLLPFGSRVARTSINGHHQIVHTNKLINFEGNCSDVNLGCQAFFRRGKCDDEVSLLSRRNVISLIFGSLAFDFGSSHVIGAGLPPEEKPKICDDACEKELENVPMMTTESGLQYKDIKVGEGPSPPIGFQVAANYVAMVPTGQIFDSSLEKGLPYIFRVGSGQVIKGLDEGILSMKVGGKRRLYIPGSLAFPKGLNSAPGRPRVAPNSPVTFDVSLEYIPGLEDE >DRNTG_03397.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20915506:20917820:-1 gene:DRNTG_03397 transcript:DRNTG_03397.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT2G43560) UniProtKB/TrEMBL;Acc:A0A178VVY6] MVPMMTTESGLQYKDIKVGEGPSPPIGFQVAANYVAMVPTGQIFDSSLEKGLPYIFRVGSGQVIKGLDEGILSMKVGGKRRLYIPGSLAFPKGLNSAPGRPRVAPNSPVTFDVSLEYIPGLEDE >DRNTG_32366.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7713531:7717371:1 gene:DRNTG_32366 transcript:DRNTG_32366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVGQRQGYEDLGGSQPSRDDGHQEDALYVRSLCEDFSSVQKSNKGKTVVEGQAVPLLNLASMIREIEEQKQKKIKMMNNESFAGVGSTIASLMFLWAVCRQYFPYQLENYFSKYYTKFITLIYPYIEISFPEYSGQRIKRSEAYTAIESYLSNTTSERARRLKAEMGHDSDKLILSMAENEEITDEFCGVKLWWFSRKTSSDRQTISFYPTDEDKRSYRLTFHRRHRVLINESYLPLVIQQGKAIAVQKRKRKLYTNSSSFDYTEYRKLVWSHVPFEHPATFETLAVDPQMKGEIMQDLVKFSKSKEYYAKIGKPWKRGYLLYGPPGTGKSTMIAAMANLLDYDVYDLELTAVKDNSALRKLLLNTTSKSIIVIEDIDCSLDLSGKRKTGGDQQEGNKEEEEKKKAMGGPPGKEESKVTLSGLLNCIDGLWSACGGEKLIVFTTNHIEKLDPALIRRGRMDKHIELGFCGYEGFKVLAKNYLGVESHPLFDSIHELLKEKKMSPADVAENLMPKNESEDQADLCLQSLVKALKECKSVNEKDEEEKVEEEEQNLKTNEEMLENDGIVVDNEGKENKED >DRNTG_08506.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28931196:28938975:1 gene:DRNTG_08506 transcript:DRNTG_08506.7 gene_biotype:protein_coding transcript_biotype:protein_coding MCVKERIYCSSGQCCQSFPSNCLTGPCFSRDEPFYGSKEQCACEKPKINASITHDAEDEKRLKTNQIDCFRKHAIVQSDCQIAFWRDVPNRVLEHSKDTGKEKSLCVMESDGFITNKHTVTAVKEFDCTYQESKSLKERQVINVSSGPSDYSAPAATEISVKTNDSDSFSEDDGTAEFVHDFTADEESRIEKCGSSDYPPDCRFLEVEEHVSLSSSKSKDVGNKSGAFRENLELQQPKRNFKVKKRKGPIKWRSLNDYLPVPALANTHSDLPDAIGNSKVCLSSLKETEAPIEPSSEMQKLSNLSCKSPSIKRKRSTLSSIKSLYWKRFKSYQLILEDDKAQSSSHNCNHDGIRFDDDLLVNHMQEPAEREHYQVDAEVPHSDMSLCGKSSPDHETVKFSKKPRPIVCGNLGVISDGLNNQQKPAKILSLKLVMKNSKRCERFGCEGKDQLSSSLQRKSCPITCDSSCNDLLLEEETSNGSHSSIVNNSIVPKITEVLDPVSMVSYSNKVCLNKNGGCVDHISMTKKANNYANSKSGTCKEGSLHIYMETRKRSLSKLMGEDNKMIISTCSPSLGEKECYNVIEAGDGEFDEESCSKKIVSGASAAEINYVSDSQKLQHGVSETLIGTRRSRKGRASRSLLADSDAFCCVCGSSNNEEIDRLLECSQCLIRVHQACYGVSKVPKGHWYCRPCKVKSKNIVCVLCGYGGGAMTRALKSRNIVRSLLKVWKVGLEFKPMESFQNETREPSLYDEASRSSPGCGSPRYPGTYYGDVPKVDLQDQDMKLNFDNHQNNLQADNTIINGVYDPCLTQWVHMVCGLWTPGTRCPNVDTMNAFDVSGASPARNGIVCSICNRPGGACIRCRVVNCSIHFHPWCAHQKGLLQSETEGVDNENVGFYGRCLLHATYQSCLADSNSVDTQVESPRNKEFSCARIEGFRGRKREEGFNLNFRKHYKDGMGRIVTQAQINAWIFINGQKSFLRGPQKVPCSDVEHDFRKEYIRYKQMKGWKRLVVYKSGIHALGLYTAQFIVRGAMVVEYVGEIVGLRVADKREIEYQSGRKLQYKSACYFFRIDKEHIIDATRKGGIARFVNHSCLPNCVAKIISVRNEKKVVFFAERDINPGEEITYDYHFNHEDEGKKLPCFLQFKKLSPVS >DRNTG_08506.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28925196:28938975:1 gene:DRNTG_08506 transcript:DRNTG_08506.6 gene_biotype:protein_coding transcript_biotype:protein_coding MCGTSVSHPSLGLKKSSPAKECEVDKGKYLSETFWDYRNGVSSNIELRLGQPSQHNQTFVGPISSSVHPSQTEVPSNSHKACLPQPLMCSSKLAAECAPEINKIRPLWSYSSERSAPNCRLLQNAIGKEVTTDNSETDLMDNSAKNSAISLFLSHLNYTEGNNTSNIVDECENYMSSTLDDYSLTARSNLTEFIKNDNDVTEGKSCINAAYPINRCEKRKDQSVDVHGWNNILGHNSVVDDKLEIDNGGISELGNHRCYCIGDSLSYLYPHQQPGVLNVASNAGSAKHCGKFPLGEVTGHHKHLNYKSTNAVHVATHSGPLDLQIPSNIPGTNSCFSGTQSSLTSKQRADNAHHSVDENLILLALRHEADFSKLELSNNSTETSNLHARSCCLSAMALLRNGNKDATATSDELRQMPCCSMQQGAFNHFRAVHSCSNSYCGAGYNIITGKPGVAGPNTCSCSGLQPRISLCCKEHDILCPMCHPCGSGGQALLRNGRSSNNVATEHAKCEMCVKERIYCSSGQCCQSFPSNCLTGPCFSRDEPFYGSKEQCACEKPKINASITHDAEDEKRLKTNQIDCFRKHAIVQSDCQIAFWRDVPNRVLEHSKDTGKEKSLCVMESDGFITNKHTVTAVKEFDCTYQESKSLKERQVINVSSGPSDYSAPAATEISVKTNDSDSFSEDDGTAEFVHDFTADEESRIEKCGSSDYPPDCRFLEVEEHVSLSSSKSKDVGNKSGAFRENLELQQPKRNFKVKKRKGPIKWRSLNDYLPVPALANTHSDLPDAIGNSKVCLSSLKETEAPIEPSSEMQKLSNLSCKSPSIKRKRSTLSSIKSLYWKRFKSYQLILEDDKAQSSSHNCNHDGIRFDDDLLVNHMQEPAEREHYQVDAEVPHSDMSLCGKSSPDHETVKFSKKPRPIVCGNLGVISDGLNNQQKPAKILSLKLVMKNSKRCERFGCEGKDQLSSSLQRKSCPITCDSSCNDLLLEEETSNGSHSSIVNNSIVPKITEVLDPVSMVSYSNKVCLNKNGGCVDHISMTKKANNYANSKSGTCKEGSLHIYMETRKRSLSKLMGEDNKMIISTCSPSLGEKECYNVIEAGDGEFDEESCSKKIVSGASAAEINYVSDSQKLQHGVSETLIGTRRSRKGRASRSLLADSDAFCCVCGSSNNEEIDRLLECSQCLIRVHQACYGVSKVPKGHWYCRPCKVKSKNIVCVLCGYGGGAMTRALKSRNIVRSLLKVWKVGLEFKPMESFQNETREPSLYDEASRSSPGCGSPRYPGTYYGDVPKVDLQDQDMKLNFDNHQNNLQADNTIINGVYDPCLTQWVHMVCGLWTPGTRCPNVDTMNAFDVSGASPARNGIVCSICNRPGGACIRCRVVNCSIHFHPWCAHQKGLLQSETEGVDNENVGFYGRCLLHATYQSCLADSNSVDTQVESPRNKEFSCARIEGFRGRKREEGFNLNFRKHYKDGMGRIVTQAQINAWIFINGQKSFLRGPQKVPCSDVEHDFRKEYIRYKQMKGWKRLVVYKSGIHALGLYTAQFIVRGAMVVEYVGEIVGLRVADKREIEYQSGRKLQYKSACYFFRIDKEHIIDATRKGGIARFVNHSCLPNCVAKIISVRNEKKVVFFAERDINPGEEITYDYHFNHEDEGKKLPCFLQFKKLSPVS >DRNTG_08506.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28924235:28938975:1 gene:DRNTG_08506 transcript:DRNTG_08506.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGTSVSHPSLGLKKSSPAKECEVDKGKYLSETFWDYRNGVSSNIELRLGQPSQHNQTFVGPISSSVHPSQTEVPSNSHKACLPQPLMCSSKLAAECAPEINKIRPLWSYSSERSAPNCRLLQNAIGKEVTTDNSETDLMDNSAKNSAISLFLSHLNYTEGNNTSNIVDECENYMSSTLDDYSLTARSNLTEFIKNDNDVTEGKSCINAAYPINRCEKRKDQSVDVHGWNNILGHNSVVDDKLEIDNGGISELGNHRCYCIGDSLSYLYPHQQPGVLNVASNAGSAKHCGKFPLGEVTGHHKHLNYKSTNAVHVATHSGPLDLQIPSNIPGTNSCFSGTQSSLTSKQRADNAHHSVDENLILLALRHEADFSKLELSNNSTETSNLHARSCCLSAMALLRNGNKDATATSDELRQMPCCSMQQGAFNHFRAVHSCSNSYCGAGYNIITGKPGVAGPNTCSCSGLQPRISLCCKEHDILCPMCHPCGSGGQALLRNGRSSNNVATEHAKCEMCVKERIYCSSGQCCQSFPSNCLTGPCFSRDEPFYGSKEQCACEKPKINASITHDAEDEKRLKTNQIDCFRKHAIVQSDCQIAFWRDVPNRVLEHSKDTGKEKSLCVMESDGFITNKHTVTAVKEFDCTYQESKSLKERQVINVSSGPSDYSAPAATEISVKTNDSDSFSEDDGTAEFVHDFTADEESRIEKCGSSDYPPDCRFLEVEEHVSLSSSKSKDVGNKSGAFRENLELQQPKRNFKVKKRKGPIKWRSLNDYLPVPALANTHSDLPDAIGNSKVCLSSLKETEAPIEPSSEMQKLSNLSCKSPSIKRKRSTLSSIKSLYWKRFKSYQLILEDDKAQSSSHNCNHDGIRFDDDLLVNHMQEPAEREHYQVDAEVPHSDMSLCGKSSPDHETVKFSKKPRPIVCGNLGVISDGLNNQQKPAKILSLKLVMKNSKRCERFGCEGKDQLSSSLQRKSCPITCDSSCNDLLLEEETSNGSHSSIVNNSIVPKITEVLDPVSMVSYSNKVCLNKNGGCVDHISMTKKANNYANSKSGTCKEGSLHIYMETRKRSLSKLMGEDNKMIISTCSPSLGEKECYNVIEAGDGEFDEESCSKKIVSGASAAEINYVSDSQKLQHGVSETLIGTRRSRKGRASRSLLADSDAFCCVCGSSNNEEIDRLLECSQCLIRVHQACYGVSKVPKGHWYCRPCKVKSKNIVCVLCGYGGGAMTRALKSRNIVRSLLKVWKVGLEFKPMESFQNETREPSLYDEASRSSPGCGSPRYPGTYYGDVPKVDLQDQDMKLNFDNHQNNLQADNTIINGVYDPCLTQWVHMVCGLWTPGTRCPNVDTMNAFDVSGASPARNGIVCSICNRPGGACIRCRVVNCSIHFHPWCAHQKGLLQSETEGVDNENVGFYGRCLLHATYQSCLADSNSVDTQVESPRNKEFSCARIEGFRGRKREEGFNLNFRKHYKDGMGRIVTQAQINAWIFINGQKSFLRGPQKVPCSDVEHDFRKEYIRYKQMKGWKRLVVYKSGIHALGLYTAQFIVRGAMVVEYVGEIVGLRVADKREIEYQSGRKLQYKSACYFFRIDKEHIIDATRKGGIARFVNHSCLPNCVAKIISVRNEKKVVFFAERDINPGEEITYDYHFNHEDEGKKLPCFLQFKKLSPVS >DRNTG_08506.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28925196:28938975:1 gene:DRNTG_08506 transcript:DRNTG_08506.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRELPFATSKSKLTSRTPISPNNLQLYGSCHHTSDSSKIVHQAFQGNNNGMALSSTGHEWRNLSMPTNAMYHQSMNHGIVPKSTLKVKSAISNQASALCGGHLHAFCLNTVGQLFLSDTGLLGVLCLCHSLPMSVAKFCEHSGSSSVYPGDAVHLENGLTVAQWFHLSFGIRIPDNDNGWDWPDGFSPKSGPAASKANNFPLLLKNLGTSPSESFCGFKRYGEQWNNFVHPSHSSTGAPEQITHDRSLSTIVNNDYLGNASASTSLYSKNFSGSAQCSTSAAAKSQVVHAVKEAPVWIHRSASVLALHKREQSAGHQFVVDNAGTGMCGTSVSHPSLGLKKSSPAKECEVDKGKYLSETFWDYRNGVSSNIELRLGQPSQHNQTFVGPISSSVHPSQTEVPSNSHKACLPQPLMCSSKLAAECAPEINKIRPLWSYSSERSAPNCRLLQNAIGKEVTTDNSETDLMDNSAKNSAISLFLSHLNYTEGNNTSNIVDECENYMSSTLDDYSLTARSNLTEFIKNDNDVTEGKSCINAAYPINRCEKRKDQSVDVHGWNNILGHNSVVDDKLEIDNGGISELGNHRCYCIGDSLSYLYPHQQPGVLNVASNAGSAKHCGKFPLGEVTGHHKHLNYKSTNAVHVATHSGPLDLQIPSNIPGTNSCFSGTQSSLTSKQRADNAHHSVDENLILLALRHEADFSKLELSNNSTETSNLHARSCCLSAMALLRNGNKDATATSDELRQMPCCSMQQGAFNHFRAVHSCSNSYCGAGYNIITGKPGVAGPNTCSCSGLQPRISLCCKEHDILCPMCHPCGSGGQALLRNGRSSNNVATEHAKCEMCVKERIYCSSGQCCQSFPSNCLTGPCFSRDEPFYGSKEQCACEKPKINASITHDAEDEKRLKTNQIDCFRKHAIVQSDCQIAFWRDVPNRVLEHSKDTGKEKSLCVMESDGFITNKHTVTAVKEFDCTYQESKSLKERQVINVSSGPSDYSAPAATEISVKTNDSDSFSEDDGTAEFVHDFTADEESRIEKCGSSDYPPDCRFLEVEEHVSLSSSKSKDVGNKSGAFRENLELQQPKRNFKVKKRKGPIKWRSLNDYLPVPALANTHSDLPDAIGNSKVCLSSLKETEAPIEPSSEMQKLSNLSCKSPSIKRKRSTLSSIKSLYWKRFKSYQLILEDDKAQSSSHNCNHDGIRFDDDLLVNHMQEPAEREHYQVDAEVPHSDMSLCGKSSPDHETVKFSKKPRPIVCGNLGVISDGLNNQQKPAKILSLKLVMKNSKRCERFGCEGKDQLSSSLQRKSCPITCDSSCNDLLLEEETSNGSHSSIVNNSIVPKITEVLDPVSMVSYSNKVCLNKNGGCVDHISMTKKANNYANSKSGTCKEGSLHIYMETRKRSLSKLMGEDNKMIISTCSPSLGEKECYNVIEAGDGEFDEESCSKKIVSGASAAEINYVSDSQKLQHGVSETLIGTRRSRKGRASRSLLADSDAFCCVCGSSNNEEIDRLLECSQCLIRVHQACYGVSKVPKGHWYCRPCKVKSKNIVCVLCGYGGGAMTRALKSRNIVRSLLKVWKVGLEFKPMESFQNETREPSLYDEASRSSPGCGSPRYPGTYYGDVPKVDLQDQDMKLNFDNHQNNLQADNTIINGVYDPCLTQWVHMVCGLWTPGTRCPNVDTMNAFDVSGASPARNGIVCSICNRPGGACIRCRVVNCSIHFHPWCAHQKGLLQSETEGVDNENVGFYGRCLLHATYQSCLADSNSVDTQVESPRNKEFSCARIEGFRGRKREEGFNLNFRKHYKDGMGRIVTQAQINAWIFINGQKSFLRGPQKVPCSDVEHDFRKEYIRYKQMKGWKRLVVYKSGIHALGLYTAQFIVRGAMVVEYVGEIVGLRVADKREIEYQSGRKLQYKSACYFFRIDKEHIIDATRKGGIARFVNHSCLPNCVAKIISVRNEKKVVFFAERDINPGEEITYDYHFNHEDEGKKLPCFLQFKKLSPVS >DRNTG_08506.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28925196:28938975:1 gene:DRNTG_08506 transcript:DRNTG_08506.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCHPCGSGGQALLRNGRSSNNVATEHAKCEMCVKERIYCSSGQCCQSFPSNCLTGPCFSRDEPFYGSKEQCACEKPKINASITHDAEDEKRLKTNQIDCFRKHAIVQSDCQIAFWRDVPNRVLEHSKDTGKEKSLCVMESDGFITNKHTVTAVKEFDCTYQESKSLKERQVINVSSGPSDYSAPAATEISVKTNDSDSFSEDDGTAEFVHDFTADEESRIEKCGSSDYPPDCRFLEVEEHVSLSSSKSKDVGNKSGAFRENLELQQPKRNFKVKKRKGPIKWRSLNDYLPVPALANTHSDLPDAIGNSKVCLSSLKETEAPIEPSSEMQKLSNLSCKSPSIKRKRSTLSSIKSLYWKRFKSYQLILEDDKAQSSSHNCNHDGIRFDDDLLVNHMQEPAEREHYQVDAEVPHSDMSLCGKSSPDHETVKFSKKPRPIVCGNLGVISDGLNNQQKPAKILSLKLVMKNSKRCERFGCEGKDQLSSSLQRKSCPITCDSSCNDLLLEEETSNGSHSSIVNNSIVPKITEVLDPVSMVSYSNKVCLNKNGGCVDHISMTKKANNYANSKSGTCKEGSLHIYMETRKRSLSKLMGEDNKMIISTCSPSLGEKECYNVIEAGDGEFDEESCSKKIVSGASAAEINYVSDSQKLQHGVSETLIGTRRSRKGRASRSLLADSDAFCCVCGSSNNEEIDRLLECSQCLIRVHQACYGVSKVPKGHWYCRPCKVKSKNIVCVLCGYGGGAMTRALKSRNIVRSLLKVWKVGLEFKPMESFQNETREPSLYDEASRSSPGCGSPRYPGTYYGDVPKVDLQDQDMKLNFDNHQNNLQADNTIINGVYDPCLTQWVHMVCGLWTPGTRCPNVDTMNAFDVSGASPARNGIVCSICNRPGGACIRCRVVNCSIHFHPWCAHQKGLLQSETEGVDNENVGFYGRCLLHATYQSCLADSNSVDTQVESPRNKEFSCARIEGFRGRKREEGFNLNFRKHYKDGMGRIVTQAQINAWIFINGQKSFLRGPQKVPCSDVEHDFRKEYIRYKQMKGWKRLVVYKSGIHALGLYTAQFIVRGAMVVEYVGEIVGLRVADKREIEYQSGRKLQYKSACYFFRIDKEHIIDATRKGGIARFVNHSCLPNCVAKIISVRNEKKVVFFAERDINPGEEITYDYHFNHEDEGKKLPCFLQFKKLSPVS >DRNTG_08506.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28925196:28938975:1 gene:DRNTG_08506 transcript:DRNTG_08506.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCGTSVSHPSLGLKKSSPAKECEVDKGKYLSETFWDYRNGVSSNIELRLGQPSQHNQTFVGPISSSVHPSQTEVPSNSHKACLPQPLMCSSKLAAECAPEINKIRPLWSYSSERSAPNCRLLQNAIGKEVTTDNSETDLMDNSAKNSAISLFLSHLNYTEGNNTSNIVDECENYMSSTLDDYSLTARSNLTEFIKNDNDVTEGKSCINAAYPINRCEKRKDQSVDVHGWNNILGHNSVVDDKLEIDNGGISELGNHRCYCIGDSLSYLYPHQQPGVLNVASNAGSAKHCGKFPLGEVTGHHKHLNYKSTNAVHVATHSGPLDLQIPSNIPGTNSCFSGTQSSLTSKQRADNAHHSVDENLILLALRHEADFSKLELSNNSTETSNLHARSCCLSAMALLRNGNKDATATSDELRQMPCCSMQQGAFNHFRAVHSCSNSYCGAGYNIITGKPGVAGPNTCSCSGLQPRISLCCKEHDILCPMCHPCGSGGQALLRNGRSSNNVATEHAKCEMCVKERIYCSSGQCCQSFPSNCLTGPCFSRDEPFYGSKEQCACEKPKINASITHDAEDEKRLKTNQIDCFRKHAIVQSDCQIAFWRDVPNRVLEHSKDTGKEKSLCVMESDGFITNKHTVTAVKEFDCTYQESKSLKERQVINVSSGPSDYSAPAATEISVKTNDSDSFSEDDGTAEFVHDFTADEESRIEKCGSSDYPPDCRFLEVEEHVSLSSSKSKDVGNKSGAFRENLELQQPKRNFKVKKRKGPIKWRSLNDYLPVPALANTHSDLPDAIGNSKVCLSSLKETEAPIEPSSEMQKLSNLSCKSPSIKRKRSTLSSIKSLYWKRFKSYQLILEDDKAQSSSHNCNHDGIRFDDDLLVNHMQEPAEREHYQVDAEVPHSDMSLCGKSSPDHETVKFSKKPRPIVCGNLGVISDGLNNQQKPAKILSLKLVMKNSKRCERFGCEGKDQLSSSLQRKSCPITCDSSCNDLLLEEETSNGSHSSIVNNSIVPKITEVLDPVSMVSYSNKVCLNKNGGCVDHISMTKKANNYANSKSGTCKEGSLHIYMETRKRSLSKLMGEDNKMIISTCSPSLGEKECYNVIEAGDGEFDEESCSKKIVSGASAAEINYVSDSQKLQHGVSETLIGTRRSRKGRASRSLLADSDAFCCVCGSSNNEEIDRLLECSQCLIRVHQACYGVSKVPKGHWYCRPCKVKSKNIVCVLCGYGGGAMTRALKSRNIVRSLLKVWKVGLEFKPMESFQNETREPSLYDEASRSSPGCGSPRYPGTYYGDVPKVDLQDQDMKLNFDNHQNNLQADNTIINGVYDPCLTQWVHMVCGLWTPGTRCPNVDTMNAFDVSGASPARNGIVCSICNRPGGACIRCRVVNCSIHFHPWCAHQKGLLQSETEGVDNENVGFYGRCLLHATYQSCLADSNSVDTQVESPRNKEFSCARIEGFRGRKREEGFNLNFRKHYKDGMGRIVTQAQINAWIFINGQKSFLRGPQKVPCSDVEHDFRKEYIRYKQMKGWKRLVVYKSGIHALGLYTAQFIVRGAMVVEYVGEIVGLRVADKREIEYQSGRKLQYKSACYFFRIDKEHIIDATRKGGIARFVNHSCLPNCVAKIISVRNEKKVVFFAERDINPGEEITYDYHFNHEDEGKKLPCFLQFKKLSPVS >DRNTG_08506.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28933406:28938975:1 gene:DRNTG_08506 transcript:DRNTG_08506.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTRALKSRNIVRSLLKVWKVGLEFKPMESFQNETREPSLYDEASRSSPGCGSPRYPGTYYGDVPKVDLQDQDMKLNFDNHQNNLQADNTIINGVYDPCLTQWVHMVCGLWTPGTRCPNVDTMNAFDVSGASPARNGIVCSICNRPGGACIRCRVVNCSIHFHPWCAHQKGLLQSETEGVDNENVGFYGRCLLHATYQSCLADSNSVDTQVESPRNKEFSCARIEGFRGRKREEGFNLNFRKHYKDGMGRIVTQAQINAWIFINGQKSFLRGPQKVPCSDVEHDFRKEYIRYKQMKGWKRLVVYKSGIHALGLYTAQFIVRGAMVVEYVGEIVGLRVADKREIEYQSGRKLQYKSACYFFRIDKEHIIDATRKGGIARFVNHSCLVWSILFQSYKFHSPFCQCL >DRNTG_08506.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28924235:28938975:1 gene:DRNTG_08506 transcript:DRNTG_08506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGWQSKLPSTWPPSPPGTVPLTPPPPPIVSGSASQEPFVFSNLNLSSSWSGQAEVSNPILALLSGDSRQLSSTLLQFPNSASVATKLSTHSSDVIISSAGGVIPISGLASSSDNHGNDPMGNRELPFATSKSKLTSRTPISPNNLQLYGSCHHTSDSSKIVHQAFQGNNNGMALSSTGHEWRNLSMPTNAMYHQSMNHGIVPKSTLKVKSAISNQASALCGGHLHAFCLNTVGQLFLSDTGLLGVLCLCHSLPMSVAKFCEHSGSSSVYPGDAVHLENGLTVAQWFHLSFGIRIPDNDNGWDWPDGFSPKSGPAASKANNFPLLLKNLGTSPSESFCGFKRYGEQWNNFVHPSHSSTGAPEQITHDRSLSTIVNNDYLGNASASTSLYSKNFSGSAQCSTSAAAKSQVVHAVKEAPVWIHRSASVLALHKREQSAGHQFVVDNAGTGMCGTSVSHPSLGLKKSSPAKECEVDKGKYLSETFWDYRNGVSSNIELRLGQPSQHNQTFVGPISSSVHPSQTEVPSNSHKACLPQPLMCSSKLAAECAPEINKIRPLWSYSSERSAPNCRLLQNAIGKEVTTDNSETDLMDNSAKNSAISLFLSHLNYTEGNNTSNIVDECENYMSSTLDDYSLTARSNLTEFIKNDNDVTEGKSCINAAYPINRCEKRKDQSVDVHGWNNILGHNSVVDDKLEIDNGGISELGNHRCYCIGDSLSYLYPHQQPGVLNVASNAGSAKHCGKFPLGEVTGHHKHLNYKSTNAVHVATHSGPLDLQIPSNIPGTNSCFSGTQSSLTSKQRADNAHHSVDENLILLALRHEADFSKLELSNNSTETSNLHARSCCLSAMALLRNGNKDATATSDELRQMPCCSMQQGAFNHFRAVHSCSNSYCGAGYNIITGKPGVAGPNTCSCSGLQPRISLCCKEHDILCPMCHPCGSGGQALLRNGRSSNNVATEHAKCEMCVKERIYCSSGQCCQSFPSNCLTGPCFSRDEPFYGSKEQCACEKPKINASITHDAEDEKRLKTNQIDCFRKHAIVQSDCQIAFWRDVPNRVLEHSKDTGKEKSLCVMESDGFITNKHTVTAVKEFDCTYQESKSLKERQVINVSSGPSDYSAPAATEISVKTNDSDSFSEDDGTAEFVHDFTADEESRIEKCGSSDYPPDCRFLEVEEHVSLSSSKSKDVGNKSGAFRENLELQQPKRNFKVKKRKGPIKWRSLNDYLPVPALANTHSDLPDAIGNSKVCLSSLKETEAPIEPSSEMQKLSNLSCKSPSIKRKRSTLSSIKSLYWKRFKSYQLILEDDKAQSSSHNCNHDGIRFDDDLLVNHMQEPAEREHYQVDAEVPHSDMSLCGKSSPDHETVKFSKKPRPIVCGNLGVISDGLNNQQKPAKILSLKLVMKNSKRCERFGCEGKDQLSSSLQRKSCPITCDSSCNDLLLEEETSNGSHSSIVNNSIVPKITEVLDPVSMVSYSNKVCLNKNGGCVDHISMTKKANNYANSKSGTCKEGSLHIYMETRKRSLSKLMGEDNKMIISTCSPSLGEKECYNVIEAGDGEFDEESCSKKIVSGASAAEINYVSDSQKLQHGVSETLIGTRRSRKGRASRSLLADSDAFCCVCGSSNNEEIDRLLECSQCLIRVHQACYGVSKVPKGHWYCRPCKVKSKNIVCVLCGYGGGAMTRALKSRNIVRSLLKVWKVGLEFKPMESFQNETREPSLYDEASRSSPGCGSPRYPGTYYGDVPKVDLQDQDMKLNFDNHQNNLQADNTIINGVYDPCLTQWVHMVCGLWTPGTRCPNVDTMNAFDVSGASPARNGIVCSICNRPGGACIRCRVVNCSIHFHPWCAHQKGLLQSETEGVDNENVGFYGRCLLHATYQSCLADSNSVDTQVESPRNKEFSCARIEGFRGRKREEGFNLNFRKHYKDGMGRIVTQAQINAWIFINGQKSFLRGPQKVPCSDVEHDFRKEYIRYKQMKGWKRLVVYKSGIHALGLYTAQFIVRGAMVVEYVGEIVGLRVADKREIEYQSGRKLQYKSACYFFRIDKEHIIDATRKGGIARFVNHSCLPNCVAKIISVRNEKKVVFFAERDINPGEEITYDYHFNHEDEGKKLPCFLQFKKLSPVS >DRNTG_07493.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3877215:3877734:1 gene:DRNTG_07493 transcript:DRNTG_07493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEEERSDEFLSRQSSRVQDKNVKVSAKYSQRPSPQRITRQPSTDSSNGNANLFSDFRPGSSSSSENSVVSDAEFDPGILQVHCFVFPMAK >DRNTG_01648.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2949626:2950813:1 gene:DRNTG_01648 transcript:DRNTG_01648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTKADEVSVDDVCSTDAILYVNGVRRVLPDGLAHLTLIQYLRDIGLTGTKLGCGEGGCGACTVMVSSYDQYLKRTT >DRNTG_31316.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3986350:3991054:-1 gene:DRNTG_31316 transcript:DRNTG_31316.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGPRSEDKVAYFQAITGIEDPDLCSQILSAHNWDLQLAISSISSPPAPSVNDLNPDSSEALIPRPAAEPAPAAAAAPGLVWRVVTLPFSLAYSTLSLSARVASGALSLLIPAPSADPALSEAAAFIASFRRDFPDVASTSPNFVAEGFMDALQRSQREFKLLFVYLHSPDHPDVPEFCERCLCSEAVAAFVNENFVSWGGSVRGSEGFRMSNSLKASRYPFCAVVMSSTNQRIAILQQIEGLNSPEEIIAMLQRVVEEYAPLLVTARLEAEERRNNIRLREEQDAAYRAALEADQARERQRKEEQERLEREAAEAERKRKEEEEAQERAAREAAEREAALAKRREEKAMSLGVEPEKGPDVTRVLIRFPNGERKERRFHCSAAIKSLYDYVDSLDCLNAENYCLVSSFPRVSYGPEKYSQTLQETGLHPQASLFIEVES >DRNTG_12551.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21050832:21054468:1 gene:DRNTG_12551 transcript:DRNTG_12551.18 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAMESKVLVMVFSVIVLFLIRTCHGTETDLLCLKLVQSSVKDPANNLAWVFDNKTEGSICKLNGVECWHPDENRVLNLRLSNMGLEGNFPKGLENCSSMTGLDLSNNNFSGQLPIDIDQKIKFVTGLDLSYNKFSGEIPVNLANCSYLNSLNLQHNNLSGPIPWQFSRLNRLSTLNVADNSLSGEIPPFVNNISTLVVGNNAGLCGKPLAACQASQKKSNSGIIIGAAIGGLLFAILVIGVIFFFFCRKVAIKRKQKEVEENRWAKNIKGGKTIKVSMFEKSVSKMKFSDLMKATNEFSKENIIGTGRTGTIYRAVLPDGSVYAVKRLLETQHSEKQFISEMATLGSVKHQNLVPLLGFCIAKNERLLVYKDMPNGTLHDQLHKGDIERAVMEWPMRLKISIGAAKGLAWLHHSCNPRILHRNISSKCILLDEDFEPKISNFGLARLMNPVDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGVVLLELVTGEKPTHVSKAPEDFRGSLVEWIQYLSENSLLPSAIDTSLIGKEHDTELLQFLKVACSCVLSAPKERPSMFEVYQFVRAIGEHYHFTAEDDIYLQPESTEADYLDELIVAQ >DRNTG_12551.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21050832:21054526:1 gene:DRNTG_12551 transcript:DRNTG_12551.13 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAMESKVLVMVFSVIVLFLIRTCHGTETDLLCLKLVQSSVKDPANNLAWVFDNKTEGSICKLNGVECWHPDENRVLNLRLSNMGLEGNFPKGLENCSSMTGLDLSNNNFSGQLPIDIDQKIKFVTGLDLSYNKFSGEIPVNLANCSYLNSLNLQHNNLSGPIPWQFSRLNRLSTLNVADNSLSGEIPPFVNNISTLVVGNNAGLCGKPLAACQASQKKSNSGIIIGAAIGGLLFAILVIGVIFFFFCRKVAIKRKQKEVEENRWAKNIKGGKTIKVSMFEKSVSKMKFSDLMKATNEFSKENIIGTGRTGTIYRAVLPDGSVYAVKRLLETQHSEKQFISEMATLGSVKHQNLVPLLGFCIAKNERLLVYKDMPNGTLHDQLHKGDIERAVMEWPMRLKISIGAAKGLAWLHHSCNPRILHRNISSKCILLDEDFEPKISNFGLARLMNPVDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGVVLLELVTGEKPTHVSKAPEDFRGSLVEWIQYLSENSLLPSAIDTSLIGKEHDTELLQFLKVACSCVLSAPKERPSMFEVYQFVRAIGEHYHFTAEDDIYLQPESTEADYLDELIVAQ >DRNTG_12551.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21050774:21054492:1 gene:DRNTG_12551 transcript:DRNTG_12551.11 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAMESKVLVMVFSVIVLFLIRTCHGTETDLLCLKLVQSSVKDPANNLAWVFDNKTEGSICKLNGVECWHPDENRVLNLRLSNMGLEGNFPKGLENCSSMTGLDLSNNNFSGQLPIDIDQKIKFVTGLDLSYNKFSGEIPVNLANCSYLNSLNLQHNNLSGPIPWQFSRLNRLSTLNVADNSLSGEIPPFVNNISTLVVGNNAGLCGKPLAACQASQKKSNSGIIIGAAIGGLLFAILVIGVIFFFFCRKVAIKRKQKEVEENRWAKNIKGGKTIKVSMFEKSVSKMKFSDLMKATNEFSKENIIGTGRTGTIYRAVLPDGSVYAVKRLLETQHSEKQFISEMATLGSVKHQNLVPLLGFCIAKNERLLVYKDMPNGTLHDQLHKGDIERAVMEWPMRLKISIGAAKGLAWLHHSCNPRILHRNISSKCILLDEDFEPKISNFGLARLMNPVDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGVVLLELVTGEKPTHVSKAPEDFRGSLVEWIQYLSENSLLPSAIDTSLIGKEHDTELLQFLKVACSCVLSAPKERPSMFEVYQFVRAIGEHYHFTAEDDIYLQPESTEADYLDELIVAQ >DRNTG_12551.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21050648:21054468:1 gene:DRNTG_12551 transcript:DRNTG_12551.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAMESKVLVMVFSVIVLFLIRTCHGTETDLLCLKLVQSSVKDPANNLAWVFDNKTEGSICKLNGVECWHPDENRVLNLRLSNMGLEGNFPKGLENCSSMTGLDLSNNNFSGQLPIDIDQKIKFVTGLDLSYNKFSGEIPVNLANCSYLNSLNLQHNNLSGPIPWQFSRLNRLSTLNVADNSLSGEIPPFVNNISTLVVGNNAGLCGKPLAACQASQKKSNSGIIIGAAIGGLLFAILVIGVIFFFFCRKVAIKRKQKEVEENRWAKNIKGGKTIKVSMFEKSVSKMKFSDLMKATNEFSKENIIGTGRTGTIYRAVLPDGSVYAVKRLLETQHSEKQFISEMATLGSVKHQNLVPLLGFCIAKNERLLVYKDMPNGTLHDQLHKGDIERAVMEWPMRLKISIGAAKGLAWLHHSCNPRILHRNISSKCILLDEDFEPKISNFGLARLMNPVDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGVVLLELVTGEKPTHVSKAPEDFRGSLVEWIQYLSENSLLPSAIDTSLIGKEHDTELLQFLKVACSCVLSAPKERPSMFEVYQFVRAIGEHYHFTAEDDIYLQPESTEADYLDELIVAQ >DRNTG_12551.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21050774:21054492:1 gene:DRNTG_12551 transcript:DRNTG_12551.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAMESKVLVMVFSVIVLFLIRTCHGTETDLLCLKLVQSSVKDPANNLAWVFDNKTEGSICKLNGVECWHPDENRVLNLRLSNMGLEGNFPKGLENCSSMTGLDLSNNNFSGQLPIDIDQKIKFVTGLDLSYNKFSGEIPVNLANCSYLNSLNLQHNNLSGPIPWQFSRLNRLSTLNVADNSLSGEIPPFVNNISTLVVGNNAGLCGKPLAACQASQKKSNSGIIIGAAIGGLLFAILVIGVIFFFFCRKVAIKRKQKEVEENRWAKNIKGGKTIKVSMFEKSVSKMKFSDLMKATNEFSKENIIGTGRTGTIYRAVLPDGSVYAVKRLLETQHSEKQFISEMATLGSVKHQNLVPLLGFCIAKNERLLVYKDMPNGTLHDQLHKGDIERAVMEWPMRLKISIGAAKGLAWLHHSCNPRILHRNISSKCILLDEDFEPKISNFGLARLMNPVDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGVVLLELVTGEKPTHVSKAPEDFRGSLVEWIQYLSENSLLPSAIDTSLIGKEHDTELLQFLKVACSCVLSAPKERPSMFEVYQFVRAIGEHYHFTAEDDIYLQPESTEADYLDELIVAQ >DRNTG_12551.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21050774:21054468:1 gene:DRNTG_12551 transcript:DRNTG_12551.15 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAMESKVLVMVFSVIVLFLIRTCHGTETDLLCLKLVQSSVKDPANNLAWVFDNKTEGSICKLNGVECWHPDENRVLNLRLSNMGLEGNFPKGLENCSSMTGLDLSNNNFSGQLPIDIDQKIKFVTGLDLSYNKFSGEIPVNLANCSYLNSLNLQHNNLSGPIPWQFSRLNRLSTLNVADNSLSGEIPPFVNNISTLVVGNNAGLCGKPLAACQASQKKSNSGIIIGAAIGGLLFAILVIGVIFFFFCRKVAIKRKQKEVEENRWAKNIKGGKTIKVSMFEKSVSKMKFSDLMKATNEFSKENIIGTGRTGTIYRAVLPDGSVYAVKRLLETQHSEKQFISEMATLGSVKHQNLVPLLGFCIAKNERLLVYKDMPNGTLHDQLHKGDIERAVMEWPMRLKISIGAAKGLAWLHHSCNPRILHRNISSKCILLDEDFEPKISNFGLARLMNPVDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGVVLLELVTGEKPTHVSKAPEDFRGSLVEWIQYLSENSLLPSAIDTSLIGKEHDTELLQFLKVACSCVLSAPKERPSMFEVYQFVRAIGEHYHFTAEDDIYLQPESTEADYLDELIVAQ >DRNTG_12551.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21050731:21054492:1 gene:DRNTG_12551 transcript:DRNTG_12551.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAMESKVLVMVFSVIVLFLIRTCHGTETDLLCLKLVQSSVKDPANNLAWVFDNKTEGSICKLNGVECWHPDENRVLNLRLSNMGLEGNFPKGLENCSSMTGLDLSNNNFSGQLPIDIDQKIKFVTGLDLSYNKFSGEIPVNLANCSYLNSLNLQHNNLSGPIPWQFSRLNRLSTLNVADNSLSGEIPPFVNNISTLVVGNNAGLCGKPLAACQASQKKSNSGIIIGAAIGGLLFAILVIGVIFFFFCRKVAIKRKQKEVEENRWAKNIKGGKTIKVSMFEKSVSKMKFSDLMKATNEFSKENIIGTGRTGTIYRAVLPDGSVYAVKRLLETQHSEKQFISEMATLGSVKHQNLVPLLGFCIAKNERLLVYKDMPNGTLHDQLHKGDIERAVMEWPMRLKISIGAAKGLAWLHHSCNPRILHRNISSKCILLDEDFEPKISNFGLARLMNPVDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGVVLLELVTGEKPTHVSKAPEDFRGSLVEWIQYLSENSLLPSAIDTSLIGKEHDTELLQFLKVACSCVLSAPKERPSMFEVYQFVRAIGEHYHFTAEDDIYLQPESTEADYLDELIVAQ >DRNTG_12551.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21050832:21054526:1 gene:DRNTG_12551 transcript:DRNTG_12551.12 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAMESKVLVMVFSVIVLFLIRTCHGTETDLLCLKLVQSSVKDPANNLAWVFDNKTEGSICKLNGVECWHPDENRVLNLRLSNMGLEGNFPKGLENCSSMTGLDLSNNNFSGQLPIDIDQKIKFVTGLDLSYNKFSGEIPVNLANCSYLNSLNLQHNNLSGPIPWQFSRLNRLSTLNVADNSLSGEIPPFVNNISTLVVGNNAGLCGKPLAACQASQKKSNSGIIIGAAIGGLLFAILVIGVIFFFFCRKVAIKRKQKEVEENRWAKNIKGGKTIKVSMFEKSVSKMKFSDLMKATNEFSKENIIGTGRTGTIYRAVLPDGSVYAVKRLLETQHSEKQFISEMATLGSVKHQNLVPLLGFCIAKNERLLVYKDMPNGTLHDQLHKGDIERAVMEWPMRLKISIGAAKGLAWLHHSCNPRILHRNISSKCILLDEDFEPKISNFGLARLMNPVDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGVVLLELVTGEKPTHVSKAPEDFRGSLVEWIQYLSENSLLPSAIDTSLIGKEHDTELLQFLKVACSCVLSAPKERPSMFEVYQFVRAIGEHYHFTAEDDIYLQPESTEADYLDELIVAQ >DRNTG_12551.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21050832:21054492:1 gene:DRNTG_12551 transcript:DRNTG_12551.17 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAMESKVLVMVFSVIVLFLIRTCHGTETDLLCLKLVQSSVKDPANNLAWVFDNKTEGSICKLNGVECWHPDENRVLNLRLSNMGLEGNFPKGLENCSSMTGLDLSNNNFSGQLPIDIDQKIKFVTGLDLSYNKFSGEIPVNLANCSYLNSLNLQHNNLSGPIPWQFSRLNRLSTLNVADNSLSGEIPPFVNNISTLVVGNNAGLCGKPLAACQASQKKSNSGIIIGAAIGGLLFAILVIGVIFFFFCRKVAIKRKQKEVEENRWAKNIKGGKTIKVSMFEKSVSKMKFSDLMKATNEFSKENIIGTGRTGTIYRAVLPDGSVYAVKRLLETQHSEKQFISEMATLGSVKHQNLVPLLGFCIAKNERLLVYKDMPNGTLHDQLHKGDIERAVMEWPMRLKISIGAAKGLAWLHHSCNPRILHRNISSKCILLDEDFEPKISNFGLARLMNPVDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGVVLLELVTGEKPTHVSKAPEDFRGSLVEWIQYLSENSLLPSAIDTSLIGKEHDTELLQFLKVACSCVLSAPKERPSMFEVYQFVRAIGEHYHFTAEDDIYLQPESTEADYLDELIVAQ >DRNTG_12551.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21050832:21054492:1 gene:DRNTG_12551 transcript:DRNTG_12551.16 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAMESKVLVMVFSVIVLFLIRTCHGTETDLLCLKLVQSSVKDPANNLAWVFDNKTEGSICKLNGVECWHPDENRVLNLRLSNMGLEGNFPKGLENCSSMTGLDLSNNNFSGQLPIDIDQKIKFVTGLDLSYNKFSGEIPVNLANCSYLNSLNLQHNNLSGPIPWQFSRLNRLSTLNVADNSLSGEIPPFVNNISTLVVGNNAGLCGKPLAACQASQKKSNSGIIIGAAIGGLLFAILVIGVIFFFFCRKVAIKRKQKEVEENRWAKNIKGGKTIKVSMFEKSVSKMKFSDLMKATNEFSKENIIGTGRTGTIYRAVLPDGSVYAVKRLLETQHSEKQFISEMATLGSVKHQNLVPLLGFCIAKNERLLVYKDMPNGTLHDQLHKGDIERAVMEWPMRLKISIGAAKGLAWLHHSCNPRILHRNISSKCILLDEDFEPKISNFGLARLMNPVDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGVVLLELVTGEKPTHVSKAPEDFRGSLVEWIQYLSENSLLPSAIDTSLIGKEHDTELLQFLKVACSCVLSAPKERPSMFEVYQFVRAIGEHYHFTAEDDIYLQPESTEADYLDELIVAQ >DRNTG_12551.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21050774:21054468:1 gene:DRNTG_12551 transcript:DRNTG_12551.14 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAMESKVLVMVFSVIVLFLIRTCHGTETDLLCLKLVQSSVKDPANNLAWVFDNKTEGSICKLNGVECWHPDENRVLNLRLSNMGLEGNFPKGLENCSSMTGLDLSNNNFSGQLPIDIDQKIKFVTGLDLSYNKFSGEIPVNLANCSYLNSLNLQHNNLSGPIPWQFSRLNRLSTLNVADNSLSGEIPPFVNNISTLVVGNNAGLCGKPLAACQASQKKSNSGIIIGAAIGGLLFAILVIGVIFFFFCRKVAIKRKQKEVEENRWAKNIKGGKTIKVSMFEKSVSKMKFSDLMKATNEFSKENIIGTGRTGTIYRAVLPDGSVYAVKRLLETQHSEKQFISEMATLGSVKHQNLVPLLGFCIAKNERLLVYKDMPNGTLHDQLHKGDIERAVMEWPMRLKISIGAAKGLAWLHHSCNPRILHRNISSKCILLDEDFEPKISNFGLARLMNPVDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGVVLLELVTGEKPTHVSKAPEDFRGSLVEWIQYLSENSLLPSAIDTSLIGKEHDTELLQFLKVACSCVLSAPKERPSMFEVYQFVRAIGEHYHFTAEDDIYLQPESTEADYLDELIVAQ >DRNTG_12551.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21050648:21054492:1 gene:DRNTG_12551 transcript:DRNTG_12551.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAMESKVLVMVFSVIVLFLIRTCHGTETDLLCLKLVQSSVKDPANNLAWVFDNKTEGSICKLNGVECWHPDENRVLNLRLSNMGLEGNFPKGLENCSSMTGLDLSNNNFSGQLPIDIDQKIKFVTGLDLSYNKFSGEIPVNLANCSYLNSLNLQHNNLSGPIPWQFSRLNRLSTLNVADNSLSGEIPPFVNNISTLVVGNNAGLCGKPLAACQASQKKSNSGIIIGAAIGGLLFAILVIGVIFFFFCRKVAIKRKQKEVEENRWAKNIKGGKTIKVSMFEKSVSKMKFSDLMKATNEFSKENIIGTGRTGTIYRAVLPDGSVYAVKRLLETQHSEKQFISEMATLGSVKHQNLVPLLGFCIAKNERLLVYKDMPNGTLHDQLHKGDIERAVMEWPMRLKISIGAAKGLAWLHHSCNPRILHRNISSKCILLDEDFEPKISNFGLARLMNPVDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGVVLLELVTGEKPTHVSKAPEDFRGSLVEWIQYLSENSLLPSAIDTSLIGKEHDTELLQFLKVACSCVLSAPKERPSMFEVYQFVRAIGEHYHFTAEDDIYLQPESTEADYLDELIVAQ >DRNTG_12551.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21050648:21054526:1 gene:DRNTG_12551 transcript:DRNTG_12551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAMESKVLVMVFSVIVLFLIRTCHGTETDLLCLKLVQSSVKDPANNLAWVFDNKTEGSICKLNGVECWHPDENRVLNLRLSNMGLEGNFPKGLENCSSMTGLDLSNNNFSGQLPIDIDQKIKFVTGLDLSYNKFSGEIPVNLANCSYLNSLNLQHNNLSGPIPWQFSRLNRLSTLNVADNSLSGEIPPFVNNISTLVVGNNAGLCGKPLAACQASQKKSNSGIIIGAAIGGLLFAILVIGVIFFFFCRKVAIKRKQKEVEENRWAKNIKGGKTIKVSMFEKSVSKMKFSDLMKATNEFSKENIIGTGRTGTIYRAVLPDGSVYAVKRLLETQHSEKQFISEMATLGSVKHQNLVPLLGFCIAKNERLLVYKDMPNGTLHDQLHKGDIERAVMEWPMRLKISIGAAKGLAWLHHSCNPRILHRNISSKCILLDEDFEPKISNFGLARLMNPVDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGVVLLELVTGEKPTHVSKAPEDFRGSLVEWIQYLSENSLLPSAIDTSLIGKEHDTELLQFLKVACSCVLSAPKERPSMFEVYQFVRAIGEHYHFTAEDDIYLQPESTEADYLDELIVAQ >DRNTG_12551.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21050832:21054468:1 gene:DRNTG_12551 transcript:DRNTG_12551.19 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAMESKVLVMVFSVIVLFLIRTCHGTETDLLCLKLVQSSVKDPANNLAWVFDNKTEGSICKLNGVECWHPDENRVLNLRLSNMGLEGNFPKGLENCSSMTGLDLSNNNFSGQLPIDIDQKIKFVTGLDLSYNKFSGEIPVNLANCSYLNSLNLQHNNLSGPIPWQFSRLNRLSTLNVADNSLSGEIPPFVNNISTLVVGNNAGLCGKPLAACQASQKKSNSGIIIGAAIGGLLFAILVIGVIFFFFCRKVAIKRKQKEVEENRWAKNIKGGKTIKVSMFEKSVSKMKFSDLMKATNEFSKENIIGTGRTGTIYRAVLPDGSVYAVKRLLETQHSEKQFISEMATLGSVKHQNLVPLLGFCIAKNERLLVYKDMPNGTLHDQLHKGDIERAVMEWPMRLKISIGAAKGLAWLHHSCNPRILHRNISSKCILLDEDFEPKISNFGLARLMNPVDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGVVLLELVTGEKPTHVSKAPEDFRGSLVEWIQYLSENSLLPSAIDTSLIGKEHDTELLQFLKVACSCVLSAPKERPSMFEVYQFVRAIGEHYHFTAEDDIYLQPESTEADYLDELIVAQ >DRNTG_12551.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21050731:21054468:1 gene:DRNTG_12551 transcript:DRNTG_12551.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAMESKVLVMVFSVIVLFLIRTCHGTETDLLCLKLVQSSVKDPANNLAWVFDNKTEGSICKLNGVECWHPDENRVLNLRLSNMGLEGNFPKGLENCSSMTGLDLSNNNFSGQLPIDIDQKIKFVTGLDLSYNKFSGEIPVNLANCSYLNSLNLQHNNLSGPIPWQFSRLNRLSTLNVADNSLSGEIPPFVNNISTLVVGNNAGLCGKPLAACQASQKKSNSGIIIGAAIGGLLFAILVIGVIFFFFCRKVAIKRKQKEVEENRWAKNIKGGKTIKVSMFEKSVSKMKFSDLMKATNEFSKENIIGTGRTGTIYRAVLPDGSVYAVKRLLETQHSEKQFISEMATLGSVKHQNLVPLLGFCIAKNERLLVYKDMPNGTLHDQLHKGDIERAVMEWPMRLKISIGAAKGLAWLHHSCNPRILHRNISSKCILLDEDFEPKISNFGLARLMNPVDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGVVLLELVTGEKPTHVSKAPEDFRGSLVEWIQYLSENSLLPSAIDTSLIGKEHDTELLQFLKVACSCVLSAPKERPSMFEVYQFVRAIGEHYHFTAEDDIYLQPESTEADYLDELIVAQ >DRNTG_12551.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21050731:21054468:1 gene:DRNTG_12551 transcript:DRNTG_12551.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAMESKVLVMVFSVIVLFLIRTCHGTETDLLCLKLVQSSVKDPANNLAWVFDNKTEGSICKLNGVECWHPDENRVLNLRLSNMGLEGNFPKGLENCSSMTGLDLSNNNFSGQLPIDIDQKIKFVTGLDLSYNKFSGEIPVNLANCSYLNSLNLQHNNLSGPIPWQFSRLNRLSTLNVADNSLSGEIPPFVNNISTLVVGNNAGLCGKPLAACQASQKKSNSGIIIGAAIGGLLFAILVIGVIFFFFCRKVAIKRKQKEVEENRWAKNIKGGKTIKVSMFEKSVSKMKFSDLMKATNEFSKENIIGTGRTGTIYRAVLPDGSVYAVKRLLETQHSEKQFISEMATLGSVKHQNLVPLLGFCIAKNERLLVYKDMPNGTLHDQLHKGDIERAVMEWPMRLKISIGAAKGLAWLHHSCNPRILHRNISSKCILLDEDFEPKISNFGLARLMNPVDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGVVLLELVTGEKPTHVSKAPEDFRGSLVEWIQYLSENSLLPSAIDTSLIGKEHDTELLQFLKVACSCVLSAPKERPSMFEVYQFVRAIGEHYHFTAEDDIYLQPESTEADYLDELIVAQ >DRNTG_12551.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21050731:21054492:1 gene:DRNTG_12551 transcript:DRNTG_12551.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAMESKVLVMVFSVIVLFLIRTCHGTETDLLCLKLVQSSVKDPANNLAWVFDNKTEGSICKLNGVECWHPDENRVLNLRLSNMGLEGNFPKGLENCSSMTGLDLSNNNFSGQLPIDIDQKIKFVTGLDLSYNKFSGEIPVNLANCSYLNSLNLQHNNLSGPIPWQFSRLNRLSTLNVADNSLSGEIPPFVNNISTLVVGNNAGLCGKPLAACQASQKKSNSGIIIGAAIGGLLFAILVIGVIFFFFCRKVAIKRKQKEVEENRWAKNIKGGKTIKVSMFEKSVSKMKFSDLMKATNEFSKENIIGTGRTGTIYRAVLPDGSVYAVKRLLETQHSEKQFISEMATLGSVKHQNLVPLLGFCIAKNERLLVYKDMPNGTLHDQLHKGDIERAVMEWPMRLKISIGAAKGLAWLHHSCNPRILHRNISSKCILLDEDFEPKISNFGLARLMNPVDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGVVLLELVTGEKPTHVSKAPEDFRGSLVEWIQYLSENSLLPSAIDTSLIGKEHDTELLQFLKVACSCVLSAPKERPSMFEVYQFVRAIGEHYHFTAEDDIYLQPESTEADYLDELIVAQ >DRNTG_12551.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21050648:21054468:1 gene:DRNTG_12551 transcript:DRNTG_12551.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAMESKVLVMVFSVIVLFLIRTCHGTETDLLCLKLVQSSVKDPANNLAWVFDNKTEGSICKLNGVECWHPDENRVLNLRLSNMGLEGNFPKGLENCSSMTGLDLSNNNFSGQLPIDIDQKIKFVTGLDLSYNKFSGEIPVNLANCSYLNSLNLQHNNLSGPIPWQFSRLNRLSTLNVADNSLSGEIPPFVNNISTLVVGNNAGLCGKPLAACQASQKKSNSGIIIGAAIGGLLFAILVIGVIFFFFCRKVAIKRKQKEVEENRWAKNIKGGKTIKVSMFEKSVSKMKFSDLMKATNEFSKENIIGTGRTGTIYRAVLPDGSVYAVKRLLETQHSEKQFISEMATLGSVKHQNLVPLLGFCIAKNERLLVYKDMPNGTLHDQLHKGDIERAVMEWPMRLKISIGAAKGLAWLHHSCNPRILHRNISSKCILLDEDFEPKISNFGLARLMNPVDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGVVLLELVTGEKPTHVSKAPEDFRGSLVEWIQYLSENSLLPSAIDTSLIGKEHDTELLQFLKVACSCVLSAPKERPSMFEVYQFVRAIGEHYHFTAEDDIYLQPESTEADYLDELIVAQ >DRNTG_12551.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21050648:21054492:1 gene:DRNTG_12551 transcript:DRNTG_12551.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAMESKVLVMVFSVIVLFLIRTCHGTETDLLCLKLVQSSVKDPANNLAWVFDNKTEGSICKLNGVECWHPDENRVLNLRLSNMGLEGNFPKGLENCSSMTGLDLSNNNFSGQLPIDIDQKIKFVTGLDLSYNKFSGEIPVNLANCSYLNSLNLQHNNLSGPIPWQFSRLNRLSTLNVADNSLSGEIPPFVNNISTLVVGNNAGLCGKPLAACQASQKKSNSGIIIGAAIGGLLFAILVIGVIFFFFCRKVAIKRKQKEVEENRWAKNIKGGKTIKVSMFEKSVSKMKFSDLMKATNEFSKENIIGTGRTGTIYRAVLPDGSVYAVKRLLETQHSEKQFISEMATLGSVKHQNLVPLLGFCIAKNERLLVYKDMPNGTLHDQLHKGDIERAVMEWPMRLKISIGAAKGLAWLHHSCNPRILHRNISSKCILLDEDFEPKISNFGLARLMNPVDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGVVLLELVTGEKPTHVSKAPEDFRGSLVEWIQYLSENSLLPSAIDTSLIGKEHDTELLQFLKVACSCVLSAPKERPSMFEVYQFVRAIGEHYHFTAEDDIYLQPESTEADYLDELIVAQ >DRNTG_25951.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2103737:2107336:1 gene:DRNTG_25951 transcript:DRNTG_25951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLLIFTTSLISFLFFIIFFSFANNNKKKKNNNNNANKAHQPPLPPCPIGWPILGNLPQLGSKPHQTLHNLSKTYGPLFRLRFGSVTVLVASSASIASQFLRTLDANFSNRPPNSGAEHIAYNYQDIVFRPLWLQVAHAPPLVFPPPLLQQST >DRNTG_25951.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2103737:2107336:1 gene:DRNTG_25951 transcript:DRNTG_25951.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLLIFTTSLISFLFFIIFFSFANNNKKKKNNNNNANKAHQPPLPPCPIGWPILGNLPQLGSKPHQTLHNLSKTYGPLFRLRFGSVTVVVASSASIASQFLRTLDANFSNRPPNSGAEHIAYNYQDIVFRPLRSPVAYAPPLVFPPPLLQQST >DRNTG_21785.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1971323:1978263:1 gene:DRNTG_21785 transcript:DRNTG_21785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILDELIGRDKIHVHVEGIKAKLHGLSESRISYGIANIGETGGTSKHSRSQDVIPILPQLNDDIDDEKKKIVKEVADISNTDRSVIAIVGMGGLRKTTLAKSIYNDHDVKRSFAKLAWVIISQEYTILDILKRISSEVSATSSRDEIQDLSVAISEKLKKGKYLVILDDVWKKDVWDQLQKVFPNVNNGSRVIITTHFLNVAKIANPTIQPHELSLLNEKESMELFLRKVFPRQDTETCCPIDLVDYAHQLFQRCSGLPLALVVTGGLMSTKPKSRDAWQKVFESMKRQFVEGGKRCLEILTLSYNDLPYYLKSCFLYFGCFREDMKISAQTLIRLWSAEGFLPTENGKTTEEVRIGYLEELAQRCLIQVTNRRYDDIEAYCQIH >DRNTG_15990.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5179733:5180890:-1 gene:DRNTG_15990 transcript:DRNTG_15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSVETFRRSGSSGLVWEDRFSGEPNKNQEKQHQFKKEGEEGQELRRSRSVGSSGAVMERSQSNGGRGYRTGRVSPALDPPSPKVSGCGFCGVFGKPGHSKKPKTSKRNK >DRNTG_15990.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5180010:5180890:-1 gene:DRNTG_15990 transcript:DRNTG_15990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSVETFRRSGSSGLVWEDRFSGEPNKNQEKQHQFKKEGEEGQELRRSRSVGSSGAVMERSQSNGGRGYRTGRVSPALDPPSPKVSGCGFCGVFGKPGHSKKPKTSKRNK >DRNTG_15990.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5179982:5180890:-1 gene:DRNTG_15990 transcript:DRNTG_15990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSVETFRRSGSSGLVWEDRFSGEPNKNQEKQHQFKKEGEEGQELRRSRSVGSSGAVMERSQSNGGRGYRTGRVSPALDPPSPKVSGCGFCGVFGKPGHSKKPKTSKRNK >DRNTG_05353.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:38522:39636:-1 gene:DRNTG_05353 transcript:DRNTG_05353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFEIKTKDPPRYQRCHHPQRRQRHR >DRNTG_09581.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4623857:4627865:-1 gene:DRNTG_09581 transcript:DRNTG_09581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRKRRDMRSSKDNLWYIDEHNAGNHTFTLGLNIFADITVEEYRATYLGTLPPSPSLYMANDELIKIDESDNHYINVTTTPDSIDWRDLGAVTPVKNQGGCYSCWAFATLATVEAINQINTGNLITLSEQQLVDCAKKSCDEGHYIHKAYEYIINNGGVDTDEDYPYIDKAAECDTTKESKKTVSIDDYKLATQENEFAMKAVVAKQPAAVIVEAYERNFQLYKKGIFTSYCGTKVDHAVTIVGYDTAGSVDYWIIKNSWGDFWGEAGYMRLERNIKSISGKCGVALYPYYPIQNSTNGNPRAAKFN >DRNTG_12924.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1490352:1492035:1 gene:DRNTG_12924 transcript:DRNTG_12924.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRFPVMIGEAELILPDSPTPVEFKYLSNLDDLIFFRHHMPFIHYYNSRHEGELCDPAAVIRRALSKALVHYYPLAGRLRHGENGKLVVDCCAEGVVFRDVEADVTLEQLKMVAGGLRPPSPYLSEFLVDDVKGGAFVTDSPLLCMQVTRLKCGGFVLAYRVNHCICDAYGAFQFIKYLSELVREPNRSCPTQPPVWSRELLVPNSTPCPLFPHTEYHLNINSKHDTSKLMRTQKLTQTSIFLTGHDVFALKSKVNKPKTTTFEVITALLWRAWACFLALDCETRLVFPIDTRRSHTPVLPVGYYGVALITPCTIIHAKRLVSQPLSFAVGLISELKSKVVDHKEYRTSAIDFIEMNGRSGFCNKVAFAVSDLSKLRFDKVDMGWGQCLYGGFARAGVGDVPGLMVAPLVRYKREEDGLEGLLAIVSLPPQAVDVFQKEVRRQIDSSYAFTSSL >DRNTG_12924.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1476600:1492035:1 gene:DRNTG_12924 transcript:DRNTG_12924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRFPVVIGEAELILPDSPTPVEFKYLSNLDDLIFFRHHMPFIHYYNSRHEGELCDPAAVIRRALSKALVHYYPLAGRLRHGENGKLVVDCCAEGVVFRDVEADVTLEQLKMVAGGLRPPSPYLSEFLVDDVKGGAFITDSPLLCMQVTRLKCGGFVLAYRVNHCICDAYGAFQFIKYLSELVREPNRSSPTQPPVWSRELLVPNSTPCPLFPHTEYHLNINSKHDTSKLMRTQKLTQTSIFLTGHDVFALKSKINKPKTTTFEVITALLWRAWACFLALDCETRLVFPIDTRRSHTPVLPVGYYGVALITPCTIIHAKQLVSQPLSFAVGLISELKSKVQDHKEYRSSAIDFIEMNGRSRFCNKVAFAVSDLSKLRFDKVDMGWGQCLYGGFARAGVGDVPGLMVAPLVRYKREEDGLEGLLAIVSLPPQAVDVFQKEVRRQIDSSYAFTSSL >DRNTG_12924.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1476600:1478411:1 gene:DRNTG_12924 transcript:DRNTG_12924.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRFPVVIGEAELILPDSPTPVEFKYLSNLDDLIFFRHHMPFIHYYNSRHEGELCDPAAVIRRALSKALVHYYPLAGRLRHGENGKLVVDCCAEGVVFRDVEADVTLEQLKMVAGGLRPPSPYLSEFLVDDVKGGAFITDSPLLCMQVTRLKCGGFVLAYRVNHCICDAYGAFQFIKYLSELVREPNRSSPTQPPVWSRELLVPNSTPCPLFPHTEYHLNINSKHDTSKLMRTQKLTQTSIFLTGHDVFALKSKINKPKTTTFEVITALLWRAWACFLALDCETRLVFPIDTRRSHTPVLPVGYYGVALITPCTIIHAKQLVSQPLSFAVGLISELKSKVQDHKEYRSSAIDFIEMNGRSRFCNKVAFAVSDLSKLRFDKVDMGWGQCLYGGFARAGVGDVPGLMVAPLVRYKREEDGLEGLLAIVSLPPQAVDVFQKEVRREIDSSYAFTSSL >DRNTG_22127.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17394727:17397875:-1 gene:DRNTG_22127 transcript:DRNTG_22127.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPPRSGDAVFANIERVNAELFSLTYGAIVRQLLTDLEEVDEVNKQLDQMGYNIGVRLIDEFLAKSNVSRCADFKETADVIAKVGFKMFLGVTASVTNWDADGMSCSLILEDNPLVDFVELPDTCQGLYYCNILSGVVRGALEMVSMKTEVTWIRDMLHGDDAYELRVKLLKQVPEEYPYKDDD >DRNTG_25435.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24714596:24715956:-1 gene:DRNTG_25435 transcript:DRNTG_25435.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WLIM1 [Source:Projected from Arabidopsis thaliana (AT1G10200) UniProtKB/TrEMBL;Acc:A0A178W4R1] MATFAGTTQKCTACTKTVYLVDKLTADNRVYHKACFRCHHCKGTLKLGNYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKVVKPERHIENENIAAKVSSSFAGTREKCVGCKKTVYPIERVTVNGTCYHKSCFKCSHGGCVISPSNYIAHEGRLYCKHHHIQLIKEKGNYSQLEGGENEKISVAETATAASLEA >DRNTG_22856.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4593172:4595470:1 gene:DRNTG_22856 transcript:DRNTG_22856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSVPCNPVLPLLCLLPLDHLTGQRIGIGRRVGGLYHLESLHLPASTSPSICTPATVASVCSLDRWHARLGHVSNARLKLLTSSGYLGSVSSGSLSSCMGCKFAKHSALPFTSSDSFSNSTFDLVHSDVWGPAPSSSLAGFSYYISFIDDFSRYTWLYLMRSRSEVYTIYSQFTQMVQTQFDKRIKVLRTDGAQEYLSTSFRALLSSHGTLSQQSCPYTPAQNGVAERKHRHILETTRALLFASSVPQQFWAEAILTSIYLINRTPSSTLSGVTPYERLFSCSPSYGHLRTFGCVCFVLLPSTERTKLSPRSVMCIFLGYSSEHKGYRCYDPTSRRLRISRHVTFLEDTTFFTS >DRNTG_21740.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13640146:13640996:-1 gene:DRNTG_21740 transcript:DRNTG_21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEWFENAHLKLESINDVDEDEGDANVEHGFLIIYKSKSEATRYNKSSALKQAITEIKRLVAHYRSKDEQVSLTVTGHNLGGALALLNTHESTSTIADLQVNVIIFAGPHKLEDIIGQLQWVYKHVGMKLRLYVKSSPYLKYHELDLAGFHGLETYLHLVDGYVSEEDEFKRNARRDVALVNKYGGMLLEELRVPESWYQLENKGIMGNTYGLWVMPEREPEDIPSPCRDCRPLAIYT >DRNTG_30589.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18371532:18376360:1 gene:DRNTG_30589 transcript:DRNTG_30589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHQYMFLALSTNAHTLAAYIFFNSLDSLIEISNLERLGKLTSIIFFNHLDFDCAPVTEFSGDLRS >DRNTG_30589.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18375813:18376360:1 gene:DRNTG_30589 transcript:DRNTG_30589.6 gene_biotype:protein_coding transcript_biotype:protein_coding LLQQRRLRSQLVYLIGITSKSVCFPGKPHLTIPQALQYWLPHIPIVPIEHNTVELRRWKSSQSSHYLSSLFLFTLPLIIFLLSLSLATPQPPPLPASSSPSSPSQTITLAG >DRNTG_30589.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18371532:18375535:1 gene:DRNTG_30589 transcript:DRNTG_30589.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTHQYMFLALSTNAHTLAAYIFFNSLDSLIEISNLERLGKLTSIIFFNHLYNKKKNVGTPLVSFL >DRNTG_34638.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:190462:192042:-1 gene:DRNTG_34638 transcript:DRNTG_34638.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPISLQSLLPFLPPEKPFPIVPSPPQTLSLNRNWTSQPARTLSISVDDRDAAMRTCFPALACANLLHFKSGYYNVEVAVGEDEPEEVLLRRFRRAVMKTGIIREFRRRMFFENAQAKKKRKSREAVLKNRNWSHKPKSPSRRKQKKLLRKASMKKSKEEDEEDNWELPEGELSY >DRNTG_34638.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:189897:192042:-1 gene:DRNTG_34638 transcript:DRNTG_34638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISLQSLLPFLPPEKPFPIVPSPPQTLSLNRNWTSQPARTLSISVDDRDAAMRTCFPALACANLLHFKSGYYNVEVAVGEDEPEEVLLRRFRRAVMKTGIIREFRRRMFFENAQAKKKRKSREAVLKNRNWSHKPKSPSRRKQKKLLRKASMKKSKEEDEEDNWELPEGELSY >DRNTG_25496.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1730791:1732618:1 gene:DRNTG_25496 transcript:DRNTG_25496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHYHQAQRVGHSSETSLRLAPSLMKHYTSFSKTYGSLFRLRFGSVTVIVASSVDVASQFLRALDTNFSNRPPSSHAEHIAYNYSNIIFSPYGPQWRMLRRVSTTHLLSTKALEDMSHVREKEAAFMVNRLRRAENSTAGVVVGKEVNVCVANALTNAMFGRRMFGDGDGGEFKDMVVEIMKLSAAFNISDFMPWLKPLDIQGLVGRMKRVHNWFDDLINKIIEERKIEGGRADFLSVLLQQKENPSGDQETKLTDGNIKALLLDMFVGGTDTTSSTVEWALVELIRHPDILVAVQKELDSIVGLSRLVKDVDLVNVPILQAVIKETFRLHPPVPLLIPHTASEACEVAGYYIPKDATLLVNTWTICRDPEVYPRPLEFDPSRFLPGGKQADVDLKGSHMELMPFGSGRRICVGMRLGLRMLSFLVANLAHGFDWALPNGLKPEDLNMDVEFGLTLERTVPLVARPIPRLDPKAYVV >DRNTG_24683.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19249629:19252063:1 gene:DRNTG_24683 transcript:DRNTG_24683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLLFFLVTVPAFTVVNCNTMVSGTVFCDQCLDGRLSFFDYPLSGAKVAVTCNGTVPGITGEANSNWAGNWAVRFEGRPDLSGCEAKVVAAPAGCPAAVAQGRGLSLVFDMFGMELYAVGPLFAQPTKPMRLCRRGKPSPAPVFWPRPPVQTPAPPPPPPQMLPLLPPPNGSPAPVPFFEASACPFYKWMMPEYKCYWKVLQPEMPVALAFGPVAAGKYGTRMTLWQGLHGRGDLYQTLLREGITALLNSYHSATFLYPTLTVISDMNLALLGSQRQALTMALRFRRANSGFVSGHNFITCNFNACS >DRNTG_23670.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:11031220:11032753:-1 gene:DRNTG_23670 transcript:DRNTG_23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTLLHCRTTACKNLEKSKEKSESKALILQEQGPTTLLLAPKETQGRGRRRKKKGIKG >DRNTG_09828.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000414.1:104232:106215:-1 gene:DRNTG_09828 transcript:DRNTG_09828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDGCFMLELLRLDQENGDQTYPDHDPIFTFHGAHNKLPYIKRDMLMLENQLPLKVLKVLIDVEAGQAGARTTEKYINQLVLKFYWGNPECKTEKLGLHVLDVYRQNMIKTIDTTRSSSSPPNGPKNDQEGVFTATKLRERGVRFKKSKTNRIEFNTATGVLELPSIMIDDSAECTFLNLMAFEHLHVGEGNEITTYICFMDELIDSALDVHLLSAKGIIVNAVGSEEEVAEILNSLTREVISDPRGGFKVARENLKVYSQKKSNMWKASLKHTHFSNPWSVTALFAATLLLVLTIIQTVYAGLSYHH >DRNTG_10419.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14264540:14267883:1 gene:DRNTG_10419 transcript:DRNTG_10419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGDPKKMKKDVHIPVSQNQVADRILDGPKILDDYYLNLLDSGKNNILAIALESSLYLWNAANSEVRLLLTMENKEDYHTSVSWSDDGKTLAVGFASSKIELWDAVALYKDMLRFDKPRKIIQWLLNKPKSAIRDLTAIVNPGGEFTFTSRSSSSQSSTSECKDKLTTTTMTNEVNVIKP >DRNTG_00142.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2368609:2369075:1 gene:DRNTG_00142 transcript:DRNTG_00142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTATDTGGNPYGDFGKNHDPSGIPEGGLEQLTDNEYDENPFHNVGAVNKAV >DRNTG_19809.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17117377:17118121:-1 gene:DRNTG_19809 transcript:DRNTG_19809.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAELKFFLEVVENFETFSIVFHRFARLQGIHCSLVGRNLYMRFSYSTRDAMGMNLMVSKGVQNVLDYLQSDFPDMDTISISG >DRNTG_15187.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5952347:5953718:1 gene:DRNTG_15187 transcript:DRNTG_15187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSTDALGFADSSEATMVASSAFFFPIFESWPNVVSVIVMLCPQAN >DRNTG_20923.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:52064:52460:1 gene:DRNTG_20923 transcript:DRNTG_20923.2 gene_biotype:protein_coding transcript_biotype:protein_coding KHRGVLLTLKGTVIRSASVKMIEGEKEYECRRCRHRFKVYPELETGNPIRLPTSCPSKVCLAAF >DRNTG_20923.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:52064:52446:1 gene:DRNTG_20923 transcript:DRNTG_20923.3 gene_biotype:protein_coding transcript_biotype:protein_coding KHRGVLLTLKGTVIRSASVKMIEGEKEYECRRCRHRFKVYPELETGNPIRLPTSCPSKVCLAAF >DRNTG_20923.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:51594:51946:1 gene:DRNTG_20923 transcript:DRNTG_20923.4 gene_biotype:protein_coding transcript_biotype:protein_coding FPEHRSILLSPDLILHYSLTISFALLLDFNPALAVFLSSQPSHLLPLFDHAARLSQGIVLDGSKDLVAEGASVKGVCVC >DRNTG_20923.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:51594:51927:1 gene:DRNTG_20923 transcript:DRNTG_20923.5 gene_biotype:protein_coding transcript_biotype:protein_coding FPEHRSILLSPDLILHYSLTISFALLLDFNPALAVFLSSQPSHLLPLFDHAARLSQGIVLDGSKDLVAEGASVKGVCVC >DRNTG_20923.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:51594:52446:1 gene:DRNTG_20923 transcript:DRNTG_20923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKHRGVLLTLKGTVIRSASVKMIEGEKEYECRRCRHRFKVYPELETGNPIRLPTSCPSKVCLAAF >DRNTG_27626.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3550431:3562709:1 gene:DRNTG_27626 transcript:DRNTG_27626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQAQEALRRQRRPVLECRSFWKAGSFDVNTAASSSTLGGDLDSSDFERARVHPKFLHTNATSHKWAFGAVAELLDNAVDEICNGATFVIVDKVNNPRDDSPMLVIQDDGGGMDPEGIRRCMSLGFSSKGSKKTIGQYGNGFKTSTMRLGADAIVFTRANRGSSVTQSIGLLSYTYLRRTMKDDIIVPTVHFEILDGQAIPLPFGSQDNWDSNLKTILDWSPFSTREELMLQFEDVGSHGTQVLIYNLWMDDDDLLELDFNDDDEDIVLRDHANYAGLSKSHKEIVHSHISYTFRCSLRAYISILYLRKFPKFQIILRGKPVEQLNIADELKFRKVLTYKPQVITGSENASVKITMGFAKEAPILGIFGFNVYHKNRLIMPFWKVLQEGSSRGRNVLGVLEANFIEPAHDKQDFERTPLFLRLETKLRQIVLDYWKENCYLIGYQSRNPEIRNKQKQSGKFPHEPAANVAKELPHSESIIGLSANAQREFQVAELASLQPMDLHGATADHVAELAAVSGSSPIPSGKARAPLIAYHSDECEEMVPADVLDSSLIEKISEENLELFVRRGELQSNYEKLKKTIEELEQELALWKRKLSRLTVEVENQRNQQSILKQ >DRNTG_27626.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3550431:3562709:1 gene:DRNTG_27626 transcript:DRNTG_27626.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDIIVPTVHFEILDGQAIPLPFGSQDNWDSNLKTILDWSPFSTREELMLQFEDVGSHGTQVLIYNLWMDDDDLLELDFNDDDEDIVLRDHANYAGLSKSHKEIVHSHISYTFRCSLRAYISILYLRKFPKFQIILRGKPVEQLNIADELKFRKVLTYKPQVITGSENASVKITMGFAKEAPILGIFGFNVYHKNRLIMPFWKVLQEGSSRGRNVLGVLEANFIEPAHDKQDFERTPLFLRLETKLRQIVLDYWKENCYLIGYQSRNPEIRNKQKQSGKFPHEPAANVAKELPHSESIIGLSANAQREFQVAELASLQPMDLHGATADHVAELAAVSGSSPIPSGKARAPLIAYHSDECEEMVPADVLDSSLIEKISEENLELFVRRGELQSNYEKLKKTIEELEQELALWKRKLSRLTVEVENQRNQQSILKQ >DRNTG_27626.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3554622:3562709:1 gene:DRNTG_27626 transcript:DRNTG_27626.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDLLELDFNDDDEDIVLRDHANYAGLSKSHKEIVHSHISYTFRCSLRAYISILYLRKFPKFQIILRGKPVEQLNIADELKFRKVLTYKPQVITGSENASVKITMGFAKEAPILGIFGFNVYHKNRLIMPFWKVLQEGSSRGRNVLGVLEANFIEPAHDKQDFERTPLFLRLETKLRQIVLDYWKENCYLIGYQSRNPEIRNKQKQSGKFPHEPAANVAKELPHSESIIGLSANAQREFQVAELASLQPMDLHGATADHVAELAAVSGSSPIPSGKARAPLIAYHSDECEEMVPADVLDSSLIEKISEENLELFVRRGELQSNYEKLKKTIEELEQELALWKRKLSRLTVEVENQRNQQSILKQ >DRNTG_27626.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3559542:3562709:1 gene:DRNTG_27626 transcript:DRNTG_27626.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHGATADHVAELAAVSGSSPIPSGKARAPLIAYHSDECEEMVPADVLDSSLIEKISEENLELFVRRGELQSNYEKLKKTIEELEQELALWKRKLSRLTVEVENQRNQQSILKQ >DRNTG_28665.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6219416:6235822:1 gene:DRNTG_28665 transcript:DRNTG_28665.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSILRLSALPKVPPSLPLLNPLSSSVAPRSRAPRMLPRALSSHNLMAIQASVLDLLRTSPPTWSSAIASNALIFLLGSPLLVSGLSFPGIGASFLLGTLTWRAFGPPGFLLVAAYFIIGTVATKVKISQKEAMGVAEKRRGRRGPGSVIGSSAAGCVCALLSVYGVGGVAYAQLWKLGFVASFCTKLSDTVSSEIGKAYGKTTYLVTNFKVVPRGTEGAVSAEGTVAGLLAAVLLASIGYFMGEANVPQAAICVVASQIANFGESMIGALLQGKDGFSWLNNDAVNVINISIGSILAIVMQQLIIGGWLQ >DRNTG_19302.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:599711:602367:-1 gene:DRNTG_19302 transcript:DRNTG_19302.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDSKSRASASPTSACAQLRAAYNDCFNRWYSEKFAKGQWDKLECVSEWDKYRACLAQHLEDQHLRSLLLEPEASVYSIKDDTNC >DRNTG_19302.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:599653:602367:-1 gene:DRNTG_19302 transcript:DRNTG_19302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDSKSRASASPTSACAQLRAAYNDCFNRWYSEKFAKGQWDKLECVSEWDKYRACLAQHLEDQHLRSLLLEPEASVYSIKDDTNC >DRNTG_19302.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:599711:602367:-1 gene:DRNTG_19302 transcript:DRNTG_19302.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDSKSRASASPTSACAQLRAAYNDCFNRWYSEKFAKGQWDKLECVSEWDKYRACLAQHLEDQHLRSLLLEPEASVYSIKDDTNC >DRNTG_19302.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:600327:602367:-1 gene:DRNTG_19302 transcript:DRNTG_19302.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDSKSRASASPTSACAQLRAAYNDCFNRWYSEKFAKGQWDKLECVSEWDKYRACLAQHLEDQHLRSLLLEPEASVYSIKDDTNC >DRNTG_19302.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:599711:602367:-1 gene:DRNTG_19302 transcript:DRNTG_19302.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDSKSRASASPTSACAQLRAAYNDCFNRWYSEKFAKGQWDKLECVSEWDKYRACLAQHLEDQHLRSLLLEPEASVYSIKDDTNC >DRNTG_23490.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21826799:21828984:-1 gene:DRNTG_23490 transcript:DRNTG_23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGKKESENNEQYTQTRKLRSLSTLSNHSPQKKKDKEGMLIKTVIGPRKKTELKPVQRRNVNAKMQEATPHKLSFRQGKVVVPQAENTAPKRLRFRPRAAGEHQNGIVSSQRRILMRKRSELGRVGESNGRIGEASRVVLRHQEQQEKKDKQGLFNHVIEETASKLVETRKSKVKALVGAFETVISLQENKKDYA >DRNTG_16618.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31383363:31387517:1 gene:DRNTG_16618 transcript:DRNTG_16618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMVMHGKQMPQPTPVSVLGEDRRGTWVIGTPVPPQAHPANQQAATWIAVEYIPSIAANHTYMNNYSAPLPELATTHTAHGLAMSTNTNPYVQVSPVPGSSGKGPMDMMLKVLNRCGKKLEVTARKAGDTAGNVWNHLRTGPSITDAAMSRLAQGTKVLAEGGRDKVFLQTFTTLPGEQLKKSYACYLSTSSGPVIGTLYITTARLAFCSDNPLCQNVAPGQLEWVFYKVVVQIDQLKAANPSSNSRNPAEKYIQIVTADNHEFWFMGFLSYDKALKHLTEALKHSSYYK >DRNTG_24640.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31227219:31234448:1 gene:DRNTG_24640 transcript:DRNTG_24640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMEFEEIATENRLSSHLPAAPSINHINGGDNDDHDHGHDGDITRRRRTVVSDQRDINEVDHDVTTKLGAAVDKRLFIIDSLIKHIENDNLRLLQRQKQRIDRVDVEVPNIEVRYNNLSVEAECEVVEGKPLPTLWNTAKGFFSGFLRLTGLNHEDAKIVIIKNVSGIIKPSRLTLLLGPPGCGKTTFLQALAGKLDKSLKVTGEVSYNGYKLDEFIPGKTSSYVSQHDLHIPEMTVRETLDFSARFQGVGCREEILDEVCRKEKQAGIVPEPEIDTYMKAISVKGLKTSIQTDYVMKIMGMDICADIMVGDAMRRGISGGQKKRLTTAEVIVGPTKALFMDEISTGLDSSTTFQIVTCLQQMAHISEATIVISLLQPAPETYDLFDDIILMAEGKIVYQGPRDQVLAFFGECGFRCPERKGEADFLQEVLSRRDQEQYWYHPRETYTYVSVDGFCKQFKLFCMGKNLEEELSKSFDKSQSHKNALSFNIYSLPKWELFKACTSRELLLMKRNSFTYIFKISQLAIMASICMSVFLRSDMVLDVVHADHLMSSLFFTIMVLMVNGLPELTMTVSRLPCFYKQRDFHFYPAWAYAIPATISKIPISLIESLIWTSVTYYGIGYSPEPVRFFRQFLILFVVHQVALSIYRCLAACFRTMVPSIMFSTMMCTAMLTVGGFLLPKPSIPGWLRWGFWVSPFTYTQIGLTVNEFLAPRWQKVSALNTTIGNIVLKSHGLDFESYFYWVALGALLGFAFLFNLGFVLALTFSRPIGKSCAIISREKLSQINGGINDATTTFPENPKKTKRRMMVLPFQPFAMTFQDICYYVDTPPQMREQGYTGKKLQLLHNITGAFRPGILSVLMGVSGAGKTTLLDVLSGRKTGGAIEGDIRIGGYPKMQETFARISGYCEQTDIHSPQITVEESVIFSAWLRLPNNIDSKTRSVYHLNYSYGHNLFLF >DRNTG_24640.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31230505:31234448:1 gene:DRNTG_24640 transcript:DRNTG_24640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPTSGLDARAAAIVMRAVKNVADTGRTVVCTIHQPSIDIFEAFDELILMKMGGRLIYSGPLGQHSSKVVEYFESIRGVPKIKDNQNPAAWILEVTSASAEAQLEVNFAQIYKESTLYNDNKELVKQLNTPPPGSKDLHFLTRFPQNEWVQFSACLWKQYLSYWRSPSYNLVRLIFILFVSLVMAALFWKQGKTLNNQQSLFNILGSMNQAVLFNSINNCALIMPFVVTERVVLYREKFAGMYSPWAYSFAQVVIEIPCAFIQALLFTILAYPTIGYYWSAYKFLWFLYTMFCSILSFVYLGMLLASLTPNLQMATISSSFFYQSSTLFSGFILSGPHIPKWWIWLYYILPLSWTLNGFFTSQYGDIQQEITVFGETKTVADFLQDYFGFQHDRLRLVALVLLAFPLLFASLFAYCIGKLNFQRR >DRNTG_24640.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31227219:31234448:1 gene:DRNTG_24640 transcript:DRNTG_24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMEFEEIATENRLSSHLPAAPSINHINGGDNDDHDHGHDGDITRRRRTVVSDQRDINEVDHDVTTKLGAAVDKRLFIIDSLIKHIENDNLRLLQRQKQRIDRVDVEVPNIEVRYNNLSVEAECEVVEGKPLPTLWNTAKGFFSGFLRLTGLNHEDAKIVIIKNVSGIIKPSRLTLLLGPPGCGKTTFLQALAGKLDKSLKVTGEVSYNGYKLDEFIPGKTSSYVSQHDLHIPEMTVRETLDFSARFQGVGCREEILDEVCRKEKQAGIVPEPEIDTYMKAISVKGLKTSIQTDYVMKIMGMDICADIMVGDAMRRGISGGQKKRLTTAEVIVGPTKALFMDEISTGLDSSTTFQIVTCLQQMAHISEATIVISLLQPAPETYDLFDDIILMAEGKIVYQGPRDQVLAFFGECGFRCPERKGEADFLQEVLSRRDQEQYWYHPRETYTYVSVDGFCKQFKLFCMGKNLEEELSKSFDKSQSHKNALSFNIYSLPKWELFKACTSRELLLMKRNSFTYIFKISQLAIMASICMSVFLRSDMVLDVVHADHLMSSLFFTIMVLMVNGLPELTMTVSRLPCFYKQRDFHFYPAWAYAIPATISKIPISLIESLIWTSVTYYGIGYSPEPVRFFRQFLILFVVHQVALSIYRCLAACFRTMVPSIMFSTMMCTAMLTVGGFLLPKPSIPGWLRWGFWVSPFTYTQIGLTVNEFLAPRWQKVSALNTTIGNIVLKSHGLDFESYFYWVALGALLGFAFLFNLGFVLALTFSRPIGKSCAIISREKLSQINGGINDATTTFPENPKKTKRRMMVLPFQPFAMTFQDICYYVDTPPQMREQGYTGKKLQLLHNITGAFRPGILSVLMGVSGAGKTTLLDVLSGRKTGGAIEGDIRIGGYPKMQETFARISGYCEQTDIHSPQITVEESVIFSAWLRLPNNIDSKTRSEFVNDVLETIELDDIKDALVGTQGVNGLSTEQRKRLTIAVELVANPSILFMDEPTSGLDARAAAIVMRAVKNVADTGRTVVCTIHQPSIDIFEAFDELILMKMGGRLIYSGPLGQHSSKVVEYFESIRGVPKIKDNQNPAAWILEVTSASAEAQLEVNFAQIYKESTLYNDNKELVKQLNTPPPGSKDLHFLTRFPQNEWVQFSACLWKQYLSYWRSPSYNLVRLIFILFVSLVMAALFWKQGKTLNNQQSLFNILGSMNQAVLFNSINNCALIMPFVVTERVVLYREKFAGMYSPWAYSFAQVVIEIPCAFIQALLFTILAYPTIGYYWSAYKFLWFLYTMFCSILSFVYLGMLLASLTPNLQMATISSSFFYQSSTLFSGFILSGPHIPKWWIWLYYILPLSWTLNGFFTSQYGDIQQEITVFGETKTVADFLQDYFGFQHDRLRLVALVLLAFPLLFASLFAYCIGKLNFQRR >DRNTG_24640.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31228620:31234448:1 gene:DRNTG_24640 transcript:DRNTG_24640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKAISVKGLKTSIQTDYVMKIMGMDICADIMVGDAMRRGISGGQKKRLTTAEVIVGPTKALFMDEISTGLDSSTTFQIVTCLQQMAHISEATIVISLLQPAPETYDLFDDIILMAEGKIVYQGPRDQVLAFFGECGFRCPERKGEADFLQEVLSRRDQEQYWYHPRETYTYVSVDGFCKQFKLFCMGKNLEEELSKSFDKSQSHKNALSFNIYSLPKWELFKACTSRELLLMKRNSFTYIFKISQLAIMASICMSVFLRSDMVLDVVHADHLMSSLFFTIMVLMVNGLPELTMTVSRLPCFYKQRDFHFYPAWAYAIPATISKIPISLIESLIWTSVTYYGIGYSPEPVRFFRQFLILFVVHQVALSIYRCLAACFRTMVPSIMFSTMMCTAMLTVGGFLLPKPSIPGWLRWGFWVSPFTYTQIGLTVNEFLAPRWQKVSALNTTIGNIVLKSHGLDFESYFYWVALGALLGFAFLFNLGFVLALTFSRPIGKSCAIISREKLSQINGGINDATTTFPENPKKTKRRMMVLPFQPFAMTFQDICYYVDTPPQMREQGYTGKKLQLLHNITGAFRPGILSVLMGVSGAGKTTLLDVLSGRKTGGAIEGDIRIGGYPKMQETFARISGYCEQTDIHSPQITVEESVIFSAWLRLPNNIDSKTRSVYHLNYSYGHNLFLF >DRNTG_06852.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1092589:1095100:1 gene:DRNTG_06852 transcript:DRNTG_06852.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILLIFLREQDVPYEVHGFETARTGKPKPLSLAPCFFHNVLVERGPLLKSLHHKRLLLRHDSDPPVHRQCRRLKPIRREVITGAAVTEVASEEKRKGCGRRGKRFFEGEGGAERELDRSRSSAQHRHCSLGMLELEN >DRNTG_27322.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28265192:28277506:-1 gene:DRNTG_27322 transcript:DRNTG_27322.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARDKIKIKKIDNATARQVTFSKRRRGLFKKAQELAILCDVDVGLIVFSASGKLSDYASSSMCEILHKHSIQPDNSVKPDEQTLDLLKNNSGYAALMKDYADQLRQLRQMRGEDLQGLTLEELVHLERTIDIGLARVIERKGQQIMEELNSLQQKGMQLLEENKRLKEKMEEMCMVEKQAVIDHDPMNGFHEDVQCSSESVPEHCDQPSDTSLKLGLPSSDHWE >DRNTG_27322.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28265192:28277506:-1 gene:DRNTG_27322 transcript:DRNTG_27322.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDKIKIKKIDNATARQVTFSKRRRGLFKKAQELAILCDVDVGLIVFSASGKLSDYASSSMCEILHKHSIQPDNSVKPDEQTLDLLKNNSGYAALMKDYADQLRQLRQMRGEDLQGLTLEELVHLERTIDIGLARVIERKGQQIMEELNSLQQKGMQLLEENKRLKEKMEEMCMVEKQAVIDHDPMNGFHEDVQCSSESVPEHCDQPSDTSLKLGLPSSDHWE >DRNTG_27322.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28265192:28277506:-1 gene:DRNTG_27322 transcript:DRNTG_27322.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARDKIKIKKIDNATARQVTFSKRRRGLFKKAQELAILCDVDVGLIVFSASGKLSDYASSSMCEILHKHSIQPDNSVKPDEQTLDLLKNNSGYAALMKDYADQLRQLRQMRGEDLQGLTLEELVHLERTIDIGLARVIERKGQQIMEELNSLQQKGMQLLEENKRLKEKMEEMCMVEKQAVIDHDPMNGFHEDVQCSSESVPEHCDQPSDTSLKLGLPSSDHWE >DRNTG_06958.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:757206:760004:-1 gene:DRNTG_06958 transcript:DRNTG_06958.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEAAAVVKIASSRMATQSERLGYEFAKLLRVQTPQGRVIHNSSPEWQQIKEATEKARDAAVTIGDEVGDVTCTELLEALELSRCLFLMNYVHGSPLLESSAAFDSFEAARITAAALGRVLMLDLILRNEDRLPCRQLGWRGNNANLLYVDKIMSVNMEEVLDEAYKSAARRYRPHIIKILQKERRVNSVNGRLDSPNPELSSQVSDAKTYDDFHIVAIDSGVPRRPPAGKRAKDHEVYPKVVELILNSSEFAANILSEISGGKLGTPAVSEISNANMAAIVHEFRGGFRAALRDLQSFHIFLLTLYQKLDGLFRVFLSIINKSSGEVEKDDADSPRHSLGIGFSTPCPENKERVANEVQVDLSDSDLQKNTSKPSPFASRDSPESTSPVSRENWGAKYSKGKGEQSHCLRLTMKLRDFNKFAKIDAEMNKELEQWNEMLRSDVVKLCQENNFNSGFFEGTDNNTVIDAYELKVRLEHILERIALISDAANTERPSLVTGNVFIGGALAARSMHTLQHLGITHILCLCSHEIGQSDSQYPDHFEYKNFSISDNEDEEISDLFDDVSDYIDYVEQHGGKVLVHCFEGKSRSATVVLAYLMLRKGLTLSDAWNMLKKAHRRAQPNDGFAKALLQLDKRLFGKVSMEWQHRKPAMKVCPICGKNAGLSTSSLKLHLQKSHKKISLGSVDSAMTMEIQKALEGLRISRSSSISPKKKHCISFNDIKL >DRNTG_06958.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:757206:761655:-1 gene:DRNTG_06958 transcript:DRNTG_06958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWERLGSAATLDIESNDFSWDALSSLHHTEHNSSNEHAEDEMNKALEVTVNSGGVVFFALFIAPESDDLMLKEAAAVVKIASSRMATQSERLGYEFAKLLRVQTPQGRVIHNSSPEWQQIKEATEKARDAAVTIGDEVGDVTCTELLEALELSRCLFLMNYVHGSPLLESSAAFDSFEAARITAAALGRVLMLDLILRNEDRLPCRQLGWRGNNANLLYVDKIMSVNMEEVLDEAYKSAARRYRPHIIKILQKERRVNSVNGRLDSPNPELSSQVSDAKTYDDFHIVAIDSGVPRRPPAGKRAKDHEVYPKVVELILNSSEFAANILSEISGGKLGTPAVSEISNANMAAIVHEFRGGFRAALRDLQSFHIFLLTLYQKLDGLFRVFLSIINKSSGEVEKDDADSPRHSLGIGFSTPCPENKERVANEVQVDLSDSDLQKNTSKPSPFASRDSPESTSPVSRENWGAKYSKGKGEQSHCLRLTMKLRDFNKFAKIDAEMNKELEQWNEMLRSDVVKLCQENNFNSGFFEGTDNNTVIDAYELKVRLEHILERIALISDAANTERPSLVTGNVFIGGALAARSMHTLQHLGITHILCLCSHEIGQSDSQYPDHFEYKNFSISDNEDEEISDLFDDVSDYIDYVEQHGGKVLVHCFEGKSRSATVVLAYLMLRKGLTLSDAWNMLKKAHRRAQPNDGFAKALLQLDKRLFGKVSMEWQHRKPAMKVCPICGKNAGLSTSSLKLHLQKSHKKISLGSVDSAMTMEIQKALEGLRISRSSSISPKKKHCISFNDIKL >DRNTG_06958.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:757206:760410:-1 gene:DRNTG_06958 transcript:DRNTG_06958.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWERLGSAATLDIESNDFSWDALSSLHHTEHNSSNEHAEDEMNKALEVTVNSGGVVFFALFIAPESDDLMLKEAAAVVKIASSRMATQSERLGYEFAKLLRVQTPQGRVIHNSSPEWQQIKEATEKARDAAVTIGDEVGDVTCTELLEALELSRCLFLMNYVHGSPLLESSAAFDSFEAARITAAALGRVLMLDLILRNEDRLPCRQLGWRGNNANLLYVDKIMSVNMEEVLDEAYKSAARRYRPHIIKILQKERRVNSVNGRLDSPNPELSSQVSDAKTYDDFHIVAIDSGVPRRPPAGKRAKDHEVYPKVVELILNSSEFAANILSEISGGKLGTPAVSEISNANMAAIVHEFRGGFRAALRDLQSFHIFLLTLYQKLDGLFRVFLSIINKSSGEVEKDDADSPRHSLGIGFSTPCPENKERVANEVQVDLSDSDLQKNTSKPSPFASRDSPESTSPVSRENWGAKYSKGKGEQSHCLRLTMKLRDFNKFAKIDAEMNKELEQWNEMLRSDVVKLCQENNFNSGFFEGTDNNTVIDAYELKVRLEHILERIALISDAANTERPSLVTGNVFIGGALAARSMHTLQHLGITHILCLCSHEIGQSDSQYPDHFEYKNFSISDNEDEEISDLFDDVSDYIDYVEQHGGKVLVHCFEGKSRSATVVLAYLMLRKGLTLSDAWNMLKKAHRRAQPNDGFAKALLQLDKRLFGKVSMEWQHRKPAMKVCPICGKNAGLSTSSLKLHLQKSHKKISLGSVDSAMTMEIQKALEGLRISRSSSISPKKKHCISFNDIKL >DRNTG_06958.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:757694:759745:-1 gene:DRNTG_06958 transcript:DRNTG_06958.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNYVHGSPLLESSAAFDSFEAARITAAALGRVLMLDLILRNEDRLPCRQLGWRGNNANLLYVDKIMSVNMEEVLDEAYKSAARRYRPHIIKILQKERRVNSVNGRLDSPNPELSSQVSDAKTYDDFHIVAIDSGVPRRPPAGKRAKDHEVYPKVVELILNSSEFAANILSEISGGKLGTPAVSEISNANMAAIVHEFRGGFRAALRDLQSFHIFLLTLYQKLDGLFRVFLSIINKSSGEVEKDDADSPRHSLGIGFSTPCPENKERVANEVQVDLSDSDLQKNTSKPSPFASRDSPESTSPVSRENWGAKYSKGKGEQSHCLRLTMKLRDFNKFAKIDAEMNKELEQWNEMLRSDVVKLCQENNFNSGFFEGTDNNTVIDAYELKVRLEHILERIALISDAANTERPSLVTGNVFIGGALAARSMHTLQHLGITHILCLCSHEIGQSDSQYPDHFEYKNFSISDNEDEEISDLFDDVSDYIDYVEQHGGKVLVHCFEGKSRSATVVLAYLMLRK >DRNTG_08371.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4324187:4326600:1 gene:DRNTG_08371 transcript:DRNTG_08371.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQIAHELHWRPWRLVDSPHKLQTTLNNDLEASKVFPIEINKKKEASSETLEAPGDWKPPPSQQVISLIPPPPCRETRTTDATPAHKPSTTDLMKFSYNGSKIAFSKYGEHWRQMRRLGTLEIFSMKRVTNPSAATLEWAMTELMKYPSVMKKAQDEARRVVGNKGNVEESDIQQIQYLKLVIIETLRLHCIAPFLLPQERTKECKVFGYDIPENTRVLINVWAMARDPKLWENAEVFMPERFEQSAIIYKGQHFEFIPFGAGRRICPRMQLGVAAVEFALANILYHFN >DRNTG_05946.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19870759:19873457:1 gene:DRNTG_05946 transcript:DRNTG_05946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRTHSACAHLQQSWSVSGGVSSPSSFSESPSSRSPG >DRNTG_31394.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21914517:21916237:-1 gene:DRNTG_31394 transcript:DRNTG_31394.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKVSQEAPKFHQALGLPENSKREPTTAARASSAAPESSSLRTAMASAPQDKEETQKKQLAPRRSSNKDRHTKVDGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGTGTIPASALNAAASAAPGAASASAGLHQSFASLMGGRAHHGGLWPAQGAFLGQGAAGGDAQLMQRIGLHGLEIPGGGMGPMSFASMLGGHGQQLPGLELGLSQDGHVNVLNAQALSQFYQQIGQQSRGSGSGHLHQQQQQQQQQQQQQHQQEQQQAEDDSQGSRQ >DRNTG_31394.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21914517:21915945:-1 gene:DRNTG_31394 transcript:DRNTG_31394.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKVSQEAPKFHQALGLPENSKREPTTAARASSAAPESSSLRTAMASAPQDKEETQKKQLAPRRSSNKDRHTKVDGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGTGTIPASALNAAASAAPGAASASAGLHQSFASLMGGRAHHGGLWPAQGAFLGQGAAGGDAQLMQRIGLHGLEIPGGGMGPMSFASMLGGHGQQLPGLELGLSQDGHVNVLNAQALSQFYQQIGQQSRGSGSGHLHQQQQQQQQQQQQQHQQEQQQAEDDSQGSRQ >DRNTG_31394.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21914122:21915945:-1 gene:DRNTG_31394 transcript:DRNTG_31394.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKVSQEAPKFHQALGLPENSKREPTTAARASSAAPESSSLRTAMASAPQDKEETQKKQLAPRRSSNKDRHTKVDGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGTGTIPASALNAAASAAPGAASASAGLHQSFASLMGGRAHHGGLWPAQGAFLGQGAAGGDAQLMQRIGLHGLEIPGGGMGPMSFASMLGGHGQQLPGLELGLSQDGHVNVLNAQALSQFYQQIGQQSRGSGSGHLHQQQQQQQQQQQQQHQQEQQQAEDDSQGSRQ >DRNTG_31394.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21912927:21915945:-1 gene:DRNTG_31394 transcript:DRNTG_31394.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKVSQEAPKFHQALGLPENSKREPTTAARASSAAPESSSLRTAMASAPQDKEETQKKQLAPRRSSNKDRHTKVDGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGTGTIPASALNAAASAAPGAASASAGLHQSFASLMGGRAHHGGLWPAQGAFLGQGAAGGDAQLMQRIGLHGLEIPGGGMGPMSFASMLGGHGQQLPGLELGLSQDGHVNVLNAQALSQFYQQIGQQSRGSGSGHLHQQQQQQQQQQQQQHQQEQQQAEDDSQGSRQ >DRNTG_27323.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28280221:28293732:-1 gene:DRNTG_27323 transcript:DRNTG_27323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKIKIRKIDNATARQVTFSKRRRGLFKKAQELAILCDVDVGLIIFSPSGKLFEYASSSMCEILHKHSTHAEDPLKPSQQALDFLTGNGPDAGLKKEYADKMRQLRQMRGEDLQGLTLEELMHLERTIDVGLTRVLQTKEQQIMEQLNRLQQKGMQLLEDNQKLKEKVEGMCMTEKQALIDHEPVNGSHEDGQCSSDSVPQHCDHTSDTSLKLGLP >DRNTG_27323.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28280221:28293732:-1 gene:DRNTG_27323 transcript:DRNTG_27323.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKIKIRKIDNATARQVTFSKRRRGLFKKAQELAILCDVDVGLIIFSPSGKLFEYASSSMCEILHKHSTHAEDPLKPSQQALDFLTGNGPDAGLKKEYADKMRQLRQMRGEDLQGLTLEELMHLERTIDVGLTRVLQTKEQQIMEQLNRLQQKGMQLLEDNQKLKEKVEGMCMTEKQALIDHEPVNGSHEDGQCSSDSVPQHCDHTSDTSLKLGLP >DRNTG_29387.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:489709:495982:1 gene:DRNTG_29387 transcript:DRNTG_29387.3 gene_biotype:protein_coding transcript_biotype:protein_coding KTVGVGTYLVESGGECSIGRDQDIILILDGKRVSREQALQSLQLC >DRNTG_29387.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:489709:495982:1 gene:DRNTG_29387 transcript:DRNTG_29387.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTVGVGTYLVESGGECSIGRDQDIILILDGKRVSREQALQSLQLC >DRNTG_08641.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22044030:22046290:-1 gene:DRNTG_08641 transcript:DRNTG_08641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNTMLMVLAVLATYMIWFKRLVLNMKGPRVWPLVGSLPGLIQHSDNMHEWISDNLTITGGTYQTCIAAVPFLARRQGLVTVTCDPKNLEHVLKTKFDNYPKGPTWHAVFQDLLGDGIFNSDGETWLLQRKTAALEFTTRTLRQAMSRWVSRAIQLRLLPILKQTEDGGGAAAVDLQDLLLRLTFDNICGLAFGRDPETLAPGLPENAFATAFDRATEASLHRFIFPEVLWRIKKWLRLGMESTLSRSVAHVDEYLSAVIKARKLELHSHSHDDLLSRFMRKGNYTDAFLQQVALNFILAGRDTSSVALSWFFWLVSNNPNVERKILEEVCSVLKETRGCDVDKWLQGPLEFEEIDRLVYLKAALSETLRLYPSVPEDSKHVVSDDVLPDGTFVPAGSAITYSIYSAGRMKTVWGEDCLEFRPERWLTGDGKRFTQHDSFKFVAFNAGPRICLGKDLAYLQMKSIAAGVLLRHRLTVAAGHRVEQKMSLTLFMKYGLRVNVHHRDLSAIVEDLRRVN >DRNTG_11768.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:643111:645736:1 gene:DRNTG_11768 transcript:DRNTG_11768.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSWLKMLVIHRFFNHNIELDHYIPSTLIASNSNGHLSLATADGVLWLCKIFFPGRISVTIFLFRTFQCLSRVGHFLQLRGRGVQVNSRLISGSIRSGEPTEPSRTRIPARVSW >DRNTG_24252.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20644022:20645471:1 gene:DRNTG_24252 transcript:DRNTG_24252.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGKCRQPDMAASLFQIMLSEGFKPTLDVYTSLVGAYGHSGLLDKAFETLDEMKTISDYKPDVYTYTILISSCCKLHRFDQIPTLLREMSYLGVECSVVTYNTIIDGYGKAGMLEEMENSLSEMLESGSCLPDIFTMNTVIGAYGKSGKIDKMEGWYSEFQHMGIEPDVNTFNILIRSYGLAGMYEKMDAVMKFMEKRFFSPTVVTFNIIIDCFGRMGDIEKMEHFFRSMKYQGLKPNSITYCSLVSGYSKSGFLEKIPLIIRQIENTDVDLDTAFFNSVINAYGQSGDISIMEEMFSLMKEKKCIPDRITFATMIMAYSKRGMVEAVQELEIKVHKMDEKVLGPG >DRNTG_24252.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20642878:20646687:1 gene:DRNTG_24252 transcript:DRNTG_24252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGKCRQPDMAASLFQIMLSEGFKPTLDVYTSLVGAYGHSGLLDKAFETLDEMKTISDYKPDVYTYTILISSCCKLHRFDQIPTLLREMSYLGVECSVVTYNTIIDGYGKAGMLEEMENSLSEMLESGSCLPDIFTMNTVIGAYGKSGKIDKMEGWYSEFQHMGIEPDVNTFNILIRSYGLAGMYEKMDAVMKFMEKRFFSPTVVTFNIIIDCFGRMGDIEKMEHFFRSMKYQGLKPNSITYCSLVSGYSKSGFLEKIPLIIRQIENTDVDLDTAFFNSVINAYGQSGDISIMEEMFSLMKEKKCIPDRITFATMIMAYSKRGMVEAVQELEIKVHKMDEKVLGPG >DRNTG_24619.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31098386:31103441:1 gene:DRNTG_24619 transcript:DRNTG_24619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCEMRPIFLKVVPEQKWKTLEEFVSKIKRSLKFVEPIREGNKGKLEEAMGNVRRSLDFLGSRSDADPVEPPVEEAQEL >DRNTG_07506.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27075415:27075801:1 gene:DRNTG_07506 transcript:DRNTG_07506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCNIALTADLTEFWLRCGRPQDPSTSRSCLVRVDAAKSPNPDCRQLAYLLSSSSRSPLARGARSCASSRNLPNLVFNPPKFGLQRFSKLDLQFTQVWSSNLAFNRSSVNQFNHQGFFKSYLLHSHHE >DRNTG_10042.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1768299:1772982:-1 gene:DRNTG_10042 transcript:DRNTG_10042.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWSVIEFGDLMHGQLQHAKAAIKWSTDYLIKAATATPDTLYVQVADPNMDHRCWERPEDMDTSRNVYRVTAQNPGSDVAAETAAALAAASIVFKDSDADYSAKLLQTAIKVFDFADRYRGSYSDSLSSVACPFYCSYSGYHDELLWGASWLHKASADASYMAYIHTNGQTLGAEDDDYSFSWDDKRAGAKILLSQGFLQNRIEDLQVYKAHADNYICSLVPGSPSFQAQYTPGGLLYKESESNLQYVTSATFLLLTYAKYLKGNGGATTCGSSTITAESLISLAKKQVDYILGVNPAKMSYMVGFGKRYPLHVHHRGSSIPSVHAHPSHISCNEGFSYLYSSSPNPNILTGAVLGGPDNKDSFSGDRNNYQQSEPATYINAPMVGALAFFASTPLAG >DRNTG_10042.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1768299:1772982:-1 gene:DRNTG_10042 transcript:DRNTG_10042.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWSVIEFGDLMHGQLQHAKAAIKWSTDYLIKAATATPDTLYVQVADPNMDHRCWERPEDMDTSRNVYRVTAQNPGSDVAAETAAALAAASIVFKDSDADYSAKLLQTAIKVFDFADRYRGSYSDSLSSVACPFYCSYSGYHDELLWGASWLHKASADASYMAYIHTNGQTLGAEDDDYSFSWDDKRAGAKILLSQGFLQNRIEDLQVYKAHADNYICSLVPGSPSFQAQYTPGGLLYKESESNLQYVTSATFLLLTYAKYLKGNGGATTCGSSTITAESLISLAKKQVDYILGVNPAKMSYMVGFGKRYPLHVHHRGSSIPSVHAHPSHISCNEGFSYLYSSSPNPNILTGAVLGGPDNKDSFSGDRNNYQQSEPATYINAPMVGALAFFASTPLAG >DRNTG_35457.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3673662:3678631:-1 gene:DRNTG_35457 transcript:DRNTG_35457.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLTLSGHHRRRRQPPMCAAGAGASRGPMNRSPSEWFFEKFLEEAAIRGSSSLSSTKVAGNPSPNPDSNPNASVSSKGGVDDDVVEIKRPLLQEGDPPTDVDPGEYAALLKKKLDMYCAAVAMSRGTSVKLQDSSLVNSSLAPQASQIGSQALINDDGILGTQAIAVVQNSVVQGKPANSGSSRDQSDDDEGEGEVETNDSLDPADAKRFRRMLSNRESARRSRRRKQAHLSELETQVSQLRVENSSLLKRLTDINQKYNEAAVDNRILKADVETLRAKVKMAEDSVKRVTGVNPMYPTMSDVSSLSMPFAGSPSDATSDAAVPIQEGPNHYFQGAHCDQGINPCLSKIPPVVSPPEGAHPPVDVLKMGRTPSMQPAASLEHLQKRIHGGASSCTPVPWDGAADWEPDTPANNNKQNQV >DRNTG_35457.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3673662:3678631:-1 gene:DRNTG_35457 transcript:DRNTG_35457.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLTLSGHHRRRRQPPMCAAGAGASRGPMNRSPSEWFFEKFLEEAAIRGSSSLSSTKVAGNPSPNPDSNPNASVSSKGGVDDDVVEIKRPLLQEGDPPTDVDPGEYAALLKKKLDMYCAAVAMSRGTSVKLQDSSLVNSSLAPQASQIGSQALINGTNADDGILGTQAIAVVQNSVVQGKPANSGSSRDQSDDDEGEGEVETNDSLDPADAKRFRRMLSNRESARRSRRRKQAHLSELETQVSQLRVENSSLLKRLTDINQKYNEAAVDNRILKADVETLRAKVKMAEDSVKRVTGVNPMYPTMSDVSSLSMPFAGSPSDATSDAAVPIQEGPNHYFQGAHCDQGINPCLSKIPPVVSPPEGAHPPVDVLKMGRTPSMQPAASLEHLQKRIHGGASSCTPVPWDGAADWEPDTPANNNKQNQV >DRNTG_34741.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002186.1:59825:60864:1 gene:DRNTG_34741 transcript:DRNTG_34741.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGIKHIINNTIAIGNASSSFPALRRLWLSQMANLEGWCLEEDRETAPPLFPCLTELVIYECPKLTTMPQIPTLKELHISESYCGTQIALMAKQKGFFKHLKSLDRLWLRRCEELALLLEDKEETIPLSSSLHLLGINDCSQFSLSAALQNLTSLECLNMSHFEDLLSWPDEMLRDSESLKYLNLNFLQEFDKCIITRRLWSTVSNGSYGF >DRNTG_24229.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19815220:19815943:-1 gene:DRNTG_24229 transcript:DRNTG_24229.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGSSGRSLTAGADEATAGKAEGSKEGSLKSGAGEDDFTRLLFEKQNLRYDVAHLWPWMLSTHENRYFALG >DRNTG_31982.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:683178:687224:-1 gene:DRNTG_31982 transcript:DRNTG_31982.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G05940) UniProtKB/Swiss-Prot;Acc:Q9C5D6] MGGRRTKTSFFSGLCSSALRRKSLPGDDRSVPPLHGEGLVRRLGAFELILIGIGASIGAGIFVVTGTVARDAGPGVTISFILAGAACVLNALCYAELSSRFPAVVGGAYLYSYTAFNELTAFLVFVQLMLDYHIGAASIARSLANYVVSFLELFPFLKAHMPSWIGHGEEFFGGVMSINILAPILLIILTAILCRGVRESSAVNTIMTVTKVVIVIFVILVGAFEVDMSNWSPFAPNGYKAIVTGATVVFFAYVGFDAVANSAEESKNPQRDLPIGILVSLLTCAILYIGVCLVITGMLPYQMLGEDAPLAEAFIGKGLKFVSVLISIGAVAGLTTTLLVGLYVQSRLYLGLGRDGLLPLIFAKVHPTRHTPIHSQIWVGLVASVLAGLFNVHILSHILSVGSLTGYSVVSACVITLRWKDQKASVNSTRCTPEWHEGVICILLVACGGFVAGLCYRFSISYIFLVIAVLISVLAAAALQFRQSYADPPGFSCPGVPLLPVVCIFFNIFLFAQLHQEAWARFIILSFIAVGVYAFYGQYHANPVGTESPNYSDAYHITPSEEEVIS >DRNTG_34260.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28153039:28157777:-1 gene:DRNTG_34260 transcript:DRNTG_34260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLTAPERTVKWHGTLLARALLSDQNLPLTDSVTDWSSSLLSTVYQACKVEDLPLAQVALSAFLLAIERSDDVKEKLMETGLDRMREIGKLTQKHRHLQEATVRVLDLLFNAGLHFSLEESQKWCSILLHWIFDKFCSDVARPSAVKILSTILGVHGPNSIPISQGWLAIMLSEILAASKASTARASTPITTDKVKTQIDQSNALSAAQVTTQLAVAVVKLAKKQFKFEPDSVDTSPLADFLSMEPFNTQSKSLNKNTYSKFDAADSASAMLKGIKALTEVCSEDGTCKSRIVDLGVLCLLRRCLLNDDYEKLAAMETYDASRAIEATDQASTVSADSSSLDSTDPSSIRVPPTALIRRHAARLLMILSLLPDVKKTVAADKTWCSWLEDCASMKNDCCNDLKVRSYARATLLNVFCSGKDMDVLNNNFPDADGNKKVRCPHYEDMIFLINPELPHWKCLDGSKRTTLESRNLKDVDSTSGVSYPDNYAESENGCDSDNLSQSAVRSMDVVFVHGLRGGPFKSWRIADDKSSTTSKSGLVENIDQEAGKQGTLWPSEWLAADFPDARLFTVKYKTNLTQWSGASLPLQEVSSMLLQKLTAAGIGDRPVVFVTHSMGGLVVKQMLYQAKMSSLKEFVNNTVGCGRLLIHL >DRNTG_34260.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28153039:28157777:-1 gene:DRNTG_34260 transcript:DRNTG_34260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQKLTAAGIGDRPVVFVTHSMGGLVVKQMLYQAKMSSLKEFVNNTVGCGRLLIHL >DRNTG_04286.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8995867:8998526:1 gene:DRNTG_04286 transcript:DRNTG_04286.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSHLQLLKAQPLWLLLLSFFGLFYILKLSFSFILWFYLIFLRPAKNLRRYGSWAIVTGCTEGISKSFSFQLARKRPNLVLVARNPDKLAAVSNEILAKYRKVQIKTMIIDFSGDLDDGIKRLLKTIDGLDVGILVNNAGISYPYAKYFHEVDEGACEEPHQGEC >DRNTG_12403.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12372190:12372624:-1 gene:DRNTG_12403 transcript:DRNTG_12403.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSFLGVFWLEAWRDQELELQGEGFVIKGGGTFGLPWVVNSLKSKKEAKIGYKYTMLGVLVQFKDQDTRRVHECGDACQLPRGFKSIH >DRNTG_15411.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4841360:4843813:1 gene:DRNTG_15411 transcript:DRNTG_15411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDSTRYYEILGVSKNASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGHDPFDIFQSFFGGSPFGGGGSSRGRRQRRGDDVIHPLKVSLEDLYNGTSKKLSLSRNVLCSKCKGKGSKSGASMKCSGCQGSGMKVSIRQLGPSMIQQMQHPCNECKGTGETINDKDRCPQCKGEKVVQEKKVLEVVVEKGMRNGQKITFPGEADEAPDCITGDIVFVLQQKDHPKFKRKDDDLFVEHTLSLTEALCGFQFVLTHLDNRQLLIKSNPGEVVKPDQFKAINDEGMPMYQRPFMRGKLYIHFTVDFPESLALDQCKAIEKVLPPRSSPQLTDMEIDECEETTLHDVNIEEEMRRKQTQAQEAYDEDDDPHGGGPQRVQCAQQ >DRNTG_25343.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24070228:24072608:-1 gene:DRNTG_25343 transcript:DRNTG_25343.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRHHQAVVSQQQRGDAVRAGKQKVVAGADGRNRQALGDIGNLVNLRGPDGKPVHEINRPVTRSFGAQLLANAPVAAAAAFQKPVAVDVSVAKDGVKAAKQKQTMMPSKPEAVVITISPDTEEETGHAAPKSSHRKSSMKKVQTFTSVLTARSKVACGVADKPNSQKIQDIDALDADDQLAVVDYVEDIYKFYKHSESSYRPDNYIESQLEINAKMRAILADWLIEVHHKFELMPETLYLTFYIVDRYSRWRASSGRSYSLLV >DRNTG_25343.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24070945:24072608:-1 gene:DRNTG_25343 transcript:DRNTG_25343.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATRHHQAVVSQQQRGDAVRAGKQKVVAGADGRNRQALGDIGNLVNLRGPDGKPVHEINRPVTRSFGAQLLANAPVAAAAAFQKPVAVDVSVAKDGVKAAKQKQTMMPSKPEAVVITISPDTEEETGHAAPKSSHRKSSMKKVQTFTSVLTARSKVACGVADKPNSQKIQDIDALDADDQLAVVDYVEDIYKFYKHSESSYRPDNYIESQLEINAKMRAILADWLIEVHHKFELMPETLYLTFYIVDRYSRWRASSGRSYSLLV >DRNTG_25343.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24070228:24072608:-1 gene:DRNTG_25343 transcript:DRNTG_25343.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRHHQAVVSQQQRGDAVRAGKQKVVAGADGRNRQALGDIGNLVNLRGPDGKPVHEINRPVTRSFGAQLLANAPVAAAAAAAAANKKPVAVDVSVAKDGVKAAKQKQTMMPSKPEAVVITISPDTEEETGHAAPKSSHRKSSMKKVQTFTSVLTARSKVACGVADKPNSQKIQDIDALDADDQLAVVDYVEDIYKFYKHSESSYRPDNYIESQLEINAKMRAILADWLIEVHHKFELMPETLYLTFYIVDRYSRWRASSGRSYSLLV >DRNTG_25719.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17195877:17196816:-1 gene:DRNTG_25719 transcript:DRNTG_25719.15 gene_biotype:protein_coding transcript_biotype:protein_coding GVLLVVGRELRCCYKASIDGFSATSFHKHCDFKGPCVIIGYTTSSFKFGAFNPEGYRSTDDYYDTLDAFLFYWRDSETDDGDELVILPKVGGSGAALFDYARGGPQFGADGLLIGPPLSPVMGVFTGPDASSGVGDLRQAKSRLGLSYAKREDGKDSLFGDDGRATLVEVEVFCSPQIASMY >DRNTG_25719.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17195740:17196816:-1 gene:DRNTG_25719 transcript:DRNTG_25719.7 gene_biotype:protein_coding transcript_biotype:protein_coding KGVLLVVGRELRCCYKASIDGFSATSFHKHCDFKGPCVIIGYTTSSFKFGAFNPEGYRSTDDYYDTLDAFLFYWRDSETDDGDELVILPKVGGSGAALFDYARGGPQFGADGLLIGPPLSPVMGVFTGPDASSGVGDLRQAKSRLGLSYAKREDGKDSLFGDDGRATLVEVEVFCSPQIASMY >DRNTG_25719.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17195740:17196816:-1 gene:DRNTG_25719 transcript:DRNTG_25719.5 gene_biotype:protein_coding transcript_biotype:protein_coding GVLLVVGRELRCCYKASIDGFSATSFHKHCDFKGPCVIIGYTTSSFKFGAFNPEGYRSTDDYYDTLDAFLFYWRDSETDDGDELVILPKVGGSGAALFDYARGGPQFGADGLLIGPPLSPVMGVFTGPDASSGVGDLRQAKSRLGLSYAKREDGKDSLFGDDGRATLVEVEVFCSPQIASMY >DRNTG_25719.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17195877:17196904:-1 gene:DRNTG_25719 transcript:DRNTG_25719.8 gene_biotype:protein_coding transcript_biotype:protein_coding MACMSSTTCMLLKQKINTTPLNLFDWTKNNKSKPPPKYHDTVHLPFPPSLLSKTHLKGRELRCCYKASIDGFSATSFHKHCDFKGPCVIIGYTTSSFKFGAFNPEGYRSTDDYYDTLDAFLFYWRDSETDDGDELVILPKVGGSGAALFDYARGGPQFGADGLLIGPPLSPVMGVFTGPDASSGVGDLRQAKSRLGLSYAKREDGKDSLFGDDGRATLVEVEVFCSPQIASMY >DRNTG_25719.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17195877:17196816:-1 gene:DRNTG_25719 transcript:DRNTG_25719.13 gene_biotype:protein_coding transcript_biotype:protein_coding MACMSSTTCMLLKQKINTTPLNLFDWTKNNKSKPPPKYHDTVHLPFPPSLLSKTHLKGRELRCCYKASIDGFSATSFHKHCDFKGPCVIIGYTTSSFKFGAFNPEGYRSTDDYYDTLDAFLFYWRDSETDDGDELVILPKVGGSGAALFDYARGGPQFGADGLLIGPPLSPVMGVFTGPDASSGVGDLRQAKSRLGLSYAKREDGKDSLFGDDGRATLVEVEVFCSPQIASMY >DRNTG_25719.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17195816:17196816:-1 gene:DRNTG_25719 transcript:DRNTG_25719.11 gene_biotype:protein_coding transcript_biotype:protein_coding KGVLLVVGRELRCCYKASIDGFSATSFHKHCDFKGPCVIIGYTTSSFKFGAFNPEGYRSTDDYYDTLDAFLFYWRDSETDDGDELVILPKVGGSGAALFDYARGGPQFGADGLLIGPPLSPVMGVFTGPDASSGVGDLRQAKSRLGLSYAKREDGKDSLFGDDGRATLVEVEVFCSPQIASMY >DRNTG_25719.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17195816:17196904:-1 gene:DRNTG_25719 transcript:DRNTG_25719.4 gene_biotype:protein_coding transcript_biotype:protein_coding KGVLLVVGRELRCCYKASIDGFSATSFHKHCDFKGPCVIIGYTTSSFKFGAFNPEGYRSTDDYYDTLDAFLFYWRDSETDDGDELVILPKVGGSGAALFDYARGGPQFGADGLLIGPPLSPVMGVFTGPDASSGVGDLRQAKSRLGLSYAKREDGKDSLFGDDGRATLVEVEVFCSPQIASMY >DRNTG_25719.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17195740:17196904:-1 gene:DRNTG_25719 transcript:DRNTG_25719.2 gene_biotype:protein_coding transcript_biotype:protein_coding KGVLLVVGRELRCCYKASIDGFSATSFHKHCDFKGPCVIIGYTTSSFKFGAFNPEGYRSTDDYYDTLDAFLFYWRDSETDDGDELVILPKVGGSGAALFDYARGGPQFGADGLLIGPPLSPVMGVFTGPDASSGVGDLRQAKSRLGLSYAKREDGKDSLFGDDGRATLVEVEVFCSPQIASMY >DRNTG_25719.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17195877:17196904:-1 gene:DRNTG_25719 transcript:DRNTG_25719.9 gene_biotype:protein_coding transcript_biotype:protein_coding KGVLLVVGRELRCCYKASIDGFSATSFHKHCDFKGPCVIIGYTTSSFKFGAFNPEGYRSTDDYYDTLDAFLFYWRDSETDDGDELVILPKVGGSGAALFDYARGGPQFGADGLLIGPPLSPVMGVFTGPDASSGVGDLRQAKSRLGLSYAKREDGKDSLFGDDGRATLVEVEVFCSPQIASMY >DRNTG_25719.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17195816:17196904:-1 gene:DRNTG_25719 transcript:DRNTG_25719.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACMSSTTCMLLKQKINTTPLNLFDWTKNNKSKPPPKYHDTVHLPFPPSLLSKTHLKGRELRCCYKASIDGFSATSFHKHCDFKGPCVIIGYTTSSFKFGAFNPEGYRSTDDYYDTLDAFLFYWRDSETDDGDELVILPKVGGSGAALFDYARGGPQFGADGLLIGPPLSPVMGVFTGPDASSGVGDLRQAKSRLGLSYAKREDGKDSLFGDDGRATLVEVEVFCSPQIASMY >DRNTG_25719.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17195877:17196816:-1 gene:DRNTG_25719 transcript:DRNTG_25719.14 gene_biotype:protein_coding transcript_biotype:protein_coding KGVLLVVGRELRCCYKASIDGFSATSFHKHCDFKGPCVIIGYTTSSFKFGAFNPEGYRSTDDYYDTLDAFLFYWRDSETDDGDELVILPKVGGSGAALFDYARGGPQFGADGLLIGPPLSPVMGVFTGPDASSGVGDLRQAKSRLGLSYAKREDGKDSLFGDDGRATLVEVEVFCSPQIASMY >DRNTG_25719.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17195740:17196816:-1 gene:DRNTG_25719 transcript:DRNTG_25719.6 gene_biotype:protein_coding transcript_biotype:protein_coding MACMSSTTCMLLKQKINTTPLNLFDWTKNNKSKPPPKYHDTVHLPFPPSLLSKTHLKGRELRCCYKASIDGFSATSFHKHCDFKGPCVIIGYTTSSFKFGAFNPEGYRSTDDYYDTLDAFLFYWRDSETDDGDELVILPKVGGSGAALFDYARGGPQFGADGLLIGPPLSPVMGVFTGPDASSGVGDLRQAKSRLGLSYAKREDGKDSLFGDDGRATLVEVEVFCSPQIASMY >DRNTG_25719.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17195816:17196816:-1 gene:DRNTG_25719 transcript:DRNTG_25719.10 gene_biotype:protein_coding transcript_biotype:protein_coding MACMSSTTCMLLKQKINTTPLNLFDWTKNNKSKPPPKYHDTVHLPFPPSLLSKTHLKGRELRCCYKASIDGFSATSFHKHCDFKGPCVIIGYTTSSFKFGAFNPEGYRSTDDYYDTLDAFLFYWRDSETDDGDELVILPKVGGSGAALFDYARGGPQFGADGLLIGPPLSPVMGVFTGPDASSGVGDLRQAKSRLGLSYAKREDGKDSLFGDDGRATLVEVEVFCSPQIASMY >DRNTG_25719.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17195816:17196816:-1 gene:DRNTG_25719 transcript:DRNTG_25719.12 gene_biotype:protein_coding transcript_biotype:protein_coding GVLLVVGRELRCCYKASIDGFSATSFHKHCDFKGPCVIIGYTTSSFKFGAFNPEGYRSTDDYYDTLDAFLFYWRDSETDDGDELVILPKVGGSGAALFDYARGGPQFGADGLLIGPPLSPVMGVFTGPDASSGVGDLRQAKSRLGLSYAKREDGKDSLFGDDGRATLVEVEVFCSPQIASMY >DRNTG_25719.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17195740:17196904:-1 gene:DRNTG_25719 transcript:DRNTG_25719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMSSTTCMLLKQKINTTPLNLFDWTKNNKSKPPPKYHDTVHLPFPPSLLSKTHLKGRELRCCYKASIDGFSATSFHKHCDFKGPCVIIGYTTSSFKFGAFNPEGYRSTDDYYDTLDAFLFYWRDSETDDGDELVILPKVGGSGAALFDYARGGPQFGADGLLIGPPLSPVMGVFTGPDASSGVGDLRQAKSRLGLSYAKREDGKDSLFGDDGRATLVEVEVFCSPQIASMY >DRNTG_04164.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:467601:469017:-1 gene:DRNTG_04164 transcript:DRNTG_04164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRWSRSLATRPKTEEEQATLDMALSKAKDLVSSHPVLLISKTYCGYCTRVKQLLTQLGANYTVLELNVEGDGAEIQSALAEWTGQRTVPNVFIGGKHIGGCDNVMAVHASEKLVPLLTEAGALSVAAA >DRNTG_00195.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:143154:149708:-1 gene:DRNTG_00195 transcript:DRNTG_00195.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRRVCFILLLLFSLVESTSRSLDMWPLKLEGGSKFSPSWPIMSAAFFVLVALVLSMFLIFEHLAVYSQPEEQKFLIGIILMVPVYAVESLLSLLDSKVAFVCEIMRDCYEAFAMYCFERYLIACLGGEEKTIQFMESQIEISSSIPLLEVEYADGIVEHPFPLNCLLRHWYLGSDFYQAVKIGIVQYMILKTICALFAIILELFGVYGEGKFEWRYGYPYLAVVMNFSQTWALYCLIKFYSITKDKLQPIKPLAKFLVFKSIVFVTWWQGVAVAFLFSTGIFKGHLAKELKTRIQDYIICIEMGIAAVVHLYVFPARPYQRGERCVRNFAVMTDYASLGAPPDPEEVRESARLWKMHVDRFGDREKRLSFPQSVRDVVFGSGEIMVDDVKFTVSHVVEPMERGIARINETFHQISANVKQFEKRKRKAKDDSFLIPLESRSEEFSEAYDQLPEGSISDSGVTKKRYQNTRTIPSAIRKSDSSSPLFFEFTGSRWS >DRNTG_00195.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:143154:149708:-1 gene:DRNTG_00195 transcript:DRNTG_00195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRRVCFILLLLFSLVESTSRSLDMWPLKLEGGSKFSPSWPIMSAAFFVLVALVLSMFLIFEHLAVYSQPEEQKFLIGIILMVPVYAVESLLSLLDSKVAFVCEIMRDCYEAFAMYCFERYLIACLGGEEKTIQFMESQIEISSSIPLLEVEYADGIVEHPFPLNCLLRHWYLGSDFYQAVKIGIVQYMILKTICALFAIILELFGVYGEGKFEWRYGYPYLAVVMNFSQTWALYCLIKFYSITKDKLQPIKPLAKFLVFKSIVFVTWWQGVAVAFLFSTGIFKGHLAKELKTRIQDYIICIEMGIAAVVHLYVFPARPYQRGERCVRNFAVMTDYASLGAPPDPEEVRESARLWKMHVDRFGDREKRLSFPQSVRDVVFGSGEIMVDDVKFTVSHVVEPMERGIARINETFHQISANVKQFEKRKRKAKDDSFLIPLESRSEEFSEAYDQLPEGSISDSGVTKKRYQNTRTIPSAIRKSDSSSPLFFEFTGSRWS >DRNTG_00195.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:143154:149708:-1 gene:DRNTG_00195 transcript:DRNTG_00195.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRRVCFILLLLFSLVESTSRSLDMWPLKLEGGSKFSPSWPIMSAAFFVLVALVLSMFLIFEHLAVYSQPEEQKFLIGIILMVPVYAVESLLSLLDSKVAFVCEIMRDCYEAFAMYCFERYLIACLGGEEKTIQFMESQIEISSSIPLLEVEYADGIVEHPFPLNCLLRHWYLGSDFYQAVKIGIVQYMILKTICALFAIILELFGVYGEGKFEWRYGYPYLAVVMNFSQTWALYCLIKFYSITKDKLQPIKPLAKFLVFKSIVFVTWWQGVAVAFLFSTGIFKGHLAKELKTRIQDYIICIEMGIAAVVHLYVFPARPYQRGERCVRNFAVMTDYASLGAPPDPEEVRESARLWKMHVDRFGDREKRLSFPQSVRDVVFGSGEIMVDDVKFTVSHVVEPMERGIARINETFHQISANVKQFEKRKRKAKDDSFLIPLESRSEEFSEAYDQLPEGSISDSGVTKKRYQNTRTIPSAIRKSDSSSPLFFEFTGSRWS >DRNTG_00195.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:143154:149708:-1 gene:DRNTG_00195 transcript:DRNTG_00195.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRRVCFILLLLFSLVESTSRSLDMWPLKLEGGSKFSPSWPIMSAAFFVLVALVLSMFLIFEHLAVYSQPEEQKFLIGIILMVPVYAVESLLSLLDSKVAFVCEIMRDCYEAFAMYCFERYLIACLGGEEKTIQFMESQIEISSSIPLLEVEYADGIVEHPFPLNCLLRHWYLGSDFYQAVKIGIVQYMILKTICALFAIILELFGVYGEGKFEWRYGYPYLAVVMNFSQTWALYCLIKFYSITKDKLQPIKPLAKFLVFKSIVFVTWWQGVAVAFLFSTGIFKGHLAKELKTRIQDYIICIEMGIAAVVHLYVFPARPYQRGERCVRNFAVMTDYASLGAPPDPEEVRESARLWKMHVDRFGDREKRLSFPQSVRDVVFGSGEIMVDDVKFTVSHVVEPMERGIARINETFHQISANVKQFEKRKRKAKDDSFLIPLESRSEEFSEAYDQLPEGSISDSGVTKKRYQNTRTIPSAIRKSDSSSPLFFEFTGSRWS >DRNTG_05778.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000269.1:101068:102822:1 gene:DRNTG_05778 transcript:DRNTG_05778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASHSYHTSTYQNDQHGSEKHPAKFDTGPCSCGAPDPQGHPHIPVAPRGMHMPLCFLGMERALL >DRNTG_17876.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000919.1:10589:12336:1 gene:DRNTG_17876 transcript:DRNTG_17876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEKKMVAMVMFMVVSLFLGCCDVAGTVYKVGDEDGWTVKPNYTYWTSTKNFEVGDTILFQYDAKLHNVIEVKNLADYRNCNKFSAIATYSSGNDSILIITPGHRFFLCGFPGHCTAGQKVDIRVLNLANTSASSPTAMPPTSSPNPAGIAIQDSPAPAPQTSSGSSINFKVLGIGITNVLLAFGLVMV >DRNTG_27199.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:510631:511355:-1 gene:DRNTG_27199 transcript:DRNTG_27199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNETKITIEGKEQVEVNYSQRAQWLRAAVLGANDGLVSTASLMMGVGAVKDDPKAMLISGFAGLVAGACSMAIGEFVSVYSQVDIEVAEMKRNGESKHGDGGGVPSPVQAAVASGMAFSVGAAVPLLAAGFITQHSVRLGVVAAAASLALVMFGLTGAVLGRAPVVRSTVRVLAGGWMAMGVTFGLMKLFGSSAAL >DRNTG_33484.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15501234:15504428:1 gene:DRNTG_33484 transcript:DRNTG_33484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTQNHPPPPPSPSSSDNNFPILAISILGILTTSILLLAYYLFVTKSCFNWRRSRLPLTNPHPSQPLFQPQPQPLGLPPSLIQSLPILRFSKSSSSSSSNQCSICLTDFQPNEHLRLLPSCSHSFHIDCIDIWLQSHPNCPLCRSLILSQDFHRTPTLHPQLPNSSSSPSSPPPPPPLLPLRKAPSFGHECIDLRPFKDDTFCIQPIRRSFSMDSSADRQLYLSVQQALQRDRHFQEQEQEDEDVIGSSGSKGRGEYDYGEPTTSIEGTNSRGWQL >DRNTG_01026.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18173350:18180424:-1 gene:DRNTG_01026 transcript:DRNTG_01026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNKGNMSGLKRKLVECSSERASKAACVSREREPSAHGCYCRPMQQRGLADHCFNYLKSGLPRRIMFYKGGDWFNFPESITQILIEGFRDRRSSNEVLMAGQSHLVDFLSMVMINLSTRKQCSIAWIDEAEKCFFPTVFIDEVGDDSASSESGIMGSNLQRLEVERASRSQPEIVKHVLEESTALASRQQSAADVLRTKIEDLERESEEFLFAENLFLSGMGPFATANNILHIYRYAPSDMAAQARLQSFERQIKTTESIHGVANVKYGWFGSNKLDIVGILIHGFGKVGKTTEGVLGSGVCMSSENRSFASVNLCDVDEKGIQYMLLCRVILGNVEQVRPGSKLYCPSSTSYDSGVDNFVRPNHYLICSTQLNTRIHPEYVVSFKLAPRIHDYLSELKDLRFHLLQPGIVPDFSTLCPLKANPMKGPSSPWMPFTSLFVEIQDKICPLAKELLFLHYQELKANLITRENMVKKMTVIVGHSLLVAALQKLKRSPSSWFDSSPAEVKADILPVTNSKNDSGRLIIEKNECTSTNVPNTSLVKELGDSPATKLDANDSVASTKKTNGIAV >DRNTG_02322.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:655078:655417:-1 gene:DRNTG_02322 transcript:DRNTG_02322.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSQVLCFQNGSRNRKDDSFLHSETGNED >DRNTG_02539.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8263957:8267654:-1 gene:DRNTG_02539 transcript:DRNTG_02539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFSHVDDSFPQPSTPNASWTLSDNRTMIIFCQSCEIDIRMEIGHLPTAHAMWEHLGRMFKHSSSARQYVILQDLTHVQQLERSIREYVSELRSLWRQIDSLEGSACLTCTCFKTSTQSRQTQRTFEFLMRLRPNIVVVCSQLLNQDPLPSFEDIIRSVIAEETRLSTLSPRLIPTDTVLTVTSPCFTRVPSHPSMPSTLASSTSFKSSIICHYCKRPGHMKNQCLKLQQRQQQQSFQSRPPPRSPTSSLHATSISASDSPSSANLLSQDQRSVKEPSKLIEKHVLDRSDEDQKKSRSMLNFHQQVYAEPNFKPSSILFPFRCIEYLQKQAPPTGLELANDEFILHFERTARTQRMVRHIEGTSWLERLTLTNLALYFGVSAIISYETVLKIDLSKPDLNHQVKLASIGPWEALLFDKAITYESSDL >DRNTG_06498.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21834428:21835069:-1 gene:DRNTG_06498 transcript:DRNTG_06498.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAVPSRKLSTPVRSSQGNHTPVWNPWACNIHMVVHRGSRTPLRLL >DRNTG_14581.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3825636:3829397:-1 gene:DRNTG_14581 transcript:DRNTG_14581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLEKHLARFVQSANTRFKSVEATLRNHTVSLHNLENQPKRTCEGDRFEKRSAGSECVDMFRLVLQSLQGRAAAPVNDHATGARPWLISTRACEFLQSCPDFPENTQGRGLAPVGELVNYTRAWVISARPCETLQGR >DRNTG_08353.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4063628:4067191:-1 gene:DRNTG_08353 transcript:DRNTG_08353.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKLRGMLNVAFQLMITIGILAANLINYGTAQIKDGSGWRISLGLAAVPAIIISIGSLLLSDTPNSLIERGHAAKARAMLKKIRGIDDIEDEYNDLVAASEESKLVEHPWSNIFQRKYRPQLTMAFAIPFFQQITGINVIMFYAPVLFKTIGLGDKASLMSSVISGLVNVVATFVSIFSVDRAGRRALFLEGGIQMFICQVHFYPSSILILLLTVNFSNICKLNLSGSLDHRS >DRNTG_08353.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4063628:4067191:-1 gene:DRNTG_08353 transcript:DRNTG_08353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKLRGMLNVAFQLMITIGILAANLINYGTAQIKDGSGWRISLGLAAVPAIIISIGSLLLSDTPNSLIERGHAAKARAMLKKIRGIDDIEDEYNDLVAASEESKLVEHPWSNIFQRKYRPQLTMAFAIPFFQQITGINVIMFYAPVLFKTIGLGDKASLMSSVISGLVNVVATFVSIFSVDRAGRRALFLEGGIQMFICQVHFYPSSILILLLTVNFSNICKLNLSGSLDHRS >DRNTG_04716.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10469260:10471545:-1 gene:DRNTG_04716 transcript:DRNTG_04716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLVCNPHTRSHTLLPVDCGPLCGPHTRPHTHLPRVCGYVAAQEAAHNLGRAMRPTTVFCNSTLREMEFLAGYYRKFVEGFSSIALPLSKLN >DRNTG_09891.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000418.1:37838:38948:1 gene:DRNTG_09891 transcript:DRNTG_09891.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDVIDIETNPRIADCVVAILKNRIRDHRQSLKKYYLSFSDYEDARKKKPNEFITQANWEDLCDYWDNDKTKILGAKYDEHEENTKSGDKQGDHQV >DRNTG_27223.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:893986:895381:-1 gene:DRNTG_27223 transcript:DRNTG_27223.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKEEEEQQSPPRNFWGNTPEDEEAYHASQGIKSTNSFHDSPGGLSLFARSWEPLPPSSPRALLFMLHGYGNDISWTFQSSPIFLARSAFSCFALDLPGHGRSQGLRAFVPDVDAVVDDCISYIDSIRRRPEYRDLPCFLFGESMGGAICLLIHLRHLTARRWDGAILVAPMCKISDNIRPRWPIPQILTFVAKFLPTLPIVPTADLVEKSVKVEEKRFIAACNPLRYQGRPRLGTVLELLRVTDDLSSRLSEVSLPFIVLHGSADVVTDPSVSQTLYDAARSEDKTIKIYEGMMHSLLFGETDDNVAIVRNDILAWLNDRCARPSFPS >DRNTG_27223.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:893986:895188:-1 gene:DRNTG_27223 transcript:DRNTG_27223.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKEEEEQQSPPRNFWGNTPEDEEAYHASQGIKSTNSFHDSPGGLSLFARSWEPLPPSSPRALLFMLHGYGNDISWTFQSSPIFLARSAFSCFALDLPGHGRSQGLRAFVPDVDAVVDDCISYIDSIRRRPEYRDLPCFLFGESMGGAICLLIHLRHLTARRWDGAILVAPMCKISDNIRPRWPIPQILTFVAKFLPTLPIVPTADLVEKSVKVEEKRFIAACNPLRYQGRPRLGTVLELLRVTDDLSSRLSEVSLPFIVLHGSADVVTDPSVSQTLYDAARSEDKTIKIYEGMMHSLLFGETDDNVAIVRNDILAWLNDRCARPSFPS >DRNTG_27223.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:893933:895188:-1 gene:DRNTG_27223 transcript:DRNTG_27223.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKEEEEQQSPPRNFWGNTPEDEEAYHASQGIKSTNSFHDSPGGLSLFARSWEPLPPSSPRALLFMLHGYGNDISWTFQSSPIFLARSAFSCFALDLPGHGRSQGLRAFVPDVDAVVDDCISYIDSIRRRPEYRDLPCFLFGESMGGAICLLIHLRHLTARRWDGAILVAPMCKISDNIRPRWPIPQILTFVAKFLPTLPIVPTADLVEKSVKVEEKRFIAACNPLRYQGRPRLGTVLELLRVTDDLSSRLSEVSLPFIVLHGSADVVTDPSVSQTLYDAARSEDKTIKIYEGMMHSLLFGETDDNVAIVRNDILAWLNDRCARPSFPS >DRNTG_27223.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:890161:895188:-1 gene:DRNTG_27223 transcript:DRNTG_27223.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKEEEEQQSPPRNFWGNTPEDEEAYHASQGIKSTNSFHDSPGGLSLFARSWEPLPPSSPRALLFMLHGYGNDISWTFQSSPIFLARSAFSCFALDLPGHGRSQGLRAFVPDVDAVVDDCISYIDSIRRRPEYRDLPCFLFGESMGGAICLLIHLRHLTARRWDGAILVAPMCKISDNIRPRWPIPQILTFVAKFLPTLPIVPTADLVEKSVKVEEKRFIAACNPLRYQGRPRLGTVLELLRVTDDLSSRLSEVSLPFIVLHGSADVVTDPSVSQTLYDAARSEDKTIKIYEGMMHSLLFGETDDNVAIVRNDILAWLNDSSWHDELQKRRAVT >DRNTG_27223.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:889198:895188:-1 gene:DRNTG_27223 transcript:DRNTG_27223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKEEEEQQSPPRNFWGNTPEDEEAYHASQGIKSTNSFHDSPGGLSLFARSWEPLPPSSPRALLFMLHGYGNDISWTFQSSPIFLARSAFSCFALDLPGHGRSQGLRAFVPDVDAVVDDCISYIDSIRRRPEYRDLPCFLFGESMGGAICLLIHLRHLTARRWDGAILVAPMCKISDNIRPRWPIPQILTFVAKFLPTLPIVPTADLVEKSVKVEEKRFIAACNPLRYQGRPRLGTVLELLRVTDDLSSRLSEVSLPFIVLHGSADVVTDPSVSQTLYDAARSEDKTIKIYEGMMHSLLFGETDDNVAIVRNDILAWLNDSSWHDELQKRRAVT >DRNTG_13497.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21835149:21837969:1 gene:DRNTG_13497 transcript:DRNTG_13497.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G11050) UniProtKB/Swiss-Prot;Acc:Q9SRL5] MLLRASPSLSLPPHASDGAAASPASLSAFVRISKGVSKRKNASFVPMVVSGDNSRPMAGVIFEPFEELNQDSSFLVPSMPDQSLARQKFTNNSEAAINDQINVEYNVSYVYHAMYAYFDRDDVALKGLAKFFKESSVEEREHAEKLMEYQNKRGGRVKLQSIMMPPSEFDDSEKGDALNAMELALSLEKLTNEKLLNLHNVATHNNDVQLSDFVESEFLGEQVEAIKKISEYVAQLRRVGKGHGVWQFDQMLLHEGAAA >DRNTG_18077.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:253757:256878:1 gene:DRNTG_18077 transcript:DRNTG_18077.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 47 [Source:Projected from Arabidopsis thaliana (AT4G01720) UniProtKB/Swiss-Prot;Acc:Q9ZSI7] MERHREMELLHSGEYSGSDPGGSSRRDIIEEVDFFSKSRLRDEAAGLQPEIPNSSPPPPPPPGEPSINTGLNLLTMNSGGSQQHEVEEKMKNSSSSSSNINNNKLLALQAELDRLGDENRKLRSMLDHLMKNYNDLQNQLLLAMQQQARKAAEDGQKENKNDVQSPAPITARQFMDPGPACMQDTEDPSKSGEGEEEQSPLRNHPHEHGIVSLAKRRLPMDSIPSEQTSPSWGTSKSPKQVPERTTDQSSELACRKARVSVRARSDAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCSVRKQVQRCAEDKTILITTYEGNHNHPLPPAAMAMANTTTAAATMLLSGSTSSKDSLTNPTNGFYQPMPYASTMATLSASAPFPTITLDLTQPPGPLQIHRQQTPATPFPLTLPMYMPQKVPGLVVPPGLQFGQQQPSSVMDTVTAAIASDPNFTSALAAAIKSIISTPRSPNAATTATNGPSTPPAAAAALHAFPGSPQFPQSCTTFSTNTN >DRNTG_27357.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6662864:6666410:1 gene:DRNTG_27357 transcript:DRNTG_27357.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWQRARDFAEEAAKRSQEISKEAAKRSQEFTRDAVRLSQEFVSETAKKSKDLAAEASKKADVLKIEALKRAEQIKTLAGEIPIPVGSSVAPDPQADIEVFGVTDELREYVKGFNLSSFRDFQIEDELEKPESPTVLNVRQDLNEWQARHAALILSTAKEISKLRYELCPRYMKERKFWRIYFILVKSYVAVYERQYMEELLAKTLEQTSDESLKVNPTTVPASMSDVKQTKLDSKASSSKAEDDLDVFLLGDLGSDDEVDPGISVVDDDGLGDDFDKIGNSGLESDEDDEQKSAPSNKASAS >DRNTG_05724.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:11808407:11810377:1 gene:DRNTG_05724 transcript:DRNTG_05724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSPTEIQSATLNNLRPKYKQYKSHSTNNDYKVYNLKVQLLKEDKYKK >DRNTG_32895.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1061975:1067046:1 gene:DRNTG_32895 transcript:DRNTG_32895.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAEPQNRQARPMPPPQSRGPPPPARPRSEPVDREKTCPLLLRVFTKVGGHHPQEDFAVRGKEPKDEVQIYTWKDATLRELTDLVKEVAPAARRRDAKLSFAFVYPDKNGHFVVRPVGMTHSHGNGRRMDDHQTLADLSFQIGDYLSVAII >DRNTG_32895.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1061975:1067046:1 gene:DRNTG_32895 transcript:DRNTG_32895.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAEPQNRQARPMPPPQSRGPPPPARPRSEPVDREKTCPLLLRVFTKVGGHHPQEDFAVRGKEPKDEVQIYTWKDATLRELTDLVKEVAPAARRRDAKLSFAFVYPDKNGHFVVRPVGMTHSHGNGRRMDDHQTLADLSFQIGDYLSVAII >DRNTG_32895.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1061975:1067046:1 gene:DRNTG_32895 transcript:DRNTG_32895.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAEPQNRQARPMPPPQSRGPPPPARPRSEPVDREKTCPLLLRVFTKVGGHHPQEDFAVRGKEPKDEVQIYTWKDATLRELTDLVKEVAPAARRRDAKLSFAFVYPDKNGHFVVRPVGMTHSHGNGRRMDDHQTLADLSFQIGDYLSVAII >DRNTG_28303.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8116729:8118526:-1 gene:DRNTG_28303 transcript:DRNTG_28303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKESENKQAWLGKEVAQGVLHRSKVAIYDFLIWYSSKVMERILGSIAIIEKDSDPFRWAFLSFHVCVVGFKSGCKPLLFIDGTHLLRKYGAPYWVQRTRFLSKLADALYGDNHYTKIIRFMYDISNGLISAITKVFPSSPHAYCLMHLEENFMKGNQSLGKALKEYWSIFVMIAYVCMEEEYDDVVNGLLATFVDAHC >DRNTG_26331.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13892750:13896263:-1 gene:DRNTG_26331 transcript:DRNTG_26331.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVCTRLIKGLFVGRLLGDHLGRLWVTLGRRRRARKLEDHSSPRSNALKARRQHHSKGRSITT >DRNTG_07640.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000344.1:130987:132071:-1 gene:DRNTG_07640 transcript:DRNTG_07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSMILEKALMRIVEVWMQLIQLIMGMSIPTTLKDLCHYFQGEIFQSLVTPSLFMEVKVVKGLGKLIVRFVSIGDEGYQRTWVIPSQPRGPTS >DRNTG_03602.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:225444:227741:1 gene:DRNTG_03602 transcript:DRNTG_03602.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g23330 [Source:Projected from Arabidopsis thaliana (AT3G23330) UniProtKB/Swiss-Prot;Acc:Q9LW63] MRASSARTDHNVFPSVLKSCAALRDPKLVGSVHGLVIVLGYVSDLYTGNALMNAYSKLADCSLVRKMFDEMPNRDLVSWNTLIAGNAERGFYEEALGMVRELSVSCLKPDSFTLSSVLPIFAEYVDVSKGMEIHGFAVRHMFDTDVFIGSSLIDMYANCTKVEYSRRVFELLPKPDAISWNSIIAGSVQNGLFDEGLSLFRRMLLAKVKPMPATFSSIMPACSHLTTLHLGKQLHGYVIRGGFDDNVFVASAIVDMYAKCGNIGIARYIFDGIKVLDMVSWTAMIMGYALHGPVEEAFSLFHRMEKENGKPNYVAFIAVLTACSHAGLIDEAWRYFCSMTQDYGIVPCLEHYAAVADLLARAGKLEEAYDFICSMHINPTASVWSTLLGACRVHKNANLAEKVAEKIFALEPKNMESHVLMSNIYSSVGRWNEAANLRIIMKDKGMRKQPACSWIEVKSKVHGFVAHDKSHPYYDQIMETWEVLLEQMEREGYVPNTEDVLHDVDEEQKRDLLCGHSERLAIVFGIISTPPGTTIRVTKNLRVCIDCHITTKFISKIVGREIVVRDLNRFHHFKDGKCSCGDYW >DRNTG_03602.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:225444:229856:1 gene:DRNTG_03602 transcript:DRNTG_03602.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g23330 [Source:Projected from Arabidopsis thaliana (AT3G23330) UniProtKB/Swiss-Prot;Acc:Q9LW63] MRASSARTDHNVFPSVLKSCAALRDPKLVGSVHGLVIVLGYVSDLYTGNALMNAYSKLADCSLVRKMFDEMPNRDLVSWNTLIAGNAERGFYEEALGMVRELSVSCLKPDSFTLSSVLPIFAEYVDVSKGMEIHGFAVRHMFDTDVFIGSSLIDMYANCTKVEYSRRVFELLPKPDAISWNSIIAGSVQNGLFDEGLSLFRRMLLAKVKPMPATFSSIMPACSHLTTLHLGKQLHGYVIRGGFDDNVFVASAIVDMYAKCGNIGIARYIFDGIKVLDMVSWTAMIMGYALHGPVEEAFSLFHRMEKENGKPNYVAFIAVLTACSHAGLIDEAWRYFCSMTQDYGIVPCLEHYAAVADLLARAGKLEEAYDFICSMHINPTASVWSTLLGACRVHKNANLAEKVAEKIFALEPKNMESHVLMSNIYSSVGRWNEAANLRIIMKDKGMRKQPACSWIEVKSKVHGFVAHDKSHPYYDQIMETWEVLLEQMEREGYVPNTEDVLHDVDEEQKRDLLCGHSERLAIVFGIISTPPGTTIRVTKNLRVCIDCHITTKFISKIVGREIVVRDLNRFHHFKDGKCSCGDYW >DRNTG_23427.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21223702:21224006:-1 gene:DRNTG_23427 transcript:DRNTG_23427.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTLKTLDSLVGREDPILSILSSKGSPRNPTGEKRREEKRMKN >DRNTG_25032.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8699707:8702553:-1 gene:DRNTG_25032 transcript:DRNTG_25032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSPLLAVRNEDEEEEMAVSSCWVDTRRELRLVWGIAGPAILTSVFQYTLGATTQTLTGHIGTLELAAVGIQNLVVSGIAFGIMVGMGSALETLCGQAFGAKKSNMLGVYLQRSWIILLITALILTLVYIFATPILKLLGQSDEIAELAGRFSIWMIPELFAFAINFPIQKFLQAQSKVWEMAWISAGCLILHLVLSWLFIIKLKTGLLGAAVTLNFSWWLLVAGQFGYVLSGYCKDSWTGFSWLAFKDLGAFFRLSVASAVMLCLEYWAFMIVIELAGLLKHPEKAVDAATICMNVEGWCFMVPLGLVAAISVRVSNELGAGNPKAAKFSLFVVTGISLIIQTTFVIIILVTREDFPKLFTEDELVRERVSNVAYYLCATIFLGSMQPVRSGVAIGAGWQTTVAYVDLGSYYAVGLTIALLLGFTFNLGLEGIWGGVIIGVALQTIILIIITWRTNWEKELMIES >DRNTG_25032.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8699707:8701343:-1 gene:DRNTG_25032 transcript:DRNTG_25032.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVIELAGLLKHPEKAVDAATICMNVEGWCFMVPLGLVAAISVRVSNELGAGNPKAAKFSLFVVTGISLIIQTTFVIIILVTREDFPKLFTEDELVRERVSNVAYYLCATIFLGSMQPVRSGVAIGAGWQTTVAYVDLGSYYAVGLTIALLLGFTFNLGLEGIWGGVIIGVALQTIILIIITWRTNWEKELMIES >DRNTG_25032.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8699707:8701070:-1 gene:DRNTG_25032 transcript:DRNTG_25032.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEGWCFMVPLGLVAAISVRVSNELGAGNPKAAKFSLFVVTGISLIIQTTFVIIILVTREDFPKLFTEDELVRERVSNVAYYLCATIFLGSMQPVRSGVAIGAGWQTTVAYVDLGSYYAVGLTIALLLGFTFNLGLEGIWGGVIIGVALQTIILIIITWRTNWEKELMIES >DRNTG_08951.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27251357:27256754:1 gene:DRNTG_08951 transcript:DRNTG_08951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETVEEDEKKDLGHGSSPEEVQKEEISSVKSPVRRGRKPKTETSETKPKEAEEESAEKKQRRSVGTPSVERPARERKAVERYAALSPRRVTPSKIPPIEQGPGTKLRDIPNVSFKLSKRKVDENLQALHTILFGRKANAHYLKRNISQFSGFVWTSDEEKQKTKVKEKLDKCNKDRLLDFCELLDVCTVKGTTKKEEISVKLMEFLESPYVSRDVVLAEKEKAKKRKRRRKGPPETVSGEASSDGDEKTEGKKELTQSAKSEKDDDSVSSEAKDAPTDEHNSDSEHNQREDEEAQEDSAPNEKKRKTGDSVKSKDKSSLGKKGHKSEKGSGKSSSKNRSKASDEQKESSLKGATPRPLKKKRKAAPDEDSMEAPADSNDTDPPASKPKVEMKSKVRQKKNKKGAEQMAANAKKPGSGSNVSSKGKVKAKASSGEDGGPTKEELREVVSEILKEVDFSTATLADILRQLGDHFDKNLMDRKAEVKAIIEEVISNMSDDEEVDEGDDEEGPEGEGSDGEDDG >DRNTG_07837.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:93149:99741:-1 gene:DRNTG_07837 transcript:DRNTG_07837.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFRGLLSLYEASFQGFDGEKTLEKTRDFTTEHLLNLNPCMHPHLNHKMESSLELPLHWRTPRLEARWYIDQYKNSENMNPSLLQLAKLDFNLVQSTHQKELKKMIKDVASWKVFEARISAGLFSTLSFPAIVVLRSCRFMRFPPYGFAAVCLRTRSSELGSRRDTNKIQKIEGRDAMPYIGKASGITVVIFIQKKGYKPSLEEYLNNGWMSPSGKVILVHVFLTSQQGKTKEGLRALDELPKSHQVFVHDL >DRNTG_02544.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:11994289:11997928:1 gene:DRNTG_02544 transcript:DRNTG_02544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARDTVEDSASTATETSGCPDGLVNMGIETLSWAQPRCRQLGFGESLARLWRDLTAFDTAFPSEENYWGSFPQHRSELDKARLERVERVSREVAECDLSYLHSRVNGLRDDLPLGLSCECNGVKR >DRNTG_19423.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27081856:27082368:-1 gene:DRNTG_19423 transcript:DRNTG_19423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCIRKKGPLYTSVFNPLMLVIVALLGSLLLDEKLHLGSVLGAVLIVLGLYIVLWGKGREAAKITEASSTDHDSIHVIVHECKTAQESSFRRIS >DRNTG_02370.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15107426:15113002:1 gene:DRNTG_02370 transcript:DRNTG_02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHVHSIFKCAVVDFVVEIDNVPLSNPTENEFFLLNSESASAPVQPHGDPDGVGCLPSSSDYSEVLSLDIRQCFDGVEHFRDDGYHWRLYASKEYNKNTFKIKTINPSHTYGGGIGYVAGTWCHIPYKQVWLEKEHARVVLDGSAISSYDLLLWYMDKVAKTNPGNIVIVERDGDHFKHAFFSFSACIVGFKGACRPLLFRDGTHLLGKYRDTLLGAQGKMETMVFFTSPSVLSTTRPMPIGLGSYPS >DRNTG_03395.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20924600:20925844:1 gene:DRNTG_03395 transcript:DRNTG_03395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVDAARPTPEGSFDESGLLSYPLVYEKARSAVYSWMAMLPAGPSDKASGIGFIVKGSSVRDLKTPNSNLVEYSDCNRFLIVSLHRLKERVTYSKAIRIDRGFDLRLLCL >DRNTG_14438.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000682.1:9133:11642:1 gene:DRNTG_14438 transcript:DRNTG_14438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARRFHRKHSKAIGDARKPKELDEPSLGSECVNMFRNFLQSLQDFRRHPVKMHGRVEFPHACVFVLRAHPEKAQGRAAIHVNDRANIAHPWGRELAPVSDLVKLARVWVISTRPYETLQRSSLHPEKPQWCAITLRSHRGVWLPLWVAVGIFHTPVRECSESVRVFPESAYGRAPAPVKLSCGGARAWIMAPRSKKKADKRPRKQTGFLDTSILRDLKQGDEFADEFRALGHHHSLSITQFSVLLGLYEEAFTDTDYPRALTPQRGYRVPCGQGQYEPGLSKATCLSRPAYRYLHAIMSRSVNGRGDSTGILSRQELLYLYSMVQRLPIHLGHIMAEYIRHQRHYARLGAIFSGPYITRLVLGVGLLDLVRGAENTRVYALVLPAPEIAEGEGDHAEASQPAP >DRNTG_35249.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3461654:3463755:1 gene:DRNTG_35249 transcript:DRNTG_35249.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSDGHAEHPINGYFQLDGLNYRSSSPNNFDFVISPKLLQMKYNSVDDIVGKVYSMAKDQIGCRFLQKKFTEGAPEDIEKIFDEIKSHIVELMTDPFGNYLVQKLLEVCNEDQRMCILCTVTRKMGELFRISCDMHGTRAVQRVIETVQTAEQYSMIVSSLKPFVVALIKNVNGNHVAQRCLQHFPVEYSEVSSI >DRNTG_35249.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3461654:3466120:1 gene:DRNTG_35249 transcript:DRNTG_35249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSDGHAEHPINGYFQLDGLNYRSSSPNNFDFVISPKLLQMKYNSVDDIVGKVYSMAKDQIGCRFLQKKFTEGAPEDIEKIFDEIKSHIVELMTDPFGNYLVQKLLEVCNEDQRMCILCTVTRKMGELFRISCDMHGTRAVQRVIETVQTAEQYSMIVSSLKPFVVALIKNVNGNHVAQRCLQHFPVEYSEFVIGAAIAHCVELATDRQGCCVLQKCLHHSDGDQKNRLMAEIAANSLALSQDQYGNYVVQFMLDQEVPWVTSNILYQLEGSYADLSMQKCSSNVVEKCVRLAGEEMRIRIIQELMCSPLLPHILQDPFGNYVIQTVLKECKGALRAAVMEAIRPHFTELRSSPFGKKVLSTILRK >DRNTG_20109.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22649158:22649452:-1 gene:DRNTG_20109 transcript:DRNTG_20109.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTSTQSKPSVLSNDSILQRTAVRSS >DRNTG_10882.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14145876:14146194:-1 gene:DRNTG_10882 transcript:DRNTG_10882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQFQGHEYLDGSRPSRGHGEGFERFLDHFMLVSSQI >DRNTG_27185.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:624467:626870:1 gene:DRNTG_27185 transcript:DRNTG_27185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSPSLLVSSHPSSLPLFSRFEPKLIAMPSFPFTSPPPLRLLPIRSSTSQAGPLFQDPNNRTLFPFGDEKHSMPTPSHRWQRVLLKVSGEALAGDHKENIDPKITMAIAREVASVTRLGIEVAIVVGGGNIFRGASWAGCSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVYDEDPKINPNARLLDNLSYLDVTTKDLSVMDMTAITLCQENNIPVVVFNINKPGNIAKAIIGERVGTLIGAKENEPLRSEHAVVSD >DRNTG_11521.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3780138:3780967:-1 gene:DRNTG_11521 transcript:DRNTG_11521.1 gene_biotype:protein_coding transcript_biotype:protein_coding ETIPSGVGYHHAGLTVEEREIVETCYRKGLVRVLTATSTLAAGVNLPARRVIFRQPRVGRDFLDGTRYRQMAGRAGRTGIDTK >DRNTG_22004.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9016674:9019197:-1 gene:DRNTG_22004 transcript:DRNTG_22004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMSSMHLTLLKHNGTISRRSSSPEWASSPTLMISLASHLSQNSSVVFTTMCPSHRTQEHCLQTSPPPLMVSPSVGTLSGQLFFGWLGDKMGRKKVYGMTLMLMVICSIASGLSFGHTPNGVIATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGMVAIIIAAAFKSKFDAPPYNVDRAMSTVPQADYVWRIILMFGAMPAAMTYYWRMKMPETARYTALVAKNAKQAAADMSRVLQVEIEEEQSKVEEITNNGANNFRFVLS >DRNTG_10680.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13027219:13030060:1 gene:DRNTG_10680 transcript:DRNTG_10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSMVLRRVLYSSSKDSLVRKVKCRSRSRAPLLLLLKWKHIVGPPMLGSRMEVLEKLRGP >DRNTG_33678.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18431225:18439919:1 gene:DRNTG_33678 transcript:DRNTG_33678.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHCEGINSIRWSWTGPGTSNPNKQLLLASAANDGTVKIWDGARGQLLYSFNGHRTKGPVIEMEFSPDGDYIASESEQCLLIWKVKDGTIVKFCSGCDPWRYNLSWNREGNKIAAGYTNGSLRVLRLW >DRNTG_33678.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18431225:18439919:1 gene:DRNTG_33678 transcript:DRNTG_33678.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEWNRSIIDEGKGAMEIEWEPESLDPGNVKPPLPFPAGEPNNQGTAVVPPTNRPPNDDTIGDLTSELRNFHIVQCPEEKGIKNINDIDIDKGATPKGTLFPYVQDGLKYAQPKANLHACCGLDPVDIITINVGSCLSQIIEDRKKNAIDHGEDIDYDVSDQESNQYEVVVYKGPPLMGQIPSVPLVTHQVSNADVFVSARHSLEGSSCAWSPTDSLLAIGSSNASAQIWKISNDFSNMHASIPRVHFLIRSESSDTNTCELSVPDTIVAWNGEGELLATGSTNGWASIWCKNGELHKTLDEHEESISCIAWNSKGDLLLIGSYESEFIIWDTITWKPKQKLIFDLG >DRNTG_33678.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18431225:18439919:1 gene:DRNTG_33678 transcript:DRNTG_33678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCEGINSIRWSWTGPGTSNPNKQLLLASAANDGTVKIWDGARGQLLYSFNGHRTKGPVIEMEFSPDGDYIASESEQCLLIWKVKDGTIVKFCSGCDPWRYNLSWNREGNKIAAGYTNGSLRVLRLW >DRNTG_33678.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18431225:18439919:1 gene:DRNTG_33678 transcript:DRNTG_33678.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEWNRSIIDEGKGAMEIEWEPESLDPGNVKPPLPFPAGEPNNQGTAVVPPTNRPPNDDTIGDLTSELRNFHIVQCPEEKGIKNINDIDIDKGATPKGTLFPYVQDGLKYAQPKANLHACCGLDPVDIITINVGSCLSQIIEDRKKNAIDHGEDIDYDVSDQESNQYEVVVYKGPPLMGQIPSVPLVTHQVSNADVFVSARHSLEGSSCAWSPTDSLLAIGSSNASAQIWKISNDFSNMHASIPRVHFLIRSESSDTNTCELSVPDTIVAWNGEGELLATGSTNGWASIWCKNGELHKTLDEHEESISCIAWNSKGDLLLIGSYESEFIIWDTITWKPKQKLIFDLELLPVVIVAWRNNTSFTICSRDFKIFVWNVGDSQPIITFTGHQDDISGIKWDPTGTLLASYSDDGAIKIWTLKQDQSLHNLMHCEGINSIRWSWTGPGTSNPNKQLLLASAANDGTVKIWDGARGQLLYSFNGHRTKGPVIEMEFSPDGDYIASESEQCLLIWKVKDGTIVKFCSGCDPWRYNLSWNREGNKIAAGYTNGSLRVLRLW >DRNTG_33678.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18438044:18439919:1 gene:DRNTG_33678 transcript:DRNTG_33678.13 gene_biotype:protein_coding transcript_biotype:protein_coding MHCEGINSIRWSWTGPGTSNPNKQLLLASAANDGTVKIWDGARGQLLYSFNGHRTKGPVIEMEFSPDGDYIASESEQCLLIWKVKDGTIVKFCSGCDPWRYNLSWNREGNKIAAGYTNGSLRVLRLW >DRNTG_33678.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18431225:18439919:1 gene:DRNTG_33678 transcript:DRNTG_33678.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHCEGINSIRWSWTGPGTSNPNKQLLLASAANDGTVKIWDGARGQLLYSFNGHRTKGPVIEMEFSPDGDYIASESEQCLLIWKVKDGTIVKFCSGCDPWRYNLSWNREGNKIAAGYTNGSLRVLRLW >DRNTG_33678.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18431225:18439919:1 gene:DRNTG_33678 transcript:DRNTG_33678.10 gene_biotype:protein_coding transcript_biotype:protein_coding MHCEGINSIRWSWTGPGTSNPNKQLLLASAANDGTVKIWDGARGQLLYSFNGHRTKGPVIEMEFSPDGDYIASESEQCLLIWKVKDGTIVKFCSGCDPWRYNLSWNREGNKIAAGYTNGSLRVLRLW >DRNTG_33678.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18436722:18439919:1 gene:DRNTG_33678 transcript:DRNTG_33678.12 gene_biotype:protein_coding transcript_biotype:protein_coding MHCEGINSIRWSWTGPGTSNPNKQLLLASAANDGTVKIWDGARGQLLYSFNGHRTKGPVIEMEFSPDGDYIASESEQCLLIWKVKDGTIVKFCSGCDPWRYNLSWNREGNKIAAGYTNGSLRVLRLW >DRNTG_33678.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18431225:18439919:1 gene:DRNTG_33678 transcript:DRNTG_33678.11 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEWNRSIIDEGKGAMEIEWEPESLDPGNVKPPLPFPAGEPNNQGTAVVPPTNRPPNDDTIGDLTSELRNFHIVQCPEEKGIKNINDIDIDKGATPKGTLFPYVQDGLKYAQPKANLHACCGLDPVDIITINVGSCLSQIIEDRKKNAIDHGEDIDYDVSDQESNQYEVVVYKGPPLMGQIPSVPLVTHQVSNADVFVSARHSLEGSSCAWSPTDSLLAIGSSNASAQIWKISNDFSNMHASIPRVHFLIRSESSDTNTCELSVPDTIVAWNGEGELLATGSTNGWASIWCKNGELHKTLDEHEESISCIAWNSKGDLLLIGSYESEFIIWDTITWKPKQKLIFDLGET >DRNTG_33678.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18431225:18432779:1 gene:DRNTG_33678 transcript:DRNTG_33678.14 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEWNRSIIDEGKGAMEIEWEPESLDPGNVKPPLPFPAGEPNNQGTAVVPPTNRPPNDDTIGDLTSELRNFHIVQCPEEKGIKNINDIDIDKGATPKGTLFPYVQDGLKYAQPKANLHACCGLDPVDIITINVGSCLSQIIEDRKKNAIDHGEDIDYDVSDQESNQYEVVVYKGPPLMGQIPSVPLVTHQVSNADVFVSARHSLE >DRNTG_33678.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18431225:18439919:1 gene:DRNTG_33678 transcript:DRNTG_33678.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCEGINSIRWSWTGPGTSNPNKQLLLASAANDGTVKIWDGARGQLLYSFNGHRTKGPVIEMEFSPDGDYIASESEQCLLIWKVKDGTIVKFCSGCDPWRYNLSWNREGNKIAAGYTNGSLRVLRLW >DRNTG_33678.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18431225:18431659:1 gene:DRNTG_33678 transcript:DRNTG_33678.15 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEWNRSIIDEGKGAMEIEWEPESLDPGNVKPPLPFPAGEPNNQGTAVVPPTNRPPNDDTIGDLTSELRNFHIVQCPEEKGIVGFNFIYLFVPGLETYVCFLVCFFLDPYLMNLVPRVSST >DRNTG_33678.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18431225:18439919:1 gene:DRNTG_33678 transcript:DRNTG_33678.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCLESNRFSSSNWGEGELLATGSTNGWASIWCKNGELHKTLDEHEESISCIAWNSKGDLLLIGSYESEFIIWDTITWKPKQKLIFDLELLPVVIVAWRNNTSFTICSRDFKIFVWNVGDSQPIITFTGHQDDISGIKWDPTGTLLASYSDDGAIKIWTLKQDQSLHNLMHCEGINSIRWSWTGPGTSNPNKQLLLASAANDGTVKIWDGARGQLLYSFNGHRTKGPVIEMEFSPDGDYIASESEQCLLIWKVKDGTIVKFCSGCDPWRYNLSWNREGNKIAAGYTNGSLRVLRLW >DRNTG_33678.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18431225:18439919:1 gene:DRNTG_33678 transcript:DRNTG_33678.9 gene_biotype:protein_coding transcript_biotype:protein_coding MHCEGINSIRWSWTGPGTSNPNKQLLLASAANDGTVKIWDGARGQLLYSFNGHRTKGPVIEMEFSPDGDYIASESEQCLLIWKVKDGTIVKFCSGCDPWRYNLSWNREGNKIAAGYTNGSLRVLRLW >DRNTG_33678.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18431225:18439919:1 gene:DRNTG_33678 transcript:DRNTG_33678.8 gene_biotype:protein_coding transcript_biotype:protein_coding MHCEGINSIRWSWTGPGTSNPNKQLLLASAANDGTVKIWDGARGQLLYSFNGHRTKGPVIEMEFSPDGDYIASESEQCLLIWKVKDGTIVKFCSGCDPWRYNLSWNREGNKIAAGYTNGSLRVLRLW >DRNTG_31455.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:785011:786730:-1 gene:DRNTG_31455 transcript:DRNTG_31455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMRALNSLGIGLSLVFGCLLLALVAELYYLLWWKKRFSNRDLEADHYASPAKEIFCLFCWKRPSSLSSTALNPQAMNSSAVDDDHNHGHQLHLHSNSGKDHLLNPLFESSKQDDFNSLRSSSPPPKFKFLKDAEEKLYRKTLMEEALKVHRNNGEEEDEDGSFITIIIGKNRDKGHHSSSSQVTPLSSSPSTIRPSHA >DRNTG_31459.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1871856:1873147:1 gene:DRNTG_31459 transcript:DRNTG_31459.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRAPCCEKVGLNKGSWTPAEDMRLMAYIQKYGHGNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFSREEEDTIIKLHSLLGNKWSKIASCLPGRTDNEIKNVWNTHLKKRVSHKEEKSSSSSSSEQSNVKNCNVKLDNQFGESKNSSQEKIEIEIEFEPSVDMSCMLISDNDDIDGVHSNFAEDFDKVVVSSSSSTNSYSSSDYNTNQEDPLWRSIMDEEYYPLMLDDDNDHEKNNNNSTKTLGEEYSKNEDKSKEWLANLERELDLDLWENEGSLQCFNDPVSCYFQRTL >DRNTG_15039.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4147327:4151819:-1 gene:DRNTG_15039 transcript:DRNTG_15039.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLRFSSESKSLRIHARQKFHLEPDTLSNTLLQVHGEIDTKNGNPSYFALLIRQFLPQLSTRIGVGLQSDKHRKLQYTVRGKKAFELPSSGYFGLNIKGRCDLDKEFNKRKDSGGIELVWSKLNFQRDQDVRLKVGYELFEKVPYLQLKENNWTLNADMKGRWNVRYDL >DRNTG_29342.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1988573:1989413:-1 gene:DRNTG_29342 transcript:DRNTG_29342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLFSNCSSSSSQPQGSQPQPQPTAQYQDQDANSSVLIFHSKDTWNSKWQTLKASNKLVVIDFSASWCSPCHFMEPIFKEYSENFTDVIFIKIDVDELMDVAREWSVEAMPTFVFVKEGKEVDRLLGADKDGLKERILKFRV >DRNTG_16958.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:178098:178924:-1 gene:DRNTG_16958 transcript:DRNTG_16958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEEGELAMLSSESDIEVEEELPEILEEEPTPRSPAATIIGMPLSGNSSSRKRLLMKQLSMRETSREAKWEKRRRQILQRRKMMVMMEEVHEADSEVVMDRDGGHAKLRSLTDEDLDELRGSIELGFGFEEENGGHSLCDTLPALDLYFAVNRQFSDSKLQYSPSPTATSSSSTLCGTPSPRSPQHRHSTSSDSWTIFSPGDNPQHVKTKLRHWAQAVACSVRQCRHSS >DRNTG_08771.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18445422:18450628:1 gene:DRNTG_08771 transcript:DRNTG_08771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPLLLLFSMASLVFTNTDPQDDAALRSLMNEWANTPPSWGRSDDPCGTPWEGISCEDSRVTVVKLSSLGLKGTLSGDVGQLTELKSLDLSSNTQLGGPLTPNIGSLTKLTTLILAGCSFIGNIPDELGNLEQLSFLALNSNKFTGRLPASLGRLANLYWLDIAENQLTGPLPISRNKAPGLDLLLKTKHFHFNKNQLSGTIPDNLFSSEMSLIHLLLDGNNFTGNIPQSIGYVQSLEVLRLDKNSLKGPVPTNLNNLTKVNELNLANNQLTGPMPDLTGLTSLNYVDLSNNTFDSSEAPAWFTKLPSLTALVIEHGGLQGQVPQKLFSIPQLQDVLLDNNKFNGTLDMGDSISQQLQTVNFQNNLLTSVTLTSNYNSTLILVGNPVCDARLANSIYCRLQPMQLSAQYSTSLTQCGPKSCPPNQSLSPLSCSCAYPYEGVMVFRAPFFRDVTNSTLFESLEMSLWTKLNLPPGSVFLQNPFINSDNYMQLQLKLFPSEDIYFNRSEILRIGFDLSNQTYKPPKMFGPYYFIGTPYPFPADHVKSSTSTALIIGIAVGSAVLVIGLMAVGIYAFKQKKRAQRAIELSKPFASWAPRGSDSGGAPQLKGARWFSYDELKKSTSNFSEMNEIGSGGYGKVYRGMLSNGQLAAIKRAQRGSMQGGLEFKTEIELLSRVHHKNLVSLIGFCFEQGEQMLVYEFIPNGTLRESLSGKSGIQLDWKRRLRIALGSARGLAYLHELANPPIIHRDVKSTNILLDENLTAKVADFGLSKLVSDSEKGHVSTQVKGTLGYLDPEYYMSQQLTEKSDVYSFGVVMLEMITARPPIERGKYIVREVKTALDKRDEEFLGLKDMIDPTIRNTTPLIGFGKYVELALNCVEELAADRPTMNEIVKEIELILHTDGLNTTSTSASSSATDFNSKGNPRHPYIDPLPKKEVSSDAFDYSGGYTLSTKVEPK >DRNTG_08771.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18445422:18450628:1 gene:DRNTG_08771 transcript:DRNTG_08771.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPLLLLFSMASLVFTNTDPQDDAALRSLMNEWANTPPSWGRSDDPCGTPWEGISCEDSRVTVVKLSSLGLKGTLSGDVGQLTELKSLDLSSNTQLGGPLTPNIGSLTKLTTLILAGCSFIGNIPDELGNLEQLSFLALNSNKFTGRLPASLGRLANLYWLDIAENQLTGPLPISRNKAPGLDLLLKTKHFHFNKNQLSGTIPDNLFSSEMSLIHLLLDGNNFTGNIPQSIGYVQSLEVLRLDKNSLKGPVPTNLNNLTKVNELNLANNQLTGPMPDLTGLTSLNYVDLSNNTFDSSEAPAWFTKLPSLTALVIEHGGLQGQVPQKLFSIPQLQDVLLDNNKFNGTLDMGDSISQQLQTVNFQNNLLTSVTLTSNYNSTLILVGNPVCDARLANSIYCRLQPMQLSAQYSTSLTQCGPKSCPPNQSLSPLSCSCAYPYEGVMVFRAPFFRDVTNSTLFESLEMSLWTKLNLPPGSVFLQNPFINSDNYMQLQLKLFPSEDIYFNRSEILRIGFDLSNQTYKPPKMFGPYYFIGTPYPFPADHVKSSTSTALIIGIAVGSAVLVIGLMAVGIYAFKQKKRAQRAIELSKPFASWAPRGSDSGGAPQLKGARWFSYDELKKSTSNFSEMNEIGSGGYGKVYRGMLSNGQLAAIKRAQRGSMQGGLEFKTEIELLSRVHHKNLVSLIGFCFEQGEQMLVYEFIPNGTLRESLSGKSGIQLDWKRRLRIALGSARGLAYLHELANPPIIHRDVKSTNILLDENLTAKVADFGLSKLVSDSEKGHVSTQVKGTLGYLDPEYYMSQQLTEKSDVYSFGVVMLEMITARPPIERGKYIVREVKTALDKRDEEFLGLKDMIDPTIRNTTPLIGFGKYVELALNCVEELAADRPTMNEIVKEIELILHTDGLNTTSTSASSSATDFNSKGNPRHPYIDPLPKKEVSSDAFDYSGGYTLSTKVEPK >DRNTG_08771.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18445422:18450628:1 gene:DRNTG_08771 transcript:DRNTG_08771.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPLLLLFSMASLVFTNTDPQDDAALRSLMNEWANTPPSWGRSDDPCGTPWEGISCEDSRVTVVKLSSLGLKGTLSGDVGQLTELKSLDLSSNTQLGGPLTPNIGSLTKLTTLILAGCSFIGNIPDELGNLEQLSFLALNSNKFTGRLPASLGRLANLYWLDIAENQLTGPLPISRNKAPGLDLLLKTKHFHFNKNQLSGTIPDNLFSSEMSLIHLLLDGNNFTGNIPQSIGYVQSLEVLRLDKNSLKGPVPTNLNNLTKVNELNLANNQLTGPMPDLTGLTSLNYVDLSNNTFDSSEAPAWFTKLPSLTALVIEHGGLQGQVPQKLFSIPQLQDVLLDNNKFNGTLDMGDSISQQLQTVNFQNNLLTSVTLTSNYNSTLILVGNPVCDARLANSIYCRLQPMQLSAQYSTSLTQCGPKSCPPNQSLSPLSCSCAYPYEGVMVFRAPFFRDVTNSTLFESLEMSLWTKLNLPPGSVFLQNPFINSDNYMQLQLKLFPSEDIYFNRSEILRIGFDLSNQTYKPPKMFGPYYFIGTPYPFPADHVKSSTSTALIIGIAVGSAVLVIGLMAVGIYAFKQKKRAQRAIELSKPFASWAPRGSDSGGAPQLKGARWFSYDELKKSTSNFSEMNEIGSGGYGKVYRGMLSNGQLAAIKRAQRGSMQGGLEFKTEIELLSRVHHKNLVSLIGFCFEQGEQMLVYEFIPNGTLRESLSGKSGIQLDWKRRLRIALGSARGLAYLHELANPPIIHRDVKSTNILLDENLTAKVADFGLSKLVSDSEKGHVSTQVKGTLGYLDPEYYMSQQLTEKSDVYSFGVVMLEMITARPPIERGKYIVREVKTALDKRDEEFLGLKDMIDPTIRNTTPLIGFGKYVELALNCVEELAADRPTMNEIVKEIELILHTDGLNTTSTSASSSATDFNSKGNPRHPYIDPLPKKEVSSDAFDYSGGYTLSTKVEPK >DRNTG_27310.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:186201:194789:-1 gene:DRNTG_27310 transcript:DRNTG_27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARSFRSPFDDLDDDDGDAGSELEAVSDLSSDEYEDEPQSMTGKGIKRLCSELIELKSVSEDDFLQNVYSNYQTFVRVFKDLGVVDSELMNLKQHITTQRRLVQVLENNISLDIESVGSVDNEVEEAEDLDLPSPSARMNDVLEKLDVLLSEWQVDEALLVLELEGVNLRRMQMEDDHISPYLTTYASEISDRRARLTEQLIFLADHPRVAPSELQKALSGLCAMGEDKRANFLLLKYYRSCLANRLLELQSSKHSLHGTYMMELAKVVFSVISQAARSFVLLYGEASPYTSDLIQWAREETEALSCNLCKFVKSISEMSGGLPLAVETVKATISFCSLLNPQRIILQSDLTKLIRPCMEEALQMHVDHLRKVINIFATTDTWVLGKYFISGTSLDNSSIGRIDGKMDHCLLSGSGRKFVTLMQAFVDEVSPLVVVQMECSILEGLADLFSGYIGALEKAISERTNAAGNDFPRMGSALEFLQQVSILVTSSAVVQLFPSIACGIFNRMRTSSNELLLKYLGPSLEKELDMCMLSIEEASNQLRDYFCQHFVRAVMSDEEYGWKATLETYVDFQHKPSLPPDLIPSFAFQAFFLRMRNLEKLLKSILEDEYGMLEKLSKELMKTMIIWLSNNLDFWKAAEHGSGHCSCFEQFHLDVDFLVEVARLGGYFSDDLLTAVMDLLAQIEDKFAECESDMKSGAADDASPDDDWSSNAAKLAIEKLLEFEMLKLERKEETAVSSEEDLTQNAVNVSPSGDNVGSVDGESADSAEEDITRIETTGRDHDGTVKLKEEAEDVEKQDADEILNFSKHEPFGAAESARVVLIDLTERLDQALKIDFFDVSADLDTIVSHATSENTVVNSTSEIDEKIEQIVKLLLPAPEYESVGKPDESFSIAVQPEKDVHLVKEKSFGVGMNLELKSNILEVSEKSDETRGNTGIVETDEIKCAEKASVSRFLIDLEEEFVGNFDVSVDKTASESVREEPIGAQYLEMMFKLLEVSDNVDADLSHATEEKTGAVETSEIEYSKETYTRVFPEESFIGAFEEFAIGTAQSVQATALALANIENLVSIDKLTKETDHPDRYISDLNSSEKIHTVLTEVSSETSSKEANFTDTLIAESANRKALTEVEDIEKEKVLVGNAKEKINDESSEKQDKDDAGNIRASKTKLNELPRSKRKRERPRTVINVEHLGRTKRGPATPRPRWT >DRNTG_02341.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1489079:1496768:-1 gene:DRNTG_02341 transcript:DRNTG_02341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTVLVEYTNKKDLKRKSRDLSIVMMGKSQKFSKGHSAGFVPDYRHAVDTMVESEGFGSSGRVDSEDSCAPRRKCISVNVDKWDGFNIPLQIISLSKLSVSERKELEVKFRAELERVQALQKDILARSVTNTNSNVHSLNSDAHVKKRDVGGSNGSNLKRGVTGRFESAKRPHPSAAIAAAPISSSSAMLMKQCEALLKRLMSHQYSWVFNTPVDIVKLKIPDYYTVIKHPMDLGSVKSKLVSGAYSSPSGFAADVRLTFANAMTYNPAGNDVHIMADTLSKFFETRWKPIEKKLVAADASLKREAVEEPKLPAQLKKSKTPPQTQSEDIVMPAIAKPKMTDEEKHNLGRRLESLQDMPDHIIDFLRRHGDNANATSEDEIEIDIDSLNDDTLFELRKILDDYSREKQAEQLAKTEPCDMEILNESGLSNSSMHPCKGNEPNDEDVDIGGNDPPISSYPSVEIEKETVVRTSKCSSSSSSSTDSDSSSDSDSGSSSGSESDVQVTSPPKTAKANVGPTSNADQEMSDVVNPLDINRSVGGLDQFEEENQPHESVEVNGLNEGENAPSERQVSPEKLYRAALLRSRFADTILKAREKTLDQGEKGDPEKLRREREELERQQREEKARLQAEAKAAEDARLQAEAEAAAEAKRKRELEREAARQRLLKMEKTVDINENSLILKDLEMLRSVPGEPAPSSVDETTPCHSPDGIGGFKLGGSNPLEQLGLYMKVDDEEEEEGEPNQLPMHDVEEGEID >DRNTG_02341.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1489079:1496768:-1 gene:DRNTG_02341 transcript:DRNTG_02341.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTVLVEYTNKKDLKRKSRDLSIVMMGKSQKFSKGHSAGFVPDYRHAVDTMVESEGFGSSGRVDSEDSCAPRRKCISVNVDKWDGFNIPLQIISLSKLSVSERKELEVKFRAELERVQALQKDILARSVTNTNSNVHSLNSDAHVKKRDVGGSNGSNLKRGVTGRFESAKRPHPSAAIAAAPISSSSAMLMKQCEALLKRLMSHQYSWVFNTPVDIVKLKIPDYYTVIKHPMDLGSVKSKLVSGAYSSPSGFAADVRLTFANAMTYNPAGNDVHIMADTLSKFFETRWKPIEKKLVAADASLKREAVEEPKLPAQLKKSKTPPQTQSEDIVMPAIAKPKMTDEEKHNLGRRLESLQDMPDHIIDFLRRHGDNANATSEDEIEIDIDSLNDDTLFELRKILDDYSREKQAEQLAKTEPCDMEILNESGLSNSSMHPCKGNEPNDEDVDIGGNDPPISSYPSVEIEKETVVRTSKCSSSSSSSTDSDSSSDSDSGSSSGSESDVQVTSPPKTAKANVGPTSNADQEMSDVVNPLDINRSVGGLDQFEEENQPHESVEVNGLNEGKHEFQAAKPSDPAGLEHLLLTDRLIFSI >DRNTG_11832.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:224779:225460:-1 gene:DRNTG_11832 transcript:DRNTG_11832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGAVYAVEPSDAEPKWGAPLPQDSVPLPPISSIPTSSSAQPSEPPPR >DRNTG_00531.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30329198:30330083:-1 gene:DRNTG_00531 transcript:DRNTG_00531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDHDYFERGNLDIFSGRGPCLSSPVCWMNLSSDGTGPHHGWYCNYVEVTTTGPHVPCEQQLFTVEQWLARDASPYQLYATRDNCAAPEAAADDRLEPRSRHAPRRVAV >DRNTG_25532.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2962527:2964566:-1 gene:DRNTG_25532 transcript:DRNTG_25532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELDREMILLERSERVDDYKLKQHLRASSSKMDKKARQETPPPVLSRGRSSARSADKMAAKSDALNELRAKRMKQQDPEAYHKLRDSTIRASSISNAAKLSSPAKPKTGSVPAPSDSGDSEAGGKSDGEEVSPGDNKRDNLEDDVSPGRVGPLKFEDVKEITIRRSKLAKWCMEPFFEEVIVGCFVRIGIGKTRSGIPRYRLCTVRNVDATDPDRQYKLENWTTCKWLNCVWGNEASAARWQMIMVSDSPPLEEEFKEWVQEVERGGGRMPTSGDVETKRKAIQKVNTYVYSAATVKQMLQEKKSASSRRINIAAEKDRLRKEMEVAQSRKDETEMERIRSRLKELEDISQQSKQKDAKAVRLAEMNRKNRAENFKNASGLKPVNTSLKAGQAGYDPFSRRWTRSRNYYISKPEGTGDEAEAIANDVNSVAAAMDSKEKITTGSSNGVEAGVVATVAALEAAAGAGKLVDTNAPVDQGTESNSLHNFELPISLASLQKFGGPQGVQLGFLARKQKIEATVGFMVPDNDGRRHPLTLSVSDYKRRRGLL >DRNTG_21309.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27440788:27441688:-1 gene:DRNTG_21309 transcript:DRNTG_21309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHVLLLALLAFSFSYSLASDPSPLQDFCVADLNSNVFFNGFVCKNPKLVTADDFTAHGLNKPGNTSNPQGSAVTPVFVEQLTGLNTLGISLARLDFAPYGLIVPHYHPRGTEIMTVLEGELYVGFVTSAPDFKLFAKIVKKGDVFVFPKGLVHFQFNYGAKKAVAISGLGSQDPGVVLVPNTVFGSNPPINDGILAKAFQLDKKIIDYLQSKF >DRNTG_34305.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002118.1:29728:31879:-1 gene:DRNTG_34305 transcript:DRNTG_34305.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFRTSVYRDLPTYPESTQGHGLAHVDEHVTNARAWVFSARLCGSLQKSSLYPEKTQGYAFAPLSWACECPRTCIIVARGNASAPVKLSCGGLRAWPYGRGVIHFRAFRHYFTMSVTEFTVRMGLYDETYTDNEEYSHSPTNYPGNLAPQQAYQVLCRQGQYEPRMSKATCLSWLSYRLIRGMGLVDATRDADKTVIPSPLRVDTLRMIGLVCRHGPRIFILATSTTERAKSGGDAAGDSARTVIETSRRPSTLSGAYDCIKRLESTVNVLRSEIIEILVIQLAQYTKIVARFDMLHQLLIGRPLVPPASPTPPLPAPFDLAPASAAEQRPDDTDT >DRNTG_29255.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21466801:21468950:-1 gene:DRNTG_29255 transcript:DRNTG_29255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESPIIVVMSSSTKIAGGLSPGGNATGVMKEDKKQEKMMFELKLESFEAASKIKSDQGEQQWESDHHGKWSTKPVKHKSGEQSGNGNSKRNQLLDSCDVGDVADPTTFVSMYF >DRNTG_11612.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27596813:27597085:1 gene:DRNTG_11612 transcript:DRNTG_11612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQPSETQQIQVTTISQVIDTQQPALQNLPIETERRPMKSKIETRGGMKNNTVVGAKQHDVTNP >DRNTG_30459.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:314573:321623:-1 gene:DRNTG_30459 transcript:DRNTG_30459.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVKGVADLIRRSSGGQTGESSSWSHGENLSAPSLMIRFGEVGEEAVLGMLWRRYENAIDKLTTVLH >DRNTG_12761.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25908406:25916535:-1 gene:DRNTG_12761 transcript:DRNTG_12761.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion protein SCC4 [Source:Projected from Arabidopsis thaliana (AT5G51340) UniProtKB/Swiss-Prot;Acc:Q9FGN7] MSGLYLMLLMQFLENKVAVELTRSEFVEAQAALVQMINWYSHYPTILQGCECTIQMLRGQYAHSVGCFHDAAFHFLEATKLTESKSMQTMCQVYAAVSYICIDDPESSSLALDLVGPVYKFMDSYVGVREKTCIVFAYGLLLMRQHNLQEARIRLASGLKIAHQQLGNIQLVSQFLTILGTLALQLHDTVQAREILKSSLTLAKTLYDIPTQIWVLSVLTGLYREVGERGNEMENSEYERKKEDDLQKRLSEAFSSIHHLELIEKTRIKVHQFPGLDIRRAAAGPSTKVDLDIPESIGLSKPPPPSISYRLRDSDSGKRGRRNF >DRNTG_27834.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19658465:19662165:1 gene:DRNTG_27834 transcript:DRNTG_27834.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase [Source:Projected from Arabidopsis thaliana (AT5G65110) UniProtKB/TrEMBL;Acc:A0A178UA38] MESDRTADETAQRALRRIQRLSLHLSHPSSPSNGLDLLYQSQCSGGRRPPVVNRAELTEFMRGPHREIQERLFEFFNARPELQTPVEISMAEHRELCMRQLTALVREAGVRPLKFVLEDPSLYFSILETAGGIDISLGIKMGVQYSLWGGSVMNLGTEKHKDKYFNKIDSLEYPGCFAMTELHHGSNVQGLQTTATFDPITDEFVINTPNDGAIKWWIGNAAVHGKFATVFARLILPLQGEGGAPADMGVHAFIVPIRDMDTHCTLPGIEIHDCGHKIGLNGVDNGALRFCSVRIPRDNLLNRFGDVSRDGKYTSSLPTINKRFAATLGELVGGRVGLAFSSVSVLKVATTIAVRYSLLRQQFGPPKQPEISILDYQSQQHKLMPMLASAYAFHFATRYLVDRYSEMKKSHDDEVVGDVHALSAGLKAYITSYTAKSLSVCREACGGHGYAAVNRFGILRNDHDIFQTFEGDNTVLLQQVAIDLLKQYKEKFRGGTLTVTWNYLRESMSAYLSQPNPVTVRWEGEDHLRDPNFQLDAFRYRTSRLLQSVAVRLRKHSKTLGGFGAWNRCLNHLLTLAESHIESVILARFIECVKSCPNKNTRDTLKLVCDLYALDRILNDIGTYRNVDYISPNKAKAINKLTEFLSFQVRLIARELVDAFDFPDLITRAPIGMQSEAYAQYTQYVGF >DRNTG_20370.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:185405:186675:-1 gene:DRNTG_20370 transcript:DRNTG_20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLFIQGAGHTIPEYKPRESLAMVKRWLSYQPL >DRNTG_06429.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29864618:29868591:1 gene:DRNTG_06429 transcript:DRNTG_06429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCDAAVPFILLWSLIRAGGSSPAASSSCSDAARSRFLRDYVARFTNAFLWVALISVTVFLARKLARLVRLWNLGNRIPGPPAPSFFGYLKGFSSLGSDGNLTGYLATLHAKYGPIVRLWLGPMQLLVSVRETSMIEEVLVKAEDKLPLTRRVFRLAFGRSQLFASSFEEVHKRRKSLAACFNGKLLDRVNTVAPKVVKCVMGRVDSIMAKGVLDCRSISQHIAFALFGTTLFGDAFLSWSDAIFYEEILMLIAKDACFWASYNVPPVWRRRFWTYQSLCKEAKQLTLDIIQHSKSYAESNETVDATSLLDDGISNKFLLEEIVGHHGSEDEHCGDILGMMFHGCLATAGLIWSILTRLALYPELLEKMYSEIDRVQNGSSNPEIYDVRNMHFLLATVYESARLLPAGSLLQRCLVNCDLSLETGITVPAGAIIVVPPQLVHLDNSIWGKDACQFNPNRFLSKALLCHGHSRVGGKPDPMSVTSLEGTSQDCQESEETTFRFNAPNKNMFLSFGSGTRACVGQEFTVHGISTLLAALLQEYEVRIQACAENSPKPAADDFLLNHLPNPKIFFVKRNKS >DRNTG_12079.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9272003:9272509:1 gene:DRNTG_12079 transcript:DRNTG_12079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMFPQLGAMVESFSKVMKNIVSSSLEVKKLVYLYLFHYTEKRPNEVLLLNNCFQKDLSDTNPLVRAWALRTMAGVRLHVVAKHELVRQSIRSASSSTVLHGRTRRVLS >DRNTG_30571.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19275019:19278145:-1 gene:DRNTG_30571 transcript:DRNTG_30571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALRNPLPHFLSHRQNSCSHDFPFTAEDFFLSSFNEVADTMNPLGTNITTASVFQLPGLNTLGLTLVRIDYAPGGVNPPHTHPRATEIIVVLEGTIYAGFVSSSPNDTLYSKVLSAGDVFRIPSRSYALQYELWAFKCSCIGSVQQPNPGAIIDANNLFGAMPPINDYLLAKASS >DRNTG_21649.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:168820:173784:-1 gene:DRNTG_21649 transcript:DRNTG_21649.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDMLFSKEGNKTPLQSMVLIDTLQYMGLDHLFKEEIGSTLSSIYDNFTHQRDHGRHNLFESSLFFRLFREHGYSVSPKMLKKFIDKNGEFKLASSKDIKGLMSLYEASHLNIGEDILRKGKEFSSKHLWDSIEWLDNKHANQVKETLEHPYHMSIQRYKARRYISMHQDDERGCKDVVFELAKSEFNAVQLLHQRELNAILSWWKKAGLAQELSFVRDQPLKWYMWPLTMVPQPHHSKCRIELTKAIAFIYIIDDIFDVYGTLDELSLFTQAINKWDISAINNLPNYMKVCFNALYNVTNEIAEITLKEYGWNPINTLSKSWGKLCNAFLQEAKWFASKQIPNKDEYLRNAVTSSGVFIVIVHLFFLMGQGLTFENIQHIENDPSFVSKSGTILRLWDDLGSAKDENQKGFDGSYMELYMKENQECTAKEAQEHVMLMISGTWDALNKESFTQSSFPQPLINATLNLARMVRVMYSYNDDNHHLPMLDDYIFSLLHHPL >DRNTG_21649.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:168820:174740:-1 gene:DRNTG_21649 transcript:DRNTG_21649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDMLFSKEGNKTPLQSMVLIDTLQYMGLDHLFKEEIGSTLSSIYDNFTHQRDHGRHNLFESSLFFRLFREHGYSVSPKMLKKFIDKNGEFKLASSKDIKGLMSLYEASHLNIGEDILRKGKEFSSKHLWDSIEWLDNKHANQVKETLEHPYHMSIQRYKARRYISMHQDDERGCKDVVFELAKSEFNAVQLLHQRELNAILSWWKKAGLAQELSFVRDQPLKWYMWPLTMVPQPHHSKCRIELTKAIAFIYIIDDIFDVYGTLDELSLFTQAINKWDISAINNLPNYMKVCFNALYNVTNEIAEITLKEYGWNPINTLSKSWGKLCNAFLQEAKWFASKQIPNKDEYLRNAVTSSGVFIVIVHLFFLMGQGLTFENIQHIENDPSFVSKSGTILRLWDDLGSAKDENQKGFDGSYMELYMKENQECTAKEAQEHVMLMISGTWDALNKESFTQSSFPQPLINATLNLARMVRVMYSYNDDNHHLPMLDDYIFSLLHHPL >DRNTG_11263.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21761200:21762679:1 gene:DRNTG_11263 transcript:DRNTG_11263.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKTPVPFNLNLDENSAFGNTFTPQDRLRTRSTGLKKSLVHECLAFLNSANKEELKSLKGIGEKRASHIIELRESETEPFKDVDDIKALGLSSRQVEDMITSVLGAI >DRNTG_11263.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21758823:21762679:1 gene:DRNTG_11263 transcript:DRNTG_11263.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFELLALVEESGGGSVSISCYEVNQDHIYDVLEPKEQEVLVLEDAGRRIQLKGLSRVPVKSVSDFKRTYFKRCNSDAQLRSHKGLIIYVATVDKETNTNHLGKINFIDLAGYEDVKRIIDPKPHLSESAKINKSLFQLLNVVYALNANETYVPYRESKLTRLMQDFMCKSSSAVLLTCLNPVLCQDTAHVVSMASRSCQVVNQYRHDSSRKHKSSLWQGLPCSPSAGRTGSMNSSTKKNENIHFGSMGKKGNNATPMTKKGVGFTPAAYGRYYHCNSCCFLCLLRINKC >DRNTG_11263.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21759616:21762679:1 gene:DRNTG_11263 transcript:DRNTG_11263.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLDRFVEFIKSLLFPKCRAVQQRKVRHFQPGSVEKDTKDMPLRKLFDGSSRITASTQDEVLIASEAVEHSSQEEAALTLSNTIKELERVDVVPATEPSVIQTINKTAISDPLDDLKKDNSNIGESSPPLSERIREISNSLRLLSCQPLGVNTPQPDALFTKGVNVDHMDSKTPVPFNLNLDENSAFGNTFTPQDRLRTRSTGLKKSLVHECLAFLNSANKEELKSLKGIGEKRASHIIELRESETEPFKDVDDIKALGLSSRQVEDMITSVLGAI >DRNTG_11263.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21759074:21762679:1 gene:DRNTG_11263 transcript:DRNTG_11263.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFELLALVEESGGGSVSISCYEVNQDHIYDVLEPKEQEVLVLEDAGRRIQLKGLSRVPVKSVSDFKRTYFKRCNSDAQLRSHKGLIIYVATVDKETNTNHLGKINFIDLAGYEDVKRIIDPKPHLSESAKINKSLFQLLNVVYALNANETYVPYRESKLTRLMQDFMCKSSSAVLLTCLNPVLCQDTAHVVSMASRSCQVVNQYRHDSSRKHKSSLWQGLPCSPSAGRTGSMNSSTKKNENIHFGSMGKKGNNATPMTKKGVGFTPAAYGRYYHCNSCCFLCLLRINKC >DRNTG_11263.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21758245:21762679:1 gene:DRNTG_11263 transcript:DRNTG_11263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFELLALVEESGGGSVSISCYEVNQDHIYDVLEPKEQEVLVLEDAGRRIQLKGLSRVPVKSVSDFKRTYFKRCNSDAQLRSHKGLIIYVATVDKETNTNHLGKINFIDLAGYEDVKRIIDPKPHLSESAKINKSLFQLLNVVYALNANETYVPYRESKLTRLMQDFMCKSSSAVLLTCLNPVLCQDTAHVVSMASRSCQVVNQYRHDSSRKHKSSLWQGLPCSPSAGRTGSMNSSTKKNENIHFGSMGKKGNNATPMTKKGVGFTPAAYGRYYHCNSCCFLCLLRINKC >DRNTG_26080.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4134115:4135545:1 gene:DRNTG_26080 transcript:DRNTG_26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPKILLFLNLFLALSSDAMAAYNIINFGAKPDGRTDSAKAFLRAWAAACSSKTPASIYVPSGKFLVSQALFNGPCKNAAIKITIDGTIFAPSGYSSMTDWVTFKYVQGLSISGGVLDGRGQAFWACKMAGKNCPQGASTLRIGQSKNVRISGMSLLNSEMFHMVIFGSTGVTLQGMNIIAPENSPNTDGIHIQMSSGVTIQSSSMRTGDDCISLGAGSTNIWMERISCGPGHGISIGSLGGITDDEGVQNITVRNAVITGTQNGVRIKTWGKPNNGFVRDVKFEHVTMRNVQNPIVVDQNYCPGNVNCPNQHSGIKISQVSYTDVKGTSATPVAVKFDCSATNPCNGMRLQDIKLTYQNKPAMSYWIRAWESRVSPANWLVIGLC >DRNTG_15490.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20908899:20909641:-1 gene:DRNTG_15490 transcript:DRNTG_15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSREKKLTLKLLINKESGRVVFAECGKDFVEILLSFLTLPLGTVIRLLDKHSSLGCMDALYENVEKLDLKCLQTEACKSMLLCPRSVAERHCKDLKIKVDNLDPKRLYLCLKWDCVARVHKLFSSVADARCPCGSPMDKFALLWKKEASCDGGFCEGERARFLVSDDLHVSLASMASSFSLLQEFGIHDVSLLQEREVSVGRHEVSLT >DRNTG_32460.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2446935:2449923:1 gene:DRNTG_32460 transcript:DRNTG_32460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSGNTPPPPPPPPAKLSTKIKSGNALPPPPPPPPPCEIQQNGPPLPKLKPLHWDKVRATPDHSMVWDKIRSTSSFELDEEMIESLFGYKTQSSVKSEEVKSKTASPSITKHVLEHQRLQNIIILLKALNASTEQVCDALMQGRGMSVQQLEALVKMSPSKEEEEKLLSYKGDLNDLDPAEKFIKALLNIPFAFPRIEAMLYIETFEDEVFHLRKSFEMLEEACKELRSSRLFLRLLEAVLKTGNRMNVGTIRGGAKAFKLDALLKLADVKGTDGKTTLLHFVVQEIIRSECSSAKDVMTSEKKLKPKADEKEEEHRTIMGLDLVLGLSNELSNVKKTASMDLDVLISSVSNLSNGMNQLKHLVEIESGGSFVGSLNLFLKHAERVIGELKDEEKRVLLHVREITEYYHGSVSKDEANPLRIFVIVKDFLSMLDRVCKDVRSLKNNPVIPFR >DRNTG_22632.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001246.1:67531:68833:1 gene:DRNTG_22632 transcript:DRNTG_22632.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKGLTRKNMQRLKLKGIKIVQSDSAANNASGCDVGGATTSNTCGSNPSNHVGSTRSAPLPSATPHSSILSSSDDSNLNDQVATSNTCTRLDNLQPINEASELNSVDNQGQQRKRGRTTIKELWTLPPQERILVSSNQLRQPVGSEAQLLSAFLGMLA >DRNTG_17759.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:709371:710336:-1 gene:DRNTG_17759 transcript:DRNTG_17759.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTTEQEALVVKSWEVMKKDAAALGLKLFLRIFEIAPSAQRLFSFLKDSDVPLDKNPKLKAHAMAVFVMTCESAVQLRKAGKDHREGDHIEEIGCNSFQVWRG >DRNTG_17759.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:709628:710336:-1 gene:DRNTG_17759 transcript:DRNTG_17759.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTTEQEALVVKSWEVMKKDAAALGLKLFLRIFEIAPSAQRLFSFLKDSDVPLDKNPKLKAHAMAVFVMTCESAVQLRKAGKDHREGDHIEEIGCNSFQVWRG >DRNTG_00495.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30570838:30572040:1 gene:DRNTG_00495 transcript:DRNTG_00495.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVYHGNPKGTPEQINEFNIGVRQGALGLLLNSIVLGVSSFLIEPMCRRITTRIVWVLSNFIVFLAMTAFTILSVISMNEHNITGPNHNIRIIALVIFTILGFPLAILYSVPFAVTAQLAKNEGGGQGLCTGVLNISIVIPQVIIALGAGPWDAIFGKGNTPAFAVAAAFAFVCAFVGFFMLPKLSKSDYIGGITSSH >DRNTG_00495.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30571523:30572040:1 gene:DRNTG_00495 transcript:DRNTG_00495.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLARGTPLHLQLLLPLPLCVPSLVSSCFQNSPRVTT >DRNTG_00495.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30569851:30572040:1 gene:DRNTG_00495 transcript:DRNTG_00495.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSGSHGCNAANAIFCSWMAFGNILGYSSGATGEWHRWFPFLSTKACCEACANLKGAFLVAVFFLLLCMSVTLIFAKEIIPVAPKKCMELGDNRPKDSEDRVGPLFIIKAAKNLPPGMLSVLLVTGLTWLSWFPFILYDTDWMGREVYHGNPKGTPEQINEFNIGVRQGALGLLLNSIVLGVSSFLIEPMCRRITTRIVWVLSNFIVFLAMTAFTILSVISMNEHNITGPNHNIRIIALVIFTILGFPLAILYSVPFAVTAQLAKNEGGGQGLCTGVLNISIVIPQVIIALGAGPWDAIFGKGNTPAFAVAAAFAFVCAFVGFFMLPKLSKSDYIGGITSSH >DRNTG_00495.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30571661:30572040:1 gene:DRNTG_00495 transcript:DRNTG_00495.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLARGTPLHLQLLLPLPLCVPSLVSSCFQNSPRVTT >DRNTG_00495.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30570361:30572040:1 gene:DRNTG_00495 transcript:DRNTG_00495.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTLIFAKEIIPVAPKKCMELGDNRPKDSEDRVGPLFIIKAAKNLPPGMLSVLLVTGLTWLSWFPFILYDTDWMGREVYHGNPKGTPEQINEFNIGVRQGALGLLLNSIVLGVSSFLIEPMCRRITTRIVWVLSNFIVFLAMTAFTILSVISMNEHNITGPNHNIRIIALVIFTILGFPLAILYSVPFAVTAQLAKNEGGGQGLCTGVLNISIVIPQVIIALGAGPWDAIFGKGNTPAFAVAAAFAFVCAFVGFFMLPKLSKSDYIGGITSSH >DRNTG_00495.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30568879:30572040:1 gene:DRNTG_00495 transcript:DRNTG_00495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSLSVSGVGPPTQSISLIRLIFSCMVAGGVQYGWALQLSLLTPYVQTLGLSHALSSLMWLCGPIAGLLVQPCVGLWSDRCQSRFGRRRPFILVGCLLICFAVIIIGFSSDIGYALGDTKKHCSVYHGNRWAAAVVYVVGFWILDFSNNTVQGPARALMADLSGSHGCNAANAIFCSWMAFGNILGYSSGATGEWHRWFPFLSTKACCEACANLKGAFLVAVFFLLLCMSVTLIFAKEIIPVAPKKCMELGDNRPKDSEDRVGPLFIIKAAKNLPPGMLSVLLVTGLTWLSWFPFILYDTDWMGREVYHGNPKGTPEQINEFNIGVRQGALGLLLNSIVLGVSSFLIEPMCRRITTRIVWVLSNFIVFLAMTAFTILSVISMNEHNITGPNHNIRIIALVIFTILGFPLAILYSVPFAVTAQLAKNEGGGQGLCTGVLNISIVIPQVIIALGAGPWDAIFGKGNTPAFAVAAAFAFVCAFVGFFMLPKLSKSDYIGGITSSH >DRNTG_08404.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4792912:4795252:-1 gene:DRNTG_08404 transcript:DRNTG_08404.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKACVIGGNGYLALAIIKQLLEKGYHVNATVRNPDDSAKVAYLKKIGEIGTLKLFRADMMVEGSFDDAVIGCDYVFQVAAPTNLMSEDPENDLIKPAIQGTLNILRSCLKAKTVKRVVHTSSACTVSVNQQKGIGYVLDEEAWSDIDYMLKEKPPTWGYIVAKTLAEKEAFKFAKENKIDLITINPTLIIGTPLNGEVPSPVKLVLCLFSGDKMRMDILKMMQMISGSVSVTHLEDTARAHVFLAENDKASGRYICNAVNTSGPELASFLNKQYPQYKVFNDVVDLPGPTKLSLSSEKLIQAGFEFKYKSLEEIIDSIFEYGKSKGFLSKRTPPTTLENDKCKSLLSN >DRNTG_34427.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21200607:21208568:-1 gene:DRNTG_34427 transcript:DRNTG_34427.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDQHCSVRSIGVGINSDAADIGSEMRESLVGESSEGDVEYFHDRDTGISGIRHSQNEKNKSSTNKLKRDKLKADKQDTDKYILDPEKGPSRSGMSSDVGFSFPPPLRSVDMLEADSSKSLWSSKANVAVGTDLNDYSNGIQPDDMLATWRRKSNESSPRKSFGDDETADVVRSRNSISSSVSNVDYAGRENIKRNHHYKENDVREEEHCTTLEDEEAAALQEQVRQIKSQEDEFEIFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKYHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVIGIIGPIEQAMLAKGRDTYKYFSKNHMLYERNQETNRLEYLIPKKTSLRHRLPMGDQGFIDFVAHLLEVNPKKRPSAFEALKHPWLSYPYEPISS >DRNTG_27846.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5900022:5900367:-1 gene:DRNTG_27846 transcript:DRNTG_27846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLVKDLNEEHMWQIERKKAKFGPIGGIERELEDELSSRYKTSDTSRVRKHHTLKVAAMGKRSKGDKVATTTKITGSLVCMGK >DRNTG_27944.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6475348:6476778:-1 gene:DRNTG_27944 transcript:DRNTG_27944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMISSYPPASLALSAMVAFIALPVAMATVVEATVSTIASSPETITSEGFGPGDEDLCTVYMDEFVAGGNAML >DRNTG_32293.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001817.1:56741:58764:1 gene:DRNTG_32293 transcript:DRNTG_32293.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKASSAVENVPLVRLFTPSRAHDHFERLENALGVVRTEVSEIRATHTTQYTEFMACFDVLQQILERNIASSFVLYGLVILGIETLSWAWPRCFGNCPYRVVRTIMKEILLKFKDEDIGRNKSSTNLSIIEEASDPRCERVPVCVTPMKTWIREAIQP >DRNTG_31326.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4060318:4062358:-1 gene:DRNTG_31326 transcript:DRNTG_31326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETVRYLIGSAGPSGFGSKSTAEDVTEATPDLGSITAIITGTTSGIGAETARVLAKRGARLVLPARSLKAAEETRARITAEFPGAKIIVLPLDLSSLTSVRSFVSRFLSLNLPLNLLINNAGKFSYKRAISEDGIEVTFATNHLGHFLLTKLLLNKMMETATETAIQGRIVNVSSSIHSWFSGDIIHSLDLLTRNKMPYSATRAYALSKLANVLHTKELALRLKEMGANVTVNCLHPGIVRTRLTRDREGFLTDMVFFLVAKLLKTIPQAAATTCYVAVHPRVAGVTGKYFEDCNEMVASKGGCSEVEAARLWRLSEEMISYKERKEDGEKSWFGHLIIY >DRNTG_31326.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4061722:4062358:-1 gene:DRNTG_31326 transcript:DRNTG_31326.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLETVRYLIGSAGPSGFGSKSTAEDVTEATPDLGSITAIITGTTSGIGAETARVLAKRGARLVLPARSLKAAEETRARITAEFPGAKIIVLPLDLSSLTSVRSFVSRFLSLNLPLNLLMLL >DRNTG_31326.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4060318:4061068:-1 gene:DRNTG_31326 transcript:DRNTG_31326.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGANVTVNCLHPGIVRTRLTRDREGFLTDMVFFLVAKLLKTIPQAAATTCYVAVHPRVAGVTGKYFEDCNEMVASKGGCSEVEAARLWRLSEEMISYKERKEDGEKSWFGHLIIY >DRNTG_32781.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3133858:3135838:1 gene:DRNTG_32781 transcript:DRNTG_32781.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHSLAFDDTLYFLNTTDEIIDEYMHEMFNPDPYEGLFDQDEGNEEVMMLGTTEEVPSISEILKKVLQKMKRARRRHRKHSKAVGDIREPKKLDEPFLCEKTHEHVEFSHTRGAALRAQLEKAQGRVADPVKEHATVTRPWASSLRPEKTQRRVAAPIMAARSKKQDDKRPRKSSPETEGMRLDSWTRLYCEIYSREMSSLMW >DRNTG_25726.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17006246:17007015:1 gene:DRNTG_25726 transcript:DRNTG_25726.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRSSTKSCRKLGSHLRRAARNNNPGKMAERRSSAKSCKK >DRNTG_25726.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17006170:17006843:1 gene:DRNTG_25726 transcript:DRNTG_25726.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRSSTKSCRKLGSHLRRAARNNNPGKMAERRSSAKSCKK >DRNTG_25726.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17006170:17007015:1 gene:DRNTG_25726 transcript:DRNTG_25726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRSSTKSCRKLGSHLRRAARNNNPGKMAERRSSAKSCKK >DRNTG_25726.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17006246:17006843:1 gene:DRNTG_25726 transcript:DRNTG_25726.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRSSTKSCRKLGSHLRRAARNNNPGKMAERRSSAKSCKK >DRNTG_01380.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28584717:28586164:-1 gene:DRNTG_01380 transcript:DRNTG_01380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANMLVLVVLMFASIGMVFSQAPSMAPPDCNSAITNLAECLSFVQYGSKLEKPQGLCCAGLKKVVKDEVSCLCEAFKQSSSFGIKLNMTKAFGLPHACGISTPSLSNCKIDVAGSPGSAPAPSPSSPGASFANSPASAPGTHSMAPLQAPSMFLFIIYIAVPLYSSSIIA >DRNTG_01380.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28584273:28586101:-1 gene:DRNTG_01380 transcript:DRNTG_01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMLVLVVLMFASIGMVFSQAPSMAPPDCNSAITNLAECLSFVQYGSKLEKPQGLCCAGLKKVVKDEVSCLCEAFKQSSSFGIKLNMTKAFGLPHACGISTPSLSNCKIDVAGSPGSAPAPSPSSPGASFANSPASAPGTHSMAPLQAPSMFLFIIYIAVPLYSSSIIA >DRNTG_01380.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28585115:28586164:-1 gene:DRNTG_01380 transcript:DRNTG_01380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANMLVLVVLMFASIGMVFSQAPSMAPPDCNSAITNLAECLSFVQYGSKLEKPQGLCCAGLKKVVKDEVSCLCEAFKQSSSFGIKLNMTKAFGLPHACGISTPSLSNCKIDVAGSPGSAPAPSPSSPGASFANSPASAPGTHSMAPLQAPSMFLFIIYIAVPLYSSSIIA >DRNTG_01380.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28585115:28586101:-1 gene:DRNTG_01380 transcript:DRNTG_01380.6 gene_biotype:protein_coding transcript_biotype:protein_coding MANMLVLVVLMFASIGMVFSQAPSMAPPDCNSAITNLAECLSFVQYGSKLEKPQGLCCAGLKKVVKDEVSCLCEAFKQSSSFGIKLNMTKAFGLPHACGISTPSLSNCKIDVAGSPGSAPAPSPSSPGASFANSPASAPGTHSMAPLQAPSMFLFIIYIAVPLYSSSIIA >DRNTG_01380.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28584717:28586101:-1 gene:DRNTG_01380 transcript:DRNTG_01380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANMLVLVVLMFASIGMVFSQAPSMAPPDCNSAITNLAECLSFVQYGSKLEKPQGLCCAGLKKVVKDEVSCLCEAFKQSSSFGIKLNMTKAFGLPHACGISTPSLSNCKIDVAGSPGSAPAPSPSSPGASFANSPASAPGTHSMAPLQAPSMFLFIIYIAVPLYSSSIIA >DRNTG_01380.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28585098:28586101:-1 gene:DRNTG_01380 transcript:DRNTG_01380.5 gene_biotype:protein_coding transcript_biotype:protein_coding MANMLVLVVLMFASIGMVFSQAPSMAPPDCNSAITNLAECLSFVQYGSKLEKPQGLCCAGLKKVVKDEVSCLCEAFKQSSSFGIKLNMTKAFGLPHACGISTPSLSNCKIDVAGSPGSAPAPSPSSPGASFANSPASAPGTHSMAPLQAPSMFLFIIYIAVPLYSSSIIA >DRNTG_08902.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27517048:27524804:1 gene:DRNTG_08902 transcript:DRNTG_08902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRMPSEVMDQRPLSFFSEEIRFPAERQIGFWKPESMPDHCGTGGMPLMSGSKSVCSSPYEKIRNMGSNSVECSELAKPDFLKDQKTKFVLDQNFVGLEGIAGKPLTSWKATENSRFQSGLYAVPKTGSLEGIKLDFHRDRYENGLFSSSLSEIFNKNLRLSSNEHSRQHAFIIDSNIGADEPFESLEEIEAQTIGNLLPDDDDLLSGVIDDLDYIGRSNSGDDIEDDLFCSGGGMELEVDGNFSYKNRSHFINCADSAVQQGELNNLFAGEHPHGEHPSRTLFVRNISSNVEDSELKNLFEQYGDVRALYTACKHRGFVMISYYDIRSAKNAMRALQSKPLRRRKLDIHFSIPKDNPSEKDINQGTVVAFDLESSVSDDDLRQIFGVYGEIKEIREIPYKQHHRFIEFFDVRAAEAALRASNRSDILGKNIKLELSFPGGASLMQQQSYTDLEQEEPIGWQGSPANNSPSKLHVSSGAISFGAITTGGLDNAALQVLCSAVHTPVTTYMDTAFNGISSSVPHNLSSPVRVTSAGNHGNPSGHINPSHSLGQMNFPFPGVPTFAHSLPEYHEGLSNGIPCNSSSNISAMPVDINSICVGGVDKRHIHRIASGGPNNHSFEHNGAAFARLGNGSHPLHGHQFYINNSNSYQHHASDSMMWQSSPSFNGIPAQYQQHMHGLPRTPTPVVNAGLPVNHHVGSAPAINPSIWDRRHIYTGESVEPSAFHPGSLGSMGFSGGSLLHPLELASHNIFAHSNGNHIDTSIGSAHGGIPSPQQRCPTFHGRNSMIPSSFDAPNDRVRSRRADANANQADNKKQYELDIDRIVRGEDSRTTLMIKNIPNKYTSKMLLATIDENHRGTYDFIYLPIDFKNKCNVGYAFINMIDPQRIIPFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHSDGPNAGDQEPFPVGTNVRSRPGRSRTCNNEENLQGSPSSSANGEETPNGKDSE >DRNTG_08902.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27517048:27524804:1 gene:DRNTG_08902 transcript:DRNTG_08902.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRMPSEVMDQRPLSFFSEEIRFPAERQIGFWKPESMPDHCVGTGGMPLMSGSKSVCSSPYEKIRNMGSNSVECSELAKPDFLKDQKTKFVLDQNFVGLEGIAGKPLTSWKATENSRFQSGLYAVPKTGSLEGIKLDFHRDRYENGLFSSSLSEIFNKNLRLSSNEHSRQHAFIIDSNIGADEPFESLEEIEAQTIGNLLPDDDDLLSGVIDDLDYIGRSNSGDDIEDDLFCSGGGMELEVDGNFSYKNRSHFINCADSAVQQGELNNLFAGEHPHGEHPSRTLFVRNISSNVEDSELKNLFEQYGDVRALYTACKHRGFVMISYYDIRSAKNAMRALQSKPLRRRKLDIHFSIPKDNPSEKDINQGTVVAFDLESSVSDDDLRQIFGVYGEIKEIREIPYKQHHRFIEFFDVRAAEAALRASNRSDILGKNIKLELSFPGGASLMQQQSYTDLEQEEPIGWQGSPANNSPSKLHVSSGAISFGAITTGGLDNAALQVLCSAVHTPVTTYMDTAFNGISSSVPHNLSSPVRVTSAGNHGNPSGHINPSHSLGQMNFPFPGVPTFAHSLPEYHEGLSNGIPCNSSSNISAMPVDINSICVGGVDKRHIHRIASGGPNNHSFEHNGAAFARLGNGSHPLHGHQFYINNSNSYQHHASDSMMWQSSPSFNGIPAQYQQHMHGLPRTPTPVVNAGLPVNHHVGSAPAINPSIWDRRHIYTGESVEPSAFHPGSLGSMGFSGGSLLHPLELASHNIFAHSNGNHIDTSIGSAHGGIPSPQQRCPTFHGRNSMIPSSFDAPNDRVRSRRADANANQADNKKQYELDIDRIVRGEDSRTTLMIKNIPNKYTSKMLLATIDENHRGTYDFIYLPIDFKNKCNVGYAFINMIDPQRIIPFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHSDGPNAGDQEPFPVGTNVRSRPGRSRTCNNEENLQGSPSSSANGEETPNGKDSE >DRNTG_18221.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000940.1:12520:14660:1 gene:DRNTG_18221 transcript:DRNTG_18221.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKFHLLQSNPPMLMSPQQKQRHHWWPVNRTRPLWQCSMNH >DRNTG_33622.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002029.1:34172:42996:1 gene:DRNTG_33622 transcript:DRNTG_33622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIDRVGTRVQLLVNKPLVGRDISLLVQLQPAPIELCILVEVKEVVKVIDSLHMRHCAADMMTRVTVAQHIISGRSVDHLSDLSGDRLGGLVTQLDVGLTIATQFTTSSNLDPGSGLAGLKESEVMVAQILA >DRNTG_17760.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:712957:715436:-1 gene:DRNTG_17760 transcript:DRNTG_17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEIGRVLGRSSDLRSKFNECIRSRKGGEEEEESIIGIRDAFESLDQQLNALQALRQQQIHEREATLAQIDSSCLALLNKLKEYRGEEELELIHEVSIFAGEIFEHHDDLLLPPCPRHLPDSMLDGIYASHLLCRSKLLQTGLAVGHTDDTKKSIDESEKRQSAQPLGSNGIGIGIGIVGFVTRSVIAIVGLVSILNLAGFKPCHNIWERTIKALQQFKFSAAVQRRRISMKCPPGKVLVIEDGKPRCMVKERVEIPFEVDLKTPNVTYGFG >DRNTG_33775.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:48894:50842:1 gene:DRNTG_33775 transcript:DRNTG_33775.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILYYYYYYIYIALLTIHSRPKSMSLLVFFTVFFGVVLSLVAGSLNRVEYQTLVVTRLESPTPLRPDDEFLAWVVDPNTLSDLVSGSEPATSFHVGLAHRDALHAGNSSGEELFKLRLDRDAARVATLAANRTRPKDFSSSVVSGLAQGSGEYFTRLGIGTPPSYAFMVLDTGSDVAWLQCAPCRRCYSQTEPIFDPRRSRTYAAVPCAASLCRRLDTSGCDTRRGACLYEVSYGDGSATMGDFSIETLTFRSGARLPRVALGCGHDNQGLFVAASGLLGLGRGPLSLPSQAASRLSRRFSYCLIDRTSPSSKPPSRSSSLIFGASAVPRASTGVAYTPMIRNAKMDTFYYVDLIGISVGGARVPGVELKLDRTSGRGGVIVDSGTSVTRLARPAYEAVRDSFRAGASELKAAPGGFSLFDTCYDLTGKSVVKVPTVTLHLAGGASVALPAENYLIPVDTKGTFCFAFAGTESGVSIIGNIQQQGFRVVFDAARSRIGFSPRGC >DRNTG_33775.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:44255:50590:1 gene:DRNTG_33775 transcript:DRNTG_33775.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILYYYYYYIYIALLTIHSRPKSMSLLVFFTVFFGVVLSLVAGSLNRVEYQTLVVTRLESPTPLRPDDEFLAWVVDPNTLSDLVSGSEPATSFHVGLAHRDALHAGNSSGEELFKLRLDRDAARVATLAANRTRPKDFSSSVVSGLAQGSGEYFTRLGIGTPPSYAFMVLDTGSDVAWLQCAPCRRCYSQTEPIFDPRRSRTYAAVPCAASLCRRLDTSGCDTRRGACLYEVSYGDGSATMGDFSIETLTFRSGARLPRVALGCGHDNQGLFVAASGLLGLGRGPLSLPSQAASRLSRRFSYCLIDRTSPSSKPPSRSSSLIFGASAVPRASTGVAYTPMIRNAKMDTFYYVDLIGISVGGARVPGVELKLDRTSGRGGVIVDSGTSVTRLARPAYEAVRDSFRAGASELKAAPGGFSLFDTCYDLTGKSVVKVPTVTLHLAGGASVALPAENYLIPVDTKGTFCFAFAGTESGVSIIGNIQQQGFRVVFDAARSRIGFSPRGC >DRNTG_33775.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:48894:50590:1 gene:DRNTG_33775 transcript:DRNTG_33775.5 gene_biotype:protein_coding transcript_biotype:protein_coding MILYYYYYYIYIALLTIHSRPKSMSLLVFFTVFFGVVLSLVAGSLNRVEYQTLVVTRLESPTPLRPDDEFLAWVVDPNTLSDLVSGSEPATSFHVGLAHRDALHAGNSSGEELFKLRLDRDAARVATLAANRTRPKDFSSSVVSGLAQGSGEYFTRLGIGTPPSYAFMVLDTGSDVAWLQCAPCRRCYSQTEPIFDPRRSRTYAAVPCAASLCRRLDTSGCDTRRGACLYEVSYGDGSATMGDFSIETLTFRSGARLPRVALGCGHDNQGLFVAASGLLGLGRGPLSLPSQAASRLSRRFSYCLIDRTSPSSKPPSRSSSLIFGASAVPRASTGVAYTPMIRNAKMDTFYYVDLIGISVGGARVPGVELKLDRTSGRGGVIVDSGTSVTRLARPAYEAVRDSFRAGASELKAAPGGFSLFDTCYDLTGKSVVKVPTVTLHLAGGASVALPAENYLIPVDTKGTFCFAFAGTESGVSIIGNIQQQGFRVVFDAARSRIGFSPRGC >DRNTG_33775.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:49033:50590:1 gene:DRNTG_33775 transcript:DRNTG_33775.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVFFTVFFGVVLSLVAGSLNRVEYQTLVVTRLESPTPLRPDDEFLAWVVDPNTLSDLVSGSEPATSFHVGLAHRDALHAGNSSGEELFKLRLDRDAARVATLAANRTRPKDFSSSVVSGLAQGSGEYFTRLGIGTPPSYAFMVLDTGSDVAWLQCAPCRRCYSQTEPIFDPRRSRTYAAVPCAASLCRRLDTSGCDTRRGACLYEVSYGDGSATMGDFSIETLTFRSGARLPRVALGCGHDNQGLFVAASGLLGLGRGPLSLPSQAASRLSRRFSYCLIDRTSPSSKPPSRSSSLIFGASAVPRASTGVAYTPMIRNAKMDTFYYVDLIGISVGGARVPGVELKLDRTSGRGGVIVDSGTSVTRLARPAYEAVRDSFRAGASELKAAPGGFSLFDTCYDLTGKSVVKVPTVTLHLAGGASVALPAENYLIPVDTKGTFCFAFAGTESGVSIIGNIQQQGFRVVFDAARSRIGFSPRGC >DRNTG_33775.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:49033:50842:1 gene:DRNTG_33775 transcript:DRNTG_33775.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVFFTVFFGVVLSLVAGSLNRVEYQTLVVTRLESPTPLRPDDEFLAWVVDPNTLSDLVSGSEPATSFHVGLAHRDALHAGNSSGEELFKLRLDRDAARVATLAANRTRPKDFSSSVVSGLAQGSGEYFTRLGIGTPPSYAFMVLDTGSDVAWLQCAPCRRCYSQTEPIFDPRRSRTYAAVPCAASLCRRLDTSGCDTRRGACLYEVSYGDGSATMGDFSIETLTFRSGARLPRVALGCGHDNQGLFVAASGLLGLGRGPLSLPSQAASRLSRRFSYCLIDRTSPSSKPPSRSSSLIFGASAVPRASTGVAYTPMIRNAKMDTFYYVDLIGISVGGARVPGVELKLDRTSGRGGVIVDSGTSVTRLARPAYEAVRDSFRAGASELKAAPGGFSLFDTCYDLTGKSVVKVPTVTLHLAGGASVALPAENYLIPVDTKGTFCFAFAGTESGVSIIGNIQQQGFRVVFDAARSRIGFSPRGC >DRNTG_33775.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:44255:50842:1 gene:DRNTG_33775 transcript:DRNTG_33775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILYYYYYYIYIALLTIHSRPKSMSLLVFFTVFFGVVLSLVAGSLNRVEYQTLVVTRLESPTPLRPDDEFLAWVVDPNTLSDLVSGSEPATSFHVGLAHRDALHAGNSSGEELFKLRLDRDAARVATLAANRTRPKDFSSSVVSGLAQGSGEYFTRLGIGTPPSYAFMVLDTGSDVAWLQCAPCRRCYSQTEPIFDPRRSRTYAAVPCAASLCRRLDTSGCDTRRGACLYEVSYGDGSATMGDFSIETLTFRSGARLPRVALGCGHDNQGLFVAASGLLGLGRGPLSLPSQAASRLSRRFSYCLIDRTSPSSKPPSRSSSLIFGASAVPRASTGVAYTPMIRNAKMDTFYYVDLIGISVGGARVPGVELKLDRTSGRGGVIVDSGTSVTRLARPAYEAVRDSFRAGASELKAAPGGFSLFDTCYDLTGKSVVKVPTVTLHLAGGASVALPAENYLIPVDTKGTFCFAFAGTESGVSIIGNIQQQGFRVVFDAARSRIGFSPRGC >DRNTG_12458.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20947594:20948288:1 gene:DRNTG_12458 transcript:DRNTG_12458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEDQQRSKSSQTKSCAICTTTKTPLWRGGPSGPKTLCNACGIKYRKKKEKNNGSGRIMKVGMWNFGSDLLLKKRSFINKSMMMMMKKKRVLREDEEAAVLLMALSCGFHDLFLMDH >DRNTG_18773.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1379085:1380436:-1 gene:DRNTG_18773 transcript:DRNTG_18773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFDRSHYCYQEERRRIPRQLDLEQPAEEYIEGEDRHGMIESDDENYLELTLATGRSRRKKDERSYTSDSGTSFSSSSSESGRIKLNGFEVEGGVREDRMKQYPPWLFQCLSLNMT >DRNTG_19192.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21427367:21429843:-1 gene:DRNTG_19192 transcript:DRNTG_19192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKNKVAGAGTTGSSASPCAACKLLRRRCAEDCLFAPYFPPDQPHKFASVHKVFGASNVNKLLQELPVNYRSDAVSSMVYEANARVRDPVYGCAGAISSLQQQVDVLQAQLAMAQAEIIRLRMQHAGYLAHLRLTPARGGGGGGGGSSATSSPKMTEVNDKPVFNLETEMDQTSMYEPMWYS >DRNTG_22166.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3776180:3776597:1 gene:DRNTG_22166 transcript:DRNTG_22166.2 gene_biotype:protein_coding transcript_biotype:protein_coding FLTTDKQERLDQGPVPSHQPVSFAVPSCSATTVCAHHH >DRNTG_22166.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3776180:3776973:1 gene:DRNTG_22166 transcript:DRNTG_22166.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKASSSLFNSSPLINKNDLIKDRSLLTSLSLSLFLLVPPPQSVHTTTDTDGLVNTKAQSASSSVPLHWL >DRNTG_08525.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000382.1:11855:12371:-1 gene:DRNTG_08525 transcript:DRNTG_08525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVIFRAQTSDGCNIPFTSFMRGLLNSSIVRGKQSMDDVGVSLACIVGSPLGVTPPLAQGVEAEDDDDPAQALATDGGNGRDNTFGAEVT >DRNTG_09706.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:776412:776648:-1 gene:DRNTG_09706 transcript:DRNTG_09706.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVAAVQTNGDGGAKLSSGLRSLQFGKGRLASGGILPLAGFGVSRAVNGTGGKEEHGKQAEDSVRQAMYISCWGPS >DRNTG_25606.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:176323:184064:-1 gene:DRNTG_25606 transcript:DRNTG_25606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIVGTLGPKSRSVDVISSCLKAGMSDSVARFDFSWGDMDYHQETPRT >DRNTG_29270.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28601304:28602064:1 gene:DRNTG_29270 transcript:DRNTG_29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSNGYHQDSDVDSDGSVDDAYYHPISADSDDGGDLENEYPSSSVLQTNAPDPNNGYLDGSDLLHHYAQSGVAGLDLNGGGDGESDEEEEMDREREASISRAFREDESRRSAPLTEENAARVLEAMRGVVFPGVVPNWAGEIAEERWVDQLRQLRGEPAP >DRNTG_22703.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1397968:1402591:-1 gene:DRNTG_22703 transcript:DRNTG_22703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNKRVKPQKNMTVPIPQSLLRRVKELANIHPYPRERKLDAYEDETSVSQSSKASN >DRNTG_22703.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1397968:1402591:-1 gene:DRNTG_22703 transcript:DRNTG_22703.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNKRVKPQKNMTVPIPQSLLRRVKELANIHPYPRERKLDAYEDETSVSQSSKASN >DRNTG_22703.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1397968:1402591:-1 gene:DRNTG_22703 transcript:DRNTG_22703.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNKRVKPQKNMTVPIPQSLLRRVKELANIHPYPRERKLDAYEDETSVSQSSKASN >DRNTG_32297.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001817.1:75055:77391:-1 gene:DRNTG_32297 transcript:DRNTG_32297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCTPFCTIEIADRVNGLRDELPLGLSCECKGVKYSSVEIPHTRAPSPQGSPHALVTSLSIQENSQSVPHAPVEITNRHVELSQSYSQGHSHIPVPSRDGGELSAEIHTGVWNLPTPLRLSQRQTHGQTQALVSSLGKSLSVYRETHARVELPHGCVTLTRSFTGANTRPCVLSR >DRNTG_02044.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000101.1:9209:12282:1 gene:DRNTG_02044 transcript:DRNTG_02044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLGAFASPSSAIKLTPKIQFPSFNPLFLSRSHSNRLLALPSSPSSPLRIFSQRWKLSVAPEALEVDEKPPEPEPVEVQIEASLASDAKGKPEDVFAVVMVGSRQYIVFPGRFIYTQRLKGANVNDKIVLNKVLLVGTRTKAYIGEPVVTNAAVHAVVEEQGLNRKVIVFKYKKKKNYRRNIGHRQPNTRIRITGITGYEDFPAETLPAPA >DRNTG_11226.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15314269:15319570:1 gene:DRNTG_11226 transcript:DRNTG_11226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSFRPTSLAAARVHKRWPSHPFSTSCSTAGQTSGEPKPLMQNHLVLYTKPGCCLCEGLKEKLQVALFPHRPQLSLLRQSPDS >DRNTG_11405.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13914814:13915597:1 gene:DRNTG_11405 transcript:DRNTG_11405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYAWAQATHKWLMEDIPQAAARVQARCAGKKTNTWMLCYGENIYRKQATIETSLSSLEGKEFPELVPVNADEDIFIRAYRRLDAIAPEPLARRQDKRTTSSVRARRRSPTSSPKRARITQRQRSPLLSRPIVTPPPMTTSTVPPIVAAPRPWQRPRRH >DRNTG_25119.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23698937:23703457:1 gene:DRNTG_25119 transcript:DRNTG_25119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSWEIRRDGEDGPEYLPNAELFTIRMHCMVSGVQELAGYVDYVCADQISRLELLSMAKEFMMEVEGCSIWYMDDHSANNGMKEIVTDIDALTMANSVDSSREMFVYINTTKMGKGQEHYPGCTTDQQNNSHAVGIEDSQEDSDANEEDDLEGILIEGTRKGKIMEEEFEIHDSEYSFGGGSDDDCRNDCISSHAVVTVEGEIPHVDFDGEIFSEHGESDELHSCSSTDSEEMMPSRPKYSEFNEDINMGNSQLQIGMKFRDFNQFKTAVKNYGIKNRYVMNFRPNDSTRCKAICTRGCPFYLWASLMSRGVSTVQIKSGNLKHECAKGHKNRHVNAMWIAKKYMEQFRADPSWKLSGIMQAVKLNQNVEISRLTAFRAKAIALRIQWPKSDQGPMIPPLAVNKKKGRRTMLRRKEFGEEVRGFTNGKVSKKGGYVRCGLCGEKGHNRRYHANQANRASAYGNQDGVGTIQMPTSRHGDDESANSHGPLSQLISTPEVTIHGQTMTDANNKDKGKKLATKGCKEAGAKARSKMQFPAP >DRNTG_22714.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1491456:1492206:-1 gene:DRNTG_22714 transcript:DRNTG_22714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLLRSESNTTTTMCSNRLKKRSCDFSSSSIPNDNYNNDELVTDVRNLKKSRLHWTRQLHKQFVAAVSTIGLNKAVPKKIMKIMNVEQLTREQVASHLQKYKIYMKRLQNSMHGDGVESSSSHETSLHHELKNKEKFRSSENSTAVLEDQSPFLSEVINELHAFEPSHYH >DRNTG_24584.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:13803:15312:-1 gene:DRNTG_24584 transcript:DRNTG_24584.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAREKRREALNTNLPPSAFGFRSLAQSTGHSFVDQAGSIFYALSFKPGHSLGKPSFSGSSSSSTIGALLLNPR >DRNTG_21596.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001223.1:12050:16603:-1 gene:DRNTG_21596 transcript:DRNTG_21596.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRKRKPELDLEEEEEEEEEEGASIPVLAPYSDDDENDNGVAESEEEDVGFQKPAAPRRRQVEVRRDCPYLDTVNRQVLDFDFEKFCSISLSNLNVYACLVCGKYYQGRGLNSHAYTHSLEAGHHVYINLQTEKVYCLPDGYEINDPSLDDIRHVLNPRFSREQVLHLDENRQWSRALDGSNYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLVPENYQHCKSPLVHRFGELTRKVWHARNFKGQVSPHEFLQAVMKASDKRFQIGVQSDPVEFMSWLLNTLHMNLRHSKKKNKSIIHDCFQGELEVVKESHKKPLIEKKESNEEQNKMVTADDEGTNGVVKETSTVPFLMLGLDLPPPPLFKDAMEKNIIPQVPLFNILKKFDGESVTEVVRPCIARMKYRVTRLPKYLILHMRRFTKNNFFIEKNPTLVNFPVKNLELKDYIPLPPPKENMKMRSKYDLIANIVHDGKPGEGVYRAFVQCKSEELWYEMQDLHVTETLPQMVALSEAYMQIYEQHE >DRNTG_21596.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001223.1:12050:16603:-1 gene:DRNTG_21596 transcript:DRNTG_21596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRKRKPELDLEEEEEEEEEEGASIPVLAPYSDDDENDNGVAESEEEDVGFQKPAAPRRRQVEVRRDCPYLDTVNRQVLDFDFEKFCSISLSNLNVYACLVCGKYYQGRGLNSHAYTHSLEAGHHVYINLQTEKVYCLPDGYEINDPSLDDIRHVLNPRFSREQVLHLDENRQWSRALDGSNYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLVPENYQHCKSPLVHRFGELTRKVWHARNFKGQVSPHEFLQAVMKASDKRFQIGVQSDPVEFMSWLLNTLHMNLRHSKKKNKSIIHDCFQGELEVVKESHKKPLIEKKESNEEQNKMVTADDEGTNGVVKETSTVPFLMLGLDLPPPPLFKDAMEKNIIPQVPLFNILKKFDGESVTEVVRPCIARMKYRVTRLPKYLILHMRRFTKNNFFIEKNPTLVNFPVKNLELKDYIPLPPPKENMKMRSKYDLIANIVHDGKPGEGVYRAFVQCKSEELWYEMQDLHVTETLPQMVALSEAYMQIYEQHE >DRNTG_04446.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10469921:10477015:1 gene:DRNTG_04446 transcript:DRNTG_04446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSFLRKKLSRGASVEAYPIPDEGILGRRIGDFPRNHRHNYRGARTSPMKPFTDSRALYQNTITAFFSPSFPPTLKRAAARVLRDIVKCFGEKNIQSRPVRRVARPR >DRNTG_30433.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3129720:3136582:-1 gene:DRNTG_30433 transcript:DRNTG_30433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEQDVDVFGEEYGQEEDEDEDHRDKTTTKEEEEDDEGSRDSSASSSSSSSSSSSAASESGKSKGSATSSSSDGEEEDTAAAASRVGYGEYEEVKEDEEEEEEVEEVERDLFGSDNEEYVRTPANSPFSVPVLPIIRNTNNHSRGNFGRGRWQSGHPNDRGSYPPLLPRPGSYPQRQNYGFGSKFSHGNGRQDERFVSDLKLNKSEETLSRKVIQMQEPSELACYSRIEGGDVYFDDRSLRLFKRLISEDVGANLNKGFETFVEKRELGSEGFGDLLACIRNKSIPLQNIHFVTFRNNLNKIMATAYIKNEPWKMGVHKRNGVVYLDVHKLPERPQSELDRRRCYWGYSFENLATENSRDIDAGGKGIDANVEFCAVIKTKLGAHRIIMGAEMDSCDATDDGKRFYVELKTSRELDYRTEERYEREKLLKFWIQSYLAGVPYIVVGFRDDKGVLVRTERLRTKEITQRVKMKGYWQGGVCLAFTDEVLCWLYGTVKENEDYILQFVQPFNRLELLRANSCPGVIADHVEQLSAQ >DRNTG_33369.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20876387:20877733:-1 gene:DRNTG_33369 transcript:DRNTG_33369.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIDQTRKLNPSPITKKLKQTDQEERRDQWSSQRRRDRRFMARVQVSEGGEYEEDSCRKRRRSHGDETNGSYGER >DRNTG_33369.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20876387:20877186:-1 gene:DRNTG_33369 transcript:DRNTG_33369.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIDQTRKLNPSPITKKLKQTDQEERRDQWSSQRRRDRRFMARVQVSEGGEYEEDSCRKRRRSHGDETNGSYGER >DRNTG_20900.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25173594:25175635:-1 gene:DRNTG_20900 transcript:DRNTG_20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGRRDRGGQGGGRPPVTGKVSGPRGRRSPPPPSPPGPPSLSRWPASLLNSSSKALTLSLYVSNHGTSPGPPTNIRSSARTKPPPTCKLQQRNRKQER >DRNTG_06782.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000306.1:28777:29482:-1 gene:DRNTG_06782 transcript:DRNTG_06782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGIPAMVIGTGLGEKKKNMFFPACAPEGVNHKEFYYECKPPCYHVVVKDYGHLDMLDDDAPKVTKCVCTNG >DRNTG_06782.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000306.1:28777:29183:-1 gene:DRNTG_06782 transcript:DRNTG_06782.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGIPAMVIGTGLGEKKKNMFFPACAPEGVNHKEFYYECKPPCYHVVVKDYGHLDMLDDDAPKVTKCVCTNG >DRNTG_19762.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6190077:6194637:1 gene:DRNTG_19762 transcript:DRNTG_19762.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase WNK3 [Source:Projected from Arabidopsis thaliana (AT3G48260) UniProtKB/Swiss-Prot;Acc:Q9STK6] MAPELYEEEYNELIDIYAFGMCLLELVTLEYPYVECSNPAQIFKKVSSGIKPASLVKVKDPGVKQFIEKCIAHVSERLSARELLMDPFLQLDSSNGIIGRSLQLDTKYTGIVGCSNVRALVEPSINGRDFTVEGQRKDINIIFLKLRISDPTGSGMIFYHHLL >DRNTG_19762.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6190077:6194637:1 gene:DRNTG_19762 transcript:DRNTG_19762.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase WNK3 [Source:Projected from Arabidopsis thaliana (AT3G48260) UniProtKB/Swiss-Prot;Acc:Q9STK6] MAPELYEEEYNELIDIYAFGMCLLELVTLEYPYVECSNPAQIFKKVSSGIKPASLVKVKDPGVKQFIEKCIAHVSERLSARELLMDPFLQLDSSNGIIGRSLQLDTKYTGIVGCSNVRALVEPSINGRDFTVEGQRKDINIIFLKLRISDPTGQVHNIHFPFDIEADTSISVATEMVAELDLTDQDVTSIAEIIDTEIQAHIPEWTPFSSNPGSEIMASDYRESEAEDEITALPNDTEHPCGGLVLERLPSGRKYWSDSPRATSNMSTSGHTESNESCDLNSHASHDSFVGVLWKRRQFL >DRNTG_19762.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6190077:6194637:1 gene:DRNTG_19762 transcript:DRNTG_19762.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase WNK3 [Source:Projected from Arabidopsis thaliana (AT3G48260) UniProtKB/Swiss-Prot;Acc:Q9STK6] MIFVCISYKAFDELEGIEVAWNQVKLVDVLRNVDDLERLYSEVHLLKTLKHKNIIKFYNSWVDTKHDNINFITEVFTSGTLRQYRTKHKHVDLRALKNWSRQILCGLYYLHSNDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRHAHLAHSVIGTPEFMAPELYEEEYNELIDIYAFGMCLLELVTLEYPYVECSNPAQIFKKVSSGIKPASLVKVKDPGVKQFIEKCIAHVSERLSARELLMDPFLQLDSSNGIIGRSLQLDTKYTGESF >DRNTG_19762.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6190077:6194637:1 gene:DRNTG_19762 transcript:DRNTG_19762.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase WNK3 [Source:Projected from Arabidopsis thaliana (AT3G48260) UniProtKB/Swiss-Prot;Acc:Q9STK6] MSVDSSSDQKQDESAAVESDPTGRYIRYGEVLGKGAFKTVYKAFDELEGIEVAWNQVKLVDVLRNVDDLERLYSEVHLLKTLKHKNIIKFYNSWVDTKHDNINFITEVFTSGTLRQYRTKHKHVDLRALKNWSRQILCGLYYLHSNDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRHAHLAHSVIGTPEFMAPELYEEEYNELIDIYAFGMCLLELVTLEYPYVECSNPAQIFKKVSSGIKPASLVKVKDPGVKQFIEKCIAHVSERLSARELLMDPFLQLDSSNGIIGRSLQLDTKYTGIVGCSNVRALVEPSINGRDFTVEGQRKDINIIFLKLRISDPTGQVHNIHFPFDIEADTSISVATEMVAELDLTDQDVTSIAEIIDTEIQAHIPEWTPFSSNPGSEIMASDYRESEAEDEITALPNDTEHPCGGLVLERLPSGRKYWSDSPRATSNMSTSGHTESNESCDLNSHASHDSFVGVLWKRRQFL >DRNTG_19762.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6190077:6194637:1 gene:DRNTG_19762 transcript:DRNTG_19762.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase WNK3 [Source:Projected from Arabidopsis thaliana (AT3G48260) UniProtKB/Swiss-Prot;Acc:Q9STK6] MAPELYEEEYNELIDIYAFGMCLLELVTLEYPYVECSNPAQIFKKVSSGIKPASLVKVKDPGVKQFIEKCIAHVSERLSARELLMDPFLQLDSSNGIIGRSLQLDTKYTGIVGCSNVRALVEPSINGRDFTVEGQRKDINIIFLKLRISDPTGQVHNIHFPFDIEADTSISVATEMVAELDLTDQDVTSIAEIIDTEIQAHIPEWTPFSSNPGSEIMASDYRESEAEDEITALPNDTEHPCGGLVLERLPSGRKYWSDSPRATSNMSTSGHTESNESCDLNSHASHDSFVGVLWKRRQFL >DRNTG_19762.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6190415:6194637:1 gene:DRNTG_19762 transcript:DRNTG_19762.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase WNK3 [Source:Projected from Arabidopsis thaliana (AT3G48260) UniProtKB/Swiss-Prot;Acc:Q9STK6] MIFVCISYKAFDELEGIEVAWNQVKLVDVLRNVDDLERLYSEVHLLKTLKHKNIIKFYNSWVDTKHDNINFITEVFTSGTLRQYRTKHKHVDLRALKNWSRQILCGLYYLHSNDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRHAHLAHSVIGTPEFMAPELYEEEYNELIDIYAFGMCLLELVTLEYPYVECSNPAQIFKKVSSGIKPASLVKVKDPGVKQFIEKCIAHVSERLSARELLMDPFLQLDSSNGIIGRSLQLDTKYTGIVGCSNVRALVEPSINGRDFTVEGQRKDINIIFLKLRISDPTGSGMIFYHHLL >DRNTG_19762.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6190077:6194637:1 gene:DRNTG_19762 transcript:DRNTG_19762.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase WNK3 [Source:Projected from Arabidopsis thaliana (AT3G48260) UniProtKB/Swiss-Prot;Acc:Q9STK6] MIFVCISYKAFDELEGIEVAWNQVKLVDVLRNVDDLERLYSEVHLLKTLKHKNIIKFYNSWVDTKHDNINFITEVFTSGTLRQYRTKHKHVDLRALKNWSRQILCGLYYLHSNDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRHAHLAHSVIGHLLFALLK >DRNTG_18762.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1321608:1323570:1 gene:DRNTG_18762 transcript:DRNTG_18762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILHYTIPTIPFIVILLFIYIIHHYSNKKLSNNLPPSPPSLPFIGHLHLLKSPRNLSIHSLSQLYGPVVALRLGSRNAIILSSPAAVEEALIKNDTIFSNHPVIKAGHILGYNNTTMFFSPYNPHWRNLRRLTSLQLLSTSRINLLTSIRTEEFLSLIRRMVKDSSSGKIDIQARFFEMTYNLMSKMVVGKRYYGECLDVEVEVANQFRDIMKEEIQLMFSFNPRDFFPALGWLDLLGVERKMERLLPRVDRFLTDIVEEARRKRRNDPANGNEEAEKETKEEEKSLVDVMLSMQDTDPETFTDEVIKGHIKTMLMAGTDTMALTIKRMMLFLLSHPETLKKTNEEIDTNVGNERIINESDLPKLPYLNNVLKETLRLTLADFPPRESSGDCTIQDYHVPKGTMLFVNALGINRDPELWDDAMSFKPERFDREEEEKVFKYMPFGAGRRKCPGEHLAMRMVMAGVGALVQCFEWEPMSKGEVDISSSLGLIVSNGKPVVAKYQVRNCMVDTLSKI >DRNTG_15471.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16440146:16442049:1 gene:DRNTG_15471 transcript:DRNTG_15471.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 5 [Source:Projected from Arabidopsis thaliana (AT2G13610) UniProtKB/Swiss-Prot;Acc:Q9SIT6] MKKSQTYEIETKALSYKIQTITTKPWTKSNKKTTIQEEGIHANHAQPSSHKHLLKNITFKAKPWELLAIVGPSGAGKSTLLEILAGKLNPRSPSPSIFVNKLPINKAGFKKITGYVPQNDKLFPLLTVRETLMFTAKLRLSLPCIDLDRLVEHLLGELGLTHVADLRVGDGNKMRGISGGERRRVSIAVEVVHDPGVLILDEPTSGLDSSSALQIVDLLKNMAEMRGRTVILSIHQPGFRIVKLFTSILLLAGGCVLHHGTVDQLLHSLTTSNLHLPLHVNVVEFALDSIQTLQQQQQQQQHQMDQELKEQQQQQLAVVSAHKGRCTLRQLFFTDIEDEPFNSFQNSYGSYYANSWIKETVILTIRFSKMVFRTKELFACRTIQMLVSGLVLGSIFFNLSDDAAGAKEHVGLFAFILTFLLSSTIEALPIFLQERRY >DRNTG_19909.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18430868:18432910:1 gene:DRNTG_19909 transcript:DRNTG_19909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVPKKLGGLNEYKAIKKILKHITYEAIDSQEFEDTYLKMMADYKIEKNKWLNSLSKIRDHWAPIYVKGIFWAEMSTTQRSESVNAFFDGYVGPTTSLKQFVEQYDNALKSKTEKENKADFAPFNSCFPLITDCYFEKQLQEAYTNEIFKLFGDELRGMIYCNLILTGSHGAVCSFQVSDIVKGKEGAFRKQVVYNVYTNEEEFDIKCTCQLFEFKGIICRHICKVLIEKNVKDIPSRYILPRWRKDIKRMHTYVQNCYDDPQTSEEKLRYNKLCSHFTKAAEHGAESNDKYNLLMKYVDEAIEKLMDNTTCKEKFTPTLSEATIVPCQQLLTPLKKAQTKGDASAQKLAQDDHCTQGSVVNSNSISINLNMHHSSSLGSVAVSNSNVTA >DRNTG_35419.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20353053:20354927:-1 gene:DRNTG_35419 transcript:DRNTG_35419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVQVTPLCGVYSESPLSYLVTVDGFNFLMDCGWNDLFDPAILQPLSRVSSKVDAVLLSHPDILHLGALPYAMKQLGLSAPVYATEPVYRLGLLTMYDYYLSRKQISDNDLFTLDDIDSAFQNVTRLTY >DRNTG_28507.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14828404:14828830:-1 gene:DRNTG_28507 transcript:DRNTG_28507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKLKSHHESLRLFSIPDDHRNISFRPLKALILVAKLSPTCSHAHEHDHEMKAIAKAMVVEYEEEARQTDMGGPSALKLNFSSSDSSTVSELLEIMERNLHGWW >DRNTG_27084.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17384722:17394923:1 gene:DRNTG_27084 transcript:DRNTG_27084.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrroline-5-carboxylate reductase [Source:Projected from Arabidopsis thaliana (AT5G14800) UniProtKB/Swiss-Prot;Acc:P54904] MFFANLFDSLFNFVASAYIFSFPFYLSYYLLSLGMQELSGHKRIIRVMPNTPSAVGEAASVMCTGEMATKIDEERVSSLFKAIGKIWTAEEKYFDAITGLSGSGPAYIYLAIEALADGGVAAGLPRELALGLASQTVLGAAAMVNQTGKHPGQLKDAVASPAGTTIAGIQELEKGAFRSNLISAVVAATKRCRELSQS >DRNTG_27084.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17384722:17394923:1 gene:DRNTG_27084 transcript:DRNTG_27084.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrroline-5-carboxylate reductase [Source:Projected from Arabidopsis thaliana (AT5G14800) UniProtKB/Swiss-Prot;Acc:P54904] MADAFRLGFIGAGNMAESISRGVIKAGVLPPSSIRTAHRCPKRRSVFQSFGVQILETNQQVVDDSDIIILSVKPQVVKEVLLELKPFFTEQKLLVSIAAGIKLKALQELSGHKRIIRVMPNTPSAVGEAASVMCTGEMATKIDEERVSSLFKAIGKIWTAEEKYFDAITGLSGSGPAYIYLAIEALADGGVAAGLPRELALGLASQTVLGAAAMVNQTGKHPGQLKDAVASPAGTTIAGIQELEKGAFRSNLISAVVAATKRCRELSQS >DRNTG_18148.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:627508:628417:1 gene:DRNTG_18148 transcript:DRNTG_18148.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFSLKHVFFSIVLLTLAHSCCKVHGQGQGLDAVQIVATSALCFDNRTIIQNCLATLGFNATTNSSSNLTTTNGFCDGPCYGQTMLVISCIDGILSNFQFYNAQMMQGVRSIFQAACGKNNSANATDGSGNVEAVANYGSEVGQLSTWIMGILVSVVWLV >DRNTG_32379.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:549800:551760:-1 gene:DRNTG_32379 transcript:DRNTG_32379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVRPYAVVILVQLIDAGMFIITKAALTFGLNPLVFIFYRHAFGTFLLAPIAMIFLRRKAQSPALSFMLLFKTFMLVLMGISIFYAIYFIGLSYISATATSAISNSLPVFTFIFAVFLRMETLKLKSRSGIVKLLGILFCVTGILIIALYKGPQLSSLNHHHIQLNNHEGDSNHESNHSITTWIKGSFLVVLSCVICSLWFICQGTLLKELQSKLHFTTYVCIFSTIQSFVIAIMFERDFTKWKLHWDMGLLAIGYSAVAVTGLSYYLQAWCVDKKGPVFLAISTPLSFVFTMIGSSFILGEQINMGSALGGISMVAGLYSVLWGKSMETKVPQPSIVIECSV >DRNTG_10775.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000460.1:56856:60102:-1 gene:DRNTG_10775 transcript:DRNTG_10775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITVESSGKDEAAGLVVSFGEMLIDFVPDVAGVSLAESKGFLKAPGGAPANVAVAISKLGGHSAFMGKFGDDEFGHMLADILRKHGVEDEGVLFDPHARTALAFVTLKSNGEREFMFYRNPSADMLLNESELNLDLIRRAKIFHYGSISLISEPCRSAHLAAMRAAKEAGILLSYDPNVRLPLWPSHDAARDGIKSIWNEADFIKVSDEEVAFLTNGDPQDENVVLSLWFDGLKLLIVTDGEKGCRYFTKDFKGKVSGFAVKTIDTTGAGDAFVGALLVSLAKDSSLFQNEEKLREVLKFANSCGAICTTQKGAIPALPTPSMAQELVATAN >DRNTG_30156.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3369680:3370186:-1 gene:DRNTG_30156 transcript:DRNTG_30156.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGLAALVLRFGAVHTRIITRELCLRSQKRFKSSSSSVVCGVQQVSEQLLVVVGGGAAGIYASIRAKKVAPHLRVLVIEKGKPLSK >DRNTG_30156.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3367384:3370186:-1 gene:DRNTG_30156 transcript:DRNTG_30156.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGLAALVLRFGAVHTRIITRELCLRSQKRFKSSSSSVVCGVQQVSEQLLVVVGGGAAGIYASIRAKKVAPHLRVLVIEKGKPLSKVKISGGGRCNVTNGNFLDKIVLSENYPRGHKELRGSFFDVHGPQDTICWFSDKGVELKTEPDGRVFPVSNSSATIVDCLLQEARKRGGMP >DRNTG_30156.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3362925:3370186:-1 gene:DRNTG_30156 transcript:DRNTG_30156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGLAALVLRFGAVHTRIITRELCLRSQKRFKSSSSSVVCGVQQVSEQLLVVVGGGAAGIYASIRAKKVAPHLRVLVIEKGKPLSKVKISGGGRCNVTNGNFLDKIVLSENYPRGHKELRGSFFDVHGPQDTICWFSDKGVELKTEPDGRVFPVSNSSATIVDCLLQEARKRGGMP >DRNTG_30156.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3362925:3366718:-1 gene:DRNTG_30156 transcript:DRNTG_30156.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTHWGLSGPVILRLSAWGARHLFLSSYTGRTWKKLLVNILWKLLMQLLCYCSCFFATFCDM >DRNTG_30156.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3364549:3370186:-1 gene:DRNTG_30156 transcript:DRNTG_30156.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGLAALVLRFGAVHTRIITRELCLRSQKRFKSSSSSVVCGVQQVSEQLLVVVGGGAAGIYASIRAKKVAPHLRVLVIEKGKPLSKVKISGGGRCNVTNGNFLDKIVLSENYPRGHKELRGSFFDVHGPQDTICWFSDKGVELKTEPDGRVFPVSNSSATIVDCLLQEARKRGGMP >DRNTG_08776.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7267778:7271392:-1 gene:DRNTG_08776 transcript:DRNTG_08776.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPFMAVASLSSRFAALSRKIVQPPLLSAARFFTAPLPGDAEPLPDDQPTSQQRLPFQRPLENGLDPGVYKAVMVGKVGQKPVQKHLKSGRSVVLFSLGTGGIRNNRRPLDK >DRNTG_12587.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15656944:15659279:1 gene:DRNTG_12587 transcript:DRNTG_12587.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFHGITDTKVDQAHQVFYVHHKDGTLINSEAEWQRVIQCLQAAIERRASKAPPATRSILRPLLAYNRESELEYSQSRKNHENGSALRDPTAKRQQLLKDSFKGKMANKGVNPYEVVAYDAAVKGSILSG >DRNTG_27483.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26510648:26513187:-1 gene:DRNTG_27483 transcript:DRNTG_27483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVLLWDGVKSPFTLQKSSSQSESKNACESKKISSLLP >DRNTG_27483.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26510648:26513187:-1 gene:DRNTG_27483 transcript:DRNTG_27483.2 gene_biotype:protein_coding transcript_biotype:protein_coding RIPWPLIPDPDGTSWLKAVIFIDEHTESQHYEQTLHISEPKKRSHSKNLTDKKEKKKTSKSGSFEAEQRIRASKSTNKLSTCQSLTSAASKNITGSTSLSLQQEQENFKKVAPLKLCELKTRTLRNNRIRNLKAIPPNLRP >DRNTG_22063.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20162688:20167194:-1 gene:DRNTG_22063 transcript:DRNTG_22063.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESDLQDIATYEVIKSDIDLKNVDFSGISLLAAAACDSNLGDGGRDVEDSIAMTHASGDEGFVVLPVIADQHPESALRGTCQHPTDMLDGISESAIGHRATSALPNKGLDKTEDNALQPSEDVSEKTTRGEFSFRDDRLHWDLNVVMDAWGDCDKSKNSEPSAVSVVGEDCTQDMKVAGEAGQEKFRLQDPGVYDTGCHEDVPIQSGSSVELSNIQGKAVSRSDNLAADHDRGKDCYLLHGSDDLPNEKNQSEGNSTASLGTTNNECPLHHQDKNYSISAADPGVLTGESAGASFLKFGSAIEMESFVSSHPDNNVNINGAIHACDPLPTKEESLNEVALEKTISAASLVDIDHHSADTNPTTNVVKATNIRSPSAENNVPSCTNGPGDAKTDECPGVGHGVDESSHILVDGNMGKTTPAVSEIALTEDLDQTVCADAFKGTSPHPSDSHSIVSHSVVNLDEVSSGSADMDIEDSSCPVLDYDKLDLSKTDDPVQTGSEYLRCTSTSMPVGMALAVQAEGPSSSLAANTSFADDVNCTHNVALENHVDVDYDPDASQTDDDHPVGIEKVDFLGDDASPYEDGEFRESVLNSWGADGETESADYASNHREHNAFEAASVHPRFNISGCENGRMLGVNDDSQIEKKVDKKFTQSAVSCFSLTDSLEAEVGKKCHQKVLKGASANCLSTEDGSGKVVGKTDTSASGSVCHKNSRECELLAEGDGAYLKMRSTGWDRLPDGCGYRDGILDSVGNCLYQNHTIDSGGASKAGESLRQLAGASLRKNLPSQIDKPKSSDVSYNKNNSYLRASRVSDHCSPALKGERDADDHRSVGRSESPLNRYSRGRGHRWVDGHNHRSQYGPAGFTHSSSKNAAAAAVAKVESNGFVVAPDGTLVKAGGLGPSHRVLRHSANASSQNTYRSLGRRSPVETDRSYCMPLSYGNSRESPDRHISSGRGRAHPYGPQMQRPDYRKSFDQLDDDINSSLSVHRPLSRREHSFSPQERPPHMLRSRTGSPSRSRTRSPHNWTSSRRSENRRHGGPSSNLLNRSQNFKSQDRLVRVKSPQQGSGFRDHISPISRDHTSIPHSSRWMNERKDLLDFREHGYKQSSERSPPRRLFSRSHRGDQSGSPRRLKQEEYHQPVLSGRFAEFVGPNRSSRRDGDRDDRRGNVNRYEMIHSVRQYDNGVSTRQFHYDFEGGFRVHPRSKVSSKFEQRGNSRDFDREVECQLGDSARITTEKKGHFRYARDGNHTDNDKLHDIQQCPEEMDQRMGRNS >DRNTG_22063.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20162688:20169567:-1 gene:DRNTG_22063 transcript:DRNTG_22063.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVFLLDHVYFRLLRALVFILFKFPFPVCVLLLVMLNLVYRLIVKLVSLQIRFCSCSRGSATPVQLPNYRASSKVCKGCGGKLLVERQGAISESMLSTVGMQLSRVIDPNLNWKVCPKGRQRALRRARTCFNVINHCKISAKSSKGVDELTNNDRPKIGHLPVSESEKLGVSILGRRFSETPETVPFKKRKVPLVRSPSPPAESRHYLSDPDRILEIRSSYCKAEPNATQQSLETVSGFGMESDLQDIATYEVIKSDIDLKNVDFSGISLLAAAACDSNLGDGGRDVEDSIAMTHASGDEGFVVLPVIADQHPESALRGTCQHPTDMLDGISESAIGHRATSALPNKGLDKTEDNALQPSEDVSEKTTRGEFSFRDDRLHWDLNVVMDAWGDCDKSKNSEPSAVSVVGEDCTQDMKVAGEAGQEKFRLQDPGVYDTGCHEDVPIQSGSSVELSNIQGKAVSRSDNLAADHDRGKDCYLLHGSDDLPNEKNQSEGNSTASLGTTNNECPLHHQDKNYSISAADPGVLTGESAGASFLKFGSAIEMESFVSSHPDNNVNINGAIHACDPLPTKEESLNEVALEKTISAASLVDIDHHSADTNPTTNVVKATNIRSPSAENNVPSCTNGPGDAKTDECPGVGHGVDESSHILVDGNMGKTTPAVSEIALTEDLDQTVCADAFKGTSPHPSDSHSIVSHSVVNLDEVSSGSADMDIEDSSCPVLDYDKLDLSKTDDPVQTGSEYLRCTSTSMPVGMALAVQAEGPSSSLAANTSFADDVNCTHNVALENHVDVDYDPDASQTDDDHPVGIEKVDFLGDDASPYEDGEFRESVLNSWGADGETESADYASNHREHNAFEAASVHPRFNISGCENGRMLGVNDDSQIEKKVDKKFTQSAVSCFSLTDSLEAEVGKKCHQKVLKGASANCLSTEDGSGKVVGKTDTSASGSVCHKNSRECELLAEGDGAYLKMRSTGWDRLPDGCGYRDGILDSVGNCLYQNHTIDSGGASKAGESLRQLAGASLRKNLPSQIDKPKSSDVSYNKNNSYLRASRVSDHCSPALKGERDADDHRSVGRSESPLNRYSRGRGHRWVDGHNHRSQYGPAGFTHSSSKNAAAAAVAKVESNGFVVAPDGTLVKAGGLGPSHRVLRHSANASSQNTYRSLGRRSPVETDRSYCMPLSYGNSRESPDRHISSGRGRAHPYGPQMQRPDYRKSFDQLDDDINSSLSVHRPLSRREHSFSPQERPPHMLRSRTGSPSRSRTRSPHNWTSSRRSENRRHGGPSSNLLNRSQNFKSQDRLVRVKSPQQGSGFRDHISPISRDHTSIPHSSRWMNERKDLLDFREHGYKQSSERSPPRRLFSRSHRGDQSGSPRRLKQEEYHQPVLSGRFAEFVGPNRSSRRDGDRDDRRGNVNRYEMIHSVRQYDNGVSTRQFHYDFEGGFRVHPRSKVSSKFEQRGNSRDFDREVECQLGDSARITTEKKGHFRYARDGNHTDNDKLHDIQQCPEEMDQRMGRNS >DRNTG_22063.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20162688:20168299:-1 gene:DRNTG_22063 transcript:DRNTG_22063.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFCSCSRGSATPVQLPNYRASSKVCKGCGGKLLVERQGAISESMLSTVGMQLSRVIDPNLNWKVCPKGRQRALRRARTCFNVINHCKISAKSSKGVDELTNNDRPKIGHLPVSESEKLGVSILGRRFSETPETVPFKKRKVPLVRSPSPPAESRHYLSDPDRILEIRSSYCKAEPNATQQSLETVSGFGMESDLQDIATYEVIKSDIDLKNVDFSGISLLAAAACDSNLGDGGRDVEDSIAMTHASGDEGFVVLPVIADQHPESALRGTCQHPTDMLDGISESAIGHRATSALPNKGLDKTEDNALQPSEDVSEKTTRGEFSFRDDRLHWDLNVVMDAWGDCDKSKNSEPSAVSVVGEDCTQDMKVAGEAGQEKFRLQDPGVYDTGCHEDVPIQSGSSVELSNIQGKAVSRSDNLAADHDRGKDCYLLHGSDDLPNEKNQSEGNSTASLGTTNNECPLHHQDKNYSISAADPGVLTGESAGASFLKFGSAIEMESFVSSHPDNNVNINGAIHACDPLPTKEESLNEVALEKTISAASLVDIDHHSADTNPTTNVVKATNIRSPSAENNVPSCTNGPGDAKTDECPGVGHGVDESSHILVDGNMGKTTPAVSEIALTEDLDQTVCADAFKGTSPHPSDSHSIVSHSVVNLDEVSSGSADMDIEDSSCPVLDYDKLDLSKTDDPVQTGSEYLRCTSTSMPVGMALAVQAEGPSSSLAANTSFADDVNCTHNVALENHVDVDYDPDASQTDDDHPVGIEKVDFLGDDASPYEDGEFRESVLNSWGADGETESADYASNHREHNAFEAASVHPRFNISGCENGRMLGVNDDSQIEKKVDKKFTQSAVSCFSLTDSLEAEVGKKCHQKVLKGASANCLSTEDGSGKVVGKTDTSASGSVCHKNSRECELLAEGDGAYLKMRSTGWDRLPDGCGYRDGILDSVGNCLYQNHTIDSGGASKAGESLRQLAGASLRKNLPSQIDKPKSSDVSYNKNNSYLRASRVSDHCSPALKGERDADDHRSVGRSESPLNRYSRGRGHRWVDGHNHRSQYGPAGFTHSSSKNAAAAAVAKVESNGFVVAPDGTLVKAGGLGPSHRVLRHSANASSQNTYRSLGRRSPVETDRSYCMPLSYGNSRESPDRHISSGRGRAHPYGPQMQRPDYRKSFDQLDDDINSSLSVHRPLSRREHSFSPQERPPHMLRSRTGSPSRSRTRSPHNWTSSRRSENRRHGGPSSNLLNRSQNFKSQDRLVRVKSPQQGSGFRDHISPISRDHTSIPHSSRWMNERKDLLDFREHGYKQSSERSPPRRLFSRSHRGDQSGSPRRLKQEEYHQPVLSGRFAEFVGPNRSSRRDGDRDDRRGNVNRYEMIHSVRQYDNGVSTRQFHYDFEGGFRVHPRSKVSSKFEQRGNSRDFDREVECQLGDSARITTEKKGHFRYARDGNHTDNDKLHDIQQCPEEMDQRMGRNS >DRNTG_22063.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20162688:20169567:-1 gene:DRNTG_22063 transcript:DRNTG_22063.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFCSCSRGSATPVQLPNYRASSKVCKGCGGKLLVERQGAISESMLSTVGMQLSRVIDPNLNWKVCPKGRQRALRRARTCFNVINHCKISAKSSKGVDELTNNDRPKIGHLPVSESEKLGVSILGRRFSETPETVPFKKRKVPLVRSPSPPAESRHYLSDPDRILEIRSSYCKAEPNATQQSLETVSGFGMESDLQDIATYEVIKSDIDLKNVDFSGISLLAAAACDSNLGDGGRDVEDSIAMTHASGDEGFVVLPVIADQHPESALRGTCQHPTDMLDGISESAIGHRATSALPNKGLDKTEDNALQPSEDVSEKTTRGEFSFRDDRLHWDLNVVMDAWGDCDKSKNSEPSAVSVVGEDCTQDMKVAGEAGQEKFRLQDPGVYDTGCHEDVPIQSGSSVELSNIQGKAVSRSDNLAADHDRGKDCYLLHGSDDLPNEKNQSEGNSTASLGTTNNECPLHHQDKNYSISAADPGVLTGESAGASFLKFGSAIEMESFVSSHPDNNVNINGAIHACDPLPTKEESLNEVALEKTISAASLVDIDHHSADTNPTTNVVKATNIRSPSAENNVPSCTNGPGDAKTDECPGVGHGVDESSHILVDGNMGKTTPAVSEIALTEDLDQTVCADAFKGTSPHPSDSHSIVSHSVVNLDEVSSGSADMDIEDSSCPVLDYDKLDLSKTDDPVQTGSEYLRCTSTSMPVGMALAVQAEGPSSSLAANTSFADDVNCTHNVALENHVDVDYDPDASQTDDDHPVGIEKVDFLGDDASPYEDGEFRESVLNSWGADGETESADYASNHREHNAFEAASVHPRFNISGCENGRMLGVNDDSQIEKKVDKKFTQSAVSCFSLTDSLEAEVGKKCHQKVLKGASANCLSTEDGSGKVVGKTDTSASGSVCHKNSRECELLAEGDGAYLKMRSTGWDRLPDGCGYRDGILDSVGNCLYQNHTIDSGGASKAGESLRQLAGASLRKNLPSQIDKPKSSDVSYNKNNSYLRASRVSDHCSPALKGERDADDHRSVGRSESPLNRYSRGRGHRWVDGHNHRSQYGPAGFTHSSSKNAAAAAVAKVESNGFVVAPDGTLVKAGGLGPSHRVLRHSANASSQNTYRSLGRRSPVETDRSYCMPLSYGNSRESPDRHISSGRGRAHPYGPQMQRPDYRKSFDQLDDDINSSLSVHRPLSRREHSFSPQERPPHMLRSRTGSPSRSRTRSPHNWTSSRRSENRRHGGPSSNLLNRSQNFKSQDRLVRVKSPQQGSGFRDHISPISRDHTSIPHSSRWMNERKDLLDFREHGYKQSSERSPPRRLFSRSHRGDQSGSPRRLKQEEYHQPVLSGRFAEFVGPNRSSRRDGDRDDRRGNVNRYEMIHSVRQYDNGVSTRQFHYDFEGGFRVHPRSKVSSKFEQRGNSRDFDREVECQLGDSARITTEKKGHFRYARDGNHTDNDKLHDIQQCPEEMDQRMGRNS >DRNTG_03669.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13679028:13682681:-1 gene:DRNTG_03669 transcript:DRNTG_03669.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDDADLDFSNPEVIESFLNDFPKDTTHHHACTHTHTCNPPGPDLSHTHTCFHVHTQIVPAVSSDDPGVESAEKSSSKKRPFGNREAVRKYREKKKARAASLEDEVVQLRTLNQQLMRRLQGQAALEAEVLRLRCLLVDIRGRIEGEIGSFPYQKPVAPGNLMSGAHVLNSCELRCDDQVHCLQGKPGVDNGVVNGQGFSACEMGSVQCMGNFSSGSEFLGCGRGCPDANEGKGAGAEG >DRNTG_03669.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13678672:13682681:-1 gene:DRNTG_03669 transcript:DRNTG_03669.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDADLDFSNPEVIESFLNDFPKDTTHHHACTHTHTCNPPGPDLSHTHTCFHVHTQIVPAVSSDDPGVESAEKSSSKKRPFGNREAVRKYREKKKARAASLEDEVVQLRTLNQQLMRRLQGQAALEAEVLRLRCLLVDIRGRIEGEIGSFPYQKPVAPGNLMSGAHVLNSCELRCDDQVHCLQGKPGVDNGVVNGQGFSACEMGSVQCMGNFSSGSEFLGCGRGCPDANEGKGAGAEG >DRNTG_03669.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13678924:13682681:-1 gene:DRNTG_03669 transcript:DRNTG_03669.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDADLDFSNPEVIESFLNDFPKDTTHHHACTHTHTCNPPGPDLSHTHTCFHVHTQIVPAVSSDDPGVESAEKSSSKKRPFGNREAVRKYREKKKARAASLEDEVVQLRTLNQQLMRRLQGQAALEAEVLRLRCLLVDIRGRIEGEIGSFPYQKPVAPGNLMSGAHVLNSCELRCDDQVHCLQGKPGVDNGVVNGQGFSACEMGSVQCMGNFSSGSEFLGCGRGCPDANEGKGAGAEG >DRNTG_03669.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13678826:13682681:-1 gene:DRNTG_03669 transcript:DRNTG_03669.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDADLDFSNPEVIESFLNDFPKDTTHHHACTHTHTCNPPGPDLSHTHTCFHVHTQIVPAVSSDDPGVESAEKSSSKKRPFGNREAVRKYREKKKARAASLEDEVVQLRTLNQQLMRRLQGQAALEAEVLRLRCLLVDIRGRIEGEIGSFPYQKPVAPGNLMSGAHVLNSCELRCDDQVHCLQGKPGVDNGVVNGQGFSACEMGSVQCMGNFSSGSEFLGCGRGCPDANEGKGAGAEG >DRNTG_03669.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13678924:13682681:-1 gene:DRNTG_03669 transcript:DRNTG_03669.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDADLDFSNPEVIESFLNDFPKDTTHHHACTHTHTCNPPGPDLSHTHTCFHVHTQIVPAVSSDDPGVESAEKSSSKKRPFGNREAVRKYREKKKARAASLEDEVVQLRTLNQQLMRRLQGQAALEAEVLRLRCLLVDIRGRIEGEIGSFPYQKPVAPGNLMSGAHVLNSCELRCDDQVHCLQGKPGVDNGVVNGQGFSACEMGSVQCMGNFSSGSEFLGCGRGCPDANEGKGAGAEG >DRNTG_08799.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1116321:1125670:1 gene:DRNTG_08799 transcript:DRNTG_08799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQIPNSFPIPYFHRGNVQSGLILGVVNPRDGERRSANAWSNGISTIYYGDHEEGAPEDEAELVVFSKCPEKTHARVEFPHGRAVSFRAHLERVQGHGLTPVNDLVMVCTCVGLAPRLNKQTDKHMWEHSLVSGHMDFAIPEHQARFERLLKIRIGQTQFPDMSIPRKVQSAEDIADVIEGLLSMGSWRRLLSIREPIIRQLTLESNPIHLGHILVEYMRHQSQYVRAGVIFLGSYITRLVIGIGLPRRRAYLLL >DRNTG_03237.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16178580:16181517:-1 gene:DRNTG_03237 transcript:DRNTG_03237.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYFHSKNVRKHQTKHPVHLQSDGQNSPCNRLERLPMDM >DRNTG_03237.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16178580:16181517:-1 gene:DRNTG_03237 transcript:DRNTG_03237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYFHSKNVRKHQTKHPVHLQSDGQNSPCNRLERLPMDM >DRNTG_12803.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2050148:2057643:-1 gene:DRNTG_12803 transcript:DRNTG_12803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTIQKRFKISSTQLLYQSSPYQALTLFITGPFLDGLLTNLNVFSFKYTPQVLVFILLSCLISVSVNFSTFLVIGKTSPITYQVLGHLKTCLVLAFGYVLLSDPFNWRNILGILIALFGMILYSYFCSMESQQKAIESSIQVRENESDHLLAVDNGADLTDCLGPKIPVWRSNKDLQA >DRNTG_12803.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2050148:2057643:-1 gene:DRNTG_12803 transcript:DRNTG_12803.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGVRFQLGTVGALSLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHVALWMKLFEHKPFDPRAVMGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFRKKFSRTIQLALSILLFGVGVATVTDLQLNVLGSVLSLLAVMTTCIAQIMTNTIQKRFKISSTQLLYQSSPYQALTLFITGPFLDGLLTNLNVFSFKYTPQVLVFILLSCLISVSVNFSTFLVIGKTSPITYQVLGHLKTCLVLAFGYVLLSDPFNWRNILGILIALFGMILYSYFCSMESQQKAIESSIQVRENESDHLLAVDNGADLTDCLGPKIPVWRSNKDLQA >DRNTG_12803.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2050148:2057643:-1 gene:DRNTG_12803 transcript:DRNTG_12803.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGVRFQLGTVGALSLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHVALWMKLFEHKPFDPRAVMGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFRKKFSRTIQLALSILLFGVGVATVTDLQLNVLGSVLSLLAVMTTCIAQIVSFMEFLLEFFISHKLLDCRFLVEQSQCFYFFIFFL >DRNTG_19482.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7574648:7581674:1 gene:DRNTG_19482 transcript:DRNTG_19482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQSDTSCRPLKFDDGLCRLSISTVDQTSSSLSTTRTLEKIDFHCCRRIISILSDHLYNKYFDFNTAKDIWHALEKEYGPDNGTRINYSSMKFENYKMVDRVDIREHIHTFRGTTLVP >DRNTG_27208.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:829251:831527:1 gene:DRNTG_27208 transcript:DRNTG_27208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCATKPKDLNADGDVPRPIDAPDPHPEDAGEKKDVVPVEETKEVAGPVLDDNRRRSLGTLFQENEVISEEDRVPSSSTDKNVIIENIEQPSVEVDVEVKGKEELKEQAPDHPEQEGEPKIAETETSNPIKDEKSDAQIVKEIQENLNTDAKPEQEEDGSEKKVVVDA >DRNTG_22233.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1282657:1283330:-1 gene:DRNTG_22233 transcript:DRNTG_22233.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSSQSQTTSSAGGAARPGTVGPRGTPAATAGMRRRRPGGGGGGSSGFTGGGGGSNMLRFYTDDAPGLKMSPTVVLVMSLCFIGFVTALHVSGKLYRYRAGGTS >DRNTG_22233.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1282657:1283237:-1 gene:DRNTG_22233 transcript:DRNTG_22233.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSSQSQTTSSAGGAARPGTVGPRGTPAATAGMRRRRPGGGGGGSSGFTGGGGGSNMLRFYTDDAPGLKMSPTVVLVMSLCFIGFVTALHVSGKLYRYRAGGTS >DRNTG_22233.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1282714:1283237:-1 gene:DRNTG_22233 transcript:DRNTG_22233.6 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSSQSQTTSSAGGAARPGTVGPRGTPAATAGMRRRRPGGGGGGSSGFTGGGGGSNMLRFYTDDAPGLKMSPTVVLVMSLCFIGFVTALHVSGKLYRYRAGGTS >DRNTG_22233.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1282604:1283092:-1 gene:DRNTG_22233 transcript:DRNTG_22233.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFYTDDAPGLKMSPTVVLVMSLCFIGFVTALHVSGKLYRYRAGGTS >DRNTG_22233.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1282604:1283330:-1 gene:DRNTG_22233 transcript:DRNTG_22233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSSQSQTTSSAGGAARPGTVGPRGTPAATAGMRRRRPGGGGGGSSGFTGGGGGSNMLRFYTDDAPGLKMSPTVVLVMSLCFIGFVTALHVSGKLYRYRAGGTS >DRNTG_22233.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1282714:1283092:-1 gene:DRNTG_22233 transcript:DRNTG_22233.11 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFYTDDAPGLKMSPTVVLVMSLCFIGFVTALHVSGKLYRYRAGGTS >DRNTG_22233.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1282714:1283330:-1 gene:DRNTG_22233 transcript:DRNTG_22233.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSSQSQTTSSAGGAARPGTVGPRGTPAATAGMRRRRPGGGGGGSSGFTGGGGGSNMLRFYTDDAPGLKMSPTVVLVMSLCFIGFVTALHVSGKLYRYRAGGTS >DRNTG_22233.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1282657:1283174:-1 gene:DRNTG_22233 transcript:DRNTG_22233.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRPGGGGGGSSGFTGGGGGSNMLRFYTDDAPGLKMSPTVVLVMSLCFIGFVTALHVSGKLYRYRAGGTS >DRNTG_22233.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1282714:1283174:-1 gene:DRNTG_22233 transcript:DRNTG_22233.9 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRPGGGGGGSSGFTGGGGGSNMLRFYTDDAPGLKMSPTVVLVMSLCFIGFVTALHVSGKLYRYRAGGTS >DRNTG_22233.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1282657:1283092:-1 gene:DRNTG_22233 transcript:DRNTG_22233.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFYTDDAPGLKMSPTVVLVMSLCFIGFVTALHVSGKLYRYRAGGTS >DRNTG_22233.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1282604:1283237:-1 gene:DRNTG_22233 transcript:DRNTG_22233.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSSQSQTTSSAGGAARPGTVGPRGTPAATAGMRRRRPGGGGGGSSGFTGGGGGSNMLRFYTDDAPGLKMSPTVVLVMSLCFIGFVTALHVSGKLYRYRAGGTS >DRNTG_17522.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28925659:28931890:-1 gene:DRNTG_17522 transcript:DRNTG_17522.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPELAMTSSSSKKDSWKTVLLLAYQSLGVVYGDLSISPLYVFKSTFAEDITHSETNEEIFGVLSFVFWTLTLIPLFKYVFIVLRADDNGEGGTFALYSLICRHANVSLLPNKQVVDEELSTYILECPTETKNGSRVKTWLEKHKNLHTALLAVVMLGTCMVIGDGVLTPAISVFSAVSGLELSMSKDHREYAVVPITCFILVCLFALQHYGTHRVGFLFAPVVLAWLLCLSALGLYNIVHWNPRVYEALSPYYMFKFLKKTKKNGWMSLGGILLCITGSEAMFADLGHFSYTAIQIAFTSLVYPALILAYMGQAAYLSKHHKIYSTYQIGFYVSVPESVRWPVLVIAILASVVGSQAIISGTFSIINQSLSLGCFPRVKVIHTSDKIHGQIYIPEINWMLMVLCIAVAVGFRDTKHMGNASGLAVISVMLVTTCLTSLVMILCWHKPPLLALSFLLFFGSFEALYFSASLIKFREGAWLPILLALILMTVMFVWHYATIKKHEFDLHNKVSLDWLLALGESLGIARVPGIGLVYTDLISGVPANFSRFVTNLPAFHRILVFVCIKSVPVPFVPPAERYLLGRVGPPSHRSYRCIVRYGYRDVHQDVDSFESELLASLTEFIRLDAELGTHRSSGSSECLLTVVGRHTIDNINDIIEPVGVREGKRVRFAALEDERARDELEELNVARESGTAFILGHSHVRAKPESSVMKKLAIDVGYNFLKRNCRGADVVLRVPPASLLEVGMVYVL >DRNTG_17522.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28925659:28932110:-1 gene:DRNTG_17522 transcript:DRNTG_17522.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPELAMTSSSSKKDSWKTVLLLAYQSLGVVYGDLSISPLYVFKSTFAEDITHSETNEEIFGVLSFVFWTLTLIPLFKYVFIVLRADDNGEGGTFALYSLICRHANVSLLPNKQVVDEELSTYILECPTETKNGSRVKTWLEKHKNLHTALLAVVMLGTCMVIGDGVLTPAISVFSAVSGLELSMSKDHREYAVVPITCFILVCLFALQHYGTHRVGFLFAPVVLAWLLCLSALGLYNIVHWNPRVYEALSPYYMFKFLKKTKKNGWMSLGGILLCITGSEAMFADLGHFSYTAIQIAFTSLVYPALILAYMGQAAYLSKHHKIYSTYQIGFYVSVPESVRWPVLVIAILASVVGSQAIISGTFSIINQSLSLGCFPRVKVIHTSDKIHGQIYIPEINWMLMVLCIAVAVGFRDTKHMGNASGLAVISVMLVTTCLTSLVMILCWHKPPLLALSFLLFFGSFEALYFSASLIKFREGAWLPILLALILMTVMFVWHYATIKKHEFDLHNKVSLDWLLALGESLGIARVPGIGLVYTDLISGVPANFSRFVTNLPAFHRILVFVCIKSVPVPFVPPAERYLLGRVGPPSHRSYRCIVRYGYRDVHQDVDSFESELLASLTEFIRLDAELGTHRSSGSSECLLTVVGRHTIDNINDIIEPVGVREGKRVRFAALEDERARDELEELNVARESGTAFILGHSHVRAKPESSVMKKLAIDVGYNFLKRNCRGADVVLRVPPASLLEVGMVYVL >DRNTG_17522.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28925659:28931890:-1 gene:DRNTG_17522 transcript:DRNTG_17522.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPELAMTSSSSKKDSWKTVLLLAYQSLGVVYGDLSISPLYVFKSTFAEDITHSETNEEIFGVLSFVFWTLTLIPLFKYVFIVLRADDNGEGGTFALYSLICRHANVSLLPNKQVVDEELSTYILECPTETKNGSRVKTWLEKHKNLHTALLAVVMLGTCMVIGDGVLTPAISVFSAVSGLELSMSKDHREYAVVPITCFILVCLFALQHYGTHRVGFLFAPVVLAWLLCLSALGLYNIVHWNPRVYEALSPYYMFKFLKKTKKNGWMSLGGILLCITGSEAMFADLGHFSYTAIQIAFTSLVYPALILAYMGQAAYLSKHHKIYSTYQIGFYVSVPESVRWPVLVIAILASVVGSQAIISGTFSIINQSLSLGCFPRVKVIHTSDKIHGQIYIPEINWMLMVLCIAVAVGFRDTKHMGNASGLAVISVMLVTTCLTSLVMILCWHKPPLLALSFLLFFGSFEALYFSASLIKFREGAWLPILLALILMTVMFVWHYATIKKHEFDLHNKVSLDWLLALGESLGIARVPGIGLVYTDLISGVPANFSRFVTNLPAFHRILVFVCIKSVPVPFVPPAERYLLGRVGPPSHRSYRCIVRYGYRDVHQDVDSFESELLASLTEFIRLDAELGTHRSSGSSECLLTVVGRHTIDNINDIIEPVGVREGKRVRFAALEDERARDELEELNVARESGTAFILGHSHVRAKPESSVMKKLAIDVGYNFLKRNCRGADVVLRVPPASLLEVGMVYVL >DRNTG_17522.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28925659:28930343:-1 gene:DRNTG_17522 transcript:DRNTG_17522.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDPELAMTSSSSKKDSWKTVLLLAYQSLGVVYGDLSISPLYVFKSTFAEDITHSETNEEIFGVLSFVFWTLTLIPLFKYVFIVLRADDNGEGGTFALYSLICRHANVSLLPNKQVVDEELSTYILECPTETKNGSRVKTWLEKHKNLHTALLAVVMLGTCMVIGDGVLTPAISVFSAVSGLELSMSKDHREYAVVPITCFILVCLFALQHYGTHRVGFLFAPVVLAWLLCLSALGLYNIVHWNPRVYEALSPYYMFKFLKKTKKNGWMSLGGILLCITGSEAMFADLGHFSYTAIQIAFTSLVYPALILAYMGQAAYLSKHHKIYSTYQIGFYVSVPESVRWPVLVIAILASVVGSQAIISGTFSIINQSLSLGCFPRVKVIHTSDKIHGQIYIPEINWMLMVLCIAVAVGFRDTKHMGNASGLAVISVMLVTTCLTSLVMILCWHKPPLLALSFLLFFGSFEALYFSASLIKFREGAWLPILLALILMTVMFVWHYATIKKHEFDLHNKVSLDWLLALGESLGIARVPGIGLVYTDLISGVPANFSRFVTNLPAFHRILVFVCIKSVPVPFVPPAERYLLGRVGPPSHRSYRCIVRYGYRDVHQDVDSFESELLASLTEFIRLDAELGTHRSSGSSECLLTVVGRHTIDNINDIIEPVGVREGKRVRFAALEDERARDELEELNVARESGTAFILGHSHVRAKPESSVMKKLAIDVGYNFLKRNCRGADVVLRVPPASLLEVGMVYVL >DRNTG_17522.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28925659:28932110:-1 gene:DRNTG_17522 transcript:DRNTG_17522.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPELAMTSSSSKKDSWKTVLLLAYQSLGVVYGDLSISPLYVFKSTFAEDITHSETNEEIFGVLSFVFWTLTLIPLFKYVFIVLRADDNGEGGTFALYSLICRHANVSLLPNKQVVDEELSTYILECPTETKNGSRVKTWLEKHKNLHTALLAVVMLGTCMVIGDGVLTPAISVFSAVSGLELSMSKDHREYAVVPITCFILVCLFALQHYGTHRVGFLFAPVVLAWLLCLSALGLYNIVHWNPRVYEALSPYYMFKFLKKTKKNGWMSLGGILLCITGSEAMFADLGHFSYTAIQIAFTSLVYPALILAYMGQAAYLSKHHKIYSTYQIGFYVSVPESVRWPVLVIAILASVVGSQAIISGTFSIINQSLSLGCFPRVKVIHTSDKIHGQIYIPEINWMLMVLCIAVAVGFRDTKHMGNASGLAVISVMLVTTCLTSLVMILCWHKPPLLALSFLLFFGSFEALYFSASLIKFREGAWLPILLALILMTVMFVWHYATIKKHEFDLHNKVSLDWLLALGESLGIARVPGIGLVYTDLISGVPANFSRFVTNLPAFHRILVFVCIKSVPVPFVPPAERYLLGRVGPPSHRSYRCIVRYGYRDVHQDVDSFESELLASLTEFIRLDAELGTHRSSGSSECLLTVVGRHTIDNINDIIEPVGVREGKRVRFAALEDERARDELEELNVARESGTAFILGHSHVRAKPESSVMKKLAIDVGYNFLKRNCRGADVVLRVPPASLLEVGMVYVL >DRNTG_11844.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:157106:165061:-1 gene:DRNTG_11844 transcript:DRNTG_11844.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYKVIKEVGDGTFGTVWRAINKQNGEVVAIKKMKKKYYSWEECINLREVKSLRRMNHPNIVKLKEVIRENNILYFIFEYMECNLYQLMKDRGKPFSEVEVRNWCFQIFQALAYMHNRGYFHRDLKPENLLVTKDMIKIADFGLAREVSSQPPYTEYVSTRWYRAPEVLLQSSMYDSAVDMWAMGAIISELLTLQPLFPGTSEADEIYKICSIIGNPSYSSWAEGLHLADMMNYQFPQFACVHLSALIPSASEDALSLISSLCAWNPKKRPTAAEALQHPFFQTCFNIPQSLRPKATGIPKTPPSVGPRGALEHKSSRRFSTGTLSNTNPTSNFASSKNTSNSQRTGVQRKLEADYQEPEKNERSVKNSPKEKQYRPPARNNPGHSGRNLRRVPSDASVKLNSRTTNSNGVGAGATEKNGILDTSKRLAQLSINSGPQPVRQAPVLKAGGWQSQSDNHGRSNGIPAYRTYSRKVVG >DRNTG_11844.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:157106:165061:-1 gene:DRNTG_11844 transcript:DRNTG_11844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYKVIKEVGDGTFGTVWRAINKQNGEVVAIKKMKKKYYSWEECINLREVKSLRRMNHPNIVKLKEVIRENNILYFIFEYMECNLYQLMKDRGKPFSEVEVRNWCFQIFQALAYMHNRGYFHRDLKPENLLVTKDMIKIADFGLAREVSSQPPYTEYVSTRWYRAPEVLLQSSMYDSAVDMWAMGAIISELLTLQPLFPGTSEADEIYKICSIIGNPSYSSWAEGLHLADMMNYQFPQFACVHLSALIPSASEDALSLISSLCAWNPKKRPTAAEALQHPFFQTCFNIPQSLRPKATGIPKTPPSVGPRGALEHKSSRRFSTGTLSNTNPTSNFASSKNTSNSQRTGVQRKLEADYQEPEKNERSVKNSPKEKQYRPPARNNPGHSGRNLRRVPSDASVKLNSRTTNSNGVGAGATEKNGILDTSKRLAQLSINSGPQPVRQAPVLKAGGWQSQSDNHGRSNGIPAYRTYSRKVVG >DRNTG_11844.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:157106:165061:-1 gene:DRNTG_11844 transcript:DRNTG_11844.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYKVIKEVGDGTFGTVWRAINKQNGEVVAIKKMKKKYYSWEECINLREVKSLRRMNHPNIVKLKEVIRENNILYFIFEYMECNLYQLMKDRGKPFSEVEVRNWCFQIFQALAYMHNRGYFHRDLKPENLLVTKDMIKIADFGLAREVSSQPPYTEYVSTRWYRAPEVLLQSSMYDSAVDMWAMGAIISELLTLQPLFPGTSEADEIYKICSIIGNPSYSSWAEGLHLADMMNYQFPQFACVHLSALIPSASEDALSLISSLCAWNPKKRPTAAEALQHPFFQTCFNIPQSLRPKATGIPKTPPSVGPRGALEHKSSRRFSTGTLSNTNPTSNFASSKNTSNSQRTGVQRKLEADYQEPEKNERSVKNSPKEKQYRPPARNNPGHSGRNLRRVPSDASVKLNSRTTNSNGVGAGATEKNGILDTSKRLAQLSINSGPQPVRQAPVLKAGGWQSQSDNHGRSNGIPAYRTYSRKVVG >DRNTG_24204.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22508526:22510706:1 gene:DRNTG_24204 transcript:DRNTG_24204.9 gene_biotype:protein_coding transcript_biotype:protein_coding MELSFYHMFIISIALILLTKRYLQIHFSKPLALPILGHLHLLQSPVHQCLTKLTQKHGHILHLRFGSRPVLLISSPSAAKECFTNNDIIFANRPLMLVSKHFGYNNTSVGAVPYGQHWRELRRFMAIHALSPSRLPSFSSEVHSLVLKLYSGAGEGYKYFKKAEVRDMMFELMMNVILGLIAGKNYYGEGCGDPEEGKRFRKVVEEVFLLSGASTLEDFIPVVRLLRIGGAEKRMERVGKELDEFYQEIIEDRRRVGKWKEYVDGHDQEKKGNIIDVMLAMQEKDTNNYSDVSIKGMMTSLLVAGTETTAGTMEWVMALLLNNPNALKKAKAEIKEQVGHSHLIKDSDISKLHYLDNVIKETLRLFPAGPLLVAHESSQDCTVLGSHIPKGTMLLVNAYAIQRDNQLWDNPLEFKPERFDSADHVLHGDEGYKYIPFGTGRRRCPGENLAWKVMGLTLGALIQCFEWERVGQELVDLSEGMGLSMPMAKPLQAMYKPCMDMHAVFSQL >DRNTG_24204.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22508346:22510742:1 gene:DRNTG_24204 transcript:DRNTG_24204.5 gene_biotype:protein_coding transcript_biotype:protein_coding MELSFYHMFIISIALILLTKRYLQIHFSKPLALPILGHLHLLQSPVHQCLTKLTQKHGHILHLRFGSRPVLLISSPSAAKECFTNNDIIFANRPLMLVSKHFGYNNTSVGAVPYGQHWRELRRFMAIHALSPSRLPSFSSEVHSLVLKLYSGAGEGYKYFKKAEVRDMMFELMMNVILGLIAGKNYYGEGCGDPEEGKRFRKVVEEVFLLSGASTLEDFIPVVRLLRIGGAEKRMERVGKELDEFYQEIIEDRRRVGKWKEYVDGHDQEKKGNIIDVMLAMQEKDTNNYSDVSIKGMMTSLLVAGTETTAGTMEWVMALLLNNPNALKKAKAEIKEQVGHSHLIKDSDISKLHYLDNVIKETLRLFPAGPLLVAHESSQDCTVLGSHIPKGTMLLVNAYAIQRDNQLWDNPLEFKPERFDSADHVLHGDEGYKYIPFGTGRRRCPGENLAWKVMGLTLGALIQCFEWERVGQELVDLSEGMGLSMPMAKPLQAMYKPCMDMHAVFSQL >DRNTG_24204.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22508526:22510673:1 gene:DRNTG_24204 transcript:DRNTG_24204.10 gene_biotype:protein_coding transcript_biotype:protein_coding MELSFYHMFIISIALILLTKRYLQIHFSKPLALPILGHLHLLQSPVHQCLTKLTQKHGHILHLRFGSRPVLLISSPSAAKECFTNNDIIFANRPLMLVSKHFGYNNTSVGAVPYGQHWRELRRFMAIHALSPSRLPSFSSEVHSLVLKLYSGAGEGYKYFKKAEVRDMMFELMMNVILGLIAGKNYYGEGCGDPEEGKRFRKVVEEVFLLSGASTLEDFIPVVRLLRIGGAEKRMERVGKELDEFYQEIIEDRRRVGKWKEYVDGHDQEKKGNIIDVMLAMQEKDTNNYSDVSIKGMMTSLLVAGTETTAGTMEWVMALLLNNPNALKKAKAEIKEQVGHSHLIKDSDISKLHYLDNVIKETLRLFPAGPLLVAHESSQDCTVLGSHIPKGTMLLVNAYAIQRDNQLWDNPLEFKPERFDSADHVLHGDEGYKYIPFGTGRRRCPGENLAWKVMGLTLGALIQCFEWERVGQELVDLSEGMGLSMPMAKPLQAMYKPCMDMHAVFSQL >DRNTG_24204.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22508229:22510742:1 gene:DRNTG_24204 transcript:DRNTG_24204.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSFYHMFIISIALILLTKRYLQIHFSKPLALPILGHLHLLQSPVHQCLTKLTQKHGHILHLRFGSRPVLLISSPSAAKECFTNNDIIFANRPLMLVSKHFGYNNTSVGAVPYGQHWRELRRFMAIHALSPSRLPSFSSEVHSLVLKLYSGAGEGYKYFKKAEVRDMMFELMMNVILGLIAGKNYYGEGCGDPEEGKRFRKVVEEVFLLSGASTLEDFIPVVRLLRIGGAEKRMERVGKELDEFYQEIIEDRRRVGKWKEYVDGHDQEKKGNIIDVMLAMQEKDTNNYSDVSIKGMMTSLLVAGTETTAGTMEWVMALLLNNPNALKKAKAEIKEQVGHSHLIKDSDISKLHYLDNVIKETLRLFPAGPLLVAHESSQDCTVLGSHIPKGTMLLVNAYAIQRDNQLWDNPLEFKPERFDSADHVLHGDEGYKYIPFGTGRRRCPGENLAWKVMGLTLGALIQCFEWERVGQELVDLSEGMGLSMPMAKPLQAMYKPCMDMHAVFSQL >DRNTG_24204.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22508346:22510673:1 gene:DRNTG_24204 transcript:DRNTG_24204.6 gene_biotype:protein_coding transcript_biotype:protein_coding MELSFYHMFIISIALILLTKRYLQIHFSKPLALPILGHLHLLQSPVHQCLTKLTQKHGHILHLRFGSRPVLLISSPSAAKECFTNNDIIFANRPLMLVSKHFGYNNTSVGAVPYGQHWRELRRFMAIHALSPSRLPSFSSEVHSLVLKLYSGAGEGYKYFKKAEVRDMMFELMMNVILGLIAGKNYYGEGCGDPEEGKRFRKVVEEVFLLSGASTLEDFIPVVRLLRIGGAEKRMERVGKELDEFYQEIIEDRRRVGKWKEYVDGHDQEKKGNIIDVMLAMQEKDTNNYSDVSIKGMMTSLLVAGTETTAGTMEWVMALLLNNPNALKKAKAEIKEQVGHSHLIKDSDISKLHYLDNVIKETLRLFPAGPLLVAHESSQDCTVLGSHIPKGTMLLVNAYAIQRDNQLWDNPLEFKPERFDSADHVLHGDEGYKYIPFGTGRRRCPGENLAWKVMGLTLGALIQCFEWERVGQELVDLSEGMGLSMPMAKPLQAMYKPCMDMHAVFSQL >DRNTG_24204.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22508526:22510794:1 gene:DRNTG_24204 transcript:DRNTG_24204.7 gene_biotype:protein_coding transcript_biotype:protein_coding MELSFYHMFIISIALILLTKRYLQIHFSKPLALPILGHLHLLQSPVHQCLTKLTQKHGHILHLRFGSRPVLLISSPSAAKECFTNNDIIFANRPLMLVSKHFGYNNTSVGAVPYGQHWRELRRFMAIHALSPSRLPSFSSEVHSLVLKLYSGAGEGYKYFKKAEVRDMMFELMMNVILGLIAGKNYYGEGCGDPEEGKRFRKVVEEVFLLSGASTLEDFIPVVRLLRIGGAEKRMERVGKELDEFYQEIIEDRRRVGKWKEYVDGHDQEKKGNIIDVMLAMQEKDTNNYSDVSIKGMMTSLLVAGTETTAGTMEWVMALLLNNPNALKKAKAEIKEQVGHSHLIKDSDISKLHYLDNVIKETLRLFPAGPLLVAHESSQDCTVLGSHIPKGTMLLVNAYAIQRDNQLWDNPLEFKPERFDSADHVLHGDEGYKYIPFGTGRRRCPGENLAWKVMGLTLGALIQCFEWERVGQELVDLSEGMGLSMPMAKPLQAMYKPCMDMHAVFSQL >DRNTG_24204.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22508526:22510742:1 gene:DRNTG_24204 transcript:DRNTG_24204.8 gene_biotype:protein_coding transcript_biotype:protein_coding MELSFYHMFIISIALILLTKRYLQIHFSKPLALPILGHLHLLQSPVHQCLTKLTQKHGHILHLRFGSRPVLLISSPSAAKECFTNNDIIFANRPLMLVSKHFGYNNTSVGAVPYGQHWRELRRFMAIHALSPSRLPSFSSEVHSLVLKLYSGAGEGYKYFKKAEVRDMMFELMMNVILGLIAGKNYYGEGCGDPEEGKRFRKVVEEVFLLSGASTLEDFIPVVRLLRIGGAEKRMERVGKELDEFYQEIIEDRRRVGKWKEYVDGHDQEKKGNIIDVMLAMQEKDTNNYSDVSIKGMMTSLLVAGTETTAGTMEWVMALLLNNPNALKKAKAEIKEQVGHSHLIKDSDISKLHYLDNVIKETLRLFPAGPLLVAHESSQDCTVLGSHIPKGTMLLVNAYAIQRDNQLWDNPLEFKPERFDSADHVLHGDEGYKYIPFGTGRRRCPGENLAWKVMGLTLGALIQCFEWERVGQELVDLSEGMGLSMPMAKPLQAMYKPCMDMHAVFSQL >DRNTG_24204.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22508229:22510706:1 gene:DRNTG_24204 transcript:DRNTG_24204.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELSFYHMFIISIALILLTKRYLQIHFSKPLALPILGHLHLLQSPVHQCLTKLTQKHGHILHLRFGSRPVLLISSPSAAKECFTNNDIIFANRPLMLVSKHFGYNNTSVGAVPYGQHWRELRRFMAIHALSPSRLPSFSSEVHSLVLKLYSGAGEGYKYFKKAEVRDMMFELMMNVILGLIAGKNYYGEGCGDPEEGKRFRKVVEEVFLLSGASTLEDFIPVVRLLRIGGAEKRMERVGKELDEFYQEIIEDRRRVGKWKEYVDGHDQEKKGNIIDVMLAMQEKDTNNYSDVSIKGMMTSLLVAGTETTAGTMEWVMALLLNNPNALKKAKAEIKEQVGHSHLIKDSDISKLHYLDNVIKETLRLFPAGPLLVAHESSQDCTVLGSHIPKGTMLLVNAYAIQRDNQLWDNPLEFKPERFDSADHVLHGDEGYKYIPFGTGRRRCPGENLAWKVMGLTLGALIQCFEWERVGQELVDLSEGMGLSMPMAKPLQAMYKPCMDMHAVFSQL >DRNTG_24204.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22508229:22510673:1 gene:DRNTG_24204 transcript:DRNTG_24204.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELSFYHMFIISIALILLTKRYLQIHFSKPLALPILGHLHLLQSPVHQCLTKLTQKHGHILHLRFGSRPVLLISSPSAAKECFTNNDIIFANRPLMLVSKHFGYNNTSVGAVPYGQHWRELRRFMAIHALSPSRLPSFSSEVHSLVLKLYSGAGEGYKYFKKAEVRDMMFELMMNVILGLIAGKNYYGEGCGDPEEGKRFRKVVEEVFLLSGASTLEDFIPVVRLLRIGGAEKRMERVGKELDEFYQEIIEDRRRVGKWKEYVDGHDQEKKGNIIDVMLAMQEKDTNNYSDVSIKGMMTSLLVAGTETTAGTMEWVMALLLNNPNALKKAKAEIKEQVGHSHLIKDSDISKLHYLDNVIKETLRLFPAGPLLVAHESSQDCTVLGSHIPKGTMLLVNAYAIQRDNQLWDNPLEFKPERFDSADHVLHGDEGYKYIPFGTGRRRCPGENLAWKVMGLTLGALIQCFEWERVGQELVDLSEGMGLSMPMAKPLQAMYKPCMDMHAVFSQL >DRNTG_24204.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22508229:22510794:1 gene:DRNTG_24204 transcript:DRNTG_24204.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSFYHMFIISIALILLTKRYLQIHFSKPLALPILGHLHLLQSPVHQCLTKLTQKHGHILHLRFGSRPVLLISSPSAAKECFTNNDIIFANRPLMLVSKHFGYNNTSVGAVPYGQHWRELRRFMAIHALSPSRLPSFSSEVHSLVLKLYSGAGEGYKYFKKAEVRDMMFELMMNVILGLIAGKNYYGEGCGDPEEGKRFRKVVEEVFLLSGASTLEDFIPVVRLLRIGGAEKRMERVGKELDEFYQEIIEDRRRVGKWKEYVDGHDQEKKGNIIDVMLAMQEKDTNNYSDVSIKGMMTSLLVAGTETTAGTMEWVMALLLNNPNALKKAKAEIKEQVGHSHLIKDSDISKLHYLDNVIKETLRLFPAGPLLVAHESSQDCTVLGSHIPKGTMLLVNAYAIQRDNQLWDNPLEFKPERFDSADHVLHGDEGYKYIPFGTGRRRCPGENLAWKVMGLTLGALIQCFEWERVGQELVDLSEGMGLSMPMAKPLQAMYKPCMDMHAVFSQL >DRNTG_06079.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8411925:8412734:1 gene:DRNTG_06079 transcript:DRNTG_06079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIKAEKQNAMRRYRRMRTIGRTIRSLEVCAAMMIILWTSPRLPNAVRVSGEVLRAAATALLRPRFVFLLGNAIVLVLFVKSGNISGSPPPSSDLYDEFLETRGCPGRGCFSGGGGGSGSGGGGGGGGVRREEGGGR >DRNTG_32562.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19022409:19022897:-1 gene:DRNTG_32562 transcript:DRNTG_32562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQVRWKASTDDAIGAASLVVYKLPKKRSMKIYPNQASPFHSPSPKRVILPPLNWWKSAPRNPPKAFSKHLPPFTLEIQSNMYPVRSIRSPCGRMEPVKLTVVSPRKVSGVVKFKQTSWVPSGRMDPVKLTAECRQHE >DRNTG_34772.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3064008:3067607:1 gene:DRNTG_34772 transcript:DRNTG_34772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDDMKMERGKGTMLVGVSRSRMKLWIIRVATTVLLWTCFVQLTAIGEIWSPRVLKRLPSCSTPSDPPRSVKALSSSVLIFSKVSPPPKRKYNNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKTSFWADPSEFQDIFDVDHFITSLRDEVRILKELPPRLKRRVDLGMVHSMPPVSWSDFSYYRHQILPLIRKHKVLHLNKTDSRLANNGLHPEIQKLRCRVNFNALRFTSQIEELGKRVIRILRQNGPFLVLHLRYEMDMLAFSGCTQGCTEEEAGELTRMRYAYPWWKEKVIDSDLKRKDGLCPLTPEETALTLRALDIDRNIQIYIAAGEIYGGKRRLASLSASFPNLVRKETLLESSDLQFFQNHSSQMAALDYLVSLESDIFVPTYDGNMARVVEGHRRYLGYRKSIQLDRKLLIELIDKYNNGSLRWDEFSLAVKTSHANQTGMPTKRTVIPDKPKEEDYFYSNPQECLNLPDQQLWTS >DRNTG_19943.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:19018689:19021374:1 gene:DRNTG_19943 transcript:DRNTG_19943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPARLLVLCVVVCCLLALHHVYQAKAGDDSDGASSSKAVDTRGMRFVNSRQKEAYIVLQTWKSTAIYSDPNNFTANWVGPKVCDYTGVYCAPSPTNPSLTVVAGIDLNHADIAGYLPPELGQLSDLALLHLNSNRFCGILPTTFRRLKLLHELDLSNNRLVGRFPKVVLSLPSLRYLDLRFNEFEGAIPPALFDRPLDAIFLNSNRLRHGIPANLGNSPASVVVLAHNALGGCIPSSIGRMAATINEIILLDDNLTGCIPPEVGQLHNLTVFDVSFNHLRGPIPDTFSQMSSLEQLDVAHNQLTGAIPAGVCSLPKLENFTYSYNYFTQVPPQCPARSAGTGRMMDGQQNCIPGLPNQRSPSECSSDAARPFDCSKSKCSREGGGRMPSPALPMAPPPPQQSKGGTSRRRSNPPPSPVGNRPKNNIKPNYPPPPPVSRSSPSTRSHSPPPPSQTPPYLPPSLVPPPPPSSSLLPPPQHLHLRRPIPITLLHHRASLITPQLLHPQRPIPKTLLHHRASLSTPQHFHPRRPIPIYLLHHRASHSIPQHLPLHQATLNTPRLLRRLPITRHSHRHPRTTFHHRLQHQWRLLHRRR >DRNTG_29974.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7857709:7858171:1 gene:DRNTG_29974 transcript:DRNTG_29974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMIRRVRSGVYALVTLAPEIAEGEGDDAEASQPTPEPQSTPMET >DRNTG_05765.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3482780:3582234:1 gene:DRNTG_05765 transcript:DRNTG_05765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTYSVDPIGVRVLGRDKLVVACHRRLYLYTVFFSHTTRKGKSKVYTMAWEGNDWTKVEAIAVCHLDTSKWNPKHLAFQVRLHARGQYNVDWCSPRVSSVAPRVRSPSRARMAYSRPWCSEVGMLHRMLFSWLQTHRSTLVAMTWLLMAASMTVLKTYRHILHPTHAE >DRNTG_31626.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:793073:794485:1 gene:DRNTG_31626 transcript:DRNTG_31626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLLLSNLTRILCNLRKDLSFESASCWISSLSMSTARLSTIPGRNRRERDPSEDEFLRSLNFGDDEDDQKHENLHEKRPLRGVKRPELSHGERRSVGAAEDFSDKEFGKPDRIPRSSFNQRSDRVFRGEKAGEFGMNSEAPRRRRSGNESKFDGRLNDLNSKDERRDRSGTSLFEKLNLGKGSGGVEKKEGPSAEKPKTESLESETSVQDADEIFKKMKETGLIPNAVAMLDGLCKDGLIQDAMKLFGLMREKGTIPEVVIYTAVIEGFCKAGKFDDAKRVFRKMQKNGIVPNAFSYGVIIRGLCNGMSLDDAVEFCDEMLDAGFAPNPATFIGLIDALCKEKGMAEAEGLVKRLRQEKGFPADDKAIREHMDKKGPFSPMVWEAIFGKKGSQKFF >DRNTG_22028.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2504476:2510177:-1 gene:DRNTG_22028 transcript:DRNTG_22028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQTDGEPTGEAQSAEQRLGRWSIFSYGAGHMLNDITAACWFTYLLLFLTEIGLQPRDAAVVMLSGQIADALATIFAGELIDRFGNFKLWHFGGSILVMFSFSSVFGGCLLCSITGSNSLTLKTIGYSISAAIFNVGFAFTQVSHMSMVNCISLDPSNRVALASCRNAFTMVANLSLYAIALAVFTVYNVKTPSGIETQYRWIACLSILMGCCFVAVFLIGTKEPELKQKIHGKTHSRISWTHWFKKALYYQVAIVYTLTRLTTNISQAFIAMYVINDLQMIQSSKALIPAIIYICSFMVSVSLQEMSWTGWRLKSFFTAGAIFWIFSGAAMFVLPSMMHNWMYLFSVTIGIANALMMVTGISMQSVLVGHDLNGCAFVSGSLSFLDKLSCGIALFVLESYPGSSDKKGLGAGYDLSITRYGTALIPASCALISAVVSYTMELEMKNSKSLVEPLLV >DRNTG_31027.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30496119:30496873:-1 gene:DRNTG_31027 transcript:DRNTG_31027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLANTRLIIFRAISRRWYSGRAVEEKAGMVKKSKKESVGGFSSQANTSSWVPDPVTGYYRPGNKGPEVDAAELRKAMLSHGSS >DRNTG_05390.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000241.1:3837:6463:-1 gene:DRNTG_05390 transcript:DRNTG_05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIIFLIDVITIQLEQDDLDLDEDTIEKMEEARLAYAAALAAAKEDPGEESLISLAEARIKLQAFVL >DRNTG_05940.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5736929:5740583:1 gene:DRNTG_05940 transcript:DRNTG_05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP31 [Source:Projected from Arabidopsis thaliana (AT5G45360) UniProtKB/Swiss-Prot;Acc:Q9FHK0] MADTDDEDEVLAHFLESEILSDAPLSQDDGEKEAVESIESRRPLKKPRVEETEGRSSKAPPSPIESGIFGKIPPELYHHILKFLSSEDLIACSRVCRFMNFVASDECLWRRLYCMRWGLSGRAGKLRSCAWKKLYIQRDQEDMIEFVRNTPSEFREYYIHMQAAKRSQAPLPSQVNDDLVILDKTVGDQVSIWKSTRGFSDEAVVDHLCSGNTCSYSQIGDVFLCDKTGRMHVCDDTCREIVLDQASGLLVCTISGHCFDRWLSPEEESSTSDGEQQQAGVTDEAEPFLGSGRFARAYLLGYNCADEKELKRALRFC >DRNTG_21061.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4860205:4860554:1 gene:DRNTG_21061 transcript:DRNTG_21061.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVVKLCLAPCCSCFQSPVVREDMSYVFCTKEKLDRLESAMTDLRAKKDDIERELNLPQHRGKQPTNELQRWVHKVKLNS >DRNTG_21061.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4860205:4861443:1 gene:DRNTG_21061 transcript:DRNTG_21061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVVKLCLAPCCSCFQSPVVREDMSYVFCTKEKLDRLESAMTDLRAKKDDIERELNLPQHRGKQPTNELQRWVHKVGETDEKVTQLLDEYSKRSCVTGPCFLNCISRYSIS >DRNTG_13829.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:75664:76415:1 gene:DRNTG_13829 transcript:DRNTG_13829.2 gene_biotype:protein_coding transcript_biotype:protein_coding EKQLNRKPTYGELFNRTHKREKGQGDFVDHKSKNVCESYTSSMSQKYGPDEANHPEFDPEVWCDAIGGQGTTRTHFYGFGITPRGKNFISTSINAGDASYSACSRPNH >DRNTG_13829.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:75524:76415:1 gene:DRNTG_13829 transcript:DRNTG_13829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKDGSITKHTGGSIPFMVHAERMEKQLNRKPTYGELFNRTHKREKGQGDFVDHKSKNVCESYTSSMSQKYGPDEANHPEFDPEVWCDAIGGQGTTRTHFYGFGITPRGKNFISTSINAGDASYSACSRPNH >DRNTG_12348.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20803365:20804528:-1 gene:DRNTG_12348 transcript:DRNTG_12348.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRGRGRPGGDGGRRRPRRPGAGSGGIGARDRADAAAAAVGARIRSAFPPCFRGADSEWIEDVWGGDRGWSYPAE >DRNTG_12348.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20799751:20801003:-1 gene:DRNTG_12348 transcript:DRNTG_12348.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGLRRSGRTSGNTINNDTNDVVAMGHAAMKKRLRQRPTRNAGYTVQNLSDTDAGSNSESE >DRNTG_12348.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20799751:20804528:-1 gene:DRNTG_12348 transcript:DRNTG_12348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRGRGRPGGDGGRRRPRRPGAGSGGIGARDRADAAAAAVGARIRSAFPPCFRGADSEWIEDVWGGDRGWSYPAE >DRNTG_04397.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1134981:1136354:-1 gene:DRNTG_04397 transcript:DRNTG_04397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSLAVAVFLAVAAPTIAVNYIVGDSQGWSTGVDYSTWVSGKTFNKGDVLEFQYTPLHSVTEVKESDYNSCSTSNAINSYTDMDTKITLTGSGTRYFVCGTAGHCSQGMKLAVTVSGSTSPSTPSSPGGSSGSPSTPSSPSNNPSSPTTPSPATTTKNGAAGVGGRGVLISVMLAGCGIALLG >DRNTG_04397.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1135101:1136354:-1 gene:DRNTG_04397 transcript:DRNTG_04397.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSLAVAVFLAVAAPTIAVNYIVGDSQGWSTGVDYSTWVSGKTFNKGDVLEFQYTPLHSVTEVKESDYNSCSTSNAINSYTDMDTKITLTGSGTRYFVCGTAGHCSQGMKLAVTVSGSTSPSTPSSPGGSSGSPSTPSSPSNNPSSPTTPSPATTTKNGAAGVGGRGVLISVMLAGCGIALLG >DRNTG_04397.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1135101:1136171:-1 gene:DRNTG_04397 transcript:DRNTG_04397.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSLAVAVFLAVAAPTIAVNYIVGDSQGWSTGVDYSTWVSGKTFNKGDVLEFQYTPLHSVTEVKESDYNSCSTSNAINSYTDMDTKITLTGSGTRYFVCGTAGHCSQGMKLAVTVSGSTSPSTPSSPGGSSGSPSTPSSPSNNPSSPTTPSPATTTKNGAAGVGGRGVLISVMLAGCGIALLG >DRNTG_04397.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1134981:1136171:-1 gene:DRNTG_04397 transcript:DRNTG_04397.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSLAVAVFLAVAAPTIAVNYIVGDSQGWSTGVDYSTWVSGKTFNKGDVLEFQYTPLHSVTEVKESDYNSCSTSNAINSYTDMDTKITLTGSGTRYFVCGTAGHCSQGMKLAVTVSGSTSPSTPSSPGGSSGSPSTPSSPSNNPSSPTTPSPATTTKNGAAGVGGRGVLISVMLAGCGIALLG >DRNTG_04397.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1135053:1136171:-1 gene:DRNTG_04397 transcript:DRNTG_04397.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSLAVAVFLAVAAPTIAVNYIVGDSQGWSTGVDYSTWVSGKTFNKGDVLEFQYTPLHSVTEVKESDYNSCSTSNAINSYTDMDTKITLTGSGTRYFVCGTAGHCSQGMKLAVTVSGSTSPSTPSSPGGSSGSPSTPSSPSNNPSSPTTPSPATTTKNGAAGVGGRGVLISVMLAGCGIALLG >DRNTG_04345.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31130288:31133544:1 gene:DRNTG_04345 transcript:DRNTG_04345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFLLHGASLRLTTLALFGNRITGKIPDELGSITTLQYITLENNLMQGPIPKSLGKLINLEKLHLPANNFTGELPESLGNLKNMTDFRIDGNPITGKIPSFIGNWAQLQRLDMQGTDLEGPFPSIFSTLENLTELRVSDLKRGDGKFPPLQNMKGMKELVLRNLSISGELPEYIGNMTNLKLLDLSFNNFTGPIPDEFSSLTNAHGFYVPYQ >DRNTG_32492.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20972211:20976549:-1 gene:DRNTG_32492 transcript:DRNTG_32492.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIEISSPDTPRNHTNATEQHRVRVTKTKQTIPTLQTQWKYDLKVPKTSSEHHVFNLTISLLSCVPCLTEL >DRNTG_16752.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11007974:11192413:1 gene:DRNTG_16752 transcript:DRNTG_16752.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLRPPTEQQVRHLLQKRESTSDNHASARQRVEWPRADPFGYIIQVESGVTLTAV >DRNTG_16752.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11007974:11008376:1 gene:DRNTG_16752 transcript:DRNTG_16752.3 gene_biotype:protein_coding transcript_biotype:protein_coding LLRPPTEQQVRHLLQKRESTSDNHASARQRVEWPRADPFGYIIQVESGVTLTAV >DRNTG_10798.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17449577:17450251:-1 gene:DRNTG_10798 transcript:DRNTG_10798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTAPTEWHGGFWGRTFCANDTITGKFTCATGDCASGNISCDGAGGIPPASLIEIKLNGFQGTDFFDISLVDGFNLPVSITPTGVSSCVSSSCAFDINSICPEILKVKDGNGKVVACMSGCLKFNTDKMCCRGKYDSPQTCKPSSYAKMFKKACPQAYSYAYDDTTSTFTCTGANYVITFCP >DRNTG_33372.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20893714:20894301:1 gene:DRNTG_33372 transcript:DRNTG_33372.1 gene_biotype:protein_coding transcript_biotype:protein_coding KIWKSWENEAKTAEYQFSHDPNRFRLARNTSFIRRHLGFWSESTILIWVVCFFRQFVSSVPKIDFLTLRHGFIMAHLAPQSSAKFDFQ >DRNTG_30215.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:59601:61808:-1 gene:DRNTG_30215 transcript:DRNTG_30215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEDDVISSLLSVPGELNEKPDQLYKEIATIPEDETVSAMLSASNNRSDKKDNEPSPEDAAWADSCLAVDPNMSEDSWGALREALLETLNADSTSLEQTGMDYFDNNADDLEHVPDNDEVETSVVHSKEIHVSSNMIKGDTGGDEEGNPNVEEFVESRETIFKVWDLEIPDEEDEDELIQQLNRLLSGSKLGPSPSNSSNPTAVLDEVKVDELVTSISDLSLQQSSD >DRNTG_30215.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:59601:61808:-1 gene:DRNTG_30215 transcript:DRNTG_30215.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEDDVISSLLSVPGELNEKPDQLYKEIATIPEDETVSAMLSASNNRSDKKDNEPSPEDAAWADSCLAVDPNMSEDSWGALREALLETLNADSTSLEQTGMDYFDNNADDLEHVPDNDEVETSVVHSKEIHVSSNMIKGDTGGDEEGNPNVEEFVESRETIFKVWDLEIPDEEDEDELIQQLNRLLSGSKLGPSPSNSSNPTAVLDEVKVDELVTSISDLSLQQSSD >DRNTG_04639.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19111615:19112508:1 gene:DRNTG_04639 transcript:DRNTG_04639.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTIREPAFRAFTLEVLPASFEFDQPGVWKVTNEIPKYSDSASGIQDFVWLWAFTPTGTRAPLEAQKTFWQSVPISTPPRAHDRIERLKSVVGDLQIKIVEF >DRNTG_13241.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2936377:2939224:1 gene:DRNTG_13241 transcript:DRNTG_13241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTQNTKTSPFPTEHWWSKDTVAVITGANKGIGFSLAKRLAQLGLTVVLTSRDAIKGQEAVESLRAQNLHVEYSQLDVSNLQSISSFVFWLSNKFGGLDILINNAAVSFNEVDTNSVEYAETVIKTNFYGPKLLIQALLPLFRRSTTASRILNISSQLGLLNKVKNPKLREMLRNEENLCEGMIDQMVANFLQSVKNGTWKEEGWPEIWTDYSVSKLALNAHSSILARLHGNDHFKVNCFCPGYTRTNMTGGRGSRTADEAAELAVNIILLPRDRLPNGKFFKLSTPLLFSKL >DRNTG_21676.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:12452179:12452830:1 gene:DRNTG_21676 transcript:DRNTG_21676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSPNPSKHTAPRSSRCRPPKHTL >DRNTG_31640.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:851618:856768:1 gene:DRNTG_31640 transcript:DRNTG_31640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPHIKYMHLPDVSLGYIFMLANIGLWIVGTTVASNIVSGLVLITKSCGNDVSRLTFWLSNVIILREVVSQTFSCLSQLNTVLKLVGTNDGAKEKRKKLSPLKDKDSNFSKQTKRPDSMQPADDRQEAISMISALEKIEIWLFSRAVESVWSQSFDLLIPVEGLFTTNKFQGSLETALDDQHERNSYINIWKSVFHDAFARICPVQAGGHKCGCLPVLTKLIMKECICRLDVAMFNAILQEDSVKVPADSVSDPIGVKFLPIPAGNLSFGFGVQLKSSIGIWSRILTDLCRIDVEADKQNDNDDDKRTDVKSKPFCLLDELSDLLMLPKDNLLNDATRKEICKSLGPSAVKHVVNNFTPDEFLPDPVPNGVLEELNRECLLKSLKKQRIPFPRPAAEVVYSAPAGADVAEAVAELERIGSLVQCNGYASDEDMYDDLDSSFSSIILENPVTLHSGFETQNQYYKGVNSRYKLLHKVWLK >DRNTG_31640.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:853601:856768:1 gene:DRNTG_31640 transcript:DRNTG_31640.4 gene_biotype:protein_coding transcript_biotype:protein_coding RLTFWLSNVIILREVVSQTFSCLSQLNTVLKLVGTNDGAKEKRKKLSPLKDKDSNFSKQTKRPDSMQPADDRQEAISMISALEKIEIWLFSRAVESVWSQSFDLLIPVEGLFTTNKFQGSLETALDDQHERNSYINIWKSVFHDAFARICPVQAGGHKCGCLPVLTKLIMKECICRLDVAMFNAILQEDSVKVPADSVSDPIGVKFLPIPAGNLSFGFGVQLKSSIGIWSRILTDLCRIDVEADKQNDNDDDKRTDVKSKPFCLLDELSDLLMLPKDNLLNDATRKEICKSLGPSAVKHVVNNFTPDEFLPDPVPNGVLEELNRECLLKSLKKQRIPFPRPAAEVVYSAPAGADVAEAVAELERIGSLVQCNGYASDEDMYDDLDSSFSSIILENPVTLHSGFETQNQYYKGVNSRYKLLHKVWLK >DRNTG_31640.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:851618:856768:1 gene:DRNTG_31640 transcript:DRNTG_31640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPHIKYMHLPDVSLGYIFMLANIGLWIVGTTVASNIVSGLVLITKSCGNDVSRLTFWLSNVIILREVVSQTFSCLSQLNTVLKLVGTNDGAKEKRKKLSPLKDKDSNFSKQTKRPDSMQPADDRQEAISMISALEKIEIWLFSRAVESVWSQSFDLLIPVEGLFTTNKFQGSLETALDDQHERNSYINIWKSVFHDAFARICPVQAGGHKCGCLPVLTKLIMKECICRLDVAMFNAILQEDSVKVPADSVSDPIGVKFLPIPAGNLSFGFGVQLKSSIGIWSRILTDLCRIDVEADKQNDNDDDKRTDVKSKPFCLLDELSDLLMLPKDNLLNDATRKEICKSLGPSAVKHVVNNFTPDEFLPDPVPNGVLEELNRECLLKSLKKQRIPFPRPAAEVVYSAPAGADVAEAVAELERIGSLVQCNGYASDEDMYDDLDSSFSSIILENPVTLHSGFETQNQYYKGVNSRYKLLHKVWLK >DRNTG_31640.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:851618:856768:1 gene:DRNTG_31640 transcript:DRNTG_31640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPHIKYMHLPDVSLGYIFMLANIGLWIVGTTVASNIVSGLVLITKSCGNDVSRLTFWLSNVIILREVVSQTFSCLSQLNTVLKLVGTNDGAKEKRKKLSPLKDKDSNFSKQTKRPDSMQPADDRQEAISMISALEKIEIWLFSRAVESVWSQSFDLLIPVEGLFTTNKFQGSLETALDDQHERNSYINIWKSVFHDAFARICPVQAGGHKCGCLPVLTKLIMKECICRLDVAMFNAILQEDSVKVPADSVSDPIGVKFLPIPAGNLSFGFGVQLKSSIGIWSRILTDLCRIDVEADKQNDNDDDKRTDVKSKPFCLLDELSDLLMLPKDNLLNDATRKEICKSLGPSAVKHVVNNFTPDEFLPDPVPNGVLEELNRECLLKSLKKQRIPFPRPAAEVVYSAPAGADVAEAVAELERIGSLVQCNGYASDEDMYDDLDSSFSSIILENPVTLHSGFETQNQYYKGVNSRYKLLHKVWLK >DRNTG_11992.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6619218:6619813:1 gene:DRNTG_11992 transcript:DRNTG_11992.1 gene_biotype:protein_coding transcript_biotype:protein_coding KAKISPCKARYSVELHLSFLRLQGQANDFKIQYSSVV >DRNTG_19458.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000998.1:342033:346442:1 gene:DRNTG_19458 transcript:DRNTG_19458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSYLNHEKGNDNFWDGWKNLWQLNVAPKVKHFIWLLFHDAVKTNDYLYRLNLGPQTLCTFCNLNTETVEHLFLNCYKTQEIWNITCSTVSKPINLSDGISSKLWLKQELTGNGRFIQSIIASTVWFIWKARCNKIFNNVQLDSYKVSCWATGHVREFSYAPSPHSGRNFILNNYTFADSPILLTATAFNANNSMDGLGFIASDYNANMLRSGCCWCPANSYLEAATKAICFSLQDVIIMGLHIKSILSPCADLVNAVKTDCCLDIWRLHPQIIIIKDCLLRRLILNWMSIR >DRNTG_19458.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000998.1:342033:346442:1 gene:DRNTG_19458 transcript:DRNTG_19458.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSYLNHEKGNDNFWDGWKNLWQLNVAPKVKHFIWLLFHDAVKTNDYLYRLNLGPQTLCTFCNLNTETVEHLFLNCYKTQEIWNITCSTVSKPINLSDGISSKLWLKQELTGNGRFIQSIIASTVWFIWKARCNKIFNNVQLDSYKVSCWATGHVREFSYAPSPHSGRNFILNNYTFADSPILLTATAFNANNSMDGLGFIASDYNANMLRSGCCWCPANSYLEAATKAICFSLQDVIIMGLHIKSILSPCADLVNAVKTDCCLDIWRLHPQIIIIKDCLLRRLILNWMSIR >DRNTG_19458.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000998.1:342033:346442:1 gene:DRNTG_19458 transcript:DRNTG_19458.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSYLNHEKGNDNFWDGWKNLWQLNVAPKVKHFIWLLFHDAVKTNDYLYRLNLGPQTLCTFCNLNTETVEHLFLNCYKTQEIWNITCSTVSKPINLSDGISSKLWLKQELTGNGRFIQSIIASTVWFIWKARCNKIFNNVQLDSYKVSCWATGHVREFSYAPSPHSGRNFILNNYTFADSPILLTATAFNANNSMDGLGFIASDYNANMLRSGCCWCPANSYLEAATKAICFSLQDVIIMGLHIKSILSPCADLVNAVKTDCCLDIWRLHPQIIIIKDCLLRRLILNWMSIR >DRNTG_08385.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4515123:4517408:1 gene:DRNTG_08385 transcript:DRNTG_08385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEFPDDFRCPISLEVMSDPVILTSGHTFDRSSIQRWLDSGNRTCPVTKLPLPPHPRLIPNHALRNLISNFAPDPPSKLPSCHSAADPQLLSFPSDAATLSAAFRRAKLDAAFRRYLADSGAASSVLLRHAASPDPPDLQELSLRVLLLLSLDGDHARVGLAADGAVDLLLPALRCGGSVAALAATTLTSLAVVDVNKCSIGAHPSAIPSLVAVLRDGKGRERREAATALFVLCSLPENRKRAAISGAVPALASLASLGSDRAVEVLGMLVKCSEGREAMKRIKGFVEFIIGIIKGGSLRATEHALVILNFLCCDDKNIILEVRKQGLVEICINLMNEETGKIRENAVEFVRTMEM >DRNTG_00918.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21504768:21506421:1 gene:DRNTG_00918 transcript:DRNTG_00918.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKLGPVFMLQLGSRRTLVISSWDVVKECFTINDKAFSSRPITAATKYICYNGAMVGFAPYGSYWRSIRKIATTELLSNTRLDKLKHVMLAEIDTCIKELHNLCDNNSNNNRVDMKKWFGDLNFNVVLQMVVGKRFFGSGGGSDEAWKFRKAAHQWFHLIFVSVPSDMFPWLEWMDLGGYVKAMKAAAKEMDCVMVKLLEEHRERRRRTVSGEPSGQSDFMDVMLSIMEDGFQFENQLDSDTVIKANSLALILGGTDTTSTSMTKVLGYLLKNQDALKKVQTELDEQVGKDRVVNESDIKNLIYLQAVIKESSRLSPASEFLVPRETTQNCTVAGFQIPAGTQVMIHAWKLHRDPLVWPDPFEFRPERLLESDAGIKIDVKGHNFELVPFGAGRRACPGISMGLHVMHLTLARLMQDFEFRAVGDVPDELFEGLFSLPSHKAQLMVDITPRRCSL >DRNTG_19740.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:2068300:2068779:-1 gene:DRNTG_19740 transcript:DRNTG_19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLKAVIKEILRLHPPMPMLLPRESIEGCEIEGYEIPRKTRVIINYWAIARDTRVWDSPEEFKPDKFISNDIDFKGQNYEFIPFGVSRRICLGMHFAVSTVELALANLIYRFDWKFPQIVDGEEINMTEVPGLTMKMKQNLYLIPNSWM >DRNTG_09663.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:26318:27382:-1 gene:DRNTG_09663 transcript:DRNTG_09663.1 gene_biotype:protein_coding transcript_biotype:protein_coding KCSTLSLLFSFNSVLFSRFRSLFSQVHRAILHNGEKVVLKIQRPSLCYAHKW >DRNTG_00433.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21897704:21899549:1 gene:DRNTG_00433 transcript:DRNTG_00433.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cysteine protease RD19D [Source:Projected from Arabidopsis thaliana (AT3G54940) UniProtKB/Swiss-Prot;Acc:Q8VYS0] MPHLAVEGEFQAFLKRFGKEYGSAKEYARRLRVFARNIVRAAEHQALDPTAVHGVTPFSDLTEDEFERRFTGLAMESDKGRISAGQHIAPEMDTRRLPVSFDWREKGAVTDVKMQGVCGSCWAFSTTGVVEGANFVATGKLVSLSEQQLVDCDHTCDTVEKDECDNGCRGGLMTNAYKYLMQVGGIRGGEIVSLHGRTR >DRNTG_29226.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3264173:3266830:-1 gene:DRNTG_29226 transcript:DRNTG_29226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLFRRGGGGGGGADGVAASLMKIAARHFSRKRAENVRKINPKVPREEAKVIANDLYQIIKDHGPLTVSNTWNLVKEAGINGVNSKTHMKIMLKWMRGREMLKLFCNHHGSSKKFLVSTLPEEPQPVLQESSSVLPSDTQKPSLQQKLHKKKHIKEQAL >DRNTG_29319.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29678835:29680016:-1 gene:DRNTG_29319 transcript:DRNTG_29319.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEFNVPPVVFPASSSTPAAVPHQRRATPAPSAPPFQPPRPATSTALPFMSFDIGAAPAPSSFSAPSFPSAASGSFSSFDEEPPLLEELGINTRQIWLKTTSILNPIRVNPSIHEDADLSGPFIFLMAFGLFQLLAGKFHFGIILGWVTVASLFLYVVFNMLAGRNGNLDLYRCLSLVGYCMLPMVIFSAVSLFVPHDGVVIFAMAAMFVFWSTRICARLLVELASCGEEHRGLIAYACWLVYLLFSLLVIF >DRNTG_03036.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20594559:20595540:1 gene:DRNTG_03036 transcript:DRNTG_03036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPMKKLISILFLIISTICFIRLFIITLSTSSAFHQNPQLLPFKTTIDEESLSFKEYNLLSNLIARRAPCKLLIFGLNAQLFNLSLLNKGGKTVFLEDNADKLKNKTWKANAVHVYKVQYRGNANEAFELLKFAREHNACNLEVKLHIDSCKLAITELPRKVYGKKWDVVVIDGPRGDKAEMPGRMRVIYTVGVLARAGNATTDVVVHDVNRMIEKWYSWEFLCQENLVSSNGKLWHFQIKGGFNSGSFCHDTI >DRNTG_09156.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7023704:7024121:1 gene:DRNTG_09156 transcript:DRNTG_09156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQHQSHPTPSILSHTSSTCTGTPLLIWHHRRRSTIFTTFRSGHGEEAARSLRGPKAKSNFGYSVESVPACRSLSYGRIGTNHTKKKIDGEEMMVMMVTMNKKKPFSFNLSLPVYLF >DRNTG_04003.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25829041:25829718:1 gene:DRNTG_04003 transcript:DRNTG_04003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEVSHVRVKFITPDGYKTVCPIENDVYFQRMCHVHSIFKCAVVDLVVETDNVQLSNPTENEFFSLNSDSASAPVQPHGDPDGVGYLPSSSDHSEVLSLDIGQRFDVVEHFRDVLQNFAIKRNFDFKFIKNEKHRVTEFVPCGADSVILSHDSAE >DRNTG_17115.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000877.1:113546:114615:-1 gene:DRNTG_17115 transcript:DRNTG_17115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPREVRQGWVVKPIMKQRSQCGSQCTDFGENLAKVQTLRYESDSTSVPRVLCGHNRVNGLRDDPPLGLSCVCNGVKR >DRNTG_07319.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3306489:3310598:-1 gene:DRNTG_07319 transcript:DRNTG_07319.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVPNKKVIFKNYITGFVKETDMELITSDTIQLKLPEESPGMIVKNLYLSCDPYMRSRMSKHDEPSYVPDFVAGSVISGLGVSKVVYSGHPDFSAGDLVWGMIGWEEYSVITNPESFFKIKDTDFPLSYYTGILGMPGLTAYIGFYEICAPKKGEYVFVSAASGAVGQLVGQFAKLMGCYVVGSAGSDEKVFMVKSFFFKVDLLFHSCQLKMSTIQTRRVVLMRC >DRNTG_07319.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3306489:3310598:-1 gene:DRNTG_07319 transcript:DRNTG_07319.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVPNKKVIFKNYITGFVKETDMELITSDTIQLKLPEESPGMIVKNLYLSCDPYMRSRMSKHDEPSYVPDFVAGSVISGLGVSKVVYSGHPDFSAGDLVWGMIGWEEYSVITNPESFFKIKDTDFPLSYYTGILGMPGLTAYIGFYEICAPKKGEYVFVSAASGAVGQLVGQFAKLMGCYVVGSAGSDEKVNLLKSKFDFDEAFNYKKEPDLNATLKRHFPEGIDIYFDNVGGAMLNAVLLNMRSHGRISACGMISQYNLEKPEGVYNLVFIIMKRIRFEGFVILDYFPRYYEFVEKIISDIRQGKIKYVEDQVEGLENAPAALVGLYKGLNVGKQLVVIAHE >DRNTG_06761.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21577812:21580436:-1 gene:DRNTG_06761 transcript:DRNTG_06761.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLQGSDLGKKEGSVAELLPGEGDKDSDLQASNIPKIEKSASEPIIVPIVLKMAEFDHKALLEEWISTRTFGDKCILQDREKLTSNLKTIQDYLCSFEAQGLTVVNISATTFTQTLDSLHNYLLQCIEQGVSAASSKNRKQKEEE >DRNTG_21488.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10303446:10309281:1 gene:DRNTG_21488 transcript:DRNTG_21488.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEGMKKSGIMVFCDVCPGEPKQFVVEYTLGGQKFEVIVDDTQELLIPQDRHRL >DRNTG_21488.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10303446:10309281:1 gene:DRNTG_21488 transcript:DRNTG_21488.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEGMKKSGIMVFCDVCPGEPKQFVVEYTLGGQKFEVIVDDTQELLIPQDRHRL >DRNTG_21488.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10303446:10309281:1 gene:DRNTG_21488 transcript:DRNTG_21488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEGMKKSGIMVFCDVCPGEPKQFVVEYTLGGQKFEVIVDDTQELLIPQDRHRL >DRNTG_21488.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10303446:10309281:1 gene:DRNTG_21488 transcript:DRNTG_21488.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEGMKKSGIMVFCDVCPGEPKQFVVEYTLGGQKFEVLLLIFLCI >DRNTG_21488.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10303446:10309281:1 gene:DRNTG_21488 transcript:DRNTG_21488.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEGMKKSGIMVFCDVCPGEPKQFVVEYTLGGQKFEVLLLIFLCI >DRNTG_32576.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25164447:25173887:1 gene:DRNTG_32576 transcript:DRNTG_32576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKLYDGSKHFGDDENENHGNILENTSLVVNVKCS >DRNTG_09054.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31413560:31416030:-1 gene:DRNTG_09054 transcript:DRNTG_09054.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDCYTKSALFNGRTAPDFPGSLYLKLPLNFDTSDMYVLQVHEPICNATGATVAAPKYVEITSSRTKWIYFYWFISAFGLIEIFFIVFGWWFASKKETKPTSIEAGYKLIARQFKRFTYKELKKATGNFKNKVEREGSRAVYSGVLEDERVVAVEKLDDIIPNEAFWAEVSVIARINHKNLVRIWGFCSERSHRFLVSEFMENGSLDKHLFGGGHSSAGFLGWKERFQIALGVAKGLAYLHHECLEWVIHCDVKPEKILLDCDFEPKITDFGLAKLADRGGAHSKISKVRGSRGYMAPEWALNLPITAKVDVYSYGVVLLELLMGSRASDWKMEGEDREEEQVQEEELELELFGLVRMLKGKLGGTEDSWIDDFVDARLIGQFNHRKATVMVEIAISCLEENRSNRPTMDSVAQMLISLDDESTSHGEHSYSVMYPE >DRNTG_30685.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1846135:1846818:-1 gene:DRNTG_30685 transcript:DRNTG_30685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSNIPDHRDFSSMVKMRRLKIRRAKTRVDMKEMELENLKLYLENKCIMEENKRLRERALFLRRENRALLSHISSSSREATALHNP >DRNTG_32955.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30869095:30873371:1 gene:DRNTG_32955 transcript:DRNTG_32955.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSLFDVVDEASVSAKISRTNGRSPAAAAVSSTVNRWSGRPYSQRYFEILEKRRTLPVWQQKEEFLHKLKDNQVLVLVGETGSGKTTQIPQFVLECDDLSKRPIVACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSGPKTILKYLTDGMLLREAMADPLLERYKVIILDEAHERTLATDVLFGLLKEVLKNREDLKLVVMSATLEAEKFQGYFNGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPPGDILVFLTGEEEIEDACRKITKEINNLGDQVGPVKAVPLYSTLPPAMQQKIFEAAPAPLTPGGPPGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPKDPCGVFACFANIKGKCPSEVGACWSNTTWKML >DRNTG_32955.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30869095:30873371:1 gene:DRNTG_32955 transcript:DRNTG_32955.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSLFDVVDEASVSAKISRTNGRSPAAAAVSSTVNRWSGRPYSQRYFEILEKRRTLPVWQQKEEFLHKLKDNQVLVLVGETGSGKTTQIPQFVLECDDLSKRPIVACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSGPKTILKYLTDGMLLREAMADPLLERYKVIILDEAHERTLATDVLFGLLKEVLKNREDLKLVVMSATLEAEKFQGYFNGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPPGDILVFLTGEEEIEDACRKITKEINNLGDQVGPVKAVPLYSTLPPAMQQKIFEAAPAPLTPGGPPGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPKDPCGVFACFANIKGKCPSEVGACWSNTTWKML >DRNTG_32955.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30869095:30873371:1 gene:DRNTG_32955 transcript:DRNTG_32955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSLFDVVDEASVSAKISRTNGRSPAAAAVSSTVNRWSGRPYSQRYFEILEKRRTLPVWQQKEEFLHKLKDNQVLVLVGETGSGKTTQIPQFVLECDDLSKRPIVACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSGPKTILKYLTDGMLLREAMADPLLERYKVIILDEAHERTLATDVLFGLLKEVLKNREDLKLVVMSATLEAEKFQGYFNGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPPGDILVFLTGEEEIEDACRKITKEINNLGDQVGPVKAVPLYSTLPPAMQQKIFEAAPAPLTPGGPPGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPKDPCGVFACFANIKGKCPSEVGACWSNTTWKML >DRNTG_18571.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000964.1:3140:9950:1 gene:DRNTG_18571 transcript:DRNTG_18571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPRFTNTWWPWGSSVSSSHNERMSNDASSSFRSPSFK >DRNTG_24548.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001308.1:55517:56581:1 gene:DRNTG_24548 transcript:DRNTG_24548.2 gene_biotype:protein_coding transcript_biotype:protein_coding ARRRRRLLHPKHRAQARRHREQRAGPELVPSLLGC >DRNTG_24548.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001308.1:55517:69140:1 gene:DRNTG_24548 transcript:DRNTG_24548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRDYPKGALWRLAVEGFNKLLLEDVKTIHPVYKMDQTTYRVQRARFWKEVADVYETFLVGSCGWAFPSDTLAADVLKADELIEINFLNVLGDKVLMAQMDAPTDILQRLVSTLDRCASRTGCLGLIPPNCSKFSLSCLQMLFSLCSYSSEDNWHSKRSVVSKVSILVLMKRCECILERFLTDENDLGGTPLPAVRIEETICVLQELARLIIHPDTASALQLKPSLRGALVDNEKPGGRALLFVLLPLFYKLHAARETRVRELVDGVAFDSPTQSWDCRKARFGA >DRNTG_06107.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20065206:20065739:1 gene:DRNTG_06107 transcript:DRNTG_06107.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKNTKNTQKYISLANNRNQHHEAGFSTMKSEQNIT >DRNTG_08693.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18001518:18004770:-1 gene:DRNTG_08693 transcript:DRNTG_08693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTPSRRVIQSCSFDLKDGELTINWEDVICPICLDFPHNGVLLQCSSYEKGCRPFICDTDGTHSNCLERFKCAYGMPNVAKCSSVNSGTSEQSIQAVEPSSEDPPTCPLCRGNVTGWVVIDEARVHLNMKKRCCEEKQCSYIGNYMELQKHIKLKHPHSRPSEVDPARQLDWENFQQSSEIVDVLSIIHSEVPRGVVLGDYVIEYGDVETSDEYEDAPPNKGNWWTACIMCQVFDKKTSRNRRRTRARANAGRSSRLSSSSSSDDVFRTSVEIENYRYDGMDDEFMGRVVGAAVSRGSEMHHRYRGRRSRIYYR >DRNTG_08693.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18001518:18004770:-1 gene:DRNTG_08693 transcript:DRNTG_08693.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTPSRRVIQSCSFDLKDGELTINWEDVICPICLDFPHNGVLLQCSSYEKGCRPFICDTDGTHSNCLERFKCAYGMPNVAKCSSVNSGTSEQSIQAVEPSSEDPPTCPLCRGNVTGWVVIDEARVHLNMKKRCCEEKQCSYIGNYMELQKHIKLKHPHSRPSEVDPARQLDWENFQQSSEIVDVLSIIHSEVPRGVVLGDYVIEYGDVETSDEYEDAPPNKGNWWTACIMCQVFDKKTSRNRRRTRARANAGRSSRLSSSSSSDDVFRTSVEIENYRYDGMDDEFMGRVVGAAVSRGSEMHHRYRGRRSRIYYR >DRNTG_03750.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17965190:17968296:1 gene:DRNTG_03750 transcript:DRNTG_03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTIMQKFWDSALALDPADEEFDTNSEMSALLQLEYAETGKSIYPPLGLGNSFSFKLEDQKGYVHRFNSGTENLGELVSTVTQRIGPAIDSETVQLLAYSFRKVLPLLSEDYHASFHSSGLLS >DRNTG_05594.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11237525:11237954:1 gene:DRNTG_05594 transcript:DRNTG_05594.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIVADSDVEIDTAASDHVDNSSKLEGCDET >DRNTG_11029.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:823010:825569:1 gene:DRNTG_11029 transcript:DRNTG_11029.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDNSSLPRMIIKLDIEKAYDTLSWNAILATLHRMKFPSIWISWINACLRSTTYSLLINKHPSRWINASRGLRQGDPISPYLFILTAQNLTAILNFALQHNMVPGFSHSLSKNFNHLMYADDLIVVTQASRKSARNIKLCLSFYQRLTGQRANSIKSEIYFPTRFNKRLQKSISAILQFKIATFPLKYLGILVAPKRLALSHFNNMLDKIKRTIALWNHSKLSAAGKTILINSSLLSTPLYYLSVYLVPDTILDGIAKYARFFFWSKSGNRKGMNSVSWTDTMLDKAEGGLSIRNLHFSKISLMARNVFSYLNKQNAIWVDLLYLKYGSFNPWTDAIKSNCSWFFRGLCRTAKIIKPNCWLLYVNPLRASFLLDPWYFEIPLAFKPTYLNMEANFDNLQISDFLVDTHWNLHALHNVFGSHLNSDTLSLGKISFDFDNCLVWFPKTKKFKLASIIYSHLNNSHVQQDFWDGWGNIWKLRVAPRTKHFIWLVFHNGVKTYDYLYRLNLGPQNSCVFCRLENENIEHLLCLCPKALLIWSYIKLLTGKSFSFEGGFMSGNWLSPSVAGNDSFIRSTIATTAWYIWKARCNMIFKNVALVYMLTLYLKPRLWR >DRNTG_11029.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:823010:825569:1 gene:DRNTG_11029 transcript:DRNTG_11029.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDNSSLPRMIIKLDIEKAYDTLSWNAILATLHRMKFPSIWISWINACLRSTTYSLLINKHPSRWINASRGLRQGDPISPYLFILTAQNLTAILNFALQHNMVPGFSHSLSKNFNHLMYADDLIVVTQASRKSARNIKLCLSFYQRLTGQRANSIKSEIYFPTRFNKRLQKSISAILQFKIATFPLKYLGILVAPKRLALSHFNNMLDKIKRTIALWNHSKLSAAGKTILINSSLLSTPLYYLSVYLVPDTILDGIAKYARFFFWSKSGNRKGMNSVSWTDTMLDKAEGGLSIRNLHFSKISLMARNVFSYLNKQNAIWVDLLYLKYGSFNPWTDAIKSNCSWFFRGLCRTAKIIKPNCWLLYVNPLRASFLLDPWYFEIPLAFKPTYLNMEANFDNLQISDFLVDTHWNLHALHNVFGSHLNSDTLSLGKISFDFDNCLVWFPKTKKFKLASIIYSHLNNSHVQQDFWDGWGNIWKLRVAPRTKHFIWLVFHNGVKTYDYLYRLNLGPQNSCVFCRLENENIEHLLCLCPKALLIWSYIKLLTGKSFSFEGGFMSGNWLSPSVAGNDSFIRSTIATTAWYIWKARCNMIFKNVNLIAGYWHLMLLLTQVNIFFCA >DRNTG_11029.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:823010:825569:1 gene:DRNTG_11029 transcript:DRNTG_11029.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDNSSLPRMIIKLDIEKAYDTLSWNAILATLHRMKFPSIWISWINACLRSTTYSLLINKHPSRWINASRGLRQGDPISPYLFILTAQNLTAILNFALQHNMVPGFSHSLSKNFNHLMYADDLIVVTQASRKSARNIKLCLSFYQRLTGQRANSIKSEIYFPTRFNKRLQKSISAILQFKIATFPLKYLGILVAPKRLALSHFNNMLDKIKRTIALWNHSKLSAAGKTILINSSLLSTPLYYLSVYLVPDTILDGIAKYARFFFWSKSGNRKGMNSVSWTDTMLDKAEGGLSIRNLHFSKISLMARNVFSYLNKQNAIWVDLLYLKYGSFNPWTDAIKSNCSWFFRGLCRTAKIIKPNCWLLYVNPLRASFLLDPWYFEIPLAFKPTYLNMEANFDNLQISDFLVDTHWNLHALHNVFGSHLNSDTLSLGKISFDFDNCLVWFPKTKKFKLASIIYSHLNNSHVQQDFWDGWGNIWKLRVAPRTKHFIWLVFHNGVKTYDYLYRLNLGPQNSCVFCRLENENIEHLLCLCPKALLIWSYIKLLTGKSFSFEGGFMSGNWLSPSVAGNDSFIRSTIATTAWYIWKAREEPFLEQFHCS >DRNTG_04783.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2527603:2528740:1 gene:DRNTG_04783 transcript:DRNTG_04783.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLLASSSSSPSSAPTLLCGQLMSGSSSKLSSLFSAPPSPFPSLTTPMTSSSAAAPTFTSAGSPIPSPMARSTSATSPHSVLKILMILIFSAGASPSGAPPSRTGFKESRSMSRGLSSV >DRNTG_04783.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2527603:2528740:1 gene:DRNTG_04783 transcript:DRNTG_04783.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLLASSSSSPSSAPTLLCGQLMSGSSSKLSSLFSAPPSPFPSLTTPMTSSSAAAPTFTSAGSPIPSPMARSTSATSPHSVLKILMILIFSAGASPSGAPPSRTGFKESRSMSRGLSSV >DRNTG_04783.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2527603:2531208:1 gene:DRNTG_04783 transcript:DRNTG_04783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLLASSSSSPSSAPTLLCGQLMSGSSSKLSSLFSAPPSPFPSLTTPMTSSSAAAPTFTSAGSPIPSPMARSTSATSPHSVLKILMILIFSAGASPSGAPPSRTGFKESRSMSRGLSSV >DRNTG_04783.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2527603:2531208:1 gene:DRNTG_04783 transcript:DRNTG_04783.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLLASSSSSPSSAPTLLCGQLMSGSSSKLSSLFSAPPSPFPSLTTPMTSSSAAAPTFTSAGSPIPSPMARSTSATSPHSVLKILMILIFSAGASPSGAPPSRTGFKESRSMSRGLSSV >DRNTG_28119.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18780147:18781028:1 gene:DRNTG_28119 transcript:DRNTG_28119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIWLLSSLSFFPAHLACSRAAACGTSSISHLCVACSLHLLLLLFLLLLLLLIWFVRFEFCRYIMESFCGIARYNGEERVLMFTALTSWESVLTEICERWGLDFSRVRVKFITPDGYKTVCPIKNDVDFQRMCHMDTIFKCSIVDLVVEIDDVPLSNPIENKFFSL >DRNTG_14725.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:65851:68705:-1 gene:DRNTG_14725 transcript:DRNTG_14725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTLGAAKIYHRLLKAVKKHIGHDGCRRHFRDFVTEEFRKNINLSDQTAIQSKLKLAHDYTFLLNSVHHHKELLFSYNIAVDRSDEMKKVLNKSAASVGLRLPDVYQP >DRNTG_02560.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19515465:19516429:-1 gene:DRNTG_02560 transcript:DRNTG_02560.2 gene_biotype:protein_coding transcript_biotype:protein_coding LAETALLTILEEFFGVVLNLPSINRRLSDVLEVLSSEQPKCPQLYIYSSADRVIPAKSVETFIERQRKAGQVVRACDFVSSPHVDHFRNHPVLYATQLTTFLNDCVITCCKNST >DRNTG_02560.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19515465:19516268:-1 gene:DRNTG_02560 transcript:DRNTG_02560.4 gene_biotype:protein_coding transcript_biotype:protein_coding IYDCSQFTHPYIYEISILTFTFCPFNRRLSDVLEVLSSEQPKCPQLYIYSSADRVIPAKSVETFIERQRKAGQVVRACDFVSSPHVDHFRNHPVLYATQLTTFLNDCVITCCKNST >DRNTG_02560.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19515465:19516159:-1 gene:DRNTG_02560 transcript:DRNTG_02560.6 gene_biotype:protein_coding transcript_biotype:protein_coding IYDCSQFTHPYIYEISILTFTFCPFNRRLSDVLEVLSSEQPKCPQLYIYSSADRVIPAKSVETFIERQRKAGQVVRACDFVSSPHVDHFRNHPVLYATQLTTFLNDCVITCCKNST >DRNTG_02560.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19515363:19516429:-1 gene:DRNTG_02560 transcript:DRNTG_02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAETALLTILEEFFGVVLNLPSINRRLSDVLEVLSSEQPKCPQLYIYSSADRVIPAKSVETFIERQRKAGQVVRACDFVSSPHVDHFRNHPVLYATQLTTFLNDCVITCCKNST >DRNTG_02560.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19515495:19516429:-1 gene:DRNTG_02560 transcript:DRNTG_02560.3 gene_biotype:protein_coding transcript_biotype:protein_coding LAETALLTILEEFFGVVLNLPSINRRLSDVLEVLSSEQPKCPQLYIYSSADRVIPAKSVETFIERQRKAGQVVRACDFVSSPHVDHFRNHPVLYATQLTTFLNDCVITCCKNST >DRNTG_02560.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19515495:19516268:-1 gene:DRNTG_02560 transcript:DRNTG_02560.5 gene_biotype:protein_coding transcript_biotype:protein_coding IYDCSQFTHPYIYEISILTFTFCPFNRRLSDVLEVLSSEQPKCPQLYIYSSADRVIPAKSVETFIERQRKAGQVVRACDFVSSPHVDHFRNHPVLYATQLTTFLNDCVITCCKNST >DRNTG_02560.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19515495:19516159:-1 gene:DRNTG_02560 transcript:DRNTG_02560.7 gene_biotype:protein_coding transcript_biotype:protein_coding IYDCSQFTHPYIYEISILTFTFCPFNRRLSDVLEVLSSEQPKCPQLYIYSSADRVIPAKSVETFIERQRKAGQVVRACDFVSSPHVDHFRNHPVLYATQLTTFLNDCVITCCKNST >DRNTG_20679.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001137.1:92129:92901:1 gene:DRNTG_20679 transcript:DRNTG_20679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKHQLLIPLAECYQTKFNVLTPETLTTNTNTTTVSDKTEEHNAGGSTTHKTMLKPSNRRKTWADMVEEEEQEDCLINENENNEARNSRKEEQTFKSSAAQWRWAELEGFVGEAIVQDRL >DRNTG_25160.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23848774:23851388:1 gene:DRNTG_25160 transcript:DRNTG_25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIMMILSILNIQDQNKHDCHVSNRAQWTQDADKRPYTSDKP >DRNTG_12655.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1242836:1244662:1 gene:DRNTG_12655 transcript:DRNTG_12655.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELDAQIPSAFDPFAEANADDSGAGSKEYVHVRIQQRNGRKSLTTVQGLKKEFSYSKILKDLKREFCCNGTVVQDPELGSGILFLHALENYYYYLCSYMLMFQILMQKHIFVGHPTSR >DRNTG_12655.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1242836:1244662:1 gene:DRNTG_12655 transcript:DRNTG_12655.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSELDAQIPSAFDPFAEANADDSGAGSKEYVHVRIQQRNGRKSLTTVQGLKKEFSYSKILKDLKREFCCNGTVVQDPELG >DRNTG_12444.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21010987:21012269:1 gene:DRNTG_12444 transcript:DRNTG_12444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRDAVVWNILINAFSKAGDLQNAYLFFRRADHRNTVTWNSIINGFAKAGKDEAAMDLFKEMWDAGIELDDATLATVLPVCARLGAVHAGRLIHDHARRRGLLKDAVNNVHVLNSLIDMYCKCGDLVTARKVFDEMPKRSVVSWNAMINGLGSNGRGEDGLELFESMMRSGFRPNAGTFLGVLGCCRHTGMVGKGREVFRVMAAEYGVEPGD >DRNTG_27053.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2656450:2658391:-1 gene:DRNTG_27053 transcript:DRNTG_27053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDSSFSFIDMDSTMELMHHFDEIKDNVSDYSLPPVPFIDNLSVLFPTENEEDKKRKAEALPETFSGNSSAITDDKHKERNCSVKKKRRRSNSKDDEKPKEVVHVRAKRGQATDSHSLAERVRREKINERMRCLQDLVPGCYKAMGMAVMLDEIINYVQSLQNQVEFLSMKLSAASSFYDFNLDIEAMATQQVNDAYEAQEGHGGCTTSFPFIQHWPF >DRNTG_30158.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3381433:3385631:-1 gene:DRNTG_30158 transcript:DRNTG_30158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTKQLGTLSHCARSFVLGNSRCGSGDGASCASSEDEPCVSKRQFNGKLPVQEKKPAVLSDVIAQVGATHSRNTIESVGRHSSENHNYAPLTAHQLSSAAALSSTLSSISGIFSSINKDSTLKDTEHSPKLPAEGFARAGIAKADTLPDLVNCKISASDGCSFVTTQNDNTDSTQTSSHVRSSKGKSRNKAPYPKLKQNFVSSAGEPSSYSVAAQNGPGVGASVKTGSMKNRQIISGISGTTSKPHFVDQGRRQSVAQRAKFHTESVTSDVKSSRRITGSRVAAPSPESSRFGNKLQHSTRSMGPAGSSFANNRRGMHNLQVVEQYYHILQQLKWRNGAEVEVVLNNLQCKLDVFQANQVLKLLHDPSVALGFFNWLKTQPKFKHDEHTYTTMIGILGQAREFGAVKMLLEEMISDGCKPTVVTYNRLIHAYGCANYIGEAVKVFHHMQEAGYEPDRVTYCTLIDIHAKAGYLDVALDLYHKMKNVGLSPDTFTYSAMVNCLGKGGHLAAADKLFSEMTEQGCVPNLVTYNIMIALKAKARNYASVVKLYRDMQAAGFRPDKITYSIVMDALGHSGHLNEVEAVFVEMQRDWVPDEPIYGLLVDLWGKSGNAVKARAWYQAMLDAGLRPNVPTCNSLLSAYLRVHKFSDAYAVLLNMHNVGLVPSLQTYTLLLSCCTETEAGIGLYCQLMAITGHPAHTFLVSLPDAEPGGQNVRDHANNFLSMMHSEDRESKRGLMDAIIHFLHKSGLKEEAGSVWEVAAQRNVYPDSVRRKNPSSWLINLHVMSEGTAITALSRTLAWFHRELIVSGVGPFRIDIVTGWGRRSRITGSSSVRQSIKDLLNAFQFPFFTENGNSGCFVGCGEPLNNWLLNSYVERMHLL >DRNTG_33080.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5310416:5312407:1 gene:DRNTG_33080 transcript:DRNTG_33080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIQEIQTVIVDAERKGVKDESIKLWLLELKQVSYDAEDILGDFEFELLRSKMEADRKRKREVFEYDPSSPLYDANLQNKMLQRIRMVCEMFDEIEKDRVRFHLVEQDGELKSRTKIRSPTSSLAYQSEVIGRDVEKNEIINALMAPLGDDKISASAAHVVCVVGMGGLGKTTLAKLVYNDERIINQFNLRVWKWVFEAHGAVGLLKATVEAFTGQTYHNVTDVAQLQYMLSHIVAGKRFLLVLDDVWNDDLMTWESLRAPLENGLKGSCIFITTRSRKVSDIMDAEVTLELNPLRRSESWALFCRHAFEVDATEALPSLIEKGQAIVEKCKGVPLAIKVLGGLLCFEDEETWEDVQRSELWNLDEGKDNILSSLILSYLYLPASLKPCFTYCSVIPKGCFFKQETMVRMWMAQGLIQTEDNKQMEGTGRSFFNELRRRSLVQDAIPDFFMMHDLIHDSARSIWEKECCNATYEELQGMLECGNTVRHLSVMMANDISSFGSIRVSGMLRSLLLAARYPINLNVMTEMFLRLRVTYLRVLDLSSTGIDELP >DRNTG_33080.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5310322:5312407:1 gene:DRNTG_33080 transcript:DRNTG_33080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQEIQTVIVDAERKGVKDESIKLWLLELKQVSYDAEDILGDFEFELLRSKMEADRKRKREVFEYDPSSPLYDANQSEVIGRDVEKNEIINALMAPLGDDKISASAAHVVCVVGMGGLGKTTLAKLVYNDERIINQFNLRVWKWVFEAHGAVGLLKATVEAFTGQTYHNVTDVAQLQYMLSHIVAGKRFLLVLDDVWNDDLMTWESLRAPLENGLKGSCIFITTRSRKVSDIMDAEVTLELNPLRRSESWALFCRHAFEVDATEALPSLIEKGQAIVEKCKGVPLAIKVLGGLLCFEDEETWEDVQRSELWNLDEGKDNILSSLILSYLYLPASLKPCFTYCSVIPKGCFFKQETMVRMWMAQGLIQTEDNKQMEGTGRSFFNELRRRSLVQDAIPDFFMMHDLIHDSARSIWEKECCNATYEELQGMLECGNTVRHLSVMMANDISSFGSIRVSGMLRSLLLAARYPINLNVMTEMFLRLRVTYLRVLDLSSTGIDELP >DRNTG_33080.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5310416:5312407:1 gene:DRNTG_33080 transcript:DRNTG_33080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILSSIMNLTAKLLAFAPSPSPLKPDSSSSSSLVTPSFAKKPELSSPCSVSMALPSSLSIMEELNKLTSMIQEIQTVIVDAERKGVKDESIKLWLLELKQVSYDAEDILGDFEFELLRSKMEADRKRKREVFEYDPSSPLYDANLQNKMLQRIRMVCEMFDEIEKDRVRFHLVEQDGELKSRTKIRSPTSSLAYQSEVIGRDVEKNEIINALMAPLGDDKISASAAHVVCVVGMGGLGKTTLAKLVYNDERIINQFNLRVWKWVFEAHGAVGLLKATVEAFTGQTYHNVTDVAQLQYMLSHIVAGKRFLLVLDDVWNDDLMTWESLRAPLENGLKGSCIFITTRSRKVSDIMDAEVTLELNPLRRSESWALFCRHAFEVDATEALPSLIEKGQAIVEKCKGVPLAIKVLGGLLCFEDEETWEDVQRSELWNLDEGKDNILSSLILSYLYLPASLKPCFTYCSVIPKGCFFKQETMVRMWMAQGLIQTEDNKQMEGTGRSFFNELRRRSLVQDAIPDFFMMHDLIHDSARSIWEKECCNATYEELQGMLECGNTVRHLSVMMANDISSFGSIRVSGMLRSLLLAARYPINLNVMTEMFLRLRVTYLRVLDLSSTGIDELP >DRNTG_33080.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5310416:5312827:1 gene:DRNTG_33080 transcript:DRNTG_33080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQEIQTVIVDAERKGVKDESIKLWLLELKQVSYDAEDILGDFEFELLRSKMEADRKRKREVFEYDPSSPLYDANQSEVIGRDVEKNEIINALMAPLGDDKISASAAHVVCVVGMGGLGKTTLAKLVYNDERIINQFNLRVWKWVFEAHGAVGLLKATVEAFTGQTYHNVTDVAQLQYMLSHIVAGKRFLLVLDDVWNDDLMTWESLRAPLENGLKGSCIFITTRSRKVSDIMDAEVTLELNPLRRSESWALFCRHAFEVDATEALPSLIEKGQAIVEKCKGVPLAIKVLGGLLCFEDEETWEDVQRSELWNLDEGKDNILSSLILSYLYLPASLKPCFTYCSVIPKGCFFKQETMVRMWMAQGLIQTEDNKQMEGTGRSFFNELRRRSLVQDAIPDFFMMHDLIHDSARSIWEKECCNATYEELQGMLECGNTVRHLSVMMANDISSFGSIRVSGMLRSLLLAARYPINLNVMTEMFLRLRVTYLRVLDLSSTGIDELPDSIGGLKHLRYLSPHCN >DRNTG_23233.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21675693:21696722:-1 gene:DRNTG_23233 transcript:DRNTG_23233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFLRRLATPLTVDNDLTPKISSQTWSPLPQNSGDIPCSTASSKGHGG >DRNTG_07500.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3817568:3819497:-1 gene:DRNTG_07500 transcript:DRNTG_07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPSKNKDEAEVKQGAGCLYVKVSMDGAPYLRKVDLKTYCNYKELSSALEKMFSCFTIGQCSTNGIPGRDGLSESRLTDLLNGSEYVLTYEDKDGDWMLVGDVPWEMFTDSCRRLRIMTGSDAIGLAPRAMEKCKNRN >DRNTG_02051.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1421424:1422016:-1 gene:DRNTG_02051 transcript:DRNTG_02051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDVGGDATNEGRTEWDGVEEEMARGAGGKDFQENGVKGEELGRRSCRGRK >DRNTG_03768.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18149599:18152506:1 gene:DRNTG_03768 transcript:DRNTG_03768.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTSRAVQKKVSGRTFIGTDPARERSGERKSANAWSKGRSTIYSRNHEEGALEDEAEPAVFSKCPDKTHARVEFQHGQAFPFRAHVERAQRRGLTLVNNLVTVHARVEFLYRNAFSYRDLQIYPEKTHGHGLAPVDDSSINTRA >DRNTG_03878.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3823239:3826746:-1 gene:DRNTG_03878 transcript:DRNTG_03878.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSKSCLLISYSPEIINGEPILVSSNCLPVKAVYFEPAGHAFHDAALKLLGFYEEEDADADTDDQSVKSDDRGQAYRASTDSYSSKGKKKSGPGSKQQDHYALLGLAHLRFLATEEQIRKSYRETALKHHPDKQAALLLAEESEEAKEAKKAEIESHFKAIQEAYEVLIDPVKRRIYDSTDEFDDEVPTDCAPQDFFKVFGPAFMRNGRWSVAQPVPSLGEENISMQEVDSFYDFWYTFKSWREFPHADEFDLEQAESRDHKRWMERQNAKLREKAKKEEYARVRSLVDNAYRKDPRIIRRKEEEKAEKQRKKEAKYLARKLQEEEAAKAAEEERIRKEEDEKRAAEAALNQKKLKEKEKRLMRKERTRLRSLSAPVLSQPPHNLIDDDVERLCATLDMEQLRHLCDNMERKEGIIEKAQLLADALNGEKTKAEKDSQLNSSENSGPKANGATGQVKKTDNPLSNYEKKEKPWAKDEIEMLRKGMQKYPKGTSRRWEVISEYIGTGRSVEEILKATKTVLLQKPDSSKAFDSFLEKRKPAQSIASPLTSRIESEGLPAVQVENTASNSMPSQTSSSNGTGKNPESEPISVPTGVSSEQDAWSATQERALVQALKTFPKETSQRWERVAAAIPGKTVNQCKKKFALMKENFRSKKNAE >DRNTG_03878.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3823239:3828146:-1 gene:DRNTG_03878 transcript:DRNTG_03878.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSKSCLLISYSPEIINGEPILVSSNCLPVKAVYFEPAGHAFHDAALKLLGFYEEEDADADTDDQSVKSDDRGQAYRASTDSYSSKGKKKSGPGSKQQDHYALLGLAHLRFLATEEQIRKSYRETALKHHPDKQAALLLAEESEEAKEAKKAEIESHFKAIQEAYEVLIDPVKRRIYDSTDEFDDEVPTDCAPQDFFKVFGPAFMRNGRWSVAQPVPSLGEENISMQEVDSFYDFWYTFKSWREFPHADEFDLEQAESRDHKRWMERQNAKLREKAKKEEYARVRSLVDNAYRKDPRIIRRKEEEKAEKQRKKEAKYLARKLQEEEAAKAAEEERIRKEEDEKRAAEAALNQKKLKEKEKRLMRKERTRLRSLSAPVLSQPPHNLIDDDVERLCATLDMEQLRHLCDNMERKEGIIEKAQLLADALNGEKTKAEKDSQLNSSENSGPKANGATGQVKKTDNPLSNYEKKEKPWAKDEIEMLRKGMQKYPKGTSRRWEVISEYIGTGRSVEEILKATKTVLLQKPDSSKAFDSFLEKRKPAQSIASPLTSRIESEGLPAVQVENTASNSMPSQTSSSNGTGKNPESEPISVPTGVSSEQDAWSATQERALVQALKTFPKETSQRWERVAAAIPGKTVNQCKKKFALMKENFRSKKNAE >DRNTG_03878.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3823239:3828146:-1 gene:DRNTG_03878 transcript:DRNTG_03878.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSKSCLLISYSPEIINGEPILVSSNCLPVKAVYFEPAGHAFHDAALKLLGFYEEEDADADTDDQSVKSDDRGQAYRASTDSYSSKGKKKSGPGSKQQDHYALLGLAHLRFLATEEQIRKSYRETALKHHPDKQAALLLAEESEEAKEAKKAEIESHFKAIQEAYEVLIDPVKRRIYDSTDEFDDEVPTDCAPQDFFKVFGPAFMRNGRWSVAQPVPSLGEENISMQEVDSFYDFWYTFKSWREFPHADEFDLEQAESRDHKRWMERQNAKLREKAKKEEYARVRSLVDNAYRKDPRIIRRKEEEKAEKQRKKEAKYLARKLQEEEAAKAAEEERIRKEEDEKRAAEAALNQKKLKEKEKRLMRKERTRLRSLSAPVLSQPPHNLIDDDVERLCATLDMEQLRHLCDNMERKEGIIEKAQLLADALNGEKTKAEKDSQLNSSENSGPKANGATGQVKKTDNPLSNYEKKEKPWAKDEIEMLRKGMQKYPKGTSRRWEVISEYIGTGRSVEEILKATKTVLLQKPDSSKAFDSFLEKRKPAQSIASPLTSRIESEGLPAVQVENTASNSMPSQTSSSNGTGKNPESEPISVPTGVSSEQDAWSATQERALVQALKTFPKETSQRWERVAAAIPGKTVNQCKKKFALMKENFRSKKNAE >DRNTG_03878.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3823239:3828299:-1 gene:DRNTG_03878 transcript:DRNTG_03878.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSKSCLLISYSPEIINGEPILVSSNCLPVKAVYFEPAGHAFHDAALKLLGFYEEEDADADTDDQSVKSDDRGQAYRASTDSYSSKGKKKSGPGSKQQDHYALLGLAHLRFLATEEQIRKSYRETALKHHPDKQAALLLAEESEEAKEAKKAEIESHFKAIQEAYEVLIDPVKRRIYDSTDEFDDEVPTDCAPQDFFKVFGPAFMRNGRWSVAQPVPSLGEENISMQEVDSFYDFWYTFKSWREFPHADEFDLEQAESRDHKRWMERQNAKLREKAKKEEYARVRSLVDNAYRKDPRIIRRKEEEKAEKQRKKEAKYLARKLQEEEAAKAAEEERIRKEEDEKRAAEAALNQKKLKEKEKRLMRKERTRLRSLSAPVLSQPPHNLIDDDVERLCATLDMEQLRHLCDNMERKEGIIEKAQLLADALNGEKTKAEKDSQLNSSENSGPKANGATGQVKKTDNPLSNYEKKEKPWAKDEIEMLRKGMQKYPKGTSRRWEVISEYIGTGRSVEEILKATKTVLLQKPDSSKAFDSFLEKRKPAQSIASPLTSRIESEGLPAVQVENTASNSMPSQTSSSNGTGKNPESEPISVPTGVSSEQDAWSATQERALVQALKTFPKETSQRWERVAAAIPGKTVNQCKKKFALMKENFRSKKNAE >DRNTG_03878.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3823092:3828146:-1 gene:DRNTG_03878 transcript:DRNTG_03878.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSKSCLLISYSPEIINGEPILVSSNCLPVKAVYFEPAGHAFHDAALKLLGFYEEEDADADTDDQSVKSDDRGQAYRASTDSYSSKGKKKSGPGSKQQDHYALLGLAHLRFLATEEQIRKSYRETALKHHPDKQAALLLAEESEEAKEAKKAEIESHFKAIQEAYEVLIDPVKRRIYDSTDEFDDEVPTDCAPQDFFKVFGPAFMRNGRWSVAQPVPSLGEENISMQEVDSFYDFWYTFKSWREFPHADEFDLEQAESRDHKRWMERQNAKLREKAKKEEYARVRSLVDNAYRKDPRIIRRKEEEKAEKQRKKEAKYLARKLQEEEAAKAAEEERIRKEEDEKRAAEAALNQKKLKEKEKRLMRKERTRLRSLSAPVLSQPPHNLIDDDVERLCATLDMEQLRHLCDNMERKEGIIEKAQLLADALNGEKTKAEKDSQLNSSENSGPKANGATGQVKKTDNPLSNYEKKEKPWAKDEIEMLRKGMQKYPKGTSRRWEVISEYIGTGRSVEEILKATKTVLLQKPDSSKAFDSFLEKRKPAQSIASPLTSRIESEGLPAVQVENTASNSMPSQTSSSNGTGKNPESEPISVPTGVSSEQDAWSATQERALVQALKTFPKETSQRWERVAAAIPGKTVNQCKKKFALMKENFRSKKNAE >DRNTG_03878.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3823239:3828146:-1 gene:DRNTG_03878 transcript:DRNTG_03878.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSKSCLLISYSPEIINGEPILVSSNCLPVKAVYFEPAGHAFHDAALKLLGFYEEEDADADTDDQSVKSDDRGQAYRASTDSYSSKGKKKSGPGSKQQDHYALLGLAHLRFLATEEQIRKSYRETALKHHPDKQAALLLAEESEEAKEAKKAEIESHFKAIQEAYEVLIDPVKRRIYDSTDEFDDEVPTDCAPQDFFKVFGPAFMRNGRWSVAQPVPSLGEENISMQEVDSFYDFWYTFKSWREFPHADEFDLEQAESRDHKRWMERQNAKLREKAKKEEYARVRSLVDNAYRKDPRIIRRKEEEKAEKQRKKEAKYLARKLQEEEAAKAAEEERIRKEEDEKRAAEAALNQKKLKEKEKRLMRKERTRLRSLSAPVLSQPPHNLIDDDVERLCATLDMEQLRHLCDNMERKEGIIEKAQLLADALNGEKTKAEKDSQLNSSENSGPKANGATGQVKKTDNPLSNYEKKEKPWAKDEIEMLRKGMQKYPKGTSRRWEVISEYIGTGRSVEEILKATKTVLLQKPDSSKAFDSFLEKRKPAQSIASPLTSRIESEGLPAVQVENTASNSMPSQTSSSNGTGKNPESEPISVPTGVSSEQDAWSATQERALVQALKTFPKETSQRWERVAAAIPGKTVNQCKKKFALMKENFRSKKNAE >DRNTG_25353.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24132432:24136831:1 gene:DRNTG_25353 transcript:DRNTG_25353.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVHWGNTNSKHNHSTTAYWADNWDSIPPHKRGNHSCFDPEKDLVLPAWKRPEPGAVWLKLWARPREERTTLFYFNGNLGPAYENGRPEDTYSMGIRQKLAEEFGSTPNNQGKLGRQHTSNVTVTPLRSSRYYDELASSIFCGVLPGDGWSGRMEDSILQGCIPVIIQDGIFLPYENVLNYKSFAVRIREDEIPNLIRILKSINETEIEFMLGNVRKIWQRFVYRDSVLLEAQRQKTLFDKEDAWAVEYSKLEEDDVFATFIQVLHYKLHNDPWRRDLPRKNNTGLPNSCLKTA >DRNTG_25353.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24132070:24136831:1 gene:DRNTG_25353 transcript:DRNTG_25353.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGLCRRMIFIQSWKCSWSSVAAIGSLIVLLSLVHMFMGPLFPSSFDYIGARQAQISCSENNATIHDGTEKFNASSGPEIDFNVQFPADSHGAVAHRGAPWKAGVGRWFSGCGSVSEEIDIVEIINGKGCKDNCNGQGVCNREFGQCRCFHGYSGEGCSEIVHFDCNYPAIPDLPYGPWIVSICPAYCDKTRAMCFCGQGTKYPNRPLAEGCGFKTMLPTEPGGPMVTDWGKIDEDVFTTNSSKPGWCNVDPKEAYSSKTKFKEECHCKYDGLWGQFCEIPTISSCVSQCSENGHCRGGSCECESGWYGIDCSIPSAIAPMQEWPAWLRPSTVELPDRVHLTSNSMSVKAVVKKKRPLIYVYELPPEFNSHLLEGRHFKFECVNRIYNEVNRTLWTEQLYGSQMALYESILASPHRTMNGDEADFFFVPALDSCLITRAYDAPHLSKREHMGMRSLLTLDYYKKAYEHIMYRYPYWNESSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNSKHNHSTTAYWADNWDSIPPHKRGNHSCFDPEKDLVLPAWKRPEPGAVWLKLWARPREERTTLFYFNGNLGPAYENGRPEDTYSMGIRQKLAEEFGSTPNNQGKLGRQHTSNVTVTPLRSSRYYDELASSIFCGVLPGDGWSGRMEDSILQGCIPVIIQDGIFLPYENVLNYKSFAVRIREDEIPNLIRILKSINETEIEFMLGNVRKIWQRFVYRDSVLLEAQRQKTLFDKEDAWAVEYSKLEEDDVFATFIQVLHYKLHNDPWRRDLPRKNNTGLPNSCLKTA >DRNTG_25353.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24133602:24136831:1 gene:DRNTG_25353 transcript:DRNTG_25353.8 gene_biotype:protein_coding transcript_biotype:protein_coding MCFCGQGTKYPNRPLAEGCGFKTMLPTEPGGPMVTDWGKIDEDVFTTNSSKPGWCNVDPKEAYSSKTKFKEECHCKYDGLWGQFCEIPTISSCVSQCSENGHCRGGSCECESGWYGIDCSIPSAIAPMQEWPAWLRPSTVELPDRVHLTSNSMSVKAVVKKKRPLIYVYELPPEFNSHLLEGRHFKFECVNRIYNEVNRTLWTEQLYGSQMALYESILASPHRTMNGDEADFFFVPALDSCLITRAYDAPHLSKREHMGMRSLLTLDYYKKAYEHIMYRYPYWNESSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNSKHNHSTTAYWADNWDSIPPHKRGNHSCFDPEKDLVLPAWKRPEPGAVWLKLWARPREERTTLFYFNGNLGPAYENGRPEDTYSMGIRQKLAEEFGSTPNNQGKLGRQHTSNVTVTPLRSSRYYDELASSIFCGVLPGDGWSGRMEDSILQGCIPVIIQV >DRNTG_25353.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24131950:24136831:1 gene:DRNTG_25353 transcript:DRNTG_25353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGLCRRMIFIQSWKCSWSSVAAIGSLIVLLSLVHMFMGPLFPSSFDYIGARQAQISCSENNATIHDGTEKFNASSGPEIDFNVQFPADSHGAVAHRGAPWKAGVGRWFSGCGSVSEEIDIVEIINGKGCKDNCNGQGVCNREFGQCRCFHGYSGEGCSEIVHFDCNYPAIPDLPYGPWIVSICPAYCDKTRAMCFCGQGTKYPNRPLAEGCGFKTMLPTEPGGPMVTDWGKIDEDVFTTNSSKPGWCNVDPKEAYSSKTKFKEECHCKYDGLWGQFCEIPTISSCVSQCSENGHCRGGSCECESGWYGIDCSIPSAIAPMQEWPAWLRPSTVELPDRVHLTSNSMSVKAVVKKKRPLIYVYELPPEFNSHLLEGRHFKFECVNRIYNEVNRTLWTEQLYGSQMALYESILASPHRTMNGDEADFFFVPALDSCLITRAYDAPHLSKREHMGMRSLLTLDYYKKAYEHIMYRYPYWNESSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNSKHNHSTTAYWADNWDSIPPHKRGNHSCFDPEKDLVLPAWKRPEPGAVWLKLWARPREERTTLFYFNGNLGPAYENGRPEDTYSMGIRQKLAEEFGSTPNNQGKLGRQHTSNVTVTPLRSSRYYDELASSIFCGVLPGDGWSGRMEDSILQGCIPVIIQDGIFLPYENVLNYKSFAVRIREDEIPNLIRILKSINETEIEFMLGNVRKIWQRFVYRDSVLLEAQRQKTLFDKEDAWAVEYSKLEEDDVFATFIQVLHYKLHNDPWRRDLPRKNNTGLPNSCLKTA >DRNTG_25353.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24133177:24136831:1 gene:DRNTG_25353 transcript:DRNTG_25353.7 gene_biotype:protein_coding transcript_biotype:protein_coding MCFCGQGTKYPNRPLAEGCGFKTMLPTEPGGPMVTDWGKIDEDVFTTNSSKPGWCNVDPKEAYSSKTKFKEECHCKYDGLWGQFCEIPTISSCVSQCSENGHCRGGSCECESGWYGIDCSIPSAIAPMQEWPAWLRPSTVELPDRVHLTSNSMSVKAVVKKKRPLIYVYELPPEFNSHLLEGRHFKFECVNRIYNEVNRTLWTEQLYGSQMALYESILASPHRTMNGDEADFFFVPALDSCLITRAYDAPHLSKREHMGMRSLLTLDYYKKAYEHIMYRYPYWNESSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNSKHNHSTTAYWADNWDSIPPHKRGNHSCFDPEKDLVLPAWKRPEPGAVWLKLWARPREERTTLFYFNGNLGPAYENGRPEDTYSMGIRQKLAEEFGSTPNNQGKLGRQHTSNVTVTPLRSSRYYDELASSIFCGVLPGDGWSGRMEDSILQGCIPVIIQDGIFLPYENVLNYKSFAVRIREDEIPNLIRILKSINETEIEFMLGNVRKIWQRFVYRDSVLLEAQRQKTLFDKEDAWAVEYSKLEEDDVFATFIQVLHYKLHNDPWRRDLPRKNNTGLPNSCLKTA >DRNTG_25353.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24133177:24136831:1 gene:DRNTG_25353 transcript:DRNTG_25353.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLEGTIFGQFFSWDEGACYAPKEIWNSMMLVHWGNTNSKHNHSTTAYWADNWDSIPPHKRGNHSCFDPEKDLVLPAWKRPEPGAVWLKLWARPREERTTLFYFNGNLGPAYENGRPEDTYSMGIRQKLAEEFGSTPNNQGKLGRQHTSNVTVTPLRSSRYYDELASSIFCGVLPGDGWSGRMEDSILQGCIPVIIQDGIFLPYENVLNYKSFAVRIREDEIPNLIRILKSINETEIEFMLGNVRKIWQRFVYRDSVLLEAQRQKTLFDKEDAWAVEYSKLEEDDVFATFIQVLHYKLHNDPWRRDLPRKNNTGLPNSCLKTA >DRNTG_25353.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24131950:24136831:1 gene:DRNTG_25353 transcript:DRNTG_25353.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALYESILASPHRTMNGDEADFFFVPALDSCLITRAYDAPHLSKREHMGMRSLLTLDYYKKAYEHIMYRYPYWNESSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNSKHNHSTTAYWADNWDSIPPHKRGNHSCFDPEKDLVLPAWKRPEPGAVWLKLWARPREERTTLFYFNGNLGPAYENGRPEDTYSMGIRQKLAEEFGSTPNNQGKLGRQHTSNVTVTPLRSSRYYDELASSIFCGVLPGDGWSGRMEDSILQGCIPVIIQDGIFLPYENVLNYKSFAVRIREDEIPNLIRILKSINETEIEFMLGNVRKIWQRFVYRDSVLLEAQRQKTLFDKEDAWAVEYSKLEEDDVFATFIQVLHYKLHNDPWRRDLPRKNNTGLPNSCLKTA >DRNTG_25353.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24132668:24136831:1 gene:DRNTG_25353 transcript:DRNTG_25353.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALYESILASPHRTMNGDEADFFFVPALDSCLITRAYDAPHLSKREHMGMRSLLTLDYYKKAYEHIMYRYPYWNESSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNSKHNHSTTAYWADNWDSIPPHKRGNHSCFDPEKDLVLPAWKRPEPGAVWLKLWARPREERTTLFYFNGNLGPAYENGRPEDTYSMGIRQKLAEEFGSTPNNQGKLGRQHTSNVTVTPLRSSRYYDELASSIFCGVLPGDGWSGRMEDSILQGCIPVIIQDGIFLPYENVLNYKSFAVRIREDEIPNLIRILKSINETEIEFMLGNVRKIWQRFVYRDSVLLEAQRQKTLFDKEDAWAVEYSKLEEDDVFATFIQVLHYKLHNDPWRRDLPRKNNTGLPNSCLKTA >DRNTG_21705.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:919150:919721:-1 gene:DRNTG_21705 transcript:DRNTG_21705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGHSTGFLSPIFVALFGVMSAAVVLAVYHCVIITFCRPRHAGGGPPHRPNDVEAASSIDLTSAQLTPPARKYGKEGDGDNNTCAVCLSEFKAGEAVRLLPECKHCFHVACIDLWLQSHYSCPMCRTVTAPWLDRRGEEEEEGF >DRNTG_16820.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1492937:1500626:1 gene:DRNTG_16820 transcript:DRNTG_16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTLSNSLLFLPPNFQRIPPTPHVSIVNFHSRVRSRSRQPKTLVFCSTTSSEKPAPSNPTMGSDVEAVPSETDRFLSLEIEEKENGVVLDLGLARKMLDWALLVSPFFFWGTTMVVMKEVMPKTGPFFVSAVRLIPAGALLIGFAAIRGRKLPSGFLAWISIILFGVVDAACFQGFLAEGLQRTSAGLGSVIIDSQPLTVAILASLLFGESIGAIGAAGLVLGVIGLILLEVPSLSSEGSSSIWGSGEWWMLLAAQSSAVGTVMIRWVSKYSDPVMATGWHMLIGGLPLLAISILNNDPAVKGSLSEFTSGDVLALLYTSIFGSAVSYGVFFYNATRGSLTKLSSLTFLTPMFASIFGYLYLGETFSNLQLLGALVTLVSIYMVNYRDTVNEE >DRNTG_13855.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000644.1:133025:139209:-1 gene:DRNTG_13855 transcript:DRNTG_13855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSTLQRERDLVPHSSPTTLLIAQMMKKQIYVKAYFEFCLLKVVGIEAAKLSFMHINYKGLGKNKIVRDIIDFVKRHQSLFDQIMREDLSRYDTKHLECISLVVSILCKVWPYEEHDEYGFVQEPFGMMKFLFCLDFEAPWLVQPSEPQENQKSSELIIFQLCFSLSSYLYFLITKKGLTLQKEHKQEHSNAQESRPGRDCPKPRGDG >DRNTG_08306.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000375.1:144203:147231:-1 gene:DRNTG_08306 transcript:DRNTG_08306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQHRAEGAKLKADCMNLIIVCDQKVLGGLSPHQILQNVARKNGARGSVAMINGDMVIMMMILGTMKRIFKLHLVDTKHSTGHFPSWEKYRFENSTSGFEWRDEAKWEKIKKKFLNESDIEEEEPSDVGTHTDRVALGLPATGPLKLDDVKTAFRTSALKWHPDKHQGPSQAVAAEKFKRCVDAYNSLCNALKNN >DRNTG_14928.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27164043:27164990:1 gene:DRNTG_14928 transcript:DRNTG_14928.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGRSKGETSKLTQDMQIFFDLVDREGYRLQMLDEMKVSQVVKPLAVYPSPSHLSFEIEDFGVSPNEKALRVMAQPSKDMKEKDKAEAQEEKMNRGIKRQIELNLAPQDKERKSKTSRIFICDLNKGAESLDDTN >DRNTG_25201.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20200619:20200921:1 gene:DRNTG_25201 transcript:DRNTG_25201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELWFSQNILLGKTRTASSTPLPAHLPTNSSSESLPGNSSTSQSRKSHAVYKEKKKRPVLNIVI >DRNTG_31876.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21100198:21102646:1 gene:DRNTG_31876 transcript:DRNTG_31876.1 gene_biotype:protein_coding transcript_biotype:protein_coding EILDRDNQVDGDYKEGYYIGVEVPADDPQAEKPFYGPNLWPPEGHLPGWRVNNGKYHNEALRVARAVARIIALALDLDGDFFDKPYMLGEPIATLRLLHYQGTMSNPSKEYMVPEPTRIMV >DRNTG_31876.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21100198:21102442:1 gene:DRNTG_31876 transcript:DRNTG_31876.2 gene_biotype:protein_coding transcript_biotype:protein_coding EILDRDNQVDGDYKEGYYIGVEVPADDPQAEKPFYGPNLWPPEGHLPGWRVNNGKYHNEALRVARAVARIIALALDLDGDFFDKPYMLGEPIATLRLLHYQ >DRNTG_04082.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:8117258:8117970:-1 gene:DRNTG_04082 transcript:DRNTG_04082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTARRGQVRFRSKLISLPEVLNEGRQTTEEDSIPHLANEGRAAARGDSAVRQSQTLIEEWKEAFDDQLP >DRNTG_11607.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7854006:7857071:1 gene:DRNTG_11607 transcript:DRNTG_11607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKIEYWINKPKEDEPKEDKLQTVLTTPRTYKRRRPEVYKMLDNRMDVWKNEYGCVNHAQVSDFLSGQRMVADDVVDVFCLMLNESLRKTPSVYKRRGIATRPQAFQLSKLKDDNKSLINLMNNPLSVYDQVEVVLIPIIVDNHYHLLVLDKEKKEYLHFSSISKKTYNDAAKKMEVKEKEEEEEEEKQEDEVEVVMKEKEGEKEVEEEKEEELKVDEEKEEEKEVEEEQEQEKIEDHNELSVSQSESCVNPNEETLDKTKNEQDKVDHGSLKQKFLIFDVNGVLAKTEHKYVTPRPFVEDFLEFCFEHYEVAIWSSKKP >DRNTG_35381.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1717180:1720067:-1 gene:DRNTG_35381 transcript:DRNTG_35381.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB101 [Source:Projected from Arabidopsis thaliana (AT2G32460) UniProtKB/Swiss-Prot;Acc:O80883] MKEKRRGREGGGDGGLKKGPWTAAEDAVLAEYVRDHGEGNWNAVQRNSGLSRCGKSCRLRWANHLRPNLKKGAFSPEEELLILRLHAQLGNKWAQMAAHLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEVQCQPRFFNAPLPPPSPFSFSDPVSFPPPQPPMLTFSNNSVAPQLSSIPRYRDHGEGSGSSFQISPQIPLSIKMELPSNQVFPDPGVSPAPAPALTNSGLLDALLEEAQRLGDRHCGDFLSSPPPPPPDDQSLGMNHLHCGDVAPPLSFKAEVGIKRELDDEISQVHEDISTLLDMIPTAPLPLSPAIPEQYNDSGELSNGPSSAATEDDVWLDMQQLGSPLSGTQTEQTWSIGTCSWNNMPGIC >DRNTG_18073.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:238434:239745:-1 gene:DRNTG_18073 transcript:DRNTG_18073.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFIFLAFSFLLTTPATICAEAARLPYGHAAHISSIISEELYNKMFLHKDDNACPAKGFYPYASFIKATRCFPKFGNTGDLNTTKREVAAFLAQISHETTGGWATAPDGPYAWGLCFKEEVSPSSDYCDSTNKQWPCSPGKSYKGRGPIQLSWNYNYGPAGRALGFDGLGNPDIVANNSDIAFKTALWFWMTPRDPKPSCHDVMVGRYRPTKADLAANRTGGFGLVTNIINGGLECGIPNDARVNDRIGFYERYAGLLGVDVGPNLDCANQKSF >DRNTG_23302.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1203411:1207044:1 gene:DRNTG_23302 transcript:DRNTG_23302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKMVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDSNLHFVESPALAPPEVQIDLAAQQQYEAEIAAAAAQPLPDDDDDAFE >DRNTG_15412.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4844089:4847168:-1 gene:DRNTG_15412 transcript:DRNTG_15412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRRRLQFPCLRDSSRSDSLPFIRKFSAKEVRKATDEFSMILGSGSYGTIYRARLPNGQVAAVRRAGPLQEGKEDSFRKEVQLLGCLHHRHLVRLLGFSEGDNRFLVFDHMENGSLKEYLHDPLRTPLNWRTRLQIAIDVASALEYLYYFCDPPVYEVSLNSNTVLLDENFVAKLSEVGFLKCECNESSESESSCSRDHIDERSKNLIFQFGLLVLELVTGQSLGGEDGEVVQWVQDSRFTYSMHKMVDMDLGNNYNSDELKSLLIVARLCTRTGDRPLVTISQIHRYLLGKAEHSVRY >DRNTG_28778.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21635201:21636453:-1 gene:DRNTG_28778 transcript:DRNTG_28778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSVDAAKELGIPVLVLWTPSACGLMCYLHFQQLLQDGLIPLKDEGNLSDGYLDTPVPSVPAIKDVCLRDFPTFIRTTNPDDILLKFTITEVQRATQASAIIINTFEELESPVLNALRSMLAIPIYTVGPLFLLSKHNVPSDAPVSSLSLNLWKEDTTCLDWLDKKDKASVLYVNFGSIAVLSKDQLVEFAWGLANSKCDFLWVIRSDLVREENYSGDDMEEFLEETRERGLVVSWCPQEVVLGHAAVGGFF >DRNTG_25270.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31514742:31515205:1 gene:DRNTG_25270 transcript:DRNTG_25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAWNNSLHEGSLAFLGTFCLSVEFRLCLHRNGVPWVICGDFNSIFSPGDKSNGGPNLDDIRQAQSLLRDLHLFSVRSTSNELGVRWNIFRSVFATGGAHHISKVVVLLFWPRRLPTSETF >DRNTG_32746.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18564679:18566878:-1 gene:DRNTG_32746 transcript:DRNTG_32746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVASYFIGGLCSTTDAGGRLKGAAVEVVMVMLEGPEICQSITDVTHQNGPPSQYTLLTVSPKSR >DRNTG_23817.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29542802:29548274:1 gene:DRNTG_23817 transcript:DRNTG_23817.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELQGLIRGFIDILILSSGYQSSGEVTVWDVENIRRATQWGLFFEDLLERFRESDDHASSVAELDAALLELSRTSLVPQGIGSISSETLLKARCLVLEHLVQRHELKDEHFLALCKAATEMDVEKLGIERFKAYIAELSSGKDGFGEENSGFIIQELLKRQASLACLSSVKNGADTLLKVVGRNDSEEMLPSCLVSQEKRSEVPTEFSLCSQWQSKCLSYLLEDRTMRILSGSNLIFSAPKDQWVHVFEWLKASGDDSLLEIMEILLLGSISSRWNSMVKHLMSHSCGALPIRLQYSDIHHLLQESSQSLHPSKESISSQENEILEHLTLLLGTQPHKLLQLPSVIVSMAIPSWSILFRMYLNELEKQLNGTSSIRCCNCAQARKEHRECEVAERIWCLHIFHIRCSRVNVGNSNG >DRNTG_21013.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:41757:47995:1 gene:DRNTG_21013 transcript:DRNTG_21013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRKQATRLREQVARQQQAVFKQFGGGGYGNSDLITDEAELHQHQKLEKLYISTRAGKHFQRDIVRGVEGYIVTGSKQVEIGSKLSEDSRKYGAENTCTNGNTLSKAALSFGKARGLMEKERGNLLKALGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAIEVSKRQAKVREAPGNVDNNLKLEAAESKLQELKSNMTVLGKEAAAAMTAVEGQQQRLTLQRLMAMIESERTYHQRMLQILDQLEGEMVSERQRIEASPSPVLENPAPPPPSYEEANGMFSPHPRDGTTDAMGYFLGEVMHSFHAESEAELNLSVGDFVVVRKVSNNGWAEGECKGKAGWFPFVYVEKRDRVLASKMAEVF >DRNTG_29516.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2191555:2196699:-1 gene:DRNTG_29516 transcript:DRNTG_29516.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIVLLLIKKISVALGTEAMTAITSLLAKEVSLVAQIPSTMNRIKGEFVVMKAFLKQVDTQKEKSSALQAWLDQVQNTAFRVEDIIDEYSYLIGEQYRSGFRGSVYNYIHKAKHALAWHRVAAQLQEIESDLRQISEMRTRYDIKTDEHINHAITRDFHRADSSYSIADDELVGIREEREQLLEWLTDKKSACSRVAIWGMGGLGKTTLVTNIYKSPMIQKHFDCHAWITVSQNYSSEDLLRKILLEFLERKQTKSLEIDTMAHRTLVGTLRNFLQDKKYILVLDDVWNTNAWYGIKHALIDTNRGSRIVITTRIKDVSLLASKNRVLELRSLEPKEAWDLFCRKVFWEDEDKKCPEVLEPLAEKIVGKCQGLPLALVAIGSLLSLREINKEEWRKVHDHLNWELTDNPYLNVRHTLNLSFIYLPDYLKNCFLYLSIFPEDYEMKKKKLIELWVAEGFIEEKGAKTMEEVAEEYFNELIHRCMLQVVKRNLTGMVKTCRMHDLVRELNVSTAKAKRFSATYDGTEVARLDGESRRLSLHSTSHTMQLSPSLSSLRSFFIFDTMTLLQRTCRSGKKEEEEKKKRRRKEEEEKRKEDSREKKDNLAKDVLSFIPHSTYMQILTES >DRNTG_29516.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2192546:2218136:-1 gene:DRNTG_29516 transcript:DRNTG_29516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLVVLSLVKAYEGRLLKFSTGWFPKLRDLYLGEMENLQRLEIQNGSLQSLVRLILCYLTGMKGCPLGIQFLDKLGEFDMLNMPKKFIVDLQGSSRNCVKHIPNITHYFERGG >DRNTG_29516.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2192546:2196699:-1 gene:DRNTG_29516 transcript:DRNTG_29516.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIVLLLIKKISVALGTEAMTAITSLLAKEVSLVAQIPSTMNRIKGEFVVMKAFLKQVDTQKEKSSALQAWLDQVQNTAFRVEDIIDEYSYLIGEQYRSGFRGSVYNYIHKAKHALAWHRVAAQLQEIESDLRQISEMRTRYDIKTDEHINHAITRDFHRADSSYSIADDELVGIREEREQLLEWLTDKKSACSRVAIWGMGGLGKTTLVTNIYKSPMIQKHFDCHAWITVSQNYSSEDLLRKILLEFLERKQTKSLEIDTMAHRTLVGTLRNFLQDKKYILVLDDVWNTNAWYGIKHALIDTNRGSRIVITTRIKDVSLLASKNRVLELRSLEPKEAWDLFCRKVFWEDEDKKCPEVLEPLAEKIVGKCQGLPLALVAIGSLLSLREINKEEWRKVHDHLNWELTDNPYLNVRHTLNLSFIYLPDYLKNCFLYLSIFPEDYEMKKKKLIELWVAEGFIEEKGAKTMEEVAEEYFNELIHRCMLQVVKRNLTGMVKTCRMHDLVRELNVSTAKAKRFSATYDGTEVARLDGESRRLSLHSTSHTMQLSPSLSSLRSFFIFDTMTLLQRTCRSGKKEEEEKKKRRRKEEEEKRKEDSREKKDNLAKDVLSFIPHSTYMQILTES >DRNTG_09974.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000419.1:34157:40779:1 gene:DRNTG_09974 transcript:DRNTG_09974.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylulose kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G49650) UniProtKB/Swiss-Prot;Acc:Q949W8] MTKAESLKATILDANLSIVASEQINFDSELPHYKTKDGVYRDSSDNSRIVSPTLMWVEALDLLLEKLKSKVDFGRVAAISGSGQQHGSVYWRKGSNAILASLNAKVPLVSQLADAFSTKESPIWMDSSTTVQCREIEEAVGGALELSKLTGSRAYERFTGPQIRKLFQTQEEIYHNTERISLVSSFMASLLIGGLC >DRNTG_09974.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000419.1:39219:40779:1 gene:DRNTG_09974 transcript:DRNTG_09974.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylulose kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G49650) UniProtKB/Swiss-Prot;Acc:Q949W8] MAYALPDDALFLGLDSSTQSLKATILDANLSIVASEQINFDSELPHYKTKDGVYRDSSDNSRIVSPTLMWVEALDLLLEKLKSKVDFGRVAAISGSGQQHGSVYWRKGSNAILASLNAKVPLVSQLADAFSTKESPIWMDSSTTVQCREIEEAVGGALELSKLTGSRAYERFTGPQIRKLFQTQEEIYHNTERISLVSSFMASLLIGGLC >DRNTG_09974.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000419.1:34157:41978:1 gene:DRNTG_09974 transcript:DRNTG_09974.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylulose kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G49650) UniProtKB/Swiss-Prot;Acc:Q949W8] MTKAESLKATILDANLSIVASEQINFDSELPHYKTKDGVYRDSSDNSRIVSPTLMWVEALDLLLEKLKSKVDFGRVAAISGSGQQHGSVYWRKGSNAILASLNAKVPLVSQLADAFSTKESPIWMDSSTTVQCREIEEAVGGALELSKLTGSRAYERFTGPQIRKLFQTQEEIYHNTERISLVSSFMASLLIGGLC >DRNTG_09974.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000419.1:39219:42121:1 gene:DRNTG_09974 transcript:DRNTG_09974.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylulose kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G49650) UniProtKB/Swiss-Prot;Acc:Q949W8] MAYALPDDALFLGLDSSTQSLKATILDANLSIVASEQINFDSELPHYKTKDGVYRDSSDNSRIVSPTLMWVEALDLLLEKLKSKVDFGRVAAISGSGQQHGSVYWRKGSNAILASLNAKVPLVSQLADAFSTKESPIWMDSSTTVQCREIEEAVGGALELSKLTGSRAYERFTGPQIRKLFQTQEEIYHNTERISLVSSFMASLLIGGLC >DRNTG_09974.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000419.1:34157:40779:1 gene:DRNTG_09974 transcript:DRNTG_09974.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylulose kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G49650) UniProtKB/Swiss-Prot;Acc:Q949W8] MTKAESLKATILDANLSIVASEQINFDSELPHYKTKDGVYRDSSDNSRIVSPTLMWVEALDLLLEKLKSKVDFGRVAAISGSGQQHGSVYWRKGSNAILASLNAKVPLVSQLADAFSTKESPIWMDSSTTVQCREIEEAVGGALELSKLTGSRAYERFTGPQIRKLFQTQEEIYHNTERISLVSSFMASLLIGGLC >DRNTG_09974.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000419.1:34157:40779:1 gene:DRNTG_09974 transcript:DRNTG_09974.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylulose kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G49650) UniProtKB/Swiss-Prot;Acc:Q949W8] MTKAESLKATILDANLSIVASEQINFDSELPHYKTKDGVYRDSSDNSRIVSPTLMWVEALDLLLEKLKSKVDFGRVAAISGSGQQHGSVYWRKGSNAILASLNAKVPLVSQLADAFSTKESPIWMDSSTTVQCREIEEAVGGALELSKLTGSRAYERFTGPQIRKLFQTQEEIYHNTERISLVSSFMASLLIGGLC >DRNTG_10410.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6749518:6749916:1 gene:DRNTG_10410 transcript:DRNTG_10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQNAMTSKEPIKVGEELPLNRRRPSPQTLAASLSMSLSKLRQKVALESAKSDFHNRDDKHSPLKAIRSGTRSYGRLYGFSFINAS >DRNTG_04631.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8495993:8498127:1 gene:DRNTG_04631 transcript:DRNTG_04631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIRNERFALISHKHLSVCQDQSYFLRPLHHPTQDWCGSFGHHSGDRDDYSTFSPLPRDDETHGDDQEA >DRNTG_17469.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6934300:6934890:1 gene:DRNTG_17469 transcript:DRNTG_17469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFDINIHPDEGYYRFIHRNEEFVENNAMQTDAYQATGCDNLLCFGFMQTNNKIAIGAGISPTLSYPKG >DRNTG_24223.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22656166:22657664:1 gene:DRNTG_24223 transcript:DRNTG_24223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYHHPQLTSFVFSPVLQACSGLHNVTMGMKVHGLIVKSGFEHDVVLATSLLQMYANVGCLDDACYVFDEMAGLEIFSMMALEKVDIDIVALMKVAEACACLGALKQLKSVHGYFIKRWIDVDTDTDRWKVGNFLILMYSKCGDFLVAEKLFNGATEKDVISWTCMISCCNQRSCYREALEIFIQMQAYGIKLNAITMMNVLHSCTRLGYLGEGQSIHCFMIKRCVDMALSIWTVSPRHWLISYAENGSSRKALEVYVMRTEGLFPDSFTLSSTLPACGHIGDLCAELESKDIVTWNAMISGYVQNGKSEEAIALYYQIHRHCLKEDHVTFLTAIQACSHLGKECGVLEFNHCRIRRTRAN >DRNTG_02181.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1382565:1385900:-1 gene:DRNTG_02181 transcript:DRNTG_02181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKSDSSSSGDSIVLANATDVSHFGYFQRGAAREFILFVGRTVAKRTPPGQRQSVQHEEYKVHSYNRNGLCALAFMDDHYPVRSAFSLLNTTSIRRPLEKLGELYRQIPVNHGRI >DRNTG_34244.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28081530:28084612:-1 gene:DRNTG_34244 transcript:DRNTG_34244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRRRSLLLLIFALSSAILLLCSSRHLSSTQLHTLPNPSTNSIPNFSFTIKLLTFDRLASLRRCLHSLAAADYSGDRADLHIFVDHLPVNASGLVERNLEESHRILEFIDGFGWRHGEKFVHYRTGNAGLQAQWLEAWWPASDDEFAFVVEDDLEVSPLYYKFLKGLILRYYYDKSNYSPLIYGASLQRPRFVAGKHGNKLKVDGDAHLFLYQIVGTWGQLLFPKPWKEFRLWYDIHKAKGLKPILQGMVTTGWYKKMGERIWTPWFIKFIHTKGYYNIYTNFLNERALSVSHRDAGVNYGKSAGPDSNLLQESSLNFKLWEMQPLRKLKWYDFCFREVHPGRIVRNLDELGSVLEFMQKQTTVTLISLYRTTEKIARNLLCHLERLDVLNSIFVGNDYEFLLDLSRRGYLVIDSEQFVSNIRDQSLMTRSSEKRVIKEILVKASILHKLSESGYNAWLIDGNIIPVSDSFYKLPDPSCDIMAANNVEILFLKSSSSRILGADFVSKVGEAGISLISSSSAPPEHKHFAWIVTKALEGKANVRIHQLDVDMHGVKLSSNTVDGAYSGTSKKWVFWPLSLDIALIQRSLQAMNMWLIDEDLSCTAVVCHGK >DRNTG_34244.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28081530:28084612:-1 gene:DRNTG_34244 transcript:DRNTG_34244.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRRRSLLLLIFALSSAILLLCSSRHLSSTQLHTLPNPSTNSIPNFSFTIKLLTFDRLASLRRCLHSLAAADYSGDRADLHIFVDHLPVNASGLVERNLEESHRILEFIDGFGWRHGEKFVHYRTGNAGLQAQWLEAWWPASDDEFAFVVEDDLEVSPLYYKFLKGLILRYYYDKSNYSPLIYGASLQRPRFVAGEIAYLFHFCLFFWSISFIFLFVYHFEG >DRNTG_10689.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13887317:13889001:-1 gene:DRNTG_10689 transcript:DRNTG_10689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSYEENVRRNSNELNSHKEEGDS >DRNTG_12036.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:79563:102104:-1 gene:DRNTG_12036 transcript:DRNTG_12036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFAGKLVERLANIIEEKAIMVLGVKDELQRLRRRMERIALVLKDAEKKKIQDETVKGWVHELKDLMYDADDIIDLCMIQGTGILQDVDHPSQLAESSTTTASTRVCCHFPLLSCVRSVPSRYEIADKIKSLNDKLEEISVDKNKFNFITSSNSSDAYAMNEASYRQSSSLPEPDIVGWDIKDATKSLVELLVSQPEQKSRLFAIVGMGGIGKTTLAQQIYNDPKINDAFVLRSWIWVSKSFTSKIDLLKEIIRNIGGKYGECTTISELQNILCDFLCEKSLFLVLDDVWDENIWVDLIKNAIERRTTKCRVLVTTRDRNTAAKVGATHIHHVNKLPSDVGWELLCKKVFTNNNTQDMQRLKDIGMKIVEKCDGLPVAIKAIAGVLVTKDQNNREWENVLSSDAWTITGLPEELQGALYLSYEALPSAIKHCFLYCALYPHGSKFHSEDLVCQWIAEGYIEAKGNASMEDVAKSYYMELICRSFLQPVPKFVDMSRCTIHDLLRALAEFFAGDESFLGDPQEVQSTELMKKLRRLTVASNRESVSIPHLDCLRSLRLWTPPSLNTQVVGNLKHLRLLLLTGDKIENIPDSIGGLVHLRLLDLEHTCIHNLPDSLGNLINLQFLLLNGCKSLHILPKSITKLYNLRWLRLHNTPLNYVPKGIGKLEHLHHVQGLIIGDSGDDGEEGCNLEELQMLEKLSCLSIRNLEKSSIKSASVLSNKTHLRELWLCCTPNYTDEHIQQQETDKIVQVFDELCPPPCIEKLGIRDYFGGQYPKWMSSTSITTALQELIYLNLINCTNCPHLPQLGQLPQLKFLEIDGATAVVSIGPEFLGNYNGEPTEIAFPKLEILILDNMSNWEEWSLISGEEEDNEHESSKPLMFFPHLKSISIAKCPKLKALPSGLNRVNIPKLFIRGAHSLSRVSHLPTLKELVVIDCPMLECVEKLESLQSLKVFDNEEDDAGLPQWLISFLHQREEKPHEDDLFKLLLECSAQALKGCLKGRPHWLFIQQVPRFTGYAKKRSMYLKYTKEPYYYETNITDED >DRNTG_12036.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:79563:84660:-1 gene:DRNTG_12036 transcript:DRNTG_12036.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIVDAFAGKLVERLSKVIEEKAIMVLGVKDELQRLRRRMERIARVLKDAEKRKIQDETVKGWVDELKDLMYDADDIIDLCMIQGTGLLQDVDHPSQLAESSTTTASTRVCCHFPLLSCVRSVPSRYEIADKIKTLNDKLEEISEDKNKFNFITSSNSSDAYAINEASYRQSSSLPEPDIVGWDIKDATNSLVELLVSQPEQKCRLFAIVGMGGIGKTTLAQQIYNDPKINDAFVLRSWIWVSKSFTSRIDFLKEIIRNIGGKYGECTTISELQNILCDFLREKSLFLVLDDVWDENIWVDLIKNAIERTTTKCRVLVTTRDRNTAVKVGATHIHHVNKLPSDVGWELLCKKVFTNNSTQDMQRLKDIGMKIVEKCDGLPVAIKAIAGVLVTKDQNKREWENVLNSDAWTITGIPEELQGALYLSYEVLPSTLKHCFLYCALYPRGREFEIEDLVCQWIAEGYIEAKGNASMEDVAKSYYMELICRSFLQPDPLYVDMSRCTIHDLLRALAEFFAGDESSGDPQEVQSTESMKKLRRLTVASNRESVSIPYLDCLRSLRLWTPPSLNTQVVGNLKHLRLLLLNGDKIENIPDSIGGLVHLRLLDLGHTCIHNLPDSLGNLINLQFLLLNKCKSLHILPKSITKLYNLRWLRLEDTPLNYVPKGIGKLEQLHHVEGLIIGDSGDDGEEGCNLEELQMLEKLSYLSIRNLEKSSIKSSSVLSSKTHLRTLGLRCTFNYTDGHIQQQEMDKIVQVFDELRPPPCLEDLGIQAYFGGRYPNWMSSSTSINTALPELIYLQLFNCSNCPHLPQLGQLPQLKYLRIVGATAVVSIGPEFLGNYNGEPTEIAFPKLETLLFVNMSNWEEWSLILSGEEEEDNELESSKPLMFFPRLNRIAIVKCPKLKALPSGLNRVNMARLFIRGAHSLSRVSHLPTLKELEVEDCPMLECVEKLESLQSLKVIDYEEDNASLPQWLISFLQQREEKPREDDLFKLYLECSAQALKGCLKGRPHWLFIQQVPRFIGYVKKQRMYLKYTKEPYYYETNIDH >DRNTG_12036.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:79170:84660:-1 gene:DRNTG_12036 transcript:DRNTG_12036.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIVDAFAGKLVERLSKVIEEKAIMVLGVKDELQRLRRRMERIARVLKDAEKRKIQDETVKGWVDELKDLMYDADDIIDLCMIQGTGLLQDVDHPSQLAESSTTTASTRVCCHFPLLSCVRSVPSRYEIADKIKTLNDKLEEISEDKNKFNFITSSNSSDAYAINEASYRQSSSLPEPDIVGWDIKDATNSLVELLVSQPEQKCRLFAIVGMGGIGKTTLAQQIYNDPKINDAFVLRSWIWVSKSFTSRIDFLKEIIRNIGGKYGECTTISELQNILCDFLREKSLFLVLDDVWDENIWVDLIKNAIERTTTKCRVLVTTRDRNTAVKVGATHIHHVNKLPSDVGWELLCKKVFTNNSTQDMQRLKDIGMKIVEKCDGLPVAIKAIAGVLVTKDQNKREWENVLNSDAWTITGIPEELQGALYLSYEVLPSTLKHCFLYCALYPRGREFEIEDLVCQWIAEGYIEAKGNASMEDVAKSYYMELICRSFLQPDPLYVDMSRCTIHDLLRALAEFFAGDESSGDPQEVQSTESMKKLRRLTVASNRESVSIPYLDCLRSLRLWTPPSLNTQVVGNLKHLRLLLLNGDKIENIPDSIGGLVHLRLLDLGHTCIHNLPDSLGNLINLQFLLLNKCKSLHILPKSITKLYNLRWLRLEDTPLNYVPKGIGKLEQLHHVEGLIIGDSGDDGEEGCNLEELQMLEKLSYLSIRNLEKSSIKSSSVLSSKTHLRTLGLRCTFNYTDGHIQQQEMDKIVQVFDELRPPPCLEDLGIQAYFGGRYPNWMSSSTSINTALPELIYLQLFNCSNCPHLPQLGQLPQLKYLRIVGATAVVSIGPEFLGNYNGEPTEIAFPKLETLLFVNMSNWEEWSLILSGEEEEDNELESSKPLMFFPRLNRIAIVKCPKLKALPSGLNRVNMARLFIRGAHSLSRVSHLPTLKELEVEDCPMLECVEKLESLQSLKVIDYEEDNASLPQWLISFLQQREEKPREDDLFKLYLECSAQALKGCLKGRPHWLFIQQVPRFIGYVKKQRMYLKYTKEPYYYETNIDH >DRNTG_12036.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:79563:84660:-1 gene:DRNTG_12036 transcript:DRNTG_12036.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIVDAFAGKLVERLSKVIEEKAIMVLGVKDELQRLRRRMERIARVLKDAEKRKIQDETVKGWVDELKDLMYDADDIIDLCMIQGTGLLQDVDHPSQLAESSTTTASTRVCCHFPLLSCVRSVPSRYEIADKIKTLNDKLEEISEDKNKFNFITSSNSSDAYAINEASYRQSSSLPEPDIVGWDIKDATNSLVELLVSQPEQKCRLFAIVGMGGIGKTTLAQQIYNDPKINDAFVLRSWIWVSKSFTSRIDFLKEIIRNIGGKYGECTTISELQNILCDFLREKSLFLVLDDVWDENIWVDLIKNAIERTTTKCRVLVTTRDRNTAVKVGATHIHHVNKLPSDVGWELLCKKVFTNNSTQDMQRLKDIGMKIVEKCDGLPVAIKAIAGVLVTKDQNKREWENVLNSDAWTITGIPEELQGALYLSYEVLPSTLKHCFLYCALYPRGREFEIEDLVCQWIAEGYIEAKGNASMEDVAKSYYMELICRSFLQPDPLYVDMSRCTIHDLLRALAEFFAGDESSGDPQEVQSTESMKKLRRLTVASNRESVSIPYLDCLRSLRLWTPPSLNTQVVGNLKHLRLLLLNGDKIENIPDSIGGLVHLRLLDLGHTCIHNLPDSLGNLINLQFLLLNKCKSLHILPKSITKLYNLRWLRLEDTPLNYVPKGIGKLEQLHHVEGLIIGDSGDDGEEGCNLEELQMLEKLSYLSIRNLEKSSIKSSSVLSSKTHLRTLGLRCTFNYTDGHIQQQEMDKIVQVFDELRPPPCLEDLGIQAYFGGRYPNWMSSSTSINTALPELIYLQLFNCSNCPHLPQLGQLPQLKYLRIVGATAVVSIGPEFLGNYNGEPTEIAFPKLETLLFVNMSNWEEWSLILSGEEEEDNELESSKPLMFFPRLNRIAIVKCPKLKALPSGLNRVNMARLFIRGAHSLSRVSHLPTLKELEVEDCPMLECVEKLESLQSLKVIDYEEDNASLPQWLISFLQQREEKPREDDLFKLYLECSAQALKGCLKGRPHWLFIQQVPRFIGYVKKQRMYLKYTKEPYYYETNIDH >DRNTG_12036.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:79563:84660:-1 gene:DRNTG_12036 transcript:DRNTG_12036.12 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIVDAFAGKLVERLSKVIEEKAIMVLGVKDELQRLRRRMERIARVLKDAEKRKIQDETVKGWVDELKDLMYDADDIIDLCMIQGTGLLQDVDHPSQLAESSTTTASTRVCCHFPLLSCVRSVPSRYEIADKIKTLNDKLEEISEDKNKFNFITSSNSSDAYAINEASYRQSSSLPEPDIVGWDIKDATNSLVELLVSQPEQKCRLFAIVGMGGIGKTTLAQQIYNDPKINDAFVLRSWIWVSKSFTSRIDFLKEIIRNIGGKYGECTTISELQNILCDFLREKSLFLVLDDVWDENIWVDLIKNAIERTTTKCRVLVTTRDRNTAVKVGATHIHHVNKLPSDVGWELLCKKVFTNNSTQDMQRLKDIGMKIVEKCDGLPVAIKAIAGVLVTKDQNKREWENVLNSDAWTITGIPEELQGALYLSYEVLPSTLKHCFLYCALYPRGREFEIEDLVCQWIAEGYIEAKGNASMEDVAKSYYMELICRSFLQPDPLYVDMSRCTIHDLLRALAEFFAGDESSGDPQEVQSTESMKKLRRLTVASNRESVSIPYLDCLRSLRLWTPPSLNTQVVGNLKHLRLLLLNGDKIENIPDSIGGLVHLRLLDLGHTCIHNLPDSLGNLINLQFLLLNKCKSLHILPKSITKLYNLRWLRLEDTPLNYVPKGIGKLEQLHHVEGLIIGDSGDDGEEGCNLEELQMLEKLSYLSIRNLEKSSIKSSSVLSSKTHLRTLGLRCTFNYTDGHIQQQEMDKIVQVFDELRPPPCLEDLGIQAYFGGRYPNWMSSSTSINTALPELIYLQLFNCSNCPHLPQLGQLPQLKYLRIVGATAVVSIGPEFLGNYNGEPTEIAFPKLETLLFVNMSNWEEWSLILSGEEEEDNELESSKPLMFFPRLNRIAIVKCPKLKALPSGLNRVNMARLFIRGAHSLSRVSHLPTLKELEVEDCPMLECVEKLESLQSLKVIDYEEDNASLPQWLISFLQQREEKPREDDLFKLYLECSAQALKGCLKGRPHWLFIQQVPRFIGYVKKQRMYLKYTKEPYYYETNIDH >DRNTG_12036.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:79170:84660:-1 gene:DRNTG_12036 transcript:DRNTG_12036.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIVDAFAGKLVERLSKVIEEKAIMVLGVKDELQRLRRRMERIARVLKDAEKRKIQDETVKGWVDELKDLMYDADDIIDLCMIQGTGLLQDVDHPSQLAESSTTTASTRVCCHFPLLSCVRSVPSRYEIADKIKTLNDKLEEISEDKNKFNFITSSNSSDAYAINEASYRQSSSLPEPDIVGWDIKDATNSLVELLVSQPEQKCRLFAIVGMGGIGKTTLAQQIYNDPKINDAFVLRSWIWVSKSFTSRIDFLKEIIRNIGGKYGECTTISELQNILCDFLREKSLFLVLDDVWDENIWVDLIKNAIERTTTKCRVLVTTRDRNTAVKVGATHIHHVNKLPSDVGWELLCKKVFTNNSTQDMQRLKDIGMKIVEKCDGLPVAIKAIAGVLVTKDQNKREWENVLNSDAWTITGIPEELQGALYLSYEVLPSTLKHCFLYCALYPRGREFEIEDLVCQWIAEGYIEAKGNASMEDVAKSYYMELICRSFLQPDPLYVDMSRCTIHDLLRALAEFFAGDESSGDPQEVQSTESMKKLRRLTVASNRESVSIPYLDCLRSLRLWTPPSLNTQVVGNLKHLRLLLLNGDKIENIPDSIGGLVHLRLLDLGHTCIHNLPDSLGNLINLQFLLLNKCKSLHILPKSITKLYNLRWLRLEDTPLNYVPKGIGKLEQLHHVEGLIIGDSGDDGEEGCNLEELQMLEKLSYLSIRNLEKSSIKSSSVLSSKTHLRTLGLRCTFNYTDGHIQQQEMDKIVQVFDELRPPPCLEDLGIQAYFGGRYPNWMSSSTSINTALPELIYLQLFNCSNCPHLPQLGQLPQLKYLRIVGATAVVSIGPEFLGNYNGEPTEIAFPKLETLLFVNMSNWEEWSLILSGEEEEDNELESSKPLMFFPRLNRIAIVKCPKLKALPSGLNRVNMARLFIRGAHSLSRVSHLPTLKELEVEDCPMLECVEKLESLQSLKVIDYEEDNASLPQWLISFLQQREEKPREDDLFKLYLECSAQALKGCLKGRPHWLFIQQVPRFIGYVKKQRMYLKYTKEPYYYETNIDH >DRNTG_12036.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:79563:84660:-1 gene:DRNTG_12036 transcript:DRNTG_12036.10 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIVDAFAGKLVERLSKVIEEKAIMVLGVKDELQRLRRRMERIARVLKDAEKRKIQDETVKGWVDELKDLMYDADDIIDLCMIQGTGLLQDVDHPSQLAESSTTTASTRVCCHFPLLSCVRSVPSRYEIADKIKTLNDKLEEISEDKNKFNFITSSNSSDAYAINEASYRQSSSLPEPDIVGWDIKDATNSLVELLVSQPEQKCRLFAIVGMGGIGKTTLAQQIYNDPKINDAFVLRSWIWVSKSFTSRIDFLKEIIRNIGGKYGECTTISELQNILCDFLREKSLFLVLDDVWDENIWVDLIKNAIERTTTKCRVLVTTRDRNTAVKVGATHIHHVNKLPSDVGWELLCKKVFTNNSTQDMQRLKDIGMKIVEKCDGLPVAIKAIAGVLVTKDQNKREWENVLNSDAWTITGIPEELQGALYLSYEVLPSTLKHCFLYCALYPRGREFEIEDLVCQWIAEGYIEAKGNASMEDVAKSYYMELICRSFLQPDPLYVDMSRCTIHDLLRALAEFFAGDESSGDPQEVQSTESMKKLRRLTVASNRESVSIPYLDCLRSLRLWTPPSLNTQVVGNLKHLRLLLLNGDKIENIPDSIGGLVHLRLLDLGHTCIHNLPDSLGNLINLQFLLLNKCKSLHILPKSITKLYNLRWLRLEDTPLNYVPKGIGKLEQLHHVEGLIIGDSGDDGEEGCNLEELQMLEKLSYLSIRNLEKSSIKSSSVLSSKTHLRTLGLRCTFNYTDGHIQQQEMDKIVQVFDELRPPPCLEDLGIQAYFGGRYPNWMSSSTSINTALPELIYLQLFNCSNCPHLPQLGQLPQLKYLRIVGATAVVSIGPEFLGNYNGEPTEIAFPKLETLLFVNMSNWEEWSLILSGEEEEDNELESSKPLMFFPRLNRIAIVKCPKLKALPSGLNRVNMARLFIRGAHSLSRVSHLPTLKELEVEDCPMLECVEKLESLQSLKVIDYEEDNASLPQWLISFLQQREEKPREDDLFKLYLECSAQALKGCLKGRPHWLFIQQVPRFIGYVKKQRMYLKYTKEPYYYETNIDH >DRNTG_12036.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:79375:84660:-1 gene:DRNTG_12036 transcript:DRNTG_12036.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIVDAFAGKLVERLSKVIEEKAIMVLGVKDELQRLRRRMERIARVLKDAEKRKIQDETVKGWVDELKDLMYDADDIIDLCMIQGTGLLQDVDHPSQLAESSTTTASTRVCCHFPLLSCVRSVPSRYEIADKIKTLNDKLEEISEDKNKFNFITSSNSSDAYAINEASYRQSSSLPEPDIVGWDIKDATNSLVELLVSQPEQKCRLFAIVGMGGIGKTTLAQQIYNDPKINDAFVLRSWIWVSKSFTSRIDFLKEIIRNIGGKYGECTTISELQNILCDFLREKSLFLVLDDVWDENIWVDLIKNAIERTTTKCRVLVTTRDRNTAVKVGATHIHHVNKLPSDVGWELLCKKVFTNNSTQDMQRLKDIGMKIVEKCDGLPVAIKAIAGVLVTKDQNKREWENVLNSDAWTITGIPEELQGALYLSYEVLPSTLKHCFLYCALYPRGREFEIEDLVCQWIAEGYIEAKGNASMEDVAKSYYMELICRSFLQPDPLYVDMSRCTIHDLLRALAEFFAGDESSGDPQEVQSTESMKKLRRLTVASNRESVSIPYLDCLRSLRLWTPPSLNTQVVGNLKHLRLLLLNGDKIENIPDSIGGLVHLRLLDLGHTCIHNLPDSLGNLINLQFLLLNKCKSLHILPKSITKLYNLRWLRLEDTPLNYVPKGIGKLEQLHHVEGLIIGDSGDDGEEGCNLEELQMLEKLSYLSIRNLEKSSIKSSSVLSSKTHLRTLGLRCTFNYTDGHIQQQEMDKIVQVFDELRPPPCLEDLGIQAYFGGRYPNWMSSSTSINTALPELIYLQLFNCSNCPHLPQLGQLPQLKYLRIVGATAVVSIGPEFLGNYNGEPTEIAFPKLETLLFVNMSNWEEWSLILSGEEEEDNELESSKPLMFFPRLNRIAIVKCPKLKALPSGLNRVNMARLFIRGAHSLSRVSHLPTLKELEVEDCPMLECVEKLESLQSLKVIDYEEDNASLPQWLISFLQQREEKPREDDLFKLYLECSAQALKGCLKGRPHWLFIQQVPRFIGYVKKQRMYLKYTKEPYYYETNIDH >DRNTG_12036.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:79170:84660:-1 gene:DRNTG_12036 transcript:DRNTG_12036.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIVDAFAGKLVERLSKVIEEKAIMVLGVKDELQRLRRRMERIARVLKDAEKRKIQDETVKGWVDELKDLMYDADDIIDLCMIQGTGLLQDVDHPSQLAESSTTTASTRVCCHFPLLSCVRSVPSRYEIADKIKTLNDKLEEISEDKNKFNFITSSNSSDAYAINEASYRQSSSLPEPDIVGWDIKDATNSLVELLVSQPEQKCRLFAIVGMGGIGKTTLAQQIYNDPKINDAFVLRSWIWVSKSFTSRIDFLKEIIRNIGGKYGECTTISELQNILCDFLREKSLFLVLDDVWDENIWVDLIKNAIERTTTKCRVLVTTRDRNTAVKVGATHIHHVNKLPSDVGWELLCKKVFTNNSTQDMQRLKDIGMKIVEKCDGLPVAIKAIAGVLVTKDQNKREWENVLNSDAWTITGIPEELQGALYLSYEVLPSTLKHCFLYCALYPRGREFEIEDLVCQWIAEGYIEAKGNASMEDVAKSYYMELICRSFLQPDPLYVDMSRCTIHDLLRALAEFFAGDESSGDPQEVQSTESMKKLRRLTVASNRESVSIPYLDCLRSLRLWTPPSLNTQVVGNLKHLRLLLLNGDKIENIPDSIGGLVHLRLLDLGHTCIHNLPDSLGNLINLQFLLLNKCKSLHILPKSITKLYNLRWLRLEDTPLNYVPKGIGKLEQLHHVEGLIIGDSGDDGEEGCNLEELQMLEKLSYLSIRNLEKSSIKSSSVLSSKTHLRTLGLRCTFNYTDGHIQQQEMDKIVQVFDELRPPPCLEDLGIQAYFGGRYPNWMSSSTSINTALPELIYLQLFNCSNCPHLPQLGQLPQLKYLRIVGATAVVSIGPEFLGNYNGEPTEIAFPKLETLLFVNMSNWEEWSLILSGEEEEDNELESSKPLMFFPRLNRIAIVKCPKLKALPSGLNRVNMARLFIRGAHSLSRVSHLPTLKELEVEDCPMLECVEKLESLQSLKVIDYEEDNASLPQWLISFLQQREEKPREDDLFKLYLECSAQALKGCLKGRPHWLFIQQVPRFIGYVKKQRMYLKYTKEPYYYETNIDH >DRNTG_12036.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:79375:84660:-1 gene:DRNTG_12036 transcript:DRNTG_12036.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIVDAFAGKLVERLSKVIEEKAIMVLGVKDELQRLRRRMERIARVLKDAEKRKIQDETVKGWVDELKDLMYDADDIIDLCMIQGTGLLQDVDHPSQLAESSTTTASTRVCCHFPLLSCVRSVPSRYEIADKIKTLNDKLEEISEDKNKFNFITSSNSSDAYAINEASYRQSSSLPEPDIVGWDIKDATNSLVELLVSQPEQKCRLFAIVGMGGIGKTTLAQQIYNDPKINDAFVLRSWIWVSKSFTSRIDFLKEIIRNIGGKYGECTTISELQNILCDFLREKSLFLVLDDVWDENIWVDLIKNAIERTTTKCRVLVTTRDRNTAVKVGATHIHHVNKLPSDVGWELLCKKVFTNNSTQDMQRLKDIGMKIVEKCDGLPVAIKAIAGVLVTKDQNKREWENVLNSDAWTITGIPEELQGALYLSYEVLPSTLKHCFLYCALYPRGREFEIEDLVCQWIAEGYIEAKGNASMEDVAKSYYMELICRSFLQPDPLYVDMSRCTIHDLLRALAEFFAGDESSGDPQEVQSTESMKKLRRLTVASNRESVSIPYLDCLRSLRLWTPPSLNTQVVGNLKHLRLLLLNGDKIENIPDSIGGLVHLRLLDLGHTCIHNLPDSLGNLINLQFLLLNKCKSLHILPKSITKLYNLRWLRLEDTPLNYVPKGIGKLEQLHHVEGLIIGDSGDDGEEGCNLEELQMLEKLSYLSIRNLEKSSIKSSSVLSSKTHLRTLGLRCTFNYTDGHIQQQEMDKIVQVFDELRPPPCLEDLGIQAYFGGRYPNWMSSSTSINTALPELIYLQLFNCSNCPHLPQLGQLPQLKYLRIVGATAVVSIGPEFLGNYNGEPTEIAFPKLETLLFVNMSNWEEWSLILSGEEEEDNELESSKPLMFFPRLNRIAIVKCPKLKALPSGLNRVNMARLFIRGAHSLSRVSHLPTLKELEVEDCPMLECVEKLESLQSLKVIDYEEDNASLPQWLISFLQQREEKPREDDLFKLYLECSAQALKGCLKGRPHWLFIQQVPRFIGYVKKQRMYLKYTKEPYYYETNIDH >DRNTG_12036.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:79563:84660:-1 gene:DRNTG_12036 transcript:DRNTG_12036.11 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIVDAFAGKLVERLSKVIEEKAIMVLGVKDELQRLRRRMERIARVLKDAEKRKIQDETVKGWVDELKDLMYDADDIIDLCMIQGTGLLQDVDHPSQLAESSTTTASTRVCCHFPLLSCVRSVPSRYEIADKIKTLNDKLEEISEDKNKFNFITSSNSSDAYAINEASYRQSSSLPEPDIVGWDIKDATNSLVELLVSQPEQKCRLFAIVGMGGIGKTTLAQQIYNDPKINDAFVLRSWIWVSKSFTSRIDFLKEIIRNIGGKYGECTTISELQNILCDFLREKSLFLVLDDVWDENIWVDLIKNAIERTTTKCRVLVTTRDRNTAVKVGATHIHHVNKLPSDVGWELLCKKVFTNNSTQDMQRLKDIGMKIVEKCDGLPVAIKAIAGVLVTKDQNKREWENVLNSDAWTITGIPEELQGALYLSYEVLPSTLKHCFLYCALYPRGREFEIEDLVCQWIAEGYIEAKGNASMEDVAKSYYMELICRSFLQPDPLYVDMSRCTIHDLLRALAEFFAGDESSGDPQEVQSTESMKKLRRLTVASNRESVSIPYLDCLRSLRLWTPPSLNTQVVGNLKHLRLLLLNGDKIENIPDSIGGLVHLRLLDLGHTCIHNLPDSLGNLINLQFLLLNKCKSLHILPKSITKLYNLRWLRLEDTPLNYVPKGIGKLEQLHHVEGLIIGDSGDDGEEGCNLEELQMLEKLSYLSIRNLEKSSIKSSSVLSSKTHLRTLGLRCTFNYTDGHIQQQEMDKIVQVFDELRPPPCLEDLGIQAYFGGRYPNWMSSSTSINTALPELIYLQLFNCSNCPHLPQLGQLPQLKYLRIVGATAVVSIGPEFLGNYNGEPTEIAFPKLETLLFVNMSNWEEWSLILSGEEEEDNELESSKPLMFFPRLNRIAIVKCPKLKALPSGLNRVNMARLFIRGAHSLSRVSHLPTLKELEVEDCPMLECVEKLESLQSLKVIDYEEDNASLPQWLISFLQQREEKPREDDLFKLYLECSAQALKGCLKGRPHWLFIQQVPRFIGYVKKQRMYLKYTKEPYYYETNIDH >DRNTG_12036.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:96743:102104:-1 gene:DRNTG_12036 transcript:DRNTG_12036.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFAGKLVERLANIIEEKAIMVLGVKDELQRLRRRMERIALVLKDAEKKKIQDETVKGWVHELKDLMYDADDIIDLCMIQGTGILQDVDHPSQLAESSTTTASTRVCCHFPLLSCVRSVPSRYEIADKIKSLNDKLEEISVDKNKFNFITSSNSSDAYAMNEASYRQSSSLPEPDIVGWDIKDATKSLVELLVSQPEQKSRLFAIVGMGGIGKTTLAQQIYNDPKINDAFVLRSWIWVSKSFTSKIDLLKEIIRNIGGKYGECTTISELQNILCDFLCEKSLFLVLDDVWDENIWVDLIKNAIERRTTKCRVLVTTRDRNTAAKVGATHIHHVNKLPSDVGWELLCKKVFTNNNTQDMQRLKDIGMKIVEKCDGLPVAIKAIAGVLVTKDQNNREWENVLSSDAWTITGLPEELQGALYLSYEALPSAIKHCFLYCALYPHGSKFHSEDLVCQWIAEGYIEAKGNASMEDVAKSYYMELICRSFLQPVPKFVDMSRCTIHDLLRALAEFFAGDESFLGDPQEVQSTELMKKLRRLTVASNRESVSIPHLDCLRSLRLWTPPSLNTQVVGNLKHLRLLLLTGDKIENIPDSIGGLVHLRLLDLEHTCIHNLPDSLGNLINLQFLLLNGCKSLHILPKSITKLYNLRWLRLHNTPLNYVPKGIGKLEHLHHVQGLIIGDSGDDGEEGCNLEELQMLEKLSCLSIRNLEKSSIKSASVLSNKTHLRELWLCCTPNYTDEHIQQQETDKIVQVFDELCPPPCIEKLGIRDYFGGQYPKWMSSTSITTALQELIYLNLINCTNCPHLPQLGQLPQLKFLEIDGATAVVSIGPEFLGNYNGEPTEIAFPKLEILILDNMSNWEEWSLISGEEEDNEHESSKPLMFFPHLKSISIAKCPKLKALPSGLNRVNIPKLFIRGAHSLSRVSHLPTLKELVVIDCPMLECVEKLESLQSLKVFDNEEDDAGLPQWLISFLHQREEKPHEDDLFKLLLECSAQALKGCLKGRPHWLFIQQVPRFTGYAKKRSMYLKYTKEPYYYETNITDED >DRNTG_19182.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15825204:15835664:-1 gene:DRNTG_19182 transcript:DRNTG_19182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWMDGWMEVAVGEWHQMQWRTKAASEAHEAMKNMVEDDYFGVDIELENECVFISENACDDSSNASCKKSKAQEKMQGESSVPPKKQKKQRSTKDPIDDSFSKHMERFYDICDGAKQEIEIGNALMICRDDTKELEKGGEMYAHNKIATSRLRSKIRLRLLIFCSVLFRLHSRRSSLVLLISVISQGDVHVCFAVNSDQVKSEALHPVGPSPVGCSRSTVEINVKMDCEGCERRVKKAVKDMKGVTHVEVNPKQHKLTVTGYVEPSKVLKRVRDKTGKHAELWPYVPYDVVYHPYVAGAYDKKAPPGYVRNVLDDPKVSNLARASSMEERYSTAFSDENPNACTVM >DRNTG_02683.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19805464:19806753:-1 gene:DRNTG_02683 transcript:DRNTG_02683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRGDEDGDEEKKKKKCKKRSCPTTCIDNQRKTHITVERNRRRLMNDHLAALRSLMPPMYVQRGDQASVVAGAIEFVKELEQLLLTLHAEKKSSSSSLTPAGFFFISPQFTGEGAHRVEVEASLVQGHVNLKVLSPRWPGQLVRVIAALEELRFTVQHLNVTSLSSNSIFYSLNLKMEEECRLGSADEIASSVHQIFCCINTS >DRNTG_15376.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26925725:26929299:1 gene:DRNTG_15376 transcript:DRNTG_15376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAEEEIVKRVEAMDVVDSNGRSIKILELLRRFLGVQQRRAQAYAKLRSAFAEYMTNGGDLAYQQLCGEITVEFNDCSKQVLEMESQLLTPDLARGDLASLLRSVQSKEKEKLQMTAKIQILKKAGRPSERLVSHEHCHYHNKPMSHECLHINEITEASGTEEAEADAEYDNALKEAIQRVQDTVTGINEHLEEVRYEIEALQSE >DRNTG_15376.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26926664:26929299:1 gene:DRNTG_15376 transcript:DRNTG_15376.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGGDLAYQQLCGEITVEFNDCSKQVLEMESQLLTPDLARGDLASLLRSVQSKEKEKLQMTAKIQILKKAGRPSERLVSHEHCHYHNKPMSHECLHINEITEASGTEEAEADAEYDNALKEAIQRVQDTVTGINEHLEEVRYEIEALQSE >DRNTG_01016.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18019894:18027604:-1 gene:DRNTG_01016 transcript:DRNTG_01016.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase 4 [Source:Projected from Arabidopsis thaliana (AT2G01830) UniProtKB/Swiss-Prot;Acc:Q9C5U0] MGYEMGGERRRWWVNRTAMVVVWVVVSTAIWAGLHLYIRRVSMRKAEEALVSMCEERARMIQDQFAVSVNHVHALAILISTFHYQKQPSAIDQETFAHYAARTAFERPLLNGVAYAQRVANSERDKFENEQGWIIKTMKRDPSPVQDEYAPVIFSQETVSYIEALDMMSGEEDRENILRARATGKAVLTNPFRLLKSNHLGVVLTFPVYRSGLPPDATVDDRVKATAGYLGGAFDVESLVDNLLRQLAGSQEIMVNVYDVTNISKPLIMYGPQQPDGYMSLSHVSMLDFGDPFRKHHMMCRYSQKPPIPLSAITTPSGVFVICMLAGYILYAAWNRYDNVKEDCRKMEELKVQAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLLDTDLNLTQKDYAQTAQVCGKALISLINEVLDRAKIEAGKLQIEAVPFDLRAVLDDVISLFSPKLREKKGIELAVFVSDKVPEVLTGDPGRFRQIITNLVGNSVKFTERGHIFVQVHLVEHSNMVTDAKSEAHLNGWSDEHEVKSCRTIFNTLSGVEAADNRNSWDNFKHLLSDETSLPDASGNEMPGDKESAKVTLTVSVEDTGIGIPLQAQDRVFTPFMQADSSTSRNYGGTGIGLSISKCLVELMGGQINFISRPHVGSTFTFTVVLKRCKGSAIDEAKRALPEPLPISFRGMKSILIDERPVRGAVTKYHLQRLGVTVDIASTMKTAFNAKAGQNGCTRSHIKQPKIILVEKDSWTSGMDTCLGNQLTEWKHNGRLSEVPKVILLSTSESDKTKCSYVDTVIMKPLRASTIAACLQQVLRMGVPQRKQLPNGSAFLHNLLAGKNILVVDDNKVNLRVAAGALKKYGANVACAESGKGALSLLQLPHKFDACFMDVQMPEMDGFEATRQIRLMESKANEEARHGGMTPHDTTEKVGWHLPVLAMTADVIQATYEECMKCGMDGYVSKPFDEQQLYQAVAKFLISKANPDSEK >DRNTG_30338.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11887108:11887620:1 gene:DRNTG_30338 transcript:DRNTG_30338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRFHTHPNPIPPGHCSSLHIEHISAPLPIVWSLISHFSRPQSYKPFVRSCQLCMGDGSIGSVRQIRVVSGLPATSSTEQLDILDHEQHVFGFSIVGGDHRLSNYRSRMTVHSEDGRTAVVLESYLVEVPEGNTEEETCIFVDTIIGCNLRSLAYVAEKMVRTAPPLTA >DRNTG_10779.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000460.1:81554:85143:-1 gene:DRNTG_10779 transcript:DRNTG_10779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGLDRVRRSKLLLALFASISLHAIGGLADNPATSKDSSKADTSSKSSSSSVGREVLIICLAVVVVVGLSILLFKLWQKKKREEQHARLLRLFEEDDELEVELGLRD >DRNTG_22219.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13612558:13618032:-1 gene:DRNTG_22219 transcript:DRNTG_22219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKHQIIIGSVCWFGNGHSSAYSCTLDGLSEHVRPHHISLLCPL >DRNTG_22219.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13612558:13618032:-1 gene:DRNTG_22219 transcript:DRNTG_22219.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKHQIIIGSVCWFGNGHSSAYSCTLDGLSEHVRPHHISLLCPL >DRNTG_30412.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001573.1:14717:17222:1 gene:DRNTG_30412 transcript:DRNTG_30412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELKNTCASNKTIMFFMKELGMKRANKFGWPNVYSFTKAMGELQVAKLRGSFPVTILRPTIILSTYKEPFPGWIEGVRTMDKIIISYANGEIACIPANLDATMDVIPGDMVVNAMFASMTSDYDPNLIPIYHVGSSSRNLLKFSMISDTTYKYLSIHPFTAKDGQLITVRKPFFFSNMFPFFIYMVLIYKLPIKVLLIVATLLCSQRLKN >DRNTG_24938.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21382147:21384695:-1 gene:DRNTG_24938 transcript:DRNTG_24938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTETAPTTLDRVASWVGASVASAFFASLERWSCINLSATDSDDEDEEETKDRPPMLTQIIVHDAPKDGQPSTNP >DRNTG_24938.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21383884:21384695:-1 gene:DRNTG_24938 transcript:DRNTG_24938.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTETAPTTLDRVASWVGASVASAFFASLERWSCINLSATDSDDEDEEETKDRPPMLTQIIVHDAPKDGQPSTNP >DRNTG_24938.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21384128:21384695:-1 gene:DRNTG_24938 transcript:DRNTG_24938.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTETAPTTLDRVASWVGASVASAFFASLERWSCINLSATDSDDEDEEETKDRPPMLTQIIVHDAPKDGQPSTNP >DRNTG_23777.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:10007665:10009541:1 gene:DRNTG_23777 transcript:DRNTG_23777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWRVLYSSKGHFVRHRIYLTGSVLTKSSPTNLLPNGARLPARNTPESML >DRNTG_32357.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7179396:7187625:-1 gene:DRNTG_32357 transcript:DRNTG_32357.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FH protein interacting protein FIP2 [Source:Projected from Arabidopsis thaliana (AT5G55000) UniProtKB/Swiss-Prot;Acc:Q9SE95] MGSSAPWSSSKVLLDIGGKRYSTTVDTLTQREPHSMLAAMFSGRHTVSEDPETGVVFVDRDGKHFRHILNWLRDGIIPILIEAEYQELLREAEYYQLLGFVEHINMQLNRKKEEDGSGPELTRKDVIKCIQSERVRFRGVNLSGLNLSKLDLSFVDFSYACIQKTCFRGANLQKAKFGNVEGHGSDFQDAYLRECEFIGADLSGAILDRANLQSANLQDACLIGGSLCEADLRTAHLQNAKLSDTNLEGANLEGANLKGAKLGGANLNRANLQRAYLRDVDLRDTQREGVRLNGANLLGAIR >DRNTG_32357.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7179396:7187625:-1 gene:DRNTG_32357 transcript:DRNTG_32357.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FH protein interacting protein FIP2 [Source:Projected from Arabidopsis thaliana (AT5G55000) UniProtKB/Swiss-Prot;Acc:Q9SE95] MGSSAPWSSSKVLLDIGGKRYSTTVDTLTQREPHSMLAAMFSGRHTVSEDPETGVVFVDRDGKHFRHILNWLRDGIIPILIEAEYQELLREAEYYQLLGFVEHINMQLNRKKEEDGSGPELTRKDVIKCIQSERVRFRGVNLSGLNLSKLDLSFVDFSYACIQKTCFRGANLQKAKFGNVEGHGSDFQDAYLHLSGAILDRANLQSANLQDACLIGGSLCEADLRTAHLQNAKLSDTNLEGANLEGANLKGAKLGGANLNRANLQRAYLRDVDLRDTQREGVRLNGANLLGAIR >DRNTG_32357.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7179396:7187625:-1 gene:DRNTG_32357 transcript:DRNTG_32357.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FH protein interacting protein FIP2 [Source:Projected from Arabidopsis thaliana (AT5G55000) UniProtKB/Swiss-Prot;Acc:Q9SE95] MGSSAPWSSSKVLLDIGGKRYSTTVDTLTQREPHSMLAAMFSGRHTVSEDPETGVVFVDRDGKHFRHILNWLRDGIIPILIEAEYQELLREAEYYQLLGFVEHINMQLNRKKEEDGSGPELTRKDVIKCIQSERVRFRGVNLSGLNLSKLDLSFVDFSYACIQKTCFRGANLQKAKFGNVEGHGSDFQDAYLHVNLSVQISLELYLIELIFKVQICKMHA >DRNTG_31063.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30689300:30693063:-1 gene:DRNTG_31063 transcript:DRNTG_31063.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGVLSMMSVVEDVLKQQGKRLNDVDLASRKAEEAAARRNEAAGWLRKTVGVVAAKDLPEEPSEEEFRLGLRSGMILCNVLNKVVPGAVPKVVETPGDSVIIPDGAALSAYQYFENVRNFLVALQDMGLPTFEASDLEQGGKSSRVVNCVLAIKSYSEWKQMGGNGSWKYGGNLKPVSSGKYFVRKNSEPFMNSLSRTNSMNDKYHEQNINRDSATEASEMNSSHSLRGLVRAVLLEKKPEEIPLLVESMLSKVMEEFERRIGSQNELVKTTSKDLDDGGRFISKPKVDIQEKNCPTSEAKDIGMNLVDEEAPKNDILLKQNTILAQQERHIQDLKHILCTTKAGMQYMKAQYSEEFNNLGKQLQYLYHAASGYHKVLEENRKLYNQVQDLKGNIRVYCRVRPFLPGQSSIMSTVGRVEDGNITINTPFKYGKEGRKSFSFNKVFGPSASQEEVFSDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPKVLNEQGRGVNYRALSDLFYLSEQRKDAFHYEIAVQMIEIYNEQVRDLLATDGINK >DRNTG_31906.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17495322:17500382:1 gene:DRNTG_31906 transcript:DRNTG_31906.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G30970) UniProtKB/Swiss-Prot;Acc:P46643] MASRAVIARRIFGRGGLGVAGARAMSTGWWGGVEPAAKDPILGVTEAFLADPSPNKVNVGVGAYRDDDGKPVVLECVREAERRISGNLNMEYLPMGGSIRMIEETLKLAYGENSELIKDKRIAAVQALSGTGACRLFADFQKRFLPDSQIYIPVPTWSNHHNIWRDAHVPQRTFHYYHPESKGLDFAGLMDDVKNAPNGSFFLLHACAHNPTGVDPSEEQWREISYQFKVKNHFAFFDMAYQGFASGDPERDAKAIRIFLEDGHLIGCAQSYAKNMGLYGQRVGCLSVLCEDEMQAVAVKSQLQQIARPMYSNPPVHGALIVSIILNDPDLKNLWLKEVKGMADRIIGMRTALRENLESLGSSLSWEHITNQIGMFCYSGLTPEQVDHLTNEFHIYMTRNGRISMAGVTTGNVGYLANAIHEVTKSS >DRNTG_10129.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19073375:19076461:1 gene:DRNTG_10129 transcript:DRNTG_10129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAGGGSRPKRALEGFEEMVDGEKRKRVKGSGTVSEGTRTYNSECLNVAECKSDGQRHKNSEVEAICQITEENVPTLLDIPINVPAVNRFHEQNAAPSSLGADGCQHFAEQQSNAPSKHHEEMNGSYPASKADKDCRSLTRTITGSKVGFTVIDASRAIEHNPFYPYKKLGQVKSTDTSECGSTTGPVEESEPLRMWKEMKQNGFLSSSHGGIPMPKQRGRHHR >DRNTG_10528.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3782830:3783738:1 gene:DRNTG_10528 transcript:DRNTG_10528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTICQMEKIFLPSFFTIMVHLIIHLVEEMKLGGPINFRWMYPFERFFMRFKSYVKNRTHPEGSIAEGYIAEECLTFCSRYLQGVETKFNRPMRNPNPPTNDQVKYLFSSVGQHIGKIEEIVLDEISLVQAHRYVLRHCDDIENFRREFIELEKRKRRRHSRLSEVELE >DRNTG_30666.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8714443:8715477:1 gene:DRNTG_30666 transcript:DRNTG_30666.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIKKMNRKPGDWNCRSCSHLNFSRRDSCQRCSEPRSANDRGDYASFGGGRSSGGSSFGFTGSDVRPGDWYCSCGAHNFASRSSCFKCGAFKEDSAMAGGSGGFDGDMPRRGYGFGGGGGGRAGWKSGDWICTRSGCNEHNFASRMECFRCNAPRDSGTEA >DRNTG_30666.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8714443:8715516:1 gene:DRNTG_30666 transcript:DRNTG_30666.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGDWNCRSCSHLNFSRRDSCQRCSEPRSANDRGDYASFGGGRSSGGSSFGFTGSDVRPGDWYCSCGAHNFASRSSCFKCGAFKEDSAMAGGSGGFDGDMPRRGYGFGGGGGGRAGWKSGDWICTRSGCNEHNFASRMECFRCNAPRDSGTEA >DRNTG_30666.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8714443:8715477:1 gene:DRNTG_30666 transcript:DRNTG_30666.9 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSHHIPHNYTTITQAFGIKKMNRKPGDWNCRSCSHLNFSRRDSCQRCSEPRSANDRGDYASFGGGRSSGGSSFGFTGSDVRPGDWYCSCGAHNFASRSSCFKCGAFKEDSAMAGGSGGFDGDMPRRGYGFGGGGGGRAGWKSGDWICTRSGCNEHNFASRMECFRCNAPRDSGTEA >DRNTG_30666.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8714584:8715666:1 gene:DRNTG_30666 transcript:DRNTG_30666.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIKKMNRKPGDWNCRSCSHLNFSRRDSCQRCSEPRSANDRGDYASFGGGRSSGGSSFGFTGSDVRPGDWYCSCGAHNFASRSSCFKCGAFKEDSAMAGGSGGFDGDMPRRGYGFGGGGGGRAGWKSGDWICTRSGCNEHNFASRMECFRCNAPRDSGTEA >DRNTG_30666.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8714443:8715516:1 gene:DRNTG_30666 transcript:DRNTG_30666.6 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSHHIPHNYTTITQAFGIKKMNRKPGDWNCRSCSHLNFSRRDSCQRCSEPRSANDRGDYASFGGGRSSGGSSFGFTGSDVRPGDWYCSCGAHNFASRSSCFKCGAFKEDSAMAGGSGGFDGDMPRRGYGFGGGGGGRAGWKSGDWICTRSGCNEHNFASRMECFRCNAPRDSGTEA >DRNTG_30666.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8714233:8715516:1 gene:DRNTG_30666 transcript:DRNTG_30666.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSHHIPHNYTTITQAFGIKKMNRKPGDWNCRSCSHLNFSRRDSCQRCSEPRSANDRGDYASFGGGRSSGGSSFGFTGSDVRPGDWYCSCGAHNFASRSSCFKCGAFKEDSAMAGGSGGFDGDMPRRGYGFGGGGGGRAGWKSGDWICTRSGCNEHNFASRMECFRCNAPRDSGTEA >DRNTG_30666.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8711474:8715477:1 gene:DRNTG_30666 transcript:DRNTG_30666.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGDWNCRSCSHLNFSRRDSCQRCSEPRSANDRGDYASFGGGRSSGGSSFGFTGSDVRPGDWYCSCGAHNFASRSSCFKCGAFKEDSAMAGGSGGFDGDMPRRGYGFGGGGGGRAGWKSGDWICTRSGCNEHNFASRMECFRCNAPRDSGTEA >DRNTG_30666.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8711474:8715666:1 gene:DRNTG_30666 transcript:DRNTG_30666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGDWNCRSCSHLNFSRRDSCQRCSEPRSANDRGDYASFGGGRSSGGSSFGFTGSDVRPGDWYCSCGAHNFASRSSCFKCGAFKEDSAMAGGSGGFDGDMPRRGYGFGGGGGGRAGWKSGDWICTRSGCNEHNFASRMECFRCNAPRDSGTEA >DRNTG_30666.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8714443:8715477:1 gene:DRNTG_30666 transcript:DRNTG_30666.10 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGDWNCRSCSHLNFSRRDSCQRCSEPRSANDRGDYASFGGGRSSGGSSFGFTGSDVRPGDWYCSCGAHNFASRSSCFKCGAFKEDSAMAGGSGGFDGDMPRRGYGFGGGGGGRAGWKSGDWICTRSGCNEHNFASRMECFRCNAPRDSGTEA >DRNTG_30666.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8714584:8715516:1 gene:DRNTG_30666 transcript:DRNTG_30666.11 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIKKMNRKPGDWNCRSCSHLNFSRRDSCQRCSEPRSANDRGDYASFGGGRSSGGSSFGFTGSDVRPGDWYCSCGAHNFASRSSCFKCGAFKEDSAMAGGSGGFDGDMPRRGYGFGGGGGGRAGWKSGDWICTRSGCNEHNFASRMECFRCNAPRDSGTEA >DRNTG_30666.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8711474:8715516:1 gene:DRNTG_30666 transcript:DRNTG_30666.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGDWNCRSCSHLNFSRRDSCQRCSEPRSANDRGDYASFGGGRSSGGSSFGFTGSDVRPGDWYCSCGAHNFASRSSCFKCGAFKEDSAMAGGSGGFDGDMPRRGYGFGGGGGGRAGWKSGDWICTRSGCNEHNFASRMECFRCNAPRDSGTEA >DRNTG_30666.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8714584:8715477:1 gene:DRNTG_30666 transcript:DRNTG_30666.12 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIKKMNRKPGDWNCRSCSHLNFSRRDSCQRCSEPRSANDRGDYASFGGGRSSGGSSFGFTGSDVRPGDWYCSCGAHNFASRSSCFKCGAFKEDSAMAGGSGGFDGDMPRRGYGFGGGGGGRAGWKSGDWICTRSGCNEHNFASRMECFRCNAPRDSGTEA >DRNTG_30471.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11388554:11391144:1 gene:DRNTG_30471 transcript:DRNTG_30471.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVHDIAEAIVGDITPFDGVSKSEKSRMEKEALDHMCKLLGGESRAKEIVELWTEYEENSSPEAKIVKDFDKVEMILQALEYEKEQGIDLDEFFQSTVGKFQTDVGRAWATEIASRRKKSD >DRNTG_30471.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11388554:11391144:1 gene:DRNTG_30471 transcript:DRNTG_30471.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVHDIAEAIVGDITPFDGVSKSEKSRMEKEALDHMCKLLGGESRAKEIVELWTEYEENSSPEAKIVKDFDKVEMILQALEYEKEQGIDLDEFFQSTVGKFQTDVGRAWATEIASRRKKSD >DRNTG_24481.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18886163:18886904:-1 gene:DRNTG_24481 transcript:DRNTG_24481.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLCSELGGLFLKAAQILGKPDLAPAAWVKRLVTLCDQAPATAFEVVQKILESELGQSFDETFEQFDVNPIGSASIAQVFI >DRNTG_24481.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18884202:18887369:-1 gene:DRNTG_24481 transcript:DRNTG_24481.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPSLQLQDLHERFSERLVPWHRSVQFWVRAADIYTGYKVCQLRARFVRDREKVEALWERQHEVAAEKMYSLCSELGGLFLKAAQILGKPDLAPAAWVKRLVTLCDQAPATAFEVVQKILESELGQSFDETFEQFDVNPIGSASIAQVHRARLRSAQSDVAVKVQHPGVQHLMTVDIHNLQAFVLFLQKTDIKFDLFSLTKEVQKQIGYEFDFLREAEAMERIRDFLDSNNKKSPVIVPRVIGGMVTRKVLVMEFIDGIPIMKLGDEMAKRHIDPGGRIASMAKRKILKNMTLAYGQMILKDGFFHADPHPGNILICKDSEASVLVLMSLK >DRNTG_24481.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18885917:18887369:-1 gene:DRNTG_24481 transcript:DRNTG_24481.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPSLQLQDLHERFSERLVPWHRSVQFWVRAADIYTGYKVCQLRARFVRDREKVEALWERQHEVAAEKMYSLCSELGGLFLKAAQILGKPDLAPAAWVKRLVTLCDQAPATAFEVVQKILESELGQSFDETFEQFDVNPIGSASIAQVHRARLRSAQSDVAVKV >DRNTG_24481.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18882017:18885969:-1 gene:DRNTG_24481 transcript:DRNTG_24481.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDIHNLQAFVLFLQKTDIKFDLFSLTKEVQKQIGYEFDFLREAEAMERIRDFLDSNNKKSPVIVPRVIGGMVTRKVLVMEFIDGIPIMKLGDEMAKRHIDPGGRIASMAKRKILKNMTLAYGQMILKDGFFHADPHPGNILICKDSEVALLDYGQVKQLPDDLRLGYAKLVLALADRDIPKASQSFKELGIETRSNCDDEQRELFHLAERMFDTRLPLGVTIMSPFAEDSSIRKVGVESFPEELFCVLRTIQLLRGLSVGLGINYSCSDLWRPIAEEVLLKAGRLKR >DRNTG_24481.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18882017:18887369:-1 gene:DRNTG_24481 transcript:DRNTG_24481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPSLQLQDLHERFSERLVPWHRSVQFWVRAADIYTGYKVCQLRARFVRDREKVEALWERQHEVAAEKMYSLCSELGGLFLKAAQILGKPDLAPAAWVKRLVTLCDQAPATAFEVVQKILESELGQSFDETFEQFDVNPIGSASIAQVHRARLRSAQSDVAVKVQHPGVQHLMTVDIHNLQAFVLFLQKTDIKFDLFSLTKEVQKQIGYEFDFLREAEAMERIRDFLDSNNKKSPVIVPRVIGGMVTRKVLVMEFIDGIPIMKLGDEMAKRHIDPGGRIASMAKRKILKNMTLAYGQMILKDGFFHADPHPGNILICKDSEVALLDYGQVKQLPDDLRLGYAKLVLALADRDIPKASQSFKELGIETRSNCDDEQRELFHLAERMFDTRLPLGVTIMSPFAEDSSIRKVGVESFPEELFCVLRTIQLLRGLSVGLGINYSCSDLWRPIAEEVLLKAGRLKR >DRNTG_09822.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000414.1:13390:14729:1 gene:DRNTG_09822 transcript:DRNTG_09822.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISGGPRTSGGECNPSKPSARPKWRDKMDATQSVLSCGFNGGWGLGFVGMKKGLWNWAKGEDN >DRNTG_09822.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000414.1:13390:14729:1 gene:DRNTG_09822 transcript:DRNTG_09822.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGGPRTSGGECNPSKPSARPKWRDKMDATQSVLSCGFNGGWGLGFVGMKKGLWNWAKGEDN >DRNTG_09822.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000414.1:13390:14729:1 gene:DRNTG_09822 transcript:DRNTG_09822.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISGGPRTSGGECNPSKPSARPKWRDKMDATQSVLSCGFNGGWGLGFVGMKKGLWNWAKGEDN >DRNTG_13441.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9097691:9098432:-1 gene:DRNTG_13441 transcript:DRNTG_13441.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAPKPGPLTDWSHPTMLGPTSYKASNLRSSQSYHKKDKK >DRNTG_27173.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:752222:756952:-1 gene:DRNTG_27173 transcript:DRNTG_27173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQRGTMEVLAEKAMLLRESLQKSQSNTESMVAILGSFDYRLSALDTAMRPTQVRTRSIRMAHDNINKTLKVAEVILAQFDLCRQAEAKILRGPHEDLESYLEAVDQLKKIGYFFSSYKSLMSREGVLNHINGLLAKAILKLEEEFKLLMSSYSKPVEPDRLFDCLPNSLRPTPAEHSGDIGKINAGASNAENQSKSLENGIYKPPTLIPPRIVPLLHDLAQQMAQAGRHQQCLKIYGDFRASALEQSLRKLGVERLNKDDVQKMQWEVLEAKIGNWIHFMRIAVKLLFAGEKKICDEIFDDVDNNLKEQCFADVTANSVTTLLSFGEAIAKSKRSPEKLFVLLDMYEIMRELYGEMQTIFEGKACFDMRDSALYLIKRLAQTAQETFGDFEEAVEKDASKTTVLDGTVHPLTSYVLNYVKFLFDYQTTLNLLFKEFDTGNESDSQLAVLTTRIMQALQTNLDGKSKQYKDQALTSLFLMNNIHYMVRSVRRCHPRGIDHLL >DRNTG_27173.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:752222:753102:-1 gene:DRNTG_27173 transcript:DRNTG_27173.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDCKCERHKIHRRLFWLVVAVILIILFIILIVWLALRPTKPRFILQNTDIIQFNLSSSSTLSSIIQATISSHNPNEHVGIYYDNLNVFISYKSQQITLPSSLSPAYQGHGDVDAWSPFLYGNTVPIAPSLCEAIVQDENAGLLLLFIKIDGRLRWKVGSWISGHYHIYVNCPALLTFVNGKASGYSPSLKFRQVSACTVDV >DRNTG_27173.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:752128:753102:-1 gene:DRNTG_27173 transcript:DRNTG_27173.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDCKCERHKIHRRLFWLVVAVILIILFIILIVWLALRPTKPRFILQNTDIIQFNLSSSSTLSSIIQATISSHNPNEHVGIYYDNLNVFISYKSQQITLPSSLSPAYQGHGDVDAWSPFLYGNTVPIAPSLCEAIVQDENAGLLLLFIKIDGRLRWKVGSWISGHYHIYVNCPALLTFVNGKASGYSPSLKFRQVSACTVDV >DRNTG_30663.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8687611:8687761:-1 gene:DRNTG_30663 transcript:DRNTG_30663.3 gene_biotype:protein_coding transcript_biotype:protein_coding KKIARPCTVCKGKGFYVCKLCGGNSTIKWSPLYDPIVINPCLCPTCDGNR >DRNTG_30663.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8682574:8687991:-1 gene:DRNTG_30663 transcript:DRNTG_30663.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGIPQPLRALASAAGFVVGGIFTLSLASSVAIRVLQNAAESKRKKIARPCTVCKGKGFYVCKLCGGNSTIKWSPLYDPIVINPCLCPTCDGNRVQRCLNCLGKCYV >DRNTG_30663.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8687355:8688566:-1 gene:DRNTG_30663 transcript:DRNTG_30663.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGIPQPLRALASAAGFVVGGIFTLSLASSVAIRVLQNAAESKRKKIARPCTVCKGKGFYVCKLCGGNSTIKWSPLYDPIVINPCLCPTCDGNRVQRCLNCLGKCYV >DRNTG_02958.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22586218:22586867:-1 gene:DRNTG_02958 transcript:DRNTG_02958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNLHQPPRPQPPTSINQDIATFIIPPQPRPPP >DRNTG_34436.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21252585:21252884:1 gene:DRNTG_34436 transcript:DRNTG_34436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWNLESRGGRGGGRGDDAAENGGGGTFWEWGHFRNKNGLF >DRNTG_05905.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18588341:18590652:1 gene:DRNTG_05905 transcript:DRNTG_05905.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMIPKVDLLPGGSPIPVIGLGTASFPPPPPDTFRTVVLDAIALGYRHFDTFSIYDTERPLGDAIAEALRRGLIQSRSELFIASKIWCTETYTDRVLPSLQKSLRNLGLEYLDLYLVHWPVSLKPTEVWIPIETEGMMPFDYSAVWEAMEECYKLGLAKSIGVSNFSCKKLEQILSFANIPPSVNQVEMHPLWQQKKLMEFCKEKRIVITAYSALGGYGHFWGNNDVIESKVLKEIASARGKTVCLRWLYQQGVTFIVKTFKTERMKENLDIFDWELTEEELKEIPTKERQHRGGFHRTQWTF >DRNTG_05905.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18588341:18590652:1 gene:DRNTG_05905 transcript:DRNTG_05905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMIPKVDLLPGGSPIPVIGLGTASFPPPPPDTFRTVVLDAIALGYRHFDTFSIYDTERPLGDAIAEALRRGLIQSRSELFIASKIWCTETYTDRVLPSLQKSLRNLGLEYLDLYLVHWPVSLKPTEVWIPIETEGMMPFDYSAVWEAMEECYKLGLAKSIGVSNFSCKKLEQILSFANIPPSVNQVEMHPLWQQKKLMEFCKEKRIVITAYSALGGYGHFWGNNDVIESKVLKEIASARGKTVALAFPINFLFFFFFYKNDDNLFWSRI >DRNTG_28604.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28541612:28544816:-1 gene:DRNTG_28604 transcript:DRNTG_28604.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKKKKKSKSKRNPNADHILASRYVHEWFLGGAPGPPLSHDSDEFLPPLPRYKAAERIVFEFHSHSKHSDGFLSPTALVERAHRNGVKVLALTDHDTMAGVSEAVQAGHKFGIKIIPGVEISAIFSSRYFISIAYRRFSTSL >DRNTG_28604.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28541612:28544816:-1 gene:DRNTG_28604 transcript:DRNTG_28604.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKKKKKSKSKRNPNADHILASRYVHEWFLGGAPGPPLSHDSDEFLPPLPRYKAAERIVFEFHSHSKHSDGFLSPTALVERAHRNGVKVLALTDHDTMAGVSEAVQAGHKFGIKIIPGVEISAIFSSREGSGAEESVHVLAYYGSCGPARYEELERVLSHIRDGRYDRAKNMLLKLRNLKMPIKWENVVKIAGSGVAPGRVHVARAMIEAGYVENLKQAFSRYLYNDGPAYAKGSEPSAEVVVQLICHTGGVAVLAHPWALKDPVSVIKSLKTAGLHAVEVYRSDGKMDEFSDLASIHDLVKIGGSDFHGRGGHDESDLGSVNLSVQTVFQFLKLARPIWCNAATEILLSFAADPSDMNLEHLTRFRKLKIQSGIPTDNNNKDVLSMCLTLWLTSEERETAEIEAIRLKLSDTQCC >DRNTG_00317.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2204312:2208744:-1 gene:DRNTG_00317 transcript:DRNTG_00317.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALAGNGLARMLAALAAALFIRFITSPGPALPPPNDDPESGSTDINGDEEESTGEVSPVTIRWNRITCFISDKRGKSVKFLLTNVSGEAKPGRLLVIMGPSGSGKTTLLNVLAGQLAASTRLSLSGHLDVNDKPRSSRTYKIAYVRQEDLFFSQLTVRETLSLAAELQLPDITSPEMRDEYVGKLLFRMGLINCADSIVGDAKVRGISGGEKKRLSLACELIASPSVIFADEPTTGLDAFQAERVMETLRQLAQEGHTVICSIHQPRGSIYSKFDDIVLLSEGSVVYAGPAKEEPLLYFSKFGYQCPDHVNPAEFLADLISVDYSSAETVHLSQKRIDDLIEAFSNHAPVIQCVSPVGESKEYTLPKRYGKKTIVKRKQGWWRQFWLLLKRAWMQALRDGPTNKVRARMSVASAVIFGSVFWRMGKTQASIQDRMGLLQVAAINTAMAALTKTVGVFAKERAIVDRERAKGSYTLGPYLLSKLIAEIPIGAAFPLVFGTILYPMARLNPTFSRFAKFCGIVTAESFAASAMGLSVGAMVPTTEAAMALGPSLMTVFIVFGGYYVNAENTPVVFRWIPQVSLIRWAFQGLCLNEFHGLQFEQQNSFDIQTGEQALERLSFGNSSIKDTMVAQGRILMFWYWTTYLLLQKNKPKYQQLQAPPPEQHQQYHNNY >DRNTG_24595.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28743844:28746379:-1 gene:DRNTG_24595 transcript:DRNTG_24595.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMPTHNYNFLYLGLIISLITITSPASAQLSVSFYDTTCPSVFNTVRFVVRSAINREARMGASLIRLFFHDCFVNGCDGGILLDDTGSFVGEKTAGPNANSVRGFDVIDRIKAQVDKACGSPVVSCADIVAIAARDSVVALGGPSYSVPVGRRDATTASKSTANTDLPSPFEDLSSIAQKFTNKGFTFRDMVALSGAHTVGFAQCRTFRTRLYNESNIEPNLATSLKTKCASTAGSTDSNLAPLDSQSPNRFGNNYYQGLINNKGLLHSDQVLFNGGQADSIVKIYSDDADTFNTDFASAMVKMGNLSPLTGTAGQVRVDCKKVN >DRNTG_26193.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29062932:29066326:-1 gene:DRNTG_26193 transcript:DRNTG_26193.18 gene_biotype:protein_coding transcript_biotype:protein_coding MLMFINSLLHYLTFEVLEPNWHLMHNRLRNVKSIDEVIQFHDFFLQKCLKECLLLWPQLLKKLEKLKAICLRYACSIQLLIPSVYNSEPDDAAEASSRMSKSRLRRSRINSPTLKSAAENSTISDSIMNFEKEFNAELHSLVPLLSNNSQTEPYLTRLAQCILGVGTDQ >DRNTG_26193.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29062932:29069748:-1 gene:DRNTG_26193 transcript:DRNTG_26193.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYLLLDQGDFLVHFMDIARDELAKRPDEISVEKLQSLLDLALRTTAAAADPCHEELACCVERTSLLKRLSILKDLDSPYPSNLGETVGDGDGDDLTKPVRVTGLETFSLSYKVQWPLSLVISRKALTKYQLIFRFLFHCKHVNRQLCVAWQVHQGFRCLNTLGTAISRSSLLCRSMLMFINSLLHYLTFEVLEPNWHLMHNRLRNVKSIDEVIQFHDFFLQKCLKECLLLWPQLLKKLEKLKAICLRYACSIQLLIPSVYNSEPDDAAEASSRMSKSRLRRSRINSPTLKSAAENSTISDSIMNFEKEFNAELHSLVPLLSNNSQTEPYLTRLAQCILGVGTDQ >DRNTG_26193.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29062932:29069748:-1 gene:DRNTG_26193 transcript:DRNTG_26193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYLLLDQGDFLVHFMDIARDELAKRPDEISVEKLQSLLDLALRTTAAAADPCHEELACCVERTSLLKRLSILKDLDSPYPSNLGETVGDGDGDDLTKPVRVTGLETFSLSYKVQWPLSLVISRKALTKYQLIFRFLFHCKHVNRQLCVAWQVHQGFRCLNTLGTAISRSSLLCRSMLMFINSLLHYLTFEVLEPNWHLMHNRLRNVKSIDEVIQFHDFFLQKCLKECLLLWPQLLKKLEKLKAICLRYACSIQLLIPSVYNSEPDDAAEASSRMSKSRLRRSRINSPTLKSAAENSTISDSIMNFEKEFNAELHSLVPLLSNNSQTEPYLTRLAQCILGVGTDQ >DRNTG_26193.24 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29062932:29064850:-1 gene:DRNTG_26193 transcript:DRNTG_26193.24 gene_biotype:protein_coding transcript_biotype:protein_coding MHNRLRNVKSIDEVIQFHDFFLQKCLKECLLLWPQLLKKLEKLKAICLRYACSIQLLIPSVYNSEPDDAAEASSRMSKSRLRRSRINSPTLKSAAENSTISDSIMNFEKEFNAELHSLVPLLSNNSQTEPYLTRLAQCILGVGTDQ >DRNTG_26193.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29066546:29069748:-1 gene:DRNTG_26193 transcript:DRNTG_26193.19 gene_biotype:protein_coding transcript_biotype:protein_coding METAPATPRWNVERPFLTGRFHLEIKVPPQPSGAKPYPVETFCRGSENVIGSYPVSVQGRYISIKGVRGKESRVVFQIDPSMDLALQELTQRIFPLCENYLLVSQFVEAKSQFKSGLVNHAFAAALRALLLDYQAMVAQLEHQFRIGRLSVQGLWFYCQPMMGSLHALGIVVEKVSADNLFGSATLNLLQSQAKAMAGDNAVRSLLEKMTQCANSAYMCILERWVYEGVIDDPYGEFFIAGNKALQKESLTQDYDAKYWQQRYSLKDSIPSFLTSVSGTILTTGKYLNVMRECGHNVQVFFCCS >DRNTG_26193.23 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29065317:29067498:-1 gene:DRNTG_26193 transcript:DRNTG_26193.23 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSLHALGIVVEKVSADNLFGSATLNLLQSQAKAMAGDNAVRSLLEKMTQCANSAYMCILERWVYEGVIDDPYGEFFIAGNKALQKESLTQDYDAKYWQQRYSLKDSIPSFLTSVSGTILTTGKYLNVMRECGHNVQVPVSENSKLMTFGSNHQYFECIKTAYDFASCELLNLMKDKYDLIGKLRAMKRYLLLDQGDFLVHFMDIARDELAKRPDEISVEKLQSLLDLALRTTAAAADPCHEELACCVERTSLLKRLSILKDLDSPYPSNLGETVGDGDGDDLTKPVRVTGLETFSLSYK >DRNTG_26193.21 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29065317:29067668:-1 gene:DRNTG_26193 transcript:DRNTG_26193.21 gene_biotype:protein_coding transcript_biotype:protein_coding MRECGHNVQVPVSENSKLMTFGSNHQYFECIKTAYDFASCELLNLMKDKYDLIGKLRAMKRYLLLDQGDFLVHFMDIARDELAKRPDEISVEKLQSLLDLALRTTAAAADPCHEELACCVERTSLLKRLSILKDLDSPYPSNLGETVGDGDGDDLTKPVRVTGLETFSLSYK >DRNTG_26193.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29062932:29069748:-1 gene:DRNTG_26193 transcript:DRNTG_26193.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYLLLDQGDFLVHFMDIARDELAKRPDEISVEKLQSLLDLALRTTAAAADPCHEELACCVERTSLLKRLSILKDLDSPYPSNLGETVGDGDGDDLTKPVRVTGLETFSLSYKVQWPLSLVISRKALTKYQLIFRFLFHCKHVNRQLCVAWQVHQGFRCLNTLGTAISRSSLLCRSMLMFINSLLHYLTFEVLEPNWHLMHNRLRNVKSIDEVIQFHDFFLQKCLKECLLLWPQLLKKLEKLKAICLRYACSIQLLIPSVYNSEPDDAAEASSRMSKSRLRRSRINSPTLKSAAENSTISDSIMNFEKEFNAELHSLVPLLSNNSQTEPYLTRLAQCILGVGTDQ >DRNTG_26193.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29062932:29069748:-1 gene:DRNTG_26193 transcript:DRNTG_26193.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYLLLDQGDFLVHFMDIARDELAKRPDEISVEKLQSLLDLALRTTAAAADPCHEELACCVERTSLLKRLSILKDLDSPYPSNLGETVGDGDGDDLTKPVRVTGLETFSLSYKVQWPLSLVISRKALTKYQLIFRFLFHCKHVNRQLCVAWQVHQGFRCLNTLGTAISRSSLLCRSMLMFINSLLHYLTFEVLEPNWHLMHNRLRNVKSIDEVIQFHDFFLQKCLKECLLLWPQLLKKLEKLKAICLRYACSIQLLIPSVYNSEPDDAAEASSRMSKSRLRRSRINSPTLKSAAENSTISDSIMNFEKEFNAELHSLVPLLSNNSQTEPYLTRLAQCILGVGTDQ >DRNTG_26193.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29062932:29069748:-1 gene:DRNTG_26193 transcript:DRNTG_26193.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQLEHQFRIGRLSVQGLWFYCQPMMGSLHALGIVVEKVSADNLFGSATLNLLQSQAKAMAGDNAVRSLLEKMTQCANSAYMCILERWVYEGVIDDPYGEFFIAGNKALQKESLTQDYDAKYWQQRYSLKDSIPSFLTSVSGTILTTGKYLNVMRECGHNVQVPVSENSKLMTFGSNHQYFECIKTAYDFASCELLNLMKDKYDLIGKLRAMKRYLLLDQGDFLVHFMDIARDELAKRPDEISVEKLQSLLDLALRTTAAAADPCHEELACCVERTSLLKRLSILKDLDSPYPSNLGETVGDGDGDDLTKPVRVTGLETFSLSYKVQWPLSLVISRKALTKYQLIFRFLFHCKHVNRQLCVAWQVHQGFRCLNTLGTAISRSSLLCRSMLMFINSLLHYLTFEVLEPNWHLMHNRLRNVKSIDEVIQFHDFFLQKCLKECLLLWPQLLKKLEKLKAICLRYACSIQLLIPSVYNSEPDDAAEASSRMSKSRLRRSRINSPTLKSAAENSTISDSIMNFEKEFNAELHSLVPLLSNNSQTEPYLTRLAQCILGVGTDQ >DRNTG_26193.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29062932:29069748:-1 gene:DRNTG_26193 transcript:DRNTG_26193.9 gene_biotype:protein_coding transcript_biotype:protein_coding MRECGHNVQVPVSENSKLMTFGSNHQYFECIKTAYDFASCELLNLMKDKYDLIGKLRAMKRYLLLDQGDFLVHFMDIARDELAKRPDEISVEKLQSLLDLALRTTAAAADPCHEELACCVERTSLLKRLSILKDLDSPYPSNLGETVGDGDGDDLTKPVRVTGLETFSLSYKVQWPLSLVISRKALTKYQLIFRFLFHCKHVNRQLCVAWQVHQGFRCLNTLGTAISRSSLLCRSMLMFINSLLHYLTFEVLEPNWHLMHNRLRNVKSIDEVIQFHDFFLQKCLKECLLLWPQLLKKLEKLKAICLRYACSIQLLIPSVYNSEPDDAAEASSRMSKSRLRRSRINSPTLKSAAENSTISDSIMNFEKEFNAELHSLVPLLSNNSQTEPYLTRLAQCILGVGTDQ >DRNTG_26193.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29062932:29066524:-1 gene:DRNTG_26193 transcript:DRNTG_26193.15 gene_biotype:protein_coding transcript_biotype:protein_coding MTFGSNHQYFECIKTAYDFASCELLNLMKDKYDLIGKLRAMKRYLLLDQGDFLVHFMDIARDELAKRPDEISVEKLQSLLDLALRTTAAAADPCHEELACCVERTSLLKRLSILKDLDSPYPSNLGETVGDGDGDDLTKPVRVTGLETFSLSYKVQWPLSLVISRKALTKYQLIFRFLFHCKHVNRQLCVAWQVHQGFRCLNTLGTAISRSSLLCRSMLMFINSLLHYLTFEVLEPNWHLMHNRLRNVKSIDEVIQFHDFFLQKCLKECLLLWPQLLKKLEKLKAICLRYACSIQLLIPSVYNSEPDDAAEASSRMSKSRLRRSRINSPTLKSAAENSTISDSIMNFEKEFNAELHSLVPLLSNNSQTEPYLTRLAQCILGVGTDQ >DRNTG_26193.26 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29066402:29067668:-1 gene:DRNTG_26193 transcript:DRNTG_26193.26 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQLEHQFRIGRLSVQGLWFYCQPMMGSLHALGIVVEKVSADNLFGSATLNLLQSQAKAMAGDNAVRSLLEKMTQCANSAYMCILERYPWPMGGTFVLLVSLKFLFIGNAFGLLLFLLCYNIL >DRNTG_26193.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29062932:29069748:-1 gene:DRNTG_26193 transcript:DRNTG_26193.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRECGHNVQVPVSENSKLMTFGSNHQYFECIKTAYDFASCELLNLMKDKYDLIGKLRAMKRYLLLDQGDFLVHFMDIARDELAKRPDEISVEKLQSLLDLALRTTAAAADPCHEELACCVERTSLLKRLSILKDLDSPYPSNLGETVGDGDGDDLTKPVRVTGLETFSLSYKVQWPLSLVISRKALTKYQLIFRFLFHCKHVNRQLCVAWQVHQGFRCLNTLGTAISRSSLLCRSMLMFINSLLHYLTFEVLEPNWHLMHNRLRNVKSIDEVIQFHDFFLQKCLKECLLLWPQLLKKLEKLKAICLRYACSIQLLIPSVYNSEPDDAAEASSRMSKSRLRRSRINSPTLKSAAENSTISDSIMNFEKEFNAELHSLVPLLSNNSQTEPYLTRLAQCILGVGTDQ >DRNTG_26193.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29062932:29066326:-1 gene:DRNTG_26193 transcript:DRNTG_26193.17 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYLLLDQGDFLVHFMDIARDELAKRPDEISVEKLQSLLDLALRTTAAAADPCHEELACCVERTSLLKRLSILKDLDSPYPSNLGETVGDGDGDDLTKPVRVTGLETFSLSYKVQWPLSLVISRKALTKYQLIFRFLFHCKHVNRQLCVAWQVHQGFRCLNTLGTAISRSSLLCRSMLMFINSLLHYLTFEVLEPNWHLMHNRLRNVKSIDEVIQFHDFFLQKCLKECLLLWPQLLKKLEKLKAICLRYACSIQLLIPSVYNSEPDDAAEASSRMSKSRLRRSRINSPTLKSAAENSTISDSIMNFEKEFNAELHSLVPLLSNNSQTEPYLTRLAQCILGVGTDQ >DRNTG_26193.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29062932:29069386:-1 gene:DRNTG_26193 transcript:DRNTG_26193.11 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYLLLDQGDFLVHFMDIARDELAKRPDEISVEKLQSLLDLALRTTAAAADPCHEELACCVERTSLLKRLSILKDLDSPYPSNLGETVGDGDGDDLTKPVRVTGLETFSLSYKVQWPLSLVISRKALTKYQLIFRFLFHCKHVNRQLCVAWQVHQGFRCLNTLGTAISRSSLLCRSMLMFINSLLHYLTFEVLEPNWHLMHNRLRNVKSIDEVIQFHDFFLQKCLKECLLLWPQLLKKLEKLKAICLRYACSIQLLIPSVYNSEPDDAAEASSRMSKSRLRRSRINSPTLKSAAENSTISDSIMNFEKEFNAELHSLVPLLSNNSQTEPYLTRLAQCILGVGTDQ >DRNTG_26193.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29062932:29069748:-1 gene:DRNTG_26193 transcript:DRNTG_26193.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQLEHQFRIGRLSVQGLWFYCQPMMGSLHALGIVVEKVSADNLFGSATLNLLQSQAKAMAGDNAVRSLLEKMTQCANSAYMCILERWVYEGVIDDPYGEFFIAGNKALQKESLTQDYDAKYWQQRYSLKDSIPSFLTSVSGTILTTGKYLNVMRECGHNVQVPVSENSKLMTFGSNHQYFECIKTAYDFASCELLNLMKDKYDLIGKLRAMKRYLLLDQGDFLVHFMDIARDELAKRPDEISVEKLQSLLDLALRTTAAAADPCHEELACCVERTSLLKRLSILKDLDSPYPSNLGETVGDGDGDDLTKPVRVTGLETFSLSYKVQWPLSLVISRKALTKYQLIFRFLFHCKHVNRQLCVAWQVHQGFRCLNTLGTAISRSSLLCRSMLMFINSLLHYLTFEVLEPNWHLMHNRLRNVKSIDEVIQFHDFFLQKCLKECLLLWPQLLKKLEKLKAICLRYACSIQLLIPSVYNSEPDDAAEASSRMSKSRLRRSRINSPTLKSAAENSTISDSIMNFEKEFNAELHSLVPLLSNNSQTEPYLTRLAQCILGVGTDQ >DRNTG_26193.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29062932:29069748:-1 gene:DRNTG_26193 transcript:DRNTG_26193.2 gene_biotype:protein_coding transcript_biotype:protein_coding METAPATPRWNVERPFLTGRFHLEIKVPPQPSGAKPYPVETFCRGSENVIGSYPVSVQGRYISIKGVRGKESRVVFQIDPSMDLALQELTQRIFPLCENYLLVSQFVEAKSQFKSGLVNHAFAAALRALLLDYQAMVAQLEHQFRIGRLSVQGLWFYCQPMMGSLHALGIVVEKVSADNLFGSATLNLLQSQAKAMAGDNAVRSLLEKMTQCANSAYMCILERWVYEGVIDDPYGEFFIAGNKALQKESLTQDYDAKYWQQRYSLKDSIPSFLTSVSGTILTTGKYLNVMRECGHNVQVPVSENSKLMTFGSNHQYFECIKTAYDFASCELLNLMKDKYDLIGKLRAMKRYLLLDQGDFLVHFMDIARDELAKRPDEISVEKLQSLLDLALRTTAAAADPCHEELACCVERTSLLKRLSILKDLDSPYPSNLGETVGDGDGDDLTKPVRVTGLETFSLSYKVQWPLSLVISRKALTKYQLIFRFLFHCKHVNRQLCVAWQVHQGFRCLNTLGTAISRSSLLCRSMLMFINSLLHYLTFEVLEPNWHLMHNRLRNVKSIDEVIQFHDFFLQKCLKECLLLWPQLLKKLEKLKAICLRYACSIQLLIPSVYNSEPDDAAEASSRMSKSRLRRSRINSPTLKSAAENSTISDSIMNFEKEFNAELHSLVPLLSNNSQTEPYLTRLAQCILGVGTDQ >DRNTG_26193.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29062932:29069386:-1 gene:DRNTG_26193 transcript:DRNTG_26193.12 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYLLLDQGDFLVHFMDIARDELAKRPDEISVEKLQSLLDLALRTTAAAADPCHEELACCVERTSLLKRLSILKDLDSPYPSNLGETVGDGDGDDLTKPVRVTGLETFSLSYKVQWPLSLVISRKALTKYQLIFRFLFHCKHVNRQLCVAWQVHQGFRCLNTLGTAISRSSLLCRSMLMFINSLLHYLTFEVLEPNWHLMHNRLRNVKSIDEVIQFHDFFLQKCLKECLLLWPQLLKKLEKLKAICLRYACSIQLLIPSVYNSEPDDAAEASSRMSKSRLRRSRINSPTLKSAAENSTISDSIMNFEKEFNAELHSLVPLLSNNSQTEPYLTRLAQCILGVGTDQ >DRNTG_26193.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29065317:29069748:-1 gene:DRNTG_26193 transcript:DRNTG_26193.13 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQLEHQFRIGRLSVQGLWFYCQPMMGSLHALGIVVEKVSADNLFGSATLNLLQSQAKAMAGDNAVRSLLEKMTQCANSAYMCILERWVYEGVIDDPYGEFFIAGNKALQKESLTQDYDAKYWQQRYSLKDSIPSFLTSVSGTILTTGKYLNVMRECGHNVQVPVSENSKLMTFGSNHQYFECIKTAYDFASCELLNLMKDKDLISE >DRNTG_26193.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29062932:29069519:-1 gene:DRNTG_26193 transcript:DRNTG_26193.10 gene_biotype:protein_coding transcript_biotype:protein_coding MDLALQELTQRIFPLCENYLLVSQFVEAKSQFKSGLVNHAFAAALRALLLDYQAMVAQLEHQFRIGRLSVQGLWFYCQPMMGSLHALGIVVEKVSADNLFGSATLNLLQSQAKAMAGDNAVRSLLEKMTQCANSAYMCILERYPWPMGGTFVLLVSLKFLFIGNAFGLLLFLLCYNIL >DRNTG_26193.27 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29062932:29063942:-1 gene:DRNTG_26193 transcript:DRNTG_26193.27 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLIYSACNSHSIDQYFLFAFCLSTYIIYMQKLEKLKAICLRYACSIQLLIPSVYNSEPDDAAEASSRMSKSRLRRSRINSPTLKSAAENSTISDSIMNFEKEFNAELHSLVPLLSNNSQTEPYLTRLAQCILGVGTDQ >DRNTG_26193.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29062598:29066113:-1 gene:DRNTG_26193 transcript:DRNTG_26193.16 gene_biotype:protein_coding transcript_biotype:protein_coding MDIARDELAKRPDEISVEKLQSLLDLALRTTAAAADPCHEELACCVERTSLLKRLSILKDLDSPYPSNLGETVGDGDGDDLTKPVRVTGLETFSLSYKVQWPLSLVISRKALTKYQLIFRFLFHCKHVNRQLCVAWQVHQGFRCLNTLGTAISRSSLLCRSMLMFINSLLHYLTFEVLEPNWHLMHNRLRNVKSIDEVIQFHDFFLQKCLKECLLLWPQLLKKLEKLKAICLRYACSIQLLIPSVYNSEPDDAAEASSRMSKSRLRRSRINSPTLKSAAENSTISDSIMNFEKEFNAELHSLVPLLSNNSQTEPYLTRLAQCILGVGTDQ >DRNTG_26193.22 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29062932:29065236:-1 gene:DRNTG_26193 transcript:DRNTG_26193.22 gene_biotype:protein_coding transcript_biotype:protein_coding MLMFINSLLHYLTFEVLEPNWHLMHNRLRNVKSIDEVIQFHDFFLQKCLKECLLLWPQLLKKLEKLKAICLRYACSIQLLIPSVYNSEPDDAAEASSRMSKSRLRRSRINSPTLKSAAENSTISDSIMNFEKEFNAELHSLVPLLSNNSQTEPYLTRLAQCILGVGTDQ >DRNTG_26193.25 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29062932:29064703:-1 gene:DRNTG_26193 transcript:DRNTG_26193.25 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSRLRRSRINSPTLKSAAENSTISDSIMNFEKEFNAELHSLVPLLSNNSQTEPYLTRLAQCILGVGTDQ >DRNTG_26193.20 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29062932:29066113:-1 gene:DRNTG_26193 transcript:DRNTG_26193.20 gene_biotype:protein_coding transcript_biotype:protein_coding MDIARDELAKRPDEISVEKLQSLLDLALRTTAAAADPCHEELACCVERTSLLKRLSILKDLDSPYPSNLGETVGDGDGDDLTKPVRVTGLETFSLSYKVQWPLSLVISRKALTKYQLIFRFLFHCKHVNRQLCVAWQVHQGFRCLNTLGTAISRSSLLCRSMLMFINSLLHYLTFEVLEPNWHLMHNRLRNVKSIDEVIQFHDFFLQKCLKECLLLWPQLLKKLEKLKAICLRYACSIQLLIPSVYNSEPDDAAEASSRMSKSRLRRSRINSPTLKSAAENSTISDSIMNFEKEFNAELHSLVPLLSNNSQTEPYLTRLAQCILGVGTDQ >DRNTG_26193.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29062932:29066767:-1 gene:DRNTG_26193 transcript:DRNTG_26193.14 gene_biotype:protein_coding transcript_biotype:protein_coding MRECGHNVQVPVSENSKLMTFGSNHQYFECIKTAYDFASCELLNLMKDKYDLIGKLRAMKRYLLLDQGDFLVHFMDIARDELAKRPDEISVEKLQSLLDLALRTTAAAADPCHEELACCVERTSLLKRLSILKDLDSPYPSNLGETVGDGDGDDLTKPVRVTGLETFSLSYKVQWPLSLVISRKALTKYQLIFRFLFHCKHVNRQLCVAWQVHQGFRCLNTLGTAISRSSLLCRSMLMFINSLLHYLTFEVLEPNWHLMHNRLRNVKSIDEVIQFHDFFLQKCLKECLLLWPQLLKKLEKLKAICLRYACSIQLLIPSVYNSEPDDAAEASSRMSKSRLRRSRINSPTLKSAAENSTISDSIMNFEKEFNAELHSLVPLLSNNSQTEPYLTRLAQCILGVGTDQ >DRNTG_16113.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1529131:1532678:-1 gene:DRNTG_16113 transcript:DRNTG_16113.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAPKDEHEAQIQFALERGIPAFLAVIGTQKLTFPDNVFDMIHCARCRVHWDADGGMPLLELNRILRPGGFFIWSATPVYRSDSRDQNVWNAMVALTEAFCWKVVAKETDAAGIGVVIYQKPVSNSCYEGRKADNPPICLQNRSHEISWYSPLGYCLPRIPVPQSGQESNWPISWPERLTNRPPSSQDGSNSISSENFLNDMTQWDMLVSKIYLHDLGIDWLKVRNVMDMNAGFGGFAAALINQPLWVMNVVPIHGPDTLPIIFDRGLIGVYHDWCEAFNTYPRTYDLLHSSFLFGGLAQRCDLVEVAMEMDRILRPGGWVLVQDTIEMLQKIQPILISLHWETTLHNQKFLVCKKGLWRPAN >DRNTG_16113.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1529131:1530842:-1 gene:DRNTG_16113 transcript:DRNTG_16113.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVALTEAFCWKVVAKETDAAGIGVVIYQKPVSNSCYEGRKADNPPICLQNRSHEISWYSPLGYCLPRIPVPQSGQESNWPISWPERLTNRPPSSQDGSNSISSENFLNDMTQWDMLVSKIYLHDLGIDWLKVRNVMDMNAGFGGFAAALINQPLWVMNVVPIHGPDTLPIIFDRGLIGVYHDWCEAFNTYPRTYDLLHSSFLFGGLAQRCDLVEVAMEMDRILRPGGWVLVQDTIEMLQKIQPILISLHWETTLHNQKFLVCKKGLWRPAN >DRNTG_16113.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1529131:1534325:-1 gene:DRNTG_16113 transcript:DRNTG_16113.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRLRYCPKPAPRCLVPLPVGYKTPVPWPRSRDMIWYNNVPHPKLIEYKKDQNWVRKSGDYLVFPGGGTQFKEGVTSYIQFIEKILPSIEWGKHTRVVLDVGCGVASFGGYLLDKSVLTMSFAPKDEHEAQIQFALERGIPAFLAVIGTQKLTFPDNVFDMIHCARCRVHWDADGGMPLLELNRILRPGGFFIWSATPVYRSDSRDQNVWNAMVALTEAFCWKVVAKETDAAGIGVVIYQKPVSNSCYEGRKADNPPICLQNRSHEISWYSPLGYCLPRIPVPQSGQESNWPISWPERLTNRPPSSQDGSNSISSENFLNDMTQWDMLVSKIYLHDLGIDWLKVRNVMDMNAGFGGFAAALINQPLWVMNVVPIHGPDTLPIIFDRGLIGVYHDWCEAFNTYPRTYDLLHSSFLFGGLAQRCDLVEVAMEMDRILRPGGWVLVQDTIEMLQKIQPILISLHWETTLHNQKFLVCKKGLWRPAN >DRNTG_09969.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:6106230:6114394:1 gene:DRNTG_09969 transcript:DRNTG_09969.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGPRRCLPWDVQFLAFYGGLRDTSHGVQYWVGTNSESLDDEESLPKATTTVVPPIPAPAPTPIATAAASLPPHPTIATSALEERLTRFDEGQGGRISGDRSGGRRCFFHIPTDLGGFLKICSPCNASSSEMEYLKKMMRSQSSSLGSVRDIKWKRLNSRKSLSLLNCTSENDGSMEADQVDSMPRQPNRMLFDYQTHSARPGYSSIGGGAMVELKPFRVNSDGRTLCANEYAWNKGGINSSFSKMFLNYSAECEKALNPGYMEIGNRDYYDIYAPFCNDPSKATTSEIISGMKEINPCVGDYVITYLNLPEVQ >DRNTG_18040.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7807027:7809580:-1 gene:DRNTG_18040 transcript:DRNTG_18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDVCTTVALVIDRVTKSKDMALVEEEKILCSTLDQVLIVENMMRNQNLELKRQTVILQAKFEIVYRIKTISFISRLAHLNECLLHALLFVPKPRNEINPKIPNQLLQLPPTPTPFRNGANSDRIRWRLALERSQTIEKNIGHNQT >DRNTG_23298.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1231995:1234104:1 gene:DRNTG_23298 transcript:DRNTG_23298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCVGKSESFVVRKEAPAIIQSYYDKCLVGCLGGEGGDEHDVFWFWQEKGKPYECPVCFQYFLLEVIGAGGSLDGHDDDHHH >DRNTG_12262.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24872465:24873586:-1 gene:DRNTG_12262 transcript:DRNTG_12262.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSKLSNSLKYLTQAYLGYDIQTGMQDPYEDCVAALRLYNRMRSQVHPPDYSSGSGEAQSRNNFASWKQRDLEKMTPEALLEISASDNYCWCLDSLSK >DRNTG_12262.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24873215:24873586:-1 gene:DRNTG_12262 transcript:DRNTG_12262.3 gene_biotype:protein_coding transcript_biotype:protein_coding AEHLRDAPPLKQVQRKIQDFLCNGEAIWKIRSRGGKARILVGHGLDHDLNCLGIEYPALLIR >DRNTG_12262.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24872465:24875529:-1 gene:DRNTG_12262 transcript:DRNTG_12262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPESSETQRNKCAACFKQYNRMEHLVEHMRISFHSAHEPNCGICQKHCRSFESLREHLIGPLPKIECAKIFSIRGCNLCLNLFDSSNALRIHRGSCQLSRIAPGLIHRMGRLNLQASTEIDTKARDPQVVALACKMVGGGSDGSLDICARVCLIDEDENIIFHSYVKPQIPVTNYRFETTGI >DRNTG_04590.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000221.1:7046:38842:1 gene:DRNTG_04590 transcript:DRNTG_04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINPDPFEGALDQEVENEDILMLGLEDKIQPTSGIMKKMLRKMKRARRRHKKCRKANGDKQEWSKGMVVRAEYEGKEANVDYNAPIFGGNLNKVEMQRHGVDEAIRAGYYGESCSNDKFPLLISRKCTGLSK >DRNTG_16817.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1533225:1534703:1 gene:DRNTG_16817 transcript:DRNTG_16817.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAHTSTTVSSTCETISSTFTHQPKSSLSSSSNLSNSGKLSQFSMQSAYCIAFCHQPVSFLVLSVVETLLSFELCSMLTSATWESKLTSIIS >DRNTG_27463.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21798319:21801438:1 gene:DRNTG_27463 transcript:DRNTG_27463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNFLNFQGLDLGDEYGIRRAYSEGDIQTLGRKKSCPGTTSIVHSSVNISHLKTDNKIEDRQQKLCRYMKKKSKRNFSRKIKYACRKALADSQPRVRGRFAKTDKSV >DRNTG_16357.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7733592:7735685:1 gene:DRNTG_16357 transcript:DRNTG_16357.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLEKHLARFVQSANTRFESVDGLFDQEESNEEVMMLGSTEEVTSTHGILKKVLRKMKRARRRHRKYSKTVGDAHEPRRHVNTCRVGQIFLENTQGRGLAPVGNLVNHARAWVISTRPCESLQRSSLHPEKTQERAAAPMNWTCECPRPWGISARACVTLSIFLGYPEKPQERAAAL >DRNTG_06636.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16429770:16430142:-1 gene:DRNTG_06636 transcript:DRNTG_06636.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGLYREDATHGGTVNGGDANPRLLALISRTTISQPFRQTLCGRREITLVFLLLLWM >DRNTG_11632.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000513.1:64453:64773:-1 gene:DRNTG_11632 transcript:DRNTG_11632.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKANACKAYREELPTDPDPARLGIEPTGPGWPVGPGSPGHRSRAPNRPGS >DRNTG_16795.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25392727:25394923:-1 gene:DRNTG_16795 transcript:DRNTG_16795.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLSFLRKKVGRGASVEAYPIPDEGILGRRVEDSPQDHRHDHQGGCEGIGNSKGSEDRLSWHNIGSSCINQVGRHKRSSCMRRCVPTSIVLQAKLLMEGHKGSHVSTFLLFVKISRPFKTH >DRNTG_06049.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32574876:32577212:1 gene:DRNTG_06049 transcript:DRNTG_06049.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEGGLELRLGLSCGGSSGKSKCKDRPSDTKPEEGSSSKGGNMNASDASFKNFFQADVQNKDQDRKQKQDSFWTDLGKCPAPATDNATGVHGNLSQFGRRQDLWASNSKTTDDDEEKSGSSKRKSPFEEGNLQKKHERAVEYADSVSKSPIGVTMKNSRVSFTTDDGSTGENEDIAESEAEGSNSWSVPPHDDSAKCSDIPKYTDKHALKDPALTGSQMQKGSCTSGTESTSELGKGPYGMPMQFQPLNVTTVPYPVPVKVPAPVNAPNTTGFPSPCVMQLMPLQIM >DRNTG_06049.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32574876:32577212:1 gene:DRNTG_06049 transcript:DRNTG_06049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEGGLELRLGLSCGGSSGKSKCKDRPSDTKPEEGSSSKGGNMNASDASFKNFFQADVQNKDQDRKQKQDSFWTDLGKCPAPATDNATGVHGNLSQFGRRQDLWASNSKTTDDDEEKSGSSKRKSPFEEGNLQKKHERAVEYADSVSKSPIGVTMKNSRVSFTTDDGSTGENEDIAESEAEGSNSWSVPPHDDSAKCSDIPKYTDKHALKDPALTGSQMQKGSCTSGTESTSELGKGPYGMPMQFQPLNVTTVPYPVPVKVPAPVNAPNTTGFPSPCVMQLMPLQIM >DRNTG_08157.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16142609:16146010:-1 gene:DRNTG_08157 transcript:DRNTG_08157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIATTSAIPEEEEEDEKKKKKKKAKPRSMIKVIAICGSLRKTSMNRGLIRSAIEICEEGIDGMKIEEVEIHLLPFINPDLEMESEVKFPPAVEAFRRRVHGADAFLFAFPEYNYSLPAPLKNAIDWASRPPNVMAGKTAAMVSAAGGSGGNRSQAHLRQIGVFLDLHFINKPEMFIKAHQPPKKFDSHGNLVDAHIKENLKSLLLSLQSFTLRLHPKLLSSS >DRNTG_19670.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001037.1:41205:42730:1 gene:DRNTG_19670 transcript:DRNTG_19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPLADDETILAPSAPDGVTVDDMAVTVEEIADDVAITAVDKIDDVAIAVVERAADSAASKMDTISEKHEPTNLVSPLDAVAVATVEKVVDSIVNEIIVTVEPTADSATSKADTIPQQQEACKDMSPLDATVVPASKEDDAGNAAEMATREKINANQKLEEVRKVFIPKKKKYIGQSRLNKYEQELTRIFLNCPMDNTVVWKNDSVSTTLSRLFNLLERKEMVADDVIDAFGDAHDTTMAMIGDAAHNLHDVEIVILPIIMNGHFHVLIIDNDKQEYRHYSSYQSKEYDKDALDMRNLFDFCIDMEFGESATAKYPFVHDTKTPHQK >DRNTG_19706.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001043.1:41261:43225:1 gene:DRNTG_19706 transcript:DRNTG_19706.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIWIKIQLNSDHAVEDMGKKRIAHHPESDLKKKKKKKKKKKKKIGVSSRPKDYCFSARLFILPL >DRNTG_10066.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000421.1:9872:10305:1 gene:DRNTG_10066 transcript:DRNTG_10066.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESSRCRRIQIRIRPSSGFAIAPFSNSFLLYIGSDQNLVGSLHPPESSSPSYASTRRLILEEEEE >DRNTG_31858.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1728780:1731051:-1 gene:DRNTG_31858 transcript:DRNTG_31858.9 gene_biotype:protein_coding transcript_biotype:protein_coding MHQQKSIRGLLKENNQSFKKVYIGTASHSLRMKWKMLEKTHQRPQRKGQVNHATLEKLL >DRNTG_31858.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1728780:1731051:-1 gene:DRNTG_31858 transcript:DRNTG_31858.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRQNFHCGLVNQSLTLSKLSILSCIKNTDNKSPIGIASHPSGSSSSKNQSFRKEPKSSSHPSREEIKNSFSEEIFKEKSSKIGEKKKTQTGITNHRLDLHTKKSIQERDRYSRHRFE >DRNTG_31858.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1728780:1731051:-1 gene:DRNTG_31858 transcript:DRNTG_31858.8 gene_biotype:protein_coding transcript_biotype:protein_coding MHQQKSIRGLLKENNQSFKKVYIGTASHSLRMKWKMLEKTHQRPQRKGQVNHATLEKLL >DRNTG_31858.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1728780:1731051:-1 gene:DRNTG_31858 transcript:DRNTG_31858.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRQNFHCGLVNQSLTLSKLSILSCIKNTDNKSPIGIASHPSGSSSSKNQSFRKEPKSSSHPSREEIKNSNPSKTTVRNRMKTSGSPSLKIHTKGNPSTKRGGKGKSNQ >DRNTG_31858.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1728780:1731088:-1 gene:DRNTG_31858 transcript:DRNTG_31858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQQKSIRGLLKENNQSFKKVYIGTASHSLRMKWKMLEKTHQRPQRKGQVNHATLEKLL >DRNTG_31858.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1728780:1731051:-1 gene:DRNTG_31858 transcript:DRNTG_31858.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRQNFHCGLVNQSLTLSKLSILSCIKNTDNKSPIGIASHPSGSSSSKNQSFRKEPKSSSHPSREEIKNSNPSKTTVRNRMKTSGSPSLKIHTKGNPSTKRGGKGKSNQ >DRNTG_31858.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1728780:1731051:-1 gene:DRNTG_31858 transcript:DRNTG_31858.10 gene_biotype:protein_coding transcript_biotype:protein_coding MHQQKSIRGLLKENNQSFKKVYIGTASHSLRMKWKMLEKTHQRPQRKGQVNHATLEKLL >DRNTG_31858.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1728780:1731051:-1 gene:DRNTG_31858 transcript:DRNTG_31858.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHQQKSIRGLLKENNQSFKKVYIGTASHSLRMKWKMLEKTHQRPQRKGQVNHATLEKLL >DRNTG_31858.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1728780:1731051:-1 gene:DRNTG_31858 transcript:DRNTG_31858.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRQNFHCGLVNQSLTLSKLSILSCIKNTDNKSPIGIASHPSGSSSSKNQSFRKEPKSSSHPSREEIKNSFSEEIFKEKSSKIGEKKKTQTGITNHRLDLHTKKSIQERDRYSRHRFE >DRNTG_31858.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1728780:1731051:-1 gene:DRNTG_31858 transcript:DRNTG_31858.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHQQKSIRGLLKENNQSFKKVYIGTASHSLRMKWKMLEKTHQRPQRKGQVNHATLEKLL >DRNTG_33859.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7301629:7303674:-1 gene:DRNTG_33859 transcript:DRNTG_33859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRGKARVRNDVNLETQNNQTPNQVDDENVEINLDCSWSSSTQASNEICSSSTTPNKRHRKVNVRGKAKGVKSGEGIEVEIYDNRIITLKAISEIHVLFHKKIIGEPSNGRVLGLGTGIQGKDAYGSSSSQTCSKRCKEIQKIKEKEWEDRFKQMESTIVKLQQQGGDSDLRDVMANSQENIRDVPHGNANEKDGNENSLEEDSKNDDDDNENEDSCEDDDD >DRNTG_30733.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:27340753:27350665:1 gene:DRNTG_30733 transcript:DRNTG_30733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLEKALTRFVQLLDTRFQSIEATHHNQTTSLHTHENKVGQITKSLSERPQGSLPSNTETNPREHVKVITLRSGCEVEDRFQSEKPNEHAPEVSGRAFCRHRSGEVYPRPDKGTFGRV >DRNTG_23958.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16228486:16229148:1 gene:DRNTG_23958 transcript:DRNTG_23958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGYRASPPFIFLPTQQLVLLVLLLCLALIMPLIISTSTSNVTRGCIKDEREALLAFKAEIIYHKVHPISLWGDQADDCYYWADVRCDNNSGHIVLLDLQRKQPGQYYDYDVWNVRCDEWDLSGNISESLIGLQHLTYLDLSGNCFINISILKLLGLLENLVYLDLSNIGFTGVIPHHLGNLTKLHYLNLTTNELLKLGVVGSEYSSEKKKKFLTEISN >DRNTG_16479.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28508668:28509705:-1 gene:DRNTG_16479 transcript:DRNTG_16479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSKGEKARPSSPASVVCCMCGDQGMPQELFRCKTCLIRSQHKYCSDLYPKTETYKTCNWCIREEASKSNNMNTNSDQNSPVLSSNSSNGGGGSGNNGGGGGGLAPAPATATATAAATVVKLQRGAFPSHLNKPVKKQRLPEKSPDDLSPTTGKPSRHVNLRAKVRRYKLLEEVSS >DRNTG_16479.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28508668:28509593:-1 gene:DRNTG_16479 transcript:DRNTG_16479.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSKGEKARPSSPASVVCCMCGDQGMPQELFRCKTCLIRSQHKYCSDLYPKTETYKTCNWCIREEASKSNNMNTNSDQNSPVLSSNSSNGGGGSGNNGGGGGGLAPAPATATATAAATVVKLQRGAFPSHLNKPVKKQRLPEKSPDDLSPTTGKPSRHVNLRAKVRRYKLLEEVSS >DRNTG_32144.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20790972:20793428:-1 gene:DRNTG_32144 transcript:DRNTG_32144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRADEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLQVEGRTVKAQIWDTAGQERYRAITSAYYRGALGALLVYDVTKPTSFENVSRWLKELRDHADSNIVIMLIGNKIDLKHLRAVASEDAQSFAEKEGLSFIETSALEAMNVEKAFQMILAEIYRIISKKSLSSSDEPGAGTTGGIKDGTTINVSAETSITKKQCCST >DRNTG_16594.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000828.1:14172:16202:1 gene:DRNTG_16594 transcript:DRNTG_16594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYIRDAPRASVHKDKVADGKVVLAIEYKSASEVKEAAAPPPPTPPPPPPPPEPVKEEVPAPKQIDLLDLNDSTIPDSSDLDNKNALALAIVPIDNLSTTTSSSGLATENTGTTGWELALVTAPSSNETAVASSKLAGGLDKLTLDSLYDDANRRANQNVSYNPWEVGPMASPMMQPMAHDPFYASNAIAAPHSVQMAAMAQQQQAFMLQQQMMMMGQQPHQAPLNPFAANPYSAVGTHPYGSGMPFQSSNTYTGYM >DRNTG_16594.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000828.1:14811:16202:1 gene:DRNTG_16594 transcript:DRNTG_16594.2 gene_biotype:protein_coding transcript_biotype:protein_coding PQCHTLEFNCTFILGNIGVSLISCKQVADGKVVLAIEYKSASEVKEAAAPPPPTPPPPPPPPEPVKEEVPAPKQIDLLDLNDSTIPDSSDLDNKNALALAIVPIDNLSTTTSSSGLATENTGTTGWELALVTAPSSNETAVASSKLAGGLDKLTLDSLYDDANRRANQNVSYNPWEVGPMASPMMQPMAHDPFYASNAIAAPHSVQMAAMAQQQQAFMLQQQMMMMGQQPHQAPLNPFAANPYSAVGTHPYGSGMPFQSSNTYTGYM >DRNTG_03338.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000155.1:4317:5877:-1 gene:DRNTG_03338 transcript:DRNTG_03338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGNIACHKSEARGRFPTTRGMPREPGFRDEAFWSRQVNFGIPNPAKARPVRPRKATRLGVEDP >DRNTG_09090.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6151151:6158820:-1 gene:DRNTG_09090 transcript:DRNTG_09090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLKEYVPTVFGNFSANVVVDGSTVNLGLWDKTGQKDYNRLRPLSYCGADVFLLAFSLISKANYENVSKKEDVELMSKLGFDAYRFLISWSRIFPDGLGTKINEDGIACYNNLINFMLEKGIQPYVTLCHWDLPQNLYESIGGWLSEKNCVSFYQSISQ >DRNTG_09090.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6151151:6158820:-1 gene:DRNTG_09090 transcript:DRNTG_09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLGFDAYRFLISWSRIFPDGLGTKINEDGIACYNNLINFMLEKGIQPYVTLCHWDLPQNLYESIGGWLSEKNCVSFYQSISQ >DRNTG_09090.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6151151:6157451:-1 gene:DRNTG_09090 transcript:DRNTG_09090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLGFDAYRFLISWSRIFPDGLGTKINEDGIACYNNLINFMLEKGIQPYVTLCHWDLPQNLYESIGGWLSEKNCLECCERNYSYTTRAWVICW >DRNTG_03654.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:17789828:17790486:-1 gene:DRNTG_03654 transcript:DRNTG_03654.1 gene_biotype:protein_coding transcript_biotype:protein_coding NNGNLRGIRKGWSEERKSHQNPSEGYYSHFIST >DRNTG_10723.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21687732:21688612:1 gene:DRNTG_10723 transcript:DRNTG_10723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEMLSAVVPVIVYWVFSGFYEISPCTRSSVVPYVWGTQYISLLDGLIFDTLGSFLAFLMSGMTIRTSIYFYSFIVIKFLDLHSGLYFPWNPLQAFFPNNCAFYYAHHQLQGQKYNFSQPFFVS >DRNTG_09077.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5945110:5946847:-1 gene:DRNTG_09077 transcript:DRNTG_09077.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMFPLCFDLRIWLRIVCLEQLILCQVDGNGTLLPSVYPILGKKKSYQDVAINLGKELVCLLLLLLYVMRNIDLVYGGGNIRLMGLISQTVLDGGRVIPKSLMYKELITGVTIGEIKPVETMHQRKAEMSLHADAFIATPGGYRTLEELFEVITWAQLGIHNKPVI >DRNTG_29791.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27403767:27408167:-1 gene:DRNTG_29791 transcript:DRNTG_29791.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NCA1 [Source:Projected from Arabidopsis thaliana (AT3G54360) UniProtKB/Swiss-Prot;Acc:Q9M2V1] MSSGCPFAKTAPVGAVCPMRSGGDVDKHEARGEDGDRSQNNGSETVPAKCPFGYDSHTFKLGPLSCMICKALLFESSKCVPCAHKFCKACISRFKDCPLCGADIDKIEPDSDLQNTVERFINGHARIKRAQVNADGSEIQEQKNVIYADVSMERGAFLVQQAMRAFQAKNIESARSRLSLCAEDIREQLQSLGNTAELCSQLGAILGMLGDCCRAMGDAGQAVDYYEESVEFLSKVPAKDLELVHTLSVSLNKIGDLKYYDGDLQSARSYYMRSLDVRREAAKENSNVSSQIIDVAVSLAKVADVDRTLKNEAGAITGFQEAVELLESLKLNSDADESNLEQRRVSVLDFVRGQIEEKAV >DRNTG_22613.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9351149:9352213:-1 gene:DRNTG_22613 transcript:DRNTG_22613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSQGRPQWRVHAHVCFQHNLPISAGIHTPMRKLPTGVRESHGRSQE >DRNTG_22711.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1451634:1452644:1 gene:DRNTG_22711 transcript:DRNTG_22711.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRQHIRNLLSHLSPREREIIQWRFGINNSKPMTLSEIGNIYGLSKERVRQVETHAMNKLKSYLPTQGLQAYAELLT >DRNTG_22711.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1451634:1454598:1 gene:DRNTG_22711 transcript:DRNTG_22711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRQHIRNLLSHLSPREREIIQWRFGINNSKPMTLSEIGNIYGLSKERVRQVETHAMNKLKSYLPTQGLQAYAELLT >DRNTG_16771.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22186813:22190545:1 gene:DRNTG_16771 transcript:DRNTG_16771.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGSKPDAFQTDGSNVRFVAAELAADLIVNVGDVKFYLHKFPLLSKSPLLKRLVANTNDDDDDDEIYIPDIPGGPAAFEICAKFCYGMIVTLNAYNVVAARCAAEYLEMHETIEKGNLIYKIEVFLNSSIFRSWKDSIIVLQTTKSLLPWSEDLKVIKHCVDSIASKASINPSEVEWSYTYNRKRLTSENGIESQWNGVRKQQSVPKDWWVEDLCELEMDLYKQVIMAIKMKGRMTSEVIGEALKAYTYRRLSGFARGAVNLSGDVVKNRTLLETVIRLLPPDFGSVSCSFLLKLLKAACLLDCGEVSKRGLIKRIGQQLEEASVPDLLIPSPDEDTLYHVDIVMNIVEEFFMQENSSTHSSPHVSEEVQDIRSPGFVSTSAKVAVTRLVDGYLTEIAKDPNLPLSKFLDVAEMASGSSRPVHDALYCAIDMYLKEHLDMTKSEKKKICSLMDCKKLSADACMHAVQNERLPLRVVVQVLFFEQMRASMTGGGTEVSGGIRSLLPRENGGSYGSSRSAATTNTDDHWDAINTIKSMRLANNGAGSEKSSGSSDMHKNGGADKTNGKAKGSTMPKKILSKLFSSKAQSGENSSSSDTSESPGSVNPEVPRSTPSRNVRHSVS >DRNTG_16771.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22186256:22190545:1 gene:DRNTG_16771 transcript:DRNTG_16771.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGSKPDAFQTDGSNVRFVAAELAADLIVNVGDVKFYLHKFPLLSKSPLLKRLVANTNDDDDDDEIYIPDIPGGPAAFEICAKFCYGMIVTLNAYNVVAARCAAEYLEMHETIEKGNLIYKIEVFLNSSIFRSWKDSIIVLQTTKSLLPWSEDLKVIKHCVDSIASKASINPSEVEWSYTYNRKRLTSENGIESQWNGVRKQQSVPKDWWVEDLCELEMDLYKQVIMAIKMKGRMTSEVIGEALKAYTYRRLSGFARGAVNLSGDVVKNRTLLETVIRLLPPDFGSVSCSFLLKLLKAACLLDCGEVSKRGLIKRIGQQLEEASVPDLLIPSPDEDTLYHVDIVMNIVEEFFMQENSSTHSSPHVSEEVQDIRSPGFVSTSAKVAVTRLVDGYLTEIAKDPNLPLSKFLDVAEMASGSSRPVHDALYCAIDMYLKEHLDMTKSEKKKICSLMDCKKLSADACMHAVQNERLPLRVVVQVLFFEQMRASMTGGGTEVSGGIRSLLPRENGGSYGSSRSAATTNTDDHWDAINTIKSMRLANNGAGSEKSSGSSDMHKNGGADKTNGKAKGSTMPKKILSKLFSSKAQSGENSSSSDTSESPGSVNPEVPRSTPSRNVRHSVS >DRNTG_16771.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22186256:22190545:1 gene:DRNTG_16771 transcript:DRNTG_16771.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGSKPDAFQTDGSNVRFVAAELAADLIVNVGDVKFYLHKFPLLSKSPLLKRLVANTNDDDDDDEIYIPDIPGGPAAFEICAKFCYGMIVTLNAYNVVAARCAAEYLEMHETIEKGNLIYKIEVFLNSSIFRSWKDSIIVLQTTKSLLPWSEDLKVIKHCVDSIASKASINPSEVEWSYTYNRKRLTSENGIESQWNGVRKQQSVPKDWWVEDLCELEMDLYKQVIMAIKMKGRMTSEVIGEALKAYTYRRLSGFARGAVNLSGDVVKNRTLLETVIRLLPPDFGSVSCSFLLKLLKAACLLDCGEVSKRGLIKRIGQQLEEASVPDLLIPSPDEDTLYHVDIVMNIVEEFFMQENSSTHSSPHVSEEVQDIRSPGFVSTSAKVAVTRLVDGYLTEIAKDPNLPLSKFLDVAEMASGSSRPVHDALYCAIDMYLKEHLDMTKSEKKKICSLMDCKKLSADACMHAVQNERLPLRVVVQVLFFEQMRASMTGGGTEVSGGIRSLLPRENGGSYGSSRSAATTNTDDHWDAINTIKSMRLANNGAGSEKSSGSSDMHKNGGADKTNGKAKGSTMPKKILSKLFSSKAQSGENSSSSDTSESPGSVNPEVPRSTPSRNVRHSVS >DRNTG_16771.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22186256:22190545:1 gene:DRNTG_16771 transcript:DRNTG_16771.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGSKPDAFQTDGSNVRFVAAELAADLIVNVGDVKFYLHKFPLLSKSPLLKRLVANTNDDDDDDEIYIPDIPGGPAAFEICAKFCYGMIVTLNAYNVVAARCAAEYLEMHETIEKGNLIYKIEVFLNSSIFRSWKDSIIVLQTTKSLLPWSEDLKVIKHCVDSIASKASINPSEVEWSYTYNRKRLTSENGIESQWNGVRKQQSVPKDWWVEDLCELEMDLYKQVIMAIKMKGRMTSEVIGEALKAYTYRRLSGFARGAVNLSGDVVKNRTLLETVIRLLPPDFGSVSCSFLLKLLKAACLLDCGEVSKRGLIKRIGQQLEEASVPDLLIPSPDEDTLYHVDIVMNIVEEFFMQENSSTHSSPHVSEEVQDIRSPGFVSTSAKVAVTRLVDGYLTEIAKDPNLPLSKFLDVAEMASGSSRPVHDALYCAIDMYLKEHLDMTKSEKKKICSLMDCKKLSADACMHAVQNERLPLRVVVQVLFFEQMRASMTGGGTEVSGGIRSLLPRENGGSYGSSRSAATTNTDDHWDAINTIKSMRLANNGAGSEKSSGSSDMHKNGGADKTNGKAKGSTMPKKILSKLFSSKAQSGENSSSSDTSESPGSVNPEVPRSTPSRNVRHSVS >DRNTG_16771.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22186256:22190545:1 gene:DRNTG_16771 transcript:DRNTG_16771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGSKPDAFQTDGSNVRFVAAELAADLIVNVGDVKFYLHKFPLLSKSPLLKRLVANTNDDDDDDEIYIPDIPGGPAAFEICAKFCYGMIVTLNAYNVVAARCAAEYLEMHETIEKGNLIYKIEVFLNSSIFRSWKDSIIVLQTTKSLLPWSEDLKVIKHCVDSIASKASINPSEVEWSYTYNRKRLTSENGIESQWNGVRKQQSVPKDWWVEDLCELEMDLYKQVIMAIKMKGRMTSEVIGEALKAYTYRRLSGFARGAVNLSGDVVKNRTLLETVIRLLPPDFGSVSCSFLLKLLKAACLLDCGEVSKRGLIKRIGQQLEEASVPDLLIPSPDEDTLYHVDIVMNIVEEFFMQENSSTHSSPHVSEEVQDIRSPGFVSTSAKVAVTRLVDGYLTEIAKDPNLPLSKFLDVAEMASGSSRPVHDALYCAIDMYLKEHLDMTKSEKKKICSLMDCKKLSADACMHAVQNERLPLRVVVQVLFFEQMRASMTGGGTEVSGGIRSLLPRENGGSYGSSRSAATTNTDDHWDAINTIKSMRLANNGAGSEKSSGSSDMHKNGGADKTNGKAKGSTMPKKILSKLFSSKAQSGENSSSSDTSESPGSVNPEVPRSTPSRNVRHSVS >DRNTG_13006.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26660021:26663030:-1 gene:DRNTG_13006 transcript:DRNTG_13006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPRRRHGGIPAIPRGVPQALQTSKFRSISSSSFHSLFRLNLSSSSSAFVSIMTRHLLGFGGLLGTLQQRSDIRLSFEVLQDAASVTWTSEVLQHEFMIEVIHEKAQKYRGNPCWCSKHLHARLIILSALPIVLMLLLSSMKRKLMMDAEYS >DRNTG_15985.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5158397:5161390:1 gene:DRNTG_15985 transcript:DRNTG_15985.8 gene_biotype:protein_coding transcript_biotype:protein_coding MFTFFQVGAADYIAIARTYHTVFISDIPVMSMRIRDKARRFITLIDELYNHHCSLFCSAASSIENLFQGTDEGTLFDLERLFILTL >DRNTG_15985.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5158397:5161390:1 gene:DRNTG_15985 transcript:DRNTG_15985.7 gene_biotype:protein_coding transcript_biotype:protein_coding MFTFFQVGAADYIAIARTYHTVFISDIPVMSMRIRDKARRFITLIDELYNHHCSLFCSAASSIENLFQGTDEGTLFDLESFQFETEAEGGKLRRDVLAAGSVGSGPAVPGIVSLLSGQEEMFAFRRAVSRLIEMQTPLYAEGVGLHHPFFQHSRQQMLGHSGPAKSNPSAYSPF >DRNTG_15985.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5151519:5161390:1 gene:DRNTG_15985 transcript:DRNTG_15985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGFLRASSFLLSRHASPQPSLFIGVRFYASDTPPDHHHRSQQDQRCPGPLTLYRSMVKQGKLQHDVYQEKVAFELEDLLVKLGQYEKEMEEYHKDLANWENKREIERRKLLLEEAELKQHSGVWGETNSGGSRFLDKWLSRRRRVNVEPGVGKMVSYLNREKKLDSVVGRQPVTPVAPKGIYLYGNVGTGKTMLMDMFYNATKGVVRHRRRFHFHEAMLEIHEHMHEVWKNQIEDRTVTSSIFSWIENLPFDMKVKEWLIGEERYRQKAQLKHILPAVADKFLVDRQANKRGASLLCFDEIQTVDVFAIVALSGIVSRLLSTGTVLVATSNRAPEDLNQDEMQKEIFAKFVSNLEKNCSNILIGSEVDYRRLIPNRKTDQVYYFSPEDSDCQRKFEKTWCNLITQSGAVIISETIPVMFGRSLEVPESCNGIARFDFEYLCGRPVGAADYIAIARTYHTVFISDIPVMSMRIRDKARRFITLIDELYNHHCSLFCSAASSIENLFQGTDEGTLFDLESFQFETEAEGGKLRRDVLAAGSVGSGPAVPGIVSLLSGQEEMFAFRRAVSRLIEMQTPLYAEGVGLHHPFFQHSRQQMLGHSGPAKSNPSAYSPF >DRNTG_15985.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5151519:5154561:1 gene:DRNTG_15985 transcript:DRNTG_15985.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGFLRASSFLLSRHASPQPSLFIGVRFYASDTPPDHHHRSQQDQRCPGPLTLYRSMVKQGKLQHDVYQEKVAFELEDLLVKLGQYEKEMEEYHKDLANWENKREIERRKLLLEEAELKQHSGVWGETNSGGSRFLDKWLSRRRRVNVEPGVGKMVSYLNREKKLDSVVGRQPVTPVAPKGIYLYGNVGTGKTMLMDMFYNATKGVVRHRRRFHFHE >DRNTG_15985.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5154444:5156454:1 gene:DRNTG_15985 transcript:DRNTG_15985.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAGKTMLMDMFYNATKGVVRHRRRFHFHEAMLEIHEHMHEVWKNQIEDRTVTSSIFSWIENLPFDMKVKEWLIGEERYRQKAQLKHILPAVADKFLVDRQANKRGASLLCFDEIQTVDVFAIVALSGIVSRLLSTGTVLVATSNRAPEDLNQ >DRNTG_15985.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5154810:5161390:1 gene:DRNTG_15985 transcript:DRNTG_15985.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIHEHMHEVWKNQIEDRTVTSSIFSWIENLPFDMKVKEWLIGEERYRQKAQLKHILPAVADKFLVDRQANKRGASLLCFDEIQTVDVFAIVALSGIVSRLLSTGTVLVATSNRAPEDLNQDEMQKEIFAKFVSNLEKNCSNILIGSEVDYRRLIPNRKTDQVYYFSPEDSDCQRKFEKTWCNLITQSGAVIISETIPVMFGRLVCLSIAFIFLVLSIFY >DRNTG_15985.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5156340:5161447:1 gene:DRNTG_15985 transcript:DRNTG_15985.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEIFAKFVSNLEKNCSNILIGSEVDYRRLIPNRKTDQVYYFSPEDSDCQRKFEKTWCNLITQSGAVIISETIPVMFGRLVCLSIAFIFLVLSIFY >DRNTG_15985.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5151519:5158242:1 gene:DRNTG_15985 transcript:DRNTG_15985.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGFLRASSFLLSRHASPQPSLFIGVRFYASDTPPDHHHRSQQDQRCPGPLTLYRSMVKQGKLQHDVYQEKVAFELEDLLVKLGQYEKEMEEYHKDLANWENKREIERRKLLLEEAELKQHSGVWGETNSGGSRFLDKWLSRRRRVNVEPGVGKMVSYLNREKKLDSVVGRQPVTPVAPKGIYLYGNVGTGKTMLMDMFYNATKGVVRHRRRFHFHEAMLEIHEHMHEVWKNQIEDRTVTSSIFSWIENLPFDMKVKEWLIGEERYRQKAQLKHILPAVADKFLVDRQANKRGASLLCFDEIQTVDVFAIVALSGIVSRLLSTGTVLVATSNRAPEDLNQDEMQKEIFAKFVSNLEKNCSNILIGSEVDYRRLIPNRKTDQVYYFSPEDSDCQRKFEKTWCNLITQSGAVIISETIPVMFGRSLEVPESCNGIARFDFEYLCGRPVICFPL >DRNTG_15985.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5151519:5154230:1 gene:DRNTG_15985 transcript:DRNTG_15985.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGFLRASSFLLSRHASPQPSLFIGVRFYASDTPPDHHHRSQQDQRCPGPLTLYRSMVKQGKLQHDVYQEKVAFELEDLLVKLGQYEKEMEEYHKDLANWENKREIERRKLLLEEAELKQHSGVWGETNSGGSRFLDKWLSRRRRVNVEPGVGKMVSYLNREKKLDSVVGRQPVTPVAPKGIYLYGNVGTGVQYKVHAYFA >DRNTG_15985.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5159440:5161390:1 gene:DRNTG_15985 transcript:DRNTG_15985.11 gene_biotype:protein_coding transcript_biotype:protein_coding MFAFRRAVSRLIEMQTPLYAEGVGLHHPFFQHSRQQMLGHSGPAKSNPSAYSPF >DRNTG_15985.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5152098:5157210:1 gene:DRNTG_15985 transcript:DRNTG_15985.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQGKLQHDVYQEKVAFELEDLLVKLGQYEKEMEEYHKDLANWENKREIERRKLLLEEAELKQHSGVWGETNSGGSRFLDKWLSRRRRVNVEPGVGKMVSYLNREKKLDSVVGRQPVTPVAPKGIYLYGNVGTGKTMLMDMFYNATKGVVRHRRRFHFHEAMLEIHEHMHEVWKNQIEDRTVTSSIFSWIENLPFDMKVKEWLIGEERYRQKAQLKHILPAVADKFLVDRQANKRGASLLCFDEIQTVDVFAIVALSGIVSRLLSTGTVLVATSNRAPEDLNQDEMQKEIFAKFVSNLEKNCSNILIGSEVDYRRLIPNRKTDQVS >DRNTG_21135.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2469342:2470847:-1 gene:DRNTG_21135 transcript:DRNTG_21135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNECSNADCICIPVDFTTNGTHYTKTLAAILGNPKQQEGITSSLHVNVPKSTFKIWRGASTSQATLSAIPQKMLKKIIVNVTWNSGLQNGIHQTEGDDASANHMLSERRRREKLNEKFIILRSLVPSISKVIYPN >DRNTG_10557.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000445.1:9775:10278:-1 gene:DRNTG_10557 transcript:DRNTG_10557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSIVLWRVLYSSSKDSFVRYRIRLDRSFPTNLLPNGITIVTSTVIATLLLRCSATVFDLINFPIPYFHRGNANGHTFTS >DRNTG_04945.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3835209:3835773:1 gene:DRNTG_04945 transcript:DRNTG_04945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGIIATSYSKGDKFYIDPAKLLPLSRFLPQPK >DRNTG_32136.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001788.1:1875:2276:-1 gene:DRNTG_32136 transcript:DRNTG_32136.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRHSLCLMVIYHFQTCTPPILPPLKEIYEGNVADAITGNVLVDSFHGLCYTTWKQACFCL >DRNTG_11887.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15102405:15104568:1 gene:DRNTG_11887 transcript:DRNTG_11887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYNTSGKLKCSQHLECQLLIVVVSDHEEILPCPNIEHLLDILFQSNLTEYLPIDLSRFTKAMTRESHVSILPTGRGLFKALLGPSRVFLSPFFLSFFLLRLLNLHFSSLNSSSLASKKGKKWRSFSSSLDEELP >DRNTG_33266.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23923019:23924171:-1 gene:DRNTG_33266 transcript:DRNTG_33266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSAWAKPGAWAAAVEEEEKEKGYDSADSSKNTSEFPSLAAALATKTSKKKKAQPVSLAEFTTGQAVSYGSGNRSVSRGLSSDELLQLPTGPRERTAEELERTSSRGFGYSSYGNRGGSRDEANPRWGSGSGSSRVSDEPRKNGFGGGGGGGGSNRDLGPSRADEVDDWGAGKRSIAAPERRRESGFFDSQSRADESESWVSNKTAPQLSNSRRINGSGFDGPRERRAGFDAYGKGETNDRADSDTWGKKKEETSSGGRPRIVLQPRTLPLVNESSGDPPVKGKGENPFGAARPREEVLAEKGQDWKKIDEKLELTKIREAPQEGKTFGRKGSRNGNGALEDRAERSWRKTENETMTAPA >DRNTG_23285.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1314778:1317837:-1 gene:DRNTG_23285 transcript:DRNTG_23285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEYLQQHLQKHKSGYKQIPGDQHKQETHKPNTISEYKKAQHKWIPPICTYIQEVYVYKKKCKQKIGANSSPTSPRALLLRPITDVDDPKFDITLHRFLALAFA >DRNTG_06427.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29849247:29855703:-1 gene:DRNTG_06427 transcript:DRNTG_06427.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DGS1 [Source:Projected from Arabidopsis thaliana (AT5G12290) UniProtKB/TrEMBL;Acc:A0A178UP20] MASSPPEIPSEEPTGAKALIHSYSNRIWRSLLGFFPSPDSSFLAKISNLYPRSQSSRSRPLRSGLPLPLHANAVRSHLIASEESKAFIVLEDIMEHSLSSLHVIHKSLLFWQSLAEGTKTQKVCFMIFRRGPKALVNETCKMLSTLRTSGSPIPHVYHSAAETISQRISILTSLQCCLANFLAEVYLEVNKYKDVLAENSEKSLPSLLIAINRLFSKLEASISHPPEIYKSDSSFSKDGDKSCAVVFEKLPDVDEEFSQWTDTEIRDATNMIYQNLQKLDAYLSFVLSHSQKPGKLTLYWLRYTCGAVGLSICSAWLLRHSSLMGSSDIDNWIRETKESTAGFWNDHVEQPLLAIRDELFETFRRRHKGVMEVEEVQLTANSLHRMLLAFGEQTKGQKLPENIADQELLEIVMSRYEKEVMHPLQNLLSGELARAMLIQIQKLKLDLETAMLELNQILRANEINFAILAALPAFFLSLLLLMLVRTWILQDKGAEGKGRIARLQRRLLVVEVEKRIMQFQTCIDQGLVEESKCMFGLLLYSLDRLFKAVERHAKETGEWLSLRQDIVDLAKPELKTEYKLAVTSRLGGMYDCLLPSSKRR >DRNTG_06427.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29849247:29855703:-1 gene:DRNTG_06427 transcript:DRNTG_06427.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DGS1 [Source:Projected from Arabidopsis thaliana (AT5G12290) UniProtKB/TrEMBL;Acc:A0A178UP20] MIYQNLQKLDAYLSFVLSHSQKPGKLTLYWLRYTCGAVGLSICSAWLLRHSSLMGSSDIDNWIRETKESTAGFWNDHVEQPLLAIRDELFETFRRRHKGVMEVEEVQLTANSLHRMLLAFGEQTKGQKLPENIADQELLEIVMSRYEKEVMHPLQNLLSGELARAMLIQIQKLKLDLETAMLELNQILRANEINFAILAALPAFFLSLLLLMLVRTWILQDKGAEGKGRIARLQRRLLVVEVEKRIMQFQTCIDQGLVEESKCMFGLLLYSLDRLFKAVERHAKETGEWLSLRQDIVDLAKPELKTEYKLAVTSRLGGMYDCLLPSSKRR >DRNTG_33879.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7209475:7213000:-1 gene:DRNTG_33879 transcript:DRNTG_33879.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVMIPNPIQVLVRNLFRPNPPTIAIHTGKSLIRAETQPRSSPNGWRGLTVLVGASFNKYRFDHYDFFYCMIHLSFYLIDYCSPSFLKGGLPDPSH >DRNTG_22994.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1749106:1752108:-1 gene:DRNTG_22994 transcript:DRNTG_22994.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMAVGIGGTRYSTSTMGANQFDSNKDKGTFFNWFFIAAYLASIIGHTAIVYIQDNAGWVWGFGLCSVITGIGLWLFLHGTLYFRRTKPEENPFMELMQVIVSAVKSPKIRQISECHYHTLDTEVGKPTPPRALTDSFSFLNRAAFNTEGRYACTVDQVEDLKTLIRLAPLVSTFLLLSNSISIQNSLTTLQALVMDRHIGPGFLIPAGSVTVFVLITASLAIIVLDRAVYPVCRRFAQQVPTPLQRIGIGHLLNALAMAWSALVESSRLKALNSHQQGGTKTMSVLWVVPPLILIGVGEAFHFPGQVAMFYQEFPPSLGGTATSMAALTAGLGYYLSTAIIAMVRRLTGWLEDDTNKSRLDNVYWMLAVMAMLNFGYFLLCAKLYKRRQ >DRNTG_22994.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1749106:1752108:-1 gene:DRNTG_22994 transcript:DRNTG_22994.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMAVGIGGTRYSTSTMGANQFDSNKDKGTFFNWFFIAAYLASIIGHTAIVYIQDNAGWVWGFGLCSVITGIGLWLFLHGTLYFRRTKPEENPFMELMQVIVSAVKSPKIRQISECHYHTLDTEVGKPTPPRALTDSFSFLNRAAFNTEGRYACTVDQVEDLKTLIRLAPLVSTFLLLSNSISIQNSLTTLQALVMDRHIGPGFLIPAGSVTVFVLITASLAIIVLDRAVYPVCRRFAQQVPTPLQRIGIGHLLNALAMAWSALVESSRLKALNSHQQGGTKTMSVLWVVPPLILIGVGEAFHFPGQVAMFYQEFPPSLGGTATSMAALTAGLGYYLSTAIIAMVRRLTGWLEDDTNKSRLDNVYWMLAVMAMLNFGYFLLCAKLYKRRQ >DRNTG_22994.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1749106:1752108:-1 gene:DRNTG_22994 transcript:DRNTG_22994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPLTEDRAQSRGGWKTSPFILGAMVGVAIAVNGVLSNLAVYLIQQYNMGSIQATQIFNIINGCISLAPILGGIISDSWLGCYSVITIASAACLLGTILATLTSALPSLRPAPCSLPTCEAATIRQLSVLYIAMGLMAVGIGGTRYSTSTMGANQFDSNKDKGTFFNWFFIAAYLASIIGHTAIVYIQDNAGWVWGFGLCSVITGIGLWLFLHGTLYFRRTKPEENPFMELMQVIVSAVKSPKIRQISECHYHTLDTEVGKPTPPRALTDSFSFLNRAAFNTEGRYACTVDQVEDLKTLIRLAPLVSTFLLLSNSISIQNSLTTLQALVMDRHIGPGFLIPAGSVTVFVLITASLAIIVLDRAVYPVCRRFAQQVPTPLQRIGIGHLLNALAMAWSALVESSRLKALNSHQQGGTKTMSVLWVVPPLILIGVGEAFHFPGQVAMFYQEFPPSLGGTATSMAALTAGLGYYLSTAIIAMVRRLTGWLEDDTNKSRLDNVYWMLAVMAMLNFGYFLLCAKLYKRRQ >DRNTG_11748.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1905641:1907350:-1 gene:DRNTG_11748 transcript:DRNTG_11748.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFYNPTQKKSLFKQKSFSISIRSPRFLLSCFTSTFITLLIIFQIQTLKTSFSLNSSYQHISFLPLKDLRFADKPMDGHTWFMSSMNDTREDGGPEHVYFPSEASDGQLLCLSGRSISNGTKNFYGFISPVSLTSDIDLLNGLTFISDTYYDYDNLWHGMSAMIPFISWHQSKSCMLPNRWLLYRRGELRKRISPWLKELLIAIFQPKSLVIEEFNGRKTTSCLEKAVVFRRNQGSMSKEKKEQVYDLIRCKARAYCGIKKDDIDEEKVIVRLTLLLRLGKRSFKDDNAVISIFEKACLKVEDCRLRVARPNNLTFCDQVKLMSETDVLATPHGAQLTNMIFMDKNSSVMEFFPKGWKELAGVGQYVFRWIAESSGINHTGQWNDPNGERCPESNPSRCFPFYKDKQIGYNESFFSAWTASVLNEMKEQKLAKNQAKITPSYACAC >DRNTG_15594.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16329897:16340084:-1 gene:DRNTG_15594 transcript:DRNTG_15594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTIQLFIFITTPNAHFHNDLGLDSLDAVEIIMALEEESRLPGRFCQIADECSSIVSGVHQCCKKFASRSVSETTPPDVTFEEVADVVGIFIGNSIAISYESFYWPYIKLLSCNPATIVLWHDSCIFDIPIAKKPTFLNMSIDNPEELLFCNLLDSNGFCATFLRNLVGNNFDWNCIESLNINESGSNLWVWRLHSSKASIASTVYEHANSSNANEAPWLGWLLMNLPNICFGIAPKLGTTGKMSLLGLAGTKMKYLFSVLATGFLRIPTAWMLIFELRPFLPLWLGSSGNQDATLSSTID >DRNTG_01501.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:14221827:14236457:1 gene:DRNTG_01501 transcript:DRNTG_01501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPLDQEDEEMLVPHSDFTEGPQPMEVAQPEAASIAENQQVDDPPTSKFTWAIENFSRLNVKKHYSETFVVGGYKWRILIFPKGNNVDHLSMYLDVADSPALPYGWSRYAQFSLSVVNQIHNKYTVRKETQHQFNARESDWGFTSFMPLSELYDPNRGYLINDACIVEAEVAVRRVVDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSWSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYLECINVDYKSSRKESFYDLQLDVKGCQDVYASFDKYVEVERLEGDNKYQAEQYGLQEAKKGVLFIDFPPVLQLQLKRFEYDFMRDAMVKINDRYEFPLQLDLDRDNGKYLSPDADRSVRNLYMLHSVLVHSGGVHGGHYYAFIRPTLSEQWYKFDDERVTKEDPKRALDEQYGGEEELPQANPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLADQIGKDIYFDLVDHDKVRSFRIQKQLPFTLFKEEVAKEFRIPVQFQRFWLWAKRQNHTYRPNRPLTAQEEAQSVGQLREVSNKAHNAELKLFLEVELGLDLRPLPPPDKSKEDILLFFKFYDPAKEELRYVGRLFVKALGRPLEILAKLNEMAGFPPNEQVQLFEEIKFEPTVMCEHIDVKFTFRSSQLEDGDIICYQKNPYVMSDVRHRYQDIPTFLEYVNNRQVVHFRLLEKPKEDDFCLELSKVFTYDDVVDRVARHLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVEHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVVFNHATKDEVVIHSIRLPKNSTVGDVISNLKTKVELSHPNAELRLIEVFYHKIYKIFPHNEKIENINDQYWTLRAEEIPEEEKNLDPRDRLIHVYHFMKDANQNQMIQNFGDPFFLVLHEDETLAEVKGRIQKKLQVPDEEFSKWKFAFCSHGRPEYLEDADIVSTRFQRRDVYGAWEQYLGLEHADTAPKRSYTVNQNRHTYEKPVKIYN >DRNTG_17333.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32012703:32021651:1 gene:DRNTG_17333 transcript:DRNTG_17333.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent zinc metalloprotease FTSH 11, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G53170) UniProtKB/Swiss-Prot;Acc:Q9FGM0] MFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPNPDVKGRQEILELYLQDKPLADDVDVKAIARGTPGFNGADLANLVNIAAIKAAVDGAEKLTAAQLEFAKDRIIMGTERKTLFLSEESKKLTAYHESGHAIVALNTDGAHPIHKATIMPRGSALGMVTQLPTQDETSISKKQLLARLDVCMGGRVAEEIIFGEEHVTTGASNDLHTATELAQYMVSTCGMSDTIGPVYVKERPGAEMQSRIDAEVVKLLREAYDRVKGLLKKHENALHALANALLEYETLSADEIKKILNPYQEAELSEQEEALALT >DRNTG_17333.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32012703:32021651:1 gene:DRNTG_17333 transcript:DRNTG_17333.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent zinc metalloprotease FTSH 11, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G53170) UniProtKB/Swiss-Prot;Acc:Q9FGM0] MATLQASLLFRPSLSPSLHLPGLRRPGAPSSCIAAPNNPHRLRSHRRLAIAPRALPQDDPNPALEPSRSSELSGETGLDGSVLDPEPAAEAVGDDVVASWEAAVAVGGGGEVVEKKGVDSAVGTPVLAFLMGILASARKGLDKAAMSEWLSWWPFWRQERRLERLIADADASPKDAAKQSALLAELNKHSPELVIKRFEQRSHAVDSKGVAEYLRALVVTNSLAEYLPDEASGKPSGLPALLQELKQRVSGNEDESFLNPGVSEKLPLHVVMVDPKASSRSTRFAQELLSTILFTIVVGLMWVMGAAALQKYVGSLGGIGASGVGSSATYTPKELSKEIMPEKNVKTFKDVKGCDDAKQELEEVVEYLKNPGKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPNPDVKGRQEILELYLQDKPLADDVDVKAIARGTPGFNGADLANLVNIAAIKAAVDGAEKLTAAQLEFAKDRIIMGTERKTLFLSEESKKLTAYHESGHAIVALNTDGAHPIHKATIMPRGSALGMVTQLPTQDETSISKKQLLARLDVCMGGRVAEEIIFGEEHVTTGASNDLHTATELAQYMVSTCGMSDTIGPVYVKERPGAEMQSRIDAEVVKLLREAYDRVKGLLKKHENALHALANALLEYETLSADEIKKILNPYQEAELSEQEEALALT >DRNTG_17333.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32012703:32021651:1 gene:DRNTG_17333 transcript:DRNTG_17333.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent zinc metalloprotease FTSH 11, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G53170) UniProtKB/Swiss-Prot;Acc:Q9FGM0] MVDPKASSRSTRFAQELLSTILFTIVVGLMWVMGAAALQKYVGSLGGIGASGVGSSATYTPKELSKEIMPEKNVKTFKDVKGCDDAKQELEEVVEYLKNPGKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPNPDVKGRQEILELYLQDKPLADDVDVKAIARGTPGFNGADLANLVNIAAIKAAVDGAEKLTAAQLEFAKDRIIMGTERKTLFLSEESKKLTAYHESGHAIVALNTDGAHPIHKATIMPRGSALGMVTQLPTQDETSISKKQLLARLDVCMGGRVAEEIIFGEEHVTTGASNDLHTATELAQYMVSTCGMSDTIGPVYVKERPGAEMQSRIDAEVVKLLREAYDRVKGLLKKHENALHALANALLEYETLSADEIKKILNPYQEAELSEQEEALALT >DRNTG_06820.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15579563:15580430:-1 gene:DRNTG_06820 transcript:DRNTG_06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTILFLNTLCSILNWIVDYIDDLPGMGRYAWAQATHKWLMEDIPQFPELVPMNANEDIFVRANRRVDAIAPEPFARRQDERATSSVRPRRRSPTSIPTCTRIPRRQRSPPLPCQIATPPDHDNNSPPDRGSPQPWQAPRRH >DRNTG_25749.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21584027:21584535:1 gene:DRNTG_25749 transcript:DRNTG_25749.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLPHGASPPLISSQALSTPPPIPPLLPLISSSSFSCQ >DRNTG_25749.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21584027:21584589:1 gene:DRNTG_25749 transcript:DRNTG_25749.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLPHGASPPLISSQALSTPPPIPPLLPLISSSSFSCQ >DRNTG_25749.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21583528:21584710:1 gene:DRNTG_25749 transcript:DRNTG_25749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLPHGASPPLISSQALSTPPPIPPLLPLISSSSFSCQ >DRNTG_25749.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21583528:21584535:1 gene:DRNTG_25749 transcript:DRNTG_25749.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLPHGASPPLISSQALSTPPPIPPLLPLISSSSFSCQ >DRNTG_25749.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21584114:21584535:1 gene:DRNTG_25749 transcript:DRNTG_25749.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLPHGASPPLISSQALSTPPPIPPLLPLISSSSFSCQ >DRNTG_25749.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21584027:21584710:1 gene:DRNTG_25749 transcript:DRNTG_25749.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLPHGASPPLISSQALSTPPPIPPLLPLISSSSFSCQ >DRNTG_25749.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21583528:21584589:1 gene:DRNTG_25749 transcript:DRNTG_25749.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLPHGASPPLISSQALSTPPPIPPLLPLISSSSFSCQ >DRNTG_25749.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21584158:21584535:1 gene:DRNTG_25749 transcript:DRNTG_25749.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLPHGASPPLISSQALSTPPPIPPLLPLISSSSFSCQ >DRNTG_25749.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21584158:21584710:1 gene:DRNTG_25749 transcript:DRNTG_25749.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLPHGASPPLISSQALSTPPPIPPLLPLISSSSFSCQ >DRNTG_25749.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21584114:21584589:1 gene:DRNTG_25749 transcript:DRNTG_25749.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLPHGASPPLISSQALSTPPPIPPLLPLISSSSFSCQ >DRNTG_25749.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21584158:21584589:1 gene:DRNTG_25749 transcript:DRNTG_25749.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLPHGASPPLISSQALSTPPPIPPLLPLISSSSFSCQ >DRNTG_04702.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000223.1:58976:59523:-1 gene:DRNTG_04702 transcript:DRNTG_04702.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEIQVVESGLVGPSEETPKHSLWLSNLDLLVARAHVPTIYFYRQDESQNGDFFSPEAMKVALSKALVHFYPLAGRLELDASGRVQIQCTGEGVLFVVARSESAVDEFGEFEPSDEMRRLLVPSAPSGTPPCILVMLQVSLCFSFQNLFYLYL >DRNTG_04702.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000223.1:55832:59523:-1 gene:DRNTG_04702 transcript:DRNTG_04702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEIQVVESGLVGPSEETPKHSLWLSNLDLLVARAHVPTIYFYRQDESQNGDFFSPEAMKVALSKALVHFYPLAGRLELDASGRVQIQCTGEGVLFVVARSESAVDEFGEFEPSDEMRRLLVPSAPSGTPPCILVMLQLTFFKCGGVCLGAAVHHTAADGLGALHFMNSWSEIARGTNKLSVSPYIERTLLRAREPPSITSEHIEYAPKPLSEHSAAKCAFDSAILKLTKNTS >DRNTG_04702.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000223.1:55832:58491:-1 gene:DRNTG_04702 transcript:DRNTG_04702.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPSPNTLLQSAHLTLPFSSSPKTQVESIKHSVASGKKPLSSFKAVVSHLWRSACKARKLINNEATHLYITADARTRIKPQLPQGYLGNAIFRASAVANAGEIIGNELDFSAEIISKSTDRLNDEHIRSLIDFLELQEDVRGLAKGAWVMPETDLWIISWQGLPIYDADFGWGKPVFMGRACLQFAGLVYIMNNPGDKGGLLLAVGMEPENMLQFKKIFYEDLGCA >DRNTG_18222.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000940.1:17183:19312:-1 gene:DRNTG_18222 transcript:DRNTG_18222.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNKCKISKSQTMRVRVREREREKERERIKIPNFVV >DRNTG_18222.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000940.1:17183:19312:-1 gene:DRNTG_18222 transcript:DRNTG_18222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNKCKISKSQTMRVRVREREREKERERIKIPNFVV >DRNTG_18222.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000940.1:17183:19312:-1 gene:DRNTG_18222 transcript:DRNTG_18222.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNKCKISKSQTMRVRVREREREKERERIKIPNFVV >DRNTG_34559.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1407479:1408030:-1 gene:DRNTG_34559 transcript:DRNTG_34559.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTLASTSLIRVFMDSTGLGISRRCYYASVAAVAAVEEKIVTIKNKKESAGPSASSASPAWVPDPVTGYYRPANSCHGADVTEMRELILSTKSV >DRNTG_00765.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:12917646:12919053:-1 gene:DRNTG_00765 transcript:DRNTG_00765.1 gene_biotype:protein_coding transcript_biotype:protein_coding KPCQQPLPPSEQSHPTPEPGLHHWKLCDWPLPTLE >DRNTG_30216.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:75187:75980:1 gene:DRNTG_30216 transcript:DRNTG_30216.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWVRPWLCISNQSNESPPLQKHKHHTHRTHC >DRNTG_30216.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:77198:78470:1 gene:DRNTG_30216 transcript:DRNTG_30216.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPLQCRSEHSCITLTHGDPSHIAKRNSVGRILPNIEVKFIDPETGLSLPRNTHGEVCVRSQSVMQGII >DRNTG_30216.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:75187:78470:1 gene:DRNTG_30216 transcript:DRNTG_30216.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSEHSCITLTHGDPSHIAKRNSVGRILPNIEVKFIDPETGLSLPRNTHGEVCVRSQSVMQGII >DRNTG_21829.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5971348:5973146:-1 gene:DRNTG_21829 transcript:DRNTG_21829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAAATATAKCGKIRHIVRLRQMLQRWRKRAAAAASSGVSSSTPADVPAGHVAVCVGSSQRRFRRASGASQPPGVPPPPIPSRGGVRLRPFRSDRHPHAMNPSLKRSFAASSHRRRSLSPPMASVAAAAVAAVEPPPPAGSTHPGDLRPSLFSEGSTRSRSVCSHVPWVRLERVCWLRRSGPFIFFYLLGMLRNWKWKSVTPRPTGPWDATITAAIPRRDTPPLNSQDAARLINTLEIQQ >DRNTG_33957.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:994430:997970:1 gene:DRNTG_33957 transcript:DRNTG_33957.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Katanin p60 ATPase-containing subunit A1 [Source:Projected from Arabidopsis thaliana (AT1G80350) UniProtKB/TrEMBL;Acc:Q0WR11] MVGALAGLQDHLKLAREYALEGLYDTSIIFFDGAIAQINKHLSTLDDAAIRSKWMNCKKAISEEVDIVKQLDAELRAFKELPGSRRSSSPPIPAKSFVFQPLDEYPTSSGAPLDDPDVWRPPSRDSGSRRSTRAGPPGMRKSSQDATWARGASRAGTGTGTGTPSRTGKSGTTRGGRTPSSSGVGAKKGKPTGKADSQSSDAEEGKSKKGQYEGPDADLAAMLERDVLETSPGVRWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCNARGASGEHESSRRVKSELLVQVDGVNNSSTGEDGGRKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPNFESRKELIKINLRTVEVAADVNIDEVARRTEGYSGDDLTNVCRDASLNGMRRKIAGKTRDEIRNMPKDEISKDPVAMCDFEEALTKVQRSVSAADIERHEKWFSEFGSA >DRNTG_05431.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:4764554:4768088:-1 gene:DRNTG_05431 transcript:DRNTG_05431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQRPSNLSKALPKPLQTLVAGASKDGESVPCYSFVGKKSLFLKILGMTQVGNAHASATDLHLLHIWTQSEARFTGGFLDAMTEEKLINVGDEAPPKPKRSPLQTLAVSPLKSRTNYEKD >DRNTG_21656.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:11260698:11261556:1 gene:DRNTG_21656 transcript:DRNTG_21656.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWATNFESAFHFCQLSHPLLKASGSASIVFISSIAGVVVVPFGSPYATTKEAMNQLTKNLACEWGKDNIWVNSVTPSPC >DRNTG_00231.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13017266:13019109:1 gene:DRNTG_00231 transcript:DRNTG_00231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEPSQTKGHHSRQSDSTQLESGQQSLSLSPVRKATKDENYETLMEELIAFRAKVSVLERKEDVINRNEEQPPHDDPSEPPTKMFKKVASKPRVQKPPLADVSEASSMRSQSRKLQG >DRNTG_08741.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18713176:18722227:1 gene:DRNTG_08741 transcript:DRNTG_08741.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTDILPSEQEELDFSDVFGPDPQSLAQENVVASEDSLATSGSCEKVYNDPEVVYSRSHSLVGPTACVMLTRQLSKLMLHESEDSLELIECANDEGEVEQEDSSICTTQEDVSEGVVGLDHFEVLKVVGQGAFGKVFQVRKKGSSDIYAMKVMRKDKIMEKNHSDYMKAERDILTKVDHPFIVPLRYSFQTKYRLYLVLDFVNGGHLFFQLYRHGLFREELARVYAAEIVSAVSHLHANGIMHRDLKPENILLDADGHAMLTDFGLAKEFEENTRSNSMCGTVEYMAPEIVLGKGHDKAADWWSVGVLLFEMLTGKPPFTGGNRDKIQQKIVKDKIKLPPFLSSEAHSLLKGLLQKESSKRLGSGPGGSDEIKNHKWFKSINWRKLNAREIQPSFRPNVTGKNCIVNIDECWTNMTLVDSPVSTPVAGDSNFTGFTYVRPSPFLQKPPNSL >DRNTG_08741.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18713176:18718788:1 gene:DRNTG_08741 transcript:DRNTG_08741.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTDILPSEQEELDFSDVFGPDPQSLAQENVVASEDSLATSGSCEKVYNDPEVVYSRSHSLVGPTACVMLTRQLSKLMLHESEDSLELIECANDEGEVEQEDSSICTTQEDVSEGVVGLDHFEVLKVVGQGAFGKVFQVRKKGSSDIYAMKVMRKDKIMEKNHSDYMKAERDILTKVDHPFIVPLRYSFQTKYRLYLVLDFVNGGHLFFQLYRHGLFREELARVYAAEIVSAVSHLHANGIMHRDLKPENILLDADGHAMLTDFGLAKEFEENTRSNSMCGTVEYMAPEIVLGKGHDKAADWWSVGVLLFEMLTGKPPFTGGNRDKIQQKIVKDKIKLPPFLSSEAHSLLKGLLQKESSKRLGSGPGGSDEIKNHKWFKSINWRKLNAREIQPSFRPNVTGKNCIVNIDECWTNMTLVDSPVSTPVAGDSNFTGFTYVRPSPFLQKPPNSL >DRNTG_29276.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28636709:28638654:1 gene:DRNTG_29276 transcript:DRNTG_29276.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydration-responsive element-binding protein 2E [Source:Projected from Arabidopsis thaliana (AT2G38340) UniProtKB/Swiss-Prot;Acc:O80917] MRGKGGPENPNCRYRGVRQRTWGKWVAEIREPNRTGRLWLGTFPTDVEAARAYDIAAKAMYGPCARLNFPDETPASESSSCQTSESCNEEKDEIKSRVAEGEPTKNEENKGGKPEEVDLFKDIDGLPDCLFDIEEMLKMIDVDPNVELGGSQDKVGVVNESCAFEAEFDPFVGVSSHQQQEEWPDGEIMGILGSHEQELELLDYNFDFGLGFGLDDASLFQPGFDY >DRNTG_29276.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28636709:28638654:1 gene:DRNTG_29276 transcript:DRNTG_29276.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydration-responsive element-binding protein 2E [Source:Projected from Arabidopsis thaliana (AT2G38340) UniProtKB/Swiss-Prot;Acc:O80917] MRGKGGPENPNCRYRGVRQRTWGKWVAEIREPNRTGRLWLGTFPTDVEAARAYDIAAKAMYGPCARLNFPDETPASESSSCQTSESCNEEKDEIKSRVAEGEPTKNEENKGGKPEEVDLFKDIDGLPDCLFDIEEMLKMIDVDPNVELGGSQDKVGVVNESCAFEAEFDPFVGVSSHQQQEEWPDGEIMGILGSHEQELELLDYNFDFGLGFGLDDASLFQPGFDY >DRNTG_26351.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11987772:11990061:1 gene:DRNTG_26351 transcript:DRNTG_26351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMCGILITQNRKVILPIIFPALEKNTQEHWNQAVSKVNFECEEALFGC >DRNTG_32077.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:102755:109876:1 gene:DRNTG_32077 transcript:DRNTG_32077.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAHLLTMRMIGSSFIGKPTYKIAWMKLQREQCFLLLMGHIGELSISDSILNSIGYSATKADSCSKLRTLWFHCNKFGRYARCLRLSNVLCIPEISGLLVTSNLRAMVFRSIKCKSHVDGVCDLLRQNRDTLKSLEFVHCRFSSTSFSQICSCIFLEAEPHGIKSFSIKSSSVADGKKSSLPADFVSFLSSGRSLESIHLSDTSIGSKCAKWILDTLLKSSLNLITLEISDNDIRGWLSKVDRRPGECSSLLGPKLSLKSLSILNLRGCNLCQDDAEDLNYVLIHMPNLTSLDLSDNPLEDEGIRSLIPYFVKAFEKASPISDVYLQNCSLSCKGVTEFLESSQTLREPLNSLSVAENNLSRQVGTLLVCADCVLSSVVVFNFIHQFE >DRNTG_32077.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:102755:109876:1 gene:DRNTG_32077 transcript:DRNTG_32077.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRSIKCKSHVDGVCDLLRQNRDTLKSLEFVHCRFSSTSFSQICSCIFLEAEPHGIKSFSIKSSSVADGKKSSLPADFVSFLSSGRSLESIHLSDTSIGSKCAKWILDTLLKSSLNLITLEISDNDIRGWLSKVDRRPGECSSLLGPKLSLKSLSILNLRGCNLCQDDAEDLNYVLIHMPNLTSLDLSDNPLEDEGIRSLIPYFVKAFEKASPISDVYLQNCSLSCKGVTEFLESSQTLREPLNSLSVAENNLSRQVGTLLVCADCVLSSVVVFNFIHQFE >DRNTG_32077.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:105088:109876:1 gene:DRNTG_32077 transcript:DRNTG_32077.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIWLMHLLFKSIHYIVSLSIYYFFQGLLVTSNLRAMVFRSIKCKSHVDGVCDLLRQNRDTLKSLEFVHCRFSSTSFSQICSCIFLEAEPHGIKSFSIKSSSVADGKKSSLPADFVSFLSSGRSLESIHLSDTSIGSKCAKWILDTLLKSSLNLITLEISDNDIRGWLSKVDRRPGECSSLLGPKLSLKSLSILNLRGCNLCQDDAEDLNYVLIHMPNLTSLDLSDNPLEDEGIRSLIPYFVKAFEKASPISDVYLQNCSLSCKGVTEFLESSQTLREPLNSLSVAENNLSRFPRCESPQY >DRNTG_32077.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:102755:109876:1 gene:DRNTG_32077 transcript:DRNTG_32077.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAHLLTMRMIGSSFIGKPTYKIAWMKLQREQCFLLLMGHIGELSISDSILNSIGYSATKADSCSKLRTLWFHCNKFGRYARCLRLSNVLCIPEISGLLVTSNLRAMVFRSIKCKSHVDGVCDLLRQNRDTLKSLEFVHCRFSSTSFSQICSCIFLEAEPHGIKSFSIKSSSVADGKKSSLPADFVSFLSSGRSLESIHLSDTSIGSKCAKWILDTLLKSSLNLITLEISDNDIRGWLSKVDRRPGECSSLLGPKLSLKSLSILNLRGCNLCQDDAEDLNYVLIHMPNLTSLDLSDNPLEDEGIRSLIPYFVKAFEKASPISDVYLQNCSLSCKGVTEFLESSQTLREPLNSLSVAENNLSRFPRCESPQY >DRNTG_32077.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:102755:109667:1 gene:DRNTG_32077 transcript:DRNTG_32077.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAHLLTMRMIGSSFIGKPTYKIAWMKLQREQCFLLLMGHIGELSISDSILNSIGYSATKADSCSKLRTLWFHCNKFGRYARCLRLSNVLCIPEISGLLVTSNLRAMVFRSIKCKSHVDGVCDLLRQNRDTLKSLEFVHCRFSSTSFSQICSCIFLEAEPHGIKSFSIKSSSVADGKKSSLPADFVSFLSSGRSLESIHLSDTSIGSKCAKWILDTLLKSSLNLITLEISDNDIRGWLSKVDRRPGECSSLLGPKLSLKSLSILNLRGCNLCQDDAEDLNYVLIHMPNLTSLDLSDNPLEDEGIRSLIPYFVKAFEKASPISDVYLQNCSLSCKGVTEFLESSQTLREPLNSLSVAENNLSSSVAAPLAIFLGSPGVKALNIEAIGLEPLGFQELEKKMPKDVPLVRINMSKNRGGSDCASFISKLIMQAPDLVVVDAGYNFILPEALMTVHDALNLSTRKLRRLDLTGNIRLSHSVHAFKLLEYHVHGKPIVIIPSGLPSSVPYDDDP >DRNTG_32077.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:102755:109667:1 gene:DRNTG_32077 transcript:DRNTG_32077.6 gene_biotype:protein_coding transcript_biotype:protein_coding MILLLICSSKLKECSINFEENYSSPYCRCLRLSNVLCIPEISGLLVTSNLRAMVFRSIKCKSHVDGVCDLLRQNRDTLKSLEFVHCRFSSTSFSQICSCIFLEAEPHGIKSFSIKSSSVADGKKSSLPADFVSFLSSGRSLESIHLSDTSIGSKCAKWILDTLLKSSLNLITLEISDNDIRGWLSKVDRRPGECSSLLGPKLSLKSLSILNLRGCNLCQDDAEDLNYVLIHMPNLTSLDLSDNPLEDEGIRSLIPYFVKAFEKASPISDVYLQNCSLSCKGVTEFLESSQTLREPLNSLSVAENNLSRQVGTLLVCADCVLSSVVVFNFIHQFE >DRNTG_32077.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:105088:109667:1 gene:DRNTG_32077 transcript:DRNTG_32077.8 gene_biotype:protein_coding transcript_biotype:protein_coding MIWLMHLLFKSIHYIVSLSIYYFFQGLLVTSNLRAMVFRSIKCKSHVDGVCDLLRQNRDTLKSLEFVHCRFSSTSFSQICSCIFLEAEPHGIKSFSIKSSSVADGKKSSLPADFVSFLSSGRSLESIHLSDTSIGSKCAKWILDTLLKSSLNLITLEISDNDIRGWLSKVDRRPGECSSLLGPKLSLKSLSILNLRGCNLCQDDAEDLNYVLIHMPNLTSLDLSDNPLEDEGIRSLIPYFVKAFEKASPISDVYLQNCSLSCKGVTEFLESSQTLREPLNSLSVAENNLSRFPRCESPQY >DRNTG_32077.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:102755:109667:1 gene:DRNTG_32077 transcript:DRNTG_32077.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRSIKCKSHVDGVCDLLRQNRDTLKSLEFVHCRFSSTSFSQICSCIFLEAEPHGIKSFSIKSSSVADGKKSSLPADFVSFLSSGRSLESIHLSDTSIGSKCAKWILDTLLKSSLNLITLEISDNDIRGWLSKVDRRPGECSSLLGPKLSLKSLSILNLRGCNLCQDDAEDLNYVLIHMPNLTSLDLSDNPLEDEGIRSLIPYFVKAFEKASPISDVYLQNCSLSCKGVTEFLESSQTLREPLNSLSVAENNLSSSVAAPLAIFLGSPGVKALNIEAIGLEPLGFQELEKKMPKDVPLVRINMSKNRGGSDCASFISKLIMQAPDLVVVDAGYNFILPEALMTVHDALNLSTRKLRRLDLTGNIRLSHSVHAFKLLEYHVHGKPIVIIPSGLPSSVPYDDDP >DRNTG_24969.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6899026:6899739:-1 gene:DRNTG_24969 transcript:DRNTG_24969.2 gene_biotype:protein_coding transcript_biotype:protein_coding DALPQRDLLEEAASYIKKLQGKIESLKRKRKVLWVNIQGIHMDVTDDDHKFEVQVRVKDVLMEVVLLSGLKKGLKLHHVFSILEEEGAEVINANFSTVGDKIIHTIHSQAISSRIGLEEKRIKERLEGLVLMANESNS >DRNTG_24969.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6899026:6900167:-1 gene:DRNTG_24969 transcript:DRNTG_24969.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRQAQKAGEKKTVEKNRRLLMKGLYSQLSFLIPKTQTSGSKDALPQRDLLEEAASYIKKLQGKIESLKRKRKVLWVNIQGIHMDVTDDDHKFEVQVRVKDVLMEVVLLSGLKKGLKLHHVFSILEEEGAEVINANFSTVGDKIIHTIHSQAISSRIGLEEKRIKERLEGLVLMANESNS >DRNTG_05405.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:631734:636729:-1 gene:DRNTG_05405 transcript:DRNTG_05405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSCPICSTCFPTLSDLERHVNNHFVDDELERDMELAEQIALAPPSPIQMAGSLVEGVTPESSTLRLKAHDYGEPLHLQLGISSLVSLQTKSTFYKVEGGLMTLLRRCLELEKGSSKSIITGHIDHYQSLKSEDHGWGCGWRNIQMLSSHLLMQNQEVRDVMFGGCGFVPDIPSLQRWLEIAWRKGFDSDGSETFCNKIYGTTKWIGTTECAALLCSFGLRARVVDFDSLSSPSPSLSNVNLGAKGKDGNHQHPRDKRKAKQVYGPLDKFLIQRKPSASSVGVLSCESSQHVLHAGTLIDDDCNQYNGEIKSHHILVDWVWNYFNSGLSNARNDSKGVFVSERTPLYFQYNGHSRTIIGIQRHKGLRNLQDQYFLLVLDPSERTEDLERSLQASSGWQRLIKRGVHTLRKPQYQLCYVDHGIAHKEEMEQLKVIHSILIKF >DRNTG_33128.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20938755:20943488:-1 gene:DRNTG_33128 transcript:DRNTG_33128.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRVKMLTFLSDSQSKQWLAWCEEDHIQLKSQPMVVPLSINDELAFVAGIPSSLNTPATSIEKMLEKRDLLRNTVRKEMGLTDDDMLMMTLSSINPGKGQRLLLESALLVAEHNVSMEDLKINVTRLNELSEVASQNITLLGNGSNQETVPSKTDQNNKLREGSQKSKSATSKKKKKRRSRITSILSLAKHNKTLAQEEQAGLRRLLVDTKGSGHQNLKVLIGSIGSKSNKELYIKAILRFLSQHPNLSKSVLWTSSTTRVASLYAAADVYVINAQGPGETFGRVTIEAMAFGLPVLGTDAGGTQEIVEHGMTGLLHPLGRDGIKGLAQHIQYFLNNPSVRKKMGSKGSLKVQATYLKQHMYEKMAKVLRQCMKIK >DRNTG_33128.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20938755:20943488:-1 gene:DRNTG_33128 transcript:DRNTG_33128.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRVKMLTFLSDSQSKQWLAWCEEDHIQLKSQPMVVPLSINDELAFVAGIPSSLNTPATSIEKMLEKRDLLRNTVRKEMGLTDDDMLMMTLSSINPGKGQRLLLESALLVAEHNVSMEDLKINVTRLNELSEVASQNITLLGNGSNQETVPSKTDQNNKLREGSQKSKSATSKKKKKRRSRITSILSLAKHNKTLAQEEQAGLRRLLVDTKGSGHQNLKVLIGSIGSKSNKELYIKAILRFLSQHPNLSKSVLWTSSTTRVASLYAAADVYVINAQGPGETFGRVTIEAMAFGLPVLGTDAGGTQEIVEHGMTGLLHPLGRDGIKGLAQHIQYFLNNPSVRKKMGSKGSLKVQATYLKQHMYEKMAKVLRQCMKIK >DRNTG_33128.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20938755:20943488:-1 gene:DRNTG_33128 transcript:DRNTG_33128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRVKMLTFLSDSQSKQWLAWCEEDHIQLKSQPMVVPLSINDELAFVAGIPSSLNTPATSIEKMLEKRDLLRNTVRKEMGLTDDDMLMMTLSSINPGKGQRLLLESALLVAEHNVSMEDLKINVTRLNELSEVASQNITLLGNGSNQETVPSKTDQNNKLREGSQKSKSATSKKKKKRRSRITSILSLAKHNKTLAQEEQAGLRRLLVDTKGSGHQNLKVLIGSIGSKSNKELYIKAILRFLSQHPNLSKSVLWTSSTTRVASLYAAADVYVINAQGPGETFGRVTIEAMAFGLPVLGTDAGGTQEIVEHGMTGLLHPLGRDGIKGLAQHIQYFLNNPSVRKKMGSKGSLKVQATYLKQHMYEKMAKVLRQCMKIK >DRNTG_18884.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2247183:2250864:-1 gene:DRNTG_18884 transcript:DRNTG_18884.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVFCGNFDFDTRHADLEKLFSRYGRVSRVDMKSGIFCFVFFFFFFLLSHG >DRNTG_18884.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2247183:2250864:-1 gene:DRNTG_18884 transcript:DRNTG_18884.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVFCGNFDFDTRHADLEKLFSRYGRVSRVDMKSGIFCFVFFFFFFLLSHG >DRNTG_18884.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2247183:2250864:-1 gene:DRNTG_18884 transcript:DRNTG_18884.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVFCGNFDFDTRHADLEKLFSRYGRVSRVDMKSGLIRASSFVGSPLNIHVQGNLLATTSAPTSHYRHPSFPSYDHPLLPLHCPKLPLQAS >DRNTG_18884.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2247183:2250864:-1 gene:DRNTG_18884 transcript:DRNTG_18884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVFCGNFDFDTRHADLEKLFSRYGRVSRVDMKSGFAFIYFEDERDAEDAIRALDGAPFGEGRRRLSVEWSKGERGGPRHRDGSRSNAGGRPTKTLFVINFDQHQTRTRDIERHFEPYGKILNVRIRRNFAFVQFETQEEATKALKYTHMSQLLDRVVSVEYALRDDDERDDRHGDRRESPRRGGDYGRRPSPYGRSPSPVYRRGRPSPDYGRARSPVYGRYDNPPAFDNRSPDYDHYPSRSPVRRSRT >DRNTG_18884.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2247183:2250864:-1 gene:DRNTG_18884 transcript:DRNTG_18884.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVFCGNFDFDTRHADLEKLFSRYGRVSRVDMKSGIFCFVFFFFFFLLSHG >DRNTG_18884.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2247183:2250864:-1 gene:DRNTG_18884 transcript:DRNTG_18884.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVFCGNFDFDTRHADLEKLFSRYGRVSRVDMKSGLIRASSFVGSPLNIHVQGNLLATTSAPTSHYRHPSFPSYDHPLLPLHCPKLPLQAS >DRNTG_20493.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2643515:2647105:-1 gene:DRNTG_20493 transcript:DRNTG_20493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQPASTVHMKSNDDHPSDYAPYPKLQPEDLAPPPSAPAPPPAMPAGSNPYVSTSPAGAGSSKSTMESVKNVLGRWGKKVGEASKKGQDLAGNMWQHLKTGPSIADAAMGRIAQGTKVLAEGGYEKIFQQTFDTLPEEKLKKSYVCYLSTSAGPVMGILYVSTSKLAFCSDNPLSYNVGEKTEWSYYKVVIPLHQLRAIKPSLNQANLSEKYIQIVSVDNHEFWFMGFVNYDNAIKHLQETVPHCT >DRNTG_11140.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30381250:30386376:-1 gene:DRNTG_11140 transcript:DRNTG_11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNHRLLEHERLQMERIRELDMEELEIEEVDVDFDSSSDDDDDGIPSERGDGGAGQYGGFTFDTCLASLHTYLGEVDATQGRMIFLDGGAIFTLPMFYLEGVVLFPEATLPLRVVQRRFKAAVERALNQTDAPYTIGVVRVYRSSDGLRLCCPTIGTTAEIRQYRRLDDGSLNVVARGQQRFRIRRRWIDVEGTPCAEVQIIQEDVPLRIPKDAFGQLAAVYNWSKCTPSHAESSSVSLSKRQGFEDAESDWECMSATSTFSDSSTMDTRTPTDSPCRYERFDALSSSDEDSMPGHASRLRESYLNKLGGSGQSHDRLSENDSMKSDLAGALPSGRVPIKSAGSFVPTRLKWSHKAPMAFWPHWVYQMYDSYALAQKAADLWKQIIRMPSMDNLVTKPDLLSFHMASKLPMSEATRQELLEIDGVSYRLRREIQLLKSFDLIRCKTCQSVIARRSDMLVMSSDGPLNAYVNPHGFVHEVITVYNANGLALQGRPDKAHSWFPGYAWTITYCASCESNIGWLFTARKKNLLPRSFWGIRSSQVKDSEC >DRNTG_10204.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000430.1:4945:5254:1 gene:DRNTG_10204 transcript:DRNTG_10204.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSFENSGSGFVKFDKPVKFPSDLEIENDLLASPAQHQRILYELVGSVTHHGSKSSIGHYTADIKYPDGPWM >DRNTG_01311.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1821851:1822853:1 gene:DRNTG_01311 transcript:DRNTG_01311.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHQTPELKSRKSSNCIQVALLLIRHGANVDAEDKEGYTVLGRASDTFRPVLVDAAKVMLED >DRNTG_06129.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25589780:25590289:-1 gene:DRNTG_06129 transcript:DRNTG_06129.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNYSVIEGWQPWFVDGLIAGYNIRYYHNLLFATFKGAGHTVPEYVPHQALIAYQRWIDGAGSL >DRNTG_22748.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4168643:4179451:-1 gene:DRNTG_22748 transcript:DRNTG_22748.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAFNDLALYSYGTRIEGVMTHSIDYDSSSYNKAVIDVEDYGAKGDGSDDSEAFEKAWRVACSSAKPVTFMVANDKKYKLKPLTFQGPCKSNIKLMIEGSIEASSDRSDWDGKNQRHWLLFDKINDLEVGGGGTIDGNGNIWWQNSCKIKTSLPCRDAPTAFDKAWRVACSSSKPVTFIVANDKKYKLKPLTFQGPCKSNVKVMIEGSIEASSDRSDWNGKNQRHWLLFDEINDLEVGGGGTINGNGKIWWQNSCKIKKSLPCHDAPTAMTFSKCKNLKVNELSIKNSQQIHISFERCSNVHASQLSISSPESSPNTDGIHVTGTQNINITNCVIQTGDDCISIVSGSQGVKVMDITCGPGHGIRSEETLILNIYVYIYNFLYARMFIIYIYNGHIYIWYDLFNVIWCIYEYIYIYICVCVCVCDSIGSLGSHNSEDHVSDVLVDTAKLTNTTNGVRIKTWQGGSGYAKHIIFQNINMKNVKNPIIIDQNYCDSTKPCDEQKSAVEVSNILYKNIKGTSASDIAIDFECSKSVPCHDIVLQDINLIKEGGGTAKSSCKNVEWTKMGQALPEPCDAN >DRNTG_26235.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:457417:460240:-1 gene:DRNTG_26235 transcript:DRNTG_26235.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rotamase CYP 4 [Source:Projected from Arabidopsis thaliana (AT3G62030) UniProtKB/TrEMBL;Acc:F4IX26] MASSLSTAIAAIQGSSLGLRKAGKTPSSCLAAPLRAPASLGSLSGRTKSLCFRTRLPSGIPSVKPCRVVCSAAEKQVLELQAKVTNRCFFDVEIGGEPVGRIVLGLFGEVVPLTVENFRALCTGEKGFGYKGSSFHRIIKDFMIQGGDFERGNGTGGRSIYGSKFADEDFSLKHVSPGVLSMANAGPDTNGSQFFICTVKTPWLNNRHVVFGHVVEGMDVVQKLEQQETSRSDVPRLPCRIINCGELPLDC >DRNTG_26235.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:457417:460240:-1 gene:DRNTG_26235 transcript:DRNTG_26235.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rotamase CYP 4 [Source:Projected from Arabidopsis thaliana (AT3G62030) UniProtKB/TrEMBL;Acc:F4IX26] MIQGGDFERGNGTGGRSIYGSKFADEDFSLKHVSPGVLSMANAGPDTNGSQFFICTVKTPWLNNRHVVFGHVVEGMDVVQKLEQQETSRSDVPRLPCRIINCGELPLDC >DRNTG_32537.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20162295:20163530:-1 gene:DRNTG_32537 transcript:DRNTG_32537.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTIALMEEVKKHVNLHYEEYMIKSFYNSDMVKELETHTGAMVDGDWESSFFIWHQPNSNLDGIPNLKQEFREAMKGYIQQVVKLAEKLAELMSENLGLDKDYLKKAFSEPSIGTKVAKYPQCPKPELVMGLRAHTDAGGIILLLQDDEVSGLEFFKDGEWVPVTPSKDGRIFVNLGDQLEVVSNGTYKSILHRVVADKNGSRLSIATFYNPGSDAIISPAQKLLYPSHYRFQDYLNYYVTTKFSDKVSRFQTINEMSM >DRNTG_19019.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22583461:22586839:1 gene:DRNTG_19019 transcript:DRNTG_19019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNEFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQVFGQNPNRVIDGYSEEFENAFMDNLRRSHRFSRVAATVVYNEYIADRHHIHMNSTQWATLTEFVKYLGRIGKCKVEDTPKGWFITYIDRDSETIFKDRLKNKRLRAELADEEKQERAIALQIERASSSNPNQPPPPPPSSDPAPIDPNAKVAFSLSMQTTNSDTEPKRPKIAFDEDPEPEKAEKKRTAGGSGAKGGSSALEELIREEEKAKERSNRKDYWLCEGIIVKVMSKTLESKGYYKQKGVVKRVIDKYVGEIEMLENKHLLRIDQEELETVIPQIGGLVRIVNGAYRGSNARLLSVNTEKFCAKVQIEKGAYDGRVLQAVDYEDICKIL >DRNTG_19019.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22583461:22586839:1 gene:DRNTG_19019 transcript:DRNTG_19019.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNEFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQVFGQNPNRVIDGYSEEFENAFMDNLRRSHRFSRVAATVVYNEYIADRHHIHMNSTQWATLTEFVKYLGRIGKCKVEDTPKGWFITYIDRDSETIFKDRLKNKRLRAELADEEKQERAIALQIERASSSNPNQPPPPPPSSDPAPIDPNAKVAFSLSMQTTNSDTEPKRPKIAFDEDPEPEKAEKKRTAGGSGAKGGSSALEELIREEEKAKERSNRKDYWLCEGIIVKVMSKTLESKGYYKQKGVVKRVIDKYVGEIEMLENKHLLRIDQEELETVIPQIGGLVRIVNGAYRGSNARLLSVNTEKFCAKVQIEKGAYDGRVLQAVDYEDICKIL >DRNTG_30956.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18313259:18332699:-1 gene:DRNTG_30956 transcript:DRNTG_30956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAMMPLMILAKDYLLHLALMKQVKALLQKLEKAVHFLLTMVKSSLTTFNFLLITPKINDVPNGKDVDKQHEEHIESSDSTIDDNIQRALIVGDYKEAVLQCMSANRMADALVIANLGGSLLWESTRDQYLKKSLSPYLKVVSAMVSNDLMGLVNTRPLNSWKETLALVCTFAQDEWVVLCDTLGSRLMTVGNTLAATLCYICAGNIDKTVEIWSCNLKSEHEGRSYVDLLQDLMEKTVILSIATGQKRLSASLSKLVENYVELLASQGLLTTAMEYLKLLGSNGTSSELSILQDRIAFSTEESEANKSLAYEQHETTYPVQNSHSSFVDSSQQYYQDNTLLQQQGISSSQYGGSYQPPFGSYGDYQLGPPKKQFQDYINPVTLQHAQPTQLFVPPLAPQTLQSNWAPPVPAQPSVKPFVPATPPTLKNADHYQQPTLGSQLYPGAANHMFRPGPPGLSHGVGALPAGSAPAQLFPSAVTPSPAATGFTPISSPGFVQRPGASPVQSSSPAQPARPMAVAARPTSPPTVQTADTSSVAADLKPVITTLTKLYHETSGALGGANPSSKRQIEDNSKKIGSLFAKLNSEGYLFKCCFQAS >DRNTG_24512.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13656240:13657583:1 gene:DRNTG_24512 transcript:DRNTG_24512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTSKPLTELVVSPRDTLIGQQFPSPWNMPHHKESSKESKNQCTQEWKLKCMQMPSYMSNM >DRNTG_33232.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001976.1:8553:16966:1 gene:DRNTG_33232 transcript:DRNTG_33232.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGRINQVAPSRATAGGRLRGAPPSRPRAAPR >DRNTG_33232.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001976.1:4596:16966:1 gene:DRNTG_33232 transcript:DRNTG_33232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGRINQVAPSRATAGGRLRGAPPSRPRAAPR >DRNTG_33232.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001976.1:10007:16966:1 gene:DRNTG_33232 transcript:DRNTG_33232.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGRINQVAPSRATAGGRLRGAPPSRPRAAPR >DRNTG_20701.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001141.1:8788:12721:-1 gene:DRNTG_20701 transcript:DRNTG_20701.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLSRITGVIVDQACDPIKRHFSYLVCYKRHIDKLEEEFEKLDVRRMDIQRMVDAATCQRLAEAGNEVQTWLEGVSKIAPEVMRIRDEASMISTNFFLNIRMHYKLGREAANHIKAIDDDLLKHKFDIVSHERPPPSTTASLLFNEDYVIFDSRKSHALKILAALKNESVHSIGLWGMGGVGKTTLVKDVAKQAKEHSLFDEVVMVTISQNIDLKKIQTEVAECLGFHLNEESVEVRAVKLADRLTMTDNKVLMILDDLWETLDLIKVGIRFPEMADTCKVVITTRNEYVCERMGCQEIVELKTLSDEESWSLFKRRAGDAAESTPRIRELAWNVARECAGLPLALVVLGIALKGKSSPEIWKTVLMQLKQSMEMDLLDVSKEVFQPIKLSFNYIKSEAAKSCLFHCCLYPEDWDIPKEELMHMMVGGGLLNVKSLEEAQGIVDVLLDHLKARALLLQGSSEGYVRIHDVVRDVAIQISAEDHGFYVQAGQGWTEWPENIDPNCRRLSLMGNDIQDLSPDPMEYPRLETLILRGNKRLASIPEMFFRHMGSLMVLDLSSTGIESLPESFSFLTNLKVLNLENCGSLQDISHINGLKKLAILILEGSPVSIVPEV >DRNTG_20701.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001141.1:8788:15148:-1 gene:DRNTG_20701 transcript:DRNTG_20701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLSRITGVIVDQACDPIKRHFSYLVCYKRHIDKLEEEFEKLDVRRMDIQRMVDAATCQRLAEAGNEVQTWLEGVSKIAPEVMRIRDEASMISTNFFLNIRMHYKLGREAANHIKAIDDDLLKHKFDIVSHERPPPSTTASLLFNEDYVIFDSRKSHALKILAALKNESVHSIGLWGMGGVGKTTLVKDVAKQAKEHSLFDEVVMVTISQNIDLKKIQTEVAECLGFHLNEESVEVRAVKLADRLTMTDNKVLMILDDLWETLDLIKVGIRFPEMADTCKVVITTRNEYVCERMGCQEIVELKTLSDEESWSLFKRRAGDAAESTPRIRELAWNVARECAGLPLALVVLGIALKGKSSPEIWKTVLMQLKQSMEMDLLDVSKEVFQPIKLSFNYIKSEAAKSCLFHCCLYPEDWDIPKEELMHMMVGGGLLNVKSLEEAQGIVDVLLDHLKARALLLQGSSEGYVRIHDVVRDVAIQISAEDHGFYVQAGQGWTEWPENIDPNCRRLSLMGNDIQDLSPDPMEYPRLETLILRGNKRLASIPEMFFRHMGSLMVLDLSSTGIESLPESFSFLTNLKVLNLENCGSLQDISHINGLKKLAILILEGSPVSIVPEV >DRNTG_35260.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3361702:3368716:1 gene:DRNTG_35260 transcript:DRNTG_35260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metalloprotease EGY1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G35220) UniProtKB/Swiss-Prot;Acc:Q949Y5] MATLPSSSFLNLNFGFRTLLPRRGFSQGRVRGLNNLCFLCKKKREIWGSNRRLDRIKCFSINGNDEGDEKRPAPAQEEPEQRPGGDDLKSERNTPASVSPRPPGIPSEGQTFSSFQIDSFKLMELLGPEKVDPSDVKLIKDKLFGYATFWLTREEPFGDLGEGILFLGNLRGKKEEVFAKLQRLLTEVTGNKYNLFMVEEPNSEGPDPRGGPRVSFGLLRKEVSEPGPTTLWQYVIALLLFVLTIGSCVELGIASQLTSLPPEMVKYFTDPNATQPPDMQLLFPFVETALPLAYGVLGVQLFHEVGHFLAAFPKKVKLSIPYFIPNITLGSFGAITQFKSILPDRRTMVDISMAGPLAGAVLSFSMFFVGLLLSSNPVAAGDLVQVPSMLFQGSLLLGLISRATLGYTAMHAATVSIHPLVIAGWCGLTTSAFNMLPVGCLDGGRAIQGAFGKNALFGFGLTTYSLLGFGVLGGPLSLPWGLYVLICQRTPEKPCLNDVSEVGGWRRTAVTIAVFLVVLTLLPLWDELAEELGVGLVTSF >DRNTG_35260.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3361702:3368716:1 gene:DRNTG_35260 transcript:DRNTG_35260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metalloprotease EGY1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G35220) UniProtKB/Swiss-Prot;Acc:Q949Y5] MATLPSSSFLNLNFGFRTLLPRRGFSQGRVRGLNNLCFLCKKKREIWGSNRRLDRIKCFSINGNDEGDEKRPAPAQEEPEQRPGGDDLKSERNTPASVSPRPPGIPSEGQTFSSFQIDSFKLMELLGPEKVDPSDVKLIKDKLFGYATFWLTREEPFGDLGEGILFLGNLRGKKEEVFAKLQRLLTEVTGNKYNLFMVEEPNSEGPDPRGGPRVSFGLLRKEVSEPGPTTLWQYVIALLLFVLTIGSCVELGIASQLTSLPPEMVKYFTDPNATQPPDMQLLFPFVETALPLAYGVLGVQLFHEVGHFLAAFPKKVKLSIPYFIPNITLGSFGAITQVIIGTVL >DRNTG_04539.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18392637:18398426:-1 gene:DRNTG_04539 transcript:DRNTG_04539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVGSWRRLLTISEPAYRTLTLEVLAFFEFRVLHGRVDTTEAIKIRAFGHPFSMSVTESLIRTGLYDVIYTGTVEYGRLLVGFPVSVTPYHAYRILCGRGEYEPGLSKASSLFRFSYRYVHTIISRMMGLVRRFGPRVYILTTATAETTRSEGDTTGGVQQTPPFSVAPGIRAYDRIERLETDVREIRTEIEELWALQSAQYTYLMARFDFLGDLLRPRSSGPPAPPSSTPAPEDPLFASTSAAAAAETENDFDT >DRNTG_00007.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21492494:21496264:1 gene:DRNTG_00007 transcript:DRNTG_00007.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochromobilin:ferredoxin oxidoreductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G09150) UniProtKB/Swiss-Prot;Acc:Q9SR43] MDLSSLSYHKFVNFAMEEARLCTTLTPLPYQEKVRTMKVKDDKTVLHALSYQAPKIRLLRSLTIDGGSAMQVLDFAGIPEPMFDMPIFCANFFTIPSLSIIVLDLNPLYDTRTQQYYKEKYYLKLLPLGQKYAELLPWGDKITSESLSFFSPIVIWTKFATSQTKHDVLFSAFRDYFKAWLELVDQALPETNSLQILRNKEAQHRYLTWRAEKDPGHPLLKKLVGEDLARDLVRNFLFEGVDSLGTKAFLDYFPEYRREDGSVNQKRSVIGKSYETRPWNSKGEFIGEMS >DRNTG_00007.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21492494:21496264:1 gene:DRNTG_00007 transcript:DRNTG_00007.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochromobilin:ferredoxin oxidoreductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G09150) UniProtKB/Swiss-Prot;Acc:Q9SR43] MDLSSLSYHKFVNFAMEEARLCTTLTPLPYQEKVRTMKVKDDKTVLHALSYQAPKIRLLRSLTIDGGSAMQVLDFAGIPEPMFDMPIFCANFFTIPSLSIIVL >DRNTG_00007.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21492494:21496264:1 gene:DRNTG_00007 transcript:DRNTG_00007.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochromobilin:ferredoxin oxidoreductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G09150) UniProtKB/Swiss-Prot;Acc:Q9SR43] MDLSSLSYHKFVNFAMEEARLCTTLTPLPYQEKVRTMKVKDDKTVLHALSYQAPKIRLLRSLTIDGGSAMQVLDFAGIPEPMFDMPIFCANFFTIPSLSIIVL >DRNTG_01809.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3131349:3136406:1 gene:DRNTG_01809 transcript:DRNTG_01809.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G52520) UniProtKB/Swiss-Prot;Acc:Q9FYR6] MMGDRKALQAGTSHNLGQNFSRAFGTQFTDENGQRQHVWQTSWAISTRFVGGIIMTHGDDTGLMLPPRIAPIQVVIVPIWKTEDDKAGVLKATSSVETILKTAGIKVKVDDSEQRTPGWKYNFWEMKGVPLRIEIGPRDVANASVVISRRDIPGKPGKDFGVSVEPSSLVGHVKNRLEEIQTSFLQRATSFRDGNIIDVKSYEELKAVISEGKWARGPWSASDADELKVKEETGATIRCFPFEQPEGQKKCFMTGNPAQEVAIFAKSY >DRNTG_01809.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3131349:3136406:1 gene:DRNTG_01809 transcript:DRNTG_01809.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G52520) UniProtKB/Swiss-Prot;Acc:Q9FYR6] MGALRLPSLAHLLSPSAAARATSSGVHHRSSALRVAASLSGGAGRGISTQSLAKEALSSPTADRKASASPEVAVTPRSVDFNAWYLDVIAKAELADYGPVRGTMVIRPYGYAIWESIQEYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELALVTIGGGKELEEKLVVRPTSETIVNHMFTQWIQSYRDLPLMVNQWANVTRWEMRTKPFIRTLEFLWQEGHTAHATLEEAEKEALQMIDVYKKFAYEQAAIPVIVGRKSKAETFAGASRTYTIEAMMGDRKALQAGTSHNLGQNFSRAFGTQFTDENGQRQHVWQTSWAISTRFVGGIIMTHGDDTGLMLPPRIAPIQVVIVPIWKTEDDKAGVLKATSSVETILKTAGIKVKVDDSEQRTPGWKYNFWEMKGVPLRIEIGPRDVANASVVISRRDIPGKPGKDFGVSVEPSSLVGHVKNRLEEIQTSFLQRATSFRDGNIIDVKSYEELKAVISEGKWARGPWSASDADELKVKEETGATIRCFPFEQPEGQKKCFMTGNPAQEVAIFAKSY >DRNTG_29920.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10166803:10177174:-1 gene:DRNTG_29920 transcript:DRNTG_29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIRFVLGLLTFVTIGMIIGSLFQLAFIHHLEESSGNPHWENDKEAAALRIKFVKPEVVSWSPRIVVFHNFLSMEECEYLQAIAKPRLQISTVVDTKTGKGIKSEVRTSSGMFLSSEERKFSIIQAIEKRIAVFSQVPAENGELIQVLR >DRNTG_06510.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4340198:4348370:-1 gene:DRNTG_06510 transcript:DRNTG_06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYTKVAEQRAISLQEAPPSPGSLALFLCQKQDDAHETIMAMVGDARNLFDNCVDMEFGESATAKYPLVHDMETPCQKQGSVDCAVYVMRFIEQLLWGEKLRLPQTDVPYLRLRYVTRILKEGRPAGVHDKGGSSQAG >DRNTG_26529.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3328268:3336972:-1 gene:DRNTG_26529 transcript:DRNTG_26529.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT4G37040) UniProtKB/TrEMBL;Acc:A0A178UWL4] MAPASSLHPRFFSSFLGDRFVYSARSLRHPLLRNPGTPYQKFQTSRTFCNLADLLFNKRNQDNLPESKRKRLRPGKVSPRLHVPSHIQRPPYVNSRQLPGISSGPEVHDERGIECMRASGRLAAHVLEFAGTLVKAGTATDEIDQAVHQMIIDNGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRLLEDGDIINIDVTVYLNGYHGDTSATFLCGDVEDEAKKLVEVTREALNKAISICGPGVEYNKIGKTIHGHADKFKFGVVRQFVGHGVGRVFHADPVILHFRNNEQGRMLLGQTFTIEPMLTIGSTDSVIWSDNWTAVTSDGSLSAQFENTILITENGAEILTKC >DRNTG_10091.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20707703:20711071:-1 gene:DRNTG_10091 transcript:DRNTG_10091.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATANASTTVTATATATTTTTTTSAEDATARAATKRYEGLVTVRTKAIKGKGAWYWAHLEPILISSTDTGLPKAVKLRCALCDALFSASNPSRTASEHLKRGTCPNFSTSSSSPSPHPRPISSLPPPPPPHPPPPRSSSPQPPPSSRKRSASAAAASASSPPFHIPTLALVDPSAYSSAPPPPPQQQQQLVLSGGKEDLGALAMLEDSVKKLKSPKASPGPALTKPQVDTAMALLADWVYESCGAVSMAAFSNPKVRSFFHQVGLPPFSPRDLAGPRLDSRFEDVRADSDVRIRDARFFQLASQGWKSTDSIVTLAANLPNGTTVFRNSVFSHGGRVPSKYAEDILWEAIADLCSGGPLQHCVGIVADRFKNKALRDLENRHQWMINLPCQLQAFRNLVKDFARDLPLFPSVTTNCSKLAIFFNSNSPARALLHKYQLQELDHSGLLRTPPLSEPAANFDPIMLQDVMANARPLQSVVHDDDYKASCHDDSDAQQMAEIIRDMSFWNELEAAVSLVKLVNSMATEMEAERPLVGQCLPLWDELRSKVREWCSKFGVDQGFADKVLDKRFKKNYHPAWSAAYILDPLYLLKDTSGKYLPPFKYLSPEQEKDVDKLITRLVLREEAHIALMELMKWRSEGLDPLYAQAVQVKQLDPMTGKMRIANPQSSRLVWETYMSEFKSLGKVAARLIFLHATSTGFKCNTTMLRWATARGRSRAAMDRAQKMIFIAANAKLERRDFLNEEEKDAELFFGSGPEDDVLNEAFVDASSV >DRNTG_10091.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20707703:20710931:-1 gene:DRNTG_10091 transcript:DRNTG_10091.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATANASTTVTATATATTTTTTTSAEDATARAATKRYEGLVTVRTKAIKGKGAWYWAHLEPILISSTDTGLPKAVKLRCALCDALFSASNPSRTASEHLKRGTCPNFSTSSSSPSPHPRPISSLPPPPPPHPPPPRSSSPQPPPSSRKRSASAAAASASSPPFHIPTLALVDPSAYSSAPPPPPQQQQQLVLSGGKEDLGALAMLEDSVKKLKSPKASPGPALTKPQVDTAMALLADWVYESCGAVSMAAFSNPKVRSFFHQVGLPPFSPRDLAGPRLDSRFEDVRADSDVRIRDARFFQLASQGWKSTDSIVTLAANLPNGTTVFRNSVFSHGGRVPSKYAEDILWEAIADLCSGGPLQHCVGIVADRFKNKALRDLENRHQWMINLPCQLQAFRNLVKDFARDLPLFPSVTTNCSKLAIFFNSNSPARALLHKYQLQELDHSGLLRTPPLSEPAANFDPIMLQDVMANARPLQSVVHDDDYKASCHDDSDAQQMAEIIRDMSFWNELEAAVSLVKLVNSMATEMEAERPLVGQCLPLWDELRSKVREWCSKFGVDQGFADKVLDKRFKKNYHPAWSAAYILDPLYLLKDTSGKYLPPFKYLSPEQEKDVDKLITRLVLREEAHIALMELMKWRSEGLDPLYAQAVQVKQLDPMTGKMRIANPQSSRLVWETYMSEFKSLGKVAARLIFLHATSTGFKCNTTMLRWATARGRSRAAMDRAQKMIFIAANAKLERRDFLNEEEKDAELFFGSGPEDDVLNEAFVDASSV >DRNTG_10091.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20707638:20710931:-1 gene:DRNTG_10091 transcript:DRNTG_10091.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATANASTTVTATATATTTTTTTSAEDATARAATKRYEGLVTVRTKAIKGKGAWYWAHLEPILISSTDTGLPKAVKLRCALCDALFSASNPSRTASEHLKRGTCPNFSTSSSSPSPHPRPISSLPPPPPPHPPPPRSSSPQPPPSSRKRSASAAAASASSPPFHIPTLALVDPSAYSSAPPPPPQQQQQLVLSGGKEDLGALAMLEDSVKKLKSPKASPGPALTKPQVDTAMALLADWVYESCGAVSMAAFSNPKVRSFFHQVGLPPFSPRDLAGPRLDSRFEDVRADSDVRIRDARFFQLASQGWKSTDSIVTLAANLPNGTTVFRNSVFSHGGRVPSKYAEDILWEAIADLCSGGPLQHCVGIVADRFKNKALRDLENRHQWMINLPCQLQAFRNLVKDFARDLPLFPSVTTNCSKLAIFFNSNSPARALLHKYQLQELDHSGLLRTPPLSEPAANFDPIMLQDVMANARPLQSVVHDDDYKASCHDDSDAQQMAEIIRDMSFWNELEAAVSLVKLVNSMATEMEAERPLVGQCLPLWDELRSKVREWCSKFGVDQGFADKVLDKRFKKNYHPAWSAAYILDPLYLLKDTSGKYLPPFKYLSPEQEKDVDKLITRLVLREEAHIALMELMKWRSEGLDPLYAQAVQVKQLDPMTGKMRIANPQSSRLVWETYMSEFKSLGKVAARLIFLHATSTGFKCNTTMLRWATARGRSRAAMDRAQKMIFIAANAKLERRDFLNEEEKDAELFFGSGPEDDVLNEAFVDASSV >DRNTG_10091.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20707638:20710860:-1 gene:DRNTG_10091 transcript:DRNTG_10091.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATANASTTVTATATATTTTTTTSAEDATARAATKRYEGLVTVRTKAIKGKGAWYWAHLEPILISSTDTGLPKAVKLRCALCDALFSASNPSRTASEHLKRGTCPNFSTSSSSPSPHPRPISSLPPPPPPHPPPPRSSSPQPPPSSRKRSASAAAASASSPPFHIPTLALVDPSAYSSAPPPPPQQQQQLVLSGGKEDLGALAMLEDSVKKLKSPKASPGPALTKPQVDTAMALLADWVYESCGAVSMAAFSNPKVRSFFHQVGLPPFSPRDLAGPRLDSRFEDVRADSDVRIRDARFFQLASQGWKSTDSIVTLAANLPNGTTVFRNSVFSHGGRVPSKYAEDILWEAIADLCSGGPLQHCVGIVADRFKNKALRDLENRHQWMINLPCQLQAFRNLVKDFARDLPLFPSVTTNCSKLAIFFNSNSPARALLHKYQLQELDHSGLLRTPPLSEPAANFDPIMLQDVMANARPLQSVVHDDDYKASCHDDSDAQQMAEIIRDMSFWNELEAAVSLVKLVNSMATEMEAERPLVGQCLPLWDELRSKVREWCSKFGVDQGFADKVLDKRFKKNYHPAWSAAYILDPLYLLKDTSGKYLPPFKYLSPEQEKDVDKLITRLVLREEAHIALMELMKWRSEGLDPLYAQAVQVKQLDPMTGKMRIANPQSSRLVWETYMSEFKSLGKVAARLIFLHATSTGFKCNTTMLRWATARGRSRAAMDRAQKMIFIAANAKLERRDFLNEEEKDAELFFGSGPEDDVLNEAFVDASSV >DRNTG_10091.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20707638:20711071:-1 gene:DRNTG_10091 transcript:DRNTG_10091.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATANASTTVTATATATTTTTTTSAEDATARAATKRYEGLVTVRTKAIKGKGAWYWAHLEPILISSTDTGLPKAVKLRCALCDALFSASNPSRTASEHLKRGTCPNFSTSSSSPSPHPRPISSLPPPPPPHPPPPRSSSPQPPPSSRKRSASAAAASASSPPFHIPTLALVDPSAYSSAPPPPPQQQQQLVLSGGKEDLGALAMLEDSVKKLKSPKASPGPALTKPQVDTAMALLADWVYESCGAVSMAAFSNPKVRSFFHQVGLPPFSPRDLAGPRLDSRFEDVRADSDVRIRDARFFQLASQGWKSTDSIVTLAANLPNGTTVFRNSVFSHGGRVPSKYAEDILWEAIADLCSGGPLQHCVGIVADRFKNKALRDLENRHQWMINLPCQLQAFRNLVKDFARDLPLFPSVTTNCSKLAIFFNSNSPARALLHKYQLQELDHSGLLRTPPLSEPAANFDPIMLQDVMANARPLQSVVHDDDYKASCHDDSDAQQMAEIIRDMSFWNELEAAVSLVKLVNSMATEMEAERPLVGQCLPLWDELRSKVREWCSKFGVDQGFADKVLDKRFKKNYHPAWSAAYILDPLYLLKDTSGKYLPPFKYLSPEQEKDVDKLITRLVLREEAHIALMELMKWRSEGLDPLYAQAVQVKQLDPMTGKMRIANPQSSRLVWETYMSEFKSLGKVAARLIFLHATSTGFKCNTTMLRWATARGRSRAAMDRAQKMIFIAANAKLERRDFLNEEEKDAELFFGSGPEDDVLNEAFVDASSV >DRNTG_10091.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20707703:20710860:-1 gene:DRNTG_10091 transcript:DRNTG_10091.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATANASTTVTATATATTTTTTTSAEDATARAATKRYEGLVTVRTKAIKGKGAWYWAHLEPILISSTDTGLPKAVKLRCALCDALFSASNPSRTASEHLKRGTCPNFSTSSSSPSPHPRPISSLPPPPPPHPPPPRSSSPQPPPSSRKRSASAAAASASSPPFHIPTLALVDPSAYSSAPPPPPQQQQQLVLSGGKEDLGALAMLEDSVKKLKSPKASPGPALTKPQVDTAMALLADWVYESCGAVSMAAFSNPKVRSFFHQVGLPPFSPRDLAGPRLDSRFEDVRADSDVRIRDARFFQLASQGWKSTDSIVTLAANLPNGTTVFRNSVFSHGGRVPSKYAEDILWEAIADLCSGGPLQHCVGIVADRFKNKALRDLENRHQWMINLPCQLQAFRNLVKDFARDLPLFPSVTTNCSKLAIFFNSNSPARALLHKYQLQELDHSGLLRTPPLSEPAANFDPIMLQDVMANARPLQSVVHDDDYKASCHDDSDAQQMAEIIRDMSFWNELEAAVSLVKLVNSMATEMEAERPLVGQCLPLWDELRSKVREWCSKFGVDQGFADKVLDKRFKKNYHPAWSAAYILDPLYLLKDTSGKYLPPFKYLSPEQEKDVDKLITRLVLREEAHIALMELMKWRSEGLDPLYAQAVQVKQLDPMTGKMRIANPQSSRLVWETYMSEFKSLGKVAARLIFLHATSTGFKCNTTMLRWATARGRSRAAMDRAQKMIFIAANAKLERRDFLNEEEKDAELFFGSGPEDDVLNEAFVDASSV >DRNTG_10091.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20707703:20711682:-1 gene:DRNTG_10091 transcript:DRNTG_10091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANASTTVTATATATTTTTTTSAEDATARAATKRYEGLVTVRTKAIKGKGAWYWAHLEPILISSTDTGLPKAVKLRCALCDALFSASNPSRTASEHLKRGTCPNFSTSSSSPSPHPRPISSLPPPPPPHPPPPRSSSPQPPPSSRKRSASAAAASASSPPFHIPTLALVDPSAYSSAPPPPPQQQQQLVLSGGKEDLGALAMLEDSVKKLKSPKASPGPALTKPQVDTAMALLADWVYESCGAVSMAAFSNPKVRSFFHQVGLPPFSPRDLAGPRLDSRFEDVRADSDVRIRDARFFQLASQGWKSTDSIVTLAANLPNGTTVFRNSVFSHGGRVPSKYAEDILWEAIADLCSGGPLQHCVGIVADRFKNKALRDLENRHQWMINLPCQLQAFRNLVKDFARDLPLFPSVTTNCSKLAIFFNSNSPARALLHKYQLQELDHSGLLRTPPLSEPAANFDPIMLQDVMANARPLQSVVHDDDYKASCHDDSDAQQMAEIIRDMSFWNELEAAVSLVKLVNSMATEMEAERPLVGQCLPLWDELRSKVREWCSKFGVDQGFADKVLDKRFKKNYHPAWSAAYILDPLYLLKDTSGKYLPPFKYLSPEQEKDVDKLITRLVLREEAHIALMELMKWRSEGLDPLYAQAVQVKQLDPMTGKMRIANPQSSRLVWETYMSEFKSLGKVAARLIFLHATSTGFKCNTTMLRWATARGRSRAAMDRAQKMIFIAANAKLERRDFLNEEEKDAELFFGSGPEDDVLNEAFVDASSV >DRNTG_26640.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18266336:18276256:1 gene:DRNTG_26640 transcript:DRNTG_26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDSSEEEKIKLRIGGLFWGFFCSC >DRNTG_19060.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29086846:29092565:1 gene:DRNTG_19060 transcript:DRNTG_19060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLCHDFVNVQSISPAQAKRFTKFRERKGLIEKDVVRTDRSISYFDGDDNPNVALLHDILLTYSFYNFDLGYCQGMSDLLSPILFVMEDESEAFWCFVALMERLGPNFNRDQNGMHSQLFALSKLVELLDAPLHNYLKQSDCLNYFFCFRWILIQFKREFEYERIMRLWEVLWTHYLSEHFHLYICVAILKKYRSKIMGEQMDFDTLLKFINELSGKIDLDRIIKDAEALCICAGEHGAACIPPGTPPSLPIESDSGMYSQPDDL >DRNTG_19060.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29086846:29092565:1 gene:DRNTG_19060 transcript:DRNTG_19060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKTLRKRIFYGGVDFSLRKEVWKFLLGYHTYESTDAEREYLASVKKSEYETIKSQWQSISPAQAKRFTKFRERKGLIEKDVVRTDRSISYFDGDDNPNVALLHDILLTYSFYNFDLGYCQGMSDLLSPILFVMEDESEAFWCFVALMERLGPNFNRDQNGMHSQLFALSKLVELLDAPLHNYLKQSDCLNYFFCFRWILIQFKREFEYERIMRLWEVLWTHYLSEHFHLYICVAILKKYRSKIMGEQMDFDTLLKFINELSGKIDLDRIIKDAEALCICAGEHGAACIPPGTPPSLPIESDSGMYSQPDDL >DRNTG_19060.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29086846:29092565:1 gene:DRNTG_19060 transcript:DRNTG_19060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLLSPILFVMEDESEAFWCFVALMERLGPNFNRDQNGMHSQLFALSKLVELLDAPLHNYLKQSDCLNYFFCFRWILIQFKREFEYERIMRLWEVLWTHYLSEHFHLYICVAILKKYRSKIMGEQMDFDTLLKFINELSGKIDLDRIIKDAEALCICAGEHGAACIPPGTPPSLPIESDSGMYSQPDDL >DRNTG_19060.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29086846:29092565:1 gene:DRNTG_19060 transcript:DRNTG_19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFEEWAAFLDSEGRIMDSKTLRKRIFYGGVDFSLRKEVWKFLLGYHTYESTDAEREYLASVKKSEYETIKSQWQSISPAQAKRFTKFRERKGLIEKDVVRTDRSISYFDGDDNPNVALLHDILLTYSFYNFDLGYCQGMSDLLSPILFVMEDESEAFWCFVALMERLGPNFNRDQNGMHSQLFALSKLVELLDAPLHNYLKQSDCLNYFFCFRWILIQFKREFEYERIMRLWEVLWTHYLSEHFHLYICVAILKKYRSKIMGEQMDFDTLLKFINELSGKIDLDRIIKDAEALCICAGEHGAACIPPGTPPSLPIESDSGMYSQPDDL >DRNTG_10454.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1859625:1863600:-1 gene:DRNTG_10454 transcript:DRNTG_10454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKILVGNKCDLTANKVVSYETAKAFADEIGIPFLETSAKNATNVEQAFMAMAAAIKNRMASQPAANSARPPTVQIRGQPVNQKSSCCSS >DRNTG_32933.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19040796:19043304:1 gene:DRNTG_32933 transcript:DRNTG_32933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTRHIGLYTSCLGGSSVSSWKNQRETQNGIMDMDFVVGVPWSRALMGGGVVNPESTPCQEVRRAIERLSVVQNKLFWIMMTGRSPAVALRKADGAKPSCRS >DRNTG_09170.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7257151:7259553:1 gene:DRNTG_09170 transcript:DRNTG_09170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKTEVPRVKLGTQGLEVSKLGFGCTSITGAYGTILPEDEGIGILKHAFNQGITFFDTSDFYASNANEILIGKALKEMPREKIQLATKFGIVRVSRSKDGYMEVNGRPEYVRACCEASLKRLQVDYIDLYYQHRIDQTVPIEETIGEMKKLVEEGKVKYIGLSEASPDTIRRAHAVHPISAVQMEWSLWTRDIEQEIVPLCRELGIGIVPFSPLGRGFFGGRGVTGSLHDNTKLDAHPRFIAENMEKNKALYLTVENLAKKHQCSTAQLALSWLLHQGDDVIPIPGTNKIKNLESNIRALEVKLTKEDVREISDLVSEVEVAGSRAFYYTEKFIWKYANTPLPNSG >DRNTG_09170.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7257151:7259553:1 gene:DRNTG_09170 transcript:DRNTG_09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKTEVPRVKLGTQGLEVSKLGFGCTSITGAYGTILPEDEGIGILKHAFNQGITFFDTSDFYASNANEILIGKALKEMPREKIQLATKFGIVRVSRSKDGYMEVNGRPEYVRACCEASLKRLQVDYIDLYYQHRIDQTVPIEETIGEMKKLVEEGKVKYIGLSEASPDTIRRAHAVHPISAVQMEWSLWTRDIEQEIVPLCRELGIGIVPFSPLGRGFFGGRGVTGSLHDNTKLDAHPRFIAENMEKNKALYLTVENLAKKHQCSTAQLALSWLLHQGDDVIPIPGTNKIKNLESNIRALEVKLTKEDVREISDLVSEVEVAGSRAFYYTEKFIWKYANTPLPNSAPDF >DRNTG_27109.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14560570:14564173:-1 gene:DRNTG_27109 transcript:DRNTG_27109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMEFTTAELVEAHAQVWNLSFGYLKSMCLKCSLELGIADVLKKHGKPMELSELTSALSVPPSKFEPFDRFMTTLVHLELFAKKQDDSGAKKYMLTPASHLLLKDEAMSITPLITLLLDPTMCDSSNVLGPWFKSPYKGNPFEFYFGKGIWDVAGEKPQFNKMFNEGMASDSKFVCNVVMTSCRDVFKGLKSVVDVGGGTGIMARSIAHAFPGIKCTVFDLPHVIDTMEDQQPGVAYVGGDMFASVPHANAVLLKV >DRNTG_16814.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1555916:1556463:1 gene:DRNTG_16814 transcript:DRNTG_16814.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTTINDTCKKEMQEKACREIARWLYDAAIPFNAIKYPQFQVMIDAIGQYGIGMKAPNHHEVRISLLNKEMEDGKKILQSYEEEWAHYGCSIMADGWTNKRNRTLINFLVNSPKRTVFLESIDASSYVKTGEKMFKLLDHMIQRVGEKNVIQIVTDSTSVNVFTG >DRNTG_19136.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19076511:19078010:-1 gene:DRNTG_19136 transcript:DRNTG_19136.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQASTMITIIMLAFLLTGLGSVEQELEGPVSESVCIIVMVSMFIDRLQADGLRCSSANQGGSHIYTPSPCVEAQRPYNPPPPPPASKPVTSP >DRNTG_24604.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1329472:1330544:1 gene:DRNTG_24604 transcript:DRNTG_24604.7 gene_biotype:protein_coding transcript_biotype:protein_coding AQENITPLSLVSISDGWAEKHRLFVKWKYLEAKAAAYYYHGLILDEGNTKKSHSMAVAALQAADEFLKESKKASESFNATLPTSRNPPLSGSMKYLSEKIPKDALSKLRINRDLYSQERILETAPTLPDFALALKPDEYHLPQLDPLWSSEDINLSKT >DRNTG_24604.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1329472:1330071:1 gene:DRNTG_24604 transcript:DRNTG_24604.8 gene_biotype:protein_coding transcript_biotype:protein_coding AQENITPLSLVSISDGWAEKHRLFVKWKYLEAKAAAYYYHGLILDEGNTKKSHSMAVAALQAADEFLKESKKASESFNATLPTSR >DRNTG_24604.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1326166:1330544:1 gene:DRNTG_24604 transcript:DRNTG_24604.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLVSTPKDACGNRRWPTNIGELAVFVPGLRVPKSVDFSSALSDILPKPLVERLSALRMRIVAMVAQEASKATKQRRKTTTKHGGSSFSELLQLLEDYLSVLLGLVGEGSQLKDKVQFLWVNQEDDAEETVMVNAWYEALSVLQLMAMVCLSEANTLLLPKTINDGYQTKLSEESKRTSIDIFLKAAGYLDCAIQHVLPQIPPTVRRDLPVDLAEGVLRALLMQALGQCVDIQLGMAIDSPKATLAVKRRLACEMVKYWDEAQENITPLSLVSISDGWAEKHRLFVKWKYLEAKAAAYYYHGLILDEGNTKKSHSMAVAALQAADEFLKESKKASESFNATLPTSRNPPLSGSMKYLSEKIPKDALSKLRINRDLYSQERILETAPTLPDFALALKPDEYHLPQLDPLWSSEDINLSKT >DRNTG_24604.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1325674:1330544:1 gene:DRNTG_24604 transcript:DRNTG_24604.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDSQDMGCLVSTPKDACGNRRWPTNIGELAVFVPGLRVPKSVDFSSALSDILPKPLVERLSALRMRIVAMVAQEASKATKQRRKTTTKHGGSSFSELLQLLEDYLSVLLGLVGEGSQLKDKVQFLWVNQEDDAEETVMVNAWYEALSVLQLMAMVCLSEANTLLLPKTINDGYQTKLSEESKRTSIDIFLKAAGYLDCAIQHVLPQIPPTVRRDLPVDLAEGVLRALLMQALGQCVDIQLGMAIDSPKATLAVKRRLACEMVKYWDEAQENITPLSLVSISDGWAEKHRLFVKWKYLEAKAAAYYYHGLILDEGNTKKSHSMAVAALQAADEFLKESKKASESFNATLPTSRNPPLSGSMKYLSEKIPKDALSKLRINRDLYSQERILETAPTLPDFALALKPDEYHLPQLDPLWSSEDINLSKT >DRNTG_24604.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1327583:1330544:1 gene:DRNTG_24604 transcript:DRNTG_24604.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAWYEALSVLQLMAMVCLSEANTLLLPKTINDGYQTKLSEESKRTSIDIFLKAAGYLDCAIQHVLPQIPPTVRRDLPVDLAEGVLRALLMQALGQCVDIQLGMAIDSPKATLAVKRRLACEMVKYWDEAQENITPLSLVSISDGWAEKHRLFVKWKYLEAKAAAYYYHGLILDEGNTKKSHSMAVAALQAADEFLKESKKASESFNATLPTSRNPPLSGSMKYLSEKIPKDALSKLRINRDLYSQERILETAPTLPDFALALKPDEYHLPQLDPLWSSEDINLSKT >DRNTG_24604.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1325674:1330544:1 gene:DRNTG_24604 transcript:DRNTG_24604.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLVSTPKDACGNRRWPTNIGELAVFVPGLRVPKSVDFSSALSDILPKPLVERLSALRMRIVAMVAQEASKATKQRRKTTTKHGGSSFSELLQLLEDYLSVLLGLVGEGSQLKDKVQFLWVNQEDDAEETVMVNAWYEALSVLQLMAMVCLSEANTLLLPKTINDGYQTKLSEESKRTSIDIFLKAAGYLDCAIQHVLPQIPPTVRRDLPVDLAEGVLRALLMQALGQCVDIQLGMAIDSPKATLAVKRRLACEMVKYWDEAQENITPLSLVSISDGWAEKHRLFVKWKYLEAKAAAYYYHGLILDEGNTKKSHSMAVAALQAADEFLKESKKASESFNATLPTSRNPPLSGSMKYLSEKIPKDALSKLRINRDLYSQERILETAPTLPDFALALKPDEYHLPQLDPLWSSEDINLSKT >DRNTG_24604.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1326166:1330544:1 gene:DRNTG_24604 transcript:DRNTG_24604.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAWYEALSVLQLMAMVCLSEANTLLLPKTINDGYQTKLSEESKRTSIDIFLKAAGYLDCAIQHVLPQIPPTVRRDLPVDLAEGVLRALLMQALGQCVDIQLGMAIDSPKATLAVKRRLACEMVKYWDEAQENITPLSLVSISDGWAEKHRLFVKWKYLEAKAAAYYYHGLILDEGNTKKSHSMAVAALQAADEFLKESKKASESFNATLPTSRNPPLSGSMKYLSEKIPKDALSKLRINRDLYSQERILETAPTLPDFALALKPDEYHLPQLDPLWSSEDINLSKT >DRNTG_24604.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1328679:1330544:1 gene:DRNTG_24604 transcript:DRNTG_24604.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDSPKATLAVKRRLACEMVKYWDEAQENITPLSLVSISDGWAEKHRLFVKWKYLEAKAAAYYYHGLILDEGNTKKSHSMAVAALQAADEFLKESKKASESFNATLPTSRNPPLSGSMKYLSEKIPKDALSKLRINRDLYSQERILETAPTLPDFALALKPDEYHLPQLDPLWSSEDINLSKT >DRNTG_02343.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1480390:1485212:1 gene:DRNTG_02343 transcript:DRNTG_02343.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha subunit B [Source:Projected from Arabidopsis thaliana (AT1G67630) UniProtKB/TrEMBL;Acc:F4HTP2] MEAEIKAEFERNGFSLVSEDEILHKCLTFCINFKLSPSDLVSNWEIYYLNRELTGLQLEDSYMDGFLSYLQNEVKERTIKDEPHLHSYSNSDVGILLNDECDGIKESLLDTPVVGSQYERPYLDNGVAVTPKTNEKGSRLKDSKTAYDRVTPFGQRMNKFVPQFTFNDKIMDDGIGRQENENTEDDFIKRVQPSESCSLRVHCSKPEPGCRFMYDSIEDKFIALENRIKKHADAFAASGLYGEPTDATLATQKNVFTVGMICCDGDGHLNEKSVVLQGSAAHSGGQRVRLDLHNLAQFSLFPGQVVGVEGYNPSGHCLITSKVVDSLPYIPEGDLPPAKKLAMDQDFVTSSPSGTSKRLSLVAAAGPFTTTDNLLFEPLTELLSYASRKQSQLLLLMGPFLDSEHPEIKKGTVDQSFDEIFHIEVLRKLQDYIEFMGPSTRVILVPSIRDANHGFVFPQPAFDIHLPDKIRHQIICLSNPSLVSANEIMLGCCTVDILKQLSSEEISRTPADATSRDRMGRIATHLLNQRGYYPLYPPSVDTPLDLALTPEALDIPTIPDVLILPSDLAPFVKVLSLGEGNDGGELVKCLCMNPGRLAKGVGGGTFVELKYQDNLDKSRASIIRI >DRNTG_02343.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1484399:1485212:1 gene:DRNTG_02343 transcript:DRNTG_02343.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha subunit B [Source:Projected from Arabidopsis thaliana (AT1G67630) UniProtKB/TrEMBL;Acc:F4HTP2] MLGCCTVDILKQLSSEEISRTPADATSRDRMGRIATHLLNQRGYYPLYPPSVDTPLDLALTPEALDIPTIPDVLILPSDLAPFVKVLSLGEGNDGGELVKCLCMNPGRLAKGVGGGTFVELKYQDNLDKSRASIIRI >DRNTG_31989.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23704541:23707378:1 gene:DRNTG_31989 transcript:DRNTG_31989.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLSRVPNCRALRRHKGKVIQTSSSNFPPK >DRNTG_26362.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:722241:723191:-1 gene:DRNTG_26362 transcript:DRNTG_26362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYRNHRSGTSAQSRLIGLLSRPGGDSIAGNSGVGVELHEDELFWSGSDSPEPIISQKPSSAATLATENPNRRSSPFRRIPEKSSGILAALVEDDKKGADVAAAQLLQRKPSTAASSASTSPSSARMIPAIPKPKTDYGGGKPFHQSAPVNIPIPPRFARHRYEASGGAGEVDEADGDDDEMLPPHEIIARRSGLGTPMTSFSVLEGVGRTLKGRDLRQVRNAVFRKTGFLD >DRNTG_19665.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:4286006:4286748:1 gene:DRNTG_19665 transcript:DRNTG_19665.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLNTTRSRLFTLLDGKEMVSDDVMYAFICILQKSLSIVPYPYKKRASITRPLALFMSKQEDASDTNFAMIGDAVRKLHDVDIVIPPIIMNGYFHLMRRLFDTCIDMEFSEMVTTKYLLIHGIETPRQKKGSVDWAVYVMRFIKQLITNEKLWLPQTDIPYLRLKYTAHILKEGSVAAITNKGESSIIGK >DRNTG_22282.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21860106:21861631:1 gene:DRNTG_22282 transcript:DRNTG_22282.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINNSSEKELFSKQEGVHKDNKAAIVTNSRSWSGLKDPRIVRVSRLFGGKDRHSKVSTVRGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLKAAQHEIDKLPPLQIPPGSFLHFSLPSPSPSPSVPPPEASQAQAQSLLPIFDDSDKTCASGAQSTALSSSKSSDEFEDLTLLQRPTLWKMGTKEAEKSSIIQGNEVEHHHGRVFRPIHPSFSSLLHNPLPYNTSFYHY >DRNTG_22282.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21859645:21861631:1 gene:DRNTG_22282 transcript:DRNTG_22282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINNSSEKELFSKQEGVHKDNKAAIVTNSRSWSGLKDPRIVRVSRLFGGKDRHSKVSTVRGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLKAAQHEIDKLPPLQIPPGSFLHFSLPSPSPSPSVPPPEASQAQAQSLLPIFDDSDKTCASGAQSTALSSSKSSDEFEDLTLLQRPTLWKMGTKEAEKSSIIQGNEVEHHHGRVFRPIHPSFSSLLHNPLPYNTSFYHY >DRNTG_03784.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1771098:1772101:-1 gene:DRNTG_03784 transcript:DRNTG_03784.1 gene_biotype:protein_coding transcript_biotype:protein_coding VILSGPSHPTNIYSQDDLPRIVVRTLYQGSSVTSLDFHPIQQTILLVGTIVGDIGIWEVGSRKKITNRTFRVWDITACSRTLQACLPN >DRNTG_07690.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23173618:23177222:-1 gene:DRNTG_07690 transcript:DRNTG_07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQAVGRVIRHRHDYGANIFVYIPNLEVRTYDKERKPLHAQAGSSGISNVEIVWKHSSDKSNN >DRNTG_23681.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10247369:10249675:1 gene:DRNTG_23681 transcript:DRNTG_23681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEEQDLTRDISGLGSFKLELSSRECSADHSVEVEENIVLVHAVSIEDVLVESIEIEDGREANVKEHVFTSDTGNNNDVDGESDGEINKEQKWRIAYGSVPAFTMLQNKEEFLFEDVKDGLFLLPQWMVMHAMKLLTVNDNQAYILLHEESLSKSSSDSKEVGNLLRVGMHYWKHGLVEVIVFNIGKDRKVLNDEPFTIMVLMALFTTYITTLIVMVIYKLAHQQLLTNAALSNAGVASSIQEKDLNTKHVMGSPLADSAGDERRLLRLRGNEVYKGSKEWKKGKKKEEKARPTGAPVIVSDASQEGKVSHILLFSGLFPSDLKL >DRNTG_01781.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10691962:10694350:1 gene:DRNTG_01781 transcript:DRNTG_01781.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVIRHNNVVGGITYRPYTSQRFGEIAFCAITADEQVKGYGTRLMNHFKQHARDVDGFIHFLTYADNNAVGYFIKQGFTKETHIGERLM >DRNTG_01781.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10691962:10692986:1 gene:DRNTG_01781 transcript:DRNTG_01781.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVIRHNNVVGGITYRPYTSQRFGEIAFCAITADEQVKGYGTRLMNHFKQHARDVDGFIHFLTYADNNAVGYFIKQ >DRNTG_18289.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1784156:1787196:-1 gene:DRNTG_18289 transcript:DRNTG_18289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDGEQEFIHGETLTKAPSDPLSSSSSSSSSNALLDLSFDADELLDLAARGQWRSLADNLSRSRSVPPHVHLSHLAFHALSLHKLRRFPDSLRVLQSLIAGVDAGDGDPFDSQQFRYESYPDVYPGRSGSMLPFAVRYLHADLPQRLGDRSQSLDRLYSLLDFVRQRIAASGGDHWRRREAFIVSSLCCNHFSHREFDVALALLRELLERDPSDPVLLSKLGHVQLQIGDLDGARATFVRVEKLVKGHGVELENLAGRNRALEHLVNKDYTSAVREYDECIERDPADVVALNNKALCLLYSRDLSDSIKVLEGALERVPTAAVKETLVVNLCSMYELAYVNHGEVKKSLNNWIARVAPDDFDPSCTRI >DRNTG_11100.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30657043:30658112:-1 gene:DRNTG_11100 transcript:DRNTG_11100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVKAFAWLLFMLQIMSCSLAYEFQVGGKDGWILNPHESYSQWSGRNRFQVHDKLVFKYKKEEDSVLVVSKEDYDKCNVSNPIKKFDDGNSVFEFDKTGPFFFISGASGKCNQGQKLSVIVLSLKTKKPSTSPAPSPTSSVPSPPPSSSSSPPPPPSSSSSPPSQSPSSAASSPSSSPSSAASSPSSSSSPEASPTPSISISPSATTGSPVSSQSPSPSSGASSGVPSSLSPGQSSGATNAASSPGSSPAGPQSPAPALAATWMSFGWVTLVFCGLFLG >DRNTG_11100.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30656925:30658351:-1 gene:DRNTG_11100 transcript:DRNTG_11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAHHSHNGNTLSIYTLLFLSLSSHSSLSLSPTSFFLLRKLDMDTVKAFAWLLFMLQIMSCSLAYEFQVGGKDGWILNPHESYSQWSGRNRFQVHDKLVFKYKKEEDSVLVVSKEDYDKCNVSNPIKKFDDGNSVFEFDKTGPFFFISGASGKCNQGQKLSVIVLSLKTKKPSTSPAPSPTSSVPSPPPSSSSSPPPPPSSSSSPPSQSPSSAASSPSSSPSSAASSPSSSSSPEASPTPSISISPSATTGSPVSSQSPSPSSGASSGVPSSLSPGQSSGATNAASSPGSSPAGPQSPAPALAATWMSFGWVTLVFCGLFLG >DRNTG_11100.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30656925:30658112:-1 gene:DRNTG_11100 transcript:DRNTG_11100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVKAFAWLLFMLQIMSCSLAYEFQVGGKDGWILNPHESYSQWSGRNRFQVHDKLVFKYKKEEDSVLVVSKEDYDKCNVSNPIKKFDDGNSVFEFDKTGPFFFISGASGKCNQGQKLSVIVLSLKTKKPSTSPAPSPTSSVPSPPPSSSSSPPPPPSSSSSPPSQSPSSAASSPSSSPSSAASSPSSSSSPEASPTPSISISPSATTGSPVSSQSPSPSSGASSGVPSSLSPGQSSGATNAASSPGSSPAGPQSPAPALAATWMSFGWVTLVFCGLFLG >DRNTG_19886.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18069380:18078700:-1 gene:DRNTG_19886 transcript:DRNTG_19886.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTITEISRRVGLSGKLALSRVADMCSRYRMNMTAELNKRTKQYRVWTSRNYQSSEAFPNKCVETADGSEYLNHSNELSSHGQLSWITKTPDCCENDLSPTDKGRMISEMPLLCSAERDNSQVSVFDKDNQKQLNQEILDDNHFVENGITECAPNSKTDLHEKLISTSAPAKLQTSQTYPCIASTVSGVQREQRILERLKNEKFLLTVELHKWLEGLEKDKTTSMDRKTLTRTLNKLQAEGLCKCARVIIPVVSNCNQSRKTEVILHPSIGNLSKELLDQIHKKQRTFDTMVRSRASISSSKNEPATVLNSVKRLSSHLRRDTNAQLKSEIMLANGFVQAKMIRAKLLHCFLWGYLTMQDNFDSRKYDYGLNGSVDTCQLFALDAAVKAMPLELFLQVVGSAKQFDNLVMNCKLGMRLSDLTPQEYKQLMDTNATGRLSCLIDILRRLKLLQLVPEQAEEDDKMLPHAVLTHALELKPYVEEPFPKSLSSSNSCAFDLHLKLRHEFVLTKQDTVDSYWETLEYCYATADPESAKHAFPGSTVPEVFSSRSWASVRVMTVEQRVELFKCMAHDNPQKKIPFNECIKIAKELNLTLEQVLRVSYDKRLSHLNKYRRRTKRKVQGNFEDENSSEQNARKRKQNSLDGSYGQTCSDDEARESSLSIDPSVSVVVTQTITADSVAYNSSENIEFCTGRYNDDGMVAAAKETEQHNEDTANCNFIGECAFSRFKPLRRKKFAWTDNTDRQLIMQYARQRAILGARSHRVDWNSISGLPALPVTCRRRMAMMRTDANVRKAMMRVCNILGERYARYLNEKHKNHDNAFPYDLNTERHISDVNLGGSVCQNMTSTTENALVSNVKGYHWDDFEDLDVKAA >DRNTG_19886.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18069380:18074242:-1 gene:DRNTG_19886 transcript:DRNTG_19886.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKTLTRTLNKLQAEGLCKCARVIIPVVSNCNQSRKTEVILHPSIGNLSKELLDQIHKKQRTFDTMVRSRASISSSKNEPATVLNSVKRLSSHLRRDTNAQLKSEIMLANGFVQAKMIRAKLLHCFLWGYLTMQDNFDSRKYDYGLNGSVDTCQLFALDAAVKAMPLELFLQVVGSAKQFDNLVMNCKLGMRLSDLTPQEYKQLMDTNATGRLSCLIDILRRLKLLQLVPEQAEEDDKMLPHAVLTHALELKPYVEEPFPKSLSSSNSCAFDLHLKLRHEFVLTKQDTVDSYWETLEYCYATADPESAKHAFPGSTVPEVFSSRSWASVRVMTVEQRVELFKCMAHDNPQKKIPFNECIKIAKELNLTLEQVLRVSYDKRLSHLNKYRRRTKRKVQGNFEDENSSEQNARKRKQNSLDGSYGQTCSDDEARESSLSIDPSVSVVVTQTITADSVAYNSSENIEFCTGRYNDDGMVAAAKETEQHNEDTANCNFIGECAFSRFKPLRRKKFAWTDNTDRQLIMQYARQRAILGARSHRVDWNSISGLPALPVTCRRRMAMMRTDANVRKAMMRVCNILGERYARYLNEKHKNHDNAFPYDLNTERHISDVNLGGSVCQNMTSTTENALVSNVKGYHWDDFEDLDVKAA >DRNTG_19886.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18069380:18082687:-1 gene:DRNTG_19886 transcript:DRNTG_19886.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSILASTLEEVCIRGSAGIPLTDLWPALQASLSSAGLPLCDAVKTALWSRLLFHPALRFEASDGSFLVPSDNFLRSFDEAERLGLKIVAEEHLRENFLGIYDLKAADGELSAEKKRVLERLALARTSGLTQNDLAKEFGMKGNNIFYLVRGLECHKLITRQSTLLKMKESGIDGELGSKCTQIVHTNLIHLCRYAKHANLGSQRRIEISRPDMLANPCNLYANSLTENNASGEYLEDIHIEDFLPAMKLICDKLEGADEKVLVVSDIKQVLGYRLKKGHRAWRNDTNCLRLLAKFDPESFHQKSAICGYSVFESELSLRHGKRGQINDLLVELPLENQIYDLIDAEGPKGMTITEISRRVGLSGKLALSRVADMCSRYRMNMTAELNKRTKQYRVWTSRNYQSSEAFPNKCVETADGSEYLNHSNELSSHGQLSWITKTPDCCENDLSPTDKGRMISEMPLLCSAERDNSQVSVFDKDNQKQLNQEILDDNHFVENGITECAPNSKTDLHEKLISTSAPAKLQTSQTYPCIASTVSGVQREQRILERLKNEKFLLTVELHKWLEGLEKDKTTSMDRKTLTRTLNKLQAEGLCKCARVIIPVVSNCNQSRKTEVILHPSIGNLSKELLDQIHKKQRTFDTMVRSRASISSSKNEPATVLNSVKRLSSHLRRDTNAQLKSEIMLANGFVQAKMIRAKLLHCFLWGYLTMQDNFDSRKYDYGLNGSVDTCQLFALDAAVKAMPLELFLQVVGSAKQFDNLVMNCKLGMRLSDLTPQEYKQLMDTNATGRLSCLIDILRRLKLLQLVPEQAEEDDKMLPHAVLTHALELKPYVEEPFPKSLSSSNSCAFDLHLKLRHEFVLTKQDTVDSYWETLEYCYATADPESAKHAFPGSTVPEVFSSRSWASVRVMTVEQRVELFKCMAHDNPQKKIPFNECIKIAKELNLTLEQVLRVSYDKRLSHLNKYRRRTKRKVQGNFEDENSSEQNARKRKQNSLDGSYGQTCSDDEARESSLSIDPSVSVVVTQTITADSVAYNSSENIEFCTGRYNDDGMVAAAKETEQHNEDTANCNFIGECAFSRFKPLRRKKFAWTDNTDRQLIMQYARQRAILGARSHRVDWNSISGLPALPVTCRRRMAMMRTDANVRKAMMRVCNILGERYARYLNEKHKNHDNAFPYDLNTERHISDVNLGGSVCQNMTSTTENALVSNVKGYHWDDFEDLDVKAA >DRNTG_19886.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18069380:18070427:-1 gene:DRNTG_19886 transcript:DRNTG_19886.5 gene_biotype:protein_coding transcript_biotype:protein_coding QVLRVSYDKRLSHLNKYRRRTKRKVQGNFEDENSSEQNARKRKQNSLDGSYGQTCSDDEARESSLSIDPSVSVVVTQTITADSVAYNSSENIEFCTGRYNDDGMVAAAKETEQHNEDTANCNFIGECAFSRFKPLRRKKFAWTDNTDRQLIMQYARQRAILGARSHRVDWNSISGLPALPVTCRRRMAMMRTDANVRKAMMRVCNILGERYARYLNEKHKNHDNAFPYDLNTERHISDVNLGGSVCQNMTSTTENALVSNVKGYHWDDFEDLDVKAA >DRNTG_19886.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18069380:18076562:-1 gene:DRNTG_19886 transcript:DRNTG_19886.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRYRMNMTAELNKRTKQYRVWTSRNYQSSEAFPNKCVETADGSEYLNHSNELSSHGQLSWITKTPDCCENDLSPTDKGRMISEMPLLCSAERDNSQVSVFDKDNQKQLNQEILDDNHFVENGITECAPNSKTDLHEKLISTSAPAKLQTSQTYPCIASTVSGVQREQRILERLKNEKFLLTVELHKWLEGLEKDKTTSMDRKTLTRTLNKLQAEGLCKCARVIIPVVSNCNQSRKTEVILHPSIGNLSKELLDQIHKKQRTFDTMVRSRASISSSKNEPATVLNSVKRLSSHLRRDTNAQLKSEIMLANGFVQAKMIRAKLLHCFLWGYLTMQDNFDSRKYDYGLNGSVDTCQLFALDAAVKAMPLELFLQVVGSAKQFDNLVMNCKLGMRLSDLTPQEYKQLMDTNATGRLSCLIDILRRLKLLQLVPEQAEEDDKMLPHAVLTHALELKPYVEEPFPKSLSSSNSCAFDLHLKLRHEFVLTKQDTVDSYWETLEYCYATADPESAKHAFPGSTVPEVFSSRSWASVRVMTVEQRVELFKCMAHDNPQKKIPFNECIKIAKELNLTLEQVLRVSYDKRLSHLNKYRRRTKRKVQGNFEDENSSEQNARKRKQNSLDGSYGQTCSDDEARESSLSIDPSVSVVVTQTITADSVAYNSSENIEFCTGRYNDDGMVAAAKETEQHNEDTANCNFIGECAFSRFKPLRRKKFAWTDNTDRQLIMQYARQRAILGARSHRVDWNSISGLPALPVTCRRRMAMMRTDANVRKAMMRVCNILGERYARYLNEKHKNHDNAFPYDLNTERHISDVNLGGSVCQNMTSTTENALVSNVKGYHWDDFEDLDVKAA >DRNTG_17811.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000914.1:11612:12711:1 gene:DRNTG_17811 transcript:DRNTG_17811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSLEGKEFSELVPVNGDEEIFVRANRRLDAIAPEPLAQRQDERATSSVRTRRRSPTSSPKHTCIPQGRRSPRLPRSTVAAPLPAQGEDVTATLLQACQILITEFPRLVARVEASEGRSHSQSIVPSLQINEAPGTDAPSEFDDEDIIRVAIQSRPHSKRLAKKRKTIMPPSPPLADDEIITAPSAADGVTIDDMPVTVEEIADDIEIVTVDKIVDSVVNDSMNPVELVSDSAASKMDTIHEEQQPTQR >DRNTG_16634.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000830.1:5529:6489:1 gene:DRNTG_16634 transcript:DRNTG_16634.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKLLTISLAAPSPALSIAGAALFLAALAFTILLLRLSRPIPCFLLATAIIALLNSHPFLHTRLTRPNPSEPFFFPSLPFATPLLKPYPYPQSSPSSPPSILNWDETLGPILPN >DRNTG_03183.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5822279:5822780:1 gene:DRNTG_03183 transcript:DRNTG_03183.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSVLLVSDPWPRALRYHSFHHTQFRTSPMIVCMRSHSKKKEEKCVVE >DRNTG_29482.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2653664:2654232:-1 gene:DRNTG_29482 transcript:DRNTG_29482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQDVQSATVLRPPVTVTPGRKSSRVKVVKKHEGMKREKPLMNPRLPSWLSYLKRKARVLKNWYVGYGSLSHPKRLGDDEMVYIEPYFSLPVVVADNVY >DRNTG_28025.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23373954:23374757:1 gene:DRNTG_28025 transcript:DRNTG_28025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAILILSLCATLGLLAPACKATDFVLYSNPATALLPGQSFSYDMTPIGLPYGPADLVMRKDCNLVSHYKGEFAWASNTSGAADYCYLTVSNYGAAVIKGNYHYPVWTSPITSNISGDYVLILQWNGGLATYGPSIWSSTNKAELGSIEIKNETKDYVVYSYSVLPIGPIATYKDFHLVLEDSCNLVLRRIDSGKVLWQTNKYSDSHDCFVTLDGNGELFVKHRRREILWRSNSRSTPGLYVFVLRYDARLVIYGSQIWTTKPFW >DRNTG_08431.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31066088:31068357:-1 gene:DRNTG_08431 transcript:DRNTG_08431.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNAGVLGSVEGVMDMDLTQLDKTLAVHVRGTAAAIKHAARAMRASGTRGSIICTASVAASQGNLGPAAYTAAKHAVIGLMRSAVLDLGAHGIRINCISPFGVATPMSCAYIGKAAEGVEDLCYEMVALKGVILRGGHVAQAALFLASDESEYISGHDLVIDGGVTVVNKNDLKANK >DRNTG_08431.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31066088:31068357:-1 gene:DRNTG_08431 transcript:DRNTG_08431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDGKLRLEGKVAIITGAASGIGEATARLFAAHGATVVIADVQDQLGEAVAASIGLHKASFKHCDVTDEKQVEETVHYTVEKYGRLDIMHSNAGVLGSVEGVMDMDLTQLDKTLAVHVRGTAAAIKHAARAMRASGTRGSIICTASVAASQGNLGPAAYTAAKHAVIGLMRSAVLDLGAHGIRINCISPFGVATPMSCAYIGKAAEGVEDLCYEMVALKGVILRGGHVAQAALFLASDESEYISGHDLVIDGGVTVVNKNDLKANK >DRNTG_30593.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8166294:8171298:-1 gene:DRNTG_30593 transcript:DRNTG_30593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHSLPHGCFAHSCGLDDKSGFRSILGLENLLLDLWSGSTAFNIMFLWKRVIGGAFIGIDSVRCALGLDKARLERVERVSQAVVERPLSLPVEALNKKVDRIVTSRQQNIPCYNAYHPIEVGYPNVLWDNGEQHWEAPQEECQTGEILGEDAFQLQRVLAKFIEASNVCVQNMETTQRCHEVYYKNLEDQLGGILDTLSREQQVFEQASQVHYREDVVVNDNEEVGRNEYDVVEIERIQEEPSIQCDNCLSGQYVCEQEMVQGELVKTYCFQVEREEDTNPKVMEQASLFGINQLINCKKEILGLEEDVGRRWKPSNDPPVLSLDNSQHKLFPWRPKSLQNFLRHPEKTHGRVEFPHAVDLHCELTQRRHRGVRLPL >DRNTG_29096.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001468.1:14929:15350:1 gene:DRNTG_29096 transcript:DRNTG_29096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLRNSERRSTSLDQVGGDRPRIERWGGR >DRNTG_25934.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001339.1:164391:166030:-1 gene:DRNTG_25934 transcript:DRNTG_25934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYLNKYGLISWFSQTVVKFVGGLGLSWQLSFGILVLLYFYSHYFFASGAAHIGAMFTAFLSVASALGTPPLFAAMVLSFLSNLMGGLTHYGIGSAPVFYGANYVPLAKVVGLRIRHLHRQHSHLARCRRLLVEDHWFVVNHLLPVLPIF >DRNTG_24038.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26631641:26631935:1 gene:DRNTG_24038 transcript:DRNTG_24038.1 gene_biotype:protein_coding transcript_biotype:protein_coding HDCFVRGCDASVLIDSTANNTAEKDAFPNQSLAGFDVIDEVKTALENSCPGIVSCADIVALTARDSVSFQ >DRNTG_12508.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20619114:20621875:1 gene:DRNTG_12508 transcript:DRNTG_12508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSHQPYHLRAEPLQLHSNRAEPDVRVTQTPCALAPVSALWDAYRPLSLF >DRNTG_21733.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13854985:13855594:-1 gene:DRNTG_21733 transcript:DRNTG_21733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMLRRMEVGEKIWGFTKGKISRKGVRMKCNICGNVGHNKRYHGHRKQASHGNSDGNNMDTRVQEYRTTQWMELTHKFCRNILRWFNKIVSHQKQL >DRNTG_07709.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23051967:23054735:-1 gene:DRNTG_07709 transcript:DRNTG_07709.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine biosynthesis bifunctional protein hisIE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31860) UniProtKB/Swiss-Prot;Acc:O82768] MAATPLARFSSLRISQYDAIPSKRRSGIPISGCSSQLRPPRVVSGDLRLDSKVDAVLDSVKWDDKGLAVAIAQNVDTGAILMQGFTNREALATTISSRKATFYSRSRSSLWTKGETSMNFINVHDIFLDCDRDSIIYLGKPDGPTCHTGSETCYYSSVNDLLGDIQTNKDRLASTTLYSLETTISQRKDEIDTAQDGKPSWTKRLLVDKELLSSKVREEAGELVQTLLENEDKSRTTSEMADLLYHAMVLLKVKDVKIEEVLEVLRKRFSQSGIEEKSSRGRPYANPSS >DRNTG_22305.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19310345:19310971:-1 gene:DRNTG_22305 transcript:DRNTG_22305.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEPLSPTAQDLSSSVLNLIVLGVLELSNPLDDSQFIIKGLDAIFLPINPRFSSIMVRDEHGVQKWRKVQVKLEEHIKVPVFPQGLEQYDEFLQDYISSISMEPLPFTKPLWDVSIIKYPTSSAVGALVVRLHHALGDGYSFMAALLACCKRADDPLSLTHLPLFF >DRNTG_31283.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1136276:1137905:-1 gene:DRNTG_31283 transcript:DRNTG_31283.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPCSTCLSHTLMFLNYVQAFNGVSILIYSLWILTCWSTQQNEGASWFVWFAFGVGVSVCLIAFIGYVSAEVTNGCCLCFYALFTSILIVSEAALVCDIILNKQWEKDFPRDHTGELKRLSQFIEANIDMCKWFAIVVFAIQMLSIMLAMILRLMARSKRVNTGRHEDYDAIRKPLLNQLDAPIASTSSGNEKIPMKTWRSAMKDKLWWAKNQSSDEAVV >DRNTG_06738.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11214953:11217313:-1 gene:DRNTG_06738 transcript:DRNTG_06738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETNFGSQELLEAQAHVWHLLFSYLKSMCLKCSLELGIADALMNHGKPMELTDLAFALSIPPSKVEAFGRFMTTLVNLELFSKKQDISGTTKFVLTPMSHLLVKKETMNMTPFLRLILDPLMCDSSHTLGPWFKSPMETPFEFHFGKPLWEVVDEKPEFNNMFNEGMASDSALVGDVVMMTCRDVFKGLKSLVDVGGGTGTMARAIAHAFPGTKCTVLDLAHVIDTLKEDNSLVEYVGGDMFVSVPHAQAALLKWILHDWNDEECIKILQRCKEAIPSREDGGKIIIIDMVVGITTDKHPYAVETQLLFDLLMMSLVSGKERNESEWKKIILAAGFTDYKITPFLGLRSVIELYP >DRNTG_27545.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1676932:1678518:-1 gene:DRNTG_27545 transcript:DRNTG_27545.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVTGGAAPAKGGKKKGAAVFVIDCAKPVEDKIMDIASLEKFLQERIKVGGGKPGAFGDAITVSREKTKITVTSEGPFSKRYLKYLTKKYLKKHNVRDWLRVISSNKDRNVYELRYFNIAENEGEEEE >DRNTG_27545.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1676881:1678518:-1 gene:DRNTG_27545 transcript:DRNTG_27545.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVTGGAAPAKGGKKKGAAVFVIDCAKPVEDKIMDIASLEKFLQERIKVGGGKPGAFGDAITVSREKTKITVTSEGPFSKRYLKYLTKKYLKKHNVRDWLRVISSNKDRNVYELRYFNIAENEGEEEE >DRNTG_27545.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1676700:1678518:-1 gene:DRNTG_27545 transcript:DRNTG_27545.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVTGGAAPAKGGKKKGAAVFVIDCAKPVEDKIMDIASLEKFLQERIKVGGGKPGAFGDAITVSREKTKITVTSEGPFSKRYLKYLTKKYLKKHNVRDWLRVISSNKDRNVYELRYFNIAENEGEEEE >DRNTG_27545.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1676236:1678518:-1 gene:DRNTG_27545 transcript:DRNTG_27545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVTGGAAPAKGGKKKGAAVFVIDCAKPVEDKIMDIASLEKFLQERIKVGGGKPGAFGDAITVSREKTKITVTSEGPFSKRYLKYLTKKYLKKHNVRDWLRVISSNKDRNVYELRYFNIAENEGEEEELSSNVRDHKASLINMHLMTT >DRNTG_27545.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1676881:1678572:-1 gene:DRNTG_27545 transcript:DRNTG_27545.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVTGGAAPAKGGKKKGAAVFVIDCAKPVEDKIMDIASLEKFLQERIKVGGGKPGAFGDAITVSREKTKITVTSEGPFSKRYLKYLTKKYLKKHNVRDWLRVISSNKDRNVYELRYFNIAENEGEEEE >DRNTG_27545.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1676932:1678572:-1 gene:DRNTG_27545 transcript:DRNTG_27545.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVTGGAAPAKGGKKKGAAVFVIDCAKPVEDKIMDIASLEKFLQERIKVGGGKPGAFGDAITVSREKTKITVTSEGPFSKRYLKYLTKKYLKKHNVRDWLRVISSNKDRNVYELRYFNIAENEGEEEE >DRNTG_27545.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1676700:1678518:-1 gene:DRNTG_27545 transcript:DRNTG_27545.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVTGGAAPAKGGKKKGAAVFVIDCAKPVEDKIMDIASLEKFLQERIKVGGGKPGAFGDAITVSREKTKITVTSEGPFSKRYLKYLTKKYLKKHNVRDWLRVISSNKDRNVYELRYFNIAENEGEEEELSSNVRDHKASLINMHLMTT >DRNTG_14170.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22930144:22931868:1 gene:DRNTG_14170 transcript:DRNTG_14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMASWLTKPELILSIITFVLLYLFRYYHQSHHHLLLNFPVIGMLPGLFANLHWVHDWGTNIIRASGGTFMFRGPWFASMEYVVTSDPANINHIFNTNFINYPKGEEFFEIFDILGDGIFNSDGESWRLQRKKAHNLMTDHNFKAFLIKSSNSKVYTELIPLLQQLAGGEKEAQVVNLQDVFLRFTFDATCELVFGVNPKSLSPEFPTIPFSKAMDEAMTVILLRHTVPPQWWKLLRWLNVSGEKKLARARKVIDQFIAEVIEKKRDNHGNFRDSNLLTSYIKDTSSIEDIQESNKILRDTTMNLMLAGRDTTGAALTWFFWLLSKNKQAEEKIIEELKQYSLVKKEGIAGEELGKLVYLHAALCESLRLYPPVPFEHKAVVKEDVLPSGVEVRPGIKILIFLYGIGRMKEVWGEDCMEFKPERWISEKGKLRHEPSFKFLSFNAGPRTCLGKEVAFAQMKTVVAGILSRFHVDVVEGQVVEPKLSIILHTKNGIDGEDKGEKPELIWLCITNYIKCHYDVSFLCLLAMVLIKMV >DRNTG_17650.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16663075:16670654:1 gene:DRNTG_17650 transcript:DRNTG_17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQLCYQPSVVVYTILLRIYGQVGKIQLAEQIFLEMLEAGCEPDEVACGTMLCAHAKWGWHKDMLLFYSAVRRRDIAPPVAVYNSMLSSLRKQKLHEKVIKVWEQILEARVKINGFTFTVAIGSYVKEELINDALDAFAKMRKSGFIPEEVTYNLVINLTAKHGKGDEALRLYEEMKLQGIVPSNYTFASILALHYKNDDYSKALSLFKDMDRNSIVPDEVTYGMLVRIYGKLGLYEDAQKTFRDIEKLGLLSNEKTYWAMAQVHLNAGNYDKDLDIFDSMKSRGFEFSEFAYRTLLRCFIAKGDLGSSEITFQALSRFGPPDARCCNSLLTLYNKSVSVDKAKSLISHKRKDKVQFDEDLYKTVIETFPRQGMVNEMEEMIEEMENVGWTLDKNTMKSLVVMYGEAGAPKKAEYLLNTLEKPDANSFGVMLCLYLESGNTCKSKEILNSLFKSTTGLSVSSRLISKFVREGKHLQFVWLHALFLGTCTEEWISPFPFLPFTSFQRSSGNSFVCISL >DRNTG_17650.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16663075:16666583:1 gene:DRNTG_17650 transcript:DRNTG_17650.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQLCYQPSVVVYTILLRIYGQVGKIQLAEQIFLEMLEAGCEPDEVACGTMLCAHAKWGWHKDMLLFYSAVRRRDIAPPVAVYNSMLSSLRKQKLHEKVIKVWEQILEARVKINGFTFTVAIGSYVKEELINDALDAFAKMRKSGFIPEEVTYNLVINLTAKHGKGDEALRLYEEMKLQGIVPSNYTFASILALHYKNDDYSKALSLFKDMDRNSIVPDEVTYGMLVRIYGKLGLYEDAQKTFRDIEKLGLLSNEKTYWAMAQVHLNAGNYDKDLDIFDSMKSRGFEFSEFAYRTLLRCFIAKGDLGSSEITFQALSRFGPPDARCCNSLLTLYNKSVSVDKAKSLISHKRKDKVQFDEDLYKTVIETFPRQGMVNEMEEMIEEMENVGWTLDKNTMKSLVVMYGEAGAPKKAEYLLNTLEKPDANSFGVMLCLYLESGNTCKSKEILNSLFKSTTGLSVSSRLISKFVREGKHLQFVWLHALFLGTCTEEWISPFPFLPFTSFQRSSGNSFVCISL >DRNTG_17650.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16666665:16670654:1 gene:DRNTG_17650 transcript:DRNTG_17650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGHLTFALVRETTMANHPDKARYIQDLKDSGVTILFVSLFFCLFSGPNTQVYIYMFLWEYLYRIYIYIYMYQLMQGDLYDHESLVNAIKQVDVVFSLMGHHPDKQLADQIKIVSAIKEAGNIKRYFPSEYGFDVDKVRILEPARSTLAIKAHVREEIRMAGIPFTFISSNLFPTYFLSRLCQVESTGIPDEKVIILGDGNTKVIFNSEKDIAIYAIRAVDDPRTLNKVLYVRPASNHCNVNEIISFWEKKAGKTLDRIYVSEEEVLEKIQSSLEPLPFFYAIAHASFIKGETCNFEIDKSVGVEATELYPDHTYTTVHEILNQFI >DRNTG_17650.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16663075:16670654:1 gene:DRNTG_17650 transcript:DRNTG_17650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGHLTFALVRETTMANHPDKARYIQDLKDSGVTILFVSLFFCLFSGPNTQVYIYMFLWEYLYRIYIYIYMYQLMQGDLYDHESLVNAIKQVDVVFSLMGHHPDKQLADQIKIVSAIKEAGNIKRYFPSEYGFDVDKVRILEPARSTLAIKAHVREEIRMAGIPFTFISSNLFPTYFLSRLCQVESTGIPDEKVIILGDGNTKVIFNSEKDIAIYAIRAVDDPRTLNKVLYVRPASNHCNVNEIISFWEKKAGKTLDRIYVSEEEVLEKIQSSLEPLPFFYAIAHASFIKGETCNFEIDKSVGVEATELYPDHTYTTVHEILNQFI >DRNTG_17650.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16669888:16670654:1 gene:DRNTG_17650 transcript:DRNTG_17650.7 gene_biotype:protein_coding transcript_biotype:protein_coding VIFNSEKDIAIYAIRAVDDPRTLNKVLYVRPASNHCNVNEIISFWEKKAGKTLDRIYVSEEEVLEKIQSSLEPLPFFYAIAHASFIKGETCNFEIDKSVGVEATELYPDHTYTTVHEILNQFI >DRNTG_17650.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16669565:16670654:1 gene:DRNTG_17650 transcript:DRNTG_17650.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIPFTFISSNLFPTYFLSRLCQVESTGIPDEKVIILGDGNTKVIFNSEKDIAIYAIRAVDDPRTLNKVLYVRPASNHCNVNEIISFWEKKAGKTLDRIYVSEEEVLEKIQSSLEPLPFFYAIAHASFIKGETCNFEIDKSVGVEATELYPDHTYTTVHEILNQFI >DRNTG_17650.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16663075:16670654:1 gene:DRNTG_17650 transcript:DRNTG_17650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQLCYQPSVVVYTILLRIYGQVGKIQLAEQIFLEMLEAGCEPDEVACGTMLCAHAKWGWHKDMLLFYSAVRRRDIAPPVAVYNSMLSSLRKQKLHEKVIKVWEQILEARVKINGFTFTVAIGSYVKEELINDALDAFAKMRKSGFIPEEVTYNLVINLTAKHGKGDEALRLYEEMKLQGIVPSNYTFASILALHYKNDDYSKALSLFKDMDRNSIVPDEVTYGMLVRIYGKLGLYEDAQKTFRDIEKLGLLSNEKTYWAMAQVHLNAGNYDKDLDIFDSMKSRGFEFSEFAYRTLLRCFIAKGDLGSSEITFQALSRFGPPDARCCNSLLTLYNKSVSVDKAKSLISHKRKDKVQFDEDLYKTVIETFPRQGMVNEMEEMIEEMENVGWTLDKNTMKSLVVMYGEAGAPKKAEYLLNTLEKPDANSFGVMLCLYLESGNTCKSKEILNSLFKSTTGLSVSSRLISKFVREGKHLQFVWLHALFLGTCTEEWISPFPFLPFTSFQRSSGNSFVCISL >DRNTG_24459.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3870259:3876118:-1 gene:DRNTG_24459 transcript:DRNTG_24459.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMGVNSKAEAARARKSATEMERKEQETRQKEDQYWREAEGSKSRSAKRREDEAEKRAEAAARKAETRKLAELEQKELELAGKKPDKKANRVAIPVPKVTEVELARQREVERQRILQNAEVEKKKQSRMADEEEYERMVLVTNSNRDDSIIEAHSLDDAIASMAVTEPPLPPDRHPERRLKASFKAFEEAELAKLKEEKPGLTLTQYRDMIWKLWKKSPDNPLNQVAE >DRNTG_12701.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4353478:4358592:-1 gene:DRNTG_12701 transcript:DRNTG_12701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLIQLWKKFRGNDKPPAHLGSSRDYNVDMIPKFMMANGILVRVLIHTDVTKYLSFKAVDGSYVFNKGKIHKVPATDMEALKSPLMGLFEKRRARKFFIYVQNYVENDPRTHEGLDLTRVTTKALIEKYGLDDNTIDFIGHALALHRDDRYLSRPALDTVKRMQLYAQSLAAFPRRFALYLSFIWIGRASPGFCSP >DRNTG_08019.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30123610:30127527:1 gene:DRNTG_08019 transcript:DRNTG_08019.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVLSFLLRRHRRHGFSSPGILTRYLSTASPQSQEPDSKPHPATSSLSARLSFVFDHLDSLDREREAKDQALQRLRSWNQSHPPQPSVSTSDPPLEDKEKEESVADVFKRDVELVHPWPEWIELMERLAGQKYFELGMKPKDEEQFAKDVGIDLSGIRDDTGYDFSRDWITVRNACMNFGRDRFDILRSLARKDIQTLVGHGCPSMDPKVVFSAKLLRKYTHIDEGDVCSSCSLRNTCGRGYILTRKEDEARTLDVIRILLTYGFDHVKGTVENKPLMKMKSVKTVVRKLLHEVVKLSAVPIDPNLPPPVIKKPPPKVKQPPPPPKKRVGRDDVEMKKGDWLCPKCDFMNFAKNTVCLQCDAKRPKRQLLPGEWECPKCNFLNYRRNMACFHCEHKRPPDEYTENQNQSMESGPRTRLDRATRMSDVSNAWNFDFDDNESDGADVAAFEFGDSSKSREDSSLDGRQQGGSARGFDGDIREPSRTARSHDGRNTESPSRMGFDDFDDEEDDVDNYELDASSNNSMGEVSRRNFSEFEKTSDSEDLSDLDNYSSRSSRMKKNYKSASDDVGDYVESEDDLRNHPQWRSSHVADSRQKAGSRRGNHPSKDLSFGSDDDLMSDIDDDIDDDFQSKQRNGRHGDIVGRKATGRRNNSDSEEELLLDSESEDDDNPRMRGNRGFSDRRGSSRSREFQSNDRMHGKRNSFGYGKRDTFSRGSGREQWNEDSRFHEDKEGFRPRDSRRNGRGPPRNSYGGRRAEDGQFHKDKEGFRPRDSGRNGRGAPRNGYGGRRVDEGFQRFDRHANGRGFGNRQHGRRNQFTDYSGDYDENRAHRRVIER >DRNTG_08019.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30123610:30124184:1 gene:DRNTG_08019 transcript:DRNTG_08019.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVLSFLLRRHRRHGFSSPGILTRYLSTASPQSQEPDSKPHPATSSLSARLSFVFDHLDSLDREREAKDQALQRLRSWNQSHPPQPSVSTSDPPLEDKEKEESVADVFKRDVELVHPWPEWIELMERLAGQKYFELGMKPKDEEQFAKDVGIDLSGIRDDTGYDFSRDWITVRNACMNFGRDRFDILR >DRNTG_08019.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30124356:30125617:1 gene:DRNTG_08019 transcript:DRNTG_08019.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKVVFSAKLLRKYTHIDEGDVCSSCSLRNTCGRGYILTRKEDEARTLDVIRILLTYGFDHVKGTVENKPLMKMKSVKTVVRKLLHEVVKLSAVPIDPNLPPPVIKKPPPKVKQPPPPPKKRVGRDDVEMKKGDWLCPKCDFMNFAKNTVCLQCDAKRPKRQLLPGEWECPK >DRNTG_08019.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30123610:30127527:1 gene:DRNTG_08019 transcript:DRNTG_08019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVLSFLLRRHRRHGFSSPGILTRYLSTASPQSQEPDSKPHPATSSLSARLSFVFDHLDSLDREREAKDQALQRLRSWNQSHPPQPSVSTSDPPLEDKEKEESVADVFKRDVELVHPWPEWIELMERLAGQKYFELGMKPKDEEQFAKDVGIDLSGIRDDTGYDFSRDWITVRNACMNFGRDRFDILRSLARKDIQTLVGHGCPSMDPKVVFSAKLLRKYTHIDEGDVCSSCSLRNTCGRGYILTRKEDEARTLDVIRILLTYGFDHVKGTVENKPLMKMKSVKTVVRKLLHEVVKLSAVPIDPNLPPPVIKKPPPKVKQPPPPPKKRVGRDDVEMKKGDWLCPKCDFMNFAKNTVCLQCDAKRPKRQLLPGEWECPKCNFLNYRRNMACFHCEHKRPPDEYTENQNQSMESGPRTRLDRATRMSDVSNAWNFDFDDNESDGADVAAFEFGDSSKSREDSSLDGRQQGGSARGFDGDIREPSRTARSHDGRNTESPSRMGFDDFDDEEDDVDNYELDASSNNSMGEVSRRNFSEFEKTSDSEDLSDLDNYSSRSSRMKKNYKSASDDVGDYVESEDDLRNHPQWRSSHVADSRQKAGSRRGNHPSKDLSFGSDDDLMSDIDDDIDDDFQSKQRNGRHGDIVGRKATGRRNNSDSEEELLLDSESEDDDNPRMRGNRGFSDRRGSSRSREFQSNDRMHGKRNSFGYGKRDTFSRGSGREQWNEDSRFHEDKEGFRPRDSGRNGRGTPRNSYGGRRAEDGQFHKDKEGFRPRDSGRNGRGAPRNGYGGRRVDEGFQRFDRHANGRGFGNRQHGRRNQFTDYSGDYDENRAHRRVIER >DRNTG_28581.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23019010:23021547:1 gene:DRNTG_28581 transcript:DRNTG_28581.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLWRAAMGSGAAEEAADGVEFWVDPERSGWLTKQGEYIKTWRRRWFVLKQGKLFWFKDSYVTRSSVPRGVIPVSTCLTVKGAEDVLNRQFAFELSTRQETMYFIADSEKEKEEWINSIGRSIVQHSRSVTHEEVLDYDSKNPTVLTSTPDPQR >DRNTG_28581.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23018893:23021547:1 gene:DRNTG_28581 transcript:DRNTG_28581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLWRAAMGSGAAEEAADGVEFWVDPERSGWLTKQGEYIKTWRRRWFVLKQGKLFWFKDSYVTRSSVPRGVIPVSTCLTVKGAEDVLNRQFAFELSTRQETMYFIADSEKEKEEWINSIGRSIVQHSRSVTHEEVLDYDSKNPTVLTSTPDPQR >DRNTG_28581.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23019010:23019892:1 gene:DRNTG_28581 transcript:DRNTG_28581.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLWRAAMGSGAAEEAADGVEFWVDPERSGWLTKQGEYIKTWRRRWFVLKQGKLFWFKDSYVTRSSVPRGVIPVSTCLTVKGAEDVLNRQFAFELSTRQETMYFIADSEKEKEEWINSIGRSIVQHSRSVTHEEVLDYDSKNPTVLTSTPDPQR >DRNTG_28581.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23019010:23020783:1 gene:DRNTG_28581 transcript:DRNTG_28581.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLWRAAMGSGAAEEAADGVEFWVDPERSGWLTKQGEYIKTWRRRWFVLKQGKLFWFKDSYVTRSSVPRGVIPVSTCLTVKGAEDVLNRQFAFELSTRQETMYFIADSEKEKEEWINSIGRSIVQHSRSVTHEEVLDYDSKNPTVLTSTPDPQR >DRNTG_32758.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1761328:1761958:-1 gene:DRNTG_32758 transcript:DRNTG_32758.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFDDEKKKIVQELVDINNANLSVISIVGMGGLGKTTLAKSIYNDFEVKRSFHMFAWVIISQQYTIPEILKEILKGILSEKLETPSEDTIQTLSIKVCEKLKEGK >DRNTG_32758.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1761328:1762659:-1 gene:DRNTG_32758 transcript:DRNTG_32758.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFLKDADAKKNNGDDERVKGWVTEMRDLAFEAEDIIDTFMYFKLRRQQPGCMGFMKRFVFIFDELISRHKVHVDVQGIKTRLQELSQNREVYGISNIDETIGTTSQPRSQHVIPILPQLSDDIDMVGFDDEKKKIVQELVDINNANLSVISIVGMGGLGKTTLAKSIYNDFEVKRSFHMFAWVIISQQYTIPEILKEILKGILSEKLETPSEDTIQTLSIKVCEKLKEGK >DRNTG_32758.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1719127:1762659:-1 gene:DRNTG_32758 transcript:DRNTG_32758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFLKDADAKKNNGDDERVKGWVTEMRDLAFEAEDIIDTFMYFKLRRQQPGCMGFMKRFVFIFDELISRHKVHVDVQGIKTRLLELSQNREVYGISNIGETIGTTSQPRSQNVIPILPQLRDDIDMVGFDDEKKKIVQELVDVNITNQSVISIVGMGGLGKTTLAKSVYNDFEVKRSFDIFA >DRNTG_05606.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20502641:20504536:-1 gene:DRNTG_05606 transcript:DRNTG_05606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTNHSELLSLLPIPPTTMRGCTFHESCTGTHTYTTFSYSDSLSIIAKGLQMEYSKLLSLVTSIDLSNNKLSCELPEELTKLHGLHFLNISYNQLNGKIPESISDMKQLESLDLSENNLFGTIPSGMSTLNFLSYLNLSHNNLSGKIPSGGQLQTFNPSAYNWNHDLCGSPLQNCANVTQYSQGANEEEGKGDWAEMLWLYIGLATGFITGFWAIIGTFIIKQTIRIAYFRSFDKVYDWLYVKMVLYSRRLKSTFSSRN >DRNTG_05606.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20503084:20504536:-1 gene:DRNTG_05606 transcript:DRNTG_05606.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTNHSELLSLLPIPPTTMRGCTFHESCTGTHTYTTFSYSDSLSIIAKGLQMEYSKLLSLVTSIDLSNNKLSCELPEELTKLHGLHFLNISYNQLNGKIPESISDMKQLESLDLSENNLFGTIPSGMSTLNFLSYLNLSHNNLSGKIPSGGQLQTFNPSAYNWNHDLCGSPLQNCANVTQYSQGANEEEGKGDWAEMLWLYIGLATGFITGFWAIIGTFIIKQTIRIAYFRSFDKVYDWLYVKMVLYSRRLKSTFSSRN >DRNTG_34584.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:892406:892591:1 gene:DRNTG_34584 transcript:DRNTG_34584.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAMQYLNGDSPFIEFSPFSLAADILDSHVDEDFENCWVPFLSSVATVSVSSLPSGGR >DRNTG_23012.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3099747:3107383:1 gene:DRNTG_23012 transcript:DRNTG_23012.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:VIN3-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G24440) UniProtKB/Swiss-Prot;Acc:Q9LHF5] MDPEDKEFSRAFGSNASSSAISTPEENGHIHESANGEESLQEFLKTNPRKELSHSSLEKERKLAQSKGKVSEELSKVVASFKGCKNLGMKKHFSSNSSAANGHTPKKHPRRGEHPIMLPPVEGLLDLKYDRTWICKNSACKAKLTAEDTFCKRCSCCICHHFDDNKDPSLWLVCSSETDDQDWCGLSCHIECAFQRQKVGVIDLGQSIQLDGSYCCASCGKVSGILGYWKKQLLIAKDARRVDVLCYRIFLSYRLLEGTSQFRELHEFVEDAKAKLETEVGPVNGVSAKMARGIVSRLSVAVEVQRLCSLAIEKADEWLCSTSHSHLKLRDSLPAACKFQFEEVTSSSLVIVLKETSSASDSIKGYKLWYCNSRELPYSNDPVVFPRVQRRILISNLQPCTEYAFRIISFTDDGDLGHSEAKCFTKSVEIIQKNTEQNGAEGCSSSAKRGTKAPTNGSSGFKVRNLGKILRQAWAQDEGCCDWLSNDDVEEDSDGGSDAMRPDGAEEDHAEPTVSRRLDLNVVSVPDLNVDATPPPESSPEVENVCSSEKNGQARSNGSGDSQTCAARLVEEVPAVESRPESRKRGASTQDETYDGGSTLVVGSPLRFSKGHGQLDDNYEYCVKVIRWLECQGHIEKDFRMKFLTWFSMRSSAQERRVVITFIRTLIEEPSSLAGQLLDSFLEIVTCKMPRIGFCSKLWH >DRNTG_23012.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3099747:3107383:1 gene:DRNTG_23012 transcript:DRNTG_23012.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VIN3-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G24440) UniProtKB/Swiss-Prot;Acc:Q9LHF5] MDPEDKEFSRAFGSNASSSAISTPEENGHIHESANGEESLQEFLKTNPRKELSHSSLEKERKLAQSKGKVSEELSKVVASFKGCKNLGMKKHFSSNSSAANGHTPKKHPRRGEHPIMLPPVEGLLDLKYDRTWICKNSACKAKLTAEDTFCKRCSCCICHHFDDNKDPSLWLVCSSETDDQDWCGLSCHIECAFQRQKVGVIDLGQSIQLDGSYCCASCGKVSGILGYWKKQLLIAKDARRVDVLCYRIFLSYRLLEGTSQFRELHEFVEDAKAKLETEVGPVNGVSAKMARGIVSRLSVAVEVQRLCSLAIEKADEWLCSTSHSHLKLRDSLPAACKFQFEEVTSSSLVIVLKETSSASDSIKGYKLWYCNSRELPYSNDPVVFPRVQRRILISNLQPCTEYAFRIISFTDDGDLGHSEAKCFTKSVEIIQKNTEQNGAEGCSSSAKRGTKAPTNGSSGFKVRNLGKILRQAWAQDEGCCDWLSNDDVEEDSDGGSDAMRPDGAEEDHAEPTVSRRLDLNVVSVPDLNVDATPPPESSPEVENVCSSEKNGQARSNGSGDSQTCAARLVEEVPAVESRPESRKRGASTQDETYDGGSTLVVGSPLRFSKGHGQLDDNYEYCVKVIRWLECQGHIEKDFRMKFLTWFSMRSSAQERRVVITFIRTLIEEPSSLAGQLLDSFLEIVTCKMPRIGFCSKLWH >DRNTG_19497.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19341714:19342079:-1 gene:DRNTG_19497 transcript:DRNTG_19497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVICSRGSTKWTTKARGRSLMRHGMPRQTSCSSSLSSPPSLPRPSLPSSSSPPPREAIFAL >DRNTG_03416.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3310245:3313192:1 gene:DRNTG_03416 transcript:DRNTG_03416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDKVFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRTKKRDMRSLWIERINAGTRLHGVNYGNFMHGLLKENIQLNRKVLSELSMHEPYSFKALVDISRNSFPGNKVPKKEGISNMV >DRNTG_24924.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001317.1:9861:11534:-1 gene:DRNTG_24924 transcript:DRNTG_24924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCLNLTKISSKIGQVSKSLSVSAAAAAEVEAYSGSSGAGVEDGGAGGTDDPGLRRSRRRSNRAIRSVY >DRNTG_23588.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:25334480:25334914:1 gene:DRNTG_23588 transcript:DRNTG_23588.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQPACGLHTINDQEEPFPWTTTPHRPHPPPPPLLLVMSHDPPHLDNNQPYSRNKLNYVFLPKSKFPHNCLNVEDSPAVDDGQAIKLPFQLGQKKKLFTYCLIAEDSPEEGDHETSFKTELIFIT >DRNTG_34183.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7068043:7069677:-1 gene:DRNTG_34183 transcript:DRNTG_34183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNDNPVIKLSTHIHWSHDQQSDVNPNQM >DRNTG_20846.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21211423:21217150:1 gene:DRNTG_20846 transcript:DRNTG_20846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDQSVTTGNGGSGEVCTAAAGENRPSHPNYIPNHIDNPSYVRILDTTLRDGEQAPGAAMTTEQKLDVARLLAHLGVDIIEAGFPCSSPESFNAAKAIAIDVGCHPVIAGGHVPVIGVVARSNKKDIDIAWDAVKHACHPRINTFIATSDIHMKHKLRMSKEVVVKTAVEMVAYARSLGCNDVAFAAEDAGRSDREFLHHVLNEVIKAGATTIIIPDTVGYNMPSEYANLIADIKLNTSGAIIATHCHNDLGFAAANTLAGAEAGARQLEVTINGIGERAGNAALEEVVMAIKCRKELLGLHTGIDTKYIVAASKMVAEFTGLYVQPHKAIVGVNAFAHESGIHQDGVLKYRGTYEIISPEDIGLSRSNESGIVLGKLS >DRNTG_32608.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001868.1:10506:12553:1 gene:DRNTG_32608 transcript:DRNTG_32608.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYSLISFCLADEESSAFKTTLKSIGMKDTWDKYWYTTYIPVTDELKEFIFKEIKGKASSAQDSKSYKRFSDYRGEWALQKKGYRKELGWSVEVELDESILLWHIATDLCFYSHKNEQSEPERNRENEQSEPDRNCENKQSEPERNLQMSKALSDYMLYLLLVRPSMLTAGIGQVRYGDTCAEAKIFFRRGEAVLDQQQASEKLLRVETKVPSVQIKGDRSKSVLFDACVLAKKLLDLKTGRRWRIISAVWVEMLCYAAIHCRSYFHMKQLNSGGELITLVWLLMAHFGLGDQYRIEAGHARAKLIVDK >DRNTG_33817.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2902433:2903396:-1 gene:DRNTG_33817 transcript:DRNTG_33817.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVRWGELSMMEAERRLLANALLDFSNQRFILLSESCIPLFNFPTVYSYLINSTLSHVHSFDDPGPVGRGRYNKHMQPLILPEQWRKGSQWFEMDRNLAIEIVSDNKFFKLFSRHCKPSCYVDEHYLPTYVSMKFGGMNSNRSLTWVDWSYGGPHPVRVWRKSVTVEFLNKLRSGSTCSYNGGKTTVCFLFARKFLPNTLWRLMKFAPKVMGFGP >DRNTG_33817.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2902433:2907271:-1 gene:DRNTG_33817 transcript:DRNTG_33817.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQQQQIQDLKQHATMLLINPNIKLLWVLASLFLFGFGLALGTSLSTFYHSYIPFQTPSQSFPSPSPPPPPPSPSSPPPPSSMITHEEHIKPKEHVGLKEYIEPKEMMHDMNDEEILWRASMVPHKRVVPYERVPKVAFLFLTRGALTFAPLWEMFFKGHQGLYSIYVHADPSFHESTPKDSVFFGRRIPSKVVRWGELSMMEAERRLLANALLDFSNQRFILLSESCIPLFNFPTVYSYLINSTLSHVHSFDDPGPVGRGRYNKHMQPLILPEQWRKGSQWFEMDRNLAIEIVSDNKFFKLFSRHCKPSCYVDEHYLPTYVSMKFGGMNSNRSLTWVDWSYGGPHPVRVWRKSVTVEFLNKLRSGSTCSYNGGKTTVCFLFARKFLPNTLWRLMKFAPKVMGFGP >DRNTG_30850.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001636.1:8933:9823:1 gene:DRNTG_30850 transcript:DRNTG_30850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEFSWHQLLKNRPNTHLRSPYDCAYSCKPLLEKHTGIVMAEDERAASVFGLSLKVFVGAVGLQLQKSKQEAYEWFWQ >DRNTG_28630.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28362250:28369289:1 gene:DRNTG_28630 transcript:DRNTG_28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFAVARKDTTPFDGQKPGTSGLRKKVTVFAQPNYLHNFVQSTFDALTADKVKGATIVVSGDGRYFSKDAIQIIIKMAAANGVRRVWVGQNGLLSTPAVSAVIRERVSADGAKASGAFILTASHNPGGPHEDFGIKYNMENGGPAPEGITDRIYENTKTIKEYLIADPPDVDTSTIGVTSFTGPEGQFDVDVFDSTTDYVKLMKSIFDFESIQRLLTSPKFSFCYDALHGVAGIYATRIFVEELGADESSLINCIPKEDFGGGHPDPNLTYAKELVARMGLGKSSPQDEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVQSIPYFSSGLKGVARSMPTSAALDVVAKNLNLKFFEVPTGWKFFGNLMDAGVCSICGEESFGTGSNHIREKDGIWAVLAWLSILAYKNKDNLNGGKMITVEDIVLQHWSTYGRHYYTRYDYENVDAGAAKELMAHLVNLQSSLADVNKIIQGIRSDVSKVAEADEFEYKDPVDGSISKHQGIRYLFEDGSRLVFRLSGTGSVGATIRVYIEQYEKDPAKTGRDSQDALAALVEVALKLSKMQEFTGRSAPTVIT >DRNTG_09129.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26804621:26810013:1 gene:DRNTG_09129 transcript:DRNTG_09129.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfite oxidase [Source:Projected from Arabidopsis thaliana (AT3G01910) UniProtKB/TrEMBL;Acc:Q0WWA1] MPGINGPLDYSQEPPRHPCLKINAKEPFNAEPPRDALISSYITPTDLFYKRNHGPIPVVDDIKRYHVLIGGLIENPIQLSMDDIRKLPKYDVTATLQCAGNRRTAMSEVRKVKGVGWDVCALGNATWGGAKLSDVLKLVGLTQCTIVTPLGGRHVEFTSVDNCKEEKGGPYKASIPLIQATNPEADVLLAYEMNGKILNRDHGYPLRVVVPGVIGARSVKWLDKIDIIKDECQGFFMQKDYKMFPPTVNWDNIDWSTRKPQMDFPVQCAICSLDDDNLVQVGKVVVGGYAVAGGGRGIERVDISVDGGKTWIEADRYQKDNVPYAADDINSDKWAWVLFRAVVPVPRNTEIIAKAVDSAANVQPENVDDIWNLRGILNTSWHRVRVRRSPVANSNL >DRNTG_07794.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1226963:1227930:-1 gene:DRNTG_07794 transcript:DRNTG_07794.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSGHNHGRPGPITESNNSTHKTRNSNNRHVTP >DRNTG_13596.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:742177:742741:-1 gene:DRNTG_13596 transcript:DRNTG_13596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEERREPYSAPFITTPQGREGDKEPGVSSRVAWLLLGDATSPGQAFERWVALVRKHCRQLRDSGFPHRAPKNVAIPFDLSPSSSLKRESAS >DRNTG_31816.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001749.1:25625:26819:1 gene:DRNTG_31816 transcript:DRNTG_31816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKPLSMMVSQVILDLDGTLLNTDGIVNNVLKRFPKAAKRMNSEPANCLVIGDSLPGVMASKNVVMSVVAVSYISKQADQLTLKNLFISRGEAQEGQGLHITASMGATEALIVAGSGNIRRYHGDFAAEICDQ >DRNTG_22275.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:868285:868833:1 gene:DRNTG_22275 transcript:DRNTG_22275.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLLHLQVANPIHDLVPLPLMKWKHYILQKHPFPVAVMLPFQLASSSSSSSSTQTKLTTNKQLSSSSN >DRNTG_22275.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:868382:868940:1 gene:DRNTG_22275 transcript:DRNTG_22275.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLLHLQVANPIHDLVPLPLMKWKHYILQKHPFPVAVMLPFQLASSSSSSSSTQTKLTTNKQLSSSSN >DRNTG_22275.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:868524:868833:1 gene:DRNTG_22275 transcript:DRNTG_22275.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLLHLQVANPIHDLVPLPLMKWKHYILQKHPFPVAVMLPFQLASSSSSSSSTQTKLTTNKQLSSSSN >DRNTG_22275.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:868382:868833:1 gene:DRNTG_22275 transcript:DRNTG_22275.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLLHLQVANPIHDLVPLPLMKWKHYILQKHPFPVAVMLPFQLASSSSSSSSTQTKLTTNKQLSSSSN >DRNTG_22275.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:868285:869135:1 gene:DRNTG_22275 transcript:DRNTG_22275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLLHLQVANPIHDLVPLPLMKWKHYILQKHPFPVAVMLPFQLASSSSSSSSTQTKLTTNKQLSSSSN >DRNTG_10811.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17647763:17648574:-1 gene:DRNTG_10811 transcript:DRNTG_10811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANRSWMYARLTDGLLNPNFLQGINEFIDFAKMHPECMDGIKIKCPCNHRKCQNQAFHIEDTVRYHLMKYGFVPYYYLWVLHGE >DRNTG_16277.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4435402:4435891:1 gene:DRNTG_16277 transcript:DRNTG_16277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNYSYEEHDDRLGVRTLAHAVKIQKIESGGLTIFRNTGKEEPSDVILYFEIIDILQGYNMVKRVEHVFKSLQFDAQSISAVNPNYYSTRFQDFLSKV >DRNTG_03186.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21903308:21904730:1 gene:DRNTG_03186 transcript:DRNTG_03186.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNIPPHNLEELVDALSVLIHNPEATLQELLEYM >DRNTG_03186.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21903179:21904730:1 gene:DRNTG_03186 transcript:DRNTG_03186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTDLELDTVDFVPNFDNSQKEPSLLPARIPTLLLNGSSGIAVGMATNIPPHNLEELVDALSVLIHNPEATLQELLEYM >DRNTG_24322.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:280911:284740:1 gene:DRNTG_24322 transcript:DRNTG_24322.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASILYSGETLKPGETISWANNQMVIETVTWCITPMADASGLQRLLEWARTAMLLSRVTLVYYGKLWWYYLSTSLLCFCMDCRS >DRNTG_20229.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4087855:4090888:1 gene:DRNTG_20229 transcript:DRNTG_20229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPMKFAALDSSPSPAISSRVSSESLPANSLNA >DRNTG_20229.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4087855:4088252:1 gene:DRNTG_20229 transcript:DRNTG_20229.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPMKFAALDSSPSPAISSRVSSESLPANSLNA >DRNTG_22487.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2604464:2608657:-1 gene:DRNTG_22487 transcript:DRNTG_22487.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl-sulfate kinase 3 [Source:Projected from Arabidopsis thaliana (AT3G03900) UniProtKB/Swiss-Prot;Acc:Q9SRW7] MSSVGKSTNIFWQECSVGKSDREKLLKQKGCVVWITGLSGSGKSTLACTLGRELHNRGKLSYILDGDNLRHGLNKDLGFKAEDRAENIRRVGEVAKLFADAGLICIASLISPYRKDRDSCRALLSDKSFIEVYMNMPLDLCESRDPKGLYKLARAGKIKGFTGIDDPYEPPLNCEIEIQQQDGICPSPCVMAGQVVTYLEEKGFLHA >DRNTG_04889.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11604432:11610312:1 gene:DRNTG_04889 transcript:DRNTG_04889.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE protein homolog [Source:Projected from Arabidopsis thaliana (AT5G17710) UniProtKB/TrEMBL;Acc:A0A178U8H4] MAASALFSAASPSCAFSQARRCFPSPPPSFSLRLSSRCSLSSRFPVFGLKSSRKGGFLCFATVSTEQDVYDSADKEDAETDIPAEEGADDDVDTDTEEDMDSAVLSSLQLYKEALARDDQSKIAEIEAFLLSIEDEKNSLATKVDSLSQELATERDRILRISADFDNFRKRTEKERLSLMSNVQGDVIESLLPVLDNFERAKDQIKAETEGEEKINNSYQSIYKQFMEILTSLGVEAVQTVGHPFDPLLHEAIMREDSMEFEEGIILQEFRKGFKLGERLIRPSMVKVSAGPGPAKAEDQGDSGENEGEASQESTPEDGQEGGDSV >DRNTG_11287.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1352396:1355594:-1 gene:DRNTG_11287 transcript:DRNTG_11287.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYFEKIRDSASRKAACFPLLHSYVKERDLEKAETFMAKLQSLGLLVNPHLFNEMMKLYMATGYFEKVFSVIQHMKRNKFPLNVLSYNLWMNACAKLSGVALVEMVYKEMVTDKNVEVGWSSYCTLANFYTTSGFYDKALTALRMAEQKLSMKNRLAYSFIMTNYSALNNRDGVLRLWESSKRVPGRITCVNYICVMLCLLKVGDFEEVEKIFRSWERECRKYDIRVSNVLLGAYVRNGRMDKAEALHHHTLERGGVPNYKTWEILMEGWVKTKQMDKAVEAMKKGFCLLKFCVWRPAAETVMAIMNYFEEQGSVENAKEYVKVLRRLRLMTLPLYKSFLRVHIQAGRAAPDIPKMMERDRIEMDEESTALIECMCKINSAEVP >DRNTG_11287.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1352396:1355835:-1 gene:DRNTG_11287 transcript:DRNTG_11287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICPIMQIFTWMESLSTFNISATDHATKLELITKVQTVAEAEMYFEKIRDSASRKAACFPLLHSYVKERDLEKAETFMAKLQSLGLLVNPHLFNEMMKLYMATGYFEKVFSVIQHMKRNKFPLNVLSYNLWMNACAKLSGVALVEMVYKEMVTDKNVEVGWSSYCTLANFYTTSGFYDKALTALRMAEQKLSMKNRLAYSFIMTNYSALNNRDGVLRLWESSKRVPGRITCVNYICVMLCLLKVGDFEEVEKIFRSWERECRKYDIRVSNVLLGAYVRNGRMDKAEALHHHTLERGGVPNYKTWEILMEGWVKTKQMDKAVEAMKKGFCLLKFCVWRPAAETVMAIMNYFEEQGSVENAKEYVKVLRRLRLMTLPLYKSFLRVHIQAGRAAPDIPKMMERDRIEMDEESTALIECMCKINSAEVP >DRNTG_11287.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1352302:1355594:-1 gene:DRNTG_11287 transcript:DRNTG_11287.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYFEKIRDSASRKAACFPLLHSYVKERDLEKAETFMAKLQSLGLLVNPHLFNEMMKLYMATGYFEKVFSVIQHMKRNKFPLNVLSYNLWMNACAKLSGVALVEMVYKEMVTDKNVEVGWSSYCTLANFYTTSGFYDKALTALRMAEQKLSMKNRLAYSFIMTNYSALNNRDGVLRLWESSKRVPGRITCVNYICVMLCLLKVGDFEEVEKIFRSWERECRKYDIRVSNVLLGAYVRNGRMDKAEALHHHTLERGGVPNYKTWEILMEGWVKTKQMDKAVEAMKKGFCLLKFCVWRPAAETVMAIMNYFEEQGSVENAKEYVKVLRRLRLMTLPLYKSFLRVHIQAGRAAPDIPKMMERDRIEMDEESTALIECMCKINSAEVP >DRNTG_11287.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1352520:1355594:-1 gene:DRNTG_11287 transcript:DRNTG_11287.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYFEKIRDSASRKAACFPLLHSYVKERDLEKAETFMAKLQSLGLLVNPHLFNEMMKLYMATGYFEKVFSVIQHMKRNKFPLNVLSYNLWMNACAKLSGVALVEMVYKEMVTDKNVEVGWSSYCTLANFYTTSGFYDKALTALRMAEQKLSMKNRLAYSFIMTNYSALNNRDGVLRLWESSKRVPGRITCVNYICVMLCLLKVGDFEEVEKIFRSWERECRKYDIRVSNVLLGAYVRNGRMDKAEALHHHTLERGGVPNYKTWEILMEGWVKTKQMDKAVEAMKKGFCLLKFCVWRPAAETVMAIMNYFEEQGSVENAKEYVKVLRRLRLMTLPLYKSFLRVHIQAGRAAPDIPKMMERDRIEMDEESTALIECMCKINSAEVP >DRNTG_11287.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1352520:1355835:-1 gene:DRNTG_11287 transcript:DRNTG_11287.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICPIMQIFTWMESLSTFNISATDHATKLELITKVQTVAEAEMYFEKIRDSASRKAACFPLLHSYVKERDLEKAETFMAKLQSLGLLVNPHLFNEMMKLYMATGYFEKVFSVIQHMKRNKFPLNVLSYNLWMNACAKLSGVALVEMVYKEMVTDKNVEVGWSSYCTLANFYTTSGFYDKALTALRMAEQKLSMKNRLAYSFIMTNYSALNNRDGVLRLWESSKRVPGRITCVNYICVMLCLLKVGDFEEVEKIFRSWERECRKYDIRVSNVLLGAYVRNGRMDKAEALHHHTLERGGVPNYKTWEILMEGWVKTKQMDKAVEAMKKGFCLLKFCVWRPAAETVMAIMNYFEEQGSVENAKEYVKVLRRLRLMTLPLYKSFLRVHIQAGRAAPDIPKMMERDRIEMDEESTALIECMCKINSAEVP >DRNTG_25264.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19361928:19365760:-1 gene:DRNTG_25264 transcript:DRNTG_25264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVDGEHMVGEMEKRVEDVSLGLEVKDDLKCCEENEVFEEAMDGENSCVLNNQDSVNGDGNGEAEVEASEGLADDAYGGGRDAEDGVQIDDELGDVSGQKMQGVNESESVLEDGDDEGVLFSDSNGYEEKIETLKESVYLTEGDEKDVETRKLNSDQSAEKQDVHFGEKIDSLHESEANHSDRDSKEASLAKGDDGKAEDAVGEVVEAAVVDDEKDDNEISESKSVNEGSDEKGCSCKPQNVENGEAPGLNSNGIGLVHETESKKQNSHETEAVLQQIQDAPVSLNQHATNMKSADLAQIDGEIEAISPPSVAVEDLDNSGFSDDEDSRITSGPAPNVTSSHHSTGGPSLPSRPAGLGTSAPLPEHTARALQHPRVNGATHQNQPLPSEEASVDDVEESKETQEKLQMIRVKFLRLAHRLGQTPHNVVVAQVLYRLGLAEQLWRNTNRTGVFSFDQASVMAEQLEAAGQEPLDFSCTIMVIGKSGVGKSATINSIFDEVKFSTDAFQLGTKKVQDVVGTVQGIKVRVIDTPGLSSSSSDQHQNEKILHSVKRFISKSPPDIVLYFDRLDMQSRDHGDVPLLRSITNIFGPSIWFNAIVVLTHAASAPPDGPNGAPLSYEMFVTQRSHVVQQAIRQAAGDLRLMNPVSLVENHTACRMNRAGQRVLPNGLVWKATVVITFIRFKNFGRG >DRNTG_31605.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:653790:659028:-1 gene:DRNTG_31605 transcript:DRNTG_31605.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFQLFIAASVPVLNVLLITGVGSFLATGRVGILCEEARKHMNNVVFFVFNPSLVSTNLARTVTLENVVLMWFMPINIFLTFLLGSLLGWVVIHLTKAPPPSRGLIIGCCAAGNLGNMLLIIIPAICKEKGSPFGDPDACHTFGLAYVSLSMAIGAVFIWSYVYNMVRISSNAIGEKLISNPQKRPIILEENAKLIPSNHTGEKLLLQSGLSSDCPAEQRLPITAYAESSTKPKLPILDQFKNGLSNIGCAIDLKKLFAPSTIGVIIGFIIGMVPQIRKAIIGESAPLRFVQESASLLGDGAIPTVTLIMGGNLLRGLHGSGTQFSVIIGVIAVRYVMLPLIGMIIVKGAIRLGLVHSDPLYQFVLLLQYALPPAMNMGTITQLFGAGEKECSVIFLWAYALASVSLTLWSTFFMWLVS >DRNTG_31605.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:654413:659028:-1 gene:DRNTG_31605 transcript:DRNTG_31605.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFQLFIAASVPVLNVLLITGVGSFLATGRVGILCEEARKHMNNVVFFVFNPSLVSTNLARTVTLENVVLMWFMPINIFLTFLLGSLLGWVVIHLTKAPPPSRGLIIGCCAAGNLGNMLLIIIPAICKEKGSPFGDPDACHTFGLAYVSLSMAIGAVFIWSYVYNMVRISSNAIGEKLISNPQKRPIILEENAKLIPSNHTGEKLLLQSGLSSDCPAEQRLPITAYAESSTKPKLPILDQFKNGLSNIGCAIDLKKLFAPSTIGVIIGFIIGMVPQIRKAIIGESAPLRFVQESASLLGDGAIPTVTLIMGGNLLRGLHGSGTQFSVIIGVIAVRYVMLPLIGMIIVKGAIRLGLVHSDPLYQFVLLLQYALPPAMNMGTITQLFGAGEKECSVIFLWAYALASVSLTLWSTFFMWLVS >DRNTG_31605.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:654413:657148:-1 gene:DRNTG_31605 transcript:DRNTG_31605.8 gene_biotype:protein_coding transcript_biotype:protein_coding MWFMPINIFLTFLLGSLLGWVVIHLTKAPPPSRGLIIGCCAAGNLGNMLLIIIPAICKEKGSPFGDPDACHTFGLAYVSLSMAIGAVFIWSYVYNMVRISSNAIGEKLISNPQKRPIILEENAKLIPSNHTGEKLLLQSGLSSDCPAEQRLPITAYAESSTKPKLPILDQFKNGLSNIGCAIDLKKLFAPSTIGVIIGFIIGMVPQIRKAIIGESAPLRFVQESASLLGDGAIPTVTLIMGGNLLRGLHGSGTQFSVIIGVIAVRYVMLPLIGMIIVKGAIRLGLVHSDPLYQFVLLLQYALPPAMNMGTITQLFGAGEKECSVIFLWAYALASVSLTLWSTFFMWLVS >DRNTG_31605.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:655843:656320:-1 gene:DRNTG_31605 transcript:DRNTG_31605.10 gene_biotype:protein_coding transcript_biotype:protein_coding GNLGNMLLIIIPAICKEKGSPFGDPDACHTFGLAYVSLSMAIGAVFIWSYVYNMVRISSNAIGEKLISNPQKRPIILEENAKLIPSNHTGEKLLLQSGLSSDCPAEQRLPITAYAESSTKPKVFNASLLAF >DRNTG_31605.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:654413:659028:-1 gene:DRNTG_31605 transcript:DRNTG_31605.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFQLFIAASVPVLNVLLITGVGSFLATGRVGILCEEARKHMNNVVFFVFNPSLVSTNLARTVTLENVVLMWFMPINIFLTFLLGSLLGWVVIHLTKAPPPSRGLIIGCCAAGNLGNMLLIIIPAICKEKGSPFGDPDACHTFGLAYVSLSMAIGAVFIWSYVYNMVRISSNAIGEKLISNPQKRPIILEENAKLIPSNHTGEKLLLQSGLSSDCPAEQRLPITAYAESSTKPKLPILDQFKNGLSNIGCAIDLKKLFAPSTIGVIIGFIIGMVPQIRKAIIGESAPLRFVQESASLLGDGAIPTVTLIMGGNLLRGLHGSGTQFSVIIGVIAVRYVMLPLIGMIIVKGAIRLGLVHSDPLYQFVLLLQYALPPAMNMGTITQLFGAGEKECSVIFLWAYALASVSLTLWSTFFMWLVS >DRNTG_31605.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:654413:657547:-1 gene:DRNTG_31605 transcript:DRNTG_31605.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFQLFIAASVPVLNVLLITGVGSFLATGRVGILCEEARKHMNNVVFFVFNPSLVSTNLARTVTLENVVLMWFMPINIFLTFLLGSLLGWVVIHLTKAPPPSRGLIIGCCAAGNLGNMLLIIIPAICKEKGSPFGDPDACHTFGLAYVSLSMAIGAVFIWSYVYNMVRISSNAIGEKLISNPQKRPIILEENAKLIPSNHTGEKLLLQSGLSSDCPAEQRLPITAYAESSTKPKLPILDQFKNGLSNIGCAIDLKKLFAPSTIGVIIGFIIGMVPQIRKAIIGESAPLRFVQESASLLGDGAIPTVTLIMGGNLLRGLHGSGTQFSVIIGVIAVRYVMLPLIGMIIVKGAIRLGLVHSDPLYQFVLLLQYALPPAMNMGTITQLFGAGEKECSVIFLWAYALASVSLTLWSTFFMWLVS >DRNTG_31605.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:654413:659028:-1 gene:DRNTG_31605 transcript:DRNTG_31605.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFQLFIAASVPVLNVLLITGVGSFLATGRVGILCEEARKHMNNVVFFVFNPSLVSTNLARTVTLENVVLMWFMPINIFLTFLLGSLLGWVVIHLTKAPPPSRGLIIGCCAAGNLGNMLLIIIPAICKEKGSPFGDPDACHTFGLAYVSLSMAIGAVFIWSYVYNMVRISSNAIGEKLISNPQKRPIILEENAKLIPSNHTGEKLLLQSGLSSDCPAEQRLPITAYAESSTKPKLPILDQFKNGLSNIGCAIDLKKLFAPSTIGVIIGFIIGMVPQIRKAIIGESAPLRFVQESASLLGDGAIPTVTLIMGGNLLRGLHGSGTQFSVIIGVIAVRYVMLPLIGMIIVKGAIRLGLVHSDPLYQFVLLLQYALPPAMNMGTITQLFGAGEKECSVIFLWAYALASVSLTLWSTFFMWLVS >DRNTG_31605.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:654413:655591:-1 gene:DRNTG_31605 transcript:DRNTG_31605.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQIRKAIIGESAPLRFVQESASLLGDGAIPTVTLIMGGNLLRGLHGSGTQFSVIIGVIAVRYVMLPLIGMIIVKGAIRLGLVHSDPLYQFVLLLQYALPPAMNMGIHTYFIYKFSIWYRPYKRIIAEDSNPRLVHISLKKNLCSVYAIKDSSFHAGTITQLFGAGEKECSVIFLWAYALASVSLTLWSTFFMWLVS >DRNTG_31605.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:654413:659028:-1 gene:DRNTG_31605 transcript:DRNTG_31605.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFQLFIAASVPVLNVLLITGVGSFLATGRVGILCEEARKHMNNVVFFVFNPSLVSTNLARTVTLENVVLMWFMPINIFLTFLLGSLLGWVVIHLTKAPPPSRGLIIGCCAAGNLGNMLLIIIPAICKEKGSPFGDPDACHTFGLAYVSLSMAIGAVFIWSYVYNMVRISSNAIGEKLISNPQKRPIILEENAKLIPSNHTGEKLLLQSGLSSDCPAEQRLPITAYAESSTKPKLPILDQFKNGLSNIGCAIDLKKLFAPSTIGVIIGFIIGMVPQIRKAIIGESAPLRFVQESASLLGDGAIPTVTLIMGGNLLRGLHGSGTQFSVIIGVIAVRYVMLPLIGMIIVKGAIRLGLVHSDPLYQFVLLLQYALPPAMNMGIHTYFIYKFSIWYRPYKRIIAEDSNPRLVHISLKKNLCSVYAIKDSSFHAGTITQLFGAGEKECSVIFLWAYALASVSLTLWSTFFMWLVS >DRNTG_31605.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:654413:659028:-1 gene:DRNTG_31605 transcript:DRNTG_31605.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFQLFIAASVPVLNVLLITGVGSFLATGRVGILCEEARKHMNNVVFFVFNPSLVSTNLARTVTLENVVLMWFMPINIFLTFLLGSLLGWVVIHLTKAPPPSRGLIIGCCAAGNLGNMLLIIIPAICKEKGSPFGDPDACHTFGLAYVSLSMAIGAVFIWSYVYNMVRISSNAIGEKLISNPQKRPIILEENAKLIPSNHTGEKLLLQSGLSSDCPAEQRLPITAYAESSTKPKLPILDQFKNGLSNIGCAIDLKKLFAPSTIGVIIGFIIGMVPQIRKAIIGESAPLRFVQESASLLGDGAIPTVTLIMGGNLLRGLHGSGTQFSVIIGVIAVRYVMLPLIGMIIVKGAIRLGLVHSDPLYQFVLLLQYALPPAMNMGIHTYFIYKFSIWYRPYKRIIAEDSNPRLVHISLKKNLCSVYAIKDSSFHAGTITQLFGAGEKECSVIFLWAYALASVSLTLWSTFFMWLVS >DRNTG_05362.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8610939:8613459:1 gene:DRNTG_05362 transcript:DRNTG_05362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFIKDADDKRRKGDERVKNWVRDVRDLAFEAEDIIDIHMIKIERRRRRKGFMGKFIRYTHILSELVACHMISLDINRMKIKVQEILDSRTTYGIANIDENDGEVISSMDDLQARRRLSPNLDDDSIVVGFELDKKVIMEHLLNSKIKRRCVISIVGMGGLGKTTLAKKIYNSINIKRQFEVCCWVSVSQDYKVKELLKTIMKKVMGIARDEMERIEDDDLKEKLYKFLKERRYFIVMDDIWRTEMWVQIKAAFPDVMNGSRVLFTTRILEVARSADPSIPPYELPFLSNAESWELFLKKIFPTTEDAELTCPKELEALSWNLAIRCRGVPLALVVLGGLLSKKEKTFAVWMEVAKSMDWESNEDGKQFLQILALSYNDLPHHFLKSCFLYIGSFPEDSEIQASKLIRLWIAEGFIPQREKQSMEDTAKDYLDELVQRCMIQVACRRSDGSIKKLRIHDLLRDMCISEAKEDCFLGIQSSSHNANLSTSRRLALHNISVDLQHLKRTSPNLRTLVGFNLRSSHEINKSIHRIKLLRVLDLEGARNLERLPKEITSMIHLKYLGLRRTKLKELPSTIGDLSNLQTLDTSHTQPIPVPDAFWKIQTLRHVFVVGGCPHTIGNLKNLQTLKVLGSGQWIEKDLEELTNLRHLHISNLLDSHGKPLCDSLDKLEHLVSLKVTTSSQSIPGNIITALSNHYQLHKLGLNGRLSDEQHFHSIQEFHGRGDDFINGWVCSTATFDT >DRNTG_05362.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8610939:8613106:1 gene:DRNTG_05362 transcript:DRNTG_05362.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFIKDADDKRRKGDERVKNWVRDVRDLAFEAEDIIDIHMIKIERRRRRKGFMGKFIRYTHILSELVACHMISLDINRMKIKVQEILDSRTTYGIANIDENDGEVISSMDDLQARRRLSPNLDDDSIVVGFELDKKVIMEHLLNSKIKRRCVISIVGMGGLGKTTLAKKIYNSINIKRQFEVCCWVSVSQDYKVKELLKTIMKKVMGIARDEMERIEDDDLKEKLYKFLKERRYFIVMDDIWRTEMWVQIKAAFPDVMNGSRVLFTTRILEVARSADPSIPPYELPFLSNAESWELFLKKIFPTTEDAELTCPKELEALSWNLAIRCRGVPLALVVLGGLLSKKEKTFAVWMEVAKSMDWESNEDGKQFLQILALSYNDLPHHFLKSCFLYIGSFPEDSEIQASKLIRLWIAEGFIPQREKQSMEDTAKDYLDELVQRCMIQVACRRSDGSIKKLRIHDLLRDMCISEAKEDCFLGIQSSSHNANLSTSRRLALHNISVDLQHLKRTSPNLRTLVGFNLRSSHEINKSIHRIKLLRVLDLEGARNLERLPKEITSMIHLKYLGLRRTKLKELPSTIGDLSNLQTLDTSHTQPIPVPDAFWKIQTLRHVFVVGGCPHTIGNLKNLQTLKVLGSGQWIEKDLEELTNLRHLHISNLLDSHGKPLCDSLDKLEHLVSLKVTTSSQSIPGNIITALSNHYQLHKLGLNGRLSDEQHFHSIQEF >DRNTG_17494.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6491716:6494766:1 gene:DRNTG_17494 transcript:DRNTG_17494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFTTRSEAICGSMEANEKKKMECLDDNAAWSLFKEKAGKELIASDKQIQHHAEDITKKCAGLPLALVTVGKAMSTKKTPGEWEYVATMMRKSKYQNIPGMKESNFFPILKISYDSLESDYLRQCFLYCSLWGEDEQIPTDELIECWMGHGLLDDYDELNEAYIKGETIIGILKEACLLESGVQQGVFDRSELRSNLKVHDLIRDLALWIISGCQENNVGWLVRPHSNLERLPEDLNGREVISLAYNRIGSLHESPNFHKLRTFILQGNKELCHISSSFFVTMHWLKYLDLSRTCVTSLPEEIGMLHELQYLNLSFSSLISLPSALGDLNKLKYQYCGGAKELNAIPQDLVARLKNLNVLDLYSTGIFFFEGAFLDDLLSLSNLKGVGFNIDGLSALEKLLYVPKQRVRLIDSDECLTSISISPSLLGSNSELHLQELNIFFITELKELVMTSEDKTSWCLSHLKSFYLIFLPNLRDVIWEDLEPSYFLPKLAYMEIFECGSLTSLCWVAQLPSLQILKIARCRKLRSIIAGDRHTMIEEGTAFRSLKTLALDDLPNLESIYEEGILSFPSIEVITMFNCWNLRNLPLGLHSAKNLVYIRVLPPNLWDDMDWEFKHHFSSFVL >DRNTG_07561.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21801921:21802369:-1 gene:DRNTG_07561 transcript:DRNTG_07561.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSKRRLTLSSYHRRSPTRVGEGSNNLKRQHSPSSSTQVSTALSSGGMILTTGIPLVPMCSSSLMGRKISTMMYWRP >DRNTG_34830.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16895964:16896905:1 gene:DRNTG_34830 transcript:DRNTG_34830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAYLLPTLTLLLLLSLLQSLTESTTFTFTNKCANTVWPGALSNSGSAPLETTGFELTPGSSRAIQASTGWSGRFFARTGCNFDSTNHGSCATADCGSNEIECNGAGAAPPATLAEFTLAGPSSSKDFYDVSLVDGYNVAMLVEPSGGCSATGCAVDLNRRCPAELRVGQGEAQACRSACEAFGTPEYCCKGEYANPSTCRPSVYSEMFKSACPRSYSYAFDDPTSTFTCSSPADYTITFCPDSTP >DRNTG_32644.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22086441:22107241:-1 gene:DRNTG_32644 transcript:DRNTG_32644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQAWPVHSHRTLRCTCEDAPFPNVGQNKKIERDRNPNSMSGWKSSALSTPPKMRLM >DRNTG_31372.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28462935:28463385:1 gene:DRNTG_31372 transcript:DRNTG_31372.14 gene_biotype:protein_coding transcript_biotype:protein_coding LIELVSVINSKGKDEDEDKEDRQRNSAGELGLFKKAQELVIYGGWCVGLVVFLTSGKLAL >DRNTG_31372.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28462935:28466138:1 gene:DRNTG_31372 transcript:DRNTG_31372.3 gene_biotype:protein_coding transcript_biotype:protein_coding LIELVSVINSKGKDEDEDKEDRQRNSAGELGLFKKAQELVIYGGWCVGLVVFLTSGKLAL >DRNTG_31372.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28462935:28463448:1 gene:DRNTG_31372 transcript:DRNTG_31372.13 gene_biotype:protein_coding transcript_biotype:protein_coding LIELVSVINSKGKDEDEDKEDRQRNSAGELGLFKKAQELVIYGGWCVGLVVFLTSGKLAL >DRNTG_31372.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28462825:28466138:1 gene:DRNTG_31372 transcript:DRNTG_31372.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISNLHSKGKDEDEDKEDRQRNSAGELGLFKKAQELVIYGGWCVGLVVFLTSGKLAL >DRNTG_31372.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28462825:28463385:1 gene:DRNTG_31372 transcript:DRNTG_31372.11 gene_biotype:protein_coding transcript_biotype:protein_coding LIELVSVINSKGKDEDEDKEDRQRNSAGELGLFKKAQELVIYGGWCVGLVVFLTSGKLAL >DRNTG_31372.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28462825:28463385:1 gene:DRNTG_31372 transcript:DRNTG_31372.10 gene_biotype:protein_coding transcript_biotype:protein_coding ISNLHSKGKDEDEDKEDRQRNSAGELGLFKKAQELVIYGGWCVGLVVFLTSGKLAL >DRNTG_31372.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28463524:28466350:1 gene:DRNTG_31372 transcript:DRNTG_31372.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCEILHKPTIPSDRQPSATRQQTLELLVS >DRNTG_31372.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28462825:28463448:1 gene:DRNTG_31372 transcript:DRNTG_31372.8 gene_biotype:protein_coding transcript_biotype:protein_coding LIELVSVINSKGKDEDEDKEDRQRNSAGELGLFKKAQELVIYGGWCVGLVVFLTSGKLAL >DRNTG_31372.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28462935:28463385:1 gene:DRNTG_31372 transcript:DRNTG_31372.15 gene_biotype:protein_coding transcript_biotype:protein_coding ISNLHSKGKDEDEDKEDRQRNSAGELGLFKKAQELVIYGGWCVGLVVFLTSGKLAL >DRNTG_31372.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28462825:28463385:1 gene:DRNTG_31372 transcript:DRNTG_31372.9 gene_biotype:protein_coding transcript_biotype:protein_coding LIELVSVINSKGKDEDEDKEDRQRNSAGELGLFKKAQELVIYGGWCVGLVVFLTSGKLAL >DRNTG_31372.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28462825:28463448:1 gene:DRNTG_31372 transcript:DRNTG_31372.7 gene_biotype:protein_coding transcript_biotype:protein_coding ISNLHSKGKDEDEDKEDRQRNSAGELGLFKKAQELVIYGGWCVGLVVFLTSGKLAL >DRNTG_31372.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28462825:28466138:1 gene:DRNTG_31372 transcript:DRNTG_31372.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCEILHKPTIPSDRQPSATRQQTLELLVS >DRNTG_31372.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28463524:28466138:1 gene:DRNTG_31372 transcript:DRNTG_31372.6 gene_biotype:protein_coding transcript_biotype:protein_coding MCEILHKPTIPSDRQPSATRQQTLELLVS >DRNTG_31372.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28462935:28466138:1 gene:DRNTG_31372 transcript:DRNTG_31372.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCEILHKPTIPSDRQPSATRQQTLELLVS >DRNTG_31372.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28462935:28463448:1 gene:DRNTG_31372 transcript:DRNTG_31372.12 gene_biotype:protein_coding transcript_biotype:protein_coding ISNLHSKGKDEDEDKEDRQRNSAGELGLFKKAQELVIYGGWCVGLVVFLTSGKLAL >DRNTG_16845.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4854848:4857139:-1 gene:DRNTG_16845 transcript:DRNTG_16845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDIPATTPSRTISGVTSVIWEDLINGQRKEGAGGDDYVSRKKIQCAEKMIRGAFVELYKGLGLLKTFSSLNLVTLGHLPIVEVILLTKKARMTILTGHKGPMVEFMDGVLTIP >DRNTG_16845.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4854848:4856605:-1 gene:DRNTG_16845 transcript:DRNTG_16845.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDIPATTPSRTISGVTSVIWEDLINGQRKEGAGGDDYVSRKKIQCAEKMIRGAFVELYKGLGLLKTFSSLNLVTLGHLPIVEVILLTKKARMTILTGHKGPMVEFMDGVLTIP >DRNTG_13479.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:17609421:17609987:1 gene:DRNTG_13479 transcript:DRNTG_13479.1 gene_biotype:protein_coding transcript_biotype:protein_coding IHRHVQSPPPNPPSPTYLPPGLLPKSPPLQLGSSGDNHL >DRNTG_15418.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17806461:17808209:1 gene:DRNTG_15418 transcript:DRNTG_15418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRQGHEYLGGSEPSHGHGEGLESFLDHVMLDISSVKRCGCEVHGKNLF >DRNTG_20433.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001116.1:107522:107828:1 gene:DRNTG_20433 transcript:DRNTG_20433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSHLIFSKGSLVKTRAHLAESMPAKAPPIALFQRNSMSKAVDLLQKPSKGLSKPKRGWNWASTRPYGFQGISFL >DRNTG_09245.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000397.1:19775:23045:1 gene:DRNTG_09245 transcript:DRNTG_09245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSKKQDEKYPREDSLEPEHLEFTIPKHQAHFERLSNLKFGQTHFPDVIAIREI >DRNTG_09373.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15128575:15129108:1 gene:DRNTG_09373 transcript:DRNTG_09373.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQLQLPQPLALPSTSSASNNAEIDTQGSMKIKTKKTILLLRMFHSFDENGDGKISPEELYKCVQNVDRKELHMSMEEAKAIVEMLDSNGDGLLDLNDFVRLMECECKEEECKILRDAFQVYEMEGQGCITPKSLSSALARLGQRRSVDECAAMIRHFDLNGDGVISFDEFRIMMH >DRNTG_24167.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1329467:1338040:1 gene:DRNTG_24167 transcript:DRNTG_24167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDLYEGLFDQKEDNKEVMMLGSTEEVPSTPGILMKVLRKMKRVRRRHWKCSKAVGDVH >DRNTG_03341.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5925919:5927486:-1 gene:DRNTG_03341 transcript:DRNTG_03341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVSNRRFRVEYCSTVLEQCYYYSFTVAVNVAHHGLLGRKLKTPRGWHTAGVNCRDHRVDLSFGFLGPTSHVLNYSISYSLKAMDFEEKLVGAEMYALEKQMEELDAMRAR >DRNTG_06629.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18879335:18881002:1 gene:DRNTG_06629 transcript:DRNTG_06629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHSLSSHSHYPKPNFFPEKYTDYANKGKIDTSCASEVNGSIMDAKISKTRNTYMLGNSCRFQLEQDVLRLQKLLNEEMKLHAILENAMEQATITSSDLSS >DRNTG_32014.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18443780:18448699:1 gene:DRNTG_32014 transcript:DRNTG_32014.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIQCRLPPTLSPPVNLLSSTSNRIPNHNPCSLSFSPKFLNPIRLLCVQFDSIRSRHRRSAVLSCKGSSDDHRLVDGPGDEDQFIEAFLLVSETVRHYNLWKNGFVNDTRWQPPGHMYPFSNHTKGPNSDVSSIGYGFLRRYQSPTIFLKIACEEDLFLPVVVGENAVDMLMASFGEDVDEECLDLYQLVQNLVGKFGSVVKMVRITKRVLNTYCAQIFFEKFEDRSNFSIDAHPSDAITLAKRSKAPIYVSKDVVLKDTIKNLYGTWRRSSVKTVYDVTLDSAADGPDKLLEELDLMKKMDIAVLEESYEDAAIWRDKLMNLRAPKDGLQMK >DRNTG_32014.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18443780:18448699:1 gene:DRNTG_32014 transcript:DRNTG_32014.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFSNHTKGPNSDVSSIGYGFLRRYQSPTIFLKIACEEDLFLPVVVGENAVDMLMASFGEDVDEECLDLYQLVQNLVGKFGSVVKMVRITKRVLNTYCAQIFFEKFEDRSNFSIDAHPSDAITLAKRSKAPIYVSKDVVLKDTIKNLYGTWRRSSVKTVYDVTLDSAADGPDKLLEELDLMKKMDIAVLEESYEDAAIWRDKLMNLRAPKDGLQMK >DRNTG_19729.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001049.1:21304:23486:1 gene:DRNTG_19729 transcript:DRNTG_19729.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPYKKTKLNKLLDGMNYEGNNSNQITKKN >DRNTG_05287.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16741278:16743161:-1 gene:DRNTG_05287 transcript:DRNTG_05287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARFDVLHQLQIGRSRAPPASPSPSPPRHPLIYHQHQ >DRNTG_18061.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:134368:135140:1 gene:DRNTG_18061 transcript:DRNTG_18061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDMFMPSSPAFRGTARVNLSDHFNQVLNWGPCSVDILLCRYCPIWVFLLKSKFDQRDLETLGSCENLENWECKLLVDWTVKLGRYAVTSSVCAAYVFM >DRNTG_28171.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18143288:18146718:-1 gene:DRNTG_28171 transcript:DRNTG_28171.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLSLFVFLAIFVVSAFPPACYVQGIISCIETERIALLSIKAGINHSGDQSLFSSWTGHDCCKWQGVSCNHESRHVIKLDLRQHHSRRVFFLNSSLIQLHHLKHLDLSMNNFDDSPIPGFIGSFANLEYLNLSDAGFSGAIPHTFGNLSCLCYLDLSSNDNLQSNDLHWLSGMSSLRHLDLSRVDLSKVHGWLHDINSVLPSLRVLKLSNAELQGCGIYATTDLPHHLNFTSLRVLDLSGNDGLKITLLQWLFNLTSLVHLDLSACALCGKLPVTECNLSRLRVLSLSGNHFDGVIPESLGKLGSLETLDLSENELNGRIPESLSNLTNLEYFYLFSNKIGKLPESIGRLQKLVKFHLSNNQIQGLMPASIWDLRNLQYLDLSQNMISGAIPESFGNLTLLQHFDGAVNNLIGKLPETIGNLVHLQDLDLSQNAISGKLSESFGNLTQLQYLSMQGNGITGGLPEYVGKLSGLSVLDLSNNNINGTLPKGMGNLCKLLILDLTSNMISGGIDDLVDGLSICSKGLETLNLGNNNLNGTVPENIGKLSKLIELNLPSNSLMGVLTESHFVSLVSLGFLDLSYNSLQLNVSENWKPPFDCLLIRMCSVKVGPVFPTWIENQTYLSHLCLSDAGISGNIPAWFGYLSTSTSYVLNLSNNNLEGRLPNSLKNYNFYRIDLSSNRFEGPLPELDLSFLLVIYLNNNSFSGFIPSYFANATYIQVFSVSDNHIIGSIPLFFCNLTTLKLLDISNNNMSGGLPYCWNSTSALEIINLSNNNFIGKIPDGLVSLTNLRSLHLRNNDFSGDLPLSLKIAKKLVTLDISENKLSGSIPIWIGENLSSLVALCLRSNLFHGIIPEQLSKLSSLQILDLAHNNLSGCIPHSFGDFKAMVATNHNQWWSLFSIVSVAKAYFSIDYNYRHNSFAYSESLLISAKGLQMEYSKVLSLVTSIDLSNNKLSCELPEELTKLHGLHFLNLSGNHLIGKIPESIGDMKQI >DRNTG_19382.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22253728:22257004:1 gene:DRNTG_19382 transcript:DRNTG_19382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASGQGPATKRKPVFVKVDQLKPGTNGHTLTLKVLSSKAVSQQGRQAPRQGRIAECVVGDETGTIIFTARNDQVDLLKPDTTVIVRNAKIDMFKGSMRLAVDKWGRVEVTEPANFTVKEDNNLSLVEYELVNVVEE >DRNTG_07911.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2567164:2568063:-1 gene:DRNTG_07911 transcript:DRNTG_07911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYPQSITIMSQTSDQTTIVISHQNSPRPSQDQQQQQQQQQQQKHEGQQQQQQHIGWEGNKSSTLLVVATLITTLTYQIGSNPPGGFWQDDNNGHEAGSPIMRDKHRVRYWLFMTGAWVGFIDSMLLTLWLLIGMPVSSCRVRWSFLIAYSSLQLTYITAVHGTPLIFDLITWAVIVFILAIGIGKREMNWLGILGCKCLFFFTEPSSING >DRNTG_14777.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30042351:30048674:-1 gene:DRNTG_14777 transcript:DRNTG_14777.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKATEEAGSSVGPGEAPVPLEWKFSQVFGERASGEEVQEVDIISTIEFDKSGDYLATGDRGGRVVLFERTDVKDHEDRRALERLDSPVGRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTVNGILILLSTNDKTIKFWKVLEKKVKRISGTNLGPSEHVGDGFLATSSTVSSRVHMPNGGSSERRHSYLSNDFSFPPGGFPSLRLPMVSIYDTSPLARCRRIYAHAHDYHINSISNNSDGETFVSADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNTLAYSSSRGFIRLIDLRQSALCDNHSQLFKGQDAPSTKSFFTDIIASISDIKFAKDGRHILSRDYMTLKLWDINMDAGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGEGLRVATGSYSNIFRVFGCAAGSNEATTMEATKAPMSRKQVQNSTRPTRTLNNSARAVRRGAENTALEANGNTYDFSSKLLHLAWHPTENSLACAAANSLYMYYA >DRNTG_14777.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30042351:30048674:-1 gene:DRNTG_14777 transcript:DRNTG_14777.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKATEEAGSSVGPGEAPVPLEWKFSQVFGERASGEEVQEVDIISTIEFDKSGDYLATGDRGGRVVLFERTDVKDHEDRRALERLDSPVGRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTVNGILILLSTNDKTIKFWKVLEKKVKRISGTNLGPSEHVGDGFLATSSTVSSRVHMPNGGSSERRHSYLSNDFSFPPGGFPSLRLPMVGVLSLVCDDSSCIAMNIIQFMHKLTCIKRWRE >DRNTG_14777.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30042351:30048674:-1 gene:DRNTG_14777 transcript:DRNTG_14777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKATEEAGSSVGPGEAPVPLEWKFSQVFGERASGEEVQEVDIISTIEFDKSGDYLATGDRGGRVVLFERTDVKDHEDRRALERLDSPVGRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTVNGILILLSTNDKTIKFWKVLEKKVKRISGTNLGPSEHVGDGFLATSSTVSSRVHMPNGGSSERRHSYLSNDFSFPPGGFPSLRLPMVSIYDTSPLARCRRIYAHAHDYHINSISNNSDGETFVSADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNTLAYSSSRGFIRLIDLRQSALCDNHSQLFKGQDAPSTKSFFTDIIASISDIKFAKDGRHILSRDYMTLKLWDINMDAGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGEGLRVATGSYSNIFRVFGCAAGSNEATTMEATKAPMRKQVQNSTRPTRTLNNSARAVRRGAENTALEANGNTYDFSSKLLHLAWHPTENSLACAAANSLYMYYA >DRNTG_14777.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30042351:30048674:-1 gene:DRNTG_14777 transcript:DRNTG_14777.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKATEEAGSSVGPGEAPVPLEWKFSQVFGERASGEEVQEVDIISTIEFDKSGDYLATGDRGGRVVLFERTDVKDHEDRRALERLDSPVGRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTVNGILILLSTNDKTIKFWKVLEKKVKRISGTNLGPSEHVGDGFLATSSTVSSRVHMPNGGSSERRHSYLSNDFSFPPGGFPSLRLPMVGVLSLVCDDSSCIAMNIIQFMHKLTCIKRWRE >DRNTG_11240.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21895673:21901760:1 gene:DRNTG_11240 transcript:DRNTG_11240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPVVLVDGAEGAPSSSEKGRLSHATSCVSEKDFSSSLSLVNGQSAHVSNEVAGFRVCELVLPNGDFYSGTLLGNTPEGSGKYIWSDGCAYDGEWRRGMRHGNGKMSWPSGAVYDGEFSSGYMHGTGTYIGPDRMTYKGRWKLNLKHGLGFQTYPNGDTFEGSWIHGSMEGPGKYIWANGNVFVGNMKGGKMSGKGTLTWKNGDTFEGKWLNGLMHGFGVYTWSDGGCYVGTWTNGLKDGTGTLYPSGSRIPVAQQVYVNALRKRGLLPDLKRQNYGSRILHSSSVDMGNMKVGEIARAGVHKGSLINLEQSRTQNVSLERRWSLEVAIEKVIGHDMSSDIEELGSGVGGKFSNRIVPILEREYMQGVLISEHVVENNFSPPSRVAKRRQKNLEDIRRPGETIIKGHRSYDLMLSLQLGIRYTVGKITPIQRREVRTSDFGRQASFWMDFPKAGSQQTPPHRSEDFKWKDYCPMVFRDLREMFEIDAADYMISICGNDALRELSSPGKSGSIFFLSQDDRFMIKTLRKSEVQVLLRMLPNYHHHVRSYENTLVTKFFGLHRVKPSSGQKFRFVVMGNVFCTELRIHRRFDLKRIIPGSFC >DRNTG_11240.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21895673:21901760:1 gene:DRNTG_11240 transcript:DRNTG_11240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPVVLVDGAEGAPSSSEKGRLSHATSCVSEKDFSSSLSLVNGQSAHVSNEVAGFRVCELVLPNGDFYSGTLLGNTPEGSGKYIWSDGCAYDGEWRRGMRHGNGKMSWPSGAVYDGEFSSGYMHGTGTYIGPDRMTYKGRWKLNLKHGLGFQTYPNGDTFEGSWIHGSMEGPGKYIWANGNVFVGNMKGGKMSGKGTLTWKNGDTFEGKWLNGLMHGFGVYTWSDGGCYVGTWTNGLKDGTGTLYPSGSRIPVAQQVYVNALRKRGLLPDLKRQNYGSRILHSSSVDMGNMKVGEIARAGVHKGSLINLEQSRTQNVSLERRWSLEVAIEKVIGHDMSSDIEELGSGVGGKFSNRIVPILEREYMQGVLISEHVVENNFSPPSRVAKRRQKNLEDIRRPGETIIKGHRSYDLMLSLQLGIRYTVGKITPIQRREVRTSDFGRQASFWMDFPKAGSQQTPPHRSEDFKWKDYCPMVFRDLREMFEIDAADYMISICGNDALRELSSPGKSGSIFFLSQDDRFMIKTLRKSEVQVLLRMLPNYHHHVRSYENTLVTKFFGLHRVKPSSGQKFRFVVMGNVFCTELRIHRRFDLKRIIPGSFC >DRNTG_11240.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21898371:21901760:1 gene:DRNTG_11240 transcript:DRNTG_11240.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTINLYSFVLRYTVGKITPIQRREVRTSDFGRQASFWMDFPKAGSQQTPPHRSEDFKWKDYCPMVFRDLREMFEIDAADYMISICGNDALRELSSPGKSGSIFFLSQDDRFMIKTLRKSEVQVLLRMLPNYHHHVRSYENTLVTKFFGLHRVKPSSGQKFRFVVMGNVFCTELRIHRRFDLKRIIPGSFC >DRNTG_11240.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21895673:21901760:1 gene:DRNTG_11240 transcript:DRNTG_11240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPVVLVDGAEGAPSSSEKGRLSHATSCVSEKDFSSSLSLVNGQSAHVSNEVAGFRVCELVLPNGDFYSGTLLGNTPEGSGKYIWSDGCAYDGEWRRGMRHGNGKMSWPSGAVYDGEFSSGYMHGTGTYIGPDRMTYKGRWKLNLKHGLGFQTYPNGDTFEGSWIHGSMEGPGKYIWANGNVFVGNMKGGKMSGKGTLTWKNGDTFEGKWLNGLMHGFGVYTWSDGGCYVGTWTNGLKDGTGTLYPSGSRIPVAQQVYVNALRKRGLLPDLKRQNYGSRILHSSSVDMGNMKVGEIARAGVHKGSLINLEQSRTQNVSLERRWSLEVAIEKVIGHDMSSDIEELGSGVGGKFSNRIVPILEREYMQGVLISEHVVENNFSPPSRVAKRRQKNLEDIRRPGETIIKGHRSYDLMLSLQLGIRYTVGKITPIQRREVRTSDFGRQASFWMDFPKAGSQQTPPHRSEDFKWKDYCPMVFRDLREMFEIDAADYMISICGNDALRELSSPGKSGSIFFLSQDDRFMIKTLRKSEVQVLLRMLPNYHHHVRSYENTLVTKFFGLHRVKPSSGQKFRFVVMGNVFCTELRIHRRFDLKRIIPGSFC >DRNTG_11240.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21895624:21901760:1 gene:DRNTG_11240 transcript:DRNTG_11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPVVLVDGAEGAPSSSEKGRLSHATSCVSEKDFSSSLSLVNGQSAHVSNEVAGFRVCELVLPNGDFYSGTLLGNTPEGSGKYIWSDGCAYDGEWRRGMRHGNGKMSWPSGAVYDGEFSSGYMHGTGTYIGPDRMTYKGRWKLNLKHGLGFQTYPNGDTFEGSWIHGSMEGPGKYIWANGNVFVGNMKGGKMSGKGTLTWKNGDTFEGKWLNGLMHGFGVYTWSDGGCYVGTWTNGLKDGTGTLYPSGSRIPVAQQVYVNALRKRGLLPDLKRQNYGSRILHSSSVDMGNMKVGEIARAGVHKGSLINLEQSRTQNVSLERRWSLEVAIEKVIGHDMSSDIEELGSGVGGKFSNRIVPILEREYMQGVLISEHVVENNFSPPSRVAKRRQKNLEDIRRPGETIIKGHRSYDLMLSLQLGIRYTVGKITPIQRREVRTSDFGRQASFWMDFPKAGSQQTPPHRSEDFKWKDYCPMVFRDLREMFEIDAADYMISICGNDALRELSSPGKSGSIFFLSQDDRFMIKTLRKSEVQVLLRMLPNYHHHVRSYENTLVTKFFGLHRVKPSSGQKFRFVVMGNVFCTELRIHRRFDLKRIIPGSFC >DRNTG_11240.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21895673:21897660:1 gene:DRNTG_11240 transcript:DRNTG_11240.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPVVLVDGAEGAPSSSEKGRLSHATSCVSEKDFSSSLSLVNGQSAHVSNEVAGFRVCELVLPNGDFYSGTLLGNTPEGSGKYIWSDGCAYDGEWRRGMRHGNGKMSWPSGAVYDGEFSSGYMHGTGTYIGPDRMTYKGRWKLNLKHGLGFQTYPNGDTFEGSWIHGSMEGPGKYIWANGNVFVGNMKGGKMSGKGTLTWKNGDTFEGKWLNGLMHGFGVYTWSDGGCYVGTWTNGLKDGTGTLYPSGSRIPVAQQVYVNALRKRGLLPDLKRQNYGSRILHSSSVDMGNMKVGEIARAGVHKGSLINLEQSRTQNVSLERRWSLEVAIEKVIGHDMSSDIEELGSGVGGKFSNRIVPILEREYMQGVLISEHVVENNFSPPSRVAKRRQKNLEDIRRPGETIIKGHRSYDLMLSLQLGIRQENLIFFLLLNKLSSCFTIFCLHSFCICSFLILFLL >DRNTG_34078.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:307168:308602:-1 gene:DRNTG_34078 transcript:DRNTG_34078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIGARWMSPSTPLLLTVTRRRNRSGSWRTNLMRSRRPRRRTLAQLLVEAQIPFKIHIVKDHDMKERLCLEVERLGLCAVIMGSRGFWGVEGAAARRGLGVLVITACIIVFALWSWFGIPMMGHA >DRNTG_25489.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1687445:1690811:1 gene:DRNTG_25489 transcript:DRNTG_25489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGDPKSQGELRSFDNSDELATNLAEYIAQLSDISVKDRGVFTIALSGGCLINLMGKLCEAPYSKTVDWTKWYVFWADERAVSKNHVDSNYKLAKDGFLSKVPVLNSHIYSINDNATTEDAAEEYEFTIRQLVKVRTIDVSAISDCPKFDLILLCVGEDGHIASLFPGHPALEDKDNWVTFITDSPVPPPERITFTLPVINSASNVALVVAGEENKANALSIIFSDENDEIDVLSLPAQMIRPTDGELVWFVAKGVSSEPDGSNSGHGCLP >DRNTG_25489.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1687445:1690811:1 gene:DRNTG_25489 transcript:DRNTG_25489.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGDPKSQGELRSFDNSDELATNLAEYIAQLSDISVKDRGVFTIALSGGCLINLMGKLCEAPYSKTVDWTKWYVFWADERAVSKNHVDSNYKLAKDGFLSKVPVLNSHIYSINDNATTEDAAEEYEFTIRQLVKVRTIDVSAISDCPKFDLILLCVGEDGHIASLFPGHPALEDKDNWVTFITDSPVPPPERITFTLPVINSASNVALVVAGEENKANALSIIFSDENDEIDVLSLPAQMIRPTDGELVWFVAKGVSSEPDGSNSGHGCLP >DRNTG_25489.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1687445:1690811:1 gene:DRNTG_25489 transcript:DRNTG_25489.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGDPKSQGELRSFDNSDELATNLAEYIAQLSDISVKDRGVFTIALSGGCLINLMGKLCEAPYSKTVDWTKWYVFWADERAVSKNHVDSNYKLAKDGFLSKVPVLNSHIYSINDNATTEDAAEEYEFTIRQLVKVRTIDVSAISDCPKFDLILLCVGEDGHIASLFPGHPALEDKDNWVTFITDSPVPPPERITFTLPVINSASNVALVVAGEENKANALSIIFSDENDEIDVLSLPAQMIRPTDGELVWFVAKGVSSEPDGSNSGHGCLP >DRNTG_25489.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1687445:1690811:1 gene:DRNTG_25489 transcript:DRNTG_25489.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGDPKSQGELRSFDNSDELATNLAEYIAQLSDISVKDRGVFTIALSGGCLINLMGKLCEAPYSKTVDWTKWYVFWADERAVSKNHVDSNYKLAKDGFLSKVPVLNSHIYSINDNATTEDAAEEYEFTIRQLVKVRTIDVSAISDCPKFDLILLCVGEDGHIASLFPGHPALEDKDNWVTFITDSPVPPPERITFTLPVINSASNVALVVAGEENKANALSIIFSDENDEIDVLSLPAQMIRPTDGELVWFVAKGVSSEPDGSNSGHGCLP >DRNTG_02188.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1362567:1364293:1 gene:DRNTG_02188 transcript:DRNTG_02188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNERKKEREKERMNRRRWREPAMMLKRETRRPLKILCFPSLGINELQKSQKDQC >DRNTG_02188.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1362567:1364293:1 gene:DRNTG_02188 transcript:DRNTG_02188.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHESCNDAQKGNQAAAQNSMFSITGHQRATKITKRSVLILTSNSKINCIEKTPNLRCSQRNGGSESARVW >DRNTG_13859.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000644.1:304265:311083:-1 gene:DRNTG_13859 transcript:DRNTG_13859.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKVNSMIKLLEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGALRQAHRTMAEAFPNQIPLILTDESPSNSSSAAEPRTPEMPPPLCAPFDPDDLQKDALGLSSNFHTINRNGSYSEENDSVMSRKGLKQLNDLFETGEGTARPKFSEGRVRKGLIFHEEAIKSSEAKRQNNDLAEKEAEIKCLQEKVSELSTEIHDLENRLTSESERASKAETEVQSFKEMLSDLKSEKEVTLLRNQESLERIAALEAEITRLQGELKKLNDDMVTEGSKLSNAEQRCVLLEQEYHSLQLELGKLSAENNATRIRTPGKTGGGGET >DRNTG_13859.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000644.1:304265:312832:-1 gene:DRNTG_13859 transcript:DRNTG_13859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLHGETRRMYSWWWDSHISPKNSKWLQENLTDMDLKVNSMIKLLEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGALRQAHRTMAEAFPNQIPLILTDESPSNSSSAAEPRTPEMPPPLCAPFDPDDLQKDALGLSSNFHTINRNGSYSEENDSVMSRKGLKQLNDLFETGEGTARPKFSEGRVRKGLIFHEEAIKSSEAKRQNNDLAEKEAEIKCLQEKVSELSTEIHDLENRLTSESERASKAETEVQSFKEMLSDLKSEKEVTLLRNQESLERIAALEAEITRLQGELKKLNDDMVTEGSKLSNAEQRCVLLEQEYHSLQLELGKLSAENNATRIRTPGKTGGGGET >DRNTG_13859.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000644.1:304265:309047:-1 gene:DRNTG_13859 transcript:DRNTG_13859.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLKLSLDELDCLNAVKNELNKEITVLKEKVAMLEIENLHLNEQVSCLEDCKKQMIVLEAELTAMRTTCEQLNVRIETGETLLMAKSVELSEVNQKLQEVYETSQSKIHELTEENQTLSQKLNDIMQKKDDVEEENSFLVQEFMAMECLYVILNGLSADRALELKFFSDDLDNLHGVNDDLNQQIRELDEKVRALEVENMHLNESVVHLESDLKTAHNVCEQLNHQIELGKNLLMQKEMGLLEANERAQEAHQLAQREMSTLLEEKHYLSNNLYDLMKKMDVVEEENTVIFREALALDCLYSISTCQNAEKIGELKLLCDDLDHLHGINQSLDQEVRILNGKISFFEEENLQLRESKLYLEECRSQLQDAVLQSELEIGKLVEENQSMSLKFNELMDKKEAIEEENGLILKEVMTFEFLQLIFESLSSDRALELASLSSDLKCLIAVREELDEEIGMLNERITSLEVENMCLKESVVHLEECRNQIIGLEDELRAARIISEELNRQVEAGENSLAQKEMELSEANQKVLSIKEHNAEVYKNLQELKLDIDGAKVVREELENKVLTLLEINASREKEITHIRGTNECLEGELDKLQKESEILRSREKCLTSELQKRIDEVGFCEQEIVSLVGDIQVSTINAAILEEKLLEMLLVCESLEIDTMVLTKILDKEIDTTYVYMNALKKELEDIKGENTGLKGDLNSYAFLAVCLSDTIASLKEHTLSLKKLCDETYQENEENSLRCYDLEEKGQEPSEAYQSHVPAGVLRLRESLATVEALQKAVINTKKILIEKKRSSKMNKVHRGENLGMNLNLHEDNADVSKAKCGQMMKDIQLDKVSSSSLYGNNVSSFRPIRSGSGETDDQMLELWETAERDCNNQMRKESSATTELDIEYHEIEAVEEAKSDYPSSELVAEKELSIDKLELPRQDTESYQGWKTRVIEKLVGDTQRLSALQTSLQELKKKMESCEKSKHSISFKYDTIKTQLNEADEAVMQLVDNTGKLMKKAEDYSDNNERENEDTRGRRRRQLSERARRGSEKIGGLELELQKIQYIMLKLDEENESRFRALERKSSVLLRDYLYGRREGSKHKKRLCGCMRPKTTGD >DRNTG_13859.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000644.1:304265:312832:-1 gene:DRNTG_13859 transcript:DRNTG_13859.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLHGETRRMYSWWWDSHISPKNSKWLQENLTDMDLKVNSMIKLLEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGALRQAHRTMAEAFPNQIPLILTDESPSNSSSAAEPRTPEMPPPLCAPFDPDDLQKDALGLSSNFHTINRNGSYSEENDSVMSRKGLKQLNDLFETGEGTARPKFSEGRVRKGLIFHEEAIKSSEAKRQNNDLAEKEAEIKCLQEKVSELSTEIHDLENRLTSESERASKAETEVQSFKEMLSDLKSEKEVTLLRNQESLERIAALEAEITRLQGELKKLNDDMVTEGSKLSNAEQRCVLLEQEYHSLQLELGKLSAENNATRIRTPGKTGGGGET >DRNTG_34653.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002170.1:219:4762:-1 gene:DRNTG_34653 transcript:DRNTG_34653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERKCNLPPVEIDNGNCINYADTIFLVDAKTKVHLSDPVASVRNNPTTTGPSAVEISCRSIGERQAGDIPRLGGLSKEITALNEIIMFSLGNNYSLPRYKGVLLYGPPGTGKTSLATYCAHGVGASLFSINGPEIISQYYGESEQALHDVFESARRAAPSVVFIDELDAIAPARKDGGEGLSVRMVATLLKLLDEIDNGERILLIAATNRPDSIDPALRRPGRFDREIEIGVPSPDQRLDILQTLLSDIDHSLSSKEIQTLAMATHGFVAADLAALCNEAAMTALRRYIKFGSSDKYAGALSSLLAELSVSVKPVSCLGSEKALEIHDMSQTALEEPDQLVEMMLKVSIDDFEKAKMKVRPSAMREVLLCAC >DRNTG_26818.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1591597:1593058:1 gene:DRNTG_26818 transcript:DRNTG_26818.11 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEIVGFIGLDELSLELASSLIRSGFRVQGFEEKNGGFLDKFLLLGGVRCDNLIDVVKGATTLFVVTEFGGNSNLPLGDNGLHSDVVVILQSTLSPSYINKLQKSLTGKQYVL >DRNTG_26818.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1607359:1612672:1 gene:DRNTG_26818 transcript:DRNTG_26818.10 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSDLGLNRNVTGGLIVVGSYVPTTTKQVEELKSQLGHILKCVEISVEKVAMKSEEDREEEISQAAEMANTLLSLHRDTLLMTSRKLITGKTPSESLEINYKVSSALVDIVRRINVRPHYILAKGGITSSDIATKALEAKCAKVIGQALAGVPLWQLGPDSRHPGVPYIVFPGNVGDNNALADVVKTWARPSRLSTKDLLLNAEKGGYAVGAFNVYNLEGIEAVVAAAEAENSPAILQVHPGAMKYGGLPLVACCISAAEQATVPITVHFDHGSSKSELVEALELGFDSVMVDSSHLPLMENISYTKYISVLAHSKGMLVEAELGRLSGTEDDLTVEDYEAKLTDASQAQEFIDKTGIDALAVCIGNVHGTYPAGGPNLRLNLLKDLRTVTLNRGVSLVLHGASGLSKELVKECIELGVRKFNVNTEVRNAYLEAVRKPNKDLVHVMTAAKEAMKAVVAEKIHLFGSAGKA >DRNTG_26818.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1598399:1612672:1 gene:DRNTG_26818 transcript:DRNTG_26818.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASGADEALASTVSVLSALSEKLYVIKGGCGAASSVKMVNQLLAGVHIAAAAEAMAFGARLGLNTRMLFEIINHERGFSWMFENRVPHMLDNDYTPYSAIDIFVKDLGIVSAESSLLKLPLPIASAAHQLFVSGSASGWGRYDDAAVVKVYETLTGVKVEGKLSVRNKENVLKSLPPEWPENPIDDLHAKEHQNSSKVLVVLDDDPTGTQTVHDIEVLTQWNVEALIEQFCKNPVCFFILTNSRSLSSEKAALLTKDICQNLDIAAQRVKGIKYTVVLRGDSTLRGHFPEEADAVVSILGEMDAWIICPFFLQGGRYTIDDIHYVADSDSLVPAGETEFSKDASFGYKSSNLREWVEEKTKGRIAASSVVSISIPLLRTGGPTAVCDLLCSLQKGSTCIVNAASERDMVVFAAGMIQAKRKGKRFLCRTAASFVSARIGIKPKPPMRPSDLGLNRNVTGGLIVVGSYVPTTTKQVEELKSQLGHILKCVEISVEKVAMKSEEDREEEISQAAEMANTLLSLHRDTLLMTSRKLITGKTPSESLEINYKVSSALVDIVRRINVRPHYILAKGGITSSDIATKALEAKCAKVIGQALAGVPLWQLGPDSRHPGVPYIVFPGNVGDNNALADVVKTWARPSRLSTKDLLLNAEKGGYAVGAFNVYNLEGIEAVVAAAEAENSPAILQVHPGAMKYGGLPLVACCISAAEQATVPITVHFDHGSSKSELVEALELGFDSVMVDSSHLPLMENISYTKYISVLAHSKGMLVEAELGRLSGTEDDLTVEDYEAKLTDASQAQEFIDKTGIDALAVCIGNVHGTYPAGGPNLRLNLLKDLRTVTLNRGVSLVLHGASGLSKELVKECIELGVRKFNVNTEVRNAYLEAVRKPNKDLVHVMTAAKEAMKAVVAEKIHLFGSAGKA >DRNTG_26818.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1597491:1612672:1 gene:DRNTG_26818 transcript:DRNTG_26818.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTVSPAYVKHLDQRLEDQQKSLKLVDAPVSGGVKRAADGTLTIMASGADEALASTVSVLSALSEKLYVIKGGCGAASSVKMVNQLLAGVHIAAAAEAMAFGARLGLNTRMLFEIINHERGFSWMFENRVPHMLDNDYTPYSAIDIFVKDLGIVSAESSLLKLPLPIASAAHQLFVSGSASGWGRYDDAAVVKVYETLTGVKVEGKLSVRNKENVLKSLPPEWPENPIDDLHAKEHQNSSKVLVVLDDDPTGTQTVHDIEVLTQWNVEALIEQFCKNPVCFFILTNSRSLSSEKAALLTKDICQNLDIAAQRVKGIKYTVVLRGDSTLRGHFPEEADAVVSILGEMDAWIICPFFLQGGRYTIDDIHYVADSDSLVPAGETEFSKDASFGYKSSNLREWVEEKTKGRIAASSVVSISIPLLRTGGPTAVCDLLCSLQKGSTCIVNAASERDMVVFAAGMIQAKRKGKRFLCRTAASFVSARIGIKPKPPMRPSDLGLNRNVTGGLIVVGSYVPTTTKQVEELKSQLGHILKCVEISVEKVAMKSEEDREEEISQAAEMANTLLSLHRDTLLMTSRKLITGKTPSESLEINYKVSSALVDIVRRINVRPHYILAKGGITSSDIATKALEAKCAKVIGQALAGVPLWQLGPDSRHPGVPYIVFPGNVGDNNALADVVKTWARPSRLSTKDLLLNAEKGGYAVGAFNVYNLEGIEAVVAAAEAENSPAILQVHPGAMKYGGLPLVACCISAAEQATVPITVHFDHGSSKSELVEALELGFDSVMVDSSHLPLMENISYTKYISVLAHSKGMLVEAELGRLSGTEDDLTVEDYEAKLTDASQAQEFIDKTGIDALAVCIGNVHGTYPAGGPNLRLNLLKDLRTVTLNRGVSLVLHGASGLSKELVKECIELGVRKFNVNTEVRNAYLEAVRKPNKDLVHVMTAAKEAMKAVVAEKIHLFGSAGKA >DRNTG_26818.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1593641:1612672:1 gene:DRNTG_26818 transcript:DRNTG_26818.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLKVFFLGEAGSVAALPAGASVMLSSTVSPAYVKHLDQRLEDQQKSLKLVDAPVSGGVKRAADGTLTIMASGADEALASTVSVLSALSEKLYVIKGGCGAASSVKMVNQLLAGVHIAAAAEAMAFGARLGLNTRMLFEIINHERGFSWMFENRVPHMLDNDYTPYSAIDIFVKDLGIVSAESSLLKLPLPIASAAHQLFVSGSASGWGRYDDAAVVKVYETLTGVKVEGKLSVRNKENVLKSLPPEWPENPIDDLHAKEHQNSSKVLVVLDDDPTGTQTVHDIEVLTQWNVEALIEQFCKNPVCFFILTNSRSLSSEKAALLTKDICQNLDIAAQRVKGIKYTVVLRGDSTLRGHFPEEADAVVSILGEMDAWIICPFFLQGGRYTIDDIHYVADSDSLVPAGETEFSKDASFGYKSSNLREWVEEKTKGRIAASSVVSISIPLLRTGGPTAVCDLLCSLQKGSTCIVNAASERDMVVFAAGMIQAKRKGKRFLCRTAASFVSARIGIKPKPPMRPSDLGLNRNVTGGLIVVGSYVPTTTKQVEELKSQLGHILKCVEISVEKVAMKSEEDREEEISQAAEMANTLLSLHRDTLLMTSRKLITGKTPSESLEINYKVSSALVDIVRRINVRPHYILAKGGITSSDIATKALEAKCAKVIGQALAGVPLWQLGPDSRHPGVPYIVFPGNVGDNNALADVVKTWARPSRLSTKDLLLNAEKGGYAVGAFNVYNLEGIEAVVAAAEAENSPAILQVHPGAMKYGGLPLVACCISAAEQATVPITVHFDHGSSKSELVEALELGFDSVMVDSSHLPLMENISYTKYISVLAHSKGMLVEAELGRLSGTEDDLTVEDYEAKLTDASQAQEFIDKTGIDALAVCIGNVHGTYPAGGPNLRLNLLKDLRTVTLNRGVSLVLHGASGLSKELVKECIELGVRKFNVNTEVRNAYLEAVRKPNKDLVHVMTAAKEAMKAVVAEKIHLFGSAGKA >DRNTG_26818.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1591648:1612672:1 gene:DRNTG_26818 transcript:DRNTG_26818.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLKVFFLGEAGSVAALPAGASVMLSSTVSPAYVKHLDQRLEDQQKSLKLVDAPVSGGVKRAADGTLTIMASGADEALASTVSVLSALSEKLYVIKGGCGAASSVKMVNQLLAGVHIAAAAEAMAFGARLGLNTRMLFEIINHERGFSWMFENRVPHMLDNDYTPYSAIDIFVKDLGIVSAESSLLKLPLPIASAAHQLFVSGSASGWGRYDDAAVVKVYETLTGVKVEGKLSVRNKENVLKSLPPEWPENPIDDLHAKEHQNSSKVLVVLDDDPTGTQTVHDIEVLTQWNVEALIEQFCKNPVCFFILTNSRSLSSEKAALLTKDICQNLDIAAQRVKGIKYTVVLRGDSTLRGHFPEEADAVVSILGEMDAWIICPFFLQGGRYTIDDIHYVADSDSLVPAGETEFSKDASFGYKSSNLREWVEEKTKGRIAASSVVSISIPLLRTGGPTAVCDLLCSLQKGSTCIVNAASERDMVVFAAGMIQAKRKGKRFLCRTAASFVSARIGIKPKPPMRPSDLGLNRNVTGGLIVVGSYVPTTTKQVEELKSQLGHILKCVEISVEKVAMKSEEDREEEISQAAEMANTLLSLHRDTLLMTSRKLITGKTPSESLEINYKVSSALVDIVRRINVRPHYILAKGGITSSDIATKALEAKCAKVIGQALAGVPLWQLGPDSRHPGVPYIVFPGNVGDNNALADVVKTWARPSRLSTKDLLLNAEKGGYAVGAFNVYNLEGIEAVVAAAEAENSPAILQVHPGAMKYGGLPLVACCISAAEQATVPITVHFDHGSSKSELVEALELGFDSVMVDSSHLPLMENISYTKYISVLAHSKGMLVEAELGRLSGTEDDLTVEDYEAKLTDASQAQEFIDKTGIDALAVCIGNVHGTYPAGGPNLRLNLLKDLRTVTLNRGVSLVLHGASGLSKELVKECIELGVRKFNVNTEVRNAYLEAVRKPNKDLVHVMTAAKEAMKAVVAEKIHLFGSAGKA >DRNTG_26818.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1596152:1612672:1 gene:DRNTG_26818 transcript:DRNTG_26818.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWIICPFFLQGGRYTIDDIHYVADSDSLVPAGETEFSKDASFGYKSSNLREWVEEKTKGRIAASSVVSISIPLLRTGGPTAVCDLLCSLQKGSTCIVNAASERDMVVFAAGMIQAKRKGKRFLCRTAASFVSARIGIKPKPPMRPSDLGLNRNVTGGLIVVGSYVPTTTKQVEELKSQLGHILKCVEISVEKVAMKSEEDREEEISQAAEMANTLLSLHRDTLLMTSRKLITGKTPSESLEINYKVSSALVDIVRRINVRPHYILAKGGITSSDIATKALEAKCAKVIGQALAGVPLWQLGPDSRHPGVPYIVFPGNVGDNNALADVVKTWARPSRLSTKDLLLNAEKGGYAVGAFNVYNLEGIEAVVAAAEAENSPAILQVHPGAMKYGGLPLVACCISAAEQATVPITVHFDHGSSKSELVEALELGFDSVMVDSSHLPLMENISYTKYISVLAHSKGMLVEAELGRLSGTEDDLTVEDYEAKLTDASQAQEFIDKTGIDALAVCIGNVHGTYPAGGPNLRLNLLKDLRTVTLNRGVSLVLHGASGLSKELVKECIELGVRKFNVNTEVRNAYLEAVRKPNKDLVHVMTAAKEAMKAVVAEKIHLFGSAGKA >DRNTG_26818.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1593946:1612672:1 gene:DRNTG_26818 transcript:DRNTG_26818.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLKVFFLGEAGSVAALPAGASVMLSSTVSPAYVKHLDQRLEDQQKSLKLVDAPVSGGVKRAADGTLTIMASGADEALASTVSVLSALSEKLYVIKGGCGAASSVKMVNQLLAGVHIAAAAEAMAFGARLGLNTRMLFEIINHERGFSWMFENRVPHMLDNDYTPYSAIDIFVKDLGIVSAESSLLKLPLPIASAAHQLFVSGSASGWGRYDDAAVVKVYETLTGVKVEGKLSVRNKENVLKSLPPEWPENPIDDLHAKEHQNSSKVLVVLDDDPTGTQTVHDIEVLTQWNVEALIEQFCKNPVCFFILTNSRSLSSEKAALLTKDICQNLDIAAQRVKGIKYTVVLRGDSTLRGHFPEEADAVVSILGEMDAWIICPFFLQGGRYTIDDIHYVADSDSLVPAGETEFSKDASFGYKSSNLREWVEEKTKGRIAASSVVSISIPLLRTGGPTAVCDLLCSLQKGSTCIVNAASERDMVVFAAGMIQAKRKGKRFLCRTAASFVSARIGIKPKPPMRPSDLGLNRNVTGGLIVVGSYVPTTTKQVEELKSQLGHILKCVEISVEKVAMKSEEDREEEISQAAEMANTLLSLHRDTLLMTSRKLITGKTPSESLEINYKVSSALVDIVRRINVRPHYILAKGGITSSDIATKALEAKCAKVIGQALAGVPLWQLGPDSRHPGVPYIVFPGNVGDNNALADVVKTWARPSRLSTKDLLLNAEKGGYAVGAFNVYNLEGIEAVVAAAEAENSPAILQVHPGAMKYGGLPLVACCISAAEQATVPITVHFDHGSSKSELVEALELGFDSVMVDSSHLPLMENISYTKYISVLAHSKGMLVEAELGRLSGTEDDLTVEDYEAKLTDASQAQEFIDKTGIDALAVCIGNVHGTYPAGGPNLRLNLLKDLRTVTLNRGVSLVLHGASGLSKELVKECIELGVRKFNVNTEVRNAYLEAVRKPNKDLVHVMTAAKEAMKAVVAEKIHLFGSAGKA >DRNTG_26818.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1598936:1612672:1 gene:DRNTG_26818 transcript:DRNTG_26818.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVNQLLAGVHIAAAAEAMAFGARLGLNTRMLFEIINHERGFSWMFENRVPHMLDNDYTPYSAIDIFVKDLGIVSAESSLLKLPLPIASAAHQLFVSGSASGWGRYDDAAVVKVYETLTGVKVEGKLSVRNKENVLKSLPPEWPENPIDDLHAKEHQNSSKVLVVLDDDPTGTQTVHDIEVLTQWNVEALIEQFCKNPVCFFILTNSRSLSSEKAALLTKDICQNLDIAAQRVKGIKYTVVLRGDSTLRGHFPEEADAVVSILGEMDAWIICPFFLQGGRYTIDDIHYVADSDSLVPAGETEFSKDASFGYKSSNLREWVEEKTKGRIAASSVVSISIPLLRTGGPTAVCDLLCSLQKGSTCIVNAASERDMVVFAAGMIQAKRKGKRFLCRTAASFVSARIGIKPKPPMRPSDLGLNRNVTGGLIVVGSYVPTTTKQVEELKSQLGHILKCVEISVEKVAMKSEEDREEEISQAAEMANTLLSLHRDTLLMTSRKLITGKTPSESLEINYKVSSALVDIVRRINVRPHYILAKGGITSSDIATKALEAKCAKVIGQALAGVPLWQLGPDSRHPGVPYIVFPGNVGDNNALADVVKTWARPSRLSTKDLLLNAEKGGYAVGAFNVYNLEGIEAVVAAAEAENSPAILQVHPGAMKYGGLPLVACCISAAEQATVPITVHFDHGSSKSELVEALELGFDSVMVDSSHLPLMENISYTKYISVLAHSKGMLVEAELGRLSGTEDDLTVEDYEAKLTDASQAQEFIDKTGIDALAVCIGNVHGTYPAGGPNLRLNLLKDLRTVTLNRGVSLVLHGASGLSKELVKECIELGVRKFNVNTEVRNAYLEAVRKPNKDLVHVMTAAKEAMKAVVAEKIHLFGSAGKA >DRNTG_26818.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1591648:1593058:1 gene:DRNTG_26818 transcript:DRNTG_26818.13 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEIVGFIGLDELSLELASSLIRSGFRVQGFEEKNGGFLDKFLLLGGVRCDNLIDVVKGATTLFVVTEFGGNSNLPLGDNGFINDSLSNFVFEAFFSTICLK >DRNTG_26818.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1596320:1612672:1 gene:DRNTG_26818 transcript:DRNTG_26818.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLKVFFLGEAGSVAALPAGASVMLSSTVSPAYVKHLDQRLEDQQKSLKLVDAPVSGGVKRAADGTLTIMASGADEALASTVSVLSALSEKLYVIKGGCGAASSVKMVNQLLAGVHIAAAAEAMAFGARLGLNTRMLFEIINHERGFSWMFENRVPHMLDNDYTPYSAIDIFVKDLGIVSAESSLLKLPLPIASAAHQLFVSGSASGWGRYDDAAVVKVYETLTGVKVEGKLSVRNKENVLKSLPPEWPENPIDDLHAKEHQNSSKVLVVLDDDPTGTQTVHDIEVLTQWNVEALIEQFCKNPVCFFILTNSRSLSSEKAALLTKDICQNLDIAAQRVKGIKYTVVLRGDSTLRGHFPEEADAVVSILGEMDAWIICPFFLQGGRYTIDDIHYVADSDSLVPAGETEFSKDASFGYKSSNLREWVEEKTKGRIAASSVVSISIPLLRTGGPTAVCDLLCSLQKGSTCIVNAASERDMVVFAAGMIQAKRKGKRFLCRTAASFVSARIGIKPKPPMRPSDLGLNRNVTGGLIVVGSYVPTTTKQVEELKSQLGHILKCVEISVEKVAMKSEEDREEEISQAAEMANTLLSLHRDTLLMTSRKLITGKTPSESLEINYKVSSALVDIVRRINVRPHYILAKGGITSSDIATKALEAKCAKVIGQALAGVPLWQLGPDSRHPGVPYIVFPGNVGDNNALADVVKTWARPSRLSTKDLLLNAEKGGYAVGAFNVYNLEGIEAVVAAAEAENSPAILQVHPGAMKYGGLPLVACCISAAEQATVPITVHFDHGSSKSELVEALELGFDSVMVDSSHLPLMENISYTKYISVLAHSKGMLVEAELGRLSGTEDDLTVEDYEAKLTDASQAQEFIDKTGIDALAVCIGNVHGTYPAGGPNLRLNLLKDLRTVTLNRGVSLVLHGASGLSKELVKECIELGVRKFNVNTEVRNAYLEAVRKPNKDLVHVMTAAKEAMKAVVAEKIHLFGSAGKA >DRNTG_26818.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1597337:1612672:1 gene:DRNTG_26818 transcript:DRNTG_26818.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLKVFFLGEAGSVAALPAGASVMLSSTVSPAYVKHLDQRLEDQQKSLKLVDAPVSGGVKRAADGTLTIMASGADEALASTVSVLSALSEKLYVIKGGCGAASSVKMVNQLLAGVHIAAAAEAMAFGARLGLNTRMLFEIINHERGFSWMFENRVPHMLDNDYTPYSAIDIFVKDLGIVSAESSLLKLPLPIASAAHQLFVSGSASGWGRYDDAAVVKVYETLTGVKVEGKLSVRNKENVLKSLPPEWPENPIDDLHAKEHQNSSKVLVVLDDDPTGTQTVHDIEVLTQWNVEALIEQFCKNPVCFFILTNSRSLSSEKAALLTKDICQNLDIAAQRVKGIKYTVVLRGDSTLRGHFPEEADAVVSILGEMDAWIICPFFLQGGRYTIDDIHYVADSDSLVPAGETEFSKDASFGYKSSNLREWVEEKTKGRIAASSVVSISIPLLRTGGPTAVCDLLCSLQKGSTCIVNAASERDMVVFAAGMIQAKRKGKRFLCRTAASFVSARIGIKPKPPMRPSDLGLNRNVTGGLIVVGSYVPTTTKQVEELKSQLGHILKCVEISVEKVAMKSEEDREEEISQAAEMANTLLSLHRDTLLMTSRKLITGKTPSESLEINYKVSSALVDIVRRINVRPHYILAKGGITSSDIATKALEAKCAKVIGQALAGVPLWQLGPDSRHPGVPYIVFPGNVGDNNALADVVKTWARPSRLSTKDLLLNAEKGGYAVGAFNVYNLEGIEAVVAAAEAENSPAILQVHPGAMKYGGLPLVACCISAAEQATVPITVHFDHGSSKSELVEALELGFDSVMVDSSHLPLMENISYTKYISVLAHSKGMLVEAELGRLSGTEDDLTVEDYEAKLTDASQAQEFIDKTGIDALAVCIGNVHGTYPAGGPNLRLNLLKDLRTVTLNRGVSLVLHGASGLSKELVKECIELGVRKFNVNTEVRNAYLEAVRKPNKDLVHVMTAAKEAMKAVVAEKIHLFGSAGKA >DRNTG_26818.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1591648:1593058:1 gene:DRNTG_26818 transcript:DRNTG_26818.12 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEIVGFIGLDELSLELASSLIRSGFRVQGFEEKNGGFLDKFLLLGGVRCDNLIDVVKGATTLFVVTEFGGNSNLPLGDNGLHSDVVVILQSTLSPSYINKLQKSLTGKQYVL >DRNTG_23710.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:694868:696834:-1 gene:DRNTG_23710 transcript:DRNTG_23710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVEKANRDAVQSSQRVLNLISQSKEQQMLPFRSLMAETRDAVSKFKKVVTLLSISNGGGQARAKIVKKSQIPIAHTMFIDNQFARNPYSLNHHKHLMWNADMFRLCSSSTTTTLSSNMSFVSSLSMDDKPFQMIGRPISSELVTRNTTNARCHCSKKRKNRVKRSIMVPAISNKLADIPSDDYSWRKYGQKPIKGSPYPRGYYKCSSKRGCPARKHVERCFQEPSMLIVTYEGEHNHTD >DRNTG_23710.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:694868:696834:-1 gene:DRNTG_23710 transcript:DRNTG_23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVEKANRDAVQSSQRVLNLISQSKEQQMLPFRSLMAETRDAVSKFKKVVTLLSISNGGGQARAKIVKKSQIPIAHTMFIDNQFARNPYSLNHHKHLMWNADMFRLCSSSTTTTLSSNMSFVSSLSMDDKPFQMIGRPISSELVTRNTTNARCHCSKKRKNRVKRSIMVPAISNKLADIPSDDYSWRKYGQKPIKGSPYPRGYYKCSSKRGCPARKHVERCFQEPSMLIVTYEGEHNHTD >DRNTG_23710.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:694868:696834:-1 gene:DRNTG_23710 transcript:DRNTG_23710.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAISNKLADIPSDDYSWRKYGQKPIKGSPYPRGYYKCSSKRGCPARKHVERCFQEPSMLIVTYEGEHNHTD >DRNTG_23710.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:694868:696834:-1 gene:DRNTG_23710 transcript:DRNTG_23710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAISNKLADIPSDDYSWRKYGQKPIKGSPYPRGYYKCSSKRGCPARKHVERCFQEPSMLIVTYEGEHNHTD >DRNTG_23710.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:694868:696834:-1 gene:DRNTG_23710 transcript:DRNTG_23710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVEKANRDAVQSSQRVLNLISQSKEQQMLPFRSLMAETRDAVSKFKKVVTLLSISNGGGQARAKIVKKSQIPIAHTMFIDNQFARNPYSLNHHKHLMWNADMFRLCSSSTTTTLSSNMSFVSSLSMDDKPFQMIGRPISSELVTRNTTNARCHCSKKRKNRVKRSIMVPAISNKLADIPSDDYSWRKYGQKPIKGSPYPRGYYKCSSKRGCPARKHVERCFQEPSMLIVTYEGEHNHTD >DRNTG_13591.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000622.1:37412:37695:-1 gene:DRNTG_13591 transcript:DRNTG_13591.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYINHNFHDNKTKAKRDNQDLPQQ >DRNTG_17836.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4689129:4692559:-1 gene:DRNTG_17836 transcript:DRNTG_17836.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISGCRTKMLSRQWMYNRNLPGRKGLIDEFIAGVDEFIQFAINQDDSYKNGENIRCPCFKCKNTQFVHPNDVVTHLYRRGFKQCYWNWTCHGEELFPTSDEQNDVQDRIVEQVTHWGDYGQMTWDQRMVYDCIRSYVPPLDRSHCNNEAGPRVQPALDEPISNFQGGERSQLSDRFFYVLKVADQPLYEGCENHSQLSFVARMLSIKSDANMSEADFNTMITAIREVLPSDNTMPTDYYHHRKTMNELGLPVVKIDACKNGCMLYWKHDDMHISCKFYNEPRYKQIKGRHKLPDN >DRNTG_17836.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4689129:4692559:-1 gene:DRNTG_17836 transcript:DRNTG_17836.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPSGSAASACIMIRTKMLSRQWMYNRNLPGRKGLIDEFIAGVDEFIQFAINQDDSYKNGENIRCPCFKCKNTQFVHPNDVVTHLYRRGFKQCYWNWTCHGEELFPTSDEQNDVQDRIVEQVTHWGDYGQMTWDQRMVYDCIRSYVPPLDRSHCNNEAGPRVQPALDEPISNFQGGERSQLSDRFFYVLKVADQPLYEGCENHSQLSFVARMLSIKSDANMSEADFNTMITAIREVLPSDNTMPTDYYHHRKTMNELGLPVVKIDACKNGCMLYWKHDDMHISCKFYNEPRYKQIKGRHKLPDN >DRNTG_17836.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4684680:4692559:-1 gene:DRNTG_17836 transcript:DRNTG_17836.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVVWEGLQRYWELEEFKRISEKNKQNRPEIGSSSTVIYRGGSVSTAVHRLRL >DRNTG_17836.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4684680:4685748:-1 gene:DRNTG_17836 transcript:DRNTG_17836.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVVWEGLQRYWELEEFKRISEKNKQNRPEIGSSSTVIYRGGSVSTAVHRLRL >DRNTG_13092.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2563487:2567719:1 gene:DRNTG_13092 transcript:DRNTG_13092.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--nitrite reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G15620) UniProtKB/Swiss-Prot;Acc:Q39161] MASSASLHQFLTLPIHTSRLRHHRLGAATSIPAQTASDVDAARLEPRVEERDGYWVLKEEYRAGINPQEKVKLERDPMKLVLEDGIKELAAMPMEDIDGSKISKDDIDVRLKWLGLFHRRKHQYGRFMMRLKLPNGVTTSEQTRYLASVIDGYGKEGCADVTTRQNWQIRGVELPDVPEIIDGLMRVGLTSLQSGMDNVRNPVGNPLAGIDPHEIVDTRPYTNLLSAFITANSCGNAAISNLPRKWNVCVVGSHDLYEHPHINDLAYMPAMKNGRLGFNLLVGGFFSPKRCAEAVPLDAWVPGDDIIPVCKAVLEAYRDLGTRGNRQKTRMMWLIDELGIEVFRSEVEKRMPGQVLDRAAGDDLIQKQWERRDYLGVHAQKQPGLSFVGLHIPVGRVQASDMFELARLADVYGSSELRLTVEQNIIIPNIKNSDIEALLNEPLLKELFSPEPSLLMRGLVACTGNQFCGQAIIETKARALKVTEEVERIVSVPRTVRMHWTGCPNSCGQVQVADIGFMGCMTRDSDKKPCEGADVYLGGRVGSDSHLGDVYKKGVPCKDLAPLVAEILVEHFGAVPRVREDDEEEIGGN >DRNTG_09897.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29264013:29271299:1 gene:DRNTG_09897 transcript:DRNTG_09897.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPCSQFLLGSPLLLFPPLAMASGGNGPPPVSKPPPPRTWAQIASAAARNSENSPLYNPQLLSKIKSSTSQFVRLDDDSLARARMRFQHSLYGKFFGKPPPFEQVKLSLLAKWMDIGEVSISDLPNGFLLIRLGRWREIPDPCGDSTQRGQDSLILPCGGGDRANSFPFPKQGQGSPT >DRNTG_09837.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13607390:13611700:1 gene:DRNTG_09837 transcript:DRNTG_09837.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMVVCVTGASGFIASWLVKLLLDRGYTIKATVRDLGDPKKTEHLRALDGASERLKLFKANLQEEGSFDVAVDGCECVFHTASPCFVNSTDPQAELIDPAVKGTLNVLGSCVKFPSIKRVVVTSSVAAVNFNGRPRTPNVIVDETWFSAAEFCERAKLWYPLSKTLAEECAWKFSKDNGIDLVIINPSMVIGPILQPTLNTSVAIILNLINGASTYPNSTYGIVNVKDVALAHVLAFEVPSANGRYCLAERVAHLSELVKLIHELYPTFQLPHKCEDEQPFVPTYQVSKEKAKSLGIAYIPLETSLKETIESLKEKGFVTF >DRNTG_09837.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13607390:13611700:1 gene:DRNTG_09837 transcript:DRNTG_09837.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMVVCVTGASGFIASWLVKLLLDRGYTIKATVRDLGDPKKTEHLRALDGASERLKLFKANLQEEGSFDVAVDGCECVFHTASPCFVNSTDPQVPLTICLLMLKL >DRNTG_24225.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19782372:19792066:1 gene:DRNTG_24225 transcript:DRNTG_24225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVGGLKNVESSAFSGDGAPASDQAATPASLMKLILSSMVAAGVQYGWALQVALLTSYVQTLGLPHSLASMMWLCGPVSAFIVQPTVGVWSDRCHSRFGRRRPFIFAGCLLICTSALVIAFSSDIGYALGDTKEHCSVYTGKRWKATIVYVIGFWMLDSANNAAHAPTRALMADLAGPGRFTAANAVMCLWFAVGNILGYSSGATRSWHRWFPFLNTRACCETCANLKGAFLIDIVFLLFCSSISLFFAKEAPLEGKTGMVIANEQKSGVIELLKSLRHLPPGMRPVLIVSFLSWLSWFSFFLYNNDWMGREIHHGDPEGTQAQRKAYENGIRDGSFGLLLNSIMLGIGSFLLEPICRKLTTRVVWAISNFILFFAFASMCIVSIWSTNGYSYGVNKEEEVNNKVRVVALLIFAALGFPLTVLFSVPPAVAAQLADIGGTGQGLNVGILTIFSVIPQVIISVSAGPWDALFHKGNLPAFAVASFFAFVSAFVAFFVLPKLKTSTFSASH >DRNTG_24225.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19783551:19784136:1 gene:DRNTG_24225 transcript:DRNTG_24225.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMWLCGPVSAFIVQPTVGVWSDRCHSRFGRRRPFIFAGCLLICTSALVIAFSSDIGYALGDTKEHCSVYTGKRWKATIVYVIGFWMLDSANNAAH >DRNTG_24225.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19788399:19792066:1 gene:DRNTG_24225 transcript:DRNTG_24225.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGREIHHGDPEGTQAQRKAYENGIRDGSFGLLLNSIMLGIGSFLLEPICRKLTTRVVWAISNFILFFAFASMCIVSIWSTNGYSYGVNKEEEVNNKVRVVALLIFAALGFPLTVLFSVPPAVAAQLADIGGTGQGLNVGILTIFSVIPQVIISVSAGPWDALFHKGNLPAFAVASFFAFVSAFVAFFVLPKLKTSTFSASH >DRNTG_26590.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20078104:20079634:-1 gene:DRNTG_26590 transcript:DRNTG_26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELLSRRMVSAIVLVMLLLITHEMGTMTVVDARKCTSQSHKFKGQCWSDTNCANVCHNEGFPGGECMGFRRRCFCKKECH >DRNTG_26590.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20078104:20078838:-1 gene:DRNTG_26590 transcript:DRNTG_26590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELLSRRMVSAIVLVMLLLITHEMGTMTVVDARKCTSQSHKFKGQCWSDTNCANVCHNEGFPGGECMGFRRRCFCKKECH >DRNTG_23095.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19808227:19808845:-1 gene:DRNTG_23095 transcript:DRNTG_23095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLVGQSLLPHLFKAYIKKQSGLPIKALRSDRGGEFTSKAFEVFCEKHGVQCHLTAPYSPQHNGVAERKN >DRNTG_20220.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21001623:21002259:-1 gene:DRNTG_20220 transcript:DRNTG_20220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERFPPFYEEEDDDDEHHLHHQLQQEQHGLLSCWGKLKHVFPWRKLRRHVRRRHSRVPVKRGSFKYDPLSYAQNFDDGGKDEDEENLSRGFSSRFVALNASTSIKQSGGG >DRNTG_20220.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21000978:21002259:-1 gene:DRNTG_20220 transcript:DRNTG_20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFPPFYEEEDDDDEHHLHHQLQQEQHGLLSCWGKLKHVFPWRKLRRHVRRRHSRVPVKRGSFKYDPLSYAQNFDDGGKDEDEENLSRGFSSRFVALNASTSIKQSGGG >DRNTG_20220.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21001557:21002457:-1 gene:DRNTG_20220 transcript:DRNTG_20220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERFPPFYEEEDDDDEHHLHHQLQQEQHGLLSCWGKLKHVFPWRKLRRHVRRRHSRVPVKRGSFKYDPLSYAQNFDDGGKDEDEENLSRGFSSRFVALNASTSIKQSGGG >DRNTG_20220.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21001662:21002259:-1 gene:DRNTG_20220 transcript:DRNTG_20220.5 gene_biotype:protein_coding transcript_biotype:protein_coding MERFPPFYEEEDDDDEHHLHHQLQQEQHGLLSCWGKLKHVFPWRKLRRHVRRRHSRVPVKRGSFKYDPLSYAQNFDDGGKDEDEENLSRGFSSRFVALNASTSIKQSGGG >DRNTG_20220.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21001662:21002457:-1 gene:DRNTG_20220 transcript:DRNTG_20220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERFPPFYEEEDDDDEHHLHHQLQQEQHGLLSCWGKLKHVFPWRKLRRHVRRRHSRVPVKRGSFKYDPLSYAQNFDDGGKDEDEENLSRGFSSRFVALNASTSIKQSGGG >DRNTG_19656.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2984259:2986599:-1 gene:DRNTG_19656 transcript:DRNTG_19656.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SCARECROW [Source:Projected from Arabidopsis thaliana (AT3G54220) UniProtKB/Swiss-Prot;Acc:Q9M384] MALFSDSPPFPFPPPPPPTNTPPNPLLNLLFLDNHHHSLPSSSSSMARKRPASDLDLHDTPRPTRPRLPDPLPPPPPPPPPQVCGFSGLPLFPSDLDSTTTSTPFPSLPPPSQDDSPPSSTIWIDTFIRDLLGSSAAADVSIPQLIQNVRDIVHPCNPSLASALELRLLSLSSPLRPRSDLPSSSSPAPPPPPPPPRPSDDQPPTLPPKDHHREELRQRKRDEEGLHILTLLLQCAEAVAADNLEEANRLLLEISELATPFGTSAQRVAAYFSEAMSARLVSSCLGIYAPLPPSLAPFQRHRLASAFQLFNGISPFVKFSHFTANQAIQEAFEREDRVHIIDLDIMQGLQWPALFNILATRPGGPPRVRLTGLGSSLDSLEATGKCLSDFADSLGLSFEFHAVADKVGNLDPDRLGVSRREAVAVHWLHHSLYDVTGSDTNTLWLLQRLAPKVVTMVEQDLSQAGPFLARFVEAIHYYSALFDSLGASYGEDSHERHVVEQQVLSREIRNVLAVGGPARTGEVKFGNWREKLSQSGFRGVSLAGNAAVQANLLLGMFPCEGYTLVEENGTLKLGWKDLCLLTASAWRPISHSNNNTGILGMNR >DRNTG_01666.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23891281:23897602:-1 gene:DRNTG_01666 transcript:DRNTG_01666.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGRIDEQAIEGGINGTAATNSCSQLPEW >DRNTG_01666.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23891281:23897602:-1 gene:DRNTG_01666 transcript:DRNTG_01666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSRVRLSGVSNGGDPLRCLRRETQ >DRNTG_06793.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11878449:11880969:1 gene:DRNTG_06793 transcript:DRNTG_06793.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIEHHPSPSCSHHFLSPPSSSTNLYTPLSLVSFHAAQCPHSVWH >DRNTG_06793.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11878449:11878907:1 gene:DRNTG_06793 transcript:DRNTG_06793.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIEHHPSPSCSHHFLSPPSSSTNLYTPLSLVSFHAAQCPHSVWH >DRNTG_33399.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21769349:21769898:-1 gene:DRNTG_33399 transcript:DRNTG_33399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSRKLAKLVNVELVIRDGIPVIRKFSGRGTVIVDVGTVFVTLICSKGVVSGLQPFRL >DRNTG_03021.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29460503:29462576:-1 gene:DRNTG_03021 transcript:DRNTG_03021.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rnase III family protein, Shoot apical meristem (SAM) formation and maintenanc [Source: Projected from Oryza sativa (Os04g0509300)] MEEEAKGYRHKSKSLEEIVQSTHKREAQLIGYDEECMVIDPGDLLEANFTETQLDLDWQEEQEVPPSGDHSHHEQSNLSKWASGESSAHTDKLQNNSHDPALQQQIQQNMDISNDYMETIGNKSAKSKLYEICAAHYWNRPEFVCCKEEGPSHLRMFTCMVSVKADGINSVLLECESDPKPQKKAAKEHAAQGALWCLKHLGYLPKQ >DRNTG_03021.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29460503:29464549:-1 gene:DRNTG_03021 transcript:DRNTG_03021.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rnase III family protein, Shoot apical meristem (SAM) formation and maintenanc [Source: Projected from Oryza sativa (Os04g0509300)] MLLEHNFRYSGDYLYVLNFQVYICNESFEPPQFFALGRPCMVSCNIDTKSTTCYQRGQDIDADVAEAHKSKCSKSHRWLHRKTIADVVEALVGAFLVESGFRAATAFLRWLGIQVEFEILDVHRVLRESKVNLSLFGKINIPALEESLGYKFRHKGLLLQAFVHPSYQKHSGGCYQNLEFLGDAVMEYLVTSYLYSVYPDLKPGQLTDLRSITVNNNSLALIATQQFFHLYLLKDSSGLTQAINEFVSYVHLSELEQEQLEEPSFPKVLGDIVESSIGAILLDSGFNLELVWKIMLKLLNPILSFSSLKLNPVRELRELCQFKNFELQFPDHEKVKGDYLVRIEIRANGELLSFTATNHSSKVARRMAAQEALRELKAKGYRHKSKSLEEIVQSTHKREAQLIGYDEECMVIDPGDLLEANFTETQLDLDWQEEQEVPPSGDHSHHEQSNLSKWASGESSAHTDKLQNNSHDPALQQQIQQNMDISNDYMETIGNKSAKSKLYEICAAHYWNRPEFVCCKEEGPSHLRMFTCMVSVKADGINSVLLECESDPKPQKKAAKEHAAQGALWCLKHLGYLPKQ >DRNTG_03021.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29460503:29476774:-1 gene:DRNTG_03021 transcript:DRNTG_03021.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rnase III family protein, Shoot apical meristem (SAM) formation and maintenanc [Source: Projected from Oryza sativa (Os04g0509300)] MHRLESLLLAVELKDVMSRSFREASEISGDCILEALTTEKCLERLSLERFEVLGDAFLKYAVGRHSFLSFEGLDEGQLTKRRSSIVNNANLYDLAIKNNLQVYICNESFEPPQFFALGRPCMVSCNIDTKSTTCYQRGQDIDADVAEAHKSKCSKSHRWLHRKTIADVVEALVGAFLVESGFRAATAFLRWLGIQVEFEILDVHRVLRESKVNLSLFGKINIPALEESLGYKFRHKGLLLQAFVHPSYQKHSGGCYQNLEFLGDAVMEYLVTSYLYSVYPDLKPGQLTDLRSITVNNNSLALIATQQFFHLYLLKDSSGLTQAINEFVSYVHLSELEQEQLEEPSFPKVLGDIVESSIGAILLDSGFNLELVWKIMLKLLNPILSFSSLKLNPVRELRELCQFKNFELQFPDHEKVKGDYLVRIEIRANGELLSFTATNHSSKVARRMAAQEALRELKAKGYRHKSKSLEEIVQSTHKREAQLIGYDEECMVIDPGDLLEANFTETQLDLDWQEEQEVPPSGDHSHHEQSNLSKWASGESSAHTDKLQNNSHDPALQQQIQQNMDISNDYMETIGNKSAKSKLYEICAAHYWNRPEFVCCKEEGPSHLRMFTCMVSVKADGINSVLLECESDPKPQKKAAKEHAAQGALWCLKHLGYLPKQ >DRNTG_03021.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29460503:29462576:-1 gene:DRNTG_03021 transcript:DRNTG_03021.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rnase III family protein, Shoot apical meristem (SAM) formation and maintenanc [Source: Projected from Oryza sativa (Os04g0509300)] MEEEAKGYRHKSKSLEEIVQSTHKREAQLIGYDEECMVIDPGDLLEANFTETQLDLDWQEEQEVPPSGDHSHHEQSNLSKWASGESSAHTDKLQNNSHDPALQQQIQQNMDISNDYMETIGNKSAKSKLYEICAAHYWNRPEFVCCKEEGPSHLRMFTCMVSVKADGINSVLLECESDPKPQKKAAKEHAAQGALWCLKHLGYLPKQ >DRNTG_03021.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29460503:29484201:-1 gene:DRNTG_03021 transcript:DRNTG_03021.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rnase III family protein, Shoot apical meristem (SAM) formation and maintenanc [Source: Projected from Oryza sativa (Os04g0509300)] MDYVPINDTLELLNGPVKKVDVLHSLIFTPHNKQFFFIDGIVDDINANSRRKGSKDISNAQHYKERFGIQLKHPDQPFLKAKQLFALRNLLHNRLQESTVVREIEEHFDVIPAELCCLKIVGFSKDIGSSLSLLPSLMHRLESLLLAVELKDVMSRSFREASEISGDCILEALTTEKCLERLSLERFEVLGDAFLKYAVGRHSFLSFEGLDEGQLTKRRSSIVNNANLYDLAIKNNLQVYICNESFEPPQFFALGRPCMVSCNIDTKSTTCYQRGQDIDADVAEAHKSKCSKSHRWLHRKTIADVVEALVGAFLVESGFRAATAFLRWLGIQVEFEILDVHRVLRESKVNLSLFGKINIPALEESLGYKFRHKGLLLQAFVHPSYQKHSGGCYQNLEFLGDAVMEYLVTSYLYSVYPDLKPGQLTDLRSITVNNNSLALIATQQFFHLYLLKDSSGLTQAINEFVSYVHLSELEQEQLEEPSFPKVLGDIVESSIGAILLDSGFNLELVWKIMLKLLNPILSFSSLKLNPVRELRELCQFKNFELQFPDHEKVKGDYLVRIEIRANGELLSFTATNHSSKVARRMAAQEALRELKAKGYRHKSKSLEEIVQSTHKREAQLIGYDEECMVIDPGDLLEANFTETQLDLDWQEEQEVPPSGDHSHHEQSNLSKWASGESSAHTDKLQNNSHDPALQQQIQQNMDISNDYMETIGNKSAKSKLYEICAAHYWNRPEFVCCKEEGPSHLRMFTCMVSVKADGINSVLLECESDPKPQKKAAKEHAAQGALWCLKHLGYLPKQ >DRNTG_03021.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29460503:29462576:-1 gene:DRNTG_03021 transcript:DRNTG_03021.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rnase III family protein, Shoot apical meristem (SAM) formation and maintenanc [Source: Projected from Oryza sativa (Os04g0509300)] MVIDPGDLLEANFTETQLDLDWQEEQEVPPSGDHSHHEQSNLSKWASGESSAHTDKLQNNSHDPALQQQIQQNMDISNDYMETIGNKSAKSKLYEICAAHYWNRPEFVCCKEEGPSHLRMFTCMVSVKADGINSVLLECESDPKPQKKAAKEHAAQGALWCLKHLGYLPKQ >DRNTG_09696.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000404.1:75084:75713:-1 gene:DRNTG_09696 transcript:DRNTG_09696.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFSQSSHFISTNQIWDKETCSFEQSCRFHT >DRNTG_09696.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000404.1:77443:77899:-1 gene:DRNTG_09696 transcript:DRNTG_09696.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARIVGKYCLGRMIGEGAFAKVKLAVDVHTNRQVAVKIIDKQTVIKNKIMYQIKREISTMKLLNHPHIVRIYE >DRNTG_09696.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000404.1:75084:77899:-1 gene:DRNTG_09696 transcript:DRNTG_09696.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIVGKYCLGRMIGEGAFAKVKLAVDVHTNRQVAVKIIDKQTVIKNKIMYQIKREISTMKLLNHPHIVRIYEVIATKTKIYLIMEYASGGQLTDRMCKGLTEREARRYFQQLIDAVDYCHSRGVYHRDLKPENLLLDSEGNLKVSDFGLSTLKKPGALLSTACGSPSYVAPEVITKKNYKGAAADVWSCGVVLFELLAGHLPFEDGSLTNLYRKITRAEYTCPSWFTANQRKLIARILNPSPRRRATITEIIGHEWFQVDYELSAEIEEEQESMKVENLSRTFDSKVKKKINNATKGKTSKFINAFQLISMSNGLNLSGLFHEQTTKIGSQYPIDETIEKIESTARAVNLIVNRMDCFQVNLHEIKSLSRYGSHVIEAKVIQLAPTACMVEISKSSGTPRIFEEFCRRLSNLLKNTGSQHSTDKIAKRPRSR >DRNTG_23760.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21184850:21185188:-1 gene:DRNTG_23760 transcript:DRNTG_23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSNALAALFLIFNLIFFVSASANTPYGTCPYDSLKLKVCVDVLDGLAKVVLGQSQMQPCCDLLPGVLDANVAACLCTAIQSTLLGTIPVNLNLLVNQCRWSLPNNFHCA >DRNTG_23806.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29624784:29626698:1 gene:DRNTG_23806 transcript:DRNTG_23806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIVSVAWHKYLLQLQQNPLRTKAITSGVLAGCSDAIAQKISGIKKLQLRRMLLIMLYGFAYGGPFGHFLHKLMDAIFKGKKGKATVAKKVLLEQLTTSPWNNMVFMFYYGLVVEGRQWSLVKNKIKKDYPSIQLTAWRFWPIVGWINYQYMPLQFRVLFHSFVASCWAIFLNLKARSVAVKNA >DRNTG_24867.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20136377:20151145:1 gene:DRNTG_24867 transcript:DRNTG_24867.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRKWSLCRSAVNAQGCELEEVNGLKLMDAWYEYIVNYQHGGKLVREGQVRYIKGSVTTFAVDLDKLGYWDLLGDVKELGDSLRKYIDLKKSVINMGMEGSNEGGEPGQPSNDVEGGNQNNETNRRPITIEADKVTGYNSDYIDDLDPGNYEDTSDRSSADDAKRYRSRKDYYDPNVPLKDFFIVAKRLGKIISGVVGIRPMHLKAMVRKEMGVFITDKVCRNAKAMGIIHAVKDQLPLLEHRMCPRHIYSMKSLVGGETAAKDLLANWPIEGWCLAYFGDVVQCEVIDNNMCEAFNGVLVEARNKPIITLVEEIRMYVMSRIVVKRGYVEKWKCNFGPSVVDKLEKERNKSGKWQVAWNGSSRHEIFWDNVAMHVRERYVVLLGNHTCSCGKWDKSGIPCQHRIVAIVFESADPFQGREYWPRSEDGPLEAPIARRMPGRPIKKRRREPLEGLNKGQAKLSRGGRAPHANPKNATLVARDDSRTTKKKKNKECSCYSWSRERSRRNEPRYATTSSGSVKILRGAQTE >DRNTG_27535.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21046411:21046785:-1 gene:DRNTG_27535 transcript:DRNTG_27535.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSPSKQTVTILGSGHTRRSHKGLMQP >DRNTG_26778.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3405801:3414940:-1 gene:DRNTG_26778 transcript:DRNTG_26778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRSTAPPLFSTSAAFFFFLSSNPARRIRLSRVSLLFSFPRRPIHAHRILAMAETSSPTHRHTNRLASEHSPYLLQHAHNPVDWYPWGEEAFEKARRGNIPIFLSIGYSTCHWCHVMEVESFENEEVARMLNDWFVSIKVDREERPDVDKVYMTYVQALYGGGGWPLSVFLSPSLKPLMGGTYFPPDDKYGRPGFKTVLRKVKEAWENKSEMLEKGATLAIEQLSEALSTTANSRKLSDDLSENSTERCAEQLASGYDPKFGGFGSAPKFPRPVEANLMLYESKKLMENGKVAEANTYLKMVCHSLQCMARGGVHDHIGGGFHRYSVDECWHVPHFEKMLYDQGQLANVYLDTFLITQDNFYASVARDILDYLRRDMVGKEGEIYSAEDADSAEYEGASRKKEGAFYIWTSKEVEDILGDSASLFKSHYYIKSSGNCDLSRMSDPHNEFKGQNVLIEKKEVPSMAVKSGLSVDEYSHVLGSCRQRLFDVRSKRPRPHLDDKVIVSWNGLAISAFARASKILKAEAKESKLYFPVVGCDPSDYLDVAEKAASFIKSKLYDTHTHRLQHSFRNGPSTAPGFLDDHAFLISGLLDLYEYGGKTEWLSWAIELQAAQDELFLDKEGGGYFNTPGEDPSVLLRVKEDYDGAEPSGNSVSAINLIRLSSLVSSERSDNYKRTAEHLLAVFEKRLKEQIIAVPLMCCAADMLSEPCRKQVVLAGDKSSSEFDAMVAAVHAFYDPNRMVILIDPSDKDEMEFWDNNNANLGLIAKNSSKDKKATAHVCQDFICSAPVTDLDALRLLLSKKTGSTSSS >DRNTG_26778.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3405801:3410355:-1 gene:DRNTG_26778 transcript:DRNTG_26778.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLYESKKLMENGKVAEANTYLKMVCHSLQCMARGGVHDHIGGGFHRYSVDECWHVPHFEKMLYDQGQLANVYLDTFLITQDNFYASVARDILDYLRRDMVGKEGEIYSAEDADSAEYEGASRKKEGAFYIWTSKEVEDILGDSASLFKSHYYIKSSGNCDLSRMSDPHNEFKGQNVLIEKKEVPSMAVKSGLSVDEYSHVLGSCRQRLFDVRSKRPRPHLDDKVIVSWNGLAISAFARASKILKAEAKESKLYFPVVGCDPSDYLDVAEKAASFIKSKLYDTHTHRLQHSFRNGPSTAPGFLDDHAFLISGLLDLYEYGGKTEWLSWAIELQAAQDELFLDKEGGGYFNTPGEDPSVLLRVKEDYDGAEPSGNSVSAINLIRLSSLVSSERSDNYKRTAEHLLAVFEKRLKEQIIAVPLMCCAADMLSEPCRKQVVLAGDKSSSEFDAMVAAVHAFYDPNRMVILIDPSDKDEMEFWDNNNANLGLIAKNSSKDKKATAHVCQDFICSAPVTDLDALRLLLSKKTGSTSSS >DRNTG_26778.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3405801:3414940:-1 gene:DRNTG_26778 transcript:DRNTG_26778.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESFENEEVARMLNDWFVSIKVDREERPDVDKVYMTYVQALYGGGGWPLSVFLSPSLKPLMGGTYFPPDDKYGRPGFKTVLRKVKEAWENKSEMLEKGATLAIEQLSEALSTTANSRKLSDDLSENSTERCAEQLASGYDPKFGGFGSAPKFPRPVEANLMLYESKKLMENGKVAEANTYLKMVCHSLQCMARGGVHDHIGGGFHRYSVDECWHVPHFEKMLYDQGQLANVYLDTFLITQDNFYASVARDILDYLRRDMVGKEGEIYSAEDADSAEYEGASRKKEGAFYIWTSKEVEDILGDSASLFKSHYYIKSSGNCDLSRMSDPHNEFKGQNVLIEKKEVPSMAVKSGLSVDEYSHVLGSCRQRLFDVRSKRPRPHLDDKVIVSWNGLAISAFARASKILKAEAKESKLYFPVVGCDPSDYLDVAEKAASFIKSKLYDTHTHRLQHSFRNGPSTAPGFLDDHAFLISGLLDLYEYGGKTEWLSWAIELQAAQDELFLDKEGGGYFNTPGEDPSVLLRVKEDYDGAEPSGNSVSAINLIRLSSLVSSERSDNYKRTAEHLLAVFEKRLKEQIIAVPLMCCAADMLSEPCRKQVVLAGDKSSSEFDAMVAAVHAFYDPNRMVILIDPSDKDEMEFWDNNNANLGLIAKNSSKDKKATAHVCQDFICSAPVTDLDALRLLLSKKTGSTSSS >DRNTG_35353.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18378324:18385724:1 gene:DRNTG_35353 transcript:DRNTG_35353.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIGKLTIIIGAGIAGTALAKEGRLPDLTGFFSGALKLVTKHLHLDKDKPSSTVKQQNHTILAQVNILRKELERLSSSRSVTIVTGTASGSGAFKVKTIIAIGVVGYGYIWWKGWKLSDMMFVTRRGLTDACSAVGKQLDHISSSISTAKRHLSSRIDRVDCNLNESLELTAATKDEVSKLHGRLTGFHDEFESVHQAVQTLETKLCRIEGNQDFTARGVYHLCQFVQGLEKSRNSDSIQILPSNPVPALELPEAMHVARTGSLPSPTPEPSSPSISKESPRWD >DRNTG_35353.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18378324:18386994:1 gene:DRNTG_35353 transcript:DRNTG_35353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIGKLTIIIGAGIAGTALAKEGRLPDLTGFFSGALKLVTKHLHLDKDKPSSTVKQQNHTILAQVNILRKELERLSSSRSVTIVTGTASGSGAFKVKTIIAIGVVGYGYIWWKGWKLSDMMFVTRRGLTDACSAVGKQLDHISSSISTAKRHLSSRIDRVDCNLNESLELTAATKDEVSKLHGRLTGFHDEFESVHQAVQTLETKLCRIEGNQDFTARGVYHLCQFVQGLEKSRNSDSIQILPSNPVPALELPEAMHVARTGSLPSPTPEPSSPSISKESPKVLQPSRTVSVSGIKGIQDISKTMKTGNARPAPEQGSTSEAPNSGSSSNSGRRGSILTPEAPNRGSSSSSGRRGSLLSGIIYLARTRSAAS >DRNTG_35353.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18386045:18386994:1 gene:DRNTG_35353 transcript:DRNTG_35353.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGNARPAPEQGSTSEAPNSGSSSNSGRRGSILTPEAPNRGSSSSSGRRGSLLSGIIYLARTRSAAS >DRNTG_16888.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29944214:29948494:1 gene:DRNTG_16888 transcript:DRNTG_16888.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G30070) UniProtKB/Swiss-Prot;Acc:O22397] MNRQSMSPASLEEGSIPTHWKRPSRRAVLTLAYQSLGIVYGDLSTSPLYVYKTTFSGKLSLHENDEEVFGVLSFIFWTLTLIPLFKYIYFVLSADDNGEGGTFALYSLLCRHARLCILPNQQASDEELSAYDVEGTSETWPSSMLKDFFTQHPRFRNGLLVVVLLGTCMTIGDGVLTPTISVLSAVSGISVKATNLHENYIVAISCAILVVLFSLQHHGTHRVGFIFAPIVTAWLLCISGIGVYNIIRWNPSVFHALSPVYMFRFLKSTGFEGWMSLGGVVLCITGSETMFGNLGHFSPLSIKIAFTFLVYPCLILAYMGEAAFLSKHHEDIQRSFYKAIPEAVFWPVFIVATLAAVVGSQAVISATFSLISQCCALSCFPHVKVIHTSSKIYGQIYIPEVNWILMCLCLAVTIGLRDTNMIGHAYGLAVTIVIFVTTCLMSLVILIVWKQKLIMALMFLVLFGSVELLYISASFIKIPEGGWIPLVLSMIIMSVMYIWNYGTLRKHEHDLENKVSVHRILELGPTLGMVRVPGIGLIYADLVTGVPAIFGHFATNLPAFHQVLVFICVKSVPVPYVSEEERFLIGRIGPKEFRIFRCIVRYGYKDLQHENNDFENVLVSKIMAFVEMEEQILAQNWHSCSNQESDAELVDFPFLVRTNQAHAKHLSSCSDIHVMKPYENHQQINGPLIRDETLEILRAKESGVVYILGHSYAKAKKSSSLIKKLAIDVVFAFLSKNCRGPDVILSVPNSLLLEVGMVYYV >DRNTG_16888.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29944214:29948565:1 gene:DRNTG_16888 transcript:DRNTG_16888.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G30070) UniProtKB/Swiss-Prot;Acc:O22397] MCLCLAVTIGLRDTNMIGHAYGLAVTIVIFVTTCLMSLVILIVWKQKLIMALMFLVLFGSVELLYISASFIKIPEGGWIPLVLSMIIMSVMYIWNYGTLRKHEHDLENKVSVHRILELGPTLGMVRVPGIGLIYADLVTGVPAIFGHFATNLPAFHQVLVFICVKSVPVPYVSEEERFLIGRIGPKEFRIFRCIVRYGYKDLQHENNDFENVLVSKIMAFVEMEEQILAQNWHSCSNQESDAELVDFPFLVRTNQAHAKHLSSCSDIHVMKPYENHQQINGPLIRDETLEILRAKESGVVYILGHSYAKAKKSSSLIKKLAIDVVFAFLSKNCRGPDVILSVPNSLLLEVGMVYYV >DRNTG_16888.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29944214:29948494:1 gene:DRNTG_16888 transcript:DRNTG_16888.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G30070) UniProtKB/Swiss-Prot;Acc:O22397] MLKDFFTQHPRFRNGLLVVVLLGTCMTIGDGVLTPTISVLSAVSGISVKATNLHENYIVAISCAILVVLFSLQHHGTHRVGFIFAPIVTAWLLCISGIGVYNIIRWNPSVFHALSPVYMFRFLKSTGFEGWMSLGGVVLCITGSETMFGNLGHFSPLSIKIAFTFLVYPCLILAYMGEAAFLSKHHEDIQRSFYKAIPEAVFWPVFIVATLAAVVGSQAVISATFSLISQCCALSCFPHVKVIHTSSKIYGQIYIPEVNWILMCLCLAVTIGLRDTNMIGHAYGLAVTIVIFVTTCLMSLVILIVWKQKLIMALMFLVLFGSVELLYISASFIKIPEGGWIPLVLSMIIMSVMYIWNYGTLRKHEHDLENKVSVHRILELGPTLGMVRVPGIGLIYADLVTGVPAIFGHFATNLPAFHQVLVFICVKSVPVPYVSEEERFLIGRIGPKEFRIFRCIVRYGYKDLQHENNDFENVLVSKIMAFVEMEEQILAQNWHSCSNQESDAELVDFPFLVRTNQAHAKHLSSCSDIHVMKPYENHQQINGPLIRDETLEILRAKESGVVYILGHSYAKAKKSSSLIKKLAIDVVFAFLSKNCRGPDVILSVPNSLLLEVGMVYYV >DRNTG_16888.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29944044:29948565:1 gene:DRNTG_16888 transcript:DRNTG_16888.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G30070) UniProtKB/Swiss-Prot;Acc:O22397] MILMCRVLTRVSFSFSFISDYIVAISCAILVVLFSLQHHGTHRVGFIFAPIVTAWLLCISGIGVYNIIRWNPSVFHALSPVYMFRFLKSTGFEGWMSLGGVVLCITGSETMFGNLGHFSPLSIKIAFTFLVYPCLILAYMGEAAFLSKHHEDIQRSFYKAIPEAVFWPVFIVATLAAVVGSQAVISATFSLISQCCALSCFPHVKVIHTSSKIYGQIYIPEVNWILMCLCLAVTIGLRDTNMIGHAYGLAVTIVIFVTTCLMSLVILIVWKQKLIMALMFLVLFGSVELLYISASFIKIPEGGWIPLVLSMIIMSVMYIWNYGTLRKHEHDLENKVSVHRILELGPTLGMVRVPGIGLIYADLVTGVPAIFGHFATNLPAFHQVLVFICVKSVPVPYVSEEERFLIGRIGPKEFRIFRCIVRYGYKDLQHENNDFENVLVSKIMAFVEMEEQILAQNWHSCSNQESDAELVDFPFLVRTNQAHAKHLSSCSDIHVMKPYENHQQINGPLIRDETLEILRAKESGVVYILGHSYAKAKKSSSLIKKLAIDVVFAFLSKNCRGPDVILSVPNSLLLEVGMVYYV >DRNTG_16888.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29944044:29948494:1 gene:DRNTG_16888 transcript:DRNTG_16888.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G30070) UniProtKB/Swiss-Prot;Acc:O22397] MLKDFFTQHPRFRNGLLVVVLLGTCMTIGDGVLTPTISVLSAVSGISVKATNLHENYIVAISCAILVVLFSLQHHGTHRVGFIFAPIVTAWLLCISGIGVYNIIRWNPSVFHALSPVYMFRFLKSTGFEGWMSLGGVVLCITGSETMFGNLGHFSPLSIKIAFTFLVYPCLILAYMGEAAFLSKHHEDIQRSFYKAIPEAVFWPVFIVATLAAVVGSQAVISATFSLISQCCALSCFPHVKVIHTSSKIYGQIYIPEVNWILMCLCLAVTIGLRDTNMIGHAYGLAVTIVIFVTTCLMSLVILIVWKQKLIMALMFLVLFGSVELLYISASFIKIPEGGWIPLVLSMIIMSVMYIWNYGTLRKHEHDLENKVSVHRILELGPTLGMVRVPGIGLIYADLVTGVPAIFGHFATNLPAFHQVLVFICVKSVPVPYVSEEERFLIGRIGPKEFRIFRCIVRYGYKDLQHENNDFENVLVSKIMAFVEMEEQILAQNWHSCSNQESDAELVDFPFLVRTNQAHAKHLSSCSDIHVMKPYENHQQINGPLIRDETLEILRAKESGVVYILGHSYAKAKKSSSLIKKLAIDVVFAFLSKNCRGPDVILSVPNSLLLEVGMVYYV >DRNTG_07212.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20298967:20299679:-1 gene:DRNTG_07212 transcript:DRNTG_07212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRGVKIVQFLLQDMYRLQVMTRGNPSILIEEDPELERTLRRKGKEPVQEYPNPTDLEVEGSDNMA >DRNTG_08518.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28838382:28847713:1 gene:DRNTG_08518 transcript:DRNTG_08518.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 4;5 [Source:Projected from Arabidopsis thaliana (AT5G20380) TAIR;Acc:AT5G20380] MVKMLELGFRRERGKEDGKWSWPPWKSLPERYKLIGATSLAFVICNMDKVNLSVAIIPMSHQFGWNSSTAGLVQSSFFWGYALSQLPGGWLAKLFGGRKVLEVGVLAWSLATILVPFVAGFMPGLVLSRILVGVGEGVSPSAATDLIARTIPLEERSRAVAVVFGGLSIGSILGLLFAPPIIQTFDWESVFYIFGVLGIIWCLGFELVKEKELSFGNKNMFGLRPGSSDSSFPISRQVSQGASSLTELADSLKSVPWKAFFKSPAVWAMIYAHFCGSWGHYTCLSWLPTFFSEELNLNLTEAAWVSIIPSLGSILVTSIAAPFADNLISNGVETTTVRKLCQTIAFLSPATCMILSSLDLGLPPWEIVLILSGGLALSSFALSGLYCTHQDISPEYASILLGITNTIGAVPGIIGVALTGYILDSTHSWSLSLFVPSIFFYLTGTAVWLTFASSKPQSFSKSD >DRNTG_08518.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28838382:28841358:1 gene:DRNTG_08518 transcript:DRNTG_08518.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 4;5 [Source:Projected from Arabidopsis thaliana (AT5G20380) TAIR;Acc:AT5G20380] MVKMLELGFRRERGKEDGKWSWPPWKSLPERYKLIGATSLAFVICNMDKVNLSVAIIPMSHQFGWNSSTAGLVQSSFFWGYALSQLPGGWLAKLFGGRKVLEVGVLAWSLATILVPFVAGFMPGLVLSRILVGVGEGVSPSAATDLIARQDI >DRNTG_08518.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28838382:28847713:1 gene:DRNTG_08518 transcript:DRNTG_08518.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 4;5 [Source:Projected from Arabidopsis thaliana (AT5G20380) TAIR;Acc:AT5G20380] MFGLRPGSSDSSFPISRQVSQGASSLTELADSLKSVPWKAFFKSPAVWAMIYAHFCGSWGHYTCLSWLPTFFSEELNLNLTEAAWVSIIPSLGSILVTSIAAPFADNLISNGVETTTVRKLCQTIAFLSPATCMILSSLDLGLPPWEIVLILSGGLALSSFALSGLYCTHQDISPEYASILLGITNTIGAVPGIIGVALTGYILDSTHSWSLSLFVPSIFFYLTGTAVWLTFASSKPQSFSKSD >DRNTG_09937.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18341227:18342308:1 gene:DRNTG_09937 transcript:DRNTG_09937.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSSFLSVILAFAFIATFANASNYYKDFDITWGDGRAKILNNGELLTLSLDKSSGSGFQSKNEYLFGKIDMQLKLVPGNSAGTVTAYYLSSQGATHDEIDFEFLGNLSGQPYTLHTNVFTQGKGNREQQFHLWFDPTKDFHTYSVVWNPRHVIFMVDGIPIRDFVNHEANGVAFPKNQPMRIYSSLWNADDWATRGGLIKTDWTQAPFVASYRNFNADACVWSSGKSSCSNSKSSNMPWFNQQLDSSSQKRLKWVQKNYMIYNYCTDVKRFPQGLPTECSLP >DRNTG_26462.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:504626:511172:1 gene:DRNTG_26462 transcript:DRNTG_26462.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSGNHLGGEIPDAFEPLTSLVNLDLSYNNLSGPLPLSMGSLSSLTTLHIQNNRLTDFLDVLQDLPLLDLNVENNLFSGPVPAKLLSIPNFKKDGNPFNTTIAPSPMLQPPLSPSPKPVSVPPVPKSMPINTTEGPIGQTVRGKSFSTTVVVGCMVGAAALLLVAVLLLMLCKSKKKERNFKHKDIFKTQDREEHGSLHEYSPKNAFDVPKTESQIDIAVTFQREALEKQKEQGLNMSVMGVITKRPSSGGKVSVKYTAPGRNSIGSSAGNACLPTPVTSFSVASLQQYTNSFDEDNHIRDGTWGKVYLAELPNGKLLEIMKLGNVISKMASDEYVQLVSSIYELRHPNILDLVGYCAEFGQRILVYNYYKTSTLCDALQYEAGQLSWNARLHVALGAAKALEYLHEACRLPVIHQKFGSTNILLDDELRVRVSDCGLASLMSSVSVSQFSGSGLAHRYGYEAPELSDSAVYTDKCDVYSFGVVMLELLTGRKAYDSSRHRGEQHLVRWACYQLHDINALSQMVDPSIAGNCSQKSLSRFADIISRCIQRGAEFRPPMSQVVQDLTLMLEDASRGT >DRNTG_26462.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:504626:511172:1 gene:DRNTG_26462 transcript:DRNTG_26462.5 gene_biotype:protein_coding transcript_biotype:protein_coding MREFFLSDNQFTGSIPNSLSELSLLANMSFSGNHLGGEIPDAFEPLTSLVNLDLSYNNLSGPLPLSMGSLSSLTTLHIQNNRLTDFLDVLQDLPLLDLNVENNLFSGPVPAKLLSIPNFKKDGNPFNTTIAPSPMLQPPLSPSPKPVSVPPVPKSMPINTTEGPIGQTVRGKSFSTTVVVGCMVGAAALLLVAVLLLMLCKSKKKERNFKHKDIFKTQDREEHGSLHEYSPKNAFDVPKTESQIDIAVTSVQREALEKQKEQGLNMSVMGVITKRPSSGGKVSVKYTAPGRNSIGSSAGNACLPTPVTSFSVASLQQYTNSFDEDNHIRDGTWGKVYLAELPNGKLLEIMKLGNVISKMASDEYVQLVSSIYELRHPNILDLVGYCAEFGQRILVYNYYKTSTLCDALQYEAGQLSWNARLHVALGAAKALEYLHEACRLPVIHQKFGSTNILLDDELRVRVSDCGLASLMSSVSVSQFSGSGLAHRYGYEAPELSDSAVYTDKCDVYSFGVVMLELLTGRKAYDSSRHRGEQHLVRWACYQLHDINALSQMVDPSIAGNCSQKSLSRFADIISRCIQRGAEFRPPMSQVVQDLTLMLEDASRGT >DRNTG_26462.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:510052:511172:1 gene:DRNTG_26462 transcript:DRNTG_26462.13 gene_biotype:protein_coding transcript_biotype:protein_coding MADNYYSISCTKLVFWLAIVFVCFSQFSGSGLAHRYGYEAPELSDSAVYTDKCDVYSFGVVMLELLTGRKAYDSSRHRGEQHLVRWACYQLHDINALSQMVDPSIAGNCSQKSLSRFADIISRCIQRGAEFRPPMSQVVQDLTLMLEDASRGT >DRNTG_26462.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:509143:511172:1 gene:DRNTG_26462 transcript:DRNTG_26462.12 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGNVISKMASDEYVQLVSSIYELRHPNILDLVGYCAEFGQRILVYNYYKTSTLCDALQYEAGQLSWNARLHVALGAAKALEYLHEACRLPVIHQKFGSTNILLDDELRVRVSDCGLASLMSSVSVSQFSGSGLAHRYGYEAPELSDSAVYTDKCDVYSFGVVMLELLTGRKAYDSSRHRGEQHLVRWACYQLHDINALSQMVDPSIAGNCSQKSLSRFADIISRCIQRGAEFRPPMSQVVQDLTLMLEDASRGT >DRNTG_26462.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:505388:511172:1 gene:DRNTG_26462 transcript:DRNTG_26462.9 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKISFWAVLGLMIISNMSPSLGYTDPRDVYAINNLYAALGFPPLSGWISLGGDPCSEGWQGVQCVISNITAIVLNGTNLGGQLGDKLDNFATIITLDFSNNHIGGSIPENLPFTMREFFLSDNQFTGSIPNSLSELSLLANMSFSGNHLGGEIPDAFEPLTSLVNLDLSYNNLSGPLPLSMGSLSSLTTLHIQNNRLTDFLDVLQDLPLLDLNVENNLFSGPVPAKLLSIPNFKKDGNPFNTTIAPSPMLQPPLSPSPKPVSVPPVPKSMPINTTEGPIGQTVRGKSFSTTVVVGCMVGAAALLLVAVLLLMLCKSKKKERNFKHKDIFKTQDREEHGSLHEYSPKNAFDVPKTESQIDIAVTFQREALEKQKEQGLNMSVMGVITKRPSSGGKVSVKYTAPGRNSIGSSAGNACLPTPVTSFSVASLQQYTNSFDEDNHIRDGTWGKVYLAELPNGKLLEIMKLGNVISKMASDEYVQLVSSIYELRHPNILDLVGYCAEFGQRILVYNYYKTSTLCDALQYEAGQLSWNARLHVALGAAKALEYLHEACRLPVIHQKFGSTNILLDDELRVRVSDCGLASLMSSVSVSQFSGSGLAHRYGYEAPELSDSAVYTDKCDVYSFGVVMLELLTGRKAYDSSRHRGEQHLVRWACYQLHDINALSQMVDPSIAGNCSQKSLSRFADIISRCIQRGAEFRPPMSQVVQDLTLMLEDASRGT >DRNTG_26462.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:504626:511172:1 gene:DRNTG_26462 transcript:DRNTG_26462.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSGNHLGGEIPDAFEPLTSLVNLDLSYNNLSGPLPLSMGSLSSLTTLHIQNNRLTDFLDVLQDLPLLDLNVENNLFSGPVPAKLLSIPNFKKDGNPFNTTIAPSPMLQPPLSPSPKPVSVPPVPKSMPINTTEGPIGQTVRGKSFSTTVVVGCMVGAAALLLVAVLLLMLCKSKKKERNFKHKDIFKTQDREEHGSLHEYSPKNAFDVPKTESQIDIAVTSVQREALEKQKEQGLNMSVMGVITKRPSSGGKVSVKYTAPGRNSIGSSAGNACLPTPVTSFSVASLQQYTNSFDEDNHIRDGTWGKVYLAELPNGKLLEIMKLGNVISKMASDEYVQLVSSIYELRHPNILDLVGYCAEFGQRILVYNYYKTSTLCDALQYEAGQLSWNARLHVALGAAKALEYLHEACRLPVIHQKFGSTNILLDDELRVRVSDCGLASLMSSVSVSQFSGSGLAHRYGYEAPELSDSAVYTDKCDVYSFGVVMLELLTGRKAYDSSRHRGEQHLVRWACYQLHDINALSQMVDPSIAGNCSQKSLSRFADIISRCIQRGAEFRPPMSQVVQDLTLMLEDASRGT >DRNTG_26462.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:504626:511172:1 gene:DRNTG_26462 transcript:DRNTG_26462.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKISFWAVLGLMIISNMSPSLGYTDPRDVYAINNLYAALGFPPLSGWISLGGDPCSEGWQGVQCVISNITAIVLNGTNLGGQLGDKLDNFATIITLDFSNNHIGGSIPENLPFTMREFFLSDNQFTGSIPNSLSELSLLANMSFSGNHLGGEIPDAFEPLTSLVNLDLSYNNLSGPLPLSMGSLSSLTTLHIQNNRLTDFLDVLQDLPLLDLNVENNLFSGPVPAKLLSIPNFKKDGNPFNTTIAPSPMLQPPLSPSPKPVSVPPVPKSMPINTTEGPIGQTVRGKSFSTTVVVGCMVGAAALLLVAVLLLMLCKSKKKERNFKHKDIFKTQDREEHGSLHEYSPKNAFDVPKTESQIDIAVTFQREALEKQKEQGLNMSVMGVITKRPSSGGKVSVKYTAPGRNSIGSSAGNACLPTPVTSFSVASLQQYTNSFDEDNHIRDGTWGKVYLAELPNGKLLEIMKLGNVISKMASDEYVQLVSSIYELRHPNILDLVGYCAEFGQRILVYNYYKTSTLCDALQYEAGQLSWNARLHVALGAAKALEYLHEACRLPVIHQKFGSTNILLDDELRVRVSDCGLASLMSSVSVSQFSGSGLAHRYGYEAPELSDSAVYTDKCDVYSFGVVMLELLTGRKAYDSSRHRGEQHLVRWACYQLHDINALSQMVDPSIAGNCSQKSLSRFADIISRCIQRGAEFRPPMSQVVQDLTLMLEDASRGT >DRNTG_26462.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:504881:511172:1 gene:DRNTG_26462 transcript:DRNTG_26462.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKISFWAVLGLMIISNMSPSLGYTDPRDVYAINNLYAALGFPPLSGWISLGGDPCSEGWQGVQCVISNITAIVLNGTNLGGQLGDKLDNFATIITLDFSNNHIGGSIPENLPFTMREFFLSDNQFTGSIPNSLSELSLLANMSFSGNHLGGEIPDAFEPLTSLVNLDLSYNNLSGPLPLSMGSLSSLTTLHIQNNRLTDFLDVLQDLPLLDLNVENNLFSGPVPAKLLSIPNFKKDGNPFNTTIAPSPMLQPPLSPSPKPVSVPPVPKSMPINTTEGPIGQTVRGKSFSTTVVVGCMVGAAALLLVAVLLLMLCKSKKKERNFKHKDIFKTQDREEHGSLHEYSPKNAFDVPKTESQIDIAVTFQREALEKQKEQGLNMSVMGVITKRPSSGGKVSVKYTAPGRNSIGSSAGNACLPTPVTSFSVASLQQYTNSFDEDNHIRDGTWGKVYLAELPNGKLLEIMKLGNVISKMASDEYVQLVSSIYELRHPNILDLVGYCAEFGQRILVYNYYKTSTLCDALQYEAGQLSWNARLHVALGAAKALEYLHEACRLPVIHQKFGSTNILLDDELRVRVSDCGLASLMSSVSVSQFSGSGLAHRYGYEAPELSDSAVYTDKCDVYSFGVVMLELLTGRKAYDSSRHRGEQHLVRWACYQLHDINALSQMVDPSIAGNCSQKSLSRFADIISRCIQRGAEFRPPMSQVVQDLTLMLEDASRGT >DRNTG_26462.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:504626:511172:1 gene:DRNTG_26462 transcript:DRNTG_26462.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSGNHLGGEIPDAFEPLTSLVNLDLSYNNLSGPLPLSMGSLSSLTTLHIQNNRLTDFLDVLQDLPLLDLNVENNLFSGPVPAKLLSIPNFKKDGNPFNTTIAPSPMLQPPLSPSPKPVSVPPVPKSMPINTTEGPIGQTVRGKSFSTTVVVGCMVGAAALLLVAVLLLMLCKSKKKERNFKHKDIFKTQDREEHGSLHEYSPKNAFDVPKTESQIDIAVTFQREALEKQKEQGLNMSVMGVITKRPSSGGKVSVKYTAPGRNSIGSSAGNACLPTPVTSFSVASLQQYTNSFDEDNHIRDGTWGKVYLAELPNGKLLEIMKLGNVISKMASDEYVQLVSSIYELRHPNILDLVGYCAEFGQRILVYNYYKTSTLCDALQYEAGQLSWNARLHVALGAAKALEYLHEACRLPVIHQKFGSTNILLDDELRVRVSDCGLASLMSSVSVSQFSGSGLAHRYGYEAPELSDSAVYTDKCDVYSFGVVMLELLTGRKAYDSSRHRGEQHLVRWACYQLHDINALSQMVDPSIAGNCSQKSLSRFADIISRCIQRGAEFRPPMSQVVQDLTLMLEDASRGT >DRNTG_26462.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:508409:511172:1 gene:DRNTG_26462 transcript:DRNTG_26462.11 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMGVITKRPSSGGKVSVKYTAPGRNSIGSSAGNACLPTPVTSFSVASLQQYTNSFDEDNHIRDGTWGKVYLAELPNGKLLEIMKLGNVISKMASDEYVQLVSSIYELRHPNILDLVGYCAEFGQRILVYNYYKTSTLCDALQYEAGQLSWNARLHVALGAAKALEYLHEACRLPVIHQKFGSTNILLDDELRVRVSDCGLASLMSSVSVSQFSGSGLAHRYGYEAPELSDSAVYTDKCDVYSFGVVMLELLTGRKAYDSSRHRGEQHLVRWACYQLHDINALSQMVDPSIAGNCSQKSLSRFADIISRCIQRGAEFRPPMSQVVQDLTLMLEDASRGT >DRNTG_26462.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:504626:511172:1 gene:DRNTG_26462 transcript:DRNTG_26462.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSGNHLGGEIPDAFEPLTSLVNLDLSYNNLSGPLPLSMGSLSSLTTLHIQNNRLTDFLDVLQDLPLLDLNVENNLFSGPVPAKLLSIPNFKKDGNPFNTTIAPSPMLQPPLSPSPKPVSVPPVPKSMPINTTEGPIGQTVRGKSFSTTVVVGCMVGAAALLLVAVLLLMLCKSKKKERNFKHKDIFKTQDREEHGSLHEYSPKNAFDVPKTESQIDIAVTSVQREALEKQKEQGLNMSVMGVITKRPSSGGKVSVKYTAPGRNSIGSSAGNACLPTPVTSFSVASLQQYTNSFDEDNHIRDGTWGKVYLAELPNGKLLEIMKLGNVISKMASDEYVQLVSSIYELRHPNILDLVGYCAEFGQRILVYNYYKTSTLCDALQYEAGQLSWNARLHVALGAAKALEYLHEACRLPVIHQKFGSTNILLDDELRVRVSDCGLASLMSSVSVSQFSGSGLAHRYGYEAPELSDSAVYTDKCDVYSFGVVMLELLTGRKAYDSSRHRGEQHLVRWACYQLHDINALSQMVDPSIAGNCSQKSLSRFADIISRCIQRGAEFRPPMSQVVQDLTLMLEDASRGT >DRNTG_26462.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:507440:511172:1 gene:DRNTG_26462 transcript:DRNTG_26462.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPPLSPSPKPVSVPPVPKSMPINTTEGPIGQTVRGKSFSTTVVVGCMVGAAALLLVAVLLLMLCKSKKKERNFKHKDIFKTQDREEHGSLHEYSPKNAFDVPKTESQIDIAVTFQREALEKQKEQGLNMSVMGVITKRPSSGGKVSVKYTAPGRNSIGSSAGNACLPTPVTSFSVASLQQYTNSFDEDNHIRDGTWGKVYLAELPNGKLLEIMKLGNVISKMASDEYVQLVSSIYELRHPNILDLVGYCAEFGQRILVYNYYKTSTLCDALQYEAGQLSWNARLHVALGAAKALEYLHEACRLPVIHQKFGSTNILLDDELRVRVSDCGLASLMSSVSVSQFSGSGLAHRYGYEAPELSDSAVYTDKCDVYSFGVVMLELLTGRKAYDSSRHRGEQHLVRWACYQLHDINALSQMVDPSIAGNCSQKSLSRFADIISRCIQRGAEFRPPMSQVVQDLTLMLEDASRGT >DRNTG_26462.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:504626:511172:1 gene:DRNTG_26462 transcript:DRNTG_26462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKISFWAVLGLMIISNMSPSLGYTDPRDVYAINNLYAALGFPPLSGWISLGGDPCSEGWQGVQCVISNITAIVLNGTNLGGQLGDKLDNFATIITLDFSNNHIGGSIPENLPFTMREFFLSDNQFTGSIPNSLSELSLLANMSFSGNHLGGEIPDAFEPLTSLVNLDLSYNNLSGPLPLSMGSLSSLTTLHIQNNRLTDFLDVLQDLPLLDLNVENNLFSGPVPAKLLSIPNFKKDGNPFNTTIAPSPMLQPPLSPSPKPVSVPPVPKSMPINTTEGPIGQTVRGKSFSTTVVVGCMVGAAALLLVAVLLLMLCKSKKKERNFKHKDIFKTQDREEHGSLHEYSPKNAFDVPKTESQIDIAVTSVQREALEKQKEQGLNMSVMGVITKRPSSGGKVSVKYTAPGRNSIGSSAGNACLPTPVTSFSVASLQQYTNSFDEDNHIRDGTWGKVYLAELPNGKLLEIMKLGNVISKMASDEYVQLVSSIYELRHPNILDLVGYCAEFGQRILVYNYYKTSTLCDALQYEAGQLSWNARLHVALGAAKALEYLHEACRLPVIHQKFGSTNILLDDELRVRVSDCGLASLMSSVSVSQFSGSGLAHRYGYEAPELSDSAVYTDKCDVYSFGVVMLELLTGRKAYDSSRHRGEQHLVRWACYQLHDINALSQMVDPSIAGNCSQKSLSRFADIISRCIQRGAEFRPPMSQVVQDLTLMLEDASRGT >DRNTG_12743.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25799487:25806032:1 gene:DRNTG_12743 transcript:DRNTG_12743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGEPLELRALRSLGLGFDLTCDFRLRFAKGYPWGRLVELDERNTRDLVFPGGVSAKGVSRDIGFDKGDRLRYRSDVLEFNQMSELVNQKSSVQGKVPSGYFNALFDLSGAWLDDAKDTKNLAFDGYFISLYNLHLKASPLVLREEVKRAVPPKWDPVALSRFIHTYGTHIIVAMAVGGQHVICVRQHPSSTISSADLKVHLEDLGDFVFSDGRSHSPLHRKTKEGKNKVPDVFCNILQSNNLQLASYSETSSKDGLTLVCSKRGGDVFLSSHSKWLQTVPSNPDAMLFKFVPITSLLTGVAGNGYLSHAINLYLRYKPDPEDLQYFLEFQVPRQWAPMFNELALGPQRRKASYPSLQFRFFGPKLQVNTAQVSSDQKPVVGLRLYLEGRTCNRLAIHVQHLSTVPSMLDPSCLRGFSEWQGSEESDPEYFEPVQWGRYARVCTQVVKHNPEWLQRTPNNGVFVVTGAQLVTKGRWPKKVLHLRLLFAHLPNCTIRKTEWTSAPATSRKASFLTNLSTTFTQRDTPQQPKHEPALLNSGVYPEGPPVPVQSRKLLKFVDMVEVVRGPHDSPGHWLVTAAKLVKEGGKIALHVKFALLNYSAEEEIDAPNHCT >DRNTG_17695.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28328879:28332706:1 gene:DRNTG_17695 transcript:DRNTG_17695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFLKFDISGLKLLRVRNDSIRRRLLIEISNKSIIMVEDIDYSVPFNNRDSKYIDINDGKDIDTVLSLGPCLDGSIKVVHEGIVEVRHSGDEARQELRERVIVAGAAMLVAFQEKLVYFPPMLSPLCGRRSAGVCCD >DRNTG_06087.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000278.1:8424:15112:1 gene:DRNTG_06087 transcript:DRNTG_06087.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASVPSQMKSSMLSCISLSDPDVDKSIALLKQACLDSGFFYVIDHGIPQDFMDDVFSQSKNFFDLPMDEKMKLLRNEKNRGYTPILDEILDRDNQVDGDYKEGYYIGVEVPADDPQAEKPFYWTQNLWPPEGHLPGWRLTMEKYHNEALRVARAVARIIALALDLDGDFFDKPYMLGEPIATLRLLHYQGTMSNPSKGIYGAGAHSDYGLITLLATDDVVGLQICKDKDAQPQIWEYIEPVKGGFIVNLGDMLER >DRNTG_06087.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000278.1:12371:15640:1 gene:DRNTG_06087 transcript:DRNTG_06087.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYHNEALRVARAVARIIALALDLDGDFFDKPYMLGEPIATLRLLHYQGTMSNPSKGIYGAGAHSDYGLITLLATDDVVGLQICKDKDAQPQIWEYIEPVKGGFIVNLGDMLER >DRNTG_06087.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000278.1:8424:15640:1 gene:DRNTG_06087 transcript:DRNTG_06087.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASVPSQMKSSMLSCISLSDPDVDKSIALLKQACLDSGFFYVIDHGIPQDFMDDVFSQSKNFFDLPMDEKMKLLRNEKNRGYTPILDEILDRDNQVDGDYKEGYYIGVEVPADDPQAEKPFYWTQNLWPPEGHLPGWRLTMEKYHNEALRVARAVARIIALALDLDGDFFDKPYMLGEPIATLRLLHYQGTMSNPSKGIYGAGAHSDYGLITLLATDDVVGLQICKDKDAQPQIWEYIEPVKGGFIVNLGDMLER >DRNTG_28870.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001452.1:18327:18740:-1 gene:DRNTG_28870 transcript:DRNTG_28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKWRRMAQSGRRRILMSRTNSGLKSSEGSLASKGHFVVYTKDGRRFVVPLAHLNSNVFKELFRLSEEEFGLPGDRPIILPCDAVFMEQLVVILRRRISEDVEKALLNSIVSNRCSASSSPFSHASINSYALVHGF >DRNTG_23772.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14261029:14261758:1 gene:DRNTG_23772 transcript:DRNTG_23772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSGRGISGDYASSAGSYEDNGEFDVHDHEEGSEYEEEYEEDEFVEDGHHVDQTGFDSNEAYARALQDPEEREIAAQLMAFAGLNDCEDEF >DRNTG_00027.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21603020:21605537:1 gene:DRNTG_00027 transcript:DRNTG_00027.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLVSLPRLLFRKSRGLTGKLALKYHPDVNKEPNAQEKFMRIKHAYNTLLNPDSKYKYAYSSSSDYAQTSERNRKTTAQEEDFYGFGDFLRDVQITIGDFFQDLQKEFQNWEADINSQDKPKSLWEELAEIGEEFVEFLEKELNINDISPEEAGGTDEFSKDNSNAKPGVEQRNNGGEMDSSIEDSIDEIEAALQQLKKELGL >DRNTG_00027.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21603020:21605537:1 gene:DRNTG_00027 transcript:DRNTG_00027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLVSLPRLLFRKSRGLTGKLALKYHPDVNKEPNAQEKFMRIKHAYNTLLNPDSKYKYAYSSSSDYAQTSERNRKTTAQEEDFYGFGDFFQDLQKEFQNWEADINSQDKPKSLWEELAEIGEEFVEFLEKELNINDISPEEAGGTDEFSKDNSNAKPGVEQRNNGGEMDSSIEDSIDEIEAALQQLKKELGL >DRNTG_15120.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18199971:18201148:-1 gene:DRNTG_15120 transcript:DRNTG_15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGRIMTFYVLKRPGVDEFLKAISKSFEAVIFTAGLKEYASLVLNQLDPSGELISHRLYRDSCREMDGQIREGSRRSGESVGSGGYRR >DRNTG_06595.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15281903:15285108:1 gene:DRNTG_06595 transcript:DRNTG_06595.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNPSILIEGDPELERTLRRKGKEHVQEQSSPAHLEAEESENMAEQNEQQQTLSDYARPSVLGTQSSIVRPPITPQNFEFESVDATLCNHTASLHKLENQHVKTITLRSGREVKGRLPSLSDQEKGHEDVMMLGSTEEVASTPGILKKVLWKMKRARRRHQKQSKAVGDIRELKKLDVSLLGTIHSRLRKVNPRESTRACGNYPRPCGNSMGRVVNLQGRVDA >DRNTG_10218.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:26254:27282:-1 gene:DRNTG_10218 transcript:DRNTG_10218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTLSSIPFVVIIIIIIIIIMSCIWISMFDLRSSLYGSSLRALPAMDGIEVMRKRMKTTEGSEGDGGVEVSGEEAGIGTGSPEMEANIQRILDKIEGFTNRVSELLEAGKALFRDLTTDFEDRLIAIHREQIEKWQEEIKQLRMIDASNEATRARLQNAQLHILQSVHED >DRNTG_10218.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:26254:26938:-1 gene:DRNTG_10218 transcript:DRNTG_10218.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEANIQRILDKIEGFTNRVSELLEAGKALFRDLTTDFEDRLIAIHREQIEKWQEEIKQLRMIDASNEATRARLQNAQLHILQSVHED >DRNTG_34612.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:10670:14090:1 gene:DRNTG_34612 transcript:DRNTG_34612.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triacylglycerol lipase 2 [Source:Projected from Arabidopsis thaliana (AT5G14180) UniProtKB/Swiss-Prot;Acc:Q67ZU1] MTPLQYFLFILFFFSPLLRPHISSSRSLTDESCSLITSPDTDGICSSMVTPHGYKCQEFEVKTEDGYILSMQRIPEGRVAGDGGANRQPVLLQHGILMDGMTWLLNSPEESLAFVLADRGFDVWIANTRGTKWSRQHVTLDPSSRAYWNWSWDELVMYDLPATFDFVFSHTGQKLDYVGHSLGTLIALASFSEWKLVDKLKSAALLCPVAYLTHMTTPIGILAARAFVGEITSWLGMAEFNPKGVAVTKFLNALCHNPGVDCYDLMTSFTGHNCCLNGSTVELFLKYEPQSTSTKTMVHLAQTFRDGVLTKFNYENTVVNLEHYGQAKPPVYNISNIPDNLSLFLSYGGKDSLSDVKDVQLLLDDLKFHNGDKLVVHYVEDFAHADFVMGVDAKEMVYNAVISFFDRQ >DRNTG_14632.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2343603:2346430:1 gene:DRNTG_14632 transcript:DRNTG_14632.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase SRK2F [Source:Projected from Arabidopsis thaliana (AT4G40010) UniProtKB/Swiss-Prot;Acc:Q9SMQ4] MERFEVLKDIGSGNFGVAKLVKDVWTKELFAVKFIERGQKIDEHVQREIMNHRSLKHPNIIRFKEVLLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSIAPRLKICDFGYSKSAVLHSQPKSTVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGSYPFEDPDDPRNFRKTIGRILSVHYSIPDYVRVSMDCRHLLTRIFVASPETRITIPEIKNHPWFLKNLPVELTDGYQHNLHNDTNPLFQSVEDIMVILHEARKPC >DRNTG_22958.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9750045:9751094:1 gene:DRNTG_22958 transcript:DRNTG_22958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQTSSQFPPSPPPPSTSSNNNNNNNNNKISPAVLFIIVILAVIFFISGLLHLLVRFLMKKNPSGRSNNGGYPPPPAPPDTASDALQRQLQQLFHLHDSGLDQAFIDALPVFLYREVVGPKEPFDCAVCLCEFAGDDHLRLLPLCGHAFHLNCIDTWLLSNSTCPLCRGALFVQGLAFENPVFDFEDQREEEEEKEIAGESTRVFPVRLGKFRRLSNAGEVALDVISTEVDEHEHAHANVRRREVGESSSSNLDARRCYSLGSYQYVVGNANLRVSLPGLKIVREKKLSGSSNSDGAEDGGGKKIGATSRGDSFSTSKIWMWPNKKGKLPPSNVDQSLPWMARKFNGG >DRNTG_24516.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5969559:5972778:1 gene:DRNTG_24516 transcript:DRNTG_24516.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPQDPNDPRGEVHHLGLGSSSSSSSFFGSDDSRSTTSSGEIPPTELCFGAVAWGSASTIGRRREMEDAVAVAPDFVSIPCCHVGGCAAEPPSGESSGPRFFGVYDGHGGSQVAKYCAERVHEVVAELWKKGSDGEGWQRRWEMAFSDGFDRVDNEVIAEGVASDIVGSTALVVLVSGCQIISSNCGDSRAVLCRGDQTIQLTIDHK >DRNTG_24516.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5969445:5972778:1 gene:DRNTG_24516 transcript:DRNTG_24516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPQDPNDPRGEVHHLGLGSSSSSSSFFGSDDSRSTTSSGEIPPTELCFGAVAWGSASTIGRRREMEDAVAVAPDFVSIPCCHVGGCAAEPPSGESSGPRFFGVYDGHGGSQVAKYCAERVHEVVAELWKKGSDGEGWQRRWEMAFSDGFDRVDNEVIAEGVASDIVGSTALVVLVSGCQIISSNCGDSRAVLCRGDQTIQLTIDHKPDREDERERIEREGGRVINWKGPRVLGVLAMSRSIGDRYMRPWIIPVPEISFLSRSSDDECLILASDGLWDVMSNQEVGEVASRLLRRHRQRSLANGTLSSPAKAVADHLVKLAHRKFSSDNISVIVVDLKSRSSNHL >DRNTG_24516.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5969559:5972778:1 gene:DRNTG_24516 transcript:DRNTG_24516.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPQDPNDPRGEVHHLGLGSSSSSSSFFGSDDSRSTTSSGEIPPTELCFGAVAWGSASTIGRRREMEDAVAVAPDFVSIPCCHVGGCAAEPPSGESSGPRFFGVYDGHGGSQVAKYCAERVHEVVAELWKKGSDGEGWQRRWEMAFSDGFDRVDNEVIAEGVASDIVGSTALVVLVSGCQIISSNCGDSRAVLCRGDQTIQLTIDHKPDREDERERIEREGGRVINWKGPRVLGVLAMSRSIGDRYMRPWIIPVPEISFLSRSSDDECLILASDGLWDVMSNQEVGEVASRLLRRHRQRSLANGTLSSPAKAVADHLVKLAHRKFSSDNISVIVVDLKSRSSNHL >DRNTG_24516.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5969559:5972812:1 gene:DRNTG_24516 transcript:DRNTG_24516.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPQDPNDPRGEVHHLGLGSSSSSSSFFGSDDSRSTTSSGEIPPTELCFGAVAWGSASTIGRRREMEDAVAVAPDFVSIPCCHVGGCAAEPPSGESSGPRFFGVYDGHGGSQVAKYCAERVHEVVAELWKKGSDGEGWQRRWEMAFSDGFDRVDNEVIAEGVASDIVGSTALVVLVSGCQIISSNCGDSRAVLCRGDQTIQLTIDHKPDREDERERIEREGGRVINWKGPRVLGVLAMSRSIGDRYMRPWIIPVPEISFLSRSSDDECLILASDGLWDVMSNQEVGEVASRLLRRHRQRSLANGTLSSPAKAVADHLVKLAHRKFSSDNISVIVVDLKSRSSNHL >DRNTG_35131.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1169580:1172368:-1 gene:DRNTG_35131 transcript:DRNTG_35131.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEFQTCNGRWWNTPRNFVAPPISCSTAITDTGAGAGTGTGFSWASSETVELMDQVKQMSGEEPVPSCSGSSVTYQETHKIDAARAASASVMMDASLQVPTFGLSSPMTDWNQALLGRSSGRGETSFHAMLQEGMPMDGNQEQRNTDHEGSSVNLFKDMNHGYILDQHQYTLVPSSYGYSSSSSSCSSSQALPQQPLDYESSSMGYRSNELLQPSWTKFPQFLKSSPVKQPPCNQLNFSNSTPFWNPSTGVATDVRPPFYSSLSSQQFIPQSLEQKPISNSLTIKTNSEAMVRDSSSGMKKSNSDQPATFKKPRIETPSPVPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHEQVGVLSTSYLKNGHPMQQHQSSDKAKDGEGPKQDLRSRGLCLVPISSTFPVASENPADFWTPTYGGTYR >DRNTG_08570.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000387.1:60923:63845:1 gene:DRNTG_08570 transcript:DRNTG_08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSGYANVTALVPLQIIVIACIHGASSSSKVPLFGLRHTLLDPCR >DRNTG_12034.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:75611:77424:-1 gene:DRNTG_12034 transcript:DRNTG_12034.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMYLVTWILIILKDGAWVGHLLSGYSSPMDDPNQKKEQNKEEGVGRTWKQAIKVSYEATQQAFSRGSNFWHI >DRNTG_12034.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:75474:77424:-1 gene:DRNTG_12034 transcript:DRNTG_12034.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMYLVTWILIILKDGAWVGHLLSGYSSPMDDPNQKKEQNKEEGVGRTWKQAIKVSYEATQQAFSRGSNFWHI >DRNTG_12034.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:75393:77424:-1 gene:DRNTG_12034 transcript:DRNTG_12034.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTLPIKKRRVYMIFYDRQCSHSFSIGNKCRKDGAWVGHLLSGYSSPMDDPNQKKEQNKEEGVGRTWKQAIKVSYEATQQAFSRGSNFWHI >DRNTG_12034.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:75393:77424:-1 gene:DRNTG_12034 transcript:DRNTG_12034.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMYLVTWILIILKDGAWVGHLLSGYSSPMDDPNQKKEQNKEEGVGRTWKQAIKVSYEATQQAFSRGSNFWHI >DRNTG_12519.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:13487655:13489108:-1 gene:DRNTG_12519 transcript:DRNTG_12519.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLPEIRNYTVREPTWLRATELGSPSPANYSSVWISKLVGDKFHSKTKHKEKRKRHQNSAKVELFQG >DRNTG_12519.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:13487655:13487933:-1 gene:DRNTG_12519 transcript:DRNTG_12519.2 gene_biotype:protein_coding transcript_biotype:protein_coding SQNSKENNKLTSKLVGDKFHSKTKHKEKRKRHQNSAKVELFQG >DRNTG_07422.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7129368:7131197:1 gene:DRNTG_07422 transcript:DRNTG_07422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQIPNCYMCILLDVDPAGPICSIIHSLLDYVGLSFENVLRHKIDSLDLVGVYNTIRIEEANRIFSKGVDSHKGQVYLVEHSGSPNSSKSSRSSYSSNRGCQFSPKGKPSKAKGKPTHSCSQTSQQPKSSPHKPYEKSPKTLFCLW >DRNTG_08281.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16260703:16261470:-1 gene:DRNTG_08281 transcript:DRNTG_08281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPFGSFLWRRTVNFHAEVEVFIPISLVWRHAQRRKRISALERLYLNWRILNSRTPSIMLDSSGYQDLFLDNT >DRNTG_31170.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17713656:17714548:-1 gene:DRNTG_31170 transcript:DRNTG_31170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCMMEETGEHVIAGAGELHLEICLKDLQNDFMASAEIIVSDPLVSFCETVLKKPMEQELAKAIDEGHIGSRDDPKAHSKIIYEEFGLDKELGLKIWCFGHETTGPNMVVDRFKGAQYLNEIKDSVIENFNGRLKQLVSKIRKRKGLKGEITMLSEYEDKL >DRNTG_13814.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28403174:28403587:-1 gene:DRNTG_13814 transcript:DRNTG_13814.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRALLLVALLAIVLLTSKVSSDTPAPTAGGDAQQDTDPAIPVAADKPGERRRCS >DRNTG_33687.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1266922:1285764:1 gene:DRNTG_33687 transcript:DRNTG_33687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPEEITKEEYGAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTRKKPNNIKLYVRRVFIMDNCEELMPEYLSFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNKAKLAELLRYHSTKSGEEMTSLKDYVTRMKDGQNDIYYITGESKKAVENSPFLEKLKRKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKKKETLVQKFEGLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLVLLLFETSLLTSGFSLDDPNTFGNRIHRMLKLGLSIDDDDSTADADTDMPPLEEAEAEESKMEEVD >DRNTG_30169.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16546995:16548343:-1 gene:DRNTG_30169 transcript:DRNTG_30169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSKKQADKRLREPSPETDDMGFAILEHQVQRGGKLAAEIEELLAVESWRRPLTDYPRSLTPQNTYRILCGQRQYGPGVSKATCLTQTSYRYIHALLSRSVNGPGESTRVLHVDLAEVRATHTVKHMEVMAHFDILQHLLERDVSSLFIVRPSTPPVPPASASPDPQAPFDLFPPAAAAEPRTPTFDVSLLYFVFIFFIF >DRNTG_06010.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11971750:11973267:-1 gene:DRNTG_06010 transcript:DRNTG_06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQYMHRVYSSQPNITNKHSKKVNLIIPRCSTNTCILLNNFLSYLDLSPKMRSTNAEFLENSWSCSSVSILCYVLINVSNILMVKEAKKEVRTSQRVLEEHKKKI >DRNTG_22205.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1400431:1401483:-1 gene:DRNTG_22205 transcript:DRNTG_22205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAILNSLMDNEEGGGGVAMEEGRVEIDTKAPFSSVKEAVMMFGERVLVGEIYAQRLNEMRGEGEKRTESFDHSRPGSVAEELVETMKSLERTRQESFEMAYCLSSLREELERTKKELNVLRSKELFGKQDQDDKFMINSDISVSESIPTEKKKIDNKKQKKMKPLIPFFGCIIPKKKYSQ >DRNTG_13641.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11939424:11942823:1 gene:DRNTG_13641 transcript:DRNTG_13641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTNLRNDVMKWRCGGAPLTAMMTVQRSLCGPGTCLLGKPSIHPVEVNLKSKAYEALVHDAEKFLMNDLYSNPGPHQYKGPGSCSKAISLTVEDQDYMGRVEELQAYLEKVKKIAKPGCSEEVLKAALSTMASLVDILSLMSPAPKASLL >DRNTG_18355.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:17085335:17094700:1 gene:DRNTG_18355 transcript:DRNTG_18355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAPGGKTTAIDILMKDKGEVVACDRSHNKV >DRNTG_18355.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:17093784:17094700:1 gene:DRNTG_18355 transcript:DRNTG_18355.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAPGGKTTAIDILMKDKGEVVACDRSHNKV >DRNTG_18355.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:17085335:17086884:1 gene:DRNTG_18355 transcript:DRNTG_18355.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSSSERYRFNPTLAWNAEVEGYFIKAYGADHFARISKYLTHPSSYSCIRVNTLKTTSDSAIKKLVSMVDHKITGGADIDAREQIVVTDFDHTIEEQSLNETSTLLDPSLDHGSMKVSGDNVESVCK >DRNTG_34236.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1422175:1432704:1 gene:DRNTG_34236 transcript:DRNTG_34236.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent malic enzyme 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G13560) UniProtKB/Swiss-Prot;Acc:Q9SIU0] MAFLELRRSLSSSLLLLRGLRAAALARSRPYTTCEGSRPTIVHKRSVDILHDPWFNKGTAFSMTERDRLDLRGLLPPNVFTTQQQIDRFMADLKRFQVSARDGPSDTNALAKWRILNRLHDRNETMYYKVLIDNIEEYAPIVYTPTVGLACQNYSGLYRRPRGMYFSAADRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVQGIGISIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKDPLYLGLQQHRLDGEDYLSVIDEFMEAVFTRWPNVIVQFEDFQSKWAFKLLQRCRDTYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKQKIVVAGAGSAGIGVVNAARKTMARMLGNNESAFESAKSQFWVVDAMGLITEERANIDPEALPFARKIKEVGHQGLREGASLVEVVCMPLRFG >DRNTG_34236.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1422175:1432704:1 gene:DRNTG_34236 transcript:DRNTG_34236.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent malic enzyme 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G13560) UniProtKB/Swiss-Prot;Acc:Q9SIU0] MAFLELRRSLSSSLLLLRGLRAAALARSRPYTTCEGSRPTIVHKRSVDILHDPWFNKGTAFSMTERDRLDLRGLLPPNVFTTQQQIDRFMADLKRFQVSARDGPSDTNALAKWRILNRLHDRNETMYYKVLIDNIEEYAPIVYTPTVGLACQNYSGLYRRPRGMYFSAADRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVQGIGISIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKDPLYLGLQQHRLDGEDYLSVIDEFMEAVFTRWPNVIVQFEDFQSKWAFKLLQRCRDTYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKQKIVVAGAGSAGIGVVNAARKTMARMLGNNESAFESAKSQFWVVDAMGLITEERANIDPEALPFARKIKEVGHQGLREGASLVEVVKKVKPDVLLGLSAVGGLFSKEVLEALKDSSSTRPAIFAMSNPTKNAECTPPEAFSIVGDHAIFASGSPFCDVNLGNGKIGHSNQGNNMYLFPGIGLGTLLSGARVISDGMLQAAAECLAAYMKDEEVLNGIIYPSISCIRDITKGGGCSCGERSGG >DRNTG_06003.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2491982:2493326:-1 gene:DRNTG_06003 transcript:DRNTG_06003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGESSPSQSLKYQTWVLRVSIHCEGCRKKVKRVLQNIDGVYEILIDSRQHKVTVTGNVAAETLIKKLAKSKKHAELWPESKPLNPNPNPNPDPNPNPNPAPNPNPPPSEKPSDPPANPDPKPTADPTPASTPAPKPADEAPAKPNPDETQPLILTTRKAPTTPIDTAAAAAVTDPAAGTKKKKKKNKKPNANIAAASGEVDPEDDEEPDQAATATPPPAHVIQHVHHVPVLSYSTAYPSTSNYGETYYASVPMHDNYYVQAPPYHPDAYYGRHVERQESYDYFSEENPNACGVM >DRNTG_18249.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4285730:4294073:1 gene:DRNTG_18249 transcript:DRNTG_18249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQVCVTSASGFVASWLVKRLLESGYHVIGTVRDPGTVINIL >DRNTG_18249.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4286120:4294073:1 gene:DRNTG_18249 transcript:DRNTG_18249.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMDLNSSMKGQVCVTSASGFVASWLVKRLLESGYHVIGTVRDPENTKKELHLWELQGAKEGLQLVKAKLTIEGSFDSAVMGCEGVFHTACPVVQGPISDPKLGSNKLNDCINT >DRNTG_18249.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4286120:4286979:1 gene:DRNTG_18249 transcript:DRNTG_18249.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMDLNSSMKGQVCVTSASGFVASWLVKRLLESGYHVIGTVRDPGTVINIL >DRNTG_32672.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:2512944:2513942:1 gene:DRNTG_32672 transcript:DRNTG_32672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRFTDTEEYDQLPIDCLGPYITRLILGMVALLDAIHRAEKMTIPSLLGLDILRLMGLVRRYILGVFILATPTQDVVEKVDDTTEDPQPFPEPQHRSHLWCT >DRNTG_25525.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2938786:2941265:1 gene:DRNTG_25525 transcript:DRNTG_25525.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G33800) UniProtKB/Swiss-Prot;Acc:P93014] MMGSRRGSCRFGGSPRSSRVGKKLSFRAIVVVGDKNGQVGIGVGKAKEVVDAIAKSATNARRNIVTVPMTKYSTFPHRSDGDYGAAKVMLRPASPGTGVIAGGAVRIVLEMAGVANALGKQLRSKNALNNARATVVAIQKMRQFRDVARERGIPMEELWK >DRNTG_03246.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000148.1:22127:30686:-1 gene:DRNTG_03246 transcript:DRNTG_03246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETQEGVEMAEAQEGAEMAAPVKMEATLTHGGRFVRYNICRFVFEVTCKYRPPITLLRSDPYGVIWSILNSETGEQVAIKRIRHAFDNILYAKRMLREVKLLRHMDHENVLAIRDIIPPPQWELFNDVYIAYELMETNLHQIIHSNQPLSEEHIQYILYQTLCGLKYIHSANVLHLDLQPSNILLNANCDLKICDFGFEPITSAVIRENFPVTKSYRAPELLLNSSGYTTAIDVWSVGCIFMELMQRKPLFPGKNIVHQMCLLLELIGTPKEDDLGFLDEVGRRSICRLPCYARQSFAEKFPQMHHTAIDLVEKMLAFNPSQRISVEDALAHPYFASLHDTSDEPVCITPFSFNFEQHVITRDHVKELIYREALALNPEYQT >DRNTG_08367.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4226240:4226774:-1 gene:DRNTG_08367 transcript:DRNTG_08367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFHTLAWLRDGREVHSMVLEMEHCTEVQEKHRFRKMVLDWLTLYYSILVKQKLTGGVDSNICLQGDRGIGEVTCQADEGFRSLGSSKDLEGASFFFLISI >DRNTG_05475.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23232115:23233128:1 gene:DRNTG_05475 transcript:DRNTG_05475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVVGKGSRVAKLEVGDQVYGNIQNFNEPEKLKKLGTLAEYVAVEEQHVALKPSQLSFEEAASLPLALQTAIEGFKNAKFKEGDSVFVVGGAGGVGTLVVQLAKQHFGAFKVVATCSTSKVEFVKSLGADMVVDYTTTKYQDIEEKFDFVYDTIGDSKNSIVVAKEEAPVVDITWPPSNPKVIYSSLTGRGESLEKLKSCLESGKIKAMIDPSGPYHFKDVISAFGHLETGRARGKVVVTSFPLFG >DRNTG_20516.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001124.1:19440:23568:1 gene:DRNTG_20516 transcript:DRNTG_20516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAHKKIKVECMGEEEAWHLFKQFANEKIINSNAIIERLARKVMKKCSGLPLALKVIGRATSNMKTPEQWRHMLRSLIKTVIGIDESLFHNLKVSYDNLASDTLQQCFLCCAQWPKDANIPVPYLIERWIGCGLISDFGNMGEAFDEGYSLIAKLNEACLLESLFNEIQNEACVKLHDVIHDMALWIVSECGKKKNKWILDGSDDHLRQFLKCEVGNWKETELILFKHLVIYEYLLKLLSDQNIDEKGQVSVAATSPRYPNLQSLSMAGYPEREIGAEVVINFFPHMPSLTHLDLSDAPIKGLSKEIRVLVNLQYLNISGTSIRSLPPELEELKQLKYFFFRSPYFEIKADGLSVLSRLPELQVLDLYKNTCLEAGDLKMLMDRKRIKAINMVVKSVKILRLLKDLPTWQIKLKNIHNIPTLQLCHDLSYKRDGEGLMRLKFSHCRFKELSINGSGVSLKHLELRYLAKLKQITRPAEAFRRGCFPKLIDVYISHCTSLRSLSWVLHLPCLERLAVESCLAMEKLIDPAEMQQASSGLPTFPNLQSLLLESMPNLVSFSTCLLDFPILDTLYLGRSPKLKKLPFKSSIVNNKFKHVVVDQDLWESLEWEDTIIQSHLNEFHKTY >DRNTG_17715.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4025583:4026347:-1 gene:DRNTG_17715 transcript:DRNTG_17715.3 gene_biotype:protein_coding transcript_biotype:protein_coding YSKIGQVIKYEHESITQGILSKLFSNLHNTLCTVKKLCHSLLIHSFAIWLNIHDYHGQQVILNKKSPTVKSIKKKPHKQVPKKKK >DRNTG_17715.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4025583:4030368:-1 gene:DRNTG_17715 transcript:DRNTG_17715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYARLAATPSTTQRSQGDSYHHSPAPGQSSNPHGKTKLFCPTLHSQIFLASTN >DRNTG_17715.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4028352:4030368:-1 gene:DRNTG_17715 transcript:DRNTG_17715.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQWLQQTADQQLAIQTASSFPSFYEEYQNQLMNHRKLEHQTNKQSH >DRNTG_11371.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:692793:697972:1 gene:DRNTG_11371 transcript:DRNTG_11371.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSYWGSFCRHRSGKVYPRVDEGTFGVEKVVPSHFFTPRLHQQSIIAIYFGLFLFIISLHNLYKATTCTDLGIHFS >DRNTG_10853.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000473.1:12946:13321:1 gene:DRNTG_10853 transcript:DRNTG_10853.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSYAVEGKEPKSTPFLFYSKTQKHSTKRLDSRMRPCSYRSDAPLTLS >DRNTG_24273.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10806611:10809556:1 gene:DRNTG_24273 transcript:DRNTG_24273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQMKKKTKTKKKKKKKKKKKKKMWRMRKMTMKMNYYFKPNEMHDDDDGSPTLRLRLPFSKRCSFSGSMAALDALRSVMVLLRSLARSASTPTGRHCSSISMLGIKWNSSPIHASSEEEDASGLKGGFDTVHKGYVDDKMRLSLRAQAVAAEVIFLGKLRHPHLVKLIGYCCEDKERLLVYEFMPRGSLENHEANSLCGFMFVLMFSFKFSINFVWESQKGMSVALPWNTRLKVAIGAARGLAFLQSV >DRNTG_03586.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8220402:8221618:1 gene:DRNTG_03586 transcript:DRNTG_03586.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTWDQRMIYDCLRSHVPPMHESHCYDEAGPSVQPPLDELVLGLQSDEISQFSNRFFDILKAADQPLYVGCENHSKLSFVARMLSIKSDANMSEANFNTMVTNIKEVLPSDNTMPNDYYHHRKTMNELGLPVVKIDACKNGCMLYWKSDANEVFCKFCNEPRYKQLKSQRGVPDNRPCKRIAQAILRYLPLTPRLQRLYASNATTAHITWHATHERNDGVICHPSDAEAWKHFDKTYPDFAKEPRNIRLGLCADGFAPHGQFGKTYSCWPVIVTPYNLPLGMCMKSPYMFLSLICPGPKNPKEEHRCFFATFD >DRNTG_03586.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8220159:8221575:1 gene:DRNTG_03586 transcript:DRNTG_03586.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTWDQRMIYDCLRSHVPPMHESHCYDEAGPSVQPPLDELVLGLQSDEISQFSNRFFDILKAADQPLYVGCENHSKLSFVARMLSIKSDANMSEANFNTMVTNIKEVLPSDNTMPNDYYHHRKTMNELGLPVVKIDACKNGCMLYWKSDANEVFCKFCNEPRYKQLKSQRGVPDNRPCKRIAQAILRYLPLTPRLQRLYASNATTAHITWHATHERNDGVICHPSDAEAWKHFDKTYPDFAKEPRNIRLGLCADGFAPHGQFGKTYSCWPVIVTPYNLPLGMCMKSPYMFLSLICPGPKNPKEEHRCFFATFD >DRNTG_03586.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8220402:8221467:1 gene:DRNTG_03586 transcript:DRNTG_03586.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTWDQRMIYDCLRSHVPPMHESHCYDEAGPSVQPPLDELVLGLQSDEISQFSNRFFDILKAADQPLYVGCENHSKLSFVARMLSIKSDANMSEANFNTMVTNIKEVLPSDNTMPNDYYHHRKTMNELGLPVVKIDACKNGCMLYWKSDANEVFCKFCNEPRYKQLKSQRGVPDNRPCKRIAQAILRYLPLTPRLQRLYASNATTAHITWHATHERNDGVICHPSDAEAWKHFDKTYPDFAKEPRNIRLGLCADGFAPHGQFGKTYSCWPVIVTPYNLPLGMCMKSPY >DRNTG_03586.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8213245:8221467:1 gene:DRNTG_03586 transcript:DRNTG_03586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWDQRMIYDCLRSHVPPMHESHCYDEAGPSVQPPLDELVLGLQSDEISQFSNRFFDILKAADQPLYVGCENHSKLSFVARMLSIKSDANMSEANFNTMVTNIKEVLPSDNTMPNDYYHHRKTMNELGLPVVKIDACKNGCMLYWKSDANEVFCKFCNEPRYKQLKSQRGVPDNRPCKRIAQAILRYLPLTPRLQRLYASNATTAHITWHATHERNDGVICHPSDAEAWKHFDKTYPDFAKEPRNIRLGLCADGFAPHGQFGKTYSCWPVIVTPYNLPLGMCMKSPY >DRNTG_03586.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8215861:8221467:1 gene:DRNTG_03586 transcript:DRNTG_03586.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWDQRMIYDCLRSHVPPMHESHCYDEAGPSVQPPLDELVLGLQSDEISQFSNRFFDILKAADQPLYVGCENHSKLSFVARMLSIKSDANMSEANFNTMVTNIKEVLPSDNTMPNDYYHHRKTMNELGLPVVKIDACKNGCMLYWKSDANEVFCKFCNEPRYKQLKSQRGVPDNRPCKRIAQAILRYLPLTPRLQRLYASNATTAHITWHATHERNDGVICHPSDAEAWKHFDKTYPDFAKEPRNIRLGLCADGFAPHGQFGKTYSCWPVIVTPYNLPLGMCMKSPY >DRNTG_10508.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6401752:6407182:1 gene:DRNTG_10508 transcript:DRNTG_10508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERKIWDTYNVKANIFKTAIDAALCCGLNDLALTQTRDGKTEGNNTKYILDIVNAGSSPVEQIHVHCGEFNPEKALNYSPAIMTKTQIAGDCLMINGGQLNPLHHIIVQYMNAFMYEMHIAMYRCHD >DRNTG_18257.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4220869:4223511:1 gene:DRNTG_18257 transcript:DRNTG_18257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRVASYFHSNSKRNTSPTPSSRPSTGKVPLNPRRTTSSGSHSSATHGRTGSRGSSQHVDTRAYIPKYVPPPNNEVPPTDEAPNDVQMNPEDDFDSETDESLEEGTEAHDGGTMQKTFIISSSLHKKSVLDILKRIIKKYYTAPWKEVSNDGYLCYWQQFQSHFTWQPHDVGEVEKMFNKKAAEWLRRNLGRAREKRKRPEWIRHNEKFVKARQDVLARRPRDTN >DRNTG_18000.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24178919:24179749:-1 gene:DRNTG_18000 transcript:DRNTG_18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIQQVLQTLLLLFSIQLAFLICSGLGSNFMIGGDVLTAGGQNLTYMEYSLTLQSDCNLVLKNGSTRVWETMTGGYSAECYVTLDLNGKLAVWHPRFRYPLWSSGIMSQVGYYALVLRYDGTLRIYGPQLWSAGSSSAQLTAGIVGWTWTKTTESVLYSGDVAPIGTTIVNGNNELTLLDDCNLVLNGDGATKWQTGVTDRTMHDCYVNLEANGELRVKHWGGDVLWTNRVASPTYSEFVLVLQTNAMLTVYGPVIWSNVISGAVSGKIDTVSAD >DRNTG_32511.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001848.1:57982:59001:-1 gene:DRNTG_32511 transcript:DRNTG_32511.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVQESEEGKGGEVVGLVVQENGRREVSLVVEKVEEEKERVEMEVEVVEENRGSVCVPPKNALLLMRCRSDPVRMAALATRFWGSPAAAKVGAEE >DRNTG_32511.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001848.1:57982:58947:-1 gene:DRNTG_32511 transcript:DRNTG_32511.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVQESEEGKGGEVVGLVVQENGRREVSLVVEKVEEEKERVEMEVEVVEENRGSVCVPPKNALLLMRCRSDPVRMAALATRFWGSPAAAKVGAEE >DRNTG_14177.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22872162:22875143:1 gene:DRNTG_14177 transcript:DRNTG_14177.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETCSAVALAPLLGGNSTAAAQYLCNQFEAVSLQLTDAKSAIDSTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGFAFAFGAPSNPFIGRHFFGLKEIPKIGFDYSYFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPIVSHWIWSTDGWASASRSAPHGLLFGSGVIDFAGSGVVHLVGGIAGLWGALIEGPRIGRFDHAGRAITLRGHSATLVVLGTFLLWFGWYGFNPGSFITILNSYGPIGSINGQWSAVGRTAVTTTLAGCSAALTTLFGKRLQTGHWNVTDVCNGLLGGFAAITSGCSVVDPWAAVICGFVAAWVLIGFNKLAEIFKYDDPFRSRTTPRWLRRLGHHLHRPLRQRKVRQRSLSGKRRETIRSLHGRRWPVTRRACSTNRGDHRLGELHHGAIVLRLEQDEVIEDFNRGRDGRHGSHSPWRLCLCLP >DRNTG_14177.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22872162:22875143:1 gene:DRNTG_14177 transcript:DRNTG_14177.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETCSAVALAPLLGGNSTAAAQYLCNQFEAVSLQLTDAKSAIDSTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGFAFAFGAPSNPFIGRHFFGLKEIPKIGFDYSYFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPIVSHWIWSTDGWASASRSAPHGLLFGSGVIDFAGSGVVHLVGGIAGLWGALIEGPRIGRFDHAGRAITLRGHSATLVVLGTFLLWFGWYGFNPGSFITILNSYGPIGSINGQWSAVGRTAVTTTLAGCSAALTTLFGKRLQTGHWNVTDVCNGLLGGFAAITSGCSVVDPWAAVICGFVAAWVLIGFNKLAEIFKYDDPFRSRTTPRWLRRLGHHLHRPLRQRKVRQRSLSGKRRETIRSLHGRRWPVTRRACSTNRGDHRLGELHHGAIVLRLEQDEVIEDFNRGRDGRHGSHSPWRLCLCLP >DRNTG_14177.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22872162:22875143:1 gene:DRNTG_14177 transcript:DRNTG_14177.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETCSAVALAPLLGGNSTAAAQYLCNQFEAVSLQLTDAKSAIDSTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGFAFAFGAPSNPFIGRHFFGLKEIPKIGFDYSYFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPIVSHWIWSTDGWASASRSAPHGLLFGSGVIDFAGSGVVHLVGGIAGLWGALIEGPRIGRFDHAGRAITLRGHSATLVVLGTFLLWFGWYGFNPGSFITILNSYGPIGSINGQWSAVGRTAVTTTLAGCSAALTTLFGKRLQTGHWNVTDVCNGLLGGFAAITSGCSVVDPWAAVICGFVAAWVLIGFNKLAEIFKYDDPFRSRTTPRWLRRLGHHLHRPLRQRKVRQRSLSGKRRETIRSLHGRRWPVTRRACSTNRGDHRLGELHHGAIVLRLEQDEVIEDFNRGRDGRHGSHSPWRLCLCLP >DRNTG_14177.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22872162:22875250:1 gene:DRNTG_14177 transcript:DRNTG_14177.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETCSAVALAPLLGGNSTAAAQYLCNQFEAVSLQLTDAKSAIDSTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGFAFAFGAPSNPFIGRHFFGLKEIPKIGFDYSYFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPIVSHWIWSTDGWASASRSAPHGLLFGSGVIDFAGSGVVHLVGGIAGLWGALIEGPRIGRFDHAGRAITLRGHSATLVVLGTFLLWFGWYGFNPGSFITILNSYGPIGSINGQWSAVGRTAVTTTLAGCSAALTTLFGKRLQTGHWNVTDVCNGLLGGFAAITSGCSVVDPWAAVICGFVAAWVLIGFNKLAEIFKYDDPFRSRTTPRWLRRLGHHLHRPLRQRKVRQRSLSGKRRETIRSLHGRRWPVTRRACSTNRGDHRLGELHHGAIVLRLEQDEVIEDFNRGRDGRHGSHSPWRLCLCLP >DRNTG_14177.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22872162:22873999:1 gene:DRNTG_14177 transcript:DRNTG_14177.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETCSAVALAPLLGGNSTAAAQYLCNQFEAVSLQLTDAKSAIDSTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGFAFAFGAPSNPFIGRHFFGLKEIPKIGFDYSYFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPIVSHWIWSTDGWASASRSAPHGLLFGSGVIDFAGSGVVHLVGGIAGLWGALIEGPRIGRFDHAGRAITLRGHSATLVVLGTFLLWFGWYGFNPGSFITILNSYGPIGSINGQWSAVGRTAVTTTLAGCSAALTTLFGKRLQTGHWNVTDVCNGLLGGFAAITSGCSVVDPWAAVICGFVAAWVLIGFNKLAEIFKYDDPFRSRTTPRWLRRLGHHLHRPLRQRKVRQRSLSGKRRETIRSLHGRRWPVTRRACSTNRGDHRLGELHHGAIVLRLEQDEVIEDFNRGRDGRHGSHSPWRLCLCLP >DRNTG_14177.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22871940:22875143:1 gene:DRNTG_14177 transcript:DRNTG_14177.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETCSAVALAPLLGGNSTAAAQYLCNQFEAVSLQLTDAKSAIDSTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGFAFAFGAPSNPFIGRHFFGLKEIPKIGFDYSYFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPIVSHWIWSTDGWASASRSAPHGLLFGSGVIDFAGSGVVHLVGGIAGLWGALIEGPRIGRFDHAGRAITLRGHSATLVVLGTFLLWFGWYGFNPGSFITILNSYGPIGSINGQWSAVGRTAVTTTLAGCSAALTTLFGKRLQTGHWNVTDVCNGLLGGFAAITSGCSVVDPWAAVICGFVAAWVLIGFNKLAEIFKYDDPFRSRTTPRWLRRLGHHLHRPLRQRKVRQRSLSGKRRETIRSLHGRRWPVTRRACSTNRGDHRLGELHHGAIVLRLEQDEVIEDFNRGRDGRHGSHSPWRLCLCLP >DRNTG_14177.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22871940:22875143:1 gene:DRNTG_14177 transcript:DRNTG_14177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETCSAVALAPLLGGNSTAAAQYLCNQFEAVSLQLTDAKSAIDSTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGFAFAFGAPSNPFIGRHFFGLKEIPKIGFDYSYFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPIVSHWIWSTDGWASASRSAPHGLLFGSGVIDFAGSGVVHLVGGIAGLWGALIEGPRIGRFDHAGRAITLRGHSATLVVLGTFLLWFGWYGFNPGSFITILNSYGPIGSINGQWSAVGRTAVTTTLAGCSAALTTLFGKRLQTGHWNVTDVCNGLLGGFAAITSGCSVVDPWAAVICGFVAAWVLIGFNKLAEIFKYDDPFRSRTTPRWLRRLGHHLHRPLRQRKVRQRSLSGKRRETIRSLHGRRWPVTRRACSTNRGDHRLGELHHGAIVLRLEQDEVIEDFNRGRDGRHGSHSPWRLCLCLP >DRNTG_13356.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000606.1:15996:19504:-1 gene:DRNTG_13356 transcript:DRNTG_13356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEDLVELKFRLFDGTDIGPIGYSSASTIAMLKERIVSEWPRDKKIIPKAANDVKLISAGKILEDNKTVAQCRPPFDELLGGVTIMHVVVQPSLPKAKSEKKIDEVPKKTSCSCSIM >DRNTG_11320.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:9004519:9005038:1 gene:DRNTG_11320 transcript:DRNTG_11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAYSCGLDEKYGFRNILTKLHVPLEDSYWGSFHRHRFGEVCPRFDEGTFGEGEATPQDH >DRNTG_28733.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2342717:2345363:1 gene:DRNTG_28733 transcript:DRNTG_28733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLEGDSRVFLQELDVVSEESIRKVIETVLEKYGRVDVLVNNAGMHLVGPLAEIPMASVEQVFNTNVYGSLRLIQAVFPHMMSRRKGKIVNVGSVAALAPGPWAGVYSASKAAVHALSDSLRVELSTYGIKVINVAPGAITSNLGNSSLTRYEQMPEWKYFNQFEAAMRKRTTISQGPRSTPAEEFAKKTVVVVLKKNPPPWFSYGRISTILGILYYLPLRVRDWIYRLVF >DRNTG_21056.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001198.1:29512:29896:-1 gene:DRNTG_21056 transcript:DRNTG_21056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRTSPPPCVVPSATSLLSTSPPASLRIKPMSLLSASSSSSSSPAAELSTPPPPLRRRISSAPRA >DRNTG_03680.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000170.1:34965:41031:-1 gene:DRNTG_03680 transcript:DRNTG_03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPQGYPHAPVVSLSTREISLSVSHALMEIPHGRGSSQGYLQGHSHAPTSSLDGGELLCKVSHGRVKITNTRVFWRGSTAPTSSLVRKKVGWGASVEAYPIPDKGILGRRVESVEATLRNHTASLHNLENQVRQIAKSLSKRPHGSLPSNTKTNPREHVKAITLRNGHEVEDTTDEIVDEYMQEMFNPDPYEALFDQEEDYEEVMMLV >DRNTG_31078.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30770780:30771578:-1 gene:DRNTG_31078 transcript:DRNTG_31078.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLTGTSLSSTSTATEAPIQPTSTTFRRANLSWKQLTRGRRSCGVVAFVQPPSTPEIISEKMKASIEAAKETCKENAESGECAAAWDEVEELSAAASHARDRLKTTDPFETFCNDNPETEECRTYDD >DRNTG_31078.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30770582:30771578:-1 gene:DRNTG_31078 transcript:DRNTG_31078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLTGTSLSSTSTATEAPIQPTSTTFRRANLSWKQLTRGRRSCGVVAFVQPPSTPEIISEKMKASIEAAKETCKENAESGECAAAWDEVEELSAAASHARDRLKTTDPFETFCNDNPETEECRTYDD >DRNTG_31078.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30770582:30771437:-1 gene:DRNTG_31078 transcript:DRNTG_31078.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLTGTSLSSTSTATEAPIQPTSTTFRRANLSWKQLTRGRRSCGVVAFVQPPSTPEIISEKMKASIEAAKETCKENAESGECAAAWDEVEELSAAASHARDRLKTTDPFETFCNDNPETEECRTYDD >DRNTG_31078.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30770780:30771437:-1 gene:DRNTG_31078 transcript:DRNTG_31078.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLTGTSLSSTSTATEAPIQPTSTTFRRANLSWKQLTRGRRSCGVVAFVQPPSTPEIISEKMKASIEAAKETCKENAESGECAAAWDEVEELSAAASHARDRLKTTDPFETFCNDNPETEECRTYDD >DRNTG_17854.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2843477:2846680:1 gene:DRNTG_17854 transcript:DRNTG_17854.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPKAQMSTVSRHYFGGESSEDNHSFYIDIIEIMQEDYGLYVWPCSVILAEYVWQQRLRFSGVSVVELGAGTSLPGLVAAKVGANVILTDNSHRIEVLENMEKICKLNKLDYTRSCLGRMGCASF >DRNTG_17854.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2843477:2846680:1 gene:DRNTG_17854 transcript:DRNTG_17854.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPKAQMSTVSRHYFGGESSEDNHSFYIDIIEIMQEDYGLYVWPCSVILAEYVWQQRLRFSGVSVVELGAGTSLPGLVAAKVGANVILTDNSHRIEVLENMEKICKLNKLDCRVTSINLIGFIEKSVLLLSVITFFLKL >DRNTG_17854.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2843477:2846680:1 gene:DRNTG_17854 transcript:DRNTG_17854.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPKAQMSTVSRHYFGGESSEDNHSFYIDIIEIMQEDYGLYVWPCSVILAEYVWQQRLRFSGVSVVELGAGTSLPGLVAAKVGANVILTDNSHRIEV >DRNTG_17854.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2843735:2846680:1 gene:DRNTG_17854 transcript:DRNTG_17854.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDYGLYVWPCSVILAEYVWQQRLRFSGVSVVELGAGTSLPGLVAAKVGANVILTDNSHRIEVLENMEKICKLNKLDCRVTSINLIGFIEKSVLLLSVITFFLKL >DRNTG_17854.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2843477:2846680:1 gene:DRNTG_17854 transcript:DRNTG_17854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPKAQMSTVSRHYFGGESSEDNHSFYIDIIEIMQEDYGLYVWPCSVILAEYVWQQRLRFSGVSVVELGAGTSLPGLVAAKVGANVILTDNSHRIEVLENMEKICKLNKLDCRILGLAWGEWGAPLFDLHPQVILGADVLYENTDFDDLFATVTFLLQNSPGSVFITTYHNRSGHHLIEFLMAKWGLRCSKLIDGFSFVPQVKVSSLQGNIQLVEIMLNDQQTKL >DRNTG_09967.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:6221866:6222235:-1 gene:DRNTG_09967 transcript:DRNTG_09967.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLINWLERFPQYKYRDFYIMGESYAGHYVPELADTIITKKKHINYTNINLKGIAIGNGYF >DRNTG_22536.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3611717:3613851:1 gene:DRNTG_22536 transcript:DRNTG_22536.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGLKRCEDERERERERDRDKDREDRKRSKEGEWDFDM >DRNTG_34896.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21817708:21820046:1 gene:DRNTG_34896 transcript:DRNTG_34896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALNIIQLGYQIRAMSESTGEHPKEQPISPDWVMPQRNSNKRKNRSIDKRHQKLVRRSSILSPNHSVLVGEIR >DRNTG_08247.13.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:122778:125135:-1 gene:DRNTG_08247 transcript:DRNTG_08247.13 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCKNFSRRPGMRPRGEGESSCSAAPSLDFSDKAQLKRYERLSTRQFGDLRTLDYAVLESIGLAERVRQLLSVGAWDKFFSVQETVYREIVLEFLATFDFDLTQLTQINMSSSKVIQFRAYGVQYQMSVTEFSIALGLYELEFTRTFEYSNLFLELPVHITPSEYWRKVSRETVYNPARSKAAQLRDPAVRVVHALLSRSLSGLGESSGVVSTRDILFLWSMVEGVPIHLGYAVAYLIWHQAQDPRVAVLFCGPYIMRLLRGLGVLTVTEEMQSVGGFVSLSMETLRAMGVVQRRQKDRGVVYRLVRAPEPGAELEESESESESETHSSGHADQAAATELPISNSRPSRAFSSRDRFSALEKGVEELRSEQRETRAEVRAELQEVRAELREVRAELRDFTHGVAAHFGRLESLIQQFLSSSPSSSHRSSPFASPSPLRQDPPTIVAPPQDQQPLRQDPPTIVAPPQDQQPLCQDPPTIVTPPQDQQPSTA >DRNTG_08247.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:119675:125135:-1 gene:DRNTG_08247 transcript:DRNTG_08247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCKNFSRRPGMRPRGEGESSCSAAPSLDFSDKAQLKRYERLSTRQFGDLRTLDYAVLESIGLAERVRQLLSVGAWDKFFSVQETVYREIVLEFLATFDFDLTQLTQINMSSSKVIQFRAYGVQYQMSVTEFSIALGLYELEFTRTFEYSNLFLELPVHITPSEYWRKVSRETVYNPARSKAAQLRDPAVRVVHALLSRSLSGLGESSGVVSTRDILFLWSMVEGVPIHLGYAVAYLIWHQAQDPRVAVLFCGPYIMRLLRGLGVLTVTEEMQSVGGFVSLSMETLRAMGVVQRRQKDRGVVYRLVRAPEPGAELEESESESESETHSSGHADQAAATELPISNSRPSRAFSSRDRFSALEKGVEELRSEQRETRAEVRAELQEVRAELREVRAELRDFTHGVAAHFGRLESLIQQFLSSSPSSSHRSSPFASPSPLRQDPPTIVAPPQDQQPLRQDPPTIVAPPQDQQPLCQDPPTIVTPPQDQQPSTA >DRNTG_08247.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:122567:125135:-1 gene:DRNTG_08247 transcript:DRNTG_08247.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCKNFSRRPGMRPRGEGESSCSAAPSLDFSDKAQLKRYERLSTRQFGDLRTLDYAVLESIGLAERVRQLLSVGAWDKFFSVQETVYREIVLEFLATFDFDLTQLTQINMSSSKVIQFRAYGVQYQMSVTEFSIALGLYELEFTRTFEYSNLFLELPVHITPSEYWRKVSRETVYNPARSKAAQLRDPAVRVVHALLSRSLSGLGESSGVVSTRDILFLWSMVEGVPIHLGYAVAYLIWHQAQDPRVAVLFCGPYIMRLLRGLGVLTVTEEMQSVGGFVSLSMETLRAMGVVQRRQKDRGVVYRLVRAPEPGAELEESESESESETHSSGHADQAAATELPISNSRPSRAFSSRDRFSALEKGVEELRSEQRETRAEVRAELQEVRAELREVRAELRDFTHGVAAHFGRLESLIQQFLSSSPSSSHRSSPFASPSPLRQDPPTIVAPPQDQQPLRQDPPTIVAPPQDQQPLCQDPPTIVTPPQDQQPSTA >DRNTG_08247.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:122567:125277:-1 gene:DRNTG_08247 transcript:DRNTG_08247.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCKNFSRRPGMRPRGEGESSCSAAPSLDFSDKAQLKRYERLSTRQFGDLRTLDYAVLESIGLAERVRQLLSVGAWDKFFSVQETVYREIVLEFLATFDFDLTQLTQINMSSSKVIQFRAYGVQYQMSVTEFSIALGLYELEFTRTFEYSNLFLELPVHITPSEYWRKVSRETVYNPARSKAAQLRDPAVRVVHALLSRSLSGLGESSGVVSTRDILFLWSMVEGVPIHLGYAVAYLIWHQAQDPRVAVLFCGPYIMRLLRGLGVLTVTEEMQSVGGFVSLSMETLRAMGVVQRRQKDRGVVYRLVRAPEPGAELEESESESESETHSSGHADQAAATELPISNSRPSRAFSSRDRFSALEKGVEELRSEQRETRAEVRAELQEVRAELREVRAELRDFTHGVAAHFGRLESLIQQFLSSSPSSSHRSSPFASPSPLRQDPPTIVAPPQDQQPLRQDPPTIVAPPQDQQPLCQDPPTIVTPPQDQQPSTA >DRNTG_08247.12.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:122778:125135:-1 gene:DRNTG_08247 transcript:DRNTG_08247.12 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCKNFSRRPGMRPRGEGESSCSAAPSLDFSDKAQLKRYERLSTRQFGDLRTLDYAVLESIGLAERVRQLLSVGAWDKFFSVQETVYREIVLEFLATFDFDLTQLTQINMSSSKVIQFRAYGVQYQMSVTEFSIALGLYELEFTRTFEYSNLFLELPVHITPSEYWRKVSRETVYNPARSKAAQLRDPAVRVVHALLSRSLSGLGESSGVVSTRDILFLWSMVEGVPIHLGYAVAYLIWHQAQDPRVAVLFCGPYIMRLLRGLGVLTVTEEMQSVGGFVSLSMETLRAMGVVQRRQKDRGVVYRLVRAPEPGAELEESESESESETHSSGHADQAAATELPISNSRPSRAFSSRDRFSALEKGVEELRSEQRETRAEVRAELQEVRAELREVRAELRDFTHGVAAHFGRLESLIQQFLSSSPSSSHRSSPFASPSPLRQDPPTIVAPPQDQQPLRQDPPTIVAPPQDQQPLCQDPPTIVTPPQDQQPSTA >DRNTG_08247.9.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:122634:125135:-1 gene:DRNTG_08247 transcript:DRNTG_08247.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCKNFSRRPGMRPRGEGESSCSAAPSLDFSDKAQLKRYERLSTRQFGDLRTLDYAVLESIGLAERVRQLLSVGAWDKFFSVQETVYREIVLEFLATFDFDLTQLTQINMSSSKVIQFRAYGVQYQMSVTEFSIALGLYELEFTRTFEYSNLFLELPVHITPSEYWRKVSRETVYNPARSKAAQLRDPAVRVVHALLSRSLSGLGESSGVVSTRDILFLWSMVEGVPIHLGYAVAYLIWHQAQDPRVAVLFCGPYIMRLLRGLGVLTVTEEMQSVGGFVSLSMETLRAMGVVQRRQKDRGVVYRLVRAPEPGAELEESESESESETHSSGHADQAAATELPISNSRPSRAFSSRDRFSALEKGVEELRSEQRETRAEVRAELQEVRAELREVRAELRDFTHGVAAHFGRLESLIQQFLSSSPSSSHRSSPFASPSPLRQDPPTIVAPPQDQQPLRQDPPTIVAPPQDQQPLCQDPPTIVTPPQDQQPSTA >DRNTG_08247.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:122479:125135:-1 gene:DRNTG_08247 transcript:DRNTG_08247.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCKNFSRRPGMRPRGEGESSCSAAPSLDFSDKAQLKRYERLSTRQFGDLRTLDYAVLESIGLAERVRQLLSVGAWDKFFSVQETVYREIVLEFLATFDFDLTQLTQINMSSSKVIQFRAYGVQYQMSVTEFSIALGLYELEFTRTFEYSNLFLELPVHITPSEYWRKVSRETVYNPARSKAAQLRDPAVRVVHALLSRSLSGLGESSGVVSTRDILFLWSMVEGVPIHLGYAVAYLIWHQAQDPRVAVLFCGPYIMRLLRGLGVLTVTEEMQSVGGFVSLSMETLRAMGVVQRRQKDRGVVYRLVRAPEPGAELEESESESESETHSSGHADQAAATELPISNSRPSRAFSSRDRFSALEKGVEELRSEQRETRAEVRAELQEVRAELREVRAELRDFTHGVAAHFGRLESLIQQFLSSSPSSSHRSSPFASPSPLRQDPPTIVAPPQDQQPLRQDPPTIVAPPQDQQPLCQDPPTIVTPPQDQQPSTA >DRNTG_08247.10.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:122634:125135:-1 gene:DRNTG_08247 transcript:DRNTG_08247.10 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCKNFSRRPGMRPRGEGESSCSAAPSLDFSDKAQLKRYERLSTRQFGDLRTLDYAVLESIGLAERVRQLLSVGAWDKFFSVQETVYREIVLEFLATFDFDLTQLTQINMSSSKVIQFRAYGVQYQMSVTEFSIALGLYELEFTRTFEYSNLFLELPVHITPSEYWRKVSRETVYNPARSKAAQLRDPAVRVVHALLSRSLSGLGESSGVVSTRDILFLWSMVEGVPIHLGYAVAYLIWHQAQDPRVAVLFCGPYIMRLLRGLGVLTVTEEMQSVGGFVSLSMETLRAMGVVQRRQKDRGVVYRLVRAPEPGAELEESESESESETHSSGHADQAAATELPISNSRPSRAFSSRDRFSALEKGVEELRSEQRETRAEVRAELQEVRAELREVRAELRDFTHGVAAHFGRLESLIQQFLSSSPSSSHRSSPFASPSPLRQDPPTIVAPPQDQQPLRQDPPTIVAPPQDQQPLCQDPPTIVTPPQDQQPSTA >DRNTG_08247.11.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:122778:125277:-1 gene:DRNTG_08247 transcript:DRNTG_08247.11 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCKNFSRRPGMRPRGEGESSCSAAPSLDFSDKAQLKRYERLSTRQFGDLRTLDYAVLESIGLAERVRQLLSVGAWDKFFSVQETVYREIVLEFLATFDFDLTQLTQINMSSSKVIQFRAYGVQYQMSVTEFSIALGLYELEFTRTFEYSNLFLELPVHITPSEYWRKVSRETVYNPARSKAAQLRDPAVRVVHALLSRSLSGLGESSGVVSTRDILFLWSMVEGVPIHLGYAVAYLIWHQAQDPRVAVLFCGPYIMRLLRGLGVLTVTEEMQSVGGFVSLSMETLRAMGVVQRRQKDRGVVYRLVRAPEPGAELEESESESESETHSSGHADQAAATELPISNSRPSRAFSSRDRFSALEKGVEELRSEQRETRAEVRAELQEVRAELREVRAELRDFTHGVAAHFGRLESLIQQFLSSSPSSSHRSSPFASPSPLRQDPPTIVAPPQDQQPLRQDPPTIVAPPQDQQPLCQDPPTIVTPPQDQQPSTA >DRNTG_08247.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:119675:125135:-1 gene:DRNTG_08247 transcript:DRNTG_08247.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCKNFSRRPGMRPRGEGESSCSAAPSLDFSDKAQLKRYERLSTRQFGDLRTLDYAVLESIGLAERVRQLLSVGAWDKFFSVQETVYREIVLEFLATFDFDLTQLTQINMSSSKVIQFRAYGVQYQMSVTEFSIALGLYELEFTRTFEYSNLFLELPVHITPSEYWRKVSRETVYNPARSKAAQLRDPAVRVVHALLSRSLSGLGESSGVVSTRDILFLWSMVEGVPIHLGYAVAYLIWHQAQDPRVAVLFCGPYIMRLLRGLGVLTVTEEMQSVGGFVSLSMETLRAMGVVQRRQKDRGVVYRLVRAPEPGAELEESESESESETHSSGHADQAAATELPISNSRPSRAFSSRDRFSALEKGVEELRSEQRETRAEVRAELQEVRAELREVRAELRDFTHGVAAHFGRLESLIQQFLSSSPSSSHRSSPFASPSPLRQDPPTIVAPPQDQQPLRQDPPTIVAPPQDQQPLCQDPPTIVTPPQDQQPSTA >DRNTG_08247.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:122479:125277:-1 gene:DRNTG_08247 transcript:DRNTG_08247.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCKNFSRRPGMRPRGEGESSCSAAPSLDFSDKAQLKRYERLSTRQFGDLRTLDYAVLESIGLAERVRQLLSVGAWDKFFSVQETVYREIVLEFLATFDFDLTQLTQINMSSSKVIQFRAYGVQYQMSVTEFSIALGLYELEFTRTFEYSNLFLELPVHITPSEYWRKVSRETVYNPARSKAAQLRDPAVRVVHALLSRSLSGLGESSGVVSTRDILFLWSMVEGVPIHLGYAVAYLIWHQAQDPRVAVLFCGPYIMRLLRGLGVLTVTEEMQSVGGFVSLSMETLRAMGVVQRRQKDRGVVYRLVRAPEPGAELEESESESESETHSSGHADQAAATELPISNSRPSRAFSSRDRFSALEKGVEELRSEQRETRAEVRAELQEVRAELREVRAELRDFTHGVAAHFGRLESLIQQFLSSSPSSSHRSSPFASPSPLRQDPPTIVAPPQDQQPLRQDPPTIVAPPQDQQPLCQDPPTIVTPPQDQQPSTA >DRNTG_08247.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:122634:125277:-1 gene:DRNTG_08247 transcript:DRNTG_08247.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCKNFSRRPGMRPRGEGESSCSAAPSLDFSDKAQLKRYERLSTRQFGDLRTLDYAVLESIGLAERVRQLLSVGAWDKFFSVQETVYREIVLEFLATFDFDLTQLTQINMSSSKVIQFRAYGVQYQMSVTEFSIALGLYELEFTRTFEYSNLFLELPVHITPSEYWRKVSRETVYNPARSKAAQLRDPAVRVVHALLSRSLSGLGESSGVVSTRDILFLWSMVEGVPIHLGYAVAYLIWHQAQDPRVAVLFCGPYIMRLLRGLGVLTVTEEMQSVGGFVSLSMETLRAMGVVQRRQKDRGVVYRLVRAPEPGAELEESESESESETHSSGHADQAAATELPISNSRPSRAFSSRDRFSALEKGVEELRSEQRETRAEVRAELQEVRAELREVRAELRDFTHGVAAHFGRLESLIQQFLSSSPSSSHRSSPFASPSPLRQDPPTIVAPPQDQQPLRQDPPTIVAPPQDQQPLCQDPPTIVTPPQDQQPSTA >DRNTG_08247.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:122634:125277:-1 gene:DRNTG_08247 transcript:DRNTG_08247.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCKNFSRRPGMRPRGEGESSCSAAPSLDFSDKAQLKRYERLSTRQFGDLRTLDYAVLESIGLAERVRQLLSVGAWDKFFSVQETVYREIVLEFLATFDFDLTQLTQINMSSSKVIQFRAYGVQYQMSVTEFSIALGLYELEFTRTFEYSNLFLELPVHITPSEYWRKVSRETVYNPARSKAAQLRDPAVRVVHALLSRSLSGLGESSGVVSTRDILFLWSMVEGVPIHLGYAVAYLIWHQAQDPRVAVLFCGPYIMRLLRGLGVLTVTEEMQSVGGFVSLSMETLRAMGVVQRRQKDRGVVYRLVRAPEPGAELEESESESESETHSSGHADQAAATELPISNSRPSRAFSSRDRFSALEKGVEELRSEQRETRAEVRAELQEVRAELREVRAELRDFTHGVAAHFGRLESLIQQFLSSSPSSSHRSSPFASPSPLRQDPPTIVAPPQDQQPLRQDPPTIVAPPQDQQPLCQDPPTIVTPPQDQQPSTA >DRNTG_33692.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1260660:1264006:-1 gene:DRNTG_33692 transcript:DRNTG_33692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMLACYPGIVAIMNKHHWRVGIMTEMAPEGYVGIGPKSILGFYKAHMVCSEHDASFYALDKQIFIL >DRNTG_33692.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1260660:1263242:-1 gene:DRNTG_33692 transcript:DRNTG_33692.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHMLACYPGIVAIMNKHHWRVGIMTEMAPEGYVGIGPKSILGFYKAHMVCSEHDASFYALDKQIFIL >DRNTG_22604.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9408120:9409867:1 gene:DRNTG_22604 transcript:DRNTG_22604.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNDPPATESGEQPVRFDPSRMIGIIKRKKLIKDLAAAYHKECMAHCQELLQLQRKWEEQQQYAERRAMEETRKQAMRPPKRQRKGA >DRNTG_22604.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9408120:9409867:1 gene:DRNTG_22604 transcript:DRNTG_22604.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNDPPATESGEQPVRFDPSRMIGIIKRKKLIKDLAAAYHKECMAHCQELLQLQRKWEEQQYAERRAMEETRKQAMRPPKRQRKGA >DRNTG_13738.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5533644:5534000:1 gene:DRNTG_13738 transcript:DRNTG_13738.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQACSSSSPLFYGPHKLQGIGDQGR >DRNTG_16647.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:19127864:19129070:1 gene:DRNTG_16647 transcript:DRNTG_16647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLEKHLARFVQSANTRFESVEATPCNHTASFHNLKNQVGQIAKSLSERPHGSFPSNTETNPREHVKAITLRSSREVEDRLPIEKPKEHAHEAIKECSIWIRIKVCLTKRRDMKM >DRNTG_22790.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3923130:3923948:-1 gene:DRNTG_22790 transcript:DRNTG_22790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMCTSYGPSSSSHALRHHHRRDERLIDSGLHMNNLIIRV >DRNTG_22790.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3922617:3923948:-1 gene:DRNTG_22790 transcript:DRNTG_22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMCTSYGPSSSSHALRHHHRRDERLIDSGLHMNNLIIRV >DRNTG_05557.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5096141:5097267:1 gene:DRNTG_05557 transcript:DRNTG_05557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAASTKGGRGKPKASKSVSRSQKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGAVTIANGGVLPNIHQNLLPKKTGKGKGELGSASQEF >DRNTG_05557.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5096141:5097059:1 gene:DRNTG_05557 transcript:DRNTG_05557.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAASTKGGRGKPKASKSVSRSQKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGAVTIANGGVLPNIHQNLLPKKTGKGKGELGSASQEF >DRNTG_20441.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4524295:4526231:-1 gene:DRNTG_20441 transcript:DRNTG_20441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQWWYDEGRAILAMVVVQSIYAGVAIFSKQALREGFNPRVIVVYRQGIAFLVLFPASILLNRGKINHLALGGKGFTLVFITALFGTTVSQNCYFQGLQLSSSSMTTAMLNLIPGVTFLISLFLGLEKLELRSLRSMAKIFGTLICIGGAMCMALYKGSAILLSSPEQNLVLGCIFLFGTDICWSLWLILQGIICKRNYLDPLSLSVWISFLATILSAIFTLCIDRNLNIWKIRTRTQLIACLFVGIFGSGTTFYLQAWTIERRGALFSALFNPLATIIATTITFLILHENLYVGSLVGAFVVIVGLYIVLWGKAEDYGTKTKLDQKDDSIEQVDGQSDLHEPLLPGTREVDV >DRNTG_17889.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17451719:17453414:1 gene:DRNTG_17889 transcript:DRNTG_17889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADEKKDVKDENPRWFSRWEDQLPSPDELMPLSQSLITADLARAFDLTSSPRRSPSLPPPSAVAAPLPDFDAPDLAVSGADGADEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLGAAGGGPASADDQLFASAPVPHHFLARGPADPFVAALQQQQIAAAAVAAQQHQQQQYYQQQQQRHLGYLGSPPGSGYDRGYLARAVPPPALHRMVDPVGVGAVRPSPPQPPPSSVGFYQEDFETGAGGGERRVLTLFPTKEE >DRNTG_16880.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29899073:29901159:1 gene:DRNTG_16880 transcript:DRNTG_16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRFRAHVDHLGDSELAFFGIFDGHGGTNASEFASKNLGDHIIEEVRTRADECEIEEAVRFGYLKTDSEFLKEDAGGGTCCVTALLKNGNLIVSNAGDCRAVLSRSGMAEALTSDHRPSRKGREGSH >DRNTG_32790.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001918.1:27300:36247:-1 gene:DRNTG_32790 transcript:DRNTG_32790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYMYEKVISGFSARLTLQELNEVTTKDGFIAAYPNRLHTLLTTHTPEFLGLNLQNGLWNLSGHGEGIIIGLIDTGVFPNHPSFSDEGMPKPPSKWKGRCDFNASICNNKIIGAKTFLDGTTGMAPIDEEGHGTHTASTAAGSFVAGAEVLGNAKGISVGMAPKAHLAIYKVCGLEECADADVLAAMDAAISDGVDVLSISLASYTQIFFESSIVIGAFSAIEQGVFVSCSAGNAGPTASVIANDAPWILTVAASTMDRKILVRVKLGNGTVIDGESVNQDDHILPAGFLPLVYAGASGKVNASFCGNGSLDGLDVKGKIVLCDRGGDIARIGKGETVRIAGGMGMILTNKVADAYSILADVHVLPASHVSYSDGLKIKEYINTSSNPTARISFLGTKLGTSPAPAITSFSSRGPSLASPGILKPDITGPGVNVLAAWPFPVLPGNVSISSEVSFNIISGTSMSAPHLSGIAALIKSIHPDWSPAAIKSAIMTTADTMDRCGKPILDERLLPANFFAVGSGHVNPKKAINPGLVYDICPDDYIPYLCGLGFTNEQVGVITRRRIDCGSVKAIAEGELNYPSISVSFKDGKTSQTFERIVKNVGEVNSSYIVEIDAPSEVFIDVKPKELYFSETIQEIKFKITFSIYNVGNSTYSQGQLKWISDKRTIIQPSSALLELGFHCCYSESTRGVNICPKKEDVSLEHMRALVRLKSPTSFPLDMIVDVGVRNFKVRFEDDGIPFIHSKTVHGPAPQKNPVLASSFRLMVLSEKSLAAAENSDAFP >DRNTG_12098.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4928154:4931588:-1 gene:DRNTG_12098 transcript:DRNTG_12098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYQRVPQEPYPPPGYSHPYPPPPSPPPEYGSPYPPPPRPGVYPPGAPPPPPGYQGYFNDGYPPPLPPPPPPPPPPPHTQVYYHHHHDHHRQDDSSCLSFLQGCMAALCCCCVLEECCF >DRNTG_21618.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1233955:1236661:-1 gene:DRNTG_21618 transcript:DRNTG_21618.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Hyperosmotic stress respons [Source: Projected from Oryza sativa (Os10g0564500)] MEERYEALKELGSGNFAVARLVRDKKTKELVAVKYIERGKKIDENVQREIINHRSLRHPNIVRFREVLLTPTQLAIVMEYAAGGELFERICSAGRFSEDEARFFFQQLISGVSYCHSMEICHRDLKLENTLLDGSPIPRLKICDFGYSKSALLHSQPKSTVGTPAYIAPEVLSRHEYDGKIADVWSCGVTLYVMLVGSYPFEEPEDPRNFRKTISRILNVQYSIPDYVRVSADCRKVLSQIFVANPKERITIPEIKESPLVSEELI >DRNTG_09430.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21177268:21180638:-1 gene:DRNTG_09430 transcript:DRNTG_09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCCRILIQCCCRRRRIGPGRRPSLRQRLGSHQRRHRWKERYK >DRNTG_27874.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10393881:10399150:-1 gene:DRNTG_27874 transcript:DRNTG_27874.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEMELLDFTDDEWANAISINEFLNPRWNIPNNGNNFVAPTIGEVVLKSRGMFTDDHWHWISIGALMGSSFIFKICFYCTIKFKL >DRNTG_22291.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21891311:21892638:1 gene:DRNTG_22291 transcript:DRNTG_22291.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPRLLLGMEVGELSRCVDLIKSLKCRMAIKLKILSKGPLNAAIDTKLRVDCLCRHGLIRRDAFKVLAVEPRSILYELEDVEKKIEFLLDKLGFSIECLAECPEYLGVNFEKEDNAALQCC >DRNTG_23965.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30834694:30838855:-1 gene:DRNTG_23965 transcript:DRNTG_23965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTGEDLVEIRAGMKPGDPSVITVNCPDKTGLGCDICRIILEFGLRITRGDVSTDGRWCYVVLWVVPRSSSTKIRWTSLKNRLLSECPTCPIPFYHDLVDPTTSQMYLLKLFSADRKGLLHDVTQVLSDLDLLIHRVKVSTTPDGRVIDLFFITDGLELLHTRKRQDDTCERLHTVLGESCISCEIQLATNFQQGFSSIPPAVAEELFRPELSDSEICLQTLRPDLAKLKKPSVTIDNSLSPSHTLLQIHCADQRGLLYDILRTLKDCNLQIAYGRFLSDQKGYREVDLFILQCDGKKILDPEKQSALCSRLRQEMLHPLRVMIVNRGPDSELLVANPVELSGKGRPLVFFDVTRALKDLGICIFSAEIGRHTTSERQWEVYRFLLDDSLEFPLANARARSQVVGRVRRTLMGW >DRNTG_31346.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2838893:2839198:1 gene:DRNTG_31346 transcript:DRNTG_31346.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKISSTSCLSSLQVSSTPKPSFASRSFDFTSLHPSAL >DRNTG_26696.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001363.1:52934:54472:1 gene:DRNTG_26696 transcript:DRNTG_26696.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDMFSRTILTPLEYHRNVSTTTLSQQHFSTNHFIITQPLRTQPKSPIHSIAETAFLMGTLGKVIYAIEFWIRKTS >DRNTG_21444.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1819211:1821954:1 gene:DRNTG_21444 transcript:DRNTG_21444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDFKAAAILEFILRQPIEDWLANELFLAIPVSSPLSPCLTKTILIRRLASELSFRSVSERSLHSLELLNDLHHSNDHSDSLRAAYCAVAVECTACFLRSRPADDYGEFFDAVNRIWNCRVTDLESAEEAAGLVSDGLKRARKEMEEAVVDSRVRDALKRRDTKKEALEAVRVYLKEESAKMGPAFLELVAEAIRGSRSSGVDPPSVELKEKGGGSLAKGGISGIKLNPLTLPEVMKVKEGLRASCMGLQTVVRDPLPDAVALAAEVSTAVPVEMGNSKRNADHVLSSVEEGDEDEDDDGEALTRRKKDTEIASRPNVVARYATRAQIAEGKQKIGNNRRTTSTEDAGANSSGSDSRDSIEINKNDDVVRDPLPDAVEMVVSEEEEVMMMMIDTGHVNDNEMVSRPNVENPNATHVQVSKGKGKIGDLGGTTSKDDAIANSSGSGSKDNNEATKKKRSIMDWNPTARAYEWEEDSDSSSSESQSLTRKPHLPSSKKGVVSPLKVQGNRNLVGRRKKLRWTTVEEDTLRKAVEKYGKGNWKLIKSCHPDIFEDRTEVDLKDKWRNMTKP >DRNTG_23173.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001260.1:77835:80243:1 gene:DRNTG_23173 transcript:DRNTG_23173.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPSSPAPGEGQTVCVTGAGGYIASWLVKLLLQRGYTVKGTVRNPDDPKNIHLKTLDGAAERLILCKADLLDYQSLCAAISGCHGVFHVASPVTDDPEQMVEPAVKGTENVVDAAAEAGVRRVVFTSSIGAVAMDPNRGPDVVVDESCWSDLEFCKKTKVHSFIA >DRNTG_23173.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001260.1:77835:80243:1 gene:DRNTG_23173 transcript:DRNTG_23173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPSSPAPGEGQTVCVTGAGGYIASWLVKLLLQRGYTVKGTVRNPDDPKNIHLKTLDGAAERLILCKADLLDYQSLCAAISGCHGVFHVASPVTDDPEQMVEPAVKGTENVVDAAAEAGVRRVVFTSSIGAVAMDPNRGPDVVVDESCWSDLEFCKKTKNWYCYGKAVAEQAACQRAKEKGVDLVVVTPVLVMGPLLQPTINASIVHILKYLDGAVKTYTNAVQAYVDVRDVAMAHVLVYETPSASGRYLCAECVLHRGDVVDILAKLFPGYPLPSRCSDEVNPRKQPYKISNQRLKDLGLQFTPMSQSFYDSVKSLQEKGHLAIAS >DRNTG_09932.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18381866:18385456:-1 gene:DRNTG_09932 transcript:DRNTG_09932.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNRNLGCFTEVVVLLWILTEMGYLNSVLSSSGRVQAEDAPVSGGGLSQNGKFSYGYASSPGKRSSMEDFYETRIDGVDGEIVGLFGVFDGHGGARAAEYVKQNLFSNLIKHPKFITDTKTAIADAYNHTDSEFLKSENNQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGKAIAVSRDHKPDQTDERQRIEEAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEVIDGSLEFLILASDGLWDVVSNEEAVEMIKPIEDPEQAAKRLMQEAYQRGSADNITCIVVRFLTDRSTDA >DRNTG_09932.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18381866:18385456:-1 gene:DRNTG_09932 transcript:DRNTG_09932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLNSVLSSSGRVQAEDAPVSGGGLSQNGKFSYGYASSPGKRSSMEDFYETRIDGVDGEIVGLFGVFDGHGGARAAEYVKQNLFSNLIKHPKFITDTKTAIADAYNHTDSEFLKSENNQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGKAIAVSRDHKPDQTDERQRIEEAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEVIDGSLEFLILASDGLWDVVSNEEAVEMIKPIEDPEQAAKRLMQEAYQRGSADNITCIVVRFLTDRSTDA >DRNTG_09932.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18381866:18385456:-1 gene:DRNTG_09932 transcript:DRNTG_09932.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLNSVLSSSGRVQAEDAPVSGGGLSQNGKFSYGYASSPGKRSSMEDFYETRIDGVDGEIVGLFGVFDGHGGARAAEYVKQNLFSNLIKHPKFITDTKTAIADAYNHTDSEFLKSENNQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGKAIAVSRDHKPDQTDERQRIEEAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEVIDGSLEFLILASDGLWDVVSNEEAVEMIKPIEDPEQAAKRLMQEAYQRGSADNITCIVVRFLTDRSTDA >DRNTG_13309.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22964502:22970947:-1 gene:DRNTG_13309 transcript:DRNTG_13309.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrofolate synthetase [Source:Projected from Arabidopsis thaliana (AT5G41480) UniProtKB/Swiss-Prot;Acc:F4JYE9] MLSSFGTSGFTSSLGFSRTRPCCTMAEDRKLGGFLDFMEKLRNYEKSGVPKGAGTDSDDGFDLGRMRRLLQRLGNPHSNFKAVHIAGTKGKGSTSAFLSNILRIEGYSVGCYTSPHLLTIRERISVGRNGGPVSADALNTLFSNVKVVIDESIDQENGALTHFEVFTALAFCLFSQEKVDIAIVEAGLGGARDATNVLCSNGLAASVITTIGEEHMAALGGSLESIAIAKSGIIKHGCPVVIGGPFVPHIEHIIRDKALPMSSPVISACDPGIQHVVNCLGRKDEKPFQICDLLIDVQKDMRLFIHLPSLKLGMLGDHQLQNAVTATCTALCLRNQGWKISDLAVRAGLESTQLPGRSQFLTTKEAEALQLSKTSVLIDGAHTEASARGLADIIRTVHPYGPLVLVVAMASDKDHAAFATQLLSGRQPDVVLLTEVNIAGGRSRMTPATDLKASWTSAATCTDCPL >DRNTG_13309.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22968645:22970947:-1 gene:DRNTG_13309 transcript:DRNTG_13309.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrofolate synthetase [Source:Projected from Arabidopsis thaliana (AT5G41480) UniProtKB/Swiss-Prot;Acc:F4JYE9] MLSSFGTSGFTSSLGFSRTRPCCTMAEDRKLGGFLDFMEKLRNYEKSGVPKGAGTDSDDGFDLGRMRRLLQRLGNPHSNFKAVHIAGTKGKGSTSAFLSNILRIEGYSVGCYTSPHLLTIRERISVGRNGGPVSADALNTLFSNVKVVIDESIDQENGALTHFEVFTALAFCLFSQEKVDIAIVE >DRNTG_23408.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001264.1:29586:30268:1 gene:DRNTG_23408 transcript:DRNTG_23408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPGNAYPYSAPGYYQQGAPPVMVPPQSMGPPAGEPTFLEQLLSFLCCCCMFDECCCDPSTTNIYV >DRNTG_33036.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3528022:3531133:-1 gene:DRNTG_33036 transcript:DRNTG_33036.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYWVSQGNKWCDFCKIYIANNSLSIRTHELGQRHKD >DRNTG_33036.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3526870:3531133:-1 gene:DRNTG_33036 transcript:DRNTG_33036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVSVIRTMLIKRISTMRKKRCQGEAEKKDAARALQQIEEKAKRSYQKDLASFQEANNSCGQTVDEKNPEWDYDATSGYYYCQDNGHHFDPNTGLFYSNELGKWITQDEAFTSHVSKPDISHSSNTKDGKHLSKKPSSTPGTSSSGAHDITASESKAGPAPGRVVSTTLNPMRFVKGAQSSIAIQKRKREGEKTKAISKEEEAALRAREAAKKRMEERKTIA >DRNTG_33036.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3526870:3527820:-1 gene:DRNTG_33036 transcript:DRNTG_33036.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVKGAQSSIAIQKRKREGEKTKAISKEEEAALRAREAAKKRMEERKTIA >DRNTG_14087.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10426344:10430090:1 gene:DRNTG_14087 transcript:DRNTG_14087.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVFCIVPPSWASVPSSGNSFVSEACLPIYSEKPQELVCTRAAKEWDPELVVHWGFGDTFPVDGREDDGVVEVPDASSAQQAPRGNNHSHESSHQANPNASSDAV >DRNTG_28829.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001448.1:30968:31283:1 gene:DRNTG_28829 transcript:DRNTG_28829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRQGHKYLDGSKPSRGYGEGLESVVSNWW >DRNTG_23027.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3226877:3229543:1 gene:DRNTG_23027 transcript:DRNTG_23027.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G38510) UniProtKB/Swiss-Prot;Acc:Q9FFX0] MAVVSICPRISRVYLGSNSVVSSEKRRFLVSSRGLNVLHVKLNYKFYLNAKKMERFDGVDGVGIEKESGSNEEQLRVLDSYFGKLQGNTAKNQASVCKGEVQDIKVMVENGEKKKKMVTGLGSLENYFGKLKFGKTVERNKTSLGIGKETSDRNSVKLMNSAAEAKMKMEAQNYIGLETKDSDENFQELPAYDEASDFWLISILAAINIGVFLFEIASPIRDSDIEHLSLPLIYGAKINKLILDGEWWRLFTPMFLVQD >DRNTG_23027.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3226877:3229543:1 gene:DRNTG_23027 transcript:DRNTG_23027.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G38510) UniProtKB/Swiss-Prot;Acc:Q9FFX0] MAVVSICPRISRVYLGSNSVVSSEKRRFLVSSRGLNVLHVKLNYKFYLNAKKMERFDGVDGVGIEKESGSNEEQLRVLDSYFGKLQGNTAKNQASVCKGEVQDIKVMVENGEKKKKMVTGLGSLENYFGKLKFGKTVERNKTSLGIGKETSDRNSVKLMNSAAEAKMKMEAQNYIGLETKDSDENFQELPAYDEASDFWLISILAAINIGVFLFEIASPIRDSDIEHLSLPLIYGAKINKLILDGEWWRLFTPMFLHSGFLHVCLGCWVLLSFAPQVCRGYGLLTFFLIYVLGGVCGNLTSFIHTPELTVCGTGPTFAIIGAWFVYQIQNKAVIPESVTESMLGKAVIATTLSFVLSNFERIDDWTHLGAMFSGIIFGFLTCPSLQLDNVSPKTTQKEGIALVQRQADPCKSLLTFTLCLLLLSLIVLNFEPQNEMLELDGFIN >DRNTG_23027.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3226877:3229543:1 gene:DRNTG_23027 transcript:DRNTG_23027.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G38510) UniProtKB/Swiss-Prot;Acc:Q9FFX0] MAVVSICPRISRVYLGSNSVVSSEKRRFLVSSRGLNVLHVKLNYKFYLNAKKMERFDGVDGVGIEKESGSNEEQLRVLDSYFGKLQGNTAKNQASVCKGEVQDIKVMVENGEKKKKMVTGLGSLENYFGKLKFGKTVERNKTSLGIGKETSDRNSVKLMNSAAEAKMKMEAQNYIGLETKDSDENFQELPAYDEASDFWLISILAAINIGVFLFEIASPIRDSDIEHLSLPLIYGAKINKLILDGEWWRLFTPMFLHSGFLHVCLGCWVLLSFAPQVCRGYGLLTFFLIYVLGGVCGNLTSFIHTPELTVCGTGPTFAIIGAWFVYQIQNKAVIPESVTESMLGKAVIATTLSFVLSNFERIDDWTHLGAMFSGIIFGFLTCPSLQLDNVSPKTTQKEGIALVQRQADPCKSLLTFTLCLLLLSLIVLNFEPQNEMLELDGFIN >DRNTG_23027.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3226877:3229543:1 gene:DRNTG_23027 transcript:DRNTG_23027.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G38510) UniProtKB/Swiss-Prot;Acc:Q9FFX0] MAVVSICPRISRVYLGSNSVVSSEKRRFLVSSRGLNVLHVKLNYKFYLNAKKMERFDGVDGVGIEKESGSNEEQLRVLDSYFGKLQGNTAKNQASVCKGEVQDIKVMVENGEKKKKMVTGLGSLENYFGKLKFGKTVERNKTSLGIGKETSDRNSVKLMNSAAEAKMKMEAQNYIGLETKDSDENFQELPAYDEASDFWLISILAAINIGVFLFEIASPIRDSDIEHLSLPLIYGAKINKLILDGEWWRLFTPMFLVQD >DRNTG_15529.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8927016:8927820:1 gene:DRNTG_15529 transcript:DRNTG_15529.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSTRLAFCIGGPYGHGPRVRERADVTIRLDGAKVVEFSIVCW >DRNTG_15529.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8927016:8927414:1 gene:DRNTG_15529 transcript:DRNTG_15529.2 gene_biotype:protein_coding transcript_biotype:protein_coding GSTRLAFCIGGPYGHGPRVRERADVTIRLDGAKVVEFSIVCW >DRNTG_02482.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2203106:2210041:-1 gene:DRNTG_02482 transcript:DRNTG_02482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTRMGMEDGSGIPEDLRCKRSDGKQWRCNALSMPDKTVCEKHYIQAKKRAANSAMRANLKKARRKSIADPEPPEIPRSVSPLGDSQFPASVSLKTMMSGRRFQDEENSVLKYGFTPNRSPLSKEVKGLLESARGEYSGKSSDSSGQAEGLACHQCQRSDRTNIVWCSGCSRRGYCENCISKWYADIPREEFRSNCPVCRNACSCRICSRGDNLIKNKIQELASLDKLRYLHRLLSFLLPVLKQIHSEQCFELELEARVNGVKADIPRAKISADEQMCCDVCRIPIFDYHRHCTTCNYDLCLACCRDLRESSAVTSKSKSTERHVADESNVVASIAVDPGSPERTINHKRVLPTSDGKTIEFLELFPKWKADADGSIPCGPVDAGGCGSSKLVLRRILKINWVAKLLKNTEEMVCGCKISDQEGCGDCPCTRSATPQTSVTREWKLFLCSQGNGTSNKLLYSPSADDLKHDGIDHFQQHWVKGEPVIIKHAFDPSFASKWDPVSIWKGIQEMEDGRMKEDDILVQAVDFLKQSEADVELSQFVKGYREGCKREDGSLVMLKLKDWPSPSVLEEFILCQRPEFFTSLPLLEFIHFKWGLLNLAAKLPPCSVQTEHGPKMFIGYGMHEELGRGDVVTNLQVNMSDLVYLLMHSAEMDIQGWERSGNDMNGKALKESEVLGSVHLGDSSMRSSEEISSPDVAGAIWDVFSRKDVPKLNEYLRVHRKELTVFATQPVVSVLCPVYDQTFFLNKDHKRKLKEEFGIEPWTFKQHVGEAICIPAGCSFQVRNLQSSVMLALGFLSPESLGESIRLAQEIRCLPNNHDAKLKMLEVGKISLYAASSVITDIQKITLDPEFGRDIKFEDQNLTSMVSENLERMTKHRQIVCS >DRNTG_33126.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20932244:20937712:-1 gene:DRNTG_33126 transcript:DRNTG_33126.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRMKEDEKNEKIIRGLLKLPANRRCINCNNLGPQYVCTNFWTFICTNCSGMHREFTHRVKSISMAKFTSQEVITLQDGGNERAKSIYFKEWDPQRHSFPDSSNIDRLREFIKHVYVDRRYTGERGTNKPPRVKSDREDYNENRTADSYRGASRSPPFEDRYDHPFNERSSSGGRNDERFSRYGYEDRSPAHDGDSKRSPGQFDVVDERRRDDKTGNGNQIQRIEDRRFPDAVHRASARSPSYQKGVDSSSPPLVRPVRDILGDDVPPLQVGDLPKPNGTGVPENSSKTQRTTSSSSLGSSDGNPVELKRANSGSLIDFNINSDPPVSSTQHVPQQTVSPLASSENWASFDVVPQQKASQTSANASSIQSSLDQLLVPAIAPTGNMPSPPVSGVHALSGNNDTRQIPVMPQNQADFFAAGNTRSALPPSDISMVNSLTWGPSVAQNMQVNLTAPAGQPSQGTTVWAGGMGSALLSQTPADAKPIGRNELPQDLFTALYPPAATSFPAWPRTPHPSMGYSMQYPVGNAIQTFPQASQSTNPFELVNETSLPHASSFPSMASLQGALPNMTGNAPLLRSASLGTPLPQWMHLQQTPSYQMASSPSPYLVQQGLTNTAAQAPNHSFPMVNHGGGFGGAGAAFGASGMETQSGARYAQQGTPSASGGNPFG >DRNTG_33126.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20932244:20937712:-1 gene:DRNTG_33126 transcript:DRNTG_33126.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANRMKEDEKNEKIIRGLLKLPANRRCINCNNLGPQYVCTNFWTFICTNCSGMHREFTHRVKSISMAKFTSQEVITLQDGGNERAKSIYFKEWDPQRHSFPDSSNIDRLREFIKHVYVDRRYTGERGTNKPPRVKSDREDYNENRTADSYRGASRSPPFEDRYDHPFNERSSSGGRNDERFSRYGYEDRSPAHDGDSKRSPGQFDVVDERRRDDKTGNGNQIQRIEDRRFPDAVHRASARSPSYQKGVDSSSPPLVRPVRDILGDDVPPLQVGDLPKPNGTGVPENSSKTQRTTSSSSLGSSDGNPVELKRANSGSLIDFNINSDPPVSSTQHVPQQTVSPLASSENWASFDVVPQQKASQTSANASSIQSSLDQLLVPAIAPTGNMPSPPVSGVHALSGNNDTRQIPVMPQNQADFFAAGNTRSALPPSDISMTWGPSVAQNMQVNLTAPAGQPSQGTTVWAGGMGSALLSQTPADAKPIGRNELPQDLFTALYPPAATSFPAWPRTPHPSMGYSMQYPVGNAIQTFPQASQSTNPFELVNETSLPHASSFPSMASLQGALPNMTGNAPLLRSASLGTPLPQWMHLQQTPSYQMASSPSPYLVQQGLTNTAAQAPNHSFPMVNHGGGFGGAGAAFGASGMETQSGARYAQQGTPSASGGNPFG >DRNTG_33126.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20932244:20937712:-1 gene:DRNTG_33126 transcript:DRNTG_33126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRMKEDEKNEKIIRGLLKLPANRRCINCNNLGPQYVCTNFWTFICTNCSGMHREFTHRVKSISMAKFTSQEVITLQDGGNERAKSIYFKEWDPQRHSFPDSSNIDRLREFIKHVYVDRRYTGERGTNKPPRVKSDREDYNENRTADSYRGASRSPPFEDRYDHPFNERSSSGGRNDERFSRYGYEDRSPAHDGDSKRSPGQFDVVDERRRDDKTGNGNQIQRIEDRRFPDAVHRASARSPSYQKGVDSSSPPLVRPVRDILGDDVPPLQVGDLPKPNGTGVPENSSKTQRTTSSSSLGSSDGNPVELKRANSGSLIDFNINSDPPVSSTQHVPQQTVSPLASSENWASFDVVPQQKASQTSANASSIQSSLDQLLVPAIAPTGNMPSPPVSGVHALSGNNDTRQIPVMPQNQADFFAAGNTRSALPPSDISMVNSLTWGPSVAQNMQVNLTAPAGQPSQGTTVWAGGMGSALLSQTPADAKPIGRNELPQDLFTALYPPAATSFPAWPRTPHPSMGYSMQYPVGNAIQTFPQASQSTNPFELVNETSLPHASSFPSMASLQGALPNMTGNAPLLRSASLGTPLPQWMHLQQTPSYQMASSPSPYLVQQGLTNTAAQAPNHSFPMVNHGGGFGGAGAAFGASGMETQSGARYAQQGTPSASGGNPFG >DRNTG_33126.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20932244:20937712:-1 gene:DRNTG_33126 transcript:DRNTG_33126.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANRMKEDEKNEKIIRGLLKLPANRRCINCNNLGPQYVCTNFWTFICTNCSGMHREFTHRVKSISMAKFTSQEVITLQDGGNERAKSIYFKEWDPQRHSFPDSSNIDRLREFIKHVYVDRRYTGERGTNKPPRVKSDREDYNENRTADSYRGASRSPPFEDRYDHPFNERSSSGGRNDERFSRYGYEDRSPAHDGDSKRSPGQFDVVDERRRDDKTGNGNQIQRIEDRRFPDAVHRASARSPSYQKGVDSSSPPLVRPVRDILGDDVPPLQVGDLPKPNGTGVPENSSKTQRTTSSSSLGSSDGNPVELKRANSGSLIDFNINSDPPVSSTQHVPQQTVSPLASSENWASFDVVPQQKASQTSANASSIQSSLDQLLVPAIAPTGNMPSPPVSGVHALSGNNDTRQIPVMPQNQADFFAAGNTRSALPPSDISMTWGPSVAQNMQVNLTAPAGQPSQGTTVWAGGMGSALLSQTPADAKPIGRNELPQDLFTALYPPAATSFPAWPRTPHPSMGYSMQYPVGNAIQTFPQASQSTNPFELVNETSLPHASSFPSMASLQGALPNMTGNAPLLRSASLGTPLPQWMHLQQTPSYQMASSPSPYLVQQGLTNTAAQAPNHSFPMVNHGGGFGGAGAAFGASGMETQSGARYAQQGTPSASGGNPFG >DRNTG_30577.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001593.1:55234:55809:1 gene:DRNTG_30577 transcript:DRNTG_30577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSSHLPKIAAMVLLLALSTLDLVMSHRLVGTCHASGYLPGKPGHCNTEHDSECCEAGKRYPQYHCSPPITDNTPATMTINSFAKGGDGGGPSECDNKYHSDNEMVVALSTGWYNGGSRCHKNIQINANGQSVLAKVVDECDSVHGCDSDHDFQPPCPNNIVDASPAVWKALGIPDSDVGDYDITWSDA >DRNTG_31886.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4578622:4580476:1 gene:DRNTG_31886 transcript:DRNTG_31886.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQVDCLWFLISLLVIFIKFLRKGITNMALCSTNSPHHPSWKMITESSPSASSASSSSMVTTKLPELASKSCKVNLMTDEESVSLENKKKKMMIRKRPSKIVIPKSFVSLEFGEAEKEKDLGEKEVEVEENEYCLASKKGKRHVMEDGYGVITNIHGDSKQAFFGVFDGHGGRAAVDFVSEKLGKNIINSLSALLETEEEEQDSLELAIKEGYLTTDREFLSKGVSSGACVATVMFKNGELHVANAGDCRVVMSKNGVAYALTSDHRPSRDDEKIRIENSGGYVNCRNGVWRVQDSLAVSRAIGDENMKKWVISEPETCKLKLTSECEFLIMASDGLWDKVSNQEALDIVMKKKNSVRSCKELVELSTKRGGRDDITVMVVDLQKFM >DRNTG_13094.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10251891:10253840:-1 gene:DRNTG_13094 transcript:DRNTG_13094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQFRHIISPTDQETTSTHDDRDDALASTTWRIPAHATSTPCASGGATHDDGFPITPASGNPTSSLDDLDHAISLGRMHIQVANGVLHPSDFCAWQITSIFKDRMDENEHCWKNVSKEMKDSYWNEFQDLDLVYPGTQEAPFPTYVMQRNCCEPFPYELFEATHTKKGTSNLIDERAQAIKDHCLNLVEQASQTQEEGTSSKELQVKITQLSETVDQL >DRNTG_30116.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31050676:31052298:1 gene:DRNTG_30116 transcript:DRNTG_30116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKVGLKKGPWTPEEDQKLLAYIEKHGHGSWRALPIKAGLQRCGKSCRLRWTNYLRPDIKRGKFNLQEEHTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPKSDTLGSQSKSAASLSHMAQWESARLEAEARLARESKFRSVSTSLHHHNSLSLGSTSSSSSSCSSSATPLDHDVLQAWTFSGVGLIDDNHSTICHNMESEEVEWKCLFGKPRQMDNFTSLVISVDSSNVGTVSSDAGDELDIVGFTGMLLDNSSDNAEERSSCAEEDEESKRYWDSILNLVNSDSPSDTPQLPPLF >DRNTG_05509.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2382486:2399753:1 gene:DRNTG_05509 transcript:DRNTG_05509.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVIERQRSPDPSRIPRHLLRFPKPSPQNAVKTRYITMYEYTTPSDEPTHLYLNGKAYEEPATETPKSGTSEIWHVINLTDDNHPLHMHLAHLSVLEQREILELEKFKDCMTRLNDAIKCHVDNHAVGKKDKVAKHERGWKNVFKAQPGFVTTMLVRFKLLGPGNTTYPFDATAEPGYVYHCHVSFFFPFFKYFFFFALI >DRNTG_05509.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2382486:2421879:1 gene:DRNTG_05509 transcript:DRNTG_05509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVIERQRSPDPSRIPRHLLRFPKPSPQNAVKTRYITMYEYTTPSDEPTHLYLNGKAYEEPATETPKSGTSEIWHVINLTDDNHPLHMHLAHLSVLEQREILELEKFKDCMTRLNDAIKCHVDNHAVGKKDKVAKHERGWKNVFKVKPGFMTTMLVRFELLGPGNTTYPFDATAEPGYLYHCHVSFLFFPNIPI >DRNTG_13801.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10234549:10235678:1 gene:DRNTG_13801 transcript:DRNTG_13801.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTHKNENIEVSASPQSSPSSLPSASPSMPPSSPSLLQDHWVLCQARQCPSQDRRLLLPAIEGLTLQFVSVVLKGSSQHQGTNFFGVFT >DRNTG_13801.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10234549:10235255:1 gene:DRNTG_13801 transcript:DRNTG_13801.13 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTHKNENIEVSASPQSSPSSLPSASPSMPPSSPSLLQDHWVLCQARQCPSQDRRLLLPGSTKTDDVLDSGFEFQSICDDFLLLVVAIEGLTLQFVSVVLKGSSQHQGTNFFGVFT >DRNTG_13801.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10234549:10235293:1 gene:DRNTG_13801 transcript:DRNTG_13801.10 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTHKNENIEVSASPQSSPSSLPSASPSMPPSSPSLLQDHWVLCQARQCPSQDRRLLLPAIEGLTLQFVSVVLKGSSQHQGTNFFGVFT >DRNTG_13801.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10234549:10235293:1 gene:DRNTG_13801 transcript:DRNTG_13801.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTHKNENIEVSASPQSSPSSLPSASPSMPPSSPSLLQDHWVLCQARQCPSQDRRLLLPAIEGLTLQFVSVVLKGSSQHQGTNFFGVFT >DRNTG_13801.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10234549:10235255:1 gene:DRNTG_13801 transcript:DRNTG_13801.12 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTHKNENIEVSASPQSSPSSLPSASPSMPPSSPSLLQDHWVLCQARQCPSQDRRLLLPAIEGLTLQFVSVVLKGSSQHQGTNFFGVFT >DRNTG_13801.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10234377:10235293:1 gene:DRNTG_13801 transcript:DRNTG_13801.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTHKNENIEVSASPQSSPSSLPSASPSMPPSSPSLLQDHWVLCQARQCPSQDRRLLLPGSTKTDDVLDSGFEFQSICDDFLLLVVAIEGLTLQFVSVVLKGSSQHQGTNFFGVFT >DRNTG_13801.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10234549:10235293:1 gene:DRNTG_13801 transcript:DRNTG_13801.11 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTHKNENIEVSASPQSSPSSLPSASPSMPPSSPSLLQDHWVLCQARQCPSQDRRLLLPGSTKTDDVLDSGFEFQSICDDFLLLVVAIEGLTLQFVSVVLKGSSQHQGTNFFGVFT >DRNTG_13801.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10234549:10235255:1 gene:DRNTG_13801 transcript:DRNTG_13801.15 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTHKNENIEVSASPQSSPSSLPSASPSMPPSSPSLLQDHWVLCQARQCPSQDRRLLLPGSTKTDDVLDSGFEFQSICDDFLLLVVAIEGLTLQFVSVVLKGSSQHQGTNFFGVFT >DRNTG_13801.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10234549:10235678:1 gene:DRNTG_13801 transcript:DRNTG_13801.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTHKNENIEVSASPQSSPSSLPSASPSMPPSSPSLLQDHWVLCQARQCPSQDRRLLLPGSTKTDDVLDSGFEFQSICDDFLLLVVAIEGLTLQFVSVVLKGSSQHQGTNFFGVFT >DRNTG_13801.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10234377:10235255:1 gene:DRNTG_13801 transcript:DRNTG_13801.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTHKNENIEVSASPQSSPSSLPSASPSMPPSSPSLLQDHWVLCQARQCPSQDRRLLLPAIEGLTLQFVSVVLKGSSQHQGTNFFGVFT >DRNTG_13801.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10234377:10235678:1 gene:DRNTG_13801 transcript:DRNTG_13801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTHKNENIEVSASPQSSPSSLPSASPSMPPSSPSLLQDHWVLCQARQCPSQDRRLLLPAIEGLTLQFVSVVLKGSSQHQGTNFFGVFT >DRNTG_13801.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10234377:10235255:1 gene:DRNTG_13801 transcript:DRNTG_13801.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTHKNENIEVSASPQSSPSSLPSASPSMPPSSPSLLQDHWVLCQARQCPSQDRRLLLPGSTKTDDVLDSGFEFQSICDDFLLLVVAIEGLTLQFVSVVLKGSSQHQGTNFFGVFT >DRNTG_13801.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10234549:10235293:1 gene:DRNTG_13801 transcript:DRNTG_13801.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTHKNENIEVSASPQSSPSSLPSASPSMPPSSPSLLQDHWVLCQARQCPSQDRRLLLPGSTKTDDVLDSGFEFQSICDDFLLLVVAIEGLTLQFVSVVLKGSSQHQGTNFFGVFT >DRNTG_13801.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10234377:10235293:1 gene:DRNTG_13801 transcript:DRNTG_13801.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTHKNENIEVSASPQSSPSSLPSASPSMPPSSPSLLQDHWVLCQARQCPSQDRRLLLPAIEGLTLQFVSVVLKGSSQHQGTNFFGVFT >DRNTG_13801.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10234549:10235255:1 gene:DRNTG_13801 transcript:DRNTG_13801.14 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTHKNENIEVSASPQSSPSSLPSASPSMPPSSPSLLQDHWVLCQARQCPSQDRRLLLPAIEGLTLQFVSVVLKGSSQHQGTNFFGVFT >DRNTG_07342.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8956048:8964010:1 gene:DRNTG_07342 transcript:DRNTG_07342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITFKTSLSSCYKEIMVHYAHLNHNQNKIKYVTDIQSQILIKLCLDWSPLLPFFSLIQCHQNPYLFPIPGYSSSKLAPSSIPCNYVLCPPCL >DRNTG_29796.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19037059:19048327:1 gene:DRNTG_29796 transcript:DRNTG_29796.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RNA-directed DNA methylation 3 [Source:Projected from Arabidopsis thaliana (AT5G04290) UniProtKB/Swiss-Prot;Acc:F4JW79] MAVKGKGKEVTGKAPMPSASASSSGKRLASAGSDLHRKKRRRSGVLQFFDESAVDAGCDDAESDEDDDDNVDRDFIEDEANKPGDLKGAGKAHQLPFLVKEEELSGDELEELIKDRYGRDSKYVVHEDDAKVFDDVSHAHDMKDPNIWRVKCMVGRERQITFSLMQKFIDLQNFGSKLLIISVFTLDHVKGYVYIEADKAYDVSEACKGFMNLYPSRIGAVPRNEVPQLLSVQNKSFEVVENAWVRLKNGKYKGDLAQVVAVEAGQKRATIKLVPRIDLQAMSKKFGGGISLKEAEIPSPRLINAVELEDFRPHIQVRRDRQTGEEFDVLDGLMLKDGFVYKKVSIGSLVCWGVKPSKSELLQFSESNKDEPEDLGWISSVYSGQQKIKVVEASHQKSDDKQGNGYKLFDLVLFGRRDFGVIIALEDESCHILKGGMGCPEVVTMKQQEIKSICADKMFTASDRSKKTIYINDVVKVLEGPLEDKQGDVRHMYRGTLFIYDDFQTESSGLFCVKSELCEKIMDLKSSSCGKKGGKSSSSFTPSAPTTLDGEEDNGNLLSRPRQKMREQTYTVGQTLRIRKGPLKGYLCRVVGVYRSDVTVKLDSLAKVLTVNENLLSVANLKRDEPAAAASFDHFGAQDRKDADQEHGASLWDSKGIFGSVSGAGDSSRDVDGWDKSTGPSGEQNISWNKNIENTADDANDDCWAKASVKKECSSSNAWEKDKLPMDAGGSWDTEGFKKANESVTLDNQMSNWDKPRATAGSFDGWGVASDKEKAVSLEEGSSWGRTAAEVANDKNDSWDTKGKCTGRNEEDAWTKVGSLGKVVDDSKSWGRSIDGPSQSKTIDSTFQGVKQSGNWDNAAACKTVSAVSDGIGSWDQVNSPSSNQPEKSNKAKGESKWESEGWDKAGISTKGQTNGWNVSKSSDPAAGWDTVKGPSACQSDNWKKVEAPIAGERVGWEKAGSSSQIDTDRWNESKSFGGHQLSSWDKVEHPAHGQSESWGKAKNVGDESSGWSKNGVPGQDQPDGWSKPKSSFGDQASNWNNDANSHGQSGSWGKAKNVNEDESGGWNKAGASSGQVQQDGWSKPKSGHGDQVSSWNNEHNMESGKNNENSWEKAKSFEVGGFGCGGGRGENVNWGNWENKSGFNGEGSSSWGGGRGGNGDFGRGKDEGNKWSQPRDSGPSWGRGRGRFGGRGNRDQNDSWNAGGESEGGWRPGGGRG >DRNTG_19748.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19343631:19345581:-1 gene:DRNTG_19748 transcript:DRNTG_19748.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLKVWHAFLPRQINLKCCRLISDSVFKALNISETLQNFAIKRNFDFKFLKNEKHRVTVECAADGCQWRLHASKEYNKNAFKIKTVHPSHTCGGGIGSASHPKASKKWVSARVIQKLKDRALYKAIDIQKDMLQEYGVQIPYKQAWLGKEHARVVFDGSDISSYDLLLWYMDKVIETNLGSIAIVERDGKDGNDDFFHVTFGIVDNETNANWTWFISKLGDALYDKGDYQEIITFVSDRFKGLVNAIARVFPSSPHAYCLRHLEANFLKANVRLGKTLREECWSIYFRIAWASTAKEFDDTVNELQATSLEAYQWLIHKYDMSHWSNYLFRGERWGEMYSNVAEPFNAWVKEARQLPVTKMVDSIRFKLMHMLCNRREQVNKWETYLCPDIHSKVEIFVEESRNLHIGHCVDDRYEVINHGYFTVDNYKLAYLEAIFPIPDNEKPTDENCELRFRLPVTRRKPGRPIRKRIESHVSEVRKLRCSRCHASG >DRNTG_03760.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:8153945:8154533:-1 gene:DRNTG_03760 transcript:DRNTG_03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKIVRGDKSQALLVDVTGSFGVSANTFQKQESAEFAYSKDQENSILLEKYFKPPSHHRNGVQIVRALQKDRKEGRKGIGAKGGHGICEEMLAQ >DRNTG_00507.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30505828:30509201:-1 gene:DRNTG_00507 transcript:DRNTG_00507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRREARRRSRSQREPPRDRAGFESSPFRC >DRNTG_00507.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30505828:30509201:-1 gene:DRNTG_00507 transcript:DRNTG_00507.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRREARRRSRSQREPPRDRAGFESSPFRC >DRNTG_00507.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30505828:30509201:-1 gene:DRNTG_00507 transcript:DRNTG_00507.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRREARRRSRSQREPPRDRAGFESSPFRC >DRNTG_20741.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21028034:21030239:1 gene:DRNTG_20741 transcript:DRNTG_20741.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGGGGSFSAGFLGREMYSNRRVLNEFPQIKAFSAFGSVYNNTGLFGIQATLSPAFVSKTIDLAARELLALASSGQVDQEN >DRNTG_00960.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21068727:21069996:-1 gene:DRNTG_00960 transcript:DRNTG_00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALRYWFAEHPAIVSFRWSHAASFGSTWSFLFSSIAIYLITSLFLHLTLSLFGRRRPIPLGPLPAIHSLAMVFVSATIFLGLLLSSLAEIRDTRWFWRRSKTPLQWLLCFPLGTRPTGRVFFWSYAFYLSRFLHLLRTFISILRRRRLTFSRLLNHSIHLCMSFLWLEFSQSFQVLAILSSTLAYIVVFGYRFWVGTGSCCFPVVLASQIALLGCNLACHLGVLLLHFWKGGCNGIGAWVFNSVLNAALLLVFLNCYVRRIMAKGKRFSLDEDCPSLHCRPSSESELLQPSPSPLKKDQ >DRNTG_00960.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21068727:21069873:-1 gene:DRNTG_00960 transcript:DRNTG_00960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALRYWFAEHPAIVSFRWSHAASFGSTWSFLFSSIAIYLITSLFLHLTLSLFGRRRPIPLGPLPAIHSLAMVFVSATIFLGLLLSSLAEIRDTRWFWRRSKTPLQWLLCFPLGTRPTGRVFFWSYAFYLSRFLHLLRTFISILRRRRLTFSRLLNHSIHLCMSFLWLEFSQSFQVLAILSSTLAYIVVFGYRFWVGTGSCCFPVVLASQIALLGCNLACHLGVLLLHFWKGGCNGIGAWVFNSVLNAALLLVFLNCYVRRIMAKGKRFSLDEDCPSLHCRPSSESELLQPSPSPLKKDQ >DRNTG_00960.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21068686:21069873:-1 gene:DRNTG_00960 transcript:DRNTG_00960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALRYWFAEHPAIVSFRWSHAASFGSTWSFLFSSIAIYLITSLFLHLTLSLFGRRRPIPLGPLPAIHSLAMVFVSATIFLGLLLSSLAEIRDTRWFWRRSKTPLQWLLCFPLGTRPTGRVFFWSYAFYLSRFLHLLRTFISILRRRRLTFSRLLNHSIHLCMSFLWLEFSQSFQVLAILSSTLAYIVVFGYRFWVGTGSCCFPVVLASQIALLGCNLACHLGVLLLHFWKGGCNGIGAWVFNSVLNAALLLVFLNCYVRRIMAKGKRFSLDEDCPSLHCRPSSESELLQPSPSPLKKDQ >DRNTG_16977.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19946497:19961036:1 gene:DRNTG_16977 transcript:DRNTG_16977.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGRGAKKRSYSASPDDYRLLEEVGHGASATVYRAIYLPTNELLAVKCLDLDRCNSNLDDIRREAQTMSLIEHPNIIRACCSFVVDSYLWVVMPFMAEGSCFHLMKIAYQDGFEESIIRSILKETLIALEYLHKHGHIHRDVKAGNILLDSSGRVKLGDFGVSACMFDKGDRQRLRNTFVGTPCWMAPEVLQPESGYDFKADIWSFGITALELAHGHAPFSKYPPMKILLMTLQNAPPGLDYERDKKFSKLLSVIQGSGCYVFGERSNEKANCREIIKAFIFQTSKAFGAVLKKYSS >DRNTG_16977.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19953965:19961036:1 gene:DRNTG_16977 transcript:DRNTG_16977.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTFLEQKRMIRDQNYFLIIRVHVIPHLVMGNQYLLMKVNIREIIVPWKSQWLGAWIPKMKSVEADIMDSSSHERVDYENRFKDDPLPSTSKQSTEPNHWKNEVGKRHQTYSGPLPGTVHNNSSSDKSWSAERFDNDIQLATDKKRRDVRKSPNLSGPLMLPNRASANSLSAPIRSSGGIGDSLEDKSKGNVIQIKGRFSVTSEHLDLVKDIPLCTVAQRPSQGTSLRKSASVGEWLVHSKQLPGSQQLKERNNSLHASVLMPHIQNLFQQTSFQQDLLVNLLNTLQQNDAVDAVQNGMASQVSNLENDLLVETATSERERLLLVKIADLQARMNSLTDELVAAKLKHLQLQQQLNAVCSREDDDDLIKEET >DRNTG_16977.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19946497:19961036:1 gene:DRNTG_16977 transcript:DRNTG_16977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGRGAKKRSYSASPDDYRLLEEVGHGASATVYRAIYLPTNELLAVKCLDLDRCNSNLDDIRREAQTMSLIEHPNIIRACCSFVVDSYLWVVMPFMAEGSCFHLMKIAYQDGFEESIIRSILKETLIALEYLHKHGHIHRDVKAGNILLDSSGRVKLGDFGVSACMFDKGDRQRLRNTFVGTPCWMAPEVLQPESGYDFKADIWSFGITALELAHGHAPFSKYPPMKILLMTLQNAPPGLDYERDKKFSKSFKEVVAMCLVKDQTKRPTAEKLLKHSFFKQAKPSELSLKSILADLPPLWDRVKALQLKDAAQLALKKMPSAEQEALSQSEYQRGVSAWNFDVEDLKAQAAMIEDDEDLPRTKEDDKGPKLFFNNKSSCDSTFSHGQSIFTDESQYKGNNCAMEEPMARGLDTKDEIC >DRNTG_12177.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5009376:5016366:1 gene:DRNTG_12177 transcript:DRNTG_12177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQSTFRFPAGHEMFLRPFSISRCSSLYPSRTLAMATSTFSSETTSSPSSDPQPNHPPAPPPLQVAKRLEKFKTTIFTQMSSLAIKHGAINLGQGFPNFDGPEFVKEAAIKAIKEGKNQYARGFGVPDLNSSIASRFKKDTGLDVDPEKEVTVTSGCTEAIAATMLGLINPGDEVILFAPFYDSYEATLSMAGAKIKAITLRPPDFSVPLNELKSAVTKDTRAILINTPHNPTGKMFSREELGFIATLCKENDVLAFTDEVYDKLAFEEDHISLASLPGMYERTVTLNSLGKTFSLTGWKIGWAIAPPHLTWGVRQAHAFLTFATSTPMQSAASVALRAPESYYEELKRDYKVKKAILVDGLKAAGFIVYPSSGTYFVMVDHTPFGFENDIAFCEYLIKEVGVVAIPPSVFYLNPEEGKNLVRFTFCKDEGTLRAAVDRMKEKLRKI >DRNTG_28570.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:10456579:10460318:-1 gene:DRNTG_28570 transcript:DRNTG_28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLANTRLIIFRAISRRWSSGRAAEQQHAMPDRCVINAMLVAYHFPKLGSDLVPALATLNMKDLPHLLLLRRVSDEIWWRYRSPRDVYLGYPEIWNCLEIVVLKGNLDKRNKRLDQMKRQDAEPSEALDFLEFPSQLNIIDDTTEVPSSRLCKRALVKSLVMGGGEHSERGRRRVEVRGVSGGGGGGGDEIGSKHKRGSKPD >DRNTG_28066.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21224531:21225644:1 gene:DRNTG_28066 transcript:DRNTG_28066.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHISYANCYDAERPWPQVKNKIVKEYPSVQMTSWMFWPVVGWINHRYLPLQFRVIFHSLVAFCWGLFLNLRARSMTLQ >DRNTG_28066.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21223047:21225644:1 gene:DRNTG_28066 transcript:DRNTG_28066.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGIIFLIISSSLELHILDLLAIFLHKLLDSIFKGKRDSKTVAKKVLLEQLTSSPWNNMLFFLYYGLIVEKRPWPQVKNKIVKEYPSVQMTSWMFWPVVGWINHRYLPLQFRVIFHSLVAFCWGLFLNLRARSMTLQ >DRNTG_28066.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21223047:21225644:1 gene:DRNTG_28066 transcript:DRNTG_28066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFLYYGLIVEKRPWPQVKNKIVKEYPSVQMTSWMFWPVVGWINHRYLPLQFRVIFHSLVAFCWGLFLNLRARSMTLQ >DRNTG_28066.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21224531:21225644:1 gene:DRNTG_28066 transcript:DRNTG_28066.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFLYYGLIVEKRPWPQVKNKIVKEYPSVQMTSWMFWPVVGWINHRYLPLQFRVIFHSLVAFCWGLFLNLRARSMTLQ >DRNTG_30978.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18700081:18702918:1 gene:DRNTG_30978 transcript:DRNTG_30978.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDVLDAKAMIRSSLESNAESGEIIQRRIINELRAATCEEDNIIRASDEKTHKAYLGGQPKPSRPLETYMFMLNFSSSNSCIFDLHPKFEHELVVKEQAHVDSYWETLQFCYPTADSKSAKHGFPGFTVPEVCVFFIYHTSIVSQGMWPAQGMWPAIDLLLG >DRNTG_31763.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18358513:18367292:1 gene:DRNTG_31763 transcript:DRNTG_31763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRMVAFGTLPNNLTNLVEKKNDSESGSTDGLNTLSLANNKLNGTIPESIGQLSQLSLLNLSSNSFVSVLTESHFANLVNLGVGPIFPAWLGSQTMLSELCLSNSRISGSIPPWFWNLTRFNLLDLSNNNLEGRLTTSLENFNLHLVDLSSNRFEGPLPKLNANYLLVINLNNNPFSGSIPSYFAVATRIQIFSFSNNHINGKAFRHSSRNLTFLQLFDISNNDMSRGLLVAGIQHQALEIIKLSHNNFSGRILDGLVSLTNLRSLHLRNNGFSGGLSLSLRKANKLEILDVGENKISGSIPTWIGEKLSSLIVLRLISNLFKGTIPKQLSKLSYLQILDLAHNGLSGCITYTFGDFKAMVVTNHSELLSLLSIPPTTMRSCTFHESCTDFVGSKIHYVGIALSEIILEFNVSIFEFERTLRRNVRPRFPSSRFNEDAGFIAEPPKTTKKKVTREDILEASDRSHVTLEDSINYSFPPLPSGEEYRPLIILARVTEVEALMPTWFWLCEVYGRLSASRVHKTGFGA >DRNTG_31382.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11327533:11357305:-1 gene:DRNTG_31382 transcript:DRNTG_31382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDFDDNDVIGMAVRRVPRLKRIAKRRKTIMSLPPPADDEIIAGPPAVDRPDY >DRNTG_02618.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21350171:21354302:1 gene:DRNTG_02618 transcript:DRNTG_02618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASVVPSFGLKATTGTNNAVDKLPDEMNDMKIKDDKEIEATVIDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETFAIKKVLQDKRYKNRELQTMRLLDHPNVISLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKMNQRMPMIYVKLYSYQIFRALAYIHGSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTSAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRSTALDALIHPFFDELRDPNTRLPNGRYLPPLFNFKPHELKGVPTEVLVKLIPEHARKQCAFLGL >DRNTG_33865.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20512865:20513409:1 gene:DRNTG_33865 transcript:DRNTG_33865.1 gene_biotype:protein_coding transcript_biotype:protein_coding PASSLTLPPPSLTHPSLPSSPPIRPTPPSLVPVLFLPLPSPPPPHRQRWIGRSDFVAANWTRFHVRYIPA >DRNTG_20150.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12800693:12801703:-1 gene:DRNTG_20150 transcript:DRNTG_20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRGEQNAMEVIQSKETPEVLAPTPPNRAPVTERERRKGQNVRKKRN >DRNTG_07407.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7363017:7364758:-1 gene:DRNTG_07407 transcript:DRNTG_07407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENKMKITASILLTFIYFHLLFNPTVADKKAYIVYFGGHSHNSREASLLDTETITNSHYDFLESFLGSKNKAQEAIFYSYTKYINGFAAELEEEEAVEISKHSGVISVFENRGHELHTTRSWKFMGLERDGGQIPKMSIWSKARFGEDTIIGNLDTGVWPESKSFKDDGMGPIPSKWKGICQNNSPNKFSCNRFVFQFFFSLIHYFFLKKRN >DRNTG_21214.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:996852:997493:-1 gene:DRNTG_21214 transcript:DRNTG_21214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLQRVRCWEYRQHPSIVRVTRPTRHVQVC >DRNTG_29551.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11367271:11367914:1 gene:DRNTG_29551 transcript:DRNTG_29551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGRFINVYATNDWILGVTFRASLLTQGLAGIQAIDAPGIENVDVTDFIDGHSYLLAVPQMLQQLELNTYYPIFVSPPTETKQTN >DRNTG_12292.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3206774:3210279:-1 gene:DRNTG_12292 transcript:DRNTG_12292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTASDAQALIRSLRSAYASTPTNLKIIDLYVVFAVLTAIIQVAYMGIVGSFPFNSFLSGVLSCVGTAVLAVCLRIQVNKDNKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >DRNTG_23466.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2724332:2726613:1 gene:DRNTG_23466 transcript:DRNTG_23466.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity phosphatase Cdc25 [Source:Projected from Arabidopsis thaliana (AT5G03455) UniProtKB/Swiss-Prot;Acc:Q8GY31] MARSLSYISSSQLISIARNPRIAIIDVRDEERNYDAHIAGSHHYASDTFSDRIPSLIDAVKGKDTLVFHCALSQIRGPTCARTLLNYLSETKEGSEIKNVMVLERGFNGWEASGRPVCRCSDIPCKGESS >DRNTG_10160.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9826177:9827413:1 gene:DRNTG_10160 transcript:DRNTG_10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSSMDGTPMNNTEGVQGEKRKGTPNKRWTKEMDNVLIPLLADMARSGLKVDKSFKRGVGWNDTEKKLVLEDETYRTYVEGQPKAKEYLNKPIPFFDELCFVAGDDHATGDYARTIFDQFGGTPGEDESAPPLNTPLDGEPMETENQRHEALRSSTNKTTARATRRTRTNGENGLGENIGEKIGELAASIDRNKKRTWKEKLADVLWDMEGYSDDDMEMVYNKLIDNKKEAKNFYLRKPSLRKKWVDNFIASMRNSSP >DRNTG_08602.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30341827:30345428:1 gene:DRNTG_08602 transcript:DRNTG_08602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASAIKRASARSRKPKPTPSAKSRKTSPRTIK >DRNTG_10717.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29560412:29561014:-1 gene:DRNTG_10717 transcript:DRNTG_10717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKCPPHGIVEWMQIQIFYNGLNISTKQMLDAASRGSLCNKQPSAAYTLIEEMASNGYQWSSERSKPVKAAKIYQVDVVTTLVAQVEAITKRLDAMQSISSVSRPSGDSWAPPCEASVSTDMGQGHGQQQIKGPPLSTQSSQPSSSSNSIAELTGLIS >DRNTG_12050.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19677266:19679650:-1 gene:DRNTG_12050 transcript:DRNTG_12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGIPTPSGRGPGGIGDSPPHPIPRVYKSPKQLMAKQNFRTHLFKLSDPSQISELIGDDLKVEGYVRTHGDIITRVDHEAERDIKEEIIMMAKEERRIEKSLGLSLRCSSSNERRQRSE >DRNTG_10572.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1609514:1611011:-1 gene:DRNTG_10572 transcript:DRNTG_10572.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g22760 [Source:Projected from Arabidopsis thaliana (AT4G22760) UniProtKB/Swiss-Prot;Acc:P0C8Q5] MPERNSASWNGIISAYVDVGDIGMARKVFDEMPVRSSVSWIAMISGYSQSGDVVLARELFDRMEERDVFAWNAMIACYAQNGCPRDALGLFNRMNKPETNVLPDEMTFSSAISACAQLSEMRFGLWIESHMACVGVEMDDHLRTALIDLYSKCGGVEKAFELFNGLRKRDLVSYSAMILGCGINGRSTDAVRLFDEMLRENIVPNSVTFVGLLAAFKHAGLLQEAHRCFETMWSEHKVKPSVDHYAIMVDLLGRNGSVEEAYQLIKEMPMKPHVGVWGALLQACR >DRNTG_32920.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1229473:1231973:1 gene:DRNTG_32920 transcript:DRNTG_32920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNAAMASLSVPRHAIDSRPKLSSSFLPAFSSPSLTSSFSHSIPIPRTSSLCRRTLTVKAMAPPKPAGKAKKVIGIVKLALEAGKATPAPPVGPALGSKGVNIMAFCKEYNAKTAEKAGYVIPVEITVYDDKSFTFVLKTPPASVLLLKAAGVEKGSKEPQREKVGKVTAEQVRAIATEKMPDLNCTSIESAMRIIAGTAANMGIDVDPPILEPKKKVLL >DRNTG_13418.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17360747:17361285:-1 gene:DRNTG_13418 transcript:DRNTG_13418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVETEILTQVIGKERCGRVRGLGLGPTLKTYYGGTTSRTSTTSSAQSSEFVERFNQMEQQMQQLKEEREQERAQREEERAQECARYNALLGFLQTRFPGVTIPGVDPAGSTSQSQHQPSGDE >DRNTG_26489.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:654526:654795:1 gene:DRNTG_26489 transcript:DRNTG_26489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGATSGGKDYPGKLTAFVVMTCLVASTGGLLFGYDIGISGKLLPSFPPVFRLFNATRGRHPFAHPSPVWICLHFLVHLASSQLDPTL >DRNTG_24763.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20349437:20353623:1 gene:DRNTG_24763 transcript:DRNTG_24763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPTDEMEREFAGAEAEPAAKRDQPESAKKEPEPEPEPESMDVMDEEKEKKERKAAAQKEKEAGNAAYKKKDFETAIQHYSRALELDDEDISFLTNRAAVYMEMGKYEDCIKDCDKAVERGRELRSDFKMIARALTRKGTAFVKLAKCSMDYDTAIETFQKALTEHRNPDTLKKLNEAERAKKELEQLEYYDPNIADEEREKGNEFFKQQKYPEAVKHYTEALKRNPEDARVYSNRAACYTKIRVPYLKD >DRNTG_20453.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4592826:4595889:-1 gene:DRNTG_20453 transcript:DRNTG_20453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSHSREGLSLSDSDSEFEELEEAVEETLQSDSGARASGSETQTRSSVDDVDAKLQALKLKYSSKASPSPSKSPNPNPNISNAVKLYLHIGGDSPTARWITSEKVTFFSFSRYPSGSDDDDDEEEEQEEGNWKAPPWFLKVGAKITARISPELQLKPFPDQRRVDFVADGVWALKFFSTDAYHGFFSRYQDCLFENTHNREANDTSRLKVFGKDFLGWAKPEVADDTIWEDAEESFEKSPNVVAVGQDLLEEFEEETGGGGIQSLALGVLDNSFLVGDSGIQVVKNFSHGIHGKGVSVKFSDGGKGVQYSTPKKALLMRAETNMLLMSPATVGKPHATGVHQLDIETGKVVTEWKFEKDGTDITMRDITNDSKGAQLDPSESTFLGLDNNRLCRWDMRDRRGIVQNLANSMESPVLHWTQGHQFSRGTDFECFASTGDGSIAVGSTDGKIRLYSKNSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILVCTIFKDKDGKEKSGFSGRMGNRIAAPRLLKLTPLDSHLAGKDKKFQKGQFSWVTENGKQERHLVATVGKFSVIWNFQQVKNSHHECYRNQEGLKSCYCYKIVLKDESIVDSRFMHEKFSMTDSPEAPLVVATPMKVSSFSISSRR >DRNTG_21378.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19424115:19427805:1 gene:DRNTG_21378 transcript:DRNTG_21378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRARGGRREGPEGGRMGVGSIGWWLSIDRADLSVVTHSGSGDPLPTPSQMVGDALQACLQSAIEVIYECNVTVDIFHALHALFLCKY >DRNTG_08013.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30084555:30086731:-1 gene:DRNTG_08013 transcript:DRNTG_08013.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAEMLAKHAHDILKKGGGIDKQSNSTSEDELDKIAGLVPYINDKDMFAELHRKKLMLRLLYDKNADIEHENYFILSLKKHNGAVLTSKMERMITDLSLSRKTQSDFKNFLETHQGENADPSIDMAVTILTSGVWPSLKSSSLHLPSEMAQGAEFFKEFYNSKNNKKKLTWIHTLSTCNLIGHFDKKSIELILTTYQASALMLFNDAERLSFEEIMTQLNVDKDDLVWVLHSLSCSKYKILKKEPSSESISPADHFKFNSEFTVTMPKIKVPLPRIEDKEVIREVKDKHQYAIAAAITRIMKRRKVLGINELVDECIQQLSHLFEPSFRMIKLQIDSLIDKEYLERDANQPNVFKYVA >DRNTG_08013.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30084555:30088346:-1 gene:DRNTG_08013 transcript:DRNTG_08013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIESVSLSSALPKFIGHTFLHLEQYDRTEISITERVQQLFPESLLDDMAQKLCDAVFYLIYQDRQGKQVDWDLVKSSFDVIRNTALDNQLKQNSCTKKLSKEKTSLMEKYTVGLEKPLLADCSKYYSQLATDYVQKYSCSEYMLMAEQCLEKLDKIATSCLPLSLQQKLIEVAQHELIVVQHKHLFEKDDSGLKILLKCGMAKDLKRMYKLFSHTKEGMSLMISSVIRTFTKNGEELVKKAKDADEKKDFTEGIPRLYFEFATYIKNYFSDDFRFHKALCNAFEILRREVGCKMTTAEMLAKHAHDILKKGGGIDKQSNSTSEDELDKIAGLVPYINDKDMFAELHRKKLMLRLLYDKNADIEHENYFILSLKKHNGAVLTSKMERMITDLSLSRKTQSDFKNFLETHQGENADPSIDMAVTILTSGVWPSLKSSSLHLPSEMAQGAEFFKEFYNSKNNKKKLTWIHTLSTCNLIGHFDKKSIELILTTYQASALMLFNDAERLSFEEIMTQLNVDKDDLVWVLHSLSCSKYKILKKEPSSESISPADHFKFNSEFTVTMPKIKVPLPRIEDKEVIREVKDKHQYAIAAAITRIMKRRKVLGINELVDECIQQLSHLFEPSFRMIKLQIDSLIDKEYLERDANQPNVFKYVA >DRNTG_08013.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30086641:30087604:-1 gene:DRNTG_08013 transcript:DRNTG_08013.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDLKRMYKLFSHTKEGMSLMISSVIRTFTKNGEELVKKAKDADEKKDFTEGIPRLYFEFATYIKNYFSDDFRFHK >DRNTG_08013.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30084555:30085520:-1 gene:DRNTG_08013 transcript:DRNTG_08013.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNDAERLSFEEIMTQLNVDKDDLVWVLHSLSCSKYKILKKEPSSESISPADHFKFNSEFTVTMPKIKVPLPRIEDKEVIREVKDKHQYAIAAAITRIMKRRKVLGINELVDECIQQLSHLFEPSFRMIKLQIDSLIDKEYLERDANQPNVFKYVA >DRNTG_08013.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30084555:30085964:-1 gene:DRNTG_08013 transcript:DRNTG_08013.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTILTSGVWPSLKSSSLHLPSEMAQGAEFFKEFYNSKNNKKKLTWIHTLSTCNLIGHFDKKSIELILTTYQASALMLFNDAERLSFEEIMTQLNVDKDDLVWVLHSLSCSKYKILKKEPSSESISPADHFKFNSEFTVTMPKIKVPLPRIEDKEVIREVKDKHQYAIAAAITRIMKRRKVLGINELVDECIQQLSHLFEPSFRMIKLQIDSLIDKEYLERDANQPNVFKYVA >DRNTG_02097.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10570026:10582033:-1 gene:DRNTG_02097 transcript:DRNTG_02097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWSTTLIFFLAGVVASLSLRLCCNRGPSTNLFHLTLIITATVCCWMMWAIVYLAQMNPLIVPVLNGE >DRNTG_02097.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10570026:10582033:-1 gene:DRNTG_02097 transcript:DRNTG_02097.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWSTTLIFFLAGVVASLSLRLCCNRGPSTNLFHLTLIITATVCCWMMWAIVYLAQMNPLIVPVLNGE >DRNTG_05060.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3626740:3641214:1 gene:DRNTG_05060 transcript:DRNTG_05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCTKLLRRCLSSTASSSPQAWLFVGLGNPGEKYQHTRHNVGFDMIDTFAQSQGIPMTSVHCKTFFGEGFVGGTPVLLAKPQTYMNLSGESAGPLAAYYKLPLNRVLLIFDDMDLPCGVLRIQPKGGHGHHKGLKSVIHHFQGNRDFGRLRIGRPPGQMDPKAFLLQKFNKLAHERIDSAVQEGVEILNSIVANGLTECAGQPMQIRNTSI >DRNTG_05060.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3626740:3633596:1 gene:DRNTG_05060 transcript:DRNTG_05060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCTKLLRRCLSSTASSSPQAWLFVGLGNPGEKYQHTRHNVGFDMIDTFAQSQGIPMTSVHCKTFFGEGFVGGTPVLLAKPQTYMNLSGESAGPLAAYYKLPLNRVLLIFDDMDLPCGVLRIQPKGGHGHHKGLKSVIHHFQGNRDFGRLRIGRPPGQMDPKAFLLQKFNKLAHERVSTELPHMCSFLAVIHS >DRNTG_05060.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3626740:3631261:1 gene:DRNTG_05060 transcript:DRNTG_05060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCTKLLRRCLSSTASSSPQAWLFVGLGNPGEKYQHTRHNVGFDMIDTFAQSQGIPMTSVHCKTFFGEGFVGGTPVLLAKPQTYMNLSGESAGPLAAYYKLPLNRVLLIFDDMDLPCGVLRIQPKGGHGHHKG >DRNTG_16863.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15879765:15880997:1 gene:DRNTG_16863 transcript:DRNTG_16863.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAPIIPRTSKALNHLLTFSIVDQDADWISHLPGQPTNVAFSQYSGYITVNEKAGRALFYWLVETPALVQQAPLVLWLNGGPGCSAIGYGASEELGPFRINSDGRHCSSISMLEIKWRIYYFFFESPAGVGFLYTNTSSDLYTVGDHRTAIDAYHFLVNWRERFPQYKHTDFYIAGESYAGHYVPQLSQLVYRKNKGIQNPIINLKGFMVGNAVTDDYNDYVGTFQYWWTHGLISDDTYKDLKVTCDLQSSEHPSMECVKVLDSVSLEFGNIDPYNIYTITCNMTESLKRTLRGHYPWMSRAYDPCTESYARMYYNHPEVQKALHVNVTGINHAWDTCSNIVGTYWADSPRSMLPIYRELITGGLRIWVFSIDTDAVVPVIATRYSIDALKLPTITNWYP >DRNTG_03355.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18093652:18095390:-1 gene:DRNTG_03355 transcript:DRNTG_03355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKKGSLMVIMLLMVMGIIGEAQLFPNFYRSTCPNVESIVRQAVTRKIQQTFVTVPATLRLFFHDCFVEGCDGSVLISSPRGDAEKDAPDNLSLAGDGFDTVIKAKQAVEAQCPGVVSCADILTIAARDVVALSGGPNFQVELGRRDGLISFRWRVTGNLPGPTFSVNLLNWIFRKNNLTQTDMIALSGGHTVGFSHCTRFANRLYSFNSTHQTDPSLNPSYARQLMQACPRNVNPLVAINMDPTTPNSFDNAYFKNLVNGEGLFTSDQGLFTSFQSRATVNAFASNQASFFSAFSAAMVRLGRTGVKTGRQGEIRRDCSAFN >DRNTG_24220.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22645296:22649861:-1 gene:DRNTG_24220 transcript:DRNTG_24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDIFTAKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDDALLTQLKDGFGEGKDLVVTVMSAMGEEQICALKDIGPK >DRNTG_32809.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:9656571:9656966:1 gene:DRNTG_32809 transcript:DRNTG_32809.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDDPNYKKGFKFDHVWHIVRNFEKFKDNVMTSRQISRKHAFDYLSSESKNPMPESQPPESTVLSQFSLNLNDSVGGSPSDLPIGQKKAKLKKKMDDEVATSLSRLKDDNSKIMEMLEKTNTDRQMFIEM >DRNTG_26955.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30179846:30187811:-1 gene:DRNTG_26955 transcript:DRNTG_26955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFFSSLFSITLVSCVASLSSLEPVVPITRDKSLVVIDPLSSEPICSTGKLEVTATNSKASQAAFTSFTWATADSNRLPEASPKALFSLQDSLASFRTPPE >DRNTG_26955.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30181714:30187811:-1 gene:DRNTG_26955 transcript:DRNTG_26955.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFFSSLFSITLVSCVASLSSLEPVVPITRDKSLVVIDPLSSEPICSTGKLEVTATNSKASQAAFTSFTWATADSNRLPEASPKALFSLQDSLASFRTPPE >DRNTG_26955.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30179846:30187811:-1 gene:DRNTG_26955 transcript:DRNTG_26955.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFFSSLFSITLVSCVASLSSLEPVVPITRDKSLVVIDPLSSEPICSTGKLEVTATNSKASQAAFTSFTWATADSNRLPEASPKALFSLQDSLASFRTPPE >DRNTG_26955.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30179846:30187811:-1 gene:DRNTG_26955 transcript:DRNTG_26955.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFFSSLFSITLVSCVASLSSLEPVVPITRDKSLVVIDPLSSEPICSTGKLEVTATNSKASQAAFTSFTWATADSNRLPEASPKALFSLQDSLASFRTPPE >DRNTG_15975.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5116700:5122036:1 gene:DRNTG_15975 transcript:DRNTG_15975.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVGAKSEELGAEGSGMLARSEITHGEHPLSLGKTSVWNQFFQDTEIIEQIDRDVKRTHPDMHFFSGDSSFAKSNQESLKNILIVFAKLNPGIRYVQGMNEVLAPLFYVFRNDPDENNAAAAEADSFFCFVELLSGFRDNFCQKLDNSVVGIRSTITKLSQLLKKHDGELWRHLEITTKVNPQFYAFRWITLLLTQEFNFADSLQIWDTLLSDPEGPQETLLRICCAMLILVRRRLLAGDFTSNLKLLQKYPSTNISHLLYVANKLRGSSSG >DRNTG_15975.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5116331:5122036:1 gene:DRNTG_15975 transcript:DRNTG_15975.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVGAKSEELGAEGSGMLARSEITHGEHPLSLGKTSVWNQFFQDTEIIEQIDRDVKRTHPDMHFFSGDSSFAKSNQESLKNILIVFAKLNPGIRYVQGMNEVLAPLFYVFRNDPDENNAAAAEADSFFCFVELLSGFRDNFCQKLDNSVVGIRSTITKLSQLLKKHDGELWRHLEITTKVNPQFYAFRWITLLLTQEFNFADSLQIWDTLLSDPEGPQETLLRICCAMLILVRRRLLAGDFTSNLKLLQKYPSTNISHLLYVANKLRGSSSG >DRNTG_15975.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5116331:5122036:1 gene:DRNTG_15975 transcript:DRNTG_15975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGVPDWLNSSLWSSSTSTLSSSVKPEPQIPKPTPPPPPPPPPQAPSPPPPPPPPAPPVIDFPPKDDHGSPCFSPEEISRQSQLLSELSKRVINIVELRRLASQGIHDGAGIRPTIWKLLLGYLPNDRSLWMHELEKKRSQYKAFKDEFLVNPSEVTRRMAESVGAKSEELGAEGSGMLARSEITHGEHPLSLGKTSVWNQFFQDTEIIEQIDRDVKRTHPDMHFFSGDSSFAKSNQESLKNILIVFAKLNPGIRYVQGMNEVLAPLFYVFRNDPDENNAAAAEADSFFCFVELLSGFRDNFCQKLDNSVVGIRSTITKLSQLLKKHDGELWRHLEITTKVNPQFYAFRWITLLLTQEFNFADSLQIWDTLLSDPEGPQETLLRICCAMLILVRRRLLAGDFTSNLKLLQKYPSTNISHLLYVANKLRGSSSG >DRNTG_00917.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21515400:21518877:1 gene:DRNTG_00917 transcript:DRNTG_00917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFHFFNCAILTFGPHAVYYSATPLSEYDTVGTSVKAAVVYLATALVKLVCLATFLKVPENDNFDPYQELLKAMIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWLGARGLEFTWEYILQGLEANANLVLNLSLAALGSLMWLRKNKPKTLVPIIYAFAGILATMPSITSYLSRGLGWNFPEVVGFELISSLVMAFFSWQLFSACQKPST >DRNTG_27807.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27245615:27246532:1 gene:DRNTG_27807 transcript:DRNTG_27807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLVSLEKYPQLLHNEATCCSKLTTHAIIHGFSCLIMKEGSIGPQVSKRKSTICYEGFVSNEDAKVVIGKLGLWSCSSSSKRSKDNQLLEEGVYRLLEEKEASLTELEEAFTVFDEDGDGFMNQRDLFRVMNKLGFEGVKVEECKKMIKVYDLDGDGCISFKEFRFMLECAT >DRNTG_18353.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:17037746:17038918:-1 gene:DRNTG_18353 transcript:DRNTG_18353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCREKKAKGIQNHSQFTPDVHRTSSPSFATYRLRPVSIAF >DRNTG_06217.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8399913:8400968:-1 gene:DRNTG_06217 transcript:DRNTG_06217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPYASECNPHERAENSHARAPDPQGQTHALVASLSSRENSLSVSHARVEISHGRGSLLGNSQGQTHALVSSRDRREILPVSSREKSLSLCNETHARAKIQHGCGPLQGRSQGRVHAPVP >DRNTG_17604.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:9025113:9041146:1 gene:DRNTG_17604 transcript:DRNTG_17604.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein family [Source:Projected from Arabidopsis thaliana (AT5G54290) UniProtKB/TrEMBL;Acc:F4K0A8] MASSFLTARFQTPSSSTFSPRTMPLSSPILFSRAPGVLSHPRFSAFTNSSKKGVERHGFPAKMLRVSSPVWAAGFMLHEGAANALPLNHIMEVAYIPFLADLNVGDWFGGFLYSAGQQANEAVQDQLSALSFSSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKSRTEIVANSVAFSLGLATTLAILGIAASFAGKAYGQVGQGLPLAASGLAIVMGLNLLQIIEIQLPSFFDNFDPRAAASNFPSSVQAYLAGLTFALAASPCSTPVLATLLGYVAASRDPVIGGSLLLTYTTGYVAPLLLAASFAGALQSLLSFRKFSAWINPMSGALLLGGGIYTFLDKMFPAAMIMNQ >DRNTG_22808.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3794064:3796244:1 gene:DRNTG_22808 transcript:DRNTG_22808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSCHLILTGHSLSWAGAYPEIVTCANKFWLPNGLNDWAHRLAAEPGTGQLNPLHPRTYEVLHNVFRDSASLFPDPFFHSGADELTPFCWSSDPSIQSFLSSGHNLSQLLQRFISDTHPFLISLNRTVVYWEDILLDPTIQVPSSLIPPSTTILQTWNNGINNTKQLVGAGYRVIVSSSDFYYLDCGHGDFVGNDSAYDVVSDLKTNGESWCGPFKTWERIYDYNIVEGLKEKEKELVIGGEVVLFSEQADGTVLDGRVWPRTAALAEAMWSGNRDEKGRRRTGMVTDRLMDWRYRMVGRGVRAEPIQPLWCRRNHGMCNVNA >DRNTG_32725.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:19280109:19286097:1 gene:DRNTG_32725 transcript:DRNTG_32725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKDATSDLKDAIEKVYFAPTAEVAMKGMQETSPGLTAAILPSVPKHVAQAFSLLQGSPEAQEVVASIASDKNVWDAVMKNQKVLDFYKTHQTVIPCETIVDSANSVVDEMNTCRHANEQSENPEKLKQETLESSQLNSEKLKQETLESSVLNPEKLKQETSESSVYRDVVNAVKENVIDPVKEKVSEMVANISNFFEGLIGSTTMDNQSSTDSKSISSTGESYVDITIGGAFMALGIAAILVILLKRA >DRNTG_05022.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5645857:5647012:1 gene:DRNTG_05022 transcript:DRNTG_05022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHATGARPWVIFAWACAFLQSWADFSENTRGRGLAPVGDLVNHTRGHVNIHDRAEFPHGRVYISLRYPVRPQRRASAPVNPSFEGARAWTTPARPCGSLGFKGPSALPIQESHHSSQITLNHPKSIFLTFLSPATVVFKSIDRALCGLEVALKAYENLPIDMTGLSPIKAYSLLCGKGRYEPGVSKASCLSRPSYRYLHAIISRSVNGRGDSTVVINKQELLYLYSMVRNEPVHLGHILAEYLKHQGKYPQLGVIFSGPYITRLIVGMGLRYKISGTEKAIIPAPLGLETMRLIGLI >DRNTG_18485.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29819749:29833193:1 gene:DRNTG_18485 transcript:DRNTG_18485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSTWRHRPARARRLRGMDYSQCSTFGNLESTTEWVEIVFNIIIITSGLLLATMLIGNIKASQQKRSKSLVLKGWNGNLKAGYDPCVSEYSDVYFNRPDVQEALHANVTKLPYEWSHCRMRPYGVKDDESRVLRISYDLKPACKVFCLSVIVQLMAMGVELVDECGIISGVEARGGRTKQAKISRARAEALGQRWLTGSTSHHHWTYPLAPTQDHPMLSRQCLYSARGEDAFRSPTQDHPHKARVPILRTELYVALALGLSKQLEAKVMALLNPISPWSTTKVLMVSKVVSQYVCSSTENSLFMSRLVAVRVEDPTFTLVFMVVSVELRL >DRNTG_06708.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7770313:7770703:1 gene:DRNTG_06708 transcript:DRNTG_06708.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELNEEVDFELFGNASYDDAFDQHENLGQRDDNEG >DRNTG_03864.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3675688:3677926:-1 gene:DRNTG_03864 transcript:DRNTG_03864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEIENTTSHKKGGKESTPNHHQIHGVDSSKNNARKSLKSITSHKIPSLVTLINLNLHVAMNDNAFSPVSTNTSTTVSYSTNASTTSTVFASTNAFSPSYPPTPPPPSLPPPTPSPVSRV >DRNTG_12080.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9240054:9247550:1 gene:DRNTG_12080 transcript:DRNTG_12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFNVQGFPTILVFGADKDSPYPYEGARVASAIESFALEQLDTNAPPPEVSELTGPDVMTDKCASSAICFVAFLPDILDSKAEGRNKYLNLLLSVAEKFKKSPYSFVWTSAGKQVDLEKKVAVGGYGYPALVALNVKKGAYAPLRSAFELDQIIEFMKEAGRGGKGNLPLETSPTIVETEPWDGKDGQIFEGDEFSLEELMGGEEATDKDEL >DRNTG_27299.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:147001:148472:-1 gene:DRNTG_27299 transcript:DRNTG_27299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACITIFNPSPTPVLLHRSRGNPKMSNPSTDPLTSAGRFLWGRSLPPQPLISAVRSTWSTVWHLMMRQLAPSSSTGSYSRPAGSFPSIDLSLYPPTTSLHLYAALSCPWAHRTLIVRAIKSVEPFLPVSIAAPGPDGPWEFTSSSVHAGDLVPGPDRANGRRTLRDVYGIRRGGFDGRSTVPMLWDVDKNDVACNESYEIIKFLNSWQRTADINDIDLYPSQLQKEIEEWNRIIYPSVNNGVYRCGFAQSQEAYDEAVNALFDTLDMLESHLGTNRYLCGDVFTLADVCLFTTLIRFDLVYYVLFKCTKKKLVEFPNLHSYTRDIYQIPKVAETCNFEAIMDGYFRTLFPLNPGGIRPALPSACKPDVLSKPHGRDSVCSNGKGLELKQKSNVT >DRNTG_34031.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16333268:16336339:-1 gene:DRNTG_34031 transcript:DRNTG_34031.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLQLSLLCSSWLIPFWMLVHQVLQQPNGCFACVEEERIALLDIKSTFSDPYSIRESWNKSSFDCCSWYGVYCSPTTKHVRHLDLAALTSWEGDSNNILNISMFLPFQELQGLILSDNFFNSCIPSDCFGSLAELDNLQHLDLSHNNFYFINVSIATPKLSKLEYLDLSYNQLNGSIVPYLIGLSSLKALSLHWNNIGRGLPFKVSSATLKLSKLKYLDLSYNQLNESIVPYLVGLSSLKALYLDGNNMGGRLPLKGNLFVLTKGYLYKY >DRNTG_34031.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16334265:16336339:-1 gene:DRNTG_34031 transcript:DRNTG_34031.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLQLSLLCSSWLIPFWMLVHQVLQQPNGCFACVEEERIALLDIKSTFSDPYSIRESWNKSSFDCCSWYGVYCSPTTKHVRHLDLAALTSWEGDSNNILNISMFLPFQELQGLILSDNFFNSCIPSDCFGSLAELDNLQHLDLSHNNFYFINVSIATPKLSKLEYLDLSYNQLNGSIVPYLIGLSSLKALSLHWNNIGRGLPFKGNVHVHVFKGYKK >DRNTG_34031.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16332804:16336339:-1 gene:DRNTG_34031 transcript:DRNTG_34031.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLQLSLLCSSWLIPFWMLVHQVLQQPNGCFACVEEERIALLDIKSTFSDPYSIRESWNKSSFDCCSWYGVYCSPTTKHVRHLDLAALTSWEGDSNNILNISMFLPFQELQGLILSDNFFNSCIPSDCFGSLAELDNLQHLDLSHNNFYFINVSIATPKLSKLEYLDLSYNQLNGSIVPYLIGLSSLKALSLHWNNIGRGLPFKVSSATLKLSKLKYLDLSYNQLNESIVPYLVGLSSLKALYLDGNNMGGRLPLKGLCKIKNLEVLSIGHNNLRGDISSCLGYLPSLNYFDISYNQFRMPFPMTIIGNL >DRNTG_20691.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16222488:16227030:-1 gene:DRNTG_20691 transcript:DRNTG_20691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSGVWPFGLSTLIVGFDPYTSEPSLYHTDPSGTFMSWKVNTTGRNSNSMREFLEKNYKETLGKETIKLAIRAFLELSDAISRSTGLYSKLATSHDDNNTSISADVVSGLENTQTLIKSSHKPNKKETYKIGNPVRCPLAYMTSRPINLLVMLSPSRCSQISRSHLPATSLPPQFLITQSHHKERTRFGTLELVNFSLFVSELMPKSSLVVTSLVTTSSITPPIFRIPGHQLPISSELFPPAANNIDKL >DRNTG_34367.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16259123:16259680:1 gene:DRNTG_34367 transcript:DRNTG_34367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKVTELNSVPTSPPRTPKGFGFGAGANAVPCAGSSQMAHPISAPPSQLHSPSLSRSPLLAPATPKTAPRTPMTPMTPRTVPMTPKTAPMTPKSGLRTPRFMTPLASPMRKAIVTMKGYLEEVGHITKLDPHDAWLPITESRNGNVYYAAFHTLTSGVGFQALVLPLAFTYLGW >DRNTG_26150.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29361645:29362152:1 gene:DRNTG_26150 transcript:DRNTG_26150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQIIKKYQKKKTRLNGFQNISDNGSKNARKQQGQQTITRNKYSK >DRNTG_26150.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29361740:29362152:1 gene:DRNTG_26150 transcript:DRNTG_26150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRISQIMDQKTQGNNKGNKQLREINIPSE >DRNTG_26150.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29361645:29362152:1 gene:DRNTG_26150 transcript:DRNTG_26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQIIKKYQKKKTRLNGFQNISDNGSKNARKQQGQQTITRNKYSK >DRNTG_26150.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29361740:29362152:1 gene:DRNTG_26150 transcript:DRNTG_26150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRISQIMDQKTQGNNKGNKQLREINIPSE >DRNTG_20409.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29170671:29178183:1 gene:DRNTG_20409 transcript:DRNTG_20409.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cycloartenol synthase [Source:Projected from Arabidopsis thaliana (AT2G07050) UniProtKB/Swiss-Prot;Acc:P38605] MWRLKIAEGGNPWLRTTNNHVGRQVWEFDPNYGSPEELAEVEKAREAFRQHRFERKHSSDLLMRLQFAKENPLELTLPQVKVEDDEDVTEVAVTTALRRAISRHSTLQAHDGHWPGDYGGPMFLMPGLVIALYVTGALNAVLSPQHQQEMCRYLYNHQNKDGGWGLHIEGHSTMFGSVLTYITLRLLGEEAEGGDGTMQRGRKWILDHGGATLITSWGKFWLSVLGVFDWSGNNPLPPEIWLLPYFLPIHPGRMWCHCRMVYLPMSYIYGKRFVGPITPLVLSLRKELYNLSYDRIDWNLARNQCAKEDLYYPHPLLQDILWASLHKFAEPVLLHWPGSKLREKALRTTMQHIHYEDENTRYICVGPVNKVLNMLCCWVEDPNSEAFKLHLPRVYDYLWVAEDGMKMQGYNGSQLWDTAFTVQAIVATNLSEEFAHPLKKAHDYIRKTQVPDDCPGDLSFWYRHISKGAWPFSTADHGWPISDCTAEGLKASLLLSRFSPEIVGEPVDAKRLYDAVNVILSLMNEDGGLATYELTRSYAWMEMINPAETFGDIVIDYPYVECTSASIQALTAFKKLYPGHRREEIEASIQKGVNFIEKTQKPDGSWYGSWAVCFTYGIWFGTLGLIAGGRTYKNSSCVRKACDFLLSKELPSGGWGESYLSCQDKVYTNLEGNQPHAVNTGWAMLTLIEAGQAERDPKPLHRAAKVLINMQLENGEFPQQEIMGVFNRNCMISYSAYRNIFPIWALGAYRCRVLPYLNH >DRNTG_07043.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3715131:3724237:1 gene:DRNTG_07043 transcript:DRNTG_07043.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTGDRYIDSLVRFVDRRADSLLDGSLTLRLNPLGLRYVQSRLDALQELEGLLAGAPVDYLRAYVSDLGDHRALEQLRRILGLLTSLKVVSVLPPPRDPTPLSLVSFARLKVLELRGCDLSTSAAKGLLGLRGCLEKLICHNSTDALRHVFASRIVDIKDSPVWSRLSFVSCACNGLVLMDESLQLLPVVQTLDLSRNSFAKVDNLRNCTKLRHLDLGFNHLRTIASLSEVSCPIVKLVLRNNALTTLRGIENLKSVQGLDLSYNIISSFTELEILASLSCLQNLWLEGNPVCCARWYRSHVYSFFSHPEKLKLDDKGISTREYWERNIILASRQKQPAGHGFYFPAKHDSSNDSSIHLKRKKNSRLACIEDEEQRRYLLADALDQESASCDSDNAKKDENTISDSETEIVNLRNRVECLKKERSVLWLREFKEWMDQSTMDTLERSNCIEFKTVPSGEEGVQQRTIPDHFGESSRYVQDPVLASEGGSSSNILDSDMSFTDTHTSAPDTKFVDANGKAILEPLRVNGTLFSINASGEKIAEATTDQGHRKSHLPMKGVFLYGSSSVEGSDQTESKNHSAPLAVIDEIIGSRSSSTLPGSPPQYKEDILHRRLNLEEEFWQQSAESLSLASSDSDTSCSDDESCKFDSSAFELYPLSTQELVKRPTSVATSATDEVNHDERRHDHPYSKHDISSCEEKELRIKKQLSPNCDEPISNHNATDVSANGVNQKLNQSIGSMKNLKGKQKLRRKLISLLDNFRVSRKVEESQNYNQLEVEEVDMEAPNRLVGNENSTYISVEETDVILSHDYASITPTVSYSSLLKTEPNSLEPVPVEAIREFFHVNIADSDAHETCEALIRCDCAFQLESAYQEREIAILRSSKSRLYLLPIDGVSDGRGTRPTVLGCYSLEDISEIVVGLALQALRIHMEGDINYLFLTRTIDKARDLLCLLLGFDSRGLQSNGFLRSWEKVQIKMLEKHVHENLKIGIHFYSTLLLDCGSLAGERWVPRSLFILEGHMIMCVENFKLFGSSIDDMQSPSSYYSVDSCCSIWNIFEVVVELDNMSTTLTLDLKNSSNSFIIDNIEKESKLENNTHASTWKLKWYSKDTLLKFLTLIKAIHAELSERPLHVKYVS >DRNTG_07043.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3715131:3724237:1 gene:DRNTG_07043 transcript:DRNTG_07043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTGDRYIDSLVRFVDRRADSLLDGSLTLRLNPLGLRYVQSRLDALQELEGLLAGAPVDYLRAYVSDLGDHRALEQLRRILGLLTSLKVVSVLPPPRDPTPLSLVSFARLKVLELRGCDLSTSAAKGLLGLRGCLEKLICHNSTDALRHVFASRIVDIKDSPVWSRLSFVSCACNGLVLMDESLQLLPVVQTLDLSRNSFAKVDNLRNCTKLRHLDLGFNHLRTIASLSEVSCPIVKLVLRNNALTTLRGIENLKSVQGLDLSYNIISSFTELEILASLSCLQNLWLEGNPVCCARWYRSHVYSFFSHPEKLKLDDKGISTREYWERNIILASRQKQPAGHGFYFPAKHDSSNDSSIHLKRKKNSRLACIEDEEQRRYLLADALDQESASCDSDNAKKDENTISDSETEIVNLRNRVECLKKERSVLWLREFKEWMDQSTMDTLERSNCIEFKTVPSGEEGVQQRTIPDHFGESSRYVQDPVLASEGGSSSNILDSDMSFTDTHTSAPDTKFVDANGKAILEPLRVNGTLFSINASGEKIAEATTDQGHRKSHLPMKGVFLYGSSSVEGSDQTESKNHSAPLAVIDEIIGSRSSSTLPGSPPQYKEDILHRRLNLEEEFWQQSAESLSLASSDSDTSCSDDESCKFDSSAFELYPLSTQELVKRPTSVATSATDEVNHDERRHDHPYSKHDISSCEEKELRIKKQLSPNCDEPISNHNATDVSANGVNQKLNQSIGSMKNLKGKQKLRRKLISLLDNFRVSRKVEESQNYNQLEVEEVDMEAPNRLVGNENSTYISVEETDVILSHDYASITPTVSYSSLLKTEPNSLEPVPVEAIREFFHVNIADSDAHETCEALIRCDCAFQLESAYQEREIAILRSSKSRLYLLPIDGVSDGRGSVLCEMDSCYATMS >DRNTG_30927.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17831836:17838839:-1 gene:DRNTG_30927 transcript:DRNTG_30927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRCAASASLASSLSKSLSSSFSQSSSMSVYSSKSHRRPKKKIYHREPLLDQAMDLQKKPALLLRLRSLILSRPSYSLLLRDLEKEVGFVPKWSFLSLIQRYTSIFKVSGGAPSRSPISVTLTDKAFKVSLEEARARDLMEPILVRNLRKLLMLSMDCRVPLDKIRLVESELGLPKDFEDVLIPKFPDYFSIKSVDGVEFLCLETWDSSLAVTVREERLDLGAVPVEKKKDKRVPRDGNYEGPFAFKLKFPAGFRPNKSYLEEVVRWQKMAFPSPYLNARKIQPATPQARKRAVAVLHELLSLTMEKRLTSDKLDVFHNEYQLPCRLLLCLVKNHGIFYITNKGARSTVFLKEAYDGCNLIEKCPLLRFNDRFVALIGRTSPDLDVRMLA >DRNTG_30927.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17837286:17838917:-1 gene:DRNTG_30927 transcript:DRNTG_30927.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRCAASASLASSLSKSLSSSFSQSSSMSVYSSKSHRRPKKKIYHREPLLDQAMDLQKKPALLLRLRSLILSRPSYSLLLRDLEKEVGFVPKWSFLSLIQRYTSIFKVSGGAPSRSPISVTLTDKAFKVSLEEARARDLMEPILVRNLRKLLMLSMDCRVPLDKIRLVESELGLPKDFEDVLIPKFPDYFSIKSVDGVEFLCLETWDSSLAVTVREERLDLGAVPVEKKKDKRVPRDGNYEGPFAFKLKFPAGFRPNKSYLEEVVRWQKMAFPSPYLNARKIQPATPQARKRAVAVLHELLSLTMEKRLTSDKLDVFHNEYQLPCRLLLCLVKNHGIFYITNKGARSTVFLKEAYDGCNLIEKCPLLRFNDRFVALIGRTSPDLDVRMLA >DRNTG_30927.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17837370:17839064:-1 gene:DRNTG_30927 transcript:DRNTG_30927.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRCAASASLASSLSKSLSSSFSQSSSMSVYSSKSHRRPKKKIYHREPLLDQAMDLQKKPALLLRLRSLILSRPSYSLLLRDLEKEVGFVPKWSFLSLIQRYTSIFKVSGGAPSRSPISVTLTDKAFKVSLEEARARDLMEPILVRNLRKLLMLSMDCRVPLDKIRLVESELGLPKDFEDVLIPKFPDYFSIKSVDGVEFLCLETWDSSLAVTVREERLDLGAVPVEKKKDKRVPRDGNYEGPFAFKLKFPAGFRPNKSYLEEVVRWQKMAFPSPYLNARKIQPATPQARKRAVAVLHELLSLTMEKRLTSDKLDVFHNEYQLPCRLLLCLVKNHGIFYITNKGARSTVFLKEAYDGCNLIEKCPLLRFNDRFVALIGRTSPDLDVRMLA >DRNTG_30927.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17837202:17838839:-1 gene:DRNTG_30927 transcript:DRNTG_30927.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRCAASASLASSLSKSLSSSFSQSSSMSVYSSKSHRRPKKKIYHREPLLDQAMDLQKKPALLLRLRSLILSRPSYSLLLRDLEKEVGFVPKWSFLSLIQRYTSIFKVSGGAPSRSPISVTLTDKAFKVSLEEARARDLMEPILVRNLRKLLMLSMDCRVPLDKIRLVESELGLPKDFEDVLIPKFPDYFSIKSVDGVEFLCLETWDSSLAVTVREERLDLGAVPVEKKKDKRVPRDGNYEGPFAFKLKFPAGFRPNKSYLEEVVRWQKMAFPSPYLNARKIQPATPQARKRAVAVLHELLSLTMEKRLTSDKLDVFHNEYQLPCRLLLCLVKNHGIFYITNKGARSTVFLKEAYDGCNLIEKCPLLRFNDRFVALIGRTSPDLDVRMLA >DRNTG_26000.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:972923:978343:-1 gene:DRNTG_26000 transcript:DRNTG_26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHTSKTDRVLKILKIKKEESLREFYIPEYIFEPELEVEKLSYTPQCPVVVFINSKSGGQLGGELLITYRELLNKVQVFDLGEMAPDKVLHKLYANLEVLKLRGDELADQIQKRLRLIVAGGDGTASWLLGVICDLKLEHPPPVATVPLGTGNNLPFSFGWGKKNPMTDRQSVKSFLELVMRAREMKIDSWHIIMRMRAPKEGSCDPVAPLELPHSLHAFHRVSSSDPLNMEGYHTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLVNQVTYAKLVGSQGWFSASPSHSSSWNFAQLAKVMIMRRHGQWDELEIPQSTRSIICLNLPSFSGGLNPWGTPSKRKQRDRDLTPPYVDDGLLEVVGFRDAWHGLILLAPKGHGTRLAQARRIRFEFHKGAVDHTYMRIDGEPWKQPLPKDDDTVVVEISHHGQVNMLANPNCRSKSIHDSSLSHHRDSDSSDSSDDEQTEEKRKFGAADTFKYPLEADLSHFS >DRNTG_26000.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:972923:978343:-1 gene:DRNTG_26000 transcript:DRNTG_26000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHTSKTDRVLKILKIKKEESLREFYIPEYIFEPELEVEKLSYTPQCPVVVFINSKSGGQLGGELLITYRELLNKVQVFDLGEMAPDKVLHKLYANLEVLKLRGDELADQIQKRLRLIVAGGDGTASWLLGVICDLKLEHPPPVATVPLGTGNNLPFSFGWGKKNPMTDRQSVKSFLELVMRAREMKIDSWHIIMRMRAPKEGSCDPVAPLELPHSLHAFHRVSSSDPLNMEGYHTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLVNQVTYAKLVGSQGWFSASPSHSSSWNFAQLAKVMIMRRHGQWDELEIPQSTRSIICLNLPSFSGGLNPWGTPSKRKQRDRDLTPPYVDDGLLEVVGFRDAWHGLILLAPKGHGTRLAQARRIRFEFHKGAVDHTYMRIDGEPWKQPLPKDDDTVVVEISHHGQVNMLANPNCRSKSIHDSSLSHHRDSDSSDSSDDEQTEEKRKFGAADTFKYPLEADLSHFS >DRNTG_07517.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19181329:19182181:-1 gene:DRNTG_07517 transcript:DRNTG_07517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMGVMKKHFQLALLLFYLLCLQLAGFCNCVGGSICREVERKALVDFKNGLEDPNGRLSSWIGLECCSWTGVHCHNYTGHVIRLDLRHNGGIRYLGGEIRPSLLVLNHLRYLDLSDNLFKNIRIPTFLGSLASLQYLNLSLNGFIGRVPHQLGNLSRLQYLDLSGNYLHMVGSHWLTNLSSLQCLNLTGVNLSETKNVFKSLNTFPLISEIKLSRCALHVPLSLGAEINFTNLRFLVLGHSG >DRNTG_05577.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000256.1:42458:43674:-1 gene:DRNTG_05577 transcript:DRNTG_05577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVYRGGVDSEGRPVMVVVGAHFLLRCMNFERFILYVVKEFEPLIQKPYTIVYLHSAASLQVQPDLGWMQRLEQILGRKHQRNLHAIYVLHPTLGLKAAIFAL >DRNTG_19807.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17414972:17415469:-1 gene:DRNTG_19807 transcript:DRNTG_19807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHTYKSISLLPTLNPQNKNGEENPT >DRNTG_05254.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000237.1:36631:37169:-1 gene:DRNTG_05254 transcript:DRNTG_05254.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRKPDKECNKPTFLSRENKSTQCHQEDHHHPPNKTTNK >DRNTG_12473.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000553.1:6843:7895:1 gene:DRNTG_12473 transcript:DRNTG_12473.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNVNYEIPYQKKQVQKIQQQLTELERKEADIKRNAALSATKYVEACQELGLQGINVRAELLETAKSLPSTFHKILELT >DRNTG_12473.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000553.1:6843:7367:1 gene:DRNTG_12473 transcript:DRNTG_12473.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNVNYEIPYQKKQVQKIQQQLTELERKEADIKRNAALSATKYVEACQELGLQ >DRNTG_12473.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000553.1:6322:8117:1 gene:DRNTG_12473 transcript:DRNTG_12473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNVNYEIPYQKKQVQKIQQQLTELERKEADIKRNAALSATKYVEACQELGLQGINVRAELLETAKSLPSTFHKILELT >DRNTG_31552.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20635639:20636351:-1 gene:DRNTG_31552 transcript:DRNTG_31552.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRALQELIPHCNKSDKASMLDEAIEYLKSLQQQVQIMWMGCDMTPMSFPGFQQYLSNFGVSMGIGHAPMSSIPSPIQLPGVPPLLNQSVPTSLANQINFPYQMQNVHIPDQYAYHSISPQ >DRNTG_31552.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20635337:20637634:-1 gene:DRNTG_31552 transcript:DRNTG_31552.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFFFFSFLFAFCMSLYFLIYLFIIWCCFDVVIEDVFSLAMNELVGDWNMDDGSTKSFNHKRFTGSENELSELIWRNGHVVMQSQSSRKPLSNENEPKLARNDEEEATKLSLIEDNETTSWLPCILDEFSVDKDFSSYFFCDSPTTDDVTGDKQSVQPIPESHGSGNNGLVVNFPQFARPFRWQQGDKGGSGNVDQVAVGEPSSMIYVELSVSGSNQVQNQVGGSRNIMSTDTNTASLLRNSAKEDVKMIDHYKTTTGTSSSGGSGCSFGRIQVRSDGNQSRKRKGRGVGELESHSEDVEDESIEVNNVVRRSTSARRSRAAEVHNLSERRRRDRINEKMRALQELIPHCNKSDKASMLDEAIEYLKSLQQQVQIMWMGCDMTPMSFPGFQQYLSNFGVSMGIGHAPMSSIPSPIQLPGVPPLLNQSVPTSLANQINFPYQMQNVHIPDQYAYHSISPQAMNVSGYGSQTTQRNQTAASVSPGSSVRTGQPAKNTTPSTCTAMKI >DRNTG_31552.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20635337:20637634:-1 gene:DRNTG_31552 transcript:DRNTG_31552.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQSSRKPLSNENEPKLARNDEEEATKLSLIEDNETTSWLPCILDEFSVDKDFSSYFFCDSPTTDDVTGDKQSVQPIPESHGSGNNGLVVNFPQFARPFRWQQGDKGGSGNVDQVAVGEPSSMIYVELSVSGSNQVQNQVGGSRNIMSTDTNTASLLRNSAKEDVKMIDHYKTTTGTSSSGGSGCSFGRIQVRSDGNQSRKRKGRGVGELESHSEDVEDESIEVNNVVRRSTSARRSRAAEVHNLSERRRRDRINEKMRALQELIPHCNKSDKASMLDEAIEYLKSLQQQVQIMWMGCDMTPMSFPGFQQYLSNFGVSMGIGHAPMSSIPSPIQLPGVPPLLNQSVPTSLANQINFPYQMQNVHIPDQYAYHSISPQAMNVSGYGSQTTQRNQTAASVSPGSSVRTGQPAKNTTPSTCTAMKI >DRNTG_31552.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20635337:20637634:-1 gene:DRNTG_31552 transcript:DRNTG_31552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELVGDWNMDDGSTKSFNHKRFTGSENELSELIWRNGHVVMQSQSSRKPLSNENEPKLARNDEEEATKLSLIEDNETTSWLPCILDEFSVDKDFSSYFFCDSPTTDDVTGDKQSVQPIPESHGSGNNGLVVNFPQFARPFRWQQGDKGGSGNVDQVAVGEPSSMIYVELSVSGSNQVQNQVGGSRNIMSTDTNTASLLRNSAKEDVKMIDHYKTTTGTSSSGGSGCSFGRIQVRSDGNQSRKRKGRGVGELESHSEDVEDESIEVNNVVRRSTSARRSRAAEVHNLSERRRRDRINEKMRALQELIPHCNKSDKASMLDEAIEYLKSLQQQVQIMWMGCDMTPMSFPGFQQYLSNFGVSMGIGHAPMSSIPSPIQLPGVPPLLNQSVPTSLANQINFPYQMQNVHIPDQYAYHSISPQAMNVSGYGSQTTQRNQTAASVSPGSSVRTGQPAKNTTPSTCTAMKI >DRNTG_31552.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20635261:20635577:-1 gene:DRNTG_31552 transcript:DRNTG_31552.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSGYGSQTTQRNQTAASVSPGSSVRTGQPAKNTTPSTCTAMKI >DRNTG_10922.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:30941:34880:-1 gene:DRNTG_10922 transcript:DRNTG_10922.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGPGLYSEIGKKARDLLYKDYQTDQKFTLTTCTPNGFAITASGTKKSDLIFGEIQTQLKNKYVTVDVKANSDSSLLTTVTANDVATPGLKTICSFVVPDQKSGKAELQYLHDYAGVNAAIGLTRNPLLNLSGVIGTNTFATGVDVAFDTATGNFTKYNSAVSFTNVDLIASIALNNNGDSLTASYYHLINPLLSTAVGGEITHSFSSNENTMTFGTQHALDPQTIVKARINNYGKASALIQHEWRPKSLITLSGEVDTKSIEKGSKVGLSLVLKP >DRNTG_14701.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20888656:20891310:-1 gene:DRNTG_14701 transcript:DRNTG_14701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIACKTVYEVVAGVRSEGKRFDVPAPAQPAPAEAAESSGSSSIGENSDDGSGGVGSGSDAEEVQSSFKGALESLDALEESLPIRRGISKFYCGKSKSFTSLSEASSSSAKDIVKPDNAYSRKRKNLLAYKSIWERPHDNQLRNLGGDGISKRPTSSNRSTSNTENDCDNDQETHNKVRLLPPLHPPGKQAGSASTFGPSSPMKKCSFSMRSFSMMDLQATSNSRPSTDPADNHNMFT >DRNTG_02284.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:848863:850302:1 gene:DRNTG_02284 transcript:DRNTG_02284.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHDPSKSSLRPGVYKPNFAGFVDVDINKTKKISLRSLIDHSVVESFGAEGKTCITSRVYPSKAIGQDAHLFVFNNGSEDVRITQLSAWEMTTPRMN >DRNTG_05959.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20212134:20215932:1 gene:DRNTG_05959 transcript:DRNTG_05959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSVTGTSLSRQYMSKGIDTNMIRREHNEDTHSTTLPPIYHLAFAP >DRNTG_05959.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20212134:20213675:1 gene:DRNTG_05959 transcript:DRNTG_05959.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSVTGTSLSRQYMSKGIDTNMIRREHNEDTHSTTLPPIYHLAFAP >DRNTG_16967.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20999526:21001277:-1 gene:DRNTG_16967 transcript:DRNTG_16967.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHCSIYCSSTIHSRPRNQRSRESTPACGNYPHPYGNSARARRASTPV >DRNTG_04668.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30348351:30348644:1 gene:DRNTG_04668 transcript:DRNTG_04668.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLMATPIKFKAKVAIGLHFSSHALLGIFFLIFNSLTRAVVMSIILGLGAVMFLAFLIVLYAIALDGLKIMAGIKDNGMHEVEDFGLVALFGGGGP >DRNTG_04309.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8026672:8030520:1 gene:DRNTG_04309 transcript:DRNTG_04309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQYARYVESMIGEYSKESAKLPPSMEVLSENSTRNDEDNSKIEVETNIELLETEEANDVPLIPLEQMVEVSPHDIIEEERGEDGIIAMEACEDVEETPSTTFVDATCLNIDFSIHPSILVKCKNKIPGIVFEDVGRKRRSSLNHPMLGLDNSQPKIFTWQPKQTLWAIEVHHNMVERKIMDRMLKPPINPPMQSLTSSQPKLFPWRPKQHLCVVHGNLTLVEEENLEKPQCRVDAPMDQAHGHGEFPYGHADAFRGKLWYPKSTQGRGNAPCETPLWSHTAWPISIGPCGLTVRLLRPVVPHYRVDFCSIESLSSFDRFFLNSCRYIPQI >DRNTG_27079.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2874696:2875272:-1 gene:DRNTG_27079 transcript:DRNTG_27079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHLFSLPIPLYSLSQTLPIILNLHSFSHQLLHHLLCSNFFISSTPQHLLQTLTKYLCFMPWSIQSSISKNMKSWTYNLNTHRLTFLPVRNIH >DRNTG_13940.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21247626:21248510:1 gene:DRNTG_13940 transcript:DRNTG_13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRASRRFIKRSSFGTVAHCSDHVDLPTRHRRSERKPLVTGINELKHRARLQRKTRQDVREIPLRAPENGLLVKSLISVAHSVLSARSKVLSCVSKIVGIIPCSCLQVCALFGVDCVERFMWGSFPIGFEAVRWPEVIVGGEEELSMSFLLWNPFISMIEWAGLCRMRSGFLLIGSPPLLSFVCKLVLMFLNIQQRDVLFRSITLLEK >DRNTG_18929.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12498849:12500487:-1 gene:DRNTG_18929 transcript:DRNTG_18929.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNKNSTLITTSPKPQEMSSQSMISDLSLDSDEDNSSQTQNSSQSSTSSSSGSYDHCPKFSPPSATKLYPQDFELIRRIGTGDIGTVYLCHLRNDPTKSYAMKIVDNRELAKKNKLNRADTERTILRTLHHPFLPKLHADFAASPHYSCLVMDYCSGGDLHTLRHQLPSRRFPLASARFYAAEVLLALEYLHMMGIVYRDLKPENILIRSDGHIMLTDFDLSLQSTTSPAIDDSHSNNPSCLPFISCKTNPYQFVAEPVTARSTSFVGTHEYVAPEIATGKAHGSAVDWWAYGVFLYENLYGHTPFAGKTNESTLFNIVKRGLVFPAASSPLDSLARDLISRLLVKDPVNRLGSRRGAADIKAHPFFKGVNFALLRSCKPPVIPGLEWSDRKKPARFDLF >DRNTG_18929.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12498895:12500487:-1 gene:DRNTG_18929 transcript:DRNTG_18929.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHNKNSTLITTSPKPQEMSSQSMISDLSLDSDEDNSSQTQNSSQSSTSSSSGSYDHCPKFSPPSATKLYPQDFELIRRIGTGDIGTVYLCHLRNDPTKSYAMKIVDNRELAKKNKLNRADTERTILRTLHHPFLPKLHADFAASPHYSCLVMDYCSGGDLHTLRHQLPSRRFPLASARFYAAEVLLALEYLHMMGIVYRDLKPENILIRSDGHIMLTDFDLSLQSTTSPAIDDSHSNNPSCLPFISCKTNPYQFVAEPVTARSTSFVGTHEYVAPEIATGKAHGSAVDWWAYGVFLYENLYGHTPFAGKTNESTLFNIVKRGLVFPAASSPLDSLARDLISRLLVKDPVNRLGSRRGAADIKAHPFFKGVNFALLRSCKPPVIPGLEWSDRKKPARFDLF >DRNTG_18929.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12498895:12500231:-1 gene:DRNTG_18929 transcript:DRNTG_18929.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHNKNSTLITTSPKPQEMSSQSMISDLSLDSDEDNSSQTQNSSQSSTSSSSGSYDHCPKFSPPSATKLYPQDFELIRRIGTGDIGTVYLCHLRNDPTKSYAMKIVDNRELAKKNKLNRADTERTILRTLHHPFLPKLHADFAASPHYSCLVMDYCSGGDLHTLRHQLPSRRFPLASARFYAAEVLLALEYLHMMGIVYRDLKPENILIRSDGHIMLTDFDLSLQSTTSPAIDDSHSNNPSCLPFISCKTNPYQFVAEPVTARSTSFVGTHEYVAPEIATGKAHGSAVDWWAYGVFLYENLYGHTPFAGKTNESTLFNIVKRGLVFPAASSPLDSLARDLISRLLVKDPVNRLGSRRGAADIKAHPFFKGVNFALLRSCKPPVIPGLEWSDRKKPARFDLF >DRNTG_18929.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12498895:12500108:-1 gene:DRNTG_18929 transcript:DRNTG_18929.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSMISDLSLDSDEDNSSQTQNSSQSSTSSSSGSYDHCPKFSPPSATKLYPQDFELIRRIGTGDIGTVYLCHLRNDPTKSYAMKIVDNRELAKKNKLNRADTERTILRTLHHPFLPKLHADFAASPHYSCLVMDYCSGGDLHTLRHQLPSRRFPLASARFYAAEVLLALEYLHMMGIVYRDLKPENILIRSDGHIMLTDFDLSLQSTTSPAIDDSHSNNPSCLPFISCKTNPYQFVAEPVTARSTSFVGTHEYVAPEIATGKAHGSAVDWWAYGVFLYENLYGHTPFAGKTNESTLFNIVKRGLVFPAASSPLDSLARDLISRLLVKDPVNRLGSRRGAADIKAHPFFKGVNFALLRSCKPPVIPGLEWSDRKKPARFDLF >DRNTG_18929.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12498849:12500231:-1 gene:DRNTG_18929 transcript:DRNTG_18929.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHNKNSTLITTSPKPQEMSSQSMISDLSLDSDEDNSSQTQNSSQSSTSSSSGSYDHCPKFSPPSATKLYPQDFELIRRIGTGDIGTVYLCHLRNDPTKSYAMKIVDNRELAKKNKLNRADTERTILRTLHHPFLPKLHADFAASPHYSCLVMDYCSGGDLHTLRHQLPSRRFPLASARFYAAEVLLALEYLHMMGIVYRDLKPENILIRSDGHIMLTDFDLSLQSTTSPAIDDSHSNNPSCLPFISCKTNPYQFVAEPVTARSTSFVGTHEYVAPEIATGKAHGSAVDWWAYGVFLYENLYGHTPFAGKTNESTLFNIVKRGLVFPAASSPLDSLARDLISRLLVKDPVNRLGSRRGAADIKAHPFFKGVNFALLRSCKPPVIPGLEWSDRKKPARFDLF >DRNTG_18929.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12498789:12500487:-1 gene:DRNTG_18929 transcript:DRNTG_18929.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNKNSTLITTSPKPQEMSSQSMISDLSLDSDEDNSSQTQNSSQSSTSSSSGSYDHCPKFSPPSATKLYPQDFELIRRIGTGDIGTVYLCHLRNDPTKSYAMKIVDNRELAKKNKLNRADTERTILRTLHHPFLPKLHADFAASPHYSCLVMDYCSGGDLHTLRHQLPSRRFPLASARFYAAEVLLALEYLHMMGIVYRDLKPENILIRSDGHIMLTDFDLSLQSTTSPAIDDSHSNNPSCLPFISCKTNPYQFVAEPVTARSTSFVGTHEYVAPEIATGKAHGSAVDWWAYGVFLYENLYGHTPFAGKTNESTLFNIVKRGLVFPAASSPLDSLARDLISRLLVKDPVNRLGSRRGAADIKAHPFFKGVNFALLRSCKPPVIPGLEWSDRKKPARFDLF >DRNTG_18929.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12498849:12500108:-1 gene:DRNTG_18929 transcript:DRNTG_18929.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSMISDLSLDSDEDNSSQTQNSSQSSTSSSSGSYDHCPKFSPPSATKLYPQDFELIRRIGTGDIGTVYLCHLRNDPTKSYAMKIVDNRELAKKNKLNRADTERTILRTLHHPFLPKLHADFAASPHYSCLVMDYCSGGDLHTLRHQLPSRRFPLASARFYAAEVLLALEYLHMMGIVYRDLKPENILIRSDGHIMLTDFDLSLQSTTSPAIDDSHSNNPSCLPFISCKTNPYQFVAEPVTARSTSFVGTHEYVAPEIATGKAHGSAVDWWAYGVFLYENLYGHTPFAGKTNESTLFNIVKRGLVFPAASSPLDSLARDLISRLLVKDPVNRLGSRRGAADIKAHPFFKGVNFALLRSCKPPVIPGLEWSDRKKPARFDLF >DRNTG_18929.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12498789:12500231:-1 gene:DRNTG_18929 transcript:DRNTG_18929.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHNKNSTLITTSPKPQEMSSQSMISDLSLDSDEDNSSQTQNSSQSSTSSSSGSYDHCPKFSPPSATKLYPQDFELIRRIGTGDIGTVYLCHLRNDPTKSYAMKIVDNRELAKKNKLNRADTERTILRTLHHPFLPKLHADFAASPHYSCLVMDYCSGGDLHTLRHQLPSRRFPLASARFYAAEVLLALEYLHMMGIVYRDLKPENILIRSDGHIMLTDFDLSLQSTTSPAIDDSHSNNPSCLPFISCKTNPYQFVAEPVTARSTSFVGTHEYVAPEIATGKAHGSAVDWWAYGVFLYENLYGHTPFAGKTNESTLFNIVKRGLVFPAASSPLDSLARDLISRLLVKDPVNRLGSRRGAADIKAHPFFKGVNFALLRSCKPPVIPGLEWSDRKKPARFDLF >DRNTG_13081.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13195955:13197365:1 gene:DRNTG_13081 transcript:DRNTG_13081.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLLQISMFWEDNGPAKKWVALIRKTLNNLPGSSSNGSCQTPSPAPDPIVEMDADFEGSSVKQNPASLFHRHSFQSLSRSLRRDGDILAPQPRLDRRYSVCERVMYGSRQSDFDSNFRWGCSSDDENVSADSPSTSAFSPASYGYGAPSTMEGSDRSGITSYCLVASKQMVGIFLTVWARSEIRNDIKNMKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCSHLTSGQKDGDELRRNSDVMEILRKTRFQRVQGLCDKSPETIIEHE >DRNTG_13081.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13195955:13198163:1 gene:DRNTG_13081 transcript:DRNTG_13081.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLLQISMFWEDNGPAKKWVALIRKTLNNLPGSSSNGSCQTPSPAPDPIVEMDADFEGSSVKQNPASLFHRHSFQSLSRSLRRDGDILAPQPRLDRRYSVCERVMYGSRQSDFDSNFRWGCSSDDENVSADSPSTSAFSPASYGYGAPSTMEGSDRSGITSYCLVASKQMVGIFLTVWARSEIRNDIKNMKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCSHLTSGQKDGDELRRNSDVMEILRKTRFQRVQGLCDKSPETIIEHDRIIWLGDLNYRIALSYRYVKALVEMRNWKALLEKDQLRIEQSYGRVFAGWKEGKIYFPPTYKYSSNSDKYTGDEMHPKEKRRTPAWCDRILWYGRGLNQLSYVRGESRFSDHRPVYSIFTAEVELINPSRIDNMSCSSSRVEIEDFLPYTHGYTELNFF >DRNTG_13081.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13196328:13198795:1 gene:DRNTG_13081 transcript:DRNTG_13081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADFEGSSVKQNPASLFHRHSFQSLSRSLRRDGDILAPQPRLDRRYSVCERVMYGSRQSDFDSNFRWGCSSDDENVSADSPSTSAFSPASYGYGAPSTMEGSDRSGITSYCLVASKQMVGIFLTVWARSEIRNDIKNMKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCSHLTSGQKDGDELRRNSDVMEILRKTRFQRVQGLCDKSPETIIEHDRIIWLGDLNYRIALSYRYVKALVEMRNWKALLEKDQLRIEQSYGRVFAGWKEGKIYFPPTYKYSSNSDKYTGDEMHPKEKRRTPAWCDRILWYGRGLNQLSYVRGESRFSDHRPVYSIFTAEVELINPSRIDNMSCSSSRVEIEDFLPYTHGYTELNFF >DRNTG_13081.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13196328:13197365:1 gene:DRNTG_13081 transcript:DRNTG_13081.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDADFEGSSVKQNPASLFHRHSFQSLSRSLRRDGDILAPQPRLDRRYSVCERVMYGSRQSDFDSNFRWGCSSDDENVSADSPSTSAFSPASYGYGAPSTMEGSDRSGITSYCLVASKQMVGIFLTVWARSEIRNDIKNMKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCSHLTSGQKDGDELRRNSDVMEILRKTRFQRVQGLCDKSPETIIEHE >DRNTG_08997.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28996114:28998515:-1 gene:DRNTG_08997 transcript:DRNTG_08997.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLCRAGQLDKAENFMTYTCTEWDIVAWRTLLSACQVHKYYNLGKRVAEHILQLYPDDVGTYILVSNMHAKANRWDEVVKIRKLMRGRDIKKEPGVSWIQVRGETHVFASEDKKHPFMSQIHDKVSELLSQIKLIGYVPNIDCVLHDIEDEQKEEYLGYHSEKLAIAFGLISTPSGAPIHVMKNLRMCDDCHTAVKLISLVTSRKVIIRDANRFHCFDSGLCSCDDYW >DRNTG_17490.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6616227:6617256:1 gene:DRNTG_17490 transcript:DRNTG_17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDIELLERHVQFHIWAQETKLFQNVHQVIEYVLNVMIKAGKQTKFVKKSGDHGSNDPPKRMNGPHLSNSKGNACLNSMKVNGHLIGFLIGTMQVLM >DRNTG_28873.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001453.1:6178:7479:1 gene:DRNTG_28873 transcript:DRNTG_28873.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGYGVCDTGLALGLGYKRKSTSLNQSFISGMSSLEPMLTLGLSGDPYGSPTMSKVFKESTQSPVSSFSNANPRSIKSEEIIGEETGTEKVSPRGSDDDEEVCAKKKLRLTKDQSALLEERFKEHTTLNPKQKQALAKQLNLRPRQVEVWFQNRRARTKLKQTELDCELLKRRCETLNDENQRLQREVQELKALKLKSPLFMQFLKTSPMICPACERITGGDSPKGGPLMVAPPKHLFFNPFTTHSAAC >DRNTG_28873.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001453.1:6076:7479:1 gene:DRNTG_28873 transcript:DRNTG_28873.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGYGVCDTGLALGLGYKRKSTSLNQSFISGMSSLEPMLTLGLSGDPYGSPTMSKVFKESTQSPVSSFSNANPRSIKSEEIIGEETGTEKVSPRGSDDDEEVCAKKKLRLTKDQSALLEERFKEHTTLNPKQKQALAKQLNLRPRQVEVWFQNRRARTKLKQTELDCELLKRRCETLNDENQRLQREVQELKALKLKSPLFMQFLKTSPMICPACERITGGDSPKGGPLMVAPPKHLFFNPFTTHSAAC >DRNTG_28873.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001453.1:6016:7384:1 gene:DRNTG_28873 transcript:DRNTG_28873.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGYGVCDTGLALGLGYKRKSTSLNQSFISGMSSLEPMLTLGLSGDPYGSPTMSKVFKESTQSPVSSFSNANPRSIKSEEIIGEETGTEKVSPRGSDDDEEVCAKKKLRLTKDQSALLEERFKEHTTLNPKQKQALAKQLNLRPRQVEVWFQNRRARTKLKQTELDCELLKRRCETLNDENQRLQREVQELKALKLKSPLFMQFLKTSPMICPACERITGGDSPKGGPLMVAPPKHLFFNPFTTHSAAC >DRNTG_28873.10 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001453.1:6076:7301:1 gene:DRNTG_28873 transcript:DRNTG_28873.10 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGYGVCDTGLALGLGYKRKSTSLNQSFISGMSSLEPMLTLGLSGDPYGSPTMSKVFKESTQSPVSSFSNANPRSIKSEEIIGEETGTEKVSPRGSDDDEEVCAKKKLRLTKDQSALLEERFKEHTTLNPKQKQALAKQLNLRPRQVEVWFQNRRARTKLKQTELDCELLKRRCETLNDENQRLQREVQELKALKLKSPLFMQFLKTSPMICPACERITGGDSPKGGPLMVAPPKHLFFNPFTTHSAAC >DRNTG_28873.9 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001453.1:6016:7301:1 gene:DRNTG_28873 transcript:DRNTG_28873.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGYGVCDTGLALGLGYKRKSTSLNQSFISGMSSLEPMLTLGLSGDPYGSPTMSKVFKESTQSPVSSFSNANPRSIKSEEIIGEETGTEKVSPRGSDDDEEVCAKKKLRLTKDQSALLEERFKEHTTLNPKQKQALAKQLNLRPRQVEVWFQNRRARTKLKQTELDCELLKRRCETLNDENQRLQREVQELKALKLKSPLFMQFLKTSPMICPACERITGGDSPKGGPLMVAPPKHLFFNPFTTHSAAC >DRNTG_28873.11 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001453.1:6178:7384:1 gene:DRNTG_28873 transcript:DRNTG_28873.11 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGYGVCDTGLALGLGYKRKSTSLNQSFISGMSSLEPMLTLGLSGDPYGSPTMSKVFKESTQSPVSSFSNANPRSIKSEEIIGEETGTEKVSPRGSDDDEEVCAKKKLRLTKDQSALLEERFKEHTTLNPKQKQALAKQLNLRPRQVEVWFQNRRARTKLKQTELDCELLKRRCETLNDENQRLQREVQELKALKLKSPLFMQFLKTSPMICPACERITGGDSPKGGPLMVAPPKHLFFNPFTTHSAAC >DRNTG_28873.13.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001453.1:6667:7384:1 gene:DRNTG_28873 transcript:DRNTG_28873.13 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKGYKEKYKSSRHSNSSLLCSCSF >DRNTG_28873.12 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001453.1:6178:7301:1 gene:DRNTG_28873 transcript:DRNTG_28873.12 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGYGVCDTGLALGLGYKRKSTSLNQSFISGMSSLEPMLTLGLSGDPYGSPTMSKVFKESTQSPVSSFSNANPRSIKSEEIIGEETGTEKVSPRGSDDDEEVCAKKKLRLTKDQSALLEERFKEHTTLNPKQKQALAKQLNLRPRQVEVWFQNRRARTKLKQTELDCELLKRRCETLNDENQRLQREVQELKALKLKSPLFMQFLKTSPMICPACERITGGDSPKGGPLMVAPPKHLFFNPFTTHSAAC >DRNTG_28873.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001453.1:5862:7384:1 gene:DRNTG_28873 transcript:DRNTG_28873.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGYGVCDTGLALGLGYKRKSTSLNQSFISGMSSLEPMLTLGLSGDPYGSPTMSKVFKESTQSPVSSFSNANPRSIKSEEIIGEETGTEKVSPRGSDDDEEVCAKKKLRLTKDQSALLEERFKEHTTLNPKQKQALAKQLNLRPRQVEVWFQNRRARTKLKQTELDCELLKRRCETLNDENQRLQREVQELKALKLKSPLFMQFLKTSPMICPACERITGGDSPKGGPLMVAPPKHLFFNPFTTHSAAC >DRNTG_28873.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001453.1:6076:7384:1 gene:DRNTG_28873 transcript:DRNTG_28873.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGYGVCDTGLALGLGYKRKSTSLNQSFISGMSSLEPMLTLGLSGDPYGSPTMSKVFKESTQSPVSSFSNANPRSIKSEEIIGEETGTEKVSPRGSDDDEEVCAKKKLRLTKDQSALLEERFKEHTTLNPKQKQALAKQLNLRPRQVEVWFQNRRARTKLKQTELDCELLKRRCETLNDENQRLQREVQELKALKLKSPLFMQFLKTSPMICPACERITGGDSPKGGPLMVAPPKHLFFNPFTTHSAAC >DRNTG_28873.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001453.1:5862:7301:1 gene:DRNTG_28873 transcript:DRNTG_28873.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGYGVCDTGLALGLGYKRKSTSLNQSFISGMSSLEPMLTLGLSGDPYGSPTMSKVFKESTQSPVSSFSNANPRSIKSEEIIGEETGTEKVSPRGSDDDEEVCAKKKLRLTKDQSALLEERFKEHTTLNPKQKQALAKQLNLRPRQVEVWFQNRRARTKLKQTELDCELLKRRCETLNDENQRLQREVQELKALKLKSPLFMQFLKTSPMICPACERITGGDSPKGGPLMVAPPKHLFFNPFTTHSAAC >DRNTG_28873.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001453.1:6016:7479:1 gene:DRNTG_28873 transcript:DRNTG_28873.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGYGVCDTGLALGLGYKRKSTSLNQSFISGMSSLEPMLTLGLSGDPYGSPTMSKVFKESTQSPVSSFSNANPRSIKSEEIIGEETGTEKVSPRGSDDDEEVCAKKKLRLTKDQSALLEERFKEHTTLNPKQKQALAKQLNLRPRQVEVWFQNRRARTKLKQTELDCELLKRRCETLNDENQRLQREVQELKALKLKSPLFMQFLKTSPMICPACERITGGDSPKGGPLMVAPPKHLFFNPFTTHSAAC >DRNTG_28873.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001453.1:6016:7479:1 gene:DRNTG_28873 transcript:DRNTG_28873.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGYGVCDTGLALGLGYKRKSTSLNQSFISGMSSLEPMLTLGLSGDPYGSPTMSKVFKESTQSPVSSFSNANPRSIKSEEIIGEETGTEKVSPRGSDDDEEVCAKKKLRLTKDQSALLEERFKEHTTLNPKQKQALAKQLNLRPRQVEVWFQNRRARYIYIYMCVCSFSFLPFIFKELDIINYVVLHIYFS >DRNTG_29160.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5246609:5248811:-1 gene:DRNTG_29160 transcript:DRNTG_29160.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-lactate dehydrogenase [cytochrome], mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G06580) UniProtKB/Swiss-Prot;Acc:Q94AX4] MEGTCTGEHGVGTGKMKYLEQELGIGSLRTMKRIKSALDPNNIMNPGKLIPPHVCI >DRNTG_29160.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5249822:5258392:-1 gene:DRNTG_29160 transcript:DRNTG_29160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-lactate dehydrogenase [cytochrome], mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G06580) UniProtKB/Swiss-Prot;Acc:Q94AX4] MTQEPRSLSLSLSLSLSMGSFFPLISRLASSSKHYASRALRSSTAFPPLRSLSTTSSSSSSSDRFRSWSRSLLPFAIAGTAAFALSLDLRPTPSSCDSGFGHHVGRKGSTEILVQGSHTAVPKEFIDELRAICESNMTLDYDERYFHGNPQNSFHKAVNIPDVIVYPRSQDDVQKIIKTCNKFKIPIVPYGGATSIEGHTLAPYGGVCIDLSLMKKIKALHVRDMDVVVEPGIGWIELNEYLKPYGLFFPLDPGPGATIGGMCATRCSGSLAVRYGTMRENVINLQVVLGNGDVIKTGSRARKSAAGYDLARLMIGSEGTLGVITEVTLRLQKLPQHSVVAMCNFKTIKDAADVAIATMLSGIQVSRVELLDEVQMKAINVANGKNLPEVPTLMFEFIGTEAYAREQTQLVQKIVSEHNGSDFTFVEDPDAKMELWKIRKEALWACFAMAPGFEAMTTASLIIYAFLI >DRNTG_29160.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5247155:5249094:-1 gene:DRNTG_29160 transcript:DRNTG_29160.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-lactate dehydrogenase [cytochrome], mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G06580) UniProtKB/Swiss-Prot;Acc:Q94AX4] MEGTCTGEHGVGTGKMKYLEQELGIGSLRTMKRIKSALDPNNIMNPGKLIPPHVCI >DRNTG_29160.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5243634:5248811:-1 gene:DRNTG_29160 transcript:DRNTG_29160.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-lactate dehydrogenase [cytochrome], mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G06580) UniProtKB/Swiss-Prot;Acc:Q94AX4] MEGTCTGEHGVGTGKMKYLEQELGIGSLRTMKRIKSALDPNNIMNPGKLIPPHVCI >DRNTG_29160.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5243992:5258392:-1 gene:DRNTG_29160 transcript:DRNTG_29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-lactate dehydrogenase [cytochrome], mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G06580) UniProtKB/Swiss-Prot;Acc:Q94AX4] MTQEPRSLSLSLSLSLSMGSFFPLISRLASSSKHYASRALRSSTAFPPLRSLSTTSSSSSSSDRFRSWSRSLLPFAIAGTAAFALSLDLRPTPSSCDSGFGHHVGRKGSTEILVQGSHTAVPKEFIDELRAICESNMTLDYDERYFHGNPQNSFHKAVNIPDVIVYPRSQDDVQKIIKTCNKFKIPIVPYGGATSIEGHTLAPYGGVCIDLSLMKKIKALHVRDMDVVVEPGIGWIELNEYLKPYGLFFPLDPGPGATIGGMCATRCSGSLAVRYGTMRENVINLQVVLGNGDVIKTGSRARKSAAGYDLARLMIGSEGTLGVITEVTLRLQKLPQHSVVAMCNFKTIKDAADVAIATMLSGIQVSRVELLDEVQMKAINVANGKNLPEVPTLMFEFIGTEAYAREQTQLVQKIVSEHNGSDFTFVEDPDAKMELWKIRKEALWACFAMAPGFEAMTTDVCVPLSRLAECISKSKQLLDASTLLCTVIAHAGDGNFHALVLFDPSDEDQRQEAERLNHFIVHTALSMEGTCTGEHGVGTGKMKYLEQELGIGSLRTMKRIKSALDPNNIMNPGKLIPPHVCI >DRNTG_29160.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5243992:5249094:-1 gene:DRNTG_29160 transcript:DRNTG_29160.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-lactate dehydrogenase [cytochrome], mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G06580) UniProtKB/Swiss-Prot;Acc:Q94AX4] MEGTCTGEHGVGTGKMKYLEQELGIGSLRTMKRIKSALDPNNIMNPGKLIPPHVCI >DRNTG_29160.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5243909:5248811:-1 gene:DRNTG_29160 transcript:DRNTG_29160.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-lactate dehydrogenase [cytochrome], mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G06580) UniProtKB/Swiss-Prot;Acc:Q94AX4] MEGTCTGEHGVGTGKMKYLEQELGIGSLRTMKRIKSALDPNNIMNPGKLIPPHVCI >DRNTG_29160.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5247240:5248811:-1 gene:DRNTG_29160 transcript:DRNTG_29160.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-lactate dehydrogenase [cytochrome], mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G06580) UniProtKB/Swiss-Prot;Acc:Q94AX4] MEGTCTGEHGVGTGKMKYLEQELGIGSLRTMKRIKSALDPNNIMNPGKLIPPHVCI >DRNTG_33409.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1557671:1561613:1 gene:DRNTG_33409 transcript:DRNTG_33409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDSGAFRRSSSESAILCAPPSSAQSLPASSDSASGLTEIQLRDNERRKNSTFLPASSPNYLPSHGLSPGRESLASSDISRKLRLLGAASFFSLSEDRASPSDPGLLPLGLEESGLSGRTISPVVQPDAKSLRERDPKTEIVATDGTITASEEKLKRPSPPKEIEFVWAYKYRPRTLAGFICNRDRAEELQRMIKTHECSHFIFEGPPGVGKKTMVLAFLRDAFGPKKMKMKNELKRIELKGEHADAGSIDLNVRRSSQHIEVNLSESRGYEQHVIMTLINEFHIPSEQAVQCDQTNCKAIVLHEADKISNDAQHYVRWLMDKYKGL >DRNTG_33409.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1557671:1561613:1 gene:DRNTG_33409 transcript:DRNTG_33409.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDSGAFRRSSSESAILCAPPSSAQSLPASSDSASGLTEIQLRDNERRKNSTFLPASSPNYLPSHGLSPGRESLASSDISRKLRLLGAASFFSLSEDRASPSDPGLLPLGLEESGLSGRTISPVVQPDAKSLRERDPKTEIVATDGTITASEEKLKRPSPPKEIEFVWAYKYRPRTLAGFICNRDRAEELQRMIKTHECSHFIFEGPPGVGKKTMVLAFLRDAFGPKKMKMKNELKRIELKVFSYCPLLCLSHEEIPCTKRITKHTVERKFLILYLLLFIYRENMLMLGA >DRNTG_33409.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1557671:1561613:1 gene:DRNTG_33409 transcript:DRNTG_33409.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDSGAFRRSSSESAILCAPPSSAQSLPASSDSASGLTEIQLRDNERRKNSTFLPASSPNYLPSHGLSPGRESLASSDISRKLRLLGAASFFSLSEDRASPSDPGLLPLGLEESGLSGRTISPVVQPDAKSLRERDPKTEIVATDGTITASEEKLKRPSPPKEIEFVWAYKYRPRTLAGFICNRDRAEELQRMIKTHECSHFIFEGPPGVGKKTMVLAFLRDAFGPKKMKMKNELKRIELKGEHADAGSIDLNVRRSSQHIEVNLSESRGYEQHVIMTLINEFHIPSEQAVQCDQTNCKGMWLVYRKKRMEFPMGSASHT >DRNTG_00764.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13117426:13123204:1 gene:DRNTG_00764 transcript:DRNTG_00764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSAVSLLSAEKTASRLRLFVSSEPSSTLLPSHCHLSETRIPCHGTVTGDGSFTGAEIYCEAATSVYAVSAPLLDGGTVRTQGHDAGFIAYDGAGRAVWIGHVGRFETKRLAITIRKSLGDITDDKMKALSSCRWLSAFHQDYEKKEDDEKKNTIMHYAAGTTIKAEDQMMVKKLLSKDMMYNKNIKEKTAQELFDENHAEMVNSEGIS >DRNTG_20196.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12577152:12584688:-1 gene:DRNTG_20196 transcript:DRNTG_20196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLGFVSHAIFPSTAPKSYVFARRTSSGRGVRTCERARLRPWRAMAVLGVEKTVGRGEERAPVEKEQYDAIVIGSGIGGLVAATQLAVKGARVLVLEKYVIPGGSSGFFERDGFTFDVGSSVMFGFSDKISTSSLLEIEFIFFFF >DRNTG_20196.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12577152:12584688:-1 gene:DRNTG_20196 transcript:DRNTG_20196.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLGFVSHAIFPSTAPKSYVFARRTSSGRGVRTCERARLRPWRAMAVLGVEKTVGRGEERAPVEKEQYDAIVIGSGIGGLVAATQLAVKGARVLVLEKYVIPGGSSGFFERDGFTFDVGSSVMFGFSDKISTSSLLEIEFIFFFF >DRNTG_12957.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:13736297:13737785:-1 gene:DRNTG_12957 transcript:DRNTG_12957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSTSSSVVSITIGQSEGACPPPQPVQLV >DRNTG_26295.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27609411:27612044:1 gene:DRNTG_26295 transcript:DRNTG_26295.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L17, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54210) UniProtKB/Swiss-Prot;Acc:Q9M385] MAMASSSSPALSISPWSMASLRSALPSIPTPRILSLRFSSPAAPFPSSSRLRSSHKEQKLLRSFTGLAPDSTLMSMGSYGAGFENGLSIIDSGSRFYAMRHGKRVPKLNRPPDQRRALLRGLTTQLLKHGRIKTTRARASAMRKYVDKMITLAKDGSLHKRRQALGFIYEKQIVHALFAEVQDRYGERNGGYTRIIRTLPRRGDNAPMAFIELV >DRNTG_26295.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27609411:27613040:1 gene:DRNTG_26295 transcript:DRNTG_26295.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L17, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54210) UniProtKB/Swiss-Prot;Acc:Q9M385] MAMASSSSPALSISPWSMASLRSALPSIPTPRILSLRFSSPAAPFPSSSRLRSSHKEQKLLRSFTGLAPDSTLMSMGSYGAGFENGLSIIDSGSRFYAMRHGKRVPKLNRPPDQRRALLRGLTTQLLKHGRIKTTRARASAMRKYVDKMITLAKDGSLHKRRQALGFIYEKQIVHALFAEVQDRYGERNGGYTRIIRTLPRRGDNAPMAFIELV >DRNTG_25203.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20193639:20194065:-1 gene:DRNTG_25203 transcript:DRNTG_25203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGELIRGLLPLSGVRADYTVQRVRELAEGTCLKNYNYLGV >DRNTG_17250.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5656033:5658118:1 gene:DRNTG_17250 transcript:DRNTG_17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAQKLIPKWKILRGDNVMIIRGKDKGETGLIKRVIRSQNRVIVEGKNLVKKHIKQGQGHEGGIFSVEAPLHVSNVQVLNPVTGRPCKIGYRYLEDGAKVRVSRGQEASGSIIPRPEILSQRRKLRPTILGPKDTPMDVVLEKTYDAKAGLGMPDL >DRNTG_20110.23 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:271165:278864:1 gene:DRNTG_20110 transcript:DRNTG_20110.23 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALIFNRENPSFAWRSSARVWGTSTCKEAFPAGGEKKVVTLVSGGKDSCYAMMRCIDYGHQIVALANLMPLDDSADELDSYMYQTVWHQIIIRYAECMGLPLFWRRIRGLTRHSHLKLFNDTRG >DRNTG_20110.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:271165:278864:1 gene:DRNTG_20110 transcript:DRNTG_20110.15 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNHQTEIVFSMGCWIERPSQGLLEDLTAVLWRIESLLRTEGFDWVNVLYMHLYISDMKHFALANEVYVKFITEKKCSFGVPSHSTIELPLKQVGYGHAYVEVLVASNRSKRVLHVQSISCWAPTTRPYSCVQHSIPLPTFLCMFVFTFILSCCC >DRNTG_20110.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:271165:278864:1 gene:DRNTG_20110 transcript:DRNTG_20110.7 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNHQTEIVFSMGCWIERPSQGLLEDLTAVLWRIESLLRTEGFDWVNVLYMHLYISDMKHFALANEVYVKFITEKKCSFGVPSHSTIELPLKQVGYGHAYVEVLVASNRSKRVLHVQSISCWAPTTRPYSCVQHSIPLPTFLCMFVFTFILSCCC >DRNTG_20110.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:271165:279033:1 gene:DRNTG_20110 transcript:DRNTG_20110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALIFNRENPSFAWRSSARVWGTSTCKEAFPAGGEKKVVTLVSGGKDSCYAMMRCIDYGHQIVALANLMPLDDSADELDSYMYQTVWHQIIIRYAECMGLPLFWRRIRGLTRHSHLKLFNDTRG >DRNTG_20110.25 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:271165:278864:1 gene:DRNTG_20110 transcript:DRNTG_20110.25 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNHQTEIVFSMGCWIERPSQGLLEDLTAVLWRIESLLRTEGFDWVNVLYMHLYISDMKHFALANEVYVKFITEKKCSFGVPSHSTIELPLKQVGYGHAYVEVLVASNRSKRVLHVQSISCWAPTTRPYSCVQHSIPLPTFLCMFVFTFILSCCC >DRNTG_20110.21 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:271165:278864:1 gene:DRNTG_20110 transcript:DRNTG_20110.21 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNHQTEIVFSMGCWIERPSQGLLEDLTAVLWRIESLLRTEGFDWVNVLYMHLYISDMKHFALANEVYVKFITEKKCSFGVPSHSTIELPLKQVGYGHAYVEVLVASNRSKRVLHVQSISCWAPTTRPYSCVQHSIPLPTFLCMFVFTFILSCCC >DRNTG_20110.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:271165:279033:1 gene:DRNTG_20110 transcript:DRNTG_20110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALIFNRENPSFAWRSSARVWGTSTCKEAFPAGGEKKVVTLVSGGKDSCYAMMRCIDYGHQIVALANLMPLDDSADELDSYMYQTVWHQIIIRYAECMGLPLFWRRIRGLTRHSHLKLFNDTRG >DRNTG_20110.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:271165:278864:1 gene:DRNTG_20110 transcript:DRNTG_20110.10 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGDDVEDMFILLSEIKQNFPFINAVSSDAIASDYQRFRVESVCSRLGHVSLAYLWKQDQTLLFDEMVIIYFIEFFLDMCLSSVNITTARN >DRNTG_20110.27 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:272010:278864:1 gene:DRNTG_20110 transcript:DRNTG_20110.27 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNHQTEIVFSMGCWIERPSQGLLEDLTAVLWRIESLLRTEGFDWVNVLYMHLYISDMKHFALANEVYVKFITEKKCSFGVPSHSTIELPLKQVGYGHAYVEVLVASNRSKRVLHVQSISCWAPTTRPYSCVQHSIPLPTFLCMFVFTFILSCCC >DRNTG_20110.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:271165:278864:1 gene:DRNTG_20110 transcript:DRNTG_20110.18 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNHQTEIVFSMGCWIERPSQGLLEDLTAVLWRIESLLRTEGFDWVNVLYMHLYISDMKHFALANEVYVKFITEKKCSFGVPSHSTIELPLKQVGYGHAYVEVLVASNRSKRVLHVQSISCWAPTTRPYSCVQHSIPLPTFLCMFVFTFILSCCC >DRNTG_20110.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:271165:278864:1 gene:DRNTG_20110 transcript:DRNTG_20110.13 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALIFNRENPSFAWRSSARVWGTSTCKEAFPAGGEKKVVTLVSGGKDSCYAMMRCIDYGHQIVALANLMPLDDSADELDSYMYQTVWHQIIIRYAECMGLPLFWRRIRGLTRHSHLKLFNDTRG >DRNTG_20110.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:271165:278864:1 gene:DRNTG_20110 transcript:DRNTG_20110.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALIFNRENPSFAWRSSARVWGTSTCKEAFPAGGEKKVVTLVSGGKDSCYAMMRCIDYGHQIVALANLMPLDDSADELDSYMYQTVWHQIIIRYAECMGLPLFWRRIRGLTRHSHLKLFNDTRG >DRNTG_20110.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:271165:278864:1 gene:DRNTG_20110 transcript:DRNTG_20110.14 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALIFNRENPSFAWRSSARVWGTSTCKEAFPAGGEKKVVTLVSGGKDSCYAMMRCIDYGHQIVALANLMPLDDSADELDSYMYQTVWHQIIIRYAECMGLPLFWRRIRGLTRHSHLKLFNDTRG >DRNTG_20110.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:271165:278864:1 gene:DRNTG_20110 transcript:DRNTG_20110.12 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNHQTEIVFSMGCWIERPSQGLLEDLTAVLWRIESLLRTEGFDWVNVLYMHLYISDMKHFALANEVYVKFITEKKCSFGVPSHSTIELPLKQVGYGHAYVEVLVASNRSKRVLHVQSISCWAPTTRPYSCVQHSIPLPTFLCMFVFTFILSCCC >DRNTG_20110.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:271165:278864:1 gene:DRNTG_20110 transcript:DRNTG_20110.17 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNHQTEIVFSMGCWIERPSQGLLEDLTAVLWRIESLLRTEGFDWVNVLYMHLYISDMKHFALANEVYVKFITEKKCSFGVPSHSTIELPLKQVGYGHAYVEVLVASNRSKRVLHVQSISCWAPTTRPYSCVQHSIPLPTFLCMFVFTFILSCCC >DRNTG_20110.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:271165:278931:1 gene:DRNTG_20110 transcript:DRNTG_20110.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALIFNRENPSFAWRSSARVWGTSTCKEAFPAGGEKKVVTLVSGGKDSCYAMMRCIDYGHQIVALANLMPLDDSADELDSYMYQTVWHQIIIRYAECMGLPLFWRRIRGLTRHSHLKLFNDTRG >DRNTG_20110.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:271165:279033:1 gene:DRNTG_20110 transcript:DRNTG_20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALIFNRENPSFAWRSSARVWGTSTCKEAFPAGGEKKVVTLVSGGKDSCYAMMRCIDYGHQIVALANLMPLDDSADELDSYMYQTVWHQIIIRYAECMGLPLFWRRIRGLTRHSHLKLFNDTRG >DRNTG_20110.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:271165:278864:1 gene:DRNTG_20110 transcript:DRNTG_20110.19 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNHQTEIVFSMGCWIERPSQGLLEDLTAVLWRIESLLRTEGFDWVNVLYMHLYISDMKHFALANEVYVKFITEKKCSFGVPSHSTIELPLKQVGYGHAYVEVLVASNRSKRVLHVQSISCWAPTTRPYSCVQHSIPLPTFLCMFVFTFILSCCC >DRNTG_20110.24 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:271165:278864:1 gene:DRNTG_20110 transcript:DRNTG_20110.24 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALIFNRENPSFAWRSSARVWGTSTCKEAFPAGGEKKVVTLVSGGKDSCYAMMRCIDYGHQIVALANLMPLDDSADELDSYMYQTVWHQIIIRYAECMGLPLFWRRIRGLTRHSHLKLFNDTRG >DRNTG_20110.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:271165:278864:1 gene:DRNTG_20110 transcript:DRNTG_20110.9 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNHQTEIVFSMGCWIERPSQGLLEDLTAVLWRIESLLRTEGFDWVNVLYMHLYISDMKHFALANEVYVKFITEKKCSFGVPSHSTIELPLKQVGYGHAYVEVLVASNRSKRVLHVQSISCWAPTTRPYSCVQHSIPLPTFLCMFVFTFILSCCC >DRNTG_20110.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:271165:278864:1 gene:DRNTG_20110 transcript:DRNTG_20110.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALIFNRENPSFAWRSSARVWGTSTCKEAFPAGGEKKVVTLVSGGKDSCYAMMRCIDYGHQIVALANLMPLDDSADELDSYMYQTVWHQIIIRYAECMGLPLFWRRIRGLTRHSHLKLFNDTRG >DRNTG_20110.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:271165:278864:1 gene:DRNTG_20110 transcript:DRNTG_20110.16 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALIFNRENPSFAWRSSARVWGTSTCKEAFPAGGEKKVVTLVSGGKDSCYAMMRCIDYGHQIVALANLMPLDDSADELDSYMYQTVWHQIIIRYAECMGLPLFWRRIRGLTRHSHLKLFNDTRG >DRNTG_20110.20 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:271165:278864:1 gene:DRNTG_20110 transcript:DRNTG_20110.20 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNHQTEIVFSMGCWIERPSQGLLEDLTAVLWRIESLLRTEGFDWVNVLYMHLYISDMKHFALANEVYVKFITEKKCSFGVPSHSTIELPLKQVGYGHAYVEVLVASNRSKRVLHVQSISCWAPTTRPYSCVQHSIPLPTFLCMFVFTFILSCCC >DRNTG_20110.22 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:271165:278864:1 gene:DRNTG_20110 transcript:DRNTG_20110.22 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNHQTEIVFSMGCWIERPSQGLLEDLTAVLWRIESLLRTEGFDWVNVLYMHLYISDMKHFALANEVYVKFITEKKCSFGVPSHSTIELPLKQVGYGHAYVEVLVASNRSKRVLHVQSISCWAPTTRPYSCVQHSIPLPTFLCMFVFTFILSCCC >DRNTG_20110.26 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:271165:278864:1 gene:DRNTG_20110 transcript:DRNTG_20110.26 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNHQTEIVFSMGCWIERPSQGLLEDLTAVLWRIESLLRTEGFDWVNVLYMHLYISDMKHFALANEVYVKFITEKKCSFGVPSHSTIELPLKQVGYGHAYVEVLVASNRSKRVLHVQSISCWAPTTRPYSCVQHSIPLPTFLCMFVFTFILSCCC >DRNTG_20110.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:271165:279033:1 gene:DRNTG_20110 transcript:DRNTG_20110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALIFNRENPSFAWRSSARVWGTSTCKEAFPAGGEKKVVTLVSGGKDSCYAMMRCIDYGHQIVALANLMPLDDSADELDSYMYQTVWHQIIIRYAECMGLPLFWRRIRGLTRHSHLKLFNDTRG >DRNTG_20110.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:271165:278864:1 gene:DRNTG_20110 transcript:DRNTG_20110.11 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNHQTEIVFSMGCWIERPSQGLLEDLTAVLWRIESLLRTEGFDWVNVLYMHLYISDMKHFALANEVYVKFITEKKCSFGVPSHSTIELPLKQVGYGHAYVEVLVASNRSKRVLHVQSISCWAPTTRPYSCVQHSIPLPTFLCMFVFTFILSCCC >DRNTG_01499.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:14125873:14126187:-1 gene:DRNTG_01499 transcript:DRNTG_01499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMGSFDVHDHEEGSEYEEEYKEDEFVEDRHLVDPAELDSDEAHVRALAHHAGNSRGAWQDVDPDELSYEELVSLG >DRNTG_29525.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2160000:2162716:1 gene:DRNTG_29525 transcript:DRNTG_29525.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERPWKRQNLSLNLDEFERHTEKITVDRISKLPDALLLQILSFLQMQDVMRVCCVSTRWKHLWKSVPSIDFTEAKIRTTGEFIRAVNKALSNRGGLQIREFRACVDSDLCQINNVDYWITVVVSHNVEVLYLDCIPNRPFGKNKDKLCLIPQSMYQCQYLHTLTLKFCQMDLPSIFCLATLRKLHLELVEFTDEDLEVLLSNCPALKYLTLKNCNKDTHLRVFAKNSHLENLNIHEDDWNLETDLLVSAPNLLTLNFSGMPKRERFFVENLKSLVSVNFDFSSTYCHVWDPEDHFLFGQFIENFCHVKSLKLSNWCTQDLSVRAILNNGTSVSNTINEVTLVTRFNKCELAGLVYVLKNFINLGVLILNVVKDVETEFELILKDEGFYETTYWKPDELSVAGLQQQLRTVEIHGILGSVSFSSAAELVPTEDILDKRRTEFEFVRFLLKNLTELETMFITPTEGLNTLSYSKKLDILFQLSSRLLSLPRSSPNAHIIIRPIQRTGKF >DRNTG_29525.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2160000:2162716:1 gene:DRNTG_29525 transcript:DRNTG_29525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPWKRQNLSLNLDEFERHTEKITVDRISKLPDALLLQILSFLQMQDVMRVCCVSTRWKHLWKSVPSIDFTEAKIRTTGEFIRAVNKALSNRGGLQIREFRACVDSDLCQINNVDYWITVVVSHNVEVLYLDCIPNRPFGKNKDKLCLIPQSMYQCQYLHTLTLKFCQMDLPSIFCLATLRKLHLELVEFTDEDLEVLLSNCPALKYLTLKNCNKDTHLRVFAKNSHLENLNIHEDDWNLETDLLVSAPNLLTLNFSGMPKRERFFVENLKSLVSVNFDFSSTYCHVWDPEDHFLFGQFIENFCHVKSLKLSNWCTQDLSVRAILNNGTSVSNTINEVTLVTRFNKCELAGLVYVLKNFINLGVLILNVVKDVETEVNAVNFHF >DRNTG_29525.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2160000:2162716:1 gene:DRNTG_29525 transcript:DRNTG_29525.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERPWKRQNLSLNLDEFERHTEKITVDRISKLPDALLLQILSFLQMQDVMRVCCVSTRWKHLWKSVPSIDFTEAKIRTTGEFIRAVNKALSNRGGLQIREFRACVDSDLCQINNVDYWITVVVSHNVEVLYLDCIPNRPFGKNKDKLCLIPQSMYQCQYLHTLTLKFCQMDLPSIFCLATLRKLHLELVEFTDEDLEVLLSNCPALKYLTLKNCNKDTHLRVFAKNSHLENLNIHEDDWNLETDLLVSAPNLLTLNFSGMPKRERFFVENLKSLVSVNFDFSSTYCHVWDPEDHFLFGQFIENFCHVKSLKLSNWCTQDLSVRAILNNGTSVSNTINEVTLVTRFNKCELAGLVYVLKNFINLGVLILNVVKDVETEVNAVNFHF >DRNTG_29525.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2160000:2162716:1 gene:DRNTG_29525 transcript:DRNTG_29525.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERPWKRQNLSLNLDEFERHTEKITVDRISKLPDALLLQILSFLQMQDVMRVCCVSTRWKHLWKSVPSIDFTEAKIRTTGEFIRAVNKALSNRGGLQIREFRACVDSDLCQINNVDYWITVVVSHNVEVLYLDCIPNRPFGKNKDKLCLIPQSMYQCQYLHTLTLKFCQMDLPSIFCLATLRKLHLELVEFTDEDLEVLLSNCPALKYLTLKNCNKDTHLRVFAKNSHLENLNIHEDDWNLETDLLVSAPNLLTLNFSGMPKRERFFVENLKSLVSVNFDFSSTYCHVWDPEDHFLFGQFIENFCHVKSLKLSNWCTQVC >DRNTG_23801.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29648747:29657090:-1 gene:DRNTG_23801 transcript:DRNTG_23801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMNMCLQVLSIPGCISVDENGGRAFISDCNNHRVIIADEDGKIIDCVSIFISFI >DRNTG_23801.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29648847:29657090:-1 gene:DRNTG_23801 transcript:DRNTG_23801.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNPTVSGTPHRDEVKEPCIYPMMKNLLLSYPGCISVDENGGRAFISDCNNHRVIIADEDGKIIDCVSIFISFI >DRNTG_23801.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29648847:29654926:-1 gene:DRNTG_23801 transcript:DRNTG_23801.9 gene_biotype:protein_coding transcript_biotype:protein_coding MFMNMCLQVLSIPGCISVDENGGRAFISDCNNHRVIIADEDGKIIDCVSIFISFI >DRNTG_23801.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29648847:29657090:-1 gene:DRNTG_23801 transcript:DRNTG_23801.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMNMCLQVLSIPGCISVDENGGRAFISDCNNHRVIIADEDGKIIDCVSIFISFI >DRNTG_23801.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29648847:29657090:-1 gene:DRNTG_23801 transcript:DRNTG_23801.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNPTVSGTPHRDEVKEPCIYPMMKNLLLSYPGCISVDENGGRAFISDCNNHRVIIADEDGKIIDCVSIFISFI >DRNTG_23801.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29648847:29654926:-1 gene:DRNTG_23801 transcript:DRNTG_23801.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGGCYLLFEGSRNRFLHHKLDVDIGIIVKEIEEFYSLNKSGSVMVQNPTVSGTPHRDEVKEPCIYPMMKNLLLSYPGCISVDENGGRAFISDCNNHRVIIADEDGKIIDCIGSSPGFEDGEFESAKLFRPAASVFDNAENSLYIVDSENNAIRRADMERRVLETVYPVPVQKSSRIWSWIMDILGFQKESALTSEVVDLDGVTLPWHLVKLGGDDLLIINRSFEMAWIMDMSTGEIKKVVKGTKTITEMYGHMILERVPSFKDVCWNSFQQRLVHYFSLEGIPYASLMSSIASFNNYTVFCDAAAQKVLKCHRESRQVECVQFSNLRILGLPYWLVSPPERIFRSGNSCYQWNEHLRPFSVLPGRCNIKVNVDIPMETELIAPLDENCIWRQARGSAAELSRLDGPAAGSEKVGVAQQWFDELDNLAFSRPEADLNPEEEEKSSSKCSQDSDKVHFNCTVNVSPGIGEVVVSAVLYLKLKKAHGTGGQNFDSMRVLGLKSYGSIKPEEEVCMKLLSDTCGDLQDIVFMKPLHLRIKLDCGDHPSADTQKEIISTETNLEISISLD >DRNTG_23801.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29648847:29657090:-1 gene:DRNTG_23801 transcript:DRNTG_23801.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNPTVSGTPHRDEVKEPCIYPMMKNLLLSYPGCISVDENGGRAFISDCNNHRVIIADEDGKIIDCIGSSPGFEDGEFESAKLFRPAASVFDNAENSLYIVDSENNAIRRADMERRVLETVYPVPVQKSSRIWSWIMDILGFQKESALTSEVVDLDGVTLPWHLVKLGGDDLLIINRSFEMAWIMDMSTGEIKKVVKGTKTITEMYGHMILERVPSFKDVCWNSFQQRLVHYFSLEGIPYASLMSSIASFNNYTVFCDAAAQKVLKCHRESRQVECVQFSNLRILGLPYWLVSPPERIFRSGNSCYQWNEHLRPFSVLPGRCNIKVNVDIPMETELIAPLDENCIWRQARGSAAELSRLDGPAAGSEKVGVAQQWFDELDNLAFSRPEADLNPEEEEKSSSKCSQDSDKVHFNCTVNVSPGIGEVVVSAVLYLKLKKAHGTGGQNFDSMRVLGLKSYGSIKPEEEVCMKLLSDTCGDLQDIVFMKPLHLRIKLDCGDHPSADTQKEIISTETNLEISISLD >DRNTG_23801.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29648847:29657090:-1 gene:DRNTG_23801 transcript:DRNTG_23801.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENYVTFPILLFDKDFPEMLNGGCYLLFEGSRNRFLHHKLDVDIGIIVKEIEEFYSLNKSGSVMVQNPTVSGTPHRDEVKEPCIYPMMKNLLLSYPGCISVDENGGRAFISDCNNHRVIIADEDGKIIDCVSIFISFI >DRNTG_23801.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29648847:29654472:-1 gene:DRNTG_23801 transcript:DRNTG_23801.11 gene_biotype:protein_coding transcript_biotype:protein_coding MFMNMCLQVLSIPGCISVDENGGRAFISDCNNHRVIIADEDGKIIDCVSIFISFI >DRNTG_23801.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29648847:29657090:-1 gene:DRNTG_23801 transcript:DRNTG_23801.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFMNMCLQVLSIPGCISVDENGGRAFISDCNNHRVIIADEDGKIIDCVSIFISFI >DRNTG_13794.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000641.1:54420:55067:1 gene:DRNTG_13794 transcript:DRNTG_13794.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPSSLNLQVPMQSKMINHEEQQVPHKNNRVLRRACSDVVTEAGKLINGFDISLSLKINNNEIEMVECECCGLCEECTPTYIMSIKDSFGGKWICGLCSEAVKEKMKRNPDLAIDGALENHGAFCKQFNQTIRINPKLSLAGAMRDIARRSYQNRNSTKDFCESKIARAMSCDPFMSCVKVRRSSF >DRNTG_15504.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20840797:20841653:1 gene:DRNTG_15504 transcript:DRNTG_15504.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLRPIYARQPHSIRAHPQSSPPPSSQSPSLNSSVPSSTCLILTSAEQYLSLVGNFSSSCSLLIKTDDEQVERNGGICFGSAFGWCWDLQFSLWRINVQQTPDKESQEA >DRNTG_04762.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3767992:3769091:1 gene:DRNTG_04762 transcript:DRNTG_04762.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNKGSHKHISVLYNTHHKLSNISLPFVLVFNYFKQSLQQSTWDAKSTSTFRKSQFITYNQQSCS >DRNTG_05272.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19680979:19685574:1 gene:DRNTG_05272 transcript:DRNTG_05272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTRSSIRFTVLLLIWVAAIELSMEPVECRRSKSHGHGRRGYYAGSALTGMPPRAYTASVKDFGAVGDGVTSNTEAFHAAIANLSQYSENGGAKLVVPAGRWLTGPFNLTSHFTLFLEQDAVILASQDLNEWPIIDPLPSYGRGRDLPGGRYTNFIHGANLTDVVITGDNGTIDGQGEIWWQKFKNNELNYTRGYLVELMFSDHIIISNLTFVNSTSWHIHPVYSSYIVVSGITILAPIISPNTDGVNPDSCSHVRIEDCYIVSGDDCVAIKSGWDEYGITFGMPSQHIIIRRLTCISPTSAVIALGSEMSGGIQDVRAEDITAINSESGVRIKTAPGRGGFVKDIFVRRMNLYTMKWVFWMTGNYGQHPDDKYDPNVMPLVHGISYSDVIAENVTMAGNLLGIERAPFTGICISNVTADMAKSKKLPWNCSDIYGITSSVSPTPCALLPDQGSDAPPCPFPTDELPIDGVELNQNSYW >DRNTG_23666.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20514775:20517278:1 gene:DRNTG_23666 transcript:DRNTG_23666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTTAVRILLLLLLTVIALSSAEIRTTVVKSDATPIIPFDDFGFSRSGVVELSISGLSFSDLSLSHASFSRLGFFLSTRDAWMHVYQKLQDGEISCALDTNLVENVFIFDALPSPTPNSISASFNQTDAGQFTLLFANCVPNLRVSMSVKSSMFNVDQISGRRTYLSVGAAVLPRIYYFFFLVYACLAVLWIIVLRRNRLTALRIHYFMLAVLALKALNLLCEAEDKSYINRTGSAHGWDVLFYIFSFLKGITLFTLIVLIGTGWSFLKPYLQGKEKKVLMVVIPLQILANAAQVELDETGKFAPAWVTWREVFLLVDVICCCAVLFPIVWSIKNLREAARTDGKAAVNLMKLTLFRQYYVVVICYIYFTRVVVYALSTITSYRYFWTSAIAAELATLAFYAFTGYKFKPEVRNPYFAIDDVEEEAAAEALKLGDEFEL >DRNTG_23666.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20514846:20516545:1 gene:DRNTG_23666 transcript:DRNTG_23666.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTTAVRILLLLLLTVIALSSAEIRTTVVKSDATPIIPFDDFGFSRSGVVELSISGLSFSDLSLSHASFSRLGFFLSTRDAWMHVYQKLQDGEISCALDTNLVENVFIFDALPSPTPNSISASFNQTDAGQFTLLFANCVPNLRVSMSVKSSMFNVDQISGRRTYLSVGAAVLPRIYYFFFLVYACLAVLWIIVLRRNRLTALRIHYFMLAVLALKALNLLCEAEDKSYINRTGSAHGWDVLFYIFSFLKGITLFTLIVLIGTGWSFLKPYLQGKEKKVLMVVIPLQILANAAQVELDETGKFAPAWVTWREVFLLVDVICCCAVLFPIVWSIKNLREAARTDGKAAVNLMKLTLFRQYYVVVICYIYFTRVVVYALSTITSYRYFWTSAIAAELATLAFYAFTGYKFKPEVRNPYFAIDDVEEEAAAEALKLGDEFEL >DRNTG_23666.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20514775:20517094:1 gene:DRNTG_23666 transcript:DRNTG_23666.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTTAVRILLLLLLTVIALSSAEIRTTVVKSDATPIIPFDDFGFSRSGVVELSISGLSFSDLSLSHASFSRLGFFLSTRDAWMHVYQKLQDGEISCALDTNLVENVFIFDALPSPTPNSISASFNQTDAGQFTLLFANCVPNLRVSMSVKSSMFNVDQISGRRTYLSVGAAVLPRIYYFFFLVYACLAVLWIIVLRRNRLTALRIHYFMLAVLALKALNLLCEAEDKSYINRTGSAHGWDVLFYIFSFLKGITLFTLIVLIGTGWSFLKPYLQGKEKKVLMVVIPLQILANAAQVELDETGKFAPAWVTWREVFLLVDVICCCAVLFPIVWSIKNLREAARTDGKAAVNLMKLTLFRQYYVVVICYIYFTRVVVYALSTITSYRYFWTSAIAAELATLAFYAFTGYKFKPEVRNPYFAIDDVEEEAAAEALKLGDEFEL >DRNTG_23666.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20514775:20516545:1 gene:DRNTG_23666 transcript:DRNTG_23666.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTTAVRILLLLLLTVIALSSAEIRTTVVKSDATPIIPFDDFGFSRSGVVELSISGLSFSDLSLSHASFSRLGFFLSTRDAWMHVYQKLQDGEISCALDTNLVENVFIFDALPSPTPNSISASFNQTDAGQFTLLFANCVPNLRVSMSVKSSMFNVDQISGRRTYLSVGAAVLPRIYYFFFLVYACLAVLWIIVLRRNRLTALRIHYFMLAVLALKALNLLCEAEDKSYINRTGSAHGWDVLFYIFSFLKGITLFTLIVLIGTGWSFLKPYLQGKEKKVLMVVIPLQILANAAQVELDETGKFAPAWVTWREVFLLVDVICCCAVLFPIVWSIKNLREAARTDGKAAVNLMKLTLFRQYYVVVICYIYFTRVVVYALSTITSYRYFWTSAIAAELATLAFYAFTGYKFKPEVRNPYFAIDDVEEEAAAEALKLGDEFEL >DRNTG_23666.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20514846:20517094:1 gene:DRNTG_23666 transcript:DRNTG_23666.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTTAVRILLLLLLTVIALSSAEIRTTVVKSDATPIIPFDDFGFSRSGVVELSISGLSFSDLSLSHASFSRLGFFLSTRDAWMHVYQKLQDGEISCALDTNLVENVFIFDALPSPTPNSISASFNQTDAGQFTLLFANCVPNLRVSMSVKSSMFNVDQISGRRTYLSVGAAVLPRIYYFFFLVYACLAVLWIIVLRRNRLTALRIHYFMLAVLALKALNLLCEAEDKSYINRTGSAHGWDVLFYIFSFLKGITLFTLIVLIGTGWSFLKPYLQGKEKKVLMVVIPLQILANAAQVELDETGKFAPAWVTWREVFLLVDVICCCAVLFPIVWSIKNLREAARTDGKAAVNLMKLTLFRQYYVVVICYIYFTRVVVYALSTITSYRYFWTSAIAAELATLAFYAFTGYKFKPEVRNPYFAIDDVEEEAAAEALKLGDEFEL >DRNTG_23666.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20514846:20517278:1 gene:DRNTG_23666 transcript:DRNTG_23666.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTTAVRILLLLLLTVIALSSAEIRTTVVKSDATPIIPFDDFGFSRSGVVELSISGLSFSDLSLSHASFSRLGFFLSTRDAWMHVYQKLQDGEISCALDTNLVENVFIFDALPSPTPNSISASFNQTDAGQFTLLFANCVPNLRVSMSVKSSMFNVDQISGRRTYLSVGAAVLPRIYYFFFLVYACLAVLWIIVLRRNRLTALRIHYFMLAVLALKALNLLCEAEDKSYINRTGSAHGWDVLFYIFSFLKGITLFTLIVLIGTGWSFLKPYLQGKEKKVLMVVIPLQILANAAQVELDETGKFAPAWVTWREVFLLVDVICCCAVLFPIVWSIKNLREAARTDGKAAVNLMKLTLFRQYYVVVICYIYFTRVVVYALSTITSYRYFWTSAIAAELATLAFYAFTGYKFKPEVRNPYFAIDDVEEEAAAEALKLGDEFEL >DRNTG_32256.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25648760:25649552:1 gene:DRNTG_32256 transcript:DRNTG_32256.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTMCQVYAAVSYICIDDPESSSLALDLVGPVYKFMDSYVGVREKTCIVFAYGLLLMRQHNLQEARIRLASGLKIAHQQLGNIQLVSQFLTILGTLALQLHDTVQAREILKSSLTLAKTL >DRNTG_32256.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25648559:25649552:1 gene:DRNTG_32256 transcript:DRNTG_32256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTMCQVYAAVSYICIDDPESSSLALDLVGPVYKFMDSYVGVREKTCIVFAYGLLLMRQHNLQEARIRLASGLKIAHQQLGNIQLVSQFLTILGTLALQLHDTVQAREILKSSLTLAKTL >DRNTG_26729.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1238256:1239877:1 gene:DRNTG_26729 transcript:DRNTG_26729.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIESVVFFSGLGPNRTVEDLKDRVLHDRKFFKAKSDYVREPISRQDWMAVIEWLVVEPKAHLILDPYGGAMSRINGGDLPFPHRDGNLYGIQYLIEWTAEDEGKGQEYIEWLREFYEFTRGLVSKGPRAAYVNYLDLDLGVNYWDLNGDNARVYGEKYYLGNYDRLVKAKTAIDPNNVFNNPQSVPPLRLSSSVSSENGQSAG >DRNTG_26729.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1238288:1239877:1 gene:DRNTG_26729 transcript:DRNTG_26729.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIESVVFFSGLGPNRTVEDLKDRVLHDRKFFKAKSDYVREPISRQDWMAVIEWLVVEPKAHLILDPYGGAMSRINGGDLPFPHRDGNLYGIQYLIEWTAEDEGKGQEYIEWLREFYEFTRGLVSKGPRAAYVNYLDLDLGVNYWDLNGDNARVYGEKYYLGNYDRLVKAKTAIDPNNVFNNPQSVPPLRLSSSVSSENGQSAG >DRNTG_20410.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29178748:29180122:1 gene:DRNTG_20410 transcript:DRNTG_20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFISLTFKKKKNAEEKGREQPLLQDHNKKQGNATSGNLKTVLPQCLFKKQL >DRNTG_17212.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:300908:302645:1 gene:DRNTG_17212 transcript:DRNTG_17212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQCANISHSWEFPVNILYSKTPCEDYVESAVKQTMTIHISSPPGDILIFMTGQDEIEATCYALAEHVPKLSILPIYSQLPADLQAKIFQKAEDGARKCILATNIAETSLTVYGLLYVIDTGYGKMKVYNLRMGMEALQVFPVSRAAADQQAGRAGTGLGTCYCLYTESACQNEMLPNPVLEIQRTNLGNVVLLLKSLKIENLLDFDFMDPPPEENILNSMYHLWVLGALNNAGSLTELGWKMVEFPWIHHWRRCC >DRNTG_00139.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2347891:2350617:-1 gene:DRNTG_00139 transcript:DRNTG_00139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQPAYGLHTINEKENPFPWTPPSPPSPPLLLLGYSLQFKLIPMLCNHSENSKVGILVEESRNVHVVRGVDDINRRTRIDSQVSETSWDLVLAEICERWGIEVSLVKCWQEFRFCEHSRSTPW >DRNTG_26940.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30104262:30105289:1 gene:DRNTG_26940 transcript:DRNTG_26940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQNFITIFILLLSLLYLSPSIHARPLINAKSSNISAVFAFGDSTLDSGNNDKLLTLVRADHPPYGQNFPGRVPTGRFSNGKLITDFLTSAIHLKDALPPNLAIGLSADDLSTGLSFASAGSGYDDLTAQSSVVLTMDQQLRYFSDYMRRMSIAGKDNKFLSDAVFVIGAGSNDMIMNFYTLPVRKSTYTLDQYQDFLQSKLSSFVKELYNMGGRKFTVAGLPPLGCIPFQITNHINTRPGPPGVPRSRACVDEQNDDAMKYNTKLQTTLNDLRKSLPDSKIVYVDIYNPMMDMINNPTKY >DRNTG_26940.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30104262:30104913:1 gene:DRNTG_26940 transcript:DRNTG_26940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQNFITIFILLLSLLYLSPSIHARPLINAKSSNISAVFAFGDSTLDSGNNDKLLTLVRADHPPYGQNFPGRVPTGRFSNGKLITDFLTSAIHLKDALPPNLAIGLSADDLSTGLSFASAGSGYDDLTAQSSVVLTMDQQLRYFSDYMRRMSIAGKDNKFLSDAVFVIGAGSNDMIMNFYTLPVRKSTYTLDQYQDFLQSKLSSFVK >DRNTG_26940.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30104262:30105726:1 gene:DRNTG_26940 transcript:DRNTG_26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQNFITIFILLLSLLYLSPSIHARPLINAKSSNISAVFAFGDSTLDSGNNDKLLTLVRADHPPYGQNFPGRVPTGRFSNGKLITDFLTSAIHLKDALPPNLAIGLSADDLSTGLSFASAGSGYDDLTAQSSVVLTMDQQLRYFSDYMRRMSIAGKDNKFLSDAVFVIGAGSNDMIMNFYTLPVRKSTYTLDQYQDFLQSKLSSFVKELYNMGGRKFTVAGLPPLGCIPFQITNHINTRPGPPGVPRSRACVDEQNDDAMKYNTKLQTTLNDLRKSLPDSKIVYVDIYNPMMDMINNPTKYGFTETTLGCCGTGTMEMGPLCSIPLPTCPDPSKFMFWDSVHPSEAAYKALADEFMQTVLPQLT >DRNTG_26845.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6449450:6450684:-1 gene:DRNTG_26845 transcript:DRNTG_26845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEISDELLSAVVPVIVYWVFSFFYEFLAQHCVNYRLHPIGEEEEKNTVSRSKVIKGVLTHQALQILTVCIVTKVWEEKGEAITVQHSLPRIAVQFVIGMAVIDTVQYFGHRYMHENKFLYKHTHSAHHALVVPYVYGAQYGSLLDGLVLDTMGSALAFVVSGMTVRTSIYFYSFAIIKNLDVHSGLYFPWNPLQGFLS >DRNTG_29410.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1877386:1878953:-1 gene:DRNTG_29410 transcript:DRNTG_29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHAGRTNKNALKVLLVAEYCGVDIELAKNFQMGVSNKTPEFLKMNPLGKVPVLETPDGPIFESNAIARYVARLNADNPIYGTSLIEYAHIEQWIDFATIEIDPNICRWFYPRAGYSLYNPQAEEDAIKLLKRALGALNIHLETNTYLVGHGITLADIIMICNLYLGFIRLMTKSFTSEFPHVERYFWTMVNQPNFCKVMGKVEQTESVHPLQSQISTQSKLKEPKKEAKKQSINSMIEDSEPFNGEALLDAKCFK >DRNTG_09592.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23676977:23678234:1 gene:DRNTG_09592 transcript:DRNTG_09592.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRALMESQNSKNGLATKVTAGNQFAGESTINRPMKPSPSSEQLVLPASASQMLHKIQRF >DRNTG_32298.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001817.1:78186:79183:1 gene:DRNTG_32298 transcript:DRNTG_32298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDMADDLEEILAVGSWPRLLSIRDPVIRLLTLEVLASFEFGRSYSSFSSEVYEQLPTDYPSSLTPQRTCRVLCGRCQYDPGLSKVTCLSRPSYKYMYVVLSRSVNGRGDSTGILSRQELLYLYSILQSEPLHLGHVLAEARERHGGHEDIIWAKKRRKPGRSTVAVVLQYQHYSKITVDTTVAPGEFPRQKSNHGIRPQCCPV >DRNTG_34107.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002087.1:49856:59078:1 gene:DRNTG_34107 transcript:DRNTG_34107.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVPATIEEQLILKAIREECPWENLPKRLQSTLASKEEWHRRIIDYCIKKRLQWNTCFARKVCKEGEYYEEMMRYLRKNLALFPYHLAEYVCRVMRVSPFKYYCDMIFEVMKSEQPYDSIPNFSAADSLRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFSIEPWWGVCLVNFTLEEFKKLTEEEMATIDKVCKEEANSFVLFDPNIIQGLFRRGLIYFDVPVFPDDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVSSENATVAELAATLQADLFQLQAAASFACRLGWAIKLIDPESVLQELNIPGSPSSLLSDDEDGSNVSLNSESVGQQGRGPLAERRRPVSGTAHAAFVVDANITSYLMMGSLSPGLKSHAVTLYEAGKLGDSSIAELCKDLTTLEGKRFEGELQEFANHAFSLRCVLECLQSGGLATNEVGDIIGNQIDKQLPVADEASSLISDIKIVPESYDSGANETGSDAPQPFLDSFGTTGSDAVSIDDGSSISFSSKDGSSGPINDFLVKDQDNVILSEAPNNDKGPLKKKRKYGVDVLRCESLAALAPATLERLFLRDYDIIVSMVPLPSSSVLPGPSGPVHFGPPSYSSMTPWMKLVLYTTMESGPLSVVLMKGQCLRMLPIPLAGCEKALIWSWDGSTIGGLGGKFEGNLVDGNVLLHCLNSMLKYSAVLVQPLSKCDLDGSEKIVTADIALPIQNFGSSIAQSGIEMGQYPEENASFSSLLSAFSSKFELLTVGYIRLLRLGKERESDMFLPDDKKYEWVPLSLEFGIPLFNPNLCRRICERVVSSHLLQTDLFAKHHDSMQSLRKMLREICSEYQATGPSAKHFYHREPIKEPSRQLMNYASGRWSPRDPSTPISEASSEHQRLKLANRQRPRTEVLSFDGSILRSYALAPVYEAATRPIEESSSANASKPESDDTETKEVVLPGVNLLFDGSQLHPFDIAACLQARQPIALIAEASEASAYVQTNRAL >DRNTG_34107.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002087.1:53679:59078:1 gene:DRNTG_34107 transcript:DRNTG_34107.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYAVFVVSSENATVAELAATLQADLFQLQAAASFACRLGWAIKLIDPESVLQELNIPGSPSSLLSDDEDGSNVSLNSESVGQQGRGPLAERRRPVSGTAHAAFVVDANITSYLMMGSLSPGLKSHAVTLYEAGKLGDSSIAELCKDLTTLEGKRFEGELQEFANHAFSLRCVLECLQSGGLATNEVGDIIGNQIDKQLPVADEASSLISDIKIVPESYDSGANETGSDAPQPFLDSFGTTGSDAVSIDDGSSISFSSKDGSSGPINDFLVKDQDNVILSEAPNNDKGPLKKKRKYGVDVLRCESLAALAPATLERLFLRDYDIIVSMVPLPSSSVLPGPSGPVHFGPPSYSSMTPWMKLVLYTTMESGPLSVVLMKGQCLRMLPIPLAGCEKALIWSWDGSTIGGLGGKFEGNLVDGNVLLHCLNSMLKYSAVLVQPLSKCDLDGSEKIVTADIALPIQNFGSSIAQSGIEMGQYPEENASFSSLLSAFSSKFELLTVGYIRLLRLGKERESDMFLPDDKKYEWVPLSLEFGIPLFNPNLCRRICERVVSSHLLQTDLFAKHHDSMQSLRKMLREICSEYQATGPSAKHFYHREPIKEPSRQLMNYASGRWSPRDPSTPISEASSEHQRLKLANRQRPRTEVLSFDGSILRSYALAPVYEAATRPIEESSSANASKPESDDTETKEVVLPGVNLLFDGSQLHPFDIAACLQARQPIALIAEASEASAYVQTNRAL >DRNTG_34107.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002087.1:49856:59078:1 gene:DRNTG_34107 transcript:DRNTG_34107.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLNKSIAKELLPTQPVDFSIEPWWGVCLVNFTLEEFKKLTEEEMATIDKVCKEEANSFVLFDPNIIQGLFRRGLIYFDVPVFPDDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVSSENATVAELAATLQADLFQLQAAASFACRLGWAIKLIDPESVLQELNIPGSPSSLLSDDEDGSNVSLNSESVGQQGRGPLAERRRPVSGTAHAAFVVDANITSYLMMGSLSPGLKSHAVTLYEAGKLGDSSIAELCKDLTTLEGKRFEGELQEFANHAFSLRCVLECLQSGGLATNEVGDIIGNQIDKQLPVADEASSLISDIKIVPESYDSGANETGSDAPQPFLDSFGTTGSDAVSIDDGSSISFSSKDGSSGPINDFLVKDQDNVILSEAPNNDKGPLKKKRKYGVDVLRCESLAALAPATLERLFLRDYDIIVSMVPLPSSSVLPGPSGPVHFGPPSYSSMTPWMKLVLYTTMESGPLSVVLMKGQCLRMLPIPLAGCEKALIWSWDGSTIGGLGGKFEGNLVDGNVLLHCLNSMLKYSAVLVQPLSKCDLDGSEKIVTADIALPIQNFGSSIAQSGIEMGQYPEENASFSSLLSAFSSKFELLTVGYIRLLRLGKERESDMFLPDDKKYEWVPLSLEFGIPLFNPNLCRRICERVVSSHLLQTDLFAKHHDSMQSLRKMLREICSEYQATGPSAKHFYHREPIKEPSRQLMNYASGRWSPRDPSTPISEASSEHQRLKLANRQRPRTEVLSFDGSILRSYALAPVYEAATRPIEESSSANASKPESDDTETKEVVLPGVNLLFDGSQLHPFDIAACLQARQPIALIAEASEASAYVQTNRAL >DRNTG_34107.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002087.1:53679:59078:1 gene:DRNTG_34107 transcript:DRNTG_34107.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLNKSIAKELLPTQPVDFSIEPWWGVCLVNFTLEEFKKLTEEEMATIDKVCKEEANSFVLFDPNIIQGLFRRGLIYFDVPVFPDDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVSSENATVAELAATLQADLFQLQAAASFACRLGWAIKLIDPESVLQELNIPGSPSSLLSDDEDGSNVSLNSESVGQQGRGPLAERRRPVSGTAHAAFVVDANITSYLMMGSLSPGLKSHAVTLYEAGKLGDSSIAELCKDLTTLEGKRFEGELQEFANHAFSLRCVLECLQSGGLATNEVGDIIGNQIDKQLPVADEASSLISDIKIVPESYDSGANETGSDAPQPFLDSFGTTGSDAVSIDDGSSISFSSKDGSSGPINDFLVKDQDNVILSEAPNNDKGPLKKKRKYGVDVLRCESLAALAPATLERLFLRDYDIIVSMVPLPSSSVLPGPSGPVHFGPPSYSSMTPWMKLVLYTTMESGPLSVVLMKGQCLRMLPIPLAGCEKALIWSWDGSTIGGLGGKFEGNLVDGNVLLHCLNSMLKYSAVLVQPLSKCDLDGSEKIVTADIALPIQNFGSSIAQSGIEMGQYPEENASFSSLLSAFSSKFELLTVGYIRLLRLGKERESDMFLPDDKKYEWVPLSLEFGIPLFNPNLCRRICERVVSSHLLQTDLFAKHHDSMQSLRKMLREICSEYQATGPSAKHFYHREPIKEPSRQLMNYASGRWSPRDPSTPISEASSEHQRLKLANRQRPRTEVLSFDGSILRSYALAPVYEAATRPIEESSSANASKPESDDTETKEVVLPGVNLLFDGSQLHPFDIAACLQARQPIALIAEASEASAYVQTNRAL >DRNTG_34107.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002087.1:55082:59078:1 gene:DRNTG_34107 transcript:DRNTG_34107.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSLSPGLKSHAVTLYEAGKLGDSSIAELCKDLTTLEGKRFEGELQEFANHAFSLRCVLECLQSGGLATNEVGDIIGNQIDKQLPVADEASSLISDIKIVPESYDSGANETGSDAPQPFLDSFGTTGSDAVSIDDGSSISFSSKDGSSGPINDFLVKDQDNVILSEAPNNDKGPLKKKRKYGVDVLRCESLAALAPATLERLFLRDYDIIVSMVPLPSSSVLPGPSGPVHFGPPSYSSMTPWMKLVLYTTMESGPLSVVLMKGQCLRMLPIPLAGCEKALIWSWDGSTIGGLGGKFEGNLVDGNVLLHCLNSMLKYSAVLVQPLSKCDLDGSEKIVTADIALPIQNFGSSIAQSGIEMGQYPEENASFSSLLSAFSSKFELLTVGYIRLLRLGKERESDMFLPDDKKYEWVPLSLEFGIPLFNPNLCRRICERVVSSHLLQTDLFAKHHDSMQSLRKMLREICSEYQATGPSAKHFYHREPIKEPSRQLMNYASGRWSPRDPSTPISEASSEHQRLKLANRQRPRTEVLSFDGSILRSYALAPVYEAATRPIEESSSANASKPESDDTETKEVVLPGVNLLFDGSQLHPFDIAACLQARQPIALIAEASEASAYVQTNRAL >DRNTG_34107.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002087.1:55082:59078:1 gene:DRNTG_34107 transcript:DRNTG_34107.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYAVFVVSSENATVAELAATLQADLFQLQAAASFACRLGWAIKLIDPESVLQELNIPGSPSSLLSDDEDGSNVSLNSESVGQQGRGPLAERRRPVSGTAHAAFVVDANITSYLMMGSLSPGLKSHAVTLYEAGKLGDSSIAELCKDLTTLEGKRFEGELQEFANHAFSLRCVLECLQSGGLATNEVGDIIGNQIDKQLPVADEASSLISDIKIVPESYDSGANETGSDAPQPFLDSFGTTGSDAVSIDDGSSISFSSKDGSSGPINDFLVKDQDNVILSEAPNNDKGPLKKKRKYGVDVLRCESLAALAPATLERLFLRDYDIIVSMVPLPSSSVLPGPSGPVHFGPPSYSSMTPWMKLVLYTTMESGPLSVVLMKGQCLRMLPIPLAGCEKALIWSWDGSTIGGLGGKFEGNLVDGNVLLHCLNSMLKYSAVLVQPLSKCDLDGSEKIVTADIALPIQNFGSSIAQSGIEMGQYPEENASFSSLLSAFSSKFELLTVGYIRLLRLGKERESDMFLPDDKKYEWVPLSLEFGIPLFNPNLCRRICERVVSSHLLQTDLFAKHHDSMQSLRKMLREICSEYQATGPSAKHFYHREPIKEPSRQLMNYASGRWSPRDPSTPISEASSEHQRLKLANRQRPRTEVLSFDGSILRSYALAPVYEAATRPIEESSSANASKPESDDTETKEVVLPGVNLLFDGSQLHPFDIAACLQARQPIALIAEASEASAYVQTNRAL >DRNTG_34107.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002087.1:49856:59078:1 gene:DRNTG_34107 transcript:DRNTG_34107.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYAVFVVSSENATVAELAATLQADLFQLQAAASFACRLGWAIKLIDPESVLQELNIPGSPSSLLSDDEDGSNVSLNSESVGQQGRGPLAERRRPVSGTAHAAFVVDANITSYLMMGSLSPGLKSHAVTLYEAGKLGDSSIAELCKDLTTLEGKRFEGELQEFANHAFSLRCVLECLQSGGLATNEVGDIIGNQIDKQLPVADEASSLISDIKIVPESYDSGANETGSDAPQPFLDSFGTTGSDAVSIDDGSSISFSSKDGSSGPINDFLVKDQDNVILSEAPNNDKGPLKKKRKYGVDVLRCESLAALAPATLERLFLRDYDIIVSMVPLPSSSVLPGPSGPVHFGPPSYSSMTPWMKLVLYTTMESGPLSVVLMKGQCLRMLPIPLAGCEKALIWSWDGSTIGGLGGKFEGNLVDGNVLLHCLNSMLKYSAVLVQPLSKCDLDGSEKIVTADIALPIQNFGSSIAQSGIEMGQYPEENASFSSLLSAFSSKFELLTVGYIRLLRLGKERESDMFLPDDKKYEWVPLSLEFGIPLFNPNLCRRICERVVSSHLLQTDLFAKHHDSMQSLRKMLREICSEYQATGPSAKHFYHREPIKEPSRQLMNYASGRWSPRDPSTPISEASSEHQRLKLANRQRPRTEVLSFDGSILRSYALAPVYEAATRPIEESSSANASKPESDDTETKEVVLPGVNLLFDGSQLHPFDIAACLQARQPIALIAEASEASAYVQTNRAL >DRNTG_15847.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25150324:25154253:1 gene:DRNTG_15847 transcript:DRNTG_15847.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g21140 [Source:Projected from Arabidopsis thaliana (AT5G21140) UniProtKB/TrEMBL;Acc:Q84VP9] MALTWRHHTLIQSLLSRPPLPESEFYSIFSGVTGKNPSTHRDLFNDFLLKINKELAYVQFELRGCRNQYDGRVYYGVVNNVADEQSKLGTRYSVPQIAFCKAVIEAIVQDISSQGRITNIEALNIRLDNQAVQGSENSQSRIPPALKNFSISQKEKTLDDLIQDQWLCCTSDGKIGLGVRSFLDLRGWFRSNSVPACDVCNEAGVKATTCSNEDCTVRIHDYCLKKKFSQQKAARVCPGCGTEWNFSGCDVDPEEAVVMEQTQVPSSNPSSGKRLRSCKREAAQARNEEPVDPVPRKRLRSCKAEAVEPTQVQSQEPSVPVLRKKHQPEAVLNAEAGPSQPSFPSADVRRTLRSRRT >DRNTG_15714.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4372799:4376078:1 gene:DRNTG_15714 transcript:DRNTG_15714.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRPDVNLTATDDKSDRFSLLVSSKIFLASCFAVGLITFMQDREIDGLNEQLAEDSRCLEHLQMQLLQERSKRTDVERENSMLHEQISMLMNMLEETEPPVDVEVASEDS >DRNTG_15714.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4372799:4376078:1 gene:DRNTG_15714 transcript:DRNTG_15714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFSPSSPPRIFWNSRKRSASTRSLENVLKVELEKTATHEPSDVPMNGDEVPPTSADETPIGEKDNAALSERRKALFEPLDPIGSGPIGRRIPAEDLLPPPDFESASYPKGWLVGKKRKLVNVDVVESMRRIAVQEMNRKDREIDGLNEQLAEDSRCLEHLQMQLLQERSKRTDVERENSMLHEQISMLMNMLEETEPPVDVEVASEDS >DRNTG_15714.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4372799:4376078:1 gene:DRNTG_15714 transcript:DRNTG_15714.2 gene_biotype:protein_coding transcript_biotype:protein_coding SKGRRRRRRWRASLHPLPLGSFGTPARDLDREIDGLNEQLAEDSRCLEHLQMQLLQERSKRTDVERENSMLHEQISMLMNMLEETEPPVDVEVASEDS >DRNTG_14542.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18786968:18790639:1 gene:DRNTG_14542 transcript:DRNTG_14542.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPPLIKRFTTEDVLAKFMINTESRFRDANTKFDALTTELHALDAKCNARFEAIDATLLLVQASLHKLENRVEQLFQERAIELSTTSNNEIEEKYDGREVVENFGALEVKKIEEVAQISLELALSMEVTQITKHKRELFGTIEDMGRRLKPSNDPPMLMLDTSQPKLFPWRPKRFLWVINGRLTLVEEGDVGRRFTPSKDPPMPSLKSFQPYLFPWRPKRFLRKLQGNSAGNRMGAGRMLKPSKDPPKFKLHNSRPKLFPWKPKGHGNTMDDTRI >DRNTG_16247.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000802.1:45854:48482:1 gene:DRNTG_16247 transcript:DRNTG_16247.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKTVLDSVMQHETHIQEMEEEMRSFISTKSEATEELRGMVKKLQTMFCSSIRTLDDLASELDKKSQSTCEKLNTQVNLHSSALDDLWQLEALLLLQKGTYLSYSQALSYTSQSTKLEPCSTAPKGTIWKLGT >DRNTG_16247.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000802.1:45854:48482:1 gene:DRNTG_16247 transcript:DRNTG_16247.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKTVLDSVMQHETHIQEMEEEMRSFISTKSEATEELRGMVKKLQTMFCSSIRTLDDLASELDKKSQSTCEKLNTQVNLHSSALDDLWQLEALLLLQKGTYLSYSQALSYTSQSTKLEPCSTAPKGTIWKLGT >DRNTG_16247.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000802.1:45854:48482:1 gene:DRNTG_16247 transcript:DRNTG_16247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKTVLDSVMQHETHIQEMEEEMRSFISTKSEATEELRGMVKKLQTMFCSSIRTLDDLASELDKKSQSTCEKLNTQVNLHSSALDDLWQLEALLLLQKGTYLSYSQALSYTSQSTKLEPCSTAPKGTIWKLGT >DRNTG_16247.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000802.1:45854:48482:1 gene:DRNTG_16247 transcript:DRNTG_16247.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKTVLDSVMQHETHIQEMEEEMRSFISTKSEATEELRGMVKKLQTMFCSSIRTLDDLASELDKKSQSTCEKLNTQVNLHSSALDDLWQLEALLLLQKGTYLSYSQALSYTSQSTKLEPCSTAPKGTIWKLGT >DRNTG_34715.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11985913:11995038:-1 gene:DRNTG_34715 transcript:DRNTG_34715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIPAHPGLLLRQSRVLAGITQGDLVKFLAPLPGNTTDEVIDEYMQEMFNPDPYEGLFDQEEDYEEVMMLGSTEKVPSTLGILKKVLRKMKRARRRHRKHSKAAGDVRQWKELDEPLLEPAQFSKASTENTLACGISARLWISIASLSREGTGSWADYPESTQWRGLAPVGDLVKIARAWVISTHPCESLQRSSLHPKKTQGHVAALVSWACKCPCPCGISARACRTLGIFLGCPEKPQGRATAPVGRAHERGYFLHARMRSFNVSESFSRGRTGACVRPCGSLVMRRTGVDMAPRVRKNEVKHLRITPPEPIHMEFSNPEHQARFERHSALGFGQTCFTDFRKLEEATDDQHPFSMSFTEFSIQMGLYFVVYTGIVEYGRLPTDFPVSVTPHHAYRILCGHGEYEPGMSKTTSLSRLSYRYLHMVISRSVSGRADNTAALTRQDLFFLYSMARNVPTHLGCILADILRHQGQSVRVGLLFDGPYITSLILGMGLGDALRGAERTVVPTPLAFEMIRMMGLVRRCGPWVCILATSTPENAKSGGDTVEDSRQSPRHPAAQGPCAYDCIERLESIMREIRTEIAELVTYTDSSRYDLMALLLTFYEIY >DRNTG_09130.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26810500:26814558:1 gene:DRNTG_09130 transcript:DRNTG_09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHDLRRPFKRAAISDQQRRRELALQRQAEQRANSQARARALASSLLSFPSSPQSEPEFDLETEQETEEPTTVVSSVLEASKLKGSEARRWFARQLMLPEWMIDVPPHLDNDWYVFPRPAGKRCFVVSSNGTTVSRLRNGSVLHYFPSALPNGARNKDVSGPASAYCILDCIFHESDQTYYVIDMVCWRGYSLYDCTAEFRFFWLNSKLVETGACNPPSTYHRYRFSVVSIYDCNQMGLHAAYAGSMPFSRDGLLFYNKHAHYQTGNTPLALVWKDEHCSQYFLDTDSKGEVPALQQVVLELQDDGKLVTSDDPPVVFGSLDGEFVQKSGLRSGNLLRFAIKDEGARIVDGKLELCDTQYVGKSNRARAFADSYSKVFFQYAARHSPLRMGDLLASIQPHISQDEKTLDVEMAG >DRNTG_27095.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001381.1:28171:31689:-1 gene:DRNTG_27095 transcript:DRNTG_27095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSERDSSSSEGLELVPWTTQYCTPSGVSCRSIMR >DRNTG_14556.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17616220:17618309:-1 gene:DRNTG_14556 transcript:DRNTG_14556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALDSVVDPLREFAKDSVRLVKRCHKPDRKEFTKVAVRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >DRNTG_25373.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24230038:24230411:1 gene:DRNTG_25373 transcript:DRNTG_25373.2 gene_biotype:protein_coding transcript_biotype:protein_coding IIYKKKVLLTKNTYIIAKHQASSCSHDAGHDHYGSDLGFKLPAGAGRHCKTSGHLPTRVEDESQDKEEEKEDGDDRKKNKRNGMKRRTRLKSLAEG >DRNTG_25373.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24227683:24230411:1 gene:DRNTG_25373 transcript:DRNTG_25373.1 gene_biotype:protein_coding transcript_biotype:protein_coding QSNKNTYIIAKHQASSCSHDAGHDHYGSDLGFKLPAGAGRHCKTSGHLPTRVEDESQDKEEEKEDGDDRKKNKRNGMKRRTRLKSLAEG >DRNTG_23434.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21259408:21262393:1 gene:DRNTG_23434 transcript:DRNTG_23434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAIFPSSIVAGIEPLLSFMDVPSTEKQNLFFSKVDVCCVVFDFSDPNKNSAEKDLKRQALIDLVDYVNAGTMKFTEPMIIASCRMFAINLFRVFPPKCRSNSSSGGGENDEDEPMFDPAWSHLQIVYDLLLKFVSSTSLDSKIAKKYVDHTFILSLLELFDSEDPRERDCLKTVLHRIYGKFMTHRAFIRKAVSNIFYRFVFETERHNGIAELLEVFGSVISGFALPLKEEHKVFLWKALIPLHKPKMVGVYLQQLTYCITQFIEKEPKLASNVIMGLLKYWPVTSSQKELMFLGELEEVLEAINMAEFQKCMVPLFRRIGYCLNSSHFQVAERALFLWNNDHLINLIAENRQVILPLIIPALERNARHHWNRAVLNVTLNVRKMFSEMDEELFATCQSKFEEEEENRMASEEKRRKRWERLEHAASFQQVTGNTALLAPIVAVPPLAATPA >DRNTG_01119.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:14827841:14830553:1 gene:DRNTG_01119 transcript:DRNTG_01119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITFTCPGRDAFDEGLDALIMRSISFKGDDDKPPLKSFSFNGRDTESSILKAFGSGKLIIEGSLNLDMKEMEISIKNPASSENENSLNYIGFRKSRFSHLCDTVQKSPFCDNDGSEHQAALKLQKFYKSFRTRRQLADCAVLVEQRWWKLLDFAMLRRSSISFFDIEKPESAVSRWSRARTRAAKVGKGLSKDEKAQKLARQHWLEAIDPRHRYGHNLYIYYDCWLQCESKQPFFYWLDVGEGKEVNLEEQCPRSKLQKQCIKYLGPNEREKYEVIVDDGKFLYKISRQFLDTSESSKGTKWIFVLSTTKALYVGQKKKGVFQHSSFLAGGATSSAGRLVVEKGILKAAWPHSGHYRPTEKHFQEFMNFLKENNVNISDVKKCPAEGDEESGNLVGSNTLMTSNWSEDDLTKKSFLLEDVENRQRKYRAPAGLTNIEIPERLDPFRGCNAMAMNFGNIPNGSISGMCEKIAKRSSQMDEQPSKPNKQLKVNNNKVDNEGSSDIETNNRTSVDESEEVEGPYTCMVKQNLFDSHEIEKDFVPQEQIFDRINDKNGFNPCQLGKKISFRWTTGAGRRIGCVRDYPSPLQFRALEQVNLSPRDTGGSEQIPSSPLSQLKEISSGTKRAERALETMQ >DRNTG_14918.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27218225:27218923:1 gene:DRNTG_14918 transcript:DRNTG_14918.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFEALPSTSGFFSEGACHGGCSSNISS >DRNTG_29927.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:12650790:12654562:1 gene:DRNTG_29927 transcript:DRNTG_29927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHLLGFRGSSRDPGGSPASVSRNPSQSSSSTSSPNTLGPARPLRLVYCDENGKFRMDPEAVAALQLVKGPIGVVSVCGRARQGKSFILNQLLGRSSGFQVAPTHRPCTKGLWMWSAPIKRTALDGTEYNLLLLDSEGIDAYDQTVRF >DRNTG_02407.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000113.1:93001:95081:1 gene:DRNTG_02407 transcript:DRNTG_02407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDFVRSGATDEQYVLRVHKIFVEATDVMNSRFSTACMDADNVENHMHTLKQKYQVIKKHMELSGVGWNDTKKKLVLEDETYRTYVEVQLKAKEYLNKFIPFFDELCLAAGDDHATSDYARTIFDQFGGTPGEDESVLPPNAALDGEPMDTGN >DRNTG_22301.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17048844:17056784:-1 gene:DRNTG_22301 transcript:DRNTG_22301.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEPEKCFGHCTNTISSKLKKLLGTRDDGEAGPSNAARDPPCMGGVVSSNVS >DRNTG_13269.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10093940:10096689:-1 gene:DRNTG_13269 transcript:DRNTG_13269.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSVWRWRTRTRGKDRGGLGGDGGREKEREWEKEEKNKGEEVAALEMMVKI >DRNTG_25304.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19804603:19808456:-1 gene:DRNTG_25304 transcript:DRNTG_25304.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDVSLEGLQKELEECKNDEEVSDILAKGTKLREHTTGVAKNVHSVELDSIQAAVSKLTKFVEDIIVLPGMVDIIVDEEVSEEYLKTLESLSKQLKFPEVDSMVKPSKALKDVQSELE >DRNTG_25304.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19804603:19805531:-1 gene:DRNTG_25304 transcript:DRNTG_25304.2 gene_biotype:protein_coding transcript_biotype:protein_coding IVLQAAVSKLTKFVEDIIVLPGMVDIIVDEEVSEEYLKTLESLSKQLKFPEVDSMVKPSKALKDVQSELE >DRNTG_18094.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:329546:333888:1 gene:DRNTG_18094 transcript:DRNTG_18094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGSSLKEHLTKKTDVFGLELWEIIGITVMVFIICVLAIVVICTTVQSRKRRTRRTLNNLPITQIPAVSKEIKEVRVEHVSSNNYAAGDGMPMMIQDKNREDDSNKLVVHLGKSKNADYNSHSGSFHHTENGSQSGEEGSSGTVTGYRSSSYPITAPSPLAGLPEISQLGWGHWFTLRDLEIATNRFSRENILGEGGYGVVYRGSLINGIPVAVKRLLNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGTQRMLVYEYVNNGNLEQWLHGAMRQHGSLTWEARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDGFNAKVSDFGLAKLLGAGKSHIATRVMGTFGYVAPEYANSGLLNEKSDIYSFGVVLLEAITGRDPVDYSRPSDEVNLVDWLKMMVGSRRSEEVVDPSIETKPSTRVLKRALLIALRCVDPDPDKRPRMSQVVRMLESDDPIPREDRRRRHNHGVSTEAENSDTDKSDNPDSKSDGAQDNRPSSIN >DRNTG_25110.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:871136:872334:-1 gene:DRNTG_25110 transcript:DRNTG_25110.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLAFLQALCQRLQSTIAASDQLPLGVVKELQRLLKAFLAIQETTLEAAEKKQRKTKAMRTWLCELQEVAYDADDLLDCSVLKDQEPEVDANGMEVVDRRCCLIFNCGQEHPATQTELIMIQDIRRRVQKLIRKKPFSLHLHLQQSSSLMSNEVESIEATNPNSGEFVRDEDKEKLVQLLKSDESSQVYLSLVAIVGREGVGKTTLARIVYNDD >DRNTG_25110.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:867844:869108:-1 gene:DRNTG_25110 transcript:DRNTG_25110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMELISLPTTLEELMLENVGLAELPTLHQSKSAPTPSSSSLLSLHISKCHRLTTLQTGLLGYQSQHQLRALETLIIEGCGELVYLPDHGFSALESLKLLHIEKCTKLRYKPVANCSATLPSSLADLKIIDCAALTNDSFFMGLQRLHSLSSMFIRGVRLGIQEGYSPHNHVLSSLPGELLQHLMTLKQLAMLQCYELENLGIQSLVSLKELCIQHCPNLVSCSSSANNNEPLPLEFLQIERSPIEFINRKLLGRLTSLRELQIVGIIIVSFPEEIKDGLHCLKSLKKLHIQGCANLQSLPDELASIPRLEELYITECRAVKSLPEMPASLVMLVIDHCLSLSDRCQRGGADWNKIENIFFVQVYGVNVKDPANS >DRNTG_25110.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:871136:873430:-1 gene:DRNTG_25110 transcript:DRNTG_25110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLAFLQALCQRLQSTIAASDQLPLGVVKELQRLLKAFLAIQETTLEAAEKKQRKTKAMRTWLCELQEVAYDADDLLDCSVLKDQEPEVDANGMEVVDRRCCLIFNCGQEHPATQTELIMIQDIRRRVQKLIRKKPFSLHLHLQQSSSLMSNEVESIEATNPNSGEFVRDEDKEKLVQLLKSDESSQVYLSLVAIVGREGVGKTTLARIVYNDD >DRNTG_25110.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:867938:869108:-1 gene:DRNTG_25110 transcript:DRNTG_25110.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMELISLPTTLEELMLENVGLAELPTLHQSKSAPTPSSSSLLSLHISKCHRLTTLQTGLLGYQSQHQLRALETLIIEGCGELVYLPDHGFSALESLKLLHIEKCTKLRYKPVANCSATLPSSLADLKIIDCAALTNDSFFMGLQRLHSLSSMFIRGVRLGIQEGYSPHNHVLSSLPGELLQHLMTLKQLAMLQCYELENLGIQSLVSLKELCIQHCPNLVSCSSSANNNEPLPLEFLQIERSPIEFINRKLLGRLTSLRELQIVGIIIVSFPEEIKDGLHCLKSLKKLHIQGCANLQSLPDELASIPRLEELYITECRAVKSLPEMPASLVMLVIDHCLSLSDRCQRGGADWNKIENIFFVQVYGVNVKDPA >DRNTG_25110.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:870520:872334:-1 gene:DRNTG_25110 transcript:DRNTG_25110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLAFLQALCQRLQSTIAASDQLPLGVVKELQRLLKAFLAIQETTLEAAEKKQRKTKAMRTWLCELQEVAYDADDLLDCSVLKDQEPEVDANGMEVVDRRCCLIFNCGQEHPATQTELIMIQDIRRRVQKLIRKKPFSLHLHLQQSSSLMSNEVESIEATNPNSGEFVRDEDKEKLVQLLKSDESSQVYLSLVAIVGREGVGKTTLARIVYNDDKEVTSYFNLKLWVTLTASENL >DRNTG_25110.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:867844:872334:-1 gene:DRNTG_25110 transcript:DRNTG_25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPSSSYHLKGLSPGDCWSLFRQCSNLEAAASNQNITQLDEVGMKIAAKLEGLPLSARLLGSVLYSNVNFNDWKMILNADIWKSKPQELHSIPPALWLSYQRLPPQIKQCFSYCSIVPQDHKFNKDNLIQMWMAEGLIQPQPGIRIEDTGNQYFIHLIQRSLLQSSEGDYMMHSLVHRLAMAVTLDESLCLSGTDKSCNRRERVRLRHLSIQSDTLEMSEILDSGMLNKLRTLLFYRTISSSFEYHALFAKLKCVRVICLSDRRLSNLPESIGSLKQLRYLDVSETAISTVPDELCSLQNLQTLKLSMSFSMEPLSKCMSNLVNLRHLKGDSDNISRIHKLGKLELLQELEEFTLMTEDGHRIEELKDMKHLRGVLRISHLETVRTEEEAKGAKMNEKEHISEIGPILVG >DRNTG_32203.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001797.1:39379:40371:1 gene:DRNTG_32203 transcript:DRNTG_32203.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYRHILFPTHDRDTWPRSDQPPIIPPEPVNKRRGRKTLLRRQEDGEETGFNKGKVSKQGGKMKCSVCGQVGHNKRYHGAQQARREDEMQNGSNVEQRKAKDIARVGGADKRRRVWLPPGSGTSAAVNVSCELEKVNGLKL >DRNTG_27842.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4662456:4665801:-1 gene:DRNTG_27842 transcript:DRNTG_27842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIQQNSERSKPLLPNLSSKIKHFNENI >DRNTG_27842.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4662456:4665801:-1 gene:DRNTG_27842 transcript:DRNTG_27842.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSQTSMRARATLRAPTATLKKKTMATTTPTSAT >DRNTG_27842.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4662456:4665801:-1 gene:DRNTG_27842 transcript:DRNTG_27842.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSQTSMRARATLRAPTATLKKKTMATTTPTSAT >DRNTG_15459.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:326948:331393:-1 gene:DRNTG_15459 transcript:DRNTG_15459.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G77590) UniProtKB/Swiss-Prot;Acc:Q9CAP8] MNPYLVGLFVPLIFSVVLRKQRKEKKRGVPVEVGGEPGYAVRNYRFTSPVETTHEGVSTIPELFEHSCKLYSDHHLFGSRKLIARETEVSPDGRSFEKLHLGSYDWKSYSQAFEAVCSFASGLVNLGHAKGERVAIFSDTRAEWQIALQGCFRRNITVVTIYASLGEEALCHSLNETEVSTVVCGPKELKKLIDISGQLDTVKRVIYMDDEDVSIELSSAKTKTPWTITSFIEVEKLGHENPVEADLPVASDIAVIMYTSGSTGLPKGVMMTHGNVLSTVSAVMTIVPSIGCKDVYLAYLPLAHVLELAAEAVMVAAGCSIGYGSPLTLTDTSNKIKKGTKGDASVLGPTLMTAVPAILDRVRDGVRKNVDAKGGLAKKLFDIGYSRRLSAINGSWFGAWGLEKILWDFVVFRKVRAILGGQIRFMLSGGAPLSGDTQRFINICLGAPIGQGYGLTETCAGGSFSAYDDTSVGRVGAPLPCSFIKLIDWPEGGYLITDSPMPRGEIVIGGPNVTAGYFKNEEKTREVYKVDEKGMRWFYTGDIGRFHSDGCLEIIDRKKDIVKLQHGEYVSLGKVESALIVSPYVDNIMLHADPFHSYCVALVVAAPQAIENWAHSKGITFSDFEELCQKEETVKEVHASLVKAAKQARLEKFEIPARIKLLSQPWTPESGLVTAALKLKREVIRKAYAEDLAKFYA >DRNTG_22332.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:555454:557452:1 gene:DRNTG_22332 transcript:DRNTG_22332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSPLSSSPGSLDTVQDTISEVHL >DRNTG_14318.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14639159:14640210:-1 gene:DRNTG_14318 transcript:DRNTG_14318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICKISAQCSCTCCGRVTSPIVATPRVRRGGRQGDPAPPSSPSPPRQEASLGHDPAVAALVHAPELAPEGWVQHGEKITDLKKEDKTSFSSISPPMLSSIASPTSLVPSLLAL >DRNTG_00468.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30752325:30753780:1 gene:DRNTG_00468 transcript:DRNTG_00468.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNVSDEVLSVYVPVMVYWTVAAIYTFIGTYLEDYRLHPVGAEEKQNVATKAQVIRGVLYQQIGQIVMQTIVTKMVMGDGGGETKQPPFLICLCQFFIAMVVLDLWQYLGHIYLHVNKFMYKHIHSWHHALVVPYAWGAFYNHPLEGFILDTIGGGLAFLISGMTPRTSIFFYSFASIKSIDMHSSLYLPWNPLRLCFRNNVAYHDIHHRLKGSKYNYAQPFFMSWDLVFGTYMPFSVEKREGGGFEARPLKDM >DRNTG_25012.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19457392:19458644:1 gene:DRNTG_25012 transcript:DRNTG_25012.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDLQLPPGFRFHPTDEELVIHYLCRKCASLPISVPIITELDLYKFNPWDLPGMAMYGEKEWYFFSPRDRKYPNGSRPNRAAGLGYWKATGADKPIGSPKPVGIKKALVFYTGKAPKGDKTNWIMHEYRLANVDRTPRRKNSLRVCYSKHATRNYDPFID >DRNTG_25012.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19457392:19458644:1 gene:DRNTG_25012 transcript:DRNTG_25012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDLQLPPGFRFHPTDEELVIHYLCRKCASLPISVPIITELDLYKFNPWDLPGMAMYGEKEWYFFSPRDRKYPNGSRPNRAAGLGYWKATGADKPIGSPKPVGIKKALVFYTGKAPKGDKTNWIMHEYRLANVDRTPRRKNSLRLDDWVLCRIYNKRGGGAGEKQAGPKQGREVVEQKPVIGPNTIGPHVRRPNPNPMSELMCLDPSESLPRLHADSSCSEHVISSNEFTCDREVQSQPRWREDWEKALEIPLNYMDATLNGFPHLEPVFKDPLQDIFMHLQKPF >DRNTG_33999.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5250103:5250956:-1 gene:DRNTG_33999 transcript:DRNTG_33999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILSLSLWTLSTALNPPSSSPPLFASPLTLMTSIPISPTSSPPNPTLPTTSPVLTPITPPLPVPRSSYGSPEPLHGSASPRRLFLLAVNYLDRCFLPGAGPGLHLQPDKPWMSRLSAIACLSLAAKVEEQHVPLLLDLQSLAAAAGAEDDDGGGHGSYFFEPKTVRRMELLILSSLGWRMNPVTPLSFIDLLLPNFNLSCASSLLSAISDWRWVQHPPSAWASAAILHSIGDDQDPQIQSSLSLLNASKVRPLFQC >DRNTG_20099.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:334497:338838:1 gene:DRNTG_20099 transcript:DRNTG_20099.13 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLAQMLLCLSIPSSLWKSSKVLLLLLLFFCQHVEDHHLYSLNYLHWGAPKIWYGIAGRDALKLEAAMKKHLLCLFEEQPNLLYKLATQFSPTLLKMEGVPIYRCVQNSGEFVLTFPRAYHSGLSCGFNCAEAVNVAPFDWLPHGHCAIELYREQQRKISISHDKLLLGAAAEAVRAQWDVLLVAKDIADNSIWKDACGLDGILVKALKARIELERLAREYLCSASRSGKMTDVFIANKERECVVCHYDLYLSVVGCPCSPDRFACLIHAKELCSCAWKTRFFLFRYEISELNVLLDALVGKLSAIHRWGSSQLGLSAVSKPFVIDPLRRIKGMNEKPVTEGSSTGSQLSDKTFMMQADSLEEPKRKVYRALSSGDSTVAVGAHSLSCQHPESVVEKPCPQSRSMTGVVEDQIIQATGRSSDLKSSGLNLHFQEVHRKVPAAEGIIILSDDEDEGDNEVLSSVTKKEWKSLEAPARLPRLYKVTSSGSEGVNINQIPKSTASEQEIIKMGQHNRRNYQT >DRNTG_20099.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:339484:340705:1 gene:DRNTG_20099 transcript:DRNTG_20099.14 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFNLSSLEPLESIDGLKMFGLTSPDIIQAIEARDHEHVCTKYWQSKASRRLISREYEHSHDREESSQSPSLPSGNNVVLTGLFKKANPEELDVLFCLINGIGDGISDPHKQEAVKLLIKEIRGRSR >DRNTG_20099.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:333951:341011:1 gene:DRNTG_20099 transcript:DRNTG_20099.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGASSSTQNLMDIGENERFKKSLRWKPWLNYSQPNDSLEGESESEPVVQDAPSVSCLPKGILHGCVKCENCQKVMARWRPENASRPILDEAPVFYPTEEEFKDLFKYISSIRLSAEPYGICRIVPPPSWRPPCPLKEKNVWENSKFPTHIQQLHKLQNHDSAKTICRDHSTVRKKRQKLWKSGAKFWHTSGNNGNAIDVKKPDYHNDERFGFEAGPCFTLESFQRYADDFKEQYFRTEDEDIDLGSRQWEPSVANIEGEYWRIVESPTEEIEVLYGSELDTGDFGSGFPKSSFASVESQVDERYLKSSWNLNNSPRLPGSVLSYENESISGILVPWLYIGMCFSSFCWHVEDHHLYSLNYLHWGAPKIWYGIAGRDALKLEAAMKKHLLCLFEEQPNLLYKLATQFSPTLLKMEGVPIYRCVQNSGEFVLTFPRAYHSGLSCGFNCAEAVNVAPFDWLPHGHCAIELYREQQRKISISHDKLLLGAAAEAVRAQWDVLLVAKDIADNSIWKDACGLDGILVKALKARIELERLAREYLCSASRSGKMTDVFIANKERECVVCHYDLYLSVVGCPCSPDRFACLIHAKELCSCAWKTRFFLFRYEISELNVLLDALVGKLSAIHRWGSSQLGLSAVSKPFVIDPLRRIKGMNEKPVTEGSSTGSQLSDKTFMMQADSLEEPKRKVYRALSSGDSTVAVGAHSLSCQHPESVVEKPCPQSRSMTGVVEDQIIQATGRSSDLKSSGLNLHFQEVHRKVPAAEGIIILSDDEDEGDNEVLSSVTKKEWKSLEAPARLPRLYKVTSSGSEGVNINQIPKSTASEQEIIKMGQHNRRNYQT >DRNTG_20099.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:334032:339166:1 gene:DRNTG_20099 transcript:DRNTG_20099.8 gene_biotype:protein_coding transcript_biotype:protein_coding MQADQFLMKLLCFILLKRFLMLYILEFKDLFKYISSIRLSAEPYGICRIVPPPSWRPPCPLKEKNVWENSKFPTHIQQLHKLQNHDSAKTICRDHSTVRKKRQKLWKSGAKFWHTSGNNGNAIDVKKPDYHNDERFGFEAGPCFTLESFQRYADDFKEQYFRTEDEDIDLGSRQWEPSVANIEGEYWRIVESPTEEIEVLYGSELDTGDFGSGFPKSSFASVESQVDERYLKSSWNLNNSPRLPGSVLSYENESISGILVPWLYIGMCFSSFCWHVEDHHLYSLNYLHWGAPKIWYGIAGRDALKLEAAMKKHLLCLFEEQPNLLYKLATQFSPTLLKMEGVPIYRCVQNSGEFVLTFPRAYHSGLSCGFNCAEAVNVAPFDWLPHGHCAIELYREQQRKISISHDKLLLGAAAEAVRAQWDVLLVAKDIADNSIWKDACGLDGILVKALKARIELERLAREYLCSASRSGKMTDVFIANKERECVVCHYDLYLSVVGCPCSPDRFACLIHAKELCSCAWKTRFFLFRYEISELNVLLDALVGKLSAIHRWGSSQLGLSAVSKPFVIDPLRRIKGMNEKPVTEGSSTGSQLSDKTFMMQADSLEEPKRKVYRALSSGDSTVAVGAHSLSCQHPESVVEKPCPQSRSMTGVVEDQIIQATGRSSDLKSSGLNLHFQEVHRKVPAAEGIIILSDDEDEGDNEVLSSVTKKEWKSLEAPARLPRLYKVTSSGSEGVNINQIPKSTASEQEIIKMGQHNRRNYQT >DRNTG_20099.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:334032:338838:1 gene:DRNTG_20099 transcript:DRNTG_20099.11 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNHTGFVKVDCNRTPRVPPGFESCTSFTLRRLQEDVTMAGGASSSTQNLMDIGENERFKKSLRWKPWLNYSQPNDSLEGESESEPVVQDAPSVSCLPKGILHGCVKCENCQKVMARWRPENASRPILDEAPVFYPTEEEFKDLFKYISSIRLSAEPYGICRIVPPPSWRPPCPLKEKNVWENSKFPTHIQQLHKLQNHDSAKTICRDHSTVRKKRQKLWKSGAKFWHTSGNNGNAIDVKKPDYHNDERFGFEAGPCFTLESFQRYADDFKEQYFRTEDEDIDLGSRQWEPSVANIEGEYWRIVESPTEEIEVLYGSELDTGDFGSGFPKSSFASVESQVDERYLKSSWNLNNSPRLPGSVLSYENESISGILVPWLYIGMCFSSFCWHVEDHHLYSLNYLHWGAPKIWYGIAGRDALKLEAAMKKHLLCLFEEQPNLLYKLATQFSPTLLKMEGVPIYRCVQNSGEFVLTFPRAYHSGLSCGFNCAEAVNVAPFDWLPHGHCAIELYREQQRKISISHDKLLLGAAAEAVRAQWDVLLVAKDIADNSIWKDACGLDGILVKALKARIELERLAREYLCSASRSGKMTDVFIANKERECVVCHYDLYLSVVGCPCSPDRFACLIHAKELCSCAWKTRFFLFRYEISELNVLLDALVGKLSAIHRWGSSQLGLSAVSKPFVIDPLRRIKGMNEKPVTEGSSTGSQLSDKTFMMQADSLEEPKRKVYRALSSGDSTVAVGAHSLSCQHPESVVEKPCPQSRSMTGVVEDQIIQATGRSSDLKSSGLNLHFQEVHRKVPAAEGIIILSDDEDEGDNEVLSSVTKKEWKSLEAPARLPRLYKVTSSGSEGVNINQIPKSTASEQEIIKMGQHNRRNYQT >DRNTG_20099.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:334032:338838:1 gene:DRNTG_20099 transcript:DRNTG_20099.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLAQMLLCLSIPSSLWKSSKVLLLLLLFFCQHVEDHHLYSLNYLHWGAPKIWYGIAGRDALKLEAAMKKHLLCLFEEQPNLLYKLATQFSPTLLKMEGVPIYRCVQNSGEFVLTFPRAYHSGLSCGFNCAEAVNVAPFDWLPHGHCAIELYREQQRKISISHDKLLLGAAAEAVRAQWDVLLVAKDIADNSIWKDACGLDGILVKALKARIELERLAREYLCSASRSGKMTDVFIANKERECVVCHYDLYLSVVGCPCSPDRFACLIHAKELCSCAWKTRFFLFRYEISELNVLLDALVGKLSAIHRWGSSQLGLSAVSKPFVIDPLRRIKGMNEKPVTEGSSTGSQLSDKTFMMQADSLEEPKRKVYRALSSGDSTVAVGAHSLSCQHPESVVEKPCPQSRSMTGVVEDQIIQATGRSSDLKSSGLNLHFQEVHRKVPAAEGIIILSDDEDEGDNEVLSSVTKKEWKSLEAPARLPRLYKVTSSGSEGVNINQIPKSTASEVSLNVRDSLPNQHIVDRHSTSIFLAARDHQNGATQPEELSNLNNPEILRSLNQTRSHMKPVTTHNQEHASHAALISDATEEDIVNIVGESDKAEISCFLHCVGEGERVSLEGKESESSSTSILPSGLNRGNHLVKDSCMAEVLPRINCNVKPLEYGVVMSGKLWSSSQSIFPKGLF >DRNTG_20099.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:334497:338838:1 gene:DRNTG_20099 transcript:DRNTG_20099.12 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNHTGFVKVDCNRTPRVPPGFESCTSFTLRRLQEDVTMAGGASSSTQNLMDIGENERFKKSLRWKPWLNYSQPNDSLEGESESEPVVQDAPSVSCLPKGILHGCVKCENCQKVMARWRPENASRPILDEAPVFYPTEEEFKDLFKYISSIRLSAEPYGICRIVPPPSWRPPCPLKEKNVWENSKFPTHIQQLHKLQNHDSAKTICRDHSTVRKKRQKLWKSGAKFWHTSGNNGNAIDVKKPDYHNDERFGFEAGPCFTLESFQRYADDFKEQYFRTEDEDIDLGSRQWEPSVANIEGEYWRIVESPTEEIEVLYGSELDTGDFGSGFPKSSFASVESQVDERYLKSSWNLNNSPRLPGSVLSYENESISGILVPWLYIGMCFSSFCWHVEDHHLYSLNYLHWGAPKIWYGIAGRDALKLEAAMKKHLLCLFEEQPNLLYKLATQFSPTLLKMEGVPIYRCVQNSGEFVLTFPRAYHSGLSCGFNCAEAVNVAPFDWLPHGHCAIELYREQQRKISISHDKLLLGAAAEAVRAQWDVLLVAKDIADNSIWKDACGLDGILVKALKARIELERLAREYLCSASRSGKMTDVFIANKERECVVCHYDLYLSVVGCPCSPDRFACLIHAKELCSCAWKTRFFLFRYEISELNVLLDALVGKLSAIHRWGSSQLGLSAVSKPFVIDPLRRIKGMNEKPVTEGSSTGSQLSDKTFMMQADSLEEPKRKVYRALSSGDSTVAVGAHSLSCQHPESVVEKPCPQSRSMTGVVEDQIIQATGRSSDLKSSGLNLHFQEVHRKVPAAEGIIILSDDEDEGDNEVLSSVTKKEWKSLEAPARLPRLYKVTSSGSEGVNINQIPKSTASEVSLNVRDSLPNQHIVDRHSTSIFLAARDHQNGATQPEELSNLNNPEILRSLNQTRSHMKPVTTHNQEHASHAALISDATEEDIVNIVGESDKAEISCFLHCVGEGERVSLEGKESESSSTSILPSGLNRGNHLVKDSCMAEVLPRINCNVKPLEYGVVMSGKLWSSSQSIFPKGLF >DRNTG_20099.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:333951:341011:1 gene:DRNTG_20099 transcript:DRNTG_20099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLAQMLLCLSIPSSLWKSSKVLLLLLLFFCQHVEDHHLYSLNYLHWGAPKIWYGIAGRDALKLEAAMKKHLLCLFEEQPNLLYKLATQFSPTLLKMEGVPIYRCVQNSGEFVLTFPRAYHSGLSCGFNCAEAVNVAPFDWLPHGHCAIELYREQQRKISISHDKLLLGAAAEAVRAQWDVLLVAKDIADNSIWKDACGLDGILVKALKARIELERLAREYLCSASRSGKMTDVFIANKERECVVCHYDLYLSVVGCPCSPDRFACLIHAKELCSCAWKTRFFLFRYEISELNVLLDALVGKLSAIHRWGSSQLGLSAVSKPFVIDPLRRIKGMNEKPVTEGSSTGSQLSDKTFMMQADSLEEPKRKVYRALSSGDSTVAVGAHSLSCQHPESVVEKPCPQSRSMTGVVEDQIIQATGRSSDLKSSGLNLHFQEVHRKVPAAEGIIILSDDEDEGDNEVLSSVTKKEWKSLEAPARLPRLYKVTSSGSEGVNINQIPKSTASEVSLNVRDSLPNQHIVDRHSTSIFLAARDHQNGATQPEELSNLNNPEILRSLNQTRSHMKPVTTHNQEHASHAALISDATEEDIVNIVGESDKAEISCFLHCVGEGERVSLEGKESESSSTSILPSGLNRGNHLVKDSCMAEVLPRINCNVKPLEYGVVMSGKLWSSSQSIFPKGLF >DRNTG_20099.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:334032:338838:1 gene:DRNTG_20099 transcript:DRNTG_20099.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNHTGFVKVDCNRTPRVPPGFESCTSFTLRRLQEDVTMAGGASSSTQNLMDIGENERFKKSLRWKPWLNYSQPNDSLEGESESEPVVQDAPSVSCLPKGILHGCVKCENCQKVMARWRPENASRPILDEAPVFYPTEEEFKDLFKYISSIRLSAEPYGICRIVPPPSWRPPCPLKEKNVWENSKFPTHIQQLHKLQNHDSAKTICRDHSTVRKKRQKLWKSGAKFWHTSGNNGNAIDVKKPDYHNDERFGFEAGPCFTLESFQRYADDFKEQYFRTEDEDIDLGSRQWEPSVANIEGEYWRIVESPTEEIEVLYGSELDTGDFGSGFPKSSFASVESQVDERYLKSSWNLNNSPRLPGSVLSYENESISGILVPWLYIGMCFSSFCWHVEDHHLYSLNYLHWGAPKIWYGIAGRDALKLEAAMKKHLLCLFEEQPNLLYKLATQFSPTLLKMEGVPIYRCVQNSGEFVLTFPRAYHSGLSCGFNCAEAVNVAPFDWLPHGHCAIELYREQQRKISISHDKLLLGAAAEAVRAQWDVLLVAKDIADNSIWKDACGLDGILVKALKARIELERLAREYLCSASRSGKMTDVFIANKERECVVCHYDLYLSVVGCPCSPDRFACLIHAKELCSCAWKTRFFLFRYEISELNVLLDALVGKLSAIHRWGSSQLGLSAVSKPFVIDPLRRIKGMNEKPVTEGSSTGSQLSDKTFMMQADSLEEPKRKVYRALSSGDSTVAVGAHSLSCQHPESVVEKPCPQSRSMTGVVEDQIIQATGRSSDLKSSGLNLHFQEVHRKVPAAEGIIILSDDEDEGDNEVLSSVTKKEWKSLEAPARLPRLYKVTSSGSEGVNINQIPKSTASEVSLNVRDSLPNQHIVDRHSTSIFLAARDHQNGATQPEELSNLNNPEILRSLNQTRSHMKPVTTHNQEHASHAALISDATEEDIVNIVGESDKAEISCFLHCVGEGERVSLEGKESESSSTSILPSGLNRGNHLVKDSCMAEVLPRINCNVKPLEYGVVMSGKLWSSSQSIFPKGLF >DRNTG_20099.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:334032:340705:1 gene:DRNTG_20099 transcript:DRNTG_20099.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNHTGFVKVDCNRTPRVPPGFESCTSFTLRRLQEDVTMAGGASSSTQNLMDIGENERFKKSLRWKPWLNYSQPNDSLEGESESEPVVQDAPSVSCLPKGILHGCVKCENCQKVMARWRPENASRPILDEAPVFYPTEEEFKDLFKYISSIRLSAEPYGICRIVPPPSWRPPCPLKEKNVWENSKFPTHIQQLHKLQNHDSAKTICRDHSTVRKKRQKLWKSGAKFWHTSGNNGNAIDVKKPDYHNDERFGFEAGPCFTLESFQRYADDFKEQYFRTEDEDIDLGSRQWEPSVANIEGEYWRIVESPTEEIEVLYGSELDTGDFGSGFPKSSFASVESQVDERYLKSSWNLNNSPRLPGSVLSYENESISGILVPWLYIGMCFSSFCWHVEDHHLYSLNYLHWGAPKIWYGIAGRDALKLEAAMKKHLLCLFEEQPNLLYKLATQFSPTLLKMEGVPIYRCVQNSGEFVLTFPRAYHSGLSCGFNCAEAVNVAPFDWLPHGHCAIELYREQQRKISISHDKLLLGAAAEAVRAQWDVLLVAKDIADNSIWKDACGLDGILVKALKARIELERLAREYLCSASRSGKMTDVFIANKERECVVCHYDLYLSVVGCPCSPDRFACLIHAKELCSCAWKTRFFLFRYEISELNVLLDALVGKLSAIHRWGSSQLGLSAVSKPFVIDPLRRIKGMNEKPVTEGSSTGSQLSDKTFMMQADSLEEPKRKVYRALSSGDSTVAVGAHSLSCQHPESVVEKPCPQSRSMTGVVEDQIIQATGRSSDLKSSGLNLHFQEVHRKVPAAEGIIILSDDEDEGDNEVLSSVTKKEWKSLEAPARLPRLYKVTSSGSEGVNINQIPKSTASEVSLNVRDSLPNQHIVDRHSTSIFLAARDHQNGATQPEELSNLNNPEILRSLNQTRSHMKPVTTHNQEHASHAALISDATEEDIVNIVGESDKAEISCFLHCVGEGERVSLEGKESESSSTSILPSGLNRGNHLVKDSCMAEVLPRINCNVKPLEYGVVMSGKLWSSSQSIFPKGYRSRVQYLNILDPTQMCDYISEILDAGLLGPLFMVTLEQCPSEVFIHVSASKCWELVRERVNSEISRQRIMGRFNLSSLEPLESIDGLKMFGLTSPDIIQAIEARDHEHVCTKYWQSKASRRLISREYEHSHDREESSQSPSLPSGNNVVLTGLFKKANPEELDVLFCLINGIGDGISDPHKQEAVKLLIKEIRGRSR >DRNTG_20099.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:334032:340705:1 gene:DRNTG_20099 transcript:DRNTG_20099.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNHTGFVKVDCNRTPRVPPGFESCTSFTLRRLQEDVTMAGGASSSTQNLMDIGENERFKKSLRWKPWLNYSQPNDSLEGESESEPVVQDAPSVSCLPKGILHGCVKCENCQKVMARWRPENASRPILDEAPVFYPTEEEFKDLFKYISSIRLSAEPYGICRIVPPPSWRPPCPLKEKNVWENSKFPTHIQQLHKLQNHDSAKTICRDHSTVRKKRQKLWKSGAKFWHTSGNNGNAIDVKKPDYHNDERFGFEAGPCFTLESFQRYADDFKEQYFRTEDEDIDLGSRQWEPSVANIEGEYWRIVESPTEEIEVLYGSELDTGDFGSGFPKSSFASVESQVDERYLKSSWNLNNSPRLPGSVLSYENESISGILVPWLYIGMCFSSFCWHVEDHHLYSLNYLHWGAPKIWYGIAGRDALKLEAAMKKHLLCLFEEQPNLLYKLATQFSPTLLKMEGVPIYRCVQNSGEFVLTFPRAYHSGLSCGFNCAEAVNVAPFDWLPHGHCAIELYREQQRKISISHDKLLLGAAAEAVRAQWDVLLVAKDIADNSIWKDACGLDGILVKALKARIELERLAREYLCSASRSGKMTDVFIANKERECVVCHYDLYLSVVGCPCSPDRFACLIHAKELCSCAWKTRFFLFRYEISELNVLLDALVGKLSAIHRWGSSQLGLSAVSKPFVIDPLRRIKGMNEKPVTEGSSTGSQLSDKTFMMQADSLEEPKRKVYRALSSGDSTVAVGAHSLSCQHPESVVEKPCPQSRSMTGVVEDQIIQATGRSSDLKSSGLNLHFQEVHRKVPAAEGIIILSDDEDEGDNEVLSSVTKKEWKSLEAPARLPRLYKVTSSGSEGVNINQIPKSTASEQEIIKMGQHNRRNYQT >DRNTG_20099.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:334032:339166:1 gene:DRNTG_20099 transcript:DRNTG_20099.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQADQFLMKLLCFILLKRFLMLYILEFKDLFKYISSIRLSAEPYGICRIVPPPSWRPPCPLKEKNVWENSKFPTHIQQLHKLQNHDSAKTICRDHSTVRKKRQKLWKSGAKFWHTSGNNGNAIDVKKPDYHNDERFGFEAGPCFTLESFQRYADDFKEQYFRTEDEDIDLGSRQWEPSVANIEGEYWRIVESPTEEIEVLYGSELDTGDFGSGFPKSSFASVESQVDERYLKSSWNLNNSPRLPGSVLSYENESISGILVPWLYIGMCFSSFCWHVEDHHLYSLNYLHWGAPKIWYGIAGRDALKLEAAMKKHLLCLFEEQPNLLYKLATQFSPTLLKMEGVPIYRCVQNSGEFVLTFPRAYHSGLSCGFNCAEAVNVAPFDWLPHGHCAIELYREQQRKISISHDKLLLGAAAEAVRAQWDVLLVAKDIADNSIWKDACGLDGILVKALKARIELERLAREYLCSASRSGKMTDVFIANKERECVVCHYDLYLSVVGCPCSPDRFACLIHAKELCSCAWKTRFFLFRYEISELNVLLDALVGKLSAIHRWGSSQLGLSAVSKPFVIDPLRRIKGMNEKPVTEGSSTGSQLSDKTFMMQADSLEEPKRKVYRALSSGDSTVAVGAHSLSCQHPESVVEKPCPQSRSMTGVVEDQIIQATGRSSDLKSSGLNLHFQEVHRKVPAAEGIIILSDDEDEGDNEVLSSVTKKEWKSLEAPARLPRLYKVTSSGSEGVNINQIPKSTASEVSLNVRDSLPNQHIVDRHSTSIFLAARDHQNGATQPEELSNLNNPEILRSLNQTRSHMKPVTTHNQEHASHAALISDATEEDIVNIVGESDKAEISCFLHCVGEGERVSLEGKESESSSTSILPSGLNRGNHLVKDSCMAEVLPRINCNVKPLEYGVVMSGKLWSSSQSIFPKGYRSRVQYLNILDPTQMCDYISEILDAGLLGPLFMVINLFL >DRNTG_20099.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:334032:340705:1 gene:DRNTG_20099 transcript:DRNTG_20099.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAVLSLPHPGGLLVLSRKKIDHSTVRKKRQKLWKSGAKFWHTSGNNGNAIDVKKPDYHNDERFGFEAGPCFTLESFQRYADDFKEQYFRTEDEDIDLGSRQWEPSVANIEGEYWRIVESPTEEIEVLYGSELDTGDFGSGFPKSSFASVESQVDERYLKSSWNLNNSPRLPGSVLSYENESISGILVPWLYIGMCFSSFCWHVEDHHLYSLNYLHWGAPKIWYGIAGRDALKLEAAMKKHLLCLFEEQPNLLYKLATQFSPTLLKMEGVPIYRCVQNSGEFVLTFPRAYHSGLSCGFNCAEAVNVAPFDWLPHGHCAIELYREQQRKISISHDKLLLGAAAEAVRAQWDVLLVAKDIADNSIWKDACGLDGILVKALKARIELERLAREYLCSASRSGKMTDVFIANKERECVVCHYDLYLSVVGCPCSPDRFACLIHAKELCSCAWKTRFFLFRYEISELNVLLDALVGKLSAIHRWGSSQLGLSAVSKPFVIDPLRRIKGMNEKPVTEGSSTGSQLSDKTFMMQADSLEEPKRKVYRALSSGDSTVAVGAHSLSCQHPESVVEKPCPQSRSMTGVVEDQIIQATGRSSDLKSSGLNLHFQEVHRKVPAAEGIIILSDDEDEGDNEVLSSVTKKEWKSLEAPARLPRLYKVTSSGSEGVNINQIPKSTASEVSLNVRDSLPNQHIVDRHSTSIFLAARDHQNGATQPEELSNLNNPEILRSLNQTRSHMKPVTTHNQEHASHAALISDATEEDIVNIVGESDKAEISCFLHCVGEGERVSLEGKESESSSTSILPSGLNRGNHLVKDSCMAEVLPRINCNVKPLEYGVVMSGKLWSSSQSIFPKGYRSRVQYLNILDPTQMCDYISEILDAGLLGPLFMVTLEQCPSEVFIHVSASKCWELVRERVNSEISRQRIMGRFNLSSLEPLESIDGLKMFGLTSPDIIQAIEARDHEHVCTKYWQSKASRRLISREYEHSHDREESSQSPSLPSGNNVVLTGLFKKANPEELDVLFCLINGIGDGISDPHKQEAVKLLIKEIRGRSR >DRNTG_20099.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:334032:341011:1 gene:DRNTG_20099 transcript:DRNTG_20099.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGASSSTQNLMDIGENERFKKSLRWKPWLNYSQPNDSLEGESESEPVVQDAPSVSCLPKGILHGCVKCENCQKVMARWRPENASRPILDEAPVFYPTEEEFKDLFKYISSIRLSAEPYGICRIVPPPSWRPPCPLKEKNVWENSKFPTHIQQLHKLQNHDSAKTICRDHSTVRKKRQKLWKSGAKFWHTSGNNGNAIDVKKPDYHNDERFGFEAGPCFTLESFQRYADDFKEQYFRTEDEDIDLGSRQWEPSVANIEGEYWRIVESPTEEIEVLYGSELDTGDFGSGFPKSSFASVESQVDERYLKSSWNLNNSPRLPGSVLSYENESISGILVPWLYIGMCFSSFCWHVEDHHLYSLNYLHWGAPKIWYGIAGRDALKLEAAMKKHLLCLFEEQPNLLYKLATQFSPTLLKMEGVPIYRCVQNSGEFVLTFPRAYHSGLSCGFNCAEAVNVAPFDWLPHGHCAIELYREQQRKISISHDKLLLGAAAEAVRAQWDVLLVAKDIADNSIWKDACGLDGILVKALKARIELERLAREYLCSASRSGKMTDVFIANKERECVVCHYDLYLSVVGCPCSPDRFACLIHAKELCSCAWKTRFFLFRYEISELNVLLDALVGKLSAIHRWGSSQLGLSAVSKPFVIDPLRRIKGMNEKPVTEGSSTGSQLSDKTFMMQADSLEEPKRKVYRALSSGDSTVAVGAHSLSCQHPESVVEKPCPQSRSMTGVVEDQIIQATGRSSDLKSSGLNLHFQEVHRKVPAAEGIIILSDDEDEGDNEVLSSVTKKEWKSLEAPARLPRLYKVTSSGSEGVNINQIPKSTASEVSLNVRDSLPNQHIVDRHSTSIFLAARDHQNGATQPEELSNLNNPEILRSLNQTRSHMKPVTTHNQEHASHAALISDATEEDIVNIVGESDKAEISCFLHCVGEGERVSLEGKESESSSTSILPSGLNRGNHLVKDSCMAEVLPRINCNVKPLEYGVVMSGKLWSSSQSIFPKGYRSRVQYLNILDPTQMCDYISEILDAGLLGPLFMVTLEQCPSEVFIHVSASKCWELVRERVNSEISRQRIMGRFNLSSLEPLESIDGLKMFGLTSPDIIQAIEARDHEHVCTKYWQSKASRRLISREYEHSHDREESSQSPSLPSGNNVVLTGLFKKANPEELDVLFCLINGIGDGISDPHKQEAVKLLIKEIRGRSR >DRNTG_27217.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:847482:849865:1 gene:DRNTG_27217 transcript:DRNTG_27217.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLDQVDNVKGGNTLDLTNDGRGQPQGIHSWMPETGKE >DRNTG_27217.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:847482:849865:1 gene:DRNTG_27217 transcript:DRNTG_27217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLDQVDNVKGGNTLDLTNDGRGQPQGIHSWMPETGKE >DRNTG_14179.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22860412:22862980:-1 gene:DRNTG_14179 transcript:DRNTG_14179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKEYRDTTLNGAVEQMYNEMASRHRVRFPCIQIIKTATIPAKLCKRESTKQFHDSKIKFPLVFRKVRPPTRKLKTTFKASRPNLFM >DRNTG_02914.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23645199:23648253:1 gene:DRNTG_02914 transcript:DRNTG_02914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKEDVKQANPEEFPSLIKYIASKSVKGFDTTPNLQVDPVFANGSQHANTDTHKNSVIEHHHSHSISISLPASPSEFHAERAKRIPNIDNIHKNGASASNDQPEDSIFSAANSEQKKHAKFHSQPMSFGDSCNNVTLEVQSKIQRQDSLKDKRFDSFKTFSGKLERQISNLRGIPQPPVAEYVNASEITEEDIIPAVDRYFDALQGPELDTLKASEESVLPEDKKWPFLLRFPITSFGICLGISSQAILWKALATSPSTSFLNVSLNVNLVLWSISIALMGIVSLTYFLKIIFYFEAVRREYYHPVRVNFFFAPWIACLFLTIGLPPSVTTNLHKSVWYVLMIPILCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVVALLGASMGLKEGPIFFFAVGLAHYIVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVACMAWAKINDDFNYGSRIAYFIALFLYFSLAVRINFFRGFRFSLAWWAYTFPTTGAAIATIRYSTEVKNVFTQTLSVALSSISTVTVTALLVSTIIHALVLHDLFPNDISIAIAYRKPKSSKKNTHIKATNSDAKDIEAGV >DRNTG_05279.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000239.1:11754:12662:-1 gene:DRNTG_05279 transcript:DRNTG_05279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLIVNNRLQPPTDTRSLTSSANSSPYCRSLSMLVSRNRVSPNRTLDNLSKRTWCSGIVKL >DRNTG_12738.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25728587:25733730:1 gene:DRNTG_12738 transcript:DRNTG_12738.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin carboxyl carrier protein of acetyl-CoA carboxylase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G16390) UniProtKB/Swiss-Prot;Acc:Q42533] MASSSSIPTASAAVGKLSSSLPPAAAAAPSRPALRVSFRLSSVPAKLVFRSSQALKICSGRSTFVKAQMNEVAGSSSNDAAPAKSNVEIPEPKEKDPPSVPMSEEAVSEFMTQVASLVKLVDSKDIVELQLKQLGCELIIRKKEAVPQPLAAMPAVTMHPPPQLAAMPSQFPPPASPSTSLAPSPAAAVAPTSAPSSAPKPPKSSHPPLKSPMAGTFYRSPGPGLAPFVKVGDKVNKGQVICIIEAMKLMNEIEYNCGVASIRDIHGSSLVGVVGGPLKLINRARSLRCSRMMANLLA >DRNTG_12738.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25732881:25733730:1 gene:DRNTG_12738 transcript:DRNTG_12738.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin carboxyl carrier protein of acetyl-CoA carboxylase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G16390) UniProtKB/Swiss-Prot;Acc:Q42533] TLFLKADQSGTVVEMLADDGKPVGIEQPLFVIEP >DRNTG_12738.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25728587:25733817:1 gene:DRNTG_12738 transcript:DRNTG_12738.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin carboxyl carrier protein of acetyl-CoA carboxylase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G16390) UniProtKB/Swiss-Prot;Acc:Q42533] MASSSSIPTASAAVGKLSSSLPPAAAAAPSRPALRVSFRLSSVPAKLVFRSSQALKICSGRSTFVKAQMNEVAGSSSNDAAPAKSNVEIPEPKEKDPPSVPMSEEAVSEFMTQVASLVKLVDSKDIVELQLKQLGCELIIRKKEAVPQPLAAMPAVTMHPPPQLAAMPSQFPPPASPSTSLAPSPAAAVAPTSAPSSAPKPPKSSHPPLKSPMAGTFYRSPGPGLAPFVKVGDKVNKGQVICIIEAMKLMNEIEASLTSFILFYFFLR >DRNTG_12738.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25728587:25733730:1 gene:DRNTG_12738 transcript:DRNTG_12738.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin carboxyl carrier protein of acetyl-CoA carboxylase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G16390) UniProtKB/Swiss-Prot;Acc:Q42533] MASSSSIPTASAAVGKLSSSLPPAAAAAPSRPALRVSFRLSSVPAKLVFRSSQALKICSGRSTFVKAQMNEVAGSSSNDAAPAKSNVEIPEPKEKDPPSVPMSEEAVSEFMTQVASLVKLVDSKDIVELQLKQLGCELIIRKKEAVPQPLAAMPAVTMHPPPQLAAMPSQFPPPASPSTSLAPSPAAAVAPTSAPSSAPKPPKSSHPPLKSPMAGTFYRSPGPGLAPFVKVGDKVNKGQVICIIEAMKLMNEIEADQSGTVVEMLADDGKPVGIEQPLFVIEP >DRNTG_12738.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25728587:25733730:1 gene:DRNTG_12738 transcript:DRNTG_12738.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin carboxyl carrier protein of acetyl-CoA carboxylase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G16390) UniProtKB/Swiss-Prot;Acc:Q42533] MASSSSIPTASAAVGKLSSSLPPAAAAAPSRPALRVSFRLSSVPAKLVFRSSQALKICSGRSTFVKAQMNEVAGSSSNDAAPAKSNVEIPEPKEKDPPSVPMSEEAVSEFMTQVASLVKLVDSKDIVELQLKQLGCELIIRKKEAVPQPLAAMPAVTMHPPPQLAAMPSQFPPPASPSTSLAPSPAAAVAPTSAPSSAPKPPKSSHPPLKSPMAGTFYRSPGPGLAPFVKVGDKVNKGQVICIIEAMKLMNEIEYNCGVASIRDIHGSSLVGVVG >DRNTG_12738.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25728587:25733817:1 gene:DRNTG_12738 transcript:DRNTG_12738.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin carboxyl carrier protein of acetyl-CoA carboxylase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G16390) UniProtKB/Swiss-Prot;Acc:Q42533] MASSSSIPTASAAVGKLSSSLPPAAAAAPSRPALRVSFRLSSVPAKLVFRSSQALKICSGRSTFVKAQMNEVAGSSSNDAAPAKSNVEIPEPKEKDPPSVPMSEEAVSEFMTQVASLVKLVDSKDIVELQLKQLGCELIIRKKEAVPQPLAAMPAVTMHPPPQLAAMPSQFPPPASPSTSLAPSPAAAVAPTSAPSSAPKPPKSSHPPLKSPMAGTFYRSPGPGLAPFVKVGDKVNKGQVICIIEAMKLMNEIEASLTSFILFYFFLR >DRNTG_12738.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25728587:25731297:1 gene:DRNTG_12738 transcript:DRNTG_12738.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin carboxyl carrier protein of acetyl-CoA carboxylase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G16390) UniProtKB/Swiss-Prot;Acc:Q42533] MASSSSIPTASAAVGKLSSSLPPAAAAAPSRPALRVSFRLSSVPAKLVFRSSQALKICSGRSTFVKAQMNEVAGSSSNDAAPAKSNVEIPEPKEKDPPSVPMSEEAVSEFMTQVASLVKLVDSKDIVELQLKQLGCELIIRKKEAVPQPLAAMPAVTMHPPPQLAAMPSQFPPPASPSTSLAPSPAAAVAPTSAPSSAPKPPKSSHPPLKSPMAGTFYRSPGPGLAPFVKVGDKVNKGQVICIIEAMKLMNEIEASLTSFILFYFFLR >DRNTG_12738.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25733225:25733730:1 gene:DRNTG_12738 transcript:DRNTG_12738.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin carboxyl carrier protein of acetyl-CoA carboxylase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G16390) UniProtKB/Swiss-Prot;Acc:Q42533] ADQSGTVVEMLADDGKPVGIEQPLFVIEP >DRNTG_12738.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25728587:25733817:1 gene:DRNTG_12738 transcript:DRNTG_12738.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin carboxyl carrier protein of acetyl-CoA carboxylase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G16390) UniProtKB/Swiss-Prot;Acc:Q42533] MASSSSIPTASAAVGKLSSSLPPAAAAAPSRPALRVSFRLSSVPAKLVFRSSQALKICSGRSTFVKAQMNEVTSTLAQRLRLALYSFCVGLAFGPLFGRIFKVAGSSSNDAAPAKSNVEIPEPKEKDPPSVPMSEEAVSEFMTQVASLVKLVDSKDIVELQLKQLGCELIIRKKEAVPQPLAAMPAVTMHPPPQLAAMPSQFPPPASPSTSLAPSPAAAVAPTSAPSSAPKPPKSSHPPLKSPMAGTFYRSPGPGLAPFVKVGDKVNKGQVICIIEAMKLMNEIEASLTSFILFYFFLR >DRNTG_10393.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23023167:23026862:1 gene:DRNTG_10393 transcript:DRNTG_10393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAQKLKESEITEQDSLLLTRNLLRIAIYNISYIRGLFPEKYFNDKSVPALEMKIKKLMPMDAESRRLIDWMEKGVYDALQKKYLKTLLFCICEEINGPMIEEYAFSFTYASSNGQEVFMNVSGSGNRKNNATFKSNSTDITPDQMRSSACKMVRTLVQLMRTLDQMPNNRTILMKLLYYDDVTPEDYEPPFFRCCSESEASNAWAKNPLKMEVGNVNSKHFVLALKVKSVLDPCEDENDDLLEDEEVNLGADSMQEDNSSSDSEVHHCENRYFVAPVGQRYAGKDNTMASEDETQDATQEDEQTTRMKKWINARQVTTVDLTDILSNFPDLSV >DRNTG_10393.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23023167:23024926:1 gene:DRNTG_10393 transcript:DRNTG_10393.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAQKLKESEITEQDSLLLTRNLLRIAIYNISYIRGLFPEKYFNDKSVPALEMKIKKLMPMDAESRRLIDWMEKGVYDALQKKYLKTLLFCICEEINGPMIEEYAFSFTYASSNGQEVFMNVSGSGNRKNNATFKSNSTDITPDQMR >DRNTG_10393.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23023167:23025985:1 gene:DRNTG_10393 transcript:DRNTG_10393.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAQKLKESEITEQDSLLLTRNLLRIAIYNISYIRGLFPEKYFNDKSVPALEMKIKKLMPMDAESRRLIDWMEKGVYDALQKKYLKTLLFCICEEINGPMIEEYAFSFTYASSNGQEVFMNVSGSGNRKNNATFKSNSTDITPDQMRSSACKMVRTLVQLMRTLDQMPNNRTILMKLLYYDDVTPEDYEPPFFRCCSESEASNAWAKNPLKMEVGNVNSKHFVLALK >DRNTG_10393.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23024023:23026379:1 gene:DRNTG_10393 transcript:DRNTG_10393.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKKLMPMDAESRRLIDWMEKGVYDALQKKYLKTLLFCICEEINGPMIEEYAFSFTYASSNGQEVFMNVSGSGNRKNNATFKSNSTDITPDQMRSSACKMVRTLVQLMRTLDQMPNNRTILMKLLYYDDVTPEDYEPPFFRCCSESEASNAWAKNPLKMEVGNVNSKHFVLALKVKSVLDPCEDENDDLLEDEEVNLGADSMQEDNSSSDSEVHHCENRYFVAPVG >DRNTG_10393.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23024209:23026379:1 gene:DRNTG_10393 transcript:DRNTG_10393.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEYAFSFTYASSNGQEVFMNVSGSGNRKNNATFKSNSTDITPDQMRSSACKMVRTLVQLMRTLDQMPNNRTILMKLLYYDDVTPEDYEPPFFRCCSESEASNAWAKNPLKMEVGNVNSKHFVLALKVKSVLDPCEDENDDLLEDEEVNLGADSMQEDNSSSDSEVHHCENRYFVAPVG >DRNTG_24298.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23586867:23589006:1 gene:DRNTG_24298 transcript:DRNTG_24298.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSVVLLSPLTPPSLSRRPTLTAHAAVTKSSSSSSQPSNTSSLPHYHHPISTVKHKLSPPLSSSLSHDLRRHRHRRHPHPIFIVSSPNPSYSGNLGRGNLGSNGDQEDL >DRNTG_32423.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10993317:10995712:-1 gene:DRNTG_32423 transcript:DRNTG_32423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLREARQFCCGTFDGTSDTMVAKEWLKRVIATFDDMVLEDELRLKEFDKEYYTHFHRNQKRQEFMMLNQCGRSMIEYETELKDLANFVLELVGTEEVLCSKFEEGLNLNI >DRNTG_32212.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31483823:31489182:1 gene:DRNTG_32212 transcript:DRNTG_32212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSASLPGPSCSQASGLKSEEIEPPNFSIRDYVFTLRNEDIKKNWPFSPKFLQVCLKHEIKDLLPPFESCCSVRAKCCNKDNLVTSLEDADNINQTRCLSSINQLVVENLPEKETVAVEKVDILDGKLINNEAEILTTIISHDQVVCKSYETSENRLEETSEREVAVSSPVAVVPNINRQRAEKKRKLIVKLSPMPQSSRAEDIGTTSASVSDLMASKVCPVCKTFASSSNTTLNAHIDQCLSEESNTKLVVTNSLKVQVKPRKKKLMVDIYKTAPHCTLEDLDKRNGTNWASDLSLVAPSSNVSCEFKRPKLSPGFIDKGNNEGAVYVDSNGIKIRILSKIDDAPSTVSGKGFKKQHLKAKYLNYLKVNAPKKKLSKRQSSSEGDCLLNTNQKIQKEESLSDLNAQDQVQSLGPATLGQWVCSKRSDMSRKLRNKKFSKSSVNLLPITKKLLSNQTDSIKTSVGKKQILKLSRLSEDLAPSTTTKIVGSVDEIVQTTNEENKSPNPPVFDPLLSSFEVSKSSCKESCHPSPKAGKDISLKKNDLLQSRCFMGAEGDSGCKNLLISKKLRKHRLIGSGKWGSEFTSCDDGLVGSVKAKKLSDHHEVNFSDDTVMPGTSDAVDQESDLGKEFFDISEVQKHSSGRFNSGKKLDMQIGDVAADDILISDSQILDAKTKLDDLLSREDHLELICGNETLEELPSQRSVDAEKMQGDDIVNDRIIDQSTLVESEATFFAKDTSDLQLEECQTGSTCIEKSVTCLKECAAIGLEISQEKSSITSIKNHMLMNRDPSSSPDSTASTMSQPSRDFEIKDKEVVDNSASSLKNITTEGQEAQKASKELNGISPVKPIERLRDSQPCCCSHRESFFREAQLVRQCGTLKTPSLSKGNQMGSNSHIQQAICCSIPCPCLRTKTISAITSSDSLIKFQNPVLRLMGKDLVVNHDVSYNCLEHSSSNCTPGRVTVPCYYHQFADSSPFTSQVSWAANQPVYFQSHDMMFVGDPPVIGSKSRHGLQSHQKRPTNQPMNERGMNPPAAALFPNIMRHGSLYSSPLTSNHPFLSIPQPIPPAKMMRQRSIPDGSAPLLRNTKMFPSPSSSNHLTPFY >DRNTG_32212.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31483823:31489182:1 gene:DRNTG_32212 transcript:DRNTG_32212.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSASLPGPSCSQASGLKSEEIEPPNFSIRDYVFTLRNEDIKKNWPFSPKFLQVCLKHEIKDLLPPFESCCSVRAKCCNKDNLVTSLEDADNINQTRCLSSINQLVVENLPEKETVAVEKVDILDGKLINNEAEILTTIISHDQVVCKSYETSENRLEETSEREVAVSSPVAVVPNINRQRAEKKRKLIVKLSPMPQSSRAEDIGTTSASVSDLMASKVCPVCKTFASSSNTTLNAHIDQCLSEESNTKLVVTNSLKVQVKPRKKKLMVDIYKTAPHCTLEDLDKRNGTNWASDLSLVAPSSNVSCEFKRPKLSPGFIDKGNNEGAVYVDSNGIKIRILSKIDDAPSTVSGKGFKKQHLKAKYLNYLKVNAPKKKLSKRQSSSEGDCLLNTNQKIQKEESLSDLNAQDQVQSLGPATLGQWVCSKRSDMSRKLRNKKFSKSSVNLLPITKKLLSNQTDSIKTSVGKKQILKLSRLSEDLAPSTTTKIVGSVDEIVQTTNEENKSPNPPVFDPLLSSFEVSKSSCKESCHPSPKAGKDISLKKNDLLQSRCFMGAEGDSGCKNLLISKKLRKHRLIGSGKWGSEFTSCDDGLVGSVKAKKLSDHHEVNFSDDTVMPGTSDAVDQESDLGKEFFDISEVQKHSSGRFNSGKKLDMQIGDVAADDILISDSQILDAKTKLDDLLSREDHLELICGNETLEELPSQRSVDAEKMQGDDIVNDRIIDQSTLVESEATFFAKDTSDLQLEECQTGSTCIEKSVTCLKECAAIGLEISQEKSSITSIKNHMLMNRDPSSSPDSTASTMSQPSRDFEIKDKEVVDNSASSLKNITTEGQEAQKASKELNGISPVKPIERLRDSQPCCCSHRESFFREAQLVRQCGTLKTPSLSKGNQMGSNSHIQQAICCSIPCPCLRTKTISAITSSDSLIKFQNPVLRLMGKDLVVNHDVSYNCLEHSSSNCTPGRVTVPCYYHQFADSSPFTSQVSWAANQPVYFQSHDMMFVGDPPVIGSKSRHGLQSHQKRPTNQPMNERGMNPPAAALFPNIMRHGSLYSSPLTSNHPFLSIPQPIPPAKMMRQRSIPDGSAPLLRNTKMFPSPSSSNHLTPFY >DRNTG_26522.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2373954:2379950:1 gene:DRNTG_26522 transcript:DRNTG_26522.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTKGGKVMNPTDAYRKELRKKELKRNKKERKKVREVGILKKDPETIREQIQKLEMMKADGALDKARKHKKRQLEDTLNLVLKKKKEYEDKMRDKGEAPVMFSHLGPPRRRTAEEEERAKQLNPEDSVYYHPTMNPTGAPPPGKPPMYKSSIGPRIPLSAPTSAAASSSTSESEAGTSAVPPPPPPPPPPPLPDSSVTGNDSVGPSSLPLPPPPPAPPKPVADTNASLPPPPFPPPPPGPPPKDPALGHTSRPPPPPPPPTPITSTAVAAPSSARHRCVANRRCKKSCF >DRNTG_13295.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2913475:2914285:1 gene:DRNTG_13295 transcript:DRNTG_13295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLELCLMAYGFVSVVLLFKNLLLFSTPSLCLRFEALQVHWAPPRGFAAVFHRTRKKMTSGFKQDSEQPSLGYNKEAVEQLIKIEKKGERKRLKKLEDYEPSSGAVVHPLMPSSSMVISMNTCDLSSLIAKTMRELYEEETDIRMMQWDIGRWMRKDGLSEETATAYDYSLAILRRLGL >DRNTG_13967.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21504106:21506143:-1 gene:DRNTG_13967 transcript:DRNTG_13967.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLIDHHCFHCLISNSVCYLTLCDVQYPTKLAFHYLQDLRKEFEKVELKIVEAFSKPYAFKKFEYVVGSIRKQYVDTRTQANLSKMKSNRVQDLSIVTEDFSEFINRVQAPVVDMLRTESGDWNTKVFQEIALKWTPIVICFVAVFVVFWSSIVITEYRLIAT >DRNTG_34319.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29324218:29332038:-1 gene:DRNTG_34319 transcript:DRNTG_34319.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQMPPLSHYDHHLPPPPDPSAAGEQKISGPGQQPPTWLNNAIQRQQFVDGSFLHLQTTSESSASPVAAAGKWMSRVGMVNRSGSEDEVPVSSDSMIAAEISHGSAGAGGEGGMGVGDGGDGWQSARFKAEILAHPLYEQLLSAHVSCLRIATPVDQLPRIDAQLAQSQNVVAKYSMLGPGAGSQMLGDDKELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQSLQSLTGVSPGEGTGATMSDDDDDHADSETNLFDGSLDGPDSMGFGPLVPSETERSLMERVRLELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSTLKAWWQSHAKWPYPTEDDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSGTLKSKRKSK >DRNTG_34319.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29324218:29332038:-1 gene:DRNTG_34319 transcript:DRNTG_34319.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQMPPLSHYDHHLPPPPDPSAAGEQKISGPGQQPPTWLNNAIQRQQFVDGSFLHLQTTSESSASPVAAAGKWMSRVGMVNRSGSEDEVPVSSDSMIAAEISHGSAGAGGEGGMGVGDGGDGWQSARFKAEILAHPLYEQLLSAHVSCLRIATPVDQLPRIDAQLAQSQNVVAKYSMLGPGAGSQMLGDDKELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQSLQSLTGVSPGEGTGATMSDDDDDHADSETNLFDGSLDGPDSMGFGPLVPSETERSLMERVRLELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSTLKAWWQSHAKWPYPTEDDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSGTLKSKRK >DRNTG_05404.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:626103:631661:1 gene:DRNTG_05404 transcript:DRNTG_05404.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSISCAYTFLPELLYKPLLSNFQLVSSFACMKTMGTLQEKGNKEEAKSLPFHRLLSYADTLDWLFMLLGTLGSVVHGMAQPVGYLLLGKALDAYGNNAGNEKEMVPALEKVVPYVWYMAIATLPAGMLEISSWMYTSERQLARLRLAFLRAVLSQDIEAFDTELTMGKIITGVTSNMSIIQDAIGEKLGHFISSFSTLFVGIIIAFICCWQVAMLTLIVVPLVLAVGGTYTAKMNKISATRMKYLSEVTTMVEETLTQIKTVFSFVGENSMTKSFAMFMEKQYTMCKKEAIIKGIGMGLFQAVTFCSWALIVWVGAVAVSAHKSQGGETLAAVMSILFGAISITYAAPDLQVFRQAKSAGHEVFQIINRRPGICHDTKGQKPGKIVGDIEIKDVYFAYPSRKDKLILQGLSLYIPTGRVVALVGSSGCGKSTVISLLERFYDPLSGGVFIDGYNIKDLDLKFLRRNIAAVSQEPSLFTGTIKDNLKVGNLDASDDQIAQAALTANIHLFISQLPNQYSTEVGERGLQLSGGQRQRIAIARAMLKDPPILLLDEATSALDSESEKLVQDALEKAMQGRTVILIAHRMSTVINSDIIVVLENGKVAQSGTHHELLENSKFYSNLFEAQNPTNFKERNSRVINFINEGQDSSQKTSAQYNKNIEQSMESEALLRKLPRKDVQERTDTTFFRIWFNLKKIDLLNIAIGSCAAALSGISKPLFGFFIITIGVAYYEHDPTRKVGWYSGMFSLVGLLTLFSHILQHYFYTIIGETAMKNLRESLFSAVLRNELAWFEKPENAAARVTSKIISDTSMIKTIISDRMSVIVQCVSSILIATTVSIIVNWRMALVALGGYALSLHRWSGTSKISKRLFSKC >DRNTG_05404.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:630939:631661:1 gene:DRNTG_05404 transcript:DRNTG_05404.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTIISDRMSVIVQCVSSILIATTVSIIVNWRMALVALGGYALSLHRWSGTSKISKRLFSKC >DRNTG_05404.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:626103:629873:1 gene:DRNTG_05404 transcript:DRNTG_05404.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSISCAYTFLPELLYKPLLSNFQLVSSFACMKTMGTLQEKGNKEEAKSLPFHRLLSYADTLDWLFMLLGTLGSVVHGMAQPVGYLLLGKALDAYGNNAGNEKEMVPALEKVVPYVWYMAIATLPAGMLEISSWMYTSERQLARLRLAFLRAVLSQDIEAFDTELTMGKIITGVTSNMSIIQDAIGEKLGHFISSFSTLFVGIIIAFICCWQVAMLTLIVVPLVLAVGGTYTAKMNKISATRMKYLSEVTTMVEETLTQIKTVFSFVGENSMTKSFAMFMEKQYTMCKKEAIIKGIGMGLFQAVTFCSWALIVWVGAVAVSAHKSQGGETLAAVMSILFGAISITYAAPDLQVFRQAKSAGHEVFQIINRRPGICHDTKGQKPGKIVGDIEIKDVYFAYPSRKDKLILQGLSLYIPTGRVVALVGSSGCGKSTVISLLERFYDPLSGGVFIDGYNIKDLDLKFLRRNIAAVSQEPSLFTGTIKDNLKVGNLDASDDQIAQAALTANIHLFISQLPNQYSTEVGERGLQLSGGQRQRIAIARAMLKDPPILLLDEATSALDSESEKLVQDALEKAMQGRTVILIAHRMSTVINSDIIVVLENGKVAQSGTHHELLENSKFYSNLFEAQNPTNFKERNSRYAYHLYKRHRQVNSFP >DRNTG_30246.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:234878:235933:1 gene:DRNTG_30246 transcript:DRNTG_30246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFEYGIVPKIEHYSCMVDLLARSGQLEEAWEFIEKMPEKPDAVVLGALLCACRSCKNVEIGERVIKRILELEPLNSGNYVISSKIYAGSKRWDDSARMRGLMRERGVSKTPGCSWVEIDNQVHEFHAGDGLHYRAKDIEKMICLLVFEMKLEGYIPNLDLL >DRNTG_17513.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19019464:19020926:-1 gene:DRNTG_17513 transcript:DRNTG_17513.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRGHWRPEEDEKLKELVARYGPQNWNSIAEKLQGRSGKSCRLRWYNQLDPRINRNPFSEEEEERLLSLHRVHGNRWAAIARLFPGRTDNAVKNHWHVIMARRCREKSRVQAKRSSAGCCTSEKPDDNKKRKLEAQNIEQTVTKYCRSSQEYSSVNKCHHNPSDIFSGIHGSSSNMTEDRENSVEFYDFLQVNTDSENMKDGRRSLDKEEDKEQHSEGSVQFIDFLKVGSSS >DRNTG_17513.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19019464:19020926:-1 gene:DRNTG_17513 transcript:DRNTG_17513.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRGHWRPEEDEKLKELVARYGPQNWNSIAEKLQGRSGKSCRLRWYNQLDPRINRNPFSEEEEERLLSLHRVHGNRWAAIARLFPGRTDNAVKNHWHVIMARRCREKSRVQAKRSSAGCCTSEKPDDNKKRKLEAQNIEQTVTKYCRSSQEYSSVNKCHHNPSDIFSGIHGSSSNMTEGMRCS >DRNTG_05986.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:24118761:24129064:-1 gene:DRNTG_05986 transcript:DRNTG_05986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCSSGMIWSVCPDFLTIPNVDLDEISMYVRMGLGFNRREIGVVLILESHGPFYPFIFRSSSMLYDNLSRGSSSIVSSNDALAAKVDVLNRKLDLLMGSSSRSELLMNCSTCGGGHDAAQCPKSSSSVASIENVDYIAFERKFSTEEVLAKFMLSTNDRFNSLNSSMDAQFGKMNAQLTQHTEQFSEIGSVLRNLHASVKSLEHQVGKLAKAHSERPLGCLPSNMEDNPREHLKVIALRSGRQVETRVEVDPSVKKSGVALGEDPKLVEENSEHKNQRRNAKFQQQGPTKSSEYKPPIPYPTRLKHEKKDVHFKKFMNIFKQLHLNIPDKVPLSISRNVHGFVEV >DRNTG_05628.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6537900:6540403:-1 gene:DRNTG_05628 transcript:DRNTG_05628.8 gene_biotype:protein_coding transcript_biotype:protein_coding NYSKSLANTPLNPSHKPLKSWRKDGKKDPLIGLNCGFK >DRNTG_05628.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6532461:6540403:-1 gene:DRNTG_05628 transcript:DRNTG_05628.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLGCTQSEVWRGFSRDWRSSSKLTNDLSAKTQGESKRSVAEEHEIGDCEVGRGGRCDLFLSVCVCE >DRNTG_05628.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6532461:6540403:-1 gene:DRNTG_05628 transcript:DRNTG_05628.2 gene_biotype:protein_coding transcript_biotype:protein_coding GLGCTQSEVWRGFSRDWRSSSKLTNDLSAKTQGESKRSVAEEHEIGDCEVGRGGRCDLFLSVCVCE >DRNTG_05628.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6532461:6537068:-1 gene:DRNTG_05628 transcript:DRNTG_05628.4 gene_biotype:protein_coding transcript_biotype:protein_coding GLGCTQSEVWRGFSRDWRSSSKLTNDLSAKTQGESKRSVAEEHEIGDCEVGRGGRCDLFLSVCVCE >DRNTG_05628.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6539285:6540403:-1 gene:DRNTG_05628 transcript:DRNTG_05628.9 gene_biotype:protein_coding transcript_biotype:protein_coding NYSKSLANTPLNPSHKPLKSWRKDGKKDPLIGLNCGFK >DRNTG_05628.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6532461:6535845:-1 gene:DRNTG_05628 transcript:DRNTG_05628.5 gene_biotype:protein_coding transcript_biotype:protein_coding GLGCTQSEVWRGFSRDWRSSSKLTNDLSAKTQGESKRSVAEEHEIGDCEVGRGGRCDLFLSVCVCE >DRNTG_28160.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:514348:516233:-1 gene:DRNTG_28160 transcript:DRNTG_28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLANLLVMGSGILGRAVFQAYRKALENANKTGVAQETVKNVFKSSRAMTEQEARQVLGVTEHSSWDEIMQKYNSMFERNASGGSFYLQSKVHRAKECLETVYKQTSD >DRNTG_05413.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5336928:5338155:1 gene:DRNTG_05413 transcript:DRNTG_05413.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEEVKNMPNDAFPPKGVPNDASSSRRSPSIKSW >DRNTG_05413.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5336928:5340053:1 gene:DRNTG_05413 transcript:DRNTG_05413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKEEKKSVEKERKEENGRKRRERRERRVKWGRTGPYDPHTAPIRPRMAWEGYSGILDGIPDSLVWGAVWGAI >DRNTG_05413.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5336928:5340053:1 gene:DRNTG_05413 transcript:DRNTG_05413.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKEEKKSVEKERKEENGRKRRERRERRVKWGRTGPYDPHTAPIRPRMAWEGYSGILDGIPDSLVWGAVWGAI >DRNTG_01230.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000073.1:468:2691:-1 gene:DRNTG_01230 transcript:DRNTG_01230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVNEHFVIRFPENMPLDKSAPLLCAGITVYSPMKTFGLNEPGKHLGVVGLGGLGHVAVKFGKAFGMKVTVISSSPNKEKEAIEMLGADAFLLSSNPEKMQAAMGTMDGIINTVSAVHQLMPLIFLLKTHGKMILVGAPEKPLELPVFPLIMAGRILAGSCIGGLKDTQEMIDFAGKHNITADIELIKMDYVNEAIERLAKADVRYRFVIDVANSLSAA >DRNTG_01230.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000073.1:468:6840:-1 gene:DRNTG_01230 transcript:DRNTG_01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATNGMCASPAEEHLRKAIGWAAMDPSGVLSPFNFSRRSNGDDDITLKILYCGICHSDLHTIKNEWGITKYPVVPGHEIVGVVTEVGKNVTKVKTGDKAGIGCVVGSCGSCENCKQDLENYCPKIIFTYGSVYHDGTRTYGGYSNMIVVNEHFVIRFPENMPLDKSAPLLCAGITVYSPMKTFGLNEPGKHLGVVGLGGLGHVAVKFGKAFGMKVTVISSSPNKEKEAIEMLGADAFLLSSNPEKMQAAMGTMDGIINTVSAVHQLMPLIFLLKTHGKMILVGAPEKPLELPVFPLIMAGRILAGSCIGGLKDTQEMIDFAGKHNITADIELIKMDYVNEAIERLAKADVRYRFVIDVANSLSAA >DRNTG_27808.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27256263:27257627:1 gene:DRNTG_27808 transcript:DRNTG_27808.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACPPPSAPFYGEQRHCARGHWRPAEDAKLKELVSKLGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRRAFSEEEEEKLLAAHRVYGNKWALIARLFPGRTDNAVKNHWHVIMARKQREQQQQQSSTSKRRRHSNITTTATSTTTCSVSHSQIIRMDVNACSAESTITSTRDESSSFMDGTGTLRNGVLMMFNVQSDSTSEASASDSVANQCTHGEPKDEEKNHKFSFPFIDFLGVGAM >DRNTG_27808.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27256335:27257716:1 gene:DRNTG_27808 transcript:DRNTG_27808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPPPSAPFYGEQRHCARGHWRPAEDAKLKELVSKLGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRRAFSEEEEEKLLAAHRVYGNKWALIARLFPGRTDNAVKNHWHVIMARKQREQQQQQSSTSKRRRHSNITTTATSTTTCSVSHSQIIRMDVNACSAESTITSTRDESSSFMDGTGTLRNGVLMMFNVQSDSTSEASASDSVANQCTHGEPKDEEKNHKFSFPFIDFLGVGAM >DRNTG_27808.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27256335:27257627:1 gene:DRNTG_27808 transcript:DRNTG_27808.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACPPPSAPFYGEQRHCARGHWRPAEDAKLKELVSKLGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRRAFSEEEEEKLLAAHRVYGNKWALIARLFPGRTDNAVKNHWHVIMARKQREQQQQQSSTSKRRRHSNITTTATSTTTCSVSHSQIIRMDVNACSAESTITSTRDESSSFMDGTGTLRNGVLMMFNVQSDSTSEASASDSVANQCTHGEPKDEEKNHKFSFPFIDFLGVGAM >DRNTG_18195.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4662426:4664288:1 gene:DRNTG_18195 transcript:DRNTG_18195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIQAFHLGRYFCSRVVSKFNAVSQNEWNSAFKAEIDAGFFDTALSLFSSMLALGVRPDHFTLPLINRAVSSLSDWFDVGEAIHSLGIRMGFQNDIFFCNTMMDVYVKYGWISSAHYLFDEMHYRDVVSWTCLISGYARSGRVLESLRLFPEMRMAGMEPNEVTLAVMLRSCAVEKNIYCGRKLYGFVIKRGFESHELVQNTILMMFSRVGYLEEGEKFFGQIEKRNVIPWNIIMSGYSLFGDVHRIVDCFEDMMSKSISPSHETITLVISAFTKSGKLLHGQQVHAFAEKAGFADMVCKSSLIDFYAKFEGELSSSIKLFEESKASGINVWTTMLWGFIQNKQFLEAIHLFRRMQCHGFVPNADNLRALVLACIQQSTSKYGNTVHGYLIRNKHSINGDVESLETSILNMYMKSGCLLSAQRFFDSIIVKDIVAWSSMMDGYAIHGRGSEALQLFNQMRDEGVKPNSITFLSLLTACSHSGLVAEGCKVFNIMTGEYGIKPELNHYTCMVDILGRSGKLKEAVEVIDNMIIDPDARIWGALLATCRIHSDWKLATYAGQKLLELEPDNVGYHVVLSNAHASGEKWDESEKIWKMISEKEMKKNPGKSGIEVQEGIHML >DRNTG_33877.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002058.1:5476:8956:1 gene:DRNTG_33877 transcript:DRNTG_33877.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPKKKGFSSIPQRVNQRLAGWPNAFSCLIASSSLSLRKKTINPQSLNGGGCANPSPRRRRVRI >DRNTG_33877.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002058.1:5476:8956:1 gene:DRNTG_33877 transcript:DRNTG_33877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSVNPSIDSLAVVLEADGQPRSMMIPKKKGFSSIPQRVNQRLAGWPNAFSCLIASSSLSLRKKTINPQSLNGGGCANPSPRRRRVRI >DRNTG_33877.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002058.1:5981:8956:1 gene:DRNTG_33877 transcript:DRNTG_33877.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPKKKGFSSIPQRVNQRLAGWPNAFSCLIASSSLSLRKKTINPQSLNGGGCANPSPRRRRVRI >DRNTG_02685.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19781364:19783542:1 gene:DRNTG_02685 transcript:DRNTG_02685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSKSLKIMELLEAHTSSHHESTGQENDASTQEKQSRHRGKTVMANVWTSMEKFIIKVDKYGVPCTKDAATLSSFLGVLAKNGAYAPINIPNWRHEDFTPYKAKCLKLLMELAEINKRNAKKQNNAHTCGRKSFARIRKEIENETGKEPDRLTMWDATHKKKDGSYVNEEFRKKLEAAHDLQVSYTSSSANFEQNEINEMVFQKIYGAEHNGRVRGLGLGPTPSRYFSVISKFTSTSASTTDNNHKAELENVKLELAEMKDKYEKLSSDLADMKELFGGFMAERSLNDRMSKAPAEEVEDVASVD >DRNTG_31533.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001714.1:33880:36688:-1 gene:DRNTG_31533 transcript:DRNTG_31533.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSQSSPASPETSSSATAAIRLRLVILNGKVVALYFSASWCGPCRRFTPKLIEVYGELSSQGKGFEVVFVSGDEDEAAFNEYFAKMPWLAIPFSDEKTRDSLNELFKVRGIPHLVILSESGVVLTEEGVEAVREYGAEAYPFSQEKIKQFQEGEIAAKQAQTLCSVLVSNSRDFLVSKDGTKVPVSELEGKVVGLYFSVSSFPGSREFTPSLVKAYEKLKENGENFEVVLVSLDDEESTFNASFASMPWLAIPFKDKALEKLPRYFELETLPTLVILGPDGKTLNSNVAELVEEHGHEAYPFTPEKIQELADVARVRMESQTLESLLVSGELNYVIGKDGAKIPVSQLVGKNILLYFSAHWCPPCRAFLPQLITTYNKIKEKDSAFELVFLSSDKDQSSFDDYFAEMPWLALPFGDERKKSLSRKFKIRGIPSLVAIGSSGNTVTTEARGLIMVHGADAYPFTDERIKELEAEIEEMAKSWPKTLKHHLHEEHELVLTRRRRYNCDECQDGGQGWSFYCEECDFDLHPKCALAKEKGVANEEGEEKTGKEGYVCDGEVCYKA >DRNTG_31057.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30659071:30660133:1 gene:DRNTG_31057 transcript:DRNTG_31057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDDEKGSGSSNSSSRGSPEAKSCADCRTTRTPLWRGGPSGPKSLCNACGIRYRKKRRGTMGLKDGGDDWKRGKSGAVSRSKEQYVKLGMLGGGLGRDVIFKDKSFLWKQMKLREEEQAAVLLMALSYGNL >DRNTG_23603.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001267.1:23763:25715:-1 gene:DRNTG_23603 transcript:DRNTG_23603.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDISENVENSICYVDDGQRLNRHETEINDIFIYNVATDIEINTDNDPEPRSIEECRQRNDWPKWKEAIQAELNSLSKREVFGPIVPTPEGIKPVGYKWVFVRKRNENNQIMRYKARLVAQGFSQMPGIDYEETYSPVMDGITFRFLIAMAASNKLDMQLMDVVTAYLYGLLENDIYMKIPEGYRKTNITNNHHLYSIKLQRSLYGLKQSGRMWYNRLSEYLIKEGYQNDNICPCVFIKSYTNGFVVIAVYVDDLNLVGTPSEIATAASYLKKEFEMKDLGKTKFCLGIQIEHLSSGIFVHQSTYTEKVLKRFNMEKAYPLSTPMVVRTLDVQKDPFRPPEEGEIILGPETPYLSAIGALMYLANNTRPDIAFAVNLLARYSSTPTRRHWKGVKDVLRYLRGTTDLGLFYRQESSSNLTGFADAGYLSDPHKGRSQTGYMFSYNGTAISWRSTKQTLTATSSNHAEILALHEASRECQWLRSMIGHIQSSCKLPSVTTNATVIYEDNSACISQIQGGYIKGDRTKHISPKFFYTHDLQKEGVIEVQKIQSSENQADLFTKSLPKCIHQRLIYKIGMRRLKDVS >DRNTG_23603.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001267.1:23635:25715:-1 gene:DRNTG_23603 transcript:DRNTG_23603.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDISENVENSICYVDDGQRLNRHETEINDIFIYNVATDIEINTDNDPEPRSIEECRQRNDWPKWKEAIQAELNSLSKREVFGPIVPTPEGIKPVGYKWVFVRKRNENNQIMRYKARLVAQGFSQMPGIDYEETYSPVMDGITFRFLIAMAASNKLDMQLMDVVTAYLYGLLENDIYMKIPEGYRKTNITNNHHLYSIKLQRSLYGLKQSGRMWYNRLSEYLIKEGYQNDNICPCVFIKSYTNGFVVIAVYVDDLNLVGTPSEIATAASYLKKEFEMKDLGKTKFCLGIQIEHLSSGIFVHQSTYTEKVLKRFNMEKAYPLSTPMVVRTLDVQKDPFRPPEEGEIILGPETPYLSAIGALMYLANNTRPDIAFAVNLLARYSSTPTRRHWKGVKDVLRYLRGTTDLGLFYRQESSSNLTGFADAGYLSDPHKGRSQTGYMFSYNGTAISWRSTKQTLTATSSNHAEILALHEASRECQWLRSMIGHIQSSCKLPSVTTNATVIYEDNSACISQIQGGYIKGDRTKHISPKFFYTHDLQKEGVIEVQKIQSSENQADLFTKSLPKCIHQRLIYKIGMRRLKDVSTSDIS >DRNTG_23603.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001267.1:23635:26183:-1 gene:DRNTG_23603 transcript:DRNTG_23603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEPDISYIRIFGCAVYVPIPPPNRTKMGPQRRLGIYVGYDSPSIIRYLEPLTGDVFTARFADCHFDEAVFPALGGEMITQNEPKEIDWNASRLHTYDPRTNECELEVQRIIKLQNIANEIPDAFTDTKMVTKSYIPAANAPARIEIPKNPLKENQVENKPRQKRGRPIGAKDSAPRKRKQKNKDKDLPCEKGEEIIKPSEQVNEESMGDDISENVENSICYVDDGQRLNRHETEINDIFIYNVATDIEINTDNDPEPRSIEECRQRNDWPKWKEAIQAELNSLSKREVFGPIVPTPEGIKPVGYKWVFVRKRNENNQIMRYKARLVAQGFSQMPGIDYEETYSPVMDGITFRFLIAMAASNKLDMQLMDVVTAYLYGLLENDIYMKIPEGYRKTNITNNHHLYSIKLQRSLYGLKQSGRMWYNRLSEYLIKEGYQNDNICPCVFIKSYTNGFVVIAVYVDDLNLVGTPSEIATAASYLKKEFEMKDLGKTKFCLGIQIEHLSSGIFVHQSTYTEKVLKRFNMEKAYPLSTPMVVRTLDVQKDPFRPPEEGEIILGPETPYLSAIGALMYLANNTRPDIAFAVNLLARYSSTPTRRHWKGVKDVLRYLRGTTDLGLFYRQESSSNLTGFADAGYLSDPHKGRSQTGYMFSYNGTAISWRSTKQTLTATSSNHAEILALHEASRECQWLRSMIGHIQSSCKLPSVTTNATVIYEDNSACISQIQGGYIKGDRTKHISPKFFYTHDLQKEGVIEVQKIQSSENQADLFTKSLPKCIHQRLIYKIGMRRLKDVSTSDIS >DRNTG_23603.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001267.1:23763:25133:-1 gene:DRNTG_23603 transcript:DRNTG_23603.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDGITFRFLIAMAASNKLDMQLMDVVTAYLYGLLENDIYMKIPEGYRKTNITNNHHLYSIKLQRSLYGLKQSGRMWYNRLSEYLIKEGYQNDNICPCVFIKSYTNGFVVIAVYVDDLNLVGTPSEIATAASYLKKEFEMKDLGKTKFCLGIQIEHLSSGIFVHQSTYTEKVLKRFNMEKAYPLSTPMVVRTLDVQKDPFRPPEEGEIILGPETPYLSAIGALMYLANNTRPDIAFAVNLLARYSSTPTRRHWKGVKDVLRYLRGTTDLGLFYRQESSSNLTGFADAGYLSDPHKGRSQTGYMFSYNGTAISWRSTKQTLTATSSNHAEILALHEASRECQWLRSMIGHIQSSCKLPSVTTNATVIYEDNSACISQIQGGYIKGDRTKHISPKFFYTHDLQKEGVIEVQKIQSSENQADLFTKSLPKCIHQRLIYKIGMRRLKDVS >DRNTG_23603.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001267.1:23763:26183:-1 gene:DRNTG_23603 transcript:DRNTG_23603.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEPDISYIRIFGCAVYVPIPPPNRTKMGPQRRLGIYVGYDSPSIIRYLEPLTGDVFTARFADCHFDEAVFPALGGEMITQNEPKEIDWNASRLHTYDPRTNECELEVQRIIKLQNIANEIPDAFTDTKMVTKSYIPAANAPARIEIPKNPLKENQVENKPRQKRGRPIGAKDSAPRKRKQKNKDKDLPCEKGEEIIKPSEQVNEESMGDDISENVENSICYVDDGQRLNRHETEINDIFIYNVATDIEINTDNDPEPRSIEECRQRNDWPKWKEAIQAELNSLSKREVFGPIVPTPEGIKPVGYKWVFVRKRNENNQIMRYKARLVAQGFSQMPGIDYEETYSPVMDGITFRFLIAMAASNKLDMQLMDVVTAYLYGLLENDIYMKIPEGYRKTNITNNHHLYSIKLQRSLYGLKQSGRMWYNRLSEYLIKEGYQNDNICPCVFIKSYTNGFVVIAVYVDDLNLVGTPSEIATAASYLKKEFEMKDLGKTKFCLGIQIEHLSSGIFVHQSTYTEKVLKRFNMEKAYPLSTPMVVRTLDVQKDPFRPPEEGEIILGPETPYLSAIGALMYLANNTRPDIAFAVNLLARYSSTPTRRHWKGVKDVLRYLRGTTDLGLFYRQESSSNLTGFADAGYLSDPHKGRSQTGYMFSYNGTAISWRSTKQTLTATSSNHAEILALHEASRECQWLRSMIGHIQSSCKLPSVTTNATVIYEDNSACISQIQGGYIKGDRTKHISPKFFYTHDLQKEGVIEVQKIQSSENQADLFTKSLPKCIHQRLIYKIGMRRLKDVS >DRNTG_00325.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2262281:2263826:1 gene:DRNTG_00325 transcript:DRNTG_00325.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPCAEQSALEVLELQLPGKKVMTARDLRNGLQGQKLRRLI >DRNTG_00325.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2257474:2263826:1 gene:DRNTG_00325 transcript:DRNTG_00325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQNLLRFMKPFIEPVHIEKYSGKRIGIDAYSWLHKGAYSCSMELCLGSRSESAKRFLKYFMHHIDLLRHYKITPVVVFDGGNMPCKAATENERHRRRENNLELAKEKLKRGDVRGAVELFQRAVRITPSMAHQLIQILRKENVEFVVAPYEADAQLAYLSTLDADEGGVDVVITEDSDLIAYGCQAVIYKMDRYGNGEELLLDRVFNSVSNELSFKNFDKKLFTGMCVLAGCDFLPSISGIGIKRAYSLISKYRNLDRVLSALKLDKHYQIPEDYPEFFRKAVAVFHHATVYDAETKKLKHMKPLQQKDMHSLIGDLDFLGPELPPSMATAIAEGHLNPLTMEAFDCLYKSPSRSDTPAADNCITIFPAKRMKNENISYRDESQMSLTQESCITIISDQQVGDEEITVTRQVKVDQKKYMKEALSLGKLIAPLESQQEVEIHMERREKPNNNPFKRRKLGDTNPVKNGLSHQVPVLLDAEKPGDFLCSSSPESQDTVESKPNSKAKHPKTKTKTDNKNSILRFFQPL >DRNTG_00325.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2257474:2261919:1 gene:DRNTG_00325 transcript:DRNTG_00325.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQNLLRFMKPFIEPVHIEKYSGKRIGIDAYSWLHKGAYSCSMELCLGSRSESAKRFLKYFMHHIDLLRHYKITPVVVFDGGNMPCKAATENERHRRRENNLELAKEKLKRGDVRGAVELFQRAVRITPSMAHQLIQILRKENVEFVVAPYEADAQLAYLSTLDADEGGVDVVITEDSDLIAYGCQAVIYKMDRYGNGEELLLDRVFNSVSNELSFKNFDKKLFTGMCVLAGCDFLPSISGIGIKRAYSLISKYRNLDRVLSALKLDKHYQIPEDYPEFFRKAVAVFHHATVYDAETKKLKHMKPLQQKDMHSLIGDLDFLGPELPPSMATAIAEGHLNPLTMEAFDCLYKSPSRSDTPAADNCITIFPAKRMKNENISYRDESQMSLTQESCITIISDQQVGDEEITVTRQVKVDQKKYMKEALSLGKLIAPLESQQEVEIHMERREKPNNNPFKRRKLGDTNPVKNGLSHQVPVLLDAEKPGDFLCSSSPESQDTVESKPNSKAKHPKTKTKTDNKNSILRFFQPL >DRNTG_00325.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2258990:2261919:1 gene:DRNTG_00325 transcript:DRNTG_00325.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVPALRRLLSCFAGGGNGAPSALEPATKKKNLVFMGSPQVSASVLGALLDASTATNSSFQVAAIVTQPPSTRDRGRKLMPSAVAQYALDWGFPRDLILTPDRTGDENFLGNFRALNPELCVTAAYGNILPNKFLEIPPYGTVNIHPSLLPLYRGAAPVQRALQ >DRNTG_00325.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2262002:2263826:1 gene:DRNTG_00325 transcript:DRNTG_00325.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPCAEQSALEVLELQLPGKKVMTARDLRNGLQGQKLRRLI >DRNTG_00515.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30473712:30478137:1 gene:DRNTG_00515 transcript:DRNTG_00515.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA(Gln) amidotransferase subunit A, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G25660) UniProtKB/Swiss-Prot;Acc:Q9LI77] MTSLIQNPRLLLRRPAPPLRRRLQTLAAATSTNAPASEILSIREALLSRSTTALDIASGYLSRLRRSEPHLRSFLHVSEKVLMDAENVDRAVERGEDLGPLMGVLVGVKDNICTVDMPSTGGSRILEGYRPAFDATAVKRLRENGAIIVGKTNLDEFGMGGSTEGSGFQVTTNPWDVSRVPGGSSGGSAAAVSSRQCVVSLGSDTGGSVRQPASFCGVVGMKPTYGRVSRYGLMAYASSLDVIGCFGSSVIDTAILLKAISGHDRFDSTSRCSRLCN >DRNTG_00515.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30473712:30478137:1 gene:DRNTG_00515 transcript:DRNTG_00515.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA(Gln) amidotransferase subunit A, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G25660) UniProtKB/Swiss-Prot;Acc:Q9LI77] MTSLIQNPRLLLRRPAPPLRRRLQTLAAATSTNAPASEILSIREALLSRSTTALDIASGYLSRLRRSEPHLRSFLHVSEKVLMDAENVDRAVERGEDLGPLMGVLVGVKDNICTVDMPSTGGSRILEGYRPAFDATAVKRLRENGAIIVGKTNLDEFGMGGSTEGSGFQVTTNPWDVSRVPGGSSGGSAAAVSSRQCVVSLGSDTGGSVRQPASFCGVVGMKPTYGRVSRYGLMAYASSLDVIGCFGSSVIDTAILLKAISGHDRFDSTSSKHDVPDYVTDLASLDCLESKPLTGLRVGVIQETLGEGVDRGVISAVNAAASHLERLGSVVTEVSLPSFDLGLPAYYILASSEASSNLSRYDGVRYGSQVAADELGSLYGDSRAKGFGGEVWIICLSFFLFLNWKSCVH >DRNTG_00515.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30473712:30478137:1 gene:DRNTG_00515 transcript:DRNTG_00515.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA(Gln) amidotransferase subunit A, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G25660) UniProtKB/Swiss-Prot;Acc:Q9LI77] MTSLIQNPRLLLRRPAPPLRRRLQTLAAATSTNAPASEILSIREALLSRSTTALDIASGYLSRLRRSEPHLRSFLHVSEKVLMDAENVDRAVERGEDLGPLMGVLVGVKDNICTVDMPSTGGSRILEGYRPAFDATAVKRLRENGAIIVGKTNLDEFGMGGSTEGSGFQVTTNPWDVSRVPGGSSGGSAAAVSSRQCVVSLGSDTGGSVRQPASFCGVVGMKPTYGRVSRYGLMAYASSLDVIGCFGSSVIDTAILLKAISGHDRFDSTSSKHDVPDYVTDLASLDCLESKPLTGLRVGVIQETLGEGVDRGVISAVNAAASHLERLGSVVTEVSLPSFDLGLPAYYILASSEASSNLSRYDGVRYGSQVAADELGSLYGDSRAKGFGGEVKMRILMGTYALSAGYYDAYYKRAQQVRTLVQKSFKDALEKNDILISPAAPSVAYKIGEKTNDPLAMYAGDIMTVNVNLAGLPALVVPCGFVEGGTTSLPVGLQIIGPAFTEEKLLRVGHVFEQTLQNHRFVPPVLSEDAPLI >DRNTG_00752.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28052729:28055880:1 gene:DRNTG_00752 transcript:DRNTG_00752.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSDGQVWNWGANSNYELGRGDNKSDWRPQLIRSLQDARIIQVACGGYHSLALTDKGEVFSWGHGGQGQLGHGSRQNEKVPLVIEALAHKRITYIACGGSSSAAVAGMSCIIL >DRNTG_00752.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28051545:28055880:1 gene:DRNTG_00752 transcript:DRNTG_00752.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALLRSRIHGRILRPYSTQPSLLWSPAADDPHSPASTLQIFSWGHGGSGQLGGGKEELRHYPTSLAALRLPPDFRLSPVPGRVPFPPPPTGSMEVGISCGLFHSALLVNGMLWIWGKGDGGRLGFGDEVSGFVPKLNPNLSDVKSVALGGIHSTALTASGDVFTWGYGGFGALGHSVYHRELLPRLVHGSWSGKISHLATSGAHTAAITESGELYTWGRDEGEGRLGLGSGGGPGEGGSFSIPSKVNALPVPVAAAKCGGFFTMALTSDGQVWNWGANSNYELGRGDNKSDWRPQLIRSLQDARIIQVACGGYHSLALTDKGEVFSWGHGGQGQLGHGSRQNEKVPLVIEALAHKRITYIACGGSSSAAVADDGKLFMWGNSRDCQLGVPGLPDSQLLPVEVQFLTEDEELGPHNVISVALGASHTMCLVSRQ >DRNTG_00752.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28051545:28055880:1 gene:DRNTG_00752 transcript:DRNTG_00752.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGRGYGGFGALGHSVYHRELLPRLVHGSWSGKISHLATSGAHTAAITESGELYTWGRDEGEGRLGLGSGGGPGEGGSFSIPSKVNALPVPVAAAKCGGFFTMALTSDGQVWNWGANSNYELGRGDNKSDWRPQLIRSLQDARIIQVACGGYHSLALTDKGEVFSWGHGGQGQLGHGSRQNEKVPLVIEALAHKRITYIACGGSSSAAVADDGKLFMWGNSRDCQLGVPGLPDSQLLPVEVQFLTEDEELGPHNVISVALGASHTMCLVSRQ >DRNTG_00752.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28053446:28055880:1 gene:DRNTG_00752 transcript:DRNTG_00752.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSDGQVWNWGANSNYELGRGDNKSDWRPQLIRSLQDARIIQVACGGYHSLALTDKGEVFSWGHGGQGQLGHGSRQNEKVPLVIEALAHKRITYIACGGSSSAAVADDGKLFMWGNSRDCQLGVPGLPDSQLLPVEVQFLTEDEELGPHNVISVALGASHTMCLVSRQ >DRNTG_06341.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24103598:24106426:-1 gene:DRNTG_06341 transcript:DRNTG_06341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPRTMEWWRSFFRSSGVDIFEVVQRSIVVAVLDYPNVFQLRRDEIAETLFTCHLAQRGGDGDVLSEKTVDEVVDEMKSKRGHSDGEMRENKVDGMPSNCSYDVAEALTEEMEEESLIVREVLRIKEVLCNKDESDSVLFESLRRLELMGLSVEALKETEIGRAVNGLRKHNSKQIRHLVRTLIDGWKVLVDEWVNATAAIADNSPVSVHLPIADEEEGLPSPPLDEGALFITQTADIQLSEFFDGMDEDGNIRNIEDQGVDLSRNSGVYDVKCTKQDYERRHMENRKPVKPQQPPHQLPIAEDKGKAKIQDPVGRQLKQQEEAGTMNKQSRNDYADNLYSERPSKQGYEQKKQFSEFKSRQQDISGIQRKPSSATLQDKSKYSEEVSDKAKLEAAKRKLHERYQEAENAKKQRTIQVMELHDIPKQANNFRQPFTKPKNNIRNWANVRR >DRNTG_02335.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:595235:595774:-1 gene:DRNTG_02335 transcript:DRNTG_02335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPGDLGRMGSSRRALMAASFRANEEEGDYNFIYDDKDPRWVELESLPTPDRARKGIILDVSLTGQLVTNEVDVRRLKPHLKKTLMSRIFADVDEDNEQFLRWIKGRYERVGMELPKIEVRFQELSVEGKVYVGSRALPTLLNSVINFTE >DRNTG_25684.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:954892:957000:-1 gene:DRNTG_25684 transcript:DRNTG_25684.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSHSATIADAVHRIQLALLDGAATFEGQLLAAGTLLSQPDYDDVVVERSLARLCGHPLCTKALSSTADSQRRRGRYRIALREHKVYDLEETYKYCSQACLVSSRAFAESLERERVGDVSLKKVDGVLRVFGLGKDEDLPAEKGLGIGDLKIKEKEQAGNGDVSMEEWLGPSDAIEGYVPLRDRKQGAKYMSEDESTKMVDDAGNGEMGFTSCLIMEKELSVPQSDKSSVHQQDISNMIAKQLENLAIEEKNS >DRNTG_11141.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30374898:30380225:1 gene:DRNTG_11141 transcript:DRNTG_11141.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADP-dependent malic enzyme 1 [Source:Projected from Arabidopsis thaliana (AT2G19900) UniProtKB/Swiss-Prot;Acc:O82191] MESTLKGIREEGASVLDPKSTVGGGVEDVYGEDRATEEQLVTPWTLSVASGYTLLRNPVYNKGLAFTEKERDAHYLRGLLPPAIVSQELQEKKLMHNLRQYEVPLQRYMAIMDLQVRNERLFYKLLIDNVEELLPIVYTPTVGEACQKYGCIFRHPQGLYISLKEKGKILEVLKNWPQRTIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGLRPSACLPITIDVGTNNESLLKDEFYIGLRQKRATGQEYADFLHEFMTAVKQNYGEKVLIQFEDFANHNAFELLAKYGTTHLVFNDDIQGTASVVLAGLVAALNLVGGSLTEHTFLFLGAGEAGTGIAELIALEMSKRTKTPVEECRKRIWLVDSKGLIVSSRKESLQHFKKPWAHEHEPIKDLLGAVKAIKPTVLIGSSGVGKTFTKEVVETMASCNEKPIILALSNPTSQSECTAEEAYTWTQGRAIFASGSPFDPVEFNGKIFVPGQANNAYIFPGFGLGLVISGAIRVHDDMLLAASEALAAQVTQENFEKGLIYPPFTNIRKISANIAANVAAKAYELGLATKLPRPENLVKYAESCMYTPVYRSYR >DRNTG_14479.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000693.1:137094:138220:-1 gene:DRNTG_14479 transcript:DRNTG_14479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLPMPIRGSQGRRQGRVHAPVCSRENSQNSAGIHTPVRKLPTGV >DRNTG_00700.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30000654:30001787:-1 gene:DRNTG_00700 transcript:DRNTG_00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTLREMMAWCPVLFQCWNQIISEGFLLLYQQLLIIVTVRGLTAMRRLLLQHHQQQLVVVLEQSGLGLLLLHHLLLLLLSPRVLVVESFNDQPVMNKPKNKKRKKNAVEDIDQDHDGDKQQQQQQQQQQCSVVRKCMHCGIQKTPQWRAGPMGPKTLCNACGVRV >DRNTG_12612.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20427334:20431802:1 gene:DRNTG_12612 transcript:DRNTG_12612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIEINYNDEVLDGQECSVVISSDHILSSDLPGVDDIHEDESVVPRVRDMYQVVIPPLMTESERLQLIHTPTEMDKFLGVTFSVVLGSATPILSSESVDNHFEHEQKKIPGADTHSMPLSMANADYKDILTAPDNDKGIGILPGTQATPWTAAESQIFLLGLYIFGKNLALIRRFVGTKDMGDVLCFYYGKFYRSDGHRRWSECRKMRSRRCIHGSRIFTGWRQQELLSRLLPGISKDLQDALLEAVKVFNEGNTSLEEFVFQLKALIGTEVLVGAVGIGKGKYDLTGIVLDPVRANQVLSVRSDIPIGKACSSLASEDIIKFLTGNFRLSKARSNDLFWEAVWPRLLARGWHSEQPKDLGPFGSRNALVFLIPGIKKFSRRKLVKGNHYFDSITDILNKVALDPKLLEFEVEGSASAKEENGWVADAQLEQNNLSSENQRHCYLRPRVSNCNPEDTKFTVVDTSWDHGDAPKKMRELRSLPADARCGLAPSKCSEETYTDSDSLSDEQDRVDISLNNIKECNPEISVNKKITSFNGTFRDDVSDQLSASSKQAMPLNEHGSNHQLHDLLNEKQPL >DRNTG_12612.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20430794:20431802:1 gene:DRNTG_12612 transcript:DRNTG_12612.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRELRSLPADARCGLAPSKCSEETYTDSDSLSDEQDRVDISLNNIKECNPEISVNKKITSFNGTFRDDVSDQLSASSKQAMPLNEHGSNHQLHDLLNEKQPL >DRNTG_12612.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20429106:20430323:1 gene:DRNTG_12612 transcript:DRNTG_12612.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIEINYNDEVLDGQECSVVISSDHILSSDLPGVDDIHEDESVVPRVRDMYQVVIPPLMTESERLQLIHTPTEMDKFLGVTFSVVLGSATPILSSESVDNHFEHEQKKIPGADTHSMPLSMANADYKDILTAPDNDKGIGILPGTQATPWTAAESQIFLLGLYIFGKNLALIRRFVGTKDMGDVLCFYYGKFYRSDGHRRWSECRKMRSRRCIHGSRIFTGWRQQELLSRLLPGISKDLQDALLE >DRNTG_35444.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002248.1:46293:46825:-1 gene:DRNTG_35444 transcript:DRNTG_35444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGIAQVLLWVVWSGLTSHPSRLKLWMVMFGGALAKLLEIYEFPPYYGYVDSHALSHALTIPLTFLWWSFIKDDAKIQTSTLTKKTS >DRNTG_30538.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001581.1:10617:17730:-1 gene:DRNTG_30538 transcript:DRNTG_30538.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan glycosyltransferase 6 [Source:Projected from Arabidopsis thaliana (AT3G07330) UniProtKB/Swiss-Prot;Acc:Q9SRT3] MANVCIVLFLVQSVDRLVLMLGCAWIWARGIRPVAAMEYGKKEDGDLEQGSRSGSDEYPMVLIQIPMCNEREVYQQSIAAVCVQDWPRERMLVQVLDDSDDMEVQALIKAEVQKWQQKGVPIFYRHRLIRTGYKAGNLKSAMSCDYVKDYEFVAIFDADFQPAQDFLKRTIPHFKGNDDVALVQTRWAFVNKDENLLTRLQNINLSFHFEVEQQVNGIFINFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLAGWKFIYLNDVKCLCELPESYEAYKKQQYRWHSGPMQLFRLCFVDILRSKVSWSKKANLIFLFFLLRKLILPFYSFTLFCIILPLTMFLPEAQLPAWVVCYVPGVMSLINILPAPRSFPFIVPYLLFENTMSVTKFNAMISGLFKFGSSYEWIVTKKSGRSSEADLVSIFEKDSVSPAENIGPHRSSSDSGLVELNKLEMSKKSGKVKRNRLYRKELALAFILLTASARSLLSAQGIHFYFLLFQGITFLVVGLDLIGEQVS >DRNTG_23224.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6752302:6754315:-1 gene:DRNTG_23224 transcript:DRNTG_23224.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKLGEHVPLLLLLIIIQTWTIALLGVLPIISCGYNYFPLRCCGEMTQMRERHMQWMQTHNKTYKDPAEHEHRCCVYQTNVHFIQRFNSHDFSFNLTDNCFADLTNEEFLQTYRCLGGTFLHETKEVQQYQMKKNHNCPNISDKPPVDWRDHGAITHVKDQQQCGSCWAFSAVASIEAANKIKNNVTLVSLSEQELVDCDISGSSSGCAGGFMTQAFDFVQQNNGLTNDKNYPYTGVQGQCNKTKVLINPVVTIKGYMNVTSNSEDNLADAVTGQPVSVAIDAGGYLFQLYSQGVYDGPCGIDLNHGVTVVGFGTNSNDGDYWIVKNSWGANWGEGGYIRMRRGVPQSEGLCGITLEASYPLL >DRNTG_19907.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18340373:18345215:-1 gene:DRNTG_19907 transcript:DRNTG_19907.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allantoinase [Source:Projected from Arabidopsis thaliana (AT4G04955) UniProtKB/Swiss-Prot;Acc:Q94AP0] MEILQWRVLPLLSLLASFVLLYIQTSSKRSNSECSLFPHEQFWILSKRIVTPDGVISGAVEVRGGNIASVIEGDDLQGSVIGGHFLDYGDAVVMPGLVDVHAHLDEPGKTEWEGFSTGTRAAAAGGLTTLIDMPLNSVPSTVSVETLRLKVEASKEKLHVDVGFWGGLVPDNAFNESALDSLMEAGALGLKSFMCPSGINDFPMTNSTHIKEGLVALAKYNRPLLVHAELVPDSHSSTQLNDGISDVRSYATYLKTRPASWEEAAIRDLQSAMQETRVGGRAEGAHLHIVHLSDARASLELIKDAKSSGASLSVETCPHYLAFSSDDIKDGDTRFKCAPPIRDEDNRQNLWNALLEGHIDLVSSDHSPSAPELKLFGEGDFMRAWGGISSLQFVLPVTWSYGLKHGITLTQLSKWWSERPAKLAGQDQKGAIKTGNHADFVVWEPEMEFELDESHNIYHRHPNISAYIGTRLSGKVLSTFVRGNLVFSDGEHAPKACGVPILAK >DRNTG_29878.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2004991:2009297:1 gene:DRNTG_29878 transcript:DRNTG_29878.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 11 [Source:Projected from Arabidopsis thaliana (AT2G43210) UniProtKB/Swiss-Prot;Acc:Q9ZW74] MFVAAALASKQPEPANLTSANVVPCEEGSSSNMGAATASAEAEKPSVVIEARPLTIPEAPVDQKVGEQHALQDHEPVEGSSIPNEVHDHNINKGTQFSSPTCSVADSFTTPQGTANENNATHTTESAEGTSSNSPINPQETSLMDIDEPDNKNQMSVNEMPVSSNAIKSNEIHLNIRLPDGSTLQTKFSISDTLSLVKNYVDENQSSTMGPYALAVPYPRKVFGEQDMFKSLSELEFSSRQALIAVPHRRNIQPRGGQSTNNVVRATDSSQSSEGYFGYVKRILSYANPFAYFGGNANSSSSQAIPPNDGLWQYSPNPSMQNQLNRQSPPRGDTIRNPRSSSRPFGSNIHTLQHDEDQLPPGDRNTFWNGNSTQFGGNNNDNNR >DRNTG_29878.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2004991:2009297:1 gene:DRNTG_29878 transcript:DRNTG_29878.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 11 [Source:Projected from Arabidopsis thaliana (AT2G43210) UniProtKB/Swiss-Prot;Acc:Q9ZW74] MEGSTSSFEYKGSIADAINAARTEKKLFVVCISGEDENSILLEQSTWVDSSVVDSISKYCIFLRLKQGSLEASQFSAIYPQQSFPSITAIGCNGLVLWKHEGYVNAENFLENIEKAWAAFHLQGATAMFVAAALASKQPEPANLTSANVVPCEEGSSSNMGAATASAEAEKPSVVIEARPLTIPEAPVDQKVGEQHALQDHEPVEGSSIPNEVHDHNINKGTQFSSPTCSVADSFTTPQGTANENNATHTTESAEGTSSNSPINPQETSLMDIDEPDNKNQMSVNEMPVSSNAIKSNEIHLNIRLPDGSTLQTKFSISDTLSLVKNYVDENQSSTMGPYALAVPYPRKVFGEQDMFKSLSELEFSSRQALIAVPHRRNIQPRGGQSTNNVVRATDSSQSSEGYFGYVKRILSYANPFAYFGGNANSSSSQAIPPNDGLWQYSPNPSMQNQLNRQSPPRGDTIRNPRSSSRPFGSNIHTLQHDEDQLPPGDRNTFWNGNSTQFGGNNNDNNR >DRNTG_00953.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21124116:21127212:-1 gene:DRNTG_00953 transcript:DRNTG_00953.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERQALENAVGYQSSSLNSLNNGSATKPAKELIKEIATLEVQVMHLEQYLLSLYRKAFDQQSIREKPKRQLRPLESISCRMELPQRLLNETSTSMCREKLDSSSVYRSHSALSYRSAKKPATLARALDSFHSQSLCFLEDDQSATQRETSLAEYLGSAIVDHVPETPNIISENMVRCMSVIYCKLSDPPLVCHGYQSPTSSFSSASALSPQHSGDVWSPRYRKESILDFRLINPFRVEGLKEFSGPYNSMVEIPYICRDNCRLRDVQDLLQNYQSLVDRLESVNLRRMKTEEKLAFWINVHNAMMMHAYLVHGFPHKNIKKQTLLAKITYLIGGHSVSADRIRGPILRCNSKCPREWLKLLLSPRMKFKVRDEYQGYALEHTEPLLYFALSSGTHSDPAVNFFISSHTFLCNNSNS >DRNTG_00953.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21124116:21127212:-1 gene:DRNTG_00953 transcript:DRNTG_00953.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQKVLAFNDLYSKSDVDYRVKKFKLEPSPELSLHFKQDLEKVKSHTEINGKPSIDFDVQSSLKQEIRQLEKRLKDQVMERQALENAVGYQSSSLNSLNNGSATKPAKELIKEIATLEVQVMHLEQYLLSLYRKAFDQQSIREKPKRQLRPLESISCRMELPQRLLNETSTSMCREKLDSSSVYRSHSALSYRSAKKPATLARALDSFHSQSLCFLEDDQSATQRETSLAEYLGSAIVDHVPETPNIISENMVRCMSVIYCKLSDPPLVCHGYQSPTSSFSSASALSPQHSGDVWSPRYRKESILDFRLINPFRVEGLKEFSGPYNSMVEIPYICRDNCRLRDVQDLLQNYQSLVDRLESVNLRRMKTEEKLAFWINVHNAMMMHAYLVHGFPHKNIKKQTLLAKITYLIGGHSVSADRIRGPILRCNSKCPREWLKLLLSPRMKFKVRDEYQGYALEHTEPLLYFALSSGTHSDPAVRIYTPKKLVAQLQVAKSEYIRATVGIKDKHTITLPKMLDTYAKESGLNSQALIDMIRQYLPETLRRAMRQCRTGRAHKIIEWVPHNFAFRYLLSRELTQPAV >DRNTG_00953.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21124116:21127212:-1 gene:DRNTG_00953 transcript:DRNTG_00953.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQKVLAFNDLYSKSDVDYRVKKFKLEPSPELSLHFKQDLEKVKSHTEINGKPSIDFDVQSSLKQEIRQLEKRLKDQVMERQALENAVGYQSSSLNSLNNGSATKPAKELIKEIATLEVQVMHLEQYLLSLYRKAFDQQSIREKPKRQLRPLESISCRMELPQRLLNETSTSMCREKLDSSSVYRSHSALSYRSAKKPATLARALDSFHSQSLCFLEDDQSATQRETSLAEYLGSAIVDHVPETPNIISENMVRCMSVIYCKLSDPPLVCHGYQSPTSSFSSASALSPQHSGDVWSPRYRKESILDFRLINPFRVEGLKEFSGPYNSMVEIPYICRDNCRLRDVQDLLQNYQSLVDRLESVNLRRMKTEEKLAFWINVHNAMMMHAYLVHGFPHKNIKKQTLLAKITYLIGGHSVSADRIRGPILRCNSKCPREVHSSFD >DRNTG_00953.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21124116:21127212:-1 gene:DRNTG_00953 transcript:DRNTG_00953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQKVLAFNDLYSKSDVDYRVKKFKLEPSPELSLHFKQDLEKVKSHTEINGKPSIDFDVQSSLKQEIRQLEKRLKDQVMERQALENAVGYQSSSLNSLNNGSATKPAKELIKEIATLEVQVMHLEQYLLSLYRKAFDQQSIREKPKRQLRPLESISCRMELPQRLLNETSTSMCREKLDSSSVYRSHSALSYRSAKKPATLARALDSFHSQSLCFLEDDQSATQRETSLAEYLGSAIVDHVPETPNIISENMVRCMSVIYCKLSDPPLVCHGYQSPTSSFSSASALSPQHSGDVWSPRYRKESILDFRLINPFRVEGLKEFSGPYNSMVEIPYICRDNCRLRDVQDLLQNYQSLVDRLESVNLRRMKTEEKLAFWINVHNAMMMHAYLVHGFPHKNIKKQTLLAKITYLIGGHSVSADRIRGPILRCNSKCPREWLKLLLSPRMKFKVRDEYQGYALEHTEPLLYFALSSGTHSDPAVRIYTPKKLVAQLQVAKSEYIRATVGIKDKHTITLPKMLDTYAKESGLNSQALIDMIRQYLPETLRRAMRQCRTGRAHKIIEWVPHNFAFRYLLSRELTQPAV >DRNTG_07854.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000348.1:3342:4048:1 gene:DRNTG_07854 transcript:DRNTG_07854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWVAGLDFRRCLGWWRKGSKVVVVEEEEKEENVGIKCSDKDQSSPVVEVMSMTMTAPGLAGIERFASGRRPEGPEPWGRALDVGPDEHVA >DRNTG_17279.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5177927:5179365:-1 gene:DRNTG_17279 transcript:DRNTG_17279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVYGYMEPHHGPCSLSHQIDQNSHPYYHSMPMHYNAMTMNNSPDYNACCNKSYPYGYQAFRPPYFHYQPPPQFYQHGPYPPFPDHPYYSPYFIPPPPDNHCCGCPNYACHQRENNGVKILKQSPEPEQKKSSDLSNYHYPVVWFPPDYLNEKPEGNVKELKEINDAPKSVEEPPKFKIIPLKFLENGVEKENKENNKVRSIPVKQFEEMPEMQRQGDDVKKSSVVEMPMEEKKDVKKSNSGKLTSPAKSSKLPPVCLRVDPLPKKKGSNGSSMSPCPPEIKETKKDNEKEDIKVVEIKDKSSKNVEQVHEKVVSDDKKVEGKSSEDENLKNITEAASDRKKSEVKKITKSMSESEAAVLIQSAYRGYEVRRMQVLEKLRKIARVQERIADVRTQIHQFEASKERDSKQQAVISETVMNLLLQLD >DRNTG_33111.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32799167:32799809:-1 gene:DRNTG_33111 transcript:DRNTG_33111.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLVSLGAKVEEMGGGDLVVDAREVSSVEPCEDAIGRIRAGIFVLGPLVARFGEAEVSLPGGCRIGARPIDLYLRGLEALGANVQLS >DRNTG_33111.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32797019:32799809:-1 gene:DRNTG_33111 transcript:DRNTG_33111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAATMAEGVSVLTNAAQEPEVLDLAEFLIASGACIEGAGTSTIVITGRKQLHGCEFTIIPDRIEAGTFMIAAAITRSCISLSPVIPKHLESLVDKLAIAGCKITLRGPRILEVSVFSLPGFFISFCCYV >DRNTG_33111.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32797019:32798690:-1 gene:DRNTG_33111 transcript:DRNTG_33111.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLATCNGKSKIDESVFENRMHHVKELQKLGAGIELNGNSASVEGRRTPWWMALRGCHVEAADLRGGAALVLAGMAAEGTTEVAGVAHIDRGYQGLETKLQSLGANIKREVDSMPQ >DRNTG_33111.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32799437:32799809:-1 gene:DRNTG_33111 transcript:DRNTG_33111.5 gene_biotype:protein_coding transcript_biotype:protein_coding SPLQALSLLRVLNNGALLAPSLAPLPQNPLGNSSQDRNLYFKPPNPSSRPEVGDLWWSEALRPRSDQWIKELGAGGARWGHCAAPMGHRLSVGFPTSWTRAQWLRSWFLW >DRNTG_33111.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32797019:32798690:-1 gene:DRNTG_33111 transcript:DRNTG_33111.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMCYRDGKVCVRAANGRGLVGAHFHLSFPSVGATETLMMAATMAEGVSVLTNAAQEPEVLDLAEFLIASGACIEGAGTSTIVITGRKQLHGCEFTIIPDRIEAGTFMIAAAITRSCISLSPVIPKHLESLVDKLAIAGCKITLRGPRILEVSATASRTGGDLQSFNLVTSPYPGFPTDLQPQCMSLLATCNGKSKIDESVFENRMHHVKELQKLGAGIELNGNSASVEGRRTPWWMALRGCHVEAADLRGGAALVLAGMAAEGTTEVAGVAHIDRGYQGLETKLQSLGANIKREVDSMPQ >DRNTG_32816.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9420295:9422181:-1 gene:DRNTG_32816 transcript:DRNTG_32816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDVVGDREPLLTQEGLRSRISLVFLKFIGAFLLASALFYLLIDNLDADSLSSEEQDALSIMRPLMKESSVQEHLLDKVCDLSIGEWVPNSAGPAYTNKTCNRIPQHNNCLKNGRPDRGYLYWRWKPRFCDLPPFDPVTFMHAMGNKSWAFIGDSIYQNHVHSLLCQLSQVEAPHVIYQDLSFNIIWHFPSYNIKIYEIWSPLLIQYETIHNDVDFSKIMIRLHLDILDSSWTRFYNLYDYV >DRNTG_27428.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28607813:28613736:-1 gene:DRNTG_27428 transcript:DRNTG_27428.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DJ-1 homolog C [Source:Projected from Arabidopsis thaliana (AT4G34020) UniProtKB/Swiss-Prot;Acc:Q8VY09] MAAMALRPCPVLPARLRTRTPAPLCAVAKQKGATLAPVSIPSSSALPKKKVLVPVGLGSEEMEAVILVDVLRRAGADVVMASVEPELEIMASSGTRLVADTLISDCADEVFDLVALPGGMPGSARLRDSEILRQITVKQAEKKRLYGAICAAPAVALGPWGLLKRKHVTGHPAFKDKLPTFRSVDSNIQVSGELTTSRGPGTAFEFALSFVQQLFGDNVAAEVGGILLMKNDGGHHKKKEFNQIDWSFDQIPHVLIPIANGSEEMEVVMLVDILRRAKVYVVIASIEKSKQIVASQSTKIVADKSIADASGTTYDLIILPGGVTGSERLQKSRILKKLLKEQKLAGRIYGGICSSPFVLQKQGLLKDKIATAHPTTISKLTGQVDDETDVIIDGNLITGRGLVTVIDFALAIINKLFGLSRTRSVAEGLVFDYPKN >DRNTG_33114.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32815530:32819740:-1 gene:DRNTG_33114 transcript:DRNTG_33114.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKKSSGSQSSPGAALEVVEATQKDVGELTKSFFKLNPYAKEFVPASHVDAPESVGASEIPLNLCGDSNRSNASLNQNEGDIRSISSFGDQQDSRRRGRQHFERWTSNDQISPAAIEDCIHRTIYVWNIEQHVTEEELAGLFSCCGQINDCRISGEPSSACHFAFLEFGSEISARAALSLCGTPLHNIPICIAPSKSNIFPINPSYLPRSTHEKDIARRTVYCNNIDKMVNENEIRHFFESNCGPISRLKLLGDRCHQTRIAFIEFVRDDSAIAALRYTGFYLGGFPIRVIASKTPVRMNTSLSWHR >DRNTG_33114.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32815530:32819740:-1 gene:DRNTG_33114 transcript:DRNTG_33114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKKSSGSQSSPGAALEVVEATQKDVGELTKSFFKLNPYAKEFVPASHVDAPESVGASEIPLNLCGDSNRSNASLNQNEGDIRSISSFGDQQDSRRRGRQHFERWTSNDQISPAAIEDCIHRTIYVWNIEQHVTEEELAGLFSCCGQINDCRISGEPSSACHFAFLEFGSEISARAALSLCGTPLHNIPICIAPSKSNIFPINPSYLPRSTHEKDIARRTVYCNNIDKMVNENEIRHFFESNCGPISRLKLLGDRCHQTRIAFIEFVRDDSAIAALRYTGFYLGGFPIRVIASKTPVRMNTSLSWHR >DRNTG_18121.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:467863:471058:1 gene:DRNTG_18121 transcript:DRNTG_18121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIFLLFILSYISCIHLVIGQVSVTKNIFVDQSGHGDFTTITASINAIPSNNNQWIRIHVKAGVYKEKVQIGSDKGFILLEGEGYEQTSVEWGDHTSGGSETDQTSTFRFSGNNIVVKYISFKNTFNGGSQLGQAVAALVEGDMISFYNSGFYGIQDTLCDFRGRHYYKNSYIQGAVDFIWGSAQSIFEGCNICSYGEGIDHGYITAQGRETASGPGGFVFKSCNVTGSLETFLGRAWTPYSMVIFYQTFMFNIIFPQGWDAFNAARGKELLTYYVEFECSGPGSNSSERVNWLKKPTYNQLKQYIDISFIDKEGWLSQQP >DRNTG_15663.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19947366:19948205:1 gene:DRNTG_15663 transcript:DRNTG_15663.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGVSLDSFLKYITVQSLTEEGLRTLGPYVAKMAEVEGLEAHKRAVTLRLQDIEAALPIS >DRNTG_15663.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19943915:19947249:1 gene:DRNTG_15663 transcript:DRNTG_15663.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDPVVREAFDVAYSNIYAFHDAQKVPERTTENMRGVRCKRIARCITSVGLYVPGGTAVLPSTALMLSVPAQIAGCKTIVLATPPGRDGSICKEVLYCAKKSGVTHILKAGGAQAIAAMAWGTASCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYAKPAHIAADLLSQAEHGPDSQVVLVIAGDGVDLSAIESEISKQCASLPRGEYASKALSHSFIVFAQDMFE >DRNTG_15663.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19936258:19947249:1 gene:DRNTG_15663 transcript:DRNTG_15663.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYRLSELSHAEVHGLKGRPRIDFSSIFSTVKPIVDDVHCKGDAAVIDYTRRFDKVDLENIVKLVSDLPDPELDPVVREAFDVAYSNIYAFHDAQKVPERTTENMRGVRCKRIARCITSVGLYVPGGTAVLPSTALMLSVPAQIAGCKTIVLATPPGRDGSICKEVLYCAKKSGVTHILKAGGAQAIAAMAWGTASCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYAKPAHIAADLLSQAEHGPDSQVVLVIAGDGVDLSAIESEISKQCASLPRGEYASKALSHSFIVFAQDMFE >DRNTG_15663.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19936258:19948205:1 gene:DRNTG_15663 transcript:DRNTG_15663.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGFVYLVGTNKVINSGVFWSSQLSGYNGCLKYRSTRPLTVFKTQVARSAMKSYRLSELSHAEVHGLKGRPRIDFSSIFSTVKPIVDDVHCKGDAAVIDYTRRFDKVDLENIVKLVSDLPDPELDPVVREAFDVAYSNIYAFHDAQKVPERTTENMRGVRCKRIARCITSVGLYVPGGTAVLPSTALMLSVPAQIAGCKTIVLATPPGRDGSICKEVLYCAKKSGVTHILKAGGAQAIAAMAWGTASCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYAKPAHIAADLLSQAEHGPDSQVVLVIAGDGVDLSAIESEISKQCASLPRGEYASKALSHSFIVFAQDMFEAIFSQTCMLPSI >DRNTG_15663.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19937349:19947249:1 gene:DRNTG_15663 transcript:DRNTG_15663.3 gene_biotype:protein_coding transcript_biotype:protein_coding METGFVYLVGTNKVINSGVFWSSQLSGYNGCLKYRSTRPLTVFKTQVARSAMKSYRLSELSHAEVHGLKGRPRIDFSSIFSTVKPIVDDVHCKGDAAVIDYTRRFDKVDLENIVKLVSDLPDPELDPVVREAFDVAYSNIYAFHDAQKVPERTTENMRGVRCKRIARCITSVGLYVPGGTAVLPSTALMLSVPAQIAGCKTIVLATPPGRDGSICKEVLYCAKKSGVTHILKAGGAQAIAAMAWGTASCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYAKPAHIAADLLSQAEHGPDSQVVLVIAGDGVDLSAIESEISKQCASLPRGEYASKALSHSFIVFAQDMFE >DRNTG_20105.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:300576:304538:1 gene:DRNTG_20105 transcript:DRNTG_20105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCCGTTVNDDFGDIEAFYPIKPDCREDVPQTRFKPKTGKTLSAKRWHSSFSEEGYLDMATVLKRIQRGGVHPSIKGVVWEFLLGCYDPRSTFDERSQLRHKRRSEYEKLKSKCQEMEKAVGSGRVLTAPVISEDGQPAAGSSIDEDVSDDETRDPSAEQEMSDLHVNEEVVKWKLTLHQIGLDVVRTDRTLVYYENQSNQSRLWDVLAVYSWIDRDIGYCQGMSDLCSPIIMLVENEADAFWCFEHLMRRLRGNFKCTSSSIGVRSQLTILASIVKTIDPALHQHLESLDGGEYLFAFRMLMVLFRREFSFVDAMYLWELMWAMEYNPNLFHMYEADGSNDLKVVDTRNNTVSLKQYGKFERKNVKSGVKNEQQATLSIFLAASVLEAKNKRLLMEAKGLDDVVKILNDITGNLDAKKACYDALKLQNKYLSKVKVS >DRNTG_20105.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:300576:304538:1 gene:DRNTG_20105 transcript:DRNTG_20105.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNCCGTTVNDDFGDIEAFYPIKPDCREDVPQTRFKPKTGKTLSAKRWHSSFSEEGYLDMATVLKRIQRGGVHPSIKGVVWEFLLGCYDPRSTFDERSQLRHKRRSEYEKLKSKCQEMEKAVGSGRVLTAPVISEDGQPAAGSSIDEDVSDDETRDPSAEQEMSDLHVNEEVVKWKLTLHQIGLDVVRTDRTLVYYENQSNQSRLWDVLAVYSWIDRDIGYCQGG >DRNTG_20105.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:300576:304538:1 gene:DRNTG_20105 transcript:DRNTG_20105.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAVGSGRVLTAPVISEDGQPAAGSSIDEDVSDDETRDPSAEQEMSDLHVNEEVVKWKLTLHQIGLDVVRTDRTLVYYENQSNQSRLWDVLAVYSWIDRDIGYCQGMSDLCSPIIMLVENEADAFWCFEHLMRRLRGNFKCTSSSIGVRSQLTILASIVKTIDPALHQHLESLDGGEYLFAFRMLMVLFRREFSFVDAMYLWELMWAMEYNPNLFHMYEADGSNDLKVVDTRNNTVSLKQYGKFERKNVKSGVKNEQQATLSIFLAASVLEAKNKRLLMEAKGLDDVVKILNDITGNLDAKKACYDALKLQNKYLSKVKVS >DRNTG_20710.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17952747:17958765:1 gene:DRNTG_20710 transcript:DRNTG_20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSFNGQILVDKLLILNNSQQSIETLSHWCIFHRKKAKQVVETWEHQFYRSPQDQRISFLYLANDILQNSKRKGMEFVNEFWKVLPRALKDVVENGDEFGKNAAKRMVDIWEARKVFGSHSEFLKEELLGNMEKQNGRGVNYKKHPPGDMLQKLISSYELVYHVSVNEKALFEKCQVVISSIEKVEKEINGEHNLENSVEPGIIEEFQENHGVLRECIEQLKLAESSRGNLLSLLREALNEQEHKMEQVHNQLQVAQSRFKQLEEICQYLLKPDGPPGYVPEARTGTEAKEQPTPVIYIQKANNPHQSEEEDRTTATPTVPEKLAISQGQMEKEEYHSENKKQKVSLPPPPPPPPPPPFPYPDSLNQQMLPPPPPPMMPPPLPPPPPPTTITSTTAAPFGQAISPIPGVPFSYGLVPLQRPPAMPGYPIVNMPPFPGPPAAYHGFQGPEPPVGLFNPPLVPPTPPPVPPTPPPVSRPLN >DRNTG_20710.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17952747:17958765:1 gene:DRNTG_20710 transcript:DRNTG_20710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSFNGQILVDKLLILNNSQQSIETLSHWCIFHRKKAKQVVETWEHQFYRSPQDQRISFLYLANDILQNSKRKGMEFVNEFWKVLPRALKDVVENGDEFGKNAAKRMVDIWEARKVFGSHSEFLKEELLGNMEKQNGRGVNYKKHPPGDMLQKLISSYELVYHVSVNEKALFEKCQVVISSIEKVEKEINENSVEPGIIEEFQENHGVLRECIEQLKLAESSRGNLLSLLREALNEQEHKMEQVHNQLQVAQSRFKQLEEICQYLLKPDGPPGYVPEARTGTEAKEQPTPVIYIQKANNPHQSEEEDRTTATPTVPEKLAISQGQMEKEEYHSENKKQKVSLPPPPPPPPPPPFPYPDSLNQQMLPPPPPPMMPPPLPPPPPPTTITSTTAAPFGQAISPIPGVPFSYGLVPLQRPPAMPGYPIVNMPPFPGPPAAYHGFQGPEPPVGLFNPPLVPPTPPPVPPTPPPVSRPLN >DRNTG_24203.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22506217:22508141:1 gene:DRNTG_24203 transcript:DRNTG_24203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTLCHMIIIFIVLILITKLYLHVHLSKPFALPILGHLHLLRSPIHQSLAHLARAHGHVLRLRFGSRPVLVVSSPSAAEHCFTKNDIIFANRPRILPAKYLGYNFTSLVFSPFTARWRAHRRFTALHAFSPSCLSDNFSSELRFLLRGLYSDHTQGFHKTEIRSWFFDLTLNLMMGMITGKRFQGEEKRKLRKVLEEMFFLSGATSLEDFVPLVRWFGFSTMRKRLERVGKEIDETLQELVLEERSKLRKGDHGEEMKTIIGNLLTMQEKEPEHYTDIDIKGILSSLITGGTETTSGTMEWAMALLVNHPEVLKKVRDEIEEHVGHDRLVMDSDITKLKYLNNVIKETLRLFPPGPLLVPHESSEDCIVEGVCVPKGTMLLVNAYAMQRDPELWEDSMEFKPERFDEDHQQGFKYIPFGYGRRRCPGESMGWKVMTLTLGGLIQCFEWEREGQKLVDLTEGAGLSMPMATPLEIIYRPCPEMLDVLSQL >DRNTG_02909.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23610688:23611952:-1 gene:DRNTG_02909 transcript:DRNTG_02909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSLLSYWRAALSSHPTNNPDLIATEDDDDDDDDDASFFDLEFSQAVADADAEVDDEASDDENAFGLDLASDASSPPHDLFLKPSSTIVFETDSKPSQFPASLLKSATKLRVLMLAFKKAKGSTAAASAPSSPKLGKYFIKFKVEEVPIVSFFTRDSSAKTKPEVTTTADSDEKKLSKDVVLKYLNKIKPLYMRVSRRYLEKLIGERSSSENPQAPLTNVEKDSTGVIPTGLRVVRKKLKKSRSASSATAPPSPPRRRDDSLIEQQDGIQNAIAHCKLSFNKESQSSRSQGSESPLIRSLSDPGEC >DRNTG_09826.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000414.1:71982:72976:-1 gene:DRNTG_09826 transcript:DRNTG_09826.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYMLDTTPDQLGLHVLDLYRKGLIATQTDPSAAPAFTNNTSISIAQENRAQPPQSAANIYPVESDNDVPMLSAMKLHQSGVKFVKASNEINNKKITGITFEKGILKLPYFIIDDATESTLLNLMAFEHLHVGLQDEVTSYVCFMDELIDSADDVHLLRSKKIIHMAVGSDQAAADLLNSLTKEVTHDPKGKIQEVREMVKKYSGKNWNRWLADLLHLHFETPWKTLAAVAAVLLLVLTVVQTLYAVLSYHHSSK >DRNTG_09826.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000414.1:71982:74626:-1 gene:DRNTG_09826 transcript:DRNTG_09826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSTSKSLFMVNGQKSWKATIQEEVEKFNGDKEEKQFEKRSIYHLPPFAEKMKSETLLTPQVVSFGPYHHGKQNLMLVEGYKKTALIHFLVNAGQSLQYFIDEMKYHVNDLQACYEFLEEEWQDKDKFIKLMITDGCFMLELLRIDKNQPVKTPYAKHDPIFSDHATEHKLLHIKRDMLMLENQLPLLVLKVLHQEKTDKKINKLVFKFFDMEYMLDTTPDQLGLHVLDLYRKGLIATQTDPSAAPAFTNNTSISIAQENRAQPPQSAANIYPVESDNDVPMLSAMKLHQSGVKFVKASNEINNKKITGITFEKGILKLPYFIIDDATESTLLNLMAFEHLHVGLQDEVTSYVCFMDELIDSADDVHLLRSKKIIHMAVGSDQAAADLLNSLTKEVTHDPKGKIQEVREMVKKYSGKNWNRWLADLLHLHFETPWKTLAAVAAVLLLVLTVVQTLYAVLSYHHSSK >DRNTG_16575.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12851138:12859704:-1 gene:DRNTG_16575 transcript:DRNTG_16575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPFSSYGEDSSGSGRRKTPELDLDGYDCESEEGAEASEVAGKHVASRPSGSKRSRAAEVHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGLSLHPMYLPNNLSPMQGSQMNLGFAVDGDSVMNMGLGGMLPLNQDSSQGNSFDMLSRSTPSNQSIVIPSESIVIPNAANVSDLECSFHMESSQAHNRSFHMPVATEFKEMLTEEMVTQHQLNSAQSMQNLPENNIKSVAASTSLPFGRQASFVEGAQSLETNMLVSERSQDVLSKNSDHQIFNQQFNRGQNDQSFCVQSH >DRNTG_16575.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12859372:12859704:-1 gene:DRNTG_16575 transcript:DRNTG_16575.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPFSSYGEDSSGSGRRKTPELDLDGYDCESE >DRNTG_16636.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1949148:1950025:-1 gene:DRNTG_16636 transcript:DRNTG_16636.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRWRSTSKGKETANQCARLHARQHVL >DRNTG_05780.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000269.1:109614:110180:1 gene:DRNTG_05780 transcript:DRNTG_05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLISNNCLQPPTETRASISSTKSSPCCNSLNILMSKNRVCLNRILDRYSKRA >DRNTG_07731.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2542265:2543237:1 gene:DRNTG_07731 transcript:DRNTG_07731.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase XERICO [Source:Projected from Arabidopsis thaliana (AT2G04240) UniProtKB/Swiss-Prot;Acc:Q9SI09] MGLSSLPTPSEGMLTLVLVNTALTISILKSIAFAALRALRLRPLPDPSPDFSTDDSAAAVTFSAEPSPLDRFRSRFKPFRIGRRWAGDCRVCLARFEPESEVDRLPCGHVFHKACIDRWLEYHHVTCPLCRSRLLPPDDSLPSF >DRNTG_17328.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31986549:31990065:1 gene:DRNTG_17328 transcript:DRNTG_17328.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigE, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G24120) UniProtKB/Swiss-Prot;Acc:Q9ZNX9] MDVAIVHSSASQTPFAGLSAARSPSIRRPFPFSFSSSLCTRCSAVVKPQRAVGTKIVVPSDAAVDPKITVKKQRRPRPKAVASSGSCAVEPDYNEVAAALENIYKLSPVEVSDVEDFNGEFPRRRISKGKESRVVVRNRKRGVRRLSLEKRVEMRKRGKERDEEDVKKLIREYSVSVDLVSLDWKKMKIPPVLKSAEQSKLFKLMQPMKAINQVKAALHKELNRYPTDAALAAAVNMTVTQMRGHLEVGQAARNKLIKHNLRLVLFVINKHYQELATGTKFQDLCQAGVKGLVTAIDRFEPKRGFRLSTYGLFWIRHSILRSLTQSSFTRVPFGIESVRQEIQKAKLELTLELQRSPTDEEIIARAGITPERYHDVMKASKPVLSLNAKHLTTQEEFINGITDIDGAGGDDRRQPALLRLALDDVLDSLRPKESLVIRQRFGLDGKGDRTLGEIAGNLSISREMVRKHEMKALMKLKHPARVDYLRQYIV >DRNTG_28174.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17989949:17993380:-1 gene:DRNTG_28174 transcript:DRNTG_28174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHGFTSRYMISKAAMSTSQTSPTGAAHLHGGQGRNKDLMIAVKRFSKDAWPDEEQFRSGKVKTQAACEIDCILLRGNERLLMAEFMPNDSLARHLFNSKTETMEWSRRLIITFYIAEALEYCIKKEQALYYDLNTHKVLFDEAGDPCLSCFGLMKNHRDARCFHTNIAYTPLGCVYGSLQQKTQFATHF >DRNTG_15060.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5515284:5517223:-1 gene:DRNTG_15060 transcript:DRNTG_15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding CIVCLTNRKDVAFECGHQTCYECGRKLSSCPLCRRPIYKRLKLY >DRNTG_26842.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1886977:1890646:1 gene:DRNTG_26842 transcript:DRNTG_26842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFWKFGLFEKTCKQEEGQVPDNQGYIGLWRIVKVKNLPYTDMRRTGKVPKFLAHRLFPSARYSIWIDSKMRLNADPLLIIEYFLWRKRSEYSISNHYDRHCVWEEVLQNKRLNKYNHTAIDEQFLFYQSDGLTKFNKSDMNSPLPSFVPEGSFIVRAHTPMSNLFSCLWFNEVDRFTSRDQLSFAYTFLKLKRMNPGKPFFLNMFKDCERRAMTKLFHHRAEVQQLHP >DRNTG_27128.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1836468:1837928:1 gene:DRNTG_27128 transcript:DRNTG_27128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEVPSYFLCPITLQLMRDPVTLATGITYDRESIERWMFTEKHWTCPVTKQELPENELITPNHTLRRLIQAWCTVNASAGVERFPTPRPPVNKSEILQIIGEAQKPQALMECLRRLRMIVLDSERNRRCVEESGAVEFLASIVDDFREKEEEEEGACDEALYILHCIHVSEQGLIKLISNNGGFIESLGVVMSRTTNYQTRVHAVLILKSLVEVIAPVRLMAVQEEVLREVVKVLSDQVSCQATKAALKVIAEVCPWGRNRIKAVKAGAVPVLIELLLEEPEKRECEMILVVLELLCCCAEGRAEVVGHAAGIAVVSKKVLRVSHSATNRAVRVLYLIAKYAAAPAVLQEMLQVGAVSKLCSVLQVDCGMKTKEKALEILKVHYRVWKNSPCVTYP >DRNTG_09346.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:624248:630114:-1 gene:DRNTG_09346 transcript:DRNTG_09346.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIVKLNPNPNLSIFFPTKRQGILWNSKVSVFYKPWNRLLRFSIRRRGVCTVRSALDSAIIDELGLSDADLRNPTISTSYRRAEIPQPNKTVLEAQAKVCTGPEQTKPLSEEQMVRVLDTILRSARGELKDEPVSEAQLGAFFGAMTIRANAFPEATQWTEGERRAMYTFWRHLVQILPPDVLFIADPEGTIMGPASSIGPLFTGNGTAEMRLVGALREVLAGGHLGYEEVQGVLHDVLPLVCENGKSNVSESLLSAFLIGQRMNRETDRELKAYCLAFDEELGSPIADVRSLTHYGEPYDGNTRFFRSTLFVAAVRACYGESSLLHGVDWMPPKGGITEEQLLKFMGANTLLVPTQAKILLEDEDVGFAYVSQREACPSLYSLVRLREHIKKRPPLATSEKIQQFVRASGKEAIVAGFYHEGYEDSLLMLMRRRKVHSGLVVKVGMVICFAQ >DRNTG_09346.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:624248:630114:-1 gene:DRNTG_09346 transcript:DRNTG_09346.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIVKLNPNPNLSIFFPTKRQGILWNSKVSVFYKPWNRLLRFSIRRRGVCTVRSALDSAIIDELGLSDADLRNPTISTSYRRAEIPQPNKTVLEAQAKVCTGPEQTKPLSEEQMVRVLDTILRSARGELKDEPVSEAQLGAFFGAMTIRANAFPEATQWTEGERRAMYTFWRHLVQILPPDVLFIADPEGTIMGPASSIGPLFTGNGTAEMRLVGALREVLAGGHLGYEEVQGVLHDVLPLVCENGKSNVSESLLSAFLIGQRMNRETDRELKAYCLAFDEELGSPIADVRSLTHYGEPYDGNTRFFRSTLFVAAVRACYGESSLLHGVDWMPPKVFREE >DRNTG_09346.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:624248:630114:-1 gene:DRNTG_09346 transcript:DRNTG_09346.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIVKLNPNPNLSIFFPTKRQGILWNSKVSVFYKPWNRLLRFSIRRRGVCTVRSALDSAIIDELGLSDADLRNPTISTSYRRAEIPQPNKTVLEAQAKVCTGPEQTKPLSEEQMVRVLDTILRSARGELKDEPVSEAQLGAFFGAMTIRANAFPEATQWTEGERRAMYTFWRHLVQILPPDVLFIADPEGTIMGPASSIGPLFTGNGTAEMRLVGALREVLAGGHLGYEEVQGVLHDVLPLVCENGKSNVSESLLSAFLIGQRMNRETDRELKAYCLAFDEELGSPIADVRSLTHYGEPYDGNTRFFRSTLFVAAVRACYGESSLLHGVDWMPPKVFREE >DRNTG_09346.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:624248:630114:-1 gene:DRNTG_09346 transcript:DRNTG_09346.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIVKLNPNPNLSIFFPTKRQGILWNSKVSVFYKPWNRLLRFSIRRRGVCTVRSALDSAIIDELGLSDADLRNPTISTSYRRAEIPQPNKTVLEAQAKVCTGPEQTKPLSEEQMVRVLDTILRSARGELKDEPVSEAQLGAFFGAMTIRANAFPEATQWTEGERRAMYTFWRHLVQILPPDVLFIADPEGTIMGPASSIGPLFTGNGTAEMRLVGALREVLAGGHLGYEEVQGVLHDVLPLVCENGKSNVSESLLSAFLIGQRMNRETDRELKAYCLAFDEELGSPIADVRSLTHYGEPYDGNTRFFRSTLFVAAVRACYGESSLLHGVDWMPPKGGITEEQLLKFMGANTLLVPTQAKILLEDEDVGFAYVSQREACPSLYSLVRLREHIKKRPPLATSEKIQQFVRASGKEAIVAGFYHEGYEDSLLMLMRRRKVHSGLVVKGEEGALSMTTKTKSVNASKGLPVNHCSGFRSPSTTLDGISRETFRVVVNPQEYGFTPTDTPRTDRSVLKNIELGLKALGGEKGPAYDRIVLNAGMVDHLLGCSGAEDIQSALERAREAIDSGAALNRLMNYIKISHRVK >DRNTG_01351.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:275683:278693:1 gene:DRNTG_01351 transcript:DRNTG_01351.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein 6 [Source:Projected from Arabidopsis thaliana (AT5G67470) UniProtKB/Swiss-Prot;Acc:Q9FJX6] MVWDQLKSSSFQLNEDMFETLFGSNSTTPAPREMPRRSVLPAFKQEDRVLDPKKAQNIAILLRALNVTREEVTDALLDGNSEGLGAELFETLVKMAPTKEEELKLKDYSGDISKLGSAERFLKAVLDIPFAFKRVDALLYRANFETEVKYLRKSFETLEAACEDLRNSRLFLKLLDAVLKTGNRMNVGTNRGEAKAFKLDTLLKLADVKGADGKTTLLHFVVQEIIRSEGSGSDPTPEGLSNKTKEEEFRKQGLKVVAGLSNELGNVKKAASMDFDVLSSYVKKLEMGLEKIKSVLQLGRSCSGGKRFFDTMRVFLREAEDEIDRVKAEEKKTLCLVKEITEYFHGDAAKEEAHPLRIFMVVRDFLSVLDNVCREVSRMQERTMMGSARSFRISASASLPVLQRYGMRRDGNSDEDSLSP >DRNTG_09164.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7117745:7118612:-1 gene:DRNTG_09164 transcript:DRNTG_09164.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVERLSENTFLASHPRFVGENLERNKALYVRVENLAKKHECSTAQLALSWVLHQGDDVVPIPGTTKIKNLDSNIGALQVKLTEEDKKELSDSVSQEEVAGSISFSESSEKFSWKYANTPLPKLA >DRNTG_08666.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000391.1:6076:31275:1 gene:DRNTG_08666 transcript:DRNTG_08666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHAPVRNFHTGVDNFHTPMWILCFSGFSAGCEQCCYITCYNALLQSSTYHMSLRLNLCKTSCKIDAL >DRNTG_18748.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1264177:1264628:-1 gene:DRNTG_18748 transcript:DRNTG_18748.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEPHHMASSSPISLLTPGPPTAAAAFLFFSAAAAFPPPATLSSPSTARALALPPPSTRRRTLASSPSRDQFVIKNLTRVSAKRQEDISTALLVANQLSTKI >DRNTG_15611.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000774.1:5166:6275:-1 gene:DRNTG_15611 transcript:DRNTG_15611.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNYQMDLQTKQMKASDTPSQAMRLKHHTLCSVAMLNLGKVK >DRNTG_20018.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3356446:3357098:-1 gene:DRNTG_20018 transcript:DRNTG_20018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTYVQNCYDDPQTSGEKLWYNKLCSHFTKAAELGVMSNEKYMFLMKHVDKTIEKLMDNTTCKENFTHTLSEATNVPHQKFLTPLKVRSKGRPPSKRKKPKVEEIIIKNKKKKTQTKGDALAQKFIQDDHCTQESVVNSNSISINLDMHNNSSL >DRNTG_09844.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000415.1:14279:15309:1 gene:DRNTG_09844 transcript:DRNTG_09844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCKHPDVQEKVAQEIRETTNTKDKMPFSKFFLALTEETLNNMQYLHAAHRKH >DRNTG_28580.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23014149:23015509:1 gene:DRNTG_28580 transcript:DRNTG_28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRPPPPPPPPKSFDLVEITVVSAKHLKNVNWRHGDLKPYAVVYLDPERRAATKPDDSGSTRPVWNEILSLPLPPTPLDDPSLILTFDLFHSKPSETPKPLVGTARCPLKDLLSEPAAALDGSPSPIKTLELRRPSGRPQGKIRIKLAIKDRPAPPPEHGYHFGPPSGYYYSTAGAAPPPSPLSLHPPLLTAILFLLSPLSIPMGSTLIRSLGTIPLALDIIRLLLLLLLLLPLRPPRLLLVPITIEQLGMVAHLRLWTIPPRALLLIMNKSLKVADWGWEQAWPLEQRQGHWVLWRLKKVSSMRRGRLQTRWRLISLRGMITATIVLTIDKVFFPHHSIISVS >DRNTG_16002.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5256958:5259279:1 gene:DRNTG_16002 transcript:DRNTG_16002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTKGKMVEVLNRKDLSSSFWQPAEIISGNGRMYQVKYYYSGYEERVPRKFIRPCPPPVMNSKSWMTGDVVDAFVNNAWAHAEVLKLGTQNFGIVRILGTTRVFGTRVSDFRLPQSWEDNKWVMVDKKPTKCTKVVTQRGTKPANSSQGLSFSIATMESRNCSHEPLLSKGSCDFDDAPGRISKRPRSCLPPHEDCTGSSRKVQVAKERECHQPVFVPSSQLPEKSGSSRKMRIVTEGKRHLLKTLPSAQSLDKVDTVASSGKVLGEKFVRPSLNNNVTAGFLEDNLDWLRPNEDKYFILGSLDMSDSESVGSCSINNIPRQSAKRQKTKASGNVNIQDRDVEAPFRSASEHCVSHKNKLAAKDHRQELDAYRCTMSALHASGPLSWENETLLTNLRLELHISTDEHLSEVRHLVSSKTQS >DRNTG_17242.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000886.1:16447:19400:-1 gene:DRNTG_17242 transcript:DRNTG_17242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSQKQQHAPVPFRDGENSSAGFRTGVWKLPTPVRFSQGRPQGRVHAPVCSLDNPPNSVGIHTPMRKLPTGM >DRNTG_01523.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10552767:10586314:1 gene:DRNTG_01523 transcript:DRNTG_01523.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSRFNSLTSSMDEQFGKVNAQLTQHAEQFSEIGSVLRYLQASVKSLEHRVGELTKAYSERPLVICGVRRKGQLSCQNDHGLEMMKTCVLGS >DRNTG_27369.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001390.1:1999:2945:1 gene:DRNTG_27369 transcript:DRNTG_27369.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDAIRGAEKVTIFSPLGMEILRLIGMVCRVQSGVYALITPTPEVAEGDDDIAEASQLVPEPKPTPMETDAPPAAEDPPPVRMFSPSRAYDRFERLESAVGVLRTEVAEFRAIRASQYTEFMARFDMLQQILERHVASSFILRPRTPPAFSAPPSPTPTSPDPPAPFEYPAIAAVEPTSGDIDT >DRNTG_03299.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29046825:29048296:1 gene:DRNTG_03299 transcript:DRNTG_03299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVIIQSSVFYDASKSFDLALDFYSEMQHKNLKPYMETCDRLIYGLCSNGRTDEANTVLETLPCCGLIPTSHMYKYIIDRYCAENNLDKASKLLHEMQQNGCSPNFETQWTLISSLSNNTRKERSKVGEGFLSRLLSDRSPFSNAF >DRNTG_20466.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001119.1:5344:6677:-1 gene:DRNTG_20466 transcript:DRNTG_20466.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSCHYQKVKEVRPPVVGQTITVSAPSAFSDSDVQPEPLCPITQDTRSISNTPTLD >DRNTG_32067.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8426199:8431489:-1 gene:DRNTG_32067 transcript:DRNTG_32067.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEHEHIQTPLLTPREHHEGDLEEIRSFRQLARQTLKENKKLWYLAGPAIFTSLAQYSLGAVTQVFAGHLTTLELDAVSTENMVIAGLAFGVMLGMGSALETLCGQAYGAKQLHMLGVYMQRSWVILIITSLFLLPIYLFATPVLHFFGQDNEISALAGKFSLYMIPQLFAYALNFPIQKFLQAQSKVMVMAFVSALALLFHVFLSWLLLVAFNLGLVGAAVSLNLAWMIVVLGQFVYIAMGYCPGAWNGFSLGAFRDLGAFARLSIASGIMMCLEMWFYTILITLVGQLPNPKIAVAAMSTCINLLGWQLMVFFGFNAAISVRISNELGAGRPRAAKFSIIVVILSSVVIGLMFFITVLVLRDVYGIPFTNNPEVVHAVSDLALVFALSLLLNSVQPVLTGVAVGAGWQWLVAYINIGCYYVFGIPLGFLLAVYFNLGVKGMWSGMLAGVSLQTLILLGITVRTNWNKEALSAESRIRKWGGSVDEPRTIFKDINFLKSKEIGV >DRNTG_19915.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:6011317:6012673:1 gene:DRNTG_19915 transcript:DRNTG_19915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLPSTLTLALSPPPSPPPSSLPYLRPISAPISGFSFPSFPQLEKGLWLGFKEVSGRAFVGINPARCILGQTKGPLEKSRLLHKIIVMTIEAVFYGLLTFTFDFIVDCN >DRNTG_19214.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000990.1:46750:50701:-1 gene:DRNTG_19214 transcript:DRNTG_19214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRVLDLSYTNINELPASIEHLRLLRYLHLSWTNIRKLPESICMLVNLKTLKLHGCSELSEIPKSITYMNSLRHIFFNVYCPALKALPAGLSQLQNLKTLRGRLVGCNSKCSRFLVTILQKVKNADDARKANLEVELLEKLVSWPDKIQSLKFLDDLTISSCKNFTGVSSQGGSVPLFLKSLDVSGSDAMRELPTCPTSLQSLSIDRCRGIESLWP >DRNTG_34709.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:715391:718526:-1 gene:DRNTG_34709 transcript:DRNTG_34709.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGMAGIGSSSGARARRGTPNKRWKAEYDDFLIPVLVEQVNKGLKCDKTFKKPAFVYAANAVNAKFKTDFTAENVENHYKTLEDKIFEIKKAKESSGARME >DRNTG_25058.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6352848:6355222:-1 gene:DRNTG_25058 transcript:DRNTG_25058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNRVLFPFLGLASCVIFIYITYGDFGYQPVEQNMMSFVGRNETKFMLDGKPFFVNGWNSYWLMDHAVEKSTRQKVRDIFYLASKMGLTVCRTWAFNDGTYNALQVSLGNFDEQVFQGLDYVIMEARKHGIRLLLSLVNNLEAYGGKPQYVQWAWEEGLSLNSSEDSFFFDPAIRSYFKDYLKAILTRENHLTGIEYRDDPTIFAWELMNEPRCVTDPSGDTLQKWIEEMSAYVKSIDKNHLVTIGIEGFYGPKSPPEKRNVNPSEYYGNVGTDFISNTNASGIDFASVHVYPDQWLEVSELDNQLRYIFKWVNSHIEDGEKILKKPVLLTEFGLSCNSKDFHNTQRDKLYKTIFDIVYKSAQENGAGAGALVWQLMVKGMDTYVDDYGFIPRKRSSLFKLLKEQSCRMAVLHHGEDWARKTSKGECRNN >DRNTG_21830.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5993630:5995837:1 gene:DRNTG_21830 transcript:DRNTG_21830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRPIPCRPPATLFSSAAPPRFRILPCNPLRMSTGGMGVLCMAKERRVKMVAKQIRRELSDMLLTDKVLQYAILPEASLGADRYLSSVTTISDVEVSGDLQVVKVYVSVFGDERGKEVAIAGLKSKVKYVRSELGRRMKLRLTPEIRFIEDDSLERGSRVDAFFPFKNQFSFASA >DRNTG_21830.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5993630:5996482:1 gene:DRNTG_21830 transcript:DRNTG_21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRPIPCRPPATLFSSAAPPRFRILPCNPLRMSTGGMGVLCMAKERRVKMVAKQIRRELSDMLLTDKVLQYAILPEASLGADRYLSSVTTISDVEVSGDLQVVKVYVSVFGDERGKEVAIAGLKSKVKYVRSELGRRMKLRLTPEIRFIEDDSLERGSRVIAILDRLKRGEKKFREPRQRTVGTIQFIRR >DRNTG_14082.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10296811:10298243:-1 gene:DRNTG_14082 transcript:DRNTG_14082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTLQEHCFPYCCFWSSPPNVVVSEVVKLDFAEMIGRRRSPMLPPSRAKARERLDEDDGDNLYLMRTMAIISTCCCRRRLSKSKCGEAFHEDVGSRLIMVSTEKIFLERPWTPSNQAEDVKVAGNPLIAMGKVGAVLMVGGFVDKPPIEISALSGADMDANRRQEYKFLSKYVKFMVRWEHVIELKGHSSADHSAEVEENTLQVCAYHFHPIRIATKEPLGSLTMNSTSSQKRLLEDVNSQGAQEGDSDSRCNYGE >DRNTG_35132.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1183004:1187670:-1 gene:DRNTG_35132 transcript:DRNTG_35132.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g42310, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42310) UniProtKB/Swiss-Prot;Acc:Q8L844] MRSLSLLPDPVSYSLLLRSLPAHLPPDPSLIHRIFHDFLSTSAEPDAALANDFITAFARAGDPDAALSLLASSQAAGLTPRAATLVALISSLASAARTLEAEALFSELHLHNLAPRTRAYNALLKAYVKAGALQDAELMFEEMTRNGVSPDERTYSLLIDGYTQAGRWESARILMKEMEMNEVKPNSYVFGRILASFRDRGDWQKSFSVLKEMKSNGIRPDRHFYNVMIDMFGKYNCLHHAMDAFNQMRADGIEPDVVTWNTLIDGHCKAGRHDKAMELFEDMQRSGCTPCITTYNIMINSLGEQEKWEELEELLGRLKEQRLMLNVVTYTTLVDVYGKSGRFKEAIQCLEEMRSGGMKPSPTMYHALVNAYAQKGLSDQAINVFRVMRADGIKPSVLVFNSLINAFGEDRRDAEAFAVLDFMRENDVKPDVVTYTTLMKALIRVEKYEKELLEHEEAEEAMHDSVCAELRDHNF >DRNTG_26762.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18623364:18624580:1 gene:DRNTG_26762 transcript:DRNTG_26762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSASGMAVNDDCKLKFLELKAKRNFRFIVFKIDEKIQQVTVDRLGKPDESYDDFTAAMPPNECRYAVFDFDFITDENCQKSKIFFIAWSPDTSKVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSMDIIKSRAI >DRNTG_33754.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32398699:32399206:1 gene:DRNTG_33754 transcript:DRNTG_33754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPQRMKEEEAQGIGGGLLRTSDGEFPMRERP >DRNTG_01826.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16310798:16313306:1 gene:DRNTG_01826 transcript:DRNTG_01826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRHLTDLGCLRLDPLLSRTPSSVYHHLSSFPTTPTRNPNPKKLHISPFSSFSSPSQNTFSSSERAKRGSLAMENVSGSNRSAAASVVDVKSVVGGGVQDVYGDDRATLPVVKLLLTIPEDFFQLRKSEEREFGNGECDGYSLLRDPHHNKGLAFNEKERDAHYLRGLLSPAVVNQDLQDRKIMHSLRHYKVPLQRYMAMMDLQERNLRLFYKKTHLEEHGLTLIRNMWYLWVEGKALELMYPLLGGPYTMNEALKLIEIGLLCV >DRNTG_16910.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4973674:4974699:1 gene:DRNTG_16910 transcript:DRNTG_16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMILANQQYEEVRKEFIPKKKRYPRQSRINKFEQELIRIFLNFSMDITIVWKNDSVSTTRARLFPLLDGKEMVTDDVMDAFICIIQKSLTRVPYPYKKRTSITRPLILFMSKQEDMADTTFAMIGDASRNLHDVDIIILPIIMNGHFHVVVLDNNKQEYMCYSSCQIDEYDNDAFEMLRLFDCIIDMEFSDTVTVSLSNNYSTMKSYGYRKRRFLI >DRNTG_16269.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000805.1:26383:28691:-1 gene:DRNTG_16269 transcript:DRNTG_16269.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTLLHLLLLSSLLLSCLPNAKPQQAEDEFSYIEGSPNGPENWGNLKKEWETCGKGMEQSPIQLRDNRVIFDQTLGKLRRNYRAVEATLRNSGHDVLVEFKGYAGSLSINRVTYQLKRIHFHSPSEHEMNGERFDLEAQLVHESQDQKRAVVSILFRFGRADPFLSDLEDFIKQLSSSQKNEINAGVVDPNQLQFDDCAYFRYMGSFTAPPCTEGISWTVMRKVATVSPRQVLLLKQAVNENAINNARPLQPTNYRSVFYFEQLKSKLGVI >DRNTG_24092.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001287.1:21053:23135:-1 gene:DRNTG_24092 transcript:DRNTG_24092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTDTYLHRGSRCLFNNRHIGGDLVLYTQVRMLEYDCPCAFQIVVLTKVRGVNGGSTDAGIGNGGTGHARGVLGRKTNDDATSCSKIRYNMSKRAMNSVCCVVCVARISAISARASATSVRITPTALSSLSKRSWARYGENMRTRVDPLPYEVSRTPSVLAEVWG >DRNTG_12851.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:22675852:22676856:1 gene:DRNTG_12851 transcript:DRNTG_12851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGAPLGGAHGYGEFPHAHVDAFRGYISYHESIQGRVFAPVRFEPLSKLKFWQLRFLDLSALREIQRGDKVAEEVDELLSVSSWYRLLVIQEPVIRMLTLEVLASFESDRLYNSFSSIDTILFRAFG >DRNTG_07403.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7381387:7382366:-1 gene:DRNTG_07403 transcript:DRNTG_07403.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPCLTLGGEASSQRKEIVDLLARIKARRLVRLQRWTRLCWAGSRLTDPFRIV >DRNTG_08358.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4093601:4098130:-1 gene:DRNTG_08358 transcript:DRNTG_08358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSPRHFGELREIDWDVLREIGLEDEVQRILSVGAWRQPFMITDNTYEQLALEVLATFELSCDDLILVTLASRKIAHNINLCLSIYQRLTGQKANKNKSEIFFPTRFNKRLKHSISNILHFKPGTFPLTYLGILVVPKRLALSHFNSMLDRIRRSVATWNHAKVSAAGKTILINSSILSTPLYYLSVYPMPDTVLEDITKLARFFFWSKGGNRKGMNSVSWTDAMLDKSEGGLSIRNLHNSKISLMAKNVFNLLNKQQTIWVDIVLHNLEIENVEHLMHLCPKTQIIWAHVMHMIELLFIKKKKNYGGGLNSCGNPKPEYLEKRGFVEDRVDNGLLEIFGLKQGWHASFVMIELIPAKHITQAAAIRLEMRGGGSQLLI >DRNTG_21069.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20014299:20018835:1 gene:DRNTG_21069 transcript:DRNTG_21069.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G01180) UniProtKB/TrEMBL;Acc:W8QNS2] MASVGSLHVYHSLLFHGGASSGKRLAFDPSRLQLQSTGDLCGSVCGMRIGRGVGSGVLIGRKERRGLGVGRKDGGFEIEEDDEDGDEKDVADDALRATIRKSKKVLEKQKNLLEKIVASRKMVSSLESSVLSGQPGTDSYDGNGTSYLKVGQTTNGEALDREHESVVSSDNHTNSKITGSATMIDGDLVKEERKVKTRSQAESSIAHAPGLVKKEDGLKTASSKVTTTPVLETSKSTGFKGATKFKDETLKDLVEADVDVVDGEHEITPEQEKEESLPLAGVNVMNVIIVAAECAPWSKTGGLGDVAGALPKALARRGHRVMVVVPRYGEYTEPQATGVHKHYKVDGQDMDVTYFHAYIDGVDFVFIDSPIFRHRQNDIYGGNRQDILKRMILLCKAAIEVPWHVPCGGVCYGDGNLVFIANDWHTALLPVYLKANYRDHGLMKYTRSVLVIHNIAHQGRGPVEDFSFTSLPEHYIDLFKLYDPVGGEHFNIFAAGIKTADQVVTVSRGYSWELKTSEGGWGLNEIINENDWKFRGIVNGIDTKDWNPEVDIHLKSDGYTNYSLETFKTGKAKCKAALQQELGLAVREVPIIAFIGRLDHQKGVDLIAEAMHWIVGNDVQVILLGTGRPDLEEMLKKFEREHHEKVRGWVGFSVKMAHRITAGADILMMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVRQFDPYSESGYGWAFDRAESNQLINALGNCLKTYWNYKDSWEGLQRRGMTQDLSWDNAAVQYEEVLVAAKYQW >DRNTG_21069.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20014299:20018835:1 gene:DRNTG_21069 transcript:DRNTG_21069.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G01180) UniProtKB/TrEMBL;Acc:W8QNS2] MASVGSLHVYHSLLFHGGASSGKRLAFDPSRLQLQSTGDLCGSVCGMRIGRGVGSGVLIGRKERRGLGVGRKDGGFEIEEDDEDGDEKDVADDALRATIRKSKKVLEKQKNLLEKIVASRKMVSSLESSVLSGQPGTDSYDGNGTSYLKVGQTTNGEALDREHESVVSSDNHTNSKITGSATMIDGDLVKEERKVKTRSQAESSIAHAPGLVKKEDGLKTASSKVTTTPVLETSKSTGFKGATKFKDETLKDLVEADVDVVDGEHEITPEQEKEESLPLAGVNVMNVIIVAAECAPWSKTGGLGDVAGALPKALARRGHRVMVVVPRYGEYTEPQATGVHKHYKVDGQDMDVTYFHAYIDGVDFVFIDSPIFRHRQNDIYGGNRQVPWHVPCGGVCYGDGNLVFIANDWHTALLPVYLKANYRDHGLMKYTRSVLVIHNIAHQGRGPVEDFSFTSLPEHYIDLFKLYDPVGGEHFNIFAAGIKTADQVVTVSRGYSWELKTSEGGWGLNEIINENDWKFRGIVNGIDTKDWNPEVDIHLKSDGYTNYSLETFKTGKAKCKAALQQELGLAVREVPIIAFIGRLDHQKGVDLIAEAMHWIVGNDVQVILLGTGRPDLEEMLKKFEREHHEKVRGWVGFSVKMAHRITAGADILMMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVRQFDPYSESGYGWAFDRAESNQLINALGNCLKTYWNYKDSWEGLQRRGMTQDLSWDNAAVQYEEVLVAAKYQW >DRNTG_05677.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:106088:109283:-1 gene:DRNTG_05677 transcript:DRNTG_05677.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TCHQD [Source:Projected from Arabidopsis thaliana (AT1G77290) UniProtKB/Swiss-Prot;Acc:O80662] MQLYHHPYSMDSQKVRLALEERGIDYTSYHVNPLTGKNMEVSFFRMNPSAKIPVFKNGSHIIYRTIDIIQYIDRLAVSLSGEVNVSGVEKVIEWMQKVDGWNPKMFTLSHIPDKYRNFVSRFIRRVIIARMAESPELASNYHEKLRDAYETEDKMRDLDTVQESEEKLIRLLDDAEMQLEETRYIAGDEFTLADTMFVPVLVRITLLNLEEEYINCRPKVMEYYQLVKHRNSYKVVIGKYFSGWRKHRTILKTLCFICIRSLFRAY >DRNTG_05677.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:106088:109283:-1 gene:DRNTG_05677 transcript:DRNTG_05677.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TCHQD [Source:Projected from Arabidopsis thaliana (AT1G77290) UniProtKB/Swiss-Prot;Acc:O80662] MQKVDGWNPKMFTLSHIPDKYRNFVSRFIRRVIIARMAESPELASNYHEKLRDAYETEDKMRDLDTVQESEEKLIRLLDDAEMQLEETRYIAGDEFTLADTMFVPVLVRITLLNLEEEYINCRPKVMEYYQLVKHRNSYKVVIGKYFSGWRKHRTILKTLCFICIRSLFRAY >DRNTG_05677.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:106088:109283:-1 gene:DRNTG_05677 transcript:DRNTG_05677.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TCHQD [Source:Projected from Arabidopsis thaliana (AT1G77290) UniProtKB/Swiss-Prot;Acc:O80662] MQLYHHPYSMDSQKVRLALEERGIDYTSYHVNPLTGKNMEVSFFRMNPSAKIPVFKNGSHIIYRTIDIIQYIDRLAVSLSGEVNVSGVEKVIEWMQKVDGWNPKMFTLSHIPDKYRNFVSRFIRRVIIARMAESPELASNYHEKLRDAYETEDKMRDLDTVQESEEKLIRLLDDAEMQLEETRYIAGDEFTLADTMFVPVLVRITLLNLEEEYINCRPKVMEYYQLVKHRNSYKVVIGKYFSGWRKHRTILKTLCFICIRSLFRAY >DRNTG_05677.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:106064:109342:-1 gene:DRNTG_05677 transcript:DRNTG_05677.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TCHQD [Source:Projected from Arabidopsis thaliana (AT1G77290) UniProtKB/Swiss-Prot;Acc:O80662] MQLYHHPYSMDSQKVRLALEERGIDYTSYHVNPLTGKNMEVSFFRMNPSAKIPVFKNGSHIIYRTIDIIQYIDRLAVSLSGEVNVSGVEKVIEWMQKVDGWNPKMFTLSHIPDKYRNFVSRFIRRVIIARMAESPELASNYHEKLRDAYETEDKMRDLDTVQESEEKLIRLLDDAEMQLEETRYIAGDEFTLADTMFVPVLVRITLLNLEEEYINCRPKVMEYYQLVKHRNSYKVVIGKYFSGWRKHRTILKTLCFICIRSLFRAY >DRNTG_05677.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:106064:109342:-1 gene:DRNTG_05677 transcript:DRNTG_05677.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TCHQD [Source:Projected from Arabidopsis thaliana (AT1G77290) UniProtKB/Swiss-Prot;Acc:O80662] MQKVDGWNPKMFTLSHIPDKYRNFVSRFIRRVIIARMAESPELASNYHEKLRDAYETEDKMRDLDTVQESEEKLIRLLDDAEMQLEETRYIAGDEFTLADTMFVPVLVRITLLNLEEEYINCRPKVMEYYQLVKHRNSYKVVIGKYFSGWRKHRTILKTLCFICIRSLFRAY >DRNTG_05677.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:106037:109283:-1 gene:DRNTG_05677 transcript:DRNTG_05677.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TCHQD [Source:Projected from Arabidopsis thaliana (AT1G77290) UniProtKB/Swiss-Prot;Acc:O80662] MQLYHHPYSMDSQKVRLALEERGIDYTSYHVNPLTGKNMEVSFFRMNPSAKIPVFKNGSHIIYRTIDIIQYIDRLAVSLSGEVNVSGVEKVIEWMQKVDGWNPKMFTLSHIPDKYRNFVSRFIRRVIIARMAESPELASNYHEKLRDAYETEDKMRDLDTVQESEEKLIRLLDDAEMQLEETRYIAGDEFTLADTMFVPVLVRITLLNLEEEYINCRPKVMEYYQLVKHRNSYKVVIGKYFSGWRKHRTILKTLCFICIRSLFRAY >DRNTG_05677.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:106088:109283:-1 gene:DRNTG_05677 transcript:DRNTG_05677.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TCHQD [Source:Projected from Arabidopsis thaliana (AT1G77290) UniProtKB/Swiss-Prot;Acc:O80662] MQLYHHPYSMDSQKVRLALEERGIDYTSYHVNPLTGKNMEVSFFRMNPSAKIPVFKNGSHIIYRTIDIIQYIDRLAVSLSGEVNVSGVEKVIEWMQKVDGWNPKMFTLSHIPDKYRNFVSRFIRRVIIARMAESPELASNYHEKLRDAYETEDKMRDLDTVQESEEKLIRLLDDAEMQLEETRYIAGDEFTLADTMFVPVLVRITLLNLEEEYINCRPKVMEYYQLVKHRNSYKVVIGKYFSGWRKHRTILKTLCFICIRSLFRAY >DRNTG_05677.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:106064:109283:-1 gene:DRNTG_05677 transcript:DRNTG_05677.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TCHQD [Source:Projected from Arabidopsis thaliana (AT1G77290) UniProtKB/Swiss-Prot;Acc:O80662] MQKVDGWNPKMFTLSHIPDKYRNFVSRFIRRVIIARMAESPELASNYHEKLRDAYETEDKMRDLDTVQESEEKLIRLLDDAEMQLEETRYIAGDEFTLADTMFVPVLVRITLLNLEEEYINCRPKVMEYYQLVKHRNSYKVVIGKYFSGWRKHRTILKTLCFICIRSLFRAY >DRNTG_05677.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:106088:109283:-1 gene:DRNTG_05677 transcript:DRNTG_05677.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TCHQD [Source:Projected from Arabidopsis thaliana (AT1G77290) UniProtKB/Swiss-Prot;Acc:O80662] MQLYHHPYSMDSQKVRLALEERGIDYTSYHVNPLTGKNMEVSFFRMNPSAKIPVFKNGSHIIYRTIDIIQYIDRLAVSLSGEVNVSGVEKVIEWMQKVDGWNPKMFTLSHIPDKYRNFVSRFIRRVIIARMAESPELASNYHEKLRDAYETEDKMRDLDTVQESEEKLIRLLDDAEMQLEETRYIAGDEFTLADTMFVPVLVRITLLNLEEEYINCRPKVMEYYQLVKHRNSYKVVIGKYFSGWRKHRTILKTLCFICIRSLFRAY >DRNTG_05677.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:106088:109283:-1 gene:DRNTG_05677 transcript:DRNTG_05677.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TCHQD [Source:Projected from Arabidopsis thaliana (AT1G77290) UniProtKB/Swiss-Prot;Acc:O80662] MQLYHHPYSMDSQKVRLALEERGIDYTSYHVNPLTGKNMEVSFFRMNPSAKIPVFKNGSHIIYRTIDIIQYIDRLAVSLSGEVNVSGVEKVIEWMQKVDGWNPKMFTLSHIPDKYRNFVSRFIRRVIIARMAESPELASNYHEKLRDAYETEDKMRDLDTVQESEEKLIRLLDDAEMQLEETRYIAGDEFTLADTMFVPVLVRITLLNLEEEYINCRPKVMEYYQLVKHRNSYKVVIGKYFSGWRKHRTILKTLCFICIRSLFRAY >DRNTG_05677.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:106088:109342:-1 gene:DRNTG_05677 transcript:DRNTG_05677.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TCHQD [Source:Projected from Arabidopsis thaliana (AT1G77290) UniProtKB/Swiss-Prot;Acc:O80662] MQLYHHPYSMDSQKVRLALEERGIDYTSYHVNPLTGKNMEVSFFRMNPSAKIPVFKNGSHIIYRTIDIIQYIDRLAVSLSGEVNVSGVEKVIEWMQKVDGWNPKMFTLSHIPDKYRNFVSRFIRRVIIARMAESPELASNYHEKLRDAYETEDKMRDLDTVQESEEKLIRLLDDAEMQLEETRYIAGDEFTLADTMFVPVLVRITLLNLEEEYINCRPKVMEYYQLVKHRNSYKVVIGKYFSGWRKHRTILKTLCFICIRSLFRAY >DRNTG_05677.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:106064:109283:-1 gene:DRNTG_05677 transcript:DRNTG_05677.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TCHQD [Source:Projected from Arabidopsis thaliana (AT1G77290) UniProtKB/Swiss-Prot;Acc:O80662] MQLYHHPYSMDSQKVRLALEERGIDYTSYHVNPLTGKNMEVSFFRMNPSAKIPVFKNGSHIIYRTIDIIQYIDRLAVSLSGEVNVSGVEKVIEWMQKVDGWNPKMFTLSHIPDKYRNFVSRFIRRVIIARMAESPELASNYHEKLRDAYETEDKMRDLDTVQESEEKLIRLLDDAEMQLEETRYIAGDEFTLADTMFVPVLVRITLLNLEEEYINCRPKVMEYYQLVKHRNSYKVVIGKYFSGWRKHRTILKTLCFICIRSLFRAY >DRNTG_15216.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23293338:23297409:1 gene:DRNTG_15216 transcript:DRNTG_15216.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBR-type E3 ubiquitin transferase [Source:Projected from Arabidopsis thaliana (AT1G32340) UniProtKB/TrEMBL;Acc:Q9LQM5] MSFSIGERQRSENEVIGDGSDSGLIEGAGSQTNEASDSSKDAINEALSRLERLKIREEDFCLSEEQIRTNDQLQEDEVLALEAIYGEDVFLMDRQDGLRLLKILVRNELPDDISVATKLPNDKQKAYAQSPNSDDPDEFTYAFKVKHLPPIVVTCLLPQSYPSHHQPYFTISARWLDSHKIATLCSMLDSIWMEQPGQEIIYQWIEWLHGSALSYLGFVNEITLSPSEELDNGDLRAISESVSPEIVIPALISYNDEKCHDAFLKNLQQCDICLSQYAGRGFVKLPCKHFFCWKCMETYSNMHVKEGTVMKLLCPDVKCGGLVPPSLLKCLLDEESFERWESLLLERTLDSMSDVVYCPRCETACLEDEDNHAQCSKCYYSFCSLCRERRHLGVQCVTSEAKLLILQERQNSSQLKKNQKHKEFEIINEILSVKEALHDAKQCPTCKIAISKTEGCNKMVCQNCGQYFCYLCSKAIDGYEHFRQGCKLFSDEEVQNWEMQMNPRQVVGQIQAELYFKRIYTCPNCRQANAKTGNNNHIFCWACQIHYCALCRKIVRRSSQHFGPKGCKQHTIDPPVNPLYHRLN >DRNTG_18714.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14732767:14733524:1 gene:DRNTG_18714 transcript:DRNTG_18714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEARVWAEMLQCHHPHPQLSLGLVELMQKPLSEPCGPCPSTALSLDPGERVHSSSRPHESNPRDPCPASNSWQLKTLVLSPRTFTDLL >DRNTG_22854.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4654969:4661684:-1 gene:DRNTG_22854 transcript:DRNTG_22854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAVAAGGSVAAPAMAMAAAVAVPEGYAREAILAWYRGEFAAANAIIDALCTHLVEIADGLPEYEAVFAAIHRRRMNWVPVLHMQKYYSIADVTAELLHATETKRAAMEVNEERSRALIVEEAPLKEVEDDRTGSTAEISHHKAGAEATAAATVTIAVAEDLFRDSNVVGDGGASDEGSQGAKTSQESNQISTDHEVSMIRPERIKISKGFMSKEMVKGHMVNVVKGLKLYEDIFTESELLKLSEFINELRLAGHKGELSGETFIFFNKQVKGNKREIIQLGIPLFQPIKQDASSTIESIPEVLQTVIDHLVQWRLIPEGRKPNSCIINFFDEDEFSQPYFKPPHLDNPISTLLLSDTTMAFGRVLACDHNGNYKGSFTLPLKEGSLLVMRGNSADMARHVICPSSNRRVTITFVKVRSPNNQNDTPVMPTTPTKAMTLWQPGVVQQEEIPNGGAAIGYGSQGLISAWGMTLRAPVIMFAPPKPVIMNPSRKVSRHGTGVFLPWTVGPKKYIRHLPPRIQKRRLPALPSSMESQVNKIPQIPTVMV >DRNTG_08469.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24986225:24991754:1 gene:DRNTG_08469 transcript:DRNTG_08469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMLSNGEGCEGGVAAREDGVQREENGEKRAPTTNVVLCLELGSN >DRNTG_13747.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5580084:5583737:1 gene:DRNTG_13747 transcript:DRNTG_13747.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ domain-containing protein IQM5 [Source:Projected from Arabidopsis thaliana (AT5G57010) UniProtKB/Swiss-Prot;Acc:Q058N0] MNSLIDVQFGRLNILCKLIIGSWCSMGLSLSILAWAWVKVMKEKFFGLRQVEAVLAKSISFGEKEVGMTLRSLSFRRSDSGKAMSSDCPRQPVLEKSLSFKNWEIEPSVSCQQDFNDSNAVETLSFKTERNDAVTALKSPNRVPEFSSPRPSSRLAAAGVKLQISSDTKVQPLTLKSGRNDVAAEMKSPTKLLEFSSPRPLSELEAAAVKVQKVYKSYRTRRNLADCAVVVEELWWKALDFASLKRSSISFFHIDKHETAVSKWSRARTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHLYYDIWFESESTEPFFYWLDIGDGREISLEKCPRSKLQQQCIKYLGPNEREAYEVIVESGKLVYKQSGNIVNTVEGSKWIFVLSTTRALYVGQKKKGTFQHSSFLAGGATTAAGRLVAKDGELKAIWPYSGHYLPTEENFKEFISFLQDHEVDLTNVKRCSVDDDEFPSFKKQDELAKVANNESEDSTATLEEPAKESTEKAKPVFELGRRLSCKWTTGAGPRIGCVRDYPAELQSRALEQVNLSPRVIPSPIGNKVPIPSPRPSPKVRLSPRLAYMGIPTPTVSLTLPQHRKR >DRNTG_13747.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5580309:5583737:1 gene:DRNTG_13747 transcript:DRNTG_13747.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ domain-containing protein IQM5 [Source:Projected from Arabidopsis thaliana (AT5G57010) UniProtKB/Swiss-Prot;Acc:Q058N0] MGLSLSILAWAWVKVMKEKFFGLRQVEAVLAKSISFGEKEVGMTLRSLSFRRSDSGKAMSSDCPRQPVLEKSLSFKNWEIEPSVSCQQDFNDSNAVETLSFKTERNDAVTALKSPNRVPEFSSPRPSSRLAAAGVKLQISSDTKVQPLTLKSGRNDVAAEMKSPTKLLEFSSPRPLSELEAAAVKVQKVYKSYRTRRNLADCAVVVEELWWKALDFASLKRSSISFFHIDKHETAVSKWSRARTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHLYYDIWFESESTEPFFYWLDIGDGREISLEKCPRSKLQQQCIKYLGPNEREAYEVIVESGKLVYKQSGNIVNTVEGSKWIFVLSTTRALYVGQKKKGTFQHSSFLAGGATTAAGRLVAKDGELKAIWPYSGHYLPTEENFKEFISFLQDHEVDLTNVKRCSVDDDEFPSFKKQDELAKVANNESEDSTATLEEPAKESTEKAKPVFELGRRLSCKWTTGAGPRIGCVRDYPAELQSRALEQVNLSPRVIPSPIGNKVPIPSPRPSPKVRLSPRLAYMGIPTPTVSLTLPQHRKR >DRNTG_23385.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1103868:1106150:1 gene:DRNTG_23385 transcript:DRNTG_23385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSIGFTRFIISSHPDSAKEILNNSSFADRPIKESAYELLFHRAMGFAPFGDYWRTLRRLSSTHLFSPKKISSLAGQRMEIGTQMVAQIDSLMRKHGKVELKQVLHFAALNSIMMSVFGKKYEFGKGNDGLELEELVKEGYELLGVFNWSDHLPLIGWFDFQGVRRRCKNLIKKVDVFVLQIIKEHRERRSNGSFDEINADFVDVLLHFQSEEKLSDSDIIAVLWEMIFRGTDTVAILLEWIMARMVLHPEIQSKAQLEIENIVGSSNSVSETDIPKLHYLQSIVKECLRLHPPGPLLSWARLAIHDTFIGDNFVPAGTTAMVNMWAITHDESIWHDAEKFKPERFMDGEDVSVMGSDLRIAPFGSGRRVCPGKTMALANVHLWLAQLLQRFKWEACEGVDLSECLKMSLEMKNSLVCKVVQRS >DRNTG_07738.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2602373:2603624:-1 gene:DRNTG_07738 transcript:DRNTG_07738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQRIKRREMLQKLMDLCHSSQVQVDTLLIESDSIAKAVLDLIPVLNIKRLIVGASKSNLRKLKGSSKAEQIQKSAPQYCEVTIICDGKQVNDIDHKEKSSSNSKNVSEKHEKDGRKQVIKSSCYCFSKKFL >DRNTG_35448.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002248.1:50395:51200:1 gene:DRNTG_35448 transcript:DRNTG_35448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSSSSTGSPPPCPQPPSFLFEREEGRTGLRFL >DRNTG_13227.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2768903:2777259:-1 gene:DRNTG_13227 transcript:DRNTG_13227.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 39 [Source:Projected from Arabidopsis thaliana (AT1G22860) TAIR;Acc:AT1G22860] MEGSSRRSWDRTVLEPFAEIDLAEIAGLSSATLLSIRSVSLFSDSHQTLVLIGTGGGKLLLLSLDSSSKSIELLRSVSISNLPIESIEILTEIDRILVLSDGFLFLVDRLLLQAARKLGFIKDVTAVVKRLGFGDFLNLDFSGDGLLKADVSSAGQRLLQKLGSGIRANGLKQLRASESHRDKESNCLIAVAALKRLVLVELTVSATIDVDIDYGGILVRLKEMQGVEGVKTMAWIGDSVIVGSLDGYMLFSASSGKCTPIFSLPESSGPPKLLPLLRSKEVLLLVDNVGVIVNDVGQPVGGSLVFQYVPDSIVEMSSHVIVARDSKMELYRRKTGTSIQSLNFTKSGSGPCVVASDEQRSGETVVVATSHKVGYFFT >DRNTG_13227.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2768903:2777259:-1 gene:DRNTG_13227 transcript:DRNTG_13227.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 39 [Source:Projected from Arabidopsis thaliana (AT1G22860) TAIR;Acc:AT1G22860] MEGSSRRSWDRTVLEPFAEIDLAEIAGLSSATLLSIRSVSLFSDSHQTLVLIGTGGGKLLLLSLDSSSKSIELLRSVSISNLPIESIEILTEIDRILVLSDGFLFLVDRLLLQAARKLGFIKDVTAVVKRLGFGDFLNLDFSGDGLLKADVSSAGQRLLQKLGSGIRANGLKQLRASESHRDKESNCLIAVAALKRLVLVELTVSATIDVDIDYGGILVRLKEMQGVEGVKTMAWIGDSVIVGSLDGYMLFSASSGKCTPIFSLPESSGPPKLLPLLRSKEVLLLVDNVGVIVNDVGQPVGGSLVFQYVPDSIVEMSSHVIVARDSKMELYRRKTGTSIQSLNFTKSGSGPCVVASDEQRSGETVVVATSHKAIFLHKVSTEEQIKDLLRKNNFKEAISLLEEVESEGEITEEMLSFIHAQLGFLLLFDLHFEEAVIHFLLSKTMQPSEVFPFIMRDPNRWSHLVPRNRYWGLHPPPVPLEDVVDDGLMAIQRGMFLRKAGVDTSADEDFLSNLPSKAELLESGIKNIIRYLCVSRNKDLIPSVREGVDTLLMYLYRALNHVDDMEQLASSENSCVVSRRNWKVC >DRNTG_13227.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2776055:2777259:-1 gene:DRNTG_13227 transcript:DRNTG_13227.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 39 [Source:Projected from Arabidopsis thaliana (AT1G22860) TAIR;Acc:AT1G22860] MEGSSRRSWDRTVLEPFAEIDLAEIAGLSSATLLSIRSVSLFSDSHQTLVLIGTGGGKLLLLSLDSSSKSIELLRSVSISNLPIESIEILTEIDRILVLSDGFLFLVDRLLLQAARKLGFIKDVTAVVKRLGFGDFLNLDFSGDGLLKADVSSAGQRLLQKLGSGIRANGLKQLRASESHRDKESNCLIAVAALKRLVLVELTVSATIDVDIDYGGILVRLKEMQGVEGVKTMAWIGDSVIVGSLDGYMLFSASSGKCTPIFSLPESSGPPKLLPLLRSKEVLLLVDNVGVIVNDVGQPVGGSLVFQYVPDSIVEMSSHVIVARDSKMELYRRKTGTSIQSLNFTKSGSGPCVVASDEQRSGETVVVATSHKV >DRNTG_13227.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2768903:2777259:-1 gene:DRNTG_13227 transcript:DRNTG_13227.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 39 [Source:Projected from Arabidopsis thaliana (AT1G22860) TAIR;Acc:AT1G22860] MEGSSRRSWDRTVLEPFAEIDLAEIAGLSSATLLSIRSVSLFSDSHQTLVLIGTGGGKLLLLSLDSSSKSIELLRSVSISNLPIESIEILTEIDRILVLSDGFLFLVDRLLLQAARKLGFIKDVTAVVKRLGFGDFLNLDFSGDGLLKADVSSAGQRLLQKLGSGIRANGLKQLRASESHRDKESNCLIAVAALKRLVLVELTVSATIDVDIDYGGILVRLKEMQGVEGVKTMAWIGDSVIVGSLDGYMLFSASSGKCTPIFSLPESSGPPKLLPLLRSKEVLLLVDNVGVIVNDVGQPVGGSLVFQYVPDSIVEMSSHVIVARDSKMELYRRKTGTSIQSLNFTKSGSGPCVVASDEQRSGETVVVATSHKAIFLHKVSTEEQIKDLLRKNNFKEAISLLEEVESEGEITEEMLSFIHAQLGFLLLFDLHFEEAVIHFLLSKTMQPSEVFPFIMRDPNRWSHLVPRNRYWGLHPPPVPLEDVVDDGLMAIQRGMFLRKAGVDTSADEDFLSNLPSKAELLESGIKNIIRYLCVSRNKDLIPSVREGVDTLLMYLYRALNHVDDMEQLASSENSCVVEELESLLGDSGHLRTLAFLYASKGISSKALSIWHILARNYSTGLWKDTSISVEHDLPDVSIDSISGQKAAAIEASKLLEESADEDLVLEHLGWIAEIDQDLAVLILTSDKRVNQLPPEEVLKAIDPKKVEIQQRFLQWLIEDQDSEDIRFHTLYALSLAKSAIEAIESTSGNVDSKADHEKKVSDVDSGPGNSIREKLQVFLQVSDLYDPEEVLDLIGRSELWLEKAILCKKMGQETLVLEILALKLEDCEAAEQYCAEIGRDDAYMRLLDLYLDPEDGKAPMLDAAVRLLHNHGEFLDPLQVLEKLSPEMPLQLASNTILRMLRARVHHSHQGQIVHNISKAMNLDAQLARIEERSRYVQISDESICDSCHARLGTKLFAMYPDDSIVCYKCYRRLGESVSARGRDFQQDPVFKQGWLVTR >DRNTG_00598.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29828909:29829366:-1 gene:DRNTG_00598 transcript:DRNTG_00598.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKHHPCRNNQNQHGYQRKVKHHRSADPYICPSSP >DRNTG_07198.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3664276:3667864:1 gene:DRNTG_07198 transcript:DRNTG_07198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSQGHGFGLSDDVLAVLPSDPFEQLDIARKITSIALATRVSKLEAESSRLRQWLAERDDLIAELRAQVETLDASASQISQQFEIAQQEKESLINENASLSNTVKKLSRDVSKLEMFRKTLMQSLQEEDSTVGVPRVISPQTPDTSSYSTGLLHGEEETAMSALKSPSAKSHISESGSSFSENNVSKDSILRSVSLASHSSTPSRTPPHSPPRLSSTRSPARLSNPVSPRRHSISFSSSRNTFDERSSIYSSLPSSTHSSMTSPFDTEPQTGRTRVDGKEFFRQVRSRLSYEQFSAFLANVKELNSHKQTREETLQKADEIFGSDNKDLYAIFESLISRNLH >DRNTG_07198.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3664276:3667864:1 gene:DRNTG_07198 transcript:DRNTG_07198.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSQGHGFGLSDDVLAVLPSDPFEQLDIARKITSIALATRVSKLEAESSRLRQWLAERDDLIAELRAQVETLDASASQISQQFEIAQQEKESLINENASLSNTVKKLSRDVSKLEMFRKTLMQSLQEEDSTVGVPRVISPQTPDTSSYSTGLLHGVSKDSILRSVSLASHSSTPSRTPPHSPPRLSSTRSPARLSNPVSPRRHSISFSSSRNTFDERSSIYSSLPSSTHSSMTSPFDTEPQTGRTRVDGKEFFRQVRSRLSYEQFSAFLANVKELNSHKQTREETLQKADEIFGSDNKDLYAIFESLISRNLH >DRNTG_26980.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21312585:21313661:-1 gene:DRNTG_26980 transcript:DRNTG_26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYESDKVEEQMIVEKRPPPDEELQELITSILEHTLRTIQAGEEHWDKKKVNEKRRDLQHKRVPVYVDSMKSGFGSVFGGVLQKVLKDARFHPIQSRDSAPISLSFPISFLPPFPIFGGTRG >DRNTG_18240.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:21572012:21572389:-1 gene:DRNTG_18240 transcript:DRNTG_18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRITPTEAPLPTFFLMRCDKTEDAKIGADSALNRARIGISHAHVDGPHGPVEITHERVEFPHARVASLFPASSADCQQILLQPRHEVLLNP >DRNTG_19725.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:21077496:21081066:-1 gene:DRNTG_19725 transcript:DRNTG_19725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVKAPIGENLEKVQTRRHKSGYRTPLPSDALIHFLLSQTLTGQGDRTGIISLRDFDFLFSMVDGFHMHLGYEIAVSISHQGASPCIGPYITWLVRHLGDLQGTHRMRVVGGVPLMSLEALHLMRMAHRVQTARWTEYRVTSPDDPPFTDADTPTLPS >DRNTG_25960.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2062312:2065326:1 gene:DRNTG_25960 transcript:DRNTG_25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAATTPVKTPRHSRSQSSETFKNSENSDPNLPAKSPVTKSEKKGKNAAVKTPRRNPPSPPKERKFIVAKKKNRYSKKCREAAYEALRASQDDFFKKDPVRHELDDELKEIRAGEEFIKKEPERSELDQLDGSSESKDLEGSSKVRKMRSLMMEQAMVCIPDSGSGRVKHLVKAFENMLSISKDREGEKDEESQKKVMNWPLPGLQPLMKNTGNEEPSVSVFSSAEFLPTRDFARDSRLCSSLDSNDERLSLGSRTSGGSRRSRRNSTDSTGRNWSKKLKVTSQHPFKLRTEQRGRLKEEHFLKKVKEMIMEEEKKRIPIAQGLPWTTDEPECLVKPPVKDLTEPIDVVLHSDVRAVERAEFDHYVAERLNFAEQLKSEREKQQKLSEEEEVKRLRKELVPRAQPMPFFDRPFVPKKSSRPRTIPKEPRFHVRPALKTCVSMMGR >DRNTG_14936.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27108930:27114230:1 gene:DRNTG_14936 transcript:DRNTG_14936.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAP2 subunit of HAP complex, Nuclear Factor Y (NF-YA) transcription factor, Drought stress toleranc [Source: Projected from Oryza sativa (Os08g0196700)] MTSSVHDLADKSGSDEQQKQSQPEIQHQSLANVTAQPGMPVQSAPYVIPPNPMAMGHIMSQTYPMMDPYYGGMVAAYGGQTAIHSSLAGVPHPGVPLPADAVEEPVYVNAKQYHGILRRRQSRAKAESENKLIKSRKPYLHESRHQHALKRARGCGGRFLNTKADGSQQNSEPVQHTGNSGSADQPNGTSSGDKTQNSEQ >DRNTG_17470.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6923638:6926086:-1 gene:DRNTG_17470 transcript:DRNTG_17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQRNVHVDKVKLGRQGLEVSRLGNGCLGLTVHYNSSVSEEHAVSIITQAFLKGITFFDTADVYGSHANEILLGKALKQLPREKIQLAKFGIENFDGSSVVINGMKEYVRACCEASLKRLDVSYIDLYYQHGVDKTVPIEDTVGEMKKLVEEGKVKYIGLSEASPDIIRRAHAVHPISVVQIKWPLCSRDIEQEIVPLCRELGIGIVTCCPDNGEIFAWEAVVEHLLSKSILFSGDNLEKNKILYACLTKLSEKHHCTPDQLALAWLLDRGSDVIPIPGNLKYYPLSYNINKLCCCISFT >DRNTG_12063.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12150127:12150900:1 gene:DRNTG_12063 transcript:DRNTG_12063.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRAQMERQFLNLKQGSLSVGDYESEFDRLSQFASTLVSDESTRSRRFIDGLRAHIRRAIAPFLDKTYIEIVDIAKNLEIIWKETQDQARHEHPRHHHNPRKSQSSGSSSGNTRGEYRSQPYSRPPGSSSGSGGRGSSGSVAQDVQCPTCGGRHTQAECRRAAGACYRCGSRDHFIAQCPQSPPWPQGGDRTRSAPVEQPRSSDGSRHTGAPGRSQQSTSRGRKGKAPMTDQPSSFSRPAGRGTPVTQGRVFALTR >DRNTG_12063.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12150275:12150900:1 gene:DRNTG_12063 transcript:DRNTG_12063.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRGTTITLGRVSLPGVAQGILEESTGHSRTADPQAHHQVLVAEDLPAAWLRTFSVLHVEDVIPRQSVGAQQAHVIGVAVGTTLLLSVRRVRLGPREVTGLVVHLSSSPDRPTGHDTRALQADPSRVPRGVGRGRRL >DRNTG_18321.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1769966:1771236:1 gene:DRNTG_18321 transcript:DRNTG_18321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMCFLIFFGATLAAGFSDTQPKPVPGLSYTFYKSTCPELEWIIRTYLKKEFKKDIGLAAGLLRLHFHDCFVQGCDASVLLDGSASGPSEQDAPPNLTLRPAAFKAINDLRALIDKMCGVVVSCADVVAVAARDSVALFLRNREPRWRTILLQSGVVWSSLLPLGRSKVEHRFRMLGPICILAS >DRNTG_07497.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3836835:3842044:1 gene:DRNTG_07497 transcript:DRNTG_07497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNHNTPNLECRMYEAKYPEVDMAVMIQVKNIADMGAYVSLLEYNNIEGMILFSELSRRRIRSVSSLIKVGRQEPVMVLRVDREKGYIDLSKRRVSEEDIQACEERYNKSKLVHSIMRHVAETMGLDLEDLYIHVGWPLYRQYIHAFEAFKLIVTDPDSVLDKLTREVKEVGPDGQEVTKVVPAMTPEVKDALVKNIRRRMTPQPLKIRADIEMKCFQFDGVLHIKKAEATGNEDCPVKIKLVAPPLYVLTTQTLDKEQGISVLSNAIKACTEAIESHKGKLLVKEAPRAVSERDDKLLAEHMAKLRSANEEVDGDEGSEEEEDTGMGEIDVENSGNLAD >DRNTG_30836.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:556189:560030:-1 gene:DRNTG_30836 transcript:DRNTG_30836.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPKLNPKNAHQSTSQTLDDDRGPVDPGSPTRGVKDDFSELTKTISRQFWGVASFLAPPPSTSNPRPDPAEHVESEPLEAVESPRIAGIRSDFAEIGGRFRSGISKLSSNKTVTEISKIASTFLPFGAEEEEDEEGEDDGGGAIGVTDEVLVFARNISMHPETWLDFPLFVYDEESDDFNMSNAQQEHALAVEHLEPRLAALRIELCPSHMSEGCFWKIYFVLLHSRLNKHDAELLSTPQIVEARAKWLQELQNLAKPESARLKEDLLSREEIVVTISPKEQANILNNEEPQSSKIGKVISIPITDIETEKHPVEITEIEVVDKSVIEVEPPTQLKHKDHAGTTSEITIQKFDEDVDEWLEEDTEDMGTSIAPTIPIGNEEDVSFSDLEDVDDPKAP >DRNTG_23674.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10332325:10339546:1 gene:DRNTG_23674 transcript:DRNTG_23674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIIRGNNFTFTQNKRVTTSSRTGREIYGRDDEIQLLIEFLKGPNVNDSISVAPIVGMGGIGKTTMAQFVFNNREIENHFDSKVWLCVSDHFDRFRITKEMIDIISPTVQCCSTTSLDSLERELQRHLIEKKFLLVLDDVWTDEWQQLLTPLESAQAQAIKIIMTCRDPTVLRSIDKGNQIILEGLCDQDYWSFFVNCAFAEKNLDNYSKELHNIGKCIMNKLKGSPLAAKTVGKLLGSSLTEKHWNDILESDLWKLENSAHDIMPALALSYYHLPQHLQQCFIFCSVFPKKHLYFMDDLVCMWIANGYIYESESSPKTMNDIGKMYCHELQAMCFFDADSSTWFRMHDLMHDLAQLVSHGEICIYKSGKDKMISKNARHLYAQGLVNLGLICKTNNLRTLVLESASDMSTFLNHEAFKRIRVLVVLDANMEEFPHVIFHLKHLQYLDLKETSIKSIPESLCKLYQLRVLKLLPPHTLPSLFHNLINLQSWGMSGYRLFDDERAPVFHVKKERGYMIAQLKNMTELTGTLSIAGLENIDNMKEAMKAKLKEKHHINNLRLYWKDKMDGCKHDIQEEVLEGLQPHPNLEELEIEGYMGSKTPSWLMTLTLQKLRELYLIKCINWACLPAALGLLPSLEKLHFYYMENITVECDDSVTEMFPSLQYLELYKTTISFKGKLISSSSLTTPGHYKLFPRLQYLNVTECDAVNGLNWPIYSALERLCIRNCPGLDDQLPGCLYGLSSLTTLDLTGTKIKTFPAEVMATLHALEQLCLADCNELLSLEGLQALPSLRKLFVSQCPQFGSWCLEEMTGLDEIAIVSCQDLESLPAWLHRPPLLKQLSIY >DRNTG_23674.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10332325:10339546:1 gene:DRNTG_23674 transcript:DRNTG_23674.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIIRGNNFTFTQNKRVTTSSRTGREIYGRDDEIQLLIEFLKGPNVNDSISVAPIVGMGGIGKTTMAQFVFNNREIENHFDSKVWLCVSDHFDRFRITKEMIDIISPTVQCCSTTSLDSLERELQRHLIEKKFLLVLDDVWTDEWQQLLTPLESAQAQAIKIIMTCRDPTVLRSIDKGNQIILEGLCDQDYWSFFVNCAFAEKNLDNYSKELHNIGKCIMNKLKGSPLAAKTVGKLLGSSLTEKHWNDILESDLWKLENSAHDIMPALALSYYHLPQHLQQCFIFCSVFPKKHLYFMDDLVCMWIANGYIYESESSPKTMNDIGKMYCHELQAMCFFDADSSTWFRMHDLMHDLAQLVSHGEICIYKSGKDKMISKNARHLYAQGLVNLGLICKTNNLRTLVLESASDMSTFLNHEAFKRIRVLVVLDANMEEFPHVIFHLKHLQYLDLKETSIKSIPESLCKLYQLRVLKLLPPHTLPSLFHNLINLQSWGMSGYRLFDDERAPVFHVKKERGYMIAQLKNMTELTGTLSIAGLENIDNMKEAMKAKLKEKHHINNLRLYWKDKMDGCKHDIQEEVLEGLQPHPNLEELEIEGYMGSKTPSWLMTLTLQKLRELYLIKCINWACLPAALGLLPSLEKLHFYYMENITVECDDSVTEMFPSLQYLELYKTTISFKGKLISSSSLTTPGHYKLFPRLQYLNVTECDAVNGLNWPIYSALERLCIRNCPGLDDQLPGCLYGLSSLTTLDLTGTKIKTFPAEVMATLHALEQLCLADCNELLSLEGLQALPSLRKLFVSQCPQFGSWCLEEMTGLDEIAIVSCQDLESLPAWLHRPPLLKQLSIY >DRNTG_11435.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1077350:1078155:1 gene:DRNTG_11435 transcript:DRNTG_11435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLMETAGLVSLLRQTTEGHRKAKAGAGILKLFKLIPMFTSGCKMAALLGRPKALLANNAPTITLFGYRKGRVSLAIQEHPKASPIFMIELPILTHTLHKEMASGLVKIAMESETRVNGHHHKRKVMEENMWAVYCNGRKVGYSVKRKQASEDEQHVMQLLKGVSMGAGVLPCMHESEALLDGDQQLSYMRAKFERVVGSKDSEAFYMINPDGNGGPDFAVVVVVDDDDDGVVDVVVCI >DRNTG_21557.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:27129089:27130104:-1 gene:DRNTG_21557 transcript:DRNTG_21557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMGSWLPFSAHVHQILLDRHAAQPEDHYPNLRFIRSCSASLAPSVLTRLEEAFGAPVLEAYAMTEASHLMASNPLPEDGPHKAGSVGKGVGQEMAILGEEGELLPPHMPGEVCVRGPNVTRGYKNNPEANKAAFEFGWFHTGDLGYFDSDGYLHLVGRIKELINRG >DRNTG_10670.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5343182:5353658:1 gene:DRNTG_10670 transcript:DRNTG_10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARKVLQKVLTSVSQTLTCPGNADIIHSTSDQHSTATQLLDLWPPSPFSLEWTMLMLILIFFEEANLFWTLCAGFTGMIEGMALRSKKVTGKRPLEPSTEHMEFVILEHQARFEQLAKIKFGRSRFPDLSALREIQLGDKMADEGQYTRVGLIFLSQYITRLIMGMALLDSIQGAEKIIVPSPFSLDTMRFMGMIRRYRDGVYVMIIPPLETAEVEGDAIEGSQHAPEPSPEHMETEAPPTAQEPPPVHVFSPSRAHDSFGSIIGRFS >DRNTG_09693.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000404.1:47209:48483:-1 gene:DRNTG_09693 transcript:DRNTG_09693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARELEERSGYWGLTARPCDTCRTVPAVLYCRADKAFLCTACDTRVHGANTVASRHERVWVCEVCEQAPASVTCKADAATLCITCDADIHSNNQLSRRHERQPLLPFYETPSKPTPPLADDPSWLFSTPKSPDLKSSEFYFQDSDPYLDLDFAGSIHQTDSIVPAPAPAPINLSFSTYTDSQSGEAGVVPEAVTEVSGGGDGGRGLVAKMEREARVMRYREKRKSRRFEKTIRYASRKAYAETRPRIKGRFAKRTDVAEPVPDPVYFFDPSYGVVPSF >DRNTG_19759.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6107127:6108202:-1 gene:DRNTG_19759 transcript:DRNTG_19759.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRWKKDSQNGLKLRLKQGGICHPHRASTRLCGFPGFVFLHAVNSNYYSDFATVFYYSKLLHYFAVILPNSLYSLRPHDWAHIHMVDHVASLMKPH >DRNTG_28321.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:47745:49074:1 gene:DRNTG_28321 transcript:DRNTG_28321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGRLVNEKARMLSMGQRRRLQLSRLLAIDRPIWLLDEASDEGVQLLEYIIAEHRKQGGIVFVATHLPIQIEDAMLPQRFPTRMTMVDLLD >DRNTG_35219.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8097940:8098609:-1 gene:DRNTG_35219 transcript:DRNTG_35219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPCLELLIKEVNFLQGVDGELRSFRDEFQWIQAFLKDADATIQGDNKRAKTWVDQVRDVAYDAEDIIDTYIFKIHQHRRGSHGCFSSLMTTYACHSSRLTILHNLGNEIAKLKRRIEEISANRSKYGIESIGATSLDSLMSNEARLP >DRNTG_33221.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:458234:465399:-1 gene:DRNTG_33221 transcript:DRNTG_33221.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ENHANCED DOWNY MILDEW 2 [Source:Projected from Arabidopsis thaliana (AT5G55390) UniProtKB/Swiss-Prot;Acc:F4K3G5] MQKTKAKIPAKKVKEASGGTPKEWVSSKPKKVAERHSSAEEMLPAEKRGGSIGEQFVDHQKKVKPLKDGPQHDSRNFPQHLSEYEKSSKDQPKNSVTAVAPAPIGNEFDSSFPSIDTETQKRVLTLMEKASTSITLQSIIKKRVMPSTHAYSARHVDKSISYAKVEGFVEAICTALKNVECSGSVDDAKAVCQPEILKQIGKWNNKLKVYLAPFLHGLRYTSFGRHFTKVDKLKEIVDLLQWYVQSGDTIVDFCCGANDFSQLMKEKLEAVGKQCSFKNYDVIQPKNDFCFEKRDWMKVRQKDLPTGSQLIMGLNPPFGVKASLANKFIDKALSFKPKLLILIVPSETIRLDGKEPKYDLILEDGEKLSGKSFYLPGSVDVNEEQLEQWNSKPPILYLWSRPDWTAKHKAIAARHNHKSTGQRESSTDEPQNEPIADARLLYEEGNHVTGGEIKGPDHSSKGKAAGNDRVCRESSKIAEHSTKRKPAEENRASRESSKSADHRTKSKTQKENEVKGDDSTGIDHSKKRKLSGNEKGGSGNRGKLHRTESFDRGMVDETSDMSISPPFEAVCRNPLDIGQASGAATETLFEAISRHQLDVHQTSGGVEKPFESDVPRNSFSELRSDFGTGSGGLRNTTGFNDDIDGVANRYAFNNGSFYRASSELRGFDHHMRTSDDRPSSYSRDGYIDVYGRRSLTGDLENYGRLPEVDLHSQHGLYNQHGVYDSAPMNRYPVNVLDSMIHQHPPSMGPPDSFGQYPSSVVAPDVVRSLYVPQPNYRLPNPVMGSSAMQRYAPRLDETNFAPIPGRSGYFDSPDIRRGTPPDSMGFAPGPHQSYSHHGSSGWLDD >DRNTG_33221.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:458234:470154:-1 gene:DRNTG_33221 transcript:DRNTG_33221.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ENHANCED DOWNY MILDEW 2 [Source:Projected from Arabidopsis thaliana (AT5G55390) UniProtKB/Swiss-Prot;Acc:F4K3G5] MASSDDEQETLPYSVTGYYFLDDSDSPVSFEVLPIQFDDAGEPDACDIQVFLHGSADGGLQKICKQVIAWKLQLQGQLPEVYVLSKDNLWIKLQKARKSYEESVRTTLVTIQVLHYLRKNPETSEKILWDHLRKKFSVFDVRPSEGDLLNHRSLIKHFSERDEILGRSKIVPILLACGEARKTNSLEVVNKDQDMKPFIVEDVEFDDSDEESDLFDSVCAICDNGGELLCCEGKCLRSFHATKKAGEESKCKSLGFTRADVKAIQSFLCKNCEYKQHQCFACGKLGPSDKSINAEVFECVNATCGHFYHPKCVAELLFPENKVEALEYEKRIASGESFTCPVHKCNICKQVENKEVKELQFAICRRCPKSYHRKCLPRKIGIASEDDGQEGIVQRAWEGLLPNRILIYCLKHKLDGKLGTPIRNHIVFPQTSEEEKLIDMQKTKAKIPAKKVKEASGGTPKEWVSSKPKKVAERHSSAEEMLPAEKRGGSIGEQFVDHQKKVKPLKDGPQHDSRNFPQHLSEYEKSSKDQPKNSVTAVAPAPIGNEFDSSFPSIDTETQKRVLTLMEKASTSITLQSIIKKRVMPSTHAYSARHVDKSISYAKVEGFVEAICTALKNVECSGSVDDAKAVCQPEILKQIGKWNNKLKVYLAPFLHGLRYTSFGRHFTKVDKLKEIVDLLQWYVQSGDTIVDFCCGANDFSQLMKEKLEAVGKQCSFKNYDVIQPKNDFCFEKRDWMKVRQKDLPTGSQLIMGLNPPFGVKASLANKFIDKALSFKPKLLILIVPSETIRLDGKEPKYDLILEDGEKLSGKSFYLPGSVDVNEEQLEQWNSKPPILYLWSRPDWTAKHKAIAARHNHKSTGQRESSTDEPQNEPIADARLLYEEGNHVTGGEIKGPDHSSKGKAAGNDRVCRESSKIAEHSTKRKPAEENRASRESSKSADHRTKSKTQKENEVKGDDSTGIDHSKKRKLSGNEKGGSGNRGKLHRTESFDRGMVDETSDMSISPPFEAVCRNPLDIGQASGAATETLFEAISRHQLDVHQTSGGVEKPFESDVPRNSFSELRSDFGTGSGGLRNTTGFNDDIDGVANRYAFNNGSFYRASSELRGFDHHMRTSDDRPSSYSRDGYIDVYGRRSLTGDLENYGRLPEVDLHSQHGLYNQHGVYDSAPMNRYPVNVLDSMIHQHPPSMGPPDSFGQYPSSVVAPDVVRSLYVPQPNYRLPNPVMGSSAMQRYAPRLDETNFAPIPGRSGYFDSPDIRRGTPPDSMGFAPGPHQSYSHHGSSGWLDD >DRNTG_33221.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:458234:470154:-1 gene:DRNTG_33221 transcript:DRNTG_33221.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ENHANCED DOWNY MILDEW 2 [Source:Projected from Arabidopsis thaliana (AT5G55390) UniProtKB/Swiss-Prot;Acc:F4K3G5] MASSDDEQETLPYSVTGYYFLDDSDSPVSFEVLPIQFDDAGEPDACDIQVFLHGSADGGLQKICKQVIAWKLQLQGQLPEVYVLSKDNLWIKLQKARKSYEESVRTTLVTIQVLHYLRKNPETSEKILWDHLRKKFSVFDVRPSEGDLLNHRSLIKHFSERDEILGRSKIVPILLACGEARKTNSLEVVNKDQDMKPFIVEDVEFDDSDEESDLFDSVCAICDNGGELLCCEGKCLRSFHATKKAGEESKCKSLGFTRADVKAIQSFLCKNCEYKQHQCFACGKLGPSDKSINAEVFECVNATCGHFYHPKCVAELLFPENKVEALEYEKRIASGESFTCPVHKCNICKQVENKEVKELQFAICRRCPKSYHRKCLPRKIGIASEDDGQEGIVQRAWEGLLPNRILIYCLKHKLDGKLGTPIRNHIVFPQTSEEEKLIDMQKTKAKIPAKKVKEASGGTPKEWVSSKPKKVAERHSSAEEMLPAEKRGGSIGEQFVDHQKKVKPLKDGPQHDSRNFPQHLSEYEKSSKDQPKNSVTAVAPAPIGNEFDSSFPSIDTETQKRVLTLMEKASTSITLQSIIKKRVMPSTHAYSARHVDKSISYAKVEGFVEAICTALKNVECSGSVDDAKAVCQPEILKQIGKWNNKLKVYLAPFLHGLRYTSFGRHFTKVDKLKEIVDLLQWYVQSGDTIVDFCCGANDFSQLMKEKLEAVGKQCSFKNYDVIQPKNDFCFEKRDWMKVRQKDLPTGSQLIMGLNPPFGVKASLANKFIDKALSFKPKLLILIVPSETIRLDGKEPKYDLILEDGEKLSGKSFYLPGSVDVNEEQLEQWNSKPPILYLWSRPDWTAKHKAIAARHNHKSTGQRESSTDEPQNEPIADARLLYEEGNHVTGGEIKGPDHSSKGKAAGNDRVCRESSKIAEHSTKRKPAEENRASRESSKSADHRTKSKTQKENEVKGDDSTGIDHSKKRKLSGNEKGGSGNRGKLHRTESFDRGMVDETSDMSISPPFEAVCRNPLDIGQASGAATETLFEAISRHQLDVHQTSGGVEKPFESDVPRNSFSELRSDFGTGSGGLRNTTGFNDDIDGVANRYAFNNGSFYRASSELRGFDHHMRTSDDRPSSYSRDGYIDVYGRRSLTGDLENYGRLPEVDLHSQHGLYNQHGVYDSAPMNRYPVNVLDSMIHQHPPSMGPPDSFGQYPSSVVAPDVVRSLYVPQPNYRLPNPVMGSSAMQRYAPRLDETNFAPIPGRSGYFDSPDIRRGTPPDSMGFAPGPHQSYSHHGSSGWLDD >DRNTG_33221.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:458234:460557:-1 gene:DRNTG_33221 transcript:DRNTG_33221.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ENHANCED DOWNY MILDEW 2 [Source:Projected from Arabidopsis thaliana (AT5G55390) UniProtKB/Swiss-Prot;Acc:F4K3G5] MKVRQKDLPTGSQLIMGLNPPFGVKASLANKFIDKALSFKPKLLILIVPSETIRLDGKEPKYDLILEDGEKLSGKSFYLPGSVDVNEEQLEQWNSKPPILYLWSRPDWTAKHKAIAARHNHKSTGQRESSTDEPQNEPIADARLLYEEGNHVTGGEIKGPDHSSKGKAAGNDRVCRESSKIAEHSTKRKPAEENRASRESSKSADHRTKSKTQKENEVKGDDSTGIDHSKKRKLSGNEKGGSGNRGKLHRTESFDRGMVDETSDMSISPPFEAVCRNPLDIGQASGAATETLFEAISRHQLDVHQTSGGVEKPFESDVPRNSFSELRSDFGTGSGGLRNTTGFNDDIDGVANRYAFNNGSFYRASSELRGFDHHMRTSDDRPSSYSRDGYIDVYGRRSLTGDLENYGRLPEVDLHSQHGLYNQHGVYDSAPMNRYPVNVLDSMIHQHPPSMGPPDSFGQYPSSVVAPDVVRSLYVPQPNYRLPNPVMGSSAMQRYAPRLDETNFAPIPGRSGYFDSPDIRRGTPPDSMGFAPGPHQSYSHHGSSGWLDD >DRNTG_33221.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:458234:464508:-1 gene:DRNTG_33221 transcript:DRNTG_33221.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ENHANCED DOWNY MILDEW 2 [Source:Projected from Arabidopsis thaliana (AT5G55390) UniProtKB/Swiss-Prot;Acc:F4K3G5] MQKTKAKIPAKKVKEASGGTPKEWVSSKPKKVAERHSSAEEMLPAEKRGGSIGEQFVDHQKKVKPLKDGPQHDSRNFPQHLSEYEKSSKDQPKNSVTAVAPAPIGNEFDSSFPSIDTETQKRVLTLMEKASTSITLQSIIKKRVMPSTHAYSARHVDKSISYAKVEGFVEAICTALKNVECSGSVDDAKAVCQPEILKQIGKWNNKLKVYLAPFLHGLRYTSFGRHFTKVDKLKEIVDLLQWYVQSGDTIVDFCCGANDFSQLMKEKLEAVGKQCSFKNYDVIQPKNDFCFEKRDWMKVRQKDLPTGSQLIMGLNPPFGVKASLANKFIDKALSFKPKLLILIVPSETIRLDGKEPKYDLILEDGEKLSGKSFYLPGSVDVNEEQLEQWNSKPPILYLWSRPDWTAKHKAIAARHNHKSTGQRESSTDEPQNEPIADARLLYEEGNHVTGGEIKGPDHSSKGKAAGNDRVCRESSKIAEHSTKRKPAEENRASRESSKSADHRTKSKTQKENEVKGDDSTGIDHSKKRKLSGNEKGGSGNRGKLHRTESFDRGMVDETSDMSISPPFEAVCRNPLDIGQASGAATETLFEAISRHQLDVHQTSGGVEKPFESDVPRNSFSELRSDFGTGSGGLRNTTGFNDDIDGVANRYAFNNGSFYRASSELRGFDHHMRTSDDRPSSYSRDGYIDVYGRRSLTGDLENYGRLPEVDLHSQHGLYNQHGVYDSAPMNRYPVNVLDSMIHQHPPSMGPPDSFGQYPSSVVAPDVVRSLYVPQPNYRLPNPVMGSSAMQRYAPRLDETNFAPIPGRSGYFDSPDIRRGTPPDSMGFAPGPHQSYSHHGSSGWLDD >DRNTG_33221.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:458234:463354:-1 gene:DRNTG_33221 transcript:DRNTG_33221.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ENHANCED DOWNY MILDEW 2 [Source:Projected from Arabidopsis thaliana (AT5G55390) UniProtKB/Swiss-Prot;Acc:F4K3G5] MEKASTSITLQSIIKKRVMPSTHAYSARHVDKSISYAKVEGFVEAICTALKNVECSGSVDDAKAVCQPEILKQIGKWNNKLKVYLAPFLHGLRYTSFGRHFTKVDKLKEIVDLLQWYVQSGDTIVDFCCGANDFSQLMKEKLEAVGKQCSFKNYDVIQPKNDFCFEKRDWMKVRQKDLPTGSQLIMGLNPPFGVKASLANKFIDKALSFKPKLLILIVPSETIRLDGKEPKYDLILEDGEKLSGKSFYLPGSVDVNEEQLEQWNSKPPILYLWSRPDWTAKHKAIAARHNHKSTGQRESSTDEPQNEPIADARLLYEEGNHVTGGEIKGPDHSSKGKAAGNDRVCRESSKIAEHSTKRKPAEENRASRESSKSADHRTKSKTQKENEVKGDDSTGIDHSKKRKLSGNEKGGSGNRGKLHRTESFDRGMVDETSDMSISPPFEAVCRNPLDIGQASGAATETLFEAISRHQLDVHQTSGGVEKPFESDVPRNSFSELRSDFGTGSGGLRNTTGFNDDIDGVANRYAFNNGSFYRASSELRGFDHHMRTSDDRPSSYSRDGYIDVYGRRSLTGDLENYGRLPEVDLHSQHGLYNQHGVYDSAPMNRYPVNVLDSMIHQHPPSMGPPDSFGQYPSSVVAPDVVRSLYVPQPNYRLPNPVMGSSAMQRYAPRLDETNFAPIPGRSGYFDSPDIRRGTPPDSMGFAPGPHQSYSHHGSSGWLDD >DRNTG_20823.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20738464:20739724:-1 gene:DRNTG_20823 transcript:DRNTG_20823.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLCNRCEHSNVQTLVSLYEGRVIQRGGKSANVHGSNFLGVGVRR >DRNTG_32233.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10084751:10085449:-1 gene:DRNTG_32233 transcript:DRNTG_32233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIGKNLALLEAKLTVAIILQRFSFRLAPTYVHAPTVLMLLYPQYGAPVIFRPLSSSSSPPSIL >DRNTG_09938.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20405361:20406506:-1 gene:DRNTG_09938 transcript:DRNTG_09938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLLPCLLTLCLFMQISLATNFYQTTEITWGNGRGQILNNGDLLTLSLDKTSGSGFQSKNEYLYAKIDMDIKLVPGNSAGTVTAYYLSSQGSTHDEIDFEFLGNLSGDPYTLHTNVFTQGKGNREQQFRLWFDPTVNFHKYSVIWNPQHVIFLVDDTPIRDFKNHESQGIAFPKNQPMRMYSSLWDAEDWATRGGLVKTDWSQAPFTASYQNFNENACVWSSGSSSCGSSNSQPWFNQELNTANQATMKSVQEKYMIYNYCTRC >DRNTG_27966.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3466319:3472764:-1 gene:DRNTG_27966 transcript:DRNTG_27966.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH2 [Source:Projected from Arabidopsis thaliana (AT3G18524) UniProtKB/Swiss-Prot;Acc:O24617] MEAEESKRLPELKLDAKQAQGFISFFKTLPRDSRAVRFFDRRDYYTAHGENALFISKTYYHTTTALRQLGSGPDALSSVSVNKNMFETIARDLLLERTDHTLEAYEGSGSNWRLCKSGTPGNIGSFEDILFANNDMQDTPVTVALFPIFRENQCTVGMSFVDMTKRKLGMAEFLDDSQFTNVESLLVALGCKECLLPMESGKASELKNLHEALSRCGVLLTERKKSEFKSRDLVQDLSRIIRGSIEPVRDLLSDFEFALGALGALLSYAELLADDSNYGNYSIQKYNLDSYMRLDSAAMRALNVVENKTDLNKNFSLFGLMNRTCTAGMGKRLLNRWLKQPLLDVNEINCRLDLVQAFVEDAELRQGLRQHLKRISDVERLTHNLRKKTANLQPVIKLYQSCIRVPYIKGVLEQYEGQFSDLIQKKYLAPFEYLMGDDQLNKFIALVEVSVDLDQLENGEYMISPGYDPNLAELKNQLSAVEKQIHNLHKQTANDLDLSLDKALKLEKGTQFGHAFRITKKEEQKVRKKLNANFIILETRKDGVKFTNSKLKKLGDQYQKLLSEYTSYQKGLVARVVDTSATFSELFETLAGILSELDVLLSFADLAISCPIAYVRPDVTPSDRGDIILEGSRHPCVEAQDGVNFIPNDCTLVRGKSWFQIITGPNMGGKSTFIRQVGVIALMAQVGCFVPCDKACISVRDCIFTRVGAGDCQLRGVSTFMQEMLETASILNGASEKSLIIIDELGRGTSTYDGFGLAWAICEHLVEVTRAPTLFATHFHELTALAHGDTLGVANYHVAAHIDPSSHNLTMLYKVEPGACDQSFGIHVAEFANFPESVVALARNKAAELEDFSCTTTDEIGSKRRRTCSPEEMEKGAARAHRFLQDFTALPLDQLDEKQVRQCVSKLTSDLEKDAAEFPWLQQFF >DRNTG_07470.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26857445:26879348:-1 gene:DRNTG_07470 transcript:DRNTG_07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDKIGEGIGRTRREAQHQASQVSIHALAR >DRNTG_20511.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16619637:16621472:-1 gene:DRNTG_20511 transcript:DRNTG_20511.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNLVNGRCYLTTVVETLAELKVHMILQHWEIIRRTPFVAFTELEAVFQERALLDSLLQRYDGRTNKFRIEESLLSFRPQDVALVFGLRCDGDAVVFQKKKTRSAFEGRYLSKTYERHRDSIKSTLVQLVRQRGEKIFFVKLLMVYLMGTVLFPNTSCSVLNWIVDYVNDLPAMGRYAWVQATRKCLMEDIPQAAARVQDRCAGKKTNTGYIKGCSAQVQERCTGRKPAAIEAILSSREGKFSPYHNEYPEQEAEEEEEDDDDEEGLSTGRVLSSHLWCEVHKPVDASDPRKKGKRTVDRP >DRNTG_10456.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1894617:1896664:1 gene:DRNTG_10456 transcript:DRNTG_10456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGSASSKTVRAAFSHCVQQVRTYDYHHYLCLLHLPPSMRKAAFALRAFNVETARAMDVASDPKIGLMRLLWWQEAIDKIFMKKLIEHPTAQALSAVISEQKISKSWLKRSVEARINDASREHALPGTIEDLERYAEDTVSTILYMILQAGGIQSTAADHAASHVGKASGLLLLLKSLPYHASRGGRISYIPTDIAAKYGLLVTGNGQSEIQMEAGERLSDAVFEIASIANAHLEKARELAPKVPPEAVPVLLPSVPAQILLDSLRRCQFNVLDSRLAQGILGVSPLWFQLKLKWHAWRNKY >DRNTG_00830.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:6815074:6822098:-1 gene:DRNTG_00830 transcript:DRNTG_00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMENKKISFWQKEKKTRISYYPHTTQTFCCPTFGRSFGRFWATLGRRRRARKLEDHSSPRSKALKARRQHHSKGRSIMT >DRNTG_11167.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4428023:4431040:-1 gene:DRNTG_11167 transcript:DRNTG_11167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKLSKAEKKVQYDKKLCRLLNEYTQVLIVAADNVGSNQMQNIRKGLRGDSIVLMGKNTLIRRCVKLHAENTGNKALLNLLPLLVGNVGMIFTKGDLKEVSEEVAKYKVGAPARVGLVAPIDVIVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGEKVGSSEAALLAKLGIRPFSYGLVVLSVYNDGSVFSPEVLDLTDDDLITKFANGVSVLTSLSLAISYPTLAAAPHMFINAYKNVLAVALATDYSYPQAEKVKEYLKDPTKFAVAAAPVAAAETASTPAAAAEPEKKEEPAEESDDDMGFSLFD >DRNTG_11167.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4428023:4428709:-1 gene:DRNTG_11167 transcript:DRNTG_11167.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFINAYKNVLAVALATDYSYPQAEKVKEYLKDPTKFAVAAAPVAAAETASTPAAAAEPEKKEEPAEESDDDMGFSLFD >DRNTG_07409.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7347740:7355775:1 gene:DRNTG_07409 transcript:DRNTG_07409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVMTSDEQFITLDVDPDESVENVKALLEVETTVSLHQQKLHFNGKELNNSDRLSGVGVKDGDMMMMMLSVASRANNDSLRLNPDGSAVNPSAFQQHIRNDPQSMARLVQADPPMSQAILGDDLDKLQNLLRERHQQRLEYQRKQEEELALMSADPFDVEAQKKIEAAIRQKGIDENWEAALEYNPEAFARVVMLYVDMEVNGIPLKAFVDSGAQSTIISKNCAERCGLLRLLDQRYKGVAVGVGQSEILGRIHVAPIKIGHLFYHCSFTVLDAQNMEFLFGLDMLRKHQCIIDLKENVLRVGGGEVSVPFLQEKDIPSQIHLEENVKQHALGQGPPAESSRAQSKNAGSASRSQNSGNPQRDQSQGPDFEAKVMKLVELGFDRNAVIQALKLFNGNEEQAAGFLFGG >DRNTG_14795.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29910816:29913254:1 gene:DRNTG_14795 transcript:DRNTG_14795.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFVLLVNKQGQTRLAQYYEYLTLDERRALEGEIVRKCLARTEHQCSFVEHRNYKVVYRRYASLFFLVGVDNDENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKAHFMLEEMVMNGCIVETNKQNILTPIQLMDKTS >DRNTG_22819.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14863812:14865693:-1 gene:DRNTG_22819 transcript:DRNTG_22819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTTAVAKSIGAGGSTGGAGDAEVIRGLIMNSDIISRSNNPTTLSSLSK >DRNTG_31727.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14256859:14259905:1 gene:DRNTG_31727 transcript:DRNTG_31727.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYYPSRKSYHLERVKATLAAVLERDTLEDVVNALKSKKEAKMGYKHIMLGVLVQFKDQDTRGVYKRGDIARPLKDTSMKKSFIAYHMDVCPDMWPQEKSDWTAFFVKRLQQKYCSSTVSKLLFTRQRGNFTRPRENFCSPHGSVRARDRRDLRPINSRFVLFFLIFCAALEGVRTSRLKRVERVSHEIVECPSPFRLSVLYLRSLSSMQPYLV >DRNTG_22517.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3413059:3415936:1 gene:DRNTG_22517 transcript:DRNTG_22517.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EB1C [Source:Projected from Arabidopsis thaliana (AT5G67270) UniProtKB/TrEMBL;Acc:A0A178UNG3] MAATKIGMMDSAYFVGRNEILAWINTTLQLNLSKVEEAASGAVHCQLMDAVHPGVVPMHKVNFDAKNEYEMIQNYKVLQDVFNKLKITKHIEVSKLVKGRPLDNLEFMQWMKKYCDSVNGGIMQSYNPLERRDSSKGGKEIHKKAAPAQVPSKSSSAAAKTQGTHPARKNDGNPANVSQKQVKPASNAGAQAYDEQITELKLFVDSLEKERDFYFAKLRDIEMLCQTPEIKHLPIEAIQRILYATDDNPSVVEEAQAMVSQQHNQQPVLSPIPEAPDERPKQETQKRKNISTLEVDMASISTSTPRQRLSDISNFSCSDSPLTEC >DRNTG_22334.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:560614:564824:-1 gene:DRNTG_22334 transcript:DRNTG_22334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGMVVLDGTELRDLDLRLPVPDGPVAGAMALQLAESEASTRLFGISLPENIRSTALRTIREADDLDFLSEVFHDSDALRSLIQKFLLVVADQLKDDPLVVSVLNGNALRIVLDDEDDFAMLAENLFTDLDADDNGKLSKNDISNALLRMGVQLGVPPIRESTDLLSNIIKKHGAEGEEKLGQSQFADLLQTILQDLADALSKKHVTVIREVKVINGSKLKKTLENQKLFNEVLEKLFLEWKTYTNGQEDKELRGFLEVEGLEFGLPSSESSEAVALLYDQIFSEINKDKITGDLERDAFQVIVKDILEKIAQQLEEDPIFIDLEC >DRNTG_28959.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27513468:27517156:-1 gene:DRNTG_28959 transcript:DRNTG_28959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYGRDPWGGSLEISHADSATDDERSRTLHDIDRAALSASSRPLDETQQSWLLAGPGDQGTKKKKYVDLGCLIVSRKIFLWTVGSLVAAAVLAGFVTLIVKTVPRHHRPKPPPDNYTLALHKALMFFNAQRSGPLPKHNNVSWRGNSGMKDGLSDSAVRRNLVGGFYDAGDAIKFNFPASFAMTMLSWSVIEYSAKYEAAGELAHVKELIKWGADYLLKTFNSSADTIDRIAAQVGQGDTSGGPTPNDHYCWMRPEDIDYPRPVYECHSCSDLAAEMAAALAAASIVFKDSKMYSQKLVHGATTLFKFSRDQRGRYSAGGSDPSLFYNSTSYWDEYVWGGTWMYLATGNTSYLQLATHPKLAKHAGAFWGGPDYGVFSWDNKLTGAQVLLSRLRLFLSPGYPYEEILRTFHNQTGIVMCSYLPIFKSFNRTKGGLIQLNHGRPQPLQYVVNAAFLATVYSDYLDAADTPGWYCGPNFFSTDVLRNFAKTQIDYILGNNPQKMSYIVGFGNRYPKHVHHRGASIPKNGVKYNCKGGWKWRDTHKPNPHTIIGAMVAGPDKHDGFHDVRMNYNYTEPTLAGNAGLVAALVALSSESNGVDKNTIFSAVPPMTPTPPPPPAPMETLNRNSEDTVMIQFIRL >DRNTG_00880.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21797589:21798507:1 gene:DRNTG_00880 transcript:DRNTG_00880.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAFKNTSINVPLKVKIGVVAVSYAPEVTAFVENGKMKKMTGVKTKELMLWLSVVEMYIEDPSSKKITFKTGTGLSDSFPVSAFELEE >DRNTG_00880.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21795174:21795879:1 gene:DRNTG_00880 transcript:DRNTG_00880.7 gene_biotype:protein_coding transcript_biotype:protein_coding YLISSYQSHQSHQNASHKITTNAELTSPNIKQEILCVPNTRRPLISHKHNQISSSHSSLPKKEPFTIIPAKIS >DRNTG_00880.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21791806:21798507:1 gene:DRNTG_00880 transcript:DRNTG_00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIQSYRNGAEIYNGDAFCKKKSIQLLEEIGLPNGLFPLDDIEEFGYNREAGFVWLIQKKKKDHTFKKIKRAVSYAPEVTAFVENGKMKKMTGVKTKELMLWLSVVEMYIEDPSSKKITFKTGTGLSDSFPVSAFELEE >DRNTG_00880.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21791806:21795879:1 gene:DRNTG_00880 transcript:DRNTG_00880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIQSYRNGAEIYNGDAFCKKKSIQLLEEIGLPNGLFPLDDIEEFGYNREAGFVWLIQKKKKDHTFKKIKRAVSYAPEVTAFVENGKMKKMTGVKTKELMLWLSVVEMYIEDPSSKKITFKTGTGLSDSFPVSAFELEE >DRNTG_00880.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21790855:21795879:1 gene:DRNTG_00880 transcript:DRNTG_00880.3 gene_biotype:protein_coding transcript_biotype:protein_coding YLISSYQSHQSHQNASHKITTNAELTSPNIKQEILCVPNTRRPLISHKHNQISSSHSSLPKKEPFTIIPAKIS >DRNTG_00880.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21795174:21796180:1 gene:DRNTG_00880 transcript:DRNTG_00880.5 gene_biotype:protein_coding transcript_biotype:protein_coding YLISSYQSHQSHQNASHKITTNAELTSPNIKQEILCVPNTRRPLISHKHNQISSSHSSLPKKEPFTIIPAKIS >DRNTG_07827.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10085946:10087006:-1 gene:DRNTG_07827 transcript:DRNTG_07827.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKFKHKQKPLQALHPLFFTPLHRHCSSPLHGRCSPSLHRRCLPSVWDCLLPRASPLRRQRRRHHHPHLDPRRTPPFPPSHLSHPRLRRQRQIAMGPPDRPPFPLLQPLRSRSHPFCQIPIRW >DRNTG_07827.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10085946:10086915:-1 gene:DRNTG_07827 transcript:DRNTG_07827.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKFKHKQKPLQALHPLFFTPLHRHCSSPLHGRCSPSLHRRCLPSVWDCLLPRASPLRRQRRRHHHPHLDPRRTPPFPPSHLSHPRLRRQRQIAMGPPDRPPFPLLQPLRSRSHPFCQIPIRW >DRNTG_07827.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10084700:10087006:-1 gene:DRNTG_07827 transcript:DRNTG_07827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKFKHKQKPLQALHPLFFTPLHRHCSSPLHGRCSPSLHRRCLPSVWDCLLPRASPLRRQRRRHHHPHLDPRRTPPFPPSHLSHPRLRRQRQIAMGPPDRPPFPLLQPLRSRSHPFCQIPIRW >DRNTG_07827.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10084700:10085206:-1 gene:DRNTG_07827 transcript:DRNTG_07827.10 gene_biotype:protein_coding transcript_biotype:protein_coding ENHFFYRLLFCFVFFSIILVVNLQDPPESPKGHYNSTTTNHVLNENIQLWRVSGKSCPEGTIAIGRTTEEDILRASSIRRFGRKTISRVHS >DRNTG_07827.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10085314:10086915:-1 gene:DRNTG_07827 transcript:DRNTG_07827.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKFKHKQKPLQALHPLFFTPLHRHCSSPLHGRCSPSLHRRCLPSVWDCLLPRASPLRRQRRRHHHPHLDPRRTPPFPPSHLSHPRLRRQRQIAMGPPDRPPFPLLQPLRSRSHPFCQIPIRW >DRNTG_07827.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10085314:10087006:-1 gene:DRNTG_07827 transcript:DRNTG_07827.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKFKHKQKPLQALHPLFFTPLHRHCSSPLHGRCSPSLHRRCLPSVWDCLLPRASPLRRQRRRHHHPHLDPRRTPPFPPSHLSHPRLRRQRQIAMGPPDRPPFPLLQPLRSRSHPFCQIPIRW >DRNTG_17669.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24170872:24173421:-1 gene:DRNTG_17669 transcript:DRNTG_17669.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate-inducible and autorepressible transcriptional repressor, Nitrogen respons [Source: Projected from Oryza sativa (Os02g0325600)] MDSDDEKVRRLLDSAAALEVERRKIEVFQRELPLTLQLVTQAIESIRQQMGCVETSTDEPVLEEFIPLKPTSSSSQDEENPRSCEERNERKPDWLRSVQLWNQEPEPDVVRKPVALDPKIGGGAFHPFQKEDRVAPPPVAAEASSTAERVGMSGGEDKDKDKEGHSRSQRKARRCWSPELHRRFLHALQQLGGSHAATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPTPAGQSTSPQTPQFVVVGGIWVQPPEYTTPGAAAVTQPAEGTRPPMNGIYAPVASVPSSEVRLKNKKASNRSPEGSLHSSDRGSHEDNSVADNGATNSTSPSTSSSSQTTTVSPPY >DRNTG_35124.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002232.1:11263:12944:-1 gene:DRNTG_35124 transcript:DRNTG_35124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIIEKKTHTHTLLLLCSSLQLKMKLKIQQHITLMVMVMVIIFLPISNSISPSLSPIQSPSPSSPLDPKQLKALHFLGLSSHNPCSNPSSHHNATSCDSSHPFRHIISLTLSNCTSSSSFPSLSLSLRSFSSLSSLSFINCSIPSPRHLPSSLHSFTSNSSLRHLSSLLLSRLHNLTSLSILSVPITGSGLPLILSQMPHLVSLTISQSNLSGPLPSSLFSLPLTHLDLSSNNLNGTLPIFPPSSTHLQYLNLENNNFHGVIPYNSSFITHLQLFKISGNPNLCYNHSILSSKLSLGVAKCDQYGLPISPPPAADSPRKSNSDDSLDDEDDGSLKSTNGEHHGGGGPNKLVLGVAITLSFLVFLVIFLLCISKACGCR >DRNTG_29787.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27429233:27430634:1 gene:DRNTG_29787 transcript:DRNTG_29787.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NEN4 [Source:Projected from Arabidopsis thaliana (AT4G39810) UniProtKB/Swiss-Prot;Acc:F4JJ23] MGEIVFFDVETTVPLGGGKRFWMLEFGAILVCPKKLVEVDSYCTLIRPGDLSAVEPRRFSGITRDAVSIAPLFEEVADRIFDILNGRVWAGHNIQRFDCARIREAFADIGKPPPEPLGLIDSLSLLTQAFGNRAGNLKMATLASYFGLGQQKHRSLDDVRMNLEVLKHCATVLLL >DRNTG_29787.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27429233:27429537:1 gene:DRNTG_29787 transcript:DRNTG_29787.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NEN4 [Source:Projected from Arabidopsis thaliana (AT4G39810) UniProtKB/Swiss-Prot;Acc:F4JJ23] MGEIVFFDVETTVPLGGGKRFWMLEFGAILVCPKKLVEVDSYCTLIRPGDLSAVEPRRFSGITRDAVSIAPLFEEVADRIFDILNG >DRNTG_29787.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27429233:27431576:1 gene:DRNTG_29787 transcript:DRNTG_29787.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NEN4 [Source:Projected from Arabidopsis thaliana (AT4G39810) UniProtKB/Swiss-Prot;Acc:F4JJ23] MGEIVFFDVETTVPLGGGKRFWMLEFGAILVCPKKLVEVDSYCTLIRPGDLSAVEPRRFSGITRDAVSIAPLFEEVADRIFDILNGRVWAGHNIQRFDCARIREAFADIGKPPPEPLGLIDSLSLLTQAFGNRAGNLKMATLASYFGLGQQKHRSLDDVRMNLEVLKHCATVLLLESSLPQTLRNQCNMVTRSRANYGKPCGEEASRKSPPTSISTQRVVPYKRERFGKVMDGAKVALASAQTSRPLHSLLRHSRSLLR >DRNTG_01567.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000088.1:48971:57617:1 gene:DRNTG_01567 transcript:DRNTG_01567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHSGRKSYHLESVKATLAAVFERATLEDEMLNPDPNEGLFDQEEDNEETMMLGSTEEVPSTQGILKKVLQKLKRARRCHQKCSEAVGDMLELNKLGESLLGSLRGGFKLNFWRIGAQPCGFHTTQIHMGMGNFHRLVSNSVVYCFRVYLIVSSSFITRMAPHSRRQEGKRPREPSPELTHIVFPNPEHQARFKWLSRLRFVQSWFADLSVLSGVQWGDELIRELEALMAVGG >DRNTG_19654.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3018483:3021093:1 gene:DRNTG_19654 transcript:DRNTG_19654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSSSSRFLFRSLAGAAGVRPAVGRCSRPLDRLSSSAPDAGGGGGGSGGEEPMSFAEAKKLMRLVNVEALKRRLGMEAEEVIGYSELLKACEGMGVVRSPEEAAAFARVLDEAGVVLLFRDKVYLHPDKVVDLVRRAMPLALTPENDPRREELKQLQKKKEEIDMLAHKQVRRILWSGLGIFVAQIGLFFRLTFWEFSWDVMEPVAFFATTGGLLVGYAYFLFTSRDPTYQDLMKRLFVSRQKKLHQKHNFDVERFMELQKHCKSPLDHTEQSVVHHR >DRNTG_12991.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6944479:6945344:-1 gene:DRNTG_12991 transcript:DRNTG_12991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSIQEPAIPMLTLEVLASFEFDGSYSSFDSINAIQFRALRQYHSMSITQFLVRLGLYYEAFTDTKEYDRLQTDFPGSVRQCWSSLLKLIHHETHHGYGFHGRDQGDREDHCASPYGFRDYKTYGHDQEELMETKAPPTAQEPPPVCIFSPTQAHDRFERLESAMGVL >DRNTG_06309.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2171519:2179610:-1 gene:DRNTG_06309 transcript:DRNTG_06309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRMKAFTEQKPNHKILRDNISWDPISEKLKTRHDALCCMKWYNQLTSPLVNEGLWVDSDDYRLIYALQNEDAFCEEDVDWDNLLEHRPGDICRKRWKQMIRYIGGHREKSFIEQVDVLSQRYCPEMLEYRE >DRNTG_06309.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2171519:2173929:-1 gene:DRNTG_06309 transcript:DRNTG_06309.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRMKAFTEQKPNHKILRDNISWDPISEKLKTRHDALCCMKWYNQLTSPLVNEGLWVDSDDYRLIYALQNEDAFCEEDVDWDNLLEHRPGDICRKRWKQMIRYIGGHREKSFIEQVDVLSQRYCPEMLEYRE >DRNTG_17246.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5614967:5619663:1 gene:DRNTG_17246 transcript:DRNTG_17246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENLDAQIDALLNVEKQMRLAGDVVGTKKAVIDIVELCYKARAWKTLNDQIVLLSKRRGQLKQAVTAMVQQAMQYIDETPDIDTRIELIKTLNNVSAGKIYVEIERARLIKKLAKIKEEQGQIAEAADLMQEIAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFDVDASKEKKKPKEGDNVVEEAPTDIPSLLELKRIYYELMIRYHSHNNDYLEICRSYKAIYDIPVVKEDPAQWIPVLRKICWYLVLSPHDPMQSSLLNSTLEDKNLSEIPNFRLLLKQMITLEVIQWTSLWDMYRDEFDSEKNLLGGSLGGKAAEDLRQRIIEHNILVVSKYYSRITLKRLADLLCLSLQEAEKHLSDMVVSKSLLAKIDRPMGIVCFQTAKDSNDILNSWAMNLEKLLDLVEKSCHQIHKETMVHKAVLKA >DRNTG_16978.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19963110:19966241:-1 gene:DRNTG_16978 transcript:DRNTG_16978.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDYLSRLTHALTTVRHVNKTDVVTLGSAFGSLSGIMDSSMEDLARCPGIGERKVKRLYDTFHEPFKRVSSRCSVDVSEAHVREKDTEEVLPGKSNEFSSRGREVGCKQ >DRNTG_16978.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19963056:19966241:-1 gene:DRNTG_16978 transcript:DRNTG_16978.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDYLSRLTHALTTVRHVNKTDVVTLGSAFGSLSGIMDSSMEDLARCPGIGERKVKRLYDTFHEPFKRVSSRCSVDVSEAHVREKDTEEVLPGKSNEFSSRGREVGCKQ >DRNTG_16978.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19963221:19966241:-1 gene:DRNTG_16978 transcript:DRNTG_16978.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDYLSRLTHALTTVRHVNKTDVVTLGSAFGSLSGIMDSSMEDLARCPGIGERKVKRLYDTFHEPFKRVSSRCSVDVSEAHVREKDTEEVLPGKSNEFSSRGREVGCKQ >DRNTG_16978.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19962788:19966697:-1 gene:DRNTG_16978 transcript:DRNTG_16978.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSCSRNLCSTYSCNASVASTVYNHLSRNLCSTYSSNSWIGWHEIWRLPVIPRIKVHIWKLAHGKLSTYSYLYNLNIGRNNPCPLCGLEPETAVHLFWSCPKILLCWHDLFAKINLPSHFIDSLSTGAWLLTISDPWSKALIATILWLIWKQRCNLVFRNEPLNTSVILPRAWAICTDFNRLATR >DRNTG_16978.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19963277:19966697:-1 gene:DRNTG_16978 transcript:DRNTG_16978.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDYLSRLTHALTTVRHVNKTDVVTLGSAFGSLSGIMDSSMEDLARCPGIGERKVKRLYDTFHEPFKRVSSRCSVDVSEAHVREKDTEEVLPGKSNEFSSRGREVGCKQ >DRNTG_16978.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19962788:19966241:-1 gene:DRNTG_16978 transcript:DRNTG_16978.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSCSRNLCSTYSCNASVASTVYNHLSRNLCSTYSSNSWIGWHEIWRLPVIPRIKVHIWKLAHGKLSTYSYLYNLNIGRNNPCPLCGLEPETAVHLFWSCPKILLCWHDLFAKINLPSHFIDSLSTGAWLLTISDPWSKALIATILWLIWKQRCNLVFRNEPLNTSVILPRAWAICTDFNRLATR >DRNTG_16978.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19963277:19965584:-1 gene:DRNTG_16978 transcript:DRNTG_16978.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSMEDLARCPGIGERKVKRLYDTFHEPFKRVSSRCSVDVSEAHVREKDTEEVLPGKSNEFSSRGREVGCKQ >DRNTG_16978.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19963277:19966241:-1 gene:DRNTG_16978 transcript:DRNTG_16978.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDYLSRLTHALTTVRHVNKTDVVTLGSAFGSLSGIMDSSMEDLARCPGIGERKVKRLYDTFHEPFKRVSSRCSVDVSEAHVREKDTEEVLPGKSNEFSSRGREVGCKQ >DRNTG_18187.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4700995:4702129:-1 gene:DRNTG_18187 transcript:DRNTG_18187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEMRKLMEEEEETSSLSYIGQASDDDDDDDDDGGEEEEEVESKRRDCLTSLVELHMFLPIKRGLSDFFEGKSRSFKCLSDLNIAAATAEVLAKPENPYNKRRRLLNSHKRALNSLNKKKYSCKSPSQQAQEAQGVVAEENNGGRPEVTKPLILSD >DRNTG_08263.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17600809:17606335:1 gene:DRNTG_08263 transcript:DRNTG_08263.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aladin [Source:Projected from Arabidopsis thaliana (AT3G56900) UniProtKB/Swiss-Prot;Acc:Q8GWR1] MLKWSPTGDYFFTAKSDGTFYIWETNTWTSEPWSSTGGNVTGAAWDPDGRMILLAFSQSTTLGSIHFASKPPSLDAHLLPVELPEIASTGSHGIEKIAWDASGERLALSFQGGDDMYDGLIAVYDIRRAPLISTSLVGFIRGPGEKPKPLAFAFHNKFKQGPLLTVCWSSGWCCTYPLIFRSHILP >DRNTG_08263.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17600809:17606335:1 gene:DRNTG_08263 transcript:DRNTG_08263.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aladin [Source:Projected from Arabidopsis thaliana (AT3G56900) UniProtKB/Swiss-Prot;Acc:Q8GWR1] MPGFPHPGSLTICEINRELFVAEKLSDEQAKETYGKILGLVFSPVPFEVDLYPSDSEQIREQQQVTSEDARRGGFAGFKADIFELLIRFFSPNSLNVLAEEDVYGVSWNPHKHCLAFTSGRNQVTIRDYEDSEGKDPCILTSEYQRDVKALEWRPNSGKTLAVGCTGGICIWSASYPSNAAMVRPGIASSVGGVFRASGVRWTLVDFLRSPDGEQISALCWNPDGRFLASASSGSSSFTIWDVAQGLGTPIRRGLGAISMLKWSPTGDYFFTAKSDGTFYIWETNTWTSEPWSSTGGNVTGAAWDPDGRMILLAFSQSTTLGSIHFASKPPSLDAHLLPVELPEIASTGSHGIEKIAWDASGERLALSFQGGDDMYDGLIAVYDIRRAPLISTSLVGFIRGPGEKPKPLAFAFHNKFKQGPLLTVCWSSGWCCTYPLIFRSHILP >DRNTG_09305.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:900114:913012:-1 gene:DRNTG_09305 transcript:DRNTG_09305.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGVACAPSQLPMVPEALCGANGAFAFKSDKKMKKAEREEEAVRKGDSISERGRKLEEKVKTRELEKGEFVPDRRRKEELEKGEFVPDKLRKVELDKGEFVPDKLRKGDLEKGEFVPDKLSKSELERGEFVLDKSRKFELEKGEFVPEKWRKGELEKGEFVPEKCRKGELEKGEFVPDKSRKGEFLPDKWRKEDLESGEFVPDKVRRGSEVERGQKVELEKGEILPDKWRKSEWEHERSPEDFHRRKDVVRGENDHRKKPSLKWESGTHERDLKISSRNTDDDLCQPRYDHSNGKVHGKEHFSGNLWKRHGFESETNSRKHHGEFGDYPGSKSRRISEDVNRSNYTERQGRISSSSVSKMSSTNRYASSRHHESALPSRAGNDRQVRSPGLSERSPHEHVRHHDHRERTPGYSERSPHDRTRHHDHKDRNVSHSERSPFERVRYHDHRERSPRDRGRMLDHREKSRKSGGNEKQPNIRCDDKVSRRDSDGKDSYKSSTKLPSNSSITSEKSSDDKSNKEKKSRTLSLDHGETLLPPPPPLAPPPPPPPPPSQPHVNGIIEEQPSMEEDMDICDTPPHAMLPSNSENGKWYYLDHFGVEQGPSRLGDLKSLVEEGVLISDHLIKHSDSDRWVTVENATSPLVPSNLPFIVSDAVTQMASPPQASGNLLVEAGDVSEEAAIPAVQQDLPAVCSSLSLGVLENFQIDERVEAILNGYSIIQGKELETIGEALNTVFQHADWEKWDQSEDFTRSRCRPSELNIHSRGEVVVKEASEIRSGVLSEEYSFLNVDPSYWFAGRWSCMGGDWKRNEEAAQDGSYKKRRVLNEGYPLCQMPKSGYEDPRWLRKDDLYTPSRIRKLDLPSWAFSWTEDKNDNSNDTSKSMLASRPCQVKPLASRGTKGIMLPVIRINACVLKDHGSFEPRSRARGGERQTPRASRSQSVGGDRKSISEASFPLRKLHDRDLQSLHKCRTILNAPRDHVYTVDELSLHLGDWYYLDGAGHEHGPSSYSELQELVAKGTIMKESSVFRKDDNIWLPISDNAMVSGAIQSQEEEITSSMNSSSDTLPKLKESNKSVGAASLPFHNSYPQFVGYTRGKLHELVMKSFKNREFASAINEVLDPWLSAKQPKNETDKHFSFNSSITRSSVMLPHNLSVDKFWKSEDGVDNVRAGKRARLLFDENGEEINCEEDLIYGQLNGCAFEDICTETTLFPVCNISSQAENENWGLLDGRILARVFHFLRSDMRSLAFSAAACKHWKIAANFYKSISRCVDLSSAGPACTDYMFRAVMSGYDKKNVTSVNLSGCSNISASVLEEVLQLFSCINLVDIRGCNQFKDLKLKFQNVKWLKDYGLCSAKSLEDSHSKKSGALSK >DRNTG_16585.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:129184:131020:-1 gene:DRNTG_16585 transcript:DRNTG_16585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKNNSLSHAHAGKDNVGGGGRGPRMSRPKSGPLSSCFTSTRAEETEVPYMCLDQPDYPHSVLHNHGPIYKVT >DRNTG_17849.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8301575:8306650:1 gene:DRNTG_17849 transcript:DRNTG_17849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMPRGLDKARLERVERVSGEGYFAWTKGVSGLETRMNNLEKAFTRFVQSSNTRFESVEATLYNHTASLHNLQNQVGKITKSLSERPHGSLPSNTETNPREHVKVITFRSGREVEGRLPDTTDEIVDEYMQEMFNPDSYEGLFDQEEEIEEVMMLGSTEEVPSTPGILKKVLRKMKR >DRNTG_25291.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20306545:20308758:-1 gene:DRNTG_25291 transcript:DRNTG_25291.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDWVLRMEAARAPSYYVSNIWQELIVLDTHVEEEVEDVQRNEEVTADDVLEDASLGIRMKGKKQDEDSKLHNSEYSFQSEDDVGDIDEQDMLAPNIEVQEMVIGVGNDGEEEVQSDYGIFDELQSCSSIDEEDMRKRPTYAEFNDESDMKDP >DRNTG_12624.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000557.1:5878:6298:1 gene:DRNTG_12624 transcript:DRNTG_12624.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATVKEFLVDKSYYIYTLWYRVSRSIWAHFGRVFASPGPIRQSWSDLLMPIHYSTHYGDGSNRGADGDIGTSHITRATSSVYLRSDSSP >DRNTG_17657.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16892997:16893573:1 gene:DRNTG_17657 transcript:DRNTG_17657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAYISISMSKKLFNSPTLNKGFTGLEPCKASIEDAEDEEEEVKLLRGENKDGNV >DRNTG_21789.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:16890505:16892413:-1 gene:DRNTG_21789 transcript:DRNTG_21789.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVEVSTSKTGKHGHAKWHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTNYQLIDISEDGFVSLLTENGNTKDDLRLPTDEALLSQVKDGFTDGKDLVVTMMSAMGEEQICWMDWLIPLFCFKDVIRML >DRNTG_11979.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4284034:4285601:-1 gene:DRNTG_11979 transcript:DRNTG_11979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITCGPGHGISIGSLGSDDSENYVSDVVVDTATLTGTTNGVRIKTWQKSAVEVSDILYKNIKGTSASEIAVDFQCSKSVPCHGIVLQDINLVKEGGGTAKSSCKNVEWTKEAQTLPEPCAENY >DRNTG_08160.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000368.1:23408:26697:-1 gene:DRNTG_08160 transcript:DRNTG_08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGHRNPITFFLLFIAFLLRFRSFSSKITSHSQCIDLLELKKGFLFSYATTSLPSWLTGTDCCTWEGLTCEESSGLVVFLDLSERNISGKITPFLFNITSLQRLNLAHNSFDESPLLEIRNLGNLTHLNLSNSGVIDHRVPMDISLLRKLVSLDFSWSGWNTESPPLELRELIGGFSNLIELYLDGVNVSANGTEWCSVISESVPKLEALSLVGCSLTGPIDSSLSKLRNLSLLRLDDNDLSSEVPEFFEDFYSLNVLTLFNCKLQGFFPKSVFQLKNLKYIDISHNDELSGYLPDFLKDSTLESLIISSTNFSGSLPDSLGNLESLIDLDLSGCHFSGSIPWSFRNLSQLVYLDLSYNNLTGEIPVVLGGIWISEILLSNNNLTGSVPESFSQLNHLVTLDLQENFLSGSIPASLFTLPALQELQLSKNEFSGQLEEFSNASSVLQVVDLGNNNLLGEIPKSMFDLLGLQSLVLSSNNFTGTIELDLFRNLRNLKSLDLSSNNLSVSDGTGDSSLLFPSLAQLNLQSCNLVTIPAFLKHKNNMECLNLSNNRIGGTIPEWIWSIGDICYLNLSRNLFTFVEGPPPYVTMSAGFILDLHSNLLGGPIPLPPPNSFIVDYSNNHFASFIPSNISYYIKNTIFFSLSNNRLTGEVPSSICQATNLRILDLSYNNLNGSIPACLMESLTELLVLRARGNQFQGPIPQQISSRCALQKINLHGNKLEGEVPRSLANCDKLEFLDLGSNNLVDSFPYWLGNLPELKVLVLRENGFYGPYGNTRGNCEGNHTFAMVHILDISSNNFSGTLSSDCFKNMKAMMSHQGITNAFRGGLTANFSFVVFSSISGYHSLFDSSDYWDLVTVALKGVKRDLVNTMTIFTAIDLSNNQFEGPLPEAIGNLKALISLNMSGNDFNGRIPSVFENLTEMQSLDLSGNQLSGQIPNSLTFLTFLSFLNLSNNNLVGKIPYGNQFSTFSSNSFEGNPGLCGSQLSRQCVNSSVEPSSNFNNAYPGLDIDVIWIWMFTGLGFIVGFASVIGFQLLFPKWNI >DRNTG_08160.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000368.1:23408:26697:-1 gene:DRNTG_08160 transcript:DRNTG_08160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLLGLQSLVLSSNNFTGTIELDLFRNLRNLKSLDLSSNNLSVSDGTGDSSLLFPSLAQLNLQSCNLVTIPAFLKHKNNMECLNLSNNRIGGTIPEWIWSIGDICYLNLSRNLFTFVEGPPPYVTMSAGFILDLHSNLLGGPIPLPPPNSFIVDYSNNHFASFIPSNISYYIKNTIFFSLSNNRLTGEVPSSICQATNLRILDLSYNNLNGSIPACLMESLTELLVLRARGNQFQGPIPQQISSRCALQKINLHGNKLEGEVPRSLANCDKLEFLDLGSNNLVDSFPYWLGNLPELKVLVLRENGFYGPYGNTRGNCEGNHTFAMVHILDISSNNFSGTLSSDCFKNMKAMMSHQGITNAFRGGLTANFSFVVFSSISGYHSLFDSSDYWDLVTVALKGVKRDLVNTMTIFTAIDLSNNQFEGPLPEAIGNLKALISLNMSGNDFNGRIPSVFENLTEMQSLDLSGNQLSGQIPNSLTFLTFLSFLNLSNNNLVGKIPYGNQFSTFSSNSFEGNPGLCGSQLSRQCVNSSVEPSSNFNNAYPGLDIDVIWIWMFTGLGFIVGFASVIGFQLLFPKWNI >DRNTG_16399.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4395869:4398176:1 gene:DRNTG_16399 transcript:DRNTG_16399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDDDRGLLWRLPVMKMQDLGKLGPGFGIGAGCGVGFGFGLFGGAALGAGVPGFKIGFGLGAGCGIGMGFGYGAGRGIAYDDKQKYSNVGKLLGGGPWNRANLEGMEPMLDEFFLNTKKLIRAAKREMGKWR >DRNTG_06983.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15367072:15368111:-1 gene:DRNTG_06983 transcript:DRNTG_06983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCEACSGGGQIEPSPSQSSQKGESPQSRLHLGSLKTIPFQKKIQAGI >DRNTG_05199.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22667621:22667802:-1 gene:DRNTG_05199 transcript:DRNTG_05199.3 gene_biotype:protein_coding transcript_biotype:protein_coding GVPGNGATFYFLVFAILAGVVGVASKLSGANHVRVWRNVSLASASSSSVIAWAITALAFG >DRNTG_05199.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22667292:22668022:-1 gene:DRNTG_05199 transcript:DRNTG_05199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIIVVGFASWNLNHFINGQTNHPGVPGNGATFYFLVFAILAGVVGVASKLSGANHVRVWRNVSLASASSSSVIAWAITALAFGLACKEIHVGGYRGWRLRVLEAFIIILTFSQLIYVLLLHAGMFSGKYGPGYRDPDTYGMGAGQGGEPIKVGETGTRI >DRNTG_05199.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22667292:22667802:-1 gene:DRNTG_05199 transcript:DRNTG_05199.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGKYGPGYRDPDTYGMGAGQGGEPIKVGETGTRI >DRNTG_15957.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:364999:366496:1 gene:DRNTG_15957 transcript:DRNTG_15957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITVNGHSRVPPGFRFHPTEEELLNYYLRKKLASEKIDLDVIHDIDLNKLEPWDIQEKCKIGSTTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKVIYTSFKRIGMRKTLVFYKGRAPHGLKSDWIMHEYRLDDQPDTNSPNVSCMTGDQNIGGGGQEDGWVVCRVFKKKNHHKVVENQNINESKTTQILHSNNDGALDQILHYMGRSCKQENNPLDNINNSNSLRYLLPLDKFMKLPPLESPTSVPSSSHSDDYPPPPDGIQAVVSMCSGYNTEPAGVDNWATLDRLFASHLSGFDDTIYHDYQSAGENDLWSFTRPESGHLGDKNNESM >DRNTG_29671.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:126543:127699:1 gene:DRNTG_29671 transcript:DRNTG_29671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRNFRICLVLEVGSKVQEAIPYCREAIKLCHSRLGRLKEEGMNTDDSLVNVFNAHPESSKSGDQSQGVCHHAEEIKVLSGILSELEKKLEDLQQAVVNPKSIFSEVMKMVASKSTSSSMDFPDRESESLSLNSSQKGVVSGDFDSPTISSAGTNGSVTHLGVVGRGVKRAVVHPIVGESSHKKPSLDLPAEKTDSGNISELVDSSGCSNHESSIN >DRNTG_29982.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17460548:17463363:1 gene:DRNTG_29982 transcript:DRNTG_29982.2 gene_biotype:protein_coding transcript_biotype:protein_coding LEGPLKLKKQSSASRDQTRYCYPHQPHLSYSPSTIPHQISKPPKTPQENHSKAAPFLCVCELTEDFSGGHHRHHNLLLPLTISFPSLCLLLSNSTLVFNCGKNLRTFFMGKK >DRNTG_29982.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17460548:17463363:1 gene:DRNTG_29982 transcript:DRNTG_29982.1 gene_biotype:protein_coding transcript_biotype:protein_coding RNKARHHEIKLVIVTHTNPTSPTPHPPFPTRYPNPQKHHKKTTQRRHLFLIKHTKKQQKDCFSIENNTSPNQARERDETKRIEQKSSSFDSREDKGKAKRS >DRNTG_03124.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21588618:21591361:1 gene:DRNTG_03124 transcript:DRNTG_03124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMPDKKEDVKGEIMEGEKGKEVLEVLEDEEKSERSVSSSCVPLKRKRSPQPVLDLNEEVAMDVSDDGDEEEEEDDDDDEDVEDKELANDEDGGSTTEVAAGGSSSNNSSTNNNSSGGKRDGNSDRAPSVRQYVRSKLPRLRWTPDLHLSFVHAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDDSRQEKSILSSAVFSPMEMHMRRGDRIPEMFYHRTSAHQPFRMENGGNLFQTRNIHESYSTLLHRRQPQQDFDTKNSFRQQEWAFNQQQAAERIRSLNSQGPARGLIHDMMYRKEGKPLTSHLFDVRDAITGNNTIQPHQLLEEKWRSLGMSPTNQDMDRKNISSLDWIGSSSTPLSMPDSSFTLNHKPFSYSYLHDSMNIKDNFQLQERATIEMKSSSSTTTPNLKLSLSNSDWTSERANEKKDSDINVGVEKEEDEEDESVLSLSLSPPTMSSEQKKKKAALGLSTLDLTMSIKALE >DRNTG_17002.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20004134:20004634:1 gene:DRNTG_17002 transcript:DRNTG_17002.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPPKWTSNLSKYPIPVLHSANPNEQHFMPTKEKHSPSQRIATKTPLE >DRNTG_05285.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16732218:16734080:-1 gene:DRNTG_05285 transcript:DRNTG_05285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWKLPTPVRLSLGHPQGQVHAPVSSRENSLSLCKKT >DRNTG_32496.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20992762:20994707:-1 gene:DRNTG_32496 transcript:DRNTG_32496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEEDRPKFIPRRFESLRSVPAYESALKEGFDRCLDLYLCPRTRKKRLNIDPEALKPKLPES >DRNTG_25813.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2597285:2598999:1 gene:DRNTG_25813 transcript:DRNTG_25813.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIPSPTEGRNVLHGRYELGRVLGHGTFAKVYLARNLRTNRHVAMKVVGKEKVLRAGMIEQVKREISVMKMVTHPNIVELHEVMATRSKIFFAMELVRGGELFSLVARRGRLPESTARHYFRQLVSAIDFCHGRGVYHRDLKPENLLLDDDGNLKVADFGLSAFAEHVRPDGLLHTTCGTPAYVAPEVIGKKGYDGAKADLWSCGVILYVLLAGFLPFQDDNLVAMYKKIHRGDFKCPPWFSSDARRLITKLLDPNPSTRMTVSKLVETPWFKKSSLPKPPPLAPPAKKEGEEPESMNAFHLISLSEGFDLSPLFEDGVRKREEGMRFATREPASGVVARLEGVAERAAGKYKVVKSGARGVRLEGEERGRKGKLTVAADIFAVAPSVLVVEVRKDGGDTLEYHRFCSDELRPALQDIVWAAGGDAQPTAA >DRNTG_04911.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:10813515:10815399:-1 gene:DRNTG_04911 transcript:DRNTG_04911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLTSPDGTTKDNSHFEGYCTRETTCVVSVRYCILQGCSVSDLAAKLAFFPPSPATYSVKKVNGRLDASGIPRDHSLDVLMVDTN >DRNTG_02838.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20020503:20023549:1 gene:DRNTG_02838 transcript:DRNTG_02838.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLREEKGGKKETSVSSILKLQHLQKLAKCAGVPPPLAALFGHNLASNAEAAGVPLDSSCFLCQRCETVLQPGFNCTIRIEKNVKNKGRQKKSIPTTSKNSMVYTCHFCSHRNIKWGTPTGHVEGLLASRLGSHSHSNARNKTSNRNPHEKILEVLDANSELKSFTHIKAAANTDNMHVDVKTTDAVRTMEKTTDAVWTMEKSLSALKKKRKASKSHQSEIPIQGSSSERIVDSGKGASASNKRRRKSWSSLREKAESNELHKVRNLSNIVIPFHL >DRNTG_02838.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20020503:20023595:1 gene:DRNTG_02838 transcript:DRNTG_02838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLREEKGGKKETSVSSILKLQHLQKLAKCAGVPPPLAALFGHNLASNAEAAGVPLDSSCFLCQRCETVLQPGFNCTIRIEKNVKNKGRQKKSIPTTSKNSMVYTCHFCSHRNIKWGTPTGHVEGLLASRLGSHSHSNARNKTSNRNPHEKILEVLDANSELKSFTHIKAAANTDNMHVDVKTTDAVRTMEKTTDAVWTMEKSLSALKKKRKASKSHQSEIPIQGSSSERIVDSGKGASASNKRRRKSWSSLREKAESNELHKVRNLSNIVIPFHL >DRNTG_25298.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19744714:19746907:-1 gene:DRNTG_25298 transcript:DRNTG_25298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGGITNAVNVGIAVQADWENREFISHISLNVRRLFDFLLQFEATTKSKLATLNEKLDVLERRLEVLEVQVNSASENPSLFI >DRNTG_03213.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000146.1:377626:384757:1 gene:DRNTG_03213 transcript:DRNTG_03213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDIEFFWRRTIGGAFIDINLVWCALGLTSEPFEKTELLPPAYDQSKPQHWLKEILNSNDHFIEGEKSLYKNSQIKLRKRLAEFMRLITITSLAAQVESLSKKLDTQTYPRVAALTSFTSEGKYCSKVTVHAAHVEIPGHAERPQESLASNTKTNRREHAKVITLRSGRDIEGRLPRYASTEAPLPTFFLRNDDVGAIEPLQNPNQYLSKPYPKSSLKLGKRWRKES >DRNTG_20678.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001137.1:83858:84602:-1 gene:DRNTG_20678 transcript:DRNTG_20678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLETKVLSPKSPLERDPLSPKIWKNRDSEVVGLAIVTSLEKMGLEHTTINIESICNHSIKSFDEAEETPNFPALDFLDTCYLCSKRLEGKDIYMYRGEKAFCSKECRYQQIISDEGQEKCSYASLRRLGGAVSSSSDSDKLFFTDTITT >DRNTG_08454.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21407683:21410112:-1 gene:DRNTG_08454 transcript:DRNTG_08454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLLMDENHFSGIISPGIENLINLIQLDLSANDITGQIPEHIGKLTMLQVLNLAGNKLTGCIQSSIGNLTLLSDIILSWNRFEGTIPSTMKILRQLRSLSLSRNSLSGNIPGELFSQLFAVGDCNLADNSFNRTIPLEIGSLINLQKLELSGNRFTGSIPGTISACAVLEDLKLQGNLLLVPSSFSDLKLLETLGLANNNLSRFAPEFLQDFKSPSVLDLSYNHFR >DRNTG_31984.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:698157:704170:1 gene:DRNTG_31984 transcript:DRNTG_31984.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDRGVCLEESLTGGMDVWSSSVQSSSSPDHIVIMVHGILGSTTDWKFAADQFVRELPDKVIVHCSERNMYKLTLDGVDIMGERLAEEVVEVINRRPEARKISFIAHSVGGLVVRYAIGRLYRPSRRKAGEDLSRGVSDDISRGTICGLEAMNFITVATPHLGSRGNKQVPFLFGFTAIEKVASSVIHLIFRRTGRHLFLNDNDEGKPPLLKRMLDDCGELYFMSALQAFKRRVAYANVGYDHIVGWRTSSIRRNSELPKWKDSICEKYPHIVYEEISEGKDSDKCTEDAMTVGNCDALEEELVTGLTRVSWERVDVSFHTSKLRFAAHSVIQVKDITMHSEGADVIQHMIDHFLN >DRNTG_31984.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:698157:704170:1 gene:DRNTG_31984 transcript:DRNTG_31984.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLTLDGVDIMGERLAEEVVEVINRRPEARKISFIAHSVGGLVVRYAIGRLYRPSRRKAGEDLSRGVSDDISRGTICGLEAMNFITVATPHLGSRGNKQVPFLFGFTAIEKVASSVIHLIFRRTGRHLFLNDNDEGKPPLLKRMLDDCGELYFMSALQAFKRRVAYANVGYDHIVGWRTSSIRRNSELPKWKDSICEKYPHIVYEEISEGKDSDKCTEDAMTVGNCDALEEELVTGLTRVSWERVDVSFHTSKLRFAAHSVIQVKDITMHSEGADVIQHMIDHFLN >DRNTG_06169.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25308475:25312293:-1 gene:DRNTG_06169 transcript:DRNTG_06169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILSYLMMKKMMMMMMMLVLSVFTITALGDLSSNYQSLMLIKSDLVDPRGVLSSWSSQGSSTTGLCNWNGIACSMSQTDVLELNLSSSGLSGSISSEIGSLVSLQVLDLSSNSLSGLIPLELGMLKNLSVLLLYSNSLWGGIPPQLGLLNQLKVLRIGNNMLSGEIPPQLGNCSEMEILGLASCQLNGSIPNELGNLMHLQSLILQNNSVSGKIPEEIIGCRSLQIFSAADNKLQGEIPSGIGTLVELQALNLANNKLSGVIPSELGKLSGLTYLNLLNNELSGTIPFELNLLTRLQKLDLSQNNLTGTITLSTTHLKELQYLVLTDNYLDGEIPTDLCPAAPDNNTHWNLQNLFLAGNNLTGSIEVLLDCISLQSLDLSNNSLSGMIPAGIDRLTKLTNLILHNNSLTGTLPPQIGNLTNLEMLALFHNDLVGEIPAEIGKLKKLTLFFLYENQMSGIIPPELTNCSNLQELDLFGNQFTGSIPDNIGQLQNLVVLQLRQNDLSGFIPPSLGHCMSLEKLALADNLLSGTLPETMGSLSRLSLVTLYNNSLEGPLPESLSSLQNLTIINFSNNRFTGSISPLLGSSSLIKLDLTNNHFSGQIPSMLGDSKQLLRLRLGQNFLSGVIPSELGQLHELGFLDLSFNQLNGLVPPELSNCKQLSHLILKENMFSGTLPSWLGSLSSLGELDLSFNNFSGSVPAELGGCSSLIKLSLSDNQLTGVIPPEIGNLTSLNVVNLQNNNLSGPIPSSIQHCTSLYELRLSQNLLTGPIPPDLGLLSDLQVILDLSQNHLSGEIPASIANLVKLERLNLSFNQLQGRIPSFLGLLTSLHRLNLSHNFLYGEIPHSLSVFPVDSFSGNDLCGSPMALCFAPPASGQRLSNGMVAGIIVAIVLTSTLVILVLLYIMIRIWLNWRHVSVSVSDGEGYDEHGRYWKVSTTTCSATATSTSSHEKQGSSASDSCILQHKTMQLADLENQTKSY >DRNTG_35339.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2710954:2711409:-1 gene:DRNTG_35339 transcript:DRNTG_35339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNKLFLLTFLVSLLCNASHAARHLLDTPAATPEPTPSTTPTIPTIPTIPTTQFPPLPSLPKPTIPGLVIPTMPAATLPPIPSIPLPTMPTIPKSLPPLPSIPNVIPN >DRNTG_08093.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9995195:9995647:-1 gene:DRNTG_08093 transcript:DRNTG_08093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIMLQTRHKNIKTTSNKGEEACNQDQSTNSLTRSKLPTQEQHTCL >DRNTG_16109.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1556231:1562054:-1 gene:DRNTG_16109 transcript:DRNTG_16109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGKMVCVTGASGYIGSHVVQILLQRGYTINGTVQQLDDEAETKHLQCMDGAQDRLKLFQMDLLDYDSILAAVHGVSGILHLASPLTIGAILDPERELIEPAVKGTVNVLRAAKECGVKRVVVTSSVSSMVPNPGWPADVVIDEDCWLDLEYCKQNGIWYPLSKALAEKAAWEFAGENEVDVAVVNPGTVLGPIIPPAINASMGMVRQLLQGCPDHFTNFFIGAVHVKDVAMAHILLHENPAGAGRHLCIERICHWSDFAAKVAELYPEYKISSFPKDTQPGVLRASDPAKKLIALGMHFTPMEQIIKDAVESLKSKGYI >DRNTG_28451.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20184370:20187014:-1 gene:DRNTG_28451 transcript:DRNTG_28451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRERAGRGNWGTPTDDVIAQGTEGNANEGNFVSSAKQLEQDGEQSLDANKENKEGAANEVDQKGEENKEMTLEEFEKIREEKRKVLLAMKPEERKVDFDKDFESMKQLSIKKGDDIFIQL >DRNTG_07296.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3487421:3488870:1 gene:DRNTG_07296 transcript:DRNTG_07296.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAFTIKILETLLLAGVLSGLFSSSAKAQNCDCDLTIYCCSQWGYCGNGYDYCGPGCQAGPCETSCEGTGTLTVSDIVTQEFLDGITSQASADCAGNGFYSRSAFLEAASSFPDFGTTCTDDDRKREIAAYFAHVTHETGHFCYIEEINGQAYNYCQESQEYPCNPNKKYFGRGPLQLSWNYNYIPAGQDIGFDGLNDPDIVARDPVISFKTSLWFWMKRGVHNAIISGQGFGATIRIINGGLECDGGNPAQMMARVEYYKSYCAHLGVSPGNDLTCLTRTLAFSK >DRNTG_32438.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4617432:4617925:-1 gene:DRNTG_32438 transcript:DRNTG_32438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSVIRTVKKMKEDHKKMKVKKGWLAVRVGLEEDEGGFKRFIIPISYLYHPLFKGLLEAAHETYGYHSTGPLKLPCSVDDFLHLQWLIEHEAQQSHHSFHRPHSSFSLHSC >DRNTG_34616.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:41944:45045:1 gene:DRNTG_34616 transcript:DRNTG_34616.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQNWQLSPPKDGTKVPTNSQAIANESKVTSFLTGSSFSDKGNSIWQGDDI >DRNTG_34616.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:41944:45045:1 gene:DRNTG_34616 transcript:DRNTG_34616.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQNWQLSPPKDGTKVPTNSQAIANESKVTSFLTGSSFSDKGNSIWQGDDI >DRNTG_14606.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000716.1:4091:7109:-1 gene:DRNTG_14606 transcript:DRNTG_14606.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDSKEIDEPAPAKLKLYNYWRSSCSQRVRIVLNLKGLDYEYKAVNLMKGEHFHPEYEKLNPIHFVPTLVDGDIVVGDSYAVILYLEDKYPQHPLLPKDLKKKALNLQVASIVSSSIQPFHGVPRLSFVEAKFNDDERLKWTQHYLGKGFTALEKLLKDVPGKYATGDEVLLADVFLVPQVHAAITRFGIDMTAYPRLSRAFAALCELPAFQDALPERQPDCPSTA >DRNTG_14606.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000716.1:4091:7109:-1 gene:DRNTG_14606 transcript:DRNTG_14606.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDSKEIDEPAPAKLKLYNYWRSSCSQRVRIVLNLKGLDYEYKAVNLMKEYEKLNPIHFVPTLVDGDIVVGDSYAVILYLEDKYPQHPLLPKDLKKKALNLQVASIVSSSIQPFHGVPRLSFVEAKFNDDERLKWTQHYLGKGFTALEKLLKDVPGKYATGDEVLLADVFLVPQVHAAITRFGIDMTAYPRLSRAFAALCELPAFQDALPERQPDCPSTA >DRNTG_14606.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000716.1:4091:7109:-1 gene:DRNTG_14606 transcript:DRNTG_14606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVVSVCNFVEYEKLNPIHFVPTLVDGDIVVGDSYAVILYLEDKYPQHPLLPKDLKKKALNLQVASIVSSSIQPFHGVPRLSFVEAKFNDDERLKWTQHYLGKGFTALEKLLKDVPGKYATGDEVLLADVFLVPQVHAAITRFGIDMTAYPRLSRAFAALCELPAFQDALPERQPDCPSTA >DRNTG_19368.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5812264:5814169:-1 gene:DRNTG_19368 transcript:DRNTG_19368.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKRPYMAMVVVQVIFAGMALTSKAAFNHGMNTFIFLFYRQAFSVLLLLPLSLLFGRKNATSLPFKLLLKIFFWSLIGLTVNLNVYNVAIKFTSTTVATAATTAIPVLTFILAVLLKMENLNPKRMPGILKSLGIAFCLAGVLVIAFYKGPQVISVNSNHPTMHGSAANQHVIPNSTRTWIKGTFLMVLATIAWSSWIVSQGFLLNEYPHQLIFQTLCAIFSTFQSFLIAIAFERHLSKWKLQMDVGLYAIAYGGIFVGVIGFYLQTWSIDKKGPVFAAIFTPLSLVITTALSTFFLNEITYFGSVLGAVLMVIGLYSVLWGKIKEKKPRDEILRT >DRNTG_19368.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5812264:5814169:-1 gene:DRNTG_19368 transcript:DRNTG_19368.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGLYAIAYGGIFVGVIGFYLQTWSIDKKGPVFAAIFTPLSLVITTALSTFFLNEITYFGSVLGAVLMVIGLYSVLWGKIKEKKPRDEILRT >DRNTG_07104.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22297636:22299060:1 gene:DRNTG_07104 transcript:DRNTG_07104.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLDSDSGCGMAVLDSIRQHLLEDPTGGDVKPCAVSLPQRSYCRTASFGSIVADHWGELPFRADDSDDMVVFGVLRDAYSHGWLPFGAAAVAVAPEVKIEPVVEPETEPETKLVKIEIPSVVPAKGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFETAEEAAMAYDRAAYRMRGSRALLNFPLRIATEDVTPVSSPGKEGVAGAGFVELVFGEGIAEEEEERGSSSSRGGDVWWAGFGSGAGSGAGWVGIWEEARGFQWNSAAADTCRSATGELKFKGN >DRNTG_15389.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15170222:15170428:-1 gene:DRNTG_15389 transcript:DRNTG_15389.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFVSISFIALYLYTLVVAGITMAMQSQERLLTQHLEQQKIDHVEPVVEKDKVEVEGQVGDESKRSK >DRNTG_09106.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21032:21939:-1 gene:DRNTG_09106 transcript:DRNTG_09106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPRVRCSTTYTAMMKIIAIITTVGHQLSQSIAVTDPEEQRSNCKRGLDIDLNLRLGPWPDDEIGSVDGGGGGATGCSGIVDVSDAPAESSVMSSSEKKPPSVVGSISGEGLTADKSSQGECNPEGRTKMKKAEEVGREYSEITNIQAEEGGKRRRSEGYLDVLVEAVRQVSGFFSDEEEEEEEEEKEDETRAEEAAAAESRKKRGLDLEEECGPVVRSKRGRNQALPSRYR >DRNTG_32696.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001892.1:29865:32201:1 gene:DRNTG_32696 transcript:DRNTG_32696.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPFHVLLLFIIIFFFSSSSIINCVIHDHDDLLLSIADNRTLFQIYIIHVDPPNDNVELLGEEEQQKWYESFLPNTTLDSGEPRLLYSYRHVISGFAAKLTLEELHEMERMPGFLLALEDKKNTLGTTHAPDFLGLNQWNSLWQSSNEGEGVIIGIADSGIRHTHVSFKDDGTMPDPPLKWRGCCQYVTPNCDPWSPSKCNNKLIGARAVGSIGSPDDEIGHGTHVASTAGGSRVYNAGVLGQAKGVAVGMAPRAHLAMYRICTANKNHEDECTDRDILGGIDQAITDGVDVLSLSIDTPKDYIQDTVVKSTFAGVEKGILASACAGNGGMFPSRLANDVPWILTVGATSMDRVVKATVKLGNGMELEGQSAYQPSSFSSSTMLELIYPGEIVKNDFNKACKSAALNFFDLKGKIVVCEAWVTNDVAKSEAVKKYGGAAMILLSQSWDGFTTFSEAHVIPTAHLNHVDSLKVVSYFRTEANPTATIIFGGTKDGVRRSPAVGSFSSRGPSLRNGGILKPDIIGPGVDILAAWNKQVGPDPFGSDDSAFNFAHGCSMATPMLAGIIALLKSTHPDWSPAMIKSAIMTTAHTTDRTGQPIADEKSNTYDKASFFAMGAGHVDPTRANDPGLVYNTTPEDYIGYLCSLKQLSEFSIGIIIRRRNFHCADYVKIEPEQLNYPSISVSMNNALLKKITRTVINVGESNSVYKVEVDDPEGVSLKVDPESLTFSQMYEEKSFIVSFNAKIPHPFKGEYSEGQLVWKSTSLKEYAVRSPISVQF >DRNTG_34531.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1015974:1017904:-1 gene:DRNTG_34531 transcript:DRNTG_34531.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENIKRGVESGNITPDSSLIRFSDEALEEQKHCIRIADEKVALAVQAYDIVDAHIQQLDQYMRKLEEIRKEKELAASAGANVLNTENTTRSGRISESSRGGRKKTRLAAAAAVEPISMDLELPVDPNEPTYCFCNQVSFGEMVACDNPDCKIEWFHFGCVGLKEHPKGKWYCSNCSGMQKRRKGK >DRNTG_34531.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1015974:1020129:-1 gene:DRNTG_34531 transcript:DRNTG_34531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMEDFQARVQRQNEQRCEQEMENIKRGVESGNITPDSSLIRFSDEALEEQKHCIRIADEKVALAVQAYDIVDAHIQQLDQYMRKLEEIRKEKELAASAGANVLNTENTTRSGRISESSRGGRKKTRLAAAAAVEPISMDLELPVDPNEPTYCFCNQVSFGEMVACDNPDCKIEWFHFGCVGLKEHPKGKWYCSNCSGMQKRRKGK >DRNTG_13173.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19968404:19971806:-1 gene:DRNTG_13173 transcript:DRNTG_13173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLDSWIREFNEASKLADDISGMISERGSLPPSGPETQRHTSAIRRKITILGTRLDSLESILSKLPSKQPISDKELHKRQDMLSNLRSKAKQMASTLNMSNFANREDLLGPSKKSVDEISRTAGLDNYGIVGLQRQIMKEQDEGLEKLEETVLSTKHIALAVNEELDLHTRLIDDLDQHVDVTDSRLRRVQKRLAVLNKRTKGGCSCMCLLLAVVAIVILAVIAWLLIKYL >DRNTG_21236.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:242662:246147:-1 gene:DRNTG_21236 transcript:DRNTG_21236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGPINQDWEPVVIRKKAPNSAAKKDEKAVNAARRAGADIETVKKSNAGTNKAASSSTSLNTRKLDDDTESLAHDRVPTELKKNIMQARMDKKFTQAQLAQLINEKPQVIQEYESGKAIPNQQIISKLERVLGTKLRGKK >DRNTG_33951.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:965782:969855:1 gene:DRNTG_33951 transcript:DRNTG_33951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFLSKLPHKSSKSINASDSTPNHASSNGLNSGCSIQRTTSNGNVASGRAAATVKRMSSAIFPSSVVAGIEPLLSFKDVPNHEKPNLFISKLNLCCVVFDFSDPNKNSVEKDLKRQALMDLVDYVNTTTSRFTEPMIATSCKMFAANLFRVFPPNYRSGSSGGGENEEEEPMFDPAWSHLQGVYDLLHRFIASSSLDAKIGKKYIDHSFILRLLELFDSEDPRERECLKTILHKCYGKFMVHRPFIRKAVSNIFYRFVFETDRHNGIAELLEVFGSVISGFALPLKEEHKIFLSRALIPLHKPRTVGVYFQQLTYCVTQYIEKDPKLASTIIRGLLKFWPVTNSQKEVMFLSELEEVLEATNVAEFQKCMVPLFRRIACCLNSSHFQVAERALFLWNNDHITNLVAQNRQVIIPLIFPALERNSQNHWNQAVLNVTLNVKKILTEMDEELILACQTKFEEDEDERITLEEKRRMKWERLEAAAAGQPVTGNTAVLVTPFATPAISATLV >DRNTG_27353.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1616661:1616900:1 gene:DRNTG_27353 transcript:DRNTG_27353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVSNSVPFLCWPYFAHQQLNQIYICDARKTGLKMKHDENGLITKEEFIDTVEQLITHGEIKRALALKEMTTKSIDKGW >DRNTG_13119.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17280637:17283090:1 gene:DRNTG_13119 transcript:DRNTG_13119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRAILRRSFDEEGGNKMKFHEMMEETVVLLGGFNVEDYFPSLRWLCSLFGLDERSKRTSSKWDSILDQMIEDHVKMNERGEVEDNDFADVLLSIQGRIVKPIMKERSQCGSQCTDFGENLAKVQMRRQRLGDKSSTNLSIIEEASDPRCERVPVCVTPMKAWIREAIQAGYCSRTLQQSTVAALFAADQENRNSENPHGRVNDPQARVVARFQPYLKPIQPRFQHSFLHLFPNLREGFD >DRNTG_02212.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1231992:1237117:-1 gene:DRNTG_02212 transcript:DRNTG_02212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSKEEDSPVLALCRERRDLIRAAVDGRYALASAHAAYFHALAAVGDALHRFARDELIPISSSPSSPHLTLPSSEGKPKPSRSSSNGAASSSSSATPLSHSLSFDGSHIHLSSDPPSEHNVEGERDDVRTRSPSPSPSPSRAAPSAMPSPYYNYMRSSAIPTMVYEENPYAYSYAGYGGYGYSYPPYGDPIGSPDPPGTDAARRDSSPPPPPPPAGSAWDFFNPFDSYEQYMPEYSQFRMSSVVSSPDSDEVREKEGIPDLEDEMEPEPVKKMEKEKKVIEEDSGAGTSKAVAPEQEKEEVKDVVEEKESKESASEGEKSKGSGSGTEEGHVRRKSVSFEEDASLATEASGVTALSVHATRNVMEAVEEIKEQFKLASRFGQELSVMLEVGKMRYRSANGILRAIFSWILDFMALPVLVSSQNSYGSVLERNNMGHAASESHTNMKFSNLSSTLEKLYEWEKKLYKEIKEEERLRVIYDKKYMELKALDDSGAESNKIDSVRESIRILRTKISIVLKSVDVISIRMHKVRDEELQPQLVELIQGLIRMWKFVLECHQKQFQAIVESQNQNFMAKTAIQRNSVDKATIELERELLHWCSSFNEWIQSQKTYIETLNGWLIRWLPREEEVTPDGVVPFSPSRIGAPAIFIISNDWFHAMQRISEVEVIRTMRAFAANVHQLWESQDEEQRQKLKAEYLSRDYSRRLKSLYQENSNLNVILASENNQAPIDDRVLALDSIKKRVEEERAKHAEAVKQVQEVASGSLRNGLVPIFEALKNFTSDTLKAYEGLRMPDEIAQSAGTT >DRNTG_02212.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1231944:1237020:-1 gene:DRNTG_02212 transcript:DRNTG_02212.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSKEEDSPVLALCRERRDLIRAAVDGRYALASAHAAYFHALAAVGDALHRFARDELIPISSSPSSPHLTLPSSEGKPKPSRSSSNGAASSSSSATPLSHSLSFDGSHIHLSSDPPSEHNVEGERDDVRTRSPSPSPSPSRAAPSAMPSPYYNYMRSSAIPTMVYEENPYAYSYAGYGGYGYSYPPYGDPIGSPDPPGTDAARRDSSPPPPPPPAGSAWDFFNPFDSYEQYMPEYSQFRMSSVVSSPDSDEVREKEGIPDLEDEMEPEPVKKMEKEKKVIEEDSGAGTSKAVAPEQEKEEVKDVVEEKESKESASEGEKSKGSGSGTEEGHVRRKSVSFEEDASLATEASGVTALSVHATRNVMEAVEEIKEQFKLASRFGQELSVMLEVGKMRYRSANGILRAIFSWILDFMALPVLVSSQNSYGSVLERNNMGHAASESHTNMKFSNLSSTLEKLYEWEKKLYKEIKEEERLRVIYDKKYMELKALDDSGAESNKIDSVRESIRILRTKISIVLKSVDVISIRMHKVRDEELQPQLVELIQGLIRMWKFVLECHQKQFQAIVESQNQNFMAKTAIQRNSVDKATIELERELLHWCSSFNEWIQSQKTYIETLNGWLIRWLPREEEVTPDGVVPFSPSRIGAPAIFIISNDWFHAMQRISEVEVIRTMRAFAANVHQLWESQDEEQRQKLKAEYLSRDYSRRLKSLYQENSNLNVILASENNQAPIDDRVLALDSIKKRVEEERAKHAEAVKQVQEVASGSLRNGLVPIFEALKNFTSDTLKAYEGLRMPDEIAQSAGTT >DRNTG_02212.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1231992:1237020:-1 gene:DRNTG_02212 transcript:DRNTG_02212.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSKEEDSPVLALCRERRDLIRAAVDGRYALASAHAAYFHALAAVGDALHRFARDELIPISSSPSSPHLTLPSSEGKPKPSRSSSNGAASSSSSATPLSHSLSFDGSHIHLSSDPPSEHNVEGERDDVRTRSPSPSPSPSRAAPSAMPSPYYNYMRSSAIPTMVYEENPYAYSYAGYGGYGYSYPPYGDPIGSPDPPGTDAARRDSSPPPPPPPAGSAWDFFNPFDSYEQYMPEYSQFRMSSVVSSPDSDEVREKEGIPDLEDEMEPEPVKKMEKEKKVIEEDSGAGTSKAVAPEQEKEEVKDVVEEKESKESASEGEKSKGSGSGTEEGHVRRKSVSFEEDASLATEASGVTALSVHATRNVMEAVEEIKEQFKLASRFGQELSVMLEVGKMRYRSANGILRAIFSWILDFMALPVLVSSQNSYGSVLERNNMGHAASESHTNMKFSNLSSTLEKLYEWEKKLYKEIKVVVIGGRKTSGHL >DRNTG_01355.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:301649:303157:-1 gene:DRNTG_01355 transcript:DRNTG_01355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFSYDQSDLVSQFILDDHHSMFWFDHETEINADLSSCFVSEDNSSSYDDNYFKSFFSAEDHQQVFQDVHNQQLQERTFTDDFGVSGSKRKFHISDNEVAIHAEIPEKKPRATTKKRNLSMKLQRSAIIEDVKESSLTVNGQSSSSYSSEDDSNVSQEINGGNFSKKCSDATIDNLNGKTRANRGSATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDEMWMYAPIAYNGMDIGLDLNNSQLKR >DRNTG_24811.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31845376:31849781:-1 gene:DRNTG_24811 transcript:DRNTG_24811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCPGGDLHTLRQRQPGKHFSEQAVKFYVAEVLLAMEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLIKSSSLDSDPLRRSNPVYCAQPACIQPSCIQPSCVVPTTCFSPRIFSSKSKKERKPKPEVGNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGDGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFAVKDLIRGLLVKEPQNRLAYKRGATEIKQHVFFEGVNWALIRCASPPEIPKQVELDRPQASAASSSDKVVSAKDKKGSDNYLDFDFF >DRNTG_23855.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001280.1:64412:68274:1 gene:DRNTG_23855 transcript:DRNTG_23855.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 SUMO-protein ligase MMS21 [Source:Projected from Arabidopsis thaliana (AT3G15150) UniProtKB/Swiss-Prot;Acc:Q8GYH7] MPGEEQEDIVMTTTQTTLKNFTCPITGKPVINLQNPVRGMDCRHIYEKDAIMHHIRTKNTCPVAGCPKILQAGRILCDHLLLIEIDELRSAQNTAVDATVVEDFTELDDDE >DRNTG_23855.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001280.1:64412:68274:1 gene:DRNTG_23855 transcript:DRNTG_23855.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 SUMO-protein ligase MMS21 [Source:Projected from Arabidopsis thaliana (AT3G15150) UniProtKB/Swiss-Prot;Acc:Q8GYH7] MASTAAASTSASRSGNSGTARITTTAATLSSDNQSLVAEIRKAIGMIKAVAVDLEKDQQSDKVNQLEDAVLELLATYDDCTSFSDAIQQVGSRYQPSDQVTDFKKLLEDEAARLKAASQSAPQSNPLYRQFKEAVWNVHHAGQPMPGEEQEDIVMTTTQTTLKNFTCPITGKPVINLQNPVRGMDCRHIYEKDAIMHHIRTKNTCPVAGCPKILQAGRILCDHLLLIEIDELRSAQNTAVDATVVEDFTELDDDE >DRNTG_23855.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001280.1:66931:68274:1 gene:DRNTG_23855 transcript:DRNTG_23855.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 SUMO-protein ligase MMS21 [Source:Projected from Arabidopsis thaliana (AT3G15150) UniProtKB/Swiss-Prot;Acc:Q8GYH7] MIALFSKFKLMPLFSFQPFLFVVDKLHWCCIIIDYSSQNVHHAGQPMPGEEQEDIVMTTTQTTLKNFTCPITGKPVINLQNPVRGMDCRHIYEKDAIMHHIRTKNTCPVAGCPKILQAGRILCDHLLLIEIDELRSAQNTAVDATVVEDFTELDDDE >DRNTG_14124.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17282595:17284397:1 gene:DRNTG_14124 transcript:DRNTG_14124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNLVILDIGENKLSGNIPTWIGENLSSLIVLRLRSNYFEGIIPEQLSKLSSLQILDLADNNLSGCIPHSFGDFKAIVVTNHNESLPFSFHEAFYPDYRWASSPENFVHSESLLINAKGLQMEYSKILSLVTSIDLSNNKLSCELPEELTKLHGLHFLNLSYNHFNGKIPESISDMKQLESLDLSENNLFGTIPSAMSTLNFLSHLNLSHNILSGKIPSGGQLQTFNPSAYNWNLNLCGSPLQKCTTDQTHYSQSANEEEGESDWLEMLWLYVGLAMGFIIGFWMTIGTIMIKQAIRIAYFRSIDKAYDFLYVKIVVYSRRLKSTFSKSN >DRNTG_04512.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19048083:19051384:-1 gene:DRNTG_04512 transcript:DRNTG_04512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPSPKEKAHFPMSFSFSHTMPTILTTNNFFFTIFFFFLLHFELGRAAHSLADPHWHSATATWYGSPDGDGSDGGACGYGTLVDVKPLRARVGAVSPVLFMNGEGCGACYKVKCGDPSICSRRPVTVIVTDECPGGYCANGRLHFDLSGAAFSRMAVAGLGPQLRNRGEIPVFFRRTPCKYPGKNIAFHVNEGSTDYWLSLLVEFEDGDGDIGSMHIKQYNAVEWVEMKHVWGASWCIIGGPLKGPFSVKLTTLSTQRTLSARDVIPRNWSPKATYTSKLNFSP >DRNTG_06822.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5148495:5148731:-1 gene:DRNTG_06822 transcript:DRNTG_06822.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFSLLLSWSTSEDKVAEEDEKCGIWWAIKILGNFE >DRNTG_27733.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:185290:187597:-1 gene:DRNTG_27733 transcript:DRNTG_27733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAPNTSTIPNEHKKALEFIEDVTTNAGEVQNRVLSEILKQNSKTEYLYRHGLNGAGNDIASFKKLMPMVKYEDLQPDILRIANGDKSPILCSRPISEFLTSSGTSGGERKLMPTIEDELDRRSLLYSLLMPVMNQYVPGLDKGKGMYLLFVKSEARTPGGLLARPALTSQCKSRHFVERSFDPYNVYTSPNEAILCSDSYQSMYAQLLCGLLENSLVLRVGAVFASAFIRAINFLEKNWPRLARDILTGTLDEEITDSAVREAVMKVLKPNPSLASFIETECRKESWQGIILRLWPNTKYVDVIVTGTMSQYIPTPRLLQWWPPLGLHPVMLRLSVTSVLILIQ >DRNTG_00082.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21132114:21134120:-1 gene:DRNTG_00082 transcript:DRNTG_00082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLSVLQLLLLLLLFGHALHCNDAAVLVPISKYPANCIESERMALLDFKKHIQDPSNKLSSWVIGQDCCSWEGVHCDNLTGNILALELKGPDPNSYESYYWDDSNYLQLGGEISPSLLQLQHLNYLDLSCNFFDGTSIPSFISQFKELRYLNLSYSGFQGSIPAGFGNLSSLHTLDLSHNYGGVYVDDPAHQWLSHLSSLQHLVVSGVTFRSNSSSCLFLALNKLPSIKEIRLSQCGLESIPLFIPHLNFSSLSILDLSENYINFSVPSFQFNLKSLQYLDLRVNYFDNIGHDYQWLSNLTSLQHLDMSFVNLGNMSTSLFLALNKLPSINELHLSNCKLEKLPHSIPHLNFSSLSVLDLSYNHINFSGISWLFNIKSLQSLDLSQNELYHPTITVPSPIMYMTSIFIGPNYYKSQPSEISISIPESMGSLCSLQTLDLTSLSINKRLVELEGGFSGCLKNSLTHLHLSSTELKGDIPDWIGEIKNLKLLDLSRNSFSGSVPSSLASLSFLEELLLNDNQLTGTLPKEFGNLAQLVHLDLSYNQLSGAIAEEHFTQLGNLKTLDMSSNSPVFNVSANWVPPFLLNQLRIRSCLVGPEFPTWLQTQHMLKTLDMSQNRISSTVPDWLWNLTTRNLIYLGLSFNQIQGMIPKFLTFTHME >DRNTG_19185.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21374613:21379572:1 gene:DRNTG_19185 transcript:DRNTG_19185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRSSSNASSGMGVADDCKHIFSELQRKKMHRYVVFKIDEKRKEVIVEKTGAATESYDNFVASLPENDCRYAVYDFDFVTEENCQKSKIFFVAWSPAVSRIRAKMLYAASKDRFRRELDGIHYEIQATDPTEMDIDVLRERAN >DRNTG_28161.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:507961:513788:-1 gene:DRNTG_28161 transcript:DRNTG_28161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFGQTVRDLKREVNKKVLKVPGIEQKVLDATSNEPWGPHGSNLADIALATRNYHEYQLIMNVIWKRINDTGKNWRHVYKALTVLEYLVANGSERVIDEIREHAYQLSTLSDFQYIDSSGRDQGSNVRRKSLSLVSLVNDKERVLEVRQKALNNKDKYRSNRPGGYGDRYDDDRYGNREDDRNGYGKERDWGSRDDDRYGRSKDPYSRDGDRYGRDLDDRCVRDSYRDGDYRGRGNDDYQYGSRNRRSTDRDTERSHEDDDRYSSRSSGSRADGFPQDERQEYKIPDSIGAPPSYEEALKDAQNHIQDEKDGGSVAASPQNESSPVVPKVSSPAGSSGQGPDHVVEGVPVAASTKEVDPFDEFDPRSTPAVPQAAFPPDMDFFGSLAVSDANSLALMPVASDAPSEPDSSINSGYGTDFVALSTASTVMSQPGENPFGDPPFKATPPENFSAQPQSSEPTILQPPSMVGGAEPFPAVAQATETVPNFDFGDALGGLTYTPTSVPNGPQSSANVASQPLWFPEAQATNDILDALLPPTGVPTMASSLPAQPVGMTHMQTPHMNIPPQTEVSASLAPQSVQFPSYVSQPAAPSSIQTAPLGHALQSSALAANDPLAALTTGLPTVKSQPSIDKFEPKSTVWADTLSRGLVNLNISGPKINPLADIGIDFESINRKEKREAKSSAAPVTTSTITMGKAMGTGSGIGRAGASGLAPPPNHMAGFWNWHGHGTWWSGQGMGIGGSYGSAMNQPMNQAMGMGGMNMNMNMGMGMGMNMGMAPGAPMRPPMGMPPNHPQGPGMPGAGYNPMIGTRSL >DRNTG_28161.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:507961:512886:-1 gene:DRNTG_28161 transcript:DRNTG_28161.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFGQTVRDLKREVNKKVLKVPGIEQKVLDATSNEPWGPHGSNLADIALATRNYHEYQLIMNVIWKRINDTGKNWRHVYKALTVLEYLVANGSERVIDEIREHAYQLSTLSDFQYIDSSGRDQGSNVRRKSLSLVSLVNDKERVLEVRQKALNNKDKYRSNRPGGYGDRYDDDRYGNREDDRNGYGKERDWGSRDDDRYGRSKDPYSRDGDRYGRDLDDRCVRDSYRDGDYRGRGNDDYQYGSRNRRSTDRDTERSHEDDDRYSSRSSGSRADGFPQDERQEYKIPDSIGAPPSYEEALKDAQNHIQDEKDGGSVAASPQNESSPVVPKVSSPAGSSGQGPDHVVEGVPVAASTKEVDPFDEFDPRSTPAVPQAAFPPDMDFFGSLAVSDANSLALMPVASDAPSEPDSSINSGYGTDFVALSTASTVMSQPGENPFGDPPFKATPPENFSAQPQSSEPTILQPPSMVGGAEPFPAVAQATETVPNFDFGDALGGLTYTPTSVPNGPQSSANVASQPLWFPEAQATNDILDALLPPTGVPTMASSLPAQPVGMTHMQTPHMNIPPQTEVSASLAPQSVQFPSYVSQPAAPSSIQTAPLGHALQSSALAANDPLAALTTGLPTVKSQPSIDKFEPKSTVWADTLSRGLVNLNISGPKINPLADIGIDFESINRKEKREAKSSAAPVTTSTITMGKAMGTGSGIGRAGASGLAPPPNHMAGFWNWHGHGTWWSGQGMGIGGSYGSAMNQPMNQAMGMGGMNMNMNMGMGMGMNMGMAPGAPMRPPMGMPPNHPQGPGMPGAGYNPMIGTRSL >DRNTG_28161.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:507961:513788:-1 gene:DRNTG_28161 transcript:DRNTG_28161.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFGQTVRDLKREVNKKVLKVPGIEQKVLDATSNEPWGPHGSNLADIALATRNYHEYQLIMNVIWKRINDTGKNWRHVYKALTVLEYLVANGSERVIDEIREHAYQLSTLSDFQYIDSSGRDQGSNVRRKSLSLVSLVNDKERVLEVRQKALNNKDKYRSNRPGGYGDRYDDDRYGNREDDRNGYGKERDWGSRDDDRYGRSKDPYSRDGDRYGRDLDDRCVRDSYRDGDYRGRGNDDYQYGSRNRRSTDRDTERSHEDDDRYSSRSSGSRADGFPQDERQEYKIPDSIGAPPSYEEALKDAQNHIQDEKDGGSVAASPQNESSPVVPKVSSPAGSSGQGPDHVVEGVPVAASTKEVDPFDEFDPRSTPAVPQAAFPPDMDFFGSLAVSDANSLALMPVASDAPSEPDSSINSGYGTDFVALSTASTVMSQPGENPFGDPPFKATPPENFSAQPQSSEPTILQPPSMVGGAEPFPAVAQATETVPNFDFGDALGGLTYTPTSVPNGPQSSANVASQPLWFPEAQATNDILDALLPPTGVPTMASSLPAQPVGMTHMQTPHMNIPPQTEVSASLAPQSVQFPSYVSQPAAPSSIQTAPLGHALQSSALAANDPLAALTTGLPTVKSQPSIDKFEPKSTVWADTLSRGLVNLNISGPKINPLADIGIDFESINRKEKREAKSSAAPVTTSTITMGKAMGTGSGIGRAGASGLAPPPNHMAGFWNWHGHGTWWSGQGMGIGGSYGSAMNQPMNQAMGMGGMNMNMNMGMGMGMNMGMAPGAPMRPPMGMPPNHPQGPGMPGAGYNPMIGTRSL >DRNTG_28161.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:507961:512651:-1 gene:DRNTG_28161 transcript:DRNTG_28161.5 gene_biotype:protein_coding transcript_biotype:protein_coding MICSKREVNKKVLKVPGIEQKVLDATSNEPWGPHGSNLADIALATRNYHEYQLIMNVIWKRINDTGKNWRHVYKALTVLEYLVANGSERVIDEIREHAYQLSTLSDFQYIDSSGRDQGSNVRRKSLSLVSLVNDKERVLEVRQKALNNKDKYRSNRPGGYGDRYDDDRYGNREDDRNGYGKERDWGSRDDDRYGRSKDPYSRDGDRYGRDLDDRCVRDSYRDGDYRGRGNDDYQYGSRNRRSTDRDTERSHEDDDRYSSRSSGSRADGFPQDERQEYKIPDSIGAPPSYEEALKDAQNHIQDEK >DRNTG_28161.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:507961:512471:-1 gene:DRNTG_28161 transcript:DRNTG_28161.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNVIWKRINDTGKNWRHVYKALTVLEYLVANGSERVIDEIREHAYQLSTLSDFQYIDSSGRDQGSNVRRKSLSLVSLVNDKERVLEVRQKALNNKDKYRSNRPGGYGDRYDDDRYGNREDDRNGYGKERDWGSRDDDRYGRSKDPYSRDGDRYGRDLDDRCVRDSYRDGDYRGRGNDDYQYGSRNRRSTDRDTERSHEDDDRYSSRSSGSRADGFPQDER >DRNTG_28161.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:507961:513788:-1 gene:DRNTG_28161 transcript:DRNTG_28161.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFGQTVRDLKREVNKKVLKVPGIEQKVLDATSNEPWGPHGSNLADIALATRNYHEYQLIMNVIWKRINDTGKNWRHVYKALTVLEYLVANGSERVIDEIREHAYQLSVINSIVFLFVYCETLLILS >DRNTG_28161.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:507961:510017:-1 gene:DRNTG_28161 transcript:DRNTG_28161.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFGSLAVSDANSLALMPVASDAPSEPDSSINSGYGTDFVALSTASTVMSQPGENPFGDPPFKATPPENFSAQPQSSEPTILQPPSMVGGAEPFPAVAQATETVPNFDFGDALGGLTYTPTSVPNGPQSSANVASQPLWFPEAQATNDILDALLPPTGVPTMASSLPAQPVGMTHMQTPHMNIPPQTEVSASLAPQSVQFPSYVSQPAAPSSIQTAPLGHALQSSALAANDPLAALTTGLPTVKSQPSIDKFEPKSTVWADTLSRGLVNLNISGPKINPLADIGIDFESINRKEKREAKSSAAPVTTSTITMGKAMGTGSGIGRAGASGLAPPPNHMAGFWNWHGHGTWWSGQGMGIGGSYGSAMNQPMNQAMGMGGMNMNMNMGMGMGMNMGMAPGAPMRPPMGMPPNHPQGPGMPGAGYNPMIGTRSL >DRNTG_00640.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000050.1:10125:12224:-1 gene:DRNTG_00640 transcript:DRNTG_00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSITFSGIDRAKTNFSYMFPTLPSPPPPSLPSPPPFSKEEKGYCYNIIM >DRNTG_31152.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:377980:383731:1 gene:DRNTG_31152 transcript:DRNTG_31152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMTYLQGFLDLVSFRPFIVKIQVTFMTELTLLHVWEVMGSSCMRQTCLEFEEYRQDLRAVIHGNNTRDGVYITLRMRLRCEIFRKGKAVPGKVFDVVNEVVVDRGSNPYLAKIECYEHTRLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSAQLELKIPEDARSNAWVSFDGKRRQQLSRGDSVRISMSQHPLPTVNKFDQTGDWFRSLIRCLNWNERRDQKAL >DRNTG_17057.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:22149:25123:-1 gene:DRNTG_17057 transcript:DRNTG_17057.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigC [Source:Projected from Arabidopsis thaliana (AT3G53920) UniProtKB/Swiss-Prot;Acc:O24621] MAACKRLAFPLQSHLPARYQLQHFPSSLRSGIPCSAKLSTLHVILEGSENEIRQKDALRTYGCSCGDKRIITGDISNKVKEGNVGKNIRRSNHDANEQTAEIDTSSAAGNSSELGLLLENLNEVESIVCDADLVRLEREILVHIQRLGALKLFHACLSSTVSTSTATENEFLRDCSTECLGKKPENEIVVHSGKKEQRKIRRAIASEKARKAKTAPLSYSKRMAKVRVPSFLVPSGLSGNLDSTQAGKKRIVIASNEAEMSRGVKEFAELERIRSQLEEESGKAASYAKWAEAAGIDQKALRQRLQFGWYCRDKLIKSTRSLVIYLAKNYRGMGIAFDDLLQAGNVGVLRGAERFDNARGNRFSTYVQYWIKKSILTLVERHSRGIQIPARLEKVISQVKNAKKTMCRRDGKYIGDDEIAEFTGLPVDKVRLANKCARGVGSIDKEIGIGWRVKFMEVTPDTSIISANEIITRQHMRKDILELLEGLHPNEKLVLVHRYGLEDGKCKSLEEVGRLFHVTKEWIRKLEKGALAKITTEDIQNELRYYTH >DRNTG_17057.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:22149:25123:-1 gene:DRNTG_17057 transcript:DRNTG_17057.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigC [Source:Projected from Arabidopsis thaliana (AT3G53920) UniProtKB/Swiss-Prot;Acc:O24621] MCRRDGKYIGDDEIAEFTGLPVDKVRLANKCARGVGSIDKEIGIGWRVKFMEVTPDTSIISANEIITRQHMRKDILELLEGLHPNEKLVLVHRYGLEDGKCKSLEEVGRLFHVTKEWIRKLEKGALAKITTEDIQNELRYYTH >DRNTG_17057.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:22149:25123:-1 gene:DRNTG_17057 transcript:DRNTG_17057.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigC [Source:Projected from Arabidopsis thaliana (AT3G53920) UniProtKB/Swiss-Prot;Acc:O24621] MCRRDGKYIGDDEIAEFTGLPVDKVRLANKCARGVGSIDKEIGIGWRVKFMEVTPDTSIISANEIITRQHMRKDILELLEGLHPNEKLVLVHRYGLEDGKCKSLEEVGRLFHVTKEWIRKLEKGALAKITTEDIQNELRYYTH >DRNTG_17057.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:22149:25123:-1 gene:DRNTG_17057 transcript:DRNTG_17057.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigC [Source:Projected from Arabidopsis thaliana (AT3G53920) UniProtKB/Swiss-Prot;Acc:O24621] MAKVRVPSFLVPSGLSGNLDSTQAGKKRIVIASNEAEMSRGVKEFAELERIRSQLEEESGKAASYAKWAEAAGIDQKALRQRLQFGWYCRDKLIKSTRSLVIYLAKNYRGMGIAFDDLLQAGNVGVLRGAERFDNARGNRFSTYVQYWIKKSILTLVERHSRGIQIPV >DRNTG_17057.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:22149:25123:-1 gene:DRNTG_17057 transcript:DRNTG_17057.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigC [Source:Projected from Arabidopsis thaliana (AT3G53920) UniProtKB/Swiss-Prot;Acc:O24621] MAACKRLAFPLQSHLPARYQLQHFPSSLRSGIPCSAKLSTLHVILEGSENEIRQKDALRTYGCSCGDKRIITGDISNKVKEGNVGKNIRRSNHDANEQTAEIDTSSAAGNSSELGLLLENLNEVESIVCDADLVRLEREILVHIQRLGALKLFHACLSSTVSTSTATENEFLRDCSTECLGKKPENEIVVHSGKKEQRKIRRAIASEKARKAKTAPLSYSKRMAKVRVPSFLVPSGLSGNLDSTQAGKKRIVIASNEAEMSRGVKEFAELERIRSQLEEESGKAASYAKWAEAAGIDQKALRQRLQFGWYCRDKLIKSTRSLVIYLAKNYRGMGIAFDDLLQAGNVGVLRGAERFDNARGNRFSTYVQYWIKKSILTLVERHSRGIQIPV >DRNTG_17057.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:23401:25123:-1 gene:DRNTG_17057 transcript:DRNTG_17057.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigC [Source:Projected from Arabidopsis thaliana (AT3G53920) UniProtKB/Swiss-Prot;Acc:O24621] MAACKRLAFPLQSHLPARYQLQHFPSSLRSGIPCSAKLSTLHVILEGSENEIRQKDALRTYGCSCGDKRIITGDISNKVKEGNVGKNIRRSNHDANEQTAEIDTSSAAGNSSELGLLLENLNEVESIVCDADLVRLEREILVHIQRLGALKLFHACLSSTVSTSTATENEFLRDCSTECLGKKPENEIVVHSGKKEQRKIRRAIASEKARKAKTAPLSYSKRMAKVRVPSFLVPSGLSGNLDSTQAGKKRIVIASNEAEMSRGVKVICP >DRNTG_10400.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1637619:1640213:1 gene:DRNTG_10400 transcript:DRNTG_10400.6 gene_biotype:protein_coding transcript_biotype:protein_coding RREHADTHTHTNDKAHTQDEGENPGELKKRSNPRAISPSRTTKTKILLRILATCHASAPIMAKVILHNYTSPFSLPSADHVHQWR >DRNTG_10400.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1637619:1640213:1 gene:DRNTG_10400 transcript:DRNTG_10400.4 gene_biotype:protein_coding transcript_biotype:protein_coding ENQRIPNQTKHRKPQSQSPRGITRKHLNLQNSLNKTRNIESWITERNHKKTSGSPSLKIQGRGNHRRRKEKGNQIQKREKKNRKKRKP >DRNTG_10400.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1637619:1640213:1 gene:DRNTG_10400 transcript:DRNTG_10400.5 gene_biotype:protein_coding transcript_biotype:protein_coding RREHADTHTHTNDKAHTQDEGENPGELKKRSNPRAISPSRTTKTKILLRILATCHASAPIMAKVILHNYTSPFSLPSADHVHQWR >DRNTG_10400.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1637619:1640213:1 gene:DRNTG_10400 transcript:DRNTG_10400.7 gene_biotype:protein_coding transcript_biotype:protein_coding ENQRIPNQTKHRKPQSQSPRGITRKHLNLQNSLNKTRNIESWITERNHKKTSGSPSLKIQGRGNHRRRKEKGNQIQKREKKNRKKRKP >DRNTG_10400.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1637619:1640213:1 gene:DRNTG_10400 transcript:DRNTG_10400.2 gene_biotype:protein_coding transcript_biotype:protein_coding RREHADTHTHTNDKAHTQDEGENPGELKKRSNPRAISPSRTTKTKILLRILATCHASAPIMAKVILHNYTSPFSLPSADHVHQWR >DRNTG_10400.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1637619:1640213:1 gene:DRNTG_10400 transcript:DRNTG_10400.3 gene_biotype:protein_coding transcript_biotype:protein_coding RREHADTHTHTNDKAHTQDEGENPGELKKRSNPRAISPSRTTKTKILLRILATCHASAPIMAKVILHNYTSPFSLPSADHVHQWR >DRNTG_10400.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1637619:1640213:1 gene:DRNTG_10400 transcript:DRNTG_10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENQRIPNQTKHRKPQSQSPRGITRKHLNLQNSLNKTRNIESWITERNHKKTSGSPSLKIQGRGNHRRRKEKGNQIQKREKKNRKKRKP >DRNTG_10400.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1637619:1640213:1 gene:DRNTG_10400 transcript:DRNTG_10400.8 gene_biotype:protein_coding transcript_biotype:protein_coding RREHADTHTHTNDKAHTQDEGENPGELKKRSNPRAISPSRTTKTKILLRILATCHASAPIMAKVILHNYTSPFSLPSADHVHQWR >DRNTG_17712.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4019485:4020717:1 gene:DRNTG_17712 transcript:DRNTG_17712.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLMTPSNCSARAASISPRTSRRVLKLSAFAELLMSSGIVLNDSVHWVTFHSGYDFGYLLKLLTCQNLPETQVGFFNLINMYFPTVYDIKHLMKFCNSLHGGLNKLAELLEVERVGICHQAGSDSLLTACAFRKLKEAFFNGSPEKYAGVLYGLGVENGQNSH >DRNTG_06132.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25569693:25575779:1 gene:DRNTG_06132 transcript:DRNTG_06132.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNAGDEFDYLLDEGEMGNFMDYDMDGGFSDGGGGGSDSEDDYALLTRVTDTSAAQARRGRDIQGIPWNRLHITRQNYRKTRLEQYKNYENIASSGELVDKKCKQMNKGGNYYEFLYNTRLVKPTILHFQLRNLVWATSKHDVYFMTNASVNHWSSTSRALTEVLNFSGHVAPDERHNGSLLEGFTRTQISTISVKHNLLVAGGFQGELTCKLLERHGVSFCTRTTLDDNAITNAIDIYKNMSGGIHFMASNNDCGVREFDVERFQLINHFCFPWPVNHTSVSPDGKLVTVVGDHCDGLLVDSRDGKVLSSLVGHFDYFICFSLASRWPHFCHRESR >DRNTG_32845.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001922.1:84744:85411:-1 gene:DRNTG_32845 transcript:DRNTG_32845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIRGRPDPSNSQRYSPKRVTRCMAAQDTHQSNPSQKRVPPKRAPVKKTPPKRVQPKKAPLKKAPAKRAPRNRDPPQKNKLVQKVSPSSDNSGADEEALRAIDALLESLTDLRKEKPTEEPLTVVTY >DRNTG_30294.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5566492:5568918:1 gene:DRNTG_30294 transcript:DRNTG_30294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKTEHKDFKPIIEPQSSRAITEIPTSSIAVGNTIKLNLEKVRGFLADDNVSMVGIWGMGGVGKTTLLNEINNSLQGGDTIMGFKYVIYLVVSKEPQFEKLQKEISEKLGMQSHSKKNDIFEFLKNKDFLLLLDDMWKAVDLPEILGIPLPRHQISHNLKDGGPRYKHKVIFTTREDDVCARMKADKKIEVECLGREEAWDLFKQNADEGVISSNPTIEKLAMKVMEKCSGLPLALKVVGRAMSNKKRPEEWQHMLTSLCKDIKTTPDMEESLFHILKVSYDNLSDETLKECFLSCAQWPEDKFIKVSDLIMYWIGFGLIDDFGNIGEAFDKGYDLIGNLNAACLLGLESSRVAEDYVKLHDVIRDMALWIVSECGKKKSRWISGARVDDLRQSSKWEAVKWKETERILFEKDQLLELLSYENIDEEDQVSIAPTSPRFPNLESLFMAQGQYDGKLVEVSIPFFPYMPSLTYLHLYEINAKVLPKEIRVLVNLRYLNISYTEIHSLPPELAELKELKCFIFRQRMFTTRRVEGLSIISRLPKLQVLDLFGHTCLEADDLSLLKRRVKAIGLHVTSFKTLGLLKDFPTWNISLEGLGHSMPILRFCDLSCKHGGEGLMNLSINDCGFEELLINGSGASLKHIKLDSLSKLKQITWPKTVPSECFQRLTSVSICGCDSLRSLSWVLHLPCLRKLKIQYLAMEELIDPADMQQASSGLTTFPSLQYLRILDMPYLVSLSRCPLDFPALSVLSMFRCPNLKKLPFKPSIVNNKFKYVIVEKKWWKGLEWEDTTIPSHLTKFFHTGIFLPTF >DRNTG_20320.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5712929:5718003:1 gene:DRNTG_20320 transcript:DRNTG_20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cardiolipin synthase (CMP-forming), mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G04870) UniProtKB/Swiss-Prot;Acc:Q93YW7] MAFFISLLRRNPNPRSLIRFNGSSTYFSSPHPCPPLSPLHSLQSFVAAPNPFSLAPRLTSPSSAFSPLRGCIPFSGPLFLCLPAWKLSQSATPLYLRGSEVVFPKDLLRVRNFPIGLGFASVGNLGKVIGWGREIPRNEDGTAWLVGKEKLLNLPNLISISRMVSGPFIGWMIINEWYIPAFCGLAISGATDWLDGFVARKMNINSVFGSYLDPLADKVLIGCVALAMVKVDLLHPGLVGLVLFRDIALVGGAVYKRASNLGWKVKSWSDFVNLDATHREKVEPLFISKANTVFQLLLVAAALLQPEFGTTETQLYITYLSWLVASTTIASWAGYGVKYLYKI >DRNTG_03965.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:19844643:19845933:1 gene:DRNTG_03965 transcript:DRNTG_03965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVRCCSSRIPAYPRVRQNRCCLMGTSPILLSMSSCRTTS >DRNTG_27794.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3215588:3220001:1 gene:DRNTG_27794 transcript:DRNTG_27794.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSHTRLDYGKMGYGCKHYKRRCMIRAPCCNEIFHCRHCHNESTSDRHELRRQDVQRVICLICDTEQPVAQVCTNCGVNMGEYFCGICKFYDDDIEKKQYHCDNCGICRVGGRENFFHCKKCDACYSMELRDKHSCVENSMRHHCPICYEYLFDSLKETTVLKCGHTMHSKCFQEMMKHEKYTCPICSRSVIDMSKMWRELDEEIESTIMPEDYRNRKVWILCNDCNDTTEVYFHIIGQKCSHCQSYNTRAISRPTIPQ >DRNTG_00489.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30608517:30612268:-1 gene:DRNTG_00489 transcript:DRNTG_00489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTLLGMPGPWAEDYREKADHYTTKIGGLPDWPVSEMKLGSNWLKCVSCGGRLCLVAQVYAPISLSTMKIEERVIYILGCPTPKCGGNSCSWRALRLQKCDDEVQSRNSTQKTIPSEENSCSVSKAGDWWEEGLLDNSAQEQVVGSEDALDLNELALALSQAATLASTSKKQKGSVNSKTSRKGSMTKAREKDVTIPVIPCFYIYSEEECSSSKISTFCSHYASLSMEENKNVSSEHEEEEKWEGETYEYDRALGADRIYLKFKKHIDAYPEQCFRYSYGGKPLLATTKREEPESCKVCGSERQYEMQLMSPLLYFLHEAAADSSTSLPDEWSWLTLIVYTCSNSCCPLTCREKCGGCCWAVAEEAIVIQDE >DRNTG_00489.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30608517:30611060:-1 gene:DRNTG_00489 transcript:DRNTG_00489.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEERVIYILGCPTPKCGGNSCSWRALRLQKCDDEVQSRNSTQKTIPSEENSCSVSKAGDWWEEGLLDNSAQEQVVGSEDALDLNELALALSQAATLASTSKKQKGSVNSKTSRKGSMTKAREKDVTIPVIPCFYIYSEEECSSSKISTFCSHYASLSMEENKNVSSEHEEEEKWEGETYEYDRALGADRIYLKFKKHIDAYPEQCFRYSYGGKPLLATTKREEPESCKVCGSERQYEMQLMSPLLYFLHEAAADSSTSLPDEWSWLTLIVYTCSNSCCPLTCREKCGGCCWAVAEEAIVIQDE >DRNTG_25631.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21930796:21936899:-1 gene:DRNTG_25631 transcript:DRNTG_25631.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGICLSWRSCGVLWNFKRRGHTELETQMRKREEELNMTVEQLETKLASSLESFQKEEALKLAALKSYEKEAEARVASEASRAALSEDLERANHEAKRSNDQIKMLQETNKRLQEYNTSLQQYNSNLQSDAVKNGETISKLQKEKNAMMDSLTSLRDHSNSLKNQLDSSRTSLQDALKQKEDLKKEVYFLRSELQQVRDDRENQLAQVQTLTSEIANYKELTGKSSKELDNIMAKTNALEETCSSQRVQIQSLQHQLAAANEKLKMADLTASETMSEYLQQKRTLNDLQIRVAEQELQILEAEKLRKKLHNTILELKGNIRVFCRVRPLLPEKGI >DRNTG_25631.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21936095:21936899:-1 gene:DRNTG_25631 transcript:DRNTG_25631.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRNQNKPPRSPSNKKENVLDEAPLDKRRKIVIGKMVAPSTNLRSRSVLSTVNAGPNLGHGADQKAAAVAGSDGGSSGGGIEFNSREDVERLLSEKMKGKNKNDYKV >DRNTG_25631.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21930796:21936899:-1 gene:DRNTG_25631 transcript:DRNTG_25631.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGICLSWRSCGVLWNFKRRGHTELETQMRKREEELNMTVEQLETKLASSLESFQKEEALKLAALKSYEKEAEARVASEASRAALSEDLERANHEAKRSNDQIKMLQETNKRLQEYNTSLQQYNSNLQSDAVKNGETISKLQKEKNAMMDSLTSLRDHSNSLKNQLDSSRTSLQDALKQKEDLKKEVYFLRSELQQVRDDRENQLAQVQTLTSEIANYKELTGKSSKELDNIMAKTNALEETCSSQRVQIQSLQHQLAAANEKLKMADLTASETMSEYLQQKRTLNDLQIRVAEQELQILEAEKLRKKLHNTILELKGNIRVFCRVRPLLPEKGI >DRNTG_25631.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21930796:21936899:-1 gene:DRNTG_25631 transcript:DRNTG_25631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICLSWRSCGVLWNFKRRGHTELETQMRKREEELNMTVEQLETKLASSLESFQKEEALKLAALKSYEKEAEARVASEASRAALSEDLERANHEAKRSNDQIKMLQETNKRLQEYNTSLQQYNSNLQSDAVKNGETISKLQKEKNAMMDSLTSLRDHSNSLKNQLDSSRTSLQDALKQKEDLKKEVYFLRSELQQVRDDRENQLAQVQTLTSEIANYKELTGKSSKELDNIMAKTNALEETCSSQRVQIQSLQHQLAAANEKLKMADLTASETMSEYLQQKRTLNDLQIRVAEQELQILEAEKLRKKLHNTILELKGNIRVFCRVRPLLPEKGI >DRNTG_25631.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21930796:21936899:-1 gene:DRNTG_25631 transcript:DRNTG_25631.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGICLSWRSCGVLWNFKRRGHTELETQMRKREEELNMTVEQLETKLASSLESFQKEEALKLAALKSYEKEAEARVASEASRAALSEDLERANHEAKRSNDQIKMLQETNKRLQEYNTSLQQYNSNLQSDAVKNGETISKLQKEKNAMMDSLTSLRDHSNSLKNQLDSSRTSLQDALKQKEDLKKEVYFLRSELQQVRDDRENQLAQVQTLTSEIANYKELTGKSSKELDNIMAKTNALEETCSSQRVQIQSLQHQLAAANEKLKMADLTASETMSEYLQQKRTLNDLQIRVAEQELQILEAEKLRKKLHNTILELKGNIRVFCRVRPLLPEKGI >DRNTG_25631.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21930796:21935709:-1 gene:DRNTG_25631 transcript:DRNTG_25631.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAQTYSFTFDKVFNHEASQDDVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPEADEEKGLIPRSLEQIFQTSQALQCQGWKYKMQASMLEIYNETIRDLLSPVRPGSNFDANVKQYAIKHDLNGNTHVSDLTIIDVCSINEVSFLLQQAAQSRSVGRTQMNEQSSRSHFVFTLRISGVNESTEQQVQGILNLIDLAGSERLAKSGSTGDRLKETQAINKSLSALSDVIFSIAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPESTSAQESICSLRFAARVNSCEIGIPRRQTQTRTSDSRLSYG >DRNTG_25631.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21930796:21936899:-1 gene:DRNTG_25631 transcript:DRNTG_25631.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGICLSWRSCGVLWNFKRRGHTELETQMRKREEELNMTVEQLETKLASSLESFQKEEALKLAALKSYEKEAEARVASEASRAALSEDLERANHEAKRSNDQIKMLQETNKRLQEYNTSLQQYNSNLQSDAVKNGETISKLQKEKNAMMDSLTSLRDHSNSLKNQLDSSRTSLQDALKQKEDLKKEVYFLRSELQQVRDDRENQLAQVQTLTSEIANYKELTGKSSKELDNIMAKTNALEETCSSQRVQIQSLQHQLAAANEKLKMADLTASETMSEYLQQKRTLNDLQIRVAEQELQILEAEKLRKKLHNTILELKGNIRVFCRVRPLLPEKGI >DRNTG_25631.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21936095:21936899:-1 gene:DRNTG_25631 transcript:DRNTG_25631.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPSTNLRSRSVLSTVNAGPNLGHGADQKAAAVAGSDGGSSGGGIEFNSREDVERLLSEKMKGKNKNDYKV >DRNTG_25631.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21936095:21936899:-1 gene:DRNTG_25631 transcript:DRNTG_25631.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRNQNKPPRSPSNKKENVLDEAPLDKRRKIVIGKMVAPSTNLRSRSVLSTVNAGPNLGHGADQKAAAVAGSDGGSSGGGIEFNSREDVERLLSEKMKGKNKNDYKV >DRNTG_25631.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21930796:21936899:-1 gene:DRNTG_25631 transcript:DRNTG_25631.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGICLSWRSCGVLWNFKRRGHTELETQMRKREEELNMTVEQLETKLASSLESFQKEEALKLAALKSYEKEAEARVASEASRAALSEDLERANHEAKRSNDQIKMLQETNKRLQEYNTSLQQYNSNLQSDAVKNGETISKLQKEKNAMMDSLTSLRDHSNSLKNQLDSSRTSLQDALKQKEDLKKEVYFLRSELQQVRDDRENQLAQVQTLTSEIANYKELTGKSSKELDNIMAKTNALEETCSSQRVQIQSLQHQLAAANEKLKMADLTASETMSEYLQQKRTLNDLQIRVAEQELQILEAEKLRKKLHNTILELKGNIRVFCRVRPLLPEKGI >DRNTG_03521.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000165.1:15518:15909:1 gene:DRNTG_03521 transcript:DRNTG_03521.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEPAHRARIIVQAVTHYNDLEILADVSCGLGKAMVGINLNDAKVKKFANRSE >DRNTG_25861.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8894037:8900825:-1 gene:DRNTG_25861 transcript:DRNTG_25861.10 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLKSIYLVVVSRHGKVPSGRDLLFVRPDAVFNGKKPISGGIPHCFPQFGPGPMQQHGFSRNMNWSVANSDEIEGSPNVTLELKDDSYSHSMWDFCFQALYKVVLHSKSLSTYLTITNTDKKPFSFSSALHTYFHASAADASVKGLKGCKTLNKDPDPSNPLEGKEEREVITFPGFVDCIYLGAPKELQLNNGLGDIITIQNKNWSDAVIWNPHLQMESCYKDFVCVENAQIGKVQLEPEQSWVAEQHLSIS >DRNTG_25861.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8894037:8900825:-1 gene:DRNTG_25861 transcript:DRNTG_25861.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLKSIYLVVVSRHGKVPSGRDLLFVRPDAVFNGKKPISGGIPHCFPQFGPGPMQQHGFSRNMNWSVANSDEIEGSPNVTLELKDDSYSHSMWDFCFQALYKVVLHSKSLSTYLTITNTDKKPFSFSSALHTYFHASAADASVKGLKGCKTLNKDPDPSNPLEGKEEREVITFPGFVDCIYLGAPKELQLNNGLGDIITIQNKNWSDAVIWNPHLQMESCYKDFVCVENAQIGKVQLEPEQSWVAEQHLSIS >DRNTG_25861.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8894037:8900825:-1 gene:DRNTG_25861 transcript:DRNTG_25861.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLKSIYLVVVSRHGKVPSGRDLLFVRPDAVFNGKKPISGGIPHCFPQFGPGPMQQHGFSRNMNWSVANSDEIEGSPNVTLELKDDSYSHSMWDFCFQALYKVVLHSKSLSTYLTITNTDKKPFSFSSALHTYFHASAADASVKGLKGCKTLNKDPDPSNPLEGKEEREVITFPGFVDCIYLGAPKELQLNNGLGDIITIQNKNWSDAVIWNPHLQMESCYKDFVCVENAQIGKVQLEPEQSWVAEQHLSIS >DRNTG_25861.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8894037:8900825:-1 gene:DRNTG_25861 transcript:DRNTG_25861.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLKSIYLVVVSRHGKVPSGRDLLFVRPDAVFNGKKPISGGIPHCFPQFGPGPMQQHGFSRNMNWSVANSDEIEGSPNVTLELKDDSYSHSMWDFCFQALYKVVLHSKSLSTYLTITNTDKKPFSFSSALHTYFHASAADASVKGLKGCKTLNKDPDPSNPLEGKEEREVITFPGFVDCIYLGAPKELQLNNGLGDIITIQNKNWSDAVIWNPHLQMESCYKDFVCVENAQIGKVQLEPEQSWVAEQHLSIS >DRNTG_25861.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8894037:8900825:-1 gene:DRNTG_25861 transcript:DRNTG_25861.12 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLKSIYLVVVSRHGKVPSGRDLLFVRPDAVFNGKKPISGGIPHCFPQFGPGPMQQHGFSRNMNWSVANSDEIEGSPNVTLELKDDSYSHSMWDFCFQALYKVVLHSKSLSTYLTITNTDKKPFSFSSALHTYFHASAADASVKGLKGCKTLNKDPDPSNPLEGKEEREVITFPGFVDCIYLGAPKELQLNNGLGDIITIQNKNWSDAVIWNPHLQMESCYKDFVCVENAQIGKVQLEPEQSWVAEQHLSIS >DRNTG_25861.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8894037:8900825:-1 gene:DRNTG_25861 transcript:DRNTG_25861.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLKSIYLVVVSRHGKVPSGRDLLFVRPDAVFNGKKPISGGIPHCFPQFGPGPMQQHGFSRNMNWSVANSDEIEGSPNVTLELKDDSYSHSMWDFCFQALYKVVLHSKSLSTYLTITNTDKKPFSFSSALHTYFHASAADASVKGLKGCKTLNKDPDPSNPLEGKEEREVITFPGFVDCIYLGAPKELQLNNGLGDIITIQNKNWSDAVIWNPHLQMESCYKDFVCVENAQIGKVQLEPEQSWVAEQHLSIS >DRNTG_25861.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8894037:8900825:-1 gene:DRNTG_25861 transcript:DRNTG_25861.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLKSIYLVVVSRHGKVPSGRDLLFVRPDAVFNGKKPISGGIPHCFPQFGPGPMQQHGFSRNMNWSVANSDEIEGSPNVTLELKDDSYSHSMWDFCFQALYKVVLHSKSLSTYLTITNTDKKPFSFSSALHTYFHASAADASVKGLKGCKTLNKDPDPSNPLEGKEEREVITFPGFVDCIYLGAPKELQLNNGLGDIITIQNKNWSDAVIWNPHLQMESCYKDFVCVENAQIGKVQLEPEQSWVAEQHLSIS >DRNTG_25861.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8894037:8900825:-1 gene:DRNTG_25861 transcript:DRNTG_25861.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLKSIYLVVVSRHGKVPSGRDLLFVRPDAVFNGKKPISGGIPHCFPQFGPGPMQQHGFSRNMNWSVANSDEIEGSPNVTLELKDDSYSHSMWDFCFQALYKVVLHSKSLSTYLTITNTDKKPFSFSSALHTYFHASAADASVKGLKGCKTLNKDPDPSNPLEGKEEREVITFPGFVDCIYLGAPKELQLNNGLGDIITIQNKNWSDAVIWNPHLQMESCYKDFVCVENAQIGKVQLEPEQSWVAEQHLSIS >DRNTG_02712.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19580162:19581342:1 gene:DRNTG_02712 transcript:DRNTG_02712.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g23440 [Source:Projected from Arabidopsis thaliana (AT5G23440) UniProtKB/TrEMBL;Acc:Q9FHL4] MSAVPATTPTILTAPLLRCCLPIVSARPLVHGACPKAASRRSISCLVAVTTEVSSSSSEDAEGSDAESKVGARVRVNVPLKVYHVGKAPELDLCGMEGVIKQYVGVWKGKRISANLPFKVEFFVSVEGQSKPVKFFVHLKEDEFEYLPSD >DRNTG_02712.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19580073:19581415:1 gene:DRNTG_02712 transcript:DRNTG_02712.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g23440 [Source:Projected from Arabidopsis thaliana (AT5G23440) UniProtKB/TrEMBL;Acc:Q9FHL4] MSAVPATTPTILTAPLLRCCLPIVSARPLVHGACPKAASRRSISCLVAVTTEVSSSSSEDAEGSDAESKVGARVRVNVPLKVYHVGKAPELDLCGMEGVIKQYVGVWKGKRISANLPFKVEFFVSVEGQSKPVKFFVHLKEDEFEYLPSD >DRNTG_02712.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19580073:19581342:1 gene:DRNTG_02712 transcript:DRNTG_02712.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g23440 [Source:Projected from Arabidopsis thaliana (AT5G23440) UniProtKB/TrEMBL;Acc:Q9FHL4] MSAVPATTPTILTAPLLRCCLPIVSARPLVHGACPKAASRRSISCLVAVTTEVSSSSSEDAEGSDAESKVGARVRVNVPLKVYHVGKAPELDLCGMEGVIKQYVGVWKGKRISANLPFKVEFFVSVEGQSKPVKFFVHLKEDEFEYLPSD >DRNTG_02712.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19580162:19581964:1 gene:DRNTG_02712 transcript:DRNTG_02712.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g23440 [Source:Projected from Arabidopsis thaliana (AT5G23440) UniProtKB/TrEMBL;Acc:Q9FHL4] MSAVPATTPTILTAPLLRCCLPIVSARPLVHGACPKAASRRSISCLVAVTTEVSSSSSEDAEGSDAESKVGARVRVNVPLKVYHVGKAPELDLCGMEGVIKQYVGVWKGKRISANLPFKVEFFVSVEGQSKPVKFFVHLKEDEFEYLPSD >DRNTG_02712.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19580162:19581415:1 gene:DRNTG_02712 transcript:DRNTG_02712.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g23440 [Source:Projected from Arabidopsis thaliana (AT5G23440) UniProtKB/TrEMBL;Acc:Q9FHL4] MSAVPATTPTILTAPLLRCCLPIVSARPLVHGACPKAASRRSISCLVAVTTEVSSSSSEDAEGSDAESKVGARVRVNVPLKVYHVGKAPELDLCGMEGVIKQYVGVWKGKRISANLPFKVEFFVSVEGQSKPVKFFVHLKEDEFEYLPSD >DRNTG_20739.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21037784:21042769:1 gene:DRNTG_20739 transcript:DRNTG_20739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISNPPSIFDLRSSLSSVSAPAKNGNGAISRSDRPMALDLKLNQRKIVHGDAGYVLEDVPHLTDYIPDAPNYPNPLQDNPAYSVVKQYFVNTDDTVAQKIVVHKGSPRGTHFRRAGPRQKVYFESDEVHACIVTCGGLCPGLNTVIREIVCGLAHMYGVTKILGIEGGYRGFYARNTISLTPKSVNDIHKRGGTILSTSRGGHDTSKIVDSIQDRGINQVYIIGGDGTQKGASVIFEEIQRRGLKVAVVGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAGSVENGIGVVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGKGGLFEFIEKRLKENGHMVLVVAEGAGQELIAESMRSMNHEDASGNKLLLDVGLWLSQKIKDHFSCKGKMTINLKYIDPTYMIRAVPANASDNVYSTLLAHSALHGAMAGYTGFTVGPVNGRHAYIPFYRVTEARNNVVITDRMWARLLSSTNQPSFLTLKDIESVRNVYSPKYLPPIKEPIYNGLPNSGSAEEHHDDQVADESSLVNQISGATTKH >DRNTG_09834.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13708259:13719324:1 gene:DRNTG_09834 transcript:DRNTG_09834.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSSRKVTPSRPPFVIGDPKKTEHLRALDGASERLQLFKANLLEEGSFDAVVDGGECVFHTASPFYHNVVDPQTELLDPAVKGTLNVLGL >DRNTG_24780.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19935293:19936317:-1 gene:DRNTG_24780 transcript:DRNTG_24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTCDQRIIFLDDLSPWVEIPEKWVQSYGPLLAIQPPANISAVEVVAAMNQGLSSGQVDNGQHLSVYLKEDLPERLHYSSSYRIPPIVSLVDEGVMKIANVINESVISTKQHVTLVDLHFFSDIYCDAGLARGLVIASSFS >DRNTG_17062.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:46002:52079:1 gene:DRNTG_17062 transcript:DRNTG_17062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVARSAPLASRPSLPITRPQISAALRWRSRRSTVIAFSLPPSSLFPPLLGVSQWRDIHSRTRYSPSRIPFPGFFVSARAFRKQGQRRAAKKQKSKKQLLELDVKICIEEELPDDPEILSIAEMLRTDAPMAMKVAFDGLKDSKYKTRDASITDVDKFDNIELSVLLCNDDFIQKLNKEWRDEDHATDVLSMSQHIPGLDIPILMLGDIVISIETAARQAEERGHTIVDEIRILLVHGLLHLLGFDHEISIEAEIEMEKEEELVLKSLGWKGKGLIKSAHDALNDGSLQTDISDRCVNNDMKKTSILQLYKPKLSYIFCDMDGTLLNSKSQISINNAEALREAVSKGVNIVIATGKTRPAVISALEVVGLAGKGGVVSEISPGIFLQGLLVYGRQGREIYRRNLEKTVCREACLYSLEHGVPLVAFCQDRCLTLFEHPLVDSLHMVYHEPKAEVMPSVEHLLAAADIQKLLFIHTAEGVSSNLRPCWEEAVRGRAGVVQAQADMLEIVPAGTNKGSGVKLLLDHLDITANEIMAIGDGENDVEMLQLASLGVALANGSEKTKAVADAIGATNDEDGVAKAIYQYVL >DRNTG_17062.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:46002:52079:1 gene:DRNTG_17062 transcript:DRNTG_17062.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVARSAPLASRPSLPITRPQISAALRWRSRRSTVIAFSLPPSSLFPPLLGVSQWRDIHSRTRYSPSRIPFPGFFVSARAFRKQGQRRAAKKQKSKKQLLELDVKICIEEELPDDPEILSIAEMLRTDAPMAMKVAFDGLKDSKYKTRDASITDVDKFDNIELSVLLCNDDFIQKLNKEWRDEDHATDVLSMSQHIPGLDIPILMLGDIVISIETAARQAEERGHTIVDEIRILLVHGLLHLLGFDHEISIEAEIEMEKEEELVLKSLGWKGKGLIKSAHDALNDGSLQTDISDRCVNNDMKKTSILQLYKPKLSYIFCDMDGELTLLAKSLSCSTSLIFHFCAYSLILLNNCFLCKQGTLLNSKSQISINNAEALREAVSKGVNIVIATGKTRPAVISALEVVGLAGKGGVVSEISPGIFLQGLLVYGRQGREIYRRNLEKTVCREACLYSLEHGVPLVAFCQDRCLTLFEHPLVDSLHMVYHEPKAEVMPSVEHLLAAADIQKLLFIHTAEGVSSNLRPCWEEAVRGRAGVVQAQADMLEIVPAGTNKGSGVKLLLDHLDITANEIMAIGDGENDVEMLQLASLGVALANGSEKTKAVADAIGATNDEDGVAKAIYQYVL >DRNTG_25118.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23696470:23697664:1 gene:DRNTG_25118 transcript:DRNTG_25118.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSEAQITPSSPPSGLKERESNHFSLSCKFETFVALGETLTLDVDKGADFAVQRKWRWELKSLAEMEFIGELGT >DRNTG_20611.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2324804:2326911:1 gene:DRNTG_20611 transcript:DRNTG_20611.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGKMMDLYIPRKCSATNRLITAKDHASVQINIGHIDENGMYTGQHTTFALCGFIRAQGDADSALDRLWQKKKAEVRQQ >DRNTG_31466.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1905453:1906758:-1 gene:DRNTG_31466 transcript:DRNTG_31466.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSSMRRVTRSQASSLPSHKKQGDAAASRSRTGSKAERSVLSDITNDSPIVGLAVEKTPSSALVKSHVLSKRTPGSGEALLRGQVKNLLEKVEEEVGRAGKENLIRKPTIVSPRIGRVPSLAGAASSSDPGQHAANPKPFRSQRRERINNNNLIRFS >DRNTG_32710.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001896.1:52482:65050:1 gene:DRNTG_32710 transcript:DRNTG_32710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAVRLGLFDEALTDTKEYDQLLTDYPDSLTPKRAYRALCGQGQYELGVSKATWLSQPAYRYIHAILRSEPIHLGHILAEYLRHQGQYARVGVIFSGLYITRLIIGIGFLDAIRGVEKRIVPSLLGLETVRLMGMIRRYRLGVYVMIIPPPEPAKGEGNVVEGSQPVQEPQPEHMETDAPPTAQEPPLVQIFSPSQAHDIFERLESVVGRVIGRAFVDMNSARCALGLTREPLEKTR >DRNTG_23834.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29447744:29460003:-1 gene:DRNTG_23834 transcript:DRNTG_23834.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVLEMARAKDTKERMAGVERLHHYLETSRKTLSASDVTALVDCCMDLLKDNNFRVSQGGLQALSSAAVLAGEHLKLHLNALVPAVVERLGDGKQPVRDAARQLLITLMEVSSPTIIVERAGSYAWTHKSWRVREEFARTVATAVGLFASTEPTLQRVLLPPVLQLLNDSNNSVREAATTCLEELYTHVGSQLREELQRHHLPSSMMKEIDARLEKIEPKARQSDGLGSRFASAEAKSFNFSQKRSSPKAKSTPRETLFSGGESDVTEKPVDPIKVFSEKELIREFERIASTLVPEKDWSLRIAAMQRVEGLVFGGAADYPSFPMLLKQLVPPLSTQLSDRRSSVVKQACHLLNLLSKELLGDFEACAEMFIPVLFKLVVITVLVIAESADNCIKTMLRNCKVARVLPRIADSAKNDRSAILRARCCEYALLILEYWADAPEIQRSADLYEDLIKCCVADAMSEVRSTARACYRLFTKTWPERSRRLFLSFDPVIQRVINDEDGGVHKRYASPSLRDRGVQLSRAQSHGATPSLPGYGTSAIVAMDKSTSTFSGSSISASNLLLSQNKPLGKGSERSLESVLNASKQKVSAIESLLRGVNLSDKQNSTVVRSSSLDLGVDPPSSRDPPFPSAVPATNHLLLQSADTNLANNARGSLRNGGFSTDLVSSQIQASREPNKLSYLSHSADSLSALSLPYPGRRSSERLQEGGAFEDHNDVRYARRFTNFQAEKQYLDTPYRDAGYRDLHNSYIPNFQRPLRKQVTSRPSGSSRTSFDDSQLALNDMASYMDGPASLNDALTEGLSPSSDWIARVSAFNYIRTLIQQGPKGIQEITQSFEKVMKLFFQHLDDPHHKVAQAALSTLAEIIPSCRKPFESYLERILPHVFSRLIDPKELVRQPCSTTLDIVGKTYGIESLLPALLRSLDEQRSPKAKLAVIEFANNSFNKHAMNSESYINTGFLKLWLAKLAPLVNDKNTKLKEAAITGIISVYSHFDSASVLNFILSLSVEEQNSLRRALKQYTPRIEVDLVNFLQNKKEKQRSKSFYDQSDVVGTSSDDGYAGMPKKGHSFGRYSAGSVDSEGGRKWNSMQESTQITGSIVQAASDDAAQLFHQNLEPSSDSEVIGPKIKDLKFNTGITVENTGLWINHPEKADQSLGVESPSTTPRLDIGILLNSDAQKALGTVDGNAIQSTELNQENLLSTKMHTFADNGPSIPQLLHQICNGNDENSSSMKHEALQQLVKASLGNDHSVWTKYFNQILTTVLEVLDDPNSSTRELSLSLIGEMLNNQKLAMEDSVEIVVEKLLHATKDPVAKVSNEAHSCLTKILAQYDPFRCLTVVVPLLVSDDEKTLVICINCLTKLVGRLSQEELMTQLPSFLPGLFDAFRNQSPDVRKTVVFCLVDIYIMLGKAFLPYLEGLNSTQLRLVTIYANRISQARSGAPIDTNP >DRNTG_23834.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29447744:29455064:-1 gene:DRNTG_23834 transcript:DRNTG_23834.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIVFFFKVCLTSSCMLICALSFSFSVCQACHLLNLLSKELLGDFEACAEMFIPVLFKLVVITVLVIAESADNCIKTMLRNCKVARVLPRIADSAKNDRSAILRARCCEYALLILEYWADAPEIQRSADLYEDLIKCCVADAMSEVRSTARACYRLFTKTWPERSRRLFLSFDPVIQRVINDEDGGVHKRYASPSLRDRGVQLSRAQSHGATPSLPGYGTSAIVAMDKSTSTFSGSSISASNLLLSQNKPLGKGSERSLESVLNASKQKVSAIESLLRGVNLSDKQNSTVVRSSSLDLGVDPPSSRDPPFPSAVPATNHLLLQSADTNLANNARGSLRNGGFSTDLVSSQIQASREPNKLSYLSHSADSLSALSLPYPGRRSSERLQEGGAFEDHNDVRYARRFTNFQAEKQYLDTPYRDAGYRDLHNSYIPNFQRPLRKQVTSRPSGSSRTSFDDSQLALNDMASYMDGPASLNDALTEGLSPSSDWIARVSAFNYIRTLIQQGPKGIQEITQSFEKVMKLFFQHLDDPHHKVAQAALSTLAEIIPSCRKPFESYLERILPHVFSRLIDPKELVRQPCSTTLDIVGKTYGIESLLPALLRSLDEQRSPKAKLAVIEFANNSFNKHAMNSESYINTGFLKLWLAKLAPLVNDKNTKLKEAAITGIISVYSHFDSASVLNFILSLSVEEQNSLRRALKQYTPRIEVDLVNFLQNKKEKQRSKSFYDQSDVVGTSSDDGYAGMPKKGHSFGRYSAGSVDSEGGRKWNSMQESTQITGSIVQAASDDAAQLFHQNLEPSSDSEVIGPKIKDLKFNTGITVENTGLWINHPEKADQSLGVESPSTTPRLDIGILLNSDAQKALGTVDGNAIQSTELNQENLLSTKMHTFADNGPSIPQLLHQICNGNDENSSSMKHEALQQLVKASLGNDHSVWTKYFNQILTTVLEVLDDPNSSTRELSLSLIGEMLNNQKLAMEDSVEIVVEKLLHATKDPVAKVSNEAHSCLTKILAQYDPFRCLTVVVPLLVSDDEKTLVICINCLTKLVGRLSQEELMTQLPSFLPGLFDAFRNQSPDVRKTVVFCLVDIYIMLGKAFLPYLEGLNSTQLRLVTIYANRISQARSGAPIDTNP >DRNTG_11036.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10679545:10685086:-1 gene:DRNTG_11036 transcript:DRNTG_11036.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSILCLLPQGLPNAGKSTLLAAVTLAKPDIADYPFTTLMPNLGRLDGDPTLGALKYSSQATLADLPGLIEGAHLGKGLGRNFLRHLRRTRLLVHVVDAAAEDPMNDYKTVKEELRMYNPDYLERPYIVVLNKIDLPEARERLPQLVQEISKFGNKEASNLETSGELYTDDKVVGMPSSGSSNGYQKEKAIEDYPLPLSVVGTSVLKHIGVDEMLKAIRAGLRKCRDSEKQ >DRNTG_11036.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10679545:10692681:-1 gene:DRNTG_11036 transcript:DRNTG_11036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLHPSMLLTAKSLHGDLWPSSLRPHSPFFSTKRNKQWKITSESFQHTVISCRSVRVKEVGPANLDTLVREPHKYFDQVIITVRSGDGGHGAVLDMPAQTTSSKMQGKFEKKSKKKSSFKRDFDGSLVLPMGGHGGDVIIYADESKEFLLEFHKKSRYCAKRGGNVDAMGTLTSQLHDGFAGPTLRIPVPVGTVVKRKRGKFLADLAHPGDEILVARGGQGGISLLEMPENRRKKLMALSTNVMRDESDKVLVLGQPGEEVSLELILRVVADVGLVGLPNAGKSTLLAAVTLAKPDIADYPFTTLMPNLGRLDGDPTLGALKYSSQATLADLPGLIEGAHLGKGLGRNFLRHLRRTRLLVHVVDAAAEDPMNDYKTVKEELRMYNPDYLERPYIVVLNKIDLPEARERLPQLVQEISKFGNKEASNLETSGELYTDDKVVGMPSSGSSNGYQKEKAIEDYPLPLSVVGTSVLKHIGVDEMLKAIRAGLRKCRDSEKQ >DRNTG_11036.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10679545:10692681:-1 gene:DRNTG_11036 transcript:DRNTG_11036.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLLQGEDKISLLEMPENRRKKLMALSTNVMRDESDKVLVLGQPGEEVSLELILRVVADVGLVGLPNAGKSTLLAAVTLAKPDIADYPFTTLMPNLGRLDGDPTLGALKYSSQATLADLPGLIEGAHLGKGLGRNFLRHLRRTRLLVHVVDAAAEDPMNDYKTVKEELRMYNPDYLERPYIVVLNKIDLPEARERLPQLVQEISKFGNKEASNLETSGELYTDDKVVGMPSSGSSNGYQKEKAIEDYPLPLSVVGTSVLKHIGVDEMLKAIRAGLRKCRDSEKQ >DRNTG_11036.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10679545:10690687:-1 gene:DRNTG_11036 transcript:DRNTG_11036.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLLQGEDKISLLEMPENRRKKLMALSTNVMRDESDKVLVLGQPGEEVSLELILRVVADVGLVGLPNAGKSTLLAAVTLAKPDIADYPFTTLMPNLGRLDGDPTLGALKYSSQATLADLPGLIEGAHLGKGLGRNFLRHLRRTRLLVHVVDAAAEDPMNDYKTVKEELRMYNPDYLERPYIVVLNKIDLPEARERLPQLVQEISKFGNKEASNLETSGELYTDDKVVGMPSSGSSNGYQKEKAIEDYPLPLSVVGTSVLKHIGVDEMLKAIRAGLRKCRDSEKQ >DRNTG_11892.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21536991:21539647:-1 gene:DRNTG_11892 transcript:DRNTG_11892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGNRNQKTFRPKKNAPSGNKGAQLKRHIDATLGSGNLREAVRLPPGEDLNEWLAVNTVDFFNQVNILFGTLTEFCTPSTCPTMSAGPKFEYRWADGVQIKKPIEVSAPKYVDYLMDWIEAQLDDESIFPQRLGAPFPPNFREVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFTLFTWEFRLIEEGELAPLRDLVESIILAY >DRNTG_28579.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23014115:23015441:1 gene:DRNTG_28579 transcript:DRNTG_28579.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRPPPPPPPPKSFDLVEITVVSAKHLKNVNWRHGDLKPYAVVYLDPERRAATKPDDSGSTRPVWNEILSLPLPPTPLDDPSLILTFDLFHSKPSETPKPLVGTARCPLKDLLSEPAAALDGSPSPIKTLELRRPSGRPQGKIRIKLAIKDRPAPPPEHGYHFGPPSGYYYSTAGAAPPPSSSSASERL >DRNTG_28579.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23014115:23016309:1 gene:DRNTG_28579 transcript:DRNTG_28579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRPPPPPPPPKSFDLVEITVVSAKHLKNVNWRHGDLKPYAVVYLDPERRAATKPDDSGSTRPVWNEILSLPLPPTPLDDPSLILTFDLFHSKPSETPKPLVGTARCPLKDLLSEPAAALDGSPSPIKTLELRRPSGRPQGKIRIKLAIKDRPAPPPEHGYHFGPPSGYYYSTAGAAPPPSSSSASERL >DRNTG_14678.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000736.1:5678:12470:1 gene:DRNTG_14678 transcript:DRNTG_14678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHLAINSRVPIERVERHLVIGNGVVMVKLHLTTGDGVVIETVELHLMIGQIWWSGDTSKLTKPTRRSLGQGRRVELDSHLSSFSEKLATLVLFFSNLYFPVPIMVTSSKSESQGKALLQWKATLETQDLLHTWMSTGNPCTWTGITCKYDGYLMLTIIRVQLGELGLEGKLETLNFSALPSLHILNLTNNKLHGTIPAAILALPKLTTLYLFGKNLRSTIPLELGACSIVYKATLSLGETPVIKKFHIEEGRVDKQAFCNEIQALTEIQHMNTVRATKAVTIHYQVLPKQLRKPSTSSFAIQDLTEELKELRKLREVQVFKAYKVTKFRPRALWPASPSWVVQYGGDTTRSKEKRHASILFEICLQCRAPCAGTTFLVPPTRSLMP >DRNTG_13461.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:28300:29415:-1 gene:DRNTG_13461 transcript:DRNTG_13461.2 gene_biotype:protein_coding transcript_biotype:protein_coding THRRKPSCGSTPPHNYGSPPHRSSGGGHGGGGGYYNSPPSSSYTPTTPPSPILGPVTPTPTTPIISTPPSPVYIDPGTPTTPIISTPPSPRPFDPSSPPYYPAPCRYIHIYTLSF >DRNTG_13461.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:28300:29415:-1 gene:DRNTG_13461 transcript:DRNTG_13461.1 gene_biotype:protein_coding transcript_biotype:protein_coding THRRKPSCGSTPPHNYGSPPHRSSGGGHGGGGGYYNSPPSSSYTPTTPPSPILGPVTPTPTTPIISTPPSPIYIDPGTPTTPIISTPPSPRPFDPSSPPYYPAPCRYIHIYTLSF >DRNTG_13461.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:28300:29415:-1 gene:DRNTG_13461 transcript:DRNTG_13461.3 gene_biotype:protein_coding transcript_biotype:protein_coding THRRKPSCGSTPPHNYGSPPHRSSGGGHGGGGGYYNSPPSSSYTPTTPPSPILGPVTPTPTTPIISTPPSPRPFDPSSPPYYPAPCRYIHIYTLSF >DRNTG_32454.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2370235:2373310:-1 gene:DRNTG_32454 transcript:DRNTG_32454.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g11460, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G11460) UniProtKB/Swiss-Prot;Acc:Q9CAY1] MRRASIPFNPVTILALLPSIPLSAPQIHALNLKFGTFSPSVSNCFLSVYSKVGDVDLARQVFDEMPHSREVISWNAMISGYAQNGHCGRVLDLYREMERSAGVKPDPVTLVGVLSACANLGARTVGRDIDRYICENSMFSKNMFLKNALISMYSRCGDLERAREVFDGMLERSVVTWTAIIAGYGMHGHGEVAVHMFDRMLCDGIRPDGVLMVSVLSACSHAGLTEKGLEYFFGMEKVYGVVPRLEHYACVVDLLGRAGRLRDAWELIRTMPVEPDGAVWGALLGACKIHKNAELGELAFDRLIVLEPSNVGYYVLLSNIYSDAGRLDGVKRMRRLMKERGLRKDPGCSYVEHKDKVHLFFADDRSHPQTRSIYVMIDELQGLMDEKRNSERGEVVIESLTGYHSEKLAIAFGLLNTEIGAEIVVFKNLRVCEDCHVFIKSVSRVTNRRVVVRDATRFHHFGGGLCSCNDYW >DRNTG_15844.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25137513:25138124:-1 gene:DRNTG_15844 transcript:DRNTG_15844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIESHREGAEIVKGDAACKKKAAEVLGELGLPNGLFPLDGIQEFGYNRAGGFIWLVQKKKKEHTFKKIKQVVSYATEVTAFVEKGKMMKISGVKTRELLLWLSVVEMYIEDPSSGKITFKTGTGLSDSFPVSAFELE >DRNTG_14783.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30000318:30001601:-1 gene:DRNTG_14783 transcript:DRNTG_14783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPAEHQIPTFPPPESDSEESWVWSQIKAEAHRDADSEPALASFLYATILAHTSLARSLSFHLANKLCSSTLLSTLLYDLFLQTFSSFPSLLSAAVADLLATRHRDPACVSFSHCLLNYKGFLALQAHRVAHSLWSESRRPLALALHSRVADVFSVDIHPAARLGKGLLLDHATGVVIGETAVVGNNVSILHHVTLGGTGKAGGDRHPKIGDGVLIGAGATILGNVRIGEGAKIGAGSLVLIDVPPRTTAVGNPARLIGGKEAPSKLEDVPGESMDHTSFISEWSDYII >DRNTG_33637.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1947569:1948934:1 gene:DRNTG_33637 transcript:DRNTG_33637.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGNWATRRGSNPRLDPIIDIPATPPGDARPSSKTSFLSLVIPTTTISSSPTLTTAAIIASWYFSNIGVLLLNKYLLSIHGYRYPIFLTMLHMLACAIYSTVFVHWFRIVPPQPLSSRRQILKIALLSAIFCFSVVCGNTSLRYLPVSFNQAIGATTPFFTAVFALLITCRRESAGVYLSLLPVVLGIVVASNSEPLFHAFGFLVCLGSTAARALKSVVQSILLTSEAEKLNSMNLLMYMAPMAAAMLLPITVWMEGDIAGLTVNKVRDDPRLGLLLLGNATVAYLVNLTNFLVTKHTSALTLQVLGNAKAAVAAVVSVLIFRNPVTVMGMTGFGITIMGVVLYSEAKKRSKISSSSSLSSSSSTTSSSS >DRNTG_33637.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1947457:1948876:1 gene:DRNTG_33637 transcript:DRNTG_33637.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGNWATRRGSNPRLDPIIDIPATPPGDARPSSKTSFLSLVIPTTTISSSPTLTTAAIIASWYFSNIGVLLLNKYLLSIHGYRYPIFLTMLHMLACAIYSTVFVHWFRIVPPQPLSSRRQILKIALLSAIFCFSVVCGNTSLRYLPVSFNQAIGATTPFFTAVFALLITCRRESAGVYLSLLPVVLGIVVASNSEPLFHAFGFLVCLGSTAARALKSVVQSILLTSEAEKLNSMNLLMYMAPMAAAMLLPITVWMEGDIAGLTVNKVRDDPRLGLLLLGNATVAYLVNLTNFLVTKHTSALTLQVLGNAKAAVAAVVSVLIFRNPVTVMGMTGFGITIMGVVLYSEAKKRSKISSSSSLSSSSSTTSSSS >DRNTG_33637.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1947569:1949407:1 gene:DRNTG_33637 transcript:DRNTG_33637.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGNWATRRGSNPRLDPIIDIPATPPGDARPSSKTSFLSLVIPTTTISSSPTLTTAAIIASWYFSNIGVLLLNKYLLSIHGYRYPIFLTMLHMLACAIYSTVFVHWFRIVPPQPLSSRRQILKIALLSAIFCFSVVCGNTSLRYLPVSFNQAIGATTPFFTAVFALLITCRRESAGVYLSLLPVVLGIVVASNSEPLFHAFGFLVCLGSTAARALKSVVQSILLTSEAEKLNSMNLLMYMAPMAAAMLLPITVWMEGDIAGLTVNKVRDDPRLGLLLLGNATVAYLVNLTNFLVTKHTSALTLQVLGNAKAAVAAVVSVLIFRNPVTVMGMTGFGITIMGVVLYSEAKKRSKISSSSSLSSSSSTTSSSS >DRNTG_33637.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1947457:1949407:1 gene:DRNTG_33637 transcript:DRNTG_33637.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGNWATRRGSNPRLDPIIDIPATPPGDARPSSKTSFLSLVIPTTTISSSPTLTTAAIIASWYFSNIGVLLLNKYLLSIHGYRYPIFLTMLHMLACAIYSTVFVHWFRIVPPQPLSSRRQILKIALLSAIFCFSVVCGNTSLRYLPVSFNQAIGATTPFFTAVFALLITCRRESAGVYLSLLPVVLGIVVASNSEPLFHAFGFLVCLGSTAARALKSVVQSILLTSEAEKLNSMNLLMYMAPMAAAMLLPITVWMEGDIAGLTVNKVRDDPRLGLLLLGNATVAYLVNLTNFLVTKHTSALTLQVLGNAKAAVAAVVSVLIFRNPVTVMGMTGFGITIMGVVLYSEAKKRSKISSSSSLSSSSSTTSSSS >DRNTG_33637.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1947569:1948876:1 gene:DRNTG_33637 transcript:DRNTG_33637.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGNWATRRGSNPRLDPIIDIPATPPGDARPSSKTSFLSLVIPTTTISSSPTLTTAAIIASWYFSNIGVLLLNKYLLSIHGYRYPIFLTMLHMLACAIYSTVFVHWFRIVPPQPLSSRRQILKIALLSAIFCFSVVCGNTSLRYLPVSFNQAIGATTPFFTAVFALLITCRRESAGVYLSLLPVVLGIVVASNSEPLFHAFGFLVCLGSTAARALKSVVQSILLTSEAEKLNSMNLLMYMAPMAAAMLLPITVWMEGDIAGLTVNKVRDDPRLGLLLLGNATVAYLVNLTNFLVTKHTSALTLQVLGNAKAAVAAVVSVLIFRNPVTVMGMTGFGITIMGVVLYSEAKKRSKISSSSSLSSSSSTTSSSS >DRNTG_16626.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31456350:31457740:-1 gene:DRNTG_16626 transcript:DRNTG_16626.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKQIVCALLWVSALVGPRSIIEHAYGYGGEAAASDFVKADGTSFTVNGQLFYPNGFNAYWLMSKASKPSEMDKVSRALRQASSYGMTVIRTWAFKDGGYRPLQSSPGVYNEDMFKGLDFVISEAKKYGLSLILSLVNNFYGKRQYVEWAMQRGENLTSEDDFYTNYLVKTFYKNHVKTILLRNNTKTGVVYKDDPSIMAWELMNEGRCKSDLSGRTMQAWIEEMAGYVKAIDRNHLLEVGLEGFFGGLDQDQEAIQYDPFASSRNVGSDFISNNQIHGIDFATIHLYPNLWYVPSTTPNYLLS >DRNTG_16626.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31456034:31457740:-1 gene:DRNTG_16626 transcript:DRNTG_16626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKQIVCALLWVSALVGPRSIIEHAYGYGGEAAASDFVKADGTSFTVNGQLFYPNGFNAYWLMSKASKPSEMDKVSRALRQASSYGMTVIRTWAFKDGGYRPLQSSPGVYNEDMFKGLDFVISEAKKYGLSLILSLVNNFYGKRQYVEWAMQRGENLTSEDDFYTNYLVKTFYKNHVKTILLRNNTKTGVVYKDDPSIMAWELMNEGRCKSDLSGRTMQAWIEEMAGYVKAIDRNHLLEVGLEGFFGGLDQDQEAIQYDPFASSRNVGSDFISNNQIHGIDFATIHLYPNLWIPHADDATQLSF >DRNTG_06796.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3940903:3941721:-1 gene:DRNTG_06796 transcript:DRNTG_06796.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPCEVAGMQYITPINHHFTNFNLPQNTLDSFMTNSATFFPSHAPPPHPLNFQHLHQELLTPTPSYLSTNSTSDDADDHHNQHNSLADERRRRRMISNRESARRSRMRKQRQLDDLFAHVVHLRSANHQLIDDLNHVIKDHSRILQENARLREEATSLENKLRLLQIDHQNDSETQST >DRNTG_11885.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15124728:15125088:-1 gene:DRNTG_11885 transcript:DRNTG_11885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMLLAQSCIGEARAEVSSSFLFGDFILHQEDFDEGEDQASPHCLRGSRTSQRHKGSGASIKGAQLGRIS >DRNTG_27792.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3243417:3245445:1 gene:DRNTG_27792 transcript:DRNTG_27792.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAMVHHGFYSAYHNTSLRPAILNAVQRIMDLYGDIRVMVTGHSMGGAMASFCALDLTVNYGIKDIQLMTFGQPRIGNTAFASYFGKHVPHTVRVTNGHDMVPHLPPYYSYFPSKTYHHFAREVWLHNIGLGSLIYMVEKVCDGSGEDPHCSRSVSGSSISDHTMYYGIELEGDTWGSCRIVMSKHIAEYRLDPAGNILLSREPTLQSNLRLRAQTNDRLSSV >DRNTG_27792.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3241176:3245445:1 gene:DRNTG_27792 transcript:DRNTG_27792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRWLTVLVLMFLIGVSEGRELKLKHYDYSDVYNSTLARILVEYASAVYMTDLTELFTWTCSRCNDMTEGFEMVELIVDVQNCLQAFVGIAHDLNAIVIAFRGTQERSIQNWIEDLFWKQLDLNYPEMPDAMVHHGFYSAYHNTSLRPAILNAVQRIMDLYGDIRVMVTGHSMGGAMASFCALDLTVNYGIKDIQLMTFGQPRIGNTAFASYFGKHVPHTVRVTNGHDMVPHLPPYYSYFPSKTYHHFAREVWLHNIGLGSLIYMVEKVCDGSGEDPHCSRSVSGSSISDHTMYYGIELEGDTWGSCRIVMSKHIAEYRLDPAGNILLSREPTLQSNLRLRAQTNDRLSSV >DRNTG_05408.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5539307:5549141:1 gene:DRNTG_05408 transcript:DRNTG_05408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPRKFKNKAPALAASARASSSSRKIKKKSKKNTGDANPPEPSNALMVAPAPAAAPNVETKNRKAEVGKEKEKEKNRKAEVEKEKEKEKEKGKGKEKEATTMARTSGFIFMCSAKTKPECYRYRVFGLPKGRIGEVEKIKLGARLFLYDFDLRLLYGVYRASSKGGLNLERGAFGGGYPAQVNFKIDKDCLPLPETEFKQAILDNYYSKNKFKPELSNKQVHKLLSLFRPIAMLPRSVPSPQIIEDSHPSASAHLLFEEPYRSERHARDPPPIGSRYAPFPSHPRNEQYLPATQYACPPSAADHRVPMTISSTIDAYRTALSDPYQGQTLLSYYQEHPLVSSEGVVYRMVPEVITTRDALRPVREHRPLVDALPPSRDNRSLVDPLPPGRDYQTLVDLHPTGRDYRSPVDPLPLVRDHRPLGDPLPPRDYRQHPSIDTGNIPQADRFDGLNHVEQSVTYVGVPQLSYSFPAYENPQRTTYVSESLQTYAPVEVTPLQSYSSMSYVDPHHAAYATDGLLRPVSSRADLQSAPVSSLYSFVGAAPTYR >DRNTG_17384.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:9009648:9010300:1 gene:DRNTG_17384 transcript:DRNTG_17384.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVVDSFFESKKVAKEESKQVEVEEEEESSSSSRHREEYASNGDLIIY >DRNTG_21126.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2543283:2557931:-1 gene:DRNTG_21126 transcript:DRNTG_21126.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 12 [Source:Projected from Arabidopsis thaliana (AT4G00450) UniProtKB/Swiss-Prot;Acc:H3K2Y6] MQRYSATSCAAGGVNNSTINGTSARDAARVESSFSTPNFPRRTSLLTPYKLKCEKEPLSSRLGPPDFYPQTPNCPEETLTREYLQSGYKETVEGIEEAREIVLTHVAAFVKPEFILKCKEAIRKRLRAIIESRAQKRKAGQVYGVSLSGSLLTKSGVFPDQKACSEDFRKKWIEGLAQQHKQLRSLAENIPHGYRKKTLFEVLIRHNVPLVKATWFIKVNYLNQVRPAVTSVSSGPDKAQSARSELWTKDVIEYVQQLVDDFFSKDGAFAAIPSRDQSSPNMLAGVPQHGSDSISSTLDVDEPSLQFKWSYMVRLLQWHFAEGLLVSSLVVEWVLNQLQERESAEALELLLPIIYVLVERIALSQTYARIFIDILVRAINVLCPGGSGLTDNSSKPSLLVTLIEMLRWFILAIPDTFVALDCFPLPSCVAPDLFVRSNSLKVENVDFGNMASDHRYWSFGSVVSSIQKRSANLAKIVNPGLQGYGVPKALQALDKALTVEGDLRTAYNSLFGDFSDMTIEEAWIAEVSPCLRSSLKWIGSVSLPLICSVFFLCEWATCDYRDCRTALPTTPKFTGGKDFCQVYAAVSLLKLKMEHLHSSSQFDSSSQIVVGNIGKAASLHDSLSGGTIVENVTGIENSSKGLSNKKHKVDLFQSPGPLHDVIVCWLDQHVAGKGEGLKCLMVFVMELVRYGIFYPQAYVRQLIISGIMDRDESQFDLERQKRHYQILKQLPGSCLFDVMEEARITKVPLLKEAVNVYSNERRLVLQGYLGGKSSQSKAGSGIRLGFSSQKQKDHSVAFRDGASPSLLESHRNFNVALSAMTANNEKSKVKIAELKVAISSLLHIPGPCSLSTESRFDESQRSNKRSLGSLSGKSDVIDVTPGCEECRRAKRQKLDDERSSPFQGFSSNWLDDEDIWWTRKGPKPQESFKVEPPLKSSKPGSRGRRKTQSLAQLQANRIESSHGASTSHVCDNKVSCPHHKSALAGENPNDADRMKMVSLSDISRTLKQLRMLEKRSISVWLVTTIRQLVEGNEKTASKAGHYASSFSTSFEDRNIVRWRLTEDELSTILYLLDISLDLTAAAKLLVWLFPKVFGGPSSTAHIGRNAMMPTKNKENTICDVGEAFLLSSLQRYENVLVSSDLLPEVLTAAMHRVVTVLPTNGRSHGTASLTYARNLLKKYRDIGSEWEKNFRTTCDQRTLAELDAIRQADSNMGFSPGVPGGMDDSDDNLRQKFSGRMSRFSGIMKELVQRRIEEAVQYFYGKERKPFAAAAVKNSSEKMDEGHHIAQEIFFGLCDCICQNGSASQEWDPTGVAAAVSAIVGNVGHAVAKILDLLAGNNYQLFSSTSSSINCARHILRIHILSLCLLKESLGERLGRIFDVTLANEASSAVSNAFSPGKPSRSQFQPSPENHDGNLNHPNENLNNSSKTFVGRAGKAAASVSALVVGAIVHGVASLERMVSVFKLKDGLDVQMFIRSSRSSSNGMSRSFGTFKIDHCIEVSVHWFRVLIGNCRTVFDGLVAEILGEPYTSALSRMQRMLPLSMVFPPAYSIFAMVIWRPYILNSNIATREDIQLYQHLSMAIGDAIKHQPFRDLCLRNTRMLYDLLASDIGDFEFASMLETHSPDKHLKTMAFIPLRARLILNALIDCKMPPFTLMKEDGSWVSVPGEPRAYSENETKLLDQLEQVLDTLQPAKFHWQWVELRLLLNEQALIEKIDTHNMQLVEAIKSLSPKAENFSLSENERNFIEIVLTRLLVRPDAASLYSEVVNLLGKSLEEFLLQNTKWVLAGSDVLLGRKSIRQRLATLAQLRGFTSKAQFWKPWGWSSPNANGPIDKTDKRKMEVTSIEEGEVVDEGVEVKRPGRMSFQLFDAEGFSPGQQYITDRALAELVLPCIDRSSSDSRNSFATELIKQLSTIEQQINMLTRGGGKQSGSASSAVEVSSSKGSSRKGLRGGSPGLGRRITGVTESSPPSAAALRASMWLRLQFLLRLLPIICADREQSARNMRHTLAAVILRLLGTRVVQEDADACHLITHKTPRREEEYLVNAPITASLDRSGDSLFDRFLCVLHCLLGNFKPSWLKAKPISKSTLKSRDFSAVDREVSEKLQVELDRMELPAAIRRRIQAAMPELPPSPPLTISCHPPLLTSTALASLQPGMSTPSQHAGNQRTSVPSARPTTTMQGKSRSSTAQDLDMEIDPWTLLEDGTGTASASNNSSNVGGPGADHSNLKACSWLKGAVRVRRTDLTYIGAMDDDS >DRNTG_24194.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22460012:22460560:-1 gene:DRNTG_24194 transcript:DRNTG_24194.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNYTYWDENYTSKCVEALLNPLNLHPVESKTITDKFAATGILQGYLDNMQRNLKSQSQKH >DRNTG_24194.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22460262:22461927:-1 gene:DRNTG_24194 transcript:DRNTG_24194.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVKPFDLFQMVLKANNLERRLLGLDVGHKYVGLAVSDPQNQIASPLSVLVRKKTNIDLMVKDFQTLISRFSIMGFVVGFPYSLQGQTSPEAVQVKLFIRELHNTGKLEGMNYTYWDENYTSKCVEALLNPLNLHPVESKTITDKFAATGILQ >DRNTG_24194.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22460012:22462301:-1 gene:DRNTG_24194 transcript:DRNTG_24194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVKPFDLFQMVLKANNLERRLLGLDVGHKYVGLAVSDPQNQIASPLSVLVRKKTNIDLMVKDFQTLISRFSIMGFVVGFPYSLQGQTSPEAVQVKLFIRELHNTGKLEGMNYTYWDENYTSKCVEALLNPLNLHPVESKTITDKFAATGILQGYLDNMQRNLKSQSQKH >DRNTG_32879.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23539896:23540879:1 gene:DRNTG_32879 transcript:DRNTG_32879.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKKNTTTTNTATTALKQSLTRLLRRTTCSTNDEELGGLFSSEDGEEGREDCSEAFFSSKSFSSDSSEFYQRPLSISSKRITHKRDITSSSSSRRRRANNAFRPLVSMMSYDDHKKKKKKVKPRKFVMDDNEEDEDEEENKGIAVVKKSKDPYGDFRSSMLEMIVERQMFGPRDLQRLLHSYLSLNSDHHHPVILKAFSDIWEALFSN >DRNTG_21188.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11575345:11576183:-1 gene:DRNTG_21188 transcript:DRNTG_21188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRSFSRKSSKRRGLAGVVYNDPNTGAQVVVLRHQDVQQKDDQGLFNNVIEETATKLVKSRKSKVKALVAAFETVISLIRGPK >DRNTG_02091.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10439276:10440613:-1 gene:DRNTG_02091 transcript:DRNTG_02091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNFFWFCLLICGLHRTFTMSLTIGINPTIMAMHEQWMAKHGRIYKSSEDKEYRLKIFAENLDFIRSFNNNGTRSYKLAINRFAEMTNEEFKAFQTGFSKPLEAPDNSSFRYANVTDVPPSMDWRSKGAVSPIKNQGRCGSCWAFAAVAAIEGIIQIKENILVSLSEQEILACDQGNNGCAGGFAYEAFKYVLDNGGLITEDDYPYEKANGTCKTVKGGEGGSNYKAKINGRERVPANNESELVKAVVNQPVVFAIDSTGRNFQFYAGGIFTGGCGTVLDHEVTAVGYGEDEYGTKFWILKNSWGIGWGEMGYMRLLRNVKPQEGLCGIAMDPSFPTI >DRNTG_02091.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10440162:10440613:-1 gene:DRNTG_02091 transcript:DRNTG_02091.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNFFWFCLLICGLHRTFTMSLTIGINPTIMAMHEQWMAKHGRIYKSSEDKEYRLKIFAENLDFIRSFNNNGTRSYKLAINRFAEMTNEEFKAFQTGFSKPLEAPDNSSFRYANVTDVPPSMDWRSKGAVSPIKNQGRC >DRNTG_02542.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8273170:8273683:-1 gene:DRNTG_02542 transcript:DRNTG_02542.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNMTSSFPKSNATASPLEPYSSSSLPPPPPSLHFSTDPRN >DRNTG_29328.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29707810:29711668:1 gene:DRNTG_29328 transcript:DRNTG_29328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVAARISISLAASPVRSLLIAVCITCPERCHEGPCPPCRVKGVCKCACGRTKEERECCERGFQCENPCESLLACGKHRCERGCHSGPCGDCPLRGRRTCPCGKKEYKGVSCDVNVPTCGSTCEKLLSCGLHRCPERCHRGPCVETCRTVLIKSCRCGSLKKEVPCYQDLVCERKCQRTRDCGKHACRRRCCDGSCPPCPEICGRKLRCNNHKCHSPCHRGTCAPCPLMVTIPCACGETSFEVPCGLEMNQKPPRCPKPCPIPRLCRHKSQCRPHRCHYGSCPPCRLVCGEDFSCGHSCRQRCHGPMPPPNPEFTLRGRLVPGLGMERSEGVMKRRRSGEGLGMKDVFGW >DRNTG_30414.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001573.1:34206:40136:-1 gene:DRNTG_30414 transcript:DRNTG_30414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLKMEKLKRRREKKETSANNGVLSGLTKKKETA >DRNTG_28624.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28404464:28406593:1 gene:DRNTG_28624 transcript:DRNTG_28624.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYEVIKDIGSGNFGVAKLVRDVNTNEFFAVKFIERGEKIDENVQREIMNHSLLKHPNIVKFKEVLLTSTHLAIVMEYAAGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSAVFHSQPKSTVGTPAYIAPEVLSKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDDPRNFRKTIERILSVQYTIPDYVRISAECKHLLSRIFVANPEQRITIQDIKNHPWFVKNLPIELTDEYQANVRSIDANTPSQSMEEIMSILQEARKPGDVQRSDIGGLVDGSTELDDMDDDLELDEDVDTSGDFVCAL >DRNTG_28624.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28404464:28406593:1 gene:DRNTG_28624 transcript:DRNTG_28624.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYEVIKDIGSGNFGVAKLVRDVNTNEFFAVKFIERGEKIDENVQREIMNHSLLKHPNIVKFKEVLLTSTHLAIVMEYAAGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSAVFHSQPKSTVGTPAYIAPEVLSKKEYDGKVTCFSFHCLQIHYFIAYGDNRLQMSGHVVSHCM >DRNTG_10092.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20722798:20725215:1 gene:DRNTG_10092 transcript:DRNTG_10092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFMRPSFSCCSSYGVTSLHKESPAPKIGRREVVLRTSEIATLAAIFHFGGPKPNYLGVQKNPPSLALCPATNTCVSTSEDISNTSHYVPPWNYNPPDGSRKKPKSREEAIKELLQVVTSTKPDNFTPNVVDRKDDYIRVEYESPLLGLVDDVEFWFPPGDKSIVQYRSASRVGSIDFDINRKRIKALRLALEKKGWASESNF >DRNTG_24347.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:393668:394181:-1 gene:DRNTG_24347 transcript:DRNTG_24347.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSEGGQDEEQERRSLLESNSSNNGATSMQTLMNIIVSIVGTGVLGLPYAFRTAGWLAGSLGVITAALLSYYCMLLL >DRNTG_24347.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:392528:394181:-1 gene:DRNTG_24347 transcript:DRNTG_24347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSEGGQDEEQERRSLLESNSSNNGATSMQTLMNIIVSIVGTGVLGLPYAFRTAGWLAGSLGVITAALLSYYCMLLLVQCKRKAIVVLEGGGEENRIETYGDLGARAFGAKGRFLTELMIVISQLGGTIVFLLFIGENLSSVFSGRHRISPSVFILILLPLQIALSFITSLKVLAPFSALADACNVLAMAFVIKEDVKQLQSFGGGPRIAFNGVWSLPFACGVAVYCFDGFALTLSLEKSMARPKKFPWVLLQAFIGVCIVYTCFGVLGYLAFGDNTMDIITLNLPNSLSSIIVKLGVSMALALTFPMSMHPIKEIMEAKLKSRKSITLRAARVLMVVAVAVLATAAPDFSVLISFIGSTLCALLSFILPALYHLKLVNNLSVWERVLDYIIFVIGIVFAACGTCAAIAGHFKN >DRNTG_21788.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:16879844:16887061:1 gene:DRNTG_21788 transcript:DRNTG_21788.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLSTFQGLSFSLEMQKKPTKYFSGGWHMRIALARALFVKHDFLLLNEPTVTLIVLYCKLFYWLIE >DRNTG_21788.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:16879844:16882606:1 gene:DRNTG_21788 transcript:DRNTG_21788.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVFLSICVCRSLRKHIQNLKVSTVGVGAMGYIGNKVCFLQNIWRILLIIKHLSIIYHSTFYKLSLFKNINVEHFFLAIMCSFITKFPFMFCIS >DRNTG_21788.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:16879844:16887061:1 gene:DRNTG_21788 transcript:DRNTG_21788.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLSTFQGLSFSLEMQKKPTKYFSGGWHMRIALARALFVKHDFLLLNEPTVTLIVLYCKLFYWLIE >DRNTG_21788.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:16879844:16887061:1 gene:DRNTG_21788 transcript:DRNTG_21788.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLSTFQGLSFSLEMQKKPTKYFSGGWHMRIALARALFVKHDFLLLNEPTVTLIVLYCKLFYWLIE >DRNTG_21788.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:16879844:16887061:1 gene:DRNTG_21788 transcript:DRNTG_21788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLSTFQGLSFSLEMQKKPTKYFSGGWHMRIALARALFVKHDFLLLNEPTVTLIVLYCKLFYWLIE >DRNTG_20892.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25079177:25086882:-1 gene:DRNTG_20892 transcript:DRNTG_20892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFVNSLPAAHAIDPEENNMHPSRASDFGVLQQTIGFRVEDAAILNQGTVIDPKSDSQAGPSDTLQFGAYSKPLAPTDIASLVSTVRPLTLPQQRGQQPNLASVSSGHFDNWGDSTMADTSPRTDTSTDVDHDDKNQRFDQGQPVAVVPSDSSDRSKEKTGDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQAHSMSGNGAMTFDIEYARWLDEHNRQISELRSAVNSHASDNDLRSIVDGIMAHYDDIFKLKSAAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLTRQLEPLTEQQLAGICNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFIRQADNLRQQTLQQMHRILTTRQSARALLAINDYFSRLRALSSLWLARPKE >DRNTG_21051.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001198.1:341:1739:-1 gene:DRNTG_21051 transcript:DRNTG_21051.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVMILENVKSLLNTTDLSAQANGWVSCYFL >DRNTG_21051.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001198.1:341:1739:-1 gene:DRNTG_21051 transcript:DRNTG_21051.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVMILENVKSLLNTTDLSAQANGWVSCYFL >DRNTG_21051.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001198.1:341:1739:-1 gene:DRNTG_21051 transcript:DRNTG_21051.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIELKTAPADFRFPTTNQTRCVNAKGDDSGECEKFAKYYRSLCPGEWVGIMLFSLNLFVA >DRNTG_21051.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001198.1:341:1739:-1 gene:DRNTG_21051 transcript:DRNTG_21051.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVMILENVKSLLNTTDLSAQANGWVSCYFL >DRNTG_21051.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001198.1:341:1739:-1 gene:DRNTG_21051 transcript:DRNTG_21051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVMILENVKSLLNTTDLSAQANGWVSCYFL >DRNTG_03075.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12173373:12175682:1 gene:DRNTG_03075 transcript:DRNTG_03075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNAAPEQTGQLQMPGPPKRYPMARPATGSAGQPIKLLSNHF >DRNTG_21626.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4916:7240:1 gene:DRNTG_21626 transcript:DRNTG_21626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSLQDQIFYKVGNSKDVARCQELKKDQNGRFGFGDGCLTMERWDQLSHFFNNTGTVLTFGLNALNGRRLVGKDLYVGDWDPTNAHDLINYSLSRGYKVESWELGNELSAEGVSGRVTGTQYGKDMIVLKKIITQLYEKYNKTSEMPKILAPGGFFDPEWFADMFKASGLGVVDVATHHNYHLGAGVDPTLFEKMQDPYVLSTIAQTYSDVEQTLKKFGPWASAWVGESGGAYNSGGRLVSHAFVNGFWYLNELGMTSSLNHKAFCRQALIGGNYAILNTTNFIPNPDYYNALLFHRLMGTGVLETTHSGSPFLRAYTHCSRDKVGVTMLLINLSNSTGIDIKIASDMNLYPPRLEKYAEEMMTGEREEYHLTAMNDDLKSTVMLLNGVPLNLTSDGEIPEMFPFIADASSPFHVAPSSIAFIKLKEFRAPACDS >DRNTG_21626.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5498:6014:1 gene:DRNTG_21626 transcript:DRNTG_21626.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYMLFGCRTVLTFGLNALNGRRLVGKDLYVGDWDPTNAHDLINYSLSRGYKVESWELGNELSAEGVSGRVTGTQYGKDMIVLKKIITQLYEKYNKTSEMPKILAPGGFFDPEWFADMFKASGLGVVDVATHHNYHLGA >DRNTG_25969.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22436568:22443057:-1 gene:DRNTG_25969 transcript:DRNTG_25969.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPSPFPDLNLNLDIVPFVKLEPKEEPLEDLPIATASTAADAAECLLSPEEHTPLQKLSPSEDALFAEYLRLTRLFLSSIESGASGGAIVPADGSSAAPASSAIVASKKRKARSSEMVRVSSLGVRDQIHFRDLVRHTRITFESLRFLLLRNDGFSEVFGRRTRPDLKAAALMTDKNLWLNRDKRIIGPIPGVCIGDVFFFRMELCVLGLHGQVQAGIDYVPSTRSPSGEPVATSIIVSGGYEDDDDGGEELIYTGHGGRDRNNFRHSVHQKLEGGNLALEKSRLYGIEIRVIRGIKSDRSPTNKVYVYDGLYKVVDSWFDTGKSGFGVFKYRLVRIEGQEEMGTGILKLAEELKVNPLTARPRGYLSLDISMKKEKFPVMLFNDMDDERDPVYFEYLARPLYPPSVFEEKSLLAGGNGCDCVTNCSTDCLCARLNGGELPYDSNGLLSRGKPLIYECGTGCRCPPSCPNRVSQKGLKHQLEIFRSKETGWGIRSLDMIRAGSFVCEYTGIVLTKEQTELLAMSGAGLVWPNRFPARWMEWGDISDVFPGFMQPSYPALPELNFSIDVSRTRNVASYLSHSSTPNVFLQCVLFDHYNTSYPHLMIFAMENIPPLRELSIDYGIGEEWVAKLTV >DRNTG_31271.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19860569:19862272:1 gene:DRNTG_31271 transcript:DRNTG_31271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPSIWFSVHQWFTPTTLFIVLNLVIATIAITSKALRSGDDHHAAEPRKRARAPSLVFDRIRSFNLNLYHSPAPLVPSLDPIHPSPSPSPSSPLDPVADLASEGLDHEQHHFTRSQSDARPTAGEMPEKLAPKMKKSASVQSAFAHFEVKEIPPPQLPPPEEAVAAVDGGDGEEEGGEVDARADDFINRFRQQLKLQRLDSLLSYG >DRNTG_31271.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19860569:19861426:1 gene:DRNTG_31271 transcript:DRNTG_31271.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPSIWFSVHQWFTPTTLFIVLNLVIATIAITSKALRSGDDHHAAEPRKRARAPSLVFDRIRSFNLNLYHSPAPLVPSLDPIHPSPSPSPSSPLDPVADLASEGLDHEQHHFTRSQSDARPTAGEMPEKLAPKMKKSASVQSAFAHFEVKEIPPPQLPPPEEAVAAVDGGDGEEEGGEVDARADDFINRFRQQLKLQRLDSLLRYKEMLNRGR >DRNTG_22746.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31633258:31636186:-1 gene:DRNTG_22746 transcript:DRNTG_22746.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVDSRHAWHFRKGVEEAKKFLPSDDKLVIDLEACGFSQQEASKQRSSRVAQVDVVDKRDCANTGSRSRKKPNGEDLAMQEGRNNKQSAVCSEETLPSEMFDKVLLYNKNESLKVGVDAVVANLQDKASKISDGNQLKGSNVGKGRGKKQAKKKVVDLRTLLIHCAQAVAADDRWTANEVLKQIRQHSSPSGDGSQRLAHCFADGLEARLAGTGSQIYRSLIAKKTTASDILKAYQLYLAACPFKRISHFFSNQTILNVSEKASRIHIIDFGIYFGFQWPCLIQRLSYRAGGPPNLRITGIDVAQPGFRPTERIEETGQRLQDYARSFNVPFEFNAITSKWEAITVKDLKIRKDEVLIVNCLYRFRNLVDETVIVDSPRNMVLNTIRQASPDVFIHGIVNGSYSAPFFVTRFREALFHYSALFDMLETTVPREDEQRRLIERDLFGREALNVISCESSERVERPETYKQWQARNLRAGFEQLPLDPDIMKRARDKVKTSYHKDFVIDESSRWLLQGWKGRIIYAISTWRPNKVL >DRNTG_22746.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31633258:31636186:-1 gene:DRNTG_22746 transcript:DRNTG_22746.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFVDSRHAWHFRKGVEEAKKFLPSDDKLVIDLEACGFSQQEASKQRSSRVAQVDVVDKRDCANTGSRSRKKPNGEDLAMQEGRNNKQSAVCSEETLPSEMFDKVLLYNKNESLKVGVDAVVANLQDKASKISDGNQLKGSNVGKGRGKKQAKKKVVDLRTLLIHCAQAVAADDRWTANEVLKQIRQHSSPSGDGSQRLAHCFADGLEARLAGTGSQIYRSLIAKKTTASDILKAYQLYLAACPFKRISHFFSNQTILNVSEKASRIHIIDFGIYFGFQWPCLIQRLSYRAGGPPNLRITGIDVAQPGFRPTERIEETGQRLQDYARSFNVPFEFNAITSKWEAITVKDLKIRKDEVLIVNCLYRFRNLVDETVIVDSPRNMVLNTIRQASPDVFIHGIVNGSYSAPFFVTRFREALFHYSALFDMLETTVPREDEQRRLIERDLFGREALNVISCESSERVERPETYKQWQARNLRAGFEQLPLDPDIMKRARDKVKTSYHKDFVIDESSRWLLQGWKGRIIYAISTWRPNKVL >DRNTG_22746.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31633258:31636186:-1 gene:DRNTG_22746 transcript:DRNTG_22746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVDSRHAWHFRKGVEEAKKFLPSDDKLVIDLEACGFSQQEASKQRSSRVAQVDVVDKRDCANTGSRSRKKPNGEDLAMQEGRNNKQSAVCSEETLPSEMFDKVLLYNKNESLKVGVDAVVANLQDKASKISDGNQLKGSNVGKGRGKKQAKKKVVDLRTLLIHCAQAVAADDRWTANEVLKQIRQHSSPSGDGSQRLAHCFADGLEARLAGTGSQIYRSLIAKKTTASDILKAYQLYLAACPFKRISHFFSNQTILNVSEKASRIHIIDFGIYFGFQWPCLIQRLSYRAGGPPNLRITGIDVAQPGFRPTERIEETGQRLQDYARSFNVPFEFNAITSKWEAITVKDLKIRKDEVLIVNCLYRFRNLVDETVIVDSPRNMVLNTIRQASPDVFIHGIVNGSYSAPFFVTRFREALFHYSALFDMLETTVPREDEQRRLIERDLFGREALNVISCESSERVERPETYKQWQARNLRAGFEQLPLDPDIMKRARDKVKTSYHKDFVIDESSRWLLQGWKGRIIYAISTWRPNKVL >DRNTG_28295.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001432.1:16037:16673:-1 gene:DRNTG_28295 transcript:DRNTG_28295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLVLKVPNVSPPLMMKLPLEVQALDLPKLQVKSPKNMMSSFLSFLPSGGCQEPSNIEAVCHDSILSWKLSRCYSGSYSVGDAVPLLQYSSYNTLATVNMLQCHDLFFFSF >DRNTG_17789.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:7350164:7351241:-1 gene:DRNTG_17789 transcript:DRNTG_17789.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLQILTKSFIHLLRVIPKALMGKKVGLLNIDGYYNSLLSFIDQAIEEGFIKPSARHIIVSVSNAKELIEKLEVIFTIF >DRNTG_03331.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15158543:15165353:1 gene:DRNTG_03331 transcript:DRNTG_03331.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFFFKGSAGMEKQRRRRKEEGREKIWILILGLLQCRV >DRNTG_03331.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15158543:15161376:1 gene:DRNTG_03331 transcript:DRNTG_03331.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQDLASFKGDGRMENGASISSNPIEKKRED >DRNTG_22811.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9258127:9258955:-1 gene:DRNTG_22811 transcript:DRNTG_22811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVKATILGRKSYHLESVKATREASWERATLEVVNALKSKKEAKIGYKDTMLGVLVQFKDQDTRAVHKRGDVCQLPRRFKSIHYLEGHKGSHIFIFLLFVKIARPLKDTSMKKSFIVYHMDVCPDMWP >DRNTG_31657.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:926393:931562:1 gene:DRNTG_31657 transcript:DRNTG_31657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDSLKPVLLTGDLQVFYSFSSSCPNAY >DRNTG_31657.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:926498:931562:1 gene:DRNTG_31657 transcript:DRNTG_31657.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDSLKPVLLTGDLQVFYSFSSSCPNAY >DRNTG_27941.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6495437:6499432:1 gene:DRNTG_27941 transcript:DRNTG_27941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEVNQCEGGGDTVVEEAKTPDDDDDGVVMTVVTAVSPTIVLCRNTPNSTLFIKAT >DRNTG_31000.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3598312:3601117:-1 gene:DRNTG_31000 transcript:DRNTG_31000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSEVSPLTSGRLSHSSGPLNGGQNSGSLTDSPPVSPSDMDDVKFCRGSINAGLVPATKPPYRAGGGKTVGRWLKDRREKKKEEMRAHNAQLHAAVSVAGVAAAVAAIAAATAAATSASGKDDRAVRTDMAVASAATLVAAQCVEAAEALGAERDHLAAVVGSAVSVRSPSDVVTLTAAAATALRGAATLKARALKEVWNVAAVIPVEKGGLGMANYIGNNHNNGNGDHHKQKKKSLSNDDGESLSGELAADENFLTLCSQELLARGTELLKRTRKGSLHWKIVSVYINRMGQVMLKMKSRHVAGTITKKKKNVVIEVCKDVPAWPGTAFTRGRGAPEVFRAEDSRTESD >DRNTG_31000.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3598312:3600344:-1 gene:DRNTG_31000 transcript:DRNTG_31000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANYIGNNHNNGNGDHHKQKKKSLSNDDGESLSGELAADENFLTLCSQELLARGTELLKRTRKGSLHWKIVSVYINRMGQVMLKMKSRHVAGTITKKKKNVVIEVCKDVPAWPGTAFTRGRGAPEVFRAEDSRTESD >DRNTG_31000.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3598312:3601117:-1 gene:DRNTG_31000 transcript:DRNTG_31000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSEVSPLTSGRLSHSSGPLNGGQNSGSLTDSPPVSPSDMDDVKFCRGSINAGLVPATKPPYRAGGGKTVGRWLKDRREKKKEEMRAHNAQLHAAVSVAGVAAAVAAIAAATAAATSASGKDDRAVRTDMAVASAATLVAAQCVEAAEALGAERDHLAAVVGSAVSVRSPSDVVTLTAAAATGTVLQQVMPLMCLLKCPCEVFCL >DRNTG_14519.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:8260537:8266361:-1 gene:DRNTG_14519 transcript:DRNTG_14519.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ent-copalyl diphosphate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G02780) UniProtKB/Swiss-Prot;Acc:Q38802] MLLSITSSFSSPDLIIRRSSGLSTGFCCFGTFNRGGCDIGVARWRLSAISKPSVHQEYKIGLIHNGMPITEWQDKKLPELREEDPSLEVSEGERIREMINEVKVMLSLMGDGEISISAYDTAWVALVQDINGSGAPQFPSSLRWVVENQLSDGSWGDQCIFSAHDRLISTLACVITLKSWSICSDQFEQGLKFIRENMWRLAEEDEKHMPIGFEVAFPSMVEIAKALGLDAICDDAALQSVYAMRNLKLKRIPREVMHRVPTTLLHSLEGMPGLDWSWLLHLQSKDGSFLFSPSSTAYALMQTGDKKCLAYLQKAVDKFNGGVPNVYPVDLFEHLWAVDRLERLGISRYLEQEIKDCMDYIYRHWTKHGICWARDSSLQDVDDTSMGFRLLRLHGYDVSPDVFCNFEKDGEFFCFAGQSNQAVTGLYNLNRASQIAFPGEEILRRAKSYSYKFLREKQHCGQLLDKWIITKDLPGEVEYALDFPWYSSLPRVETRLYLEQYGGGGDVWIGKTLYRMPYVNNDVYLDLAKSDFNQCQAVHQLEWLGLHRWYTECRLAEKGVSRETLLTTYFLAAASIYEPERAPERLGWAKTAVLAAAVSSFFSSDSCSKNMRQDFIQEFINYPNSQTSSRSKMLGEWDGGLLIAINQLLDHIISDNHSQQQQQQQKMCNHLRHAWEDWMRRWRKEGEEEDEREHVRGGLSEGRNETGMLLVKDH >DRNTG_14519.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:8260537:8263999:-1 gene:DRNTG_14519 transcript:DRNTG_14519.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ent-copalyl diphosphate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G02780) UniProtKB/Swiss-Prot;Acc:Q38802] MGFRLLRLHGYDVSPDVFCNFEKDGEFFCFAGQSNQAVTGLYNLNRASQIAFPGEEILRRAKSYSYKFLREKQHCGQLLDKWIITKDLPGEVEYALDFPWYSSLPRVETRLYLEQYGGGGDVWIGKTLYRMPYVNNDVYLDLAKSDFNQCQAVHQLEWLGLHRWYTECRLAEKGVSRETLLTTYFLAAASIYEPERAPERLGWAKTAVLAAAVSSFFSSDSCSKNMRQDFIQEFINYPNSQTSSRSKMLGEWDGGLLIAINQLLDHIISDNHSQQQQQQQKMCNHLRHAWEDWMRRWRKEGEEEDEREHVRGGLSEGRNETGMLLVKDH >DRNTG_14519.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:8264619:8266361:-1 gene:DRNTG_14519 transcript:DRNTG_14519.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ent-copalyl diphosphate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G02780) UniProtKB/Swiss-Prot;Acc:Q38802] MLLSITSSFSSPDLIIRRSSGLSTGFCCFGTFNRGGCDIGVARWRLSAISKPSVHQEYKIGLIHNGMPITEWQDKKLPELREEDPSLEVSEGERIREMINEVKVMLSLMGDGEISISAYDTAWVALVQDINGSGAPQFPSSLRWVVENQLSDGSWGDQCIFSAHDRLISTLACVITLKSWSICSDQFEQGLKFIRENMWRLAEEDEKHMPIGFEVAFPSMVEIAKALGLDAICDDAALQSVYAMRNLKLKR >DRNTG_14519.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:8260537:8264203:-1 gene:DRNTG_14519 transcript:DRNTG_14519.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ent-copalyl diphosphate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G02780) UniProtKB/Swiss-Prot;Acc:Q38802] MDYIYRHWTKHGICWARDSSLQDVDDTSMGFRLLRLHGYDVSPDVFCNFEKDGEFFCFAGQSNQAVTGLYNLNRASQIAFPGEEILRRAKSYSYKFLREKQHCGQLLDKWIITKDLPGEVEYALDFPWYSSLPRVETRLYLEQYGGGGDVWIGKTLYRMPYVNNDVYLDLAKSDFNQCQAVHQLEWLGLHRWYTECRLAEKGVSRETLLTTYFLAAASIYEPERAPERLGWAKTAVLAAAVSSFFSSDSCSKNMRQDFIQEFINYPNSQTSSRSKMLGEWDGGLLIAINQLLDHIISDNHSQQQQQQQKMCNHLRHAWEDWMRRWRKEGEEEDEREHVRGGLSEGRNETGMLLVKDH >DRNTG_05087.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:6175847:6178434:1 gene:DRNTG_05087 transcript:DRNTG_05087.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:thylakoid rhodanese-like [Source:Projected from Arabidopsis thaliana (AT4G01050) TAIR;Acc:AT4G01050] MDVLNAAGVSPISLAKHPDRKKSFPFKFPKPTTSESLALRFGSGLALLNLSYSAKALTYEEALGQQIVGSSSFDFDIWQQIVGSSSSDFDIGQLLDEVIKFAVENPAIVAGGTIGLALPLILSLVFKGSKAWGIESAKIAYSKLAGEGETQLLDIRERKDFNEVGIPDLRSLKKKAVLINYREDDKPAFLKKLALRFKDPANTTLFVLDKFDGNSKLVAELVTANGFKAAYAIKDGVEGPRGWLVLVFCLYEFSLWFCLVLMNTLDSQCYRW >DRNTG_05087.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:6175847:6178434:1 gene:DRNTG_05087 transcript:DRNTG_05087.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:thylakoid rhodanese-like [Source:Projected from Arabidopsis thaliana (AT4G01050) TAIR;Acc:AT4G01050] MDVLNAAGVSPISLAKHPDRKKSFPFKFPKPTTSESLALRFGSGLALLNLSYSAKALTYEEALGQQIVGSSSFDFDIWQQIVGSSSSDFDIGQLLDEVIKFAVENPAIVAGGTIGLALPLILSLVFKGSKAWGIESAKIAYSKLAGEGETQLLDIRERKDFNEVGIPDLRSLKKKAVLINYREDDKPAFLKKLALRFKDPANTTLFVLDKFDGNSKLVAELVTANGFKAAYAIKDGVEGPRGWLKSGLPWSPPKKGINIDFSNLTDAISSAVGEDIDGLPVALGLAAASGLSLLAFSEIESVLQLLGSAALVQFITKKLLFAEDRKVTLKQMDEFFNTKVAPKELANEIKTIGKALLPVSTVSALASSSQQENPIVSDTKVVNSVPTEIEEESPPAPPRPQYLSPYPSYPDFKPPSSPSPSPP >DRNTG_12271.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24812452:24818340:1 gene:DRNTG_12271 transcript:DRNTG_12271.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLVSSSKLLTLLYFHSSYRLLNILEFSSSRKRMSVIVRNEEGELLLLSKGADSVMFERLGENGREFEDKTRAHTNNYADEGLRILILAYRKLDEAEYETFNRKFTEAKNSVSADREEKMDEAAEMIERELILLGATAVEDKLQDGVPECIDRLAEAGIKIWVLTGDKMETAINIGFACSLLRQGMKQILITLEAREIKQLEKKGDKESIANASRESVVHQIKEGNSQRNSSSEETFALIIDGKSLNYALEDNVKSMFLQLAVNCASVICCRSSPKQKALVTRLVKNGTRKVTLAIGDGANDVGMIQEADIGIGISGVEGMQAAMSSDIAIAQFRFLERLLLVHGHWCYRRISSMICYFFYKNITFGVTLFVYEAYASFSGTPAYNDWFMSLYNVFFTSLPVIALGVFDQDVSARFCLKYPLLYQEGVQNVLFSWTQIIGWMVNGICNAITIFFLCTIALQHQAFRKGGEVVDREILGATLYTCIVWVVNCQMALSVSYFTLFQHIFIWGGIALWYIFLLVYGAMTPKVSATAFMVFIEDLANAPSYWIVTLFVVISTLIPYITFTAVRVRFFPMYHERIQWIRFNDRAAESEYSCEVRQRSERLIMAGVSARLEAKISQIRSRVHHAVQTL >DRNTG_12271.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24812452:24818340:1 gene:DRNTG_12271 transcript:DRNTG_12271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKRRKNLLRKFYSFSCCKASFQEEHSQIGGPGFSRIVFANDPDCFEATNLYYPSNYVSTTKYALATFIPKALFEQFRRVANIYFLVTSCLSFTPLAPYTAFSAVLPLIIVIGVTMTKEAIEDFRRYRQDYEMNNRKIKVHVHQRDGTFEYTEWKNLRVGDVVKVEKDNFFPADLILLSSSYEDAICYVDTMNLDGETNLKLKQSLEVTSDLHNDSSFQHFEALIKCEDPNAHLFTFVGTLEYQSRQHPLSPQQLLLRDSKLRNTDYIYGVVIFTGHDTKVMQNATKPPSKRSKIERKMDKIISLLLSALVLISLIGSIFFAITTSNDIKDGKIKRWYLRPDDTSIYFDPKEDVIAAMFHLLTAMMLYGYFIPISLYVSIEMVKVLQVIFINQDREMYYEESDKPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSIAGTAYGQRVSQVEKTMTRRKDNVHQDNPVQAKPAIKGFNFEDGRIMNGNWLNEPRSDVIQKFFQLLAICHTVIPEVEEESGKISYQAESPDEAAFVIAAREIGFEFYKRTQTSISLHELDPVSRKTVESSYRLLNILEFSSSRKRMSVIVRNEEGELLLLSKGADSVMFERLGENGREFEDKTRAHTNNYADEGLRILILAYRKLDEAEYETFNRKFTEAKNSVSADREEKMDEAAEMIERELILLGATAVEDKLQDGVPECIDRLAEAGIKIWVLTGDKMETAINIGFACSLLRQGMKQILITLEAREIKQLEKKGDKESIANASRESVVHQIKEGNSQRNSSSEETFALIIDGKSLNYALEDNVKSMFLQLAVNCASVICCRSSPKQKALVTRLVKNGTRKVTLAIGDGANDVGMIQEADIGIGISGVEGMQAAMSSDIAIAQFRFLERLLLVHGHWCYRRISSMICYFFYKNITFGVTLFVYEAYASFSGTPAYNDWFMSLYNVFFTSLPVIALGVFDQDVSARFCLKYPLLYQEGVQNVLFSWTQIIGWMVNGICNAITIFFLCTIALQHQAFRKGGEVVDREILGATLYTCIVWVVNCQMALSVSYFTLFQHIFIWGGIALWYIFLLVYGAMTPKVSATAFMVFIEDLANAPSYWIVTLFVVISTLIPYITFTAVRVRFFPMYHERIQWIRFNDRAAESEYSCEVRQRSERLIMAGVSARLEAKISQIRSRVHHAVQTL >DRNTG_12271.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24812543:24818340:1 gene:DRNTG_12271 transcript:DRNTG_12271.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKRRKNLLRKFYSFSCCKASFQEEHSQIGGPGFSRIVFANDPDCFEATNLYYPSNYVSTTKYALATFIPKALFEQFRRVANIYFLVTSCLSFTPLAPYTAFSAVLPLIIVIGVTMTKEAIEDFRRYRQDYEMNNRKIKVHVHQRDGTFEYTEWKNLRVGDVVKVEKDNFFPADLILLSSSYEDAICYVDTMNLDGETNLKLKQSLEVTSDLHNDSSFQHFEALIKCEDPNAHLFTFVGTLEYQSRQHPLSPQQLLLRDSKLRNTDYIYGVVIFTGHDTKVMQNATKPPSKRSKIERKMDKIISLLLSALVLISLIGSIFFAITTSNDIKDGKIKRWYLRPDDTSIYFDPKEDVIAAMFHLLTAMMLYGYFIPISLYVSIEMVKVLQVIFINQDREMYYEESDKPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSIAGTAYGQRVSQVEKTMTRRKDNVHQDNPVQAKPAIKGFNFEDGRIMNGNWLNEPRSDVIQKFFQLLAICHTVIPEVEEESGKISYQAESPDEAAFVIAAREIGFEFYKRTQTSISLHELDPVSRKTVESSYRLLNILEFSSSRKRMSVIVRNEEGELLLLSKGADSVMFERLGENGREFEDKTRAHTNNYADEGLRILILAYRKLDEAEYETFNRKFTEAKNSVSADREEKMDEAAEMIERELILLGATAVEDKLQDGVPECIDRLAEAGIKIWVLTGDKMETAINIGFACSLLRQGMKQILITLEAREIKQLEKKGDKESIANASRESVVHQIKEGNSQRNSSSEETFALIIDGKSLNYALEDNVKSMFLQLAVNCASVICCRSSPKQKALVTRLVKNGTRKVTLAIGDGANDVGMIQEADIGIGISGVEGMQAAMSSDIAIAQFRFLERLLLVHGHWCYRRISSMICYFFYKNITFGVTLFVYEAYASFSGTPAYNDWFMSLYNVFFTSLPVIALGVFDQDVSARFCLKYPLLYQEGVQNVLFSWTQIIGWMVNGICNAITIFFLCTIALQHQAFRKGGEVVDREILGATLYTCIVWVVNCQMALSVSYFTLFQHIFIWGGIALWYIFLLVYGAMTPKVSATAFMVFIEDLANAPSYWIVTLFVVISTLIPYITFTAVRVRFFPMYHERIQWIRFNDRAAESEYSCEVRQRSERLIMAGVSARLEAKISQIRSRVHHAVQTL >DRNTG_06505.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4399168:4401645:-1 gene:DRNTG_06505 transcript:DRNTG_06505.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPB15.9.9 [Source:Projected from Arabidopsis thaliana (AT5G09920) UniProtKB/TrEMBL;Acc:A0A178UIQ5] MSGEEEENAAELKIGDEFLKAKCLMNCEVALILDRRYEQLQQMSDDPTNQISQVFEKSMQYVKRFSRYKNPDAVRQVREILSRNRLAEFELCVLGNLCPETVEEAIAMVPSIKSKGRALEEDAIEKMLHDLSLIKKFE >DRNTG_25829.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1093736:1094364:1 gene:DRNTG_25829 transcript:DRNTG_25829.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRTKSVEYMPFSLSFFLFLNGGVWSIYAALLKDYFIGVPNAIGFVLGSAQLIVYAVYKRKRQPPKDIDREGSAQLVDVEKQEWDTETHQEKHLNKVKSLPKPSVSRQYSLNKIVKSISLTPYELQSYWHQNTNSASSEIKF >DRNTG_25829.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1091762:1094364:1 gene:DRNTG_25829 transcript:DRNTG_25829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSFIVGIIGNVISILVFTSPIGTFKRVVKKKSTENFKWVPYTTTLLSTCLWSFYGLLKPGGLLVVTVNAIGSLMQAIYVILFLFYAPKETRAKMAKVVGILNIGCFGAVVLITLLAIHGSVRLLVVGFVCAALTVGMYASPMAAMSMVIRTKSVEYMPFSLSFFLFLNGGVWSIYAALLKDYFIGVPNAIGFVLGSAQLIVYAVYKRKRQPPKDIDREGSAQLVDVEKQEWDTETHQEKHLNKVKSLPKPSVSRQYSLNKIVKSISLTPYELQSYWHQNTNSASSEIKF >DRNTG_07386.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20561411:20564227:1 gene:DRNTG_07386 transcript:DRNTG_07386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSTRSLLSRTIFDCLFSRSPSIPKPHVAGLTLVSPPRRWLTAGGEAAEYGKEIDEINLKFAEAREEIEAAMESKETVYFNEEAECAREAANAALGMFDGLLARLPETERAALQRSMGLKMEQLKAELKQLDD >DRNTG_32215.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31497516:31505835:1 gene:DRNTG_32215 transcript:DRNTG_32215.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 8 [Source:Projected from Arabidopsis thaliana (AT5G56180) UniProtKB/Swiss-Prot;Acc:Q9FKT0] MAALLKKVFGAVLTRSSSSSTLSSPRTASDSDDAAIDDGASMGAFDRIPTDVIMQILRLLGPKEAARACVVCRAWRFLAADNRLWIFFLQSGREPYDTVVFSETHLRSGFPLKMYFDHTPQLSFMQIYVQREKVPGSIIIDGGSGYCKYGWSKYASPSGRCATFLEFGSIESPMYPRLRHFFSTICSRMQVKPASQPIIVSIPICHSDDTESARASRRQLRETICSVLFDMNAPAVCAIDQAVLALYAARRTSGIVVNIGFHVTSVVPILRGKVMHDVGVEIVGQGARKVTGFLKELMQQRNINFESLYTVRTIKEKLCYVAADYDAELRKQAQASCEVAGEGCFTLSNERFKAPEILFQPQLGGMRAMGLHQAVALCMDHCAEAEVGSDDGWFKTIVLAGGTSCLPGLPERLEKELHKIFPPPMSDGIKVIPPTYGVDSAWFGAKIVSNVSTFLEAWCITKKQFRQKFRRTAPLINSW >DRNTG_13870.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4772664:4795455:-1 gene:DRNTG_13870 transcript:DRNTG_13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEAGAAGSGGGGGGGPVRFPTEAAEGLTVPSSSEAQKERAETGERLRFRVELKSGETTIVSWKRLLKEVGKGGQSPSVQQPLPVSQDQVGSGGAVPSIEGEGIDAPTSNRFNAVIEKIERLYMGKQSSDEEELDGIPDDDAYDTEDSFIDDADLDEYFQVDKATTKHNGYFVNKGKLEQIEPNLSPDVAPKKRRRKDSIMRHNGKDGELISKDPVNMSDMRIKATARSIPLEGKKSSSTRKVLTPFGEHYLDEGHLKNKLKTSTSACKKKLADLTTNSENPSHIKAVHFDVSSLIPGTKNFNKQKLIPSKDITYKSKGTREYFDGMYSAPRTKVASSQVGSHSKKFLDCENNIDASARVRHKERDGLGEFSLLNPPVSTYPMPVVHPSSTQIKEGFTVRSKGTTLERAIRDLEKIVALCRPPDLDVQEMDVSTQGVKRRLPQEVKQKLAKVARLSASQGKISEDDLIDRLMGILGHLVQRKTLKRNMKEMVELGLSAKQQNADKFQEIKKEVNEMIQARVSALKPKVTEQQGGSTNDFQEAYINDGKRAQKGRHSMDRALEDKISDLYDLYVEGMDEDKGRQSRKLYVEIAELWPNGYMDNLGIKEAIYRSKERKRAMYNRQKARCEERIKRKNLASAMRVDESNLNAQVRSVQERPAIDSSTPVNVLLDKQITGQPMSDSSNHCGSKHYEKIRGDSSLGTEDAINNAKKKRRPDSERGDVYSHPVMLPQQDSNEKHRRHKHSDDDASLNKLPKSKFPSPVPPSSNDKQS >DRNTG_13870.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4772664:4794524:-1 gene:DRNTG_13870 transcript:DRNTG_13870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQSSDEEELDGIPDDDAYDTEDSFIDDADLDEYFQVDKATTKHNGYFVNKGKLEQIEPNLSPDVAPKKRRRKDSIMRHNGKDGELISKDPVNMSDMRIKATARSIPLEGKKSSSTRKVLTPFGEHYLDEGHLKNKLKTSTSACKKKLADLTTNSENPSHIKAVHFDVSSLIPGTKNFNKQKLIPSKDITYKSKGTREYFDGMYSAPRTKVASSQVGSHSKKFLDCENNIDASARVRHKERDGLGEFSLLNPPVSTYPMPVVHPSSTQIKEGFTVRSKGTTLERAIRDLEKIVALCRPPDLDVQEMDVSTQGVKRRLPQEVKQKLAKVARLSASQGKISEDDLIDRLMGILGHLVQRKTLKRNMKEMVELGLSAKQQNADKFQEIKKEVNEMIQARVSALKPKVTEQQGGSTNDFQEAYINDGKRAQKGRHSMDRALEDKISDLYDLYVEGMDEDKGRQSRKLYVEIAELWPNGYMDNLGIKEAIYRSKERKRAMYNRQKVLHSLHFIDCSSFSVFLNFSLYLMKQVRIYIYAGNKSNGYYWALTGFLLYLDTITTTNI >DRNTG_13870.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4772664:4777113:-1 gene:DRNTG_13870 transcript:DRNTG_13870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMVELGLSAKQQNADKFQEIKKEVNEMIQARVSALKPKVTEQQGGSTNDFQEAYINDGKRAQKGRHSMDRALEDKISDLYDLYVEGMDEDKGRQSRKLYVEIAELWPNGYMDNLGIKEAIYRSKERKRAMYNRQKARCEERIKRKNLASAMRVDESNLNAQVRSVQERPAIDSSTPVNVLLDKQITGQPMSDSSNHCGSKHYEKIRGDSSLGTEDAINNAKKKRRPDSERGDVYSHPVMLPQQDSNEKHRRHKHSDDDASLNKLPKSKFPSPVPPSSNDKQS >DRNTG_34910.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20696186:20697927:-1 gene:DRNTG_34910 transcript:DRNTG_34910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGIIRHDRALLLSLLRSCIGFDGGKRSGEAIHCRIFKLGIYKDRFLQTGLLDFYSKCGCLEGARQVFDEMPDRDVVAQNAMIAAFGVYGCLDDARKLFDGMMERSSASWNSMISVYCKRCDLEAARELFDRNPVKDVVSWNAMIDGYCKVGRMEMAWELFDRMDSARNSVTWNTVISGYLHCREFGKVVSVFRMMQEENVRPTEVTMVSLLSACAHLGALDMGRWIHAYIKNHNFKVDVVLGNALVDMYFKCGSADMAVDVFRRLPVKNIYCWNSVIVGLGMHGYGEQAVEAFHEMEKVTSFKPDGVTFVGLLSGCSHSGLVDEGKKYFHEMHRVYGVKPKIEHYGCMVDLLCRSGLLKEALDLIEAMPIPPNCVVWGSFAPCL >DRNTG_26334.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9868820:9869344:1 gene:DRNTG_26334 transcript:DRNTG_26334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEEAIGYVASSELIEGVLLYGHQVLGRHFLLELLHITLIALSSGFLVLNLL >DRNTG_26294.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27577741:27596228:-1 gene:DRNTG_26294 transcript:DRNTG_26294.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDLRKRLAENFGTVPYAICGDQTIKSIAKIRPSNRARLANIDGVNQHLVTKCGDSFLQSISHLTQELNLSLDGESVAQPAITRVSPISQRKLTPAKFDAWRLWEKDLLSFQDIAAIPRKSGSIKEQTIISYVLEAAVEGHELNWARFSKEIGLTLDTVQQIRFALTKVGSRERLKPIKEELPETIKAYLTMEDLNVCKEEIFDAKFCTSDNLPTKISGSLSCDHEVELEKACYATDEAKSCPQEIICPPSPLTPCGGESGSASRMKQPRYDSSIDNSSVKKLHKCSETEEHNDQLEATESAILDFIASQDGVSLSNITEHFKGSRRELIIEIV >DRNTG_26294.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27577741:27579333:-1 gene:DRNTG_26294 transcript:DRNTG_26294.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLNVCKEEIFDAKFCTSDNLPTKISGSLSCDHEVELEKACYATDEAKSCPQEIICPPSPLTPCGGESGSASRMKQPRYDSSIDNSSVKKLHKCSETEEHNDQLEATESAILDFIASQDGVSLSNITEHFKGSRRELIIEIV >DRNTG_26294.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27577741:27600369:-1 gene:DRNTG_26294 transcript:DRNTG_26294.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVATIAFGMGIDKPNIRCVLHYGCPKSLESYYQESGRCGRDGLASICWLYYSRSDFAKADFYCADASSENQRKAIMESFRAAEKYCYLSTCRRKFLLEYFGEAAAKDCGNCDNCTRTREERDLSREAYLLLSCVRSCGGRWGLNMPIDVLRGSRSRKILDNNFDKLPMHGMGRDYSSTWWKALAALLFSNAGYLKEIVQDVYRCVSVSPGGMQFLSNADTGCQESLVLALTSEMIDEETSGNSLTKVEGNLQNLVALGREGLSEAEEKLYHMLLDLRKRLAENFGTVPYAICGDQTIKSIAKIRPSNRARLANIDGVNQHLVTKCGDSFLQSISHLTQELNLSLDGESVAQPAITRVSPISQRKLTPAKFDAWRLWEKDLLSFQDIAAIPRKSGSIKEQTIISYVLEAAVEGHELNWARFSKEIGLTLDTVQQIRFALTKVGSRERLKPIKEELPETVSYEQIKAYLTMEDLNVCKEEIFDAKFCTSDNLPTKISGSLSCDHEVELEKACYATDEAKSCPQEIICPPSPLTPCGGESGSASRMKQPRYDSSIDNSSVKKLHKCSETEEHNDQLEATESAILDFIASQDGVSLSNITEHFKGSRRELIIEIV >DRNTG_26294.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27577741:27606529:-1 gene:DRNTG_26294 transcript:DRNTG_26294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVLKKYFGYSQFRSYQKEIIDKILSGRDCLVVMATGSGKSLCYQIPPLVAGKTAVVISPLLSLMQDQVMSLKQRGVQAEFLGSTQTKQTAYSEAENGSLDVLYMTPEKACSLSPSFWKNLLNAGICLLAVDEAHCISEWGHDFRKEYKQLHLLRSILSDVPFVGLTATATEKVQEDIVCSLKMNDPYIAIGSFDRPNLFYGVKCLSRSMSFVDELVAEVSKYSSSTGSTIIYCTTVKDTEQIYNSLKDAGISAGMYHGQMGSKARDESHRSFIRDDLHVMVATIAFGMGIDKPNIRCVLHYGCPKSLESYYQESGRCGRDGLASICWLYYSRSDFAKADFYCADASSENQRKAIMESFRAAEKYCYLSTCRRKFLLEYFGEAAAKDCGNCDNCTRTREERDLSREAYLLLSCVRSCGGRWGLNMPIDVLRGSRSRKILDNNFDKLPMHGMGRDYSSTWWKALAALLFSNGYLKEIVQDVYRCVSVSPGGMQFLSNADTGCQESLVLALTSEMIDEETSGNSLTKVEGNLQNLVALGREGLSEAEEKLYHMLLDLRKRLAENFGTVPYAICGDQTIKSIAKIRPSNRARLANIDGVNQHLVTKCGDSFLQSISHLTQELNLSLDGESVAQPAITRVSPISQRKLTPAKFDAWRLWEKDLLSFQDIAAIPRKSGSIKEQTIISYVLEAAVEGHELNWARFSKEIGLTLDTVQQIRFALTKVGSRERLKPIKEELPETVSYEQIKAYLTMEDLNVCKEEIFDAKFCTSDNLPTKISGSLSCDHEVELEKACYATDEAKSCPQEIICPPSPLTPCGGESGSASRMKQPRYDSSIDNSSVKKLHKCSETEEHNDQLEATESAILDFIASQDGVSLSNITEHFKGSRRELIIEIV >DRNTG_26294.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27577741:27578887:-1 gene:DRNTG_26294 transcript:DRNTG_26294.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLNVCKEEIFDAKFCTSDNLPTKISGSLSCDHEVELEKACYATDEAKSCPQEIICPPSPLTPCGGESGSASRMKQPRYDSSIDNSSVKKLHKCSETEEHNDQLEATESAILDFIASQDGVSLSNITEHFKGSRRELIIEIV >DRNTG_26294.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27605090:27606529:-1 gene:DRNTG_26294 transcript:DRNTG_26294.8 gene_biotype:protein_coding transcript_biotype:protein_coding MESVLKKYFGYSQFRSYQKEIIDKILSGRDCLVVMATGSGKSLCYQIPPLVAGKTAVVISPLLSLMQDQVMSLKQRGVQAEFLGSTQTKQTAYSEAENGSLDVLYMTPEKACSLSPSFWKNLLNAGICLLAVDEAHCISEWGHDFR >DRNTG_26294.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27595013:27604187:-1 gene:DRNTG_26294 transcript:DRNTG_26294.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPYIAIGSFDRPNLFYGVKCLSRSMSFVDELVAEVSKYSSSTGSTIIYCTTVKDTEQIYNSLKDAGISAGMYHGQMGSKARDESHRSFIRDDLHVMVATIAFGMGIDKPNIRCVLHYGCPKSLESYYQESGRCGRDGLASICWLYYSRSDFAKADFYCADASSENQRKAIMESFRAAEKYCYLSTCRRKFLLEYFGEAAAKDCGNCDNCTRTREERDLSREAYLLLSCVRSCGGRWGLNMPIDVLRGSRSRKILDNNFDKLPMHGMGRDYSSTWWKALAALLFSNGYLKEIVQDVYRCVSVSPGGMQFLSNADTGCQESLVLALTSEMIDEETSGNSLTKVEGNLQNLVALGREGLSEAEEKLYHMLLDLRKRLAENFGTVPYAICGDQTIKSIAKIRPSNRARLANIDGVNQHLVTKCGDSFLQSISHLTQELNLSLDGESVAQPAITRVSPISQRKLTPAKFDAWRLWEKDLLSFQDIA >DRNTG_26294.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27578011:27596913:-1 gene:DRNTG_26294 transcript:DRNTG_26294.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLSNADTGCQESLVLALTSEMIDEETSGNSLTKVEGNLQNLVALGREGLSEAEEKLYHMLLDLRKRLAENFGTVPYAICGDQTIKSIAKIRPSNRARLANIDGVNQHLVTKCGDSFLQSISHLTQELNLSLDGESVAQPAITRVSPISQRKLTPAKFDAWRLWEKDLLSFQDIAAIPRKSGSIKEQTIISYVLEAAVEGHELNWARFSKEIGLTLDTVQQIRFALTKVGSRERLKPIKEELPETVSYEQIKAYLTMEDLNVCKEEIFDAKFCTSDNLPTKISGSLSCDHEVELEKACYATDEAKSCPQEIICPPSPLTPCGGESGSASRMKQPRYDSSIDNSSVKKLHKCSETEEHNDQLEATESAILDFIASQDGVSSFNYFC >DRNTG_26294.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27597605:27600369:-1 gene:DRNTG_26294 transcript:DRNTG_26294.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVATIAFGMGIDKPNIRCVLHYGCPKSLESYYQESGRCGRDGLASICWLYYSRSDFAKADFYCADASSENQRKAIMESFRAAEKYCYLSTCRRKFLLEYFGEAAAKDCGNCDNCTRTREERDLSREAYLLLSCVRSCGGRWGLNMPIDVLRGSRSRKILDNNFDKLPMHGMGRDYSSTWWKALAALLFSNG >DRNTG_25673.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21575096:21575882:1 gene:DRNTG_25673 transcript:DRNTG_25673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQRNKHGSSHTSNGEEQEQDLEVLKAVAQAWHAQASIPGTTKEFEAQKNRFKQRPSRFRMEAINMASKEGADSHWDFGQSLWDSYEIVTLSKKLEANLCVDDPVVIWAESGRRWKRRRESSNSLRNLFQRITSSSSKRLDADFSV >DRNTG_09590.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23669951:23673097:-1 gene:DRNTG_09590 transcript:DRNTG_09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRAVNPSRRLADNGSLPFSGALHQKPRTSPLLPIGLVVLGAFLLIAYSYGGLGGFGGARDVSRVGEDVSCTSEVIQAIPFLKKAYGDSMQKVLHVGPETCSVVSKLLKEEGIEAWGIEPYDLEDPDSSCKSLVRKGVVRVADIKFPLPYRPKSFSLVLVSDALDYLSPKYLNKTLPDLARVSSEGLVIFAGTLSY >DRNTG_22744.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31623781:31630062:1 gene:DRNTG_22744 transcript:DRNTG_22744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSRLGRSLSRLSRAEVEAGVPLRGYGYGSGISHRTLPRSPISQGDGGGLGYLRGYLTSIGAESNAATRGQFNNWRFLLANPSFRRFFSSESPNKKNYENYYPRDKKEIPKSSGDKKSESKDDSNTDEQGSFQENFMRQLQNYLTPLLFIGLLLSSFSLGSHEQKEISFQEFKNQLLEPGLVDHIVVSNKAVAKVYVKSSPQISNQPKDDFQGPIKDTPAKQIGSQYKYFFNIGSVESFEEKLEEAQEALGRDPHDYIPVTYASEVNWFQELMKFAPTALFVGLLYFMGRRLQGGFNIGGGAGKGNRGIFNIGKAQVTKMDKNSKNKVYFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRSLFAEARQCAPSIIFIDEIDAIGRARGRGGFSGGNDERESTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGREQIFRIYLKKIKLDNEPSFYSERLAALTPGFAGADIANVCNEAALFAARNEETQVSLQHFEAAIDRVIGGLEKKNKVISKLERRTVAFHESGHAVAGWFLEYAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEQVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPPREDSFEMTKPYSSKTGDIIDTEVREWVTKAYDRTVQLITEHKEHVTQIAELLLEKEVLHQDDLVRVLGERPFKPAEPSNYDVFKKGFQHQEPVKSEDEGSETSSSLDGQEVIPT >DRNTG_27767.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24411806:24414351:1 gene:DRNTG_27767 transcript:DRNTG_27767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRGSTFVRILVVALSLSAFGFAIAAERRRSTGSIHPDPATNTTYCVYDSDVATGYGVGTFLFLLSSQSLIMGVTKCISFGQSLAPGGNRARCIIYFTSSWLAFLIAEACLLAGATKNAYYTKYRHVVHAENWTCESLRKGVFIAGAVFVFFTMILSILSHVLHQSNKPTDRHWEDNPFEFSCWDDWLITPSYLARKNCLDTCSDGGLYMNTLAKPITVH >DRNTG_21255.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:89623:100384:-1 gene:DRNTG_21255 transcript:DRNTG_21255.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSMSSDIRAYAPDARVLTTYYCGPSDAHLPANSFEAFVNVPNLLRPHTQIFCTSEWVLGSREDLVKDIIAELQPGHGEEWWTYVCLGPSDPLPNLHLGMRGTQNRAVMWRVWKEGSTGFLYWGTNCYEKTTVASGEIRFRRGLPPGDGVLFYPGEVFSSSHEPVASARLEHFLSGMQDIEYLNLYSSRFGREEGLSLLERTGVYLGPERYTLDHMAIAAMRAQIYQACRPSLVV >DRNTG_21255.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:89623:100384:-1 gene:DRNTG_21255 transcript:DRNTG_21255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTGENPQSVVVPPVEGVGGGGTAYGWTDGSLECSYSFKGVADPAKVPSAELLYVWCMPSTATIGQQEMPRPLESVSLLAARNERESVQIAMRPKASWGGLSGAGTVQIRCSDLCSSSGDRLVVGQSLTLRRVVPVLGVPDALAPLDLPEARISLLPGETASVWASIDVPTGQPPGQYEGHIFVTAVKTETDSLLQSFGKSEKYQLYKELKNCLDVIEPVDEKPLEEVVGKVKCATSTLKKVLSSVAFQEFYQDNGSADMMDEDALTTISIHVKINITVWEFTLPRTPSLPAVFGISETVIEDRFGLEHGSDGWYNALDQHFNWLLRYRISPFFCRWGDGMRILAYTCPWPADHPKSDEYYSNPRLAAYAVPYAPILYCSDAAKVSLCKELEILKSKVHWPKAYFYLWDEPLNLEQYEMIQSMSSDIRAYAPDARVLTTYYCGPSDAHLPANSFEAFVNVPNLLRPHTQIFCTSEWVLGSREDLVKDIIAELQPGHGEEWWTYVCLGPSDPLPNLHLGMRGTQNRAVMWRVWKEGSTGFLYWGTNCYEKTTVASGEIRFRRGLPPGDGVLFYPGEVFSSSHEPVASARLEHFLSGMQDIEYLNLYSSRFGREEGLSLLERTGVYLGPERYTLDHMAIAAMRAQIYQACRPSLVV >DRNTG_28493.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8277994:8280106:-1 gene:DRNTG_28493 transcript:DRNTG_28493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVNGCGDSTGVLSRQELLYLYSMVEHVPIHLGHILADYIRHQGQYARLGVIFSGPYITRLLLGMGFLDSIRGIEKTSVPAPLGLETMRLMDMVRSVRTGVFALVLPAPKVAQDEGDDAGASQRAPEPQPASMETEAPLVAEEPPPVRMFSPSRANDRFERLENAIGVVRAEVAEIRATQATQYTEFMACFDILQQILE >DRNTG_21936.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2166049:2169494:-1 gene:DRNTG_21936 transcript:DRNTG_21936.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMETLAPAFAHPGPLTFTGESPVGCGAVTAPTASTKAHLPNPDSFGFYNQPEFQMTRRNKGKSEPVDADDDDGDDDRDGDEDDEGGYEKPNNTSKKNTGGDAGGEENGEDEEEEPEDDNDDDDNDNAMMMTMMKMMTMMMVGTMETTGLKRRLMKNNLTKRKKTMRMRRRFNPRRRGRSDHQLFFL >DRNTG_24014.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16748585:16749307:1 gene:DRNTG_24014 transcript:DRNTG_24014.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKLTKGSSFAGDELALTKAAAWAWYQHGSGNGHNSSFREPELTRPTRSPTHPTRYKLEALLAAESESDSDSDPKLSSPGSANSLFDHYEIERISRHFERLISASANGRDRAKGKQVNGFWMRRGVAICGARADVVEAHVFGQRRQRRRATRVLA >DRNTG_24014.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16748585:16750466:1 gene:DRNTG_24014 transcript:DRNTG_24014.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKLTKGSSFAGDELALTKAAAWAWYQHGSGNGHNSSFREPELTRPTRSPTHPTRYKLEALLAAESESDSDSDPKLSSPGSANSLFDHYEIERISRHFERLISASANGRDRAKGKQVNGFWMRRGVAICGARADVVEAHVFGQRRQRRRATRVLA >DRNTG_28051.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3278089:3279746:1 gene:DRNTG_28051 transcript:DRNTG_28051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESENGDEDISSENNISNGANQIMNSEQLEVPSENVTTAQNSSDSDTQSVKEQKVQVVDKKISRNKQCSCGSKKKYKACCGSGVGRTSAVTISNTKWTSNRDRKERKSGRRKEAIEVPESGNKTQPDLGAL >DRNTG_05639.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22267852:22268140:1 gene:DRNTG_05639 transcript:DRNTG_05639.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEELGVCVELARGVEDEVESVEVERVIELVLDGEKGKKMKKKAFKCMEMMREAMKDDGDVKGSSLIALDEFINRASV >DRNTG_07620.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000341.1:2569:7057:1 gene:DRNTG_07620 transcript:DRNTG_07620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHRHALNSNHAMDDNDRPSFLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTVANFYLAFESNLTIIPVINKIDQPTADPDRVRAQLKSMFDLDPKDALLTSAKTGQGLEHLLPAVIERIPCPPGQCDLPMRMLLLDAYYDEYKGVICHVAVVDGALRKGDKIASAATGQTYDALDVGIMHPELKSTGVLFTGQVGYVVSGMRSTKEARVGDTLHWAKSTVIPLPGFKPAKHMVFSGLYPADGSDFDALNHAIERLTCNDASVSVTKETSTALGMGFRCGFLGLLHMDVFHQRLEQEYGARVISTIPTVPYIFEYSDGSKVQVQNPATLASNPGKRVAACWEPSVIATIIIPSEYVGPVITLCSERRGEQLEYSFIDSQRAFMKYRLPLREIVIDFYNELKSITSGYATFDYEDDEYQKSDMVKLDILLNGQPVDAMATIVHNLKAQRVGRELVEKLKKFIDRQMFEITIQAAIGSKIIARETLSAMRKNVLAKCYGGDVTRKKKLLEKQKEGKKRMKRVGSVDIPQEAFHELLKVS >DRNTG_07620.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000341.1:2569:7057:1 gene:DRNTG_07620 transcript:DRNTG_07620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFHRHALNSNHAMDDNDRPSFLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTVANFYLAFESNLTIIPVINKIDQPTADPDRVRAQLKSMFDLDPKDALLTSAKTGQGLEHLLPAVIERIPCPPGQCDLPMRMLLLDAYYDEYKGVICHVAVVDGALRKGDKIASAATGQTYDALDVGIMHPELKSTGVLFTGQVGYVVSGMRSTKEARVGDTLHWAKSTVIPLPGFKPAKHMVFSGLYPADGSDFDALNHAIERLTCNDASVSVTKETSTALGMGFRCGFLGLLHMDVFHQRLEQEYGARVISTIPTVPYIFEYSDGRWGIFMIISVTPTQMIV >DRNTG_07620.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000341.1:2569:7057:1 gene:DRNTG_07620 transcript:DRNTG_07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHRHALNSNHAMDDNDRPSFLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTVANFYLAFESNLTIIPVINKIDQPTADPDRVRAQLKSMFDLDPKDALLTSAKTGQGLEHLLPAVIERIPCPPGQCDLPMRMLLLDAYYDEYKGVICHVAVVDGALRKGDKIASAATGQTYDALDVGIMHPELKSTGVLFTGQVGYVVSGMRSTKEARVGDTLHWAKSTVIPLPGFKPAKHMVFSGLYPADGSDFDALNHAIERLTCNDASVSVTKETSTALGMGFRCGFLGLLHMDVFHQRLEQEYGARVISTIPTVPYIFEYSDGSKVQVQNPATLASNPGKRVAACWEPSVIATIIIPNMSGQL >DRNTG_23998.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001285.1:20756:22843:-1 gene:DRNTG_23998 transcript:DRNTG_23998.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENLSSKTKALVKWLETEAGMLARIEVLVVISGALMTFLALFGSYRRRSRSPTIKLTLWLALTLTDSISAYTIGLMQTAKFRNELFVLWAAFLIMVKCSTHSISAFSIQDNDNWLSNLLQITSLALYASLIYNSYFMHTRFWVPVEIFWVVCMVKFFERIFVFRLIMKPNGPMVNTKLISDYMHYEHMLSNEDEVVPALMEGYKYLIIGEKEDDIMVGPPDYLMKLRLEDPSVVYQVITVEKIWRCPGRVLNNSESAKDVCLSFAMFKLLRRRFTGYPWVEANRAKTRKLILEGLLGDHLRMFRVIETELAFLYDSFYTKYPVVSDKPWTLVSSVASLVGSCWVVVLLYDYKPPSEEEHLTRGSVDSLVTIFLLVVIIFVEGWQIITFVFSDWAKVLLLCKYVQKISWQERDLFQTLLSFVCRKRVLKPWDDKLGQYSLLDSYDYKPSLVKKLLMGVQRGQKAGAPIQLPDEVKQAITQSLIDTGGELRCIGKHSLTLCEGGDELSWACELETYTQIILVWHVATSICLIKAAPNPATDEEFNRKVTESLSKYCAYLVVFCQELLPEQNSVTEVIFARIIHETLDLLRWHTCISFKLNRLMEVGDEPETIVGKGGKLGRQLIELVGDESLRFSVLREIWLEIILYIAPSENTTAHAQHLANGGEFITHLWTLLCHLGIFERPKTGGEFIADDSE >DRNTG_24824.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31782086:31787562:1 gene:DRNTG_24824 transcript:DRNTG_24824.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVASDKIKPRDVCIVGVARTPMGGFLGSFSSLSATKLGSIAIEAALKRANIDPALVQEVVFGNVLSANLGQAPARQAALGAGIPNTVVCTTVNKVCASGMKATIFAAQSIQLGLNDIVVAGGMESMSNAPKYLAEARKGSRFGHDSLVDGMLKDGLWDVYSDSAMGVCAELCSDNHSITREEQDSFAIQSNERGIAARNNGAFTWEIVPVEVSGGRGKPSTVVDKDESLEKFDPIKLKKLRPSFKENGGTVTAGNASSISDGAAALVLVSGEKALELGLEVIAKITGYADAAQAPELFTTAPALAIPKAISNAGLEVSQVDYYEINEAFSVVALANMKLLGLPSEKVNVHGGAVSLGHPLGCSGARILVTLLGVLKHNNGKIGVAGVCNGGGGASALVLELL >DRNTG_24824.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31782086:31787470:1 gene:DRNTG_24824 transcript:DRNTG_24824.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVASDKIKPRDVCIVGVARTPMGGFLGSFSSLSATKLGSIAIEAALKRANIDPALVQEVVFGNVLSANLGQAPARQAALGAGIPNTVVCTTVNKVCASGMKATIFAAQSIQLGLNDIVVAGGMESMSNAPKYLAEARKGSRFGHDSLVDGMLKDGLWDVYSDSAMGVCAELCSDNHSITREEQDSFAIQSNERGIAARNNGAFTWEIVPVEVSGGRGKPSTVVDKDESLEKFDPIKLKKLRPSFKENGGTVTAGNASSISDGAAALVLVSGEKALELGLEVIAKITGYADAAQAPELFTTAPALAIPKAISNAGLEVSQVDYYEINEAFSVVALANMKLLGLPSEKVNVHGGAVSLGHPLGCSGARILVTLLGVLKHNNGKIGVAGVCNGGGGASALVLELL >DRNTG_24824.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31782086:31787590:1 gene:DRNTG_24824 transcript:DRNTG_24824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVASDKIKPRDVCIVGVARTPMGGFLGSFSSLSATKLGSIAIEAALKRANIDPALVQEVVFGNVLSANLGQAPARQAALGAGIPNTVVCTTVNKVCASGMKATIFAAQSIQLGLNDIVVAGGMESMSNAPKYLAEARKGSRFGHDSLVDGMLKDGLWDVYSDSAMGVCAELCSDNHSITREEQDSFAIQSNERGIAARNNGAFTWEIVPVEVSGGRGKPSTVVDKDESLEKFDPIKLKKLRPSFKENGGTVTAGNASSISDGAAALVLVSGEKALELGLEVIAKITGYADAAQAPELFTTAPALAIPKAISNAGLEVSQVDYYEINEAFSVVALANMKLLGLPSEKVNVHGGAVSLGHPLGCSGARILVTLLGVLKHNNGKIGVAGVCNGGGGASALVLELL >DRNTG_11638.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:49220:50431:1 gene:DRNTG_11638 transcript:DRNTG_11638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLVRSLGRIQPYGQCQKAHRIGDFEAMNPPENEWSSTSNPSSYWAFAVLTDVEGALKISTGRLVSLSAQQLIDCDKMSRGCCGGNMINAFKYIIRNDGMTSEDNYPYIGTQCCFQERKARTHNYQLQGGIHSNVSVRPACRDIGDLVVKSIVWNIWLARNDCMFNATVVSALTLILKIDRSLLSWISTVAEGPKAKLEVHTSAIRWSLEFLGTSMEGSGEVPIPEGGRDSHTG >DRNTG_27448.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28764755:28772397:1 gene:DRNTG_27448 transcript:DRNTG_27448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGAGTIDEPKFIPGWLKPGNGAPAGGAGSNHHIATSSLHLDEHGGGRSSRNRFLAVSACDHDAPRTSSRSSSSFRRSTSSNGSSSTNHDRDNISQMYSSFSRNPRDRDRDKVPDRRDRDRERLFQLDSFDSGRNGKDALRRSQSMVTGKRGESWPRRPGNDLVNGVISGGSGIGTISKASFEQDFPTLGAEERQGPPEIGRVASPGLSSAIQSLPFSAPTTIRGDGWTSVLAEVPVVVGGNGPSIQSSQQTATVAPASTSLSTTTGLNMAETLAQTPSRVRSDPQSSVDTQKIDELTRRQCFKLIPVTPAMSKSSVLNSSDKSKVKGARGVDLVAPSKATPQAPYQLSNHMLRVPSRLDIPKASQAGNFQVLNRERNGSASAGKDGSNSVSVSRVVNPHIVVASTAAPPLKNSTDPKGRVLSPVHNSFGEKRPTLQTQNRNDFFNSIRKKTSMAAPQPISTSSEKASNQTTDAVSVTEEMANSPNSGLECIKESKNCLSGCSGPCEDAESSSFDNGASTERSDDQIIGIVSVGQEMTCSPSSAGAGCVKENGNCGSGCSSVCGDAESSSADNGANTEKLGDQMTESVSVGQEMVSSLTSGLGCVKENGNCAIGCSRDLSESCTSDNGEDSFSDPVDPEEKAFLESLGWNSEDAADEYLTPQEITEFMAEHGTQIRQSERLSQLRQRVANDLGLHSFNSENEV >DRNTG_08068.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18336544:18341302:1 gene:DRNTG_08068 transcript:DRNTG_08068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGKTSNKPKGKKRVGDGVVEGPPSAAAWETWFRPTISHPQSPPLMNSWDDSQAPLTEVSETQNPHIRNEAIEDAITQDVEIRKHSRGPNKVMPTPTNPNDRVLITTLHDGKFIEPKVVRTITKCIQLNFNDAWPTWKQTPNGIKEDMWAKFQESYTSSISQKYGIDESSHPKFDPQAWCDAIGGMETTRTHVAITFKGAPSQQQTASDDQVQRNMGRERISSPCQVNEPERLSMDWRAQDDPPPNIDFTNSEHLQGGTSSFHDTHETEFGRYGRSSGDDEDDDGDSFGEDTEASVDNIQLEECNLEDFPMPGHNFTMVPMEPPHICGHLIWKQCPHKNSRSTLYCMPTH >DRNTG_14456.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:16046264:16046874:-1 gene:DRNTG_14456 transcript:DRNTG_14456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSSLWKKVGRGASVKAYPIPDKGIFGRRVENFPQDHRHNYRGGVNGLRDDLPLGLSCGCNGVKCRSDLSI >DRNTG_16006.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17631739:17636848:-1 gene:DRNTG_16006 transcript:DRNTG_16006.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFFEYLIHLVLMILQVTLFAVHCAGCFYYLLAARYHDPQMTWIGASMPDFLERSLWIRYVTSMYWSITTLTTVGYGDLHAQNTREMIFDIVYMLFNLGLTAYLIGNMTNLVVHGTSRTRKFRDTIQAASSFAQRNQLPIRLQDQMLAHLCLKFRTDSEGLQQQETLDALPKAIRSSISHYLFYSLVLKVYLFKGVSNDLLFQLVSEMKAEYFPPREDVILQNEAPTDFYILVTGSVDFIDHKNGIEQVVHEARTGDLIGEIGVLCYRPQLFTIRTKKLCQLLRLNRTTFLSIVQSNVGDGTIIMNNLLQHLKDQKDPIMEGVLRETENMLARGRLDLPLTLCFAATRGDDLLLNQLLRRGLDPNESDNNGRTALHIASSKGSENCVLLLLDYGADPNSKDSDGSVPLWEAILGKHNAVMRLLIDNGANLSAGDIGLFACISAEQNSLELLKNIVRYGGNVTIPRKDSTTALHLAVCEGNVQVVKFLLEQGADIDWPDIDGWTPRTLADQQGHDEIKALFEAEKSSNNYDFPASNSSAVHHRLVGKFNSEPSIRHSYCDNTPQSGNFSAIKDNHLHKTNNFHNSLFGIMSAAHSNNDGAALSSMIPSRYANVTSVYHRNPARIAICCPERSDIATKLVLLPGSLKELLELGSKKFGVIPTKVLSKDKAEIDDINVIRDGDELLLVTDGWIMDDTMQCQYDVRTS >DRNTG_16006.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17631739:17636848:-1 gene:DRNTG_16006 transcript:DRNTG_16006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGKRRVFNMRAMCGREMETELSRDGSHYSLSSGVLPSLGARSNRRVKLRKFIVSPYDRRYRAWETFLILLVIYSAWVSPFEFGFIEEPKGALAAADNVVNGFFAIDIILTFFVAYLDRTTYLLIDSPKQIAWRYTSSWFLLDIASTVPSEFARKILPSKLRNYGFFNMLRLWRLRRVSSLFARLEKDRNFNYFWVRCAKLVCVTLFAVHCAGCFYYLLAARYHDPQMTWIGASMPDFLERSLWIRYVTSMYWSITTLTTVGYGDLHAQNTREMIFDIVYMLFNLGLTAYLIGNMTNLVVHGTSRTRKFRDTIQAASSFAQRNQLPIRLQDQMLAHLCLKFRTDSEGLQQQETLDALPKAIRSSISHYLFYSLVLKVYLFKGVSNDLLFQLVSEMKAEYFPPREDVILQNEAPTDFYILVTGSVDFIDHKNGIEQVVHEARTGDLIGEIGVLCYRPQLFTIRTKKLCQLLRLNRTTFLSIVQSNVGDGTIIMNNLLQHLKDQKDPIMEGVLRETENMLARGRLDLPLTLCFAATRGDDLLLNQLLRRGLDPNESDNNGRTALHIASSKGSENCVLLLLDYGADPNSKDSDGSVPLWEAILGKHNAVMRLLIDNGANLSAGDIGLFACISAEQNSLELLKNIVRYGGNVTIPRKDSTTALHLAVCEGNVQVVKFLLEQGADIDWPDIDGWTPRTLADQQGHDEIKALFEAEKSSNNYDFPASNSSAVHHRLVGKFNSEPSIRHSYCDNTPQSGNFSAIKDNHLHKTNNFHNSLFGIMSAAHSNNDGAALSSMIPSRYANVTSVYHRNPARIAICCPERSDIATKLVLLPGSLKELLELGSKKFGVIPTKVLSKDKAEIDDINVIRDGDELLLVTDGWIMDDTMQCQYDVRTS >DRNTG_29286.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:8025347:8025721:-1 gene:DRNTG_29286 transcript:DRNTG_29286.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVRGSSKLELAQYREVAAFAQFGSDLDAATQALLNRGARLTEVPKQPQYSPLPIEKQIVVIYAAVKGFCDRMPLDRISQYERAIPSSIDPELLKSFLEKGGLTNERKMEPDASLKESALPYL >DRNTG_27703.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21765225:21768860:-1 gene:DRNTG_27703 transcript:DRNTG_27703.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKGGPDNAQCNYRGVRQRTWGKWVAEIREPHRGNRLWLGTFTTALEAARAYDQAAKAMYGPCARLNLPDQSSSAMESSLTKSDSYDSTTTTHYSHDLYASDEPETKIVPKLEVEDDSQVRSSEAGEATKAEAGMDQNEHYSSFDLPEEMFDIEDVLRVIDDEKPDGNAGFEFGSSSDFSFQLQNPDAKMLGTLSHMEWSSVSVDSKNLDYIRPMRQECDYGLIDEQALAELELPNSNLESS >DRNTG_27703.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21765286:21768860:-1 gene:DRNTG_27703 transcript:DRNTG_27703.8 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKGGPDNAQCNYRGVRQRTWGKWVAEIREPHRGNRLWLGTFTTALEAARAYDQAAKAMYGPCARLNLPDQSSSAMESSLTKSDSYDSTTTTHYSHDLYASDEPETKIVPKLEVEDDSQVRSSEAGEATKAEAGMDQNEHYSSFDLPEEMFDIEDVLRVIDDEKPDGNAGFEFGSSSDFSFQLQNPDAKMLGTLSHMEWSSVSVDSKNLDYIRPMRQECDYGLIDEQALAELELPNSNLESS >DRNTG_27703.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21765225:21768860:-1 gene:DRNTG_27703 transcript:DRNTG_27703.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKGGPDNAQCNYRGVRQRTWGKWVAEIREPHRGNRLWLGTFTTALEAARAYDQAAKAMYGPCARLNLPDQSSSAMESSLTKSDSYDSTTTTHYSHDLYASDEPETKIVPKLEVEDDSQVRSSEAGEATKAEAGMDQNEHYSSFDLPEEMFDIEDVLRVIDDEKPDGNAGFEFGSSSDFSFQLQNPDAKMLGTLSHMEWSSVSVDSKNLDYIRPMRQECDYGLIDEQALAELELPNSNLESS >DRNTG_27703.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21765225:21768860:-1 gene:DRNTG_27703 transcript:DRNTG_27703.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKGGPDNAQCNYRGVRQRTWGKWVAEIREPHRGNRLWLGTFTTALEAARAYDQAAKAMYGPCARLNLPDQSSSAMESSLTKSDSYDSTTTTHYSHDLYASDEPETKIVPKLEVEDDSQVRSSEAGEATKAEAGMDQNEHYSSFDLPEEMFDIEDVLRVIDDEKPDGNAGFEFGSSSDFSFQLQNPDAKMLGTLSHMEWSSVSVDSKNLDYIRPMRQECDYGLIDEQALAELELPNSNLESS >DRNTG_27703.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21765225:21768860:-1 gene:DRNTG_27703 transcript:DRNTG_27703.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPERNRRVRRRRGGPDSLAQTLAKWKELNQQLDSSKDGERCSRRVPAKGSKKGCMQGKGGPDNAQCNYRGVRQRTWGKWVAEIREPHRGNRLWLGTFTTALEAARAYDQAAKAMYGPCARLNLPDQSSSAMESSLTKSDSYDSTTTTHYSHDLYASDEPETKIVPKLEVEDDSQVRSSEAGEATKAEAGMDQNEHYSSFDLPEEMFDIEDVLRVIDDEKPDGNAGFEFGSSSDFSFQLQNPDAKMLGTLSHMEWSSVSVDSKNLDYIRPMRQECDYGLIDEQALAELELPNSNLESS >DRNTG_27703.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21765225:21768860:-1 gene:DRNTG_27703 transcript:DRNTG_27703.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKGGPDNAQCNYRGVRQRTWGKWVAEIREPHRGNRLWLGTFTTALEAARAYDQAAKAMYGPCARLNLPDQSSSAMESSLTKSDSYDSTTTTHYSHDLYASDEPETKIVPKLEVEDDSQVRSSEAGEATKAEAGMDQNEHYSSFDLPEEMFDIEDVLRVIDDEKPDGNAGFEFGSSSDFSFQLQNPDAKMLGTLSHMEWSSVSVDSKNLDYIRPMRQECDYGLIDEQALAELELPNSNLESS >DRNTG_27703.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21765286:21768860:-1 gene:DRNTG_27703 transcript:DRNTG_27703.10 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKGGPDNAQCNYRGVRQRTWGKWVAEIREPHRGNRLWLGTFTTALEAARAYDQAAKAMYGPCARLNLPDQSSSAMESSLTKSDSYDSTTTTHYSHDLYASDEPETKIVPKLEVEDDSQVRSSEAGEATKAEAGMDQNEHYSSFDLPEEMFDIEDVLRVIDDEKPDGNAGFEFGSSSDFSFQLQNPDAKMLGTLSHMEWSSVSVDSKNLDYIRPMRQECDYGLIDEQALAELELPNSNLESS >DRNTG_27703.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21765286:21768860:-1 gene:DRNTG_27703 transcript:DRNTG_27703.9 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKGGPDNAQCNYRGVRQRTWGKWVAEIREPHRGNRLWLGTFTTALEAARAYDQAAKAMYGPCARLNLPDQSSSAMESSLTKSDSYDSTTTTHYSHDLYASDEPETKIVPKLEVEDDSQVRSSEAGEATKAEAGMDQNEHYSSFDLPEEMFDIEDVLRVIDDEKPDGNAGFEFGSSSDFSFQLQNPDAKMLGTLSHMEWSSVSVDSKNLDYIRPMRQECDYGLIDEQALAELELPNSNLESS >DRNTG_27703.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21765112:21768860:-1 gene:DRNTG_27703 transcript:DRNTG_27703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPERNRRVRRRRGGPDSLAQTLAKWKELNQQLDSSKDGERCSRRVPAKGSKKGCMQGKGGPDNAQCNYRGVRQRTWGKWVAEIREPHRGNRLWLGTFTTALEAARAYDQAAKAMYGPCARLNLPDQSSSAMESSLTKSDSYDSTTTTHYSHDLYASDEPETKIVPKLEVEDDSQVRSSEAGEATKAEAGMDQNEHYSSFDLPEEMFDIEDVLRVIDDEKPDGNAGFEFGSSSDFSFQLQNPDAKMLGTLSHMEWSSVSVDSKNLDYIRPMRQECDYGLIDEQALAELELPNSNLESS >DRNTG_27703.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21765225:21768860:-1 gene:DRNTG_27703 transcript:DRNTG_27703.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKGGPDNAQCNYRGVRQRTWGKWVAEIREPHRGNRLWLGTFTTALEAARAYDQAAKAMYGPCARLNLPDQSSSAMESSLTKSDSYDSTTTTHYSHDLYASDEPETKIVPKLEVEDDSQVRSSEAGEATKAEAGMDQNEHYSSFDLPEEMFDIEDVLRVIDDEKPDGNAGFEFGSSSDFSFQLQNPDAKMLGTLSHMEWSSVSVDSKNLDYIRPMRQECDYGLIDEQALAELELPNSNLESS >DRNTG_19984.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:834432:877093:-1 gene:DRNTG_19984 transcript:DRNTG_19984.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYSTSMAKSSEMERESGWRRRRPAEVESGPERGWRRAEGGGGRGQSGRGEVWG >DRNTG_19984.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:875380:877093:-1 gene:DRNTG_19984 transcript:DRNTG_19984.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKATAGGGGEWSGTRMAEGGGGKVVEARYGVEEEHEKLGGAAYRRRREEDEHEVTAGGGGM >DRNTG_10963.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1046905:1047682:-1 gene:DRNTG_10963 transcript:DRNTG_10963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGVIALQASPRRVDVAIGIPSISRSFSLRRSMDLSKVHRLARSRSSGTFRRDGSVGSLTRDSGDRVADDLDAENESSELFSMNNVFGILGVFTRYLLQKLFGPSLLKLTGNNSALYLDLPSNILGSFLMGCFDVIFKADIRNVSDYLVIGLTIGCSPLAALF >DRNTG_14552.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:27:42332:47298:-1 gene:DRNTG_14552 transcript:DRNTG_14552.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVLNKYYPPDFDPAKIPRRRQPKNQQIKVRMMLPMSIRCSTCGTYIYKGTKFNSRKEDVIGETYLGIQIFRFYFKCTKCSAEITFKTDPQNSDYVVESGAARNFEPWRDEDEATDTAKRKREEEEMGDAMKSLENRAMDSKQDMDILAALEEMKSMKSRHATVSIDLMLQALQKPVNEKEEEITEEDEALIRSIYQESSQGGYLRRIDDDDDDVKDSHQPSNIAGDSSNSDTKIKHASEILACVGNPTDTLAKTSIFNASKRGDTVGASSPLKPKFMLKPKTVSSDARKKPRTEPPENNKPKDANKNDAKEGDTPTGLLSLCQNYDSDESE >DRNTG_14552.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:27:42067:47298:-1 gene:DRNTG_14552 transcript:DRNTG_14552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVLNKYYPPDFDPAKIPRRRQPKNQQIKVRMMLPMSIRCSTCGTYIYKGTKFNSRKEDVIGETYLGIQIFRFYFKCTKCSAEITFKTDPQNSDYVVESGAARNFEPWRDEDEATDTAKRKREEEEMGDAMKSLENRAMDSKQDMDILAALEEMKSMKSRHATVSIDLMLQALQKPVNEKEEEITEEDEALIRSIYQESSQGGYLRRIDDDDDDVKDSHQPSNIAGDSSNSDTKIKHASEILACVGNPTDTLAKTSIFNASKRGDTVGASSPLKPKFMLKPKTVSSDARKKPRTEPPENNKPKDANKNDAKEGDTPTGLLSLCQNYDSDESE >DRNTG_14552.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:27:42332:47298:-1 gene:DRNTG_14552 transcript:DRNTG_14552.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVLNKYYPPDFDPAKIPRRRQPKNQQIKVRMMLPMSIRCSTCGTYIYKGTKFNSRKEDVIGETYLGIQIFRFYFKCTKCSAEITFKTDPQNSDYVVESGAARNFEPWRDEDEATDTAKRKREEEEMGDAMKSLENRAMDSKQDMDILAALEEMKSMKSRHATVSIDLMLQALQKPVNEKEEEITEEDEALIRSIYQESSQGGYLRRIDDDDDDVKDSHQPSNIAGDSSNSDTKIKHASEILACVGNPTDTLAKTSIFNASKRGVGASSPLKPKFMLKPKTVSSDARKKPRTEPPENNKPKDANKNDAKEGDTPTGLLSLCQNYDSDESE >DRNTG_14552.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:27:42067:47298:-1 gene:DRNTG_14552 transcript:DRNTG_14552.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVLNKYYPPDFDPAKIPRRRQPKNQQIKVRMMLPMSIRCSTCGTYIYKGTKFNSRKEDVIGETYLGIQIFRFYFKCTKCSAEITFKTDPQNSDYVVESGAARNFEPWRDEDEATDTAKRKREEEEMGDAMKSLENRAMDSKQDMDILAALEEMKSMKSRHATVSIDLMLQALQKPVNEKEEEITEEDEALIRSIYQESSQGGYLRRIDDDDDDVKDSHQPSNIAGDSSNSDTKIKHASEILACVGNPTDTLAKTSIFNASKRGVGASSPLKPKFMLKPKTVSSDARKKPRTEPPENNKPKDANKNDAKEGDTPTGLLSLCQNYDSDESE >DRNTG_29375.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001476.1:18949:19771:-1 gene:DRNTG_29375 transcript:DRNTG_29375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEAQVAYGGHSTSGCSSASKMHWEEDQHRVP >DRNTG_17509.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19066929:19069511:1 gene:DRNTG_17509 transcript:DRNTG_17509.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYP112 [Source:Projected from Arabidopsis thaliana (AT2G18260) UniProtKB/TrEMBL;Acc:A0A178VPA2] MNDLMTKSFLSYVELKKQALKDQEANSMPDLEAGGGGLTKGEEENLSRFFQEVGIIQTEMEAISNLLQDLHDLNQESKSAHSAKVLRGIRDRMDSDMLTILKKAQSIKLKLEGLDRSNAINRDLSSCFGEGGPVDRTRMSVTNSLRTRLREMMHGFQSLRETIMAEHKERLKRKYYTATGEVASEEVIEKMLAGSCQVGGIVDMEMHERQRAITEIQKSLMKLHQVFLDMAVMVDGQQDKLNDIEENVVCAKEYVCGGTDSLAKAQAMKKRDRKWICWILILVVVVLIVFLIPILAGS >DRNTG_10119.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30464679:30468683:-1 gene:DRNTG_10119 transcript:DRNTG_10119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAHSEFDLAAPGPPGFGIGDYAFADASNLEHCAKYLNQTLVTFGFPASLDLFASDPVSIARTCNCIYSLLQQRQRDIEFRESSNEQRQRLQSDISRLEAKVERLEAQLAAKDRELATLTRTEAKAAANFKAQIDKLQQERDEFQRMVIGNQQVRTQQIHEMKKREKEYIKLQERLNQVLMEKKKESRSGMEIMNLLQKEGRQRGTWNGKKADSDFYKMIVDAYEVKKQELMAENSDLRALLRSMQVDMRDFLNAPTGLPQQSLAVNEKPEMDSPQSPLGGRTDVFDLPFHMARDQIEESLRTKMASIKSRMGQLQDLQKGAEVTSEATERELELEAQLVEARSIIQEQASIMSKHIAKSDKPRRLNLHLDTEREVASTEVV >DRNTG_21852.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6354077:6354735:-1 gene:DRNTG_21852 transcript:DRNTG_21852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQDEMIPQSENLQEQLEVPPEPSRDTMPTIPLREYEALKKKFDENNKKLDDLMLFVQQVLPQATLPSNLYHKGKQAMEQQEQGDPHPHNQERVLEQKKLVPTEETPQRSKVRFVKEIMVKPLPKKFKMPQLTTYSGKGDPYDHMQNYEAVMLLHGWEDAIMCRAF >DRNTG_02904.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23592266:23593143:1 gene:DRNTG_02904 transcript:DRNTG_02904.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLMDKAKEFVAEKIAKMKKPEADVDDVSLHNVSREAAIFNAAISIHNPYSHNLPICEISYTLKSAGRVVVSGKMDDPGSIEAEKNTKVDVPMKSAL >DRNTG_02274.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:916298:918095:1 gene:DRNTG_02274 transcript:DRNTG_02274.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MITOFERRINLIKE 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42130) UniProtKB/Swiss-Prot;Acc:Q9FHX2] MEARPRLLRFSSAVVVDGGGGGDDFSSLFSNLNTLLLSNPNPKPNPIRFPTFSSTSLRYAPRSTSRGPILKDLPSFERALIGAAAGAIAGAFTYVCLLPIDAVKTKLQTKGAANLYSNALDAAIYTLRTEGPLGFYRGVSAVLVGSATSSAIYFGTCELGKSVLGRLSSFPRILIPPTAGVMGNIVSSAIMVPKELITQRMQTGARGRSWEVLLRIIKKDGFLGLYAGYSATLLRNIPAGVLSYSSFEYLKAFVLSQTGQSHLEPAQSVCCGALAGAISASLTTPLDVVKTRLMTQAHGETGNKISVVVRQIMTEEGWIGFGRGIGPRVLHSACFAALGYCAFETARLAIHQYVQQRQAEEANALA >DRNTG_02274.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:916298:918095:1 gene:DRNTG_02274 transcript:DRNTG_02274.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MITOFERRINLIKE 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42130) UniProtKB/Swiss-Prot;Acc:Q9FHX2] MEARPRLLRFSSAVVVDGGGGGDDFSSLFSNLNTLLLSNPNPKPNPIRFPTFSSTSLRYAPRSTSRGPILKDLPSFERALIGAAAGAIAGAFTYVCLLPIDAVKTKLQTKGAANLYSNALDAAIYTLRTEGPLGFYRGVSAVLVGSATSSAIYFGTCELGKSVLGRLSSFPRILIPPTAGVMGNIVSSAIMVPKELITQRMQTGARGRSWEVLLRIIKKDGFLGLYAGYSATLLRNIPAGVLSYSSFEYLKAFVLSQTGQSHLEPAQSVCCGALAGAISASLTTPLDVVKTRLMTQAHGETGNKISVVVRQIMTEEGWIGFGRGIGPRVLHSACFAALGYCAFETARLAIHQYVQQRQAEEANALA >DRNTG_02274.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:916298:918496:1 gene:DRNTG_02274 transcript:DRNTG_02274.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MITOFERRINLIKE 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42130) UniProtKB/Swiss-Prot;Acc:Q9FHX2] MEARPRLLRFSSAVVVDGGGGGDDFSSLFSNLNTLLLSNPNPKPNPIRFPTFSSTSLRYAPRSTSRGPILKDLPSFERALIGAAAGAIAGAFTYVCLLPIDAVKTKLQTKGAANLYSNALDAAIYTLRTEGPLGFYRGVSAVLVGSATSSAIYFGTCELGKSVLGRLSSFPRILIPPTAGVMGNIVSSAIMVPKELITQRMQTGARGRSWEVLLRIIKKDGFLGLYAGYSATLLRNIPAGVLSYSSFEYLKAFVLSQTGQSHLEPAQSVCCGALAGAISASLTTPLDVVKTRLMTQAHGETGNKISVVVRQIMTEEGWIGFGRGIGPRVLHSACFAALGYCAFETARLAIHQYVQQRQAEEANALA >DRNTG_20520.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:171017:171454:1 gene:DRNTG_20520 transcript:DRNTG_20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSFSVIKTSKSMIKPAKPTPQATLNLSAIDNLPGLRCKVKTYHVFSMGVHEPGKIIGEAISKALVHYYPIAGRLIESKQGKLQINCTGDGVFLAEAFANCTLEQVNYLSHPLFPTHHQLLPDPSPDLDPTDIL >DRNTG_29769.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1922744:1927575:1 gene:DRNTG_29769 transcript:DRNTG_29769.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNWIAAREVVQRPSLAVKELVENSLCAGATSISIVV >DRNTG_29769.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1922744:1926611:1 gene:DRNTG_29769 transcript:DRNTG_29769.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNWIAAREVVQRPSLAVKELVENSLCAGATSISIVV >DRNTG_29769.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1922744:1923305:1 gene:DRNTG_29769 transcript:DRNTG_29769.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLNWIAAREVVQRPSLAVKELVENSLCAGATSISIVV >DRNTG_29769.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1924227:1926611:1 gene:DRNTG_29769 transcript:DRNTG_29769.5 gene_biotype:protein_coding transcript_biotype:protein_coding FFACVYLHFFTLLIILWGFLDSDRLVECAELKRAIEIVYSATLPKASKPFIYVSINLSPEDVDIHIHPAKGEVSLLNQDGIADRSQNTIESKLMSANTTRTFLSQIC >DRNTG_29769.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1922744:1927575:1 gene:DRNTG_29769 transcript:DRNTG_29769.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNWIAAREVVQRPSLAVKELVENSLCAGATSISIVV >DRNTG_15685.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000783.1:66479:69103:-1 gene:DRNTG_15685 transcript:DRNTG_15685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRGRNGGWTPDAPTPRKNRNASRDNQPCSPSTNTSARAHPILPSPASHSPPNSPLPLATRRRTPS >DRNTG_15685.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000783.1:66479:68698:-1 gene:DRNTG_15685 transcript:DRNTG_15685.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRGRNGGWTPDAPTPRKNRNASRDNQPCSPSTNTSARAHPILPSPASHSPPNSPLPLATRRRTPS >DRNTG_30162.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20707024:20709314:-1 gene:DRNTG_30162 transcript:DRNTG_30162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSISESKNSTVEIFLGESGSSED >DRNTG_09631.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21957334:21960517:-1 gene:DRNTG_09631 transcript:DRNTG_09631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTDDATGLAITDPERLYKIKELLCNVLKGSNKTRGAKTAVAMGVTHTDRRLHQLMLADRDYERSGQDSPSGNARPNVTVVKWYDKDYSLVTIRCKDRPKLLFDTVCTLTDMQYVVFHGNVDAEKPEAYQEFYIKHIDGSPVNSEAERRRVAQCLEAAIERRVSEGLKLELCTGDKIGLLSEVTRIFRENSLTVTRAEITTRGGKAVNTFYVRDAAGYPVDSKIISAIRQAIGQTILQVKDHYKCPKSPPQESPPRFLFGSLFKSRPFYNFGFG >DRNTG_18940.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12015315:12022030:1 gene:DRNTG_18940 transcript:DRNTG_18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLSANLEERACITHVMWGQTVPMMAGKESVEKIAQLKTKLQKPSN >DRNTG_23448.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:786205:790681:-1 gene:DRNTG_23448 transcript:DRNTG_23448.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLTCEKGLVSQARPKYRKTSLTRAPTLPIKRISELSDFALLKRPLIKSPSMKMQELKAVVIWESFFKACEDLGKELVRVGAIRECDLVAWQNSKNKIVNIGLPSYVFLQCFIRSIKKGSAGFVMRDNIELTSINRPEGRIFDWLFEPMLLLKEQIRVADLDDIEENYIYKVALFCGDAQRVTTWQNGGVPPADEVKRAQLEGLSRRLHGFSLTLSRLPTFRRKMEEVVKALLQEARKKMENDHDIEETSKATHAEGDIL >DRNTG_23448.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:786205:790681:-1 gene:DRNTG_23448 transcript:DRNTG_23448.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLTCEKGLVSQARPKYRKTSLTRAPTLPIKRISELSDFALLKRPLIKSPSMKMQELKAVVIWESFFKACEDLGKELVRVGAIRECDLVAWQNSKNKIVNIGLPSYVFLQCFIRSIKKGSAGFVMRDNIELTSINRPEGRIFDWLFEPMLLLKEQIRVADLDDIEENYIYKVALFCGDAQRVTTWQNGGVPPADEVKRAQLEGLSRRLHGFSLTLSRLPTFRRKMEEVVKALLQEARKKMENDHDIEETSKATHAEGDIL >DRNTG_23448.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:786205:790681:-1 gene:DRNTG_23448 transcript:DRNTG_23448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAVFLTHLGRLLAFLIVFLLLLVLGILKVVVITPIVFIIVLVGNMALIIGLYPCHSVWTCYCILRTRKFGDLLKLIIMLMMPIPILLWPVASAIGTVLTGLVFGISLPLMATFEPIREGVPNKLARCLKDGTWSSIKAAFLIVREFKDICFHSYFSVMDGLLEARGETLMDIKLSQVPGCLLAGIVGVLVDVPMLTLIVIYKVPVMLFKGWRQLIRDLIGRSGPFLESVCVPFAGLLILLWPVAVELTAIAGILSSFSLGCYAAAVAYQENSMKSGLLYVITCISMFDEFTNDFLDMREGSCFPRPKYRKTSLTRAPTLPIKRISELSDFALLKRPLIKSPSMKMQELKAVVIWESFFKACEDLGKELVRVGAIRECDLVAWQNSKNKIVNIGLPSYVFLQCFIRSIKKGSAGFVMRDNIELTSINRPEGRIFDWLFEPMLLLKEQIRVADLDDIEENYIYKVALFCGDAQRVTTWQNGGVPPADEVKRAQLEGLSRRLHGFSLTLSRLPTFRRKMEEVVKALLQEARKKMENDHDIEETSKATHAEGDIL >DRNTG_07429.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7084305:7087438:-1 gene:DRNTG_07429 transcript:DRNTG_07429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRFSEFGKTSSANLRKLHELLLKNDNRVCADCGAPDPKWASANIGVFLCTKCSSIHRSLGPEISKVMSVTLDPWDDDDIDSMVEVGGNSSANSIYEAFLPKGVSKPKPNASNEERTNFIRSKYELQEFLKPSLRIVSSKSSFKSCDSRKDLDIVFDSRSSRKEPSVDEIIGTLKVKVVKGTDLAVRDMLSSDPYVILTLGDQKVKTTVIKSNLNPVWNEELQISVPHRYRPLKLRVFDHDLFSADDIMGEAEIDLQPLLTAAMAFGDPQLLGDMQIGKWLKSSDNALIKDSIINVVDGKVKQDVSLKLQNVECGQIELELEWVPINE >DRNTG_25701.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30385332:30390559:1 gene:DRNTG_25701 transcript:DRNTG_25701.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein [Source:Projected from Arabidopsis thaliana (AT5G48600) UniProtKB/TrEMBL;Acc:F4K1S1] MKPKAQGPHDEGFLEYLEDIIGTDQYVEKIEEAYKQLEILNEKRSGVVQMVKLSEKERDSLESIKNEAEAYMLKELILLKWQEKAANLASDDATSHVIELQDSISNLEGDLSNKREKIQQNSETLKELEAVHQTYLKQHEELDTDLRTCKEQFKEFERQDVKYREDFKHLKQKIKKLEDKLEKDSTKIDEVSKENEASSNLIPKLEEELPKLQQLLLDEEKILEQIQESSRDETERYHAELVKVREELEPWETQLIEHKGKLDVAVAESNMLKEKHNASRAAYDDAQQQMKDIMDKLKNKHEDVSGIQTKLEKNKFESLEAHKLEQECVKKQESLLPLEQAARQKVVEFKSMMESEKSQGAVLKAILQAKESKEIDGIYGRLGDLGAIDAKYDVAISTACAGLDYIVVETTASAQACVELLRRKNLGVATFMILV >DRNTG_25701.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30393371:30395848:1 gene:DRNTG_25701 transcript:DRNTG_25701.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein [Source:Projected from Arabidopsis thaliana (AT5G48600) UniProtKB/TrEMBL;Acc:F4K1S1] MAGFNVISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFV >DRNTG_25701.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30390643:30395848:1 gene:DRNTG_25701 transcript:DRNTG_25701.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein [Source:Projected from Arabidopsis thaliana (AT5G48600) UniProtKB/TrEMBL;Acc:F4K1S1] MKEKVITPEGVPRLFDLVTMKDEQLKLAFFAALGNTVVAKDLDQATRIAYGRDKEFRRVVTLDGSLFEKSGTMSGGGSKPRGGKMGTSIRASVSAEAIANAEKELTDLVDELATLRQKIADASRRSQAYKKEEACFEMELAKSQKEIDSLSAQYSYIEKQLGSLKTASQPKTDEVNRLKELDQIMITEEQELEKLTRCSGKLKERASELQNMIENAGGERLKSQKLRVTKIQSDIDKTSTDINRHKVKIVTGQKVLKKLTKGIEESKKEREKLMDEKENMAATFKEIEQKAFLVQENYKKTQEVLKSPNVLYKNTLLA >DRNTG_25701.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30389442:30395848:1 gene:DRNTG_25701 transcript:DRNTG_25701.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein [Source:Projected from Arabidopsis thaliana (AT5G48600) UniProtKB/TrEMBL;Acc:F4K1S1] MMESEKSQGAVLKAILQAKESKEIDGIYGRLGDLGAIDAKYDVAISTACAGLDYIVVETTASAQACVELLRRKNLGVATFMILEKQLDHKHKMKEKVITPEGVPRLFDLVTMKDEQLKLAFFAALGNTVVAKDLDQATRIAYGRDKEFRRVVTLDGSLFEKSGTMSGGGSKPRGGKMGTSIRASVSAEAIANAEKELTDLVDELATLRQKIADASRRSQAYKKEEACFEMELAKSQKEIDSLSAQYSYIEKQLGSLKTASQPKTDEVNRLKELDQIMITEEQELEKLTRCSGKLKERASELQNMIENAGGERLKSQKLRVTKIQSDIDKTSTDINRHKVKIVTGQKVLKKLTKGIEESKKEREKLMDEKENMAATFKEIEQKAFLVQENYKKTQELIDKHKDVLDETKGEYYKLKKMMDELRAAEVDADYKLKDMKKLTKDWEMKGKAFRKRVDDIHINLSNHMNQIRKDAVDPEKVKAALEDDSLNNVCDLKRATEMVALLEAQLKDMNPNLDAIAEYQKKSHSYTERVEELNVITQERDDLKRQYDGWRKKRLDEFMAGFNVISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFV >DRNTG_25701.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30384194:30395848:1 gene:DRNTG_25701 transcript:DRNTG_25701.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein [Source:Projected from Arabidopsis thaliana (AT5G48600) UniProtKB/TrEMBL;Acc:F4K1S1] MPMAATEAGSGKPRLVITEMVLRNFKSYAGEQRIGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSSNHQNLESAGVSVYFQEIIDKEDGTIEPVEGSDFVITRVAFRDNSSKYYINDRGSNFTEVTKKLKGKGVDLDNNRFLILQGEVEQISLMKPKAQGPHDEGFLEYLEDIIGTDQYVEKIEEAYKQLEILNEKRSGVVQMVKLSEKERDSLESIKNEAEAYMLKELILLKWQEKAANLASDDATSHVIELQDSISNLEGDLSNKREKIQQNSETLKELEAVHQTYLKQHEELDTDLRTCKEQFKEFERQDVKYREDFKHLKQKIKKLEDKLEKDSTKIDEVSKENEASSNLIPKLEEELPKLQQLLLDEEKILEQIQESSRDETERYHAELVKVREELEPWETQLIEHKGKLDVAVAESNMLKEKHNASRAAYDDAQQQMKDIMDKLKNKHEDVSGIQTKLEKNKFESLEAHKLEQECVKKQESLLPLEQAARQKVVEFKSMMESEKSQGAVLKAILQAKESKEIDGIYGRLGDLGAIDAKYDVAISTACAGLDYIVVETTASAQACVELLRRKNLGVATFMILEKQLDHKHKMKEKVITPEGVPRLFDLVTMKDEQLKLAFFAALGNTVVAKDLDQATRIAYGRDKEFRRVVTLDGSLFEKSGTMSGGGSKPRGGKMGTSIRASVSAEAIANAEKELTDLVDELATLRQKIADASRRSQAYKKEEACFEMELAKSQKEIDSLSAQYSYIEKQLGSLKTASQPKTDEVNRLKELDQIMITEEQELEKLTRCSGKLKERASELQNMIENAGGERLKSQKLRVTKIQSDIDKTSTDINRHKVKIVTGQKVLKKLTKGIEESKKEREKLMDEKENMAATFKEIEQKAFLVQENYKKTQELIDKHKDVLDETKGEYYKLKKMMDELRAAEVDADYKLKDMKKLTKDWEMKGKAFRKRVDDIHINLSNHMNQIRKDAVDPEKVKAALEDDSLNNVCDLKRATEMVALLEAQLKDMNPNLDAIAEYQKKSHSYTERVEELNVITQERDDLKRQYDGWRKKRLDEFMAGFNVISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFV >DRNTG_25701.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30386916:30395848:1 gene:DRNTG_25701 transcript:DRNTG_25701.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein [Source:Projected from Arabidopsis thaliana (AT5G48600) UniProtKB/TrEMBL;Acc:F4K1S1] MLKELILLKWQEKAANLASDDATSHVIELQDSISNLEGDLSNKREKIQQNSETLKELEAVHQTYLKQHEELDTDLRTCKEQFKEFERQDVKYREDFKHLKQKIKKLEDKLEKDSTKIDEVSKENEASSNLIPKLEEELPKLQQLLLDEEKILEQIQESSRDETERYHAELVKVREELEPWETQLIEHKGKLDVAVAESNMLKEKHNASRAAYDDAQQQMKDIMDKLKNKHEDVSGIQTKLEKNKFESLEAHKLEQECVKKQESLLPLEQAARQKVVEFKSMMESEKSQGAVLKAILQAKESKEIDGIYGRLGDLGAIDAKYDVAISTACAGLDYIVVETTASAQACVELLRRKNLGVATFMILEKQLDHKHKMKEKVITPEGVPRLFDLVTMKDEQLKLAFFAALGNTVVAKDLDQATRIAYGRDKEFRRVVTLDGSLFEKSGTMSGGGSKPRGGKMGTSIRASVSAEAIANAEKELTDLVDELATLRQKIADASRRSQAYKKEEACFEMELAKSQKEIDSLSAQYSYIEKQLGSLKTASQPKTDEVNRLKELDQIMITEEQELEKLTRCSGKLKERASELQNMIENAGGERLKSQKLRVTKIQSDIDKTSTDINRHKVKIVTGQKVLKKLTKGIEESKKEREKLMDEKENMAATFKEIEQKAFLVQENYKKTQELIDKHKDVLDETKGEYYKLKKMMDELRAAEVDADYKLKDMKKLTKDWEMKGKAFRKRVDDIHINLSNHMNQIRKDAVDPEKVKAALEDDSLNNVCDLKRATEMVALLEAQLKDMNPNLDAIAEYQKKSHSYTERVEELNVITQERDDLKRQYDGWRKKRLDEFMAGFNVISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFV >DRNTG_25701.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30391725:30395848:1 gene:DRNTG_25701 transcript:DRNTG_25701.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein [Source:Projected from Arabidopsis thaliana (AT5G48600) UniProtKB/TrEMBL;Acc:F4K1S1] MITEEQELEKLTRCSGKLKERASELQNMIENAGGERLKSQKLRVTKIQSDIDKTSTDINRHKVKIVTGQKVLKKLTKGIEESKKEREKLMDEKENMAATFKEIEQKAFLVQENYKKTQELIDKHKDVLDETKGEYYKLKKMMDELRAAEVDADYKLKDMKKLTKDWEMKGKAFRKRVDDIHINLSNHMNQIRKDAVDPEKVKAALEDDSLNNVCDLKRATEMVALLEAQLKDMNPNLDAIAEYQKKSHSYTERVEELNVITQERDDLKRQYDGWRKKRLDEFMAGFNVISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFV >DRNTG_05262.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19802473:19805205:-1 gene:DRNTG_05262 transcript:DRNTG_05262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQASSLLSSAFVSPKEVKVNGGIKDSVFLGVSFSESVKSDINSTLLRSKRMNMQSVIVKAQTTATDAPAVSQATPDSKKTLRKGNVIITGASSGLGLATAKALAESGKWHVIMACRDFLKTEKAAKSAGMTKDSYTVMHLDLASLDSVRQFIDNFRRSDMPLDVLVCNAAVYQPTASQPSYTADGFEMSVGVNHLGHFLLARELLDDLKNSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGVNGMSGSAMIDGGDFDGAKAYKDSKVCNMLMMQEFHRRFHEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGFVSEDDAGRRLAQVVSDPSLTKSGVYWSWNQNSASFENQLSKEASDADKAKRVWELSEKLVGLA >DRNTG_08895.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27563220:27565285:1 gene:DRNTG_08895 transcript:DRNTG_08895.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRSKHQQPHKIKTPNTRATHLPLSLPAKGTFLQSPSFRLLPRRKSFQD >DRNTG_24600.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28789122:28812279:-1 gene:DRNTG_24600 transcript:DRNTG_24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G40280) TAIR;Acc:AT5G40280] MHDGGEIDVRACYTAVSVASMLNILDSVLIKDVGNFIWSCQTYEGGIAGEPGSEAHGGYTFCGLAVMILINEADKLDMPSLIDWAVFRQGVECGFQGRTNKLVDGCYSFWQGGALALIQKLLSAAGKESPLCGGQASEESMYNITLSRGEKLSDVGFAFMNEKTECGPLFHTIALQQYLLLCSQVHEGGFRDKPGKSRDHYHSCYCLSGLSVSQYSHCKDPRHNSPSPNDVLGQYSNLLEPIHPLYNVVLDKYDKAREFFSAF >DRNTG_25545.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3036082:3037158:-1 gene:DRNTG_25545 transcript:DRNTG_25545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSRETSGFSSDSFAPKPSPPPASCAFFGGNRRGEVLGADRLRHCPPPADCFDAGDTDLLPDLSPRSLLFIPVSLADITLPSAFLNLPHRHRPCISLSYRKAPQKKIASSGVSPETEISPSALQHPPPPHSFSVVDVWTEKLSLTLSSSDLRSSLSFPVRRASSSAKGFLHCCSLTLSLGFGVSDSTSLTVSTTSGSGGGSRSRSWNAGALGLGLGLGFCGKEEGLDLS >DRNTG_18118.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:460785:463376:-1 gene:DRNTG_18118 transcript:DRNTG_18118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIEAQFTANNPNHPQTLNKESLTHLLDTKCHHSLHLLKQTHAMLIKLSHFQDNYISGSLIKHYSNPNLNSFITALKVFDQVPQPHVFLWNSLIRACIDNNYPHQAILAYHHMIIQGSIPNNYTFPLVLNACNAAEAIDEGKQFLAHLIKQGLGGDKYIKSAAIQMYGACGMMAEAKRMLTPCSDSACWNAMIDGYVKNGDLVASKELFDVMPCRNIRSWNAMISGYVRNGMIDESKKLFDDMPQRDGVSWSAIINGYVQCGCFTDALLMFRRMLKEGVTIDKFVLSSALTACANVCALEQGRWIHAYIDRNFKQLDPVLGTSLVDMYAKCGHLDFASVVFKKIRQHKQVFTWNAMISGFAIHGRANEALEFFAIMQKENIKPNAITFIAVLNACAHTGLVDEGYKHINSMEKDFGIAPTTEHYGCMVDLLGRAGLLEEAESMINSMPMKPNAVVWGALLGACRIHGNAELGERVAKILLELEPENSGRYAVLSNIYAKAHRWDDVSKLRKLMKCRGIKTIPGVSLIECEGVVHEFIAGDHRHPNAKEIHTKLKEVLKRLKMEQGYVPKTRDVTLEIFDEEEKENAISYHSEKLAIAFGLISSSPEKMIRITKNLRVCEDCHFVSKLISRVYGREIVVRDRARYHLFKDGECSCMDYW >DRNTG_25157.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23835124:23835450:1 gene:DRNTG_25157 transcript:DRNTG_25157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKLGTQSVVVASTSSAARSFLTTFDHQFANRPTATMKAKEAAYNGDDMVFSNYTPRWKLLRRLSTIHMLGNKAFAKWADVRCSEATHMLHAMHEFSKLSKPVLLPV >DRNTG_32222.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1398035:1400269:-1 gene:DRNTG_32222 transcript:DRNTG_32222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLEMLFDGMLDHEGAPTPRFLIWISKPKEKKDELEMEMVQMEMERRRNVKCYPYVMCMQYEMRGRGRTSWVVGDSGELVSIPSRADSLLVTLGDIAQVWSNGRFKKVRGIPFQSSSSNHDYNGDQSFISLTFMVTLPLESTISPLLPLKIKDDNNNGGEEEDDDDGWKRFTPFLMVDYAWKVYHERFTEKDPLIRYRVPEV >DRNTG_35308.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22721835:22726939:-1 gene:DRNTG_35308 transcript:DRNTG_35308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDENPRLMELPLFSDKPSGGGGGSRSIPNTSSFMPLFPAIGQQVRQPWGLWTTTTTISPNPMFASSSNRYDYRHHQYMYPRSQVCLSEVSSPAGTGLKIVNPPRRPQAGVWFILQTKQNQGREPFLPQIPKSYLRIKDGRMTVRLLKKYLVNKLGLEDESQVEITCRGQELMHSWTLQYVRDNVWFSGETMTVFLPESPVADQVMTLQYGRPARSI >DRNTG_08709.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18084966:18085936:1 gene:DRNTG_08709 transcript:DRNTG_08709.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIGTIGRTYHINLVRLYGFCFDNTLKALVYEYMEKGSLDSYLFDENQKLKWEKLHEIAIGTAKGIRYLHEECQKKIVHYDIKPGNVLIDANFSPKVADFGLATLCDRDNSHISLTGGRGTPGYAAPELWMPYPVTHKCDVYSYGMLLFEILGRRRNLNLSQAESQEWFPRWIWHKFEGGELDGVMTNCRIEHSNRDKAERMCKVALWCVQYQPDTRPSMNSVVRMLEGEEEIIAPTNPFQYMMPFDGSSSQWSESRGYSTSTATTTNESEANILIHQN >DRNTG_31427.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:657500:660663:1 gene:DRNTG_31427 transcript:DRNTG_31427.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FIZZY-RELATED 3 [Source:Projected from Arabidopsis thaliana (AT5G13840) UniProtKB/Swiss-Prot;Acc:Q8LPL5] MFRYGTAQGAKRFARWEDDRRGLEFSHGVRAFYPLVAETKTFFNMIFVFQVTFVSKLTGHRSEICGLKWSHDDRELASGGNDNQLLVWNQHSQQPVLKLIEHTAAVKAIAWSPHQHGLLASGGGTADRCIRFWNTVNSNMLNFVDTGSQVCNLAWSKNVNELVSTHGYSQNQIMVWKYPSMSKITTLTGHTLRVLYLAVSPDGQTIVTGAGDETLRFWNVFPSMKTPTPVRETGVWSLGRTHIR >DRNTG_13976.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27883250:27887005:1 gene:DRNTG_13976 transcript:DRNTG_13976.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein NDC80 homolog [Source:Projected from Arabidopsis thaliana (AT3G54630) UniProtKB/Swiss-Prot;Acc:Q9M1G5] MPFDASSFAAGRRDSDASLCSSRPSSVGGRGSVAPSALLTDRASQSAALRSINSYLSSLSAPFSLKPPLPSAREITETLKFLLSRLDFPFSNESLDDDLLLLLRLLRCPIKLPRSALKAPGTPHSWPPLLSALHWLCQLARYSDFLSSCPSDSPSPNDLLLFLSHSYSLFISGDDDAVLALDAEYLQKAEHQAKNAASVVDSLEKESTDLQEKLRALTSGPSRRQALESEKGLLTEDVNKFRAVVDSFGAKVASLERSLGDWERELAAKEGEIRRMKEENEELKKRVDGQAVSARDAERMRREMQAVERDIAESENGLSTMEERALELEAAAGRKFKEMEALAEQCNQAIRKLKLGNDIQYVLSAEGSSPSKVLGIDYKTTVKPALNALAEDTKKSSLLKFEELIALQQQTEQNTKILEEKKDQLAGLQAKIDEYETKMNLIRKAMEDHASECAAEAEKIKAEFRAREHQLETVEKEAEDFLRDSERKLEFAVKESDEETQVCAHELLALIDMVSKYKEYMESTISTMKTDLSETTNAMAEAYKTSISAKLSSGDLNLGYNTLKRARQPSS >DRNTG_20983.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7316763:7318738:-1 gene:DRNTG_20983 transcript:DRNTG_20983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLTIAQVIQKLKDRSLYKVIDIQKDMLREHGVHIPYKQAWLGKEHTRVVLDGSDISSYYLLLWYMDKVAETNPGSITIVERDGDRFKRAFFSFRACIVGFKRAYKPLLFLDGTHLFGKYRGTLLGAIGKDGNNGFFHVAFGIIDNETDANWTWFIFKLSDSLYDEGDFHEIITFLSDRGDRWGEMYSNVVESFNAWIKEVRHLPVTKMVNSIRFKLMHMLCNRREQANKWETYLCPDIHSKCSNSVDLAIRTCSCRMWQVYGIPCKHACAAIMQTDTNVHRFISGYFTVDNYKLAYKEAIFPIPDNDKPSDGNRELRLRQPVTRRQPGRLKRKRIESQAFDVHDLYCSRCHGSAHNRRSCNETVAD >DRNTG_30232.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:148943:154821:-1 gene:DRNTG_30232 transcript:DRNTG_30232.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl Co-enzyme a carboxylase biotin carboxylase subunit [Source:Projected from Arabidopsis thaliana (AT5G35360) UniProtKB/TrEMBL;Acc:F4JYE0] MDSMIVCKSVSSVPGLFVSSARGLKSSQCNFALGNAPNFQKISLRRQRAAHVTGKSKSCGGGALNATCRDEKILIANRGEIAVRVIRTAHEMGIPCVAVYSTIDKDALHVKLADEAVCIGEAPSSQSYLFVPNVLSAAVSRRCTMLHPGYGFLAENAGFVDICHEHGINFIGPNSDSIRVMGDKSTARETMKKAGVPTVPGSDGLLQSTEEGIRLADEIGYPVMIKATAGGGGRGMRLAKEPEEFVKLLQQAKSEAGAAFGNDGVYLEKYVQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMISSTDLIEEQIRVALGERLTYKQEDIVLRGHSIECRINAEDAFKGFRPGPGRITTYLPSGGPFVRMDSHVYPDYVVPPSYDSLLGKLVVWAPTREKAIERMKRALNDTVITGIPTTIEYHKLILDIEDFRNGKVDTAFIPKHEKELAEPQKLIISSSAKELAGSSA >DRNTG_04753.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15205018:15208834:1 gene:DRNTG_04753 transcript:DRNTG_04753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSGYANVTTFVPLQLIVMTSKPGGWHTFTYLSPPLVSCACCQILQLPLQLDSVVLTQVRVDLVMVRLVGP >DRNTG_13190.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000601.1:988:2666:1 gene:DRNTG_13190 transcript:DRNTG_13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLIEVFLHWKWQPSGCDLPAFDPLKFLNAMRDKSWAFIGDAIFHNHVYSLLCLTSSVTKAHEIYYDGYNTRTWYYPSYNLTIYSIWSPYLLASETENGPTHEIHLDILDSKWTEMYNKYDYMVISGGQWFYKRTIMYENNEVVGCHYCPDLELKKIDYEVAYRRALQLTFKFITTSEHKPFVVFRTWAPSHWEDGESPSERICNRTKPFIEGEINGSTSDLKMWRLETEEFEKAAAIGATNDVRMELLDVYQLSLLRPDGHPGPYGTYHPFDDDPSKKVENDCIHWCLPGPIDTWNELLMKVINRDVLDSVSAFL >DRNTG_13190.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000601.1:1590:2666:1 gene:DRNTG_13190 transcript:DRNTG_13190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRDKSWAFIGDAIFHNHVYSLLCLTSSVTKAHEIYYDGYNTRTWYYPSYNLTIYSIWSPYLLASETENGPTHEIHLDILDSKWTEMYNKYDYMVISGGQWFYKRTIMYENNEVVGCHYCPDLELKKIDYEVAYRRALQLTFKFITTSEHKPFVVFRTWAPSHWEDGESPSERICNRTKPFIEGEINGSTSDLKMWRLETEEFEKAAAIGATNDVRMELLDVYQLSLLRPDGHPGPYGTYHPFDDDPSKKVENDCIHWCLPGPIDTWNELLMKVINRDVLDSVSAFL >DRNTG_13190.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000601.1:1590:2855:1 gene:DRNTG_13190 transcript:DRNTG_13190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDKSWAFIGDAIFHNHVYSLLCLTSSVTKAHEIYYDGYNTRTWYYPSYNLTIYSIWSPYLLASETENGPTHEIHLDILDSKWTEMYNKYDYMVISGGQWFYKRTIMYENNEVVGCHYCPDLELKKIDYEVAYRRALQLTFKFITTSEHKPFVVFRTWAPSHWEDGESPSERICNRTKPFIEGEINGSTSDLKMWRLETEEFEKAAAIGATNDVRMELLDVYQLSLLRPDGHPGPYGTYHPFDDDPSKKVENDCIHWCLPGPIDTWNELLMKVINRDVLDSVSAFL >DRNTG_17476.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6842722:6845635:-1 gene:DRNTG_17476 transcript:DRNTG_17476.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASAATLVAAQCVEAAESLGAERDHLAAVVGSAVNVRTAGDVVTLTAAAATALRGAATLKARALKEVWNIAAVIPVERGGGGGVGVNGAYVEEKKKKKKNSSDAGVDEDDESLSGEVFADENFLGLCSQELLARGTELLKRTRKGDLHWKVVSVYINRMGQVMVKMKSKHVAGTITKKKKSVVVDVCKNIPAWAGRHLLEGGEQRRYFGLRTGEGRVVEFECRSQREYEMWTQGVCRLLNIVGDKKSLG >DRNTG_17476.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6842722:6845635:-1 gene:DRNTG_17476 transcript:DRNTG_17476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQPTELPKDPMEFLSRSWSVSALEVSKSLTTPHHHNHHHPCPIPEDPFTESDDLLSNNSFTFATSSTSQLVLERIMSQSEVSPLASGRLSHSSGPLNGGGGGGGGQNSGSLTDSPPVSPSDMDDVKYGKGSVAGSGAGPAKPVYRGKTVGRWLKDRREKKKEETRAHNAQLHAAVSVAGVAAAVAAIAAATAASGPGKDERASRTNMAVASAATLVAAQCVEAAESLGAERDHLAAVVGSAVNVRTAGDVVTLTAAAATALRGAATLKARALKEVWNIAAVIPVERGGGGGVGVNGAYVEEKKKKKKNSSDAGVDEDDESLSGEVFADENFLGLCSQELLARGTELLKRTRKGDLHWKVVSVYINRMGQVMVKMKSKHVAGTITKKKKSVVVDVCKNIPAWAGRHLLEGGEQRRYFGLRTGEGRVVEFECRSQREYEMWTQGVCRLLNIVGDKKSLG >DRNTG_08919.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27399348:27400253:1 gene:DRNTG_08919 transcript:DRNTG_08919.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPQPSPDVPMNGATTTSVAGGVEPIPSGPTSKRQRRPSVRLGDIGDPIPHEAHPRRLKQWKVLSDSHTSKPPSRTRHVTTVSPSDEPVPENNHHHETLNLVIKKGLRDGKSRRGPPAHRRPRTNWISKPDDPEEAADLKSSGGEETGDEPFREGSENRDGDAPSETDGGYWNEDRNGMCRLSDDSGVRSWLDRLGLARYAPAFEIHEVDEEVLPFLTLEDLKDMGINAVGSRRKMYSAIQKLRKSFT >DRNTG_08919.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27399348:27400281:1 gene:DRNTG_08919 transcript:DRNTG_08919.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPQPSPDVPMNGATTTSVAGGVEPIPSGPTSKRQRRPSVRLGDIGDPIPHEAHPRRLKQWKVLSDSHTSKPPSRTRHVTTVSPSDEPVPENNHHHETLNLVIKKGLRDGKSRRGPPAHRRPRTNWISKPDDPEEAADLKSSGGEETGDEPFREGSENRDGDAPSETDGGYWNEDRNGMCRLSDDSGVRSWLDRLGLARYAPAFEIHEVDEEVLPFLTLEDLKDMGINAVGSRRKMYSAIQKLRKSFT >DRNTG_08919.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27399348:27400789:1 gene:DRNTG_08919 transcript:DRNTG_08919.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPQPSPDVPMNGATTTSVAGGVEPIPSGPTSKRQRRPSVRLGDIGDPIPHEAHPRRLKQWKVLSDSHTSKPPSRTRHVTTVSPSDEPVPENNHHHETLNLVIKKGLRDGKSRRGPPAHRRPRTNWISKPDDPEEAADLKSSGGEETGDEPFREGSENRDGDAPSETDGGYWNEDRNGMCRLSDDSGVRSWLDRLGLARYAPAFEIHEVDEEVLPFLTLEDLKDMGINAVGSRRKMYSAIQKLRKSFT >DRNTG_08919.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27399230:27400281:1 gene:DRNTG_08919 transcript:DRNTG_08919.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLFFLHTLSLSSYTSRSLMAEPQPSPDVPMNGATTTSVAGGVEPIPSGPTSKRQRRPSVRLGDIGDPIPHEAHPRRLKQWKVLSDSHTSKPPSRTRHVTTVSPSDEPVPENNHHHETLNLVIKKGLRDGKSRRGPPAHRRPRTNWISKPDDPEEAADLKSSGGEETGDEPFREGSENRDGDAPSETDGGYWNEDRNGMCRLSDDSGVRSWLDRLGLARYAPAFEIHEVDEEVLPFLTLEDLKDMGINAVGSRRKMYSAIQKLRKSFT >DRNTG_08919.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27399348:27400492:1 gene:DRNTG_08919 transcript:DRNTG_08919.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPQPSPDVPMNGATTTSVAGGVEPIPSGPTSKRQRRPSVRLGDIGDPIPHEAHPRRLKQWKVLSDSHTSKPPSRTRHVTTVSPSDEPVPENNHHHETLNLVIKKGLRDGKSRRGPPAHRRPRTNWISKPDDPEEAADLKSSGGEETGDEPFREGSENRDGDAPSETDGGYWNEDRNGMCRLSDDSGVRSWLDRLGLARYAPAFEIHEVDEEVLPFLTLEDLKDMGINAVGSRRKMYSAIQKLRKSFT >DRNTG_08919.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27399348:27400216:1 gene:DRNTG_08919 transcript:DRNTG_08919.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPQPSPDVPMNGATTTSVAGGVEPIPSGPTSKRQRRPSVRLGDIGDPIPHEAHPRRLKQWKVLSDSHTSKPPSRTRHVTTVSPSDEPVPENNHHHETLNLVIKKGLRDGKSRRGPPAHRRPRTNWISKPDDPEEAADLKSSGGEETGDEPFREGSENRDGDAPSETDGGYWNEDRNGMCRLSDDSGVRSWLDRLGLARYAPAFEIHEVDEEVLPFLTLEDLKDMGINAVGSRRKMYSAIQKLRKSFT >DRNTG_08919.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27399230:27400492:1 gene:DRNTG_08919 transcript:DRNTG_08919.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLFFLHTLSLSSYTSRSLMAEPQPSPDVPMNGATTTSVAGGVEPIPSGPTSKRQRRPSVRLGDIGDPIPHEAHPRRLKQWKVLSDSHTSKPPSRTRHVTTVSPSDEPVPENNHHHETLNLVIKKGLRDGKSRRGPPAHRRPRTNWISKPDDPEEAADLKSSGGEETGDEPFREGSENRDGDAPSETDGGYWNEDRNGMCRLSDDSGVRSWLDRLGLARYAPAFEIHEVDEEVLPFLTLEDLKDMGINAVGSRRKMYSAIQKLRKSFT >DRNTG_01353.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:288113:291635:1 gene:DRNTG_01353 transcript:DRNTG_01353.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g25360 [Source:Projected from Arabidopsis thaliana (AT1G25360) UniProtKB/Swiss-Prot;Acc:Q9FRI5] MISAYSRASYGPPAVSVFLEMLRDGFRPDDYTYTGILSASASIPDLTIHQCQLLHCAIVKSGAEHRVSVSNALIALYFKCGTNEGLVSAHEVFDRMIDKDELTWTTMVVGYVRFGDIQGARLVFDEMDGRFDVVWNAMISGYAQHGLFFEALELFRRMHLCNIPLDEFSYTSTISACVNAGFFKLGKAVHGHIIRVGPNFEPESALPVENVLVTLYANCGKVDVARQIFNRIQKKDHVSWNAILTGYLNSGRIQDAVGIFNPMPSKNCLAWMVMISGFVHNGLAEEGLKLFNQMRNEGFIPCDYTYAGTFAACGELGALEHGRQLHAQLIRFGYESSNSAGNALLTMYAKCGAMDEAELVFFEMPYLDPVSWNAMISALAHHGHGDEAIKLYDQMVMKGIYPDRITFLTVLSACSHAGLVDEGFMYFETMERDYGIKPEEDHYARLIDLLGRAGRITEARKVIESMPFKPGPLVWEAVLTSCRLKGDMDLGVQAAEQLFNTIPQQDGTYVLLSNIYAAVGRWEDVARVRKMMRERGVKKEPGCSWIEVSNKVHVFLVNDVSHPEVHEVYNFLDALGAKMRKLGYVPDTRFVLHDMEAEQKEYVLSTHSEKLAVGYGLLRLPVGATIRVLKNIRICGDCHTAILFMSKAVGREIIVRDVKRFHHFKDGECSCGNYW >DRNTG_34759.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:8381786:8389014:-1 gene:DRNTG_34759 transcript:DRNTG_34759.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYVQKQIAHKKRWTDVTMKIISFSQQGPWAISILSANGVISNVTLRQPDSSGGTLIYKVSSHCISIS >DRNTG_09857.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000417.1:100133:102818:1 gene:DRNTG_09857 transcript:DRNTG_09857.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKICDFGFAKLFDIDETHANTNRIAGTYGYMAPEYAMHGNFSVKSDVYSYGVMVLEIVTGRKNRGFEESGNAAHLLSYAWRLWNDGRGLELKDPILGDRIQVDEVLRCIHIGLLCVQEDPQDRPTMGTVVLMLRSFLFPLPEPFTPAFFIGNLEGSQPNVNLRDLDLNQLDNGQSNQEQRHRMVGSANNLTISQPEGR >DRNTG_09857.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000417.1:98311:99379:1 gene:DRNTG_09857 transcript:DRNTG_09857.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQALLFLLFLLCPLVKLSQGNPTLYRCSTDSNYTTPSTFATNLALLLPNLIATTANSSILFSTASRDSIYGLAQCQLGSSPSGCASCLNNSATDFSTLCPSGRSAGIRYDGCLLRYSDTRFFSQLSNDAFHYRTSGKTVSDPAGFSSSVNDLMNGISSKAAHTESRFMVGMTNFSGLIYGMAQCTSDLSANDCANCLNFALGVMRLHAYGKVGSLVASLSCIIRYETYPFFSLLQLAAPPPPPPSLVKSPPVNDTTGSGGECYF >DRNTG_09857.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000417.1:100133:102818:1 gene:DRNTG_09857 transcript:DRNTG_09857.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKICDFGFAKLFDIDETHANTNRIAGTYGYMAPEYAMHGNFSVKSDVYSYGVMVLEIVTGRKNRGFEESGNAAHLLSYAWRLWNDGRGLELKDPILGDRIQVDEVLRCIHIGLLCVQEDPQDRPTMGTVVLMLRSFLFPLPEPFTPAFFIGNLEGSQPNVNLRDLDLNQLDNGQSNQEQRHRMVGSANNLTISQPEGR >DRNTG_09857.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000417.1:98311:102818:1 gene:DRNTG_09857 transcript:DRNTG_09857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQALLFLLFLLCPLVKLSQGNPTLYRCSTDSNYTTPSTFATNLALLLPNLIATTANSSILFSTASRDSIYGLAQCQLGSSPSGCASCLNNSATDFSTLCPSGRSAGIRYDGCLLRYSDTRFFSQLSNDAFHYRTSGKTVSDPAGFSSSVNDLMNGISSKAAHTESRFMVGMTNFSGLIYGMAQCTSDLSANDCANCLNFALGVMRLHAYGKVGSLVASLSCIIRYETYPFFSLLQLAAPPPPPPSLVKSPPVNDTTGSGGKSSNTTTIVLVVVFVLVAGIVIFSGVCIYLRRRKRKPNRRWTADGGDDSEFISAESLSFDLATLRDATSNFSDENELGRGGFGVVYKGVLRDGQEIAVKRLSLTSVQGLVELKNEVVLVAKLQHRHLVRLLGCCLEEKEKLLVYEYLPNASLDKFLFDPVRRQQLDWARRYRIIEGISRGLLYLHEDSRLRIIHRDLKAGNILLDGDMDPKICDFGFAKLFDIDETHANTNRIAGTYGYMAPEYAMHGNFSVKSDVYSYGVMVLEIVTGRKNRGFEESGNAAHLLSYAWRLWNDGRGLELKDPILGDRIQVDEVLRCIHIGLLCVQEDPQDRPTMGTVVLMLRSFLFPLPEPFTPAFFIGNLEGSQPNVNLRDLDLNQLDNGQSNQEQRHRMVGSANNLTISQPEGR >DRNTG_13754.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:990466:993665:1 gene:DRNTG_13754 transcript:DRNTG_13754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRAREGGAGELIERLPKDAILEILRRLDLLSLCSVAPVSRSLRLLVSQSLSCITTLDLSGFSLSTTILKRVLGDNKVLRSLTLDCTQLEDSSIEVFAKEHLEEIVLLKCLMFSSYIFIAIGMKCHNLRRLTLEMLALDECESLDTCNKDFSQMLRRCSCLEFLWVKLPNHCPHLIDDEYWESIGYEDFESPFPKRIKGLVLQPLLEHQAELLSMMIGQSTSSINVGMGVGLQSLTLVLNLITDDLLVCITGNLRHLVELCLEDRPVYQPSPPNDLTGLGLLALSSCKGLACLTLIRSKIYCPATFKSVKDVDMQLLAEGCSRFESIKLGGFSKVTDAGYVSILHSCRNLRKLEIINSLTLSDLTFHNLADIPCPLREVRLVACNALTCDAARSLSSCRDLEVLDLSGCRSIADAGLESIAKLCKLSKLDLSGADITDDGIGLLGNGTSPIAYLYIRGCKRITDTGIAMLLTGNGIIKKTLKTLDISYLPEISDRSISIVEEHCSEITDLSIRYCPSLTDNSIKVLGSIERRRSLRRLDVCNCQGFSSDSLRLFSPPYFRGLRWLGVANSKLFSSSKNKREDMLSELTRERPLLRVCQIGCELGCRDRWQYHEKVQNMMHLLN >DRNTG_16395.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16123176:16123512:1 gene:DRNTG_16395 transcript:DRNTG_16395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLLFMKSQDMTPEIHNLAGKKASTNVRWTAMMTPFMLTSLVEQANLGLKSYKGFKNVALNAIARAISARFNLTVSDIHVNNRL >DRNTG_23519.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22233506:22233930:-1 gene:DRNTG_23519 transcript:DRNTG_23519.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWINTNAKKPEMRNDAQEVLRALRRVKQQLQNSVDQRRAVVSSPKELYGQ >DRNTG_23519.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22233506:22235577:-1 gene:DRNTG_23519 transcript:DRNTG_23519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHKKWSDLMRNEGNGGWRTVECLRGRLLAERVASKAAKAQVEQLSTKLDELEKLIAKEIESRDRAERRLKNALKKLESLKLFDVSGSSVSSSSECVSLMKHDLGKLETRQSDGEEVSGISSIDSSIQSGSQEGSWSSVGTGHSHTKEEHSHVSRQQHDSVKELKAAEDQTKYEDDSLALVPSNMWINTNAKKPEMRNDAQEVLRALRRVKQQLQNSVDQRRAVVSSPKELYGQ >DRNTG_21267.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:233941:235198:1 gene:DRNTG_21267 transcript:DRNTG_21267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLLSSPIIREVHRSPATHLRSIMATCVDNPQPKPTMNHLCMNPTRSKIEDSLYRYVALSRPGLQDLVFSPPASPAGDETWGRIREEAREDAEMEPVLRKYYEDSILSHGSLESALARHLATKLGSEIGSDVMEKVFREVLESAPEVGRAVRADLKAARDRDPACTSMARCLLYYKGFQACQAHRIAHRLWMKGRVAMALFIQSRVSEVFAVDIHPAARIGEGVLLDHATGVVIGETAVVGENVSILHNVTLGGTGKVGGDRHPKIGDGVLVGAGTQILGNVRIGDGAKIGAGSVVLKEVPERATAVGNPARVVGGKAKKEKEEMPGISMDHSSWSDYVI >DRNTG_07708.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23061655:23062724:1 gene:DRNTG_07708 transcript:DRNTG_07708.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISSPNRHDLVFPKGGWETDESVCEAACREALEEAGVKGIISETILGIWEFRSKSRENSCNLEGVCRGYMFAMEVTEELEWWPEQSCHQRRWVDVRESMQLCRYEWMREALDACIRFLSSRNAALSTTKCVDNAIDAYSSSMVKLEVSYVP >DRNTG_07708.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23061655:23061934:1 gene:DRNTG_07708 transcript:DRNTG_07708.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISSPNRHDLVFPKGGWETDESVCEAACREALEEAGVKGIIS >DRNTG_07708.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23061286:23062724:1 gene:DRNTG_07708 transcript:DRNTG_07708.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIARTGRHRQRYDEHFRLVAGCIPYRLKQSVENRGGDWSNRLEVLMISSPNRHDLVFPKGGWETDESVCEAACREALEEAGVKGIISETILGIWEFRSKSRENSCNLEGVCRGYMFAMEVTEELEWWPEQSCHQRRWVDVRESMQLCRYEWMREALDACIRFLSSRNAALSTTKCVDNAIDAYSSSMVKLEVSYVP >DRNTG_08625.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20477502:20482395:-1 gene:DRNTG_08625 transcript:DRNTG_08625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWERFKEVSGLETRMIDLEKALTRFVQSLNTRFESVEATLRNHTASLHNLENQVGQIAKSLSERPHGSLPSNTETNPREHVKAITLRSHCEVENTTDEIVDEYMQEMFNPDTYEGLFDQEEEIKEVMILGLTEEELSTPGILKKVLWKMKRAKRRHQKHSKTVGSVREPNGEPFLGACTRSCGQPCDQCTGVGIFRTPVWISAD >DRNTG_10632.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:256104:258624:1 gene:DRNTG_10632 transcript:DRNTG_10632.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYSRHSFHRYGSGSSSFLVQQHSASPSRSM >DRNTG_01835.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:17394939:17396918:1 gene:DRNTG_01835 transcript:DRNTG_01835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRNWEVIQVEDCSRALQQHYSMYCSSTVHSRPRNQRNRESTRPLEELVKEYITRIQGQSCELDNVIKQFEESTSVSMSDQLEESVERILARFDSSYQDQKQELFSVGMDTLISIVD >DRNTG_18056.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:85670:88323:-1 gene:DRNTG_18056 transcript:DRNTG_18056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEMITAVVHPTAEDSDGDAYLFPHDDVDDDDALPAIGMSRLSLETSDGDGYLFQRDDKDGALPTIRMSRLSIETSDGEVADGELSDKDPSKQLLHDDDDDDDDEDDLSPLNFAGFGSLPGTPTRRRRGRRDKEYRSDGEARGRRREQRRGRDWWVEREWERRRRREQGIAVDEGECQLLVRRKGRPGCICMDIDEVKACRELGIELQPCDWTVGFSGSAVDTSSGGNSPIANLRISSPGDDPKDVKARLKMWAHAVALASSTSFSG >DRNTG_18056.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:85977:88256:-1 gene:DRNTG_18056 transcript:DRNTG_18056.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANEMITAVVHPTAEDSDGDAYLFPHDDVDDDDALPAIGMSRLSLETSDGDGYLFQRDDKDGALPTIRMSRLSIETSDGEVADGELSDKDPSKQLLHDDDDDDDDEDDLSPLNFAGFGSLPGTPTRRRRGRRDKEYRSDGEARGRRREQRRGRDWWVEREWERRRRREQGIAVDEGECQLLVRRKGRPGCICMDIDEVKACRELGIELQPCDWTVGFSGSAVDTSSGGNSPIANLRISSPGDDPKDVKARLKMWAHAVALASSTSFSG >DRNTG_18056.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:86143:88323:-1 gene:DRNTG_18056 transcript:DRNTG_18056.5 gene_biotype:protein_coding transcript_biotype:protein_coding MANEMITAVVHPTAEDSDGDAYLFPHDDVDDDDALPAIGMSRLSLETSDGDGYLFQRDDKDGALPTIRMSRLSIETSDGEVADGELSDKDPSKQLLHDDDDDDDDEDDLSPLNFAGFGSLPGTPTRRRRGRRDKEYRSDGEARGRRREQRRGRDWWVEREWERRRRREQGIAVDEGECQLLVRRKGRPGCICMDIDEVKACRELGIELQPCDWTVGFSGSAVDTSSGGNSPIANLRISSPGDDPKDVKARLKMWAHAVALASSTSFSG >DRNTG_18056.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:86143:88256:-1 gene:DRNTG_18056 transcript:DRNTG_18056.6 gene_biotype:protein_coding transcript_biotype:protein_coding MANEMITAVVHPTAEDSDGDAYLFPHDDVDDDDALPAIGMSRLSLETSDGDGYLFQRDDKDGALPTIRMSRLSIETSDGEVADGELSDKDPSKQLLHDDDDDDDDEDDLSPLNFAGFGSLPGTPTRRRRGRRDKEYRSDGEARGRRREQRRGRDWWVEREWERRRRREQGIAVDEGECQLLVRRKGRPGCICMDIDEVKACRELGIELQPCDWTVGFSGSAVDTSSGGNSPIANLRISSPGDDPKDVKARLKMWAHAVALASSTSFSG >DRNTG_18056.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:85977:88323:-1 gene:DRNTG_18056 transcript:DRNTG_18056.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANEMITAVVHPTAEDSDGDAYLFPHDDVDDDDALPAIGMSRLSLETSDGDGYLFQRDDKDGALPTIRMSRLSIETSDGEVADGELSDKDPSKQLLHDDDDDDDDEDDLSPLNFAGFGSLPGTPTRRRRGRRDKEYRSDGEARGRRREQRRGRDWWVEREWERRRRREQGIAVDEGECQLLVRRKGRPGCICMDIDEVKACRELGIELQPCDWTVGFSGSAVDTSSGGNSPIANLRISSPGDDPKDVKARLKMWAHAVALASSTSFSG >DRNTG_18056.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:85670:88256:-1 gene:DRNTG_18056 transcript:DRNTG_18056.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANEMITAVVHPTAEDSDGDAYLFPHDDVDDDDALPAIGMSRLSLETSDGDGYLFQRDDKDGALPTIRMSRLSIETSDGEVADGELSDKDPSKQLLHDDDDDDDDEDDLSPLNFAGFGSLPGTPTRRRRGRRDKEYRSDGEARGRRREQRRGRDWWVEREWERRRRREQGIAVDEGECQLLVRRKGRPGCICMDIDEVKACRELGIELQPCDWTVGFSGSAVDTSSGGNSPIANLRISSPGDDPKDVKARLKMWAHAVALASSTSFSG >DRNTG_18486.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29804901:29805936:1 gene:DRNTG_18486 transcript:DRNTG_18486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATGKTRLILSIYLQTTLGSMLMKRSAGLKPRRSRAGRVQARSKHQKTPTTNN >DRNTG_05650.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18006447:18012855:1 gene:DRNTG_05650 transcript:DRNTG_05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSMYRHLTRALQTSAQRGSVKALPFALDSRCAGFSTRVDKMMKNGPEQITRLLFCGLEFPASHTYTIEYLKKYPFIQVDVLPRGDVPNAIHEYHACVVKMCQLDENVISRAVQMKLILQFGVGLEGVDVKAATKHNIKVARIPGQTCGNSASCAEMAIYLMLGLLRKKTEMEAAIKQKILGQPIGDTLQGKTVFIMGFGAIGADLAKRLRPFGVKILATKRKWVDSPQLNGSTDDASNLISQSDATDDLVDKKGTTKDNYEFRR >DRNTG_05650.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18006447:18012855:1 gene:DRNTG_05650 transcript:DRNTG_05650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNGPEQITRLLFCGLEFPASHTYTIEYLKKYPFIQVDVLPRGDVPNAIHEYHACVVKMCQLDENVISRAVQMKLILQFGVGLEGVDVKAATKHNIKVARIPGQTCGNSASCAEMAIYLMLGLLRKKTEMEAAIKQKILGQPIGDTLQGKTVFIMGFGAIGADLAKRLRPFGVKILATKRKWVDSPQLNGSTDDASNLISQSDATDDLVDKKGTTKDNYEFRR >DRNTG_26975.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001372.1:34857:35269:1 gene:DRNTG_26975 transcript:DRNTG_26975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLLMVAIKVEGLIPIVEESMVVIVDRRGCYMSYVAQRLLEGLKSYPRIYEVSEVFVARITLMCNVGGILSGDAIHVSRELIPMLKAVDAIWL >DRNTG_17444.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:11026571:11029216:1 gene:DRNTG_17444 transcript:DRNTG_17444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSNKESRSQRLTRLSRGQEEEAMARGKKRRLDSITAGDH >DRNTG_23056.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9725920:9730317:1 gene:DRNTG_23056 transcript:DRNTG_23056.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLLDQAYEYGKMGNSLMRILKVAAFAVSGYSSSDGRLCKPFNPLLGETYEADFPEKGIRFFSEKVSHHPMLIACHCEGKHWKFWGDSNLRSKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCGHHGTMNIQGNRQYSCKLKFKEQSLLDRNPRQVQGIVLDKAQNKAATLVGKWDDSMYYTLDENLPKITGSHPVNDPFLLWKRNDPPANPTRYKLSSFAITLNELTSDLQDKLPPTDSRLRPDQRHLENGEYEKANSEKLRLETRQRMSRKLQENGWKPRWFQKDNEDGTYRYVGGYWEAREQKNWDGCPNIFGEFLDQVDDHTKGTNNR >DRNTG_23056.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9727523:9730317:1 gene:DRNTG_23056 transcript:DRNTG_23056.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRILKVAAFAVSGYSSSDGRLCKPFNPLLGETYEADFPEKGIRFFSEKVSHHPMLIACHCEGKHWKFWGDSNLRSKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCGHHGTMNIQGNRQYSCKLKFKEQSLLDRNPRQVQGIVLDKAQNKAATLVGKWDDSMYYTLDENLPKITGSHPVNDPFLLWKRNDPPANPTRYKLSSFAITLNELTSDLQDKLPPTDSRLRPDQRHLENGEYEKANSEKLRLETRQRMSRKLQENGWKPRWFQKDNEDGTYRYVGGYWEAREQKNWDGCPNIFGEFLDQVDDHTKGTNNR >DRNTG_23056.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9720887:9726486:1 gene:DRNTG_23056 transcript:DRNTG_23056.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRDLHPLCCISIDCSGIHAGECSPLPPLPRSHSCAAASEVSVAGVLYKWTNYGKGWRPRLFSLRNGILSYSKIRRRDDLLLGSASSSDVRVIGHSSARLLAGAGGGAKPKKPAGIVHLKISSFRESQSDDRRFYVFSPTKTLHLRTDSKADRKAWIEALVLARSVHPLRTLSEKASFLLGDVSFSTEKLRDRMQMEGFDEAVVNDCEQIMLSEFSEFQRQLKLRYEEHLNFLGAFQQQLEEVNAVDEMGTGHDSHLQLFKREFSSSRNGKYSEYSTTESSDDIEKQELYELSDEDEPIYFDTEDCFKDSSIPCISIEKVSEDGNQSSRHKSYSNDVKTIKVETPVADFPCIERRRKLPEPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLLDQAYEYGKMVRSYLQVCFTLDFTNLWITFCFQNILTFLNTLVYDIFF >DRNTG_23056.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9721747:9730317:1 gene:DRNTG_23056 transcript:DRNTG_23056.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEGFDEAVVNDCEQIMLSEFSEFQRQLKLRYEEHLNFLGAFQQQLEEVNAVDEMGTGHDSHLQLFKREFSSSRNGKYSEYSTTESSDDIEKQELYELSDEDEPIYFDTEDCFKDSSIPCISIEKVSEDGNQSSRHKSYSNDVKTIKVETPVADFPCIERRRKLPEPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLLDQAYEYGKMGNSLMRILKVAAFAVSGYSSSDGRLCKPFNPLLGETYEADFPEKGIRFFSEKVSHHPMLIACHCEGKHWKFWGDSNLRSKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCGHHGTMNIQGNRQYSCKLKFKEQSLLDRNPRQVQGIVLDKAQNKAATLVGKWDDSMYYTLDENLPKITGSHPVNDPFLLWKRNDPPANPTRYKLSSFAITLNELTSDLQDKLPPTDSRLRPDQRHLENGEYEKANSEKLRLETRQRMSRKLQENGWKPRWFQKDNEDGTYRYVGGYWEAREQKNWDGCPNIFGEFLDQVDDHTKGTNNR >DRNTG_23056.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9721747:9726486:1 gene:DRNTG_23056 transcript:DRNTG_23056.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEGFDEAVVNDCEQIMLSEFSEFQRQLKLRYEEHLNFLGAFQQQLEEVNAVDEMGTGHDSHLQLFKREFSSSRNGKYSEYSTTESSDDIEKQELYELSDEDEPIYFDTEDCFKDSSIPCISIEKVSEDGNQSSRHKSYSNDVKTIKVETPVADFPCIERRRKLPEPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLLDQAYEYGKMVRSYLQVCFTLDFTNLWITFCFQNILTFLNTLVYDIFF >DRNTG_23056.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9720887:9730317:1 gene:DRNTG_23056 transcript:DRNTG_23056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRDLHPLCCISIDCSGIHAGECSPLPPLPRSHSCAAASEVSVAGVLYKWTNYGKGWRPRLFSLRNGILSYSKIRRRDDLLLGSASSSDVRVIGHSSARLLAGAGGGAKPKKPAGIVHLKISSFRESQSDDRRFYVFSPTKTLHLRTDSKADRKAWIEALVLARSVHPLRTLSEKASFLLGDVSFSTEKLRDRMQMEGFDEAVVNDCEQIMLSEFSEFQRQLKLRYEEHLNFLGAFQQQLEEVNAVDEMGTGHDSHLQLFKREFSSSRNGKYSEYSTTESSDDIEKQELYELSDEDEPIYFDTEDCFKDSSIPCISIEKVSEDGNQSSRHKSYSNDVKTIKVETPVADFPCIERRRKLPEPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLLDQAYEYGKMGNSLMRILKVAAFAVSGYSSSDGRLCKPFNPLLGETYEADFPEKGIRFFSEKVSHHPMLIACHCEGKHWKFWGDSNLRSKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCGHHGTMNIQGNRQYSCKLKFKEQSLLDRNPRQVQGIVLDKAQNKAATLVGKWDDSMYYTLDENLPKITGSHPVNDPFLLWKRNDPPANPTRYKLSSFAITLNELTSDLQDKLPPTDSRLRPDQRHLENGEYEKANSEKLRLETRQRMSRKLQENGWKPRWFQKDNEDGTYRYVGGYWEAREQKNWDGCPNIFGEFLDQVDDHTKGTNNR >DRNTG_02922.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4320393:4326857:-1 gene:DRNTG_02922 transcript:DRNTG_02922.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPRLRTTYLSHLLGLQSCWEPHQRTPPLARCADAERGGAQEGHAAHR >DRNTG_02922.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4322285:4326857:-1 gene:DRNTG_02922 transcript:DRNTG_02922.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPRLRTTYLSHLLGLQSCWEPHQRTPPLARCADAERGGAQEGHAAHR >DRNTG_02922.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4324462:4326857:-1 gene:DRNTG_02922 transcript:DRNTG_02922.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPRLRTTYLSHLLGLQSCWEPHQRTPPLARCADAERGGAQEGHAAHR >DRNTG_17527.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28955110:28957943:1 gene:DRNTG_17527 transcript:DRNTG_17527.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 53 [Source:Projected from Arabidopsis thaliana (AT2G38510) UniProtKB/Swiss-Prot;Acc:Q9ZVH5] MIYSANMCTNKKMKPPHCVEEDEERNTSDGEEPKLQNGSILWPCIGEVVEELTSLAQIVWPIIVTSFLLYSKSVISMIFLGRLGEAELAGGSLSIAFANITGYSVLKGLAMGMEPICGQAYGAKRWAILSLTFQKTLLLLLLAAIPITFLWLYMEPILVHLGQDSYITSIAKNYIVFSLPDLFAQALLHPLRIFLRTQSLTGPLTLAATFALLLHVPINYVLISYLGYGIKGVALASGLNTMIINVGLVLYLMYSSKALKPWSGLSLECLKGWSRLVALAAPSAASVCLEWWWYEVMLILCGLLGDPQASVAAMGVLIQTTGLIYVLPSSLSIGISIRVSHELGAHRPGRAQQATRVGVALAVAFGISAFVFAGSVRGVWGKMFTGDLEILRLTEMALPIVGLCELGNCPQTAGCGVLRGSARPTVGANINFGSFYLIGLPVAA >DRNTG_26418.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23908374:23909902:-1 gene:DRNTG_26418 transcript:DRNTG_26418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLDTQPKGSVVYVSFGSFTVMAMDELLEFWHGFVNSGHPFLWVIRPDLVDGVESSTSTKVLFEKTIKLRVFIVEWAPQEEVLAHPAVGCFLTHSGWNSTLDSVVVGVPMICWPFFADQQINSRFVSEVWGIGLDMKDMTGREVVEIMVRRVMEGEQGKELKQSALVMAELAKRSVQEDGSSYLQFQSLLDYINSL >DRNTG_12981.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24828743:24834479:1 gene:DRNTG_12981 transcript:DRNTG_12981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNITRAMEDGGSAGMLVVITGASHVMYGSRGIGIPARMSKKLQKKNQVVILLDPERQDIRREGEVPIADFLWYSAAKPCNRNCFDRAEIARVMNAAGREREALPQDLQKGLDLGVVSPEILQNFFDLEKYPFIAELIHRFQGFRERLLVDPKFLNRLAIEEAISITTTLLAQYERRKGRFFDEIDYVITDTIRGTVVDFFTVWLPAPTLSFLTYANDNPTSENFELFKGLLGSFPDNAFQKSIIGKDWDLSQRFAAVILGGLKLAGVGFVSGIGAGITTDVLYSIRRLLNPTSTADGGRKRAPIVKSAVIYSCFLGTSANLRYQIIAGLVEHRLSDNLLSFPNGALLVNMLSFVVRTINSYWGTQQWVDLARFTGLQQRKVESVCDQISDPPNTPLLNCPGPSAKAENAEDGSNEPDGTPT >DRNTG_12981.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24830429:24836076:1 gene:DRNTG_12981 transcript:DRNTG_12981.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDAAVNKVLIKWALQRETSVIPKSTHAERIHENIQVFGWEIPEGDFKVLSSISDQRRVLDGEDLFVNKELLRVFDQTYHWSHWKCREGSWMEKICCSPGPCTCLQPPAHSPLPPKTVELSIELGFTSSQNYLNVVFHLEFTFTVCLYFIFRLLWLYELCCFSGTHISCLFKCLNELMK >DRNTG_12981.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24827250:24832444:1 gene:DRNTG_12981 transcript:DRNTG_12981.3 gene_biotype:protein_coding transcript_biotype:protein_coding MITHGLTQQIGNFKPLYFVSSPRLPLRLENHSPRHFSPRNTLPFPLLASLRRDSSFDAEAQHEPRRILSGSRREVLLLPSLAAAAGLLHSISAARAEEKPPQPSSDTAVVDTREEKKEKSEEGEAAIVSRVYDATVIGEPLAVGKDKRRVWEKLMGARIVYLGEAELVPDRDDRVLELEILKNLRSRCLEQQRSISVALEAFPCDLQEQLNQFMDGRIDSKSLRSYTSHWPPERWQEYEPLLNYCLQNDVKLVACGTPLQILRNVQAEGIRVFSKSERKLYAPPAGSGFISGFTSISGRSSIDKILSKQSTSFGPSSYLSAQARVVDEYTMSQNITRAMEDGGSAGMLVVITGASHVMYGSRGIGIPARMSKKLQKKNQVVILLDPERQDIRREGEVPIADFLWYSAAKPCNRNCFDRAEIARVMNAAGREREALPQDLQKGLDLGVVSPEILQNFFDLEKYPFIAELIHRFQGFRERLLVDPKFLNRLAIEEAISITTTLLAQYERRKGRFFDEIDYVITDTIRGTVVDFFTVWLPAPTLSFLTYANDNPTSENFELFKGLLGSFPDNAFQKSIIGKDWDLSQRFAAVILGGLKLAGVGFVSGIGAGITTDVLYSIRRLLNPTSTADGGRKRAPIVKSAVIYSCFLGTSANLRYQIIAGLVEHRLSDNLLSFPNGALLVNMLSFVVRTINSYWGTQQWVDLARFTGLQQRKVESVCDQISDPPNTPLLNCPGPSAKAENAEDGSNEPDGTPT >DRNTG_28867.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22160120:22162479:1 gene:DRNTG_28867 transcript:DRNTG_28867.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQASAGGCFDCNICLDFAVDPVVTLCGHLYCWPCIYKWLQVQAEEVEQQCPVCKATLSENTLVPLYGRGHYTTDGKQSNSQVPQRRPAVKYDNSDIQHLVHHHQHQRRQYLESHIDTYNGNLHSGSAENRVMSSRDVLGGIASAVVPWAFRGQAPGMYNFSMNHQTGNVEDLRLRQHEMESEKSLYQIWIFLSCCALLCLILF >DRNTG_17170.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14829336:14845687:1 gene:DRNTG_17170 transcript:DRNTG_17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSKAKVKGSQDHEWIRRGYLYHHAEKLGVGSPLCQIKPKKSRFTPICDFLVLNAIVGTWISMKFLSMFYPDEAKQLLESMASNESHWASRRSSQKTAGIYEVSSNDALVAKVDVLTRKLDLLMGSGSSTDARFNSLTSSIDAQFGNVNAQLTQHAKQFSEIGCVLRNLQTSVKSLEHRVGELAKANSERPFGCFPSNTEDNPREHLKAIALRRRRQVETRVEEVFLINPLDEYLGELENEEQEEPHYHPQIQNLKQPKEKVSCINAKEKEKKRIICEDNVEGDSWEKKERYQASSPHLSRRKAFGRTHTMHHLDFAKYMEIYDVEFINSIHGDRLKLNLPSDVSRSRYWATLGGSDQTRKASRMIDPAHRYIHALIARFIWGRADSKGVVTQSDLYMMYGIFQQHPNHLGHLVADAFLHQGSRCSTPWEGPHISNWLGGKPTRPRATSESSQEIEGEIQVVRQEQCEIRGLFHQIIEGQCRLEEDFHCFITSYYGSSLHAVTTSSVAMPPPPAPDCFLVENWKPRGVSIRLRAKERKSEHSLVERATFRRIHFKDFIAWRLKTTGSHPFEWCPQKAFSGDSSVIRSSPFEGVFLMYISYDMSGSANFAEPDNEIERTLHRRLREAEESSRQQGICVEQLEARERGIPSASNNGVTIRKTLILVTMQARRMGGKLLKTPPILTFQRGMNSSQWYRTPQDSNKIKMELALAISAQIRILESQFTAGRETREIENPHGRVEIIHAHVEFPHGRVEHPRPCSRPIPALFKADSAPILVFFSPSFPQLERGLWLGCRGVLAKVLERFYGSDVFIPYEEDTTDELVDEYMQEMLNPDPYEGLFDQEESNEEVMMFGSTEEVTSTPGIVKKVLRKMKRARRCHRKPSKTVGDSWADISENTQGRGLAPVSNLVNHARAWVISARPCETLKMSSLYPEKTQRCAAAPGSHRGRVAAPVGRGHGRGYCLQAVREKSESKEHFPKRAQGRAHAPVVLLNVAVWFSRPVDPQGHAEISHDRVDFCSIGLSTCLINFVKILQIMVPRSKKQADKRPRESSPELDGMRFAIPEHQGVEFANEIEDLVSKCGWRQLLTIKEPAIREFALEAFTDTEEYAQLPTDYPGTLTPQRAYRVLYGQGQYEPWVSKATCLSRPAYRYLHAIMSRSVTGRGDSTGVLSRQEILYLYSMVQRVPIDLRPILAEYIRHQGYYARLGAIFSGPTLRD >DRNTG_15009.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23875270:23878831:1 gene:DRNTG_15009 transcript:DRNTG_15009.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRKKLTFFYSGRPPGFSTSNLPIHESSSTSSLPSSPSTSLADTSLELCSARSLSSRLLTQKIIKLINNFPHITSDAKSIISLTLIILIKEN >DRNTG_15009.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23876777:23878831:1 gene:DRNTG_15009 transcript:DRNTG_15009.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLLFFYSGRPPGFSTSNLPIHESSSTSSLPSSPSTSLADTSLELCSARSLSSRLLTQKIIKLINNFPHITSDAKSIISLTLIILIKEN >DRNTG_15009.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23877740:23878831:1 gene:DRNTG_15009 transcript:DRNTG_15009.3 gene_biotype:protein_coding transcript_biotype:protein_coding SRKKLTFFYSGRPPGFSTSNLPIHESSSTSSLPSSPSTSLADTSLELCSARSLSSRLLTQKIIKLINNFPHITSDAKSIISLTLIILIKEN >DRNTG_06732.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000296.1:18190:20531:1 gene:DRNTG_06732 transcript:DRNTG_06732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRSKKQADKRPRELSPEHEGMSFMIPEHQVRYEPLSRLRFGQTRFLDTNIMRDLQQGDELADKVEDLISGGHIMAEYIRHQGHYARLGAIFSGPYITRLVLNMGLLDSIRGTEKTSIPTPLSLETLRLMGMVRESSDEGFILWCYQLER >DRNTG_29913.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001516.1:31215:39130:1 gene:DRNTG_29913 transcript:DRNTG_29913.1 gene_biotype:protein_coding transcript_biotype:protein_coding QASCFFAYAVEDALAHPYFASLHDTSDEPVCMKPFSFDFEKHVLTGQHVKELIYQEALALNPEYQT >DRNTG_20950.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001178.1:6278:7102:1 gene:DRNTG_20950 transcript:DRNTG_20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGGGEMTKMKRRRRRRRSRCHGDCFFFLSSIYSSFFLLFQDQIIGSLS >DRNTG_23816.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29549183:29552314:-1 gene:DRNTG_23816 transcript:DRNTG_23816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRSIQQLLKSKFQSPPSAFLLLSSSAAKQSHDNVESAGMKSLRALALLGAGVSGILSFGVIASADEAEHGLACPSYPWPHKGILSSYDHASIRRGHQVYQQVCASCHSMSLISFRDLVGVAYTEEETKGMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIRDGLHYNPYFPGGAIAMPQMLIDGAVEYEDGTPATEAQMGKDVVTFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRMKWSIFKSRKLIVDAVN >DRNTG_35329.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1465699:1468758:-1 gene:DRNTG_35329 transcript:DRNTG_35329.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAS4 [Source:Projected from Arabidopsis thaliana (AT1G33390) UniProtKB/TrEMBL;Acc:A0A178WIP4] MFMEHLTAPIIVRVSRPKEVEETRRDLPIVMMEQEIMEAINEHLVVIICGETGCGKTTQVPQFLYEAGFGSKDHRDRKGMIGVTQPRRVAVLATAKRVAFELGLHLGKEVGFQVRHDKMIGNSCSIKFMTDGILLREVQSDFLLKKYSVLILDEAHERSLNTDVLIGMLSRVVRLRQKLYMEQQEKLLSGVKISPENIITRLRLVLMSATLRVEDFVSDRKLFSETPPVLEVAVRQYPVTVHFSKNTKVDYLGLAFKKVMSIHRTLPAGGILVFVTGQREVEFLCKKLRKASEKLTKSSSKLENESHLASDVDMKEINEACEIEGISPFLQTDKFSYDENPHDVEMDCYSSDSELESGSEAEDEDVMEIEGSKKSGSGVDFLEDAESLSSLKAAFEALAGNVSEPNCKENTSLPVASATEGHPETSVMSVGAMHVLPLYAMLPANAQLRVFADVPEGERLVVVATNVAETSLTIPGMKYVVDTGKEKVKNYKYTSGMAAYEVQWISKASAAQRAGRAGRTGPGHCYRLYSSAAFGKDDVFPDFSCPEISKIPVDGVVLLLKSMGIDKVANFPFPTPPKIEALVEAEKCLTALNALDPQGRLTRIGRAMGQYPMSPRHSCMLLTFI >DRNTG_10262.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20039020:20042011:-1 gene:DRNTG_10262 transcript:DRNTG_10262.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TTN5 [Source:Projected from Arabidopsis thaliana (AT2G18390) UniProtKB/TrEMBL;Acc:A0A178VQD7] MGLLSIIRKIKKKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIRTIRYQKYSLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDVRRLADCRMELHNLLKEERLSGASLLVFANKQDIQGALKPEEIVKILNLDAMDKTRHWKIVGCSAYTGEGLLEGFDWLVQDIASRIYMLD >DRNTG_15263.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4408403:4409080:-1 gene:DRNTG_15263 transcript:DRNTG_15263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVSSADVVCGSENTQTLIESSHKTNKKETHKIGNPIRRPLVYVWGPSPEINNLLKETADLTEFWLRCKRPQDISTSWSCVVHVDAARSPNPDYQQLAYLLSSSPRSPLTRGTHPYASSQNLPNLIFNSPKFGLQIFP >DRNTG_05574.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000256.1:20577:20887:-1 gene:DRNTG_05574 transcript:DRNTG_05574.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEMQQMKEEHDQERAQRDQERAQRDQERAQYNALLGFLQNQFPRVTIPGVNEAGSTSQSQNQFSGD >DRNTG_33306.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23724941:23732079:1 gene:DRNTG_33306 transcript:DRNTG_33306.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAGQQKKRLASSNLHEKCRWKKKKKKRDSSDYMLNFKSRVHLEWDERLKKALAKSEQIGVAWRDMAPFIDGAPRFHLAVADVFSVPREIFNLDNLTELLSYEVWATCLSESEKELLKQLLPSGMGAEQVVHSLLAGENHHFGNPFLKWSSSLCSGDLHPDNVLRVERQCRDDKVSYYRELNKYHHDMIEILKGWKEIWDSSEDPEKLHRKWLGKHTQEGLLVSAEGMRNALNINKIPHEVCFTEDNASKYMSYIKISKKQLQLVKNLKQNGEGIPPKSLHRVLGDIKDFVVEPYEVYEEGEKKKLHDLWSRLANEDVSEAFDEWEDRKLQREKWGRDLKHELSESNKLMEVEVEKTKLETSLESQVDNEESKHLPTMDMLDQEDVSSPSNSPQDHGLKLVPSLNNPVELNRESAMEPRDQEALGQGASKPAETSPFYSNFVENTTEDIVKQKDQKSLRECMLKPPGSSLSVPFVAKRNFAENLMEQVPVSVRTTQDSYYKSASRSREHPTVGGLSLRQPQPLENHLPSQMIDLENNVRKQEMREADVSFRAYVNQERTDLLPPLLGGPQMLSSYPQQHINGLEQPGLQFLMANDNLSGSTRFSHQFQEQQQLMEQRQAREKELYLQQMMTKNIYSNGRHPIERHFPSLGPQSLAALPPSLNGGIRRHNWYSDEHLASNGWPGLDSSSSVQSLGDGVATDGSLFSVLSECSKLPSRESFDDNRSSEQFVQVRHSVGDGGITGDGNIFGYTPRQPSSSTSHETVVVNPTASSLNNMQWMNFPNQNQRSWNQ >DRNTG_33306.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23726970:23732079:1 gene:DRNTG_33306 transcript:DRNTG_33306.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVEKTKLETSLESQVDNEESKHLPTMDMLDQEDVSSPSNSPQDHGLKLVPSLNNPVELNRESAMEPRDQEALGQGASKPAETSPFYSNFVENTTEDIVKQKDQKSLRECMLKPPGSSLSVPFVAKRNFAENLMEQVPVSVRTTQDSYYKSASRSREHPTVGGLSLRQPQPLENHLPSQMIDLENNVRKQEMREADVSFRAYVNQERTDLLPPLLGGPQMLSSYPQQHINGLEQPGLQFLMANDNLSGSTRFSHQFQEQQQLMEQRQAREKELYLQQMMTKNIYSNGRHPIERHFPSLGPQSLAALPPSLNGGIRRHNWYSDEHLASNGWPGLDSSSSVQSLGDGVATDGSLFSVLSECSKLPSRESFDDNRSSEQFVQVRHSVGDGGITGDGNIFGYTPRQPSSSTSHETVVVNPTASSLNNMQWMNFPNQNQRSWNQ >DRNTG_33306.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23726970:23732079:1 gene:DRNTG_33306 transcript:DRNTG_33306.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGIVVLEMCFLKFWSGVQASYCFNRFLSMVVAVNCAFCFCRKWLGKHTQEGLLVSAEGMRNALNINKIPHEVCFTEDNASKYMSYIKISKKQLQLVKNLKQNGEGIPPKSLHRVLGDIKDFVVEPYEVYEEGEKKKLHDLWSRLANEDVSEAFDEWEDRKLQREKWGRDLKHELSESNKLMEVEVEKTKLETSLESQVDNEESKHLPTMDMLDQEDVSSPSNSPQDHGLKLVPSLNNPVELNRESAMEPRDQEALGQGASKPAETSPFYSNFVENTTEDIVKQKDQKSLRECMLKPPGSSLSVPFVAKRNFAENLMEQVPVSVRTTQDSYYKSASRSREHPTVGGLSLRQPQPLENHLPSQMIDLENNVRKQEMREADVSFRAYVNQERTDLLPPLLGGPQMLSSYPQQHINGLEQPGLQFLMANDNLSGSTRFSHQFQEQQQLMEQRQAREKELYLQQMMTKNIYSNGRHPIERHFPSLGPQSLAALPPSLNGGIRRHNWYSDEHLASNGWPGLDSSSSVQSLGDGVATDGSLFSVLSECSKLPSRESFDDNRSSEQFVQVRHSVGDGGITGDGNIFGYTPRQPSSSTSHETVVVNPTASSLNNMQWMNFPNQNQRSWNQ >DRNTG_33306.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23724941:23732079:1 gene:DRNTG_33306 transcript:DRNTG_33306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEILKGWKEIWDSSEDPEKLHRKWLGKHTQEGLLVSAEGMRNALNINKIPHEVCFTEDNASKYMSYIKISKKQLQLVKNLKQNGEGIPPKSLHRVLGDIKDFVVEPYEVYEEGEKKKLHDLWSRLANEDVSEAFDEWEDRKLQREKWGRDLKHELSESNKLMEVEVEKTKLETSLESQVDNEESKHLPTMDMLDQEDVSSPSNSPQDHGLKLVPSLNNPVELNRESAMEPRDQEALGQGASKPAETSPFYSNFVENTTEDIVKQKDQKSLRECMLKPPGSSLSVPFVAKRNFAENLMEQVPVSVRTTQDSYYKSASRSREHPTVGGLSLRQPQPLENHLPSQMIDLENNVRKQEMREADVSFRAYVNQERTDLLPPLLGGPQMLSSYPQQHINGLEQPGLQFLMANDNLSGSTRFSHQFQEQQQLMEQRQAREKELYLQQMMTKNIYSNGRHPIERHFPSLGPQSLAALPPSLNGGIRRHNWYSDEHLASNGWPGLDSSSSVQSLGDGVATDGSLFSVLSECSKLPSRESFDDNRSSEQFVQVRHSVGDGGITGDGNIFGYTPRQPSSSTSHETVVVNPTASSLNNMQWMNFPNQNQRSWNQ >DRNTG_33306.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23726970:23732079:1 gene:DRNTG_33306 transcript:DRNTG_33306.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVYIYRSSSLCSGDLHPDNVLRVERQCRDDKVSYYRELNKYHHDMIEILKGWKEIWDSSEDPEKLHRKWLGKHTQEGLLVSAEGMRNALNINKIPHEVCFTEDNASKYMSYIKISKKQLQLVKNLKQNGEGIPPKSLHRVLGDIKDFVVEPYEVYEEGEKKKLHDLWSRLANEDVSEAFDEWEDRKLQREKWGRDLKHELSESNKLMEVEVEKTKLETSLESQVDNEESKHLPTMDMLDQEDVSSPSNSPQDHGLKLVPSLNNPVELNRESAMEPRDQEALGQGASKPAETSPFYSNFVENTTEDIVKQKDQKSLRECMLKPPGSSLSVPFVAKRNFAENLMEQVPVSVRTTQDSYYKSASRSREHPTVGGLSLRQPQPLENHLPSQMIDLENNVRKQEMREADVSFRAYVNQERTDLLPPLLGGPQMLSSYPQQHINGLEQPGLQFLMANDNLSGSTRFSHQFQEQQQLMEQRQAREKELYLQQMMTKNIYSNGRHPIERHFPSLGPQSLAALPPSLNGGIRRHNWYSDEHLASNGWPGLDSSSSVQSLGDGVATDGSLFSVLSECSKLPSRESFDDNRSSEQFVQVRHSVGDGGITGDGNIFGYTPRQPSSSTSHETVVVNPTASSLNNMQWMNFPNQNQRSWNQ >DRNTG_33306.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23724941:23732079:1 gene:DRNTG_33306 transcript:DRNTG_33306.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIEILKGWKEIWDSSEDPEKLHRKWLGKHTQEGLLVSAEGMRNALNINKIPHEVCFTEDNASKYMSYIKISKKQLQLVKNLKQNGEGIPPKSLHRVLGDIKDFVVEPYEVYEEGEKKKLHDLWSRLANEDVSEAFDEWEDRKLQREKWGRDLKHELSESNKLMEVEVEKTKLETSLESQVDNEESKHLPTMDMLDQEDVSSPSNSPQDHGLKLVPSLNNPVELNRESAMEPRDQEALGQGASKPAETSPFYSNFVENTTEDIVKQKDQKSLRECMLKPPGSSLSVPFVAKRNFAENLMEQVPVSVRTTQDSYYKSASRSREHPTVGGLSLRQPQPLENHLPSQMIDLENNVRKQEMREADVSFRAYVNQERTDLLPPLLGGPQMLSSYPQQHINGLEQPGLQFLMANDNLSGSTRFSHQFQEQQQLMEQRQAREKELYLQQMMTKNIYSNGRHPIERHFPSLGPQSLAALPPSLNGGIRRHNWYSDEHLASNGWPGLDSSSSVQSLGDGVATDGSLFSVLSECSKLPSRESFDDNRSSEQFVQVRHSVGDGGITGDGNIFGYTPRQPSSSTSHETVVVNPTASSLNNMQWMNFPNQNQRSWNQ >DRNTG_24342.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:374544:378405:-1 gene:DRNTG_24342 transcript:DRNTG_24342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALSVWNLITLLILSIAVIYCVGALQDNSFPSPPLLVSRIAFGSCAHQSSPQPIWDAIINFDPQLFIHLGDNIYGDNKRPFRMFGKERTIGPWKNMPRFYPSSEQEMLKKYEMAKQVPGYSQLRKKAQVIGTWDDHDYGLNDAGKEFSGRNSNQRLLLDFLDEDEDSPRRKQDGVYASYLFGPKGKQIKVILLDTRYHRDPIGSDGTILGDSQWAWLEKELKGPGSELTIIASSIQVVSNLSATTGPLFGMESWARFPKERKRLFKLITDTKRNGVLFISGDVHFGEITRYDCGTEYSLYDITSSGLTQAVELAVPPPLAFIVRFLAWLTPSTMRIYNQKCRYKSCVYGQRNFGTIEIQWDATPQRMKAEVRDLTGALVTGVDILFSDLQHRNTVSAFDEEKVKHRHCTLETDLPWIVRRRLAFFCFGAIAALLVGLVLVTYMLVRISKKLVTKFKVD >DRNTG_17344.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32113604:32114732:-1 gene:DRNTG_17344 transcript:DRNTG_17344.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPETIGTYRFPGEISTAVSEILLSGTNALDSIFSHLPPAPAPAPPPVTAYTAKPVGSGVYLRQQELLRRFIPEAAMIRRRIEISAGMKKKLYRGVRQRQWGKWVAEIRLPQNRMRVWLGTYDSAESAAYAYDRAAYKLRGEYARLNFPEMAGDCPEKFRELRSAVDAKIQAICLRIGRQRRAKRGRQSEKEKGKEKDEMRATAAEEDKKMTEAVTGEIVVGPRPSSDGQSESYSSISDGGDECSLERIPSFDPELIWEVLAN >DRNTG_17344.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32113604:32114681:-1 gene:DRNTG_17344 transcript:DRNTG_17344.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPETIGTYRFPGEISTAVSEILLSGTNALDSIFSHLPPAPAPAPPPVTAYTAKPVGSGVYLRQQELLRRFIPEAAMIRRRIEISAGMKKKLYRGVRQRQWGKWVAEIRLPQNRMRVWLGTYDSAESAAYAYDRAAYKLRGEYARLNFPEMAGDCPEKFRELRSAVDAKIQAICLRIGRQRRAKRGRQSEKEKGKEKDEMRATAAEEDKKMTEAVTGEIVVGPRPSSDGQSESYSSISDGGDECSLERIPSFDPELIWEVLAN >DRNTG_17344.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32113604:32116505:-1 gene:DRNTG_17344 transcript:DRNTG_17344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPETIGTYRFPGEISTAVSEILLSGTNALDSIFSHLPPAPAPAPPPVTAYTAKPVGSGVYLRQQELLRRFIPEAAMIRRRIEISAGMKKKLYRGVRQRQWGKWVAEIRLPQNRMRVWLGTYDSAESAAYAYDRAAYKLRGEYARLNFPEMAGDCPEKFRELRSAVDAKIQAICLRIGRQRRAKRGRQSEKEKGKEKDEMRATAAEEDKKMTEAVTGEIVVGPRPSSDGQSESYSSISDGGDECSLERIPSFDPELIWEVLAN >DRNTG_17344.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32113535:32114657:-1 gene:DRNTG_17344 transcript:DRNTG_17344.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPETIGTYRFPGEISTAVSEILLSGTNALDSIFSHLPPAPAPAPPPVTAYTAKPVGSGVYLRQQELLRRFIPEAAMIRRRIEISAGMKKKLYRGVRQRQWGKWVAEIRLPQNRMRVWLGTYDSAESAAYAYDRAAYKLRGEYARLNFPEMAGDCPEKFRELRSAVDAKIQAICLRIGRQRRAKRGRQSEKEKGKEKDEMRATAAEEDKKMTEAVTGEIVVGPRPSSDGQSESYSSISDGGDECSLERIPSFDPELIWEVLAN >DRNTG_17344.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32113604:32114657:-1 gene:DRNTG_17344 transcript:DRNTG_17344.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPETIGTYRFPGEISTAVSEILLSGTNALDSIFSHLPPAPAPAPPPVTAYTAKPVGSGVYLRQQELLRRFIPEAAMIRRRIEISAGMKKKLYRGVRQRQWGKWVAEIRLPQNRMRVWLGTYDSAESAAYAYDRAAYKLRGEYARLNFPEMAGDCPEKFRELRSAVDAKIQAICLRIGRQRRAKRGRQSEKEKGKEKDEMRATAAEEDKKMTEAVTGEIVVGPRPSSDGQSESYSSISDGGDECSLERIPSFDPELIWEVLAN >DRNTG_31449.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:756494:758759:-1 gene:DRNTG_31449 transcript:DRNTG_31449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGLSCSRNPTELEFFMAVRAGHLAKVESSLRIYPDILYQTTIYGRLSALHIAAANGRLEVLSLLLSRSVHPDLLNRHRQTPLMLAAMHGKIACVQKLLEAGANILMFDSLLGRTCLHYAAYFGHSDCLQTIISAAHYSPVAGSWGFARFVNVRDGNGMTPLHLAARQRRPNCVHILLDNGALVGAPTGGYRWAGSTPLHLAARGGCLGCVRELLTWGADRLQRDSSGRIPYVIALKCKHGECAALLNPSSAEPLVWPKPLKFISDLSPDTKVLLETALMEANLLREKKILKGTMFSLPSPPHSEVKVDDDTGSEASDTELCCICFEQACTIEVQDCGHQMCAHCTLALCCYKKPNTATLLSSAPVCPFCRSEIARLEVAKTKTAEELDKDTSSKLRRPRRSRNFCEGSSSFKCLSPPVSSFGKLIGHKPADNSDLLDKS >DRNTG_07600.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15175829:15176801:-1 gene:DRNTG_07600 transcript:DRNTG_07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANRSWMYARLNDGLLNPNFLRGINEFIDFAKMHPECMDGIKIKCPCNHRKCQN >DRNTG_11389.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6011016:6011422:1 gene:DRNTG_11389 transcript:DRNTG_11389.1 gene_biotype:protein_coding transcript_biotype:protein_coding NNHSHESSHQANPNASSNLLLSPNEKKVMLFRR >DRNTG_35369.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:28:26012:28227:-1 gene:DRNTG_35369 transcript:DRNTG_35369.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRGFEPDVVSFNIRIDACCKKGRFLDALTVFDEMSKRNLSPTLQTLTTLVYGAGIAGDLPKAQELFDEMFERGLDVDRGAYNALMSCHMRVGNLKGGMAVMDEMVEKGIELDDVSYYTVLSRLKKLEDVCLVYGRMIGNRFVPRMRTVMLLMKVFCNNKRLDLGLQFWDYIVEKGLCLHCHVLDVLVIGLCGGGREDEGYRCFKQVVQSGRQPLDRTYRLLEGLLVKANEFKKLEELRDMMSRFMDLDTK >DRNTG_35369.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:28:26140:28227:-1 gene:DRNTG_35369 transcript:DRNTG_35369.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRGFEPDVVSFNIRIDACCKKGRFLDALTVFDEMSKRNLSPTLQTLTTLVYGAGIAGDLPKAQELFDEMFERGLDVDRGAYNALMSCHMRVGNLKGGMAVMDEMVEKGIELDDVSYYTVLSRLKKLEDVCLVYGRMIGNRFVPRMRTVMLLMKVFCNNKRLDLGLQFWDYIVEKGLCLHCHVLDVLVIGLCGGGREDEGYRCFKQVVQSGRQPLDRTYRLLEGLLVKANEFKKLEELRDMMSRFMDLDTK >DRNTG_35369.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:28:26140:28435:-1 gene:DRNTG_35369 transcript:DRNTG_35369.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEARLVFNRYHASFAPNSSILNTLLLGFKEVGDLTALNLFYNEMIVRGFEPDVVSFNIRIDACCKKGRFLDALTVFDEMSKRNLSPTLQTLTTLVYGAGIAGDLPKAQELFDEMFERGLDVDRGAYNALMSCHMRVGNLKGGMAVMDEMVEKGIELDDVSYYTVLSRLKKLEDVCLVYGRMIGNRFVPRMRTVMLLMKVFCNNKRLDLGLQFWDYIVEKGLCLHCHVLDVLVIGLCGGGREDEGYRCFKQVVQSGRQPLDRTYRLLEGLLVKANEFKKLEELRDMMSRFMDLDTK >DRNTG_08639.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22009962:22012661:1 gene:DRNTG_08639 transcript:DRNTG_08639.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRNMSAGNAVKEAILSKIPTAKVDVMELDLSSNASVRKFAADFNSAGLPLNILINNAGIGFVPFELSQDGIELIFATNHIGPFLLTELLLDKMKATAHKSNIEGRIINVASDSYKYTYRGGIRFDKINDKSGYNSFYSYGQSKLANILHANQLSSILKVLSLHLASMC >DRNTG_12219.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25108514:25109287:1 gene:DRNTG_12219 transcript:DRNTG_12219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLHPMCSSDESKSKLLLFGAVGASYDMPDPCKVPVYLNNNKNNNILTGVMAFVMGIVTVVRVSRRMPNKIVGAALEYAAPVQSADTLVKSQMLQQQQQQMFSRVVSPAEFSAVVKRLADMEEKMTNVSMKPAEMPLDKEEMLNAAVSRVEALEAELSTTKKLLEDALARQQEVLAYIDNKKKKKKNKLVIETQDIAIKIRFVGRKVGKLTV >DRNTG_06210.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26270296:26275804:-1 gene:DRNTG_06210 transcript:DRNTG_06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase STN7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68830) UniProtKB/Swiss-Prot;Acc:Q9S713] MATAGAAGVQLTSYSSFLAAGLNKLQRKRTLSPSNGRKPAATTIKALAWGTSGLDDLFLGVGVGLPCTVMECGDIIYRSTLPRSSGLTVTAPGVALALAAVSYLWATPGVAPGFWDMFVLAFFERLFRPTYRKDDFVLGKKLGEGAFGVVYKATFAKNKASPKQGDLVVKKATEYGAVEIWMNERVRRACASSCADFVYGFLESSAKGKGSDEYWLVWRFEGEETLAELIQSKEFPYNVETKILGEVQDLPKGLERENKIIQTIMRQVLFALDGLHSTGIVHRDMKPQNVIFSEGSRTFKIIDLGAATDLRVGINYIPKEFLLDPRYSAPEQYIMSTQTPSAPSAPVATALSPVLWQMNLPDRFDMYSVGLIFLQMAFPPLRSDNGLIQFNRQLKRCGYDMAAWRNTVEPRASPELRKGFELLDLDGGIGWELLTSIVRYKAGQRTSAKAALAHPYFKGEGLMGLSFMQNLRLQLFRATQKDYSEAVKWIIRLMARSGTPTDGGFTEAQLQELKEMEPKKSSARRNVLASVLRLQRKVLRTLNESMDELNRQRKSLWWSRWIPREE >DRNTG_06210.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26270296:26275804:-1 gene:DRNTG_06210 transcript:DRNTG_06210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase STN7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68830) UniProtKB/Swiss-Prot;Acc:Q9S713] MATAGAAGVQLTSYSSFLAAGLNKLQRKRTLSPSNGRKPAATTIKALAWGTSGLDDLFLGVGVGLPCTVMECGDIIYRSTLPRSSGLTVTAPGVALALAAVSYLWATPGVAPGFWDMFVLAFFERLFRPTYRKDDFVLGKKLGEGAFGVVYKATFAKNKASPKQGDLVVKKATEYGAVEIWMNERVRRACASSCADFVYGFLESSAKGKGSDEYWLVWRFEGEETLAELIQSKEFPYNVETKILGEVQDLPKGLERENKIIQTIMRQVLFALDGLHSTGIVHRDMKPQNVIFSEGSRTFKIIDLGAATDLRVGINYIPKEFLLDPR >DRNTG_24609.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1290903:1293674:-1 gene:DRNTG_24609 transcript:DRNTG_24609.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLRQACDHPILVKGFQSNAVGKDSIDIARQLPREMQINLLAHLEGSLAICGVCSDPPEDGIVTMCGHVFCYQCIADRLTGDDNFCPAPGCKDMLGSDLVFSRETLKSCISGELTGSTSASNTHDDESSITQSSFISSKIRAALEILNSISNPYSKFASEENDFFPGHLCSNTEPPVKAIVFSQWTRMLDLLEMSLNQSLIQYRRLDGTMSLLARDRAVKEFNTDPEVTVMIMSLKAGNLGLNMVAASHVILLDLWWNPTTEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEEKRNMVSSAFGEDHSGGHATRLTVDDLRYLFMV >DRNTG_24609.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1290903:1297226:-1 gene:DRNTG_24609 transcript:DRNTG_24609.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKPGSSSQLVNSSDVVNRIPLGEERRIEHDERIIYQEALQNLNQPKMEDDLPEGLLTVSLLKHQKIALAWMVQKEKSVLCAGGILADDQGLGKTISMIALVQKQMSLQAKFTSDDSNNMKSEALNLDEDDDSTIELDKGKDIEKDDNKKPVADVSTSRNWRPAGGTLVVCPASVLRQWARELDEKVTSDAKLSVLIYHGGARTKNPCELAKHNVVLTTYSIVTNEVPKQPLVDDDDGDLKNLEKAEISQEFSNKKRKQPSNTGSKSKKKGKGGKNSNLDYDSGPLARVRWFRVILDEAQTIKNHRTQVSRACCGLRAKRRWCLSGTPIQNSIDDLYSYFKFLKYDPYAVYSSFCSSIKYPISRNASHGYKKLQAVLRTVLLRRTKGTILNGEPIVKLPPKTVCLKKVDFSSEERAFYLRLEADSRKQFKAYAAAGTVKQNYANILFMLLRLRQACDHPILVKGFQSNAVGKDSIDIARQLPREMQINLLAHLEGSLAICGVCSDPPEDGIVTMCGHVFCYQCIADRLTGDDNFCPAPGCKDMLGSDLVFSRETLKSCISGELTGSTSASNTHDDESSITQSSFISSKIRAALEILNSISNPYSKFASEENDFFPGHLCSNTEPPVKAIVFSQWTRMLDLLEMSLNQSLIQYRRLDGTMSLLARDRAVKEFNTDPEVTVMIMSLKAGNLGLNMVAASHVILLDLWWNPTTEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEEKRNMVSSAFGEDHSGGHATRLTVDDLRYLFMV >DRNTG_24609.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1290903:1301067:-1 gene:DRNTG_24609 transcript:DRNTG_24609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIQIDSSDSDDDFGGYGRGESSYVSQEGFSHVPRLNDHVEISLWNEQEPDGTYSDKWGVDTRDPSQNKQRILPSSFQPLVSKNLPRYSNSHEGTSYVPQNEVPRNNGSNRSPMVEDITDNRHGIHSEQQLNVGKRTLPNSLQPTRPRPALEVSHSSMGTNVVGHKPYVDDGRTIDDFHMRESNGKHRHLPPSIINGANSANGSSMLSHSSFRNEKFLPNSMPKSMKEIRDDNYIQENVGTSRILPSSFMYGKPGSSSQLVNSSDVVNRIPLGEERRIEHDERIIYQEALQNLNQPKMEDDLPEGLLTVSLLKHQKIALAWMVQKEKSVLCAGGILADDQGLGKTISMIALVQKQMSLQAKFTSDDSNNMKSEALNLDEDDDSTIELDKGKDIEKDDNKKPVADVSTSRNWRPAGGTLVVCPASVLRQWARELDEKVTSDAKLSVLIYHGGARTKNPCELAKHNVVLTTYSIVTNEVPKQPLVDDDDGDLKNLEKAEISQEFSNKKRKQPSNTGSKSKKKGKGGKNSNLDYDSGPLARVRWFRVILDEAQTIKNHRTQVSRACCGLRAKRRWCLSGTPIQNSIDDLYSYFKFLKYDPYAVYSSFCSSIKYPISRNASHGYKKLQAVLRTVLLRRTKGTILNGEPIVKLPPKTVCLKKVDFSSEERAFYLRLEADSRKQFKAYAAAGTVKQNYANILFMLLRLRQACDHPILVKGFQSNAVGKDSIDIARQLPREMQINLLAHLEGSLAICGVCSDPPEDGIVTMCGHVFCYQCIADRLTGDDNFCPAPGCKDMLGSDLVFSRETLKSCISGELTGSTSASNTHDDESSITQSSFISSKIRAALEILNSISNPYSKFASEENDFFPGHLCSNTEPPVKAIVFSQWTRMLDLLEMSLNQSLIQYRRLDGTMSLLARDRAVKEFNTDPEVTVMIMSLKAGNLGLNMVAASHVILLDLWWNPTTEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEEKRNMVSSAFGEDHSGGHATRLTVDDLRYLFMV >DRNTG_24609.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1291574:1296345:-1 gene:DRNTG_24609 transcript:DRNTG_24609.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDLPEGLLTVSLLKHQKIALAWMVQKEKSVLCAGGILADDQGLGKTISMIALVQKQMSLQAKFTSDDSNNMKSEALNLDEDDDSTIELDKGKDIEKDDNKKPVADVSTSRNWRPAGGTLVVCPASVLRQWARELDEKVTSDAKLSVLIYHGGARTKNPCELAKHNVVLTTYSIVTNEVPKQPLVDDDDGDLKNLEKAEISQEFSNKKRKQPSNTGSKSKKKGKGGKNSNLDYDSGPLARVRWFRVILDEAQTIKNHRTQVSRACCGLRAKRRWCLSGTPIQNSIDDLYSYFKFLKYDPYAVYSSFCSSIKYPISRNASHGYKKLQAVLRTVLLRRTKGTILNGEPIVKLPPKTVCLKKVDFSSEERAFYLRLEADSRKQFKAYAAAGTVKQNYANILFMLLRLRQACDHPILVKGFQSNAVGKDSIDIARQLPREMQINLLAHLEGSLAICGVCSDPPEDGIVTMCGHVFCYQCIADRLTGDDNFCPAPGCKDMLGSDLVFSRETLKSCISGELTGSTSASNTHDDESSITQSSFISSKIRAALEILNSISNPYSKFASEENDFFPGHLCSNTEPPVKAIVFSQWTRMLDLLEMSLNQSLIQYRRLDGTMSLLARDRAVKEFNTDPEVTVMIMSLKAGNLGLNMVAASHVILLDLWWNPTTEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQ >DRNTG_32666.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14585624:14587269:1 gene:DRNTG_32666 transcript:DRNTG_32666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVMGGAGTGSVMGGAGDDDKGGKGTCDAVRSTKPSLLY >DRNTG_26706.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31259084:31264219:-1 gene:DRNTG_26706 transcript:DRNTG_26706.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTRRRSSRCCIPMATPRILASSPSSSPSSARTFASILWDIEAAYKCLLETYGAHEEDIILYGQSVGSGPTLDLAMRLPCLRAVVLHSPILSGLRVMYPLKHTYWFDIYKNIDKIPQVQCPVLVIHGTEDDVVDFSHGKKLWELSKEKYEPLWVKGGNHCDLELFPEYIRHLKKFISAMEQSPATRNESTKSSDPSDSPRPSIGCFEISRKSTDQGSKSTPMTDNKEKHRHSTDQREKPIDSTEKKEKPRKSLDNSDKKSNHMDNHEKPRNSIDRFGEIMRSVSLCNINCFRPTARGFEG >DRNTG_22323.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:500048:503076:1 gene:DRNTG_22323 transcript:DRNTG_22323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRQRSVGSTGICLILGLLLASFLRPLSAISVTVTDVECVSEYVLYEGDRISGNFVVVDHDIFWSSDHPGIDFTVTSPGGNIVHSTKGTSGDKFDFKAPRSGMYKFCFRNPYSTPESVSFHIHVGHIPNEHDLAKDEHLNPINIKIAELREALESVTSEQKYLKAREARHRHTNESTRRRLIFYTLAEYLALICASTLQVVYIRRLFSKNIGYNRV >DRNTG_13817.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28374462:28375401:-1 gene:DRNTG_13817 transcript:DRNTG_13817.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPLVTLDEDDTQASGERRLCGIQNKGSPFSTPLQLHAEKP >DRNTG_12599.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20333470:20334169:1 gene:DRNTG_12599 transcript:DRNTG_12599.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAASTKGGRGKPKATKSVSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGSVTIANGGVLPNINQQLLPKKMGKGKGEVGSASQEF >DRNTG_04224.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20302958:20303581:-1 gene:DRNTG_04224 transcript:DRNTG_04224.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFSLLCLLLLFFFFFFFFLCPVLSATEAEKQALLDFRSSITDDPFGALASWVASADPCVFSGVLCNTAGSVERVILHEKNLTGDLPTAADSLSRLPFLNTLSLPGNRFSGFIPSSLAGIVGLRKVNLSRNVLSGQVPAFLGDLP >DRNTG_02347.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1460741:1463831:-1 gene:DRNTG_02347 transcript:DRNTG_02347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSETFTAALASWVGDHAVSSGDFDAGDEFLVSGFDCREYGLSFGGVVGGECSGSGRVGEGCGNGSEGGEEGPHEGLVYSLGYLGVRDLLSVEMVCRSLKNAVQSDALLWRCIHIDSPLSQRIGDGDLLRLTQRAQGSLHCLSLVGCSRITDDGLKRVLESNPRLKKLSIPGCVRLTVEGLINNLKAVKSWANPGITHLRLGRLFSVTPDNYDELKSLLGADEQEQLHARKPRFYHCGIYSLAFDDESVLDIELCPECQKLKLVYDCPAETCRDKGPEQCRACDVCIARCIECGRCIKNCEYEETFCLENLCSGCWKDPPQNLVTTNEGS >DRNTG_01720.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23591859:23594152:-1 gene:DRNTG_01720 transcript:DRNTG_01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEGDEIRIGNMDLNLYLGLPRSPGPRVLDLGSDLALGSILVPSSPSSADADANAGDSHSVMDIGGPEPYSPSNASYDAAQPSSEHAPYTPSYEPIGPSYIPIPPIDEEEEPDDPYSPSDVQPLQFSQEEANESMTQDGVSHSLYGSASPPGLVDEPHVPYSPPYVPLLATPQGHNVPTSLNGDESSGRADGSSSQRDSIQYPVLRFRRLIESQHRLRLRRRFRSSFGFGSERPDLGWHYPSAIPEPPAIESLGKNKVAEEGVVAEGSEEDSEDKSKGAANFECNICLELAKEPVVTSCGHLFCWPCLYQWLHLHSEHKECPVCKGEVTESNMTPIYGRGSSEAGQESKHGEDGQHGLKIPPRPRGNRLESFRQHFRPISTRRLGDGSWRRLFDARLPNSDRFEGHLDPSMHDMFDMGQRRLLARLRSDMQRRERNLERRLNTGDSLLHRNVTPEPQNNNSSLPTQDGAADFWPRFSLYDLGRVVERLASSTNRYGASGSSVSPPNLDPLGGRPSVAAAIAADQASASSTMAVIQGDVAVPDGVVEPNSAGSSRSLRRRGRSSTSGSLDVDGGALHVRKRRRLN >DRNTG_12077.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000533.1:66525:78003:-1 gene:DRNTG_12077 transcript:DRNTG_12077.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTNNTNNAAAPCTIFLGEGTQVKLPYASIYTGVAGAEIKFGTVSEYVNFVPSPPSSPTGVHVPCLPSRGRRSNVIPPAEIKEDVSTVRTSVFNRLTFPKRVVNINKEGGEEEPSQNSIMKGGRPPKSYNSKSTFSKKIYNNYTSYRKLQTEKMTLREFYLRKRNLLSESRASVFDTLALSKKQAYKKTTPFYSRSKFQWIRKQDEEMEDLEVHTVRVITEGSEHPPEPERPFTRLRKKITTVAFLTGVISRLSDQVQNLLHDNSRVHRQAPSVQVIQEEEDDEKEVLGLHEQAGTSAVPEPMISREENKDEKIIDYVMRWRNLSIKCEQPLDQMQALGLLVGNIDNWMAPSLSSSDIHTFQDLIYQVKKLERTSPRVVSAMQPTKVDKEKTRKPEGVKHVAFAIDKVKEGTTSANYDKPKPPSLGSGSEPPKPISSLQERMSKKYSFRRDKVMKIFKDALKVGLQLPESKGPEETDKKDHPNFCPYHKILGNSIENCYVFKDWIERQYQEGKITLSKNVLFDQPTEHTNYVSVALQAKTLCGQDAAGVSEGMQAEGEFQVPKEPAPLGVPEGLWEIFISKKSRKMLKKLGELPGIKWRRAQEPDEKPKQKAKKNKKIKNKKKKHKQVRLTEFHHKKSIIEEYIDSLEDYQQKERALITLEEARDDVDDEDELELCYPDEDDFVPEQLYDRCGRPYEASTQSYQANQHYPKEKSRRAPKYKIHRKVMPYEEISSFIKEVTYDLTHRKPHIPNSDAPWNESGIIKPVNLYFCQEETHPQYSEDRRADMEEGEESQLISNGLEDCNGFKSWLHKVLKSGAINLPEECFEMSGTCYALTIKGRHGNGCIHQDEEEEPRMINNVRRLLEQARGTKDKGNKKGGKLSAAKDDALIKVTKTTKLTQKKVSFLPLKPHFGSDIESSEEENDHEDIQITHSITCRHKRSRHVGSDGSEGEEVSFLPIKKEKDDDEVPVESSLYVTRILEGLYKALNELHVNSVERLITFYVPSRHQEERGILFYKSDPNKSDDEDILMSKPMEDEEPWPLRIPNYYPPKLRKLTKQAGISLKHSQDRHILFERLWHPFKIAMQGQGLSKRGLGFQDEQVCECRMVSAIEGSGLPLEIFIKFLSFHLEALVFLRVTRNFS >DRNTG_32944.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30937386:30941251:-1 gene:DRNTG_32944 transcript:DRNTG_32944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMARWCWRTGSPVKASDDEVKLDEGDIQEAESSLREGLSLNYEEARALLGRLEYQRGNVEAALRVFDGIDLQAAIQRLQPGLSEKPSSKRNRSRTESLHSVSQHAASLVLEAIYLKSMSLLKLGKAAEAAQECKSVLDAVEKMFQHGIPGMMVDAKLQETVSKAVELLPELCKQAGHNQEALSCYRRALLSHWNLDDECCVRIQKRFVVLLLYGGVEAGPPSLAAQTDGAYVPKNNLEEAILLLMMLLKKWCLGKTQWDPSVMEHFTFALSLAGQTSVLARQFEEILPGMYPRCDRWFRLALCYHAEGQNEAALNLLRKFLNKNECPNDLPAILFAAKICSEDSLLASEGVEYAQRAIANAHGVNKHLRAVGLRYLGLCFGKLGKIAFSDQERSCHQVEALKALDEAIAQEGQNPDLLFDLSFEYAVQYNSNAALRFAKEFIDATGGSISKGWILLALVLSAQRRYLEAEVVIDAAIEQTAKWEQGPLLRIKAKLKAAQSLPMEAVEAYRLLLALVQAQRKSFGFLRSTSDRINEFEVWQGLANLYSSLSHWRDAEICLEKAKMLKPFSAATMHVQGSKHEAHGETLEASASYFNALSLDLSHVHCKVSLASLLWKRGSKALPVARSFLMDALKVEPTNRMAWYYLGMVHRDERRLLEAADCYQAAAMLEESDPVESYSSISS >DRNTG_32944.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30937386:30941251:-1 gene:DRNTG_32944 transcript:DRNTG_32944.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGAIAQNQSKTESCSVSAYGGSGSISSLACTCSGPKKVIWISQKYFRINEFEVWQGLANLYSSLSHWRDAEICLEKAKMLKPFSAATMHVQGSKHEAHGETLEASASYFNALSLDLSHVHCKVSLASLLWKRGSKALPVARSFLMDALKVEPTNRMAWYYLGMVHRDERRLLEAADCYQAAAMLEESDPVESYSSISS >DRNTG_32944.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30937386:30941251:-1 gene:DRNTG_32944 transcript:DRNTG_32944.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMMARWCWRTGSPVKASDDEVKLDEGDIQEAESSLREGLSLNYEEARALLGRLEYQRGNVEAALRVFDGIDLQAAIQRLQPGLSEKPSSKRNRSRTESLHSVSQHAASLVLEAIYLKSMSLLKLGKAAEAAQECKSVLDAVEKMFQHGIPGMMVDAKLQETVSKAVELLPELCKQAGHNQEALSCYRRALLSHWNLDDECCVRIQKRFVVLLLYGGVEAGPPSLAAQTDGAYVPKNNLEEAILLLMMLLKKWCLGKTQWDPSVMEHFTFALSLAGQTSVLARQFEEILPGMYPRCDRWFRLALCYHAEGQNEAALNLLRKFLNKNECPNDLPAILFAAKICSEDSLLASEGVEYAQRAIANAHGVNKHLRAVGLRYLGLCFGKLGKIAFSDQERSCHQVEALKALDEAIAQEGQNPDLLFDLSFEYAVQYNSNAALRFAKEFIDATGGSISKGWILLALVLSAQRRYLEAEVVIDAAIEQTAKWEQGPLLRIKAKLKAAQSLPMEAVEAYRLLLALVQAQRKSFGFLRSTSGR >DRNTG_08053.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30330842:30333809:-1 gene:DRNTG_08053 transcript:DRNTG_08053.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFSIGPSTVIGRTIAFRVLLCSSVTHLRHELRRFLSEFLRHLRNSVFPLLSWFHPKNTQGILVMVTVMAFLLRRFTNARSRAESAYRRKFWRNMMRSALTYEEWSHAAKMFDKLSPRMNDADLYDEELVRNKLSELRHRREQGSLRDIVFYMRADLLRNLGNMCNPQLHKGRPQVPRLIKEYIDEVSMQLKMVCDSDSDELLLEEKLAFMHETRHAFGRTALLLSGGASLGSFHVGVVKTLVEHKLLPRIIAGSSVGSIMCSVVATRSWPELESFFEDSWHSLQFFDQMGGIFAVVRRVVTHGAVHDIRQLQRLLRHLTSNLTFQEAYDMTGRILGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRFR >DRNTG_08053.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30330653:30333809:-1 gene:DRNTG_08053 transcript:DRNTG_08053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKMVCDSDSDELLLEEKLAFMHETRHAFGRTALLLSGGASLGSFHVGVVKTLVEHKLLPRIIAGSSVGSIMCSVVATRSWPELESFFEDSWHSLQFFDQMGGIFAVVRRVVTHGAVHDIRQLQRLLRHLTSNLTFQEAYDMTGRILGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRFR >DRNTG_08053.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30330879:30333841:-1 gene:DRNTG_08053 transcript:DRNTG_08053.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEISNEASMGRFSIGPSTVIGRTIAFRVLLCSSVTHLRHELRRFLSEFLRHLRNSVFPLLSWFHPKNTQGILVMVTVMAFLLRRFTNARSRAESAYRRKFWRNMMRSALTYEEWSHAAKMFDKLSPRMNDADLYDEELVRNKLSELRHRREQGSLRDIVFYMRADLLRNLGNMCNPQLHKGRPQVPRLIKEYIDEVSMQLKMVCDSDSDELLLEEKLAFMHETRHAFGRTALLLSGGASLGSFHVGVVKTLVEHKLLPRIIAGSSVGSIMCSVVATRSWPELESFFEDSWHSLQFFDQMGGIFAVVRRVVTHGAVHDIRQLQRLLRHLTSNLTFQEAYDMTGRILGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRFR >DRNTG_28521.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001439.1:6869:7366:1 gene:DRNTG_28521 transcript:DRNTG_28521.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSFSPRTHSEARVTGSFLDAMTEEESIKVGDEVPPKPQRPPLEIVAVSLLKGRTKDEKEWGKTTIYRT >DRNTG_12718.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000563.1:24043:24398:-1 gene:DRNTG_12718 transcript:DRNTG_12718.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIEKVIESKAFSKFRYPYISVEALINITVHFPVNLRPRHDNDSKSLEQFCKDTVMTIWHYHGGCCKGSTLHAR >DRNTG_12718.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000563.1:23749:24371:-1 gene:DRNTG_12718 transcript:DRNTG_12718.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIEKVIESKAFSKFRYPYISVEALINITVHFPVNLRPRHDNDSKSLEQFCKDTVMTIWHYHGGCCKGSTLHARHRVVPGRGRWPMKPRLAKFQVQIGVVRPIIRCAG >DRNTG_12718.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000563.1:23749:24398:-1 gene:DRNTG_12718 transcript:DRNTG_12718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIEKVIESKAFSKFRYPYISVEALINITVHFPVNLRPRHDNDSKSLEQFCKDTVMTIWHYHGGCCKGSTLHARHRVVPGRGRWPMKPRLAKFQVQIGVVRPIIRCAG >DRNTG_12718.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000563.1:24043:24371:-1 gene:DRNTG_12718 transcript:DRNTG_12718.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIEKVIESKAFSKFRYPYISVEALINITVHFPVNLRPRHDNDSKSLEQFCKDTVMTIWHYHGGCCKGSTLHAR >DRNTG_14394.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:549197:556388:-1 gene:DRNTG_14394 transcript:DRNTG_14394.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCKCRKATRLYCFVHKVPVCGECVCFPEHQICVVKKYSDWVVDGEYDWPPTCSLCNAGLEAESNSQTTRLGCLHLMHTECLVSHIRNFPPQTAPAGYVCPACSSPIWPPKSIKDTGSRLHSKLKEAIILSGLEKNIFGSHLVPLPDVENRPPPPPFASDPLVSVADDRSKNNSNPYSSVSNTSLSSSFPRDEKFPNDMYISTAGTSALKIPEQDIIEIDGSGSVGNQLMSDHDPSIMKSSSPVGPGATTRKGSYPVDRQNSEISYYADDEDGSHKKYSRRGPFRHKFLRMLLPFWSSALPTLPVTAPPRKEGSNKVDGEGKSRHQKSSRMDPRKILLMMAIIACMTTMGILYYRLAQSSLGESHPEGEEEPQ >DRNTG_11549.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15897061:15898119:1 gene:DRNTG_11549 transcript:DRNTG_11549.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPRWRVRGAKPKEEQKEEMNGSEGELGSISGPAGGVGKKDRLRESLPPYHFANKEVILDLTIDHSFPTEPSLFAIRRNLLDPFYSLPHCSSVRLRMRSSFPFILPTLCSHGYQSGVRVGSRSAPLKEAEDFIQ >DRNTG_21563.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8885158:8887349:-1 gene:DRNTG_21563 transcript:DRNTG_21563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESDYNIHVGIFQVNAEALSSKGNIIATSSQPCMMRFRSLPVRLTHTFLMGVPLLLGMSTESQEMIVEILRYKESNQKTEMIRIKLMPRAGTSDLPQIYSAVIHITSQLPWTKELVYNWKWTVYVWSSLFVYVFLLTILVCYYKSFFVPRWSPLMDQPPEVATDESYTLRDGEQSDALYDKLRKWQLRHRIKRKAP >DRNTG_06942.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16778594:16779130:-1 gene:DRNTG_06942 transcript:DRNTG_06942.1 gene_biotype:protein_coding transcript_biotype:protein_coding PKQHERREAKAATALASNMKEEKQKQ >DRNTG_16811.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1561152:1569155:-1 gene:DRNTG_16811 transcript:DRNTG_16811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSSSFQLRTSASLAIKLKSGKQISPVVFYGSPNGAPVKRPSQLLWLLREIRVDLREQNNLLARKEVWATFPRQEEAMRFSRAHAQVKIFCYQDHLSSQRRYLVSTYDEFWRRYSVMDSKLRHHYEVIQEGLPCHIYFDLEFNKKVNPGRNVDEMVDILISFTLTTLSNKYSISGSEEWVLELDSSTEEKFSRHLIIRIPNTAFKDNSHVGVFTSEVCANIASLRGNDPQVDKLYIKKESSCTSSETQLFLDGAVYTRNRCFRLVLSSKAGKESFLSPTERFKCKGLSQKQLFMESLICKVDADCNKLLVCKMDLDCKKVLTFESEDLEIKCRI >DRNTG_29953.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3004341:3009992:1 gene:DRNTG_29953 transcript:DRNTG_29953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSNGFAKPRSSSMKGFQVYRNSSVEWKPSPVVALATSPDGSQVAAARDDGSLEIWLVSPGSVGWHCQLTIQGDPNSRVSSLVWCPPSSTSAGHGRLLSSSIDGSISEWDIFSLQQKIVVDSVGVSIWQMAVEPYNDSLHFSNIGSGLVENGFTDVDAQSDPESSESDDDNDEINSVAIRMENQRLAIACDDGCVRIYDASDAGEVTYSRSFPRVSGRLLSVAWSLDGKHIFAGSSDGLIRCWNTSSFHETYRVTVGLGGLGSGHEICVWSLLFLRDGVLVSGDSTGSVQFWDSKHGTLLQAHTYHKGDVNALATIPSHSRVFSTGSDGQVILYKLSGGTLASGDKEQPAEQIKKWVYVGYVRAHTHDVRALTVATPICGEEQPQDEKLTKVRRREKPISFSYHKWAHLGVPMLISAGDDTKLFAYSAREFTQFSPHDICPAPQRPSIQLVHNTAIDGAPMILVQSSDCLDVMPIKVGNNATLSMPSRQHATTQLLARVKSKRSRKIICSCISRTGMLFAYSDHVKPNLFELKKPKAGKTGFSVNKLELPRGLPFAHCMVFSADSSCLMLAGHDRKVYVVDVRRLEVVHTFVPHRKEDDLNLPPNEPPITKMFTSSDGQWLAAINCFGDIYIFNLETQRQHWFIFRLNGASITAGGFPPGNSNVLVVTTSSNQVYVFDVDAKQLGEWSRRNTFLLPRNFQEFPREVIGLSFSPSLHSTSVIVYSTRAMCLVDFGLPIDRDDELPNGYDLALDKYESIKSAKANRKRKIRNQESKVHSKNFDFCAFGDPVLFAGHLSENSLLVMEKRWMDVVQSFDAPVHRHIYGT >DRNTG_13260.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:12010106:12011436:1 gene:DRNTG_13260 transcript:DRNTG_13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLFLDGIHLLGKYRGTLLGATGKDGNNGFFYVAFGIVDNETDANWTWFISKLGDALYGEGDYHEIITFVSDRSKGLVNTITRVFPSSPHAYCLRHLEANFMKGNVRLGKALREECWSICFRIAWASMAKEFNDTVNELQATSPEAHHWLINKSYMAHWSNYLFRGDRWGEMYSNVTESFNAWIKEARHFPVTKMVDSIRFKLMHMLCNRREQAKKWEIYLCPDIHSKEAIFPIPDDDKPSDGNRELCLRPPMTRRQPGRPRRKRIESQAFDVRELHYSRCHGSGHNRRSCNETIADKAL >DRNTG_07924.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20319273:20320529:-1 gene:DRNTG_07924 transcript:DRNTG_07924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTKPIFVIFIIFSILLLLFTSTPTFAARPISINSPGVLDPNRPACGGSKGRPYGACKSPPPLKKKRKCNRYNGCPPEQTNDVGTDRTRLNIKTNN >DRNTG_25832.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7370999:7372931:-1 gene:DRNTG_25832 transcript:DRNTG_25832.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSSQGPTHDEIDFEFLGNLSGDPYTLHTNVFSQGKGNREQQFRLWFNPTKDFHTYSILWNPKHIIFSVDGIPIRDFKNFESGGVAFPKNQPMRIYSSLWDAEDWATRGGLVKTDWNKAPFTASYRNFTADACVWSSGASSCRSEKLKTRSSSNAAWLNQELDLTSQERMKWVQKNYMIYNYCTDLKRFPQGLPPECSIA >DRNTG_25832.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7371405:7372931:-1 gene:DRNTG_25832 transcript:DRNTG_25832.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSSQGPTHDEIDFEFLGNLSGDPYTLHTNVFSQGKGNREQQFRLWFNPTKDFHTYSILWNPKHIIFSVDGIPIRDFKNFESGGVAFPKNQPMRIYSSLWDAEDWATRGGLVKTDWNKAPFTASYRNFTADACVWSSGASSCRSEKLKTRSSSNAAWLNQELDLTSQERMKWVQKNYMIYNYCTDLKRFPQGLPPECSIA >DRNTG_25832.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7371493:7373330:-1 gene:DRNTG_25832 transcript:DRNTG_25832.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFTLLACSFLAVATAGSFYQDFDITWGDKRANILNNGQLLTLSLDRVSGSGFQSKHEYLFGKIDMQLKLVAGNSAGTVTAYYLSSQGPTHDEIDFEFLGNLSGDPYTLHTNVFSQGKGNREQQFRLWFNPTKDFHTYSILWNPKHIIFSVDGIPIRDFKNFESGGVAFPKNQPMRIYSSLWDAEDWATRGGLVKTDWNKAPFTASYRNFTADACVWSSGASSCRSEKLKTRSSSNAAWLNQELDLTSQERMKWVQKNYMIYNYCTDLKRFPQGLPPECSIA >DRNTG_25832.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7371493:7372931:-1 gene:DRNTG_25832 transcript:DRNTG_25832.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFTLLACSFLAVATAGSFYQDFDITWGDKRANILNNGQLLTLSLDRVSGSGFQSKHEYLFGKIDMQLKLVAGNSAGTVTAYYLSSQGPTHDEIDFEFLGNLSGDPYTLHTNVFSQGKGNREQQFRLWFNPTKDFHTYSILWNPKHIIFSVDGIPIRDFKNFESGGVAFPKNQPMRIYSSLWDAEDWATRGGLVKTDWNKAPFTASYRNFTADACVWSSGASSCRSEKLKTRSSSNAAWLNQELDLTSQERMKWVQKNYMIYNYCTDLKRFPQGLPPECSIA >DRNTG_25832.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7370999:7372931:-1 gene:DRNTG_25832 transcript:DRNTG_25832.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFTLLACSFLAVATAGSFYQDFDITWGDKRANILNNGQLLTLSLDRVSGSGFQSKHEYLFGKIDMQLKLVAGNSAGTVTAYYLSSQGPTHDEIDFEFLGNLSGDPYTLHTNVFSQGKGNREQQFRLWFNPTKDFHTYSILWNPKHIIFSVDGIPIRDFKNFESGGVAFPKNQPMRIYSSLWDAEDWATRGGLVKTDWNKAPFTASYRNFTADACVWSSGASSCRSEKLKTRSSSNAAWLNQELDLTSQERMKWVQKNYMIYNYCTDLKRFPQGLPPECSIA >DRNTG_25832.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7371405:7372931:-1 gene:DRNTG_25832 transcript:DRNTG_25832.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFTLLACSFLAVATAGSFYQDFDITWGDKRANILNNGQLLTLSLDRVSGSGFQSKHEYLFGKIDMQLKLVAGNSAGTVTAYYLSSQGPTHDEIDFEFLGNLSGDPYTLHTNVFSQGKGNREQQFRLWFNPTKDFHTYSILWNPKHIIFSVDGIPIRDFKNFESGGVAFPKNQPMRIYSSLWDAEDWATRGGLVKTDWNKAPFTASYRNFTADACVWSSGASSCRSEKLKTRSSSNAAWLNQELDLTSQERMKWVQKNYMIYNYCTDLKRFPQGLPPECSIA >DRNTG_25832.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7371493:7372931:-1 gene:DRNTG_25832 transcript:DRNTG_25832.8 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSSQGPTHDEIDFEFLGNLSGDPYTLHTNVFSQGKGNREQQFRLWFNPTKDFHTYSILWNPKHIIFSVDGIPIRDFKNFESGGVAFPKNQPMRIYSSLWDAEDWATRGGLVKTDWNKAPFTASYRNFTADACVWSSGASSCRSEKLKTRSSSNAAWLNQELDLTSQERMKWVQKNYMIYNYCTDLKRFPQGLPPECSIA >DRNTG_25832.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7370999:7373330:-1 gene:DRNTG_25832 transcript:DRNTG_25832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFTLLACSFLAVATAGSFYQDFDITWGDKRANILNNGQLLTLSLDRVSGSGFQSKHEYLFGKIDMQLKLVAGNSAGTVTAYYLSSQGPTHDEIDFEFLGNLSGDPYTLHTNVFSQGKGNREQQFRLWFNPTKDFHTYSILWNPKHIIFSVDGIPIRDFKNFESGGVAFPKNQPMRIYSSLWDAEDWATRGGLVKTDWNKAPFTASYRNFTADACVWSSGASSCRSEKLKTRSSSNAAWLNQELDLTSQERMKWVQKNYMIYNYCTDLKRFPQGLPPECSIA >DRNTG_25644.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21807691:21810354:-1 gene:DRNTG_25644 transcript:DRNTG_25644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDEEGEEYLFKVVIIGDSAVGKSNLLSRYARNEFNAHSKATIGVEFQTQSMEIDGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALVVYDISRRTTFDSVARWLQELSTHSDTTVARMLVGNKCDLESIRSVSVEEGKALAEEEGLFFMETSALDSTNVKTAFEIVIKEIYDNVSRKVLNSDSYKAELSLNRVSLTSNGTDEAKQNSSRFSCC >DRNTG_03726.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:19492037:19492705:-1 gene:DRNTG_03726 transcript:DRNTG_03726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRGYFPHGRAIAVRVEGVFSRERIGACVRPCGSFSPKNYF >DRNTG_22658.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20508490:20510393:-1 gene:DRNTG_22658 transcript:DRNTG_22658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDGIYTNLIKLASHANISITAAATATSPISQVNSPLVRKVNFDLSTYSVNDPSQLKSMVQGQVDQVDNVDLPPKQSKKLHTSEIWKLQRPEIPLLLIGFLLGMNAGAILSIFPLILGQALQIYFTESDNSSKMKRDVGYLALALVGLGFGCIVSMTGQQGFCGWAGTRLTKRVRDLLFRAILRQEPGWFDKEENSTGILISRLSIDCMAFRSALGDRYSVILMGLSSAAVGLTVSFLLDWRLTLVAMAVMPFTLGASYFSLIINVGPRLNNGAYEQASSIASGALSNIRTVTTFSAQEYIVMSFNKALAEPMNKSMSRAQVTGLAHGLCQGAMYASYTVTLYVGSLLIKKGYSSFGDVYKIFLILVLGSFSVGQLAGLAPDTSAAPAAIQAVLRILGRRPKIVWDRAMPKKIEPLRMLDVELKNVVFSYPARPGLPVLIDFSVRVKGGSKLAIVGASGSGKSTVIWLVQRFYDPDSGRVMVGGVDVRDMDVKWLRRECALVGQEPALFAGSIRENIGFGNPKASWAEIEEAAKQAFIHKF >DRNTG_15532.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8971740:8984268:-1 gene:DRNTG_15532 transcript:DRNTG_15532.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polycomb group protein FERTILIZATION-INDEPENDENT ENDOSPERM [Source:Projected from Arabidopsis thaliana (AT3G20740) UniProtKB/Swiss-Prot;Acc:Q9LT47] MAAKCGFGCEPSIGSLTAARKRDYRITNRIHEGKRPLYAIAFNFIDARYFDIFATVGGNRVTVYRCLEGGLISVLQAYVDEDKDESFYTLSWACNVDGTPFLVAGGSNGIIRVINAGNEKIDKSFVGHGDSINEIRTQALKPSLVVSASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWTYVEKSFTWTDLPSKFPTKYVQFPMFMASVHSNYVDCNRWLGDFILSKSVDNEIVLWEPKTKEQSSGEGTTDVLQKYPVPECDIWFIKFSCDFHYNAAAIGNREGKIFVWELQSSPPVLVARLSHAQCKSPIRQTAMSFDGSTILSCCEDGSIWRWDVVP >DRNTG_05369.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8516006:8519910:1 gene:DRNTG_05369 transcript:DRNTG_05369.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNTITELLERKTMGTRWFPCIVFVILVLASMDHEVSAMICTNVINSNTFHSMLMASKNETWRNEIISIHEHFLQQEGQNPWNEANMKNNANTAAQTEFDWAMLYKSMKMPEMVNEGIAKDKFLVEVGLENVRLDPNSKHGIAQKTNTEYLSMLDVNRLLFNFRVVARLPNNDTNPYSGWEDPTSELRGHFVGHYMSATAFTYATTKNATIYSQMTELVRGLDECQKKIGTGYLSAFPTEFFDRYEQLKYVRSPYYTIHKIMSGLLDQYTHAGNQQALKMVVWMTEYFSNRVMKVIRLYTLEQHYLALNQETGGMNDVLYRLYSITKNQSHLVIANLFDKPCFLGLLALKVDELAGFHANTHIPLVVGIQNRYELFGEPLYKEMAQYFHHIVNSSHAYATGGTSLNESWTYPKRLGDTLKQETEQSCTTYNMLKVTRYLLRWTKSTAYADYYERALTNGVLSIQRGTEAGVIIYFLSMQIGGSKANDYW >DRNTG_20885.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001172.1:6331:11537:-1 gene:DRNTG_20885 transcript:DRNTG_20885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVSPSSSFSFHAPSYFCSYWYHSPYQVPRQQIHNNAWTFSTSKKKFHHAQCKHRHRLLLPCNNFTPALASWHRLLRVGRSQLRRSIRSCCFSGPQREVYGRQHYALPFQPHLLQTLNLAYNSFNQLSAVLLFGFGKKLANLTHLNLSYSGLLVGQVPISISRLTKLISLDLSTYDIYPLKLEKPDLGTLIGDLSHLKELYLDEVDISSSTTEWSQAISHSVPGLEALSLIDCSLSGPIDSSFSKLQNLSILHLDSNSLSQVPEFFAKFPSLSVLSLSGCGLEEFFPTRVFEELLNLKTLDLSYNPMLARVSLGSLLNSLLKLKSLTSLKLAGCNFSEPIPRSIWNLSELVNLNLADNHLFGDLPPMPASSKISILDLSYNNVTGLISSTLSSARQLVSLDLAGNSLIGSIPMSLFTLPQLEKLYLADNKLSGQLQEFKNASSTLQYVDLSGNNLRGKLPKITSQSLCSRVT >DRNTG_02705.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19636319:19639698:1 gene:DRNTG_02705 transcript:DRNTG_02705.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SAR DEFICIENT 4 [Source:Projected from Arabidopsis thaliana (AT5G52810) UniProtKB/Swiss-Prot;Acc:Q9FLY0] MAATPGINHAPTCVFLDGATVRSILPLRALIPHLRSSLPSLSLSIHTPPRHSHTIDPSSSSTLLLMPSWSSHPSLPFIGVKLVTCFPLNSHLRLPGVQASFLLFSSSTGVPLVSLDATPLTLLRTSAVSALASLHLSRPTSSVLVLAGAGFLAPYLVRAHLFSRPSINRVIIWNRNPDKSRDLVLELRREFDHGVVFDHAEDLDEVVGLGDIVSCATSSMTPLIRGELLKPGAHLDLVGSFSPAMKECDDAAMVRGRVFVDFDVALEEAGELVGAFERGVMAPSDVAGTLVELLGGVKEGRRDEDEVTVFKSVGAAVVDLLAAQLAYQTYIAST >DRNTG_02705.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19636319:19640152:1 gene:DRNTG_02705 transcript:DRNTG_02705.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SAR DEFICIENT 4 [Source:Projected from Arabidopsis thaliana (AT5G52810) UniProtKB/Swiss-Prot;Acc:Q9FLY0] MAATPGINHAPTCVFLDGATVRSILPLRALIPHLRSSLPSLSLSIHTPPRHSHTIDPSSSSTLLLMPSWSSHPSLPFIGVKLVTCFPLNSHLRLPGVQASFLLFSSSTGVPLVSLDATPLTLLRTSAVSALASLHLSRPTSSVLVLAGAGFLAPYLVRAHLFSRPSINRVIIWNRNPDKSRDLVLELRREFDHGVVFDHAEDLDEVVGLGDIVSCATSSMTPLIRGELLKPGAHLDLVGSFSPAMKECDDAAMVRGRVFVDFDVALEEAGELVGAFERGVMAPSDVAGTLVELLGGVKEGRRDEDEVTVFKSVGAAVVDLLAAQLAYQTYIAST >DRNTG_25595.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9477509:9478916:1 gene:DRNTG_25595 transcript:DRNTG_25595.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKLALFALASFLLVTAKVSSSNEDHVFMGIEDLGVGKPLNPPVVYPAPTPAPAPAGPVMIKTWKECPDVCKGRCKLHSRKNVCNRACITCCAVCRCVPPGTAGNREMCGTCYTQWKTHGNRTKC >DRNTG_20202.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001098.1:43321:44008:-1 gene:DRNTG_20202 transcript:DRNTG_20202.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFDDSPIPDFIGSFSNLEYLNLSNAGFSGAIPHTFGNLSFLRYLDLSSNYNLQPNDLHWLSGMTSLHHLDLSGGNLSNVHGWLHDINSVLPSLRVLKLSNAKLQGGGIYATTDLPHYLNFTSLRVLDLSFNSDLNITLFQWLFNLTNLIHLDLSGCALYG >DRNTG_07318.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3314161:3320333:1 gene:DRNTG_07318 transcript:DRNTG_07318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALANRRRDLLNHLNIPARCCSSFSNFWHGRLGQSEDPRVYQLSKPRSLHANQDSGSQAILITKRDLGYLPTKFSNHAHCGISISGLEYGRRELLLPLGVRFLPQSIRMVSTATAGQRDLGKDDQSEDQDQKVKKEASPEECDQAVEGLSTAKAKAKAKLSLESQKDTKSIMQKFWAKLLGIGPALRAVASMSRADWAIKLRHWKDTFVSALQHYWLGSKLLWADVRISARLLLKLAGGKTLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRKLNARIEYAKFLQDTAREMAKEVQNSHNGENKQTAEDLDEFLNKVRTGASVSNDEILNFAKLFNDELTLDSMSRPRLISMCKYMGISPYGTDNYLRYLLRRKLQQIKNDDKLIRVEGVESLSEQELRQACRDRGHLGLLSTEEMRQQLRDWLDLSLNHSVPSSLLILSRAFTVSGKLKPEEVVVATLSSLPDEVVDTVGTGLPSEDSVSERKRKLEFLEMQEELIKEEEKEQKKEDKAKAKESGISQEDLALKEMTEATSKEAAELSKSKALDKQEELCKLSRALAVLASASSVSSERQEFLRLVNKEIELYNTMLEKEGTDGEEEAKKAYRAAREESDHAAEVAAGDKVSSALVDRVDAMLQELEKEIDDVDAKIGDRWQLLDRDHDGKVTPEEVAAAANYLKDTIGKEGIQELISKLSRDREGKILVEDIVKLGTETEENNTAEAGRT >DRNTG_26173.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29206240:29209690:-1 gene:DRNTG_26173 transcript:DRNTG_26173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAYQDPNPAAAAEEKLVAERYLKREILGEGTYGVVFKAIDTKTGKTVAIKKIRLGKYKEGVNFTALREIKLLKELKDPNIIELIDAFPHKGNLHLVFEFMESDLEAVIRDRNAVLSPADVKSYLQMTLKGLAFCHKKWVLHRDMKPNNLLIGSDGQLKLADFGLARIFGSPDRKFTHQVFARWYRAPELLFGTKQYGAGVDVWAAGCIFAELLLRRPFLQGSSDIDQLGKIFAAFGTPKPSQWHDMVYLPDYVEYQFVPAPPLRSLFPMASDDALDLLSKMFTYDPKTRISAQQALEHRYFTTVPAPTKPALLPRPIRKGESAIQRATDVMPQDGPVVLSPPRKSRRVMGFEGNAHHLGKVGEQVWDGKLMGEPSNQSGEMPMSVDLGAIFGSRPAPRPTLNSADRSHLKRKLELDLESEYHQ >DRNTG_08788.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28850341:28862361:1 gene:DRNTG_08788 transcript:DRNTG_08788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSSSLWKKVGKGASVGLIRRGVSYTGQGILRRAAEKPSFIDLYERVEIPQAHVDSRFQPYLKPRFSPISESFFPSFPQLWRGLRLRFRGALARKKYVYASTYQVALGLSKRKRLETSTSGTTTTEEISTPQVPLALTFVEARFQEWFSRLNFTIILKYEELLIDFPLGVMAKVVWQHLSRGRSYEPGLTKASVFIHPTHSMTENRPIHLGYMLRDGPSRLTARPGDRRSHYSLGDRDHMVDGDDSALQLQMDPHPYPHRAATANRSGNNSFEKMISDTGTVDRYAADIEGPADHYGSASRYGDPKEAIYTENDEVGALLALLEQGQGKLDKAYGHKDARKDHPKKLTTTLHVHKGNRKKQNREAYSPVLSVSYGDNSRRKEPKVDRGCTLLIESWNEQMRRHKLCSKTCDCVPTSIILKQIHGLEGHKGHSVELATVDLSFGTVYFRISDKVPLRISRKCTGLSKYRSRVYVLVIFALEIAKEGGDTAEWSQPVPELQEEQIEIEAPPTAQEPSAVWIFSLTQAHDLFERLKSAVWVLHADLAEVRMTQAVNHTEASPTPPSLVLAAPTDPPASVSPPAAAVATADEVAADTNA >DRNTG_31364.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28420209:28421218:1 gene:DRNTG_31364 transcript:DRNTG_31364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHHHHHSQLLPCLQCHPQSYIRMVQHLIERCLILRMNRDDCVQALAQYACIRPLVTLAVWKGLLKENKGFFHSYLHEISPRHYNYKAHGRFSGFGRRKQWKMSWKNDERKMAKYIVQFHDSQISKPRL >DRNTG_31364.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28420209:28420821:1 gene:DRNTG_31364 transcript:DRNTG_31364.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHHHHHSQLLPCLQCHPQSYIRMVQHLIERCLILRMNRDDCVQALAQYACIRPLVTLAVWKGLLKENKGFFHSYLHEISPRHYNCKLTL >DRNTG_08355.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4068909:4072050:1 gene:DRNTG_08355 transcript:DRNTG_08355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNFSTLILRCSDPKHLREIQAVLTAGGLLLSGSSSGVFFHNSLIRAYTRLSSPCSSLLLFSDLLRSGFLPDSHSFSFILKACSLIPARFTGLSAHALAFKLGLDSDLFVNNSLIHFHSVTGVIADARLLFDRSYQRDSVSYNSMISAYVRVGDLGNARNVFDEMPTRDGISWSAIIAGYAQGGASKEALRLFSRMQEEGFVPEGKTLVSVLAACAHMGALEQGKWVHGYLRSNGAKIDVFLGTALIDMYAKCGEVDLGLKVFDEMPEKNLLAWTTMIKGLGMHGRGEEALQLFSEMERSGVVPDDIAFIGALCACTHAGLVDRGRQIFDSMYHCYGLRPKVEHYGCMVDLLARNGLLNEAKELVDCMPMEPDASVWGAFMAGCRFYRNVELAEYAVKHLIQLEPNSSGVYVLLANIYASSGRHADAKMVRYLMKKKRIEKIPGCTLVEIRGIVHQFLVGDTSHAQIKDILMKWDEIEDRIRLAGYVPNKKEVLLDINEDEKEDALGRHSEKLAIAFALISTEDGATIRLVKNLRVCTDCHDATKLISKVYEREIVVRDRTRFHLFKDGSCTCKDFWMTESTVSHNTVRVPKLADPELQQENHCGFTTQESAAFSVTVVPSKCGQAYIAS >DRNTG_34953.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002214.1:2061:3575:1 gene:DRNTG_34953 transcript:DRNTG_34953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLNTAFFWILFFWVHLVFVFIISEKVSSFFLFMHLFYAYSDGIVNDVLKRFLLKYNKQWNTKVAHNLVGKTSREAATIFLQDYGLPLSVKELMDMITRLFSDQ >DRNTG_29067.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1694459:1721825:1 gene:DRNTG_29067 transcript:DRNTG_29067.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIIQVFDELCPPPCLDHLQIHYFFGEKYPQWMSSNSISTALPELTYLLLINCSYCPQLPQLGRLPQLKYLKIWGATSVISIGCEFLGNGKLAASAFPKLEYLILSDMTNWEQWSLVSGEEDNEIDSSKQIHFPRLHKIVISRCPKLKALPKGLNHVQELEIIGAHSLSRVSDLPALRELRVRDCPMLDCVEKLESLQSLKITDETDDRLPKWLISFLQQRKMHHNNRFHLHLKCSAQALKGCLKGRPQYWCFLQQVPRLEAYAENGSMYLKYTKEPFSYQTNLDEDTTQL >DRNTG_29067.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1718648:1721825:1 gene:DRNTG_29067 transcript:DRNTG_29067.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFAGKLVERLANVIEEKAIMVLGVKDELQKLQRRMKRITCVLKDAERRRIQQDEEAVKLWVNELKDFMYDADDIIDLCIIQGTGVLLQDDHHHIDQLAESSATASTRVRCCNFPLFSCVRSVPFQYEIADKIKSLNDRLTEISEDKDKFNFLIFSKSSSDDAYVMNEASYRQSSFLPESDIVGWDIRDATNSFVELLVSQYQQKCRLFAIVGMGGIGKTTLAQLIYHDSKINDDFVLKSWICVSKFYTSRADLLKELIRNAGGTCGEAATIAELQKILCDVLHGKNLFLVLDDVWDADVWINLIKNPVERTTTKCRVVVTTRDRNTAIKMGAIHIHNVNKLPLNFGWELLCKKVFTNNDEGELQRMKDVGMQIVEKL >DRNTG_11253.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21816710:21820353:-1 gene:DRNTG_11253 transcript:DRNTG_11253.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEPPAASPSLIYMPLVIALCIIASVVLALLALHSMIVRLCSGDRRETSQAAIAGGVEKKVVDAIPVHAYTKKEKSLWLSQNDCPVCLGELEEGEPIKVLPDCHHIFHVLCIDTWLAFHSTCPFCRCEITMSDSLVVPEGDGVSGGGGDASSACPSTSGSILMHFMEMLKLRSSLELQQNIQQHPSDGSSRRACVMV >DRNTG_11253.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21816710:21820353:-1 gene:DRNTG_11253 transcript:DRNTG_11253.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEPPAASPSLIYMPLVIALCIIASVVLALLALHSMIVRLCSGDRRETSQAAIAGGVEKKVVDAIPVHAYTKKEKSLWLSQNDCPVCLGELEEGEPIKVLPDCHHIFHVLCIDTWLAFHSTCPFCRCEITMSDSLVVPEGDGVSGGGGDASSACPSTSGSILMHFMEMLKLRSSLELQQNIQQHPSDGSSRRACVMV >DRNTG_11253.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21816710:21820353:-1 gene:DRNTG_11253 transcript:DRNTG_11253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEPPAASPSLIYMPLVIALCIIASVVLALLALHSMIVRLCSGDRRETSQAAIAGGVEKKVVDAIPVHAYTKKEKSLWLSQNDCPVCLGELEEGEPIKVLPDCHHIFHVLCIDTWLAFHSTCPFCRCEITMSDSLVVPEGDGVSGGGGDASSACPSTSGSILMHFMEMLKLRSSLELQQNIQQHPSDGSSRRACVMV >DRNTG_20697.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:16997808:17006354:1 gene:DRNTG_20697 transcript:DRNTG_20697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCALEIISQVSTGKHTPMRKLPTGLRKLQVTVYSRPKTAETENPHGRVEITHARVEITHARVEIPHGHVYRTRPWSRSIPALFNADSTPILVFISPSCERALARVSRGIGQGFGEVLRLRHRDSIRKKVGRGASIEAYPIPDDGILGRRVEDFPQDHRHDHRGGTFRLDQSVRSKSRKSLSLGIPRARCNSMRVRGVEIVRFLLRDMASARVSRGIGQGFGEVLGLRHLDSIRKKLQVMTRGNPSILIEGDPELERGTLGSKTPDKASQLIEEMGLNIYQWNAREKKKVAGLHEIDAVTSLAAQVESLSKKLDLITSNRVEAVTNCTGCGGGHAPSNCPIVIEATLRNHTASLHNLKNQVRQIAKSLSERPHGSLPSNTETNPREHVKAIALRSGHTTDEIVDEYIQEMFNPDLYEGLFDHEESNEEVMMLGSTGEETSTLGILKKVLQKMKRAQRRHRKCPNTVGDVHEPRKLDEPLLVGPKPDNRAQGRAAAPVDDHATGARPWAISTRACAFLQSWANFHENTQGCGLAPVGDLVNHAWAWIMAPRTKKQTDKRPRDSSSEPEGMRSAIPEHQAHYERLLRLRFGQTRFLDTTILRDLQQGDEFADDVEDLVSEGDSEEYAQLPTDYPGTLTPQRAYRVLCGQGQYEPAVFKATCLSRPTYRYLHAIISRSVNGRGDSTGVLSRQELLYLYSMVERVPIHLGHILANYIRHQG >DRNTG_31772.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13549076:13550710:1 gene:DRNTG_31772 transcript:DRNTG_31772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFTFPSDLHSSDDSDSGDHLLSLSSPVELSSLRPFDLEELVKGVAFDLSEKEIFCVEEQQVFDRVYSLVKGFSHLNSSCKFNLAETLRSNLSVLLPNLDSLSRAAPSSPSGCSGVAARVLSHRNALKIYTFFLLSIALGEQSRHEHPVGGSKAAVQSKKKNYLNSWNWESYRGRIINLIANSLEINLSLLFGSSEIDENYLSFVSRHF >DRNTG_34458.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18237288:18265354:-1 gene:DRNTG_34458 transcript:DRNTG_34458.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRCLIECLSSFAMFSLPSSFVLDLSNARLHSYENSPVTRFSCTCGRKHNVITSESVAGDTRKHGSASLITERISGADNPVTGCLPTAKLASAPLLQAMKISAEKDVACFHFPGHNRGKAVPQLMSQLIGPETFRYDLSALPELKDLFSPDGVISDAQKRAAELFGSSETWFLVGGTSCGVQASIMATCSPGETLILPRNAHYSAISGLILSGAVPKYIMPEYNSQWDIPGGITALQVEKAIEELKEDGKTAAAVLITSPTYHGICSNVREIVKLCHSHSIPVIVDEAHGAHFRFHPEFPSTALEQGADLAVQSTHKVLNSLTQSSMLHMSGQSCRQRHREQMPSNSSKLKPELSPSCIIGCRKSSV >DRNTG_34458.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18237288:18242066:-1 gene:DRNTG_34458 transcript:DRNTG_34458.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRCLIECLSSFAMFSLPSSFVLDLSNARLHSYENSPVTRFSCTCGRKHNVITSESVAGDTRKHGSASLITERISGADNPVTGCLPTAKLASAPLLQAMKISAEKDVACFHFPGHNRGKAVPQLMSQLIGPETFRYDLSALPELKDLFSPDGVISDAQKRAAELFGSSETWFLVGGTSCGVQASIMATCSPGETLILPRNAHYSAISGLILSGAVPKYIMPEYNSQWDIPGGITALQVEKAIEELKEDGKTAAAVLITSPTYHGICSNVREIVKLCHSHSIPVIVDEAHGAHFRFHPEFPSTALEQGADLAVQSTHKVLNSLTQSSMLHMSGQSCRQRHREQMPSNSSKLKPELSPSCIIGCRKSSV >DRNTG_34458.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18237288:18239028:-1 gene:DRNTG_34458 transcript:DRNTG_34458.9 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNLQQESVAGDTRKHGSASLITERISGADNPVTGCLPTAKLASAPLLQAMKISAEKDVACFHFPGHNRGKAVPQLMSQLIGPETFRYDLSALPELKDLFSPDGVISDAQKRAAELFGSSETWFLVGGTSCGVQASIMATCSPGETLILPRNAHYSAISGLILSGAVPKYIMPEYNSQWDIPGGITALQVEKAIEELKEDGKTAAAVLITSPTYHGICSNVREIVKLCHSHSIPVIVDEAHGAHFRFHPEFPSTALEQGADLAVQSTHKVLNSLTQSSMLHMSGQSCRQRHREQMPSNSSKLKPELSPSCIIGCRKSSV >DRNTG_34458.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18264074:18265354:-1 gene:DRNTG_34458 transcript:DRNTG_34458.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDSVSALWWCWLTTRESTPVSIHAIGFHDCVLACSFWLSIFSSCKATASGHSPGLPT >DRNTG_34458.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18264074:18265354:-1 gene:DRNTG_34458 transcript:DRNTG_34458.12 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDSVSALWWCWLTTRESTPVSIHAIGFHDCVLACSFWLSIFSSCKATASGHSPGLPT >DRNTG_34458.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18237288:18265354:-1 gene:DRNTG_34458 transcript:DRNTG_34458.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRCLIECLSSFAMFSLPSSFVLDLSNARLHSYENSPVTRFSCTCGRKHNVITSESVAGDTRKHGSASLITERISGADNPVTGCLPTAKLASAPLLQAMKISAEKDVACFHFPGHNRGKAVPQLMSQLIGPETFRYDLSALPELKDLFSPDGVISDAQKRAAELFGSSETWFLVGGTSCGVQASIMATCSPGETLILPRNAHYSAISGLILSGAVPKYIMPEYNSQWDIPGGITALQVEKAIEELKEDGKTAAAVLITSPTYHGICSNVREIVKLCHSHSIPVIVDEAHGAHFRFHPEFPSTALEQGADLAVQSTHKVLNSLTQSSMLHMSGQSCRQRHREQMPSNSSKLKPELSPSCIIGCRKSSV >DRNTG_34458.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18237288:18265354:-1 gene:DRNTG_34458 transcript:DRNTG_34458.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRCLIECLSSFAMFSLPSSFVLDLSNARLHSYENSPVTRFSCTCGRKHNVITSESVAGDTRKHGSASLITERISGADNPVTGCLPTAKLASAPLLQAMKISAEKDVACFHFPGHNRGKAVPQLMSQLIGPETFRYDLSALPELKDLFSPDGVISDAQKRAAELFGSSETWFLVGGTSCGVQASIMATCSPGETLILPRNAHYSAISGLILSGAVPKYIMPEYNSQWDIPGGITALQVEKAIEELKEDGKTAAAVLITSPTYHGICSNVREIVKLCHSHSIPVIVDEAHGAHFRFHPEFPSTALEQGADLAVQSTHKVLNSLTQSSMLHMSGQSCRQRHREQMPSNSSKLKPELSPSCIIGCRKSSV >DRNTG_34458.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18237288:18265354:-1 gene:DRNTG_34458 transcript:DRNTG_34458.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRCLIECLSSFAMFSLPSSFVLDLSNARLHSYENSPVTRFSCTCGRKHNVITSESVAGDTRKHGSASLITERISGADNPVTGCLPTAKLASAPLLQAMKISAEKDVACFHFPGHNRGKAVPQLMSQLIGPETFRYDLSALPELKDLFSPDGVISDAQKRAAELFGSSETWFLVGGTSCGVQASIMATCSPGETLILPRNAHYSAISGLILSGAVPKYIMPEYNSQWDIPGGITALQVEKAIEELKEDGKTAAAVLITSPTYHGICSNVREIVKLCHSHSIPVIVDEAHGAHFRFHPEFPSTALEQGADLAVQSTHKVLNSLTQSSMLHMSGQSCRQRHREQMPSNSSKLKPELSPSCIIGCRKSSV >DRNTG_34458.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18237288:18265354:-1 gene:DRNTG_34458 transcript:DRNTG_34458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRCLIECLSSFAMFSLPSSFVLDLSNARLHSYENSPVTRFSCTCGRKHNVITSESVAGDTRKHGSASLITERISGADNPVTGCLPTAKLASAPLLQAMKISAEKDVACFHFPGHNRGKAVPQLMSQLIGPETFRYDLSALPELKDLFSPDGVISDAQKRAAELFGSSETWFLVGGTSCGVQASIMATCSPGETLILPRNAHYSAISGLILSGAVPKYIMPEYNSQWDIPGGITALQVEKAIEELKEDGKTAAAVLITSPTYHGICSNVREIVKLCHSHSIPVIVDEAHGAHFRFHPEFPSTALEQGADLAVQSTHKVLNSLTQSSMLHMSGQSCRQRHREQMPSNSSKLKPELSPSCIIGCRKSSV >DRNTG_34458.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18237220:18242066:-1 gene:DRNTG_34458 transcript:DRNTG_34458.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFILMRTLPSLGLAVPVDESVAGDTRKHGSASLITERISGADNPVTGCLPTAKLASAPLLQAMKISAEKDVACFHFPGHNRGKAVPQLMSQLIGPETFRYDLSALPELKDLFSPDGVISDAQKRAAELFGSSETWFLVGGTSCGVQASIMATCSPGETLILPRNAHYSAISGLILSGAVPKYIMPEYNSQWDIPGGITALQVEKAIEELKEDGKTAAAVLITSPTYHGICSNVREIVKLCHSHSIPVIVDEAHGAHFRFHPEFPSTALEQGADLAVQSTHKVLNSLTQSSMLHMSGQSCRQRHREQMPSNSSKLKPELSPSCIIGCRKSSV >DRNTG_34458.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18237220:18242066:-1 gene:DRNTG_34458 transcript:DRNTG_34458.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFILMRTLPSLGLAVPVDESVAGDTRKHGSASLITERISGADNPVTGCLPTAKLASAPLLQAMKISAEKDVACFHFPGHNRGKAVPQLMSQLIGPETFRYDLSALPELKDLFSPDGVISDAQKRAAELFGSSETWFLVGGTSCGVQASIMATCSPGETLILPRNAHYSAISGLILSGAVPKYIMPEYNSQWDIPGGITALQVEKAIEELKEDGKTAAAVLITSPTYHGICSNVPS >DRNTG_15409.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4826491:4829695:-1 gene:DRNTG_15409 transcript:DRNTG_15409.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVRHSSSNSASSPSTSLHVQVSCQTEQNVKEDWAATMIQTAFRAFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRMALESQLGQQKLQQQLELEAHVREIEDGWCDSVGSVEDIQAKLLKRQEAAAKRERAMAYALSHQWQAGSRQQTAPPGFVPDKSNWGWNWLERWMAVRPWENRFLDINQKDGVPTHDTESADVNSGTKTRPKPVGRKPVARLQMGQSHSEGSGSSSSRSVSMPSVKSRTKPSTEEGMGEVMSRPSGMGPRSLSNPKERSKSSEFQSKKRLSLQGNGVGAGNRPSGRTTINKSTNAQKPNKDVTQREANQTKELLDPDANQIEPQT >DRNTG_15409.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4826491:4831833:-1 gene:DRNTG_15409 transcript:DRNTG_15409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMWKGDAANRHEKYSVNVNGASIDDEFADGAMPQVRHSSSNSASSPSTSLHVQVSCQTEQNVKEDWAATMIQTAFRAFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRMALESQLGQQKLQQQLELEAHVREIEDGWCDSVGSVEDIQAKLLKRQEAAAKRERAMAYALSHQWQAGSRQQTAPPGFVPDKSNWGWNWLERWMAVRPWENRFLDINQKDGVPTHDTESADVNSGTKTRPKPVGRKPVARLQMGQSHSEGSGSSSSRSVSMPSVKSRTKPSTEEGMGEVMSRPSGMGPRSLSNPKERSKSSEFQSKKRLSLQGNGVGAGNRPSGRTTINKSTNAQKPNKDVTQREANQTKELLDPDANQIEPQT >DRNTG_23203.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5577943:5580508:-1 gene:DRNTG_23203 transcript:DRNTG_23203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSRKRLMRDFKRLHQDPPAGISGAPHDNNIMLWNAVIFGPDDTPWDGGTFKLILQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVRDIVEQSWTAD >DRNTG_11570.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8036026:8037474:1 gene:DRNTG_11570 transcript:DRNTG_11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSILLITLLPLVVTLTLANLVYLCQSMDPDPIEDFCIADLTKIGDTLSSNYGFPCKPLSQVTSEDFVFSGLTKPGNTSNLFGSVVTSGNVDVFFQLSIHLVSLPTGLILLPAVFFRRTVTLVPPNSLLSFTVSC >DRNTG_28649.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17795972:17796365:1 gene:DRNTG_28649 transcript:DRNTG_28649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYARLKDGLLNPNFLRGINEFIDFAKMHPECMDGIKIKCPCNHRKCQNLLRIAIWSLWSKHLKHKKDMMSFLLWMKQLYTIRLLGE >DRNTG_35051.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20271380:20273800:-1 gene:DRNTG_35051 transcript:DRNTG_35051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTLPHHRTNYLQRARSRGSRGGEWML >DRNTG_33469.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4408974:4410947:1 gene:DRNTG_33469 transcript:DRNTG_33469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFMDSMCLRCAIELGVPDAIHNHDGPMTLSELVQALPMATTRAPFLRRIMRVLVNSGFFSIKGNESDGSNEEEVYYDLTANSKLLVTGSTNSLAPLVLFVTGSDVGMAGFAMSTWIKASDDDDKNETPFHVAHDGKGLFEFASERPEFNSLVNEAMACDNRVFIGEVVKNWGDVLFGGLRSLVDVGGGTGLAAAVIAGAFPEMKCSVLELDHVVDVQPENELVEFVRGDMFVQIPQADAFLLE >DRNTG_33469.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4408974:4409743:1 gene:DRNTG_33469 transcript:DRNTG_33469.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFMDSMCLRCAIELGVPDAIHNHDGPMTLSELVQALPMATTRAPFLRRIMRVLVNSGFFSIKGNESDGSNEEEVYYDLTANSKLLVTGSTNSLAPLVLFVTGSDVGMAGLAMSTWIQASDDDDKNETPFHVAHDGNGLFEFASERPEFNALLNEGMACDNRVLIGEMVKNWGDALFGGLRSLVDVGGGTGLAAAVIVGAYPEMKCSVLELDHVVDVQPENELVEFVRGNMFVQIPQADAFLLKVCFF >DRNTG_03295.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29070889:29072694:1 gene:DRNTG_03295 transcript:DRNTG_03295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQTRIHEVPTTTKGHLQPTTPADRTSTAWDMASLWIGLIFGVPSYYIAGSLVEEGMTWWQGIIIVVFAKIILLFPLLLTSHPGTRYGIPFPVLARSSFGIYGAHLPSFLRALVACGWFGIETWIGGQAIYLLLPEFIKEWAFAQTLDWLGTSPAELICYVIFWGIQLLLLLNGMKGIKKLERYSAPILVLLAFLLFTWAYLKAGGFGPMLSQPSQLTPSQFWYLFFPSLTANVGSWAAVALTIPDFTRYAKSQRDQVLGQFIIPIVMGCFSFIGLAVTSSTEVIFGYPISNPITLLSKISNSFTVILFIPAITLVIVTTNIPANIVAPANFLVSLNPRFFTFQKAAFLTSLFSLVFQPWKIFSNADSFVYTWLISYAAIIGPVCSIILTDYYIIRRTILNVDGLYSLNHTSEYYYCKGWNLVAVSSLVIAVAPIVPGFVHKLGILKTLPEALIFIYNVGWFFGFFMAAFLYGILSLRLGPKFRVGVPSSSSSLHDPLF >DRNTG_31438.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:710944:713222:1 gene:DRNTG_31438 transcript:DRNTG_31438.6 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDDKKKKKKKDNEKEKTKTKHKDENPHFKPFSSVKGIRFGTQFIVKSFTVRRAAPLELLRLLAIPPFIQSLPPSSFSFPFPSTTTYLPTNFTILAHHAWHTLTLGLGTNKSKVLLFVFETESMKSAIDHLWPPILPLGDVNKKLIRALTGCELSRFKFRKGCLTFYLYAVRRLGASGFTRAEDLRSILAAVVALKDFLDHTAMLALPSQKSITFQPPLAMAH >DRNTG_31438.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:710944:713222:1 gene:DRNTG_31438 transcript:DRNTG_31438.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDDKKKKKKKDNEKEKTKTKHKDENPHFKPFSSVKGIRFGTQFIVKSFTVRRAAPLELLRLLAIPPFIQSLPPSSFSFPFPSTTTYLPTNFTILAHHAWHTLTLGLGTNKSKVLLFVFETESMKSAIDHLWPPILPLGDVNKKLIRALTGCELSRFKFRKGCLTFYLYAVRRLGASGFTRAEDLRSILAAVVALKDFLDHTAMLALPSQKSITFQPPLAMAH >DRNTG_31438.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:710944:713222:1 gene:DRNTG_31438 transcript:DRNTG_31438.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDDKKKKKKKDNEKEKTKTKHKDENPHFKPFSSVKGIRFGTQFIVKSFTVRRAAPLELLRLLAIPPFIQSLPPSSFSFPFPSTTTYLPTNFTILAHHAWHTLTLGLGTNKSKVLLFVFETESMKSAIDHLWPPILPLGDVNKKLIRALTGCELSRFKFRKGCLTFYLYAVRRLGASGFTRAEDLRSILAAVVALKDFLDHTAMLALPSQKSITFQPPLAMAH >DRNTG_31438.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:710944:713222:1 gene:DRNTG_31438 transcript:DRNTG_31438.7 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDDKKKKKKKDNEKEKTKTKHKDENPHFKPFSSVKGIRFGTQFIVKSFTVRRAAPLELLRLLAIPPFIQSLPPSSFSFPFPSTTTYLPTNFTILAHHAWHTLTLGLGTNKSKVLLFVFETESMKSAIDHLWPPILPLGDVNKKLIRALTGCELSRFKFRKGCLTFYLYAVRRLGASGFTRAEDLRSILAAVVALKDFLDHTAMLALPSQKSITFQPPLAMAH >DRNTG_31438.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:710944:713353:1 gene:DRNTG_31438 transcript:DRNTG_31438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDDKKKKKKKDNEKEKTKTKHKDENPHFKPFSSVKGIRFGTQFIVKSFTVRRAAPLELLRLLAIPPFIQSLPPSSFSFPFPSTTTYLPTNFTILAHHAWHTLTLGLGTNKSKVLLFVFETESMKSAIDHLWPPILPLGDVNKKLIRALTGCELSRFKFRKGCLTFYLYAVRRLGASGFTRAEDLRSILAAVVALKDFLDHTAMLALPSQKSITFQPPLAMAH >DRNTG_31438.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:710944:713222:1 gene:DRNTG_31438 transcript:DRNTG_31438.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDDKKKKKKKDNEKEKTKTKHKDENPHFKPFSSVKGIRFGTQFIVKSFTVRRAAPLELLRLLAIPPFIQSLPPSSFSFPFPSTTTYLPTNFTILAHHAWHTLTLGLGTNKSKVLLFVFETESMKSAIDHLWPPILPLGDVNKKLIRALTGCELSRFKFRKGCLTFYLYAVRRLGASGFTRAEDLRSILAAVVALKDFLDHTAMLALPSQKSITFQPPLAMAH >DRNTG_31438.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:710944:711838:1 gene:DRNTG_31438 transcript:DRNTG_31438.8 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDDKKKKKKKDNEKEKTKTKHKDENPHFKPFSSVKGIRFGTQFIVKSFTVRRAAPLELLRLLAIPPFIQSLPPSSFSFPFPSTTTYLPTNFTILAHHAWHTLTLGLGTNKSKVLLFVFETESMKSAIDHLWPPILPLGDVNKKLIRALTGCELSRFKFRKGCLTFYLYAVRRLGASGFTRAEDLRSILAAVVALKDFLDHTAMLALPSQKSITFQPPLAMAH >DRNTG_31438.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:710944:713222:1 gene:DRNTG_31438 transcript:DRNTG_31438.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDDKKKKKKKDNEKEKTKTKHKDENPHFKPFSSVKGIRFGTQFIVKSFTVRRAAPLELLRLLAIPPFIQSLPPSSFSFPFPSTTTYLPTNFTILAHHAWHTLTLGLGTNKSKVLLFVFETESMKSAIDHLWPPILPLGDVNKKLIRALTGCELSRFKFRKGCLTFYLYAVRRLGASGFTRAEDLRSILAAVVALKDFLDHTAMLALPSQKSITFQPPLAMAH >DRNTG_03276.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8646927:8647567:1 gene:DRNTG_03276 transcript:DRNTG_03276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCKGALMSSIASSTKIVTQRQQGQMQDNSNPMIQMAMPTMETRRERKAKGRPRSQPRGRHSQSSQHIFFFFWFCFVLFFLGFFFCSLLLVRNENSILSF >DRNTG_07992.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27431292:27432828:1 gene:DRNTG_07992 transcript:DRNTG_07992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRSMVSLGAEMEELRRTLRSEIAVSDAGAWKRGKDGMTVKETRDKCVEEGMMICVTSGVSFLGFALVNSLLSRGYFVKLLVETQEDLDKLREMDMFGEDRRDRVYAVMANLMDLESLCSAFEGCVAVFHTSAFTDPSGVSGYTVSIINHEIHLLVFNHERKKNEISTFML >DRNTG_07992.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27431372:27432729:1 gene:DRNTG_07992 transcript:DRNTG_07992.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRSMVSLGAEMEELRRTLRSEIAVSDAGAWKRGKDGMTVKETRDKCVEEGMMICVTSGVSFLGFALVNSLLSRGYFVKLLVETQEDLDKLREMDMFGEDRRDRVYAVMANLMDLESLCSAFEGCVAVFHTSAFTDPSGVSGYTV >DRNTG_07992.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27431235:27432729:1 gene:DRNTG_07992 transcript:DRNTG_07992.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPLLLTTNPFSYIYNQSLHSLKRCERPKNLRKEEEKKRRREEEMGVLRSMVSLGAEMEELRRTLRSEIAVSDAGAWKRGKDGMTVKETRDKCVEEGMMICVTSGVSFLGFALVNSLLSRGYFVKLLVETQEDLDKLREMDMFGEDRRDRVYAVMANLMDLESLCSAFEGCVAVFHTSAFTDPSGVSGYTV >DRNTG_07992.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27431372:27432828:1 gene:DRNTG_07992 transcript:DRNTG_07992.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRSMVSLGAEMEELRRTLRSEIAVSDAGAWKRGKDGMTVKETRDKCVEEGMMICVTSGVSFLGFALVNSLLSRGYFVKLLVETQEDLDKLREMDMFGEDRRDRVYAVMANLMDLESLCSAFEGCVAVFHTSAFTDPSGVSGYTVSIINHEIHLLVFNHERKKNEISTFML >DRNTG_07992.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27431292:27432729:1 gene:DRNTG_07992 transcript:DRNTG_07992.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRSMVSLGAEMEELRRTLRSEIAVSDAGAWKRGKDGMTVKETRDKCVEEGMMICVTSGVSFLGFALVNSLLSRGYFVKLLVETQEDLDKLREMDMFGEDRRDRVYAVMANLMDLESLCSAFEGCVAVFHTSAFTDPSGVSGYTV >DRNTG_22812.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9248983:9255739:1 gene:DRNTG_22812 transcript:DRNTG_22812.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHPGRKSYHLESVKATLAAALERATLEDEEQYPSSPLLSIALSLTYLCFFFLFLLLLFTPHLINTIVTWLNSVENKIVESSKESFKLPPSIEALSENGTRNEEDNSEIEVEADIELLEVEEENDVQSIPLEQMVEVSPHDIIEEERGKDGIMAMEACEEVEETPSTTFEDAACLNIDFSIHPLMLIKCKNKIPGIVFEDVGRKRRSSLNPPMPGLDNSQKKIFPWWPKKTLWAIEVHHNMVERKIMDRMLKPPINPPMQSLTSSQPNLFPWRPKQHLWVVQGNLMLVEEENLGRRLKPSKDPPMPTLNNF >DRNTG_27555.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3011273:3012547:1 gene:DRNTG_27555 transcript:DRNTG_27555.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSSVVTPGFSHKRPHANGSAHLLTMVNSELTTPSPIRPNCGTLYCSVGLKSAVTIKIKCHNAILSDSNSRNRTRRLASRQAYGSAISFP >DRNTG_08443.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21343731:21345543:-1 gene:DRNTG_08443 transcript:DRNTG_08443.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDGLTNDEVKSHLQKYRLHTRRPMVAAQPAAAAPPQLVVLGGIWVPPEYATSAAAGPAGIYGAHPVPTHYCAQPVAQEFYSPATAAAVAAAQHHHHHHHQHHHVKPFPLRNNVGSSYKGRVVESPESDMRSAGERSESTGEGEEEEVERQEREDEDEEGDADVEVHEKSVAENALVLVNRGLQETSGLKL >DRNTG_09249.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000397.1:114207:119467:-1 gene:DRNTG_09249 transcript:DRNTG_09249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKGLHGLYEVLIEPARSSEKFLNFLDGLYALLIEPATSSVKFLNFLDGLYALLIEPARSSEKFLEFSRGLYELPIEAVRPVPRPGFLPFFTRIISSCHLWCSRAI >DRNTG_04852.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000227.1:17134:38395:-1 gene:DRNTG_04852 transcript:DRNTG_04852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLVPKALDSAVILDVQNISCGGRHAALVTKQGEIYAWGEESGGRLGHGVDFDASQPKLIDALVNMNIELVACGEFHTCAVTLSGDLYTWGDGNYNLGILGHGNIVSHWIPKRVNGPLDGIHVSSISCGPWHTAIVTSSGQLFTFGDGTFGVLGHGDRSSVSVPREVGSLKGLRTVRAACGVWHTAAVVEVLAGNSGSSHCSSGKLFTWGDGDKGRLGHGDKESKLVPTCVAALVEPNFCQVACGHSLTVALTTSGHVYSMGSSVYGQLGHPQADGKLPVRVEGKLLKNFVEEISCGAYHVAVLTSRTEVYTWGKGANGQLGHGDTEDRNSPTVVEALKDKQVRNIVCGRNFTAAICIHKWASGVDQSICSGCRLPFNFKRKRHNCYNCALVFCNSCSSKKSLKASMAPNANKPYRVCDSCYAKLWKTLENESSKSTINRKVNMIQGLSESIGKEKFNSRSHMQVSSFSSIEPLKNAEGRSFKRNKKFEFNSSRVSPIPLFGSSKKIFSASVPGTRIASRATSPTSRRTSPPRSTTPTPTLGGLTSPRLVIDDVKRTNDSLSQEVLRLKAQVENLTRKAQLQEIELERTTKQLKEAIAIAEEETVKCKAAKEVIKSLTAQLKDMAEKLPVGVIISSKIAALASTAVADETSNLSHSSHVPDLNCSNGLPVLDRANTLSTISKSCHSEVMSNGSQLTDADATVSEWVEQDEPGVYITLTSLPGGGKDLKRVRFSRKRFTEKQAEQWWAENRSRVYVKYNMQTIDRAATSVGNDDGSH >DRNTG_25271.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31518419:31519983:-1 gene:DRNTG_25271 transcript:DRNTG_25271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFIPQDVLITAVSQVMIASNEKGVRIVNSLSDKFMTEGLYGDNLRLQQILADFLVVSVKYSPNGGLVEITSEINKDQLGKDLHLVHLELRITHMGSGVPEELLSQMFGNGSELSEEGISLLVCRKLLKLMNGDVRYLREAGKSAFIIYLELASAPAPAPAPTPDKAKGTGYPMV >DRNTG_25271.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31518419:31519867:-1 gene:DRNTG_25271 transcript:DRNTG_25271.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLILYHANFLPTDVLISFNFKNDHYFLYADNVLLSIICSCLGLEMVEFIPQDVLITAVSQVMIASNEKGVRIVNSLSDKFMTEGLYGDNLRLQQILADFLVVSVKYSPNGGLVEITSEINKDQLGKDLHLVHLELRITHMGSGVPEELLSQMFGNGSELSEEGISLLVCRKLLKLMNGDVRYLREAGKSAFIIYLELASAPAPAPAPTPDKAKGTGYPMV >DRNTG_23929.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1114883:1118440:-1 gene:DRNTG_23929 transcript:DRNTG_23929.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRYGNTRLYVGRLSSRTRSRDLEHLFSRYGRL >DRNTG_23929.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1114883:1118440:-1 gene:DRNTG_23929 transcript:DRNTG_23929.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKHDFAFVEFSDPRDADDARYSLDGREFDGSRIIVEFARGGPRGSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKNLKRGSGRSYSRSPSPYRGGRSRSRSYSRSRSYSRSRTPPPKRSGRSTERDERRSRSPRGSRSPVPKKSPPPSRSHSASPIGSRSPRDRLSPVAKEHRQPEQERNGSDYSESPRRENRDDSRSPARYRSPDTNGRGASPMDDRDDGNHASSPRGSESPQD >DRNTG_23929.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1114883:1118440:-1 gene:DRNTG_23929 transcript:DRNTG_23929.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRYGNTRLYVGRLSSRTRSRDLEHLFSRYGRLLGILPSLEVYMVRGCPWCNWWPFLQCIDFGDSLCNVIACFIWKSQQSRSGVLFI >DRNTG_23929.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1114883:1118440:-1 gene:DRNTG_23929 transcript:DRNTG_23929.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHDFAFVEFSDPRDADDARYSLDGREFDGSRIIVEFARGGPRGSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKNLKRGSGRSYSRSPSPYRGGRSRSRSYSRSRSYSRSRTPPPKRSGRSTERDERRSRSPRGSRSPVPKKSPPPSRSHSASPIGSRSPRDRLSPVAKEHRQPEQERNGSDYSESPRRENRDDSRSPARYRSPDTNGRGASPMDDRDDGNHASSPRGSESPQD >DRNTG_23929.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1114883:1118440:-1 gene:DRNTG_23929 transcript:DRNTG_23929.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRYGNTRLYVGRLSSRTRSRDLEHLFSRYGRVRDVDMKHDFAFVEFSDPRDADDARYSLDGREFDGSRIIVEFARGGPRGSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKNLKRGSGRSYSRSPSPYRGGRSRSRSYSRSRSYSRSRTPPPKRSGRSTERDERRSRSPRGSRSPVPKKSPPPSRSHSASPIGSRSPRDRLSPVAKEHRQPEQERNGSDYSESPRRENRDDSRSPARYRSPDTNGRGASPMDDRDDGNHASSPRGSESPQD >DRNTG_11782.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:562097:563093:1 gene:DRNTG_11782 transcript:DRNTG_11782.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWNPNKSLNSIPHLLHLSLFIILQVSAEVHGNPANEIVDMINQNRTSNKLPKLYDSAGLGCMALQYISECTGNCSKNNTMNCRPPEVNITEVYAPNCGVELPTVGIISGHLLGCNWNELSPQQAFSSVLIQNKQMLTLLHSKEHTEVGVGFSKDHRGPNFWCVLFSSGKTNSSFVLEGGKGIEQKTGCFSGTDLPCSAGMKLFLSEILLAVVVVLLVFLHSCMLN >DRNTG_11782.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:562097:563056:1 gene:DRNTG_11782 transcript:DRNTG_11782.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWNPNKSLNSIPHLLHLSLFIILQVSAEVHGNPANEIVDMINQNRTSNKLPKLYDSAGLGCMALQYISECTGNCSKNNTMNCRPPEVNITEVYAPNCGVELPTVGIISGHLLGCNWNELSPQQAFSSVLIQNKQMLTLLHSKEHTEVGVGFSKDHRGPNFWCVLFSSGKTNSSFVLEGGKGIEQKTGCFSGTDLPCSAGMKLFLSEILLAVVVVLLVFLHSCMLN >DRNTG_11782.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:562097:563195:1 gene:DRNTG_11782 transcript:DRNTG_11782.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNPNKSLNSIPHLLHLSLFIILQVSAEVHGNPANEIVDMINQNRTSNKLPKLYDSAGLGCMALQYISECTGNCSKNNTMNCRPPEVNITEVYAPNCGVELPTVGIISGHLLGCNWNELSPQQAFSSVLIQNKQMLTLLHSKEHTEVGVGFSKDHRGPNFWCVLFSSGKTNSSFVLEGGKGIEQKTGCFSGTDLPCSAGMKLFLSEILLAVVVVLLVFLHSCMLN >DRNTG_11782.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:561982:563093:1 gene:DRNTG_11782 transcript:DRNTG_11782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNPNKSLNSIPHLLHLSLFIILQVSAEVHGNPANEIVDMINQNRTSNKLPKLYDSAGLGCMALQYISECTGNCSKNNTMNCRPPEVNITEVYAPNCGVELPTVGIISGHLLGCNWNELSPQQAFSSVLIQNKQMLTLLHSKEHTEVGVGFSKDHRGPNFWCVLFSSGKTNSSFVLEGGKGIEQKTGCFSGTDLPCSAGMKLFLSEILLAVVVVLLVFLHSCMLN >DRNTG_11782.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:561982:563056:1 gene:DRNTG_11782 transcript:DRNTG_11782.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWNPNKSLNSIPHLLHLSLFIILQVSAEVHGNPANEIVDMINQNRTSNKLPKLYDSAGLGCMALQYISECTGNCSKNNTMNCRPPEVNITEVYAPNCGVELPTVGIISGHLLGCNWNELSPQQAFSSVLIQNKQMLTLLHSKEHTEVGVGFSKDHRGPNFWCVLFSSGKTNSSFVLEGGKGIEQKTGCFSGTDLPCSAGMKLFLSEILLAVVVVLLVFLHSCMLN >DRNTG_29876.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2000882:2001337:1 gene:DRNTG_29876 transcript:DRNTG_29876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYIGDSHRSRRLLPTLIVVFAMLVASNINVASAYDEPPYDYKSPPPPSPSPPPPYYYQSPPPTHPTPIVKVVGLVYCYKCYNWKQPMESDIKKFLK >DRNTG_27909.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001415.1:12947:19071:-1 gene:DRNTG_27909 transcript:DRNTG_27909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQENKRTRLRSGIKTSDVRNPNHPKLHQSPISAHSFITKQTIHGCSGYPTNRYVCVCVCVCV >DRNTG_27909.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001415.1:12947:16981:-1 gene:DRNTG_27909 transcript:DRNTG_27909.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQENKRTRLRSGIKTSDVRNPNHPKLHQSPISAHSFITKQTIHGCSGYPTNRYVCVCVCVCV >DRNTG_13551.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:229164:229537:-1 gene:DRNTG_13551 transcript:DRNTG_13551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASGGLEGVEAQERGDGKAVRGGSGCAGAGATIARPARDWAAVKDVEKAMSLSLFHSH >DRNTG_13678.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22760053:22762182:-1 gene:DRNTG_13678 transcript:DRNTG_13678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGVRSRTRDLFARPFRKKGYIPLTTYLRTYKIGDFVDIKVNGAVHKGMPHKFYHGRTGKVWNVTKRAIGVEVNKQVGNRIIRKRIHVRVEHVLPSRCTEEFRERKKKNDQLKAEAKSRGEKISTKRQPEGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >DRNTG_31501.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18945323:18946815:1 gene:DRNTG_31501 transcript:DRNTG_31501.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPQNRVVNLTLSNSSLKGFLAPELGLLDFLQELVLSNNLFLGSIPKEIGLLKNLTVLDLSSNQFSGPVPAEIGDLTSVTKIDLHSNGLTGGIPLKLWNLANLVELRLDRNKLSGQILGTDTHGMTPSYDNRTGLCGLTSLKF >DRNTG_14976.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24066314:24066513:1 gene:DRNTG_14976 transcript:DRNTG_14976.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWVSAVPEQKRQRLEEASHSVKRSLEFAEPRRGESSSP >DRNTG_16691.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16223415:16226016:-1 gene:DRNTG_16691 transcript:DRNTG_16691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFRTNTIRFFKLLMILFTSRITMHTSDSDVSSSLSTLSLEGQFSFHDMSHAAKDFGNRFQLLPSAVLQPKSVSDISTTIKHVYQMGARSQLTVAAQGHRHSLQGQAQAPGGVVIQMESFKGPGIQVQQGKLPYVDVAGGELWINLLKETLKYGLAPKSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVYQLEIVTGKYNLFTQVHNK >DRNTG_23252.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9469363:9469852:-1 gene:DRNTG_23252 transcript:DRNTG_23252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSWGRAKCGIYAGCHIQSSNKDSKDYRNKSRRTWEPSVQEKHLFSYIHDRLKLVEKEA >DRNTG_34813.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:211055:216892:1 gene:DRNTG_34813 transcript:DRNTG_34813.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAFGRNSRIDTRRNSSYCSTATMGVFVALCLVGVWMMTSSTIVPGDMSSTGTNSEMKHQVSETDLKQFEDNQSDLPEDAIKGDTNSDANTNTNEPDPDSTLKEIENSANESKDNDQAENAAKGDKGAKDSWDDTSKGQTYNDVNGETEGGMASVESGKFDSDGHQISSGSEGQEKNLEQNSGEKNTGTNSDEAANDAQEKSVDQSFEGSGDNVQEKNMKQSSDETTNNAPEKNREQNSDGTGNNMEEKNIDQSSDETVNNGEDENMKQNSDVTESITQGNSQQKSNEDVKNEQEKQLEQNFDEATNNEMRQDGKVGKDEMGDGQINNTGNENQDNSGGNNVGDKQNDQAMNEVFPDGAQSELLNETNAQNGAWSTQATESKNERQAQITSASSSSKQSFEFTWKLCNSSARTDYIPCLDNDAAIKKLKSTKHYEHRERHCPEEAPTCLVPLPDQYRRSIEWPKSRGKVWFYNVPHTALVQYKGHQNWVKVSGEYLTFPGGGTQFKNGALHYIDKIQEALPGIAWGKQSRVVLDVGCGVASFGGYLFDRDVITMSFAPKDEHEAQVQFALERGIPAFSGVMGTKRLPFPGRVFDVIHCARCRVPWHIEGGMLLLELNRLLRPGGYFVWSATPVYQNIPEDVEIWRAMSALTKAMCWDMLMKTKDKLNRVGIAIYRKPLDNTCYDKRKAKSPPLCQESDDPNAAWNVPLQACMHRLPTNPAERGSRWPVQWPLRLETTPYWLNSSQTGVYGKPAPEDFTVDYAHWKYVVSNSYLNGMGINWGTVRNVMDMRAVYGGFAAALRDMKVWVMNIISIDAPDTLPIIYERGLFGMYHDWCESFSTYPRSYDLLHADHLFSNLKKRCKLLPVMAEVDRILRPEGKLIVRDKVEIINEIENMAKSLHWEVRLTFSKESEGLMCLQKTSWRPKEVEASTVS >DRNTG_08674.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4811628:4812503:1 gene:DRNTG_08674 transcript:DRNTG_08674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAKKVGFSSPAMITTNLISEHCCVAVLIISCFMLVISWTSS >DRNTG_10603.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18741:23128:-1 gene:DRNTG_10603 transcript:DRNTG_10603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSYCPTAREPKKKNRNLIMGLDVGSCFQKLYTAIQDLVNIERSLLKSLSPISDAKIRSVLSKNFHFILEEKIQTMLQNLETESLPKIKAIKEEAEMRFRGENQSLIMWLWELDDVICEAEDVLDEYKYKHLEQQVQRKQHAFVRSFYSYTSHVHYVSRVEAILKRLDQFSSRVDDFIKLIRSDYIDGNKGTAHTHACAGVVTTSWLYEQVFGRDEEIKHVIKLLLCNDDQSYQILPIIGVGGVGKTVLAKSVYNHPEIERHFDQRIWVYVPEDFDEVNITTSMVELASNGTCPDTKNLDELQKLLKDHVRDKRVLLVLDDVWYDEKSTELANKVRWMRLLAPLEYCHPGSMILVTSRMKLVAKIFANSIEPVVLKGLEEDDCFALFNRYLEKRVKIVALADPETPDEHLLEKGKKIVALADPQTSDKHLLEKGKKIVALADPQTPDEHLLEMGKKIVAEIIVARFSGLPLAVMVVAGMLRQMFDAEEWKYILRSDNAFQDVIKILMVSYQRLPQHLQRYVAHHSIFPKGMALSREYLIHSWIGDGLIIDLAEENNGLTVEDIASAYLNELVSRSFFQCRDDGLFVLDGLMHDVADFVTNDVCTRIEHDTRREIPPTIKHLRIDMERLAEYKKKICKLKNLRTLVFTCKSSGIEVPIDNELLGKTLKKAKRLRVLRLASCHIDQLPEWLGDLHHLRLLDLSDLQVKRLPRSVCRLYHLLYLDLMNFKADNMPGSLSKLINLRRIISDAATLDRFGKIGELTALQQLPLFSIKKKEGHKIGELKKLNKLRGELRISNLQNVSRSKELAGAKLKKKTCLHKLYLEWNNNGGASASETMEKVLDELQPPHQINDLEIVGYTGKRPPIWMQISVQHSLPCLKVLILNQWLGTLPLLDQWVPQLHQLELRKCSKLRCLPPLPLNLEKLLLSGCYLLAIITEADLVKGYAQEMKAGYDSRSVAVIEEEVRIQESLKKNNRAMAKLELQIQSLEASGYDLKPSAVGYDLEPSASSYDIEPSSSRLASLQSWGLSRKHDAIREKLTTKMQSIDRNVQPLPSSLTQLHLSNCFVDDSELLNCISKLTLLTHLVLAGCYCITSLPSEEVLSKLTLLQELRIEDCVLLTSLGGLGEHPSLRVLNIWSCPNLLALILSEGSSSALLPESLTDLAITDCELMTNELVSRCLRGLTRLKHLSLQQLKHITSFPSDDELSHLTSIESLTLKDCEKLVSMGGLHMLSSLSSLHVQGCLGLLESGTGDGPLSDSVPNITVDNISLMQALLSRKGLSSLQVLSIIGQDVPFTPEQEECLKHLTSLQTLSFKNCKSLSSLPSNLECLTSLKRLYIENCPQMNSITTLPTSFQIILDGSKAGS >DRNTG_17685.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30021807:30022790:1 gene:DRNTG_17685 transcript:DRNTG_17685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFSLLTSAGVLTGLLPIMVLVTATFFFLLFYSQNPNEHGKDSGLGGKDGGGGLPPGPPSLPIVGSLPMMLWRKPHFRWVLRKAEGKDITCIRLGNVHVIVVNSPELAREFLKKNDAIFASRPKTMATEYSGRGFLSVAFTPWGDQWKKMRRVIVSQVVNHQQFQRMAKMRGEEADNLVRYIQYQSKAGEEINLRKTLRYYTGTIIRRMLFGCRHFGKGAKGGMPGPGEEELEHVEAAFTALSLIYAFCASDFIPSLRIFDIDGHEKTMKK >DRNTG_30238.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:191013:193118:-1 gene:DRNTG_30238 transcript:DRNTG_30238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYVLGKMKTLKRKEVDDVSDDFSEFSLLSPSIKMRRLDVERPSVMQEEPAVPLVSQQQLLSEQIGSAAPEVDAVVEDVPLIPVNEERAIVLYKPIDTPLYLSPSSSNASFTVSSDMLPGLKNQAFLCQNSNLSVSNLLINCKEAANDALAMVPWVPTQATATSWSQVEGSSSSVSIEPMEAEEECASMELEEESEQASSSGTGAGVFQQWQRQHCMMPEIPTATTTTATTPVMW >DRNTG_06253.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8333896:8336249:1 gene:DRNTG_06253 transcript:DRNTG_06253.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHWKKHETVKVVCKPCRPGQVHQYADELSRLSKGIVIDIKANNTIMFYRGKNYVRPDVMSPPNTLSKEKALEKYRFEQSLEHTSHFIEKLEKELEEYHKHVALYKKKKETSIKPSKITDD >DRNTG_06253.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8334719:8336249:1 gene:DRNTG_06253 transcript:DRNTG_06253.4 gene_biotype:protein_coding transcript_biotype:protein_coding ALEKYRFEQSLEHTSHFIEKLEKELEEYHKHVALYKKKKETSIKPSKITDD >DRNTG_06253.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8331116:8336249:1 gene:DRNTG_06253 transcript:DRNTG_06253.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWIPFFSFDSISSKLQSLEVEAKRKEEWLIEKLRKYEIPKIPELENDPESLTEEERFYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVVCKPCRPGQVHQYADELSRLSKGIVIDIKANNTIMFYRGKNYVRPDVMSPPNTLSKEKALEKYRFEQSLEHTSHFIEKLEKELEEYHKHVALYKKKKETSIKPSKITDD >DRNTG_06253.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8331116:8336249:1 gene:DRNTG_06253 transcript:DRNTG_06253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIARTRTLVNRRIQCLHGFLSSHLTPSLPNSNPWRLRNYLMELSRVCSTVGSWNSGFSISKYSTHLCATKRGFMTVSSSFNATFPMTVQEIIPSGLCCLGRLHSVRFSSNSVVTLITDDNVVKFSFDEPNDKPGIQKNGKKTTKRKMSRKAKVNELKWYRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKYEIPKIPELENDPESLTEEERFYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVVCKPCRPGQVHQYADELSRLSKGIVIDIKANNTIMFYRGKNYVRPDVMSPPNTLSKEKALEKYRFEQSLEHTSHFIEKLEKELEEYHKHVALYKKKKETSIKPSKITDD >DRNTG_34539.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:974905:976968:1 gene:DRNTG_34539 transcript:DRNTG_34539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGVRSRTRDLFARPFRKKGYIPLTTYLRTYKIGDYVDIKVNGAVHKGMPHKFYHGRTGKVWNVTKRAIGVEVNKQVGNRIIRKRIHVRVEHVIPSRCTEEFRLRKKKNDELKAEAKARGEKISTKRRPEGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >DRNTG_03256.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20310162:20315203:-1 gene:DRNTG_03256 transcript:DRNTG_03256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSRKYSRKVRDHLLQHPSNHVLKPVSKP >DRNTG_13359.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2261406:2270388:-1 gene:DRNTG_13359 transcript:DRNTG_13359.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLLRVNLGRGVMISDGQSRFSINGKPIYHFVGTSTYSEYTVVHVGCVAKINPLAPLDKVCILGCGISSGEKLLVHVFIH >DRNTG_13359.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2260757:2270388:-1 gene:DRNTG_13359 transcript:DRNTG_13359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLIEVLLGSSGLINRFACISTAKNFGVSEFVNPKDHDKPVQEVIAEMTGGGVDRSIECTGDVDAMISAFECVHDVFSFELFFFRV >DRNTG_04069.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:18967605:18969748:1 gene:DRNTG_04069 transcript:DRNTG_04069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRVEYSLSAALVLLFLASPLYVVIREELILWKKKIQANKNQNQNPPPPLSITVEKPTDQKQETPQEKTVKEPSSPSSANSSKRFSLASLIKTFKAPERGEDYSILQALVSIDMIVLFFATICGVGGTLTAIDNMGQIGQSLGYPQRSISTFVSLISIWNCLGRLTSGFLSEILLAKFKFPRPLMLTGVLVLSCLGHLLIAFGVPNSLYFASVVIGFCFGAQWPLLFAIISEIFGLKYYSTLYNFGGVASPIGSYILNVKVTGLLYDREAEKMNLSTVTTSGAKDLTCIGVQCFRLSFLIITGATILGALVSLILVARTRKFYQGDIYKKFREAAEKELQVEMKPPSTTSIQSKESSEGHVTKE >DRNTG_28327.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:74775:75368:1 gene:DRNTG_28327 transcript:DRNTG_28327.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTLSTLFDVGGVVGGILAGHISDRLDARAITAASFMYCAIPVLFLYRIFGGVSLFWNITLMFITGIFVNGPYALITTAVSADLGTHSSLNGNSRALATVAAVIDGTGSVGAAIGPLLTGYISAESWNAVFTMLMVAALLAGLLLTRLVVAEVTAKIQAGRLPVTTAPSGSSVADQEV >DRNTG_28327.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:72863:75368:1 gene:DRNTG_28327 transcript:DRNTG_28327.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRIQARSTKPKGIRFLEYVRNKVFTFETYQTIVLAITFFAYAGYHATRKVTSIVKSVLDPDTKNLGSSHNPAILQGWVPFDGSDGTALLGEIDLAFLSVYSVGMYFAGHLGDRLNLRILLTVGMVGTGLFTSIFGFGYWLNIHSFFFYLVAQLLAGLFQSTGWPSVVAVVGNWFGKRKRGLIMGIWNAHTSVGNIAGSLIAAALLNYGWGWSFVVPSHIMVLLGLTVFLFLPVSPEEMDLSRHGELLKPSDKSGIRDHLLEGVLVDDVEEKAVGFMEAWRIPGVAPFAFCLFFSKLVAYTFLYWLPFYISHTAIDGVYLSDSMSGTLSTLFDVGGVVGGILAGHISDRLDARAITAASFMYCAIPVLFLYRIFGGVSLFWNITLMFITGIFVNGPYALITTAVSADLGTHSSLNGNSRALATVAAVIDGTGSVGAAIGPLLTGYISAESWNAVFTMLMVAALLAGLLLTRLVVAEVTAKIQAGRLPVTTAPSGSSVADQEV >DRNTG_30010.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001537.1:21408:23406:-1 gene:DRNTG_30010 transcript:DRNTG_30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWNRTPHSGYSSSVVSGLAQGSGEYFTRIGIGTPPSYSFMVVDTGSDLAWLQCLPCRRCYSQSAPIFDPRRSRTYASVPCGSALCRRLDASGCNLRRGACLYEVAYGDGSATMGDFSTETLTFRGGARLPRVALGCGHDNQGLFIAASGLLGLGRGPLSLPSQAASRLSRRFSYCLVDRTSPSSQPVTRASSLIFGPSAIPRSSSGIAYTPMVSNPSMDTFYYADLIGISVGGARVPGAELKLDQGTGRGGVIIDSGTSVTRLARAAYEAMRDAFKAGATELSPTAEGFSLFDTCYDLGDKSVVKVPTLVLHFAGGADVALPAENYLIPVDTKGTFCFPFAGTDGGVSIIGNIQQQGFRMVFDGETSRIGFVPGGC >DRNTG_24474.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18835318:18839353:-1 gene:DRNTG_24474 transcript:DRNTG_24474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASPAPCLLSWFAFWVDGMTGLVSSLEDDQKVVKKRKTELGGMFSVASWILFVGLLTTLLYQFITKRSIEVHRVKPAKASELKSFNNDMEFNITTLSSMSCSHLRGFDTLVIGTPGSIDYKISPLLTYANYSCYDTIWGPTISLKCSSCQVLLGNHYISWQFVDLPNSPAMAVGFQFNITAKDHACNKHVSFVSGTLKSESLTCDGPKTFRGPDMSILKIHLFPQKFKNLHNLQLIQPLLHDFLPGSSFSDVIDLRASLQSSLNGVVNTVLYIRYLSDYIVETDKENLLGLVGFFADAGGLYAITLAIFLYFLLQCEARIKKLQCEDSVMRDIRSQRRAQRHWDKLRKYVKYTWGRSNLVVKSRSRRRHISLRIGSFHVQNVVPEDFLTGILKSNVACSNQVCGVSNIDKKSMTMKEINLHTFV >DRNTG_02295.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:797912:803373:1 gene:DRNTG_02295 transcript:DRNTG_02295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRATLSRFPSDPDVQESCGLPWGVIVTPFAPADELGNPPAIGSDGDLLPRCENCWAYFNTHCELDQWSWSCILCGSLNGLTSRSIERYSHSQQACPEMCSSFIDLEIPVEGSGGEATLAMPVYVAAVDLSSSEEFLELIKSALLAALEALAPGSLFGIMTFSHKIGLYDIQGPIPVVKNVFIPPDSDGALPVDLEDAMPLLSFLAPVDEYKDRIAAALETLKPTTSWERTTAAGQGLDGILLGGRGFGVAMEALVKYLSSEYGNTFALARVFAFLSGPPDYGAGQLDTRRYGEQYASKGEDADLALLPEQTPFYKDLADVAVRSGVCVDIFAVTNEYTDLASLKFLSIESGGSLFLYSNTDDSTLPQDIYRMLIRPYAFGCILRLRTSSEFKPSHSYGHFFPDPQYENVQHVICCDSFATYAYDFEFSSNDGLSRHSDPPILQIAFQYSIMVPQEGPLNAQSESNNRPKYFVQRRLRIRTLQYTVAANINELYDNVDSESILSILVHKVILASLEQGVREGRMLLHDWLVILTAQYNDAYKLAQHEIGSVISSVDVTFTQCPQLQPLPRLVFALLRSPLLRFHEEGVHPDYRIYLQCLFSALEPTSLQRAIYPVLTSYSSPDKQAYPRHSLSRAALITSGSPIFFLDAFTDLIVYYSSTADPSLPFPPPQDCLLRSTINRLKQERSITPKLKFIRGGQDDAYLFESHLIEEQDVDGNGIPSIVGFVSFLEEVTRDVMEYMK >DRNTG_27641.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18705651:18707170:-1 gene:DRNTG_27641 transcript:DRNTG_27641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQERVCQETTSQILCTAEIASYNPLPAYYQPSAEEVNEYIIFSNGANMYYSELPRRVLHNCFLYDSDSRFVPLDLLLMKPWDEVDLIVFGPGIIKYDDETGFYHGTEPGQSSPNSLPIKDTDGFPIFLSALKKWRIKRFEYSCFLYLYKPMLPRIVLLLLSSPLHLHTHAP >DRNTG_30822.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19701949:19705079:-1 gene:DRNTG_30822 transcript:DRNTG_30822.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPEKPACRTPSFLVLNSPDPPAPWHELTGSVKHSLLSHGKKLGSFSKCITLASYALFPVLQWGRNYDLKMFRSDLLAGLTLASLGIPQSIGYANLAKLDPQYGLYTSFVPPLIYAVMGTSRDIAIGPVAVVSLLISSMIQKVVDPSLDPISYRKMVFTATFFAGIFQASFGLFRLGFLVDFLSHAAIVGFMAGAAIVIGLQQLKGLLGINHFTNNTDVVSVIKAVWIAVHQPLHPGNFLIGCSFLIFILITRFLGRRNRKYFWLPAIAPLLSVILSTLLVFVTKGDKHGIKTIKHVQRGLNPSSAKQLQLSGPLTGASAKIGLISAAIALAEALAVGRSFATIKGYQLDGNKEMIAMGCMNIAGSLSSCYVATGSFSRTAVNFSAGCKTTVSNIVMAITVFIALQLLTKLLYYTPITILASIILSALPGLIDLREACNIWEVDKMDFLASAGAFFGVLFGSVEIGLSVAIIISFTRIILNLIWPRIEILGRIQGTDIFCSIRQYSVAVRTQGLLITRINSCFLCFMNANYIRERILSWVIEEKDAMENAKERTRSVIIDMTNVMNIDTTGLAAIEDIHKKLASLEIQLAIANPGWQVIHKMKKAKLVDRIGRSWIFLAVPQAVEACLALKRESGHLC >DRNTG_10439.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4706287:4721434:-1 gene:DRNTG_10439 transcript:DRNTG_10439.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNGCSKREDVIPDSSQAQASVDPGNPVALTWHRQLTDEGRKLSHFKLFLREKLQLAHIGVRLGRYVIEEAAKGHVAVIDFLRKRSLTSCQGIPLGGIGAGSIGRTYKGDFQRWQLFAGACEDGAVLANQFSVFISRSDGKKYSTVLFPEKPETLKAHAVSGIGSWDWNLNGKKSTYHAVYPRAWTVYNGEPDPELKIVCRQFSPIIPHNYRESSLPVAIFTFTLTNLGETVADVKLLFTLANSVGGNSEFSGYHSNYRMVNKDGVRGVLLHHRTANGKPPITFAIAAQETSEVHVSECPRFVISGNSKDFTASDMWHAMKKHGSFNHLDYIETSTPSKLGTSIGAAVAASVTVPPKAVRTVTFSLAWASPESEVS >DRNTG_10439.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4706287:4721434:-1 gene:DRNTG_10439 transcript:DRNTG_10439.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVLEKIHIPAASNSAFGTTLLQGEENIGQFLYLEGIEYSMWNTYDVHFYSSFSLLILFPKLELSIQRDFAAAVMMHDPEKVHIISNGKWVPRKVLGAVPHDIGLNDPWFKVNAYNLHNTNRWKDLNPKFVLQVYRDAVFTGDKSFARAVWPAVYTAMAYMDQFDKDKDEMIENEGCPDQTYDVWSVTGVSAYCGGLWVAALQAASAMANEVGDMESRILFWQKYLKAKAVYEKLWNGSYFNYDDNGGRTSSSIQADQLAGQWYARACGLSPIVDEEKAQKALEKIFNFNVLKVKDGKRGAVNGMRPDGTVDMSAIQSREIWSGVTYSVAATMIQEGMVQAGFRTAQGVYEAAWSQDGLGYAFQTPEAWNTDDEYRSLCYMRPLAIWAMQWALSPPKLHKEQETDITENDAASQQNSSFSKVANFLQLPEDTSKSFIRVVYEITCNRWRS >DRNTG_10439.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4706287:4721434:-1 gene:DRNTG_10439 transcript:DRNTG_10439.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVLEKIHIPAASNSAFGTTLLQGEENIGQFLYLEGIEYSMWNTYDVHFYSSFSLLILFPKLELSIQRDFAAAVMMHDPEKVHIISNGKWVPRKVLGAVPHDIGLNDPWFKVNAYNLHNTNRWKDLNPKFVLQVYRDAVFTGDKSFARAVWPAVYTAMAYMDQFDKDKDEMIENEGCPDQTYDVWSVTGVSAYCGGLWVAALQAASAMANEVGDMESRILFWQKYLKAKAVYEKLWNGSYFNYDDNGGRTSSSIQADQLAGQWYARACGLSPIVDEEKAQKALEKIFNFNVLKVKDGKRGAVNGMRPDGTVDMSAIQSREIWSGVTYSVAATMIQEGMVQAGFRTAQGVYEAAWSQDGLGYAFQTPEAWNTDDEYRSLCYMRPLAIWAMQWALSPPKLHKEQETDITENDAASQQNSSFSKVANFLQLPEDTSKSFIRVVYEITCNRWRS >DRNTG_10439.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4706287:4712893:-1 gene:DRNTG_10439 transcript:DRNTG_10439.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVLEKIHIPAASNSAFGTTLLQGEENIGQFLYLEGIEYSMWNTYDVHFYSSFSLLILFPKLELSIQRDFAAAVMMHDPEKVHIISNGKWVPRKVLGAVPHDIGLNDPWFKVNAYNLHNTNRWKDLNPKFVLQVYRDAVFTGDKSFARAVWPAVYTAMAYMDQFDKDKDEMIENEGCPDQTYDVWSVTGVSAYCGGLWVAALQAASAMANEVGDMESRILFWQKYLKAKAVYEKLWNGSYFNYDDNGGRTSSSIQADQLAGQWYFSDNQNHVLILSF >DRNTG_04962.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3951795:3952019:-1 gene:DRNTG_04962 transcript:DRNTG_04962.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKWKKVAAFERKITSPRANEHYDFNSCSTSSVAEKAHFNVNPIDRKLFMIPLAYLKNVVFMELLKISEEEFG >DRNTG_17834.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4821534:4822026:-1 gene:DRNTG_17834 transcript:DRNTG_17834.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSAKVVPQSSPDPPRDGLGAPQELVELPKLHPYRVCSAT >DRNTG_02408.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000113.1:117715:122550:1 gene:DRNTG_02408 transcript:DRNTG_02408.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol kinase [Source:Projected from Arabidopsis thaliana (AT1G80460) UniProtKB/Swiss-Prot;Acc:Q9M8L4] MEILESVRVCTAKAMDKATAEGFNVDGGLKAIGLTNQRETTVIWSKSSGLPLYNAIVWMDVRTSSICRRLEKELSGGRTHFFEACGLPISTYFSALKILWLIENVEDVRKAIQKGDALFGTIDTWLIWNLTGGCRGLNRDQNRVNGVHVTDCSNASRTMLMNLKTLDWDKPTLETLGIPVEILPKIVSNSETIGVIANGWPLSGVPIAGCLGDQHAAMLGQLCRKGEAKSTYGTGAFILLNTGEEVIYSSNGLLSTIAYKLGPNAETNYALEGSIAIAGAAVQWLRDGLGIIQSAKEIEDLALLVENSGGVYFVPAFNGLFAPWWRDDARGVCLGITRFTNKGHIARAVLESMCFQVKDVLDSMHKDAEEKVMKEGEFLLRVDGGATVNNLLMQIQADLLGSAVVRPADIETTALGAAYAAGLAIGIWTTEKIFDLEHKEKPTVFHPKLGEEQRKKRNESWFKAVSRTFDLADLSI >DRNTG_12410.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12341819:12347257:-1 gene:DRNTG_12410 transcript:DRNTG_12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVSSHEVLLGDPVHLRGSNHSHTALLKPCGILMISMILCLFDQDEDNEEIMMLGLMEEVPSTPGILKKALRRLKRARRRNRKCSKTVGDLRELNNLGEPFQDFPESTQGHGLAPVGDLVKIARAWVISTCPYESLQRSSPHPEKTQGRAAAPMSWASTPGHAAAPVSRPHGRGYLSHACVFAFSDSECFLESTQGPAPAPVKLSCGGRVVRPSMKKRSQCGLQCTDFGGNLAKYKGDGHATKYNLTVGLQSSHASNTSNAPRMNHKYTTIAIDKIKSSKYTSSPQGSPASGDLGLYSSMQTNTSNKSMKTTTNGIRKLPQIIEVGRQAKLGGNASKDAAMGAASLVVYKLPKKRSMKI >DRNTG_29772.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1934072:1937167:-1 gene:DRNTG_29772 transcript:DRNTG_29772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEEGFSEDIANPMKRRYSRSELESLRFAGVDYQRRLWDEVYQRLGSVVAGEFDCLRHPKQQPQRKPKKKEPPITFSEVLTENFGLGLDELDETDDLANNYLAGNSSGIDVEETLEDDDDSSDSESDSIQRPAFYVEGEPDFESGSPQDGMEYLRRVRWEANQIPKVKVAKLKPNKISNEQTNYMPNIPEIAKCPPNLLPSKQWEEAFLSEFLEIRKAFSGLENPCNQLFNSEISQNSCKKFEGKQWPEGTPTVSAILCMDVVSRAATLQKIISMLETARSLSKNDCQWLFALCVSVDNPLHAETSASLRCLLRKCMSLLAEKSEFDDEVVMLNMLATIAGKYFGQSGK >DRNTG_05923.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5178927:5180465:-1 gene:DRNTG_05923 transcript:DRNTG_05923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRELEICKEFSIPAVSFFTSSACSTAFDHATRKISLDDLSSREILTVPGLPDDISITLSDLAPRRPPPPRGGHPPPPPPFGPIHHHGVPPPGGSHALTPRPPPRGGHPPGPPAQSGHPLPSGPPPPPGLEQTDGTIALLFNTCDDLERPFIEYIAKETKRPVWAVGPLLPARFWEASLGSSVMIHDDEIRPTRDSSFSEMEIIQWLDSKPRGSVIYVSFGTVVGLGEDELAELAAGLEESNRPFIWVLHAGSETSRELARQAGDRGLVVEGWAPQLLILSHESTGGFISHCGWNSTVEAIGLGVPMLTWPVHGDQVYNAKLVTSRLKTGYPVADGSGVTKDHVVQGIERLMMDFEMRTRAASLRAIFKDGFPRSSSASLETFLDFLSTKLM >DRNTG_26687.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9136955:9141464:-1 gene:DRNTG_26687 transcript:DRNTG_26687.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,4-dihydroxy-2-naphthoyl-CoA synthase, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G60550) UniProtKB/Swiss-Prot;Acc:Q8GYN9] MAKSTPKRLCGALLVMTRERNSWILFMRKAVGEGIAKITINRPERRNAFRPQTIKELIRAFDDARDDSSIGVIILTGKGTKAFCSGGDQALRKSDGYVDYDSVGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDLTIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVS >DRNTG_26687.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9136955:9141464:-1 gene:DRNTG_26687 transcript:DRNTG_26687.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,4-dihydroxy-2-naphthoyl-CoA synthase, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G60550) UniProtKB/Swiss-Prot;Acc:Q8GYN9] MAKSTPKRLCGALLVMTRERNSWILFMRKAVGEGIAKITINRPERRNAFRPQTIKELIRAFDDARDDSSIGVIILTGKGTKAFCSGGDQALRKSDGYVDYDSVGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDLTIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKKAREMWFLSRFYDAFEAEKMGLVNTVVPLEKLELETVKWCREILCNSPTAIRVLKSALNAADDGHAGLQELGGNATLIFYGTEEAQEGKTAYMERRRPDFSKFPRKP >DRNTG_26687.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9136955:9141464:-1 gene:DRNTG_26687 transcript:DRNTG_26687.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,4-dihydroxy-2-naphthoyl-CoA synthase, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G60550) UniProtKB/Swiss-Prot;Acc:Q8GYN9] MAKSTPKRLCGALLVMTRERNSWILFMRKAVGEGIAKITINRPERRNAFRPQTIKELIRAFDDARDDSSIGVIILTGKGTKAFCSGGDQALRKSDGYVDYDSVGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDLTIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVS >DRNTG_06844.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6303912:6308291:-1 gene:DRNTG_06844 transcript:DRNTG_06844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTEGGRSFARRDLLLRIQTEVQKVWEDHKVFNAESRSKPPEPGEKFFGNFPYPYMNGSLHLGHAFSLSKLEFGAAFHRLCGRNVLLPFAFHCTGMPIKASADKLAREIQKYGNPPVFPSAEDDSATEVSEEANTEDATVVARDKFKSKRSKATAKSGGNKSQWEIMKSFGISEGEISKFADPYHWLTHFPPLAKEDLKAFGLGCDWRRSFITTDMNPFYDSFVQWQMRKLKNMGKIVKDMRYTIYSPLDGQPCADHDRASGEGVQPQDYVLIKMEVVVPFQGKLKILEGRKVYLAAATLRPETMYGQTNAWVLPDGKYGAFEINDTDVFIITHRAALNLSYQKLSKVPENPTCLVELSGHDLIGLPLKSPLAFNEIIYSLPMLTILTDKGTGIVTSVPSDSPDDYMALLDLKSKPALRAKFGVKDEWVLPFEVVPIIHIPEFGDKAAEKVCVDLKIKSQNDKEKLTEAKKLTYLKGFTEGLMIVGEFKGKKVQEAKPLIRNALLETGQAVMYSEPEKKVMSRSGDECVVALTDQWYITYGEAEWEAKADDCLSSMKLYSEETRHGFEHTLSWLNQWACSRSFGLGTRLPWDEQFLVESLSDSTLYMAFYTVAHLLHNGNMYGSDSSMVKPAQMTDEVWDYIFCGGPVPISDIPASLLNNMKQEFEYWYPFDLRVSGKDLIQNHLTFCIYNHTAILPKHQWPLGFRCNGHIMLNSEKMSKSTGNFRTLRQAIEEFSSDATRFSLADAGDGMDDANFVFETANAAILRLTKEISWMEEVLAAESSLRVGSASTYADRVFGNEINIAVRSTEQNYKDFMFREALKTGFYDLQAARDEYRFSCGSGGMNRDLLWRFMDVQTRLITPICPHYAEHVWKHLLKKDGFIVNAGWPAAETPDLTLKSANKYLQDTIGLMRKLLQKQVAGPKKSKKGAPPPPAEENKFTVGLIYVNEQYDGWKEQCLNILRSKFDIQNRSFKPDQEILEALRQSSVGQDANFKQIQKLCMPFLRFKKDEAFAVGPQALDLRLPFGEMEVLNENSELIKRQLGLEHVEVLSASDAAALNKAGPHISLVTQNPPSPGNPISVFLSSSEFETHTQS >DRNTG_30142.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3259073:3260888:-1 gene:DRNTG_30142 transcript:DRNTG_30142.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDDSSIFAVKRIDRNREGSDQIFETELEILGSIKHINLVNLRGYCRLPTAKLLIYDYLALGSLDHYLHDHGEDEQQLNWNARMKIALGSARGLAYLHHDCSPVIIHRDIKSSNILLDRSLDPHVSDFGLAKLLVDDDANVTTVVAGTFGYLAPEYLNDGHATEKSDVYSFGVLLLELVTGKRPTDPCFVRRGLNVVGWLNTLNEGDRLEEIIDEKCGNVDAEAVEAVLDIAAMCTDANPDERPTMNRVLQMLEDEFMSPRSRDFYESHHLDII >DRNTG_30142.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3259073:3262726:-1 gene:DRNTG_30142 transcript:DRNTG_30142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYLSLLAFFTLFSLCITPSCSLTPDGEALLELKSGFNDSKGFLRSWKHKDHNPCGWLGVTCHFPDLRVRSINLPYMQLSGFISPSIGKLQRLHRLALHRNSLHGPIPPEIKNCSELRAIIPAELGELVHLTILDLSSNLLRGTIPPSIGRLVNLRFLNLSTNFFSGEIPNVGVLNTFRNTSFVGNLELCGLPIQKLCRGSLGFPAVLPHSDNVASQGFESAGVPPMQTRRTPHFLNGVLIGAMSTLGLALVAILGFLWICLLSRKGNLSEKYVKVDKQHVPNIGTKLVTFHGDIPYSSEEIIKKLEQLDEEDVVGSGGFGTVYKMVMDDSSIFAVKRIDRNREGSDQIFETELEILGSIKHINLVNLRGYCRLPTAKLLIYDYLALGSLDHYLHDHGEDEQQLNWNARMKIALGSARGLAYLHHDCSPVIIHRDIKSSNILLDRSLDPHVSDFGLAKLLVDDDANVTTVVAGTFGYLAPEYLNDGHATEKSDVYSFGVLLLELVTGKRPTDPCFVRRGLNVVGWLNTLNEGDRLEEIIDEKCGNVDAEAVEAVLDIAAMCTDANPDERPTMNRVLQMLEDEFMSPRSRDFYESHHLDII >DRNTG_20849.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16017436:16018592:1 gene:DRNTG_20849 transcript:DRNTG_20849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIWSSHTSIGNILGSVTASSVREVKARAYPSSSQVCLSFWLGSLFGVSWWLIQEKWDSKPRFLQYIYIYIYIYIYIYKFHFAIICCMWRFMALKNLINH >DRNTG_09497.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21616938:21624642:-1 gene:DRNTG_09497 transcript:DRNTG_09497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGWRFAVDHQLDIGQILLEAKNRWLRPSEICEILRNYKNFTLTPDPPYKPSSGSLFLFDRKVLRYFRKDGHSWRKKKDGKTVREAHEKLKCGSIDVLHCYYAHGEDNENFQRRSYWMLDGHLEHIVLVHYRDVNEGSSSSMPHLLNSNMHNAQHSSAHTLSSELEGADSGDDYSGLSKPLTSLYSRQGHSQNSTLHEDHYKIRGTSQSAGFCGGTDCVTTSTAWRETPGKYDNLQDQKISLEQPNCTNSIAMAMTDSVLGFNTSTNGIFLNDTLLQGPTGSSMTRAQVKPSCSANANSPVSHNSTVGTASVMHCVPDEHHFPVAPQFKSDRDCQADFAAADQPLEFLKEASYSKQNTAKGNIGNGEFGELKKLDSFGRWMNNEIGRDCDNSLMASDSGSYWNTIDTQNDDKEVTSLSVHMQLDTESLGPSISQEQLFSILDFSPDWAYSDVETKVLISGTFLGGINPNSIKWCCMFGEYEVSAEVLTENVLRCRAPPHTPGRVPFYITRSNRLACSEVREFEYREGLSNGKSLSRKRESGDEIHLHICFAKMLTLGVVACSLEGCHNCARISDLILSSSRENNGWGEIELQSKAFQRCQGDLRDALIQKLLEGKLVEWLACRAHEESKGPNVLDEDGMGVIHYASALGYEWAINLIVGAGVNPNFRDARGRTGLHWAAFYGREEAVVTLLKLGAAPGLVEDPSSAYPEGRTAADLASSRGHKGIGGYLAEADLTSHLSTITLEESIIDNVAANLAAERAIEKVSAQSLVPLDGEEELVPLRGSLAAVRNSAQAAARIQAAFRMHSFRQRELIKSRENPEIPSEVLIASSLCKVLKIDHFNDSLHAAAAVKIQQKFRGWKGRKDFLKIRNRIVKIQAHVRGHQVRRQYRKVVWSVSIVEKAILRWRRKGSGLRGYRADKPAAPVAADIGPTDDYEYLRLGRKLKVAGVEKALARVQSMVRHPEGRDQYMRLLASSCGPKFGDGQSSSAQVQCAEEKRVAEDLLKTMTD >DRNTG_09497.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21616938:21624642:-1 gene:DRNTG_09497 transcript:DRNTG_09497.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTDSVLGFNTSTNGIFLNDTLLQGPTGSSMTRAQVKPSCSANANSPVSHNSTVGTASVMHCVPDEHHFPVAPQFKSDRDCQADFAAADQPLEFLKEASYSKQNTAKGNIGNGEFGELKKLDSFGRWMNNEIGRDCDNSLMASDSGSYWNTIDTQNDDKEVTSLSVHMQLDTESLGPSISQEQLFSILDFSPDWAYSDVETKVLISGTFLGGINPNSIKWCCMFGEYEVSAEVLTENVLRCRAPPHTPGRVPFYITRSNRLACSEVREFEYREGLSNGKSLSRKRESGDEIHLHICFAKMLTLGVVACSLEGCHNCARISDLILSSSRENNGWGEIELQSKAFQRCQGDLRDALIQKLLEGKLVEWLACRAHEESKGPNVLDEDGMGVIHYASALGYEWAINLIVGAGVNPNFRDARGRTGLHWAAFYGREEAVVTLLKLGAAPGLVEDPSSAYPEGRTAADLASSRGHKGIGGYLAEADLTSHLSTITLEESIIDNVAANLAAERAIEKVSAQSLVPLDGEEELVPLRGSLAAVRNSAQAAARIQAAFRMHSFRQRELIKSRENPEIPSEVLIASSLCKVLKIDHFNDSLHAAAAVKIQQKFRGWKGRKDFLKIRNRIVKIQAHVRGHQVRRQYRKVVWSVSIVEKAILRWRRKGSGLRGYRADKPAAPVAADIGPTDDYEYLRLGRKLKVAGVEKALARVQSMVRHPEGRDQYMRLLASSCGPKFGDGQSSSAQVQCAEEKRVAEDLLKTMTD >DRNTG_09497.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21616938:21624642:-1 gene:DRNTG_09497 transcript:DRNTG_09497.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIIRLGELHNQQVFVVELTVSQPQPHGVKPQENSVLGFNTSTNGIFLNDTLLQGPTGSSMTRAQVKPSCSANANSPVSHNSTVGTASVMHCVPDEHHFPVAPQFKSDRDCQADFAAADQPLEFLKEASYSKQNTAKGNIGNGEFGELKKLDSFGRWMNNEIGRDCDNSLMASDSGSYWNTIDTQNDDKEVTSLSVHMQLDTESLGPSISQEQLFSILDFSPDWAYSDVETKVLISGTFLGGINPNSIKWCCMFGEYEVSAEVLTENVLRCRAPPHTPGRVPFYITRSNRLACSEVREFEYREGLSNGKSLSRKRESGDEIHLHICFAKMLTLGVVACSLEGCHNCARISDLILSSSRENNGWGEIELQSKAFQRCQGDLRDALIQKLLEGKLVEWLACRAHEESKGPNVLDEDGMGVIHYASALGYEWAINLIVGAGVNPNFRDARGRTGLHWAAFYGREEAVVTLLKLGAAPGLVEDPSSAYPEGRTAADLASSRGHKGIGGYLAEADLTSHLSTITLEESIIDNVAANLAAERAIEKVSAQSLVPLDGEEELVPLRGSLAAVRNSAQAAARIQAAFRMHSFRQRELIKSRENPEIPSEVLIASSLCKVLKIDHFNDSLHAAAAVKIQQKFRGWKGRKDFLKIRNRIVKIQAHVRGHQVRRQYRKVVWSVSIVEKAILRWRRKGSGLRGYRADKPAAPVAADIGPTDDYEYLRLGRKLKVAGVEKALARVQSMVRHPEGRDQYMRLLASSCGPKFGDGQSSSAQVQCAEEKRVAEDLLKTMTD >DRNTG_03620.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11474263:11474469:-1 gene:DRNTG_03620 transcript:DRNTG_03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPTFGGPPMMAKGSLVQDLYELHKKTFTHSSASPSPIAI >DRNTG_03895.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18910705:18915584:-1 gene:DRNTG_03895 transcript:DRNTG_03895.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEEHSPVPSALRSLPQRHDPHRRAMDMSMTHHQYHLPDFTHLPDPSPTCKLTSREHRWTLCCSFFSHWLKVSRGAVFRCRS >DRNTG_03895.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18910705:18915584:-1 gene:DRNTG_03895 transcript:DRNTG_03895.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEEHSPVPSALRSLPQRHDPHRRAMDMSMTHHQYHLPDFTHLPDPSPTCKLTSREHRWTLCCSFFSHWLKVSRGAVFRCRS >DRNTG_17733.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4119024:4119734:-1 gene:DRNTG_17733 transcript:DRNTG_17733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAIKHDDYQKVSITKTIPVYPKAPAKHAKLLHLSNLDRKCPMLMYLVFFYRSPSPHKELSESSLFFSLKMALEEVLSSWYPAAGRLFLNPSTQKLDLLCSNSGALLVEASTRVKISELGDLSQYNSFMENLVYKPPLSTSFSEMPLVVAQVTRFGCGGYAVGVGTSHSLFDGPASYNFLRAW >DRNTG_03741.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000175.1:22406:24100:1 gene:DRNTG_03741 transcript:DRNTG_03741.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSKSILKRSVAKPEKKMKEPNKPLQTPPKIRNFNFVWKIQKFSQLPSHKGFKCESGDFYAFDSWWRLQLVKEGNQYLGLHLCMQCAPSHFRFFDFSVKFKLSVLDQMGENHWTVEPKNAILSTSKKCGFSKFIELEELYGVDNCYVMDDTCVFELEIFSFTPIMKRIETLAVQVYEPEMHKWIIPNFSELPKMGPVTESFTMFDILWKLELYPNGRSESHRNVSLSLFYESSTPRPSAEFTLSIIDQIKGEHKKMTASTTFKFMGQNWVFLNFLALKDLHEPSKGYIVNDTCQVEVEIKILGFVDS >DRNTG_33236.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1340575:1342872:-1 gene:DRNTG_33236 transcript:DRNTG_33236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMIRQHEMEYLSSLEASYLNLSDATFKDLRRKLPVTRTLFPWQNTLQFSLTKDISKELGIGK >DRNTG_33236.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1340575:1341804:-1 gene:DRNTG_33236 transcript:DRNTG_33236.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYRLCGIDTLRLIFPQSPEDSAISIMNMIRQHEMEYLSSLEASYLNLSDATFKDLRRKLPVTRTLFPWQNTLQFSLTKDISKELGIGK >DRNTG_10322.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13768983:13769898:1 gene:DRNTG_10322 transcript:DRNTG_10322.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGFPRSLSLPGTLAGKPRSSEKSRHVRSTSLPSPSNPLISHLGDEIQALRASLSHPHSSTSISSTLSLLDHLLSSLHDLLLLPQSQDSIRRPRSASWTDHLLDDSLRLADAFGSFRSEIITLRDHQSAAQTAIRRRDEPRLASSLRSVKKTEKDLVRLASTIRETTRSAADLADAELAGVVRDAMLVVASASESVLLGVSSVSSASSAVRSLWRLASMPAAPAAKKKEMEDEEWRRRELERMERMEEWMAEMETKSEGVFKGLVNIRVSLLNMI >DRNTG_23455.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:752143:753653:-1 gene:DRNTG_23455 transcript:DRNTG_23455.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPTSQSWGPSSPPSASPPTSFTTSLRKRKFSAWTSDLLFTFPALSKIMFYLLVASEG >DRNTG_19792.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15822269:15825132:1 gene:DRNTG_19792 transcript:DRNTG_19792.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTKKPRKRWGRTDGEALRSPLKSEQQWKRLSSGTDERRSRHGF >DRNTG_20974.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11459944:11460876:-1 gene:DRNTG_20974 transcript:DRNTG_20974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCNKSLLLLHITILISSTCSVQEGKDPRDIDKIIRDYAFGSFGHHRRTAVLYTVPMPPSLSSVSTHIVRYRSGSLWKHGAKIKEFLIQPGTVLHPHSKRILVIHENFGNLSYTCFNHMNVHGYQLVSPVLGLLIYNASKVSRRRTSNESLIEVMITKKPIRIDFSSVMTFQGPQSLCVLVGLDGKLSVLNKVSHNVCVAWRQGHFALVVPKSEVKDGGTNGGEAVKLSKWRLVMVCSATAVLAAVLMVLIIVAVVSVRKRRFQVTEMERRAYEDEALQVSMVDHVRAPTATVSRTSPVIETEYEPPLQ >DRNTG_03833.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23464707:23465771:-1 gene:DRNTG_03833 transcript:DRNTG_03833.1 gene_biotype:protein_coding transcript_biotype:protein_coding METESAVVVLRIEITEVHKTQAAQHVEVIAHFDTLQQLLERDVSSPFIMRPRTPSGSSSGIITSHAPIDPPIHHCKLQQQSRHRMT >DRNTG_31073.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30741371:30743590:-1 gene:DRNTG_31073 transcript:DRNTG_31073.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g16890, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G16890) UniProtKB/Swiss-Prot;Acc:Q9LSQ2] MMARRKVAPSDATYRSLINGTFRCLETRTAYEMLCGLLERETALHEHACGTLLHCLSMNGMGGEAIDFIRRMSVKSYLPDTTVFGVVIACAVKNLGCAEVCELMDDFVGRGGRPGFNTYLTIITYLLQERKLTEADRFVNRMVLDELVCSVVSYNMLIDCFVKAEIMEIAMGVFKEMLKKGFLPNLVTYNTLISGHSKVGDVNMARDVLKMLLEHGFKPDVFTFSSIIDGLCRVYQIDDAFDCFHEMAEWGVPPNAVTYNILIRSLCIVGDVHKSMKLLKMMREAGIDPDVYSFNALILNFCKTKKMDKAQNLFDSMLRLGVVPDRYTYNAIIEALCDAHKIEEAKETLLTMEANDCAPDLHPYSLIINALSYMGRFQEAQELVSECEGRGISVDSLPTIKIEPKIEKVVNS >DRNTG_10086.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1551078:1553323:1 gene:DRNTG_10086 transcript:DRNTG_10086.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPFAGGVFLVSIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSL >DRNTG_22218.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13607075:13610477:1 gene:DRNTG_22218 transcript:DRNTG_22218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAVMKGSGGAAKPKPDGKGKAVLASPEVKKKVEGSVKLGSDSKQKSVTVVTKSEVKGNSGSRSSKTLTKTTTVKRKTEKKVYTLPGQKHDPPEEREPLRIFYESLSKQIPSSEMAEFWMMEHGLLPPDKAKKAYEKKQRRQQQLRTGTPIKSPPIKSFKSERPESSNKSQVTKNGDAKVKKRVSYSDDDDDFIVKAKKPKI >DRNTG_31465.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1891197:1905345:1 gene:DRNTG_31465 transcript:DRNTG_31465.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ homolog subfamily C GRV2 [Source:Projected from Arabidopsis thaliana (AT2G26890) UniProtKB/Swiss-Prot;Acc:F4IVL6] MDFVSRHAADPRPSPPEPSPNPSSSSNSASTAPPEEPEYLARYLVVKHSWRGRYKRILCISSAAIITLDPNTLAVTNSYDVADGFEEAAPVLGRGDDPGSQEFTLSLRTDARGKFKHIRFSSRFRVSILTELHRLRGGKLGPVAEFPVLHLRRRTAEWVPYRLKVTSVGVELLEANSGEPRWCLDFRDMNSPAVILLADNFGKRSSESGGFVLCPLYGRKSKAFLAASGASNTTIISYLTKTAKSTVGLLLSVDSSQSMTAADFLKKRAKEAVGAEETPYGEWSVTRLRSAAHGTAYIESLSLGIGPKGGLGDQGDSVSRQLILTKVSLVERRPENYEAVIVRPLSVVSSLVRFAEEPQMFAIEFNDGCPIHVYASTSRDSLLAAVRDVLQTEGQCPVPVLPRLTMPGHRIDPPCGRALLLIQQSPPGQQHPVSDIESSSMHLKHLAAAAKDAVAEGASVPGPRAKLWRRIREFNACVPYGGVPPNVDVPEVVLMAVISMLPVTPNLPPEAPPPPAPSPKAAATLMGFTACLRRLLVSRNAASHVMAFPAAVGRVMGLLRNGSDGVASEVAGFIAMLIGGGPGDTGALMNLRGEQHATYMHTKSVLFGHPNYVTVLVNRLRPSSVSPLLSMSVVEVLEAMLCESHGETTQHTTFVELLRQVAGLRRRLFALFGHPAESVRETVAVIMRTIAEEDAIAAESMRDAALRDGALLRHLLHAFFLPAGERRDVSRQLVALWADSYQPALDLLSRVLPPGLVAYLHTRSDATQEDSQNQFNEDAPSTRRRRRILQQRKGRLCKGITSQEQVIPQINNVENGDLARQIGHGASVGNENQQRSSQDSNFGQYAVSPSVPSANPMNESCSAAGVHSSSSATNPSVNFAQTNITPLSDSNASDPVDSDNLTGPLNPDLPTPAQIVVENTPVGSGRLLCNWYEFWKAFGLDHNRADLIWNERTRQELREALQAEVHKLDVEKERSEDIVPRGSMVGVKPGQENAPQISWNYVEFSVSYPSLSKEVCVGQYYLRLLLESSSNFRAQDFPLRDPPAFFRALYHRFLCDADTGLTVDGAIPDELGSSDDWCDMGRLDGFGGGGGSSVRELCARAMAIVYEQHYKVIGPFDGTAHITVLLDRTDDRALRHRLLLLLKALMKVISNVEACVLVGGCVLAVDLLTVAHEASERTSIPLQSNLIAATAFMEPLKEWMFIDNDGTQVGPLEKDAIRRFWSKKAIDWTTRCWASGMSDWKRLRDIRELRWALAIRVPVLTPVQVGENALSLLHSMVAAHSDLDDAGEIVTPTPRVKRILSSTRCLPHVAQAILTGEPGIVENAASLLKAIVTRNPKAMIRLYSTGAFYFALAYPGSNLLSIAHLFSVTHVHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPTAFAAAMVSDSDTPEIIWTHKMRAEHLIRQVLQHLGDFPQKLSQHCHSLYDYAPMPPVTYPELKDEMWCHRYYLRNLCDEIRFSNWPIVEHVEFLQSLLAMWREELTRRPMDLSEEEACKILEISLDDIVTGENAGNGQTLQSDGDNHSANKKVLSIDEEKLKRQYRKLAIKYHPDKNPEGREKFVAVQKAYERLQATMQGLQGPQVWRLLLLLKSQCILYRRYGDVLEPFKYAGYPMLLNAVTVDKDDNNFLSSDRVALLIAASELIWLTCASSSLNGEELIRDDGIPLLATLLSRCMCVVQPTTPANEPSAVIVTNVMRTFSVLSQFDTARTEILKFGGLIDDIVHCTELELAPSAVDAALQTAAHVCVSAELQDALLRAGLLWYLLPLLLQYDSTAEENDVNEAHGVGARVQIAKNLHAVRATQALSRLYGFSGDGISTPQNHEAVNALKALLTPKLAKMLNDQVPKDLLSNLNSNLESPEIIWNSSTRAELLKFVDKQRVSQGPDGSYDLTESHSFMYEALSKELHVGNVYLRVYNNQPDFEISEPEAFCVALLKFISDLVHHLSSSGPEFKKKIKQHEIPDDSSEFQNGTANGMSSKENDDDYLDSNGEEITKEDFEMLNNLQVSLTSLQNLLTSNPGLASVFSTKEQLVPLFECLTVAALNDNNIPKTCLIVLSLLTVYAPCLEAMVAERANLILLLQMLHSNPICREGALAVLYSLAGTPELAWAAAKHGGVVYILELILPLQEEIPLQQRAAAASLLGKLVSQPMHGPRVAITLARFLPDGLVSAIRDGPGEAVVTSLEQTTETPELVWTPAMAASLSAQLSTMASDLYQEQMKSRVVDWDIPEQASGQHVMRDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYVSSIAATHYDTHTADSELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAMAYEGRRETMAAGESKSGSHARSDEYDESENTKAEASGQTFQERVRLSCLRVLHQLASSTTCAEAMAATSAGTPQVVPLLMKAIGWQGGSILALETLKRVVVAGNRARDALVAQGLKVGLVEVLLGLLDWRAGGRHGLCAQMKWNESEASIGRVLAVEVLHAFATDGAHCAKVRDILNSSDVWSAYKDQKHDLFLPSNAQSAAAGVAGLIESSSSRLTYALTAPQPQPALVRLPSSSSPSSATTAAKSEWRPL >DRNTG_20091.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:367604:368102:1 gene:DRNTG_20091 transcript:DRNTG_20091.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKSLFQTKSEAQGGQINCKELKSSVVGKITEAGGRIDYVEIVEQESLKPVEKIESPVVMCIAAWFGKVRLIDNMEIVL >DRNTG_30202.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3599225:3599836:-1 gene:DRNTG_30202 transcript:DRNTG_30202.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLRRDVISSSALLLITKPHGCHFPPHQPFLRVHCPQCSRTPLSAKSPLSTASKKLAEYNSRLFGTLWKERMINSLEEKLKVVEVNRLMIHSMIIKVVKMKDMVQIKPGELGS >DRNTG_23788.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8815409:8821593:-1 gene:DRNTG_23788 transcript:DRNTG_23788.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFSFIWQPTLHKPSLMAHVVRVLPKLKTFRIHYANCSTYNADFDGDEMNVHLPQDEVSHAEAFGIVDANNQYIVPTSGDPIRGLIQDHIVSAILLTRKDMFLTHDEYNQLLYACCVSIAASSSQVSKFGEKVTVLCSSNEIQPLLPAILKPKPLWTRKQVISSILSYVTRGRKPFTVEKDGRIPKEYFGKDLTEHKLFILNNELIHGIIDKAQFG >DRNTG_23788.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8815409:8821593:-1 gene:DRNTG_23788 transcript:DRNTG_23788.7 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFSFIWQPTLHKPSLMAHVVRVLPKLKTFRIHYANCSTYNADFDGDEMNVHLPQDEVSHAEAFGIVDANNQYIVPTSGDPIRGLIQDHIVSAILLTRKDMFLTHDEYNQLLYACCVSIAASSSQVSKFGEKVTVLCSSNEIQPLLPAILKPKPLWTRKQVISSILSYVTRGRKPFTVEKDGRIPKEYFGKDLTEHKLFILNNELIHGIIDKAQFG >DRNTG_23788.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8815409:8821593:-1 gene:DRNTG_23788 transcript:DRNTG_23788.16 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVVRVLPKLKTFRIHYANCSTYNADFDGDEMNVHLPQDEVSHAEAFGIVDANNQYIVPTSGDPIRGLIQDHIVSAILLTRKDMFLTHDEYNQLLYACCVSIAASSSQVSKFGEKVTVLCSSNEIQPLLPAILKPKPLWTRKQVISSILSYVTRGRKPFTVEKDGRIPKEYFGKDLTEHKLFILNNELIHGIIDKAQFG >DRNTG_23788.27 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8815409:8821593:-1 gene:DRNTG_23788 transcript:DRNTG_23788.27 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVVRVLPKLKTFRIHYANCSTYNADFDGDEMNVHLPQDEVSHAEAFGIVDANNQYIVPTSGDPIRGLIQDHIVSAILLTRKDMFLTHDEYNQLLYACCVSIAASSSQVSKFGEKVTVLCSSNEIQPLLPAILKPKPLWTRKQVISSILSYVTRGRKPFTVEKDGRIPKEYFGKDLTEHKLFILNNELIHGIIDKAQFG >DRNTG_23788.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8815409:8821593:-1 gene:DRNTG_23788 transcript:DRNTG_23788.19 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVVRVLPKLKTFRIHYANCSTYNADFDGDEMNVHLPQDEVSHAEAFGIVDANNQYIVPTSGDPIRGLIQDHIVSAILLTRKDMFLTHDEYNQLLYACCVSIAASSSQVSKFGEKVTVLCSSNEIQPLLPAILKPKPLWTRKQVISSILSYVTRGRKPFTVEKDGRIPKEYFGKDLTEHKLFILNNELIHGIIDKAQFG >DRNTG_23788.28 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8815409:8820881:-1 gene:DRNTG_23788 transcript:DRNTG_23788.28 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFSFIWQPTLHKPSLMAHVVRVLPKLKTFRIHYANCSTYNADFDGDEMNVHLPQDEVSHAEAFGIVDANNQYIVPTSGDPIRGLIQDHIVSAILLTRKDMFLTHDEYNQLLYACCVSIAASSSQVSKFGEKVTVLCSSNEIQPLLPAILKPKPLWTRKQVISSILSYVTRGRKPFTVEKDGRIPKEYFGKDLTEHKLFILNNELIHGIIDKAQFG >DRNTG_23788.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8815409:8821593:-1 gene:DRNTG_23788 transcript:DRNTG_23788.9 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFSFIWQPTLHKPSLMAHVVRVLPKLKTFRIHYANCSTYNADFDGDEMNVHLPQDEVSHAEAFGIVDANNQYIVPTSGDPIRGLIQDHIVSAILLTRKDMFLTHDEYNQLLYACCVSIAASSSQVSKFGEKVTVLCSSNEIQPLLPAILKPKPLWTRKQVISSILSYVTRGRKPFTVEKDGRIPKEYFGKDLTEHKLFILNNELIHGIIDKAQFG >DRNTG_23788.26 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8815409:8821593:-1 gene:DRNTG_23788 transcript:DRNTG_23788.26 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVVRVLPKLKTFRIHYANCSTYNADFDGDEMNVHLPQDEVSHAEAFGIVDANNQYIVPTSGDPIRGLIQDHIVSAILLTRKDMFLTHDEYNQLLYACCVSIAASSSQVSKFGEKVTVLCSSNEIQPLLPAILKPKPLWTRKQVISSILSYVTRGRKPFTVEKDGRIPKEYFGKDLTEHKLFILNNELIHGIIDKAQFG >DRNTG_23788.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8815409:8821593:-1 gene:DRNTG_23788 transcript:DRNTG_23788.18 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFSFIWQPTLHKPSLMAHVVRVLPKLKTFRIHYANCSTYNADFDGDEMNVHLPQDEVSHAEAFGIVDANNQYIVPTSGDPIRGLIQDHIVSAILLTRKDMFLTHDEYNQLLYACCVSIAASSSQVSKFGEKVTVLCSSNEIQPLLPAILKPKPLWTRKQVISSILSYVTRGRKPFTVEKDGRIPKEYFGKDLTEHKLFILNNELIHGIIDKAQFG >DRNTG_23788.25 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8815409:8821593:-1 gene:DRNTG_23788 transcript:DRNTG_23788.25 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVVRVLPKLKTFRIHYANCSTYNADFDGDEMNVHLPQDEVSHAEAFGIVDANNQYIVPTSGDPIRGLIQDHIVSAILLTRKDMFLTHDEYNQLLYACCVSIAASSSQVSKFGEKVTVLCSSNEIQPLLPAILKPKPLWTRKQVISSILSYVTRGRKPFTVEKDGRIPKEYFGKDLTEHKLFILNNELIHGIIDKAQFG >DRNTG_23788.20 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8815409:8821593:-1 gene:DRNTG_23788 transcript:DRNTG_23788.20 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFSFIWQPTLHKPSLMAHVVRVLPKLKTFRIHYANCSTYNADFDGDEMNVHLPQDEVSHAEAFGIVDANNQYIVPTSGDPIRGLIQDHIVSAILLTRKDMFLTHDEYNQLLYACCVSIAASSSQVSKFGEKVTVLCSSNEIQPLLPAILKPKPLWTRKQVISSILSYVTRGRKPFTVEKDGRIPKEYFGKDLTEHKLFILNNELIHGIIDKAQFG >DRNTG_23788.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8815409:8821593:-1 gene:DRNTG_23788 transcript:DRNTG_23788.13 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFSFIWQPTLHKPSLMAHVVRVLPKLKTFRIHYANCSTYNADFDGDEMNVHLPQDEVSHAEAFGIVDANNQYIVPTSGDPIRGLIQDHIVSAILLTRKDMFLTHDEYNQLLYACCVSIAASSSQVSKFGEKVTVLCSSNEIQPLLPAILKPKPLWTRKQVISSILSYVTRGRKPFTVEKDGRIPKEYFGKDLTEHKLFILNNELIHGIIDKAQFG >DRNTG_23788.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8815409:8821593:-1 gene:DRNTG_23788 transcript:DRNTG_23788.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFSFIWQPTLHKPSLMAHVVRVLPKLKTFRIHYANCSTYNADFDGDEMNVHLPQDEVSHAEAFGIVDANNQYIVPTSGDPIRGLIQDHIVSAILLTRKDMFLTHDEYNQLLYACCVSIAASSSQVSKFGEKVTVLCSSNEIQPLLPAILKPKPLWTRKQVISSILSYVTRGRKPFTVEKDGRIPKEYFGKDLTEHKLFILNNELIHGIIDKAQFG >DRNTG_23788.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8815409:8821593:-1 gene:DRNTG_23788 transcript:DRNTG_23788.11 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFSFIWQPTLHKPSLMAHVVRVLPKLKTFRIHYANCSTYNADFDGDEMNVHLPQDEVSHAEAFGIVDANNQYIVPTSGDPIRGLIQDHIVSAILLTRKDMFLTHDEYNQLLYACCVSIAASSSQVSKFGEKVTVLCSSNEIQPLLPAILKPKPLWTRKQVISSILSYVTRGRKPFTVEKDGRIPKEYFGKDLTEHKLFILNNELIHGIIDKAQFG >DRNTG_23788.24 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8815409:8821593:-1 gene:DRNTG_23788 transcript:DRNTG_23788.24 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVVRVLPKLKTFRIHYANCSTYNADFDGDEMNVHLPQDEVSHAEAFGIVDANNQYIVPTSGDPIRGLIQDHIVSAILLTRKDMFLTHDEYNQLLYACCVSIAASSSQVSKFGEKVTVLCSSNEIQPLLPAILKPKPLWTRKQVISSILSYVTRGRKPFTVEKDGRIPKEYFGKDLTEHKLFILNNELIHGIIDKAQFG >DRNTG_23788.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8815409:8821593:-1 gene:DRNTG_23788 transcript:DRNTG_23788.14 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVVRVLPKLKTFRIHYANCSTYNADFDGDEMNVHLPQDEVSHAEAFGIVDANNQYIVPTSGDPIRGLIQDHIVSAILLTRKDMFLTHDEYNQLLYACCVSIAASSSQVSKFGEKVTVLCSSNEIQPLLPAILKPKPLWTRKQVISSILSYVTRGRKPFTVEKDGRIPKEYFGKDLTEHKLFILNNELIHGIIDKAQFG >DRNTG_23788.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8815409:8821593:-1 gene:DRNTG_23788 transcript:DRNTG_23788.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFSFIWQPTLHKPSLMAHVVRVLPKLKTFRIHYANCSTYNADFDGDEMNVHLPQDEVSHAEAFGIVDANNQYIVPTSGDPIRGLIQDHIVSAILLTRKDMFLTHDEYNQLLYACCVSIAASSSQVSKFGEKVTVLCSSNEIQPLLPAILKPKPLWTRKQVISSILSYVTRGRKPFTVEKDGRIPKEYFGKDLTEHKLFILNNELIHGIIDKAQFG >DRNTG_23788.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8815409:8821593:-1 gene:DRNTG_23788 transcript:DRNTG_23788.8 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFSFIWQPTLHKPSLMAHVVRVLPKLKTFRIHYANCSTYNADFDGDEMNVHLPQDEVSHAEAFGIVDANNQYIVPTSGDPIRGLIQDHIVSAILLTRKDMFLTHDEYNQLLYACCVSIAASSSQVSKFGEKVTVLCSSNEIQPLLPAILKPKPLWTRKQVISSILSYVTRGRKPFTVEKDGRIPKEYFGKDLTEHKLFILNNELIHGIIDKAQFG >DRNTG_23788.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8815409:8821593:-1 gene:DRNTG_23788 transcript:DRNTG_23788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFSFIWQPTLHKPSLMAHVVRVLPKLKTFRIHYANCSTYNADFDGDEMNVHLPQDEVSHAEAFGIVDANNQYIVPTSGDPIRGLIQDHIVSAILLTRKDMFLTHDEYNQLLYACCVSIAASSSQVSKFGEKVTVLCSSNEIQPLLPAILKPKPLWTRKQVISSILSYVTRGRKPFTVEKDGRIPKEYFGKDLTEHKLFILNNELIHGIIDKAQFG >DRNTG_23788.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8815409:8821593:-1 gene:DRNTG_23788 transcript:DRNTG_23788.10 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFSFIWQPTLHKPSLMAHVVRVLPKLKTFRIHYANCSTYNADFDGDEMNVHLPQDEVSHAEAFGIVDANNQYIVPTSGDPIRGLIQDHIVSAILLTRKDMFLTHDEYNQLLYACCVSIAASSSQVSKFGEKVTVLCSSNEIQPLLPAILKPKPLWTRKQVISSILSYVTRGRKPFTVEKDGRIPKEYFGKDLTEHKLFILNNELIHGIIDKAQFG >DRNTG_23788.21 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8815409:8821593:-1 gene:DRNTG_23788 transcript:DRNTG_23788.21 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVVRVLPKLKTFRIHYANCSTYNADFDGDEMNVHLPQDEVSHAEAFGIVDANNQYIVPTSGDPIRGLIQDHIVSAILLTRKDMFLTHDEYNQLLYACCVSIAASSSQVSKFGEKVTVLCSSNEIQPLLPAILKPKPLWTRKQVISSILSYVTRGRKPFTVEKDGRIPKEYFGKDLTEHKLFILNNELIHGIIDKAQFG >DRNTG_23788.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8815409:8821593:-1 gene:DRNTG_23788 transcript:DRNTG_23788.12 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFSFIWQPTLHKPSLMAHVVRVLPKLKTFRIHYANCSTYNADFDGDEMNVHLPQDEVSHAEAFGIVDANNQYIVPTSGDPIRGLIQDHIVSAILLTRKDMFLTHDEYNQLLYACCVSIAASSSQVSKFGEKVTVLCSSNEIQPLLPAILKPKPLWTRKQVISSILSYVTRGRKPFTVEKDGRIPKEYFGKDLTEHKLFILNNELIHGIIDKAQFG >DRNTG_23788.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8815409:8821593:-1 gene:DRNTG_23788 transcript:DRNTG_23788.15 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVVRVLPKLKTFRIHYANCSTYNADFDGDEMNVHLPQDEVSHAEAFGIVDANNQYIVPTSGDPIRGLIQDHIVSAILLTRKDMFLTHDEYNQLLYACCVSIAASSSQVSKFGEKVTVLCSSNEIQPLLPAILKPKPLWTRKQVISSILSYVTRGRKPFTVEKDGRIPKEYFGKDLTEHKLFILNNELIHGIIDKAQFG >DRNTG_23788.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8815409:8821593:-1 gene:DRNTG_23788 transcript:DRNTG_23788.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFSFIWQPTLHKPSLMAHVVRVLPKLKTFRIHYANCSTYNADFDGDEMNVHLPQDEVSHAEAFGIVDANNQYIVPTSGDPIRGLIQDHIVSAILLTRKDMFLTHDEYNQLLYACCVSIAASSSQVSKFGEKVTVLCSSNEIQPLLPAILKPKPLWTRKQVISSILSYVTRGRKPFTVEKDGRIPKEYFGKDLTEHKLFILNNELIHGIIDKAQFG >DRNTG_23788.23 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8815409:8821593:-1 gene:DRNTG_23788 transcript:DRNTG_23788.23 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVVRVLPKLKTFRIHYANCSTYNADFDGDEMNVHLPQDEVSHAEAFGIVDANNQYIVPTSGDPIRGLIQDHIVSAILLTRKDMFLTHDEYNQLLYACCVSIAASSSQVSKFGEKVTVLCSSNEIQPLLPAILKPKPLWTRKQVISSILSYVTRGRKPFTVEKDGRIPKEYFGKDLTEHKLFILNNELIHGIIDKAQFG >DRNTG_23788.22 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8815409:8821593:-1 gene:DRNTG_23788 transcript:DRNTG_23788.22 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVVRVLPKLKTFRIHYANCSTYNADFDGDEMNVHLPQDEVSHAEAFGIVDANNQYIVPTSGDPIRGLIQDHIVSAILLTRKDMFLTHDEYNQLLYACCVSIAASSSQVSKFGEKVTVLCSSNEIQPLLPAILKPKPLWTRKQVISSILSYVTRGRKPFTVEKDGRIPKEYFGKDLTEHKLFILNNELIHGIIDKAQFG >DRNTG_23788.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8815409:8821593:-1 gene:DRNTG_23788 transcript:DRNTG_23788.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVVRVLPKLKTFRIHYANCSTYNADFDGDEMNVHLPQDEVSHAEAFGIVDANNQYIVPTSGDPIRGLIQDHIVSAILLTRKDMFLTHDEYNQLLYACCVSIAASSSQVSKFGEKVTVLCSSNEIQPLLPAILKPKPLWTRKQVISSILSYVTRGRKPFTVEKDGRIPKEYFGKDLTEHKLFILNNELIHGIIDKAQFG >DRNTG_23788.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8815409:8821593:-1 gene:DRNTG_23788 transcript:DRNTG_23788.17 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFSFIWQPTLHKPSLMAHVVRVLPKLKTFRIHYANCSTYNADFDGDEMNVHLPQDEVSHAEAFGIVDANNQYIVPTSGDPIRGLIQDHIVSAILLTRKDMFLTHDEYNQLLYACCVSIAASSSQVSKFGEKVTVLCSSNEIQPLLPAILKPKPLWTRKQVISSILSYVTRGRKPFTVEKDGRIPKEYFGKDLTEHKLFILNNELIHGIIDKAQFG >DRNTG_05038.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:150457:157363:-1 gene:DRNTG_05038 transcript:DRNTG_05038.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDIYWKEKDSDSEWTRANEIVEGFEEGYDSSRDEISSVSSYNPTKGNLDLSFRSSLSLQSMATKASNNEALYSQGARTSSSYIPISQLLVAEVIDDPGAGFVSPQEKINVSTSALSPSVPWKSGSPFANDDGGAPSAAKSAESAASEGFIRGRSYNIRIDDQVLLRFSPKNSDSTYYFGDLIGGTLTFFHGEGPRRCLEVSITLETSETINQRFAHPSRRSSLTTTKVQSDHHEVVSDLMQTSFLFSIPIDGPMSFSTPYISVQWALRFEFFTTPKHLDISRYEHPLLVEDREKGDWVLPLTVYAPPPRTQPANSNNEKPSSLGNMWIHT >DRNTG_05038.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:150457:157363:-1 gene:DRNTG_05038 transcript:DRNTG_05038.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKGFSFFGIGASNEEKKRSSFAKSEISPSLKLQTGKEIYRPGDTITATIEIFSPKLSNEETQKEQFGYAFASLLVDNLTFEVKGIEKLDTQWFATPKPPPGSKRNRGEHVFLDCSTPSMVSKAIVSSGSTKTYIVRAELPKVLPPSYRGTTIRYTYYVKTTLRGRWLMLENGQHSRESADGQVQLEARAPLQIWVTQKTYNLLSEGGLSVATDQMDIYWKEKDSDSEWTRANEIVEGFEEGYDSSRDEISSVSSYNPTKGNLDLSFRSSLSLQSMATKASNNEALYSQGARTSSSYIPISQLLVAEVIDDPGAGFVSPQEKINVSTSALSPSVPWKSGSPFANDDGGAPSAAKSAESAA >DRNTG_05038.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:150457:157363:-1 gene:DRNTG_05038 transcript:DRNTG_05038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKGFSFFGIGASNEEKKRSSFAKSEISPSLKLQTGKEIYRPGDTITATIEIFSPKLSNEETQKEQFGYAFASLLVDNLTFEVKGIEKLDTQWFATPKPPPGSKRNRGEHVFLDCSTPSMVSKAIVSSGSTKTYIVRAELPKVLPPSYRGTTIRYTYYVKTTLRGRWLMLENGQHSRESADGQVQLEARAPLQIWVTQKTYNLLSEGGLSVATDQMDIYWKEKDSDSEWTRANEIVEGFEEGYDSSRDEISSVSSYNPTKGNLDLSFRSSLSLQSMATKASNNEALYSQGARTSSSYIPISQLLVAEVIDDPGAGFVSPQEKINVSTSALSPSVPWKSGSPFANDDGGAPSAAKSAESAASEGFIRGRSYNIRIDDQVLLRFSPKNSDSTYYFGDLIGGTLTFFHGEGPRRCLEVSITLETSETINQRFAHPSRRSSLTTTKVQSDHHEVVSDLMQTSFLFSIPIDGPMSFSTPYISVQWALRFEFFTTPKHLDISRYEHPLLVEDREKGDWVLPLTVYAPPPRTQPANSNNEKPSSLGNMWIHT >DRNTG_32191.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001796.1:5911:7701:1 gene:DRNTG_32191 transcript:DRNTG_32191.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQNKILKVIRKNLVKKCIELFFEIAENKEDYNKFYDSFSKNLKLGIHEDSQNKAKLAELLRYHSTKSGEEMTSLKDYVTRMKDGQNDIYYITGESKKAVENSPFLEKLKRKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKKKETLAQKFESLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLVLLLFETSLLTSGFSLDDPNTFGNRIHRMLKLGLSIDEDDSTADADTDMPPLEEAEAEESKMEEVD >DRNTG_32191.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001796.1:5911:24670:1 gene:DRNTG_32191 transcript:DRNTG_32191.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQNKILKVIRKNLVKKCIELFFEIAENKEDYNKFYDSFSKNLKLGIHEDSQNKAKLAELLRYHSTKSGEEMTSLKDYVTRMKDGQNDIYYITGESKKAVENSPFLEKLKRKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKKKETLAQKFESLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPEKKKKETLVQKFEGLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLVLLLFETSF >DRNTG_32191.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001796.1:5911:24670:1 gene:DRNTG_32191 transcript:DRNTG_32191.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQNKILKVIRKNLVKKCIELFFEIAENKEDYNKFYDSFSKNLKLGIHEDSQNKAKLAELLRYHSTKSGEEMTSLKDYVTRMKDGQNDIYYITGESKKAVENSPFLEKLKRKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKKKETLAQKFESLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENPIMEELRKRADADKNDIYYITGESKKAVENSPFLEKLKRKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKKKETLVQKFEGLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLVLLLFETSF >DRNTG_32191.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001796.1:5911:24670:1 gene:DRNTG_32191 transcript:DRNTG_32191.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQNKILKVIRKNLVKKCIELFFEIAENKEDYNKFYDSFSKNLKLGIHEDSQNKAKLAELLRYHSTKSGEEMTSLKDYVTRMKDGQNDIYYITGESKKAVENSPFLEKLKRKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKKKETLAQKFESLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLVLLLFETSLLTSGFSLDDPNTFGNRIHGMLKLGLSIDDDDSTADADTDMPPLEEAEAEESKMEEVD >DRNTG_32191.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001796.1:5911:24670:1 gene:DRNTG_32191 transcript:DRNTG_32191.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLKDYVTRMKDGQNDIYYITGESKKAVENSPFLEKLKRKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKKKETLVQKFEGLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLVLLLFETSF >DRNTG_32191.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001796.1:5911:24670:1 gene:DRNTG_32191 transcript:DRNTG_32191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLKDYVTRMKDGQNDIYYITGESKKAVENSPFLEKLKRKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKKKETLVQKFEGLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLVLLLFETSF >DRNTG_14562.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17653522:17660650:1 gene:DRNTG_14562 transcript:DRNTG_14562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHDEELFLEEGMENDEHKRKNSDGEDDSEEDEDWEAVNGESHGLSLPQNISSSFYSRQWPQSYRESIDSYTISASPNFGTLRRTQSINYSSQDVGSQNGEDLGIQSPLLSGSSLPKQESDKNLRCLSVKDEVISSGLEFSGEKCVHHGCSFIQTVFNGVNVLAGVGVLSTSFTIKEAGWASLALLLLFAVLCCYTGILMKHCFESKEGIFTYPDIGEAAFGRYGRVFISAVLYVDLYSYCVEFIILEGDNLTRLFPGASLDFAGMHLESLHLFGLVTAFDCFTNCLAAGSQNALLPFSWRSDSHSCGCLFCHFCWYYRWDWVPSNW >DRNTG_14562.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17653522:17655211:1 gene:DRNTG_14562 transcript:DRNTG_14562.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHDEELFLEEGMENDEHKRKNSDGEDDSEEDEDWEAVNGESHGLSLPQNISSSFYSRQWPQSYRESIDSYTISASPNFGTLRRTQSINYSSQDVGSQNGEDLGIQSPLLSGSSLPKQESDKNLRCLSVKDEVISSGLEFSGEKCVHHGCSFIQTVFNGVNVLAGVGVLSTSFTIKEAGWASLALLLLFAVLCCYTGILMKHCFESKEGIFTYPDIGEAAFGRYGRVFISVSFSV >DRNTG_30641.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1084402:1086183:1 gene:DRNTG_30641 transcript:DRNTG_30641.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSICLMFHRLLLSHSGGSRQFEQDGGPSAQIQQNPSSSINDAPFSLASLLSVMIYRGSRCKGALIGCCVV >DRNTG_30641.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1084402:1086183:1 gene:DRNTG_30641 transcript:DRNTG_30641.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSICLMFHRLLLSHSGGSRQFEQDGGPSAQIQQNPSSSINDAPFSLASLLSVMIYRGSRCKGALIGCCVV >DRNTG_30641.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1084402:1086183:1 gene:DRNTG_30641 transcript:DRNTG_30641.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQSICLMFHRLLLSHSGGSRQFEQDGGPSAQIQQNPSSSINDAPFSLASLLSVMIYRGSRCKGALIGCCVV >DRNTG_30641.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1084298:1086183:1 gene:DRNTG_30641 transcript:DRNTG_30641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSICLMFHRLLLSHSGGSRQFEQDGGPSAQIQQNPSSSINDAPFSLASLLSVMIYRGSRCKGALIGCCVV >DRNTG_31762.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10527635:10530503:1 gene:DRNTG_31762 transcript:DRNTG_31762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHANKFLFKHIHSKHHALVVPYAWGSLYNHPLEGLILDTVGGSLAFLLSGMTPRTSICFFSFTTIKALDMHSGLFLKWNPFQLCFRNNVAYHDIHHQLKGNKYNYAQPFFVFWDIIFGTHMPFTVEEREGGGFEARPVKDA >DRNTG_32124.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1377744:1378116:1 gene:DRNTG_32124 transcript:DRNTG_32124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSVRMVKALLKSYSCSFAGPGGRTALHAAVFTSKEITKLLLDWKPELGRVA >DRNTG_09961.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:6582149:6583068:-1 gene:DRNTG_09961 transcript:DRNTG_09961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNENPSSVIPVSQDKIVTVLSIDGGGVRGLIPGTILAFLESKLQELDGEDARIADYFDVIAGTSTGGLVTAMLTAPDKNNRPLFPAKEINEFYLENCPKIFPQKETCIISSAFNFLRVATGPKYNGKYLHWKIQQLLGNMTLDKTLTNIVIPTFDIKLLQPTIFSTFSAKNQPLKNPHLSDVCIATSAAPTFLPAHYFETKNQGKTRSFNLVDGGVAANNPVKS >DRNTG_21655.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:11288943:11293293:1 gene:DRNTG_21655 transcript:DRNTG_21655.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFHTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKMVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVQIDLAAQQQYEAEIAAAAAQPLPDDDDDAFE >DRNTG_22103.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:160600:161894:-1 gene:DRNTG_22103 transcript:DRNTG_22103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFIKAHHSIPCHNHPQVSQQTFSKSPSYTFYLHPFFLRTHQQNGCCPPRPCCVVGCPYVVTRCMPTCRPARPCCVPSCPNVGDTRIGVGNYAGQDFAMDVVNNDVIGGRGRAHIAVNNGAGRDNRGRVFENGIYGANADVEIAGGNYGGRDNYAEVFRNNVRGGDYGNQVRVGGGNYAGQDNRARVFCNNVDDAVGDVDIGMRNGAGRNNYMDVYNNNVQGGRGGARVGTDNYGGRDNDGRVAGNYTRRD >DRNTG_13245.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2967224:2980830:1 gene:DRNTG_13245 transcript:DRNTG_13245.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKWCICLKMQTPMAAGALTANHEPYGSENGSEISTSSLISQNDDDKDFHPPGGSSPVYSLQRYSLSCGGPFQLRPLILGELVQHQL >DRNTG_13245.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2967224:2969755:1 gene:DRNTG_13245 transcript:DRNTG_13245.9 gene_biotype:protein_coding transcript_biotype:protein_coding MIKWCICLKMQTPMAAGALTANHEPYGSENGSEISTSSLISQNDDDKDFHPPGGSSPVYSLQRYSLSCGGPFQLRPLILGELVQHQL >DRNTG_13245.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2967224:2980830:1 gene:DRNTG_13245 transcript:DRNTG_13245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKWCICLKMQTPMAAGALTANHEPYGSENGSEISTSSLISQNDDDKDFHPPGGSSPVYSLQRYSLSCGGPFQLRPLILGELVQHQL >DRNTG_13245.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2971504:2980912:1 gene:DRNTG_13245 transcript:DRNTG_13245.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEQRHPPREQAQGYRIGGVGRDARQHRSEIIAPNASREVPLSGQNKKIC >DRNTG_13245.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2967083:2971292:1 gene:DRNTG_13245 transcript:DRNTG_13245.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIKWCICLKMQTPMAAGALTANHEPYGSENGSEISTSSLISQNDDDKDFHPPGGSSPVYSLQRYSLSCGGPFQLRPLILGELVQHQL >DRNTG_13245.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2966958:2971292:1 gene:DRNTG_13245 transcript:DRNTG_13245.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIKWCICLKMQTPMAAGALTANHEPYGSENGSEISTSSLISQNDDDKDFHPPGGSSPVYSLQRYSLSCGGPFQLRPLILGELVQHQL >DRNTG_13245.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2966958:2972638:1 gene:DRNTG_13245 transcript:DRNTG_13245.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIKWCICLKMQTPMAAGALTANHEPYGSENGSEISTSSLISQNDDDKDFHPPGGSSPVYSLQRYSLSCGGPFQLRPLILGELVQHQL >DRNTG_13245.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2966958:2969755:1 gene:DRNTG_13245 transcript:DRNTG_13245.8 gene_biotype:protein_coding transcript_biotype:protein_coding MIKWCICLKMQTPMAAGALTANHEPYGSENGSEISTSSLISQNDDDKDFHPPGGSSPVYSLQRYSLSCGGPFQLRPLILGELVQHQL >DRNTG_13245.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2967224:2971292:1 gene:DRNTG_13245 transcript:DRNTG_13245.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIKWCICLKMQTPMAAGALTANHEPYGSENGSEISTSSLISQNDDDKDFHPPGGSSPVYSLQRYSLSCGGPFQLRPLILGELVQHQL >DRNTG_23749.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21062885:21063469:1 gene:DRNTG_23749 transcript:DRNTG_23749.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable lipid phosphate phosphatase beta [Source:Projected from Arabidopsis thaliana (AT4G22550) UniProtKB/Swiss-Prot;Acc:Q9SUW4] MVDFDTALSLRIHSFFLFVPRPLLKALEISGDGRLWFPLLLSLLPLTPSSPFPTALLLGSLLDLLLIGLLKHLIRRPRPVYNKGMSLAFAVDQWSFPSGHSSRVLFFASFLALSSASIDLGTSFIWDFIRGEPVELVVFLVFLWSATTSISRVLLGRHFVFDVVAGAALGVLEALFVFSFLNF >DRNTG_16903.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4928552:4930423:-1 gene:DRNTG_16903 transcript:DRNTG_16903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETMSLRSSLEEMLDSLRRRGEQPTDLPPALPARPNARGRLPLARRSLPVDFKIECKTSKGTKKKGGEIEDWILKNGEGAIFKNGIFGRKRIRAENQPEESPYFEMAEGNRFKGRDEKVDDLAASTSSVGRSDEKMKNAADYVLKKELRAWCWLPTGKWELGKVQSISGNNARILLSDAKVLTVAVENLSPANPVMLDNVDDLIKLSYLNEPSVLHNLKQRYSHDMIYTKAGRILIAFNPFKDIPLYGNDYMVAYKQKTSDSP >DRNTG_01944.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32518461:32525245:-1 gene:DRNTG_01944 transcript:DRNTG_01944.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVELLNNEVAMADIDDPCFSDSETAAYVIEALEGVSKVPSVIAPADRDQYDNLLCILDPTKEMHFQDEALLVVALRTLTQAVHKIDPVFHGELLNNIFDMSVWKYGPDTVSTLLELIACLASVADKFLDTCLHMLVNNFEPHRRLKSSNHQRSLARKEEVHSQLHTVMHYISELVPLAPMKLKYIIDLKMPKCTDSKEVIIVFVDCMLGLENDEIGEFLGGALLAKVVDLLTDLDVNISWEDILQEDTNKGIFDMELEDVEENADGLIGRKSVEEYGVLNGNAFADKLDGLMVAVCKHLTIWASNGRLEAVFANLKEAFRRSVLNAYKSKFAQFVMFYACSLDPETCGQEFAFMLRDIFLSRTETPISRMSAVGYLASYLSRAKFISTSLAVDILESLMDWCFEYCQCHNDPEKIINPKANRIFYAGWQAVMYTLCFHMRSIVDDPQLKSKLLGMPLQPILHHALSPLKVCLPSIVHEFLRQAKAANLCKKSVSLFSESLLESDFSKAFGGVERLDMFFPFDPYLLKESDSFIRPNFKFWSSVKTTYSNCNSEDEEDYEDLDVPDFAEIGCFSENHKIETDSDEDDDDDNDNDNDLELSMNKMSITPKHYFNPHLMGKQQFPARMPARIRPSVSPP >DRNTG_01944.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32518461:32525245:-1 gene:DRNTG_01944 transcript:DRNTG_01944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVELLNNEVAMADIDDPCFSDSETAAYVIEALEGVSKVPSVIAPADRDQYDNLLCILDPTKEMHFQDEALLVVALRTLTQAVHKIDPVFHGELLNNIFDMSVWKYGPDTVSTLLELIACLASVADKFLDTCLHMLVNNFEPHRRLKSSNHQRSLARKEEVHSQLHTVMHYISELVPLAPMKLKYIIDLKMPKCTDSKEVIIVFVDCMLGLENDEIGEFLGGALLAKVVDLLTDLDVNISWEDILQEDTNKGIFDMELEDVEENADGLIGRKSVEEYGVLNGNAFADKLDGLMVAVCKHLTIWASNGRLEAVFANLKEAFRRSVLNAYKSKFAQFVMFYACSLDPETCGQEFAFMLRDIFLSRTETPISRMSAVGYLASYLSRAKFISTSLAVDILESLMDWCFEYCQCHNDPEKIINPKANRIFYAGWQAVMYTLCFHMRSIVDDPQLKSKLLGMPLQPILHHALSPLKVCLPSIVHEFLRQAKAANLCKKSVSLFSESLLESDFSKAFGGVERLDMFFPFDPYLLKESDSFIRPNFKFWSSVKTTYSNCNSEDEEDYEDLDVPDFAEIGCFSENHKIETDSDEDDDDDNDNDNDLELSMNKMSITPKHYFNPHLMGKQQFPARMPARIRPSVSPP >DRNTG_17350.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32168852:32173844:-1 gene:DRNTG_17350 transcript:DRNTG_17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G53450) UniProtKB/Swiss-Prot;Acc:Q9LV04] MSFSNLSSFPSLLGFVVFHSLMALCGIKVFSCLDYRDSIWRSRDGVSFVGGVGRMGLVEWRRRQRSVRCLVGKAGMGTASRGVDVEAEELGPVLKFKMDDFVVANYVSIGLHGRADEMIFEATVSNPDNMLYNARVVLRQLKSTQAQRRGRRALEVLKKLARRQLMYHCYSLQVYGFVSPISGEDDESFTLVHGYHGSYSLQHWLQLTDWLPTLEAALTLDEECVRRIGDDKLGGPAVTRQLRLTRILMRDLLIGVNYLHSHGLAHTELRLENVHISLVDRHVKVGILGNAVDFYENSTNSSATDGNINRRQLMIAFDMRCVGFIMAKMVLRELMDSSIFIKFKTFLFKGNCPSGLREFLLPILYRDSHSGNAGLQILDRNWGAGWNLLSLLLATKPSERISCVDALRHPFLCGPKWRVDSSMDLIRWGLGSTAVRIVEDYIYVPRQRNRIAYFIELMELLNPHLRRKNWVDLLPGRWRLLYCTGRHLGLTLRQPSLRVLIGNAYLTFTQASDSDGSTLLLASDIDFKVMPGQQWPHDKSGDLGNLQVKSSLKLAFGRRLYLREEDIESQNISSQEFVARKTYGKKWKKGKTKEPPFSLPAAKLIFGDIDVSVSLGSSQSNVDVAQRVIQEVRTQIPPEMFDVSKLVCGTYVDSRMMVLRGVSGSALLFTRSPYTAEC >DRNTG_17350.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32168852:32173844:-1 gene:DRNTG_17350 transcript:DRNTG_17350.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G53450) UniProtKB/Swiss-Prot;Acc:Q9LV04] MDLIRWGLGSTAVRIVEDYIYVPRQRNRIAYFIELMELLNPHLRRKNWVDLLPGRWRLLYCTGRHLGLTLRQPSLRVLIGNAYLTFTQASDSDGSTLLLASDIDFKVMPGQQWPHDKSGDLGNLQVKSSLKLAFGRRLYLREEDIESQNISSQEFVARKTYGKKWKKGKTKEPPFSLPAAKLIFGDIDVSVSLGSSQSNVDVAQRVIQEVRTQIPPEMFDVSKLVCGTYVDSRMMVLRGVSGSALLFTRSPYTAEC >DRNTG_17350.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32168852:32173844:-1 gene:DRNTG_17350 transcript:DRNTG_17350.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G53450) UniProtKB/Swiss-Prot;Acc:Q9LV04] MDLIRWGLGSTAVRIVEDYIYVPRQRNRIAYFIELMELLNPHLRRKNWVDLLPGRWRLLYCTGRHLGLTLRQPSLRVLIGNAYLTFTQASDSDGSTLLLASDIDFKVMPGQQWPHDKSGDLGNLQVKSSLKLAFGRRLYLREEDIESQNISSQEFVARKTYGKKWKKGKTKEPPFSLPAAKLIFGDIDVSVSLGSSQSNVDVAQRVIQEVRTQIPPEMFDVSKLVCGTYVDSRMMVLRGVSGSALLFTRSPYTAEC >DRNTG_27653.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9700452:9701962:1 gene:DRNTG_27653 transcript:DRNTG_27653.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDKSWAFIGDSIYQNHVHSLLCQLSQVEEPQILYHDQSFDIITFYFPSHNFTIYEIWAPLLVQYETIDNAGDSSQKYMNLHLDILDSKWTRFYNQYDYMVLSGSPWFYKPSIIFEKSEVIGCHYCPGLELKEYGAARAYRKALQLTLNFIAASEHKPFVIVRTWPPSHYEAGDSPTERICNRTKPFREGEISGAPADLNMREVEIEEYEKAAPIGARNGVRIELLDFYHPFLLRPDGHPGPYGTYHPFDGGKKQNDENDCIHWCLPGPIDNVNDMLMKMVINGDIHDSASAML >DRNTG_27653.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9699157:9701962:1 gene:DRNTG_27653 transcript:DRNTG_27653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKDVVGDHEPLLSQEGLRRSSISLAFFKFIGAVLLASAACYLFIGNFNAWTPETENHSLPLEEQDGLNIRPLRKESSVREQQLKKEVCDLSVGEWVPNPEGPAYTDKTCDGIPGYNNCLKNGRPDRGYLYWRWKPRFCDLPPFDPVTFLDAMRDKSWAFIGDSIYQNHVHSLLCQLSQVEEPQILYHDQSFDIITFYFPSHNFTIYEIWAPLLVQYETIDNAGDSSQKYMNLHLDILDSKWTRFYNQYDYMVLSGSPWFYKPSIIFEKSEVIGCHYCPGLELKEYGAARAYRKALQLTLNFIAASEHKPFVIVRTWPPSHYEAGDSPTERICNRTKPFREGEISGAPADLNMREVEIEEYEKAAPIGARNGVRIELLDFYHPFLLRPDGHPGPYGTYHPFDGGKKQNDENDCIHWCLPGPIDNVNDMLMKMVINGDIHDSASAML >DRNTG_13785.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13335099:13336594:-1 gene:DRNTG_13785 transcript:DRNTG_13785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWIRWPHISFCGFPTSLFLACSPGFDHVSRTISVHMAQDDSTYRGRNGIGCFHVSP >DRNTG_19113.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29714776:29718404:1 gene:DRNTG_19113 transcript:DRNTG_19113.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVSSSGARSQLHRLWVVSSHNALLISLWIVGLVSVFLWQRRSPEGFGFFRWTASPTVVEWAEVDLREFGAVGDGVTVNTVAFENAVMEIARKGGGKLTVPRGKWLTGPFNLTSNMIFFLDEGAEILAIEDETHWPLMPPLPSYGYGREHRGPRYGSLIHGQNLKNVIITGNNGTINGQGKSWWARYRQKLLNYTRGPLVQLLWSRDIIISNITLRDSPFWTLHPYDCKNVTISNMTILAPVLGAPNTDGIDPDSCEDMVIENCFICVGDDGIAIKSGWDQYGIAYGRPSTNIVIRNVTLRSVVSAGVSIGSEMSGGVSNVTLENLHVWDSRRAVRIKTAPGRGGYVRDISCHNMSLTRVRIGIVIKTDYNEHADTAFDPKAFPVIENVSFIGVYGEDVRVPVRLHGSDKIPVKGISFRDMSVGVTRKRQHTFQCSFVEGRVIGPISPPPCENLDIYDEQERLVKRSISQNSTDIDYDL >DRNTG_19113.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29714776:29718404:1 gene:DRNTG_19113 transcript:DRNTG_19113.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTILAPVLGAPNTDGIDPDSCEDMVIENCFICVGDDGIAIKSGWDQYGIAYGRPSTNIVIRNVTLRSVVSAGVSIGSEMSGGVSNVTLENLHVWDSRRAVRIKTAPGRGGYVRDISCHNMSLTRVRIGIVIKTDYNEHADTAFDPKAFPVIENVSFIGVYGEDVRVPVRLHGSDKIPVKGISFRDMSVGVTRKRQHTFQCSFVEGRVIGPISPPPCENLDIYDEQERLVKRSISQNSTDIDYDL >DRNTG_33313.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23674464:23674804:-1 gene:DRNTG_33313 transcript:DRNTG_33313.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLHSRLDRTMPLQEQEETKSNSHLYRCQPQRMLYGRYLHCHLYTLHRYSQ >DRNTG_14688.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000740.1:8788:10291:1 gene:DRNTG_14688 transcript:DRNTG_14688.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLKLKCMKMVVSEPAPNNGTRCDAGVGVRMSNTSNSNSSASLGSNRSAPTLYVATHCSVPSSSADSNHNEQVSNQNNSTRIENVPAVNEAENLNASSFHILNF >DRNTG_14688.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000740.1:9715:10534:1 gene:DRNTG_14688 transcript:DRNTG_14688.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLKLKCMKMVVSEPAPNNGTRCDAGVGVRMSNTSNSNSSASLGSNRSAPTLYVATHCSVPSSSADSNHNEQVSNQNNSTRIENVPAVNEAENLNASSFHILNFNHNLLYLH >DRNTG_01405.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000078.1:14718:16005:-1 gene:DRNTG_01405 transcript:DRNTG_01405.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKKLSNSNTCVGWHILWRLQIAPNVKTFLWRLLHGYTPTFEIFYNFNLGSQLMCPFCEIHKETTNHIIWDCRVSH >DRNTG_26098.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20771911:20772157:1 gene:DRNTG_26098 transcript:DRNTG_26098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITNHCLIPAIPQRRERDRQEEAKSEREKEMGTKSEG >DRNTG_10451.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4957229:4961680:-1 gene:DRNTG_10451 transcript:DRNTG_10451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARLRYLDESMDSGVFQAGTVAGGSTAPTSRAVWKKVSGRAFVGTDPKLAKAGQPHPQPPQSPPRPPLDSNSFRSQSQRQPTLSHSIPMSSSSSMVSSPASVVEHTSPLMQSHAGNTDTELSSTS >DRNTG_27568.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14857287:14863063:-1 gene:DRNTG_27568 transcript:DRNTG_27568.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIREAVEEAGDSDTLKQIQSQIQTKHNNWSNAFREALEKRDFEDAITSVQRMRYYDRAIEAIVKKL >DRNTG_27568.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14857287:14863063:-1 gene:DRNTG_27568 transcript:DRNTG_27568.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIREAVEEAGDSDTLKQIQSQIQTKHNNWSNAFREALEKRDFEDAITSVQRMRYYDRAIEAIVKKL >DRNTG_27568.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14857287:14863063:-1 gene:DRNTG_27568 transcript:DRNTG_27568.8 gene_biotype:protein_coding transcript_biotype:protein_coding MYFDVHYFFIYLLFYSYVLKQKERAFAAEQSARVIDAYRTISKPLSRALYMLQLEGLHVDEEKTLTDPDVLSEMMEIREAVEEAGDSDTLKQIQSQIQTKHNNWSNAFREALEKRDFEDAITSVQRMRYYDRAIEAIVKKL >DRNTG_27568.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14857287:14863063:-1 gene:DRNTG_27568 transcript:DRNTG_27568.9 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTYEIKDNNLEGKYKDWQKKLHPDLVHSKSEKERAFAAEQSARVIDAYRTISKPLSRALYMLQLEGLHVDEEKTLTDPDVLSEMMEIREAVEEAGDSDTLKQIQSQIQTKHNNWSNAFREALEKRDFEDAITSVQRMRYYDRAIEAIVKKL >DRNTG_27568.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14857287:14863063:-1 gene:DRNTG_27568 transcript:DRNTG_27568.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTYEIKDNNLEGKYKDWQKKLHPDLVHSKSEKERAFAAEQSARVIDAYRTISKPLSRALYMLQLEGLHVDEEKTLTDPDVLSEMMEIREAVEEAGDSDTLKQIQSQIQTKHNNWSNAFREALEKRDFEDAITSVQRMRYYDRAIEAIVKKL >DRNTG_27568.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14862680:14863063:-1 gene:DRNTG_27568 transcript:DRNTG_27568.12 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRGLSTPLRGSSLAVRPGSSPPSSARPFPHPPLFPLCCHRQ >DRNTG_27568.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14857287:14863063:-1 gene:DRNTG_27568 transcript:DRNTG_27568.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIREAVEEAGDSDTLKQIQSQIQTKHNNWSNAFREALEKRDFEDAITSVQRMRYYDRAIEAIVKKL >DRNTG_27568.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14857287:14860467:-1 gene:DRNTG_27568 transcript:DRNTG_27568.10 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFLEAKRIASCKTLDEIVNSSRRILSNQALTLQSDYCPLGEHALRVLEVAIDEKLKSDAFSLFTIGKVASPIIPRKWNKAKPSTSPLFLFCRREAHIYPYSYVKVSFFSSLLFCDQSFSKNHLEFLHKEFSPSRRWCHTSKSLSQCWSCGKTAASWPFLVCDTCRSVQPMDPSVDYFQIFGLQRTYEIKDNNLEGKYKDWQKKLHPDLVHSKSEKERAFAAEQSARVIDAYRTISKPLSRALYMLQLEGLHVDEEKTLTDPDVLSEMMEIREAVEEAGDSDTLKQIQSQIQTKHNNWSNAFREALEKRDFEDAITSVQRMRYYDRAIEAIVKKL >DRNTG_27568.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14857287:14860467:-1 gene:DRNTG_27568 transcript:DRNTG_27568.11 gene_biotype:protein_coding transcript_biotype:protein_coding MYFDVHYFFIYLLFYSYVLKQKERAFAAEQSARVIDAYRTISKPLSRALYMLQLEGLHVDEEKTLTDPDVLSEMMEIREAVEEAGDSDTLKQIQSQIQTKHNNWSNAFREALEKRDFEDAITSVQRMRYYDRAIEAIVKKL >DRNTG_27568.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14857287:14863063:-1 gene:DRNTG_27568 transcript:DRNTG_27568.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIREAVEEAGDSDTLKQIQSQIQTKHNNWSNAFREALEKRDFEDAITSVQRMRYYDRAIEAIVKKL >DRNTG_27568.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14857287:14863063:-1 gene:DRNTG_27568 transcript:DRNTG_27568.6 gene_biotype:protein_coding transcript_biotype:protein_coding MYFDVHYFFIYLLFYSYVLKQKERAFAAEQSARVIDAYRTISKPLSRALYMLQLEGLHVDEEKTLTDPDVLSEMMEIREAVEEAGDSDTLKQIQSQIQTKHNNWSNAFREALEKRDFEDAITSVQRMRYYDRAIEAIVKKL >DRNTG_27568.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14857287:14863063:-1 gene:DRNTG_27568 transcript:DRNTG_27568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEAYDSGVAGVKLRRRPLHRATATTPYDRPPTVACGGLGGIRNGWLSRLVDPASRIITGGASRLFSSVIRKALPAPPALPALLPSSGTVQMDKFLEAKRIASCKTLDEIVNSSRRILSNQALTLQSDYCPLGEHALRVLEVAIDEKLKSDAFSLFTIGKVASPIIPRKWNKAKPSTSPLFLFCRREAHIYPYSYVKVSFFSSLLFCDQSFSKNHLEFLHKEFSPSRRWCHTSKSLSQCWSCGKTAASWPFLVCDTCRSVQPMDPSVDYFQIFGLQRTYEIKDNNLEGKYKDWQKKLHPDLVHSKSEKERAFAAEQSARVIDAYRTISKPLSRALYMLQLEGLHVDEEKTLTDPDVLSEMMEIREAVEEAGDSDTLKQIQSQIQTKHNNWSNAFREALEKRDFEDAITSVQRMRYYDRAIEAIVKKL >DRNTG_29670.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:129845:130198:1 gene:DRNTG_29670 transcript:DRNTG_29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRINCKSRHRKRMDVPHLGTAPTIGTSTTSPHSPSKSMEGVGNLSLPSSLASGTEALSAFVRLVGLLSRLKYSTRFCSTDWRLRAEMLIERVPLLYLRSVCMHTCLDLDYIHI >DRNTG_03829.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23474414:23475240:-1 gene:DRNTG_03829 transcript:DRNTG_03829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSKNPHGRVEITHTRAEIPQGHVKNPQDRVDARFQPYLKPISAPISALFCTSFPQLERGFRLGFWEVLARVLERFYGSDIVIPLEEDKARLERVERVSREVQERTLFPSDVIDSTFVPRVLYGHNRVNGLWDDPPLGLSCACNGVKH >DRNTG_16591.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000827.1:1:2189:-1 gene:DRNTG_16591 transcript:DRNTG_16591.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPDLQCINLPRHRRRRSRGSTGYLGVRRRPSGRYVAEITNPHTRKRHWIGTFDTTEETTLAYDISSIIFSGINRAETNFYYEFPTMPSPPPPPPSLPPPTLSAPPPPPLPPLLHPPSEEEEECYSKYEFIKKSTSIIQDYKYRKIIEPQLLTL >DRNTG_13953.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000646.1:8685:9561:1 gene:DRNTG_13953 transcript:DRNTG_13953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFTAFLFISLLVFHHHAEVIKGEKETRKLAQECSVRIAMEWSCKEPGCQQLCHAEHPGGNGLCEGDAEPSCICHYPC >DRNTG_21772.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2995539:2998972:1 gene:DRNTG_21772 transcript:DRNTG_21772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRAGDDIDFDRRQLSSSDESLSAEGHKVNYDGAAHCSFDFGDDNFVVGSWEQKEFVSRDGHLKLSTQVFFASIDQRSERAAGESACTALVAVIADWFQSNGAMMPIKSQFDSLIREGSLEWRNLCENQTYQERFPDKHFDLETVLQAKIRPLAVNSGKSFIGFFHPESIENITGFDFLHGAMSFDSIWDEIIQASSDCSADGSPHLYIVSWNDHFFVLKVERDAYYIIDTLGERLYEGCDEAYILKFDDSTSICKIPNEEKPSRSETSGAGFKQAQENNVKEDSNDYVRNGEEIVCRGKESCKNYIKSFLAAIPIRELEADIKKGLIASTPLHHRLQIEFHYTEPSKEIPATAAAKSLADGSVTMFSSPVEPVAEYSLTPALNLEVEVV >DRNTG_34622.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:72026:76486:1 gene:DRNTG_34622 transcript:DRNTG_34622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSRIREALLAMIIGAVAVAGDSEYYGAQPLAKLSMHKASIAIDGKAQVRATPELLGLKGEDIEWVKVDLQNANPTTDDWIGVFSPSKFNSSTCPEVVGDIKDQLPLICTAPIKYQFANYSNADYAKTGNASLMLQLINQRADFSFALFSGGFQNPKLVAVSNAVSFSNPKAPVYPRLAQGKSWDEMTITWTSGYNDNEAFPFVEWGPEGGPQTRSSAGTLTFSRNSMCGAPARTFGWRHPGYIHTSFLKDLWPNAIYTYKLGHQLLDGTYVWSKKYSFKASPYPGQDSLQRVIIFGDMGKAERDGSNEYSNYQPGSLNTTDQLIKDLNNIDIVFHIGDITYANGYISQWDQFTSQIEPIASAVPYMIASGNHERDWHGTGSFYDTDDSGGECGVLAETMFYVPAENRAKFWYSTDFGMFRFCIADTEHDWREGTEQYKFIEHCLATVDRQKQPWLIFAAHRVLGYSSGFNYALEGSFAEPMGRESLQKLWQKYRVDLAFYGHVHNYERSCPIYQNQCVNSEKSHYSGTMNGTIHVVVGGGGSHLSPFTDLKTYWSIFQDFDYGFVKLTAFNHSSLLFEYKKSSDGKVYDSFTISRDYRDVLACVHDSCSPTTLAS >DRNTG_06769.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21638928:21642134:-1 gene:DRNTG_06769 transcript:DRNTG_06769.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRIGALACTOSYLDIACYLGLYCEROL 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G19800) UniProtKB/Swiss-Prot;Acc:Q8L4R0] MESLFISTPSQTLDLFSSFSTRSTIISINPSPRSLQWKLHPPIRSPSPRPLLCLDDRSSNNAPEPTLAADAATAMAATSVVSSWSPPRPLWRALSVPILAGQVFHRAIISHKVHWRNTLQQLERAGPRSLGVSLLTAAFVGMAFTIQFVREFTRLGLHRSVGGVLALAFSRELSPVVTAVVAAGRIGSAFAAELGTMQVSEQTDTLRVLGANPVDYLVTPRVIACCAALPVLTLMCFAVGLASSALLADAVYGVSINIILDSARKALRPWDLISAMIKANVFGGIIAVVSCAWGVTTLGGAKGVGESTTSAVVISLVSIFMADFALSYCFFQGAGDSLKSAMG >DRNTG_05067.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3973566:3974860:-1 gene:DRNTG_05067 transcript:DRNTG_05067.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRYIGHRGATITRIQLKQLELEWKLETLNFLTLASLRVLDLSQNHYLHGSIPTTILALSKLTFLDLSFKNLTVIMPLELGNLSILETLLLNENQTSGSIPAAILALSKLTILDISANNLTGTIPSELGNLTMLYTMLLHHNQISGSIPPSFKKLLNLNSLAIFQNFLVGSYPPVLGNLTKLKFLYLGRNNLIGSIPYAIGNLVNMIDFQISSNQITGPIQYSIGNLTKL >DRNTG_20749.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001145.1:24885:25232:1 gene:DRNTG_20749 transcript:DRNTG_20749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQRRFLASLFRRGLPSEALSLGVPVDPARLLRPLLSSRQFTKASQIFLSFTSPSISDPSLLDSMLLCYCELRDLPRAQSLFDSIRQLGTLPSLGSYGALLRL >DRNTG_09950.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6536738:6538829:-1 gene:DRNTG_09950 transcript:DRNTG_09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSQGTLLLLLLLFATISISSSSSQALRHVLSDLGLPLLSQSDPCTITGVLCRRHRVIAVNLPSQHLSGILSPSLTLLSDLQTLDLRGNRLSGPVPSDLSSLTALRTLNLSSNLFTGDIHFLSTLPNLEQASLSDNLFSGQIPQSLSSLRNLIFLDLSNNPDLYGESTPYYGGLRRSLLPKRYVFAETNNASKSPNHSKSAISPNSAPAPGPSASPRHRHRNHKRRVRNWIVGFIVGSIAGVISGLALSILFRMTMNCIRGRYRNPSGPSIYSPLIKRAEDLAFLEKGEGLATLELIGAGGCGQVYKGQLPPPDPRTPEVPGKFIAIKKVMKITDADAAAEAGEENSKVLDKFKRQIRSEIRTVGQIRHRNLLPLLAHVPRPDCDLLVYEYMKNGSLDNVLKDVAEGQRELDWIARHRIAVGIASGLEYLHMLHSPRIIHRDLKPGNILLDDNMEARIGDFGLAKQVPEAYTHMTTSNVAGTVGFIAPEYHQLLKFTDKCDIYSFGVILAILVIGKQPSDDFFQETEEMSLVKWMRNVVASVNPTLAIDPKLMGYGFEEQMLLVLRIACFCTADDPKERPNSKDVRCMLSQIKH >DRNTG_13916.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19706980:19709049:1 gene:DRNTG_13916 transcript:DRNTG_13916.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPRPVVDDEYESEEQKQAAADVLYQYSQFVMVCIGEGVRPTDLRLHLMKEISGMPTSLKEAQQQISTPPDSTSEPSSSGTSKGEKFGTS >DRNTG_13916.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19706980:19709049:1 gene:DRNTG_13916 transcript:DRNTG_13916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPRPVVDDEYESEEQKQAAADVLYQYSQFVMVCIGEGVRPTDLRLHLMKEISGMPTSLKEAQQQISTPPDSTSEPSSSGTSKGEKFGTS >DRNTG_13916.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19706980:19708876:1 gene:DRNTG_13916 transcript:DRNTG_13916.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPRPVVDDEYESEEQKQAAADVLYQYSQFVMVCIGEGVRPTDLRLHLMKEISGMPTSLKEAQQQISTPPDSTSEPSSSGTSKGEKFGTS >DRNTG_04752.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1:736:1 gene:DRNTG_04752 transcript:DRNTG_04752.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFIFFILRTLYAISLDVLKSPREHIFIYFGR >DRNTG_34725.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2254914:2256472:1 gene:DRNTG_34725 transcript:DRNTG_34725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMWKVAANAMTKPSSSYSNCSNDDRSLCRSGREVLECPICCELFNIVKNAPYVLWCGHTLCRNCVIALPWAFVNFPTHPVQLPLFISCPWCQFLSIRLVYKGNIKFPHKNFFLLWIIENHSFKMGSYIHQEHQPASTMPNYVNAERIPLSICKFLAFLCELNCEVPARHHLSPHHIMHNSGQCCHFSSLHSYNCTFQLALVLDIILFVPKFGLAHQRNQHMSSVHNFFI >DRNTG_34725.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2255401:2256472:1 gene:DRNTG_34725 transcript:DRNTG_34725.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMWKVAANAMTKPSSSYSNCSNDDRSLCRSGREVLECPICCELFNIVKNAPYVLWCGHTLCRNCVIALPWAFVNFPTHPVQLPLFISCPWCQFLSIRLVYKGNIKFPHKNFFLLWIIENHSFKMGSYIHQEHQPASTMPNYVNAERIPLSICKFLAFLCELNCEVPARHHLSPHHIMHNSGQCCHFSSLHSYNCTFQLALVLDIILFVPKFGLAHQRNQHMSSVHNFFI >DRNTG_04315.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22084048:22092791:-1 gene:DRNTG_04315 transcript:DRNTG_04315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACREAQGTKNEWQTAYHSISNLCLFLSLSLPFLPFPLYFFPFLLFLIFPKTLPPHQDSTHTIFVKRITLKIIHNISKTNMQVEQFFHTNVGNDDMSYSKNSTIQSLIQSVTKSERERAVIEAFKNTSCPKIMSIADLGCSSGHNALLVVSDAIDAVELVCKELNQKPLPEIHVMLNDLPRNDFNTLIGSFEDFKKNHACFISVAPGSFYGRLFPSQTLHFVHSSTCLHWLSQVPVELQNGTKLGLNKGNIYISKTSPPFVLEAFTKQFERDFSQFLKCRAEEVIHGGCMVFTLVARKGEDPSVEGIYLQWELLAQALMDMVSQGIVEMEKIDTFNLPFYTPTLEEVKNAIRREGSFAIKSIQMFDVGWGEARDQACEHDDKVEDNATTAQRMAKSMRASSESLFVSHFGAELMDELFERYSNFMEGYFSKYPDGALTNICASVQRINVL >DRNTG_08594.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30371960:30372629:-1 gene:DRNTG_08594 transcript:DRNTG_08594.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKKKNIKCKTILLIVSDLSSTDRESSSSSSSSSSSSSIINYKRT >DRNTG_10864.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000474.1:13770:22080:-1 gene:DRNTG_10864 transcript:DRNTG_10864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMMVVVPLLFDFPMRMLPADLVGGSPVWISLLGHFFGVYGGHGGSQVDDEVYGEISRGTAGTTSDAFEEISISLGSGSAVMSQPVAPRELALSNDRKPNREDEYARIEAEGGEIVIQSNRYHIFGVLPVSRSIEDRYLKPFIIPDPEITVVQRTSEDECLILASDSLWDVILKEAIESVLQLMRAAYGPHEIASEFHGVFCGRMNPVCEQCFCQYLVDRKAHGQACARIELSNVSQNMLGFLYLCTGKDAIPAPPLYHLATKDVQLEKLQEEIAIKLQLISSSSKEGISNFLKTKNFVLLLDDIWAVVDLVELGIPHPRSNDKSTKQYKGKVIFTTRSEELCTKMRADEKIKVECLEPQEAWDLFKENVNLDVIASDVRMKEIARKVMNECSGLPLTLILDPVKP >DRNTG_15814.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19612730:19613763:1 gene:DRNTG_15814 transcript:DRNTG_15814.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKALNVFIFAYIFPFLSPSCISLHDEQMTPPSKGASKNDAKMIDQAVAYSLMVAALLITYLVH >DRNTG_34964.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3038254:3039881:1 gene:DRNTG_34964 transcript:DRNTG_34964.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSTALQLWSRIPWKSGGSSDKRRRLSSSESTDDIVNKMRTITEQINCIDSEMRFEIKLDALKGGYNLCGQHQVAENKRVTTSSPTESKIYGRDYEFSQLIQLLLKEPNVSGNVSVIPIVGMGGIGKTTLAQFAFNNIEIANHFEKKAWICVSDFFDRFRITKEILDSLIDEGSSSIVTTSCDVLEREIKRLVTGKKFLLVLDDVWSNEWRELLNFLRFAPAEVIKLVLTCRDPKISGVLADRQNQITLKGLSAEDYWLFFMKCAFADENPDNYLPQLRDIGKQIVGKLKGSPLAAKTVGKLLGISLTEGHWKDILGTDLWNLETDAHGITSALALSYYHLCQPLQL >DRNTG_29527.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2138985:2139874:1 gene:DRNTG_29527 transcript:DRNTG_29527.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQALLAGVATKIGETLAEETMKKKSASSKQDEVQLLSQIHSSMERIKSEFEVMQAFLNRVDKQRDEVTEAWLKRVRDVASQVEKVVDEFAKIVRGPSKKLSKALLETFKYNSWDPLVSHVKDRRNTVNNFCNNLWTTNLSGLNQIAAQLKTIEADLDHILKMKIRWEVTTMNS >DRNTG_29527.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2138985:2139874:1 gene:DRNTG_29527 transcript:DRNTG_29527.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQALLAGVATKIGETLAEETMKKKSASSKQDEVQLLSQIHSSMERIKSEFEVMQAFLNRVDKQRDEVTEAWLKRVRDVASQVEKVVDEFAKIVRGPSKKLSKALLETFKYNSWDPLVSHVKDRRNTVNNFCNNLWTTNLSGLNQIAAQLKTIEADLDHILKMKIRWEVTTMNS >DRNTG_29527.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2138985:2139874:1 gene:DRNTG_29527 transcript:DRNTG_29527.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQALLAGVATKIGETLAEETMKKKSASSKQDEVQLLSQIHSSMERIKSEFEVMQAFLNRVDKQRDEVTEAWLKRVRDVASQVEKVVDEFAKIVRGPSKKLSKALLETFKYNSWDPLVSHVKDRRNTVNNFCNNLWTTNLSGLNQIAAQLKTIEADLDHILKMKIRWEVTTMNS >DRNTG_15134.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5372509:5378921:-1 gene:DRNTG_15134 transcript:DRNTG_15134.9 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHPTFGHTSNLEKKENLRRSQTKDQSNIYIPNHINKQRRKILLTQSQNQSQHKLSISPTSSIQKSQIRSPNWKPPITKSEEQPAIRYQNSNTKITKLDRPIQTTAMH >DRNTG_15134.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5372509:5378921:-1 gene:DRNTG_15134 transcript:DRNTG_15134.8 gene_biotype:protein_coding transcript_biotype:protein_coding VRKPLSKRSNPLLDPPHTKWKSLESSLYQSRPPSIVNANDLPRRIKNQHPRMAPPRFPGIIPSKLWKRL >DRNTG_15134.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5372509:5378921:-1 gene:DRNTG_15134 transcript:DRNTG_15134.7 gene_biotype:protein_coding transcript_biotype:protein_coding VRKPLSKRSNPLLDPPHTKWKSLESSLYQSRPPSIVNANDLPRRIKNQHPRMAPPRFPGIIPSKLWKRL >DRNTG_15134.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5372509:5378921:-1 gene:DRNTG_15134 transcript:DRNTG_15134.11 gene_biotype:protein_coding transcript_biotype:protein_coding VRKPLSKRSNPLLDPPHTKWKSLESSLYQSRPPSIVNANDLPRRIKNQHPRMAPPRFPGIIPSKLWKRL >DRNTG_15134.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5372509:5393463:-1 gene:DRNTG_15134 transcript:DRNTG_15134.3 gene_biotype:protein_coding transcript_biotype:protein_coding FINNNDPTSTHGSAPLPRYHPIKALEAPW >DRNTG_15134.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5372476:5379336:-1 gene:DRNTG_15134 transcript:DRNTG_15134.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPMIFLVESKTNIHAWLRPASPVSSHQSFGSALGGAKQKETKTL >DRNTG_15134.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5372509:5393579:-1 gene:DRNTG_15134 transcript:DRNTG_15134.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSVTTVNSLFPSSNSTNNRCNQTVKSSTEQL >DRNTG_15134.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5372509:5378921:-1 gene:DRNTG_15134 transcript:DRNTG_15134.12 gene_biotype:protein_coding transcript_biotype:protein_coding IIHRLKSTLNYIQQLNNLNPNIRAQLSNPQQKKNQSNLQLLNTPITDFASEDPSQKETTKPVINSKSFRNRNNHIDHTKRNQLLTAPTSYSPTPISMKHGQSQKHRIMQLISSIRDQSKLIETSTTQASLINQTA >DRNTG_15134.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5372509:5378921:-1 gene:DRNTG_15134 transcript:DRNTG_15134.6 gene_biotype:protein_coding transcript_biotype:protein_coding IIHRLKSTLNYIQQLNNLNPNIRAQLSNPQQKKNQSNLQLLNTPITDFASEDPSQKETTKPVINSKSFRNRNNHIDHTKRNQLLTAPTSYSPTPISMKHGQSQKHRIMQLISSIRDQSKLIETSTTQASLINQTA >DRNTG_15134.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5372476:5393463:-1 gene:DRNTG_15134 transcript:DRNTG_15134.2 gene_biotype:protein_coding transcript_biotype:protein_coding FINNNDPTSTHGSAPLPRYHPIKALEAPWAERSKRKPRPC >DRNTG_15134.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5372509:5378921:-1 gene:DRNTG_15134 transcript:DRNTG_15134.10 gene_biotype:protein_coding transcript_biotype:protein_coding VRKPLSKRSNPLLDPPHTKWKSLESSLYQSRPPSIVNANDLPRRIKNQHPRMAPPRFPGIIPSKLWKRL >DRNTG_15134.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5372509:5378921:-1 gene:DRNTG_15134 transcript:DRNTG_15134.5 gene_biotype:protein_coding transcript_biotype:protein_coding IIHRLKSTLNYIQQLNNLNPNIRAQLSNPQQKKNQSNLQLLNTPITDFASEDPSQKETTKPVINSKSFRNRNNHIDHTKRNQLLTAPTSYSPTPISMKHGQSQKHRIMQLISSIRDQSKLIETSTTQASLINQTA >DRNTG_24678.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19261496:19262057:1 gene:DRNTG_24678 transcript:DRNTG_24678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRRMKNGTLLLLLLFVLTLVSLGHAHYSLGKEKVTHLHFFYQENLNGDHPTTVLVAKPKGTTVNASNLAPFGAVYVLDTPLTEGQDPNSKVVGQAQGLSVSVGQDKPMSVFMVDFGVHFR >DRNTG_20005.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3173353:3178885:-1 gene:DRNTG_20005 transcript:DRNTG_20005.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 6.4 [Source:Projected from Arabidopsis thaliana (AT3G21670) UniProtKB/Swiss-Prot;Acc:Q9LVE0] MVMAGGVHEVEDDDLSVYDFKGQPADKSRTGGWLGAGLILGSEFAERVCVMGISMNLVTYLVGDLHLSTSKSANIVTNFMGTLNLLALFAGFLADAKLGRYLTVAIFASITAVGVILLTIATTLNNMRPPECDNYRQNHHNCIPASGKQLVLLFIALYTIATGGGGIKANVSGFGSDQFDHRDPKEEKAMIFFFNRFYFCISIGSLFAVTVLVYVQDNVGRGWGYGVSGATMVMAVMILLIGTPLYRYRRPKGSPLAVIWRVVVNAWKKRYVAYPDHPSLLNEYHTSKVPYTEYFRCLNKAAITEETSNGTTNRISDPSSTSTVTEVEEVKMILKLLPIWSTCILFWTIYSQMTTFSVEQATYMNRNIGSFVFPSGSLSVFLFITILLFTSLNEKLLVPIARRFTHNAQGITSLQRVAVGLVFSLIAMVASAIVEKQRRQSFVMDGNAISAFWLVPQYFLVGAGEAFAYVGMLEFFIREAPERMKSMSTGLFLATLSMGFFLSSLLVSLVDKATNGGWIKNNLNKGRLDYFYWMLAVLGVINFLVFLGFASRHQYKVQRVEVKNQGGCELDEWKEDNFKESINV >DRNTG_20005.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3172582:3178800:-1 gene:DRNTG_20005 transcript:DRNTG_20005.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 6.4 [Source:Projected from Arabidopsis thaliana (AT3G21670) UniProtKB/Swiss-Prot;Acc:Q9LVE0] MVMAGGVHEVEDDDLSVYDFKGQPADKSRTGGWLGAGLILGSEFAERVCVMGISMNLVTYLVGDLHLSTSKSANIVTNFMGTLNLLALFAGFLADAKLGRYLTVAIFASITAVGVILLTIATTLNNMRPPECDNYRQNHHNCIPASGKQLVLLFIALYTIATGGGGIKANVSGFGSDQFDHRDPKEEKAMIFFFNRFYFCISIGSLFAVTVLVYVQDNVGRGWGYGVSGATMVMAVMILLIGTPLYRYRRPKGSPLAVIWRVVVNAWKKRYVAYPDHPSLLNEYHTSKVPYTEYFRCLNKAAITEETSNGTTNRISDPSSTSTVTEVEEVKMILKLLPIWSTCILFWTIYSQMTTFSVEQATYMNRNIGSFVFPSGSLSVFLFITILLFTSLNEKLLVPIARRFTHNAQGITSLQRVAVGLVFSLIAMVASAIVEKQRRQSFVMDGNAISAFWLVPQYFLVGAGEAFAYVGMLEFFIREAPERMKSMSTGLFLATLSMGFFLSSLLVSLVDKATNGGWIKNNLNKGRLDYFYWMLAVLGVINFLVFLGFASRHQYKVQRVEVKNQGGCELDEWKEDNFKESINV >DRNTG_20005.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3173421:3178885:-1 gene:DRNTG_20005 transcript:DRNTG_20005.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 6.4 [Source:Projected from Arabidopsis thaliana (AT3G21670) UniProtKB/Swiss-Prot;Acc:Q9LVE0] MVMAGGVHEVEDDDLSVYDFKGQPADKSRTGGWLGAGLILGSEFAERVCVMGISMNLVTYLVGDLHLSTSKSANIVTNFMGTLNLLALFAGFLADAKLGRYLTVAIFASITAVGVILLTIATTLNNMRPPECDNYRQNHHNCIPASGKQLVLLFIALYTIATGGGGIKANVSGFGSDQFDHRDPKEEKAMIFFFNRFYFCISIGSLFAVTVLVYVQDNVGRGWGYGVSGATMVMAVMILLIGTPLYRYRRPKGSPLAVIWRVVVNAWKKRYVAYPDHPSLLNEYHTSKVPYTEYFRCLNKAAITEETSNGTTNRISDPSSTSTVTEVEEVKMILKLLPIWSTCILFWTIYSQMTTFSVEQATYMNRNIGSFVFPSGSLSVFLFITILLFTSLNEKLLVPIARRFTHNAQGITSLQRVAVGLVFSLIAMVASAIVEKQRRQSFVMDGNAISAFWLVPQYFLVGAGEAFAYVGMLEFFIREAPERMKSMSTGLFLATLSMGFFLSSLLVSLVDKATNGGWIKNNLNKGRLDYFYWMLAVLGVINFLVFLGFASRHQYKVQRVEVKNQGGCELDEWKEDNFKESINV >DRNTG_20005.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3173421:3178800:-1 gene:DRNTG_20005 transcript:DRNTG_20005.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 6.4 [Source:Projected from Arabidopsis thaliana (AT3G21670) UniProtKB/Swiss-Prot;Acc:Q9LVE0] MVMAGGVHEVEDDDLSVYDFKGQPADKSRTGGWLGAGLILGSEFAERVCVMGISMNLVTYLVGDLHLSTSKSANIVTNFMGTLNLLALFAGFLADAKLGRYLTVAIFASITAVGVILLTIATTLNNMRPPECDNYRQNHHNCIPASGKQLVLLFIALYTIATGGGGIKANVSGFGSDQFDHRDPKEEKAMIFFFNRFYFCISIGSLFAVTVLVYVQDNVGRGWGYGVSGATMVMAVMILLIGTPLYRYRRPKGSPLAVIWRVVVNAWKKRYVAYPDHPSLLNEYHTSKVPYTEYFRCLNKAAITEETSNGTTNRISDPSSTSTVTEVEEVKMILKLLPIWSTCILFWTIYSQMTTFSVEQATYMNRNIGSFVFPSGSLSVFLFITILLFTSLNEKLLVPIARRFTHNAQGITSLQRVAVGLVFSLIAMVASAIVEKQRRQSFVMDGNAISAFWLVPQYFLVGAGEAFAYVGMLEFFIREAPERMKSMSTGLFLATLSMGFFLSSLLVSLVDKATNGGWIKNNLNKGRLDYFYWMLAVLGVINFLVFLGFASRHQYKVQRVEVKNQGGCELDEWKEDNFKESINV >DRNTG_20005.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3173421:3178700:-1 gene:DRNTG_20005 transcript:DRNTG_20005.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 6.4 [Source:Projected from Arabidopsis thaliana (AT3G21670) UniProtKB/Swiss-Prot;Acc:Q9LVE0] MVMAGGVHEVEDDDLSVYDFKGQPADKSRTGGWLGAGLILGSEFAERVCVMGISMNLVTYLVGDLHLSTSKSANIVTNFMGTLNLLALFAGFLADAKLGRYLTVAIFASITAVGVILLTIATTLNNMRPPECDNYRQNHHNCIPASGKQLVLLFIALYTIATGGGGIKANVSGFGSDQFDHRDPKEEKAMIFFFNRFYFCISIGSLFAVTVLVYVQDNVGRGWGYGVSGATMVMAVMILLIGTPLYRYRRPKGSPLAVIWRVVVNAWKKRYVAYPDHPSLLNEYHTSKVPYTEYFRCLNKAAITEETSNGTTNRISDPSSTSTVTEVEEVKMILKLLPIWSTCILFWTIYSQMTTFSVEQATYMNRNIGSFVFPSGSLSVFLFITILLFTSLNEKLLVPIARRFTHNAQGITSLQRVAVGLVFSLIAMVASAIVEKQRRQSFVMDGNAISAFWLVPQYFLVGAGEAFAYVGMLEFFIREAPERMKSMSTGLFLATLSMGFFLSSLLVSLVDKATNGGWIKNNLNKGRLDYFYWMLAVLGVINFLVFLGFASRHQYKVQRVEVKNQGGCELDEWKEDNFKESINV >DRNTG_20005.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3173353:3178800:-1 gene:DRNTG_20005 transcript:DRNTG_20005.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 6.4 [Source:Projected from Arabidopsis thaliana (AT3G21670) UniProtKB/Swiss-Prot;Acc:Q9LVE0] MVMAGGVHEVEDDDLSVYDFKGQPADKSRTGGWLGAGLILGSEFAERVCVMGISMNLVTYLVGDLHLSTSKSANIVTNFMGTLNLLALFAGFLADAKLGRYLTVAIFASITAVGVILLTIATTLNNMRPPECDNYRQNHHNCIPASGKQLVLLFIALYTIATGGGGIKANVSGFGSDQFDHRDPKEEKAMIFFFNRFYFCISIGSLFAVTVLVYVQDNVGRGWGYGVSGATMVMAVMILLIGTPLYRYRRPKGSPLAVIWRVVVNAWKKRYVAYPDHPSLLNEYHTSKVPYTEYFRCLNKAAITEETSNGTTNRISDPSSTSTVTEVEEVKMILKLLPIWSTCILFWTIYSQMTTFSVEQATYMNRNIGSFVFPSGSLSVFLFITILLFTSLNEKLLVPIARRFTHNAQGITSLQRVAVGLVFSLIAMVASAIVEKQRRQSFVMDGNAISAFWLVPQYFLVGAGEAFAYVGMLEFFIREAPERMKSMSTGLFLATLSMGFFLSSLLVSLVDKATNGGWIKNNLNKGRLDYFYWMLAVLGVINFLVFLGFASRHQYKVQRVEVKNQGGCELDEWKEDNFKESINV >DRNTG_20005.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3173353:3178700:-1 gene:DRNTG_20005 transcript:DRNTG_20005.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 6.4 [Source:Projected from Arabidopsis thaliana (AT3G21670) UniProtKB/Swiss-Prot;Acc:Q9LVE0] MVMAGGVHEVEDDDLSVYDFKGQPADKSRTGGWLGAGLILGSEFAERVCVMGISMNLVTYLVGDLHLSTSKSANIVTNFMGTLNLLALFAGFLADAKLGRYLTVAIFASITAVGVILLTIATTLNNMRPPECDNYRQNHHNCIPASGKQLVLLFIALYTIATGGGGIKANVSGFGSDQFDHRDPKEEKAMIFFFNRFYFCISIGSLFAVTVLVYVQDNVGRGWGYGVSGATMVMAVMILLIGTPLYRYRRPKGSPLAVIWRVVVNAWKKRYVAYPDHPSLLNEYHTSKVPYTEYFRCLNKAAITEETSNGTTNRISDPSSTSTVTEVEEVKMILKLLPIWSTCILFWTIYSQMTTFSVEQATYMNRNIGSFVFPSGSLSVFLFITILLFTSLNEKLLVPIARRFTHNAQGITSLQRVAVGLVFSLIAMVASAIVEKQRRQSFVMDGNAISAFWLVPQYFLVGAGEAFAYVGMLEFFIREAPERMKSMSTGLFLATLSMGFFLSSLLVSLVDKATNGGWIKNNLNKGRLDYFYWMLAVLGVINFLVFLGFASRHQYKVQRVEVKNQGGCELDEWKEDNFKESINV >DRNTG_19457.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000998.1:339507:340982:-1 gene:DRNTG_19457 transcript:DRNTG_19457.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAAVGFVVEKLGNLILQEAISLHGVRGEVEWLERELRRMQCFLKDADAKKNNGDDERVKNWVTEMRDLAFKAEDIIDTFMYYKLQQKQSGGLGFIKSLLKRKRYTIGVLESNKDSNKRTTESNPLDLEYNLFIHVFIYLSLYINLLFFY >DRNTG_19457.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000998.1:333686:340982:-1 gene:DRNTG_19457 transcript:DRNTG_19457.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVGMGGLGKTTLAKSVYNDPRVKRSFDIFAWVIISQEYTILEILKRISSEVSTTSSRDTIIDLAHAISEKLKKGKYLIVLDDVWRENVWDQLQKVFPDVDNGSRVIITTRFLNVAKIADPTVQPHELRLLNEKESWELFLLKVFPRQDIETCCPVYLVDFAHQLIQRCRGLPLALVVLGGLMSTKPKSQDAWQKVVESMKWQFVEGGEKCLKILALSYNDLPYYLKSCFLYFGCFREDMAIPIKTLIRWWSAEGFLPTKNGNTTEEIGLGCLEELAQRCLIQLTGRKYDGSAKYCRIHDLLRDMCISEAKENRYLEIYKNDTVDCAAVPNAARRLIIFNEFETLTYSNSKLRGLFYYGGMHNPLTFKALNGQLSGFKLLRVLNLNLYMREFPSEIKSLIHLRYLRLLADNMKEVPSCIGHLHNLQTFIVGGGELVNISDSLWTIESLKHVELFMISLVNPPNMPNIVLKNLQTLKGLRAGSWIRYMLQNFTNLRKLNIKEVNNDHANALYVSLQKLGRLASLTIQGNGIPSDNVMTAFSNQHCLKKVYLDGKLNCKQLPYNDVFPQQLVKLVLCLSQLEQDPMATLEKLPCLKYLKLGAGSYTGKQMICSATGFPQLLFLEVKGCDELEKWKIEKKAMLCLKSLELISCRKLKVIPEGLKNVSLELLLMKNMPEELKSRIEENTGEDWYKIQHVPNISFKYYD >DRNTG_20255.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20922659:20925573:-1 gene:DRNTG_20255 transcript:DRNTG_20255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVPAIVDGRFKLFESHAILKYLSCTFP >DRNTG_04870.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7059915:7060331:-1 gene:DRNTG_04870 transcript:DRNTG_04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLGFSCDFAYQVTYIVKGSGYVQVVGIDIKRKLETRIKVGYLFINPKFFVMSKIANSEGMAWFSITSAPNPSFTHLARRTSVWKAIQHYSRMGKLFRSKRTSDEIFFALPKFDASTMRNPHGYEYDKANQDDIPIC >DRNTG_00469.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30741852:30744855:1 gene:DRNTG_00469 transcript:DRNTG_00469.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVASRALHLQFPLGTPSCLLGTSGALEFSWRPSSRPGNLHFPLSRRCRAISLNLFNHLVPSRHRGHLLHVSHHAKHTLSASGHDVMAAPSDYAEDLSSSSVKSDNTVVYQSPSQDVEMTENDRDEAELIDDHKMIKVCDKLIEVFMVDKPTPTDWRRLLAFSKEWSNLRPHFYKRCQERADAEIDPGMKHKLLRLGRKLKEIDDDVQRHNELLNVIKEAPSEINDIVAKRRKDFTKEFFVHLHTVAESYFDNPAEQNALAKLGNTCLAAVQSYDAASESIEAINAAELKFQDILSSPTLHAACKKIDDLAQKNQLDSALMLLVTKAWSAAKDSNMMKEEVKDILYHLYKTSVGNLQRLVPKEIRILKYLLTIEDPEEKLSALTDAFTPGDELEGKDVDCLYTSTPEQLHTWIRTVVDAYHFSREGTLVREARDLMNPNIIQKLEVLKNLIEKKFM >DRNTG_00469.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30742704:30744926:1 gene:DRNTG_00469 transcript:DRNTG_00469.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSDYAEDLSSSSVKSDNTVVYQSPSQDVEMTENDRDEAELIDDHKMIKVCDKLIEVFMVDKPTPTDWRRLLAFSKEWSNLRPHFYKRCQERADAEIDPGMKHKLLRLGRKLKEIDDDVQRHNELLNVIKEAPSEINDIVAKRRKDFTKEFFVHLHTVAESYFDNPAEQNALAKLGNTCLAAVQSYDAASESIEAINAAELKFQDILSSPTLHAACKKIDDLAQKNQLDSALMLLVTKAWSAAKDSNMMKEEVKDILYHLYKTSVGNLQRLVPKEIRILKYLLTIEDPEEKLSALTDAFTPGDELEGKDVDCLYTTPEQLHTWIRTVVDAYHFSREGTLVREARDLMNPNIIQKLEVLKNLIEKKFM >DRNTG_00469.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30741852:30744855:1 gene:DRNTG_00469 transcript:DRNTG_00469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVASRALHLQFPLGTPSCLLGTSGALEFSWRPSSRPGNLHFPLSRRCRAISLNLFNHLVPSRHRGHLLHVSHHAKHTLSASGHDVMAAPSDYAEDLSSSSVKSDNTVVYQSPSQDVEMTENDRDEAELIDDHKMIKVCDKLIEVFMVDKPTPTDWRRLLAFSKEWSNLRPHFYKRCQERADAEIDPGMKHKLLRLGRKLKEIDDDVQRHNELLNVIKEAPSEINDIVAKRRKDFTKEFFVHLHTVAESYFDNPAEQNALAKLGNTCLAAVQSYDAASESIEAINAAELKFQDILSSPTLHAACKKIDDLAQKNQLDSALMLLVTKAWSAAKDSNMMKEEVKDILYHLYKTSVGNLQRLVPKEIRILKYLLTIEDPEEKLSALTDAFTPGDELEGKDVDCLYTTPEQLHTWIRTVVDAYHFSREGTLVREARDLMNPNIIQKLEVLKNLIEKKFM >DRNTG_11676.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:282451:287136:1 gene:DRNTG_11676 transcript:DRNTG_11676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQQAPVMDPALLDDIINRLLEVRTARPGKQVQLSEAEIRQLCVVSREIFISQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPDANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALIDDKILCMHGGLSPDLSNLDQIKSLSRPTDVPDTGLLCDLLWSDPGRDVQGWGMNDRGVSYTFGTDKVSEFLTKHDLDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAEKKTKFMMSTKI >DRNTG_31766.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001739.1:25310:28198:1 gene:DRNTG_31766 transcript:DRNTG_31766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDIQNDMLREYGVHIPYKQAWLGKEYARAILDDSDISATIYCIEGLQAATVSQWYPPPWKVSGYSIGCHRWQVYGIPYKYAYVAIMQTDINVQRFISSYFTVDNYQLAYKEAIFPVPDHNKPKDDNHELCLRPHVIRRQYGHPRWKRI >DRNTG_16596.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000828.1:24167:25536:-1 gene:DRNTG_16596 transcript:DRNTG_16596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCFPSSCSLVKIMLISIVAFVSVLIPTSEAAVKKYQFDVVVKNVSRLCHAKTNCDGERMYPGPTVYAREGDRVIITVTNHAQYNMSIHWHGLKQVRNGWADGPAYITQCPIQSGNSYTYDFNITGQRGTLWWHAHILWLRATVHGAIVIMPQVGVSYPFPQPHSEAELMLGEWWNADVETIENQGNILGLPPNMSDAHTINGKPGPLFPCSDKHTYALEVEWGKTYLLRIINAALNDELFFAIAGHSMTVVEIDAVYCKPFTTEALLIAPGQTTNVLVQANQSPGRYFMATRPFMDAPVPVDNKTATAILQYKGVPTTVLPLLPKLP >DRNTG_15014.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23846128:23852520:-1 gene:DRNTG_15014 transcript:DRNTG_15014.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSEDNQLNEFLSRQSTRVETKNLKTSSANSRRTVPSLIGRQESTDNLNSCVKLISGFSSEFSSEDSNEYDSGKPEKKNMRISAFPSIEKQYQDLEQTSSETTCYQMFDSDSILPTGKSTPPKDFVCPITSNIFDDPVTLETGQTYERKAIQEWLERGNSTCPITRQNLQSNQLPKTNYVLRRLIASWREQNNAYSTPSRSEHPSPKEDASDASLLRNTASPTRVISQANIDGTTSDLRSLISSLCTSEVLAESEVAVLQIEQLWREAGPEPEVLPVLSKPAVVNGFVEILFNSPNNNVLRSTVFMLSELASRDQLVVQTLTRVDSDVDCMISLFKKGLMEAVVLIYLLSPTPESLIEMDMVDALMMVIKRREYETILMCLKPRTASLFILNQMLRVENQKDVSELVSALISERVVEGVVLSLEAELIDERLAAAEILLTCMKEDGSCRNIIADTAEFEPLLESFSTANDDQRFLIAHYLAELVKLSRRTFNEQLLHIIKDGGPYSTMHILLVHLQTALQDQSPIVASLLLQLDILVEPRKMSIYREEAVNAFISCLKSRDFPNVQLLAAETILALQGRFSPSGKSLARAYLLKRAGMNKSYRAIMRAEQMGHVLGNSDENLQEEKAADEWERRVAFALVSHEFGLLFEALAEGMKTKQPELFSACLVCATWLIHMLSLLPDMGIQGVARTSLLKSFVSILKSSRDVDDKALAMLALRSFMNDPDGLHDLTSYIKYILKALRELKKSSALAYEMLKLLSDGQETSADIWNHKELAQAFCSSNGEVLSIICIKNRIISGHSDGTMKVWNGAEGVLQLIQEAHEHSKAVTSLVVSGDKIYSGSMDKTMRVWSIRKGEIQCLEIHDVKDQVQSMVVSNTISCFIPQGAGVKVISLNGGSKVLNQNKQVKSLALVQGKLYCGCHDNTIQEIDLATGTTGTIQAGNRKLLGKSNPIYTMQIHDGLLYTTNSPLEGAAVKIWDTSNYNLIGSLPSTMEVRSMFISTEFIYLGCKMGIVEVWSREKLTKISTLQCGTNSKILCMAVDADGELLVVGTSDGRIQAWGLT >DRNTG_15014.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23846128:23848960:-1 gene:DRNTG_15014 transcript:DRNTG_15014.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLSDGQETSADIWNHKELAQAFCSSNGEVLSIICIKNRIISGHSDGTMKVWNGAEGVLQLIQEAHEHSKAVTSLVVSGDKIYSGSMDKTMRVWSIRKGEIQCLEIHDVKDQVQSMVVSNTISCFIPQGAGVKVISLNGGSKVLNQNKQVKSLALVQGKLYCGCHDNTIQEIDLATGTTGTIQAGNRKLLGKSNPIYTMQIHDGLLYTTNSPLEGAAVKIWDTSNYNLIGSLPSTMEVRSMFISTEFIYLGCKMGIVEVWSREKLTKISTLQCGTNSKILCMAVDADGELLVVGTSDGRIQAWGLT >DRNTG_25635.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21902311:21904384:1 gene:DRNTG_25635 transcript:DRNTG_25635.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLHISHSSGHDISNKNCGRSQRPNPQDLQAGALLGPPRHHWSCSERDDRELSSQISIWGNPNKTLKTGS >DRNTG_07274.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10517913:10519889:-1 gene:DRNTG_07274 transcript:DRNTG_07274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPDKVPLRISRKCTGLSKNPLDGHVNGLVESPLLVARDPLPGLGYTSPDRRSPLSSCGKDGEKNTKIGADSALNRAGIGQLHGHGCFTRPCRISTRAWIISTCSCGSSEVLFSRAAMNTAATVLATVLLRCSAIVSGLNNLLNPYFHRSNANGHTFTSWITCFFKDRSVGGALVLCA >DRNTG_09533.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000400.1:18183:20080:-1 gene:DRNTG_09533 transcript:DRNTG_09533.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSMVLWKNPLLVIQGFLRPNLSKTLVNTPRNPSRSPLASWGKDGEKNTKIGAESGLNRAGIGQLHGR >DRNTG_07590.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14947348:14951424:-1 gene:DRNTG_07590 transcript:DRNTG_07590.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDETTLYYEAVGGGKKSWVYGIGSQECIFYPHSSSSLSTGSSYEELHAEVRGLLQTLSQVQDREGRLQQTLGQVQDNNKELQQSLLEMKEERDQYRAEMMRPMKDMMMNFKKRILQ >DRNTG_07590.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14947348:14954172:-1 gene:DRNTG_07590 transcript:DRNTG_07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETTLYYEAVGGGKKSWVYGIGSQECIFYPHSSSSLSTGSSYEELHAEVRGLLQTLSQVQDREGRLQQTLGQVQDNNKELQQSLLEMKEERDQYRAEMMRPMKDMMMNFKKRILQ >DRNTG_07590.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14947348:14949411:-1 gene:DRNTG_07590 transcript:DRNTG_07590.11 gene_biotype:protein_coding transcript_biotype:protein_coding MDETTLYYEAVGGGKKSWVYGIGSQECIFYPHSSSSLSTGSSYEELHAEVRGLLQTLSQVQDREGRLQQTLGQVQDNNKELQQSLLEMKEERDQYRAEMMRPMKDMMMNFKKRILQ >DRNTG_07590.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14947348:14954172:-1 gene:DRNTG_07590 transcript:DRNTG_07590.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDETTLYYEAVGGGKKSWVYGIGSQECIFYPHSSSSLSTGSSYEELHAEVRGLLQTLSQVQDREGRLQQTLGQVQDNNKELQQSLLEMKEERDQYRAEMMRPMKDMMMNFKKRILQ >DRNTG_07590.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14947348:14954172:-1 gene:DRNTG_07590 transcript:DRNTG_07590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDETTLYYEAVGGGKKSWVYGIGSQECIFYPHSSSSLSTGSSYEELHAEVRGLLQTLSQVQDREGRLQQTLGQVQDNNKELQQSLLEMKEERDQYRAEMMRPMKDMMMNFKKRILQ >DRNTG_07590.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14947348:14954172:-1 gene:DRNTG_07590 transcript:DRNTG_07590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDETTLYYEAVGGGKKSWVYGIGSQECIFYPHSSSSLSTGSSYEELHAEVRGLLQTLSQVQDREGRLQQTLGQVQDNNKELQQSLLEMKEERDQYRAEMMRPMKDMMMNFKKRILQ >DRNTG_07590.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14947348:14949411:-1 gene:DRNTG_07590 transcript:DRNTG_07590.12 gene_biotype:protein_coding transcript_biotype:protein_coding MDETTLYYEAVGGGKKSWVYGIGSQECIFYPHSSSSLSTGSSYEELHAEVRGLLQTLSQVQDREGRLQQTLGQVQDNNKELQQSLLEMKEERDQYRAEMMRPMKDMMMNFKKRILQ >DRNTG_07590.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14947348:14954172:-1 gene:DRNTG_07590 transcript:DRNTG_07590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDETTLYYEAVGGGKKSWVYGIGSQECIFYPHSSSSLSTGSSYEELHAEVRGLLQTLSQVQDREGRLQQTLGQVQDNNKELQQSLLEMKEERDQYRAEMMRPMKDMMMNFKKRILQ >DRNTG_07590.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14947348:14950829:-1 gene:DRNTG_07590 transcript:DRNTG_07590.10 gene_biotype:protein_coding transcript_biotype:protein_coding MDETTLYYEAVGGGKKSWVYGIGSQECIFYPHSSSSLSTGSSYEELHAEVRGLLQTLSQVQDREGRLQQTLGQVQDNNKELQQSLLEMKEERDQYRAEMMRPMKDMMMNFKKRILQ >DRNTG_07590.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14947348:14954172:-1 gene:DRNTG_07590 transcript:DRNTG_07590.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDETTLYYEAVGGGKKSWVYGIGSQECIFYPHSSSSLSTGSSYEELHAEVRGLLQTLSQVQDREGRLQQTLGQVQDNNKELQQSLLEMKEERDQYRAEMMRPMKDMMMNFKKRILQ >DRNTG_31432.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:680382:682961:1 gene:DRNTG_31432 transcript:DRNTG_31432.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGAEKASLEERQREEKRNREVNSHQFTPRWFNISSEVSPTPWGDLEVYEYNGKYSEHRVMVDSSEVNEELDINSIEFNPWQYDLKKLCNIKKEQTE >DRNTG_31432.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:678673:681652:1 gene:DRNTG_31432 transcript:DRNTG_31432.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTHLLNMADECEDPYMRLAYTSSWAVSVYFAYQRTWKPFNPILGETYEMVNHNGITFIAEQVSHHPPMSAGHAENEHFTYDITSKVKTKFLGNSLEIYPVGRTRVTLKKSGTVLDLVPPPTKVNNLIFGRTWIDSPGEMIMTNLTTGDKVLLYFQPCGWFGAGRYEVDGYVYNAAEEPKILMTGKWNESMSFQPCDHEGEPLPGTNLKEIWRVAATPENDKYQYTYFAHKINSFETAPKKLLASDSRLRPDRYALANGDMSKSGAEKASLEERQREEKRNREVNSHQFTPRWFNISSEVSPTPWGDLEVYEYNGKYSEHRVMVDSSEVNEELDINSIEFNPWQYGNLSAE >DRNTG_31432.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:676608:682767:1 gene:DRNTG_31432 transcript:DRNTG_31432.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDENPVAGGGFFSAITSSIKGWGTAMHKSVNGLLGYEGLEVINPEGGTEDAEAEAQRGRWKQEDRDSYWKMMNKYIGADVTSLVTLPVIIFEPMTMLQKMAELMEYTHLLNMADECEDPYMRLAYTSSWAVSVYFAYQRTWKPFNPILGETYEMVNHNGITFIAEQVSHHPPMSAGHAENEHFTYDITSKVKTKFLGNSLEIYPVGRTRVTLKKSGTVLDLVPPPTKVNNLIFGRTWIDSPGEMIMTNLTTGDKVLLYFQPCGWFGAGRYEVDGYVYNAAEEPKILMTGKWNESMSFQPCDHEGEPLPGTNLKEIWRVAATPENDKYQYTYFAHKINSFETAPKKLLASDSRLRPDRYALANGDMSKSGAEKASLEERQREEKRNREVNSHQFTPRWFNISSEVSPTPWGDLEVYEYNGKYSEHRVMVDSSEVNEELDINSIEFNPWQYGNLSAE >DRNTG_31432.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:676608:682767:1 gene:DRNTG_31432 transcript:DRNTG_31432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDENPVAGGGFFSAITSSIKGWGTAMHKSVNGLLGYEGLEVINPEGGTEDAEAEAQRGRWKQEDRDSYWKMMNKYIGADVTSLVTLPVIIFEPMTMLQKMAELMEYTHLLNMADECEDPYMRLAYTSSWAVSVYFAYQRTWKPFNPILGETYEMVNHNGITFIAEQVSHHPPMSAGHAENEHFTYDITSKVKTKFLGNSLEIYPVGRTRVTLKKSGTVLDLVPPPTKVNNLIFGRTWIDSPGEMIMTNLTTGDKVLLYFQPCGWFGAGRYEVDGYVYNAAEEPKILMTGKWNESMSFQPCDHEGEPLPGTNLKEIWRVAATPENDKYQYTYFAHKINSFETAPKKLLASDSRLRPDRYALANGDMSKSGAEKASLEERQREEKRNREVNSHQFTPRWFNISSEVSPTPWGDLEVYEYNGKYSEHRVMVDSSEVNEELDINSIEFNPWQYDLKKLCNIKKEQTE >DRNTG_08739.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18725427:18728123:1 gene:DRNTG_08739 transcript:DRNTG_08739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSTARSMGPNPAINLQSNLSWILPVDNGFYYLVRFHFCEIQNEFTLTNQRSFFIYINNQTAQEETDVIAMSGGIGNPIYEDFVTVMPGMGRSDLRIELHPDTTTKPEYYDAILNGLEVFKMEDANGSLAGPNPDSTPKSEGGDGSRVFPANHRSDHGSHKTLVVIIGGVIGGGAIIVCILFLICRSCLRKKTVAEDGHDKEKSSGSQFDTSRHFTFTEILVATDGFSDALLIGVGGFGKVFKGELPGVGGRKTKVAIKRGNPMAEQGVHEFQTEIEMFSKIRHHHLVSLIGYCDDNNEMILVYNFMSQGTLREHLYKSSKPPLPWKLRLEICIGAARGLHYLHTGAKNTIIHRDVKTTNILLDDKWIAKVSDFGLSKAKVALDNTHVSTVVKGTMGYLDPEYYRLQQLTDKSDVYSFGVVLMEVLCARAPVIKSLPEEEVSLAEWALQCMEKGVLESIIDPYLDGKIARQCLKKYAETAEKCLSDEGSERPSMGDVLWSLEFALQLQESAEDSSGLIVKDFSKGSGGDSGGDSRSAEEMSMSFRSQGSSESTPSGIFSMLTRPVHGMGRAGFGPG >DRNTG_22591.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17498181:17498840:1 gene:DRNTG_22591 transcript:DRNTG_22591.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPKVSKMKSSATTFFKRVFSVLGTMVKAKSAALKSKTNALKTRLIIFSLLQDKRVLMTAISHKIHALMGEKEKEKEEKDDEECGSDGEHSCIDKLLNCLSCLEMDENTGETECLVEPEEEEEEVDNGEGSAADFVRSFRKNDSEFNLEDEIDHVADVFIKRFHNQMRMQKQESFKRYQEMLERGL >DRNTG_32627.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18997345:19001318:-1 gene:DRNTG_32627 transcript:DRNTG_32627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWHVQNENFILDSTRIFMKAFHLLLFHGSFIFPECILIFGLILLLMIDSTSDQKDRPWFYFISSTTLVMSITALLFRWREEPIISFSGNFQTNNFNEIFQFLILLCSTLCIPLSVEYIECTEMAITEFLLFVLTATLGGMFLCGANDLITLFVAPECFSLCSYLLSGYTKRDVRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGISIALISITVGIGFKLSPAPFHQWTPDVYEGVRFVRQIPTSISISEMFGFFKTP >DRNTG_30835.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:553177:553751:1 gene:DRNTG_30835 transcript:DRNTG_30835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLTIIFVITLILMVAINHASTRPLPPLPKINKQEVGDEEGCDGVGDEECLMRRTLSAHVDYIYTQGKGNKHCVYEFGM >DRNTG_17467.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6957192:6958544:-1 gene:DRNTG_17467 transcript:DRNTG_17467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRVRAETVSRRRREEDEVLGLQGHVSNKDRDNDDNEVKDGEVLLELGHGDEEMEQEPLLRTLWIWNFVLDDLLKLENQIPFFGLTTLFDLLKVPSDEGVDLVHLAFKLFSDIHPSKSQTFPILPAADQVHHLLHLFHSSLIPSKNHHVLDIPKAVKAPVWIPNATELQQAGVKFVKKKDARSFLDISFSSNGTMQIPKHCLYDYTDTIFRNLIAFEQCYPDTRTYITIYAAFMDCLIDTADDVRLLHLNGILTNGLSTDEAAADLFNKLCYQIHYASDRNYLHELFVDVNKHYDSRWNQW >DRNTG_06924.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1564829:1568201:1 gene:DRNTG_06924 transcript:DRNTG_06924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTTILYLFFLLFFFISCPPKTSSQEVEDEREFSYIEGSPNGPEHWGELHEEWKACGKGDMQSPIDLIHERVRVVPTLGRLKRSYKPSNATIKNRGHDIMLEWEGDAGSLWINGSEYLLKQCHWHAPSEHTVNGKRFALEVHMVHQRTDGKIAVVGIMYKIGRPDSFLKELEERINEVEVTREDEINVGVIDPRHVKIGSRKYYRYMGSLTTPPCTQGVTWTIVNKTRTASKAQLKLLRDAVHDDAKNNARPLQAINEREIKFYTPRYFGIHKHY >DRNTG_26320.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21420044:21421661:1 gene:DRNTG_26320 transcript:DRNTG_26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPWDEDWDKRGLQPTALHHHNHLLHGLIHCNGFGHLLCINGFPSGSRFLSASLLMDLWDRLCSSLRARVVSVEDVARKKTMELRLLLGVAHGVTWFGRWAYRFATGSYGIDYHLYDRAVHLLAHLNLDYLVSSNPSNHNLCRIVHSYRRLRRSYRETTDLYTVRDLLQFLFDIKRNPVARPVNRSTRSHCSRSHRRHHRRRRRGRKRRGNGTETSHWSRLNWPADGRCGDCARWQG >DRNTG_18946.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11778497:11787836:-1 gene:DRNTG_18946 transcript:DRNTG_18946.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hyperosmolality-gated Ca2+ permeable channel 3.1 [Source:Projected from Arabidopsis thaliana (AT1G30360) UniProtKB/TrEMBL;Acc:A0A097NUQ9] MEFASFLTSLCTSFVIFVVLMLLFTWLSRKPGNEVVYYPNRIFRGLDPWEGRRMTRNPVAWIGEALRASEADVIAVAGVDAAVYLVFLCSVLGILVLSGILLLPVLLPVAATDHNLKLSAQSNSNGTFTNLDKLAMGNIQEQSPRLWACILGTYWVSFVTFFVLWKAYKHVNNIRSAAKPNSLRTPEDFAVLVRDIPRAPKGQTVKDHVDLYFKSLHPDTFYRSMVITDNKKVNKIWEELEGYRKKLARAEVVYEESKTASKPDGTRPTNRTGFLGLIGSKVDSIDHCNEKIKELLPKLEAEQKVTLEEKQQHAALIFFNSRPAAVSAAQTLHAQMVDTWIVMEAPEPRQLIWTNLPKKFYERQIRQYVIYGIVFLTVFFYMIPIVFISSLTTLANLRRLLPFLKHIVDKPAIKTILEAYLPQIALIVFMALLPTLLMFLSKAEGIPSQSHVVRATSGKYFYFIIFNVFLGVTISGSLIDSLKTILNHPKQIVPLLGASLPGSATFFLTFVALKFFVGYGLELSRLVPLVIFHLKKKFLCKTEAEVKEAWAPGDFSYGTRVPNDMLIMTVVLCYSVIAPLIIPFGVVYFSLGWLIARNQALKVYIPSYESFGRMWPHMHVRVLAALVIYQITMLGYISLKKFLYAPFIVPLPILSVVFAYICNKRFYRAFANTSLEVACQNLKETSNLESVYTAFIPPSLMPEKLDDGNQFEGAQSQPSRPTAV >DRNTG_18946.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11778497:11787836:-1 gene:DRNTG_18946 transcript:DRNTG_18946.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hyperosmolality-gated Ca2+ permeable channel 3.1 [Source:Projected from Arabidopsis thaliana (AT1G30360) UniProtKB/TrEMBL;Acc:A0A097NUQ9] MEFASFLTSLCTSFVIFVVLMLLFTWLSRKPGNEVVYYPNRIFRGLDPWEGRRMTRNPVAWIGEALRASEADVIAVAGVDAAVYLVFLCSVLGILVLSGILLLPVLLPVAATDHNLKLSAQSNSNGTFTNLDKLAMGNIQEQSPRLWACILGTYWVSFVTFFVLWKAYKHVNNIRSAAKPNSLRTPEDFAVLVRDIPRAPKGQTVKDHVDLYFKSLHPDTFYRSMVITDNKKVNKIWEELEGYRKKLARAEVVYEESKTASKPDGTRPTNRTGFLGLIGSKVDSIDHCNEKIKELLPKLEAEQKVTLEEKQQHAALIFFNSRPAAVSAAQTLHAQMVDTWIVMEAPEPRQLIWTNLPKKFYERQIRQYVIYGIVFLTVFFYMIPIVFISSLTTLANLRRLLPFLKHIVDKPAIKTILEAYLPQIALIVFMALLPTLLMFLSKAEGIPSQSHVVRATSGKYFYFIIFNVFLGVTISGSLIDSLKTILNHPKQIVPLLGASLPGSATFFLTFVALKFFVGYGLELSRLVPLVIFHLKKKFLCKTEAEVKEAWAPGDFSYGTRVPNDMLIMTVVLCYSVIAPLIIPFGVVYFSLGWLIARNQALKVYIPSYESFGRMWPHMHVRVLAALVIYQITMLGYISLKKFLYAPFIVPLPILSVVFAYICNKRFYRAFANTSLEVACQNLKETSNLESVYTAFIPPSLMPEKLDDGNQFEGAQSQPSRPTAV >DRNTG_18946.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11778497:11787836:-1 gene:DRNTG_18946 transcript:DRNTG_18946.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hyperosmolality-gated Ca2+ permeable channel 3.1 [Source:Projected from Arabidopsis thaliana (AT1G30360) UniProtKB/TrEMBL;Acc:A0A097NUQ9] MEFASFLTSLCTSFVIFVVLMLLFTWLSRKPGNEVVYYPNRIFRGLDPWEGRRMTRNPVAWIGEALRASEADVIAVAGVDAAVYLVFLCSVLGILVLSGILLLPVLLPVAATDHNLKLSAQSNSNGTFTNLDKLAMGNIQEQSPRLWACILGTYWVSFVTFFVLWKAYKHVNNIRSAAKPNSLRTPEDFAVLVRDIPRAPKGQTVKDHVDLYFKSLHPDTFYRSMVITDNKKVNKIWEELEGYRKKLARAEVVYEESKTASKPDGTRPTNRTGFLGLIGSKVDSIDHCNEKIKELLPKLEAEQKVTLEEKQQHAALIFFNSRPAAVSAAQTLHAQMVDTWIVMEAPEPRQLIWTNLPKKFYERQIRQYVIYGIVFLTVFFYMIPIVFISSLTTLANLRRLLPFLKHIVDKPAIKTILEAYLPQIALIVFMALLPTLLMFLSKAEGIPSQSHVVRATSGKYFYFIIFNVFLGVTISGSLIDSLKTILNHPKQIVPLLGASLPGSATFFLTFVALKFFVGYGLELSRLVPLVIFHLKKKFLCKTEAEVKEAWAPGDFSYGTRVPNDMLIMTVVLCYSVIAPLIIPFGVVYFSLGWLIARNQALKVYIPSYESFGRMWPHMHVRVLAALVIYQITMLGYISLKKFLYAPFIVPLPILSVVFAYICNKRFYRAFANTSLEVACQNLKETSNLESVYTAFIPPSLMPEKLDDGNQFEGAQSQPSRPTAV >DRNTG_18946.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11778497:11787836:-1 gene:DRNTG_18946 transcript:DRNTG_18946.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hyperosmolality-gated Ca2+ permeable channel 3.1 [Source:Projected from Arabidopsis thaliana (AT1G30360) UniProtKB/TrEMBL;Acc:A0A097NUQ9] MEFASFLTSLCTSFVIFVVLMLLFTWLSRKPGNEVVYYPNRIFRGLDPWEGRRMTRNPVAWIGEALRASEADVIAVAGVDAAVYLVFLCSVLGILVLSGILLLPVLLPVAATDHNLKLSAQSNSNGTFTNLDKLAMGNIQEQSPRLWACILGTYWVSFVTFFVLWKAYKHVNNIRSAAKPNSLRTPEDFAVLVRDIPRAPKGQTVKDHVDLYFKSLHPDTFYRSMVITDNKKVNKIWEELEGYRKKLARAEVVYEESKTASKPDGTRPTNRTGFLGLIGSKVDSIDHCNEKIKELLPKLEAEQKVTLEEKQQHAALIFFNSRPAAVSAAQTLHAQMVDTWIVMEAPEPRQLIWTNLPKKFYERQIRQYVIYGIVFLTVFFYMIPIVFISSLTTLANLRRLLPFLKHIVDKPAIKTILEAYLPQIALIVFMALLPTLLMFLSKAEGIPSQSHVVRATSGKYFYFIIFNVFLGVTISGSLIDSLKTILNHPKQIVPLLGASLPGSATFFLTFVALKFFVGYGLELSRLVPLVIFHLKKKFLCKTEAEVKEAWAPGDFSYGTRVPNDMLIMTVVLCYSVIAPLIIPFGVVYFSLGWLIARNQALKVYIPSYESFGRMWPHMHVRVLAALVIYQITMLGYISLKKFLYAPFIVPLPILSVVFAYICNKRFYRAFANTSLEVACQNLKETSNLESVYTAFIPPSLMPEKLDDGNQFEGAQSQPSRPTAV >DRNTG_18946.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11778497:11787836:-1 gene:DRNTG_18946 transcript:DRNTG_18946.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hyperosmolality-gated Ca2+ permeable channel 3.1 [Source:Projected from Arabidopsis thaliana (AT1G30360) UniProtKB/TrEMBL;Acc:A0A097NUQ9] MEFASFLTSLCTSFVIFVVLMLLFTWLSRKPGNEVVYYPNRIFRGLDPWEGRRMTRNPVAWIGEALRASEADVIAVAGVDAAVYLVFLCSVLGILVLSGILLLPVLLPVAATDHNLKLSAQSNSNGTFTNLDKLAMGNIQEQSPRLWACILGTYWVSFVTFFVLWKAYKHVNNIRSAAKPNSLRTPEDFAVLVRDIPRAPKGQTVKDHVDLYFKSLHPDTFYRSMVITDNKKVNKIWEELEGYRKKLARAEVVYEESKTASKPDGTRPTNRTGFLGLIGSKVDSIDHCNEKIKELLPKLEAEQKVTLEEKQQHAALIFFNSRPAAVSAAQTLHAQMVDTWIVMEAPEPRQLIWTNLPKKFYERQIRQYVIYGIVFLTVFFYMIPIVFISSLTTLANLRRLLPFLKHIVDKPAIKTILEAYLPQIALIVFMALLPTLLMFLSKAEGIPSQSHVVRATSGKYFYFIIFNVFLGVTISGSLIDSLKTILNHPKQIVPLLGASLPGSATFFLTFVALKFFVGYGLELSRLVPLVIFHLKKKFLCKTEAEVKEAWAPGDFSYGTRVPNDMLIMTVVLCYSVIAPLIIPFGVVYFSLGWLIARNQALKVYIPSYESFGRMWPHMHVRVLAALVIYQITMLGYISLKKFLYAPFIVPLPILSVVFAYICNKRFYRAFANTSLEVACQNLKETSNLESVYTAFIPPSLMPEKLDDGNQFEGAQSQPSRPTAV >DRNTG_18946.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11778322:11787836:-1 gene:DRNTG_18946 transcript:DRNTG_18946.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hyperosmolality-gated Ca2+ permeable channel 3.1 [Source:Projected from Arabidopsis thaliana (AT1G30360) UniProtKB/TrEMBL;Acc:A0A097NUQ9] MEFASFLTSLCTSFVIFVVLMLLFTWLSRKPGNEVVYYPNRIFRGLDPWEGRRMTRNPVAWIGEALRASEADVIAVAGVDAAVYLVFLCSVLGILVLSGILLLPVLLPVAATDHNLKLSAQSNSNGTFTNLDKLAMGNIQEQSPRLWACILGTYWVSFVTFFVLWKAYKHVNNIRSAAKPNSLRTPEDFAVLVRDIPRAPKGQTVKDHVDLYFKSLHPDTFYRSMVITDNKKVNKIWEELEGYRKKLARAEVVYEESKTASKPDGTRPTNRTGFLGLIGSKVDSIDHCNEKIKELLPKLEAEQKVTLEEKQQHAALIFFNSRPAAVSAAQTLHAQMVDTWIVMEAPEPRQLIWTNLPKKFYERQIRQYVIYGIVFLTVFFYMIPIVFISSLTTLANLRRLLPFLKHIVDKPAIKTILEAYLPQIALIVFMALLPTLLMFLSKAEGIPSQSHVVRATSGKYFYFIIFNVFLGVTISGSLIDSLKTILNHPKQIVPLLGASLPGSATFFLTFVALKFFVGYGLELSRLVPLVIFHLKKKFLCKTEAEVKEAWAPGDFSYGTRVPNDMLIMTVVLCYSVIAPLIIPFGVVYFSLGWLIARNQALKVYIPSYESFGRMWPHMHVRVLAALVIYQITMLGYISLKKFLYAPFIVPLPILSVVFAYICNKRFYRAFANTSLEVACQNLKETSNLESVYTAFIPPSLMPEKLDDGNQFEGAQSQPSRPTAV >DRNTG_31769.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001739.1:60595:61093:1 gene:DRNTG_31769 transcript:DRNTG_31769.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLPIWQPSSHLHTEAAAPHLLSEASHIHIEAADPHLILETPHLLSEAISPLHTEAAAPHLISKPKPLLISPFSDRTPSSSSSSSSSSTVKHLRSRSASPLQLFSSSVLSMP >DRNTG_23860.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20881018:20890563:-1 gene:DRNTG_23860 transcript:DRNTG_23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trafficking protein particle complex II-specific subunit 130 homolog [Source:Projected from Arabidopsis thaliana (AT5G54440) UniProtKB/Swiss-Prot;Acc:F4K0C4] MSSRCFGSGSHMLLLFLLPVRILMNSRRILKPRLKLIVQNDEKEWFIVFVSKAHPSNDQATKMAKKVYAKLEVDFSSKKRERCCKLDLHGTESIFWEDLESKMVESIRNTLDRRVQFFEEEIRKLSEQRYLPVWNFVNFFILKESLAFMFEMAHLHEDSLREYDELELCYLETVNTPARQRDFGGLDHGDDQAALLNPVFKPLTQIVLDDSFREFEFRQYLFACQSKLLFKLSRPVEVAARGYAFIISFSKTLALHENYLPFCLREVWVITACLAVINSTSSHYNGGVVTPDVEKEFYRLQGDLHSLCRIKFMRLAYLIGYGVEIERSPSNSASLSMLPWPRPAAWPVVSPDASSEVFAKEKIILQANPRAKHFDIQRKPLPLEPSSLLREANRRRASLSIGNVSELFDSHHSAVDGSGADGVSKFPPSSKTNPSSMSRNFSGPVNFESSSPTLDRPMRLSEIHVAAEHALKQTISDPDLLKSLSTLQDFEQKYMELTKGAADKYHNSWWKRHGVALDREIAALCFKHGNFDLAAKSYEKVCALYAGEGWQDLLGEVLPNLAECQKILNDQAGYLSSCVRLLSLDSGLFSTKERRAFQLEVVRLAHSEMKHPVPLNVSSLITFAGNPGPLLELSDGDPGTLSVTVWSGFPDDITLESLSMTLVATNNVDEGVVEAIKCPDAPVLNPGRNTVTLTLPPQKPGSYVLGVLTGQIGHLTFRSHGFSKGGPPDSDDFMSFEKPTKPVLKVFKPRPLVDITAAISSALLMNEPQWVGLIVKPMNYSLRGAILHIDPGPELMIEESHMIEIEDYTSVMERMPQITESITLKNLSTIRTDKSEQLLLENGKITLPDWASDITSVLWFPVRAVDDRIARGTSAVYPQRQSVVDGMRTVALKACIWSIPQPDISRGLSSVWIMAMLSLNCIPC >DRNTG_23860.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20881018:20886042:-1 gene:DRNTG_23860 transcript:DRNTG_23860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trafficking protein particle complex II-specific subunit 130 homolog [Source:Projected from Arabidopsis thaliana (AT5G54440) UniProtKB/Swiss-Prot;Acc:F4K0C4] IILQANPRAKHFDIQRKPLPLEPSSLLREANRRRASLSIGNVSELFDSHHSAVDGSGADGVSKFPPSSKTNPSSMSRNFSGPVNFESSSPTLDRPMRLSEIHVAAEHALKQTISDPDLLKSLSTLQDFEQKYMELTKGAADKYHNSWWKRHGVALDREIAALCFKHGNFDLAAKSYEKVCALYAGEGWQDLLGEVLPNLAECQKILNDQAGYLSSCVRLLSLDSGLFSTKERRAFQLEVVRLAHSEMKHPVPLNVSSLITFAGNPGPLLELSDGDPGTLSVTVWSGFPDDITLESLSMTLVATNNVDEGVVEAIKCPDAPVLNPGRNTVTLTLPPQKPGSYVLGVLTGQIGHLTFRSHGFSKGGPPDSDDFMSFEKPTKPVLKVFKPRPLVDITAAISSALLMNEPQWVGLIVKPMNYSLRGAILHIDPGPELMIEESHMIEIEDYTSVMERMPQITESITLKNLSTIRTDKSEQLLLENGKITLPDWASDITSVLWFPVRAVDDRIARGTSAVYPQRQSVVDGMRTVALKACIWSIPQPDISRGLSSVWIMAMLSLNCIPC >DRNTG_23860.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20886897:20890563:-1 gene:DRNTG_23860 transcript:DRNTG_23860.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trafficking protein particle complex II-specific subunit 130 homolog [Source:Projected from Arabidopsis thaliana (AT5G54440) UniProtKB/Swiss-Prot;Acc:F4K0C4] MSSRCFGSGSHMLLLFLLPVRILMNSRRILKPRLKLIVQNDEKEWFIVFVSKAHPSNDQATKMAKKVYAKLEVDFSSKKRERCCKLDLHGTESIFWEDLESKMVESIRNTLDRRVQFFEEEIRKLSEQRYLPVWNFVNFFILKESLAFMFEMAHLHEDSLREYDELELCYLETVNTPARQRDFGGLDHGDDQAALLNPVFKPLTQIVLDDSFREFEFRQYLFACQSKLLFKLSRPVEVAARGYAFIISFSKTLALHENYLPFCLREVWVITACLAVINSTSSHYNGGVVTPDVEKEFYRLQGDLHSLCRIKFMRLAYLIGYGVEIERSPSNSASLSMLPWPRPAAWPVVSPDASSEVFAKEKASVFSYHN >DRNTG_00361.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18610592:18612775:-1 gene:DRNTG_00361 transcript:DRNTG_00361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHTFNEVSKGGPSTHRGERQVLLLRHRVPELPNQLHKAQILLLGRNPVRRPRPKRKPIRGSSTNNNPMDCILKALHAEDLHLRCRRCDTISLKVDLQELCHRPCILWSAGHLPHCKDMRSRRSEQRFADWGSEEDSCHSRSRENDDEIDGC >DRNTG_07849.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10494:11168:-1 gene:DRNTG_07849 transcript:DRNTG_07849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEYALYGLLSVKLDVYSYGVMVLEIVTGQKNGSFQKNSETAINLLSTVWRYWNEGKGLELKDSSLGEEVSNEEVLRCIHIGLLCVQEDPKERPTMALVLLMLNSYSVYLPRPSTPGFLTQSSEISGVLSREKNSTLQENGKPNEEPYSSRSISLNGLSISEVEGR >DRNTG_33510.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002012.1:49296:51141:-1 gene:DRNTG_33510 transcript:DRNTG_33510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLVGYLKGITSDIVTSECVLMSYANGGILLLNTTDTQQFTQLTAHFIGNVDEERACNFAAFMPFEETTPLRRAEWIKYLGSFTNSESRANTVYDAIKGNYLCLSKVAANLTTRFKPVVAWIDYNQGIWSFAKETYKLQYVVDAGGENLDDSISNNSYNISIPDEIDNFRAILCTVDVAIDQTYSEDPTEYTISTFLENIGVEGSYCFGFVTNQSLWRYDKRAGNFSSLDWFDGAVSQPQLVLADLIEAFFPTGNYNTTYFRNLAKGEGVITVSPDMCQRNTSTPMEPTIIPCQ >DRNTG_33510.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002012.1:49296:49714:-1 gene:DRNTG_33510 transcript:DRNTG_33510.2 gene_biotype:protein_coding transcript_biotype:protein_coding GEGVITVSPDMCQRNTSTPMEPTIIPCQ >DRNTG_02941.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1275718:1276492:1 gene:DRNTG_02941 transcript:DRNTG_02941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLLDRSFVSPFHGTESTHPFGIRRSRLPSPL >DRNTG_14817.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:9984141:9985952:-1 gene:DRNTG_14817 transcript:DRNTG_14817.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSPLSLLVTSSTQKPSIKRKENDTKIRPRLSCFKDKRVWRERRLVAQLSL >DRNTG_18504.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18178007:18180949:1 gene:DRNTG_18504 transcript:DRNTG_18504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNDGMTSDSVLVDDVVIVTFRDVFYGLKSFVKVGGGTVTMARAIAHVFPCDLSTLFLICLMRLIL >DRNTG_02796.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1092173:1106941:1 gene:DRNTG_02796 transcript:DRNTG_02796.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAATSIRYGPVASGGGGSLDALNRILADLCTRGNPKDGAASALRRHVEEEARELSGEAFSRFMDQLYDRISFLLESNDFAENLGALRAIDELIDVTLGESASKVSKFSSYMRTVFEVKRDPEILILASRVLGHLARAGGAMTADEVERQIKNALEWLRGDRIEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPTLAVRERAVEALRACLRVIEKRETRWRVQWYYRMCEAAQVGLGKNASVHSIHGSLLAVGELLRNTGEFMMSRYREVADIVLKYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLKICMDHILAVLRNPAERASGFIALGEMAGALDGELIPYLPTITLHLRDAIAPRRGKPSVEALACVGSFAKAMGSAMEPHVRGLLDSMFSSGLSFTLVEALEEITVSIPSLLPTIQERLLDSISIALSKTPYPQTKPGAVVNRANVPNNTQQCSDVSGSGLVQLALQTLAHFNFKGHELLEFARESVVVYLEDEDGATRRDAAICCCRLVANSIAGIPSSQFSSNRSSRIGGMKRRRLVEEVMEKLLIAAVADADVSVRQSVFLSLSVNCSFDEYLAQADSLTSIFVALNDEDFDVCELAISVAGRLSEKNPAYVLPALRRHLIQLLTYLEQSTDSKCKEESARLLGCLIRNCERLVLPYIAPIHKALVARLCEGTGVTANNGITTGVLATVGELAKVGGFAMRQYLHELMPLIVDALLDGAAVHKREVAVATLGQVVQSTGYVISPYNEYPLLLGLLLKLLNGELAWSTRREVLKVLGIMGALDPHAHKRNQQSQPGPHGDATRPASDTSQHIVSMEQLPTELWPSFATSEDYYSTVAISSLMRILRDPSLSSYHQKVVGSLMFIFKSMGLGCVPYLPKVLPELFLALNTCEDGLKEFITWKLGTLVSIVRQHIRKYLPELLSLISELWSSFSLPATNRPVQGSPILHLVEQLCLALNDEFRTYLPAILPCCIQVLVDAERCNDYSHVPDILHTLEVFGGTLDEHMHLLLPALIRLFKVDASIDIRRRAIKTLTKLIPRVQVSGHVSSLVHHLKLVLDGNNDELRRDAADALCCLAHALGEDFTIFIPSIHKLLLKHHLRHRDFDEIMSHLRKREPLILESLSVQKLNRRVNVEGISDPLNDMDSDPSDDGMEMHRQLKTHQVNDVRLRTAGEASQRSTKEDWAEWMRHFSIELLKESPSPALRTCARLAQLQPFVGRELFAAGFASCWAQMNETSQEQLVRNLKTAFSSQNIPPEILATLLNLAEFMEHDEKPLPIDTRLLGALAEKCRAFAKALHYKEMEFEAARSKKMGANPVTVVESLIHINNQLHQHEAAVGILTFSQQHLDVQLKESWYEKLQRWEDALKAYTLKSSQASSPLHNLDATLGRMRCLAALARWEELNNLCKEQWTAAEPAARLEMAPMAASAAWNMGEWDQMAEYVSRLDDGDESKLRTLGNTTASGDGSSNGAFFRAVLLVRRKKYDEAREFVERARKCLATELAALASFLFPDTCIFPLLFLPTSINCFSWW >DRNTG_33437.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2984973:2987027:1 gene:DRNTG_33437 transcript:DRNTG_33437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEWESSKVYPRVVTTLSSLLQRVVEINESSSSSSTTTKPLLSVWTTTNNGDEAKEEEEEEKKKNSSVFQGVRKPGISIKKYLERIFKYAGCSPACFVVAYVYLDRFTRHCPNLSIDSFNVHRLLITTVLLATKFLDDIYYNNAYFAKVGGISMAEMNLLEINFLFGIQFELNVAPRVFSSYCSILENEMSFESPNAGPRLHCFLSEEETNICKQKQLAQSNSKTTTQCS >DRNTG_33002.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001935.1:18603:18948:1 gene:DRNTG_33002 transcript:DRNTG_33002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLWRVLYSSKGPFVWPTIQLDGSVPMKALALTFFQTERDVRAIEPLQIPRAGIGKPHAPVGAPVDSLFSSFFGRL >DRNTG_04592.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19429607:19431432:-1 gene:DRNTG_04592 transcript:DRNTG_04592.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCALILYVSTPVGNFRTARLLEALRQFSQDGQRNHRDVRLPLWALMWWHTGVEFDIPKNQKADEIDEMLAVANWRRLLTIHDPSIRMPTQESETETPPVEEDPPPVPTFLPSRDYDHFERLESAMGVIRTEIVQTHAEIAEVRAMQAT >DRNTG_19224.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18982875:18985015:-1 gene:DRNTG_19224 transcript:DRNTG_19224.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSANSHSSVPPFSFATTPFSSSFSELLAGAVDHDEDLSLSPSNFSLTRGVPKFKSFNPPSLPISPSSCFSIPPGLSPAELLDSPVLLSSSNILPSPTTGTIPAQSFNWRSSFQQQQSIKEEPKQTRPFSDFSFQTKQNFHHNEEQKVIPTLQQDYNNNNNNNNNNNNNNASMKEQKKSDDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERSIDGQITEIVYKGTHNHCKPQSTRRNSASSQAYQAVAPTETNEHSYGTPIDSAATPENSSVSFEDDDVDMSSKRSKTGSENFDDDDNNEPDAKRWKKEGEHESMSAAGNRTVREPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTVGCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGSGSHAVVNRSTADVNVTTAIRPSAMFGRGGGGGSQGQGPFTLEMLQPQVNYGMVSGYDNAMASYLNHQQQQKHLESVMISKAKDEPKDDLFIESLLC >DRNTG_27860.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3870662:3872374:1 gene:DRNTG_27860 transcript:DRNTG_27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQISVKKQGMGRKRQQKGSIEEGKEKQEEKGGVAQSTTLMTLMTDPFYNFLWTIECFSNVSKRAYVYTSREFSAVGCKWKLEFYPKKSVDGENYLALHLVLNGVRPFFTHYQYNVACQISLLNQSTGNNHSISANFEVMLKGSYGFDKFIFLKDFHNEKNGYLVNDKCVFGVNISKALPIYTISEIITASGARVTQSTTLTTKLYYNFLWTIECFSIFSNSTDVHKSGKFSVLNCKWELRFDLKKSTNGEDYLGLFLVLNEVPSHFAKCQYNVVYQLSLLNQRMGKDYSVSGF >DRNTG_16234.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000801.1:13569:16297:1 gene:DRNTG_16234 transcript:DRNTG_16234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPEPSSLDPVCRQTTADPQGVSPIGLQGLRI >DRNTG_09695.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000404.1:68299:69354:1 gene:DRNTG_09695 transcript:DRNTG_09695.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVGSKLKAPIFGSEITGISRICRKGTMACLKLGFRSRSSQKSIKEADEPGPVWWRGWFKMKKKKTKGEIVEVESVRGGEDLWNAAAAAAAEDHGVFPEHLVIMVNGLVGSAEDWRFAAEQFVKKIPTKVIVH >DRNTG_09695.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000404.1:68299:74770:1 gene:DRNTG_09695 transcript:DRNTG_09695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVGSKLKAPIFGSEITGISRICRKGTMACLKLGFRSRSSQKSIKEADEPGPVWWRGWFKMKKKKTKGEIVEVESVRGGEDLWNAAAAAAAEDHGVFPEHLVIMVNGLVGSAEDWRFAAEQFVKKIPTKVIVHRSACNSSRLTFDGVDLMGERLADEVMSVVNDRRGVQKISFVAHSLGGLIARYAIGRLYEAGGVGNHSDKGKGHEGRIAGLEPMNFITFATPHLGSKGNKQLPFLCGVPFLEQRASKTAHFIVGRTGKHLFLTDDDDDDGKPPLLLRMAYDCDDIKFMRRVAYANANFDHIVGWRTSSIRRQHELPKYHLLVSDESYPHIVFVDKGQTENALIEESSVVETQNYDSEEAMIRGLTRVPWERVDVSFQKSKQRYVAHNTIQVKSYWLNSDGADVIFHMIDNFLI >DRNTG_09695.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000404.1:68299:72586:1 gene:DRNTG_09695 transcript:DRNTG_09695.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVGSKLKAPIFGSEITGISRICRKGTMACLKLGFRSRSSQKSIKEADEPGPVWWRGWFKMKKKKTKGEIVEVESVRGGEDLWNAAAAAAAEDHGVFPEHLVIMVNGLVGSAEDWRFAAEQFVKKIPTKVIVHRSACNSSRLTFDGVDLMGERLADEVMSVVNDRRGVQKISFVAHSLGGLIARYAIGRLYEAGGVGNHSDKGKGHEGRIAGLEPMNFITFATPHLGSKGNKQLPFLCGVPFLEQRASKTAHFIVGRTGKHLFLTDDDDDDGKPPLLLRMAYDCDDIKFMRRVAYANANFDHIVGWRTSSIRRQHELPKYHLLVSDESYPHIVFVDKGQTENALIEESSVVETQNYDSEEAMIRGLTRVPWERVDVSFQKSKQRYVAHNTIQASS >DRNTG_09695.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000404.1:70065:70708:1 gene:DRNTG_09695 transcript:DRNTG_09695.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVNDRRGVQKISFVAHSLGGLIARYAIGRLYEAGGVGNHSDKGKGHEGRIAGLEPMNFITFATPHLGSKGNKQVMLMFGLIELGPHYLIV >DRNTG_14806.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29848147:29849724:1 gene:DRNTG_14806 transcript:DRNTG_14806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNTNTITTSAAMNGGVATSTTAGEKSSHLYLTILPITKPKQQGSEKASKLIHEASKLFNLSSPIAITALLLYSRSIVSMLFLGTLGDLQLAGGSLAVAFGNITGYSLLSGLSLGMEPLCSQAFGANQPKLLSLTLHRSILFLLCSSIPISLLWLTMSKILLFLGQDPGITSLAQSYLLFSLPDLISFSFIHPIRIYLRSQGITKPLTLAAAFSAALHLPANLILVTHFRLGLTGVATAAAASNLSLLLSLLLYIHLSGVTAWQGPTRECLTGWGPLARLSIPSAVSVCLEWWWYELMILLCGVLPDPKPAVASMGVLIQTTSLVYVFPSSLGFGVSTRVGNELGANRPKRARTSAAVSVVVAGLMGVLAMSFATSMRNQWACMFTNDPEIQRLTAAALPIVGLCELGNCPQTVGCGVLRGSARPAHAAHVNLGAFYLVGLPVAVGLGFGLGFGFTGFWMGLLAAQVCCAGLMLYVVWSTDWEAQAQRAQVLTCAEASGPEGGFKDGVCYEPLISVKIDDEGFER >DRNTG_18499.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1872755:1875539:1 gene:DRNTG_18499 transcript:DRNTG_18499.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTAVCDFGLSRLKHSTFLSSKSIAGIPEWMAPKVLRNEPSNEKCDVYSFGVILWELATLRMPWSGMNPMQVVGAVGFQDRRLEIPKEVDPLVAKIIWQCWQKDPNLRPSFVDLTTALKSLQRLVVPAYQDAQNPPLAQEIPVNTTP >DRNTG_18499.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1872192:1875539:1 gene:DRNTG_18499 transcript:DRNTG_18499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTAVCDFGLSRLKHSTFLSSKSIAGIPEWMAPKVLRNEPSNEKCDVYSFGVILWELATLRMPWSGMNPMQVVGAVGFQDRRLEIPKEVDPLVAKIIWQCWQKDPNLRPSFVDLTTALKSLQRLVVPAYQDAQNPPLAQEIPVNTTP >DRNTG_18499.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1872192:1874169:1 gene:DRNTG_18499 transcript:DRNTG_18499.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTAVCDFGLSRLKHSTFLSSKSIAGIPEWMAPKVLRNEPSNEK >DRNTG_22882.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001253.1:8121:11882:1 gene:DRNTG_22882 transcript:DRNTG_22882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVRFLVEKLGALIVQEAINLHEGREQVEWLKREMGRMQCFLKDADAKKNNGDDERVKNWVTEMRDLAFEAEDIIDTFMYVKLRRQQPGCMGFMKRFVFIFHELVSRRKVHVDVQGIKTKLKELSESRARYGISNIGTTSQSRSPGGIPILPELIDDIDMVGFDDEKKKIGQELVDTNDTNRSVISIVGMGGLGKTTLAKSVYNDYKVKRSFDILAWVTISQQYIIPEILKGILSDKSETSSEDTIQTLSIKVCEKLKKGKYLVVLDDVWTAAVWNELRKVFPDVNNGSRVIVTTRFENVISIADALSIADPTTKLHKLRYLNEKESWELFLRKVFPRQDIETCCPACLLDYAHLLVQRCGGLPLALVVLGGLVSTKRQTRDEWHKVVDSTKGQFVESGERCLEVLALSYNDLPYYLKSCFLYFGCFKEDEEIPAKTLIRLWLAEGFLPKKNSTTTEEEIGSDCLKELAQRCLIQVIELEYDDSAKRCRIHDLLRDMCISEARESRFLKLYKNDTEDCPTTANAARQLIIFNEIETLNYSNSKLRGLFYGSTYNGLPFRALKGQLGIFKLLRVLLLSSSELDISEFPSEIKSLIHLRYLDLKIFGLKEVPSWIGHLRNLQTFILSCGGIEKISDSLWTIGNLGHVELPYSSSVPPPNMGNNVPKNLQTLEGVNAGSWIGSTLPKLENLCELSIRKVSNDHAGALSSSLQKLGRLASFSIVDGDEIHLDNIITAFSNQHCLKKLVLFGILNRKQLPHNDVFPQQLVEIYLSFSGLEQDPMATLEKLPCLKYLRLIDAYRGKQMICSATGFPQLLSLEIGAFHALEEWTIEENAMLCLKSLEIDFCKNLKMIPEGLKNVPLDLLQLGGMPKEFVTRIEENTGEDWYKIQHVPNIPFNLHMYYGVRHG >DRNTG_19322.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:714569:720023:-1 gene:DRNTG_19322 transcript:DRNTG_19322.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKVLSLLLLVVVVLAAASPFAGGSVWYDHKAITINGQRRILISGSIHYPRSVPEMWPDLIQKAKDGGLDVIQTYVFWNGHEPSPGEYYFEERYDLIRFIKLVQQAGLYVNLRIGPYVCAEWNFGGFPVWLKYVPGIRFRTDNEPFKAAMQNFTEKIVSMMKSEQLFEGQGGPIILSQIENEFGPLEWDQGEPAKAYANWAAQMAVSLNTGVPWIMCKEDDAPDPVINTCNGFYCDYFSPNSPEKPTMWTENWTGWFTGFGGPVPTRPAEDVAFSVAKFIQKGGSFVNYYMFHGGTNFGRTAGGPFIATSYDYDAPLDEYGLLRQPKWGHLKDLHRAIKFCEPALVSGDPIVTSLGNYQESHVYRSSSGACAAFLANYDTSSFSRVAFNGMHYDLPPWSISILPDCKNTVFNTARVGVQTAQMKMDWIGGFSWESYNEDVNSYDDNSFTTVGLLEQINITRDQTDYLWYTTYVDIDQDEEFLKNKQYPVLTVLSAGHSLHVYINGQLAGTAYGGIDNPKLTYAGSAKLWAGSNKISILSISVGLPNVGEHFETWNAGVLGPVTLNGLNEGRRDLTWQNWTYKVGLYGEALGLHSLSGSSSVEWGEAFQNQALSWYKTTFNAPEGSEPLALDMNSMGKGQIWVNGQSIGRHWPGYKASGTCGSCDYRGTYDEKKCQINCGEASQRWYHVPRSWLYPTGNFLVVFEEWGGDPNGISMVRRKTGSICADVSEWQPSMSNWRTKDYGKAKVHLSCDPGQKITNIKFASFGTPQGTCGSFSEGKCHAHKSYDIFEKKCIGEQSCAVIVVPEVFGGDPCPGTMKSVAVEAICE >DRNTG_17787.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11490059:11495409:-1 gene:DRNTG_17787 transcript:DRNTG_17787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGVGIFTKTLAEYQRRGENFTKELDFVIADVLMAIVADFMLVWLPAPTVPLRPPVAFNAGPISKFFYNCPDNAFQIALGGSSYSFLQRVGAIVRNGSKLFVVGTSASLIGTGITNALIKTRKALDENLAGESEDVPILSTSVAYGVYMAISSNLRYQLLAGVLEQRILEPMLHNHKLLLSASCFVARTGNTFLGSLLWVDYARWVGIQ >DRNTG_21665.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:11151956:11154223:1 gene:DRNTG_21665 transcript:DRNTG_21665.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKEYPHHIQNRMLSSENGDLVDAVVPFMGESITDGTLATFLKKPGDSVQVDEPIAQVETDKVTIDVVSPEAGVIQKFVVKEGDTVTPVTKVAVISKGEARVTHIAPSEDQSGKDTSQTPPPPPKIEIEERAPKVDTSTKEKPKVPSAVLPKTSASEPQLPPKERERRVPMPRLRKRVATRLKDSQNTFAMLTTFNEVDMTNLMKLRSDSKDAFLEKHGVKLGLMSGFVKAAAIGLQNQPIINAVIDGDDIIYRDYIDISIAVGTSKGLVVPVVRNADTMGFADVEKEINKLACKANDGTISIDEMAGGTFTISNGGVYGSLMSTPIINPPQSAILGMHSIVNRPMVVDGLVIPRPMMYLALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDI >DRNTG_27105.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14506517:14507648:-1 gene:DRNTG_27105 transcript:DRNTG_27105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRVTIFYSGFLAATSVLTSPAAMHYVGASTTALSGPFGPCSPPPSPPSEGTTSITCSDPLTPFDSFEEDQPVNPISEDLLGKIVCQDYSTENMQKIFENVGKINEITICDPHLAKVSANNKKVKPVSSKLHALIDSKATYDNVGMGNKGEEEHLPSEKAGRRGRYKSRGRGQLPQNSNMVGNDHGSSLSSEIVSKPLSGPKMPNGTRGFTMG >DRNTG_06416.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29738823:29742254:-1 gene:DRNTG_06416 transcript:DRNTG_06416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIAVLYAFTPPTSTNADIISGLSKTLVNFPTLTANLSTDSHGRPSLYIGCPDGGVLVVEATVSSKLEDHLPLTPSPDFRLLHPEVNDAKHLLQVQLNRFQCGGLVIGVTSHHRVADGRSMGSFFVAWGKMVRGLPIDPLLVYDQPWLKPRDPPLVQFDHWGSEFIPLSPQPNEFNITPVYVDPSEITNLLLHFSPEFIMKLKAQTNKLSTEKHTTFETLTGYLWRKVTIARQLDDEECTMLAVPVNGMRRLQPPVPPEFFGNLALNAFPKAKARALIEGGVATAAGIVRGAVRIMGDDYFRSFIDFGKVYGDGDLVPCHEKLGNVLSPTMEVDSWLRLGFDEVDFGGGGKLCGISLTWVPFEGLSVLIPSFYQDGGVDVFVSLLKKHAINEDTCTNISVLQRKSSLTGPRDTVVGGYADDQPSSRTRIGSTELGASASDL >DRNTG_18127.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:499701:504438:1 gene:DRNTG_18127 transcript:DRNTG_18127.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFGGSEHSGASVGKKRRSIPSRGRRSDYQILLEGTKCLPHLSAPSSEDASKISLESSLGDDPSLNNSSQRMISINKINGTGSSKKFKKSEGRSGDVGGFYRNGSTRAGHSGNNEPRRNGSDLKRCSEGVLAPANWKSTSNTREKLELQAMVSEASISKNGDGYSLDHSVGGSSGSGENKPRKLKFKVGGLLGSTHTKSNTDNAFTKSSHPLNVSWHGQKHMLQDNSDDGQFHIEQSNCMQGREWKDLGEHHPHGTEENTRVKLVGKSSSSTQMDKVHSTNSSDPTTRKSKRVTRRRAVEDTFDDSDEDDEIRYLERLKSSKIVKVDAVDYGHEGNKLKKKKVSESSKNKVSSYDFDEEYVSQSVKDGERRRKTAKESSDIAYVEEDEPGSDASLDPKEMKREGSADTVGDGRVGALTTRQRALQSSKDGNTGESLIEFPNGLPPAPRRKQKEKLSEVELQAKKAEAAQRRRMQVEKANRELEAAVINKILGKDPNKKKKEEEKLKEMQEEASSMLPLAPSTIRWAIGPTGTTVTFADDVGLPNIFESKPCSYPLPREKCAGPSCTNAYKYRDSKTNLPLCSLRCYRAVQKIPQTGTSC >DRNTG_18127.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:503286:504438:1 gene:DRNTG_18127 transcript:DRNTG_18127.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLALDSYPLPREKCAGPSCTNAYKYRDSKTNLPLCSLRCYRAVQKIPQTGTSC >DRNTG_18127.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:499701:504438:1 gene:DRNTG_18127 transcript:DRNTG_18127.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFGGSEHSGASVGKKRRSIPSRGRRSDYQILLEGTKCLPHLSAPSSEDASKISLESSLGDDPSLNNSSQRMISINKINGTGSSKKFKKSEGRSGDVGGFYRNGSTRAGHSGNNEPRRNGSDLKRCSEGVLAPANWKSTSNTREKLELQAMVSEASISKNGDGYSLDHSVGGSSGSGENKPRKLKFKVGGLLGSTHTKSNTDNAFTKSSHPLNVSWHGQKHMLQDNSDDGQFHIEQSNCMQGREWKDLGEHHPHGTEENTRVKLVGKSSSSTQMDKVHSTNSSDPTTRKSKRVTRRRAVEDTFDDSDEDDEIRYLERLKSSKIVKVDAVDYGHEGNKLKKKKVSESSKNKVSSYDFDEEYVSQSVKDGERRRKTAKESSDIAYVEEDEPGSDASLDPKEMKREGSADTVGDGRVGALTTRQRALQSSKDGNTGESLIEFPNGLPPAPRRKQKEKLSEVELQAKKAEAAQRRRMQVEKANRELEAAVINKILGKDPNKKKKEEEKLKEMQANAKFIIICYASQFVL >DRNTG_18127.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:501913:502807:1 gene:DRNTG_18127 transcript:DRNTG_18127.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQGREWKDLGEHHPHGTEENTRVKLVGKSSSSTQMDKVHSTNSSDPTTRKSKRVTRRRAVEDTFDDSDEDDEIRYLERLKSSKIVKVDAVDYGHEGNKLKKKKVSESSKNKVSSYDFDEEYVSQSVKDGERRRKTAKESSDIAYVEEDEPGSDASLDPKEMKREGSADTVGDGRVGALTTRQRALQSSKDGNTGESLIEFPNGLPPAPRRKQKEKLSEVELQAKKAEAAQRRRMQVEKANRELE >DRNTG_32549.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20074837:20078034:1 gene:DRNTG_32549 transcript:DRNTG_32549.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP90D1 [Source:Projected from Arabidopsis thaliana (AT3G13730) UniProtKB/TrEMBL;Acc:A0A178VDZ8] MDFNNMVCMTLFFMISIIILLTFMSTRFNKRKRSSRKNLSRSAKLPSGSLGWPFFGETLEFISCAYSPHPESFMNKRRLLYGKVFKSHIFGSPTIVSTDAEVSRVVLQSDAKAFVPSYPKSLTELMGKSSILLINGGLHRRVHGLIGAFFKSPLLKSQITTDMHKYVQHLMSGWQDNQLIFIQDETKHIVFQILVRVLIGLEPGEEMQFLKHNFQEFIAGLMSLPVKLPGCRLYKSLQAKKRMVMLVEKIIKEKKKKRRMMMMMMNSNTSDHQNTPKDVIDVLLNDNSNQLNDDLISDNMIDLMIPGEDSVPILVTLAIKYLSDCPPALKQLEDENMQLKQQKSSFHISSPFGHKLHVGGRGGPSGELSNSENEEEDAHKSEKKGGDIEEDMGVHTCGSEINKGWKESHENTEH >DRNTG_09853.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000417.1:70390:71024:1 gene:DRNTG_09853 transcript:DRNTG_09853.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLAVKASGSTRLFAIGSVDFTSLEKIYGLLMCTRDLSKEDCNMCLSSYIPQIQNLGNGHQQGGVLLGMSCYLRFEVYPFYNFSAITADTSPPVSSPPDAIVRTSPPVSSVPGKSNKTATVIAAIAIPAVAAVVLLPVTCFCLRKRWVNKKRAKKIN >DRNTG_09853.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000417.1:70390:70813:1 gene:DRNTG_09853 transcript:DRNTG_09853.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLAVKASGSTRLFAIGSVDFTSLEKIYGLLMCTRDLSKEDCNMCLSSYIPQIQNLGNGHQQGGVLLGMSCYLRFEVYPFYNFSAITADTSPPVSSPPDAIVRTSPPVSSVP >DRNTG_04726.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8225601:8227019:1 gene:DRNTG_04726 transcript:DRNTG_04726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYPLSADNETIAAPSAADAVTVDDMAVTMEEIVDDVVIVAVDKIVDSVVNKIPNPVEPAANSVASKMDIISKEQEPAKVVSPVDAVATATVEKIVDSVVNKIIVTVEPTTDNVASKADTIPQQQEASKDMSPIDAIVVPASKEDAASAEHRQPSTTVPHDDPKTAVDEREEKKYVGQSRLNKYEHELIRIFLNCPMDNIVLWKNDSLSTTRSRLFTLLEGKEMVSEDVMDAFVCIIQKSLSIVPYPYKKCASITQPLALFMSKQDDAHETTMAMIRDATRNLHDVEIVILPIIMNGHFHVMVLDNNKQEYKHYFSCQSEEYDKDALEMWRLFDICIDMEFGESVTAKYPLVHDIETPRQK >DRNTG_04663.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30581582:30581821:1 gene:DRNTG_04663 transcript:DRNTG_04663.1 gene_biotype:protein_coding transcript_biotype:protein_coding YRIESVLESTAAGDKTVRLGRWSQQAELSGVNINITYNPDGTHLAVGNKEDELTILDWVATVLLWIPLEDILLSAVLILW >DRNTG_32819.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27739850:27745706:1 gene:DRNTG_32819 transcript:DRNTG_32819.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLTISFYLNIAHFQGNRIDGLWVNHMHPHHAKVARGIRGVQMQLGRMIFALDDGRIGELHFTGIGGESHGPNQQSSLRRKASNQYEWSVLEVPETEGWNAEYCTDERGSSNCILGIKEMPADDDPNDSSSTIPAKRRKAQEKQDYISLSNHEGSSATESTNLLTKSIKTNFRMRAMHVDRSFFIITESGQTFEYLYADHVWLWLKHEHSTEMKGALGSYNGSLFFVDTHGSLLMRERDGNELSWINCTAMRKGRQVATGPPWDGTPGGMRRVTAEDALFLVNKKGRLIQFTVALRNFKWKDCGHPPDTKVAYIIDQEVLRMHIVFVIGRNGRIYQYNKLTELWHGHYQSPHLVLSRTPGTAMRPSHTSLIGSLFMISENGGLVEYQWSSVDGWEWVEHGTPSKDVILVGAPGPCFDNTQLFMIGSDGQVYRRHLDQKAWQWTGHDHPQIQNAEQGGMARTKDDEQCSVEDKAHRTNDFNGNCNEKVAPTRPIPLSEDAVIFELQDGRLAELRRPNAAEEWEWVRIIGTPTSQCLRNYWTAVAS >DRNTG_32819.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27739850:27745706:1 gene:DRNTG_32819 transcript:DRNTG_32819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRRRLYVTTTDKTLLEVSEVQPLRWYNHGRPLGGDVSAVADAGAVRPGVVFIISSNGDLYEFDKKSKPSWKKHIWSEELAEEISLIALPGSTLHGLVGAHSVSLFLLTKDGLLIERCLHKRKWKWRVHGAPEGHHLSSITAVEQNEQNEKHSSLFLTTTTGLLFEYQLPKHSAHFQGNRIDGLWVNHMHPHHAKVARGIRGVQMQLGRMIFALDDGRIGELHFTGIGGESHGPNQQSSLRRKASNQYEWSVLEVPETEGWNAEYCTDERGSSNCILGIKEMPADDDPNDSSSTIPAKRRKAQEKQDYISLSNHEGSSATESTNLLTKSIKTNFRMRAMHVDRSFFIITESGQTFEYLYADHVWLWLKHEHSTEMKGALGSYNGSLFFVDTHGSLLMRERDGNELSWINCTAMRKGRQVATGPPWDGTPGGMRRVTAEDALFLVNKKGRLIQFTVALRNFKWKDCGHPPDTKVAYIIDQEVLRMHIVFVIGRNGRIYQYNKLTELWHGHYQSPHLVLSRTPGTAMRPSHTSLIGSLFMISENGGLVEYQWSSVDGWEWVEHGTPSKDVILVGAPGPCFDNTQLFMIGSDGQVYRRHLDQKAWQWTGHDHPQIQNAEQGGMARTKDDEQCSVEDKAHRTNDFNGNCNEKVAPTRPIPLSEDAVIFELQDGRLAELRRPNAAEEWEWVRIIGTPTSQCLRNYWTAVAS >DRNTG_32819.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27745266:27745706:1 gene:DRNTG_32819 transcript:DRNTG_32819.7 gene_biotype:protein_coding transcript_biotype:protein_coding ETTGQQLHLDIVKIIKQKGHNVHQKNYKKGSNVNHQTIKK >DRNTG_32819.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27739850:27745706:1 gene:DRNTG_32819 transcript:DRNTG_32819.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSLKVVFSVVCIIDQLLMNFVSCGCSWCPPVKREQDRKFEQKSDKFWEFEEGSNRWVEVSLPFDLVSCINESCTKVGSIESKNKHKNHRYEHEPGLLVRKRVSLTLMTESSVWITGQSGSIFERFWNGVQWVIAPHELPTSAGHAVSVFIINQTILSLSEAGLLYQLQLNEHTQPIWTKLELISEANMDDARKEESPFVQIKSGLVSHDGERLYVTTTDKTLLEVSEVQPLRWYNHGRPLGGDVSAVADAGAVRPGVVFIISSNGDLYEFDKKSKPSWKKHIWSEELAEEISLIALPGSTLHGLVGAHSVSLFLLTKDGLLIERCLHKRKWKWRVHGAPEGHHLSSITAVEQNEQNEKHSSLFLTTTTGLLFEYQLPKHSAHFQGNRIDGLWVNHMHPHHAKVARGIRGVQMQLGRMIFALDDGRIGELHFTGIGGESHGPNQQSSLRRKASNQYEWSVLEVPETEGWNAEYCTDERGSSNCILGIKEMPADDDPNDSSSTIPAKRRKAQEKQDYISLSNHEGSSATESTNLLTKSIKTNFRMRAMHVDRSFFIITESGQTFEYLYADHVWLWLKHEHSTEMKGALGSYNGSLFFVDTHGSLLMRERDGNELSWINCTAMRKGRQVATGPPWDGTPGGMRRVTAEDALFLVNKKGRLIQFTVALRNFKWKDCGHPPDTKVAYIIDQEVLRMHIVFVIGRNGRIYQYNKLTELWHGHYQSPHLVLSRTPGTAMRPSHTSLIGSLFMISENGGLVEYQWSSVDGWEWVEHGTPSKDVILVGAPGPCFDNTQLFMIGSDGQVYRRHLDQKAWQWTGHDHPQIQNAEQGGMARTKDDEQCSVEDKAHRTNDFNGNCNEKVAPTRPIPLSEDAVIFELQDGRLAELRRPNAAEEWEWVRIIGTPTSQCLRNYWTAVAS >DRNTG_32819.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27744613:27745916:1 gene:DRNTG_32819 transcript:DRNTG_32819.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHIVFVIGRNGRIYQYNKLTELWHGHYQSPHLVLSRTPGTAMRPSHTSLIGSLFMISENGGLVEYQWSSVDGWEWVEHGTPSKDVILVGAPGPCFDNTQLFMIGSDGQVYRRHLDQKAWQWTGHDHPQIQNAEQGGMARTKDDEQCSVEDKAHRTNDFNGNCNEKVAPTRPIPLSEDAVIFELQDGRLAELRRPNAAEEWEWVRIIGTPTSQCLRNYWTAVAS >DRNTG_32819.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27739850:27745706:1 gene:DRNTG_32819 transcript:DRNTG_32819.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHPHHAKVARGIRGVQMQLGRMIFALDDGRIGELHFTGIGGESHGPNQQSSLRRKASNQYEWSVLEVPETEGWNAEYCTDERGSSNCILGIKEMPADDDPNDSSSTIPAKRRKAQEKQDYISLSNHEGSSATESTNLLTKSIKTNFRMRAMHVDRSFFIITESGQTFEYLYADHVWLWLKHEHSTEMKGALGSYNGSLFFVDTHGSLLMRERDGNELSWINCTAMRKGRQVATGPPWDGTPGGMRRVTAEDALFLVNKKGRLIQFTVALRNFKWKDCGHPPDTKVAYIIDQEVLRMHIVFVIGRNGRIYQYNKLTELWHGHYQSPHLVLSRTPGTAMRPSHTSLIGSLFMISENGGLVEYQWSSVDGWEWVEHGTPSKDVILVGAPGPCFDNTQLFMIGSDGQVYRRHLDQKAWQWTGHDHPQIQNAEQGGMARTKDDEQCSVEDKAHRTNDFNGNCNEKVAPTRPIPLSEDAVIFELQDGRLAELRRPNAAEEWEWVRIIGTPTSQCLRNYWTAVAS >DRNTG_32819.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27739850:27745706:1 gene:DRNTG_32819 transcript:DRNTG_32819.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHPHHAKVARGIRGVQMQLGRMIFALDDGRIGELHFTGIGGESHGPNQQSSLRRKASNQYEWSVLEVPETEGWNAEYCTDERGSSNCILGIKEMPADDDPNDSSSTIPAKRRKAQEKQDYISLSNHEGSSATESTNLLTKSIKTNFRMRAMHVDRSFFIITESGQTFEYLYADHVWLWLKHEHSTEMKGALGSYNGSLFFVDTHGSLLMRERDGNELSWINCTAMRKGRQVATGPPWDGTPGGMRRVTAEDALFLVNKKGRLIQFTVALRNFKWKDCGHPPDTKVAYIIDQEVLRMHIVFVIGRNGRIYQYNKLTELWHGHYQSPHLVLSRTPGTAMRPSHTSLIGSLFMISENGGLVEYQWSSVDGWEWVEHGTPSKDVILVGAPGPCFDNTQLFMIGSDGQVYRRHLDQKAWQWTGHDHPQIQNAEQGGMARTKDDEQCSVEDKAHRTNDFNGNCNEKVAPTRPIPLSEDAVIFELQDGRLAELRRPNAAEEWEWVRIIGTPTSQCLRNYWTAVAS >DRNTG_12141.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11617224:11625410:1 gene:DRNTG_12141 transcript:DRNTG_12141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAKIKPLGRMSNNGQKSWRGGGDGEMKKKTSDVVLDILSTADMEKTTEFKLKLKLKLRLPKKKEKRNQDGRGKRGEKEFDDDGDLIVCRFSIKRRVTLQEFRGKTLVSIKEYFEKDGKQFSTSKGTVFICQLCFIIGFCDELGLNILPDLNGLLASYITIFFFFFFFFFFFFFFFFFFFSISSLNFHGHGYPSDQGIMGKNNCNFTVDPCTKKGAEPHRCFSVGVMMSPKPSKAVYCFEVVGELGKVIGFTGGYNSGAGDITGLVVKKLVSVHNFILNRKDIVLLVYHGINDMHNQIANLLNGRCYLTPLMDTIQKLKENMTRKHWELIRHTPFAHFLQIEAAVQECFVLDLLMQVYDERTNTFRLGDSHIQFRPQDMAIMLGLQCSGKDDNKEESFVKLLLVYILGFMLFPTISCSIPGWLAQYVDDLPRLHQYAWAHAGHKWLMDDVSDAAARVRDRCSGRSSSTEYLRGCVIVLNIWFYEVMGIGKKTQFGDFPASCAMERIASIEHSGRRRSPPPSPSPSSSPDENKFSHLCDEIRSLQARVSVLEETSQMHKDEDDEDMMKPNPKNDVIPFQKEASPVLRKQGKKLPLK >DRNTG_19580.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2087395:2091307:-1 gene:DRNTG_19580 transcript:DRNTG_19580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHSDHCFSFFASLLRRGLPSVALSVRYASSSSVGLPMDPARVLRTLLSSRQFTKASQVFLSFTSPSISDPSLLDSMLLCYCKLRDLPRAQSLFHSIRQLGTFPSLASYGALLRLLCVKEQVSHGLSLFFRMAGAGVLPPASSYHVLITRLCSEGYLNKASFLFDVMLGDGIRPSLPLLKSLAYGFCKGQKMLEAERVCRLMKSHGFVLDRRLCTAIIHGYLREGRISLTLDFFKELKERIGCEPDVCLYNTMIYELLKLNFVDGGWELFHEMVECGLKPNVVTFNMMISWYGKNSDVDSALRLLDTMKSYGLTPNLHCYTTVMAALCKAKRLVEVEKWFEKMLDCGLIPDDLMFQLLIKNLPFDRMPWMMGKVLDGLSKNGCNINVSRFVRLCTSDSDEELQREVKILFDEMEGNNIIPLEVVLHILLGCVCSRGKFSIAHLLLETMVDHGSAPSIFHYNFLIRCLCKEDRIEDAYSLLCLMRSRGVLPNLATHSIVINLHCKRGDIDLALRAFDEMILQGFRPFVDLYDSITRSLCKAGRMVEAELTFDRMLQAGIMPDERFYTALIDGYSKMGKIVDAQYLFDEMVYCDIRPSSHAYCALITGLVKTNMFRMAGKYLHMMLEDGFVPDTVLYTMLINQFLKKGDVRFGLDLFALMVRNQVEPNLITFGAVINGICSNVSRHEKMKLSLAVKLEEARCLLFKLLSRNTIVPGKLTQNIRCETTKEKIELAGEYILHLPDVGLVPNLHIYTGMINGFCRANMRNNVSALIDFMDKAGVVLDQVTCTILMGAHINSGEIDCATELFNQMNRNGCMADNVTFDTLMKGYSIAGRGMEALSLFHMMRKRGFLPSKSSCHRLLDCLCQSHARDLAFRLFEEMVSLGYTPQHDKYNKLLLMLLEEESLQAAHKTFDMMLKRGKTPDNEAKKQLLNVCYKHGEYDLAFVIDKNIPVYEVLPEH >DRNTG_19580.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2087395:2091307:-1 gene:DRNTG_19580 transcript:DRNTG_19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHSDHCFSFFASLLRRGLPSVALSVRYASSSSVGLPMDPARVLRTLLSSRQFTKASQVFLSFTSPSISDPSLLDSMLLCYCKLRDLPRAQSLFHSIRQLGTFPSLASYGALLRLLCVKEQVSHGLSLFFRMAGAGVLPPASSYHVLITRLCSEGYLNKASFLFDVMLGDGIRPSLPLLKSLAYGFCKGQKMLEAERVCRLMKSHGFVLDRRLCTAIIHGYLREGRISLTLDFFKELKERIGCEPDVCLYNTMIYELLKLNFVDGGWELFHEMVECGLKPNVVTFNMMISWYGKNSDVDSALRLLDTMKSYGLTPNLHCYTTVMAALCKAKRLVEVEKWFEKMLDCGLIPDDLMFQLLIKNLPFDRMPWMMGKVLDGLSKNGCNINVSRFVRLCTSDSDEELQREVKILFDEMEGNNIIPLEVVLHILLGCVCSRGKFSIAHLLLETMVDHGSAPSIFHYNFLIRCLCKEDRIEDAYSLLCLMRSRGVLPNLATHSIVINLHCKRGDIDLALRAFDEMILQGFRPFVDLYDSITRSLCKAGRMVEAELTFDRMLQAGIMPDERFYTALIDGYSKMGKIVDAQYLFDEMVYCDIRPSSHAYCALITGLVKTNMFRMAGKYLHMMLEDGFVPDTVLYTMLINQFLKKGDVRFGLDLFALMVRNQVEPNLITFGAVINGICSNVSRHEKMKLSLAVKLEEARCLLFKLLSRNTIVPGKLTQNIRCETTKEKIELAGEYILHLPDVGLVPNLHIYTGMINGFCRANMRNNVSALIDFMDKAGVVLDQVTCTILMGAHINSGEIDCATELFNQMNRNGCMADNVTFDTLMKGYSIAGRGMEALSLFHMMRKRGFLPSKSSCHRLLDCLCQSHARDLAFRLFEEMVSLGYTPQHDKYNKLLLMLLEEESLQAAHKTFDMMLKRGKTPDNEAKKQLLNVCYKHGEYDLAFVIDKNIPVYEVLPEH >DRNTG_33353.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15902321:15903298:-1 gene:DRNTG_33353 transcript:DRNTG_33353.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRVLEISLISAQGLKPPSGPLRRLHAFAIAWAHPTAKLRTLPDQSGAENPTWNERFLFRVPTSFLASDSSSAVSVEIYASGGWCLPSPLIGTVRILIGNHHLLDRSPDIPSFAALGVRRPSGRLHGVLNFAATLLTRVSLIAEEALAESPAVAYRELMCESPRPRRRIPPTSASIIAPLMDRNREDPEERSDGGGTMCGLGFQRRIHFCPSDENLPNSSTR >DRNTG_33353.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15902436:15903298:-1 gene:DRNTG_33353 transcript:DRNTG_33353.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRVLEISLISAQGLKPPSGPLRRLHAFAIAWAHPTAKLRTLPDQSGAENPTWNERFLFRVPTSFLASDSSSAVSVEIYASGGWCLPSPLIGTVRILIGNHHLLDRSPDIPSFAALGVRRPSGRLHGVLNFAATLLTRVSLIAEEALAESPAVAYRELMCESPRPRRRIPPTSASIIAPLMDRNREDPEERSDGGGTMCGLGFQRRIHFCPSDENLPNSSTR >DRNTG_33353.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15902436:15903397:-1 gene:DRNTG_33353 transcript:DRNTG_33353.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRVLEISLISAQGLKPPSGPLRRLHAFAIAWAHPTAKLRTLPDQSGAENPTWNERFLFRVPTSFLASDSSSAVSVEIYASGGWCLPSPLIGTVRILIGNHHLLDRSPDIPSFAALGVRRPSGRLHGVLNFAATLLTRVSLIAEEALAESPAVAYRELMCESPRPRRRIPPTSASIIAPLMDRNREDPEERSDGGGTMCGLGFQRRIHFCPSDENLPNSSTR >DRNTG_33353.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15902354:15903298:-1 gene:DRNTG_33353 transcript:DRNTG_33353.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRVLEISLISAQGLKPPSGPLRRLHAFAIAWAHPTAKLRTLPDQSGAENPTWNERFLFRVPTSFLASDSSSAVSVEIYASGGWCLPSPLIGTVRILIGNHHLLDRSPDIPSFAALGVRRPSGRLHGVLNFAATLLTRVSLIAEEALAESPAVAYRELMCESPRPRRRIPPTSASIIAPLMDRNREDPEERSDGGGTMCGLGFQRRIHFCPSDENLPNSSTR >DRNTG_33353.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15902354:15903397:-1 gene:DRNTG_33353 transcript:DRNTG_33353.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRVLEISLISAQGLKPPSGPLRRLHAFAIAWAHPTAKLRTLPDQSGAENPTWNERFLFRVPTSFLASDSSSAVSVEIYASGGWCLPSPLIGTVRILIGNHHLLDRSPDIPSFAALGVRRPSGRLHGVLNFAATLLTRVSLIAEEALAESPAVAYRELMCESPRPRRRIPPTSASIIAPLMDRNREDPEERSDGGGTMCGLGFQRRIHFCPSDENLPNSSTR >DRNTG_33353.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15902321:15903397:-1 gene:DRNTG_33353 transcript:DRNTG_33353.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRVLEISLISAQGLKPPSGPLRRLHAFAIAWAHPTAKLRTLPDQSGAENPTWNERFLFRVPTSFLASDSSSAVSVEIYASGGWCLPSPLIGTVRILIGNHHLLDRSPDIPSFAALGVRRPSGRLHGVLNFAATLLTRVSLIAEEALAESPAVAYRELMCESPRPRRRIPPTSASIIAPLMDRNREDPEERSDGGGTMCGLGFQRRIHFCPSDENLPNSSTR >DRNTG_33353.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15902116:15903298:-1 gene:DRNTG_33353 transcript:DRNTG_33353.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRVLEISLISAQGLKPPSGPLRRLHAFAIAWAHPTAKLRTLPDQSGAENPTWNERFLFRVPTSFLASDSSSAVSVEIYASGGWCLPSPLIGTVRILIGNHHLLDRSPDIPSFAALGVRRPSGRLHGVLNFAATLLTRVSLIAEEALAESPAVAYRELMCESPRPRRRIPPTSASIIAPLMDRNREDPEERSDGGGTMCGLGFQRRIHFCPSDENLPNSSTR >DRNTG_33353.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15902116:15903397:-1 gene:DRNTG_33353 transcript:DRNTG_33353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRVLEISLISAQGLKPPSGPLRRLHAFAIAWAHPTAKLRTLPDQSGAENPTWNERFLFRVPTSFLASDSSSAVSVEIYASGGWCLPSPLIGTVRILIGNHHLLDRSPDIPSFAALGVRRPSGRLHGVLNFAATLLTRVSLIAEEALAESPAVAYRELMCESPRPRRRIPPTSASIIAPLMDRNREDPEERSDGGGTMCGLGFQRRIHFCPSDENLPNSSTR >DRNTG_00093.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23330250:23338963:1 gene:DRNTG_00093 transcript:DRNTG_00093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRAFASFSHALTLLLVVAPLCSMADDILYPGEALGPGRSLINGNYTLVMQTDCDLVLYNVCDPIWSSNTSGMATNCYLTFASNGNLVIHSSEGNALWSNNKSGGQGNHVLVLHDDGNVVVYGRGRWDSRTNLPPFRFPSAYQTTNEAEAAGVAMVINK >DRNTG_00093.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23330250:23330966:1 gene:DRNTG_00093 transcript:DRNTG_00093.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRAFASFSHALTLLLVVAPLCSMADDILYPGEALGPGRSLINGNYTLVMQTDCDLVLYNVCDPIWSSNTSGMATNCYLTFASNGNLVIHSSEGNALWSNNKSGGQGNHVLVLHDDGNVVVYGRGRWDSRTNLPPFRFPSAYQTTNEAEAAGVAMVINK >DRNTG_29204.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3172222:3172886:-1 gene:DRNTG_29204 transcript:DRNTG_29204.1 gene_biotype:protein_coding transcript_biotype:protein_coding GIKLPNVLCVDAKGLALEEDHLHLTTHAQVHLGKMLAAAYLGHVKPHLERFEVSSENCQVSMEHNLLLILLLKILCLLVL >DRNTG_22883.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001253.1:29628:30038:1 gene:DRNTG_22883 transcript:DRNTG_22883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVRFEDDLGVGEFLDLKLLVDLAVKSGFHLVQLLPVNDTSVHGMWWD >DRNTG_09732.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1618667:1623194:-1 gene:DRNTG_09732 transcript:DRNTG_09732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLSLQNVKRALKVKAQIWDTAGQERYRAITSAYYRVAVGALLVYDITKRQTFNNIQRWLRELRDHATKNPDNLDQLFLDSEKKKLEDSVASICHSLEFLDPRVKKASWTSPSEDGQRQLVVAKPRTIG >DRNTG_27573.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6615624:6616257:1 gene:DRNTG_27573 transcript:DRNTG_27573.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRIGGNVEKVDGRELSYKDFVERYMKPNLPVVLTGLMDGWSSCADWVTPDGLPNLPFFSSNFAQSIVQVADCGKKEFMDQKRIEMTVKEYIDHWLGIVNENCVSCLDSNKNSCSLLYLKDWHFVKVRYKGLSS >DRNTG_27573.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6615624:6619080:1 gene:DRNTG_27573 transcript:DRNTG_27573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRIGGNVEKVDGRELSYKDFVERYMKPNLPVVLTGLMDGWSSCADWVTPDGLPNLPFFSSNFAQSIVQVADCGKKEFMDQKRIEMTVKEYIDHWLGIVNENCVSCLDSNKNSCSLLYLKDWHFVKEYPEYDAYTTPAFFIDDWLNQYLDSHFMHRNSDRDGAKNDVNCADYKFVYMGPKGTWTPLHADVFRSYSWSANVCGKKLWHLLPPSQSHLVFDRNLKNSVYDAYDKVSDVQFPDFKKTIWLECIQERNEIIFVPSGWYHQVCNLEDTISINHNWFNAHNLAWVVGISDFTHYIEQKY >DRNTG_27573.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6615624:6617905:1 gene:DRNTG_27573 transcript:DRNTG_27573.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKGTWTPLHADVFRSYSWSANVCGKKLWHLLPPSQSHLVFDRNLKNSVYDAYDKVSDVQFPDFKKTIWLECIQERNEIIFVPSGWYHQVCNL >DRNTG_27573.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6615624:6619080:1 gene:DRNTG_27573 transcript:DRNTG_27573.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIWLECIQERNEIIFVPSGWYHQVCNLEDTISINHNWFNAHNLAWVWNLLLKDYHEAKEYIEDIREISDDFEGLCQRNLAANTGMNFYDFFSMIKRFALANSIQLSCLKYERNAFAKSTDKHEQLVYNLLSIQAVALSMKPVDAFTKENLKNKVVENHLAEILLEPEFIKLSMSLNQTYGMANEVLEQNYQSKMETSCLDSQNMGFLQDYSEFNVCCPEDLVKLVDHAISDHSSICNSCNLSLPSDASDLGSKLFKIY >DRNTG_27573.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6615624:6615887:1 gene:DRNTG_27573 transcript:DRNTG_27573.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRIGGNVEKVDGRELSYKDFVERYMKPNLPVVLTGLMDGWSSCADWVTPDGLPNLPFFSSNFAQSIVQV >DRNTG_29598.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7169644:7172916:-1 gene:DRNTG_29598 transcript:DRNTG_29598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSSKSIDQALASNHDTLRLGLDGVKGGIVGAHPLESLRESERRFWEEKKRMGCDLCYGSAFNLRKDLDRQIFARFKRPPGAIPSSMLGLEALTGSLDDFGFEDYLNVPQDSEVFRPADMHHGMEVHLGLSKGPVCPNIN >DRNTG_11495.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19577779:19584150:-1 gene:DRNTG_11495 transcript:DRNTG_11495.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRTLPSLRQPILVVIGKLQTLGFCSDLNPSHVDPSHLDLGLLFDRILSIFLGEIHSVHGIRPLPVMLGDGRTVELFALYSAVTAKGGYGSVTARRCWGAVAEEIGMESSVASSLRLVYAKYLDAMGRWLTKVLNESSAREQKELMIGLEKEVNGLFLEVSDEKSKKKECESTPGSGSKRDQFLTPVRGSGRKLEFEDSLRKKG >DRNTG_11495.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19577779:19584448:-1 gene:DRNTG_11495 transcript:DRNTG_11495.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRTLPSLRQPILVVIGKLQTLGFCSDLNPSHVDPSHLDLGLLFDRILSIFLGEIHSVHGIRPLPVMLGDGRTVELFALYSAVTAKGGYGSVTARRCWGAVAEEIGMESSVASSLRLVYAKYLDAMGRWLTKVLNESSAREQKELMIGLEKEVNGLFLEVSDEKSKKKECESTPGSGSKRDQFLTPVRGSGRKLEFEDSLRKKG >DRNTG_11495.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19577537:19584150:-1 gene:DRNTG_11495 transcript:DRNTG_11495.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRTLPSLRQPILVVIGKLQTLGFCSDLNPSHVDPSHLDLGLLFDRILSIFLGEIHSVHGIRPLPVMLGDGRTVELFALYSAVTAKGGYGSVTARRCWGAVAEEIGMESSVASSLRLVYAKYLDAMGRWLTKVLNESSAREQKELMIGLEKEVNGLFLEVSDEKSKKKECESTPGSGSKRDQFLTPVRGSGRKLEFEDSLRKKG >DRNTG_11495.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19577537:19584448:-1 gene:DRNTG_11495 transcript:DRNTG_11495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRTLPSLRQPILVVIGKLQTLGFCSDLNPSHVDPSHLDLGLLFDRILSIFLGEIHSVHGIRPLPVMLGDGRTVELFALYSAVTAKGGYGSVTARRCWGAVAEEIGMESSVASSLRLVYAKYLDAMGRWLTKVLNESSAREQKELMIGLEKEVNGLFLEVSDEKSKKKECESTPGSGSKRDQFLTPVRGSGRKLEFEDSLRKKG >DRNTG_11495.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19577779:19584150:-1 gene:DRNTG_11495 transcript:DRNTG_11495.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRTLPSLRQPILVVIGKLQTLGFCSDLNPSHVDPSHLDLGLLFDRILSIFLGEIHSVHGIRPLPVMLGDGRTVELFALYSAVTAKGGYGSVTARRCWGAVAEEIGMESSVASSLRLVYAKYLDAMGRWLTKVLNESSAREQKELMIGLEKEVNGLFLEVSDEKSKKKECESTPGSGSKRDQFLTPVRGSGRKLEFEDSLRKKG >DRNTG_11495.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19577729:19584448:-1 gene:DRNTG_11495 transcript:DRNTG_11495.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRTLPSLRQPILVVIGKLQTLGFCSDLNPSHVDPSHLDLGLLFDRILSIFLGEIHSVHGIRPLPVMLGDGRTVELFALYSAVTAKGGYGSVTARRCWGAVAEEIGMESSVASSLRLVYAKYLDAMGRWLTKVLNESSAREQKELMIGLEKEVNGLFLEVSDEKSKKKECESTPGSGSKRDQFLTPVRGSGRKLEFEDSLRKKG >DRNTG_11495.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19577729:19584150:-1 gene:DRNTG_11495 transcript:DRNTG_11495.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRTLPSLRQPILVVIGKLQTLGFCSDLNPSHVDPSHLDLGLLFDRILSIFLGEIHSVHGIRPLPVMLGDGRTVELFALYSAVTAKGGYGSVTARRCWGAVAEEIGMESSVASSLRLVYAKYLDAMGRWLTKVLNESSAREQKELMIGLEKEVNGLFLEVSDEKSKKKECESTPGSGSKRDQFLTPVRGSGRKLEFEDSLRKKG >DRNTG_11495.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19577779:19584448:-1 gene:DRNTG_11495 transcript:DRNTG_11495.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRTLPSLRQPILVVIGKLQTLGFCSDLNPSHVDPSHLDLGLLFDRILSIFLGEIHSVHGIRPLPVMLGDGRTVELFALYSAVTAKGGYGSVTARRCWGAVAEEIGMESSVASSLRLVYAKYLDAMGRWLTKVLNESSAREQKELMIGLEKEVNGLFLEVSDEKSKKKECESTPGSGSKRDQFLTPVRGSGRKLEFEDSLRKKG >DRNTG_11495.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19577779:19584524:-1 gene:DRNTG_11495 transcript:DRNTG_11495.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRTLPSLRQPILVVIGKLQTLGFCSDLNPSHVDPSHLDLGLLFDRILSIFLGEIHSVHGIRPLPVMLGDGRTVELFALYSAVTAKGGYGSVTARRCWGAVAEEIGMESSVASSLRLVYAKYLDAMGRWLTKVLNESSAREQKELMIGLEKEVNGLFLEVSDEKSKKKECESTPGSGSKRDQFLTPVRGSGRKLEFEDSLRKKG >DRNTG_11495.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19577729:19584448:-1 gene:DRNTG_11495 transcript:DRNTG_11495.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRTLPSLRQPILVVIGKLQTLGFCSDLNPSHVDPSHLDLGLLFDRILSIFLGEIHSVHGIRPLPVMLGDGRTVELFALYSAVTAKGGYGSVTARRCWGAVAEEIGMESSVASSLRLVYAKYLDAMGRWLTKVLNESSAREQKELMIGLEKEVNGLFLEVSDEKSKKKECESTPGSGSKRDQFLTPVRGSGRKLEFEDSLRKKG >DRNTG_11495.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19577729:19584150:-1 gene:DRNTG_11495 transcript:DRNTG_11495.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRTLPSLRQPILVVIGKLQTLGFCSDLNPSHVDPSHLDLGLLFDRILSIFLGEIHSVHGIRPLPVMLGDGRTVELFALYSAVTAKGGYGSVTARRCWGAVAEEIGMESSVASSLRLVYAKYLDAMGRWLTKVLNESSAREQKELMIGLEKEVNGLFLEVSDEKSKKKECESTPGSGSKRDQFLTPVRGSGRKLEFEDSLRKKG >DRNTG_02400.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000112.1:4855:8911:1 gene:DRNTG_02400 transcript:DRNTG_02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSNNTIFLPIIFILFLNTCPTTALHSSLTKQASILVLFKQYLHTPNSILKTWNIANAPSLCSWTGVRCDESTKTTVVSLDISNLNITGIISPAISELNSLTYLSVAGNLLSGEFPSSIANLQSLRHLNISNNQFNGTLSWNFSSMLNLEVLDTYNNNLYGTLPMNLTQLPKLRHLDLGGNYFSGEIPSSYGQFRSVSYLSLAGNDLGGYIPLEIGNLTTLKQLYLGYYNKFEGGIPVELKNLFNLIHLDLSSCGLRGKIPPEFGDLLKLDTLFLQFNQLTGVIPPQLGNLSSLRFLDLSNNVLTGEIPREFSKLRNLTLLHLFINRFHGEIPKFIADLPNLAVLMLWQNNFTGIIPPELGSNGLLKELDLSTNKLTGVIPKALCYGRKLEKLILLNNFLFGPLPDDLGQCTTLWRVRMGQNYLTGSIPPGFLYLPELLLMELQNNYLTGKITDEPMKKPVKLGQMNLSNNRLFGQLPASIGNFSALQILSLNGNQFTGEIPSQLGQLKQALKIDLSRNNFTGRVPQEIGDCSLLTYLDLSQNQLNGPLPTQLSQIRILNYLNVSWNHLNESIPREIGSMKSLTSVDFSHNEFSGRIPETGQFAYFNASSFVANPQLCGSLSNPCNLSAASTFIPEQKHGVKSQLPGKFKLLFALGLLTCSLVFATTVVIKTRSMMKRNSESWKLTAFQKLDFASDDIVECLKENSIIGRGGAGIVYRGTMPNGEQVAVKRLLGITKGSSHDNGFSAEIQTLGKIRHRNIVRLLAFCSNKDTKLLVYEYMPNGSLGEVLHGKRGAYLNWGTRLKIAIEAARGLCYLHHDCSPLILHRDVKSNNILLDAEYEAHVADFGLAKFLRDTGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGKKPVGDFGEEGVDIVQWARMSTNWSKEGVVRIMDPRLVNVPMEEAMQVFFVGMLCVQEHSVERPTMREVVQMLEQAKKTDIFQSIP >DRNTG_11031.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:843821:844989:1 gene:DRNTG_11031 transcript:DRNTG_11031.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSGVQSLVPKVHNFPFKRVPKVAFMFLTRGPLPFLPLWDKFFKEQPHTLYSIYVHTLPSYKPDYPSSSVFHNRQIPSQVAEWGKMSMCDAERRLLANALLDLSNERFVLVSESCVPIVNFSTIYKYFVKSQHSFVGSFDEHSPHGRGRYNWNMAPEVEADQWRKGAQWFEVNRELAVTIVEDHKYYPKFEKFCKPSCYVDEHYFPTMLSIETPALIANRTVTFVDWSRGGAHPATFGKHDITEGFLRKITQGHSCLYNHQNTSLCFLFARKFAPNTLEPLMNLAPMIF >DRNTG_29383.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:473276:476879:1 gene:DRNTG_29383 transcript:DRNTG_29383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIAREFSKLWKRVCTETAVEWKLLQEKWKLLLAGLVFQYIHGLAARGVHYLHQPGPVLQDVGFMILPELGKERGYVSENTFATIFISFFLWTFHPFISHSKRFYTVLIWRRVLAFLVFSQALRIITFYSTQLPGPNYHCREGSALAKLPKPDSIFEVLLLNFPRGVLYGCGDLIFSSHMIFTLVFVLTYQKYGSKRFVKFLAWLIAVIQSLLIIASRKHYTVDVIVAWYTVNLVVYFLDNKLPEMPDRTSGTQPLLPTNTKWKENKSGDLVDWRLRVQMTGKHLDDEDLPLTETNMNAT >DRNTG_17824.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15860580:15863492:-1 gene:DRNTG_17824 transcript:DRNTG_17824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLLSSLLPLFLTFSSSSSSSSSSPSLQDPSLHKPSHFLIDAFIPVAGDGQPSTTAAPIVAPLPVLPPFPLAPALFVLGDSTVDSGTNNYLGTFARADRPPYGRDFDTHRPTGRFSNGRVIVDFLAERLGLPFVPPYLGRSGRIEDMIHGLNYASAASGILFSSGSDLGQHISLTQQILQLIDTFQQLEMDLGVAAAADFISRSVFYISIGSNDFIHYYMQNTSAVLSLFLPWEFNQMLANTLKQEIKTLYEKNVRKVVFMGIAPIGCTPHYLMLYGSKNGECVDEINNVVIEFNYAMRYMIDELNHELSDAKITFCDAFNGSMDILANRNLYGFQTTTEACCGLGKYRGSIMCLLPEMACSNASTYVWWDEFHPTDAVNRILANNIWSGEHTVMCHPMNLLQMIDSGH >DRNTG_17824.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15860580:15862900:-1 gene:DRNTG_17824 transcript:DRNTG_17824.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGLNYASAASGILFSSGSDLGQHISLTQQILQLIDTFQQLEMDLGVAAAADFISRSVFYISIGSNDFIHYYMQNTSAVLSLFLPWEFNQMLANTLKQEIKTLYEKNVRKVVFMGIAPIGCTPHYLMLYGSKNGECVDEINNVVIEFNYAMRYMIDELNHELSDAKITFCDAFNGSMDILANRNLYGFQTTTEACCGLGKYRGSIMCLLPEMACSNASTYVWWDEFHPTDAVNRILANNIWSGEHTVMCHPMNLLQMIDSGH >DRNTG_09900.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29240501:29242239:1 gene:DRNTG_09900 transcript:DRNTG_09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMGLQFLLYFLLVSHAIVAEGLAVNWGLKSSHPLPPKIVVNLLRDNGFDKVKLFEAEPGPLRALGKSGIQVMIGIPNDFLASIASSVSAAEQWVMQNVSNYISQYGVDIRYVAVGNEPFLTTYKGMYQQTTFPALQNVQAALIKAGLSRQVKVTVPLNADVYQTATGLPSGGDFRSDIHDAMLSIVSFLQDNAAPLTINIYPFLSLYADPHFPVDYAFFSGTNSPVVDGPISYSNVFEANYDTLVWALEKNGFASMPIIVGEIGWPTDGNSNANIEYARKFNQGLLNRIIQGKGTPKRPSSPDIYLFGLIDEDIKSIQPGDFERHWGLFFYDGTVKYSLSLADGQNIVPAKGVKYLDRQWCVMSPSASATDPNIPGAVNYACTYADCTSLGAGSTCNGLDLRSNYSYAFNQFYQTADQQKAACEFSNLTMITQVDPSQGKCRFEIMIDLSSREVSPPPPRNRVHPNGSGEGFHGVKKMLWRWVLVVFMMLPLFWF >DRNTG_15857.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25251334:25253122:1 gene:DRNTG_15857 transcript:DRNTG_15857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKLDAKSDPKAASGGGNTTQPSAVEEPINDPMGEEDHQEESMDGEGEEEEEEEEEEPQDHQTLETPDSDNEGDDADAEECDPAAIQKLLEPFPKDQLVELLREAAVNHPDVLSSIYRLADADPAHRKIFVHGLGWETTAETLISAFKQYGEIEDCKAVIDKVSGKSKGYGFILFKHRAGAQRALKEPQKKIGHRTAACQLASAGPPSASTQQQAPSVSEYTQRKIYVSNVGADLEPQKLLQFFSSFGEIEDGPLGLDKASGKPKGFCLFVYRTVEGARKALQEPHKNFEGIILHCQKAIDGPKPGKPVFPSGGGHHGGFHHGGASHGPQFSRTGSASSVGHLMAPPATGLSFNQGNPQAALAAGGLNPALGQALTALIATQGGGLGGLTNLLGSLGSAGIGNQGAGASLGAGGGHGMLGAYGNQPATGGNLNAGVLGGYGAHGHMQGGYGNAGAGGTGRNQPGAGHMGGPYH >DRNTG_21684.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1031130:1034301:-1 gene:DRNTG_21684 transcript:DRNTG_21684.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYVALDFEQELETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >DRNTG_11998.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13481176:13486872:1 gene:DRNTG_11998 transcript:DRNTG_11998.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDRYGCLKVGEKIEVSCDDEGFHGVWYEATVARLLNGSLRLSIIYTSLLSDTDPQLLQGFETLAFESRRIAERLDTVAQATTARARELDQEIWIARSEGIGVYALDVIYLKFFGGDLRTCWISERWVKVGVLMEIGQCIGFGCCLMRGSVDCCPVVYGCLYCSLSYQKTLGSLDFAGKWDESVLHHIFILILSEILLRLHLLVHLLGKYL >DRNTG_18566.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000962.1:69750:72199:-1 gene:DRNTG_18566 transcript:DRNTG_18566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPDPYEGLFDQEKDNKEIMMLSSMEEVPSTSGILKKVLRRLKRARRRHRKCSTTVGDKFTGEPAEYSKYPEKTHGRVELTHGHGFVLRAHPEKAQGRGLAPVDNHAIFTRPCKVLQRLAYFPESTQGRGLAPVGDHVKIARAWVISTHPCESLQRSSPHPEMTQGQQPQGRAAPTVSEAHGRGYLSHAHVFVFRGSECFPESTQGRAPAPVKLSYGDYGTQVKEAHFERLSRIRFVQTRFLDMSISRDLHQGDEFADKVKDLISVGVRFGLYEEAFTDTKEYSQLPTDYPRALTRQRAYRALCGQGQYEPGRTPIHLGYIVAKYIRHQGQYARLGAIFSGPYITRLA >DRNTG_19009.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22623348:22625340:1 gene:DRNTG_19009 transcript:DRNTG_19009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPETTGAFDEENNIQNNHTEIKKRKTKITSRMNSFEAASSVFALWKGLGEGTPPLSAALITSWSIASERSCRRWSRCFRGEGAGKWRGSGN >DRNTG_19009.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22624910:22625340:1 gene:DRNTG_19009 transcript:DRNTG_19009.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPETTGAFDEENNIQNNHTEIKKRKTKITSRMNSFEAASSVFALWKGLGEGTPPLSAALITSWSIASERSCRRWSRCFRGEGAGKWRGSGN >DRNTG_33977.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2277528:2278068:-1 gene:DRNTG_33977 transcript:DRNTG_33977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRALAKRFHPDVAGEVADFAEINRAYATLSDPGERVKYDAEMRRLGLGLGLGLGFGFGRGVEEGKVWGRRWETDQCW >DRNTG_16990.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11371095:11371762:-1 gene:DRNTG_16990 transcript:DRNTG_16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWGGSTIVLDRVSLERDFTRSKRSMLPLLSRKCSDLKYSVEGTCTCLDRVRHESTLLFEFLLGIPPGRANYWGKARRALPMFNLSTQQISSFFTRFITELTPDCFEPQNLVHVTSIPRIAPNIHKIMLNDVKNTELMVYKYDMKCIHQ >DRNTG_12978.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24859817:24861274:-1 gene:DRNTG_12978 transcript:DRNTG_12978.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYLVLAGYRTILTRIDNVQTSLYIGLMIFVAVLGKFIGTVLVTLYFRFPLRDAIVMGLMMNLKGIIEVNFFNGWGDTLVIIYIPHIYISFTSLQ >DRNTG_23608.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26578137:26580563:1 gene:DRNTG_23608 transcript:DRNTG_23608.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNMNDLEKNLLKSDELHEYILKTNVFPREHEQLKELREVTEKHPMGFMGVPPEEGQLLSIILKTMNAKRTLEVGVFTGYSLLTTALALSDDAKITAIDIDKSYYEIGLPFIKKAGVEHKINFIESEASPALDKLIQEVKEDELFDFAFVDADKNNYIHYHEKLLKLVKVGGIIAYDNTLWFGTVATPGPVDPKYPDFVGILRDDVVKLNKSLATDPRIEISQVAVGDGLTICRRIY >DRNTG_03212.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000146.1:363188:365313:1 gene:DRNTG_03212 transcript:DRNTG_03212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDVLRLSDFSTRTLLESREKVSTSSPVPRGFDYPTHRVFYYFDTLSLVVYTHIRTCQVLGAVAGEQDTRNANTLLL >DRNTG_02321.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:655894:656379:-1 gene:DRNTG_02321 transcript:DRNTG_02321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSILLSISPCNSPSIAHKLHHHNKKKKKKKNHYNKLRRNQTSDTTDNVQSFQQYVRTGRMHDARVQFDAKSKRTLVEYTTLMSGYILHGHSMEAMLLLHDMLHLPFSFVLDPFAVSASLRACASTGSLHCGQELHCLVLKMGYFEDLF >DRNTG_11114.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30565668:30566834:1 gene:DRNTG_11114 transcript:DRNTG_11114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSLSDMVMEFFEERETKEWPETFLANNGRDDDGDDDDEHEDQQQRKAFWEEQNQLLQEALSRCCSLETRIRMEIEKSLRKLREEGLVLVCVCSNRGNTGECRNCLMSFISDRLKDAGFNSALCLSKWRRSPDIPSGEHSFIDAVMESKNGKRGPVRVVIELNFKAEFEMARASEEYNMLVNRLPEVFVGKTQKLGNVIKIMCGAAKKCMKDNKMHMAPWRKHKYMQSKWLSSCERMPRPVPLPISTVSGRQPKPRASMLTFDLHCTAVEVV >DRNTG_18209.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1129462:1129809:1 gene:DRNTG_18209 transcript:DRNTG_18209.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGKPRKNRSIQEPPTPTVEIELDGRDRMRLPIDE >DRNTG_06186.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25246954:25249913:-1 gene:DRNTG_06186 transcript:DRNTG_06186.2 gene_biotype:protein_coding transcript_biotype:protein_coding METGAQTFQHRPFSIKLWPPSQSTRQMLVERMTKNLSTESIFSRKYGLLSKEEASENAKKIEEACFATANEHFEKEPDGDGSSAVQLYAKETSKLMLEVLKRGPRLKEESKVTVLDKPLAPTETVFDISGGRRAFIEAEEARELLKPLTEEGNSYTKICFSNRSFGLDAARVAQPILESLKNQLTEVDLSDFIAGRPEDEAIEVMKIFSLALEGCVLRYLNLSNNAMGEKGVRAFDTLLKSQSNLEELYLMNDGISEEAAKAIAELIPATDKLKILHFHNNMTGDQGAIAISEILKHSPELEDFRCSSTRVDSEGGIALAEALSTCTKLKKLDLRDNMFGVEAGLALTKSLEQFPSITESLFELFEFRR >DRNTG_06186.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25246901:25249913:-1 gene:DRNTG_06186 transcript:DRNTG_06186.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGAQTFQHRPFSIKLWPPSQSTRQMLVERMTKNLSTESIFSRKYGLLSKEEASENAKKIEEACFATANEHFEKEPDGDGSSAVQLYAKETSKLMLEVLKRGPRLKEESKVTVLDKPLAPTETVFDISGGRRAFIEAEEARELLKPLTEEGNSYTKICFSNRSFGLDAARVAQPILESLKNQLTEVDLSDFIAGRPEDEAIEVMKIFSLALEGCVLRYLNLSNNAMGEKGVRAFDTLLKSQSNLEELYLMNDGISEEAAKAIAELIPATDKLKILHFHNNMTGDQGAIAISEILKHSPELEDFRCSSTRVDSEGGIALAEALSTCTKLKKLDLRDNMFGVEAGLALTKSLEQFPSITESLFELFEFRR >DRNTG_04321.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22123646:22129501:-1 gene:DRNTG_04321 transcript:DRNTG_04321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPLDLLQGGTQGGGGSCDNPQPPDRGSMAKSKKRQVGDEDEDGEEEAEEKEEEEDEEEKDDEKDDEEEKSKSLESKNSSDDDDDDSDDDNNECVPNEIKDAYDGECYCNAHKWRGERTPKDRPDREVTQMVDLPVDNDMDENAFLVIIDELGGNVLTAVNDMDENAVLQMIEEICGNELSTVSDMDENAILQMTEELGGNELPAVYGMDENVILQMIEGLGGNEPAEINNAADDDNHYENIDQNVAAEANDASDDSGSDSDGDDDAFYIQW >DRNTG_32711.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18112885:18115324:-1 gene:DRNTG_32711 transcript:DRNTG_32711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELFKKQGKEYAETRPSYPPELFSFIASKTPEHHLAWDVGTGNGQAALSLADIFNKVIGTDTSEEQLLLASKHANIYYQHTPPTMSISEVENLVAPPATVDVITVAQALHWFANPAFFSLVKHVLKSNGVFAAWCYTLPSVDANIDQVVKRMYKKSSPFWSPERRFVDEEYRSIEFPFEPVAGEENTGPFEFETVKMMELGEYMTYIRSWSAYQTAKGKGVELLTEEVVDELVKAWGDHGEGVKAVKYPIFLRIGKI >DRNTG_19802.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1635079:1636227:-1 gene:DRNTG_19802 transcript:DRNTG_19802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYHARNEYCLFSDMCQGFQVFISIISQLEVGSA >DRNTG_19802.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1635079:1636117:-1 gene:DRNTG_19802 transcript:DRNTG_19802.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATYHARNEYCLFSDMCQGFQVFISIISQLEVGSA >DRNTG_19802.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1635079:1636227:-1 gene:DRNTG_19802 transcript:DRNTG_19802.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATYHARNEYCLFSDMCQGFQVFISIISQLEVGSA >DRNTG_21696.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:958201:959673:-1 gene:DRNTG_21696 transcript:DRNTG_21696.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVNDDCKLKFLDLKAKRTYRFIVYKIDEKQKEVVVEKLGEPAQSYEDFTASLPSAECRYAIYDYDFVTEENCQKSKIFFIAWSPDTARVRSKMLYASSKDRFKRELDGIQVELQATDPTEMGLDVIRGRAN >DRNTG_27945.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5807383:5812823:-1 gene:DRNTG_27945 transcript:DRNTG_27945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSKKQADKRPRESSPEAEDMRFAIPEHLVYYERLSRLRFGQTRFLDMIILRDLQQGEEFADEVEDLVSDGGWRQLLMIREPAIREFALEVLSSFEFDRAYASFDSLGTIQFRLFGRHYSLSITQFSVLVGLYEEAFTDTEEYAQLFTNYHGTLTPKRAYIVLCGQGQYEPGVSKATCLSRPAYRYLHTIMSRSVNGGGDSTGVLSRQQLLYLYSMVERVPIHLGHILADYIRHQGQYARLGVIFLGPYITILVLGMGLLDSIRWAEKMSVPTPLGLETMRLMGMVCRVRTRVFALVLSAPEIAEDEGDEAGASQPAPEPQPASMETEAPPAAEEPPPVRMFSPSRANDHFERIENAIGVVRAEVAEIRATQATQYTEFMARFDILQQILE >DRNTG_33946.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:941526:943574:1 gene:DRNTG_33946 transcript:DRNTG_33946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSKELLDFSDSSSKPSVLESLLVSNKDCKTQREEKKRAVDQKRNPLTAVVPTSQVLGKVKDFLGVMAKANEKLEHDVKEHSRAKYDLEFLDGNEQECIEMDLLLGIADLHNQDAVAAAEVAIGNIHPTKSSTCSDCSSSDDTDEDGDESESESESEHDDSFDKSEKPNSDKKHSLGNKKPNKRPKIIMLD >DRNTG_03412.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3344935:3346246:-1 gene:DRNTG_03412 transcript:DRNTG_03412.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRAKTVEASDASEKTSTPPKIQVSSPLSIPNLKLHSQGFCMSAC >DRNTG_03412.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3336193:3346246:-1 gene:DRNTG_03412 transcript:DRNTG_03412.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMHGIRKLLEHHRWRHTNNLCYIFNTRTKVLVLQKVSSCPCSGVHVPSANKHPSANSPKLITVS >DRNTG_03412.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3339734:3342277:-1 gene:DRNTG_03412 transcript:DRNTG_03412.4 gene_biotype:protein_coding transcript_biotype:protein_coding RAISTQCHSSGTHSKTPSSAVSPSSTRVICKSPMVDDQRRQ >DRNTG_03412.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3336193:3346246:-1 gene:DRNTG_03412 transcript:DRNTG_03412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRAKTVEASDASEKTSTPPKIQVSSPLSIPNLKLHSQGFCMSAC >DRNTG_03412.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3336193:3339347:-1 gene:DRNTG_03412 transcript:DRNTG_03412.3 gene_biotype:protein_coding transcript_biotype:protein_coding VICRWTAYEYSDPTPDSSHHHTLSLAPIYQP >DRNTG_03412.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3344935:3346500:-1 gene:DRNTG_03412 transcript:DRNTG_03412.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRAKTVEASDASEKTSTPPKIQVSSPLSIPNLKLHSQGFCMSAC >DRNTG_03412.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3344935:3346413:-1 gene:DRNTG_03412 transcript:DRNTG_03412.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRAKTVEASDASEKTSTPPKIQVSSPLSIPNLKLHSQGFCMSAC >DRNTG_10445.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22350885:22351931:1 gene:DRNTG_10445 transcript:DRNTG_10445.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLALGDMAHTKYISMETMDLESRRLEADHRRKSTIANYQIVKMLGKGAYGCVFEAIDLRTGHRVAVKQATFVRYAEDHIEDIPYTSLREIDILSSCRHPNIIRFREFIIDDALHSVFIVMDRAVTDLRTHLILASGNLKEAMVKKLMLQLLLGVSYLHSHGILHRDLKPGNLLLTGVGDMRQLKICDFGLGKRFHYLYEEEGTAYDLLSQTVVTQWYRSPELLLGDEKYTAAIDVWSVGCIMAEMVTGKTLFPGKSKIDQLDMIFMVMGTIGLKSWPGLDKLDMARYFLGGPERYNTLRLRVPPTKLSRPGYDLLKRLLEVDPRKRISAEAALDHAWFSDLFISM >DRNTG_17257.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5696182:5696809:1 gene:DRNTG_17257 transcript:DRNTG_17257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >DRNTG_25867.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8981213:8984819:-1 gene:DRNTG_25867 transcript:DRNTG_25867.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSHIHPVRISGYQIVPPKVEVLLKAVANQPVSKGICSCGRYFNFYSSGLFTYHHVDISQLAMEINLMGKNSCWAATGAVEGVFKLSISRLVSLSTQQLMDCDISSHGCKGGLMTTAFEYIIKNGRLASKDNLSLTET >DRNTG_24962.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6538745:6546928:1 gene:DRNTG_24962 transcript:DRNTG_24962.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIKSLRKAYGALKDSTTVGLANLNSDFRDLDVAIVKATNHVERPPKERHIRKILAATSITRPRADVAYCIHALARRLGKTHNWTVALKTLIVIHRTLREGDPTFREELLNFSQRGRILQLSNFKDDSSPIAWDCSAWVRTYALFLEERLESFRVLKYDVEAERLAKPNQGTEKGHSRTRELDSEELLEQLPALQRLLFRLIGCHPEGGAISNYVIQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEAIKALEIYKGAGQQAGSLSDFYEVCRGLELARNFQFPNLREPPQSFLATMEEYIREAPRMVSTLKEPLDFPERLLLTYKPEEVTESAEIAEPSIEEPKAMSSDIEVASPIPVADPIPRAETDDLLGLNSSVPDASEIEESNALALAIIPSVSDGSTNLNGSGTMQNKEFDPSGWELALVTPSSSDPPPVESKLAGGFDKLTLDSLYDDAAYRQQNNYYGTTAPNPFLAPDPFAMSNQIAAPPAVQMAAMAQQQQQQQQQQQWSMMPQPNPFMQPMHHPAPITPIASNPFGDTGLGTFGAYNPHQQTNPFGNPQLL >DRNTG_27985.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:10957175:10959022:1 gene:DRNTG_27985 transcript:DRNTG_27985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSAFSSRRASSLSVMGSLDREEARKGCRRRHRPWLHWQW >DRNTG_13014.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26707903:26714113:-1 gene:DRNTG_13014 transcript:DRNTG_13014.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingosine-1-phosphate lyase [Source:Projected from Arabidopsis thaliana (AT1G27980) UniProtKB/Swiss-Prot;Acc:Q9C509] MEACIARYRSSANSLLSQYEPIVLVVGPLLALLVAKVLHSIVCLLQEKGIKGVVLGFGMGAVKLIPGVNSYIESEKKKVVEQLQARSGSKDGWRTELPDIGLGREVIEYLGDAKNKDGVWQGKCSGTVYIGGSQSEGHFSLTNEAYSLFSHTNPLHPDVFKSVVRFESEVVAMAAALLGSKEKSSGGQICGNMTSGGTESIILAVKTSRDYMKAKRGIKKPEMIIPESAHPAYDKAAQYLNIKVCRVPVNKEFVADVKGIRRCINNNTILIVGSAPGYPHGIIDPIEELGELASSYGVCFHVDLCLGGFVLPFARQLGYSIPPFDFSVKGVTSISTDVHKYGLAPKGTSIVLYRNHEIRKHQFVAVTEWSGGLYVSPTVAGSRPGGLIAGAWGAMMSLGLPGYLENTKKIMEASKNIQKGIEEIQGLFVIGKPHMTVVAFGSNDVNIFEVNDQMSSKGWHLNAMQRPDSLHICVTLQHVPVFEDFLRDLKESAKTVKENPGPISGGSAPIYGAAGKMPDRGIVRDLLVEFMDNSC >DRNTG_35014.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6865189:6866029:1 gene:DRNTG_35014 transcript:DRNTG_35014.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCRAIIMKIGYAYTSKEFDDIVRELACLSPDAYSWLINKSDIDHWSNFLFKGMRWRWEVHGIPCKHACAAIMQTDTNVYSYVDDYANRDHVMQSLRTDHAKPKGSV >DRNTG_02461.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19455341:19460465:1 gene:DRNTG_02461 transcript:DRNTG_02461.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFSMRKSFKDSLKVLEADIQHANTLASDFPSECDGACLQMRMSYSPAAHLLLFLVQWTDCSLAGALGLLRILIYKVYVDGTTTMSTHERKASIREFYAVIFPSLLQLQKGITDMEDKKQKAICTERYRRTDDDDRKYFSEVDIEREEECGICMEMNSKIVLPNCSHAMCMKCYREWNNRSQSCPFCRDSLKRVNSADLWVYTDSKDIVDMTTVTRENLRRLFMYIDKLPVVIPGTVFDAYDSHVK >DRNTG_28498.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:13887552:13897221:1 gene:DRNTG_28498 transcript:DRNTG_28498.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVPSPSVTSSIRGLSSRSSESSSFISMSCI >DRNTG_11192.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2100129:2105124:-1 gene:DRNTG_11192 transcript:DRNTG_11192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMMTVFSVGGGGGATGRQVYPVDSEAEASQRLVEATHRGDARAVAELLMDPMVDVNYPGTVCLRGRRAEVVLREESPDEVRIELEEFRTDVSALFLAANSGNLPLVRKLLSVGADVNQKLFRGYATTAAAREGRGDVLELLLRAGAAQPACEEALLEACAHGQAKLARLLMDSDQIRPNVAVHALVSACSRGFVDVVDTLIKCGVNAYTTDRVLLRSLKPSLHTNIDCTALVAAIVSRQGAVVRQLLQAGVRKDLKVSLGAWSWDTTTGEEFRVGAGLSEPYNVAWCAVEYFESTGTILRMLLQHHSPNTLHSGRSLLHHAILCGNHRAADTLLACGADCELPIKTARRNEFRPIHMAARLGHAKVLQSLIDTGCHLDSRTECGETALMLCARFKRDDCLSVLASAGADLGLVSSSGSCAALIASSNRWGDVYQEALLNVIRSGKIPRSSNPNIFSPMMFAAHYGDVPALEVLVMHPDINLDEQDENGFSAIMIAAREGHVDAFRFLVFAGANVKLCNNAGETAIALSQSSKNHDLFEQVMLDFALEKGNAGGFYALHCAARRGDMAAVRLLTNRGIDVNVPDGDGFTPLMLAAREGHGTVCELLLACGAQYDIRTPQGDTALSLARANKTFGNPAEGVILDAMARDLVTQGCRVKKHTKKGKGRPHKKMLKMVVVAGVLRWGKAACRNVVCREAEVGGSSAFVRNRKRKGDAYETGLFRIITTKGKEFHFVCQGDEMAELWIRGIKLVTKSVFGKSGM >DRNTG_11192.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2100129:2105124:-1 gene:DRNTG_11192 transcript:DRNTG_11192.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDQIRPNVAVHALVSACSRGFVDVVDTLIKCGVNAYTTDRVLLRSLKPSLHTNIDCTALVAAIVSRQGAVVRQLLQAGVRKDLKVSLGAWSWDTTTGEEFRVGAGLSEPYNVAWCAVEYFESTGTILRMLLQHHSPNTLHSGRSLLHHAILCGNHRAADTLLACGADCELPIKTARRNEFRPIHMAARLGHAKVLQSLIDTGCHLDSRTECGETALMLCARFKRDDCLSVLASAGADLGLVSSSGSCAALIASSNRWGDVYQEALLNVIRSGKIPRSSNPNIFSPMMFAAHYGDVPALEVLVMHPDINLDEQDENGFSAIMIAAREGHVDAFRFLVFAGANVKLCNNAGETAIALSQSSKNHDLFEQVMLDFALEKGNAGGFYALHCAARRGDMAAVRLLTNRGIDVNVPDGDGFTPLMLAAREGHGTVCELLLACGAQYDIRTPQGDTALSLARANKTFGNPAEGVILDAMARDLVTQGCRVKKHTKKGKGRPHKKMLKMVVVAGVLRWGKAACRNVVCREAEVGGSSAFVRNRKRKGDAYETGLFRIITTKGKEFHFVCQGDEMAELWIRGIKLVTKSVFGKSGM >DRNTG_06714.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21166064:21168219:-1 gene:DRNTG_06714 transcript:DRNTG_06714.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKQHHHFLFITSSHQGHISPTLHLARHLATSTGAAVTFSTTVFAHRRMFSSNQDLISDGLITFIPYSDGYDDGFKSEPSIFTDKFHSYVRTNSKRSVSEIVGNHAGRGQPVTCIIYTIVLNWAVEVAREHGILSVLYWIQTSSVFATYYHFFHGFESLIKTHIDDLSFTVSFPGLQPLQIRDLPSFVRVTNYDSADGSILCLFREMFEILDEEKERMKAMVLMNTFQEWETDALASFNVEIEAIPVGLLPKETNSGAGYLFKEDEKKYMEWLDTKEDGSVVYISFGTMVEMKKEQIKEMVKGLKESKRPYLWVVRKDNREEELLEIEGGGDGMVVEWCSQVRVLAHKAVGCIVTHCGWNSTLESLACGVPMVCVPQWSDQGINAKLVESLWGCGVRSEVDGDGVVKGEELVRCLELVMGDGEKGVEIRRKAKMWKDEALVAGSEGGSSYLNFRAFVRKISD >DRNTG_06714.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21165951:21168219:-1 gene:DRNTG_06714 transcript:DRNTG_06714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKQHHHFLFITSSHQGHISPTLHLARHLATSTGAAVTFSTTVFAHRRMFSSNQDLISDGLITFIPYSDGYDDGFKSEPSIFTDKFHSYVRTNSKRSVSEIVGNHAGRGQPVTCIIYTIVLNWAVEVAREHGILSVLYWIQTSSVFATYYHFFHGFESLIKTHIDDLSFTVSFPGLQPLQIRDLPSFVRVTNYDSADGSILCLFREMFEILDEEKERMKAMVLMNTFQEWETDALASFNVEIEAIPVGLLPKETNSGAGYLFKEDEKKYMEWLDTKEDGSVVYISFGTMVEMKKEQIKEMVKGLKESKRPYLWVVRKDNREEELLEIEGGGDGMVVEWCSQVRVLAHKAVGCIVTHCGWNSTLESLACGVPMVCVPQWSDQGINAKLVESLWGCGVRSEVDGDGVVKGEELVRCLELVMGDGEKGVEIRRKAKMWKDEALVAGSEGGSSYLNFRAFVRKISD >DRNTG_06714.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21166064:21168001:-1 gene:DRNTG_06714 transcript:DRNTG_06714.8 gene_biotype:protein_coding transcript_biotype:protein_coding MADKQHHHFLFITSSHQGHISPTLHLARHLATSTGAAVTFSTTVFAHRRMFSSNQDLISDGLITFIPYSDGYDDGFKSEPSIFTDKFHSYVRTNSKRSVSEIVGNHAGRGQPVTCIIYTIVLNWAVEVAREHGILSVLYWIQTSSVFATYYHFFHGFESLIKTHIDDLSFTVSFPGLQPLQIRDLPSFVRVTNYDSADGSILCLFREMFEILDEEKERMKAMVLMNTFQEWETDALASFNVEIEAIPVGLLPKETNSGAGYLFKEDEKKYMEWLDTKEDGSVVYISFGTMVEMKKEQIKEMVKGLKESKRPYLWVVRKDNREEELLEIEGGGDGMVVEWCSQVRVLAHKAVGCIVTHCGWNSTLESLACGVPMVCVPQWSDQGINAKLVESLWGCGVRSEVDGDGVVKGEELVRCLELVMGDGEKGVEIRRKAKMWKDEALVAGSEGGSSYLNFRAFVRKISD >DRNTG_06714.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21166064:21168061:-1 gene:DRNTG_06714 transcript:DRNTG_06714.6 gene_biotype:protein_coding transcript_biotype:protein_coding MADKQHHHFLFITSSHQGHISPTLHLARHLATSTGAAVTFSTTVFAHRRMFSSNQDLISDGLITFIPYSDGYDDGFKSEPSIFTDKFHSYVRTNSKRSVSEIVGNHAGRGQPVTCIIYTIVLNWAVEVAREHGILSVLYWIQTSSVFATYYHFFHGFESLIKTHIDDLSFTVSFPGLQPLQIRDLPSFVRVTNYDSADGSILCLFREMFEILDEEKERMKAMVLMNTFQEWETDALASFNVEIEAIPVGLLPKETNSGAGYLFKEDEKKYMEWLDTKEDGSVVYISFGTMVEMKKEQIKEMVKGLKESKRPYLWVVRKDNREEELLEIEGGGDGMVVEWCSQVRVLAHKAVGCIVTHCGWNSTLESLACGVPMVCVPQWSDQGINAKLVESLWGCGVRSEVDGDGVVKGEELVRCLELVMGDGEKGVEIRRKAKMWKDEALVAGSEGGSSYLNFRAFVRKISD >DRNTG_06714.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21166116:21168061:-1 gene:DRNTG_06714 transcript:DRNTG_06714.7 gene_biotype:protein_coding transcript_biotype:protein_coding MADKQHHHFLFITSSHQGHISPTLHLARHLATSTGAAVTFSTTVFAHRRMFSSNQDLISDGLITFIPYSDGYDDGFKSEPSIFTDKFHSYVRTNSKRSVSEIVGNHAGRGQPVTCIIYTIVLNWAVEVAREHGILSVLYWIQTSSVFATYYHFFHGFESLIKTHIDDLSFTVSFPGLQPLQIRDLPSFVRVTNYDSADGSILCLFREMFEILDEEKERMKAMVLMNTFQEWETDALASFNVEIEAIPVGLLPKETNSGAGYLFKEDEKKYMEWLDTKEDGSVVYISFGTMVEMKKEQIKEMVKGLKESKRPYLWVVRKDNREEELLEIEGGGDGMVVEWCSQVRVLAHKAVGCIVTHCGWNSTLESLACGVPMVCVPQWSDQGINAKLVESLWGCGVRSEVDGDGVVKGEELVRCLELVMGDGEKGVEIRRKAKMWKDEALVAGSEGGSSYLNFRAFVRKISD >DRNTG_06714.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21165951:21168061:-1 gene:DRNTG_06714 transcript:DRNTG_06714.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADKQHHHFLFITSSHQGHISPTLHLARHLATSTGAAVTFSTTVFAHRRMFSSNQDLISDGLITFIPYSDGYDDGFKSEPSIFTDKFHSYVRTNSKRSVSEIVGNHAGRGQPVTCIIYTIVLNWAVEVAREHGILSVLYWIQTSSVFATYYHFFHGFESLIKTHIDDLSFTVSFPGLQPLQIRDLPSFVRVTNYDSADGSILCLFREMFEILDEEKERMKAMVLMNTFQEWETDALASFNVEIEAIPVGLLPKETNSGAGYLFKEDEKKYMEWLDTKEDGSVVYISFGTMVEMKKEQIKEMVKGLKESKRPYLWVVRKDNREEELLEIEGGGDGMVVEWCSQVRVLAHKAVGCIVTHCGWNSTLESLACGVPMVCVPQWSDQGINAKLVESLWGCGVRSEVDGDGVVKGEELVRCLELVMGDGEKGVEIRRKAKMWKDEALVAGSEGGSSYLNFRAFVRKISD >DRNTG_06714.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21166116:21168001:-1 gene:DRNTG_06714 transcript:DRNTG_06714.10 gene_biotype:protein_coding transcript_biotype:protein_coding MADKQHHHFLFITSSHQGHISPTLHLARHLATSTGAAVTFSTTVFAHRRMFSSNQDLISDGLITFIPYSDGYDDGFKSEPSIFTDKFHSYVRTNSKRSVSEIVGNHAGRGQPVTCIIYTIVLNWAVEVAREHGILSVLYWIQTSSVFATYYHFFHGFESLIKTHIDDLSFTVSFPGLQPLQIRDLPSFVRVTNYDSADGSILCLFREMFEILDEEKERMKAMVLMNTFQEWETDALASFNVEIEAIPVGLLPKETNSGAGYLFKEDEKKYMEWLDTKEDGSVVYISFGTMVEMKKEQIKEMVKGLKESKRPYLWVVRKDNREEELLEIEGGGDGMVVEWCSQVRVLAHKAVGCIVTHCGWNSTLESLACGVPMVCVPQWSDQGINAKLVESLWGCGVRSEVDGDGVVKGEELVRCLELVMGDGEKGVEIRRKAKMWKDEALVAGSEGGSSYLNFRAFVRKISD >DRNTG_06714.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21165951:21167964:-1 gene:DRNTG_06714 transcript:DRNTG_06714.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSNQDLISDGLITFIPYSDGYDDGFKSEPSIFTDKFHSYVRTNSKRSVSEIVGNHAGRGQPVTCIIYTIVLNWAVEVAREHGILSVLYWIQTSSVFATYYHFFHGFESLIKTHIDDLSFTVSFPGLQPLQIRDLPSFVRVTNYDSADGSILCLFREMFEILDEEKERMKAMVLMNTFQEWETDALASFNVEIEAIPVGLLPKETNSGAGYLFKEDEKKYMEWLDTKEDGSVVYISFGTMVEMKKEQIKEMVKGLKESKRPYLWVVRKDNREEELLEIEGGGDGMVVEWCSQVRVLAHKAVGCIVTHCGWNSTLESLACGVPMVCVPQWSDQGINAKLVESLWGCGVRSEVDGDGVVKGEELVRCLELVMGDGEKGVEIRRKAKMWKDEALVAGSEGGSSYLNFRAFVRKISD >DRNTG_06714.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21166064:21167964:-1 gene:DRNTG_06714 transcript:DRNTG_06714.9 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSNQDLISDGLITFIPYSDGYDDGFKSEPSIFTDKFHSYVRTNSKRSVSEIVGNHAGRGQPVTCIIYTIVLNWAVEVAREHGILSVLYWIQTSSVFATYYHFFHGFESLIKTHIDDLSFTVSFPGLQPLQIRDLPSFVRVTNYDSADGSILCLFREMFEILDEEKERMKAMVLMNTFQEWETDALASFNVEIEAIPVGLLPKETNSGAGYLFKEDEKKYMEWLDTKEDGSVVYISFGTMVEMKKEQIKEMVKGLKESKRPYLWVVRKDNREEELLEIEGGGDGMVVEWCSQVRVLAHKAVGCIVTHCGWNSTLESLACGVPMVCVPQWSDQGINAKLVESLWGCGVRSEVDGDGVVKGEELVRCLELVMGDGEKGVEIRRKAKMWKDEALVAGSEGGSSYLNFRAFVRKISD >DRNTG_06714.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21166116:21167964:-1 gene:DRNTG_06714 transcript:DRNTG_06714.11 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSNQDLISDGLITFIPYSDGYDDGFKSEPSIFTDKFHSYVRTNSKRSVSEIVGNHAGRGQPVTCIIYTIVLNWAVEVAREHGILSVLYWIQTSSVFATYYHFFHGFESLIKTHIDDLSFTVSFPGLQPLQIRDLPSFVRVTNYDSADGSILCLFREMFEILDEEKERMKAMVLMNTFQEWETDALASFNVEIEAIPVGLLPKETNSGAGYLFKEDEKKYMEWLDTKEDGSVVYISFGTMVEMKKEQIKEMVKGLKESKRPYLWVVRKDNREEELLEIEGGGDGMVVEWCSQVRVLAHKAVGCIVTHCGWNSTLESLACGVPMVCVPQWSDQGINAKLVESLWGCGVRSEVDGDGVVKGEELVRCLELVMGDGEKGVEIRRKAKMWKDEALVAGSEGGSSYLNFRAFVRKISD >DRNTG_06714.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21165951:21168001:-1 gene:DRNTG_06714 transcript:DRNTG_06714.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADKQHHHFLFITSSHQGHISPTLHLARHLATSTGAAVTFSTTVFAHRRMFSSNQDLISDGLITFIPYSDGYDDGFKSEPSIFTDKFHSYVRTNSKRSVSEIVGNHAGRGQPVTCIIYTIVLNWAVEVAREHGILSVLYWIQTSSVFATYYHFFHGFESLIKTHIDDLSFTVSFPGLQPLQIRDLPSFVRVTNYDSADGSILCLFREMFEILDEEKERMKAMVLMNTFQEWETDALASFNVEIEAIPVGLLPKETNSGAGYLFKEDEKKYMEWLDTKEDGSVVYISFGTMVEMKKEQIKEMVKGLKESKRPYLWVVRKDNREEELLEIEGGGDGMVVEWCSQVRVLAHKAVGCIVTHCGWNSTLESLACGVPMVCVPQWSDQGINAKLVESLWGCGVRSEVDGDGVVKGEELVRCLELVMGDGEKGVEIRRKAKMWKDEALVAGSEGGSSYLNFRAFVRKISD >DRNTG_26911.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2977511:2982147:-1 gene:DRNTG_26911 transcript:DRNTG_26911.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mevalonate kinase [Source:Projected from Arabidopsis thaliana (AT5G27450) UniProtKB/TrEMBL;Acc:A0A178UNV0] MALEVRARAPGKIILSGEHAVVHGFTAVAAAIDLHTYVYFRLHSTLDNDDGVVELELKDLGLVFSWPSQRLREMFSELGLSSKTCSPECLKVITSLVEELSFPESKIGLSSGVSAFLYLYTSIIGYRPGKVRVTSDLPLGSGLGSSASFCVSVSAALLALSGAVCADNQQKGWFVMRENELELVNKWAFQGERIIHGKPSGIDNTVSTFGSMIMFRLSELTRLKSSGPIRMLITNTKVGRNTKALVAGVSERTLRHPDAMAAVFTAVDSISKELSDVIQSAAHDDVSITAKEEKLEELMEMNQGLLQCMGVSHASIDTVLRTTLRYKLASKLTGAGGGGCVLTLLPTLLSSTIVDKVISELEACGFQCLKVEVGGKGLEVCFT >DRNTG_21033.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:177603:178374:1 gene:DRNTG_21033 transcript:DRNTG_21033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIPTSISTLRTTSSFIPSNPPPLLLKPNPYLYRSRSHKSTKPPPPPPSSIQTLKPPSLLVSSSFSPPPISFTSKQHHKASTGYAAALLDASRCQNSIHAVYNDVHKLMHVLHDTKSMKIALKSGDFAKQLVVLVKMLVKKGKSGLVLEVLDEFIKLYDELTFTPVMLVSSNNI >DRNTG_30084.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22837923:22839486:1 gene:DRNTG_30084 transcript:DRNTG_30084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFLPLLLFPIFTTITISDGAWCVCKPELSDTMLQKTIDYACGAGADCNPIIKNGPCFNPDTVKSHCNYAANSYYQRKGQAQGSCDFSGTAILTSSDPSVNGCSYPSSASTASSTTTTTSPGSSTTTTTTPGSLTPSTTGTGGVMGSLGPSTASPDFSEVGYVLQAKISSVLFYLIIFSCLLYFRV >DRNTG_32027.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8344995:8350023:1 gene:DRNTG_32027 transcript:DRNTG_32027.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25410) UniProtKB/Swiss-Prot;Acc:Q8RXE8] MALLSTSSCYSSSGLRPRAPAVAPLRNILKSGSLQMAAVRAPGSLRASGGGRRGWVSACSTSFPCLGRVGWHRREGNVSLLSFGLNSDSFLGGGKSESSSEVFSAMLPFVVAATAVAALTNPNTFSWVSKEYYAPALGGIMLSIGIKLSIDDFALAFKRPLPLSIGYIAQYVFKPLLGLLIARAFRTPSIFYAGFILTCCVSGAQLSSYASFLSKGDVALSILLTSSTTISSVLMTPLLTGLLIGSVVPVDGVAMSKSILQVVLLPIAIGLLLNTFAKSVVTVIQPIMPFVAMFCTSLCIGSPLSINRSQILSSDGLRLLFPVLTFHLVAFTGGYWLSRLPFFRQEEEASRTISLCTGMQSSTLAGLLATQFLGSSHAVPAACSVVAMAIMGLCIASFWGGGSRIRDLVSPLLPKSNTHSDSNS >DRNTG_22012.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23631939:23633153:1 gene:DRNTG_22012 transcript:DRNTG_22012.6 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRRAANPLRNQSHRIVAASACSAKSDISGGNPVSNEWNCEKDGCSSAGNIFLNSVSHGVSFTKMFILGTRTLSSHAGAKSSDSENDVEDGFSDLEVSPETNKVGDTSDDLEDGLSEDDLSVEGKDEDADHAVGLLDAAKDTNVNKKIATSPLFKILMEAPRLSINSALDKWVEEGNALGRNEISTVTINLRKWKFYGK >DRNTG_22012.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23631939:23633184:1 gene:DRNTG_22012 transcript:DRNTG_22012.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRRAANPLRNQSHRIVAASACSAKSDISGGNPVSNEWNCEKDGCSSAGNIFLNSVSHGVSFTKMFILGTRTLSSHAGAKSSDSENDVEDGFSDLEVSPETNKVGDTSDDLEDGLSEDDLSVEGKDEDADHAVGLLDAAKDTNVNKKIATSPLFKILMEAPRLSINSALDKWVEEGNALGRNEISTVTINLRKWKFYGKALQVLIL >DRNTG_22012.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23627141:23630394:1 gene:DRNTG_22012 transcript:DRNTG_22012.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRRAANPLRNQGHRIVAASACSAKSDISGGNPVSNEWNCEKDGCSSAGNMFLNSVSHGVSFTKMSILGTRSLSSHAGAKSSDSENDVEEGFSDLELAPETDKVGDSSDDLEDGLSEDELSVEGKDEGADHALGLLDAAKDTNVEKALNKKIGTSPLFKILMEAPRLSINSALDKWVEEGNALGRSEISTVILNLRKWKLYGKALQFAEWLETNKRLDFTERDYASYVDLIAKVHGIQRAEKYIEKIPKSLSGEVIYRTLLANCVAAGNIKKSEEVFNKIRDLGFPITTFAINQLLLLYKRVDRKKIADVLVLMEKENVKPSLFTYWLLIDTKGRANDISGMEQVVEKMKADGMEPDLNIQAMLAKHYIFGGLKEKAESMLKQMEGDDIKENRYACKSLLRLYADLGKAEDVERVWKVCQTHPSFRASA >DRNTG_22012.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23631909:23633153:1 gene:DRNTG_22012 transcript:DRNTG_22012.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRRAANPLRNQSHRIVAASACSAKSDISGGNPVSNEWNCEKDGCSSAGNIFLNSVSHGVSFTKMFILGTRTLSSHAGAKSSDSENDVEDGFSDLEVSPETNKVGDTSDDLEDGLSEDDLSVEGKDEDADHAVGLLDAAKDTNVNKKIATSPLFKILMEAPRLSINSALDKWVEEGNALGRNEISTVTINLRKWKFYGK >DRNTG_22012.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23627476:23630394:1 gene:DRNTG_22012 transcript:DRNTG_22012.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMFMMICLHERILKYIYKKKLKICICQRRWCCLLRIPISCVNALINFKSCQNILCFHCRNQGHRIVAASACSAKSDISGGNPVSNEWNCEKDGCSSAGNMFLNSVSHGVSFTKMSILGTRSLSSHAGAKSSDSENDVEEGFSDLELAPETDKVGDSSDDLEDGLSEDELSVEGKDEGADHALGLLDAAKDTNVEKALNKKIGTSPLFKILMEAPRLSINSALDKWVEEGNALGRSEISTVILNLRKWKLYGKALQFAEWLETNKRLDFTERDYASYVDLIAKVHGIQRAEKYIEKIPKSLSGEVIYRTLLANCVAAGNIKKSEEVFNKIRDLGFPITTFAINQLLLLYKRVDRKKIADVLVLMEKENVKPSLFTYWLLIDTKGRANDISGMEQVVEKMKADGMEPDLNIQAMLAKHYIFGGLKEKAESMLKQMEGDDIKENRYACKSLLRLYADLGKAEDVERVWKVCQTHPSFRASA >DRNTG_22012.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23632583:23633153:1 gene:DRNTG_22012 transcript:DRNTG_22012.7 gene_biotype:protein_coding transcript_biotype:protein_coding MFILGTRTLSSHAGAKSSDSENDVEDGFSDLEVSPETNKVGDTSDDLEDGLSEDDLSVEGKDEDADHAVGLLDAAKDTNVNKKIATSPLFKILMEAPRLSINSALDKWVEEGNALGRNEISTVTINLRKWKFYGK >DRNTG_22012.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23627141:23633153:1 gene:DRNTG_22012 transcript:DRNTG_22012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRRAANPLRNQSHRIVAASACSAKSDISGGNPVSNEWNCEKDGCSSAGNIFLNSVSHGVSFTKMFILGTRTLSSHAGAKSSDSENDVEDGFSDLEVSPETNKVGDTSDDLEDGLSEDDLSVEGKDEDADHAVGLLDAAKDTNVNKKIATSPLFKILMEAPRLSINSALDKWVEEGNALGRNEISTVTINLRKWKFYGK >DRNTG_23180.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1832766:1836044:1 gene:DRNTG_23180 transcript:DRNTG_23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:Projected from Arabidopsis thaliana (AT1G73590) UniProtKB/TrEMBL;Acc:A0A178WFG7] MITWVDFYHVMTAMVPLYVAMILAYGSVKWWKIFSPDQCSGINRFVALFAVPLLSFHFISTNNIYTMNLKFILADTLQKLIVLAMLTIWARLSRRGSLEWTITLFSLSTLPNTLVMGIPLLKGMYGEYSGSLMVQIVVLQCIIWYTLMLFMFEYRGARLLISEQFPDTAGSIASIAVDSDVMSLDGRNNELETEAEIKEDGKLHVTVRRSSASRSDIYSRRSHGFSTTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVGRSSNFGAADAYGIVAASRGPTTPRPSNYEDDNQQQHHASKTRFHYPAPNPSVFSAGGGAAAPKNAAVAAKKAANGQAHLLKPDDGGNKDLHMFVWSSSASPVSDVFGNNPDFGLAPPPPPDPSAKEVRLAVSPGKVDGRKENRDEYMEREEFSFGNRGMMVERDAGELDTANEKAQESNMKNGAGAKATTMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVCFRWNFEMPAIIVKSISILSDAGLGMAMFSLGLFMALQPRIIACGNSVASFAMAVRFLTGPAVMAASSIAVGLRGDLLHIAIVQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLIALPITLVYYILLGL >DRNTG_22887.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1871898:1872817:1 gene:DRNTG_22887 transcript:DRNTG_22887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRISKHQRGFLQNAMEYAGKCFNLAALFEVSLVSIPNPSPISTFSLDLAAIQRRRTPPVTG >DRNTG_33696.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1241065:1246076:-1 gene:DRNTG_33696 transcript:DRNTG_33696.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMGGQNPLSAQVSCGSLLQQLQLIWDEVGESDEERDNMLLQLEQECLDVYRRKVDQASRSRALLLQQLADSKSELARLLSALGEKTFVGIPEKSSGTIKEQLAAIAPALEQLCNQKDERIKEFADVQSQIQKISGEIAGTIKAGEHVKPIVDEEDLSLKKLDEFRSQLQGLQKEKNDRLHKVLELVSNVHDLCAVLGMDFFSTVTEVHPSLDDSAGVQSRSITNETISKLAETVVELKEDKKMRLRKVQELAAQLYDLWNLMDTPADERSLFDHVTCNISATVNEVIYPGALALDLIEQAEVEVERLDQLKFSKMKEIALKKQAELEDIYARAHVEIDSAAAQEKIMTLIDSGNVEPAELLANMDNQILKAKEEALSRKDILEKVEKWMSACEEESWLEDYNRDDNRYNSSRGAHLNLKRAEKARILVNKIPALVDTLVAKTRSWEEDHGMTFAYDGVPLLAMLDEYAMLRQDREEEKRRMRDQKRFHDQTSTEQEAMFGARPSPNRPLGSKKVVGPRVNGAGSNGTPTRRLSLNNGPRSGSKDSGKRDSARASAPANYVAISKEDAASHVSATDPTPASP >DRNTG_20086.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11149349:11154302:1 gene:DRNTG_20086 transcript:DRNTG_20086.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMATFYSGSDDQRDIMQTRYIRESGNSSYPESSVHGSMPYMSYSSSGPYSEILAGNSGQSQQTCLEFPVSAVKDDSALGRPDISASQIMEQTYNAWRDGKDEILVQGDGSLNSVDDIQMGLCKQLSVLRNQDSSLQPSNVTASPGQGLSLSLSTHIAVPSFPCQPANSDISHMSSHQSASVNSGSCRDDNARNKLMHANLSHGLSTLMSTIPNSKYLKAAQQLLDEVVHISYVLKNKANKGQALNNSLDTSSCKENDAESKSNGMPNDNQGSLANSTVEPEHHERQELQSKITKLLAMLDEVDRRYKQYYYQMQTVVSSFDVIAGVGAAKAYTALALRTISRHFRCLRDAICGQIRCSRKSLGVEDNSSGKGGGIPRLRLIDQQLRQQRAMQQLGVMQPHAWRPQRGLPENSVSILRAWLFEHFLHPYPNDSDKLMLARQTGLTRSQVSNWFINARVRLWKPMIEEMYKEETGDTEMDSNSSSDNISLGKNDLRSFGDKEDLQSPDADIGRTATRCYHKPNVDVAYLNCKLSDQRATQEDSGLLQDAFSHSDGSERFMAYQMADLGSYGNGGVSLTLGLQHCNVSIGSNSQHNFLSIQDENIYSTAPPGAEATDYDCVNPLDPPQTFGSSLHNLHDFVA >DRNTG_23853.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001280.1:51748:55581:-1 gene:DRNTG_23853 transcript:DRNTG_23853.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIDPEAEVIALSPKTLLATNRFLCEICGKGFQRDQNLQLHRRGHNLPWKLRQRSTKEPRKRVYVCPEKTCVHNHPSRALGDLTGIKKHFCRKHGEKKWKCDKCAKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFHHSPSIL >DRNTG_16139.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15709538:15710004:-1 gene:DRNTG_16139 transcript:DRNTG_16139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPAPLSLETMRLMARSRASTSTESELGVVPIEVAEARAEIAEIRATQATQYTEFMARFDVLQQILERDVASLFVMRPRTPQAPSVPPAPSSPTPALVDPPCASSPTAAAAPELEGDTDILF >DRNTG_11413.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:867537:870507:1 gene:DRNTG_11413 transcript:DRNTG_11413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSIRSNSNGGEGGDGDYCGACKYLRRKCAPDCIFAPYFNSEQGVADFAIVEKVFEPSNVSRLLHEIPDHHNRLTAVKAIICDAQVRIDDPIYGCCGRILKLEKRLRSRIIGQKAR >DRNTG_15077.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3968499:3968858:-1 gene:DRNTG_15077 transcript:DRNTG_15077.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCDDVKPTMMLQVVLGFFVLKDSRPRGSTLPEFTHIFEGYSNYKADALIKSDLRFRYSIILWGVFRDENGENSGNYSNTLKNAILLYVA >DRNTG_05632.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6324995:6330514:-1 gene:DRNTG_05632 transcript:DRNTG_05632.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSHANVSRAWACFEHSNFFKVTAPEARPGQLRPGAHRRQRGRDGRCTPGGGPTGPAQGPTTSFLTATT >DRNTG_05632.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6326349:6334119:-1 gene:DRNTG_05632 transcript:DRNTG_05632.3 gene_biotype:protein_coding transcript_biotype:protein_coding SLALPDRTRARAPAILRETSEGTSY >DRNTG_05632.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6328528:6330514:-1 gene:DRNTG_05632 transcript:DRNTG_05632.9 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSHANVSRAWACFEHSNFFKVTAPEARPGQLRPGAHRRQRGRDGRCTPGGGPTGPAQGPTTSFLTATT >DRNTG_05632.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6329439:6330514:-1 gene:DRNTG_05632 transcript:DRNTG_05632.11 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSHANVSRAWACFEHSNFFKVTAPEARPGQLRPGAHRRQRGRDGRCTPGGGPTGPAQGPTTSFLTATT >DRNTG_05632.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6326349:6330514:-1 gene:DRNTG_05632 transcript:DRNTG_05632.8 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSHANVSRAWACFEHSNFFKVTAPEARPGQLRPGAHRRQRGRDGRCTPGGGPTGPAQGPTTSFLTATT >DRNTG_05632.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6312406:6330514:-1 gene:DRNTG_05632 transcript:DRNTG_05632.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSHANVSRAWACFEHSNFFKVTAPEARPGQLRPGAHRRQRGRDGRCTPGGGPTGPAQGPTTSFLTATT >DRNTG_05632.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6328528:6330514:-1 gene:DRNTG_05632 transcript:DRNTG_05632.10 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSHANVSRAWACFEHSNFFKVTAPEARPGQLRPGAHRRQRGRDGRCTPGGGPTGPAQGPTTSFLTATT >DRNTG_05632.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6324737:6330514:-1 gene:DRNTG_05632 transcript:DRNTG_05632.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSHANVSRAWACFEHSNFFKVTAPEARPGQLRPGAHRRQRGRDGRCTPGGGPTGPAQGPTTSFLTATT >DRNTG_05632.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6325511:6333508:-1 gene:DRNTG_05632 transcript:DRNTG_05632.2 gene_biotype:protein_coding transcript_biotype:protein_coding GSSPFRIRPGRIAGPHPLPSRQFQALFDSLFKALFIFPSRYLFAISVSPVFSLGRNLPPDWGCIPKQPDSPTAPRGAAGSGHDGALTLSGTPFQGTWARSVAEDASADYNSGGVTARFSSWALPGSLAVTRGILVSFFSSAY >DRNTG_05632.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6325511:6330514:-1 gene:DRNTG_05632 transcript:DRNTG_05632.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKYECPRLSPSPAPLSRGLGPGPSLRTLLQTTIRAASPPDSQAGLFPVRSPLLGESS >DRNTG_02907.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23602363:23604542:-1 gene:DRNTG_02907 transcript:DRNTG_02907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSHLHSSSSSSPPDPPLTNIPSHNHNRHLCMSVTYTSSRPHEILTLYSRSWKLIYRTRQLLLTNTIEALGVGFLLGTIYINMGYTKQGIDKRLGLFAFTLTFLLSSTTETLPIFVGERPILLREASSGLYRLSSHLFATTMVFIPYLLCISLLYSASVYFLVGLCFTWEAFSCFVLIVWVVVLTANSFVLFVSSLAPDYIAGTSLVMVSLAGFFLFSGYFISMGSMPSYWLFAHYLSPYKYALDALLENEYGCAAARCFEREDGVSTCMVTGADVLARRGLKEGQRWVCIEVLFGFFFVYRLLYWLVLSRRASMYFCLNHV >DRNTG_20826.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6574920:6577159:-1 gene:DRNTG_20826 transcript:DRNTG_20826.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLFSLLILFPFCSSSDETPKITLQLNHVKLTSHRDPFHYLATLVNASLLRARHIRNHQTTPKLSEAPLYPHAYGGYSFSLGFGTPPQPIPVLLDTGSDVTWIPCTSSYSCRKCSSPSNPIPKIPTFFPKRSSSVRLLGCKNPKCSWFHSQDTLDSCRDCPSNSSTQCPKLCPPYIIIYGSGSTAGILLSETLDLGDRTVENFTVGCSVYSIAQPAGGIAGFGRGVVSLPAQVGAKRFSYCLVSRRFDDVDGKAGSVVIGGEQEDSAAGLSFTPMRKNPNIKPGSAASVYYYVDLKKITVGGKKVKIKKKTLVPKEDGSGGTIVDSGTTFTYLDAALMEAVAAAFEKAVAGRYNRSEIVETATGLRPCFEISSSPGTVLELPELGFDFKGGAELRLPVENCFVIANDPAVGSAACLAVIGGEKDGESAVEPAVILGSFQQQNYEVVYDLERERIGFQRKPCLNS >DRNTG_20826.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6574862:6577428:-1 gene:DRNTG_20826 transcript:DRNTG_20826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLFSLLILFPFCSSSDETPKITLQLNHVKLTSHRDPFHYLATLVNASLLRARHIRNHQTTPKLSEAPLYPHAYGGYSFSLGFGTPPQPIPVLLDTGSDVTWIPCTSSYSCRKCSSPSNPIPKIPTFFPKRSSSVRLLGCKNPKCSWFHSQDTLDSCRDCPSNSSTQCPKLCPPYIIIYGSGSTAGILLSETLDLGDRTVENFTVGCSVYSIAQPAGGIAGFGRGVVSLPAQVGAKRFSYCLVSRRFDDVDGKAGSVVIGGEQEDSAAGLSFTPMRKNPNIKPGSAASVYYYVDLKKITVGGKKVKIKKKTLVPKEDGSGGTIVDSGTTFTYLDAALMEAVAAAFEKAVAGRYNRSEIVETATGLRPCFEISSSPGTVLELPELGFDFKGGAELRLPVENCFVIANDPAVGSAACLAVIGGEKDGESAVEPAVILGSFQQQNYEVVYDLERERIGFQRKPCLNS >DRNTG_20826.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6574862:6576795:-1 gene:DRNTG_20826 transcript:DRNTG_20826.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLFSLLILFPFCSSSDETPKITLQLNHVKLTSHRDPFHYLATLVNASLLRARHIRNHQTTPKLSEAPLYPHAYGGYSFSLGFGTPPQPIPVLLDTGSDVTWIPCTSSYSCRKCSSPSNPIPKIPTFFPKRSSSVRLLGCKNPKCSWFHSQDTLDSCRDCPSNSSTQCPKLCPPYIIIYGSGSTAGILLSETLDLGDRTVENFTVGCSVYSIAQPAGGIAGFGRGVVSLPAQVGAKRFSYCLVSRRFDDVDGKAGSVVIGGEQEDSAAGLSFTPMRKNPNIKPGSAASVYYYVDLKKITVGGKKVKIKKKTLVPKEDGSGGTIVDSGTTFTYLDAALMEAVAAAFEKAVAGRYNRSEIVETATGLRPCFEISSSPGTVLELPELGFDFKGGAELRLPVENCFVIANDPAVGSAACLAVIGGEKDGESAVEPAVILGSFQQQNYEVVYDLERERIGFQRKPCLNS >DRNTG_20826.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6574920:6576585:-1 gene:DRNTG_20826 transcript:DRNTG_20826.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLFSLLILFPFCSSSDETPKITLQLNHVKLTSHRDPFHYLATLVNASLLRARHIRNHQTTPKLSEAPLYPHAYGGYSFSLGFGTPPQPIPVLLDTGSDVTWIPCTSSYSCRKCSSPSNPIPKIPTFFPKRSSSVRLLGCKNPKCSWFHSQDTLDSCRDCPSNSSTQCPKLCPPYIIIYGSGSTAGILLSETLDLGDRTVENFTVGCSVYSIAQPAGGIAGFGRGVVSLPAQVGAKRFSYCLVSRRFDDVDGKAGSVVIGGEQEDSAAGLSFTPMRKNPNIKPGSAASVYYYVDLKKITVGGKKVKIKKKTLVPKEDGSGGTIVDSGTTFTYLDAALMEAVAAAFEKAVAGRYNRSEIVETATGLRPCFEISSSPGTVLELPELGFDFKGGAELRLPVENCFVIANDPAVGSAACLAVIGGEKDGESAVEPAVILGSFQQQNYEVVYDLERERIGFQRKPCLNS >DRNTG_20826.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6574862:6576585:-1 gene:DRNTG_20826 transcript:DRNTG_20826.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLFSLLILFPFCSSSDETPKITLQLNHVKLTSHRDPFHYLATLVNASLLRARHIRNHQTTPKLSEAPLYPHAYGGYSFSLGFGTPPQPIPVLLDTGSDVTWIPCTSSYSCRKCSSPSNPIPKIPTFFPKRSSSVRLLGCKNPKCSWFHSQDTLDSCRDCPSNSSTQCPKLCPPYIIIYGSGSTAGILLSETLDLGDRTVENFTVGCSVYSIAQPAGGIAGFGRGVVSLPAQVGAKRFSYCLVSRRFDDVDGKAGSVVIGGEQEDSAAGLSFTPMRKNPNIKPGSAASVYYYVDLKKITVGGKKVKIKKKTLVPKEDGSGGTIVDSGTTFTYLDAALMEAVAAAFEKAVAGRYNRSEIVETATGLRPCFEISSSPGTVLELPELGFDFKGGAELRLPVENCFVIANDPAVGSAACLAVIGGEKDGESAVEPAVILGSFQQQNYEVVYDLERERIGFQRKPCLNS >DRNTG_20826.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6574920:6576795:-1 gene:DRNTG_20826 transcript:DRNTG_20826.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLFSLLILFPFCSSSDETPKITLQLNHVKLTSHRDPFHYLATLVNASLLRARHIRNHQTTPKLSEAPLYPHAYGGYSFSLGFGTPPQPIPVLLDTGSDVTWIPCTSSYSCRKCSSPSNPIPKIPTFFPKRSSSVRLLGCKNPKCSWFHSQDTLDSCRDCPSNSSTQCPKLCPPYIIIYGSGSTAGILLSETLDLGDRTVENFTVGCSVYSIAQPAGGIAGFGRGVVSLPAQVGAKRFSYCLVSRRFDDVDGKAGSVVIGGEQEDSAAGLSFTPMRKNPNIKPGSAASVYYYVDLKKITVGGKKVKIKKKTLVPKEDGSGGTIVDSGTTFTYLDAALMEAVAAAFEKAVAGRYNRSEIVETATGLRPCFEISSSPGTVLELPELGFDFKGGAELRLPVENCFVIANDPAVGSAACLAVIGGEKDGESAVEPAVILGSFQQQNYEVVYDLERERIGFQRKPCLNS >DRNTG_20826.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6574920:6577428:-1 gene:DRNTG_20826 transcript:DRNTG_20826.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLFSLLILFPFCSSSDETPKITLQLNHVKLTSHRDPFHYLATLVNASLLRARHIRNHQTTPKLSEAPLYPHAYGGYSFSLGFGTPPQPIPVLLDTGSDVTWIPCTSSYSCRKCSSPSNPIPKIPTFFPKRSSSVRLLGCKNPKCSWFHSQDTLDSCRDCPSNSSTQCPKLCPPYIIIYGSGSTAGILLSETLDLGDRTVENFTVGCSVYSIAQPAGGIAGFGRGVVSLPAQVGAKRFSYCLVSRRFDDVDGKAGSVVIGGEQEDSAAGLSFTPMRKNPNIKPGSAASVYYYVDLKKITVGGKKVKIKKKTLVPKEDGSGGTIVDSGTTFTYLDAALMEAVAAAFEKAVAGRYNRSEIVETATGLRPCFEISSSPGTVLELPELGFDFKGGAELRLPVENCFVIANDPAVGSAACLAVIGGEKDGESAVEPAVILGSFQQQNYEVVYDLERERIGFQRKPCLNS >DRNTG_20826.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6574862:6577159:-1 gene:DRNTG_20826 transcript:DRNTG_20826.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLFSLLILFPFCSSSDETPKITLQLNHVKLTSHRDPFHYLATLVNASLLRARHIRNHQTTPKLSEAPLYPHAYGGYSFSLGFGTPPQPIPVLLDTGSDVTWIPCTSSYSCRKCSSPSNPIPKIPTFFPKRSSSVRLLGCKNPKCSWFHSQDTLDSCRDCPSNSSTQCPKLCPPYIIIYGSGSTAGILLSETLDLGDRTVENFTVGCSVYSIAQPAGGIAGFGRGVVSLPAQVGAKRFSYCLVSRRFDDVDGKAGSVVIGGEQEDSAAGLSFTPMRKNPNIKPGSAASVYYYVDLKKITVGGKKVKIKKKTLVPKEDGSGGTIVDSGTTFTYLDAALMEAVAAAFEKAVAGRYNRSEIVETATGLRPCFEISSSPGTVLELPELGFDFKGGAELRLPVENCFVIANDPAVGSAACLAVIGGEKDGESAVEPAVILGSFQQQNYEVVYDLERERIGFQRKPCLNS >DRNTG_02146.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28962705:28965960:1 gene:DRNTG_02146 transcript:DRNTG_02146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLLRQASPFPFQSRQQIILQNRPILTSKSMQNPRALNLKKNLSDFSSQSLKFVLSGALALGISLSGLGSVEAKVGVNKPELLPKEFSTVIDVAGFLSAGQENRLRQEIDNLEKDTGFKLRVLAQNYPDTPGLAIKDFWQVDDRTIVFVADPTFGNILNFNVGSTIDLDIPRSFWSRLAGKYGNIFYWKEKGEDASIEAAVMAISSCLKEPVGPNNCSDVK >DRNTG_33339.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10726849:10729789:1 gene:DRNTG_33339 transcript:DRNTG_33339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGLVKRLGHHHCLSVTQFSILLGLYEEAFTDTEEYSQLPMDYPGAFTPRRAYRMLYGQGQYEPGVSKATCLSRPVYRYLHALMSRSVSGRGDSTGVMSRQELLYLYSMVQRIPIHLGHIIAKYISHQGHYARLGAIFTGPYITRLVLGMGLLDTVRGAEKTSTPAPLSLETMRLMGMVRRVRTGVYVLVLPAPETVEEAEASQPASEPQPAPMEAEVPLEAKDAPTVHVSSPSRAHDRFERLESTVGVVRIEIAEARTEIAEMRATQATQYTELMACFDILQQILERDVASSFVLRPRTPQAPSVSSPPPPSPASKAPIDPPHVSLTQSRDSAEAPERGTDT >DRNTG_31948.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21525383:21526438:1 gene:DRNTG_31948 transcript:DRNTG_31948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQTTIINGFNNNTTTTPPHVVIVPLMAQGHIIPMLDMARLLAERGVLVTFITTPVNASRIKSIIARMHESNLHINFIEIPFPCAEAGLPLGCENCDLLPSKDLVVNFFDAIRLFDHSIEQRLKDLVPRPTCMINDMSNPWAANVARSLNIRRLVFHGPSCIYIYCSYVFQLHKIYETVTDEFEEIAVPGLTDNDDDVVSQSFKVCKLHAPGWFNAPGLEKLRDEALYAEETADGVVMNTFDDVEPMFVEAYKKVIGKDVWTVGPLCLYDKDDFCARIERGNKAAVDPEKLFGWLDSMEERSVLYVSFGSLARMKVGQILEIGSGLEASG >DRNTG_28656.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6156753:6161203:1 gene:DRNTG_28656 transcript:DRNTG_28656.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRQLEQELVKELRMAFMDENGMGPVVVDQKAVDSAPDTLEGLIAEMAASTSNYNQNMDVRAFIRKTKAMLMKMEQNMKSSKLQGLIYRHLASIGIPKSMHCLTLLLAEEYTINSLARSSLPPPEYASRLTDGSYIHIALLSDNILAASVVISSTLTSSANPENLVFHIVTDKKTYSAMHCWFALNPIFPAVVEVKGLHQFDWPADVNALVMETIEEIHWSSLAHHQYSGANEEYKRLEALNPSAFSLLNYLRIHLPELFPKLNKVIFLDDDVVVQQDLQSLWDLDLNGHVNGAVIAGEVVDDGYHHCMGKKYEDYLNFSNPILSSSTLGSEKQKCAWLSGMNVFDLQAWKRSNITRTYQQWLKQNRESGFHLWRAGPRPPGLIAFNGQVHQIDPSWHLSGLGRRMPELELLQTSAVIHFSGPRKPWLEIGFPELRSLWQRHINYSNEFVRSCRVRE >DRNTG_28656.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6156753:6161203:1 gene:DRNTG_28656 transcript:DRNTG_28656.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLCFTAEVMKPKDRTTARRYSYRSVLPTVLVAGVLLPFFFIRAAFLALDAGASFCPSIGCLRWKLGPRFFRAGDPSMELVKELRMAFMDENGMGPVVVDQKAVDSAPDTLEGLIAEMAASTSNYNQNMDVRAFIRKTKAMLMKMEQNMKSSKLQGLIYRHLASIGIPKSMHCLTLLLAEEYTINSLARSSLPPPEYASRLTDGSYIHIALLSDNILAASVVISSTLTSSANPENLVFHIVTDKKTYSAMHCWFALNPIFPAVVEVKGLHQFDWPADVNALVMETIEEIHWSSLAHHQYSGANEEYKRLEALNPSAFSLLNYLRIHLPELFPKLNKVIFLDDDVVVQQDLQSLWDLDLNGHVNGAVIAGEVVDDGYHHCMGKKYEDYLNFSNPILSSSTLGSEKQKCAWLSGMNVFDLQAWKRSNITRTYQQWLKQNRESGFHLWRAGPRPPGLIAFNGQVHQIDPSWHLSGLGRRMPELELLQTSAVIHFSGPRKPWLEIGFPELRSLWQRHINYSNEFVRSCRVRE >DRNTG_10875.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20005367:20007510:-1 gene:DRNTG_10875 transcript:DRNTG_10875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSMSMSMHMPTLKNSSKHSFILFILSLSFFYLFYSLSLLHHSTIPPPPSPSRNAPSPTTLDHIVFGIASSSSLWPSRQHYINLWFRPPMRGFVWLDNPLTNSTTNTSSSSLILKLSTNTSSFPYTHKHGSRAALRLSRIVSETLHLNLPNVRWFVMCDDDTVFLPSNLLTLLNSFDHSQPYYIGSLSESHLQNIYFSYSMAYGGAGFAISYPLALSLSRFQDQCLHRYPSLYGSDDRIQACMAELGVPLTRHPGFHQYDVYGDLLGLLAAHPVAPLISLHHLDVVKPIFPSSPSRVAALQRLLAGPVSLDSAGTMQQSICYDKLNQWTVSVSWGFVIQIVRGVMSPREMEMPARTFLNWYPRADYTAYAFNTRPVARNPCQRPVFYYLLSASYDVRLRTTVTVYERHRDAQPTCRWKIEDPSQHINTVIVYKKPDPDLWNRAPRRNCCRVKPGGGERTMVVDVGVCRDGEISEI >DRNTG_10875.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20005367:20007510:-1 gene:DRNTG_10875 transcript:DRNTG_10875.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSMSMSMHMPTLKNSSKHSFILFILSLSFFYLFYSLSLLHHSTIPPPPSPSRNAPSPTTLDHIVFGIASSSSLWPSRQHYINLWFRPPMRGFVWLDNPLTNSTTNTSSSSLILKLSTNTSSFPYTHKHGSRAALRLSRIVSETLHLNLPNVRWFVMCDDDTVFLPSNLLTLLNSFDHSQPYYIGSLSESHLQNIYFSYSMAYGGAGFAISYPLALSLSRFQDQCLHRYPSLYGSDDRIQACMAELGVPLTRHPGFHQYDVYGDLLGLLAAHPVAPLISLHHLDVVKPIFPSSPSRVAALQRLLAGPVSLDSAGTMQQSICYDKLNQWTVSVSWGFVIQIVRGVMSPREMEMPARTFLNWY >DRNTG_06892.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14055078:14055880:-1 gene:DRNTG_06892 transcript:DRNTG_06892.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLNLILRKCKSLSKQLGRSSSYSSLRSKSNREEGDELWRHISTGDDAVVLVGSSRRRYMISSKYLNHPLFNALIEKSSMSGDNTFAVKCEVVLFDHLLWMLDNADIDCGSLEELAELYVF >DRNTG_06892.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14055078:14055815:-1 gene:DRNTG_06892 transcript:DRNTG_06892.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLNLILRKCKSLSKQLGRSSSYSSLRSKSNREEGDELWRHISTGDDAVVLVGSSRRRYMISSKYLNHPLFNALIEKSSMSGDNTFAVKCEVVLFDHLLWMLDNADIDCGSLEELAELYVF >DRNTG_06892.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14054904:14055815:-1 gene:DRNTG_06892 transcript:DRNTG_06892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLNLILRKCKSLSKQLGRSSSYSSLRSKSNREEGDELWRHISTGDDAVVLVGSSRRRYMISSKYLNHPLFNALIEKSSMSGDNTFAVKCEVVLFDHLLWMLDNADIDCGSLEELAELYVF >DRNTG_06892.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14055017:14055776:-1 gene:DRNTG_06892 transcript:DRNTG_06892.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLNLILRKCKSLSKQLGRSSSYSSLRSKSNREEGDELWRHISTGDDAVVLVGSSRRRYMISSKYLNHPLFNALIEKSSMSGDNTFAVKCEVVLFDHLLWMLDNADIDCGSLEELAELYVF >DRNTG_06892.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14055078:14055776:-1 gene:DRNTG_06892 transcript:DRNTG_06892.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLNLILRKCKSLSKQLGRSSSYSSLRSKSNREEGDELWRHISTGDDAVVLVGSSRRRYMISSKYLNHPLFNALIEKSSMSGDNTFAVKCEVVLFDHLLWMLDNADIDCGSLEELAELYVF >DRNTG_06892.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14055017:14055815:-1 gene:DRNTG_06892 transcript:DRNTG_06892.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLNLILRKCKSLSKQLGRSSSYSSLRSKSNREEGDELWRHISTGDDAVVLVGSSRRRYMISSKYLNHPLFNALIEKSSMSGDNTFAVKCEVVLFDHLLWMLDNADIDCGSLEELAELYVF >DRNTG_06892.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14054904:14055776:-1 gene:DRNTG_06892 transcript:DRNTG_06892.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLNLILRKCKSLSKQLGRSSSYSSLRSKSNREEGDELWRHISTGDDAVVLVGSSRRRYMISSKYLNHPLFNALIEKSSMSGDNTFAVKCEVVLFDHLLWMLDNADIDCGSLEELAELYVF >DRNTG_30992.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13860838:13861836:1 gene:DRNTG_30992 transcript:DRNTG_30992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGNCANDSANARSAKGKRGTPNKRWKAKFDNFLIPVLVEQANKGLKCDKSFKRVAFAHAASAVNTKFNTDFTAENVENHYRTLKARYVEIKKARDLSGAGWDNETKMITLDPIVAFSYTEVYFFFDQPNSDDDGAGNSAPPIARSPATSSSMRSQRTKGSKDIPMMADLVTVVGEMAAAIRNPTHWSETLYSRVMEVEGFIEHVLEDVFDYLQERETEARKFMVKRLEMREAWVRKYLANLA >DRNTG_29904.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001515.1:4272:5610:1 gene:DRNTG_29904 transcript:DRNTG_29904.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYHRLKARRYVNKEIGKIKERLNEIKARRKAYVIENFGEDGDASSSMPVKGRNFLSQLDDADIVGRFNDEKILLERLLMNHHGQEQQQQQGSCVISIVGLGGIGKTTLAKKLYHNNAVSNHFHKRIWVTVSQKNSLKGLLKKMLIKVSGFVNNNLDYLEEHELIDMINDSLRRQRFLIVLDDIWRKDVCIQMQGIFRNVKNGSRVLITTRSLDVAKGADPTSTAYQLRVLNEDESLELLLKKAFPHDENPKANCSSELLDICCCLIRKCSGLPLALVVLGGLLEDKAPVEWSRVLETMNWETEGRECQEILALSYEDLPHHMKLCFLYFSAYPEDYDIMALS >DRNTG_24093.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11154350:11155894:1 gene:DRNTG_24093 transcript:DRNTG_24093.4 gene_biotype:protein_coding transcript_biotype:protein_coding SFSKHSSPSKQSNLSLGGFSDRRRQQLGSSFWKFGD >DRNTG_24093.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11154350:11155894:1 gene:DRNTG_24093 transcript:DRNTG_24093.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFSKHSSPSKQSNLSLGGFSDRRRQQLGSSFWKFGD >DRNTG_27180.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:646814:648064:1 gene:DRNTG_27180 transcript:DRNTG_27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFYHGAPEIQSDSLQTLQFMNPGFSGYSDTSAPANMVLLNSSTLSSLNPVSLSQSQQQHFVGIPLQTTTQETANPHEISTMNGFIPRLHYNLWTPTPTNNTIDISTSSMPLAQQGSLSLSLSSQQQAPYHYHSEPAEIHTTPVSSSVSFINGGIMSSSQSHVLMGSKYLKVAQQLLDEVASVGKCGVVEGDDQTVKKSSSSKVSGYNSKDQSDKKEEGETSVKRAVDLTTVEKQDLQMKKAKLVTMLDE >DRNTG_02827.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20153256:20156095:-1 gene:DRNTG_02827 transcript:DRNTG_02827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFSAGPEKSIQTGEGFDGNEVLVPMIIKGLFRRYERWNPVHPTMGTFWGMGIGIGCGVGWGPGFGPEVIGYVGAGCGVGFSVGITLVGFGFGIGLPSNYLMRVRSNALSARRSDALESRTSDTSVALKTMAEDSWNHLIVPSVSFLQKETHRRFSGLKASIPSKQLSKHIASTFECIKTFNQGRWPREEDT >DRNTG_13563.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29463807:29464366:-1 gene:DRNTG_13563 transcript:DRNTG_13563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQEQDVQTQPHAAHDHESRTPAKRGNAFGLALALSGVVGNFIVYLIKYYNFKKMDAALLANIMHGTSSFLPLLGAVLSDSFFGCFVIVTFSTVASLCVNHIYI >DRNTG_31278.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10920161:10922225:-1 gene:DRNTG_31278 transcript:DRNTG_31278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVIVISLPLLLLIVILALACFLCGRAKGRYETHRSTQFYCPPAPAPPLPIMAKEKVVNALKSKKEAKIGYKDTMLGVLVQFKDQDTRGVHERGDTSQRYVDEEKSYSLPHGRVPGHVALREECLDRDYGEYYGNSCSPPGRMENPHGHVGARDRRGLSPINSRFALFFLIFCAALEE >DRNTG_05718.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1868535:1879296:-1 gene:DRNTG_05718 transcript:DRNTG_05718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGEPYRFLGDPCLSNNKFNISSLLRGGYTNAIDITLIYAFELQVAVLKAGVGSSNQAKDLNPEHVMGRLLADSASDEAGEKLSGRRSQRIRRANTRMEGFVTGVATPTLAIKAGPGLPTLGPPVGYVEPDCVTPQEVQESIGLGWSGDQDSVVPLLGSRTLVRELAHEMKHSITVPYRAWFYKDQPAFLFQHEDGFFGLNAAPTSRIGLSEFLPSFLTQLHSTLPTSKWFIGIHVADRALHQVVRVRFSAEGTFLGNVSGGCVRVVPAPMCARAPPPLAPPRLVKAPDGLAWPSVPLVKKKNFTPL >DRNTG_21549.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:302709:303091:1 gene:DRNTG_21549 transcript:DRNTG_21549.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGFNKEIHSSNEIFRSRSHTNIQQQNEKSLNLSQLIGNPTEQSTAHRRRTADPHPFLSAGFQSSDTSSIILPLPASFFLYSLFSVRTYPFNRELGCLSLAQRPTLGSDLV >DRNTG_05915.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5071291:5071815:-1 gene:DRNTG_05915 transcript:DRNTG_05915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALGPGKFYGSSLPRPRFYSDVKLSPERIDPPPPVMEPFLEWAREAHWSMGGLSSQRHRLQGRIEGSIKKLKAQAEYKSLIPKHKMKTTIIPDPDPIESDSSEDGSASPLIRERKRARRLWAEFEQAAEDEGIASRTRSRVPTPRPSEKKTKKETKETVNSEPRRTSPRKKN >DRNTG_23844.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001280.1:2075:3800:1 gene:DRNTG_23844 transcript:DRNTG_23844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCHSPKQLQYLMHYKIHLGNLIGKKKAEETIRNAIFVVSAGTNDFIANYYLELNRSTQFTVPQYENYLIKSMSKYIKTMHRLGGSRFAIVGTPPMGCLPVVRALISTDGQCFDKYNNVAKSFNSKIVAQVSTLEKLLQVRMVYLDIYHPLFEAIHNPIKFGFKETSKGCVGSGTMEAGEAAKGMKTCKDPAKYVFWDAVHPTERMYKIIADEAMRDIVVKL >DRNTG_05563.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000254.1:24691:26763:-1 gene:DRNTG_05563 transcript:DRNTG_05563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINHNPSHEGQIQKKKSQTQHAQRIKQSFHNTRIKLKNMEE >DRNTG_12966.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30480768:30485134:-1 gene:DRNTG_12966 transcript:DRNTG_12966.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSKISALRFPWLIIGDLNTIVCHNEQRGGSFRYYSRKASALIDFIDNNNLIDLNFSGCRYTWCNNQTGLSRQWARLDHGLVNFAWFSIFSTYSLVHLHRISSDHAPLCLPLSSLPSRHKINFKFNNFWLEYLGCIDAIREAWSSSPNDNPLHDFTHRLSRARANIISWRFAGLTPLDAALKETEDSIHFLEAIDSLDITITDCLMHNYNRFESLQHQNSLRWAQRAHLL >DRNTG_12966.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30480768:30485134:-1 gene:DRNTG_12966 transcript:DRNTG_12966.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCDQQLVLTWTVLIASVPSLLVNGIAPRYLLKVFLVELSYCGIRISTKFLCLRQKLWMELSKISALRFPWLIIGDLNTIVCHNEQRGGSFRYYSRKASALIDFIDNNNLIDLNFSGCRYTWCNNQTGLSRQWARLDHGLVNFAWFSIFSTYSLVHLHRISSDHAPLCLPLSSLPSRHKINFKFNNFWLEYLGCIDAIREAWSSSPNDNPLHDFTHRLSRARANIISWRFAGLTPLDAALKETEDSIHFLEAIDSLDITITDCLMHNYNRFESLQHQNSLRWAQRAHLL >DRNTG_04897.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11389048:11389805:1 gene:DRNTG_04897 transcript:DRNTG_04897.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLSAVAGSILSPLTDICLENLIDYLWKYLSSSPSSSSSEEAEKQQQLKDSLEALEDSKWFVESVNSRIMMLFEKHKQNNRVVNLHAKLKGVGYDIQDLESEMKYMELERKVEEINKADQKDDTTSGQSSRGGLKRLIPFRLPTSSSSEKKRRLPTAAQSSTLS >DRNTG_08351.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4055229:4055861:1 gene:DRNTG_08351 transcript:DRNTG_08351.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHKYHICFPLVYRLIELALVLPVATATVERCFSTMNVVKTDLRNRLADELLSDCLVCYIEKKIFISIDEETIMQRFQKLASRKNYLKPLKVPVLEVLHWLGKISCYSCTCV >DRNTG_08351.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4055119:4055861:1 gene:DRNTG_08351 transcript:DRNTG_08351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHKYHICFPLVYRLIELALVLPVATATVERCFSTMNVVKTDLRNRLADELLSDCLVCYIEKKIFISIDEETIMQRFQKLASRKNYLKPLKVPVLEVLHWLGKISCYSCTCV >DRNTG_08351.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4055119:4055590:1 gene:DRNTG_08351 transcript:DRNTG_08351.3 gene_biotype:protein_coding transcript_biotype:protein_coding LILGHNSTNKMTIQTPENTYYPSTQN >DRNTG_31299.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1206546:1207451:1 gene:DRNTG_31299 transcript:DRNTG_31299.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFTRPWLPRNILNARIEAFLKAGGFDICFLNSELDDLDFSRVLSHLFDVSSDAALAFYFFRLYQRSHGIQHNLRTLCTLIHISVSGNLNHIAVNLLRRIVAELSEGEGEWIDLVFDILRDVSRERKDLEIVYSMLVRCCLDAGKVGAALQLMERMKRFGFFPSVGVYVVLFETLLESGQLSLAWEVFVEMPSLDNCSSCMFLGLFVHAFVKHGDFGGAWKLVREMWSGGVGVDVFICTTVIHGLCKHGLLREGTCLLYKMLQMGV >DRNTG_31299.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1206509:1207451:1 gene:DRNTG_31299 transcript:DRNTG_31299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRCLRCKPRAFNPIHRLLRRSSHSRSPPLDPPSRMHSFTRPWLPRNILNARIEAFLKAGGFDICFLNSELDDLDFSRVLSHLFDVSSDAALAFYFFRLYQRSHGIQHNLRTLCTLIHISVSGNLNHIAVNLLRRIVAELSEGEGEWIDLVFDILRDVSRERKDLEIVYSMLVRCCLDAGKVGAALQLMERMKRFGFFPSVGVYVVLFETLLESGQLSLAWEVFVEMPSLDNCSSCMFLGLFVHAFVKHGDFGGAWKLVREMWSGGVGVDVFICTTVIHGLCKHGLLREGTCLLYKMLQMGV >DRNTG_14695.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6001445:6002264:1 gene:DRNTG_14695 transcript:DRNTG_14695.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMIANGVAKIPGLSMIELKGAVHQFVAGDQSHPETQKIYSKLAEIFDRLKVEAGYLPDTKQVLLDIEEEEKENALFFHSEKLAIAIGLLYTSPDETIRVVKNLRVCRDCHHVMKIISKVYCREIIMRDRNRFHHFIRGACSCKGYW >DRNTG_14695.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5998833:6002390:1 gene:DRNTG_14695 transcript:DRNTG_14695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPESKNLGHLKQAHAHILKHFPSFQCSSFHLLHPLLSSYACAHLRSALNLLALLPHPTPFLFNSLIRSLSVSNHPHHSVVLFRQMLHLGPRPNNFTYPFLVKSCTAALSFRCGVMVHTHVVRSGLEIDPYIQSALICMYAGCKDVDSARKVFDGCSDWQTVCWNSMLDGYVKLGEIGQARVLFDRMGCKDVISWNTMINGLAILGELDDAQELFSQMPDRNVVSWNSMLAGHVKCGDVQGACKVFKEMPQRDIVSWNTMLACYAQSGHSSQALKLFDRMKSVGMKPTDATIVSLLSACAHLGALDQGRRLHDYIDGNNIELSTILATALVDMYAKCGSFAQAWQIFHGIEQKDLLAWNTMMAGMAMHGYAEDALRLFCEMTENGTMPDDITFVVILSACSHAGMVKEGRCLLNSMKEKYGIDPKLEHYGCVIDLLARSGLLEEAMELTRAMPMEPNAPAWGALLGGCRIHENIKIAEDVGKRLLNIQPSHSGRYVLLSNIYATVNRWEDARNVRSMMIANGVAKIPGLSMIELKGAVHQFVAGDQSHPETQKIYSKLAEIFDRLKVEAGYLPDTKQVLLDIEEEEKENALFFHSEKLAIAIGLLYTSPDETIRVVKNLRVCRDCHHVMKIISKVYCREIIMRDRNRFHHFIRGACSCKGYW >DRNTG_14695.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5998833:6002264:1 gene:DRNTG_14695 transcript:DRNTG_14695.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPESKNLGHLKQAHAHILKHFPSFQCSSFHLLHPLLSSYACAHLRSALNLLALLPHPTPFLFNSLIRSLSVSNHPHHSVVLFRQMLHLGPRPNNFTYPFLVKSCTAALSFRCGVMVHTHVVRSGLEIDPYIQSALICMYAGCKDVDSARKVFDGCSDWQTVCWNSMLDGYVKLGEIGQARVLFDRMGCKDVISWNTMINGLAILGELDDAQELFSQMPDRNVVSWNSMLAGHVKCGDVQGACKVFKEMPQRDIVSWNTMLACYAQSGHSSQALKLFDRMKSVGMKPTDATIVSLLSACAHLGALDQGRRLHDYIDGNNIELSTILATALVDMYAKCGSFAQAWQIFHGIEQKDLLAWNTMMAGMAMHGYAEDALRLFCEMTENGTMPDDITFVVILSACSHAGMVKEGRCLLNSMKEKYGIDPKLEHYGCVIDLLARSGLLEEAMELTRAMPMEPNAPAWGALLGGCRIHENIKIAEDVGKRLLNIQPSHSGRYVLLSNIYATVNRWEDARNVRSMMIANGVAKIPGLSMIELKGAVHQFVAGDQSHPETQKIYSKLAEIFDRLKVEAGYLPDTKQVLLDIEEEEKENALFFHSEKLAIAIGLLYTSPDETIRVVKNLRVCRDCHHVMKIISKVYCREIIMRDRNRFHHFIRGACSCKGYW >DRNTG_14695.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5998833:6000479:1 gene:DRNTG_14695 transcript:DRNTG_14695.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPESKNLGHLKQAHAHILKHFPSFQCSSFHLLHPLLSSYACAHLRSALNLLALLPHPTPFLFNSLIRSLSVSNHPHHSVVLFRQMLHLGPRPNNFTYPFLVKSCTAALSFRCGVMVHTHVVRSGLEIDPYIQSALICMYAGCKDVDSARKVFDGCSDWQTVCWNSMLDGYVKLGEIGQARVLFDRMGCKDVISWNTMINGLAILGELDDAQELFSQMPDRNVVSWNSMLAGHVKCGDVQGACKVFKEMPQRDIVSWNTMLACYAQSGHSSQALKLFDRMKSVGMKPTDATIVSLLSACAHLGALDQGRRLHDYIDGNNIELSTILATALVDMYAKCGSFAQAWQIFHGIEQKDLLAWNTMMAGMAMHGYAEDALRLFCEMTENGTMPDDITFVVILSACSHAGMVKEGRCLLNSMKEKYGIDPKLEHYGCVIDLLARSGLLEEAMELTRAMPMEPNAPAWGALLGGCRIHENIKIAEDVGKRLLNIQPSHSGR >DRNTG_28060.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23095030:23095284:-1 gene:DRNTG_28060 transcript:DRNTG_28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMCNLHYADDLLVLTTGGLEDLWVVKLILLVFEGMTGLATNFSKTCLYSSSRDLLPDQAAADTISCGRGVLPVTYLGIPISGC >DRNTG_25558.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3573090:3574013:-1 gene:DRNTG_25558 transcript:DRNTG_25558.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDRSSGDISTSVGQYCGGERGGEDFQRRRPES >DRNTG_25558.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3572526:3574013:-1 gene:DRNTG_25558 transcript:DRNTG_25558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRGVLQMLEELGGNDLPAVNDMDENAIFQMIWGMPFWKVMQILEGKLELLNLRIPPLFVTL >DRNTG_18972.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22777585:22792295:1 gene:DRNTG_18972 transcript:DRNTG_18972.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGLLSSHPDPRKKKTSKGDQIEGTSVKPLLINDWSDAQIIQYCSACGINFSDNVHACINDIRLLERSRLALGPAETSTERFLVKDFLNLHYAGICCIQESKLEVISPALWREIGGVFLDKFDYVPALGSTGGIIIGWNSSSLTGHTIKVAVYNLTVEFFSAQENLTWRCTTVYGPNERSLKQAFWEELKTCRGPPDLPWVICGDFNAIFSLEDKSSGPCNLVDIRSANDFLNEMDLIEPPAIGRRFTWTNGQQDPLWVKLDRFLVNTEWFGLFPKIIQNFLPRIGSDHVPIRLECGQHCFIRKQFRFENMWTSAEGFEDLIKLWWESLSPKGYDLLILTTGGLEDLRIIKLLLFTFEGMSGLETNFSKTCLYSSKWGELPVAEAAGTLSCQRARKNTTD >DRNTG_25909.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19889327:19895274:-1 gene:DRNTG_25909 transcript:DRNTG_25909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVEAAAEAVADLSIADSSPSAPQALSKNAKKKEEKMKKKEQERLEKKKEVQAAGPVKTQKASAADDEDMDPTQYYENRLKTLSSLKAAGLNPYPHKFHVSISIAEYIDKYGSLSDGAHLEDVEVSISGRIMTIRSSSSKLYFYDLHGGGLKVQVMADARNSELDEVEFSRFHSGVKRGDIVGICGHPGKSKKGELSIFPKKMVVLSSCLHMMPRQKAAPRVANQNEGKAPVTQWAPGMTRNPETYVLKDQETRYRQRYLDLMLNNEVRGIFQTRSKVISYIRRFLDGLNFLEVETPMMNMIPGGAAAKPFVTHHNDLNMKLFMRIAPELYLKQLVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFLYGVC >DRNTG_15905.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6036679:6037400:1 gene:DRNTG_15905 transcript:DRNTG_15905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQLQHPCNECKGTGETIDDKDRCPQCKGEKVVQEKKVLKVVVEKEMKNGQKITFPGEADEVSDCIRGDIVFVLQ >DRNTG_28034.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26238564:26247990:1 gene:DRNTG_28034 transcript:DRNTG_28034.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYPTIFSICSQISLFSFEEKVTFFELYYEVSPLTHLGLQCILKAHDSQFHCNGTYHLSSQNTGKEGKDRKESTGK >DRNTG_09888.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:171824:174263:1 gene:DRNTG_09888 transcript:DRNTG_09888.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNSRNGGRIYHAGGERECSCTSRLTLSTLSNIALSNARGVSLTHKVANKKSQPSVTLGEMLIQSSIQEDTLDKCLCDMNAKSSIRPFTLLWTQRTLGTEGRELAPMGDLVKPALVWVISTCPCKTLQRSSLHPEKPQGCVITSDVQRSHRGVSLPLWIVRTGVGIFRTPVQEHSESVSVFPKSTQGRAPTSVKLFCEGARIMAPRSKKQADKWPRESSSESEDMSFTIPEHRVHFERLSRVRFGQTQFLDTSILRDLPYESSH >DRNTG_07246.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9474055:9481244:1 gene:DRNTG_07246 transcript:DRNTG_07246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESNPPDHELYAHDGAGAAGGGAEKMDVASDMPSDQEHLGEAVDAAMPLMSVTSNQLTLLFQGEVYVFDSVSPEKVQAVLLLLGGCEVPTGIAGMTLPCHQDDKGLDDLLRRTNIPAKRIASLIRFREKRKERCFDKKIRYNVRKEVALRMQRRKGQFAGKANPQEGASVPSTCELAQSSGQDDSLQESKCQNCGISEKMTPAMRRGPAGPRSLCNACGLMWANKGTLRNLSKVSKMGTPSPANNTNMLGESIDSDTGDNKPLILASENQDPVVNPSEIAANGMPGDMQHEGGNELKTKL >DRNTG_26512.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2333054:2335879:-1 gene:DRNTG_26512 transcript:DRNTG_26512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYIVYMGEKPKREISTHSLPFNLLDQVLDGIKIIGTRDYISNSTGHTPAIDVDGHGSHTASTAAGRSVANVSLYGLAEGDARGGVPGARLAIYKACWYGGCRGADILAAFDDAIADGVDIISISVGGKPNDYFEDEIAIGSFHAMKNGILTCASSGNDGPNLSSVANVAPWLISVAASSIDRHIVDKLVIGENKTIEGVSVNPFPSLTKSFPFVYDSSCVPDDMNDDLLEESVLLCDGDLGAIGELEDMVKGVVMIDDSYLDYGFQYPLPALDVSNAVGEEIKKYINETKNPIAKILKSQEIFDANAPVVVSFSSRGPNIITPDILKPDISAPGVNIIAAWSPNASVSENDADNRSVVYNIQSGTSMACPHVSGVAAYVKSFHPFWSPSAIMSAIITTATPIKATHNLEAELAYGAGQLNPVKALNPGLVYEATEADYVQMLCNQGYNAKNLRIITGNNSTCNSRNNDIGSTVRDLNYPSMAFNATPGKPVSVNFSRIVTNVGNKKSIYKAVITSNSELKISVNPNALHFESLNQKLKFTVTVSGPQMRPKSVASAALVWSDGQYSVRSPILVYTM >DRNTG_02144.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28937999:28938415:-1 gene:DRNTG_02144 transcript:DRNTG_02144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLYHLRLSAQSINDITTTPASPDSLLIAFAGPSGFSLVDAICHVARSVRARVVLITARPESESEACWYTDAIAYLPVRMMDDDEEEGESGAPTAVRLLMGSLYEVALFVLFEMLVLRLGELLDQSLAQLRALHTNLD >DRNTG_27866.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7777507:7777808:1 gene:DRNTG_27866 transcript:DRNTG_27866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVGFILLATCLSCLCWKLRQKHASKRAQFDKYMPLSSIQTATNNFADQNKLGEGGFGPVYK >DRNTG_32844.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001922.1:81546:84282:-1 gene:DRNTG_32844 transcript:DRNTG_32844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANGEKDEIETAAEDAAYAESEDQGGRVFICRHSLRR >DRNTG_25999.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:980100:983538:1 gene:DRNTG_25999 transcript:DRNTG_25999.7 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNNLISLGSFSNFPPLRSLCKYLMVATGRASVFIVRAKIKTVIKVWDHAVGVICVHEAGGQVCPLQFSLLFLENTLPVIDQIPR >DRNTG_25999.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:980100:983538:1 gene:DRNTG_25999 transcript:DRNTG_25999.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLDPIDGTRGFLKGIEALYVVGLALVVEGKIILGVMGCPNWKEDSLSPKSSDNETGISGSGVVMVAHVGCGTWTRRLFQDVMSNLMICQDGWKRCFVDGCKLVHEARFCIPESQTWDSLPFSVSFSSTTDAQSVTDEGKVLVLPTCCGSLCKYLMVATGRASVFIVRAKIKTVIKVWDHAVGVICVHEAGGQVTDWSGSPLNLSVDKAERRILYPSGGVLVSNGRLNEQLLKIISSNSSVL >DRNTG_25999.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:980100:983538:1 gene:DRNTG_25999 transcript:DRNTG_25999.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMFLLHLHSRVSVSRFGIAQSPTRSLLTRASLPFPPEKAQFHGELEAAVDVVERACRLCVDVKKSLLSSKGRIFEKNDQTPVTIADFGVQALISLELGRLFPSIPLVAEEDSAFLRSSTADSEKDGDTSLADLVLSAVLEKASDGYKSLTMDNVLKAIDRGGKDAFSFDSKPATYWVLDPIDGTRGFLKGIEALYVVGLALVVEGKIILGVMGCPNWKEDSLSPKSSDNETGISGSGVVMVAHVGCGTWTRRLFQDVMSNLMICQDGWKRCFVDGCKLVHEARFCIPESQTWDSLPFSVSFSSTTDAQSVTDEGKVLVLPTCCGSLCKYLMVATGRASVFIVRAKIKTVIKVWDHAVGVICVHEAGGQVTDWSGSPLNLSVDKAERRILYPSGGVLVSNGRLNEQLLKIISSNSSVL >DRNTG_25999.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:980100:983538:1 gene:DRNTG_25999 transcript:DRNTG_25999.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLDPIDGTRGFLKGIEALYVVGLALVVEGKIILGVMGCPNWKEDSLSPKSSDNETGISGSGVVMVAHVGCGTWTRRLFQDVMSNLMICQDGWKRCFVDGCKLVHEARFCIPESQTWDSLPFSVSFSSTTDAQSVTDEGKVLVLPTCCGSLCKYLMVATGRASVFIVRAKIKTVIKVWDHAVGVICVHEAGGQVTDWSGSPLNLSVDKAERRILYPSGGVLVSNGRLNEQLLKIISSNSSVL >DRNTG_25999.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:980100:984190:1 gene:DRNTG_25999 transcript:DRNTG_25999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLDPIDGTRGFLKGIEALYVVGLALVVEGKIILGVMGCPNWKEDSLSPKSSDNETGISGSGVVMVAHVGCGTWTRRLFQDVMSNLMICQDGWKRCFVDGCKLVHEARFCIPESQTWDSLPFSVSFSSTTDAQSVTDEGKVLVLPTCCGSLCKYLMVATGRASVFIVRAKIKTVIKVWDHAVGVICVHEAGGQVCPLQFSLLFLENTLPVIDQIPR >DRNTG_25999.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:980100:983538:1 gene:DRNTG_25999 transcript:DRNTG_25999.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVLKAIDRGGKDAFSFDSKPATYWVLDPIDGTRGFLKGIEALYVVGLALVVEGKIILGVMGCPNWKEDSLSPKSSDNETGISGSGVVMVAHVGCGTWTRRLFQDVMSNLMICQDGWKRCFVDGCKLVHEARFCIPESQTWDSLPFSVSFSSTTDAQSVTDEGKVLVLPTCCGSLCKYLMVATGRASVFIVRAKIKTVIKVWDHAVGVICVHEAGGQVTDWSGSPLNLSVDKAERRILYPSGGVLVSNGRLNEQLLKIISSNSSVL >DRNTG_25999.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:980100:983538:1 gene:DRNTG_25999 transcript:DRNTG_25999.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLDPIDGTRGFLKGIEALYVVGLALVVEGKIILGVMGCPNWKEDSLSPKSSDNETGISGSGVVMVAHVGCGTWTRRLFQDVMSNLMICQDGWKRCFVDGCKLVHEARFCIPESQTWDSLPFSVSFSSTTDAQSVTDEGKVLVLPTCCGSLCKYLMVATGRASVFIVRAKIKTVIKVWDHAVGVICVHEAGGQVTDWSGSPLNLSVDKAERRILYPSGGVLVSNGRLNEQLLKIISSNSSVL >DRNTG_25632.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21918317:21930000:1 gene:DRNTG_25632 transcript:DRNTG_25632.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTFFNLMMSVLINFLFSLFALQQVSLQISEVYKPIQDPLISKCSKIIGMDEDQAVKRSSLVAAAKQIWSKAFPKPRRSWMPTGCLQLLEVLHWALPKMSLIASDFSYLPDITVSGDRAPLVSTKKSGRTKDHSNYLDAKGDADIFFPTDFWLLEKIDHYCSGWSNEHRESRSSKAVKKRRTITLDTAAFMEEFGLPTKTRTKSGYNPLLDDFKNTKFYLSVPTHHTS >DRNTG_25632.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21918317:21930000:1 gene:DRNTG_25632 transcript:DRNTG_25632.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVLDNLPHDLVFSPNQSSPWMEVWLEQNHDSLQISEVYKPIQDPLISKCSKIIGMDEDQAVKRSSLVAAAKQIWSKAFPKPRRSWMPTGCLQLLEVLHWALPKMSLIASDFSYLPDITVSGDRAPLVSTKKSGRTKDHSNYLDAKGDADIFFPTDFWLLEKIDHYCSGWSNEHRESRSSKAVKKRRTITLDTAAFMEEFGLPTKTRTKSGYNPLLDDFKNTKFYLSVPTHHTS >DRNTG_25632.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21918317:21922234:1 gene:DRNTG_25632 transcript:DRNTG_25632.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLDKLYKQHDIAWFTPVELFKPWYAHGIAEAIMRTADLSIPLKIYEIGGGSGTCAKCIMDYIMLNAPPRVYNNMIYTYGNFLASMYFCLVLTSAV >DRNTG_25632.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21923053:21930000:1 gene:DRNTG_25632 transcript:DRNTG_25632.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWLEQNHDSLQISEVYKPIQDPLISKCSKIIGMDEDQAVKRSSLVAAAKQIWSKAFPKPRRSWMPTGCLQLLEVLHWALPKMSLIASDFSYLPDITVSGDRAPLVSTKKSGRTKDHSNYLDAKGDADIFFPTDFWLLEKIDHYCSGWSNEHRESRSSKAVKKRRTITLDTAAFMEEFGLPTKTRTKSGYNPLLDDFKNTKFYLSVPTHHTS >DRNTG_25632.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21919518:21930000:1 gene:DRNTG_25632 transcript:DRNTG_25632.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTFFNLMMSVLINFLFSLFALQQVSLQISEVYKPIQDPLISKCSKIIGMDEDQAVKRSSLVAAAKQIWSKAFPKPRRSWMPTGCLQLLEVLHWALPKMSLIASDFSYLPDITVSGDRAPLVSTKKSGRTKDHSNYLDAKGDADIFFPTDFWLLEKIDHYCSGWSNEHRESRSSKAVKKRRTITLDTAAFMEEFGLPTKTRTKSGYNPLLDDFKNTKFYLSVPTHHTS >DRNTG_25632.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21921570:21930000:1 gene:DRNTG_25632 transcript:DRNTG_25632.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDYIMLNAPPRVYNNMIYTSVEISSSLAEKQLETVGEVQSHFSRFKVECRDATDKNGWGHVNSHPCWVIMLEVLDNLPHDLVFSPNQSSPWMEVWLEQNHDSLQISEVYKPIQDPLISKCSKIIGMDEDQAVKRSSLVAAAKQIWSKAFPKPRRSWMPTGCLQLLEVLHWALPKMSLIASDFSYLPDITVSGDRAPLVSTKKSGRTKDHSNYLDAKGDADIFFPTDFWLLEKIDHYCSGWSNEHRESRSSKAVKKRRTITLDTAAFMEEFGLPTKTRTKSGYNPLLDDFKNTKFYLSVPTHHTS >DRNTG_25632.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21923053:21930000:1 gene:DRNTG_25632 transcript:DRNTG_25632.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVLDNLPHDLVFSPNQSSPWMEVWLEQNHDSLQISEVYKPIQDPLISKCSKIIGMDEDQAVKRSSLVAAAKQIWSKAFPKPRRSWMPTGCLQLLEVLHWALPKMSLIASDFSYLPDITVSGDRAPLVSTKKSGRTKDHSNYLDAKGDADIFFPTDFWLLEKIDHYCSGWSNEHRESRSSKAVKKRRTITLDTAAFMEEFGLPTKTRTKSGYNPLLDDFKNTKFYLSVPTHHTS >DRNTG_25632.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21918317:21930000:1 gene:DRNTG_25632 transcript:DRNTG_25632.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLDKLYKQHDIAWFTPVELFKPWYAHGIAEAIMRTADLSIPLKIYEIGGGSGTCAKCIMDYIMLNAPPRVYNNMIYTSVEISSSLAEKQLETVGEVQSHFSRFKVECRDATDKNGWGHVNSHPCWVIMLEVLDNLPHDLVFSPNQSSPWMEVWLEQNHDSLQISEVYKPIQDPLISKCSKIIGMDEDQAVKRSSLVAAAKQIWSKAFPKPRRSWMPTGCLQLLEVLHWALPKMSLIASDFSYLPDITVSGDRAPLVSTKKSGRTKDHSNYLDAKGDADIFFPTDFWLLEKIDHYCSGWSNEHRESRSSKAVKKRRTITLDTAAFMEEFGLPTKTRTKSGYNPLLDDFKNTKFYLSVPTHHTS >DRNTG_33003.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26225841:26236972:-1 gene:DRNTG_33003 transcript:DRNTG_33003.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKDVQKSKYNIADGMRQMFEPFERVARAHHICPCCERAFSPEEEDEFVKKQRVKSSSSAEHMKKLAEESSNSESQFHQLDKLRMVYEESVKLGKEAIPFAEKSLNQLMEDLNEKSQALDDLVVVLAQVKAEKDAVEGLLQPVETFEKFLGDRKSLEEQIEDLEYKLDVRGQGVKSVEEVVLQLNALQNKRETLNQEVENLRDEQRYLNLDISNLQTRWHSVREEKLKATNILNKIRKTEEELVRLAEENEQLDLDEKHLAEDLVSVVKEKDTSLLEYNELKSRLEQEYDEMAENKRVFQQELEKLLALASKIKEYQDSRKGEKLKDLQDKHSLSKSQLQKCESMKQQISADLNKSKELMRNQDHLKRNIDDNLNYRKTKAEVEELTCEIESLEEKVLSIGGASAVEADLKRHLQEKERFLSELNRCQGTLSVYQSNISKNKVELKQTQYNDIDRRYFNQLIQLKTTEMANKDLDRYYNALDNLPHRSSYP >DRNTG_33003.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26225841:26236972:-1 gene:DRNTG_33003 transcript:DRNTG_33003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEDAESHLSKLQKDKDAKRRFLDLKLQSLVSVACDIESFPDVLVEAMGKKDVQKSKYNIADGMRQMFEPFERVARAHHICPCCERAFSPEEEDEFVKKQRVKSSSSAEHMKKLAEESSNSESQFHQLDKLRMVYEESVKLGKEAIPFAEKSLNQLMEDLNEKSQALDDLVVVLAQVKAEKDAVEGLLQPVETFEKFLGDRKSLEEQIEDLEYKLDVRGQGVKSVEEVVLQLNALQNKRETLNQEVENLRDEQRYLNLDISNLQTRWHSVREEKLKATNILNKIRKTEEELVRLAEENEQLDLDEKHLAEDLVSVVKEKDTSLLEYNELKSRLEQEYDEMAENKRVFQQELEKLLALASKIKEYQDSRKGEKLKDLQDKHSLSKSQLQKCESMKQQISADLNKSKELMRNQDHLKRNIDDNLNYRKTKAEVEELTCEIESLEEKVLSIGGASAVEADLKRHLQEKERFLSELNRCQGTLSVYQSNISKNKVELKQTQYNDIDRRYFNQLIQLKTTEMANKDLDRYYNALDKALMRFHTMKMEEINKIIRELWQQTYRGQDIDYISIHSDSEGAGTRSYSYKVVMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAAALVRIMEDRKGQENFQLIVITHDERFAQMIGQRQHAEKYYRVAKDEHQHSIIEAQEIFD >DRNTG_20725.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17858666:17860426:-1 gene:DRNTG_20725 transcript:DRNTG_20725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSYNPINILNGEITSNNTTSSFLDLINGTTNDTNCISFDSTTTTTINASMENKIIKDKKKKKKKRKHIDDTTSCFNSLPEEANYKEGDHQKKAKANDQEGFVHVRARRGQATDSHSLAERVRREKISERMKLLQGLVPGCDKVIGKALMLDEIINYVQSLQHQVEFLSMKLIATMNPMNNFNMDPYASYMITHHEELLVHQPNHTQPTAFQDTTNSYRMIQCPTAFLDLQDNGGYMMQMGGVLVNNMCSLN >DRNTG_08320.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000377.1:22640:27571:1 gene:DRNTG_08320 transcript:DRNTG_08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTISSFLIAALLAAAMQPSSSSRELNKKLRYSEESTTPDSQGWPGSAYIPDPRSFYKPGNNLGGNYGGGYGGGYGGPNGGYNKGGGGGYGGGYGGPNGGYSKGGVVIPSVVCSEPGPCYKKRLACPAKCFSYYSRSGRNYGGGGGGGGCTIDCEKNCVAYC >DRNTG_34259.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28148588:28151299:-1 gene:DRNTG_34259 transcript:DRNTG_34259.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heme oxygenase 2 [Source:Projected from Arabidopsis thaliana (AT2G26550) UniProtKB/TrEMBL;Acc:F4IUM0] MLSFGGASPAPLAYSYSLLSLPPLKILNPNPSSNLLSPNCIPFSGAISSGPPPPVSTVLSKRKRYRKTCPGEAEGIAQEMRFVAMRLRNSQSSTDDDGGDLWQPSLDGFLKYLVDSKLVFDTVERIVDESADVSYAYFRKTGLERSSGLSKDLEWFSQQGPVIPEQSSPGIAYARYLEELAEKSGPSFLCHFYNIYFAHIAGGQVIGKQACEKLSVGKELEFHKWQGDVQELLKDAREKLNKLGEYWTRYEKNRCLREAAKSFKFSGQIVRLIIL >DRNTG_09988.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21550340:21554333:1 gene:DRNTG_09988 transcript:DRNTG_09988.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILFVLFTILLILDAAPGRGACLESERKALLQFKHGLFDPENRLFSWQGNDCCTWRGIACDNQTGDVTSIDLHNPYPDVLNPFPNSTDGFWNLSGFIDSSLLELKSLKSLDLSYNSFGGIRVPAFIGSIKLLTYLNLSNAGFSGTIPAQLGNLSSLQYLDLSSSETPLSVDDLQWLSRLSSLTHLAMDSVDLSLIGPQWIHSIGRLSSLTELHMHSCWLSGIAQSLPVVNFTKLSVVDLSMNNFNSTIPGWFLNLSSLIHMDVGGAGLHGFIPVELSNLHNLRYLDLSMNVNLTADCSMLLSGGWRRIEYLNLIGNQVSGNLPVSVGDFTSLVWLNIAYNNLEGGIPSSIGKLCNLKILSLTGNNLTLELPQFLESGACSSQYPLPSLSSLELDYNQLTGVLPEWLGEIRNLQALFLNGNSIQGPIPPSIGNLSLLFALALAENNLNGTLPPTIGQLSKLKHFDVSSNQLTGIVSEAHFSKLSGLEYFSIFSNSLVINLSSSWVPPFQVQELRLGSSEVGPQFPAWLQNQTRLQQLDISNASISDSIPSWFWDLSSNLYLLNLSFNQIKGQLPNLISITPYSQLDMKSTS >DRNTG_20067.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1509231:1511278:1 gene:DRNTG_20067 transcript:DRNTG_20067.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEASKDATQRSGFPSSSTSQNQALGVDLAPNCALLAKSDMEMPQNPFSSLDRQWW >DRNTG_03010.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6739702:6740688:-1 gene:DRNTG_03010 transcript:DRNTG_03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMMSDELLGTFVPIVVYWLYSGLYIVLDGKAMDNYRLHTRAEEDVKNIVSKITVVKGVLIQQAFQIAVSLSLFTIISDDSGTVKAQPSLLVMVLQFLVAMVVMDTWQYFIHRYMHLNKFLYKHVHSKHHTLVVPYAFGALYNHPLEGLLLDTVGGALSFLVSGMTPRTGIFFFSFATIKTVDDHCGLWLPGNILHVFFSNNSAYHDVHHQLYGSKYNFSQPFFVMWDKILGTYMPYSLETRKEGGFEARPIKKD >DRNTG_09404.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5757292:5757922:1 gene:DRNTG_09404 transcript:DRNTG_09404.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLCSKTNHIYRNKTQIGNTNLIILNLDTPQTLKSRSVPRKPKKSHLKADPNNAEEITSSNPTIKPIYEEYKTREPRIRTETRTTTERSGTERGLRLMAERSEKESNGGDEKGSGDGGGEGGSAGGRGWCRSISSQSHRGEGEDRDGHHQELGDGLHCCRSASLGFGSTRRFWSEKEKGR >DRNTG_10227.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:64078:72037:-1 gene:DRNTG_10227 transcript:DRNTG_10227.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RH3 [Source:Projected from Arabidopsis thaliana (AT5G26742) UniProtKB/TrEMBL;Acc:A0A178UT03] MLAVGFEEDVEVILEKLPTKRQSMLFSATMPGWVKKLARKYLDNPLTIDLVGDQDEKLAEGIKLYAIPTTGTSKRTILSDLITVYAKGGKTIVFTQTKRDADEVSMALTNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVAARGLDIPNVDLIIHYELPNDPETFVHRSGRTGRAGKEGTAILMFTSSQRRTVKSLERDVGCRFEFISPPPIQDVLESSAEQVIATLQGVHPESIQFFLPTAQKLTERQGMNALAAALAHLSGFSQPPSSRSLISHEQGWVTLQFTREPGVSRGFVSARSVTGFLSDIYSAAADEVGKIYLIADDKVHGAVFDLPEKIAEELLDKKLPPGNTLSKITKLPPLQDDGPPSDYYGRFSNSDRGFRGGSRERGSRRSRSWGGEDSDSDDGFRRGGRSYRTDNIRSKPYRGGGGDDDWLIGSRRTSRSSSFGSRDRSFPGACFTCGKSGHRASDCPNKQRY >DRNTG_16278.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4433144:4434282:1 gene:DRNTG_16278 transcript:DRNTG_16278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVYGNETLRKIASPWKCSSPIHFSQDHKFIIKIIRKSEMKVFLDMLPKYYGHARKYPNTLLTKIFGLHVVKPVEGPK >DRNTG_16615.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1514771:1520871:1 gene:DRNTG_16615 transcript:DRNTG_16615.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRCPFDNIITVQEVAHSIESDTLSPPRMIIKLDIEKAYDTLNWSAILVVLSKMNFPSIWISACLHSTSFALNINGRSSPWFTSSRGVRQGDPISSYLFILVSQVLTSMLNSCLHSNLIPGFCSNLHNNFNHLMFADDLILITQASRSVARNIHLYLSLYSNLTGQRPNLLKSKIYFPTWLNSHISKRICCLLNLSQAAFPFHYLGILISPKRLGVRTFIPMVNKIRHTASRWANFHLSPAAKCILINSVLFSVPVYAMSVYPVPAFVLSDISRIARKFFWSKGSNGKGIHYVNWKTITEGKSEGGLGITNISITKHSLMSKLIFQYLNNDLVFWVDIINLKYGRLNLWHHSIPPKCSWFFKGLCKSANFIKHYCKINTVNPYQSSFLWDPWIFDIPVAFKPTFINMDMDLVHLSISNLTLNDQWDFFSLNALFGLTSEDPHPRLPNIDYNFDSHWVWDPKSNCSRIASAVYHHLNRLSSSSDGLIGWHLIWLIPIAPRLKNFIWMCFKGRFPTYAFLSNMGIGPDNPCVFCNLHRETIDHLFYHCPHALRVWAMINSLDNQCISFPEEFSSGSWLSDCSYSQHTQASIVTGAWFIWVSRCNIIFKNHSTVVHKAIAHVREFECWSSVPFGKNLLISNFISSDEHFLFTHASVNEATKVRSIGFFVSNSNYAISFAGCIAQSQFVNSSNILFALEVALQISLDLNYHIKHIFSDHLDLFKIIMNPDLSVSWQYHPQISNVKFLLDMFGCPKLHSIPSAWMLPAVNLASIGFNFHHLNLFLDGRELPYWIMRSFKKLGFVF >DRNTG_16615.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1514771:1520871:1 gene:DRNTG_16615 transcript:DRNTG_16615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRCPFDNIITVQEVAHSIESDTLSPPRMIIKLDIEKAYDTLNWSAILVVLSKMNFPSIWISACLHSTSFALNINGRSSPWFTSSRGVRQGDPISSYLFILVSQVLTSMLNSCLHSNLIPGFCSNLHNNFNHLMFADDLILITQASRSVARNIHLYLSLYSNLTGQRPNLLKSKIYFPTWLNSHISKRICCLLNLSQAAFPFHYLGILISPKRLGVRTFIPMVNKIRHTASRWANFHLSPAAKCILINSVLFSVPVYAMSVYPVPAFVLSDISRIARKFFWSKGSNGKGIHYVNWKTITEGKSEGGLGITNISITKHSLMSKLIFQYLNNDLVFWVDIINLKYGRLNLWHHSIPPKCSWFFKGLCKSANFIKHYCKINTVNPYQSSFLWDPWIFDIPVAFKPTFINMDMDLVHLSISNLTLNDQWDFFSLNALFGLTSEDPHPRLPNIDYNFDSHWVWDPKSNCSRIASAVYHHLNRLSSSSDGLIGWHLIWLIPIAPRLKNFIWMCFKGRFPTYAFLSNMGIGPDNPCVFCNLHRETIDHLFYHCPHALRVWAMINSLDNQCISFPEEFSSGSWLSDCSYSQHTQASIVTGAWFIWVSRCNIIFKNHSTVVHKAIAHVREFECWSSVPFGKNLLISNFISSDEHFLFTHASVNEATKVRSIGFFVSNSNYAISFAGCIAQSQFVNSSNILFALEVALQISLDLNYHIKHIFSDHLDLFKIIMNPDLSVSWQYHPQISNVKFLLDMFGCPKLHSIPSAWMLPAVNLASIGFNFHHLNLFLDGRELPYWIMRSFKKLGFVF >DRNTG_13673.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7051430:7053511:1 gene:DRNTG_13673 transcript:DRNTG_13673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVFAAVFYSLGEGNWLFLINLTAIFLNGILDWLSINVLHFGAQGLALSTSCITSLSSLALLFLLSKKICGMEYIKEVINPLLRLLPYCIFSGFITMISYKLLHLFLSSILILRFRLAELFSILFAGFLGISAFYLPLLLLPLPETKFVNDTLNTLINRKQFIRF >DRNTG_13673.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7051430:7053338:1 gene:DRNTG_13673 transcript:DRNTG_13673.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREVFAAVFYSLGEGNWLFLINLTAIFLNGILDWLSINVLHFGAQGLALSTSCITSLSSLALLFLLSKKICGMEYIKEVINPLLRLLPYCIFSGFITMISYKLLHLFLSSILILRFRLAELFSILFAGFLGISAFYLPLLLLPLPETKFVNDTLNTLINRKQFIRF >DRNTG_25090.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:733711:734249:-1 gene:DRNTG_25090 transcript:DRNTG_25090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVMRMLSKFLFTFSVCLLMSFGSGSSSTLNASFIFGDSLVDGGNNDYLLTLSKANYPPFGIDLSPHRQPTGRFTNGRTIADILGQEVGLKNWVPPYLDPSATGPAVLQGVNYASGGGGLLKKTGKFF >DRNTG_25090.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:732617:734546:-1 gene:DRNTG_25090 transcript:DRNTG_25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVESLISSYRQQLKRLYYLDARKILVVNVGPIGCIPYMREQSLSSSTKCFDLANQLAENFNSRLKDLVQELSSSLDGSIFVYANVNSIVSDIIQNYRHYGFEVADSACCRTAGRYGGLIPCCPKAKICLDRTKYVFWDPYHPTETTNIIIAKQLLDGNSNVIFPMNIRELSQA >DRNTG_25090.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:732617:733844:-1 gene:DRNTG_25090 transcript:DRNTG_25090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVESLISSYRQQLKRLYYLDARKILVVNVGPIGCIPYMREQSLSSSTKCFDLANQLAENFNSRLKDLVQELSSSLDGSIFVYANVNSIVSDIIQNYRHYGFEVADSACCRTAGRYGGLIPCCPKAKICLDRTKYVFWDPYHPTETTNIIIAKQLLDGNSNVIFPMNIRELSQA >DRNTG_16770.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22177039:22178023:1 gene:DRNTG_16770 transcript:DRNTG_16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAIGTTPTPTPPPAQLTTTSDAGESCWAKRKRSKRHHRYFDHPPTEEEYLALCLVMLARGGSDHRPVFRSPPPLFPATQNSYQCSVCDKAFSSYQALGGHKASHRKPMDESTAATAVQVAPASSATSGSVSGGVRVHRCGICSKVFPSGQALGGHKRCHYDGTPGSASGSGAAVSETTTTTTSSVFRGFDLNLPAVPELARCLSVVGEEEEVQSPLPLKKPRLLLA >DRNTG_20278.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5121896:5122336:1 gene:DRNTG_20278 transcript:DRNTG_20278.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMCYIGKATKIFFFIVAILAVSGLILGFGVLRRGASNKTHGCNDPQCRPTAVPFEPPPSSSSSSTFSPLIASPPTFFSPPNPIPFPVSPPVPYP >DRNTG_20278.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5121873:5122336:1 gene:DRNTG_20278 transcript:DRNTG_20278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCYIGKATKIFFFIVAILAVSGLILGFGVLRRGASNKTHGCNDPQCRPTAVPFEPPPSSSSSSTFSPLIASPPTFFSPPNPIPFPVSPPVPYP >DRNTG_20278.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5121896:5122256:1 gene:DRNTG_20278 transcript:DRNTG_20278.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMCYIGKATKIFFFIVAILAVSGLILGFGVLRRGASNKTHGCNDPQCRPTAVPFEPPPSSSSSSTFSPLIASPPTFFSPPNPIPFPVSPPVPYP >DRNTG_33613.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002027.1:57879:60833:-1 gene:DRNTG_33613 transcript:DRNTG_33613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDYYTYDYEFAEPPRVTSMQNTVPLPTFANFGDKLHFVADQRGYESLVYHLAHQFLKSDKHGNFVDPRLILNQVVRRISYSAREATVATEDGKVYKADYVMVSVSIGVLQTNLIKFTPPLPDKKIIAMYQFDMSVYTKIFLKFNSTFWPTGDGTEFFLYASERRGYYPIWQHFETEFPGSHVLLVTVTDEESRRIEQQSDNNTKKEAMEVLRKMFGENIPNATDILVPKWWSDRFYKGSFSNWPIGVKSI >DRNTG_33613.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002027.1:60037:60183:-1 gene:DRNTG_33613 transcript:DRNTG_33613.2 gene_biotype:protein_coding transcript_biotype:protein_coding VVRRISYSAREATVATEDGKVYKADYVMVSVSIGVLQTNLIKFTPPLP >DRNTG_03606.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:208494:213176:1 gene:DRNTG_03606 transcript:DRNTG_03606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRSLGKFVSQSLSVGGRWQQQQLRRLNIHEYQGAELMGKYGINVPKGVAVASVEEAKEAVQRVFPNEKEVVVKSQILAGGRGLGTFKSGLKGGVHIVKAEEVESLAGKMLGQILVTKQTGPQGKVVSKVYLCEKLSLVNEMYFAITLDRTTAGPIIIACRKGGTSIEDLAEKYPDMIAKVPIDVFKGITDKDAAKVVDGLAPKAVDRNASMEQIKKLYKLFCECDCTLLEINPLAETADNQLVAADAKLNFDDNAAFRQKEVFALRDPSQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGNASEGQVVEAFKILTSDDKVKAILVNIFGGIMKCDVIASGIVNAAKLVSLKVPVVVRLEGTNVEQGKRILKESGMTLITAEDLDDAAEKAVKAAAQ >DRNTG_01638.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18806284:18807442:1 gene:DRNTG_01638 transcript:DRNTG_01638.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTYFISLQGSFTPAQNSNELPLDPGNQMNPIDSSDAKLETVSVESSLLENDISDHGKDDSMELVKEEEVMGLENYSSCVSEMKDASEEIEVEAPKRENEFPGEPFHFYMLDASEQSFGANAGTLYLFGKVKEGNAFQSCCVVVKNMQRCVYAVPNGAVFPGGKIVELEENIANIANSKASPSDFRTALL >DRNTG_01638.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18806284:18810859:1 gene:DRNTG_01638 transcript:DRNTG_01638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEELERPGMLSHFTVVRKLDGGIFPMGFEQEADTRNSKAGSNVLALESSERALLNRLMVELHKLDCDVLVGHNISGFDLDILLHRAQLLQDCKVRSDMWSKIGRLKRSVMPKLTKGNSLYGSGASPGIMSCIAG >DRNTG_01638.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18809539:18810859:1 gene:DRNTG_01638 transcript:DRNTG_01638.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEELERPGMLSHFTVVRKLDGGIFPMGFEQEADTRNSKAGSNVLALESSERALLNRLMVELHKLDCDVLVGHNISGFDLDILLHRAQLLQDCKVRSDMWSKIGRLKRSVMPKLTKGNSLYGSGASPGIMSCIAG >DRNTG_02087.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10382204:10385029:1 gene:DRNTG_02087 transcript:DRNTG_02087.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTWPTTSIVKNVILSCHRPYPCPYNFQALAFTVLQLIPLVLGRKPFISLMHTSTIVLCPTDYLYLEDCSANSSRRVLLGQTWSEHERAPSH >DRNTG_23210.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10473037:10473707:1 gene:DRNTG_23210 transcript:DRNTG_23210.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTTPRSVSAPAPAPKGGTPHRRM >DRNTG_23210.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10475080:10476128:1 gene:DRNTG_23210 transcript:DRNTG_23210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPQDRTPAANGSTFSPQIHQRTNSQAFNHCYSALTTLFNIKNSEK >DRNTG_23210.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10473857:10476128:1 gene:DRNTG_23210 transcript:DRNTG_23210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPQDRTPAANGSTFSPQIHQRTNSQAFNHCYSALTTLFNIKNSEK >DRNTG_23210.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10473037:10473315:1 gene:DRNTG_23210 transcript:DRNTG_23210.6 gene_biotype:protein_coding transcript_biotype:protein_coding TRSLALSETIGHGSHLKSTTEEKMPFTTPRSVS >DRNTG_23210.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10473535:10476128:1 gene:DRNTG_23210 transcript:DRNTG_23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPQDRTPAANGSTFSPQIHQRTNSQAFNHCYSALTTLFNIKNSEK >DRNTG_23210.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10473037:10474113:1 gene:DRNTG_23210 transcript:DRNTG_23210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHHFTLVVHLQEHKNQAHHSKITQKDKTMKKMSISPN >DRNTG_31380.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001695.1:39407:43691:-1 gene:DRNTG_31380 transcript:DRNTG_31380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEELRNFLQINLPKAKEGKKAKFSLGVAEPKVGSQIFETTKIPCQSNEFVLELLRGVRLHFDRFIKDLKPTDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWYSWHFPELVKIVNDNYLFAKIAKFVENKSELTENHIPELADIVGDEDKAKEIVEAAKASMGQDLSPIDLINVQQFAQRVMDLSEYRKKLYEYLVTKMNDIAPNLASLIGEVVGARLISHAGSLTNLAKCPSSTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASARNKGRMARYLANKCSIASRIDCFSEANTTVFGEKLREQVEERLEFYDKGVAPRKNLDVMKSAIDNVFQKSLQSGDDEDKNQMEVDNGIADASVKKSKKKKSKGEKALEEPMDQDQPAANTNEDSSAQESEKKKKKKKHKLAEDAITETPNDLDAVENEQNGTTKKKKKKHHGDEDEGANGQAASEVKKKKKKQKKADDDDEE >DRNTG_13665.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17701893:17702586:1 gene:DRNTG_13665 transcript:DRNTG_13665.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLILILVFVPSMDLLILPLRMSMSTPMSTSTSMSSSTTLSISSMSSATMSISSTTSPTMSISSTTISTMPTFTSTSLSISSTTMSTFTASLPISSSSTMSSSTTYSTSLPISSSTTMSTPTSISSTTMSTSTSISSTTMSFSTTMSTTTSISSTIFLIQGPRRRGETYG >DRNTG_19522.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:139410:141305:1 gene:DRNTG_19522 transcript:DRNTG_19522.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNE >DRNTG_19522.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:139410:142353:1 gene:DRNTG_19522 transcript:DRNTG_19522.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >DRNTG_19522.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:141462:142353:1 gene:DRNTG_19522 transcript:DRNTG_19522.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >DRNTG_13184.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000600.1:4869:6697:1 gene:DRNTG_13184 transcript:DRNTG_13184.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMVVHAQNAVVSATYNYYYPEKNNWDLSAVSAYCATWDADKPLEWRMRYGWTAFCGPVGPTGQASCGLCLKVTNTATGASTIARIVDQCSNGGLDLDQGVFAKIDTDLSGYFNGHLTVQYQFINC >DRNTG_16961.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20977283:20984732:1 gene:DRNTG_16961 transcript:DRNTG_16961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISPRVTLGLRVLVDNLVRASPFSSNVIYSTSVPRVHCGYNRVKGLRDDPPLRLSYTTDEIIDEYMQEMFNPDPYEGLFDQEEGHEDVMMLGLTEEIPSTPGILKKMLRKMKRARRRHRKHSKVVGDVRERKELDEPLLETPQGRAAGPVGRVHGRGYYFPHARVRSFKVSESFSRGRTGACVRPSGSLVMRRTGVVTRKLLPIILSTAHRRFGGFCFTYRSDFQSFHIYYFDEMVKEEVKNVPNDAFPAKGVPNDASSSHGSLSFKSCFLALLLLPKVTQNLPCDLPKVGKEKIRDPYGVHTAPILGSIEIWADTPNHSAVTVHTAAYWVVRGKSQTPAAQGPRAYDRIERLESIVREIQTEIAELRAIQSAQYTDHMTHFDFL >DRNTG_00204.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12429016:12429741:1 gene:DRNTG_00204 transcript:DRNTG_00204.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVLWRSLYSSKGPFALPRIHLAGSAPTKAIPLTFFQTERDVGAVEHLQIPSQYLLPIGHPHAPVDSPHGPCRFPSSATTLSVKILLLMHNLRYSNVIAFGRVCLEAWEDQELELKGEASSSKEEKHSASLGLEEASFGRHSLSSSSFGLGSVIYAFTSCFCCV >DRNTG_07146.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:13117206:13117790:1 gene:DRNTG_07146 transcript:DRNTG_07146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTADFSPTLQCGVPRSGLMGGGVVNSGQEVRGAIDRGFFYVRNPGSPHGDLSG >DRNTG_18739.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1204029:1205039:1 gene:DRNTG_18739 transcript:DRNTG_18739.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDIPEPQPQVEVSPEAAPPPPTPPRVAYPSLALQIWPPTQRTRDAVRSRLVENLSSPSVLTKRYGAFPIDEASTVARRIEEEAFGAASASAAADAGSVDEGIEVIQIYSKEISKRMLEAVKARAPAPAESSPGSVEVNSPPTTSAADDAAGEEASAAESDSPAS >DRNTG_18739.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1204029:1205400:1 gene:DRNTG_18739 transcript:DRNTG_18739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDIPEPQPQVEVSPEAAPPPPTPPRVAYPSLALQIWPPTQRTRDAVRSRLVENLSSPSVLTKRYGAFPIDEASTVARRIEEEAFGAASASAAADAGSVDEGIEVIQIYSKEISKRMLEAVKARAPAPAESSPGSVEVNSPPTTSAADDAAGEEASAAESDSPAS >DRNTG_28890.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:651466:656994:-1 gene:DRNTG_28890 transcript:DRNTG_28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLRRFFHFVDNGDFSVDGVLTDFPVTASEAIGCFSHANKNTSVNAKPVVVSHNGASGVYPGCTDLAYQQAVADGADIIDCPVQVTQDGVLICMSSINLMDDTTVTKSPFNIRSSSIPEIQKGLGIYTFNLTWDEIQKNLKPAMSDPELKYVLMRNPRFTNAGNFMTLSDFLAFGKRNKALAGVLISIEHASFLAEKLNYSITDAVMSALNQTDQEVLIQSSSSAVLMKMKQKTKCKLVYKVDESIGDADNSSITDIKHFADIVAVQKQSIYPQSLAFITGETELVKKLQSARLSVHVYLLRNEFVSQAWDFFSDPVVEINNFVKGAGVDGIITDNPGTAVAYKKNTCAKLSDEDAPGYMRPVQVGGLLQLIDPQAQPPALAPMQVLDVSDVIEPPLPPASLMPLNSTVVQNAVAPTTQPSAGQRCSAVSSFLVSVLMLIGYLMFV >DRNTG_08198.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:47672:49052:-1 gene:DRNTG_08198 transcript:DRNTG_08198.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRDSRSNWMFDHNCPYPYNRDQEFYPVPPHTHGDQYHGQDQYWPRNDHIGRQHPLRDGREYLTDDAIQNREKRSSSSGDKQSGTLVYDNIVICEDPSKVVMGIRNSAGGK >DRNTG_08198.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:47672:49052:-1 gene:DRNTG_08198 transcript:DRNTG_08198.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRYRKFIMELQGGKQSKEAERRSETSSPDPVIPKKNDAESQATPPKEQLQTNASSTAVATSPLEAAVHEESQAQDKGQPNIVQTEVHQPDFKPALPPPPAGIDKFPNVTGPDTSASRRQDQNPHNYNNNNDGIVPPQQNFHQPDQHGWDHMARRDSRSNWMFDHNCPYPYNRDQEFYPVPPHTHGDQYHGQDQYWPRNDHIGRQHPLRDGREYLTDDAIQNREKRSSSSGDKQSGTLVYDNIVICEDPSKVVMGIRNSAGGK >DRNTG_08198.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:47672:49052:-1 gene:DRNTG_08198 transcript:DRNTG_08198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKQSKEAERRSETSSPDPVIPKKNDAESQATPPKEQLQTNASSTAVATSPLEAAVHEESQAQDKGQPNIVQTEVHQPDFKPALPPPPAGIDKFPNVTGPDTSASRRQDQNPHNYNNNNDGIVPPQQNFHQPDQHGWDHMARRDSRSNWMFDHNCPYPYNRDQEFYPVPPHTHGDQYHGQDQYWPRNDHIGRQHPLRDGREYLTDDAIQNREKRSSSSGDKQSGTLVYDNIVICEDPSKVVMGIRNSAGGK >DRNTG_05193.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22635934:22636607:-1 gene:DRNTG_05193 transcript:DRNTG_05193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARKTTTLSFLRRQAAGSPMESGGGGSGGEYYEFLYSMKAECKRKQPKVKVQIERVEMEDKDKAITILTHADVLGAGLLVIGQRRNSGTFIGRKLSETMSMKGNDIADYLIENSKCLCVGVQKKGQNGGYVLNTKTHKNF >DRNTG_31734.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:112938:124820:-1 gene:DRNTG_31734 transcript:DRNTG_31734.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transportin MOS14 [Source:Projected from Arabidopsis thaliana (AT5G62600) UniProtKB/Swiss-Prot;Acc:Q8GUL2] MKSHPECIPSFLELLAVLPQEAHSYKIAARPERRRQFEKELISSIEVAFSLLTGCLSFEELKEQVLDAFASWLRLGHVISASTLASHPLVHAGLSCLGSEQYLEAAVNVISELIHYTVVGNSGSLAEQMPLIHVLVPRVMSLKDQLRDSSKDEEDVKAIARLFADMGDSYVDIIATGSDESMLIVQALLEVASHPEYDISSMTYNFWHRLRLNLNQRDSHLSYGSEVAIETERNRRLKCFQQPFELLVSMVAFRVEYPQDYQCLSEEDQKDFKQTRVVVSDVLVDATAVLGGELVLKSLFMKLLQALENAPSDGSSKWQPIEAALFCMQSIAQFIPSSEAEIMPRVISLLPKLPHQPRLLHTVCSTIGAFSKWISTAPAELSVLATVVDILTRGMSTSEDSATAAAVAFKYLCEDCSKMFCESLDGLFQIYHIAISGEGGYKISVDDSMHLVEALSVVITELPLEHARKAMELVCLPAITPLQDITKLGGASLREIPARQLTVHIDRLGCIFRNVNLPEVVADVILQFWPIFKAIFDHRAWDMRTMESLCRACKYAVRTCESHMGVTIGAILEEIQVLYPQYNQPGFLYLSSEVIKLFGSEPTCSKFLESLIATLFNHTTKLLTRIQDFTIRPDIADDCFLLASRCIRYCPDLFCTSSVFPSLVDCAMIGITVQHRHACKSILTFLADMFNRASSAAGEKHRPIVDKVVLPRGATLTRLLIASFTGALPPARLEEVFYVLLSFTRMYGPRVLEWAKETISLIPLTVMTEAERMSFINALSDFAAGSDGTALRNSLEELSYVCRRNRTVQDIVQNALRPLDLNLNAVS >DRNTG_31734.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:112938:121958:-1 gene:DRNTG_31734 transcript:DRNTG_31734.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transportin MOS14 [Source:Projected from Arabidopsis thaliana (AT5G62600) UniProtKB/Swiss-Prot;Acc:Q8GUL2] MLERPWNWFACQLLLHCRSILALCRLIVLRITVWYYCWLIINKQDITKLGGASLREIPARQLTVHIDRLGCIFRNVNLPEVVADVILQFWPIFKAIFDHRAWDMRTMESLCRACKYAVRTCESHMGVTIGAILEEIQVLYPQYNQPGFLYLSSEVIKLFGSEPTCSKFLESLIATLFNHTTKLLTRIQDFTIRPDIADDCFLLASRCIRYCPDLFCTSSVFPSLVDCAMIGITVQHRHACKSILTFLADMFNRASSAAGEKHRPIVDKVVLPRGATLTRLLIASFTGALPPARLEEVFYVLLSFTRMYGPRVLEWAKETISLIPLTVMTEAERMSFINALSDFAAGSDGTALRNSLEELSYVCRRNRTVQDIVQNALRPLDLNLNAVS >DRNTG_31734.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:112938:124820:-1 gene:DRNTG_31734 transcript:DRNTG_31734.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transportin MOS14 [Source:Projected from Arabidopsis thaliana (AT5G62600) UniProtKB/Swiss-Prot;Acc:Q8GUL2] MNSSIDLQNTVKEALGVLYHHPDDVLRSRADHWLQDFQRTIDAWQVSDNLLHDASSNVETLIFCSQTLRSKVQRDFEELPPDAFGPLRDSLFGLLKKFNKGLPKVITQIAIAIAALAVHVPVEKWGGGGIVNWLNDGMKSHPECIPSFLELLAVLPQEAHSYKIAARPERRRQFEKELISSIEVAFSLLTGCLSFEELKEQVLDAFASWLRLGHVISASTLASHPLVHAGLSCLGSEQYLEAAVNVISELIHYTVVGNSGSLAEQMPLIHVLVPRVMSLKDQLRDSSKDEEDVKAIARLFADMGDSYVDIIATGSDESMLIVQALLEVASHPEYDISSMTYNFWHRLRLNLNQRDSHLSYGSEVAIETERNRRLKCFQQPFELLVSMVAFRVEYPQDYQCLSEEDQKDFKQTRVVVSDVLVDATAVLGGELVLKSLFMKLLQALENAPSDGSSKWQPIEAALFCMQSIAQFIPSSEAEIMPRVISLLPKLPHQPRLLHTVCSTIGAFSKWISTAPAELSVLATVVDILTRGMSTSEDSATAAAVAFKYLCEDCSKMFCESLDGLFQIYHIAISGEGGYKISVDDSMHLVEALSVVITELPLEHARKAMELVCLPAITPLQDITKLGGASLREIPARQLTVHIDRLGCIFRNVNLPEVVADVILQFWPIFKAIFDHRAWDMRTMESLCRACKYAVRTCESHMGVTIGAILEEIQVLYPQYNQPGFLYLSSEVIKLFGSEPTCSKFLESLIATLFNHTTKLLTRIQDFTIRPDIADDCFLLASRCIRYCPDLFCTSSVFPSLVDCAMIGITVQHRHACKSILTFLADMFNRASSAAGEKHRPIVDKVVLPRGATLTRLLIASFTGALPPARLEEVFYVLLSFTRMYGPRVLEWAKETISLIPLTVMTEAERMSFINALSDFAAGSDGTALRNSLEELSYVCRRNRTVQDIVQNALRPLDLNLNAVS >DRNTG_31734.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:112938:121558:-1 gene:DRNTG_31734 transcript:DRNTG_31734.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transportin MOS14 [Source:Projected from Arabidopsis thaliana (AT5G62600) UniProtKB/Swiss-Prot;Acc:Q8GUL2] MLERPWNWFACQLLLHCRSILALCRLIVLRITVWYYCWLIINKQDITKLGGASLREIPARQLTVHIDRLGCIFRNVNLPEVVADVILQFWPIFKAIFDHRAWDMRTMESLCRACKYAVRTCESHMGVTIGAILEEIQVLYPQYNQPGFLYLSSEVIKLFGSEPTCSKFLESLIATLFNHTTKLLTRIQDFTIRPDIADDCFLLASRCIRYCPDLFCTSSVFPSLVDCAMIGITVQHRHACKSILTFLADMFNRASSAAGEKHRPIVDKVVLPRGATLTRLLIASFTGALPPARLEEVFYVLLSFTRMYGPRVLEWAKETISLIPLTVMTEAERMSFINALSDFAAGSDGTALRNSLEELSYVCRRNRTVQDIVQNALRPLDLNLNAVS >DRNTG_26256.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:7112258:7112956:1 gene:DRNTG_26256 transcript:DRNTG_26256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEHPLNHHLLEDSHAQKCDSDKTISVTAVLTHMIDSYPSPSFILGCITNLFEQCEKSMPEVFDALIDAYMEKGIPESTAECVLLMKGSTFMSRPSPTAGPADRSVASSGTGPHWVQGLRFDLPYRIQRQPTIRKSQVQSDYKS >DRNTG_32884.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23503808:23507893:-1 gene:DRNTG_32884 transcript:DRNTG_32884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSTRSKIALGSAKGLAYLHEDCNPKIIHRDIKAANILLDFKFEAKVADFGLAKFASDNNTHVSTRVMGTFGYLAPEYAASGKLTDKSDVFSFGVMLLELITGRRPVDSHAFDDSLVDWARPLLTHALEEGNYDPLVDPRLEENYNPNEMARMVACAAACVRHSAKRRPRMSQVVRALEGDVSLEDLNEGVRPGHSRIYGSYSGSSDYDTMQYNKDLEKFRKMALGPFTSKDYASSEYTVPASEHSHMPLVSSNESRETGETEMQNTKNFI >DRNTG_30981.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18746442:18747939:-1 gene:DRNTG_30981 transcript:DRNTG_30981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMETFKKMNAKCSSVCFGEVNVSSVLSAYAGGELSFGRGVHGNMVKVGMESLVYVNNSLIDMYEACKYFWAMRKDGIVSDEASLSTALHTCANMAANSLITIYAKCGCLIDSHRVFEESMEYVNVDGNHCGQLDEVKQFIDTMPVKPDVSAWGVLLEIAQSSNNIKHSVKLIGRYPFDPVKEILLEDSSSHPQSVSHEQALRQLLYAQGKIVSIIVPKGILVAFIGFVDDDAKRFKRNLKKESPICSGKVQIL >DRNTG_22981.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26981599:27080358:-1 gene:DRNTG_22981 transcript:DRNTG_22981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEELTLRVGDDKLTYRLIEAMCHSLDFDDTLYFLDTIDELIDEYMPKMLNPDPYKGLLDEEMENEEEFSAEPVEFSKASRENARPCEISTWPWVSNASLSREDKGLRTRPCERPLGLSTPMGQV >DRNTG_07477.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:937561:944369:-1 gene:DRNTG_07477 transcript:DRNTG_07477.2 gene_biotype:protein_coding transcript_biotype:protein_coding QLCLDLNSIPYLLAQPQQENPCSASKVRKNQKKIERKEKPQG >DRNTG_07477.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:937561:946706:-1 gene:DRNTG_07477 transcript:DRNTG_07477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYHTSPTNQLSIASNFKNPFLLQEELLR >DRNTG_24504.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19023540:19029844:-1 gene:DRNTG_24504 transcript:DRNTG_24504.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDIEKPEKVEALNIDSKKVNHDYVKPSAHAVDKDSWQQVGVMLVTSFNCAYVLSFSNLMLVPLGWAWGISCLIMVGAFAFYANWLLADFHIIDDCRFIRYRDLMGYVFGRRLYYTTWILQFLTLLLGNMGFILLGGKALKEINLEFNSTSMRLQVFIVITGFVYFLFAYLVPTMSAMRNWLAPSAIFTITFEVTLLAILLKDGKRSEREKDYNIHGSKTDKIFNAFGAIAAILVCNTSGLLPEIQSTLRKPAVVNMRKALIMQFTIGLAIYYGVSIVGYWAYGSSVSAYIPSELSGPKWAKVLINSFAFLQSIVSQHMFCAPIHEALDTKYLRLDEKMFSKNNFLRRFILRSIIFGINTFITALFPFMGDFVNLFGSFTLFPLTFVFPSMIFLKVHFLNSLFFIKFARA >DRNTG_24504.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19023540:19029844:-1 gene:DRNTG_24504 transcript:DRNTG_24504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDIEKPEKVEALNIDSKKVNHDYVKPSAHAVDKDSWQQVGVMLVTSFNCAYVLSFSNLMLVPLGWAWGISCLIMVGAFAFYANWLLADFHIIDDCRFIRYRDLMGYVFGRRLYYTTWILQFLTLLLGNMGFILLGGKALKEINLEFNSTSMRLQVFIVITGFVYFLFAYLVPTMSAMRNWLAPSAIFTITFEVTLLAILLKDGKRSEREKDYNIHGSKTDKIFNAFGAIAAILVCNTSGLLPEIQSTLRKPAVVNMRKALIMQFTIGLAIYYGVSIVGYWAYGSSVSAYIPSELSGPKWAKVLINSFAFLQSIVSQHMFCAPIHEALDTKYLRLDEKMFSKNNFLRRFILRSIIFGINTFITALFPFMGDFVNLFGSFTLFPLTFVFPSMIFLKVKGKSSNGVEKAWHWINIIVFSFLSIITTASAIRIIVNNARIYYFFADT >DRNTG_34626.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:90591:94417:1 gene:DRNTG_34626 transcript:DRNTG_34626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAALRSSFSSIRMQPWTHLGLLRSAFCSSSSSSFGQKGFLDLEEVEKILSDVKADDVRVIPVGDQCEWTDYMVVATGRSAWHVRNIAQALIYKVKQKQKGQERMLLPSIEGHQGGNWIVIDSGRVIVHALEEKARSYYNLESLWTSEASTRVPNQDLEEAIVKHRRKNNSKKRVAS >DRNTG_14699.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20896564:20900679:-1 gene:DRNTG_14699 transcript:DRNTG_14699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQALKSTSLPALARRFLLFPNRLSLVSFQDLLLSRSFQRRGLIPVSVNIPPSAAGAAATIHIWIPAEPRHSLPPLVLIHGFGANAKWQWDRQIGPLSRFFNLYVPDLIHFGGSRSDGKDFSVGFQSRCLAAAMKQLGVSKYSVVGISYGGYVAYRLATDAGPAVVDRVVIMTAGIGATAEETKALAEKEKRDVSEILLPRKPEDLMALMRRSMHRPPRWMPTFLLRDFIGVMYTQHRKERVELLKELLTKGVGIDPLPVLDQQTLIMWGDQDNVFPLHLAHRLQRHLGEKSRLEIISDAGHALQLDKSNCVTDLIENFMLDKLDDS >DRNTG_20247.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20850754:20853267:1 gene:DRNTG_20247 transcript:DRNTG_20247.1 gene_biotype:protein_coding transcript_biotype:protein_coding AIRHNSKEDIYWKSSNIPWSTAPDYDDRKREC >DRNTG_14459.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:16095179:16095672:-1 gene:DRNTG_14459 transcript:DRNTG_14459.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESPMPVHPQTKVSQGQTHAPVASLDIREKIAKCSTRLCRNSARPWAFTSPANKGDRKPLWLLEMERTPLQSFARACGNYPRPCKFHKGQPHAPVPSLDELAIQIHGCVEIPHGRAFSLMP >DRNTG_00781.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:10616310:10620977:-1 gene:DRNTG_00781 transcript:DRNTG_00781.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNPSILIEGDPELEDTTDELVDEFMQEMFNPDPYQGLCDQEESNKLVIMLGSTEEVSSTTGIVKKVLRRMKRATRRHRKRSKAVGDVHEPKKLDEPLIVDPKANRGVDSPL >DRNTG_05152.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:264865:269303:1 gene:DRNTG_05152 transcript:DRNTG_05152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSRSIWKKVSGRAFIGTNPSLHEFPNGQCLHMRILVAPDLTEATPGDDQANNSSMWVLSGEARSKHDLVPPLENLSLEASSTKQGKHALEAPSTIVQRQSTGGS >DRNTG_20148.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15926841:15927758:1 gene:DRNTG_20148 transcript:DRNTG_20148.1 gene_biotype:protein_coding transcript_biotype:protein_coding CCWSSISWRPVPEPGSIVVVRVTKVMAIMASADIMCVESKAVKEKFTGIISLLVCNVN >DRNTG_04684.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30417333:30419221:-1 gene:DRNTG_04684 transcript:DRNTG_04684.2 gene_biotype:protein_coding transcript_biotype:protein_coding SEISGLSSLTNSESIGLASAFIHSLPSVLFCGEIKGGKNHGNTECSVCLSEFLEGEWLRLLPNCGHVFHATCIDTWFSSHFDCPVCRTSVLHEFNVCNSSGPNLSLMHALPRENIMHQEGMHCPSFWFESIVQFENMSANSEIAPKLWPCLPCNLY >DRNTG_04684.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30417333:30419221:-1 gene:DRNTG_04684 transcript:DRNTG_04684.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHALPRENIMHQEGMHCPSFWFESIVQFENMSANSESQTEGTTRIISSSTYSDVNQK >DRNTG_04684.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30417333:30419221:-1 gene:DRNTG_04684 transcript:DRNTG_04684.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSKGGIIHETWSKWLIIILWACCGVSLLLCICQTIRERCMNVNQDPMHFFLRRRSRRRHNNHQANTATHEPSIQSESRGLAAAVIHSLPKLQFCEVIKGGSNNENTECAVCLSEFVEGEYLRLLPNCGHAFHATCIDTWFTCHSNCPLCRASVLHGFNVCSGSENRTEAHSAVNL >DRNTG_30227.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:130658:134716:-1 gene:DRNTG_30227 transcript:DRNTG_30227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEAKDAASSNVQLSKELSEASICSQTAVSEWRESISPL >DRNTG_18126.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:496335:498704:1 gene:DRNTG_18126 transcript:DRNTG_18126.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDDPRLRHPYDWYKYGQYGPYSWRGVVIGPPIRGRFSDERVTLIGEVRDHEEWELIEQFDMSSEFSERLESMDKNVGFRYFWVFVRHPKWNSSELPWQQWTLVAEVVLEAGKERLDKWSLMGRMGNKARAMVTQCAAWFRPDIIYVKRPMYQCRFEPQEDFFKLLGPLLDPETESQYLFELEREDGRIELCTYFAGLCKIVRVNPKSYVDDVVNAYEKLSDERKSKCLEFLLGNHPMELLHPWTKEWKAKLEELELGCDAPDDSDDDLGDGDENRITDWIEEEDGDGDGDGDGDEVIDVGENVDDDDDEPKDPEEPEENPEYWNEQWEKAIRSPQEMEKLVTKSLEISTKLYSSQMDQEQDMRANEVGNRSSVSVDLEESRAEQENAGYKGSADLDESREEWENVRYKGGRMKAKRSRVPPELFLRAAVRPFTYRNLVKEIVLTRHAIVEGDITVKD >DRNTG_18126.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:496335:498704:1 gene:DRNTG_18126 transcript:DRNTG_18126.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDDPRLRHPYDWYKYGQYGPYSWRGVVIGPPIRGRFSDERVTLIGEVRDHEEWELIEQFDMSSEFSERLESMDKNVGFRYFWVFVRHPKWNSSELPWQQWTLVAEVVLEAGKERLDKWSLMGRMGNKARAMVTQCAAWFRPDIIYVKRPMYQCRFEPQEDFFKLLGPLLDPETESQYLFELEREDGRIELCTYFAGLCKIVRVNPKSYVDDVVNAYEKLSDERKSKCLEFLLGNHPMELLHPWTKEWKAKLEELELGCDAPDDSDDDLGDGDENRITDWIEEEDGDGDGDGDGDEVIDVGENVDDDDDEPKDPEEPEENPEYWNEQWEKAIRSPQEMEKLVTKSLEISTKLYSSQMDQEQDMRANEVGNRSSVSVDLEESRAEQENAGYKGSADLDESREEWENVRYKGGRMKAKRSRVPPELFLRAAVRPFTYRNLVKEIVLTRHAIVEGDITVKD >DRNTG_18126.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:496335:498774:1 gene:DRNTG_18126 transcript:DRNTG_18126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDDPRLRHPYDWYKYGQYGPYSWRGVVIGPPIRGRFSDERVTLIGEVRDHEEWELIEQFDMSSEFSERLESMDKNVGFRYFWVFVRHPKWNSSELPWQQWTLVAEVVLEAGKERLDKWSLMGRMGNKARAMVTQCAAWFRPDIIYVKRPMYQCRFEPQEDFFKLLGPLLDPETESQYLFELEREDGRIELCTYFAGLCKIVRVNPKSYVDDVVNAYEKLSDERKSKCLEFLLGNHPMELLHPWTKEWKAKLEELELGCDAPDDSDDDLGDGDENRITDWIEEEDGDGDGDGDGDEVIDVGENVDDDDDEPKDPEEPEENPEYWNEQWEKAIRSPQEMEKLVTKSLEISTKLYSSQMDQEQDMRANEVGNRSSVSVDLEESRAEQENAGYKGSADLDESREEWENVRYKGGRMKAKRSRVPPELFLRAAVRPFTYRNLVKEIVLTRHAIVEGDITVKD >DRNTG_18126.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:496335:498245:1 gene:DRNTG_18126 transcript:DRNTG_18126.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDDPRLRHPYDWYKYGQYGPYSWRGVVIGPPIRGRFSDERVTLIGEVRDHEEWELIEQFDMSSEFSERLESMDKNVGFRYFWVFVRHPKWNSSELPWQQWTLVAEVVLEAGKERLDKWSLMGRMGNKARAMVTQCAAWFRPDIIYVKRPMYQCRFEPQEDFFKLLGPLLDPETESQYLFELEREDGRIELCTYFAGLCKIVRVNPKSYVDDVVNAYEKLSDERKSKCLEFLLGNHPMELLHPWTKEWKAKLEELELGCDAPDDSDDDLGDGDENRITDWIEEEDGDGDGDGDGDEVIDVGENVDDDDDEPKDPEEPEENPEYWNEQWEKAIRSPQEMEKLVTKSLEISTKLYSSQMDQEQDMRANEVGNRSSVSVDLEESRAEQENAGYKGSADLDESREEWENVRYKGGRMKAKRSRVPPELFLRAAVRPFTYRNLVKEIVLTRHAIVEGDITVKD >DRNTG_18126.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:496335:498704:1 gene:DRNTG_18126 transcript:DRNTG_18126.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDDPRLRHPYDWYKYGQYGPYSWRGVVIGPPIRGRFSDERVTLIGEVRDHEEWELIEQFDMSSEFSERLESMDKNVGFRYFWVFVRHPKWNSSELPWQQWTLVAEVVLEAGKERLDKWSLMGRMGNKARAMVTQCAAWFRPDIIYVKRPMYQCRFEPQEDFFKLLGPLLDPETESQYLFELEREDGRIELCTYFAGLCKIVRVNPKSYVDDVVNAYEKLSDERKSKCLEFLLGNHPMELLHPWTKEWKAKLEELELGCDAPDDSDDDLGDGDENRITDWIEEEDGDGDGDGDGDEVIDVGENVDDDDDEPKDPEEPEENPEYWNEQWEKAIRSPQEMEKLVTKSLEISTKLYSSQMDQEQDMRANEVGNRSSVSVDLEESRAEQENAGYKGSADLDESREEWENVRYKGGRMKAKRSRVPPELFLRAAVRPFTYRNLVKEIVLTRHAIVEGDITVKD >DRNTG_08203.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:27188:28081:1 gene:DRNTG_08203 transcript:DRNTG_08203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLASCLSEHAVRVSDSSCSGAGNNKNISLAIFDRNSFQNSVTCLYKTRLISTAKELFFKLTWSKTNTGPSLSVSIDDNTQVLREMEGKQSYKHGGLVISIHWDFSSARYTCYAPDPVDNNFYVIVMADSEFALLLGDLSTDYVKMFENTCSRGIPIAQYSLVCRKEQVFTHGVYRTKSRFADNGKVHEIMIKCKGDSWDVKNSGLSVWVDKKKVVHEQRLYWNFRGNQVMFVDGLPVDFMWDFHDWWFGGPSGCAVFVFRTRSSLESRPWLEEDELQKEQSFSGFSLLIQALKSP >DRNTG_23797.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29678938:29682446:1 gene:DRNTG_23797 transcript:DRNTG_23797.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSLPPWTLWRGMLGLELLWPKVSMEQVDYRTKFNGAYPPWIVGSDMENYPLTRGVQQDIWIHQHPENCSDPNLRFLVADWERLPGFGVGAQFAGMAGLLAIAINEKRILVTNYYNRADHSGCKGSSRSHWSCYFFPETSQECRDRAFDLMRSSEAWNNGIITVKENYTSKEIWTGRVPRVWGNPWDYLQPTTDVDGTLLTHHRKMDRRWWRAQAIRYLMRFQSEYTCQLLNVARHTAFGMDSAKMVLENLPANWPEVSINRSQQDIEHFVWSNHRPWIPRPLLSMHVRMGDKACEMKIVEFEHYMHLADRIRTRFPHLNSIWLSTEMQEVIDKSKMYENWNVYYTNITRQVGNTTMAVYEASLGRETSTNYPLVNFIMATEADFFVGALGSTWCYLIDGMRNTGGKVMAGYLSVNKDR >DRNTG_23797.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29678938:29682446:1 gene:DRNTG_23797 transcript:DRNTG_23797.5 gene_biotype:protein_coding transcript_biotype:protein_coding METEGGGGGGGGGGASQKNLERIISQKAMQMGNSSSCKIWVVGFFCGVCITYLFLVVPSPLRNLEFGLDLSSSSSLNSLNSSLIDSIKDETRGLSDHEKVKLLFSAWNVVLNEPSKVVQNEFLIGKLKKSSLPNPPHLEDCKLSVELSRQFDSRGMNRSLPPWTLWRGMLGLELLWPKVSMEQVDYRTKFNGAYPPWIVGSDMENYPLTRGVQQDIWIHQHPENCSDPNLRFLVADWERLPGFGVGAQFAGMAGLLAIAINEKRILVTNYYNRADHSGCKGSSRSHWSCYFFPETSQECRDRAFDLMRSSEAWNNGIITVKENYTSKEIWTGRVPRVWGNPWDYLQPTTDVDGTLLTHHRKMDRRWWRAQAIRYLMRFQSEYTCQLLNVARHTAFGMDSAKMVLENLPANWPEVSINRSQQDIEHFVWSNHRPWIPRPLLSMHVRMGDKACEMKIVEFEHYMHLADRIRTRFPHLNSIWLSTEMQEVIDKSKMYENWNVYYTNITRQVGNTTMAVYEASLGRETSTNYPLVNFIMATEADFFVGALGSTWCYLIDGMRNTGGKVMAGYLSVNKDR >DRNTG_23797.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29678938:29682446:1 gene:DRNTG_23797 transcript:DRNTG_23797.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEGGGGGGGGGGASQKNLERIISQKAMQMGNSSSCKIWVVGFFCGVCITYLFLVVPSPLRNLEFGLDLSSSSSLNSLNSSLIDSIKDINISDNSDETRGLSDHEKVKLLFSAWNVVLNEPSKVVQNEFLIGKLKKSSLPNPPHLEDCKLSVELSRQFDSRGMNRSLPPWTLWRGMLGLELLWPKVSMEQVDYRTKFNGAYPPWIVGSDMENYPLTRGVQQDIWIHQHPENCSDPNLRFLVADWERLPGFGVGAQFAGMAGLLAIAINEKRILVTNYYNRADHSGCKGSSRSHWSCYFFPETSQECRDRAFDLMRSSEAWNNGIITVKENYTSKEIWTGRVPRVWGNPWDYLQPTTDVDGTLLTHHRKMDRRWWRAQAIRYLMRFQSEYTCQLLNVARHTAFGMDSAKMVLENLPANWPEVSINRSQQDIEHFVWSNHRPWIPRPLLSMHVRMGDKACEMKIVEFEHYMHLADRIRTRFPHLNSIWLSTEMQEVIDKSKMYENWNVYYTNITRQVGNTTMAVYEASLGRETSTNYPLVNFIMATEADFFVGALGSTWCYLIDGMRNTGGKVMAGYLSVNKDR >DRNTG_23797.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29678938:29682446:1 gene:DRNTG_23797 transcript:DRNTG_23797.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEGGGGGGGGGGASQKNLERIISQKAMQMGNSSSCKIWVVGFFCGVCITYLFLVVPSPLRNLEFGLDLSSSSSLNSLNSSLIDSIKDINISDNSDETRGLSDHEKVKLLFSAWNVVLNEPSKVVQNEFLIGKLKKSSLPNPPHLEDCKLSVELSRQFDSRGMNRSLPPWTLWRGMLGLELLWPKVSMEQVDYRTKFNGAYPPWIVGSDMENYPLTRGVQQDIWIHQHPENCSDPNLRFLVADWERLPGFGVGAQFAGMAGLLAIAINEKRILVTNYYNRADHSGCKGSSRSHWSCYFFPETSQECRDRAFDLMRSSEAWNNGIITVKENYTSKEIWTGRVPRVWGNPWDYLQPTTDVDGTLLTHHRKMDRRWWRAQAIRYLMRFQSEYTCQLLNVARHTAFGMDSAKMVLENLPANWPEITTGHRTFCMVQPQTMDSETTFEHARKNGRQSLRNENSRIRTLHASCRSNSHPFPTSQQHMALNRNAGSH >DRNTG_23797.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29678938:29682446:1 gene:DRNTG_23797 transcript:DRNTG_23797.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSLPPWTLWRGMLGLELLWPKVSMEQVDYRTKFNGAYPPWIVGSDMENYPLTRGVQQDIWIHQHPENCSDPNLRFLVADWERLPGFGVGAQFAGMAGLLAIAINEKRILVTNYYNRADHSGCKGSSRSHWSCYFFPETSQECRDRAFDLMRSSEAWNNGIITVKENYTSKEIWTGRVPRVWGNPWDYLQPTTDVDGTLLTHHRKMDRRWWRAQAIRYLMRFQSEYTCQLLNVARHTAFGMDSAKMVLENLPANWPEITTGHRTFCMVQPQTMDSETTFEHARKNGRQSLRNENSRIRTLHASCRSNSHPFPTSQQHMALNRNAGSH >DRNTG_23797.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29678938:29682446:1 gene:DRNTG_23797 transcript:DRNTG_23797.4 gene_biotype:protein_coding transcript_biotype:protein_coding METEGGGGGGGGGGASQKNLERIISQKAMQMGNSSSCKIWVVGFFCGVCITYLFLVVPSPLRNLEFGLDLSSSSSLNSLNSSLIDSIKDETRGLSDHEKVKLLFSAWNVVLNEPSKVVQNEFLIGKLKKSSLPNPPHLEDCKLSVELSRQFDSRGMNRSLPPWTLWRGMLGLELLWPKVSMEQVDYRTKFNGAYPPWIVGSDMENYPLTRGVQQDIWIHQHPENCSDPNLRFLVADWERLPGFGVGAQFAGMAGLLAIAINEKRILVTNYYNRADHSGCKGSSRSHWSCYFFPETSQECRDRAFDLMRSSEAWNNGIITVKENYTSKEIWTGRVPRVWGNPWDYLQPTTDVDGTLLTHHRKMDRRWWRAQAIRYLMRFQSEYTCQLLNVARHTAFGMDSAKMVLENLPANWPEITTGHRTFCMVQPQTMDSETTFEHARKNGRQSLRNENSRIRTLHASCRSNSHPFPTSQQHMALNRNAGSH >DRNTG_08550.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17310398:17316518:-1 gene:DRNTG_08550 transcript:DRNTG_08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRKWFHRKPPDGLLDISDRVFVFDSCFSTDVSEDDEYKTYVGNIVSQLRDQFPSALFMVFNFREGVRQSWLADILSEYDVTVMDYPRHYQGCPLLTMEIIHHFLRSSENWLSLGPENILLMHCERGGWPVLAFMLAGLLIYQKQYTGERKTLDMIYKQAPRELLQLFSPLNPLASQIRYLQYISRRNFGSEWPPVERALTLDCVILRKIPNFDGKGGFRPIFRIYMQDTLTAADQTSPKQSKSVQLYKQADSEVVKIDICRHIQGDVVLECIHVDEDLQHKEMAFSFVFNTAFIRSNLLMLNCDEIDILWDSKDRFPKDFRVEVLLSEMDAVASVTAMDLRRSEEKEGLPVEVFSKVQEMFSNMDWLDANTDATKTSVDNMGMIFQEKAENHAMPREQTSLPLPLKHEGQQLPPMPPPQPPPPPSTPPPQRRQSSSTHGDCITFPLRSRTSPLPSEVTSSLPPTLQPVSIGVAPPCPPPPPPIRSQRASPKIAPSTPPPPPPPSRTSSIYSSKSNSKENKGKDNDIVLQSLNAGAVSTTKPIGVMGRGLRRSISPKNSQFTNSSSRKILKPLHWVKVSRAMKGSLWAEPHRPDEAFKSLEIDMSELENLFSAAVPSAKEKSSRRSSVGSRTEKVHLVDLRRANNCEIMRTGVKMSTTDLLNSVLALDDSILNADQIDSLTKLCPTKEEIDLLQGYTGDKQKLGKCEQFFLELMKVPRMESKLRVFSFKIQFHSQVAELRNNLNTINSTAEEIKSSIKLKRIMQTILSLGNALNQGTARGSAIGFKLDSLLKLSDIRTRNSKMTLMHYLCKVLADKLPEVLDFEKDIPSLETAVKIQLKVLAEEMQAISKGLEKVEQELTLSENDGLVSQAFHKTLKAFLVSAEAKVRTLTSLYSGVGRNADALALYFGEDPVRCPFEQVVSTLHTFVKMFGRAHEDNCKQVELDKKRAQKEAEMEKMKLSTPKKEIEHNMPISSNTRS >DRNTG_08550.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17310398:17316518:-1 gene:DRNTG_08550 transcript:DRNTG_08550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVASVTAMDLRRSEEKEGLPVEVFSKVQEMFSNMDWLDANTDATKTSVDNMGMIFQEKAENHAMPREQTSLPLPLKHEGQQLPPMPPPQPPPPPSTPPPQRRQSSSTHGDCITFPLRSRTSPLPSEVTSSLPPTLQPVSIGVAPPCPPPPPPIRSQRASPKIAPSTPPPPPPPSRTSSIYSSKSNSKENKGKDNDIVLQSLNAGAVSTTKPIGVMGRGLRRSISPKNSQFTNSSSRKILKPLHWVKVSRAMKGSLWAEPHRPDEAFKSLEIDMSELENLFSAAVPSAKEKSSRRSSVGSRTEKVHLVDLRRANNCEIMRTGVKMSTTDLLNSVLALDDSILNADQIDSLTKLCPTKEEIDLLQGYTGDKQKLGKCEQFFLELMKVPRMESKLRVFSFKIQFHSQVAELRNNLNTINSTAEEIKSSIKLKRIMQTILSLGNALNQGTARGSAIGFKLDSLLKLSDIRTRNSKMTLMHYLCKVLADKLPEVLDFEKDIPSLETAVKIQLKVLAEEMQAISKGLEKVEQELTLSENDGLVSQAFHKTLKAFLVSAEAKVRTLTSLYSGVGRNADALALYFGEDPVRCPFEQVVSTLHTFVKMFGRAHEDNCKQVELDKKRAQKEAEMEKMKLSTPKKEIEHNMPISSNTRS >DRNTG_13283.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2678361:2679953:-1 gene:DRNTG_13283 transcript:DRNTG_13283.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRISPVILSSPSFLLYCLQFLCFSPSFFSFIDFETRKKSISSSALPYKRTLSSWLKISAQDVAVKGSD >DRNTG_21058.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:4256739:4258419:-1 gene:DRNTG_21058 transcript:DRNTG_21058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLMSTVLFPNTSCSVPNWIVDYVDDLPAMGRYAWAQATHKWLMEDIPQAAARVQDRYGRKKTNTGYIKGCSVALNVWFYELTGTGKKVCFGKIPRMLCYGKSSYRKQATVETSLSSLEGKEALEGRSQSTAPSLQRNEPPGTDEPSEFDDDDIIGVAIPRRPHSKRLAKKRRTILPLSPPPADDETIATPSAADAVTESVAVDDMAMTVEDIVDDVAIAAVEKIVYSLVNEIPHPVEPVAEIAASKMDIIPEEQEQAKGMSPVDAFAVATTEKIVESVAVAVDVADSIASNQI >DRNTG_35026.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7971021:7972935:-1 gene:DRNTG_35026 transcript:DRNTG_35026.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGYISNLKLALFLAVSLVNADLANSDTLQMARIADPDGS >DRNTG_23343.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6073661:6074614:-1 gene:DRNTG_23343 transcript:DRNTG_23343.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHHSAEADGGGCDLLDGHGTQTQQQQRRANSVSGSGSASRGSEPLDSAIRVYNCDGEGEGSREALLGFTCLLKMVERKKEKRVCRYDHKGVTCVLLNIF >DRNTG_23343.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6073661:6074487:-1 gene:DRNTG_23343 transcript:DRNTG_23343.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHHSAEADGGGCDLLDGHGTQTQQQQRRANSVSGSGSASRGSEPLDSAIRVYNCDGEGEGSREALLGFTCLLKMVERKKEKRVCRYDHKGVTCVLLNIF >DRNTG_23343.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6073661:6074436:-1 gene:DRNTG_23343 transcript:DRNTG_23343.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHHSAEADGGGCDLLDGHGTQTQQQQRRANSVSGSGSASRGSEPLDSAIRVYNCDGEGEGSREALLGFTCLLKMVERKKEKRVCRYDHKGVTCVLLNIF >DRNTG_23343.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6073758:6074487:-1 gene:DRNTG_23343 transcript:DRNTG_23343.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHHSAEADGGGCDLLDGHGTQTQQQQRRANSVSGSGSASRGSEPLDSAIRVYNCDGEGEGSREALLGFTCLLKMVERKKEKRVCRYDH >DRNTG_23343.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6073758:6074614:-1 gene:DRNTG_23343 transcript:DRNTG_23343.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHHSAEADGGGCDLLDGHGTQTQQQQRRANSVSGSGSASRGSEPLDSAIRVYNCDGEGEGSREALLGFTCLLKMVERKKEKRVCRYDH >DRNTG_23343.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6073758:6074436:-1 gene:DRNTG_23343 transcript:DRNTG_23343.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHHSAEADGGGCDLLDGHGTQTQQQQRRANSVSGSGSASRGSEPLDSAIRVYNCDGEGEGSREALLGFTCLLKMVERKKEKRVCRYDH >DRNTG_23343.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6073426:6074436:-1 gene:DRNTG_23343 transcript:DRNTG_23343.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHHSAEADGGGCDLLDGHGTQTQQQQRRANSVSGSGSASRGSEPLDSAIRVYNCDGEGEGSREALLGFTCLLKMVERKKEKRVCRYDHKGVTCVLLNIF >DRNTG_01597.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9575131:9576323:-1 gene:DRNTG_01597 transcript:DRNTG_01597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKLVELLFYVHKWECSSVTAFVPLQMVVPNSNMRRAMDFEEKLLGAKMYAIEKQMEELDAMRLRQYDPALDFQERLLAAKMYALEKEMEELDAKRSLLSNPYPDVYNPYWGGYPHSSAPYHTQQWEYPLDGLL >DRNTG_34549.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002165.1:16272:20360:1 gene:DRNTG_34549 transcript:DRNTG_34549.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSAAAPTFTSAGSPIPSPMARSTSATSPHSVLKILMILIFSAGASPSGAPPSRTGFKESRSMSRGLSSV >DRNTG_34549.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002165.1:16272:20360:1 gene:DRNTG_34549 transcript:DRNTG_34549.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSAAAPTFTSAGSPIPSPMARSTSATSPHSVLKILMILIFSAGASPSGAPPSRTGFKESRSMSRGLSSV >DRNTG_22831.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7764351:7766018:1 gene:DRNTG_22831 transcript:DRNTG_22831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVARSGMVDHGAVDGMEESSLVLRFVKGQFLDFQESTIGAAFFLQMLVVGDAILKLEIWNTAGQERHLRHHCLCYHQHAVEILKEVYAIFWTLKRLYKLPCSEIESVDWS >DRNTG_19662.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2926403:2927299:-1 gene:DRNTG_19662 transcript:DRNTG_19662.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIYPAENRIDDEKPVVRSHRVSSWTVWKKSSMAFQGTDGFSVYDSNGGLAFRVDNYSRRHKRLAGELVLMDGAGAVLFALRPQIFSMHDQWNGFKEEKEGLRAGSKRHVFSMRKRSVLQSSDETDVFMVSSGGHLSPKPDYRIEGCFWRRNCKIRGSSGEVLAKISRKKANSSTILSDDVFSLTVEQGADCELIMAFMVVLDRICQKPFSPILCSSNAVH >DRNTG_34176.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7023686:7026998:-1 gene:DRNTG_34176 transcript:DRNTG_34176.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAKQRRAKTKAATPEVPMKIRVEKALDAIYVCCFGKDPIEDEDARLLCSMLNAVFPSVGKSEIDRIVRTTAMELAEGKRIIYVEPKPSSKEALERQLKDLQQLKDLQFLKEKDG >DRNTG_09033.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31343987:31345774:1 gene:DRNTG_09033 transcript:DRNTG_09033.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLVPLKLLGKNLPLRQTNLGLLLMVLQSVLSILLNQMGVASSKCSVISSKTVIVSPLKDNGYFAVERSYHVTTSSPLHSNTKEPIRREHIRGRLDFDDSDLPASSEKPLDTDSSTSSTDGETQEFDFDLPDLDILGRDFSFSQLLVDFDIDCEKIPGGESTTTDLLPGAMHNVFSGCMETNQTSVAPCESSLTALSPEDSNMQASDSVAALRSVTRCIILKQGEIQPHRP >DRNTG_09033.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31342533:31343154:1 gene:DRNTG_09033 transcript:DRNTG_09033.3 gene_biotype:protein_coding transcript_biotype:protein_coding FILFFLLLLLSSSLTVFSITYWFSWRVGSPIHHGKAQQRPQEREPGQRQGDPCPDRLHCRPLPRG >DRNTG_09033.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31342533:31345774:1 gene:DRNTG_09033 transcript:DRNTG_09033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHSKGRKRENLGKGKVTPVQIAFIVGRYLEDNHYTNTLSSFKSEAVDLFSRTKGKEVPKGLMGLGDILDEYISLKEQRLMVDHEKRRVETALKGFQDVMRAYYSVSVGVGNIPLPSSTPLLPPCASVAASMAPLYTPPINTCNASPSGHIINASPMLNHEQQSVFQQKAAENRSSSTHAPKSSSANKRKATRSFQKHPSAPKRSCVQSLKDSFNEQGNTLSSENACAKSTIGDTEKPLAAESTSGDNLKLASTVQESAVARTSLLKHPCDHEGSSGSPKTPGQKFATQANKFRSPLDGSPVRIINTTESNGSCLIQVFCNI >DRNTG_24607.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1309147:1310703:-1 gene:DRNTG_24607 transcript:DRNTG_24607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQLSNMLSKALPFLRGDLQTIDPSLPNLITLLQSSGAGECWHKDGCFLSHLTDVYRILKLWNAPNSIALCGLFHSVYSNSYVNLALFDINSDGRETLRGLIGVEAERLVHLFCIVDRQTLIHDDIIFKYSDDELVYHLQCSEESVIKVRETGISNSNEQWRQKLQSILPAQGIKVKHIKNRRRSASIKKNGSSICAHDNGGFQ >DRNTG_10684.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000450.1:30111:36860:-1 gene:DRNTG_10684 transcript:DRNTG_10684.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFNRTNAISRLHHGDVEGWIYAKWTKEQKKEMDELEAQNGLANERDGSMPSGRKSRRKRWMRGGVD >DRNTG_05381.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13412791:13416285:1 gene:DRNTG_05381 transcript:DRNTG_05381.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMIVDDKELYKPPSLEELLHCLLSLNSRRHHCTIISAFSEIYHHR >DRNTG_11511.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29470711:29475683:1 gene:DRNTG_11511 transcript:DRNTG_11511.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRISTSSATPKKKICAFMDFPMSNGKLIYLQRRFLRSFLSLLWELILQEMECKIRTGYLWLLFTVMHGYFLLHSTFGARFGFDKSERKRLFGMINDLPTIYEVVNGKDKVRTPASNHSNNKSKTNSKQRAQEPQTKNLKSIQPKEEDEGPDEEDEDEHGETLCGACGENYASDEFWICCDVCENWFHGKCVKITPARAEHIKQYKCPSCSNKRPRAS >DRNTG_29836.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23183474:23185150:1 gene:DRNTG_29836 transcript:DRNTG_29836.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALIEAEKILCSALERALVVENAQNQNFELKRQIDILQEENKLLDRTNCQKVVEVEKLVQTVHELEESLLSNGETNNALLEYQRKISELNEEKKEVERELAKVKKTANRMDGNENVMPVKQLLDERKYLQGEINRLRDKLVVSERTAKADAQLKDKFRLRLKTLEECLKKQSGPKRRPLSDQPRPALNANRVTKDVGSNRIMKKVNTPKTVTNSRKNLVPSSKVSDDNCEKVDEIFSEQAEMSARNCKDECDDHEDMVSGFLYDRLQKEIIKLRKSQEEKDGVLIAKEDEIKMLLRKIDALTRMTKDAEIIKLKNIHENNSKKSSLTKRTVKQNAVSTKQLAASNKTIRRE >DRNTG_29836.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23182429:23185150:1 gene:DRNTG_29836 transcript:DRNTG_29836.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSSPDPIMVELHRLENRIKDKERELEHANNEIKALKMTELMKDKAVVELSNELMKLDEKLRATEKQLEDKNVEIKKLSKEKKESMTAQFAAEAALRRVHAVQKDETSVSVESLVAPLESKIKMYKNEISLLKEDNKALESITKSKDMALIEAEKILCSALERALVVENAQNQNFELKRQIDILQEENKLLDRTNCQKVVEVEKLVQTVHELEESLLSNGETNNALLEYQRKISELNEEKKEVERELAKVKKTANRMDGNENVMPVKQLLDERKYLQGEINRLRDKLVVSERTAKADAQLKDKFRLRLKTLEECLKKQSGPKRRPLSDQPRPALNANRVTKDVGSNRIMKKVNTPKTVTNSRKNLVPSSKVSDDNCEKVDEIFSEQAEMSARNCKDECDDHEDMVSGFLYDRLQKEIIKLRKSQEEKDGVLIAKEDEIKMLLRKIDALTRMTKDAEIIKLKNIHENNSKKSSLTKRTVKQNAVSTKQLAASNKTIRRE >DRNTG_29836.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23182429:23185150:1 gene:DRNTG_29836 transcript:DRNTG_29836.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNELITYLNEFLIMSQEENKLLDRTNCQKVVEVEKLVQTVHELEESLLSNGETNNALLEYQRKISELNEEKKEVERELAKVKKTANRMDGNENVMPVKQLLDERKYLQGEINRLRDKLVVSERTAKADAQLKDKFRLRLKTLEECLKKQSGPKRRPLSDQPRPALNANRVTKDVGSNRIMKKVNTPKTVTNSRKNLVPSSKVSDDNCEKVDEIFSEQAEMSARNCKDECDDHEDMVSGFLYDRLQKEIIKLRKSQEEKDGVLIAKEDEIKMLLRKIDALTRMTKDAEIIKLKNIHENNSKKSSLTKRTVKQNAVSTKQLAASNKTIRRE >DRNTG_29836.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23182429:23185150:1 gene:DRNTG_29836 transcript:DRNTG_29836.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNELITYLNEFLIMSQEENKLLDRTNCQKVVEVEKLVQTVHELEESLLSNGETNNALLEYQRKISELNEEKKEVERELAKVKKTANRMDGNENVMPVKQLLDERKYLQGEINRLRDKLVVSERTAKADAQLKDKFRLRLKTLEECLKKQSGPKRRPLSDQPRPALNANRVTKDVGSNRIMKKVNTPKTVTNSRKNLVPSSKVSDDNCEKVDEIFSEQAEMSARNCKDECDDHEDMVSGFLYDRLQKEIIKLRKSQEEKDGVLIAKEDEIKMLLRKIDALTRMTKDAEIIKLKNIHENNSKKSSLTKR >DRNTG_16458.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15347681:15348519:-1 gene:DRNTG_16458 transcript:DRNTG_16458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTKGTCKKWKTSSHAAHIIGLQIVPLNEKALLKAITNQPVSTVINSNGLDFKFYSSGVCIDHYGAIPNHVYKIVGYGKNCEGTKYLLIKNSWEEAWEKNGNVRLPRNIGVN >DRNTG_11049.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3362319:3362846:1 gene:DRNTG_11049 transcript:DRNTG_11049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCAQVITSKIYQECHKLTRHSNKGITMCISFCA >DRNTG_05953.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20127492:20133549:1 gene:DRNTG_05953 transcript:DRNTG_05953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMFCYACWLIAVARTPDSGFNPITSDMQINIDDLPSETDFYPWLLVAHRRGCGHGHRDGIHASHMDVDVAAAEQTDGTIGQIDISIPKHFVAGGLRDGMRRRARGGFLACHTQHFEYDKVDMTPLSYCTIPHGFLMECTVPNNPRDSNTVVSWDSSFGVIPIQENNSLPKAFHPSQYYNKVVKSSQERSFFGNDSEEDEEDGSFADSEDEMVEDDGPDDMMTLIQYQYKALREALIRKGSQTRANEDRVFCFCNKLPNAWGWAAILADGYSRIRDINGSSFTDRADTPLAFNPTYINTDLDLCALTISNLTSNDTWNREKLCLLFGDNMVYFSHKLGSIDYTASNHWELNVAPRVKHFIWLVFKDRLSTSKYLYSIGLGPRNTCVLCGLEYEMINHLFALCPRAIDVWLMVSQKVGISISFQDGFHSSTWLINGGYSKFIQSAIIAAAWALTHVLDFSCVLMSLDGKMLLLNNFSNAIGSFLFISSHWNDANKDPDPFMLEMLLILNYLPWKLPQRVLFLKAFKSGTSLSLLLRTLKLSIVIL >DRNTG_09739.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1712829:1714134:1 gene:DRNTG_09739 transcript:DRNTG_09739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNFTGGVFVDGYNIKDLDLRFLRRNIAAVSQEPSLFTGTIKDNLKVGNLDASDDQIAQAALTANIHLFISQLPNQYSTEVKNLVNTR >DRNTG_32657.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001885.1:69233:69671:-1 gene:DRNTG_32657 transcript:DRNTG_32657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIRNASEIETLITGLGSGSEFHPLSSFLPEPFAPTDLLRDDVPLLLEPHDNHFEMIETCDEIGGAARKVKIAAEGGAREAHAPYTRCAAGFDVVDIEGMGSRRGVCGVSSV >DRNTG_26072.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4183996:4184342:1 gene:DRNTG_26072 transcript:DRNTG_26072.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLESKHEEAVEIKEPEKLPPSLTWPLLLFLPAACCRS >DRNTG_03116.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13796069:13798658:1 gene:DRNTG_03116 transcript:DRNTG_03116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLNNSHYGLHNSHCEDVMASMLQAVSSCCHGSIRVVSSGEVGKSGSVYGRWSTGGVGEARGDSGAIGVVMVKHSSTADGADVFSVVVIEREEASTAAAVAGAGSGIGGIVVAVVSGIDSSLNDLKSLIQAFHNKGIKTVADIVINHRCADKNDGGTPDSHLDWGPTMICKDDTPYSDGTGNLDTGEGFAAAPDNGHLNIRVQNELSNWLNWLKSDIGFDAWRVDFAKDTQQILQ >DRNTG_31290.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1165013:1166714:1 gene:DRNTG_31290 transcript:DRNTG_31290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHVILFPFMAQGHITPFISLAELLHRRWPELTITIINTPRNIQSIQSSFPNSIPTIRFRSLPFSPSEHGLPPNTESTAGLSFPQFLNLFIASESLRSQFTQLLHQIITEDGGRLPSCIISDNFLTWTVDIAEKLNIFHSTLITSSAYGTAISFTLWLHMPKLPAIETHSLSLPDFPEINIHWSQLPRQLFVPDDNFVSKYSSFLQRQTLHCFKSNAILLNTVKEFETAGLRMLEKLIIGVPLFPIGPLLRQPSPPSSSSLSLSLSSSSCVEWLDKHSPETVLYISFGSQNSINSSQMMELAAGLEASEKAFIWVIRPPFGVEFTGEFKLDQWLPEGFEERMREKKQGLLVHAWAPQVEILAHESTSAFLSHCGWNSVLESLSHGVPIIGWPLGAEQFYNSMFLEEVGVCVELGRGNREKMSCVKVEKERVKKVVEMVMGGGEKGVEMKKKAMEISDMIRGALMEVDNGNGLGSSLHGLDEFCNLVFSKLD >DRNTG_31290.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1164906:1166633:1 gene:DRNTG_31290 transcript:DRNTG_31290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHVILFPFMAQGHITPFISLAELLHRRWPELTITIINTPRNIQSIQSSFPNSIPTIRFRSLPFSPSEHGLPPNTESTAGLSFPQFLNLFIASESLRSQFTQLLHQIITEDGGRLPSCIISDNFLTWTVDIAEKLNIFHSTLITSSAYGTAISFTLWLHMPKLPAIETHSLSLPDFPEINIHWSQLPRQLFVPDDNFVSKYSSFLQRQTLHCFKSNAILLNTVKEFETAGLRMLEKLIIGVPLFPIGPLLRQPSPPSSSSLSLSLSSSSCVEWLDKHSPETVLYISFGSQNSINSSQMMELAAGLEASEKAFIWVIRPPFGVEFTGEFKLDQWLPEGFEERMREKKQGLLVHAWAPQVEILAHESTSAFLSHCGWNSVLESLSHGVPIIGWPLGAEQFYNSMFLEEVGVCVELGRGNREKMSCVKVEKERVKKVVEMVMGGGEKGVEMKKKAMEISDMIRGALMEVDNGNGLGSSLHGLDEFCNLVFSKLD >DRNTG_31290.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1164944:1166633:1 gene:DRNTG_31290 transcript:DRNTG_31290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHVILFPFMAQGHITPFISLAELLHRRWPELTITIINTPRNIQSIQSSFPNSIPTIRFRSLPFSPSEHGLPPNTESTAGLSFPQFLNLFIASESLRSQFTQLLHQIITEDGGRLPSCIISDNFLTWTVDIAEKLNIFHSTLITSSAYGTAISFTLWLHMPKLPAIETHSLSLPDFPEINIHWSQLPRQLFVPDDNFVSKYSSFLQRQTLHCFKSNAILLNTVKEFETAGLRMLEKLIIGVPLFPIGPLLRQPSPPSSSSLSLSLSSSSCVEWLDKHSPETVLYISFGSQNSINSSQMMELAAGLEASEKAFIWVIRPPFGVEFTGEFKLDQWLPEGFEERMREKKQGLLVHAWAPQVEILAHESTSAFLSHCGWNSVLESLSHGVPIIGWPLGAEQFYNSMFLEEVGVCVELGRGNREKMSCVKVEKERVKKVVEMVMGGGEKGVEMKKKAMEISDMIRGALMEVDNGNGLGSSLHGLDEFCNLVFSKLD >DRNTG_31290.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1165013:1166633:1 gene:DRNTG_31290 transcript:DRNTG_31290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHVILFPFMAQGHITPFISLAELLHRRWPELTITIINTPRNIQSIQSSFPNSIPTIRFRSLPFSPSEHGLPPNTESTAGLSFPQFLNLFIASESLRSQFTQLLHQIITEDGGRLPSCIISDNFLTWTVDIAEKLNIFHSTLITSSAYGTAISFTLWLHMPKLPAIETHSLSLPDFPEINIHWSQLPRQLFVPDDNFVSKYSSFLQRQTLHCFKSNAILLNTVKEFETAGLRMLEKLIIGVPLFPIGPLLRQPSPPSSSSLSLSLSSSSCVEWLDKHSPETVLYISFGSQNSINSSQMMELAAGLEASEKAFIWVIRPPFGVEFTGEFKLDQWLPEGFEERMREKKQGLLVHAWAPQVEILAHESTSAFLSHCGWNSVLESLSHGVPIIGWPLGAEQFYNSMFLEEVGVCVELGRGNREKMSCVKVEKERVKKVVEMVMGGGEKGVEMKKKAMEISDMIRGALMEVDNGNGLGSSLHGLDEFCNLVFSKLD >DRNTG_11882.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15171357:15187272:-1 gene:DRNTG_11882 transcript:DRNTG_11882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPIRFRPRGGGGGRGGWSRPPFRPPFASNPNYASSSSNPTQYSPNPGVQNPRAPNPVTIDQVEAAVAKAHRELIAAGEGVSVWKLCQNAALSLQADSWNALGYGFYQVPFLRNLRAIEGKVNVFIHCFVSVRKMTSLHDLDSEICKSEGIEKFDELGLGPLLRYPLVQYYFSVPADAKEVCKITFEQLIGRLSVFVYRYRNKEMKVEEFLDFLVEKHSVKTREELGVRIQSLGLFIKFIKGCRRTESAALSSIRESGLVKAGNERPPETNPEASTSSIFPSDEQDFLVNDDNENDSCNNVEKQKSSSSLHLSIIDDMKLLGMKLAKLDTKNDEKKITRNEDRIEIQSPHIFKVIQTDQEKAGKLILNKKDIETFVASWKEACQKCSVPEVFALMLNLYTSSDKKKRKMQRTFMSYPAVALLNVAVKSIKFDMLDKLYDTINDMESLGHLSGPHADTAAIESSNEAMPVTNDSACIEEAVKSATVEGIIKQISNYFELDHLSPRRGDLLLKHFVLHGCEMWLKSNFSVKEFKSLGYGTFLEFLEKHSPLLHPEVFNLVRNFCSSSTFEVSMIQKQLISMLSQAEKNYGNGIGLTGQCISTILKRQFPSICFQIMSNEPERVFADLTKGQKHFDASSCIHFSASLLESSLNKNSSSHSKNNSSLASQITTEADQLAGPLGSVTSKDAMECLLKAPILSDLRMWSHWDLLFAPSLGPLLEWLLNVGSAKELSCLVASDGRIIRIEESTSFDDFFEAAIEGSSFKTAAKLLSLICDYGGSNNIPLPLLKCYARRAIEVIIHKYVDHEDANSSCRAPGQSNSGEFFFNEAEHGKIPFPGKNENNKVLEDLCRVNQAYSIVSKFILDCVGQLPSEFRSFATDIFISGLQSFTKDAAYIIISECMQINERIMIHEIGLSLGIVEWIKDYQAFGASSSEPMHTETDVWHRQSTYQNFPTDDGKTTSNSIADSGVLDKHNKTFRVVNAAISTSEEKTKKLEVLSSTISENNKIQDANLLIESIRKEEFGLDPDTKNAESILLKKQHARLGRALHCLSQELYSQDSHFLLELVQNADDNSYPALVEPTLVFILQDTGVIVLNNEQGFSDKNIRALCDVGSSTKKGSNGGYIGQKGIGFKSVFRITDAPEIHSNGFHVKFDITEGQIGFILPTIVPPCDLSSFKKHLGNFDNADSHSWNTCIILPFRSELRGGVAMGSIINLFLDLHPSLLLFLHRLQCIVFKNVIDDKLYIMKREILGDGLITVTHGKDKMNWLVVSQNLPASHIRPDVQTTMISLAFTLQESDSGNYKAYLDQQPVFAFLPLRKYGMKFILQGDFVLPSSREEVDGDSAWNQWLMSEFPNLFINAERSFCALPCFQECPGKGVTAYMGFVPLVGEVQGFFSHLPRMIISKLRLSNCLLLEGPGEEWVPPFKVVRGWDEQARNFLTDNLLKQNLGLGYLSQEIILPDPLAKALGVQEYGPRLLIDILSSICHVNANIRSLGIEWISKWLNAFNNSLSALSTTYLTSTHVEAESDLFAMIRDLPFIPLSDGSYGSVAEGPIWLPCDASSFKFEAEDGLHHFSDLYSKLRTVTPHLFSSNTGTFSGDETGVDDLIRILTKIGVQKLSAHDVITNLILPSVCNEKLTDSDVNLIVEYLSFIMIHLQSACSSCHTERAEIISMLQKKPILLTSHGFKSPFEEPVHFGKEYGNFVNINRLLGSTEIKWIEVDSIYLKYHSTHQLSFRISKWREFLLELGVTDFVKVNLVEKNVADILCTDVTSLPCFADQSGTRLFVKDWESPELVQILSALSIDEYIDKCKYLMEILDIMWDENFCTKVETDCVFLDGQKKLLQSSFLNCIRNTKWAACSLDDKLHYPKDLFYDCESVRSILGAVAPYAVPKVTSKKLLEDIGLKVQITLDDSLRVIQSLKNSDPPLASVSQMSKLYTFIWDEVVATKGKIEDIRSSLLVFIPSVLNSRHLDVVPGLFMTLDEVHWHDPTGCIDLLMKKKRKNISKSEVKHSSCVTLATFYPGLHDFFVLDCGVLESPSFGRYLQILLQLSSSVLPSEDVAHKVFQVFVRWADDFKSGLVKHEEIVDLKDALLKPEIAILPTLKEKWVSLHPSFGLVCWSGDEELVEQYGHLDDVFLIYFGELDSAEKEMLEGKVATLMQHIGIPSLSEVVCREAITYGKADNTEKASLVKWILPYAQRYLYKKHPDVYSHLKEKGLENISQLQVIVVEKLFYKNSLKGHHSAKNRRFESSSLLQGNILYATDTTDAHSVFLELSRLFFNGITDLHIANFLHMITTMFEMGSSNQQIESFIISNQKVPEIPDGEPIWSLSSSFQVGEDEISVPLYVPPMISRQWYPSNARNQNIQPCWPPPNWQTTAPNVNVALDNCPREAPAEISDKLPVTTTASNFPEPELFKATEQQNIPEDSIAEQTITETETERLFWEIQGMDQAWETGRQGEFVAYKYFTEKLGSTAVKWVNQDMETGLPYDLIIDEEPKSTYIEVKTTKSTSKDWFLISTNEWHCACEKGDSFRVAWVNLANPSKPKILIFKNPSKLCQQNVLQLAILVPSNNMKNSDI >DRNTG_11882.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15185399:15187272:-1 gene:DRNTG_11882 transcript:DRNTG_11882.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPIRFRPRGGGGGRGGWSRPPFRPPFASNPNYASSSSNPTQYSPNPGVQNPRAPNPVTIDQVEAAVAKAHRELIAAGEGVSVWKLCQNAALSLQADSWNALGYGFYQVPFLRNLRAIEGKVNVFIHCFVSVRKMTSLHDLDSEICKSEGIEKFDELGLGPLLRYPLVQYYFSVPADAKEVCKITFEQLIGRLSVFVYRYRNKEMKVEEFLDFLVEKHSVKTREELGVRIQSLGCVEERIVLVLYRI >DRNTG_11882.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15171357:15182526:-1 gene:DRNTG_11882 transcript:DRNTG_11882.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHMCAILFVDTVICYLGATVEGIIKQISNYFELDHLSPRRGDLLLKHFVLHGCEMWLKSNFSVKEFKSLGYGTFLEFLEKHSPLLHPEVFNLVRNFCSSSTFEVSMIQKQLISMLSQAEKNYGNGIGLTGQCISTILKRQFPSICFQIMSNEPERVFADLTKGQKHFDASSCIHFSASLLESSLNKNSSSHSKNNSSLASQITTEADQLAGPLGSVTSKDAMECLLKAPILSDLRMWSHWDLLFAPSLGPLLEWLLNVGSAKELSCLVASDGRIIRIEESTSFDDFFEAAIEGSSFKTAAKLLSLICDYGGSNNIPLPLLKCYARRAIEVIIHKYVDHEDANSSCRAPGQSNSGEFFFNEAEHGKIPFPGKNENNKVLEDLCRVNQAYSIVSKFILDCVGQLPSEFRSFATDIFISGLQSFTKDAAYIIISECMQINERIMIHEIGLSLGIVEWIKDYQAFGASSSEPMHTETDVWHRQSTYQNFPTDDGKTTSNSIADSGVLDKHNKTFRVVNAAISTSEEKTKKLEVLSSTISENNKIQDANLLIESIRKEEFGLDPDTKNAESILLKKQHARLGRALHCLSQELYSQDSHFLLELVQNADDNSYPALVEPTLVFILQDTGVIVLNNEQGFSDKNIRALCDVGSSTKKGSNGGYIGQKGIGFKSVFRITDAPEIHSNGFHVKFDITEGQIGFILPTIVPPCDLSSFKKHLGNFDNADSHSWNTCIILPFRSELRGGVAMGSIINLFLDLHPSLLLFLHRLQCIVFKNVIDDKLYIMKREILGDGLITVTHGKDKMNWLVVSQNLPASHIRPDVQTTMISLAFTLQESDSGNYKAYLDQQPVFAFLPLRKYGMKFILQGDFVLPSSREEVDGDSAWNQWLMSEFPNLFINAERSFCALPCFQECPGKGVTAYMGFVPLVGEVQGFFSHLPRMIISKLRLSNCLLLEGPGEEWVPPFKVVRGWDEQARNFLTDNLLKQNLGLGYLSQEIILPDPLAKALGVQEYGPRLLIDILSSICHVNANIRSLGIEWISKWLNAFNNSLSALSTTYLTSTHVEAESDLFAMIRDLPFIPLSDGSYGSVAEGPIWLPCDASSFKFEAEDGLHHFSDLYSKLRTVTPHLFSSNTGTFSGDETGVDDLIRILTKIGVQKLSAHDVITNLILPSVCNEKLTDSDVNLIVEYLSFIMIHLQSACSSCHTERAEIISMLQKKPILLTSHGFKSPFEEPVHFGKEYGNFVNINRLLGSTEIKWIEVDSIYLKYHSTHQLSFRISKWREFLLELGVTDFVKVNLVEKNVADILCTDVTSLPCFADQSGTRLFVKDWESPELVQILSALSIDEYIDKCKYLMEILDIMWDENFCTKVETDCVFLDGQKKLLQSSFLNCIRNTKWAACSLDDKLHYPKDLFYDCESVRSILGAVAPYAVPKVTSKKLLEDIGLKVQITLDDSLRVIQSLKNSDPPLASVSQMSKLYTFIWDEVVATKGKIEDIRSSLLVFIPSVLNSRHLDVVPGLFMTLDEVHWHDPTGCIDLLMKKKRKNISKSEVKHSSCVTLATFYPGLHDFFVLDCGVLESPSFGRYLQILLQLSSSVLPSEDVAHKVFQVFVRWADDFKSGLVKHEEIVDLKDALLKPEIAILPTLKEKWVSLHPSFGLVCWSGDEELVEQYGHLDDVFLIYFGELDSAEKEMLEGKVATLMQHIGIPSLSEVVCREAITYGKADNTEKASLVKWILPYAQRYLYKKHPDVYSHLKEKGLENISQLQVIVVEKLFYKNSLKGHHSAKNRRFESSSLLQGNILYATDTTDAHSVFLELSRLFFNGITDLHIANFLHMITTMFEMGSSNQQIESFIISNQKVPEIPDGEPIWSLSSSFQVGEDEISVPLYVPPMISRQWYPSNARNQNIQPCWPPPNWQTTAPNVNVALDNCPREAPAEISDKLPVTTTASNFPEPELFKATEQQNIPEDSIAEQTITETETERLFWEIQGMDQAWETGRQGEFVAYKYFTEKLGSTAVKWVNQDMETGLPYDLIIDEEPKSTYIEVKTTKSTSKDWFLISTNEWHCACEKGDSFRVAWVNLANPSKPKILIFKNPSKLCQQNVLQLAILVPSNNMKNSDI >DRNTG_11882.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15185399:15187272:-1 gene:DRNTG_11882 transcript:DRNTG_11882.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPIRFRPRGGGGGRGGWSRPPFRPPFASNPNYASSSSNPTQYSPNPGVQNPRAPNPVTIDQVEAAVAKAHRELIAAGEGVSVWKLCQNAALSLQADSWNALGYGFYQVPFLRNLRAIEGKVNVFIHCFVSVRKMTSLHDLDSEICKSEGIEKFDELGLGPLLRYPLVQYYFSVPADAKEVCKITFEQLIGRLSVFVYRYRNKEMKVEEFLDFLVEKHSVKTREELGVRIQSLGLFIKFIKGCRRTESAALSSIRESGLVKAGNERPPETNPEASTSSIFPSDEQDFLVNDDNENDSCNNVEKQKSSSSLHLSIIDDMKLLGMKLAKLDTKNDEKKITRNEDRIEIQSPHIFKVIQTDQEKAGKLILNKKDIETFVASWKEACQKCSVPEVSV >DRNTG_11882.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15171357:15173079:-1 gene:DRNTG_11882 transcript:DRNTG_11882.11 gene_biotype:protein_coding transcript_biotype:protein_coding MITTMFEMGSSNQQIESFIISNQKVPEIPDGEPIWSLSSSFQVGEDEISVPLYVPPMISRQWYPSNARNQNIQPCWPPPNWQTTAPNVNVALDNCPREAPAEISDKLPVTTTASNFPEPELFKATEQQNIPEDSIAEQTITETETERLFWEIQGMDQAWETGRQGEFVAYKYFTEKLGSTAVKWVNQDMETGLPYDLIIDEEPKSTYIEVKTTKSTSKDWFLISTNEWHCACEKGDSFRVAWVNLANPSKPKILIFKNPSKLCQQNVLQLAILVPSNNMKNSDI >DRNTG_11882.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15171357:15174060:-1 gene:DRNTG_11882 transcript:DRNTG_11882.7 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSVSQMSKLYTFIWDEVVATKGKIEDIRSSLLVFIPSVLNSRHLDVVPGLFMTLDEVHWHDPTGCIDLLMKKKRKNISKSEVKHSSCVTLATFYPGLHDFFVLDCGVLESPSFGRYLQILLQLSSSVLPSEDVAHKVFQVFVRWADDFKSGLVKHEEIVDLKDALLKPEIAILPTLKEKWVSLHPSFGLVCWSGDEELVEQYGHLDDVFLIYFGELDSAEKEMLEGKVATLMQHIGIPSLSEVVCREAITYGKADNTEKASLVKWILPYAQRYLYKKHPDVYSHLKEKGLENISQLQVIVVEKLFYKNSLKGHHSAKNRRFESSSLLQGNILYATDTTDAHSVFLELSRLFFNGITDLHIANFLHMITTMFEMGSSNQQIESFIISNQKVPEIPDGEPIWSLSSSFQVGEDEISVPLYVPPMISRQWYPSNARNQNIQPCWPPPNWQTTAPNVNVALDNCPREAPAEISDKLPVTTTASNFPEPELFKATEQQNIPEDSIAEQTITETETERLFWEIQGMDQAWETGRQGEFVAYKYFTEKLGSTAVKWVNQDMETGLPYDLIIDEEPKSTYIEVKTTKSTSKDWFLISTNEWHCACEKGDSFRVAWVNLANPSKPKILIFKNPSKLCQQNVLQLAILVPSNNMKNSDI >DRNTG_11882.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15171357:15178280:-1 gene:DRNTG_11882 transcript:DRNTG_11882.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIINLFLDLHPSLLLFLHRLQCIVFKNVIDDKLYIMKREILGDGLITVTHGKDKMNWLVVSQNLPASHIRPDVQTTMISLAFTLQESDSGNYKAYLDQQPVFAFLPLRKYGMKFILQGDFVLPSSREEVDGDSAWNQWLMSEFPNLFINAERSFCALPCFQECPGKGVTAYMGFVPLVGEVQGFFSHLPRMIISKLRLSNCLLLEGPGEEWVPPFKVVRGWDEQARNFLTDNLLKQNLGLGYLSQEIILPDPLAKALGVQEYGPRLLIDILSSICHVNANIRSLGIEWISKWLNAFNNSLSALSTTYLTSTHVEAESDLFAMIRDLPFIPLSDGSYGSVAEGPIWLPCDASSFKFEAEDGLHHFSDLYSKLRTVTPHLFSSNTGTFSGDETGVDDLIRILTKIGVQKLSAHDVITNLILPSVCNEKLTDSDVNLIVEYLSFIMIHLQSACSSCHTERAEIISMLQKKPILLTSHGFKSPFEEPVHFGKEYGNFVNINRLLGSTEIKWIEVDSIYLKYHSTHQLSFRISKWREFLLELGVTDFVKVNLVEKNVADILCTDVTSLPCFADQSGTRLFVKDWESPELVQILSALSIDEYIDKCKYLMEILDIMWDENFCTKVETDCVFLDGQKKLLQSSFLNCIRNTKWAACSLDDKLHYPKDLFYDCESVRSILGAVAPYAVPKVTSKKLLEDIGLKVQITLDDSLRVIQSLKNSDPPLASVSQMSKLYTFIWDEVVATKGKIEDIRSSLLVFIPSVLNSRHLDVVPGLFMTLDEVHWHDPTGCIDLLMKKKRKNISKSEVKHSSCVTLATFYPGLHDFFVLDCGVLESPSFGRYLQILLQLSSSVLPSEDVAHKVFQVFVRWADDFKSGLVKHEEIVDLKDALLKPEIAILPTLKEKWVSLHPSFGLVCWSGDEELVEQYGHLDDVFLIYFGELDSAEKEMLEGKVATLMQHIGIPSLSEVVCREAITYGKADNTEKASLVKWILPYAQRYLYKKHPDVYSHLKEKGLENISQLQVIVVEKLFYKNSLKGHHSAKNRRFESSSLLQGNILYATDTTDAHSVFLELSRLFFNGITDLHIANFLHMITTMFEMGSSNQQIESFIISNQKVPEIPDGEPIWSLSSSFQVGEDEISVPLYVPPMISRQWYPSNARNQNIQPCWPPPNWQTTAPNVNVALDNCPREAPAEISDKLPVTTTASNFPEPELFKATEQQNIPEDSIAEQTITETETERLFWEIQGMDQAWETGRQGEFVAYKYFTEKLGSTAVKWVNQDMETGLPYDLIIDEEPKSTYIEVKTTKSTSKDWFLISTNEWHCACEKGDSFRVAWVNLANPSKPKILIFKNPSKLCQQNVLQLAILVPSNNMKNSDI >DRNTG_11882.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15171357:15179825:-1 gene:DRNTG_11882 transcript:DRNTG_11882.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIINLFLDLHPSLLLFLHRLQCIVFKNVIDDKLYIMKREILGDGLITVTHGKDKMNWLVVSQNLPASHIRPDVQTTMISLAFTLQESDSGNYKAYLDQQPVFAFLPLRKYGMKFILQGDFVLPSSREEVDGDSAWNQWLMSEFPNLFINAERSFCALPCFQECPGKGVTAYMGFVPLVGEVQGFFSHLPRMIISKLRLSNCLLLEGPGEEWVPPFKVVRGWDEQARNFLTDNLLKQNLGLGYLSQEIILPDPLAKALGVQEYGPRLLIDILSSICHVNANIRSLGIEWISKWLNAFNNSLSALSTTYLTSTHVEAESDLFAMIRDLPFIPLSDGSYGSVAEGPIWLPCDASSFKFEAEDGLHHFSDLYSKLRTVTPHLFSSNTGTFSGDETGVDDLIRILTKIGVQKLSAHDVITNLILPSVCNEKLTDSDVNLIVEYLSFIMIHLQSACSSCHTERAEIISMLQKKPILLTSHGFKSPFEEPVHFGKEYGNFVNINRLLGSTEIKWIEVDSIYLKYHSTHQLSFRISKWREFLLELGVTDFVKVNLVEKNVADILCTDVTSLPCFADQSGTRLFVKDWESPELVQILSALSIDEYIDKCKYLMEILDIMWDENFCTKVETDCVFLDGQKKLLQSSFLNCIRNTKWAACSLDDKLHYPKDLFYDCESVRSILGAVAPYAVPKVTSKKLLEDIGLKVQITLDDSLRVIQSLKNSDPPLASVSQMSKLYTFIWDEVVATKGKIEDIRSSLLVFIPSVLNSRHLDVVPGLFMTLDEVHWHDPTGCIDLLMKKKRKNISKSEVKHSSCVTLATFYPGLHDFFVLDCGVLESPSFGRYLQILLQLSSSVLPSEDVAHKVFQVFVRWADDFKSGLVKHEEIVDLKDALLKPEIAILPTLKEKWVSLHPSFGLVCWSGDEELVEQYGHLDDVFLIYFGELDSAEKEMLEGKVATLMQHIGIPSLSEVVCREAITYGKADNTEKASLVKWILPYAQRYLYKKHPDVYSHLKEKGLENISQLQVIVVEKLFYKNSLKGHHSAKNRRFESSSLLQGNILYATDTTDAHSVFLELSRLFFNGITDLHIANFLHMITTMFEMGSSNQQIESFIISNQKVPEIPDGEPIWSLSSSFQVGEDEISVPLYVPPMISRQWYPSNARNQNIQPCWPPPNWQTTAPNVNVALDNCPREAPAEISDKLPVTTTASNFPEPELFKATEQQNIPEDSIAEQTITETETERLFWEIQGMDQAWETGRQGEFVAYKYFTEKLGSTAVKWVNQDMETGLPYDLIIDEEPKSTYIEVKTTKSTSKDWFLISTNEWHCACEKGDSFRVAWVNLANPSKPKILIFKNPSKLCQQNVLQLAILVPSNNMKNSDI >DRNTG_11882.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15171357:15184757:-1 gene:DRNTG_11882 transcript:DRNTG_11882.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLYTSSDKKKRKMQRTFMSYPAVALLNVAVKSIKFDMLDKLYDTINDMESLGHLSGPHADTAAIESSNEAMPVTNDSACIEEAVKSATVEGIIKQISNYFELDHLSPRRGDLLLKHFVLHGCEMWLKSNFSVKEFKSLGYGTFLEFLEKHSPLLHPEVFNLVRNFCSSSTFEVSMIQKQLISMLSQAEKNYGNGIGLTGQCISTILKRQFPSICFQIMSNEPERVFADLTKGQKHFDASSCIHFSASLLESSLNKNSSSHSKNNSSLASQITTEADQLAGPLGSVTSKDAMECLLKAPILSDLRMWSHWDLLFAPSLGPLLEWLLNVGSAKELSCLVASDGRIIRIEESTSFDDFFEAAIEGSSFKTAAKLLSLICDYGGSNNIPLPLLKCYARRAIEVIIHKYVDHEDANSSCRAPGQSNSGEFFFNEAEHGKIPFPGKNENNKVLEDLCRVNQAYSIVSKFILDCVGQLPSEFRSFATDIFISGLQSFTKDAAYIIISECMQINERIMIHEIGLSLGIVEWIKDYQAFGASSSEPMHTETDVWHRQSTYQNFPTDDGKTTSNSIADSGVLDKHNKTFRVVNAAISTSEEKTKKLEVLSSTISENNKIQDANLLIESIRKEEFGLDPDTKNAESILLKKQHARLGRALHCLSQELYSQDSHFLLELVQNADDNSYPALVEPTLVFILQDTGVIVLNNEQGFSDKNIRALCDVGSSTKKGSNGGYIGQKGIGFKSVFRITDAPEIHSNGFHVKFDITEGQIGFILPTIVPPCDLSSFKKHLGNFDNADSHSWNTCIILPFRSELRGGVAMGSIINLFLDLHPSLLLFLHRLQCIVFKNVIDDKLYIMKREILGDGLITVTHGKDKMNWLVVSQNLPASHIRPDVQTTMISLAFTLQESDSGNYKAYLDQQPVFAFLPLRKYGMKFILQGDFVLPSSREEVDGDSAWNQWLMSEFPNLFINAERSFCALPCFQECPGKGVTAYMGFVPLVGEVQGFFSHLPRMIISKLRLSNCLLLEGPGEEWVPPFKVVRGWDEQARNFLTDNLLKQNLGLGYLSQEIILPDPLAKALGVQEYGPRLLIDILSSICHVNANIRSLGIEWISKWLNAFNNSLSALSTTYLTSTHVEAESDLFAMIRDLPFIPLSDGSYGSVAEGPIWLPCDASSFKFEAEDGLHHFSDLYSKLRTVTPHLFSSNTGTFSGDETGVDDLIRILTKIGVQKLSAHDVITNLILPSVCNEKLTDSDVNLIVEYLSFIMIHLQSACSSCHTERAEIISMLQKKPILLTSHGFKSPFEEPVHFGKEYGNFVNINRLLGSTEIKWIEVDSIYLKYHSTHQLSFRISKWREFLLELGVTDFVKVNLVEKNVADILCTDVTSLPCFADQSGTRLFVKDWESPELVQILSALSIDEYIDKCKYLMEILDIMWDENFCTKVETDCVFLDGQKKLLQSSFLNCIRNTKWAACSLDDKLHYPKDLFYDCESVRSILGAVAPYAVPKVTSKKLLEDIGLKVQITLDDSLRVIQSLKNSDPPLASVSQMSKLYTFIWDEVVATKGKIEDIRSSLLVFIPSVLNSRHLDVVPGLFMTLDEVHWHDPTGCIDLLMKKKRKNISKSEVKHSSCVTLATFYPGLHDFFVLDCGVLESPSFGRYLQILLQLSSSVLPSEDVAHKVFQVFVRWADDFKSGLVKHEEIVDLKDALLKPEIAILPTLKEKWVSLHPSFGLVCWSGDEELVEQYGHLDDVFLIYFGELDSAEKEMLEGKVATLMQHIGIPSLSEVVCREAITYGKADNTEKASLVKWILPYAQRYLYKKHPDVYSHLKEKGLENISQLQVIVVEKLFYKNSLKGHHSAKNRRFESSSLLQGNILYATDTTDAHSVFLELSRLFFNGITDLHIANFLHMITTMFEMGSSNQQIESFIISNQKVPEIPDGEPIWSLSSSFQVGEDEISVPLYVPPMISRQWYPSNARNQNIQPCWPPPNWQTTAPNVNVALDNCPREAPAEISDKLPVTTTASNFPEPELFKATEQQNIPEDSIAEQTITETETERLFWEIQGMDQAWETGRQGEFVAYKYFTEKLGSTAVKWVNQDMETGLPYDLIIDEEPKSTYIEVKTTKSTSKDWFLISTNEWHCACEKGDSFRVAWVNLANPSKPKILIFKNPSKLCQQNVLQLAILVPSNNMKNSDI >DRNTG_11882.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15182830:15187272:-1 gene:DRNTG_11882 transcript:DRNTG_11882.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPIRFRPRGGGGGRGGWSRPPFRPPFASNPNYASSSSNPTQYSPNPGVQNPRAPNPVTIDQVEAAVAKAHRELIAAGEGVSVWKLCQNAALSLQADSWNALGYGFYQVPFLRNLRAIEGKVRS >DRNTG_11882.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15185399:15187272:-1 gene:DRNTG_11882 transcript:DRNTG_11882.10 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLHDLDSEICKSEGIEKFDELGLGPLLRYPLVQYYFSVPADAKEVCKITFEQLIGRLSVFVYRYRNKEMKVEEFLDFLVEKHSVKTREELGVRIQSLGLFIKFIKGCRRTESAALSSIRESGLVKAGNERPPETNPEASTSSIFPSDEQDFLVNDDNENDSCNNVEKQKSSSSLHLSIIDDMKLLGMKLAKLDTKNDEKKITRNEDRIEIQSPHIFKVIQTDQEKAGKLILNKKDIETFVASWKEACQKCSVPEVSV >DRNTG_01749.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4331095:4334448:-1 gene:DRNTG_01749 transcript:DRNTG_01749.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:matK MGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANE >DRNTG_01749.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4331095:4334370:-1 gene:DRNTG_01749 transcript:DRNTG_01749.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:matK MKMEELQGYLEKDGSRQRYFLFLYPLLFQEYIYTLAHDHGLNGSIFVEILDYDNKSSSVLVKHLIIRMYQQNYLIYSADDSNQNRIVGHNNFFYSQMISEGFAVSMEIPFLLRLGSSLEEKEIPKSQNLRSIHSIFTFLEDKSSHLNYVSDILIPHPIHLEILVQILQCWTQDVSSLHLLRFFLHEYHNSNSFITPKKPIYVISISKENKRFFRFLYNSYVFECEFVLVFFHKQSSYLRSRSSGVFLERTHFYGKMGHFLVVCCNYFQKTQWFFKDPFLHYVRYQGKAILVSKGTRLLMRKWRSYFVHFWQYYFQFWSHPHRIHINQLSNYSFCFLGYLSNVLINFSVVKSQMLENSFVIDTLTKKFDTRVSVIALIRSLSKAKFCTVSGHPISKSIWTNLSDLDIIHRFGWICRNLSHYHSGSSKKQSLYRIKYILRISCARTLARKHKSRVHAFLQRLGSGLLQEFFTEEEEILSLIFPQALFRSHTGRIWYLDIICINDLRNLMIDHKIKPYNECK >DRNTG_11720.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:581692:585681:1 gene:DRNTG_11720 transcript:DRNTG_11720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALFTAECSHIFHFKCIGLNVKHGNHVCPICRAKWKEVPIHGSQNSDHSRGRARVRPVNVPHDQDYTMIIRPPYRDYSHRKANLAPLYQSSEPASFDDDEPLNLQSNATKETRHGCADKVEMKTELEYSAIPKSAIEENFAVLIHLKAPSADTEHIPGKTTRAPVDLVTVLDISGSMAGSKLALLKRAMGFVIQNLGPSDRLSVIAFSVTGRRLFNLRRMTESGRQLALQAVNSLVASGGTNIADGLRKGVKVIEERKEKNPVCSIILLSDGQDIYNIAGSSSPRQSEYRSLLPPVFWDRTNNQIPVHAFGFGSDHDAAALHFISETTGGTFSFIEAEAVIQDAFAQCIGGLLSVLVQKLHVVVDCVHPGVQLTDVKCGSYSSQMASDKRRGSINVGDLYADEERDFLVYVNVPEVHHEDATALLRLCCFYQDAVSNESMHLKTQEVLLQRPETVREQKMSLDVDRQRNRIFAAEAMAAARAAAERNALSEAVSILENHRKMVSESAAANAGDQMCMALDAEMKEIQERMANRQRYESSGRAYVLSGLSSHSWQRATARGDSTQSSTSVHAYQTPSMVEMIGRSQILQTKPHHRR >DRNTG_11720.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:581692:585798:1 gene:DRNTG_11720 transcript:DRNTG_11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALFTAECSHIFHFKCIGLNVKHGNHVCPICRAKWKEVPIHGSQNSDHSRGRARVRPVNVPHDQDYTMIIRPPYRDYSHRKANLAPLYQSSEPASFDDDEPLNLQSNATKETRHGCADKVEMKTELEYSAIPKSAIEENFAVLIHLKAPSADTEHIPGKTTRAPVDLVTVLDISGSMAGSKLALLKRAMGFVIQNLGPSDRLSVIAFSVTGRRLFNLRRMTESGRQLALQAVNSLVASGGTNIADGLRKGVKVIEERKEKNPVCSIILLSDGQDIYNIAGSSSPRQSEYRSLLPPVFWDRTNNQIPVHAFGFGSDHDAAALHFISETTGGTFSFIEAEAVIQDAFAQCIGGLLSVLVQKLHVVVDCVHPGVQLTDVKCGSYSSQMASDKRRGSINVGDLYADEERDFLVYVNVPEVHHEDATALLRLCCFYQDAVSNESMHLKTQEVLLQRPETVREQKMSLDVDRQRNRIFAAEAMAAARAAAERNALSEAVSILENHRKMVSESAAANAGDQMCMALDAEMKEIQERMANRQRYESSGRAYVLSGLSSHSWQRATARGDSTQSSTSVHAYQTPSMVEMIGRSQILQTKPHHRR >DRNTG_11720.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:581692:585749:1 gene:DRNTG_11720 transcript:DRNTG_11720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALFTAECSHIFHFKCIGLNVKHGNHVCPICRAKWKEVPIHGSQNSDHSRGRARVRPVNVPHDQDYTMIIRPPYRDYSHRKANLAPLYQSSEPASFDDDEPLNLQSNATKETRHGCADKVEMKTELEYSAIPKSAIEENFAVLIHLKAPSADTEHIPGKTTRAPVDLVTVLDISGSMAGSKLALLKRAMGFVIQNLGPSDRLSVIAFSVTGRRLFNLRRMTESGRQLALQAVNSLVASGGTNIADGLRKGVKVIEERKEKNPVCSIILLSDGQDIYNIAGSSSPRQSEYRSLLPPVFWDRTNNQIPVHAFGFGSDHDAAALHFISETTGGTFSFIEAEAVIQDAFAQCIGGLLSVLVQKLHVVVDCVHPGVQLTDVKCGSYSSQMASDKRRGSINVGDLYADEERDFLVYVNVPEVHHEDATALLRLCCFYQDAVSNESMHLKTQEVLLQRPETVREQKMSLDVDRQRNRIFAAEAMAAARAAAERNALSEAVSILENHRKMVSESAAANAGDQMCMALDAEMKEIQERMANRQRYESSGRAYVLSGLSSHSWQRATARGDSTQSSTSVHAYQTPSMVEMIGRSQILQTKPHHRR >DRNTG_11720.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:583769:585798:1 gene:DRNTG_11720 transcript:DRNTG_11720.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALFTAECSHIFHFKCIGLNVKHGNHVCPICRAKWKEVPIHGSQNSDHSRGRARVRPVNVPHDQDYTMIIRPPYRDYSHRKANLAPLYQSSEPASFDDDEPLNLQSNATKETRHGCADKVEMKTELEYSAIPKSAIEENFAVLIHLKAPSADTEHIPGKTTRAPVDLVTVLDISGSMAGSKLALLKRAMGFVIQNLGPSDRLSVIAFSVTGRRLFNLRRMTESGRQLALQAVNSLVASGGTNIADGLRKGVKVIEERKEKNPVCSIILLSDGQDIYNIAGSSSPRQSEYRSLLPPVFWDRTNNQIPVHAFGFGSDHDAAALHFISETTGGTFSFIEAEAVIQDAFAQCIGGLLSVLVQKLHVVVDCVHPGVQLTDVKCGSYSSQMASDKRRGSINVGDLYADEERDFLVYVNVPEVHHEDATALLRLCCFYQDAVSNESMHLKTQEVLLQRPETVREQKMSLDVDRQRNRIFAAEAMAAARAAAERNALSEAVSILENHRKMVSESAAANAGDQMCMALDAEMKEIQERMANRQRYESSGRAYVLSGLSSHSWQRATARGDSTQSSTSVHAYQTPSMVEMIGRSQILQTKPHHRR >DRNTG_11720.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:581685:585749:1 gene:DRNTG_11720 transcript:DRNTG_11720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALFTAECSHIFHFKCIGLNVKHGNHVCPICRAKWKEVPIHGSQNSDHSRGRARVRPVNVPHDQDYTMIIRPPYRDYSHRKANLAPLYQSSEPASFDDDEPLNLQSNATKETRHGCADKVEMKTELEYSAIPKSAIEENFAVLIHLKAPSADTEHIPGKTTRAPVDLVTVLDISGSMAGSKLALLKRAMGFVIQNLGPSDRLSVIAFSVTGRRLFNLRRMTESGRQLALQAVNSLVASGGTNIADGLRKGVKVIEERKEKNPVCSIILLSDGQDIYNIAGSSSPRQSEYRSLLPPVFWDRTNNQIPVHAFGFGSDHDAAALHFISETTGGTFSFIEAEAVIQDAFAQCIGGLLSVLVQKLHVVVDCVHPGVQLTDVKCGSYSSQMASDKRRGSINVGDLYADEERDFLVYVNVPEVHHEDATALLRLCCFYQDAVSNESMHLKTQEVLLQRPETVREQKMSLDVDRQRNRIFAAEAMAAARAAAERNALSEAVSILENHRKMVSESAAANAGDQMCMALDAEMKEIQERMANRQRYESSGRAYVLSGLSSHSWQRATARGDSTQSSTSVHAYQTPSMVEMIGRSQILQTKPHHRR >DRNTG_29515.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2216738:2221157:-1 gene:DRNTG_29515 transcript:DRNTG_29515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRYDIKTDRRLNQAITREIHRADSSYAIADDELVGISEEREQLLQWLTDKKSACSIVAIWGMGGLGKTTLVTNIYKSPEIQQLYDCHAWITFLQNYSSEDLLRKILLEFLGRKQAKSLEIDTMAQRNLVETLRNFLRDKKYILILDDVWNTDAWYVIKHALIDTTRGSRIVITTRIKDVSLLASKNHVLELRSLEPKEAWDLFCRKVFWEDEDKKCPEVLEPLAEKILGKCQGLPLAIVAIGSLLSLREINKEEWRKVHDHLNWELTDNPYLNVRHTLNLSFIYLPDYLKNCFLYLSIFPEDYVIKKKRLIKLWVAEGFIEEKGAKTMEEVAEEYFNELIHRCMLQVVERKPTGMVKSCRMHDLVRELNVSTAKEKRFSAAYDDTKVARLDGESRRLSLHSTSHNMQLAPSLSSLRSFFIFDTMIPASLLTSVIKQFRLLRVLYLLRQGSTTLTSP >DRNTG_34212.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15165492:15165811:1 gene:DRNTG_34212 transcript:DRNTG_34212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSWCWPLRNPCGDSGHPTLHTFQALYAPPERVKPHSSTPRHSNKAQYININMIAQFSSRDHKFSHMQLSLEE >DRNTG_31915.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18784533:18786428:-1 gene:DRNTG_31915 transcript:DRNTG_31915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALILVHGRTFPMDNHSSSSSSSSSSSSSSAFYV >DRNTG_34179.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7043385:7046906:1 gene:DRNTG_34179 transcript:DRNTG_34179.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGDPQRLKKIAAAAYEYENDPRWKDYWANVLIPPHMASRTDVRDHFKRKFYQRHIDPGLVVEPMAPSSSSQPTRASTTSSSTPANENSRPRSTGPSAGSGTSSASTRNTTPQRLDRRSIHFSANAWVLVVSVLGLLPLVPRNISNKAYRLSLLGTTCSSLYSIYTLHGKPSGWNMPAIQTWLQSVVAAKDFIPFLYSLICFDTCPLLGTRACCQVS >DRNTG_34179.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7043385:7046906:1 gene:DRNTG_34179 transcript:DRNTG_34179.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLVTVALIPVLCWALEHVAKFLRRNFSNSTLYRKYLDEPCSWVEANITTLNILSSNVEIALGFLLIVSLFSWQRNIIQTFMYWQLLKLMYHSPATAGYHQSVWGKIGRTVTPYIHQHAPFLNGAVSAIQRWWLR >DRNTG_34179.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7043385:7046906:1 gene:DRNTG_34179 transcript:DRNTG_34179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGDPQRLKKIAAAAYEYENDPRWKDYWANVLIPPHMASRTDVRDHFKRKFYQRHIDPGLVVEPMAPSSSSQPTRASTTSSSTPANENSRPRSTGPSAGSGTSSASTRNTTPQRLDRRSIHFSANAWVLVVSVLGLLPLVPRNISNKAYRLSLLGTTCSSLYSIYTLHGKPSGWNMPAIQTWLQSVVAAKDFIPFLYSLMFVSSQLHFTVALIPVLCWALEHVAKFLRRNFSNSTLYRKYLDEPCSWVEANITTLNILSSNVEIALGFLLIVSLFSWQRNIIQTFMYWQLLKLMYHSPATAGYHQSVWGKIGRTVTPYIHQHAPFLNGAVSAIQRWWLR >DRNTG_32382.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28046172:28074748:-1 gene:DRNTG_32382 transcript:DRNTG_32382.1 gene_biotype:protein_coding transcript_biotype:protein_coding AYDLGKSIDVPGDLATLRQKHRDGMYQSLEEFKVRSPFYWF >DRNTG_32382.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28063941:28074748:-1 gene:DRNTG_32382 transcript:DRNTG_32382.5 gene_biotype:protein_coding transcript_biotype:protein_coding AYDLGKSIDVPGDLATLRQKHRDGMYQSLEEFKVCSPFSWF >DRNTG_32382.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28050472:28074748:-1 gene:DRNTG_32382 transcript:DRNTG_32382.2 gene_biotype:protein_coding transcript_biotype:protein_coding AYDLGKSIDVPGDLATLRQKHRDGMYQSLEEFKVRSPFYWF >DRNTG_32382.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28050472:28055113:-1 gene:DRNTG_32382 transcript:DRNTG_32382.7 gene_biotype:protein_coding transcript_biotype:protein_coding LFNPLTSEIDCLLLQAKSLQDQANQIFRYLRSNPLYAEKELANWHQKYFKYKKKPAKFEKSPGIFKVEILESRLRPRAASNMKQKNAVNDDASNRRAQS >DRNTG_32382.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28063941:28070673:-1 gene:DRNTG_32382 transcript:DRNTG_32382.6 gene_biotype:protein_coding transcript_biotype:protein_coding AYDLGKSIDVPGDLATLRQKHRDGMYQSLEEFKVCSPFSWF >DRNTG_32382.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28050472:28074748:-1 gene:DRNTG_32382 transcript:DRNTG_32382.3 gene_biotype:protein_coding transcript_biotype:protein_coding AYDLGKSIDVPGDLATLRQKHRDGMYQSLEEFKVRSPFYWF >DRNTG_25294.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19721364:19728029:1 gene:DRNTG_25294 transcript:DRNTG_25294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSRPPMSAPMPPSSNAVASPAVRSLATPLQSHHPSPLSLGLIPLPPPRPPPPPLDVVSTEHSPVARVRLGDIMPYDGAPDGVYVRAVEALSGSLMRHNAAVIELSGEDSVVVRCALESAKMYFKARGQCGSWGKPGRLVYAFRAGRLSEDGDLSPPCINDAFKCLGKASRAALCAIAKHLRLRCDSFNHLLDDTPLPANEASSSVLVAAYSQASLQNGKGSSGGKYANEVEKGLLTLIASDSPAIQVYDPNGRWYLADSDLGPGDLLLLTGKALSHATAGLRPAASFRTAYENCPGIINGGRASLTFRLMPQSNAVLDCSPIAAAGHVIPQSYQPISVSRFMDDLSADEDVMGSLPDKTYETRNNAHPEPSLRSVLSDPLSGAFLEDAVVVSCGHSFGGNMLKKVLEMARCTLCNADIETGSLIPNLALRAAATAVKHEDDKRLFHNAALRKRRKEVGDHIDAFKRLSKENGEMTTDGDCLRQQKGVQYPFAVNEKVLIKGNRRTPDKFVGREAMITSQCLNGWYLLKILDSGESVRLQYRSLQKLSNSQTNDRIQPQQLLQSSS >DRNTG_19132.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19118304:19119785:-1 gene:DRNTG_19132 transcript:DRNTG_19132.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPLPLLLLLLTINTTTSSSSSSSSSSSSEKLKRVKNKKLTHFTEYWHDIVSGPNPSGAMVAQAPTTNTSTTSFGLVRIIDNPLTKGPTMSSDLLGRAQGFYAFTSLESVGLFMAMNFIFTSGKYNGSTVTILGRDEIFTDVREMPVIGGSGLFRWAQGYAQARTSIVNMTTHDAVVKYDVHVMHY >DRNTG_11572.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8052179:8061725:-1 gene:DRNTG_11572 transcript:DRNTG_11572.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTHLTHSHAGSRALQEYQFLPEQPSARADERTSQSHYYDSSADIHSRASSLASGGQSMRGNEQVAPSYTFQGHMSSSSLLSQQGRQQMLSSGSTEYDNPQHHNSHTNSALDGQYGTHPVVGIENSYLTSERRTFRDDDVSRMERKRKSDEARIAKEVEAHEKRIRKELEKQDILRRKREEQMRREMERHDRERRKEEERLMREKQREEERFLREQRRENERREKILMKESLRAEKLRQKEEMRREKEAARLKAANERATARRIAREYTELVEDERLELMELATSSKGLPSIMALDFDTLQRLDEFRDMLSTFPPSSVCLKRPFSVKPWINSEENIGNLLMAWKFLITFGDVLKLWPFTLDEFVQALHDYDSRLLGEIHVALLKSIIKDIEDVARTPSMSLGSNQTSAANPGGGHPHMVEGAYSWGFDIRRWQRHLNFMTWPEILRQFALSAGFGPKLKKKNVEHAYFRDDHEGNDGEDIITNLRNGAAAENAVALMQEKGFTHPRRSRHRLTPGTVKFAAFHVLSLEGSKGLTILEVADKIQKSGLRDLTTSKTPEASIAAALSRDTKLFERTAPSTYCVRTPYRKDPADTDVILSAAREKIQVFQNVPSDSEEAEKDAEDAEREDDSECDGEDDAEVDDTGTEVEPNKNFLLPNEQKNAKANQLTDKVKREPFCDAVDPQRRLRDVQKHPSEKSKDLSVSSAPNGQCLDADSNCHDEVNNLDQGDMEVDESNCEPWVQGLTEDEYCDLSVEERLNALVALIGVAIEGNSIRAILEERLEAASALKKQMWAEAQLDKRRIREEFTGRYSYSSAMGNKAEACQTNATMEGSPLHGVDNKGSDGNLDILNNDQFVDPHNLCNGNMSAEKNLLGPEFSTNTDILAPQPYGYAAEKSRSQLKSYIGHKAEEMYVYRSLPLGQDRRRNRYWLFSTSVSRNDPGSGRIFYESRDGFWKLIDSEEAFDALLASLDIRGLRESHLHSMLQKIEKAFKETIQRRKDCVDSVKPIGSLGKAGAAEVSSRSDCGRELGSPSSMLSGLNADPLEYSSSFRFDLGRDEDERNAALRRYQGFLRWMWQESYNPSILYAMKYGKKRCSELLQTCQSCYQSYFAEERHCSSCHKTFKILHNADSNFSEHVVLCEEKRMKDPDWKFVLSDSTPIRIRLLKAQLSLIEVSIPAEALQPFWTEGYRKSWGVKLHSSSSAEELFQVLTLLEAAIIHDCLSSSFETTKELLSGVASLAAKNSASLSAPAPVLPWVPDTTAAVALRLLDLDASVAYMVEQKLGFHKDKEAAELIKLPSRYNVAKNTQELDPRCPQDLIDYQEEAMWLDNVNGRRGRGRGIRGSRPTARGRGRGRGPRGIGSSTRMEFKVRGTLGTYVRTARKYTRRGGKVRGARGRQRGRRTPRPRQRPEGRAPVVKVTLSDHFNTGTSSIKRDKIVEPPVSMGRARWGLGGGKRGSYLAEAEDNSIGSESEDQAQPSEDEYDDQAMDFTREYNHNEPTGLMDDDSEEDEDGEGDGEEEAEDEDDGVQEGQDEIDEVEDEEMGDEYGDEVGDDDVNGEEEEDDDEAPLSFSSDYSSS >DRNTG_11572.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8052179:8064545:-1 gene:DRNTG_11572 transcript:DRNTG_11572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGDGAEGKRPSEGWKKTPESAGEKPVKRMMKSPYQLEILEKTYAVETYPSESLRAELSVKTGLTDRQLQMWFCHRRLKDRKFPPVKRSRREETSPPPPPPATEAAMQQAGGDMMVGSASGSGSSPSPSHLAEPRKGAAARAAGSRIGAEMSSAVGRKRYYEVAPLIAPPVPQLSVLEMRVIASVEAQLGERLREDGPILGVEFDPLPPGAFGAPIESDAPAVLSHRHPATHHFLDRFENSGQQKQHIRPYDGKIYERHDTKSMKASTFLHSAESSFIPNSSSGKKKSGMGGTHLTHSHAGSRALQEYQFLPEQPSARADERTSQSHYYDSSADIHSRASSLASGGQSMRGNEQVAPSYTFQGHMSSSSLLSQQGRQQMLSSGSTEYDNPQHHNSHTNSALDGQYGTHPVVGIENSYLTSERRTFRDDDVSRMERKRKSDEARIAKEVEAHEKRIRKELEKQDILRRKREEQMRREMERHDRERRKEEERLMREKQREEERFLREQRRENERREKILMKESLRAEKLRQKEEMRREKEAARLKAANERATARRIAREYTELVEDERLELMELATSSKGLPSIMALDFDTLQRLDEFRDMLSTFPPSSVCLKRPFSVKPWINSEENIGNLLMAWKFLITFGDVLKLWPFTLDEFVQALHDYDSRLLGEIHVALLKSIIKDIEDVARTPSMSLGSNQTSAANPGGGHPHMVEGAYSWGFDIRRWQRHLNFMTWPEILRQFALSAGFGPKLKKKNVEHAYFRDDHEGNDGEDIITNLRNGAAAENAVALMQEKGFTHPRRSRHRLTPGTVKFAAFHVLSLEGSKGLTILEVADKIQKSGLRDLTTSKTPEASIAAALSRDTKLFERTAPSTYCVRTPYRKDPADTDVILSAAREKIQVFQNVPSDSEEAEKDAEDAEREDDSECDGEDDAEVDDTGTEVEPNKNFLLPNEQKNAKANQLTDKVKREPFCDAVDPQRRLRDVQKHPSEKSKDLSVSSAPNGQCLDADSNCHDEVNNLDQGDMEVDESNCEPWVQGLTEDEYCDLSVEERLNALVALIGVAIEGNSIRAILEERLEAASALKKQMWAEAQLDKRRIREEFTGRYSYSSAMGNKAEACQTNATMEGSPLHGVDNKGSDGNLDILNNDQFVDPHNLCNGNMSAEKNLLGPEFSTNTDILAPQPYGYAAEKSRSQLKSYIGHKAEEMYVYRSLPLGQDRRRNRYWLFSTSVSRNDPGSGRIFYESRDGFWKLIDSEEAFDALLASLDIRGLRESHLHSMLQKIEKAFKETIQRRKDCVDSVKPIGSLGKAGAAEVSSRSDCGRELGSPSSMLSGLNADPLEYSSSFRFDLGRDEDERNAALRRYQGFLRWMWQESYNPSILYAMKYGKKRCSELLQTCQSCYQSYFAEERHCSSCHKTFKILHNADSNFSEHVVLCEEKRMKDPDWKFVLSDSTPIRIRLLKAQLSLIEVSIPAEALQPFWTEGYRKSWGVKLHSSSSAEELFQVLTLLEAAIIHDCLSSSFETTKELLSGVASLAAKNSASLSAPAPVLPWVPDTTAAVALRLLDLDASVAYMVEQKLGFHKDKEAAELIKLPSRYNVAKNTQELDPRCPQDLIDYQEEAMWLDNVNGRRGRGRGIRGSRPTARGRGRGRGPRGIGSSTRMEFKVRGTLGTYVRTARKYTRRGGKVRGARGRQRGRRTPRPRQRPEGRAPVVKVTLSDHFNTGTSSIKRDKIVEPPVSMGRARWGLGGGKRGSYLAEAEDNSIGSESEDQAQPSEDEYDDQAMDFTREYNHNEPTGLMDDDSEEDEDGEGDGEEEAEDEDDGVQEGQDEIDEVEDEEMGDEYGDEVGDDDVNGEEEEDDDEAPLSFSSDYSSS >DRNTG_03328.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15214130:15215967:1 gene:DRNTG_03328 transcript:DRNTG_03328.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEIMKRNPKVSSSISKSHPSVVKHDGLADECKVRETSAKIQSPASKPPNSMKPRTRKLLPSSAMLLKEFNSLEIDAENAKENKGKSSTKGMERSQGSISLLRLLNSNVNR >DRNTG_03328.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15211073:15211479:1 gene:DRNTG_03328 transcript:DRNTG_03328.5 gene_biotype:protein_coding transcript_biotype:protein_coding VNAVKNDNDEVDGNRASEKEAKYEKLQQKANASPKSGRSAHMSMERLEDLHTEAQRARNEKIMLGFAKALWNVLKEYKQKLT >DRNTG_03328.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15211073:15215967:1 gene:DRNTG_03328 transcript:DRNTG_03328.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMERLEDLHTEAQRARNEKIMLGFAKALWNVLKEYKQKLTESDKDAEKLRCALRKEKASVSKLEKELEELRSCCSCCKHPSIDDSPPKEEPLSGFSAMGSPMKPLVQESTKCIDIVHEYNHISMEYHSDTLESRTSTDVSKEWAEHGGEGFGANNILLDEISSGDNVGSFSSPMVLGTVHTEENEMIAQMVDSFSNIKGDEECGSFPFGGECLPQQTEVKPTDQKGENLPVNNHRVADLEETNIQGQHLVSTIAGLSGSEHSDNIDRSSPPTVNSGENEKAVTCNTQNSSLSMDGEIMKRNPKVSSSISKSHPSVVKHDGLADECKVRETSAKIQSPASKPPNSMKPRTRKLLPSSAMLLKEFNSLEIDAENAKENKGKSSTKGMERSQGSISLLRLLNSNVNR >DRNTG_03328.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15206008:15211479:1 gene:DRNTG_03328 transcript:DRNTG_03328.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKYSNLEELPNFPSLKRSTTHSGSEHLKRRKFNGSDTSLVNAVKNDNDEVDGNRASEKEAKYEKLQQKANASPKSGRSAHMSMERLEDLHTEAQRARNEKIMLGFAKALWNVLKEYKQKLT >DRNTG_03328.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15206008:15212971:1 gene:DRNTG_03328 transcript:DRNTG_03328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKYSNLEELPNFPSLKRSTTHSGSEHLKRRKFNGSDTSLVNAVKNDNDEVDGNRASEKEAKYEKLQQKANASPKSGRSAHMSMERLEDLHTEAQRARNEKIMLGFAKALWNVLKEYKQKLTESDKDAEKLRCALRKEKASVSKLEKELEELRSCCSCCKHPSIDDSPPKEEPLSGFSAMGSPMKPLVQESTKCIDIVHEYNHISMEYHSDTLESRTSTDVSKEWA >DRNTG_34123.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002088.1:9275:16775:1 gene:DRNTG_34123 transcript:DRNTG_34123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPHSSNHSMLEGLSSSDVNCAPLSPGWFGSEEDHRRLIKVQCFAAAPNFYLRPIEGLTAIVDVDAALVIRISDSGPGIPISAGEDTDYRYSTQHKKPQSFSNPINPVSLEQVGGPSYFIENGHVIKWASWEFHLKPDARAGSVVSRARLRDPESGEWRSVMYQGFASELFVPYMDPSEGWYFKTYLDSGEYGFGVSSMPLVRLNDCPRNAHYMDAVFAATDGSPFVRPDLICIFERYDGDVAWRHAQPHIREARPKITLVVRTAASVGNYDYIVDWEFQTDGIIKVK >DRNTG_34123.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002088.1:9275:15097:1 gene:DRNTG_34123 transcript:DRNTG_34123.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPHSSNHSMLEGLSSSDVNCAPLSPGWFGSEEDHRRLIKVQCFAAAPNFYLRPIEGLTAIVDVDAALVIRISDSGPGIPISAGEDTDYRYSTQHKKPQSFSNPINPVSLEQVGGPSYFIENGHVIKWASWEFHLKPDARAGSVVSRARLRDPESGEWRSVMYQGFASELFVPYMDPSEGWYFKTYLDSGEYGFGVSSMPLVRLNDCPRNAHYMDAVFAATDGSPFVRPDLICIFERYDGDVAWRHAQPHIREARPKITLVVRTAASVGNYDYIVDWEFQTDGIIKVK >DRNTG_33225.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19388352:19395962:1 gene:DRNTG_33225 transcript:DRNTG_33225.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDVVLDQSATNPCCAELKKKYKKLEKGRNALRQAVDLLEHETTKLITERDHFKKAYEDEQSGRQTKNDAQEQQSGIRHDLEKEIHDLRSKLSSYKESACTRSVEELQISISKGEMEIKRLKDLLEKEAKRADSEKKKADAENKKAEQAWNLLKMEKSSIEEQRSACSRSLEELQIGISKGEMEIKKLKDLLEKEKKRADLEKKKADAESKRAAEAWKLLKVEKSRIEDQRKIAETERKNADDAKRIAEIERNKAEEYRLCLERVQIETADIRDKSVADAIKKIEAQRQKASNEKKRADSERRKVEEQNRLVELERRKAIEQNACISRLSEQLDEEKRRREELEKMLKGTVPVQGACKNCLRFRDRKNKSDTDIEGADVKLLRQQLKLSKKQAKYAKKMAQHEKEKLSFIKHQLYLLKQDFIPFSCRLNLLDNHLSNCGKHTEAKKKIPEPSELPNFNLHNGLLASREGIGNQLSRGSCTRPISGTNSEWESPVGDPFRKKSQSSAICSTTTSLSHKEFMGSQGRDALVVSASTNLAKNHSNLRTTIPEVTGEFAEMGVVVEKADRKHLERMLVSLDKGNKASERGSNHSRKKRKILDALETVKWLCSEDNDLRFKIRRELSSMRDLFSGEGRSCTNFCSQTIEKPKHLDAERIPLTKETDDPSMSKTYPCKSRKKQSCSPSQHQKQMRKFGKEGRPVDLLTENSVPVQKMRGSVHECRTEAIDAVSNDSAALLCFENLVSGDYMKLLNLDSDLDERRYREAVEVPLSPTLPEIDLNCFQLCGDDARYLVEGPSGSETEAHNSVAFLAPDVIKAEIELNALNPQVSKDREQLVDMDRDLHSSKEAGVNIASSRELIQHHSSARFSPSDLILIDKDIGSPRDNILNNSVKNASQVANGPDKAHGTIEGNVELHQSPSKVGSSLLHAGNAAQTRIHRDSDSDMVEEAALEVPVSSVDTCPQEETDRFVLKKDSMCLVVFSNTNNEGSLPKIFLALNTAANKNCTDPGANFYTRSILHALAFESDLLPQEKVSVFFSLLLHFTSGMTSASSTFVTNEEFFACCKSIANEMIRVISDEKGMPLFQDSCQLNILVNLIQDFLVMGKVLVCDKSHTQSVDSHNEFQCLEGRCLLFKDATYGQLVAGSILLASICAELDHISFLLETSYKIIRMCRRDTSWVLSVLHIFASVCGRKFFTQENYSSLLCAIGLVVSFLEGKQASVSKSTCFSGESDVGSSFLPCEECPFSTNASPKDKFMSSLLDVLHDHAMVAIRHPTPQNTVMNPVPEIPESVATYEHSPDVRQEFGSLITNCGTSCPLLKYKKQAADCSDLVTQENLCAFSDIVSLVELVAYYQSWEWTCDKIMPRLASITQSCVDDNFTAALCLLLGQLARHGVEACGYQHKGIAEVRQHLHIILTKCLGGKQSLPVQFAAVHSLINLLPVSFTEIRDLNLELMTEDAEQSDHIKLIRKWFSLLNKEHQSLSLNLFMSGQVP >DRNTG_33225.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19388352:19395962:1 gene:DRNTG_33225 transcript:DRNTG_33225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDVVLDQSATNPCCAELKKKYKKLEKGRNALRQAVDLLEHETTKLITERDHFKKAYEDEQSGRQTKNDAQEQQSGIRHDLEKEIHDLRSKLSSYKESACTRSVEELQISISKGEMEIKRLKDLLEKEAKRADSEKKKADAENKKAEQAWNLLKMEKSSIEEQRSACSRSLEELQIGISKGEMEIKKLKDLLEKEKKRADLEKKKADAESKRAAEAWKLLKVEKSRIEDQRKIAETERKNADDAKRIAEIERNKAEEYRLCLERVQIETADIRDKSVADAIKKIEAQRQKASNEKKRADSERRKVEEQNRLVELERRKAIEQNACISRLSEQLDEEKRRREELEKMLKGTVPVQGACKNCLRFRDRKNKSDTDIEGADVKLLRQQLKLSKKQAKYAKKMAQHEKEKLSFIKHQLYLLKQDFIPFSCRLNLLDNHLSNCGKHTEAKKKIPEPSELPNFNLHNGLLACKPYNFNSQSDFGLINTCYKDSQNSSGLAREGIGNQLSRGSCTRPISGKRCSRRFRIN >DRNTG_33225.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19388352:19395962:1 gene:DRNTG_33225 transcript:DRNTG_33225.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDVVLDQSATNPCCAELKKKYKKLEKGRNALRQAVDLLEHETTKLITERDHFKKAYEDEQSGRQTKNDAQEQQSGIRHDLEKEIHDLRSKLSSYKESACTRSVEELQISISKGEMEIKRLKDLLEKEAKRADSEKKKADAENKKAEQAWNLLKMEKSSIEEQRSACSRSLEELQIGISKGEMEIKKLKDLLEKEKKRADLEKKKADAESKRAAEAWKLLKVEKSRIEDQRKIAETERKNADDAKRIAEIERNKAEEYRLCLERVQIETADIRDKSVADAIKKIEAQRQKASNEKKRADSERRKVEEQNRLVELERRKAIEQNACISRLSEQLDEEKRRREELEKMLKGTVPVQGACKNCLRFRDRKNKSDTDIEGADVKLLRQQLKLSKKQAKYAKKMAQHEKEKLSFIKHQLYLLKQDFIPFSCRLNLLDNHLSNCGKHTEAKKKIPEPSELPNFNLHNGLLACKPYNFNSQSDFGLINTCYKDSQNSSGLAREGIGNQLSRGSCTRPISGTNSEWESPVGDPFRKKSQSSAICSTTTSLSHKEFMGSQGRDALVVSASTNLAKNHSNLRTTIPEVTGEFAEMGVVVEKADRKHLERMLVSLDKGNKASERGSNHSRKKRKILDALETVKWLCSEDNDLRFKIRRELSSMRDLFSGEGRSCTNFCSQTIEKPKHLDAERIPLTKETDDPSMSKTYPCKSRKKQSCSPSQHQKQMRKFGKEGRPVDLLTENSVPVQKMRGSVHECRTEAIDAVSNDSAALLCFENLVSGDYMKLLNLDSDLDERRYREAVEVPLSPTLPEIDLNCFQLCGDDARYLVEGPSGSETEAHNSVAFLAPDVIKAEIELNALNPQVSKDREQLVDMDRDLHSSKEAGVNIASSRELIQHHSSARFSPSDLILIDKDIGSPRDNILNNSVKNASQVANGPDKAHGTIEGNVELHQSPSKVGSSLLHAGNAAQTRIHRDSDSDMVEEAALEVPVSSVDTCPQEETDRFVLKKDSMCLVVFSNTNNEGSLPKIFLALNTAANKNCTDPGANFYTRSILHALAFESDLLPQEKVSVFFSLLLHFTSGMTSASSTFVTNEEFFACCKSIANEMIRVISDEKGMPLFQDSCQLNILVNLIQDFLVMGKVLVCDKSHTQSVDSHNEFQCLEGRCLLFKDATYGQLVAGSILLASICAELDHISFLLETSYKIIRMCRRDTSWVLSVLHIFASVCGRKFFTQENYSSLLCAIGLVVSFLEGKQASVSKSTCFSGESDVGSSFLPCEECPFSTNASPKDKFMSSLLDVLHDHAMVAIRHPTPQNTVMNPVPEIPESVATYEHSPDVRQEFGSLITNCGTSCPLLKYKKQAADCSDLVTQENLCAFSDIVSLVELVAYYQSWEWTCDKIMPRLASITQSCVDDNFTAALCLLLGQLARHGVEACGYQHKGIAEVRQHLHIILTKCLGGKQSLPVQFAAVHSLINLLPVSFTEIRDLNLELMTEDAEQSDHIKLIRKWFSLLNKEHQSLSLNLFMSGQVP >DRNTG_33225.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19388567:19395962:1 gene:DRNTG_33225 transcript:DRNTG_33225.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKRLKDLLEKEAKRADSEKKKADAENKKAEQAWNLLKMEKSSIEEQRSACSRSLEELQIGISKGEMEIKKLKDLLEKEKKRADLEKKKADAESKRAAEAWKLLKVEKSRIEDQRKIAETERKNADDAKRIAEIERNKAEEYRLCLERVQIETADIRDKSVADAIKKIEAQRQKASNEKKRADSERRKVEEQNRLVELERRKAIEQNACISRLSEQLDEEKRRREELEKMLKGTVPVQGACKNCLRFRDRKNKSDTDIEGADVKLLRQQLKLSKKQAKYAKKMAQHEKEKLSFIKHQLYLLKQDFIPFSCRLNLLDNHLSNCGKHTEAKKKIPEPSELPNFNLHNGLLACKPYNFNSQSDFGLINTCYKDSQNSSGLAREGIGNQLSRGSCTRPISGTNSEWESPVGDPFRKKSQSSAICSTTTSLSHKEFMGSQGRDALVVSASTNLAKNHSNLRTTIPEVTGEFAEMGVVVEKADRKHLERMLVSLDKGNKASERGSNHSRKKRKILDALETVKWLCSEDNDLRFKIRRELSSMRDLFSGEGRSCTNFCSQTIEKPKHLDAERIPLTKETDDPSMSKTYPCKSRKKQSCSPSQHQKQMRKFGKEGRPVDLLTENSVPVQKMRGSVHECRTEAIDAVSNDSAALLCFENLVSGDYMKLLNLDSDLDERRYREAVEVPLSPTLPEIDLNCFQLCGDDARYLVEGPSGSETEAHNSVAFLAPDVIKAEIELNALNPQVSKDREQLVDMDRDLHSSKEAGVNIASSRELIQHHSSARFSPSDLILIDKDIGSPRDNILNNSVKNASQVANGPDKAHGTIEGNVELHQSPSKVGSSLLHAGNAAQTRIHRDSDSDMVEEAALEVPVSSVDTCPQEETDRFVLKKDSMCLVVFSNTNNEGSLPKIFLALNTAANKNCTDPGANFYTRSILHALAFESDLLPQEKVSVFFSLLLHFTSGMTSASSTFVTNEEFFACCKSIANEMIRVISDEKGMPLFQDSCQLNILVNLIQDFLVMGKVLVCDKSHTQSVDSHNEFQCLEGRCLLFKDATYGQLVAGSILLASICAELDHISFLLETSYKIIRMCRRDTSWVLSVLHIFASVCGRKFFTQENYSSLLCAIGLVVSFLEGKQASVSKSTCFSGESDVGSSFLPCEECPFSTNASPKDKFMSSLLDVLHDHAMVAIRHPTPQNTVMNPVPEIPESVATYEHSPDVRQEFGSLITNCGTSCPLLKYKKQAADCSDLVTQENLCAFSDIVSLVELVAYYQSWEWTCDKIMPRLASITQSCVDDNFTAALCLLLGQLARHGVEACGYQHKGIAEVRQHLHIILTKCLGGKQSLPVQFAAVHSLINLLPVSFTEIRDLNLELMTEDAEQSDHIKLIRKWFSLLNKEHQSLSLNLFMSGQVP >DRNTG_33225.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19388352:19395962:1 gene:DRNTG_33225 transcript:DRNTG_33225.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDVVLDQSATNPCCAELKKKYKKLEKGRNALRQAVDLLEHETTKLITERDHFKKAYEDEQSGRQTKNDAQEQQSGIRHDLEKEIHDLRSKLSSYKESACTRSVEELQISISKGEMEIKRLKDLLEKEAKRADSEKKKADAENKKAEQAWNLLKMEKSSIEEQRSACSRSLEELQIGISKGEMEIKKLKDLLEKEKKRADLEKKKADAESKRAAEAWKLLKVEKSRIEDQRKIAETERKNADDAKRIAEIERNKAEEYRLCLERVQIETADIRDKSVADAIKKIEAQRQKASNEKKRADSERRKVEEQNRLVELERRKAIEQNACISRLSEQLDEEKRRREELEKMLKGTVPVQGACKNCLRFRDRKNKSDTDIEGADVKLLRQQLKLSKKQAKYAKKMAQHEKEKLSFIKHQLYLLKQDFIPFSCRLNLLDNHLSNCGKHTEAKKKIPEPSELPNFNLHNGLLASREGIGNQLSRGSCTRPISGKRCSRRFRIN >DRNTG_33225.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19391486:19395962:1 gene:DRNTG_33225 transcript:DRNTG_33225.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQGRDALVVSASTNLAKNHSNLRTTIPEVTGEFAEMGVVVEKADRKHLERMLVSLDKGNKASERGSNHSRKKRKILDALETVKWLCSEDNDLRFKIRRELSSMRDLFSGEGRSCTNFCSQTIEKPKHLDAERIPLTKETDDPSMSKTYPCKSRKKQSCSPSQHQKQMRKFGKEGRPVDLLTENSVPVQKMRGSVHECRTEAIDAVSNDSAALLCFENLVSGDYMKLLNLDSDLDERRYREAVEVPLSPTLPEIDLNCFQLCGDDARYLVEGPSGSETEAHNSVAFLAPDVIKAEIELNALNPQVSKDREQLVDMDRDLHSSKEAGVNIASSRELIQHHSSARFSPSDLILIDKDIGSPRDNILNNSVKNASQVANGPDKAHGTIEGNVELHQSPSKVGSSLLHAGNAAQTRIHRDSDSDMVEEAALEVPVSSVDTCPQEETDRFVLKKDSMCLVVFSNTNNEGSLPKIFLALNTAANKNCTDPGANFYTRSILHALAFESDLLPQEKVSVFFSLLLHFTSGMTSASSTFVTNEEFFACCKSIANEMIRVISDEKGMPLFQDSCQLNILVNLIQDFLVMGKVLVCDKSHTQSVDSHNEFQCLEGRCLLFKDATYGQLVAGSILLASICAELDHISFLLETSYKIIRMCRRDTSWVLSVLHIFASVCGRKFFTQENYSSLLCAIGLVVSFLEGKQASVSKSTCFSGESDVGSSFLPCEECPFSTNASPKDKFMSSLLDVLHDHAMVAIRHPTPQNTVMNPVPEIPESVATYEHSPDVRQEFGSLITNCGTSCPLLKYKKQAADCSDLVTQENLCAFSDIVSLVELVAYYQSWEWTCDKIMPRLASITQSCVDDNFTAALCLLLGQLARHGVEACGYQHKGIAEVRQHLHIILTKCLGGKQSLPVQFAAVHSLINLLPVSFTEIRDLNLELMTEDAEQSDHIKLIRKWFSLLNKEHQSLSLNLFMSGQVP >DRNTG_26989.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19295632:19300096:-1 gene:DRNTG_26989 transcript:DRNTG_26989.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINIPNGQVSSVTSDEQQQPHTNRGMNIAVPVSIQKQPQPPGSSTEVLDGNVSAGGSGLPPKKKRKTWTKEEDMELIAAVQKYGEGNWATILKGDFKHDRTASQLSQRWSTIRRRQTNLTAGSVNNPSGGNQSEAVLATRNAVSMALNMPSFGSLSALCSGATQSSIPSGTAATPSVPPESAPVSAPQTVNQPQPAANQVTVTSQKAPSASSTRSRATKKSSAPGKPAVGMPKNSMIQAAAFAAGGRIATPSTAASLFRAALSKNAVHFRPGTTSLPKSSVKSTKPSSATNTSGSQPVSLHSSKPSVMPPPPSGPRVPSQQTQESSGKVTTQTPPTNCATTTDASAQQLATEPNSAGVSNCVSAPERTMKKNGNEIPMTNVVDLDEATTQDHQPTLAAGDIDSRKCTEAGNNQATVDMQVDTSNCEAGNKAEPVDTKPEMSENQTKVNDSNLSCSKTDMDIDGTVNPHSDCVIVTDNKTKETNDQNKQ >DRNTG_24380.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16714633:16715656:-1 gene:DRNTG_24380 transcript:DRNTG_24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNEKGWTVPQFGGWNEKTGGAPDYSMVFSRARANRKQQKTSDVVKKSSVANEEENTIRHQQDQRPNNDCSTKRRKLLSYLCLIPNAH >DRNTG_16708.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000840.1:13224:21533:1 gene:DRNTG_16708 transcript:DRNTG_16708.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSHNKAPAFESISHKHSLGFHSSPSEPPYPCEAST >DRNTG_16708.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000840.1:15851:21533:1 gene:DRNTG_16708 transcript:DRNTG_16708.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSHNKAPAFESISHKHSLGFHSSPSEPPYPCEASTFRDGGEPAVLGEE >DRNTG_16708.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000840.1:13224:21533:1 gene:DRNTG_16708 transcript:DRNTG_16708.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSHNKAPAFESISHKHSLGFHSSPSEPPYPCEASTCGIDRHSQGMSHVLDLQRWRRASGPWRRVASTTGFE >DRNTG_16708.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000840.1:13224:16236:1 gene:DRNTG_16708 transcript:DRNTG_16708.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQTGIQIERYTQKNRQKINQHKDGK >DRNTG_16708.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000840.1:13224:21533:1 gene:DRNTG_16708 transcript:DRNTG_16708.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSHNKAPAFESISHKHSLGFHSSPSEPPYPCEASTCGIDRHSQGMSHVLDLQRWRRASGPWRRVASTTGFE >DRNTG_16708.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000840.1:12873:13559:1 gene:DRNTG_16708 transcript:DRNTG_16708.8 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSDRDGPNKRRRQRHRPDYSLTKIWRDQ >DRNTG_16708.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000840.1:14702:21533:1 gene:DRNTG_16708 transcript:DRNTG_16708.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSHNKAPAFESISHKHSLGFHSSPSEPPYPCEASTFRDGGEPAVLGEE >DRNTG_16708.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000840.1:13224:17022:1 gene:DRNTG_16708 transcript:DRNTG_16708.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQTGIQIERYTQKNRQKINQHKDGK >DRNTG_29181.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24261040:24261926:-1 gene:DRNTG_29181 transcript:DRNTG_29181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLLAQSKAQVHDDCIFPNSDQLPPPEFLREVIFAADSFWDLESAFGCADGVMRTATGYCGGSLVKPRYKEVVERKTGHTEAVRVIYDKRKTSYRSLLNVFWTSHDPTKKAYLEFGVSTHYRSTIFYGNEEEKKQAHESKVQQQMKLNRRIVTKILPCSMKYNGEFYMAESHHQKYYLQRDYIKLCEALSLRSAEQFADSHIACKLNGYDSLITMS >DRNTG_23065.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23111952:23116787:1 gene:DRNTG_23065 transcript:DRNTG_23065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFNVSLGFQNLHMKTKNPKTEKFSLLLADTVVVVSDKSPEVLTQSCSKALKDVAYSFNEDVEEDEQLKKKPEQNGEPLLSKATLRSDHQEMSKEELRRQHQAELARQKNEETARRLAGGGSNAGEGRGPMRASSDLIAYKNVNDIPYSREPVIQVDQKNEAILLPIYGGMVPFHVATVKSVTSHQDNRTSTIRIIFNVPGTPFTPHDANSLKYQGAIYLKEITFRSKDPRHSSEVVQQIKTLRRQVTSRESARAERATLVTQEKLQLANNRMRLMRLPDLWIRPAFRWPWKKVDGYFGSACQWVSIFYVEA >DRNTG_32716.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18145849:18148867:-1 gene:DRNTG_32716 transcript:DRNTG_32716.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 27 [Source:Projected from Arabidopsis thaliana (AT3G09180) UniProtKB/Swiss-Prot;Acc:Q8RWM3] MQQPQSSAPAPAPSQDQRLNSSGGDGEEAAEAPPKQVALAMDRLSRAARLIADVRLGADHLLEALFLAAADAPRHQCNKAVQLIVKEETSMRNHFNELRALGKQLEESGVLNGSLKSRGNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLEEEPLGQACDGDVGVAKKHCGSRQLSMFHREEHSEEKKLSDILMNAEHEVPNMRIFTYQRLDWLKRASSLSSSANDNSLDPSKEQNVVNHAKLRSGSLIAATADQIAVIEMLVPSVFRAVVSLHPAGSTNPDAVAFFSPDEGGDYVHARGSSVHHVFRHITEYADKALQHFNSVETNTSFPLLLHWIYNYQTLFSKNCSKCGRLLMMDKSLALLMPPVYRPYRQIATANFNSVQSTISATDHTELNTAFHIGCYSEEG >DRNTG_23725.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:809116:809709:-1 gene:DRNTG_23725 transcript:DRNTG_23725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHSPSMAKQTPPMNPNPKQIKSITPVHLQVPKQSEFPERYMSPTDMIISPVSKGLLVRNQKKGLGSSHGIKTSMVSALKP >DRNTG_01464.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27659898:27660662:1 gene:DRNTG_01464 transcript:DRNTG_01464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHSSSSSSSSSSSSSSSSSHGQPLYLKGCFSKCSHNCLNAEDSSAVDDGQAIKRAFRLGFKLMRMLCNRCEHSNVQTLVSLYEGRVT >DRNTG_10310.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27649466:27649807:1 gene:DRNTG_10310 transcript:DRNTG_10310.2 gene_biotype:protein_coding transcript_biotype:protein_coding VFVLLYTLPALYENYEDQVDTAAEKAMVEINKKYAILDAKFLQKIPRGPFADKKQQ >DRNTG_10310.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27647279:27649807:1 gene:DRNTG_10310 transcript:DRNTG_10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVERGSFMEKINEKIHEYKESSSSDSEGEQPSFRKKRLFGRKEPVHAVLGGGKSADIVLWRNKQLSASILAGVTVLWLLFECMGYHLLTFVSHSLILALAVSFIWSNAAAFLNRAPPKFPEVMLSEELFLSIAHTIRYEINEAFATFQYVASGKDLKKFLMVIAGLWIVSVVGNWFSFLTLSYIVFVLLYTLPALYENYEDQVDTAAEKAMVEINKKYAILDAKFLQKIPRGPFADKKQQ >DRNTG_30320.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1101948:1103259:-1 gene:DRNTG_30320 transcript:DRNTG_30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGMTKKAKETLQQWEDDWDDDDVNDDFSLQLKNELDSNSQKK >DRNTG_34334.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9533124:9533839:-1 gene:DRNTG_34334 transcript:DRNTG_34334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFTVLKPFLANFCLPLLEVLEFEFDFFKEFSIYLSSFLLEVAFLLLFFFLPIGGGITLAI >DRNTG_05524.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2452744:2453743:-1 gene:DRNTG_05524 transcript:DRNTG_05524.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIPSSKRQREDSDELPEVKRLRDDLFLDMLDDDVDAGDRDPMVQDLASVMKSLEEEIGLPAPAPAVEEAQPDLGFLLGASDDELGLPPAPVWSEEDGEDVDVVIGGLEAEGGGYGDQIWGFDDEIFDGGLGFGMRMEERDVAGDDGVLFDGGLFDYSDLGCGPSDLGDRSWRVESLPAV >DRNTG_35250.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3444143:3445123:-1 gene:DRNTG_35250 transcript:DRNTG_35250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQPPPPPPPPQPPPPPPPPLERENQTQSSEANQPQVPEDGYEWKKYGQKFIRGIGQNRSYFKCKNKRCGVIKRIEWPRSHPDRLRVIYVGGREHTHPPPSTSKSRRRRFNFSGKSIQSC >DRNTG_01031.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18206635:18207834:-1 gene:DRNTG_01031 transcript:DRNTG_01031.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCKPRCFLEVVKEINLKCRNLVGLSMTGYVKHEDALAMVNLIPKLKYLNLSKSYMEKESLMVIVNGCRNLERLEVKGCFALEIDDEILRRASYIKTFKYEGCRTAQEYFDDSEDDDILVM >DRNTG_01031.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18206635:18207834:-1 gene:DRNTG_01031 transcript:DRNTG_01031.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPEFVAGFKELEYLEMQCKPRCFLEVVKEINLKCRNLVGLSMTGYVKHEDALAMVNLIPKLKYLNLSKSYMEKESLMVIVNGCRNLERLEVKGCFALEIDDEILRRASYIKTFKYEGCRTAQEYFDDSEDDDILVM >DRNTG_01031.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18206635:18207834:-1 gene:DRNTG_01031 transcript:DRNTG_01031.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTYIFGRCSRLKIIVLPRLLSQDEMMIPEFVAGFKELEYLEMQCKPRCFLEVVKEINLKCRNLVGLSMTGYVKHEDALAMVNLIPKLKYLNLSKSYMEKESLMVIVNGCRNLERLEVKGCFALEIDDEILRRASYIKTFKYEGCRTAQEYFDDSEDDDILVM >DRNTG_26322.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21439109:21441574:-1 gene:DRNTG_26322 transcript:DRNTG_26322.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class I (I, L, M and V) family protein [Source:Projected from Arabidopsis thaliana (AT5G49030) UniProtKB/TrEMBL;Acc:F4K4Q2] MDAASILKVASGEAKLALRASSLVVFPRSCIKARKACSLRPFIPIRPFSSDLDGAAVKRRARGPVMAAKKAAEGGKPEDGKYKHTVDLPKTTFGMRANAVVREPEIQKLWEENQVWKRVVNSNTGGSFVLHDGPPYANGDLHMGHALNKILKDIINRYKLLQNYKVHFVPGWDCHGLPIELKGKCLLFYYLFIS >DRNTG_26322.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21426133:21438717:-1 gene:DRNTG_26322 transcript:DRNTG_26322.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class I (I, L, M and V) family protein [Source:Projected from Arabidopsis thaliana (AT5G49030) UniProtKB/TrEMBL;Acc:F4K4Q2] MDQEARKELTPLMLRKKAAQFAKQTVKNQMDSFKRYGVWADWNNPYLTLSPEYEAAQIEVFGQMIMKGFIYRGRKPVYWSPSSRTALAEAELEYPEGHTSKSIYAIFKIVSASSESNSLLEEFLPNLYLAIWTTTPWTIPANAAVAVNPELEYAVAEVQYQEETSSAPGSGHIKLGSILATRGKQLLVIIAMDLVASLESKWGTKLSVKKKISGSLLENCRYAHPVTGTECPVVLGGSYITTESGTGLVHTAPGHGQEDYSTGLKYGLPMLSPVDDDGKFTEEAGRFSGLDVLGAGNAAVVSYLDEEMLLLMEESYKHKYPYDWRTKKPTIFRATEQWFASVDGFRQAAMDAITKVTWIPTQSINRIISMTSSRSDWCISRQRTWGVPIPVFYHVHSKEPLINADTISHIKAIISQKGSDAWWYMSTEELLPEKYRSDAADYQKGTDTMDVWFDSGSSWAAVLENRLGLDNPADVYLEGTDQHRGWFQSSLLTSVATKGKAPYSCVITHGFVLDEKGMKMSKSLGNVVDPKTVIVGGKNSKDSPGYGADVLRLWVASVDYTTDALMGPQILRQISEMYRKLRGTLRFLLSNLHDWKPENAICYDELPKLDKHALYQLENIVNTSKDCYEKYQFNKIFQVIQRFAIVDLSNFYFDIAKDRLYVGGSTSFTRRSCQTVLAAHLLAIVKVIAPILPHLAEDVWQNLPFDHVTDGGSVTKFVFELKWPVVNEKWLAFPTEQVDFWRIILELRSEVNKVLENARNGKFIGSSLEAKVQLHAADTTTASRLQEMVMTTNGADSLHIIFITSQVEILSSLSDETIASTPYHGHYNDQDAGKIWIGVSRADGMKCERCWNYSARVGTFAEHPTLCARCYGVVDMQPLPAAAGAM >DRNTG_26322.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21426133:21441574:-1 gene:DRNTG_26322 transcript:DRNTG_26322.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class I (I, L, M and V) family protein [Source:Projected from Arabidopsis thaliana (AT5G49030) UniProtKB/TrEMBL;Acc:F4K4Q2] MTSSRSDWCISRQRTWGVPIPVFYHVHSKEPLINADTISHIKAIISQKGSDAWWYMSTEELLPEKYRSDAADYQKGTDTMDVWFDSGSSWAAVLENRLGLDNPADVYLEGTDQHRGWFQSSLLTSVATKGKAPYSCVITHGFVLDEKGMKMSKSLGNVVDPKTVIVGGKNSKDSPGYGADVLRLWVASVDYTTDALMGPQILRQISEMYRKLRGTLRFLLSNLHDWKPENAICYDELPKLDKHALYQLENIVNTSKDCYEKYQFNKIFQVIQRFAIVDLSNFYFDIAKDRLYVGGSTSFTRRSCQTVLAAHLLAIVKVIAPILPHLAEDVWQNLPFDHVTDGGSVTKFVFELKWPVVNEKWLAFPTEQVDFWRIILELRSEVNKVLENARNGKFIGSSLEAKVQLHAADTTTASRLQEMVMTTNGADSLHIIFITSQVEILSSLSDETIASTPYHGHYNDQDAGKIWIGVSRADGMKCERCWNYSARVGTFAEHPTLCARCYGVVDMQPLPAAAGAM >DRNTG_26322.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21426133:21441574:-1 gene:DRNTG_26322 transcript:DRNTG_26322.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class I (I, L, M and V) family protein [Source:Projected from Arabidopsis thaliana (AT5G49030) UniProtKB/TrEMBL;Acc:F4K4Q2] MDAASILKVASGEAKLALRASSLVVFPRSCIKARKACSLRPFIPIRPFSSDLDGAAVKRRARGPVMAAKKAAEGGKPEDGKYKHTVDLPKTTFGMRANAVVREPEIQKLWEENQVWKRVVNSNTGGSFVLHDGPPYANGDLHMGHALNKILKDIINRYKLLQNYKVHFVPGWDCHGLPIELKVLQSMDQEARKELTPLMLRKKAAQFAKQTVKNQMDSFKRYGVWADWNNPYLTLSPEYEAAQIEVFGQMIMKGFIYRGRKPVYWSPSSRTALAEAELEYPEGHTSKSIYAIFKIVSASSESNSLLEEFLPNLYLAIWTTTPWTIPANAAVAVNPELEYAVAEVQYQEETSSAPGSGHIKLGSILATRGKQLLVIIAMDLVASLESKWGTKLSVKKKISGSLLENCRYAHPVTGTECPVVLGGSYITTESGTGLVHTAPGHGQEDYSTGLKYGLPMLSPVDDDGKFTEEAGRFSGLDVLGAGNAAVVSYLDEEMLLLMEESYKHKYPYDWRTKKPTIFRATEQWFASVDGFRQAAMDAITKVTWIPTQSINRIISMTSSRSDWCISRQRTWGVPIPVFYHVHSKEPLINADTISHIKAIISQKGSDAWWYMSTEELLPEKYRSDAADYQKGTDTMDVWFDSGSSWAAVLENRLGLDNPADVYLEGTDQHRGWFQSSLLTSVATKGKAPYSCVITHGFVLDEKGMKMSKSLGNVVDPKTVIVGGKNSKDSPGYGADVLRLWVASVDYTTDALMGPQILRQISEMYRKLRGTLRFLLSNLHDWKVCSARKCNLL >DRNTG_01642.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:16788758:16789315:1 gene:DRNTG_01642 transcript:DRNTG_01642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKQGTAPDQVISACNGRNCGDTLKGPGKNKRYKPTLWTENGTAQ >DRNTG_34225.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1371121:1371675:1 gene:DRNTG_34225 transcript:DRNTG_34225.4 gene_biotype:protein_coding transcript_biotype:protein_coding MINHTTLSIRFEHPFPKNYFFPTSKSHNMFSHNENITNNQTIKRSHSDNNEIRT >DRNTG_34225.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1369465:1371675:1 gene:DRNTG_34225 transcript:DRNTG_34225.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLLESAIAVGHKEWGYGGPHDSGYYNQFPEETGFFRREGAWNTDYGRFFLEWYSNMLLEHGDRILASAEEIFRGTNTKLSAKVAGIHWHYKTRSHPAELTAGYYNTRYRDGYLPIAQMLAKKGVVLNFTCMEMKDEQQPGHANCSPELLVKQVKLAAKAAGAELAGENALERYDESAFSQVRATSRSDAGDGLCAFTYLRMNKRLFEEHNWRQFVAFVKSMDEGGRRISLPKSDSTHSDLNVGFIINNSQKKESTETAGAL >DRNTG_34225.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1369465:1371675:1 gene:DRNTG_34225 transcript:DRNTG_34225.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLLESAIAVGHKEWGYGGPHDSGYYNQFPEETGFFRREGAWNTDYGRFFLEWYSNMLLEHGDRILASAEEIFRGTNTKLSAKVAGIHWHYKTRSHPAELTAGYYNTRYRDGYLPIAQMLAKKGVVLNFTCMEMKDEQQPGHANCSPELLVKQVKLAAKAAGAELAGENALERYDESAFSQVRATSRSDAGDGLCAFTYLRMNKRLFEEHNWRQFVAFVKSMDEGGRRISLPKSDSTHSDLNVGFIINNSQKKESTETAGAL >DRNTG_34225.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1369177:1371675:1 gene:DRNTG_34225 transcript:DRNTG_34225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLLESAIAVGHKEWGYGGPHDSGYYNQFPEETGFFRREGAWNTDYGRFFLEWYSNMLLEHGDRILASAEEIFRGTNTKLSAKVAGIHWHYKTRSHPAELTAGYYNTRYRDGYLPIAQMLAKKGVVLNFTCMEMKDEQQPGHANCSPELLVKQVKLAAKAAGAELAGENALERYDESAFSQVRATSRSDAGDGLCAFTYLRMNKRLFEEHNWRQFVAFVKSMDEGGRRISLPKSDSTHSDLNVGFIINNSQKKESTETAGALNASIQELPLEPSLPRRRSSTRSASSFRADSLPNINPYQKETAEKTPAVARVWSSESFFSIAIGSLNPSRPQPLIGLSIR >DRNTG_16729.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000845.1:18620:20405:1 gene:DRNTG_16729 transcript:DRNTG_16729.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHSGRKMYHLESVKAILAAAWERATLENGFGEVLRLRHRHSFGRRLVGELRRGVDKFLLRISRKCTGLSKWKVPNHNEALDAKVTSTLQSVTRATKPQRRVIP >DRNTG_16192.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12422881:12423750:1 gene:DRNTG_16192 transcript:DRNTG_16192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLATLSSSSLFLHLLQHAIRVNSEGFLCFRVSLLCLLYPTTSDDIPAELGILANLQTLNLGNNSLEGEMPSQLGKLNQVIYLNFMANKLQGTIPKSFGRLVSLQNLDLFVNQLTGSVPEELSELSELVFLVLFGNQLFGPLSRKLCQNSSKLEHLLLCANNFTGEIPLSLVSSQSLKQLDLANNNLSGLIPSELDELLNFTNLLLNNNSFSSTIPRSLGNLNSLQTLTLYHNELRGRIPEELGILYNSLHGNGGEETKEMMKEKRGG >DRNTG_31966.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001767.1:36728:43510:1 gene:DRNTG_31966 transcript:DRNTG_31966.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCPTHRGSRSPCSISRLIGGDESSPTNLLPNGVRCRSRRTFPNPSLDQCRRKLPQ >DRNTG_04591.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000221.1:21382:23178:-1 gene:DRNTG_04591 transcript:DRNTG_04591.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSGSRPACRNEWIEGGWVLFRAGREGIYSTHSTSPVLLKCATPYEGPPSLFALPDSPFTRLSKRNRPVLFFFQCTRFVSPTHKEGRGVKEATPSLFISASLRVSTSERSGGLHSETRDRPPSDQNKEKAFHFRQMTRPPLAARNLLLRSSCHLSPPKNTWVKKGRKKEAGDRKQKEKPR >DRNTG_19042.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22489475:22491845:1 gene:DRNTG_19042 transcript:DRNTG_19042.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLLRPSSMLLNTILSSRYQQPYNLPLRLCKKGWLKFGCYAIGGGSLGNSESTEGGSLKGKDNGYFFSDFDGSGVSFGTLSAAITHETVDFFVSDTEGDPDRPSEGFSSIDQAIKALHRGQFVIAVDDENEDVEGDLIMAATLANPKAIAFMIRHGSGIVSVGMKEEDLERLMLPMMSPITEIEDLSAAASTVTVDVRVGISTGVSAADRAKTILALSSPDSKPGDFRRPGHVFPLRYRNGGVLKRAGHTEASVDLVMLAGLRSVSVLSTIVDPEDGSIARLPALRRMAEENGLPIISITDLIRYRRKREKLVEKIATSRLPTKWGLFEAYCYRSKLDGTEHIAVVKGNIGDGQDVLVRVHSECLTGDILGSTRCDCGNQLAFSMQLIEQAGRGVLVYLRGHEGRGIGLGQKLRAYNLQDQGHDTVEANVELGLAIDAREYGIGAQILRDIGVQTMRLMTNNPAKFIGLKGYGLAVIGRVPVISPITKENQRYLETKRTKMGHVYGSDLPGNLPGFNEPDDKSTE >DRNTG_19042.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22489475:22491845:1 gene:DRNTG_19042 transcript:DRNTG_19042.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLLRPSSMLLNTILSSRYQQPYNLPLRLCKKGWLKFGCYAIGGGSLGNSESTEGGSLKGKDNGYFFSDFDGSGVSFGTLSAAITHETVDFFVSDTEGDPDRPSEGFSSIDQAIKALHRGQFVIAVDDENEDVEGDLIMAATLANPKAIAFMIRHGSGIVSVGMKEEDLERLMLPMMSPITEIEDLSAAASTVTVDVRVGISTGVSAADRAKTILALSSPDSKPGDFRRPGHVFPLRYRNGGVLKRAGHTEASVDLVMLAGLRSVSVLSTIVDPEDGSIARLPALRRMAEENGLPIISITDLIR >DRNTG_19042.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22489475:22491941:1 gene:DRNTG_19042 transcript:DRNTG_19042.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLANPKAIAFMIRHGSGIVSVGMKEEDLERLMLPMMSPITEIEDLSAAASTVTVDVRVGISTGVSAADRAKTILALSSPDSKPGDFRRPGHVFPLRYRNGGVLKRAGHTEASVDLVMLAGLRSVSVLSTIVDPEDGSIARLPALRRMAEENGLPIISITDLIR >DRNTG_24936.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21396219:21397946:-1 gene:DRNTG_24936 transcript:DRNTG_24936.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSNAFVNHIPQELSQLQYLQILDLSSNNLSGPIPKSLSNLTAMQMLPDTIGWIHMVIANKETMLLSFRGRDDEYNQRNIAFLNYIDLSNNELSGNIPEELASLYGLQSLNLSGNTLQGEIPNKLGRMKQLQSLDLSRNELSGSIPATLSNLTFLEHFNVSYNNLSGRIPSGNQFNTFNDSSIYIGNHLCGYPLSDNCTKDGGIINEELSAGKDEDDGMLWMYIGSLSGFAVGFWTIWGVLIFKKKWRHAYFHCVDNTYNKIYIYIAVSFARMSIKMM >DRNTG_24371.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2881362:2885340:-1 gene:DRNTG_24371 transcript:DRNTG_24371.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNRHRRPSLKSIHPDWKEKLRNNCLRRVRNERANLLWKIRTVRKQTPDEKEIMESTFRGIVSDELKKIKLLPLNGQMGISPTEISDVWEYDGPHEGTQENDSEELLIEMQRLLYEDLREELIQRELNAYEEEDEYLAQAVFEHMQLNIDLIGKDKTVWCPVCKQGVLRESHRLIYCSSCKLRLDLENDKVNLDFLRNRLGEVHMDHLDKGCKATPNFYMDSSFNLTALYIQCRACDTFEIVM >DRNTG_08591.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9547904:9550256:-1 gene:DRNTG_08591 transcript:DRNTG_08591.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLDLTFDLWILVAEEEIGLTFMKLFSRLFAKKEMRILMVGIDAAGKTTILYKIKLGEIVTTIPTISEFFYTLLALQFPMQASSQPQQALTAQQPNAQTIQSSSVQAGIGMGDIPIQPHPGNQTPGWGCRPGYPIPILGSPGHQTLP >DRNTG_19756.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5952381:5953321:1 gene:DRNTG_19756 transcript:DRNTG_19756.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFWHHCRGLATFRKISILEGQAMDELIAALENCTGFSNDNVEVIGSGTFHDLLKLLSHLMEEVAIPIGTRDMHDSEDEEVDDQESTKPPHKAMMEESHVISSDSNHMIWENNHDAIIPNLEEHPLQERYFTQIKRIKQWLHFLQNLRH >DRNTG_32461.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2448994:2451771:-1 gene:DRNTG_32461 transcript:DRNTG_32461.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAMDHSKILLSLPCLFPLLLFFSSQVTADLLNSDKQALLAFASALHHGRKLNWNSTTPICSSWIGVKCSVDQARVQAIRLPGMGLFGSISSNTLAKLDALQTLSLRSNHLVGNLPTDISSLPSLRYLYLQDNNFSGNLPELFSSHLIVLDLSYNSFTGEIPDVFQNLSQLSVLYLQNNSLSGSIPDFKLVGLKRLNLSYNKLNGSIPTSLQKFPNESFTGNSLLCGPPLVQCSAVLPSPSPVLPPISNPEEFHSKHKHFAIGTWAIIAIVAGGLSVLLLLVMVFIVCVMKKNGREGSEASKKKSSASEKSEKSKGESSNDAQNADKNKLSFFEGCSYNFDLDDLLRASAEILGKGTYGTSYKAVLEDGTMVVVKRLKDVVSGKREFEQQMEIIRRLGDHPNVLSIRAYYYSKEEKLLVYDFIPCGSFSSLLHGEVTELH >DRNTG_32461.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2448994:2452463:-1 gene:DRNTG_32461 transcript:DRNTG_32461.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAMDHSKILLSLPCLFPLLLFFSSQVTADLLNSDKQALLAFASALHHGRKLNWNSTTPICSSWIGVKCSVDQARVQAIRLPGMGLFGSISSNTLAKLDALQTLSLRSNHLVGNLPTDISSLPSLRYLYLQDNNFSGNLPELFSSHLIVLDLSYNSFTGEIPDVFQNLSQLSVLYLQNNSLSGSIPDFKLVGLKRLNLSYNKLNGSIPTSLQKFPNESFTGNSLLCGPPLVQCSAVLPSPSPVLPPISNPEEFHSKHKHFAIGTWAIIAIVAGGLSVLLLLVMVFIVCVMKKNGREGSEASKKKSSASEKSEKSKGESSNDAQNADKNKLSFFEGCSYNFDLDDLLRASAEILGKGTYGTSYKAVLEDGTMVVVKRLKDVVSGKREFEQQMEIIRRLGDHPNVLSIRAYYYSKEEKLLVYDFIPCGSFSSLLHGEVTELH >DRNTG_06233.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5352646:5354928:1 gene:DRNTG_06233 transcript:DRNTG_06233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMLIVLVSYLLVGVGVSAMNFYNDVDLTWGGDKAKIMENGSLLVLILDKGSGSGFQSKAEYLFGRIDIKIKVVPGNSAGTVTAYYLSSQGSTHDEIDFEFLGNVSGEPYTLHTNVFSQGQGNREQQFCLWFDPRLDFHTYSVIWNPQAIIFYVDGTPIREFKNQEDKGVPYPKNQPMRLYSSLWDAEDWATRGGLVKTDWSQAPFTASYRAFAADACLFSNGKSSCTDDNRPSGRWWDQSLDSIGMKKLKWVKDNYMIYDYCQDSKRFPQGFPPECSY >DRNTG_35186.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18714019:18716170:1 gene:DRNTG_35186 transcript:DRNTG_35186.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLEGVKYLHDNWVLHRDLKTSNLLMNNRGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGIKEYSTAIDMWSLGCIMAELLAKEPLFNGKTELDQLDKIFRTLGTPNEKIWPGFAKLPGLKVSFVKQPLRAMGDLVEASLFTLVAVDS >DRNTG_35186.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18714019:18716170:1 gene:DRNTG_35186 transcript:DRNTG_35186.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLEGVKYLHDNWVLHRDLKTSNLLMNNRGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGIKEYSTAIDMWSLGCIMAELLAKEPLFNGKTELDQLDKIFRTLGTPNEKIWPGFAKLPGLKVSFVKQPYNKLREKFPATCFSGRPTLSEAGFDLLNKLLTYDPEKRITAEAALNHAWFHEVPLPKSKDFMPTFPAQHAQDRRLRRIMKSPDPLEEQRLKELKQGELGPTGLFG >DRNTG_35186.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18714019:18718528:1 gene:DRNTG_35186 transcript:DRNTG_35186.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLEGVKYLHDNWVLHRDLKTSNLLMNNRGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGIKEYSTAIDMWSLGCIMAELLAKEPLFNGKTELDQLDKIFRTLGTPNEKIWPGFAKLPGLKVSFVKQPYNKLREKFPATCFSGRPTLSEAGFDLLNKLLTYDPEKRITAEAALNHAWFHEVPLPKSKDFMPTFPAQHAQDRRLRRIMKSPDPLEEQRLKELKQGELGPTGLFG >DRNTG_35186.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18714019:18718528:1 gene:DRNTG_35186 transcript:DRNTG_35186.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLEGVKYLHDNWVLHRDLKTSNLLMNNRGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGIKEYSTAIDMWSLGCIMAELLAKEPLFNGKTELDQLDKIFRTLGTPNEKIWPGFAKLPGLKVSFVKQPYNKLREKFPATCFSGRPTLSEAGFDLLNKLLTYDPEKRITAEAALNHAWFHEVPLPKSKDFMPTFPAQHAQDRRLRRIMKSPDPLEEQRLKELKQGELGPTGLFG >DRNTG_35186.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18714019:18718528:1 gene:DRNTG_35186 transcript:DRNTG_35186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLEGVKYLHDNWVLHRDLKTSNLLMNNRGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGIKEYSTAIDMWSLGCIMAELLAKEPLFNGKTELDQLDKIFRTLGTPNEKIWPGFAKLPGLKVSFVKQPYNKLREKFPATCFSGRPTLSEAGFDLLNKLLTYDPEKRITAEAALNHAWFHEVPLPKSKDFMPTFPAQHAQDRRLRRIMKSPDPLEEQRLKELKQGELGPTGLFG >DRNTG_01732.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4095647:4096298:-1 gene:DRNTG_01732 transcript:DRNTG_01732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASIIEHSFVPTKELESRVTLSSQPLPRSSQSHLSHNQSKLVKKNKPNDAV >DRNTG_14491.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7225350:7228254:1 gene:DRNTG_14491 transcript:DRNTG_14491.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARRRHKKLPKANGNVQEQTMTPRNKKQAGKRPCKPSPEPEQMEFVIPEYRAWFKRLSKLKFEQTRFLDFSAVREVHLTDDMADKVDELLLVGILRRLLSIHELAIRILAGGHILVEYLRHQGQYAKISVLFSGLYITRLIIKMGLLDTIRVAEKTLETMLESAVQILQVPLAKVPSTQVVNHTEASQVALSPVPATIDPPFSPATAETTEDTNT >DRNTG_20862.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001166.1:8705:10407:-1 gene:DRNTG_20862 transcript:DRNTG_20862.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGELPHCWNQLSWLAIIDLANNNFFGNIPNAIVSLTNLQSLHLRKNGLSRNLPFSLKNANTLVVLHIGENKISGIIPSWIGSLVLDLAQNNFSGVIFPHSFGGFKAMVPSRSERSQLLISKYDQTCYNETLISRISNIGLSCNRLSGEFPDEFTSLHGLIFLNLFDKLLNGKLPKSSVT >DRNTG_25501.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1404270:1411339:-1 gene:DRNTG_25501 transcript:DRNTG_25501.1 gene_biotype:protein_coding transcript_biotype:protein_coding EPELKGTSFCTQCICWNKTARVHHEINQEFPNTDEKAHRQKHSPPELDPSAAFPS >DRNTG_25501.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1404270:1405436:-1 gene:DRNTG_25501 transcript:DRNTG_25501.3 gene_biotype:protein_coding transcript_biotype:protein_coding HPKLCLYNHEHYIPNTDEKAHRQKHSPPELDPSAAFPS >DRNTG_08789.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5835578:5841996:-1 gene:DRNTG_08789 transcript:DRNTG_08789.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLDFAKYLGIYDDEVINLMLGDRLKLDFPSDRPTHLGHLVADAFLNQGLYAQLGAIFAGPYMTRLIRGMGLFEQTRGITIVGGAAPLGKAHIWAIGLVVREHVSGRPTLPWATSESSQHEIEHTKSEPEDTPVPTPGTTSLPDFDMRLRGIKGEIQGMRQEQHEIHGHLHQIIEGQRRLEEDFHHFITSYYGSSSHTVTTSFTTMPPPLAPDCDK >DRNTG_14050.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4919154:4921842:-1 gene:DRNTG_14050 transcript:DRNTG_14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRAAAARRHPWRSLLARHFSSSSSSAAVDSLLLRSLKEHYLEVSRMTPPPKVNPPSPFTIVKGGLDRDGPVLRGAYKDEEISITVMRLANIVPADADDDENSINQLFLHVDVSKPSRADSLHFLCGLYPDALGIHSVCLRPKAESGAAPESSRVVSKYQGRVFYELDQKLRDAFHIFIESRGVNEKLFPFLQAWLYVKDHRNLMRWFKSVGAFINEQKSA >DRNTG_31153.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001668.1:25709:27710:1 gene:DRNTG_31153 transcript:DRNTG_31153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMLCTHGDRDEVKGGRNKVDKNRRRDLHSSDTAKAYEDWPTGWDLGIVAWDDGRRLRYESMYASTMEMNLNTSLANFGGLNPNLIIGNSPGNLLLHSSSSSSLNDYGELALGDEKNSSLAGRPTSTASLHTSHSIDNEIA >DRNTG_04918.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:9195899:9197993:1 gene:DRNTG_04918 transcript:DRNTG_04918.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTNDRFNNLTSSMDAQFGKVNAQLTQHAEQFSEIGSVLRNLQASVKSLEHRVGELAKAHSERPLGCLPSNTEDNPREHLKIIALRSGRQVETRVEVDPSEVLSLNPLDEYLGELENEEEEEPHRHPPIHNLKPPKERVSCLRPYWAVWRPSKLTTEPIRMALCSLRRPPYGPVWGPSKSAGCLGRGKLPTYTAPIRGRMGAVWWRGFPL >DRNTG_06056.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:24877007:24877738:-1 gene:DRNTG_06056 transcript:DRNTG_06056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLNNSRLNLFPWRPKQLCGGVLKGILARTKEEVGRMLKPSKEPPKFKLHNSRPKLFPWRPKGASGVPCAHEAACEAYNLRG >DRNTG_29281.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28652310:28656106:-1 gene:DRNTG_29281 transcript:DRNTG_29281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSESYLGFEPSPATREEMETLALGDGEDDPFHSSMSSLSAVPATADPLLSPPDMAPSSSFLDPPAYADVAFSSPNGSGSSSGSPPLSRNPSALLNHRLPIEYAKIMVSNPQKEHEHAAGNSLVPGSGTFVTYLITSRSLDAGSGSGEISVRRRFRDVVTLADRLAESYRGFFIPPRPDKSVVESQVMHKQEFVEQRRSALEKYLWRLACHPVIGRSDELRVFLRVQGKMPLPASTDVASRMLDGAVRLPKQLFGEPGGGYVAPQEVVQPAKGGRDLLRIFKELKQSVTNDWGGVKPPVVEEDKEFLERKEKVQDLEQQLTTASQQAESLVKAQQDIGETLGELGLALIKLTKFENEEAMYNTQRIRAADIKQVATAAVKASRLYRELNAQSVKHLDTLHEYLGLMLAVHSAFSDRSSALLTVQTLMSDLSSLHIKAEKHEAASSRIFGGDRSRNRKAEELKEMIRATEDAKNCASREYERIKENNRSELERVDKERRADFLAMLKGFVVNQVEYAEKISNVWSKVADETSRYVRDNI >DRNTG_17729.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4094525:4098078:-1 gene:DRNTG_17729 transcript:DRNTG_17729.3 gene_biotype:protein_coding transcript_biotype:protein_coding VAGHPSYWVIYKTERSTWSRGAVSKASITSKHTKLHARVLSISSSPLMCVCVCSQVCMSPDSNQSP >DRNTG_17729.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4076413:4099620:-1 gene:DRNTG_17729 transcript:DRNTG_17729.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRDQLGQEVGSVKHQSPPNTQNYMHGCSAYPHHL >DRNTG_17729.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4094525:4098078:-1 gene:DRNTG_17729 transcript:DRNTG_17729.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSILPLPSHTEMMYTWLAVAPSTTQRSQGDSYHHSPARGQSSNPQGKTKLFCPTLHSQIFLASTN >DRNTG_01888.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21059128:21061846:1 gene:DRNTG_01888 transcript:DRNTG_01888.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEWILVLSKWLILLEMLGSARLAFCIGGPYGHGPQVRERADVTIRLSSMVLNHQIALIVLLEQLYRAWTIIKRQKYHH >DRNTG_01888.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21059128:21061846:1 gene:DRNTG_01888 transcript:DRNTG_01888.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMMQQIRPEDWVVVLDEHGVDIGSEQMADLIGDAGITGSARLAFCIGGPYGHGPQVRERADVTIRLSSMVLNHQIALIVLLEQLYRAWTIIKRQKYHH >DRNTG_04112.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30098947:30100702:1 gene:DRNTG_04112 transcript:DRNTG_04112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKCNFVKVLPDKELIFATVDNPSNTVEWVMAEMLNQPDILRKAIDELNHDVGPHCLVEESDFPNLPYLKACAREALRLHPVAPFNLPHVSFTNTTVAGFFIPKGSQVLLSRVGLGRNPKVWEDPMRFNPDRHLIEKNVELAETDLRFISFSAGRRGCIGAQLGTMMTYMLLARVLHAFTWTLPAGEELVNLSEEEHSLFMAKPLHALANSRLYFLS >DRNTG_26797.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19546789:19548203:1 gene:DRNTG_26797 transcript:DRNTG_26797.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGFGFWLIRVRDSGRTEGQMRGWGDPCTPNHLGHSTDGANFYLNVGCLLVHVVVGPGAGHLEEALSQLLAIQLASNKKFIRVARELHDDGEPHLHVLLQLEGKTARSSLDIKAYIEKDGDFIDKGEFQIDGRSSRSGPVNLVEVYAEALNAGSAEESLQVIRKKDLKSFFLQYHNLRANADRLFAPPICVFKSKWVVLLSLLIQLKNWKELIGVQRNWRSNCKYGKPVQVTGGVPMIILCNPGSDSSYSEFLERPEHISLRQCTHQNAVFKFVFSPMFRQSGDMSDSTSVSI >DRNTG_31665.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:962659:963175:-1 gene:DRNTG_31665 transcript:DRNTG_31665.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVHYFRYHFRPCECDNDHKHDRRRSFHHTDLRLPNKTTDKLFTPSPDSRDQ >DRNTG_33446.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3023689:3026595:-1 gene:DRNTG_33446 transcript:DRNTG_33446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQHPSIVRVTRPTRPDKARRLGYKAKQGYVIYRVRVRRGGRKRPVPKGIVYGKPKNQGITQLKFQRNKRSVAEERAGRKLGGLKVLNSYWINEDSTYKYFEIILVDAAHNAIRNDPRINWICKGVHKHRELRGLTSAGKKYRGLRGKGHLHHKARPSRRATWKRNQTLSLRRYR >DRNTG_19355.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5521779:5524302:-1 gene:DRNTG_19355 transcript:DRNTG_19355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTALTSWESVLAEICERWSLDVSHSASTPIQPHDDPDDHSDGCQWRLHASKEYNKNTFRIKTINSSHNCGGGKGSASHPKASKKWVSARVIRKLKDRPLYKAIDIQKDMLWEHGVHIPYKQAWLGKEHAWVVLDGSDISNYDLLLCYVDKVVETNFGRIAIVERDGERFKRAFFSFRACIVRFKKACRVLLFLDDTHLLGKYRGTLLGATCKDENNGFFHVAFGIVDNETEANWTWFISKLGDALYDEGDYHVIITFVSDRYKGLVNAVARVFPSSPHAYCLRHFEANFMKANARLGKALREECWSIYFCIAWAFMAKEFNDTVNELQATSPKAHHWLINKSDMAHWLNYLFMGERWGEMYSNIAESFNAWIKEARHLPVIKMVDSIRFKLMRMLCNRQILVEDSRNLYVGHCVDDRCKVIDQCSNSVDLSIRTCSCRMWQVYGIPCKHACAAIMQIDTNVHRFISGYFTVDNYKMVYKEAIFPTLDDDKPTDQNRELRLRPPVTRRQPRCPRRKRIELQVFEVRELHCNPCHGSGHNRRSCNETIAD >DRNTG_00309.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2150128:2154020:1 gene:DRNTG_00309 transcript:DRNTG_00309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRALSSLLRSSSRRPFSSRSPFTGPRCPSHSPVSRPSPTGFLLSRAAEYATAAAAATPAPSAPPSKPAGGPGGKITDEFTGAGSIGKVAQVIGAVVDVRFDEGLPPILTALEVQNHSIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQAVLNTGSPITVPVGRVTLGRIMNVIGEPIDEKGELKTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQIAELGIYPAVDPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVDLKESVASFQGVLDGKYDDLPEQSFYLVGGIDEVIAKAEKIAKESST >DRNTG_10421.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:7702211:7709091:1 gene:DRNTG_10421 transcript:DRNTG_10421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWKRVAARVGDKGWGGAAWRLTRLEILDMARDLKIIVDGCSLWSIESSSQVARLKEIKSNSDVILMASSVGQNRIESVYVKVVMMDGPIGLNKSGNVASVVNALKSKKEVKMGYKDIMLGVLVQFKDQDTRGVYKRGDFLRGETARVWRGGLCGFGSTSSPTLIDSSSVIASTKPPVNLASEWVLQDVEALHQDHQFIYKGVYFYGFDVLSFINGVFCMLLHGELKPWRICMICYFDVREVSIRVRTSRLKRVERVSHEIVECPLSLLIECILSPFLKLYATIFGVRCEIERFLRRDLVGGKATARLAVFVELLGITRGTMASRDKDKGTAQLKRHTIIKTDIDPMDTIDPHVLKDHFTNVMEMTNIPQHDNISGRSANAVEEHGNPAKPAPSSLPQEIPTAEHDVQRLPASGSQAASPNIQVGQTTNQKTTMKAVGGGSINVIFSPPTTIGTQADAQMPQIGVTTEQTTPATTVGDVSLERNILPTVGIQNQLLSKTQARKHFPTRPTPINNQGVERNLEAKSKPTQTMKKKESLDSTRASREQCIWETIKNCNKDGCSALLLVLVFKFLQGLA >DRNTG_03129.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21525469:21528743:-1 gene:DRNTG_03129 transcript:DRNTG_03129.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKDMSSVDSSGPVTGHIISTTIGGKNGEPKRTISYMAERVVGTGTFGIVFQAKCLETGETVAIKKVLQDKRYRNRELQLMRSMDHPNVVSLKHYFFSTTNRDELFLNLVMEFVPETLYRVLKHFCNVNQNMPLIYVKLYTYQMFRGLAYIHTVPGVCHRDVKPQNLLVDPLTHQVKLCDFGSAKILVKGEANISYICSRFYRAPELIFGASEYTETIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIRVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKASISFASLPHNFYCSI >DRNTG_03129.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21521778:21528743:-1 gene:DRNTG_03129 transcript:DRNTG_03129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKDMSSVDSSGPVTGHIISTTIGGKNGEPKRTISYMAERVVGTGTFGIVFQAKCLETGETVAIKKVLQDKRYRNRELQLMRSMDHPNVVSLKHYFFSTTNRDELFLNLVMEFVPETLYRVLKHFCNVNQNMPLIYVKLYTYQMFRGLAYIHTVPGVCHRDVKPQNLLVDPLTHQVKLCDFGSAKILVKGEANISYICSRFYRAPELIFGASEYTETIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIRVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKVFHKRMPPEAIDLTSRLLQYSPSLRCSALEACAHPFFDELREPHARLPNGRPLPPLFNFKEELAGASPELINKLIPEHIRRQAGLGFVHPAGT >DRNTG_03129.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21521778:21524455:-1 gene:DRNTG_03129 transcript:DRNTG_03129.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLILFQVFHKRMPPEAIDLTSRLLQYSPSLRCSALEACAHPFFDELREPHARLPNGRPLPPLFNFKEELAGASPELINKLIPEHIRRQAGLGFVHPAGT >DRNTG_21185.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11618853:11621657:1 gene:DRNTG_21185 transcript:DRNTG_21185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIDILPLPMVSSWSPMFMTMERRRGGTLSQRPSFSNWRPLTSSS >DRNTG_12933.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1565610:1570259:1 gene:DRNTG_12933 transcript:DRNTG_12933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSLAFPASGSLPNDRHRGLPSVAPRSLFSRSLIPIRKGCRSVKAASPERSLEASWIPAEGNAGDVYGGWAVCKAQMDDRKDHLKFVLAGVGTSVAILLAVLTYRSASRKGYQFYIGVPLHAVLPRFRSDESTNAECTAPGVDQVSETKLDGEPVASDTSGLDENSASVMQERKIKIIHVAADSTQREALFVLKKLKIIDDDVNPDELCTRREYARWLVRANTLLERRPRHKIVALRPMTFTGLVGTAFDDVSVNDPDFWCIQALGEAGIVHSKLAPMDFAQSDIHSSYDLQGRFNFSPESFISRLDLVNWKVLLEYPFQYNTEAKMLRTKAGFLDLSVISLDTSPQLLTDLMAGDNSITRRTFGNTRRLQPHKPATKAQAAVALASGRMAEVIHTELSRLEAEKVSRLAEMEEIRSEFIERGEIPRFWEEKLNKEKERVLEAEKNFHAALHDLEIEKKALDEDLAEYMKEKAALDSQCQSLVRLREEVDGIRDKLSTERTSYIVEKESLEKQLTDLLENLEAISERKSKLEAEKEATQILRSWVEEEAQRIQARAKFLAEAARRWNWNNSIESQDAIYNKRNNPESSS >DRNTG_12933.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1565610:1570259:1 gene:DRNTG_12933 transcript:DRNTG_12933.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTKAGFLDLSVISLDTSPQLLTDLMAGDNSITRRTFGNTRRLQPHKPATKAQAAVALASGRMAEVIHTELSRLEAEKVSRLAEMEEIRSEFIERGEIPRFWEEKLNKEKERVLEAEKNFHAALHDLEIEKKALDEDLAEYMKEKAALDSQCQSLVRLREEVDGIRDKLSTERTSYIVEKESLEKQLTDLLENLEAISERKSKLEAEKEATQILRSWVEEEAQRIQARAKFLAEAARRWNWNNSIESQDAIYNKRNNPESSS >DRNTG_12546.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21085123:21088077:1 gene:DRNTG_12546 transcript:DRNTG_12546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIYLTFLSSLQTTSSSNPNLITKSLARSNLFHQIHRHCSSSTRFFSSPSSPDNAMDGASPPIHVVSTVASPFDENPASAIDAGSSLRKPLSLWPGMYHSPVTNALWEARSSIFERLLDESRNGPPQSQLLTKTPSQSRTSIVYGFSSDYILREQYRDPWNEVRIGKLLEDLDALAGTISVKHCSDDDSTTRPLLLVTASVDKMVLKRPIQVDTDVKISGAVTWVGRSSIEIRMEVTQPQEDGLVDPVVLTANFTFVARDSKTAKSAPVNRLLPETEQEKLLYQEGEARDKLRKERREEQKKAFERGWHSLHGEVDRLKSLLAEGRVFCDLPALADRDSILMRDTSLENSLICQPQQRNLHGRIFGGFLMHLAFELAFSTAYAFVGQKPCFLEVDHVDFLKPVDVGDFLRLKSCVLYTQLEDPAQPLINIEVVAHVTRPELRTSEVSNTFYFTFTVNSDALKNGLRIRNVVPATEEEARRVLERMEAEKIFA >DRNTG_09277.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21588128:21588860:1 gene:DRNTG_09277 transcript:DRNTG_09277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQCFFEAFVSGDTVVALDILPFDNLPFIISIDILSSSTVSPRLQTALGSCRRRCRCPAEIHKHVVAGGGRDGGEKREDVGMRGRHGGRDRWGVRRLGPEAI >DRNTG_09277.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21588472:21588860:1 gene:DRNTG_09277 transcript:DRNTG_09277.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQCFFEAFVSGDTVVALDILPFDNLPFIISIDILSSSTVSPRLQTALGSCRRRCRCPAEIHKHVVAGGGRDGGEKREDVGMRGRHGGRDRWGVRRLGPEAI >DRNTG_22016.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23635200:23635960:1 gene:DRNTG_22016 transcript:DRNTG_22016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNGCRIGPLTWDALVKLYVESGEVEKADSILQKASQQNQIKPLYSSYLTVLDQYAKRGDVHNAEKIFHRLKQIGYAGRMRQYQALLQAYINAKTPAYGFRDRMKADNMFPNKPVAAQLAAVDAFRKTQISELLD >DRNTG_20431.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001116.1:99355:100655:-1 gene:DRNTG_20431 transcript:DRNTG_20431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTVSWLENRMTGLDKALTKFIQSSHMRFQSVEATLRNHTTSLHNLENQMGQIVKSLLEKPQGSLPSNTKTNPREHVKVITLRNGHEVESRLPNEKVMVESPEVMEVEERTKENEVAPPPYTPRIPYPSRTLGNGGRINHTRGERGHSTTSRLTLSTLSNL >DRNTG_27093.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001381.1:18285:20211:1 gene:DRNTG_27093 transcript:DRNTG_27093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQRFENAQEFKDSMCDLAIKRNFNFRFIKNDKDIVTVTCAAELCQWRVHASRDNNLPTFRIKKPQNSHTCGGGIGTTSHPRASKNGKVSETNPDSIVIIEKDGERFKRGKYGGILLGATAKDGNEGLFHLAFAIVDNETDDNWTWFISTLGDAIYGEDDYHKIITFISDRSKGLVNAIAKVFPSAPHGYCLRHLQANFLKANGLLGKGLKDECWRLVVKIAYACTSSKYDATVGAFSAISTQAHNWLFQKSDMMHWCNYLFRGQRWGEMYSNVAESFNAWIKEARHLPVCNMVDAIRFKMMNLMYKRRENSMKWEIHLCPEIHKKIEKTIEESRCLVIGRSDGDIFEVVDKQGNHVDLRSRTCSCRRWDVYGLPCNHACCAILQTDVNIHCYMEGYYTVALYKEAYETSSYSSTYLKEETRMTKKKED >DRNTG_19722.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4165463:4168218:1 gene:DRNTG_19722 transcript:DRNTG_19722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISIHGGYSGGCLKPAPNASRRQVALFSAWPLGTEAVWLNVPAGETKCISEEIQADNIVLEVIIDYLQVTTPYGTIIHQKSNATEGEFAFTTSDSGNYQACFSVDPSKDGSSANINLNWKIGIAARDWASVARKEKIEGVELELRKLEMVVKAMHEKLLLLKDKEANMRDMSEKTNSRVVWFSMMSLGVCILASAVQLSHLKGLL >DRNTG_22874.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4260158:4260733:-1 gene:DRNTG_22874 transcript:DRNTG_22874.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDSKAKNSTPPRMKGTSTQPRGNSPRVLLSLLLPFSTVSTNGTDSPSSPLPVIPCRCAHPASVARTAAQYSTAHSSR >DRNTG_21872.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19211653:19212532:-1 gene:DRNTG_21872 transcript:DRNTG_21872.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIDNYQSTSPSTTTTTTTTTTTKTTESLVPYNLTTQTSSEVSTDSSSSAEQHTENTNGLNNGNYWSGYNGDGEVEAMLFELGFFSDGYDQTQDQVMGDGGPWLPTLTNLWTFSDLP >DRNTG_21872.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19211653:19212807:-1 gene:DRNTG_21872 transcript:DRNTG_21872.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDRANVKRGPWSPDEDMLLRNYIQKHGTGGNWISLPSKAGLRRCGKSCRLRWLNYLRPDIKHGGYTEEEDTIICTLYKNIGSRWSVIASHLPGRTDNDVKNYWNTKLKKKMMAIDNYQSTSPSTTTTTTTTTTTKTTESLVPYNLTTQTSSEVSTDSSSSAEQHTENTNGLNNGNYWSGYNGDGEVEAMLFELGFFSDGYDQTQDQVMGDGGPWLPTLTNLWTFSDLP >DRNTG_21872.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19211653:19212292:-1 gene:DRNTG_21872 transcript:DRNTG_21872.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIDNYQSTSPSTTTTTTTTTTTKTTESLVPYNLTTQTSSEVSTDSSSSAEQHTENTNGLNNGNYWSGYNGDGEVEAMLFELGFFSDGYDQTQDQVMGDGGPWLPTLTNLWTFSDLP >DRNTG_20991.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001188.1:38719:39318:1 gene:DRNTG_20991 transcript:DRNTG_20991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKQRYHHLLMFTHCKTRHENQEHEDEHSHKRSHDRPAYPLTKPIKPQANGHPIRSLIPIMSHRNNSCRRGRCCSSQSKNNSVKDKQKKAWPNCMWSNETDQCED >DRNTG_23024.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3213248:3214638:1 gene:DRNTG_23024 transcript:DRNTG_23024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLEEEKTKSTMEYIEALEEERRKMEVFHRELPLCVQLVTQAIESIKNGEDCPVKSGEVLPTPVLEEFIPLKPSLSSFDGEDRQRCHETTMEEKPDWLRSVQLWSQEPEPELELEKKEGGQSPTQRKARRSWSPELHRKFLHALEQLGGSHVATPKQIREIMKVDGLTNDEVKSHLQKYRLHTKRSAPAVPISGNSSAQTPHFMVVSGIWVQPIEFASTTLNGTTSSSSQMTTVSPADEPKS >DRNTG_33813.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2874185:2875567:-1 gene:DRNTG_33813 transcript:DRNTG_33813.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPSLPIFTSNKIEDEQGNNLQIQLIGTKNGQQYPPQISSSIKIELVVINGDFPGEREDWNANEFNDNIIREREGKRPLLIGDVNLVLKDHATAISIQELIFTDNSSWTRSRKFRIGARVLPESYSGPRIKEAITRGFTVRDHRGELYKKRYPPRLGDEVWRLEKIGKYGAYCRKLSAANINTVQDFLKLSVIDENRLRMILGMAMSDKMWEATIKHARTCRLGEKHYFYRGSCFDIIINPICEIQGVFINGLIYKIEELIPQQRANAQIMAYEAYLNWDKVEEADSFFSGADAAPIPSSEAITQGLVATTPSAWYPGNPGIGSASEFQIGLEDVQLLDTNFSLDANIGSQTHPD >DRNTG_25314.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20340333:20342644:1 gene:DRNTG_25314 transcript:DRNTG_25314.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFSHLSFGNYAPTSLPCLSWGNQIQQHFKYNHKVLCMSAQQDTKRSASVKPSEAEVAKEVPSIIPRPGCPYRTKVVSVKTLAGTKGAIGEICHVVLEHGSRFHFVEGQYLGVILHPGTGGGWCGPW >DRNTG_34486.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19025609:19029002:-1 gene:DRNTG_34486 transcript:DRNTG_34486.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIQHIVVPKIGLEFDLEKEHYYVKVYDKDRPDSLISFKCTIGNGGELETKKIELNDVRHLVVDVSCLCKDIDLRGRSMKLRLRHADHYDYKTLAGEASKEVILKLTGISKLLKEEDMISDSLREMMQEAKPPRWSCRWSCGESSTRRKGSFVRPRIHLAESMPTKALPITFFQMTRDVGAVEPLQKPSKYPSKP >DRNTG_31402.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001699.1:6555:7124:-1 gene:DRNTG_31402 transcript:DRNTG_31402.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDLNNDKNKKKNNHTQRNKGFSVIRSKRPIFTAALISILSLTIRIYNYRDI >DRNTG_33099.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:9594405:9606693:1 gene:DRNTG_33099 transcript:DRNTG_33099.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTRDVPACNSYNYGDALYWDVRYLEEGAASFDWYQRYSALRPFVRKFVPTSSRILMAGCGNSVMSEDMVKDGYEDIMNIDISSIAIEMMRRKCSHIPQLKYRKMDVRHMDFFQDESFECVIDKGTLDSLMCGTDAPLSASRMVEEVSRFCCYDLSFLNLEGSLC >DRNTG_33099.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:9594405:9606693:1 gene:DRNTG_33099 transcript:DRNTG_33099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTRDVPACNSYNYGDALYWDVRYLEEGAASFDWYQRYSALRPFVRKFVPTSSRILMAGCGNSVMSEDMVKDGYEDIMNIDISSIAIEMMRRKCSHIPQLKYRKMDVRHMDFFQDESFECVIDKGTLDSLMCGTDAPLSASRMVEEVSRLLKPGGIFMLITYGDPSVRIPHINQPGCSWKITLYIISRPGYQSCVDGSSSKSVMEPVPLTEWGLLPPDYVLDDPDSHYIYVCKKMDRPFGLTQTRRRLDQISCNNEDKPSE >DRNTG_06649.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000293.1:36942:41634:1 gene:DRNTG_06649 transcript:DRNTG_06649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVGVGRSVLAILQWWGFNVTVIIMNKWIFQKLDFKFPLSVSCVHFICSAIGAYVAIKLLKVKPLIEVDPEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVMLQWLVWRKHFDWRIWGSLVPIVGGILLTSITELSFDIFGFCAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILAVPALVLEGSGVVNWLQTHEAVLSSMLIIFFSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLISWLIFRNPISIMNSIGCTITLGGCTFYGIVRQKLAQQPGTPQTPRTPRSLVELLPLVNEKEDKV >DRNTG_11930.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:948278:956501:1 gene:DRNTG_11930 transcript:DRNTG_11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIHEVVIVGAGIAGVATALALQKVGIQSIVLERSHELRTTGSALTLSPNAWRALDVLGVGTKLKSIYHIIEMLHVTNLITGTTQATSFINSGDMGPRSVHRKVLLETLANELPENTIKFSTNITSIKRSQGQDITVLHLEDGSVIKTKALIGCDGLHSVVAQWLGLSSPVDSGRSAVRGLAVFPAGHGFKHEVHQFVGNGVRAGLVPMNDTEVYWFFSGSLNIKDEEIKRNQEKIKREVIEQWAKDFPSDYLNVVQHSELSTITWAPLMFRVPWDVLFGKTHNGAVTVAGDAFHPMTPDLAQGGCAALEDSVVLARCLATSVGSVAKDLERYVKERRWRVAGLITGAFVSGWVQQSGSGWSWWLVKLFRDNVFYRFVFPRLVNVVNYDCGVLPEKKEKSS >DRNTG_23700.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:638669:639515:1 gene:DRNTG_23700 transcript:DRNTG_23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMMRRYTRTGSKELKNLEAVVGTRTMAHKASMAAVVDDQRGSEDRWPINVGGAKLRRDPAEEKRPGNDENKSDSQKSSEVDSDPAEKKKNYPKNISDTMLDVDKNGHHFIRARGQ >DRNTG_23700.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:638669:639432:1 gene:DRNTG_23700 transcript:DRNTG_23700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMMRRYTRTGSKELKNLEAVVGTRTMAHKASMAAVVDDQRGSEDRWPINVGGAKLRRDPAEEKRPGNDENKSDSQKSSEVDSDPAEKKKNYPKNISDTMLDVDKNGHHFIRARGQ >DRNTG_23700.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:638669:639385:1 gene:DRNTG_23700 transcript:DRNTG_23700.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMMRRYTRTGSKELKNLEAVVGTRTMAHKASMAAVVDDQRGSEDRWPINVGGAKLRRDPAEEKRPGNDENKSDSQKSSEVDSDPAEKKKNYPKNISDTMLDVDKNGHHFIRARGQ >DRNTG_13077.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14066283:14067193:1 gene:DRNTG_13077 transcript:DRNTG_13077.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTKEVAGKHPQETSPELEHIDFSIPEHQAGFARLANLKFGHSRFPDLCTLREIQRGNKIVDEIEEILAMCSWRRLLSIRELAIRALTI >DRNTG_17700.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28434740:28443246:1 gene:DRNTG_17700 transcript:DRNTG_17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 23 [Source:Projected from Arabidopsis thaliana (AT5G57990) UniProtKB/Swiss-Prot;Acc:Q9FPS4] MDLKNPINGARSSDSLVESLFHRRIDFHPARKPSSVQSVGGDFHLETLNAGASSQMPPVSTGALSVGKKSEGAGELVEHGLDSELSFKITYRRIGAGLENLGNTCYLNSVLQCLTYTEPFAAYLQSGKHKSSCHTAGFCAMCALQSHVMDALRSTGKILSPLHLVKNLRCISRNFRNSRQEDAHEYMVNLLESMHKCCLPSGVPSESPVAFEKSLVHKIFGGRLRSQVKCTQCSYCSNKFDPFLDLSLEITKADSLHKAFARFTAVEELDDGKKQYQCQHCKQKVRALKQLTIHKAPYVLTIHLKRFGSSIPGQKIDKRVEFGPALDLKPFLSEPHDDLKYTLYGVLVHAGWSTHSGHYYCYVRTSSGMWHSLDDKQVFQVSEKTVLAQKAYMLFYVRDRSTTTKRQVDAICKENRAENVSGHKVVSHAARQNTPLKEAASFQEKGANLPKEISISHNKSHAKLKEALALQNSNNSLTKELQTTALSKDSSNLSAITKTGSQLMMEEFLHIFQAKDSAAASRTVDVPLISNVDTNANCQNIITDRELNNRPPRPGHMVDSKHSGMTEATQKEANEHARIGNQACENKDPVNDPCGQKVGENLFVQKKFYEQTAKRLTW >DRNTG_03476.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:870117:872672:1 gene:DRNTG_03476 transcript:DRNTG_03476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAPGVLLKLLNAMNSGVTKPVGEHRSALLQVTDIVPADLDEKDLWPKHGFYIKVSDSSHSIYVSLPFDQDDLVLSNKIQLGQFIYVDRLESGSPVPMIIGVKLLPGRHPLVGTPEPITRTKGNVDKSSVIAMHRRGSWGPEQQNHESSPKVVKPLVLDFEEESNCSTPARERGKPCLMSPMIPGKSGMFLRSSVSGAMVSKGETLAKIRKSCIASRIPRSKSPFSSEKNTITTPPSKLRRNPATEEQSKTSSGLLLPGKLSTIGKEAIQNREAAQKVALQALRDASATETLVRVLKMFSDLSSSAKTDAPAASFDRFLNFHQEITQAVTDMEAIQAATSITATNEKEAADNSCVLHELPHNSIDQNLSASKRRATAVTLAKNLKSNSNSNQKPCTDMTCSSLVKSIKLAKEIEAESGNWFMEFLEMALDKGMKKTKDSSKVSINGSCPQSLILKVINWVEVEQSDSSKRPVHPKAAQLARKLRIK >DRNTG_08242.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:19517:21827:1 gene:DRNTG_08242 transcript:DRNTG_08242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYYNYSLCFIFILIFLFLTKQNKSKPKLPPSPSLWTMACNLRALMHAPHSTLAHLAQTHGPLISLRLGQHQTIIASSSTTAESILKTHDRDLSGRVIHPSYQYHLRGFIEHSLVWSQPNDQWRKLRRILHSELLGQRMMKAQAWIREKKVVEMVNGLKERKEGGVVDVGQVVFETMLSLFCELVFSCGLDGLEMSGSELKKDVWRFMEFMGKGGFRMQDHVPFLVKLFGGGKRDNNSVGLVMNRVIRSWEGIITRRRSMRSESGRGSDFLDILLGCGYSDIEINVFLLEILAAGTDTTATTIEWAMSELIKHPKSMSKLNQELQQVVLLHQEQQEQNQDCSKDVQIIRESHLPHLHHLHACINETFRLHPPAPLLPHYALNSCQLMGYEIPKGYPVIVNIWAIGRDPEVWKNPLEFLPERFCSNEDKEGYWCNNKVEYNGSDFRLIPFGSGRRMCPGIGLAEKVVPLILASLVHGFEWRLTDGMELEDVQMNAKFGLTMKKDPPLLLLHKVKN >DRNTG_09908.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7254537:7261793:1 gene:DRNTG_09908 transcript:DRNTG_09908.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPAAATHFHKSKTLDNKYILGDEIGKGAYGRVYKGLDLDNGGVVAIKQVSLENIPQEDLNIIMQEIDLLKNLNHKNIVKYLGSLKTKTHLHIILEYVENGSLANIIKPTKFGPFPETLVAFYITQVLEGLVYLHEQGVIHRDIKGANILMTKEGRVKLADFGVATKLNEADLNTHSVVGTPYWMAPE >DRNTG_12010.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7906124:7908093:1 gene:DRNTG_12010 transcript:DRNTG_12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding KIEKNTFDTSSPSISGSENNTTNLSSFSVAEGQSGDDDLLTRNVQNGDERSCDGDISVSSSE >DRNTG_22370.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18612157:18613066:-1 gene:DRNTG_22370 transcript:DRNTG_22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSKIGRLKRSVMPKLTKGNSLYGSGASPGIMSCIAGRLLCDTYVCSRDLLREVSYSLTQLAKTQLKKERKEIAPHDIPAMFQMSSTLLELVDYGLNDARLSLELMFHLSVLPSPGSLPILVATF >DRNTG_22370.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18612397:18612789:-1 gene:DRNTG_22370 transcript:DRNTG_22370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSKIGRLKRSVMPKLTKGNSLYGSGASPGIMSCIAGRLLCDTYVCSRDLLREVSYSLTQLAKTQLKKERKEIAPHDIPAMFQMSSTLLEL >DRNTG_17612.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4563414:4564509:1 gene:DRNTG_17612 transcript:DRNTG_17612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHVYGLSLLAIGLLSLGSKVMVMNKFVIKDVVMAIDAFKVTHFPVVPPILGALIRAQAGNGCKLSSLIQVSSGAAPLSKKIINDFLKAFPHVDLIQGYGMTESTAVGTRGFNSKSLKKYTSVGLLAPNMQAKVISTKTGSCLPPGSSGELLLRGPAIMKGYLNNENATKSAINEDGWLKTGDFAYFDQDGYLH >DRNTG_15840.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25122991:25123673:-1 gene:DRNTG_15840 transcript:DRNTG_15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIESHREGAEIVKGDAACKKKAAEVLGELGLPNGLFPLDDIQEFGYNRAGGFIWLVQKKKKEHTFKKIKQVVSYATEVTAFVEKGKMMKISGVKTRELLLWLSVVEMYIEDPSSGKITFKTGTGLSDSFPVSAFELE >DRNTG_09396.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30657409:30659481:1 gene:DRNTG_09396 transcript:DRNTG_09396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIQSETNPSRNAATSNEVTMSSAQMPDAQHRTSTHSSDIDSNHNGNDENQDHVDNAGRHKGRGRTTLKDCGHYLQKIKYWNHNKLGFPRESNKSTHTSGSKSFELRSGKKIGRFEFFRATHTEKDGSYLNKETEDIMEKANEKLAEYESIDGDEGMVETEILTQVIGKERHGHVRGLGLGPTPTTYYGHSGSRHLKTCGGHSSKCIDLPQQMDEKFQKMEDELGGERANYNALYTFLQQTFPGATIPLHTIGFSKTLMPLRRFDDQDQ >DRNTG_34836.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28989650:28994727:1 gene:DRNTG_34836 transcript:DRNTG_34836.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQQQPSRLLRIPKEGERILAPTRRPDGTLRKAIRIRAGYVPPGRSRHLSVQGHPIEEGGAARGPAGV >DRNTG_20359.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2681719:2685708:-1 gene:DRNTG_20359 transcript:DRNTG_20359.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLEGSGNWPLDNIAIEKTKAAFLLKIGESLQDKWGVICDATDDEVNVLMSGHAFRLKILHERGVNLLKNQETNKMKSTSLIDKELFLRSQHSSMINGLHGRYPTYGPVVRLAKRWVSSHLFSSFLTEEAIELVVAYLFLNPFPFLAPSSRITGFLRFLRLLSDYDWTFSPMIIDINEDFTSDDLKEINENFMLSRKSYEENAQEVEPAMFLATAYDKSSEAWTKHSPNKTVLKRMASYARSSADLLTNLIMQGSSGPYTWECLFRTPLNNYDAIVLLHQDKLCNPHQVLFPTEMSPGKHVIRGKASNDFHAYMSRGGSNKIVEDAKDKLMINFDPTKCLLDDLRKEFPDTFEVWYDSIGGDAIGLTWKRSSKKRGRESTGNDEVNGEPVDMLKNVGEIGKGFIRSVYLLKAPRLQDQS >DRNTG_20359.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2688853:2690483:-1 gene:DRNTG_20359 transcript:DRNTG_20359.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEANSMDFKVGELLKEVRIDSAASKTLDKAVSSIVDAIKAIPERVVSSKGAQKFIEDLRVPAKKARFTFKSPESVIVAGSHSIRSIARPDINVDLLVRMPKECFHRNDYLNHRYHAKRCLYLRVIAKSLKSSPLVQKVRWSTFQNEARKPVLILSLVPELSELHGCYIRIIPTASSIFDTSRLSLEKNNVREVSQDGISQPTPRYNSSILEDMFLEDNAEFVKKMFLEWSSLEEALVLVK >DRNTG_20359.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2681719:2690483:-1 gene:DRNTG_20359 transcript:DRNTG_20359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFCLDDECWRTSEDKLHSLLKQGLNDRVKLIRVTWKNAPFEWNIEDGLSKFSDEPMLVGMLNSTQEKSFRVVDVGPSPEDKEEAIKFRKFWGERAELRRFKDGTIAESTVWDCESWERHLIIKRITEYILWKHFLLPKEDIIHTADQLDFCLHLDGKDSASFSGSLLGAFETLSKRLRNIKEIPLKISSVQPLDPALRHTSVYPPPPHPLAFENSVSQKPPKFATTCIHSLEVMIQLEGSGNWPLDNIAIEKTKAAFLLKIGESLQDKWGVICDATDDEVNVLMSGHAFRLKILHERGVNLLKNQETNKMKSTSLIDKELFLRSQHSSMINGLHGRYPTYGPVVRLAKRWVSSHLFSSFLTEEAIELVVAYLFLNPFPFLAPSSRITGFLRFLRLLSDYDWTFSPMIIDINEDFTSDDLKEINENFMLSRKSYEENAQEVEPAMFLATAYDKSSEAWTKHSPNKTVLKRMASYARSSADLLTNLIMQGSSGPYTWECLFRTPLNNYDAIVLLHQDKLCNPHQVLFPTEMSPGKHVIRGKASNDFHAYMSRGGSNKIVEDAKDKLMINFDPTKCLLDDLRKEFPDTFEVWYDSIGGDAIGLTWKRSSKKRGRESTGNDEVNGEPVDMLKNVGEIGKGFIRSVYLLKAPRLQDQS >DRNTG_20359.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2681719:2687508:-1 gene:DRNTG_20359 transcript:DRNTG_20359.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFCLDDECWRTSEDKLHSLLKQGLNDRVKLIRVTWKNAPFEWNIEDGLSKFSDEPMLVGMLNSTQEKSFRVVDVGPSPEDKEEAIKFRKFWGERAELRRFKDGTIAESTVWDCESWERHLIIKRITEYILWKHFLLPKEDIIHTADQLDFCLHLDGKDSASFSGSLLGAFETLSKRLRNIKEIPLKISSVQPLDPALRHTSVYPPPPHPLAFENSVSQKPPKFATTCIHSLEVMIQLEGSGNWPLDNIAIEKTKAAFLLKIGESLQDKWGVICDATDDEVNVLMSGHAFRLKILHERGVNLLKNQETNKMKSTSLIDKELFLRSQHSSMINGLHGRYPTYGPVVRLAKRWVSSHLFSSFLTEEAIELVVAYLFLNPFPFLAPSSRITGFLRFLRLLSDYDWTFSPMIIDINEDFTSDDLKEINENFMLSRKSYEENAQEVEPAMFLATAYDKSSEAWTKHSPNKTVLKRMASYARSSADLLTNLIMQGSSGPYTWECLFRTPLNNYDAIVLLHQDKLCNPHQVLFPTEMSPGKHVIRGKASNDFHAYMSRGGSNKIVEDAKDKLMINFDPTKCLLDDLRKEFPDTFEVWYDSIGGDAIGLTWKRSSKKRGRESTGNDEVNGEPVDMLKNVGEIGKGFIRSVYLLKAPRLQDQS >DRNTG_20359.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2681719:2686650:-1 gene:DRNTG_20359 transcript:DRNTG_20359.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFCLDDECWRTSEDKLHSLLKQGLNDRVKLIRVTWKNAPFEWNIEDGLSKFSDEPMLVGMLNSTQEKSFRVVDVGPSPEDKEEAIKFRKFWGERAELRRFKDGTIAESTVWDCESWERHLIIKRITEYILWKHFLLPKEDIIHTADQLDFCLHLDGKDSASFSGSLLGAFETLSKRLRNIKEIPLKISSVQPLDPALRHTSVYPPPPHPLAFENSVSQKPPKFATTCIHSLEVMIQLEGSGNWPLDNIAIEKTKAAFLLKIGESLQDKWGVICDATDDEVNVLMSGHAFRLKILHERGVNLLKNQETNKMKSTSLIDKELFLRSQHSSMINGLHGRYPTYGPVVRLAKRWVSSHLFSSFLTEEAIELVVAYLFLNPFPFLAPSSRITGFLRFLRLLSDYDWTFSPMIIDINEDFTSDDLKEINENFMLSRKSYEENAQEVEPAMFLATAYDKSSEAWTKHSPNKTVLKRMASYARSSADLLTNLIMQGSSGPYTWECLFRTPLNNYDAIVLLHQDKLCNPHQVLFPTEMSPGKHVIRGKASNDFHAYMSRGGSNKIVEDAKDKLMINFDPTKCLLDDLRKEFPDTFEVWYDSIGGDAIGLTWKRSSKKRGRESTGNDEVNGEPVDMLKNVGEIGKGFIRSVYLLKAPRLQDQS >DRNTG_20359.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2681719:2683998:-1 gene:DRNTG_20359 transcript:DRNTG_20359.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTSLIDKELFLRSQHSSMINGLHGRYPTYGPVVRLAKRWVSSHLFSSFLTEEAIELVVAYLFLNPFPFLAPSSRITGFLRFLRLLSDYDWTFSPMIIDINEDFTSDDLKEINENFMLSRKSYEENAQEVEPAMFLATAYDKSSEAWTKHSPNKTVLKRMASYARSSADLLTNLIMQGSSGPYTWECLFRTPLNNYDAIVLLHQDKLCNPHQVLFPTEMSPGKHVIRGKASNDFHAYMSRGGSNKIVEDAKDKLMINFDPTKCLLDDLRKEFPDTFEVWYDSIGGDAIGLTWKRSSKKRGRESTGNDEVNGEPVDMLKNVGEIGKGFIRSVYLLKAPRLQDQS >DRNTG_02694.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19689946:19690897:-1 gene:DRNTG_02694 transcript:DRNTG_02694.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQELLPVIRFDELRSSSTYAGADSCAVCLYEFDGEAEIRRLTNCRHIFHRSCLDRWMQHDQRTCPLCRTPLIPDELQDSFNERFWAAAAIIPDSSFFSSEYHSLSPT >DRNTG_02625.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21386489:21389980:1 gene:DRNTG_02625 transcript:DRNTG_02625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETEVSTADLALSETNINWERLDKTRFHAIGAILFTVQLGLLHPIAVVKTRMQVAEGEMARMHGFSVFKNILRNNGLAGIFRGFGTSSIGALPGRVLALTSLEVSKEKMLKSIEDLDMPDATRIAVANGTAGLFSNLVSSAYFVPLEVRFRANSSDPVTCISTLVGCLWICTAYYLEEFGLWR >DRNTG_02625.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21389288:21389980:1 gene:DRNTG_02625 transcript:DRNTG_02625.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYGTGRPSVWKTTKVLLQEDGWRGFYRGFGPRFLNMSLWGTSMIVTYELIKRLSLKREQ >DRNTG_02625.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21388499:21389980:1 gene:DRNTG_02625 transcript:DRNTG_02625.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYFKRLNSLTDLPETDGSRGFGLTVVTQSPASALWWGAYGSAQHIIWRSLGFGDEIERKPSHLELVTVQATAGTIAGACSSIITTPLDTIKTRLQVMDDYGTGRPSVWKTTKVLLQEDGWRGFYRGFGPRFLNMSLWGTSMIVTYELIKRLSLKREQ >DRNTG_07122.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4802645:4806380:-1 gene:DRNTG_07122 transcript:DRNTG_07122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRWRSAASNPSTLGLIHGFVAPREMYSLDRCYSKVAAAPAAQATTESSSSTSSSRPKRFVEKPEVRLHALFWSKPTSLALAPNSPLRIEEPKFEGFRYIMLKLLLFYSKQSKAIRGANVVYRRITSQIDKPSIYDVFHLEKTFKTTFSLLVLHMWLFLRRLREESKEGSEFGQYLYEIYNHDLELRVSKAGVNLLLTKWMKELEKIFYGNIVAYDAAMSPEAKHDDLANVIWRNVFSDDGSEMSSDAAKSVVQAMSRYIRRESTCLSLTDKDAIFSGNFMFTPLESHAPRPEKPK >DRNTG_21217.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001211.1:12165:19154:-1 gene:DRNTG_21217 transcript:DRNTG_21217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSMVLWRVLYSSSKDSFVRYRIRLDRSSPTNLLPNGITIACYSTMPKILPNPLFIEDTESFTSERTNSFLVITHNCYLPDIVALRGELGWDEQVKDLLAHNGLAELFSMDDPTFRQLTLEVLSTFEAKQDERSVITRRQGAIQFQAFGRIHEMNHLEFAKHETTGLAPHLTTGQSSQCEPDESVSESDDAPAHATPGTSFTTDFNSRFKVIEDSIQAIRHEQHEMKGHIYQVLEGQRQLTEHFHQFVISSRGSSS >DRNTG_11120.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30506167:30507299:-1 gene:DRNTG_11120 transcript:DRNTG_11120.2 gene_biotype:protein_coding transcript_biotype:protein_coding YLLLVLSYGRTTNIEVKKPSCIILLHELLTTRNNCLFSVVSNTDLNEGTLGGKIFDCLPTSGSYPSAHTYELSKFCLLLFSYELHRQLHLVDPSPNISVIAADPGVVETKIMREIPPIISELAFKALRIMRLLQSPETGVDSIIDAALAPSDASGEYFFGGRGRTIRSSKLSYNAQLGKNLWTRSMMLFEEVQSSFH >DRNTG_11120.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30506167:30508724:-1 gene:DRNTG_11120 transcript:DRNTG_11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRMLLSSDFWRMAILWMLALISSYFHLLLTARFPCILRCHHRTRSYPRCRFSSAPDSIIKRPVCVVTGASSGLGAAAVRALASQGYHVVLVGRCLQLLQKTIGEIKQQDDDASLSAFEVDLSSFSSIKKFESGIKQWLSDSNLHPSIQLLINNAGILATSCRVTSDGYDQMMQTNFIGAFVLTNLLLPLLKISPVPSKIVNVTSFTHRCISNTDLNEGTLGGKIFDCLPTSGSYPSAHTYELSKFCLLLFSYELHRQLHLVDPSPNISVIAADPGVVETKIMREIPPIISELAFKALRIMRLLQSPETGVDSIIDAALAPSDASGEYFFGGRGRTIRSSKLSYNAQLGKNLWTRSMMLFEEVQSSFH >DRNTG_04051.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26435714:26446604:1 gene:DRNTG_04051 transcript:DRNTG_04051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKASISMRRNLWFIVFFSVLLFVLALLRINSHGSSSEILLSNPETPFVGIPKIAFLFLARAHLPLDFLWHCFFQNGEVGRFSIYVHSEPGFVFSKATSRSPFFHGRQINNSIQVAWGESSMIEAERLLLSAALEDPANQRFVLLSDSCVPLYNFSYTYEYLLSSSKSFVDSFLDTKESRYTPKMFPTIPQEKWRKGSQWITLVRKHASVVVADDAIFPLFRKYCKRRPALDIKKMKNMKPVVQKEHNCIPDEHYVQTLLSISDLDDELERRTLTFTAWNVSSAKMDRQNWHPVTFEYANASPQHIKAIKGTNNVYYETEYRNEWCKSNGTLVPCFLFARKFSQGAAMRLLSEGLVGPFDAATMLTALL >DRNTG_04051.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26440164:26443914:1 gene:DRNTG_04051 transcript:DRNTG_04051.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSFILFFFCCSCVPLYNFSYTYEYLLSSSKSFVDSFLDTKESRYTPKMFPTIPQEKWRKGSQWITLVRKHASVVVADDAIFPLFRKYCKRRPALDIKKMKNMKPVVQKEHNCIPDEHYVQTLLSISDLDDELERRTLTFTAWNVSSAKMDRQNWHPVTFEYANASPQHIKAIK >DRNTG_04051.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26438127:26446604:1 gene:DRNTG_04051 transcript:DRNTG_04051.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTIPQEKWRKGSQWITLVRKHASVVVADDAIFPLFRKYCKRRPALDIKKMKNMKPVVQKEHNCIPDEHYVQTLLSISDLDDELERRTLTFTAWNVSSAKMDRQNWHPVTFEYANASPQHIKAIKGTNNVYYETEYRNEWCKSNGTLVPCFLFARKFSQGAAMRLLSEGLVGPFDAATMLTALL >DRNTG_04051.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26443328:26446604:1 gene:DRNTG_04051 transcript:DRNTG_04051.7 gene_biotype:protein_coding transcript_biotype:protein_coding ELSFICLQKPVVQKEHNCIPDEHYVQTLLSISDLDDELERRTLTFTAWNVSSAKMDRQNWHPVTFEYANASPQHIKAIKGTNNVYYETEYRNEWCKSNGTLVPCFLFARKFSQGAAMRLLSEGLVGPFDAATMLTALL >DRNTG_04051.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26435714:26446604:1 gene:DRNTG_04051 transcript:DRNTG_04051.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAERLLLSAALEDPANQRFVLLSDSCVPLYNFSYTYEYLLSSSKSFVDSFLDTKESRYTPKMFPTIPQEKWRKGSQWITLVRKHASVVVADDAIFPLFRKYCKRRPALDIKKMKNMKPVVQKEHNCIPDEHYVQTLLSISDLDDELERRTLTFTAWNVSSAKMDRQNWHPVTFEYANASPQHIKAIKGTNNVYYETEYRNEWCKSNGTLVPCFLFARKFSQGAAMRLLSEGLVGPFDAATMLTALL >DRNTG_04051.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26440443:26446604:1 gene:DRNTG_04051 transcript:DRNTG_04051.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTIPQEKWRKGSQWITLVRKHASVVVADDAIFPLFRKYCKRRPALDIKKMKNMKPVVQKEHNCIPDEHYVQTLLSISDLDDELERRTLTFTAWNVSSAKMDRQNWHPVTFEYANASPQHIKAIKGTNNVYYETEYRNEWCKSNGTLVPCFLFARKFSQGAAMRLLSEGLVGPFDAATMLTALL >DRNTG_04051.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26435714:26446604:1 gene:DRNTG_04051 transcript:DRNTG_04051.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTIPQEKWRKGSQWITLVRKHASVVVADDAIFPLFRKYCKRRPALDIKKMKNMKPVVQKEHNCIPDEHYVQTLLSISDLDDELERRTLTFTAWNVSSAKMDRQNWHPVTFEYANASPQHIKAIKGTNNVYYETEYRNEWCKSNGTLVPCFLFARKFSQGAAMRLLSEGLVGPFDAATMLTALL >DRNTG_27393.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001396.1:34672:37141:-1 gene:DRNTG_27393 transcript:DRNTG_27393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMIPVPKGVTFDKIIHERTYNLLPRCLKENDQEVIDGGALDLDGDFVVPDSNAFGQSFRDYEKESQRKAAVGEFCRINHLNQTYEFAKKKKDEYGKLSKVEMSVWEWMRVILTMMSPRLSTSSRLLKPFTGIIQTMIGCISLLSFMIWRKSSCALLLVENPNAVLFRSFYSLHHSGAYTYLMDDNDKEILKWLQIFNKYEQGQGEMALKSSMALGSSKLTIFLGEGLEVQLPNCVFNASTAGAEMRFGTISRLHRVKLRFLTQSLTEIRGMKMNICLMVISKYTLSE >DRNTG_08245.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:85738:92895:-1 gene:DRNTG_08245 transcript:DRNTG_08245.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLHLISKVWLIWKSFFFLGQPFKPFDQLMGTLPAASSSALPEHYRALMNDPESPLSSFYPKDFEIDMNGKQFAWQGVVKLPFIDERRLLAETMKLEDTLTEEERYRNRRMSDIIYVNRNHRLAEQIFALYQCVCNFRLKNDSFVFLLMLILVLG >DRNTG_08245.9 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:85738:87178:-1 gene:DRNTG_08245 transcript:DRNTG_08245.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLVGISSGAAAAAAIKLARRPENDGKLIVVILPSAGERYLSSVLFQSIKDEAENMVFEP >DRNTG_08245.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:85738:96079:-1 gene:DRNTG_08245 transcript:DRNTG_08245.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQCSRRFRAAKDAAEAAAEEERLRKEFEDEGRNLSISEKPETLDSNVITPGTGFMGALSVALQYYIHLRLNNTPGWQSIKVILSDSNVPGEGERKIMSYIRLQRNLPGFNPNTRHCLYGLDADLIMLALVTHEVHFSILREVISMPGQQEKCFQCGQIGHLAAECRGNSDAQGPEFHDKDETPIYKKKYQFLNIWVLREYLENDLRIPDPPSEINFERLVDDFVFLCFFVGNDFLPHMPTLEIREGAITLLMFVYKNEFTAMGGYLTEAGEVSLERTEHFIQSVAVYEEQIFQKRARIQQAIENNEEFRLKMRRENSEGLPSVSTEKVKLGQPGYKERYYAEKFDVEEPKPIDDIKKDVVQKYVEGLCWIMRYYYQGVCSWQWFYLYHYAPFASDLKGLADMEIFFFPWTTI >DRNTG_08245.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:85738:90102:-1 gene:DRNTG_08245 transcript:DRNTG_08245.7 gene_biotype:protein_coding transcript_biotype:protein_coding MCVQLPFEERFVCIPIDANLSAGMNGFLSLSERNFFSTSILAPVKGLKNVDHNQVWNATYLNPPHHEHISEPPQGVVVPKKVGISSGAAAAAAIKLARRPENDGKLIVVILPSAGERYLSSVLFQSIKDEAENMVFEP >DRNTG_08245.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:85738:94611:-1 gene:DRNTG_08245 transcript:DRNTG_08245.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFVYKNEFTAMGGYLTEAGEVSLERTEHFIQSVAVYEEQIFQKRARIQQAIENNEEFRLKMRRENSEGLPSVSTEKVKLGQPGYKERYYAEKFDVEEPKPIDDIKKDVVQKYVEGLCWIMRYYYQGVCSWQWFYLYHYAPFASDLKGLADMEIFFFPWTTI >DRNTG_08245.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:85738:100551:-1 gene:DRNTG_08245 transcript:DRNTG_08245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYIRLQRNLPGFNPNTRHCLYGLDADLIMLALVTHEVHFSILREVISMPGQQEKCFQCGQIGHLAAECRGNSDAQGPEFHDKDETPIYKKKYQFLNIWVLREYLENDLRIPDPPSEINFERLVDDFVFLCFFVGNDFLPHMPTLEIREGAITLLMFVYKNEFTAMGGYLTEAGEVSLERTEHFIQSVAVYEEQIFQKRARIQQAIENNEEFRLKMRRENSEGLPSVSTEKVKLGQPGYKERYYAEKFDVEEPKPIDDIKKDVVQKYVEGLCWIMRYYYQGVCSWQWFYLYHYAPFASDLKGLADMEIFFFPWTTI >DRNTG_08245.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:85738:100551:-1 gene:DRNTG_08245 transcript:DRNTG_08245.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLADRYPLSIADVIEEEPLRGAATVDASRPNPNGMAFDNLYLDMNGIIHPCFHPDGRPPPTTYDDVFGSIFDYIDHLFGLVRPRKLLYMAIDGVAPRAKMNQQCSRRFRAAKDAAEAAAEEERLRKEFEDEGRNLSISEKPETLDSNVITPGTGFMGALSVALQYYIHLRLNNTPGWQSIKVILSDSNVPGEGERKIMSYIRLQRNLPGFNPNTRHCLYGLDADLIMLALVTHEVHFSILREVISMPGQQEKCFQCGQIGHLAAECRGNSDAQGPEFHDKDETPIYKKKYQFLNIWVLREYLENDLRIPDPPSEINFERLVDDFVFLCFFVGNDFLPHMPTLEIREGAITLLMFVYKNEFTAMGGYLTEAGEVSLERTEHFIQSVAVYEEQIFQKRARIQQAIENNEEFRLKMRRENSEGLPSVSTEKVKLGQPGYKERYYAEKFDVEEPKPIDDIKKDVVQKYVEGLCWIMRYYYQGVCSWQWFYLYHYAPFASDLKGLADMEIFFFPWTTI >DRNTG_08245.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:85738:89417:-1 gene:DRNTG_08245 transcript:DRNTG_08245.8 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFLSLSERNFFSTSILAPVKGLKNVDHNQVWNATYLNPPHHEHISEPPQGVVVPKKVGISSGAAAAAAIKLARRPENDGKLIVVILPSAGERYLSSVLFQSIKDEAENMVFEP >DRNTG_08245.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:85738:100551:-1 gene:DRNTG_08245 transcript:DRNTG_08245.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYIRLQRNLPGFNPNTRHCLYGLDADLIMLALVTHEVHFSILREVISMPGQQEKCFQCGQIGHLAAECRGNSDAQGPEFHDKDETPIYKKKYQFLNIWVLREYLENDLRIPDPPSEINFERLVDDFVFLCFFVGNDFLPHMPTLEIREGAITLLMFVYKNEFTAMGGYLTEAGEVSLERTEHFIQSVAVYEEQIFQKRARIQQAIENNEEFRLKMRRENSEGLPSVSTEKVKLGQPGYKERYYAEKFDVEEPKPIDDIKKDVVQKYVEGLCWIMRYYYQGVCSWQWFYLYHYAPFASDLKGLADMEIFFFPWTTI >DRNTG_16281.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3473675:3475612:1 gene:DRNTG_16281 transcript:DRNTG_16281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCWSSVIRVPTSQAATTKINVARAKTSKKLPEDAIEAKSKPQKVEERKQCEKGWINPSLSGRLEPRGKKWSGGKEGNSDEEIH >DRNTG_25194.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5681723:5685753:1 gene:DRNTG_25194 transcript:DRNTG_25194.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGSADALDYFKNTHHMLLYYEDLVSNHTKLIDVLEFLKLPPRKLFSRHVKIHTKPLSQQVENWDTIYNTLKGTQYERFLTADYQ >DRNTG_25194.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5682436:5751097:1 gene:DRNTG_25194 transcript:DRNTG_25194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGSADALDYFKNTHHMLLYYEDLVSNHTKLIDVLEFLKLPPRKLFSRHVKIHTKPLSQQVENWDTIYNTLKGTQYERFLTADYQ >DRNTG_28717.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3802685:3805611:-1 gene:DRNTG_28717 transcript:DRNTG_28717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYFHGKKDKDFCLISDPKIHINAHFIGKKSKKGRDFTWVQAIALLYKQHKLYIGAKNVTHWHDTNDNMLMHFDEGDVQIPFGESQEWRSPKAGIIVKRTAMTNVVEVEVLEVMKMKVRVVPITMEESRVHGYDISEDGNCYAHLELGFKFESLSGDVNGVLGQTYASGYRSRVKMGAAMPIMGGAKKFQTSNIFSVDCVVSRFGLEMSI >DRNTG_17397.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000893.1:149953:155227:-1 gene:DRNTG_17397 transcript:DRNTG_17397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPRSSHPTCPGRRKLAHQSAKKSKEFAADASKKADSIKIEALKRADHIKALAVLPDKPVVLPISYEKQYAEEDEVMETKPETKGSSSKLEQDLDVFLLGDLGNGGDDGFDDDFDKNGNMNILKYSTKWGIGSVEPSPKTGA >DRNTG_06308.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2181011:2184318:1 gene:DRNTG_06308 transcript:DRNTG_06308.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEKWLDIELWKSPVECFQALRSRGYRIATTHLGNNAVSAYELDWSCPTAIVVGNEHMGISDEALQLSDLHCCIPMNGMVDSFNVSVAAGILMHHAVCDRVSRLGRHGDLTQEESQILLAEFFLRHRESTLSIVHEYAKRKIESI >DRNTG_06308.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2181011:2184318:1 gene:DRNTG_06308 transcript:DRNTG_06308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAFKTLSVSPPNFPIRFSSFPLGLCFNGRQLSTLAAAAAVATDDSSDTVEQLLTDTNGIERLMKMDRRRFPESNRWFPYLDVFRVGSMALRSGEVIQALDPYIQDARKERMRQAVVGRSYSVCLVVEGLSDFGNVSAAFRSADALGIQSVHVISPDSRKRYKDNRHVSMGAEKWLDIELWKSPVECFQALRSRGYRIATTHLGNNAVSAYELDWSCPTAIVVGNEHMGISDEALQLSDLHCCIPMNGMVDSFNVSVAAGILMHHAVCDRVSRLGRHGDLTQEESQILLAEFFLRHRESTLSIVHEYAKRKIESI >DRNTG_06308.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2181011:2184106:1 gene:DRNTG_06308 transcript:DRNTG_06308.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEKWLDIELWKSPVECFQALRSRGYRIATTHLGNNAVSAYELDWSCPTAIVVGNEHMGISDEALQLSDLHCCIPMNGMVDSFNVSVAAGILMHHAVCDRVSRLGRHGDLTQEESQILLAEFFLRHRESTLSIVHEYAKRKIESI >DRNTG_06308.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2181011:2181805:1 gene:DRNTG_06308 transcript:DRNTG_06308.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCAFKTLSVSPPNFPIRFSSFPLGLCFNGRQLSTLAAAAAVATDDSSDTVEQLLTDTNGIERLMKMDRRRFPESNRWFPYLDVFRVGSMALRSGEVIQALDPYIQDARKERMRQAVVGRSYSVCLVVEGLSDFGNVSAAFRSADALGIQSVHVISPDSRKRCSLMLGIRTIGM >DRNTG_06308.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2181011:2184106:1 gene:DRNTG_06308 transcript:DRNTG_06308.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEKWLDIELWKSPVECFQALRSRGYRIATTHLGNNAVSAYELDWSCPTAIVVGNEHMGISDEALQLSDLHCCIPMNGMVDSFNVSVAAGILMHHAVCDRVSRLGRHGDLTQEESQILLAEFFLRHRESTLSIVHEYAKRKIESI >DRNTG_23905.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2016879:2019764:-1 gene:DRNTG_23905 transcript:DRNTG_23905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVNVELDGKLYSCRGCGTHLALADDLISKDYYCPNGKAFLFRNVSNVSFGVPEERMLITGIYIIVGIFCCSCGQNLGWKYESARDKTQKFKEGKFILDRGMIDDGANSQHNIDTAGPVDS >DRNTG_02475.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2176395:2177031:1 gene:DRNTG_02475 transcript:DRNTG_02475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTSSNSTNVHRSISSPFPKISPSSSPSTCSISWSRTAKLFES >DRNTG_22690.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:13851370:13859416:-1 gene:DRNTG_22690 transcript:DRNTG_22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNPSTLIEGDPGIGRRIHRRGKEPVQVQSSQAKIEGQEVENEEVLSLGIEDKVQPTPGIMKRMVQKMKRARRRHKKRPNANVDVRAERPDKCLCDMNAKRSFLMLSITFLRKKVGRVASVEAYPIPDEGILGRRVEDFPHDHRHDYRGDMAPRSKKAAGKHPRKPSLEPELVEFAIPEHQARFERLSKLKFG >DRNTG_08823.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27990503:27994390:-1 gene:DRNTG_08823 transcript:DRNTG_08823.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYQYVSHLKVSVLMSSIFGVHFSILSLLFISYLTASNGGGCLHIEWKALLQFKNGLIDPKNLLFSRQGHDCCRWMGIACDNQTGAVLSINLYNEHAYQNGPDWAISGKIDSSLLQLKCLMSLDLSYNDFGGIAIPGFIGSLKHLVHLKLAFAGFSGSIPSQLGNLSSLQFLDLMGNELHLVNDLEWISQLSSLSHLVITGANLSTWGTQWFKHLNSLSSLTKLVLSTCSLSGIPASLPFVNFTKLQVLDLSLNHLDSVIPAWFSNLTSLVYLDMSYGWPSLEHLDLSFNLLHGTLPDSIGNFTSVRELRLLKNMIEGGVPSSIEHPLPSLTHLDIQKNQLIGVLPEWLGNLINLQLLDLSCNFIQGSVPPSLGNLSKLTDLILRGNNLIGTLTPNLGQLSKLVNFDVSLNHMTGILTEAHFSKLSDLKYLLLSHNSFTISINSSWLPPFQLEELYLSSCQIGPHVPSWLQNQTELDSLDISNTGISGKITPWFWNLTKNLILLNISFNKIEGQLPNPLLIGGLADVDMRSNLLTGPLPRLSSMITTLVLSNNQFSGPTPPHFFNSETMVYVSLSNNNLSGQIPSSIAEIMSLEVLDLSRNKLNGSIPESLQNIQSLKSLWLEYNSLSGPIPNSLGSLLQLQTLHLSNNKLSGKIPQSLQNCSSLETLDLRDNMLHGVIPTWFAWSFPKLRILGLKSNMFSGQIPPQLSNMSSLQVLDLADNNFQGHIPLSFGNFKAMTSSPKMNQHLLFGNDYEEHFSMQLSSTSNLLEFTKILSLVVSIDLSRNKLSGHFPKTLTNLTGLLVLDLSDNSLSGEIPQNTGALHGLLSLDLSNNGFSGNIPSSMSSMSFISHLNLSNNNFSGSIPSAGQLSTFDKSSYLGNEFLCGHPLDVECSRVNGRKVHGGGDASVENRCFLCVGLGFAVLFLIIA >DRNTG_08823.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27990297:27994070:-1 gene:DRNTG_08823 transcript:DRNTG_08823.7 gene_biotype:protein_coding transcript_biotype:protein_coding MYQYVSHLKVSVLMSSIFGVHFSILSLLFISYLTASNGGGCLHIEWKALLQFKNGLIDPKNLLFSRQGHDCCRWMGIACDNQTGAVLSINLYNEHAYQNGPDWAISGKIDSSLLQLKCLMSLDLSYNDFGGIAIPGFIGSLKHLVHLKLAFAGFSGSIPSQLGNLSSLQFLDLMGNELHLVNDLEWISQLSSLSHLVITGANLSTWGTQWFKHLNSLSSLTKLVLSTCSLSGIPASLPFVNFTKLQVLDLSLNHLDSVIPAWFSNLTSLVYLDMSYGWPSLEHLDLSFNLLHGTLPDSIGNFTSVRELRLLKNMIEGGVPSSIEHPLPSLTHLDIQKNQLIGVLPEWLGNLINLQLLDLSCNFIQGSVPPSLGNLSKLTDLILRGNNLIGTLTPNLGQLSKLVNFDVSLNHMTGILTEAHFSKLSDLKYLLLSHNSFTISINSSWLPPFQLEELYLSSCQIGPHVPSWLQNQTELDSLDISNTGISGKITPWFWNLTKNLILLNISFNKIEGQLPNPLLIGGLADVDMRSNLLTGPLPRLSSMITTLVLSNNQFSGPTPPHFFNSETMVYVSLSNNNLSGQIPSSIAEIMSLEVLDLSRNKLNGSIPESLQNIQSLKSLWLEYNSLSGPIPNSLGSLLQLQTLHLSNNKLSGKIPQSLQNCSSLETLDLRDNMLHGVIPTWFAWSFPKLRILGLKSNMFSGQIPPQLSNMSSLQVLDLADNNFQGHIPLSFGNFKAMTSSPKMNQHLLFGNDYEEHFSMQLSSTSNLLEFTKILSLVVSIDLSRNKLSGHFPKTLTNLTGLLVLDLSDNSLSGEIPQNTGALHGLLSLDLSNNGFSGNIPSSMSSMSFISHLNLSNNNFSGSIPSAGQLSTFDKSSYLGNEFLCGHPLDVECSRVNGRKVHGGGDASVENRCFLCVGLGFAVLFLIIA >DRNTG_08823.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27990541:27994390:-1 gene:DRNTG_08823 transcript:DRNTG_08823.6 gene_biotype:protein_coding transcript_biotype:protein_coding MYQYVSHLKVSVLMSSIFGVHFSILSLLFISYLTASNGGGCLHIEWKALLQFKNGLIDPKNLLFSRQGHDCCRWMGIACDNQTGAVLSINLYNEHAYQNGPDWAISGKIDSSLLQLKCLMSLDLSYNDFGGIAIPGFIGSLKHLVHLKLAFAGFSGSIPSQLGNLSSLQFLDLMGNELHLVNDLEWISQLSSLSHLVITGANLSTWGTQWFKHLNSLSSLTKLVLSTCSLSGIPASLPFVNFTKLQVLDLSLNHLDSVIPAWFSNLTSLVYLDMSYGEFYGFIPLELFNLPILRYVDLSRNYNLTADFSKLMGTGWPSLEHLDLSFNLLHGTLPDSIGNFTSVRELRLLKNMIEGGVPSSIEHPLPSLTHLDIQKNQLIGVLPEWLGNLINLQLLDLSCNFIQGSVPPSLGNLSKLTDLILRGNNLIGTLTPNLGQLSKLVNFDVSLNHMTGILTEAHFSKLSDLKYLLLSHNSFTISINSSWLPPFQLEELYLSSCQIGPHVPSWLQNQTELDSLDISNTGISGKITPWFWNLTKNLILLNISFNKIEGQLPNPLLIGGLADVDMRSNLLTGPLPRLSSMITTLVLSNNQFSGPTPPHFFNSETMVYVSLSNNNLSGQIPSSIAEIMSLEVLDLSRNKLNGSIPESLQNIQSLKSLWLEYNSLSGPIPNSLGSLLQLQTLHLSNNKLSGKIPQSLQNCSSLETLDLRDNMLHGVIPTWFAWSFPKLRILGLKSNMFSGQIPPQLSNMSSLQVLDLADNNFQGHIPLSFGNFKAMTSSPKMNQHLLFGNDYEEHFSMQLSSTSNLLEFTKILSLVVSIDLSRNKLSGHFPKTLTNLTGLLVLDLSDNSLSGEIPQNTGALHGLLSLDLSNNGFSGNIPSSMSSMSFISHLNLSNNNFSGSIPSAGQLSTFDKSSYLGNEFLCGHPLDVECSRVNGRKVHGGGDASVENRCFLCVGLGFAV >DRNTG_08823.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27990503:27993655:-1 gene:DRNTG_08823 transcript:DRNTG_08823.19 gene_biotype:protein_coding transcript_biotype:protein_coding MYQYVSHLKVSVLMSSIFGVHFSILSLLFISYLTASNGGGCLHIEWKALLQFKNGLIDPKNLLFSRQGHDCCRWMGIACDNQTGAVLSINLYNEHAYQNGPDWAISGKIDSSLLQLKCLMSLDLSYNDFGGIAIPGFIGSLKHLVHLKLAFAGFSGSIPSQLGNLSSLQFLDLMGNELHLVNDLEWISQLSSLSHLVITGANLSTWGTQWFKHLNSLSSLTKLVLSTCSLSGIPASLPFVNFTKLQVLDLSLNHLDSVIPAWFSNLTSLVYLDMSYGEFYGFIPLELFNLPILRYVDLSRNYNLTADFSKLMGTGWPSLEHLDLSFNLLHGTLPDSIGNFTSVRELRLLKNMIEGGVPSSIEHPLPSLTHLDIQKNQLIGVLPEWLGNLINLQLLDLSCNFIQGSVPPSLGNLSKLTDLILRGNNLIGTLTPNLGQLSKLVNFDVSLNHMTGILTEAHFSKLSDLKYLLLSHNSFTISINSSWLPPFQLEELYLSSCQIGPHVPSWLQNQTELDSLDISNTGISGKITPWFWNLTKNLILLNISFNKIEGQLPNPLLIGGLADVDMRSNLLTGPLPRLSSMITTLVLSNNQFSGPTPPHFFNSETMVYVSLSNNNLSGQIPSSIAEIMSLEVLDLSRNKLNGSIPESLQNIQSLKSLWLEYNSLSGPIPNSLGSLLQLQTLHLSNNKLSGKIPQSLQNCSSLETLDLRDNMLHGVIPTWFAWSFPKLRILGLKSNMFSGQIPPQLSNMSSLQVLDLADNNFQGHIPLSFGNFKAMTSSPKMNQHLLFGNDYEEHFSMQLSSTSNLLEFTKILSLVVSIDLSRNKLSGHFPKTLTNLTGLLVLDLSDNSLSGEIPQNTGALHGLLSLDLSNNGFSGNIPSSMSSMSFISHLNLSNNNFSGSIPSAGQLSTFDKSSYLGNEFLCGHPLDVECSRVNGRKVHGGGDASVENRCFLCVGLGFAVLFLIIA >DRNTG_08823.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27990297:27993857:-1 gene:DRNTG_08823 transcript:DRNTG_08823.10 gene_biotype:protein_coding transcript_biotype:protein_coding MYQYVSHLKVSVLMSSIFGVHFSILSLLFISYLTASNGGGCLHIEWKALLQFKNGLIDPKNLLFSRQGHDCCRWMGIACDNQTGAVLSINLYNEHAYQNGPDWAISGKIDSSLLQLKCLMSLDLSYNDFGGIAIPGFIGSLKHLVHLKLAFAGFSGSIPSQLGNLSSLQFLDLMGNELHLVNDLEWISQLSSLSHLVITGANLSTWGTQWFKHLNSLSSLTKLVLSTCSLSGIPASLPFVNFTKLQVLDLSLNHLDSVIPAWFSNLTSLVYLDMSYGWPSLEHLDLSFNLLHGTLPDSIGNFTSVRELRLLKNMIEGGVPSSIGKLCNLKTLNLAENNMSLELPPFLDNTGACASEHPLPSLTHLDIQKNQLIGVLPEWLGNLINLQLLDLSCNFIQGSVPPSLGNLSKLTDLILRGNNLIGTLTPNLGQLSKLVNFDVSLNHMTGILTEAHFSKLSDLKYLLLSHNSFTISINSSWLPPFQLEELYLSSCQIGPHVPSWLQNQTELDSLDISNTGISGKITPWFWNLTKNLILLNISFNKIEGQLPNPLLIGGLADVDMRSNLLTGPLPRLSSMITTLVLSNNQFSGPTPPHFFNSETMVYVSLSNNNLSGQIPSSIAEIMSLEVLDLSRNKLNGSIPESLQNIQSLKSLWLEYNSLSGPIPNSLGSLLQLQTLHLSNNKLSGKIPQSLQNCSSLETLDLRDNMLHGVIPTWFAWSFPKLRILGLKSNMFSGQIPPQLSNMSSLQVLDLADNNFQGHIPLSFGNFKAMTSSPKMNQHLLFGNDYEEHFSMQLSSTSNLLEFTKILSLVVSIDLSRNKLSGHFPKTLTNLTGLLVLDLSDNSLSGEIPQNTGALHGLLSLDLSNNGFSGNIPSSMSSMSFISHLNLSNNNFSGSIPSAGQLSTFDKSSYLGNEFLCGHPLDVECSRVNGRKVHGGGDASVENRCFLCVGLGFAVLFLIIA >DRNTG_08823.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27990541:27993857:-1 gene:DRNTG_08823 transcript:DRNTG_08823.17 gene_biotype:protein_coding transcript_biotype:protein_coding MYQYVSHLKVSVLMSSIFGVHFSILSLLFISYLTASNGGGCLHIEWKALLQFKNGLIDPKNLLFSRQGHDCCRWMGIACDNQTGAVLSINLYNEHAYQNGPDWAISGKIDSSLLQLKCLMSLDLSYNDFGGIAIPGFIGSLKHLVHLKLAFAGFSGSIPSQLGNLSSLQFLDLMGNELHLVNDLEWISQLSSLSHLVITGANLSTWGTQWFKHLNSLSSLTKLVLSTCSLSGIPASLPFVNFTKLQVLDLSLNHLDSVIPAWFSNLTSLVYLDMSYGEFYGFIPLELFNLPILRYVDLSRNYNLTADFSKLMGTGWPSLEHLDLSFNLLHGTLPDSIGNFTSVRELRLLKNMIEGGVPSSIEHPLPSLTHLDIQKNQLIGVLPEWLGNLINLQLLDLSCNFIQGSVPPSLGNLSKLTDLILRGNNLIGTLTPNLGQLSKLVNFDVSLNHMTGILTEAHFSKLSDLKYLLLSHNSFTISINSSWLPPFQLEELYLSSCQIGPHVPSWLQNQTELDSLDISNTGISGKITPWFWNLTKNLILLNISFNKIEGQLPNPLLIGGLADVDMRSNLLTGPLPRLSSMITTLVLSNNQFSGPTPPHFFNSETMVYVSLSNNNLSGQIPSSIAEIMSLEVLDLSRNKLNGSIPESLQNIQSLKSLWLEYNSLSGPIPNSLGSLLQLQTLHLSNNKLSGKIPQSLQNCSSLETLDLRDNMLHGVIPTWFAWSFPKLRILGLKSNMFSGQIPPQLSNMSSLQVLDLADNNFQGHIPLSFGNFKAMTSSPKMNQHLLFGNDYEEHFSMQLSSTSNLLEFTKILSLVVSIDLSRNKLSGHFPKTLTNLTGLLVLDLSDNSLSGEIPQNTGALHGLLSLDLSNNGFSGNIPSSMSSMSFISHLNLSNNNFSGSIPSAGQLSTFDKSSYLGNEFLCGHPLDVECSRVNGRKVHGGGDASVENRCFLCVGLGFAV >DRNTG_08823.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27990541:27994390:-1 gene:DRNTG_08823 transcript:DRNTG_08823.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYQYVSHLKVSVLMSSIFGVHFSILSLLFISYLTASNGGGCLHIEWKALLQFKNGLIDPKNLLFSRQGHDCCRWMGIACDNQTGAVLSINLYNEHAYQNGPDWAISGKIDSSLLQLKCLMSLDLSYNDFGGIAIPGFIGSLKHLVHLKLAFAGFSGSIPSQLGNLSSLQFLDLMGNELHLVNDLEWISQLSSLSHLVITGANLSTWGTQWFKHLNSLSSLTKLVLSTCSLSGIPASLPFVNFTKLQVLDLSLNHLDSVIPAWFSNLTSLVYLDMSYGEFYGFIPLELFNLPILRYVDLSRNYNLTADFSKLMGTGWPSLEHLDLSFNLLHGTLPDSIGNFTSVRELRLLKNMIEGGVPSSIGKLCNLKTLNLAENNMSLELPPFLDNTGACASEHPLPSLTHLDIQKNQLIGVLPEWLGNLINLQLLDLSCNFIQGSVPPSLGNLSKLTDLILRGNNLIGTLTPNLGQLSKLVNFDVSLNHMTGILTEAHFSKLSDLKYLLLSHNSFTISINSSWLPPFQLEELYLSSCQIGPHVPSWLQNQTELDSLDISNTGISGKITPWFWNLTKNLILLNISFNKIEGQLPNPLLIGGLADVDMRSNLLTGPLPRLSSMITTLVLSNNQFSGPTPPHFFNSETMVYVSLSNNNLSGQIPSSIAEIMSLEVLDLSRNKLNGSIPESLQNIQSLKSLWLEYNSLSGPIPNSLGSLLQLQTLHLSNNKLSGKIPQSLQNCSSLETLDLRDNMLHGVIPTWFAWSFPKLRILGLKSNMFSGQIPPQLSNMSSLQVLDLADNNFQGHIPLSFGNFKAMTSSPKMNQHLLFGNDYEEHFSMQLSSTSNLLEFTKILSLVVSIDLSRNKLSGHFPKTLTNLTGLLVLDLSDNSLSGEIPQNTGALHGLLSLDLSNNGFSGNIPSSMSSMSFISHLNLSNNNFSGSIPSAGQLSTFDKSSYLGNEFLCGHPLDVECSRVNGRKVHGGGDASVENRCFLCVGLGFAV >DRNTG_08823.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27990541:27994390:-1 gene:DRNTG_08823 transcript:DRNTG_08823.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYQYVSHLKVSVLMSSIFGVHFSILSLLFISYLTASNGGGCLHIEWKALLQFKNGLIDPKNLLFSRQGHDCCRWMGIACDNQTGAVLSINLYNEHAYQNGPDWAISGKIDSSLLQLKCLMSLDLSYNDFGGIAIPGFIGSLKHLVHLKLAFAGFSGSIPSQLGNLSSLQFLDLMGNELHLVNDLEWISQLSSLSHLVITGANLSTWGTQWFKHLNSLSSLTKLVLSTCSLSGIPASLPFVNFTKLQVLDLSLNHLDSVIPAWFSNLTSLVYLDMSYGWPSLEHLDLSFNLLHGTLPDSIGNFTSVRELRLLKNMIEGGVPSSIGKLCNLKTLNLAENNMSLELPPFLDNTGACASEHPLPSLTHLDIQKNQLIGVLPEWLGNLINLQLLDLSCNFIQGSVPPSLGNLSKLTDLILRGNNLIGTLTPNLGQLSKLVNFDVSLNHMTGILTEAHFSKLSDLKYLLLSHNSFTISINSSWLPPFQLEELYLSSCQIGPHVPSWLQNQTELDSLDISNTGISGKITPWFWNLTKNLILLNISFNKIEGQLPNPLLIGGLADVDMRSNLLTGPLPRLSSMITTLVLSNNQFSGPTPPHFFNSETMVYVSLSNNNLSGQIPSSIAEIMSLEVLDLSRNKLNGSIPESLQNIQSLKSLWLEYNSLSGPIPNSLGSLLQLQTLHLSNNKLSGKIPQSLQNCSSLETLDLRDNMLHGVIPTWFAWSFPKLRILGLKSNMFSGQIPPQLSNMSSLQVLDLADNNFQGHIPLSFGNFKAMTSSPKMNQHLLFGNDYEEHFSMQLSSTSNLLEFTKILSLVVSIDLSRNKLSGHFPKTLTNLTGLLVLDLSDNSLSGEIPQNTGALHGLLSLDLSNNGFSGNIPSSMSSMSFISHLNLSNNNFSGSIPSAGQLSTFDKSSYLGNEFLCGHPLDVECSRVNGRKVHGGGDASVENRCFLCVGLGFAV >DRNTG_08823.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27990297:27993655:-1 gene:DRNTG_08823 transcript:DRNTG_08823.13 gene_biotype:protein_coding transcript_biotype:protein_coding MYQYVSHLKVSVLMSSIFGVHFSILSLLFISYLTASNGGGCLHIEWKALLQFKNGLIDPKNLLFSRQGHDCCRWMGIACDNQTGAVLSINLYNEHAYQNGPDWAISGKIDSSLLQLKCLMSLDLSYNDFGGIAIPGFIGSLKHLVHLKLAFAGFSGSIPSQLGNLSSLQFLDLMGNELHLVNDLEWISQLSSLSHLVITGANLSTWGTQWFKHLNSLSSLTKLVLSTCSLSGIPASLPFVNFTKLQVLDLSLNHLDSVIPAWFSNLTSLVYLDMSYGWPSLEHLDLSFNLLHGTLPDSIGNFTSVRELRLLKNMIEGGVPSSIGKLCNLKTLNLAENNMSLELPPFLDNTGACASEHPLPSLTHLDIQKNQLIGVLPEWLGNLINLQLLDLSCNFIQGSVPPSLGNLSKLTDLILRGNNLIGTLTPNLGQLSKLVNFDVSLNHMTGILTEAHFSKLSDLKYLLLSHNSFTISINSSWLPPFQLEELYLSSCQIGPHVPSWLQNQTELDSLDISNTGISGKITPWFWNLTKNLILLNISFNKIEGQLPNPLLIGGLADVDMRSNLLTGPLPRLSSMITTLVLSNNQFSGPTPPHFFNSETMVYVSLSNNNLSGQIPSSIAEIMSLEVLDLSRNKLNGSIPESLQNIQSLKSLWLEYNSLSGPIPNSLGSLLQLQTLHLSNNKLSGKIPQSLQNCSSLETLDLRDNMLHGVIPTWFAWSFPKLRILGLKSNMFSGQIPPQLSNMSSLQVLDLADNNFQGHIPLSFGNFKAMTSSPKMNQHLLFGNDYEEHFSMQLSSTSNLLEFTKILSLVVSIDLSRNKLSGHFPKTLTNLTGLLVLDLSDNSLSGEIPQNTGALHGLLSLDLSNNGFSGNIPSSMSSMSFISHLNLSNNNFSGSIPSAGQLSTFDKSSYLGNEFLCGHPLDVECSRVNGRKVHGGGDASVENRCFLCVGLGFAVLFLIIA >DRNTG_08823.20 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27990503:27993655:-1 gene:DRNTG_08823 transcript:DRNTG_08823.20 gene_biotype:protein_coding transcript_biotype:protein_coding MYQYVSHLKVSVLMSSIFGVHFSILSLLFISYLTASNGGGCLHIEWKALLQFKNGLIDPKNLLFSRQGHDCCRWMGIACDNQTGAVLSINLYNEHAYQNGPDWAISGKIDSSLLQLKCLMSLDLSYNDFGGIAIPGFIGSLKHLVHLKLAFAGFSGSIPSQLGNLSSLQFLDLMGNELHLVNDLEWISQLSSLSHLVITGANLSTWGTQWFKHLNSLSSLTKLVLSTCSLSGIPASLPFVNFTKLQVLDLSLNHLDSVIPAWFSNLTSLVYLDMSYGWPSLEHLDLSFNLLHGTLPDSIGNFTSVRELRLLKNMIEGGVPSSIGKLCNLKTLNLAENNMSLELPPFLDNTGACASEHPLPSLTHLDIQKNQLIGVLPEWLGNLINLQLLDLSCNFIQGSVPPSLGNLSKLTDLILRGNNLIGTLTPNLGQLSKLVNFDVSLNHMTGILTEAHFSKLSDLKYLLLSHNSFTISINSSWLPPFQLEELYLSSCQIGPHVPSWLQNQTELDSLDISNTGISGKITPWFWNLTKNLILLNISFNKIEGQLPNPLLIGGLADVDMRSNLLTGPLPRLSSMITTLVLSNNQFSGPTPPHFFNSETMVYVSLSNNNLSGQIPSSIAEIMSLEVLDLSRNKLNGSIPESLQNIQSLKSLWLEYNSLSGPIPNSLGSLLQLQTLHLSNNKLSGKIPQSLQNCSSLETLDLRDNMLHGVIPTWFAWSFPKLRILGLKSNMFSGQIPPQLSNMSSLQVLDLADNNFQGHIPLSFGNFKAMTSSPKMNQHLLFGNDYEEHFSMQLSSTSNLLEFTKILSLVVSIDLSRNKLSGHFPKTLTNLTGLLVLDLSDNSLSGEIPQNTGALHGLLSLDLSNNGFSGNIPSSMSSMSFISHLNLSNNNFSGSIPSAGQLSTFDKSSYLGNEFLCGHPLDVECSRVNGRKVHGGGDASVENRCFLCVGLGFAVLFLIIA >DRNTG_08823.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27990541:27993857:-1 gene:DRNTG_08823 transcript:DRNTG_08823.16 gene_biotype:protein_coding transcript_biotype:protein_coding MYQYVSHLKVSVLMSSIFGVHFSILSLLFISYLTASNGGGCLHIEWKALLQFKNGLIDPKNLLFSRQGHDCCRWMGIACDNQTGAVLSINLYNEHAYQNGPDWAISGKIDSSLLQLKCLMSLDLSYNDFGGIAIPGFIGSLKHLVHLKLAFAGFSGSIPSQLGNLSSLQFLDLMGNELHLVNDLEWISQLSSLSHLVITGANLSTWGTQWFKHLNSLSSLTKLVLSTCSLSGIPASLPFVNFTKLQVLDLSLNHLDSVIPAWFSNLTSLVYLDMSYGWPSLEHLDLSFNLLHGTLPDSIGNFTSVRELRLLKNMIEGGVPSSIGKLCNLKTLNLAENNMSLELPPFLDNTGACASEHPLPSLTHLDIQKNQLIGVLPEWLGNLINLQLLDLSCNFIQGSVPPSLGNLSKLTDLILRGNNLIGTLTPNLGQLSKLVNFDVSLNHMTGILTEAHFSKLSDLKYLLLSHNSFTISINSSWLPPFQLEELYLSSCQIGPHVPSWLQNQTELDSLDISNTGISGKITPWFWNLTKNLILLNISFNKIEGQLPNPLLIGGLADVDMRSNLLTGPLPRLSSMITTLVLSNNQFSGPTPPHFFNSETMVYVSLSNNNLSGQIPSSIAEIMSLEVLDLSRNKLNGSIPESLQNIQSLKSLWLEYNSLSGPIPNSLGSLLQLQTLHLSNNKLSGKIPQSLQNCSSLETLDLRDNMLHGVIPTWFAWSFPKLRILGLKSNMFSGQIPPQLSNMSSLQVLDLADNNFQGHIPLSFGNFKAMTSSPKMNQHLLFGNDYEEHFSMQLSSTSNLLEFTKILSLVVSIDLSRNKLSGHFPKTLTNLTGLLVLDLSDNSLSGEIPQNTGALHGLLSLDLSNNGFSGNIPSSMSSMSFISHLNLSNNNFSGSIPSAGQLSTFDKSSYLGNEFLCGHPLDVECSRVNGRKVHGGGDASVENRCFLCVGLGFAV >DRNTG_08823.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27990541:27994070:-1 gene:DRNTG_08823 transcript:DRNTG_08823.12 gene_biotype:protein_coding transcript_biotype:protein_coding MYQYVSHLKVSVLMSSIFGVHFSILSLLFISYLTASNGGGCLHIEWKALLQFKNGLIDPKNLLFSRQGHDCCRWMGIACDNQTGAVLSINLYNEHAYQNGPDWAISGKIDSSLLQLKCLMSLDLSYNDFGGIAIPGFIGSLKHLVHLKLAFAGFSGSIPSQLGNLSSLQFLDLMGNELHLVNDLEWISQLSSLSHLVITGANLSTWGTQWFKHLNSLSSLTKLVLSTCSLSGIPASLPFVNFTKLQVLDLSLNHLDSVIPAWFSNLTSLVYLDMSYGEFYGFIPLELFNLPILRYVDLSRNYNLTADFSKLMGTGWPSLEHLDLSFNLLHGTLPDSIGNFTSVRELRLLKNMIEGGVPSSIGKLCNLKTLNLAENNMSLELPPFLDNTGACASEHPLPSLTHLDIQKNQLIGVLPEWLGNLINLQLLDLSCNFIQGSVPPSLGNLSKLTDLILRGNNLIGTLTPNLGQLSKLVNFDVSLNHMTGILTEAHFSKLSDLKYLLLSHNSFTISINSSWLPPFQLEELYLSSCQIGPHVPSWLQNQTELDSLDISNTGISGKITPWFWNLTKNLILLNISFNKIEGQLPNPLLIGGLADVDMRSNLLTGPLPRLSSMITTLVLSNNQFSGPTPPHFFNSETMVYVSLSNNNLSGQIPSSIAEIMSLEVLDLSRNKLNGSIPESLQNIQSLKSLWLEYNSLSGPIPNSLGSLLQLQTLHLSNNKLSGKIPQSLQNCSSLETLDLRDNMLHGVIPTWFAWSFPKLRILGLKSNMFSGQIPPQLSNMSSLQVLDLADNNFQGHIPLSFGNFKAMTSSPKMNQHLLFGNDYEEHFSMQLSSTSNLLEFTKILSLVVSIDLSRNKLSGHFPKTLTNLTGLLVLDLSDNSLSGEIPQNTGALHGLLSLDLSNNGFSGNIPSSMSSMSFISHLNLSNNNFSGSIPSAGQLSTFDKSSYLGNEFLCGHPLDVECSRVNGRKVHGGGDASVENRCFLCVGLGFAV >DRNTG_08823.22 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27990541:27993655:-1 gene:DRNTG_08823 transcript:DRNTG_08823.22 gene_biotype:protein_coding transcript_biotype:protein_coding MYQYVSHLKVSVLMSSIFGVHFSILSLLFISYLTASNGGGCLHIEWKALLQFKNGLIDPKNLLFSRQGHDCCRWMGIACDNQTGAVLSINLYNEHAYQNGPDWAISGKIDSSLLQLKCLMSLDLSYNDFGGIAIPGFIGSLKHLVHLKLAFAGFSGSIPSQLGNLSSLQFLDLMGNELHLVNDLEWISQLSSLSHLVITGANLSTWGTQWFKHLNSLSSLTKLVLSTCSLSGIPASLPFVNFTKLQVLDLSLNHLDSVIPAWFSNLTSLVYLDMSYGWPSLEHLDLSFNLLHGTLPDSIGNFTSVRELRLLKNMIEGGVPSSIEHPLPSLTHLDIQKNQLIGVLPEWLGNLINLQLLDLSCNFIQGSVPPSLGNLSKLTDLILRGNNLIGTLTPNLGQLSKLVNFDVSLNHMTGILTEAHFSKLSDLKYLLLSHNSFTISINSSWLPPFQLEELYLSSCQIGPHVPSWLQNQTELDSLDISNTGISGKITPWFWNLTKNLILLNISFNKIEGQLPNPLLIGGLADVDMRSNLLTGPLPRLSSMITTLVLSNNQFSGPTPPHFFNSETMVYVSLSNNNLSGQIPSSIAEIMSLEVLDLSRNKLNGSIPESLQNIQSLKSLWLEYNSLSGPIPNSLGSLLQLQTLHLSNNKLSGKIPQSLQNCSSLETLDLRDNMLHGVIPTWFAWSFPKLRILGLKSNMFSGQIPPQLSNMSSLQVLDLADNNFQGHIPLSFGNFKAMTSSPKMNQHLLFGNDYEEHFSMQLSSTSNLLEFTKILSLVVSIDLSRNKLSGHFPKTLTNLTGLLVLDLSDNSLSGEIPQNTGALHGLLSLDLSNNGFSGNIPSSMSSMSFISHLNLSNNNFSGSIPSAGQLSTFDKSSYLGNEFLCGHPLDVECSRVNGRKVHGGGDASVENRCFLCVGLGFAV >DRNTG_08823.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27990297:27993655:-1 gene:DRNTG_08823 transcript:DRNTG_08823.14 gene_biotype:protein_coding transcript_biotype:protein_coding MYQYVSHLKVSVLMSSIFGVHFSILSLLFISYLTASNGGGCLHIEWKALLQFKNGLIDPKNLLFSRQGHDCCRWMGIACDNQTGAVLSINLYNEHAYQNGPDWAISGKIDSSLLQLKCLMSLDLSYNDFGGIAIPGFIGSLKHLVHLKLAFAGFSGSIPSQLGNLSSLQFLDLMGNELHLVNDLEWISQLSSLSHLVITGANLSTWGTQWFKHLNSLSSLTKLVLSTCSLSGIPASLPFVNFTKLQVLDLSLNHLDSVIPAWFSNLTSLVYLDMSYGEFYGFIPLELFNLPILRYVDLSRNYNLTADFSKLMGTGWPSLEHLDLSFNLLHGTLPDSIGNFTSVRELRLLKNMIEGGVPSSIGKLCNLKTLNLAENNMSLELPPFLDNTGACASEHPLPSLTHLDIQKNQLIGVLPEWLGNLINLQLLDLSCNFIQGSVPPSLGNLSKLTDLILRGNNLIGTLTPNLGQLSKLVNFDVSLNHMTGILTEAHFSKLSDLKYLLLSHNSFTISINSSWLPPFQLEELYLSSCQIGPHVPSWLQNQTELDSLDISNTGISGKITPWFWNLTKNLILLNISFNKIEGQLPNPLLIGGLADVDMRSNLLTGPLPRLSSMITTLVLSNNQFSGPTPPHFFNSETMVYVSLSNNNLSGQIPSSIAEIMSLEVLDLSRNKLNGSIPESLQNIQSLKSLWLEYNSLSGPIPNSLGSLLQLQTLHLSNNKLSGKIPQSLQNCSSLETLDLRDNMLHGVIPTWFAWSFPKLRILGLKSNMFSGQIPPQLSNMSSLQVLDLADNNFQGHIPLSFGNFKAMTSSPKMNQHLLFGNDYEEHFSMQLSSTSNLLEFTKILSLVVSIDLSRNKLSGHFPKTLTNLTGLLVLDLSDNSLSGEIPQNTGALHGLLSLDLSNNGFSGNIPSSMSSMSFISHLNLSNNNFSGSIPSAGQLSTFDKSSYLGNEFLCGHPLDVECSRVNGRKVHGGGDASVENRCFLCVGLGFAVLFLIIA >DRNTG_08823.21 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27990541:27993655:-1 gene:DRNTG_08823 transcript:DRNTG_08823.21 gene_biotype:protein_coding transcript_biotype:protein_coding MYQYVSHLKVSVLMSSIFGVHFSILSLLFISYLTASNGGGCLHIEWKALLQFKNGLIDPKNLLFSRQGHDCCRWMGIACDNQTGAVLSINLYNEHAYQNGPDWAISGKIDSSLLQLKCLMSLDLSYNDFGGIAIPGFIGSLKHLVHLKLAFAGFSGSIPSQLGNLSSLQFLDLMGNELHLVNDLEWISQLSSLSHLVITGANLSTWGTQWFKHLNSLSSLTKLVLSTCSLSGIPASLPFVNFTKLQVLDLSLNHLDSVIPAWFSNLTSLVYLDMSYGWPSLEHLDLSFNLLHGTLPDSIGNFTSVRELRLLKNMIEGGVPSSIGKLCNLKTLNLAENNMSLELPPFLDNTGACASEHPLPSLTHLDIQKNQLIGVLPEWLGNLINLQLLDLSCNFIQGSVPPSLGNLSKLTDLILRGNNLIGTLTPNLGQLSKLVNFDVSLNHMTGILTEAHFSKLSDLKYLLLSHNSFTISINSSWLPPFQLEELYLSSCQIGPHVPSWLQNQTELDSLDISNTGISGKITPWFWNLTKNLILLNISFNKIEGQLPNPLLIGGLADVDMRSNLLTGPLPRLSSMITTLVLSNNQFSGPTPPHFFNSETMVYVSLSNNNLSGQIPSSIAEIMSLEVLDLSRNKLNGSIPESLQNIQSLKSLWLEYNSLSGPIPNSLGSLLQLQTLHLSNNKLSGKIPQSLQNCSSLETLDLRDNMLHGVIPTWFAWSFPKLRILGLKSNMFSGQIPPQLSNMSSLQVLDLADNNFQGHIPLSFGNFKAMTSSPKMNQHLLFGNDYEEHFSMQLSSTSNLLEFTKILSLVVSIDLSRNKLSGHFPKTLTNLTGLLVLDLSDNSLSGEIPQNTGALHGLLSLDLSNNGFSGNIPSSMSSMSFISHLNLSNNNFSGSIPSAGQLSTFDKSSYLGNEFLCGHPLDVECSRVNGRKVHGGGDASVENRCFLCVGLGFAV >DRNTG_08823.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27990503:27993655:-1 gene:DRNTG_08823 transcript:DRNTG_08823.18 gene_biotype:protein_coding transcript_biotype:protein_coding MYQYVSHLKVSVLMSSIFGVHFSILSLLFISYLTASNGGGCLHIEWKALLQFKNGLIDPKNLLFSRQGHDCCRWMGIACDNQTGAVLSINLYNEHAYQNGPDWAISGKIDSSLLQLKCLMSLDLSYNDFGGIAIPGFIGSLKHLVHLKLAFAGFSGSIPSQLGNLSSLQFLDLMGNELHLVNDLEWISQLSSLSHLVITGANLSTWGTQWFKHLNSLSSLTKLVLSTCSLSGIPASLPFVNFTKLQVLDLSLNHLDSVIPAWFSNLTSLVYLDMSYGWPSLEHLDLSFNLLHGTLPDSIGNFTSVRELRLLKNMIEGGVPSSIEHPLPSLTHLDIQKNQLIGVLPEWLGNLINLQLLDLSCNFIQGSVPPSLGNLSKLTDLILRGNNLIGTLTPNLGQLSKLVNFDVSLNHMTGILTEAHFSKLSDLKYLLLSHNSFTISINSSWLPPFQLEELYLSSCQIGPHVPSWLQNQTELDSLDISNTGISGKITPWFWNLTKNLILLNISFNKIEGQLPNPLLIGGLADVDMRSNLLTGPLPRLSSMITTLVLSNNQFSGPTPPHFFNSETMVYVSLSNNNLSGQIPSSIAEIMSLEVLDLSRNKLNGSIPESLQNIQSLKSLWLEYNSLSGPIPNSLGSLLQLQTLHLSNNKLSGKIPQSLQNCSSLETLDLRDNMLHGVIPTWFAWSFPKLRILGLKSNMFSGQIPPQLSNMSSLQVLDLADNNFQGHIPLSFGNFKAMTSSPKMNQHLLFGNDYEEHFSMQLSSTSNLLEFTKILSLVVSIDLSRNKLSGHFPKTLTNLTGLLVLDLSDNSLSGEIPQNTGALHGLLSLDLSNNGFSGNIPSSMSSMSFISHLNLSNNNFSGSIPSAGQLSTFDKSSYLGNEFLCGHPLDVECSRVNGRKVHGGGDASVENRCFLCVGLGFAVLFLIIA >DRNTG_08823.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27990541:27993857:-1 gene:DRNTG_08823 transcript:DRNTG_08823.15 gene_biotype:protein_coding transcript_biotype:protein_coding MYQYVSHLKVSVLMSSIFGVHFSILSLLFISYLTASNGGGCLHIEWKALLQFKNGLIDPKNLLFSRQGHDCCRWMGIACDNQTGAVLSINLYNEHAYQNGPDWAISGKIDSSLLQLKCLMSLDLSYNDFGGIAIPGFIGSLKHLVHLKLAFAGFSGSIPSQLGNLSSLQFLDLMGNELHLVNDLEWISQLSSLSHLVITGANLSTWGTQWFKHLNSLSSLTKLVLSTCSLSGIPASLPFVNFTKLQVLDLSLNHLDSVIPAWFSNLTSLVYLDMSYGEFYGFIPLELFNLPILRYVDLSRNYNLTADFSKLMGTGWPSLEHLDLSFNLLHGTLPDSIGNFTSVRELRLLKNMIEGGVPSSIGKLCNLKTLNLAENNMSLELPPFLDNTGACASEHPLPSLTHLDIQKNQLIGVLPEWLGNLINLQLLDLSCNFIQGSVPPSLGNLSKLTDLILRGNNLIGTLTPNLGQLSKLVNFDVSLNHMTGILTEAHFSKLSDLKYLLLSHNSFTISINSSWLPPFQLEELYLSSCQIGPHVPSWLQNQTELDSLDISNTGISGKITPWFWNLTKNLILLNISFNKIEGQLPNPLLIGGLADVDMRSNLLTGPLPRLSSMITTLVLSNNQFSGPTPPHFFNSETMVYVSLSNNNLSGQIPSSIAEIMSLEVLDLSRNKLNGSIPESLQNIQSLKSLWLEYNSLSGPIPNSLGSLLQLQTLHLSNNKLSGKIPQSLQNCSSLETLDLRDNMLHGVIPTWFAWSFPKLRILGLKSNMFSGQIPPQLSNMSSLQVLDLADNNFQGHIPLSFGNFKAMTSSPKMNQHLLFGNDYEEHFSMQLSSTSNLLEFTKILSLVVSIDLSRNKLSGHFPKTLTNLTGLLVLDLSDNSLSGEIPQNTGALHGLLSLDLSNNGFSGNIPSSMSSMSFISHLNLSNNNFSGSIPSAGQLSTFDKSSYLGNEFLCGHPLDVECSRVNGRKVHGGGDASVENRCFLCVGLGFAV >DRNTG_08823.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27990297:27993857:-1 gene:DRNTG_08823 transcript:DRNTG_08823.9 gene_biotype:protein_coding transcript_biotype:protein_coding MYQYVSHLKVSVLMSSIFGVHFSILSLLFISYLTASNGGGCLHIEWKALLQFKNGLIDPKNLLFSRQGHDCCRWMGIACDNQTGAVLSINLYNEHAYQNGPDWAISGKIDSSLLQLKCLMSLDLSYNDFGGIAIPGFIGSLKHLVHLKLAFAGFSGSIPSQLGNLSSLQFLDLMGNELHLVNDLEWISQLSSLSHLVITGANLSTWGTQWFKHLNSLSSLTKLVLSTCSLSGIPASLPFVNFTKLQVLDLSLNHLDSVIPAWFSNLTSLVYLDMSYGWPSLEHLDLSFNLLHGTLPDSIGNFTSVRELRLLKNMIEGGVPSSIEHPLPSLTHLDIQKNQLIGVLPEWLGNLINLQLLDLSCNFIQGSVPPSLGNLSKLTDLILRGNNLIGTLTPNLGQLSKLVNFDVSLNHMTGILTEAHFSKLSDLKYLLLSHNSFTISINSSWLPPFQLEELYLSSCQIGPHVPSWLQNQTELDSLDISNTGISGKITPWFWNLTKNLILLNISFNKIEGQLPNPLLIGGLADVDMRSNLLTGPLPRLSSMITTLVLSNNQFSGPTPPHFFNSETMVYVSLSNNNLSGQIPSSIAEIMSLEVLDLSRNKLNGSIPESLQNIQSLKSLWLEYNSLSGPIPNSLGSLLQLQTLHLSNNKLSGKIPQSLQNCSSLETLDLRDNMLHGVIPTWFAWSFPKLRILGLKSNMFSGQIPPQLSNMSSLQVLDLADNNFQGHIPLSFGNFKAMTSSPKMNQHLLFGNDYEEHFSMQLSSTSNLLEFTKILSLVVSIDLSRNKLSGHFPKTLTNLTGLLVLDLSDNSLSGEIPQNTGALHGLLSLDLSNNGFSGNIPSSMSSMSFISHLNLSNNNFSGSIPSAGQLSTFDKSSYLGNEFLCGHPLDVECSRVNGRKVHGGGDASVENRCFLCVGLGFAVLFLIIA >DRNTG_08823.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27990297:27993857:-1 gene:DRNTG_08823 transcript:DRNTG_08823.8 gene_biotype:protein_coding transcript_biotype:protein_coding MYQYVSHLKVSVLMSSIFGVHFSILSLLFISYLTASNGGGCLHIEWKALLQFKNGLIDPKNLLFSRQGHDCCRWMGIACDNQTGAVLSINLYNEHAYQNGPDWAISGKIDSSLLQLKCLMSLDLSYNDFGGIAIPGFIGSLKHLVHLKLAFAGFSGSIPSQLGNLSSLQFLDLMGNELHLVNDLEWISQLSSLSHLVITGANLSTWGTQWFKHLNSLSSLTKLVLSTCSLSGIPASLPFVNFTKLQVLDLSLNHLDSVIPAWFSNLTSLVYLDMSYGEFYGFIPLELFNLPILRYVDLSRNYNLTADFSKLMGTGWPSLEHLDLSFNLLHGTLPDSIGNFTSVRELRLLKNMIEGGVPSSIGKLCNLKTLNLAENNMSLELPPFLDNTGACASEHPLPSLTHLDIQKNQLIGVLPEWLGNLINLQLLDLSCNFIQGSVPPSLGNLSKLTDLILRGNNLIGTLTPNLGQLSKLVNFDVSLNHMTGILTEAHFSKLSDLKYLLLSHNSFTISINSSWLPPFQLEELYLSSCQIGPHVPSWLQNQTELDSLDISNTGISGKITPWFWNLTKNLILLNISFNKIEGQLPNPLLIGGLADVDMRSNLLTGPLPRLSSMITTLVLSNNQFSGPTPPHFFNSETMVYVSLSNNNLSGQIPSSIAEIMSLEVLDLSRNKLNGSIPESLQNIQSLKSLWLEYNSLSGPIPNSLGSLLQLQTLHLSNNKLSGKIPQSLQNCSSLETLDLRDNMLHGVIPTWFAWSFPKLRILGLKSNMFSGQIPPQLSNMSSLQVLDLADNNFQGHIPLSFGNFKAMTSSPKMNQHLLFGNDYEEHFSMQLSSTSNLLEFTKILSLVVSIDLSRNKLSGHFPKTLTNLTGLLVLDLSDNSLSGEIPQNTGALHGLLSLDLSNNGFSGNIPSSMSSMSFISHLNLSNNNFSGSIPSAGQLSTFDKSSYLGNEFLCGHPLDVECSRVNGRKVHGGGDASVENRCFLCVGLGFAVLFLIIA >DRNTG_08823.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27990541:27994922:-1 gene:DRNTG_08823 transcript:DRNTG_08823.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQYVSHLKVSVLMSSIFGVHFSILSLLFISYLTASNGGGCLHIEWKALLQFKNGLIDPKNLLFSRQGHDCCRWMGIACDNQTGAVLSINLYNEHAYQNGPDWAISGKIDSSLLQLKCLMSLDLSYNDFGGIAIPGFIGSLKHLVHLKLAFAGFSGSIPSQLGNLSSLQFLDLMGNELHLVNDLEWISQLSSLSHLVITGANLSTWGTQWFKHLNSLSSLTKLVLSTCSLSGIPASLPFVNFTKLQVLDLSLNHLDSVIPAWFSNLTSLVYLDMSYGWPSLEHLDLSFNLLHGTLPDSIGNFTSVRELRLLKNMIEGGVPSSIGKLCNLKTLNLAENNMSLELPPFLDNTGACASEHPLPSLTHLDIQKNQLIGVLPEWLGNLINLQLLDLSCNFIQGSVPPSLGNLSKLTDLILRGNNLIGTLTPNLGQLSKLVNFDVSLNHMTGILTEAHFSKLSDLKYLLLSHNSFTISINSSWLPPFQLEELYLSSCQIGPHVPSWLQNQTELDSLDISNTGISGKITPWFWNLTKNLILLNISFNKIEGQLPNPLLIGGLADVDMRSNLLTGPLPRLSSMITTLVLSNNQFSGPTPPHFFNSETMVYVSLSNNNLSGQIPSSIAEIMSLEVLDLSRNKLNGSIPESLQNIQSLKSLWLEYNSLSGPIPNSLGSLLQLQTLHLSNNKLSGKIPQSLQNCSSLETLDLRDNMLHGVIPTWFAWSFPKLRILGLKSNMFSGQIPPQLSNMSSLQVLDLADNNFQGHIPLSFGNFKAMTSSPKMNQHLLFGNDYEEHFSMQLSSTSNLLEFTKILSLVVSIDLSRNKLSGHFPKTLTNLTGLLVLDLSDNSLSGEIPQNTGALHGLLSLDLSNNGFSGNIPSSMSSMSFISHLNLSNNNFSGSIPSAGQLSTFDKSSYLGNEFLCGHPLDVECSRVNGRKVHGGGDASVENRCFLCVGLGFAV >DRNTG_08823.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27990541:27994070:-1 gene:DRNTG_08823 transcript:DRNTG_08823.11 gene_biotype:protein_coding transcript_biotype:protein_coding MYQYVSHLKVSVLMSSIFGVHFSILSLLFISYLTASNGGGCLHIEWKALLQFKNGLIDPKNLLFSRQGHDCCRWMGIACDNQTGAVLSINLYNEHAYQNGPDWAISGKIDSSLLQLKCLMSLDLSYNDFGGIAIPGFIGSLKHLVHLKLAFAGFSGSIPSQLGNLSSLQFLDLMGNELHLVNDLEWISQLSSLSHLVITGANLSTWGTQWFKHLNSLSSLTKLVLSTCSLSGIPASLPFVNFTKLQVLDLSLNHLDSVIPAWFSNLTSLVYLDMSYGWPSLEHLDLSFNLLHGTLPDSIGNFTSVRELRLLKNMIEGGVPSSIGKLCNLKTLNLAENNMSLELPPFLDNTGACASEHPLPSLTHLDIQKNQLIGVLPEWLGNLINLQLLDLSCNFIQGSVPPSLGNLSKLTDLILRGNNLIGTLTPNLGQLSKLVNFDVSLNHMTGILTEAHFSKLSDLKYLLLSHNSFTISINSSWLPPFQLEELYLSSCQIGPHVPSWLQNQTELDSLDISNTGISGKITPWFWNLTKNLILLNISFNKIEGQLPNPLLIGGLADVDMRSNLLTGPLPRLSSMITTLVLSNNQFSGPTPPHFFNSETMVYVSLSNNNLSGQIPSSIAEIMSLEVLDLSRNKLNGSIPESLQNIQSLKSLWLEYNSLSGPIPNSLGSLLQLQTLHLSNNKLSGKIPQSLQNCSSLETLDLRDNMLHGVIPTWFAWSFPKLRILGLKSNMFSGQIPPQLSNMSSLQVLDLADNNFQGHIPLSFGNFKAMTSSPKMNQHLLFGNDYEEHFSMQLSSTSNLLEFTKILSLVVSIDLSRNKLSGHFPKTLTNLTGLLVLDLSDNSLSGEIPQNTGALHGLLSLDLSNNGFSGNIPSSMSSMSFISHLNLSNNNFSGSIPSAGQLSTFDKSSYLGNEFLCGHPLDVECSRVNGRKVHGGGDASVENRCFLCVGLGFAV >DRNTG_08823.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27990297:27994922:-1 gene:DRNTG_08823 transcript:DRNTG_08823.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQYVSHLKVSVLMSSIFGVHFSILSLLFISYLTASNGGGCLHIEWKALLQFKNGLIDPKNLLFSRQGHDCCRWMGIACDNQTGAVLSINLYNEHAYQNGPDWAISGKIDSSLLQLKCLMSLDLSYNDFGGIAIPGFIGSLKHLVHLKLAFAGFSGSIPSQLGNLSSLQFLDLMGNELHLVNDLEWISQLSSLSHLVITGANLSTWGTQWFKHLNSLSSLTKLVLSTCSLSGIPASLPFVNFTKLQVLDLSLNHLDSVIPAWFSNLTSLVYLDMSYGWPSLEHLDLSFNLLHGTLPDSIGNFTSVRELRLLKNMIEGGVPSSIEHPLPSLTHLDIQKNQLIGVLPEWLGNLINLQLLDLSCNFIQGSVPPSLGNLSKLTDLILRGNNLIGTLTPNLGQLSKLVNFDVSLNHMTGILTEAHFSKLSDLKYLLLSHNSFTISINSSWLPPFQLEELYLSSCQIGPHVPSWLQNQTELDSLDISNTGISGKITPWFWNLTKNLILLNISFNKIEGQLPNPLLIGGLADVDMRSNLLTGPLPRLSSMITTLVLSNNQFSGPTPPHFFNSETMVYVSLSNNNLSGQIPSSIAEIMSLEVLDLSRNKLNGSIPESLQNIQSLKSLWLEYNSLSGPIPNSLGSLLQLQTLHLSNNKLSGKIPQSLQNCSSLETLDLRDNMLHGVIPTWFAWSFPKLRILGLKSNMFSGQIPPQLSNMSSLQVLDLADNNFQGHIPLSFGNFKAMTSSPKMNQHLLFGNDYEEHFSMQLSSTSNLLEFTKILSLVVSIDLSRNKLSGHFPKTLTNLTGLLVLDLSDNSLSGEIPQNTGALHGLLSLDLSNNGFSGNIPSSMSSMSFISHLNLSNNNFSGSIPSAGQLSTFDKSSYLGNEFLCGHPLDVECSRVNGRKVHGGGDASVENRCFLCVGLGFAVLFLIIA >DRNTG_07550.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21661613:21662627:1 gene:DRNTG_07550 transcript:DRNTG_07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEYYDWYTTNTILFLSTDQDLLDPRTRGNTLPAPLRAFPTVVVDVPRLSPPVRRRHQHSSRAEHDEAHIPRHPHHEGYQSFHSSDDINVTRSSHLHGFPPIESNRYSVDFGMDFVWNLFEYQPHHQPMERGQSSHSTLFRQQYWESPPNPLHYAANLNTPASAIHDSDESSDDDPPTKQARRPRPRGLPDRDIHPP >DRNTG_00708.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000052.1:30794:48694:-1 gene:DRNTG_00708 transcript:DRNTG_00708.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKVVREISYSKSGVTVMTEDGKKYEADYVMVSVSIGVLQTHLIKFKPDLPHWKILALYQFDMAVYTKIFVKFPKTFWPTENGTEFFLYASKRRGYYPIWQQLEKQYPGANVLLVTVTDEESRRIEQQSNAATKAEIMNVLRDMFGKNIPEATDILVPRWWSDRFYKGTFSNWPIGVNRYEYDQIRAPVDRVYFTGEHTSESYNGYVHGAYLAGIDSANMMIKCIKKGMCKFHIPAKYT >DRNTG_28112.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22088176:22092584:-1 gene:DRNTG_28112 transcript:DRNTG_28112.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFCYLRLSLLMLVGCLQSMYGLHTFRIESIAHGKAAPVDELQFQGVSNPGLLRKIITREAAKSIRELWSCQQPKMHLGEGDSRESHTRSLSEVLALSRLHSPSRKFIGSPRNVQPEAGVVVPDELLLHKLDEVEQSVKKIESLVGQLETQADG >DRNTG_28112.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22088176:22092584:-1 gene:DRNTG_28112 transcript:DRNTG_28112.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGHAISLHEDSETNEVLLEDPVAETQHEEDEVSGNVLHIASFEELSKNHVQCDTVIWVLISLLLVLAWGFGVIMLLYLPVRRFVLQKDISSRRLYVTSNKIVYKSTRPSFLPFLGFTTIEKHIPLHLVVDTILEQGCLQSMYGLHTFRIESIAHGKAAPVDELQFQGVSNPGLLRKIITREAAKSIRELWSCQQPKMHLGEGDSRESHTRSLSEVLALSRLHSPSRKFIGSPRNVQPEAGVVVPDELLLHKLDEVEQSVKKIESLVGQLETQADG >DRNTG_28112.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22088176:22092584:-1 gene:DRNTG_28112 transcript:DRNTG_28112.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSFPLLYSLFDLFSRMLTGHAISLHEDSETNEVLLEDPVAETQHEEDEVSGNVLHIASFEELSKNHVQCDTVIWVLISLLLVLAWGFGVIMLLYLPVRRFVLQKDISSRRLYVTSNKIVYKSTRPSFLPFLGFTTIEKHIPLHLVVDTILEQGCLQSMYGLHTFRIESIAHGKAAPVDELQFQGVSNPGLLRKIITREAAKSIRELWSCQQPKMHLGEGDSRESHTRSLSEVLALSRLHSPSRKFIGSPRNVQPEAGVVVPDELLLHKLDEVEQSVKKIESLVGQLETQADG >DRNTG_28112.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22088176:22092621:-1 gene:DRNTG_28112 transcript:DRNTG_28112.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGHAISLHEDSETNEVLLEDPVAETQHEEDEVSGNVLHIASFEELSKNHVQCDTVIWVLISLLLVLAWGFGVIMLLYLPVRRFVLQKDISSRRLYVTSNKIVYKSTRPSFLPFLGFTTIEKHIPLHLVVDTILEQGCLQSMYGLHTFRIESIAHGKAAPVDELQFQGVSNPGLLRKIITREAAKSIRELWSCQQPKMHLGEGDSRESHTRSLSEVLALSRLHSPSRKFIGSPRNVQPEAGVVVPDELLLHKLDEVEQSVKKIESLVGQLETQADG >DRNTG_28112.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22088176:22092621:-1 gene:DRNTG_28112 transcript:DRNTG_28112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGHAISLHEDSETNEVLLEDPVAETQHEEDEVSGNVLHIASFEELSKNHVQCDTVIWVLISLLLVLAWGFGVIMLLYLPVRRFVLQKDISSRRLYVTSNKIVYKSTRPSFLPFLGFTTIEKHIPLHLVVDTILEQGCLQSMYGLHTFRIESIAHGKAAPVDELQFQGVSNPGLLRKIITREAAKSIRELWSCQQPKMHLGEGDSRESHTRSLSEVLALSRLHSPSRKFIGSPRNVQPEAGVVVPDELLLHKLDEVEQSVKKIESLVGQLETQADG >DRNTG_11002.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18828117:18828927:-1 gene:DRNTG_11002 transcript:DRNTG_11002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNNHKSSLAVVFETPAATAVRVPPPPSLEMDFLSRCYLCRKRLHGLDIFMYR >DRNTG_16467.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2540252:2546287:1 gene:DRNTG_16467 transcript:DRNTG_16467.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACGVVTNGLLFLLFCCGLGREANGWSRLSFEDDAVIEKQLRFMNKPVIKTIQNQNGDVVDCIDFDKQLAFDHPLLKNQSIRQQHSFHKDYSNENLTSNALYSWSDLNGERCPHGTIPIRRTQKNDLIRLKYFLKLGGQSSYLLRTIEATPVPGVHWALLQSNDGKYVGASAWLNIIGLQGVKGDQFSETAITLINGIYGPSQNYNVIKVGWMVHPSLYGDFQTRLTVFWTTDGYQSVHCYNQQCPGFVQVSSNIVLGSVLSDQGDDKFLSFSIFKDKVTGDWCLTITTLNGVENIGYWPKSMFNSLGDYASRIQWGGLVYSPTSEPSPPMGNGKFPSNGGARVIEINMINENGQTLEPQGDELLYADKPDCYATSKLSNNKVAGWFFRFGGPGGCIG >DRNTG_16467.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2540252:2546287:1 gene:DRNTG_16467 transcript:DRNTG_16467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGVVTNGLLFLLFCCGLGREANGWSRLSFEDDAVIEKQLRFMNKPVIKTIQNQNGDVVDCIDFDKQLAFDHPLLKNQSIRQQHSFHKDYSNENLTSNALYSWSDLNGERCPHGTIPIRRTQKNDLIRLKYFLKLGGQSSYLLRTIEATPVPGVHWALLQSNDGKYVGASAWLNIIGLQGVKGDQFSETAITLINGIYGPSQNYNVIKVGWMVHPSLYGDFQTRLTVFWTTDGYQSVHCYNQQCPGFVQVSSNIVLGSVLSDQGDDKFLSFSIFKDKVTGDWCLTITTLNGVENIGYWPKSMFNSLGDYASRIQWGGLVYSPTSEPSPPMGNGKFPSNGGARVIEINMINENGQTLEPQGDELLYADKPDCYATSKLSNNKVAGWFFRFGGPGGCIG >DRNTG_16467.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2541316:2546287:1 gene:DRNTG_16467 transcript:DRNTG_16467.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACGVVTNGLLFLLFCCGLGREANGWSRLSFEDDAVIEKQLRFMNKPVIKTIQNQNGDVVDCIDFDKQLAFDHPLLKNQSIRQQHSFHKDYSNENLTSNALYSWSDLNGERCPHGTIPIRRTQKNDLIRLKYFLKLGGQSSYLLRTIEATPVPGVHWALLQSNDGKYVGASAWLNIIGLQGVKGDQFSETAITLINGIYGPSQNYNVIKVGWMVHPSLYGDFQTRLTVFWTTDGYQSVHCYNQQCPGFVQVSSNIVLGSVLSDQGDDKFLSFSIFKDKVTGDWCLTITTLNGVENIGYWPKSMFNSLGDYASRIQWGGLVYSPTSEPSPPMGNGKFPSNGGARVIEINMINENGQTLEPQGDELLYADKPDCYATSKLSNNKVAGWFFRFGGPGGCIG >DRNTG_02151.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29014984:29018224:1 gene:DRNTG_02151 transcript:DRNTG_02151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERLNSPSTSTVVVEVLGHRLHISQDPNSKHLGTTVWDASMVFVKFLEKNSRKGRFCPSKLKGKRAIELGAGCGLAGLGMALLGCDVVSTDQVEVLPLLMRNVQRNMSWITQANPSESVGAVEVVELDWGNKDHIRSVCPPFDYIIATDVVYAEHLLEPLVETILALAGPRTTILIGYEIRSNTVHEQMTNMWKNNFEVKTIPKAKMDHKYRHPSIQLFMMELKAQSKSEDSTSDLPKIPETSFGTDEAGDNYELEEKEESKSVVTEDEKADDWETRRAGAMAARLLRDVKIA >DRNTG_28400.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4449634:4460380:-1 gene:DRNTG_28400 transcript:DRNTG_28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPMSSMNAHSIDYQDSSASGVHNLSSLNGGGSPAMHAGMSLQTRMPLDRGQSTSQNTAWIENPRNSTINPQLLGRGYATINHVPGEYRGNPGIPTPYPQFQERGYRSINHVQRELRGNPEIPTTPHQPSRNGIVRDNLNSLAASSDIRFRNGLNGSLSDLLLQVARKDHDANKKRKLEEQNQLPYKAPKNWNLNHVGQTRPSQFTFADAQRFLAIEKMKASDVMVASHPIGRNKSMISNRSFQANSLMLAISSTVNHNLLTMTENPCGDGIVSSKDPVTPEKLSSGCNRGHDGVIRKPRTPRGNRATDNNAKAKTRNTKEKFSPGSRCDNVNAKNTPGHQAELQNNGSLHQKYVQKIPWEAVVNHENYSHRLKISSIQDCQSSSDFQESAHSTVTSFGFMPYRDPVDDIIQKLTRMSINRTPESVTVEEKHALVPYNGGGTMVPYEAKRRRPRPKVDLDPETSRVWRLLLIKDSIDGDTELDKNKEKWWEEERRVFHGRVDSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMSLAAKFSFKADNKPNVEKESKPFKPEHCISPFNDIIKFQELQGKEFIHNNYIVTHEAEDTEEQQIVDSHNSPGTHPQGDGLGGYTKDKGIFFHGSEVRASHPSPDSMIGSPFTVSPSLSLSEAGFGSSPEAADSKQKSAISSQNSSEYPAQTVDQIGACLESNSRSEESSIENMRNFLDGYTSFTNLLKMAEYTGFQKFYTSSNGGISSEYGSVVNQLTCTESEKGTSILDLLDNPDGACSSAVMPNNPDQACHDFMGVTSKFSTSDRDYSSTNFMSEEKAPAYGLIEERTHLPFSPLNFMANNIADRECITSVGNKADITDKLESSTSETTTLLGACTPLEKIPLHQLDGSTRENCFDKQLPAEALDTALSKSISMEEPKSHGQYLTKGKQINEETSALREISQPTAAVQTRNSVVYQSPKSSPNNTEQKAHNLGAIESKSNADVIISEKAPAETVVDGSNRKRSRADLEKKKSFDWDSLRKQVCCEDIQTKRSSDRMDSVDWEAVRLADVSEISETIRERGMNNMLAERIKDFLNRLVRDHGSVDLEWLRDVPPDKAKEYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRISVRLGWVPLQPLPESLQLHLLEMYPVLETIQKYLWPRLCRLDQRTLYELHYQLITFGKVFCTKSKPNCNACPMRGECKHFASAFASARLALPGPEEKGIVSSVTPIASENNQNPGFNPIPLPQLLEGNNLSQERIVMKNCEPIIEEPATPEPEFIETSESAIEDYFDEDPDEIPVIKLNLEEFTQNLQNFMQENNLDIQEGDMSKALVALAPEAASIPTPKLKNVSRLRTEHQVYELPDGHPLLEGLDRREPDDPCSYLLAIWTPGETSQSNEPPKTSCNSQETGKLCDRITCFSCNSVREAQSQIVRATLLIPCRTAMRGSFPLNGTYFQVNEVFADHESSHNPINVPRESIWNLPRRTVYFGTSIPSIFRGLSTEGIQHCFWRGFVCVRGFDRVTRAPRPLSRRLHFPASKVTRDKQNGPKKEE >DRNTG_01763.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:2612913:2615807:-1 gene:DRNTG_01763 transcript:DRNTG_01763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPYRRVEIPHARASDPQGHPNAPVASLFSHKFGLNVPHAPR >DRNTG_29865.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1927761:1929217:1 gene:DRNTG_29865 transcript:DRNTG_29865.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILQFSEALVELEGDDNAEAESEPKRSVEKEEGLSPALTGIGGEPQATIDGIGVVLLGSRSTAHDVVSDPGRRRRVNGSPVQRPQPPPPRAE >DRNTG_33063.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:6156620:6157340:-1 gene:DRNTG_33063 transcript:DRNTG_33063.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSHSSSSRKNVGNMRWKPSESKFFLQFMATQVENGLKCDKTFKPQAIHAAIRALRENFGKDCTESNIHNHLRTLKRNWAIISRLREMSGVGWDEENKKIIMGEEECMTYLMRHPNEEPYINKPIEDYDLLEVVCGNDHVTGRFARDSIETPPTDDSVPKFSKDNFNIGLTQEDLVHGQFDNNFGFETPSPTPNS >DRNTG_32646.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22100394:22104596:-1 gene:DRNTG_32646 transcript:DRNTG_32646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYIEGLQISDLILDTHALDKLFGCCLYSKSLSHGKIIYDSNNCWVWYPKTKKYKLSSIIYSHISKLAVQQDSWDGWGNIWRLCIAPGAKHFIWLLFHNGAKTYDYLYRINLGPQSNCVFCSLEYENVEHLLHLCPKTQITWALVKNIIGKSFNFVDGFIFGNWLSPSIAGKDLFTQSVIAATAWHIW >DRNTG_19520.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:150063:151358:-1 gene:DRNTG_19520 transcript:DRNTG_19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQMMNKAVAVNGAAIGKQGGNGKRVYVTFLAGDGDYVKGVVGLVKGLRKVRSFYPLVVAVLPDVPEDHRKLLEKQGCIVREIQPVYPPENQTQFAMAYYVINYSKLRIWEFVEYSKMIYLDADIQVYENIDYLFDLPDGHFYAVMDCFCEKTWSHTKQYQIGYCQQCPNKVEWPESMGPRPALYFNAGMFVSEPSLTTCEKLLSTVRITTPTPFAEQDFLNMFFKDIYKPIPLIYNLVLAMLWRHPENVELHKVKVVHYCAAGSKPWRYTGKEANMDREDVKMLVKKWWDVYNDEALDYKGPVLMDGVDEQRNQPLLAALSEAGVVHYTTAPSAA >DRNTG_34976.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002221.1:54410:80810:1 gene:DRNTG_34976 transcript:DRNTG_34976.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHOOT GRAVITROPISM 6 [Source:Projected from Arabidopsis thaliana (AT2G36810) UniProtKB/Swiss-Prot;Acc:F4IP13] MSVNDKHSRQEILNAISCLAENTISNVVFREVLAAAGRDVVRKDISRMRGGWPIQDAFYVFSQHLVLSKLFLEYVVSVLDRVPVGKGDADKVESTSHFTEVTSEEDILQAAVLALTAFFRGGGKTGKKAVEQSYSVVLSALILQLGSCHGLSGLDHQDNSRIILTAFQSFCDCVGDFEMGKILARDGDHNENDKWIFLIHEVASCTSMKRPKEVPHICMILSKALNRHQRYQREAAAAALSEFVHHSNGVSSLLEHMVEALCLHVTDESPTVRSLCLKGLVQIPACHMLDYIAQVLRIIVALLEDPDEAVQLTAVQCLLTVLESSPKDAVEPILVNLSVRLRNLQISMNGKMRSTAFAAYGALSNYGGPQHQAFIEQVHTTFPRLILHLHDDDLSVRKACRNTLKQVAPLMEVDGLSLIFNRHFFNSDRRGDYEDFIRNLSRHLCQISSMRADVYLGATIQAFDAPWPSIQANAIYFACSMLPLLEDQRSVAPYFLQVFSILVGKMSRSLDLIVRATCSYGLGLLLKASNPATWSVPVLDRLDSTRSSQD >DRNTG_34976.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002221.1:40939:80810:1 gene:DRNTG_34976 transcript:DRNTG_34976.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHOOT GRAVITROPISM 6 [Source:Projected from Arabidopsis thaliana (AT2G36810) UniProtKB/Swiss-Prot;Acc:F4IP13] MRAYISDPEDLRQDCTYQDTWDDMIINFLAESLDVVQDIEWIMALGNAFTRQYVLYAADDEHSALLHRCLGMLLQKVDDRGYVHENIEWMYKHADISVPTNRLGLAKGMGLVAASHLDTVLEKLKSILDSVGHSRFRRFLSFFSSKSKIEDPDDIYAALALMYGYAARYAPSTVIEARINALVGTNMLSRLLHVQNPTAKQAVITAIDLLGNAVINAAEMGVSFPLKRRDQLLDYVLTLMGKDESNDFFDSNLELLHTQSLALKACTTLVSIEPRLPMETRNHVMKATLSFFASPNDPSDIVDPLIDNLITLLCAILLTSGEDGRSKAEQLLHILRQVDIYVFSPVEHQRRRGCVAVYEMLLKFRMLCSGGYCGLGCLSNCPHDNQIDRSMQRNISNLPPAFVLPSRDSLSLGERIIAYIPRCADTNSDIRKVAVQIIALFFNISLSLPKSVTSSNDVDLEVSYSALSSLEDVISILRRDASIDQSEVFNRVISSVCILLSKDELVISLYSCKAALCDKVKQSADGAIQAVIEFITKRGSELNEADISRTTQSLLSAAMSVNDKHSRQEILNAISCLAENTISNVVFREVLAAAGRDVVRKDISRMRGGWPIQDAFYVFSQHLVLSKLFLEYVVSVLDRVPVGKGDADKVESTSHFTEVTSEEDILQAAVLALTAFFRGGGKTGKKAVEQSYSVVLSALILQLGSCHGLSGLDHQDNSRIILTAFQSFCDCVGDFEMGKILARDGDHNENDKWIFLIHEVASCTSMKRPKEVPHICMILSKALNRHQRYQREAAAAALSEFVHHSNGVSSLLEHMVEALCLHVTDESPTVRSLCLKGLVQIPACHMLDYIAQVLRIIVALLEDPDEAVQLTAVQCLLTVLESSPKDAVEPILVNLSVRLRNLQISMNGKMRSTAFAAYGALSNYGGPQHQAFIEQVHTTFPRLILHLHDDDLSVRKACRNTLKQVAPLMEVDGLSLIFNRHFFNSDRRGDYEDFIRNLSRHLCQISSMRADVYLGATIQAFDAPWPSIQANAIYFACSMLPLLEDQRSVAPYFLQVFSILVGKMSRSLDLIVRATCSYGLGLLLKASNPATWSVPVLDRLDSTRSSQD >DRNTG_34976.9 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002221.1:54763:80810:1 gene:DRNTG_34976 transcript:DRNTG_34976.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHOOT GRAVITROPISM 6 [Source:Projected from Arabidopsis thaliana (AT2G36810) UniProtKB/Swiss-Prot;Acc:F4IP13] MSVNDKHSRQEILNAISCLAENTISNVVFREVLAAAGRDVVRKDISRMRGGWPIQDAFYVFSQHLVLSKLFLEYVVSVLDRVPVGKGDADKVESTSHFTEVTSEEDILQAAVLALTAFFRGGGKTGKKAVEQSYSVVLSALILQLGSCHGLSGLDHQDNSRIILTAFQSFCDCVGDFEMGKILARDGDHNENDKWIFLIHEVASCTSMKRPKEVPHICMILSKALNRHQRYQREAAAAALSEFVHHSNGVSSLLEHMVEALCLHVTDESPTVRSLCLKGLVQIPACHMLDYIAQVLRIIVALLEDPDEAVQLTAVQCLLTVLESSPKDAVEPILVNLSVRLRNLQISMNGKMRSTAFAAYGALSNYGGPQHQAFIEQVHTTFPRLILHLHDDDLSVRKACRNTLKQVAPLMEVDGLSLIFNRHFFNSDRRGDYEDFIRNLSRHLCQISSMRADVYLGATIQAFDAPWPSIQANAIYFACSMLPLLEDQRSVAPYFLQVFSILVGKMSRSLDLIVRATCSYGLGLLLKASNPATWSVPVLDRLDSTRSSQD >DRNTG_34976.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002221.1:48645:80810:1 gene:DRNTG_34976 transcript:DRNTG_34976.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHOOT GRAVITROPISM 6 [Source:Projected from Arabidopsis thaliana (AT2G36810) UniProtKB/Swiss-Prot;Acc:F4IP13] MRGGWPIQDAFYVFSQHLVLSKLFLEYVVSVLDRVPVGKGDADKVESTSHFTEVTSEEDILQAAVLALTAFFRGGGKTGKKAVEQSYSVVLSALILQLGSCHGLSGLDHQDNSRIILTAFQSFCDCVGDFEMGKILARDGDHNENDKWIFLIHEVASCTSMKRPKEVPHICMILSKALNRHQRYQREAAAAALSEFVHHSNGVSSLLEHMVEALCLHVTDESPTVRSLCLKGLVQIPACHMLDYIAQVLRIIVALLEDPDEAVQLTAVQCLLTVLESSPKDAVEPILVNLSVRLRNLQISMNGKMRSTAFAAYGALSNYGGPQHQAFIEQVHTTFPRLILHLHDDDLSVRKACRNTLKQVAPLMEVDGLSLIFNRHFFNSDRRGDYEDFIRNLSRHLCQISSMRADVYLGATIQAFDAPWPSIQANAIYFACSMLPLLEDQRSVAPYFLQVFSILVGKMSRSLDLIVRATCSYGLGLLLKASNPATWSVPVLDRLDSTRSSQD >DRNTG_34976.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002221.1:18743:80810:1 gene:DRNTG_34976 transcript:DRNTG_34976.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHOOT GRAVITROPISM 6 [Source:Projected from Arabidopsis thaliana (AT2G36810) UniProtKB/Swiss-Prot;Acc:F4IP13] MRAYISDPEDLRQDCTYQDTWDDMIINFLAESLDVVQDIEWIMALGNAFTRQYVLYAADDEHSALLHRCLGMLLQKVDDRGYVHENIEWMYKHADISVPTNRLGLAKGMGLVAASHLDTVLEKLKSILDSVGHSRFRRFLSFFSSKSKIEDPDDIYAALALMYGYAARYAPSTVIEARINALVGTNMLSRLLHVQNPTAKQAVITAIDLLGNAVINAAEMGVSFPLKRRDQLLDYVLTLMGKDESNDFFDSNLELLHTQSLALKACTTLVSIEPRLPMETRNHVMKATLSFFASPNDPSDIVDPLIDNLITLLCAILLTSGEDGRSKAEQLLHILRQVDIYVFSPVEHQRRRGCVAVYEMLLKFRMLCSGGYCGLGCLSNCPHDNQIDRSMQRNISNLPPAFVLPSRDSLSLGERIIAYIPRCADTNSDIRKVAVQIIALFFNISLSLPKSVTSSNDVDLEVSYSALSSLEDVISILRRDASIDQSEVFNRVISSVCILLSKDELVISLYSCKAALCDKVKQSADGAIQAVIEFITKRGSELNEADISRTTQSLLSAAMSVNDKHSRQEILNAVSLF >DRNTG_34976.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002221.1:21059:80810:1 gene:DRNTG_34976 transcript:DRNTG_34976.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHOOT GRAVITROPISM 6 [Source:Projected from Arabidopsis thaliana (AT2G36810) UniProtKB/Swiss-Prot;Acc:F4IP13] MRAYISDPEDLRQDCTYQDTWDDMIINFLAESLDVVQDIEWIMALGNAFTRQYVLYAADDEHSALLHRCLGMLLQKVDDRGYVHENIEWMYKHADISVPTNRLGLAKGMGLVAASHLDTVLEKLKSILDSVGHSRFRRFLSFFSSKSKIEDPDDIYAALALMYGYAARYAPSTVIEARINALVGTNMLSRLLHVQNPTAKQAVITAIDLLGNAVINAAEMGVSFPLKRRDQLLDYVLTLMGKDESNDFFDSNLELLHTQSLALKACTTLVSIEPRLPMETRNHVMKATLSFFASPNDPSDIVDPLIDNLITLLCAILLTSGEDGRSKAEQLLHILRQVDIYVFSPVEHQRRRGCVAVYEMLLKFRMLCSGGYCGLGCLSNCPHDNQIDRSMQRNISNLPPAFVLPSRDSLSLGERIIAYIPRCADTNSDIRKVAVQIIALFFNISLSLPKSVTSSNDVDLEVSYSALSSLEDVISILRRDASIDQSEVFNRVISSVCILLSKDELVISLYSCKAALCDKVKQSADGAIQAVIEFITKRGSELNEADISRTTQSLLSAAMSVNDKHSRQEILNAISCLAENTISNVVFREVLAAAGRDVVRKDISRMRGGWPIQDAFYVFSQHLVLSKLFLEYVVSVLDRVPVGKGDADKVESTSHFTEVTSEEDILQAAVLALTAFFRGGGKTGKKAVEQSYSVVLSALILQLGSCHGLSGLDHQDNSRIILTAFQSFCDCVGDFEMGKILARDGDHNENDKWIFLIHEVASCTSMKRPKEVPHICMILSKALNRHQRYQREAAAAALSEFVHHSNGVSSLLEHMVEALCLHVTDESPTVRSLCLKGLVQIPACHMLDYIAQVLRIIVALLEDPDEAVQLTAVQCLLTVLESSPKDAVEPILVNLSVRLRNLQISMNGKMRSTAFAAYGALSNYGGPQHQAFIEQVHTTFPRLILHLHDDDLSVRKACRNTLKQVAPLMEVDGLSLIFNRHFFNSDRRGDYEDFIRNLSRHLCQISSMRADVYLGATIQAFDAPWPSIQANAIYFACSMLPLLEDQRSVAPYFLQVFSILVGKMSRSLDLIVRATCSYGLGLLLKASNPATWSVPVLDRLDSTRSSQD >DRNTG_34976.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002221.1:43849:80810:1 gene:DRNTG_34976 transcript:DRNTG_34976.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHOOT GRAVITROPISM 6 [Source:Projected from Arabidopsis thaliana (AT2G36810) UniProtKB/Swiss-Prot;Acc:F4IP13] MRAYISDPEDLRQDCTYQDTWDDMIINFLAESLDVVQDIEWIMALGNAFTRQYVLYAADDEHSALLHRCLGMLLQKVDDRGYVHENIEWMYKHADISVPTNRLGLAKGMGLVAASHLDTVLEKLKSILDSVGHSRFRRFLSFFSSKSKIEDPDDIYAALALMYGYAARYAPSTVIEARINALVGTNMLSRLLHVQNPTAKQAVITAIDLLGNAVINAAEMGVSFPLKRRDQLLDYVLTLMGKDESNDFFDSNLELLHTQSLALKACTTLVSIEPRLPMETRNHVMKATLSFFASPNDPSDIVDPLIDNLITLLCAILLTSGEDGRSKAEQLLHILRQVDIYVFSPVEHQRRRGCVAVYEMLLKFRMLCSGGYCGLGCLSNCPHDNQIDRSMQRNISNLPPAFVLPSRDSLSLGERIIAYIPRCADTNSDIRKVAVQIIALFFNISLSLPKSVTSSNDVDLEVSYSALSSLEDVISILRRDASIDQSEVFNRVISSVCILLSKDELVISLYSCKAALCDKVKQSADGAIQAVIEFITKRGSELNEADISRTTQSLLSAAMSVNDKHSRQEILNAISCLAENTISNVVFREVLAAAGRDVVRKDISRMRGGWPIQDAFYVFSQHLVLSKLFLEYVVSVLDRVPVGKGDADKVESTSHFTEVTSEEDILQAAVLALTAFFRGGGKTGKKAVEQSYSVVLSALILQLGSCHGLSGLDHQDNSRIILTAFQSFCDCVGDFEMGKILARDGDHNENDKWIFLIHEVASCTSMKRPKEVPHICMILSKALNRHQRYQREAAAAALSEFVHHSNGVSSLLEHMVEALCLHVTDESPTVRSLCLKGLVQIPACHMLDYIAQVLRIIVALLEDPDEAVQLTAVQCLLTVLESSPKDAVEPILVNLSVRLRNLQISMNGKMRSTAFAAYGALSNYGGPQHQAFIEQVHTTFPRLILHLHDDDLSVRKACRNTLKQVAPLMEVDGLSLIFNRHFFNSDRRGDYEDFIRNLSRHLCQISSMRADVYLGATIQAFDAPWPSIQANAIYFACSMLPLLEDQRSVAPYFLQVFSILVGKMSRSLDLIVRATCSYGLGLLLKASNPATWSVPVLDRLDSTRSSQD >DRNTG_34976.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002221.1:46959:80810:1 gene:DRNTG_34976 transcript:DRNTG_34976.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHOOT GRAVITROPISM 6 [Source:Projected from Arabidopsis thaliana (AT2G36810) UniProtKB/Swiss-Prot;Acc:F4IP13] MYGYAARYAPSTVIEARINALVGTNMLSRLLHVQNPTAKQAVITAIDLLGNAVINAAEMGVSFPLKRRDQLLDYVLTLMGKDESNDFFDSNLELLHTQSLALKACTTLVSIEPRLPMETRNHVMKATLSFFASPNDPSDIVDPLIDNLITLLCAILLTSGEDGRSKAEQLLHILRQVDIYVFSPVEHQRRRGCVAVYEMLLKFRMLCSGGYCGLGCLSNCPHDNQIDRSMQRNISNLPPAFVLPSRDSLSLGERIIAYIPRCADTNSDIRKVAVQIIALFFNISLSLPKSVTSSNDVDLEVSYSALSSLEDVISILRRDASIDQSEVFNRVISSVCILLSKDELVISLYSCKAALCDKVKQSADGAIQAVIEFITKRGSELNEADISRTTQSLLSAAMSVNDKHSRQEILNAISCLAENTISNVVFREVLAAAGRDVVRKDISRMRGGWPIQDAFYVFSQHLVLSKLFLEYVVSVLDRVPVGKGDADKVESTSHFTEVTSEEDILQAAVLALTAFFRGGGKTGKKAVEQSYSVVLSALILQLGSCHGLSGLDHQDNSRIILTAFQSFCDCVGDFEMGKILARDGDHNENDKWIFLIHEVASCTSMKRPKEVPHICMILSKALNRHQRYQREAAAAALSEFVHHSNGVSSLLEHMVEALCLHVTDESPTVRSLCLKGLVQIPACHMLDYIAQVLRIIVALLEDPDEAVQLTAVQCLLTVLESSPKDAVEPILVNLSVRLRNLQISMNGKMRSTAFAAYGALSNYGGPQHQAFIEQVHTTFPRLILHLHDDDLSVRKACRNTLKQVAPLMEVDGLSLIFNRHFFNSDRRGDYEDFIRNLSRHLCQISSMRADVYLGATIQAFDAPWPSIQANAIYFACSMLPLLEDQRSVAPYFLQVFSILVGKMSRSLDLIVRATCSYGLGLLLKASNPATWSVPVLDRLDSTRSSQD >DRNTG_34976.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002221.1:18536:80810:1 gene:DRNTG_34976 transcript:DRNTG_34976.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHOOT GRAVITROPISM 6 [Source:Projected from Arabidopsis thaliana (AT2G36810) UniProtKB/Swiss-Prot;Acc:F4IP13] MASSSSGSLPAPEAVHVLVSSLADESPVVREASLEALQGIAPLNPMLVLDCCCSVSRGGRRRFGNMAGVFDVMACAVRAMDREDVDPAFMDRLAKMVTAEMVTSKEVNADWQRAGAGLLVAIGSHVPDQMMEEIFTHISGPNSALPLMVQILAEFALAEALQFTHRLKDVLLRVLPVLGTVKDTQRPVFANAFKCWCEAVRQCAVSSTCDPVLDNDVMSFLNSVFELLLRVWASSRDLKVRLSSTEALGQMVGLITRSQLKAALPRLIQTILGLYKKDQESVFIATCSMHNLLTACLLSEAGPPLLDFEDLNLILCTLLPVACINNYNDDHVDFSLGLKTYNEIQHCFLVIGSVYPGDLSSFLLNKLNSKDELVMVGGLSVIKHLLPRLLEAWHGKRGLLLDVVKSLLDEQNLGVRKALAELLVVMASHCYLSGPSTEVFVEYLVCHCAITEEEIKHLKTSKEIIWRGARFQPFQYKKLEVAMGPVSPEELRAICEKGLLLLAITIPEMEHILWPFLLKMIIPQEYTGAVATVCKCISELCRRRSSYSGSVFIEPNSSDGFPNPEELFARLVVLLHNPLAREQRASQILMVLCYLAPLFPKNLVIFWQDEVPKMRAYISDPEDLRQDCTYQDTWDDMIINFLAESLDVVQDIEWIMALGNAFTRQYVLYAADDEHSALLHRCLGMLLQKVDDRGYVHENIEWMYKHADISVPTNRLGLAKGMGLVAASHLDTVLEKLKSILDSVGHSRFRRFLSFFSSKSKIEDPDDIYAALALMYGYAARYAPSTVIEARINALVGTNMLSRLLHVQNPTAKQAVITAIDLLGNAVINAAEMGVSFPLKRRDQLLDYVLTLMGKDESNDFFDSNLELLHTQSLALKACTTLVSIEPRLPMETRNHVMKATLSFFASPNDPSDIVDPLIDNLITLLCAILLTSGEDGRSKAEQLLHILRQVDIYVFSPVEHQRRRGCVAVYEMLLKFRMLCSGGYCGLGCLSNCPHDNQIDRSMQRNISNLPPAFVLPSRDSLSLGERIIAYIPRCADTNSDIRKVAVQIIALFFNISLSLPKSVTSSNDVDLEVSYSALSSLEDVISILRRDASIDQSEVFNRVISSVCILLSKDELVISLYSCKAALCDKVKQSADGAIQAVIEFITKRGSELNEADISRTTQSLLSAAMSVNDKHSRQEILNAISCLAENTISNVVFREVLAAAGRDVVRKDISRMRGGWPIQDAFYVFSQHLVLSKLFLEYVVSVLDRVPVGKGDADKVESTSHFTEVTSEEDILQAAVLALTAFFRGGGKTGKKAVEQSYSVVLSALILQLGSCHGLSGLDHQDNSRIILTAFQSFCDCVGDFEMGKILARDGDHNENDKWIFLIHEVASCTSMKRPKEVPHICMILSKALNRHQRYQREAAAAALSEFVHHSNGVSSLLEHMVEALCLHVTDESPTVRSLCLKGLVQIPACHMLDYIAQVLRIIVALLEDPDEAVQLTAVQCLLTVLESSPKDAVEPILVNLSVRLRNLQISMNGKMRSTAFAAYGALSNYGGPQHQAFIEQVHTTFPRLILHLHDDDLSVRKACRNTLKQVAPLMEVDGLSLIFNRHFFNSDRRGDYEDFIRNLSRHLCQISSMRADVYLGATIQAFDAPWPSIQANAIYFACSMLPLLEDQRSVAPYFLQVFSILVGKMSRSLDLIVRATCSYGLGLLLKASNPATWSVPVLDRLDSTRSSQD >DRNTG_12266.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24841507:24848388:-1 gene:DRNTG_12266 transcript:DRNTG_12266.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGECWGGDVSVSQERLPRSQVLNPVAAPNDRRFLKHVFDNLHGNISLDPLSLKFIDTEQFQRLRDLKQLGLTYMVYPGAVHTRFEHSLGVYWLAGEAVRCLQTYQGSELDIDYRDIQTVKLAGLLHDVGHGPFSHLFEHEFLPRIHGGSKWSHEQMSLELVDYIVDEHNIDIDSSTLRNVKEMIVSSSKAAGTNRAKEKRFLYDIVANGRNGIDVDKFDYISRDCRACGLGCNIHFQRLFEIMRVIDDEICYPAKEYLTIHKVFGTRADLHRTVYTHAKVKAIELMLVDALVKANDFLGISSCISDPAEFWKLDDTILKTIETAPDSELKESRDIVLRIRRRDLYQGREKALELRDGGISLSMFFKFSTLIPEDFEFCNEYAVPKDQLDYFKDVTPKDIVCSQKATGVTLREEDVAVSNIKIDLTRGKHNPLESIGFFQDYESDQKLTIPDDRISHLLPSCNQDKIVRVYSKNPELVEAVSEAFENFQLKTYGVKTQVHDTPDKKKRRR >DRNTG_12266.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24841507:24848388:-1 gene:DRNTG_12266 transcript:DRNTG_12266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECWGGDVSVSQERLPRSQVLNPVAAPNDRRFLKHVFDNLHGNISLDPLSLKFIDTEQFQRLRDLKQLGLTYMVYPGAVHTRFEHSLGVYWLAGEAVRCLQTYQGSELDIDYRDIQTVKLAGLLHDVGHGPFSHLFEHEFLPRIHGGSKWSHEQMSLELVDYIVDEHNIDIDSSTLRNVKEMIVSSSKAAGTNRAKEKRFLYDIVANGRNGIDVDKFDYISRDCRACGLGCNIHFQRLFEIMRVIDDEICYPAKEYLTIHKVFGTRADLHRTVYTHAKVKAIELMLVDALVKANDFLGISSCISDPAEFWKLDDTILKTIETAPDSELKESRDIVLRIRRRDLYQFCNEYAVPKDQLDYFKDVTPKDIVCSQKATGVTLREEDVAVSNIKIDLTRGKHNPLESIGFFQDYESDQKLTIPDDRISHLLPSCNQDKIVRVYSKNPELVEAVSEAFENFQLKTYGVKTQVHDTPDKKKRRR >DRNTG_17985.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000930.1:15166:16944:1 gene:DRNTG_17985 transcript:DRNTG_17985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHDPRYKLNYVEYSYTELYGSHTLEFVHTILNTLKILFDEYLKNFKSNSSSLAGSSNVTRAKFVNFSFEDSNVIIRLEDEALVSSDDEDDEENEATGMTLLCFYTLYFGFYATCLQGGSFPCEPVLVMLFKLQDGKIEGIVYPDEIKECEHHFSRQVVVENIAGIISCE >DRNTG_08971.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000392.1:65037:67941:-1 gene:DRNTG_08971 transcript:DRNTG_08971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKGPYEEFDTYDDNINCFLQLQFLKKRSKIIEIVAANDIIFALAHSGLCAAFSLTTNKRICFLNISPDEVIRSLFYNKNNDSLITVSVYASDHFSSLKCRTTRIEYIRRNQLDAGYPLFETESLRWPGFVEFDDVNGKVLTYSAHDGTYKVFDLKNYNFLYSISDKNVQEIKISPGIMLLILQRMPGYVPLKILNVEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQDNENLQILDVRNSELTEVNRTEFMTPSAFIFLYENHLFLTFRNRTVAVWNFGGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKAEQACEQGEGQEGTTTTATVTPVGSINMSNIMTGKCIAKICADDPQLQINRRTSFRHRPKVHNP >DRNTG_06873.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000316.1:19000:21477:1 gene:DRNTG_06873 transcript:DRNTG_06873.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPDSALILTTLFIFLAFLHLLHRSFRRTTLPLPPGPKGYPILGALPLIGHTPHVSLANLAKLYGPLMHLKLGNSHIIVISSSSTAHSFFTTLDLQFANRPGKVISAKHISYNGNDMTFSNYTPKWKLFRRLTTLHMLGSKAMSTWSDIRHDEIHRMLQSMHESSQRGQLIVVPETLICATTNIIGRVMLSQRVFDASDPKLGSYKELLKKLMTGGGMFNVGDFVPAVAWMDLQGIQAKLKKGKEMMDQMIKAMLAQHAASAEERKGTPDFADLVMASDLRDDNGDKLSDVNIRGLLANIFKAGTDTSTIIVEWALAEILKNRDILESMQSEMDVIIGRERALQESDIPNLPYLQAVVKETLRLHPSTPLSLPHFFLFESCEVNGYYIPANTRLITNIWAIGRDPDVWERPLEFDPMRFLPGGKAAKIEPYGTDFELIPFGAGRRICIGKTSWYGVCTISLRCSCAWL >DRNTG_08524.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000382.1:1:3631:-1 gene:DRNTG_08524 transcript:DRNTG_08524.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRTSGRYIKSNKPGQESEVPRPPSFTESTQIRSDTSCCSSLLDAIMFRLC >DRNTG_10981.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000481.1:1265:5022:-1 gene:DRNTG_10981 transcript:DRNTG_10981.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDLHNGSGEDEGEDEGDLSSCCLDLTSFQLHDLGDVEIPEELVELDLTANRLSVLDPRIGRLSRLRKLSLRQNLFDDEGVDPISQWDSIPGLQELVLRDNKLTKIPDVSIFKGLLIFDVSFNEVSSLNGLSKVSNTLKELYVSKNEVTRMEEVEHLHALQILELGSNRLRVMENLEALTNLQELWLGRNRIRSVNLCGLKCIRKISLQSNRLTSMAGFQVGNSERSCS >DRNTG_10981.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000481.1:1265:5022:-1 gene:DRNTG_10981 transcript:DRNTG_10981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDLHNGSGEDEGEDEGDLSSCCLDLTSFQLHDLGDVEIPEELVELDLTANRLSVLDPRIGRLSRLRKLSLRQNLFDDEGVDPISQWDSIPGLQELVLRDNKLTKIPDVSIFKGLLIFDVSFNEVSSLNGLSKVSNTLKELYVSKNEVTRMEEVEHLHALQILELGSNRLRVMENLEALTNLQELWLGRNRIRSVNLCGLKCIRKISLQSNRLTSMAGFQECVALEELYLSHNGITKMEGLSTLINLRVLDVSANKLTSINDIENLTMLEDLWLNDNQIASLEGVDLAVAGSREKLTTIYLERNPCGNSQDYSSTLKQFFPNLQQIDSDIFI >DRNTG_02065.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000104.1:17808:19907:1 gene:DRNTG_02065 transcript:DRNTG_02065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISSSSSRNLLRIHSWLPNPSQAFQQTTSVPSLIDAIANLSASNQLSNAFTAFSLLQVHSPSSFLLLRPISSLLSCSTTLKSLPHGLQLHAQSITLGFLTNHLIAPRLISFYTSLGLLSDARSLVVDSLDAFPWNVLISSYAKNGFFLQAVDAYRDLVGRGVEPDSFTYTKMLSVCGEVVDLELGKEVHARVMVFDWMRAERVEVNSVTWNTIASGYLQVGKHEEALRLISQMIWNGSGVDFVTMVIGLNACSRFGSLKSGKEIHGLAVRMCSDEMETVMNALITMYSRCKSINYARIVFGRAMNRNLVTWNSMIAGFALEDQVEETSLAFQDMVLSGLQPNYVTVVTVLSLCARVVNLQHGCELHCHIIKHGYKGYRLLWNALVDMYSKSGRILIARRVFDTMSDRDGISYTSLMAGYGMQGDGHSAVKLFEEMIEYGIKPDHVSMVAILSACSHSGLVSEGEMLFDKMINLYKIAPRMEHFSCMVDLYARAGLLSKAEEMLNRTPIPPTAAMWAALVGACQVHGDTEISERAARKLLDMNTDNAGKYVLIANMYAAAGCWGELAKVRTLMRDLGVRKAPGCAWVDLGTGFHPFLVGDRSSPLSPEIYDVLDALFEQMRDTGNIDAEMLAFDEQVEA >DRNTG_04895.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11389175:11394508:1 gene:DRNTG_04895 transcript:DRNTG_04895.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLSAVAGSILSPLTDICLENLIDYLWKYLSSSPSSSSSEEAEKQQQLKDSLEALEDSKWFVESVNSRIMMLFEKHKQNNRVVNLHAKLKGVGYDIQDLESEMKYMELERKVEEINKADQKDDTTSGQSSRGGLKRLIPFRLPTSSSSEKKRRLPTAAQSSTLSTDFILRIISISRARTSRIQVFFNKCSILADL >DRNTG_01893.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21015344:21017193:1 gene:DRNTG_01893 transcript:DRNTG_01893.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHLEDTKSYAEQNPRPNTPLLAAGNSNGDGIQPTKKNLIPSDGGAEEENRIQRSGAGESKRHRDLDRYYSINISSCSKRELHEHKTRLAWELEQVRNMIRWVGGSSAGNQTRLPPKKKAKKAEDLRSESEKGFAAMMRKCLEIVNKIMKQRGASWFNAPVDVLGLGLYDYHQIIKIPMDLGTVKANLSKGFYQCPTEFAADVKLTFDNAMLYNPPEHVVHGLAKQLMVKFERMYYPAYEVYKKRIGLGEVWKRRVMTVEEKDGLRERLEGLGMEEMAEVIEMLRKRDVKMRANGDEIELQFEDLDNDTLWVIGSLSS >DRNTG_08418.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31024884:31028013:1 gene:DRNTG_08418 transcript:DRNTG_08418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQGSTTLHAYGEASYWDRRYGQDPGPFEWYQKYRSLAPLFDLYLRRHHRLLLVGCGNSALGEDMLNDGYEDIVNIDISSVVIEAMQTKYQDKPALQYIKMDVRDMSDFESGSFDAVIDKGTLDSLMCGHDAQENATKMLEEVGRVLKDKGVYFLITYGDPNYRLYLLKELRYWTINMHVIDRLEKTADKRCWDLTTPIPWTEDGSLATAVLGSNPEIHYIYVCIKDESLSQHGNEEPNSSEH >DRNTG_08418.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31024884:31028013:1 gene:DRNTG_08418 transcript:DRNTG_08418.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQGSTTLHAYGEASYWDRRYGQDPGPFEWYQKYRSLAPLFDLYLRRHHRLLLVGCGNSALGEDMLNDGYEDIVNIDISSVVIEAMQTKYQDKPALQYIKMDVRDMSDFESGSFDAVIDKGTVFNFAYR >DRNTG_11708.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28159867:28163440:-1 gene:DRNTG_11708 transcript:DRNTG_11708.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSAEPKPPHRSRSKNNVVVFASLPKK >DRNTG_30322.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1096062:1097604:-1 gene:DRNTG_30322 transcript:DRNTG_30322.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCNLYVGFARVMTKSFTSEFPHVERYFWTLVNQPNFLKVIGEVKQAESVCPIQSQKKPAQPKEAAKPKEAKKETKKEAPKEPAKPKVEAVAEDEEEAPKPKAKNPLDLLPPSKMILDEWKRLYSNTKSNFREVAIGGFWEMYDPEGYSLWFCNYKYNDENTVSFVTLNKVSGFLQRMDLARKYAFGKMLVIGSQPPF >DRNTG_32590.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24034133:24034415:1 gene:DRNTG_32590 transcript:DRNTG_32590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPITEASPVETTSETASEMEKPTENRPLPLKSPRWLQPRLPMQRA >DRNTG_10767.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:12556243:12556904:1 gene:DRNTG_10767 transcript:DRNTG_10767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPNKAVIVLQGRYAGRKAVIIRSFDEGTRDRPYGHCLVAGISKYPKKVIRKDSAKKTAKKSRVKAFLKVVNYNHIMPTRYTLDVDLKGCCHS >DRNTG_17065.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:65940:68302:-1 gene:DRNTG_17065 transcript:DRNTG_17065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSKSSKKSSNSRKSVFNTNPSVHHRRNFQEADENEDPKAKKALEKNLMDSIVSSGYKKKILAEMDDDFSLNCDENIFRSTPQTSSVPYDPVTNYTSPRPLFLRYNPNRRHEILRRIEGELKKEESQEFVKDDDDDDDDDDDDDDDGDGEEKEEEEVFEEKPGVFGMLWKSFLILGVLMMSTCYISCMNSSHLPYNGNQMRFQEETFSNVSNLDVFPKRVDMEWIVENINSSMLIHEVKEVHDFQEIIAGKEEEETLLLVENDVPNDDESLVEECSEIHEEIVDTEMLEKKDVLSEFRQDLQNQLVPWMPLTDGNPEEKVEENKMVLYDGNLLLLLSTTISVILIGLLMYFRRPKSDSAVVSVHIEAKSIIDPNANLQIEKHNFSDVNLENEHNEFYESRPPLVELLGEFSVDKLDSKENEQARTVAKKTSITVDKSYRSSSSVKSLASAAESISVTTPKKPLRKEEEEIISASASITPLRRSNRIRNRITSPS >DRNTG_17065.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:65940:68049:-1 gene:DRNTG_17065 transcript:DRNTG_17065.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIVSSGYKKKILAEMDDDFSLNCDENIFRSTPQTSSVPYDPVTNYTSPRPLFLRYNPNRRHEILRRIEGELKKEESQEFVKDDDDDDDDDDDDDDDGDGEEKEEEEVFEEKPGVFGMLWKSFLILGVLMMSTCYISCMNSSHLPYNGNQMRFQEETFSNVSNLDVFPKRVDMEWIVENINSSMLIHEVKEVHDFQEIIAGKEEEETLLLVENDVPNDDESLVEECSEIHEEIVDTEMLEKKDVLSEFRQDLQNQLVPWMPLTDGNPEEKVEENKMVLYDGNLLLLLSTTISVILIGLLMYFRRPKSDSAVVSVHIEAKSIIDPNANLQIEKHNFSDVNLENEHNEFYESRPPLVELLGEFSVDKLDSKENEQARTVAKKTSITVDKSYRSSSSVKSLASAAESISVTTPKKPLRKEEEEIISASASITPLRRSNRIRNRITSPS >DRNTG_28992.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9156202:9157339:-1 gene:DRNTG_28992 transcript:DRNTG_28992.1 gene_biotype:protein_coding transcript_biotype:protein_coding YKCNPKLSALPNAQRSMIQQKRYDHRYHPRKSD >DRNTG_01155.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23345390:23347117:1 gene:DRNTG_01155 transcript:DRNTG_01155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSMVLWKVLYLSSKDSFVRYRIRLDRSSPTNLLPNGITMAGIGQLHGRGRYTRPCGISTWEWIISTRPCGFSVSLISRPAVNSAATVIATIALLQSL >DRNTG_19664.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:4292662:4295125:-1 gene:DRNTG_19664 transcript:DRNTG_19664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTKKQTDKRPRESSSKPEGMRFVIPEHQARYERLSRLRFGQTRFLDTTIL >DRNTG_31041.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30566875:30570303:1 gene:DRNTG_31041 transcript:DRNTG_31041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHHAHITVLPVDLLIYIIDRVPEPADRKSIRLVSRAFHRAECLQRRDLNVIRREALGMLIRCYGAIESLDLSACAGLDDTCLSAALGGGAAPGLRKVNLSRASGVRWRGLAAMVRGCPRLESVDLSHCVGVGDREVAVLAMLAGLKELRLDKCLGVSDVGLAKVAVGCPGLEKLRIKWCLEISDLGIKLLANKCQNLKFLDISYLLVTNKSLHSISTLGRLEVLKMVGCYYITDDGLNYFNNEKNCNTLLSVDVSRCHNVSAFGLVSIIEGHKSLRKLKASDCYVDLPLNCLSKLGMLTNGFHTIKVDGSEVSEPRLKIIGANCKGLTKIGLGKCKGVTDENISELVSNCADLKTIDLTCCHDVTDDSLIAIANSCKKLESLLLESCSLITDEGLVYIGICCPNLQEVDLTDCDLDDSALESLSRCSELMKLKLGLCPSITDDGLDYIAKCEKLQELDLYRCTGIGDDGLAALAYGCKKIRKLNLCYCTRISDQGMKYVSCLPELKDLELRGLTQVTSLGIVAIAFGCKNLTELDLKRCSAVDDLGFLGLARYAINLRQINISYCNISEQVLIRLLWNLRCLQDVKLVHLTHVRKEGFMLALRSCLDKLKKLKLPTILKDVISPALIQILQDKGCRIRWVDKPIPFYMKDSPPSSPDP >DRNTG_16215.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30834807:30842140:-1 gene:DRNTG_16215 transcript:DRNTG_16215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVSSASGLLAMLGEQHPALKLHALHQLNSLVHLFWPEISTSVPTIESLYEDEEFDQRQLAALVVSKVFYYLGELNDSLSYALGAGPLFDVSEDSDYAHTLLAKAIDEYASLKSKSVKPSDDEAMVDPRLEAIVERMLDKCILDGKYQQAMGMAVECRRLDKLEEAITSSDNVHAALSYCINLSHSFVNHREYRCEVLRLIVKIYQRLPSPDILSICQCLMFLNEPEAVANILEKLLVSGNKDEALLAYQIAFDLVENEHQAFLLSVRNRLPDPKTLAVNRAAQPAETIDQGTSETSSQNENRNAEPGDVHMSDASIATSSNTHIADPNEVSYAEKLTKLKGILSGETSIKLTLQFLFSHNKSDLLILKTIKQSVEMRNSVCHSATICANALMHAGTTVDTFLRENLEWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQSGAVGGGSPYSEGGALYALGLIHANHGEGIKQFLRDSLRNTSDEVIKHGACLGLGLAALGTADEEIYEDVKNVLYTDSAVASEAAGISMGLLMVGTASEKASEMLAYAHETQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVLALGFVMYSEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESCDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIRLLSKTKHDKITAVVGLAVFSQFWYWYPLIYFISLSFSSTAFIGLNYDLKVPKFEFLSNAKPSLFEYPRSTTPPTSTSTVKLPTAVLSTTAKAKSRAKRDAEQKAIADKASEKTSGEKSTEKGTGEDGSTGSSSGKGQKPSEKDADSMQVDGATEKKAGTEQPFEILTNPARVVPAQEKYVRFLDGSRYVPVKLAPSGFVLLRDLQPTEAEVLALTDTPSTTPAAGTGSTTAQQGAAAPALAVDDEPQPPQPFEYTA >DRNTG_07196.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21959900:21966435:-1 gene:DRNTG_07196 transcript:DRNTG_07196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINIFRAEKGFDPERIRESQRRRFSSVEVVDEIISLDKEWRQRQFELENLRKDFNRINKEVARLKIAKEDATEMIKSTNENKKLTAEKEIEVQQAKAALDSKLETIGNLVHDSVPVSNDEANNEIVRVWGDRRMEEKLKNHVDLVKLLDIADLEKGADVAGARGFYLKGEGVLLNMALINFGLAFLRERKYVPMQTPFFMRKDIMGKCAQLAQFDEELYKVTGDGDDKYLIATAEQPLCAYHLNDRIYPQDLPIRYAGYSTCFRKEAGSHGRDTLGIFRVHQFEKVEQFCITSPNDNDSWEMLEEMLKNSEDFYKELNLPYQVVTIVSGALNDAAAKKYDLEAWFPASKTYRELVSCSNCTDYQSRRLGIGCGQKKNDEQAKQYVHILNSTLTATERTICCILENYQREDGIEIPTVLRPFMGGQEFLSFKSAPETKAKKPNKAKGNAGLQAAQQK >DRNTG_07196.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21959900:21960869:-1 gene:DRNTG_07196 transcript:DRNTG_07196.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQEFLSFKSAPETKAKKPNKAKGNAGLQAAQQK >DRNTG_16279.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4425804:4428082:1 gene:DRNTG_16279 transcript:DRNTG_16279.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G61590) UniProtKB/TrEMBL;Acc:A0A1I9LRL4] MATFMDGETSWESHSYTSWESHAASQQACEAADYQTGLEIHGRNDGGLRISLEAILPDDILEKIFSFMPIACVVRLASVCSRWYRVVHSRSSWTTALPQKPWYFMFTTSDCVGGHAYDPSVRKWYNITLPCDVKSNWISSSSCGLVCFMENDKSNEIHVFNPLVKGWKSLHGPPGGDNPDYSALAMSANRKSSVYTVTVAKSKQVPGDFLQWEFSIHIYESESCCWITPVREVFMGWRGGDESVVCNGVLYCLIYATGIVGTADFRHGLIMYDLSVRSHGSSLKRNSIPVPCSLTCGRLMNLKDRLVMVGGIGKPDRPDIIKGIGIWELCKKEWREIARMPHRFFQGFGEFDDVFASSGMEDLVYIQSYGSPTLLVFDMSQKQWRWSVRCPVPKRFPLQLFTGFCFEPRIEASC >DRNTG_16279.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4426713:4428082:1 gene:DRNTG_16279 transcript:DRNTG_16279.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G61590) UniProtKB/TrEMBL;Acc:A0A1I9LRL4] MATFMDGETSWESHSYTSWESHAASQQACEAADYQTGLEIHGRNDGGLRISLEAILPDDILEKIFSFMPIACVVRLASVCSRWYRVVHSRSSWTTALPQKPWYFMFTTSDCVGGHAYDPSVRKWYNITLPCDVKSNWISSSSCGLVCFMENDKSNEIHVFNPLVKGWKSLHGPPGGDNPDYSALAMSANRKSSVYTVTVAKSKQVPGDFLQWEFSIHIYESESCCWITPVREVFMGWRGGDESVVCNGVLYCLIYATGIVGTADFRHGLIMYDLSVRSHGSSLKRNSIPVPCSLTCGRLMNLKDRLVMVGGIGKPDRPDIIKGIGIWELCKKEWREIARMPHRFFQGFGEFDDVFASSGMEDLVYIQSYGSPTLLVFDMSQKQWRWSVRCPVPKRFPLQLFTGFCFEPRIEASC >DRNTG_16279.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4426579:4428082:1 gene:DRNTG_16279 transcript:DRNTG_16279.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G61590) UniProtKB/TrEMBL;Acc:A0A1I9LRL4] MATFMDGETSWESHSYTSWESHAASQQACEAADYQTGLEIHGRNDGGLRISLEAILPDDILEKIFSFMPIACVVRLASVCSRWYRVVHSRSSWTTALPQKPWYFMFTTSDCVGGHAYDPSVRKWYNITLPCDVKSNWISSSSCGLVCFMENDKSNEIHVFNPLVKGWKSLHGPPGGDNPDYSALAMSANRKSSVYTVTVAKSKQVPGDFLQWEFSIHIYESESCCWITPVREVFMGWRGGDESVVCNGVLYCLIYATGIVGTADFRHGLIMYDLSVRSHGSSLKRNSIPVPCSLTCGRLMNLKDRLVMVGGIGKPDRPDIIKGIGIWELCKKEWREIARMPHRFFQGFGEFDDVFASSGMEDLVYIQSYGSPTLLVFDMSQKQWRWSVRCPVPKRFPLQLFTGFCFEPRIEASC >DRNTG_24653.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8075697:8077150:-1 gene:DRNTG_24653 transcript:DRNTG_24653.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSFGRWESDPLFSAAEVVQDSADRMESVFRMLLHEQKLVQGESSDSKLLGQIQYHRRDLLTALETTKWQLEDFERAVNFAASSDKSNSRQNAIVKHREFIRAIREQSAQVERGLASSTTDFDRNSQWAALNEQDRDGLASFLSGGDSNDCHAHYERGNSSIMRRFLDSNLESDEIVEVKVEEGDNPEKYGLGARTLCQDSEVEQGESNTSFTDYDLENGESGSKCYAYSSRFRRMPIWRFFRNFQLANTGKRSFAKRRKDGEITDDVLADTGGGMLSSAFNISPAKQV >DRNTG_24653.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8074292:8077150:-1 gene:DRNTG_24653 transcript:DRNTG_24653.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLFIFDSFGRWESDPLFSAAEVVQDSADRMESVFRMLLHEQKLVQGESSDSKLLGQIQYHRRDLLTALETTKWQLEDFERAVNFAASSDKSNSRQNAIVKHREFIRAIREQSAQVERGLASSTTDFDRNSQWAALNEQDRDGLASFLSGGDSNDCHAHYERGNSSIMRRFLDSNLESDEIVEVKVEEGDNPEKYGLGARTLCQDSEVEQGESNTSFTDYDLENGESGSKCYAYSSRFRRMPIWRFFRNFQLANTGKRSFAKRRKDGEITDDVLADTGGGMLSSAFNISPAKQDKYAGSHLGIFPISNNLQFIHSHRWWVEAFRRRVQRTRYDMLHYWRPTRLLSAILITLAVLGKKALPFVYV >DRNTG_24653.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8074292:8077150:-1 gene:DRNTG_24653 transcript:DRNTG_24653.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSFGRWESDPLFSAAEVVQDSADRMESVFRMLLHEQKLVQGESSDSKLLGQIQYHRRDLLTALETTKWQLDCHPCD >DRNTG_24653.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8075697:8077150:-1 gene:DRNTG_24653 transcript:DRNTG_24653.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSFGRWESDPLFSAAEVVQDSADRMESVFRMLLHEQKLVQGESSDSKLLGQIQYHRRDLLTALETTKWQLDCHPCD >DRNTG_24653.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8074292:8077150:-1 gene:DRNTG_24653 transcript:DRNTG_24653.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSFGRWESDPLFSAAEVVQDSADRMESVFRMLLHEQKLVQGESSDSKLLGQIQYHRRDLLTALETTKWQVRSTHNLSVLSAFIFMICKNVNFMEFSWIAILVISWRTLRGL >DRNTG_24653.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8074292:8077150:-1 gene:DRNTG_24653 transcript:DRNTG_24653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSFGRWESDPLFSAAEVVQDSADRMESVFRMLLHEQKLVQGESSDSKLLGQIQYHRRDLLTALETTKWQLEDFERAVNFAASSDKSNSRQNAIVKHREFIRAIREQSAQVERGLASSTTDFDRNSQWAALNEQDRDGLASFLSGGDSNDCHAHYERGNSSIMRRFLDSNLESDEIVEVKVEEGDNPEKYGLGARTLCQDSEVEQGESNTSFTDYDLENGESGSKCYAYSSRFRRMPIWRFFRNFQLANTGKRSFAKRRKDGEITDDVLADTGGGMLSSAFNISPAKQDKYAGSHLGIFPISNNLQFIHSHRWWVEAFRRRVQRTRYDMLHYWRPTRLLSAILITLAVLGFLKFYIM >DRNTG_06333.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20246591:20247264:1 gene:DRNTG_06333 transcript:DRNTG_06333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNTFSYLQQVMDELWFSQNILLGKTRTASSTPLPAHLPTNSSSESLPGNSSTSQSRKSHAVYKEKKKRPVLNIVIGKPLFQLQHPSTPTLSADSAQKIRRRRSIRREMRTLQEPV >DRNTG_08168.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11815225:11819273:1 gene:DRNTG_08168 transcript:DRNTG_08168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLQFTAEQAVYLMKDVVYGYKEADLILMPILLHGHYHLLVLDNEKRKYMHYSSLRSDIYDSDAVDMRDLYELCIEVNTSSNPFRHFPLKHVRDCPKQAPNTVDCPVFCMRYIE >DRNTG_15709.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4395699:4407046:1 gene:DRNTG_15709 transcript:DRNTG_15709.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TSS [Source:Projected from Arabidopsis thaliana (AT4G28080) UniProtKB/Swiss-Prot;Acc:F4JKH6] MAPKAGKAKPHKPKGEKKKKEEKVLPTAVDITVETPDYTQLTLKGISTDRILDIRKLLTVHVDTCHLTNYSLSHEVRGLRLKDNVEIMALKPCHVTIVDEEYTEELAVAHVRRLLDIVACTTAFTSKNGSSRPGSSPKDPDCHAGGAAESAPKASSKSPRSPTAASSCGATGGVGGQKDEPMYPPPKLGQFYDFFSFAHLSPPFQYIRRSSKPFVEDKREDDLFQIDVRICNGKPVTIVASRQGFYPAGKRSLLSHSLVGLLQQISRAFDGAYKALMKAFTEHNKFGNLPYGFRANTWVVPPLPADSPSIFPPLPIEDENWGGSGGGQGKDGKHDKRQWARDFSILAAMPCKTAEERQIRDRKAFLVHSLFVDVAVFKAVGVIQNLVCQEGSNEQPHGPSSVVPCEQVGELNIVVTRDAADASAKLDVKIDGSQASGMSNEELAQRNLLKGITADESATVHDTATLGVVVVRHCGYTAVVSVPTDVGLVGVPVTLQDIDIEDQPDGGSNALNVNSLRMLLHKSSSQSSNSSRTNCMDDEDLQPGRSLVRKILADSLMKLEEAAPKQRNSIRWELGACWVQHLQNQDKGKTEPKKSEETKVEPAVKGLGKQFGQLKEIKKKTDDKGVKTDPRGKENSSCNGSVASKNHLIKVSDSKELDKQSVDKESVLLKLLPEAAFLRLKESETGLHLKSPDELIEMAYKFYEDTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGSVVELADKLPHVQSLCIHEMIVRAFKHILQAVIAAVDDIEEMAGSVVSCLNVLLGSLPGEAADKDLANDALLKCKWLEIFLLKRFGWRWKEENSCDLRKFAILRGLCHKVGLELVPRDYDMDSPSPFLKSDVISLVPVYKHVACSSADGRTLLESSKTSLDKGKLEDAVNYGTKALSKLVGVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYTLSVQHEQTTLRILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASIASKGHLSVSDLLDYINPDAELKAREMQRKQARAKIKGRMGQNQWETVEDDDQKDAPPVSDYSWKENSTSDKENNNQTQPIESKDDMPSSAALHFSTLNAVEDDVAQGDTSDEGWQEAVPKSRSLSSRKSSGSRRPSLAKLNTNAINNGDSARYRGRSSPSFSPSRTSSPNEAVPASSAPSPISKKLLKSSSFSPKPATPTAVSSGNIEKSSVIKSASSSPAPSPSSVSVPSTRKNLSYKEVALAPPGTIVKAVEEQVPKESAAEEPTPTQQIVVNPSDVEKTDTLTTEEDKDSKHVIPEKGDSDTKNITVTEVQSPKTENVTSCENVVATVECNIKEESKEVSSVVEYAEIAKKTENEGKESEPASSASEEPTSDSVEEGSKLGNGTSEQMSSEVETAKPPLLVQGEKQEAVEIAKEPSKKLSASAPPFNPSMIPVFSSVIVPAFKEHGGILPPPLNTPPMPVLHPVRKTPHQSATARVPYGPRLSSGYNRSGNRVPRNKPGFQNGDITIVDANCFSPRIMNPNAAEFVPGQPWSPNGHPVSPNGQPGSPNADSPSQHSCPESPSSFAASLPTSVPVEACEATQVSVQDDDDIEKPSKEMKDENKAEQVEQAEQIKDAELENDCNNDSSEDNIEVSVEASEPPKVVENPSKCWADYSDGEAEIVEVSS >DRNTG_25290.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20336212:20337646:1 gene:DRNTG_25290 transcript:DRNTG_25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVKAFPTAKDQYLRQLPSACSIRFTFPVSLNTNCDNVRILKSGSERDGQEMNKREIN >DRNTG_32516.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001853.1:35212:38450:1 gene:DRNTG_32516 transcript:DRNTG_32516.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRSAYRLPPAMPGALTRRQSEDLVHRTRSGRVRLYPVSHLNDLALANPPSNPSDRPLAGNVCCSESISVKDLRSHRVFSPASTSFDNSINDQICVAGKEVVGDVGDRSDGLEDGLIRSTAPDSEFSDKSSISKDGVGGAVRVSGEEGARRPLLRSRNQPNKRLGLVPCSRLKLYGNPNSFSYKRLLPFLMEMDNSNDVKPFIAPDYPIKNPPSHKENSFTEGKHEVQGIGESLNTQMSFNSNASERHVSENHNLRTLNVADHKPTRLSALIDERQGEINDMKPRATREGGSFELELMVRSFHLPPDRLQVLAIKELHGSAQALAGLTKKVEEDCQKVISYMDKIPLADTSSHGGRFPVGQNVLAPKHGILKSQAGGCRGPCPCLDCTTFLIHAEKAFEFSKKQMHGADDIIVGLTKELSHLRSLVEKSVVKVEDKKYNSGLQPNEMKEACRRALKAEETARSLLSQMFNDLNEHCRIPGRRVTFAECIEQNNQQ >DRNTG_32516.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001853.1:35212:35737:1 gene:DRNTG_32516 transcript:DRNTG_32516.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRSAYRLPPAMPGALTRRQSEDLVHRTRSGRVRLYPVSHLNDLALANPPSNPSDRPLAGNVCCSESISVKDLRSHRVFSPASTSFDNSINDQICVAGKEVVGDVGDRSDGLEDGLIRSTAPDSEFSDKSSISKDGVGGAVRVSGEEGARRPLLRSRNQPNKRL >DRNTG_32516.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001853.1:35212:38450:1 gene:DRNTG_32516 transcript:DRNTG_32516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRSAYRLPPAMPGALTRRQSEDLVHRTRSGRVRLYPVSHLNDLALANPPSNPSDRPLAGNVCCSESISVKDLRSHRVFSPASTSFDNSINDQICVAGKEVVGDVGDRSDGLEDGLIRSTAPDSEFSDKSSISKDGVGGAVRVSGEEGARRPLLRSRNQPNKRLGLVPCSRLKLYGNPNSFSYKRLLPFLMEMDNSNDVKPFIAPDYPIKNPPSHKENSFTEGKHEVQGIGESLNTQMSFNSNASERHVSENHNLRTLNVADHKPTRLSALIDERQGEINDMKPRATREGGSFELELMVRSFHLPPDRLQVLAIKELHGSAQALAGLTKKVEEDCQKVISYMDKIPLADTSSHGGRFPVGQNVLAPKHGILKSQAGGCRGPCPCLDCTTFLIHAEKAFEFSKKQMHGADDIIVGLTKELSHLRSLVEKSVVKVEDKKYNSGLQPNEEQMKEACRRALKAEETARSLLSQMFNDLNEHCRIPGRRVTFAECIEQNNQQ >DRNTG_01854.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21267329:21267679:-1 gene:DRNTG_01854 transcript:DRNTG_01854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTAQCMPRFTSSTNRQNRTPSPISLLERLRDVVFRLIMLTAISKGGRGHHERPQEHQHARTTHHPDSYRSQAVEDCIEFFKQSAASSDDDGVKMSDASGKEVVGVSLTSALPVM >DRNTG_06810.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7632473:7636410:1 gene:DRNTG_06810 transcript:DRNTG_06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSSSSGVSPSSVVDFLALCRNLKTTKRTGWVRRGVCGPESVADHMYRMGIMALIAPDIPGINRDRCVKMAIVHDIAEAIVGDITPFDGVSKSEKSRMEKEALDHMCKLLGGESRGEIYRQTCKTID >DRNTG_06810.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7632473:7636410:1 gene:DRNTG_06810 transcript:DRNTG_06810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSSSSGVSPSSVVDFLALCRNLKTTKRTGWVRRGVCGPESVADHMYRMGIMALIAPDIPGINRDRCVKMAIVHDIAEAIVGDITPFDGVSKSEKSRMEKEALDHMCKLLGGESRGEIYRQTCKTID >DRNTG_04047.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11705425:11706152:-1 gene:DRNTG_04047 transcript:DRNTG_04047.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRMLLPSQLQGDLQGRPTATSPHPSLSAPAKPSPPASPSAPAVP >DRNTG_03868.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3711262:3711973:-1 gene:DRNTG_03868 transcript:DRNTG_03868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRTPYSSQIPGCSTPDRASSTRTCSTPRRTLSTPRSQRRQERKRLEVMVSKTGWLSDGDENELGAMAANATKYNENLMKMVAEGKGMPTRSSQVLQVYVFALFNENLKPVPKSERNYRLFKPDGMPAYDLGIKPETENSTTSDSGSSCGGSSDDVDDGERENVSHYQNFKIFK >DRNTG_02243.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1079773:1083261:1 gene:DRNTG_02243 transcript:DRNTG_02243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSSRSLLRKLAKTWIYDLRARNISYEPLSWSQGSYATRMPPLFHHLPGLRLPPPLSDQMERFPTKITTLPNGFRIASEDSLGPSACVGLYVDSGSIYEKDESVGVTHLLEKMAFKSTRKRSHLQIVQEIEAAGGNVNASASRELMAYSYDTLKAYLPQAIELLLDSVINPAFLENEVQEQLIKVKGAIGEMSKDPQQFLIESLHHAAYSNALQNPLVAPEEALNRIDGSIIKKFYHENYTADRMVLAAFGVDHEQLLAIAKPILYDLPKGFPVEVPKSTYVGGDIRHKVDSEKTHVALAFEVPGGWHQERDATVLTLLQMLMGGGGSFSAGGPGKGMHSRLYLRVLNKYQEVQSFSAFSSVFNDTGLFGIHLTTGSDFVAKAVNVAASELLAVASPGQLTEVEVNRAKNATKSAVLTNLESRAIVTEDIGRQIVTYGCRKPVEHFLDILDELTPDDITLMAQKLLASPPTMACLGDVDRVPSYDSISNQFRTPY >DRNTG_02979.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23491901:23492407:1 gene:DRNTG_02979 transcript:DRNTG_02979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLTVNETKLKVDLTEYLEKHEFVFDAVLDEDVSNDEVYCKTVEPIVPAIFRRTKATCFAYGQT >DRNTG_07587.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22179795:22180709:-1 gene:DRNTG_07587 transcript:DRNTG_07587.3 gene_biotype:protein_coding transcript_biotype:protein_coding YVLKEDWNCQKSKSKKSKQSNRSKGQAVVIFYESNLVASVLNVLYPCLYTLFLFSPLFWFSQMNVVVQMCTKIDDCVSAKWK >DRNTG_07587.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22179386:22180709:-1 gene:DRNTG_07587 transcript:DRNTG_07587.1 gene_biotype:protein_coding transcript_biotype:protein_coding YVLKEDWNCQKSKSKKSKQSNRSKGQAVVIFYESNLVASVLNVLYPCLYTLFLFSPLFWFSQMNVVVQMCTKIDDCVSAKWK >DRNTG_07587.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22179795:22181048:-1 gene:DRNTG_07587 transcript:DRNTG_07587.2 gene_biotype:protein_coding transcript_biotype:protein_coding YVLKEDWNCQKSKSKKSKQSNRSKGQAVVIFYESNLVASVLNVLYPCLYTLFLFSPLFWFSQMNVVVQMCTKIDDCVSAKWK >DRNTG_13552.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:230797:231069:1 gene:DRNTG_13552 transcript:DRNTG_13552.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVSNEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTEDVITRFEALGWHTIWVKNGNHGYDEIRAAIKEAKAVKDRPTLIK >DRNTG_13552.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:230797:231294:1 gene:DRNTG_13552 transcript:DRNTG_13552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVSNEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTEDVITRFEALGWHTIWVKNGNHGYDEIRAAIKEAKAVKDRPTLIKVTTTIGFGSPNKANSYSVHGSAFGC >DRNTG_29766.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20382934:20383274:1 gene:DRNTG_29766 transcript:DRNTG_29766.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLTDIHRRFKKDNNSNNVNSSKTEMNIKNN >DRNTG_10342.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:12808321:12812848:-1 gene:DRNTG_10342 transcript:DRNTG_10342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAPLFGIDQLINYKKEILGLEVDVGRRLKPSNDSPVQSLENSQSKLFPWRPKAGFECISLSDLVMVRARAEFSHGVCFLAET >DRNTG_03571.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:7267633:7270414:1 gene:DRNTG_03571 transcript:DRNTG_03571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLEKALTKFIQSSDIRFQSVEATLCNHTASLHNLENQVGQIVKSLSEIPQGSLPSNTKTNRREHVKVINLRSGREVEAMRHSLNSDDKFTRNAPRLIGMCKNGGRVSAPISPAREDPQAHVEFSQGRVKHLEEFLGWTEKPQGRVGALVGQTQFPDLSELREVQLADDMADEVEKLLGVGSWRRLLSIQEPAIHILTLEVLASFEFDRSYCSFDSIDAISSELSDSTIA >DRNTG_18464.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5003999:5004863:-1 gene:DRNTG_18464 transcript:DRNTG_18464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEFTISPLRGRAFWPTNEEGPMLPPMVKIMPGRLAKKRRREPMESKNKRKTNLSREDRVMTCNICHSKGHNKGHCPQKSNVIDNTQVGPQVETTPPIHGASSSGKERIQTRTVATCGQMKTFRELRNRRMREKNAESWQQTSSTIDFPAFSTQNSTTEVANEQRPAV >DRNTG_08585.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9719939:9721307:1 gene:DRNTG_08585 transcript:DRNTG_08585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSVRQSAIMEAYKSTSFPKTMSIGDLGCSLGPNTLLVASDAIDAVEIVHKELNQPLPEIHIQLNDLPRNDFNGLINSLECFRKSHRCFISVVPGSFYGRLFPSHSLHFIHSSSSLNWLSQVPLDLQNEENIKINRGKICISKTSPPCVLEAYSKQFQRDFSLFLNCRGEELVPGGCMVLTLLSRTTSGNSDPSSLGIGLEWEILAQALVDMASEGVVDEKIDSCNAPFYVPSLEEMKHAIVTQGSFSIKSIESFEIILNGTKVEDTATTALKVSINAQQMANMIRAVTESILVSHFGEEIIDELFVRYSYLLEAYFSKNMFGSTTAVVFLKRNAPYINNLKQT >DRNTG_13772.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000637.1:36365:37378:-1 gene:DRNTG_13772 transcript:DRNTG_13772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQQQLSLSFWDQDSTSSSLNIGLKHEHLIRSLPSKFTESTEPVLTSLQLKTFVKHSNNNTKSSSKTLDISQSGGTRWNPTQEQIRALEALYKGGMRTPNANQIERITIELGKYGRIEGKNVFYWFQNHKARERQKQKRSALLSLSNSSTVSHPPPLMSLFIESRGEEEEEEDKKEWCDGNKKRRCRSWSEELEVDEDEGDGTLELFPLHPELGR >DRNTG_30840.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:592058:594940:1 gene:DRNTG_30840 transcript:DRNTG_30840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCLHLQPLCLLLMLILWPLFISASIVTHLPGFHGPLPFHLETGYVGVDEVQLFYYFIESEGNPVEDPLILWLTGGPGCSAFSGLVFEVGPLKFRSVEYNGSLPTLVYHPFSWTKGDLFFSFVDNFHDLFYSVKSFMIFIFQVSNVIFLDSPVGTGFSFSNTPEGYVDGDITSSNRVYKFLRKWLIDHRQFLSNPLYIAGDSYAGMVVPFITNLISQGIESGTQPPLNLKGYLIGNPGTGEAIDLNSRIPYAHNMGIISDELYESTIISCEGEDYESPTNTVCAEKLRVVNKFINEIHKPHILEPKCPRTSPNPRNMAGERRFLKDEHEELIAPPPVPPLKCRSYAYYLSYIWANTGAVRDALHIQKGTVPEWIRCNDYLQYAHDLPSSIKYQQKLTSQGYRALVYSGDHDMLVPHIGTQTWIRSLNYSIVDDWRSWFSSGQVAGYTRTYVHNLTFATIKGAGHTAPEYKPRESLDMIKRWLSYQPL >DRNTG_30840.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:592058:594940:1 gene:DRNTG_30840 transcript:DRNTG_30840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCLHLQPLCLLLMLILWPLFISASIVTHLPGFHGPLPFHLETGYVGVDEVQLFYYFIESEGNPVEDPLILWLTGGPGCSAFSGLVFEVGPLKFRSVEYNGSLPTLVYHPFSWTKVSNVIFLDSPVGTGFSFSNTPEGYVDGDITSSNRVYKFLRKWLIDHRQFLSNPLYIAGDSYAGMVVPFITNLISQGIESGTQPPLNLKGYLIGNPGTGEAIDLNSRIPYAHNMGIISDELYESTIISCEGEDYESPTNTVCAEKLRVVNKFINEIHKPHILEPKCPRTSPNPRNMAGERRFLKDEHEELIAPPPVPPLKCRSYAYYLSYIWANTGAVRDALHIQKGTVPEWIRCNDYLQYAHDLPSSIKYQQKLTSQGYRALVYSGDHDMLVPHIGTQTWIRSLNYSIVDDWRSWFSSGQVAGYTRTYVHNLTFATIKGAGHTAPEYKPRESLDMIKRWLSYQPL >DRNTG_15849.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25164306:25166625:-1 gene:DRNTG_15849 transcript:DRNTG_15849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRVRIHADPSPDLDEFDRLPDSLVLLILNKVADVRSLGRCAAVSRRFNMLVPLVHDIYVKIDRVVTVDGDSDEGLNPSSPKPRNLFSHFLKLMLFTLLKPFNHLRNTNGGNKPLFPQLSHHSPAQVLKNFTHVRNLRIELPAGDVGTEDGVLLKWRAEFGSTLQNCVILGGTRIDCNPTSDLEPSVEDNGSIPESFYTNGGLKLRVVWTISSMIAASTRHYLLHPVIKDHPTLRSLVLIDADGQGTLSMGVDQLKDFREKPLSASASSNRTQIPASSMKLRYAPYLELPGGMGVQGATLVVIKPSSEGSNGNNISRKDTDAFVFGAFDGPFNSAVKALMKRRTYLLEMNGF >DRNTG_25700.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30379130:30382874:1 gene:DRNTG_25700 transcript:DRNTG_25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKVRIGEGILRLISMVLATMTALVIGLSTQTKTVFFIEKKATAKDLQPL >DRNTG_30654.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2581097:2584282:1 gene:DRNTG_30654 transcript:DRNTG_30654.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYHGGSISMIEHRDRLEAKLGRKVSIVEAFKEVYQKDNGSWNEQGTQLAHDKFVKAHQDILAREGQGVEIDDDKLWWDIFRV >DRNTG_30654.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2582444:2584282:1 gene:DRNTG_30654 transcript:DRNTG_30654.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYHGGSISMIEHRDRLEAKLGRKVSIVEAFKEVYQKDNGSWNEQGQICQSSPRHIGSRRPRGRN >DRNTG_30654.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2579990:2584282:1 gene:DRNTG_30654 transcript:DRNTG_30654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYHGGSISMIEHRDRLEAKLGRKVSIVEAFKEVYQKDNGSWNEQGQICQSSPRHIGSRRPRGRN >DRNTG_07762.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19407617:19410438:-1 gene:DRNTG_07762 transcript:DRNTG_07762.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVVQYMKLLLLFGASHLLERMAFKSTTNRSHLRIVREVEAIGGNVSASASREQMGYTYDALKTYMPEMVEVLIDCVRNPAFLDWEVNEQLQKLKAEIGEAANNPQGLLLEALHSAGYSGALANPLVAPESAINRLNSNILEEFVAENYTASRIVLAASGVDHDELVSIAEPLLSDLPKVARVMEPMSVYVGGEYRCQADSGKTHLAIAFEVPGGWLNEKDAMTLTVLQMLMGGGGSFSAGGPGKGMHSRLYRRVLNEFQQIESYSAFNSIYNYTGIFWNSCNYGRDNPICFSYGIMLISTIFLVVC >DRNTG_07762.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19405625:19410438:-1 gene:DRNTG_07762 transcript:DRNTG_07762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVVQYMKLLLLFGASHLLERMAFKSTTNRSHLRIVREVEAIGGNVSASASREQMGYTYDALKTYMPEMVEVLIDCVRNPAFLDWEVNEQLQKLKAEIGEAANNPQGLLLEALHSAGYSGALANPLVAPESAINRLNSNILEEFVAENYTASRIVLAASGVDHDELVSIAEPLLSDLPKVARVMEPMSVYVGGEYRCQADSGKTHLAIAFEVPGGWLNEKDAMTLTVLQMLMGGGGSFSAGGPGKGMHSRLYRRVLNEFQQIESYSAFNSIYNYTGIFWNSCNYGSRFC >DRNTG_07762.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19405625:19406722:-1 gene:DRNTG_07762 transcript:DRNTG_07762.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFNQGPDFVNKAVDLAARELVAVATPGQVEQVELDRAKASTKSAVLMNLESRMVASEDIGRQILTYGERKPIEHFLKMLDEITLKDIASLAEKLISSPLTMASWGDVIHVPSYESVSGKFRSR >DRNTG_31212.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21656805:21660124:-1 gene:DRNTG_31212 transcript:DRNTG_31212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKDSEVGKQQHMTPNKPVMTVSTNAGKIYGRDREIEKLTKMLRQLSISGQISVYPVIGTGEVGKTTLVQCVFNSQDVANHFHEKAWICVSENFDRLRITREILESFSTYRGSRFSHYANLELLERMLEVNLASKKFLLVLDDVCSSEWMELLLFLESTEIDCVKIIVIARDQKLLKGMGKRYETILKGLKEDDCWWILMNDDDHPQKYSDELQTMGREIAMTLKGSPLAANMVGKILRQDRSEKHWKNVWDRNLRDSKFYYPDGIMPALTVWFSLLSSHLQLCFVFCSVFPKGYQFDVTELVYMWLAHGYLPRAENTSKTAEEVGCECFNELLAMSIFTPHPCLPSYIIHNQLHDLAHFVSSNECYIYEGQSRKKIPKNVHHLCVRGQLELSGVYEIKILRTLVLYKSELDVLVLEAVKNIRVLIIVDYDVQEFPNVVHQLKHLRYLDLRQTSIKSWPESFPELHHLKVLRLPDTDIFLHSSSNHTGLPFSRVNHGIVPDFIMHYDLKCPVNEDVGQWMHQLNDMRELRGIVTIRSLENIKKIEDVSNIAKLKERDQVECLLLVWSNSGSGCDSEVALQVLEALQPHHSLKYLYIDGYNGFQSPSWLSTLELQNLHEIKLCSCENLSRLPQIGQLLFLKYLYLSGMDHVLIEGSDNIQVVFPSLEKLELERVSVSFEGMSLSEATMQDRSFFPRLRYLRISKCSSLNGFPWSMLSSLKRLRIISSPRLNGQLPCISGLTSLRYLDITTDSKR >DRNTG_19535.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001004.1:29085:37117:1 gene:DRNTG_19535 transcript:DRNTG_19535.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFWIPYHSSNWSLCLEHQHERMKDKKKNKRTTKERVQERPTLLGGKQGPI >DRNTG_03495.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:760554:760754:1 gene:DRNTG_03495 transcript:DRNTG_03495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRARELGEMAKSAVMEDGSSYTELTRLINELLLLTGKLTQQ >DRNTG_04445.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10466708:10467934:1 gene:DRNTG_04445 transcript:DRNTG_04445.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVGSWRRLLTISELVYRSLTLKVLASFEFWLMHGRFDTMDAIQFRAFGHPFSMSITKFSIRMGLYDIAYTGTVEYGQLPADFPVSVTPHHAYRILCRHGESEPGLSMATSLSRSSYRIFSFYKQCADSLAVHIIRYSEVPGMMGLIRRYGLGVYILTTATSETARNEGVTAESSQQIPKPPAAQGPRAYDRIERLESIVREIQTEMAELRAIQLGQYTDLMARFDFLRDLLRSRPSVPLLPSSPTPAPVDPPCASSPAAVAAPEPKGDTDI >DRNTG_16466.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:11227205:11228458:-1 gene:DRNTG_16466 transcript:DRNTG_16466.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLTSLLHSPYHDQNKSNPSWGYAPQAYTENYPQFGSHHSTDTERHHFQGFLPGSIPLLPTPISHHSF >DRNTG_16466.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:11227205:11229624:-1 gene:DRNTG_16466 transcript:DRNTG_16466.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLTSLLHSPYHDQNKSNPSWGYAPQAYTENYPQFGSHHSTDTERHHFQGFLPGSIPLLPTPISHHSF >DRNTG_06265.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:36445:39730:1 gene:DRNTG_06265 transcript:DRNTG_06265.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSIVSTVVGKLGELLIKEVNFLQGVAEELTSLQSEFQWFQAFLKDADATIQGGNERAKTWVNQVRDVAYDAEDIIDTYIFKIHQHRRGSHGCLFSSLMTTYACHPSRLTILHNLGNEIVKVKRRAEEISANRSKYGIDSVGATTSSCSLTSNETRLPLSWKQTPVVEEVDVIGFDEDVKKLVQLLLVEDQGTQDTQRRRPVISIVGMGGLGKTTLAKKVFSNPTIKQHFACHAWVYVSQAYTDRELVESIAKQLMVVGEMRMNKLTDEELKKMVSDHLKEKKYLVVTDDVWTRRAWDNIKEMLPVEMVNGSKVLLTTRNREVALHADRQSPPFDLKLLGEEESWELFCKKAIPTKCSKNCPPKLETIGRQMVEKCGGLPLAIVVLGGLAMRKEQSEEEWRKLLKSVSWQLREGEDQISKILAHSYHHLPYYMKPCFLYFAMFPEDSLINAKDLMLKWIAEGFIESRGEETMEEVAEEYLEELVHRSLIQVAERNSLGGIDYCRIHDLLLDLAISEAKGTNFLLVTKTISNNNNEGNIITLQKTRRLALHDKHSLDIAQQYPTDSTRSLRTVTLFGSDPWNSNLVSLCDKFLTAIVRHFVLGGLLYDYVDHNHPGLFMSFQIPQILISMKLIRVIDLRNLQIIVPDAIGELIHLRYLNVRVVRSKPLPSSIGELTNLQTLQIRNIYFTTELPSEIWKSNLRHLKCYPCSIKGQPSVNNLANLQTLSSITAGKWLYKGLHKMTNLRNLSIGDINNSHGKALSDFLGKQNNLIELELMAKLPSYEHEIPTSILTASHHKHLRCVSLAAKLERLPDVNTQCLLTNLIKLALRSSFLVEDPLVTLGKLDNLQVLELWKDAFVGKEMICLEKGFPQLKRLEFGDLDSLEEWKIEDEAMPRLRKLKISVCEKLVMLPHGLGRITSLQELDVRFMPNAFNQRLKENDGEDWDKVRHVPSVKVRP >DRNTG_06265.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:108062:111323:1 gene:DRNTG_06265 transcript:DRNTG_06265.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLAKKVFSNPTIKQHFACHAWVYVSQAYTDRELVESIAKQLMVVDEVRMNKLKDDELKKMVYEHLKERKYLVVTDDVWTRRAWDNIKEVLPAEMLNGSKVLLTTRNREVALHADRQIPPFDLKLLGEEESWELFCKKAIPTKCSKHCPPKLETIGRQMVEKCGGLPLAIVVLGGLAMRKEQSEEEWRKLLKSVSWQLREGEDQISKILSLSYHNLPYYMKPCFLYFAMFPEDSLIDAEDLMLKWIAEGFIEARGEETMEEVAEEYLEELVHRSLIQVVVRNLWGVITACRIHDLLLDLAISEAKGTNFLLVTETNNNNNNNEGSIITLQKTRRLALHGDESWDIGQQYPTDSTRSLRTVTLFGSDVWRSNLVSLCDKFLTAIVRHFVLGGVLYDYVDHNHPGLFMSFQIPQILISMKLIRVIDLRNLEIIVPDAIGELIHLRYLNVRVVRSKPLPSSIGELTNLQTLEFGNKYSNIELPSEIWKSNLRHLKCVRWSFSIKGQPSVNSLSNLRTLSSIEAGKWLYKGLDKMTNLRNLSIGDINNSHGKPLIDFLGKLNNLIELKLMAKYPSYEHQIPTSILTASHHKHLRCVYLKAKLERLPDVNTQCLLTNLIKLILSNSFLVEDPLVTLGKLDNLQVLELYNDAFVGKEMVCLEKGFPQLKVLVFLNLESLEEWKIDDVAMPRLRKLVIWNCEKLVMLPHGLGRITSLQELEVRYMPVAFSQRLKENDGEDWDKVRHVPSVNIH >DRNTG_06265.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:63864:138470:1 gene:DRNTG_06265 transcript:DRNTG_06265.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLLSKEATRGAKTWVNQVRDVAYDAEDIIDTYIFKIHQHRRGSHGCLFSSLMTTYACHPSRLTILHDLGNEIVKVKRRAEEISANRSKYGIDSVGATTSSCSLTSNETRLPLSWKQTPVVEEVDVVGFDEDVKKLVQLLLVEDEGTQDTQRRRHVISIVGMGGLGKTTLAKKVFSNPTIKQHFACHAWVYVSQAYTDRELVESIAKQLMVVGEVRMNKLTDEELKKMVSDHLKERKYLVVTDDVWTRRAWDNIKEMLPAEMVNGSKVLLTTRNREVALHADRQIPPFDLKLLGEEESWELFCKKAIPTKCSKHCPPKLETIGRQMVEKCGGLPLAIVVLGGLAMRKEQSEEEWRKLLKSVSWQLREGEDQISKILSLSYHNLPYYMKPCFLYFAMFPEDSLIDAKALMLKWIAEGFIETRDEETMEEVAEEYLEELVRRSLIQVGARNPWGVITYCRIHDLLLDLAISEAKGTNFLLVTKTISNNNNERNIITLQKTRRLALHDKESLDIAQQYPTDSTRSLLTLFGSNPWNSNLDSLCDKFLTAIARHFCAWGVLYDYVDHNHPGLFMSFQIPQILISMKLIRVIDLHNLEIIVPDAIGELIHLRYLNVCVVRSKPLPSSVGELTNLQTLQIRNIYFTLELPSEIWKSNLRHLKCYSCSIKGQPSVNNLANLQTLSSIKAGKWLHKGLDKMTNLRNLSIDDINKSHGKPLSDFLGKLNNLIELELMAKSPSYEHEIPTSILTASHHKHLRCVSLEAKLERLPDVNTQCLLTNLIKLTLKFSFLVEDPLVTLGKLDNLQVLVLYNDAFVGREMVCLEKGFPQLKRLEFGDLDSLEEWKIEDEAMPRLRKLVIHRCGKLVMLPHGLGRITGLQELEVWHMPVAFTQRLKENDGEDWDKVRHVPSVKIY >DRNTG_06265.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:36445:111323:1 gene:DRNTG_06265 transcript:DRNTG_06265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSIVSTVVGKLGELLIKEVNFLQGVAEELTSLQSEFQWFQAFLKDADATIQGGNERAKTWVNQVRDVAYDAEDIIDTYIFKIHQHRRGSHGCLFSSLMTTYACHPSRLTILHDLGNEIVKVKRRAEEISANRSKYGIDSVGATTSSCSLTSNETRLPLSWKQTPVVEEVDVVGFDEDVKKLVQLLLVEDEGTQDTQRRRHVISIVGMGGLGKTTLAKKVFSNPTIKQHFACHAWVYVSQAYTDRELVESIAKQLMVVGEVRMNKLTDEELKKMVSDHLKERKYLVVTDDVWTRRAWDNIKEVLPAEMLNGSKVLLTTRNREVALHADRQIPPFDLKLLGEEESWELFCKKAIPTKCSKHCPPKLETIGRQMVEKCGGLPLAIVVLGGLAMRKEQSEEEWRKLLKSVSWQLREGEDQISKILSLSYHNLPYYMKPCFLYFAMFPEDSLIDAKALMLKWIAEGFIEARDEETMEEVAEEYLEELVRRSLIQVGARNPWGVITYCRIHDLLLDLAISEAKGTNFLLVTETNNNNNNNEGSIITLQKTRRLALHGDESWDIGQQYPTDSTRSLRTVTLFGSDVWRSNLVSLCDKFLTAIVRHFVLGGVLYDYVDHNHPGLFMSFQIPQILISMKLIRVIDLRNLEIIVPDAIGELIHLRYLNVRVVRSKPLPSSIGELTNLQTLEFGNKYSNIELPSEIWKSNLRHLKCVRWSFSIKGQPSVNSLSNLRTLSSIEAGKWLYKGLDKMTNLRNLSIGDINNSHGKPLIDFLGKLNNLIELKLMAKYPSYEHQIPTSILTASHHKHLRCVYLKAKLERLPDVNTQCLLTNLIKLILSNSFLVEDPLVTLGKLDNLQVLELYNDAFVGKEMVCLEKGFPQLKVLVFLNLESLEEWKIDDVAMPRLRKLVIWNCEKLVMLPHGLGRITSLQELEVRYMPVAFSQRLKENDGEDWDKVRHVPSVNIH >DRNTG_06265.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:108062:138470:1 gene:DRNTG_06265 transcript:DRNTG_06265.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLAKKVFSNPTIKQHFACHAWVYVSQAYTDRELVESIAKQLMVVDEVRMNKLKDDELKKMVYEHLKERKYLVVTDDVWTRRAWDNIKEVLPAEMLNGSKVLLTTRNREVALHADRQIPPFDLKLLGEEESWELFCKKAIPTKCSKHCPPKLETIGRQMVEKCGGLPLAIVVLGGLAMRKEQSEEEWRKLLKSVSWQLREGEDQISKILSLSYHNLPYYMKPCFLYFAMFPEDSLIDAEDLMLKWIAEGFIEARGEETMEEVAEEYLEELVHRSLIQVVVRNLWGVITACRIHDLLLDLAISEAKGTNFLLVTKTISNNNNERNIITLQKTRRLALHDKESLDIAQQYPTDSTRSLLTLFGSNPWNSNLDSLCDKFLTAIARHFCAWGVLYDYVDHNHPGLFMSFQIPQILISMKLIRVIDLHNLEIIVPDAIGELIHLRYLNVCVVRSKPLPSSVGELTNLQTLQIRNIYFTLELPSEIWKSNLRHLKCYSCSIKGQPSVNNLANLQTLSSIKAGKWLHKGLDKMTNLRNLSIDDINKSHGKPLSDFLGKLNNLIELELMAKSPSYEHEIPTSILTASHHKHLRCVSLEAKLERLPDVNTQCLLTNLIKLTLKFSFLVEDPLVTLGKLDNLQVLVLYNDAFVGREMVCLEKGFPQLKRLEFGDLDSLEEWKIEDEAMPRLRKLVIHRCGKLVMLPHGLGRITGLQELEVWHMPVAFTQRLKENDGEDWDKVRHVPSVKIY >DRNTG_06265.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:135530:138470:1 gene:DRNTG_06265 transcript:DRNTG_06265.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYACHPSRLTILHDLGNEIVKVKRRAEEISANRSKYGIDSVGATTSSCSLTSNETRLPLSWKQTPVVEEVDVVGFDEDVKKLVQLLLVEDEGTQDTQRRRPVISIVGMGGLGKTTLAKKVFSNPTIKQHFACHAWVYVSQAYTDRELVESIAKQLMVVGEVRMNKLTDEELKKMVSDHLKEKKYLVVTDDVWTRRAWDNIKEMLPAEMVNGSKVLLTTRNREVALHADRQIPPFDLKLLGEEESWELFCKKAIPTKCSKHCPPKLETIGRQMVEKCGGLPLAIVVLGGLAMRKEQSEEEWRKLLKSVSWQLREGEDQISKILSLSYHNLPYYMKPCFLYFAMFPEDSLIDAKALMLKWIAEGFIETRDEETMEEVAEEYLEELVRRSLIQVGARNPWGVITYCRIHDLLLDLAISEAKGTNFLLVTKTISNNNNERNIITLQKTRRLALHDKESLDIAQQYPTDSTRSLLTLFGSNPWNSNLDSLCDKFLTAIARHFCAWGVLYDYVDHNHPGLFMSFQIPQILISMKLIRVIDLHNLEIIVPDAIGELIHLRYLNVCVVRSKPLPSSVGELTNLQTLQIRNIYFTLELPSEIWKSNLRHLKCYSCSIKGQPSVNNLANLQTLSSIKAGKWLHKGLDKMTNLRNLSIDDINKSHGKPLSDFLGKLNNLIELELMAKSPSYEHEIPTSILTASHHKHLRCVSLEAKLERLPDVNTQCLLTNLIKLTLKFSFLVEDPLVTLGKLDNLQVLVLYNDAFVGREMVCLEKGFPQLKRLEFGDLDSLEEWKIEDEAMPRLRKLVIHRCGKLVMLPHGLGRITGLQELEVWHMPVAFTQRLKENDGEDWDKVRHVPSVKIY >DRNTG_06265.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:63864:66974:1 gene:DRNTG_06265 transcript:DRNTG_06265.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLLSKEATRGAKTWVNQVRDVAYDAEDIIDTYIFKIHQHRRGSHGCLFSSLMTTYACHPSRLTILHDLGNEIVKVKRRAEEISANRSKYGIDSVGATTSSCSLTSNETRLPLSWKQTPVVEEVDVVGFDEDVKKLVQLLLVEDEGTQDTQRRRHVISIVGMGGLGKTTLAKKVFSNPTIKQHFACHAWVYVSQAYTDRELVESIAKQLMVVGEVRMNKLTDEELKKMVSDHLKERKYLVVTDDVWTRRAWDNIKEVLPAEMLNGSKVLLTTRNREVALHADRQIPPFDLKLLGEEESWELFCKKAIPTKCSKHCPPKLETIGRQMVEKCGGLPLAIVVLGGLAMRKEQSEEEWRKLLKSVSWQLREGEDQISKILSLSYHNLPYYMKPCFLYFAMFPEDSLIDAKALMLKWIAEGFIEARDEETMEEVAEEYLEELVRRSLIQVGARNPWGVITYCRIHDLLLDLAISEAKGTNFLLVTKTISNNNNERNIITLQKTRRLALHDKESLDIAQQYPTDSTRSLLTLFGSNPWNSNLDSLCDKFLTAIARHFVLGGVLYDYVDHNHPGLFMSFQIPQILISMKLIRVIDLHNLEIIVPDAIGELIHLRYLNVCVVRSKPLPSSVGELTNLQTLQIRNIYFTLELPSEIWKSNLRHLKCYSCSIKGQPSVNNLANLQTLSSIKAGKWLYKGLDKMTNLRNLSIDDINKSHGKPLSDFLGKLNNLIELELMAKSPSYEHEIPTSILTASHHKHLRCVSLEAKLERLPDVNTQCLLTNLIKLTLKFSFLVEDPLVTLGKLDNLQVLVLYNDAFVGREMVCLEKGFPQLKRLEFGDLDSLEEWKIEDEAMPRLRKLVIHRCGKLVMLPHGLGRITSLQELEVWYMPVAFTQRLKENDGEDWDKVRHVPSVKIH >DRNTG_26140.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28337488:28340406:1 gene:DRNTG_26140 transcript:DRNTG_26140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPRCLADPSGDILQAWIEEMAYHVKFIDRKHLLGIGVEGFYGSSTQERLLTNPNTYASKVGTDFIRNHLALGIDFASAHIYPDSWLSSTVSDSYLQFVESWMEAHIEDAEKVLGMPIVFGEFGISSKDLKFNLTFRDEFIDRVYKTMLNSTRKGGSGGGSLIWQLFPEGTDYMDDGYAVVLSKSPKTCDIVSLQSWRLQNFNSRCSWRCHWGCRKRGHQDVFDYNGEL >DRNTG_26140.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28337488:28340406:1 gene:DRNTG_26140 transcript:DRNTG_26140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPRCLADPSGDILQAWIEEMAYHVKFIDRKHLLGIGVEGFYGSSTQERLLTNPNTYASKVGTDFIRNHLALGIDFASAHIYPDSWLSSTVSDSYLQFVESWMEAHIEDAEKVLGMPIVFGEFGISSKDLKFNLTFRDEFIDRVYKTMLNSTRKGGSGGGSLIWQLFPEGTDYMDDGYAVVLSKSPKTCDIVSLQSWRLQNFNSRCSWRCHWGCRKRGHQDVFDYNGEL >DRNTG_26140.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28337488:28340406:1 gene:DRNTG_26140 transcript:DRNTG_26140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKKGNQFIIGDWPFYVNGFNTYWLMIFAVDPSTRAKVTDVFQQASSAGLTVCRTWAFNDGGWRALQKSPSSYDEQVFQGLDFVINEAKKYKIRLILSLVNNWEAYGGKSQYVRWGKQAGLNLTSEDDFFSDPIIKGYYKAHVKNILTRVNTYNNVTYKDDPTIFAWELMNEPRCLADPSGDILQAWIEEMAYHVKFIDRKHLLGIGVEGFYGSSTQERLLTNPNTYASKVGTDFIRNHLALGIDFASAHIYPDSWLSSTVSDSYLQFVESWMEAHIEDAEKVLGMPIVFGEFGISSKDLKFNLTFRDEFIDRVYKTMLNSTRKGGSGGGSLIWQLFPEGTDYMDDGYAVVLSKSPKTCDIVSLQSWRLQNFNSRCSWRCHWGCRKRGHQDVFDYNGEL >DRNTG_26140.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28337488:28340406:1 gene:DRNTG_26140 transcript:DRNTG_26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKEKQLFQTMFLLATFIVCVIEISNAQIQRVSDDEWEMVEKKGNQFIIGDWPFYVNGFNTYWLMIFAVDPSTRAKVTDVFQQASSAGLTVCRTWAFNDGGWRALQKSPSSYDEQVFQGLDFVINEAKKYKIRLILSLVNNWEAYGGKSQYVRWGKQAGLNLTSEDDFFSDPIIKGYYKAHVKNILTRVNTYNNVTYKDDPTIFAWELMNEPRCLADPSGDILQAWIEEMAYHVKFIDRKHLLGIGVEGFYGSSTQERLLTNPNTYASKVGTDFIRNHLALGIDFASAHIYPDSWLSSTVSDSYLQFVESWMEAHIEDAEKVLGMPIVFGEFGISSKDLKFNLTFRDEFIDRVYKTMLNSTRKGGSGGGSLIWQLFPEGTDYMDDGYAVVLSKSPKTCDIVSLQSWRLQNFNSRCSWRCHWGCRKRGHQDVFDYNGEL >DRNTG_16559.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8401619:8410109:1 gene:DRNTG_16559 transcript:DRNTG_16559.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLNPAGARMHGGRVSEENSPSPSPSAMASSEEAAVEPEPPQMMGRVKREPVAKCLTCPLCHKLLRDATTISMCLHTFCRKCILEKLNEEDSCPICNIDLGCVPVEKLRPDHNLQDLRAKIFPYKRVKVEAPEVWSSVSIPVKRKERSLSSLVVTTPRIGSQTGLTGRRTKTSRKAAGLCRLSPVISATMKKPLETVADCKDNLILSETPAKMTFIRKKFRNSSQEESSNSAPTRNQGNHEVSLVDESELLKPLNCLVEAAQRKIALTYSTQGPVIKEEPVTGPDNMVHNYEGNTTVSLNDKNVTVSLPPVRRRARKSHGNNAKSGEPEISAQALVDTSATRNETRLTPLWFYLVASTNLGGSASLPQTSSPYLRIKDGNQPVSLIQKYLAHKLDLENEDEVEILCCGQQLNSALALNDLVVDIWPPTASSHRVQTHVGASAKDFVIYLSYRRKVPSS >DRNTG_16559.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8404019:8408835:1 gene:DRNTG_16559 transcript:DRNTG_16559.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPLETVADCKDNLILSETPAKMTFIRKKFRNSSQEESSNSAPTRNQGNHEVSLVDESELLKPLNCLVEAAQRKIALTYSTQGPVIKEEPVTGPDNMVHNYEGNTTVSLNDKNVTVSLPPVRRRARKSHGNNAKSGEPEISAQALVDTSATRNETRLTPLWFYLVASTNLGGSASLPQTSSPYLRIKDGNQPVSLIQKYLAHKLDLENEDEASSFALQKAIFITVLLFRTPKSAVLVK >DRNTG_15182.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6050601:6052850:-1 gene:DRNTG_15182 transcript:DRNTG_15182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKIGDVRGMYRKFKPHLLMVLTQLGYTFLYFITEASFNQGLNPHVYITYRHAVSGFVMLPFAYFLERKTRPRLTWALFVELFILSLLGLEKLDVKNPRGKAKVIGTLASLAGVTLMTLYKGKSFRSLWSAPIHMESNLIHKNWLKGSVLGVASCITWSMWYVMQAFTLKKYPAQLSLTTWMSFIGGAQSAVFTACVEHKPTAWIIGFDIKLWSILYGGIVCSGLIIFIQLWCTEEKGPVFVTVFNPLSTIMVALLAYFVLGERLYTGSLLGGIIVIISLYLFLWGKQNEERIPMRSSDISGFACENEKQVSQDMLRNCDEESVTAKSIPQA >DRNTG_15182.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6050601:6051877:-1 gene:DRNTG_15182 transcript:DRNTG_15182.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFTLKKYPAQLSLTTWMSFIGGAQSAVFTACVEHKPTAWIIGFDIKLWSILYGGIVCSGLIIFIQLWCTEEKGPVFVTVFNPLSTIMVALLAYFVLGERLYTGSLLGGIIVIISLYLFLWGKQNEERIPMRSSDISGFACENEKQVSQDMLRNCDEESVTAKSIPQA >DRNTG_24035.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26652495:26658258:-1 gene:DRNTG_24035 transcript:DRNTG_24035.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:UVH6 [Source:Projected from Arabidopsis thaliana (AT1G03190) UniProtKB/TrEMBL;Acc:A0A178W4P7] MKFQIEDVTVYFPYDHIYPEQYQYMLELKRALDARGHCLLEMPTGTGKTAALLSLITSYSLAHPSNPLKLLYCTRTVHEMEKTLAELRRLLPYLPPQTRLLALGLSSRKNLCVNPAALSSPSRDSVDSACRRLTASWVRSLAAERPPGAIETCSFFEAFDQAASGGPDAVMLPPGVYTLQDLRAIGREKGWCPYFLARQLIRYANVVVYSYQYLLDPKVAGVISREMQRECVVVFDEAHNIDNVCIEALSVSVRKQTLDGATRNLNRISQEIDRFKATDAGKLRAEYNRLVEGLAQRGNLPMTDSWLANPALPDDILKEAVPGNIRKAEHFLSVLRRLVQYLKGRLQTEHVETEVPVAFVASVNSHAGIDQKMLKFCYDRLHSLMLTLEITDTDDFLHIQTICDFATLVSTYTRGFSIIIEPFDERMPHIPDPVLQLSCHDASLAINPVFDRFQSVVITSGTLSPIDLYPRLLNFNPVISRSFTMSLTRECICPMVLTRGSDQLPVSTKFDMRSDPGVVRNYGRLLLEMVAAIPDGIVCFFVSYSYMDGIVNSWNEMGILQDVMQHKLVFIETQDVVETTLALDNYRKACDCGRGAVFFSVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSRILLARLEYLRDTFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPGWILSHLRDAHLNLSTDMALHIAREFLRRMAQPYDKTGGTGKKTLLSQEDLENLTDATLEMF >DRNTG_24035.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26652495:26658353:-1 gene:DRNTG_24035 transcript:DRNTG_24035.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UVH6 [Source:Projected from Arabidopsis thaliana (AT1G03190) UniProtKB/TrEMBL;Acc:A0A178W4P7] MKFQIEDVTVYFPYDHIYPEQYQYMLELKRALDARGHCLLEMPTGTGKTAALLSLITSYSLAHPSNPLKLLYCTRTVHEMEKTLAELRRLLPYLPPQTRLLALGLSSRKNLCVNPAALSSPSRDSVDSACRRLTASWVRSLAAERPPGAIETCSFFEAFDQAASGGPDAVMLPPGVYTLQDLRAIGREKGWCPYFLARQLIRYANVVVYSYQYLLDPKVAGVISREMQRECVVVFDEAHNIDNVCIEALSVSVRKQTLDGATRNLNRISQEIDRFKATDAGKLRAEYNRLVEGLAQRGNLPMTDSWLANPALPDDILKEAVPGNIRKAEHFLSVLRRLVQYLKGRLQTEHVETEVPVAFVASVNSHAGIDQKMLKFCYDRLHSLMLTLEITDTDDFLHIQTICDFATLVSTYTRGFSIIIEPFDERMPHIPDPVLQLSCHDASLAINPVFDRFQSVVITSGTLSPIDLYPRLLNFNPVISRSFTMSLTRECICPMVLTRGRYHLQER >DRNTG_24035.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26652495:26658258:-1 gene:DRNTG_24035 transcript:DRNTG_24035.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:UVH6 [Source:Projected from Arabidopsis thaliana (AT1G03190) UniProtKB/TrEMBL;Acc:A0A178W4P7] TDSWLANPALPDDILKEAVPGNIRKAEHFLSVLRRLVQYLKGRLQTEHVETEVPVAFVASVNSHAGIDQKMLKFCYDRLHSLMLTLEITDTDDFLHIQTICDFATLVSTYTRGFSIIIEPFDERMPHIPDPVLQLSCHDASLAINPVFDRFQSVVITSGTLSPIDLYPRLLNFNPVISRSFTMSLTRECICPMVLTRGSDQLPVSTKFDMRSDPGVVRNYGRLLLEMVAAIPDGIVCFFVSYSYMDGIVNSWNEMGILQDVMQHKLVFIETQDVVETTLALDNYRKACDCGRGAVFFSVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSRILLARLEYLRDTFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPGWILSHLRDAHLNLSTDMALHIAREFLRRMAQPYDKTGGTGKKTLLSQEDLENLTDATLEMF >DRNTG_07848.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12013:12676:-1 gene:DRNTG_07848 transcript:DRNTG_07848.2 gene_biotype:protein_coding transcript_biotype:protein_coding LFFAVCICLRRKSVRTAQRDELGSTSAESLLFDLPTIREATDNFSDENKLGKGGFGTVYKGTLRDGQEIAVKQLARISTQGFVELKNDVLLVAKLQHKNLVRLLGWCVENNEKILVYEYLQNASLDKFLFGMLHFAAAAAD >DRNTG_07848.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11352:12676:-1 gene:DRNTG_07848 transcript:DRNTG_07848.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFFAVCICLRRKSVRTAQRDELGSTSAESLLFDLPTIREATDNFSDENKLGKGGFGTVYKGTLRDGQEIAVKQLARISTQGFVELKNDVLLVAKLQHKNLVRLLGWCVENNEKILVYEYLQNASLDKFLFDPIKCQQLDWPRRYRIIEDIGRGLLYLHEDSRLRIIHRDLKPSNILLDEDMNPKIA >DRNTG_28645.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4144063:4147354:1 gene:DRNTG_28645 transcript:DRNTG_28645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMKQMKMKSYFTGTILLLIIVSPSVAIVTPSRPPFFYPFGDSLFDVGNNNYLQDPARKANFPFNGIDYPGNNSTGRFGNGYIGPDYVAMFMGYPQSPPPYLSIINENQTSRGINFASGGSGILNSTGEGTLSLATQVIYFQEAARNLSRRVSYGSAKKLLSKSIFYISSGSNDIFAYFFAFGPRNKTTNDQFIATLVNNFTLHLTFFFLCGLGFTDLTNVCCGIGRFNGQAPCTPISNLCSNCDNHYSWDLVHPTQLVARLVSNMSYYGSSHVFPMNIQQLVNA >DRNTG_26174.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29202270:29205397:-1 gene:DRNTG_26174 transcript:DRNTG_26174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVKVSCLAQTFALAKISNSRERKPRSRKTREERKVMVESFVKKYQNSNNGSFPSLNLTHKEVGGSFYTIREIVRELIQENRVLGPGSPTSKVLKLESGSEDDEVEPFLMDLPSDMCTSTIGHAIKQKQKQLLEEHHARTEISEPQETFSSHNEISFSEDRSNDTSNYVEENSFTDTHLNAIVADDIADPVEPSVETADILKNSENIEAEHPASPSVSAMSSLGAFLVNGNDGTSNLAKPPDTSLENDVPMIMGKDSLPENKIPEDSLPESKLATSSSIGSISNKISNKLLDPSVSIAVEVFPIPSSAKANNLNRRFIEMECAKEEEVPLPDVKDPVINGSGSTENQPINKEEPAIQMSPESGVFEPSASRTTKIEPKSLVTDNPSFSDEPISPQVNDAPQVQGSDISYSSRKSTEKDIRDSKPSEINPLWSAITAFVTAVFKFLS >DRNTG_26056.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17756268:17757910:-1 gene:DRNTG_26056 transcript:DRNTG_26056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLIVQTLKRKATTIIKTSSVSTSIDPSFTIYFLINSCGLSRSAAIAASSKLHLKTTKTPHSVLSLLRSYNFSKSHVSSLVSRRPKLLLSSPSSTLKPKLDFFLSLGISDIPSLISSSPSLLLWSLPNRMLPNLNLLQSLLGSRSAAAAAINKSHSLLTADLRKLLLPKVDTLQQNNVPMPVILKLISMDPRCLLESSTLFSDSITTLKSFGINPQSPIFAHALGVFDKLSERVWQRKLETYRSLGWSKEDVFAAFAKHPYCMSVSDEKITSHVEFLEGKLGWERSYLMANPVVISLSLEKRIVPRCAVLALLVSNKVFKLKNGVRARHLMMGQQRFLDKFVSRFQSDVPEVVDAIQGKVEFTGFREIQN >DRNTG_16457.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28664778:28676071:1 gene:DRNTG_16457 transcript:DRNTG_16457.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase B complex auxiliary subunit NAA25 [Source:Projected from Arabidopsis thaliana (AT5G58450) UniProtKB/Swiss-Prot;Acc:F4KEY9] MKGYNDCVRCPYLATIEIERRRRLNGKADDFKLMEVLLNYFNRFGHLSCFTSDVESSLHILTSDERNELLEAFGKSSESSSTISTKAIGSAITIFKIQELFDCASCFPVTDLETIAMKMVDMYCKSLYLSRELDPQENMHGEELLSTVCNVLVHLFWRTRCLSYLLVAILVLEFGSNIRRYVWQYKILLLHLYSHFGALPLSYEWYGTLDVKNILLETVSHHILPQMLRSPLWSETADLLKEYIKFMDDYFNEAADLTFLAYRHRNYSKVIEFVQFKERLQHSYQYLMARMDASILQMKQKTDKLEDVEFILENVKHGLQFLELSNEAELKLLAFNEDFQTRPWWSPTSSINYLLETFEEGTAHRREQKHQVDMRECVARKAIEWKSLLPRLVYLSIQVASSFLKENIELNGSVSDIDYTSEFKCLLERYARNLGLSFEDATEAIVGISEGKKSFKEYDLEMVHLINFAVFVNAFNLCTHHLEPGVGHQQSSWHIVNSLVEKCISEELMHAQPIFTSPGNNLPILVDLVTESISWHILVIQSCLRSMIPSGKKKKKPSATDQLNLPQLQPVHASIQCLTNSIQDVQTWLEGQVNKPEDESLDTLLSHLQSGDTEQGPYQICRILEETATESNPELGERISGALQCWNSANVIRKLVAAQHRSVTEFHCMCASKLRLLESFKQLI >DRNTG_16457.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28664778:28676071:1 gene:DRNTG_16457 transcript:DRNTG_16457.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase B complex auxiliary subunit NAA25 [Source:Projected from Arabidopsis thaliana (AT5G58450) UniProtKB/Swiss-Prot;Acc:F4KEY9] MKGYNDCVRCPYLATIEIERRRRLNGKADDFKLMEVLLNYFNRFGHLSCFTSDVESSLHILTSDERNELLEAFGKSSESSSTISTKAIGSAITIFKIQELFDCASCFPVTDLETIAMKMVDMYCKSLYLSRELDPQENMHGEELLSTVCNVLVHLFWRTRCLSYLLVAILVLEFGSNIRRYVWQYKILLLHLYSHFGALPLSYEWYGTLDVKNILLETVSHHILPQMLRSPLWSETADLLKEYIKFMDDYFNEAADLTFLAYRHRNYSKVIEFVQFKERLQHSYQYLMARMDASILQMKQKTDKLEDVEFILENVKHGLQFLELSNEAELKLLAFNEDFQTRPWWSPTSSINYLLETFEEGTAHRREQKHQVDMRECVARKAIEWKSLLPRLVYLSIQVASSFLKENIELNGSVSDIDYTSEFKCLLERYARNLGLSFEDATEAIVGISEGKKSFKEYDLEMVHLINFAVFVNAFNLCTHHLEPGVGHQQSSWHIVNSLVEKCISEELMHAQPIFTSPGNNLPILVDLVTESISWHILVIQSCLRSMIPSGKKKKKPSATDQLNLPQLQPVHASIQCLTNSIQDVQTWLEGQVNKPEDESLDTLLSHLQSGDTEQGPYQICRILEETATESNPELGERISGALQCWNSANVIRKLVAAQHRSVTEFHCMCASKLRLLESFKQLI >DRNTG_16457.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28664778:28676071:1 gene:DRNTG_16457 transcript:DRNTG_16457.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase B complex auxiliary subunit NAA25 [Source:Projected from Arabidopsis thaliana (AT5G58450) UniProtKB/Swiss-Prot;Acc:F4KEY9] MKGYNDCVRCPYLATIEIERRRRLNGKADDFKLMEVLLNYFNRFGHLSCFTSDVESSLHILTSDERNELLEAFGKSSESSSTISTKAIGSAITIFKIQELFDCASCFPVTDLETIAMKMVDMYCKSLYLSRELDPQENMHGEELLSTVCNVLVHLFWRTRCLSYLLVAILVLEFGSNIRRYVWQYKILLLHLYSHFGALPLSYEWYGTLDVKNILLETVSHHILPQMLRSPLWSETADLLKEYIKFMDDYFNEAADLTFLAYRHRNYSKVIEFVQFKERLQHSYQYLMARMDASILQMKQKTDKLEDVEFILENVKHGLQFLELSNEAELKLLAFNEDFQTRPWWSPTSSINYLLETFEEGTAHRREQKHQVDMRECVARKAIEWKSLLPRLVYLSIQVASSFLKENIELNGSVSDIDYTSEFKCLLERYARNLGLSFEDATEAIVGISEGKKSFKEYDLEMVHLINFAVFVNAFNLCTHHLEPGVGHQQSSWHIVNSLVEKCISEELMHAQPIFTSPGNNLPILVDLVTESISWHILVIQSCLRSMIPSGKKKKKPSATDQLNLPQLQPVHASIQCLTNSIQDVQTWLEGQVNKPEDESLDTLLSHLQSGDTEQGPYQICRILEETATESNPELGERISGALQCWNSANVIRKLVAAQHRSVTEFHCMCASKLRLLESFKQLI >DRNTG_16457.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28664778:28676071:1 gene:DRNTG_16457 transcript:DRNTG_16457.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase B complex auxiliary subunit NAA25 [Source:Projected from Arabidopsis thaliana (AT5G58450) UniProtKB/Swiss-Prot;Acc:F4KEY9] MKGYNDCVRCPYLATIEIERRRRLNGKADDFKLMEVLLNYFNRFGHLSCFTSDVESSLHILTSDERNELLEAFGKSSESSSTISTKAIGSAITIFKIQELFDCASCFPVTDLETIAMKMVDMYCKSLYLSRELDPQENMHGEELLSTVCNVLVHLFWRTRCLSYLLVAILVLEFGSNIRRYVWQYKILLLHLYSHFGALPLSYEWYGTLDVKNILLETVSHHILPQMLRSPLWSETADLLKEYIKFMDDYFNEAADLTFLAYRHRNYSKVIEFVQFKERLQHSYQYLMARMDASILQMKQKTDKLEDVEFILENVKHGLQFLELSNEAELKLLAFNEDFQTRPWWSPTSSINYLLETFEEGTAHRREQKHQVDMRECVARKAIEWKSLLPRLVYLSIQVASSFLKENIELNGSVSDIDYTSEFKCLLERYARNLGLSFEDATEAIVGISEGKKSFKEYDLEMVHLINFAVFVNAFNLCTHHLEPGVGHQQSSWHIVNSLVEKCISEELMHAQPIFTSPGNNLPILVDLVTESISWHILVIQSCLRSMIPSGKKKKKPSATDQLNLPQLQPVHASIQCLTNSIQDVQTWLEGQVNKPEDESLDTLLSHLQSGDTEQGPYQICRILEETATESNPELGERISGALQCWNSANVIRKLVAAQHRSVTEFHCMCASKLRLLESFKQLI >DRNTG_16457.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28664778:28676071:1 gene:DRNTG_16457 transcript:DRNTG_16457.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase B complex auxiliary subunit NAA25 [Source:Projected from Arabidopsis thaliana (AT5G58450) UniProtKB/Swiss-Prot;Acc:F4KEY9] MKGYNDCVRCPYLATIEIERRRRLNGKADDFKLMEVLLNYFNRFGHLSCFTSDVESSLHILTSDERNELLEAFGKSSESSSTISTKAIGSAITIFKIQELFDCASCFPVTDLETIAMKMVDMYCKSLYLSRELDPQENMHGEELLSTVCNVLVHLFWRTRCLSYLLVAILVLEFGSNIRRYVWQYKILLLHLYSHFGALPLSYEWYGTLDVKNILLETVSHHILPQMLRSPLWSETADLLKEYIKFMDDYFNEAADLTFLAYRHRNYSKVIEFVQFKERLQHSYQYLMARMDASILQMKQKTDKLEDVEFILENVKHGLQFLELSNEAELKLLAFNEDFQTRPWWSPTSSINYLLETFEEGTAHRREQKHQVDMRECVARKAIEWKSLLPRLVYLSIQVASSFLKENIELNGSVSDIDYTSEFKCLLERYARNLGLSFEDATEAIVGISEGKKSFKEYDLEMVHLINFAVFVNAFNLCTHHLEPGVGHQQSSWHIVNSLVEKCISEELMHAQPIFTSPGNNLPILVDLVTESISWHILVIQSCLRSMIPSGKKKKKPSATDQLNLPQLQPVHASIQCLTNSIQDVQTWLEGQVNKPEDESLDTLLSHLQSGDTEQGPYQICRILEETATESNPELGERISGALQCWNSANVIRKLVAAQHRSVTEFHCMCASKLRLLESFKQLI >DRNTG_16457.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28664778:28676071:1 gene:DRNTG_16457 transcript:DRNTG_16457.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase B complex auxiliary subunit NAA25 [Source:Projected from Arabidopsis thaliana (AT5G58450) UniProtKB/Swiss-Prot;Acc:F4KEY9] MKGYNDCVRCPYLATIEIERRRRLNGKADDFKLMEVLLNYFNRFGHLSCFTSDVESSLHILTSDERNELLEAFGKSSESSSTISTKAIGSAITIFKIQELFDCASCFPVTDLETIAMKMVDMYCKSLYLSRELDPQENMHGEELLSTVCNVLVHLFWRTRCLSYLLVAILVLEFGSNIRRYVWQYKILLLHLYSHFGALPLSYEWYGTLDVKNILLETVSHHILPQMLRSPLWSETADLLKEYIKFMDDYFNEAADLTFLAYRHRNYSKVIEFVQFKERLQHSYQYLMARMDASILQMKQKTDKLEDVEFILENVKHGLQFLELSNEAELKLLAFNEDFQTRPWWSPTSSINYLLETFEEGTAHRREQKHQVDMRECVARKAIEWKSLLPRLVYLSIQVASSFLKENIELNGSVSDIDYTSEFKCLLERYARNLGLSFEDATEAIVGISEGKKSFKEYDLEMVHLINFAVFVNAFNLCTHHLEPGVGHQQSSWHIVNSLVEKCISEELMHAQPIFTSPGNNLPILVDLVTESISWHILVIQSCLRSMIPSGKKKKKPSATDQLNLPQLQPVHASIQCLTNSIQDVQTWLEGQVNKPEDESLDTLLSHLQSGDTEQGPYQICRILEETATESNPELGERISGALQCWNSANVIRKLVAAQHRSVTEFHCMCASKLRLLESFKQLI >DRNTG_16457.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28664778:28676071:1 gene:DRNTG_16457 transcript:DRNTG_16457.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase B complex auxiliary subunit NAA25 [Source:Projected from Arabidopsis thaliana (AT5G58450) UniProtKB/Swiss-Prot;Acc:F4KEY9] MKGYNDCVRCPYLATIEIERRRRLNGKADDFKLMEVLLNYFNRFGHLSCFTSDVESSLHILTSDERNELLEAFGKSSESSSTISTKAIGSAITIFKIQELFDCASCFPVTDLETIAMKMVDMYCKSLYLSRELDPQENMHGEELLSTVCNVLVHLFWRTRCLSYLLVAILVLEFGSNIRRYVWQYKILLLHLYSHFGALPLSYEWYGTLDVKNILLETVSHHILPQMLRSPLWSETADLLKEYIKFMDDYFNEAADLTFLAYRHRNYSKVIEFVQFKERLQHSYQYLMARMDASILQMKQKTDKLEDVEFILENVKHGLQFLELSNEAELKLLAFNEDFQTRPWWSPTSSINYLLETFEEGTAHRREQKHQVDMRECVARKAIEWKSLLPRLVYLSIQVASSFLKENIELNGSVSDIDYTSEFKCLLERYARNLGLSFEDATEAIVGISEGKKSFKEYDLEMVHLINFAVFVNAFNLCTHHLEPGVGHQQSSWHIVNSLVEKCISEELMHAQPIFTSPGNNLPILVDLVTESISWHILVIQSCLRSMIPSGKKKKKPSATDQLNLPQLQPVHASIQCLTNSIQDVQTWLEGQVNKPEDESLDTLLSHLQSGDTEQGPYQICRILEETATESNPELGERISGALQCWNSANVIRKLVAAQHRSVTEFHCMCASKLRLLESFKQLI >DRNTG_16457.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28669464:28676268:1 gene:DRNTG_16457 transcript:DRNTG_16457.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase B complex auxiliary subunit NAA25 [Source:Projected from Arabidopsis thaliana (AT5G58450) UniProtKB/Swiss-Prot;Acc:F4KEY9] MFYIFDLLLYFFFPDLETIAMKMVDMYCKSLYLSRELDPQENMHGEELLSTVCNVLVHLFWRTRCLSYLLVAILVLEFGSNIRRYVWQYKILLLHLYSHFGALPLSYEWYGTLDVKNILLETVSHHILPQMLRSPLWSETADLLKEYIKFMDDYFNEAADLTFLAYRHRNYSKVIEFVQFKERLQHSYQYLMARMDASILQMKQKTDKLEDVEFILENVKHGLQFLELSNEAELKLLAFNEDFQTRPWWSPTSSINYLLETFEEGTAHRREQKHQVDMRECVARKAIEWKSLLPRLVYLSIQVASSFLKENIELNGSVSDIDYTSEFKCLLERYARNLGLSFEDATEAIVGISEGKKSFKEYDLEMVHLINFAVFVNAFNLCTHHLEPGVGHQQSSWHIVNSLVEKCISEELMHAQPIFTSPGNNLPILVDLVTESISWHILVIQSCLRSMIPSGKKKKKPSATDQLNLPQLQPVHASIQCLTNSIQDVQTWLEGQVNKPEDESLDTLLSHLQSGDTEQGPYQICRILEETATESNPELGERISGALQCWNSANVIRKLVAAQHRSVTEFHCMCASKLRLLESFKQLI >DRNTG_01072.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8090399:8092045:1 gene:DRNTG_01072 transcript:DRNTG_01072.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVNHTLFVPPFLRYGKYCGVLYTGCPGEEPCDGLDECCKVHDACIQDNQNDYLNKECNENLLNCISEFRDSGAKTFQGNKCKPEEVVDEISLIIQVALLAGRATDNP >DRNTG_01072.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8090399:8092045:1 gene:DRNTG_01072 transcript:DRNTG_01072.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWNIKLLLLFILSFQLQSIFTVKGLNIGLHFDHSAVSMHCSRRCESEHCLLPPFLRYGKYCGVLYTGCPGEEPCDGLDECCKVHDACIQDNQNDYLNKECNENLLNCISEFRDSGAKTFQGNKCKPEEVVDEISLIIQVALLAGRATDNP >DRNTG_01503.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000082.1:7863:8802:-1 gene:DRNTG_01503 transcript:DRNTG_01503.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEAKVGQIPSPNRHCPSDHPMRGLSLRMTKNSSRGSDRVSVSTDGTVDQQTCTVGQRIGQAERGLLAQLWAGWHIGAWSVPSAFTERNARVHKKRTLSEFFLLVVGWFLSILGGVEILALLSGSWCNLILGRRLARRLGGVTSAAR >DRNTG_25191.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5787580:5788170:-1 gene:DRNTG_25191 transcript:DRNTG_25191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVETEQIMVKANEKLAECETVDEDMQMVETKILTQVIVKERYGTSTASSAQSSEFVERFNQMEQQMQHLKEEREQECAQHEQACAQECARYNALLGFLQTRFPGVIIPGVNTAGSTSQS >DRNTG_00860.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7091515:7092932:1 gene:DRNTG_00860 transcript:DRNTG_00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAGVEPCGATLSSVLSACARVGGLELGKRIHCFIEEKGIDLGVILATALVDMYAKNGEVLFARKLFDEMPERNIATWNAMICGLAHHGYATDALELFLELDKGDIAPNDVSFVGVLSACCHAGLVEDGRRFFHSMKVKYGVEPKLEHYGCMVDLLGRSGRLAEAEELIKGMKWRADVVILGALLTASRNHGNVEIAERVVKEMLKLDPRNHGVYVVLSNIYAEAGRWEDVMRLRKVMRHERLNKIPGASYVK >DRNTG_00895.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21698821:21708020:-1 gene:DRNTG_00895 transcript:DRNTG_00895.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase kappa [Source:Projected from Arabidopsis thaliana (AT1G49980) UniProtKB/Swiss-Prot;Acc:Q6JDV7] MTFISSLPIRKVGGIGKVTEHLLRDVLGINTCEQMLQKSAYLCALFSEHSTDFFLSIGLGLGGTDTPQHKLRKSMSCERTFSATEDESALFQKLADIAEALSNDMHEEGLCGRTLTLKLKTSSFEVRTRATSLQTYIHSKEDILAHASKLLKAELPLSLRLMGLRMSQFYDVKPGRHDPMQKTLKNFIIKDASQSTSCSSYSSDQHLRNGANIDPSAAEESCLSKFESGHLMAVCEPEDYQQSNQIAPVKVCRPGNDASGIKDNADECVPQVHADNEGRSNPEESLTNQNGKMLWWIDDYICSVCGAELPQCFIEERQEHSDYHLAEMLQKEESLNNRRGLLQRERPVVSLVGKISPVGDVARKKQKTSKGKFIPIDAFFNRYKKD >DRNTG_00895.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21698821:21708020:-1 gene:DRNTG_00895 transcript:DRNTG_00895.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase kappa [Source:Projected from Arabidopsis thaliana (AT1G49980) UniProtKB/Swiss-Prot;Acc:Q6JDV7] MATSSSGGGNGDGDRPWQSYHTVYTNAKAGMDGVDKERVQKIVYEMSKGSKYFENEKRKEATINQKIEDLRAQCVLLTDEQISFYQTVADKRIIDLEASRDLSKTWLHSDMDAFYAAVETLENPSLKGKPLAVGSMSMLCTANYEARKFGVRAAMPGFIARKLCPDLIVVPPNFQKYTYYSELTRKVFSNYDADFIATSLDEAYVNITDICNDKSVSSEQIASELRNTIYEQTGLTCSVGVAPNRLLAKVCSDINKPNGQFILPNDYKAIMTFISSLPIRKVGGIGKVTEHLLRDVLGINTCEQMLQKSAYLCALFSEHSTDFFLSIGLGLGGTDTPQHKLRKSMSCERTFSATEDESALFQKLADIAEALSNDMHEEGLCGRTLTLKLKTSSFEVRTRATSLQTYIHSKEDILAHASKLLKAELPLSLRLMGLRMSQFYDVKPGRHDPMQKTLKNFIIKDASQSTSCSSYSSDQHLRNGANIDPSAAEESCLSKFESGHLMAVCEPEDYQQSNQIAPVKVCRPGNDASGIKDNADECVPQVHADNEGRSNPEESLTNQNGKMLWWIDDYICSVCGAELPQCFIEERQEHSDYHLAEMLQKEESLNNRRGLLQRERPVVSLVGKISPVGDVARKKQKTSKGKFIPIDAFFNRYKKD >DRNTG_11212.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2352650:2356703:-1 gene:DRNTG_11212 transcript:DRNTG_11212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEISEIKKVGIGLIGCSIFFSILGVILFFDRGLLALGNIFFLSGVAILLGWQSTLQLFTQKRNFKGSLCFLVGLFLIFVRWPIVGIISEIYGLAVLFGGFWPSTKVFLFQIPVLGWLLQYPFLFLDQLRRLIG >DRNTG_10795.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000463.1:12037:16820:-1 gene:DRNTG_10795 transcript:DRNTG_10795.7 gene_biotype:protein_coding transcript_biotype:protein_coding DEELAFNGIQVEETLEIEPVLHQSTTTDDFEVLQSLSEIRLVGEDDDPQGLDADEHTNLVNADLPQCRICLDTEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFAHCTECRAVFILRANVPPDRWWLRLKFQFLVVRDHALIFIIVQLVVAFLGMLVYRFYGEELREMFGYEQHPYGFYSMAILAVVLVGLLYGFFIAIICGQRINERHYHVLAKQELTKEYVVENRDDNKDPPQLGPSHVTELRMLGLY >DRNTG_10795.11 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000463.1:12037:16820:-1 gene:DRNTG_10795 transcript:DRNTG_10795.11 gene_biotype:protein_coding transcript_biotype:protein_coding MYGYDWMFFAMQLAFNGIQVEETLEIEPVLHQSTTTDDFEVLQSLSEIRLVGEDDDPQGLDADEHTNLVNADLPQCRICLDTEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKKAALLPLRLFVVRKVLHLPIVQSAGLCSYCAQMCHLIAGG >DRNTG_10795.9 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000463.1:12037:16820:-1 gene:DRNTG_10795 transcript:DRNTG_10795.9 gene_biotype:protein_coding transcript_biotype:protein_coding DEELAFNGIQVEETLEIEPVLHQSTTTDDFEVLQSLSEIRLVGEDDDPQGLDADEHTNLVNADLPQCRICLDTEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKV >DRNTG_10795.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000463.1:12037:16820:-1 gene:DRNTG_10795 transcript:DRNTG_10795.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYGYDWMFFAMQLAFNGIQVEETLEIEPVLHQSTTTDDFEVLQSLSEIRLVGEDDDPQGLDADEHTNLVNADLPQCRICLDTEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKV >DRNTG_10795.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000463.1:12037:16820:-1 gene:DRNTG_10795 transcript:DRNTG_10795.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGYDWMFFAMQLAFNGIQVEETLEIEPVLHQSTTTDDFEVLQSLSEIRLVGEDDDPQGLDADEHTNLVNADLPQCRICLDTEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFAHCTECRAVFILRANVPPDRWWLRLKFQFLVVRDHALIFIIVQLVVAFLGMLVYRFYGEELREMFGYEQHPYGFYSMAILAVVLVGLLYGFFIAIICGQRINERHYHVLAKQELTKEYVVENRDDNKDPPQLGPSHVTELRMLGLY >DRNTG_10795.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000463.1:11959:16820:-1 gene:DRNTG_10795 transcript:DRNTG_10795.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGYDWMFFAMQLAFNGIQVEETLEIEPVLHQSTTTDDFEVLQSLSEIRLVGEDDDPQGLDADEHTNLVNADLPQCRICLDTEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKVLHLPIVQSAGLCSYCAQMCHLIAGG >DRNTG_10795.10 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000463.1:12037:16820:-1 gene:DRNTG_10795 transcript:DRNTG_10795.10 gene_biotype:protein_coding transcript_biotype:protein_coding MYGYDWMFFAMQLAFNGIQVEETLEIEPVLHQSTTTDDFEVLQSLSEIRLVGEDDDPQGLDADEHTNLVNADLPQCRICLDTEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKVLHLPIVQSAGLCSYCAQMCHLIAGG >DRNTG_10795.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000463.1:12037:16820:-1 gene:DRNTG_10795 transcript:DRNTG_10795.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYGYDWMFFAMQLAFNGIQVEETLEIEPVLHQSTTTDDFEVLQSLSEIRLVGEDDDPQGLDADEHTNLVNADLPQCRICLDTEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKV >DRNTG_10795.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000463.1:12037:16820:-1 gene:DRNTG_10795 transcript:DRNTG_10795.6 gene_biotype:protein_coding transcript_biotype:protein_coding DEELAFNGIQVEETLEIEPVLHQSTTTDDFEVLQSLSEIRLVGEDDDPQGLDADEHTNLVNADLPQCRICLDTEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFAHCTECRAVFILRANVPPDRWWLRLKFQFLVVRDHALIFIIVQLVRHI >DRNTG_10795.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000463.1:12037:16820:-1 gene:DRNTG_10795 transcript:DRNTG_10795.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYGYDWMFFAMQLAFNGIQVEETLEIEPVLHQSTTTDDFEVLQSLSEIRLVGEDDDPQGLDADEHTNLVNADLPQCRICLDTEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFAHCTECRAVFILRANVPPDRWWLRLKFQFLVVRDHALIFIIVQLVRHI >DRNTG_10795.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000463.1:12037:16820:-1 gene:DRNTG_10795 transcript:DRNTG_10795.8 gene_biotype:protein_coding transcript_biotype:protein_coding DEELAFNGIQVEETLEIEPVLHQSTTTDDFEVLQSLSEIRLVGEDDDPQGLDADEHTNLVNADLPQCRICLDTEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKV >DRNTG_05311.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12852455:12854364:1 gene:DRNTG_05311 transcript:DRNTG_05311.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWRVLYSSSKDSFVQYRIRLDRSSPTNLLPNGITIVAFDEANSLGVVFQRVVKLARPSAKVPWPCP >DRNTG_12482.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9159888:9161819:-1 gene:DRNTG_12482 transcript:DRNTG_12482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLEADLAKVHAIQAANHTEVMARLDILHQLLERDVTSLVVLRPHKPQASPAPPSPVPIALIDPPASVSSLEAVEEQTADDTDT >DRNTG_00223.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15575154:15579366:-1 gene:DRNTG_00223 transcript:DRNTG_00223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNQGVSRINTASQIFSGSGASSPQQTAIYNQVQRNMGKDGIKLMNNTEGAQGEKQKGMPNKRLTKEMDNVLIPLLVDMVRSGLKVDKSFKHQAFVEAANVMNSRLPAACMDADNVENHMCTLKQKYQDIKKLMNLSGVGCNDKEKKLVLENETYRTYVEGQPKVKEYLNRPIPFFDELCLVAGDDHATGDHARTIFDQFGGTPGEDESTPPPNTPLDGEPMDTGNQRHEALRSSRNKTIARATHRTRTNGENGLGENIGEKIGELAASIDRSRKSTWKEKLTDVLWDMEGYNDDDMEMVFNKLIDNKKKKGRELLLEEAISPEKMDR >DRNTG_33525.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20587371:20596361:1 gene:DRNTG_33525 transcript:DRNTG_33525.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKLDETLDEVALHMDQEILLEIKPDELWPSSSEIVSTGNELALVPIEPSRTSVAIAGGRALSNGHAAGFGSYLLQGNSYSSSLSDIENVESISSNGGKVDGIGLTGLHNLGNTCFMNSAIQCLVHTPPLVEFFLQDYSEEINEQNPLGMQGELAIAFGELLRKLWRSGRSSVAPREFKGKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKSKPYIEAKDANGHPDGEFADECWGNHKARNDSVIVDICQGQYKSTLVCPICNKVSVTFDPFMYLSLPLPSTITRQMTITVFSSDGSALPMPYTVTVSKNGRCKDLIQALSTACCLRSSESLLVAEVKFLLFFCSLVFHLYSTLKSLSTCALQVHDHRIYRYMENMLESLSTIKDEDHIVAYRLPSNHREIPQIQIFHRSVEKCSTQFRYNSHQELLGAPLVMCLTENYNSGADVHAAVRAALTPLLRSKTQCLHHQAKSSKDNDYRPSLDGVTLTDNSAVSNGSDTSPTAMEIDSISNGFSTFQLVLTNDSGVNGLTIDDDKDFLVEGKSIKVYLDWSHREHDLYDSSYLDHLPGVYNSGNQKTGQEAVSLFSCMDAFLKEEPLGPDDMWYCPTCKEHRQATKKLDLWRLPEILVVHLKRFSYSRYMKNKLDTFVNFPVHNLDLNKYLKHKPCTTESYVYELYAISNHYGGLGGGHYSAYAKLIGEDSWYHFDDSHVSPVNEDSIKTSAAYVLFYQRSK >DRNTG_33525.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20587371:20596361:1 gene:DRNTG_33525 transcript:DRNTG_33525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPSSAGFFPASSCLPCTPEEEKEIILELIKASDANLKEGDVFYLISSRWWRSWREYVRLDEYDGLSSSSEQPEGYIPHRPGEIDNMVLILNVMNRDGGISDIQRNLLEGTDYDLVPEEVWKKLLEWYNGGPEIPRKLISDGLNGKNFVVEVYPLCLCLVDGRDNSQRGIRISRKATVGKLYEIVCSVLKLDLSKVIIWDYYNKNKGSMIIKLDETLDEVALHMDQEILLEIKPDELWPSSSEIVSTGNELALVPIEPSRTSVAIAGGRALSNGHAAGFGSYLLQGNSYSSSLSDIENVESISSNGGKVDGIGLTGLHNLGNTCFMNSAIQCLVHTPPLVEFFLQDYSEEINEQNPLGMQGELAIAFGELLRKLWRSGRSSVAPREFKGKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKSKPYIEAKDANGHPDGEFADECWGNHKARNDSVIVDICQGQYKSTLVCPICNKVSVTFDPFMYLSLPLPSTITRQMTITVFSSDGSALPMPYTVTVSKNGRCKDLIQALSTACCLRSSESLLVAEVHDHRIYRYMENMLESLSTIKDEDHIVAYRLPSNHREIPQIQIFHRSVEKCSTQFRYNSHQELLGAPLVMCLTENYNSGADVHAAVRAALTPLLRSKTQCLHHQAKSSKDNDYRPSLDGVTLTDNSAVSNGSDTSPTAMEIDSISNGFSTFQLVLTNDSGVNGLTIDDDKDFLVEGKSIKVYLDWSHREHDLYDSSYLDHLPGVYNSGNQKTGQEAVSLFSCMDAFLKEEPLGPDDMWYCPTCKEHRQATKKLDLWRLPEILVVHLKRFSYSRYMKNKLDTFVNFPVHNLDLNKYLKHKPCTTESYVYELYAISNHYGGLGGGHYSAYAKLIGEDSWYHFDDSHVSPVNEDSIKTSAAYVLFYQRSK >DRNTG_33525.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20587371:20589009:1 gene:DRNTG_33525 transcript:DRNTG_33525.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPSSAGFFPASSCLPCTPEEEKEIILELIKASDANLKEGDVFYLISSRWWRSWREYVRLDEYDGLSSSSEQPEGYIPHRPGEIDNMVLILNVMNRDGGISDIQRNLLEGTDYDLVPEEVWKKLLEWYNGGPEIPRKLISDGLNGKNFVVEVYPLCLCLVDGRDNSQRGIRISRKVLPSYNFFSL >DRNTG_33525.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20587371:20596361:1 gene:DRNTG_33525 transcript:DRNTG_33525.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKLDETLDEVALHMDQEILLEIKPDELWPSSSEIVSTGNELALVPIEPSRTSVAIAGGRALSNGHAAGFGSYLLQGNSYSSSLSDIENVESISSNGGKVDGIGLTGLHNLGNTCFMNSAIQCLVHTPPLVEFFLQDYSEEINEQNPLGMQGELAIAFGELLRKLWRSGRSSVAPREFKGKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKSKPYIEAKDANGHPDGEFADECWGNHKARNDSVIVDICQGQYKSTLVCPICNKVSVTFDPFMYLSLPLPSTITRQMTITVFSSDGSALPMPYTVTVSKNGRCKDLIQALSTACCLRSSESLLVAEVHDHRIYRYMENMLESLSTIKDEDHIVAYRLPSNHREIPQIQIFHRSVEKCSTQFRYNSHQELLGAPLVMCLTENYNSGADVHAAVRAALTPLLRSKTQCLHHQAKSSKDNDYRPSLDGVTLTDNSAVSNGSDTSPTAMEIDSISNGFSTFQLVLTNDSGVNGLTIDDDKDFLVEGKSIKVYLDWSHREHDLYDSSYLDHLPGVYNSGNQKTGQEAVSLFSCMDAFLKEEPLGPDDMWYCPTCKEHRQATKKLDLWRLPEILVVHLKRFSYSRYMKNKLDTFVNFPVHNLDLNKYLKHKPCTTESYVYELYAISNHYGGLGGGHYSAYAKLIGEDSWYHFDDSHVSPVNEDSIKTSAAYVLFYQRSK >DRNTG_33525.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20587371:20589899:1 gene:DRNTG_33525 transcript:DRNTG_33525.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPSSAGFFPASSCLPCTPEEEKEIILELIKASDANLKEGDVFYLISSRWWRSWREYVRLDEYDGLSSSSEQPEGYIPHRPGEIDNMVLILNVMNRDGGISDIQRNLLEGTDYDLVPEEVWKKLLEWYNGGPEIPRKLISDGLNGKNFVVEVYPLCLCLVDGRDNSQRGIRISRKATVGKLYEIVCSVLKLDLSKACLTIFELRSFLI >DRNTG_34148.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:31067327:31067907:-1 gene:DRNTG_34148 transcript:DRNTG_34148.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQTTLTVLQALVMDRHIGHSFQIPPASMLLFNISSTALFICIFDSVVFPLWRRLTNHTPTPLQRIGLGYILCTIAMAMSAQVEHTRLDTLSDKPMSVLWLVPPLLLMGLGDALHYPGSMTLYYQEFP >DRNTG_34148.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:31067327:31069388:-1 gene:DRNTG_34148 transcript:DRNTG_34148.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSFHHHVLLLLIAGDLVAGSPSLSSLGMLLFFLTATVSLLRPSSCSSIGPCESPTSLQLVVLYTAITLWIIGVGGTRLNPATMGANQFDNADDQSIFFTWYLFSLNVAALVGIVGIVYVEDNVGWGWGFGVSGALTVASLVLFISGRSLYCRHKPPHGSPFSALWNSMLLSVKRKMMKEKMVKLDEGGDNFCHYSTIGDDDDVQSLQDEIGDDHVPKASSVQSTNHHLQDLKTAAKIFPLFFSSVPVGISMSMQTTLTVLQALVMDRHIGHSFQIPPASMLLFNISSTALFICIFDSVVFPLWRRLTNHTPTPLQRIGLGYILCTIAMAMSAQVEHTRLDTLSDKPMSVLWLVPPLLLMGLGDALHYPGSMTLYYQEFP >DRNTG_29641.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2952785:2961892:-1 gene:DRNTG_29641 transcript:DRNTG_29641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVGEEYGSGGMAMDDVSEEEEITQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPARQQDYLETIYKISFGQIYLSRPMMTESDGETATLFPKAARLRNLTYSAPLYVDVTKKIIRKGLDCEEVTETQDFAKVFIGKVPIMLRSSYCTLFQNSEKDLTELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVAEVRSMAESQNRPASSMFVRMLSRTSAKGGSSGQYIRATLPYIRADIPIIIVFRALGFVADRDILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTREKRIKYAKEILQKEMLPHVGVGEYCETKKAYYFGYIIHRLLLCALGRRPEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRSYVQKCVDNNKDVNLQFAIKAKTITSGLKYSLATGNWGQANQAGTRAGVSQVLNRLTFASTLSHLRRLNSPIGREGKLAKPRQLHNSHWGMMCPAETPEGQACGLVKNLALMVYITVGSAANPLLEFLEEWSTENFEEISPAVIPQATKIFVNGCWVGIHRNPDLLVKTLRKLRRQIDINTEVGVIRDIRLKELRLYTDYGRCSRPLFIVEKQRLLIKKKDIRALQERETSEEGWHDLVAKGFIEYIDTEEEETTMISMTINDLVNARQNPEEAYSDTYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQLRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAIACYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEDFGKPNRENTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTSPIPQDESQGQASRYTRRDHSTSLRHSESGMVDQVLLTTNADGLRFVKVRMRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTVEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFETMYNGHTGRKLTAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAHFLKERLFDQSDAYRVHVCEKCGLIAIANLKKNSFECRGCKNKTDIVQVHIPYACKLLFQELMAMAIAPRMLTKEVKPTKDQKKKST >DRNTG_19510.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2845815:2849248:1 gene:DRNTG_19510 transcript:DRNTG_19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRVRSRDGLERVSIPDPSRATVSTLKSLIQAQLAVPVSAQFLSLNRDLLLAKTPADALCFSDLSDPVAFLSSLGVVHGSVVFLSYFDLPRSVSGAPTITPSGSFGRKMTMDDLIARQVRVSRQETPHCDTASFDRDAANAFQLYVNETLAFAVKRGGFMYGRVEEGGVVLVDFIYEPPQQGTEDSLFLLRDPDEEAFVEAIAAGLGMRRVGFVFTQSVGRTGKGEYTLSAREVLQAAELHAEGGIEEWVTALVKLEVNEDGAADVHFEAFQMSDNCVKLFKDGLFVTEIGDDDNPRVSRMKKDVVVGVKDTREVDNDFFLVPVKISDHQGPLSTSFPVENRITTVTMRALKNHLDRTKHLPFVKRISDFHLLLLLARYLDVNADIPALTECVVGQTPVPEGYQLLIDSLAAAGS >DRNTG_10383.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22956966:22958173:1 gene:DRNTG_10383 transcript:DRNTG_10383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFRSLLTKIGINLKIVRVDDESHKMMRNSREKQEHNLMNKDNNKVFTTGSRSWSSLKDPRIVRVSRLFGGKDRHSKVSTVRGLRDRRVRLSVSTAIQLYDLQDRLGLSQPSKVVDWLINAAQHEIDKLPPLQMIPPPSTFIHFPKDHPSIKNKDHHQQTEEHCTIGDEVKAADQVKNQSVPNINHSLSSLLHNAIPYSSFYHYDPSIAQDEEEALRNSLLSSPYTTMPSSLLPSYNSISHFQMDNSSSDVVLPSSLSDISTVRYFH >DRNTG_04665.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30324216:30330842:-1 gene:DRNTG_04665 transcript:DRNTG_04665.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQQGSAGDGGKEVHSMGTCGPSQCFGTWVAIVVSINIFFHIPKDVNPLELLIGEVVVPIETFIIVYIINKFAVDQFPSFVHFLGTLTCFVAATVGLMMTVIHTKGNDVVKERLLGVALFVIANLMSVCIVKFPDGQLPSSGDNHHSLNLAF >DRNTG_09723.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:11388756:11390671:1 gene:DRNTG_09723 transcript:DRNTG_09723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLTRMRGDTTDEIVDEYMQEMFNPDPYEGLFDQEESNEELMMLGSTEEVTFIPGILKKVLPKMKRARRRHRKCSKIVGDVYEPRKLDEPLLAEPAGFFLSYPEKTHGRVGFPYARGCVLLLPPSRENTGACACPWKPQGRASAPVGLAHGRGYFPHARGR >DRNTG_05488.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000245.1:7993:14480:-1 gene:DRNTG_05488 transcript:DRNTG_05488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSNSLGVFSVTSPSPLHFPEHLHQYPRGRCYLLSRPKHNYFFIALLLVEQTFVWIWVKHFLHVFINKLISSI >DRNTG_28726.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2385158:2389473:-1 gene:DRNTG_28726 transcript:DRNTG_28726.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of lumenal location 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G39710) UniProtKB/Swiss-Prot;Acc:Q9SCY3] MLSTSFSSSLTQSFHSSTSSIDDPNIITTSSSSSSSSSSSSLSLSLSSCLSKRRGFLQLSVGVLGSYLVPLDANATRIEYYATVGEPLCDYNFAKSGLAYCDVSIGNGVQPPRGELINVHYTARFADGTVFDSSYKRGRPLTMRIGAGKVLPGLDQGIMGGGGVPPMLAGGKRKLMIPPKLAYGSEPAGCFQGDCNIPGNATLLYDILFVGIYK >DRNTG_28726.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2387078:2389473:-1 gene:DRNTG_28726 transcript:DRNTG_28726.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of lumenal location 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G39710) UniProtKB/Swiss-Prot;Acc:Q9SCY3] MLSTSFSSSLTQSFHSSTSSIDDPNIITTSSSSSSSSSSSSLSLSLSSCLSKRRGFLQLSVGVLGSYLVPLDANATRIEYYATVGEPLCDYNFAKSGLAYCDVSIGNGVQPPRGELINVHYTARFADGTVFDSSYKRGRPLTMRIGAGKVLPGLDQGIMGGGGVPPMLAGGKRKLMIPPKLAYGSEPAGCFQGDCNIPGNATLLYDILFVGIYK >DRNTG_29263.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20981240:20983958:-1 gene:DRNTG_29263 transcript:DRNTG_29263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAMYVLLQLVILLSVVLINTSSCSACMESERRALLEFKKHIKDPNNKLSSWVMGQNCCSWEGVHCDNLTGNIVRLELKGPDHQISNEHYLQLRGEISPSLLQLRHLNHVDLSGNFFNGTSIPSFIGQFKQLIYLNLSNSYFHGAIPSSFGNLSSLQTLDLSYNHGIYVDDPAHQWLSHLTSLQHLDMSGVTLLGNMSTSLFLALNKLPSINELHLSRCNLEKLPLSYPHFNFTSLSILDLSYNHINFLGISWVFNINSLQYLDLSFNGFHQLTTYWSSRMLNGYIDTSQQTKLAQLEYINLAYNRLSGIISEEHFTQLEKLETLDMSGSALVFRVSSNWVPPFLLNELRISTSTVGPEFPAWIQTQHKLNTLDLSENEISSTVPHWLWNLTTRNLVHLDLSSNQIQGMIPKLLTFSHIEHLYLSANLFSGPLPDWLCQMKGIVALDISINHLSGELPNCWSDSSALSYINLAYNNLSGALPNSIFNLRNLKSLLLSHNKLSGELPDSMKNSSQLLALDLSHNNFTGDIPTWMGECLSF >DRNTG_17990.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18544572:18552906:1 gene:DRNTG_17990 transcript:DRNTG_17990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVEFGKCWPFDEGGEDGRLPGMEVRRFRWWLDELGVVKDDEEKKDGDGNEAVVLGVEDEKRGRGKQRAPKKRSIVELFAAVPPIETVDEGTDGEDEEGGEGHGLDAEEMDLETDGLVAEVLERMMKNKSKKKKMLMMMKKRKKKKKKKQLKVAICVSRKEKVQESKAQSMVNVSKVLQDSVHIKRIGRALKYPVEGTKKRPSTVKSFAKKQSFKHFRTSNLICKDQKDVGQVLPVHSILKNRTKNSSVKKSSMKDVDGSGLVRNCRGSAKHVSFSGKDDILGHNKGCSLMELPQLQNLCRIFSDVLAASSAMNKANKSNPSASGEDINTVSAERTIEPLPESEKLRSHDHLPITSPDSGTKNCSDTEKFSLAEPVDLNETIPTSNPAISTILVSHSGNTTVQIPLPEGSSSNAESHVIENSTEARMTLPAPIQDSVSHIDAMSAMTIVRNPTSHQSASCLTMNMEANGRQLHSVSDPNVIMYNQIPEFQHRCLQSADDMMGRICFTTGSKGIGESRITSNPASFCRERCIDNDFIGLPLNSHGEFIHLHSGHKFGFGDVSNKQRSSPSSFPNFSGPQLFEHNYSMDHLQVKDRFPSISMYEKDQWLPGPHDCAHQLARSGSSFTAMSGSESSKMPNHEPLRDNFFYHGTTASRGFCNGCKEHSQTHNCVDMKRPRAEANLENRFQPSIQPTMRLMGKNVTVGKSTRECEGFDDGKVWTDKEIITEYSTLRESDITNTKRWPQHEWLAHSSSGTLKENLQHPQEVPSSLFQVSPFNHRSVHMNLDCHPQFMSRNGLSSDIGKHDPRMDHFSLAVPFQEPLNKTSRSLVNYNPGTESLKAGHHAPSASSHPQNACHHMLLSSTHCKHSQSISYSTASTSRPPYMNQGYCNFTQSSMAHSSSNLPPWLLKATQQTKYPKSTCSYSESIPLPHHTCILPGNCSFPLSSPCPSNISFHVYGTNISQTCNSPNPASLLHPSLISEFAVNKYNTGGSNSCRNKIKDRDVTKSKISYFKELDHASRSRKRPAAKDDGLVKPMKKPNLMVHAASKAPTCSRVREQFHGHPEVNSGPSEFQPYGNKRNDMGLQELVIGNSENISTSAVKSKTDGIGRAGPLKLSAGAKHTLKPSQGVNQDKSIPVHATMPFAAGTSSSRFSVSQKKAAEVYRF >DRNTG_17990.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18549653:18553128:1 gene:DRNTG_17990 transcript:DRNTG_17990.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVSKVLQDSVHIKRIGRALKYPVEGTKKRPSTVKSFAKKQSFKHFRTSNLICKDQKDVGQVLPVHSILKNRTKNSSVKKSSMKDVDGSGLVRNCRGSAKHVSFSGKDDILGHNKGCSLMELPQLQNLCRIFSDVLAASSAMNKANKSNPSASGEDINTVSAERTIEPLPESEKLRSHDHLPITSPDSGTKNCSDTEKFSLAEPVDLNETIPTSNPAISTILVSHSGNTTVQIPLPEGSSSNAESHVIENSTEARMTLPAPIQDSVSHIDAMSAMTIVRNPTSHQSASCLTMNMEANGRQLHSVSDPNVIMYNQIPEFQHRCLQSADDMMGRICFTTGSKGIGESRITSNPASFCRERCIDNDFIGLPLNSHGEFIHLHSGHKFGFGDVSNKQRSSPSSFPNFSGPQLFEHNYSMDHLQVKDRFPSISMYEKDQWLPGPHDCAHQLARSGSSFTAMSGSESSKMPNHEPLRDNFFYHGTTASRGFCNGCKEHSQTHNCVDMKRPRAEANLENRFQPSIQPTMRLMGKNVTVGKSTRECEGFDDGKVWTDKEIITEYSTLRESDITNTKRWPQHEWLAHSSSGTLKENLQHPQEVPSSLFQVSPFNHRSVHMNLDCHPQFMSRNGLSSDIGKHDPRMDHFSLAVPFQEPLNKTSRSLVNYNPGTESLKAGHHAPSASSHPQNACHHMLLSSTHCKHSQSISYSTASTSRPPYMNQGYCNFTQSSMAHSSSNLPPWLLKATQQTKYPKSTCSYSESIPLPHHTCILPGNCSFPLSSPCPSNISFHVYGTNISQTCNSPNPASLLHPSLISEFAVNKYNTGGSNSCRNKIKDRDVTKSKISYFKELDHASRSRKRPAAKDDGLVKPMKKPNLMVHAASKAPTCSRVREQFHGHPEVNSGPSEFQPYGNKRNDMGLQELVIGNSENISTSAVKSKTDGIGRAGPLKLSAGAKHTLKPSQGVNQDKSIPVHATMPFAAGTSSSRFSVSQKKAAEVYRF >DRNTG_17990.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18544799:18553128:1 gene:DRNTG_17990 transcript:DRNTG_17990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETDGLVAEVLERMMKNKSKKKKMLMMMKKRKKKKKKKQLKVAICVSRKEKVQESKAQSMVNVSKVLQDSVHIKRIGRALKYPVEGTKKRPSTVKSFAKKQSFKHFRTSNLICKDQKDVGQVLPVHSILKNRTKNSSVKKSSMKDVDGSGLVRNCRGSAKHVSFSGKDDILGHNKGCSLMELPQLQNLCRIFSDVLAASSAMNKANKSNPSASGEDINTVSAERTIEPLPESEKLRSHDHLPITSPDSGTKNCSDTEKFSLAEPVDLNETIPTSNPAISTILVSHSGNTTVQIPLPEGSSSNAESHVIENSTEARMTLPAPIQDSVSHIDAMSAMTIVRNPTSHQSASCLTMNMEANGRQLHSVSDPNVIMYNQIPEFQHRCLQSADDMMGRICFTTGSKGIGESRITSNPASFCRERCIDNDFIGLPLNSHGEFIHLHSGHKFGFGDVSNKQRSSPSSFPNFSGPQLFEHNYSMDHLQVKDRFPSISMYEKDQWLPGPHDCAHQLARSGSSFTAMSGSESSKMPNHEPLRDNFFYHGTTASRGFCNGCKEHSQTHNCVDMKRPRAEANLENRFQPSIQPTMRLMGKNVTVGKSTRECEGFDDGKVWTDKEIITEYSTLRESDITNTKRWPQHEWLAHSSSGTLKENLQHPQEVPSSLFQVSPFNHRSVHMNLDCHPQFMSRNGLSSDIGKHDPRMDHFSLAVPFQEPLNKTSRSLVNYNPGTESLKAGHHAPSASSHPQNACHHMLLSSTHCKHSQSISYSTASTSRPPYMNQGYCNFTQSSMAHSSSNLPPWLLKATQQTKYPKSTCSYSESIPLPHHTCILPGNCSFPLSSPCPSNISFHVYGTNISQTCNSPNPASLLHPSLISEFAVNKYNTGGSNSCRNKIKDRDVTKSKISYFKELDHASRSRKRPAAKDDGLVKPMKKPNLMVHAASKAPTCSRVREQFHGHPEVNSGPSEFQPYGNKRNDMGLQELVIGNSENISTSAVKSKTDGIGRAGPLKLSAGAKHTLKPSQGVNQDKSIPVHATMPFAAGTSSSRFSVSQKKAAEVYRF >DRNTG_17990.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18549653:18552992:1 gene:DRNTG_17990 transcript:DRNTG_17990.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVSKVLQDSVHIKRIGRALKYPVEGTKKRPSTVKSFAKKQSFKHFRTSNLICKDQKDVGQVLPVHSILKNRTKNSSVKKSSMKDVDGSGLVRNCRGSAKHVSFSGKDDILGHNKGCSLMELPQLQNLCRIFSDVLAASSAMNKANKSNPSASGEDINTVSAERTIEPLPESEKLRSHDHLPITSPDSGTKNCSDTEKFSLAEPVDLNETIPTSNPAISTILVSHSGNTTVQIPLPEGSSSNAESHVIENSTEARMTLPAPIQDSVSHIDAMSAMTIVRNPTSHQSASCLTMNMEANGRQLHSVSDPNVIMYNQIPEFQHRCLQSADDMMGRICFTTGSKGIGESRITSNPASFCRERCIDNDFIGLPLNSHGEFIHLHSGHKFGFGDVSNKQRSSPSSFPNFSGPQLFEHNYSMDHLQVKDRFPSISMYEKDQWLPGPHDCAHQLARSGSSFTAMSGSESSKMPNHEPLRDNFFYHGTTASRGFCNGCKEHSQTHNCVDMKRPRAEANLENRFQPSIQPTMRLMGKNVTVGKSTRECEGFDDGKVWTDKEIITEYSTLRESDITNTKRWPQHEWLAHSSSGTLKENLQHPQEVPSSLFQVSPFNHRSVHMNLDCHPQFMSRNGLSSDIGKHDPRMDHFSLAVPFQEPLNKTSRSLVNYNPGTESLKAGHHAPSASSHPQNACHHMLLSSTHCKHSQSISYSTASTSRPPYMNQGYCNFTQSSMAHSSSNLPPWLLKATQQTKYPKSTCSYSESIPLPHHTCILPGNCSFPLSSPCPSNISFHVYGTNISQTCNSPNPASLLHPSLISEFAVNKYNTGGSNSCRNKIKDRDVTKSKISYFKELDHASRSRKRPAAKDDGLVKPMKKPNLMVHAASKAPTCSRVREQFHGHPEVNSGPSEFQPYGNKRNDMGLQELVIGNSENISTSAVKSKTDGIGRAGPLKLSAGAKHTLKPSQGVNQDKSIPVHATMPFAAGTSSSRFSVSQKKAAEVYRF >DRNTG_17990.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18549653:18552906:1 gene:DRNTG_17990 transcript:DRNTG_17990.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVSKVLQDSVHIKRIGRALKYPVEGTKKRPSTVKSFAKKQSFKHFRTSNLICKDQKDVGQVLPVHSILKNRTKNSSVKKSSMKDVDGSGLVRNCRGSAKHVSFSGKDDILGHNKGCSLMELPQLQNLCRIFSDVLAASSAMNKANKSNPSASGEDINTVSAERTIEPLPESEKLRSHDHLPITSPDSGTKNCSDTEKFSLAEPVDLNETIPTSNPAISTILVSHSGNTTVQIPLPEGSSSNAESHVIENSTEARMTLPAPIQDSVSHIDAMSAMTIVRNPTSHQSASCLTMNMEANGRQLHSVSDPNVIMYNQIPEFQHRCLQSADDMMGRICFTTGSKGIGESRITSNPASFCRERCIDNDFIGLPLNSHGEFIHLHSGHKFGFGDVSNKQRSSPSSFPNFSGPQLFEHNYSMDHLQVKDRFPSISMYEKDQWLPGPHDCAHQLARSGSSFTAMSGSESSKMPNHEPLRDNFFYHGTTASRGFCNGCKEHSQTHNCVDMKRPRAEANLENRFQPSIQPTMRLMGKNVTVGKSTRECEGFDDGKVWTDKEIITEYSTLRESDITNTKRWPQHEWLAHSSSGTLKENLQHPQEVPSSLFQVSPFNHRSVHMNLDCHPQFMSRNGLSSDIGKHDPRMDHFSLAVPFQEPLNKTSRSLVNYNPGTESLKAGHHAPSASSHPQNACHHMLLSSTHCKHSQSISYSTASTSRPPYMNQGYCNFTQSSMAHSSSNLPPWLLKATQQTKYPKSTCSYSESIPLPHHTCILPGNCSFPLSSPCPSNISFHVYGTNISQTCNSPNPASLLHPSLISEFAVNKYNTGGSNSCRNKIKDRDVTKSKISYFKELDHASRSRKRPAAKDDGLVKPMKKPNLMVHAASKAPTCSRVREQFHGHPEVNSGPSEFQPYGNKRNDMGLQELVIGNSENISTSAVKSKTDGIGRAGPLKLSAGAKHTLKPSQGVNQDKSIPVHATMPFAAGTSSSRFSVSQKKAAEVYRF >DRNTG_17990.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18544799:18552992:1 gene:DRNTG_17990 transcript:DRNTG_17990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETDGLVAEVLERMMKNKSKKKKMLMMMKKRKKKKKKKQLKVAICVSRKEKVQESKAQSMVNVSKVLQDSVHIKRIGRALKYPVEGTKKRPSTVKSFAKKQSFKHFRTSNLICKDQKDVGQVLPVHSILKNRTKNSSVKKSSMKDVDGSGLVRNCRGSAKHVSFSGKDDILGHNKGCSLMELPQLQNLCRIFSDVLAASSAMNKANKSNPSASGEDINTVSAERTIEPLPESEKLRSHDHLPITSPDSGTKNCSDTEKFSLAEPVDLNETIPTSNPAISTILVSHSGNTTVQIPLPEGSSSNAESHVIENSTEARMTLPAPIQDSVSHIDAMSAMTIVRNPTSHQSASCLTMNMEANGRQLHSVSDPNVIMYNQIPEFQHRCLQSADDMMGRICFTTGSKGIGESRITSNPASFCRERCIDNDFIGLPLNSHGEFIHLHSGHKFGFGDVSNKQRSSPSSFPNFSGPQLFEHNYSMDHLQVKDRFPSISMYEKDQWLPGPHDCAHQLARSGSSFTAMSGSESSKMPNHEPLRDNFFYHGTTASRGFCNGCKEHSQTHNCVDMKRPRAEANLENRFQPSIQPTMRLMGKNVTVGKSTRECEGFDDGKVWTDKEIITEYSTLRESDITNTKRWPQHEWLAHSSSGTLKENLQHPQEVPSSLFQVSPFNHRSVHMNLDCHPQFMSRNGLSSDIGKHDPRMDHFSLAVPFQEPLNKTSRSLVNYNPGTESLKAGHHAPSASSHPQNACHHMLLSSTHCKHSQSISYSTASTSRPPYMNQGYCNFTQSSMAHSSSNLPPWLLKATQQTKYPKSTCSYSESIPLPHHTCILPGNCSFPLSSPCPSNISFHVYGTNISQTCNSPNPASLLHPSLISEFAVNKYNTGGSNSCRNKIKDRDVTKSKISYFKELDHASRSRKRPAAKDDGLVKPMKKPNLMVHAASKAPTCSRVREQFHGHPEVNSGPSEFQPYGNKRNDMGLQELVIGNSENISTSAVKSKTDGIGRAGPLKLSAGAKHTLKPSQGVNQDKSIPVHATMPFAAGTSSSRFSVSQKKAAEVYRF >DRNTG_17990.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18544799:18552906:1 gene:DRNTG_17990 transcript:DRNTG_17990.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETDGLVAEVLERMMKNKSKKKKMLMMMKKRKKKKKKKQLKVAICVSRKEKVQESKAQSMVNVSKVLQDSVHIKRIGRALKYPVEGTKKRPSTVKSFAKKQSFKHFRTSNLICKDQKDVGQVLPVHSILKNRTKNSSVKKSSMKDVDGSGLVRNCRGSAKHVSFSGKDDILGHNKGCSLMELPQLQNLCRIFSDVLAASSAMNKANKSNPSASGEDINTVSAERTIEPLPESEKLRSHDHLPITSPDSGTKNCSDTEKFSLAEPVDLNETIPTSNPAISTILVSHSGNTTVQIPLPEGSSSNAESHVIENSTEARMTLPAPIQDSVSHIDAMSAMTIVRNPTSHQSASCLTMNMEANGRQLHSVSDPNVIMYNQIPEFQHRCLQSADDMMGRICFTTGSKGIGESRITSNPASFCRERCIDNDFIGLPLNSHGEFIHLHSGHKFGFGDVSNKQRSSPSSFPNFSGPQLFEHNYSMDHLQVKDRFPSISMYEKDQWLPGPHDCAHQLARSGSSFTAMSGSESSKMPNHEPLRDNFFYHGTTASRGFCNGCKEHSQTHNCVDMKRPRAEANLENRFQPSIQPTMRLMGKNVTVGKSTRECEGFDDGKVWTDKEIITEYSTLRESDITNTKRWPQHEWLAHSSSGTLKENLQHPQEVPSSLFQVSPFNHRSVHMNLDCHPQFMSRNGLSSDIGKHDPRMDHFSLAVPFQEPLNKTSRSLVNYNPGTESLKAGHHAPSASSHPQNACHHMLLSSTHCKHSQSISYSTASTSRPPYMNQGYCNFTQSSMAHSSSNLPPWLLKATQQTKYPKSTCSYSESIPLPHHTCILPGNCSFPLSSPCPSNISFHVYGTNISQTCNSPNPASLLHPSLISEFAVNKYNTGGSNSCRNKIKDRDVTKSKISYFKELDHASRSRKRPAAKDDGLVKPMKKPNLMVHAASKAPTCSRVREQFHGHPEVNSGPSEFQPYGNKRNDMGLQELVIGNSENISTSAVKSKTDGIGRAGPLKLSAGAKHTLKPSQGVNQDKSIPVHATMPFAAGTSSSRFSVSQKKAAEVYRF >DRNTG_17990.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18544422:18552906:1 gene:DRNTG_17990 transcript:DRNTG_17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMEGFSIREYVGKMRSVEFGKCWPFDEGGEDGRLPGMEVRRFRWWLDELGVVKDDEEKKDGDGNEAVVLGVEDEKRGRGKQRAPKKRSIVELFAAVPPIETVDEGTDGEDEEGGEGHGLDAEEMDLETDGLVAEVLERMMKNKSKKKKMLMMMKKRKKKKKKKQLKVAICVSRKEKVQESKAQSMVNVSKVLQDSVHIKRIGRALKYPVEGTKKRPSTVKSFAKKQSFKHFRTSNLICKDQKDVGQVLPVHSILKNRTKNSSVKKSSMKDVDGSGLVRNCRGSAKHVSFSGKDDILGHNKGCSLMELPQLQNLCRIFSDVLAASSAMNKANKSNPSASGEDINTVSAERTIEPLPESEKLRSHDHLPITSPDSGTKNCSDTEKFSLAEPVDLNETIPTSNPAISTILVSHSGNTTVQIPLPEGSSSNAESHVIENSTEARMTLPAPIQDSVSHIDAMSAMTIVRNPTSHQSASCLTMNMEANGRQLHSVSDPNVIMYNQIPEFQHRCLQSADDMMGRICFTTGSKGIGESRITSNPASFCRERCIDNDFIGLPLNSHGEFIHLHSGHKFGFGDVSNKQRSSPSSFPNFSGPQLFEHNYSMDHLQVKDRFPSISMYEKDQWLPGPHDCAHQLARSGSSFTAMSGSESSKMPNHEPLRDNFFYHGTTASRGFCNGCKEHSQTHNCVDMKRPRAEANLENRFQPSIQPTMRLMGKNVTVGKSTRECEGFDDGKVWTDKEIITEYSTLRESDITNTKRWPQHEWLAHSSSGTLKENLQHPQEVPSSLFQVSPFNHRSVHMNLDCHPQFMSRNGLSSDIGKHDPRMDHFSLAVPFQEPLNKTSRSLVNYNPGTESLKAGHHAPSASSHPQNACHHMLLSSTHCKHSQSISYSTASTSRPPYMNQGYCNFTQSSMAHSSSNLPPWLLKATQQTKYPKSTCSYSESIPLPHHTCILPGNCSFPLSSPCPSNISFHVYGTNISQTCNSPNPASLLHPSLISEFAVNKYNTGGSNSCRNKIKDRDVTKSKISYFKELDHASRSRKRPAAKDDGLVKPMKKPNLMVHAASKAPTCSRVREQFHGHPEVNSGPSEFQPYGNKRNDMGLQELVIGNSENISTSAVKSKTDGIGRAGPLKLSAGAKHTLKPSQGVNQDKSIPVHATMPFAAGTSSSRFSVSQKKAAEVYRF >DRNTG_00769.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:12861970:12862479:-1 gene:DRNTG_00769 transcript:DRNTG_00769.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLGRNIVVTLSRPEPGSPDPVRRQTAADPQGVTPIGLQGLRI >DRNTG_00769.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:12858829:12862479:-1 gene:DRNTG_00769 transcript:DRNTG_00769.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLGRNIVVTLSRPEPGSPDPVRRQTAADPQGVTPIGLQGLRI >DRNTG_00769.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:12862025:12862612:-1 gene:DRNTG_00769 transcript:DRNTG_00769.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWYFIRMDDLGRNIVVTLSRPEPGSPDPVRRQTAADPQGVTPIGLQGLRI >DRNTG_00769.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:12858829:12859747:-1 gene:DRNTG_00769 transcript:DRNTG_00769.3 gene_biotype:protein_coding transcript_biotype:protein_coding PAIKNSKDGKAELLTNGHLLGEVSQP >DRNTG_00769.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:12858829:12859747:-1 gene:DRNTG_00769 transcript:DRNTG_00769.4 gene_biotype:protein_coding transcript_biotype:protein_coding SKLNVHQTKEGREGKIKGKDNHLQSISRRSRIARMGKPNYSQMGIYLVKLANLEAPSISLLFPTLLTSQQEV >DRNTG_00769.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:12858829:12862479:-1 gene:DRNTG_00769 transcript:DRNTG_00769.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLGRNIVVTLSRPEPGSPDPVRRQTAADPQGVTPIGLQGLRI >DRNTG_00769.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:12862025:12862479:-1 gene:DRNTG_00769 transcript:DRNTG_00769.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLGRNIVVTLSRPEPGSPDPVRRQTAADPQGVTPIGLQGLRI >DRNTG_00706.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2847809:2848184:-1 gene:DRNTG_00706 transcript:DRNTG_00706.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSKFKTVCVFCGSSLGKQKSYQDAAIDLGKELVMKDINLVYGGGNIGLMGLISQTVFNGGKQVLGYGLF >DRNTG_06349.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24289802:24291496:-1 gene:DRNTG_06349 transcript:DRNTG_06349.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPRSLQFNLFSRFPLRHVSLAFNSPLLVSCPPCSSELNSLLTRLTGKSTRYRQQKHSIC >DRNTG_06349.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24290656:24291496:-1 gene:DRNTG_06349 transcript:DRNTG_06349.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYPRSLQFNLFSRFPLRHVSLAFNSPLLVSCPPCSSELNSLLTRLTGKSTRYRQQKHSIC >DRNTG_06349.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24289802:24291496:-1 gene:DRNTG_06349 transcript:DRNTG_06349.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPRSLQFNLFSRFPLRHVSLAFNSPLLVSCPPCSSELNSLLTRLTGKSTRYRQQKHSISKTFDDKDNSTTTSAAEEAEERLGS >DRNTG_13961.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000651.1:81275:82513:1 gene:DRNTG_13961 transcript:DRNTG_13961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVNKNEVKRLRLTSPEPIHMEFSNPEHQARFERLSALGFGTVEYGQLPVYFQVSVTPYHAYRILCGRGEYEPGLSKASSLSRFSYRYVHAVISRSVTDRADNIAALTRLDLLFIYSMARNVPLHLGCILADVLQYQGHSTRVGLLFAGPYITRLILGMGLGDALRGAERTVVPIALTFDTIRMMGLVRRFGPGVYVLTPATTETTRSEGDTTGGVEQTRPSSVGPGI >DRNTG_06878.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14259288:14259893:1 gene:DRNTG_06878 transcript:DRNTG_06878.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKKNSKMSTKTFNPNKRISFTPIYGSSHV >DRNTG_25588.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29800716:29802966:1 gene:DRNTG_25588 transcript:DRNTG_25588.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of rudimentary homolog [Source:Projected from Arabidopsis thaliana (AT5G10810) UniProtKB/Swiss-Prot;Acc:Q96319] MANRHTIILMQTSQNRATRTFMDFDSISQAMDGICGLYERKLKELNPTIRNITYDIADLYNFIDGLTDLSALIYDHSIQAYLPYDRQWIKERTFKHLKKLASH >DRNTG_27029.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2542924:2543410:1 gene:DRNTG_27029 transcript:DRNTG_27029.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGYQSSVSADGYRSPASVSRYAGPASSVGYGPSGAAGYLSSVSADERPGPANVSAYPGPANATRYSDPASATWYPDPVSGAKYPDPANAARYPGLPSGAGYHNAPIPTAYDGPLRSTT >DRNTG_19512.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2872238:2873330:-1 gene:DRNTG_19512 transcript:DRNTG_19512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSEISAINDDKLLDILIISCEEDHAICHPLLDKGANVYNSEL >DRNTG_08397.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4716731:4717833:1 gene:DRNTG_08397 transcript:DRNTG_08397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIDLVYGGGNIGLMGLISQTLFDGGRHVLGVIPKALMDKEVELLNIDGYYNSLLFSIDQAVEECFIIPSANNIIVSASNAKKLIEKLEDYYSCHEEMALKLNWNS >DRNTG_29980.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:10851951:10853436:1 gene:DRNTG_29980 transcript:DRNTG_29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYLLFLRYGPVELIKCIHGICIAEAIKKNPQSLLEFVNAFHKYEEVVYHMLRVAASTNKEDGDVSTVSTVIDLSGSESYKDRASNLCGYLADHDSHAMRDMGQLGLLFQR >DRNTG_03011.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6754906:6755959:-1 gene:DRNTG_03011 transcript:DRNTG_03011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMMSDELLGTFVPIVVYWLYSGLYIVLDGKAMDNYRLHTRAEEDVKNIVSKITVVKGVLIQQAFQIAVSLSLFTIISDDSGTVKAQPSLLVMVLQFLVAMVVMDTWQYFIHRYMHLNKFLYKHVHSKHHTLVVPYAFGALYNHPLEGLLLDTVGGALSFLVSGMTPRTGIFFFSFATIKTVDDHCGLWLPGNILHVFFSNNSAYHDVHHQLYGSKYNFSQPFFVMWDKILGTYMPYSLETRKEGGFEARPIKKN >DRNTG_20982.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7337141:7339279:-1 gene:DRNTG_20982 transcript:DRNTG_20982.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLKSCGRPRSREDGQVSSQPSSSHSVEIQRRVRGRTRLDSFWNHNTNEPKKRVESNSRGQPIGCGGRDLGQFLGTMVRKPKFFSFRYSDWRHVPHEEKEKIWQKIQDVFEIDVTLKDWVLESFNKKWKDYKAHLKQKNYNPFKGDKVGAKRNRPSDLDEIEWDWLVEFWESDTGKMREERGKVNRGKQSMPHTSGTKSFARAFHEEKINNNGMEPSRLEMFCKLHKRKDGTFVDSTTKEKISKMNDLMSKQSKDSQSDTFKEEIFSQVMGKDGRGRCRLYGLGVSPTNLYGPNPTRKELLEEIEHLRCVIADMKSNMATMEERFNILVSAFCRNSGTQGPQSLMMLDLLIQPQMEITKSLSDEDGSC >DRNTG_20982.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7337413:7339279:-1 gene:DRNTG_20982 transcript:DRNTG_20982.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLKSCGRPRSREDGQVSSQPSSSHSVEIQRRVRGRTRLDSFWNHNTNEPKKRVESNSRGQPIGCGGRDLGQFLGTMVRKPKFFSFRYSDWRHVPHEEKEKIWQKIQDVFEIDVTLKDWVLESFNKKWKDYKAHLKQKNYNPFKGDKVGAKRNRPSDLDEIEWDWLVEFWESDTGKMREERGKVNRGKQSMPHTSGTKSFARAFHEEKINNNGMEPSRLEMFCKLHKRKDGTFVDSTTKEKISKMNDLMSKQSKDSQSDTFKEEIFSQVMGKDGRGRCRLYGLGVSPTNLYGPNPTRKELLEEIEHLRCVIADMKSNMATMEERFNILVSAFCRNSGTQGPQSLMMLDLLIQPQMEITKSLSDEDGINNSPLASDGNQL >DRNTG_30615.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001605.1:16568:17051:1 gene:DRNTG_30615 transcript:DRNTG_30615.5 gene_biotype:protein_coding transcript_biotype:protein_coding MICILTCFILHTMVDVGLDQRVAVTVIAATNRPDKIDHTILRPGSALTVFSTI >DRNTG_30615.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001605.1:14083:15658:1 gene:DRNTG_30615 transcript:DRNTG_30615.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHGFVAADLAALCNEAAMMSLCRYIKFESSDKHAGALSSLLAQLSVLVKPVSCLGSEKAPKIHDMPQTALEEPDQLVEMMLKVSIDDFEKAKMKVRPSAMLEVMLEFPKVSWEDGVAKLKSRNS >DRNTG_30615.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001605.1:12322:18390:1 gene:DRNTG_30615 transcript:DRNTG_30615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHGFVAADLAALCNEAAMMSLCRYIKFESSDKHAGALSSLLAQLSVLVKPVSCLGSEKAPKIHDMPQTALEEPDQLVEMMLKVSIDDFEKAKMKVRPSAMLEVMLEFPKVSWEDGVAKLKSRNS >DRNTG_30615.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001605.1:15228:17051:1 gene:DRNTG_30615 transcript:DRNTG_30615.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQLYFFDEIDGLAITRGQDGDGTSVADRVLSQLLVEMDGLDQRVAVTVIAATNRPDKIDHTILRPGSALTVFSTI >DRNTG_30615.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001605.1:14083:18009:1 gene:DRNTG_30615 transcript:DRNTG_30615.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHGFVAADLAALCNEAAMMSLCRYIKFESSDKHAGALSSLLAQLSVLVKPVSCLGSEKAPKIHDMPQTALEEPDQLVEMMLKVSIDDFEKAKMKVRPSAMLEVMLEFPKVSWEDGVAKLKSRNS >DRNTG_10041.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1766360:1771521:-1 gene:DRNTG_10041 transcript:DRNTG_10041.10 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRCWERPEDMDTSRNVYRVTAQNPGSDVAAETAAALAAASIVFKDSDADYSAKLLQTAIKVITGTTKLFSHVKKKYFMSNKYTKLQVFDFADRYRGSYSDSLSSVACPFYCSYSGYHVSGDNIRCVYVFVKDLLIH >DRNTG_10041.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1766360:1771521:-1 gene:DRNTG_10041 transcript:DRNTG_10041.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRCWERPEDMDTSRNVYRVTAQNPGSDVAAETAAALAAASIVFKDSDADYSAKLLQTAIKVITGTTKLFSHVKKKYFMSNKYTKLQVFDFADRYRGSYSDSLSSVACPFYCSYSGYHVSGDNIRCVYVFVKDLLIH >DRNTG_10041.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1766360:1772103:-1 gene:DRNTG_10041 transcript:DRNTG_10041.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWEQDELLWGASWLHKASADASYMAYIHTNGQTLGAEDDDYSFSWDDKRAGAKILLSQGFLQNRIEDLQVYKAHADNYICSLVPGSPSFQAQYTPGHSCKGSLALFKATKSSG >DRNTG_10041.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1766360:1772103:-1 gene:DRNTG_10041 transcript:DRNTG_10041.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWEQDELLWGASWLHKASADASYMAYIHTNGQTLGAEDDDYSFSWDDKRAGAKILLSQGFLQNRIEDLQVYKAHADNYICSLVPGSPSFQAQYTPGHSCKGSLALFKATKSSG >DRNTG_10041.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1766360:1771521:-1 gene:DRNTG_10041 transcript:DRNTG_10041.9 gene_biotype:protein_coding transcript_biotype:protein_coding MWEQDELLWGASWLHKASADASYMAYIHTNGQTLGAEDDDYSFSWDDKRAGAKILLSQGFLQNRIEDLQVYKAHADNYICSLVPGSPSFQAQYTPGHSCKGSLALFKATKSSG >DRNTG_10041.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1766360:1771521:-1 gene:DRNTG_10041 transcript:DRNTG_10041.13 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRCWERPEDMDTSRNVYRVTAQNPGSDVAAETAAALAAASIVFKDSDADYSAKLLQTAIKVITGTTKLFSHVKKKYFMSNKYTKLQVFDFADRYRGSYSDSLSSVACPFYCSYSGYHVSGDNIRCVYVFVKDLLIH >DRNTG_10041.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1766360:1778581:-1 gene:DRNTG_10041 transcript:DRNTG_10041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEQDELLWGASWLHKASADASYMAYIHTNGQTLGAEDDDYSFSWDDKRAGAKILLSQGFLQNRIEDLQVYKAHADNYICSLVPGSPSFQAQYTPGHSCKGSLALFKATKSSG >DRNTG_10041.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1766360:1772103:-1 gene:DRNTG_10041 transcript:DRNTG_10041.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWEQDELLWGASWLHKASADASYMAYIHTNGQTLGAEDDDYSFSWDDKRAGAKILLSQGFLQNRIEDLQVYKAHADNYICSLVPGSPSFQAQYTPGHSCKGSLALFKATKSSG >DRNTG_10041.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1766360:1771521:-1 gene:DRNTG_10041 transcript:DRNTG_10041.12 gene_biotype:protein_coding transcript_biotype:protein_coding MWEQDELLWGASWLHKASADASYMAYIHTNGQTLGAEDDDYSFSWDDKRAGAKILLSQGFLQNRIEDLQVYKAHADNYICSLVPGSPSFQAQYTPGHSCKGSLALFKATKSSG >DRNTG_10041.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1766360:1771521:-1 gene:DRNTG_10041 transcript:DRNTG_10041.11 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRCWERPEDMDTSRNVYRVTAQNPGSDVAAETAAALAAASIVFKDSDADYSAKLLQTAIKVITGTTKLFSHVKKKYFMSNKYTKLQVFDFADRYRGSYSDSLSSVACPFYCSYSGYHVSGDNIRCVYVFVKDLLIH >DRNTG_10041.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1766360:1772103:-1 gene:DRNTG_10041 transcript:DRNTG_10041.6 gene_biotype:protein_coding transcript_biotype:protein_coding MWEQDELLWGASWLHKASADASYMAYIHTNGQTLGAEDDDYSFSWDDKRAGAKILLSQGFLQNRIEDLQVYKAHADNYICSLVPGSPSFQAQYTPGHSCKGSLALFKATKSSG >DRNTG_10041.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1766360:1772103:-1 gene:DRNTG_10041 transcript:DRNTG_10041.7 gene_biotype:protein_coding transcript_biotype:protein_coding MWEQDELLWGASWLHKASADASYMAYIHTNGQTLGAEDDDYSFSWDDKRAGAKILLSQGFLQNRIEDLQVYKAHADNYICSLVPGSPSFQAQYTPGHSFPHMN >DRNTG_10041.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1766360:1772103:-1 gene:DRNTG_10041 transcript:DRNTG_10041.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWEQDELLWGASWLHKASADASYMAYIHTNGQTLGAEDDDYSFSWDDKRAGAKILLSQGFLQNRIEDLQVYKAHADNYICSLVPGSPSFQAQYTPGHSCKGSLALFKATKSSG >DRNTG_10041.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1766360:1770170:-1 gene:DRNTG_10041 transcript:DRNTG_10041.14 gene_biotype:protein_coding transcript_biotype:protein_coding MWEQDELLWGASWLHKASADASYMAYIHTNGQTLGAEDDDYSFSWDDKRAGAKILLSQGFLQNRIEDLQVYKAHADNYICSLVPGSPSFQAQYTPGHSFPHMN >DRNTG_02929.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4208453:4211919:1 gene:DRNTG_02929 transcript:DRNTG_02929.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQADTRGRHWISAELKRLELEARFLEEELDLITKTEKVSASCEEFLSKVENKPDPLLPVTSGPVNPNWDRWFEGPQDLKGCRCWIL >DRNTG_22467.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20691772:20695139:1 gene:DRNTG_22467 transcript:DRNTG_22467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSKLSPFTMLLHLLLLLLIYTSPSTSQDLSPSQSKTLLRLQRLLEFPSALSSLSSSSSFCYLPPSPSFSLSCSSGHLTSLSISGNNTSSFTLSSSFSSDSLFTTLTRFPSLTTLSLVSLGLWGPLPSKLHRLSNLKLLNLSSNHFSGSIPPQLSTMTSLQALILSHNSLNGTLPDLHPLSSITDLDLSNNLLGPDFPTFLSTNLVSLILSKNHFHASIPLQLQSLDKLQKLDLSSNLITGKIPPFLFSLPAIQYLDLSSNGLAGAFPVSLSCGAALAYVDVSNNLLTGTMPLCLRSNSSNLFVLNSGNCLIGVNPKNQHSGSYCNQGALAAILPPVEKNNTESKNNHLGLILGIVGGIIGGGMVIGLLLFVALRRIKPESFDKKLLPKSSPGLPTIQVSPRTPAETRHMSQAVRIGTIGLMPYRVFSLEELEEATNDFDPGHLIEESPQGKFYKGWHRDGSMIMIRCIKLKQKYSAQSLVQFTDTLSKLRHRHLVSILGHCIVSGQDNAGMTIFLVTEYVSNGTLRSHLIEWRKREMLKWPQRIAAVIGIARGIQFLQTVTVPGIFGNNLTIENILLDETLTAKISNYNLPALPKNKNNKIGSESPFGGASEGSELGSVEHGEKEDVYQLGLILLEIIVGKPTESRSELDTLKLQLQRSLSENPAKLRGMTDPTIRGTFAHDSLQTAVEVTLNCLANEPKERPSIDDVLWNLQYTVQVQDGWASSENLSTQS >DRNTG_11866.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15482344:15489011:1 gene:DRNTG_11866 transcript:DRNTG_11866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWPPESLSRWEIIQTLGQGCRGSRRWPLTRKYVKMGRKHQNTGIDKRAKFQPEVGLDNIEDVEEVEEAVQEEFVVGQHTVEDDLHEEEAEEEAVDDADLEGILLGRGTDRNTVNEDDKFHDSDYSFNGETNEENGEDSARVRLQVEMEGKDTRMSNDDEVESDYARSEELQSCSSTDEECLLPVRPKYAEFNEEVDMKNPHFKIGMKFRSFKQFKEAVKNYGIRNPYEMNFNSNNKRKCKAYCRKGCPFYLWASPMVNDRNTIQIKTGILEHECSRDHVNKHVNANWIARAYLEQFRADPAWKIAGIIQAVKSNQEVDISRLKAYRAKCIALRLSTYMKTYRNILNPTQDRDSWPRSDQGPIIPLEPVNKRRGRKTLLRRKENGETTGFTGRKVSKKGVKMTCSICGAAGHNKRYHGVQRNKHNLGEQNHEQVSQSQSVNVEMLNENEVPAEHPEDTGFITTNTNDHDVGRAEFNIGDDTQNMLNETQLIAILVQLHHDPIFSIKDQTSGQQVNANADENPLSQEPMSQVITTPIQTFQMEIPPIGVQLRKQKLHIRGSEKSRRIEEEPTTEGNLVLENQVDINVGETPRGAKPVRVMHMRSQDTWRRETQRSNETNAIIGGPMLKSQGK >DRNTG_15406.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4806069:4809878:-1 gene:DRNTG_15406 transcript:DRNTG_15406.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSNYPYADQCYGLFAPYAAQTAGRMLLPVNVADNRLIYVNSKQYHGIMRRRQARAKAEMANKLIKVRKPYMHESRHLHAMRRARGSGGRFLNTKKVTNVQGGSAGNTKAKVAKVAKPPTPPAGSPSSEVLPSDDSRNLNSATGASSWSGSEVTSIYSREEVFNRLNIIDHLHRPAFHPLSLVMDGEHNAGISAKWATATNGCCDLLKV >DRNTG_15406.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4806069:4809878:-1 gene:DRNTG_15406 transcript:DRNTG_15406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTMSLKKNGGVGQICVLQQPQAPVMPWWVGSPAVYGESFGQLKPFSVDQSNGVSPVPVTIGQMHHSADQRLGPEPAIQEKGSNGITKFTIFPDNKDLAGGQKTQQLSPGITVPPESQGCFELGLGQPMVYSNYPYADQCYGLFAPYAAQTAGRMLLPVNVADNRLIYVNSKQYHGIMRRRQARAKAEMANKLIKVRKPYMHESRHLHAMRRARGSGGRFLNTKKVTNVQGGSAGNTKAKVAKVAKPPTPPAGSPSSEVLPSDDSRNLNSATGASSWSGSEVTSIYSREEVFNRLNIIDHLHRPAFHPLSLVMDGEHNAGISAKWATATNGCCDLLKV >DRNTG_15406.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4806069:4809689:-1 gene:DRNTG_15406 transcript:DRNTG_15406.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSNYPYADQCYGLFAPYAAQTAGRMLLPVNVADNRLIYVNSKQYHGIMRRRQARAKAEMANKLIKVRKPYMHESRHLHAMRRARGSGGRFLNTKKVTNVQGGSAGNTKAKVAKVAKPPTPPAGSPSSEVLPSDDSRNLNSATGASSWSGSEVTSIYSREEVFNRLNIIDHLHRPAFHPLSLVMDGEHNAGISAKWATATNGCCDLLKV >DRNTG_00753.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28058049:28060193:-1 gene:DRNTG_00753 transcript:DRNTG_00753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSKKPTMNSHERPMCVQGDSGLVLTTDPKPRLRWTVELHDRFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNDHSVKDATALELQRNMASSSSMMGRTMNENVHITEAIRMQMEVQRRLHEQLEVQKHLQMRIEAQGKYMQSILEKACQTLAGENSMAGGGSPYKGLGHQGVVDINNLKDLGSPLSFPSLQDLHLFGSTDHLDMHQHASLDGFLQTSDTSICIGKKRPNPYLSNGKSPMIWTDDLRLQELGSGSTCMGSQEEPSKSDQLQIAPSVMDSGIDMDSITDVYETKPMISGDSSGEKKFEGSSKLERPSPRRVPLNPMIRGGTLSHTRNISHG >DRNTG_18341.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1632331:1634891:1 gene:DRNTG_18341 transcript:DRNTG_18341.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFGRLDRSIWLAFAGITNKIPAVGSQVYYFPEGHAEQSFPPMKTDEIPVRKTHIRALLSDVSFHADRESDEVFARMMLDPRVPVCGLLGRERSKKEEEEELQDYGGVVSHSKVLTLSDSNNGGGFSVPKDCATFVFPQLDLKEEMPVQSVTVRDLQGNVLKFRHVFRGSPRRHLLTTNWSKFVNSKNIIAGDKIIFARDRSGELYVGFRRSVKPMAVAPEALVRTNRGFWRYGRGRVPIALVKKAMNLAEMGLPFEVIYYPRAGLQNFVVEVEAVDRALMVDWAPGMKVKAGVEMEDGSLDWYKGTVLELNQKDSALWSQSPWRKIKIEWEEPEKAKLKHLENASPWQVVLVTDTPQQPHHNPPRRMLRNANNSELSYSNEDISSFHARVRSLIPSREITGAIPAGMQGARHDPHNAHHSPNSAPNNPYPMCIDDLDKTNTQLKLTAFTNNVALVNSPTPTGVINNQGRNHFISPVPEASRQSNNKLRLFGRDIVVNIPVNSDAQTEQKDAGDDSSQK >DRNTG_18341.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1632331:1635636:1 gene:DRNTG_18341 transcript:DRNTG_18341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFGRLDRSIWLAFAGITNKIPAVGSQVYYFPEGHAEQSFPPMKTDEIPVRKTHIRALLSDVSFHADRESDEVFARMMLDPRVPVCGLLGRERSKKEEEEELQDYGGVVSHSKVLTLSDSNNGGGFSVPKDCATFVFPQLDLKEEMPVQSVTVRDLQGNVLKFRHVFRGSPRRHLLTTNWSKFVNSKNIIAGDKIIFARDRSGELYVGFRRSVKPMAVAPEALVRTNRGFWRYGRGRVPIALVKKAMNLAEMGLPFEVIYYPRAGLQNFVVEVEAVDRALMVDWAPGMKVKAGVEMEDGSLDWYKGTVLELNQKDSALWSQSPWRKIKIEWEEPEKAKLKHLENASPWQVVLVTDTPQQPHHNPPRRMLRNANNSELSYSNEDISSFHARVRSLIPSREITGAIPAGMQGARHDPHNAHHSPNSAPNNPYPMCIDDLDKTNTQLKLTAFTNNVALVNSPTPTGVINNQGRNHFISPVPEASRQSNNKLRLFGRDIVVNIPVNSDAQTEQKDAGDDSSQK >DRNTG_13662.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7302628:7304490:1 gene:DRNTG_13662 transcript:DRNTG_13662.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVWKLPMGVRQSHGRPQGQPHAPAGSAELI >DRNTG_11989.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6634290:6637520:-1 gene:DRNTG_11989 transcript:DRNTG_11989.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWKGPSPRDLLRMFCRQHWLFEVEKANTEADHVSNRDEERATSFQCEVKILSRRGDPILACSVEDAFSKKETKIIFSNPEGADSCVFLSPGSITCISYVVALVKNNGHLEEIFESNDEFEFEIGAGSVISPLEACITQLSTNQSAVTGLPPKDLILAAPAKSDILLSSCHLVDFGCGSGSLLDSLLEHSTSLEKIVDVDISLKGLTLPAKILHSKLSVKSSMIQSAVLYDGSIIDFDSRLHDFDIVIEHMEEHQAHLFGDAVLSMFCPQVLIVVSTPSYEYNTIFQRSSLPSREDDPEDKSVPVHNYSVMFSGVGGSGGVEPGFASQIAVLIKQVHQDEKCTKKESCHPYNIEDKANIQETRTSQNCREEISNGSTSNHHDFPAE >DRNTG_16086.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25562906:25563973:1 gene:DRNTG_16086 transcript:DRNTG_16086.1 gene_biotype:protein_coding transcript_biotype:protein_coding GYNKVNTEKTSREDVGWAKSSSWIET >DRNTG_10590.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7300357:7303261:-1 gene:DRNTG_10590 transcript:DRNTG_10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAGGGGGGEEGQSRNGENGVNLGIEDLIPKKDSWKPFWARGKDLIGYSLNGLRTHCLSFQDTDSARSSEVVRLVVDQDETNRILSACKERGIKLFSVITAAVLIATVSSKLLENNQAETYSVVTLVDCRKYLEPPLHEHNVGFYHSAIMNTHTVHEGEDCWEVAKRCHNAFTNATNNKKHLTDIGELNFLMCKASENPQLTPASSLRTALISIWEDPVIYESFPAQEQLGVEDYIGCASVHGVGPSIAVFDTIRDGQLDCVFVYPSPLHSRRQMQDLVEHMKKLLVHEGQTETEEPGA >DRNTG_16496.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6196582:6197541:1 gene:DRNTG_16496 transcript:DRNTG_16496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFSSQGPSVVNKAILKPDISAPGVQILAAFAGVGTSTGVNTDPLSPFAVLSGTSMSAPHIAGISALLKSQYPTWSPAMIKSAIMTTATIHDNTGQLIAMADPSLTPATPFSYGAGQVKVNAVMDPGLVYDLTTEDYLNFLCANDYSLKELRVFSDNYTCPSTKMQIEDLNLPSITIPYLFDGITVTRKLTNVGPPSKYNVSIDFPKNITLTVNPTELNFDKVGEEKVFQVSVTGNHAGLNKHHFFGRLKWSDGKHSVEIPLAVKSLI >DRNTG_19653.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3026563:3032903:1 gene:DRNTG_19653 transcript:DRNTG_19653.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPLNSVCYKLYHVSLVILTCICFMQESSNIVFHIVTDRQNFFALKLWCARNSFKKASVHIINIEDLDLEQPIELDSEEFRVSIHASDQPSSLQMKTEYMSVFSPAHFLLPRIFKNLKRVVVLDDDVVVQRDLSPLWKLDMEGKVNGAVQFCRVRLGHLKSYLTNQNYESSTCAWVSGLNVVNLEKWRELDVTGTYQQLLKKMKSTSSDSGRTAALPASLLAFQGLIYGLDDSWVLSGLGHDYTLTRDSIKHAGVLHYNGNMKPWLELGIPKYKKNWKNFLTKDERFMDQCNVNP >DRNTG_19653.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3026563:3032903:1 gene:DRNTG_19653 transcript:DRNTG_19653.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYVTLTAPPAKRRWKGVAVAVVLALVVFSMLVTLAFLLGLHNRLPYGYGSGDRAPSESGFRSFDHLDVVGGEEQQISEGEEARITNLVERFGHALDVTEDLTTQPEATPKSAAKDTVSYSKDLPPPQVEPKKQPSKKVGNNEVSKKNSAQGSSRDETGKPCQVEFGSYCLWSSEHKEVMKDTTVKRVKDQLFVARAYYPSVAKLKHQEKLSREMKQNIQEHERMLSEAISDADLPPLVEKRIQKMDQTIAKAKASVSDCNNVDKKFRQILDLTEDEAHFHMKQSAFLYHLGIHTMPKSLHCLSMRLTVEYFKSPSLDREQSHGQKFENPNLHHYVIFSKNVLAVSVAINSTVMNSAESSNIVFHIVTDRQNFFALKLWCARNSFKKASVHIINIEDLDLEQPIELDSEEFRVSIHASDQPSSLQMKTEYMSVFSPAHFLLPRIFKNLKRVVVLDDDVVVQRDLSPLWKLDMEGKVNGAVQFCRVRLGHLKSYLTNQNYESSTCAWVSGLNVVNLEKWRELDVTGTYQQLLKKMKSTSSDSGRTAALPASLLAFQGLIYGLDDSWVLSGLGHDYTLTRDSIKHAGVLHYNGNMKPWLELGIPKYKKNWKNFLTKDERFMDQCNVNP >DRNTG_19653.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3026563:3032903:1 gene:DRNTG_19653 transcript:DRNTG_19653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYVTLTAPPAKRRWKGVAVAVVLALVVFSMLVTLAFLLGLHNRLPYGYGSGDRAPSESGFRSFDHLDVVGGEEQQISEGEEARITNLVERFGHALDVTEDLTTQPEATPKSAAKDTVSYSKDLPPPQVEPKKQPSKKNNEVSKKNSAQGSSRDETGKPCQVEFGSYCLWSSEHKEVMKDTTVKRVKDQLFVARAYYPSVAKLKHQEKLSREMKQNIQEHERMLSEAISDADLPPLVEKRIQKMDQTIAKAKASVSDCNNVDKKFRQILDLTEDEAHFHMKQSAFLYHLGIHTMPKSLHCLSMRLTVEYFKSPSLDREQSHGQKFENPNLHHYVIFSKNVLAVSVAINSTVMNSAESSNIVFHIVTDRQNFFALKLWCARNSFKKASVHIINIEDLDLEQPIELDSEEFRVSIHASDQPSSLQMKTEYMSVFSPAHFLLPRIFKNLKRVVVLDDDVVVQRDLSPLWKLDMEGKVNGAVQFCRVRLGHLKSYLTNQNYESSTCAWVSGLNVVNLEKWRELDVTGTYQQLLKKMKSTSSDSGRTAALPASLLAFQGLIYGLDDSWVLSGLGHDYTLTRDSIKHAGVLHYNGNMKPWLELGIPKYKKNWKNFLTKDERFMDQCNVNP >DRNTG_33940.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:904801:905178:1 gene:DRNTG_33940 transcript:DRNTG_33940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTGDKEIAAKEMVEKLMGKRVKVENDRLPNTGCDSDWEHRLSSNHVEKDTELGVFGTKSTGVLAVKTDGETCFYEKYLEEGVWKEHSVQYHLDV >DRNTG_00668.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16120466:16122160:1 gene:DRNTG_00668 transcript:DRNTG_00668.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLWSRIKLVSCGGAEYARDKGIPVLLFSRSKNCPEGLSSIDQINALRTFEVDYLLLAGYLKLIPTELVQAYPKSILNIHPSLLPAFGGKGFYGMKVHRAVIASGARFPGPTVHFVDEHYDTGLILAQRVVSVLANDTPEQLASRVLQEEHKVYAEVVAALCEDRIVWRDDGVPLIKSKENPHAYY >DRNTG_34235.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1408545:1415220:1 gene:DRNTG_34235 transcript:DRNTG_34235.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQSFLNTSKWIEEVRTERGTDVIIVLVGNKTDLVDKRQVSIEEGEAKAKDLGVMFIETSAKAGFNVKALFRKIAAALPGMETLSSTKQEDMVDVNLKATNANTSQSQQASGGCNC >DRNTG_34235.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1408545:1411692:1 gene:DRNTG_34235 transcript:DRNTG_34235.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQSFLNTSKWIEEVRTERGTDVIIVLVGNKTDLVDKRQVSIEEGEAKAKDLGVMFIETSAKAGFNVKALFRKIAAALPGMETLSSTKQEDMVDVNLKATNANTSQSQQASGGCNC >DRNTG_13993.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27776027:27780443:1 gene:DRNTG_13993 transcript:DRNTG_13993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKPEKTENGEEKKPEETGRPVRVYADGIYDLFHFGHARALEQAKKLFPNTYLLVGCCNDEVTHRYKGKTVMTESERYESLRHCKWVDEVITDAPWVVTKEFLDKHKIDYVAHDALPYADASGAGNDVYEFVKAIGKFKETKRTDGISTSDIIMRIVKDYNEYVMRNLARGYTREDLGVSYVKEKRLRVNMGLKKLRDKVKEQQEKVGEKLHTVAKNAGVLHDEWVENADRWVAGFLEKFEEGCHQMGTAIKERIRESIQDSLKMQQARSFSLLQYEED >DRNTG_13993.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27776027:27780443:1 gene:DRNTG_13993 transcript:DRNTG_13993.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKPEKTENGEEKKPEETGRPVRVYADGIYDLFHFGHARALEQAKKLFPNTYLLVGCCNDEVTHRYKGKTVMTESERYESLRHCKWVDEVITDAPWVVTKEFLDKHKIDYVAHDALPYADASGAGNDVYEFVKAIGKFKETKRTDGISTSDIIMRIVKDYNEYVMRNLARGYTREDLGVSYVKEKRLRVNMGLKKLRDKVKEQQEKLHTVAKNAGVLHDEWVENADRWVAGFLEKFEEGCHQMGTAIKERIRESIQDSLKMQQARSFSLLQYEED >DRNTG_01943.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32515887:32517992:1 gene:DRNTG_01943 transcript:DRNTG_01943.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFKGLCDAPEEHMDRGVIAVSLKRSGYGTETLTAECNKSKPKKSKSTPVVSHEISKRTEGDVKRKPRNYHRGDSGEDSTETEDETYRPVLKRIRRGPPRSCKPS >DRNTG_01943.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32512974:32517992:1 gene:DRNTG_01943 transcript:DRNTG_01943.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHHTDIVRMVSFGHKLPNEGKQDHIGRFGIGFKTGAMKLGRDVLVLTQTSTSRSVAFLSESFNKDKENLEIPLITYCKKGHYMEVDLNIQSDATAEYNLNAIKEFSPFNEYFIGEKLGSFGEFGTGTQIYIWNLDRWGSESSDYTLEWDDAETNKSSQGFQSNIWIRSRRVRSRPGQISREVPLDYSLHAYLEVIFLDPRMKIYIQGSLVKSRPLAKSLNKTFVVQGNVKDVALQLTLGRSQVEWERLNCGIFLYWHGRLIEAYKRVGSMVHNADIGRGVIGVIDVTDLVDENRDQVWVLNNKQGFQDCEAYAELEDWLGVNATKYWDDNFDRIDLKKGGGKYEPDHEWVQCDKCRKWRILPCGFDATSLPPEWFCCMPPFKGLCDAPEEHMDRGVIAVSLKRSGYGTETLTAECNKSKPKKSKSTPVVSHEISKRTEGDVKRKPRNYHRGDSGEDSTETEDETYRPVLKRIRRGPPRSCKPS >DRNTG_01943.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32506416:32517992:1 gene:DRNTG_01943 transcript:DRNTG_01943.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLLNLNEPAVDGEIASYVMLQKDDKPICRTTCINLPFEPPACWSISGFQSSKIYLQSELPQCRLYPEPENYNQRREWGRFLQFLWKNNVVAIVKFDICEFYILASNESPQFTHAVVPYYMRKGHIKSHGLVRNSGICQRELKSSIHSENQQKFCSQFGEGIDHSQGRRSRAADRLPPVVSDKKVNSSHELKVPLPTRDSSRSFTEALSVPIKPVKSVHKNFVRTDPSYLRTLSQTHAGWIFGAMAELVDNSKDAGAKRLDISITNLYSKKDEKRIPVLSVIDDGHGMHHTDIVRMVSFGHKLPNEGKQDHIGRFGIGFKTGAMKLGRDVLVLTQTSTSRSVAFLSESFNKDKENLEIPLITYCKKGHYMEVDLNIQSDATAEYNLNAIKEFSPFNEYFIGEKLGSFGEFGTGTQIYIWNLDRWGSESSDYTLEWDDAETNKSSQGFQSNIWIRSRRVRSRPGQISREVPLDYSLHAYLEVIFLDPRMKIYIQGSLVKSRPLAKSLNKTFVVQGNVKDVALQLTLGRSQVEWERLNCGIFLYWHGRLIEAYKRVGSMVHNADIGRGVIGVIDVTDLVDENRDQVWVLNNKQGFQDCEAYAELEDWLGVNATKYWDDNFDRIDLKKGGGKYEPDHEWVQCDKCRKWRILPCGFDATSLPPEWFCCMPPFKGLCDAPEEHMDRGVIAVSLKRSGYGTETLTAECNKSKPKKSKSTPVVSHEISKRTEGDVKRKPRNYHRGDSGEDSTETEDETYRPVLKRIRRGPPRSCKPS >DRNTG_01943.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32506416:32517992:1 gene:DRNTG_01943 transcript:DRNTG_01943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLLNLNEPAVDGEIASYVMLQKDDKPICRTTCINLPFEPPACWSISGFQSSKIYLQSELPQCRLYPEPENYNQRREWGRFLQFLWKNNVVAIVKFDICEFYILASNESPQFTHAVVPYYMRKGHIKSHGLVRNSGICQRELKSSIHSENQQKFCSQFGEGIDHSQGRRSRAADRLPPVVSDKKVNSSHELKVPLPTRDSSRSFTEALSVPIKPVKSVHKNFVRTDPSYLRTLSQTHAGWIFGAMAELVDNSKDAGAKRLDISITNLYSKKDEKRIPVLSVIDDGHGMHHTDIVRMVSFGHKLPNEGKQDHIGRFGIGFKTGAMKLGRDVLVLTQTSTSRSVAFLSESFNKDKENLEIPLITYCKKGHYMEVDLNIQSDATAEYNLNAIKEFSPFNEYFIGEKLGSFGEFGTGTQIYIWNLDRWGSESSDYTLEWDDAETNKSSQGFQSNIWIRSRRVRSRPGQISREVPLDYSLHAYLEVIFLDPRMKIYIQGSLVKSRPLAKSLNKTFVVQGNVKDVALQLTLGRSQVEWERLNCGIFLYWHGRLIEAYKRVGSMVHNADIGRGVIGVIDVTDLVDENRDQVWVLNNKQGFQDCEAYAELEDWLGVNATKYWDDNFDRIDLKKGGGKYEPDHEWVQCDKCRKWRILPCGFDATSLPPEWFCCMPPFKGLCDAPEEHMDRGVIAVSLKRSGYGTETLTAECNKSKPKKSKSTPVVSHEISKRTEGDVKRKPRNYHRGDSGEDSTETEDETYRPVLKRIRRGPPRSCKPS >DRNTG_35036.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15363647:15364788:-1 gene:DRNTG_35036 transcript:DRNTG_35036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGVISTHRSLISMVAIILNRFKLDEAAGIQTFICTVPMFHIYGLAAFATGLLASGSTVVILSKFEMGDMLSAINKYNATFLPLVPPILVAMLAVSKPLPLGPLRKMLSGGAPLGKEVIEGFKERYPMIEILQGYGLTESTAIGASTDSSEESRRYGTAGMLSPNTEAKIVDPDTGRIITAQWSG >DRNTG_19502.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2753106:2753594:1 gene:DRNTG_19502 transcript:DRNTG_19502.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit B-4 [Source:Projected from Arabidopsis thaliana (AT1G09030) UniProtKB/Swiss-Prot;Acc:O04027] MADSIGSSPDSETHQINYRVTGASSGTIFSEGSVKEQDRLLPIAIVGRIMKQILPPNAKISKEAKETMQECVSEFISFVAGEASDKCHKEKRKTLNGDDICWALGSLGFDDYCEPMKRFLQKYRESEGDRAAPGGHNKATASSDSRDDIGNQAQMQSHGSFF >DRNTG_15646.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14634196:14636084:-1 gene:DRNTG_15646 transcript:DRNTG_15646.1 gene_biotype:protein_coding transcript_biotype:protein_coding QPFEGAQGQSHSSIPTYAHRTRSPSTYPSLKNQSDARHKRVPVYFSSMKSEFGSIFFGIIL >DRNTG_09694.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000404.1:63565:65378:-1 gene:DRNTG_09694 transcript:DRNTG_09694.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHFIQPLFLFLLLSGSFISAHSFVKPNNEARPDNETVYRISKALCWGCWAESLEFLVAHNLVRAAHWELPLWWDPRLEEYARSWAGLRRADCKAMHSFPEDGFKLGENIYWGSGSGPTPSDAVRAWADEERTRGCSRTPLPPFLLHMAL >DRNTG_21552.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:318084:321942:1 gene:DRNTG_21552 transcript:DRNTG_21552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMQMSAELEQLDGEIHDILRALSNGFQKLDKVKDPNRQSKQLEELTGKMRECKRLIKEFDRELKDEETRNSQEVNKQLNEKKQSMIKELNTYVALRKTYTTSLGNKRVELFDMGAGGSDPVGEENVQMASAMSNQELINAGMKTMDETDQTIERSKMVVEQTIEVGTQTAVNLKGQTEQMGRIVNELDTIQFSIKKASQLVKEIGRQVATDKCIMFFLFLIVCGVIAIIIVKIVNPHNKNIRDIPWIGTTSANGEKIAIVRCFCWFSVVLRHC >DRNTG_21552.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:318084:321942:1 gene:DRNTG_21552 transcript:DRNTG_21552.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRECKRLIKEFDRELKDEETRNSQEVNKQLNEKKQSMIKELNTYVALRKTYTTSLGNKRVELFDMGAGGSDPVGEENVQMASAMSNQELINAGMKTMDETDQTIERSKMVVEQTIEVGTQTAVNLKGQTEQMGRIVNELDTIQFSIKKASQLVKEIGRQVATDKCIMFFLFLIVCGVIAIIIVKIVNPHNKNIRDIPWIGTTSANGEKIAIVRCFCWFSVVLRHC >DRNTG_26094.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20745289:20749272:-1 gene:DRNTG_26094 transcript:DRNTG_26094.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy protein 5 [Source:Projected from Arabidopsis thaliana (AT5G17290) UniProtKB/Swiss-Prot;Acc:Q9FFI2] MAAMELCSEEALRYIWGGAIPLQIHLHESEVTTLPPPPPALILGPRIGYLPLLVSLLKPYFSSTLPPGVDTVWFDYKGLPLKWYIPTGVLYDLLCAEPERPWNLTVHFRGHPGETLIPCESEDNVKWSFINALKEAAYVINGNCKNVMNLSQADQFELWQSLMKGNMEGHQRIASRLKLGPIGEDCTLKTGSGQPRQALGEAETAGSSRVGRIPVRLYVRNISQDLDDFDEATAVDSWDSISYINRPVEIHKYEGHLLTLRTALKALLPEIFDDETMPDNQIFSKEEGCQVTNPSFEDYETPNSLRNVEAEETSIISDSVVLSKKPKVKLIRIQGIELDLSIPFFWVVNNLMNPDYFIHICVFTEASPSQVLVR >DRNTG_25554.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3076101:3080288:1 gene:DRNTG_25554 transcript:DRNTG_25554.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQCNAMHACMQTNSNMASFVFFVTMVASLLTVSASSNSLPILLQLKASFTHDPLNVLESWSSNNTDYCSWTGITCDGDVVAGVNLSSASLEGGVSPSLGLLTGLQVLDLSSNSLTGSIPESLSNLTSLTVLLLYSNGLSGTIPAGLGYLPSLRVLRLGDNSGITGPIPDSFGHLLNLTVLGLASCNLTGSIPSQLGRLSLLQRLVLQQNQLQGMIPTEISDCSELVVLALSNNNISGDIPAELGSLANLQTLNLGNNSLEGEIPSQLGKLNQLVYLNFMANKLQGTIPKSFGRLVSLQNLDLSGNQLTGSVPEELSELSELVFLVLSGNQLSGPLPEKLCQTSSKLEHLLLSTNNFTGEIPVSLVSCQYLKQLDLANNSLSGLIPSELGELLNLTDLLLNNNSFSGTIPRSLGDLSNLQTLTLYHNELRGRIPEELGMLQKLEILYLYENQLSGEIPKMIGNCSSLQMIDFFGNQLTGSIPSSFGMLENLNFLHLRGNGFSGEIPVSLGNCRQLMILDLADNQLSGRIPASFGQLESLQQLMLYNNSLHGSIPDEVFGCKNITRVNLSNNRLNGSLLPLCGSISLLSFDVTNNSFNFDVPPQLGNSQMLERIRLGKNRLIGEIPSTLGSISELSILDLSSNSLAGEIPEELASCSKLTHLDLNDNKFSGSVPAWLGSLSQLGELKLSNNGFSGLLPVELFNCSNLLKLCLDGNQLNGTVPAQIGELVSVNVLNLAGNEFSGSIPASIGRLTKLYDLHLSHNSFTGNIPSELGQLQELQSTLDLSYNHLTGEIPLFLQSLSKLEELDLSHNSLTGEVPRQIGEMSSLVKLDLSYNRLQGELDQAFDRWPLRSFEANAALCGNPLQPCSTHNSSPQQAGLNAASIAVVSAATTIIFALLIVAIIILRRRIPK >DRNTG_25554.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3076101:3080288:1 gene:DRNTG_25554 transcript:DRNTG_25554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCNAMHACMQTNSNMASFVFFVTMVASLLTVSASSNSLPILLQLKASFTHDPLNVLESWSSNNTDYCSWTGITCDGDVVAGVNLSSASLEGGVSPSLGLLTGLQVLDLSSNSLTGSIPESLSNLTSLTVLLLYSNGLSGTIPAGLGYLPSLRVLRLGDNSGITGPIPDSFGHLLNLTVLGLASCNLTGSIPSQLGRLSLLQRLVLQQNQLQGMIPTEISDCSELVVLALSNNNISGDIPAELGSLANLQTLNLGNNSLEGEIPSQLGKLNQLVYLNFMANKLQGTIPKSFGRLVSLQNLDLSGNQLTGSVPEELSELSELVFLVLSGNQLSGPLPEKLCQTSSKLEHLLLSTNNFTGEIPVSLVSCQYLKQLDLANNSLSGLIPSELGELLNLTDLLLNNNSFSGTIPRSLGDLSNLQTLTLYHNELRGRIPEELGMLQKLEILYLYENQLSGEIPKMIGNCSSLQMIDFFGNQLTGSIPSSFGMLENLNFLHLRGNGFSGEIPVSLGNCRQLMILDLADNQLSGRIPASFGQLESLQQLMLYNNSLHGSIPDEVFGCKNITRVNLSNNRLNGSLLPLCGSISLLSFDVTNNSFNFDVPPQLGNSQMLERIRLGKNRLIGEIPSTLGSISELSILDLSSNSLAGEIPEELASCSKLTHLDLNDNKFSGSVPAWLGSLSQLGELKLSNNGFSGLLPVELFNCSNLLKLCLDGNQLNGTVPAQIGELVSVNVLNLAGNEFSGSIPASIGRLTKLYDLHLSHNSFTGNIPSELGQLQELQSTLDLSYNHLTGEIPLFLQSLSKLEELDLSHNSLTGEVPRQIGEMSSLVKLDLSYNRLQGELDQAFDRWPLRSFEANAALCGNPLQPCSTHNSSPQQAGLNAASIAVVSAATTIIFALLIVAIIILRRRIPK >DRNTG_25554.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3076101:3080288:1 gene:DRNTG_25554 transcript:DRNTG_25554.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCNAMHACMQTNSNMASFVFFVTMVASLLTVSASSNSLPILLQLKASFTHDPLNVLESWSSNNTDYCSWTGITCDGDVVAGVNLSSASLEGGVSPSLGLLTGLQVLDLSSNSLTGSIPESLSNLTSLTVLLLYSNGLSGTIPAGLGYLPSLRVLRLGDNSGITGPIPDSFGHLLNLTVLGLASCNLTGSIPSQLGRLSLLQRLVLQQNQLQGMIPTEISDCSELVVLALSNNNISGDIPAELGSLANLQTLNLGNNSLEGEIPSQLGKLNQLVYLNFMANKLQGTIPKSFGRLVSLQNLDLSGNQLTGSVPEELSELSELVFLVLSGNQLSGPLPEKLCQTSSKLEHLLLSTNNFTGEIPVSLVSCQYLKQLDLANNSLSGLIPSELGELLNLTDLLLNNNSFSGTIPRSLGDLSNLQTLTLYHNELRGRIPEELGMLQKLEILYLYENQLSGEIPKMIGNCSSLQMIDFFGNQLTGSIPSSFGMLENLNFLHLRGNGFSGEIPVSLGNCRQLMILDLADNQLSGRIPASFGQLESLQQLMLYNNSLHGSIPDEVFGCKNITRVNLSNNRLNGSLLPLCGSISLLSFDVTNNSFNFDVPPQLGNSQMLERIRLGKNRLIGEIPSTLGSISELSILDLSSNSLAGEIPEELASCSKLTHLDLNDNKFSGSVPAWLGSLSQLGELKLSNNGFSGLLPVELFNCSNLLKLCLDGNQLNGTVPAQIGELVSVNVLNLAGNEFSGSIPASIGRLTKLYDLHLSHNSFTGNIPSELGQLQELQSTLDLSYNHLTGEIPLFLQSLSKLEELDLSHNSLTGEVPRQIGEMSSLVKLDLSYNRLQGELDQAFDRWPLRSFEANAALCGNPLQPCSTHNSSPQQAGLNAASIAVVSAATTIIFALLIVAIIILRRRIPGTKQVNCGYSSSSSLTHRQLLLKGSMKREFRWEAIMESTNYLSDEFIIGSGGAGTVYRVELSTGETVAVKKFLNKDSNAFLLDKCFAREIKTLGRIRHRHLVKLLGFLSGSNNGASAGTYLLVYEYMENGSVWDWLHNPQVGYKKKELNWEARMKIAIGLAKGVEYLHHDCVPRITHRDIKTSNVLLDGDMEAHLGDFGLAKYVSENSAGYTESKSWFAGSYGYIAPGMYQIQHNSNSLVL >DRNTG_30446.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:369841:373041:1 gene:DRNTG_30446 transcript:DRNTG_30446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIIVRRVIPSDNSCLFNAVGYVMEHDKHKAQELRQVIAAAVGSDPEKYIEAFLGKPNEEYCAWILDSDKWGGAIELSILSEYYGREIAA >DRNTG_23491.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21822903:21826310:1 gene:DRNTG_23491 transcript:DRNTG_23491.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNKFDAKTFAISLKAMMENMDKQIKDSIFSEQLHKHFAATSIPKGIHCLSLRLTDEYSSNAHARKQLPSPELLPLLSDNSLHHFVLASDNILAASVVVSSTVKSSLYPEKIVFHVITDKKTYPAMHSWFALYPPSPAIVDVKGVHQFDWLTRENVPVIDAIENHHGVRSHYHGNHLIDFNVTGSPKEFASRLLARSPKYISLLNHLRIYLPELFPNLNKVVFLDDDIVIQRDLSPLWEINLYGKVNGAVETCKGEDKRVMSKRFKTYFNFSHHLISEHLDPDECAWAYGMNIFDLSAWRKTDIRETYHRWIKENLKSNLTLWKLGTLPPALIAFRGHVHPIDPFWHMLGLGYQEKTNPETVRKAAVIHYNGQCKPWLEIGYKHLQPFWTKHVNYSNEFIKNCHILEPQ >DRNTG_23491.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21821155:21826310:1 gene:DRNTG_23491 transcript:DRNTG_23491.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRLSPSMRSITISAPGAGAGAAGGGLLDLAKVKVPPPRHLSYRTLFHTVLILAFLLPFVFILTAVVTLEGVNNCSSFDCLGRRLGPRLLGRGGDDSVRLVKDIYKILDQVNSEQIPENLKVPHSFKELLSEMKRNKFDAKTFAISLKAMMENMDKQIKDSIFSEQLHKHFAATSIPKGIHCLSLRLTDEYSSNAHARKQLPSPELLPLLSDNSLHHFVLASDNILAASVVVSSTVKSSLYPEKIVFHVITDKKTYPAMHSWFALYPPSPAIVDVKGVHQFDWLTRENVPVIDAIENHHGVRSHYHGNHLIDFNVTGSPKEFASRLLARSPKYISLLNHLRIYLPELFPNLNKVVFLDDDIVIQRDLSPLWEINLYGKVNGAVETCKGEDKRVMSKRFKTYFNFSHHLISEHLDPDECAWAYGMNIFDLSAWRKTDIRETYHRWIKENLKSNLTLWKLGTLPPALIAFRGHVHPIDPFWHMLGLGYQEKTNPETVRKAAVIHYNGQCKPWLEIGYKHLQPFWTKHVNYSNEFIKNCHILEPQ >DRNTG_23491.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21822903:21826310:1 gene:DRNTG_23491 transcript:DRNTG_23491.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNKFDAKTFAISLKAMMENMDKQIKDSIFSEQLHKHFAATSIPKGIHCLSLRLTDEYSSNAHARKQLPSPELLPLLSDNSLHHFVLASDNILAASVVVSSTVKSSLYPEKIVFHVITDKKTYPAMHSWFALYPPSPAIVDVKGVHQFDWLTRENVPVIDAIENHHGVRSHYHGNHLIDFNVTGSPKEFASRLLARSPKYISLLNHLRIYLPEVIESIML >DRNTG_07135.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:11480931:11485268:1 gene:DRNTG_07135 transcript:DRNTG_07135.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRGKGRRMSAGSDRSVEQSPLHPHHQYQQQGRSPKAGPGHGITPHTPPRTRMRQGALPVLDREDSGSAVPRFGGWDEKDPATTENYTELFGNIRQERETGSAKAPITDKKKPSHLNQPHYNNSHSKGCSCFGWLKN >DRNTG_07135.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:11480931:11483886:1 gene:DRNTG_07135 transcript:DRNTG_07135.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRGKGRRMSAGSDRSVEQSPLHPHHQYQQQGRSPKAGPGHGITPHTPPRTRMRQGALPVLDREDSGSAVPRFGGWDEKDPATTENYTELFGNIRQERETGSAKAPITDKKKPSHLNQPHYNNSHSKVSLSHSHSHPQSHYC >DRNTG_07135.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:11480931:11481554:1 gene:DRNTG_07135 transcript:DRNTG_07135.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYICVCMCMCMYTSKHIIKHLVSRSSSSSSSSSSSSKGMFRSMGTGKTASKSCTQPTSKTLAKVGTPGD >DRNTG_07135.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:11479702:11485268:1 gene:DRNTG_07135 transcript:DRNTG_07135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQQQQQQQQQGHVPKYGDWEDGEQELYTAYFENARKGRNAGRLINPNDPQQKLHLSSQSQPTPAAKPQPEKTRNALKEKHVGCPSREEEAGEVVGFNESPARKLFDEMPQRGKGRRMSAGSDRSVEQSPLHPHHQYQQQGRSPKAGPGHGITPHTPPRTRMRQGALPVLDREDSGSAVPRFGGWDEKDPATTENYTELFGNIRQERETGSAKAPITDKKKPSHLNQPHYNNSHSKGCSCFGWLKN >DRNTG_07983.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000357.1:10029:13646:1 gene:DRNTG_07983 transcript:DRNTG_07983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYPRLARAHAAYSELPAFQAALPERQPDYPASA >DRNTG_10903.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000477.1:19379:23733:1 gene:DRNTG_10903 transcript:DRNTG_10903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGMLVKLLFYVHKLEYSSVAVFVPVQMDVPTRIRGGLFDQEDDNEEVMKLGLTEEVPSTPRILKKVLRKMKRARRHHRKCSKAVGDVHESNILDTPLLGGPKPDNCPSTFKRL >DRNTG_32557.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20032021:20032708:-1 gene:DRNTG_32557 transcript:DRNTG_32557.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVFSQSPATIPANFMTPSPAVPTSQPPSESLSL >DRNTG_06487.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000288.1:1:53858:1 gene:DRNTG_06487 transcript:DRNTG_06487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYGAIWQLAKAYVLVNDSGVHQIISHWLNTHAVMEPFVIATNRQLSVVHPIYKLLYSHYCDTMNINALARQSLINVGGVLESTSFAGKYTMEMSAVVYKSWNFMEQSLPADLIKRGVAMEDPSNPNNVQLLIKDYPYAVDGLAIWSAIHTWVTEYCKIYYPDDATLQADVQVEAWWKEVREVGHGDKKHETWWPSMRLVSELIHTCTTIIWIGSALHASINFGQYPYAGYLPNRPTISRQFMPEPGSPEYEMLKTDPDKVFLKTITSELQTLEGISVLEILSKHASDEVYLGQRDTEEWTSDEEALEAFRWFGKRLEEIGKEIVARNEDPNLKNRNGLVKMPYSLLYPTSDPGLTAKGVPNSISI >DRNTG_31599.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:628930:631011:-1 gene:DRNTG_31599 transcript:DRNTG_31599.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLPAQPPYGLFSNQFLHEHGRHLFACAAAWLIVDIPFYSSTLFQSHIYHKWVEKANVNPFQETYNVAKLQAIIAICSTIPGYWAAVYSIDRIGRRKLQMLGFFFMAVFLFALAGPYDVYWNDHTNGWFMVLYGLTFFFSNFGPNTTTFIIPAELFPARLRSTCHGISGAAGKVGAIIGTLGFLWASQDKSKDNNRNNGWKPGIGMTNSLIILGSICVLGLVLTYFLTPETKGKSLESNESEENQPSTIKTETDQNNEEIIELGICTPSPIAEAPLWWR >DRNTG_04341.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31146044:31147458:1 gene:DRNTG_04341 transcript:DRNTG_04341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQIWQLSLDHYQTATLRFPDEPGQTSPQRPSRNFENYSYAGSSEDQIRHRPP >DRNTG_22698.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001250.1:78537:79819:-1 gene:DRNTG_22698 transcript:DRNTG_22698.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAELDITDYDVTRIAEMIDGEVSSLVPDWKPGPGMEEMARCQTTTSICQNCASNVSSCGSLLDYLSARNPDSTNLQSTRCSRLGCASMHGRFGEFTYQSEEPKQCSTEEIPVVPASQSEGLDLSSQGSRDIHSDEDDDLNQSSTNERLIHTNDELGYQCTTTSTSDDYENEIFQELRWLTANHQRALRDYKRLQLGGSQTLNSTPDLSNRMENGVTALAFCTPPHEKSMKPMKSFHLGGKFSFPIPSFCPPEEKKSVNNNDCCGSSHPDYDARRAHACEVDSFDDSKRLHTAQNFYTGVLRNSLLRTRSLPVDAVDG >DRNTG_22698.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001250.1:78397:79819:-1 gene:DRNTG_22698 transcript:DRNTG_22698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAELDITDYDVTRIAEMIDGEVSSLVPDWKPGPGMEEMARCQTTTSICQNCASNVSSCGSLLDYLSARNPDSTNLQSTRCSRLGCASMHGRFGEFTYQSEEPKQCSTEEIPVVPASQSEGLDLSSQGSRDIHSDEDDDLNQSSTNERLIHTNDELGYQCTTTSTSDDYENEIFQELRWLTANHQRALRDYKRLQLGGSQTLNSTPDLSNRMENGVTALAFCTPPHEKSMKPMKSFHLGGKFSFPIPSFCPPEEKKSVNNNDCCGSSHPDYDARRAHACEVDSFDDSKRLHTAQNFYTGVLRNSLLRTRSLPVDAVDG >DRNTG_14979.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24051814:24057182:1 gene:DRNTG_14979 transcript:DRNTG_14979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNGGAEREVQKSYWMEHSQDLTVEAMMLDSRAADLDKEERPEVLSLLPPFKGKSVLELGAGIGRFTGELAKEAGHVLALDFIETVIKKNESINGHFANASFMCADVTSPELKIEDESVDLIFSNWLLMYLSDNEVENLAERMIKWLKVGGYIFFRESCFHQSGDCKRKSNPTHYREPRFYTQVFKNCHAHNSGSDSFELSLITCKCIGAYARNKKNQNQICWLFQKVRSTDDRGFQRFLDSVQYKSSGILRYERVFGEGFVSTGGIETTKEFVSKLELKPGQKVLDVGCGIGGGDFYMAEKFDVDVIAIDLSVNMISFALERAIGLKCSVEFEVADCTKKTYPDNTFDVIYSRDTILHIQDKPSLFKEFFRWLKPGGKVLISDYCKNPGTPSEEFKEYIKQRGYDLHDVGTYGKMLKDAGFHEVVAEDRTDQFIEVLQRELDAVEKEKDEFICDFSKEDYDDIVDGWRAKLKRSSKGEQRWGLFIAKKK >DRNTG_06605.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:563838:565922:1 gene:DRNTG_06605 transcript:DRNTG_06605.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQLHDRGNKAPPHPVSPPYVANIDNTTELTNLGILSSRVTKPPMREQSFIAIEPFKKSSTVFFFFSSNAQ >DRNTG_00222.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15581689:15602145:1 gene:DRNTG_00222 transcript:DRNTG_00222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALILQCSPPSPSCSLPPFNSTINACSCYSSANWPRRAMDLSCFSWQQVLPASCLYNCLSDSAGLRVSNVSGMRTDHSKNILWVQRWRGMGLSCFSPREQALPARCMYNCRSESAETRVFVMSGLYTNPLKKSMLWLRRQKGMNVCWFSRQTELPARYLHNCLSDRARPRVFVVSDLHTDYLENMSWVRRLSSVLYKKDVLIVAGDVAETYKNFVNTMSELRDRFQVVFFVPGNHDLWCRREGENYLDSLEKLDMLLDACRELGVSTRPRTIDGVGIIPLFSWYHKSFDKEKDVTGVRIPSLEMACKDFHACKWPEELSNNDASLSQYFDLLNEKNLNAMEQITKKSNQIITFSHFIPRQELCPEKRMLFYPNLPKIIGSDALEVRIRSIHGQRGSTSACHVFGHTHFCWDAVLDGIRYVQAPLAYPRERKRRMNGGEDWLPFCVYDCGLTEQLSPSFWSDYYTKNKREPENTELAPWVARFYKR >DRNTG_06423.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29801767:29803840:-1 gene:DRNTG_06423 transcript:DRNTG_06423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSMLSMEKGVEHCTEGRKDPQGGAGEAGLLFNNLWRKLTIARQNRLQPPVQQEFFGNLVLATYPKAKAKALIKGGVAKAAGIFRKAVRALADDYFWSFIDFGEFYGDRDLVSCYERDGNVLSPNMEFASWLEIVFDEVDFGGGGKNLQSYAEFNLKVC >DRNTG_04891.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11553880:11555844:1 gene:DRNTG_04891 transcript:DRNTG_04891.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPHLSFHLITLNVPSSELSLDNTRFELQIHTHIRNSSELSLDNTRFEFQTHIHIRNS >DRNTG_04891.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11553880:11554777:1 gene:DRNTG_04891 transcript:DRNTG_04891.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTLLLSSTSSSSSTDSTTTTATASRDSTSSSSSDLLLPDSARPSSATADEDPHPLPPPPPKRLLTVSHYFSSLASRFLHRSSSKRSTPKEVFKKYVKKVKPLFSKKSDDLAARKTFSFSFSHSQSFSGNLRCPRRRTCAGSCPSSMRSSPSHSGLLYAGGTFPAPTNSSSSSSMEELQSAIQGAIAHCKSSLVIGNQKKGFCSLMVSSDKNSG >DRNTG_01974.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13200963:13203887:1 gene:DRNTG_01974 transcript:DRNTG_01974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHEERRITMSVIVMSVIMCIMSTCIDARIHLPNFILVEEKPVTFVFGDSLTDVGNNNYLPYSLAKSNYLWYGIDYEGGKPTGRFTNGRTIGDIISSKLGIESPPPYLSLSMKDDAILHGINYASGGAGILNETGAYFLQKLSFDDQITCFEKTKVAIAAKIGDTAAEKLSNLAVYFIGMGSNDYVNNYLQPFLADSQLTHDEYLDLLISTLDGQFKRLYGLGARKIVFDGLGPLGCIPSQRVKSRNGECLTLVNQYVKLFNSRVQKLLTSLDSQLSGSHFSFADSYSIVLDLIENPGKYGFKIGNTSCCNVDNTLGGLCLPNTKLCSNRKDYVFWDGYHPTDAANQIIADILFSNPSAQVAPPPAPAPTPATTHTHTLTPTPTPTHHHKPTPAAAPSPPAHHS >DRNTG_16743.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10940357:11122163:-1 gene:DRNTG_16743 transcript:DRNTG_16743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTEDWSSRGITLGLSSSDHFCLTYPEVMVWSQLEAYRGDRKDARQVFLSSAARPEGYRESIYSHCFFLLRPLPLRCGSSSKKRNKNKAFLIFMDNQSIFKYSWETFPKKWVHKMERSEHGNRSYTNTDYPFPLLCFLKFHTYTRVQVSIDICGVDHPSRKLRFEVVHNLLSTRYNSRIRVQTSEITNLDIKAGINSLTCSQIIHD >DRNTG_32506.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001848.1:30862:34526:1 gene:DRNTG_32506 transcript:DRNTG_32506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPDRYEHFVVPEGTKKVSYERDTKIVNAGSFTVEREDHTIGNILRICTGIRMCSSPGTSCRILFSTRS >DRNTG_09779.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20020218:20023104:1 gene:DRNTG_09779 transcript:DRNTG_09779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKIHPSPAATSSPGSVSGDAIKVLRLLPAAILALTAVMRNEDKEVLAYLMTRSMSGSVAAAGEERRRCSSGGAHRPALDCGCFECYTSFWSRWDCSPNRELIHQVIEAFEEHLASSERAGVRYRRRDRAEKKGKRSKEEKKEMKKMEDVVVETVVSFRDESSAVAIEDEKDGEKEEVSPAVIGSEVEAAAVDGEKRRGWADLMYDS >DRNTG_12159.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12163996:12166624:1 gene:DRNTG_12159 transcript:DRNTG_12159.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (carboxymethyluridine(34)-5-O)-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G36310) UniProtKB/Swiss-Prot;Acc:Q94A09] MVYSLHCVTKLWRTYIQFKETGLFFKLSLSLACPNIHRCNFSNTMTPNLDDCTTTDSKSLLDLNSLELKQGPHVSFETRDHQSVQLTPEIEKKYVHRVYDAIAPHFSATRFAKWPKVAGFLNTLKPGSVILDAGCGNGKYLGLNPDCLYIGCDISPSLIDICARRGHEVLVADAVNLPYRNDFGDAAISIAVLHHLSTESRRRKAVDELVRVVRKGGLVLITVWAVEQEDKSLLMKWTPLCEKYNEEWISPTNIIPSRNPSAIVLESIPEADENSQHQGNQSSKPQTQSNGEDKSEEKLAVESSSSSCSGKTEAQQEYFVPWHLPFHRAEIGGATASALENGLAKKDNKKGAMVYNRYYHVFAEGELERLVSGMDNATIVEQFYDKSNWCIVLEKR >DRNTG_12159.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12163996:12166624:1 gene:DRNTG_12159 transcript:DRNTG_12159.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (carboxymethyluridine(34)-5-O)-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G36310) UniProtKB/Swiss-Prot;Acc:Q94A09] MVYSLHCVTKLWRTYIQFKETGLFFKLSLSLACPNIHRCNFSNTMTPNLDDCTTTDSKSLLDLNSLELKQGPHVSFETRDHQSVQLTPEIEKKYVHRVYDAIAPHFSATRFAKWPKVAGFLNTLKPGSVILDAGCGNGKYLGLNPDCLYIGCDISPSLIDICARRGHEVLVADAVNLPYRNDFGDAAISIAVLHHLSTESRRRKAVDELVRVVRKGGLVLITVWAVEQEDKSLLMKWTPLCEKYNEEWISPTNIIPSRNPSAIVLESIPEADENSQHQGNQSSKPQTQSNGEDKSEEKLAVESSSSSCSGKTEAQQEYFVPWHLPFHRAEIGGATASALENGLAKKDNKKGAMVYNRYYHVFAEGELER >DRNTG_12159.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12163996:12166624:1 gene:DRNTG_12159 transcript:DRNTG_12159.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (carboxymethyluridine(34)-5-O)-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G36310) UniProtKB/Swiss-Prot;Acc:Q94A09] MVYSLHCVTKLWRTYIQFKETGLFFKLSLSLACPNIHRCNFSNTMTPNLDDCTTTDSKSLLDLNSLELKQGPHVSFETRDHQSVQLTPEIEKKYVHRVYDAIAPHFSATRFAKWPKVAGFLNTLKPGSVILDAGCGNGKYLGLNPDCLYIGCDISPSLIDICARRGHEVLVADAVNLPYRNDFGDAAISIAVLHHLSTESRRRKAVDELVRVVRKGGLVLITVWAVEQEDKSLLMKWTPLCEKYNEEWISPTNIIPSRNPSAIVLESIPEADENSQHQGNQSSKPQTQSNGEDKSEEKLAVESSSSSCSGKTEAQQEYFVPWHLPFHRAEIGGATASALENGLAKKDNKKGAMVYNRYYHVFAEGELER >DRNTG_28106.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27955317:27957304:1 gene:DRNTG_28106 transcript:DRNTG_28106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTMSMVRKNFDEGLGASLKKPSGGQKNEDHVNKYNRFLYLLYDHLAMIYILQIHMVRGAVKYNMKLPSGSIIEIFRMPDHILKIKAKNSMNAVKDKLISQVESELLCRAYLQIYLGDYPFAKEAKERFGASLLEPLL >DRNTG_03527.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000167.1:37763:41430:1 gene:DRNTG_03527 transcript:DRNTG_03527.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPAILLVAWLMVVSLILASVEIITEGISFVKFILKLRLLWLVAKNRDFASNAAVVSCKVSVDEKGISKGFRFVQMESEEAALLAIRGLNGKDLKDEIKKLYVPKFLEKSEREALAMEFKCSTLYIKNILSSDITDELLQDKFSEFGKVNNAIVMKDSTGKSKGFGFVSFELPEHAKIAMEAMNGSKLGSGSKILYVRPAQNKAEREKILKLQFGKKLDQPLKKNQASILLFTMVRIILYPRN >DRNTG_03527.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000167.1:37763:41430:1 gene:DRNTG_03527 transcript:DRNTG_03527.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESEEAALLAIRGLNGKDLKDEIKKLYVPKFLEKSEREALAMEFKCSTLYIKNILSSDITDELLQDKFSEFGKVNNAIVMKDSTGKSKGFGFVSFELPEHAKIAMEAMNGSKLGSGSKILYVRPAQNKAEREKILKLQFGKKLDQPLKKNQASILLFTMVRIILYPRN >DRNTG_03527.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000167.1:37763:41680:1 gene:DRNTG_03527 transcript:DRNTG_03527.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTIDNGKDEVNVEKIAYMAGVLARYRKSLIERTKHHLGYPYNLDFDYGVLGQLQYFLINNLVVSCKVSVDEKGISKGFRFVQMESEEAALLAIRGLNGKDLKDEIKKLYVPKFLEKSEREALAMEFKCSTLYIKNILSSDITDELLQDKFSEFGKVNNAIVMKDSTGKSKGFGFVSFELPEHAKIAMEAMNGSKLGSGSKILYVRPAQNKAEREKILKLQFGKKLDQPLKKNQGETVYVKNLDISVDDINVLYYNNKVEFF >DRNTG_03527.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000167.1:37763:41680:1 gene:DRNTG_03527 transcript:DRNTG_03527.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEEAALLAIRGLNGKDLKDEIKKLYVPKFLEKSEREALAMEFKCSTLYIKNILSSDITDELLQDKFSEFGKVNNAIVMKDSTGKSKGFGFVSFELPEHAKIAMEAMNGSKLGSGSKILYVRPAQNKAEREKILKLQFGKKLDQPLKKNQGETVYVKNLDISVDDINVLYYNNKVEFF >DRNTG_03527.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000167.1:37763:41430:1 gene:DRNTG_03527 transcript:DRNTG_03527.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTIDNGKDEVNVEKIAYMAGVLARYRKSLIERTKHHLGYPYNLDFDYGVLGQLQYFLINNLVVSCKVSVDEKGISKGFRFVQMESEEAALLAIRGLNGKDLKDEIKKLYVPKFLEKSEREALAMEFKCSTLYIKNILSSDITDELLQDKFSEFGKVNNAIVMKDSTGKSKGFGFVSFELPEHAKIAMEAMNGSKLGSGSKILYVRPAQNKAEREKILKLQFGKKLDQPLKKNQASILLFTMVRIILYPRN >DRNTG_31796.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:524873:526684:-1 gene:DRNTG_31796 transcript:DRNTG_31796.2 gene_biotype:protein_coding transcript_biotype:protein_coding CKRRRRRKQRGRRGRPFGLRCKRNGTWEDNHISSTWKSTCC >DRNTG_31796.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:524789:525834:-1 gene:DRNTG_31796 transcript:DRNTG_31796.3 gene_biotype:protein_coding transcript_biotype:protein_coding CKRRRRRKQRGRRGRPFGLRCKRNGTWEDNHISSTWKSTCC >DRNTG_31796.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:524873:525707:-1 gene:DRNTG_31796 transcript:DRNTG_31796.5 gene_biotype:protein_coding transcript_biotype:protein_coding CKRRRRRKQRGRRGRPFGLRCKRNGTWEDNHISSTWKSTCC >DRNTG_31796.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:524873:525834:-1 gene:DRNTG_31796 transcript:DRNTG_31796.4 gene_biotype:protein_coding transcript_biotype:protein_coding CKRRRRRKQRGRRGRPFGLRCKRNGTWEDNHISSTWKSTCC >DRNTG_31796.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:524789:526684:-1 gene:DRNTG_31796 transcript:DRNTG_31796.1 gene_biotype:protein_coding transcript_biotype:protein_coding CKRRRRRKQRGRRGRPFGLRCKRNGTWEDNHISSTWKSTCC >DRNTG_13608.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000625.1:41389:44300:1 gene:DRNTG_13608 transcript:DRNTG_13608.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDFGKALTRFVQSSHTKFQSVEATLRNHTASLDNLENQVGQIAKSFSERPQGSLPSNTETNPREHVKVTTLRSGHEVEGNLPSEKPNEHAPEVIEIEKGTSKEKEEMFNPYPYEGLFDQEVDNKGIMMLGSTEKVPSTPGILKKVLRKMKRARRGHRKCSKVVGDVREQNKLDESFLARFTGGFLDAMTEEESIKVGDEAPPKPQRPPLQTLAVSPLKSRTKDEKE >DRNTG_33117.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32828666:32831624:1 gene:DRNTG_33117 transcript:DRNTG_33117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLVFLNYVTLSSFSWDQDNEKVKIYVFLEGVEQEKAETIFKPMSVDIKFHDVQGKNYRLAIPKLNKEIVPQKCKVLVKPTKVIVTLFKASKGNWLDLHLKEDKLKPSMDKEKDPMAGIMDLMKNMYDEGDDEMKRTIAKAWSDARSGKATDPMKGFGH >DRNTG_03327.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15227553:15232608:1 gene:DRNTG_03327 transcript:DRNTG_03327.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDFFTLTEMKNGLATLARVEELIAVMQRPVDIVITSIGSIGDAARQWLTVAGTLSATDNNDCLHHFVNLNGVYFLNQWLQEAMKCSSDAGDSSVEELMIALLGSLARLPIDKEKSIASGIHRTVECFLGHKNLDIKDKARELLDQWNPVRIDDTGCQNMDLSGASVSDIFKPAADESKVKSSSDVAIPEGISSSKAEGENVGLKAGSAGDESEQSNVTGYPDNSHKDHTLPANSFNSTDANDISTDMNSLASSLVSNSCQNNFPIAQESSICPAANIATTGTCGSIGKERNFDGQSNASMRTDVGDGTIEMDVVLSTRESSQKNCNSLSLDLSAQKPTAELVENSGLKKSSSCISELAVSQAREPVDCGSRKYIKDFKPHLPKASQSLSTKGIGEKVVVDVLETSCILKDVVRSEGDAVNLEDSKSAENKLGFETDGAIDMELEYGEIDALEIARQIARKVEHEVVNYREPYCSSSPEICSSSEGRQDQLAISDQDADGLPNGKLKEASKSSEDVSSDLDKDVHDTESLMAVDEEPVSHSDNSRINFDLNAEFSTEENNCSVNIIDENPFILPAPKPVVATSKITSGLPVTPLHFEGESGWKGSAATSAFHPAPSRKTPDSERTSSGSKQKHKIVDIDLNAAGSEDDEVINQASAKHPTGDSSIEVTSRSTERVNLDLNSSINNPIHQQIAVQSVSPSSSSSSRLPLMRDFDLNDAPSLFTLAGSQNLNKLPVVNASRTCQSSIRDSCDIPTMSSRVIVEPQSYSDRTHQTLPTTAYGYNGFLSKPAMSGTALYRPQNIPYHDSSGTTLIPRWLNSGASSSYPMLTIGEPSNLDEQGPSRPSLDLNSCMEISSKPGSSNQFYQFLEEHSTASRPESSSGMTAHKRKEPDSSGYETYPLDGKQVMPSWL >DRNTG_18493.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000956.1:8682:13597:1 gene:DRNTG_18493 transcript:DRNTG_18493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRGYIEAASRCSRLTNSILHSPSSMNALQHPHRSQSLQGHCCKPPNNPHAIGPLKKAHMSDNASDVWANEQGTNAGLDPLMFNENCHLISQIQVEPVHHAD >DRNTG_18387.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20971890:20973724:1 gene:DRNTG_18387 transcript:DRNTG_18387.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPQLESPKLPNNFINNGPCTLLQQPSSTIPN >DRNTG_04115.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30121704:30125584:-1 gene:DRNTG_04115 transcript:DRNTG_04115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHTFLAKKSPLGTIWIAAHLERRIKRPQIEGIDIPSYADCIMFPEVPIALRLSGHLLLGLVKIYSWKVNYLFQDCNRVLSNIRTAIASAQVDLPADANRAPFESITLPNTFALDALELEESTYEADGPDKNRSSYEEITLNDTIPAEGAQYEAFYLEEEFRFDPSPQPVPADNEAIPMDEDILPSGEVGVDATATPMEHVMAPADQDVSSIPSVSEAQQASQEFPEIDVMRDAVDNPDPVAFQESPIKNMDSGGMADTSILSPPVEHVLAPEAGLASSTAHVQASVTAGSLDDPKLTNTGVSLEQAAPDFELKPAPAVKRRRVNARKKGQYFDEEVILSNEVMREQLDDTTRLVKKRGKLPGSSLDIWRFRKAQQKENIFNEPLLSGMSSDLQKAFNKAFPCVNVNDPFAFEALPRSPNAEAENAAPELEMQPEQAQSDHQVDMDVPDFTTPDVDRSSKQPQSIDVVGGNLSDLVPSPSKGGDFTQFDSNIGSTSHVGGTFATEETMPAFEAPPSAEPFGLEPETPLAHSEQWPVNDPVPEFATIQISAEKEELSFLESSNASSDSEQLNVENMSSRTRAVAQYLKSHSPATQASKDPTGTLSLNKILEGKTRKICARMFFETTVLKSCNLIDVKQDEPYGDISISLKPSLSTTEF >DRNTG_24698.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19134165:19139464:-1 gene:DRNTG_24698 transcript:DRNTG_24698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDPTPSVSLVVLGIMSWSIIFIFFRRTLPNFSFDFCSRLVATIHASLALSLASLSVQDWSCPVCPQASSSSPSQRTLAVTLSYLIYDLGCCFLNKQLPLDTLFHHLVSITGIGACLLYGMSGAELVAALWVTEISTPFLHLRELIKELGFKDTDLNLAVDILFATFFTLGRMVGGPYVTHSTWAANNPILIKVMAMGLQLVSVFWFYKILNMVKHKLLKRVSANKKP >DRNTG_34494.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12836659:12841585:1 gene:DRNTG_34494 transcript:DRNTG_34494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSDDVSPRIIASSARTLYYALPNQYNARVSRGIGQGFEKVLRLRYRHSLGRRLVGELLSRRILYRMRQSLDDEKRNFHKTMDTIIEGVSLFTANSRPKIKFPKNPHGRVEIAHASVEIPLAHLENLQGRVDAQFQPYLSDDSARFLEESFIFLSNFSPSFGRSSARVMRVFGKSLEWSYGFDTELLLE >DRNTG_09290.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:837649:838877:1 gene:DRNTG_09290 transcript:DRNTG_09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQMQQLKEEREHERAQREEERAQECARYNALLGFLQTRFPGVTIPGVDPASSTSQ >DRNTG_28530.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6370645:6385984:-1 gene:DRNTG_28530 transcript:DRNTG_28530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSPRSGFQLAVFQDEIFLYGGYSKEVATDKSGSEKGIVHSDMWSLDPRSWEWNKVKKSGMPPGPRAGFSMCVHKKRAVLFGGVVDMEVEGDVLMSLFMNELYGFQLDSHRWYPLELRKDKPTKEKSKGSKKHDASEASSLPANDTNDLDMSMANDGDDFMEDYEEVPDIQSGIKEVSNQVTKSLSLNNITSSKMSDVQGLNAITDQQSSTSSEAVKPCGRINSCVVVGRDTLYMYGGMMEVRDQEITLDDLYSLNLSKLDEWKCIIPASEPEWLEVSEEDDSEEEESDGGGDSDETDDDENDDMDSEGDGEGRLDMGDAVSFLKGEKTNLRRKEKRARIEQIRVTLGLSDSQRTPLPGEALKDFYKRTNTYWQMAAYEHTQHTGKELRKDGFDLAEARFRELKPILDELALLEAEQKAEEQEAEASSSKRGNKKKQTSKSQR >DRNTG_28530.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6383005:6385984:-1 gene:DRNTG_28530 transcript:DRNTG_28530.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSKKPGKGKEKTERKTAKAEEKRARRESKKVSEEDDIDAILRNIQKEEAKKKEVHIEENVPRPSPRSNCSLILNPLKESELIVYGGEFYNGKKTYVYGDLYRYDIEKNEWKSISSPNSPPPRSAHQAVAWKNYIYIFG >DRNTG_28530.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6370645:6385984:-1 gene:DRNTG_28530 transcript:DRNTG_28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSKKPGKGKEKTERKTAKAEEKRARRESKKVSEEDDIDAILRNIQKEEAKKKEVHIEENVPRPSPRSNCSLILNPLKESELIVYGGEFYNGKKTYVYGDLYRYDIEKNEWKSISSPNSPPPRSAHQAVAWKNYIYIFGGEFTSPNQERFHHYKDFWMLDLRTNQWEQLLQKGCPSARSGHRMVLYKHKIIVFGGFYDTLREVRYFNDLHVFDLDQFKWQEIKPRPGAMWPSPRSGFQLAVFQDEIFLYGGYSKEVATDKSGSEKGIVHSDMWSLDPRSWEWNKVKKSGMPPGPRAGFSMCVHKKRAVLFGGVVDMEVEGDVLMSLFMNELYGFQLDSHRWYPLELRKDKPTKEKSKGSKKHDASEASSLPANDTNDLDMSMANDGDDFMEDYEEVPDIQSGIKEVSNQVTKSLSLNNITSSKMSDVQGLNAITDQQSSTSSEAVKPCGRINSCVVVGRDTLYMYGGMMEVRDQEITLDDLYSLNLSKLDEWKCIIPASEPEWLEVSEEDDSEEEESDGGGDSDETDDDENDDMDSEGDGEGRLDMGDAVSFLKGEKTNLRRKEKRARIEQIRVTLGLSDSQRTPLPGEALKDFYKRTNTYWQMAAYEHTQHTGKELRKDGFDLAEARFRELKPILDELALLEAEQKAEEQEAEASSSKRGNKKKQTSKSQR >DRNTG_28530.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6370645:6376800:-1 gene:DRNTG_28530 transcript:DRNTG_28530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGPRAGFSMCVHKKRAVLFGGVVDMEVEGDVLMSLFMNELYGFQLDSHRWYPLELRKDKPTKEKSKGSKKHDASEASSLPANDTNDLDMSMANDGDDFMEDYEEVPDIQSGIKEVSNQVTKSLSLNNITSSKMSDVQGLNAITDQQSSTSSEAVKPCGRINSCVVVGRDTLYMYGGMMEVRDQEITLDDLYSLNLSKLDEWKCIIPASEPEWLEVSEEDDSEEEESDGGGDSDETDDDENDDMDSEGDGEGRLDMGDAVSFLKGEKTNLRRKEKRARIEQIRVTLGLSDSQRTPLVVSVLSYRLPFHYCSLICSFDIN >DRNTG_28530.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6377759:6380075:-1 gene:DRNTG_28530 transcript:DRNTG_28530.6 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSPRSGFQLAVFQDEIFLYGGYSKEVATDKSGSEKGIVHSDMWSLDPRSWEWNK >DRNTG_28530.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6370645:6379546:-1 gene:DRNTG_28530 transcript:DRNTG_28530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFFCRYFNDLHVFDLDQFKWQEIKPRPGAMWPSPRSGFQLAVFQDEIFLYGGYSKEVATDKSGSEKGIVHSDMWSLDPRSWEWNKVKKSGMPPGPRAGFSMCVHKKRAVLFGGVVDMEVEGDVLMSLFMNELYGFQLDSHRWYPLELRKDKPTKEKSKGSKKHDASEASSLPANDTNDLDMSMANDGDDFMEDYEEVPDIQSGIKEVSNQVTKSLSLNNITSSKMSDVQGLNAITDQQSSTSSEAVKPCGRINSCVVVGRDTLYMYGGMMEVRDQEITLDDLYSLNLSKLDEWKCIIPASEPEWLEVSEEDDSEEEESDGGGDSDETDDDENDDMDSEGDGEGRLDMGDAVSFLKGEKTNLRRKEKRARIEQIRVTLGLSDSQRTPLPGEALKDFYKRTNTYWQMAAYEHTQHTGKELRKDGFDLAEARFRELKPILDELALLEAEQKAEEQEAEASSSKRGNKKKQTSKSQR >DRNTG_20709.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17960775:17963585:1 gene:DRNTG_20709 transcript:DRNTG_20709.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDLVELRFRLFDGTDIGPIRYSSASTVAMLKERIITDWPRDKKIIPKVANDVRLISGGKILENNKTIAQCKPPFGELPGGIITMHVVVQPSLVKAKTEKKIDDEPRQSTCSCSIL >DRNTG_20709.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17960775:17963585:1 gene:DRNTG_20709 transcript:DRNTG_20709.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDLVELRFRLFDGTDIGPIRYSSASTVAMLKERIITDWPRDKKIIPKVANDVRLISGGKILENNKTIAQCKPPFGELPGGIITMHVVVQPSLVKAKTEKKIDDEPRQSTCSCSIL >DRNTG_01777.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10844303:10846471:1 gene:DRNTG_01777 transcript:DRNTG_01777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLNLSKISSRIGQEPILVALDRILILAEPALQVEGLTEDALQEAKKNMIREIEMKLLESQQPLKQETLSFDLGKHSLNTSWLGSLISTIVGNLKLSITNIHIRYEGLERNPGHPFATGVTLSRLSAMTFDDLAKETFTTGSAPF >DRNTG_24982.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28196706:28201367:1 gene:DRNTG_24982 transcript:DRNTG_24982.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EDTS5 [Source:Projected from Arabidopsis thaliana (AT2G13810) UniProtKB/TrEMBL;Acc:A0A178VQ02] MPRLVTTAMQEYSNALSTIEGYRGYGLEQGNMPLRKAIAGNIYKNMGFNESEVFISDGAQGDIARIQLMFGSNISIAVQDPTFSAYVDTSMIMGQTRKQAVEYMRCSPEKSFFPELAGVPRTDVIFFCSPNNPTGHAASRRQLEDLVSFATNNGSIIVYDTAYSFFISDDSPKSIFEIPGSKQVAIEISSFSKFAGFTGVRLGWTVIPKELRYSNGYQVIKDFDRIMCTCFNGASSIAQAGGLACLTPEGLQGIRGVMDVYKENARSLVETFSSIGLKVYGGVNSPYAWVHFPGCKSWDVFNEILEKTHVLTIPGCEFGPSGEGFIRVSSFGKRDQILEACKRLKRLFA >DRNTG_24982.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28196706:28199963:1 gene:DRNTG_24982 transcript:DRNTG_24982.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:EDTS5 [Source:Projected from Arabidopsis thaliana (AT2G13810) UniProtKB/TrEMBL;Acc:A0A178VQ02] MPRLVTTAMQEYSNALSTIEGYRGYGLEQGNMPLRKAIAGNIYKNMGFNESEVFISDGAQGDIARIQLMFGSNISIAVQDPTFSAYVDTSMIMGQTRKQAVEYMRCSPEKSFFPELAGVPRTDVIFFCSPNNPTGHAASRRQLEDLVSFATNNGSIIVYDTAYSFFISDDSPKSIFEIPGSKQVF >DRNTG_25310.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20237105:20239509:1 gene:DRNTG_25310 transcript:DRNTG_25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGTVNLQPPLPLPNPSAAAPRPSSRLAFSVRSSKEGCYLGEEEEEEEEEECLSHQP >DRNTG_25310.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20237105:20239509:1 gene:DRNTG_25310 transcript:DRNTG_25310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGTVNLQPPLPLPNPSAAAPRPSSRLAFSVRSSKEGCYLGEEEEEEEEEECLSHQP >DRNTG_08926.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27367565:27369233:-1 gene:DRNTG_08926 transcript:DRNTG_08926.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLLYSFWVLFRTYLQLAADLSADVGSLSYFWLRSKPSVAAVVGCMMTFMVGFCFLCPSMDCLWHKYHYCPNCGEKVANFEKSDPCLVVDVPRWTEPSFAVPA >DRNTG_08926.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27367565:27369812:-1 gene:DRNTG_08926 transcript:DRNTG_08926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKGKGEEPALGVPYPYGTAPPAQAYYVAENPYQAGMVPPNAIYGDPKGIPLQQTMYRDTPAPFNCTFCGSSGLTTVRSKPSVAAVVGCMMTFMVGFCFLCPSMDCLWHKYHYCPNCGEKVANFEKSDPCLVVDVPRWTEPSFAVPA >DRNTG_08926.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27367565:27369290:-1 gene:DRNTG_08926 transcript:DRNTG_08926.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLLYSFWVLFRTYLQLAADLSADVGSLSYFWLRSKPSVAAVVGCMMTFMVGFCFLCPSMDCLWHKYHYCPNCGEKVANFEKSDPCLVVDVPRWTEPSFAVPA >DRNTG_08926.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27367565:27369290:-1 gene:DRNTG_08926 transcript:DRNTG_08926.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKGKGEEPALGVPYPYGTAPPAQAYYVAENPYQAGMVPPNAIYGDPKGIPLQQTMYRDTPAPFNCTFCGSSGLTTVRSKPSVAAVVGCMMTFMVGFCFLCPSMDCLWHKYHYCPNCGEKVANFEKSDPCLVVDVPRWTEPSFAVPA >DRNTG_08926.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27367565:27369233:-1 gene:DRNTG_08926 transcript:DRNTG_08926.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKGKGEEPALGVPYPYGTAPPAQAYYVAENPYQAGMVPPNAIYGDPKGIPLQQTMYRDTPAPFNCTFCGSSGLTTVRSKPSVAAVVGCMMTFMVGFCFLCPSMDCLWHKYHYCPNCGEKVANFEKSDPCLVVDVPRWTEPSFAVPA >DRNTG_08926.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27367603:27369233:-1 gene:DRNTG_08926 transcript:DRNTG_08926.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKGKGEEPALGVPYPYGTAPPAQAYYVAENPYQAGMVPPNAIYGDPKGIPLQQTMYRDTPAPFNCTFCGSSGLTTVRSKPSVAAVVGCMMTFMVGFCFLCPSMDCLWHKYHYCPNCGEKVANFEKSDPCLVVDVPRWTEPSFAVPA >DRNTG_08926.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27367603:27369290:-1 gene:DRNTG_08926 transcript:DRNTG_08926.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKGKGEEPALGVPYPYGTAPPAQAYYVAENPYQAGMVPPNAIYGDPKGIPLQQTMYRDTPAPFNCTFCGSSGLTTVRSKPSVAAVVGCMMTFMVGFCFLCPSMDCLWHKYHYCPNCGEKVANFEKSDPCLVVDVPRWTEPSFAVPA >DRNTG_08926.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27367087:27369233:-1 gene:DRNTG_08926 transcript:DRNTG_08926.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKGKGEEPALGVPYPYGTAPPAQAYYVAENPYQAGMVPPNAIYGDPKGIPLQQTMYRDTPAPFNCTFCGSSGLTTVRSKPSVAAVVGCMMTFMVGFCFLCPSMDCLWHKYHYCPNCGEKVANFEKSDPCLVVDVPRWTEPSFAVPA >DRNTG_08926.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27367087:27369290:-1 gene:DRNTG_08926 transcript:DRNTG_08926.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKGKGEEPALGVPYPYGTAPPAQAYYVAENPYQAGMVPPNAIYGDPKGIPLQQTMYRDTPAPFNCTFCGSSGLTTVRSKPSVAAVVGCMMTFMVGFCFLCPSMDCLWHKYHYCPNCGEKVANFEKSDPCLVVDVPRWTEPSFAVPA >DRNTG_35385.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1693564:1698572:-1 gene:DRNTG_35385 transcript:DRNTG_35385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKNKGSGGEVSTRGMVSKNWTFLLCLGSFCVGLLFTNRMWTMPEAKDFIRTTGTGEDKIKLLAGDCSPKTMNERQQPKDILGEVSKTHNAIQTLDKTISNLEMELAAARATQESILNGSPISEDMKLTGSSGRRKYFMVVGVNTAFSSRKRRDSVRSTWMPQGEKRKKLEEEKGVIMRFVIGHSATSGGILDKAIEAEDRKHGDFMRLDHVEGYLELSAKTKIYFATAASMWDAEFYVKVDDDIHVNIATLGTTLARHRSKPRVYIGCMKSGPVLAQKGVRYHEPEHWKFGEAGNRYFRHATGQLYAVSKDLATYISLNQHVLHKYANEDVSLGSWFIGLDVEHIDDRRLCCGTPPDCEWKAQAGNICVASFDWSCSGICRSAERMREVHNRCGEGENALWSAVF >DRNTG_33636.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1924720:1930196:-1 gene:DRNTG_33636 transcript:DRNTG_33636.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAWSFIFFLLASTSTTFVFLCVVSQPVEQREAQALAAIKAAFTNSANELLNWDTVSFDYCSWRGVLCDNLTSSVVSLNLSNLNLGGEISPAVGLLKSLQFIDLKGNKLTGQIPDEIGECTSLTFLDLSGNSLTGDIPFSISKLKQLEELNLKNNQLTGPIPSTLSQIPNLKTLDLAQNQLVGEIPRIIYWNEVLQYLGLRGNSLTGTLSPDMCQLTGLWYFDVRGNNLTGTIPDSIGNCTSFEILDISYNQITGEIPYNIGFLQVATLSLQGNRLTGKIPEVIGLMQALAVLDLSENELVGTIPPILGNLSYTGKLYLHGNKLTGPIPPELGNMSKLSYLQLNDNQLVGKIPAELGKLGELFELNLANNNLEGPIPQNISSCTALNKLNVHGNHLSGSIPLEFQKLESLTYLNFSSNLFKGEIPVELGRIVNLDTLDLSHNDFSGTIPESIGDLEHLLELNLSRNSLSGPVPSEFGNLRSVQIVDMSHNKLSGFIPEELGQLQNIDALILNNNNLYGTIPAQLTNCYSLTSLNLSFNNLSGDIPSSKNFSRFPPESFMGNPMLCGNWLDSCQQDGKKSRVTISRVAIICIALGCIALISVLSVAVYRANQPKSFIKEPSKTVKGPPKLVVLRMEMAIHTYEDIMRITENLNEKYIIGYGSSSTVYKCVLKNSKAIAVKRLYNQYAHNLREFETELETIGSIRHRNLVTLYGYSLSPHGNLLFYDYMENGSLWDLLHGPSKKVKLDWDARLKIAIGAAQGLTYLHHDCDPRIIHRDVKSSNILLDENFEARVSDFGIAKCISSAKTHASTYVLGTIGYIDPEYARTSRLSEKSDVYSFGVVLLELLTGKKAVENESNLHQLILSKADSNTVMEAVDTEVSVTCMDSSLIRKAFQLALLCTKKHPAERPNMHEVVRVLVSLLPAPLTTKSGFISSKKIAYTHLLTDQNVTANSHQDDDKNDDDNSNSSSDGQWFVRFGEVISNNTL >DRNTG_23715.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:760315:762440:-1 gene:DRNTG_23715 transcript:DRNTG_23715.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMIVAEPAVKDPGTIRVAQKKNRIQVSTNKKPLYFYVNLAKRYMQNYNEVELSALGMAIGTVVTVAEILKNNGLATEKKILTSTVGTTDGNKGRTVRKAKIEILLGKTENLKERKPADSTDSNKEDESAESAESAESAD >DRNTG_09356.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:14938828:14949428:-1 gene:DRNTG_09356 transcript:DRNTG_09356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDAKTTSTSELVATIFNFISKSKVAFAGFFVLQRLVRFNEIGSEVVEFSGGGEKRATSANEISSFIGEFLFFRGPSTGGYEFHREDDEYCHEEDEDRCENRPFSSNKEQKGQFERGPTLRQYPETPNFPLASPIAIPTVTVQGQEDQTSTDHSIKSCPPVILHPLEFDELTLARSSEVITCRMRAKRRTKSARVLRGFWQAFGVVLWIRHRTPLGRRLLVELSSVAIWRDLLFPSFNPLFSWLGLGVRGLRIFSPSLVKVFLEFKELKDSAHDRPKNRENERIHTGVWKLSTAVWKFRTGACSVHARGVARFQPYLKPISAPISAFFSPSFPQLVRGLWLGFRGVLAKVLEKFYGSDIVIPLGRRLVGELRSRRILYRTKESLDDE >DRNTG_17053.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22263197:22264237:-1 gene:DRNTG_17053 transcript:DRNTG_17053.3 gene_biotype:protein_coding transcript_biotype:protein_coding NVISNCCCGFLFNDLLIFLINCHCDIQLLAIYEHRIAVEGFVWGINSFDQWGVELGKSLASQVRKQLHLSRAKDAPVEGFNFSTTTLLSRYLEAETGIISEQTQLPKL >DRNTG_17053.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22263197:22264164:-1 gene:DRNTG_17053 transcript:DRNTG_17053.4 gene_biotype:protein_coding transcript_biotype:protein_coding DIQLLAIYEHRIAVEGFVWGINSFDQWGVELGKSLASQVRKQLHLSRAKDAPVEGFNFSTTTLLSRYLEAETGIISEQTQLPKL >DRNTG_17053.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22263197:22265333:-1 gene:DRNTG_17053 transcript:DRNTG_17053.1 gene_biotype:protein_coding transcript_biotype:protein_coding QNVISNCCCGFLFNDLLIFLINCHCDIQLLAIYEHRIAVEGFVWGINSFDQWGVELGKSLASQVRKQLHLSRAKDAPVEGFNFSTTTLLSRYLEAETGIISEQTQLPKL >DRNTG_17053.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22263197:22264164:-1 gene:DRNTG_17053 transcript:DRNTG_17053.5 gene_biotype:protein_coding transcript_biotype:protein_coding DIQLLAIYEHRIAVEGFVWGINSFDQWGVELGKSLASQVRKQLHLSRAKDAPVEGFNFSTTTLLSRYLEVCYLLEMN >DRNTG_17053.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22263079:22264164:-1 gene:DRNTG_17053 transcript:DRNTG_17053.2 gene_biotype:protein_coding transcript_biotype:protein_coding DIQLLAIYEHRIAVEGFVWGINSFDQWGVELGKSLASQVRKQLHLSRAKDAPVEGFNFSTTTLLSRYLEAETGIISEQTQLPKL >DRNTG_11879.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15206654:15216707:-1 gene:DRNTG_11879 transcript:DRNTG_11879.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKDFCPAHPIVYNLLMAGTLKSSDPNKALSVFEEHKEKLGSRMVPDGTIYGSLIKRYFAKGMDKEAMEFYSEVLGENSKVRFNSVSYNSVLEALTKNGKFDEALRLFCRMNAERDPPRRLTVNLGSFNVMMDGYCALGKFSEAIEMFGKIGEKKCSPDVLSYNNLIDLLGRNQSVAEAEELYREMGERGINPDEYTYVLIVEPCFGVDSVDDAVAYFNKMREVGLRPNANAYTKVSGGLVNARKLDEARGFFEQMVENELKPNITSYELLLKEFCEVGRVDDALKTIKGILMDENVVFSPEMKGLVEEMSKKKGREEDMENLYGEIEREKAEALARAEEEKARVEALAKEEEDRKKAEAAAKGEATSKASTVAIEAVLGRKKEEKKEEPSAIGSNAPNVGQLKELIDGITPGKDTKAGEGDALEQEMELIFGETPLSSVMDSTSRTQESQVITSRLDESQQSLSLVDVCGQASMVDISSKANSKRVAVAGCRVLLGRKHLIWLQLIRLPKEMFSLLQR >DRNTG_03399.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20914880:20915301:1 gene:DRNTG_03399 transcript:DRNTG_03399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNGNYSPSSSPPTPPSPLPLSVGPGNRKYPFTPSPSLSPPFSPPSSQYSSPEILPLLHEDQREQRREVFCLDTLDDDNSSPSEKWQQFANRLRWLILRFCCCCCSSLY >DRNTG_26930.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2410152:2413739:1 gene:DRNTG_26930 transcript:DRNTG_26930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPERRDFNKVWSLERRLSVCCPSLALYVVKAVVFIAQFVLPTNCLKEFPCEEVVRLFFECLWVLDGGKMISLDGVGILGGGGGGGGGGLICLKRRDLGRSHGVCFLKWRYGRPLCAKPVEDESVPVPISAAELSRVAGNAEALERGRWNRESNALPRQLSATDLVAPSQGLNIRVAYQGLPGAFSEAAALKAYPLCETVPCEEFDVTFKAVEMSVVDRAVLPIENSSDGSIHRNYDLLLSHRLHIIGEVQLAINHCLMALPGVKKEGIKRALAQCEFKLSKLEVIQQNMHDTAGAAQIIASDNLRDAGAIASVQAAEIYGLNILETGMQDLSDNVTRFLILAREPIIPGTDRPFKTSIVFTLNEGPGVLFKALAVFSLRNISLTKIESRPQQKSSLEGCD >DRNTG_26930.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2410152:2413739:1 gene:DRNTG_26930 transcript:DRNTG_26930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPERRDFNKVWSLERRLSVCCPSLALYVVKAVVFIAQFVLPTNCLKEFPCEEVVRLFFECLWVLDGGKMISLDGVGILGGGGGGGGGGLICLKRRDLGRSHGVCFLKWRYGRPLCAKPVEDESVPVPISAAELSRVAGNAEALERGRWNRESNALPRQLSATDLVAPSQGLNIRVAYQGLPGAFSEAAALKAYPLCETVPCEEFDVTFKAVEMSVVDRAVLPIENSSDGSIHRNYDLLLSHRLHIIGEVQLAINHCLMALPGVKKEGIKRVFKPSKCC >DRNTG_26930.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2410152:2414266:1 gene:DRNTG_26930 transcript:DRNTG_26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPERRDFNKVWSLERRLSVCCPSLALYVVKAVVFIAQFVLPTNCLKEFPCEEVVRLFFECLWVLDGGKMISLDGVGILGGGGGGGGGGLICLKRRDLGRSHGVCFLKWRYGRPLCAKPVEDESVPVPISAAELSRVAGNAEALERGRWNRESNALPRQLSATDLVAPSQGLNIRVAYQGLPGAFSEAAALKAYPLCETVPCEEFDVTFKAVEMSVVDRAVLPIENSSDGSIHRNYDLLLSHRLHIIGEVQLAINHCLMALPGVKKEGIKRVFKPSKCC >DRNTG_26930.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2410152:2413739:1 gene:DRNTG_26930 transcript:DRNTG_26930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPERRDFNKVWSLERRLSVCCPSLALYVVKAVVFIAQFVLPTNCLKEFPCEEVVRLFFECLWVLDGGKMISLDGVGILGGGGGGGGGGLICLKRRDLGRSHGVCFLKWRYGRPLCAKPVEDESVPVPISAAELSRVAGNAEALERGRWNRESNALPRQLSATDLVAPSQGLNIRVAYQGLPGAFSEAAALKAYPLCETVPCEEFDVTFKAVEMSVVDRAVLPIENSSDGSIHRNYDLLLSHRLHIIGEVQLAINHCLMALPGVKKEGIKRVFKPSKCTCSV >DRNTG_31611.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:700080:703008:-1 gene:DRNTG_31611 transcript:DRNTG_31611.3 gene_biotype:protein_coding transcript_biotype:protein_coding LSLVSPQLNRYKGSSSAGIDKHAGQRRKNMRDLFVERLNWHCIALLLCLTGCIPVANSITNTTNLLNETQAALPSSIANRKLFG >DRNTG_31611.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:700080:700437:-1 gene:DRNTG_31611 transcript:DRNTG_31611.7 gene_biotype:protein_coding transcript_biotype:protein_coding LSLVSPQLNRYKGSSSAGIDKHAGQRRKNMRDLFVERLNWHCIALLLCLTGCIPVANSITNTTNLLNETQAALPSSIANRKLFG >DRNTG_31611.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:700080:703008:-1 gene:DRNTG_31611 transcript:DRNTG_31611.2 gene_biotype:protein_coding transcript_biotype:protein_coding LSLVSPQLNRYKGSSSAGIDKHAGQRRKNMRDLFVERLNWHCIALLLCLTGCIPVANSITNTTNLLNETQAALPSSIANRKLFG >DRNTG_31611.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:700080:703008:-1 gene:DRNTG_31611 transcript:DRNTG_31611.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSLVSPQLNRYKGSSSAGIDKHAGQRRKNMRDLFVERLNWHCIALLLCLTGCIPVANSITNTTNLLNETQAALPSSIANRKLFG >DRNTG_08685.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17915584:17920058:-1 gene:DRNTG_08685 transcript:DRNTG_08685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSEEDERFEWDTSDEEEEMSKGNNQEGSSAPPISVSVPSQEFVEAGSSRSSLLLHFVGMGFSEDTVAKAIKDNGEGQPEVILETLLTYAELTLIDIFTLKAIEKSSLNSEQSPLDCSLEGEEDVLEDDDSDMSNFEDEGCTEKSSDKDMKLSMLVEMGFPLDEASSAIDRCGSTASVLELADSIHAAQLAAESVDIEGRNTSAPVVPRSSFINGSSIKKKRNLLENQRLNRPVHGHKIKKLCSRQSEVNDEGFSSLLKIPMPMIGFSVPNQKTQLAHRKLPEAAIGPPYFYYENVALTPKGVWDTMSRFLYDIEPEFVDSKYFCAANRKRGYIHNLPIQNRFPLLPLQPRTIKEALPMTEKWWPAWDPRTQLNCLQTSISSAKNTERIRSTLVKSGDPPPERVRQYVINECKKWNLVWVGLHKVAPLEPDEIEMLLGFPKNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLKNMFPNGINVLSLFSGIGGAEVALHRLGIHLKNVVSVELSEINRNIIRAWWEQTDQTGNLIELPDVQQLNGDKLAQMINSFGGFDLVIGGSPCNNLAGSNRLSRIGLEGKQSSLFYDYFRILDLVKNIMGKNI >DRNTG_34988.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002222.1:88085:92007:1 gene:DRNTG_34988 transcript:DRNTG_34988.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPGSIAAKSVTKLDLPFIVPYDFLLSIMRDIGKDWDIDYELEIGLTIDLPVVGNFTIPLSSKGELKLPTLADIF >DRNTG_25664.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21632276:21633098:-1 gene:DRNTG_25664 transcript:DRNTG_25664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFCMDGWNMMVMMVITGLLNNPAIALGSISICMNINTWISMLFFGIDAAASVRVSNELGSGNARAAKYSIAVIGVTALAIAFPCIIVILATRTYFPVLFASSKELQKAVAEMAYLLILAVFLSSIQP >DRNTG_02350.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000108.1:31969:34418:1 gene:DRNTG_02350 transcript:DRNTG_02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCTVCGAKGHNKRHHKGSQIIDGITSEQEHQQLVKAENPMDTVDPQVLQAHFEMVQQIAKEPINKNSIGSTARNPFLKSQGRHKDNEDRSKEEDLNTRKRNWVPPGTSIVGGGFRAKLEYLKVKAYAHKDHPKEHTTTAFAHKGGRKKHNSEAYGPAFRAARDNLLQLPYGLVLMSERFLEARLRKKKA >DRNTG_02887.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:808049:812006:-1 gene:DRNTG_02887 transcript:DRNTG_02887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPLYAMDAQNRAQDLTTVILSASPSAAIAAAASFLRRHSSDQPRAFFSTAFPVLIRRIFGFDEPSNSSWLSLAADDSALASDLFALLSPSGPLLSSIASVDRHSLIKYVFPMERLPEWMRFALQSDRYASILSDLCPLFKSRVREDKIQGCYQLQLNVFEYYVFWFAYYPVCRSNIDSPDAVSAKKTHGFRLEKWTSSLPGLSHSSRRSGRKTEGKLYLRLLYAYLRAFVPKNGIGSYQPYRSSLLHYSSSHDVSAFMQAEFFVHSLIHFWMVDNDFSPLSLSLCRSFGVSFPLRVLLGETPPTAGLGEPLDLMVKYLNCGSMEENKKMMPGGSPGQGWKGPASLSVGKSKNMIPSCESTVDTWNLALQRPLYRFILRTFLFCPIGTSMKNPAQVCTLWVTFIAPWRTSLEEFVEFEPPEVQKLENFRKENTQAQGRSGEEALYTPAWQFYVLSNYPFYSSLVVHFLGFAHKFLHANAASMIHLVLKVLDILTSSKELVSLLQKVDEACHSKPAGPSSFSGSLYKYIPSIREQMQDWEDGLCETDADGCFSHENWTHVLKLFSGAEDGAQNLLQLFVLRAEHELQQISGDVSQHLQVLGSIRAKINILFGERVEKPDSLVDSKEAHFIPGRGEAFTPKYPGVGKRVWADVGYKGDWMKRPISDNEVAWLAKLLIKLSDWLNDSLGLDQAEDSDYASGPTYVEVNPRVLVRGPKEAAHLAVAFIVSSCVLLAQAVLRFMRAHRMRVNLRFFASKKLVMVLIVCVIIGKLMKSK >DRNTG_31549.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20668128:20673322:-1 gene:DRNTG_31549 transcript:DRNTG_31549.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPENVEHTAENILNNIMESFADSVPKQKSVRFFEEGNSVSSKMNKLFGRQNSVHNILGGGKSADVLLWRNKKISSSVLTAATAVWVLFEWLNYHFLTLVCFGLVIVMIIQFVWSNASGALNRSPSEVPRLVLPDDLFVNAAVAVGAQINQFLCFIQDVACGRNLKQFVMVVAGLWAAAVIGSWCNFLTVLYIGYVSAHTLPVVYEKYEDEIDDFIYKLLGQFQSQYQKLDGSLLSKIPKGTRKMKKSD >DRNTG_31549.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20668128:20673322:-1 gene:DRNTG_31549 transcript:DRNTG_31549.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMPENVEHTAENILNNIMESFADSVPKQKSVRFFEEGNSVSSKMNKLFGRQNSVHNILGGGKSADVLLWRNKKISSSVLTAATAVWVLFEWLNYHFLTLVCFGLVIVMIIQFVWSNASGALNRSPSEVPRLVLPDDLFVNAAVAVGAQINQFLCFIQDVACGRNLKQFVMVVAGLWAAAVIGSWCNFLTVLYIGYVSAHTLPVVYEKYEDEIDDFIYKLLGQFQSQYQKLDGSLLSKIPKGTRKMKKSD >DRNTG_16525.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:8020232:8030365:-1 gene:DRNTG_16525 transcript:DRNTG_16525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVCTRQNKGLFFGLIFGRPLERLWATLGRRIKARKLGDHSRPRSKTLKERRRHHSREIYHDLKEGRPAARRKCHSAFLWGF >DRNTG_11439.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1110405:1111883:1 gene:DRNTG_11439 transcript:DRNTG_11439.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAERRQERQKSGFFVGELGRTRFPR >DRNTG_11160.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31177399:31180904:1 gene:DRNTG_11160 transcript:DRNTG_11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-phosphate pyrophosphokinase 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G44530) UniProtKB/Swiss-Prot;Acc:O64888] MASLTLSPASSSSLLSRPHTLLSRSCFPSSASFSLFTTRCQFFGPLRFNNGAPPCIPVINESSLPGLLSTPRLQEIGGKNDTRLRIFSGTANPALAQEIASYLGLELGKIKIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVYGQPVILDYLASKTICSNDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVRGKVAVMVDDMIDTAGTIAKGASLLHQEGAREVYACSTHAVFSPPAIERLSSGLFQEVIITNTIPVLEQKSFPQLTVLSVANLLGETIWRVYDDCSVGYEPYSSLDID >DRNTG_23165.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001260.1:7133:24595:-1 gene:DRNTG_23165 transcript:DRNTG_23165.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:multidrug resistance-associated protein 11 [Source:Projected from Arabidopsis thaliana (AT2G07680) TAIR;Acc:AT2G07680] MLTLVGKSMPDYHEWFYRSSQLASWISIMLVSKFSCWFPLLCNPFFCCWWIVKPITGIPRLYTAFSSLKTITCFKESSSVTAEILFTLFINITRIAKAPTEESKYDLLEGPLLSYDTKEEHHVSDLVTRAAQYWHMLTFQFVNPMMSLGVTKQLDLEDLVSLPLDLMPSPCHDTFLRCWVAEEHKNQSKSLLFRVICKAYGWPYLRLGLLKVLNDSLSFLSPLLLNRLIKFLQQGSGNIDGYTLAILMGLVSTIKSFLDTQYTFHLSKLKLKLRSSIMTIIYHKCLYTSLAARSKFSNGEVQTFMSVDADRTINMCNSFHDMWSLPLQIGVALCLLYTQVNYAFLSGFALTVLLIPVNKWISTKIASATQEMMKHKDERVRSVGEILTYVRTLKMYNWENMFTDRIMERRTKEVKQLSTRKYLDAWCVFFWATTPTLFSLFTFGVFTLMGHPLDAATVFTCVALFNTLISPLNSFPWVINGLIDAIISAGRLSKFLTCPEIDSDSGHIFETNLQTSGSLQPPCEDNADTDSSAAVVFHDLFSVWSSGNEDGEQNAVLNGITLNLPKGLFIAIVGEVGSGKSSLLNSILGEMCRIHGSVHSHGSIAYVPQVPWILSGSVRDNILFGEAFDARRYREVLHSCGLDVDISRMVGGDLAYIGEKGINLSGGQRARIALARAVYHESDVYLLDDVLSAVDSQVACWILEKTMLSSLMNQKTRALCTHNLQAISAADVVVVLDKGHVKWAGSSADFLLSPYSTISTIRDSKLASPQKLGKEISINDPGESDVLWEDDILSTAVEEEDASVLELRKEGRVELSVYKSYARFSGWHIVIVTCVSAAFMQSSRNGNDLWLSHWVDAAGETESITFYLVGLCIFGIVNSLLTLVRAFSFAYGGLHAAVQVHTELLSKIVDAPVHFFDQNPSGRILNRLSSDLYMIDDSLPFILNILLANFFSLLGIVVVLSYAQILFLLLLLPLWYLFSKLQVYFTRTEKT >DRNTG_02619.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21356297:21358437:1 gene:DRNTG_02619 transcript:DRNTG_02619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIQRFHLILFLCIAMTVPSNARSHFHKQRNHHHKNNDHHISQPPVSAPVSPPPDTDPEPDPDPCVFNVRSFGAVGDGSTDDTDAFRSAWKAACSTESGVLLVPSDGLFSITSSIFSGPCKPGFVFQVDGVLMPPDGPDCWPDSDSKHQWLVFYRVDGMTLRGEGTIEGNGEKWWNLPCKPHRGPNGTTLPGPCDSPALIRFFMSSNLTVRELRIENSPQFHVKFDGCEDVHIEGLSINSPALSPNTDGIHIENTKKVAIYNSMISNGDDCISIGPGCADVDIENVTCGPSHGISIGSLGVHNSQACVSNITVRNAVIKNSDNGVRIKTWQGGMGSVSGITFDGIIMENVRNCIIIDQYYCLTKACMNQTSAVFVSDISYSNIKGTYDVRNPPIHFACSDSVPCTNITMSEVELLPFEGELVDDPFCWNAYGALQTLTIPPISCLQQGEPQSLGENQRYGC >DRNTG_15918.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:957381:960414:1 gene:DRNTG_15918 transcript:DRNTG_15918.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAASVLACGRGKVWLDPNEGNEISMANSRQNIRKLVKDGFIIRKSMKIHSRSHARQALEAKRKGRHSGYGKRKGTREARLPTKVLWLRRMRVMRRLLRKYREFKKIDKHLHHDMYMKVKGNVFKNKRVLLESIHKSKAEKIREKTLSDQFEAKRVKSKASRERKIARKEEYLAQALIERIPAAALQ >DRNTG_15918.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:958681:960414:1 gene:DRNTG_15918 transcript:DRNTG_15918.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMRRLLRKYREFKKIDKHLHHDMYMKVKGNVFKNKRVLLESIHKSKAEKIREKTLSDQFEAKRVKSKASRERKIARKEEYLAQALIERIPAAALQ >DRNTG_15918.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:958293:960414:1 gene:DRNTG_15918 transcript:DRNTG_15918.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHSRSHARQALEAKRKGRHSGYGKRKGTREARLPTKVLWLRRMRVMRRLLRKYREFKKIDKHLHHDMYMKVKGNVFKNKRVLLESIHKSKAEKIREKTLSDQFEAKRVKSKASRERKIARKEEYLAQALIERIPAAALQ >DRNTG_05526.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000246.1:28063:30325:1 gene:DRNTG_05526 transcript:DRNTG_05526.2 gene_biotype:protein_coding transcript_biotype:protein_coding KLMLHLPILATGSSFSFTSARYLVPNSLNIFSNKEKSTNSHKRSNQNTNKTYIFHKKPKNKNQHDIQQLTIRTHNTAQTQSNQYIYIYIYIYIYTKTQQNHKNKWK >DRNTG_05526.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000246.1:28063:30325:1 gene:DRNTG_05526 transcript:DRNTG_05526.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLMLHLPILATGSSFSFTSARYLVPNSLNIFSNKEKSTNSHKRSNQNTNKTYIFHKKPKNKNQHDIQQLTIRTHNTAQTQSNQYIYIYIYIYIYTKTQQNHKNKWK >DRNTG_29520.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2192481:2196885:-1 gene:DRNTG_29520 transcript:DRNTG_29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIVLLLIKKISVALGTEAMTAITSLLAKEVSLVAQIPSTMNRIKGEFVVMKAFLKQVDTQKEKSSALQAWLDQVQNTAFRVEDIIDEYSYLIGEQYRSGFRGSVYNYIHKAKHALAWHRVAAQLQEIESDLRQISEMRTRYDIKTDEHINHAITRDFHRADSSYSIADDELVGIREEREQLLEWLTDKKSACSRVAIWGMGGLGKTTLVTNIYKSPMIQKHFDCHAWITVSQNYSSEDLLRKILLEFLERKQTKSLEIDTMAHRTLVGTLRNFLQDKKYILVLDDVWNTNAWYGIKHALIDTNRGSRIVITTRIKDVSLLASKNRVLELRSLEPKEAWDLFCRKVFWEDEDKKCPEVLEPLAEKIVGKCQGLPLALVAIGSLLSLREINKEEWRKVHDHLNWELTDNPYLNKVSLKRRGQRQWRR >DRNTG_27495.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17187082:17192024:-1 gene:DRNTG_27495 transcript:DRNTG_27495.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper-transporting ATPase RAN1 [Source:Projected from Arabidopsis thaliana (AT5G44790) UniProtKB/Swiss-Prot;Acc:Q9S7J8] MASALRDLQLTAVSGGLRRPTEPITARDGADDLEDVRLLDSFDDEHRGDDADKLKTIQVKVTGMTCSACSNSVESAIAGVHGVHAATVSLLQNKAHVVFDPLLVKAEDVKNAIEDAGFEAEILPDSNKTPSKSQKTLFGQFRIGGMTCAACVNSVEGILRKLPGVKGAVVALATSLGEVEYDPSVTNKDEIVNAIEDAGFEATFLESSEQNKVLLGIEGLINDKDLGVLRDILKNIKGLKQFELRGILSEVEVIFDLEATSLRFIVDAIQRESDGKLKANVRNPCTCASPNDVEAAKMFRLFITSLLLSIPVFLTRLPFLNWFTLRRLGPFLMNDLLKCLLVSIVQFGVGGRFYVAAYRALRNGSTNMDVLVALGTSASYFYSVCALLYGALTGFWSPLYFETSLMLITFVLLGKYLEVLVKGKTSDAIKKLVELAPATALLLVKDAEGKDSVEREIDAMLIQPSDILKVLPGAKIPSDGIVVWGASYADESMVTGESVPVPKGLSSSVIGGTMNLHGVLHIQATKVGSNTVLSQIISLVEMAQMSKAPIQKYADYIASIFVPTVVVFSLLTFLGWFLYGSLGAYPKTWFSDNGNYFVFALMFSISVVVIACPCALGLATPTAIMVATGVGANHGVLIKGGDALERAQSIRYVLFDKTGTLTQGKASVTTAKVFFREWILEIFSL >DRNTG_27495.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17187082:17192024:-1 gene:DRNTG_27495 transcript:DRNTG_27495.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper-transporting ATPase RAN1 [Source:Projected from Arabidopsis thaliana (AT5G44790) UniProtKB/Swiss-Prot;Acc:Q9S7J8] MASALRDLQLTAVSGGLRRPTEPITARDGADDLEDVRLLDSFDDEHRGDDADKLKTIQVKVTGMTCSACSNSVESAIAGVHGVHAATVSLLQNKAHVVFDPLLVKAEDVKNAIEDAGFEAEILPDSNKTPSKSQKTLFGQFRIGGMTCAACVNSVEGILRKLPGVKGAVVALATSLGEVEYDPSVTNKDEIVNAIEDAGFEATFLESSEQNKVLLGIEGLINDKDLGVLRDILKNIKGLKQFELRGILSEVEVIFDLEATSLRFIVDAIQRESDGKLKANVRNPCTCASPNDVEAAKMFRLFITSLLLSIPVFLTRLPFLNWFTLRRLGPFLMNDLLKCLLVSIVQFGVGGRFYVAAYRALRNGSTNMDVLVALGTSASYFYSVCALLYGALTGFWSPLYFETSLMLITFVLLGKYLEVLVKGKTSDAIKKLVELAPATALLLVKDAEGKDSVEREIDAMLIQPSDILKVLPGAKIPSDGIVVWGASYADESMVTGESVPVPKGLSSSVIGGTMNLHGVLHIQATKVGSNTVLSQIISLVEMAQMSKAPIQKYADYIASIFVPTVVVFSLLTFLGWFLYGSLGAYPKTWFSDNGNYFVFALMFSISVVVIACPCALGLATPTAIMVATGVGANHGVLIKGGDALERAQSIRYVLFDKTGTLTQGKASVTTAKVFFREWILEIFSL >DRNTG_20429.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001116.1:50625:57188:1 gene:DRNTG_20429 transcript:DRNTG_20429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQGSIISPCGVGYERNVAHNLFYRGPARGHGRKRPVQQCREAQRFSGTRMLRFKPLEQCGSVSEKRGVYDRQAEASVQEASNRGLVQTPWKPSSS >DRNTG_21623.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:14407005:14408476:-1 gene:DRNTG_21623 transcript:DRNTG_21623.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSLLAYHADVCPSMWPQVKYYRKTLFTPQVRIPLGREKVDLSRNKGGLGELNDGMQYYDHPRGQYCEREATRREARVHPFISIVRVSFEWRKPSSWSSPPPHQNWG >DRNTG_31523.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19759561:19781656:1 gene:DRNTG_31523 transcript:DRNTG_31523.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALGLQQRRNLRRLLKQKRAQYKALPRQT >DRNTG_20902.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25183306:25188984:1 gene:DRNTG_20902 transcript:DRNTG_20902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAISVRTLALVVAVLVVLLPPVSPNSEGDALYAFRRSLSDPENVLQSWDPTLVNPCTWFHITCNQDNRVTRLDLGNSYLSGHLVPELGKLEHLQYLELYKNNIKGTIPAELGNLKSLISLDLYNNNISGTIPPSLGKLKSLVFLRLNDNQLSGSIPRELVGVPSLKVVDVSNNNLCGTIPTSGPFEHIPLNNFENNPRLEGPELLGLASYDTNC >DRNTG_31504.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14217749:14221354:-1 gene:DRNTG_31504 transcript:DRNTG_31504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHLESVKATLAATLERATLEDAVEKSILADPHRRVEIPHGRVDARFQPYLRVGFRDALARLLEWFYGFQHRVPSEESYWGRFHHHRFFEVCPRLDKGTFGKYAVTPQDHQHEYQVLEKLCKTFWGGSTAFNTTFLQKIVIGGAFVSTDSARCAPDLMRKPLKKTRLLHKTIDTDRKENKSSTNLSIIEEASDPRRERVPVCVTPMKAWIREAIRAGSS >DRNTG_04831.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18343245:18344045:-1 gene:DRNTG_04831 transcript:DRNTG_04831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPRLMTTPSASGTPNIKCVCVLCGHSDFVFCKNFNHHSNLIISGSFDHTICVWDIKTGRSLHSMDAHNLSVMFVYFIHDGSLIISRSQDGTFKCWDANSSACLKVLIDDKIVFLFVNFSPNGKFILVANLDDTLTIGGDRHQRLCYLLLLPHRQALLVDCDPVILYLSLLVKI >DRNTG_00356.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18640026:18644012:-1 gene:DRNTG_00356 transcript:DRNTG_00356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPIDPPLLEPSSLNRIPGAASRFSALRGVRWRISLGVLPGSPSVSVDELRRVTADDRRRYATLRRRLLVDPHFSKDGSNFPNLTVDNPLSQNPDSMWGRYFRNAELEKMVDQDLSRLYPEHGSYFQTPVCQSMLRRILLMWCLRHPDYGYRQGMHELLAPLLYVLHVDVQHLSKVKKIYEDYFNDDFDEISFSNGDSGSKHRFTKAANWDDGMENKDGVMEELDPDTRDIFLLSDAYGTEGELGIVVSERFIEHDAFCMFEGLMNGTNGVVAMVDFFAPSAATGANSGIPPVIEAALQFIICFFLLIHLFTVILLNLVWNPSTLPSDGCGFCSDVNFLSKIFY >DRNTG_00356.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18640026:18642132:-1 gene:DRNTG_00356 transcript:DRNTG_00356.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVENNNEYNFKVLSAPRGAFISAMAVSLLLNLRPSLLATEHATSCLQKLLNFPQNIDVMKLIEKAKSLQALALETSFSSRSKPAVFIKGHSFPLSSTSPQTSPCSLHDGYWEEKWRVLNQEEAINKGKGLVKSNLVQRLGLSRCESDPSPSRHVSGNNNSRFSVRRNLANDFSREIDSEKVECDGNGSTSSSKESISVERDEEKHIIMEATCLNGENSLMFSTSTSPHSVHNDRENDSERSITNSFVVDNDEINSIDDNLPSTSSTIKPGPNTTNKAEKQAATVKDRKPLASKFHWFWKFGKGSVEDNSEKQASEGQQTLCSDASSTTVSGRMNNLKNLGQSMLDNIQVIESVFQQDLVQVSTLDNLPNNILESKGQAPAMAALKELRKISNLISEM >DRNTG_00356.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18642333:18642513:-1 gene:DRNTG_00356 transcript:DRNTG_00356.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRYFRNAELEKMVDQDLSRLYPEHGSYFQTPVCQSMLRRILLMWCLRHPDYGYRQ >DRNTG_04856.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22473395:22475962:-1 gene:DRNTG_04856 transcript:DRNTG_04856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLFFLLGFYCVTAFATVIEQTGDWDILSDAIAVVVVEAIGALMYRASFHILDKLKSLIAFFNYCKAGLFLRLFLDAFKYEVDTFLNLPAHFKSNLIYYPNSGK >DRNTG_26996.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11607026:11608757:-1 gene:DRNTG_26996 transcript:DRNTG_26996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTKKQTDKRLRESSSEPEGMRFAIPKHQARYERLSRLRFGQTRFLDMTILRDLQQGDEFTDEVEDLVSEGGWRKAYASFNSLGTIQFRVFGRHHSLSIT >DRNTG_03385.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17801425:17802462:-1 gene:DRNTG_03385 transcript:DRNTG_03385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSNLAVLKVLDTACTQWDHIIAIVIAGMGFFTVTYGLFCIFTVSKLLGSLYYSIPGGDYPLSATIMSEYANKKTRGVFIAVVFDISRLQTTTLYGQPSSFATMDTKRGQEMKKTREKKRSGRDADVATNGVRWIKKGDEDYNILAGASTSANEMS >DRNTG_14427.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000680.1:35961:41191:-1 gene:DRNTG_14427 transcript:DRNTG_14427.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase subunit 7 [Source:Projected from Arabidopsis thaliana (ATMG00510) UniProtKB/TrEMBL;Acc:G1C2X4] MMAQEHAHSSAVERLLNCEVPLRAQYIRVLFREITRISNHSLASTTHAMDVGASTPFLWAFEEREKLLEFYERVPGARMHASFIRPGGVAQDLPLGLCRDIDSSTQQFASRIDELEEMSTGNLKILCEALETDERSASARSRQPNDSKGFSNLLLEIKELQMESMIRTVNGFASGLWARRNRMSSPGWSAVHQNRHRLGAIDGTWWLTGLSPGSKQISSPNSSLESGAPERRMKIGTGSAQERPVVVWKARTPRASRTLRERNSSTITRKNKDDALCLTLLVIVPCCCGRCSFARPKGKGCLSGHLRMIQAWWAYPSQSALCWDSRRAAPYDIHDQSDPDVPVGSRGDRYDRYCIRIEEMRQSVRIIVQCPNQMPSGMIKADNRKLCPPSRCRMKLSMESCAVGNETTVSFPVPSPRHSASLPVLREKKLTSPFFIDLGEKEPSTCWEARHQGCGLMRITKLTRRSWMLAQQGGALPHRRHMRGSVRGGASGFQCIASKIRTSLPGDHCRNILDAVVGPKAYVL >DRNTG_26602.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19943613:19945659:1 gene:DRNTG_26602 transcript:DRNTG_26602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILSSQTMDIPEGVKVKVHAKKVEVEGPRGKLTRNFKHLNLDFELVDGGRKLKVDAWFGSRKTMAAIRTALSHVQNLITGVTKGYRYKMRFVYAHFPINASISNSNSSIEIRNFLGEKKVRKVDMLQGVTITRSEKVKDELVLDGNDVELVSRSAALINQKCHVKNKDIRKFLDGIYVSEKGTMAEE >DRNTG_35238.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3527595:3534514:1 gene:DRNTG_35238 transcript:DRNTG_35238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLAARRLPALARRAVSGSSEFHPLSLRRSHASDSEGLLPPLYNNLDLRWRTGLGSGFLRRFSSVNHRDDIDEAALEEEAEKKMGWLLKLIFIATGSLVAFQFFPYMGDNLLQQSISLLLVKDPLFKRMGASRLARFAVDDERRMKVVEMGGAQKLLDMLEVAKDDKTRKYALKALVALSHSDAAAEVLHQAGAVPIVSCTPDSVEYSEIGTYKSSLLKRFEDLKREARVN >DRNTG_26360.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:709126:711671:1 gene:DRNTG_26360 transcript:DRNTG_26360.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFSASILLQILACALYNNWWPLLSALMYILVPMPCLFFGDGSTRFLTSRDGGGWINAAKFLTGASAVGSIAIPAILRHAHLIETGAMLIEFTSFFILVCTVLCFHRVSLDEDW >DRNTG_26360.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:708763:711671:1 gene:DRNTG_26360 transcript:DRNTG_26360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTVEGLAGLAFMFSASILLQILACALYNNWWPLLSALMYILVPMPCLFFGDGSTRFLTSRDGGGWINAAKFLTGASAVGSIAIPAILRHAHLIETGAMLIEFTSFFILVCTVLCFHRVSLDEDW >DRNTG_26360.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:709126:711671:1 gene:DRNTG_26360 transcript:DRNTG_26360.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLAGLAFMFSASILLQILACALYNNWWPLLSALMYILVPMPCLFFGDGSTRFLTSRDGGGWINAAKFLTGASAVGSIAIPAILRHAHLIETGAMLIEFTSFFILVCTVLCFHRVSLDEDW >DRNTG_26360.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:708763:711671:1 gene:DRNTG_26360 transcript:DRNTG_26360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFSASILLQILACALYNNWWPLLSALMYILVPMPCLFFGDGSTRFLTSRDGGGWINAAKFLTGASAVGSIAIPAILRHAHLIETGAMLIEFTSFFILVCTVLCFHRVSLDEDW >DRNTG_26360.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:708763:711671:1 gene:DRNTG_26360 transcript:DRNTG_26360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLAGLAFMFSASILLQILACALYNNWWPLLSALMYILVPMPCLFFGDGSTRFLTSRDGGGWINAAKFLTGASAVGSIAIPAILRHAHLIETGAMLIEFTSFFILVCTVLCFHRVSLDEDW >DRNTG_26360.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:708763:711671:1 gene:DRNTG_26360 transcript:DRNTG_26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSASILLQILACALYNNWWPLLSALMYILVPMPCLFFGDGSTRFLTSRDGGGWINAAKFLTGASAVGSIAIPAILRHAHLIETGAMLIEFTSFFILVCTVLCFHRVSLDEDW >DRNTG_05255.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000237.1:42498:44666:1 gene:DRNTG_05255 transcript:DRNTG_05255.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 8 [Source:Projected from Arabidopsis thaliana (AT1G08460) UniProtKB/Swiss-Prot;Acc:Q94EJ2] MNRFHRTLPQNPTMASKAESSSTSSSSQRRDMIHVFWHEGMLAHNTGTGVFDSGIDPGFLDVLDKHPENADRVRNLVSILRKGPISPFISWHSGRSATLSELQLFHTAEYIEELEKANEAGGKELCAGTFLNPGSWDAALIAAGTTISAIKQILDGHAKLAYALVRPPGHHAQPSQADGYCFLNNAGVAIQVARNSGCQKVAVIDIDVHYGNGTAQGFYSCSEVLTISVHMNHGSWGKSHPQSGTVDELGEGDGFGYNLNVPLPNGTGDEGYAYAMDQLVVPALHKFNPSLIVLVLGQDSSAFDPNGRQCLTMEGYRSIGRNIRRLANKFADGKVLIVQEGGYHVTYSAYCLHATLEGVLDLEDALLDDPVAYYPEDSAYSMKVVDAIQRYWKDNVPFMKES >DRNTG_05255.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000237.1:42498:44666:1 gene:DRNTG_05255 transcript:DRNTG_05255.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 8 [Source:Projected from Arabidopsis thaliana (AT1G08460) UniProtKB/Swiss-Prot;Acc:Q94EJ2] MNHGSWGKSHPQSGTVDELGEGDGFGYNLNVPLPNGTGDEGYAYAMDQLVVPALHKFNPSLIVLVLGQDSSAFDPNGRQCLTMEGYRSIGRNIRRLANKFADGKVLIVQEGGYHVTYSAYCLHATLEGVLDLEDALLDDPVAYYPEDSAYSMKVVDAIQRYWKDNVPFMKES >DRNTG_00754.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2659588:2665171:-1 gene:DRNTG_00754 transcript:DRNTG_00754.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEERGQLKRALTEATAGAIAGAISRTVTSPLDVIKIRFQVQLEPTSSWTLLQRELYKPSKYTGILQAARDIYLEEGLPGFWRGNVPALLMYMPYTAIQFMVLHKLKSFAAGSSKTEDHLQLSPYLSYLSGALAGCAATVGSYPFDLLRTILASQGEPKVCNIFMLSRLCNFHAWFCPCCFVYKLKRTQALFRVHAFPWMSCYWHIQVVGKSMQINLLAEQCYYLFRFHLS >DRNTG_00754.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2659588:2662609:-1 gene:DRNTG_00754 transcript:DRNTG_00754.6 gene_biotype:protein_coding transcript_biotype:protein_coding MYMPYTAIQFMVLHKLKSFAAGSSKTEDHLQLSPYLSYLSGALAGCAATVGSYPFDLLRTILASQGEPKVCNIFMLSRLCNFHAWFCPCCFVYKLKRTQALFRVHAFPWMSCYWHIQVVGKSMQINLLAEQCYYLFRFHLS >DRNTG_00754.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2655380:2658615:-1 gene:DRNTG_00754 transcript:DRNTG_00754.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMDDGNIPELSWNRYRLSNLSSSRSNNSLSSFQLFLCGFAAGTFSKAVCHPLDVVKKRFQIEGLQRHPKYGARLENRSYKNMFDAVKQILRKEGWPGLYKGLYPSIIKSAPAGAVTFVAYEYTSDWLESLVS >DRNTG_00754.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2655380:2665171:-1 gene:DRNTG_00754 transcript:DRNTG_00754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERGQLKRALTEATAGAIAGAISRTVTSPLDVIKIRFQVQLEPTSSWTLLQRELYKPSKYTGILQAARDIYLEEGLPGFWRGNVPALLMYMPYTAIQFMVLHKLKSFAAGSSKTEDHLQLSPYLSYLSGALAGCAATVGSYPFDLLRTILASQGEPKVYSSMRSAFVDIIKTRGARGLYAGLGPTLVEIIPYAGLQFGTYDTCKRWMMSWNRYRLSNLSSSRSNNSLSSFQLFLCGFAAGTFSKAVCHPLDVVKKRFQIEGLQRHPKYGARLENRSYKNMFDAVKQILRKEGWPGLYKGLYPSIIKSAPAGAVTFVAYEYTSDWLESLVS >DRNTG_00754.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2655380:2665171:-1 gene:DRNTG_00754 transcript:DRNTG_00754.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERGQLKRALTEATAGAIAGAISRTVTSPLDVIKIRFQVQLEPTSSWTLLQRELYKPSKYTGILQAARDIYLEEGLPGFWRGNVPALLMYMPYTAIQFMVLHKLKSFAAGSSKTEDHLQLSPYLSYLSGALAGCAATVGSYPFDLLRTILASQGEPKVYSSMRSAFVDIIKTRGARGLYAGLGPTLVEIIPYAGLQFGTYDTCKRWMMVTFQNCLGIGTDFPI >DRNTG_00754.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2655380:2658615:-1 gene:DRNTG_00754 transcript:DRNTG_00754.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAFVDIIKTRGARGLYAGLGPTLVEIIPYAGLQFGTYDTCKRWMMSWNRYRLSNLSSSRSNNSLSSFQLFLCGFAAGTFSKAVCHPLDVVKKRFQIEGLQRHPKYGARLENRSYKNMFDAVKQILRKEGWPGLYKGLYPSIIKSAPAGAVTFVAYEYTSDWLESLVS >DRNTG_06574.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:499873:502516:-1 gene:DRNTG_06574 transcript:DRNTG_06574.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLMLSEDSSTCSLSNGGVVEDPLIRRAASGKVTLEGGERYSSTAEPSLFHPPSSPLRDVT >DRNTG_30087.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22859747:22862514:-1 gene:DRNTG_30087 transcript:DRNTG_30087.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAKKIGTLFKQTLNSNPSIYQAIRCMSSSKVFVGGLSYSTDDNRLREAFSSYGEVVEARVIMDRETGRSRGFGFVTFESGEEASGAISGLDGKDLDGRLIRVNHANDRTGGFRGGGYGGGGYGGGAGGYGGGGGGGYGGGGYGGGGSYGGGGGGNYGGDGYNNASTGYGGNAGDLAGGRGSSGNYFGGPTEFASSGSTGGYEGSGAMGYGDNISHQSGSPSTTGPEQNDHFGGNFRDDDDQPDDYANRQG >DRNTG_22250.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001234.1:11183:14844:1 gene:DRNTG_22250 transcript:DRNTG_22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVRAYLGPREVSLCGCAQYVNLWGTMVGYTITASTSMIAVKRVNCFHKHGDHATCGSTGTVFMLIFGMVQLVLSQFPSLENITWLSVVAVVTSFAYSFISLGLCIAKWVSHGDFRGGLAGTHGSHKLVNVLLALGNVAFAYTFADVFIEIQNTLKSPPPENKTMKKATFYGISLTTIFYMALGSTGYAAFGNAAPGNILTGGFQEPFWLVDLANICVIIHLVGAYQVYAQPIFARFEETVAARWPNAKFIHRTYTIRVPFTDKSYLSYTLLKLVFRSALIMLTTLVSLLMPLFNAILGIIGALSFWPLSVYFPVRMHVSQNKISRRSSKWAMLQGLSMFCFLISIAAGIGSVADIVHNLKLSAPFKTVY >DRNTG_24224.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19779937:19781311:1 gene:DRNTG_24224 transcript:DRNTG_24224.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSGTGTDTKSMDVYNPYIYSGGTKGGERGLQPPLGSGEVIISVSAGPWDALFHKANLPAFAMASFFAFVTAFVAFFVLPKLKTSIFSASH >DRNTG_00269.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3525407:3525903:-1 gene:DRNTG_00269 transcript:DRNTG_00269.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP24 [Source:Projected from Arabidopsis thaliana (AT1G08710) UniProtKB/Swiss-Prot;Acc:Q9CAZ0] MSILPDELWSRILELGAKTSRLDYRDLCSIAIVSRRFSYLSSDPALWSILLALDFPQSSSSPSTLPPKSTYKIRFERDKARKVLLWRRAVLTAESQVAVSEKRLRELESLIVKEMERLKVVGDELSNLERARCGSPSFIS >DRNTG_00269.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3521996:3525903:-1 gene:DRNTG_00269 transcript:DRNTG_00269.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP24 [Source:Projected from Arabidopsis thaliana (AT1G08710) UniProtKB/Swiss-Prot;Acc:Q9CAZ0] MSILPDELWSRILELGAKTSRLDYRDLCSIAIVSRRFSYLSSDPALWSILLALDFPQSSSSPSTLPPKSTYKIRFERDKARKVLLWRRAVLTAESQVAVSEKRLRELESLIVKEMERLKVVGDELSNLERARHAAVALNVWQPEVVRSSHVEIVGQCTVPIDSRLSTLRMEFKVCKQQIGVYKKALNDENLRLSERKEKLTSVKYHPLQSYHTSNTNDNGNVKRKKLKQCTE >DRNTG_00269.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3521996:3525903:-1 gene:DRNTG_00269 transcript:DRNTG_00269.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP24 [Source:Projected from Arabidopsis thaliana (AT1G08710) UniProtKB/Swiss-Prot;Acc:Q9CAZ0] MSILPDELWSRILELGAKTSRLDYRDLCSIAIVSRRFSYLSSDPALWSILLALDFPQSSSSPSTLPPKSTYKIRFERDKARKVLLWRRAVLTAESQVAVSEKRLRELESLIVKEMERLKVVGDELSNLERARHAAVALNVWQPEVVRSSHVEIVGQCTVPIDSRLSTLRMEFKVCKQQIGVYKKALNDENLRLSERKEKLTSVKYHPLQSYHTSNTNDNGNVKRKKLKQCTE >DRNTG_32542.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20121701:20123697:-1 gene:DRNTG_32542 transcript:DRNTG_32542.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRSMATSVIGNKDRVVSLFLVGAFAVLGFRSAAQQRQIETLEDEKESLRSGNKAMSTTMWEWRQSLFALAESDPLSFPIPLARLRSIYSEEDRRQAFSSPPSDIDSGTGEVTAQSSVRLE >DRNTG_21923.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1643116:1643812:-1 gene:DRNTG_21923 transcript:DRNTG_21923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSSVPNTIYLYIILNIIYMLKKYMPKGLSSTLRLHVELLFKSTTLTEEKMKSPVNQQKVISREDVEMILEKMKMSCVSTSNEPQLREYMDFDELASMFEEKEPSLMEMKDAFGVFDENNDGFIDAVELQRVLCM >DRNTG_01667.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23884843:23890031:-1 gene:DRNTG_01667 transcript:DRNTG_01667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLCTVRTGGPEEGYGKQPSSLRSFEMNTVLINLQPQFHFHFSFIQSVNRISQNFMATTQT >DRNTG_34880.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21907601:21907972:1 gene:DRNTG_34880 transcript:DRNTG_34880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVFVFLLNRYQVAVDVAKALAYLHHDCRLKILHLDIKP >DRNTG_26616.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:286938:290924:-1 gene:DRNTG_26616 transcript:DRNTG_26616.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTITSCPSLTSSSNSKFSFLNNGRRVSVMGKPLLPCVHRKTSSPLACIKNINEEAEASEVVPTDTLRAKNFPYGFIFGTGSAAYQVEGAVNVGGRLPSIWDTFVHEQPDKIKDRSTGDVACDQYHRYKEDVKLLKDAGLDAFRFSISWPRILPKGTLKGGVNKEGIEHYNNLINELLLNGIKPFVTLFHWDVPQELTKYGGFLDSRIIEDFKDYCEVCFREFGDRVKFWTTINEPYIFTVLGYGIGELAPGRNTSSGGDSATEPYRVGHNLILAHAHVVKLYREKFQDNQRGEIGISLPSPWMKPLTNSIENIQARERGLEFMLGWFMEPLVHGDYPFIMKALVRDRLPSFTEEESKMIKGSYDFIGINYYTACFASNVPIKPNEKPVSVDADSHVEFRVSNLNGEPIGELTADKTTYICPEGIRDLLLYIKNKYNDPVIYITENGISQNEDGKLTIQEGLNDEERIKYHTLHLIKLEEAIRLGVKVKGYFTWSVLDDFEWSSGYTKRFGLIYIDFKDGLKRYPKASLAWFNMFLKS >DRNTG_00098.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3280143:3281723:1 gene:DRNTG_00098 transcript:DRNTG_00098.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDDELATEAAWVVVYLSVLSENAVRVMVKSNIIELLVGRLTASESLPLLIPVYTNTY >DRNTG_00098.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3280143:3281723:1 gene:DRNTG_00098 transcript:DRNTG_00098.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPLGSAASACIMIRDDELATEAAWVVVYLSVLSENAVRVMVKSNIIELLVGRLTASESLPLLIPVYTNTY >DRNTG_00098.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3280143:3281747:1 gene:DRNTG_00098 transcript:DRNTG_00098.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDDELATEAAWVVVYLSVLSENAVRVMVKSNIIELLVGRLTASESLPLLIPVYTNTY >DRNTG_00098.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3279233:3281723:1 gene:DRNTG_00098 transcript:DRNTG_00098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDDELATEAAWVVVYLSVLSENAVRVMVKSNIIELLVGRLTASESLPLLIPVYTNTY >DRNTG_34137.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21842880:21844517:1 gene:DRNTG_34137 transcript:DRNTG_34137.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPPLVSTGLRLAFEDQSQSQSQSPSNPYPSSSSSSLISSLLADDLTALINQQGDEIDRLIRAQADQLRRSLAERRHRHYRSLLGAAEESASRRLREKEAELDRAARRAAELEDRVTRLRAESMAWQAKALADQATAANLHSQLHQAATAAAAAADANELPIDDAESAHIDPRRVEPSKACRSCWSRPISVVVMPCRHLSLCADCDAGALPCPSLRLRPLRQRPCPPLLISISFSKFKKKIYIYIFIKNFKKRLNFG >DRNTG_34137.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21842952:21844991:1 gene:DRNTG_34137 transcript:DRNTG_34137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPPLVSTGLRLAFEDQSQSQSQSPSNPYPSSSSSSLISSLLADDLTALINQQGDEIDRLIRAQADQLRRSLAERRHRHYRSLLGAAEESASRRLREKEAELDRAARRAAELEDRVTRLRAESMAWQAKALADQATAANLHSQLHQAATAAAAAADANELPIDDAESAHIDPRRVEPSKACRSCWSRPISVVVMPCRHLSLCADCDAGALPCPSLRLRPLRQRPCPPLLISISFSKFKKKIYIYIFIKNFKKRLNFG >DRNTG_17069.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:82765:85494:-1 gene:DRNTG_17069 transcript:DRNTG_17069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTRQDEAERGITIKSTGISLYYEMTEESLKNYKGERNGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDENKMMERLWGENYFDPATKKWTTKSTGSSTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTMKADEKELIGKALMKRVMQTWLPASNALLEMMIFHLPSPSKAQRYRVENLYEGPLDDMYANAIRNCDPDGPLMLYVSKMIPASDKGRFFAFGRVFAGKVSTGLKVRIMGPNYIPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQYITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTMEESGEHIVAGAGELHLEICLKDLQEDFMGGAEIIVSDPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLEAGSQAGQLVAEIRKRKGLKEQMTPLSEFEDKL >DRNTG_09763.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7953868:7954850:1 gene:DRNTG_09763 transcript:DRNTG_09763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRMLKSGLKSALNRVGIRASTRSCGFFTRTCGISTREWVISTRPCGFSEIVIFGRQ >DRNTG_08201.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:35881:37176:-1 gene:DRNTG_08201 transcript:DRNTG_08201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKILQPRYREEEVSDEEEETYESDEGEGEEEEESECDYGEQRPRRLGRSSATIKQLSYGEDLPRRNNHRRSKSYDDYQDEDGDEDEDEDENDQDERSSRFHRHSKSCKCQKCTYSDGDDEEDEEEEEEYEYEDKRSSKSHSHSKNCRCRKCTYSDDEEDEDEDGDGDEYEDERSSRSLRHSKTCRCKKCTYIDDDDDEEDDEEEEEDEEAPAPNRREKLGARVELSDLLKKLTLSPSGRHVHLKESGSFSDDEGSSNRRRRRRKNTGTVIIKDLHLNMVNNKIEDFAWTKKVREKSH >DRNTG_32025.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8309048:8323449:-1 gene:DRNTG_32025 transcript:DRNTG_32025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTSASLRLTETWGKGRGGVLGILVTSRGLSGEVSLLGIVAAVVTFFMPAQHPHTHRKVVTPILGRSPRPTVEPESPTGRTNGSTLKGMSTFGGSNSAMTTAKV >DRNTG_29341.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1994552:1995874:1 gene:DRNTG_29341 transcript:DRNTG_29341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLNPLLLTILSILGNMVPVPFIIIYLKRFAEFVSQKSASATQFLDFIVKRAREKAGPVEEFQWLGLMLFVAVPFPGTGAWTGAIIASVLDMSFWSAITANFVGVVLAGLLVNLLMNLGLKYGFATGVVLFIISTIMWSVLRKFNTSK >DRNTG_04338.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31153960:31154308:1 gene:DRNTG_04338 transcript:DRNTG_04338.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFIVLLFLSTSLQARKLLEEKQDDKKLFSMDAGLIISELDGMVTLGVRPPASEKATGSERMLGSVPSPGIGN >DRNTG_04338.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31153960:31154350:1 gene:DRNTG_04338 transcript:DRNTG_04338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFIVLLFLSTSLQARKLLEEKQDDKKLFSMDAGLIISELDGMVTLGVRPPASEKATGSERMLGSVPSPGIGN >DRNTG_23901.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2051337:2051854:1 gene:DRNTG_23901 transcript:DRNTG_23901.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCTCFKCAHDLLWSSGRCPVCRALIVDVVKACSNF >DRNTG_23901.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2051018:2051854:1 gene:DRNTG_23901 transcript:DRNTG_23901.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDTLLYRCGHMCTCFKCAHDLLWSSGRCPVCRALIVDVVKACSNF >DRNTG_23901.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2047606:2051854:1 gene:DRNTG_23901 transcript:DRNTG_23901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDTLLYRCGHMCTCFKCAHDLLWSSGRCPVCRALIVDVVKACSNF >DRNTG_08427.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31055224:31055844:1 gene:DRNTG_08427 transcript:DRNTG_08427.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKECLIVLVLSLATLLFNAHAADPDITSDYLVPTGVNPDAKFLTFTGLRNILWSSNTPSNVTFKVTKVTNDEFPALNGQSVSYALLQYAPGGLNAPHTHPRSAELLIVLQGCLKVGVVDSTNKLFTQILQTGDIFVFPKGLVHFQVNLDRKYPALALASFGSANAGTIQLARALFTSGIDNAVLAQSFKVDSNTIQKIVSANTA >DRNTG_02598.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21258165:21262956:-1 gene:DRNTG_02598 transcript:DRNTG_02598.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein SLD5 [Source:Projected from Arabidopsis thaliana (AT5G49010) UniProtKB/TrEMBL;Acc:A0A178UAX9] MASGSEPWSSELGEENGSIAETTDVELLKRAWRNEKAAPEILQFQTALVLRAREQIQLLEGTVEEFVENGVDDLVVSLYQMDLDRSQFLLRSYLRLRLQKIEKYMIHISKTNLWNRLSDEEQRFAKRCTDIMEKHLEQSVLSRLPYGYQSILKQSISSEEDDMVPEPQLDTFVFCKTKNAVGAFQLDDTGDEMVDLVADDLYVLRYKSIKGLVENGQIDLV >DRNTG_02598.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21258165:21260995:-1 gene:DRNTG_02598 transcript:DRNTG_02598.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein SLD5 [Source:Projected from Arabidopsis thaliana (AT5G49010) UniProtKB/TrEMBL;Acc:A0A178UAX9] MASGSEPWSSELGEENGSIAETTDVELLKRAWRNEKAAPEILQFQTALVLRAREQIQLLEGTVEEFVENGVDDLVVSLYQMDLDRSQFLLRSYLRLRLQKIEKYMIHISKTNLWNRLSDEEQRFAKRCTDIMEKHLEQSVLSRLPYGYQSILKQSISSEEDDMVPEPQLDTFVFCKTKNAVGAFQLDDTGDEMVDLVADDLYVLRYKSIKGLVENGQIDLV >DRNTG_27498.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17167244:17167776:1 gene:DRNTG_27498 transcript:DRNTG_27498.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSENGIINTMHVHGRGEKKHTESIAVVSNKGKGGREEKQENGRHVTERVSEA >DRNTG_34581.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6833931:6834613:1 gene:DRNTG_34581 transcript:DRNTG_34581.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMKVLLLCFISTTLMMLLLSVSNAKAAGKPVMTCNPLELSSCADAILSGANPSATCCAKLKQQQPCFCEYVKKPNLKGYINSKNGRKVADTCKVPIPRCP >DRNTG_34581.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6833931:6834533:1 gene:DRNTG_34581 transcript:DRNTG_34581.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMKVLLLCFISTTLMMLLLSVSNAKAAGKPVMTCNPLELSSCADAILSGANPSATCCAKLKQQQPCFCEYVKKPNLKGYINSKNGRKVADTCKVPIPRCP >DRNTG_34581.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6833788:6834533:1 gene:DRNTG_34581 transcript:DRNTG_34581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMKVLLLCFISTTLMMLLLSVSNAKAAGKPVMTCNPLELSSCADAILSGANPSATCCAKLKQQQPCFCEYVKKPNLKGYINSKNGRKVADTCKVPIPRCP >DRNTG_33939.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22065477:22066406:-1 gene:DRNTG_33939 transcript:DRNTG_33939.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSIADQHCIESYHPDKSATRSPSL >DRNTG_33939.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22065477:22067472:-1 gene:DRNTG_33939 transcript:DRNTG_33939.3 gene_biotype:protein_coding transcript_biotype:protein_coding VFGTKQICRDKDRRPQLWENVPHVNGALIVNVGDLLERWTNCLFRSTLHRVLPPRQERYSVAFFIDPNSDCLVECLETCCSEKNPPRFPAIRSGDHLQARIKAAYGL >DRNTG_33939.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22065477:22067472:-1 gene:DRNTG_33939 transcript:DRNTG_33939.2 gene_biotype:protein_coding transcript_biotype:protein_coding LIIICRALIVNVGDLLERWTNCLFRSTLHRVLPPRQERYSVAFFIDPNSDCLVECLETCCSEKNPPRFPAIRSGDHLQARIKAAYGL >DRNTG_33939.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22065477:22067472:-1 gene:DRNTG_33939 transcript:DRNTG_33939.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFGTKQICRDKDRRPQLWENVPHVNGALIVNVGDLLERWTNCLFRSTLHRVLPPRQERYSVAFFIDPNSDCLVECLETCCSEKNPPRFPAIRSGDHLQARIKAAYGL >DRNTG_10196.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000427.1:6521:7362:1 gene:DRNTG_10196 transcript:DRNTG_10196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSARIARNVFRLSKLLVVIELAINGKRDAGVAEEDELLKFSINSSSFVAAKPLGLMPSSNNNINNNNNVLSLKMRVHECSICGAEFSSGQALGGHMRRHRPGSVPEVVAETKKEKSLLSLDLNLPAPSEDHRGDGGETAMKEMAKPAFSCANKRPPPLLLLSSAMVDCHY >DRNTG_34692.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22798705:22804697:-1 gene:DRNTG_34692 transcript:DRNTG_34692.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKQDPENTSEVQKSLQAPHESQGNGQNSTGSEDLIAESGLISNASNEKRKVSREDIELVQNLIERCLQLYMNKGEVVKTLSSRARIEPGFTNLVWQKLEEENSDFFRAYYIRLKLKKQIAMFNYLLEHQHNLMKYPVPFKTPLAPVQNGTHPMAVQLTASIWDTQFFNILQCQLQTSLLLII >DRNTG_34692.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22798705:22804697:-1 gene:DRNTG_34692 transcript:DRNTG_34692.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKQDPENTSEVQKSLQAPHESQGNGQNSTGSEDLIAESGLISNASNEKRKVSREDIELVQNLIERCLQLYMNKGEVVKTLSSRARIEPGFTNLVWQKLEEENSDFFRAYYIRLKLKKQIAMFNYLLEHQHNLMKYPVPFKTPLAPVQNGTHPMAVQLTASIWDTQFFNILQCQLQTSLLLII >DRNTG_34692.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22798705:22804697:-1 gene:DRNTG_34692 transcript:DRNTG_34692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKQDPENTSEVQKSLQAPHESQGNGQNSTGSEDLIAESGLISNASNEKRKVSREDIELVQNLIERCLQLYMNKGEVVKTLSSRARIEPGFTNLVWQKLEEENSDFFRAYYIRLKLKKQIAMFNYLLEHQHNLMKYPVPFKTPLAPVQNGTHPMAVNSFHMGYPILQHPPMPATDQPPLDHMSCRLSSSHVVNGIPAPGSFHPVHLDSGNGTMSSVPETDVSPASVASNNHFPFTPSEISGMGVDASAVDANFSTDLVGTGILQLEQDGVVGSSRGSLKSLSQFWNLSFSDFTADMTNLGDLEVLGDYSGSLFLSSDPDILFNSSEQDDIVEEYFADTVQGVDTVTGPVSQSDEEKS >DRNTG_33155.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3644253:3645594:-1 gene:DRNTG_33155 transcript:DRNTG_33155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNKEKGGPTAVMDSTLTSSILDQAI >DRNTG_30419.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001573.1:141538:145699:1 gene:DRNTG_30419 transcript:DRNTG_30419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNSIKQQEFSQVEPMSSSKQHHLMT >DRNTG_16453.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17316863:17320480:-1 gene:DRNTG_16453 transcript:DRNTG_16453.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMKKMVELEQQNQNWIEQNQTMFRQMRREWRHMRMMMQSGLLPNGPGQSSQHQEQDDDDDDDL >DRNTG_16453.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17317457:17320480:-1 gene:DRNTG_16453 transcript:DRNTG_16453.4 gene_biotype:protein_coding transcript_biotype:protein_coding GIIPANILALNFTIAAADRIGAKKLSISTFHSFCLQLCR >DRNTG_16453.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17316863:17320480:-1 gene:DRNTG_16453 transcript:DRNTG_16453.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMKKMVELEQQNQNWIEQNQTMFRQMRREWRHMRMMMQSGLLPNGPGQSSQHQEQDDDDDDDL >DRNTG_16453.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17316863:17320480:-1 gene:DRNTG_16453 transcript:DRNTG_16453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMKKMVELEQQNQNWIEQNQTMFRQMRREWRHMRMMMQSGLLPNGPGQSSQHQEQDDDDDDDL >DRNTG_30823.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19697248:19699422:-1 gene:DRNTG_30823 transcript:DRNTG_30823.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEEQQPKENGVGELGMGQRLNPISDAQFQAWKRRKDAETSARKAEVAQKRAEDIISGTMPMNGRELFKHEPWVFDNSRY >DRNTG_30823.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19697248:19699340:-1 gene:DRNTG_30823 transcript:DRNTG_30823.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEEQQPKENGVGELGMGQRLNPISDAQFQAWKRRKDAETSARKAEVAQKRAEDIISGTMPMNGRELFKHEPWVFDNSRY >DRNTG_30823.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19697155:19699340:-1 gene:DRNTG_30823 transcript:DRNTG_30823.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEEQQPKENGVGELGMGQRLNPISDAQFQAWKRRKDAETSARKAEVAQKRAEDIISGTMPMNGRELFKHEPWVFDNSRY >DRNTG_30823.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19697155:19699422:-1 gene:DRNTG_30823 transcript:DRNTG_30823.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEEQQPKENGVGELGMGQRLNPISDAQFQAWKRRKDAETSARKAEVAQKRAEDIISGTMPMNGRELFKHEPWVFDNSRY >DRNTG_30823.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19697224:19699340:-1 gene:DRNTG_30823 transcript:DRNTG_30823.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEEQQPKENGVGELGMGQRLNPISDAQFQAWKRRKDAETSARKAEVAQKRAEDIISGTMPMNGRELFKHEPWVFDNSRY >DRNTG_28392.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3871362:3871853:1 gene:DRNTG_28392 transcript:DRNTG_28392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSMVLWRVIYSSSKDSFVRYRIRLDRSSFTNLLPNEITMPAVNSVATVLLQCSATTFDLINLAIPYIHRGNANGHTFTP >DRNTG_00966.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20977591:20978886:1 gene:DRNTG_00966 transcript:DRNTG_00966.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILIFSFLVFPLCHAAIFDIVNQCSYTIWPAAIPGGGSKLEPGQNWTIFVNPGMDDARIWARTGCTFNSSGYCQCETGDCDGLLECQTYGSSPNTLAEFTLNGFNNLDFIDVSLVEGFNVPMEFSPIAGCNHVIQYSTNITGQCPDELKTSGGCNNPCTVFKTDEYCCTSGNCKPTNYSNIFKGLCPNAYSYVMDDESNTFTCIGGTDYKVVFCPNNNETKSNPPPSSAGSATFDIVNNCSYTVWAAAVPGGGQKLDNGQSWTLAFRKGTTGGRVWARTGCNFDNSGHGSCETGDCNGLLECQAYGNPPNTIAEFALNQYGNLDYIDISLVDGFNVPMDFSPTGGCARGIQCSADINGQCPTVLKATGGCNNPCTVFKTNEYCCTSGSSCQATDYSKFFKNLCPDAYSYPTDTSTFTCPAGTNYKVVFCP >DRNTG_31835.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2062368:2074213:1 gene:DRNTG_31835 transcript:DRNTG_31835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCADTSLARRSRIIELSRRLRHRGPDWSGIHCYQDCYLAHQRLAIVDPASGDQPLYNEDKTIVVTVNGEIYNHSELRAKLKNHQFRTGSDCEVIAHLYEEYGEDCVDMLDGMFSFVLLDTRDKSFIAARDAIGITPLYVGWGLDGSTWFASEMKALSDDCERFMSFLPGHIYSSKNGGMRQWYNPPWFSERIPSTPYDRLLLREAFEKAVIKRLMTDVPFGVLLSGGLDSSLVAAVASRHLSEVKSAIQWGSRLHTFCVGLKGSPDLKAAREVADYLGTIHHEFHFTVQEGIDALEEVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKEEFHQETCRKIKALHLYDCLRANKSTSAWGLEARVPFLDKNFINIAMDIDPEWKMIKPGRIEKWILRNAFDDEQNPFLPKHILYRQKEQFSDGVGYSWIDGLKDHANEHVSDAMMTHAKYVYPENTPSTKEAYYYRTIFEKFFPKNAARSTVPGGPSVACSTAKAVEWDAAWANNLDPSGRAALGVHAAAYDEVKEKTQIVNDSMNSFPQKLKDDVVMVANAATTAV >DRNTG_32391.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30258411:30259551:-1 gene:DRNTG_32391 transcript:DRNTG_32391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPNSSMSDTDGSSSSCTEFSAIVNRDPAESLEFEKSLRSDALSFESRNISHVDAVSNGD >DRNTG_17917.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:157761:162309:1 gene:DRNTG_17917 transcript:DRNTG_17917.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTQHSSSAGGSVFWKKPRTHYQGPSSRERQRETRDKLLSSPPGLKGSQKRAFFFSTWFERKPKEGFEGFSGDDQTSPPG >DRNTG_17917.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:157761:164458:1 gene:DRNTG_17917 transcript:DRNTG_17917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNWEIRHEWNEAPKYNDDAELFSIRMHYKVGEMEGIAGYIDYCCSDQISKIELLAMARELNLEVDGCSIWWLDTTSIDRGYKEVKTDLDALKMANSVGCSREMCVCVRLPCSGTPLAHDLGSIPVSNEGVGLVECSMVACINYVGEEEEDVTHDDENLEDILIRGHGRSSDRDETCNFEDSDYNFSDDGVEEEIFNDCGSRPNADIGGEATEVDDGDLVGSDYANSDGFQSYSSTDEEDATPLKPKYSEFNEEVDMRNPQFRIGMK >DRNTG_17917.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:161498:164604:1 gene:DRNTG_17917 transcript:DRNTG_17917.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNWEIRHEWNEAPKYNDDAELFSIRMHYKVGEMEGIAGYIDYCCSDQISKIELLAMARELNLEVDGCSIWWLDTTSIDRGYKEVKTDLDALKMANSVGCSREMCVCVRLPCSGTPLAHDLGSIPVSNEGVGLVECSMVACINYVGEEEEDVTHDDENLEDILIRGHGRSSDRDETCNFEDSDYNFSDDGVEEEIFNDCGSRPNADIGGEATEVDDGDLVGSDYANSDGFQSYSSTDEEDATPLKPKYSEFNEEVDMRNPQFRIGMK >DRNTG_17917.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:163220:164458:1 gene:DRNTG_17917 transcript:DRNTG_17917.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVVHNCSCFGSFYSFWWFTFKLHMIVPDDDAELFSIRMHYKVGEMEGIAGYIDYCCSDQISKIELLAMARELNLEVDGCSIWWLDTTSIDRGYKEVKTDLDALKMANSVGCSREMCVCVRLPCSGTPLAHDLGSIPVSNEGVGLVECSMVACINYVGEEEEDVTHDDENLEDILIRGHGRSSDRDETCNFEDSDYNFSDDGVEEEIFNDCGSRPNADIGGEATEVDDGDLVGSDYANSDGFQSYSSTDEEDATPLKPKYSEFNEEVDMRNPQFRIGMK >DRNTG_17917.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:157761:161019:1 gene:DRNTG_17917 transcript:DRNTG_17917.4 gene_biotype:protein_coding transcript_biotype:protein_coding AIHSSITSELDAGDGHHAGGEDRRLSIDPHLEVSPETSSHPFRHRRLQKTFIVAATSHPIHRRRFLASGFRRHRRRFVRSLLPRPLL >DRNTG_17917.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:163220:164604:1 gene:DRNTG_17917 transcript:DRNTG_17917.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVVHNCSCFGSFYSFWWFTFKLHMIVPDDDAELFSIRMHYKVGEMEGIAGYIDYCCSDQISKIELLAMARELNLEVDGCSIWWLDTTSIDRGYKEVKTDLDALKMANSVGCSREMCVCVRLPCSGTPLAHDLGSIPVSNEGVGLVECSMVACINYVGEEEEDVTHDDENLEDILIRGHGRSSDRDETCNFEDSDYNFSDDGVEEEIFNDCGSRPNADIGGEATEVDDGDLVGSDYANSDGFQSYSSTDEEDATPLKPKYSEFNEEVDMRNPQFRIGMK >DRNTG_17917.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:157761:161019:1 gene:DRNTG_17917 transcript:DRNTG_17917.3 gene_biotype:protein_coding transcript_biotype:protein_coding AIHSSITSELDAGDGHHAGGEDRRLSIDPHLEVSPETSSHPFRHRRLQKTFIVAATSHPIHRRRFLASGFRRHRRRFVRSLLPRPLL >DRNTG_17917.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:161498:162309:1 gene:DRNTG_17917 transcript:DRNTG_17917.9 gene_biotype:protein_coding transcript_biotype:protein_coding METTQHSSSAGGSVFWKKPRTHYQGPSSRERQRETRDKLLSSPPGLKGSQKRAFFFSTWFERKPKEGFEGFSGDDQTSPPG >DRNTG_17917.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:161498:164458:1 gene:DRNTG_17917 transcript:DRNTG_17917.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNWEIRHEWNEAPKYNDDAELFSIRMHYKVGEMEGIAGYIDYCCSDQISKIELLAMARELNLEVDGCSIWWLDTTSIDRGYKEVKTDLDALKMANSVGCSREMCVCVRLPCSGTPLAHDLGSIPVSNEGVGLVECSMVACINYVGEEEEDVTHDDENLEDILIRGHGRSSDRDETCNFEDSDYNFSDDGVEEEIFNDCGSRPNADIGGEATEVDDGDLVGSDYANSDGFQSYSSTDEEDATPLKPKYSEFNEEVDMRNPQFRIGMK >DRNTG_05898.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18644939:18658427:1 gene:DRNTG_05898 transcript:DRNTG_05898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSAETAGEEDQRPKPLFPLFPISSKPSSSEASQWLSNPSFTLDPSSFPTAPAPIPPDSDDDDSTADAPVAPAPPSYDLVASSPSPSSSEQADARRLKRDDKRRRRKKRTRDREKEDDGTSRKSRVRAWAGSETKMAKDYYFDSHGDRDNLVYGSLYKMDVARYSLYYYSDYSTSKHILLYGWRPGYSSMDVDIDLDVLDSKSRAGGRYCSVKYAAMERHKSFKRVKIIRKKAPLVSPGEFIPLVELQDLPENVCSVAKGEVVESWEDEVLRRTREFNKMSREFPHDEKVWLDFAEFQDKIASTQPQKAARLQMLEKKISILEKAVELNPENEDLLLSLLKSYQARDSTDSLMNRWEKALMQHYDSCRLWKEFLLLRQGEFSRFKVSDMRRAYANAIQALSSACTKLCRQGSLNPISQPSDPALVQVELGLVDIFISLCRFEWQTGHHELATGLFQAEMEFSLFSPSLSVSSHSKLRLFEHFWNSNGARIGEDGAVGWSTWLQKEEENRQNIVMVESTEEADVGGWTGWHEPSKIEASKEPENSIEHGVGEEEAEDNHDTENIPLKDDIGTLLKNLGINVDAEPRSEIRDAKTWNRWSMEELSRDCEQWLPVREHSGEDGKSRCPHSGDNLDEEAEEQLSRVILFEDVKEYLFSLSSKEARFSLVSQFINFYGGKISRWTCTNNPSWIEKLLSLETLPDSAFEGTQLSEMSNRTGCSSYINLAVLLGGKNDLSRKSSVMLFLRNAILLCLNIFPRNYILEESVLAAEEIVMTKMDSSTASVNPSRGLAKSLLKNDRQDLLLCGIYAQSEASFGNIDLARKIFDMALSAAEGLPLDFQENIPILYFWYAKMELAKSTWSSSDPCTQRVIHVLSCLGSNTKYTPFKSQTLGFQILKARQGFKEQIKCLRSTWARGDVKEHSIALVCSACLLEILTTGFSAGVQVIEEAFSLSLPERRSRSLELEFLWVYYIGILQKHLKQLKFSRVWENIQHGLQLYPNNPEMLDIMVEISYFSTVPNKVRLVFDEYIERKPSVILCLFALSYELARSGSKHRIHGIFERALANDKLQKSVLLWRCYLAYESDIACDPDAAKRIFFRAIHSCPWSKRLWLDGFQKLSTILTAKELSDLHEVMQDKELNLRTDIYEILLQDEIEL >DRNTG_05898.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18647669:18658427:1 gene:DRNTG_05898 transcript:DRNTG_05898.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYHNFWYLVILQGSLNPISQPSDPALVQVELGLVDIFISLCRFEWQTGHHELATGLFQAEMEFSLFSPSLSVSSHSKLRLFEHFWNSNGARIGEDGAVGWSTWLQKEEENRQNIVMVESTEEADVGGWTGWHEPSKIEASKEPENSIEHGVGEEEAEDNHDTENIPLKDDIGTLLKNLGINVDAEPRSEIRDAKTWNRWSMEELSRDCEQWLPVREHSGEDGKSRCPHSGDNLDEEAEEQLSRVILFEDVKEYLFSLSSKEARFSLVSQFINFYGGKISRWTCTNNPSWIEKLLSLETLPDSAFEGTQLSEMSNRTGCSSYINLAVLLGGKNDLSRKSSVMLFLRNAILLCLNIFPRNYILEESVLAAEEIVMTKMDSSTASVNPSRGLAKSLLKNDRQDLLLCGIYAQSEASFGNIDLARKIFDMALSAAEGLPLDFQENIPILYFWYAKMELAKSTWSSSDPCTQRVIHVLSCLGSNTKYTPFKSQTLGFQILKARQGFKEQIKCLRSTWARGDVKEHSIALVCSACLLEILTTGFSAGVQVIEEAFSLSLPERRSRSLELEFLWVYYIGILQKHLKQLKFSRVWENIQHGLQLYPNNPEMLDIMVEISYFSTVPNKVRLVFDEYIERKPSVILCLFALSYELARSGSKHRIHGIFERALANDKLQKSVLLWRCYLAYESDIACDPDAAKRIFFRAIHSCPWSKRLWLDGFQKLSTILTAKELSDLHEVMQDKELNLRTDIYEILLQDEIEL >DRNTG_24785.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19974068:19977117:1 gene:DRNTG_24785 transcript:DRNTG_24785.4 gene_biotype:protein_coding transcript_biotype:protein_coding ERLKALTSSQASIQNTKNQRNTKTKQSLYKISMAGMKWINNINITVHEFVYINKKGAETDNVQRLATELLCSNGSQEYLDHNSSQ >DRNTG_24785.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19974068:19977166:1 gene:DRNTG_24785 transcript:DRNTG_24785.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERLKALTSSQASIQNTKNQRNTKTKQSLYKISMAGMKWINNINITVHEFVYINKKGAETDNVQRLATELLCSNGSQEYLDHNSSQ >DRNTG_24785.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19974068:19977117:1 gene:DRNTG_24785 transcript:DRNTG_24785.2 gene_biotype:protein_coding transcript_biotype:protein_coding ERLKALTSSQASIQNTKNQRNTKTKQSLYKISMAGMKWINNINITVHEFVYINKKGAETDNVQRLATELLCSNGSQEYLDHNSSQ >DRNTG_24785.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19974068:19977117:1 gene:DRNTG_24785 transcript:DRNTG_24785.3 gene_biotype:protein_coding transcript_biotype:protein_coding ERLKALTSSQASIQNTKNQRNTKTKQSLYKISMAGMKWINNINITVHEFVYINKKGAETDNVQRLATELLCSNGSQEYLDHNSSQ >DRNTG_13628.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6312525:6313555:1 gene:DRNTG_13628 transcript:DRNTG_13628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDPLNSGSASTSVIQLKSNNCPVSCNKEEKKLRLFGFELDASDHKANEKERYLSNSVEDVDAKEDGVLISSHSFSVAKQKSDKEKCFIPEAENKKYGCQFCLKEFANSQALGGHQNAHKKERLKKKRLELQARKAGINYYLQPLIKSHGLGYNNSSRWSYDTPRCVPEFLLLEESHGSFKPMDQSFYMNGLCNSGVPAFEFRASVPQSTCSFGMMQPDLLKENMPVILKPLSFSSSGQNHKTLDLQLGPPTARSTLFTSPKNGL >DRNTG_05808.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19098212:19102378:-1 gene:DRNTG_05808 transcript:DRNTG_05808.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSDLNLLNSFLDNLVIKVVPMENLNGCKLVEAGEYCERRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGTGPFSEPETQIMRKLSQSFDPHVWVNVHSGMEALFMPYDHKNVTPDGLISRLMRSLLDDLNYHHCQNRCLVGSGGGLVGFVPLKLMSPMQD >DRNTG_05808.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19098212:19102378:-1 gene:DRNTG_05808 transcript:DRNTG_05808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPLSPILYLLGLLRLLCFVNGVNTSDLSLTPIPRHLYHSCDSLLKDVKALVSRHPEKLTVDRIRAEDKGYAADIVVVTYNSGKIPKNGNFKFRILLTFGQHGRELITSEVALRLISILTGERTMPGSDLNLLNSFLDNLVIKVVPMENLNGCKLVEAGEYCERRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGTGPFSEPETQIMRKLSQSFDPHVWVNVHSGMEALFMPYDHKNVTPDGLISRLMRSLLDDLNYHHCQNRCLVGSGGGLVGYLAHGTSTDYMHDIVKVPMAFTFEIYGDSSASSKDCFKMFNPVDEATFNRVIENWCAAFLMLFQTGPGWLDLARQATFNDLSKWVSIDANAVDRTEGSSKGGKLEGLDLGMQELRTYFRLFMLSSVLIMFMFCSRISKSKCRPSMSSIPI >DRNTG_05808.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19098212:19102378:-1 gene:DRNTG_05808 transcript:DRNTG_05808.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSDLNLLNSFLDNLVIKVVPMENLNGCKLVEAGEYCERRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGTGPFSEPETQIMRKLSQSFDPHVWVNVHSGMEALFMPYDHKNVTPDGLISRLMRSLLDDLNYHHCQNRCLVGSGGGLVGYLAHGTSTDYMHDIVKVPMAFTFEIYGDSSASSKDCFKMFNPVDEATFNRVIENWCAAFLMLFQTGPGWLDLARQATFNDLSKWVSIDANAVDRTEGSSKGGKLEGLDLGMQELRTYFRLFMLSSVLIMFMFCSRISKSKCRPSMSSIPI >DRNTG_00985.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13867521:13870887:1 gene:DRNTG_00985 transcript:DRNTG_00985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRTVEVRNISDLASEREIREFFSFSGEIDHIEMRCDSGASRCKAFVTFKDPKALEIALLLSGATIVDQIVSIRPVQNYNPDVEEQVVVSQGTSDYSDVNCLPSTELQSSSSGGGRIYVSKAQEVVSGVLAKGSALGQDAMNRARAFDEKHQLRANASAKAISFDRRVGLSEKITVGFSVVNEKVKSVDQKLHVSDKTMAALIVAERKLNDTGSAVKTNRYVTAGTAWLNGAFSKVAKAGYVAGTRTREKFQLAVSNLTAKDPVIAA >DRNTG_00985.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13867521:13870887:1 gene:DRNTG_00985 transcript:DRNTG_00985.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRTVEVRNISDLASEREIREFFSFSGEIDHIEMRCDSGASRCKAFVTFKDPKALEIALLLSGATIVDQIVSIRPVQNYNPDVEEQVVVSQGTSDYSDVNCLPSTEVSINSTPK >DRNTG_22464.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20675092:20678102:1 gene:DRNTG_22464 transcript:DRNTG_22464.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPNGAGKTSFIKMMTGLIPPSSGTAFVRGLDISQDMDKVYCSMGVCPQHDLLWETLTGREHLLFYGRLKNLHGRALEEAVKESLQSVNLSDKANTLSGKYSGGMKRRLSVAISLIGNPSAVYLDEPSTGLDPASRKYLWSALLNAKQNKAIILTTHSMEEAEALCDRVGIFVDGSLQCIGNPKELKQRYGGYFMFTITTPPDQEGEVEKLVNRISSEASKVYHLAGTQKFQLSKQDVKLADVFKEIESAKKELSIHAWGLTDTTLEDVFIKVAKGSNSLT >DRNTG_22464.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20673508:20674391:1 gene:DRNTG_22464 transcript:DRNTG_22464.4 gene_biotype:protein_coding transcript_biotype:protein_coding SSSKWHQWIIFRVRYHNLLNGHNFFRYQSPSFVRLKEIIQEL >DRNTG_22464.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20676375:20678102:1 gene:DRNTG_22464 transcript:DRNTG_22464.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPNGAGKTSFIKMMTGLIPPSSGTAFVRGLDISQDMDKVYCSMGVCPQHDLLWETLTGREHLLFYGRLKNLHGRALEEAVKESLQSVNLSDKANTLSGKYSGGMKRRLSVAISLIGNPSAVYLDEPSTGLDPASRKYLWSALLNAKQNKAIILTTHSMEEAEALCDRVGIFVDGSLQCIGNPKELKQRYGGYFMFTITTPPDQEGEVEKLVNRISSEASKVYHLAGTQKFQLSKQDVKLADVFKEIESAKKELSIHAWGLTDTTLEDVFIKVAKGSNSLT >DRNTG_22464.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20673508:20678102:1 gene:DRNTG_22464 transcript:DRNTG_22464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVPIKRASLAVQMNALMRKNLTFQKRNLRTNIRLLVIPVLLCLLLFGLEKLVNHVMGGLKNTCECRHCQETNPNEDNCSHVCSVELFEMASMDNFPCPISQPAKWPQLFSIPKSEFRATKRDNSRTLNNLELPDKSCRVAGNCPVSILITGFNRTLAKNLGKNLYGTMAFSEPVDALDPFTVSSEYIIGTSTDLAFTYYADPAFLDPVEPLLLVQQNCNPKMSFPLSIKVDGVSLLKEVECVEGLTLWRRDSSTINREIYSGFKQSNPNKDINEYFAAYDFLNTNGNIFNVTLWHNGTSNDNKKSLSRILRALNAASNSYLQFFKGPNVKMVLDFAKEMAKSASPMKRLDISSAFGPPFFTWIIEMLLPVMLVSLVYEKQNNLRMIMKMHSLGDRPYWIITYAYFLLISSLYISVFILFGSFLRLKIFTLNDYSVQSVFYFIYINLQIALAFFSSIFFSEVKTAAVIGYIYVFASGLFSGMILNEFP >DRNTG_34506.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5887703:5897269:-1 gene:DRNTG_34506 transcript:DRNTG_34506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLQPSIVLPAFTVMHSLASSSKVSSGPVPPQTRTINPPSHNHRS >DRNTG_11125.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30475981:30479890:1 gene:DRNTG_11125 transcript:DRNTG_11125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPRRSSSEQPSRSREEPWLARGLKPENFVPGLVIGFLMGLFLDISASYRGHSLKGRTLSKQLKTASDGGGEELKMVLVVRQDLKMGAGKIASQCAHAATGMYADLLQSQRSLLRQWENSGQAKIVLSCKNQQEMNKLKEAADRCGLPTFVVADAGRTQILAGSRTVLAIGPGRKSLIDSVTGKLRLL >DRNTG_12139.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11599468:11600149:-1 gene:DRNTG_12139 transcript:DRNTG_12139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCYSENTYRKQATIETSLSSLERKEFPELVPANADEDLFVRANRRLDTIAPEPLARRQDEKATSSVRARRRSSTSSPKRARIPRRRRSLLLPRLIATSPPTTTSTVPPIMAAPDRGSAPDGTRRGCFCKSSVGLPYIDDRVLSAFRSG >DRNTG_10643.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:297109:300178:-1 gene:DRNTG_10643 transcript:DRNTG_10643.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G19520) TAIR;Acc:AT1G19520] MRALIPKCYKKSLISHLRARISLFSDPISIPIPLIPRIPPRRSLHDLPKHFTEAPNPSNSLLSSKILQSDPSPIAEAEEEDGPMNEFLSRFVFAIRPKLTAAFPDLARETLDAMLLLICQKVLAELDGAAAPAVGSVELSPDLWETVQEIANSVHEAMKKDRLREEIKKYLHCDEVKEMCRFAGDVGIRGDFLRELRFKWAREKLEEVEFYRELDRIRAQAQKGDENEGIEEENRGKPELTALPERKGRIKYKIYGLDLSDPKWAEVAERVEEAEKHIVPEEPKPIVGKSKKVDENILSLDAVKDDPLPVLEEWKGLVGPKRVDWVALLDRIKEKSSALYFKVAEHLLIEESFEAGIRDYWRLIDSHSKADCLKEAERILQKMIEKGITPDVLTCITLVHMYSKTGNLERTKEAFDSLRQQGFQPDFKVYNSMIMAYVKAGNPKSGESMAREMEARDIQPTKEIYMELLRAFAQQGQVDGAQRIVNTMEFSGIQRCVESSTLLVEAYGKSGDPDQARKLFDLMMKSGLKPDDRCTASMIRAYATKNQLDKALDLLLNLEKDGFRPGTAIYTVLVDWMGRLQMIEEAEHFLNNIREKGEDPPFEIHVSLCDMYCSAGIKEKTRENLKILEEKKHLLKADEFERIVSSLLDGGFVNDAKRMHNLMQAQGFPPSEALRVRISASQSIPSQRPSKSRSGKPRY >DRNTG_32089.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22330583:22334633:1 gene:DRNTG_32089 transcript:DRNTG_32089.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMAVCVKWISVLVIGFFVVAAMSFALMGNHWPSISARYGGGGGGVARRSLRETPGTDDITAMAVSRNETEAVVGAVDDPEMIVSMVHMSINNSTARRSLGYLSCGTGNPIDDCWRCDSQWHLNRKRLADCGIGFGRNAVGGRDGRYYVVTDPGDDDPVNPRPGTLRYAVIQDEPLWITFKRDMVITLKQELIMNSFKTIDGRGAAVHIANGACITIQFVTNIIIHGLHIHDCKPTGNAMVRSSPSHYGWRTMADGDAVSIFGSSHIWVDHNSLSNCADGLVDAVLGSTAITISNNYFTHHNEVMLLGHSDSYTRDKSMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSADPTINSQGNRYLAPANPFAKEVTKRVETAESQWKGWNWRSEGDLMLNGAYFTPSGAGAASSYSRASSLGAKSSSMVGSITSGAGALSCHTGTEC >DRNTG_32089.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22330583:22334633:1 gene:DRNTG_32089 transcript:DRNTG_32089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMAVCVKWISVLVIGFFVVAAMSFALMGNHWPSISARDGGGGGGGGVARRSLRETPGTDDITAMAVSRNETEAVVGAVDDPEMIVSMVHMSINNSTARRSLGYLSCGTGNPIDDCWRCDSQWHLNRKRLADCGIGFGRNAVGGRDGRYYVVTDPGDDDPVNPRPGTLRYAVIQDEPLWITFKRDMVITLKQELIMNSFKTIDGRGAAVHIANGACITIQFVTNIIIHGLHIHDCKPTGNAMVRSSPSHYGWRTMADGDAVSIFGSSHIWVDHNSLSNCADGLVDAVLGSTAITISNNYFTHHNEVMLLGHSDSYTRDKSMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSADPTINSQGNRYLAPANPFAKEVTKRVETAESQWKGWNWRSEGDLMLNGAYFTPSGAGAASSYSRASSLGAKSSSMVGSITSGAGALSCHTGTEC >DRNTG_00893.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21715355:21717713:1 gene:DRNTG_00893 transcript:DRNTG_00893.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDEESSTSDRRSPVRIRPPPDPLLIICRCFSFLTAVIALLCVFVNVLSAVRSFRDGSDVLEYWAGRGMLQIFVAVMTRAFPDVTDERKDLVLLQEIASYMLMACGLTYVISGILCIGFLKRARQHKAISREQAAKDLEELERRREELEALLINERS >DRNTG_00893.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21715355:21717713:1 gene:DRNTG_00893 transcript:DRNTG_00893.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDEESSTSDRRSPVRIRPPPDPLLIICRCFSFLTAVIALLCVFVNVLSAVRSFRDGSDVTLDVIIISFLCESHCFSFPFSSKIFGGIFRCYAVAIALFVAVLETEWSFIFKFWRVLEYWAGRGMLQIFVAVMTRAFPDVTDERKDLVLLQEIASYMLMACGLTYVISGILCIGFLKRARQHKAISREQAAKDLEELERRREELEALLINERS >DRNTG_00893.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21715355:21717713:1 gene:DRNTG_00893 transcript:DRNTG_00893.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIFVAVMTRAFPDVTDERKDLVLLQEIASYMLMACGLTYVISGILCIGFLKRARQHKAISREQAAKDLEELERRREELEALLINERS >DRNTG_00893.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21715355:21717713:1 gene:DRNTG_00893 transcript:DRNTG_00893.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDEESSTSDRRSPVRIRPPPDPLLIICRCFSFLTAVIALLCVFVNVLSAVRSFRDGSDIFGGIFRCYAVAIALFVAVLETEWSFIFKFWRVLEYWAGRGMLQIFVAVMTRAFPDVTDERKDLVLLQEIASYMLMACGLTYVISGILCIGFLKRARQHKAISREQAAKDLEELERRREELEALLINERS >DRNTG_26982.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4246065:4246797:1 gene:DRNTG_26982 transcript:DRNTG_26982.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQQQAPPPSYPPPGQAYPAPTEGYPTYVAPPPAGYPTKDEATYSQNAPAQTQSRGDGGFWEGW >DRNTG_26982.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4246065:4247557:1 gene:DRNTG_26982 transcript:DRNTG_26982.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQQQAPPPSYPPPGQAYPAPTEGYPTYVAPPPAGYPTKDEATYSQNAPAQTQSRGDGGFWEGCCAALCCCCLLDMCT >DRNTG_03009.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:6407518:6408168:-1 gene:DRNTG_03009 transcript:DRNTG_03009.1 gene_biotype:protein_coding transcript_biotype:protein_coding STYKTKANKSRKNRTVCNPPPPVSIQLAKMQTTEAAGVMDSNHAKKIEHFVILLLTHLESAKTCLYASEMADQRDVDHSHPFGWDSRL >DRNTG_27265.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:14720840:14723484:1 gene:DRNTG_27265 transcript:DRNTG_27265.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGWCSKHAVKLERKIIEKNRRKHMKSLLLQLSSIIPQSQPSGSKNALKQKDILEEATSYVGKLRERVETLENEKTEMKMKMSMQRNQMMNINDRKVAVHVQCFDDCIKIVLMSTLNKCFKSYEVIRILGEEGAQVIHANLTRSGDKIVFVIHSQVFPQLLLHVLDLCYQSIFSNLIMLDIYYYYYYYYYYYYIKFVFDIAS >DRNTG_27265.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:14720840:14723484:1 gene:DRNTG_27265 transcript:DRNTG_27265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGWCSKHAVKLERKIIEKNRRKHMKSLLLQLSSIIPQSQPSGSKNALKQKDILEEATSYVGKLRERVETLENEKTEMKMKMSMQRNQMMNINDRKVAVHVQCFDDCIKIVLMSTLNKCFKSYEVIRILGEEGAQVIHANLTRSGDKIVFVIHSQSGNFFKNWFRRGKD >DRNTG_01910.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20916061:20931392:1 gene:DRNTG_01910 transcript:DRNTG_01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAQAHLSGRISGQVPNQPSQMHNFGGHNLDPDLSAKRNLVYDHILKWFQRKSPDANANFATLATKFEDLLFRTAASKEDYMNMGGRAIELRMQALAKSTNNSQLNAHRFSSSSAVSTMIPTPGMAQTSSTNSVVWPADNSIISTSGAAMVVPTTVNTGSILPVASGVGSVGTAATSNVLDGQVPNGYRKVSVNSHFGSGGNTLMPQQSTQMIPTPGLNNFQPVTAHPEFSSGGGFLSNEASVSLQPQLKQYVGSQTGRNFHAPLTQVGIKMRSNMYQKPPTYEFSNGLMNGSLGLSGTNLQVVNGSTTSDGYLSAVPYGTPERLQEHFDQQQHQTMLPTSLPQQVTSTPGDVYGMGAVDPLGPGNLYGSSVASVFNHQNINPASLQSKSKTSFTMQSQNAGLQSIQQVAKPQIPDQSQKMNPQISHSTGEQLLSSQHQLQKFQHQQFQQQPSQSYTHPIQHQQEQLGQQHQQLMSKVDTLKHSSMVSNFGRQLTPGCTNDLHHELLPNQPAEHLHLSKFQNQCERRTSSSNQFGGSQLLGHLSSTHDSPQPVTQDPQQVSESQNDVHCLSTGSQPDVPSRNHWRPQLLQKSHILDISPLEQQIKEEFNQRITGRDEAQQSHISLDGCGTGSGGATKVVAMQQLSGKLAPGPGSTKRERDFHNQRRWLLLVLHARSCRTPKGECQDICSKVQKLCAHMGRCKSSECEVAYCESTKKVFGHFVSCRCADCPVCAPVRSYIAREHARANCNSAEQMTDSRRIDNSGDADRISIINVPPVETSTDLQALPKRMKVEHDSQSLVPKQETTPVPVQLVNHSQDSPETQFQGCEAPNTSVSIKSEISEMNLDTPTSPGCDNAPIFNNLTDGFLENAHRTRPDLKHDLKSVGPVNFDDHGRRETILEGKEPDQAKIETNNHEPNAQANDTAVGNKSGKPKIKGVSLTELFTPEQVREHIVSLRRWVGQSKAKAEKNQAMEHSMSDNACQLCAVEKLTFEPPPIYCSPCGARIKRNAMYYTVGTGDTRHCFCTLCYNEARGDSIEVDGSTFPKIRLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCFMDEVARGERMPLPQSAVLGAKDLPRTILSDHIEQRLFRRLKQERQDRARNLGKSYDEVPGAEALVVRVVSSVDKKLEVKQRFLEIFQEENYPTEFPYKSKVILLFQKIEGVEVCLFGMYVQEFGSECQFPNQRRVYLSYLDSVKYFRPEIKTVTGEALRTFVYHEILIGYLEYCKRRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKATKENIVVDLTNLYDHFFVHVGECKAKITAARLPYFDGDYWPGAAEDMINQLRQEEDGRKQQKKGKIKKLISKRALKAAGHADLSGNASKDALLMQKLGETIHPMKEDFIMVHLQHACAHCCLLMVSGIRWVCNQCKNFQLCDKCHDTEQRIEERDRHPINSREKHMLCPVEINDVALDTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICYHDIETGQGWRCEICPDFDVCNSCYQKDGGPDHPHKLTNHPSLAERDAQNKEARQKRVLQLRKMLDLLVHASQCRSPHCQYPNCRKVKGLFRHGIQCKTRASGGCPLCKKMWYLLQLHARACKVSECHVPRCKDLKEHLKRLQQQSDSRRRAAVMEMMRQRAAEVAGNAE >DRNTG_19805.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001065.1:440:1135:-1 gene:DRNTG_19805 transcript:DRNTG_19805.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPNTTTNVLERSPSLKDMDKRLQEKSSLSKHLQRVYPLSMHKSNSSLSLSSLSLSQNSNDSSFNSSISSWDHKMAMPFHGMFKSWERRDVSIEEAIKKWRLRCREFGLFGKKNQSNVDDDEVESGELDSLDVGELKRCKWITKSSGMFFRIWLI >DRNTG_19805.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001065.1:440:1135:-1 gene:DRNTG_19805 transcript:DRNTG_19805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPNTTTNVLERSPSLKDMDKRLQEKSSLSKHLQRVYPLSMHKSNSSLSLSSLSLSQNSNDSSFNSSISSWDHKMAMPFHGMFKSWERRDVSIEEAIKKWRLRCREFGLFGKKNQSNVDDDEVESGELDSLDVGELKRCKWITKSSDQVYVSFHDESWGVPVFNDW >DRNTG_29728.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:583542:588838:-1 gene:DRNTG_29728 transcript:DRNTG_29728.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAP2 [Source:Projected from Arabidopsis thaliana (AT2G26310) UniProtKB/TrEMBL;Acc:A0A178VYJ7] MFIPDSVCEKLGPKYASVPVPDLTNRVEFFDDLLRKDIPMTVRLVVNYNGLKISTVRDAFEKSLRNRLQKMNPNTDYHCLRTFGSYFTEDIPLPVGTTIHFRQTADGQLITEIGGKQIGAVRSKDLCRAFFDMYIGDLPVSEQTKREVAQNVAGLIGRC >DRNTG_29728.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:583542:588838:-1 gene:DRNTG_29728 transcript:DRNTG_29728.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAP2 [Source:Projected from Arabidopsis thaliana (AT2G26310) UniProtKB/TrEMBL;Acc:A0A178VYJ7] MHLVYLGPKYASVPVPDLTNRVEFFDDLLRKDIPMTVRLVVNYNGLKISTVRDAFEKSLRNRLQKMNPNTDYHCLRTFGSYFTEDIPLPVGTTIHFRQTADGQLITEIGGKQIGAVRSKDLCRAFFDMYIGDLPVSEQTKREVAQNVAGLIGRC >DRNTG_06127.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25586541:25589415:1 gene:DRNTG_06127 transcript:DRNTG_06127.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G62990) UniProtKB/TrEMBL;Acc:Q9FM53] MLSPHRRLLLSKLVHLAPDLGFAPNFRSRLCNSHPDRFRIVDTSYGRALELVSWDSSLAVPFPPVTNCADDDFKDRPIIDRPPKFKLLKLRRGLNLKRPHRDFLIRLQELPDVSPYLDQQQRQRVMTPEEAEKQACAVVREVLAMTTEKRTLVDHLTHFRRDFGLPNRLHAMLVRHPEMFYVSIKGARDSVFLVEAYDEKGKLLVEDELKSAKKKLVELVNEGKRMRREWKRGYGYGDGAEDESDGGDGDLEDVDDDDEDEDNVLQDLFESGIGDDWKEICGGDDHFEDELKEVDEFWIRKALDESTRQGEELLEVW >DRNTG_06127.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25586541:25594118:1 gene:DRNTG_06127 transcript:DRNTG_06127.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G62990) UniProtKB/TrEMBL;Acc:Q9FM53] MLSPHRRLLLSKLVHLAPDLGFAPNFRSRLCNSHPDRFRIVDTSYGRALELVSWDSSLAVPFPPVTNCADDDFKDRPIIDRPPKFKLLKLRRGLNLKRPHRDFLIRLQELPDVSPYLDQQQRQRVMTPEEAEKQACAVVREVLAMTTEKRTLVDHLTHFRRDFGLPNRLHAMLVRHPEMFYVSIKGARDSVFLVEAYDEKGKLLVEDELKSAKKKLVELVNEGKRMRREWKRGYGYGDGAEDESDGGDGDLEDVDDDDEDEDNVLQDLFESGIGDDWKEICGGDDHFEDELKEVDEFWIRKALDESTRQGEELLEVW >DRNTG_08644.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2104117:2117271:1 gene:DRNTG_08644 transcript:DRNTG_08644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPGGGADLAQLQSTMLAIEQACASIQMHANPTEAEKIIVSLHDSPMPYQLCQFILENSHMPIVRFLAAAAIRVAALREWGLLTDEDKRNLIVFFLGFVMERASASDTYVQSKVSAVAAQLIKRGWQDFTDGDKAAIFLEVKRASEGIYGVDAQFAGMDFLESLVSEFSPSTATSMGLPREFHELCQLSFEKNYLKEFYVWGQNAAVSFGDKIVGSAATTVSEIKVCSAALRLMCQILNWSFKQAASVSGPSSSKTHAFSSGIRHDTLLLKKFERSLVEPGPAWHDVLISSGHTIWILNLYEALRQKYSSDNLSWIESPLAVSARQLIVQLCSLSGSIFPSDNGQMQIKHLTQILSAIIKWIEPADLILEAITTEHRDSEVLDACHALLSIATLTDCMLFDNLLRSVRPFGTLRVLATLTCEVVKAYTASADEDDRWSSEALDILLEAWGVVLGRSDFTKTSISTEAVADTANLFNVIVESYLNAAARTALDDDNQSEHFHASISRRDEMLRSYSLIARAAADVTVPFLVRLFSERVSLLLSQKSTNDAISLLEELYWLLLITGHVLTDSGEGETILVPEALQASFSNIPEEAQHPVVVLSWSIISFARHSLNPETRTCYFSPRLMEAVIWFLARWADTYVMPLDGTKGHICTPHESQVSKRVLHSFAGENDQGKLVLDTIVRISMVALSSYPGENELQTMTCHHLLVALVRRRNVCAHLVTLESWAGIANAFVNERTLFQLNARVQRYLAQALVSAAFGLKDSEASNQYVRNLLGPMTTYLKDISIQNNLKGFAQQADVIYTVICLMERLRGAMRATQPRTQKATFEMGHAVMDSLLTLLEVYKNQSAVVYQILKFVVDLVDAQVTFLNAEDTSILVSFCLQLLKVYSSHNIGKISLSLSSSLQSEAQFEKYKDLRALLQLLVHLCSKDLVDFSSGSDEADSPEIANVVFIGLHIITPLISLDLLKYPKLSFDYFALLSHMLEVYPEKVAQLNKDAFAHIAHTLDFGLHGQDIDVVDRCLRAVDALVSYHFKSRVKGEEGLGAYAIDCQGTNGELQESILKHFLRILLQLLLFEDFRMELVGSMADALLPLLLCEHDSYQRMVHELLERQSNPDTKTRLADALQRLTSTNQLTSSLERSNRQRFRKNLQIFLTDISGYMRTKVDMHNRKAAKPVLPYISLSLPGQLMLFTLASLLKKIKENQ >DRNTG_24297.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23598624:23607101:1 gene:DRNTG_24297 transcript:DRNTG_24297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQCTYDARALAIIFVLLCDERKFPLSIDLPQFESIVCKLLVRVS >DRNTG_25318.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20369971:20376667:1 gene:DRNTG_25318 transcript:DRNTG_25318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIICQSCEIDVHMKIGHLPTAHAMWEHLSHLFEHSSSARQYAILQNLTHIQQGERSIRDQMLNQDPLPSFDDVIHSVIAEETHLSTLSPRLIPTDIVLAVASPHSTRVHSGPSVLPASCFIDHFAVFCYLLLLSSPHSLVLCLDLPFLPLMLLLSQPQILLPLLIFFLRSKFEDSSSTILRNMEFIGHCSYDAFRTSMRTTTENLRNFSLSSDGNHRKQPPQRQTRKSSISSDLHLSFTISMPLDSQVLLGDMGTGKSSLGLRFVKGQFLEFQESTIGATFFSQTLAVSDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITSTVND >DRNTG_31032.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30517842:30519587:-1 gene:DRNTG_31032 transcript:DRNTG_31032.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIIHRKVDVNGISMHVAEKGEGGPVVLLIHGFPELWYSWRHQILGLAARGYHAVAPDLRGFGDTDIPPSSSSYTMFHVVGDIVALIHALGQDKVFVVGHDWGAIVAWHLCMLRPDKVNALVNLSVAFTPRNPAQSSINSLRSHFGDAHYMVKFQEPGAAEAEFAEIGTALILKKFLTIRRPNAYILPKETGFSVSSDDDLTLPPWLSEEDINYYAAKFEKTGFTGGLNYYRCMELNWELTAAWTGTQIKVPTKFIVGDLDLAYHFPGVKDYIHKGGFKKDVPFLQDVVVMEGVGHFITLEKAHEVTDHIYDFIKTF >DRNTG_31032.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30517842:30519587:-1 gene:DRNTG_31032 transcript:DRNTG_31032.2 gene_biotype:protein_coding transcript_biotype:protein_coding METIIHRKVDVNGISMHVAEKGEGGPVVLLIHGFPELWYSWRHQILGLAARGYHAVAPDLRGFGDTDIPPSSSSYTMFHVVGDIVALIHALGQDKVFVVGHDWGAIVAWHLCMLRPDKVNALVNLSVAFTPRNPAQSSINSLRSHFGDAHYMVKFQEPGAAEAEFAEIGTALILKKFLTIRRPNAYILPKETGFSVSSDDDLTLPPWLSEEDINYYAAKFEKTGFTGGLNYYRCMELNWELTAAWTGTQIKVPTKFIVGDLDLAYHFPGVKDYIHKGGFKKDVPFLQDVVVMEGVGHFITLEKAHEVTDHIYDFIKTF >DRNTG_06055.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11425468:11426439:-1 gene:DRNTG_06055 transcript:DRNTG_06055.6 gene_biotype:protein_coding transcript_biotype:protein_coding GTTSNKKKKKVKLQRAARSMKKQQRISSENNNSNLYSPLAHLKDAQGFAEKLFSRLQKCNERFEVSLLIL >DRNTG_06055.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11427442:11429905:-1 gene:DRNTG_06055 transcript:DRNTG_06055.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKCDPEGYESELVLLRRHFGSSLQLFRQQSALAPSTDPAVAKDLCDFAMFLTHVSPLYPSHLADFPGKLIDLLRSDADSLPSSLRCHLAQALILLVNRKACFSGD >DRNTG_06055.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11427442:11429905:-1 gene:DRNTG_06055 transcript:DRNTG_06055.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCDPEGYESELVLLRRHFGSSLQLFRQQSALAPSTDPAVAKDLCDFAMFLTHVSPLYPSHLADFPGKLIDLLRSDADSLPSSLRCHLAQALILLVNRKACFSGD >DRNTG_06055.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11425468:11429905:-1 gene:DRNTG_06055 transcript:DRNTG_06055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCDPEGYESELVLLRRHFGSSLQLFRQQSALAPSTDPAVAKDLCDFAMFLTHVSPLYPSHLADFPGKLIDLLRSDADSLPSSLRCHLAQALILLVNRKACFSGD >DRNTG_06055.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11427442:11429905:-1 gene:DRNTG_06055 transcript:DRNTG_06055.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKCDPEGYESELVLLRRHFGSSLQLFRQQSALAPSTDPAVAKDLCDFAMFLTHVSPLYPSHLADFPGKLIDLLRSDADSLPSSLRCHLAQALILLVNRKACFSGD >DRNTG_06055.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11427442:11429905:-1 gene:DRNTG_06055 transcript:DRNTG_06055.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKCDPEGYESELVLLRRHFGSSLQLFRQQSALAPSTDPAVAKDLCDFAMFLTHVSPLYPSHLADFPGKLIDLLRSDADSLPSSLRCHLAQALILLVNRKACFSGD >DRNTG_28652.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6123467:6124753:-1 gene:DRNTG_28652 transcript:DRNTG_28652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVIVFAVMMMMMMMMSSSMAYDFYVGGRDGWVQNPKEKYNNWAGRMRFQVNDKLVFKYKKEDSVLVVNKVDYDACNVSNPIKKLDGGDSVFVLDRSGPFFFIGGSPTKCANGQKLIVVVLAPRPKKPISPPSSPSPSSPPSPPSSSPSPSSPPSPPPSSPSPSPSTTPPSPSSSTPSSSPSPSPSSSTPSSSPSSSPSPITSSPSPSPSSLSSPSPTPTPSSPSASPSSTPSSPSASPSSSPSPSPPSSTSPSPSTSSSPSPSSSSNPPASSPPSSSSTPGTPPPSSQSSTPPSPSSTSNDQSSPPPPSPPSSSHALTVSSFSFGLMVLVLSAAFLG >DRNTG_32652.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21998617:22000701:1 gene:DRNTG_32652 transcript:DRNTG_32652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFDKLFIFLLFFVSVLHRDAATAAPFFSFFNRSSAAIEMPTHLSFNSVTASSDPGCDLPLATPEKKDDHRSSDLEDDDDEEVTPHQPPISSQPLKLHLKHRSTRSETKTKREALIESTHTDQFRIQTLHRRVTEKKIETKIGAGTEKKSATVVSPELAGRLVATLESGVTLGSGEYFMDVFIGTPPRHFSLILDTGSDLNWIQCLPCHDCFEQHGPIYDPSASSSYRNVTCGDPRCSLVSAPEPHRPCTSPDLPCPYFYWYGDRSNTTGDLALESFTVNLTTPASHLVENVIFGCGHWNRGLFHGAAGLLGLGRGPLSFSSQLRSLYGHTFSYCLVDRNSDLTVSSKLIFGEDQSLIAHPDLNFTSFVSGRDNPADTFYYVQVKAIMVGDELLNIPKDAWELSKDGNGGTIIDSGTTLSYFTDQAYKAIKEAFIHKVKHYDIIQGFPILNPCYNVSGVEELNLPEFGIVFVDGAVWNFPVENYFIRLEPEGIMCLAILGTPASSLNIIGNYQQQNFHIMYDTERSRLGFAPMRCAEV >DRNTG_22893.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1297758:1298852:-1 gene:DRNTG_22893 transcript:DRNTG_22893.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLMDQSVANRRGGARGIVFRSYCHSSSPNHQGFHPRPRYSTLPSRIAPPLLPLPIPTHGIAMKPQRKPRSGFNSRKEQRSRREDVPGIVAHRRPVEMRDDEEEEEMVFDGSLYSISPPPSSLPLPRFSMAKPKPGPAPASCVVEAIGSGGGIDAGATDDLRRLLRL >DRNTG_05401.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:611065:614828:-1 gene:DRNTG_05401 transcript:DRNTG_05401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHCVWVVNCVGALNYKFFLLFLFYTLLETTLVTLSLLSQFIAFFGDGEIPGTPGTLATTFLTFVLNLAFTLSVLGFLIMHASLVAANTTTIEAYEKKTTPKWRYDLGRRKNFEQVFGTSKKYWFIPAYSDEDLRRMPSLQGLEYPSKPDFDAQDF >DRNTG_34322.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29357747:29362119:-1 gene:DRNTG_34322 transcript:DRNTG_34322.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDWTWYARKHLALAANELISLRDPSRRVPWRKLIPPRLHPSVRWGKRKRRTGRRDVASPQTPLEFSFNLSHGGSSAGEKRSDADGLILLPVTRPCVETKVEPTPPRKPIRARKKTLPELQEEERSLLGERQGYQKLLEAKRNTLQVLVETNERLRRTKLILQSERTAVTSEELQPRNKAVVELPDLNELPDLNDQVMQETS >DRNTG_20065.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26336081:26344013:1 gene:DRNTG_20065 transcript:DRNTG_20065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEDEKLLKEAKKLPWDERLTHKNWKVRNDANIDLAAVCDSITDPKDPRLRDFGPLFRKTVADSNAPVQEKALDALIAFLRAADADAGRYAKETCDAIVAKCLTGRPKTVEKSQAVFMLWVELEAVDVFLEAMEKAIKNKVAKAVVPAIDVMFQALSEFGAKVIPPKKILKMLPELFDHQDQNVRASSKGLTLELCRWIGKDPVKSILFEKMRDTMKKELEAELANVTGTARPTRKIRSEQDKEPEQEVISETAGTGASEDSVLEAPQEIDEYELVDPVDILTPLDKSGFWDGVKATKWSERRDAVAELTKLASTKRIAPGDFTEICRTLKKLVTDVNLAVSVEAIQAIGNLGRGLRNHFSGSSRFLLPVLLEKLKEKKPTVTEALMQTLQALYKAGCLSLVDVVEDIKVAVKNKVPLVRSLTLNWVTFCTETSNRAAVLKLHKDYVPICMECLNDGTPEVRDAAFAVLAAIAKMVGMKPLERSLEKLDDVRKKKLSEMIGNSSGGMVSVAGSVSTSSGGVSGHEVADSAFVRRSAASMLSGKKPVQAAPTAKKGGPAKPSVVKKAEGSGQLKTSVSVESEDVEVLL >DRNTG_35142.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1231755:1233080:1 gene:DRNTG_35142 transcript:DRNTG_35142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLLRRMFSSSTTSPSPNPEKPQRLKALINRMFKIRDPDALVSAFNSASSAHARFRASHNIYSSAIHRLSAVDRPDCVRAILEHQKQFPDLRREGFALRLLSLYGAAKLPDDAIATFRQLPSLDCPRSIKSFNALLSACLESKAFNLIPDLFKQIPSEDPSITPSLCSYNILIHALCEKPDLDAAFKILDLMESNGISPDLVSFNTLLNAYYTNGLIDNAEKVWVLMHEKKIEPDTKSFNAKLRWLVSQERVLEASELVDELRKNGPKPDAFSFDALIRGFCNDGKLEEAKGLFMELTESDYAPVHTTFEILIPKLCDNGEIDLAFKICCDSLSNKRFIDANTLQNLVDKLVERSRMEEAKKLVELGRLNGCSRKNLRIPESCASG >DRNTG_05611.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20432793:20434705:-1 gene:DRNTG_05611 transcript:DRNTG_05611.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLSLGNNKLNGTIPENIGQLSKLSFLGLPSNSLTGVLTESHFANLVNLLYLDLSYNSLQLNVSENWKPPFDCNKIIMCSCKVGPVFPTWVKTQTKLWELCLSDAGISGNIPAWFWDLSSSSPYSLNLSNNNLEGRLPASLKNYFFDLVDLSSNRFEGPLPEFAPSDVVINLNNNSFSGSIPSSFAADSDVVVFSLAHNHINGSIPPFFCNLPYLGWLDLSDNDMSGELPSCWTSKSALKIIDLSDNNFIGKIPDALVSIPNLQSLHLRNNGLSGDLPLSLKMANKLVTLDIGENRLSGSIPPWIGENLLSLTVLRLRSNYFEGVIPEQLSKLSSLQILDLAHNNLSSCIPHSFGDFKAMVVANHNKLWSTLSIGGMLPTVTYSVRGSRIDSFPYSESLLISTKGLQMQYTKVLPLVTSIDLSSNKLSCDLPEELTKLYGLYFLNLSRNHFNGKIPESISDLQQLESLDLSENDLFGTIPSGMSTLNFLSYLNLSHNNLSGKIPSGGQLQTFNPSVYNWNHDLCGSPLQDCANKTHYSQGANEEEGKGDWSEVLWLYIGLAMGFITGFWMIIGTIIMKKTIRIACFRSIDKVYDWLYVKMVMCSRRLKSTSSRKN >DRNTG_05611.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20431972:20434705:-1 gene:DRNTG_05611 transcript:DRNTG_05611.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLSLGNNKLNGTIPENIGQLSKLSFLGLPSNSLTGVLTESHFANLVNLLYLDLSYNSLQLNVSENWKPPFDCNKIIMCSCKVGPVFPTWVKTQTKLWELCLSDAGISGNIPAWFWDLSSSSPYSLNLSNNNLEGRLPASLKNYFFDLVDLSSNRFEGPLPEFAPSDVVINLNNNSFSGSIPSSFAADSDVVVFSLAHNHINGSIPPFFCNLPYLGWLDLSDNDMSGELPSCWTSKSALKIIDLSDNNFIGKIPDALVSIPNLQSLHLRNNGLSGDLPLSLKMANKLVTLDIGENRLSGSIPPWIGENLLSLTVLRLRSNYFEGVIPEQLSKLSSLQILDLAHNNLSSCIPHSFGDFKAMVVANHNKLWSTLSIGGMLPTVTYSVRGSRIDSFPYSESLLISTKGLQMQYTKVLPLVTSIDLSSNKLSCDLPEELTKLYGLYFLNLSRNHFNGKIPESISDLQQLESLDLSENDLFGTIPSGMSTLNFLSYLNLSHNNLSGKIPSGGQLQTFNPSVYNWNHDLCGSPLQDCANKTHYSQGANEEEGKGDWSEVLWLYIGLAMGFITGFWMIIGTIIMKKTIRIACFRSIDKVYDWLYVKMVMCSRRLKSTSSRKN >DRNTG_07087.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2021533:2035727:1 gene:DRNTG_07087 transcript:DRNTG_07087.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELRTLHLGGNHFKGELPTALQNCTTLVTLDLGRNEISGSIPAWIGELLPFLRILRLRSNFFNGTIPSQLSRLSSLQILDLANNSLSGTIPPSFGNITAMAQTHKPNERMLEDMRNAVQASVDNYGPFGYMETLLVVIKGREQEYSQNLQYVASIDLSNNQLTGHFPRELCDLIGLQNLNLSSNQLTGRIPDEIGKLKLLESLDVSVNGFTGSIPTGMSVLTLLSHLNLSYNNFSGRIPSGYQLQTFDYTSYLGNPGLCGPPLNKCGSNETIFNKILACADGSDECESEKLGLYLGIAFGFVTGFWAIWGVLLFTKTPSEAYFEFLDKLIDKVPFARNMSRYDEEDDEETSSQTY >DRNTG_07087.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2032269:2035727:1 gene:DRNTG_07087 transcript:DRNTG_07087.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFHLPKTMEILASVTFFLILSSFCCFIIIPSLCSESLNIRCIESEKNSLLDFKSSLKDPHNLLSSWEGSDCCNWKGVTCNNETNHVVSLNIGYWHLFNGLSTAWRIGGELSPSLIALNELNHLDLSSNDFGGIAVPEFIGSMKKLSYLNLSNAGFSGRIPHQLGNLSTLRYLDLNSFYYFHDLYVDSLSWLSRLSSLQYLDMNSVNFGNIGDWFVSISLIPSLSVLKLSHCKLKEFPLSLSFLNLTSLTYLDLSNNEIYSRLPNWLFNLTSLQYLNLQFNQFQGSMPDEFASLISLEVVQLGNNELVGPITQTISFLCKLRTLDLSSNNITGDTASLAEISAGCAGKSLEVLNLRNNNLTGNLSDWLVKFERLDTLDLGHNSLNGVIPGSIGKLSALKYLTLTHNGFNGTLSESIGQLSELILLDLSFNSLDGVISDVHFANLSKLEQLSLASTSLAFGMSSSWIPPFQLKLIGLHLCKLGPKFPSWLRTQKDYSVMDLSNTKIEDAAPNWVWNLSEKFLMLDLSHNLISGKLPATLGFASISILDLSNNKFEGTLPTLASSMEYLDLSNNMFTGNILRFVSYRLPILSHLFLSNNLLSGPIPSSICQDLELYVIDLSNNQLSGELPTCLADLWALTALDLANNNLSGEIPSTLGNMKELRTLHLGGNHFKGELPTALQNCTTLVTLDLGRNEISGSIPAWIGELLPFLRILRLRSNFFNGTIPSQLSRLSSLQILDLANNSLSGTIPPSFGNITAMAQTHKPNERMLEDMRNAVQASVDNYGPFGYMETLLVVIKGREQEYSQNLQYVASIDLSNNQLTGHFPRELCDLIGLQNLNLSSNQLTGRIPDEIGKLKLLESLDVSVNGFTGSIPTGMSVLTLLSHLNLSYNNFSGRIPSGYQLQTFDYTSYLGNPGLCGPPLNKCGSNETIFNKILACADGSDECESEKLGLYLGIAFGFVTGFWAIWGVLLFTKTPSEAYFEFLDKLIDKVPFARNMSRYDEEDDEETSSQTY >DRNTG_26407.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19795659:19796147:1 gene:DRNTG_26407 transcript:DRNTG_26407.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTKQTQIKFHQLEQARQTSKQQNLNRQINTNKIPSIRKKGSYRLSDNNGRTSSESKKGMEIAGRWLGVEASER >DRNTG_26900.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3322198:3322816:-1 gene:DRNTG_26900 transcript:DRNTG_26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGVQSYERVSDTVSHRVDGVSVLDT >DRNTG_16067.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25660704:25662213:-1 gene:DRNTG_16067 transcript:DRNTG_16067.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable chalcone--flavonone isomerase 3 [Source:Projected from Arabidopsis thaliana (AT5G05270) UniProtKB/Swiss-Prot;Acc:Q8VZW3] GSEMVMVDDVSFPTEIITNTNNKPLALMGHGITDIEIHFLQIKYNAIGIYLEKEVVNHLVNWKDKKENELSEDDAFFDALVSAPVEKFFRVVVIKEIKGSQYGVQLESAIRDRLAAIDKYEEKEEEALEKLTEFFQTKYFHKASVITFHFPTSSPTAEISFATQGKEEVKIKVENGNVVEMIQKWYLGGTRAVSPTTIKSLGHKFGELLSN >DRNTG_25446.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1484869:1485410:1 gene:DRNTG_25446 transcript:DRNTG_25446.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNNVYNQSTLSRPQHVVLDHLYIQKTKNGQPVVALATTRRFKAKYVTVVLFKSLQN >DRNTG_25446.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1484209:1485410:1 gene:DRNTG_25446 transcript:DRNTG_25446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMWSNGGKDVAVEGSWDDWKSKKKLKKSGKDFTIMKALPSGVYHYRFMVDGEWKHAPDVPWMIDQETGNVYNILDLQDFISEDLGSIASFEPPLSPDSSYSNTTLCSEDYAKEPPLVPLQLYPNLLNMSFNNVYNQSTLSRPQHVVLDHLYIQKTKNGQPVVALATTRRFKAKYVTVVLFKSLQN >DRNTG_11734.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15449342:15450461:-1 gene:DRNTG_11734 transcript:DRNTG_11734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKTFVRAADKSRNERITRRRGKLSTSVSKFRTGPCSVHACGVARFQPYLKPIQSRFWYSFLHRFPNLREGFGGTRESWTTSERTLRKTIDHDH >DRNTG_28017.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22995833:22998563:1 gene:DRNTG_28017 transcript:DRNTG_28017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDGVRPDDRTFPFTLTVCAAAANVCKGTELHGSIVKLGFFADVFVGNTLFSLYGVCGQLFEARQVFDEMPMRDIVSWNSAISVFSANGLFVDSLSSFSELVRSGLLVNSVSLVSVLPACSALHELVVGEIVHGLVIKVGLDCLVAVGNALVDLYGKCGDTDGSMRAFGSMLERNDVSWNSLIGSLAHVGLFGNALRMFRKMLDLEMKPNSITMSSLLPALVELGLFRLGREVHGYILRTGMESDVFVANSLVDMYAKYGSSRKASAIFHGMQNRNVVSWNAMIANLTQNGAELAAIKLVKEIQDSGECPNSITFTNVLPACARLSLLMQGREIHARAMRIGSNFDIFVSNALIDMYAKCGRLELAQNVFKVSDKDEVSYNTLILGYSQSAMCIQALFLFTEMGSAGFKYDAVSLMGALSACGNMSLLKQGKEIHCLSFRRLLHTHLFVANSLLDLYVKSGRLDFARKVFDRIPNRDVASWNSMILGYGMQGEIESAVDVFDMMKDAMVEYDHVSYIAVLSACSHGGLIERGKRYFDQMLDQNIKPTQMHYACIVDLLGRAGQLEEAAEFIKQMPFEADSNVWGALLGACRMHGNLVLGRWAAEHLFQLKPGHSGYYTLLSNMYAEAERWDEANKIRKLMKTRRVRKNPGCSWVQSDNCMHAFLGGERMEELETDFCFEEQV >DRNTG_00120.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:16438948:16444847:1 gene:DRNTG_00120 transcript:DRNTG_00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding TRWDEKMKNRPENLTKIKRATEAHARPCALSGKHSFDSDCSRTGVWKIPTPMRPTHKGSRTPLWLLHGHVTVTWSFTRQPHAPVPSLDVLAVQIHGRVEIPHARVFSLDDLEKSVGFTKDLSNFFGSRTSPKALECFRWHLLALFIFRSTFFKIPGVDGTSSVDRSIITSSLPSSWSNKPSYGSGLNISYMYSSIISSVVSTFFFRNDDVRAIEPLQNCGQYPTRPWIISTHPCGFSELLFSRSAVNIVATVL >DRNTG_19616.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001032.1:93861:94536:-1 gene:DRNTG_19616 transcript:DRNTG_19616.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLPHYHHPISAVKHKLSPPLLSSLSHDLRRHRHRRHPHPISIVPSPNPSYSGNCRHRCQS >DRNTG_20186.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12890250:12895477:1 gene:DRNTG_20186 transcript:DRNTG_20186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMNSINDIFEKLTQEASRLARDKDLRSSCSESSPRIPCLMAPRAGRETTVNSTGRLRNGVDGAW >DRNTG_13587.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30442496:30443779:-1 gene:DRNTG_13587 transcript:DRNTG_13587.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYPGHWRTMFFQYTIQMGEFESGFVSFLVLVFFLSFNIVLLVHGQPVPIVSDHGENTSQFQTYIVHVLKPEGSNFLGTEDLENWHKSFLPNTTLDTGEPRLLYSYKEAISGFAARLTPEEVRAIEKMDGFLRANPSQRFELQTTYTHKLLNLSTIFGAWSTTNSFFGEGIIIG >DRNTG_26705.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31276392:31282621:1 gene:DRNTG_26705 transcript:DRNTG_26705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASDSGREFPEPDEPFEGEMMMRMDGVGRDQKSGEPTTPRSKHSATEQRRRSKINERFQILRDLIPHSDQKRDKASFLLEVIEYIHYLQEKAQKYESIQPGWIQENEKLVPWTNNQGPVDGIPDASQIMKNGPSPGFMFGKFDERNMPLAPSILSNAHNPTESDMRAGVQSNLYATVGGETNHTQQQQQRLVSNSDNLASHSQSPWLRSYNVADCTLSSDILNEQEGLAIDEGTISVSSVYSHGLLGALSQALQSSGLDLSQANVSVQINLGKRAARRRTTNHMTNLRDQNDPSGNQAMEHSRVGSSDEESEKALKKHRSDSC >DRNTG_26705.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31276392:31282621:1 gene:DRNTG_26705 transcript:DRNTG_26705.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASDSGREFPEPDEPFEGEPWRRNGSSRKGEMMMRMDGVGRDQKSGEPTTPRSKHSATEQRRRSKINERFQILRDLIPHSDQKRDKASFLLEVIEYIHYLQEKAQKYESIQPGWIQENEKLVPWVTLNTNNQGPVDGIPDASQIMKNGPSPGFMFGKFDERNMPLAPSILSNAHNPTESDMRAGVQSNLYATVGGETNHTQQQQQRLVSNSDNLASHSQSPWLRSYNVADCTLSSDILNEQEGLAIDEGTISVSSVYSHGLLGALSQALQSSGLDLSQANVSVQINLGKRAARRRTTNHMTNLRDQNDPSGNQAMEHSRVGSSDEESEKALKKHRSDSC >DRNTG_26705.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31276392:31282621:1 gene:DRNTG_26705 transcript:DRNTG_26705.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASDSGREFPEPDEPFEGEPWRRNGSSRKGEMMMRMDGVGRDQKSGEPTTPRSKHSATEQRRRSKINERFQILRDLIPHSDQKRDKASFLLEVIEYIHYLQEKAQKYESIQPGWIQENEKLVPWTNNQGPVDGIPDASQIMKNGPSPGFMFGKFDERNMPLAPSILSNAHNPTESDMRAGVQSNLYATVGGETNHTQQQQQRLVSNSDNLASHSQSPWLRSYNVADCTLSSDILNEQEGLAIDEGTISVSSVYSHGLLGALSQALQSSGLDLSQANVSVQINLGKRAARRRTTNHMTNLRDQNDPSGNQAMEHSRVGSSDEESEKALKKHRSDSC >DRNTG_33876.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002055.1:7388:8169:-1 gene:DRNTG_33876 transcript:DRNTG_33876.3 gene_biotype:protein_coding transcript_biotype:protein_coding TKVSGKLRPARAFPSKSRIIRAFQVEEQKIVKKVLKIQKAKEKLSSKS >DRNTG_33876.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002055.1:749:8169:-1 gene:DRNTG_33876 transcript:DRNTG_33876.1 gene_biotype:protein_coding transcript_biotype:protein_coding TKVSGKLRPARAFPSKSRIIRAFQVEEQKIVKKVLKIQKAKEKLSSKS >DRNTG_33876.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002055.1:749:8169:-1 gene:DRNTG_33876 transcript:DRNTG_33876.2 gene_biotype:protein_coding transcript_biotype:protein_coding TKVSGKLRPARAFPSKSRIIRAFQVEEQKIVKKVLKIQKAKEKLSSKS >DRNTG_26755.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8022585:8024247:1 gene:DRNTG_26755 transcript:DRNTG_26755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIHTACSFFSYLFFLFLTCAALSEANIADFDETWQKRAEIAKANAQAAFVPDPESITNSFNADVQNDMEGNSTRRILKRKYRKGGPCRATNPIDRCWRCRKNWHRHRKTLALCAKGFGHKTTGGLRGAYYVVTDASDDDLVNPKKGTLRHAVIQEKPLWIVFKRDMVIRLTEELIINGHKTIDGRGVQVHIAGGAGFTIQFVQNVIIHNLHIHDIKAGNGGMIRDSTTHYGLRTRSDGDGISIYGASNVWIDHVSMYNCMDGLIDAIQGFNGHHHFQQPFH >DRNTG_13116.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17339579:17341454:-1 gene:DRNTG_13116 transcript:DRNTG_13116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLHFLFGVLGNAIALFLFLSPTVTFRRIIKKKTTEDFSGVPYNMTLLNCLLSAWYGLPFVSPNNLLVSTINGTGAVIEFVYVTIFLIYAPKKVKSHMMGLLALVVTIFTSVALVSVLAIHGQTRKVFCGSAAVIFSVCMYGSPLAVMSLVIKTKSVEFMPFLLSLLVFLCATFWFIYGLFGHDIFIAIPNGCGSVLGAMQLILYMIYRKNKGSLSKSATTSTQAIAHPCVEIGDKLDSDNNPVNVHQHLHDSFVVEQV >DRNTG_13116.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17339579:17341168:-1 gene:DRNTG_13116 transcript:DRNTG_13116.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLNCLLSAWYGLPFVSPNNLLVSTINGTGAVIEFVYVTIFLIYAPKKVKSHMMGLLALVVTIFTSVALVSVLAIHGQTRKVFCGSAAVIFSVCMYGSPLAVMSLVIKTKSVEFMPFLLSLLVFLCATFWFIYGLFGHDIFIAIPNGCGSVLGAMQLILYMIYRKNKGSLSKSATTSTQAIAHPCVEIGDKLDSDNNPVNVHQHLHDSFVVEQV >DRNTG_07126.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4782711:4784265:1 gene:DRNTG_07126 transcript:DRNTG_07126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHLPKPINIEPKPLSNLLHKSLLIIIFIALLPLFPSQAPDFINHSIFTRSWELLHLLVVGITISYGLFSRRNNDHDLEKDIISSSKPDTPTSYISKLLHVASVFDDDDDNKTNNNNSSSVSDETYSKVQAWSSQYSQAEPVVMKRSATSESLKESVVLPSPIPWRSRSGRMAVSLELKDKEKEKEKEKEISAKVSQDTQFLKKKKSSLSVTPPPPPPPPPPPPPPPTSVTRVGKSVRTFRSLNSVDFDEHVMEKKEVVEKVIVESSDDDDDEEEEEEEEDDVHVHEKIEEIEEEKSLKSGVEVEEEEVEDDEHEVDKKADEFIAKFREQIRLQRIESIKKSSGQRSAKSP >DRNTG_07126.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4782711:4784265:1 gene:DRNTG_07126 transcript:DRNTG_07126.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHLPKPINIEPKPLSNLLHKSLLIIIFIALLPLFPSQAPDFINHSIFTRSWELLHLLVVGITISYGLFSRRNNDHDLEKDIISSSKPDTPTSYISKLLHVASVFDDDDDNKTNNNNSSSVSDETYSKVQAWSSQYSQAEPVVMKRSATSESLKESVVLPSPIPWRSRSGRMAVSLELKDKEKEKEKEKEISAKVSQDTQFLKKKKSSLSVTPPPPPTSVTRVGKSVRTFRSLNSVDFDEHVMEKKEVVEKVIVESSDDDDDEEEEEEEEDDVHVHEKIEEIEEEKSLKSGVEVEEEEVEDDEHEVDKKADEFIAKFREQIRLQRIESIKKSSGQRSAKSP >DRNTG_15316.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4860665:4865379:1 gene:DRNTG_15316 transcript:DRNTG_15316.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYVYFLTICFPVKWSPLESTAGIGNFLPSLLKVILDMDENGQRESGRHKADQYKSLHAQWMMPPNQMKDNYTMKLIAIMAERDTALQERNKALTEKREALAERDKAYLQRDAAIAERNNAIIERDKAFAALEALEYACKNGGIHQDNGGHGGSTTSIGTKNIPHHQHQHHPNANPVPQQLPDVQYDHAREMHISDVFPVANVAESSAKPRRGRRPKKDSISKGQAVPARKPLKSPRKKSRKNEVVSVSEEVPKPNHHEWKGQDLGLNMVAYDDSSMPVPVCSCTGEFHQCYKWGNGGWQSACCTTTLSMYPLPLMPNKRHGRVGGRKMSGSAFSKLLSRLAAEGHDLSMPLDLKDHWAKHGTNRYITIK >DRNTG_15316.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4860665:4865379:1 gene:DRNTG_15316 transcript:DRNTG_15316.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYVYFLTICFPVKWSPLESTAGIGNFLPSLLKVILDMDENGQRESGRHKADQYKSLHAQWMMPPNQMKDNYTMKLIAIMAERDTALQERNKALTEKREALAERDKAYLQRDAAIAERNNAIIERDKAFAALEALEYACKNGGIHQDNGGHGGSTTSIGTKNIPHHQHQHHPNANPVPQQLPDVQYDHAREMHISDVFPVANVAESSAKPRRGRRPKKDSISKGQAVPARKPLKSPRKKSRKNEVVSVSEEVPKPNHHEWKGQDLGLNMVAYDDSSMPVPVCSCTGEFHQCYKWGNGGWQSACCTTTLSMYPLPLMPNKRHGRVGGRKMSGSAFSKLLSRLAAEGHDLSMPLDLKDHWAKHGTNRYITIK >DRNTG_15316.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4860665:4865379:1 gene:DRNTG_15316 transcript:DRNTG_15316.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDENGQRESGRHKADQYKSLHAQWMMPPNQMKDNYTMKLIAIMAERDTALQERNKALTEKREALAERDKAYLQRDAAIAERNNAIIERDKAFAALEALEYACKNGGIHQDNGGHGGSTTSIGTKNIPHHQHQHHPNANPVPQQLPDVQYDHAREMHISDVFPVANVAESSAKPRRGRRPKKDSISKGQAVPARKPLKSPRKKSRKNEVVSVSEEVPKPNHHEWKGQDLGLNMVAYDDSSMPVPVCSCTGEFHQCYKWGNGGWQSACCTTTLSMYPLPLMPNKRHGRVGGRKMSGSAFSKLLSRLAAEGHDLSMPLDLKDHWAKHGTNRYITIK >DRNTG_15316.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4860484:4865379:1 gene:DRNTG_15316 transcript:DRNTG_15316.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYVYFLTICFPVKWSPLESTAGIGNFLPSLLKVILDMDENGQRESGRHKADQYKSLHAQWMMPPNQMKDNYTMKLIAIMAERDTALQERNKALTEKREALAERDKAYLQRDAAIAERNNAIIERDKAFAALEALEYACKNGGIHQDNGGHGGSTTSIGTKNIPHHQHQHHPNANPVPQQLPDVQYDHAREMHISDVFPVANVAESSAKPRRGRRPKKDSISKGQAVPARKPLKSPRKKSRKNEVVSVSEEVPKPNHHEWKGQDLGLNMVAYDDSSMPVPVCSCTGEFHQCYKWGNGGWQSACCTTTLSMYPLPLMPNKRHGRVGGRKMSGSAFSKLLSRLAAEGHDLSMPLDLKDHWAKHGTNRYITIK >DRNTG_15316.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4860665:4865379:1 gene:DRNTG_15316 transcript:DRNTG_15316.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDENGQRESGRHKADQYKSLHAQWMMPPNQMKDNYTMKLIAIMAERDTALQERNKALTEKREALAERDKAYLQRDAAIAERNNAIIERDKAFAALEALEYACKNGGIHQDNGGHGGSTTSIGTKNIPHHQHQHHPNANPVPQQLPDVQYDHAREMHISDVFPVANVAESSAKPRRGRRPKKDSISKGQAVPARKPLKSPRKKSRKNEVVSVSEEVPKPNHHEWKGQDLGLNMVAYDDSSMPVPVCSCTGEFHQCYKWGNGGWQSACCTTTLSMYPLPLMPNKRHGRVGGRKMSGSAFSKLLSRLAAEGHDLSMPLDLKDHWAKHGTNRYITIK >DRNTG_15316.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4860484:4865379:1 gene:DRNTG_15316 transcript:DRNTG_15316.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENGQRESGRHKADQYKSLHAQWMMPPNQMKDNYTMKLIAIMAERDTALQERNKALTEKREALAERDKAYLQRDAAIAERNNAIIERDKAFAALEALEYACKNGGIHQDNGGHGGSTTSIGTKNIPHHQHQHHPNANPVPQQLPDVQYDHAREMHISDVFPVANVAESSAKPRRGRRPKKDSISKGQAVPARKPLKSPRKKSRKNEVVSVSEEVPKPNHHEWKGQDLGLNMVAYDDSSMPVPVCSCTGEFHQCYKWGNGGWQSACCTTTLSMYPLPLMPNKRHGRVGGRKMSGSAFSKLLSRLAAEGHDLSMPLDLKDHWAKHGTNRYITIK >DRNTG_18422.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4180692:4183426:-1 gene:DRNTG_18422 transcript:DRNTG_18422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVCDLKIDINGEHTFYVNQRVMCSFSGRLRRMVKQEKKRSQNKRVKLKIGEFPGGKHGFELVSRFCYNNGRIGMSPSTISVLHSSAMFLEMSEEVSPCNLLSQAETFLEGLFYWTWDDILTSLKTCEPFFSIADSSGLLERLISSLLAKISANSEPSLLTLATTPTPIPSCSSSSSSPDTTGFRCSISTKTPDTIKPCFTREWWFEDLTILSPNIIEKMMKTLGAFGAHNKNLILTRFLLHYLKTAALNGGQEQYGDLADTAVHGVVLMGRTAFSCRGLFWVLRVVSSLGISKECRHKLERLMGLMLDQATLDDLLVSGQEGGSVYDVSLVLRLVRVFVSAEESGMSFQRMKKVGRLIDKYLGEISPDQSLKVSKFLAIAESLPDSGRDCFDGVYRALDIYFESHPGLSSDDRAKACRCLNYEKLTLEACKDLAKNPRIPPRVAMQALVAQQSKLQCNTPKRAAEKASPKTPPTDEKEQLRFNLEKMQHRVIELEKVCREMKGQMSKMSKNNNINSPSHHSSNRGLPRLC >DRNTG_18422.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4180692:4181459:-1 gene:DRNTG_18422 transcript:DRNTG_18422.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQALVAQQSKLQCNTPKRAAEKASPKTPPTDEKEQLRFNLEKMQHRVIELEKVCREMKGQMSKMSKNNNINSPSHHSSNRGLPRLC >DRNTG_16785.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000847.1:82477:85306:1 gene:DRNTG_16785 transcript:DRNTG_16785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGLEDKMQPTPGIMKRMIHKMKRARRHYKKRPRLMGMCKNGLRVTNPCVRVLLHPEKTQGRVSAPIGQAHGHGKFSYTMGMRLEKARGHPDNTQGCVSAPVSPPVESYGRGYGPKMKKQASKHPREHSPELDHMEFAILEHQDGVKELLTVGSWRISLSIHDPAIRMLRLEVLASFEFDRSYSSFDSIDGIQFRAFGQYHNMSITQFSVRLGLYDEAFISTEERDQRGREDDCTCFPRHKDDEIDGDGAQADLAEVRATQAANHMEVMVRLDIQQQLLKRDATSRFMMRPRTPQASPVPAAPTNPPASVSSPAMQQRRQ >DRNTG_18358.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:17106712:17106914:-1 gene:DRNTG_18358 transcript:DRNTG_18358.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTKTTYSTNFPSNRSHQPTRPGPPILGF >DRNTG_30325.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1081260:1089175:-1 gene:DRNTG_30325 transcript:DRNTG_30325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLNSMLATLLYCFAKAGHYQTITFFIDAGRDGAGSSTFQDLLRARNIDGDTALHYSGEANCYRRWIYFHCEQFRVLASLLGCYAKLSGVGGENGRKVSSSIIDLVLLRSGDIETLTSLLSSINHFNIDIQTSHQSSTVAPPPQSKTIFGVTPGGNTLLHVAAQQGQLRIIEEICRRELSLVFTKNTRLDSPLHLAASSGNQKAAEVIIKHVRESSELILLLRAKNLNGDTALHEAARFGNYHMVKSFIELDVELSSIINNSGMSPLYLAVMRNSHAMVEALLNPSASFAGPNGQTALHAARSQEIARMLLTWKPEIGRVADESGSLPLHYLAANGDSRMIGILLEHDASTSYDKEAALPLSFMKFPTAIDSS >DRNTG_01309.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1824700:1826930:1 gene:DRNTG_01309 transcript:DRNTG_01309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKALTKVGSFWISKKAKEEIYSIGEDLSRFSNTVEEKAKWVFEKLKGKPQKALPELLREYNLPPGLFPRNIICYEFDETKGKLVVHLPSTCEVSFKDSSVLRYAARVKATLSRGKLSNVEGMKTKLLVWVKVTGVSVESYKSDKVCFTAGVKKLRPKDAYGIPHDSIKIDEF >DRNTG_26440.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2971403:2979565:1 gene:DRNTG_26440 transcript:DRNTG_26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRPPPSQQDHSAHFRSIPPSSAPFEGLRGNQPDAVA >DRNTG_30884.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21288317:21291411:1 gene:DRNTG_30884 transcript:DRNTG_30884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRMGHTPSEIALMLGTGIFIWTLIEYTLHRFLFHIQTRTYWWNTVHYLLHGCHHKHPMDGLRLVFPPTATAVLLIPFWNLVKLISTPSTTPALFGGGLLGYVMYDCTHYYLHHGQPTKDPARHLKRYHLNHHFRIQTSGFGITSSLWDNVFGTLPPAKTIEKSS >DRNTG_30884.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21289285:21291411:1 gene:DRNTG_30884 transcript:DRNTG_30884.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRMGHTPSEIALMLGTGIFIWTLIEYTLHRFLFHIQTRTYWWNTVHYLLHGCHHKHPMDGLRLVFPPTATAVLLIPFWNLVKLISTPSTTPALFGGGLLGYVMYDCTHYYLHHGQPTKDPARHLKRYHLNHHFRIQTSGFGITSSLWDNVFGTLPPAKTIEKSS >DRNTG_12167.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12264861:12265289:1 gene:DRNTG_12167 transcript:DRNTG_12167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLICRGSKIIALYLKNNRAKRMFLYSHGNEADIGQMFDLFIELSTHLCITIMVYDYSGYRQSSSKRSDYNTYADIEVGYDYLKTQYVAKDEDLTIYGQSIDSGPTVDLASRLSNLKAVVLHNGIHFWSLSAESCQMLILV >DRNTG_18147.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:623030:625980:1 gene:DRNTG_18147 transcript:DRNTG_18147.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWATRFLTAVAFLAVGVVFAPDTVIGASHSSAITSAAKLAHLLCFSTAFGAALWVTFIGGIIMFKNLPRHQFGSLQGRMFPAYFTLVSTCAAVSVAAFAYLHPWRSASSIERYQLGFLLSSLGFDLSNLFVFTPMTIEMMKKRHKVERELNIGEEVGWSKNMETAKTNPKLAGMNKKFGMIHGLSSLANILSFGSLAMHSWYLAGKINL >DRNTG_23330.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6162251:6163778:-1 gene:DRNTG_23330 transcript:DRNTG_23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYCKLIQRNIGLIFFSSFLLSIILLLSTLYSSNSFSITFSQFELYDHEEETACDLFVGKWIRDFREPIYNNWTCPTMPGLKNCLKHGKDSDYIYWRWKPDNCELPRFDPSMFLSIVQRKKLAFIGDSLARNQMESLLCLLSQVETPVSKHRDADDKFQTWYFPSHDFTLMVMWTEFLVVANERIVNGRASNEFDLHLDKVNGTWSDKIAGIHYTVISSGNWFFRTNYLYKGGKLIGCIYCRDSNLKDYGPVYAIKSALSTSLEFISKCKDCEDMVTVLRTYSPSHFEHGAWFAGGYCNRTQPLSEGEVSLNGSSWRVRESQVEEFGKIVQRVERKEKKRFVLMDVNKAMMLRADGHPGSHWPRIRDVSDCLHWCLPGPVDLWNELLMVILSK >DRNTG_15902.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6020980:6026431:1 gene:DRNTG_15902 transcript:DRNTG_15902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISQSSPSDASIHQFTVKDCSGKDVELGIYRGKVLLVVNVASKCGFTDKNYTQLTTLYNKYKDNDFEILAFPCNQFLRQEPGSSNEAKEFACTRYKAEYPIFQKVKVNGPSTAPIYKFLKASKPGFLGSRIKWNFTKFLVNKEGKVIGRYGTSTPPLSIERDIQKALAEDI >DRNTG_08046.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30290287:30292259:1 gene:DRNTG_08046 transcript:DRNTG_08046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIGADFAHLIQRERGQCRRSAERWRSHQRRTNRKRSSHPRQPRPWSSCTPPVPKASPSPSSLSQL >DRNTG_30255.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:299439:305033:1 gene:DRNTG_30255 transcript:DRNTG_30255.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein BIN4 [Source:Projected from Arabidopsis thaliana (AT5G24630) UniProtKB/Swiss-Prot;Acc:Q9FLU1] MSSSRDSSPDWLREFKAPTRDFETLSSDSDSSPKISPKGFVGINSEALEEHQNEQDSVLIDSQESPAKKGKKRKSPKVEENFDIKEDASDALEGEPIEEDIKEKPAKPLVSSRLPLVFPDKVQRTKALVECDGDSIDLGGDVGAVGRIVISNGSKGNHEMLLDLKGTIYRSTIVPSRTFCIVSVGQSEAKIEAIMNDFIQLEPQSNVFEAETMVEGTLDGFSFDSDEEGEKIPRINADQSNSNNENGDQANKKSRKKEGMTQKKAKATVKPSKKAARKPQASRKTKKSKK >DRNTG_05011.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9491465:9494270:1 gene:DRNTG_05011 transcript:DRNTG_05011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRGTNLLETLLLQWPPSPMVAVRPCQDPDEGEERRGRDGGRGSGRH >DRNTG_15699.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4459547:4459841:1 gene:DRNTG_15699 transcript:DRNTG_15699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLGCFRRYKPRSPKERRSPRRTLCETNRSRSESCSEDR >DRNTG_11837.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:193534:198307:1 gene:DRNTG_11837 transcript:DRNTG_11837.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDGWAAVGAIVWVRRRNGSWWPGRILGPGELAESHLLSPRSGTPVKLLGREDASVA >DRNTG_11837.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:193534:198307:1 gene:DRNTG_11837 transcript:DRNTG_11837.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDGWAAVGAIVWVRRRNGSWWPGRILGPGELAESHLLSPRSGTPVKLLGREDASVDWYNLEKSKRVKPFRCGEFDACIKKAEASQKIPIKKREKYARREDAILHALQLEKQQSLGTNSSCLSNNSLGTLKREKSHCSSSDIHRPCSSNQTSQTLSKRVASSPEEEDLGRSFYVENTRNFKEYKDDPYEAIPCSSGLQDFDLPVVPPKSKLSQSVSQVRSQRSTNAFVDPLPVSGSMAVVSYEYSEPNSLVYQGKRSHGSRTEETTVKRCDRRQPLAIVLQNSTGFPDSQFTPLSHDSGTIPNQVSKDHVGVIHRVKRSRCVYQPADAIDSPDQLGQPSKDITTTATRFGRDASMNRAKRSRCVSLTADTDDSSDQLEHSCEETATKANHVVMDNSHYPPSYSSEESTDSSSSEADESDSSATDSMEAYMGEEANLLAGKNGNKKNKGMPPYSIMPRSHSHKKIGDDSAEVGTSMWHLKGNYNIQNLERRSMNDLDENIHKFADAWDGSSDNASYGSEEATFKMKRMGSSGRSPYKKRKFDHYFDEAYQGASIDSYLGNPSHPLALQEAKDSSTDFDDDNYMVSMSRWEADEPSHVVRRKYWEESEECSSPVYAGQFRQGRRPMLIDVDLEVQARYQREHVPWVSMMSRLNGKSIVGHPIHVEILEDGSADLLVSRRESNLSGSTPSFSFWTTAKRTAKQRTPRPIPASSAFKDRDPDLFEHTNNLKSKHPMKNAQSVLFNQESRLGTKGTSHLRRSSSTKSQRKVSKRTSLASQKTCALSSFSGVSKRHGEIGDFKFTESGDALAGLIRLEGSVPLVTCVPVKVAFSRILESIGRQPPSCHRGLNASYVARNALHA >DRNTG_00512.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30486443:30486884:-1 gene:DRNTG_00512 transcript:DRNTG_00512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESALTSNGLLEILESGDDKAKSKEERNESRRLGR >DRNTG_10315.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27666710:27670344:1 gene:DRNTG_10315 transcript:DRNTG_10315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWTFLEGVLLIANALAILNEDRFLAPRGWSFSEVSGGGRAKSLKGQVIGLIYATQYLRVPLIVLNAITIVVKLISG >DRNTG_30454.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:337910:344282:1 gene:DRNTG_30454 transcript:DRNTG_30454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVSMIESYLRANKMFVDYNEPLNERVYSSYLELNLAEVEPCVSGPKRPHDRVLLKDMQADWHSCLDSKVGFKGFAIPKESQEKVVKFNFHGQPAELKHGSVVIAAITSCTNTSNPSVVLGAGLVAKKACELGLEVKPWIKTSLAPGSGVVTKYLLKSGLQKYLNQQGFHVVGYGCTTCIGNSGDLDESVAVAISENDIVAAAVLSGNRNFEGRVHPFTRANYLASPPLVVAYALAGTVDIDFDKEPIGIGKNGKSVFFKDIWPSNEEIAEVVQSSVLPDMFKSTYQAITEGNPIWNQLAVPASTLYSWDPTSTYIHEPPYFKSMTMVPPGPLWCEKNAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLMERGVDRKDFNSYGSRRGNDEVMARGTFANIRLVNKLLNGEVGPKTIHIPTGDKLYVFDAAMRYKTEGHDTIVLAGAEYGSGSSRDWAAKGPMLLVRTSHMAMQCLYLFSPMSLPHLFLFIIPSQFRELKQSLLKLRENSS >DRNTG_30454.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:337910:344282:1 gene:DRNTG_30454 transcript:DRNTG_30454.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVSMIESYLRANKMFVDYNEPLNERVYSSYLELNLAEVEPCVSGPKRPHDRVLLKDMQADWHSCLDSKVGFKGFAIPKESQEKVVKFNFHGQPAELKHGSVVIAAITSCTNTSNPSVVLGAGLVAKKACELGLEVKPWIKTSLAPGSGVVTKYLLKSGLQKYLNQQGFHVVGYGCTTCIGNSGDLDESVAVAISENDIVAAAVLSGNRNFEGRVHPFTRANYLASPPLVVAYALAGTVDIDFDKEPIGIGKNGKSVFFKDIWPSNEEIAEVVQSSVLPDMFKSTYQAITEGNPIWNQLAVPASTLYSWDPTSTYIHEPPYFKSMTMVPPGPLWCEKNAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLMERGVDRKDFNSYGSRRGNDEVMARGTFANIRLVNKLLNGEVGPKTIHIPTGDKLYVFDAAMRYKTEGHDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKASREFIVVI >DRNTG_07258.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22926212:22930066:-1 gene:DRNTG_07258 transcript:DRNTG_07258.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Hepatocellular carcinoma-associated antigen 59 (InterPro:IPR010756); Ha. [Source:Projected from Arabidopsis thaliana (AT1G02330) TAIR;Acc:AT1G02330] MPTKNFRKRPLDQNPTTADSDDEEERRLALEAVRLMQKNREKKPGIPALLTAKSTAHRAGDGEGEKEDLVLQDTFAQETAVTVEDPHMLRYVEQELAKKKGKKVDASDKEEHDPVDQLYVVPEHLKVKKRNSEESSTQWTTGIAEVQLPIEYKLRNIEETEAAKKLLQDKRLVGKMKSELSIPSSYSADYFQRGRDYAEKLRRDHPDLYKDRGVQADGAGTKSTENSSTEGVGQRQAATDEFMLERFRKRERQRVMRR >DRNTG_07258.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22926212:22930066:-1 gene:DRNTG_07258 transcript:DRNTG_07258.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Hepatocellular carcinoma-associated antigen 59 (InterPro:IPR010756); Ha. [Source:Projected from Arabidopsis thaliana (AT1G02330) TAIR;Acc:AT1G02330] MPTKNFRKRPLDQNPTTADSDDEEERRLALEAVRLMQKNREKKPGIPALLTAKSTAHRAGDGEGEKEDLVLQDTFAQETAVTVEDPHMLRYVEQELAKKKGKKVDASDKEEHDPVDQLYVVPEHLKVKKRNSEESSTQWTTGIAEVQLPIEYKLRNIEETEAAKKLLQDKRLVGKMKSELSIPSSYSADYFQRGRDYAEKLRRDHPDLYKDRGVQADGAGTKSTENSSTEGVGQRQAATDEFMLERFRKRERQRVMRR >DRNTG_07258.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22926212:22930066:-1 gene:DRNTG_07258 transcript:DRNTG_07258.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Hepatocellular carcinoma-associated antigen 59 (InterPro:IPR010756); Ha. [Source:Projected from Arabidopsis thaliana (AT1G02330) TAIR;Acc:AT1G02330] MPTKNFRKRPLDQNPTTADSDDEEERRLALEAVRLMQKNREKKPGIPALLTAKSTAHRAGDGEGEKEDLVLQDTFAQETAVTVEDPHMLRYVEQELAKKKGKKVDASDKEEHDPVDQLYVVPEHLKVKKRNSEESSTQWTTGIAEVQLPIEYKLRNIEETEAAKKLLQDKRLVGKMKSELSIPSSYSADYFQRGRDYAEKLRRDHPDLYKDRGVQADGAGTKSTENSSTEGVGQRQAATDEFMLERFRKRERQRVMRR >DRNTG_07258.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22926212:22930066:-1 gene:DRNTG_07258 transcript:DRNTG_07258.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Hepatocellular carcinoma-associated antigen 59 (InterPro:IPR010756); Ha. [Source:Projected from Arabidopsis thaliana (AT1G02330) TAIR;Acc:AT1G02330] MPTKNFRKRPLDQNPTTADSDDEEERRLALEAVRLMQKNREKKPGIPALLTAKSTAHRAGDGEGEKEDLVLQDTFAQETAVTVEDPHMLRYVEQELAKKKGKKVDASDKEEHDPVDQLYVVPEHLKVKKRNSEESSTQWTTGIAEVQLPIEYKLRNIEETEAAKKLLQDKRLVGKMKSELSIPSSYSADYFQRGRDYAEKLRRDHPDLYKDRGVQADGAGTKSTENSSTEGVGQRQAATDEFMLERFRKRERQRVMRR >DRNTG_07258.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22926212:22930066:-1 gene:DRNTG_07258 transcript:DRNTG_07258.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Hepatocellular carcinoma-associated antigen 59 (InterPro:IPR010756); Ha. [Source:Projected from Arabidopsis thaliana (AT1G02330) TAIR;Acc:AT1G02330] MPTKNFRKRPLDQNPTTADSDDEEERRLALEAVRLMQKNREKKPGIPALLTAKSTAHRAGDGEGEKEDLVLQDTFAQETAVTVEDPHMLRYVEQELAKKKGKKVDASDKEEHDPVDQLYVVPEHLKVKKRNSEESSTQWTTGIAEVQLPIEYKLRNIEETEAAKKLLQDKRLVGKMKSELSIPSSYSADYFQRGRDYAEKLRRDHPDLYKDRGVQADGAGTKSTENSSTEGVGQRQAATDEFMLERFRKRERQRVMRR >DRNTG_06889.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14073378:14082947:-1 gene:DRNTG_06889 transcript:DRNTG_06889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFQKLQLKDKHKSPTKKKGMETMAQKPPTDEAPSNITKQKVAAAKQYIENHYKAQMKNLQDRKERRWVLERKLADAEISEEEQNNLLKNLEKKETEYMRLQRHKMGVDDFELLTIIGRGAFGEVRLCREKTTSHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAFIVKLYCSFQDQEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGQTVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDSSNLPNLSEPDTATKNLLDSEKRSCASPVPKRTQQEQLLHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLIGYPPFYSDEPMSTCRKIVNWRNHLKFPEEAKLSPEAKDLISRLLCNVEQRLGTKGAHEIKAHPWFRGIQWDKLYQMEAAFKPEVNDELDTQNFEKFEESGAPMPTSSKSGPWRKMLSSKDVNFVGYTYKNFEIVNDPSIPGIAELKKKNNKPKRPSIKSLFETEATADQPVQGSFLKLLPTHMEVSETPEPSPHSSRSSSSCDTQARHR >DRNTG_25187.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5875752:5876933:-1 gene:DRNTG_25187 transcript:DRNTG_25187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVAAMPPEKNFHTETASSTPYVSAPSSPRAGGFDYLYHYTSAPASPTRITAIYSLNYQPDEHDHDHFPVEDNDFEFNFSGQLQKGALPPELTAADELFEAGKFKTTMKQPKSPQSHSRTSVNDFRRDNQRAKSNSSRARSLSPLRNQVPSRKDNSTYISSSSSSKSSSIVSFLRNAGNGSKKWRLKDLLLFRSASEGRVTGRGSKDPLRKYTTISPPLPTKRSSKGDDSKSSSFRSTDSGGSVRKGSVSPHELHYTTNRAASEELKKKTPLPYRQGLFGCLRFNPAVTAISRSFNNQSLS >DRNTG_20852.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16003031:16005955:-1 gene:DRNTG_20852 transcript:DRNTG_20852.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRELLSRPEPGSSDPAPRQTAADPQGVNQVDLQGLRT >DRNTG_20852.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16003031:16005955:-1 gene:DRNTG_20852 transcript:DRNTG_20852.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRELLSRPEPGSSDPAPRQTAADPQGVNQVDLQGLRT >DRNTG_20852.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16003031:16005955:-1 gene:DRNTG_20852 transcript:DRNTG_20852.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRELLSRPEPGSSDPAPRQTAADPQGVNQVDYDGRKREF >DRNTG_20852.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16003031:16005955:-1 gene:DRNTG_20852 transcript:DRNTG_20852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRELLSRPEPGSSDPAPRQTAADPQGVNQVDLQGLRT >DRNTG_13574.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000621.1:17069:18715:1 gene:DRNTG_13574 transcript:DRNTG_13574.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRKLPPHTIVKMLQDNGIKKVKLFDTDYNTMSALAGSGIEVMVAIPNDMLATMNDYDSAKLWVKKNVTRFNFEGGVNITYVAVGNEPFLKSYNGSFLKTTLPALKNIQSALSDAGYADTIKVTVPLNADVYESPANNPVPSAGRFREDIRDLMTQMVEFFHQTGAPFTVNIYPFLSLYGNPDFPVDFAFFDGASPPVIDGNIQYTNVFDANFDTLVSALNSIGFGDLPIIIGEVGWPTDGDINANTNFAQRFYNGLLKRLAANQGTPLRPNTYIETYLFGLIDEDAKSIAPGAFERHWGIFAFDGQPKYPLDLSGSPTLTAAKDVQYLPQQWCVYNPNTKADVGSKLIDNMNFACTYADCTALGYGSSCNGLDEKGNASYAFNSYFQTQGQKDGSCDFQGLAMVTTQNNSQGKCNFLVQIVGFDYSSASSASLSLLEMSVLLYVSVMLLFF >DRNTG_00447.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27306733:27307087:1 gene:DRNTG_00447 transcript:DRNTG_00447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSPWDAAKHMESAGALAKEIGHWNEVIDLYRKASELYIECGRSQPASDALAKG >DRNTG_05360.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8624408:8628543:-1 gene:DRNTG_05360 transcript:DRNTG_05360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPAISFVLQKICNLLVEEAVLLHGVRGQVEWVVSELQWMQSFLKDADSRQRKGDELAKNWVKEVRDVAFEAEDVIDNYLLKLGCLRREKGLLASVTRYASFAEVIARHKIATKINWLKKKISEIAESKVRYGLVNIGESGEDQISFIDEGLQARRNLSPYLYDETIVVGFQHDMEFLLNQLTDVQNLRRSVVSIVGMGGLGKTTLAKRIYSNNVIKQHFDVCLWMTISQVYNVIDILKIIISRVVDLKRGELEGLDETGMKEKLLNSLNSRRYLIIMDDVWEKNFWNRIKGAFPDAMNGSRVLITTRVIEVARHADPMTIPYELSFLPEDKSWDLFLLKAFPAGLDSSVEELEDIGRQLVKRCGGLPLALVVLGGLLSRKDPHPAVWSEVAESMDWDSTKDGQECLKILALSYCNLPHHYLKSCFLYLAAFPEDSVILASKLIKLWIAEGFIPQRQKQTMEEIARGYLDELVQRCMIQAVKKRDYDNSVKKIRIHDVLHEFCIAEAREDGLMNVNCLEARSTSQFTSRRLALQNYESSLCCSSASKRCTLLGCLALQNYKSSYWRSSASKLRTLLAFNLKTDVMFLNVLKLLRVIDLEGAGGVKELPKEIETLTLLRYMGLRNCASLQKLPASVGNLLSLQILDIRSTCIRELPNGICKIQTLSVLNITWGCILPPKIFNLKNLQILKDADASGGWIEQDSGKMINLRVLGISGMTSSQNSAVCRLLGNLTRLVSLKVRRSESLPSSIITTLSNNMRFRKLELHGVLNLAQRKLPSHDLFPRYLSKLTLRASLLLHDPMPVLENLRSLAVLRLVRRAYEGKEIVCSTGGFPMLQILELSGMEQLECWRMDGCAMLKLRCLYISVCPRLQMLPEGLRNMGSLQELKVSGMSDEFQSRVHVDGEDWHKIQHVRSVDFC >DRNTG_05360.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8624408:8628543:-1 gene:DRNTG_05360 transcript:DRNTG_05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPAISFVLQKICNLLVEEAVLLHGVRGQVEWVVSELQWMQSFLKDADSRQRKGDELAKNWVKEVRDVAFEAEDVIDNYLLKLGCLRREKGLLASVTRYASFAEVIARHKIATKINWLKKKISEIAESKVRYGLVNIGESGEDQISFIDEGLQARRNLSPYLYDETIVVGFQHDMEFLLNQLTDVQNLRRSVVSIVGMGGLGKTTLAKRIYSNNVIKQHFDVCLWMTISQVYNVIDILKIIISRVVDLKRGELEGLDETGMKEKLLNSLNSRRYLIIMDDVWEKNFWNRIKGAFPDAMNGSRVLITTRVIEVARHADPMTIPYELSFLPEDKSWDLFLLKAFPAGLDSSVEELEDIGRQLVKRCGGLPLALVVLGGLLSRKDPHPAVWSEVAESMDWDSTKDGQECLKILALSYCNLPHHYLKSCFLYLAAFPEDSVILASKLIKLWIAEGFIPQRQKQTMEEIARGYLDELVQRCMIQAVKKRDYDNSVKKIRIHDVLHEFCIAEAREDGLMNVNCLEARSTSQFTSRRLALQNYESSLCCSSASKRCTLLGCLALQNYKSSYWRSSASKLRTLLAFNLKTDVMFLNVLKLLRVIDLEGAGGVKELPKEIETLTLLRYMGLRNCASLQKLPASVGNLLSLQILDIRSTCIRELPNGICKIQTLSVLNITWGCILPPKIFNLKNLQILKDADASGGWIEQDSGKMINLRVLGISGMTSSQNSAVCRLLGNLTRLVSLKVRRSESLPSSIITTLSNNMRFRKLELHGVLNLAQRKLPSHDLFPRYLSKLTLRASLLLHDPMPVLENLRSLAVLRLVRRAYEGKEIVCSTGGFPMLQILELSGMEQLECWRMDGCAMLKLRCLYISVCPRLQMLPEGLRNMGSLQELKVSGMSDEFQSRVHVDGEDWHKIQHVRSVDFC >DRNTG_05360.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8624408:8628543:-1 gene:DRNTG_05360 transcript:DRNTG_05360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPAISFVLQKICNLLVEEAVLLHGVRGQVEWVVSELQWMQSFLKDADSRQRKGDELAKNWVKEVRDVAFEAEDVIDNYLLKLGCLRREKGLLASVTRYASFAEVIARHKIATKINWLKKKISEIAESKVRYGLVNIGESGEDQISFIDEGLQARRNLSPYLYDETIVVGFQHDMEFLLNQLTDVQNLRRSVVSIVGMGGLGKTTLAKRIYSNNVIKQHFDVCLWMTISQVYNVIDILKIIISRVVDLKRGELEGLDETGMKEKLLNSLNSRRYLIIMDDVWEKNFWNRIKGAFPDAMNGSRVLITTRVIEVARHADPMTIPYELSFLPEDKSWDLFLLKAFPAGLDSSVEELEDIGRQLVKRCGGLPLALVVLGGLLSRKDPHPAVWSEVAESMDWDSTKDGQECLKILALSYCNLPHHYLKSCFLYLAAFPEDSVILASKLIKLWIAEGFIPQRQKQTMEEIARGYLDELVQRCMIQAVKKRDYDNSVKKIRIHDVLHEFCIAEAREDGLMNVNCLEARSTSQFTSRRLALQNYESSLCCSSASKRCTLLGCLALQNYKSSYWRSSASKLRTLLAFNLKTDVMFLNVLKLLRVIDLEGAGGVKELPKEIETLTLLRYMGLRNCASLQKLPASVGNLLSLQILDIRSTCIRELPNGICKIQTLSVLNITWGCILPPKIFNLKNLQILKDADASGGWIEQDSGKMINLRVLGISGMTSSQNSAVCRLLGNLTRLVSLKVRRSESLPSSIITTLSNNMRFRKLELHGVLNLAQRKLPSHDLFPRYLSKLTLRASLLLHDPMPVLENLRSLAVLRLVRRAYEGKEIVCSTGGFPMLQILELSGMEQLECWRMDGCAMLKLRCLYISVCPRLQMLPEGLRNMGSLQELKVSGMSDEFQSRVHVDGEDWHKIQHVRSVDFC >DRNTG_03944.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000187.1:79620:85613:-1 gene:DRNTG_03944 transcript:DRNTG_03944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPLHFPEHLLQDPRGRCYFFSRTKHHYFFIALLLVEQSFIRIWVEHFLHIFINNFISSVPLMVVLMVLWRVLYSSQGILCPA >DRNTG_27041.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2574274:2579817:1 gene:DRNTG_27041 transcript:DRNTG_27041.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNVLKFLNFDNAIGVDIAHSKYGNLNFWLDSAPPNCSRAFRSLCRTASILKPFCWINTVNPFHTSFLFDPWLFDVPVAFKPTFLNVNSDFQTINMIDLIYDGILNIQNIRSFFGDNLNHLISTLGSIDVEGTNHWVSSPKSSCISISSVVFLRLNHNAFLSEHWLGWSKLWKIEVTPCIKHFIWLLLHGKISTTDYLSSSINLGPRSLCILCNIEMESADHMFYHCSKAQIAWSILRANIGLSLYFSDSISSGAWISDYNLPIFTVSLISAIIWFLWKARCEAIFKNITHNFPLIINRAIAHAEFIQEDTNLCEKRPLLTNFNHSEGLFLFSTANWNSVREIGYTVFLFQTPIATFPLQVALLSLLTQLLLLSSMP >DRNTG_27041.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2574274:2579817:1 gene:DRNTG_27041 transcript:DRNTG_27041.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNVLKFLNFDNAIGVDIAHSKYGNLNFWLDSAPPNCSRAFRSLCRTASILKPFCWINTVNPFHTSFLFDPWLFDVPVAFKPTFLNVNSDFQTINMIDLIYDGILNIQNIRSFFGDNLNHLISTLGSIDVEGTNHWVSSPKSSCISISSVVFLRLNHNAFLSEHWLGWSKLWKIEVTPCIKHFIWLLLHGKISTTDYLSSSINLGPRSLCILCNIEMESADHMFYHCSKAQIAWSILRANIGLSLYFSDSISSGAWISDYNLPIFTVSLISAIIWFLWKARCEAIFKNITHNFPLIINRAIAHAEFIQEDTNLCEKRPLLTNFNHSEGLFLFSTANWNSVREIGYTVFLFQTPIATFPLQVALLSLLTQLLLLSSMP >DRNTG_27041.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2574274:2579817:1 gene:DRNTG_27041 transcript:DRNTG_27041.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNVLKFLNFDNAIGVDIAHSKYGNLNFWLDSAPPNCSRAFRSLCRTASILKPFCWINTVNPFHTSFLFDPWLFDVPVAFKPTFLNVNSDFQTINMIDLIYDGILNIQNIRSFFGDNLNHLISTLGSIDVEGTNHWVSSPKSSCISISSVVFLRLNHNAFLSEHWLGWSKLWKIEVTPCIKHFIWLLLHGKISTTDYLSSSINLGPRSLCILCNIEMESADHMFYHCSKAQIAWSILRANIGLSLYFSDSISSGAWISDYNLPIFTVSLISAIIWFLWKARCEAIFKNITHNFPLIINRAIAHAEFIQEDTNLCEKRPLLTNFNHSEGLFLFSTANWNSVREIGYTVFLFQTPIATFPLQVALLSLLTQLLLLSSMP >DRNTG_27041.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2574274:2579817:1 gene:DRNTG_27041 transcript:DRNTG_27041.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNVLKFLNFDNAIGVDIAHSKYGNLNFWLDSAPPNCSRAFRSLCRTASILKPFCWINTVNPFHTSFLFDPWLFDVPVAFKPTFLNVNSDFQTINMIDLIYDGILNIQNIRSFFGDNLNHLISTLGSIDVEGTNHWVSSPKSSCISISSVVFLRLNHNAFLSEHWLGWSKLWKIEVTPCIKHFIWLLLHGKISTTDYLSSSINLGPRSLCILCNIEMESADHMFYHCSKAQIAWSILRANIGLSLYFSDSISSGAWISDYNLPIFTVSLISAIIWFLWKARCEAIFKNITHNFPLIINRAIAHAEFIQEDTNLCEKRPLLTNFNHSEGLFLFSTANWNSVREIGYTVFLFQTPIATFPLQVALLSLLTQLLLLSSMP >DRNTG_27041.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2574274:2579817:1 gene:DRNTG_27041 transcript:DRNTG_27041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNVLKFLNFDNAIGVDIAHSKYGNLNFWLDSAPPNCSRAFRSLCRTASILKPFCWINTVNPFHTSFLFDPWLFDVPVAFKPTFLNVNSDFQTINMIDLIYDGILNIQNIRSFFGDNLNHLISTLGSIDVEGTNHWVSSPKSSCISISSVVFLRLNHNAFLSEHWLGWSKLWKIEVTPCIKHFIWLLLHGKISTTDYLSSSINLGPRSLCILCNIEMESADHMFYHCSKAQIAWSILRANIGLSLYFSDSISSGAWISDYNLPIFTVSLISAIIWFLWKARCEAIFKNITHNFPLIINRAIAHAEFIQEDTNLCEKRPLLTNFNHSEGLFLFSTANWNSVREIGYTVFLFQTPIATFPLQVALLSLLTQLLLLSSMP >DRNTG_24137.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26314948:26320843:-1 gene:DRNTG_24137 transcript:DRNTG_24137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEGVGIQMHGGGVRGAVVAVAVAEGSASAGATDIDEETLWQMNLRQSESMESGLYPERPGEPDCAYYIRTGLCRFGMTCRFNHPPNRKLAVAAARIKGGYPERVGQPECQYYLKTGTCKFGATCKFHHPKDKAGIAGKVQLNILGYPLRPNEKECAYYLRTGQCKFGNTCKFHHPQPSNSMLSLRGSPGYPSVHSPTTPGQQSYPGAITNWPISRASFIPSPRWQGPSSYAQLILPQGLVQVPGWNAYPGQLGSVSSPDSQQQSSGSNQYYGISRQNETNVTQGTYPYHRSGSIPMGLYALQREHIFPERPDQPECQFYMKTGDCKFGAVCKFHHPRERLIPAPNCLLSPMGLPLRPGEPLCIFYSRYGICKFGPNCKFDHPMMYSPAAPTADVPVVRRLLGSSTGSPALTLTSEGPVEAGPGKSRRLSLSESRQMASGEENTEAEGSHTTSQVSSFS >DRNTG_18657.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16033386:16034589:1 gene:DRNTG_18657 transcript:DRNTG_18657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMISANLLLFLLIDFASSAVNSQLLFQGFNWESWKKEGGWYNFLKDHVPDIAAAGVTHVWLPQPAQSADDAPQGYIPGRLYDLNASKYGNLNELKSLLDALHSKQIKALADIVINHRSADNSCNFEGGTSDTRLDWGLPMICRDDTTCSGTGNLDTGEGFGGAPDIDHLDIRVQNELSDWLNWLKSDIGFDSWRLDFAKGYSADIAKININRAKPDFVVGEIWNSLAYDGSKPVYDQDAHRNDLQRWVNAVGGPT >DRNTG_31162.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13311162:13315494:1 gene:DRNTG_31162 transcript:DRNTG_31162.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVAKGYYRELIWRSFLQPSPYEARQVRCTMHDLFRVLAMFLVGDESLLGDPQAAPSNTSMIKLRHLTISSNRESVSIPHLDCLRRLWLYTPPSLDTQVIGGLKHLRVLTLCGDKIENIPDSIGDLLHLRLLNLGGTKICKLPDSLGNLINLQFLLLSDCESLYILPRSITRLCNLRRLNLKYTPLNYVPRGIGKLEHLNHVSGFIVEDNGGDGEGCNLEELQMLKNLSHLDIDKLEKASKSTPVLLNKPRLKTVMLRCTPDSGGHNQQQEMDEIVQVFDELCPPPSLDDLVIENFFGGRYPRWMLSNSFSTAFLELTYLQLRDCANCPQLPQLGQLPQLKYLRIKGATAVVSIGPEFLGNGELAASAFPNLEYLVFLDMINWEEWSLTSGEGDNEHESSRLLLFPRLRKLFIDNCPKLKDLPSGLNRANIPHLFIRSAHSLSRVSYLPALKELEVYDCPMLKCVEKLESLQSLTLFDQQENNTILPQWLILFLLQRERPHYDRFILHLVCSAQALHDCLQGHRYWLLLQQVPRFTAYTVNQDMYLKYTKEPYYYETNITDEDQEHEILSLN >DRNTG_31162.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13311050:13315494:1 gene:DRNTG_31162 transcript:DRNTG_31162.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIGMRIVEKCDGLPVAIKAIAGVLVTKDLDKTRMGGCT >DRNTG_31162.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13311050:13312993:1 gene:DRNTG_31162 transcript:DRNTG_31162.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIGMRIVEKCDGLPVAIKAIAGVLVTKDLDKTRMGGCT >DRNTG_31162.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13311050:13315494:1 gene:DRNTG_31162 transcript:DRNTG_31162.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIGMRIVEKCDGLPVAIKAIAGVLVTKDLDKTRMGGCT >DRNTG_31162.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13311050:13315683:1 gene:DRNTG_31162 transcript:DRNTG_31162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIGMRIVEKCDGLPVAIKAIAGVLVTKDLDKTRMGGCT >DRNTG_31162.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13311050:13315494:1 gene:DRNTG_31162 transcript:DRNTG_31162.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIGMRIVEKCDGLPVAIKAIAGVLVTKDLDKTRMGGCT >DRNTG_31162.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13311162:13315494:1 gene:DRNTG_31162 transcript:DRNTG_31162.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVAKGYYRELIWRSFLQPSPYEARQVRCTMHDLFRVLAMFLVGDESLLGDPQAAPSNTSMIKLRHLTISSNRESVSIPHLDCLRRLWLYTPPSLDTQVIGGLKHLRVLTLCGDKIENIPDSIGDLLHLRLLNLGGTKICKLPDSLGNLINLQFLLLSDCESLYILPRSITRLCNLRRLNLKYTPLNYVPRGIGKLEHLNHVSGFIVEDNGGDGEGCNLEELQMLKNLSHLDIDKLEKASKSTPVLLNKPRLKTVMLRCTPDSGGHNQQQEMDEIVQVFDELCPPPSLDDLVIENFFGGRYPRWMLSNSFSTAFLELTYLQLRDCANCPQLPQLGQLPQLKYLRIKGATAVVSIGPEFLGNGELAASAFPNLEYLVFLDMINWEEWSLTSGEGDNEHESSRLLLFPRLRKLFIDNCPKLKDLPSGLNRANIPHLFIRSAHSLSRVSYLPALKELEVYDCPMLKCVEKLESLQSLTLFDQQENNTILPQWLILFLLQRERPHYDRFILHLVCSAQALHDCLQGHRYWLLLQQVPRFTAYTVNQDMYLKYTKEPYYYETNITDEDQEHEILSLN >DRNTG_31162.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13311162:13312993:1 gene:DRNTG_31162 transcript:DRNTG_31162.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVAKGYYRELIWRSFLQPSPYEARQVRCTMHDLFRVLAMFLVGDESLLGDPQAAPSNTSMIKLRHLTISSNRESVSIPHLDCLRRLWLYTPPSLDTQVIGGLKHLRVLTLCGDKIENIPDSIGDLLHLRLLNLGGTKICKLPDSLGNLINLQFLLLSDCESLYILPRSITRLCNLRRLNLKYTPLNYVPRGIGKLEHLNHVSGFIVEDNGGDGEGCNLEELQMLKNLSHLDIDKLEKASKSTPVLLNKPRLKTVMLRCTPDSGGHNQQQEMDEIVQVFDELCPPPSLDDLVIENFFGGRYPRWMLSNSFSTAFLELTYLQLRDCANCPQLPQLGQLPQLKYLRIKGATAVVSIGPEFLGNGELAASAFPNLEYLVFLDMINWEEWSLTSGEGDNEHESSRLLLFPRLRKLFIDNCPKLKDLPSGLNRANIPHLFIRSAHSLSRVSYLPALKELEVYDCPMLKCVEKLESLQSLTLFDQQENNTILPQWLILFLLQRERPHYDRFILHLVCSAQALHDCLQGHRYWLLLQQVPRFTA >DRNTG_27412.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001396.1:242056:248694:1 gene:DRNTG_27412 transcript:DRNTG_27412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPSPKKRPRPPADDHDLKKVAEIVMVLSAMADMRAGAPPTSVEKSLIAEAREKLVKACEDARPRDLFSREAVRVLVEDLGLNRSRDPLLGFRPPKMSVAEKLLLTKRKMEESKEVVSHSSANATQFQPISFHASSDGNNSLFHGAPRFLPDKPSPLPYHAGGVQTASPMVAVPTVGSASSSSKLLQMSATHPVITSAKLATSSTPFATTSHAEVTHFRLGPRSNGSPYLTRVRATTDCLPEKSSTLSAHSAPNPALRFGQTNKFQDASTVKQEAVHEVNGIQTSVPVIADQDFKGSTVQATPGNLFMGHHSGLTYVHPPSGFPSHNDIAQIVQRTLQNKTSEHSNWTPPSTEYVNKGLSCQICKVAISDVESLLVCDSCEKGTHLKCLQSYGNKGMSKLEWHCPKCLISSNGKPLPPKYGRVTRSPAPAVSKDPSSISGTQASTETKPETPDSKTNHQIPNGNSSFLVHASTTGGNVGDAVPNLKTDETKEMQDMGFTIRTKMEDEMCIGATSDHSKEVAGKGCVNLGPDSTCPDENIETTSSSPSKTQKPNSESMLPMKGEDSSNPHDEIIEPDDIKCASNSNGIASQSLAVCDSQVDNKLDAPINFEGSVDLLHESSKANIDESEKPSKSSELSIVNADSEKSKDEVDANKETPSGALSNGDVIGVCVTPVSGPSIMDWVGDILEVAEEKNYYQACLIKGAVHKLQDHVMVSSNSQKAYPSKIQNLWEDNKAGLKLAIVIPYYFPADIPEAVGCPSIPVDREVYASNKENTIMVQEILGTCEVLPLHKFKEECSNSSNLQATDNSSHPIFFCKWTYDESKGMFYSHDS >DRNTG_01040.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18633443:18635037:1 gene:DRNTG_01040 transcript:DRNTG_01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPKDAERLLDEEMQASGFKPSAFEFRALVQSYGELALFSDMQRVIGIMEEAGYPVDVVCANIVLSCYGDHGQLSEMISWIQSMKRMKIGYSVRTFNTVLNSCPVMVSMVHNLRCLPLFDR >DRNTG_01149.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000071.1:34353:45858:-1 gene:DRNTG_01149 transcript:DRNTG_01149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGEEGRKPPEKSPEMVEEKPLKRKMKSPYQLEVLEKTYAVEMYPSETLRAELSAKIGLSDRQLQMWFCHRRLKDRKLPPVKRPRKDKVEGDMASGSGSSPNTLVEARKGGVIKSGGRIMTDMSAVNLERRYYDSMAMRPSSQPQHLSAVEMRVIAAVEVQLGEPLRENGPILGMEFDPLPPGAFGEPIESDTPAVLPHGHSATHQFLDRLENSGRLKQSVRSYDANLFDRHDGKSKKASFLPSMEHSVFSTSSGGKRKVPSGVLLGHPQEGTRALQEYKFLPEQPSVRGDAYERVSQPHYYDSTMEAPNTRVSSLPIERQFTHGKEQLTPSYIFQGQMSNSNLSQHGRQQLYGSSPTDYDNAQHSRSYIDPAIDPEQGIHSVVGIENASDRRICHDEDASRMSRKRKSEEARIAKEIEAHEKRIRKELEKQDILRRKREEQMRKEMERHDRERRKEEERLMREKQRELEKYQREEIRENKRKEKVLLKESIKAEKLRLKEEMRREKEAAKLKAAIERATARKIAKGHTELVEDEHLELMELAAASKGLPSVITLDSDTLQQLDVFKDMLSPFPPASVPLKRPFAIPPWANSEENIGNLLMVWKFLITFADFLELWPFTLDEFVQALHDYDSRLLGEVHVALLKSIIKDIEDVARIPSMPSGTSQTCATNPGGGHPHIIEGAYSWGFDIRSWQCHLNFMTWPEILRQFALSAGFGPKLRKRNVERTYFLDENEGNDDKDVITTLRSGAAVEHAVALMQEKGFTHQRRSRNRLTPGTVKFAAFHILSLEGSKGLTILEVADKIQKSGLRDLRTSKTPEASIAAALSRDTRLFERTAPSTYCVRQPYRKDPSDADVILSDAREKIQVFQNGLSDGEEAEKDVEDAERDEDSECDGDEDPEVDDMGVEVEPNPEFPSSNDLVGAKVNYSLDAGKGEATCDAVDPQNGLMNIEKGFSLQSRKKSKDMTSSNTLHEESFDNVSNCHEGNNPNQDNLEVDESNCQPWVQGLTEDEYCDLSVEERLEALAALIGVAIEGNSIHAILEERLEAASALKKQMWAEAQLDRRRVREEYASRHQYSSVMGYKVEMPQMNAATEGNPLDDDDNKGDDGNSDTMNNGQFMIPPNPGNVNNIPSEKNVPEQECPANADIILPLSHGYTAESRSQLKSYIGHKAEEMYVYRSLPLGQDRRHNRYWLLSTSMSRNDLGSGRIFFESRDGFWRLIDSEEAFDALLAALDARGVRESHLLAMLRRIETTFKETIRRKNHTNAVEPVGDLCKKGTTEMASSSDCSVEFDSPSSTLCGLNSDPHEHSSSFRIELGRNEVERNAALKRYQGFLSWMWKESYNPSVLCAMKYGKKRCPELLRTCQLCYLSYLADERHCPSCHKTFKSMHDSDTYYCQHIRQCEEKQVNDPDWKICLSDCTPIRIRLLKAQMALVEASIPAEALQPFWTESCRTSWGVKLQLLSSADDLFQVLTLLEGAIKRGFLCSSFETTEELLNSTPDLPHDPSAALSGPVPVLPWIPETTAAVAFRLLDLDASILYALKQKSDFHKEKEAAGIIKLPSRYVVVKNVPEADLTETPELVRYQREVGWFDSGSRRRGRGRGSRSRGGSRGRGRGRGQRVTGVSSRMEFLEERNVHNYAKTTRKYTRARTRGRGRARGKRTVRSWQRPGSRIPVAKTTPLNHFNDIETGAIDENIEESPQSLERDEWVLQERGAYAEADESEDQGQASEDEYDDDQPMDFNGGYGSRKPIGLMDNESESEDGDEEAEGDDGVRYEHEDVGPVEDDEEEEEEEIEDYQDGIGEIDRNGDDDYGASSYSSDYSG >DRNTG_33228.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19423191:19426433:-1 gene:DRNTG_33228 transcript:DRNTG_33228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSQPKGLMNPNPNPKIESFMTKPSETG >DRNTG_07142.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9323347:9328693:1 gene:DRNTG_07142 transcript:DRNTG_07142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKKVAYKQSRKDYSPPPVEPRFSKEDHKTRFAILSRKGFGTIRRIDWDVLKTLELDGIILELILHDGRDRLFSIEEPTYKELTLEQVTQDPLGVLLGLYTEVYTCIPEFKGLPQTSRTW >DRNTG_06575.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:502819:505069:-1 gene:DRNTG_06575 transcript:DRNTG_06575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKFGLTSLNGNAGLCGPSPPLPLCSFRPQEPPSSSSSQAVVPSNPSSMPDSSTANGGQVRGRVMDKEGRREGLSTGTIVGIVVGNALFLLVVVSFSVAYCCSRRFGGGRDEDKRSNVEGHDGGSHYTDERGKGNGRDSGDSGAALATQTKLVFFEGDEEEEEEEETGSDGSRRRGRHRRFELEDLLRASAEMVGKGTLGTVYRAVLEDGCMVAVKRLRDANPCPRKEFDSYMGIIGRLRHTNLVNLRAYYYAKQEKLLIYDYLPNGSLYTLLHGNRGAGRLPLDWTTRISLVLGAARGLACIHKEYASSKIPHGNVKSSNVLLDKNGMACISDFGLALLLNPAHTTSRLGGYRAPEQAENKRLSQEADIYAFGVLLLEVLTGRAPPQYPSPVTSRGGSLIATNLPEWVRSVVREEWTAEVFDVELKRYKNIEGEMVAMLQVALACVSQQPDARPAMSEVVKMIEEIRVEQSPLAEDMDEPRASLSPSLATATTTEDGRLSY >DRNTG_24800.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31915453:31920497:-1 gene:DRNTG_24800 transcript:DRNTG_24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLYDEFGNYIGPEIPSEDDSPAASDDDAANSDDGDVSDRRSPSPAAPGGWLSKPALEDAMDTDEPPPSQIVLAEDKKYYPTAEEVYGEGVEALVMDEDEQPLEMPIIKPVRNVRFEVGVKDSSTYVTTEFLLGLASNPALVRNVALVGHLQHGKTVFMDMLVEQTHEISTFDIEGERHMRYTDTRIDEQERKISIKAVPMSLVLEDSNAKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLVVDAADGVMVNTERALRHAIQERLPIVVVINKVDRLITELKLPPTDAYFKLRHTLEVINDLISSFSVKAGGTQIVDPVAGNVCFASASAGWSFTLQSFAKLYVKLHGIPFDANKFASRLWGDLYYHPDSRTFKKKALPGGGERSFVQFILEPLYKIYSQVIGEHKKSVEATLAELGVTLPNAAYKWNVTAFIAVGMQLCVRICYWIYRYACAAYSFCQRCCWKER >DRNTG_24800.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31915453:31916599:-1 gene:DRNTG_24800 transcript:DRNTG_24800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFDSFQWGAREGPLCDEPIRNVKFKILHASIAQEPLHRGGGQIIPTARRVVYSAFLMATPRLMEPVYYVEIQTPIDCVSAIYTVLSRRRGHVTADVPKPGTPAYIVKAFLPVIESFGFETDLRYHTQGQAFCLSVFDHWAIVPGDPLDKSIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMVVELAQQAADLHLQMM >DRNTG_30349.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001563.1:28220:30352:-1 gene:DRNTG_30349 transcript:DRNTG_30349.5 gene_biotype:protein_coding transcript_biotype:protein_coding GDEGSSSRAIDSTNIGFQLLKKCGWKEGTGLGVSQQGRLEPLETHVKKNKRGLGAEKIKKKKEELPVDPPSEVDSEDNKLQSKKKVKANSKRIRKMLEEDKRAKEKEFERAFFREFWPDNV >DRNTG_30349.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001563.1:25535:30352:-1 gene:DRNTG_30349 transcript:DRNTG_30349.4 gene_biotype:protein_coding transcript_biotype:protein_coding GDEGSSSRAIDSTNIGFQLLKKCGWKEGTGLGVSQQGRLEPLETHVKKNKRGLGAEKIKKKKEELPVDPPSEVDSEDNKLQSKKKVKANSKRIRKMLEEDKRAKEKEFERAFFREFWPDNV >DRNTG_30349.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001563.1:25535:30352:-1 gene:DRNTG_30349 transcript:DRNTG_30349.3 gene_biotype:protein_coding transcript_biotype:protein_coding GDEGSSSRAIDSTNIGFQLLKKCGWKEGTGLGVSQQGRLEPLETHVKKNKRGLGAEKIKKKKEELPVDPPSEVDSEDNKLQSKKKVKANSKRIRKMLEEDKRAKEKEFERAFFREFWPDNV >DRNTG_30349.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001563.1:25535:30352:-1 gene:DRNTG_30349 transcript:DRNTG_30349.2 gene_biotype:protein_coding transcript_biotype:protein_coding GDEGSSSRAIDSTNIGFQLLKKCGWKEGTGLGVSQQGRLEPLETHVKKNKRGLGAEKIKKKKEELPVDPPSEVDSEDNKLQSKKKVKANSKRIRKMLEEDKRAKEKEFERAFFREFWPDNV >DRNTG_30349.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001563.1:25535:30352:-1 gene:DRNTG_30349 transcript:DRNTG_30349.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPALNIQDYRLAGFKYERRMARLSIRSNDDAGGHYGCWLDRMEECLQSRGTDSRKTLLNHQGRAQASME >DRNTG_13215.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2663297:2663892:1 gene:DRNTG_13215 transcript:DRNTG_13215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGSKRSIRGGGGNGGKTRGKINSEAVKQTSNKNDSMLKYVFFVPLPCTTTPCVFLNSI >DRNTG_13073.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:988387:991356:1 gene:DRNTG_13073 transcript:DRNTG_13073.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable L-type lectin-domain containing receptor kinase S.7 [Source:Projected from Arabidopsis thaliana (AT5G55830) UniProtKB/Swiss-Prot;Acc:Q9FHG4] MSSLAELSIIAGLRHKNLVQLQGWCSEKGELLLVYEFMPNGSLDKFLYSEADIGLSLALDWTKRYSVAVGIASALTYLHEECDQQVIHRDIKTSNIMLDGHFNARLGDFGLAKLLDHDKSPDSTLTAGTMGYLAPEYLQYGRATDKSDVYSYGVVILEVCCGRRPIEVKDGHANMLINLVDWVWGLYSKDRLIDAADQQLNGAFDVESMLRLLLIGLSCANPICTDRPSMRKVLQILDHEAEPIVVPKLKPSLTFNSSAPLALQDIVLDCYESQVSSKSSD >DRNTG_13073.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:988387:990634:1 gene:DRNTG_13073 transcript:DRNTG_13073.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable L-type lectin-domain containing receptor kinase S.7 [Source:Projected from Arabidopsis thaliana (AT5G55830) UniProtKB/Swiss-Prot;Acc:Q9FHG4] MSSLAELSIIAGLRHKNLVQLQGWCSEKGELLLVYEFMPNGSLDKFLYSEADIGLSLALDWTKRYSVAVGIASALTYLHEECDQQVIHRDIKTSNIMLDGHFNARLGDFGLAKLLDHDKSPDSTLTAGTMGYLAPEYLQYGRATDKSDVYSYGVVILEVCCGRRPIEVKDGHANMLINLVDWVWGLYSKDRLIDAADQQLNGAFDVESMLRLLLIGLSCANPICTDRPSMRKVLQILDHEAEPIVVPKLKPSLTFNSSAPLALQDIVLDCYESQVSSKSR >DRNTG_11229.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15447413:15448312:-1 gene:DRNTG_11229 transcript:DRNTG_11229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVKQRDLEENIGLSMSASERYAVIVGSGHSRFRDVDSALFRMEEKFQQCKRRLVRRIQLAAGTGNLAASKSVV >DRNTG_16965.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20994642:20995647:1 gene:DRNTG_16965 transcript:DRNTG_16965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSFLRKKVGRGASVEAYPIPDEGFLGRLIENFPQDHRHDYRGGASPFPSNVIDSTSVPRVLCGHNRVNVLWDELPLGLSCACNVVKRLDGPHTGVAPRFGQEALSAHTAP >DRNTG_35307.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22715236:22716340:1 gene:DRNTG_35307 transcript:DRNTG_35307.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLPPDAPANGPSSIAPSSAVADQLPSGPSSKRQRRPSVRLGEIGEPPAAIPHEPLLRRPKHWSLPSKPSLKPRVVTIPSDDHEDEHNPPETLTLAFKKGLRDGKPRRGPPASLRRARSNWISRPDDVAEAGELKSSGGEDAGDDGFREDSENREVAAADGDEPSETDGGDWNENRNGGVRSWLDRLGLGRYAPVFEIHEVDEEVLPLLTLEDLKDMGINAVGSRRKMYSAIQKLRKGFT >DRNTG_35307.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22715236:22716554:1 gene:DRNTG_35307 transcript:DRNTG_35307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLPPDAPANGPSSIAPSSAVADQLPSGPSSKRQRRPSVRLGEIGEPPAAIPHEPLLRRPKHWSLPSKPSLKPRVVTIPSDDHEDEHNPPETLTLAFKKGLRDGKPRRGPPASLRRARSNWISRPDDVAEAGELKSSGGEDAGDDGFREDSENREVAAADGDEPSETDGGDWNENRNGGVRSWLDRLGLGRYAPVFEIHEVDEEVLPLLTLEDLKDMGINAVGSRRKMYSAIQKLRKGFT >DRNTG_35307.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22715236:22716403:1 gene:DRNTG_35307 transcript:DRNTG_35307.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLPPDAPANGPSSIAPSSAVADQLPSGPSSKRQRRPSVRLGEIGEPPAAIPHEPLLRRPKHWSLPSKPSLKPRVVTIPSDDHEDEHNPPETLTLAFKKGLRDGKPRRGPPASLRRARSNWISRPDDVAEAGELKSSGGEDAGDDGFREDSENREVAAADGDEPSETDGGDWNENRNGGVRSWLDRLGLGRYAPVFEIHEVDEEVLPLLTLEDLKDMGINAVGSRRKMYSAIQKLRKGFT >DRNTG_35307.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22715236:22716403:1 gene:DRNTG_35307 transcript:DRNTG_35307.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLPPDAPANGPSSIAPSSAVADQLPSGPSSKRQRRPSVRLGEIGEPPAAIPHEPLLRRPKHWSLPSKPSLKPRVVTIPSDDHEDEHNPPETLTLAFKKGLRDGKPRRGPPASLRRARSNWISRPDDVAEAGELKSSGGEDAGDDGFREDSENREVAAADGDEPSETDGGDWNENRNGGVRSWLDRLGLGRYAPVFEIHEVDEEVLPLLTLEDLKDMGINAVGSRRKMYSAIQKLRKGFT >DRNTG_35417.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002243.1:79441:82585:1 gene:DRNTG_35417 transcript:DRNTG_35417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHGVVPSVRSRNDLLVALVRSNLVATAREVYRVIKEKGMGFDCHTIHIMMHACLKDGKPDEALVFFGHLKDIGLEPDLCVYGTAIQSVCRKPDCSRACELLNEMKGRGLAPTEFMYTCVIGACVKQGNLEEALKLKDELVASGCPINLVLATSLMKGHCAQGDLCNAIDLYNGLIEKGIVPNSITYSVLLDGCHKNGNLEKAFELYCQMKQTAFAPNVFQVNLILQCSLKNNKWKEALGVFNEAVSSGVANVFTYNILMHWFLQVGRVKEARELWTKMEDQGVEPNIVSYNNLLFVHCKCGDMESAAHLFGLMSEKCIKPNVITYTILADGYLKKEDFDQAFNLLSTMCSLGIYCNDYTFNAVIYGLSKAGQMSEVTSMMHKFIKVGFIPSCMTYNSIIHGFIKEGKMNSAVEIYHEMVRDGISPDVVTFTNFIDGFCKQKSTDLALKILSKMKRLGIQMDIASYNALIVGLCSEGNMKSALSLFDELPKFGLEPNVVIFNSLIAGYKNMNNMEAAIELHRRMCVQGIPCDIATYTTLIDGSLKIGDLVFASELYSEMLEKGIVPDDIAFTALINGLCNNGDLENARKILNEVDQRVLRPSVLIYNMLITGYIREGDLQEAFQLHDEMLDRGLMPNDATYDIFVNMKHGCSQSRPTT >DRNTG_15336.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13975822:13981532:-1 gene:DRNTG_15336 transcript:DRNTG_15336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSKFFLEPAGTGSVDPGSSSNTSLHSRLHNNHSLH >DRNTG_23473.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2809955:2816083:1 gene:DRNTG_23473 transcript:DRNTG_23473.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA cleavage factor Im 25 kDa subunit 2 [Source:Projected from Arabidopsis thaliana (AT4G25550) UniProtKB/Swiss-Prot;Acc:Q8GXS3] MGTSPAVNVYPLANYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEVEGLKRKLSSKLAANMPSHQPNWQVGECVATWWRPNFETMMYPYCPPHITKPKECKKLFLVHLSEREYFAVPKNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFHFNMVHP >DRNTG_02468.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2122337:2131802:1 gene:DRNTG_02468 transcript:DRNTG_02468.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLFIKAEKQTPSGLPARPVLTSYYKSPHFRTRTHDPFNDYTSPNEAILCPDRDQSMYCQLLAGLIHRQCVLRLGAVFASALLRSISFLEKNWIDLCRDIRTGHLNKSITDPNLRAAMSKTITSQDPQLANELEAICSLRTWKGIICKLWPRVKYIEAVLTGSMAQYIPALEFYSEGKIPLVCTMYASSECYFGVNLNPLSYPTEVSYTLLPNMAYFEFIPLENGLQPNNEEKIEQDKLVSLVDVKLWCYYELVVTTFAGKLILNNTPFFH >DRNTG_02468.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2122337:2131802:1 gene:DRNTG_02468 transcript:DRNTG_02468.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLFIKAEKQTPSGLPARPVLTSYYKSPHFRTRTHDPFNDYTSPNEAILCPDRDQSMYCQLLAGLIHRQCVLRLGAVFASALLRSISFLEKNWIDLCRDIRTGHLNKSITDPNLRAAMSKTITSQDPQLANELEAICSLRTWKGIICKLWPRVKYIEAVLTGSMAQYIPALEFYSEGKIPLVCTMYASSECYFGVNLNPLSYPTEVSYTLLPNMAYFEFIPLENGLQPNNEEKIEQDKLVSLVDVKLWCYYELVVTTFAGKLILNNTPFFH >DRNTG_02468.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2122337:2131741:1 gene:DRNTG_02468 transcript:DRNTG_02468.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQFFFQILRDKSYMLELLYLNKYMQGSIDLLTFKTRVPVITYEKIQPYIQRIVNGEDSSIISLPPNLSSSSQNNA >DRNTG_02468.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2122337:2131741:1 gene:DRNTG_02468 transcript:DRNTG_02468.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQFFFQILRDKSYMLELLYLNKYMQGSIDLLTFKTRVPVITYEKIQPYIQRIVNGEDSSIISLPPNLSSSSQNNA >DRNTG_02468.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2122337:2131802:1 gene:DRNTG_02468 transcript:DRNTG_02468.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQFFFQILRDKSYMLELLYLNKYMQGSIDLLTFKTRVPVITYEKIQPYIQRIVNGEDSSIIFFIKAEKQTPSGLPARPVLTSYYKSPHFRTRTHDPFNDYTSPNEAILCPDRDQSMYCQLLAGLIHRQCVLRLGAVFASALLRSISFLEKNWIDLCRDIRTGHLNKSITDPNLRAAMSKTITSQDPQLANELEAICSLRTWKGIICKLWPRVKYIEAVLTGSMAQYIPALEFYSEGKIPLVCTMYASSECYFGVNLNPLSYPTEVSYTLLPNMAYFEFIPLENGLQPNNEEKIEQDKLVSLVDVKLWCYYELVVTTFAGKLILNNTPFFH >DRNTG_02468.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2122337:2131802:1 gene:DRNTG_02468 transcript:DRNTG_02468.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQFFFQILRDKSYMLELLYLNKYMQGSIDLLTFKTRVPVITYEKIQPYIQRIVNGEDSSIIFFIKAEKQTPSGLPARPVLTSYYKSPHFRTRTHDPFNDYTSPNEAILCPDRDQSMYCQLLAGLIHRQCVLRLGAVFASALLRSISFLEKNWIDLCRDIRTGHLNKSITDPNLRAAMSKTITSQDPQLANELEAICSLRTWKGIICKLWPRVKYIEAVLTGSMAQYIPALEFYSEGKIPLVCTMYASSECYFGVNLNPLSYPTEVSYTLLPNMAYFEFIPLENGLQPNNEEKIEQDKLVSLVDVKLWCYYELVVTTFAGKLILNNTPFFH >DRNTG_24985.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28176146:28179788:1 gene:DRNTG_24985 transcript:DRNTG_24985.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine--glyoxylate aminotransferase 2 homolog 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G39660) UniProtKB/Swiss-Prot;Acc:Q940M2] MAHLWRRPGGSVPAAALRRIFLTGGRRASSSSSAAPPELPPFDHVPRPYKGMLGDEILRKRQRFLGPSIFYYYQKPLNIVEGKMQYLYDENGKRYLDAIAGIVTVSCGHCHPDVVDAVIEQSKILQHATTIYLHHAIVEFAEALASKMPGNLKVVYVVNSGTEANELAMLMARLYSGNLSMIALRNAYHGGSSGTIGLTALQTWKYPIPQGEIHHVMNPNPYRGAFGSDAVSYAKEVEDHINFGSSGNIAGFIAETFQGAGGAVELAPGYLKLVYDMVRKAGGVCIADEVQSGFGRTGSHYWGFETQGVIPDIVTMAKGIGNGLPLGAVVTTPEIAQVLSKKIQFNTFGGNPVCSAGGLAVLKVIDKEKRQEHCASVGSHLIERLKTLQQKHEIIGDVRGRGLMLGVEFVTDRKEKTPAKAEAAVLLEKLKDLGVLVGKGGLHGNVFRIKPPMCFTKDDADFLVDALDYAISGM >DRNTG_32045.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11188177:11191518:1 gene:DRNTG_32045 transcript:DRNTG_32045.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGLRGCSEEELRAVREEEGIPELEDEDEVKKGGIEGENNAEVVKVETEEQEEEEEQEKGLTVMGAPEKERELLEALRNVEDQFIRAYDAGKEVSRMLEASQVQFQSGVDGIKENSSKIIQAITWHRSPSSQSSSSYRSYTASSSNSTSWTESKSDIFYDYGGMESGSHSQTLGRLYAWEKKLYEEVKDGESTRQVYERKCAQLRNQDARGADPRVVDKTRAAVKDLYTRIWVSLRSADTISKRIEKLRDEELHPQLIELMQGLLRTWKVMLESHDTQKQIMAEVKLFTCPAYGKYCTDSQRLATVKLEAELRHWRTCFRNYVVAQIAYVEAIDGWLSKFIVHDIEYYTRGRSSYGAGAPPLVTLCHDWLSSLRILPEKQVSCEMKSFIRDIRDLWAKQGEEQHQKRKVDSLAKEFDRRMQALQKAETKVLELNPSELKAEPDVRQRVEYLTERKDSLEMFKNKLEAEKVKHRECMHETERVTLNGFTIDLSSIFRALKEFSNASVKLYDELLVQNEKAKRSVEDEKPAYIE >DRNTG_11988.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4360763:4363563:-1 gene:DRNTG_11988 transcript:DRNTG_11988.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIRAQGAPVKVRRPSDYNPSLAAALGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYNFTEAQVRVLLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRATQGAAQPRPEQESVLLQAQQQIAFQRLVYQAGSLPTKVICLSQAINEDELKEDEEYEDILDDMRGEGEKYGKLVNVVIPRPGPNNEPYPGVGKVFLEYADTDASSKARQALNGRKFGGNTVVAVFYPENKFAQGEYA >DRNTG_11988.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4360763:4363563:-1 gene:DRNTG_11988 transcript:DRNTG_11988.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYIRAQGAPVKVRRPSDYNPSLAAALGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYNFTEAQVRVLLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRATQGAAQPRPEQESVLLQAQQQIAFQVNHRKFNILVHYNCVT >DRNTG_07823.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:9931477:9934975:1 gene:DRNTG_07823 transcript:DRNTG_07823.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMIVDEKELYEPPTLEELLHCLLSLNSRRHHCTIISAFSEICHHRR >DRNTG_29490.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12201970:12213026:1 gene:DRNTG_29490 transcript:DRNTG_29490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGLNGPDSVNGLILGRSTRTLESMDDKEALPSTDHVSLSIMHCVPVVNVDAGDVVEVPVSGDMKEEPAAPKRTGRPRKKALLSANKSLAASAVAVDEYAINNDEILSANAYITPLDVDSGEVVFSSHVLANGSVNSETNTPKQRGRPRKEPLSSTNKFHRESVAELQVDAFGNGALTSTDNCMAPSQIGLGNIASLSVTSGNLVVEKASCVCSVDDIAACSVEVDMEAFGNNLISSRGDGLPYLNADLRHVALPLTTSAGSIIKEKLFVSGYHGQPRESTISSANKGVLTPGVDVEANASGSSLTLNSNKGMTPLNSHSGNATLFPTSGNTHAEEQSFVPRPGGKPIDQFNQSTTKTVSTSGADFKLFSNLELVSSRENFISGDCQSEIIVSDACEGVTNGRANTMPCSHIPENIALPRMVFSLAHNGKVAWDVKWRPKTSIKSEGKQHIGYLAVLLGSGSVEVWDVPHPSLVRRLYVSSRIEGTDPRFLKLEPVFKCSKIKCGDRQCIPLTLEWSRSGSSDLMLAGCHDGTVALWKFSSHCPSQDTRPLLCFTADAGPIRSLAWAPEESDPESLNLVVTTGPEGLKFWDLRDPHRPLWEPYPVQRAILSLEWTKDPRCIIISLDDGTLRTFSLWDLANDGPVIGKPSDGMKQPAWHSYFCSPFALWSIQVSCSTGLAAYCSAEGSTYYFKLTVNSLKDPRNRLPHILCGSLLKDGETLKISTPSLVTKCMSNCTVTSQALIPYTAGANQAGPKDSKSSPPGNRIFFFPEMKKPKSKPKNVQDISKQLVQRSEHGCREAESEFLDFPSKILAMHRVRWNMNKGSERWLCYGGAAGIVRCQEIS >DRNTG_29490.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12201970:12213026:1 gene:DRNTG_29490 transcript:DRNTG_29490.11 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGLNGPDSVNGLILGRSTRTLESMDDKEALPSTDHVSLSIMHCVPVVNVDAGDVVEVPVSGDMKEEPAAPKRTGRPRKKALLSANKSLAASAVAVDEYAINNDEILSANAYITPLDVDSGEVVFSSHVLANGSVNSETNTPKQRGRPRKEPLSSTNKFHRESVAELQVDAFGNGALTSTDNCMAPSQIGLGNIASLSVTSGNLVVEKASCVCSVDDIAACSVEVDMEAFGNNLISSRGDGLPYLNADLRHVALPLTTSAGSIIKEKLFVSGYHGQPRESTISSANKGVLTPGVDVEANASGSSLTLNSNKGMTPLNSHSGNATLFPTSGNTHAEEQSFVPRPGGKPIDQFNQSTTKTVSTSGADFKLFSNLELVSSRENFISGDCQSEIIVSDACEGVTNGRANTMPCSHIPENIALPRMVFSLAHNGKVAWDVKWRPKTSIKSEGKQHIGYLAVLLGSGSVEVWDVPHPSLVRRLYVSSRIEGTDPRFLKLEPVFKCSKIKCGDRQCIPLTLEWSRSGSSDLMLAGCHDGTVALWKFSSHCPSQDTRPLLCFTADAGPIRSLAWAPEESDPESLNLVVTTGPEGLKFWDLRDPHRPLWEPYPVQRAILSLEWTKDPRCIIISLDDGTLRTFSLWDLANDGPVIGKPSDGMKQPAWHSYFCSPFALWSIQVSCSTGLAAYCSAEGSTYYFKLTVNSLKDPRNRLPHILCGSLLKDGETLKISTPSLVTKCMSNCTVTSQALIPYTAGANQAGPKDSKSSPPGNRIFFFPEMKKPKSKPKNVQDISKQLVQRSEHGCREAESEFLDFPSKILAMHRVRWNMNKGSERWLCYGGAAGIVRCQEIS >DRNTG_29490.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12201970:12213026:1 gene:DRNTG_29490 transcript:DRNTG_29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFRDQTGSDCHGDAKLGKQSSPSIAPFDHSVDDFFATMDSISALFGDTPSEVFLLSQIERFSSMIMFLKEWQQFYNEPKVLNFSYESESGQVNDFSEGVTLPQFSSASVSVPEMESLPENVRSSSRSDFVLHVGGSIWALDWCPRTDEKTESQVNCEYLAVAAHPPGASYHKIGVPLVGRGLIQIWCLPSLDKKKELPQVKPKGRGRPKIILKQSDTDLSHELNQNEFSATRRKRGRPRKRHAEDDALYDLDNKKILQSPKPRGRPRKIEKLSTDDLARREILPARPRGRPRKHMNRGLNGPDSVNGLILGRSTRTLESMDDKEALPSTDHVSLSIMHCVPVVNVDAGDVVEVPVSGDMKEEPAAPKRTGRPRKKALLSANKSLAASAVAVDEYAINNDEILSANAYITPLDVDSGEVVFSSHVLANGSVNSETNTPKQRGRPRKEPLSSTNKFHRESVAELQVDAFGNGALTSTDNCMAPSQIGLGNIASLSVTSGNLVVEKASCVCSVDDIAACSVEVDMEAFGNNLISSRGDGLPYLNADLRHVALPLTTSAGSIIKEKLFVSGYHGQPRESTISSANKGVLTPGVDVEANASGSSLTLNSNKGMTPLNSHSGNATLFPTSGNTHAEEQSFVPRPGGKPIDQFNQSTTKTVSTSGADFKLFSNLELVSSRENFISGDCQSEIIVSDACEGVTNGRANTMPCSHIPENIALPRMVFSLAHNGKVAWDVKWRPKTSIKSEGKQHIGYLAVLLGSGSVEVWDVPHPSLVRRLYVSSRIEGTDPRFLKLEPVFKCSKIKCGDRQCIPLTLEWSRSGSSDLMLAGCHDGTVALWKFSSHCPSQDTRPLLCFTADAGPIRSLAWAPEESDPESLNLVVTTGPEGLKFWDLRDPHRPLWEPYPVQRAILSLEWTKDPRCIIISLDDGTLRTFSLWDLANDGPVIGKPSDGMKQPAWHSYFCSPFALWSIQVSCSTGLAAYCSAEGSTYYFKLTVNSLKDPRNRLPHILCGSLLKDGETLKISTPSLVTKCMSNCTVTSQALIPYTAGANQAGPKDSKSSPPGNRIFFFPEMKKPKSKPKNVQDISKQLVQRSEHGCREAESEFLDFPSKILAMHRVRWNMNKGSERWLCYGGAAGIVRCQEIS >DRNTG_29490.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12201970:12213026:1 gene:DRNTG_29490 transcript:DRNTG_29490.10 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGLNGPDSVNGLILGRSTRTLESMDDKEALPSTDHVSLSIMHCVPVVNVDAGDVVEVPVSGDMKEEPAAPKRTGRPRKKALLSANKSLAASAVAVDEYAINNDEILSANAYITPLDVDSGEVVFSSHVLANGSVNSETNTPKQRGRPRKEPLSSTNKFHRESVAELQVDAFGNGALTSTDNCMAPSQIGLGNIASLSVTSGNLVVEKASCVCSVDDIAACSVEVDMEAFGNNLISSRGDGLPYLNADLRHVALPLTTSAGSIIKEKLFVSGYHGQPRESTISSANKGVLTPGVDVEANASGSSLTLNSNKGMTPLNSHSGNATLFPTSGNTHAEEQSFVPRPGGKPIDQFNQSTTKTVSTSGADFKLFSNLELVSSRENFISGDCQSEIIVSDACEGVTNGRANTMPCSHIPENIALPRMVFSLAHNGKVAWDVKWRPKTSIKSEGKQHIGYLAVLLGSGSVEVWDVPHPSLVRRLYVSSRIEGTDPRFLKLEPVFKCSKIKCGDRQCIPLTLEWSRSGSSDLMLAGCHDGTVALWKFSSHCPSQDTRPLLCFTADAGPIRSLAWAPEESDPESLNLVVTTGPEGLKFWDLRDPHRPLWEPYPVQRAILSLEWTKDPRCIIISLDDGTLRTFSLWDLANDGPVIGKPSDGMKQPAWHSYFCSPFALWSIQVSCSTGLAAYCSAEGSTYYFKLTVNSLKDPRNRLPHILCGSLLKDGETLKISTPSLVTKCMSNCTVTSQALIPYTAGANQAGPKDSKSSPPGNRIFFFPEMKKPKSKPKNVQDISKQLVQRSEHGCREAESEFLDFPSKILAMHRVRWNMNKGSERWLCYGGAAGIVRCQEIS >DRNTG_29490.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12201970:12213026:1 gene:DRNTG_29490 transcript:DRNTG_29490.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFRDQTGSDCHGDAKLGKQSSPSIAPFDHSVDDFFATMDSISALFGDTPSEVFLLSQIERFSSMIMFLKEWQQFYNEPKVLNFSYESESGQVNDFSEGVTLPQFSSASVSVPEMESLPENVRSSSRSDFVLHVGGSIWALDWCPRTDEKTESQVNCEYLAVAAHPPGASYHKIGVPLVGRGLIQIWCLPSLDKKKELPQVKPKGRGRPKIILKQSDTDLSHELNQNEFSATRRKRGRPRKRHAEDDALYDLDNKKILQSPKPRGRPRKIEKLSTDDLARREILPARPRGRPRKHMNRGLNGPDSVNGLILGRSTRTLESMDDKEALPSTDHVSLSIMHCVPVVNVDAGDVVEVPVSGDMKEEPAAPKRTGRPRKKALLSANKSLAASAVAVDEYAINNDEILSANAYITPLDVDSGEVVFSSHVLANGSVNSETNTPKQRGRPRKEPLSSTNKFHRESVAELQVDAFGNGALTSTDNCMAPSQIGLGNIASLSVTSGNLVVEKASCVCSVDDIAACSVEVDMEAFGNNLISSRGDGLPYLNADLRHVALPLTTSAGSIIKEKLFVSGYHGQPRESTISSANKGVLTPGVDVEANASGSSLTLNSNKGMTPLNSHSGNATLFPTSGNTHAEEQSFVPRPGGKPIDQFNQSTTKTVSTSGADFKLFSNLELVSSRENFISGDCQSEIIVSDACEGVTNGRANTMPCSHIPENIALPRMVFSLAHNGKVAWDVKWRPKTSIKSEGKQHIGYLAVLLGSGSVEVWDVPHPSLVRRLYVSSRIEGTDPRFLKLEPVFKCSKIKCGDRQCIPLTLEWSRSGSSDLMLAGCHDGTVALWKFSSHCPSQDTRPLLCFTADAGPIRSLAWAPEESDPESLNLVVTTGPEGLKFWDLRDPHRPLWEPYPVQRAILSLEWTKDPRCIIISLDDGTLRTFSLWDLANDGPVIGKPSDGMKQPAWHSYFCSPFALWSIQVSCSTGLAAYCSAEGSTYYFKLTVNSLKDPRNRLPHILCGSLLKDGETLKISTPSLVTKCMSNCTVTSQALIPYTAGANQAGPKDSKSSPPGNRIFFFPEMKKPKSKPKNVQDISKQLVQRSEHGCREAESEFLDFPSKILAMHRVRWNMNKGSERWLCYGGAAGIVRCQEIS >DRNTG_29490.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12201970:12213026:1 gene:DRNTG_29490 transcript:DRNTG_29490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGLNGPDSVNGLILGRSTRTLESMDDKEALPSTDHVSLSIMHCVPVVNVDAGDVVEVPVSGDMKEEPAAPKRTGRPRKKALLSANKSLAASAVAVDEYAINNDEILSANAYITPLDVDSGEVVFSSHVLANGSVNSETNTPKQRGRPRKEPLSSTNKFHRESVAELQVDAFGNGALTSTDNCMAPSQIGLGNIASLSVTSGNLVVEKASCVCSVDDIAACSVEVDMEAFGNNLISSRGDGLPYLNADLRHVALPLTTSAGSIIKEKLFVSGYHGQPRESTISSANKGVLTPGVDVEANASGSSLTLNSNKGMTPLNSHSGNATLFPTSGNTHAEEQSFVPRPGGKPIDQFNQSTTKTVSTSGADFKLFSNLELVSSRENFISGDCQSEIIVSDACEGVTNGRANTMPCSHIPENIALPRMVFSLAHNGKVAWDVKWRPKTSIKSEGKQHIGYLAVLLGSGSVEVWDVPHPSLVRRLYVSSRIEGTDPRFLKLEPVFKCSKIKCGDRQCIPLTLEWSRSGSSDLMLAGCHDGTVALWKFSSHCPSQDTRPLLCFTADAGPIRSLAWAPEESDPESLNLVVTTGPEGLKFWDLRDPHRPLWEPYPVQRAILSLEWTKDPRCIIISLDDGTLRTFSLWDLANDGPVIGKPSDGMKQPAWHSYFCSPFALWSIQVSCSTGLAAYCSAEGSTYYFKLTVNSLKDPRNRLPHILCGSLLKDGETLKISTPSLVTKCMSNCTVTSQALIPYTAGANQAGPKDSKSSPPGNRIFFFPEMKKPKSKPKNVQDISKQLVQRSEHGCREAESEFLDFPSKILAMHRVRWNMNKGSERWLCYGGAAGIVRCQEIS >DRNTG_29490.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12201970:12213026:1 gene:DRNTG_29490 transcript:DRNTG_29490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHMLRRFFFFRSDFVLHVGGSIWALDWCPRTDEKTESQVNCEYLAVAAHPPGASYHKIGVPLVGRGLIQIWCLPSLDKKKELPQVKPKGRGRPKIILKQSDTDLSHELNQNEFSATRRKRGRPRKRHAEDDALYDLDNKKILQSPKPRGRPRKIEKLSTDDLARREILPARPRGRPRKHMNRGLNGPDSVNGLILGRSTRTLESMDDKEALPSTDHVSLSIMHCVPVVNVDAGDVVEVPVSGDMKEEPAAPKRTGRPRKKALLSANKSLAASAVAVDEYAINNDEILSANAYITPLDVDSGEVVFSSHVLANGSVNSETNTPKQRGRPRKEPLSSTNKFHRESVAELQVDAFGNGALTSTDNCMAPSQIGLGNIASLSVTSGNLVVEKASCVCSVDDIAACSVEVDMEAFGNNLISSRGDGLPYLNADLRHVALPLTTSAGSIIKEKLFVSGYHGQPRESTISSANKGVLTPGVDVEANASGSSLTLNSNKGMTPLNSHSGNATLFPTSGNTHAEEQSFVPRPGGKPIDQFNQSTTKTVSTSGADFKLFSNLELVSSRENFISGDCQSEIIVSDACEGVTNGRANTMPCSHIPENIALPRMVFSLAHNGKVAWDVKWRPKTSIKSEGKQHIGYLAVLLGSGSVEVWDVPHPSLVRRLYVSSRIEGTDPRFLKLEPVFKCSKIKCGDRQCIPLTLEWSRSGSSDLMLAGCHDGTVALWKFSSHCPSQDTRPLLCFTADAGPIRSLAWAPEESDPESLNLVVTTGPEGLKFWDLRDPHRPLWEPYPVQRAILSLEWTKDPRCIIISLDDGTLRTFSLWDLANDGPVIGKPSDGMKQPAWHSYFCSPFALWSIQVSCSTGLAAYCSAEGSTYYFKLTVNSLKDPRNRLPHILCGSLLKDGETLKISTPSLVTKCMSNCTVTSQALIPYTAGANQAGPKDSKSSPPGNRIFFFPEMKKPKSKPKNVQDISKQLVQRSEHGCREAESEFLDFPSKILAMHRVRWNMNKGSERWLCYGGAAGIVRCQEIS >DRNTG_29490.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12201970:12213026:1 gene:DRNTG_29490 transcript:DRNTG_29490.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFRDQTGSDCHGDAKLGKQSSPSIAPFDHSVDDFFATMDSISALFGDTPSEVFLLSQIERFSSMIMFLKEWQQFYNEPKVLNFSYESESGQVNDFSEGVTLPQFSSASVSVPEMESLPENVRSSSRSDFVLHVGGSIWALDWCPRTDEKTESQVNCEYLAVAAHPPGASYHKIGVPLVGRGLIQIWCLPSLDKKKELPQVKPKGRGRPKIILKQSDTDLSHELNQNEFSATRRKRGRPRKRHAEDDALYDLDNKKILQSPKPRGRPRKIEKLSTDDLARREILPARPRGRPRKHMNRGLNGPDSVNGLILGRSTRTLESMDDKEALPSTDHVSLSIMHCVPVVNVDAGDVVEVPVSGDMKEEPAAPKRTGRPRKKALLSANKSLAASAVAVDEYAINNDEILSANAYITPLDVDSGEVVFSSHVLANGSVNSETNTPKQRGRPRKEPLSSTNKFHRESVAELQVDAFGNGALTSTDNCMAPSQIGLGNIASLSVTSGNLVVEKASCVCSVDDIAACSVEVDMEAFGNNLISSRGDGLPYLNADLRHVALPLTTSAGSIIKEKLFVSGYHGQPRESTISSANKGVLTPGVDVEANASGSSLTLNSNKGMTPLNSHSGNATLFPTSGNTHAEEQSFVPRPGGKPIDQFNQSTTKTVSTSGADFKLFSNLELVSSRENFISGDCQSEIIVSDACEGVTNGRANTMPCSHIPENIALPRMVFSLAHNGKVAWDVKWRPKTSIKSEGKQHIGYLAVLLGSGSVEVWDVPHPSLVRRLYVSSRIEGTDPRFLKLEPVFKCSKIKCGDRQCIPLTLEWSRSGSSDLMLAGCHDGTVALWKFSSHCPSQDTRPLLCFTADAGPIRSLAWAPEESDPESLNLVVTTGPEGLKFWDLRDPHRPLWEPYPVQRAILSLEWTKDPRCIIISLDDGTLRTFSLWDLANDGPVIGKPSDGMKQPAWHSYFCSPFALWSIQVSCSTGLAAYCSAEGSTYYFKLTVNSLKDPRNRLPHILCGSLLKDGETLKISTPSLVTKCMSNCTVTSQALIPYTAGANQAGPKDSKSSPPGNRIFFFPEMKKPKSKPKNVQDISKQLVQRSEHGCREAESEFLDFPSKILAMHRVRWNMNKGSERWLCYGGAAGIVRCQEIS >DRNTG_29490.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12201970:12213026:1 gene:DRNTG_29490 transcript:DRNTG_29490.9 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGLNGPDSVNGLILGRSTRTLESMDDKEALPSTDHVSLSIMHCVPVVNVDAGDVVEVPVSGDMKEEPAAPKRTGRPRKKALLSANKSLAASAVAVDEYAINNDEILSANAYITPLDVDSGEVVFSSHVLANGSVNSETNTPKQRGRPRKEPLSSTNKFHRESVAELQVDAFGNGALTSTDNCMAPSQIGLGNIASLSVTSGNLVVEKASCVCSVDDIAACSVEVDMEAFGNNLISSRGDGLPYLNADLRHVALPLTTSAGSIIKEKLFVSGYHGQPRESTISSANKGVLTPGVDVEANASGSSLTLNSNKGMTPLNSHSGNATLFPTSGNTHAEEQSFVPRPGGKPIDQFNQSTTKTVSTSGADFKLFSNLELVSSRENFISGDCQSEIIVSDACEGVTNGRANTMPCSHIPENIALPRMVFSLAHNGKVAWDVKWRPKTSIKSEGKQHIGYLAVLLGSGSVEVWDVPHPSLVRRLYVSSRIEGTDPRFLKLEPVFKCSKIKCGDRQCIPLTLEWSRSGSSDLMLAGCHDGTVALWKFSSHCPSQDTRPLLCFTADAGPIRSLAWAPEESDPESLNLVVTTGPEGLKFWDLRDPHRPLWEPYPVQRAILSLEWTKDPRCIIISLDDGTLRTFSLWDLANDGPVIGKPSDGMKQPAWHSYFCSPFALWSIQVSCSTGLAAYCSAEGSTYYFKLTVNSLKDPRNRLPHILCGSLLKDGETLKISTPSLVTKCMSNCTVTSQALIPYTAGANQAGPKDSKSSPPGNRIFFFPEMKKPKSKPKNVQDISKQLVQRSEHGCREAESEFLDFPSKILAMHRVRWNMNKGSERWLCYGGAAGIVRCQEIS >DRNTG_29490.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12201970:12213026:1 gene:DRNTG_29490 transcript:DRNTG_29490.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSISALFGDTPSEVFLLSQIERFSSMIMFLKEWQQFYNEPKVLNFSYESESGQVNDFSEGVTLPQFSSASVSVPEMESLPENVRSSSRSDFVLHVGGSIWALDWCPRTDEKTESQVNCEYLAVAAHPPGASYHKIGVPLVGRGLIQIWCLPSLDKKKELPQVKPKGRGRPKIILKQSDTDLSHELNQNEFSATRRKRGRPRKRHAEDDALYDLDNKKILQSPKPRGRPRKIEKLSTDDLARREILPARPRGRPRKHMNRGLNGPDSVNGLILGRSTRTLESMDDKEALPSTDHVSLSIMHCVPVVNVDAGDVVEVPVSGDMKEEPAAPKRTGRPRKKALLSANKSLAASAVAVDEYAINNDEILSANAYITPLDVDSGEVVFSSHVLANGSVNSETNTPKQRGRPRKEPLSSTNKFHRESVAELQVDAFGNGALTSTDNCMAPSQIGLGNIASLSVTSGNLVVEKASCVCSVDDIAACSVEVDMEAFGNNLISSRGDGLPYLNADLRHVALPLTTSAGSIIKEKLFVSGYHGQPRESTISSANKGVLTPGVDVEANASGSSLTLNSNKGMTPLNSHSGNATLFPTSGNTHAEEQSFVPRPGGKPIDQFNQSTTKTVSTSGADFKLFSNLELVSSRENFISGDCQSEIIVSDACEGVTNGRANTMPCSHIPENIALPRMVFSLAHNGKVAWDVKWRPKTSIKSEGKQHIGYLAVLLGSGSVEVWDVPHPSLVRRLYVSSRIEGTDPRFLKLEPVFKCSKIKCGDRQCIPLTLEWSRSGSSDLMLAGCHDGTVALWKFSSHCPSQDTRPLLCFTADAGPIRSLAWAPEESDPESLNLVVTTGPEGLKFWDLRDPHRPLWEPYPVQRAILSLEWTKDPRCIIISLDDGTLRTFSLWDLANDGPVIGKPSDGMKQPAWHSYFCSPFALWSIQVSCSTGLAAYCSAEGSTYYFKLTVNSLKDPRNRLPHILCGSLLKDGETLKISTPSLVTKCMSNCTVTSQALIPYTAGANQAGPKDSKSSPPGNRIFFFPEMKKPKSKPKNVQDISKQLVQRSEHGCREAESEFLDFPSKILAMHRVRWNMNKGSERWLCYGGAAGIVRCQEIS >DRNTG_29490.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12201970:12213026:1 gene:DRNTG_29490 transcript:DRNTG_29490.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGLNGPDSVNGLILGRSTRTLESMDDKEALPSTDHVSLSIMHCVPVVNVDAGDVVEVPVSGDMKEEPAAPKRTGRPRKKALLSANKSLAASAVAVDEYAINNDEILSANAYITPLDVDSGEVVFSSHVLANGSVNSETNTPKQRGRPRKEPLSSTNKFHRESVAELQVDAFGNGALTSTDNCMAPSQIGLGNIASLSVTSGNLVVEKASCVCSVDDIAACSVEVDMEAFGNNLISSRGDGLPYLNADLRHVALPLTTSAGSIIKEKLFVSGYHGQPRESTISSANKGVLTPGVDVEANASGSSLTLNSNKGMTPLNSHSGNATLFPTSGNTHAEEQSFVPRPGGKPIDQFNQSTTKTVSTSGADFKLFSNLELVSSRENFISGDCQSEIIVSDACEGVTNGRANTMPCSHIPENIALPRMVFSLAHNGKVAWDVKWRPKTSIKSEGKQHIGYLAVLLGSGSVEVWDVPHPSLVRRLYVSSRIEGTDPRFLKLEPVFKCSKIKCGDRQCIPLTLEWSRSGSSDLMLAGCHDGTVALWKFSSHCPSQDTRPLLCFTADAGPIRSLAWAPEESDPESLNLVVTTGPEGLKFWDLRDPHRPLWEPYPVQRAILSLEWTKDPRCIIISLDDGTLRTFSLWDLANDGPVIGKPSDGMKQPAWHSYFCSPFALWSIQVSCSTGLAAYCSAEGSTYYFKLTVNSLKDPRNRLPHILCGSLLKDGETLKISTPSLVTKCMSNCTVTSQALIPYTAGANQAGPKDSKSSPPGNRIFFFPEMKKPKSKPKNVQDISKQLVQRSEHGCREAESEFLDFPSKILAMHRVRWNMNKGSERWLCYGGAAGIVRCQEIS >DRNTG_22501.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001243.1:31658:36374:1 gene:DRNTG_22501 transcript:DRNTG_22501.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCCSCFDSVVEKRIVDGNGGDDKQEELPMAAPQVERLSLGAERPEPERKMDTNKEEKVQQLDGSGLNISARTFTFRELAAATRNFRQECLLGEGGFGWVYRGHLESTGQEVAVKKLNHNGFQGNQEFLVEVLVLSLLQHPNLVNLIGYCGDGDQRLLVYEYMPLGSLDDHLLELPPDREPLDWITRMKIAAGTAKGLEYLHNKAVPRVIYRDLKSSNILLDREFNPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYALTGQLTVKSDVYSFGVVLLELITGLRAVDQNRPHAERNLVSWARTMMSDRRQIPKLVDPKLNDRYPMRGLYQALSVASMCTQEEAAGRPPIADVVTAFSFLANQSYDPKHNSRSQGTSHVSKNETEGASEYRRNLKESGKEDAPRANNGILKNKDFDRERAIAEAKKWGENLREKRRADEEASSAGSNQM >DRNTG_22501.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001243.1:31658:36374:1 gene:DRNTG_22501 transcript:DRNTG_22501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCCSCFDSVVEKRIVDGNGGDDKQEELPMAAPQVERLSLGAERPEPERKMDTNKEEKVQQLDGSGLNISARTFTFRELAAATRNFRQECLLGEGGFGWVYRGHLESTGQEVAVKKLNHNGFQGNQEFLVEVLVLSLLQHPNLVNLIGYCGDGDQRLLVYEYMPLGSLDDHLLELPPDREPLDWITRMKIAAGTAKGLEYLHNKAVPRVIYRDLKSSNILLDREFNPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYALTGQLTVKSDVYSFGVVLLELITGLRAVDQNRPHAERNLVSWARTMMSDRRQIPKLVDPKLNDRYPMRGLYQALSVASMCTQEEAAGRPPIADVVTAFSFLANQSYDPKHNSRSQGTSHVSKNETEGASEYRRNLKESGKEDAPRANNGILKNKDFDRERAIAEAKKWGENLREKRRADEEASSAGSNQM >DRNTG_22501.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001243.1:31658:36374:1 gene:DRNTG_22501 transcript:DRNTG_22501.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVFAWLQEVAVKKLNHNGFQGNQEFLVEVLVLSLLQHPNLVNLIGYCGDGDQRLLVYEYMPLGSLDDHLLELPPDREPLDWITRMKIAAGTAKGLEYLHNKAVPRVIYRDLKSSNILLDREFNPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYALTGQLTVKSDVYSFGVVLLELITGLRAVDQNRPHAERNLVSWARTMMSDRRQIPKLVDPKLNDRYPMRGLYQALSVASMCTQEEAAGRPPIADVVTAFSFLANQSYDPKHNSRSQGTSHVSKNETEGASEYRRNLKESGKEDAPRANNGILKNKDFDRERAIAEAKKWGENLREKRRADEEASSAGSNQM >DRNTG_16776.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22235574:22239735:-1 gene:DRNTG_16776 transcript:DRNTG_16776.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVPLSPSDHQNATISTPKTSKDSTFTSPSPSSSSSSSSSPSPTSLLHRSHSRSRSLSSSKYLTTTTRSLSVSFQGESFFFQSTKAKPSQSENSRWPPARPFSSVRLLVLDDADASSEADSHSSGSNSDKGGAATTTAPSRHTPRGISVPARFWQETNSRLRRSTEPPAPLPPKKPFLACKNAAGPLMVRSNGNAPSIISFAAQLKKGKKGEGRIEEAHLLRLLHNRLLQWRCVNARANASLSARRLAVEKSLYSAWITTSGLQDSVTNKRTKLHLLTQNLRLASVLNKQMAYLEEWSLMDDEHSSSLTGAIESLKVDVQVVKNAVGNAINMMEAMSSSMSSLLPKVEGMHNLLTELSKLAALEEDLLAQSRDLLSTVAMLHVKQCSLRGHILQLNCR >DRNTG_03426.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3230200:3231163:-1 gene:DRNTG_03426 transcript:DRNTG_03426.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLQKVASLKRMISSPRADKHSDFNECSTSSVAEKGHFVVYTSEGKRFMVPLAYLNNNIFKELLKISEEEFGLPSDGPITLPCDAVSMEYVLSMLRRGVSEEVERALLSSIFISCQSTCSTLDLEHTQQLTVCSC >DRNTG_03426.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3230557:3231163:-1 gene:DRNTG_03426 transcript:DRNTG_03426.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLQKVASLKRMISSPRADKHSDFNECSTSSVAEKGHFVVYTSEGKRFMVPLAYLNNNIFKELLKISEEEFGLPSDGPITLPCDAVSMEYVLSMLRRGVSEEVERALLSSIFISCQSTCSTLDLEHTQQLTVCSC >DRNTG_13561.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29454729:29458390:-1 gene:DRNTG_13561 transcript:DRNTG_13561.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKEQDDQTQPLVARGHESQTPPNYQGGNVFGMTLSFSGAMGNFIVYLIKYYNFKSIDAAQLINIINGSSSFSPLLGAIISDSFISCLPVSIFSSIASLSSIIILTLTAGIKAFRPSNLHTSPTSFQLGLLYTALALYVVGTGGTRYNVMAMGADQLSNVDDQDVFFNWYFIVFYMAGVIGITVIVYIEDSISWVLGYGICSAVNALAVLSLLLGVKYYRRPGLKENPFMAIARVIVAGFRKRKLELPMETVAYYHRPLERADQPPSQTFSDRC >DRNTG_16722.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000843.1:1580:2443:1 gene:DRNTG_16722 transcript:DRNTG_16722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRLTPHPLPPQFVGCSVMLGMRIHLELYHITIPSNIALKAISKSTRACGNSTRPCGNSTPVWMPDSNPL >DRNTG_35201.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7850229:7855408:1 gene:DRNTG_35201 transcript:DRNTG_35201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGISVIFFMPILLYLMSNLSCDTTSLSDPHAKYLSFSDSYICSVNWSNNVFVIIHGSSWVELEKRNVDALFYTLGILLSGCSFFIVILITRFLLLFALEISKEYVLKSFGNKWRDYKHDLKKRHFKQEDGLQVNKDKHPNATIRWQWKQLVNYWYSNKGENTCHYVGFRKLGVASRKQQNYTHTSGSKSFARKEKEMEVNSGRKVGRLEFFKATYTKRKDKANEKLAECEIVDEDMQMVETKILTQVIGKERWGEISTASSIQSSEFVERFNQMEQQMQQLKEERKQERAQREQERAQECARYNVLLGFLQTRFLGVTIPGVDTAGSTS >DRNTG_19150.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6369570:6369967:1 gene:DRNTG_19150 transcript:DRNTG_19150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPHLLLLILISLLSVFRPSASPPPPASSSSMPCTDELVAISPCLPTVAEDSDGGTTAPSTSCCANFFAAVDGTWSGPACLCHLIREPILLGFPVNGSLFTSLFVSCGRSSADAKNFSDLCRGS >DRNTG_19150.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6369570:6371742:1 gene:DRNTG_19150 transcript:DRNTG_19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPHLLLLILISLLSVFRPSASPPPPASSSSMPCTDELVAISPCLPTVAEDSDGGTTAPSTSCCANFFAAVDGTWSGPACLCHLIREPILLGFPVNGSLFTSLFVSCGRSSADAKNFSDLCRDTEAEAPSPKPPAQQPIPEEASSSLLIRRKKKGRRGSSAGRVVWDQSIQQLVSLWIPFVLLLF >DRNTG_18875.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2324597:2332980:1 gene:DRNTG_18875 transcript:DRNTG_18875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTTLGLLNCLPSLPKLPRPSPSPLIKLPSQPSLSPPLLSSLHHEISAKSRHLVTTSAALSLPLLLSAQDALAADGEFGILEGRSLALIHPIVMSGLFLYTLWAGYLGWQWRRVRTIQNEINELKQQVKPPAPAAVTAGAQSAAASPPPPASLSPIESQIQKLTEERKELIKGSFRDRHFNAGATLLGFGVFEAVAGCVNTYLRTGKLFPGPHLFAGAGITVLWAAAASLVPAMQRGDETARNLHIALNTLNLLLFIWQIPTGIEIIFAVFEYTNWP >DRNTG_13293.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2878428:2878796:-1 gene:DRNTG_13293 transcript:DRNTG_13293.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASFSLIPSLSSGKGPDRTPHEISYLVTITLQFVSTSAVIPHRVAQFQ >DRNTG_18142.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:577627:578286:-1 gene:DRNTG_18142 transcript:DRNTG_18142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNHTAHNQSYKAHKNGIKKPRRHRHTSTKGMDPKFLRNQRYARKHNNNKKEALGSEAEE >DRNTG_28004.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001422.1:56429:57941:1 gene:DRNTG_28004 transcript:DRNTG_28004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDAQRALNKMRALGHSPNAQTFHSLVTAYAAIGGKYVEVTDLWGEMKMLASSSSMKFDQELLDSLLYCFVRGGFFLRAMEVIEMMEKGNMFIDKYKYRSLWLKYHRTLYKGKAPKVQTEAQCKRREAALAFKRWIGFT >DRNTG_23418.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001264.1:86278:89729:-1 gene:DRNTG_23418 transcript:DRNTG_23418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAAREMWPFPMLGGARTVDEYTVTEHSGGGGGGRTRRKRKESVSEDESSKLVSTSSGNELTDCEGKRAKKIMKSRVENDNSKTETEASSGNCDKPVDQNAQSSEPPKQDYIHVRARRGQATDSHSLAERARREKISARMKILQDLVPGCNKVIGKASVLDEIINYIQALQRQVEFLSMKLEAVTSRMNSSIESFPPKDFGPQAYDTAPSLPFGTQAREYDQGSTPEWLHMQVGGAFERVT >DRNTG_10407.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1699337:1700184:1 gene:DRNTG_10407 transcript:DRNTG_10407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINTMGFLIFSLVGCDEDLGSFVKRLIFFEDGDPYG >DRNTG_23341.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6080418:6081776:1 gene:DRNTG_23341 transcript:DRNTG_23341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAMDELRAKRESTIQDIRNAELEGKACSPEVALWLQKVDTGELEVAAIKQAFDQRTNCIAMPSLNVVSNYKLGRRAFKKMEDVLQLLHTEKFDIVAKRLPPGPARELATPSMVSDVNPNLEMICQYLKENTTGIIAIWGMGGVGKTTLLKSINNEFFRAKDGMFDHVIWAVVSQDYSYEKIQSDIATSLGLPSTNANANAIHDFLKRKSFLLLLDDLWSELDLEKIGVPQPKMHHDEDKHKRMVVFTTRSEAICGVMEADKKIKMECLDLAAAWLLFKEKAGEELIASDKLIQYHAESIVRECAGLPLALITIGKAMSTKKTTTEWEYVASMMRKSKYQSIPGMKKESNFFPILKISYDNLESDTLRQCFLYCSLWGEDVEIPADE >DRNTG_11258.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21777072:21780222:1 gene:DRNTG_11258 transcript:DRNTG_11258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAKFLDYLVSEKHLLQHRDQEKKRMKEILMEYMNICVKTGVQVRAHVVEEDDVLKGIQELVAIHQVKRLVLGSEYISNEGVLLQRCQILLVRDGKHLSTRPVLDEENHAQLSSSFDSDDDDLQFVTPPNELEDEVSFDQEQAQLNSVHDQDAVNSIEFLRKELQKAEEKFRQAAEEKTHIEQQLKNLQRTKSIIEFTMQELRTSTKNFHNSQKIGEGGYGPVYNGILRKTPVAIKLLNSEGNQSRKEFDNEVMILSKVRHPNIVLLIGACSENSTLVYEYLSNGNLEDMLTCKNKTPALTWQTRVRIITEQRSALIFLHSIKPQCIVHGDTKLANIFLDGNNVSKLGDFGTARFMDGNGTNGEHCTHVTMPMGTMGYMDPGFLMTGEISPACDVYSFGVVILRMVTGLRVLKIGEQVEEGLRMGVVREMMDWSAGEWPVVQTEQLVRLGLRCCCVDRESRPSLVSQEWRTLDILNAMAGNQV >DRNTG_06859.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:16845256:16846268:-1 gene:DRNTG_06859 transcript:DRNTG_06859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRANPSNLVAPDREIERTLHRRLREVGESTINANDALATKVDVLSRKVDMLMGSSVKSESLMSCSTCGGGHGVAQYHIASFSVAPIENVDYIGG >DRNTG_28477.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:416797:419684:-1 gene:DRNTG_28477 transcript:DRNTG_28477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDCLQLLHFHIGSQIPSTALLADGVGEAAQIYCELALLGASMRVIDVGGGLGIDYDGSHSSGSDMSVGYDLVEYASAIVRSIQFACDRKSVRHPIICSESGRALVSHHSVLIFEAISAASPDQASIEPNLAYLFDALSDESRADYRNLMAAAVRGEYQTCVMYAEQLKRRCVDEFKEGLLGLEHLVAVDGLCDLVSREVDSADPVRTYHVNLSIFTSMPDFWAIGQLFPILPIHRLNERPVVNGILSDLTCDSDGKLDKFIGGSSSLPLHELGSNPSEGYFLGMFLGGCLPGSAGRLAQSLRRAECGSCLTVRRSTLLCCDPRGTWTIVRGCSADDAALSGANV >DRNTG_13042.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000588.1:27827:29607:-1 gene:DRNTG_13042 transcript:DRNTG_13042.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGWLPLVFASCFLCVMYTWNYGSVLKYKSEMREKISMDFILELGSSLGTVRVPGIGLVYNELVQGIPSLFGQFLINLPAIHSTIVFVCIKYVPVPKVPQEERFLFRRVCQKDFHMFRCIARYGYKDVRKEDPHIFEQRLVDSLEKYLRREAQELALEVSSVELSLDNMSASSSDRIVQHGILELQVPLLSDQRSEVASSSISGPDCHLSTLPSSAVPSDEDPSLEYELSALREAMESGFTYLLAHADVRARKESVFLKKLVINYFYAFLRRNCRASFSVPHMNIIEVGMTYMV >DRNTG_13042.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000588.1:27861:31451:-1 gene:DRNTG_13042 transcript:DRNTG_13042.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGWLPLVFASCFLCVMYTWNYGSVLKYKSEMREKISMDFILELGSSLGTVRVPGIGLVYNELVQGIPSLFGQFLINLPAIHSTIVFVCIKYVPVPKVPQEERFLFRRVCQKDFHMFRCIARYGYKDVRKEDPHIFEQRLVDSLEKYLRREAQELALEVSSVELSLDNMSASSSDRIVQHGILELQVPLLSDQRSEVASSSISGPDCHLSTLPSSAVPSDEDPSLEYELSALREAMESGFTYLLAHADVRARKESVFLKKLVINYFYAFLRRNCRASFSVPHMNIIEVGMTYMV >DRNTG_13042.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000588.1:27861:37983:-1 gene:DRNTG_13042 transcript:DRNTG_13042.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDVIEEASARLTRSESRWVDGSEVDSESPPLSLQEAWSTMHEGSPSGGSFRRRLSKKPRRVDSLDVEAMGIAASHKHGPKDLPLWGVFAMAFQTLGVVYGDMGTSPLYVFSDVFAKVPIKSGDDVLGALSLVMYTIALIPFAKYVFIVLKANDNGEGGTFALYSLICRYAKVSLLPNQQQADEDISSFRLKIPTPELERALYIKDKLEKNSLWKTLLLLLVLTGTSMIIGDGILTPSMSVMSAVSGLQGAVPGFNTDAVVLVSILILICLFSIQRFGTGKVGFLFAPALGLWFFSLGSIGIYNMLKYDLSVLRAFNPAYIFQFFSRGME >DRNTG_15235.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23395499:23403320:1 gene:DRNTG_15235 transcript:DRNTG_15235.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate acyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32200) UniProtKB/Swiss-Prot;Acc:Q43307] MSSFVVCYQAWNGMLGLSASSCFSSSFPGARVLGRSVSREGVWGRKLCFCGIYGVRNWMAAEPVEDRRMGRRAAVLASDTGEEAELTRSRSFLSVRAEEDLLACIRMEAEAGRLPSNIASGLEELYNNYRNAVLQSGDPKASEIILSNMSAAFDRILLDVEDPFVFSPYHKAIREPFDYYMFGQNYIRPLVDFSRSYVGNISIFYDMEEILRQGHNIVLMSNHQTEADPAIIALLLERNFPHLAEKMIFVAGDRVLIDPLCKPFSMGRNLLCVYSKKHMFDDPELAEMKRIANTKSLKELAMLLRTGSNIIWIAPSGGRDRPDPVTGEWYPASFDSSSVDNMRRLLEHSRVPGHIYSLALLCYEIMPPPRQVEKAIGERRTIAYGGVGLSVAPEMSFNEITAGCVNPEKAKDAFSQALYDSVLEQYDTLKSAIYGHKGLNASNLMIALSQPFQ >DRNTG_15235.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23395499:23403320:1 gene:DRNTG_15235 transcript:DRNTG_15235.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate acyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32200) UniProtKB/Swiss-Prot;Acc:Q43307] MSSFVVCYQAWNGMLGLSASSCFSSSFPGARVLGRSVSREGVWGRKLCFCGIYGVRNWMAAEPVEDRRMGRRAAVLASDTGEEAELTRSRSFLSVRAEEDLLACIRMEAEAGRLPSNIASGLEELYNNYRNAVLQSGDPKASEIILSNMSAAFDRILLDVEDPFVFSPYHKAIREPFDYYMFGQNYIRPLVDFSRSYVGNISIFYDMEEILRQGHNIVLMSNHQTEADPAIIALLLERNFPHLAEKMIFVAGDRVLIDPLCKPFSMGRNLLCVYSKKHMFDDPELAEMKRIANTKSLKELAMLLRTGSNIIWIAPSGGRDRPDPVTGEWYPASFDSSSVDNMRRLLEHSRVPGHIYSLALLCYEIMPPPRQVEKAIGERRTIAYGGVGLSVAPEMSFNEITAGCVNPEKAKDAFSQALYDSVLEQYDTLKSAIYGHKGLNASNLMIALSQPFQ >DRNTG_20789.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28341357:28343254:-1 gene:DRNTG_20789 transcript:DRNTG_20789.1 gene_biotype:protein_coding transcript_biotype:protein_coding DYYQILEVGSDASDETIRSNFIRLALKWHPDKRKDEAGATSRFQQINEAYQVLSDPVKRQEYDAQGIRNIQGSSLNNYLNRNKGMILSCHGLGTGFSMW >DRNTG_20789.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28341357:28343046:-1 gene:DRNTG_20789 transcript:DRNTG_20789.2 gene_biotype:protein_coding transcript_biotype:protein_coding KWHPDKRKDEAGATSRFQQINEAYQVLSDPVKRQEYDAQGIRNIQGSSLNNYLNRNKGMILSCHGLGTGFSMW >DRNTG_08767.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18464337:18471526:-1 gene:DRNTG_08767 transcript:DRNTG_08767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYAGAVPPGKRRWRVPALLIFALVIVSLCLPLALLLGYLNHFPYGYLVDERPSSVSAFGSYGHLEGDSDGVSGNYGEGLDAFTDTREQFEQQKKKNIPQVQQKDVLSNSDTKENSYNGQRDIISQPKGPLHQAVAGVKPDPVPNVTNSNEAVKDNAANGVSNTENGKSCQLEFGSYCLWSLEHKEVMLDAVVKRLKDQVFMARAYYPSIAKFPRQDALSRELKQSIQDHEHILSEAIVDADLPPLVEQKIQKMGQVIEKAKSCAVDCHNIDKKLRQLVDLTEDEAHFHMEQSAFLYHLGVQTMPKSHHCLSMRLTVEYFKSLPVDLDQSHAQKIGNPLLRHHVIFSRSILAASVVINSTVMNSEETGGMVFHLLTDVQNYYSMKIWFARNSYREATIHVLNFDELDHSYTFGTPLSLPEEYRVSIRNNDQPPVQIRTEYLSVFGRSHFLLPEIFKHLKKVVVLDDDVVVQRDLSSLWNLDLEGKVIGAVEFCGVRLDQLRSYLRTNQYVGSSCAWMSGLNIVDLDKWRQHNVSETYQSILQKSPSMNEASRRAAALPISLLAFQDLIHPLDYSWTLSGLGHTYRVSQDTIENAATLHYNGNMKPWLELGIPNYKTYWRKYLTKDERFMDECNVSA >DRNTG_08767.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18464337:18471526:-1 gene:DRNTG_08767 transcript:DRNTG_08767.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAVVKRLKDQVFMARAYYPSIAKFPRQDALSRELKQSIQDHEHILSEAIVDADLPPLVEQKIQKMGQVIEKAKSCAVDCHNIDKKLRQLVDLTEDEAHFHMEQSAFLYHLGVQTMPKSHHCLSMRLTVEYFKSLPVDLDQSHAQKIGNPLLRHHVIFSRSILAASVVINSTVMNSEETGGMVFHLLTDVQNYYSMKIWFARNSYREATIHVLNFDELDHSYTFGTPLSLPEEYRVSIRNNDQPPVQIRTEYLSVFGRSHFLLPEIFKHLKKVVVLDDDVVVQRDLSSLWNLDLEGKVIGAVEFCGVRLDQLRSYLRTNQYVGSSCAWMSGLNIVDLDKWRQHNVSETYQSILQKSPSMNEASRRAAALPISLLAFQDLIHPLDYSWTLSGLGHTYRVSQDTIENAATLHYNGNMKPWLELGIPNYKTYWRKYLTKDERFMDECNVSA >DRNTG_08767.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18464337:18469308:-1 gene:DRNTG_08767 transcript:DRNTG_08767.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAVVKRLKDQVFMARAYYPSIAKFPRQDALSRELKQSIQDHEHILSEAIVDADLPPLVEQKIQKMGQVIEKAKSCAVDCHNIDKKLRQLVDLTEDEAHFHMEQSAFLYHLGVQTMPKSHHCLSMRLTVEYFKSLPVDLDQSHAQKIGNPLLRHHVIFSRSILAASVVINSTVMNSEETGGMVFHLLTDVQNYYSMKIWFARNSYREATIHVLNFDELDHSYTFGTPLSLPEEYRVSIRNNDQPPVQIRTEYLSVFGRSHFLLPEIFKHLKKVVVLDDDVVVQRDLSSLWNLDLEGKVIGAVEFCGVRLDQLRSYLRTNQYVGSSCAWMSGLNIVDLDKWRQHNVSETYQSILQKSPSMNEASRRAAALPISLLAFQDLIHPLDYSWTLSGLGHTYRVSQDTIENAATLHYNGNMKPWLELGIPNYKTYWRKYLTKDERFMDECNVSA >DRNTG_03645.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3360641:3370831:-1 gene:DRNTG_03645 transcript:DRNTG_03645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHLGCVVSGVVGGGFGLISKHRRAHAASLAANLAIVHGCYCAYPTIMRYVKAHGEFTGPSTCSSKMRGKGCKGGSSYRGSSDSLHSIARDAPAQVTSAPNVLSRPLPRSVANACAGSSSSSASTPCASGGVTPNGEPTMHARDTLTSSMDCLPLTIDESRRPRIKLVNGM >DRNTG_05455.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23065850:23071893:1 gene:DRNTG_05455 transcript:DRNTG_05455.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLTGHLFKDPVTLESGQTFERTAIEEWFKQGGKTCPVTSRALQSLSVPDTNFVLKRLINGWKSEHCRNLLVLATQIAGNFVKDHKSKDEAALFIIEQLLTGFDTEERKENARHLISLGGMHFLTRRLELGNLEEKICVVSLLLLCIEADGSCRSFLSVNIRGPWILELLNSCCFFE >DRNTG_05455.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23065850:23071893:1 gene:DRNTG_05455 transcript:DRNTG_05455.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLTGHLFKDPVTLESGQTFERTAIEEWFKQGGKTCPVTSRALQSLSVPDTNFVLKRLINGWKSEHCRNLLVLATQIAGNFVKDHKSKDEAALFIIEQLLTGFDTEERKENARHLISLGGMHFLTRRLELGNLEEKICVVSLLLLCIEADGSCRSFLSVNIRGPWILELLNSCCFFE >DRNTG_05455.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23065740:23071893:1 gene:DRNTG_05455 transcript:DRNTG_05455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLTGHLFKDPVTLESGQTFERTAIEEWFKQGGKTCPVTSRALQSLSVPDTNFVLKRLINGWKSEHCRNLLVLATQIAGNFVKDHKSKDEAALFIIEQLLTGFDTEERKENARHLISLGGMHFLTRRLELGNLEEKICVVSLLLLCIEADGSCRSFLSVNIRGPWILELLHSKKTNSRANAVKLMIELICLDRFVIHT >DRNTG_13347.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1112446:1114422:-1 gene:DRNTG_13347 transcript:DRNTG_13347.6 gene_biotype:protein_coding transcript_biotype:protein_coding QIIRSVGQWSAGTSQTEESIHNAYFSLIEKAEHFIYIEVFQEMM >DRNTG_13347.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1109621:1116374:-1 gene:DRNTG_13347 transcript:DRNTG_13347.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEKEKKCFRVIVVMPLLPGFQGGVDDSGAASVRAILHWQYRTICRGSNSILQNLFDIMGPKAHDYISFYGLRAYGKLYDGGPVATSQVYVHSKLMIVDDRMVLIGSANINDRSLLGSRDSEIGVLIEDKEFVSSFMNGKPWPAGKFALSLRLSLWSEHLGLRMGEVSDISDPIVDTTYKEIWVATAKTNTMIYQDVFSCVPNDLIHSRAALRQSTAYWKEKLEHTTIDLGIAPPKLESYLDGDIKQTDPWERLQTVKGHLVSFPLDFMCKEDLRPVFNESEYYASPQVFH >DRNTG_13347.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1109621:1112374:-1 gene:DRNTG_13347 transcript:DRNTG_13347.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKAHDYISFYGLRAYGKLYDGGPVATSQVYVHSKLMIVDDRMVLIGSANINDRSLLGSRDSEIGVLIEDKEFVSSFMNGKPWPAGKFALSLRLSLWSEHLGLRMGEVSDISDPIVDTTYKEIWVATAKTNTMIYQDVFSCVPNDLIHSRAALRQSTAYWKEKLEHTTIDLGIAPPKLESYLDGDIKQTDPWERLQTVKGHLVSFPLDFMCKEDLRPVFNESEYYASPQVFH >DRNTG_13347.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1109621:1117209:-1 gene:DRNTG_13347 transcript:DRNTG_13347.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEKEKKCFRVIVVMPLLPGFQGGVDDSGAASVRAILHWQYRTICRGSNSILQNLFDIMGPKAHDYISFYGLRAYGKLYDGGPVATSQVYVHSKLMIVDDRMVLIGSANINDRSLLGSRDSEIGVLIEDKEFVSSFMNGKPWPAGKFALSLRLSLWSEHLGLRMGEVSDISDPIVDTTYKEIWVATAKTNTMIYQDVFSCVPNDLIHSRAALRQSTAYWKEKLEHTTIDLGIAPPKLESYLDGDIKQTDPWERLQTVKGHLVSFPLDFMCKEDLRPVFNESEYYASPQVFH >DRNTG_13347.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1109621:1111526:-1 gene:DRNTG_13347 transcript:DRNTG_13347.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDDRMVLIGSANINDRSLLGSRDSEIGVLIEDKEFVSSFMNGKPWPAGKFALSLRLSLWSEHLGLRMGEVSDISDPIVDTTYKEIWVATAKTNTMIYQDVFSCVPNDLIHSRAALRQSTAYWKEKLEHTTIDLGIAPPKLESYLDGDIKQTDPWERLQTVKGHLVSFPLDFMCKEDLRPVFNESEYYASPQVFH >DRNTG_13347.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1109621:1110353:-1 gene:DRNTG_13347 transcript:DRNTG_13347.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVFPMISSTPGRLYDKAPLTGKRNSSTQPLIWALLLRSWNLIWTEILNRPIHGRDCKP >DRNTG_13347.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1109621:1120762:-1 gene:DRNTG_13347 transcript:DRNTG_13347.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGYLNHFLGNMDIVNSREVCKFLEVSRLSFLPEYGPKLKEDYVMVRHLPKIQKDDDDDKRCCLCSWFSCCNGGWQKVWAVLKPGFVALLEDPFDSKLLDIIVFDVLPPSDGNGEGRVSLAKETKEKNPLRFGFTVSCGNRTIKLRVRRNAKVKDWVAAINDAGLRPPEGWCHPHRFGSFAPPRGLTEDGSQVQWFIDGQAAFDAIATSIEEAKSEIFITDWWLCPELYLRRPFHLHASTRLDTLLEAKARQGVQIYILLYKEVALALKINSVYSKRRLLDIHENVKVLRYPDHFSTGVYLWSHHEKIVIVDHQICYLGGLDLCFGRYDSSAHNISDLPPLVWPGKDYYNPRESEPNSWEDTMKDELDRGKYPRMPWHDVQCALWGPPCRDVARHFVQRWNYAKRNKAPNEETIPLLMPQHHMVIPHYMGIGREDVSQDSERDMDHEEIKREGSFSARSSCQDIPLLLPQEPEGIITSNGSAMSVNGLEKNHNLAGRPHKANHIPSFSFRKNKISQSVPDMQMKDFVDDLDFPSHERESHFDLIAQSAMQNTDNEWWERQERGDQVVSAEEARQVGPRTPCRCQIIRSVGQWSAGTSQTEESIHNAYFSLIEKAEHFIYIEVFQEMM >DRNTG_13347.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1109621:1121510:-1 gene:DRNTG_13347 transcript:DRNTG_13347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDHQQYVKMQSEPAMASSTSFRSQQAEKEWIFDELPKATIVSVSRPDASDISPMLLSYTIEFQYNQFKWRLVKKASQVFYLHFALKKRAIIEELHEKQEQVKEWLQNLGLGDHTPIVQDEDEADDETVPLPHEENSSARNRDVPSSAALPIIRPALGRQHSISDRAKVAMQGYLNHFLGNMDIVNSREVCKFLEVSRLSFLPEYGPKLKEDYVMVRHLPKIQKDDDDDKRCCLCSWFSCCNGGWQKVWAVLKPGFVALLEDPFDSKLLDIIVFDVLPPSDGNGEGRVSLAKETKEKNPLRFGFTVSCGNRTIKLRVRRNAKVKDWVAAINDAGLRPPEGWCHPHRFGSFAPPRGLTEDGSQVQWFIDGQAAFDAIATSIEEAKSEIFITDWWLCPELYLRRPFHLHASTRLDTLLEAKARQGVQIYILLYKEVALALKINSVYSKRRLLDIHENVKVLRYPDHFSTGVYLWSHHEKIVIVDHQICYLGGLDLCFGRYDSSAHNISDLPPLVWPGKDYYNPRESEPNSWEDTMKDELDRGKYPRMPWHDVQCALWGPPCRDVARHFVQRWNYAKRNKAPNEETIPLLMPQHHMVIPHYMGIGREDVSQDSERDMDHEEIKREGSFSARSSCQDIPLLLPQEPEGIITSNGSAMSVNGLEKNHNLAGRPHKANHIPSFSFRKNKISQSVPDMQMKDFVDDLDFPSHERESHFDLIAQSAMQNTDNEWWERQERGDQVVSAEEARQVGPRTPCRCQIIRSVGQWSAGTSQTEESIHNAYFSLIEKAEHFIYIEVFQEMM >DRNTG_13347.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1109621:1110065:-1 gene:DRNTG_13347 transcript:DRNTG_13347.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGREDGHNTKKIISSPASLQTQLGNQCKVS >DRNTG_04039.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11490240:11490831:-1 gene:DRNTG_04039 transcript:DRNTG_04039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGVVNSEFTLIKRCAEPLIGGFLYVRNPGSPHGDLSGQHQGRQHL >DRNTG_29864.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001511.1:15915:18550:-1 gene:DRNTG_29864 transcript:DRNTG_29864.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTQILAAVSAGLTFLLLLMRHVLRRKSTLPLPPGPRGYPLLGALPLIGPAAHVSLANLAKRYGPIMYMKLGSCDVIVTSTAAAVRPFVTTLDLQFSNRPTTIASAKHVSYNGNDFIFANYTPKYKLFRKLSTLHMLGNKAMTGWADVRRDEVRLMVKSMHESSQFGKLVIVPETLICAMMNIVGRVMLSQRVFDATDPELGSYKELLKKLMTGGGLFNIGDFVPAIAWMDLQGVQAKLKKGHARMDGMVESMLARHAATAKEREGCPDFIDLVLGSELRDEEGEKLSYVNIKGLIMNMFKAGTDTSTIIVEWALAEMLKNPTIFKRMQSEMDTVIGQDRLLEESDILNLPYLQAVVKEALRLHPSTPLSLPHYSFESCEINGYHIPANTRLITNVWAIGRDPDAWDNPLEFDPDRFLPGGKAYNIEPHGNDFEFIPFGAGRRICPGKQTGILFLQYLLGAVVHGFDWRLPDGEVIDMKETPGLVMPKTIPIKAFVTPRLASTAYPH >DRNTG_29864.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001511.1:15937:19176:-1 gene:DRNTG_29864 transcript:DRNTG_29864.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTQILAAVSAGLTFLLLLMRHVLRRKSTLPLPPGPRGYPLLGALPLIGPAAHVSLANLAKRYGPIMYMKLGSCDVIVTSTAAAVRPFVTTLDLQFSNRPTTIASAKHVSYNGNDFIFANYTPKYKLFRKLSTLHMLGNKAMTGWADVRRDEVRLMVKSMHESSQFGKLVIVPETLICAMMNIVGRVMLSQRVFDATDPELGSYKELLKKLMTGGGLFNIGDFVPAIAWMDLQGVQAKLKKGHARMDGMVESMLARHAATAKEREGCPDFIDLVLGSELRDEEGEKLSYVNIKGLIMNMFKAGTDTSTIIVEWALAEMLKNPTIFKRMQSEMDTVIGQDRLLEESDILNLPYLQAVVKEALRLHPSTPLSLPHYSFESCEINGYHIPANTRLITNVWAIGRDPDAWDNPLEFDPDRFLPGGKAYNIEPHGNDFEFIPFGAGRRICPGKQTGILFLQYLLGAVVHGFDWRLPDGEVIDMKETPGLVMPKTIPIKAFVTPRLASTAYPH >DRNTG_29864.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001511.1:15937:18761:-1 gene:DRNTG_29864 transcript:DRNTG_29864.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTQILAAVSAGLTFLLLLMRHVLRRKSTLPLPPGPRGYPLLGALPLIGPAAHVSLANLAKRYGPIMYMKLGSCDVIVTSTAAAVRPFVTTLDLQFSNRPTTIASAKHVSYNGNDFIFANYTPKYKLFRKLSTLHMLGNKAMTGWADVRRDEVRLMVKSMHESSQFGKLVIVPETLICAMMNIVGRVMLSQRVFDATDPELGSYKELLKKLMTGGGLFNIGDFVPAIAWMDLQGVQAKLKKGHARMDGMVESMLARHAATAKEREGCPDFIDLVLGSELRDEEGEKLSYVNIKGLIMNMFKAGTDTSTIIVEWALAEMLKNPTIFKRMQSEMDTVIGQDRLLEESDILNLPYLQAVVKEALRLHPSTPLSLPHYSFESCEINGYHIPANTRLITNVWAIGRDPDAWDNPLEFDPDRFLPGGKAYNIEPHGNDFEFIPFGAGRRICPGKQTGILFLQYLLGAVVHGFDWRLPDGEVIDMKETPGLVMPKTIPIKAFVTPRLASTAYPH >DRNTG_29864.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001511.1:15915:19176:-1 gene:DRNTG_29864 transcript:DRNTG_29864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTQILAAVSAGLTFLLLLMRHVLRRKSTLPLPPGPRGYPLLGALPLIGPAAHVSLANLAKRYGPIMYMKLGSCDVIVTSTAAAVRPFVTTLDLQFSNRPTTIASAKHVSYNGNDFIFANYTPKYKLFRKLSTLHMLGNKAMTGWADVRRDEVRLMVKSMHESSQFGKLVIVPETLICAMMNIVGRVMLSQRVFDATDPELGSYKELLKKLMTGGGLFNIGDFVPAIAWMDLQGVQAKLKKGHARMDGMVESMLARHAATAKEREGCPDFIDLVLGSELRDEEGEKLSYVNIKGLIMNMFKAGTDTSTIIVEWALAEMLKNPTIFKRMQSEMDTVIGQDRLLEESDILNLPYLQAVVKEALRLHPSTPLSLPHYSFESCEINGYHIPANTRLITNVWAIGRDPDAWDNPLEFDPDRFLPGGKAYNIEPHGNDFEFIPFGAGRRICPGKQTGILFLQYLLGAVVHGFDWRLPDGEVIDMKETPGLVMPKTIPIKAFVTPRLASTAYPH >DRNTG_29864.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001511.1:15937:18550:-1 gene:DRNTG_29864 transcript:DRNTG_29864.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTQILAAVSAGLTFLLLLMRHVLRRKSTLPLPPGPRGYPLLGALPLIGPAAHVSLANLAKRYGPIMYMKLGSCDVIVTSTAAAVRPFVTTLDLQFSNRPTTIASAKHVSYNGNDFIFANYTPKYKLFRKLSTLHMLGNKAMTGWADVRRDEVRLMVKSMHESSQFGKLVIVPETLICAMMNIVGRVMLSQRVFDATDPELGSYKELLKKLMTGGGLFNIGDFVPAIAWMDLQGVQAKLKKGHARMDGMVESMLARHAATAKEREGCPDFIDLVLGSELRDEEGEKLSYVNIKGLIMNMFKAGTDTSTIIVEWALAEMLKNPTIFKRMQSEMDTVIGQDRLLEESDILNLPYLQAVVKEALRLHPSTPLSLPHYSFESCEINGYHIPANTRLITNVWAIGRDPDAWDNPLEFDPDRFLPGGKAYNIEPHGNDFEFIPFGAGRRICPGKQTGILFLQYLLGAVVHGFDWRLPDGEVIDMKETPGLVMPKTIPIKAFVTPRLASTAYPH >DRNTG_29864.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001511.1:15915:18761:-1 gene:DRNTG_29864 transcript:DRNTG_29864.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTQILAAVSAGLTFLLLLMRHVLRRKSTLPLPPGPRGYPLLGALPLIGPAAHVSLANLAKRYGPIMYMKLGSCDVIVTSTAAAVRPFVTTLDLQFSNRPTTIASAKHVSYNGNDFIFANYTPKYKLFRKLSTLHMLGNKAMTGWADVRRDEVRLMVKSMHESSQFGKLVIVPETLICAMMNIVGRVMLSQRVFDATDPELGSYKELLKKLMTGGGLFNIGDFVPAIAWMDLQGVQAKLKKGHARMDGMVESMLARHAATAKEREGCPDFIDLVLGSELRDEEGEKLSYVNIKGLIMNMFKAGTDTSTIIVEWALAEMLKNPTIFKRMQSEMDTVIGQDRLLEESDILNLPYLQAVVKEALRLHPSTPLSLPHYSFESCEINGYHIPANTRLITNVWAIGRDPDAWDNPLEFDPDRFLPGGKAYNIEPHGNDFEFIPFGAGRRICPGKQTGILFLQYLLGAVVHGFDWRLPDGEVIDMKETPGLVMPKTIPIKAFVTPRLASTAYPH >DRNTG_29864.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001511.1:15915:18602:-1 gene:DRNTG_29864 transcript:DRNTG_29864.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTQILAAVSAGLTFLLLLMRHVLRRKSTLPLPPGPRGYPLLGALPLIGPAAHVSLANLAKRYGPIMYMKLGSCDVIVTSTAAAVRPFVTTLDLQFSNRPTTIASAKHVSYNGNDFIFANYTPKYKLFRKLSTLHMLGNKAMTGWADVRRDEVRLMVKSMHESSQFGKLVIVPETLICAMMNIVGRVMLSQRVFDATDPELGSYKELLKKLMTGGGLFNIGDFVPAIAWMDLQGVQAKLKKGHARMDGMVESMLARHAATAKEREGCPDFIDLVLGSELRDEEGEKLSYVNIKGLIMNMFKAGTDTSTIIVEWALAEMLKNPTIFKRMQSEMDTVIGQDRLLEESDILNLPYLQAVVKEALRLHPSTPLSLPHYSFESCEINGYHIPANTRLITNVWAIGRDPDAWDNPLEFDPDRFLPGGKAYNIEPHGNDFEFIPFGAGRRICPGKQTGILFLQYLLGAVVHGFDWRLPDGEVIDMKETPGLVMPKTIPIKAFVTPRLASTAYPH >DRNTG_22037.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2430748:2436575:1 gene:DRNTG_22037 transcript:DRNTG_22037.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVLRVHLPSEIPIVGCEITPYVLVKRPDNTVSNDDVPETAPVNGHHIRYRWYRIQSDRKVAVCSVHPTEQATIQCLGCVKAKIPVAKSYHCSSRCFSDAWHHHRALHDRASSAVSENGAEEEELFGRFNSTGSGVLNTGVTGSASSGQSPGQNNGPVPSYPATVAERNGGETWFEVARSRTYTPMADDIGHTLRFECVIVDAETRIPVGATNTVLTSRVIPAPSPTPRRMIQVNDSQGATPSGTFTVLSYNILADAYATNESYSYCPSWALSWPYRRQNLLREIIGYHADIVCLQEVQSDHFEEFFAPELDKHGYQALYKKNTSEVYSGNFNAVDGCATFFRRDRFSHVKKYEVEFNKAAQSLADAVIAVNQKKAALNRLIKDNIALIVVLEAKFTSHGTDNPGKRQLLCVANTHVNVPLECKDVKLWQVHTLIKGLEKIAASADIPMLVCGDFNSIPNSAPHTLLSIGKVDPAHPDLAIDPYGILRPNNKLSHNLVLVSAYSSFARTKGFGPQAEQRRRIDQFSNEPLFTKCTREYIGTVDYIFYTADSLTVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCMPRIRRG >DRNTG_32444.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9161655:9170969:-1 gene:DRNTG_32444 transcript:DRNTG_32444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIVGRRDCYMSYVAHRLLKRLKEHPQRYVKLVKKVFVR >DRNTG_08498.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:16204551:16210776:1 gene:DRNTG_08498 transcript:DRNTG_08498.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEMFNPDPYEGLVDPEEGNEEVMMLGSTEEVPSTPGILKKVLRKIKRARRCHRKHSKAVGDVHEPKKLDVSLNLLQSLQIFLSHQEKTHGRVEFPHARGFALQAHPEKAQGRVAAPLNDHATVTRPWLISAQACAFLQSLADYPESTQGRGLTPVGDFVNHARAWGRAAAPVSWACEYPRPCGISARACMTLGIFLGCSEKRHGRAASPVGQTHGRGYFRHARAIEVRVEGMFSRDCTGACVPPCGSFVMKRTGVGNYRTAVWMHRTSRVIMALQSKKQADKRPCESSPEPEGMRFAIPEHQILERDVASSFVLWPRTPQAPSVPPVPSSPTPAPVDQPCASSPAAAAAPEHEGDTDI >DRNTG_21536.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1441004:1443352:-1 gene:DRNTG_21536 transcript:DRNTG_21536.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial uncoupling protein 3 [Source:Projected from Arabidopsis thaliana (AT1G14140) UniProtKB/Swiss-Prot;Acc:Q9XI74] MGGGEDGLWRTSAKIGLASVAATVAETATFPIDIIKTRLQLRYGHSSTGASLRVAADIWNKGRMLGFYSGLSPAVLRHVLYTPVRIVSYEHLRISSSDADVSLFRKAFAGGVSGVVAQVLASPADLIKVRMQADGRLLTQGLQPRYAGIFDAFRKIAQSEGFSRPLERGCPKCSASIFGEHGRINML >DRNTG_21536.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1439375:1443308:-1 gene:DRNTG_21536 transcript:DRNTG_21536.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial uncoupling protein 3 [Source:Projected from Arabidopsis thaliana (AT1G14140) UniProtKB/Swiss-Prot;Acc:Q9XI74] MGGGEDGLWRTSAKIGLASVAATVAETATFPIDIIKTRLQLRYGHSSTGASLRVAADIWNKGRMLGFYSGLSPAVLRHVLYTPVRIVSYEHLRISSSDADVSLFRKAFAGGVSGVVAQVLASPADLIKVRMQADGRLLTQGLQPRYAGIFDAFRKIAQSEGFSRPLERGCPKCSASIFGEHGRINML >DRNTG_21536.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1440854:1443308:-1 gene:DRNTG_21536 transcript:DRNTG_21536.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial uncoupling protein 3 [Source:Projected from Arabidopsis thaliana (AT1G14140) UniProtKB/Swiss-Prot;Acc:Q9XI74] MGGGEDGLWRTSAKIGLASVAATVAETATFPIDIIKTRLQLRYGHSSTGASLRVAADIWNKGRMLGFYSGLSPAVLRHVLYTPVRIVSYEHLRISSSDADVSLFRKAFAGGVSGVVAQVLASPADLIKVRMQADGRLLTQGLQPRYAGIFDAFRKIAQSEGFSRPLERGCPKCSASIFGEHGRINML >DRNTG_21536.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1440854:1443352:-1 gene:DRNTG_21536 transcript:DRNTG_21536.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial uncoupling protein 3 [Source:Projected from Arabidopsis thaliana (AT1G14140) UniProtKB/Swiss-Prot;Acc:Q9XI74] MGGGEDGLWRTSAKIGLASVAATVAETATFPIDIIKTRLQLRYGHSSTGASLRVAADIWNKGRMLGFYSGLSPAVLRHVLYTPVRIVSYEHLRISSSDADVSLFRKAFAGGVSGVVAQVLASPADLIKVRMQADGRLLTQGLQPRYAGIFDAFRKIAQSEGFSRPLERGCPKCSASIFGEHGRINML >DRNTG_21536.19.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1442762:1443352:-1 gene:DRNTG_21536 transcript:DRNTG_21536.19 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial uncoupling protein 3 [Source:Projected from Arabidopsis thaliana (AT1G14140) UniProtKB/Swiss-Prot;Acc:Q9XI74] MGGGEDGLWRTSAKIGLASVAATVAETATFPIDIIKTRLQLRYGHSSTGASLRVAADIWNKGRMLGFYSGLSPAVLRHVLYTPVRIVSYEHLRISSSDADVSLFRKAFAGGVSGVVAQVTILESGSYER >DRNTG_21536.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1440931:1443352:-1 gene:DRNTG_21536 transcript:DRNTG_21536.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial uncoupling protein 3 [Source:Projected from Arabidopsis thaliana (AT1G14140) UniProtKB/Swiss-Prot;Acc:Q9XI74] MGVFSHKVFNPGMQEFLMLSERLPSQKVFLGLWRGVVPNAQRAFLVNMGELTCYDSAKHFIINQEICEDNIFAHTLSSVASGLCATALSCPADVVKTRMMNQAADKEVVSAYMNSFDCLIRTARSEGILALWKGFIPTWARLGPWQCVFWVSYEKFRQVSGLSSF >DRNTG_21536.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1440854:1442171:-1 gene:DRNTG_21536 transcript:DRNTG_21536.15 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial uncoupling protein 3 [Source:Projected from Arabidopsis thaliana (AT1G14140) UniProtKB/Swiss-Prot;Acc:Q9XI74] MGVFSHKVFNPGMQEFLMLSERLPSQKVFLGLWRGVVPNAQRAFLVNMGELTCYDSAKHFIINQEICEDNIFAHTLSSVASGLCATALSCPADVVKTRMMNQAADKEVVSAYMNSFDCLIRTARSEGILALWKGFIPTWARLGPWQCVFWVSYEKFRQVSGLSSF >DRNTG_21536.20.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1442762:1443308:-1 gene:DRNTG_21536 transcript:DRNTG_21536.20 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial uncoupling protein 3 [Source:Projected from Arabidopsis thaliana (AT1G14140) UniProtKB/Swiss-Prot;Acc:Q9XI74] MGGGEDGLWRTSAKIGLASVAATVAETATFPIDIIKTRLQLRYGHSSTGASLRVAADIWNKGRMLGFYSGLSPAVLRHVLYTPVRIVSYEHLRISSSDADVSLFRKAFAGGVSGVVAQVTILESGSYER >DRNTG_21536.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1441004:1443461:-1 gene:DRNTG_21536 transcript:DRNTG_21536.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial uncoupling protein 3 [Source:Projected from Arabidopsis thaliana (AT1G14140) UniProtKB/Swiss-Prot;Acc:Q9XI74] MGGGEDGLWRTSAKIGLASVAATVAETATFPIDIIKTRLQLRYGHSSTGASLRVAADIWNKGRMLGFYSGLSPAVLRHVLYTPVRIVSYEHLRISSSDADVSLFRKAFAGGVSGVVAQVLASPADLIKVRMQADGRLLTQGLQPRYAGIFDAFRKIAQSEGFSRPLERGCPKCSASIFGEHGRINML >DRNTG_21536.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1439375:1443352:-1 gene:DRNTG_21536 transcript:DRNTG_21536.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial uncoupling protein 3 [Source:Projected from Arabidopsis thaliana (AT1G14140) UniProtKB/Swiss-Prot;Acc:Q9XI74] MGGGEDGLWRTSAKIGLASVAATVAETATFPIDIIKTRLQLRYGHSSTGASLRVAADIWNKGRMLGFYSGLSPAVLRHVLYTPVRIVSYEHLRISSSDADVSLFRKAFAGGVSGVVAQVLASPADLIKVRMQADGRLLTQGLQPRYAGIFDAFRKIAQSEGFSRPLERGCPKCSASIFGEHGRINML >DRNTG_21536.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1441004:1443308:-1 gene:DRNTG_21536 transcript:DRNTG_21536.14 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial uncoupling protein 3 [Source:Projected from Arabidopsis thaliana (AT1G14140) UniProtKB/Swiss-Prot;Acc:Q9XI74] MGGGEDGLWRTSAKIGLASVAATVAETATFPIDIIKTRLQLRYGHSSTGASLRVAADIWNKGRMLGFYSGLSPAVLRHVLYTPVRIVSYEHLRISSSDADVSLFRKAFAGGVSGVVAQVLASPADLIKVRMQADGRLLTQGLQPRYAGIFDAFRKIAQSEGFSRPLERGCPKCSASIFGEHGRINML >DRNTG_21536.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1439375:1441903:-1 gene:DRNTG_21536 transcript:DRNTG_21536.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial uncoupling protein 3 [Source:Projected from Arabidopsis thaliana (AT1G14140) UniProtKB/Swiss-Prot;Acc:Q9XI74] MGVFSHKVFNPGMQEFLMLSERLPSQKVFLGLWRGVVPNAQRAFLVNMGELTCYDSAKHFIINQEICEDNIFAHTLSSVASGLCATALSCPADVVKTRMMNQAADKEVVSAYMNSFDCLIRTARSEGILALWKGFIPTWARLGPWQCVFWVSYEKFRQVSGLSSF >DRNTG_21536.18.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1440931:1441903:-1 gene:DRNTG_21536 transcript:DRNTG_21536.18 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial uncoupling protein 3 [Source:Projected from Arabidopsis thaliana (AT1G14140) UniProtKB/Swiss-Prot;Acc:Q9XI74] MGVFSHKVFNPGMQEFLMLSERLPSQKVFLGLWRGVVPNAQRAFLVNMGELTCYDSAKHFIINQEICEDNIFAHTLSSVASGLCATALSCPADVVKTRMMNQAADKEVVSAYMNSFDCLIRTARSEGILALWKGFIPTWARLGPWQCVFWVSYEKFRQVSGLSSF >DRNTG_21536.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1440931:1443352:-1 gene:DRNTG_21536 transcript:DRNTG_21536.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial uncoupling protein 3 [Source:Projected from Arabidopsis thaliana (AT1G14140) UniProtKB/Swiss-Prot;Acc:Q9XI74] MGGGEDGLWRTSAKIGLASVAATVAETATFPIDIIKTRLQLRYGHSSTGASLRVAADIWNKGRMLGFYSGLSPAVLRHVLYTPVRIVSYEHLRISSSDADVSLFRKAFAGGVSGVVAQVLASPADLIKVRMQADGRLLTQGLQPRYAGIFDAFRKIAQSEGFSRPLERGCPKCSASIFGEHGRINML >DRNTG_21536.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1439375:1443461:-1 gene:DRNTG_21536 transcript:DRNTG_21536.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial uncoupling protein 3 [Source:Projected from Arabidopsis thaliana (AT1G14140) UniProtKB/Swiss-Prot;Acc:Q9XI74] MGGGEDGLWRTSAKIGLASVAATVAETATFPIDIIKTRLQLRYGHSSTGASLRVAADIWNKGRMLGFYSGLSPAVLRHVLYTPVRIVSYEHLRISSSDADVSLFRKAFAGGVSGVVAQVLASPADLIKVRMQADGRLLTQGLQPRYAGIFDAFRKIAQSEGFSRPLERGCPKCSASIFGEHGRINML >DRNTG_21536.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1440854:1443461:-1 gene:DRNTG_21536 transcript:DRNTG_21536.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial uncoupling protein 3 [Source:Projected from Arabidopsis thaliana (AT1G14140) UniProtKB/Swiss-Prot;Acc:Q9XI74] MGGGEDGLWRTSAKIGLASVAATVAETATFPIDIIKTRLQLRYGHSSTGASLRVAADIWNKGRMLGFYSGLSPAVLRHVLYTPVRIVSYEHLRISSSDADVSLFRKAFAGGVSGVVAQVLASPADLIKVRMQADGRLLTQGLQPRYAGIFDAFRKIAQSEGFSRPLERGCPKCSASIFGEHGRINML >DRNTG_21536.17.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1440854:1441903:-1 gene:DRNTG_21536 transcript:DRNTG_21536.17 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial uncoupling protein 3 [Source:Projected from Arabidopsis thaliana (AT1G14140) UniProtKB/Swiss-Prot;Acc:Q9XI74] MGVFSHKVFNPGMQEFLMLSERLPSQKVFLGLWRGVVPNAQRAFLVNMGELTCYDSAKHFIINQEICEDNIFAHTLSSVASGLCATALSCPADVVKTRMMNQAADKEVVSAYMNSFDCLIRTARSEGILALWKGFIPTWARLGPWQCVFWVSYEKFRQVSGLSSF >DRNTG_21536.16.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1440931:1442171:-1 gene:DRNTG_21536 transcript:DRNTG_21536.16 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial uncoupling protein 3 [Source:Projected from Arabidopsis thaliana (AT1G14140) UniProtKB/Swiss-Prot;Acc:Q9XI74] MGVFSHKVFNPGMQEFLMLSERLPSQKVFLGLWRGVVPNAQRAFLVNMGELTCYDSAKHFIINQEICEDNIFAHTLSSVASGLCATALSCPADVVKTRMMNQAADKEVVSAYMNSFDCLIRTARSEGILALWKGFIPTWARLGPWQCVFWVSYEKFRQVSGLSSF >DRNTG_21536.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1440931:1443308:-1 gene:DRNTG_21536 transcript:DRNTG_21536.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial uncoupling protein 3 [Source:Projected from Arabidopsis thaliana (AT1G14140) UniProtKB/Swiss-Prot;Acc:Q9XI74] MGGGEDGLWRTSAKIGLASVAATVAETATFPIDIIKTRLQLRYGHSSTGASLRVAADIWNKGRMLGFYSGLSPAVLRHVLYTPVRIVSYEHLRISSSDADVSLFRKAFAGGVSGVVAQVLASPADLIKVRMQADGRLLTQGLQPRYAGIFDAFRKIAQSEGFSRPLERGCPKCSASIFGEHGRINML >DRNTG_21536.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1440931:1443461:-1 gene:DRNTG_21536 transcript:DRNTG_21536.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial uncoupling protein 3 [Source:Projected from Arabidopsis thaliana (AT1G14140) UniProtKB/Swiss-Prot;Acc:Q9XI74] MGGGEDGLWRTSAKIGLASVAATVAETATFPIDIIKTRLQLRYGHSSTGASLRVAADIWNKGRMLGFYSGLSPAVLRHVLYTPVRIVSYEHLRISSSDADVSLFRKAFAGGVSGVVAQVLASPADLIKVRMQADGRLLTQGLQPRYAGIFDAFRKIAQSEGFSRPLERGCPKCSASIFGEHGRINML >DRNTG_24990.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5131737:5132387:1 gene:DRNTG_24990 transcript:DRNTG_24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNSKSTAPWEGSPKSEHSSDCRIDRRYPHSAED >DRNTG_02797.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1067969:1069975:-1 gene:DRNTG_02797 transcript:DRNTG_02797.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNRLLWDGPSLVAGRILQLSLWKESFQPTFERFSTVAVWVQIYHLPMEL >DRNTG_20534.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17795243:17798657:-1 gene:DRNTG_20534 transcript:DRNTG_20534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMGKPRYHQLILFLFLLCSRLNIGQNKAYAQGTTSNNSRNTTSSFDVGVILDTKTWVGNISWRCMAMAMEDFYNSHSNFTKKLSLHLRDVNKDDRVASASAAIDLLKNVQVQAIIGPQTSRQAKFVIELGNSAQVPIISFTAKSPSLSTKQYPYFIRTGMNDTSQAKVLASLVQNFGWRQVVLIYADTEFGNGIISHVIDALIEIDARVTYRSPIPITANDEAISKELEKLKDMQTRVFIVHMPYSLGFKVFSNANKTGMMNKGYVWITTYVLTDIVDLYGSSATSVMQGVLGIKPYISEDNPRLQDFKARFVKKFKLENPSAQISEPLTVFGLWAYDTVWSLAMAAESLSSANYTFSMNNVRKNSTDLESIGKSQTGLEIVQWISNSTFDGISGKFQLIDRQREVVKFEIVNVVENGRKRIGFWTPVYGFSKELNSKNVSIEVAKWPGDSDHVPPRGWEWPTNGRNLSVGIPVKPGFPEFVNVTTNDSSIRPTGYCIEIFDKVMAALPYKVNYTYEHFANEKGEMNGSYDDLVYQVYLKKYDVVVGDITVIANRSQYVDFTLPYTESGVSMIVPVKDQSRKNAWTFAEPLSTSLWIASGVFFIFTGIVVWILEHRVNVEFRGPPSNQIGTIFYFIFSTLVFSHRETIVSNLARIVLIIWFFVVLILQQSYTASLSSILTVEQLQPTLNDLTELARTNDKVGYLVDSFMPNLLKGMNFDETRLIPYNSADEYNEALSNGTVAAVVDEIPYLKVFLHKYCGKYTMVGPTYKTDGFGFAFPIGSPMVADVSRAILNITENKEIMEPLDNQYLYDDEACSVEEDGSSSSMITFRSFWGLFLITGVTSMLALLIHISMFFYQNWHIVRDTDPELSFGQRLLLLFKYHDKPDLNCADTFKEKDEEEEEKMVALEMQSTVSASYNGHGDHVVGNEDDDDGDAGTPSEGEGTPGREIGGQFPDPPSFADMLSHRRGYDSA >DRNTG_30494.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:681355:685835:-1 gene:DRNTG_30494 transcript:DRNTG_30494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKGHLNSILKQLCEQMDTKGLVKYISENRKNLATLCEELPFALKCATEPARMVLDALEGFYPPDQSTSQGNENDTALQGLRRSCLILMESAVPVLATTEPGDHHPLSSEIKQQAKAIANQWKPKMADMKLDASNGSTLEAQAFLQLLATFCIPSEFNEDELCKIILIVSRRRQTPELCRSLGLTHKMPGMVETLVNTGRQIDAIHFAYAFQLMESFPPVPLLKAYLDEVMKNVQEICGNAENPGAQKDATTQELSALRAVIRCIEDYKLHEEYPIDPLQKRVIELEKAKAEKKRSFEVAKFQMKKPRGNTRYAPHKPVVAIEYKQPPPPSVYNERGMHHGVAERYMYAAPPAFEVPSRVTYSQQANIHRQYQYSHPHVRAPSAPYAASASYSDYTGPSAQNAPTNQVNYGPGSQATSSYSGSYLGAGYHQPSLSNYGNYSGPGYPPSHQS >DRNTG_30494.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:682120:685835:-1 gene:DRNTG_30494 transcript:DRNTG_30494.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADYFQRMEKYLIQNSTVASTSHFVESAWFCAGMVETLVNTGRQIDAIHFAYAFQLMESFPPVPLLKAYLDEVMKNVQEICGNAENPGAQKDATTQELSALRAVIRCIEDYKLHEEYPIDPLQKRVIELEKAKAEKKRSFEVAKFQMKKPRGNTRYAPHKPVVAIEYKQPPPPSVYNERGMHHGVAERYMYAAPPAFEVPSRVTYSQQANIHRQYQYSHPHVRAPSAPYAASASYSDYTGPSAQNAPTNQVNYGPGSQATSSYSGSYLGAGYHQPSLSNYGNYSGPGYPPSHQS >DRNTG_01180.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29307390:29309944:-1 gene:DRNTG_01180 transcript:DRNTG_01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5g40850/MHK7_8 [Source:Projected from Arabidopsis thaliana (AT5G40850) UniProtKB/TrEMBL;Acc:Q42606] MASSSLSFSPCPSFLSPTSLSSPSPSKSLPFFTTPRSIAVCCSSSSSPFTEWNSLERHQRDQWACVSSSSSLVCDKANEIALQLPELKRLLDVLRAGRENGGGGGRGPGSVALVGTGPGDPELLTVKAVRAIERADLVLYDRLVSNAVLDLVGPAARLLYVGKTAGYHSRTQEEIHELLLSFAKAGANVVRLKGGDPLVFGRGGEEMDFLQRQGIHVNVIPGITAASGISAELGIPLTHRGVSNSVRFLTGHSRNGGTDPLYVAEHAADPDSTLVIYMGLSTLPGLVSKLMNHGLPHETPAVAVERGTTPQQRIVFAELKHLVNEVKLAELVSPTLIIIGEVVALSPLWPLSSEKRLGMCNGAAMH >DRNTG_34407.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25988256:25991668:1 gene:DRNTG_34407 transcript:DRNTG_34407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGLILGLGRAMRRKRTSSLSILSSKRGPRDYYKGKNCKSTGFHTRKGGYVIVDEKLPRYIVPDLTDFKLKPYVSQCARDATTSTTDTANASKV >DRNTG_27020.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001378.1:22458:23072:1 gene:DRNTG_27020 transcript:DRNTG_27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVILQLMMEDAIDGWILRQINFLRSEEIIAQGILWVQNLESGLAKSGDVHLGQKGVPTSSKEPGVGATGSSSFEA >DRNTG_32997.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19935784:19940170:-1 gene:DRNTG_32997 transcript:DRNTG_32997.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPRNAPRSEDSAEKAGKLRDLQAQLLHNHHNRIYTNEARAASARLLEINPEIYTAWNYRKLALQSNLKEVTDVEAIKAFVEEELRVVEAALRQNPKSYGAWYHRKWVLSYKFSPANFDHEYWLLGRLMKLDPRNFHGWNYRRFLASLSNVPEVEELKYTMEMIDSNFSNYSAWHNRSFLLSNLLKEKAQGFVSKEKILTDEYDLVHQALFTEASDQSGWFYFLWLLDQTVSPDQPLVVSSWPAHGSSWVVSTNRSNNDCRLFPSEGSNSCYYLPMSTVPIILYFNQPVSGVSSSTVTVNSVYTSNEDITWRPLALAKSGSAHCWVTYLKISDARSNGTSSVEISLGHSQDIKSARGLPYTCPVLLKFTIELNCIDAEQPGGEFGEELFVFNSDQVCLPYEGFPISSFDQLKISENCVPVASSWDLETLSNEISLFKGSPDDNCKFVKLTLARLLVAYDAMMSERSPSLQKKTHSEEVLKFFDDLIRLDPVHAKFYEDQRSLVILDQVTFDKESLMKNYGRLCKLASSNHHYHVLQLNKLSLTRIGFVERLLWVQILDLSHNEIQSISGLEALQLLTCLNLANNQLNSFAALEPLRLLSSLRVLDVSFNKIGSHLIDTKRYLCSSPMSHTVDIKEFQDTEIADYWEVIFIFKDLQLTQLNIDGNAVANDNLSLLLKKTLPGLIWLNGDRVQ >DRNTG_34791.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15824549:15824896:1 gene:DRNTG_34791 transcript:DRNTG_34791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNRLAPYVLKLFGRHGQSPVSRQERERRPWAGMWDREDRASGSEVGDAAGDKLSNLRCFGRFLTPI >DRNTG_22137.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5858417:5861866:-1 gene:DRNTG_22137 transcript:DRNTG_22137.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDIAMLLAGGQDQTALVRVEHVIREEKNLSVYELIDIYCELIIARLPIIELQKNCPVELKEAISSVIFASSRCADIPEIIDVRKQFVAKYGKEFIIAALEVRPECGVSSMLVEKLSAKAPDSKTKMKTLSSIAEEFNIKWDIKSLEDQNHMPSDDSLAGPKTFASASQTPKESPNANYSPTPSIMNEQSQRMSQNDVVSKTPSFKDSPRSSINTMSMPLTASHSRFSENRGSRSSWEEVNSAYQTDGSLNQQNWNMGFKDATSAAQAAAESAERASVAARAAAELARRESASYNEIKQNIKESVPVQFNEIKQSSESVMEAEDRNTDEVPQARSEKAGRRNYDYINPSRSFSYSPSYSHELSSDDDLLEVNRKTEGRPYDYATFDDSDGQSSDGGDVIDNIMREEAMKSTNLQHNHMFPDNVEFISPPLPDINYPYMSYDSNTEERERLSLGRLTGGFRNKGFPDPPYMRQRDPLPGTPVPSKPVHTRAMEGCVASQEKSLEPNLHGCRTDASPSGVFRGFDKFIGSDLHRSSERRVHVAESSPTLSRVARNNSDIEEQTLYHQNQASINSENLVYDSYSLKSMARDAKLYSSRAYGRSKSALLPPKEINIAPSIEKNVSFSEKAFSSRGVSNEEFPYQQQTVRTNRESMNCFDQDVVKAEPQYILGFRSDRCDDLSRTRDLPSANVYGYHARSCAYTEMESEFFGGSFSSEPINTENRGMHLDRRDSSRSSEQNISLKQSSMASSNVDSLLHKKNLHKSQVNSEVSKIPSRESSFKSVSHVHPKLPDYDTIVAHFQALRANRQ >DRNTG_22137.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5858417:5862560:-1 gene:DRNTG_22137 transcript:DRNTG_22137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWMFRKSKSFASELLPKSFKAEKCKIALKLVRTRLTLTKNKKGIQVKQMRRDIAMLLAGGQDQTALVRVEHVIREEKNLSVYELIDIYCELIIARLPIIELQKNCPVELKEAISSVIFASSRCADIPEIIDVRKQFVAKYGKEFIIAALEVRPECGVSSMLVEKLSAKAPDSKTKMKTLSSIAEEFNIKWDIKSLEDQNHMPSDDSLAGPKTFASASQTPKESPNANYSPTPSIMNEQSQRMSQNDVVSKTPSFKDSPRSSINTMSMPLTASHSRFSENRGSRSSWEEVNSAYQTDGSLNQQNWNMGFKDATSAAQAAAESAERASVAARAAAELARRESASYNEIKQNIKESVPVQFNEIKQSSESVMEAEDRNTDEVPQARSEKAGRRNYDYINPSRSFSYSPSYSHELSSDDDLLEVNRKTEGRPYDYATFDDSDGQSSDGGDVIDNIMREEAMKSTNLQHNHMFPDNVEFISPPLPDINYPYMSYDSNTEERERLSLGRLTGGFRNKGFPDPPYMRQRDPLPGTPVPSKPVHTRAMEGCVASQEKSLEPNLHGCRTDASPSGVFRGFDKFIGSDLHRSSERRVHVAESSPTLSRVARNNSDIEEQTLYHQNQASINSENLVYDSYSLKSMARDAKLYSSRAYGRSKSALLPPKEINIAPSIEKNVSFSEKAFSSRGVSNEEFPYQQQTVRTNRESMNCFDQDVVKAEPQYILGFRSDRCDDLSRTRDLPSANVYGYHARSCAYTEMESEFFGGSFSSEPINTENRGMHLDRRDSSRSSEQNISLKQSSMASSNVDSLLHKKNLHKSQVNSEVSKIPSRESSFKSVSHVHPKLPDYDTIVAHFQALRANRQ >DRNTG_35277.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002236.1:34993:37430:-1 gene:DRNTG_35277 transcript:DRNTG_35277.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDVDTSFRHPLPGGLSLLSMDFTSKGISWADNICQKWGSICVDNILSQEPCKYVGVFGEHFRRMLDEVADDWLNHSDDSVAGPVSDLSLEHNVISQVCKKSRLGFDDLNASINGMNHVAHCDEDSNCETSENLDENLGSHCSDAVLSARMNHVAQCVEDLNSATSENSEENLSSDCFDAGARTIECLDGLSREKEEEEEEEEEEEGIVHDIVDLHMESTEDFEDVNIKDIMDDIETSKLVSISQPACNKVSYKRKFRHAFYSKIISAKKDKDDIEACFTNNVMKKQDMLGNAASEISLQQSFEADWEIL >DRNTG_35277.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002236.1:34993:37430:-1 gene:DRNTG_35277 transcript:DRNTG_35277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSIDMAKDVDTSFRHPLPGGLSLLSMDFTSKGISWADNICQKWGSICVDNILSQEPCKYVGVFGEHFRRMLDEVADDWLNHSDDSVAGPVSDLSLEHNVISQVCKKSRLGFDDLNASINGMNHVAHCDEDSNCETSENLDENLGSHCSDAVLSARMNHVAQCVEDLNSATSENSEENLSSDCFDAGARTIECLDGLSREKEEEEEEEEEEEGIVHDIVDLHMESTEDFEDVNIKDIMDDIETSKLVSISQPACNKVSYKRKFRHAFYSKIISAKKDKDDIEACFTNNVMKKQDMLGNAASEISLQQSFEADWEIL >DRNTG_35277.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002236.1:34993:37430:-1 gene:DRNTG_35277 transcript:DRNTG_35277.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDVDTSFRHPLPGGLSLLSMDFTSKGISWADNICQKWGSICVDNILSQEPCKYVGVFGEHFRRMLDEVCKKSRLGFDDLNASINGMNHVAHCDEDSNCETSENLDENLGSHCSDAVLSARMNHVAQCVEDLNSATSENSEENLSSDCFDAGARTIECLDGLSREKEEEEEEEEEEEGIVHDIVDLHMESTEDFEDVNIKDIMDDIETSKLVSISQPACNKVSYKRKFRHAFYSKIISAKKDKDDIEACFTNNVMKKQDMLGNAASEISLQQSFEADWEIL >DRNTG_35277.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002236.1:34993:37430:-1 gene:DRNTG_35277 transcript:DRNTG_35277.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSIDMAKDVDTSFRHPLPGGLSLLSMDFTSKGISWADNICQKWGSICVDNILSQEPCKYVGVFGEHFRRMLDEVCKKSRLGFDDLNASINGMNHVAHCDEDSNCETSENLDENLGSHCSDAVLSARMNHVAQCVEDLNSATSENSEENLSSDCFDAGARTIECLDGLSREKEEEEEEEEEEEGIVHDIVDLHMESTEDFEDVNIKDIMDDIETSKLVSISQPACNKVSYKRKFRHAFYSKIISAKKDKDDIEACFTNNVMKKQDMLGNAASEISLQQSFEADWEIL >DRNTG_13903.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1903196:1914186:1 gene:DRNTG_13903 transcript:DRNTG_13903.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNQGAEVVRMYKTLLGTEGFRKGMDLYFQRHDGQAVTCEDFFAAMRDANNADFSNFLLWYSQAGIPYVTVTSSYNPDACTYSLKFSQDVPPTAGQPVKEPMFIPVAVGLLDSSGKDMLLTSIYNEGLLQKFDQPTSTIVLKVTKKEEEFVFADIPEKPIPSLLRGYSAPIRLDSDLTDSDLFFLLAHDSDEFNRWEAGQVLARKLMLNLVADFQQNKDLILNQKFVNGIRSILCDSSLDKEFISKAITLPGEGEIMDMMKVADPDAVHAVRTFIKKQLALELKEELLATVKNNRSDEPYVFDHQSLAQRALKNTALVYLASLDEPETTEFALSEYKAATNMTEQFAALVALSQNPGQVRDEALSDFYNKWQHDYLVVSKWFALQSSSDIPGNVANVQETIRASCIRFAQPKQSILSDWWILRIACQFPCKRRIRLQISRRSCVATG >DRNTG_13903.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1903196:1914186:1 gene:DRNTG_13903 transcript:DRNTG_13903.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVLTCKSSSLVKRGVFGMFSSFHLGATYASISCPKSISFRGNFNTKKEEAAHRRSGLSFSAPSKINLNSRRLICSVATEPPPAQVEDSEMDTPKEVFLKDYKMPDYYFEKVDLSFTLGEDKTIVASSITVSPRIEGTPCPLVLHGVDLKLLSIKVDGKELKKEDYHMTSRHLTLSAPPTTTFTLEIVTEIHPENNTSLEGLYKSSGNFCTQCEAEGFRKITFYQDRPDVMARYTCRIEADKTLYPVLLSNGNLVEQGDLEGGRHYAIWEDPYKKPSYLFALVAGQLESRDDSFITCSGKNVVLRIWTPSQDVPKTAHAMYSLKAAMKWDEEVFGLEYDLNLFNIVAVPDFNMGAMENKSLNIFNSKLVLASPETATDGDYAAILGVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRDQEFSSDMGSRTVKRIADVSRLRNYQFPQDASPMAHPVRPHSYIKMDNFYTGVS >DRNTG_13903.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1903196:1914186:1 gene:DRNTG_13903 transcript:DRNTG_13903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTLLGTEGFRKGMDLYFQRHDGQAVTCEDFFAAMRDANNADFSNFLLWYSQAGIPYVTVTSSYNPDACTYSLKFSQDVPPTAGQPVKEPMFIPVAVGLLDSSGKDMLLTSIYNEGLLQKFDQPTSTIVLKVTKKEEEFVFADIPEKPIPSLLRGYSAPIRLDSDLTDSDLFFLLAHDSDEFNRWEAGQVLARKLMLNLVADFQQNKDLILNQKFVNGIRSILCDSSLDKEFISKAITLPGEGEIMDMMKVADPDAVHAVRTFIKKQLALELKEELLATVKNNRSDEPYVFDHQSLAQRALKNTALVYLASLDEPETTEFALSEYKAATNMTEQFAALVALSQNPGQVRDEALSDFYNKWQHDYLVVSKWFALQSSSDIPGNVANVQETIRASCIRFAQPKQSILSDWWILRIACQFPCKRRIRLQISRRSCVATG >DRNTG_13903.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1903196:1914186:1 gene:DRNTG_13903 transcript:DRNTG_13903.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTLLGTEGFRKGMDLYFQRHDGQAVTCEDFFAAMRDANNADFSNFLLWYSQAGIPYVTVTSSYNPDACTYSLKFSQDVPPTAGQPVKEPMFIPVAVGLLDSSGKDMLLTSIYNEGLLQKFDQPTSTIVLKVTKKEEEFVFADIPEKPIPSLLRGYSAPIRLDSDLTDSDLFFLLAHDSDEFNRWEAGQVLARKLMLNLVADFQQNKDLILNQKFVNGIRSILCDSSLDKEFISKAITLPGEGEIMDMMKVADPDAVHAVRTFIKKQLALELKEELLATVKNNRSDEPYVFDHQSLAQRALKNTALVYLASLDEPETTEFALSEYKAATNMTEQFAALVALSQNPGQVRDEALSDFYNKWQHDYLVVSKWFALQSSSDIPGNVANVQETIRASCIRFAQPKQSILSDWWILRIACQFPCKRRIRLQISRRSCVATG >DRNTG_30993.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14610330:14611542:-1 gene:DRNTG_30993 transcript:DRNTG_30993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSALLSVRKAHMMNILRTIKKQLVFTGSVFHFSMILLQTKDRAQETTWGDIGDDTEQYAQGNISLDEDMGFSQLPIDEFSTPMQEPASHQSPVDSEEIVRPGFRSMVDAIATNDANREEHEERRKLLPQILPLVEGLSIHEVMFILQVLPKHEDKLKTFIELPGSTSISLITEPCLLAHMVAMKAARDAGAFSLMIQMLGFLCGLLNRLPVKAS >DRNTG_15798.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21566134:21569742:-1 gene:DRNTG_15798 transcript:DRNTG_15798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISCPRMGKALPPHVLSFTFDGQKFRTTIKEKDLNPSWNERFYFNISDPANLPNLALEAFVYSINKNSNTHSKPFLGKVRIAGTSFVPFSDAVPLYYPLEKRSIFSRVKGELGLKVFLTDDPSVKPSNPLPAIDPFPNNPLPGQVNLAQAQVPNSNSHAFPENKTESRNTFHSIPKEHNQYHSSTPAAEQPVKFVADEMKAEPPRIVRMYSSSSQQPVDYAIKETSPFLGGGQIVGGRVIRADKPASTYDLVEQMQYLFVRVVKARELPAKDVTGSLDPFVEVRLGNYRGITKHFEKKQNPEWNEVFAFSRDRMQASLLEVVVKDKDLVKDDFVGIVRFDLNDVPTRVPPDSPLAPEWYRLEDKKGDKTKGELMLAVWIGTQADEAFSDAWHSDAAASADASAVSAHLRSKVYHAPRLWYVRVNIIEAQDIVVSDKTRFPDVYVKAQIGNQFLKTKTVQARTMSPIWNEDLLFVAAEPFEEHLILSVEDRVGPNKDEVIGRVAIPVGSIERRADDKIVNSRWFNLEKPVAVDVDQLKKDKFSSRLHLRICLDGGYHVLDESTHYSSDLRPTAKQLWKPSIGLLELGILNAEGLHPMKTRDSKGTSDTYCVAKYGQKWVRTRTIINSLSPRYNEQYTWEVYDPATVLTVGVFDNCQLGEKGPNGNKDLKIGKVRIRLSTLETGRVYTHSYPLLVLHPSGVKKMGELHLAIRFSSASLVNMMYIYSRPLLPKMHYVRPLTVMQLDILRHQAVNIVAARLSRMEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMSVFSGLFAVGKWFGDVCAWKNPITTALVHVLFIMLVCFPELILPTVFLYMFLIGVWNYRYRPRYPPHMNTKISHAEAVHPDELDEEFDTFPTSRSAEIVRMRYDRLRSVAGRIQTVVGDVATQGERVQALLSWRDPRATAIFVLFCLVAALMLYVTPFQFIAVLAGFYAMRHPRFRHRMPSVPINFFRRLPARTDSML >DRNTG_15798.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21566134:21569427:-1 gene:DRNTG_15798 transcript:DRNTG_15798.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISCPRMGKALPPHVLSFTFDGQKFRTTIKEKDLNPSWNERFYFNISDPANLPNLALEAFVYSINKNSNTHSKPFLGKVRIAGTSFVPFSDAVPLYYPLEKRSIFSRVKGELGLKVFLTDDPSVKPSNPLPAIDPFPNNPLPGQVNLAQAQVPNSNSHAFPENKTESRNTFHSIPKEHNQYHSSTPAAEQPVKFVADEMKAEPPRIVRMYSSSSQQPVDYAIKETSPFLGGGQIVGGRVIRADKPASTYDLVEQMQYLFVRVVKARELPAKDVTGSLDPFVEVRLGNYRGITKHFEKKQNPEWNEVFAFSRDRMQASLLEVVVKDKDLVKDDFVGIVRFDLNDVPTRVPPDSPLAPEWYRLEDKKGDKTKGELMLAVWIGTQADEAFSDAWHSDAAASADASAVSAHLRSKVYHAPRLWYVRVNIIEAQDIVVSDKTRFPDVYVKAQIGNQFLKTKTVQARTMSPIWNEDLLFVAAEPFEEHLILSVEDRVGPNKDEVIGRVAIPVGSIERRADDKIVNSRWFNLEKPVAVDVDQLKKDKFSSRLHLRICLDGGYHVLDESTHYSSDLRPTAKQLWKPSIGLLELGILNAEGLHPMKTRDSKGTSDTYCVAKYGQKWVRTRTIINSLSPRYNEQYTWEVYDPATVLTVGVFDNCQLGEKGPNGNKDLKIGKVRIRLSTLETGRVYTHSYPLLVLHPSGVKKMGELHLAIRFSSASLVNMMYIYSRPLLPKMHYVRPLTVMQLDILRHQAVNIVAARLSRMEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMSVFSGLFAVGKWFGDVCAWKNPITTALVHVLFIMLVCFPELILPTVFLYMFLIGVWNYRYRPRYPPHMNTKISHAEAVHPDELDEEFDTFPTSRSAEIVRMRYDRLRSVAGRIQTVVGDVATQGERVQALLSWRDPRATAIFVLFCLVAALMLYVTPFQFIAVLAGFYAMRHPRFRHRMPSVPINFFRRLPARTDSML >DRNTG_15798.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21566134:21569742:-1 gene:DRNTG_15798 transcript:DRNTG_15798.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISCPRMGKALPPHVLSFTFDGQKFRTTIKEKDLNPSWNERFYFNISDPANLPNLALEAFVYSINKNSNTHSKPFLGKVRIAGTSFVPFSDAVPLYYPLEKRSIFSRVKGELGLKVFLTDDPSVKPSNPLPAIDPFPNNPLPGQVNLAQAQVPNSNSHAFPENKTESRNTFHSIPKEHNQYHSSTPAAEQPVKFVADEMKAEPPRIVRMYSSSSQQPVDYAIKETSPFLGGGQIVGGRVIRADKPASTYDLVEQMQYLFVRVVKARELPAKDVTGSLDPFVEVRLGNYRGITKHFEKKQNPEWNEVFAFSRDRMQASLLEVVVKDKDLVKDDFVGIVRFDLNDVPTRVPPDSPLAPEWYRLEDKKGDKTKGELMLAVWIGTQADEAFSDAWHSDAAASADASAVSAHLRSKVYHAPRLWYVRVNIIEAQDIVVSDKTRFPDVYVKAQIGNQFLKTKTVQARTMSPIWNEDLLFVAAEPFEEHLILSVEDRVGPNKDEVIGRVAIPVGSIERRADDKIVNSRWFNLEKPVAVDVDQLKKDKFSSRLHLRICLDGGYHVLDESTHYSSDLRPTAKQLWKPSIGLLELGILNAEGLHPMKTRDSKGTSDTYCVAKYGQKWVRTRTIINSLSPRYNEQYTWEVYDPATVLTVGVFDNCQLGEKGPNGNKDLKIGKVRIRLSTLETGRVYTHSYPLLVLHPSGVKKMGELHLAIRFSSASLVNMMYIYSRPLLPKMHYVRPLTVMQLDILRHQAVNIVAARLSRMEPPLRKEVVEYMSDVDSHSCLSSQACLQWASGSVMCALGRTPSQLPWCMSCS >DRNTG_25462.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1542154:1543928:1 gene:DRNTG_25462 transcript:DRNTG_25462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSISPKHNSEAEDEEESSSWSEITASSPPFSDTDDTWILIPNIQLEVNDDNNEVEEEEEDTESYYSQNLEEEEEEEEEEEEVEEESSSSKKLETMDQKMSSSSSRPSSETFISVLIRERVLHRLPAKQLLKLRTVSRQWNDFITSPFFIHSHSQSSTSISGVFYLRPSDPIKNPSFNPFNKYLHGLQSPELKFLPEPVAVLSSSHGLLCCRAFSGETTKYFIVNPVTGSWVLLPPPANDHGIKPAAVIVFEEPFMFNFTLDYKLVVAYDLGGCYGFEIFRSREWEWSVSAEICVTEQIVPESGVAAGGCAHWRTSMQTVVSYNPETDENWDVVWPESYREDVSWELMEINRKLICVCVKGDEICVYGSEGSGWKEMRKVRRMMGKWGSGEVKVVRVQEVKEVVLVENGRVWGWSLEDGKWREGDLFVGEGCENFVSFVGSLLHAYGSWG >DRNTG_02131.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28803433:28803819:1 gene:DRNTG_02131 transcript:DRNTG_02131.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF096 [Source:Projected from Arabidopsis thaliana (AT5G43410) UniProtKB/Swiss-Prot;Acc:Q9LSX0] MEQDGSSKGEGEVKYRGVRRRPWGKFAAEIRDSARHGVRVWLGTFDTAEEAARAYDRAAYSMRGSLAVLNFPNEVHLLQRGSSSSSGASSSSLVGANKKEVIEFEYLDDKVLEDMLEYGENEKGYKKN >DRNTG_03003.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19040735:19041787:-1 gene:DRNTG_03003 transcript:DRNTG_03003.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEAPPVAEKPFPARMFSPSRAYDHLERIKSAMECYGQWLLSTPASPPPDLPAPFEYPGAAVEPKHDIDT >DRNTG_13753.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:986253:989001:-1 gene:DRNTG_13753 transcript:DRNTG_13753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDKVHMLFHDASVKYGIELDDISYNIIVKSLCELGCLNSAFLVMVGMEKAGIKPDVVTYTTLMAAAYKCNHREIGDGLWNLMVLKRCSPNLATFNVRIQYLINRRRGWQANDLMHKMMSATSIKPDELTYNLIIKGFCSMGELEMAKRVFNALHGRGCKPNSRIYQTMVHYLCKAREFDLAFRLCKDSMEKNWFPSMDTIHCLLKGLMEISKDRNAREIMKLIRGRVPPYSAGDLEAFTSIVSGGRKKKHL >DRNTG_13483.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:17760771:17763319:-1 gene:DRNTG_13483 transcript:DRNTG_13483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVYQFASVVESRIAKREEIRTKEKMEMDERIMSLIEDNRDIDGLFEGWLCLKKMNLERTLNKLKRSGEQKRVAILQIAERGLQKVGFGFMMSSVAEEPPVDSANIDSGQSEDEVVSVAATFEKMMKNLRLEIANLRRSLEESRLENEHLQSFSGEQAQKIAENMLYIEHLEERLNKLVHNIDELMLEVTKAEEDATRWKQACELEVEAGRTAIEERDREVALLKEELSRTKMALDASNNKMQLKERLASTAMTALEAAEISLRLADSRSAGLRERIEELTKQLEEDVDQGKKEKDCGRRRLRHECWPWRVLRFTPAVSSRPGNLNRMRRLPEMEALLHFM >DRNTG_24174.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3450911:3453590:-1 gene:DRNTG_24174 transcript:DRNTG_24174.7 gene_biotype:protein_coding transcript_biotype:protein_coding MINRALAFYQIAVDNNFIKGRNAMHIAASCLYLACRQTKKAYLLIDFSDYLQINVSASIYAFGIILLFKNLLILAFSSIDSQRAYWVVKIMLFLQLHCEL >DRNTG_24174.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3453674:3454191:-1 gene:DRNTG_24174 transcript:DRNTG_24174.11 gene_biotype:protein_coding transcript_biotype:protein_coding MILYCSHCGQGSLAARDPDKGYICCADCGKVLDDNVYSEEPTFEKTGDGSSRLAGNIIRSIEDRYAESYRRRLNR >DRNTG_24174.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3441574:3446046:-1 gene:DRNTG_24174 transcript:DRNTG_24174.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIQNGNGQSQKLMLVLLLTTTRKIKKVRHLIQEMQMMFMKLIHLMIFLVPMMMATMNTMGMKMNTTEMKMKIMVMMMILWSKVHQGISRHDFLLPRHTFDDIPGPNDDGHNEYHGNEDEYYGNEDEDYGYDDDFVE >DRNTG_24174.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3452369:3452940:-1 gene:DRNTG_24174 transcript:DRNTG_24174.10 gene_biotype:protein_coding transcript_biotype:protein_coding QTKKAYLLIDFSDYLQINVYVLGAVFLQLCKHLRLWDHPIVQKLVDPSLFIHRFTESKTF >DRNTG_24174.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3445830:3454191:-1 gene:DRNTG_24174 transcript:DRNTG_24174.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDWMQTGRKPSGLCGAALYISALSHGLSYSKSDIVAVVHVCEATLTRRLMEFENTESGCLTIEEFLAKADELDEESCHNQFPRSGELLCKHKGTKESHFAHGLCEKCYNKFIKMSGGLQGGSEPPAFQRAEKQRQRESEKEGKLRESSQLNLGNDSSQQEAKFCSENETNWTGDVSMLSQETEKTGRQTADSSVFDESQNASSAPVDEHENFSDIDDVEVDGYLLHNEEEKQYKKIIWEEMNKEYLEEQAAKEAAAAAAKKAQDALLANLSGEYLSSEDLAAATAAALAKSRKVLNLAYLVLIGLTLHL >DRNTG_24174.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3446175:3452940:-1 gene:DRNTG_24174 transcript:DRNTG_24174.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDWMQTGRKPSGLCGAALYISALSHGLSYSKSDIVAVVHVCEATLTRRLMEFENTESGCLTIEEFLAKADELDEESCHNQFPRSGELLCKHKGTKESHFAHGLCEKCYNKFIKMSGGLQGGSEPPAFQRAEKQRQRESEKEGKLRESSQLNLGNDSSQQEAKFCSENETNWTGDVSMLSQETEKTGRQTADSSVFDESQNASSAPVDEHENFSDIDDVEVDGYLLHNEEEKQYKKIIWEEMNKEYLE >DRNTG_24174.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3453078:3453751:-1 gene:DRNTG_24174 transcript:DRNTG_24174.9 gene_biotype:protein_coding transcript_biotype:protein_coding MINRALAFYQIAVDNNFIKGRNAMHIAASCLYLACR >DRNTG_24174.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3441574:3454191:-1 gene:DRNTG_24174 transcript:DRNTG_24174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILYCSHCGQGSLAARDPDKGYICCADCGKVLDDNVYSEEPTFEKTGDGSSRLAGNIIRSIEDRYAESYRRRLNRGRDEINEIITNLQIGGGDNMINRALAFYQIAVDNNFIKGRNAMHIAASCLYLACRQTKKAYLLIDFSDYLQINVYVLGAVFLQLCKHLRLWDHPIVQKLVDPSLFIHRFTESLLGRKNNAVSATALRIVASMKRDWMQTGRKPSGLCGAALYISALSHGLSYSKSDIVAVVHVCEATLTRRLMEFENTESGCLTIEEFLAKADELDEESCHNQFPRSGELLCKHKGTKESHFAHGLCEKCYNKFIKMSGGLQGGSEPPAFQRAEKQRQRESEKEGKLRESSQLNLGNDSSQQEAKFCSENETNWTGDVSMLSQETEKTGRQTADSSVFDESQNASSAPVDEHENFSDIDDVEVDGYLLHNEEEKQYKKIIWEEMNKEYLEEQAAKEAAAAAAKKAQDALLANLSGEYLSSEDLAAATAAALAKSRKERRQKRAEEAKNSAPAQTPLEATYKMLKRKTFSSKINYKALENLYRINEDDTKRQRTESEADAGIAADNDQKDKKSTAFDSGDADDVHEADTFDDIPGPNDDGHNEYHGNEDEYYGNEDEDYGYDDDFVE >DRNTG_24174.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3441574:3446566:-1 gene:DRNTG_24174 transcript:DRNTG_24174.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNKEYLEEQAAKEAAAAAAKKAQDALLANLSGEYLSSEDLAAATAAALAKSRKERRQKRAEEAKNSAPAQTPLEATYKMLKRKTFSSKINYKALENLYRINEDDTKRQRTESEADAGIAADNDQKDKKSTAFDSGDADDVHEADTFDDIPGPNDDGHNEYHGNEDEYYGNEDEDYGYDDDFVE >DRNTG_24174.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3441574:3443414:-1 gene:DRNTG_24174 transcript:DRNTG_24174.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIQNGNGQSQKLMLVLLLTTTRKIKKVRHLIQEMQMMFMKLIHLMIFLVPMMMATMNTMGMKMNTTEMKMKIMVMMMILWSKVHQGISRHDFLLPRHTFDDIPGPNDDGHNEYHGNEDEYYGNEDEDYGYDDDFVE >DRNTG_24174.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3446463:3454191:-1 gene:DRNTG_24174 transcript:DRNTG_24174.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCNYVLGAVFLQLCKHLRLWDHPIVQKLVDPSLFIHRFTESLLGRKNNAVSATALRIVASMKRDWMQTGRKPSGLCGAALYISALSHGLSYSKSDIVAVVHVCEATLTRRLMEFENTESGCLTIEEFLAKADELDEESCHNQFPRSGELLCKHKGTKESHFAHGLCEKCYNKFIKMSGGLQGGSEPPAFQRAEKQRQRESEKEGKLRESSQLNLGNDSSQQEAKFCSENETNWTGDVSMLSQETEKTGRQTADSSVFDESQNASSAPVDEHENFSDIDDVE >DRNTG_23129.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5064835:5065472:1 gene:DRNTG_23129 transcript:DRNTG_23129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPTSYRYIHTILSRFVNGLGDSTWVLSRQEMLYLYSMTHHRDGFARHDDGAEKTIVPSSLGLETMRLMGMIRRYRDGVYVMIMPPPEIGEGEEAEGSQPALEPQLEQIETEASLAAQKLPPVCIFSSTRAHDRFERLGIVVGVLWAEIAEVCATQSTNHTEVMTCLDILQQLLE >DRNTG_08073.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1821991:1824783:-1 gene:DRNTG_08073 transcript:DRNTG_08073.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:Projected from Arabidopsis thaliana (AT3G02350) UniProtKB/TrEMBL;Acc:A0A178VEE3] MAGSRPPVRPIPISRRVLLSAFFTLLSLFSIVSFLSSSSSSSDHSSSPPADHRHHRRSFLSLPSDPLLSRVDLLRRHASGHHALASAYASHSLRLKLDHSRLLRQFTDLQLSLSALLPRLDPDSIPDEDALRPLEKEAKDRIKIARQLVSESKESFDTQLKIHKLQDTVLSARDQLIRAHRLRTLSGSIAAASTPKSLHCLALRLLSHPLAPKTLAPIPNLSAFFDPDAYHLAIFSNNVIAVAVVVASAAKNAVDSRRLVFHVVTDRMYLPAMRVWFKLRPPPGHARIEIRSLTDFSLGRQDLDLFTLRFYLPAMYPELRRIVLLEDDVVVQRDLADLWRVDLEGRVNGAVEMCFGGFRRFDRYLNFSHPVVAERFSPRVCAWSFGVNVFDLDAWRREHCTDRFQEYQSLNEDGILWKPEAVLPAGLMTFYMTTTPLDKSWHVMGLGYNPSVNLEEIHNAAVIHFNGNMKPWLDVAMNQYKQLWTKYVDTEMEFLPLCNFGV >DRNTG_08073.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1821991:1824783:-1 gene:DRNTG_08073 transcript:DRNTG_08073.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:Projected from Arabidopsis thaliana (AT3G02350) UniProtKB/TrEMBL;Acc:A0A178VEE3] MAGSRPPVRPIPISRRVLLSAFFTLLSLFSIVSFLSSSSSSSDHSSSPPADHRHHRRSFLSLPSDPLLSRVDLLRRHASGHHALASAYASHSLRLKLDHSRLLRQFTDLQLSLSALLPRLDPDSIPDEDALRPLEKEAKDRIKIARQLVSESKESFDTQLKIHKLQDTVLSARDQLIRAHRLRTLSGSIAAASTPKSLHCLALRLLSHPLAPKTLAPIPNLSAFFDPDAYHLAIFSNNVIAVAVVVASAAKNAVDSRRLVFHVVTDRMYLPAMRVWFKLRPPPGHARIEIRSLTDFSLGRQDLDLFTLRFYLPAMYPELRRIVLLEDDVVVQRDLADLWRVDLEGRVNGAVEMCFGGFRRFDRYLNFSHPVVAERFSPRVCAWSFGVNVFDLDAWRREHCTDRFQEYQSLNEDGILWKPEAVLPAGLMTFYMTTTPLDKSWHVMGLGYNPSVNLEEIHNAAVIHFNGNMKPWLDVAMNQYKQLWTKYVDTEMEFLPLCNFGV >DRNTG_08073.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1820888:1824783:-1 gene:DRNTG_08073 transcript:DRNTG_08073.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:Projected from Arabidopsis thaliana (AT3G02350) UniProtKB/TrEMBL;Acc:A0A178VEE3] MAGSRPPVRPIPISRRVLLSAFFTLLSLFSIVSFLSSSSSSSDHSSSPPADHRHHRRSFLSLPSDPLLSRVDLLRRHASGHHALASAYASHSLRLKLDHSRLLRQFTDLQLSLSALLPRLDPDSIPDEDALRPLEKEAKDRIKIARQLVSESKESFDTQLKIHKLQDTVLSARDQLIRAHRLRTLSGSIAAASTPKSLHCLALRLLSHPLAPKTLAPIPNLSAFFDPDAYHLAIFSNNVIAVAVVVASAAKNAVDSRRLVFHVVTDRMYLPAMRVWFKLRPPPGHARIEIRSLTDFSLGRQDLDLFTLRFYLPAMYPELRRIVLLEDDVVVQRDLADLWRVDLEGRVNGAVEMCFGGFRRFDRYLNFSHPVVAERFSPRVCAWSFGVNVFDLDAWRREHCTDRFQEYQSLNEDGILWKPEAVLPAGLMTFYMTTTPLDKSWHVMGLGYNPSVNLEEIHNAAVIHFNGNMKPWLDVAMNQYKQLWTKYVDTEMEFLPLCNFGV >DRNTG_08073.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1820888:1824783:-1 gene:DRNTG_08073 transcript:DRNTG_08073.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:Projected from Arabidopsis thaliana (AT3G02350) UniProtKB/TrEMBL;Acc:A0A178VEE3] MAGSRPPVRPIPISRRVLLSAFFTLLSLFSIVSFLSSSSSSSDHSSSPPADHRHHRRSFLSLPSDPLLSRVDLLRRHASGHHALASAYASHSLRLKLDHSRLLRQFTDLQLSLSALLPRLDPDSIPDEDALRPLEKEAKDRIKIARQLVSESKESFDTQLKIHKLQDTVLSARDQLIRAHRLRTLSGSIAAASTPKSLHCLALRLLSHPLAPKTLAPIPNLSAFFDPDAYHLAIFSNNVIAVAVVVASAAKNAVDSRRLVFHVVTDRMYLPAMRVWFKLRPPPGHARIEIRSLTDFSLGRQDLDLFTLRFYLPAMYPELRRIVLLEDDVVVQRDLADLWRVDLEGRVNGAVEMCFGGFRRFDRYLNFSHPVVAERFSPRVCAWSFGVNVFDLDAWRREHCTDRFQEYQSLNEDGILWKPEAVLPAGLMTFYMTTTPLDKSWHVMGLGYNPSVNLEEIHNAAVIHFNGNMKPWLDVAMNQYKQLWTKYVDTEMEFLPLCNFGV >DRNTG_21646.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:149660:156121:1 gene:DRNTG_21646 transcript:DRNTG_21646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFVEGGNQVCGGTDAVLNALAAYLRYLEGTARYDWQELHQKLREAETRKGASFGVLFGPALRLGIISRRRVHHEALNYERERNGGFLSPFGYSALTVMAAVETVCSMEWYSLLALKSQICNNELYPIRIWRWNGYLIQYTAVGKKGPAVLLVHGFGAFLEHFRGNLSSMANNGHRVWALTLLGFGKSEKPNIPYTELLWAELLRDFIIDIVSEPVHLVGNSIGGYFVATVAGLWPVLAKSIVLLNTAGSVVPGYSSAQIITEAQQASAIMWLGSRLLLWYLRLRAGSILKEYYPTNSERVDDWLVNEILRASYDPGVPIVMESVVNFNLSVPLNYLVESFGGKVLIIQGIKDPLSKSRLRLSMFWENCSGATVRELDAGHCPHDEVPEEVNSILYDWVKTTESSNYAVERV >DRNTG_00725.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18356037:18356363:-1 gene:DRNTG_00725 transcript:DRNTG_00725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKIYGGRGEKRNLGGQTLVPSREKWAGDEE >DRNTG_31794.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28537636:28539277:1 gene:DRNTG_31794 transcript:DRNTG_31794.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIIFTTIVLIILIILIKLLIFSKTQNKNKIKKPPSPTSLPILGHLHLLINNKQPLYKTLTQLSINHGPIMLLRFGFRPVLIVSSFSAATECFTNLDVIFANRPKLPAEGRLTYNHTTLGTSSYGPHWRNIRRIVTVHLFSSAKLNLSSTIRAAETHALVRRLFKGGSEFKRVDMRTVLHEMVLNVMMMLVAGKRYYVDEGVLNADVDEVKWFEEMVEETFKFSGISNARDFLPEFFRVVDVHGVEKKLARLEKMWDEYLQRLIDELRGKLGQENHDDNESIIRINLIGTLLAMQEDEDPDYYTDNLIKAQVLSLLSAGSHTASGTLEWGLSLLLNHPQVLRKARDEIDELVGHDRLIKEFDIPNLPYLHCIVLEVLRLYPTTPLLLPHESSQDCNVSGFDIPRGTVLVVNAYAMHRDPEIWEDALMFKPERFLGGEEEGKKMMPFGMGRRRCPAEAFSFRVVELVLGALVQCFEWERVEDVEVDMEGGPGLDMRKAIPLELMCKTSSRFNWCYF >DRNTG_32386.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001834.1:14140:18775:1 gene:DRNTG_32386 transcript:DRNTG_32386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTPMFDSEAEPAVIYEGGEQSEWVKRYPNRMAIAKLSTNRWFEDALTDTICLKPEGEELSEAELVGGIVASVGRYDFDINFYKALVELWCPDTNTFYFLHGEVGISLWDIKELGGFPITGDIYDEVIPPNDTIYRRYDSETSILRNLFDIVQWLSRQSVDEDVFFEDWVEFFRKSRHSSRHRSASNPPPMLVFEADLVAFIALWICFFIIPGDRKVIRPGVFMMACNIAQGRRVAFAPSVLARLYLCLNAMAKHRRGPGYSTTVFPAHFLYAWAGYYFRSIYLKRRIDDNTSSISGLLRIPKMLQFMNSNATPFTNPIYVRNFIRHESNFISRPFSFMHHNDEVVRHSRYPTGPYVLDSYTMEYVMNLRCGILPLRPNFDSKSVSNIGIQIRDTPLDNVKAIQSPPRIDDVPPLDLEMHMSSHDLMNEQDLSVGAGENIGVGDDVGMEDNIRVEENIEIEEALSNPLQGDAPVALENELPQNPPIIQEAIQEDESISSLGQTPLASFIKDAPSSMDSDGGNTHVESVPCDSKNANIENTPQNSGNVNVDTTPLGTHNEDIQEIAKDVHTPTDELESDGSNADVGITNLPEQAQEYTECSFTPARRDDQQHQVPHNYQDIINKVKESKLLFQPFNVDLSNLEPFIEKIARCASYAKEASEDPNVQACIRSQQAKACVHERTLALEQLNNLRTDWTNDISANKEKYQKLAEREHQLQAELCEVQGTMKTLSKKMADSTDLLEASEPTQANLQVALYEAKTQLAENQGDNLDTPLMVIFTKWLDELEEAKESLLKFTGH >DRNTG_02069.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000104.1:41467:45640:-1 gene:DRNTG_02069 transcript:DRNTG_02069.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOL3 [Source:Projected from Arabidopsis thaliana (AT2G43940) UniProtKB/TrEMBL;Acc:A0A178VV10] MAMARMGSRSGDDDHEHSKDLGSNPKIVNLRLLIGADGWEKCWEEGLTPWDLGQPTPIFTHLLQTGNLPKGRVFIPGCGSGYDVVAIAGPERYVVGLDISHSAIKKAEKLSSSLPNASYCTFVAADFFTYQPSELFDLIIDYTFFCALDPSMRPDWAKKIGDVLKSDGELLTLMFPIGDEAGGPPYSVSVPAYEKVLNPIGFKLISVVDNELAIEPRKGREKLGRWKKVHSKSSL >DRNTG_02069.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000104.1:41467:45640:-1 gene:DRNTG_02069 transcript:DRNTG_02069.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOL3 [Source:Projected from Arabidopsis thaliana (AT2G43940) UniProtKB/TrEMBL;Acc:A0A178VV10] MEDGWEKCWEEGLTPWDLGQPTPIFTHLLQTGNLPKGRVFIPGCGSGYDVVAIAGPERYVVGLDISHSAIKKAEKLSSSLPNASYCTFVAADFFTYQPSELFDLIIDYTFFCALDPSMRPDWAKKIGDVLKSDGELLTLMFPIGDEAGGPPYSVSVPAYEKVLNPIGFKLISVVDNELAIEPRKGREKLGRWKKVHSKSSL >DRNTG_06362.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24398402:24399443:1 gene:DRNTG_06362 transcript:DRNTG_06362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMGMPAVDAVKLVKHGGGYGSVSLGVMVVVYCSNGVIKSGILSNACDWWFVDFLRPSLTHQPSTFLVLHQPTNHLDIPSKEMFEEAISEYQETVITVFHDWYFIRQIVNRVVEVKDKGLSNYAGDYNYYLEKNLEARERELSREAELEEKAP >DRNTG_31623.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:784745:787702:1 gene:DRNTG_31623 transcript:DRNTG_31623.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVPFERDEPYKCETKSISSHRSLADHMGASLMDHVPNFPCRISEEIVRCIAAIYCKLANHPPVQQTELPVSPTLSLSTSSTYSPQDPNDNWSPRCNFETTSSPPRLCNPYSNMIEVPKITVDEDKFDYASKMLNIFRSLIKQLEVVNPRKMKHEQQLAFWINIHNALVMHAFLAYGLHQNMMKSTFSILKAAYNIGGQSINVYDIQNSILRCQSHRPSTWLQALYTTAISFKKPNDKHSYALDHPEPLAHFTLSSGAYSDPAVRVYTAKSVHQEMKQAREEFIQSNTQIKNERKINLPKLLHYYTKDASLELPELLKIICDCLPLSQQKLMQRCLKGRTVEKCIEWSPYKTTFRFLLHRDLDKQKKSLHNIYQL >DRNTG_27127.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1831591:1832475:1 gene:DRNTG_27127 transcript:DRNTG_27127.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof zinc finger protein DOF1.2 [Source:Projected from Arabidopsis thaliana (AT1G21340) UniProtKB/Swiss-Prot;Acc:P68349] MLPYTPRPLIMDRRWKPDIELAPNCPRCDSSNTKFCYYNNYSLTQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRGKSVRTASNLMNNREANHGHRQPQGTLRPDLALEGMVGNSCNQTSGLIDMSDINGGPTIDLSLLYTKYLNQPCQENGNGESVPEFQGEIDEPLNSLMNTSSFVHPMTTDTLSQNSSEPVSDTLMSNGSCQGLMGELNSSLDQIEYMNSLPFSVDHGVAANEVLSSNCTIAPGFMLQETKYHGLETFISEDNTAHQENLISGDWSTFSQTTFEAFYTL >DRNTG_33249.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001981.1:47807:52417:-1 gene:DRNTG_33249 transcript:DRNTG_33249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFIHPQGSVPSNIEPNPREHLKAVTFWSWRPLEARVADGSSTKKDGVTILEDPEVDEQEVLAMNPVDEYLEEVDNKEDKMNVLVPPPMQHVSYVGTDPPPSRKKKKNMKKMWHKATCGPRCPLPGGPRGPHRASLCAERLGAPTQHPRGLLAVHAQHCSKETWSYINQAVNLTGTARPAHLSLNLLKNWELAL >DRNTG_28352.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6867860:6868794:1 gene:DRNTG_28352 transcript:DRNTG_28352.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQVSLSLPLPQEQKPKLTIFIRNKSRVILNLKEVIRACQRVGFNVQILNPKRSTPLSEIYTALSSSQAMLAVHGAAMTHFLFMQSGSILIQIVPLGLDWPAEAYYGEPAKKLGLKYMEYKLTKHESSLSKEYDSHDPVLVNPLVITSKGWSETKKIYLEKQNVRLKIRRFSKVLARAHSHVCDTKVL >DRNTG_28352.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6865728:6868794:1 gene:DRNTG_28352 transcript:DRNTG_28352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNYKMAQKSRTKPANSQHDDQEPKHHKHHHVLRSIPLFLLIIYTITSMQRLLISPHPPPLLLHLDQETKNNLQADHHKQSNNLALCSSLIDGSITDVNTVLCCDRSHERTDVCYMRGKIRTDHNTSTILISGPGLDPESSIRAEIIRPYTRKWEAGIMNTIDELTLRPAPSDSSHTACDVVYAVPGVVFSTGGYTGNVYHEFNDGLIPLYITTERFKGEVVLVVLEYHSWWMTKYGSVVEKMSNYEIVDFRRDRRVHCFSELIVGLKIHGELTIDPHLMPKENKGINDFQALLNQGLTPSNPNHFMQPQVSLSLPLPQEQKPKLTIFIRNKSRVILNLKEVIRACQRVGFNVQILNPKRSTPLSEIYTALSSSQAMLAVHGAAMTHFLFMQSGSILIQIVPLGLDWPAEAYYGEPAKKLGLKYMEYKLTKHESSLSKEYDSHDPVLVNPLVITSKGWSETKKIYLEKQNVRLKIRRFSKVLARAHSHVCDTKVL >DRNTG_34083.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002086.1:12141:17565:-1 gene:DRNTG_34083 transcript:DRNTG_34083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDDVMDAFVCIIQKSLSKVPYPYKKRAFITRPLALFMSKQDDAHESTIAMIGDAVRNLHEVQIIILPIIMNDHFHVLVLDNDKQEYKHYSSCTGYDKDALDMRNLFDICVDMEFGQSAISKYPLVHDMETPCQKQESVDCAVYVMRFIEQLLFDEKLRLPQTDVPYLRLKYVTHILKEGRAAGVHEKGGSSQVG >DRNTG_09657.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27723288:27725195:-1 gene:DRNTG_09657 transcript:DRNTG_09657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSSLWKLGRLEKYLRELTRFTKQQLYQWRLLLAPKAAWERSAILCLITVVVLVSWKGQYLEVHFQSIKRDFSIASCRDGDVFDGKTLSLCVRRAELFADSVSNYLCNVKAGDVVEISGPLGGKMVFVEDPEAKHVMVATTTGIASFRSNLQRLFVDPKSTETFNGLAWLIPGADNYNSLLYNGEFTEILDKNPDHFRY >DRNTG_05344.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18513407:18513943:1 gene:DRNTG_05344 transcript:DRNTG_05344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEESIKVGDEAPPKPQRPPLQTAPVWIFHAAAWAARIFTRARGISTRAREQ >DRNTG_26265.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6262055:6264547:1 gene:DRNTG_26265 transcript:DRNTG_26265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTPHSPAIYYFDKPVYLRLQVMTRGNPSILVGDDPELECTLKRRGKEPAQEPSIRAEIQGEGSKNMVDENEQYRTLSDYARPRVIVMQPCIFNGLADEDPNNHIENFLEVCDMLKSNGITDDAIRLRAFPFSLKRRAKQWLHSLPRASIITWNKMVETFLPRYFPPRKSANKCLCTKNMKVVEPNREERSQPPLCFCVLTRRRKLSSKTYEFVPTSIVINGLSKLEGYKGSHTHVFKLVQNIAPRTKITAEKCPREHSLETKHIEFAISKHQAQFERLSKLRFGQTLFLDVSAVRKIQMAGEMAYKVEELLLVANCHRLLSIREPAICKLTMESEPIHMGHIVADYLRHQGQYARVGVLFSGPSITRLVMGMGLLDAICGAEKAIIHSPLGLDKMRLMGLVHRHGPGGYPLIMPAPEIAEGVGDTAEGSQPFPEPQPEYTETEIPPTSQELPPLRMFSPSRAYDQFERLESTVGVLRI >DRNTG_33931.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22026929:22034202:-1 gene:DRNTG_33931 transcript:DRNTG_33931.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDHLDHERRKAQFDVEAMKIVWAGSKHNLEVSDRMARLVASDPVFEKDNRQRIDRRELFNNTLRKAAHAWKRINELRLTEEEASKLRQFVDEPSYVDLHWGMFVPVIKAQGTDEQHKKWLTLAYKMQIIGCYAQTELGHGSNVQGLETTATFDPKSDGFVIHSPTLTSSKWWPGGLGKASTHAVVFARLITDGRDYGVHGFIVQLRSLEDHLPLPGITVGDIGTKFGNGAYNSMDNGVLRFDHVHIPRDQMLMGLSRVTKEGKYLKSDVPRQLAYGTMVYVRQAIVSDASRALSRATCIAVRYSAVRRQFGSHDGGPESQVLDYTTQQSRLFPLLASAYAFRFVGDWLNWLYTDVTRRLQANEFSTLPEVHACTAGLKSLTTSVTADGIEECRKLCGGHGYLCTSGLPELFAVYVPACTYEGDNIVLLLQVARFLVKTVSQLGSGKQPTGTTAYMGKVEHLMQCTCDVQKAADWLRPSTILEAFEARALRMAVTAAKNISMASNPEEGFSALSADLVEVATAHCQLIVVSKFIDKLQQEIVGNGVKEQLQNLCNIYALSLLHKHLGDFLSTGCITPKQGALANEQLRSLYSQVRPNAVALVDAFNYTDHYLGSALGRYDGNVYPTLYEEAWKDPLNDSVVPDGYYEHIRPVLKQKFRVARL >DRNTG_16831.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18041431:18046267:-1 gene:DRNTG_16831 transcript:DRNTG_16831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCGTNGHNSRTCPGRTGGGGGGVKLFGVRITEGVAPMKKSFSMGCLSSATSAVATGASPNQAGPSSPDQLRDHASGYASDDPTHASCSSNCRSERKKGIPWTEEEHRMFLVGLQKLGKGDWRGIARNFVVSRTPTQVASHAQKYFIRQTNATKRKRRSSLFDMVPEMPMDELPVQEEFMLSSTNEPHENPTKLPSAHNCLDEQSEHKETFENPPVEAQENIPYSTLPLPIPVVPFYSPFVPVPLPFWPPPTMVNPMKSNGVAETHEVLKPTPVLPKEPINVDEVVGMSKLSIGETSATGMEPSALSLELLGSSSSSRQSAFHINPSIGSPDLSQSKTNAIHAV >DRNTG_33949.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:949355:949643:1 gene:DRNTG_33949 transcript:DRNTG_33949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRQQQIAAHMINGMAYPIQLNVRKTMH >DRNTG_00937.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21245399:21247716:-1 gene:DRNTG_00937 transcript:DRNTG_00937.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKLGSRGQAWFCTTGLPSDVVIEVERMSYHLHKFPLMSKSRKLHLLITEHEKEEDDDGEIEEEELHHIKLSDFPGGAETFEAAAKFSYGVKIELTAWTAAPLRCAAEYLEMTEDFSEDNLISRTDRFIAQSVLRNIRDSIKTLKSCENLMPLAEDLGIPQHCVDAIAAKASASPDLNSLFGWPIRERTDPKAILWNGIEVGGRRKSEIRQPEPWFEDLTMLSLPIYKRVIAAMKARDLNPEAIEGSLISYAKSSIPGLSRSSRKRAGAAATPPLSSETEQRELLEIIITNLTSEKSSSLITTQFLFGLLRTANILRSPAASRSALEKKIGAQLENATLDDLLMPSYSYLVDMLYDVECVDRIVGYFVERQEGTSAIIHAEEVVGSPHSENTAMIAVGKLMDGYLAEIASDANLKADKFCDLALSLPDHARVYDDGLYRATDLYLKAHPGLREDEREKVCGVVDCQKLTLEACTHAAQNNRLPLRAVVQVLFFEQLQLRRAVAGTIIAADVASSEPQAARSEDSVSVVAEGETWRSAVRENQVLKLDMDSMRSRVHELERECTRMRRALERMGSGAGAARAGALGGIARRLGCRFATQVCDSRERTVVQPRKTKQ >DRNTG_03713.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000173.1:81457:83050:1 gene:DRNTG_03713 transcript:DRNTG_03713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKRIKAISMDVESVEILRLLKDLPTWRINLENIHDMPTLQLCDLSYKRDGEGLMELHISDCGFEDLLINGSGVSLKQIKLSGLPKLKQISCPKEITRPTEAFRSGCFLKLTYVSISNCDSLRSLSWVLHLPCLCVLEVDKCLAMEELIDPAEMQQASSCLPNLQFLKIKQMPNLVSLCTCLLDFPVLSRLWLKFCPKLEQITRSTEAFPSGCFPKLTDVYISYCDLRSLSWVLHLPCLRILSVRNCSGMEGLIDLADQMQQASSGLPTFPRLQSLSISHMLNLLSLSTCSLDFPVLSMLTLKSCPKLKKIPFKSSIVNNKFEHVTVDKDLWESLEWEDTTIRSHLTKFLKAR >DRNTG_25088.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:720148:724120:-1 gene:DRNTG_25088 transcript:DRNTG_25088.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVSTALNFTAHPRLLKYQIYPSASSKVLLLSSAPAFLIADRRRRWIVAEASPTRDEEVVVVEKKGVGEWGKVSAVLFDMDGVLCNSEGAAGMAAVDVFAEIGVEVEAEDFMPFVGTGDANFLGSVARLKGVEEFDTATAKKRFYEIYINKYAKPNSGIGFPGALELVMECKRRGLKVAVASSADRVKVNANLAAAGFDPSIFDAIVPADGLKRLKPAPDIFLKASKDLNAPAHECIVIEDAFAGVQAAKAAGMRCIAVMTSLSEDKVKQANPSLIRKEIGDISIQDILNGGDSGSHII >DRNTG_25088.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:720148:724120:-1 gene:DRNTG_25088 transcript:DRNTG_25088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVSTALNFTAHPRLLKYQIYPSASSKVLLLSSAPAFLIADRRRRWIVAEASPTRDEEVVVVEKKGVGEWGKVSAVLFDMDGVLCNSEGAAGMAAVDVFAEIGVEVEAEDFMPFVGTGDANFLGSVARLKGVEEFDTATAKKRFYEIYINKYAKPNSGIGFPGALELVMECKRRGLKVAVASSADRVKVNANLAAAGFDPSIFDAIVPADGLKRLKPAPDIFLKASKDLNAPAHECIVIEDAFAGVQAAKAAGMRCIAVMTSLSEDKVKQANPSLIRKEIGDISIQDILNDEEIKEEKPSKYLCNGVRSGVSKLPNGR >DRNTG_25088.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:720148:724120:-1 gene:DRNTG_25088 transcript:DRNTG_25088.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGDANFLGSVARLKGVEEFDTATAKKRFYEIYINKYAKPNSGIGFPGALELVMECKRRGLKVAVASSADRVKVNANLAAAGFDPSIFDAIVPADGLKRLKPAPDIFLKASKDLNAPAHECIVIEDAFAGVQAAKAAGMRCIAVMTSLSEDKVKQANPSLIRKEIGDISIQDILNGGDSGSHII >DRNTG_06077.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8391786:8392313:1 gene:DRNTG_06077 transcript:DRNTG_06077.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHVKVPSSLLKTREHSQAHCQVSSG >DRNTG_15597.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000772.1:2398:4117:-1 gene:DRNTG_15597 transcript:DRNTG_15597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYSNFFKGYYNYYHPYSSSSSSFFPTNTPSYNPNNTTQSPPPSPPLREALPLLSLSPKDNNNNSNNTSEPCLPFHEDGNKNKALCDEDEDESVTVALHIGLPSPTATDLLSRVSCVSTQEEEEEQDHGDKDGEDGGGGGDDVVPLGYPTSAIGKLNKGQYWIPTPAQILIGPTQFSCPVCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGIQPTAMLRLPCYCCSPGCRNNIDHPRSKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKAFGHGHAAYGIDVFEEEDEPSSEIEQDCANASH >DRNTG_07183.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:12309209:12309682:-1 gene:DRNTG_07183 transcript:DRNTG_07183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGPAAPPGPKQEPAKGPAPPLVCSWSHKRYSEKGGFRSCAACNKNDLVRCSIAYSQPFDSPSDGCHCVRFSCLSFFQN >DRNTG_28720.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8270591:8271001:1 gene:DRNTG_28720 transcript:DRNTG_28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEGGVVNKGHEEGMKLAVSLLEEFALPMGLLPLSEVIEFGFVRATGYFWIAQKKKVEHNFKMAGKLVSYDTDIHGYISTKRIKKLKGVKAKELLLWPPVNEITVDEPPTGKIHFKSLAGVTKTFAVEAFAAGQ >DRNTG_11368.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15020556:15025499:-1 gene:DRNTG_11368 transcript:DRNTG_11368.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNITYPPPPSTFSDGDYGPWLKPKPCRLSSYSRAKVEEMDVVVAPVVLLTETLTLTRNVSLEMMNYRSMRGLLHGSFPHMWVSPHVLRCPLILKHGNPPVGTPNNKPLPRSKEEIPTSEPLLISSIKLLPPSDASNLADQHLLLVNKVMYFLSRGDQNVEDMIAKAISRILGIKLGQFPFLYLGVPISPKKLLINQLSFLPSRVNSAIHSWNQSSISTAGRVFLLNSTIFVIPIYFLFVMNLPKTIMDSISKLTTLGDDIGDVTITNDGATILKMLEVEHPAAKVLVELAELQDKEVGDGTTSVVIISSELLKRANDLVRNKIHPTSIISGYRLAMREACKYVDEKLAVNVEKLGKDSLINCAKTSMSSKLIASDNDFFSNLVVDVVQAVKTTNARGEVKYPIKGINILKAHGKSARDSFLLNGYALNTGRAAQGIPTRVAPAKIACLDFNLQKIKMQMGVQLLVSDPRELEKIRQRESDITKERIEKLLKAGANVVLTTKGIYDMSLKYFGEAGAIAVRRVRKEDLRHVAKTTGATVVSTFADMEGEPRESLKTKKKERKKVEPERKQRRGRRKEDLQRSQRRERRS >DRNTG_10800.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17456417:17461970:-1 gene:DRNTG_10800 transcript:DRNTG_10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLDYDYSFKILLIGDSGVGKSSLLLSFISDHVDSLLPPTIGVDFQIKYITVAGKKLKLTIWDTAGQERFRALASSYYRGAQGIMLVYDVTRRKLFTNLSDLWAKEIALHCTNLDCIKVLVGNKVDKEADRMVTREEGAALAQECGCLFIECSAITRINVDKCFEELASKILEVPSLLGEGSFAVKTKEEGNASLKQEYHAPPSTGCCS >DRNTG_12814.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14008110:14008454:-1 gene:DRNTG_12814 transcript:DRNTG_12814.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVERFWPTLPKWVRGRQTAIFLNESVIRSIQAKEECKLCVAQMISLSTTCERNGASLVPTIVAWKTLESG >DRNTG_23660.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20474016:20476750:1 gene:DRNTG_23660 transcript:DRNTG_23660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVMGYLTGLFFYTAAPLSLCCSVVPEAVSYASSQVSEFIVRGRAAMPDLQLDWWEYARPFLQLGWYQWAGATIFIWGWCHQLRCHAILGSLREHRGADEYVIPHGDWFEYVSCAHYLAEIVIYASILLASGGLDLTIWLLFFFVVGLL >DRNTG_23660.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20474016:20476750:1 gene:DRNTG_23660 transcript:DRNTG_23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVMGYLTGLFFYTAAPLSLCCSVVPEAVSYASSQVSEFIVRGRAAMPDLQLDWWEYARPFLQLGWYQWAGATIFIWGWCHQLRCHAILGSLREHRGADEYVIPHGDWFEYVSCAHYLAEIVIYASILLASGGLDLTIWLLFFFVVSNLVFAAAETHRWYHQKFENYPVTRRAILPYVY >DRNTG_23660.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20474721:20476750:1 gene:DRNTG_23660 transcript:DRNTG_23660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHVMGYLTGLFFYTAAPLSLCCSVVPEAVSYASSQVSEFIVRGRAAMPDLQLDWWEYARPFLQLGWYQWAGATIFIWGWCHQLRCHAILGSLREHRGADEYVIPHGDWFEYVSCAHYLAEIVILILSFS >DRNTG_17832.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:6222627:6319226:1 gene:DRNTG_17832 transcript:DRNTG_17832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQGSMKSRFIHFSEDPHGHVEIIHARVEITHGRVKHPCPVSRPIPALFKAISAPILVFFSPSFPQLERGLRLGFRGVLAKVLERFYGSDIVIPSEEGVLDKARLERVERVSRELQVMTRGNPSILIEGDPDLERTLRRKGKEPVQEQSNPNDLEAEECENMAEQNEQQRTLSDYARPSVLGTQLSIVRPPITAQNFELKPAFIHMLQQSAQFNGLADENPNSHIESFLEVCDMLKINGVMDDAIKLRAFRKISKA >DRNTG_04594.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19457219:19457793:1 gene:DRNTG_04594 transcript:DRNTG_04594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWSSLVFFKGSIPKPRAHLTKPMPMKAPPKTIFRKNAAFKAVGLLQKPYRNSPNPSCLASVAQVRTCECDCLCAPPNHVFV >DRNTG_32476.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001840.1:28963:41058:1 gene:DRNTG_32476 transcript:DRNTG_32476.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQRAQALRRILESPGVFQGPACFNALSAKLVEKAGFQYCFTSGFSISAARLGLPDVGLISYGEMLDQGYNITQAVSIPVIGDVDNGYGNEMNVKRTVKGFIQAGFAGILLEDQPAVIPEEGRLFQGRRR >DRNTG_32476.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001840.1:28963:42378:1 gene:DRNTG_32476 transcript:DRNTG_32476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQRAQALRRILESPGVFQGPACFNALSAKLVEKAGFQYCFTSGFSISAARLGLPDVGLISYGEMLDQGYNITQAVSIPVIGDVDNGYGNEMNVKRTVKGFIQAGFAGILLEDQPAVIPEEGRLFQGRRR >DRNTG_25464.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1548946:1558185:-1 gene:DRNTG_25464 transcript:DRNTG_25464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDSNADSLRGLNVDTSHGPILKDMHGSDNPMPLSPQWLLPKPGDNKLGAMSGDPHFSPHNPKHSDAVKVARNGDSFRDVDKKRDVFRPSFYDTESGRRDRWHDEEREINSGIRRDRWREGDKDIGDSRRPERWTDSSSRHGGEARRVSSDKWMDSSNRDNNYDPRRESKWNNRWGPDDKDSESRREKWSDSGRDGELSREKGISHVSNQGKDINNHGKDSDKDGEHHSRSWRSNSFLARGRGDASHHPSLSSNKPTPTFGYGRARGENGTPVFPAGRGRGNLTLSSANSSVSRAISDKFEDIHGDPHTLKYTRMKLLDIYRTTNISNYRMSFEEFIEVPSLTIGEPLEPLALFAPPPEELVILKGIDKGDIVSSGLPQVSKDGSAGRSSVDVVPPKHIRPGSLEDFPYTVDDGRDDLTGSSKGLRLEGFAKKGDPIGDTSVSESFLKEHHVQPTGQSVDSSSHDCNAANTSAGPGWPNIQKDLEMEQMNTMNTSAVSSAYQRDGSLRHDDKNFHSEFGPSSLVRRQSSEVLGQDRDGSLSHGPGDSFILKDKPVARKLQSQPSPEELTLYYQDPQGQIQGPFSGSDLIGWFEAGYFGIDLPVSHCKCSG >DRNTG_26075.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4164194:4168322:1 gene:DRNTG_26075 transcript:DRNTG_26075.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type-4 [Source:Projected from Arabidopsis thaliana (AT1G56450) UniProtKB/Swiss-Prot;Acc:Q7DLR9] MAQSFEVPNGHSVEEHDLERTLNPYVTGTSVIGLKYKDGIILAADTGGSYGSTLRYKSVERIKPIGKHSLLGASGEISDFQEILKYLDELIIYDNMWDDGNSLGPKEVHNYLNRVMYNRRNKFDPLWNSLVLGGVKNGQKYLGVVNMIGTHFEDDHVATGFGNHLARPILRAEWHADLSFEEAVKLVEKCLLVLLYRDRSAINKFQLAKITEEGTTIYPRYSLKTFWGFSAFQNPTKGAVGSW >DRNTG_17958.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:27685025:27686253:-1 gene:DRNTG_17958 transcript:DRNTG_17958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTFDDMSLGGEMRLRVATRLLDGRARIWWESLNSRSFGRVTWSDFLREFDEEYYTRFHRDQKRHEFMRLVQGNKRVTEYETEFEGFGWFCSRVGTY >DRNTG_22834.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5025084:5028529:-1 gene:DRNTG_22834 transcript:DRNTG_22834.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTTSPAGTATGTLTLTITDPSSSSSSSSQQPPAQREETLVLRLRRPKKKVSWKEGTVDNEFLQKKSSKKCCIFHKQKSFDEDDSDEEDNGGHGSNGGGDKGSVDGAGPSSCCSHGARDH >DRNTG_09146.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:12675700:12678202:1 gene:DRNTG_09146 transcript:DRNTG_09146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVFLARYFPPRKSTKFRNEISSFVQLELESLFETWERFKELLRKCPQHRFLEWMIVQTFYNGLNPSTTQLLDAAAGGTLGIKTPDEARQLIEEMGLNNYQWNVREKKKVDGLHEIDAVTSLAAQVESLSKKLDLLTSNRVAAVTNYSGCGGGHAPSIARFLLRSTWLDLCNLQIQGLNQSRLHFATTPPLFITLKIRWGKLRSLFPKGHMEACRAIQRPTLESM >DRNTG_31491.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2027806:2030375:-1 gene:DRNTG_31491 transcript:DRNTG_31491.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVLFSNSEGNILIERFHGVPSEERLHWRSFLVKLGADNLKGAKNEELFVASHKSVYIVYTILGDVCIYVVGKDEYDELALAEAIFAITSAVKDVCSKPPTERIFLDKYGKICLCLDEIVWKGMLENTDKDRVKRLIRLKPPTDV >DRNTG_35327.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1481661:1490163:1 gene:DRNTG_35327 transcript:DRNTG_35327.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLEEEKFKESVHKLEQESGFYFNMKYFEEKALAGEWDEVERYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFSTFNEELYKEITQLLTLDNFRENEQLSKYGDTKSARSIMLIELKKLIEANPLFRDKLVFPTLRASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCAPPNGARAPSVSVPLAAVPKPATFTPLGAHGSFPPAAAAANANALAGWMANAAASSSVQSAVVSASPIPFPSNQDYQNAESEQLVKRIRPITHQPDEVAYPAPGPQGAWSLDDLPRTVACAMNQGSSVTSMDFHPSHHTLLLVGTTNGEITLWEVGLRERLVSKAFKIWEMTTCSSQFQAAIVKDSSVSITRVSWSPDGSLIGVAFSKHLIHLYAYQAPNDLRQFREIEAHIGGVNDIAFSYPTTKQLCVVTCGEDKLIKVWDLNGQKLFNFEGHEAPVYSIRPHYKENIQFVFSTALDGKIKAWLYDNNGSRVDYDAPGHWCTTMLYSSDGSRLFSCGTSKDGDSFLVEWNESEGAIKRKYSGFRKKSTGVVQFDTTQNHFLAAGEENQIKFWNVDNPAMLASTDAEGGLPNLPRLRFNKEGNLLAVTTVDNGFKILANAEGLRLLQPFGSRPFEAMRGQFEASPIKVSAAPIVASISANISKVDHLDRSSPAKPSPILNGADPSRNIEKPRISEDLPDKTQPWELSEIVDPPQCRVVTLPENADAPTKVTRLLYTNSGTGLLALGSNAIQRLWKWARNEQNPSGKATASVAPQQWQPNSGLVMTNDASDTNPEEAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMAPPPASTYLAFHPLDNNIIAIGMEDSTIRIYNVRVDEVKTKMIGHQKRITGLAFSNNLNILVSSGADAQLCIWNTDSWEKKKSISIQLPAGKAPVGDTRVQFHSDSIRLLVVHESQLAIYDASKAERIRQWVPQGSLAAPISHAAFSCNSQLVYAVFCDGNIGVFDADNLKLRCRIAPSAYLFPASTNSNPAVYPLVIAAHPQEANQFAVGLTDGTVKVIEPLESEGKWGLLCLLIMGL >DRNTG_35327.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1481661:1490163:1 gene:DRNTG_35327 transcript:DRNTG_35327.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLEEEKFKESVHKLEQESGFYFNMKYFEEKALAGEWDEVERYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFSTFNEELYKEITQLLTLDNFRENEQLSKYGDTKSARSIMLIELKKLIEANPLFRDKLVFPTLRASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCAPPNGARAPSVSVPLAAVPKPATFTPLGAHGSFPPAAAAANANALAGWMANAAASSSVQSAVVSASPIPFPSNQASLVKRPRTPPNALNMTDYQNAESEQLVKRIRPITHQPDEVAYPAPGPQGAWSLDDLPRTVACAMNQGSSVTSMDFHPSHHTLLLVGTTNGEITLWEVGLRERLVSKAFKIWEMTTCSSQFQAAIVKDSSVSITRVSWSPDGSLIGVAFSKHLIHLYAYQAPNDLRQFREIEAHIGGVNDIAFSYPTTKQLCVVTCGEDKLIKVWDLNGQKLFNFEGHEAPVYSIRPHYKENIQFVFSTALDGKIKAWLYDNNGSRVDYDAPGHWCTTMLYSSDGSRLFSCGTSKDGDSFLVEWNESEGAIKRKYSGFRKKSTGVVQFDTTQNHFLAAGEENQIKFWNVDNPAMLASTDAEGGLPNLPRLRFNKEGNLLAVTTVDNGFKILANAEGLRLLQPFGSRPFEAMRGQFEASPIKVSAAPIVASISANISKVDHLDRSSPAKPSPILNGADPSRNIEKPRISEDLPDKTQPWELSEIVDPPQCRVVTLPENADAPTKVTRLLYTNSGTGLLALGSNAIQRLWKWARNEQNPSGKATASVAPQQWQPNSGLVMTNDASDTNPEEAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMAPPPASTYLAFHPLDNNIIAIGMEDSTIRIYNVRVDEVKTKMIGHQKRITGLAFSNNLNILVSSGADAQLCIWNTDSWEKKKSISIQLPAGKAPVGDTRVQFHSDSIRLLVVHESQLAIYDASKAERIRQWVPQGSLAAPISHAAFSCNSQLVYAVFCDGNIGVFDADNLKLRCRIAPSAYLFPASTNSNPAVYPLVIAAHPQEANQFAVGLTDGTVKVIEPLESEGKWGLLCLLIMGL >DRNTG_01458.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27751586:27753857:1 gene:DRNTG_01458 transcript:DRNTG_01458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTNQETTMITTKTLLSTAASLATSVVLARTIINDVLPDSFRHHIFNSLHLLFGRFSSQIIITLREYNGFAANELYQATETYLSTKISPNTRHLCVSKTEGEQSLEITMQRGEEIIDSFQGVQFKWRFISHKSQHEIPTPPNYYYRGSIMASEEKTYELSFHKKHKNKVFNSYFPHILNQAKVIKEEEKSIKIHAVKYERMHGDMKDMWSAVDLNHPATFETLAMEQNLKREVMEDLERFVRRKEYYRKVGKAWKRGYLLYGPPGTGKSSLIAAMANFLKFDVYDLELTAINSNSELRNLLVCTANKSILVVEDIDCTIELENRDKEKEQDAPPRPRWNNGYKEEKVTLSGLLNFIDGLWSSCGDERIIIFTTNHKDRLDHALLRPGRMDMHIYMGYCSPCGFKTLLANYHSIENHKLCEVVENLLQEIEATPAEVAEELMKSDIVEVALEGLIKFLENKRIEASKPKENGIDSSSINGGGEIIEIEKDGE >DRNTG_27862.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3856251:3857158:-1 gene:DRNTG_27862 transcript:DRNTG_27862.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLSYTKYSVLQPPNLKAASSLSMGSPGNQRHTAKNQAYQH >DRNTG_07715.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000346.1:53531:54821:1 gene:DRNTG_07715 transcript:DRNTG_07715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREPQVLVGALVGLAHGHGEFQHARVDVFRGMAPRIQTEAGKQPHEPSLEPELMEFAIPKHQPRGKREEIIVYSAFGYHYNTSVTQFSIRLGLYDESFIEIEEYDLLPTDYPGSLTPQRAYRMLCRQGEYEPGVSKVTCLSQPAYRYSHAVLTRSVNNHARQYWSFKQTRASILILHGTERAVASGAHFGQVFKTPRTVCPDQRP >DRNTG_34706.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:14305400:14307312:1 gene:DRNTG_34706 transcript:DRNTG_34706.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRLRKWAHVYVVAHFASSINNFIGENLAINAQAWILKCDSPCAPPNHCANLNTRRLKIVKTCILGVAPLPQEVPRSPLVSAGAQGQAEKPRHHHPHPQLSLELA >DRNTG_02057.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000103.1:7732:9070:-1 gene:DRNTG_02057 transcript:DRNTG_02057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLFLFSLLPLLLSHSYSTLIPNRRTLHQPFFPILSPPPSQPPSPSIPKYPSSSTTSSSNSQPFFPSYPSPPPPSSSSSSSVPTFPANISSLTFPSSHSTPSRSSRKLLPAILLPLFILSLLSLTLAFLLHRRRHSRNAPRSDGEQLFSTPSNGQKPTPAVTTTTASDFLYLGTLVSSRSRSADPEDGFSAVGSPYRKLGARSPELHPLPPLSRQLRRHGGADGCSSDEEFYSPRGSSAGKESSGLGSSSRGTFRPSALPEPGSHSSTHSSPSYPASSPSLPSPVRARSTEKSQPPPPPPPPPPPALRPLTPSPPKRKPPLSCPPAVKNLDRKSWQLTPPPPPPPPPSGYWENRVRSAPPALVKPQPIGLKNVVADPAPPVAEEHADEVEKNEEENLRPKLKPLALG >DRNTG_16195.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12484195:12487066:-1 gene:DRNTG_16195 transcript:DRNTG_16195.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQTQARCLNNNNIVVLLNPFPAASDSQLLQRTIRRGERRRKGKEKGDRRMRRYERRRGKTRGGFQTIKEFG >DRNTG_11407.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1394884:1396347:-1 gene:DRNTG_11407 transcript:DRNTG_11407.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLFLLSSNGSWRSIWKVEFKDDLQVVELKGSLQVGAHYFEEGNVQLDSKNECNDSTIFQSPEDSAISIMNMIRQHEMEYLSSLEASYLNLSDATFK >DRNTG_11407.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1394884:1396347:-1 gene:DRNTG_11407 transcript:DRNTG_11407.2 gene_biotype:protein_coding transcript_biotype:protein_coding SLFLLSSNGSWRSIWKVEFKDDLQVVELKGSLQVGAHYFEEGNVQLDSKNECNDSTIFQSPEDSAISIMNMIRQHEMEYLSSLEVLLYGKSR >DRNTG_06199.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25183448:25187555:1 gene:DRNTG_06199 transcript:DRNTG_06199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANCCVATKEKPLPYENRFEISNHRNIRNSPSWSFRWDNRTHIEDIIDTPIRSEIKRGAKPETEGIQGRGAPLNKFRWQKSFTQTGTSDQFDVGVADRSTEGNSSPEGKKSIKSFASNSDTKPSMSIPSTPASSVFRGSPSSTRSHSLPSDPTSSVNECHSQGYQFSRQVFHTTLQSQLNTLTDHSSPEAQRSFGVSQSGSSDAWSMRTSSESVMSSSQRDRWSIDNENHSSISSKVATTPNSQLPSSLSADLQTCGVCAKLLKHRPRRRIGDEIPVVSVLVCGHVYHADCLESVTPETDRYDPSCPVCTYGDKYKSLILAKAELKARSKIPRITVIDIDSDPVSEHEKFTKDAKLVSNSSMMKSTFGQPFLRRHFSMAPRSPLRSVSESEASSRKRGFWARYQKESKKGN >DRNTG_06199.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25183448:25187555:1 gene:DRNTG_06199 transcript:DRNTG_06199.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGANCCVATKEKPLPYENRFEISNHRNIRNSPSWSFRWDNRTHIEDIIDTPIRSEIKRGAKPETEGIQGRGAPLNKFRWQKSFTQTGTSDQFDVGVADRSTEGNSSPEGKKSIKSFASNSDTKPSMSIPSTPASSVFRGSPSSTRSHSLPSDPTSSVNECHSQGYQFSRQVFHTTLQSQLNTLTDHSSPEAQRSFGVSQSGSSDAWSMRTSSESVMSSSQRDRWSIDNENHSSISSKVATTPNSQLPSSLSADLQTCGVCAKLLKHRPRRRIGDEIPVVSVLVCGHVYHADCLESVTPETDRYDPSCPVCTYGDKYKSLILAKAELKARSKIPRITVIDIDSDPVSEHEKFTKDAKLVSNSSMMKSTFGQPFLRRHFSMAPRSPLRSVSESEASSRKRGFWARYQKESKKGN >DRNTG_20931.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7520088:7520859:-1 gene:DRNTG_20931 transcript:DRNTG_20931.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEAFEQNSRRHEVLRSNSSKSTARSSRTIRTMGENGAMEIVGDKLGQLATSIDRGKKNMKGKTIRRSMGHGRLRRLGHENGI >DRNTG_20931.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7520088:7524422:-1 gene:DRNTG_20931 transcript:DRNTG_20931.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVLIPFLADMAKSGLKVDKSFKRQAFIEAANVVNNRFPNACMDADNVENHMRTLKQKYQDIKKLMNLSGVGWND >DRNTG_35300.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22635966:22637606:-1 gene:DRNTG_35300 transcript:DRNTG_35300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAPARPTAHPANQTAVMWCPRRCDEEYYAYHLIDEDQMEKNKTNVHTSVDNALEAFNNWSLRAHDFANHLWHNLKTSPRKEEGAWHKLKLKVKAIKKGGFESLYKQTFPTKPNEKLKDTFACYLSTTTGAVAGTLFISDLHVSFCSERPLSFNAPSGQLLWIASANPVTLDGTLTSTSISSTTREMYIEIDTVDGHEFWFMGFINYDKAYQLLQQALAYNVSTRLASQEM >DRNTG_33528.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20678266:20681386:1 gene:DRNTG_33528 transcript:DRNTG_33528.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLTLLLLASFLMALLPCQCISAEHSEQAESSRVYIAFLGERKHDDPELVQKSHHDMLTSLLGSKEKALKSIIYSYKHGFSGFAATLTESQAKQLSGFPGVISVKPNQKRQLQTTRSWDFLGLPYDHPEEGTLLQKANYGENVIIGVVDTGIWPESRSFRDEGFGPMPSRWKGKCQTGQQFNENHCNKKLIGVRWYSRGVDKDALQGEYLSARDLNGHGTHTASTAAGVLVPNVSFNGIAAGAARGGAPRARLAMYKVCWGVGGACFDAPILKAIDDAIHDGVDVLSLSLGSFVDEQMGTLFAVSKGMTIVFAAGNDGPAPQTVSASVPWIISVAASTLDRSFPTVLNFDNNLKLVVPIPYMWLQCFFFVFCFLFLAIQYPPSIANSPHLIAGSRSVL >DRNTG_33528.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20650588:20653684:1 gene:DRNTG_33528 transcript:DRNTG_33528.8 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLTLLLLASFLMAFLPCQCVTAEHSEQAESGRVYIAFLGERKHDDPELVRKSHHDMLTSLLGSKEKALKSIIYSYKHGFSGFAATLTESQAKQLSGFPGVISVKPNQKRQLQTTRSWDFLGLPYDHPEQGTLLQKANYGENVIIGVVDTGLWPESRSFRDEGFGPIPSRWKGKCQTGQQFNKNHCNKKLIGVRWYSRGVDKDALQGEYLSARDLNGHGTHTSSTAAGVLVPNVSFNGIAAGAARGGAPRARLAMYKVCWGVGGACFDAPILKAIDDAIHDGVDVLSLSLGSFVNEQMGTLFAVSKGMTIVYAAGNDGPAPQTVSASVPWIISVAASTLDRSFPTVLNFDNNLKLVSQGLFYDNKKSSSKPIVAGGRCDQEALKNVDVTGKIVLCFSPGEVTSILPRADFGIAMSTAVAAGAGGVIYVEYPIDLLYGVTDICNGIPCVFVDIEAGLKIFDIPANSTVKVSLTRTVEGDEVWAPVVAAFSSRGPSHAFPSVIKPDVAAPGSNILAAKRDSYAFDSGTSMACPHISGIAALLKAAHPDWSPAAIKSAIVTTAMTTDRHGQPIFANGVPRKVADPFDYGGGVVDPNRAVDPGLVYDVQQKDYLKFFNCTLAPNRECDVLTAPLYYLNVPSIAIPDLRTTQNVWRTVFNVGNVDATYKAIVKPPSGIKMVVEPSVLVFDAKKKINSFKVTFIATRKVQGLYTFGSLTWVDGKGHSVRIPIAVRTVIYDNYSDAS >DRNTG_33528.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20678266:20681386:1 gene:DRNTG_33528 transcript:DRNTG_33528.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLTLLLLASFLMALLPCQCISAEHSEQAESSRVYIAFLGERKHDDPELVQKSHHDMLTSLLGSKEKALKSIIYSYKHGFSGFAATLTESQAKQLSGFPGVISVKPNQKRQLQTTRSWDFLGLPYDHPEEGTLLQKANYGENVIIGVVDTGIWPESRSFRDEGFGPMPSRWKGKCQTGQQFNENHCNKKLIGVRWYSRGVDKDALQGEYLSARDLNGHGTHTASTAAGVLVPNVSFNGIAAGAARGGAPRARLAMYKVCWGVGGACFDAPILKAIDDAIHDGVDVLSLSLGSFVDEQMGTLFAVSKGMTIVFAAGNDGPAPQTVSASVPWIISVAASTLDRSFPTVLNFDNNLKLVAQGLFYESKYSTFKPFVAGDRCNQETLKGVDLTGEIVLCFSPNEVSSILPRDDFGIALSTAVAAGAGGVIYAEYPTDLLYGVSDICHGIPCVFVDIEAALKIFYFSGNSTVKVSLTRTVEGDEVWAPVVAAFSSRGPSHAFPSVIKPDVAAPGSNILAAKRDSYAFDSGTSMACPHISGIAALLKAAHPDWSPAAIKSAIVTTAMTTDRHGQPIFANGVPRKIADPFDYGGGVVDPNRAVDPGLVYDVHPKDYLKFFNCTLALDSECDVLTAPLYYLNVPSIAIPDLRTTQNVWRTVFNVGNVDATYKAMVEPPSGIKMVVEPSVLVFDAKKKMNSFKVTFMATRKVQGLYTFGSLTWVDGKGHSVRIPIAVRTVIYDNYSDAS >DRNTG_33528.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20629550:20632735:1 gene:DRNTG_33528 transcript:DRNTG_33528.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLTLPLLLASILMVLLASQLVTAEHSEQAESSRVYIVFLGERKHDDPELVQKSHHDMLTSLLGSKEKALKSIIYSYKHGFSGFAATLTESQAKQLSGFPGVISVKPNQKRQLQTTRSWDFLGLPYDHPEEGTLLQKANYGENVIIGVVDTGIWPESRSFRDEGFGPMPSRWKGKCQTGQQFNENHCNKKLIGVRWYSRGVDKDALQGEYLSARDLNGHGTHTASTAAGVLVPNVSFNGIAAGAARGGALRARLAMYKVCWGVGGACFDAPILKAIDDAIHDGVDVLSLSLGSFVDEQMGTLFAVSKGMTIVFAAGNDGPAPQTVSASVPWIISVAASTLDRSFPTVLNFDNNLKLVAQGLFYESKYSTFKPFVAGDRCNQETLRGVDLTGKIVLCFSPNEVSSILPRDDFGIALSTAVAAGAGGVIYAAYPTDLLYGVSDICHGIPCVFVDIEAALKIFYFSGNSTVKVSLTRTVEGDEVWAPVVAAFSSRGPSHAFPSVIKPDVAAPGSNILAAVGDSYVFESGTSMACPHISGIAALLKAAHPDWSPAAIKSAIITTAMTTDGYGQPIFANGVPRKVADPFDYGGGVVSPNRAVDPGLVYDVHPNDYLKFFNCTLALDSECDILTAPLYYLNVPSIAIPDLRTTQNVWRTVFNVGNVDVTYKAMVEPPSGIKMVVEPAVLVFDAKKKMNSFKVTFTATRKVQGLYTFGSLTWVDGKGHSVRIPIAVRTVVYDNYSDAS >DRNTG_33528.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20629550:20632735:1 gene:DRNTG_33528 transcript:DRNTG_33528.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRWKGKCQTGQQFNENHCNKKLIGVRWYSRGVDKDALQGEYLSARDLNGHGTHTASTAAGVLVPNVSFNGIAAGAARGGALRARLAMYKVCWGVGGACFDAPILKAIDDAIHDGVDVLSLSLGSFVDEQMGTLFAVSKGMTIVFAAGNDGPAPQTVSASVPWIISVAASTLDRSFPTVLNFDNNLKLVAQGLFYESKYSTFKPFVAGDRCNQETLRGVDLTGKIVLCFSPNEVSSILPRDDFGIALSTAVAAGAGGVIYAAYPTDLLYGVSDICHGIPCVFVDIEAALKIFYFSGNSTVKVSLTRTVEGDEVWAPVVAAFSSRGPSHAFPSVIKPDVAAPGSNILAAVGDSYVFESGTSMACPHISGIAALLKAAHPDWSPAAIKSAIITTAMTTDGYGQPIFANGVPRKVADPFDYGGGVVSPNRAVDPGLVYDVHPNDYLKFFNCTLALDSECDILTAPLYYLNVPSIAIPDLRTTQNVWRTVFNVGNVDVTYKAMVEPPSGIKMVVEPAVLVFDAKKKMNSFKVTFTATRKVQGLYTFGSLTWVDGKGHSVRIPIAVRTVVYDNYSDAS >DRNTG_33528.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20629550:20632735:1 gene:DRNTG_33528 transcript:DRNTG_33528.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRWKGKCQTGQQFNENHCNKKLIGVRWYSRGVDKDALQGEYLSARDLNGHGTHTASTAAGVLVPNVSFNGIAAGAARGGALRARLAMYKVCWGVGGACFDAPILKAIDDAIHDGVDVLSLSLGSFVDEQMGTLFAVSKGMTIVFAAGNDGPAPQTVSASVPWIISVAASTLDRSFPTVLNFDNNLKLVAQGLFYESKYSTFKPFVAGDRCNQETLRGVDLTGKIVLCFSPNEVSSILPRDDFGIALSTAVAAGAGGVIYAAYPTDLLYGVSDICHGIPCVFVDIEAALKIFYFSGNSTVKVSLTRTVEGDEVWAPVVAAFSSRGPSHAFPSVIKPDVAAPGSNILAAVGDSYVFESGTSMACPHISGIAALLKAAHPDWSPAAIKSAIITTAMTTDGYGQPIFANGVPRKVADPFDYGGGVVSPNRAVDPGLVYDVHPNDYLKFFNCTLALDSECDILTAPLYYLNVPSIAIPDLRTTQNVWRTVFNVGNVDVTYKAMVEPPSGIKMVVEPAVLVFDAKKKMNSFKVTFTATRKVQGLYTFGSLTWVDGKGHSVRIPIAVRTVVYDNYSDAS >DRNTG_33528.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20629550:20653684:1 gene:DRNTG_33528 transcript:DRNTG_33528.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLTLPLLLASILMVLLASQLVTAEHSEQAESSRVYIVFLGERKHDDPELVQKSHHDMLTSLLGSKEKALKSIIYSYKHGFSGFAATLTESQAKQLSGFPGVISVKPNQKRQLQTTRSWDFLGLPYDHPEQGTLLQKANYGENVIIGVVDTGLWPESRSFRDEGFGPIPSRWKGKCQTGQQFNKNHCNKKLIGVRWYSRGVDKDALQGEYLSARDLNGHGTHTSSTAAGVLVPNVSFNGIAAGAARGGAPRARLAMYKVCWGVGGACFDAPILKAIDDAIHDGVDVLSLSLGSFVNEQMGTLFAVSKGMTIVYAAGNDGPAPQTVSASVPWIISVAASTLDRSFPTVLNFDNNLKLVSQGLFYDNKKSSSKPIVAGGRCDQEALKNVDVTGKIVLCFSPGEVTSILPRADFGIAMSTAVAAGAGGVIYVEYPIDLLYGVTDICNGIPCVFVDIEAGLKIFDIPANSTVKVSLTRTVEGDEVWAPVVAAFSSRGPSHAFPSVIKPDVAAPGSNILAAKRDSYAFDSGTSMACPHISGIAALLKAAHPDWSPAAIKSAIVTTAMTTDRHGQPIFANGVPRKVADPFDYGGGVVDPNRAVDPGLVYDVQQKDYLKFFNCTLAPNRECDVLTAPLYYLNVPSIAIPDLRTTQNVWRTVFNVGNVDATYKAIVKPPSGIKMVVEPSVLVFDAKKKINSFKVTFIATRKVQGLYTFGSLTWVDGKGHSVRIPIAVRTVIYDNYSDAS >DRNTG_33528.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20650588:20681386:1 gene:DRNTG_33528 transcript:DRNTG_33528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLTLLLLASFLMAFLPCQCVTAEHSEQAESGRVYIAFLGERKHDDPELVRKSHHDMLTSLLGSKEKALKSIIYSYKHGFSGFAATLTESQAKQLSGFPGVISVKPNQKRQLQTTRSWDFLGLPYDHPEEGTLLQKANYGENVIIGVVDTGIWPESRSFRDEGFGPMPSRWKGKCQTGQQFNENHCNKKLIGVRWYSRGVDKDALQGEYLSARDLNGHGTHTASTAAGVLVPNVSFNGIAAGAARGGAPRARLAMYKVCWGVGGACFDAPILKAIDDAIHDGVDVLSLSLGSFVDEQMGTLFAVSKGMTIVFAAGNDGPAPQTVSASVPWIISVAASTLDRSFPTVLNFDNNLKLVVPIPYMWLQCFFFVFCFLFLAIQYPPSIANSPHLIAGSRSVL >DRNTG_10376.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15618456:15619118:1 gene:DRNTG_10376 transcript:DRNTG_10376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLDQVLLMPEESEALSARVSPGLQRVLLSPSVQTEAREHVGNPPLYIFSPQQSLGGYSYNVTNPIILIISNPRGEGTTVLSVPHIASRKPIPKMTQDQRIILPLSQTGHGTQNVCHYVPQVNQHIADHGVQIEYVLPAQNSCVGNSTRHIPAEDRVYASIAQLR >DRNTG_17213.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2841944:2844253:1 gene:DRNTG_17213 transcript:DRNTG_17213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKMWWNWMEYTAYQLQAIKYYLMATEGVASEGRHESRAKWNEIHKAHLVKLLGEYNTPAYRSQNGWTKEAWNKMVRDMITKFSNPSITTSQIKALEQEHIVSAPDDVWAPLLERNKDARKWHTRLFPYFTALQEVYEGRYAEGKRSRGIEDYEDISQSPVHTPSPSVFTPNDSRHPSPIHETEMMISCKWNLLVLNHGILKLKVQAMKFFGE >DRNTG_17191.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:406546:406952:-1 gene:DRNTG_17191 transcript:DRNTG_17191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKTSNVLVDESFIAKVADFGLSKFIERRSTTTNWFLDPQLKRGDVFS >DRNTG_04755.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15222309:15227138:1 gene:DRNTG_04755 transcript:DRNTG_04755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPSRAQDRFKRLESAVGVIRTEVAESRVEITDIRAAQAAQYTEFMPCFDTLQQILERDIFSSFVLWLRTPLPPQALPTSLSPPLPAPFDLALAAEDPERDIDT >DRNTG_32712.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18116908:18119484:1 gene:DRNTG_32712 transcript:DRNTG_32712.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDSNPKYLGVKKFGGERVIPGNIIVRQRGTRFHPGDYVGMGKDHTLFALKEGNVRFERHKLSGRKWVHVVPKEGHDLHPLYSTAETEAEAEGQLC >DRNTG_28610.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28506570:28509094:1 gene:DRNTG_28610 transcript:DRNTG_28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKRSEYMPSEDDRASSFAQIPTSFGHELRACLRCRLVKTYDQFRESGCENCPFLDMDKEHDNVVNCTTPNFTGIISVMDPTRSWAARWLRIGLFQDATHLQSLRNFRRNTRLSVLTTMFTMFPQNAHE >DRNTG_02587.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2566227:2567751:-1 gene:DRNTG_02587 transcript:DRNTG_02587.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLAKCGEFIAVAGEDEDGAVSREMDKIKCKAGELVDKIENLEKEVDCSCVDLRGAALTLLQTMTEQVGNSQQVSIEIPS >DRNTG_15654.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3909028:3910915:1 gene:DRNTG_15654 transcript:DRNTG_15654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAAIHSAPGFPSFTDVWTAIQAQNTAKVIAVATTNLFHRSLGQFSLETCTESLGSETGSDVFYSDSDIESFSSFSDVSDVGEEEKEPEKQLQPRHDDDDDDDDDDAEKLLTVNYHCSISKKSPVRSFPPPLPSISRRDGPCLSMRPHRRDGRLVMEAVQVPSQNYLHAERHDGRLLLSFVHSSSSTTTTTTTTTTTMKQTQETQLTMEEEEEQEVAKIVEEENKTCAEQEEEEQQEEVEVVDRGTVVELKMSTQPHRSSFVINKFVGGLPEPQEPLLSPLSTNMKINYAYEYDDDDDNSCENSLKIRQTPENKMLFMLKGRNRGELLHRCSHLWRPLLIWEPYCIATSS >DRNTG_15654.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3909447:3910758:1 gene:DRNTG_15654 transcript:DRNTG_15654.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAAIHSAPGFPSFTDVWTAIQAQNTAKVIAVATTNLFHRSLGQFSLETCTESLGSETGSDVFYSDSDIESFSSFSDVSDVGEEEKEPEKQLQPRHDDDDDDDDDDAEKLLTVNYHCSISKKSPVRSFPPPLPSISRRDGPCLSMRPHRRDGRLVMEAVQVPSQNYLHAERHDGRLLLSFVHSSSSTTTTTTTTTTTMKQTQETQLTMEEEEEQEVAKIVEEENKTCAEQEEEEQQEEVEVVDRGTVVELKMSTQPHRSSFVINKFVGGLPEPQEPLLSPLSTNMKINYAYEYDDDDDNSCENSLKIRQTPENKMLFMLKGRNRGELLHRCSHLWRPLLIWEPYCIATSS >DRNTG_15654.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3909376:3911243:1 gene:DRNTG_15654 transcript:DRNTG_15654.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAAIHSAPGFPSFTDVWTAIQAQNTAKVIAVATTNLFHRSLGQFSLETCTESLGSETGSDVFYSDSDIESFSSFSDVSDVGEEEKEPEKQLQPRHDDDDDDDDDDAEKLLTVNYHCSISKKSPVRSFPPPLPSISRRDGPCLSMRPHRRDGRLVMEAVQVPSQNYLHAERHDGRLLLSFVHSSSSTTTTTTTTTTTMKQTQETQLTMEEEEEQEVAKIVEEENKTCAEQEEEEQQEEVEVVDRGTVVELKMSTQPHRSSFVINKFVGGLPEPQEPLLSPLSTNMKINYAYEYDDDDDNSCENSLKIRQTPENKMLFMLKGRNRGELLHRCSHLWRPLLIWEPYCIATSS >DRNTG_15654.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3909376:3910758:1 gene:DRNTG_15654 transcript:DRNTG_15654.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAAIHSAPGFPSFTDVWTAIQAQNTAKVIAVATTNLFHRSLGQFSLETCTESLGSETGSDVFYSDSDIESFSSFSDVSDVGEEEKEPEKQLQPRHDDDDDDDDDDAEKLLTVNYHCSISKKSPVRSFPPPLPSISRRDGPCLSMRPHRRDGRLVMEAVQVPSQNYLHAERHDGRLLLSFVHSSSSTTTTTTTTTTTMKQTQETQLTMEEEEEQEVAKIVEEENKTCAEQEEEEQQEEVEVVDRGTVVELKMSTQPHRSSFVINKFVGGLPEPQEPLLSPLSTNMKINYAYEYDDDDDNSCENSLKIRQTPENKMLFMLKGRNRGELLHRCSHLWRPLLIWEPYCIATSS >DRNTG_30377.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001567.1:36902:37308:-1 gene:DRNTG_30377 transcript:DRNTG_30377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSGMNPMQVVGAVGFQDRGLEIPKEVDPLVAKIIWQCWQKDPNLRPSFVDLTTALKSLQRLVVPAYQDAQNPPLAQEISV >DRNTG_19108.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29746705:29753725:-1 gene:DRNTG_19108 transcript:DRNTG_19108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDEPLYPIAILIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEYAHVLLPPLETLCTVEETCVRDKAVESLCRIGAQMREADLVEWFIPLVKRLAAGEWFTARVSSCGLFHIAYPSAPELLKADLRSIYGQLCQDDMPMVRRSAASNLGKFAATVEQSHLKTDIMSIFEDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRSDLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILNPQLAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKEATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGMGFFDDKLGALCMQWLEDKVFSIRDAAANNLKRLAEEFGPEWAMQHIVPQVLEKINNPHYLYRMTILHAISLLAPVMGSEITCQKLLPVVINASKDRVPNIKFNVAKVLQSLIPIVDHSVVENSIRPCLVELSEDADVDVRYFAAQALQASDQVMMSS >DRNTG_31664.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:956410:961117:-1 gene:DRNTG_31664 transcript:DRNTG_31664.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLALHEFYLFTCGLCFSTPTPAKRKGGPGGLNKVCGVSPELQAIVGEPTMARTQIVKQLWAYIRQNNLQDPNNKRKIICNDALRQVFETDCTDMFKMNKLLAKHILPLESPKDPGPDSKRTKSESSEVVYETKPDAGDHNVVISEALASFFGTTEREMLQSEVLKRIWDYIKENHLEDPVNPMILCDSKLQQLFGCESFSALGISEMVARHFVNQ >DRNTG_31664.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:956410:961117:-1 gene:DRNTG_31664 transcript:DRNTG_31664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDQEIASCVESLLRQAGPGAAATASVAGVVRELEAKLGLDLSHKASFIRDQIQLLLGPPPPASKDPFAPHVGPQFQPYPTNSLTAAGAVHASPFAHLPQHHDLAFRCPPPNAVASPAPHQQQLPHPLVVVPRPEVAVGPVSPAVARPAVASPKESTPTPAKRKGGPGGLNKVCGVSPELQAIVGEPTMARTQIVKQLWAYIRQNNLQDPNNKRKIICNDALRQVFETDCTDMFKMNKLLAKHILPLESPKDPGPDSKRTKSESSEVVYETKPDAGDHNVVISEALASFFGTTEREMLQSEVLKRIWDYIKENHLEDPVNPMILCDSKLQQLFGCESFSALGISEMVARHFVNQ >DRNTG_08688.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17945055:17952036:-1 gene:DRNTG_08688 transcript:DRNTG_08688.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNTMKLSVVDLMASILTGGDGVSLEAAGAERVLAIIATMGALVVGCLVLVVWRWSAGRKPSKPLEPPKPLVVRDEPDEIDSDDGKKRVTVFFGTQTGTAEGFAKALADEARARYEKATFKVVDLDDYAADDDEYEEKMKKEAIALFFLATYGDGEPTDNAARFYKWFTEGKEKEVWLSNLQYAVFGLGNRQYEHFNKVAIVVDEVLADQGGKRLVPVGLGDDDQCIEDDFNAWKELVWPELDQLLRDEDDVSGTGTTYTAAIPEYRVVLIDSAEATHLEKSWSLANGHTVHDIQHPCRANVAVRRELHKPASDRSCIHLEFDVSDTGLVYETGDHVGVFSENCIETVEEAERLLGCSSDTCFTIHADKEDGTPLCGGSLAPPFPSPCTLRTALTRYADLLNSPKKAALLALAAHASEPSEAERLRFLASPAGKDEYSQWIVASQRSLLEVMAEFPSAKPPLGVFFAAIAPRLQPRYYSISSSPRMAPNRIHVTCALVYGPTPTGRIHKGVCSTWMKHSVPLEESQECSWAPIFVRQSNFKLPADTTVPIVMIGPGTGLAPFRGFLQERLALKESGTSLGHAILFFGCRNRKMDFIYEDELNNFVEAGALSELVVAFSREGPTKEYVQHKMIQKASELWDIISKGGYLYVCGDAKGMARDVHRVLHTIAQEQGSLDSSKAESMIKSLQMEGRYLRDVW >DRNTG_01697.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23722063:23724818:-1 gene:DRNTG_01697 transcript:DRNTG_01697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQLAGTDTGGEADVLPIARATKPNKKDKENETAITKNTLKQQRAKKREPSPPRSDSRSKTS >DRNTG_16508.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5054953:5056203:1 gene:DRNTG_16508 transcript:DRNTG_16508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTGSCIAFLLGQGGSRKYRLTCTWLRYFKNDRDRRDLITCGAAAGVAAAFRAPVGGVLFALEEVASWWRSALLWRTFFTTAVVAVVLRALIGFCRGGKCGLFGEGGLIMFDLSSSVATYSAPDLIAVIVLGVLGGLLGSLYNFFLDKILRSYSVINEYALQTLAVNVFFSN >DRNTG_07816.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1359792:1362728:1 gene:DRNTG_07816 transcript:DRNTG_07816.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKGEVMDSDGFFSCDSMCGKMLACENHDCRESCHPGPCGDCELLPEKINTCHCGKTPLSKERESCLDPIPTCSQVCSKLLPCGVHQCGETCHAGDCPPCLAKVEQKCRCGSSTRTVECFRMLEETNKFVCEKPCGKKKGCGRHRCSEKCCPLSKPSGQLAAYDWDPHLCSISCGKRLQCGQHSCQLLCHSGHCPPCLETIFTDLTCACGKTSIPPPLPCGTPSPSCPHPCLVPQPCGHSAAHTCHFGDCPPCSVPVVKECIGGHLLLRNIPCGSKDIRCNQLCGKTRQCGLHACSRTCHPPPCDSSSGSVSGAKESSCGQVCGAPRRDCKHTCMAACHPSASCPDLRCEFPVTISCSCGRITASVPCGAGGSTSGYHMETVFESSVIQKLPVPLQPVEADGKKVPLGLRKLTCDEECAKMERKRVLADAFDITPNLEALHFGENASTSELLSDLLRREPKFAMAAEERFKFLVMGKPKGSTSSTLRVHIFCHMIKEKRDAIKALAERWKLAVLSAGWEPKRFVVVHVTPKSKPPSRILGAKPGLPITAPPHPPVFDPLIDMDPRLVVSMLDLPRDADISSLVLRFGGECEMVWLNNKNALAVFNDPMRSATALRRLDHGSAYHGAVMVLPNGGASGQPVSNAWGLVQKEEQYLQRAVVIHGSKLLPRSQNHGVMLH >DRNTG_07816.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1360448:1362932:1 gene:DRNTG_07816 transcript:DRNTG_07816.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKGEVMDSDGFFSCDSMCGKMLACENHDCRESCHPGPCGDCELLPEKINTCHCGKTPLSKERESCLDPIPTCSQVCSKLLPCGVHQCGETCHAGDCPPCLAKVEQKCRCGSSTRTVECFRMLEETNKFVCEKPCGKKKGCGRHRCSEKCCPLSKPSGQLAAYDWDPHLCSISCGKRLQCGQHSCQLLCHSGHCPPCLETIFTDLTCACGKTSIPPPLPCGTPSPSCPHPCLVPQPCGHSAAHTCHFGDCPPCSVPVVKECIGGHLLLRNIPCGSKDIRCNQLCGKTRQCGLHACSRTCHPPPCDSSSGSVSGAKESSCGQVCGAPRRDCKHTCMAACHPSASCPDLRCEFPVTISCSCGRITASVPCGAGGSTSGYHMETVFESSVIQKLPVPLQPVEADGKKVPLGLRKLTCDEECAKMERKRVLADAFDITPNLEALHFGENASTSELLSDLLRREPKFAMAAEERFKFLVMGKPKGSTSSTLRVHIFCHMIKEKRDAIKALAERWKLAVLSAGWEPKRFVVVHVTPKSKPPSRILGAKPGLPITAPPHPPVFDPLIDMDPRLVVSMLDLPRDADISSLVLRFGGECEMVWLNNKNALAVFNDPMRSATALRRLDHGSAYHGAVMVLPNGGASGQPVSNAWGLVQKEEQYLQRAVVIHGSKLLPRSQNHGVMLHCLIGDPNEVPPIAASQTDGMFLIQMLLQIQ >DRNTG_07816.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1360448:1362649:1 gene:DRNTG_07816 transcript:DRNTG_07816.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKGEVMDSDGFFSCDSMCGKMLACENHDCRESCHPGPCGDCELLPEKINTCHCGKTPLSKERESCLDPIPTCSQVCSKLLPCGVHQCGETCHAGDCPPCLAKVEQKCRCGSSTRTVECFRMLEETNKFVCEKPCGKKKGCGRHRCSEKCCPLSKPSGQLAAYDWDPHLCSISCGKRLQCGQHSCQLLCHSGHCPPCLETIFTDLTCACGKTSIPPPLPCGTPSPSCPHPCLVPQPCGHSAAHTCHFGDCPPCSVPVVKECIGGHLLLRNIPCGSKDIRCNQLCGKTRQCGLHACSRTCHPPPCDSSSGSVSGAKESSCGQVCGAPRRDCKHTCMAACHPSASCPDLRCEFPVTISCSCGRITASVPCGAGGSTSGYHMETVFESSVIQKLPVPLQPVEADGKKVPLGLRKLTCDEECAKMERKRVLADAFDITPNLEALHFGENASTSELLSDLLRREPKFAMAAEERFKFLVMGKPKGSTSSTLRVHIFCHMIKEKRDAIKALAERWKLAVLSAGWEPKRFVVVHVTPKSKPPSRILGAKPGLPITAPPHPPVFDPLIDMDPRLVVSMLDLPRDADISSLVLRFGGECEMVWLNNKNALAVFNDPMRSATALRRLDHGSAYHGAVMVLPNGGASGQPVSNAWGLVQKE >DRNTG_07816.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1359732:1362728:1 gene:DRNTG_07816 transcript:DRNTG_07816.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKGEVMDSDGFFSCDSMCGKMLACENHDCRESCHPGPCGDCELLPEKINTCHCGKTPLSKERESCLDPIPTCSQVCSKLLPCGVHQCGETCHAGDCPPCLAKVEQKCRCGSSTRTVECFRMLEETNKFVCEKPCGKKKGCGRHRCSEKCCPLSKPSGQLAAYDWDPHLCSISCGKRLQCGQHSCQLLCHSGHCPPCLETIFTDLTCACGKTSIPPPLPCGTPSPSCPHPCLVPQPCGHSAAHTCHFGDCPPCSVPVVKECIGGHLLLRNIPCGSKDIRCNQLCGKTRQCGLHACSRTCHPPPCDSSSGSVSGAKESSCGQVCGAPRRDCKHTCMAACHPSASCPDLRCEFPVTISCSCGRITASVPCGAGGSTSGYHMETVFESSVIQKLPVPLQPVEADGKKVPLGLRKLTCDEECAKMERKRVLADAFDITPNLEALHFGENASTSELLSDLLRREPKFAMAAEERFKFLVMGKPKGSTSSTLRVHIFCHMIKEKRDAIKALAERWKLAVLSAGWEPKRFVVVHVTPKSKPPSRILGAKPGLPITAPPHPPVFDPLIDMDPRLVVSMLDLPRDADISSLVLRFGGECEMVWLNNKNALAVFNDPMRSATALRRLDHGSAYHGAVMVLPNGGASGQPVSNAWGLVQKEEQYLQRAVVIHGSKLLPRSQNHGVMLH >DRNTG_07816.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1359792:1362649:1 gene:DRNTG_07816 transcript:DRNTG_07816.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKGEVMDSDGFFSCDSMCGKMLACENHDCRESCHPGPCGDCELLPEKINTCHCGKTPLSKERESCLDPIPTCSQVCSKLLPCGVHQCGETCHAGDCPPCLAKVEQKCRCGSSTRTVECFRMLEETNKFVCEKPCGKKKGCGRHRCSEKCCPLSKPSGQLAAYDWDPHLCSISCGKRLQCGQHSCQLLCHSGHCPPCLETIFTDLTCACGKTSIPPPLPCGTPSPSCPHPCLVPQPCGHSAAHTCHFGDCPPCSVPVVKECIGGHLLLRNIPCGSKDIRCNQLCGKTRQCGLHACSRTCHPPPCDSSSGSVSGAKESSCGQVCGAPRRDCKHTCMAACHPSASCPDLRCEFPVTISCSCGRITASVPCGAGGSTSGYHMETVFESSVIQKLPVPLQPVEADGKKVPLGLRKLTCDEECAKMERKRVLADAFDITPNLEALHFGENASTSELLSDLLRREPKFAMAAEERFKFLVMGKPKGSTSSTLRVHIFCHMIKEKRDAIKALAERWKLAVLSAGWEPKRFVVVHVTPKSKPPSRILGAKPGLPITAPPHPPVFDPLIDMDPRLVVSMLDLPRDADISSLVLRFGGECEMVWLNNKNALAVFNDPMRSATALRRLDHGSAYHGAVMVLPNGGASGQPVSNAWGLVQKE >DRNTG_07816.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1360448:1363256:1 gene:DRNTG_07816 transcript:DRNTG_07816.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKGEVMDSDGFFSCDSMCGKMLACENHDCRESCHPGPCGDCELLPEKINTCHCGKTPLSKERESCLDPIPTCSQVCSKLLPCGVHQCGETCHAGDCPPCLAKVEQKCRCGSSTRTVECFRMLEETNKFVCEKPCGKKKGCGRHRCSEKCCPLSKPSGQLAAYDWDPHLCSISCGKRLQCGQHSCQLLCHSGHCPPCLETIFTDLTCACGKTSIPPPLPCGTPSPSCPHPCLVPQPCGHSAAHTCHFGDCPPCSVPVVKECIGGHLLLRNIPCGSKDIRCNQLCGKTRQCGLHACSRTCHPPPCDSSSGSVSGAKESSCGQVCGAPRRDCKHTCMAACHPSASCPDLRCEFPVTISCSCGRITASVPCGAGGSTSGYHMETVFESSVIQKLPVPLQPVEADGKKVPLGLRKLTCDEECAKMERKRVLADAFDITPNLEALHFGENASTSELLSDLLRREPKFAMAAEERFKFLVMGKPKGSTSSTLRVHIFCHMIKEKRDAIKALAERWKLAVLSAGWEPKRFVVVHVTPKSKPPSRILGAKPGLPITAPPHPPVFDPLIDMDPRLVVSMLDLPRDADISSLVLRFGGECEMVWLNNKNALAVFNDPMRSATALRRLDHGSAYHGAVMVLPNGGASGQPVSNAWGLVQKEEQYLQRAVVIHGSKLLPRSQNHGVMLHCLIGDPNEVPPIAASQTDGMFLIQMLLQIQ >DRNTG_07816.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1359792:1362932:1 gene:DRNTG_07816 transcript:DRNTG_07816.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKGEVMDSDGFFSCDSMCGKMLACENHDCRESCHPGPCGDCELLPEKINTCHCGKTPLSKERESCLDPIPTCSQVCSKLLPCGVHQCGETCHAGDCPPCLAKVEQKCRCGSSTRTVECFRMLEETNKFVCEKPCGKKKGCGRHRCSEKCCPLSKPSGQLAAYDWDPHLCSISCGKRLQCGQHSCQLLCHSGHCPPCLETIFTDLTCACGKTSIPPPLPCGTPSPSCPHPCLVPQPCGHSAAHTCHFGDCPPCSVPVVKECIGGHLLLRNIPCGSKDIRCNQLCGKTRQCGLHACSRTCHPPPCDSSSGSVSGAKESSCGQVCGAPRRDCKHTCMAACHPSASCPDLRCEFPVTISCSCGRITASVPCGAGGSTSGYHMETVFESSVIQKLPVPLQPVEADGKKVPLGLRKLTCDEECAKMERKRVLADAFDITPNLEALHFGENASTSELLSDLLRREPKFAMAAEERFKFLVMGKPKGSTSSTLRVHIFCHMIKEKRDAIKALAERWKLAVLSAGWEPKRFVVVHVTPKSKPPSRILGAKPGLPITAPPHPPVFDPLIDMDPRLVVSMLDLPRDADISSLVLRFGGECEMVWLNNKNALAVFNDPMRSATALRRLDHGSAYHGAVMVLPNGGASGQPVSNAWGLVQKEEQYLQRAVVIHGSKLLPRSQNHGVMLHCLIGDPNEVPPIAASQTDGMFLIQMLLQIQ >DRNTG_07816.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1359792:1363256:1 gene:DRNTG_07816 transcript:DRNTG_07816.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKGEVMDSDGFFSCDSMCGKMLACENHDCRESCHPGPCGDCELLPEKINTCHCGKTPLSKERESCLDPIPTCSQVCSKLLPCGVHQCGETCHAGDCPPCLAKVEQKCRCGSSTRTVECFRMLEETNKFVCEKPCGKKKGCGRHRCSEKCCPLSKPSGQLAAYDWDPHLCSISCGKRLQCGQHSCQLLCHSGHCPPCLETIFTDLTCACGKTSIPPPLPCGTPSPSCPHPCLVPQPCGHSAAHTCHFGDCPPCSVPVVKECIGGHLLLRNIPCGSKDIRCNQLCGKTRQCGLHACSRTCHPPPCDSSSGSVSGAKESSCGQVCGAPRRDCKHTCMAACHPSASCPDLRCEFPVTISCSCGRITASVPCGAGGSTSGYHMETVFESSVIQKLPVPLQPVEADGKKVPLGLRKLTCDEECAKMERKRVLADAFDITPNLEALHFGENASTSELLSDLLRREPKFAMAAEERFKFLVMGKPKGSTSSTLRVHIFCHMIKEKRDAIKALAERWKLAVLSAGWEPKRFVVVHVTPKSKPPSRILGAKPGLPITAPPHPPVFDPLIDMDPRLVVSMLDLPRDADISSLVLRFGGECEMVWLNNKNALAVFNDPMRSATALRRLDHGSAYHGAVMVLPNGGASGQPVSNAWGLVQKEEQYLQRAVVIHGSKLLPRSQNHGVMLHCLIGDPNEVPPIAASQTDGMFLIQMLLQIQ >DRNTG_07816.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1360448:1362728:1 gene:DRNTG_07816 transcript:DRNTG_07816.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKGEVMDSDGFFSCDSMCGKMLACENHDCRESCHPGPCGDCELLPEKINTCHCGKTPLSKERESCLDPIPTCSQVCSKLLPCGVHQCGETCHAGDCPPCLAKVEQKCRCGSSTRTVECFRMLEETNKFVCEKPCGKKKGCGRHRCSEKCCPLSKPSGQLAAYDWDPHLCSISCGKRLQCGQHSCQLLCHSGHCPPCLETIFTDLTCACGKTSIPPPLPCGTPSPSCPHPCLVPQPCGHSAAHTCHFGDCPPCSVPVVKECIGGHLLLRNIPCGSKDIRCNQLCGKTRQCGLHACSRTCHPPPCDSSSGSVSGAKESSCGQVCGAPRRDCKHTCMAACHPSASCPDLRCEFPVTISCSCGRITASVPCGAGGSTSGYHMETVFESSVIQKLPVPLQPVEADGKKVPLGLRKLTCDEECAKMERKRVLADAFDITPNLEALHFGENASTSELLSDLLRREPKFAMAAEERFKFLVMGKPKGSTSSTLRVHIFCHMIKEKRDAIKALAERWKLAVLSAGWEPKRFVVVHVTPKSKPPSRILGAKPGLPITAPPHPPVFDPLIDMDPRLVVSMLDLPRDADISSLVLRFGGECEMVWLNNKNALAVFNDPMRSATALRRLDHGSAYHGAVMVLPNGGASGQPVSNAWGLVQKEEQYLQRAVVIHGSKLLPRSQNHGVMLH >DRNTG_07816.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1359732:1362932:1 gene:DRNTG_07816 transcript:DRNTG_07816.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKGEVMDSDGFFSCDSMCGKMLACENHDCRESCHPGPCGDCELLPEKINTCHCGKTPLSKERESCLDPIPTCSQVCSKLLPCGVHQCGETCHAGDCPPCLAKVEQKCRCGSSTRTVECFRMLEETNKFVCEKPCGKKKGCGRHRCSEKCCPLSKPSGQLAAYDWDPHLCSISCGKRLQCGQHSCQLLCHSGHCPPCLETIFTDLTCACGKTSIPPPLPCGTPSPSCPHPCLVPQPCGHSAAHTCHFGDCPPCSVPVVKECIGGHLLLRNIPCGSKDIRCNQLCGKTRQCGLHACSRTCHPPPCDSSSGSVSGAKESSCGQVCGAPRRDCKHTCMAACHPSASCPDLRCEFPVTISCSCGRITASVPCGAGGSTSGYHMETVFESSVIQKLPVPLQPVEADGKKVPLGLRKLTCDEECAKMERKRVLADAFDITPNLEALHFGENASTSELLSDLLRREPKFAMAAEERFKFLVMGKPKGSTSSTLRVHIFCHMIKEKRDAIKALAERWKLAVLSAGWEPKRFVVVHVTPKSKPPSRILGAKPGLPITAPPHPPVFDPLIDMDPRLVVSMLDLPRDADISSLVLRFGGECEMVWLNNKNALAVFNDPMRSATALRRLDHGSAYHGAVMVLPNGGASGQPVSNAWGLVQKEEQYLQRAVVIHGSKLLPRSQNHGVMLHCLIGDPNEVPPIAASQTDGMFLIQMLLQIQ >DRNTG_07816.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1359732:1363256:1 gene:DRNTG_07816 transcript:DRNTG_07816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKGEVMDSDGFFSCDSMCGKMLACENHDCRESCHPGPCGDCELLPEKINTCHCGKTPLSKERESCLDPIPTCSQVCSKLLPCGVHQCGETCHAGDCPPCLAKVEQKCRCGSSTRTVECFRMLEETNKFVCEKPCGKKKGCGRHRCSEKCCPLSKPSGQLAAYDWDPHLCSISCGKRLQCGQHSCQLLCHSGHCPPCLETIFTDLTCACGKTSIPPPLPCGTPSPSCPHPCLVPQPCGHSAAHTCHFGDCPPCSVPVVKECIGGHLLLRNIPCGSKDIRCNQLCGKTRQCGLHACSRTCHPPPCDSSSGSVSGAKESSCGQVCGAPRRDCKHTCMAACHPSASCPDLRCEFPVTISCSCGRITASVPCGAGGSTSGYHMETVFESSVIQKLPVPLQPVEADGKKVPLGLRKLTCDEECAKMERKRVLADAFDITPNLEALHFGENASTSELLSDLLRREPKFAMAAEERFKFLVMGKPKGSTSSTLRVHIFCHMIKEKRDAIKALAERWKLAVLSAGWEPKRFVVVHVTPKSKPPSRILGAKPGLPITAPPHPPVFDPLIDMDPRLVVSMLDLPRDADISSLVLRFGGECEMVWLNNKNALAVFNDPMRSATALRRLDHGSAYHGAVMVLPNGGASGQPVSNAWGLVQKEEQYLQRAVVIHGSKLLPRSQNHGVMLHCLIGDPNEVPPIAASQTDGMFLIQMLLQIQ >DRNTG_35450.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14945390:14953070:1 gene:DRNTG_35450 transcript:DRNTG_35450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPMIVRDETGDMEITASDKHAESMVNIKLDLLCATEEISKTKVAEQIHSMINKKFSLTVGLSQQAIKDDVLTYRIYNAKPIILHGDSSNGKKAIRLAVNEPSEQSTSIIDEASNKRKEHKRDHEDIIQQSNFSYMTPTMSSPPPPPLPTPPPPPSPSKEEKEYCYNNLATITISMGIPDLQCIRPSRHQRRRSKGSTGHI >DRNTG_04881.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000230.1:256359:258342:-1 gene:DRNTG_04881 transcript:DRNTG_04881.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERTRSGSPERTSSVREAINLFGEKMVSNGGKQNKLKPHFSLSDELATKRAREIHVAKMDIGRLCENKKCAEDDKARAESELYMARGMANELARRIEECNAKTLAQKQQLQSMNSPKIMMDSQPLDYVQVMHELDRVKHELSKLKLEVSSAIEAKANAESEIKTSGSKVRTMEACAEDLQKEIEHINEEHVLVELARMEAERELQQIESQRLADFSWYSKSIEEANNRIKGLQKEIQQTQELERSLAVTTADVDVLQKEMVLVRAMEKNFSKSNSMSNDEQKKEEQTQRELRNAEAELEVAKKELSSYKYQGFQFMSAMDLIRKELIEIFKEKEQIEKNEKKTDSAVRNLNSKLLKAKSQLESALVADTRAKEIVANLSAALQQMKIDTEAVRREREQISEESKSIKIEMKSEETINSDNERLLTTMKELEAAKKAEESALKKLKAVAERAMRNRVCTQLHEFLV >DRNTG_04881.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000230.1:256162:258342:-1 gene:DRNTG_04881 transcript:DRNTG_04881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNGGKQNKLKPHFSLSDELATKRAREIHVAKMDIGRLCENKKCAEDDKARAESELYMARGMANELARRIEECNAKTLAQKQQLQSMNSPKIMMDSQPLDYVQVMHELDRVKHELSKLKLEVSSAIEAKANAESEIKTSGSKVRTMEACAEDLQKEIEHINEEHVLVELARMEAERELQQIESQRLADFSWYSKSIEEANNRIKGLQKEIQQTQELERSLAVTTADVDVLQKEMVLVRAMEKNFSKSNSMSNDEQKKEEQTQRELRNAEAELEVAKKELSSYKYQGFQFMSAMDLIRKELIEIFKEKEQIEKNEKKTDSAVRNLNSKLLKAKSQLESALVADTRAKEIVANLSAALQQMKIDTEAVRREREQISEESKSIKIEMKSEETINSDNERLLTTMKELEAAKKAEESALKKLKAVAERAMRNRVCTQLHEFLV >DRNTG_21081.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4264010:4266856:-1 gene:DRNTG_21081 transcript:DRNTG_21081.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIARALTRKGTAFVKLAKVSKDYDAAIESFQKALIEHRNPDTMKKLNDAENAKKELEQQEYYDPKIADEEREKGNEFAKQQKYPEAVEHYTEALRRNSKDIRTYSNRAACYTWLGAWAEGLKDAQKCIELDPSFSKGYIRKGTILFFMEDYGKALEAYQEGLKHNPNDQDLMDKVQSCVEQIKRTMGEGTRPAELHARWGKVIQDTEIQNILSDPIMQSVLHDLEENPSLALHHLKDPQVLYKIQKLRNAGLNLMN >DRNTG_21081.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4264010:4267794:-1 gene:DRNTG_21081 transcript:DRNTG_21081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAIAKGDVAFSAGDFEEAIDQFTEAIKRTPTDHVVYSKRSAVHAVMGDHFEAVADALKIIDLKPDLSEGYLLVGSALVGAGYFNNAIAFYKKGLELHPCNEALNTGLAEAEALAEQIQGFAGASTQSSSHFSFRPSSPELTASRLLFTSRLFSMPNLFEKLAEYPTTKEYIQQPDFLKMVKDVQENPSNLDLYVEDSRMMETFGVLIGLVGFPLELDSIPVHQKREPESMEESKGATERRVRKAMAQIEKEEGNRAYKKKDFETAIKHYNRALVFDDEDISFLTNRAAVHMEMGEYAECIRDCDKAVERGRELKSDFKMIARALTRKGTAFVKLAKVSKDYDAAIESFQKALIEHRNPDTMKKLNDAENAKKELEQQEYYDPKIADEEREKGNEFAKQQKYPEAVEHYTEALRRNSKDIRTYSNRAACYTWLGAWAEGLKDAQKCIELDPSFSKGYIRKGTILFFMEDYGKALEAYQEGLKHNPNDQDLMDKVQSCVEQIKRTMGEGTRPAELHARWGKVIQDTEIQNILSDPIMQSVLHDLEENPSLALHHLKDPQVLYKIQKLRNAGLNLMN >DRNTG_21081.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4264010:4264784:-1 gene:DRNTG_21081 transcript:DRNTG_21081.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYGKALEAYQEGLKHNPNDQDLMDKVQSCVEQIKRTMGEGTRPAELHARWGKVIQDTEIQNILSDPIMQSVLHDLEENPSLALHHLKDPQVLYKIQKLRNAGLNLMN >DRNTG_04963.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3952678:3954523:-1 gene:DRNTG_04963 transcript:DRNTG_04963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKWQKVATLGRKIVSPRANEHSDFNACSTSSVVEKGHFNVYTIDGKRFIIPLSYLNNVVFTEFLKISEEEFGLPGDGPITLPCDEVSMEYVLSMLRRGVSEEVERALVSSIFMSCQSKCLSLTVDNTQQSAIQKVEHVDGQAVKMELSNALSTSWETPLLNMERTYSMDVASQGNVIGPSPGDPNSSSDIFKSSLKTTLFRYAKGIINRFPSKVYTLKWPFSAIDDVLHALKSEQSLALGEEIFLAIAATFCHFLAILVMLIRNDESLVYMKVVLVLAAKIMAAIYLYIGEKEYSFPH >DRNTG_17915.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25270632:25273919:1 gene:DRNTG_17915 transcript:DRNTG_17915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALAAAPSCPSSTLSGVRPPEPCALRCRSLAFPIIAFGALLPPPRGWRLRGLTVRMAPEEEKMTRRSPLDFPIEWERPKPGRRPDIFPQFSPMKTPLPTPMPADPPDEDEEEEEEKKEEEEEDPEKEEPEKPEQSKMQQHKTGWW >DRNTG_11558.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15710943:15788441:-1 gene:DRNTG_11558 transcript:DRNTG_11558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGTHPDGRQDHPSEGMPIKRFSSKRARREPTPPVQEPRIINFSKLVLIALIMWYAPDPCGAIWNHTRESRKFLYSISVLIVFHSPFFSADLAHHGLLSRKLKFQRDRYTAGILTRLPVGIWALISTL >DRNTG_33485.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002005.1:15828:16848:-1 gene:DRNTG_33485 transcript:DRNTG_33485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRESFNAWIKEARHLPVMKMVDSIRFKLMRILCNRREQANKWETYLCPDIHSKVEILVEYSRNLRINHCVDDRYEVIDHCSYSIDLAIRTCSYRSGYFTIDNYKLAYKEAIFPIPDDDKPTDGNRELHLRQPMTRSQLGRPR >DRNTG_04031.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11163920:11166114:1 gene:DRNTG_04031 transcript:DRNTG_04031.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKTDSEVTSLVLSTPPHSPPPSHHHPPYFVLSPSHPDIDKLSLPASSPPTSPPHHHHHRFAISSSPILDHSRESSSTTRFSASLKHISAAPHWHKLPHFSPHYPSSSSSHSHLNDAGDDGDYYDSPLPAKCYVLLFILGFVFLFSLFSLILWAASQPYKPRISIKSVVIESYKVQAGVDLTGVPTKMLSIKSTVKISFHNPATFFGVHVSSTPLQLYYYDLKIASGQMEEFYESRKSGRVVTVAVKGMQVPLYGGGSTLSSRGGGEALVEVPLNLTLVVRARANVLGELVKSKFYRHVWCSFVLKETRLGKPLHYVCRYHD >DRNTG_27441.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28698174:28708353:-1 gene:DRNTG_27441 transcript:DRNTG_27441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEKGKTKTREEIEAEISQAMRARVEDFKEQADSLTLEGVRRALEKDLGMKAFSLDVHKRFIKQCLEKFFYGPEDESISKSSEKLSVEADQALNEETPVKSEKLLEERGNDSSILEEKSRGSPALAGNEPSDQVNDKDEDIELNEDIIRKAIKERADHFRANSETITLSGVRRILEGDLKLARNTLDAYKSFISLELDEVLTPPESAKASNGAKKRDLKKSTDSPRARKGSKRTRKSSDTSDSDDLSSEDEETEEVVRRPKKKVAKEPKTNTKENVKKKKSQEETRSTSSKKKKSAEPDSNKNSESHSEGSSEEGDSHSSEEGSKKREKPTQSVYGKQVEHLKSTIKSCGMSVPPSVYRRAKQAPESKREAYLIKELEDILEKEGLSTNPSEKEIKAVKKKKERAKELEGIDMSNIVSSTRRRTTSSYIPPPKPKVVVESEDDEEEGDDEEDEEDEEDEEDAENEEDTDDGNDDGGESSEASDGGGEDESD >DRNTG_09669.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20510540:20511402:1 gene:DRNTG_09669 transcript:DRNTG_09669.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSGIIGRLPESLGKLHSLRELDLSRNNISGTLPKSIGNLCKLRTLDLTSNFFNGGVDDLLNGLSNCTENKKSIRHGSISEDMDGLIQLSLGSNRFNGTIPDSLGKLSKLRTLDLPANSFIGTLTEHHFANLTDLSYMDFSYNLLQLNVPKDWVPPFDANGIMLCSCRIGTAFPAWLKTQTHLNDICLSDAGISGNVPTWFWDLSYLSSLNISHNNLSGTLPPSIEGLFTIDLSSNKFEGLIPKIEVDFLDAIDLSNNSISGPIPSFFSLAESIEVFSLANNHISG >DRNTG_12813.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14007032:14008889:1 gene:DRNTG_12813 transcript:DRNTG_12813.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFGYMASMTLVGGLNPFTLYSLQMMGIATLQHPMAPDIPQEDDLVLQVEHPSILWFGTSLQHLIDHLSSGSSTFSSDIEYTENDHTLLPQH >DRNTG_22773.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4004804:4006132:1 gene:DRNTG_22773 transcript:DRNTG_22773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVYQQFTGINAIMFYSPVLFQTMGFRNDASLLSAVITGLVNVFSTIVSIVLVDRVGRRKLLLQAAVQMLIAQTIIGGILAAKLHDNNSLDKGLAIAVVVFVCVFVAGFAWSWGPLGWLIPSETFPLETRTAGYAFAVSSNMLFTFLIAQAFLSMLCHMKAGIFFFFAAWIVIMGLSAAFLLPETKGIPIDEMTERVWKKHPYWKRFVDDDGHELAEVEKGTQG >DRNTG_01856.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21251394:21253155:1 gene:DRNTG_01856 transcript:DRNTG_01856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINSSTPKFNIEAHKTQKKDNDKNMSMKKNKNKKVMKKKVISPPSPPRPHLRDHQVISSWEQLKSILSCKAIVMASQVHDPSKEKPTVSNSNYAKLRSSCGPSICAFRDVVHGNTRVGHRSDTDRHSGSSSPGASRRHETAPLTRSSGGSCSSSSKAGGMPLRRLSGCYECHAISIDSASRLVCLIH >DRNTG_24547.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001308.1:937:37723:1 gene:DRNTG_24547 transcript:DRNTG_24547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDASNSAVVIASEAHTITLAVEGLLGVVFTISALTDEAIDVGEVESPKSDNDLPDECTGRTAVLCLSMINSTWLTILNSLSLILTRSQGEAVVLEILKGYQAFTQACGVLRAVEPLNSFLASLCKFTINIPNDLDKKSGLFSPGSKRSEHSTDQRENIVLTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLAALDRAIHSPHASTQEVSTVSRLTRDTSGQYSDFNILSSLNSQLFESSALMHVSAVKSLLSALCQLSSQTVPGSLTVTGQATNQQIGSVAFSIERMTSILVNNLHRVEPIWDLVVGHLLELADAFSPQLRNLALDALDKSICAVLGSDQFQTNQNSEKQLINSDVKLNDFQLGSFECAVLSPLMILYKSSQNIDVRTGSLKILLHVLERHGEKLCYSWACILDLLRNVASEADKDLVSLGFQSIRVIMNDGLSTIPAQCLDVCIEVTGAYGSQKTDINISLTAIGLLWTATDFIAKGLGQKTAKGSSKETEIGVSDIFKHALSGSNLGDTAEDEHSSHTTNGIQGVLYSTYFDSNKLLFSVFSFLQKLGADERPEVRNSAIRTLFQTLGSHGQKLSKSMWEDCLWGYVFPILDRVTHLAATSSKDEWQGKELGTRGGKAVHMLIHHSRNTAQKQWDETLVLVLSGIARLLRSFFPFLQSLKRFTEGWEFLLSFIKDSILNGSKEVAVAGISCLQTTACSHCPKGNMPITCFKSVLDVYELVLQRSTNWSNSANKVKQETLQALGDLYVQAKMMFDSDMYARLLAILRQAIRNSSSTVDCENDYGSVPPLQRSVLEILPLLRPAENLQSMWPLFLRELVHYLLGCQTLFHENKNDVDTTDHTKHVLEGAEIRSQEPAGGSAAENAACISSPLIKEKLVPVIVELFLEAPTVEKYNAIPEIIQGLGRCMTTRRDYPKGALWRLAVEGFNKLLLEDVKTIHPVYKMDQTTYRLPRARLWKEVADVYETFLVGSCGRAFPSDTPAADVLKADEFIEINFLNVLGDKVLMAQMDAPTDILQRLVSTLDRCASRTGCLPIESVGLIPPNCSKFSLSCLRMMFSLCSYSSEDNWHSKRCEVSKVSILVLMKRCECIFKQFLTDENDLGETPLPAVRIEETICVLQELARLITHPDTASALQLKPSLRGALVDNEKPGGRAHLFVLLPSFCELVVTRETRVRELVQVLLRLISTELGLQKS >DRNTG_24547.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001308.1:937:37723:1 gene:DRNTG_24547 transcript:DRNTG_24547.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWEDCLWGYVFPILDRVTHLAATSSKDEWQGKELGTRGGKAVHMLIHHSRNTAQKQWDETLVLVLSGIARLLRSFFPFLQSLKRFTEGWEFLLSFIKDSILNGSKEVAVAGISCLQTTACSHCPKGNMPITCFKSVLDVYELVLQRSTNWSNSANKVKQETLQALGDLYVQAKMMFDSDMYARLLAILRQAIRNSSSTVDCENDYGSVPPLQRSVLEILPLLRPAENLQSMWPLFLRELVHYLLGCQTLFHENKNDVDTTDHTKHVLEGAEIRSQEPAGGSAAENAACISSPLIKEKLVPVIVELFLEAPTVEKYNAIPEIIQGLGRCMTTRRDYPKGALWRLAVEGFNKLLLEDVKTIHPVYKMDQTTYRLPRARLWKEVADVYETFLVGSCGRAFPSDTPAADVLKADEFIEINFLNVLGDKVLMAQMDAPTDILQRLVSTLDRCASRTGCLPIESVGLIPPNCSKFSLSCLRMMFSLCSLQL >DRNTG_17707.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3997206:3998192:1 gene:DRNTG_17707 transcript:DRNTG_17707.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGADRVHQTGFQDEKETTASISSSSQDQNLMNTSQSTRFQKCINETQKLQISPGRKRFEMEENNITSACNKKDPQKATEMGTPCSRSLGEGILLYLNLGEKIIFKIIKK >DRNTG_17707.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3997206:3997881:1 gene:DRNTG_17707 transcript:DRNTG_17707.2 gene_biotype:protein_coding transcript_biotype:protein_coding RGADRVHQTGFQDEKETTASISSSSQDQNLMN >DRNTG_02868.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:13108382:13110220:-1 gene:DRNTG_02868 transcript:DRNTG_02868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKLMKILFYVHKSECLSVTALVPLQMVVQTQIRGGVYQGGKQRNNDAWSRGEVPSTTGIVKKEFSAEPVEILRHPEKMHGRVEFPHARGSLLLACQEKAQGLRLALVNDLVTFTCPWVVNALKSKKEAKIGYKDTMLGVLVQFKDQDTRAVHKHGDIARPLKDTSMKKSFIAYHMDVCPDMWPQEKSVWTAFREILL >DRNTG_12904.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26695956:26705127:1 gene:DRNTG_12904 transcript:DRNTG_12904.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G66670) UniProtKB/Swiss-Prot;Acc:Q9SXJ6] MDALRCFATLPPSSSPRPSLLSSSALLGPSSLSSPCPQWRRRPHRSIKASSRVDSTTTLSEGWDVSGSVARPSFLPRFEELDTTNMLLRQRIVFLGSQVDTDTADFIISQLLFLDAEDQKKDIKLFINSPGGSVTAGMGIYDAMKLCKADISTVCFGLAASMGAFLLASGTKGKRFCMPNARVMIHQPLGTAGGKTTDMGLQIREMMYHKVKMNKILSRITGKPEQQIEIDTDRDNFMNPWQAKEYGLVDEVIDDSKPGLVAPIADAAAPPKTKVWSLWKVEGSRKAKKNLPSEHNISRNGYEGSDGSDGEKGTPQTEEAPTPV >DRNTG_15340.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20718827:20721237:1 gene:DRNTG_15340 transcript:DRNTG_15340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEPVSPTGQYFNSSVLSVSILAFFEFDKPIDDSPAIVTLENSFLPINPRFSSIMVKDEHGVQNWKRVNVNLEEHVKIPTFPSGLESYDEYMQEYISKIAMEPFSQNRPLWELHLIKYPTKHAEGVMVFKLHHALGDGFSLMGALFSMVQRADKPSLPLTFPSSHAKPQNDNDHGSTIWETLFSAFSVGVNTICDFGWSFLKSTLIEDNKTPIRSGDPGVEFRPITISTVTFSLNDVRRIKAKLGGTVNDVMSGIIFYGTQLYLHTASKSSSKYSKVTALVLLNTRVISNYQNLQEMTKPDARSPWGNQFGFIHVSVPSSTEDLEKGNPLDFVMKARETINAKRNSLGVFLTGRLLEMLRKIRGPEVTAQYVHSTLKNTSMTVSNLIGPMEQMQIAGQNCRGLYFMVVGVPQSLTITMVSYKGKIKVAMGTEKGFIDSELLVSCMEKSFQRIFTASGAKTC >DRNTG_15340.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20718717:20721237:1 gene:DRNTG_15340 transcript:DRNTG_15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEYISKIAMEPFSQNRPLWELHLIKYPTKHAEGVMVFKLHHALGDGFSLMGALFSMVQRADKPSLPLTFPSSHAKPQNDNDHGSTIWETLFSAFSVGVNTICDFGWSFLKSTLIEDNKTPIRSGDPGVEFRPITISTVTFSLNDVRRIKAKLGGTVNDVMSGIIFYGTQLYLHTASKSSSKYSKVTALVLLNTRVISNYQNLQEMTKPDARSPWGNQFGFIHVSVPSSTEDLEKGNPLDFVMKARETINAKRNSLGVFLTGRLLEMLRKIRGPEVTAQYVHSTLKNTSMTVSNLIGPMEQMQIAGQNCRGLYFMVVGVPQSLTITMVSYKGKIKVAMGTEKGFIDSELLVSCMEKSFQRIFTASGAKTC >DRNTG_24522.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6000107:6001502:1 gene:DRNTG_24522 transcript:DRNTG_24522.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGVNACEREDLFKVIQRKRRKLCEVKDRHVESSLDVGRVLIRAQVIEKEVQHDNVSVLAPDADRGNCSERSETTKDVKIKSECGDPPVELIASPKKVYKRSCLRNSQFVIHEERSEAQEAANSFTTNFPFTTVKICRSHIAQKFPMRLPRAFSHTHLPRKKAILIIRDPNMKSWEVTHIPREGHCDRLSAGWHNFCHGNDLKLGDICVFELVKPCQLNAHIFR >DRNTG_20294.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5309025:5309491:-1 gene:DRNTG_20294 transcript:DRNTG_20294.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNAIETIFGLPSPFANITQSKANFASKGLSAKDLVVLS >DRNTG_20294.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5307858:5309832:-1 gene:DRNTG_20294 transcript:DRNTG_20294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINVFLNLFIILIALSSSSVNAQGLKVGFYQKTCPQAEAIILKEMRRVISLAPTLGAPLLRLHFHDCFIRGCDASILLNSKNGTAEKDGIPNLSLRGYGVIDGIKTKLEKACPGVVSCADIIALVARDAVFLINGPFWPVLTGRRDGMVSNAIETIFGLPSPFANITQSKANFASKGLSAKDLVVLSGAHTIGTSHCPSFSLRLYNFTGKGDADPSLDRQYAARLRSKCKPNDITTLVEMDPGSFKTFDTSYYKLVAKRRGLFVSDEALLHDPETKAYVLRQAAGTSPEFFKDFGESMVKMGNIGVLTGKQGEIRKQCGFVN >DRNTG_09602.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000402.1:11063:12518:-1 gene:DRNTG_09602 transcript:DRNTG_09602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGSLIGSLEESSRSSLSSTSSTIFIWGIEEEIGTPILFCSSTIGDSSISLSL >DRNTG_10698.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13710839:13712773:-1 gene:DRNTG_10698 transcript:DRNTG_10698.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPATSSLPSSSERSSSSAPQMETKEGMESDEEIRRVPEFGGEMAGPSTSGREAGSAAGTDRAQAATQAGQRKRGRSPADKEHKRLKRLLRNRVSAQQARERKKAYLNDLEAKVKDLEKKNSELEERLSTLQNENQMLRHILKNTTVSRRGTSSGASGEGL >DRNTG_10698.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13710899:13712773:-1 gene:DRNTG_10698 transcript:DRNTG_10698.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPATSSLPSSSERSSSSAPQMETKEGMESDEEIRRVPEFGGEMAGPSTSGREAGSAAGTDRAQAATQAGQRKRGRSPADKEHKRLKRLLRNRVSAQQARERKKAYLNDLEAKVKDLEKKNSELEERLSTLQNENQMLRHILKNTTVSRRGTSSGASGEGL >DRNTG_10698.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13710713:13712773:-1 gene:DRNTG_10698 transcript:DRNTG_10698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPATSSLPSSSERSSSSAPQMETKEGMESDEEIRRVPEFGGEMAGPSTSGREAGSAAGTDRAQAATQAGQRKRGRSPADKEHKRLKRLLRNRVSAQQARERKKAYLNDLEAKVKDLEKKNSELEERLSTLQNENQMLRHILKNTTVSRRGTSSGASGEGL >DRNTG_24689.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19203229:19204509:-1 gene:DRNTG_24689 transcript:DRNTG_24689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALAIALASAAALILISGALASIVYFRRGCFLRGGDHQPADLERGTSTSRVADGPDPSIGTKDSPRRFHWSEIETLTRNFTSPVIGEGGFSTVYLSAHSSAVKVLLSSERLHRAFRLELDVLLRLHHPHIVRLLGFCDDRDGERGALVFEYIPNGSLHEKLHETLTPLSWSQRVSIAYKLATAMDYLHDGCDLQIVHGDIKASNVLLGEQLEPKLCDFGSARMGFSAAVQPRDAAHMVGSPGYVDPHYLRTGIVSKKSDVYSFGVLLLELITGGEAFCSEKEQLLTARMAPLLQNTARTLPEIMDPKLGMEYDAGEAAAMAAMAALCVGAQPSLRPSMADVLRLMKEKVVSASLSDSISAVDLEKSKL >DRNTG_24533.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6105264:6112869:1 gene:DRNTG_24533 transcript:DRNTG_24533.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPEPTPSVNLRSAFGGVFGFLILVLIGVLAFSIRIFSVIKYESVIHEFDPYFNYRVTQFLSKNGIYDFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGSMWWILNSLNIPLSVETVCVFTAPVFSANAAWATYLLTKEVKGTGAGLTAAALLAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYIKTLNTGSLFYATLNALAYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSSRLYIAYAPLVVLGTLLASLVPVVGFNAVMTSEHFASFLVFIIINVVAIVYYIKGLLSPKMFKVAVALVLTIGISVCVVVIGILVALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIIACFLPLSDASSFMILYIVTSVYFSGVMVRLMLVLAPAACIMSGIALSEAFSVFTRSIKFQLLKPIETNSSGAEDASSGSSTSENDTKKADKQVPTIKAEEGLRERPSKKNRKKEKETVEKVPKEREATEKVLAKSEREKRLLVLPLEASAVAILMLIILGAFYVVHCVWAAAEAYSAPSIVLTSRSHDGLHVFDDFREAYGWLRHNTEVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKPAWEIFNSLDVKYVLVVFGGWCSMMLGN >DRNTG_00033.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21625320:21627398:-1 gene:DRNTG_00033 transcript:DRNTG_00033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNASQPAAYFAGDSTKLDLNLKSGDKILAWVDYDSVSKVLNVTISPSSTKPHIPIISFPIDLSPILHDHMFVGFSASTGLLASSHYLFGWSFKMNGVARSLDLSSLPSLPKPPKKYTAFVIAISVSSAVFVIAAVSAAAYLYYKIKTADVIESWELECGPHRFSYKELKHATKGFRDRELLGFGGFGKVYKGTLPGTKTQVAVKRVSHESRQGIREFVAEIASIGRLRHRNLVQLQGWCRRRSDLILVYDYMPNCSLDKYLFSSDLDSQNPPKPLLSWRQRFHILKGVASALLYLHEEWEHVVIHRDVKASNVLLDADLNGRLGDFGLAKLYEHGANPSTTRVVGTLGYLAPELTRTGKATTSTDVFAFGALVLEVVCGRRPIEPKALSEELVLVDWVWGLWAAARWTEVVDKRLKGEYDRLEVEVALKVGLMCSHPAASVRPRMREVVRYLDGVEMPEVTRLPEEYEGKDLQVGFDDYVDSFSASSSFEKASECSVAGGAEDAVVGPFDCSHLSFV >DRNTG_01799.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19764415:19764766:-1 gene:DRNTG_01799 transcript:DRNTG_01799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVRDLKPKFIKRKKKTKGKGEGERDKKRGTEGGKEDRSVVQEKK >DRNTG_07937.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1173694:1174152:1 gene:DRNTG_07937 transcript:DRNTG_07937.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGHFHVVVLDNDKQEYRHYSSCPRYDKDALDMRNLFDICVDMEFGESATAKYPLVHDIETPRQKQGSVDCAVYVMRFIEQLLWGEKLRLPQTDVPYLRLRYVTRILKEGRAASVHDKGGSSQAG >DRNTG_19970.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:369406:370069:-1 gene:DRNTG_19970 transcript:DRNTG_19970.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSIGRMRVKHRGVLLTLKGTVIRSASVKMIEGEKEYECRRCRHR >DRNTG_19970.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:369406:370069:-1 gene:DRNTG_19970 transcript:DRNTG_19970.7 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSIGRMRVKHRGVLLTLKGTVIRSASVKMIEGEKEYECRRCRHR >DRNTG_19970.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:369406:370069:-1 gene:DRNTG_19970 transcript:DRNTG_19970.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSIGRMRVKHRGVLLTLKGTVIRSASVKMIEGEKEYECRRCRHR >DRNTG_19970.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:369209:370069:-1 gene:DRNTG_19970 transcript:DRNTG_19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSIGRMRVKHRGVLLTLKGTVIRSASVKMIEGEKEYECRRCRHRFKVYPELETGNLIRLPTSCPSKVCLAAF >DRNTG_19970.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:369209:370069:-1 gene:DRNTG_19970 transcript:DRNTG_19970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSIGRMRVKHRGVLLTLKGTVIRSASVKMIEGEKEYECRRCRHRFKVYPELETGNLIRLPTSCPSKVCLAAF >DRNTG_19970.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:369209:370069:-1 gene:DRNTG_19970 transcript:DRNTG_19970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSIGRMRVKHRGVLLTLKGTVIRSASVKMIEGEKEYECRRCRHRFKVYPELETGNLIRLPTSCPSKVCLAAF >DRNTG_19970.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:369406:370069:-1 gene:DRNTG_19970 transcript:DRNTG_19970.8 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSIGRMRVKHRGVLLTLKGTVIRSASVKMIEGEKEYECRRCRHR >DRNTG_19970.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:369209:370069:-1 gene:DRNTG_19970 transcript:DRNTG_19970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSIGRMRVKHRGVLLTLKGTVIRSASVKMIEGEKEYECRRCRHRFKVYPELETGNLIRLPTSCPSKVCLAAF >DRNTG_15035.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4185755:4190109:-1 gene:DRNTG_15035 transcript:DRNTG_15035.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRFLGNKEKKMSKETDNSFSAELGESHSFSETGTSVLARSFSDSNPSYRSMEYSSFPSSLQNTANAQTYRIFVATWNVGGKTPHGGLNLNDFLPADDPSDIYVLGFQEIVPLNAGNVLVIEDNEPATKWLGLINQALNRTQETDAADCMSTQTDYSFTSPANTPNISTSKDLKSPGNLLFFQKPSLKAVSRSFRIVQGRRLKSCNCPSEVSRKYYRDSCFGCQQAYTRKDDSSEDEAEEPDGFMVPDLAIDMPSSVKQQKYSLIASKQMVGIFVTVWLRRELVPFVGHLRISCVGRGIMGCLGNKGCISVSLSLHQTSFCFVCSHLASGEKEGDERRRNSDVIEILKNTQFPRICRSPSRRVPEKILGHDRIIWLGDLNYRIALSYSETRKLLEYNDWDALFKKDQLKIEIEAGRVFKGWKEGKIFFPPTYKYSDNSDAYAGEVATSKKKRRTPAW >DRNTG_15035.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4185755:4190109:-1 gene:DRNTG_15035 transcript:DRNTG_15035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSSFPSSLQNTANAQTYRIFVATWNVGGKTPHGGLNLNDFLPADDPSDIYVLGFQEIVPLNAGNVLVIEDNEPATKWLGLINQALNRTQETDAADCMSTQTDYSFTSPANTPNISTSKDLKSPGNLLFFQKPSLKAVSRSFRIVQGRRLKSCNCPSEVSRKYYRDSCFGCQQAYTRKDDSSEDEAEEPDGFMVPDLAIDMPSSVKQQKYSLIASKQMVGIFVTVWLRRELVPFVGHLRISCVGRGIMGCLGNKGCISVSLSLHQTSFCFVCSHLASGEKEGDERRRNSDVIEILKNTQFPRICRSPSRRVPEKILGHDRIIWLGDLNYRIALSYSETRKLLEYNDWDALFKKDQLKIEIEAGRVFKGWKEGKIFFPPTYKYSDNSDAYAGEVATSKKKRRTPAWCDRILWHGDGIVQLCYICGESRFSDHRPVCAVFSVEVGVPDARLRNGLSTPNMKVGVEELLSSGSSDYFPH >DRNTG_15035.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4185755:4188885:-1 gene:DRNTG_15035 transcript:DRNTG_15035.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVSFMTAELGESHSFSETGTSVLARSFSDSNPSYRSMEYSSFPSSLQNTANAQTYRIFVATWNVGGKTPHGGLNLNDFLPADDPSDIYVLGFQEIVPLNAGNVLVIEDNEPATKWLGLINQALNRTQETDAADCMSTQTDYSFTSPANTPNISTSKDLKSPGNLLFFQKPSLKAVSRSFRIVQGRRLKSCNCPSEVSRKYYRDSCFGCQQAYTRKDDSSEDEAEEPDGFMVPDLAIDMPSSVKQQKYSLIASKQMVGIFVTVWLRRELVPFVGHLRISCVGRGIMGCLGNKGCISVSLSLHQTSFCFVCSHLASGEKEGDERRRNSDVIEILKNTQFPRICRSPSRRVPEKILGHDRIIWLGDLNYRIALSYSETRKLLEYNDWDALFKKDQLKIEIEAGRVFKGWKEGKIFFPPTYKYSDNSDAYAGEVATSKKKRRTPAWCDRILWHGDGIVQLCYICGESRFSDHRPVCAVFSVEVGVPDARLRNGLSTPNMKVGVEELLSSGSSDYFPH >DRNTG_15035.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4185755:4188642:-1 gene:DRNTG_15035 transcript:DRNTG_15035.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSSFPSSLQNTANAQTYRIFVATWNVGGKTPHGGLNLNDFLPADDPSDIYVLGFQEIVPLNAGNVLVIEDNEPATKWLGLINQALNRTQETDAADCMSTQTDYSFTSPANTPNISTSKDLKSPGNLLFFQKPSLKAVSRSFRIVQGRRLKSCNCPSEVSRKYYRDSCFGCQQAYTRKDDSSEDEAEEPDGFMVPDLAIDMPSSVKQQKYSLIASKQMVGIFVTVWLRRELVPFVGHLRISCVGRGIMGCLGNKGCISVSLSLHQTSFCFVCSHLASGEKEGDERRRNSDVIEILKNTQFPRICRSPSRRVPEKILGHDRIIWLGDLNYRIALSYSETRKLLEYNDWDALFKKDQLKIEIEAGRVFKGWKEGKIFFPPTYKYSDNSDAYAGEVATSKKKRRTPAWCDRILWHGDGIVQLCYICGESRFSDHRPVCAVFSVEVGVPDARLRNGLSTPNMKVGVEELLSSGSSDYFPH >DRNTG_34812.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:217655:221601:-1 gene:DRNTG_34812 transcript:DRNTG_34812.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEETGCNGNGKSGSPSFGKLITYKRRKRGNGCVWSPGKQALKEPHGAISRPSITGQDVLARIDHHWKIVLEHMQQLPELSDSSSIHKCIQDALASRLFESSKRFKMMTIHDSDITQPEGEWRGFEVDRHSQRRDSNTNGTSVGSKSGTCADSDLCSNTMKCQNVFLDILLSQKFALLCDLLCINFQDNVAKGMLDFTMINSKMKDGVYEQSSRLYGDDILQIWGNFQKVGQEMVDLAKSLISISQASYKKQVGEDLLHENVEPRCEVSGQAAVEEKNSVDSYTTSQFPSYESDRSNRLAQTEGSCLHKVCTCKNCGYVADGNNSLICDGCEAMYHVFCTETTIQKITAQNWYCISCSSKRKDLPDPLLRATNQQGSLHPNCMVCDRLEISVSEDQNHQKKNGKEPLTENDTESSVSSMESDEPLEPSTTAVLRLCKYCGTCEDDDRRFLVCGHMHCLYKYYHIRCLKSSQIASWQQQSRQCWYCPSCLCRGCLADKDDDKIVMCDGCDEAYHIYCMKPPRISIPEGKWFCSPCNVKRARQGMRLYEQLILKHHGGKEMNQAKGVKRSVDVLLSAAAKLSSEERLAAAKKEKQ >DRNTG_34812.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:217655:221601:-1 gene:DRNTG_34812 transcript:DRNTG_34812.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYHVFCTETTIQKITAQNWYCISCSSKRKDLPDPLLRATNQQGSLHPNCMVCDRLEISVSEDQNHQKKNGKEPLTENDTESSVSSMESDEPLEPSTTAVLRLCKYCGTCEDDDRRFLVCGHMHCLYKYYHIRCLKSSQIASWQQQSRQCWYCPSCLCRGCLADKDDDKIVMCDGCDEAYHIYCMKPPRISIPEGKWFCSPCNVKRARQGMRLYEQLILKHHGGKEMNQAKGVKRSVDVLLSAAAKLSSEERLAAAKKEKQ >DRNTG_34812.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:217655:221601:-1 gene:DRNTG_34812 transcript:DRNTG_34812.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEETGCNGNGKSGSPSFGKLITYKRRKRGNGCVWSPGKQALKEPHGAISRPSITGQDVLARIDHHWKIVLEHMQQLPELSDSSSIHKCIQDALASRLFESSKRFKMMTIHDSDITQPEGEWRGFEVDRHSQRRDSNTNDNVAKGMLDFTMINSKMKDGVYEQSSRLYGDDILQIWGNFQKVGQEMVDLAKSLISISQASYKKQVGEDLLHENVEPRCEVSGQAAVEEKNSVDSYTTSQFPSYESDRSNRLAQTEGSCLHKVCTCKNCGYVADGNNSLICDGCEAMYHVFCTETTIQKITAQNWYCISCSSKRKDLPDPLLRATNQQGSLHPNCMVCDRLEISVSEDQNHQKKNGKEPLTENDTESSVSSMESDEPLEPSTTAVLRLCKYCGTCEDDDRRFLVCGHMHCLYKYYHIRCLKSSQIASWQQQSRQCWYCPSCLCRGCLADKDDDKIVMCDGCDEAYHIYCMKPPRISIPEGKWFCSPCNVKRARQGMRLYEQLILKHHGGKEMNQAKGVKRSVDVLLSAAAKLSSEERLAAAKKEKQ >DRNTG_34045.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23404335:23407146:1 gene:DRNTG_34045 transcript:DRNTG_34045.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGQAVAGIVVGVVALLILLLLYWSCRCPSERTRTPQHRRNTSRGGQAQNKQKNSLSLPRRKDELHGGQAQNGQQNAPPLPHRKDELQGGQSQNG >DRNTG_18865.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2418121:2420095:-1 gene:DRNTG_18865 transcript:DRNTG_18865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSLKNIRREREMLSRQMQKRMTTMEREELYSKWGISLESKRRRLQLAKKLWSETNNIEHVKESAAIVAKLIGLLEPEHALKEMFGLSFAPQLTHRRSFSWKNGK >DRNTG_31833.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2096129:2099268:1 gene:DRNTG_31833 transcript:DRNTG_31833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIPVREDSKPNQRILRIGLTPVTLGVDLGRKSGELLKDGFVIALHTRDRPGFYVSRQKVPGGGWFLVAMSNVTKRDPAVQFLVSFRSKRSFAAGGKLLQAVLDVSIEILAVVDEGDGVTRWLN >DRNTG_05978.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000273.1:50380:52324:1 gene:DRNTG_05978 transcript:DRNTG_05978.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEIPLLTPYKMGKFDLSHRVVLAPLTRTRSYGNVPQPHAILYYSQRASKGGFLIAEATGVSDTAQGYPETPGIWTKEQVEAWKPIVKAVHDKGGVFFCQLWHVGRVSNYGYQPNGQAPISSTDRPILAQTYPNGTVEEYSPPRRLRTDEIPQIVNDFRLAARNAIEAGFDGIEIHGAHGYLLEQFMKESTNDRTDEYGGSLENRCRFAIEVIEAIVNEIGADRVGIKLSPFAHSMETWDSNPEALALYMVNALNKFGILYCHMAEPRMEIIDAKQQIPRGLLNMRKAFKGTFIAAGEYDRDDGNKAIAEGYTDLVAYGRLFLANPDLPKRFELNAPLNNYNFFTFCTQDPVVGYTDYPFLDESSA >DRNTG_28145.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19698954:19707452:-1 gene:DRNTG_28145 transcript:DRNTG_28145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSSGLAPQNQEGEQRCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNREVDAHIPNYPSLPPQLICQLHNVTMHADVESDEVYAQMTLQPLSAQEQKDPYLAAELGAPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVIFIWNENNQLLLGIRRANRPQTVLPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPCEFVVPLAKYVKAVYHIRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGDRQPRVSLWEIEPLTTFPMYPSPFSLRLKRPWPPGLPSLHGMRDDDIGLNNPFMWLRDGDRGMQSLNFQGLGVSPWVQPRIDASMLGLQPDMYQAMAAAALQEIRTVDPLKQPGPAMLQFQPQNMTNRSTPLLPSQMFQQMQSQPQHSLLQAVQESSGQSQTQSQLLQRQLQHCHSFNDQQQPQPQVQLSPRHQHQHQQQQHQHQQVQLQPQQHQQMQHHQMADQQIPNVMPTLSHIASSSQSQSPTFQSMSSFCQKQNFPEINANPVPTSGVSPLHSILRSFPAEETSNLLGLPRASSLLNSNGWSSKRLAVEATLPAGAQCVSSQVEQLGLPQPNVSQNSGSLPPFPGRDCLVEQECSTDPQSHQLFGVNIDSSSLLVQNGLSNLRSVGSETDSTSLPYATANFLNTTGSDFPFNQALTSTGCLEESGFLPSPDNVDQVDAQNGTFVKIYKSGSFGRSLDITKFSSYPELRSELGRLFGLEGQLDDPLRSGWQLVFVDRENDVLLVGDDPWHEFVNNVWCIKILSPQEVQQMGKQGVDLLNSTPVRRLSSSSCDEYVSLQDSRNLSTGITSVGSLDY >DRNTG_14200.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000660.1:81795:82885:1 gene:DRNTG_14200 transcript:DRNTG_14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLVSSAHCLEFGRKKKKKPDGPIKTVVILVMENRSFDHILGWLKSSRPDIDGLTGHESNRLSTSDPSSPEVFVSDDAVFIDSDPGHSFQAIREQIFGSEDTSADPAPMNGFAQQAESMGEGMARTVMSGSTQPNRFYVHSATSHGASSNVRKDLIHGFPQKTIFDSLDESGLSFGIYYQNIPATLFFKSLRKLKHITKFHNYKLTFKLHAKWGKLPNYVVIEQRYMDVELFPANDDHPSHDVARGQRFVKEVYETLRSSPQWNETALLITYDEHGGFYDHVPTPVFNVPNPDGIIGPDPFFFKFDRLGVRVPTILVSPWIDKAT >DRNTG_04471.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31659962:31662400:-1 gene:DRNTG_04471 transcript:DRNTG_04471.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIASYPAFRFMGMRSSLPLPSLSVIIPQVLFYFILEDFVFYWGHRVLHTKWLYKHVHNVHHEYATPFGLTSEYAHPAEILFLGFATILGPALTGPHLFTMWLWMILRVLETVEAHCGYHFPWSLSNFLPLYGGSDFHDYHHRLLYTKSGNYASTFVYMDWLFGTDEGYRKLKAIEKAKNLMGFTDH >DRNTG_04471.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31659962:31662400:-1 gene:DRNTG_04471 transcript:DRNTG_04471.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFQSFWQKKNNTPAAQERCILRLILYHVCVNLPVMIASYPAFRFMGMRSSLPLPSLSVIIPQVLFYFILEDFVFYWGHRVLHTKWLYKHVHNVHHEYATPFGLTSEYAHPAEILFLGFATILGPALTGPHLFTMWLWMILRVLETVEAHCGYHFPWSLSNFLPLYGGSDFHDYHHRLLYTKSGNYASTFVYMDWLFGTDEGYRKLKAIEKAKNLMGFTDH >DRNTG_04471.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31659962:31661650:-1 gene:DRNTG_04471 transcript:DRNTG_04471.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIASYPAFRFMGMRSSLPLPSLSVIIPQVLFYFILEDFVFYWGHRVLHTKWLYKHVHNVHHEYATPFGLTSEYAHPAEILFLGFATILGPALTGPHLFTMWLWMILRVLETVEAHCGYHFPWSLSNFLPLYGGSDFHDYHHRLLYTKSGNYASTFVYMDWLFGTDEGYRKLKAIEKAKNLMGFTDH >DRNTG_30091.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22876197:22879402:-1 gene:DRNTG_30091 transcript:DRNTG_30091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGAENERVEELLARVNGCHRDFLKRFGSHGCANERPQGVRQNAAEEMELNLGLSLGGCFGVEPRENKLVRSSSIAAPSMIPRSYEREFSGLLRASSLPAENDEEVRKRKELQCLKRLEAKRKRTEKRNSRIEGLGEDLNGNQTKVNGLNGVHSHGFMGLKNGTNGWAMGGVGAVQSHRPLSQGSGGSQGSGSSGLSDSGSPAPVKGFEIPRMNGSSEDRNPSNECSLPESANHKVSSTPQLPILKGQNSGDLSKKMTAASINGRSARDIERTMMEEMPCVTTKGDGPNGRRIEGFLYRYRKGEEVRIVCVCHGSFLTPAEFVRHAGGGDVAHPLRHIVVNPSPAAFF >DRNTG_09191.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7624694:7625380:1 gene:DRNTG_09191 transcript:DRNTG_09191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDTHVINRLRQINKPIEDYEKMAIVCWNDQATGSFARTDSQSSRSLGVRMEMLSTLQTLDSEDQPQGLDDWDFTQSQPPPVETPTTSTSKAKEVNKGSKRIRREELEVMQKNLHWIG >DRNTG_23010.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3071025:3075092:-1 gene:DRNTG_23010 transcript:DRNTG_23010.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g18270 [Source:Projected from Arabidopsis thaliana (AT3G18270) UniProtKB/TrEMBL;Acc:Q94K39] MTTSTAMPSLNSLQTLQTSFSVDVATAHGRALNVPLLAPFTIANSKLDSARNVAIRVELVNGCVGWGEAPVLPFVTAEDQPTALAAAADACQFLVQNPPMLLGSVLAEMSRFLPGHGFASVRAGVEMALIDAVAKSIGVPLWRLFGGALDTITTNITIPIVSPSEAAELAAKYYKQGFSTLKLKVGKNLESDIEVLKAIRVAHPTCAFILDANEGYTANEAVEVLEKLHRWCNCS >DRNTG_23010.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3071025:3075092:-1 gene:DRNTG_23010 transcript:DRNTG_23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g18270 [Source:Projected from Arabidopsis thaliana (AT3G18270) UniProtKB/TrEMBL;Acc:Q94K39] MTTSTAMPSLNSLQTLQTSFSVDVATAHGRALNVPLLAPFTIANSKLDSARNVAIRVELVNGCVGWGEAPVLPFVTAEDQPTALAAAADACQFLVQNPPMLLGSVLAEMSRFLPGHGFASVRAGVEMALIDAVAKSIGVPLWRLFGGALDTITTNITIPIVSPSEAAELAAKYYKQGFSTLKLKVGKNLESDIEVLKAIRVAHPTCAFILDANEGYTANEAVEVLEKLHLMGVTPVLFEQPVHRDDWEGLRHVTHVAKEKYGVSVAADESCRSLQDAQKIIQGNLANVINIKLAKLGVLGALDIIELSRNAGIGLMIGGMVETRLGMGFAGHLAAGLGCFSFIDLDTAILLADDPVVGGYEVSGANYKFTEASGQGVFLRWDGGS >DRNTG_08744.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18684279:18688570:1 gene:DRNTG_08744 transcript:DRNTG_08744.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLRTSSFCFTSLLVFPLILCFLLSFAIADLNSDKQALLAFSASVPHSRKLNWSNDTPVCTTWVGITCSADRTRVVALRLPGVGLIGPIPVNTLGKLDALQVLSLRSNRLMGSLPPDVLSIPSLHNLYLQHNNLSGKIPSSLSSSLTFLDLSYNTFVGVIPAGVGNLTQLSTLNLQNNSLVGPIPDLRSLRLKHLNLSYNNLTGQIPVPLQKFPNSSFLGNSLLCGRPLAQCTGVSPSPAPTPILPPPALPEKHKKSFWKRLSTKAIIAIAVGGGVLLFVVAVLILICIFKRRRGDASGTSKGKAVMGGRTEKPKEEFSSGVQEAEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAVLEDGTIVVVKRLKEVMVGKREFEQQMEIIGRVGQHPNVIPLRAYYYSKDEKLLVYDYLPAGNFSTLLHGNKTAGRTPLDWNTRVKVALGAARGVAHLHLEGGGRFAHGNIKASNVLLTQDSDACVSDFGLAPLMNSHATPSRIIVGYRAPEAIETRKHTQKSDVYSFGVLLLEMLTGKAPIQSPGRDDIVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEEMVQMLQIAMACVAKTPDQRPKMEDVVKMIEEIRQSDTEPRPSSEEKSKDSTVQTP >DRNTG_08744.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18685247:18688570:1 gene:DRNTG_08744 transcript:DRNTG_08744.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLRTSSFCFTSLLVFPLILCFLLSFAIADLNSDKQALLAFSASVPHSRKLNWSNDTPVCTTWVGITCSADRTRVVALRLPGVGLIGPIPVNTLGKLDALQVLSLRSNRLMGSLPPDVLSIPSLHNLYLQHNNLSGKIPSSLSSSLTFLDLSYNTFVGVIPAGVGNLTQLSTLNLQNNSLVGPIPDLRSLRLKHLNLSYNNLTGQIPVPLQKFPNSSFLGNSLLCGRPLAQCTGVSPSPAPTPILPPPALPEKHKKSFWKRLSTKAIIAIAVGGGVLLFVVAVLILICIFKRRRGDASGTSKGKAVMGGRTEKPKEEFSSGVQEAEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAVLEDGTIVVVKRLKEVMVGKREFEQQMEIIGRVGQHPNVIPLRAYYYSKDEKLLVYDYLPAGNFSTLLHGNKTAGRTPLDWNTRVKVALGAARGVAHLHLEGGGRFAHGNIKASNVLLTQDSDACVSDFGLAPLMNSHATPSRIIVGYRAPEAIETRKHTQKSDVYSFGVLLLEMLTGKAPIQSPGRDDIVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEEMVQMLQIAMACVAKTPDQRPKMEDVVKMIEEIRQSDTEPRPSSEEKSKDSTVQTP >DRNTG_08744.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18684279:18688570:1 gene:DRNTG_08744 transcript:DRNTG_08744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLRTSSFCFTSLLVFPLILCFLLSFAIADLNSDKQALLAFSASVPHSRKLNWSNDTPVCTTWVGITCSADRTRVVALRLPGVGLIGPIPVNTLGKLDALQVLSLRSNRLMGSLPPDVLSIPSLHNLYLQHNNLSGKIPSSLSSSLTFLDLSYNTFVGVIPAGVGNLTQLSTLNLQNNSLVGPIPDLRSLRLKHLNLSYNNLTGQIPVPLQKFPNSSFLGNSLLCGRPLAQCTGVSPSPAPTPILPPPALPEKHKKSFWKRLSTKAIIAIAVGGGVLLFVVAVLILICIFKRRRGDASGTSKGKAVMGGRTEKPKEEFSSGVQEAEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAVLEDGTIVVVKRLKEVMVGKREFEQQMEIIGRVGQHPNVIPLRAYYYSKDEKLLVYDYLPAGNFSTLLHGNKTAGRTPLDWNTRVKVALGAARGVAHLHLEGGGRFAHGNIKASNVLLTQDSDACVSDFGLAPLMNSHATPSRIIVGYRAPEAIETRKHTQKSDVYSFGVLLLEMLTGKAPIQSPGRDDIVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEEMVQMLQIAMACVAKTPDQRPKMEDVVKMIEEIRQSDTEPRPSSEEKSKDSTVQTP >DRNTG_33276.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23892420:23895586:-1 gene:DRNTG_33276 transcript:DRNTG_33276.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKQACFFSFYLLLASLTLALAITREDFPPGFIFGAGTSAYQAEGAVAEDGRKPSIWDTYAHAGKNMDKSTADTTADQYHKYKEDVKLMHEMGLDAYRFSISWSRLIPDGRGPVNPKGLQYYNNFINELLIHGIEPHVTLQHFDLPQALEDEYGGHVSRRIVEDFTAYADVCFREFGDRVKYWGTFNEPNIEAVGGFDVGLLAPGRCSYPFGISCSEGDSTTEPYIAVHNILLAHASAVSLYKQKYQVSQRGYIGLDVLGFWFEPYTDSKEDIAATKRLLDFHIGWLMDPLVFGSYPAVMKEIAGSRLPSFTAEESKMLRGSFDYIGLNHYNVFYVKAYPQALDSKRRDYVRDTSVKLLFDQDSKELSSKDFVKKQQLPPVAAKPWAFQKILEHVKLRYENPSIIIHENGYAEFDINSTTQNQNDTYRAYYIEQYIEALLLAIRNGSNTKGYFVWSFLDCFELAYGYTARYGLYGVDFNSEDRTRFPRLSAHWYSQFLKNTTMQTSNQIDIQRNETKYLDPKICRQYKRKRLLKQIFMNTSTFLSALVFHVVRAVM >DRNTG_33276.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23892351:23895586:-1 gene:DRNTG_33276 transcript:DRNTG_33276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQACFFSFYLLLASLTLALAITREDFPPGFIFGAGTSAYQAEGAVAEDGRKPSIWDTYAHAGKNMDKSTADTTADQYHKYKEDVKLMHEMGLDAYRFSISWSRLIPDGRGPVNPKGLQYYNNFINELLIHGIEPHVTLQHFDLPQALEDEYGGHVSRRIVEDFTAYADVCFREFGDRVKYWGTFNEPNIEAVGGFDVGLLAPGRCSYPFGISCSEGDSTTEPYIAVHNILLAHASAVSLYKQKYQVSQRGYIGLDVLGFWFEPYTDSKEDIAATKRLLDFHIGWCVPLHI >DRNTG_33276.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23892351:23893196:-1 gene:DRNTG_33276 transcript:DRNTG_33276.4 gene_biotype:protein_coding transcript_biotype:protein_coding DFVKKQQLPPVAAKPWAFQKILEHVKLRYENPSIIIHENGYAEFDINSTTQNQNDTYRAYYIEQYIEALLLAIRNGSNTKGYFVWSFLDCFELAYGYTARYGLYGVDFNSEDRTRFPRLSAHWYSQFLKNTTMQTSNQIDIQRNETKYLDPKICRQYKRKRLLKQIFMNTSTFLSALVFHVVRAVM >DRNTG_33276.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23892351:23895586:-1 gene:DRNTG_33276 transcript:DRNTG_33276.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLVFGSYPAVMKEIAGSRLPSFTAEESKMLRGSFDYIGLNHYNVFYVKAYPQALDSKRRDYVRDTSVKLLFDQDSKELSSKDFVKKQQLPPVAAKPWAFQKILEHVKLRYENPSIIIHENGYAEFDINSTTQNQNDTYRAYYIEQYIEALLLAIRNGSNTKGYFVWSFLDCFELAYGYTARYGLYGVDFNSEDRTRFPRLSAHWYSQFLKNTTMQTSNQIDIQRNETKYLDPKICRQYKRKRLLKQIFMNTSTFLSALVFHVVRAVM >DRNTG_26435.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23959973:23961774:-1 gene:DRNTG_26435 transcript:DRNTG_26435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRFLGRQLSVHEILGGGFVADVILWRRRDVSMGLLLATLASWVVFELSGYTLLRLVSNVLLLLFSIFFVWSKAAGILNRPPPPLPELHISAQVINEAAMLVSSCVNMLLSACYRIALGRDTLLFYRVAACLWLVSLVGGFADSMTLGYTSLVIVLTAPALYERYEDYVDRYLITAYGDVLYGYESYEKCFNEVEMWIMEKKKNL >DRNTG_22901.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1347853:1348281:1 gene:DRNTG_22901 transcript:DRNTG_22901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSPNPPPGKQNHGTGTKPKTLEP >DRNTG_09987.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22037115:22037784:1 gene:DRNTG_09987 transcript:DRNTG_09987.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLQHLKEQKDPVMEAVFREIEKVLARGRLDLPLTLCFAAIRGDDLLLQQLLRRGLDPNESDNNGRTPLVRICRLITK >DRNTG_11945.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17895786:17897553:-1 gene:DRNTG_11945 transcript:DRNTG_11945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSWDDSQAPLTEATQLNRKPTYAEVFNRTHKRDKGRGHYVDNKSKSVSESYTSSMSQKYGIDESSHLKFDPQAW >DRNTG_01121.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:14989168:14998189:1 gene:DRNTG_01121 transcript:DRNTG_01121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNMEEIGQIEYIGAENEKQEVEYHFEILDCVNEDCACERGNFQGDFLVSCSSQVENTQEEANPTVMEQAFLFGIDQHLQCKKETLGREEDVGRRLKPSNDPPMLSLDNSQPKLFPWSPKFSCRYANKDRKALIVYD >DRNTG_00626.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22546187:22550560:1 gene:DRNTG_00626 transcript:DRNTG_00626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKSDLTANKVVSYETAKAFADEIGIPFMETSAKNATNVEQAFMAMAASIKNRMASQPASNAGRPPTVQIRGQPVNQKTTCCSS >DRNTG_06867.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17267982:17269107:1 gene:DRNTG_06867 transcript:DRNTG_06867.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFFNLDPAVEHFDNPVAMDIREFISLDDVMEELVLGRNGGLIYCME >DRNTG_01478.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13590553:13592314:1 gene:DRNTG_01478 transcript:DRNTG_01478.1 gene_biotype:protein_coding transcript_biotype:protein_coding HYPRLCSWYEKEQLKVQLDTSGKILVSGECVVDGDQWCRFLKEFKLPMKSKVKSIEAKFDEGTLYVILPKHLPTSTITNTSVHIPQQKQQHNQSAIGEQSNNIISVKSCLKELWKHKFMALSGIVVIMLFAGLGIYLKNRSTLPN >DRNTG_10957.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22400807:22403036:-1 gene:DRNTG_10957 transcript:DRNTG_10957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESCSLVRFRAALCPAFKPSPFDPLLSPIASFSLPSLLPSSPPSLRYQRRRGRRDGSLVVRSMSSSSASFGSRLEESVRKTVSENPVVIYSKTWCSYSMEVKSRLKRLGVRPLVIELDRLGPQGPQLQKMLERITGQFTVPNVFIGGKHIGGCSDTVKLYQKGELTAMLSELNISTNSLLKP >DRNTG_27698.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21728970:21734483:-1 gene:DRNTG_27698 transcript:DRNTG_27698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMGEDGEDKLIAMARHIARTLGRTDTMADDILQIFSNFDGRFSREKLSDEHPALSSLERRLRAYVSSDRPIWSDSGAASEFLSALDDLIAAGGLHPRADDLLQQCMLRLEEEFRMLIEQPAGTIDSGDEEDDSDGEDPIPVAYPVADYDIIIDAIPPGTVADLHSIATRMVAAGFAKECAHTYALSRRDFLDESVSRLGIRARTSEELQATPWVELEDEIARWVRAMSVAVRILFPSERRLCDKVFAGLSQAADLAFAEACRGTAIQLLGFADAVAVVSRAPERLFKVLDMYEAVRDLIPEIESLFSDQYSSFLRSEAFAIWKGLGAAIRGIFMELENLIRRDPAKASVPGGGLHPITRYVMNYLRAACASRRTLEQVMDDDGGSGLAATDLDRPSSSLAVQIAWIMEVLQSNLEAKSKVYKDPSLSLVFLMNNGRYISQKARDGELGALLGEEWARREAARLRRWMTEYQRMAWGKVVAVLKTDGPGGGGSGKAMRERLRLFNGYLEDVWSEQRGWVVPDERLRQELREASVGMVVPAYEGFLGRLRVVEGGRGVEKQLLMFSVEDVETRIGDLFQGSSSIRRP >DRNTG_15467.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16390484:16391527:-1 gene:DRNTG_15467 transcript:DRNTG_15467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKEDLSIGNQFSFSSSPLYRMEGSSESERNHDQFDYFGSSKGGKFPWWPEEDGEEEEEKDIQHHVKNKDHKQHCFDHYYVSRAEEIAKHRREMIDMVKDLPEFGYELTLKDMVELPAKVEKESSREREEWMDNYSNEKEKEKERRRRRRRRRRRRMLRVGSFC >DRNTG_15158.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25581322:25592042:-1 gene:DRNTG_15158 transcript:DRNTG_15158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPNSGASLVGGPDGTKRRVSYFYEPTIGDYYYGQGHPMKPHRIRMAHNLVVHYYLHRRMEISRPFPASATDIRRFHSNDYVDFLSSVSPETVAALSSSAPGSAQDSTSSSAAGSSARQFRRFNVGEDCPVFDGLFEFCQASAGGSLGAAVKLNRGDADIAINWAGGLHHAKKGEASGFCYVNDIVLGILELLKYHQRVLYVDIDIHHGDGVEEAFYTTNRVMTVSFHKFGDFFPGSGHIKDTGYGQGKYYALNVPLNDGMDDDSFRGLFRPIIQKVMEVYQPNAVVLQCGADSLSGDRLGCFNLSVRGHADCLRFLRSFNVPLMVLGGGGYTIRNVARCWCYETAVAVGVEPENKLPYNEYYEYFGPDYTLHIEPKNMENQNSIKDLEKIRNALLEHLSKIEHAPSVQFQERPPATDPPEEEDEAMDCRPAPRIWNGGCYDSDPEEDQKSQNEDSNMESDSLADSEIPNHNDT >DRNTG_31991.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23725304:23726116:1 gene:DRNTG_31991 transcript:DRNTG_31991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDIKYPFRTSNQPSFCGHPDPGFELTCAKGMLSIQIGNKKYHVLKDISYGNRVLQLLDFDLLFAGPSCPQKMSNTSFDFSLFTYPDDNQDTNLTMFLNCHDPLHDIPDPIMDFLFPTQCYNNEFFGQYSYFTLQSFGQKLPMHDLLANCCDTTVLLPVSNLNFSPETFRNGIMNYFSKALKFGFNLTWTVDQGWCEDECLKTGGICGSDPNGTKANACFCPA >DRNTG_16394.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16100701:16107972:-1 gene:DRNTG_16394 transcript:DRNTG_16394.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPQKKHYFFYGHRRPSQNRPIVHGGLFTNRKALPSNPHRPSPPPISTVDFRHWDSSSSSLQYPPSIPSPSTPFPSRRLSPLARFISDLLRRHRHWSPALLSELSKLRRVSPDLVAEVLRSDLNPNPSLSTRFFHWASRQKGFHHSYSSFNAFAHSLYRSGHPRAADRVPDLMLALGKPPSETQLELLVRLHSQSRRGLRLFHVYKRMTSIFNIKPRVFLYNRILDSLIRTNHLDLALSVYDDMIRNDVKEEPITFTILAKGLCKAGRIDAALDLLEKMRRDVCKPDVFAYTAMVKVLAAEGNVDGCLEVWNQMKMDGVQPDVMAYATLISGLCKVGRVEKGMELFRDMKSKGLLIDRAVYGALIEGFVAEGKVGNGCELFKEMIDDGYRADLMHYNCLINGLCEVGKGDKAYKLFQFAMQEELVPSFETMTPLLACYVDADERDRFFQLVDSISELGLSVMDHLSHFFRFFVGKGGRELKALQAFELLKQRSYTSVEMYNILIQSLYKIKDYKWALSLFEEMKGSKEFQPDSATYDIMIQCFVDDGDVREGACSCYNKMTEMSSTPSVAAYVCLVKGLCKIWEINAAITVVKDCLGNVENGPLEFKCTLTVINACRTGDPQKVIEILDEMIGEGVLLEEIIYCAIIHGFCKHASTAEAKKVFEVLRDRNLLTEANFIVYEELLDEHLKKVTAGLIMSGLKFFGLESKLKLTSGSD >DRNTG_01538.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000085.1:797:7075:1 gene:DRNTG_01538 transcript:DRNTG_01538.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLRGLWSAPGNEGGGGGDITATSPQHSIAASAGGSDQRPRVRTYVQAVCSSSSGEALPARKMGKSASSGDNCSSGLGKLTGAKRPRSPKEASCGRCFRTTHKTADCRHQVVCLRCSCVGHMASRCPVEPKRSPRRRVMHVRSKHNQPPRARIPALPEIITKVEEQSNQQETKINKISLSVSLTPESEELREDLAKVAVLSLEEGYVNDTTLQAIIPSLINKELAGPITPLNEPHYLLPMDSREGVKELCKMGSFAAATKDGPCRLKIAPWSAELGAEGRASGEGQWLLVWNLPLHAWCWDMITEVLRSVGELVAMSQAQTPHKEFLSVLVRRRAGVALPMEIELSLGMRRYVVLITGERTAHPVYRRELGRFALSEKDGDEGAVNTREGPRAQAAIIQQHRSATTSKGKAVVEPRSHAGPVLIGPAVEQRPEMRPPTVVEPCSHVVVGRDSDRDRGPVGRTDGPSKTRVRNSVARSPERRRGMHAQSTTPRRVVLWRERDSAAPLEPVKERLRGTLVASEASLVQFKDLREQVKNATTTETDLGLSNAIGRSDVPLVHDKHGLRLDSTNGPELSIKDVVGRIVEDPLLNDVGLHLSMESNVGLIDNVCYMDPLLMCEVEPQVGRATSNDPIPGHVIGSGSGVEIAVDGLSDRVSKGASIMFSPNVGRVNFRDYSAAPAVAPPVGFHWKFLDGFWVLAPSLTASVIEQPSELTTRAKEGGSENKVVEVLSQEIEVDSDESVSDFERKIRELLPDLQGGSTSLPSDQAPGVRKSERQKKAPARFNDETDHLVEMPKSTRKKGADGPKGTRAKPLSISEWSDAQLTSYCDACGLSGLAVNFSKTCLYSTSMDILPEREAMGTPMLVIGVCYHLPISGGWGILELSNFNLALLGKWWWKLMTNTAWCGAEILQFNYGVIRWNMFPRQTGRISYFWKGVLSCLMTLRGCVLHEVNSGTETLFWKDCWFAGRAPMFIWPEEFRRTSEPNGTVREMGYLLNQAPFSGEEDSRYYRTRLTDFDGVVGDRKRWRLNGNGIFTVKSFYSFLIDGGVCCPIARFFWKKPCPKKISLFNWLAEKNKILTMDVLAKRSCNRLPTTTCVLCNSALESSDHLFLHCLVARKVWGYFVHLLHLPDPPGTMQEIWRGWRTSIRTNFREIGVLVAKAIVWNIWLVRNDCLFNANYLPTHALILKIDRMLISWLSSVVEGLRETGEDPIAMLRVTLGGHGDRVEWSMAEFLSLG >DRNTG_11468.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18561407:18563354:1 gene:DRNTG_11468 transcript:DRNTG_11468.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFSSFGDQYRVFVKDLWKEHAGWPLNDMERSYKFMVKHGHLWKVAFHGTSPRWVHRSYLATMAAFYAKKVEAGLRKYKPDIIISVHPLMQHIPLWVLKWQGLLKKVVFITVITDLNTCHPTWFHKSVTRCYCPSSEVSKKALLDGLDTSQIRVFGL >DRNTG_11468.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18562359:18563354:1 gene:DRNTG_11468 transcript:DRNTG_11468.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSYKFMVKHGHLWKVAFHGTSPRWVHRSYLATMAAFYAKKVEAGLRKYKPDIIISVHPLMQHIPLWVLKWQGLLKKVVFITVITDLNTCHPTWFHKSVTRCYCPSSEVSKKALLDGLDTSQIRVFGL >DRNTG_03114.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13991061:13996798:1 gene:DRNTG_03114 transcript:DRNTG_03114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNMMIRANFLLLFLLIAFASSSVNSQLLFQGFNWESWKKEGGWYNSLKDHVADIASTGVTHVWLPPPSHSVSNEGYMPGRLYDLDVSKYGNQNDLKSLIQAFHNKGIKTVADIVINHRCADKKDGRGIYCIFEGGTPDSRLDWGPTMICRDDTAYSDGTGNLDTGEGFAAAPDIDHLNIRVQNELSDWLNWLKSDVGFDAWRLDFAKGYSADIAKIYVDRTKPDFVVGEIWNSLAYDGSKPAYNQDAHRNDLQRWVNAVGGPTTAFDFTTKRHP >DRNTG_35041.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4374014:4374325:-1 gene:DRNTG_35041 transcript:DRNTG_35041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSFLPMKLMIFATFVKMVVIFPYKNNTYVAPKIANVEPIEQIYQRSSHVITESGDYVGGYAIYKLVNP >DRNTG_01269.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:10201424:10205101:1 gene:DRNTG_01269 transcript:DRNTG_01269.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGFGEDELYEELWRGCAGPLVDVPRIGERVFYFPQGHIEQLEASTNQELDAHIPPFNNLPPNILCRVVNIQLRAEHETDEVYAQITLQPDSQAELTSPDMAVPEPPRPTVHSFCKILTASDTSTHGGFSVLRRHANDCLPPLDMTQATPTQELAAKDLHGFEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGENGELRVGVRRLARQQSTMPSSVISSRSMHLGVLATASHAVTTQTLFTVYYKPRTSQFIVGVNKFLEAVNHGFTVGMRFKMRFEGEDVPEKRFSGTIVDVGDISSQWTSSKWRSLKVQWDDAASIQRPERVSPWEIEPFTAIPPTVNAPSQITQVKAAKRPRQPVDLPDLPNHEFTASFWYSGTTQSHDLGTVNGSDGQGCETQAQTQVWPHWKNEQMSNKSLQKLGGFDGWLKDSSHASLKLFQDNNGEPKTSPVPLWPAETSIEKSKKAEFGGSCRLFGIELINHSNNTVEKPLVSPVSISSAATEDAPPAPATVSVEDSDQQSALSKASKEHKRGTHISTKEIQSRQNSSTRSRTKVHMQGIAVGRAVDLTTLEGYDELILELEQMFDIKGELRHRDKWEVVFTDDEGDMMLVGDDPWLEFCKMVRKIFIYTSEEVKKMKPRSKLHISAIESQETGDSVAAVALEKEPKTEG >DRNTG_08287.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23860980:23861826:-1 gene:DRNTG_08287 transcript:DRNTG_08287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKSMSNSSGSPDELRHSIQQEQSDDMFNITSSSRTKDECVDEGRGKRKRKLKVHFDELNFPEKSVRRIRRIKIMRYLGLVAPAGSPYSVAHASSP >DRNTG_13507.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21922738:21923430:1 gene:DRNTG_13507 transcript:DRNTG_13507.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP--glucose-1-phosphate uridylyltransferase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56040) UniProtKB/Swiss-Prot;Acc:F4IY62] MAAMATSSSSPFSTALPPSRLSRSSSLLLPPFLLRSELYCRRRCRRLSSLAFCLVPSRSPRVSTAPVEQALAPCLDFIEEISRLDSLRSRLRVARSLSDKHRVLDSDDRVKGLLKSLGRSRWFSRVLSSLEPWEVLLIKCLVAAGQGHVLGVEFDYEEVRADGSALKSALYALADMVEKWSLDGNVTVDDRDVDMELLRRLLNNLDEIERFYDCIGGIIG >DRNTG_13507.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21926117:21929780:1 gene:DRNTG_13507 transcript:DRNTG_13507.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP--glucose-1-phosphate uridylyltransferase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56040) UniProtKB/Swiss-Prot;Acc:F4IY62] MVLNMKKPVYYLDHFGVQHSVSGGRLECTMQNIADSFLNIFATRCSKSIESALDSFIIYNERKRVTSSAKRKRKQTDTSLHQTPDGSLLDILRNVADILSRCNITLPKVEDNIKYLQSGPPFLILLHPALGPLWEVTRQKFFGGSIMEGSELQIEVAEFMWREVQLDGSLLVIAENIMGSTQAIENGEPLMQYGRRCARCKLQNVTVHNKGIDWTSANNVYWMHNVQRFESLKVILQGNAEFEATDVVLQGNHVFEVPNGHRMHVTSGDSGIVIKLEPIKEEMMDSGSWFWKYKLNGTHIQLELVEL >DRNTG_13507.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21922738:21929780:1 gene:DRNTG_13507 transcript:DRNTG_13507.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP--glucose-1-phosphate uridylyltransferase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56040) UniProtKB/Swiss-Prot;Acc:F4IY62] MAAMATSSSSPFSTALPPSRLSRSSSLLLPPFLLRSELYCRRRCRRLSSLAFCLVPSRSPRVSTAPVEQALAPCLDFIEEISRLDSLRSRLRVARSLSDKHRVLDSDDRVKGLLKSLGRSRWFSRVLSSLEPWEVLLIKCLVAAGQGHVLGVEFDYEEVRADGSALKSALYALADMVEKWSLDGNVTVDDRDVDMELLRRLLNNLDEIERFYDCIGGIIGYQIMALEFFFSFKTTKPGISWSPQSNKSLKSQFVEIHVPEGLNLVENAEYASQAALWGIQGLPELGEIYPLGGAGDRLGLVDPYTGECLPAAMLPYCGRTLLEGLIRDLQAREFLHFKIFGKQCITPVAIMTSSVKNNHEHITALCERLGWFGRGHQNFNLFEQPLVPVVTAEDGQWLISRPFSLVGKPGGHGAIWKLAHDKGIFQWFYRHGRKGATVRQVSNVVAATDLTLLALAGIGLHNKKKLGFASCQRSFGATEGINVLLERQNSDGDWEYGLTCIEYTEFEKFGIRDAPISLGSLQAGFPANTNILYVDLHSAEEVGSSQNASSLPGMVLNMKKPVYYLDHFGVQHSVSGGRLECTMQNIADSFLNIFATRCSKSIESALDSFIIYNERKRVTSSAKRKRKQTDTSLHQTPDGSLLDILRNVADILSRCNITLPKVEDNIKYLQSGPPFLILLHPALGPLWEVTRQKFFGGSIMEGSELQIEVAEFMWREVQLDGSLLVIAENIMGSTQAIENGEPLMQYGRRCARCKLQNVTVHNKGIDWTSANNVYWMHNVQRFESLKVILQGNAEFEATDVVLQGNHVFEVPNGHRMHVTSGDSGIVIKLEPIKEEMMDSGSWFWKYKLNGTHIQLELVEL >DRNTG_06272.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18640:21288:-1 gene:DRNTG_06272 transcript:DRNTG_06272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVMPGSTAHMSCWHGSTRPVTGHMTCRTLWTSRSDTCSTVAVEHHPNSRRPYLHHQQNHINHFMPKAQ >DRNTG_27576.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6630111:6633557:1 gene:DRNTG_27576 transcript:DRNTG_27576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFELRAAREKLEREQRERKAKAKAKLEKERKAKAEAARQRDAIEAVQRTRRIDAASALLQAEQQMEENLLIGKGVVFSRILEASLCEGYGDKIKLPPSCFSELSEQGALDKGPMYFRLSKVDNHASSGSDISGHTPGTTYSGVLEFTASEGSVELPPHVWNNLFLGGRPDVPLVDVCYVSLLKGTYAKLQSEDTSFFDVPNHKAVLETTLRRHATLSQGDIITVNHGELVYRLQGS >DRNTG_10548.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3286521:3289563:-1 gene:DRNTG_10548 transcript:DRNTG_10548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRKNRILIQVVIFVLVVSTSTRLEVSGLGSMSSIAVSYGPDGPVFCGLKADGSHSVSCYGSDASVVYGAPSRVPLLGLTAGSGFVCGLLLDSNQPYCWGSNPYVKMGVPQPMIEGAAYSEISAGDHHLCALRKTQVLIDCWGYNMTASYQHNAAIAMITAGSVFSCGLFLRNKTTFCWGDETGSGVIGLIPRNMRFHSISAGGFHVCGILENFQVFCWGRSSGLQQSVNLAPMDPMVAVSGGRFHACGIKSLNHEVLCWGFTAGDSVPPPRQAKFYEITAGDYFTCGVLAERSLRAVCWGSAGPWSAPLAVSPGVCSTNPCGPGYYEYSILSNSNSVSKLCKSIDSRVCLPCSVSCPQGTLESSPCNSSSDLKCEFNCSACNSTQCSSFCSSKTKHKSLLSIQLPIFLAELVFAVILLLSVTLTALFLCPVQTKELHLQRNNINNQHEIKSKKNNYNNKLMSILQQRLGENHARLEKSPSVHLRRARESHREIQRGISSRKRELLLRLQRSSQRRHSGGSETSNNGGFSIPRTTKEKFQRIQNRARPALKTKPRTPPQPPRLLRRRRRKTTSLRIHGAWITIPAPPRKRSTTKKEVRLGAESDNSSASSKRNRILAWLRMPASDSQRHQIIQHSH >DRNTG_35228.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8912631:8923243:1 gene:DRNTG_35228 transcript:DRNTG_35228.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein 7 [Source:Projected from Arabidopsis thaliana (AT2G36660) UniProtKB/Swiss-Prot;Acc:Q9ZQA8] MAALPIAPVPAVYVGDLHPDVSDSSLFDFFSSLGPVASVRVCRDSVSGRSLGYGYVNYISPEHASRAIVDLNHTLLNGKPLRIMWSRRDPDARNSGIGNLFVKNLAETIDNVKLHQIFAPFGNVLSCKVAAHQDGKTKCYGFVQFDSQESANLSISKLNGSIVEGKEIYVANFIKKSERVFPSPDAKYTNLYMKNLDQDITEELIELKFSEFGKIDKVAIAKDANGESKGFGFVSFESPDSAKKAMESMNGVQLGTKTLYVARAQKKAERQEFLKRLYEERRNEQIKKYMASNVYVKNIADDIDDAILGEHFSLCGTIMSAKVMCDDKGLSKGFGFVCFSSPDEASKAVNTLHGCMFHGKPLYVSIAQRKEDRKAQLQLQHAQRIAGLTGSPAAVIPSAYPPVYYPPMSPRQSLYYQPFGVRSGWRPNSFLAPRPAFQSMPLPGMPNTPRQHRQNRSRTNGHVVSQSGQSLSYALQQPSHSPNLSNDSGGQQRPGQMKYVPNVRQRDLRNGSVPPAASNPQGTEMLSSMLAAASPEQQKQMLGDRLYPLVMKHKFDLAAKITGMLLEMDNSELLLLLESPEALAIKVQEAVDVLEASKSKLGGGQENMQHFSLSAEVAVN >DRNTG_35228.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8912631:8913075:1 gene:DRNTG_35228 transcript:DRNTG_35228.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein 7 [Source:Projected from Arabidopsis thaliana (AT2G36660) UniProtKB/Swiss-Prot;Acc:Q9ZQA8] MAALPIAPVPAVYVGDLHPDVSDSSLFDFFSSLGPVASVRVCRDSVSGRSLGYGYVNYISPEHGIYIYIYVSAFGSGLVGYSSVSFWLDLFF >DRNTG_35228.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8915417:8923243:1 gene:DRNTG_35228 transcript:DRNTG_35228.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein 7 [Source:Projected from Arabidopsis thaliana (AT2G36660) UniProtKB/Swiss-Prot;Acc:Q9ZQA8] MASNVYVKNIADDIDDAILGEHFSLCGTIMSAKVMCDDKGLSKGFGFVCFSSPDEASKAVNTLHGCMFHGKPLYVSIAQRKEDRKAQLQLQHAQRIAGLTGSPAAVIPSAYPPVYYPPMSPRQSLYYQPFGVRSGWRPNSFLAPRPAFQSMPLPGMPNTPRQHRQNRSRTNGHVVSQSGQSLSYALQQPSHSPNLSNDSGGQQRPGQMKYVPNVRQRDLRNGSVPPAASNPQGTEMLSSMLAAASPEQQKQMLGDRLYPLVMKHKFDLAAKITGMLLEMDNSELLLLLESPEALAIKVQEAVDVLEASKSKLGGGQENMQHFSLSAEVAVN >DRNTG_35228.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8922370:8923243:1 gene:DRNTG_35228 transcript:DRNTG_35228.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein 7 [Source:Projected from Arabidopsis thaliana (AT2G36660) UniProtKB/Swiss-Prot;Acc:Q9ZQA8] MKYVPNVRQRDLRNGSVPPAASNPQGTEMLSSMLAAASPEQQKQMLGDRLYPLVMKHKFDLAAKITGMLLEMDNSELLLLLESPEALAIKVQEAVDVLEASKSKLGGGQENMQHFSLSAEVAVN >DRNTG_29164.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5220301:5221587:-1 gene:DRNTG_29164 transcript:DRNTG_29164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLNFIFPASASLFLTAMTVIGVTTSALGGISEATGKNIQYSKFLNAGASDISKETKISSKAGMLLVYSPSLAAALASFAVPGFAVAGRCLLVGIVLSLHFFKRVFEVLFIHQYSGQMILKSAITISMAYCINTVTLLYAQYLTQDTPEPSFDLKNAGILLFLIGITGNFYHHYLLSKLRKKKEKGYKIPSGGLFSLVICPHYLFEIIGFLGLALISQTLFSFSWFLGTLFYLMGRSHATRKWYLSKFENYSGDVKALIPFVF >DRNTG_28857.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22231626:22233993:1 gene:DRNTG_28857 transcript:DRNTG_28857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMTEHDDFLSRRCVWVNGPVIVGAGPSGLAIAASLKEQGVPCVILERSDCIASLWQKRTYDRLKLHLPKQFCQLPGLAFPDDYPEYPTKKQFIEYLETYAKRFEITPRFNETVQSARYDETCGLWRVRTAGEVEYICRWVVAATGENAEEVIPDIQGLKELDRPVTHVSNYKSGEAYKGKKVLVVGCGNSGMEVCLDLCDHNAFPSMVVRESVHVLPREVMGKSIFEMAMLLMKWMPVWLVDKILLLLSWLVLGSMNKYGLKRPVTGPLELKNTKGKTPVLDIGALEKIRSGDIQVVPQIKKFSQGKAEFIDGRVIDVDAVILATGYRSNVPSWLQGSEFFGKDGFPKSAFPNGWKGNSGLYAVGFTRRGLAGASVDAVRAAKDIGRIWKEETKPAKQFIACHRRSYSQF >DRNTG_35460.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3693036:3699349:-1 gene:DRNTG_35460 transcript:DRNTG_35460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAATRLAAKRVFQIRTAFHGSPKVTLASRSFSTALNYHIDSPDNNPDMPWDFSQVNKERVKEILSHYPSNYKQSAVIPLLDLAQQQHGGWLPVSAMNAVAKIIEVAPIRVYEVATFYSMFNRTKVGKYHLLVCGTTPCMIRGSREIEEALLKHLGVKRNEVTKDGLFSVGEMECMGCCVNAPMITVADYSKGSEGYTYNYYEDITPKRVIEIVEMLKGVKLLLLAHKIH >DRNTG_04304.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14449276:14449576:1 gene:DRNTG_04304 transcript:DRNTG_04304.8 gene_biotype:protein_coding transcript_biotype:protein_coding KKDRERILNPHKKILQWIENVKVATNPHFEEVHAVLYKVRAKLHSNPSSISGESIKSGPKVKLSPKL >DRNTG_04304.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14449072:14449938:1 gene:DRNTG_04304 transcript:DRNTG_04304.1 gene_biotype:protein_coding transcript_biotype:protein_coding IIYFSFFVQLLDKKDRERILNPHKKILQWIENVKVATNPHFEEVHAVLYKVRAKLHSNPSSISGESIKSGPKVKLSPKL >DRNTG_04304.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14448972:14449576:1 gene:DRNTG_04304 transcript:DRNTG_04304.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQLELLDKKDRERILNPHKKILQWIENVKVATNPHFEEVHAVLYKVRAKLHSNPSSISGESIKSGPKVKLSPKL >DRNTG_04304.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14449276:14449938:1 gene:DRNTG_04304 transcript:DRNTG_04304.3 gene_biotype:protein_coding transcript_biotype:protein_coding KKDRERILNPHKKILQWIENVKVATNPHFEEVHAVLYKVRAKLHSNPSSISGESIKSGPKVKLSPKL >DRNTG_04304.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14448972:14449679:1 gene:DRNTG_04304 transcript:DRNTG_04304.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLELLDKKDRERILNPHKKILQWIENVKVATNPHFEEVHAVLYKVRAKLHSNPSSISGESIKSGPKVKLSPKL >DRNTG_04304.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14449276:14449615:1 gene:DRNTG_04304 transcript:DRNTG_04304.7 gene_biotype:protein_coding transcript_biotype:protein_coding KKDRERILNPHKKILQWIENVKVATNPHFEEVHAVLYKVRAKLHSNPSSISGESIKSGPKVKLSPKL >DRNTG_04304.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14449276:14449679:1 gene:DRNTG_04304 transcript:DRNTG_04304.6 gene_biotype:protein_coding transcript_biotype:protein_coding KKDRERILNPHKKILQWIENVKVATNPHFEEVHAVLYKVRAKLHSNPSSISGESIKSGPKVKLSPKL >DRNTG_04304.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14449072:14449576:1 gene:DRNTG_04304 transcript:DRNTG_04304.5 gene_biotype:protein_coding transcript_biotype:protein_coding IIYFSFFVQLLDKKDRERILNPHKKILQWIENVKVATNPHFEEVHAVLYKVRAKLHSNPSSISGESIKSGPKVKLSPKL >DRNTG_13900.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1881191:1883750:1 gene:DRNTG_13900 transcript:DRNTG_13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDYKVEMINDGMQEFFVDFHGPNDSLYQGGVWRVKVELPDAYPYKSPSIGFVNKMYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRPIYEQRVKEYCEKYAKPEDIGAPSEEKSSDDEELSDAEYDSGDDAVVGKADL >DRNTG_34557.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1402662:1403057:-1 gene:DRNTG_34557 transcript:DRNTG_34557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWVVLGYATAAEAIMLLFITLPGIGRLRKGLIAVVRSVLKPLLSIVPFCLFLLMDVYWKYETRPTCDQEHACTPSEHLRHQKSTLKSQRNGILLASALVLYWLLFAVTNLACKIDQLEQHVENLKRQD >DRNTG_07321.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3296941:3299830:1 gene:DRNTG_07321 transcript:DRNTG_07321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVPNKKVILKNYITGFVKETDMELITSDTIKLKLPEGSQGLIVKNLYLSCGLDMRGRMSKHDEPSYVPDFVVGSVITGNGVSKVVYSGHPDFSVGDFVCGMTGWEEDSVITNPESFFKIKDTDVPLSYYTGILGMPGFTAYIGFYEICAPKKGEYVFVSAASGAVGQLVGQFAKLMGCYVVGSAGSDEKVDLLKSKFDFDEAFNYKKEPDLNATLKRYFPEGIDIYFENVGGAMLDAVLLNMRPHGRISACGMISQYNLEKPEGVHNITHIIANRIRFKGFLIFDYYHRYYEFVEKIIPDIRQGKIKYVEDKVEGLENGPAALISLFKGLNVGKKLVVIAHE >DRNTG_35299.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22635092:22635399:-1 gene:DRNTG_35299 transcript:DRNTG_35299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLGHNGPAVHRFVNRPRSGAGGTRCSVKPALRVTLHSRD >DRNTG_02950.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1381689:1385038:1 gene:DRNTG_02950 transcript:DRNTG_02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAGAAALRPPSTPGARLLFLYSPSSLSSTSFTVSVDASHSNRGLSVSIPMARVNGMVTGVAVDPEDDEWLQNKPAGFGEGKKYETTVEDELMEEMERSRKALLASISKKKKNAMKRSERINEQDSQISNKATDVIPSGIRVRVWNLPRKKNIHRDLQLAFKGFQGILHVSPAVIANQKTRDPVCKGFAFLVLESEHAAKRFVQTYTKQNVTFGKVQKQIMCDIVNTRISADSSDEQFSDDTSSFSQPMYDNFRDVTTSRSHSHQFPLDHIDNSPEESSSGGLDGMTEQEYTWAMKDKDQITSHSEETDRGLEDSNDSVMNNHDRKQTTLKKKKIKVKSKNTSKLSMPGLTSRLKIRERTALNGAFSKYGAKIDTDIPIEK >DRNTG_17573.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17864549:17872647:1 gene:DRNTG_17573 transcript:DRNTG_17573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKTPFLTPDSRVSLPILFGDFPLHQESIDKDEDQASPHHLRGSRSSWRIKRSGASSSIKLLKHN >DRNTG_17347.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32140250:32147475:-1 gene:DRNTG_17347 transcript:DRNTG_17347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLVEVEPGRGAADGCPSAGPVYRSAFAKDGFPPAVSGIESCWDVFRLSVEKYPGNRMLGRREIVDGKAGKYVWMTYKEVYDVVMKVGASIRSCGVGQGGRCGIYGANCPEWVISMEACNAHGIYCVPLYDTLGAGAVEFIICHAEIEVAFVEEKKIVEVLKTFPNSTKFLKTIVSFGKVTHEQKEEVEKFGLAIYSWDEFLLLGNDQQFDLPINQKTDICTIMYTSGTTGDPKGVMISNESITTLISGVVRLLDCVNEQLSEKDVYISYLPLAHIFDRVIEEMFIFCGASIGFWRGDVKLLVEDIGELKPTIFCAVPRVLDRIFTGLQDKISSGGLLKSTLFNLGFKYKLYNMTKGAKHEEAAKIVDKIVFNKVKQGLGGNVRLILSGAAPLATHVEEFLRVVTCAHVLQGYGLTESCAGTFVSLPNELSMLGTVGPPVPNVDVRLESVPEMGYDALAAVPRGEICVRGKTLFSGYYKRDDLTKEVMIDGWFHTGDVGEWQQNGSLKIIDRKKNIFKLSQGEYVAVENLENIYGLVPDIDSIWIYGNSFESFLVAILNPNKQALERWAEANGVTGDFEALCQDPKAKEYFIGELAKIGKSKKLKGFEFIKAVHLDPVPFDMDRDLLTPTYKKKRPQLLKYYKSVIDELYKSTK >DRNTG_33561.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28566785:28574307:-1 gene:DRNTG_33561 transcript:DRNTG_33561.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHESSGGEEVGRTSSDLRKPFLHTGSWYRMGGMGSRQSSLMDKLGSSASVIRDSSVSVVLCTLIVALGPIQFGFTGGYSSPTQDDIIRDLDLTLSEFSLFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIASIPNIIGWLAISFAKDSSFLYMGRLLEGFGVGVISYTVPVYIAEIAPQNMRGALGSVNQLSVTIGILLSYLLGLFVQWRVLAVLGILPCTILIPGLFFIPESPRWLAKMGMTEDFETSLQVLRGFDTDITVEVNEIKRSLASSSRRTTIRFSDLKQRRYKLPLMIGIGLLVLQQLSGINGILFYASSIFKTAGKFIIV >DRNTG_33561.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28566785:28574307:-1 gene:DRNTG_33561 transcript:DRNTG_33561.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHESSGGEEVGRTSSDLRKPFLHTGSWYRMGGMGSRQSSLMDKLGSSASVIRDSSVSVVLCTLIVALGPIQFGFTGGYSSPTQDDIIRDLDLTLSEFSLFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIASIPNIIGWLAISFAKDSSFLYMGRLLEGFGVGVISYTVPVYIAEIAPQNMRGALGSVNQLSVTIGILLSYLLGLFVQWRVLAVLGILPCTILIPGLFFIPESPRWLAKMGMTEDFETSLQVLRGFDTDITVEVNEIKRSLASSSRRTTIRFSDLKQRRYKLPLMIGIGLLVLQQLSGINGILFYASSIFKTAGISSSNMATVGVGVIQIIATGVTTWLLDRAGRRLLLIISSAGMTGSLLLVSVSFFLKGIISEDSKFYGTLSIVPAVGLVAFVIAFSLGLGAIPWLIMSEILPPNIKGLAGSVATLANWFTSWGITMTANLLLSWSSGGTFAIYTVVSAFTLVFVIIWVPETKGRTLEEIQWSFR >DRNTG_32923.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1243179:1243994:-1 gene:DRNTG_32923 transcript:DRNTG_32923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSPRVSVEPEQREKVKDSSMAICLPSPKRGGPPPPSSWLEIRLFYVRISPCAVDSVPPRLTLSHLRREMGVSLEMNGARVPSSDPTAVTLRCDRLDREASEVTYVSTDLVRLTGAVEFEVTDDKGFLILCGSLERMEVPWGNGSIGLDRGHSQASCDKDPKTGWVMDCYSAASIASSAFVQPKLGISSPSIEVYIAGCCSGHPLILSQTIQLSPRTKTKLGMLDAIPEDEETIEREQRNSDGLLRHRSSSSSVRISPLIFAGLFSLPLQ >DRNTG_14737.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:131784:132590:1 gene:DRNTG_14737 transcript:DRNTG_14737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELQGNLRVSCLENVTSVEEAIDADLSNKRSLIALELVWSDKSQYGAQECLQEEVLGGLQPQTEIRELTIKGYSGFYFPKWLGSSSFSSLHTIRLSNCENCKFLPPLGQLPFLRSLDIGGMYSLTHIGQEFSSNGEIISFPSLNELVLEDMPYLEEWQISEGHKAQF >DRNTG_03028.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:304911:307928:1 gene:DRNTG_03028 transcript:DRNTG_03028.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGILTIPSSFYSHTSFLNQRRSRLPAKRAHLLRRPISCQTLSEELHCSQIFTNTNTNTNTNTNTNTDSKDEAAMKIDRRKMLMIGLGGSLHGATGVARQAIGYPIQAPDFSHCQTASEGPKQVNCCLPLSSSPIIEFKRPSILSPLRVRPAAQFVGKEYLKKYRKAVELMRALPPDDPRSFATQAKIHCAYCNSAFDQGGLPGMELQVHNSWLFLPFHRCYIYFHERILGHLIGDQTFGLPFWNWDSPAGMRLPTFYDEVGTSLYDPLRDPKHRKHGVVDLDHSLIDDPLSDSQQISQNLKLMYRVVMTNGKTAELFMGMPFRAGDNPNPGAGSLELLPHGAVHLWTGDRAQPFIENMGTFYSAARDPIFYAHHSNIDRMWTVWQKHVVKKTITFNDADWLETAFLLYDENAQLVRIKVKDCLDTTWLRYTYQDVRNPWLNARPTPKVRRSKAKAKVAAAETATATATVNKEKMFPLTLSSPVSTTVKRPRVKRSKEEKKAEVEVLVVDGILAVKEKPVKFDVYVNAPNDFGRLGPEASEFAGCFLNPQAGHETRHRYTLRLNITDLVDEIGADGDGTITVTLVPRTYTENLEIGALWIEFLST >DRNTG_03028.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:304911:307928:1 gene:DRNTG_03028 transcript:DRNTG_03028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILTIPSSFYSHTSFLNQRRSRLPAKRAHLLRRPISCQTLSEELHCSQIFTNTNTNTNTNTNTNTDSKDEAAMKIDRRKMLMIGLGGSLHGATGVARQAIGYPIQAPDFSHCQTASEGPKQVNCCLPLSSSPIIEFKRPSILSPLRVRPAAQFVGKEYLKKYRKAVELMRALPPDDPRSFATQAKIHCAYCNSAFDQGGLPGMELQVHNSWLFLPFHRCYIYFHERILGHLIGDQTFGLPFWNWDSPAGMRLPTFYDEVGTSLYDPLRDPKHRKHGVVDLDHSLIDDPLSDSQQISQNLKLMYRVVMTNGKTAELFMGMPFRAGDNPNPGAGSLELLPHGAVHLWTGDRAQPFIENMGTFYSAARDPIFYAHHSNIDRMWTVWQKHVVKKTITFNDADWLETAFLLYDENAQLVRIKVKDCLDTTWLRYTYQDVRNPWLNARPTPKVRRSKAKAKVAAAETATATATVNKEKMFPLTLSSPVSTTVKRPRVKRSKEEKKAEVEVLVVDGILAVKEKPVKFDVYVNAPNDFGRLGPEASEFAGCFLNPQAGHETRHRYTLRLNITDLVDEIGADGDGTITVTLVPRTYTENLEIGALWIEFLST >DRNTG_03028.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:304911:307928:1 gene:DRNTG_03028 transcript:DRNTG_03028.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGILTIPSSFYSHTSFLNQRRSRLPAKRAHLLRRPISCQTLSEELHCSQIFTNTNTNTNTNTNTNTDSKDEAAMKIDRRKMLMIGLGGSLHGATGVARQAIGYPIQAPDFSHCQTASEGPKQVNCCLPLSSSPIIEFKRPSILSPLRVRPAAQFVGKEYLKKYRKAVELMRALPPDDPRSFATQAKIHCAYCNSAFDQGGLPGMELQVHNSWLFLPFHRCYIYFHERILGHLIGDQTFGLPFWNWDSPAGMRLPTFYDEVGTSLYDPLRDPKHRKHGVVDLDHSLIDDPLSDSQQISQNLKLMYRVVMTNGKTAELFMGMPFRAGDNPNPGAGSLELLPHGAVHLWTGDRAQPFIENMGTFYSAARDPIFYAHHSNIDRMWTVWQKHVVKKTITFNDADWLETAFLLYDENAQLVRIKVKDCLDTTWLRYTYQDVRNPWLNARPTPKVRRSKAKAKVAAAETATATATVNKEKMFPLTLSSPVSTTVKRPRVKRSKEEKKAEVEVLVVDGILAVKEKPVKFDVYVNAPNDFGRLGPEASEFAGCFLNPQAGHETRHRYTLRLNITDLVDEIGADGDGTITVTLVPRTYTENLEIGALWIEFLST >DRNTG_25308.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20229886:20230099:1 gene:DRNTG_25308 transcript:DRNTG_25308.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKRYFSIASGSSGDTFDGKTLSLCVRRAELSPDSVSNFLCNVKAGDTVDISGLKINYSKLTLYLITRL >DRNTG_01158.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23460745:23465961:1 gene:DRNTG_01158 transcript:DRNTG_01158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLEKHLTRFIQSANTRFESVEATLHNHTASLYNLENQVGQIAKSFSERPHGSLPSNTETKPERTCEGDRFEKRS >DRNTG_00478.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30687864:30689129:1 gene:DRNTG_00478 transcript:DRNTG_00478.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYLVSTLGFSTVEAAKASKSISHLKSPQRPDSIVSFFKSHGFDQSQIKKLVSWHPRWLCFDLHKTLTPKFQAFKDFGFSDGDIVHLITSNPGALHLSLEGNLLPKLKFWRDFLGSGEYLMTLVKRGNWMMTTSLTNVVIPNLTFLRECGVSDERIVMVVCKTPRFILQKREKLETAVELVDCTGVPRRSGLFLWAIWAVYLAGKAKFYAKLEMMKSFGWSEADFFMAFRRAPVFILVSEKMVREKMKFLLKDVGCESSYVVEHPDLLMYSLEKRLLPRYRVVEILKSRGLRRRDYDFRTVMCRSEKYFLEKIVLRNIDKVPELHQVYNCGICSGFAV >DRNTG_11687.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:353878:357014:1 gene:DRNTG_11687 transcript:DRNTG_11687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLHRIFSGAGGMGHPPTDSPLLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRSEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVVDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLTLKRFDNHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >DRNTG_08681.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4865795:4870074:1 gene:DRNTG_08681 transcript:DRNTG_08681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGRQGLPGERKQDGDKKEKKFEPAAPPSRVGRRQRKQKGPEAAARLPTVTPLTKCKLRLLKLERIKDYLIMEEEFVASQERLKPHEDKNEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVSILSFVDKDQLEPGCAILMHNKVLSVVGLLQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNKIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSRMTLAEDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >DRNTG_04696.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000223.1:1297:5217:1 gene:DRNTG_04696 transcript:DRNTG_04696.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQKRVFLLSFLNLVVYITGENTAVDRQVFDRITALPGQPEVSFSQFSGYVTVNKKHGRDLFYWLTEAVSHSSEKPLVLWLNGGPGCSSVAYGASEEIGPFRINKTGSVLYLNKYSWNKEANLLFLESPAGVGFSYTNTSSDLKNSGDEQTAQDSLTFMIQWMMRFPQYKYRDFYIAGESYAGHYVPQLAKKIHEYNKGLSHPFINLKGFLVGNAVIDNYYDNIGTVSYWWTHSMISDKTYKSILSSCNFKNTKNSKECDHAINYAMNHEFGDIDQYSIYTPSCIAAANSSNNRSMRLKNTLIRRRSYGYDPCTENYAEKYYNRPEVQKAMHANITGIPYKWTACSDLLIKAWTDSEFSMLPTYKELITAGLRIWVFSGDTDSVVPVTATRFSIGHLNLTVKIPWYPWYAGRQVGGWTEVYEGVTFASVRGAGHEVPLIQPRRAFMLFKSFLADKPLPKS >DRNTG_18288.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1787847:1788143:-1 gene:DRNTG_18288 transcript:DRNTG_18288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACLVCHGDSPTNSFRSYSVSSSDNEGRCSAIVNCLTQRVNITHASANTRTTSKVTPAPILAGGQAATGAPRLVRSRAVNRDLVRDWNFEELLIDS >DRNTG_09397.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30727237:30729697:1 gene:DRNTG_09397 transcript:DRNTG_09397.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH77 [Source:Projected from Arabidopsis thaliana (AT3G23690) UniProtKB/Swiss-Prot;Acc:Q9LK48] MDSMLEGSQMAGKVSSPPTPMETEFKTAQEVSSISGESNSRKRKSVMKGKAKVTTTTTTTSIVNVSKGGEEDENVNVKRWKSAEDGKPKAEENNSGEVSGQKQGKDSNAKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISKRMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLEFNMENFIAKDTHSLVHPLESGGGSVGIFVFLSTTTRNYS >DRNTG_15517.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000761.1:68:4121:1 gene:DRNTG_15517 transcript:DRNTG_15517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRILHLMRKYKPVVICLVETRANDVRLQKFCSKLKSQWMWAAILVVGFSGGILIIWNHKIGYVTPLDSLLEDWRIAQQLQIIKGFLHEMGSPVLHSIPLCWNRVPHLIALNGLHQHEVSLFHRGQDLPRWLMRILRANGFY >DRNTG_20423.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3182160:3187356:1 gene:DRNTG_20423 transcript:DRNTG_20423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIQTNLEKFQNDSNLGLAKQVLASLYKRNIQRLTQTYLTLSLQDIANTVQLKTAKEAEMHVLQMIQDGEIHATINQKDGMVSFHEDPEQYKTCDMIEHIDTSIQRLMTLSRKLRSIDEHISCDPAYLTKVGKERPKFDFDEFDPVPHKFL >DRNTG_34468.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18148820:18155573:-1 gene:DRNTG_34468 transcript:DRNTG_34468.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein NUF2 homolog [Source:Projected from Arabidopsis thaliana (AT1G61000) UniProtKB/Swiss-Prot;Acc:Q8RXJ0] MASTFTCPEMPAAEIISILAQTGIATLKPEDLAAPTAELMCSLYANFLSYLDPLGDDLDGQIGFGALELLENPDNHADSIRIFNLYRKVKDLLGSIRFGNFTLRDLLKPDARRTGQILSTIVNFLFYREDKLNMLQPIVDQFPAYEERRIELEEKITQLNKEILDHEVACQMEEPIVQELDAEVKQLRQTIQNYNKQQMSLKALFKELKDKNEAINEKISHADFVLSESAQENSKLLSKIVQSPDKLQRALDEKKIKRAEIKNSERSAMQIVQAKTSTLEAYTKAFDKMSKHFAQVQAIQEQVNSVKVVDKDVKALKAKLGDQGVLNMSLEAKIVERQGKAKQSEDLIKAMEKGEGCEAFRGHPET >DRNTG_22508.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10235206:10239783:-1 gene:DRNTG_22508 transcript:DRNTG_22508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDDWKGKDMEMDEFIIRYGLDFNKSKRFGPHTVLICDQCEMEYHVGCLKKSNIVDFKNWPNESGSAVMVAVGLMTSCKNYFMSSVVSTGIFRVLGGQVAKLPLVATRRESQGQTEEMTKPQVFLVGNHAAMRTPASIVEAIEEAAEDIDSEGCALVMSEELL >DRNTG_19442.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000998.1:110208:112355:-1 gene:DRNTG_19442 transcript:DRNTG_19442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPMSPPVKSVSTERKMVQARAEAGEAPPTDYIIKESQHPLDAPPPLTAPLPVVHLGQPDDAEEIKTAIQSWGMFQVINHGMASSFLDELRDVARGFFKLRMEEKQKYSNIRDGQFGQEGYGNDEVIGEGQILDWTDRLDLLVQPEDARKLELWPTNPNSLRDVLHEYTMNTKMLIENVLKTTAKSLELNEDFFVSHLGDKFTIFAGFNYYPHCSKPDLVFGLKPHTDGSLITVILPDKDVEGLQVMKDGELITVTTSPHALIINIGDQMEIMSNGIFKSSVHRVVTFSDKDRISIAMFCSNLPEKVIGPADELVNDMRPRMYRNLKVEDYTEVFFQRFLQGKRAIDLAQV >DRNTG_13886.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2486509:2491610:1 gene:DRNTG_13886 transcript:DRNTG_13886.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSKSMLSKKGPLGNIWVAAYFFRKLKKEQIASTDISSSIDKMLHDVQLSYRTLAHLLLGIVKIFSKKVDFLYRDSNEALMKICKSSIDSQLNLSKEVANTTQHKVSKQGVRAMKHKAGGTLDTITPLAGVIGGPYHDTSITIPQRFELDSFDIGIQEDRDNCNFREECNIEDAWSADRSPRTSLDKCYHRETTSNAEFSSACFTPLADVLPSYMMDIDEEINKIYKSDGGEAVGQEFREDSDHIEYCNNLETMVARNILGSTFFDMENGNHEHSESLEEIVSQTIQKNSHVNQPEIHVELVNFA >DRNTG_01553.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:8644007:8645222:-1 gene:DRNTG_01553 transcript:DRNTG_01553.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RGLG4 [Source:Projected from Arabidopsis thaliana (AT1G79380) UniProtKB/Swiss-Prot;Acc:Q9SAL0] MESNSSPEEKEASFALAALMEVPFQYKASMELDILGHVTGKAKRVNPRPPPIPFTQRQHSLTQTNDLSQSSIGDQNKTCPICLTNDKDMAFGCGHMCCRECAENLTICHICRATIRSRIRLYSG >DRNTG_01553.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:8644007:8652116:-1 gene:DRNTG_01553 transcript:DRNTG_01553.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RGLG4 [Source:Projected from Arabidopsis thaliana (AT1G79380) UniProtKB/Swiss-Prot;Acc:Q9SAL0] MRQERKLREASDKEKEREKGMGGVMSYVKEAFVHPLRREDRQMQSGRQRRNRRPERRSLDMAEDLPGRSFVHQASLGHENKRFRKPQITKKYPYVPDHFTSLHQVTNALRQSGLESSNLLLGIDFTKSNEWTGRRSFHGRSLHAISEELNPYEQAITIIGKALAPFDEDNLIPCFGFGDATTHDEEVFSFHHDQSPCHGFEEVLNCYRRIVPNLRLSGPTSFAPIIEAAVDIVEKSGGQYHILVIIADGQVTRSVDTEMGDLSTQERKTIGSIVMASSYPLSIVLVGVGDGPWEDMKKFDDRIPSRDFDNFQFVNFTSIMESNSSPEEKEASFALAALMEVPFQYKASMELDILGHVTGKAKRVNPRPPPIPFTQRQHSLTQTNDLSQSSIGDQNKTCPICLTNDKDMAFGCGHMCCRECAENLTICHICRATIRSRIRLYSG >DRNTG_24728.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7824639:7831848:-1 gene:DRNTG_24728 transcript:DRNTG_24728.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNLQAHCHTDANYEVNVESTLRSDSHVLDNDFIGHPNKNNESYSNLNASTSNSEYAFGLFESDLLMSNSENVSIDHDINLSSNHINANDPGAISNTRHKKKFLGIAFIATDAKVTMFKCDVWVEKIDTSNGWFYNSCNLCNKRLAESEYSYSCYKHGPTTPRVVMRLPMIVRDETGDMEITAFDKHAELMANIKLDLLCATEDISKTKVPEQIHSMINKKFSLTVGLSQQAIKDDVLTYRIYNAKPIILHGDGSKGKKAIQLVVDESSEQSTSIIDETSSKRKINDLLESSAKIESSSNLCIEATIAKKQRNPPKQEKKLSKNGEHA >DRNTG_12018.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:740777:743056:1 gene:DRNTG_12018 transcript:DRNTG_12018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMVVVMKKLNIVLSGQQWTELQRQRGSYSKLGVMLFGRVWFQDDILFEGVILASGMAPSFCLCLQPALIKNQPCPTEGRLPRTEHRTHTRSDK >DRNTG_26074.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4168558:4171490:-1 gene:DRNTG_26074 transcript:DRNTG_26074.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 7 [Source:Projected from Arabidopsis thaliana (AT1G65610) UniProtKB/Swiss-Prot;Acc:O04478] MDYPRKVQQTSSAPDLGAEVAAALASASIVFRDDATYSKKLLKGASAIYNFARDMGKRNMYSLGNPAIAPFYNSTGYWDEYMWAAAWMFYASGNRSYVTFATDPKLSKNAKVSLRIPDLSVLSWDNKLPAAELLLTRFRIFLNPGYPYEDSLSAFQTAIGLNFCSDLQRFNVFNFTPGGMIQLNHGGPQPLQYVVNAAFLASLYADYLDASNIPGWSCGTYYFTVDDLRSFAASQVQYILGNNPKKMSYIVGYGKNYPKHVHHRGASIPHDGVKYSCTGGWKWRDSRSPNPNTIIGAMVGGPDRHDGFSDVRSKFGYTEPTMVGNAGLVAALISMTNADGGGIDKNTIFSAVPPLYPANPPPPPPWMP >DRNTG_29145.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5335124:5340450:-1 gene:DRNTG_29145 transcript:DRNTG_29145.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFQELREMIQQEYREVVERRVFTVTGNRADEETIDRLIETGNSEQIFQKAIQEQGRGQVMDTLAEIQERHDAVKEIERKLLELQQIFVDMAVLVEAQGDMLDNIESQVSSAVNYVQTGSAALQKAKKLQKNSRKWMCIAIFILLAIVIIIVVAVLKPWSKN >DRNTG_29145.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5335124:5340450:-1 gene:DRNTG_29145 transcript:DRNTG_29145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLTDSFELPRGQDSREGDIELGMQGPNPGDTSLDGFFKQVQVIEKQIEKLSKLSTKLQNAHEESKAVTKASAMKGIKQRMEKDIDEVGKIALAAKSKLEELDRDNLNSRQKPGCGKGSSVDRSRTATTVALKKKLKERMSEFQELREMIQQEYREVVERRVFTVTGNRADEETIDRLIETGNSEQIFQKAIQEQGRGQVMDTLAEIQERHDAVKEIERKLLELQQIFVDMAVLVEAQGDMLDNIESQVSSAVNYVQTGSAALQKAKKLQKNSRKWMCIAIFILLAIVIIIVVAVLKPWSKN >DRNTG_17558.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29163514:29164335:-1 gene:DRNTG_17558 transcript:DRNTG_17558.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSVAEHLKREKKPLPKRGQIKAKIFGSLIRSITQKTGSRKGGKDSNFNSASSTPIFSGYTSDAASES >DRNTG_17558.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29163543:29164225:-1 gene:DRNTG_17558 transcript:DRNTG_17558.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSVAEHLKREKKPLPKRGQIKAKIFGSLIRSITQKTGSRKGGKDSNFNSASSTPIFSGYTSDAASES >DRNTG_17558.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29163514:29164225:-1 gene:DRNTG_17558 transcript:DRNTG_17558.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSVAEHLKREKKPLPKRGQIKAKIFGSLIRSITQKTGSRKGGKDSNFNSASSTPIFSGYTSDAASES >DRNTG_17558.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29163480:29164269:-1 gene:DRNTG_17558 transcript:DRNTG_17558.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSVAEHLKREKKPLPKRGQIKAKIFGSLIRSITQKTGSRKGGKDSNFNSASSTPIFSGYTSDAASES >DRNTG_17558.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29163480:29164335:-1 gene:DRNTG_17558 transcript:DRNTG_17558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSVAEHLKREKKPLPKRGQIKAKIFGSLIRSITQKTGSRKGGKDSNFNSASSTPIFSGYTSDAASES >DRNTG_17558.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29163543:29164335:-1 gene:DRNTG_17558 transcript:DRNTG_17558.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSVAEHLKREKKPLPKRGQIKAKIFGSLIRSITQKTGSRKGGKDSNFNSASSTPIFSGYTSDAASES >DRNTG_17558.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29163480:29164225:-1 gene:DRNTG_17558 transcript:DRNTG_17558.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSVAEHLKREKKPLPKRGQIKAKIFGSLIRSITQKTGSRKGGKDSNFNSASSTPIFSGYTSDAASES >DRNTG_03757.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:8120569:8123645:1 gene:DRNTG_03757 transcript:DRNTG_03757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSINKNNGLTADGIEAFTNLSNLVNLNLERCSRIHGGIIHLRGL >DRNTG_32176.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30866515:30869385:1 gene:DRNTG_32176 transcript:DRNTG_32176.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNIHLMSFDDILCRKMGFFINSFLSFVINSLLFLIGFISKHVFRIKKEDCEEEEDCEFMEREQSDFEEEETSSLSFKFEYQISEDSLSCSEEPAMVTNVSKYCFLSENDFKGFVQEPEYMTFHIQESFADPGDENFISKLSSEEDHKTISVMNKNKFMLEEFSGFESDSESSTSDGYSVKNLAADSDSDGFLSDVDCAGYECESDSTVSTMNSKNCNSDTESNISKVMPQISSEFIQDIALKEDDQISEAIEELHHISDKIPGNNSSNSDENLLELISDPDNEALSFANKQVKENNEEIAQKFETKYTEISECEELDELEEELWEHRDLIEQLKMELKKVRGIGLPTIFEESESPKSVEDLQPWKIDAKFLQEDPMDELLNFHKCYRERMRKFDILNYQKMYSIGFLKLKDPLQSLGNQKSILSQNLWPFRHRKCTSDASKKFIKELQNDLETVYVGQTCLSWEFLRWQYEKAHLILYSNKHRVRQYNQVAGELQQFQVIIQRFLENEPFQGPRLPNYVKNRCVLRNLLQVPVIKEDCMKDKMEGLRKGNKIITSEMIEDILEEAINIIWDFIKADKDETPLILKGLFGPQVELQDPSDFDIMVHAQAILHKKEKKLKDILRTGNCLVKKFKKPREDRSNQDIFFSQVDLKLVSRVLRMPKMTTDQLMWCHKKLEKITFSDGKVQRESAFLLFPC >DRNTG_26131.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28415462:28419370:1 gene:DRNTG_26131 transcript:DRNTG_26131.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEMFKLDLILVPLSLCISACYHAYIWQSYNAKEPVTSIAITLGRRITWLQDIVKDGDGKRSMLGVQSFRNSLMSLILSAAIAITVNSSLAALANNTYNSNHLLHQHPIFGSQSESLLVLKYSCVSIFLLFSFLCSSIAVWLTIEANFLINATQDYAQDHAHKVMKKGVLLGIVGNRVLFMALPLLVWMIGPVAMALSSFALLLVFYNLDY >DRNTG_23652.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5207767:5208976:-1 gene:DRNTG_23652 transcript:DRNTG_23652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLNTRTGNMVTCRYGTTLPNDSSCASPCTWATVDPSSFLIHGPTYLQNNQKVKATSTLMKLVGADWLSSNKREDDLGGQPGSIVQEYAAPGGRDFFFIVNIQVPVLTTYNLAFYYTMDTPLEIIPLLKRFVQGDDAYRNSSSDLPCGKDIVSLESKSHAYRIGQSYFNISCIRSST >DRNTG_28263.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2781547:2782259:-1 gene:DRNTG_28263 transcript:DRNTG_28263.1 gene_biotype:protein_coding transcript_biotype:protein_coding GNDYNYAFFEGKQIDEVKNLVPLVVQKITDTAKELIEIGAKHMVVPGNFPIGCMPSYLSTFGHNTDDDLDELNCLNSFNSFAMIHN >DRNTG_29471.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:850545:850880:-1 gene:DRNTG_29471 transcript:DRNTG_29471.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQGAPEKKLQLLSDVSGIFRPGILTALVGASGAGKTTLMDVLAGRKTSGYIEGDIRISGHPKEQNTFTRVSGYVEQNDIHSPQVTVEESLWFSSCLRLPKEVSKQNRR >DRNTG_29471.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:850545:851239:-1 gene:DRNTG_29471 transcript:DRNTG_29471.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPFTPLTMTFHNVNYFVDMPKEMKLQGAPEKKLQLLSDVSGIFRPGILTALVGASGAGKTTLMDVLAGRKTSGYIEGDIRISGHPKEQNTFTRVSGYVEQNDIHSPQVTVEESLWFSSCLRLPKEVSKQNRR >DRNTG_35206.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7933290:7937913:-1 gene:DRNTG_35206 transcript:DRNTG_35206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPEFGMLETSCVRRNSPEVGKPHTSHIGPSLNVG >DRNTG_17682.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5335284:5336094:1 gene:DRNTG_17682 transcript:DRNTG_17682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRGKKKILPNNSVDDANAPANTIDQDSTNDPMDAIDPSVLKEHFHQVDIMSGTMHNEEHIAPAKFLLQVDVINGAGDNIEPIALHRPEKNLRHR >DRNTG_23973.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30869111:30871576:-1 gene:DRNTG_23973 transcript:DRNTG_23973.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSSSPGHRRISTFHSPPIPPRRRHPHPISGPPQPRTSATCLMSALVLTSSTTPDSTPVVARPTSVSIPRKCARRGDSIWGAWFFFNFYFKPVLSDKAKSKIVRDTSGTVSGFDKSDLRLDVFLVQHDMENMYMWVFKERAENALGKMQLRSFMNGHSRLGEPQFPFSVDKGFVRSHRMQRKHYRGLSNPQCVHGIEVVRSPNLSLVSDLDRKKWMELTGRELNFSIPLEASDFGSWRNLPSTEFELERPAPQLKGAVAQHPHSRKLLNGSGLNLSTQPSNHSIGDGAELLQMCSKRRKDFLPHPMDEDCCLPANSYSDRSQDMDIHPIEPSWLNEFSGVMRHAYGPVTAAKTIYEDDEGYLIVVSLPFSDQQKVKVSWKNNLTHGIVKISCFSTGRMPSIKRHDRTFRLTDPSPEHCPPGEFIREIPLATRIPEDAKLEAYYDETGTVLEIMVPKHRVGPEEHEVHVCMRPPHLGANELLLT >DRNTG_16780.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3421877:3425346:1 gene:DRNTG_16780 transcript:DRNTG_16780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADMEYQAEKTAKKAAYKELKKVARLQGKKPPPNPYPSAVKEIQAEEKKYVRDRFFNRKILEIVQKMKEEKAARMRDQQRGDSGGGRGNVQPGGWFGGGGRGQPGGWQDNRGGGGGGQSGGWFGDRGQ >DRNTG_16780.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3421877:3425346:1 gene:DRNTG_16780 transcript:DRNTG_16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMRGDLLTRMRKLVKGLARPTPAWLKAMEEAPPVTFPLPDGKVKQIELPEDVYVKKFFKKNPDSLYHDAVKISSFDPPPARVFAWRVLELKGQGVNEDEAMAVADMEYQAEKTAKKAAYKELKKVARLQGKKPPPNPYPSAVKEIQAEEKKYVRDRFFNRKILEIVQKMKEEKAARMRDQQRGDSGGGRGNVQPGGWFGGGGRGQPGGWQDNRGGGGGGQSGGWFGDRGQ >DRNTG_22346.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29889973:29892348:-1 gene:DRNTG_22346 transcript:DRNTG_22346.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVKNKWENSSWGRKLIVQKRRASLTDFDRFKVMLAKIKRGYTIRQELSKLRKQSV >DRNTG_22346.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29889973:29892348:-1 gene:DRNTG_22346 transcript:DRNTG_22346.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRYVIDQNRALVDAPDMVRGQMNFKRLSLTDIKIDIPRIPKKKTLIAAMEAAGTKIFF >DRNTG_22346.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29889973:29891082:-1 gene:DRNTG_22346 transcript:DRNTG_22346.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTCILTNIEYGQALVDAPDMVRGQMNFKRLSLTDIKIDIPRIPKKKTLIAAMEAAGTKIFF >DRNTG_22346.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29889973:29891082:-1 gene:DRNTG_22346 transcript:DRNTG_22346.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTCILTNIEYGQALVDAPDMVRGQMNFKRLSLTDIKIDIPRIPKKKTLIAAMEAADVKNKWENSSWGRKLIVQKRRASLTDFDRFKVMLAKIKRGYTIRQELSKLRKQSV >DRNTG_22346.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29889973:29892348:-1 gene:DRNTG_22346 transcript:DRNTG_22346.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRYVIDQNRALVDAPDMVRGQMNFKRLSLTDIKIDIPRIPKKKTLIAAMEAADVKNKWENSSWGRKLIVQKRRASLTDFDRFKVMLAKIKRGYTIRQELSKLRKQSV >DRNTG_22346.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29889973:29892348:-1 gene:DRNTG_22346 transcript:DRNTG_22346.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVDAPDMVRGQMNFKRLSLTDIKIDIPRIPKKKTLIAAMEAADVKNKWENSSWGRKLIVQKRRASLTDFDRFKVMLAKIKRGYTIRQELSKLRKQSV >DRNTG_01717.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23596297:23598898:-1 gene:DRNTG_01717 transcript:DRNTG_01717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDARDESRIEGMDLNLYLGLPRSPRPLALDLGSDLALGSISVPSSSSSVAVFAGDQNSTMDIGAAGAAADDLPVPYSPSNASYDVNLPSVDPSIAEHPVAPYSPSYIPIPLMDPSYSPSEVQPLQFSLEEPPNEQMNQDGGASAPGLVDESLVPLASTLQGDNGDEHWNHEDGSSSRGDSLQFPVLRFRRLIESHHRLQLMSFNSSTNVSSGERSDLGWSLPPLPQPPRSMGKDKVVGEGIATEDSDEDLEEKSKSAADFECNICFEMAKEPVVTSCGHLFCWPCLYQWLYLHSEHKECPVCKGEVLESHITPIFGRGSSEAREEIKCGEDGKPGLNIPPRPRGNRVESFRQQMRPITRTRRLGEVSWRRLFHDRLMHNADGLGEASVHEIFGIGQRRILARLRGGMVHREEGSAERELNVGEALLPRNSTPDPQNSHTNSPLRDGMGLWQRFSLDLGRVVERLASSTSRYRASVSSANPPNTGPVDGLPHVAVAIAADQASPSSTIAVIQGDVAAIDGAAEPNSAGSSSSLRRRGRSSTSGSLDVDGGSLHVRKRRRLN >DRNTG_01717.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23596688:23598898:-1 gene:DRNTG_01717 transcript:DRNTG_01717.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDARDESRIEGMDLNLYLGLPRSPRPLALDLGSDLALGSISVPSSSSSVAVFAGDQNSTMDIGAAGAAADDLPVPYSPSNASYDVNLPSVDPSIAEHPVAPYSPSYIPIPLMDPSYSPSEVQPLQFSLEEPPNEQMNQDGGASAPGLVDESLVPLASTLQGDNGDEHWNHEDGSSSRGDSLQFPVLRFRRLIESHHRLQLMSFNSSTNVSSGERSDLGWSLPPLPQPPRSMGKDKVVGEGIATEDSDEDLEEKSKSAADFECNICFEMAKEPVVTSCGHLFCWPCLYQWLYLHSEHKECPVCKGEVLESHITPIFGRGSSEAREEIKCGEDGKPGLNIPPRPRGNRVESFRQQMRPITRTRRLGEVSWRRLFHDRLMHNADGLGEASVHEIFGIGQRRILARLRGGMVHREEGSAERELNVGEALLPRNSTPDPQNSHTNSPLRDGMGLWQRFSLDLGRVVERLASSTSRYRASVSSANPPNTGPVDGLPHVAVAIAADQASPSSTIAVIQGDVAAIDGAAEPNSAGSSSSLRRRGRSSTSGSLDVDGGSLHVRKRRRLN >DRNTG_16036.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:290288:291727:1 gene:DRNTG_16036 transcript:DRNTG_16036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSWIGSQPPRESDVHGPGPSTGSESGPEIRPRGVVDGQQVNIPVLPLVGSKGRRRLGAEVAQMTFNNEIWKSNSFSTAS >DRNTG_08640.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22026287:22031299:-1 gene:DRNTG_08640 transcript:DRNTG_08640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFFLEQIDDKLYIYLEYVSGGSIHKLLQEYGQFGEQAIRNYTQQILSGLAYLHAKNTVHRDIKGANILVDPSGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIKNTNGCSLAVDIWSLGCTVLEMATSKPPWSQYEGIAAMFKIGNSKELPAIPDHLSEEGKDFIRLCLQREPSSRPKAAELLQHPFVKNVAPVEKSILSSEPIEHHTGVSNGGSFRVVGHVKNLSSLDIEGQLNQQIRGARTALLTSDIHARNISCPVSPIGSPLLNSRSPQHINGRMSPSPISSPRTTSGSSTPLTCGNGAIPFNQPKQLTFLHEGFTNTARSQNNLHPPGSSFHDTKLNLYHGVQQSSSSVFREQLTSETDILGLQFGRIANGHLREPSDKQFMLANHVSQQHFREHVKLNPSIDLSPSSSILDHTSGT >DRNTG_08640.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22026287:22036231:-1 gene:DRNTG_08640 transcript:DRNTG_08640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWWGKSSSKDAKKKTAKENFIDTIHRLISPAEQKGCNVRVRGRRTHSSTTSQKGSLSRAESRCTSPSSQVSRCQSFADRPRAQPLPLPGLQSNITRTPSGVSVSKSVVEKRGKPQLHLPLPNPGRLPRRPDAADTDGDLATASVSSTCSIDSDDPADSRLPSPVGNDVDGGGRATSKNNSSVPARDQCAVGTQKNSKEMLMPVNLPPNNQILSTSTRLGGLSNFQSNTHSPRNGAFASAPDSSMSSPSRSPMRTVCPEHIPTSAFWASKPYPDIHFLGSGQCSSPGSGQTSGHNSMGGDMSGQLFWQLSRGSPECSPIPSPRLASPGPSSRIQSGAVSPLHPRAGGTAPESPTNRPDDGKKLSHRLPLPPINITHPSPFSPTNSTSNTPSSIPRSPGRADHPASPGSRWKKGKLIGRGTFGHVFVGFNSDSGEMCAMKEVTLFSDDAKSRESAKQLGQEISLLSRLRHPNIVQYYGCDMAYDKLYIYLEYVSGGSIHKLLQEYGQFGEQAIRNYTQQILSGLAYLHAKNTVHRDIKGANILVDPSGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIKNTNGCSLAVDIWSLGCTVLEMATSKPPWSQYEGIAAMFKIGNSKELPAIPDHLSEEGKDFIRLCLQREPSSRPKAAELLQHPFVKNVAPVEKSILSSEPIEHHTGVSNGGSFRVVGHVKNLSSLDIEGQLNQQIRGARTALLTSDIHARNISCPVSPIGSPLLNSRSPQHINGRMSPSPISSPRTTSGSSTPLTCGNGAIPFNQPKQLTFLHEGFTNTARSQNNLHPPGSSFHDTKLNLYHGVQQSSSSVFREQLTSETDILGLQFGRIANGHLREPSDKQFMLANHVSQQHFREHVKLNPSIDLSPSSSILDHTSGT >DRNTG_08640.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22026287:22036231:-1 gene:DRNTG_08640 transcript:DRNTG_08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWWGKSSSKDAKKKTAKENFIDTIHRLISPAEQKGCNVRVRGRRTHSSTTSQKGSLSRAESRCTSPSSQVSRCQSFADRPRAQPLPLPGLQSNITRTPSGVSVSKSVVEKRGKPQLHLPLPNPGRLPRRPDAADTDGDLATASVSSTCSIDSDDPADSRLPSPVGNDVDGGGRATSKNNSSVPARDQCAVGTQKNSKEMLMPVNLPPNNQILSTSTRLGGLSNFQSNTHSPRNGAFASAPDSSMSSPSRSPMRTVCPEHIPTSAFWASKPYPDIHFLGSGQCSSPGSGQTSGHNSMGGDMSGQLFWQLSRGSPECSPIPSPRLASPGPSSRIQSGAVSPLHPRAGGTAPESPTNRPDDGKKLSHRLPLPPINITHPSPFSPTNSTSNTPSSIPRSPGRADHPASPGSRWKKGKLIGRGTFGHVFVGFNSDSGEMCAMKEVTLFSDDAKSRESAKQLGQEISLLSRLRHPNIVQYYGCDMIDDKLYIYLEYVSGGSIHKLLQEYGQFGEQAIRNYTQQILSGLAYLHAKNTVHRDIKGANILVDPSGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIKNTNGCSLAVDIWSLGCTVLEMATSKPPWSQYEGIAAMFKIGNSKELPAIPDHLSEEGKDFIRLCLQREPSSRPKAAELLQHPFVKNVAPVEKSILSSEPIEHHTGVSNGGSFRVVGHVKNLSSLDIEGQLNQQIRGARTALLTSDIHARNISCPVSPIGSPLLNSRSPQHINGRMSPSPISSPRTTSGSSTPLTCGNGAIPFNQPKQLTFLHEGFTNTARSQNNLHPPGSSFHDTKLNLYHGVQQSSSSVFREQLTSETDILGLQFGRIANGHLREPSDKQFMLANHVSQQHFREHVKLNPSIDLSPSSSILDHTSGT >DRNTG_08640.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22031555:22036231:-1 gene:DRNTG_08640 transcript:DRNTG_08640.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWWGKSSSKDAKKKTAKENFIDTIHRLISPAEQKGCNVRVRGRRTHSSTTSQKGSLSRAESRCTSPSSQVSRCQSFADRPRAQPLPLPGLQSNITRTPSGVSVSKSVVEKRGKPQLHLPLPNPGRLPRRPDAADTDGDLATASVSSTCSIDSDDPADSRLPSPVGNDVDGGGRATSKNNSSVPARDQCAVGTQKNSKEMLMPVNLPPNNQILSTSTRLGGLSNFQSNTHSPRNGAFASAPDSSMSSPSRSPMRTVCPEHIPTSAFWASKPYPDIHFLGSGQCSSPGSGQTSGHNSMGGDMSGQLFWQLSRGSPECSPIPSPRLASPGPSSRIQSGAVSPLHPRAGGTAPESPTNRPDDGKKLSHRLPLPPINITHPSPFSPTNSTSNTPSSIPRSPGRADHPASPGSRWKKGKLIGRGTFGHVFVGFNSDSGEMCAMKEVTLFSDDAKSRESAKQLGQEISLLSRLRHPNIVQYYGCDMACILSLCLQNGFYCGN >DRNTG_08640.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22026287:22036231:-1 gene:DRNTG_08640 transcript:DRNTG_08640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWWGKSSSKDAKKKTAKENFIDTIHRLISPAEQKGCNVRVRGRRTHSSTTSQKGSLSRAESRCTSPSSQVSRCQSFADRPRAQPLPLPGLQSNITRTPSGVSVSKSVVEKRGKPQLHLPLPNPGRLPRRPDAADTDGDLATASVSSTCSIDSDDPADSRLPSPVGNDVDGGGRATSKNNSSVPARDQCAVGTQKNSKEMLMPVNLPPNNQILSTSTRLGGLSNFQSNTHSPRNGAFASAPDSSMSSPSRSPMRTVCPEHIPTSAFWASKPYPDIHFLGSGQCSSPGSGQTSGHNSMGGDMSGQLFWQLSRGSPECSPIPSPRLASPGPSSRIQSGAVSPLHPRAGGTAPESPTNRPDDGKKLSHRLPLPPINITHPSPFSPTNSTSNTPSSIPRSPGRADHPASPGSRWKKGKLIGRGTFGHVFVGFNSDSGEMCAMKEVTLFSDDAKSRESAKQLGQEISLLSRLRHPNIVQYYGCDMAYR >DRNTG_17767.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000910.1:2210:7936:-1 gene:DRNTG_17767 transcript:DRNTG_17767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANEQSSSDRLMEASTATPVSKDEVKETKSEPKGSSSKIEQDLDVFLLGDLGSEDDGPDGGYDGFDDDFDKNGKMGLESDE >DRNTG_13505.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21910132:21912779:-1 gene:DRNTG_13505 transcript:DRNTG_13505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDLQKELLASLISEIKEYQGQDPLLPWLQGIRKMKESLPSLVLQEKLPRFLQKCVETFETDRRYRNDSRYLRIWIQLMDYVDDAKVVLRRMEKNQIGIKRASFYSAYALYYEKRKKFDDAEKMYHLGVQNLAEPVGELQKSYEQFIQRLELYRKRKAKGVMTNRERPTSGKLRHVRKGNEGSKELFYKDITNLPLTERNINGDLKGGLRMNSTEGCLKNISGFEGSTKSHKDADMNLTKQASIRNDDTVVVTFVGSAIVGKSEDEDACHHGLVDPTVNMKEAMNAISSMFREPLEAEPKIKRRSHQSKHKVDQQTNAFEVFIDESLDEGENLLDCRPKGSIQKCRQTICSNTSEKQIKTELQKPFVGEFNILADDEEESEDGGNVEIEKHMKSACSAKSHVGSKQIAQKSIISGNFTNLVDVGMKEDTIIQRFVGSTIVGESKVENACHHGLVDPTINLKEAINDINSMFGQPLNIMKTNKPKKLKKILNQEPVLQGFSIFADDEMEGQTAQASSRFEHSKLLEQKPKSESFHIFADDDGIDQGTKARASSKALRKFSESDLFEPTVFTREALADINEMFGKPLDF >DRNTG_03144.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21388832:21391183:-1 gene:DRNTG_03144 transcript:DRNTG_03144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTARPAPPRSLTKTLTNLLRSRRTIAGDGDAAIAADRALKPSKTLGRYPAIILSDELPGDTDNERNERDEREALLSNLFVGISAIKAGYAQLQVAQSPYNPDAIQSADKAIVSHIKHLSHLKHAFLKKQLPEPRLAFAAEIEEQRNLLKTFEITAKKLEAEIEHKDAEIHSLRDRLLDSEQQCRAIDARLHPHRSLSSLYDLHLSGLNAVHFLSALRFAVKSVRSFVKQLVREMESAGWDVDAAAGAIHPDVLRRGPAHGFFAYESYVCLTMFSDFHRRDFGLRGAEDRRSWDRRRFFDEFSSSAHRSWNQLLDRSSTASRFARAKYLAMVHPKMEASFFGDLNQRTIVRSGKGFPETTFFAGFADMARRVWLLHCLFFSFESSSSSSPAVFQAAKGTRFSEVFMESVTTDDDYSGEVEPTVAFTVVPGFRVDHAVIQSRVYLSCNPTTTYGRF >DRNTG_22843.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2486838:2495515:-1 gene:DRNTG_22843 transcript:DRNTG_22843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSTFIGSYIIGFFLMWRLALVALPTVILLIIPGIMYGRILMGLARKMREEYDKAGKVVEQAISSLRTVYSFVGEQKTMTDFSTALDSSVKLGLRQALTKGLAIGSNGVTFAIWAFLVWYGSKLVIYHSAEGGTVFAVGAAIVVGGLALGAGLSNVKYFSEGICAGERIMEVMKRVPKIDIDTKDGEVLVNVHGEVEFKGVGFAYPSRPENLILNEFSLKVPAGMTVALVGGSGSGKSTVIALLERFYDPLHGEVLLDGVNIKKLKLKWLRSQMGLVSQEPALFATSIKENILFGKEDASMDEVVVAAKASNAHNFISQLPQGYDTQVGERGVQMSGGQKQRIAIARAILKSPKILLLDEATSALDSESERIVQEALDKASLGRTTIVIAHRLSTIRNADVIAVVQAGHIVETGSHDELIQSFNGLYSSLIRLQQSSKTKGDELDFDPSSSSYMTNNQSGSNSMSRRFSIASRSSSARSMGSNKDEEDDNKAEMKFSVPSFRRLLLMNSPEWKQATLGSISAILFGGIQPLYAYAMGSMISVYFLKDHTEIKNKTRMYSLMFFSLSLLSFVAQCRATL >DRNTG_30929.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001644.1:20631:20874:-1 gene:DRNTG_30929 transcript:DRNTG_30929.1 gene_biotype:protein_coding transcript_biotype:protein_coding KIQKIIMVNKPQTWKPNNNMSSHRHK >DRNTG_14244.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:961481:964560:-1 gene:DRNTG_14244 transcript:DRNTG_14244.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDVILFIPGETSAPVSSRMASSDESGKPEISKGSVYSNPRKPLKTPKNPDGDGLCQRKQVPSSAYCKPKSRFVEKMVLVPSSNDDDDLAIVKDSSFRGSPLDRVNSKLKKNPSAFEEKVEDVEIYRKEVFTKGVKKWRKIKFRVFLEWGILLLSTGCLIASLTERKLQNFVIFGLEIWKWCLMVTVTFCGRLVTLWLMTVLVFVIEKNFLLRTKVLYFVYGLKNSVRVCIWLSLISLSWFLLFGQGVQRSAKTEKVLFYVSRILISLLIGSVNWVVKIILVKILASSFHMNKFFDRIREAFFNQYVLKMLSGPPENELAEKVGKSKSTGQLSFRSGGKTKGRVEEEVIDVTSLHKISQDKVSDSVMKRLIDLISDSGLSTISNIIDETFEEADQKDTQINSEHEAYVVSQMVFKNVAKPDYKYIEEEDLLRFFNKDEVHDVLLLFEGAAGTGKIKRSALKNWVVKAYLDRKSLAHSLNDSRTAVKQLHKLATGIAIIVNIVITLLLLGFATTKVLVVLSSQLLVVVFMFGNTCKTAFEAIIFVFVVHPFDVGDRCLIDGVQMIVEEMNILTTVFLKYNNEKIYYPNSVLATKPISNFYRSPDMSDSVEFCIDVATPIDCIGNLKERITM >DRNTG_14244.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:961481:964560:-1 gene:DRNTG_14244 transcript:DRNTG_14244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDVILFIPGETSAPVSSRMASSDESGKPEISKGSVYSNPRKPLKTPKNPDGDGLCQRKQVPSSAYCKPKSRFVEKMVLVPSSNDDDDLAIVKDSSFRGSPLDRVNSKLKKNPSAFEEKVEDVEIYRKEVFTKGVKKWRKIKFRVFLEWGILLLSTGCLIASLTERKLQNFVIFGLEIWKWCLMVTVTFCGRLVTLWLMTVLVFVIEKNFLLRTKVLYFVYGLKNSVRVCIWLSLISLSWFLLFGQGVQRSAKTEKVLFYVSRILISLLIGSVNWVVKIILVKILASSFHMNKFFDRIREAFFNQYVLKMLSGPPENELAEKVGKSKSTGQLSFRSGGKTKGRVEEEVIDVTSLHKISQDKVSDSVMKRLIDLISDSGLSTISNIIDETFEEADQKDTQINSEHEAYVVSQMVFKNVAKPDYKYIEEEDLLRFFNKDEVHDVLLLFEGAAGTGKIKRSALKNWVVKAYLDRKSLAHSLNDSRTAVKQLHKLATGIAIIVNIVITLLLLGFATTKVLVVLSSQLLVVVFMFGNTCKTAFEAIIFVFVVHPFDVGDRCLIDGVQMIVEEMNILTTVFLKYNNEKIYYPNSVLATKPISNFYRSPDMSDSVEFCIDVATPIDCIGNLKERITMYLNSKPNHWHENHSLVVKDIVDLNKLYMALYVQHTLNFQNMTDRNIRRSDLVLELKRIFEDLRIRYNLLPQDVHLSYKGTIPPAIPFIQTSPNSQ >DRNTG_14244.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:961481:964560:-1 gene:DRNTG_14244 transcript:DRNTG_14244.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQMIVEEMNILTTVFLKYNNEKIYYPNSVLATKPISNFYRSPDMSDSVEFCIDVATPIDCIGNLKERITM >DRNTG_14526.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13098540:13102946:-1 gene:DRNTG_14526 transcript:DRNTG_14526.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific phospholipase C2 [Source:Projected from Arabidopsis thaliana (AT2G26870) UniProtKB/Swiss-Prot;Acc:O81020] MPTIPTARDLFLILLHTLLIAFSSSGASATGPIKTVVVLVMENRSFDHMLGWMKRLSPEINGVTGSESNPISTTDPSSPRVFFKDEAHYVDPDPGHSFQAIREQIFGSNETSASPAPMNGFVQQATSMSPNMTDSVMNGFQPEKVAVYQALVQEFAVFDRWFASVPASTQPNRLYVHSATSHGATSNIASMLAKGYPQRTIFENIDDAGLSFRIYYQNIPATLFYRNLRKLKYLTKFHPYDLDFKSHAQKGSLPNYAVVEQRYMDSKISPANDDHPSHDVYQGQMFVKEVYETLRSSPQWNETLLIITYDEHGGFFDHVPTPTKGIPSPDGIVGPDPFFFTFDRLGVRVPTIMVSPWIEKGTVIHGPNGVPTATSEFEHSSITATVKKLFDLPSPYLTKRDAWAGTFEGIVQTRTTPRTDCPMQLPNPVKIRDGDANEEASLSEFQQELMQLASVLNGDHILTNFQEKVVKQMSVREGIAYMENAVKRFFEAGLSAMRIGVDEEQIVKMRPSLTTRSSPSAHP >DRNTG_18375.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000946.1:44250:44976:1 gene:DRNTG_18375 transcript:DRNTG_18375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKYCKSFIDIIPQELIEEIIAYIASTSSRSLHDLKILRGCCKSFYVASKSRKVGQLMRVDNLWYLDMKEYISVLQNCARQDNLEACLVLGLIDCMKLKMDSGIQYLTNAAFKGHLLAGYVGGIILYKNQGTRPSGMIMLNMVATGRVDHGSKPFESKKSEC >DRNTG_07487.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3959026:3959941:1 gene:DRNTG_07487 transcript:DRNTG_07487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYCPEGFLWMSGYTSLCFLGTWSGGRLVPIPCSSCGATWLEPFSPFRESAVLLRDLVIGLGCSCSGVGSPLASVTIWQGLNSQTPFSALLKSIAFSFDKILLVLSSLTAVSLMLYKSFKVVAFFFTRLRGSNGSFDHSCVLGMTQNSFFVLEF >DRNTG_05472.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23194432:23196983:1 gene:DRNTG_05472 transcript:DRNTG_05472.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSNSPPSGADPPHHSLPGKLTPSLNLHLHLPLCHRVLLVAAVLSVQLLLLLLFSDRSISTTRLHPSTNAGDHRNSRNTTCDLGTIYVYDLPPEFNSDLIAGCHHLNPWTSRCSALSNYGLGPSAADLAGIVPGPLLPSWFNTDQFSSEILFHRRLLSHPCRSPDPSTASALFIPFYAGLAVGQHLWSPNATSGDRDRLCAALLRWISSQPSFLRSNGSDHFIVLGRITWDFRRSKNEDWGGSFIYMPAMSNVTRLLIERNPWDELDVGIPYPTGFHPRSATDLRSWQKFVLSRRRRTTFGFAGAARVGMKNDFRGLLLRECAAAGGSACKAVDCSHGRCANRSAEAVSLFLESKFCLQPRGDSFTRRSMFDCMIAGAIPVVFWKRSAYLQYELYLPPGEEEWSVFIDRKEVRSGAVSVRDVLESIGEEKVSRMREKVVELIPRIVYGQDRLDEGVMDAVDVAVDGVLRRFRERRERTDMGIGGVR >DRNTG_05472.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23194432:23196983:1 gene:DRNTG_05472 transcript:DRNTG_05472.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSNSPPSGADPPHHSLPGKLTPSLNLHLHLPLCHRVLLVAAVLSVQLLLLLLFSDRSISTTRLHPSTNAGDHRNSRNTTCDLGTIYVYDLPPEFNSDLIAGCHHLNPWTSRCSALSNYGLGPSAADLAGIVPGPLLPSWFNTDQFSSEILFHRRLLSHPCRSPDPSTASALFIPFYAGLAVGQHLWSPNATSGDRDRLCAALLRWISSQPSFLRSNGSDHFIVLGRITWDFRRSKNEDWGGSFIYMPAMSNVTRLLIERNPWDELDVGIPYPTGFHPRSATDLRSWQKFVLSRRRRTTFGFAGAARVGMKNDFRGLLLRECAAAGGSACKAVDCSHGRCANRSAEAVSLFLESKFCLQPRGDSFTRRSMFDCMIAGAIPVVFWKRSAYLQYELYLPPGEEEWSVFIDRKEVRSGAVSVRDVLESIGEEKVSRMREKVVELIPRIVYGQDRLDEGVMDAVDVAVDGVLRRFRERRERTDMGIGGVR >DRNTG_05472.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23194798:23196983:1 gene:DRNTG_05472 transcript:DRNTG_05472.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSNSPPSGADPPHHSLPGKLTPSLNLHLHLPLCHRVLLVAAVLSVQLLLLLLFSDRSISTTRLHPSTNAGDHRNSRNTTCDLGTIYVYDLPPEFNSDLIAGCHHLNPWTSRCSALSNYGLGPSAADLAGIVPGPLLPSWFNTDQFSSEILFHRRLLSHPCRSPDPSTASALFIPFYAGLAVGQHLWSPNATSGDRDRLCAALLRWISSQPSFLRSNGSDHFIVLGRITWDFRRSKNEDWGGSFIYMPAMSNVTRLLIERNPWDELDVGIPYPTGFHPRSATDLRSWQKFVLSRRRRTTFGFAGAARVGMKNDFRGLLLRECAAAGGSACKAVDCSHGRCANRSAEAVSLFLESKFCLQPRGDSFTRRSMFDCMIAGAIPVVFWKRSAYLQYELYLPPGEEEWSVFIDRKEVRSGAVSVRDVLESIGEEKVSRMREKVVELIPRIVYGQDRLDEGVMDAVDVAVDGVLRRFRERRERTDMGIGGVR >DRNTG_05472.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23194328:23196983:1 gene:DRNTG_05472 transcript:DRNTG_05472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSNSPPSGADPPHHSLPGKLTPSLNLHLHLPLCHRVLLVAAVLSVQLLLLLLFSDRSISTTRLHPSTNAGDHRNSRNTTCDLGTIYVYDLPPEFNSDLIAGCHHLNPWTSRCSALSNYGLGPSAADLAGIVPGPLLPSWFNTDQFSSEILFHRRLLSHPCRSPDPSTASALFIPFYAGLAVGQHLWSPNATSGDRDRLCAALLRWISSQPSFLRSNGSDHFIVLGRITWDFRRSKNEDWGGSFIYMPAMSNVTRLLIERNPWDELDVGIPYPTGFHPRSATDLRSWQKFVLSRRRRTTFGFAGAARVGMKNDFRGLLLRECAAAGGSACKAVDCSHGRCANRSAEAVSLFLESKFCLQPRGDSFTRRSMFDCMIAGAIPVVFWKRSAYLQYELYLPPGEEEWSVFIDRKEVRSGAVSVRDVLESIGEEKVSRMREKVVELIPRIVYGQDRLDEGVMDAVDVAVDGVLRRFRERRERTDMGIGGVR >DRNTG_05472.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23194538:23196983:1 gene:DRNTG_05472 transcript:DRNTG_05472.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSNSPPSGADPPHHSLPGKLTPSLNLHLHLPLCHRVLLVAAVLSVQLLLLLLFSDRSISTTRLHPSTNAGDHRNSRNTTCDLGTIYVYDLPPEFNSDLIAGCHHLNPWTSRCSALSNYGLGPSAADLAGIVPGPLLPSWFNTDQFSSEILFHRRLLSHPCRSPDPSTASALFIPFYAGLAVGQHLWSPNATSGDRDRLCAALLRWISSQPSFLRSNGSDHFIVLGRITWDFRRSKNEDWGGSFIYMPAMSNVTRLLIERNPWDELDVGIPYPTGFHPRSATDLRSWQKFVLSRRRRTTFGFAGAARVGMKNDFRGLLLRECAAAGGSACKAVDCSHGRCANRSAEAVSLFLESKFCLQPRGDSFTRRSMFDCMIAGAIPVVFWKRSAYLQYELYLPPGEEEWSVFIDRKEVRSGAVSVRDVLESIGEEKVSRMREKVVELIPRIVYGQDRLDEGVMDAVDVAVDGVLRRFRERRERTDMGIGGVR >DRNTG_05472.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23194432:23196983:1 gene:DRNTG_05472 transcript:DRNTG_05472.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSNSPPSGADPPHHSLPGKLTPSLNLHLHLPLCHRVLLVAAVLSVQLLLLLLFSDRSISTTRLHPSTNAGDHRNSRNTTCDLGTIYVYDLPPEFNSDLIAGCHHLNPWTSRCSALSNYGLGPSAADLAGIVPGPLLPSWFNTDQFSSEILFHRRLLSHPCRSPDPSTASALFIPFYAGLAVGQHLWSPNATSGDRDRLCAALLRWISSQPSFLRSNGSDHFIVLGRITWDFRRSKNEDWGGSFIYMPAMSNVTRLLIERNPWDELDVGIPYPTGFHPRSATDLRSWQKFVLSRRRRTTFGFAGAARVGMKNDFRGLLLRECAAAGGSACKAVDCSHGRCANRSAEAVSLFLESKFCLQPRGDSFTRRSMFDCMIAGAIPVVFWKRSAYLQYELYLPPGEEEWSVFIDRKEVRSGAVSVRDVLESIGEEKVSRMREKVVELIPRIVYGQDRLDEGVMDAVDVAVDGVLRRFRERRERTDMGIGGVR >DRNTG_05472.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23194798:23196983:1 gene:DRNTG_05472 transcript:DRNTG_05472.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSNSPPSGADPPHHSLPGKLTPSLNLHLHLPLCHRVLLVAAVLSVQLLLLLLFSDRSISTTRLHPSTNAGDHRNSRNTTCDLGTIYVYDLPPEFNSDLIAGCHHLNPWTSRCSALSNYGLGPSAADLAGIVPGPLLPSWFNTDQFSSEILFHRRLLSHPCRSPDPSTASALFIPFYAGLAVGQHLWSPNATSGDRDRLCAALLRWISSQPSFLRSNGSDHFIVLGRITWDFRRSKNEDWGGSFIYMPAMSNVTRLLIERNPWDELDVGIPYPTGFHPRSATDLRSWQKFVLSRRRRTTFGFAGAARVGMKNDFRGLLLRECAAAGGSACKAVDCSHGRCANRSAEAVSLFLESKFCLQPRGDSFTRRSMFDCMIAGAIPVVFWKRSAYLQYELYLPPGEEEWSVFIDRKEVRSGAVSVRDVLESIGEEKVSRMREKVVELIPRIVYGQDRLDEGVMDAVDVAVDGVLRRFRERRERTDMGIGGVR >DRNTG_05472.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23194538:23196983:1 gene:DRNTG_05472 transcript:DRNTG_05472.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSNSPPSGADPPHHSLPGKLTPSLNLHLHLPLCHRVLLVAAVLSVQLLLLLLFSDRSISTTRLHPSTNAGDHRNSRNTTCDLGTIYVYDLPPEFNSDLIAGCHHLNPWTSRCSALSNYGLGPSAADLAGIVPGPLLPSWFNTDQFSSEILFHRRLLSHPCRSPDPSTASALFIPFYAGLAVGQHLWSPNATSGDRDRLCAALLRWISSQPSFLRSNGSDHFIVLGRITWDFRRSKNEDWGGSFIYMPAMSNVTRLLIERNPWDELDVGIPYPTGFHPRSATDLRSWQKFVLSRRRRTTFGFAGAARVGMKNDFRGLLLRECAAAGGSACKAVDCSHGRCANRSAEAVSLFLESKFCLQPRGDSFTRRSMFDCMIAGAIPVVFWKRSAYLQYELYLPPGEEEWSVFIDRKEVRSGAVSVRDVLESIGEEKVSRMREKVVELIPRIVYGQDRLDEGVMDAVDVAVDGVLRRFRERRERTDMGIGGVR >DRNTG_32034.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8421104:8422234:1 gene:DRNTG_32034 transcript:DRNTG_32034.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHALGQKICSAKSVDQQSILQKCEETSPADDGKNVTGQLNSTFSPTEGKITQPISDLPSSGKKLNEEEAFKSSPGFSNVDRKVQQPSSKKASNGTLIPSGCNRKVVSKQNLEREQIGAAKRMFANALGLPSTKGNHGLQMKSNEGKKSISHDT >DRNTG_14331.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000673.1:2282:2833:-1 gene:DRNTG_14331 transcript:DRNTG_14331.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYGYHDRSPSRKKNFSTSLRVSGALKHPKYAGVVNDIAFLIENDDSFRKTKLFKLFFLPKKSRSDGPTSHLLKRTLPAVRPSLNYSVMQ >DRNTG_09173.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7267051:7268876:-1 gene:DRNTG_09173 transcript:DRNTG_09173.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNGKPEYVRACCEASLKRLQVDYIDLYYQHRVDQTVPIEETMGELKRLVEEGKVKYIGLSEASPDTIRRAHAVHPISAVQMEWSLWTRDIEDEIVPLCRELGIGIVPYSPLGRGFFGGKGVTESLHDSFIPHPRFTGENLEKNKALYARVENLAKKHQCSPAQLALAWVLHQGDDVVPIPGTTKIKNLDSNIGALQVKLTEDDLREISNLIPAEDVAGSRNYEFAESVDWKKANTPLPAST >DRNTG_09173.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7267051:7268876:-1 gene:DRNTG_09173 transcript:DRNTG_09173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNGKPEYVRACCEASLKRLQVDYIDLYYQHRVDQTVPIEETMGELKRLVEEGKVKYIGLSEASPDTIRRAHAVHPISAVQMEWSLWTRDIEDEIVPLCRELGIGIVPYSPLGRGFFGGKGVTESLHDSFIPHPRFTGENLEKNKALYARVENLAKKHQCSPAQLALAWVLHQGDDVVPIPGTTKIKNLDSNIGALQVKLTEDDLREISNLIPAEDVAGSRNYEFAESVDWKKANTPLPAST >DRNTG_09173.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7267871:7268876:-1 gene:DRNTG_09173 transcript:DRNTG_09173.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNGKPEYVRACCEASLKRLQVDYIDLYYQHRVDQTVPIEETMGELKRLVEEGKVKYIGLSEASPDTIRRAHAVHPISAVQMEWSLWTRDIEDEIVPLCRSKSSSET >DRNTG_09173.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7267051:7267830:-1 gene:DRNTG_09173 transcript:DRNTG_09173.4 gene_biotype:protein_coding transcript_biotype:protein_coding IPHPRFTGENLEKNKALYARVENLAKKHQCSPAQLALAWVLHQGDDVVPIPGKHLINF >DRNTG_11833.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:218706:219224:1 gene:DRNTG_11833 transcript:DRNTG_11833.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKTLHIRPPENILSQNELVQAWEELIGKQLAKTTISEEDFLTKLKNMDYAEQVGLGHYYNVCYEGCLTNFELGDNEEEATHTTPNFSFRSTLIEGVFRTPYIIMLYIYF >DRNTG_11833.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:217837:219224:1 gene:DRNTG_11833 transcript:DRNTG_11833.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRVLVVGGTGYLGKRLVKASLANGHPTFVLLRPEIGVDIEKIQLLLSFKEQGAKLVKASFSDHQSLVDAVKQVDVVISAISGVHTRSHHILLQLNLIKAIKEAGNIKRFLPSEFGTDPSRMSHAMEPGRVTFDDKMVIRKAIEEAGIPFTYVTANCFAGYFVGGLSQPLIITPSTDHVLVLGHANVKAIYVDEDDIATYTIKAVDDPRMVNKTLHIRPPENILSQNELVQAWEELIGKQLAKTTISEEDFLTKLKNMDYAEQVGLGHYYNVCYEGCLTNFELGDNEEEATHTTPNFSFRSTLIEGVFRTPYIIMLYIYF >DRNTG_11833.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:218322:219224:1 gene:DRNTG_11833 transcript:DRNTG_11833.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAMEPGRVTFDDKMVIRKAIEEAGIPFTYVTANCFAGYFVGGLSQPLIITPSTDHVLVLGHANVKAIYVDEDDIATYTIKAVDDPRMVNKTLHIRPPENILSQNELVQAWEELIGKQLAKTTISEEDFLTKLKNMDYAEQVGLGHYYNVCYEGCLTNFELGDNEEEATHTTPNFSFRSTLIEGVFRTPYIIMLYIYF >DRNTG_11833.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:217837:223427:1 gene:DRNTG_11833 transcript:DRNTG_11833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRVLVVGGTGYLGKRLVKASLANGHPTFVLLRPEIGVDIEKIQLLLSFKEQGAKLVKASFSDHQSLVDAVKQVDVVISAISGVHTRSHHILLQLNLIKAIKEAGNIKRFLPSEFGTDPSRMSHAMEPGRVTFDDKMVIRKAIEEAGIPFTYVTANCFAGYFVGGLSQPLIITPSTDHVLVLGHANVKAIYVDEDDIATYTIKAVDDPRMVNKTLHIRPPENILSQNELVQAWEELIGKQLAKTTISEEDFLTKLKNMDYAEQVGLGHYYNVCYEGCLTNFELGDNEEEATQLYPEVNYVRAKDYLKRYL >DRNTG_27091.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001381.1:14745:15163:1 gene:DRNTG_27091 transcript:DRNTG_27091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPQAEQTETEAPPTAQEPPLVRIFSSTRAHDRFERLESALGVLQADLA >DRNTG_06146.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25477102:25477781:-1 gene:DRNTG_06146 transcript:DRNTG_06146.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFRPFINAVVAFLVITRARAADKSKMVTRVTDDGLLCISECATCPALCSPPSPPPLSSTPSPPLSSTPPPPSQIYVGHHPPPPVSPPKTTKSAPPPPFNYLIITSAPPPPIGGQKGAPSNPYYYFYTSDAWTSALSVSCLLKLFSWLVLPLFLFSQ >DRNTG_06146.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25477013:25477781:-1 gene:DRNTG_06146 transcript:DRNTG_06146.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFRPFINAVVAFLVITRARAADKSKMVTRVTDDGLLCISECATCPALCSPPSPPPLSSTPSPPLSSTPPPPSQIYVGHHPPPPVSPPKTTKSAPPPPFNYLIITSAPPPPIGGQKGAPSNPYYYFYTSDAWTSALSVSCLLKLFSWLVLPLFLFSQ >DRNTG_06146.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25477102:25478002:-1 gene:DRNTG_06146 transcript:DRNTG_06146.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFRPFINAVVAFLVITRARAADKSKMVTRVTDDGLLCISECATCPALCSPPSPPPLSSTPSPPLSSTPPPPSQIYVGHHPPPPVSPPKTTKSAPPPPFNYLIITSAPPPPIGGQKGAPSNPYYYFYTSDAWTSALSVSCLLKLFSWLVLPLFLFSQ >DRNTG_06146.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25477013:25478002:-1 gene:DRNTG_06146 transcript:DRNTG_06146.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFRPFINAVVAFLVITRARAADKSKMVTRVTDDGLLCISECATCPALCSPPSPPPLSSTPSPPLSSTPPPPSQIYVGHHPPPPVSPPKTTKSAPPPPFNYLIITSAPPPPIGGQKGAPSNPYYYFYTSDAWTSALSVSCLLKLFSWLVLPLFLFSQ >DRNTG_06146.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25476780:25478002:-1 gene:DRNTG_06146 transcript:DRNTG_06146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFRPFINAVVAFLVITRARAADKSKMVTRVTDDGLLCISECATCPALCSPPSPPPLSSTPSPPLSSTPPPPSQIYVGHHPPPPVSPPKTTKSAPPPPFNYLIITSAPPPPIGGQKGAPSNPYYYFYTSDAWTSALSVSCLLKLFSWLVLPLFLFSQ >DRNTG_06146.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25476780:25477781:-1 gene:DRNTG_06146 transcript:DRNTG_06146.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFRPFINAVVAFLVITRARAADKSKMVTRVTDDGLLCISECATCPALCSPPSPPPLSSTPSPPLSSTPPPPSQIYVGHHPPPPVSPPKTTKSAPPPPFNYLIITSAPPPPIGGQKGAPSNPYYYFYTSDAWTSALSVSCLLKLFSWLVLPLFLFSQ >DRNTG_31671.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6130613:6132329:1 gene:DRNTG_31671 transcript:DRNTG_31671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAQEPTVKSLIALSLHSLKNTASSLVIPSDRSRCSSSASKSPILFFTHTNNETQRDRQREKEEDESNLKKSLKLLRNFHPNHRISSQSALIKP >DRNTG_31671.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6131455:6132329:1 gene:DRNTG_31671 transcript:DRNTG_31671.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPAERLNLCQGTKLLDAIQAMAEEAQEPTVKSLIALSLHSLKNTASSLVIPSDRSRCSSSASKSPILFFTHTNNETQRDRQREKEEDESNLKKSLKLLRNFHPNHRISSQSALIKP >DRNTG_26476.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:570390:571966:1 gene:DRNTG_26476 transcript:DRNTG_26476.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILSVRTAIASAGVLSTAVLLRFAGPSIVGFLSAEVPRVYSSLLSWLTPPYLYFVINCIIISIAASSRFHMTATPAEPQPHPAPQAPQHDFTQPEKFAEMKAVEVEEQPIVDALPEYGKAELVVYGEEMGNKAEKEEDEFVISRSSWTPKRKVSREISSEYSATSEKPLVSSRFSHQRKAVKASPEGKALGVARSKRNETLESTWRTITDGRPVPLARHLKKSDTWDVHGNARENDTIAVAAAAATVTAAAPPVKKAETFNGRQQQQTSAGRLRREPSVGQDDLNRRVEAFIKKFNEEMRLQRQESFQHYMDMINRGSH >DRNTG_26476.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:570350:571966:1 gene:DRNTG_26476 transcript:DRNTG_26476.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILSVRTAIASAGVLSTAVLLRFAGPSIVGFLSAEVPRVYSSLLSWLTPPYLYFVINCIIISIAASSRFHMTATPAEPQPHPAPQAPQHDFTQPEKFAEMKAVEVEEQPIVDALPEYGKAELVVYGEEMGNKAEKEEDEFVISRSSWTPKRKVSREISSEYSATSEKPLVSSRFSHQRKAVKASPEGKALGVARSKRNETLESTWRTITDGRPVPLARHLKKSDTWDVHGNARENDTIAVAAAAATVTAAAPPVKKAETFNGRQQQQTSAGRLRREPSVGQDDLNRRVEAFIKKFNEEMRLQRQESFQHYMDMINRGSH >DRNTG_26476.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:570350:572085:1 gene:DRNTG_26476 transcript:DRNTG_26476.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILSVRTAIASAGVLSTAVLLRFAGPSIVGFLSAEVPRVYSSLLSWLTPPYLYFVINCIIISIAASSRFHMTATPAEPQPHPAPQAPQHDFTQPEKFAEMKAVEVEEQPIVDALPEYGKAELVVYGEEMGNKAEKEEDEFVISRSSWTPKRKVSREISSEYSATSEKPLVSSRFSHQRKAVKASPEGKALGVARSKRNETLESTWRTITDGRPVPLARHLKKSDTWDVHGNARENDTIAVAAAAATVTAAAPPVKKAETFNGRQQQQTSAGRLRREPSVGQDDLNRRVEAFIKKFNEEMRLQRQESFQHYMDMINRGSH >DRNTG_26476.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:570390:572010:1 gene:DRNTG_26476 transcript:DRNTG_26476.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILSVRTAIASAGVLSTAVLLRFAGPSIVGFLSAEVPRVYSSLLSWLTPPYLYFVINCIIISIAASSRFHMTATPAEPQPHPAPQAPQHDFTQPEKFAEMKAVEVEEQPIVDALPEYGKAELVVYGEEMGNKAEKEEDEFVISRSSWTPKRKVSREISSEYSATSEKPLVSSRFSHQRKAVKASPEGKALGVARSKRNETLESTWRTITDGRPVPLARHLKKSDTWDVHGNARENDTIAVAAAAATVTAAAPPVKKAETFNGRQQQQTSAGRLRREPSVGQDDLNRRVEAFIKKFNEEMRLQRQESFQHYMDMINRGSH >DRNTG_26476.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:570305:572010:1 gene:DRNTG_26476 transcript:DRNTG_26476.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILSVRTAIASAGVLSTAVLLRFAGPSIVGFLSAEVPRVYSSLLSWLTPPYLYFVINCIIISIAASSRFHMTATPAEPQPHPAPQAPQHDFTQPEKFAEMKAVEVEEQPIVDALPEYGKAELVVYGEEMGNKAEKEEDEFVISRSSWTPKRKVSREISSEYSATSEKPLVSSRFSHQRKAVKASPEGKALGVARSKRNETLESTWRTITDGRPVPLARHLKKSDTWDVHGNARENDTIAVAAAAATVTAAAPPVKKAETFNGRQQQQTSAGRLRREPSVGQDDLNRRVEAFIKKFNEEMRLQRQESFQHYMDMINRGSH >DRNTG_26476.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:569912:572010:1 gene:DRNTG_26476 transcript:DRNTG_26476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILSVRTAIASAGVLSTAVLLRFAGPSIVGFLSAEVPRVYSSLLSWLTPPYLYFVINCIIISIAASSRFHMTATPAEPQPHPAPQAPQHDFTQPEKFAEMKAVEVEEQPIVDALPEYGKAELVVYGEEMGNKAEKEEDEFVISRSSWTPKRKVSREISSEYSATSEKPLVSSRFSHQRKAVKASPEGKALGVARSKRNETLESTWRTITDGRPVPLARHLKKSDTWDVHGNARENDTIAVAAAAATVTAAAPPVKKAETFNGRQQQQTSAGRLRREPSVGQDDLNRRVEAFIKKFNEEMRLQRQESFQHYMDMINRGSH >DRNTG_26476.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:569912:571966:1 gene:DRNTG_26476 transcript:DRNTG_26476.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILSVRTAIASAGVLSTAVLLRFAGPSIVGFLSAEVPRVYSSLLSWLTPPYLYFVINCIIISIAASSRFHMTATPAEPQPHPAPQAPQHDFTQPEKFAEMKAVEVEEQPIVDALPEYGKAELVVYGEEMGNKAEKEEDEFVISRSSWTPKRKVSREISSEYSATSEKPLVSSRFSHQRKAVKASPEGKALGVARSKRNETLESTWRTITDGRPVPLARHLKKSDTWDVHGNARENDTIAVAAAAATVTAAAPPVKKAETFNGRQQQQTSAGRLRREPSVGQDDLNRRVEAFIKKFNEEMRLQRQESFQHYMDMINRGSH >DRNTG_26476.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:570350:572010:1 gene:DRNTG_26476 transcript:DRNTG_26476.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILSVRTAIASAGVLSTAVLLRFAGPSIVGFLSAEVPRVYSSLLSWLTPPYLYFVINCIIISIAASSRFHMTATPAEPQPHPAPQAPQHDFTQPEKFAEMKAVEVEEQPIVDALPEYGKAELVVYGEEMGNKAEKEEDEFVISRSSWTPKRKVSREISSEYSATSEKPLVSSRFSHQRKAVKASPEGKALGVARSKRNETLESTWRTITDGRPVPLARHLKKSDTWDVHGNARENDTIAVAAAAATVTAAAPPVKKAETFNGRQQQQTSAGRLRREPSVGQDDLNRRVEAFIKKFNEEMRLQRQESFQHYMDMINRGSH >DRNTG_26476.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:570305:571966:1 gene:DRNTG_26476 transcript:DRNTG_26476.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILSVRTAIASAGVLSTAVLLRFAGPSIVGFLSAEVPRVYSSLLSWLTPPYLYFVINCIIISIAASSRFHMTATPAEPQPHPAPQAPQHDFTQPEKFAEMKAVEVEEQPIVDALPEYGKAELVVYGEEMGNKAEKEEDEFVISRSSWTPKRKVSREISSEYSATSEKPLVSSRFSHQRKAVKASPEGKALGVARSKRNETLESTWRTITDGRPVPLARHLKKSDTWDVHGNARENDTIAVAAAAATVTAAAPPVKKAETFNGRQQQQTSAGRLRREPSVGQDDLNRRVEAFIKKFNEEMRLQRQESFQHYMDMINRGSH >DRNTG_30027.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19283991:19284728:-1 gene:DRNTG_30027 transcript:DRNTG_30027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTCGQAFKAMHHNGKLQTGCLFSIGDPCPQCSRPEKVQPAQRQGHCSPFTGDH >DRNTG_25461.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1538178:1539329:-1 gene:DRNTG_25461 transcript:DRNTG_25461.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELTCLIRHNCCERNGEAWEPEMERRRKEEEDERNEKKLPRLGSTLDPTDSNQWDHYSAKKGWFKFQFMQNENTIILRYVRSTIKYI >DRNTG_10437.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5754932:5755817:1 gene:DRNTG_10437 transcript:DRNTG_10437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSAAFSDADEWEICNDNGLVYKRRRRRRHPESPPPSALPDDPEAELRRHRRARKKRCLLDLRDKYLAELAQWETLSSSLLTSPPLPPPPVTAAAAAAPLGSPKPSSQIPEWHPLVDDLLSQAEAQELMVQKLAQTCEYVESVCEERERRLVQSILDLPIWGSPRSLIASLSD >DRNTG_21437.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1847867:1853434:-1 gene:DRNTG_21437 transcript:DRNTG_21437.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHPPLPLLIHRRRLPCRSSPERKTFPSVAPPPVDQLPETPQVKEESDADDGSASSPSEAGNDEFIMVKLSEIRKEVQCPICLGIIRKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDSLISALYPDIDKYEEEELAFHDEEKTRNKKIQASIAETFRRQTEALGRKRSTAKATAVAFVRRSNYRNAHGQSNYLRGRSRTGGRDTSLVCSDDEEEEDVNGNDGCKDSSSADERSPDVRPKRCKRWSGPRSSPARTSANADIGSEENDDLEVSRETMGTSPLIAGNGEMLAWGKNGARSQTRHGNASGSTGRFIKGGRMSKMVEFLRNLDEKDAEFDLHVTLLPLDETLGPQLEQPYLCCRPTLSVRHLSQFIALQLSVQAEQVDIFIKKPHDCASGINSSNFEDKLQSNPSEDNQILSADESLAGLHASFSFQQGDLVLVYRLKLPNQPTA >DRNTG_21437.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1847867:1849431:-1 gene:DRNTG_21437 transcript:DRNTG_21437.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSPLIAGNGEMLAWGKNGARSQTRHGNASGSTGRFIKGGRMSKMVEFLRNLDEKDAEFDLHVTLLPLDETLGPQLEQPYLCCRPTLSVRHLSQFIALQLSVQAEQVDIFIKKPHDCASGINSSNFEDKLQSNPSEDNQILSADESLAGLHASFSFQQGDLVLVYRLKLPNQPTA >DRNTG_21437.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1847867:1849962:-1 gene:DRNTG_21437 transcript:DRNTG_21437.6 gene_biotype:protein_coding transcript_biotype:protein_coding MECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDSLISALYPDIDKYEEEVLKRLAFKFIVSCFFCSAFACFFCSFIVLA >DRNTG_21437.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1847867:1853434:-1 gene:DRNTG_21437 transcript:DRNTG_21437.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHPPLPLLIHRRRLPCRSSPERKTFPSVAPPPVDQLPETPQVKEESDADDGSASSPSEAGNDEFIMVKLSEIRKEVQCPICLGIIRKTRTVMECLHRFCRECIDKSMRLGYAISYLFFS >DRNTG_21437.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1847867:1853434:-1 gene:DRNTG_21437 transcript:DRNTG_21437.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLSEIRKEVQCPICLGIIRKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDSLISALYPDIDKYEEEVLKRLAFKFIVSCFFCSAFACFFCSFIVLA >DRNTG_21437.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1847867:1853481:-1 gene:DRNTG_21437 transcript:DRNTG_21437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHPPLPLLIHRRRLPCRSSPERKTFPSVAPPPVDQLPETPQVKEESDADDGSASSPSEAGNDDRFIMVKLSEIRKEVQCPICLGIIRKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDSLISALYPDIDKYEEEELAFHDEEKTRNKKIQASIAETFRRQTEALGRKRSTAKATAVAFVRRSNYRNAHGQSNYLRGRSRTGGRDTSLVCSDDEEEEDVNGNDGCKDSSSADERSPDVRPKRCKRWSGPRSSPARTSANADIGSEENDDLEVSRETMGTSPLIAGNGEMLAWGKNGARSQTRHGNASGSTGRFIKGGRMSKMVEFLRNLDEKDAEFDLHVTLLPLDETLGPQLEQPYLCCRPTLSVRHLSQFIALQLSVQAEQVDIFIKKPHDCASGINSSNFEDKLQSNPSEDNQILSADESLAGLHASFSFQQGDLVLVYRLKLPNQPTA >DRNTG_21437.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1847867:1849962:-1 gene:DRNTG_21437 transcript:DRNTG_21437.5 gene_biotype:protein_coding transcript_biotype:protein_coding MECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDSLISALYPDIDKYEEEELAFHDEEKTRNKKIQASIAETFRRQTEALGRKRSTAKATAVAFVRRSNYRNAHGQSNYLRGRSRTGGRDTSLVCSDDEEEEDVNGNDGCKDSSSADERSPDVRPKRCKRWSGPRSSPARTSANADIGSEENDDLEVSRETMGTSPLIAGNGEMLAWGKNGARSQTRHGNASGSTGRFIKGGRMSKMVEFLRNLDEKDAEFDLHVTLLPLDETLGPQLEQPYLCCRPTLSVRHLSQFIALQLSVQAEQVDIFIKKPHDCASGINSSNFEDKLQSNPSEDNQILSADESLAGLHASFSFQQGDLVLVYRLKLPNQPTA >DRNTG_04120.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30144160:30146805:-1 gene:DRNTG_04120 transcript:DRNTG_04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARVSPLTTVFPSVLRTRVRVRASRVMASAGCVPAVIVGGGRVGRMLQSLGDGRDVLVGRGGTVPAEFEGPILVCTRNDDLDAVLQVTPPSRWDDLVFFQNGMLEPWFQSKGLNEADQVLAYFAVSKLGEAPVDGKTDTNPEGLTAAYGKWASAVASRLQNGGLSCKVLEKDAFQKQMLEKLIWIAAFMLVGARHPGASVGTVEKEYRMLCNFLNNLHLHVTSYWLIGPSVKVASLIAELAAAAAAEKGLTFDEGMEDRLCAYSRAVAHFPTAVKEFKWRNGWFYSLTEKALAEGKPDPCPLHSAWLKELKII >DRNTG_26651.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:270150:272486:1 gene:DRNTG_26651 transcript:DRNTG_26651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRNAFLVLMFSIISFAIICSSHASDSITSNQSLSGDQRLFSVGGNFVLGFFSKDESSSKFYIGIWYKRVSELTPIWVANRATPVSDITKSLLHISKDGNLALLEQSKSLIWSTNATISSNFSTAAVLQDNGNLILTDADNSSNIFWQSFDNPTHIWFAGSKIKLNQRIISWKNSEDPAPGLFSNVLQEDTQNVLQWNMSQTYWTSGPWNGHIFTGLPEMTSNYPFKLTRVINSEESYFTFTLVGNALGPFVYKIDVSGQMKAMMWIESTKNWTSVFVVPDNQCNVTKFCGPFGSCNEQRQQHCSCLKGFSQRSLKDWALSDYSGGCVRATPLQYCDGTGSDYVGERDKFFQMSSVRLPDDGQSLLVGSDDECMQACLYNCSCTAYSYNGTSCTVWYSDLYNLQDQYEGSGEGTLYLRLAASELPSHHKKGSVSGLVAGLVAASLVCFGILCILVTLRRRRRRAFKLVMGGLVAFRYSDLQRVTKNFSDKLGAGSFGSVFKGVLPDSTAIAVKKLEGLRQGEKQFRAEVSTLGTIQHVNLVPFRGFCAEGKERLLVFEYMPNGSLDSHLIHGNDNVLGWSTRYRIALGIARGLEYLHEKCRECIIHCDIKPENILLDAEFNPKVADFGLAKLLGREFSHVLTSMRGTIGYLAPEWITGLAITPKADVYSFGMMLLEIISGKRNRKQLAESGDYYFPAQAVVKVREDMVQCLLDDRLQGNANMDELVIACRVACWCIQGLESQRPTMGLVVRMLEGITEVSIPPIPGIFRGLGIDADE >DRNTG_10350.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22951050:22953455:-1 gene:DRNTG_10350 transcript:DRNTG_10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKEMEIRPNDASPAEDVSNDACPSRRPLHNP >DRNTG_07349.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000332.1:8525:8885:-1 gene:DRNTG_07349 transcript:DRNTG_07349.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGEVCSGFFLHSPAQVKHGVEHDLLNPRDYPWVTLSRFTQSLSERHCLSTTENIDNDEDQASPHCLRGPRSSWRLKGCGASSSLKL >DRNTG_24684.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19243316:19247742:1 gene:DRNTG_24684 transcript:DRNTG_24684.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLPQSAVIPRSAHLRYWRLISPQRNQMMRPSSIVCSQATESSHKSMAVGSSSVSFPILVNGCTGKMGKAVAEAAVSAGLQLVPVSFSSQATAGRIVQVGSKEIQIHGPSERDYVFASIIDEFPDLIVVDYTVPDAVNENAKLYSKLGVPFVMGTTGGDRQLLHKTVYDANVYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYSLEVMESHQANKLDTSGTAKAVIACFQKLGVSFDLDQVQQIRDPKLQVDMVGVPQEHLSGHAFHLYHLTSPDQTVSFEFQHNVCGRSIYAEGTIDAAIFLHKKVQSKADQKNIQHD >DRNTG_04888.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11641704:11648254:1 gene:DRNTG_04888 transcript:DRNTG_04888.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIARSNFGSTGPTIGYPDDNFRRFWQPVAGIMNSTSSNQTISASGFWNLPPENVLRTALLADPDKTMELQWPPVSLSSSSYYIALYFADPLFSSSRTFSVYINENNFINNLEVTSSGLMVFSSIWNLSGFTKITLLPRSTLPPLINAAEIFGLFPVKGLTIPKDVIALESIKKSILNPPTNWIGDPCLPREYSWTGVTCSEGGKIRIISLNLSSMGLSGTLSPSIGNLTALTNISFADNNLTGPIPELNRLKLLENLHLQDNQFIGEIPPSFSNLKSLRELFLQNNNLTGVVPKSLKLKDGLILQLSPGNNFTQTP >DRNTG_04888.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11642572:11648254:1 gene:DRNTG_04888 transcript:DRNTG_04888.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISNVRYPDDNFRRFWQPVAGIMNSTSSNQTISASGFWNLPPENVLRTALLADPDKTMELQWPPVSLSSSSYYIALYFADPLFSSSRTFSVYINENNFINNLEVTSSGLMVFSSIWNLSGFTKITLLPRSTLPPLINAAEIFGLFPVKGLTIPKDVIALESIKKSILNPPTNWIGDPCLPREYSWTGVTCSEGGKIRIISLNLSSMGLSGTLSPSIGNLTALTNISFADNNLTGPIPELNRLKLLENLHLQDNQFIGEIPPSFSNLKSLRELFLQNNNLTGVVPKSLKLKDGLILQLSPGNNFTQTP >DRNTG_04888.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11641704:11648254:1 gene:DRNTG_04888 transcript:DRNTG_04888.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLSTLLLLLSSFFTAVLSQSPVLQGILLDCGASSASEIDGLSWIPDDPYTSYGVSKNLPISGLLPILSTLRSFPFKASDIPCKFCYAIPVVRNATYLVRATYFYGGPGSPPVFDLIVDGTFWTVVNTTGDYANRLSSYYEGVFRARGKRLSVCLGVNVYTESDPFISALEVILLENSVYNATDFSKNAMGLIARSNFGSTGPTIGYPDDNFRRFWQPVAGIMNSTSSNQTISASGFWNLPPENVLRTALLADPDKTMELQWPPVSLSSSSYYIALYFADPLFSSSRTFSVYINENNFINNLEVTSSGLMVFSSIWNLSGFTKITLLPRSTLPPLINAAEIFGLFPVKGLTIPKDVIALESIKKSILNPPTNWIGDPCLPREYSWTGVTCSEGGKIRIISLNLSSMGLSGTLSPSIGNLTALTNISFADNNLTGPIPELNRLKLLENLHLQDNQFIGEIPPSFSNLKSLRELFLQNNNLTGVVPKSLKLKDGLILQLSPGNNFTQTP >DRNTG_04888.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11643101:11648254:1 gene:DRNTG_04888 transcript:DRNTG_04888.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSGTLSPSIGNLTALTNISFADNNLTGPIPELNRLKLLENLHLQDNQFIGEIPPSFSNLKSLRELFLQNNNLTGVVPKSLKLKDGLILQLSPGNNFTQTP >DRNTG_27981.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1198643:1199062:1 gene:DRNTG_27981 transcript:DRNTG_27981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGETIRSFVEDEEAFNVAIHLWFTDLDTNNDGRLSYIELNKELMSLRVFEKHFGVDDNVLSHEELVQVYGGLFSSFDHDGDGVVDLDEFKAEMKKMMLAVAEGLGFLPVQMVVEEGSLLKRAVEREIAMKIAA >DRNTG_27350.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17534239:17535556:1 gene:DRNTG_27350 transcript:DRNTG_27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWPPRIICYGETSYIKVTSIGPLLKSPKGIEVSLRSTSNDEETELVGVARPESKKQGYERLSEVANRKKRGDDNDVRNLLQRVLEEFREMRACLDERDANDERWPDSNIQREVTDPSPPFSSGRRFKRVVRSFRGRSGQTRERDTLEMVV >DRNTG_06373.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19462677:19465337:1 gene:DRNTG_06373 transcript:DRNTG_06373.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLRFASPRFVLSLTKPPLPFRKPHLLLSSPISFQRINSSTPSSPARSLPVAHDAPDLDPSTLPPNIQEIIALFRSVSDPRAKYQQLLHYGSKLPPLDPDFKTEENRVRGCVSRVWVRAFLDPDDPSSVRFEADSDAALTRGLAALLVLGLSGSSPEVIASVPAEFVLLLGIRQSLSESRNNGFLNMLKLMQRKALELGSGSAILVNEKASMLEEKGSRDSDFSDDRVHSWGKDVSFGWNGNGNVEETMINGKDEAAWSLESGNGGREERIRGRLQRELSPSELEVENISHMHAGHAAVRGSGDGETHFNVKVVSEMFEGKSLVKRHRLVYDLLQEELQSGLHALSIDAKAPSEVQTR >DRNTG_06373.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19462677:19464581:1 gene:DRNTG_06373 transcript:DRNTG_06373.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLRFASPRFVLSLTKPPLPFRKPHLLLSSPISFQRINSSTPSSPARSLPVAHDAPDLDPSTLPPNIQEIIALFRSVSDPRAKYQQLLHYGSKLPPLDPDFKTEENRVRGCVSRVWVRAFLDPDDPSSVRFEADSDAALTRGLAALLVLGLSGSSPEVIASVPAEFVLLLGIRQSLSESRNNGFLNMLKLMQRKALELGSGSAILVNEKASMLEEKGSRDSDFSDDRVHSWGKDVSFGWNGNGNVEETMINGKDEAAWSLESGNGGREERIRGRLQRELSPSELEVENISHMHAGHAAVRGSGDGETHFNVKVVSEMFEGKSLVKRHRLVYDLLQEELQSGLHALSIDAKAPSEVQTR >DRNTG_06373.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19462677:19464409:1 gene:DRNTG_06373 transcript:DRNTG_06373.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLRFASPRFVLSLTKPPLPFRKPHLLLSSPISFQRINSSTPSSPARSLPVAHDAPDLDPSTLPPNIQEIIALFRSVSDPRAKYQQLLHYGSKLPPLDPDFKTEENRVRGCVSRVWVRAFLDPDDPSSVRFEADSDAALTRGLAALLVLGLSGSSPEVIASVPAEFVLLLGIRQSLSESRNNGFLNMLKLMQRKALELGSGSAILVNEKASMLEEKGSRDSDFSDDRVHSWGKDVSFGWNGNGNVEETMINGKDEAAWSLESGNGGREERIRGRLQRELSPSELEVENISHMHAGHAAVRGSGDGETHFNVKVVSEMFEGKSLVKRHRLVYDLLQEELQSGLHALSIDAKAPSEVQTR >DRNTG_23115.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4246230:4248668:1 gene:DRNTG_23115 transcript:DRNTG_23115.12 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEERECKPKRSGRGRGRGRGRPPTRIFADDTRYMKFEDDQSMSPEHGEPPMGETEGVNGKEKISLNGHTSCDTSNAVIGPDATPADGRTQEEFSRWALPDVTGDAAIPPASLPHLTMKIDEDEDYDNED >DRNTG_23115.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4240936:4250220:1 gene:DRNTG_23115 transcript:DRNTG_23115.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLGTRFPASRIKKIMQADEDVGKIALAVPLLVSKALELFLQDLCDRTYEITLQRGAKTVNSLHLKQCVRSYSAFDFLTDVVNKVPNLGGTESGGDEKGVARRRKTFPHDEDGETNEDLRSAKMAMRSANVSPRGRGGVEEEAEEGHLPEYLQMIQGT >DRNTG_23115.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4240936:4248088:1 gene:DRNTG_23115 transcript:DRNTG_23115.11 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLGTRFPASRIKKIMQADEDVGKIALAVPLLVSKALELFLQDLCDRTYEITLQRGAKTVNSLHLKQCVRSYSAFDFLTDVVNKVPNLGGTESGGDEKGVARRRKTFPHDEDGETNEDLRSAKMVRPS >DRNTG_23115.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4240936:4248668:1 gene:DRNTG_23115 transcript:DRNTG_23115.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLGTRFPASRIKKIMQADEDVGKIALAVPLLVSKALELFLQDLCDRTYEITLQRGAKTVNSLHLKQCVRSYSAFDFLTDVVNKVPNLGGTESGGDEKGVARRRKTFPHDEDGETNEDLRSAKMAMRSANVSPRGRGGVEEEAEEGHLPEYLQMIQGT >DRNTG_23115.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4240936:4248668:1 gene:DRNTG_23115 transcript:DRNTG_23115.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLGTRFPASRIKKIMQADEDVGKIALAVPLLVSKALELFLQDLCDRTYEITLQRGAKTVNSLHLKQCVRSYSAFDFLTDVVNKVPNLGGTESGGDEKGVARRRKTFPHDEDGETNEDLRSAKMAMRSANVSPRGRGGVEEEAEEGHLPEYLQMIQGT >DRNTG_23115.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4240936:4248120:1 gene:DRNTG_23115 transcript:DRNTG_23115.9 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLGTRFPASRIKKIMQADEDVGKIALAVPLLVSKALELFLQDLCDRTYEITLQRGAKTVNSLHLKQCVRSYSAFDFLTDVVNKVPNLGGTESGGDEKGVARRRKTFPHDEDGETNEDLRSAKMAMRSANVSPRGRGGVEEEAEEGHLPEYLQMIQGT >DRNTG_23115.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4240936:4248668:1 gene:DRNTG_23115 transcript:DRNTG_23115.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLGTRFPASRIKKIMQADEDVGKIALAVPLLVSKALELFLQDLCDRTYEITLQRGAKTVNSLHLKQCVRSYSAFDFLTDVVNKVPNLGGTESGGDEKGVARRRKTFPHDEDGETNEDLRSAKMAMRSANVSPRGRGGVEEEAEEGHLPEYLQMIQGT >DRNTG_23115.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4240936:4250220:1 gene:DRNTG_23115 transcript:DRNTG_23115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLGTRFPASRIKKIMQADEDVGKIALAVPLLVSKALELFLQDLCDRTYEITLQRGAKTVNSLHLKQCVRSYSAFDFLTDVVNKVPNLGGTESGGDEKGVARRRKTFPHDEDGETNEDLRSAKMAMRSANVSPRGRGGVEEEAEEGHLPEYLQMIQGT >DRNTG_23115.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4240936:4248088:1 gene:DRNTG_23115 transcript:DRNTG_23115.10 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLGTRFPASRIKKIMQADEDVGKIALAVPLLVSKALELFLQDLCDRTYEITLQRGAKTVNSLHLKQCVRSYSAFDFLTDVVNKVPNLGGTESGGDEKGVARRRKTFPHDEDGETNEDLRSAKMAMRSANVSPRGRGGVEEEAEEGHLPEYLQMIQGT >DRNTG_23115.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4240936:4250220:1 gene:DRNTG_23115 transcript:DRNTG_23115.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLGTRFPASRIKKIMQADEDVGKIALAVPLLVSKALELFLQDLCDRTYEITLQRGAKTVNSLHLKQCVRSYSAFDFLTDVVNKVPNLGGTESGGDEKGVARRRKTFPHDEDGETNEDLRSAKMAMRSANVSPRGRGGVEEEAEEGHLPEYLQMIQGT >DRNTG_23115.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4240936:4248668:1 gene:DRNTG_23115 transcript:DRNTG_23115.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLGTRFPASRIKKIMQADEDVGKIALAVPLLVSKALELFLQDLCDRTYEITLQRGAKTVNSLHLKQCVRSYSAFDFLTDVVNKVPNLGGTESGGDEKGVARRRKTFPHDEDGETNEDLRSAKMVRPS >DRNTG_23115.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4240936:4248668:1 gene:DRNTG_23115 transcript:DRNTG_23115.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLGTRFPASRIKKIMQADEDVGKIALAVPLLVSKALELFLQDLCDRTYEITLQRGAKTVNSLHLKQCVRSYSAFDFLTDVVNKVPNLGGTESGGDEKGVARRRKTFPHDEDGETNEDLRSAKMAMRSANVSPRGRGGVEEEAEEGHLPEYLQMIQGT >DRNTG_22611.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9355343:9363507:1 gene:DRNTG_22611 transcript:DRNTG_22611.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMGTRSHRGSLASSMIGTLVKLLFYVHKSECPSVTAFVLLQMDVPTRIRGGDDPPRIFHEFQDLLNNSKLSVMSRDLGYYQCPLDGLPGETATSKELLDDEEPPDGVVEELHPQSHIVESEEELDLVNHRVSRTKKRWKHVIAIKKSINPPTSPRVRMPQGCLSDLNDTIADIVAQMS >DRNTG_25572.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29723054:29724966:1 gene:DRNTG_25572 transcript:DRNTG_25572.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of subcomplex B 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G15980) UniProtKB/Swiss-Prot;Acc:Q9S9N6] MEYGSLFSDGKQEEDPRPPDNPDNPYGFLKFPQGYNVEIASLGSKIRGDVRRCCCVVSGGVYENLLFFPAIQLIKDRYPGVQVDVVTSPRGKQTYEINKNVRWATVYDPDDDFPEPAEYTDMLGVMRNRYYDMILSTKLAGLGHAAFLFMASARDKVSYVYPNVNGAGAGLLLTETLIPPLLNLSELGFNMYQQMTEWLGRPARNVPREPVPPLKISISKKLKAYVQEKYTNAGVQKNKYIVIHGIESDSIASMKSRGDDDSLLPIQIWAEITKDIRGVKPLFIIPHEKERDDVEEAIGDDASILFTTTPGQLAAIINDSVGVISTNTAAIQLANAIEKPSIALFGSEEKGRLFVPDAKEKKCSVIASKTGKLIDIDVETVKNSVDMLQGSLVFA >DRNTG_17045.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22171986:22203230:1 gene:DRNTG_17045 transcript:DRNTG_17045.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G04340) UniProtKB/Swiss-Prot;Acc:F4J3N2] MDSALRSPFLSSSIILFNPSHHPPSLLSKSRRSSFHTIVSTSRTPPNPKFQLLDLARKPLAILIFSATVSFSPFVAGPLPAIAAPTVTSTIEDELEIKNGDHEFSGYTRRLLAVVSDLLRGIEEVKSGNGDMNLVREALKMVKKKRREIQGEVLKKLNAEVREWKREKAEVIKKSGEVLDMGFAARKERDRILKEDEVDGGIGEAKEKVEKLEEMMSVAEDEYNLLWEKVGEIEDRILRKETMTYSVAIRELSFIERECDILVDRCKIQLKDGDLAEQPMSYSSRLSKYDIQKELENAHKDYWEQLLLPTVLEAEHSEIFENDTIQSFAINVKRILEESQHMQTNLEAHFRQKLKKFGDEKRFLVHTPEEALKGFPEVELKWMFGAKEVVVPKAASLHLFNGWKKWREEAKGNLKKDLLENEEYYKQYIANRQERILLDRERVMTRTWYNDERNRWEIDPVAVPYIISKKLIESARIRHDWAVMYIALKGDDKEYLVDIKELDLLFEDFGGFDGLYTKMLACGIPTTVQVMWISFSELDIHQQFLLASRLSYQCLNGFWNLGVMSYIRGWGFSKIKNITDDLMVTIGFPLIELFIPKPVRLSLGMVWPEEVDQAVGSTWFLKWQSEAEMNYKARKRDTIRWYLWFFIRSMIYGFVIFNVLRYLTRKVPRLLGYGPWRRDPNLWKLRRLKTYRQFQFNRRIRRKKEGIDPIRSAFDQMKRVKNPPIRLSDFASVDSMKEEINDIVTCLQNPTAFQEKGARAPRGVLIVGERGTGKTSLALAIAAEAKVPVVEIKAQQLEAGLWVGQSASNVRELFQTARDLAPVIIFVEDFDQFAGVRGQYIHTKKQDHEAFINQLLVELDGFENQTGVVLMATTRNLKQIDEALQRPGRMDRVLHLQRPTQLEREKILQIAARDTMDEELIDFVDWKKVAEKTALLRPVELKLVPLALEGSAFRSKFLDTDELICYCGWFASFSNSIPRWLRRTKPLKMISKCLVNHLGLTLTSEDLQSVVDLMEPYGQISNGIELLSPPLDWTKEKKFPHAVWAAGRGLIALLLPNYDHVDNIWLEPLSWEGIGCTKITKAKNEGSVNGNLESRSYLEKKLVFCFGSYVAAQLLLPFGEENFLSSSELKQAQEIATRMVIQYGWGPDDSPAVYITNNAFGTLSMGKDHELEMATKVEKIYALGYDKAKEMLDKNRLVLETIVEQLLEFENLNGEDLLNIFKEYGGTREQEPFFLSQPFHKELSSSSIVNEDAQASVVSLLSAGT >DRNTG_19281.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:514269:517764:1 gene:DRNTG_19281 transcript:DRNTG_19281.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIYASSLRSCVPIGEWKPSRGRSTFLSNRRVLAVRPLADGSAPLDYDPSDELLGLDVALAQRDVSNELPTKLRSWFGPNGQYIRELPCPSCRGRGYTPCAMCGIERSRLDCPQCNGKGIQTCRQCSGDCVIWEESIDEQPWEKARSSSPLKVKEDEEVDELELKVNTSRKSKRKYQSPSPEVSLKISRSLRSLNEKTGLFSRRMKIIHRDPKLRAQRVAAIKKAKQTAAARKQASETMKAFFRNPENRHKRSIAMKGVKFYCRHCGQEGHRRHYCPTLTKISGNIRFKCRICGERGHNCRTCGRSKSKLGREDDMRKNTSFRQCKACGEGGHDRRNCPTLTLKEEKFILNPLKKSILPNYNHDDDNKRTYLCRLCQEKGHNIRTCPKKDP >DRNTG_19281.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:514585:517802:1 gene:DRNTG_19281 transcript:DRNTG_19281.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIYASSLRSCVPIGEWKPSRGRSTFLSNRRVLAVRPLADGSAPLDYDPSDELLGLDVALAQRDVSNELPTKLRSWFGPNGQYIRELPCPSCRGRGYTPCAMCGIERSRLDCPQCNGKGIQTCRQCSGDCVIWEESIDEQPWEKARSSSPLKVKEDEEVDELELKVNTSRKSKRKYQSPSPEVSLKISRSLRSLNEKTGLFSRRMKIIHRDPKLRAQRVAAIKKAKQTAAARKQASETMKAFFRNPENRHKRSIAMKGVKFYCRHCGQEGHRRHYCPTLTKISGNIRFKCRICGERGHNCRTCGRSKSKLGREDDMRKNTSFRQCKACGEGGHDRRNCPTLTLKEEKFILNPLKKSILPNYNHDDDNKRTYLCRLCQEKGHNIRTCPKKDP >DRNTG_19281.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:514585:517764:1 gene:DRNTG_19281 transcript:DRNTG_19281.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIYASSLRSCVPIGEWKPSRGRSTFLSNRRVLAVRPLADGSAPLDYDPSDELLGLDVALAQRDVSNELPTKLRSWFGPNGQYIRELPCPSCRGRGYTPCAMCGIERSRLDCPQCNGKGIQTCRQCSGDCVIWEESIDEQPWEKARSSSPLKVKEDEEVDELELKVNTSRKSKRKYQSPSPEVSLKISRSLRSLNEKTGLFSRRMKIIHRDPKLRAQRVAAIKKAKQTAAARKQASETMKAFFRNPENRHKRSIAMKGVKFYCRHCGQEGHRRHYCPTLTKISGNIRFKCRICGERGHNCRTCGRSKSKLGREDDMRKNTSFRQCKACGEGGHDRRNCPTLTLKEEKFILNPLKKSILPNYNHDDDNKRTYLCRLCQEKGHNIRTCPKKDP >DRNTG_19281.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:514269:517802:1 gene:DRNTG_19281 transcript:DRNTG_19281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIYASSLRSCVPIGEWKPSRGRSTFLSNRRVLAVRPLADGSAPLDYDPSDELLGLDVALAQRDVSNELPTKLRSWFGPNGQYIRELPCPSCRGRGYTPCAMCGIERSRLDCPQCNGKGIQTCRQCSGDCVIWEESIDEQPWEKARSSSPLKVKEDEEVDELELKVNTSRKSKRKYQSPSPEVSLKISRSLRSLNEKTGLFSRRMKIIHRDPKLRAQRVAAIKKAKQTAAARKQASETMKAFFRNPENRHKRSIAMKGVKFYCRHCGQEGHRRHYCPTLTKISGNIRFKCRICGERGHNCRTCGRSKSKLGREDDMRKNTSFRQCKACGEGGHDRRNCPTLTLKEEKFILNPLKKSILPNYNHDDDNKRTYLCRLCQEKGHNIRTCPKKDP >DRNTG_19281.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:514585:517900:1 gene:DRNTG_19281 transcript:DRNTG_19281.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVSIRDVSNELPTKLRSWFGPNGQYIRELPCPSCRGRGYTPCAMCGIERSRLDCPQCNGKGIQTCRQCSGDCVIWEESIDEQPWEKARSSSPLKVKEDEEVDELELKVNTSRKSKRKYQSPSPEVSLKISRSLRSLNEKTGLFSRRMKIIHRDPKLRAQRVAAIKKAKQTAAARKQASETMKAFFRNPENRHKRSIAMKGVKFYCRHCGQEGHRRHYCPTLTKISGNIRFKCRICGERGHNCRTCGRSKSKLGREDDMRKNTSFRQCKACGEGGHDRRNCPTLTLKEEKFILNPLKKSILPNYNHDDDNKRTYLCRLCQEKGHNIRTCPKKDP >DRNTG_19281.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:514585:517900:1 gene:DRNTG_19281 transcript:DRNTG_19281.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIYASSLRSCVPIGEWKPSRGRSTFLSNRRVLAVRPLADGSAPLDYDPSDELLGLDVALAQRDVSNELPTKLRSWFGPNGQYIRELPCPSCRGRGYTPCAMCGIERSRLDCPQCNGKGIQTCRQCSGDCVIWEESIDEQPWEKARSSSPLKVKEDEEVDELELKVNTSRKSKRKYQSPSPEVSLKISRSLRSLNEKTGLFSRRMKIIHRDPKLRAQRVAAIKKAKQTAAARKQASETMKAFFRNPENRHKRSIAMKGVKFYCRHCGQEGHRRHYCPTLTKISGNIRFKCRICGERGHNCRTCGRSKSKLGREDDMRKNTSFRQCKACGEGGHDRRNCPTLTLKEEKFILNPLKKSILPNYNHDDDNKRTYLCRLCQEKGHNIRTCPKKDP >DRNTG_19281.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:514269:517802:1 gene:DRNTG_19281 transcript:DRNTG_19281.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVSIRDVSNELPTKLRSWFGPNGQYIRELPCPSCRGRGYTPCAMCGIERSRLDCPQCNGKGIQTCRQCSGDCVIWEESIDEQPWEKARSSSPLKVKEDEEVDELELKVNTSRKSKRKYQSPSPEVSLKISRSLRSLNEKTGLFSRRMKIIHRDPKLRAQRVAAIKKAKQTAAARKQASETMKAFFRNPENRHKRSIAMKGVKFYCRHCGQEGHRRHYCPTLTKISGNIRFKCRICGERGHNCRTCGRSKSKLGREDDMRKNTSFRQCKACGEGGHDRRNCPTLTLKEEKFILNPLKKSILPNYNHDDDNKRTYLCRLCQEKGHNIRTCPKKDP >DRNTG_19281.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:514585:517764:1 gene:DRNTG_19281 transcript:DRNTG_19281.9 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVSIRDVSNELPTKLRSWFGPNGQYIRELPCPSCRGRGYTPCAMCGIERSRLDCPQCNGKGIQTCRQCSGDCVIWEESIDEQPWEKARSSSPLKVKEDEEVDELELKVNTSRKSKRKYQSPSPEVSLKISRSLRSLNEKTGLFSRRMKIIHRDPKLRAQRVAAIKKAKQTAAARKQASETMKAFFRNPENRHKRSIAMKGVKFYCRHCGQEGHRRHYCPTLTKISGNIRFKCRICGERGHNCRTCGRSKSKLGREDDMRKNTSFRQCKACGEGGHDRRNCPTLTLKEEKFILNPLKKSILPNYNHDDDNKRTYLCRLCQEKGHNIRTCPKKDP >DRNTG_19281.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:514585:517802:1 gene:DRNTG_19281 transcript:DRNTG_19281.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVSIRDVSNELPTKLRSWFGPNGQYIRELPCPSCRGRGYTPCAMCGIERSRLDCPQCNGKGIQTCRQCSGDCVIWEESIDEQPWEKARSSSPLKVKEDEEVDELELKVNTSRKSKRKYQSPSPEVSLKISRSLRSLNEKTGLFSRRMKIIHRDPKLRAQRVAAIKKAKQTAAARKQASETMKAFFRNPENRHKRSIAMKGVKFYCRHCGQEGHRRHYCPTLTKISGNIRFKCRICGERGHNCRTCGRSKSKLGREDDMRKNTSFRQCKACGEGGHDRRNCPTLTLKEEKFILNPLKKSILPNYNHDDDNKRTYLCRLCQEKGHNIRTCPKKDP >DRNTG_26608.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19915931:19916721:-1 gene:DRNTG_26608 transcript:DRNTG_26608.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G30580) UniProtKB/Swiss-Prot;Acc:Q8GXU8] METLPWRKPCFSFARSPLISSPSPSIRFSNLPRCSLNGNLFLLPLTLTRRPSQFDPKFQFAALKHTIPRRSTVVWSSQGGAAAYPLSGFQFGSRIRGICFYMVTAVVAIFLFVAMVLAHPFVLLFDRHRRKIHHFIAKLWAALTIAPFYEIEFEGLENLPAGDASAVYVSNHLSFLDIYTLLTLGRCFKFISKRGIFLFPIIGWAMYLLGVIPLRRMDSRSQL >DRNTG_26608.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19912698:19916721:-1 gene:DRNTG_26608 transcript:DRNTG_26608.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G30580) UniProtKB/Swiss-Prot;Acc:Q8GXU8] METLPWRKPCFSFARSPLISSPSPSIRFSNLPRCSLNGNLFLLPLTLTRRPSQFDPKFQFAALKHTIPRRSTVVWSSQGGAAAYPLSGFQFGSRIRGICFYMVTAVVAIFLFVAMVLAHPFVLLFDRHRRKIHHFIAKLWAALTIAPFYEIEFEGLENLPAGDASAVYVSNHLSFLDIYTLLTLGRCFKFISKRGIFLFPIIGWAMYLLGVIPLRRMDSRSQLDCLKRCIELIKKGASVFFFPEGTRSKDGKLGAFKKGAFSVAAKTGAPVVPITLMGTGELMPAGMEGVVNSASAKVVIHKPIEGSNADSLCNEARNVIADTLLLHGYDVHHNV >DRNTG_26608.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19912226:19915685:-1 gene:DRNTG_26608 transcript:DRNTG_26608.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G30580) UniProtKB/Swiss-Prot;Acc:Q8GXU8] DCLKRCIELIKKGASVFFFPEGTRSKDGKLGAFKKGAFSVAAKTGAPVVPITLMGTGELMPAGMEGVVNSASAKVVIHKPIEGSNADSLCNEARNVIADTLLLHGYDVHHNV >DRNTG_26608.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19914855:19916721:-1 gene:DRNTG_26608 transcript:DRNTG_26608.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G30580) UniProtKB/Swiss-Prot;Acc:Q8GXU8] METLPWRKPCFSFARSPLISSPSPSIRFSNLPRCSLNGNLFLLPLTLTRRPSQFDPKFQFAALKHTIPRRSTVVWSSQGGAAAYPLSGFQFGSRIRGICFYMVTAVVAIFLFVAMVLAHPFVLLFDRHRRKIHHFIAKLWAALTIAPFYEIEFEGLENLPAGDASAVYVSNHLSFLDIYTLLTLGRCFKFISKRGIFLFPIIGWAMYLLGVIPLRRMDSRSQLDCLKRCIELIKKGASVFFFPEGTRSKDGKLGAFKKGAFSVAAKTGAPVVPITLMGTGELMPAGMEGVVNSASAKVVIHKPIEGSNADSLCNEARNVIADTLLLHGYDVHHNV >DRNTG_07213.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20287729:20290783:-1 gene:DRNTG_07213 transcript:DRNTG_07213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEGVFCGFFAFTFDFIDWACETLRIFLSWTGKPQGPVDIPVDMAPRTKKAAGKHPREVSPESEHINFLIPEH >DRNTG_00415.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000038.1:117656:119055:-1 gene:DRNTG_00415 transcript:DRNTG_00415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMSAPLSCISISDKCNAMPELRQVHAHLIKSNLISSFSALSAKPLAFHSIVRSLSSQSEKQHLSLVLYSKILSFLDDLRGIELLLPSVLKVCGILLAFDEGLQIHGQILKGCLQDDPFVANSLLRMYLDWGQLELAGQVLDKMPNRDVISWSSMISGCVKVGDINLARKLFDEMPDKDVVACNSMIDGLMKCGMCKDAEDLFECMNVRDVDFLDSHDFWLCA >DRNTG_09153.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6989130:6991836:1 gene:DRNTG_09153 transcript:DRNTG_09153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCKRCGGAGHMASGCRVELLSPPRHRRARPPTKARNSDKVVRSATSQAPFDRMVANCLHPQKVNLLLSLTQETTKQEC >DRNTG_01860.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21237162:21239448:-1 gene:DRNTG_01860 transcript:DRNTG_01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFTIPDNFTSEPLPLVFITESSQSEQCSSLSAGDLTGMVVLCDSAGGNLISKGAVVLQAGGAGMVLFNTQEWGYTTLAYDHLLPSSLVSYNAGLEIKSYINSTDDPTVSLIFKGTTFGSVAPEMSFFSSRGPNKLSPGILKPDITGPGVNILAAWPFPPRNGTAVTPPRNRPFNVISGTSMSTPHLSGVAALVKSTHPDWSPAAIKSAIITSAYLKASDKLPIKDEQHQDASIFAIGNGHVDPSKAIDPGLIYDIEASDYTGYLCGLGYSDANLTTLAGRRVSCDDVQVVAEADLNYPTILVPLNSTDDSKIIITRTVTNVGRANSTYKAQIEAPKAVSVTVEPQILKFQEINEKKNFTVSVSKASGSLSREWKAQLYWISDDHVVRSVISEVSNV >DRNTG_05840.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31625300:31626986:1 gene:DRNTG_05840 transcript:DRNTG_05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEQERKGMVVLYPSPGMGHLVSMVELGKLIVSHGFSVTILIVKAHYNTGSTDPFIAHVSSTNPSISFHTLQPPSSLPPNPSHHHEAHAYNLLRHTNPSLRAFLLSSSPCALIIDFFCIYSVDMVKELAIPCHCFFTSSASILAVFLNIPVLHESISKSFSELGKTPVHIPGIPPFPADHMILPMLEREDEAYQGFLDTGLHLPDCDGIIVNTFEALEPRALEAITAGHCTLEGLPTPPIYCIGPLITEGRENISTVDCMAWLDTQPKGSVVFLCFGSLGLFTAEQIKEIAIGLEKSGQRFLWVVRSPPSDNPAKYMVSPPEPDLDVLMPEGFLERTSERGLVVKSWAPQVEVLRHDSVGGFVTHCGWNSILEGVLAGVPMVGWPQYAEQKLNIVFLEKELKLVVAMRGYDEGFVPAEEVETRVRWLMESDGGMELRKSTLAAKDAAMAALQEGGSSRSALARLVSEWTRPS >DRNTG_16758.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22073285:22074048:-1 gene:DRNTG_16758 transcript:DRNTG_16758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATHNFAKTNILGKGGFGLVYKGKLAEGREIAVKRLSRNSTQGIDEFENEVAFIAKLQHCNLVRLLGYCIKGDEKILVYEYMPNRSLDAFLFDKEKGDHLDWKTRFHIIEGIARGLLYLHQDSRLRIIHRDLKASNILLDIEMNPKISDFGLARNFGDRETMIKTRKVVGT >DRNTG_15419.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17814921:17820854:-1 gene:DRNTG_15419 transcript:DRNTG_15419.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein ATHB-8 [Source:Projected from Arabidopsis thaliana (AT4G32880) UniProtKB/Swiss-Prot;Acc:Q39123] MIAITACKEGKPGMDPGKYVRYTPEQVEALERLYHDCPKPSSIRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENGYFRQQTQSAALATTDTSCESVVTSGQHPLTTPQHPPRDASPAGLMSLAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCTGVAARACGLVSLEPAKVAEILKDRPSWFRDCRSVDVINVLPTGSNGTLEVLYMQLFAPTTLAPARDFWLLRYTSVLDDGSLVVCERSLGSAQGGPSMPVVPPFVRAEMLPSGYLIRPCDGGGSVIHIVDHVDLEPWSVPEVIRPLYESSAMLAQKTTMAAFRQLRQVAHEISHSSTTGWGRRPAALRALSQRLCRGFNEALNGFTDDGWSMVGSDGVDDVTILVNSSSRIIAGFNPGFANGYPSMTNSILCAKASMLLQNISPAMLLRFLREHRSEWADINIDAYSAAAVKTSPCALPGSFIGGYGSQVILPLAHTVEFDEFLEVLKLEHVGHGPDIMMPRDLFLLQLCSGVDETAVGTSSELIFAPIDASFSDDAPIVPSGFRIIPLEAGMDTSSPNHRTLDLASTLEVGPSGTRVHGDISGSCAGMRSVVTIAFQFAFENHLQDNVVTMARQYVRSIISSVQRVALALSPRLDSHCGLQSPPGSPELVLLACWICHSYRNHSGVELFKSTNEDCESPLKTLWHHSDAILCCSLKAMPIFTFANQAGLDMLETTLMALQDITLEKIFDDQGRKTLCAEFPNILQEGFVCLQGGICVSSMGRPASYERAMAWKVLNDEKEAHCICFMFVNWSFI >DRNTG_15419.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17814921:17820854:-1 gene:DRNTG_15419 transcript:DRNTG_15419.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein ATHB-8 [Source:Projected from Arabidopsis thaliana (AT4G32880) UniProtKB/Swiss-Prot;Acc:Q39123] MIAITACKEGKPGMDPGKYVRYTPEQVEALERLYHDCPKPSSIRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENGYFRQQTQSAALATTDTSCESVVTSGQHPLTTPQHPPRDASPAGLMSLAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCTGVAARACGLVSLEPAKVAEILKDRPSWFRDCRSVDVINVLPTGSNGTLEVLYMQLFAPTTLAPARDFWLLRYTSVLDDGSLVVCERSLGSAQGGPSMPVVPPFVRAEMLPSGYLIRPCDGGGSVIHIVDHVDLEPWSVPEVIRPLYESSAMLAQKTTMAAFRQLRQVAHEISHSSTTGWGRRPAALRALSQRLCRGFNEALNGFTDDGWSMVGSDGVDDVTILVNSSSRIIAGFNPGFANGYPSMTNSILCAKASMLLQNISPAMLLRFLREHRSEWADINIDAYSAAAVKTSPCALPGSFIGGYGSQVILPLAHTVEFDEFLEVLKLEHVGHGPDIMMPRDLFLLQLCSGVDETAVGTSSELIFAPIDASFSDDAPIVPSGFRIIPLEAGMDTSSPNHRTLDLASTLEVGPSGTRVHGDISGSCAGMRSVVTIAFQFAFENHLQDNVVTMARQYVRSIISSVQRVALALSPRLDSHCGLQSPPGSPELVLLACWICHSYRNHSGVELFKSTNEDCESPLKTLWHHSDAILCCSLKAMPIFTFANQAGLDMLETTLMALQDITLEKIFDDQGRKTLCAEFPNILQEGFVCLQGGICVSSMGRPASYERAMAWKVLNDEKEAHCICFMFVNWSFI >DRNTG_20896.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25110425:25114281:-1 gene:DRNTG_20896 transcript:DRNTG_20896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTTHLSPFSSPSFFLPNLRLPLISSSSLPFSPLRAPCYSSLPLLHLPRLFTPTFSPPSSCSLFSWNPFMGTNPRPSGKVWFSAGNGELQSKEILVQHLLVGGDDLQLLVELQKRISGGEDLSDLATEYSICPSKEIGGMLGWVRRGQMIPEFEEVAFTAPLNKVVRCKSKYGWHLLQVLSEREESLLEDIGPEEFYSKMQDPSFVETAQLIDVREPEEVDIASLPGFKVLPLRQFGTWGSLITDEFNPQKDVYVLCHHGVRSMQVAKWLQTQGFKKVFNIAGGIHAYAVKADPSIPTY >DRNTG_33526.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20597070:20597624:-1 gene:DRNTG_33526 transcript:DRNTG_33526.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASIFAIAAASSAAVAAGSSTSPSEVSGARGKEAGSGSQRKGDFAPRFDGFALHRDACDGASVIGVRLLLI >DRNTG_10937.11 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:105974:109848:1 gene:DRNTG_10937 transcript:DRNTG_10937.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] MEYPRTRKRARLAWDVAPEEREAFRDDAATTGRGASPPLRDDDRDGHYVFDLGENLTPRYKILSKIGEGTFGRVLECWDREAREYVAIKVVRSIRKYRAAAMIEIDVLEYLAKNDANGSRCVQIRRWFDYRNHICIVCEKLGPSLYDFLKRNKYCPFPVELVREFGRQLLESVACVSIILSFCWPSLFCLFLTNLADFLFWLSCEGANFTGFFNICLLYFNVDMHDLRLIHTDLKPENILLVSSEYVKVPNNKVFVSCIFVEIMQFFTLRRLSSLKCLGWYRKTYWMRCTSGACQSQVP >DRNTG_10937.10 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:105974:109848:1 gene:DRNTG_10937 transcript:DRNTG_10937.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] MEYPRTRKRARLAWDVAPEEREAFRDDAATTGRGASPPLRDDDRDGHYVFDLGENLTPRYKILSKIGEGTFGRVLECWDREAREYVAIKVVRSIRKYRAAAMIEIDVLEYLAKNDANGSRCVQIRRWFDYRNHICIA >DRNTG_10937.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:105974:111470:1 gene:DRNTG_10937 transcript:DRNTG_10937.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] MEYPRTRKRARLAWDVAPEEREAFRDDAATTGRGASPPLRDDDRDGHYVFDLGENLTPRYKILSKIGEGTFGRVLECWDREAREYVAIKVVRSIRKYRAAAMIEIDVLEYLAKNDANGSRCVQIRRWFDYRNHICIVCEKLGPSLYDFLKRNKYCPFPVELVREFGRQLLESVACVSIILSFCWPSLFCLFLTNLADFLFWLSCEGANFTGFFNICLLYFNVDMHDLRLIHTDLKPENILLVSSEYVKVPNNKKNILDEMHFRCLPKSSAIKLIDFGSTVFDNQEHSSIVSTRHYRAPEIILGLGWSYPCDLWSTGCIIVELCSGEALFQTHENLEHLAMMERVLGPIPEHMIRKANSSASKYFRRGARLNWPEGAVSRESIRAVRKLDRLKDLVYRHADHSRASLADLLSGLLKYEPSERLTARDALNHPFFRNPT >DRNTG_10937.13.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:110494:111470:1 gene:DRNTG_10937 transcript:DRNTG_10937.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] METPCALRGRHTSAPNFFTPMPRGSM >DRNTG_10937.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:105974:111470:1 gene:DRNTG_10937 transcript:DRNTG_10937.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] MEYPRTRKRARLAWDVAPEEREAFRDDAATTGRGASPPLRDDDRDGHYVFDLGENLTPRYKILSKIGEGTFGRVLECWDREAREYVAIKVVRSIRKYRAAAMIEIDVLEYLAKNDANGSRCVQIRRWFDYRNHICIVCEKLGPSLYDFLKRNKYCPFPVELVREFGRQLLESVAYMHDLRLIHTDLKPENILLVSSEYVKVPNNKKNILDEMHFRCLPKSSAIKLIDFGSTVFDNQEHSSIVSTRHYRAPEIILGLGWSYPCDLWSTGCIIVELCSGEALFQTHENLEHLAMMERVLGPIPEHMIRKANSSASKYFRRGARLNWPEGAVSRESIRAVRKLDRLKDLVYRHADHSRASLADLLSGLLKYEPSERLTARDALNHPFFRNPT >DRNTG_10937.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:105974:110439:1 gene:DRNTG_10937 transcript:DRNTG_10937.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] MEYPRTRKRARLAWDVAPEEREAFRDDAATTGRGASPPLRDDDRDGHYVFDLGENLTPRYKILSKIGEGTFGRVLECWDREAREYVAIKVVRSIRKYRAAAMIEIDVLEYLAKNDANGSRCVQIRRWFDYRNHICIVCEKLGPSLYDFLKRNKYCPFPVELVREFGRQLLESVACVSIILSFCWPSLFCLFLTNLADFLFWLSCEGANFTGFFNICLLYFNVDMHDLRLIHTDLKPENILLVSSEYVKVPNNKKNILDEMHFRCLPKSSAIKLIDFGSTVFDNQEHSSIVSTRHYRAPEIILGLGWSYPCDLWSTGCIIVELCSGEALFQTHENLEHLAMMERVLGPIPEHMIRKANSSASKYFRRGARLNWPEGAVSRESIRAVRKLDRLKACPMSSI >DRNTG_10937.16.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:110677:111470:1 gene:DRNTG_10937 transcript:DRNTG_10937.16 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] METPCALRGRHTSAPNFFTPMPRGSM >DRNTG_10937.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:105974:111470:1 gene:DRNTG_10937 transcript:DRNTG_10937.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] MIEIDVLEYLAKNDANGSRCVQIRRWFDYRNHICIVCEKLGPSLYDFLKRNKYCPFPVELVREFGRQLLESVAYMHDLRLIHTDLKPENILLVSSEYVKVPNNKKNILDEMHFRCLPKSSAIKLIDFGSTVFDNQEHSSIVSTRHYRAPEIILGLGWSYPCDLWSTGCIIVELCSGEALFQTHENLEHLAMMERVLGPIPEHMIRKANSSASKYFRRGARLNWPEGAVSRESIRAVRKLDRLKDLVYRHADHSRASLADLLSGLLKYEPSERLTARDALNHPFFRNPT >DRNTG_10937.12.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:110494:111578:1 gene:DRNTG_10937 transcript:DRNTG_10937.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] METPCALRGRHTSAPNFFTPMPRGSM >DRNTG_10937.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:105974:109848:1 gene:DRNTG_10937 transcript:DRNTG_10937.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] MEYPRTRKRARLAWDVAPEEREAFRDDAATTGRGASPPLRDDDRDGHYVFDLGENLTPRYKILSKIGEGTFGRVLECWDREAREYVAIKVVRSIRKYRAAAMIEIDVLEYLAKNDANGSRCVQIRRWFDYRNHICIVCEKLGPSLYDFLKRNKYCPFPVELVREFGRQLLESVAYMHDLRLIHTDLKPENILLVSSEYVKVPNNKKNILDEMHFRCLPKSSAIKLIDFGSTVFDNQEHSSIVSTRHYRAPEIILGLGWSYPCDLWSTGCIIVELCSGEALFQTHENLEHLAMMERVLGPIPEHMIRKANSSASKYFRRGARLNWPEGAVSRESIRAVRKLDRLKACPMSSI >DRNTG_10937.9 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:105974:109848:1 gene:DRNTG_10937 transcript:DRNTG_10937.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] MLGMVQKNILDEMHFRCLPKSSAIKLIDFGSTVFDNQEHSSIVSTRHYRAPEIILGLGWSYPCDLWSTGCIIVELCSGEALFQTHENLEHLAMMERVLGPIPEHMIRKANSSASKYFRRGARLNWPEGAVSRESIRAVRKLDRLKACPMSSI >DRNTG_10937.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:105974:110439:1 gene:DRNTG_10937 transcript:DRNTG_10937.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] MHDLRLIHTDLKPENILLVSSEYVKVPNNKVFVSCIFVEIMQFFTLRRLSSLKCLGWYRKTYWMRCTSGACQSQVP >DRNTG_10937.14.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:110677:111578:1 gene:DRNTG_10937 transcript:DRNTG_10937.14 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] METPCALRGRHTSAPNFFTPMPRGSM >DRNTG_10937.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:105974:109848:1 gene:DRNTG_10937 transcript:DRNTG_10937.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] MHDLRLIHTDLKPENILLVSSEYVKVPNNKKNILDEMHFRCLPKSSAIKLIDFGSTVFDNQEHSSIVSTRHYRAPEIILGLGWSYPCDLWSTGCIIVELCSGEALFQTHENLEHLAMMERVLGPIPEHMIRKANSSASKYFRRGARLNWPEGAVSRESIRAVRKLDRLKACPMSSI >DRNTG_10937.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:105974:109848:1 gene:DRNTG_10937 transcript:DRNTG_10937.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] MHDLRLIHTDLKPENILLVSSEYVKVPNNKKNILDEMHFRCLPKSSAIKLIDFGSTVFDNQEHSSIVSTRHYRAPEIILGLGWSYPCDLWSTGCIIVELCSGEALFQTHENLEHLAMMERVLGPIPEHMIRKANSSASKYFRRGARLNWPEGAVSRESIRAVRKLDRLKACPMSSI >DRNTG_10937.17.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:110765:111470:1 gene:DRNTG_10937 transcript:DRNTG_10937.17 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] METPCALRGRHTSAPNFFTPMPRGSM >DRNTG_10937.15.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:110765:111578:1 gene:DRNTG_10937 transcript:DRNTG_10937.15 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] METPCALRGRHTSAPNFFTPMPRGSM >DRNTG_27689.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21702591:21704310:-1 gene:DRNTG_27689 transcript:DRNTG_27689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAQGAVTMSLMSLPSAGLRKPLVFNQMRASTQQKGVGSNRLVVRASASSIGKEQVVAGLTAAALAAALLVPEVAEAAQPGISPSLKNFLLSIAAGGVVLTAILGAVIGVANFDPVKRS >DRNTG_05089.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:6095803:6097933:1 gene:DRNTG_05089 transcript:DRNTG_05089.1 gene_biotype:protein_coding transcript_biotype:protein_coding YRVPSEGSYWGSFRQYRFDEECPRLDEGTLGEDETTPQDHRHGI >DRNTG_06111.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20035471:20038443:1 gene:DRNTG_06111 transcript:DRNTG_06111.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 1 [Source:Projected from Arabidopsis thaliana (AT4G15850) UniProtKB/Swiss-Prot;Acc:Q7FGZ2] MTRGMDVEGVRNVINYDMPPYIKTYIHRAGRTARAGQSGMLFYLVEKK >DRNTG_06111.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20033056:20038443:1 gene:DRNTG_06111 transcript:DRNTG_06111.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 1 [Source:Projected from Arabidopsis thaliana (AT4G15850) UniProtKB/Swiss-Prot;Acc:Q7FGZ2] MLSTRKVRCLRALVVLPTRDLALQVKEVFASIAASVGLSVGLAVGQSSIADEISELIKRPKYDMGVSLDPEDLHVELQSAVDILVATPGRLMDHITTTKGFTLEHLCYLVVDETDRMLREAYQSWLPTVLQLTCLNDQAIFNQARSGLNLFNSLTTLRRSGVERGFKGKTYPRLVKMILSATLTQDPSKLSQLALHHPLLLTSGDSRYKLPEKLKSYKLICESKLKPLYLVALLQHLSGEKCLVFTSSVESTHRLSVLLNFFGDLPFKFSEYSGLQRQSVRSKTLSGFRDGEIDVLVSSDAMTRGMDVEGVRNVINYDMPPYIKTYIHRAGRTARAGQSGMLFYLVEKK >DRNTG_06111.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20033056:20034096:1 gene:DRNTG_06111 transcript:DRNTG_06111.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 1 [Source:Projected from Arabidopsis thaliana (AT4G15850) UniProtKB/Swiss-Prot;Acc:Q7FGZ2] MELEEDTKPKSVPVLPWMRSPVDIDHFDECPLGLLPCLDPRLEDALKKIGVHSLFPVQAAVWQETIGPGAF >DRNTG_06111.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20034915:20038443:1 gene:DRNTG_06111 transcript:DRNTG_06111.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 1 [Source:Projected from Arabidopsis thaliana (AT4G15850) UniProtKB/Swiss-Prot;Acc:Q7FGZ2] MGVSLDPEDLHVELQSAVDILVATPGRLMDHITTTKGFTLEHLCYLVVDETDRMLREAYQSWLPTVLQLTCLNDQAIFNQARSGLNLFNSLTTLRRSGVERGFKGKTYPRLVKMILSATLTQDPSKLSQLALHHPLLLTSGDSRYKLPEKLKSYKLICESKLKPLYLVALLQHLSGEKCLVFTSSVESTHRLSVLLNFFGDLPFKFSEYSGLQRQSVRRFPLQQNIVRVSGWGNRRACFFRCNDSRNGCRGG >DRNTG_23915.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3132390:3135479:-1 gene:DRNTG_23915 transcript:DRNTG_23915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLIALGVVIFVFLVILVRIVRFALADADLTLLSKGGPKRSEVEGKVAWITGGSKGIGKELARQFVELGAKVIISARNVADLEKVKAELLGKYPNAEVEVLSFDLTSDEEFLREAVKKSESFFSGAGVYYMVHNAGDDPLPIPALEITSETVLTIIKTNVLGTINLTRLIAPFMIERGGGHFIVMSSTAGKCPVPGQTVGSASKFALNGYFHTLRSELIQKGINVTVVCPGPIATKPLFKGTNSAEKPVTTDRCVHLIITAATHKLKEVWIANQPVLSVMYLTQYMPTLGLWFLDKIGSNRVEFAQTGGNISLMTLFFGKKKEKTG >DRNTG_24644.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8023367:8025214:1 gene:DRNTG_24644 transcript:DRNTG_24644.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYFKSYRNDGRFVLREMRIPTQELFHASREDGRLKLQLVHQEDEVAMEEEKDEGDEESEHSDHVDDG >DRNTG_24644.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8023183:8025214:1 gene:DRNTG_24644 transcript:DRNTG_24644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFKSYRNDGRFVLREMRIPTQELFHASREDGRLKLQLVHQEDEVAMEEEKDEGDEESEHSDHVDDG >DRNTG_24644.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8023367:8024464:1 gene:DRNTG_24644 transcript:DRNTG_24644.6 gene_biotype:protein_coding transcript_biotype:protein_coding MYFKSYRNDGRFVLREMRIPTQELFHASREDGRLKLQLVHQEDEVAMEEEKDEGDEESEHSDHVDDG >DRNTG_24644.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8023367:8024366:1 gene:DRNTG_24644 transcript:DRNTG_24644.7 gene_biotype:protein_coding transcript_biotype:protein_coding MYFKSYRNDGRFVLREMRIPTQELFHASREDGRLKLQLVHQEDEVAMEEEKDEGDEESEHSDHVDDG >DRNTG_24644.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8023183:8025156:1 gene:DRNTG_24644 transcript:DRNTG_24644.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFKSYRNDGRFVLREMRIPTQELFHASREDGRLKLQLVHQEDEVAMEEEKDEGDEESEHSDHVDDG >DRNTG_24644.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8023183:8024464:1 gene:DRNTG_24644 transcript:DRNTG_24644.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYFKSYRNDGRFVLREMRIPTQELFHASREDGRLKLQLVHQEDEVAMEEEKDEGDEESEHSDHVDDG >DRNTG_24644.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8023446:8025156:1 gene:DRNTG_24644 transcript:DRNTG_24644.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYFKSYRNDGRFVLREMRIPTQELFHASREDGRLKLQLVHQEDEVAMEEEKDEGDEESEHSDHVDDG >DRNTG_28989.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16740743:16741165:1 gene:DRNTG_28989 transcript:DRNTG_28989.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein 11 [Source:Projected from Arabidopsis thaliana (AT1G22900) UniProtKB/Swiss-Prot;Acc:Q67YM6] MTHSLTEVPPSAGKAVNVFGEVSVTDDPLAEIPNMSSKLISQPQGINVQPSLQQPALLITLSLAFITKEFNSSSLAYIGRNTYLENVSELPVIGGSSAFRFARGYALAKTNSFNTSSGNAVAEYNVYVMHYQFSLTVFKW >DRNTG_12817.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000575.1:6355:8726:1 gene:DRNTG_12817 transcript:DRNTG_12817.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARRRHQKCSKAVGYMRELNKLDEPLLEPAEYLRYSKKMHRHVEFWHASGFVLRAHPEKTHGRGRSPMSNLVISARPSGHGLAPVDEPVTNARVWVFFSSPCGSLQKRSLHPEKTQGRASALRSHRDVPLPLWVGHTDMGMAPRSKKIEGKRHQETLLELSHIEFSNPEHQARFERLSRLGLIRGMGLVDAIHDADKTVIPSPLGLNTLRMMGLVHRHGPGTYILAISTTESAEDEGD >DRNTG_16048.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25869949:25870819:-1 gene:DRNTG_16048 transcript:DRNTG_16048.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYHSARELLKTRLFEQPMQDVYGNLQERHRGKGASILNRHSADEASPSFMQKYEEDQSPKKFKTRKAGAPLQGIMKRGVRELNHGLENLKIKINASRRTAPAPQKNQLQTDGNWH >DRNTG_16048.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25870115:25872417:-1 gene:DRNTG_16048 transcript:DRNTG_16048.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDAEENLEVISRRAYRRRRILHSANAKEEEQENAIESNDTASGSEEHLQGGCVIDSNAIVSTFNEHCKVDNARELNGMSSTFDEHRKGDTVVGANNMSSIFYERCEGDNFIAANGMNRILDEHHKGNNVISTNDMSSPSRGHCDNNDSFIGANDMISTFDERHNDGNAIGANYMSSTFHKHCEGDSLIGASVMTSTLDEHHKGDDATGTNGMTSTSDVHHKRHMSSSSERHLEGKSLASNPLKDGPDSAIDGTSDSNADELSSEIRTHGQGWRSSFDSDEFYSVRNWLESENGGPSRSTSRGSNFYPDSLQYQNGISGTKLKSVKNEREELLWKVSKLRYQLGGLYDQKMKHSAHLSSNAHSQPMMHPHYSGHQVCCMPQQCQFSRIPFSGQPCSSCLHCLPPQSCCHSGPCYSSSHSMSSQSSEVPCYHNSQRGDGYESEKTHGKDKKPRAKRHCLPMLGGAPFVVCTNCSRLLQLPADILVSGSKQKLRCGDCSEVIVFLFNRRAYTDPLTSAEAIHPPSEIDNNDDVLQGDPISYTEEYDLSLDNSCSTEIEIVQNTDRRSTGSDKQRAASPLHRLMGYHSARELLKTRLFEQPMQDVYGNLQERHRGKGASILNRHSADEASPSFMQKYEEDQSPKKFKTRKAGAPLQGIMKRGVRELNHGLENLKIKINASRRTAPAPQKNQLQTDGNWH >DRNTG_19591.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001023.1:52844:53610:-1 gene:DRNTG_19591 transcript:DRNTG_19591.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADEAICGEIEAIKGLSDRAQAYATLLHLQRRSADDASSIKALAISSPALIALLLSDIHRSDEEIAALALKCLGFMIYHPSIVGAFSDGDAKLVLESLSKLIMATDMKAICNLAVWCLSIQQFGSDFLRASVELLLMAVVHALDNPFGSQSITFEAMQV >DRNTG_35244.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3489052:3494095:-1 gene:DRNTG_35244 transcript:DRNTG_35244.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATSRNFKQAAKLLRLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGQLSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTNSQTMAWILDEYSKFHGYSPAVVTGKPVDLGGSLGRDAATGRGVLFATEALLAEYGKSISGQRFVIQGFGNVGSWAAQLISEAGGKVIAVSDVTGAIKNSNGLDILKLLKHSVENRGIKGFNGGDAIDPKSLLTEDCDVLVPAALGGCNQQVFLSTFLYSIIR >DRNTG_35244.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3489052:3494095:-1 gene:DRNTG_35244 transcript:DRNTG_35244.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATSRNFKQAAKLLRLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGQLSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTNSQTMAWILDEYSKFHGYSPAVVTGKPVDLGGSLGRDAATGRGVLFATEALLAEYGKSISGQRFVIQGFGNVGSWAAQLISEAGGKVIAVSDVTGAIKNSNGLDILKLLKHSVENRGIKGFNGGDAIDPKSLLTEDCDVLVPAALGGCNQQVFLSTFLYSIIR >DRNTG_35244.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3489052:3494095:-1 gene:DRNTG_35244 transcript:DRNTG_35244.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATSRNFKQAAKLLRLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGQLSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTNSQTMAWILDEYSKFHGYSPAVVTGKPVDLGGSLGRDAATGRGVLFATEALLAEYGKSISGQRFVIQGFGNVGSWAAQLISEAGGKVIAVSDVTGAIKNSNGLDILKLLKHSVENRGIKGFNGGDAIDPKSLLTEDCDVLVPAALGGCNQQVFLSTFLYSIIR >DRNTG_35244.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3489052:3495885:-1 gene:DRNTG_35244 transcript:DRNTG_35244.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATSRNFKQAAKLLRLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGQLSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTNSQTMAWILDEYSKFHGYSPAVVTGKPVDLGGSLGRDAATGRGVLFATEALLAEYGKSISGQRFVIQGFGNVGSWAAQLISEAGGKVIAVSDVTGAIKNSNGLDILKLLKHSVENRGIKGFNGGDAIDPKSLLTEDCDVLVPAALGGCNQQVFLSTFLYSIIR >DRNTG_35244.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3489052:3495885:-1 gene:DRNTG_35244 transcript:DRNTG_35244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATSRNFKQAAKLLRLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGQLSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTNSQTMAWILDEYSKFHGYSPAVVTGKPVDLGGSLGRDAATGRGVLFATEALLAEYGKSISGQRFVIQGFGNVGSWAAQLISEAGGKVIAVSDVTGAIKNSNGLDILKLLKHSVENRGIKGFNGGDAIDPKSLLTEDCDVLVPAALGGCNQQVFLSTFLYSIIR >DRNTG_35244.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3489052:3494095:-1 gene:DRNTG_35244 transcript:DRNTG_35244.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATSRNFKQAAKLLRLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGQLSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTNSQTMAWILDEYSKFHGYSPAVVTGKPVDLGGSLGRDAATGRGVLFATEALLAEYGKSISGQRFVIQGFGNVGSWAAQLISEAGGKVIAVSDVTGAIKNSNGLDILKLLKHSVENRGIKGFNGGDAIDPKSLLTEDCDVLVPAALGGCNQQVFLSTFLYSIIR >DRNTG_25140.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:9026225:9028886:1 gene:DRNTG_25140 transcript:DRNTG_25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKEFTVDEYKDFLISLIGNFIQEFSTWRSAIRPFSVLSSPFSIPCLHMHNRKRFPFRALESANANKKNDQVSKKIIDGVPTFAATIAA >DRNTG_05852.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31539864:31544347:-1 gene:DRNTG_05852 transcript:DRNTG_05852.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:Projected from Arabidopsis thaliana (AT4G11240) UniProtKB/TrEMBL;Acc:A0A178V1Q1] MPMDESLLDDIIRRLINARNGRTTKQVQLTEAEIRQLCVSSKEIFVSQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKHSIETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALIDEKILCMHGGLSPDLKSLDQIRNIARPVDVPDQGLLCDLLWADPDKDIEGWGENDRGVSYTFGPDKVVEFLQKHDLDLICRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSVDDTLTCSFQILKPSDKKGKMGFGNNMLRPGTPPRKGGRS >DRNTG_05852.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31539864:31544347:-1 gene:DRNTG_05852 transcript:DRNTG_05852.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:Projected from Arabidopsis thaliana (AT4G11240) UniProtKB/TrEMBL;Acc:A0A178V1Q1] MPMDESLLDDIIRRLINARNGRTTKQVQLTEAEIRQLCVSSKEIFVSQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKHSIETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALIDEKILCMHGGLSPDLKSLDQIRNIARPVDVPDQGLLCDLLWADPDKDIEGWGENDRGVSYTFGPDKVVEFLQKHDLDLICRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSVDDTLTCSFQILKPSDKKGKMGFGNNMLRPGTPPRKIPRNL >DRNTG_10891.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13399719:13401940:-1 gene:DRNTG_10891 transcript:DRNTG_10891.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVEDMLKVGSWGGLMSIRDPAILELTLEYHSLKVTQLAVWIELYDATYTEIEEYEQLPTDYPGCLTPQRGYTVLCGQGQYEPWVSMTTCVSRLSYRYIHAVLSRPVNWRGDSTGVLS >DRNTG_23014.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3113860:3120779:-1 gene:DRNTG_23014 transcript:DRNTG_23014.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTAAAETAAATRAKDVQRSSLCNCVVNFLLQEGYLLTAFELLHELVEDGRHDQAIRLRDFFSDPSLFPPDLISRFNSLRVADPQTLLEDKIAAEEKLAISEYELRLAQEDLEKLQSELKRMAESPAVIESDGAHPDIAVAVDPENQQRKKERSFVDLGPMKDVERRDLNYVVKEYLLFAGYRLTAMTFYEEVTDQNLDVWPNSPACVSDALRHYYYQYLSSTTDAAEERINMLRENESLVKETEKLNSEKASLLKAKELADGQITILTKSLEAAQKDLKEKEGLVQDLKQTLEAQRKDINDCRAEITSLKMYIEGTRSARQWTPGENNVPRVLHVENHKGDLESPHTELEHSELSSTTMKADTVVDTSVGTHPEEHDIEIYENKEVMPVSEVSIDKPDNQTLFVNSSSGAEIDDNKESIHEHIIPDKVCDVVTKPESPRKDTTPNKMALDAIEILSDALPKIVPYVLINHREELLPLIMCAIERHPDSNVRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAKNVGEMRTETELLPQCWEQINHKYEERRLLVAQSCGELAEFVRPEIRDSLILSIVQQLVEDSATVVREAAAHNLALLLPLFPNMDKYFKVEELMFQLVCDPSGVVVDTSLKDLVPAVIKWGSKLEHVLRVLLSHLLGSAQRCPPLSGVEGSVESQLRVLGERERWNIDVLLRMLIELLPFVHQKAIETCPLTSTETSLSSGPSDTFFSVSLLQLYARGHVDWPAFDWLHIDCFPDIIQLACLLPHKEDNLRNRMTKFLLEVCDQFGNHYLTYIMLPIFLVAVGDDANLSSFPSSTQSRIKGLQPKTVVAEKLAVMCVLPLLLSGILGWPGKREELSQYLQKMLVQSIAKEGSLSSNCTTELLNAVRFLCTFEEHHGIIFNISWEMVVSSNVNLKIFAANLLKILVPYIDVKVASTHVLPALVTLGSDQNLNVKYASIDAFGAVAQQFKSDTIVDKIRIQMDAFLEDGSHEATISVVRALVVAVPHTTDRLREYILLTIFINFNTYFITNLLRMLLHCFIICFLDV >DRNTG_23014.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3113860:3120779:-1 gene:DRNTG_23014 transcript:DRNTG_23014.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTAAAETAAATRAKDVQRSSLCNCVVNFLLQEGYLLTAFELLHELVEDGRHDQAIRLRDFFSDPSLFPPDLISRFNSLRVADPQTLLEDKIAAEEKLAISEYELRLAQEDLEKLQSELKRMAESPAVIESDGAHPDIAVAVDPENQQRKKERSFVDLGPMKDVERRDLNYVVKEYLLFAGYRLTAMTFYEEVTDQNLDVWPNSPACVSDALRHYYYQYLSSTTDAAEERINMLRENESLVKETEKLNSEKASLLKAKELADGQITILTKSLEAAQKDLKEKEGLVQDLKQTLEAQRKDINDCRAEITSLKMYIEGTRSARQWTPGENNVPRVLHVENHKGDLESPHTELEHSELSSTTMKADTVVDTSVGTHPEEHDIEIYENKEVMPVSEVSIDKPDNQTLFVNSSSGAEIDDNKESIHEHIIPDKVCDVVTKPESPRKDTTPNKMALDAIEILSDALPKIVPYVLINHREELLPLIMCAIERHPDSNVRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAKNVGEMRTETELLPQCWEQINHKYEERRLLVAQSCGELAEFVRPEIRDSLILSIVQQLVEDSATVVREAAAHNLALLLPLFPNMDKYFKVSLTNAQRLSWSSLLTSQNWLQC >DRNTG_25720.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17160182:17160755:1 gene:DRNTG_25720 transcript:DRNTG_25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAKTFYLTLLIILILVSSNDFPYTSSNSSNTKDEFFFSNDAADEKEWVRERMQGKLFQRLRYCASFHKLKDLSTRVALQNVKAEVLDEGVFGFCRIEVDVDPVPPVKLRMGMKSPMRMLRLLT >DRNTG_32634.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001881.1:3920:8550:1 gene:DRNTG_32634 transcript:DRNTG_32634.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDRVIKLPLSSQFSFLNKAAIKSEDDEIKVEDNSPRDPWKLCSIQQIEEVKCLIRIIPIWLSGIICFLAVAQQWTFTILQSLTMDRHLGPHFQIPAGTICSISFLSLTIFMPIYDQIFVPLARNITKIDNGITLLQRQGVGQVFSIMAMVVAGLVEKKRRASALLHGGINGSSPLTAMWLAPQLILMGIAEAFNAVGQIEFYNRQFPEHMQTLAGSLFFCSWAGASYLSSFLVAVVKKTTSGNQVRTSWLEDNLNVGRLDLFYYVIALMGAMNLIYFMVCAHFYRYKSIQVVQVDESDRKEIIP >DRNTG_18734.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1169685:1171881:1 gene:DRNTG_18734 transcript:DRNTG_18734.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIKTMVEDGFCKLETSNSVDEVTSQSDFSKVGKESEIIVNFDNGNIETMAITERAEIIIDNGESIQKDIVAEKLFTLDDMATLEEKGTMGGSNSKQAAECSLTQPMEEKSTLLVEGKNFIEENKSSAKDMIAVKEKSTMNNGNFKQDFEDSITIAIDEKSVLETNKSEVDTKIVIHQEPNHHAHHPTSVGEADNRCGNGNSVARKKPLPHDKSHGVETPDMAIGIRSTLRTSDHRRDGDNVANNSDFDALSESKSGNFDVADLAQSHLHDRNIKHDIKIVTEKAISLDDKVIIEEKSMVEISNYREVTDCFITQVYDSTGELERSNFKHDARNMDDQRTFTSGHMKSKAETPAPVGEFTRQGNSSSKHDTTNIVTSKKSNFDDKIHKSVIANEVRSHSEIQSNFDDKIHKSVIANEVRSYSEIPEYNETDSGSVAQHKGEPKVLSQKTVTEIEQQSKDTLLPTMLKKPPSDPILSKQRNNQDSLSTDKKPTKPKTISRWITPKKGSNATSVYVPAHPPSRYNSAPPALAISANSLDNEAGETAEVSPTLTSHQKLTLPSVEEVASHTPAPVPPALQAHTSPPPSPPLPPPPLPGTTPNIYLKELSSSSSPSQISGLGREMLNQIPPPPSLRPQPSLPPPPPPPPPPPPPSRPLGVSMPSTSQSSQTTSSSTQSRI >DRNTG_18734.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1168038:1171881:1 gene:DRNTG_18734 transcript:DRNTG_18734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVLCFPFEMIHHFLRSSESWLSLEGQNNVLLMHCERGGWPVLAFMLAGLLLYRKQYTGEQKTLEMVYKQAPKELLHLLSPLNPQPSHMRYLQYISRRGLEWPPHDKPFYLECVILTIVPNFDGKGGCRPIVRVYGQDPLTPANRSSKILFSSLKTKRRISHYRQEDNSPIKINVRCRVQGDVVIECIHMDDDLEREEMMFRLMFNTAFIQSNVLLLNREEIDTVWNAKDQFPKDFKAEVLFSDLDAVESDATTDIAGDEDETDVASIEEFFEAEEIFSNADWQDSKRDAEVNMVQIKTMVEDGFCKLETSNSVDEVTSQSDFSKVGKESEIIVNFDNGNIETMAITERAEIIIDNGESIQKDIVAEKLFTLDDMATLEEKGTMGGSNSKQAAECSLTQPMEEKSTLLVEGKNFIEENKSSAKDMIAVKEKSTMNNGNFKQDFEDSITIAIDEKSVLETNKSEVDTKIVIHQEPNHHAHHPTSVGEADNRCGNGNSVARKKPLPHDKSHGVETPDMAIGIRSTLRTSDHRRDGDNVANNSDFDALSESKSGNFDVADLAQSHLHDRNIKHDIKIVTEKAISLDDKVIIEEKSMVEISNYREVTDCFITQVYDSTGELERSNFKHDARNMDDQRTFTSGHMKSKAETPAPVGEFTRQGNSSSKHDTTNIVTSKKSNFDDKIHKSVIANEVRSHSEIQSNFDDKIHKSVIANEVRSYSEIPEYNETDSGSVAQHKGEPKVLSQKTVTEIEQQSKDTLLPTMLKKPPSDPILSKQRNNQDSLSTDKKPTKPKTISRWITPKKGSNATSVYVPAHPPSRYNSAPPALAISANSLDNEAGETAEVSPTLTSHQKLTLPSVEEVASHTPAPVPPALQAHTSPPPSPPLPPPPLPGLGREMLNQIPPPPSLRPQPSLPPPPPPPPPPPPPSRPLGVSMPSTSQSSQTTSSSTQSRI >DRNTG_13791.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000641.1:17583:17936:1 gene:DRNTG_13791 transcript:DRNTG_13791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVECECCGLCEECTPTYIMSIKDSFGGKWICGLCSEAVKEKMKRNLGLAIDGALENHGAFCKQFNQTIRINPKLSLAGAMRDIARRSYQNRNSTKDFCESKIARTMSCVKVRRSSFQ >DRNTG_14521.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:8222920:8223473:-1 gene:DRNTG_14521 transcript:DRNTG_14521.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGDSIRFKLMRMLCNRREQANKWKTYLCPDIHSKVEILVEDSRNLCVGHCVDDHYEVIDQCSNSVDLAIRTCSCRRWQVYGIPCKHACAVIMQIDTNIHRFISGYFTIDNYKLAY >DRNTG_04438.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10142231:10150813:1 gene:DRNTG_04438 transcript:DRNTG_04438.1 gene_biotype:protein_coding transcript_biotype:protein_coding QYSTPPLDRSARGNHPHSHCSKYWGFPLSPSKPPRQEHEGRSPPFPWICSRPHGCLHSKIPRFITT >DRNTG_14291.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:760239:762464:-1 gene:DRNTG_14291 transcript:DRNTG_14291.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFPWLLISTTLFITIFFFFFFFFFKTLNNGGLPPGPTAIPILGNLHWLWTSSRSIEPLLRDLHARLGPIITLRIGSTCAIFISDRHLAHEALVTHGAVFADRPPALPATRVFNSNQHNINSAPYGPLWRLLRRNLISEILHPSRVKLFSNGRQGVLNILTGKIRASAEANNGIVLDFKQNLQFSMFCLLVLMCFGEMLDEKAIRDIETAQRNFLLYLSKLNVFAFVPRVSKLIYRKRWNTAMDLRQKQRDIIIPLIRTREKHKEKQNKQGRSDDEKERFVYSYLDSLLDIKLPEEGNRKLDDNELVTICSEFLTGGTDTTATALEWIIANLVKHQEIQTKLFDEIQQVVGSEAEEVTEEELQRMPYLKAVILEGLRRHPPSHFVVPHSVKEDVMLNGYVIPKGASINFMVAEIGRDEKVWKNPMEFRPERFMEGGEGQRMDITGNKEITMMPFGVGRRICPGLELAMLHLGYFVVNLIKKFNWKVADGEEIDVEDCWNILKLIL >DRNTG_21380.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19430079:19432250:-1 gene:DRNTG_21380 transcript:DRNTG_21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLAPPNLLPSPFPSNPKITPVPKVRVRVSIGVSINRLRTLASSKTLNGHLTLGSAHQDPDADREDNQRLQRLVRNGDLEEGLKLLERTAFRGGIPDIIPCTSLIRGMCSTGRWMDAEKLLADMVGKGCAPSVVTFNILINFLCRKGLLGRAMDVLERMPEHGCTPNSLSYNPLLHGFCKEKKMDRAIECLEVMVSRGCYPDIVTYNTLLTALCKVGKVDVAVELLSQLGSKGCNPVLISYNTVIDGLSKVGNTEKAFELLREMKTKGLHPDIITYASLVAGLSREGKVREAIKVFHEMQDDGIRPNAITCNSIILGLCKARQTDQAIDFYADMVSLGCMPTESTYTILIEGLAYEGMSEEALELLSELCSRGVVKKTSMQNVKV >DRNTG_28844.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001449.1:45456:46641:1 gene:DRNTG_28844 transcript:DRNTG_28844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSIHVPEEKSSNLNTSHSHRVAMSSRDSTPRWSCRWSCGEFSTRRKRSFVRPRIHLAGSVPTKALPITFFQMTRDVGAVEPLQKHGRGCFTCPCGISTRPCGFSETVIFGRL >DRNTG_15675.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27648431:27648950:-1 gene:DRNTG_15675 transcript:DRNTG_15675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQSSCLCFSEGSVRVDDENKESRRYSSDEPGDPNIDAKGDAFIAKMKKEWNSNTE >DRNTG_01075.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6628895:6634890:1 gene:DRNTG_01075 transcript:DRNTG_01075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLQDYKAIPQSHHISQASSLLSQRPMDAQSHHDNRNLTAWQNEEEGLEEGENSDTLV >DRNTG_12832.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32936309:32944127:1 gene:DRNTG_12832 transcript:DRNTG_12832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLSTSPTLDSDDQLQGLDDWDFTQSQPPLAETPTTSISKTKKVNKGSKRIRREELEVMQKISNELDRLASVAEIDKVNNLAIAFMNKDHDLRCFWMDGFFRQLACDVKPSHATPHVVQSGDHHNDDDDDDDDDDDMGCGVAGVAGVGVEDTGLGEKWAKHRRIINPAFHLEKLKKCPCVHDSRGESRFAARFDFGETRTKVSEEVLQVFGKNTPDMDGLSRLNIVTMVPYEVLRLYPLGAFLLRKACKTMEVGGITYPPGMIFLLPILLIQSDHEFWGEDAKESAPIPNVAPHCSIPSSSAGSNHNEANLPTFTSAACSSAFSRFAGGYCGGVYKRRKIH >DRNTG_25624.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21970565:21972604:1 gene:DRNTG_25624 transcript:DRNTG_25624.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKIVKKRVKKFKRPQSDRKICVKPSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNRFKKFRRPQRV >DRNTG_31875.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21104392:21105754:1 gene:DRNTG_31875 transcript:DRNTG_31875.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLERWSNCIFRSTLHRVVTDGRERFSIAYFVEPSHDCIVECLPTCKSEENPPKFPPISCSTYLSQRYKDTHADLNTYSKH >DRNTG_31875.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21105099:21105754:1 gene:DRNTG_31875 transcript:DRNTG_31875.3 gene_biotype:protein_coding transcript_biotype:protein_coding RSTLHRVVTDGRERFSIAYFVEPSHDCIVECLPTCKSEENPPKFPPISCSTYLSQRYKDTHADLNTYSKH >DRNTG_31875.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21102752:21105754:1 gene:DRNTG_31875 transcript:DRNTG_31875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERWSNCIFRSTLHRVVTDGRERFSIAYFVEPSHDCIVECLPTCKSEENPPKFPPISCSTYLSQRYKDTHADLNTYSKH >DRNTG_30031.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19260990:19265067:-1 gene:DRNTG_30031 transcript:DRNTG_30031.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDFLIIILRDLLPRRPNLRLILMSATINADLFSKYFGNAPVIHIQGLTFPVAELFLEDILEKTRYKIKSEYDNTHGNSRRRRQQYSRTDPLTEMFEEVDIDSQYKNYSISTRQSLDSWTGVQLDLGLVEAAIDHICRNEGDGAILVFLTGWDEISKLLEKIKANAFLGNSSKFLVLPLHGSMPTVNQREIFDRPPSNMRQETYFWLLSFLCFAYLFKHNELVRCKPNMLSKGTTQ >DRNTG_03484.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:831471:834932:-1 gene:DRNTG_03484 transcript:DRNTG_03484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIIVDGVDGNEALNGSETSHMKENLSLPKSSQSPQSEGIDLPVEGCVNTSIEQLYDNVCELESSGDGSPSQDSYGSDGDESRIDSELRHLVGGDMKVGEIVEDEEEVSTNVVVVDAGNGSSSLKSEKGNKIQSPSKSSISSKKSKRANRSQLESDSSTRASPKSKVSHGKADSIVSKRGINHVKKQENSSPGEDKLQNGNENSLEEGLENKDLGSYWLKHARELISSDNPRRALKYALKAVKSFEKRTAGKPSLDLVMSLHVVAAIHCSLGQYGQAIPVLEQSIDIPVLEQGQDHALAKFSGCMQLGDTFAMLGQVESSLQCYSNGLDIQKQTLGDMDPRVGETCRYLAEAHVQALQFDEAEKLCQMALDIHKENGAPSSLDETADRRLMGLICDTKGDHEAALEHLVLASMAMASNGQEIDVASVDCSIGDAYLALARYDEAIFAYQKAATIFKSIKGESHPTVASVFVRLADLYLKIGKLKESRSYCENALKIYGRPLPGTTPEEIASGLTDVSALYESMNEHEEALKLLKRALKIYNNAPGQQNTIAGIEAQMGVLYYIRGNYTESYASFKNAVTKLRACGEKKSAFFGIALNQMGLACVQRYAINEAADLFEEARVILEHEYGPYHPDTLGVYSNLAGTYDAMGRLDEAIEILEYVVGIREEKLGTANPDVDDEKRRLGELLKEAGRVRNRKARSLEALLDNNPHIIKKDAITASFLS >DRNTG_09885.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:181689:184673:-1 gene:DRNTG_09885 transcript:DRNTG_09885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAYLLGVGPLPPEMPQSLLVLADARGQDEKPRHHHPHPQLSLKSSSQLRESCPRGPCPKLVS >DRNTG_16070.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25656510:25657267:1 gene:DRNTG_16070 transcript:DRNTG_16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLLGKAAETPAASINGNRTHDGAGVGGNDANFDTNMVIILAALLCALICALGLNSIVRCALRCGRRIAFETPEETAARLAGTGLKKRALRQIPVAVYGPEAGILATDCPICLGEFADGEKVRVLPKCNHGFHVRCIDTWLSSHSSCPTCRHSLLDRTAVEGPPETGGDAPVPVTSPEDYVVVDVRSSS >DRNTG_04220.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20293399:20293815:-1 gene:DRNTG_04220 transcript:DRNTG_04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDLNFPSTPRVSPEAKDLITKLLVKDSSKRLSLKKILEHPWIVKNADLEQHDSK >DRNTG_20169.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4831698:4832579:1 gene:DRNTG_20169 transcript:DRNTG_20169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLSSAPTSSSFTPFNNSNLPICSAFIPSPLEPSTQLIPASLTYTHASLLRPTVIAIFRASKSF >DRNTG_08191.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6774463:6779786:1 gene:DRNTG_08191 transcript:DRNTG_08191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKIQAFWNHPAGPKTIHFWAPTFKWGISIANVADFTKPPEKLSYPQQIAVTFTGLIWSRYSTVITPKNWNLFSVNVAMAGTGLYQLYRKVSHDYSSEAQELPANE >DRNTG_08191.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6774463:6779786:1 gene:DRNTG_08191 transcript:DRNTG_08191.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKIQAFWNHPAGPKTIHFWAPTFKWGISIANVADFTKPPEKLSYPQQIAVTFTGLIWSRYSTVITPKNWNLFSVNVAMAGTGLYQLYRKVSHDYSSEAQELPANE >DRNTG_19637.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27849822:27850556:-1 gene:DRNTG_19637 transcript:DRNTG_19637.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYLNNLFPVNLYSSLMDLLLFATFGGFHSSPSSSGPSLYLPPRWTPKDNKLFELALVRFPYGMPNRWRIIASLLPGKSPQDVLDHHQRLLMDVAVIEAGIVELPNYIDEDIDEVDDDDTNDKIRNNDGIDTEDSQNTHQHKSKMQKKEESKIGDFYEALKSMEKGIGEQLLDVK >DRNTG_02362.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12864599:12866788:-1 gene:DRNTG_02362 transcript:DRNTG_02362.1 gene_biotype:protein_coding transcript_biotype:protein_coding GPIPSLLSNRFNGSSSTGNRSLYGFSLPPLLSLDLSFTTICICLPVAKNEEENLTNGTGEEAS >DRNTG_09502.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21662444:21667055:1 gene:DRNTG_09502 transcript:DRNTG_09502.9 gene_biotype:protein_coding transcript_biotype:protein_coding MATMALSSPSLAGKAVPFARQSVGGNGRVSMRKTGGRPAASGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWACQVILMGAVEGYRIAGGPLGEITDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >DRNTG_09502.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21662325:21667355:1 gene:DRNTG_09502 transcript:DRNTG_09502.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATMALSSPSLAGKAVPFARQSVGGNGRVSMRKTGGRPAASGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWACQVILMGAVEGYRIAGGPLGEITDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >DRNTG_09502.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21662325:21665452:1 gene:DRNTG_09502 transcript:DRNTG_09502.11 gene_biotype:protein_coding transcript_biotype:protein_coding MATMALSSPSLAGKAVPFARQSVGGNGRVSMRKTGGRPAASGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWACQVILMGAVEGYRIAGGPLGEITDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >DRNTG_09502.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21662444:21670848:1 gene:DRNTG_09502 transcript:DRNTG_09502.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATMALSSPSLAGKAVPFARQSVGGNGRVSMRKTGGRPAASGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWACQVILMGAVEGYRIAGGPLGEITDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >DRNTG_09502.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21662444:21667355:1 gene:DRNTG_09502 transcript:DRNTG_09502.8 gene_biotype:protein_coding transcript_biotype:protein_coding MATMALSSPSLAGKAVPFARQSVGGNGRVSMRKTGGRPAASGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWACQVILMGAVEGYRIAGGPLGEITDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >DRNTG_09502.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21662444:21670848:1 gene:DRNTG_09502 transcript:DRNTG_09502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMALSSPSLAGKAVPFARQSVGGNGRVSMRKTGGRPAASGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWACQVILMGAVEGYRIAGGPLGEITDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >DRNTG_09502.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21662325:21669720:1 gene:DRNTG_09502 transcript:DRNTG_09502.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATMALSSPSLAGKAVPFARQSVGGNGRVSMRKTGGRPAASGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWACQVILMGAVEGYRIAGGPLGEITDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >DRNTG_09502.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21662325:21666752:1 gene:DRNTG_09502 transcript:DRNTG_09502.10 gene_biotype:protein_coding transcript_biotype:protein_coding MATMALSSPSLAGKAVPFARQSVGGNGRVSMRKTGGRPAASGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWACQVILMGAVEGYRIAGGPLGEITDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >DRNTG_09502.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21662444:21665452:1 gene:DRNTG_09502 transcript:DRNTG_09502.12 gene_biotype:protein_coding transcript_biotype:protein_coding MATMALSSPSLAGKAVPFARQSVGGNGRVSMRKTGGRPAASGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWACQVILMGAVEGYRIAGGPLGEITDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >DRNTG_09502.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21662444:21670003:1 gene:DRNTG_09502 transcript:DRNTG_09502.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATMALSSPSLAGKAVPFARQSVGGNGRVSMRKTGGRPAASGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWACQVILMGAVEGYRIAGGPLGEITDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >DRNTG_00772.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:12839420:12841920:-1 gene:DRNTG_00772 transcript:DRNTG_00772.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFKSEKLYHSTVAVTIKPGRSSSTCHQPRGPP >DRNTG_01290.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1955717:1956009:1 gene:DRNTG_01290 transcript:DRNTG_01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILDLGPGFVHQRKPSLLHSKPLIKPTFPSLVLSSTIGITITITATTSDDVAALGFFLIGASSAVFAILVVYVEM >DRNTG_17146.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17115859:17117622:-1 gene:DRNTG_17146 transcript:DRNTG_17146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVFNVDKLSFEIFSILESKFLFSPCAGAGSGNGKVRILSIDASGDAILAAACLARLEASLRKRSSNPSALLSDFFDLASGSGPGGFLAALLFTPRPDGSPPISTDDALRLLLKTRGRISRSPGILRRPRRIFRRLFGNATLRDAVKPVLIPCYDLRTRAPFMFSRADAVEAAEYDFRVRHVCSATCNAKRVESVDGTTKIEAVGGGVAMGNPAAAAVTHVLNNGEEFPSASGVDDLLLLSFGGGEVVAAPVTTELVPIAGKGHADMVDQALGMAFAYRGSRNYVRIQANGLSGARMNKTKKKSVSRVTDRRKLMEMAEEVLAQKNVESMLFRGKRISDQTNAEKLEWFCEELIKEHERRISSNNTETPPVVLKQAMTPTTTLSATTSRTSSIITTISTSSITSTSSP >DRNTG_23726.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:814236:817073:1 gene:DRNTG_23726 transcript:DRNTG_23726.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSLTMMDSMAKVVTDEAFLQKAEYLSDEMMMIISDLETHCSNLSLAEDKGIGVIEKRLDVLQEKIVAWDSDQSMIWDRDPEEASEYLTVVDEVRQVVESLGSLHAKVRDDDCNELVRRAHSSLQMAMARLEEEFVHLLVQYQQPVEPDHVSFRSTEDDPVDDLSCSSFDEEPIVGKIRSEISLHSEEFVIDLVHPTAVFDLKCIARMMLMSGYDKECCQAYINVRKEALDECLLFLRIEKWSIEEVLQMDWSLLNSKIKRWNRAMKVFIRVYLNSERRLCDLVLGDHSLSGRDSCFVETTKGSIFQMLNFGEAVSIGSPKPEKLFRILDMYEGLSHLIPDVESLFSEELGSSILTECQEVLSRLGECIRLILTEFKNAIRSNTSTNAFAGGGIHPLTKYVMNYIKALADYSETLDLLLEDQDDNDLSESSKAILGSLEKSTPVVHYLLSITSIVESNLEGRSMLYRDGALQHIFLMNNIFYMVQKVKDSELQKFLGEEWIKEHSKTYRHHSISYERTSWNPVLLFLKDEGICNPGSNSPSKTVLKERFKNFNLVFEEVYKSQTSWVIPNSQLRVELRISISLKVLQAYRTFMGRYSSHLDSVRQKDKYIKYSPDDLEEFLLDLFEGSAKSLHSFRRR >DRNTG_23726.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:814236:817073:1 gene:DRNTG_23726 transcript:DRNTG_23726.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSFDLKAEYLSDEMMMIISDLETHCSNLSLAEDKGIGVIEKRLDVLQEKIVAWDSDQSMIWDRDPEEASEYLTVVDEVRQVVESLGSLHAKVRDDDCNELVRRAHSSLQMAMARLEEEFVHLLVQYQQPVEPDHVSFRSTEDDPVDDLSCSSFDEEPIVGKIRSEISLHSEEFVIDLVHPTAVFDLKCIARMMLMSGYDKECCQAYINVRKEALDECLLFLRIEKWSIEEVLQMDWSLLNSKIKRWNRAMKVFIRVYLNSERRLCDLVLGDHSLSGRDSCFVETTKGSIFQMLNFGEAVSIGSPKPEKLFRILDMYEGLSHLIPDVESLFSEELGSSILTECQEVLSRLGECIRLILTEFKNAIRSNTSTNAFAGGGIHPLTKYVMNYIKALADYSETLDLLLEDQDDNDLSESSKAILGSLEKSTPVVHYLLSITSIVESNLEGRSMLYRDGALQHIFLMNNIFYMVQKVKDSELQKFLGEEWIKEHSKTYRHHSISYERTSWNPVLLFLKDEGICNPGSNSPSKTVLKERFKNFNLVFEEVYKSQTSWVIPNSQLRVELRISISLKVLQAYRTFMGRYSSHLDSVRQKDKYIKYSPDDLEEFLLDLFEGSAKSLHSFRRR >DRNTG_23726.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:814236:817524:1 gene:DRNTG_23726 transcript:DRNTG_23726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSFDLKAEYLSDEMMMIISDLETHCSNLSLAEDKGIGVIEKRLDVLQEKIVAWDSDQSMIWDRDPEEASEYLTVVDEVRQVVESLGSLHAKVRDDDCNELVRRAHSSLQMAMARLEEEFVHLLVQYQQPVEPDHVSFRSTEDDPVDDLSCSSFDEEPIVGKIRSEISLHSEEFVIDLVHPTAVFDLKCIARMMLMSGYDKECCQAYINVRKEALDECLLFLRIEKWSIEEVLQMDWSLLNSKIKRWNRAMKVFIRVYLNSERRLCDLVLGDHSLSGRDSCFVETTKGSIFQMLNFGEAVSIGSPKPEKLFRILDMYEGLSHLIPDVESLFSEELGSSILTECQEVLSRLGECIRLILTEFKNAIRSNTSTNAFAGGGIHPLTKYVMNYIKALADYSETLDLLLEDQDDNDLSESSKAILGSLEKSTPVVHYLLSITSIVESNLEGRSMLYRDGALQHIFLMNNIFYMVQKVKDSELQKFLGEEWIKEHSKTYRHHSISYERTSWNPVLLFLKDEGICNPGSNSPSKTVLKERFKNFNLVFEEVYKSQTSWVIPNSQLRVELRISISLKVLQAYRTFMGRYSSHLDSVRQKDKYIKYSPDDLEEFLLDLFEGSAKSLHSFRRRIISIHNKDQ >DRNTG_12134.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11500007:11503063:1 gene:DRNTG_12134 transcript:DRNTG_12134.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMAIEWLKAFKSIEIIINNFDELEDEVLNTMPAMLPPLCTVGPLSLLCSQFPITKVSSIGSSFLKEDEDCLEWLAISKHNVLWIIRLNLVKDEVAVLPEEWLDEIKGRGLLASWCQQEIMFSSIMAAGFLETCAAGDKFHQDFSGF >DRNTG_04429.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000210.1:162138:162575:1 gene:DRNTG_04429 transcript:DRNTG_04429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELAPLPLSLQLDLGPLRTVQEASGHASLVSIWCYDSRGTLSCSATKATLDSDIHGDKAQNCFARAWLGHL >DRNTG_06301.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20872109:20872985:-1 gene:DRNTG_06301 transcript:DRNTG_06301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRLLFISLSHSNTIPFSSNKLLEILTRFSLEPSSVITETMKKTLVMCEEMTVQGESKLYATSLESIVEFNMMSLGMRGVKPFSTMVKEKNGDAKMKNSHSVTTGGLCLCPLCLENASHKGMPVVADVKAGAPLFGRDSFSVTQVYDD >DRNTG_15569.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:553798:556726:1 gene:DRNTG_15569 transcript:DRNTG_15569.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKSPKVIVAGLEQRFCQQCSRFHQLPEFDQGKRSCRRRLAGHNERRRKPPPGSISRNGRLSSSGHEDSGRFRSFMMDFTYAKQAGNDRDAWLANRSGNRVVGNHQWQGGLNALTSGVAAHGFGPSMRGSPNTNSAMFSVPPENSSSFRESLAGISDSSCALSLLSTHQPRSSTTTQNQVPTVIPANASFDMSHVVQQPGMPSNYMANSWEFKGPPEASGTSEAMQHPIEFSHISQPGNQRFSGELELAFQGSKLGMNLDPALAFADDATHWSL >DRNTG_15569.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:552790:556842:1 gene:DRNTG_15569 transcript:DRNTG_15569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKSPKVIVAGLEQRFCQQCSRFHQLPEFDQGKRSCRRRLAGHNERRRKPPPGSISRNGRLSSSGHEDSGRFRSFMMDFTYAKQAGNDRDAWLANRSGNRVVGNHQWQGGLNALTSGVAAHGFGPSMRGSPNTNSAMFSVPPENSSSFRESLAGISDSSCALSLLSTHQPRSSTTTQNQVPTVIPANASFDMSHVVQQPGMPSNYMANSWEFKGPPEASGTSEAMQHPIEFSHISQPGNQRFSGELELAFQGSKLGMNLDPALAFADDATHWSL >DRNTG_15569.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:552790:556726:1 gene:DRNTG_15569 transcript:DRNTG_15569.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKSPKVIVAGLEQRFCQQCSRFHQLPEFDQGKRSCRRRLAGHNERRRKPPPGSISRNGRLSSSGHEDSGRFRSFMMDFTYAKQAGNDRDAWLANRSGNRVVGNHQWQGGLNALTSGVAAHGFGPSMRGSPNTNSAMFSVPPENSSSFRESLAGISDSSCALSLLSTHQPRSSTTTQNQVPTVIPANASFDMSHVVQQPGMPSNYMANSWEFKGPPEASGTSEAMQHPIEFSHISQPGNQRFSGELELAFQGSKLGMNLDPALAFADDATHWSL >DRNTG_15569.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:553798:556842:1 gene:DRNTG_15569 transcript:DRNTG_15569.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKSPKVIVAGLEQRFCQQCSRFHQLPEFDQGKRSCRRRLAGHNERRRKPPPGSISRNGRLSSSGHEDSGRFRSFMMDFTYAKQAGNDRDAWLANRSGNRVVGNHQWQGGLNALTSGVAAHGFGPSMRGSPNTNSAMFSVPPENSSSFRESLAGISDSSCALSLLSTHQPRSSTTTQNQVPTVIPANASFDMSHVVQQPGMPSNYMANSWEFKGPPEASGTSEAMQHPIEFSHISQPGNQRFSGELELAFQGSKLGMNLDPALAFADDATHWSL >DRNTG_10011.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3122646:3124506:-1 gene:DRNTG_10011 transcript:DRNTG_10011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKLMQRLRQNNQSTRVELLRSNREPRLLKLFLKWTVVVVCNFLESHNRVKAEGKVKLHHLVEWKVKLHHIYLLY >DRNTG_06021.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000275.1:20503:21173:1 gene:DRNTG_06021 transcript:DRNTG_06021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGNSPCASCKLLRRRCAKDCIFAPFFPSGDPQKFAIVHKIFGASNVSKMLQELPINQRADAVSSLVYEANARMRDPVYGCVGAISYLQNQVSQLQMQLAVAQAEILCMQMQQETSLINQHVLESNSNKSFLSSINPQLIMNFSTSTNLVEEPLKQESLWT >DRNTG_28292.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14722107:14726277:-1 gene:DRNTG_28292 transcript:DRNTG_28292.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATEDNFIHILSKNTVHPSPTSSQEDKHGLNSNIIHLTPWDLRMLSVHYIQKGLLFTKPQPYNPHQTISHLLSSFSLTLNHFFPLAGRLKTIKHNTSSPSLTIFLDCNNHGADFIHASAPQVKIADIVEPLYTPTFVYSLFPLNGVLNYDGHTSPLLAVQVTELADGLFIGASLNHSVADGTSFWHFINSWSDICRNYNKNGENHILVPPVLDRWFLDSHPLPIRLPFGDSVEFIRRTELPLMNECFVQFSRKSLAELKAKANSEMGSDKISTLQALMAHVWRSVTRARRLEAERETTYVVLVGNRNRVSPPIPEAYLGNTVFWISAQAQAGDIIERGLGWVASLLNKAVASQSEAKVHQMLTEWTIEPSFVYTDEFKPTRLATGSSPRFNVYGNDFGWGPPITVRSGLGNKIDGKVTVYPSPEVGGMALEICLSSLVLGSLLKDEEFVEVVNQSFG >DRNTG_28292.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14722056:14726204:-1 gene:DRNTG_28292 transcript:DRNTG_28292.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVHYIQKGLLFTKPQPYNPHQTISHLLSSFSLTLNHFFPLAGRLKTIKHNTSSPSLTIFLDCNNHGADFIHASAPQVKIADIVEPLYTPTFVYSLFPLNGVLNYDGHTSPLLAVQVTELADGLFIGASLNHSVADGTSFWHFINSWSDICRNYNKNGENHILVPPVLDRWFLDSHPLPIRLPFGDSVEFIRRTELPLMNECFVQFSRKSLAELKAKANSEMGSDKISTLQALMAHVWRSVTRARRLEAERETTYVVLVGNRNRVSPPIPEAYLGNTVFWISAQAQAGDIIERGLGWVASLLNKAVASQSEAKVHQMLTEWTIEPSFVYTDEFKPTRLATGSSPRFNVYGNDFGWGPPITVRSGLGNKIDGKVTVYPSPEVGGMALEICLSSLVLGSLLKDEEFVEVVNQSFG >DRNTG_28292.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14722107:14726204:-1 gene:DRNTG_28292 transcript:DRNTG_28292.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVHYIQKGLLFTKPQPYNPHQTISHLLSSFSLTLNHFFPLAGRLKTIKHNTSSPSLTIFLDCNNHGADFIHASAPQVKIADIVEPLYTPTFVYSLFPLNGVLNYDGHTSPLLAVQVTELADGLFIGASLNHSVADGTSFWHFINSWSDICRNYNKNGENHILVPPVLDRWFLDSHPLPIRLPFGDSVEFIRRTELPLMNECFVQFSRKSLAELKAKANSEMGSDKISTLQALMAHVWRSVTRARRLEAERETTYVVLVGNRNRVSPPIPEAYLGNTVFWISAQAQAGDIIERGLGWVASLLNKAVASQSEAKVHQMLTEWTIEPSFVYTDEFKPTRLATGSSPRFNVYGNDFGWGPPITVRSGLGNKIDGKVTVYPSPEVGGMALEICLSSLVLGSLLKDEEFVEVVNQSFG >DRNTG_28292.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14722056:14726277:-1 gene:DRNTG_28292 transcript:DRNTG_28292.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATEDNFIHILSKNTVHPSPTSSQEDKHGLNSNIIHLTPWDLRMLSVHYIQKGLLFTKPQPYNPHQTISHLLSSFSLTLNHFFPLAGRLKTIKHNTSSPSLTIFLDCNNHGADFIHASAPQVKIADIVEPLYTPTFVYSLFPLNGVLNYDGHTSPLLAVQVTELADGLFIGASLNHSVADGTSFWHFINSWSDICRNYNKNGENHILVPPVLDRWFLDSHPLPIRLPFGDSVEFIRRTELPLMNECFVQFSRKSLAELKAKANSEMGSDKISTLQALMAHVWRSVTRARRLEAERETTYVVLVGNRNRVSPPIPEAYLGNTVFWISAQAQAGDIIERGLGWVASLLNKAVASQSEAKVHQMLTEWTIEPSFVYTDEFKPTRLATGSSPRFNVYGNDFGWGPPITVRSGLGNKIDGKVTVYPSPEVGGMALEICLSSLVLGSLLKDEEFVEVVNQSFG >DRNTG_28292.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14721941:14726204:-1 gene:DRNTG_28292 transcript:DRNTG_28292.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVHYIQKGLLFTKPQPYNPHQTISHLLSSFSLTLNHFFPLAGRLKTIKHNTSSPSLTIFLDCNNHGADFIHASAPQVKIADIVEPLYTPTFVYSLFPLNGVLNYDGHTSPLLAVQVTELADGLFIGASLNHSVADGTSFWHFINSWSDICRNYNKNGENHILVPPVLDRWFLDSHPLPIRLPFGDSVEFIRRTELPLMNECFVQFSRKSLAELKAKANSEMGSDKISTLQALMAHVWRSVTRARRLEAERETTYVVLVGNRNRVSPPIPEAYLGNTVFWISAQAQAGDIIERGLGWVASLLNKAVASQSEAKVHQMLTEWTIEPSFVYTDEFKPTRLATGSSPRFNVYGNDFGWGPPITVRSGLGNKIDGKVTVYPSPEVGGMALEICLSSLVLGSLLKDEEFVEVVNQSFG >DRNTG_28292.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14721941:14722893:-1 gene:DRNTG_28292 transcript:DRNTG_28292.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEWTIEPSFVYTDEFKPTRLATGSSPRFNVYGNDFGWGPPITVRSGLGNKIDGKVTVYPSPEVGGMALEICLSSLVLGSLLKDEEFVEVVNQSFG >DRNTG_28292.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14722107:14722893:-1 gene:DRNTG_28292 transcript:DRNTG_28292.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEWTIEPSFVYTDEFKPTRLATGSSPRFNVYGNDFGWGPPITVRSGLGNKIDGKVTVYPSPEVGGMALEICLSSLVLGSLLKDEEFVEVVNQSFG >DRNTG_28292.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14721941:14726277:-1 gene:DRNTG_28292 transcript:DRNTG_28292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEDNFIHILSKNTVHPSPTSSQEDKHGLNSNIIHLTPWDLRMLSVHYIQKGLLFTKPQPYNPHQTISHLLSSFSLTLNHFFPLAGRLKTIKHNTSSPSLTIFLDCNNHGADFIHASAPQVKIADIVEPLYTPTFVYSLFPLNGVLNYDGHTSPLLAVQVTELADGLFIGASLNHSVADGTSFWHFINSWSDICRNYNKNGENHILVPPVLDRWFLDSHPLPIRLPFGDSVEFIRRTELPLMNECFVQFSRKSLAELKAKANSEMGSDKISTLQALMAHVWRSVTRARRLEAERETTYVVLVGNRNRVSPPIPEAYLGNTVFWISAQAQAGDIIERGLGWVASLLNKAVASQSEAKVHQMLTEWTIEPSFVYTDEFKPTRLATGSSPRFNVYGNDFGWGPPITVRSGLGNKIDGKVTVYPSPEVGGMALEICLSSLVLGSLLKDEEFVEVVNQSFG >DRNTG_28292.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14725217:14726204:-1 gene:DRNTG_28292 transcript:DRNTG_28292.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVHYIQKGLLFTKPQPYNPHQTISHLLSSFSLTLNHFFPLAGRLKTIKHNTSSPSLTIFLDCNNHGADFIHASAPQVKIADIVEPLYTPTFVYSLFPLNGVLNYDGHTSPLLAVQVTELADGLFIGASLNHSVADGTSFWHFINSWSDICRNYNKNGENHILVPPVLDRWFLDSHPLPIRLPFGDSVEFIRRTELPLMNECFVQFSRKSLAELKAKANSEMGSDKISTLQALMAHVWRSVTRARRLEAERETTYVVLVGNRNRVSPPIPEAYLGNTVFWISAQAQA >DRNTG_02093.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10480831:10482043:-1 gene:DRNTG_02093 transcript:DRNTG_02093.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQTQRILRKRYIKNIVLLRSALFPLFRRHTLLFSYLIYPSLSLSPTPFPPSHSMAAISSVVSTVRARSFAFSSTVRFPHCPPSVCFPRRPFGRTLTVSAISVGDKLPDATLSYLDSAGEVQTLTVSKLTKGKKAILFAVPGAFTPTCSQKHLPGFVEKAGELRAKGVDTIACISVNDAFVMKAWKENLNIPDDAQVLLLSDGNLEFTKALGVELDLTDKPVGLGVRSRRYALLADDGVVKVLNLEEGGAFTISSADDMLSAL >DRNTG_02093.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10480831:10482184:-1 gene:DRNTG_02093 transcript:DRNTG_02093.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQTQRILRKRYIKNIVLLRSALFPLFRRHTLLFSYLIYPSLSLSPTPFPPSHSMAAISSVVSTVRARSFAFSSTVRFPHCPPSVCFPRRPFGRTLTVSAISVGDKLPDATLSYLDSAGEVQTLTVSKLTKGKKAILFAVPGAFTPTCSQKHLPGFVEKAGELRAKGVDTIACISVNDAFVMKAWKENLNIPDDAQVLLLSDGNLEFTKALGVELDLTDKPVGLGVRSRRYALLADDGVVKVLNLEEGGAFTISSADDMLSAL >DRNTG_02093.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10480831:10481964:-1 gene:DRNTG_02093 transcript:DRNTG_02093.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSVVSTVRARSFAFSSTVRFPHCPPSVCFPRRPFGRTLTVSAISVGDKLPDATLSYLDSAGEVQTLTVSKLTKGKKAILFAVPGAFTPTCSQKHLPGFVEKAGELRAKGVDTIACISVNDAFVMKAWKENLNIPDDAQVLLLSDGNLEFTKALGVELDLTDKPVGLGVRSRRYALLADDGVVKVLNLEEGGAFTISSADDMLSAL >DRNTG_02093.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10480951:10482184:-1 gene:DRNTG_02093 transcript:DRNTG_02093.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQTQRILRKRYIKNIVLLRSALFPLFRRHTLLFSYLIYPSLSLSPTPFPPSHSMAAISSVVSTVRARSFAFSSTVRFPHCPPSVCFPRRPFGRTLTVSAISVGDKLPDATLSYLDSAGEVQTLTVSKLTKGKKAILFAVPGAFTPTCSQKHLPGFVEKAGELRAKGVDTIACISVNDAFVMKAWKENLNIPDDAQVLLLSDGNLEFTKALGVELDLTDKPVGLGVRSRRYALLADDGVVKVLNLEEGGAFTISSADDMLSAL >DRNTG_02093.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10480504:10482184:-1 gene:DRNTG_02093 transcript:DRNTG_02093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQTQRILRKRYIKNIVLLRSALFPLFRRHTLLFSYLIYPSLSLSPTPFPPSHSMAAISSVVSTVRARSFAFSSTVRFPHCPPSVCFPRRPFGRTLTVSAISVGDKLPDATLSYLDSAGEVQTLTVSKLTKGKKAILFAVPGAFTPTCSQKHLPGFVEKAGELRAKGVDTIACISVNDAFVMKAWKENLNIPDDAQVLLLSDGNLEFTKALGVELDLTDKPVGLGVRSRRYALLADDGVVKVLNLEEGGAFTISSADDMLSAL >DRNTG_02093.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10480951:10481964:-1 gene:DRNTG_02093 transcript:DRNTG_02093.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSVVSTVRARSFAFSSTVRFPHCPPSVCFPRRPFGRTLTVSAISVGDKLPDATLSYLDSAGEVQTLTVSKLTKGKKAILFAVPGAFTPTCSQKHLPGFVEKAGELRAKGVDTIACISVNDAFVMKAWKENLNIPDDAQVLLLSDGNLEFTKALGVELDLTDKPVGLGVRSRRYALLADDGVVKVLNLEEGGAFTISSADDMLSAL >DRNTG_02093.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10480951:10482043:-1 gene:DRNTG_02093 transcript:DRNTG_02093.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQTQRILRKRYIKNIVLLRSALFPLFRRHTLLFSYLIYPSLSLSPTPFPPSHSMAAISSVVSTVRARSFAFSSTVRFPHCPPSVCFPRRPFGRTLTVSAISVGDKLPDATLSYLDSAGEVQTLTVSKLTKGKKAILFAVPGAFTPTCSQKHLPGFVEKAGELRAKGVDTIACISVNDAFVMKAWKENLNIPDDAQVLLLSDGNLEFTKALGVELDLTDKPVGLGVRSRRYALLADDGVVKVLNLEEGGAFTISSADDMLSAL >DRNTG_02093.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10480504:10482043:-1 gene:DRNTG_02093 transcript:DRNTG_02093.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQTQRILRKRYIKNIVLLRSALFPLFRRHTLLFSYLIYPSLSLSPTPFPPSHSMAAISSVVSTVRARSFAFSSTVRFPHCPPSVCFPRRPFGRTLTVSAISVGDKLPDATLSYLDSAGEVQTLTVSKLTKGKKAILFAVPGAFTPTCSQKHLPGFVEKAGELRAKGVDTIACISVNDAFVMKAWKENLNIPDDAQVLLLSDGNLEFTKALGVELDLTDKPVGLGVRSRRYALLADDGVVKVLNLEEGGAFTISSADDMLSAL >DRNTG_02093.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10480504:10481964:-1 gene:DRNTG_02093 transcript:DRNTG_02093.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSVVSTVRARSFAFSSTVRFPHCPPSVCFPRRPFGRTLTVSAISVGDKLPDATLSYLDSAGEVQTLTVSKLTKGKKAILFAVPGAFTPTCSQKHLPGFVEKAGELRAKGVDTIACISVNDAFVMKAWKENLNIPDDAQVLLLSDGNLEFTKALGVELDLTDKPVGLGVRSRRYALLADDGVVKVLNLEEGGAFTISSADDMLSAL >DRNTG_05143.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30679906:30682551:1 gene:DRNTG_05143 transcript:DRNTG_05143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLSQKLLPFRLVNRRGFCSGHPEKLLLVEVDLSTAGNEKSGSDVETFIRQQLEEAMQLMLILRATPDWLPFRPGFSFWVPPLSDRSLSKLREFAGMASDSMIMEAKLSFFIGRGWPSSSYFIDGGPPHQVNENSEIGTTESDDNEEEA >DRNTG_05143.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30679906:30682214:1 gene:DRNTG_05143 transcript:DRNTG_05143.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLSQKLLPFRLVNRRGFCSGHPEKLLLVEVDLSTAGNEKSGSDVETFIRQQLEEAMQLMLILRATPDWLPFRPGFSFWVPPLSDRSLSKLREFAGMASDSMIMEAKLSFFIGRGWPSSSYFIDGGPPHQVNENSEIGTTESDDNEEEA >DRNTG_33044.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26979207:26981596:1 gene:DRNTG_33044 transcript:DRNTG_33044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSGSDSGSGSGCGEGGDIGWEKRPGGMLVQTGRSDYVSPLPRLIRVRVSYGAARYDVSVASQATFGELKKLLTAETGLQTAEQRLLYKGKERGNSEFLDMCGLKDRSKLVLMEDPASLEKRYIEMRRNAKMESTRRAISAVSLEVDKLSDQVTTIEKSISSGNKVPEVQITTLIELLMRQAVKLDNMTTEGDTASQKNIQAKRVQKCVETLDVLKITNSRVKPVVVTTKWETFEPQPTTQWEFFE >DRNTG_33044.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26979207:26981596:1 gene:DRNTG_33044 transcript:DRNTG_33044.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLKDRSKLVLMEDPASLEKRYIEMRRNAKMESTRRAISAVSLEVDKLSDQVTTIEKSISSGNKVPEVQITTLIELLMRQAVKLDNMTTEGDTASQKNIQVYMISV >DRNTG_30245.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:217383:231805:-1 gene:DRNTG_30245 transcript:DRNTG_30245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITPQLREFQALSSLHDIPILPTIIDPVSCSSAYSDVKKVDLSKLPQSLQNSLRSSYNDSQLQAVRVAIRAQDSKRAYELSLVQGPPGTGKTKAIIGIVSASLAMHEAQKASASRMFSDSSISTAAAGNSLRTRLSQSSAIARAWQDAAFAKQMIKDAEREPSRSAARPAKGRVLICAQSNAAVDELVSRISEGLYGNDGKIYKPYMVRVGNAKTVHPNSMPFFIDTLVEQRLAEDTMNQNAKSDMGMASSSSLRAELEKVMDCIRLYESKRAKLNDSDTHMQVPSDNASKENDVLEISDSAIGAKLNILYVQKKTICGQLAAAQARERKFSEESRSLKRKIRKFILQEAEIVVTTLSGCGGDLYEVCSESASSNKFGSFSEQTLFDVVVIDEAAQALEPATLIPLQLLKSNRTRCIMVGDPKQLPATVLSNVASKFLYECSMFERLQRAGHPVIMLTEQYRMHPEICYFPSLHFYDNKLLNGSLMVSKSAPFHERTNLGPYMFFDVTDGREHHGKSSGSLSVYNEPEAEAAVEILKVLRRRYPFEFSSLRIGVITPYRSQLSLLRSRFSNAFGPKIVSEMELNTVDGFQGREVDILVLSTVRASQTSAKPSTVSSSGIGFVADVRRMNVALTRARMSLWIFGNAKKLETNLHWAALVKNAKERNLFVSVSRPYKSTFDKDFPSSRETSRSESTVCRLRHLEDHKKAESTCVKDEVSQRSEADVNVNTHGPALSHLKGKHKTCRRKLCKGVLEQDPTSPIDVGSSSARKLGTDCEHEGKLSSKKKDKSANADDSSRRHSLCKITGAETILVDKSLEAESDLKKSIEKARGARRLSADLSSCRSSQSTSTMPSHAKVSQKLEESSNSNIKPKDLVTTRKRQRAAVEELLSSALLPSKKPETSSKLATNRKR >DRNTG_30245.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:217383:228623:-1 gene:DRNTG_30245 transcript:DRNTG_30245.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSITPQLREFQALSSLHDIPILPTIIDPVSCSSAYSDVKKVDLSKLPQSLQNSLRSSYNDSQLQAVRVAIRAQDSKRAYELSLVQGPPGTGKTKAIIGIVSASLAMHEAQKASASRMFSDSSISTAAAGNSLRTRLSQSSAIARAWQDAAFAKQMIKDAEREPSRSAARPAKGRVLICAQSNAAVDELVSRISEGLYGNDGKIYKPYMVRVGNAKTVHPNSMPFFIDTLVEQRLAEDTMNQNAKSDMGMASSSSLRAELEKVMDCIRLYESKRAKLNDSDTHMQVPSDNASKENDVLEISDSAIGAKLNILYVQKKTICGQLAAAQARERKFSEESRSLKRKIRKFILQEAEIVVTTLSGCGGDLYEVCSESASSNKFGSFSEQTLFDVVVIDEAAQALEPATLIPLQLLKSNRTRCIMVGDPKQLPATVLSNVASKFLYECSMFERLQRAGHPVIMLTEQYRMHPEICYFPSLHFYDNKLLNGSLMVSKSAPFHERTNLGPYMFFDVTDGREHHGKSSGSLSVYNEPEAEAAVEILKVLRRRYPFEFSSLRIGVITPYRSQLSLLRSRFSNAFGPKIVSEMELNTVDGFQGREVDILVLSTVRASQTSAKPSTVSSSGIGFVADVRRMNVALTRARMSLWIFGNAKKLETNLHWAALVKNAKERNLFVSVSRPYKSTFDKDFPSSRETSRSESTVCRLRHLEDHKKAESTCVKDEVSQRSEADVNVNTHGPALSHLKGKHKTCRRKLCKGVLEQDPTSPIDVGSSSARKLGTDCEHEGKLSSKKKDKSANADDSSRRHSLCKITGAETILVDKSLEAESDLKKSIEKARGARRLSADLSSCRSSQSTSTMPSHAKVSQKLEESSNSNIKPKDLVTTRKRQRAAVEELLSSALLPSKKPETSSKLATNRKR >DRNTG_30245.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:217383:226627:-1 gene:DRNTG_30245 transcript:DRNTG_30245.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSITPQLREFQALSSLHDIPILPTIIDPVSCSSAYSDVKKVDLSKLPQSLQNSLRSSYNDSQLQAVRVAIRAQDSKRAYELSLVQGPPGTGKTKAIIGIVSASLAMHEAQKASASRMFSDSSISTAAAGNSLRTRLSQSSAIARAWQDAAFAKQMIKDAEREPSRSAARPAKGRVLICAQSNAAVDELVSRISEGLYGNDGKIYKPYMVRVGNAKTVHPNSMPFFIDTLVEQRLAEDTMNQNAKSDMGMASSSSLRAELEKVMDCIRLYESKRAKLNDSDTHMQVPSDNASKENDVLEISDSAIGAKLNILYVQKKTICGQLAAAQARERKFSEESRSLKRKIRKFILQEAEIVVTTLSGCGGDLYEVCSESASSNKFGSFSEQTLFDVVVIDEAAQALEPATLIPLQLLKSNRTRCIMVGDPKQLPATVLSNVASKFLYECSMFERLQRAGHPVIMLTEQYRMHPEICYFPSLHFYDNKLLNGSLMVSKSAPFHERTNLGPYMFFDVTDGREHHGKSSGSLSVYNEPEAEAAVEILKVLRRRYPFEFSSLRIGVITPYRSQLSLLRSRFSNAFGPKIVSEMELNTVDGFQGREVDILVLSTVRASQTSAKPSTVSSSGIGFVADVRRMNVALTRARMSLWIFGNAKKLETNLHWAALVKNAKERNLFVSVSRPYKSTFDKDFPSSRETSRSESTVCRLRHLEDHKKAESTCVKDEVSQRSEADVNVNTHGPALSHLKGKHKTCRRKLCKGVLEQDPTSPIDVGSSSARKLGTDCEHEGKLSSKKKDKSANADDSSRRHSLCKITGAETILVDKSLEAESDLKKSIEKARGARRLSADLSSCRSSQSTSTMPSHAKVSQKLEESSNSNIKPKDLVTTRKRQRAAVEELLSSALLPSKKPETSSKLATNRKR >DRNTG_30245.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:217383:225142:-1 gene:DRNTG_30245 transcript:DRNTG_30245.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSITPQLREFQALSSLHDIPILPTIIDPVSCSSAYSDVKKVDLSKLPQSLQNSLRSSYNDSQLQAVRVAIRAQDSKRAYELSLVQGPPGTGKTKAIIGIVSASLAMHEAQKASASRMFSDSSISTAAAGNSLRTRLSQSSAIARAWQDAAFAKQMIKDAEREPSRSAARPAKGRVLICAQSNAAVDELVSRISEGLYGNDGKIYKPYMVRVGNAKTVHPNSMPFFIDTLVEQRLAEDTMNQNAKSDMGMASSSSLRAELEKVMDCIRLYESKRAKLNDSDTHMQVPSDNASKENDVLEISDSAIGAKLNILYVQKKTICGQLAAAQARERKFSEESRSLKRKIRKFILQEAEIVVTTLSGCGGDLYEVCSESASSNKFGSFSEQTLFDVVVIDEAAQALEPATLIPLQLLKSNRTRCIMVGDPKQLPATVLSNVASKFLYECSMFERLQRAGHPVIMLTEQYRMHPEICYFPSLHFYDNKLLNGSLMVSKSAPFHERTNLGPYMFFDVTDGREHHGKSSGSLSVYNEPEAEAAVEILKVLRRRYPFEFSSLRIGVITPYRSQLSLLRSRFSNAFGPKIVSEMELNTVDGFQGREVDILVLSTVRASQTSAKPSTVSSSGIGFVADVRRMNVALTRARMSLWIFGNAKKLETNLHWAALVKNAKERNLFVSVSRPYKSTFDKDFPSSRETSRSESTVCRLRHLEDHKKAESTCVKDEVSQRSEADVNVNTHGPALSHLKGKHKTCRRKLCKGVLEQDPTSPIDVGSSSARKLGTDCEHEGKLSSKKKDKSANADDSSRRHSLCKITGAETILVDKSLEAESDLKKSIEKARGARRLSADLSSCRSSQSTSTMPSHAKVSQKLEESSNSNIKPKDLVTTRKRQRAAVEELLSSALLPSKKPETSSKLATNRKR >DRNTG_30245.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:217383:225674:-1 gene:DRNTG_30245 transcript:DRNTG_30245.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSITPQLREFQALSSLHDIPILPTIIDPVSCSSAYSDVKKVDLSKLPQSLQNSLRSSYNDSQLQAVRVAIRAQDSKRAYELSLVQGPPGTGKTKAIIGIVSASLAMHEAQKASASRMFSDSSISTAAAGNSLRTRLSQSSAIARAWQDAAFAKQMIKDAEREPSRSAARPAKGRVLICAQSNAAVDELVSRISEGLYGNDGKIYKPYMVRVGNAKTVHPNSMPFFIDTLVEQRLAEDTMNQNAKSDMGMASSSSLRAELEKVMDCIRLYESKRAKLNDSDTHMQVPSDNASKENDVLEISDSAIGAKLNILYVQKKTICGQLAAAQARERKFSEESRSLKRKIRKFILQEAEIVVTTLSGCGGDLYEVCSESASSNKFGSFSEQTLFDVVVIDEAAQALEPATLIPLQLLKSNRTRCIMVGDPKQLPATVLSNVASKFLYECSMFERLQRAGHPVIMLTEQYRMHPEICYFPSLHFYDNKLLNGSLMVSKSAPFHERTNLGPYMFFDVTDGREHHGKSSGSLSVYNEPEAEAAVEILKVLRRRYPFEFSSLRIGVITPYRSQLSLLRSRFSNAFGPKIVSEMELNTVDGFQGREVDILVLSTVRASQTSAKPSTVSSSGIGFVADVRRMNVALTRARMSLWIFGNAKKLETNLHWAALVKNAKERNLFVSVSRPYKSTFDKDFPSSRETSRSESTVCRLRHLEDHKKAESTCVKDEVSQRSEADVNVNTHGPALSHLKGKHKTCRRKLCKGVLEQDPTSPIDVGSSSARKLGTDCEHEGKLSSKKKDKSANADDSSRRHSLCKITGAETILVDKSLEAESDLKKSIEKARGARRLSADLSSCRSSQSTSTMPSHAKVSQKLEESSNSNIKPKDLVTTRKRQRAAVEELLSSALLPSKKPETSSKLATNRKR >DRNTG_30245.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:217383:221734:-1 gene:DRNTG_30245 transcript:DRNTG_30245.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFERLQRAGHPVIMLTEQYRMHPEICYFPSLHFYDNKLLNGSLMVSKSAPFHERTNLGPYMFFDVTDGREHHGKSSGSLSVYNEPEAEAAVEILKVLRRRYPFEFSSLRIGVITPYRSQLSLLRSRFSNAFGPKIVSEMELNTVDGFQGREVDILVLSTVRASQTSAKPSTVSSSGIGFVADVRRMNVALTRARMSLWIFGNAKKLETNLHWAALVKNAKERNLFVSVSRPYKSTFDKDFPSSRETSRSESTVCRLRHLEDHKKAESTCVKDEVSQRSEADVNVNTHGPALSHLKGKHKTCRRKLCKGVLEQDPTSPIDVGSSSARKLGTDCEHEGKLSSKKKDKSANADDSSRRHSLCKITGAETILVDKSLEAESDLKKSIEKARGARRLSADLSSCRSSQSTSTMPSHAKVSQKLEESSNSNIKPKDLVTTRKRQRAAVEELLSSALLPSKKPETSSKLATNRKR >DRNTG_03946.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000187.1:103837:104704:1 gene:DRNTG_03946 transcript:DRNTG_03946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRGPILQVVSLSPSAQAEAQEQATSPLASTGSGALFLTTIKPSILRSLLCFTPLHPQLSPSRRSSLRPFTLLRPQRTQGMEEESNTSEGKRDAPVPLDSPSQPFPT >DRNTG_07819.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:9846955:9847505:1 gene:DRNTG_07819 transcript:DRNTG_07819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDASSVVSVDGFAPVDGDSFGFGESVWMSSTFGNS >DRNTG_33215.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:427027:429757:1 gene:DRNTG_33215 transcript:DRNTG_33215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAHKLEQMGWIPEVILSSDATRTKETLQILQETAIGFSEAEVYFIPSFYSVAAMDGQTAEHLQSAICEYSRDESLTVMCMGHNRGWEEAASMFSGASVELKTCNAALLEAAGKSWEEAFSTAGLGGWKLHGIVKPDSL >DRNTG_15102.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1748704:1757433:-1 gene:DRNTG_15102 transcript:DRNTG_15102.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial pyruvate kinase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32440) UniProtKB/Swiss-Prot;Acc:Q93Z53] MYHSLFCSRRARSSISRSEEDFCSENTVGVNYDDFVNDVEVNDILLVDGGMMSLVVRSKTSDTVKCEVIDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVENKVDFYAVSFVKDAKVVHELKAYLKNCNADIHVIVKIESADAIPNLHAIISASDGAMVARGDLGAELAIEEVPLLQEDIIRRCRSMEKPVIVATNMLESMIDHPTPTRAEVSDIAIAVREGADAIMLSGETAHGKFPLKAVKVMHTVALRTESTLSSGVTPLIPTTDVQALQSFFNQAIYDGEFGQRHMSAMFAAHTTTMANTLGTPIIVFTRTGSMAILLSHNRPFSAIFAFTDQERIKQRLALYHGVLPIYMQFSGDVEETFARAIKRLLDGKFLKQGDFVTLVQSGTHSIWRQESTHHIQVRKVQG >DRNTG_15102.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1748704:1757433:-1 gene:DRNTG_15102 transcript:DRNTG_15102.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial pyruvate kinase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32440) UniProtKB/Swiss-Prot;Acc:Q93Z53] MEKPVIVATNMLESMIDHPTPTRAEVSDIAIAVREGADAIMLSGETAHGKFPLKAVKVMHTVALRTESTLSSGVTPLIPTTDVQALQSFFNQAIYDGEFGQRHMSAMFAAHTTTMANTLGTPIIVFTRTGSMAILLSHNRPFSAIFAFTDQERIKQRLALYHGVLPIYMQFSGDVEETFARAIKRLLDGKFLKQGDFVTLVQSGTHSIWRQESTHHIQVRKVQG >DRNTG_15102.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1748704:1750747:-1 gene:DRNTG_15102 transcript:DRNTG_15102.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial pyruvate kinase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32440) UniProtKB/Swiss-Prot;Acc:Q93Z53] MEKPVIVATNMLESMIDHPTPTRAEVSDIAIAVREGADAIMLSGETAHGKFPLKAVKVMHTVALRTESTLSSGVTPLIPTTDVQALQSFFNQAIYDGEFGQRHMSAMFAAHTTTMANTLGTPIIVFTRTGSMAILLSHNRPFSAIFAFTDQERIKQRLALYHGVLPIYMQFSGDVEETFARAIKRLLDGKFLKQGDFVTLVQSGTHSIWRQESTHHIQVRKVQG >DRNTG_15102.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1750906:1757433:-1 gene:DRNTG_15102 transcript:DRNTG_15102.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial pyruvate kinase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32440) UniProtKB/Swiss-Prot;Acc:Q93Z53] MYHSLFCSRRARSSISRSEEDFCSENTVGVNYDDFVNDVEVNDILLVDGGMMSLVVRSKTSDTVKCEVIDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVENKVDFYAVSFVKDAKVVHELKAYLKNCNADIHVIVKIESADAIPNLHAIISASDGAMVARGDLGAELAIEEVPLLQASEKLYFFGHLELKYFSWFSFLVSSESDVI >DRNTG_10045.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1723758:1729765:1 gene:DRNTG_10045 transcript:DRNTG_10045.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR34A [Source:Projected from Arabidopsis thaliana (AT3G49430) UniProtKB/Swiss-Prot;Acc:A2RVS6] MSNGAWGAPFGSRNVLLVCYMDTQIAVPNMSGRLSHTIYVGNLPLDVRESEVEDLFHKYGRIVEVELKNPPRPPGYCFVEFESSRDAENAIRGRDGYVFDGHRLRVELAHGGRRPSSTTGRASAHGSGGSSKFGISHRSEFRVIVHGLPSSASWQDLKDHMRKAGDVCFAQVFRERDGTIGLVDYTNYDDMKYAIRKLDDTEFKNPWARSFIRVKIYKGNTSRSRSPSKSRSRSRSPRRNRSISIERPLSRSRSVSSRSRSRSASPAKKPRSPSRSRSA >DRNTG_10045.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1723758:1729765:1 gene:DRNTG_10045 transcript:DRNTG_10045.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR34A [Source:Projected from Arabidopsis thaliana (AT3G49430) UniProtKB/Swiss-Prot;Acc:A2RVS6] MSGRLSHTIYVGNLPLDVRESEVEDLFHKYGRIVEVELKNPPRPPGYCFVEFESSRDAENAIRGRDGYVFDGHRLRVELAHGGRRPSSTTGRASAHGSGGSSKFGISHRSEFRVIVHGLPSSASWQDLKDHMRKAGDVCFAQVFRERDGTIGLVDYTNYDDMKYAIRKLDDTEFKNPWARSFIRVKIYKGNTSRSRSPSKSRSRSRSPRRNRSISIERPLSRSRSVSSRSRSRSASPAKKPRSPSRSRSA >DRNTG_10045.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1723758:1729765:1 gene:DRNTG_10045 transcript:DRNTG_10045.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR34A [Source:Projected from Arabidopsis thaliana (AT3G49430) UniProtKB/Swiss-Prot;Acc:A2RVS6] MSGRLSHTIYVGNLPLDVRESEVEDLFHKYGRIVEVELKNPPRPPGYCFVEFESSRDAENAIRGRDGYVFDGHRLRVELAHGGRRPSSTTGRASAHGSGGSSKFGISHRSEFRVIVHGLPSSASWQDLKDHMRKAGDVCFAQVFRERDGTIGLVDYTNYDDMKYAIRKLDDTEFKNPWARSFIRVKIYKGNTSRSRSPSKSRSRSRSPRRNRSISIERPLSRSRSVSSRSRSRSASPAKKPRSPSRSRSA >DRNTG_23191.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001261.1:19506:20594:-1 gene:DRNTG_23191 transcript:DRNTG_23191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDVSLGMRRYVVIITGDHGLLPVFRWELGHYATADTEVLCEGVVEARHPTQARSREEKGKCIQGATGERDTVATKETRVYKVDERVGGRNRFGNDTTASQEPGEGAKRFQRTDPGTFPDLVELCSRPTDAGVTANG >DRNTG_17850.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2807693:2809249:1 gene:DRNTG_17850 transcript:DRNTG_17850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIGRGTCPYKVQYVTANTSTSGILVEDVLYLTTENTSSKVVQAPIVFGFR >DRNTG_17850.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2807693:2809249:1 gene:DRNTG_17850 transcript:DRNTG_17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKISVPSILSSAGLTSNSFSMCLGDNGIGRISFGDKGSSDQGETAFNIEKGQ >DRNTG_17850.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2807693:2809249:1 gene:DRNTG_17850 transcript:DRNTG_17850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKISVPSILSSAGLTSNSFSMCLGDNGIGRISFGDKGSSDQGETAFNIEKGQHPSYNISITGVNIGNNSVDINFSALVDCSTSFTYLADPSYTLLANNFSAQVKEKRQHTLDGKLPSEYCYQISPNATFVRPPHFIGFMTTETSCLTFASSLIRRCWSWAGRTLTVVTLQANEEV >DRNTG_17850.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2807693:2809249:1 gene:DRNTG_17850 transcript:DRNTG_17850.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEKISVPSILSSAGLTSNSFSMCLGDNGIGRISFGDKGSSDQGETAFNIEKGQHPSYNISITGVNIGNNSVDINFSALVDCSTSFTYLADPSYTLLANNFSAQVKEKRQHTLDGKLPSEYCYQIRRCWSWAGRTLTVVTLQANEEV >DRNTG_17850.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2807693:2809249:1 gene:DRNTG_17850 transcript:DRNTG_17850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIGRGTCPYKVQYVTANTSTSGILVEDVLYLTTENTSSKVVQAPIVFGCGQVQIGILLKSAAPNGLFGLGDNGIGRISFGDKGSSDQGETAFNIEKGQ >DRNTG_01685.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23781470:23782111:1 gene:DRNTG_01685 transcript:DRNTG_01685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIFSTETLDLFSAFSSISSRLHSMASPYLLLLLLIPVLPLIFLLVLAFLARPRPAKVPVKGRHVFITGGSSGIGLAMALQAASEGARVSILARNQAKLEEARDTIRLATGKEVTILSADVRDAESVARAIEEAGPIDILIANQGVFIPQELELQDMKEIRFQVEVNLMGTFHLIKAALPGMRQNTRKTGLPASIAIISSQAG >DRNTG_05155.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21057979:21059432:-1 gene:DRNTG_05155 transcript:DRNTG_05155.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVPRSPPDVFGRHRLQAELQFMSREIGLLQEELKSLEEVQLQSASGCCKELDEYMMGMNPDPIVPVNERRSKSCGVFKWLRYL >DRNTG_05155.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21057259:21059432:-1 gene:DRNTG_05155 transcript:DRNTG_05155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVPRSPPDVFGRHRLQAELQFMSREIGLLQEELKSLEEVQLQSASGCCKELDEYMMGMNPDPIVPVNERRSKSCGVFKWLSTILCFDFSCICCCGGHSASSESSNCKCNHIKNLIYCCHCGSPCSSNCCCPGFFRCRNCYNSLGIHCPEFSCGCVWSCSKFTEVRACCPGCRRTCCISQCIC >DRNTG_35061.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1070012:1070786:-1 gene:DRNTG_35061 transcript:DRNTG_35061.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEQPKKSFFLAFLLLFITISTLSIFFYNYSFLLETLYITINDFISRKAMFLFCNVILFILAIDSGLFRSSMSSISLYDELLIRKEESRKPEADVIEKKLILDSPMHDNDEEVVLLVKEEEGNDKEEDEEKRNEGMELVVVDDQMEKLEVEELNKRIEEFIEKVKQRRRLEDMQLIMVS >DRNTG_35061.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1069911:1070863:-1 gene:DRNTG_35061 transcript:DRNTG_35061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEQPKKSFFLAFLLLFITISTLSIFFYNYSFLLETLYITINDFISRKAMFLFCNVILFILAIDSGLFRSSMSSISLYDELLIRKEESRKPEADVIEKKLILDSPMHDNDEEVVLLVKEEEGNDKEEDEEKRNEGMELVVVDDQMEKLEVEELNKRIEEFIEKVKQRRRLEDMQLIMVS >DRNTG_35061.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1070134:1070786:-1 gene:DRNTG_35061 transcript:DRNTG_35061.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEQPKKSFFLAFLLLFITISTLSIFFYNYSFLLETLYITINDFISRKAMFLFCNVILFILAIDSGLFRSSMSSISLYDELLIRKEESRKPEADVIEKKLILDSPMHDNDEEVVLLVKEEEGNDKEEDEEKRNEGMELVVVDDQMEKLEVEELNKRIEEFIEKVKQRRRLEDMQLIMVS >DRNTG_35061.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1070012:1070863:-1 gene:DRNTG_35061 transcript:DRNTG_35061.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEQPKKSFFLAFLLLFITISTLSIFFYNYSFLLETLYITINDFISRKAMFLFCNVILFILAIDSGLFRSSMSSISLYDELLIRKEESRKPEADVIEKKLILDSPMHDNDEEVVLLVKEEEGNDKEEDEEKRNEGMELVVVDDQMEKLEVEELNKRIEEFIEKVKQRRRLEDMQLIMVS >DRNTG_35061.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1069911:1070786:-1 gene:DRNTG_35061 transcript:DRNTG_35061.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEQPKKSFFLAFLLLFITISTLSIFFYNYSFLLETLYITINDFISRKAMFLFCNVILFILAIDSGLFRSSMSSISLYDELLIRKEESRKPEADVIEKKLILDSPMHDNDEEVVLLVKEEEGNDKEEDEEKRNEGMELVVVDDQMEKLEVEELNKRIEEFIEKVKQRRRLEDMQLIMVS >DRNTG_33960.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1010763:1012889:1 gene:DRNTG_33960 transcript:DRNTG_33960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVFPVIVHRVTLFSKNKPFSTCPSILLPNDAADAAAIAAILLRATDADAALANSPVTPRPSLIDALLRDSSAELSAGPLLSLARWAGPSLSSSQLASFVDLLSLRRSFHSAWSLLLPHPPGPHLIPSFSSLIRRYSRAAMPHAAFRTFNYSLRSTADSDDLFLVLLDALCKEGHVRSASDLFTDKKTSSVGWAPSASFYNVLLHGWFRARKLRKAERLWEEMRRDDVPPTVVTYGTLIEGLCRMRRPDQALVLLDEMKPACLESNPLHVNPIIDSLAEDGRFKDALGFLEKFPLYGISPNISTYNSLVKGFCKHGDLVGASNTLKTMIGRDVLPTATTYNYFFKHFSKSGKIEEGMNLYTKMIQSGYAPDRLTYQLLIKMLCEKQRLELAVQLIKEMNMNGFESDLATSTMLVHLLCRLRRYQEACEVFEEMIKRGVVPQYITYHRLVKELKRLEMDELVRKVSVMMDSVPHSTKLPGSFREKEGDETVKRRKTIMKKARAMSDVLKDQKDPAKLRSTTENSVQSAKKLIVDIRKRASAVPND >DRNTG_00241.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26002150:26008975:1 gene:DRNTG_00241 transcript:DRNTG_00241.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAFNSQILEEKLSKLNNSQQSIETLSHWCIFHRKKAKQVVETWEKIFSSSPKEQKVPFSISGK >DRNTG_00241.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25998649:26008975:1 gene:DRNTG_00241 transcript:DRNTG_00241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAFNSQILEEKLSKLNNSQQSIETLSHWCIFHRKKAKQVVETWEKIFSSSPKEQKVPFSISGK >DRNTG_01875.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21136618:21141299:-1 gene:DRNTG_01875 transcript:DRNTG_01875.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin ligase BIG BROTHER-related [Source:Projected from Arabidopsis thaliana (AT3G19910) UniProtKB/Swiss-Prot;Acc:Q9LT17] MENSNELSAGAKSADQNPNTNAAAVAGDGDPAQAVSRPTRTPFTNLSQVDADLALARTLQEQEAAYLMLMSGRGISGDYASSVGSYEDGGEFDVHDHEEGSEYEEEYEEDEFVEDGHLVDPADFDSDEAYARALQDAEEQEIAARLMAFAGLNDWGVEHHRAHHAGNPRDAWQDVDPDELSYEELVALGEVVGTESRGLSADTIASLPSVGYKAESAQDGDTDPCIICRMDYEDGDSLVVLSCKHAYHSDCINKWLQINKLCPVCSTEVSTSENGQQA >DRNTG_34027.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002076.1:648:958:1 gene:DRNTG_34027 transcript:DRNTG_34027.1 gene_biotype:protein_coding transcript_biotype:protein_coding KYKNPPIYIQENGCELAKEDTMNDTYRIDYLNGYIGSTL >DRNTG_07100.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22339667:22340272:-1 gene:DRNTG_07100 transcript:DRNTG_07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMILLPSPAVPSSLLSAFLLLLLLSFVSNSTLVSAGDYCAPSSCGNLTNIRNPFRLKDDPPNCGDPNYKLTCDHQNHTILPLLSNSYYVTNITYDDENFFFYYDVDFNIQVKNVRMVKYNNGSCHLPFLPSPLTVSKLRGDDYYRAYNWVTLVNFSKEVKNKSMQHYYNGYYKIVCIGQQYNPNGIYVLFISIVTREITNT >DRNTG_31257.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2986841:2994507:1 gene:DRNTG_31257 transcript:DRNTG_31257.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATANNASSSTATVEDAAVRAASKRYEGLMMVRTKAIKGKGAWYWAHLEPILVRNTDTGLAKAVKLRCLLCDALFSASNPSRTASEHLKRGTCPNFSSSPSPHPRPISSIAPPPNSRKRSPPFHVSPLALVDPSSYSSTPPPPPPPPPPPQQQLVLSGGKEDLSALEMFEASVKRLKSPKASPGPALSKPQIDTAMALLADWVHESCGAVSLSALSHPKFRSFLHQVGLPPISPRDLTGPLLDSRFDEARLDSDARLRDALFFQLASHGWKPPFPAADPVVSLTANLPNGTTLFCNTVFVHNPRVPSKYAEEILWDAVTGLCAGGLEQRCVGIIADRFKNKALRELENRKQWMINIPCQLQALRSLLKDFARELPLFHSAAANCSKLASFFNSESQVRVLFHKYQLQELDHSGLLRVPPRQSESSHDFDPIFAMFEDVMGNARPLQSVVHDENYKLACAEDSTARELSEMIRDVRLWNELEAVLSLVKVVKSMAAELETERPLLGQCLPLWDDLRSKVKQWCSKFSIDHGVADKVIEKRFKKNYHPAWSAAFILDPLYLIKDASGKYLPPFKCLTSEQEKDVDKLITRLVSPEEAHIALMELMKWRSEGLDPLYAQAVQMKQPDPMTGKMRIANPTSSRLVWETCLSEFKSLGKVAVRLIFLHCTSCSFKSNPSLLRLATTHAPPASRTAIDRIQKMIFVAAHSKLERRDFSSEEDKDAELFASEREDDVLNEAFMDV >DRNTG_31257.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2991501:2994507:1 gene:DRNTG_31257 transcript:DRNTG_31257.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATANNASSSTATVEDAAVRAASKRYEGLMMVRTKAIKGKGAWYWAHLEPILVRNTDTGLAKAVKLRCLLCDALFSASNPSRTASEHLKRGTCPNFSSSPSPHPRPISSIAPPPNSRKRSPPFHVSPLALVDPSSYSSTPPPPPPPPPPPQQQLVLSGGKEDLSALEMFEASVKRLKSPKASPGPALSKPQIDTAMALLADWVHESCGAVSLSALSHPKFRSFLHQVGLPPISPRDLTGPLLDSRFDEARLDSDARLRDALFFQLASHGWKPPFPAADPVVSLTANLPNGTTLFCNTVFVHNPRVPSKYAEEILWDAVTGLCAGGLEQRCVGIIADRFKNKALRELENRKQWMINIPCQLQALRSLLKDFARELPLFHSAAANCSKLASFFNSESQVRVLFHKYQLQELDHSGLLRVPPRQSESSHDFDPIFAMFEDVMGNARPLQSVVHDENYKLACAEDSTARELSEMIRDVRLWNELEAVLSLVKVVKSMAAELETERPLLGQCLPLWDDLRSKVKQWCSKFSIDHGVADKVIEKRFKKNYHPAWSAAFILDPLYLIKDASGKYLPPFKCLTSEQEKDVDKLITRLVSPEEAHIALMELMKWRSEGLDPLYAQAVQMKQPDPMTGKMRIANPTSSRLVWETCLSEFKSLGKVAVRLIFLHCTSCSFKSNPSLLRLATTHAPPASRTAIDRIQKMIFVAAHSKLERRDFSSEEDKDAELFASEREDDVLNEAFMDV >DRNTG_31257.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2986841:2994507:1 gene:DRNTG_31257 transcript:DRNTG_31257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANNASSSTATVEDAAVRAASKRYEGLMMVRTKAIKGKGAWYWAHLEPILVRNTDTGLAKAVKLRCLLCDALFSASNPSRTASEHLKRGTCPNFSSSPSPHPRPISSIAPPPNSRKRSPPFHVSPLALVDPSSYSSTPPPPPPPPPPPQQQLVLSGGKEDLSALEMFEASVKRLKSPKASPGPALSKPQIDTAMALLADWVHESCGAVSLSALSHPKFRSFLHQVGLPPISPRDLTGPLLDSRFDEARLDSDARLRDALFFQLASHGWKPPFPAADPVVSLTANLPNGTTLFCNTVFVHNPRVPSKYAEEILWDAVTGLCAGGLEQRCVGIIADRFKNKALRELENRKQWMINIPCQLQALRSLLKDFARELPLFHSAAANCSKLASFFNSESQVRVLFHKYQLQELDHSGLLRVPPRQSESSHDFDPIFAMFEDVMGNARPLQSVVHDENYKLACAEDSTARELSEMIRDVRLWNELEAVLSLVKVVKSMAAELETERPLLGQCLPLWDDLRSKVKQWCSKFSIDHGVADKVIEKRFKKNYHPAWSAAFILDPLYLIKDASGKYLPPFKCLTSEQEKDVDKLITRLVSPEEAHIALMELMKWRSEGLDPLYAQAVQMKQPDPMTGKMRIANPTSSRLVWETCLSEFKSLGKVAVRLIFLHCTSCSFKSNPSLLRLATTHAPPASRTAIDRIQKMIFVAAHSKLERRDFSSEEDKDAELFASEREDDVLNEAFMDV >DRNTG_31257.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2991501:2994507:1 gene:DRNTG_31257 transcript:DRNTG_31257.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATANNASSSTATVEDAAVRAASKRYEGLMMVRTKAIKGKGAWYWAHLEPILVRNTDTGLAKAVKLRCLLCDALFSASNPSRTASEHLKRGTCPNFSSSPSPHPRPISSIAPPPNSRKRSPPFHVSPLALVDPSSYSSTPPPPPPPPPPPQQQLVLSGGKEDLSALEMFEASVKRLKSPKASPGPALSKPQIDTAMALLADWVHESCGAVSLSALSHPKFRSFLHQVGLPPISPRDLTGPLLDSRFDEARLDSDARLRDALFFQLASHGWKPPFPAADPVVSLTANLPNGTTLFCNTVFVHNPRVPSKYAEEILWDAVTGLCAGGLEQRCVGIIADRFKNKALRELENRKQWMINIPCQLQALRSLLKDFARELPLFHSAAANCSKLASFFNSESQVRVLFHKYQLQELDHSGLLRVPPRQSESSHDFDPIFAMFEDVMGNARPLQSVVHDENYKLACAEDSTARELSEMIRDVRLWNELEAVLSLVKVVKSMAAELETERPLLGQCLPLWDDLRSKVKQWCSKFSIDHGVADKVIEKRFKKNYHPAWSAAFILDPLYLIKDASGKYLPPFKCLTSEQEKDVDKLITRLVSPEEAHIALMELMKWRSEGLDPLYAQAVQMKQPDPMTGKMRIANPTSSRLVWETCLSEFKSLGKVAVRLIFLHCTSCSFKSNPSLLRLATTHAPPASRTAIDRIQKMIFVAAHSKLERRDFSSEEDKDAELFASEREDDVLNEAFMDV >DRNTG_07197.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3602612:3603157:-1 gene:DRNTG_07197 transcript:DRNTG_07197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSFYLKGNGLYAEWVPMFALCGILVYMGSFSIGMGAVPWVIMSEIFPINVKGIGGSLVTLVNWFGSWAISYTFNFLMDWSSAG >DRNTG_16096.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25489804:25491495:-1 gene:DRNTG_16096 transcript:DRNTG_16096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALSPDQPGGDSRATKILFGRYELGRLLGCGAFAKVYHARNLSTGQSVAIKVISKSRVLRSGLTLNLRREILALRRLRHPHVIRLHEVLASRSRIFFIMDLARGGELFTFIANSHRLSEPIARLLFHQLISALSFCHSRGVFHRDLKPENLLLADDSTTNPFLKISDFGLSACLAPSTPNPLLSTVCGTPAYVAPEVLSRNPYSGAAVDLWSCGVILFVLIAGYLPFNDPNLMNLYRKIRRGEVRFPRWVSPDLRRLISRLLDINPQTRLTLDGVLTDPWFRKDLDEQKLIAMARFRQDIEDRIAKIERDDPRDLNAFDLIASSPSLDLSGLFAGSRVERERFVVQEEAAAVLDRVEAVGRGEGLVVRRRARGSAGRAGAAVEGQEGNLVAWVEAHRLMPGLVVVEVEVTSGDDWDLPVGRWTGRFWRKSLTEPATEPDRSDPD >DRNTG_12819.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000575.1:8952:11925:1 gene:DRNTG_12819 transcript:DRNTG_12819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSDNAPPRIIVQVQEPSIMLPNQCNDGEKNAEIGAESALSRAGIGNPDAPVDAPVEFPQGAATVLLLRCSATIPVLKYFPNPYFHRECLSVTALVPLQMVVLTQIRGGGSTVAGIRDGGVGEAEGAEES >DRNTG_20733.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17802451:17805220:-1 gene:DRNTG_20733 transcript:DRNTG_20733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANMGSSDVDVDGDYVHLEPIDIITKDVHSLKQIVNDKKEKAKELGKHFGKDTEHVQVDRQGNEGPSPMDFTPSLVSPSCEVSDSDVYVLEGHSFEVNACAWSPTGSLLASG >DRNTG_04571.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2990501:2992609:1 gene:DRNTG_04571 transcript:DRNTG_04571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSNPNSRPLRVFFIPYFATGHMIPMVDIARLFATRGVDSTILVTPANATLISPTIDAASAAGLPIRTLLYPFPSSAVNLPPGAENLASVPLSDAPKIDAASLLTVNDHDRLLRLHRPDAVISDTHFFWTTSIAKDLHIPRISFHAIGLFPVCVMSSLFRHLPHLTVSDDLHPFLIPDLPHPIHMIRSELPDFLRSNNTAIGEAMSALSDAESGSLGVVVNSFAELESAYADYYYKHDKMTTWFVGPVAEVTGKVSRGAAENSKAISNRECCMEWLEKQRERSVVYACFGSWCYFSSEQMKEMALGLEMAGHPFLWVVREGDGEEEWMPDGFEERVKEKGLVVRGWAPQVEVLRHRAVGGFVTHCGWNSVLEGVSSGLPMVTWPLSTEQFMNEKLVVEVVGTAVRAWEGRRSTEEGEKEVVKSGDLAVAVEKVMGAGKEAEKRREKAREVGEMAKKAVDVGGSSYEGLSRLIQDIRDFDGGINGRDRV >DRNTG_04571.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2990966:2992609:1 gene:DRNTG_04571 transcript:DRNTG_04571.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSNPNSRPLRVFFIPYFATGHMIPMVDIARLFATRGVDSTILVTPANATLISPTIDAASAAGLPIRTLLYPFPSSAVNLPPGAENLASVPLSDAPKIDAASLLTVNDHDRLLRLHRPDAVISDTHFFWTTSIAKDLHIPRISFHAIGLFPVCVMSSLFRHLPHLTVSDDLHPFLIPDLPHPIHMIRSELPDFLRSNNTAIGEAMSALSDAESGSLGVVVNSFAELESAYADYYYKHDKMTTWFVGPVAEVTGKVSRGAAENSKAISNRECCMEWLEKQRERSVVYACFGSWCYFSSEQMKEMALGLEMAGHPFLWVVREGDGEEEWMPDGFEERVKEKGLVVRGWAPQVEVLRHRAVGGFVTHCGWNSVLEGVSSGLPMVTWPLSTEQFMNEKLVVEVVGTAVRAWEGRRSTEEGEKEVVKSGDLAVAVEKVMGAGKEAEKRREKAREVGEMAKKAVDVGGSSYEGLSRLIQDIRDFDGGINGRDRV >DRNTG_09070.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1242360:1242985:1 gene:DRNTG_09070 transcript:DRNTG_09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTHPKEFDVVDIDPYGSPSIFLDSAVQSVADGGMLMCTATDMAVLCGDDREVCYSKQVWFIP >DRNTG_09070.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1242536:1242985:1 gene:DRNTG_09070 transcript:DRNTG_09070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTHPKEFDVVDIDPYGSPSIFLDSAVQSVADGGMLMCTATDMAVLCGDDREVCYSKQVWFIP >DRNTG_17533.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28991032:29019243:-1 gene:DRNTG_17533 transcript:DRNTG_17533.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSYLSKHGGSSNAFTETEFTCYYFEVNREYLKGALQRFSQFFISPLVKVEAMEREVQAVDSEFNQVLQSDSCRLLQLHCHTASPDHPFNRFYWGNKKSLVDAMESGVNLREEILQLYRQNYHGGVMKLVVIGGESLDILQDWVTELFCNVKSGQSVSTIYRNDLPTWKAGKLYRLEAVKDVHVLDLTWTLPCLHKEYLKKPEDYLAHLMGHEGKGSLLYFLKAKGWATALSAGVGEEGMRRSSIAYIFVMSIHLTDAGLDMLYEVIGAVYQYIKLLQQSSPQECIFKELQDIANMEFRYSEELRQDEYASELAENLFFYSEEHVIYGDYAFEQWAPELIDHVLSFFSPENMRIDVLSKSFDKQSQVIQHEPWFGSQYIEEDLPESLFKLWSNPPNIDPSLHLPSKNEFIARDFSLRNANANKNLAGGDHPKCIIDKPFIKLWYKIDTTFNVPRANAYFLIALKDGYHTSKNSVLAELFMNLLKDELNDILYQAGVAKLDTSLSIIADKLELKLYGFNDKLSILLSKILSLCRSFSPKPDRFEVIKEDMERAFRNTNMKPLSHALYLRLQILRETFWDIDDRLSCLAGISLPDLLAFVPNLLSQLHIEGLCHGNLSEEEVKAIADIFANAFLVQPLPMELRHQGRVLCLPSAAKLMRNVRVKNELEVNSVIELYFQIEQDIGNEATRLRAITDLFSSVIDEPYFNQLRTKEQLGYVVECGPRMTYRVLGFCFRVQSSEYSPLYLHERVCHFISGVQELLDGLDDESFEHHRSGLIAEKLEKEPSLACETGNYWTQIVDKRYQFDMLKLEAEEIKTIQKTDVVKWYNTYLRPSSPKCRQLAIHVWGCNTNLEEAQIPANSWQLIEDIASFKSSSEFYPFLC >DRNTG_17533.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28991032:29019243:-1 gene:DRNTG_17533 transcript:DRNTG_17533.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCSPDDLVIKSPADRRLYRVLHLANGLTALLVHDPEIYPDGDDSGDRDGGSDEEMVEGDEEYDDDDDDDDDDGGDDDDGEDFDEEEEEEEPRDPKKRKKGDSRTKKAAAAMCVGMGSFSDPPKAQGLAHFLEHMLFMGSLGFPDENEYDSYLSKHGGSSNAFTETEFTCYYFEVNREYLKGALQRFSQFFISPLVKVEAMEREVQAVDSEFNQVLQSDSCRLLQLHCHTASPDHPFNRFYWGNKKSLVDAMESGVNLREEILQLYRQNYHGGVMKLVVIGGESLDILQDWVTELFCNVKSGQSVSTIYRNDLPTWKAGKLYRLEAVKDVHVLDLTWTLPCLHKEYLKKPEDYLAHLMGHEGKGSLLYFLKAKGWATALSAGVGEEGMRRSSIAYIFVMSIHLTDAGLDMLYEVIGAVYQYIKLLQQSSPQECIFKELQDIANMEFRYSEELRQDEYASELAENLFFYSEEHVIYGDYAFEQWAPELIDHVLSFFSPENMRIDVLSKSFDKQSQVIQHEPWFGSQYIEEDLPESLFKLWSNPPNIDPSLHLPSKNEFIARDFSLRNANANKNLAGGDHPKCIIDKPFIKLWYKIDTTFNVPRANAYFLIALKDGYHTSKNSVLAELFMNLLKDELNDILYQAGVAKLDTSLSIIADKLELKLYGFNDKLSILLSKILSLCRSFSPKPDRFEVIKEDMERAFRNTNMKPLSHALYLRLQILRETFWDIDDRLSCLAGISLPDLLAFVPNLLSQLHIEGLCHGNLSEEEVKAIADIFANAFLVQPLPMELRHQGRVLCLPSAAKLMRNVRVKNELEVNSVIELYFQIEQDIGNEATRLRAITDLFSSVIDEPYFNQLRTKEQLGYVVECGPRMTYRVLGFCFRVQSSEYSPLYLHERVCHFISGVQELLDGLDDESFEHHRSGLIAEKLEKEPSLACETGNYWTQIVDKRYQFDMLKLEAEEIKTIQKTDVVKWYNTYLRPSSPKCRQLAIHVWGCNTNLEEAQIPANSWQLIEDIASFKSSSEFYPFLC >DRNTG_06874.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000316.1:32251:33025:1 gene:DRNTG_06874 transcript:DRNTG_06874.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKAGTDTSTIIVEWALAEMLKNPTIFKRMQSEMDTVIGQDRLLEESDILNLPYLQAVVKEALRLHPSTPLSLPHYSFESCEINGYHIPANTRLITNVWAIGRDPDAWDNPLEFDPDRFLPGGKAYNIEPHGNDFEFIPFGAGRRICPGKQTGILFFCSIFLGAVVHGFDWRLPDGEVIDMKETPGLVMPKTIPIKAFVTPRLASTAYPH >DRNTG_06874.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000316.1:30877:33025:1 gene:DRNTG_06874 transcript:DRNTG_06874.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPASQKPCEKNMFKAGTDTSTIIVEWALAEMLKNPTIFKRMQSEMDTVIGQDRLLEESDILNLPYLQAVVKEALRLHPSTPLSLPHYSFESCEINGYHIPANTRLITNVWAIGRDPDAWDNPLEFDPDRFLPGGKAYNIEPHGNDFEFIPFGAGRRICPGKQTGILFFCSIFLGAVVHGFDWRLPDGEVIDMKETPGLVMPKTIPIKAFVTPRLASTAYPH >DRNTG_10336.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:12768647:12769164:1 gene:DRNTG_10336 transcript:DRNTG_10336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMGMIRRVRTGVYALVLPVPETAEAEGDDAEAFQPAPKNQPTPMETETPLVAEDTELAEARIDIVKIRAAQRDIVSSFVLRPRSPQAPSAPRAFSSSPILAQMDTTCTSSSPAVAEDPEHDTDI >DRNTG_03335.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15107313:15111607:1 gene:DRNTG_03335 transcript:DRNTG_03335.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint protein RAD17 [Source:Projected from Arabidopsis thaliana (AT5G66130) UniProtKB/Swiss-Prot;Acc:Q9MBA3] MDAPEKILSQANAQGRLITDFLHENVLDFISDEAIDDAWLVISYLCEADCLLANAVCSTYPQTDVIAQSIASSIAARGVLFGNSHPLPSRWHTIRGPKLWQIEQSMGLNKNQMQKETFERYPYNSSEVSTEYRPRIKWLSSQTPVDAVAQQNPVLYPMKADDHADSVSSDGLTEESPSEESEDEIEDC >DRNTG_03335.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15098099:15103191:1 gene:DRNTG_03335 transcript:DRNTG_03335.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint protein RAD17 [Source:Projected from Arabidopsis thaliana (AT5G66130) UniProtKB/Swiss-Prot;Acc:Q9MBA3] MRKRRPAVVLLSSSEEENEPSKPRSSRSAIAPRKRPRAGASRKASSVSHPKPRRSSRGVKAVKFDSGSEDFCEGLEDFHIAPEKTLSGLQSKEGIQLWVDKHKPQTLEELAVHKKKVEEVKKWIEDRVKAPKEDFGGNRTLLITGKTGVGKSVCFVLFLLDKIEDCLNFIIGLLT >DRNTG_03335.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15103645:15111607:1 gene:DRNTG_03335 transcript:DRNTG_03335.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint protein RAD17 [Source:Projected from Arabidopsis thaliana (AT5G66130) UniProtKB/Swiss-Prot;Acc:Q9MBA3] MSKLDEFEYFVEKSRKYSLLHMPNSEGSRKPVILLIDDLPTINGRAALVRLTKCLTALTHSTQVATIVLLTEYCKTESADSPTHHYEELESSLCRVGASKVTFNPITVNSIKRTLFKICQQEGHDVTADLINYIAKSSGGDIRHAITSLQYCCLRPEKCFSWQASAYISSKIESNDSTPVSISSKKEGEDAYDALPLSIGRDETLKLYHALGKFLHNKREITDQSALGSDTILLKDSFLRNPLKMDAPEKILSQANAQGRLITDFLHENVLDFISDEAIDDAWLVISYLCEADCLLANAVCSTYPQTDVIAQSIASSIAARGVLFGNSHPLPSRWHTIRGPKLWQIEQSMGLNKNQMQKETFERYPYNSSEVSTEYRPRIKWLSSQTPVDAVAQQNPVLYPMKADDHADSVSSDGLTEESPSEESEDEIEDC >DRNTG_03335.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15098099:15111607:1 gene:DRNTG_03335 transcript:DRNTG_03335.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint protein RAD17 [Source:Projected from Arabidopsis thaliana (AT5G66130) UniProtKB/Swiss-Prot;Acc:Q9MBA3] MRKRRPAVVLLSSSEEENEPSKPRSSRSAIAPRKRPRAGASRKASSVSHPKPRRSSRGVKAVKFDSGSEDFCEGLEDFHIAPEKTLSGLQSKEGIQLWVDKHKPQTLEELAVHKKKVEEVKKWIEDRVKAPKEDFGGNRTLLITGKTGVGKSAAIHVIASQIGAVLCEWTTPTPTLWQEHIHNSNLGLRYMSKLDEFEYFVEKSRKYSLLHMPNSEGSRKPVILLIDDLPTINGRAALVRLTKCLTALTHSTQVATIVLLTEYCKTESADSPTHHYEELESSLCRVGASKVTFNPITVNSIKRTLFKICQQEGHDVTADLINYIAKSSGGDIRHAITSLQYCCLRPEKCFSWQASAYISSKIESNDSTPVSISSKKEGEDAYDALPLSIGRDETLKLYHALGKFLHNKREITDQSALGSDTILLKDSFLRNPLKMDAPEKILSQANAQGRLITDFLHENVLDFISDEAIDDAWLVISYLCEADCLLANAVCSTYPQTDVIAQSIASSIAARGVLFGNSHPLPSRWHTIRGPKLWQIEQSMGLNKNQMQKETFERYPYNSSEVSTEYRPRIKWLSSQTPVDAVAQQNPVLYPMKADDHADSVSSDGLTEESPSEESEDEIEDC >DRNTG_17136.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000881.1:29480:30168:-1 gene:DRNTG_17136 transcript:DRNTG_17136.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTFHTIMNSTNKFGGDSIVHKKILVVLNDVNDSEVLKDISDYILEHWYLITNANVLVTTRYESVITHEGIYKLKLPLLSEEDGWALMCKLLFPDGEKGNMQHFEQIGKTMVNKCHGFPLSIKTIARILNAKDKNRSEWEKVLENIIVSLEPSNKTLPKPVYLLPYENLSSYIKQCFIFCAFFPEDYIFEKNILIQQW >DRNTG_21950.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2067211:2069008:-1 gene:DRNTG_21950 transcript:DRNTG_21950.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEP2 [Source:Projected from Arabidopsis thaliana (AT2G21970) UniProtKB/TrEMBL;Acc:A0A178VQ84] MAMAARAIYCELSPTRSSSPSSPRRDPTPSAPAAAVLPGRRSVESGKIVLQPRLCTLRSYGSESRNGVVRAPLGGGDGGGGGVSPFFTSLAEYIESSRKSHDFEIVSGRLAMVAFAAAVAVESVTGNSLFKKLDLQQIEEAGGVCLVVIASAATFALFSSNRKRIRQMFTLSCNSLVDSLLDNLIDGLFYEGAPH >DRNTG_21950.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2067211:2069037:-1 gene:DRNTG_21950 transcript:DRNTG_21950.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEP2 [Source:Projected from Arabidopsis thaliana (AT2G21970) UniProtKB/TrEMBL;Acc:A0A178VQ84] MAMAARAIYCELSPTRSSSPSSPRRDPTPSAPAAAVLPGRRSVESGKIVLQPRLCTLRSYGSESRNGVVRAPLGGGDGGGGGVSPFFTSLAEYIESSRKSHDFEIVSGRLAMVAFAAAVAVESVTGNSLFKKLDLQQIEEAGGVCLVVIASAATFALFSSNRKRIRQMFTLSCNSLVDSLLDNLIDGLFYEGAPH >DRNTG_21950.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2067099:2069037:-1 gene:DRNTG_21950 transcript:DRNTG_21950.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEP2 [Source:Projected from Arabidopsis thaliana (AT2G21970) UniProtKB/TrEMBL;Acc:A0A178VQ84] MAMAARAIYCELSPTRSSSPSSPRRDPTPSAPAAAVLPGRRSVESGKIVLQPRLCTLRSYGSESRNGVVRAPLGGGDGGGGGVSPFFTSLAEYIESSRKSHDFEIVSGRLAMVAFAAAVAVESVTGNSLFKKLDLQQIEEAGGVCLVVIASAATFALFSSNRKRIRQMFTLSCNSLVDSLLDNLIDGLFYEGAPH >DRNTG_21950.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2066965:2069008:-1 gene:DRNTG_21950 transcript:DRNTG_21950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEP2 [Source:Projected from Arabidopsis thaliana (AT2G21970) UniProtKB/TrEMBL;Acc:A0A178VQ84] MAMAARAIYCELSPTRSSSPSSPRRDPTPSAPAAAVLPGRRSVESGKIVLQPRLCTLRSYGSESRNGVVRAPLGGGDGGGGGVSPFFTSLAEYIESSRKSHDFEIVSGRLAMVAFAAAVAVESVTGNSLFKKLDLQQIEEAGGVCLVVIASAATFALFSSNRKRIRQMFTLSCNSLVDSLLDNLIDGLFYEGAPH >DRNTG_21950.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2067099:2069008:-1 gene:DRNTG_21950 transcript:DRNTG_21950.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEP2 [Source:Projected from Arabidopsis thaliana (AT2G21970) UniProtKB/TrEMBL;Acc:A0A178VQ84] MAMAARAIYCELSPTRSSSPSSPRRDPTPSAPAAAVLPGRRSVESGKIVLQPRLCTLRSYGSESRNGVVRAPLGGGDGGGGGVSPFFTSLAEYIESSRKSHDFEIVSGRLAMVAFAAAVAVESVTGNSLFKKLDLQQIEEAGGVCLVVIASAATFALFSSNRKRIRQMFTLSCNSLVDSLLDNLIDGLFYEGAPH >DRNTG_21950.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2067099:2069183:-1 gene:DRNTG_21950 transcript:DRNTG_21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEP2 [Source:Projected from Arabidopsis thaliana (AT2G21970) UniProtKB/TrEMBL;Acc:A0A178VQ84] MRSGTVSSPVVVIVKKRRSKSARKRIQEIRQKVVPFPPSLPPSMAMAARAIYCELSPTRSSSPSSPRRDPTPSAPAAAVLPGRRSVESGKIVLQPRLCTLRSYGSESRNGVVRAPLGGGDGGGGGVSPFFTSLAEYIESSRKSHDFEIVSGRLAMVAFAAAVAVESVTGNSLFKKLDLQQIEEAGGVCLVVIASAATFALFSSNRKRIRQMFTLSCNSLVDSLLDNLIDGLFYEGAPH >DRNTG_34719.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11947744:11949341:-1 gene:DRNTG_34719 transcript:DRNTG_34719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCVGCRGVGILPKPVCMNLERLECFPKSTQGHESDPVDSPVVSHGRG >DRNTG_06615.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:478957:481144:1 gene:DRNTG_06615 transcript:DRNTG_06615.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHORT HYPOCOTYL IN WHITE LIGHT 1 [Source:Projected from Arabidopsis thaliana (AT1G69935) UniProtKB/Swiss-Prot;Acc:F4I3V6] MAVAVSSSCSLLRLAAFWPVDSSQWKRHPFVFFSNLPSDLVRTSLRVSRRSPSYPNEAVVAVPDPRVWRGNQDDEKDDHGENDSDDDEGEDRSLDLLVQFLHNMFRKISRRTRKAVRSVLPPSISTNLVRFSVDGVLILALLWITKAFLEVACTLGTLVFVSILLVRAVWSGVCYMKESQYYGYMNRIYSDDDAWSGARPAT >DRNTG_06615.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:478957:481144:1 gene:DRNTG_06615 transcript:DRNTG_06615.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHORT HYPOCOTYL IN WHITE LIGHT 1 [Source:Projected from Arabidopsis thaliana (AT1G69935) UniProtKB/Swiss-Prot;Acc:F4I3V6] MAVAVSSSCSLLRLAAFWPVDSSQWKRHPFVFFSNLPSDLVRTSLRVSRRSPSYPNEAVVAVPDPRVWRGNQDDEKDDHGENDSDDDEGEDRSLDLLVQFLHNMFRKISRRTRKAVRSVLPPSISTNLVCSHMDFLIHCSWMHMIRENYGFFFS >DRNTG_01502.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:22514364:22518179:-1 gene:DRNTG_01502 transcript:DRNTG_01502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECVPTSPYLSLHNHLERAQGQSHSSIPTYAHRTRSPSTYPSLKKQSDPRHKLTVHSRRKMTETENPHGRVEIIHARVEIAHGHVEHPHPWSRLIPALFKADSAPILAFISPSFLQLARGRRLVFRGVLAKVLKRFYDSTS >DRNTG_05572.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000255.1:59813:64107:-1 gene:DRNTG_05572 transcript:DRNTG_05572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSMESDGGNTHVESVLYAIAKTLTLKNTPRNSGNVNVDTTPLGMQNEDVQEIAKDVHTPTEKLESDGSNADVGITNFPEQAQGSKNIPNVVLRLRDEMINNIKSLNQHNYQDIINKVKESKLLFQPFNVDLNNLEPFIEKIARCASYATTDLFEASKPTQANLQIALDEAKTQLAENQGENLDTPLMAIFTKWLD >DRNTG_09286.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6789555:6791609:1 gene:DRNTG_09286 transcript:DRNTG_09286.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRVYGGSNMAALLQSEGIPAETIEALLSPGSNPGGFLVSRNLVNFEDVCGNTSERPSFYRPFDLEENVVVDEELNDESLHQPEKKRRLTTDQVRFLEKSFELENKLEPERKIQLARDLGLQPRQVAIWFQNRRARWKTKQLEKDYEALKINFNVLKTDHDNLLKEKDKLKAEIVSLTEKLMHKEKDKDDKGNKHALEFKNSINNEAVVETKRLDVQASTLVCKQEDLSSTNSAVFDSDSSHYTDEVGHTVSSNAFEPDHSDLSHAEEDGEVKKYPFLKFEDNNSTDYGVPVVVEDQSFWFWNY >DRNTG_32342.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9477497:9478132:-1 gene:DRNTG_32342 transcript:DRNTG_32342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYQHPISRCVGTSIPFQSLLDLVHIFTPTPSHMLLSLLVMMRARFPQGSLPEDGRKASRLCGGGGPRGQEEVSPKSLKRSLIYPPNGLHPECHATRYGDQH >DRNTG_24960.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6531429:6537895:-1 gene:DRNTG_24960 transcript:DRNTG_24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLLGEVDGARVFPLRSLIKGRRVVKHKGLETRRMAGAQAPDLPAVSLKKSLPNGMTNHAMISTFSTSSSAFSDVRVVCCNGNRDGGMDAGMGKMDDAEGASLCKFQTAKLRQDSGEFGSFFVCIRCIKDHPSESGTGALASLKAVSIHGLNKKKFLILDSEGYLHLIDLHSTITSLEANVKFTMNPKDTYVTRFEHTMKVQMLAVPPDLSTRKQVVWLSDGRYSVHMMSVGDLEYPMSVNNEDENKEKTMHMAVYANMKSILFTCVGSHEQHISYIQKGPPDDERAIPGEIPLSECAMIIGRNYLFAIGLPDLRRCCLILHVVIV >DRNTG_22527.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3518938:3521873:1 gene:DRNTG_22527 transcript:DRNTG_22527.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGVCKQRHSNLQCFLSRITPSVVSYSLPKSCFQEVTEPWPPIDKDTIGCFTLGDLWDNYNEWSAYGAGAPILLKNGETVVQYYVPYLSAIQIYTSKPLSVSRFSGEESETDSWSDDSESEKLSRSSDAISDDSGGDPESLWPRKDRLGHLYMHYVENTAPYGRVPLITKVNELEQQYPGLMSLKSVELSPASWMSVAWYPIYHIPTRRNVKDLSACFLTYHTISSSFQDSIIGDVTEKDSCCSIIDKTGRNRNETNEYISLPPFGLATYKMQGNLWIDHEAGDHERIISLFNVADSWLKQLRVRHHDFTYFSTH >DRNTG_10057.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4658091:4660041:-1 gene:DRNTG_10057 transcript:DRNTG_10057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGVELARRRRVHHHHYDLPRRAPADPKPVRYAATAPTPPDRSDMDEPALLARARLEEKLRRFSGPPRWKNNNKAEVRNERVEREAERNSSEDQKVGSTSLVMLKRRDSRVNVCAVCLDEVEMLRSSVQRIYKKKEIIKLPCSHIYHSDCLLPWLANNSHCPCCRTIVPSSFIL >DRNTG_22326.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:517953:530284:1 gene:DRNTG_22326 transcript:DRNTG_22326.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHKTYAARLMGGEAAAPATATSILRFMVDLAKMCAPFSAVCRQAEFLGNCVDLYFSCVRADSAMKMAKDLTSGAPDESNLNDNDDNQSSQNTFSSLPVDQEMSVKTSMSIGSYPQEQKSTNSDDVVGLQNETVDVHEVNRGASVHLESSNSSPEDILSLKDFGEASITPISVASIAHGNNFPNSNGNMDLTESTESFGSASLIVPDSPVLSEKSNSKIVQTPTSSPVVGSTSLFGSIGSNSESKAELTTTASMKSSISLNEFHTSLELKGDSQRSLVNALFPISAKLLLEIEESGYSGGPCSAGAHSVLDLIAEVLADIIAEQLKATQFVEGILDAALQYVDAETALVFQGLCLSRLMNFLERRLLRDDEEEDKRLDKSRWSVNLDALCGLVVDRVYLGSFPQPVGVLRTLEFLLSMLQLANKDGRVEEAEPAGKSRLAITRGGRQLESYVQSIFKSTNRMIMYCFLPSFLTSIGEEDFLSRLGFLTDNVKISPPQSIQDESVVDISAVLQLLVANKRLILCPSNLDNDLICCLCINLISLFRDKRQNAQNLAIDLMKYLLLHRRSALEDLLVSKPNQGQYLDVLRGGFDKLLTGSTSMFLVWLERSEHNINQVLEQCAAIMWVQRVAGSAKFPGVRIKTMEDRHKKEMVKKLQPSKADLKHWEQVNERRYALELVRDLMSTELRVIRQDKYGWVLHAESEWQTHIQQLVHERGIFPIHSCLESEWQLCPIEGPYRMRKKLERCKLKVDIIQNVISRGVELENTKLKKEKLENGSGNSGSDSESGFNLFSDGAKQKSLELGEDEEESYFKDADELKAEGSESAHIGWNDDRCSSMNEPSLHSAMDFGAASSAFSVPTTDVLNSKSDVGSMRQSSSIRVEDARGSDDKSEKELHDNGEYLIRPYLEPLERIRFKYNCERVAGLDKHDGIFLIGELCLYVIENFYIDESGCICEKESEDDLSVIDQALGVKKDLSVNVDFQIKSPSSWSMSVRTFVGGRAWAYNGGAWGKEKACNSGNLPHPWHMWKLDSVYELLKRDYQLRPVAVEIFSTDGCNDLLVFHKKEREEVFKNLIAMNLPRNSTLDTTISGSSKQESNEGSRLFKLMAKSFSKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESDVLDLSNSRSFRKLDKPMGCQTVEGEEEFKKRYESWDDPDVPKFHYGSHYSSAGIVLFYLLRLPPFSTENQTLQGGQFDHADRLFNSVRDTWLSAAGKGNTSDVKELIPEFFYMPEFLENRFNLDLGEKQSGEKVGDVLLPPWAKGSAREFIKKHREALESDYVSENLHHWIDLIFGYKQRGKAAEDAVNVFYHYTYEGSVDIDSVSDPAMKASILAQINHFGQTPKQLFLKPHVKRRTDRKVPPHPLRYSAHLAPHEIRKSSGSITQIVTFHEKILIAGANNLLKPTTYSKYIAWGFPDRSLRIMSYDQDRLLATHESLHGGNQIQCAGVSQDGQVLVTGGDDGVVAVWRFAKDGVQGQRSLRLERALCAHTAKITCLYVSHPYTLIVSGSDDCSVILWDLSSLAFVKQLPEFPTPVSAVHVNDLTGEILTAAGVMLAVWSVNGDCLAVVNTSQLPSDMILSVASAIYSDWQDTNWYVTGHKSGAVKVWNMVHFSSEDANGKGRTPTEGMGGLSFHGKAPEYKLLLHKVLKRHKDPVTALHITNDLKQLLSGDSGGRLVSWTIQEESLKALHGTG >DRNTG_22326.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:519358:530284:1 gene:DRNTG_22326 transcript:DRNTG_22326.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHKTYAARLMGGEAAAPATATSILRFMVDLAKMCAPFSAVCRQAEFLGNCVDLYFSCVRADSAMKMAKDLTSGAPDESNLNDNDDNQSSQNTFSSLPVDQEMSVKTSMSIGSYPQEQKSTNSDDVVGLQNETVDVHEVNRGASVHLESSNSSPEDILSLKDFGEASITPISVASIAHGNNFPNSNGNMDLTESTESFGSASLIVPDSPVLSEKSNSKIVQTPTSSPVVGSTSLFGSIGSNSESKAELTTTASMKSSISLNEFHTSLELKGDSQRSLVNALFPISAKLLLEIEESGYSGGPCSAGAHSVLDLIAEVLADIIAEQLKATQFVEGILDAALQYVDAETALVFQGLCLSRLMNFLERRLLRDDEEEDKRLDKSRWSVNLDALCGLVVDRVYLGSFPQPVGVLRTLEFLLSMLQLANKDGRVEEAEPAGKSRLAITRGGRQLESYVQSIFKSTNRMIMYCFLPSFLTSIGEEDFLSRLGFLTDNVKISPPQSIQDESVVDISAVLQLLVANKRLILCPSNLDNDLICCLCINLISLFRDKRQNAQNLAIDLMKYLLLHRRSALEDLLVSKPNQGQYLDVLRGGFDKLLTGSTSMFLVWLERSEHNINQVLEQCAAIMWVQRVAGSAKFPGVRIKTMEDRHKKEMVKKLQPSKADLKHWEQVNERRYALELVRDLMSTELRVIRQDKYGWVLHAESEWQTHIQQLVHERGIFPIHSCLESEWQLCPIEGPYRMRKKLERCKLKVDIIQNVISRGVELENTKLKKEKLENGSGNSGSDSESGFNLFSDGAKQKSLELGEDEEESYFKDADELKAEGSESAHIGWNDDRCSSMNEPSLHSAMDFGAASSAFSVPTTDVLNSKSDVGSMRQSSSIRVEDARGSDDKSEKELHDNGEYLIRPYLEPLERIRFKYNCERVAGLDKHDGIFLIGELCLYVIENFYIDESGCICEKESEDDLSVIDQALGVKKDLSVNVDFQIKSPSSWSMSVRTFVGGRAWAYNGGAWGKEKACNSGNLPHPWHMWKLDSVYELLKRDYQLRPVAVEIFSTDGCNDLLVFHKKEREEVFKNLIAMNLPRNSTLDTTISGSSKQESNEGSRLFKLMAKSFSKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESDVLDLSNSRSFRKLDKPMGCQTVEGEEEFKKRYESWDDPDVPKFHYGSHYSSAGIVLFYLLRLPPFSTENQTLQGGQFDHADRLFNSVRDTWLSAAGKGNTSDVKELIPEFFYMPEFLENRFNLDLGEKQSGEKVGDVLLPPWAKGSAREFIKKHREALESDYVSENLHHWIDLIFGYKQRGKAAEDAVNVFYHYTYEGSVDIDSVSDPAMKASILAQINHFGQTPKQLFLKPHVKRRTDRKVPPHPLRYSAHLAPHEIRKSSGSITQIVTFHEKILIAGANNLLKPTTYSKYIAWGFPDRSLRIMSYDQDRLLATHESLHGGNQIQCAGVSQDGQVLVTGGDDGVVAVWRFAKDGVQGQRSLRLERALCAHTAKITCLYVSHPYTLIVSGSDDCSVILWDLSSLAFVKQLPEFPTPVSAVHVNDLTGEILTAAGVMLAVWSVNGDCLAVVNTSQLPSDMILSVASAIYSDWQDTNWYVTGHKSGAVKVWNMVHFSSEDANGKGRTPTEGMGGLSFHGKAPEYKLLLHKVLKRHKDPVTALHITNDLKQLLSGDSGGRLVSWTIQEESLKALHGTG >DRNTG_22326.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:517085:530284:1 gene:DRNTG_22326 transcript:DRNTG_22326.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHKTYAARLMGGEAAAPATATSILRFMVDLAKMCAPFSAVCRQAEFLGNCVDLYFSCVRADSAMKMAKDLTSGAPDESNLNDNDDNQSSQNTFSSLPVDQEMSVKTSMSIGSYPQEQKSTNSDDVVGLQNETVDVHEVNRGASVHLESSNSSPEDILSLKDFGEASITPISVASIAHGNNFPNSNGNMDLTESTESFGSASLIVPDSPVLSEKSNSKIVQTPTSSPVVGSTSLFGSIGSNSESKAELTTTASMKSSISLNEFHTSLELKGDSQRSLVNALFPISAKLLLEIEESGYSGGPCSAGAHSVLDLIAEVLADIIAEQLKATQFVEGILDAALQYVDAETALVFQGLCLSRLMNFLERRLLRDDEEEDKRLDKSRWSVNLDALCGLVVDRVYLGSFPQPVGVLRTLEFLLSMLQLANKDGRVEEAEPAGKSRLAITRGGRQLESYVQSIFKSTNRMIMYCFLPSFLTSIGEEDFLSRLGFLTDNVKISPPQSIQDESVVDISAVLQLLVANKRLILCPSNLDNDLICCLCINLISLFRDKRQNAQNLAIDLMKYLLLHRRSALEDLLVSKPNQGQYLDVLRGGFDKLLTGSTSMFLVWLERSEHNINQVLEQCAAIMWVQRVAGSAKFPGVRIKTMEDRHKKEMVKKLQPSKADLKHWEQVNERRYALELVRDLMSTELRVIRQDKYGWVLHAESEWQTHIQQLVHERGIFPIHSCLESEWQLCPIEGPYRMRKKLERCKLKVDIIQNVISRGVELENTKLKKEKLENGSGNSGSDSESGFNLFSDGAKQKSLELGEDEEESYFKDADELKAEGSESAHIGWNDDRCSSMNEPSLHSAMDFGAASSAFSVPTTDVLNSKSDVGSMRQSSSIRVEDARGSDDKSEKELHDNGEYLIRPYLEPLERIRFKYNCERVAGLDKHDGIFLIGELCLYVIENFYIDESGCICEKESEDDLSVIDQALGVKKDLSVNVDFQIKSPSSWSMSVRTFVGGRAWAYNGGAWGKEKACNSGNLPHPWHMWKLDSVYELLKRDYQLRPVAVEIFSTDGCNDLLVFHKKEREEVFKNLIAMNLPRNSTLDTTISGSSKQESNEGSRLFKLMAKSFSKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESDVLDLSNSRSFRKLDKPMGCQTVEGEEEFKKRYESWDDPDVPKFHYGSHYSSAGIVLFYLLRLPPFSTENQTLQGGQFDHADRLFNSVRDTWLSAAGKGNTSDVKELIPEFFYMPEFLENRFNLDLGEKQSGEKVGDVLLPPWAKGSAREFIKKHREALESDYVSENLHHWIDLIFGYKQRGKAAEDAVNVFYHYTYEGSVDIDSVSDPAMKASILAQINHFGQTPKQLFLKPHVKRRTDRKVPPHPLRYSAHLAPHEIRKSSGSITQIVTFHEKILIAGANNLLKPTTYSKYIAWGFPDRSLRIMSYDQDRLLATHESLHGGNQIQCAGVSQDGQVLVTGGDDGVVAVWRFAKDGVQGQRSLRLERALCAHTAKITCLYVSHPYTLIVSGSDDCSVILWDLSSLAFVKQLPEFPTPVSAVHVNDLTGEILTAAGVMLAVWSVNGDCLAVVNTSQLPSDMILSVASAIYSDWQDTNWYVTGHKSGAVKVWNMVHFSSEDANGKGRTPTEGMGGLSFHGKAPEYKLLLHKVLKRHKDPVTALHITNDLKQLLSGDSGGRLVSWTIQEESLKALHGTG >DRNTG_22326.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:517398:520071:1 gene:DRNTG_22326 transcript:DRNTG_22326.11 gene_biotype:protein_coding transcript_biotype:protein_coding MQILGLLLVNDNGSTARYIQRHHLIKVLLMAVKDFDPEKGDPAYTMGIVDLLLECVELSYRPEAGSVKLREDIHNAHGYQFLVQFALKLSGLQTAQIKGSTSEPSLEATSHAVVSNPASSLAEESSSGAAHASSSHLSPALARLLDVLVNLAQTGPEADTSLGMKNSKGTYGKVGGHNRSRTPSADMMGDEIWGKGNAKVKDLEAIQMLQDIFLKADSTELQAEVLNRMFKIFSSHLDNYKMCQQLRTVPLFILNMAGFPSSLQEIILKILEYAVTVVNCVPEQELLSLCCLLQQPITYSLKHTILAFFVKLLSFDQQYKKVLREVGVLEVLLDDLKQHKLFTGAEHENKDFSILERKSGSSSFKKHMDNKDAILSSPKLMASGSGKFPVFEDERTISVAWDCLFSLLKKAEANQQAFRLSNGVAVVLPFLASEDHRPGVLRLLTCLIIEDAIQAHSEELGTLVEILKSGMITGVSGSQYKLQNDAKCDTFGALWRMLGANTSAQRVFGETTGFSLLLTTLHSFQLGEEHGEKPATLLDYLKVFIFLLRVMTAGVCNNAINRLRLHTVLSSQTFYDLLCESGLLCVDCEKQVIQVLLELALEIVLPPSTMFQTDSSPTSDNFEEYAESFLSSASLNSNRFEKERVYNASAIAVLIRSLLLFTPKVQLDILTFVEKLSEASPFNQENLTSVG >DRNTG_22326.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:514615:530284:1 gene:DRNTG_22326 transcript:DRNTG_22326.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKTYAARLMGGEAAAPATATSILRFMVDLAKMCAPFSAVCRQAEFLGNCVDLYFSCVRADSAMKMAKDLTSGAPDESNLNDNDDNQSSQNTFSSLPVDQEMSVKTSMSIGSYPQEQKSTNSDDVVGLQNETVDVHEVNRGASVHLESSNSSPEDILSLKDFGEASITPISVASIAHGNNFPNSNGNMDLTESTESFGSASLIVPDSPVLSEKSNSKIVQTPTSSPVVGSTSLFGSIGSNSESKAELTTTASMKSSISLNEFHTSLELKGDSQRSLVNALFPISAKLLLEIEESGYSGGPCSAGAHSVLDLIAEVLADIIAEQLKATQFVEGILDAALQYVDAETALVFQGLCLSRLMNFLERRLLRDDEEEDKRLDKSRWSVNLDALCGLVVDRVYLGSFPQPVGVLRTLEFLLSMLQLANKDGRVEEAEPAGKSRLAITRGGRQLESYVQSIFKSTNRMIMYCFLPSFLTSIGEEDFLSRLGFLTDNVKISPPQSIQDESVVDISAVLQLLVANKRLILCPSNLDNDLICCLCINLISLFRDKRQNAQNLAIDLMKYLLLHRRSALEDLLVSKPNQGQYLDVLRGGFDKLLTGSTSMFLVWLERSEHNINQVLEQCAAIMWVQRVAGSAKFPGVRIKTMEDRHKKEMVKKLQPSKADLKHWEQVNERRYALELVRDLMSTELRVIRQDKYGWVLHAESEWQTHIQQLVHERGIFPIHSCLESEWQLCPIEGPYRMRKKLERCKLKVDIIQNVISRGVELENTKLKKEKLENGSGNSGSDSESGFNLFSDGAKQKSLELGEDEEESYFKDADELKAEGSESAHIGWNDDRCSSMNEPSLHSAMDFGAASSAFSVPTTDVLNSKSDVGSMRQSSSIRVEDARGSDDKSEKELHDNGEYLIRPYLEPLERIRFKYNCERVAGLDKHDGIFLIGELCLYVIENFYIDESGCICEKESEDDLSVIDQALGVKKDLSVNVDFQIKSPSSWSMSVRTFVGGRAWAYNGGAWGKEKACNSGNLPHPWHMWKLDSVYELLKRDYQLRPVAVEIFSTDGCNDLLVFHKKEREEVFKNLIAMNLPRNSTLDTTISGSSKQESNEGSRLFKLMAKSFSKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESDVLDLSNSRSFRKLDKPMGCQTVEGEEEFKKRYESWDDPDVPKFHYGSHYSSAGIVLFYLLRLPPFSTENQTLQGGQFDHADRLFNSVRDTWLSAAGKGNTSDVKELIPEFFYMPEFLENRFNLDLGEKQSGEKVGDVLLPPWAKGSAREFIKKHREALESDYVSENLHHWIDLIFGYKQRGKAAEDAVNVFYHYTYEGSVDIDSVSDPAMKASILAQINHFGQTPKQLFLKPHVKRRTDRKVPPHPLRYSAHLAPHEIRKSSGSITQIVTFHEKILIAGANNLLKPTTYSKYIAWGFPDRSLRIMSYDQDRLLATHESLHGGNQIQCAGVSQDGQVLVTGGDDGVVAVWRFAKDGVQGQRSLRLERALCAHTAKITCLYVSHPYTLIVSGSDDCSVILWDLSSLAFVKQLPEFPTPVSAVHVNDLTGEILTAAGVMLAVWSVNGDCLAVVNTSQLPSDMILSVASAIYSDWQDTNWYVTGHKSGAVKVWNMVHFSSEDANGKGRTPTEGMGGLSFHGKAPEYKLLLHKVLKRHKDPVTALHITNDLKQLLSGDSGGRLVSWTIQEESLKALHGTG >DRNTG_22326.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:517398:520266:1 gene:DRNTG_22326 transcript:DRNTG_22326.10 gene_biotype:protein_coding transcript_biotype:protein_coding MQILGLLLVNDNGSTARYIQRHHLIKVLLMAVKDFDPEKGDPAYTMGIVDLLLECVELSYRPEAGSVKLREDIHNAHGYQFLVQFALKLSGLQTAQIKGSTSEPSLEATSHAVVSNPASSLAEESSSGAAHASSSHLSPALARLLDVLVNLAQTGPEADTSLGMKNSKGTYGKVGGHNRSRTPSADMMGDEIWGKGNAKVKDLEAIQMLQDIFLKADSTELQAEVLNRMFKIFSSHLDNYKMCQQLRTVPLFILNMAGFPSSLQEIILKILEYAVTVVNCVPEQELLSLCCLLQQPITYSLKHTILAFFVKLLSFDQQYKKVLREVGVLEVLLDDLKQHKLFTGAEHENKDFSILERKSGSSSFKKHMDNKDAILSSPKLMASGSGKFPVFEDERTISVAWDCLFSLLKKAEANQQAFRLSNGVAVVLPFLASEDHRPGVLRLLTCLIIEDAIQAHSEELGTLVEILKSGMITGVSGSQYKLQNDAKCDTFGALWRMLGANTSAQRVFGETTGFSLLLTTLHSFQLGEEHGEKPATLLDYLKVFIFLLRVMTAGVCNNAINRLRLHTVLSSQTFYDLLCESGLLCVDCEKQVIQVLLELALEIVLPPSTMFQTDSSPTSDNFEEYAESFLSSASLNSNRFEKERVYNASAIAVLIRSLLLFTPKVQLDILTFVEKLSEASPFNQENLTSVASDFC >DRNTG_22326.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:512172:530284:1 gene:DRNTG_22326 transcript:DRNTG_22326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKTYAARLMGGEAAAPATATSILRFMVDLAKMCAPFSAVCRQAEFLGNCVDLYFSCVRADSAMKMAKDLTSGAPDESNLNDNDDNQSSQNTFSSLPVDQEMSVKTSMSIGSYPQEQKSTNSDDVVGLQNETVDVHEVNRGASVHLESSNSSPEDILSLKDFGEASITPISVASIAHGNNFPNSNGNMDLTESTESFGSASLIVPDSPVLSEKSNSKIVQTPTSSPVVGSTSLFGSIGSNSESKAELTTTASMKSSISLNEFHTSLELKGDSQRSLVNALFPISAKLLLEIEESGYSGGPCSAGAHSVLDLIAEVLADIIAEQLKATQFVEGILDAALQYVDAETALVFQGLCLSRLMNFLERRLLRDDEEEDKRLDKSRWSVNLDALCGLVVDRVYLGSFPQPVGVLRTLEFLLSMLQLANKDGRVEEAEPAGKSRLAITRGGRQLESYVQSIFKSTNRMIMYCFLPSFLTSIGEEDFLSRLGFLTDNVKISPPQSIQDESVVDISAVLQLLVANKRLILCPSNLDNDLICCLCINLISLFRDKRQNAQNLAIDLMKYLLLHRRSALEDLLVSKPNQGQYLDVLRGGFDKLLTGSTSMFLVWLERSEHNINQVLEQCAAIMWVQRVAGSAKFPGVRIKTMEDRHKKEMVKKLQPSKADLKHWEQVNERRYALELVRDLMSTELRVIRQDKYGWVLHAESEWQTHIQQLVHERGIFPIHSCLESEWQLCPIEGPYRMRKKLERCKLKVDIIQNVISRGVELENTKLKKEKLENGSGNSGSDSESGFNLFSDGAKQKSLELGEDEEESYFKDADELKAEGSESAHIGWNDDRCSSMNEPSLHSAMDFGAASSAFSVPTTDVLNSKSDVGSMRQSSSIRVEDARGSDDKSEKELHDNGEYLIRPYLEPLERIRFKYNCERVAGLDKHDGIFLIGELCLYVIENFYIDESGCICEKESEDDLSVIDQALGVKKDLSVNVDFQIKSPSSWSMSVRTFVGGRAWAYNGGAWGKEKACNSGNLPHPWHMWKLDSVYELLKRDYQLRPVAVEIFSTDGCNDLLVFHKKEREEVFKNLIAMNLPRNSTLDTTISGSSKQESNEGSRLFKLMAKSFSKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESDVLDLSNSRSFRKLDKPMGCQTVEGEEEFKKRYESWDDPDVPKFHYGSHYSSAGIVLFYLLRLPPFSTENQTLQGGQFDHADRLFNSVRDTWLSAAGKGNTSDVKELIPEFFYMPEFLENRFNLDLGEKQSGEKVGDVLLPPWAKGSAREFIKKHREALESDYVSENLHHWIDLIFGYKQRGKAAEDAVNVFYHYTYEGSVDIDSVSDPAMKASILAQINHFGQTPKQLFLKPHVKRRTDRKVPPHPLRYSAHLAPHEIRKSSGSITQIVTFHEKILIAGANNLLKPTTYSKYIAWGFPDRSLRIMSYDQDRLLATHESLHGGNQIQCAGVSQDGQVLVTGGDDGVVAVWRFAKDGVQGQRSLRLERALCAHTAKITCLYVSHPYTLIVSGSDDCSVILWDLSSLAFVKQLPEFPTPVSAVHVNDLTGEILTAAGVMLAVWSVNGDCLAVVNTSQLPSDMILSVASAIYSDWQDTNWYVTGHKSGAVKVWNMVHFSSEDANGKGRTPTEGMGGLSFHGKAPEYKLLLHKVLKRHKDPVTALHITNDLKQLLSGDSGGRLVSWTIQEESLKALHGTG >DRNTG_22326.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:527196:530284:1 gene:DRNTG_22326 transcript:DRNTG_22326.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPEFLENRFNLDLGEKQSGEKVGDVLLPPWAKGSAREFIKKHREALESDYVSENLHHWIDLIFGYKQRGKAAEDAVNVFYHYTYEGSVDIDSVSDPAMKASILAQINHFGQTPKQLFLKPHVKRRTDRKVPPHPLRYSAHLAPHEIRKSSGSITQIVTFHEKILIAGANNLLKPTTYSKYIAWGFPDRSLRIMSYDQDRLLATHESLHGGNQIQCAGVSQDGQVLVTGGDDGVVAVWRFAKDGVQGQRSLRLERALCAHTAKITCLYVSHPYTLIVSGSDDCSVILWDLSSLAFVKQLPEFPTPVSAVHVNDLTGEILTAAGVMLAVWSVNGDCLAVVNTSQLPSDMILSVASAIYSDWQDTNWYVTGHKSGAVKVWNMVHFSSEDANGKGRTPTEGMGGLSFHGKAPEYKLLLHKVLKRHKDPVTALHITNDLKQLLSGDSGGRLVSWTIQEESLKALHGTG >DRNTG_22326.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:520368:530284:1 gene:DRNTG_22326 transcript:DRNTG_22326.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHKTYAARLMGGEAAAPATATSILRFMVDLAKMCAPFSAVCRQAEFLGNCVDLYFSCVRADSAMKMAKDLTSGAPDESNLNDNDDNQSSQNTFSSLPVDQEMSVKTSMSIGSYPQEQKSTNSDDVVGLQNETVDVHEVNRGASVHLESSNSSPEDILSLKDFGEASITPISVASIAHGNNFPNSNGNMDLTESTESFGSASLIVPDSPVLSEKSNSKIVQTPTSSPVVGSTSLFGSIGSNSESKAELTTTASMKSSISLNEFHTSLELKGDSQRSLVNALFPISAKLLLEIEESGYSGGPCSAGAHSVLDLIAEVLADIIAEQLKATQFVEGILDAALQYVDAETALVFQGLCLSRLMNFLERRLLRDDEEEDKRLDKSRWSVNLDALCGLVVDRVYLGSFPQPVGVLRTLEFLLSMLQLANKDGRVEEAEPAGKSRLAITRGGRQLESYVQSIFKSTNRMIMYCFLPSFLTSIGEEDFLSRLGFLTDNVKISPPQSIQDESVVDISAVLQLLVANKRLILCPSNLDNDLICCLCINLISLFRDKRQNAQNLAIDLMKYLLLHRRSALEDLLVSKPNQGQYLDVLRGGFDKLLTGSTSMFLVWLERSEHNINQVLEQCAAIMWVQRVAGSAKFPGVRIKTMEDRHKKEMVKKLQPSKADLKHWEQVNERRYALELVRDLMSTELRVIRQDKYGWVLHAESEWQTHIQQLVHERGIFPIHSCLESEWQLCPIEGPYRMRKKLERCKLKVDIIQNVISRGVELENTKLKKEKLENGSGNSGSDSESGFNLFSDGAKQKSLELGEDEEESYFKDADELKAEGSESAHIGWNDDRCSSMNEPSLHSAMDFGAASSAFSVPTTDVLNSKSDVGSMRQSSSIRVEDARGSDDKSEKELHDNGEYLIRPYLEPLERIRFKYNCERVAGLDKHDGIFLIGELCLYVIENFYIDESGCICEKESEDDLSVIDQALGVKKDLSVNVDFQIKSPSSWSMSVRTFVGGRAWAYNGGAWGKEKACNSGNLPHPWHMWKLDSVYELLKRDYQLRPVAVEIFSTDGCNDLLVFHKKEREEVFKNLIAMNLPRNSTLDTTISGSSKQESNEGSRLFKLMAKSFSKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESDVLDLSNSRSFRKLDKPMGCQTVEGEEEFKKRYESWDDPDVPKFHYGSHYSSAGIVLFYLLRLPPFSTENQTLQGGQFDHADRLFNSVRDTWLSAAGKGNTSDVKELIPEFFYMPEFLENRFNLDLGEKQSGEKVGDVLLPPWAKGSAREFIKKHREALESDYVSENLHHWIDLIFGYKQRGKAAEDAVNVFYHYTYEGSVDIDSVSDPAMKASILAQINHFGQTPKQLFLKPHVKRRTDRKVPPHPLRYSAHLAPHEIRKSSGSITQIVTFHEKILIAGANNLLKPTTYSKYIAWGFPDRSLRIMSYDQDRLLATHESLHGGNQIQCAGVSQDGQVLVTGGDDGVVAVWRFAKDGVQGQRSLRLERALCAHTAKITCLYVSHPYTLIVSGSDDCSVILWDLSSLAFVKQLPEFPTPVSAVHVNDLTGEILTAAGVMLAVWSVNGDCLAVVNTSQLPSDMILSVASAIYSDWQDTNWYVTGHKSGAVKVWNMVHFSSEDANGKGRTPTEGMGGLSFHGKAPEYKLLLHKVLKRHKDPVTALHITNDLKQLLSGDSGGRLVSWTIQEESLKALHGTG >DRNTG_22326.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:517556:530284:1 gene:DRNTG_22326 transcript:DRNTG_22326.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHKTYAARLMGGEAAAPATATSILRFMVDLAKMCAPFSAVCRQAEFLGNCVDLYFSCVRADSAMKMAKDLTSGAPDESNLNDNDDNQSSQNTFSSLPVDQEMSVKTSMSIGSYPQEQKSTNSDDVVGLQNETVDVHEVNRGASVHLESSNSSPEDILSLKDFGEASITPISVASIAHGNNFPNSNGNMDLTESTESFGSASLIVPDSPVLSEKSNSKIVQTPTSSPVVGSTSLFGSIGSNSESKAELTTTASMKSSISLNEFHTSLELKGDSQRSLVNALFPISAKLLLEIEESGYSGGPCSAGAHSVLDLIAEVLADIIAEQLKATQFVEGILDAALQYVDAETALVFQGLCLSRLMNFLERRLLRDDEEEDKRLDKSRWSVNLDALCGLVVDRVYLGSFPQPVGVLRTLEFLLSMLQLANKDGRVEEAEPAGKSRLAITRGGRQLESYVQSIFKSTNRMIMYCFLPSFLTSIGEEDFLSRLGFLTDNVKISPPQSIQDESVVDISAVLQLLVANKRLILCPSNLDNDLICCLCINLISLFRDKRQNAQNLAIDLMKYLLLHRRSALEDLLVSKPNQGQYLDVLRGGFDKLLTGSTSMFLVWLERSEHNINQVLEQCAAIMWVQRVAGSAKFPGVRIKTMEDRHKKEMVKKLQPSKADLKHWEQVNERRYALELVRDLMSTELRVIRQDKYGWVLHAESEWQTHIQQLVHERGIFPIHSCLESEWQLCPIEGPYRMRKKLERCKLKVDIIQNVISRGVELENTKLKKEKLENGSGNSGSDSESGFNLFSDGAKQKSLELGEDEEESYFKDADELKAEGSESAHIGWNDDRCSSMNEPSLHSAMDFGAASSAFSVPTTDVLNSKSDVGSMRQSSSIRVEDARGSDDKSEKELHDNGEYLIRPYLEPLERIRFKYNCERVAGLDKHDGIFLIGELCLYVIENFYIDESGCICEKESEDDLSVIDQALGVKKDLSVNVDFQIKSPSSWSMSVRTFVGGRAWAYNGGAWGKEKACNSGNLPHPWHMWKLDSVYELLKRDYQLRPVAVEIFSTDGCNDLLVFHKKEREEVFKNLIAMNLPRNSTLDTTISGSSKQESNEGSRLFKLMAKSFSKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESDVLDLSNSRSFRKLDKPMGCQTVEGEEEFKKRYESWDDPDVPKFHYGSHYSSAGIVLFYLLRLPPFSTENQTLQGGQFDHADRLFNSVRDTWLSAAGKGNTSDVKELIPEFFYMPEFLENRFNLDLGEKQSGEKVGDVLLPPWAKGSAREFIKKHREALESDYVSENLHHWIDLIFGYKQRGKAAEDAVNVFYHYTYEGSVDIDSVSDPAMKASILAQINHFGQTPKQLFLKPHVKRRTDRKVPPHPLRYSAHLAPHEIRKSSGSITQIVTFHEKILIAGANNLLKPTTYSKYIAWGFPDRSLRIMSYDQDRLLATHESLHGGNQIQCAGVSQDGQVLVTGGDDGVVAVWRFAKDGVQGQRSLRLERALCAHTAKITCLYVSHPYTLIVSGSDDCSVILWDLSSLAFVKQLPEFPTPVSAVHVNDLTGEILTAAGVMLAVWSVNGDCLAVVNTSQLPSDMILSVASAIYSDWQDTNWYVTGHKSGAVKVWNMVHFSSEDANGKGRTPTEGMGGLSFHGKAPEYKLLLHKVLKRHKDPVTALHITNDLKQLLSGDSGGRLVSWTIQEESLKALHGTG >DRNTG_22326.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:521533:530284:1 gene:DRNTG_22326 transcript:DRNTG_22326.8 gene_biotype:protein_coding transcript_biotype:protein_coding MHKTYAARLMGGEAAAPATATSILRFMVDLAKMCAPFSAVCRQAEFLGNCVDLYFSCVRADSAMKMAKDLTSGAPDESNLNDNDDNQSSQNTFSSLPVDQEMSVKTSMSIGSYPQEQKSTNSDDVVGLQNETVDVHEVNRGASVHLESSNSSPEDILSLKDFGEASITPISVASIAHGNNFPNSNGNMDLTESTESFGSASLIVPDSPVLSEKSNSKIVQTPTSSPVVGSTSLFGSIGSNSESKAELTTTASMKSSISLNEFHTSLELKGDSQRSLVNALFPISAKLLLEIEESGYSGGPCSAGAHSVLDLIAEVLADIIAEQLKATQFVEGILDAALQYVDAETALVFQGLCLSRLMNFLERRLLRDDEEEDKRLDKSRWSVNLDALCGLVVDRVYLGSFPQPVGVLRTLEFLLSMLQLANKDGRVEEAEPAGKSRLAITRGGRQLESYVQSIFKSTNRMIMYCFLPSFLTSIGEEDFLSRLGFLTDNVKISPPQSIQDESVVDISAVLQLLVANKRLILCPSNLDNDLICCLCINLISLFRDKRQNAQNLAIDLMKYLLLHRRSALEDLLVSKPNQGQYLDVLRGGFDKLLTGSTSMFLVWLERSEHNINQVLEQCAAIMWVQRVAGSAKFPGVRIKTMEDRHKKEMVKKLQPSKADLKHWEQVNERRYALELVRDLMSTELRVIRQDKYGWVLHAESEWQTHIQQLVHERGIFPIHSCLESEWQLCPIEGPYRMRKKLERCKLKVDIIQNVISRGVELENTKLKKEKLENGSGNSGSDSESGFNLFSDGAKQKSLELGEDEEESYFKDADELKAEGSESAHIGWNDDRCSSMNEPSLHSAMDFGAASSAFSVPTTDVLNSKSDVGSMRQSSSIRVEDARGSDDKSEKELHDNGEYLIRPYLEPLERIRFKYNCERVAGLDKHDGIFLIGELCLYVIENFYIDESGCICEKESEDDLSVIDQALGVKKDLSVNVDFQIKSPSSWSMSVRTFVGGRAWAYNGGAWGKEKACNSGNLPHPWHMWKLDSVYELLKRDYQLRPVAVEIFSTDGCNDLLVFHKKEREEVFKNLIAMNLPRNSTLDTTISGSSKQESNEGSRLFKLMAKSFSKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESDVLDLSNSRSFRKLDKPMGCQTVEGEEEFKKRYESWDDPDVPKFHYGSHYSSAGIVLFYLLRLPPFSTENQTLQGGQFDHADRLFNSVRDTWLSAAGKGNTSDVKELIPEFFYMPEFLENRFNLDLGEKQSGEKVGDVLLPPWAKGSAREFIKKHREALESDYVSENLHHWIDLIFGYKQRGKAAEDAVNVFYHYTYEGSVDIDSVSDPAMKASILAQINHFGQTPKQLFLKPHVKRRTDRKVPPHPLRYSAHLAPHEIRKSSGSITQIVTFHEKILIAGANNLLKPTTYSKYIAWGFPDRSLRIMSYDQDRLLATHESLHGGNQIQCAGVSQDGQVLVTGGDDGVVAVWRFAKDGVQGQRSLRLERALCAHTAKITCLYVSHPYTLIVSGSDDCSVILWDLSSLAFVKQLPEFPTPVSAVHVNDLTGEILTAAGVMLAVWSVNGDCLAVVNTSQLPSDMILSVASAIYSDWQDTNWYVTGHKSGAVKVWNMVHFSSEDANGKGRTPTEGMGGLSFHGKAPEYKLLLHKVLKRHKDPVTALHITNDLKQLLSGDSGGRLVSWTIQEESLKALHGTG >DRNTG_08745.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18675862:18679487:1 gene:DRNTG_08745 transcript:DRNTG_08745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNPRNSIPIHSQLSNSSISMDPDDPLDLFSRRHRSLLISSHAQPNGHQEAMKFGRSGVDDLLSSELGKHDYDWLLTPPGTPLTSSLEAGEQQLATRAPKSSSNVRASSTTKTSRLSVSQSENGHSNKPARSISAMRPSISSTHSSNYLSNNNRTSILNTSTASVTSRPSTPSNRSTTATSRSSTLTSRPVSSRPSTPTRTRPPPTSPADKPKPMHGSRPSTPNGRPQLPTSLNSNSNTLAPRPSSRPSTPTRRTPSSVSWSTTTSKPSSRPSSPAARPRTPVQPINIPDFPLDVPPNLKTKLPERPASAGRTRPGIALTTRTTTPSTEVTPLSSNRRSSSPIVTRGRLPESSPKSQSHSNGYETTPPDFHKSMKPTRTPVSYSDQTTGFGRSLSKKSLDMAYKHMDIRQNIGGGIRSTSIFPHSIRSSTKGRAYSKSDQTAHTANNAVHMHQNFNGTVTEDCYEAISENGNRDPYGSYRYDAILLKEDSKSMNWLHSIDGKSDQSPEFDHRFEPLPEPFSPL >DRNTG_30561.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:823559:827333:-1 gene:DRNTG_30561 transcript:DRNTG_30561.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGSGPGRTSGWSVFDGVKAFPAAPETLMAEIDAAVAASEYARATALLSPLCDADGDDPVEHDLKVADEAYKAARAALAAGRPDTALISLQVALAACPPEKTSAISKLRALVSIASSQQQKAQIVRFQISSASNFEPAGCGPCLVKKPIEFLEITANSWLQLSRMLVFIAIVPVSELELLLLVLMMISPWPTTSTTNMLIYLVIILEIQSRAMKAKLKPKDHLFAENLSWFLSPTSGELFIVPKGEKTDEEHKAAAEEDADDEKETFFSVKSCFSHCSIESGVMLKEHTKHSVIEEFCHCEGWPFGLGRKALMLPPLPSSPSDSWTWHKRNLSVAKLYSITI >DRNTG_25388.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24393596:24396459:1 gene:DRNTG_25388 transcript:DRNTG_25388.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin transporter-like protein 2 [Source:Projected from Arabidopsis thaliana (AT2G21050) UniProtKB/Swiss-Prot;Acc:Q9S836] MGSAPNGGDDKVVETVMVGRYIEMERDGENKTMKSRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMASGITLQLFYGLLGSWTAYLISILYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKQWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRMWSFLGLVMTTYTAWYLTVASLLHGQVEGVKHSGPTKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKAIYLMATLYVLTLTLPSAISVYWAFGDELLNRSNAFALLPRTPWRDSAVVLMLVHQFITFGFACTPLYFVWEKAIGMHDCPSICKRAAARLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHMFTFRSATARENAVEQPPRFVGRWVGSYAINMLIVAWVFVVGFGFGGWASMTNFIHQIDTFGLFTKCYQCPPPPPPPPTMPSMLPAPTTIAPILSPLTSSTHNYTSTAAISPSPAPSPAFIVHQLLRHGHHHHHHRP >DRNTG_33324.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23572812:23576453:1 gene:DRNTG_33324 transcript:DRNTG_33324.11 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGGGGGGSQYGAPPPPEMPPFGISTTDQPEAASPISSRPPPNFDELAPAVPGPPFQDDDSLAAAAAVDDTDRGGLPGNRWPRQETLALLKIRSEMDSVFRDATLKGPLWEEVSRKLAELGYMRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFSQLEALHSSSGAASSAANPNPSPPPSIGVLPGLSGTSSRLHTTPISASPITTAAPASGVAGIAGISLSSNTSSEDSDDEDTEEMVTGEGRKRKHPHIGQGDSSGGSSSTSRKMMAFFEGLMKQVMERQEAMQQKFLETIEKREQDRMIREEAWKRQEMARLNREHELMAQERAMAASRDAAVISFLQKITGQTISIPTPTTTTTIPAASAPQMIVTPVSVSVSTPTPQPTTTKPAQQPLVQNSMEIVRHQVSVSSELGIVPVQQESHEAMGSSSSSRWPKAEVHALIQLRSGLEYRYQEAGPKGPLWEEISSEMTKLGFNRSSKRCKEKWENINKYFKKVKESNKKRPEDAKTCPYFHQLDALYRRKLAAGGGGGSSSVVSSQALQTETTSSNPVRQDNTMQSPQQVPPAMVVPETEGKSDGGGGGGAQIPTSNGGTSTTFFEETSSGSVMKKPEDIMKELMEQQQQQQQHPVVAMEDYDKLEEHESDNMDEDDEDDEDEDDGKMQYEIQFQRPNVSASGASASSGNTTSSTTTATATAGSYMAMVQ >DRNTG_33324.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23572775:23576506:1 gene:DRNTG_33324 transcript:DRNTG_33324.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGGGGGGSQYGAPPPPEMPPFGISTTDQPEAASPISSRPPPNFDELAPAVPGPPFQDDDSLAAAAAVDDTDRGGLPGNRWPRQETLALLKIRSEMDSVFRDATLKGPLWEEVSRKLAELGYMRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFSQLEALHSSSGAASSAANPNPSPPPSIGVLPGLSGTSSRLHTTPISASPITTAAPASGVAGIAGISLSSNTSSEDSDDEDTEEMVTGEGRKRKHPHIGQGDSSGGSSSTSRKMMAFFEGLMKQVMERQEAMQQKFLETIEKREQDRMIREEAWKRQEMARLNREHELMAQERAMAASRDAAVISFLQKITGQTISIPTPTTTTTIPAASAPQMIVTPVSVSVSTPTPQPTTTKPAQQPLVQNSMEIVRHQVSVSSELGIVPVQQESHEAMGSSSSSRWPKAEVHALIQLRSGLEYRYQEAGPKGPLWEEISSEMTKLGFNRSSKRCKEKWENINKYFKKVKESNKKRPEDAKTCPYFHQLDALYRRKLAAGGGGGSSSVVSSQALQTETTSSNPVRQDNTMQSPQQVPPAMVVPETEGKSDGGGGGGAQIPTSNGGTSTTFFEETSSGSVMKKKTL >DRNTG_33324.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23572486:23576453:1 gene:DRNTG_33324 transcript:DRNTG_33324.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGGGGGGSQYGAPPPPEMPPFGISTTDQPEAASPISSRPPPNFDELAPAVPGPPFQDDDSLAAAAAVDDTDRGGLPGNRWPRQETLALLKIRSEMDSVFRDATLKGPLWEEVSRKLAELGYMRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFSQLEALHSSSGAASSAANPNPSPPPSIGVLPGLSGTSSRLHTTPISASPITTAAPASGVAGIAGISLSSNTSSEDSDDEDTEEMVTGEGRKRKHPHIGQGDSSGGSSSTSRKMMAFFEGLMKQVMERQEAMQQKFLETIEKREQDRMIREEAWKRQEMARLNREHELMAQERAMAASRDAAVISFLQKITGQTISIPTPTTTTTIPAASAPQMIVTPVSVSVSTPTPQPTTTKPAQQPLVQNSMEIVRHQVSVSSELGIVPVQQESHEAMGSSSSSRWPKAEVHALIQLRSGLEYRYQEAGPKGPLWEEISSEMTKLGFNRSSKRCKEKWENINKYFKKVKESNKKRPEDAKTCPYFHQLDALYRRKLAAGGGGGSSSVVSSQALQTETTSSNPVRQDNTMQSPQQVPPAMVVPETEGKSDGGGGGGAQIPTSNGGTSTTFFEETSSGSVMKKPEDIMKELMEQQQQQQQHPVVAMEDYDKLEEHESDNMDEDDEDDEDEDDGKMQYEIQFQRPNVSASGASASSGNTTSSTTTATATAGSYMAMVQ >DRNTG_33324.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23572812:23576687:1 gene:DRNTG_33324 transcript:DRNTG_33324.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGGGGGGSQYGAPPPPEMPPFGISTTDQPEAASPISSRPPPNFDELAPAVPGPPFQDDDSLAAAAAVDDTDRGGLPGNRWPRQETLALLKIRSEMDSVFRDATLKGPLWEEVSRKLAELGYMRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFSQLEALHSSSGAASSAANPNPSPPPSIGVLPGLSGTSSRLHTTPISASPITTAAPASGVAGIAGISLSSNTSSEDSDDEDTEEMVTGEGRKRKHPHIGQGDSSGGSSSTSRKMMAFFEGLMKQVMERQEAMQQKFLETIEKREQDRMIREEAWKRQEMARLNREHELMAQERAMAASRDAAVISFLQKITGQTISIPTPTTTTTIPAASAPQMIVTPVSVSVSTPTPQPTTTKPAQQPLVQNSMEIVRHQVSVSSELGIVPVQQESHEAMGSSSSSRWPKAEVHALIQLRSGLEYRYQEAGPKGPLWEEISSEMTKLGFNRSSKRCKEKWENINKYFKKVKESNKKRPEDAKTCPYFHQLDALYRRKLAAGGGGGSSSVVSSQALQTETTSSNPVRQDNTMQSPQQVPPAMVVPETEGKSDGGGGGGAQIPTSNGGTSTTFFEETSSGSVMKKPEDIMKELMEQQQQQQQHPVVAMEDYDKLEEHESDNMDEDDEDDEDEDDGKMQYEIQFQRPNVSASGASASSGNTTSSTTTATATAGSYMAMVQ >DRNTG_33324.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23572486:23576506:1 gene:DRNTG_33324 transcript:DRNTG_33324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGGGGGGSQYGAPPPPEMPPFGISTTDQPEAASPISSRPPPNFDELAPAVPGPPFQDDDSLAAAAAVDDTDRGGLPGNRWPRQETLALLKIRSEMDSVFRDATLKGPLWEEVSRKLAELGYMRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFSQLEALHSSSGAASSAANPNPSPPPSIGVLPGLSGTSSRLHTTPISASPITTAAPASGVAGIAGISLSSNTSSEDSDDEDTEEMVTGEGRKRKHPHIGQGDSSGGSSSTSRKMMAFFEGLMKQVMERQEAMQQKFLETIEKREQDRMIREEAWKRQEMARLNREHELMAQERAMAASRDAAVISFLQKITGQTISIPTPTTTTTIPAASAPQMIVTPVSVSVSTPTPQPTTTKPAQQPLVQNSMEIVRHQVSVSSELGIVPVQQESHEAMGSSSSSRWPKAEVHALIQLRSGLEYRYQEAGPKGPLWEEISSEMTKLGFNRSSKRCKEKWENINKYFKKVKESNKKRPEDAKTCPYFHQLDALYRRKLAAGGGGGSSSVVSSQALQTETTSSNPVRQDNTMQSPQQVPPAMVVPETEGKSDGGGGGGAQIPTSNGGTSTTFFEETSSGSVMKKPEDIMKELMEQQQQQQQHPVVAMEDYDKLEEHESDNMDEDDEDDEDEDDGKMQYEIQFQRPNVSASGASASSGNTTSSTTTATATAGSYMAMVQ >DRNTG_33324.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23572486:23576453:1 gene:DRNTG_33324 transcript:DRNTG_33324.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGGGGGGSQYGAPPPPEMPPFGISTTDQPEAASPISSRPPPNFDELAPAVPGPPFQDDDSLAAAAAVDDTDRGGLPGNRWPRQETLALLKIRSEMDSVFRDATLKGPLWEEVSRKLAELGYMRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFSQLEALHSSSGAASSAANPNPSPPPSIGVLPGLSGTSSRLHTTPISASPITTAAPASGVAGIAGISLSSNTSSEDSDDEDTEEMVTGEGRKRKHPHIGQGDSSGGSSSTSRKMMAFFEGLMKQVMERQEAMQQKFLETIEKREQDRMIREEAWKRQEMARLNREHELMAQERAMAASRDAAVISFLQKITGQTISIPTPTTTTTIPAASAPQMIVTPVSVSVSTPTPQPTTTKPAQQPLVQNSMEIVRHQVSVSSELGIVPVQQESHEAMGSSSSSRWPKAEVHALIQLRSGLEYRYQEAGPKGPLWEEISSEMTKLGFNRSSKRCKEKWENINKYFKKVKESNKKRPEDAKTCPYFHQLDALYRRKLAAGGGGGSSSVVSSQALQTETTSSNPVRQDNTMQSPQQVPPAMVVPETEGKSDGGGGGGAQIPTSNGGTSTTFFEETSSGSVMKKKTL >DRNTG_33324.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23572812:23576506:1 gene:DRNTG_33324 transcript:DRNTG_33324.8 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGGGGGGSQYGAPPPPEMPPFGISTTDQPEAASPISSRPPPNFDELAPAVPGPPFQDDDSLAAAAAVDDTDRGGLPGNRWPRQETLALLKIRSEMDSVFRDATLKGPLWEEVSRKLAELGYMRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFSQLEALHSSSGAASSAANPNPSPPPSIGVLPGLSGTSSRLHTTPISASPITTAAPASGVAGIAGISLSSNTSSEDSDDEDTEEMVTGEGRKRKHPHIGQGDSSGGSSSTSRKMMAFFEGLMKQVMERQEAMQQKFLETIEKREQDRMIREEAWKRQEMARLNREHELMAQERAMAASRDAAVISFLQKITGQTISIPTPTTTTTIPAASAPQMIVTPVSVSVSTPTPQPTTTKPAQQPLVQNSMEIVRHQVSVSSELGIVPVQQESHEAMGSSSSSRWPKAEVHALIQLRSGLEYRYQEAGPKGPLWEEISSEMTKLGFNRSSKRCKEKWENINKYFKKVKESNKKRPEDAKTCPYFHQLDALYRRKLAAGGGGGSSSVVSSQALQTETTSSNPVRQDNTMQSPQQVPPAMVVPETEGKSDGGGGGGAQIPTSNGGTSTTFFEETSSGSVMKKKTL >DRNTG_33324.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23572775:23576453:1 gene:DRNTG_33324 transcript:DRNTG_33324.10 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGGGGGGSQYGAPPPPEMPPFGISTTDQPEAASPISSRPPPNFDELAPAVPGPPFQDDDSLAAAAAVDDTDRGGLPGNRWPRQETLALLKIRSEMDSVFRDATLKGPLWEEVSRKLAELGYMRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFSQLEALHSSSGAASSAANPNPSPPPSIGVLPGLSGTSSRLHTTPISASPITTAAPASGVAGIAGISLSSNTSSEDSDDEDTEEMVTGEGRKRKHPHIGQGDSSGGSSSTSRKMMAFFEGLMKQVMERQEAMQQKFLETIEKREQDRMIREEAWKRQEMARLNREHELMAQERAMAASRDAAVISFLQKITGQTISIPTPTTTTTIPAASAPQMIVTPVSVSVSTPTPQPTTTKPAQQPLVQNSMEIVRHQVSVSSELGIVPVQQESHEAMGSSSSSRWPKAEVHALIQLRSGLEYRYQEAGPKGPLWEEISSEMTKLGFNRSSKRCKEKWENINKYFKKVKESNKKRPEDAKTCPYFHQLDALYRRKLAAGGGGGSSSVVSSQALQTETTSSNPVRQDNTMQSPQQVPPAMVVPETEGKSDGGGGGGAQIPTSNGGTSTTFFEETSSGSVMKKKTL >DRNTG_33324.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23572812:23576453:1 gene:DRNTG_33324 transcript:DRNTG_33324.12 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGGGGGGSQYGAPPPPEMPPFGISTTDQPEAASPISSRPPPNFDELAPAVPGPPFQDDDSLAAAAAVDDTDRGGLPGNRWPRQETLALLKIRSEMDSVFRDATLKGPLWEEVSRKLAELGYMRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFSQLEALHSSSGAASSAANPNPSPPPSIGVLPGLSGTSSRLHTTPISASPITTAAPASGVAGIAGISLSSNTSSEDSDDEDTEEMVTGEGRKRKHPHIGQGDSSGGSSSTSRKMMAFFEGLMKQVMERQEAMQQKFLETIEKREQDRMIREEAWKRQEMARLNREHELMAQERAMAASRDAAVISFLQKITGQTISIPTPTTTTTIPAASAPQMIVTPVSVSVSTPTPQPTTTKPAQQPLVQNSMEIVRHQVSVSSELGIVPVQQESHEAMGSSSSSRWPKAEVHALIQLRSGLEYRYQEAGPKGPLWEEISSEMTKLGFNRSSKRCKEKWENINKYFKKVKESNKKRPEDAKTCPYFHQLDALYRRKLAAGGGGGSSSVVSSQALQTETTSSNPVRQDNTMQSPQQVPPAMVVPETEGKSDGGGGGGAQIPTSNGGTSTTFFEETSSGSVMKKKTL >DRNTG_33324.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23572812:23576506:1 gene:DRNTG_33324 transcript:DRNTG_33324.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGGGGGGSQYGAPPPPEMPPFGISTTDQPEAASPISSRPPPNFDELAPAVPGPPFQDDDSLAAAAAVDDTDRGGLPGNRWPRQETLALLKIRSEMDSVFRDATLKGPLWEEVSRKLAELGYMRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFSQLEALHSSSGAASSAANPNPSPPPSIGVLPGLSGTSSRLHTTPISASPITTAAPASGVAGIAGISLSSNTSSEDSDDEDTEEMVTGEGRKRKHPHIGQGDSSGGSSSTSRKMMAFFEGLMKQVMERQEAMQQKFLETIEKREQDRMIREEAWKRQEMARLNREHELMAQERAMAASRDAAVISFLQKITGQTISIPTPTTTTTIPAASAPQMIVTPVSVSVSTPTPQPTTTKPAQQPLVQNSMEIVRHQVSVSSELGIVPVQQESHEAMGSSSSSRWPKAEVHALIQLRSGLEYRYQEAGPKGPLWEEISSEMTKLGFNRSSKRCKEKWENINKYFKKVKESNKKRPEDAKTCPYFHQLDALYRRKLAAGGGGGSSSVVSSQALQTETTSSNPVRQDNTMQSPQQVPPAMVVPETEGKSDGGGGGGAQIPTSNGGTSTTFFEETSSGSVMKKPEDIMKELMEQQQQQQQHPVVAMEDYDKLEEHESDNMDEDDEDDEDEDDGKMQYEIQFQRPNVSASGASASSGNTTSSTTTATATAGSYMAMVQ >DRNTG_33324.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23572775:23576453:1 gene:DRNTG_33324 transcript:DRNTG_33324.9 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGGGGGGSQYGAPPPPEMPPFGISTTDQPEAASPISSRPPPNFDELAPAVPGPPFQDDDSLAAAAAVDDTDRGGLPGNRWPRQETLALLKIRSEMDSVFRDATLKGPLWEEVSRKLAELGYMRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFSQLEALHSSSGAASSAANPNPSPPPSIGVLPGLSGTSSRLHTTPISASPITTAAPASGVAGIAGISLSSNTSSEDSDDEDTEEMVTGEGRKRKHPHIGQGDSSGGSSSTSRKMMAFFEGLMKQVMERQEAMQQKFLETIEKREQDRMIREEAWKRQEMARLNREHELMAQERAMAASRDAAVISFLQKITGQTISIPTPTTTTTIPAASAPQMIVTPVSVSVSTPTPQPTTTKPAQQPLVQNSMEIVRHQVSVSSELGIVPVQQESHEAMGSSSSSRWPKAEVHALIQLRSGLEYRYQEAGPKGPLWEEISSEMTKLGFNRSSKRCKEKWENINKYFKKVKESNKKRPEDAKTCPYFHQLDALYRRKLAAGGGGGSSSVVSSQALQTETTSSNPVRQDNTMQSPQQVPPAMVVPETEGKSDGGGGGGAQIPTSNGGTSTTFFEETSSGSVMKKPEDIMKELMEQQQQQQQHPVVAMEDYDKLEEHESDNMDEDDEDDEDEDDGKMQYEIQFQRPNVSASGASASSGNTTSSTTTATATAGSYMAMVQ >DRNTG_33324.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23572775:23576506:1 gene:DRNTG_33324 transcript:DRNTG_33324.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGGGGGGSQYGAPPPPEMPPFGISTTDQPEAASPISSRPPPNFDELAPAVPGPPFQDDDSLAAAAAVDDTDRGGLPGNRWPRQETLALLKIRSEMDSVFRDATLKGPLWEEVSRKLAELGYMRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFSQLEALHSSSGAASSAANPNPSPPPSIGVLPGLSGTSSRLHTTPISASPITTAAPASGVAGIAGISLSSNTSSEDSDDEDTEEMVTGEGRKRKHPHIGQGDSSGGSSSTSRKMMAFFEGLMKQVMERQEAMQQKFLETIEKREQDRMIREEAWKRQEMARLNREHELMAQERAMAASRDAAVISFLQKITGQTISIPTPTTTTTIPAASAPQMIVTPVSVSVSTPTPQPTTTKPAQQPLVQNSMEIVRHQVSVSSELGIVPVQQESHEAMGSSSSSRWPKAEVHALIQLRSGLEYRYQEAGPKGPLWEEISSEMTKLGFNRSSKRCKEKWENINKYFKKVKESNKKRPEDAKTCPYFHQLDALYRRKLAAGGGGGSSSVVSSQALQTETTSSNPVRQDNTMQSPQQVPPAMVVPETEGKSDGGGGGGAQIPTSNGGTSTTFFEETSSGSVMKKPEDIMKELMEQQQQQQQHPVVAMEDYDKLEEHESDNMDEDDEDDEDEDDGKMQYEIQFQRPNVSASGASASSGNTTSSTTTATATAGSYMAMVQ >DRNTG_33398.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21750984:21751555:-1 gene:DRNTG_33398 transcript:DRNTG_33398.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSMISITLFSLLLLTLPLHLAGALVSVRETCKAAKKIVSNIDYKFCVSTISENSTLGSSQRHPGLRQHLRHRCCHPCPQRHHQHPRPSKNVTGPGHQVSLNTLQRLIQLCGIVMG >DRNTG_29877.38 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.38 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.28 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.28 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.19 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.24 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.24 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.15 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.12 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.22 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.22 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.14 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.18 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.13 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.39 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.39 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.17 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.20 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.20 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.35 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.35 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.26 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.26 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.11 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.10 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.37 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.37 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.32 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.32 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.9 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.27 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.27 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.31 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.31 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.36 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.36 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.25 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.25 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.29 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.29 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.16 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.23 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.23 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.34 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.34 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.30 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.30 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.33 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.33 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_29877.21 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2001417:2002883:1 gene:DRNTG_29877 transcript:DRNTG_29877.21 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKCNIFQMCAGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_33432.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2926176:2927600:1 gene:DRNTG_33432 transcript:DRNTG_33432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHYVLINICFIFKPCFVKQLLQTCFLKI >DRNTG_16866.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15798533:15806176:-1 gene:DRNTG_16866 transcript:DRNTG_16866.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQATQAMQSVPPKEEISHETEPFTGNVIQNGREILLQAFNWESHKYDWWKNLEDKVPDIAKSGFTSVWLPPPSHCALEPEGYLPQNLYSLNSAYGSEHQLKSLLQKLCSYKVRAMADIVINHRVGTRQGHGGMYNRFDGIPLPWDEHAVTSCSGGSGNKSTGENFEGFPNIDHTQNHVRRDIIGWLTWLRNTIGFQDFRFDFAKGYDAKYVKEYVEESKPLFSIGEYWVDCSYSSGLDHNQDNHRQGIINWIDGTGGLCAAFDFTTKGILQEAITGQFWRLRDSDGKPPGVMGWWPSRAVTFIENHDTGSTQQRWPFPSSHVMQGYAYILTHPGLPMVFYDHFYDWGQSMHDQILKLMEIRKLLDIHSESSIRILEAKSNLYAAIIGEKLCMKIGDEAWCPDGEEWILATSGHSYAIWNK >DRNTG_16866.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15798533:15808808:-1 gene:DRNTG_16866 transcript:DRNTG_16866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATQAMQSVPPKEEISHETEPFTGNVIQNGREILLQAFNWESHKYDWWKNLEDKVPDIAKSGFTSVWLPPPSHCALEPEGYLPQNLYSLNSAYGSEHQLKSLLQKLCSYKVRAMADIVINHRVGTRQGHGGMYNRFDGIPLPWDEHAVTSCSGGSGNKSTGENFEGFPNIDHTQNHVRRDIIGWLTWLRNTIGFQDFRFDFAKGYDAKYVKEYVEESKPLFSIGEYWVDCSYSSGLDHNQDNHRQGIINWIDGTGGLCAAFDFTTKGILQEAITGQFWRLRDSDGKPPGVMGWWPSRAVTFIENHDTGSTQQRWPFPSSHVMQGYAYILTHPGLPMVFYDHFYDWGQSMHDQILKLMEIRKLLDIHSESSIRILEAKSNLYAAIIGEKLCMKIGDEAWCPDGEEWILATSGHSYAIWNK >DRNTG_16866.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15798533:15804003:-1 gene:DRNTG_16866 transcript:DRNTG_16866.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADIVINHRVGTRQGHGGMYNRFDGIPLPWDEHAVTSCSGGSGNKSTGENFEGFPNIDHTQNHVRRDIIGWLTWLRNTIGFQDFRFDFAKGYDAKYVKEYVEESKPLFSIGEYWVDCSYSSGLDHNQDNHRQGIINWIDGTGGLCAAFDFTTKGILQEAITGQFWRLRDSDGKPPGVMGWWPSRAVTFIENHDTGSTQQRWPFPSSHVMQGYAYILTHPGLPMVFYDHFYDWGQSMHDQILKLMEIRKLLDIHSESSIRILEAKSNLYAAIIGEKLCMKIGDEAWCPDGEEWILATSGHSYAIWNK >DRNTG_28994.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9138190:9152037:1 gene:DRNTG_28994 transcript:DRNTG_28994.14 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRWRAMLYLASVPAFILLFGMRFAVESPRWLCKVGRVADAKKVIGSIWSDSDIERSIEEIQAVITNDSRDLGSSWVELFVEPHKRVAFIGGSLFILQQFSGINGVLYFSSLTFHDVGITNGALGSLFVGITNFAGALCALYLMDKQGRLRLLTGSYLGMAISMLIIVCVINSPIDEELSHNLSILGTIIFATLWWDYFSWN >DRNTG_28994.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9136873:9152037:1 gene:DRNTG_28994 transcript:DRNTG_28994.11 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLASVPAFILLFGMRFAVESPRWLCKVGRVADAKKVIGSIWSDSDIERSIEEIQAVITNDSRDLGSSWVELFVEPHKRVAFIGGSLFILQQFSGINGVLYFSSLTFHDVGITNGALGSLFVGITNFAGALCALYLMDKQGRLRLLTGSYLGMAISMLIIVCVINSPIDEELSHNLSILGTIIYIFTFAIGAGPVTGIIIPELSSTHVRARIMSFSFSVHWICNFMVGLFFLELVDIFGVGPVYATFGGVSFLAAIFAIYFIVETKGRSLEEIELSLNSSLFRKDE >DRNTG_28994.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9134072:9152037:1 gene:DRNTG_28994 transcript:DRNTG_28994.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPSATALCPPRPHLFLSPWTSRVLSLHPQSSQLKRALTLSPPFRRGSSAVATGKKPNLVSPEPVSQTPDDETGAVRERVERLDLGWLPAFPHVFTASMTNFLFGYHIGVMNGPIQAIAQELGFDGNSFIEGLVVSIFIAGAFIGSISSSALIDKLGCRRTLQIDTIPLILGAILSAQAHSVDEMLLGRFLVGLGIGVNTVLVPIYISEVSPTKYRGSLGTTSQIGTCLGIIASLCLGISSEGDPHWWRAMLYLASVPAFILLFGMRFAVESPRWLCKVGRVADAKKVIGSIWSDSDIERSIEEIQAVITNDSRDLGSSWVELFVEPHKRVAFIGGSLFILQQFSGINGVLYFSSLTFHDVGITNGALGSLFVGITNFAGALCALYLMDKQGRLRLLTGSYLGMAISMLIIVCVINSPIDEELSHNLSILGTIICMQLYIHICNRCWSSYWYNHTRA >DRNTG_28994.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9134072:9152037:1 gene:DRNTG_28994 transcript:DRNTG_28994.10 gene_biotype:protein_coding transcript_biotype:protein_coding MPSATALCPPRPHLFLSPWTSRVLSLHPQSSQLKRALTLSPPFRRGSSAVATGKKPNLVSPEPVSQTPDDETGAVRERVERLDLGWLPAFPHVFTASMTNFLFGYHIGVMNGPIQAIAQELGFDGNSFIEGLVVSIFIAGAFIGSISSSALIDKLGCRRTLQIDTIPLILGAILSAQAHSVDEMLLGRFLVGLGIGVNTVLVPIYISEVSPTKYRGSLGTTSQIGTCLGIIASLCLGISSEGDPH >DRNTG_28994.19.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9151492:9152037:1 gene:DRNTG_28994 transcript:DRNTG_28994.19 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLFFLELVDIFGVGPVYATFGGVSFLAAIFAIYFIVETKGRSLEEIELSLNSSLFRKDE >DRNTG_28994.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9134072:9152037:1 gene:DRNTG_28994 transcript:DRNTG_28994.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLYDEDMILWRAMLYLASVPAFILLFGMRFAVESPRWLCKVGRVADAKKVIGSIWSDSDIERSIEEIQAVITNDSRDLGSSWVELFVEPHKRVAFIGGSLFILQQFSGINGVLYFSSLTFHDVGITNGALGSLFVGITNFAGALCALYLMDKQGRLRLLTGSYLGMAISMLIIVCVINSPIDEELSHNLSILGTIIYIFTFAIGAGPVTGIIIPELSSTHVRARIMSFSFSVHWICNFMVGLFFLELVDIFGVGPVYATFGGVSFLAAIFAIYFIVETKGRSLEEIELSLNSSLFRKDE >DRNTG_28994.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9134072:9152037:1 gene:DRNTG_28994 transcript:DRNTG_28994.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPSATALCPPRPHLFLSPWTSRVLSLHPQSSQLKRALTLSPPFRRGSSAVATGKKPNLVSPEPVSQTPDDETGAVRERVERLDLGWLPAFPHVFTASMTNFLFGYHIGVMNGPIQAIAQELGFDGNSFIEGLVVSIFIAGAFIGSISSSALIDKLGCRRTLQIDTIPLILGAILSAQAHSVDEMLLGRFLVGLGIGVNTVLVPIYISEVSPTKYRGSLGTTSQIGTCLGIIASLCLGISSEGDPH >DRNTG_28994.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9134072:9137237:1 gene:DRNTG_28994 transcript:DRNTG_28994.17 gene_biotype:protein_coding transcript_biotype:protein_coding MPSATALCPPRPHLFLSPWTSRVLSLHPQSSQLKRALTLSPPFRRGSSAVATGKKPNLVSPEPVSQTPDDETGAVRERVERLDLGWLPAFPHVFTASMTNFLFGYHIGVMNGPIQAIAQELGFDGNSFIEGLVVSIFIAGAFIGSISSSALIDKLGCRRTLQIDTIPLILGAILSAQAHSVDEMLLGRFLVGLGIGVNTVLVPIYISEVSPTKYRGSLGTTSQIGTCLGIIASLCLGISSEGDPH >DRNTG_28994.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9134072:9146424:1 gene:DRNTG_28994 transcript:DRNTG_28994.15 gene_biotype:protein_coding transcript_biotype:protein_coding MPSATALCPPRPHLFLSPWTSRVLSLHPQSSQLKRALTLSPPFRRGSSAVATGKKPNLVSPEPVSQTPDDETGAVRERVERLDLGWLPAFPHVFTASMTNFLFGYHIGVMNGPIQAIAQELGFDGNSFIEGLVVSIFIAGAFIGSISSSALIDKLGCRRTLQIDTIPLILGAILSAQAHSVDEMLLGRFLVGLGIGVNTVLVPIYISEVSPTKYRGSLGTTSQIGTCLGIIASLCLGISSEGDPHWWRAMLYLASVPAFILLFGMRFAVESPRWLCKVGRVADAKKVIGSIWSDSDIERSIEEIQAVITNDSRDLGSSWVELFVEPHKRVAFIGGSLFILQQFSGINGVLYFSSLTFHDVGITNGALGSLFVGITNFAGALCALYLMDKQGRLRLLTGSYLGM >DRNTG_28994.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9134072:9152037:1 gene:DRNTG_28994 transcript:DRNTG_28994.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPSATALCPPRPHLFLSPWTSRVLSLHPQSSQLKRALTLSPPFRRGSSAVATGKKPNLVSPEPVSQTPDDETGAVRERVERLDLGWLPAFPHVFTASMTNFLFGYHIGVMNGPIQAIAQELGFDGNSFIEGLVVSIFIAGAFIGSISSSALIDKLGCRRTLQIDTIPLILGAILSAQAHSVDEMLLGRFLVGLGIGVNTVLVPIYISEVSPTKYRGSLGTTSQIGTCLGIIASLCLGISSEGDPH >DRNTG_28994.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9134072:9152037:1 gene:DRNTG_28994 transcript:DRNTG_28994.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSATALCPPRPHLFLSPWTSRVLSLHPQSSQLKRALTLSPPFRRGSSAVATGKKPNLVSPEPVSQTPDDETGAVRERVERLDLGWLPAFPHVFTASMTNFLFGYHIGVMNGPIQAIAQELGFDGNSFIEGLVVSIFIAGAFIGSISSSALIDKLGCRRTLQIDTIPLILGAILSAQAHSVDEMLLGRFLVGLGIGVNTVLVPIYISEVSPTKYRGSLGTTSQIGTCLGIIASLCLGISSEGDPHWWRAMLYLASVPAFILLFGMRFAVESPRWLCKVGRVADAKKVIGSIWSDSDIERSIEEIQAVITNDSRDLGSSWVELFVEPHKRVAFIGGSLFILQQFSGINGVLYFSSLTFHDVGITNGALGSLFVGITNFAGALCALYLMDKQGRLRLLTGSYLGMAISMLIIVCVINSPIDEELSHNLSILGTIM >DRNTG_28994.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9134072:9152037:1 gene:DRNTG_28994 transcript:DRNTG_28994.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSATALCPPRPHLFLSPWTSRVLSLHPQSSQLKRALTLSPPFRRGSSAVATGKKPNLVSPEPVSQTPDDETGAVRERVERLDLGWLPAFPHVFTASMTNFLFGYHIGVMNGPIQAIAQELGFDGNSFIEGLVVSIFIAGAFIGSISSSALIDKLGCRRTLQIDTIPLILGAILSAQAHSVDEMLLGRFLVGLGIGVNTVLVPIYISEVSPTKYRGSLGTTSQIGTCLGIIASLCLGISSEGDPH >DRNTG_28994.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9134072:9136105:1 gene:DRNTG_28994 transcript:DRNTG_28994.18 gene_biotype:protein_coding transcript_biotype:protein_coding MPSATALCPPRPHLFLSPWTSRVLSLHPQSSQLKRALTLSPPFRRGSSAVATGKKPNLVSPEPVSQTPDDETGAVRERVERLDLGWLPAFPHVFTASMTNFLFGYHIGVMNGPIQAIAQELGFDGNSFIEGLVVSIFIAGAFIGSISSSALIDKLGCRRTLQIDTIPLILGAILSAQAHSVDEMLLGRFLVGLGIGVNTVLVPIYISE >DRNTG_28994.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9136873:9152037:1 gene:DRNTG_28994 transcript:DRNTG_28994.12 gene_biotype:protein_coding transcript_biotype:protein_coding MLYDEDMILWRAMLYLASVPAFILLFGMRFAVESPRWLCKVGRVADAKKVIGSIWSDSDIERSIEEIQAVITNDSRDLGSSWVELFVEPHKRVAFIGGSLFILQQFSGINGVLYFSSLTFHDVGITNGALGSLFVGITNFAGALCALYLMDKQGRLRLLTGSYLGMAISMLIIVCVINSPIDEELSHNLSILGTIIYIFTFAIGAGPVTGIIIPELSSTHVRARIMSFSFSVHWICNFMVGLFFLELVDIFGVGPVYATFGGVSFLAAIFAIYFIVETKGRSLEEIELSLNSSLFRKDE >DRNTG_28994.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9134072:9139565:1 gene:DRNTG_28994 transcript:DRNTG_28994.16 gene_biotype:protein_coding transcript_biotype:protein_coding MPSATALCPPRPHLFLSPWTSRVLSLHPQSSQLKRALTLSPPFRRGSSAVATGKKPNLVSPEPVSQTPDDETGAVRERVERLDLGWLPAFPHVFTASMTNFLFGYHIGVMNGPIQAIAQELGFDGNSFIEGLVVSIFIAGAFIGSISSSALIDKLGCRRTLQIDTIPLILGAILSAQAHSVDEMLLGRFLVGLGIGVNTVLVPIYISEVSPTKYRGSLGTTSQIGTCLGIIASLCLGISSEGDPHWWRAMLYLASVPAFILLFGMRFAVESPRWLCKV >DRNTG_28994.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9134072:9152037:1 gene:DRNTG_28994 transcript:DRNTG_28994.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSATALCPPRPHLFLSPWTSRVLSLHPQSSQLKRALTLSPPFRRGSSAVATGKKPNLVSPEPVSQTPDDETGAVRERVERLDLGWLPAFPHVFTASMTNFLFGYHIGVMNGPIQAIAQELGFDGNSFIEGLVVSIFIAGAFIGSISSSALIDKLGCRRTLQIDTIPLILGAILSAQAHSVDEMLLGRFLVGLGIGVNTVLVPIYISEVSPTKYRGSLGTTSQIGTCLGIIASLCLGISSEGDPHWWRAMLYLASVPAFILLFGMRFAVESPRWLCKVGRVADAKKVIGSIWSDSDIERSIEEIQAVITNDSRDLGSSWVELFVEPHKRVAFIGGSLFILQQFSGINGVLYFSSLTFHDVGITNGALGSLFVGITNFAGALCALYLMDKQGRLRLLTGSYLGMAISMLIIVCVINSPIDEELSHNLSILGTIIFATLWWDYFSWN >DRNTG_28994.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9134072:9152037:1 gene:DRNTG_28994 transcript:DRNTG_28994.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRWRAMLYLASVPAFILLFGMRFAVESPRWLCKVGRVADAKKVIGSIWSDSDIERSIEEIQAVITNDSRDLGSSWVELFVEPHKRVAFIGGSLFILQQFSGINGVLYFSSLTFHDVGITNGALGSLFVGITNFAGALCALYLMDKQGRLRLLTGSYLGMAISMLIIVCVINSPIDEELSHNLSILGTIIYIFTFAIGAGPVTGIIIPELSSTHVRARIMSFSFSVHWICNFMVGLFFLELVDIFGVGPVYATFGGVSFLAAIFAIYFIVETKGRSLEEIELSLNSSLFRKDE >DRNTG_28994.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9134072:9152037:1 gene:DRNTG_28994 transcript:DRNTG_28994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSATALCPPRPHLFLSPWTSRVLSLHPQSSQLKRALTLSPPFRRGSSAVATGKKPNLVSPEPVSQTPDDETGAVRERVERLDLGWLPAFPHVFTASMTNFLFGYHIGVMNGPIQAIAQELGFDGNSFIEGLVVSIFIAGAFIGSISSSALIDKLGCRRTLQIDTIPLILGAILSAQAHSVDEMLLGRFLVGLGIGVNTVLVPIYISEVSPTKYRGSLGTTSQIGTCLGIIASLCLGISSEGDPHWWRAMLYLASVPAFILLFGMRFAVESPRWLCKVGRVADAKKVIGSIWSDSDIERSIEEIQAVITNDSRDLGSSWVELFVEPHKRVAFIGGSLFILQQFSGINGVLYFSSLTFHDVGITNGALGSLFVGITNFAGALCALYLMDKQGRLRLLTGSYLGMAISMLIIVCVINSPIDEELSHNLSILGTIIYIFTFAIGAGPVTGIIIPELSSTHVRARIMSFSFSVHWICNFMVGLFFLELVDIFGVGPVYATFGGVSFLAAIFAIYFIVETKGRSLEEIELSLNSSLFRKDE >DRNTG_28994.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9138190:9152037:1 gene:DRNTG_28994 transcript:DRNTG_28994.13 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRWRAMLYLASVPAFILLFGMRFAVESPRWLCKVGRVADAKKVIGSIWSDSDIERSIEEIQAVITNDSRDLGSSWVELFVEPHKRVAFIGGSLFILQQFSGINGVLYFSSLTFHDVGITNGALGSLFVGITNFAGALCALYLMDKQGRLRLLTGSYLGMAISMLIIVCVINSPIDEELSHNLSILGTIICMQLYIHICNRCWSSYWYNHTRA >DRNTG_12928.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1538658:1541770:1 gene:DRNTG_12928 transcript:DRNTG_12928.1 gene_biotype:protein_coding transcript_biotype:protein_coding GPVTGPHINYSSSPNFWVSLPIWADNCHAPAPKPSPLTDRPHPTGLGPTRCKASSLTWSESTLSSRTGNHNKMI >DRNTG_12597.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20328337:20328714:1 gene:DRNTG_12597 transcript:DRNTG_12597.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGSEELRGAWRRKQNWRKREVKRGIKRLGKLMNSVSSLISGFREAIDRVPEIQSVALVLGGSVLRPRCVYELEVSSVGFDSCGPRDSSKTKLAQALSRK >DRNTG_12597.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20327963:20328714:1 gene:DRNTG_12597 transcript:DRNTG_12597.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESTGEGGGGGGALGFTEIETTAEALGRDEIAHIVKEILGFVLYMHRQIPSVLQNLEKEFDALKEEHKEEEEEMVVGSEELRGAWRRKQNWRKREVKRGIKRLGKLMNSVSSLISGFREAIDRVPEIQSVALVLGGSVLRPRCVYELEVSSVGFDSCGPRDSSKTKLAQALSRK >DRNTG_12597.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20327963:20329726:1 gene:DRNTG_12597 transcript:DRNTG_12597.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTGEGGGGGGALGFTEIETTAEALGRDEIAHIVKEILGFVLYMHRQIPSVLQNLEKEFDALKEEHKEEEEEMVVGSEELRGAWRRKQNWRKREVKRGIKRLGKLMNSVSSLISGFREAIDRVPEIQSVALVLGGSVLRPRCVYELEVSSVGFDSCGPRDSSKTKLAQALSRKAIRALISNGSRQFFLLR >DRNTG_12597.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20327963:20329987:1 gene:DRNTG_12597 transcript:DRNTG_12597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTGEGGGGGGALGFTEIETTAEALGRDEIAHIVKEILGFVLYMHRQIPSVLQNLEKEFDALKEEHKEEEEEMVVGSEELRGAWRRKQNWRKREVKRGIKRLGKLMNSVSSLISGFREAIDRVPEIQSVALVLGGSVLRPRCVYELEVSSVGFDSCGPRDSSKTKLAQALSRKAIRALISNGSRQFFLLRSY >DRNTG_16553.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8514366:8545193:-1 gene:DRNTG_16553 transcript:DRNTG_16553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEDVSSNAPRFRRILRQAWASNPELDPMLNENLEQWPHLNELVQCYKADWVKDEIKYGHYESVSPLPFQNQIFEGPDTDIETEIHLARSRHSKAEDATDDDTPSTSGRQISEFGPDNLSTKHHFGFSPLPAYEPTFDWETERSLIFGQRISENYPAQYSSGLKITVKVLSLSFQAGLVEPFYGTICLYNRERREKLSEDFYFHVLPTDTQEATIILERRGVFSLDTPSSAICFLIQLEKPATEEGGIAPSVYSRKEPLHLTERERQKLQIWSRIMPYRESFAWVIVPLFENHNNVSASGAASPGSPIAPSVSGSSSQESAMESGAKITLDGKLAQYSSGSSVVLEISNLNKVKESYTEDSLQDPKRKVHKPVKGILRLEVEKLQAAHVQPDSISESGSLTNDTSDAGDRLAEPNSSKYFAHGSREVRVSNPKYNSVDAKEPHQNGSNAMMGCLPDSDSFDFHAFDFRVMTRSEPFSQLLHCLYVYPLTVSLGRKRNLFIRVEMRKDDSDIRKHPLGAMYPRDHGAALQKWAHTQVSVGSRMACYHDEIKISLPAILSPQHHLLFTLFHVDLQTKQEAPKPVIVGYAALPLSTHAQLHSEVSLPILRELVPHYLQDSIKERLDYLEDGKNVFRLRLRLCSSLFPVNERVRDFFLEYDRHILRTSPPWGSELLEAINSLKNVESTALLQFLQPILNMLLHLIGDGGETLQVAAFRAMVNILTRVQQESSDGADRNRFLVNYVDYAFDDFGGRQAPVHPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMALEQSRLFYHSLPLGDDVPPLQLRDAVFHCIKQLYDCLLTEVHERCKKGLSLAKRLNSSLAFFCYDLLSIIEPRQVFELVSSYMDKFAGVCQSVLHDCKLTFLQILCDHDLFVEMPGRDPSDRNYLSSVLIQELFLTWDHDDLTQRAKAARILVVLMCKHEFDSRYQKREDKLYIAQLYFPLIGQILDEMPVFYNLNAVEKREVLIVVLQIIRNLDDASLVKAWQQSIARTRLFFKLLEECLVVFEHKKPTDSLLMSCSSRSPDSEAPASPKYSDRLSPAINSYLSEASRQEVRTQGTPENGYMWHKVSPQLSSPSQPYSLREALAQAQSSRIGTSTRALRESLHPILRQKLELWEENLSTAVSLQVLEMTDKFSSAAASHSIATDFGKLDCITSIVMGLFSRSQPLAFWKALFPVFNNVFNLHGATLMARENDRFLKQVAFHLLRLAVFRNDSIRRRAVVGLQILVRNSFYHFTNTTRLRVMLTITLSELMSDVQVTQMKYDGSLEESGEARRLRKSLCEMADEDRSLDLIEESGLPRNALEAVPGGTTDTRWSWHEVRHLSECLLQALDAGLEHALLGSVMNVDRYAAAESFYKLAMAYAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVIMQALVGRNDAVWSRDHVAALRKICPMVSDAVNAEASAAEVEGYGASKLTVDSAVKYLQLANKLFSQAELHHFCASILELIIPVYKSRRAFGQLAKCHTSLTNIYEAILEQESSPIPFIDATYYRVGFYGERFGKLDRKEYVYREPRDVRLGDIMEKLSHIYESRMDGATLHIIPDSRQVNAEELQSGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGSVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRSVLQTEGSFPALVNRLLVVKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQDFHTQLVNGFQSLTAELSHYIPAILSEL >DRNTG_07016.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3250236:3250511:-1 gene:DRNTG_07016 transcript:DRNTG_07016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLPDRQEMNKDDLKKWFFAKMDTNKDGQISKKELRLGLKELGHSFSWLKTIGTFWFCDTNGNGAIDTDSEINKLIIFAQHIWGIKVTN >DRNTG_08567.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000387.1:52882:54672:-1 gene:DRNTG_08567 transcript:DRNTG_08567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRIANSSSSISRGPLPQVVLPTSDLSPRCYIRKSRS >DRNTG_19380.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22264077:22270306:-1 gene:DRNTG_19380 transcript:DRNTG_19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear cap-binding protein subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G44200) UniProtKB/Swiss-Prot;Acc:Q9XFD1] MASLFKDPNKLSAYRDRRFSGTQEEYEHALRTSTTLYVGNMSFYSTEEQVYELFSRAGEIKKIIMGLDKNSKTPCGFCFILYYSREDTEDAVKYISGTILDDRPIRVDFDWGFEEGRQWGRGRSGGQVRDEYRTDYDPDILLGFFFFFLK >DRNTG_19380.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22264077:22270306:-1 gene:DRNTG_19380 transcript:DRNTG_19380.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear cap-binding protein subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G44200) UniProtKB/Swiss-Prot;Acc:Q9XFD1] MASLFKDPNKLSAYRDRRFSGTQEEYEHALRTSTTLYVGNMSFYSTEEQVYELFSRAGEIKKIIMGLDKNSKTPCGFCFILYYSREDTEDAVKYISGTILDDRPIRVDFDWGFEEGRQWGRGRSGGQVRDEYRTDYDPDRGGYGKLVQRELEAQRQLVDYGAGSLGAFQPSYSRHDGDRRGRPNNRYERDHHHHHHHHHHRKRHRENEHSGHETSRRTSDYDSRRPSDQDSRQEKNPRFRDSGDSEEDEDDDRKRRR >DRNTG_32289.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001817.1:32295:34118:1 gene:DRNTG_32289 transcript:DRNTG_32289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPHFTWKIAIGGAFVSTDPVRCALGLTRGPLEKDEATLQDHRHNYREAFRLDQRVRFTYRNRVYHLESLERLATLHSMRIWYHSHKHEMFLTLIVTMLIKLKPRINRR >DRNTG_35322.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1505866:1506962:-1 gene:DRNTG_35322 transcript:DRNTG_35322.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMISEEKADTGNIIPPVFGKDDFNEEEEDTIDVGPPMFNEGDLDEEVFEGDVGFGQLVTGYSCANQQSRVYRCITLPTRENPSPATKQLVL >DRNTG_19398.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22154298:22160495:1 gene:DRNTG_19398 transcript:DRNTG_19398.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRFSSTLIGAPTSTSFFRSVHPIAHPSLPHLSNRVLVAPLVVHNPSLPITTEAPKTTRWKPLCLYHTHGKCTQVNDPLHLEKFNHNYQIDLQVNATELDNLRPQNFDYFLVLDLEGKVEILEFPVVMINAKNMEFIDLFHRFVRPVGMSEKRISEYIEGKYGKMGLERVWHDTATPFKDVLQEFQIWMEKHSILEKESGGPLHRAAFITCGNWDLKTKIPEQLKVSKIKPPAYFMEWINLKDIYLNFYNRRVSKATGMMTMMRELNIPLLGSHHLGIDDTKNIVRVLQHMLADGAVLQITAKRSSSVTGDVKFLFKNRIR >DRNTG_19398.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22154298:22160495:1 gene:DRNTG_19398 transcript:DRNTG_19398.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRFSSTLIGAPTSTSFFRSVHPIAHPSLPHLSNRVLVAPLVVHNPSLPITTEAPKTTRWKPLCLYHTHGKCTQVNDPLHLEKFNHNYQIDLQVNATELDNLRPQNFDYFLVLDLEGKVEILEFPVVMINAKNMEFIDLFHRFVRPVGMSEKRISEYIEGKYGKMGLERVWHDTATPFKDVLQEFQIWMEKHSILEKESGGPLHRAAFITCGNWDLKTKIPEQLKVSKIKPPAYFMEWINLKDIYLNFYNRRATGMMTMMRELNIPLLGSHHLGIDDTKNIVRVLQHMLADGAVLQITAKRSSSVTGDVKFLFKNRIR >DRNTG_30876.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2268251:2269107:-1 gene:DRNTG_30876 transcript:DRNTG_30876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHETHVKQTLIYPAFTEEYHHHQHHHQHHHQRHHQHHQVLQRHHQHHQVLRHLQVLRHHLNRQHLQRHQHHQDHQRHQTPESQQHQLHHQHRHLHLQKQSSSYLRLQRRRPPDSWCSSPEHVQELVLPMHDHELVQLLAQCKQAHKS >DRNTG_00221.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15620972:15621867:1 gene:DRNTG_00221 transcript:DRNTG_00221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASERSSFDVKAIVEKEGDFTDWGKFQIDGKSSTNGPLNLAEVYAEALNASCAVDSLQIIKEKDPKLFFLQYHNLKANADRIFALPISVFQSKQDCSSFVIDAGIAQWLKDNFIVMGNNKYVLRTDIDRQMSLILEGSSRIGKTTWARSFCPYNYICGHMDFNANTFTNDVMYM >DRNTG_26373.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:749196:761069:1 gene:DRNTG_26373 transcript:DRNTG_26373.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISTCFASTTRLSHFPCLSPLPKPSLLLLRSSPNYDHTLSRRFRLAILVNPLRPRVSIPIIRASVQVPSGIRPGGAVETDKLSSDVRKRAMDAVDSYGGRVTIGDVASRAGLKLDEAEKALQALAADTGGFLEVSDEGDVLYVFPRDYRTKLAAKSFKMKVEPLLDKSKAAAAYVIRVSFGTALIASIVLVYTTIIALISSRSDEDNRGRRGGRSYYDSGGTFFFRPSDLFWYWDPYYYRRKQERDNGMNFIESVFSFVFGDGDPNQGIEEERWKLIGQYITSNGGVVAAEELAPYLDVPSANETVDDESYILPVLLRFDGHPEVDDEGNILYRFPSLQRTASSQKSRRKEYVGKKWAEAFDGARKFFQEKKWLFSKTGSSERAMVIGLGALNFFGVVILGSMLKSVAAAPQGLVSFVSEIFPFLQIYAASFFAIPVFRWFLLLRTNARIERRNLARQQRARALELPNPTLRSKLLNARDMAQRKVIGSDKIVYSTQKDLIDQDYDARDWEQRFKELEKSD >DRNTG_35117.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3010559:3016395:-1 gene:DRNTG_35117 transcript:DRNTG_35117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFSVNVNIVDRLFGKWELGNKFGKFSMVVNKLKHKKRARTLRSCCVSAAFEHAHESESNANDSKKLWISLSKKLNAFYLFIRPHTILGTIVGITSVSLLPLESVADFSLTFLIGLIKALVPALLMNIYVVGLNQIFDVEIDKINKPNLPLASGEFSMSKGVLIVVACGILSFAIGWKSRSPPVLFALCISFLLGSVYSIDLPFLRWKRYAFLAASCILCVRAILVQIAFFLHMQDIPDIDGDRDFGIQSFTVRLGQERVFWLCIKLLLTAYLAAMFVGASSSNMYKKFVTVIGHGLLASVLWFNACSLDLKKKSSITSFYMLIWKELKVLKLMKMKI >DRNTG_03858.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18201502:18209450:1 gene:DRNTG_03858 transcript:DRNTG_03858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWATTESCTTFTRFPNATTNIEKRFTTEDILAKFIINTESRFNSITSSMDTQFSKVNAQLAQHAGQFNEIGSILRNLQASVQSFEHQVGQLAKANSERPSGSLPRNSKENPREHLKAIAIRSGKQVETRVGVDPSVKETRGSIIIKPIG >DRNTG_09772.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20152514:20155993:1 gene:DRNTG_09772 transcript:DRNTG_09772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRASSARPFDDLAGDKAFRADAAGELSNSAEDTQRSNGEARRTLEKGRAGQYIFDPVTTLPVRYRRLQSGDDTSGPVTAHQAVLLLPWIRACIPTPLLRSLATISLSPLQSNSGPLRNKEARRWHQKPFPYFTALQEIYEGRYAEGRRSRDVDYYANMPMDTPSPSIPAPNDPIQSLSTPEIEIEDPDFAQVKPPCSQPNISQPRNSCSASRQRLGDEVQRRKKDRKRKNVQESFLEQYIDMRRVETDRYIDAIKMNRVEEKYTI >DRNTG_05750.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:18821598:18931151:-1 gene:DRNTG_05750 transcript:DRNTG_05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAKHFIRTNVEPKRMVLCLLPVLPPELRPIIQIDGGKLMSSDINELYRRVIYRNNTLTDLLATSRSMPGELVMCQEKLVQEAVDTLLDNGIRGQPMKDGHNKVYKSFSDVIEGKEGRFRETLLGKRVDYSGRSVIVVGPSLSLHQCGLPREIAIELFQTFLIHGLIKQHVASNIGIAKSKIREKEPIVWEILQEVMRGHPILLNRAPTLHRLGIQAFQPILVEERAICLHPLVCRGFNADFDGDQMAVHVPLSLEAQAEARLLMFSHMNLLSPAIGDPISVPTQDMLIGLYVLTIGNRRGICANSSYDALGAYRQKRIDLDSPLWLRWRLDQRVIGSREVPIEIQYESLGTYHEIYRHY >DRNTG_03402.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20892758:20897268:1 gene:DRNTG_03402 transcript:DRNTG_03402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRNVKVPNVPGGARAAGTLVKLAVVGGVAVYGALNSLYNVEGGHRAIVFNRLQGIKDKVYPEGTHLIIPWFERPIIYDVRARPHLVESTSGSRDLQMVKIGLRVLTRPVADQLPTIYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQRETVSREIRKILTDRARNFNIALDDVSITTLSFGKEFTHAIEAKQVAAQEAERAKFIVEKAEQDKKSAIIRAQGEAKSAQLIGQAIANNPAFLTLRQIEAAREIAQTIANSSNRVYLKSDDLLLNLQQLDFENQTKKK >DRNTG_11007.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:13119198:13119844:1 gene:DRNTG_11007 transcript:DRNTG_11007.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATKSCSILSAIEIHGLSSWGSTPQPVRRKDLPPNFRSTGSRRREGLAGSPEGNQREMELGA >DRNTG_11007.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:13116357:13119844:1 gene:DRNTG_11007 transcript:DRNTG_11007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATKSCSILSAIEIHGLSSWGSTPQPVRRKDLPPNFRSTGSRRREGLAGSPEGNQREMELGA >DRNTG_08129.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1441043:1445434:1 gene:DRNTG_08129 transcript:DRNTG_08129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHAKSSKSNPIARWHICQGSINSISFSADGAFLATVGRDGYLRVFDYSKEQLICGGKSYYGALLCCAWSPDGKYILTGGEDDLVQVWSMEDRKIVACGEGHNSWVSGVTFDSYWSVPTSDGTGENVMYRFGSVGQDTQLLLWDLVMDEIVVPLRYCPSGGSPTLSSGSPSAHWDNIIPIGTLQPAPSMRDVPKLSPVVAHRAHIEPLSGLIFTSESVLTICREGHIKKWVRPAQGDIGQSNGSEVTLMSITSSNNMCVPTIKPIGSNHKPPPAVHRD >DRNTG_14553.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:27:51031:52024:1 gene:DRNTG_14553 transcript:DRNTG_14553.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPRSTRPYVPPSLPRNKAFLKSFSQESGKGP >DRNTG_14553.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:27:51031:53267:1 gene:DRNTG_14553 transcript:DRNTG_14553.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFARPAPMGPPRSTRPYVPPSLPRNKAFLKSFSQESGKGPLLVRQLQDSCITTGSSSRDNSADALRLANLAS >DRNTG_23092.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19849979:19853311:1 gene:DRNTG_23092 transcript:DRNTG_23092.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G53580) UniProtKB/Swiss-Prot;Acc:Q56Y42] MGPEQMELKAISNSLGIGLISYSPLGLGMLTGKYSTSNLPRGPRGLLFRQILPGLNPLLNSLREIAQRRGKTMSQIAINWCICKGTIPIPGVKTVEQAEENLGSLGWRLSSDEIFELETAAEESPRKMIQNIFQTR >DRNTG_23092.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19849979:19853311:1 gene:DRNTG_23092 transcript:DRNTG_23092.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G53580) UniProtKB/Swiss-Prot;Acc:Q56Y42] MINWLNVFGRSSLDRLQLEQIGIGQLHWSTANYAPLQEKALWDGLVAMYEKGLVRAVGVSNYGPKQLIKIYDYLNSRGVPLCSAQVQFSLLSMGPEQMELKAISNSLGIGLISYSPLGLGMLTGKYSTSNLPRGPRGLLFRQILPGLNPLLNSLREIAQRRGKTMSQIAINWCICKGTIPIPGVKTVEQAEENLGSLGWRLSSDEIFELETAAEESPRKMIQNIFQTR >DRNTG_23092.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19849979:19853311:1 gene:DRNTG_23092 transcript:DRNTG_23092.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G53580) UniProtKB/Swiss-Prot;Acc:Q56Y42] MGPEQMELKAISNSLGIGLISYSPLGLGMLTGKYSTSNLPRGPRGLLFRQILPGLNPLLNSLREIAQRRGKTMSQIAINWCICKGTIPIPGVKTVEQAEENLGSLGWRLSSDEIFELETAAEESPRKMIQNIFQTR >DRNTG_23092.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19849979:19853311:1 gene:DRNTG_23092 transcript:DRNTG_23092.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G53580) UniProtKB/Swiss-Prot;Acc:Q56Y42] MHYCADKYVHKHLMSSLDRLQLEQIGIGQLHWSTANYAPLQEKALWDGLVAMYEKGLVRAVGVSNYGPKQLIKIYDYLNSRGVPLCSAQVQFSLLSMGPEQMELKAISNSLGIGLISYSPLGLGMLTGKYSTSNLPRGPRGLLFRQILPGLNPLLNSLREIAQRRGKTMSQIAINWCICKGTIPIPGVKTVEQAEENLGSLGWRLSSDEIFELETAAEESPRKMIQNIFQTR >DRNTG_23092.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19849979:19853311:1 gene:DRNTG_23092 transcript:DRNTG_23092.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G53580) UniProtKB/Swiss-Prot;Acc:Q56Y42] MGFGTWAWGNQLLWGYREEMDSVLQETFNLAIRNGINLFDTADSYGTGRLNGQSERLLGKFIRQGPNKVQDKIVIATKFAAYPWRLTAGQFVKACESSLDRLQLEQIGIGQLHWSTANYAPLQEKALWDGLVAMYEKGLVRAVGVSNYGPKQLIKIYDYLNSRGVPLCSAQVQFSLLSMGPEQMELKAISNSLGIGLISYSPLGLGMLTGKYSTSNLPRGPRGLLFRQILPGLNPLLNSLREIAQRRGKTMSQIAINWCICKGTIPIPGVKTVEQAEENLGSLGWRLSSDEIFELETAAEESPRKMIQNIFQTR >DRNTG_10730.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1957184:1958911:1 gene:DRNTG_10730 transcript:DRNTG_10730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAQQWLSLVGAIWLQTINGPNSDFPVYSSELKDLLTISQLQLNNLAFASDAGKLFGWLSGLAAVYLPLWLVLLIGSCLGLIGYGFQFLFLVHKFSKLSYWHVFLLTVLAGNGVCWINTVCYLICIQNFSSNQRSAVLGISTSYVGLSAKVYTVLADSFFHFLTSQSKAKSYLLLNATVPMLASIVTSPFTRKIHPANQEQLKSSALIFLFIITIATGVCAVISSVGSMSSGRRLATQHAISLGLLLALPLVIPVAMKFKQVLEDNKVHDLVSIHQVDVKEASEDNQSKEENIGASSMIKKLNFWLYYFSYMFSATLGLVFLNNMGQIAESRGLSKTSSLVSISSSFGFFGRLVPSLLDFYFSKNGHVISRTASMTILMAPIAGSFFLLLNQSNLSLYISTAVIGACTGAITCIAVSTTPELFGIKHFGVNHNVVVTNIPIGSLIFGFFAAFVYQNKENGSRSCMGSECFRTTFVLWGSICSFGTLLCFFLYLRTRKKFYLDTI >DRNTG_10730.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1957065:1958911:1 gene:DRNTG_10730 transcript:DRNTG_10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAQQWLSLVGAIWLQTINGPNSDFPVYSSELKDLLTISQLQLNNLAFASDAGKLFGWLSGLAAVYLPLWLVLLIGSCLGLIGYGFQFLFLVHKFSKLSYWHVFLLTVLAGNGVCWINTVCYLICIQNFSSNQRSAVLGISTSYVGLSAKVYTVLADSFFHFLTSQSKAKSYLLLNATVPMLASIVTSPFTRKIHPANQEQLKSSALIFLFIITIATGVCAVISSVGSMSSGRRLATQHAISLGLLLALPLVIPVAMKFKQVLEDNKVHDLVSIHQVDVKEASEDNQSKEENIGASSMIKKLNFWLYYFSYMFSATLGLVFLNNMGQIAESRGLSKTSSLVSISSSFGFFGRLVPSLLDFYFSKNGHVISRTASMTILMAPIAGSFFLLLNQSNLSLYISTAVIGACTGAITCIAVSTTPELFGIKHFGVNHNVVVTNIPIGSLIFGFFAAFVYQNKENGSRSCMGSECFRTTFVLWGSICSFGTLLCFFLYLRTRKKFYLDTI >DRNTG_10730.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1957065:1958880:1 gene:DRNTG_10730 transcript:DRNTG_10730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAQQWLSLVGAIWLQTINGPNSDFPVYSSELKDLLTISQLQLNNLAFASDAGKLFGWLSGLAAVYLPLWLVLLIGSCLGLIGYGFQFLFLVHKFSKLSYWHVFLLTVLAGNGVCWINTVCYLICIQNFSSNQRSAVLGISTSYVGLSAKVYTVLADSFFHFLTSQSKAKSYLLLNATVPMLASIVTSPFTRKIHPANQEQLKSSALIFLFIITIATGVCAVISSVGSMSSGRRLATQHAISLGLLLALPLVIPVAMKFKQVLEDNKVHDLVSIHQVDVKEASEDNQSKEENIGASSMIKKLNFWLYYFSYMFSATLGLVFLNNMGQIAESRGLSKTSSLVSISSSFGFFGRLVPSLLDFYFSKNGHVISRTASMTILMAPIAGSFFLLLNQSNLSLYISTAVIGACTGAITCIAVSTTPELFGIKHFGVNHNVVVTNIPIGSLIFGFFAAFVYQNKENGSRSCMGSECFRTTFVLWGSICSFGTLLCFFLYLRTRKKFYLDTI >DRNTG_10730.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1957184:1959023:1 gene:DRNTG_10730 transcript:DRNTG_10730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAQQWLSLVGAIWLQTINGPNSDFPVYSSELKDLLTISQLQLNNLAFASDAGKLFGWLSGLAAVYLPLWLVLLIGSCLGLIGYGFQFLFLVHKFSKLSYWHVFLLTVLAGNGVCWINTVCYLICIQNFSSNQRSAVLGISTSYVGLSAKVYTVLADSFFHFLTSQSKAKSYLLLNATVPMLASIVTSPFTRKIHPANQEQLKSSALIFLFIITIATGVCAVISSVGSMSSGRRLATQHAISLGLLLALPLVIPVAMKFKQVLEDNKVHDLVSIHQVDVKEASEDNQSKEENIGASSMIKKLNFWLYYFSYMFSATLGLVFLNNMGQIAESRGLSKTSSLVSISSSFGFFGRLVPSLLDFYFSKNGHVISRTASMTILMAPIAGSFFLLLNQSNLSLYISTAVIGACTGAITCIAVSTTPELFGIKHFGVNHNVVVTNIPIGSLIFGFFAAFVYQNKENGSRSCMGSECFRTTFVLWGSICSFGTLLCFFLYLRTRKKFYLDTI >DRNTG_10730.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1957184:1958880:1 gene:DRNTG_10730 transcript:DRNTG_10730.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAQQWLSLVGAIWLQTINGPNSDFPVYSSELKDLLTISQLQLNNLAFASDAGKLFGWLSGLAAVYLPLWLVLLIGSCLGLIGYGFQFLFLVHKFSKLSYWHVFLLTVLAGNGVCWINTVCYLICIQNFSSNQRSAVLGISTSYVGLSAKVYTVLADSFFHFLTSQSKAKSYLLLNATVPMLASIVTSPFTRKIHPANQEQLKSSALIFLFIITIATGVCAVISSVGSMSSGRRLATQHAISLGLLLALPLVIPVAMKFKQVLEDNKVHDLVSIHQVDVKEASEDNQSKEENIGASSMIKKLNFWLYYFSYMFSATLGLVFLNNMGQIAESRGLSKTSSLVSISSSFGFFGRLVPSLLDFYFSKNGHVISRTASMTILMAPIAGSFFLLLNQSNLSLYISTAVIGACTGAITCIAVSTTPELFGIKHFGVNHNVVVTNIPIGSLIFGFFAAFVYQNKENGSRSCMGSECFRTTFVLWGSICSFGTLLCFFLYLRTRKKFYLDTI >DRNTG_26335.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1292265:1299439:1 gene:DRNTG_26335 transcript:DRNTG_26335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDLVVPQLLHQLRPRDHLRRRRSPPISPLASRLSSQARRSGTTRKQRNGPSS >DRNTG_26335.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1292265:1299439:1 gene:DRNTG_26335 transcript:DRNTG_26335.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDLVVPQLLHQLRPRDHLRRRRSPPISPLASRLSSQARRSGTTRKQRNGPSS >DRNTG_26335.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1296909:1299439:1 gene:DRNTG_26335 transcript:DRNTG_26335.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDLVVPQLLHQLRPRDHLRRRRSPPISPLASRLSSQARRSGTTRKQRNGPSS >DRNTG_26335.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1296953:1299460:1 gene:DRNTG_26335 transcript:DRNTG_26335.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDLVVPQLLHQLRPRDHLRRRRSPPISPLASRLSSQARRSGTTRKQRNGPSSPTRARET >DRNTG_26335.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1296953:1299439:1 gene:DRNTG_26335 transcript:DRNTG_26335.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDLVVPQLLHQLRPRDHLRRRRSPPISPLASRLSSQARRSGTTRKQRNGPSS >DRNTG_26335.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1296792:1299439:1 gene:DRNTG_26335 transcript:DRNTG_26335.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDLVVPQLLHQLRPRDHLRRRRSPPISPLASRLSSQARRSGTTRKQRNGPSS >DRNTG_14887.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2973883:2979454:1 gene:DRNTG_14887 transcript:DRNTG_14887.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNGGCRRSPRSLSRISALAAMNNTPSPLQPKASFLTVFSRSQPG >DRNTG_14887.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2973883:2979454:1 gene:DRNTG_14887 transcript:DRNTG_14887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNGGCRRSPRSLSRISALAAMNNTPSPLQPKASFLTVFSRSQPG >DRNTG_13000.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13060894:13065551:-1 gene:DRNTG_13000 transcript:DRNTG_13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPILRVVWKKVIGRAFVGIDPARCILGRTKDPLRRVEDSPQDHRHDHRGDTTDEIVDEYMQEMFNPDLYEGLFDQEEGHEDVMMLGSTVEVPSTPGILKKVLQKMKRARRRHRKHSKAVGDVHEWKELDEPLLEPPGFSKASSEDTRACGISARSCICIASSSREGTGACGCRFERSCDYHTLGSGLAPVGDLVNHTRAWLISIRPCEMLQRSSLHPEKTQGRAAAPVSHRGVRLAMWVGRTGVGIFHTPVQGQSESKECFLERAQGRVCAPVALL >DRNTG_03443.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3146746:3149675:1 gene:DRNTG_03443 transcript:DRNTG_03443.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQVVMKNNDRSEAFTYSFHFLFFESHPTNGRALPGTSLVLSRNSRHHFNKSKVKPRTMELFSRNLLKIPSLKIMIPSPRADKLSDFNECSTSYVVKKGHFFLRTSKGKLFMVPLAYLNNNTFKELLKISEEDFGFLGGGPFTLPCNATSMEFVLSMIRRGVSQEVERALLCSISISCQSSVLLLLLNIGNN >DRNTG_09796.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000412.1:14428:16284:-1 gene:DRNTG_09796 transcript:DRNTG_09796.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 21 [Source:Projected from Arabidopsis thaliana (AT2G30590) UniProtKB/Swiss-Prot;Acc:O04336] MLKMEEVEEANRVAVESCHGVLNLISQSNELPYRTLMAETDEAVSKFKKVISLLSHGVGHARVKRFKKTEEFFPFDQNQNQTFLDYQLLFSTSSSSSCQPLRVLKNVHQNQILDLSSASRNALQQHHHQQQQQQQMRFQAEMFRRHNSGINLKFDNSSCTGTGSSTRSFVSSLSMDGSGASLDGKSFHLISGPQSSEPVNLHANTQRRCLGKGEHGSGKCASSGRCHCSKKRKHRVKRSIKVPAISNKLADIPPDDFSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCLEDPSMLIVTYEGEHNHTNSVTQSAHT >DRNTG_13008.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26665512:26669527:-1 gene:DRNTG_13008 transcript:DRNTG_13008.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPTKNLILTLFFILSTVCLIRLFRITLNIFSYSQHPPLLNTLMHQNTTLPDRIPHMSQRFAKYRSSSEEEPLNHKQYHLISDVISHRAPCNLLIFGLKAQLLDISKLNKGGTTIFLEDDAQKLRNRTLKTNAVQVYSVEYQDKASEAFELLKHAREHPECKPKARKLRESQCKLALTNLPNEIYRRRWDVVVIDGPRGDQPEAPGRMRAIYTAGMLARSGNSTDVFVHDTNHMIEKWYSREFLCQENLVSSKGNLWHFQGKGGLSSASFCEGNASAMSRNS >DRNTG_13008.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26667158:26674196:-1 gene:DRNTG_13008 transcript:DRNTG_13008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMRSFPERPWFILLTAAFLICGAMMISTVLRPSIPSLCPIPPASSDLRPAILHYATSSIVPQQSLSEIRLSFDVLRSISPCNFLVFGLGHDSIMWTSFNPSGTTVFLEEDPKWTQSVLQSAPDLTAHTVRYPTHLSDADHLLQSYKSEPSCLPPHAYLKDNHRCPLALADLPSSIYDREWDLIMIDAPRGYFNEAPGRMGAIWSAAVMARSRTRPGDTHVFLHDVDRKVEKTFAMEFLCKKYLVGGTGRIWHFKIPPAKNHTSATQFC >DRNTG_13008.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26673223:26674196:-1 gene:DRNTG_13008 transcript:DRNTG_13008.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMRSFPERPWFILLTAAFLICGAMMISTVLRPSIPSLCPIPPASSDLRPAILHYATSSIVPQQSLSEIRLSFDVLRSISPCNFLVFGLGHDSIMWTSFNPSGTTVFLEEDPKWTQSVLQSAPDLTAHTVRYPTHLSDADHLLQSYKSEPSCLPPHAYLKDNHRCPLALADLPSSIYDREWDLIMIDAPRGYFNEAPGRMGAIWSAAVMARSRTRPGDTHVFLHDVDRKVEKTFAMEFLCKKYLVGGTGRIWHFKIPPAKNHTSATQFC >DRNTG_13008.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26673223:26677162:-1 gene:DRNTG_13008 transcript:DRNTG_13008.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMRSFPERPWFILLTAAFLICGAMMISTVLRPSIPSLCPIPPASSDLRPAILHYATSSIVPQQSLSEIRLSFDVLRSISPCNFLVFGLGHDSIMWTSFNPSGTTVFLEEDPKWTQSVLQSAPDLTAHTVRYPTHLSDADHLLQSYKSEPSCLPPHAYLKDNHRCPLALADLPSSIYDREWDLIMIDAPRGYFNEAPGRMGAIWSAAVMARSRTRPGDTHVFLHDVDRKVEKTFAMEFLCKKYLVGGTGRIWHFKIPPAKNHTSATQFC >DRNTG_20272.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001110.1:5759:6404:-1 gene:DRNTG_20272 transcript:DRNTG_20272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYISNLRSGYWLVSPIKLSCFVIITDHKYFLLAGSAGNICNSPLFAEIAALTFALKECLKMKWKPMQIYTDCLNILKLISNPQGPTAWRLIDEACLLLRLLNSLQNPRIDLIDHEDNLIADHIANPAR >DRNTG_03150.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21352379:21359375:-1 gene:DRNTG_03150 transcript:DRNTG_03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSLTASPRASMASSSPTSVLRHSRGLQGSTKTPFDADFNPILDIGSRIGQAGADFGSCLHGLVHQFFRRFPAPFRQEEGDATVVRADLEGRKRDGAVEMRGSGAGEVVGLAAEQVGVWGLPEASKGGGLEELTDEEGLEADRRATEHFGMSQGSINITSTYNSRTNDYEGSLVAKGDMWRVEASRGGSTSGNENSSLFLVQLGPVLLVRDTTLLLPVHLSKKHLLWYGYDRKNGMHSLCPAIWSKHRWLLMSMICLNPLALSFMDLQFPNGHLTYVAGEGLTTSAFLPCFGGLLQAHSQYPGKTRFSFSCKNKRGTRITPMVQWPDKSFSLGVVQALAWKRCGLMMRPTIEFSICPTFGGSNPGLHAELVHSVKEKLSLICGYSGMGHPSAFVSVSLGRSKWNKNVGKYGIVIRAETPVSHLVQPSFSIQLNLGTEF >DRNTG_01961.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32646845:32648875:1 gene:DRNTG_01961 transcript:DRNTG_01961.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMMIKSSSLHPLLLLLLLQLTQLLLILSYFPQLTRAQTYQNISSDTTLTTSGTITSVLSPSGDFAFGFTPLPSNGNTTTDLFLLAIWLAKTADKAIVWTANGNSPAPAGSTLSLSSNGQLLLTGPDGDTIFSSSASGGSHAAMLDTGNLVLVDANSSDLIWQSFNFPTDTILPTQVLSLINAFINTQLQSKLTSTNYSSGRFIFSLKGGDVSLRQLASPINANQSYNSYWSTSTSGSGSKLIFDPLGIVYLELINNTRINITSAGVGSNTAADFYQRATLDFDGVFRHYVYHKGSNGSWAQGWTSQGHVPDDICRAITNDVGSGACGFNSYCQLDGDQRAVCQCPSKYSFIDSSNEYRGCMPDFVAQRCDVDDSARFQLVEMVNTDWPLSDYEHYTPIVEDQCRDYCLSDCFCAVAIFRNGECWKKKLPLSNGKIGGYVGGKALIKVTKDNASSYEPGTITTIVVKKNDRKGLIIAGSSLLGGSVFINILFVVAILFMIFCYPCKRKHPHHQDVSNVSEHGLRCFTYEELREATNGFSEELGSGAFSTVYKGAFLNGKDSICIAVKKLNKVCQDVNKEFLAEVRSIGQTHHKNLVRLLGYCNEEENRLLVYQFMSNGSLTSFLFGEMKLEWNRRVKHYLGRGKRAPLLA >DRNTG_01961.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32648926:32649529:1 gene:DRNTG_01961 transcript:DRNTG_01961.10 gene_biotype:protein_coding transcript_biotype:protein_coding MPITVKVDVYSFGVMLLETICCRKHLEESENEEAVVLVYWAYDCYREGRLDILVGSEREALLDMRRVERFVMVAIWCIQEDPSLRPTMPKVVLMLEGSVSVPVPPDPSSYMSSIQEERFLPS >DRNTG_01961.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32646517:32649779:1 gene:DRNTG_01961 transcript:DRNTG_01961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMMIKSSSLHPLLLLLLLQLTQLLLILSYFPQLTRAQTYQNISSDTTLTTSGTITSVLSPSGDFAFGFTPLPSNGNTTTDLFLLAIWLAKTADKAIVWTANGNSPAPAGSTLSLSSNGQLLLTGPDGDTIFSSSASGGSHAAMLDTGNLVLVDANSSDLIWQSFNFPTDTILPTQVLSLINAFINTQLQSKLTSTNYSSGRFIFSLKGGDVSLRQLASPINANQSYNSYWSTSTSGSGSKLIFDPLGIVYLELINNTRINITSAGVGSNTAADFYQRATLDFDGVFRHYVYHKGSNGSWAQGWTSQGHVPDDICRAITNDVGSGACGFNSYCQLDGDQRAVCQCPSKYSFIDSSNEYRGCMPDFVAQRCDVDDSARFQLVEMVNTDWPLSDYEHYTPIVEDQCRDYCLSDCFCAVAIFRNGECWKKKLPLSNGKIGGYVGGKALIKVTKDNASSYEPGTITTIVVKKNDRKGLIIAGSSLLGGSVFINILFVLWSDVARDHLLQETLGGE >DRNTG_01961.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32646398:32648336:1 gene:DRNTG_01961 transcript:DRNTG_01961.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMMIKSSSLHPLLLLLLLQLTQLLLILSYFPQLTRAQTYQNISSDTTLTTSGTITSVLSPSGDFAFGFTPLPSNGNTTTDLFLLAIWLAKTADKAIVWTANGNSPAPAGSTLSLSSNGQLLLTGPDGDTIFSSSASGGSHAAMLDTGNLVLVDANSSDLIWQSFNFPTDTILPTQVLSLINAFINTQLQSKLTSTNYSSGRFIFSLKGGDVSLRQLASPINANQSYNSYWSTSTSGSGSKLIFDPLGIVYLELINNTRINITSAGVGSNTAADFYQRATLDFDGVFRHYVYHKGSNGSWAQGWTSQGHVPDDICRAITNDVGSGACGFNSYCQLDGDQRAVCQCPSKYSFIDSSNEYRGCMPDFVAQRCDVDDSARFQLVEMVNTDWPLSDYEHYTPIVEDQCRDYCLSDCFCAVAIFRNGECWKKKLPLSNGKIGGYVGGKALIKVTKDNASSYEPGTITTIVVKKNDRKGLIIAGSSLLG >DRNTG_01961.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32649004:32649779:1 gene:DRNTG_01961 transcript:DRNTG_01961.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPITVKVDVYSFGVMLLETICCRKHLEESENEEAVVLVYWAYDCYREGRLDILVGSEREALLDMRRVERFVMVAIWCIQEDPSLRPTMPKVVLMLEGSVSVPVPPDPSSYMSSIQEERFLPS >DRNTG_01961.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32646559:32648795:1 gene:DRNTG_01961 transcript:DRNTG_01961.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMMIKSSSLHPLLLLLLLQLTQLLLILSYFPQLTRAQTYQNISSDTTLTTSGTITSVLSPSGDFAFGFTPLPSNGNTTTDLFLLAIWLAKTADKAIVWTANGNSPAPAGSTLSLSSNGQLLLTGPDGDTIFSSSASGGSHAAMLDTGNLVLVDANSSDLIWQSFNFPTDTILPTQVLSLINAFINTQLQSKLTSTNYSSGRFIFSLKGGDVSLRQLASPINANQSYNSYWSTSTSGSGSKLIFDPLGIVYLELINNTRINITSAGVGSNTAADFYQRATLDFDGVFRHYVYHKGSNGSWAQGWTSQGHVPDDICRAITNDVGSGACGFNSYCQLDGDQRAVCQCPSKYSFIDSSNEYRGCMPDFVAQRCDVDDSARFQLVEMVNTDWPLSDYEHYTPIVEDQCRDYCLSDCFCAVAIFRNGECWKKKLPLSNGKIGGYVGGKALIKVTKDNASSYEPGTITTIVVKKNDRKGLIIAGSSLLGGSVFINILFVVAILFMIFCYPCKRKHPHHQDVSNVSEHGLRCFTYEELREATNGFSEELGSGAFSTVYKGAFLNGKDSICIAVKKLNKVCQDVNKEFLAEVRSIGQTHHKNLVRLLGYCNEEENRLLVYQFMSNGSLTSFLFGEMKLEWNRRV >DRNTG_01961.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32648417:32648795:1 gene:DRNTG_01961 transcript:DRNTG_01961.14 gene_biotype:protein_coding transcript_biotype:protein_coding HHQDVSNVSEHGLRCFTYEELREATNGFSEELGSGAFSTVYKGAFLNGKDSICIAVKKLNKVCQDVNKEFLAEVRSIGQTHHKNLVRLLGYCNEEENRLLVYQFMSNGSLTSFLFGEMKLEWNRRV >DRNTG_01961.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32649004:32649529:1 gene:DRNTG_01961 transcript:DRNTG_01961.11 gene_biotype:protein_coding transcript_biotype:protein_coding MPITVKVDVYSFGVMLLETICCRKHLEESENEEAVVLVYWAYDCYREGRLDILVGSEREALLDMRRVERFVMVAIWCIQEDPSLRPTMPKVVLMLEGSVSVPVPPDPSSYMSSIQEERFLPS >DRNTG_01961.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32649004:32649433:1 gene:DRNTG_01961 transcript:DRNTG_01961.13 gene_biotype:protein_coding transcript_biotype:protein_coding MPITVKVDVYSFGVMLLETICCRKHLEESENEEAVVLVYWAYDCYREGRLDILVGSEREALLDMRRVERFVMVAIWCIQEDPSLRPTMPKVVLMLEGSVSVPVPPDPSSYMSSIQEERFLPS >DRNTG_01961.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32646845:32648336:1 gene:DRNTG_01961 transcript:DRNTG_01961.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMMIKSSSLHPLLLLLLLQLTQLLLILSYFPQLTRAQTYQNISSDTTLTTSGTITSVLSPSGDFAFGFTPLPSNGNTTTDLFLLAIWLAKTADKAIVWTANGNSPAPAGSTLSLSSNGQLLLTGPDGDTIFSSSASGGSHAAMLDTGNLVLVDANSSDLIWQSFNFPTDTILPTQVLSLINAFINTQLQSKLTSTNYSSGRFIFSLKGGDVSLRQLASPINANQSYNSYWSTSTSGSGSKLIFDPLGIVYLELINNTRINITSAGVGSNTAADFYQRATLDFDGVFRHYVYHKGSNGSWAQGWTSQGHVPDDICRAITNDVGSGACGFNSYCQLDGDQRAVCQCPSKYSFIDSSNEYRGCMPDFVAQRCDVDDSARFQLVEMVNTDWPLSDYEHYTPIVEDQCRDYCLSDCFCAVAIFRNGECWKKKLPLSNGKIGGYVGGKALIKVTKDNASSYEPGTITTIVVKKNDRKGLIIAGSSLLG >DRNTG_01961.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32646706:32648875:1 gene:DRNTG_01961 transcript:DRNTG_01961.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMMIKSSSLHPLLLLLLLQLTQLLLILSYFPQLTRAQTYQNISSDTTLTTSGTITSVLSPSGDFAFGFTPLPSNGNTTTDLFLLAIWLAKTADKAIVWTANGNSPAPAGSTLSLSSNGQLLLTGPDGDTIFSSSASGGSHAAMLDTGNLVLVDANSSDLIWQSFNFPTDTILPTQVLSLINAFINTQLQSKLTSTNYSSGRFIFSLKGGDVSLRQLASPINANQSYNSYWSTSTSGSGSKLIFDPLGIVYLELINNTRINITSAGVGSNTAADFYQRATLDFDGVFRHYVYHKGSNGSWAQGWTSQGHVPDDICRAITNDVGSGACGFNSYCQLDGDQRAVCQCPSKYSFIDSSNEYRGCMPDFVAQRCDVDDSARFQLVEMVNTDWPLSDYEHYTPIVEDQCRDYCLSDCFCAVAIFRNGECWKKKLPLSNGKIGGYVGGKALIKVTKDNASSYEPGTITTIVVKKNDRKGLIIAGSSLLGGSVFINILFVVAILFMIFCYPCKRKHPHHQDVSNVSEHGLRCFTYEELREATNGFSEELGSGAFSTVYKGAFLNGKDSICIAVKKLNKVCQDVNKEFLAEVRSIGQTHHKNLVRLLGYCNEEENRLLVYQFMSNGSLTSFLFGEMKLEWNRRVKHYLGRGKRAPLLA >DRNTG_01961.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32646845:32648795:1 gene:DRNTG_01961 transcript:DRNTG_01961.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMMIKSSSLHPLLLLLLLQLTQLLLILSYFPQLTRAQTYQNISSDTTLTTSGTITSVLSPSGDFAFGFTPLPSNGNTTTDLFLLAIWLAKTADKAIVWTANGNSPAPAGSTLSLSSNGQLLLTGPDGDTIFSSSASGGSHAAMLDTGNLVLVDANSSDLIWQSFNFPTDTILPTQVLSLINAFINTQLQSKLTSTNYSSGRFIFSLKGGDVSLRQLASPINANQSYNSYWSTSTSGSGSKLIFDPLGIVYLELINNTRINITSAGVGSNTAADFYQRATLDFDGVFRHYVYHKGSNGSWAQGWTSQGHVPDDICRAITNDVGSGACGFNSYCQLDGDQRAVCQCPSKYSFIDSSNEYRGCMPDFVAQRCDVDDSARFQLVEMVNTDWPLSDYEHYTPIVEDQCRDYCLSDCFCAVAIFRNGECWKKKLPLSNGKIGGYVGGKALIKVTKDNASSYEPGTITTIVVKKNDRKGLIIAGSSLLGGSVFINILFVVAILFMIFCYPCKRKHPHHQDVSNVSEHGLRCFTYEELREATNGFSEELGSGAFSTVYKGAFLNGKDSICIAVKKLNKVCQDVNKEFLAEVRSIGQTHHKNLVRLLGYCNEEENRLLVYQFMSNGSLTSFLFGEMKLEWNRRV >DRNTG_01961.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32648417:32648875:1 gene:DRNTG_01961 transcript:DRNTG_01961.12 gene_biotype:protein_coding transcript_biotype:protein_coding HHQDVSNVSEHGLRCFTYEELREATNGFSEELGSGAFSTVYKGAFLNGKDSICIAVKKLNKVCQDVNKEFLAEVRSIGQTHHKNLVRLLGYCNEEENRLLVYQFMSNGSLTSFLFGEMKLEWNRRVKHYLGRGKRAPLLA >DRNTG_01961.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32646706:32648795:1 gene:DRNTG_01961 transcript:DRNTG_01961.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMMIKSSSLHPLLLLLLLQLTQLLLILSYFPQLTRAQTYQNISSDTTLTTSGTITSVLSPSGDFAFGFTPLPSNGNTTTDLFLLAIWLAKTADKAIVWTANGNSPAPAGSTLSLSSNGQLLLTGPDGDTIFSSSASGGSHAAMLDTGNLVLVDANSSDLIWQSFNFPTDTILPTQVLSLINAFINTQLQSKLTSTNYSSGRFIFSLKGGDVSLRQLASPINANQSYNSYWSTSTSGSGSKLIFDPLGIVYLELINNTRINITSAGVGSNTAADFYQRATLDFDGVFRHYVYHKGSNGSWAQGWTSQGHVPDDICRAITNDVGSGACGFNSYCQLDGDQRAVCQCPSKYSFIDSSNEYRGCMPDFVAQRCDVDDSARFQLVEMVNTDWPLSDYEHYTPIVEDQCRDYCLSDCFCAVAIFRNGECWKKKLPLSNGKIGGYVGGKALIKVTKDNASSYEPGTITTIVVKKNDRKGLIIAGSSLLGGSVFINILFVVAILFMIFCYPCKRKHPHHQDVSNVSEHGLRCFTYEELREATNGFSEELGSGAFSTVYKGAFLNGKDSICIAVKKLNKVCQDVNKEFLAEVRSIGQTHHKNLVRLLGYCNEEENRLLVYQFMSNGSLTSFLFGEMKLEWNRRV >DRNTG_07193.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10789946:10791554:1 gene:DRNTG_07193 transcript:DRNTG_07193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLKLKAMKVIRSETNPSRNAGTSNEVGMSSAQMLDAQPCTSTHSLDIDSNHNGDDENQDHGNILENTSGTVNANTAYNTGCHKGRGRTILNELWDCLQKIKYCHHLAICDGHSIECIDLHQQMDEKFQKMEDELGRE >DRNTG_29648.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2781871:2792191:-1 gene:DRNTG_29648 transcript:DRNTG_29648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMSSIMPCHHGCHVLRTQPNVKKLYLLVRANDAISAKKRVEKEVVSKELFNGLREKYGASFDSFFWSKVHVVQAYVTKEKKGIITEEIPEFNEVLTPETEIELIENKMKELNEYCTSDSEIKLYMKELGMERTMDKFVISYAKGEIVYFPVNSDAILDVIPGDMVVNAMLAAMTNTDSRFTNYLTIYHVGSSTVNTMKCVKSLDSKSILVTGATGFLAKLFVEKVLRTQPNVKKLYLLVRAHDAISAKTRVEKEVLSKELFNVLREKYGASFDSFFWSKVHVVQGDTTSENIGIRDVDLIEVLWREVDYIVNSAANTRFNER >DRNTG_25809.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2638885:2641674:1 gene:DRNTG_25809 transcript:DRNTG_25809.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQILHKNSSRPLPESSCCDDPLKTLSLELVRDTLVRIEDSIVFALIERARFPLNSHAYDASYLGNHRGHGERSIAEVFVRETEVIEAKLGRYQNPEEIPFFADDLASPFVPAFNYTQVLYPAAASVNVSKDIWDMYFKKLLPLIASEGDDGDYVSAAAADLVCLQVLSRRIHYGRQVAEVKFSDAPQDYSPAIRAKDRNFLMKLLTFESVEEMVKKRVEKKAKVFGQDVTLNDKNNSTDFDGEPKCKVDPSVVGRLYSEWVIPLTKVVEVEYLLRRLD >DRNTG_33632.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25212538:25214319:1 gene:DRNTG_33632 transcript:DRNTG_33632.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKVLKLIDFSIGTLEHCQSTSSLLIEISLHFTLAYSSLIKLKHSENGVHFYKNVYGFAGSGCSYLGNGAMTELGPFFVKSDDKSLYKNVNTWNNCKSSHIFF >DRNTG_04209.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20802874:20803427:1 gene:DRNTG_04209 transcript:DRNTG_04209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSIFSDKPIPTKKKRRSSLFDVVKSSDKFTTPKLIQDSFTLSLAPSSIMSSSSTLDHHHHHHQQQQQQQPPPQQPPQLDLELSISSPGIGTVRVT >DRNTG_12816.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13996770:14001442:-1 gene:DRNTG_12816 transcript:DRNTG_12816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVDGTSSSRPSIITSSFSTSWSRNPSYTSRFNISNHKVVWKPLQAFVVPMARFRATKVLMP >DRNTG_07885.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18796196:18798987:-1 gene:DRNTG_07885 transcript:DRNTG_07885.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCI1 [Source:Projected from Arabidopsis thaliana (AT1G79200) UniProtKB/TrEMBL;Acc:A0A178WDT9] MGSEEKSRKRRSSLSPSPSREEAEKGRKHRKKDGEERRRNRDVDEGNDRKKKTKKTKSSDRSHKEKKSKEKHGKHRNKNSDSFVELSKDDYFSKNNEFSTWLKEEKGLYFSDLSSEQARDEFSGFMKDWNNHKLASRYYEGIASGPRTAHNWKIRKDK >DRNTG_27046.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2599772:2601058:-1 gene:DRNTG_27046 transcript:DRNTG_27046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLICVGLPPYLPVETNDQAVAAYSPQLPQPPLSPPASATVVAPPLIQPLSAPASEPVFDAGNDLGESSEEQPPPPSQTPPKSAR >DRNTG_07581.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22126881:22139764:-1 gene:DRNTG_07581 transcript:DRNTG_07581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGYEEGPLHHVATMVRKRRTELPGTGEASEPQESSGGSGRGVPQPPGERTPIPQHGGGGRSWGSGSSQQSQQGGRGGGYYHSRGGGQPRGGMVTQHPGGPPSELQGRGGAQSHGGMVPRQPGGLPPEFQGRGGPRPRGGTPPRQYHGGRRGGGAGPSSSRGSSSFPGPSGPSAPELHQATQAPHQALPPLQSQASSSSQPPEVAVQQQLQQLSIQGESSSSIIIQPATTVVASSKSMRFPLRPGKGSYGDRCVVKANHFFAELPDKDLHQYDVTITPEITSRGVNRAVMEQLVKLYRESHLGGRLPAYDGRKSLYTAGPLPFTSRTFEITLYDEEDGAAGGQRRERPFKVVIKFAARADLHHLELFLSGKQAEAPQEALQVLDIVLRELPTARYLPVGRSFYSPDLGRRQALGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVTQLLNKDVSARPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDERGTMKSVVQYFQETYGFSIQHATLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERERDIMKTVHHNAYHDDPYAREFGIKISEKLASVEARILPAPWLKYHDTGREKDVLPRVGQWNMMNKKMVNGGRVNNWACINFSRNVQDNLARGFCHELAQMCHISGMDFALEPILPPSSSRPEHVERALKARYNDVMNKLKPEGKELDLLIVILPDNNGSLYSDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLMDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYTSRVSAQAHRQELIQDLFKVWQDPQRGTLSGGMIKDLLISFKRATGQKPQRIIFFRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHADQRSVDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADQLQSLINNLCYTYARCTRSVSIVPPAYYAHLAAFRARCYMEPETSDSGSMASGAVGRGAPVASRSRVPGSAAVRPLPALKENVKRVMFYC >DRNTG_07581.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22126881:22138091:-1 gene:DRNTG_07581 transcript:DRNTG_07581.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRRTELPGTGEASEPQESSGGSGRGVPQPPGERTPIPQHGGGGRSWGSGSSQQSQQGGRGGGYYHSRGGGQPRGGMVTQHPGGPPSELQGRGGAQSHGGMVPRQPGGLPPEFQGRGGPRPRGGTPPRQYHGGRRGGGAGPSSSRGSSSFPGPSGPSAPELHQATQAPHQALPPLQSQASSSSQPPEVAVQQQLQQLSIQGESSSSIIIQPATTVVASSKSMRFPLRPGKGSYGDRCVVKANHFFAELPDKDLHQYDVTITPEITSRGVNRAVMEQLVKLYRESHLGGRLPAYDGRKSLYTAGPLPFTSRTFEITLYDEEDGAAGGQRRERPFKVVIKFAARADLHHLELFLSGKQAEAPQEALQVLDIVLRELPTARYLPVGRSFYSPDLGRRQALGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVTQLLNKDVSARPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDERGTMKSVVQYFQETYGFSIQHATLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERERDIMKTVHHNAYHDDPYAREFGIKISEKLASVEARILPAPWLKYHDTGREKDVLPRVGQWNMMNKKMVNGGRVNNWACINFSRNVQDNLARGFCHELAQMCHISGMDFALEPILPPSSSRPEHVERALKARYNDVMNKLKPEGKELDLLIVILPDNNGSLYSDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLMDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYTSRVSAQAHRQELIQDLFKVWQDPQRGTLSGGMIKDLLISFKRATGQKPQRIIFFRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHADQRSVDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADQLQSLINNLCYTYARCTRSVSIVPPAYYAHLAAFRARCYMEPETSDSGSMASGAVGRGAPVASRSRVPGSAAVRPLPALKENVKRVMFYC >DRNTG_27697.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21728828:21731734:-1 gene:DRNTG_27697 transcript:DRNTG_27697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMGEDGEDKLIAMARHIARTLGRTDTMADDILQIFSNFDGRFSREKLSDEHPALSSLERRLRAYVSSDRPIWSDSGAASEFLSALDDLIAAGGLHPRADDLLQQCMLRLEEEFRMLIEQPAGTIDSGDEEDDSDGEDPIPVAYPVADYDIIIDAIPPGTVADLHSIATRMVAAGFAKECAHTYALSRRDFLDESVSRLGIRARTSEELQATPWVELEDEIARWVRAMSVAVRILFPSERRLCDKVFAGLSQAADLAFAEACRGTAIQLLGFADAVAVVSRAPERLFKVLDMYEAVRDLIPEIESLFSDQYSSFLRSEAFAIWKGLGAAIRGIFMELENLIRRDPAKASVPGGGLHPITRYVMNYLRAACASRRTLEQVMDDDGGSGLAATDLDRPSSSLAVQIAWIMEVLQSNLEAKSKVYKDPSLSLVFLMNNGRYISQKARDGELGALLGEEWARREAARLRRWMTEYQRMAWGKVVAVLKTDGPGGGGSGKAMRERLRLFNGYLEDVWSEQRGWVVPDERLRQELREASVGMVVPAYEGFLGRLRVVEGGRGVEKQLLMFSVEDVETRIGDLFQGSSSIRRP >DRNTG_28957.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27500553:27503667:1 gene:DRNTG_28957 transcript:DRNTG_28957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANEATRVVFSRIQTLDPENASKIMGFLLIQDNGDKEMIRLAFGPETLLHSIILKARKELGLLNPNPNPTSPASSPFLLARQSSGHQPWDFIDDLQLHDQFPFLAEPSAGHHAYRRSCSPAADAADLGWRPCLYFARGYCKNGNGCKFQHGLLEEMKMDAMVEQHCRELLLRTKTQIPPPPPPPLPYSPTSPLPPSRSALEALIMGAGSEEAFKFTGRYRSDYAAMASPSARQIYLTFPADSTFKEEDVSNYFSMYGPVQDVRIPYQQKRMFGFVTFVYPETVRLILAKGNPHFVCDARVLVKPYKEKGKVPDKFRRQQQQSERGDFSGCTTPTAIELQLGARMLFSNSNQDILLRRKLEEQQQALELQQAIELQRRQFMGLQLHDIKTRSSLSTTPSDGSHEDGSPEDKSMSPALPTGTTAVQQSNNHHTSSNNTHELVHQSAVKVAEKEESGGDVSPKDDGDFQESDHNLPDSPFASPTKASSTFNPYLMQMQSTWNAKAVEN >DRNTG_09246.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000397.1:23136:24382:1 gene:DRNTG_09246 transcript:DRNTG_09246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQHNSPQLTGAIVLVFYGIELADPSWPHTSRVPEASRVVCQDQSYFLRPLHHQAHHWYELFGHHSGAEKIIVPSFLGLEMMRLMGMIRRYRDGVYVLNMSSLEIA >DRNTG_23513.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22188928:22194251:-1 gene:DRNTG_23513 transcript:DRNTG_23513.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAIAIGTFSHTVAHLACDFPRLIACPYPKFMRTLGPNFNYKQPTYPSLISSIAGVTGIIMIIVMVFSYTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVLIYALLVLHSCFIYLTTTWYKKTVSQLPTSR >DRNTG_27727.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:237911:240532:-1 gene:DRNTG_27727 transcript:DRNTG_27727.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTIKDRFLWIDVNLPADPQYLNVSSAADCQSSCLSNCNCTAYAFTNMCQLWYGNLKDLKKVNGAAAGLYLRLAPSEFPDEKRSWIWVVATATVLGLILCMTYMCYRWRRKDTERRKLYQEQILLASIRRNLDGAGERGPSFTSFSFSRVADATENFSSANKLGEGGFGPVYKGQLPGGLEIAVKRLSKSSGQGLEEFKNEIILIAKLQHRNLVRLLGCCMQAGERILIYEYMPNKSLDYFLFEPTRGVVLDWTRRFNIIEGIAHGLLYLHKHSRLIIIHRDLKASNILLDDEWCPKDF >DRNTG_27727.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:237911:239746:-1 gene:DRNTG_27727 transcript:DRNTG_27727.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQANTIRVVGTFGYMSPEYASEGLYSIKSDVFSFGVLLLEIVSGKRNAGFHRYGNSFNLLGYAWELWIEGRWPELVDPVIGNACQGYQVCKCIHVALLCVQECASDRPTMSEVINMLVNENSENVEAPAAPKHPAFFAMRIRTEDDAPLTKNEMTASTFSGR >DRNTG_04979.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4081745:4088038:-1 gene:DRNTG_04979 transcript:DRNTG_04979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSPNPAPAAVAAAEDDGHHRVSAPGARRKGTASRAWLVVAASGASYLEEVGKHSIMRRTGLPARDLRVLDPLLSYPSTILGRERAIVINLEHIKAIITANEVLVPNSKEPSVVPFVQELQARTSSPHQPPSEQAAESSDMDGENRMKAVVSSPNQSGVGGQQSGSPNQYLASTTGNVEMAEGSPRPPLDLCKNGVTKVLPFEFRALEVCLESACRCLESETSTLEQEAYPALDELTSKISTLNLERVRQIKSRLVAISGRVQKVRDELEHLLDDDMDMAEMYLTDKLVRQPVGETSSRVELDNDVFEVGEDRDEDIKDDAESSHGSLCGFKPNIEDLEMLLEAYFVQIDGTLNKLSTLREYVDDTEDYINIMLDEKQNQLLQMGVMLSTATLVITAGVVVVGVFGMNITIDLYSAPYAKFWETTLGTIGSCIIVYILAIGWGKKSGLLQ >DRNTG_24719.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17876246:17877290:1 gene:DRNTG_24719 transcript:DRNTG_24719.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSHFQNSLLRQPWRSSDVVQRTRER >DRNTG_28210.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11542517:11557550:1 gene:DRNTG_28210 transcript:DRNTG_28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRPISLPSGPTRTISPASVSLVPSPPTRPSLPLLLTVATLLRSTSHPELLPWLWRRSSPAAPTSAAPCPLGCFCQRKR >DRNTG_28210.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11542517:11543865:1 gene:DRNTG_28210 transcript:DRNTG_28210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRPISLPSGPTRTISPASVSLVPSPPTRPSLPLLLTVATLLRSTSHPELLPWLWRRSSPAAPTSAAPCPLGCFCQRKR >DRNTG_28210.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11542517:11557550:1 gene:DRNTG_28210 transcript:DRNTG_28210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRPISLPSGPTRTISPASVSLVPSPPTRPSLPLLLTVATLLRSTSHPELLPWLWRRSSPAAPTSAAPCPLGCFCQRKR >DRNTG_23198.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5615652:5618341:-1 gene:DRNTG_23198 transcript:DRNTG_23198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAMVKPVFTPKLQRDTIAERERLEEEERRLEELVKRRIEERKVETKQIVVEEIRKDQEIQKNLEMDANTGDVDTDDEVDEAKEYEAWKARELARIKRDRDDREARLKEKEEIEKVRNMTEAERQEWEKKKPAKPQKQRWRFMQKYYHKGAFFQSEADDHAATVGSDDIFERDFSAPTGEDKMDKTILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNTPWTSNGPLRSKYDEKMAAMRAPIAKPKGSKKLKDWETK >DRNTG_05233.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23976242:23977043:1 gene:DRNTG_05233 transcript:DRNTG_05233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGDALRGAERIVAPTPLAFDTIRMMGLVRRCGPGVYIFATATPETPRSEGVTAEGSPQIPRPPATQGPRAYDRIERLESIVREIQTEMVELHAIQLAQYTDLMARHGHGQGADALGRVSFTTRRNTTPMELASSNAILGARGVLF >DRNTG_19021.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22581353:22582617:1 gene:DRNTG_19021 transcript:DRNTG_19021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYGEMQHPCHPQHKLKPENKETPYTCYGCREIGFFTRYTCSEPSCNFYLHKDCVSPPDTTTHHFFPDCNFVFLTSGKPDRYCDACGRDIKGYVYHCFTCGNDLHPCCASLPRFNEDDEITLELKKKVSSKCNFCDKKEVRKGARTWSYVSKCKEYNFHISCVKEMMLKNWEKEFLNNSNARENGLQLERKVPSLQIKRRKNSKDKKGAGGFTKFMKMMKLAISFIVAAVVGDPTTMFASIITSLITQ >DRNTG_29921.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10164450:10166754:-1 gene:DRNTG_29921 transcript:DRNTG_29921.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMYLSDDVEGGETYFPLAGDGECSCGGKMMKGICVKPAKGDAVLFWSMGLNGESDPKSLHGGCEVLKGEKWSATKWMRQRTTF >DRNTG_10865.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19849915:19865407:1 gene:DRNTG_10865 transcript:DRNTG_10865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSPILHLQRLTLVVMTVYNIDPWNTPDVAPPFVLNTARESADIDVNVTWSFSVAPGYKYFVRIHLCDFISNNSLDYLFDIYIGELFAYQDLQLGSLTNYTPAAAYHLDFIADDVWPFGLLNVTVGPSSNINNTGHANAFMNGLEILKLINSDADSPGKGSRRAHLLIIACSAVAALALIIFFIFLNFIIKRKKQELVPQQEKKAANTSLVTPKIVNINLELCIPLSDIKLATNDFDEALVIGHGGFGKVYKGVLSDGTMVAVKRGMGRSRQGYPEFVNEINLLSKIRHRHLVSIIGYCDEMDEMIIVYEFLENGTLKNYLYGSPDLPCLSWKQRLQVCIGAARGLDYLHTAHSPVIIHRDVKSTNILLGQDFLAKISDFGISKLGPLLGEDTYVSTGVKGSFGYFDPEYFRMLRLTTKSDVYSFGVVLFEVLSARPVIDPWFKDDELNLADCALDCMKTGELDKIIDVRIAEEINPKSLEKFAEIAEQCLAACGDDRPTIGDVLWNLEYALQLQVTELRREPYEDSGTVDPHLRSLALNRKTEASKSTVLRGRLVHVRQETTDGVVLQDSIVRSSGHTPEQRNQRALRFTIKGKEEEEGKKQKRILEMDQVLLCLDMRQGRGTRKTKRFVNGRVPKRHGERRRATARPKLNTGKGAKRLHPLNLVHSCPHHASTERGRGREKERGRGREKAKKNFGDGSRRRTRKTKRFVNDRVPKRHGERRRGTAQPKLNTGKRRKKIVPTKSGAFVSAPCAYVSSEFLS >DRNTG_28293.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001432.1:8977:13418:-1 gene:DRNTG_28293 transcript:DRNTG_28293.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSLNREEGSSHVAPHEEQGIGTSLPQLHVPRKHKEVQPLNEDEEDEPVFIPQKGHRDTEYQRLNDNMAMLMGSMLQMRKQIKILIKNQPEQPGRSRSPTRRPREALRPVEQRVTPRFPMKNVQRSRSPTPHPKETFRPAKHGGTSYAPVQEQSAQLKDVFRVRFGGISDKITIADFIATRQNKDEKLVDYIMRWRNLSIKCEQPLDQQQAVGLLLGNIDSWMSPFLSTSSITTFQGLISQAKKLERTNPKVLSNFHTTSSSNKDKSKKTEGVKYTATTFNIEKGKGIAESHKPEQAKVPSLGATNNESKPLPSLKDRMNKKYSFRRDKVHKIFKDLCEREC >DRNTG_24446.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9602216:9611266:-1 gene:DRNTG_24446 transcript:DRNTG_24446.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQRRRQQHERWPIPAALFAAALLVLAALVLLVSLVPPPLEHPALPKPRRPALLFKNLAEVKRNVVATEVFHVPGGGSMENDLWVSKLANHFHGCSNASSGFATAKANTRSNLYLMIATSGGLNQQRTGITDAVVAARILNATLVVPKLDQKSFWKDASNFADIFDVDWFVSFLSKDVKVIKELPRNGGKVIGNPYSMRVPRKCTPRCYQTRVMPALLKKHVIQLTKFDYRLANKLETDLQKLRCRVNYHALKFADPILEMGEKLIQRMKAKSKHFIALHLRFEPDMLAFSGCYYGGGEKERKELGAIRKRWKTLHISNPDKERRHGKCPLTPEEVGLMLRGLGFGADVHIYVASGEVYGGEESLASLKALFPNFHSKETLASKEELAPFLSFSSRMAALDFVVCDGSDVFVTNNNGNMARMLAGRRRYFGHKRTIRPNARKLYSLFLNRSNMTWEEFVSKVRTYQKGFMGEPNEVRPGRGEFHENPSTCICENNKPRVDSGPQDQVKGGNQNEKGHISRNVGESPENHPSGDEEQEMDWLDFDYGENAHMVNLANGTGLEYNFFLRSEDPDLEEILSD >DRNTG_24446.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9608355:9608832:-1 gene:DRNTG_24446 transcript:DRNTG_24446.6 gene_biotype:protein_coding transcript_biotype:protein_coding ITDAVVAARILNATLVVPKLDQKSFWKDASNFADIFDVDWFVSFLSKDVKVIKELPRNGGKVIGNPYSMRVPRKCTPRCYQTRVMPALLKKHVSIESLVILKTGSHYAYYILESKF >DRNTG_24446.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9606183:9610765:-1 gene:DRNTG_24446 transcript:DRNTG_24446.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENDLWVSKLANHFHGCSNASSGFATAKANTRSNLYLMIATSGGLNQQRTGITDAVVAARILNATLVVPKLDQKSFWKDASNFADIFDVDWFVSFLSKDVKVIKELPRNGGKVIGNPYSMRVPRKCTPRCYQTRVMPALLKKHVIQLTKFDYRLANKLETDLQKLRCRVNYHALKFADPILEMGEKLIQRMKAKSKHFIALHLR >DRNTG_24446.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9602216:9603640:-1 gene:DRNTG_24446 transcript:DRNTG_24446.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFSGCYYGGGEKERKELGAIRKRWKTLHISNPDKERRHGKCPLTPEEVGLMLRGLGFGADVHIYVASGEVYGGEESLASLKALFPNFHSKETLASKEELAPFLSFSSRMAALDFVVCDGSDVFVTNNNGNMARMLAGRRRYFGHKRTIRPNARKLYSLFLNRSNMTWEEFVSKVRTYQKGFMGEPNEVRPGRGEFHENPSTCICENNKPRVDSGPQDQVKGGNQNEKGHISRNVGESPENHPSGDEEQEMDWLDFDYGENAHMVNLANGTGLEYNFFLRSEDPDLEEILSD >DRNTG_24446.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9602216:9611404:-1 gene:DRNTG_24446 transcript:DRNTG_24446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDLWVSKLANHFHGCSNASSGFATAKANTRSNLYLMIATSGGLNQQRTGITDAVVAARILNATLVVPKLDQKSFWKDASNFADIFDVDWFVSFLSKDVKVIKELPRNGGKVIGNPYSMRVPRKCTPRCYQTRVMPALLKKHVIQLTKFDYRLANKLETDLQKLRCRVNYHALKFADPILEMGEKLIQRMKAKSKHFIALHLRFEPDMLAFSGCYYGGGEKERKELGAIRKRWKTLHISNPDKERRHGKCPLTPEEVGLMLRGLGFGADVHIYVASGEVYGGEESLASLKALFPNFHSKETLASKEELAPFLSFSSRMAALDFVVCDGSDVFVTNNNGNMARMLAGRRRYFGHKRTIRPNARKLYSLFLNRSNMTWEEFVSKVRTYQKGFMGEPNEVRPGRGEFHENPSTCICENNKPRVDSGPQDQVKGGNQNEKGHISRNVGESPENHPSGDEEQEMDWLDFDYGENAHMVNLANGTGLEYNFFLRSEDPDLEEILSD >DRNTG_24446.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9602216:9608661:-1 gene:DRNTG_24446 transcript:DRNTG_24446.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPRKCTPRCYQTRVMPALLKKHVIQLTKFDYRLANKLETDLQKLRCRVNYHALKFADPILEMGEKLIQRMKAKSKHFIALHLRFEPDMLAFSGCYYGGGEKERKELGAIRKRWKTLHISNPDKERRHGKCPLTPEEVGLMLRGLGFGADVHIYVASGEVYGGEESLASLKALFPNFHSKETLASKEELAPFLSFSSRMAALDFVVCDGSDVFVTNNNGNMARMLAGRRRYFGHKRTIRPNARKLYSLFLNRSNMTWEEFVSKVRTYQKGFMGEPNEVRPGRGEFHENPSTCICENNKPRVDSGPQDQVKGGNQNEKGHISRNVGESPENHPSGDEEQEMDWLDFDYGENAHMVNLANGTGLEYNFFLRSEDPDLEEILSD >DRNTG_09515.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21719532:21727830:1 gene:DRNTG_09515 transcript:DRNTG_09515.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHRENGAHGPVSKKARRSSSITFEEIQEEFSHHERNVARINNGSFGSCPGSVLAAQREWQMLFLSQPDDFYFNRLQPALLESRSVIKSIINAADVDEVSLVDNATTAAAIVLQKVSWAFSEGTFSRGDAVLMLHYAYGAVKKSIQAYVSRAGGHVIEVPLPFPVSSDAEIIDEFKKALSLGKAGGRKVRLAVIDHITSMPSVVIPVKELTRICREEGVDQVFVDAAHAIGNVKIDVQDIGADFYTSNLHKWFFCPPSVAFLYCRKSQVSDDMHHPVVSHEYGNGLAIESGWIGTRDYSAQLVVPEVIRFINRFEGGIEGIRCRNHKKVIEMGKMLALSWGTRLGSPPEMCSSMVMVGLPGCLKVYNDKDALRLRKYLREFHNVEVPIYCCKQLTDGEVERISSSVITGYARISYQVYNVVEDYERLKKAIDDIITGEFHARLLPVTD >DRNTG_09515.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21718922:21722876:1 gene:DRNTG_09515 transcript:DRNTG_09515.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHRENGAHGPVSKKARRSSSITFEEIQEEFSHHERNVARINNGSFGSCPGSVLAAQREWQMLFLSQPDDFYFNRLQPALLESRSVIKSIINAADVDEVSLVDNATTAAAIVLQKVSWAFSEGTFSRGDAVLMLHYAYGAVKKSIQAYVSRAGGHVIEVPLPFPVSSDAEIIDEFKKALSLGKAGGRKVRLAVIDHITSMPSVVIPVKELTRICREEGVDQVFVDAAHAIGNVKIDVQDIGADFYTSNLHKWFFCPPSVAFLYCRKSQVSDDMHHPVVSHEYGNGLAIESGWIGTRDYSAQLVVPEVIRFINRFEGGIEGIRCRNHKKVIEMGKMLALSWGTRLGSPPEMCSSMVMVGLPGCLKVYNDKDALRLRKYLREFHNVEVPIYCCKQLTDGEVERISSSVITGYARISYQVYNVVEDYERLKKAIDDIITGEFHARLLPVTD >DRNTG_09515.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21719532:21722793:1 gene:DRNTG_09515 transcript:DRNTG_09515.13 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHRENGAHGPVSKKARRSSSITFEEIQEEFSHHERNVARINNGSFGSCPGSVLAAQREWQMLFLSQPDDFYFNRLQPALLESRSVIKSIINAADVDEVSLVDNATTAAAIVLQKVSWAFSEGTFSRGDAVLMLHYAYGAVKKSIQAYVSRAGGHVIEVPLPFPVSSDAEIIDEFKKALSLGKAGGRKVRLAVIDHITSMPSVVIPVKELTRICREEGVDQVFVDAAHAIGNVKIDVQDIGADFYTSNLHKWFFCPPSVAFLYCRKSQVSDDMHHPVVSHEYGNGLAIESGWIGTRDYSAQLVVPEVIRFINRFEGGIEGIRCRNHKKVIEMGKMLALSWGTRLGSPPEMCSSMVMVGLPGCLKVYNDKDALRLRKYLREFHNVEVPIYCCKQLTDGEVERISSSVITGYARISYQVYNVVEDYERLKKAIDDIITGEFHARLLPVTD >DRNTG_09515.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21723997:21727830:1 gene:DRNTG_09515 transcript:DRNTG_09515.10 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSGDHRSSAQILKRSALCSGLLLVVILLAMTQWVAAENSRCKQMKPSADRPHSVSITDFGAVGDGVTLNTKAFQNALFYLHSFADKGGAQLFVPSGRWLTGSFSLISHLTLSLDKDAVIIGSTDSSEWPIIDPLPSYGRGRELPGGRHQSLIYGNNLTDVIITGGNGTIDGQGGVWWDWFKNKTLNYTRPHLVEFMYSTEVVISNITFVNSPFWAIHPVYCSQVLVQDVTILAPLDSPNTDGIDPDSSSNVCIEDCYISTGDDLIVIKSGWDEYGISFAHPSSNISIHRVVGETGSGAGIAFGSEMSGGISEVKAEGIHLFNSKHGIRIKTSPGRGGYVRNIFISDVTMKDVDIAIRISGNYGEHPGETYDPKVLPIINGITIQDVSGVNISKAGLLEGIRGDNFSDICLTNVVLNVTSHHPWKCSFIEGYANLVSPESCEPLEKTVPDQSSVCYAPDHLQPQLSNGNRLMNPFLRLSSL >DRNTG_09515.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21719587:21727830:1 gene:DRNTG_09515 transcript:DRNTG_09515.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSGDHRSSAQILKRSALCSGLLLVVILLAMTQWVAAENSRCKQMKPSADRPHSVSITDFGAVGDGVTLNTKAFQNALFYLHSFADKGGAQLFVPSGRWLTGSFSLISHLTLSLDKDAVIIGSTDSSEWPIIDPLPSYGRGRELPGGRHQSLIYGNNLTDVIITGGNGTIDGQGGVWWDWFKNKTLNYTRPHLVEFMYSTEVVISNITFVNSPFWAIHPVYCSQVLVQDVTILAPLDSPNTDGIDPDSSSNVCIEDCYISTGDDLIVIKSGWDEYGISFAHPSSNISIHRVVGETGSGAGIAFGSEMSGGISEVKAEGIHLFNSKHGIRIKTSPGRGGYVRNIFISDVTMKDVDIAIRISGNYGEHPGETYDPKVLPIINGITIQDVSGVNISKAGLLEGIRGDNFSDICLTNVVLNVTSHHPWKCSFIEGYANLVSPESCEPLEKTVPDQSSVCYAPDHLQPQLSNGNRLMNPFLRLSSL >DRNTG_09515.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21719532:21722876:1 gene:DRNTG_09515 transcript:DRNTG_09515.11 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHRENGAHGPVSKKARRSSSITFEEIQEEFSHHERNVARINNGSFGSCPGSVLAAQREWQMLFLSQPDDFYFNRLQPALLESRSVIKSIINAADVDEVSLVDNATTAAAIVLQKVSWAFSEGTFSRGDAVLMLHYAYGAVKKSIQAYVSRAGGHVIEVPLPFPVSSDAEIIDEFKKALSLGKAGGRKVRLAVIDHITSMPSVVIPVKELTRICREEGVDQVFVDAAHAIGNVKIDVQDIGADFYTSNLHKWFFCPPSVAFLYCRKSQVSDDMHHPVVSHEYGNGLAIESGWIGTRDYSAQLVVPEVIRFINRFEGGIEGIRCRNHKKVIEMGKMLALSWGTRLGSPPEMCSSMVMVGLPGCLKVYNDKDALRLRKYLREFHNVEVPIYCCKQLTDGEVERISSSVITGYARISYQVYNVVEDYERLKKAIDDIITGEFHARLLPVTD >DRNTG_09515.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21719587:21727830:1 gene:DRNTG_09515 transcript:DRNTG_09515.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSGDHRSSAQILKRSALCSGLLLVVILLAMTQWVAAENSRCKQMKPSADRPHSVSITDFGAVGDGVTLNTKAFQNALFYLHSFADKGGAQLFVPSGRWLTGSFSLISHLTLSLDKDAVIIGSTDSSEWPIIDPLPSYGRGRELPGGRHQSLIYGNNLTDVIITGGNGTIDGQGGVWWDWFKNKTLNYTRPHLVEFMYSTEVVISNITFVNSPFWAIHPVYCSQVLVQDVTILAPLDSPNTDGIDPDSSSNVCIEDCYISTGDDLIVIKSGWDEYGISFAHPSSNISIHRVVGETGSGAGIAFGSEMSGGISEVKAEGIHLFNSKHGIRIKTSPGRGGYVRNIFISDVTMKDVDIAIRISGNYGEHPGETYDPKVLPIINGITIQDVSGVNISKAGLLEGIRGDNFSDICLTNVVLNVTSHHPWKCSFIEGYANLVSPESCEPLEKTVPDQSSVCYAPDHLQPQLSNGNRLMNPFLRLSSL >DRNTG_09515.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21719532:21727830:1 gene:DRNTG_09515 transcript:DRNTG_09515.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSGDHRSSAQILKRSALCSGLLLVVILLAMTQWVAAENSRCKQMKPSADRPHSVSITDFGAVGDGVTLNTKAFQNALFYLHSFADKGGAQLFVPSGRWLTGSFSLISHLTLSLDKDAVIIGSTDSSEWPIIDPLPSYGRGRELPGGRHQSLIYGNNLTDVIITGGNGTIDGQGGVWWDWFKNKTLNYTRPHLVEFMYSTEVVISNITFVNSPFWAIHPVYCSQVLVQDVTILAPLDSPNTDGIDPDSSSNVCIEDCYISTGDDLIVIKSGWDEYGISFAHPSSNISIHRVVGETGSGAGIAFGSEMSGGISEVKAEGIHLFNSKHGIRIKTSPGRGGYVRNIFISDVTMKDVDIAIRISGNYGEHPGETYDPKVLPIINGITIQDVSGVNISKAGLLEGIRGDNFSDICLTNVVLNVTSHHPWKCSFIEGYANLVSPESCEPLEKTVPDQSSVCYAPDHLQPQLSNGNRLMNPFLRLSSL >DRNTG_09515.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21719587:21727830:1 gene:DRNTG_09515 transcript:DRNTG_09515.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHRENGAHGPVSKKARRSSSITFEEIQEEFSHHERNVARINNGSFGSCPGSVLAAQREWQMLFLSQPDDFYFNRLQPALLESRSVIKSIINAADVDEVSLVDNATTAAAIVLQKVSWAFSEGTFSRGDAVLMLHYAYGAVKKSIQAYVSRAGGHVIEVPLPFPVSSDAEIIDEFKKALSLGKAGGRKVRLAVIDHITSMPSVVIPVKELTRICREEGVDQVFVDAAHAIGNVKIDVQDIGADFYTSNLHKWFFCPPSVAFLYCRKSQVSDDMHHPVVSHEYGNGLAIESGWIGTRDYSAQLVVPEVIRFINRFEGGIEGIRCRNHKKVIEMGKMLALSWGTRLGSPPEMCSSMVMVGLPGCLKVYNDKDALRLRKYLREFHNVEVPIYCCKQLTDGEVERISSSVITGYARISYQVYNVVEDYERLKKAIDDIITGEFHARLLPVTD >DRNTG_09515.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21719587:21722727:1 gene:DRNTG_09515 transcript:DRNTG_09515.15 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHRENGAHGPVSKKARRSSSITFEEIQEEFSHHERNVARINNGSFGSCPGSVLAAQREWQMLFLSQPDDFYFNRLQPALLESRSVIKSIINAADVDEVSLVDNATTAAAIVLQKVSWAFSEGTFSRGDAVLMLHYAYGAVKKSIQAYVSRAGGHVIEVPLPFPVSSDAEIIDEFKKALSLGKAGGRKVRLAVIDHITSMPSVVIPVKELTRICREEGVDQVFVDAAHAIGNVKIDVQDIGADFYTSNLHKWFFCPPSVAFLYCRKSQVSDDMHHPVVSHEYGNGLAIESGWIGTRDYSAQLVVPEVIRFINRFEGGIEGIRCRNHKKVIEMGKMLALSWGTRLGSPPEMCSSMVMVGLPGCLKVYNDKDALRLRKYLREFHNVEVPIYCCKQLTDGEVERISSSVITGYARISYQVYNVVEDYERLKKAIDDIITGEFHARLLPVTD >DRNTG_09515.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21719532:21727830:1 gene:DRNTG_09515 transcript:DRNTG_09515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHRENGAHGPVSKKARRSSSITFEEIQEEFSHHERNVARINNGSFGSCPGSVLAAQREWQMLFLSQPDDFYFNRLQPALLESRSVIKSIINAADVDEVSLVDNATTAAAIVLQKVSWAFSEGTFSRGDAVLMLHYAYGAVKKSIQAYVSRAGGHVIEVPLPFPVSSDAEIIDEFKKALSLGKAGGRKVRLAVIDHITSMPSVVIPVKELTRICREEGVDQVFVDAAHAIGNVKIDVQDIGADFYTSNLHKWFFCPPSVAFLYCRKSQVSDDMHHPVVSHEYGNGLAIESGWIGTRDYSAQLVVPEVIRFINRFEGGIEGIRCRNHKKVIEMGKMLALSWGTRLGSPPEMCSSMVMVGLPGCLKVYNDKDALRLRKYLREFHNVEVPIYCCKQLTDGEVERISSSVITGYARISYQVYNVVEDYERLKKAIDDIITGEFHARLLPVTD >DRNTG_09515.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21719532:21727830:1 gene:DRNTG_09515 transcript:DRNTG_09515.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHRENGAHGPVSKKARRSSSITFEEIQEEFSHHERNVARINNGSFGSCPGSVLAAQREWQMLFLSQPDDFYFNRLQPALLESRSVIKSIINAADVDEVSLVDNATTAAAIVLQKVSWAFSEGTFSRGDAVLMLHYAYGAVKKSIQAYVSRAGGHVIEVPLPFPVSSDAEIIDEFKKALSLGKAGGRKVRLAVIDHITSMPSVVIPVKELTRICREEGVDQVFVDAAHAIGNVKIDVQDIGADFYTSNLHKWFFCPPSVAFLYCRKSQVSDDMHHPVVSHEYGNGLAIESGWIGTRDYSAQLVVPEVIRFINRFEGGIEGIRCRNHKKVIEMGKMLALSWGTRLGSPPEMCSSMVMVGLPGCLKVYNDKDALRLRKYLREFHNVEVPIYCCKQLTDGEVERISSSVITGYARISYQVYNVVEDYERLKKAIDDIITGEFHARLLPVTD >DRNTG_09515.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21719532:21722727:1 gene:DRNTG_09515 transcript:DRNTG_09515.14 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHRENGAHGPVSKKARRSSSITFEEIQEEFSHHERNVARINNGSFGSCPGSVLAAQREWQMLFLSQPDDFYFNRLQPALLESRSVIKSIINAADVDEVSLVDNATTAAAIVLQKVSWAFSEGTFSRGDAVLMLHYAYGAVKKSIQAYVSRAGGHVIEVPLPFPVSSDAEIIDEFKKALSLGKAGGRKVRLAVIDHITSMPSVVIPVKELTRICREEGVDQVFVDAAHAIGNVKIDVQDIGADFYTSNLHKWFFCPPSVAFLYCRKSQVSDDMHHPVVSHEYGNGLAIESGWIGTRDYSAQLVVPEVIRFINRFEGGIEGIRCRNHKKVIEMGKMLALSWGTRLGSPPEMCSSMVMVGLPGCLKVYNDKDALRLRKYLREFHNVEVPIYCCKQLTDGEVERISSSVITGYARISYQVYNVVEDYERLKKAIDDIITGEFHARLLPVTD >DRNTG_09515.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21719587:21722876:1 gene:DRNTG_09515 transcript:DRNTG_09515.12 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHRENGAHGPVSKKARRSSSITFEEIQEEFSHHERNVARINNGSFGSCPGSVLAAQREWQMLFLSQPDDFYFNRLQPALLESRSVIKSIINAADVDEVSLVDNATTAAAIVLQKVSWAFSEGTFSRGDAVLMLHYAYGAVKKSIQAYVSRAGGHVIEVPLPFPVSSDAEIIDEFKKALSLGKAGGRKVRLAVIDHITSMPSVVIPVKELTRICREEGVDQVFVDAAHAIGNVKIDVQDIGADFYTSNLHKWFFCPPSVAFLYCRKSQVSDDMHHPVVSHEYGNGLAIESGWIGTRDYSAQLVVPEVIRFINRFEGGIEGIRCRNHKKVIEMGKMLALSWGTRLGSPPEMCSSMVMVGLPGCLKVYNDKDALRLRKYLREFHNVEVPIYCCKQLTDGEVERISSSVITGYARISYQVYNVVEDYERLKKAIDDIITGEFHARLLPVTD >DRNTG_09515.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21719587:21727830:1 gene:DRNTG_09515 transcript:DRNTG_09515.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSGDHRSSAQILKRSALCSGLLLVVILLAMTQWVAAENSRCKQMKPSADRPHSVSITDFGAVGDGVTLNTKAFQNALFYLHSFADKGGAQLFVPSGRWLTGSFSLISHLTLSLDKDAVIIGSTDSSEWPIIDPLPSYGRGRELPGGRHQSLIYGNNLTDVIITGGNGTIDGQGGVWWDWFKNKTLNYTRPHLVEFMYSTEVVISNITFVNSPFWAIHPVYCSQVLVQDVTILAPLDSPNTDGIDPDSSSNVCIEDCYISTGDDLIVIKSGWDEYGISFAHPSSNISIHRVVGETGSGAGIAFGSEMSGGISEVKAEGIHLFNSKHGIRIKTSPGRGGYVRNIFISDVTMKDVDIAIRISGNYGEHPGETYDPKVLPIINGITIQDVSGVNISKAGLLEGIRGDNFSDICLTNVVLNVTSHHPWKCSFIEGYANLVSPESCEPLEKTVPDQSSVCYAPDHLQPQLSNGNRLMNPFLRLSSL >DRNTG_23312.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1131388:1137235:1 gene:DRNTG_23312 transcript:DRNTG_23312.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSDGSKNSDVKGTALELAQESSALSHLHHKNNYYNLNNNPTHPPPPPPTPPVTMDPDHTSGIAAPILRPDHPPRRRCFPSFTSSFAIKTSIWAELGGAVGDLGTYIPIVLAVSLVNGLDLSTTLIFTALYNAATGFLFAVPMPVQPMKSIAAVAISESTHLTIPQIMAAGLCTAGVLFILGATGLMSLAYRLIPLPVVRGVQLSQGLAFAFTAIKYIRYNQNFSSSKTSSSDTRPWLGLDGLILAISALLFIILVTGSGDDEDTIQEQQQDEARCDNTQRRRCIRGSFFRKIPSALLVFLLGLALCFARNPSIIHNIKFGPSKITVVKISWQDWKTGFIRAAIPQIPLSVLNSVIAVCKLSADLFPDRRVTARSVSVSVGLMNMVGCWFGAMPVCHGAGGLAGQYRFGGRSGAAVLFLAIGKMVLGLVFGNSFVRILAEFPIGLLGVLLLFAGIELAMASRDMGSKEDSFVMLMCAAVSLTGSSAALGFGCGIVLYLLLRLRDLDCCSSALLLTGKRQQEANNNDDHIV >DRNTG_23536.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22335024:22340863:1 gene:DRNTG_23536 transcript:DRNTG_23536.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit a1 [Source:Projected from Arabidopsis thaliana (AT2G28520) UniProtKB/Swiss-Prot;Acc:Q8RWZ7] MSRKLRFFSDQISKAGVTAASLPVMQPDFDLEELEVRLGEHESELIEMNTNSEKLRHSYNELFEFKLVLLKAGGFLVSSQKHAVPSERELDEHIYSGLQDGEHMSLIEQVEPSNKSGLRFISGIICKSKSLRFERMLFRATRGNMFFNQAPAGEQVMDPISGEMVEKMVFVVFFSGEQARNKILKICEAFGANCYPVPDDVTKQRQITREVSSRLSELEATLDAGIRHRNKALASIAPQIWKWTLIVKKEKAVYDTLNMLNFDVTKKCLVGEGWCPMFAKPQIKDALQRATFDCNSQVGIIFHVMDAVESPPTFFRTNRFTHAFQEIVDAYGVARYEEANPAVYSVVTFPFLFAVMFGDWGHGICLLLGSLVLILRENKLGSKKLGSFMEMAFGGRYVILLMALFSIYCGLIYNEFFSVPFQIFGSSAYKCRDTSCGDAYTVGLIKYREPYRFGVDPSWRGSRSELPFLNSLKMKMSILLGVTQMNLGIILSYFDAKFHGSLLDIKYQFMPQMIFLNSLFGYLALLIVIKWCTGSQADLYHVMIYMFLSPTGDLGENQLFWGQKQLQILLLLLAVVAVPWMLFPKPFILRKLHTERFQGRTYGLLGNSEMDIDVEPDSARQHHEDFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKILLLAWGYNNVVIRVAGLAVFAFATAFILLMMETLSAFLHALRLHWVEFMGKFYHGDGYKFKPFSFASLVDEED >DRNTG_23536.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22332861:22334906:1 gene:DRNTG_23536 transcript:DRNTG_23536.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit a1 [Source:Projected from Arabidopsis thaliana (AT2G28520) UniProtKB/Swiss-Prot;Acc:Q8RWZ7] QNSNPPGAQVGVLESHHSSLHSDETSRRFEAKLFPSNRAILLLLLLLSLSQIHLLSNALLSILLPNPRVGESPPCALLPALRSDNRSPFSDCHCRRIGDEVLR >DRNTG_23536.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22332910:22340863:1 gene:DRNTG_23536 transcript:DRNTG_23536.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit a1 [Source:Projected from Arabidopsis thaliana (AT2G28520) UniProtKB/Swiss-Prot;Acc:Q8RWZ7] MKFFDNLPPMEHLRSEKMSFVQLIIPVESAHRALSYLGELGLVQFKDLNGDKSPFQRTFVNQVKRCAEMSRKLRFFSDQISKAGVTAASLPVMQPDFDLEELEVRLGEHESELIEMNTNSEKLRHSYNELFEFKLVLLKAGGFLVSSQKHAVPSERELDEHIYSGLQDGEHMSLIEQVEPSNKSGLRFISGIICKSKSLRFERMLFRATRGNMFFNQAPAGEQVMDPISGEMVEKMVFVVFFSGEQARNKILKICEAFGANCYPVPDDVTKQRQITREVSSRLSELEATLDAGIRHRNKALASIAPQIWKWTLIVKKEKAVYDTLNMLNFDVTKKCLVGEGWCPMFAKPQIKDALQRATFDCNSQVGIIFHVMDAVESPPTFFRTNRFTHAFQEIVDAYGVARYEEANPAVYSVVTFPFLFAVMFGDWGHGICLLLGSLVLILRENKLGSKKLGSFMEMAFGGRYVILLMALFSIYCGLIYNEFFSVPFQIFGSSAYKCRDTSCGDAYTVGLIKYREPYRFGVDPSWRGSRSELPFLNSLKMKMSILLGVTQMNLGIILSYFDAKFHGSLLDIKYQFMPQMIFLNSLFGYLALLIVIKWCTGSQADLYHVMIYMFLSPTGDLGENQLFWGQKQLQILLLLLAVVAVPWMLFPKPFILRKLHTERFQGRTYGLLGNSEMDIDVEPDSARQHHEDFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKILLLAWGYNNVVIRVAGLAVFAFATAFILLMMETLSAFLHALRLHWVEFMGKFYHGDGYKFKPFSFASLVDEED >DRNTG_32995.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19926697:19928295:1 gene:DRNTG_32995 transcript:DRNTG_32995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSTAHPPKTFKARSPPHSPPTSSLSLSFSLLLLLRIDPSISHLHRRYPFRYPSFSDLHTPFHSSENLNSNWVRIHNQNRFFFIFGKFWVGKRVLIMDRRGVCDFTVVVICLLAMMVVRSVSQDDAAVSPAMVAFFRGRPDVALPEALNSTPTDPVWGVAAGRVAPPGSENAGGGKRSRLVLLMAGLACGVVGAALLGAAAVAFASRNRRFEPEKPVRFRGCARPEANRAGGALGCLNYFLVS >DRNTG_04420.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:16623815:16625352:-1 gene:DRNTG_04420 transcript:DRNTG_04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLRFQWSAKSSTNTNKLTVFSIINPCLHFYQDKVVRAIRLFGGLIILMNYITSNHKTREQYHQNQSQEQPQEPLQLHKKSRNNKKAKKSIKVSPSTNFFFR >DRNTG_08816.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28049514:28051565:-1 gene:DRNTG_08816 transcript:DRNTG_08816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHQITKEEDVLFMEIEKRILLLVNDDEEEAAKNKYGLAGNYSIKRPSLINPLILAEMNHFSWRHNECEQLLTLKQRNQLKVWLPRESNGTGVFIPNHPTASKKRCEDGNGRASGPALKGRRHLNGRVSSTLR >DRNTG_02071.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000104.1:47198:49259:-1 gene:DRNTG_02071 transcript:DRNTG_02071.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERQLGFAPSSQSIVIKIFVRDCPPKSDSWPLPPHHNPEMISIFAQLNDKTNLKSLA >DRNTG_23551.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22411304:22412494:-1 gene:DRNTG_23551 transcript:DRNTG_23551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLFKEMKGLNFSCTSPASTAAICATIEGSSLISSGTGRAIDRYNPHLRDPRRTKPLKPPALLPPQIPPSSKTKKKKKKTNNKSSEKSNSSNFVTINNNTSASPGSSTRFLLNSDVTLSHDHVFAEPEFLSVSSPDKEDHEPSSSSSSSLSSIVTTVTSSTSAASSVTVTVTDADADASGDHAVVKPENQQVVVLRVSLHCKGCEGKVRKHISKMEGVKSFLIDFAAKKVTVIGDVTPLGVLNSISKVKSAQFWPTPGDSSS >DRNTG_04861.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:6751979:6754632:1 gene:DRNTG_04861 transcript:DRNTG_04861.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLSHQAFLHPPKPSSLPRIKPRASMSRSRSPSPVLDFPYLPPPHRDLMLSLLSTVHSRLASHLLPSSVPSDVLSFHNDASTSFGALDIRSGAHDSPVDFILESWLHCKLPTGALNITTLFGFLNASTEAPHLLMEFIQGSPTSLVLFMDLLPRKDLVLHPEYLAEFYQDTQLEKLRQELNNLPYVQPYCSSSLYIRSVLSPTAVAVNINCGADGESSMEEVMGAQLSSVAKEVLQIWLDKCACSSHQMEESKRNVLIQRDNLIKTKTVEIDLSANLPRMFGPNVAEKIVGTIQKTFRI >DRNTG_04861.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:6751979:6754688:1 gene:DRNTG_04861 transcript:DRNTG_04861.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLSHQAFLHPPKPSSLPRIKPRASMSRSRSPSPVLDFPYLPPPHRDLMLSLLSTVHSRLASHLLPSSVPSDVLSFHNDASTSFGALDIRSGAHDSPVDFILESWLHCKLPTGALNITTLFGFLNASTEAPHLLMEFIQGSPTSLVLFMDLLPRKDLVLHPEYLAEFYQDTQLEKLRQELNNLPYVQPYCSSSLYIRSVLSPTAVAVNINCGADGESSMEEVMGAQLSSVAKEVLQIWLDKCACSSHQMEESKRNVLIQRDNLIKTKTVEIDLSANLPRMFGPNVAEKIVGTIQKTFRI >DRNTG_04861.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:6751979:6754568:1 gene:DRNTG_04861 transcript:DRNTG_04861.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLSHQAFLHPPKPSSLPRIKPRASMSRSRSPSPVLDFPYLPPPHRDLMLSLLSTVHSRLASHLLPSSVPSDVLSFHNDASTSFGALDIRSGAHDSPVDFILESWLHCKLPTGALNITTLFGFLNASTEAPHLLMEFIQGSPTSLVLFMDLLPRKDLVLHPEYLAEFYQDTQLEKLRQELNNLPYVQPYCSSSLYIRSVLSPTAVAVNINCGADGESSMEEVMGAQLSSVAKEVLQIWLDKCACSSHQMEESKRNVLIQRDNLIKTKTVEIDLSANLPRMFGPNVAEKIVGTIQKTFRI >DRNTG_09498.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21644221:21647368:1 gene:DRNTG_09498 transcript:DRNTG_09498.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKQHLKRLAGIDLQILSAQVTQSTEFTELVNQEPWLSSMKLVVKPDMLFGKRGKSGMVALNLDVAQVAHFVKGKLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGCTISFSECGGIEIEDNWDKVRTIFLPTEKPMTSEACAPLIATLPLEVRGKIGDFIKSVFAVFQDLDFTFLEMNPFTLVNGEPYPLDMRGELDDTAAFKNFQKWGNIEFPLPFGRVMNPAESFIHELDDKTSASLKLTVLNPKGRIWTMVAGGGASVIYSDTVGDLGYASELGNYAEYSGAPKEDEVLQYARVVLDCATADPDGRKRALLIGGGIANFTDVASTFNGIIRALREKESKLKAARIHIYVRRGGPNYQTGLAKMRALGKELGIPLEVYGPEATMTGICKEAIDCIMAAD >DRNTG_32447.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18954980:18956289:-1 gene:DRNTG_32447 transcript:DRNTG_32447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSPSNPFLSIIHQEAVEKELDFQISLLKVVRATSAPTRDIDSRHFLYFTEKQGMVTLYVPGIKDDDMRLGRHEIFESPC >DRNTG_02412.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000113.1:191545:194144:1 gene:DRNTG_02412 transcript:DRNTG_02412.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYCO ARATH [Source:Projected from Arabidopsis thaliana (AT2G31170) UniProtKB/TrEMBL;Acc:A0A178VWT1] MTHLQCLSPTVEPRVTTHMNQIIDMIKQIIDNGCAYTINGDVYFSVDKFPSYGRLSGRRLDDNQAGKRVAVDARKQNPADFALWKSAKEGEPYWESPWGPGRPGWHIECSAMSAAYLGHSFDIHGGGMDLVFPHHENEIAQSCAACRESNVNFWMHNGFVTIDHEKMSKSLGNFFTIREVIEKYHSLALRLFLVSTHYRSPINHSSAQLETASDRLFYIYQTLYDCEEILSKHDKGNMKDLIPSVTENCIKRFRCDFVDSMSDDLHTPVVLAALSEPLKTINDLLHTRKVLICSLTSMI >DRNTG_02412.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000113.1:189880:197245:1 gene:DRNTG_02412 transcript:DRNTG_02412.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYCO ARATH [Source:Projected from Arabidopsis thaliana (AT2G31170) UniProtKB/TrEMBL;Acc:A0A178VWT1] MATALLKLYFPILSPRRSSISSPRIQTHLPLHLLSSLLLKSSKKRPSLPSSSSRALCFSTNSARPETYGEYGRESTPELHLYNTMSRRKEPFRPLAPGKVGMYVCGVTPYDLSHIGHARVYVTFDVLFRYLQHLNYEVCYVRNFTDVDDKIIDRANKTGEDPLNLSSRYCDEFHADMTHLQCLSPTVEPRVTTHMNQIIDMIKQIIDNGCAYTINGDVYFSVDKFPSYGRLSGRRLDDNQAGKRVAVDARKQNPADFALWKSAKEGEPYWESPWGPGRPGWHIECSAMSAAYLGHSFDIHGGGMDLVFPHHENEIAQSCAACRESNVNFWMHNGFVTIDHEKMSKSLGNFFTIREVIEKYHSLALRLFLVSTHYRSPINHSSAQLETASDRLFYIYQTLYDCEEILSKHDKGNMKDLIPSVTENCIKRFRCDFVDSMSDDLHTPVVLAALSEPLKTINDLLHTRKGRKQELRIESLYSLEREVRRVLTVLGLMSSSYVEVLQQLRDKALDRAGLTEEEVMQKIEERSSARQAKQFDRSDEIRKELAAVGIALMDNPDGTTWRPSVPLRFQELVASA >DRNTG_02412.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000113.1:192858:197245:1 gene:DRNTG_02412 transcript:DRNTG_02412.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYCO ARATH [Source:Projected from Arabidopsis thaliana (AT2G31170) UniProtKB/TrEMBL;Acc:A0A178VWT1] MSAAYLGHSFDIHGGGMDLVFPHHENEIAQSCAACRESNVNFWMHNGFVTIDHEKMSKSLGNFFTIREVIEKYHSLALRLFLVSTHYRSPINHSSAQLETASDRLFYIYQTLYDCEEILSKHDKGNMKDLIPSVTENCIKRFRCDFVDSMSDDLHTPVVLAALSEPLKTINDLLHTRKGRKQELRIESLYSLEREVRRVLTVLGLMSSSYVEVLQQLRDKALDRAGLTEEEVMQKIEERSSARQAKQFDRSDEIRKELAAVGIALMDNPDGTTWRPSVPLRFQELVASA >DRNTG_31005.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30407236:30407503:1 gene:DRNTG_31005 transcript:DRNTG_31005.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLESRMERMRHEYTLLKATLQSIEKLKPKS >DRNTG_31005.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30407236:30407957:1 gene:DRNTG_31005 transcript:DRNTG_31005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLESRMERMRHEYTLLKATLQSIEKLKPKS >DRNTG_15038.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4147200:4151912:1 gene:DRNTG_15038 transcript:DRNTG_15038.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFRFATEPQRGLHLRSSFRASPPRSEAKTPSKFFPER >DRNTG_23431.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21235412:21239400:-1 gene:DRNTG_23431 transcript:DRNTG_23431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITQKSIGEGGILAAGTDKERAISAEDAVCCICLAKYVDNDELRELPCTHFFHTECVDKWLKINASCPLCKFEVGEAAGATSGSNSGSHNDDRRVGSGGDLQETQ >DRNTG_11769.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:643229:645677:-1 gene:DRNTG_11769 transcript:DRNTG_11769.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSNDSSEDGSALLLLLPPTPPWTPRWCPRWRRELGTAEEVTWLPGRLRVHSRCSGVGGESIKKLYQETRAGIRVLEGSVGSPDRIILVVHHCDYCAWGNDVGTRYQSGIYLYTPTPELEKMTNSGETLEGPEQEDCHGNSPGKKNFTEPKNTISSC >DRNTG_13230.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2799349:2802720:-1 gene:DRNTG_13230 transcript:DRNTG_13230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQNSFRGALEKLQSFRLGGMEKQKSFKMKGMEKQKSFKLGSADKQQSFREKKNRDSFGKRGDTPLHLAARAGNAVNVEKILVECDQSLLNDLVSKQNQDGETALYVAAENGHEDVAHVLLKVSDIQSAAIKANNSFDAFHIAVKQGYLEVVRELLHAFPALAMTTSTSNSTALDTAATQGHVEIVDLILQTDAGLVKIARSNGKTALHSAARMGHVGVVRSILQKDPSIGLKTDKKGQTALHMAVKGRNVQMVLELIKLDPSVISLEDNKGNTALHIATRKGRSEMVQTLLSIGGINVNAVNKAGETALGIAEKEFNEEISAILKKVGAVASKEQPTPANPAKQLKQTVSDIKHDVQSQLVETRQTGKRVHNIKKKIQKLHIGGLNNAINSNTVVAVLIATVAFAAIFTLPGQYVDSPDGDLTTGQANIAKNAAFLIFLVSDALSLFISLAVVVVQTSLIVTEQKAKKLMVFVMNKLMWLACLFISISFISLSYVVVGKRDRWLAFATTVIGASIMLGTLGSMCYCIIMHRIEEKNLRNIRRASASRSRSWSMSMMSDPEILNSECKRMYAL >DRNTG_13230.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2799349:2802720:-1 gene:DRNTG_13230 transcript:DRNTG_13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQNSFRGALEKLQSFRLGGMEKQKSFKMKGMEKQKSFKLGSADKQQSFREKKNRDSFGKRGDTPLHLAARAGNAVNVEKILVECDQSLLNDLVSKQNQDGETALYVAAENGHEDVAHVLLKVSDIQSAAIKANNSFDAFHIAVKQGYLEVVRELLHAFPALAMTTSTSNSTALDTAATQGHVEIVDLILQTDAGLVKIARSNGKTALHSAARMGHVGVVRSILQKDPSIGLKTDKKGQTALHMAVKGRNVQMVLELIKLDPSVISLEDNKGNTALHIATRKGRSEMVQTLLSIGGINVNAVNKAGETALGIAEKEFNEEISAILKKVGAVASKEQPTPANPAKQLKQTVSDIKHDVQSQLVETRQTGKRVHNIKKKIQKLHIGGLNNAINSNTVVAVLIATVAFAAIFTLPGQYVDSPDGDLTTGQANIAKNAAFLIFLVSDALSLFISLAVVVVQTSLIVTEQKAKKLMVFVMNKLMWLACLFISISFISLSYVVVGKRDRWLAFATTVIGASIMLGTLGSMCYCIIMHRIEEKNLRNIRRASASRSRSWSMSMMSDPEILNSECKRMYAL >DRNTG_00088.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21186206:21187791:1 gene:DRNTG_00088 transcript:DRNTG_00088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFMFLLGKEKWKTCFPALRMEFSINLRDSEERTPLHWAVDRGHFDVVEILLHKNADVNAEDHEGQTPLHYAVLCEREAIAKLLVEHNANLNLKDKDGNTPGDLLGSSWVFMSSPN >DRNTG_18750.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1267116:1269029:-1 gene:DRNTG_18750 transcript:DRNTG_18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASISSACCSAFAPADFAASRKPVLPLAVSLPRRILAPLPIRAVKAESKPSATPARKREPRGITKPCPISPELQALLGVEEIPRTQALKLIWAYIKEKNLQDPENKRVIICDEKLKKIFAGKDRVGFLEISGLLNPHFAK >DRNTG_07959.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:930063:931408:1 gene:DRNTG_07959 transcript:DRNTG_07959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINGVAVDHQKGNKASVLALGTANPPNIVYQDTFADYFFRVTNSEDKVELKEKLKRVCEKSMIRKRHFFLNEEKLKEHPNLCSFMDHPSLNTRHDIMVEEVPKLGEKAVIKALEEWGRPRSEITHIIFCSTGGVDMPGADYKIIKLLGLSPSTKRVMLYSQGCFAGGTVLRIAKDLAENNENSRVLIVCSELTAIFFRGPSDAKEDFNNLVGQAISGDGAAAVVIGANPIPAVETPFFEIVSTDQVILPDSDGCIEGHLREVGLMYNLNNQVPNIIGNNIEKIMVKVFSALGISDWNSLFFITHPGGRAILDKIQEKLELKQEKMRAVLHVLGQYGNMSSASVFFVMDEMRKHSIENGLHTAGEGLDYGVLHAMGPGLTVETVVLHAPCLHGFSNIYQN >DRNTG_05410.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5355192:5366508:1 gene:DRNTG_05410 transcript:DRNTG_05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTQRCHEASYKNLEHQLGGILDILSKDQQVFEQASQVPCREDVVVNDNEEVGWNEHDVVEIKRIQEEPFTQYDDFVALYLQVEALSKKVDRIVTSRQQNIPCYNTYHPIEVGYPNLLWNNGEQHWETPQEECQRGEILGEDALQLQRVLANFIEASDVCVQNMETTRRCHEASYKNLEHQLGGILDTLSKEQQVFEQASQVPCREDVVVNDNEEVGRNEHNVVEIERIHEEPFTQYDDCLSGPYVCEQEMMQGELAKTNCFQVEIEEEATTKQIFAHPEKAQGRAAAPVKDHATVTRPWVISARACGFLQSWVVYPESTQGRGLAPVGDLVNHTRAWIIFVRSCESLQRTSPSREDTGACVCPYELGL >DRNTG_18866.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2404126:2408579:1 gene:DRNTG_18866 transcript:DRNTG_18866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAISIEDVRREVKILKALSGHNNLVKFHDACEDALNVYVIMELCEGGELLDRILSRGGRYMEEDAKTIVVQILSIVAFCHLQGVVHRDLKPENFLFSTKDENSSMKIIDFGLSDFVRPDERLNDIVGSAYYVAPEVLHRAYSMEADMWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDSPWPSVTSEAKDFVRRLLNKDYRKRMTAVQALTHPWLRDEQRHIPLDILIYKLIKSYIRSSPFKRAALKALSKSLTEDELFYLRCQFKLLEPNRDGRVSLENFRMALMQNATDAMKESRVPDILNLLEPLHYRKLDFEEFCAAAISPYQLEALEGWEHIASIAFEHFERDGNRVISIAELAQEMNLPATAHSIMHDWIRQSDGKLSYLGYMKFLHGVTIRSSNTRHH >DRNTG_02297.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:788361:789561:1 gene:DRNTG_02297 transcript:DRNTG_02297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGVIFAFGVALLFATHAVAQVKVINFGYSGNSGPDKWGSLSPDFATCSQGKNQSPVNIIKENKGSVAYKKMVPVQGDYIDPNATLVNNGFNIALRFDKPVGSIFVNQKKHNLVQMHTCSADQETHIPIGVLNTKSLRRQYTKYWSYSGSLTTPPCIENVTWFIIGKIREISEDQVAVLKAPLGADYKNNSRPVQAINKRSVFRFNLEKEKRIANGFYDDD >DRNTG_16430.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7599871:7603949:1 gene:DRNTG_16430 transcript:DRNTG_16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKAPQKAFDVRKEVGEIDTSAPFQSVRAAVNLFGEVAFSAARTFSPKTKPLLAERSLGSETELQLAQNELNKYKERLRKSETTRGQALTELDLTKRTVEDLNQKLNSINKSKELTKTQTKQLKEVGSGNHNGKNDAWKHELDNMTKQYAVAIAELDVAKQELSRIKKDFDASLEAKVAAIQQEVEAKELSDVNKDKASQFSCEIAATQESLKRAKLALLQTQQDESKVLSEKNGSRQSYRHKLEETEKKLSALKKEFDPQVYLNLRTRLNEEHAEIGAVQRQIKHAKDSELESVTNVTKELDDAKGVLKRVAEEDLSLRNLVDSLKLELEAVKKEHAEVKEKDAETESIAAELHAKLHYGKLELEAATIMQSKASSAHDDLMATLKQLSTESENARMEAEEFTSNVSELRSEADTSRALLNEMEKKLEVALKDAEAAKEAEAKAANQIKILSDKANVARSSTSESGSSISISREEYNSLSQKVEACEKVAEMKVAAAMAQVEAIRASENSAIKKLEAARKKMEEIELATGEALRRAEMAEAAKKMVQGELRRWREKEQKAADTASIILVETPMPAGPSSSRKKTQRAKSMGDAKEIQTTEKNSMPKKKLIPSFSSLLGRKKGQVDNEFASYLPGEKQE >DRNTG_35165.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28856682:28858232:1 gene:DRNTG_35165 transcript:DRNTG_35165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVCEVNPVAVFPVNQQSTGGGEGSEDGRLDIWNSIQSQKKVVVECPALLPYVHPLLRRSSSSLISQKSLQVCTESLGSETGSDVFSDDDFSPSPFASDLDEIEPLQNFEDEDEEEEVYDEVEKEEEEKKREEKELVSVNYHCCISRRSPVRSFPPPLPSISRRDGPCLSMRPHRRDGRLVVEAVPVPSQNYLHAERHDGRLLLSFIHTSSPQPSLPIPSQIKKQESPPQQQDEDEGEDEEDGEEEQESEEEVEVVDRGTVVEVKVSMQPQQQSGSMKVHRSSLVINKFVGGTPISVEDEQDEPPKLRRVPSTTMTAAAAVVAAASSLSGGDSGCSMENTTADSKLLFTSKGRSKEEMLYLMRRCSELRRPIFFYEPCCIVTN >DRNTG_14509.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000701.1:2362:3522:1 gene:DRNTG_14509 transcript:DRNTG_14509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINMFETFDTILRMVEHVEQKVQTVAWHDISCYSHHEQCTTQQPSKESIEEYITRIQGQSCELDNVIKQFEESTSVSVSDQLEESLERI >DRNTG_29075.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1770612:1773444:1 gene:DRNTG_29075 transcript:DRNTG_29075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYDGECPLCMREVNMLKERNKAYGAIKFVDISSNDYSPKENRGLDYETVMGRIHAILADGTVVRDVEAFRRLYEEVGLGWVYVVTKYEP >DRNTG_19855.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19625963:19628599:-1 gene:DRNTG_19855 transcript:DRNTG_19855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEAHMEVDSGRCSNGVLQSSEEDDLDGFCGSDVDSDKEGGISFCRVCHCAESDSRGDTALGFLDILPPLRDAVEKNGDGDLNNKVSPRFIEKDVIHTKSSTREPKFIEFVSPEGEVFVCSNDLESGSFHQQDMLISLGCSCKNELALVHYACALKWFISHGSTVCEICGNVASNVRSADFKKVMASLKDYESLRERTATGEVTHTDVETNSSVDPDALAAIRRQRLSEISSWFNPHNNTATVSHENFDQLFSAPTEQISSVESSATRWTLEGTGILVATGLLTLVLAWLVAPHVGKKIAISGLYILLGCVCALTTLVSLRFISSRFTYGPTRYWTISFVFGFLIFGIWAERTRHIRSS >DRNTG_10134.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19104530:19105055:-1 gene:DRNTG_10134 transcript:DRNTG_10134.1 gene_biotype:protein_coding transcript_biotype:protein_coding STEKKSLTSENSITAVSKQPKDSSVDSEHSENKTQLNEPKPGSPCEVAKDCIQHTIDHPECSSPVSRQSPNRGSVIITCVRLNFIKFLDQVLAIQIFLALAHC >DRNTG_24404.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001301.1:97444:99208:-1 gene:DRNTG_24404 transcript:DRNTG_24404.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSYDRASEIKAFDETKAGVKGLVDAGITEVPRFFIHPTETIISDSTTELQIPVIDMKDVNTRKKEIVEKVKEASETIGFFKVANHGVPNKVMDEMLDAIKRFMDDDDEGEKKKYYSRDNDRKVMFNCNYDLYSSPAANWRDTLIFRMAPDPPEKEEVPCAFREIVFDYSFHMKKLGEVLLELLSEALGLKPDHLKEMECAHGIVAACHYYPPCPEPHLAIGTSKHSDPGFFTILLQDKSISGLQMLHNNKWVDVPPSPGCLVINIADLLQLISNDKLKTVEHRVLASKEGPRLSVACLFLNQYSPSRVYGPIMELLANGSAPIYREVVIDEFNKHYNTKRPDGMSALDHFKL >DRNTG_24404.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001301.1:6599:8393:-1 gene:DRNTG_24404 transcript:DRNTG_24404.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECPDMVMDEMLDAIKRFMEDDAEVKKKYYTRDTQQRKVVFNCNFDLYSSPAANWRDTLFLRMAPDPPEKDEVPHAFREIVFEYSFHMKKVGEVLFELISEALGLKPDHLKEMKCAQGIGVACHYYPPCPEPHFALGTSKHSDPGFLTILLQDKSIGGLQILHKSKWVDVPPSPGCLIINIADLLQLISNDKLKSVEHRVLASKEGPRLSVACFFVTQYSPSSMVYGPIMELLANGSAPIYREVVIDEFNKHYNSKGLDGKSALDHFKL >DRNTG_24404.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001301.1:6599:99208:-1 gene:DRNTG_24404 transcript:DRNTG_24404.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSYDRASEIKAFDETKAGVKGLVDAGITEVPRFFIHPTETIISDSTTELQIPVIDMKDVNTRKKEIVEKVKEASETIGFFKVANHGVPNKVMDEMLDAIKRFMDDDDEGEKKKYYSRDNDRKVMFNCNYDLYSSPAANWRDTLIFRMAPDPPEKEEVPCAFREIVFDYSFHMKKLGEVLFELISEALGLKPDHLKEMKCAQGIGVACHYYPPCPEPHFALGTSKHSDPGFLTILLQDKSIGGLQILHKSKWVDVPPSPGCLIINIADLLQLISNDKLKSVEHRVLASKEGPRLSVACFFVTQYSPSSMVYGPIMELLANGSAPIYREVVIDEFNKHYNSKGLDGKSALDHFKL >DRNTG_30348.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001563.1:12644:13302:-1 gene:DRNTG_30348 transcript:DRNTG_30348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEYNKNYWIFIVAVTLFVFFLLYRRNRSTKIHKVSVKLPPGSNGWPLIGDGLKWYNSVSSSHPPKYVEDQINRHGKIFSCSIFGRRAVVSADPAFNRFIMQNEGKLFKSSYPKSFRDLVGKNGIIVVQGEQQRKLHGIATNMLRLDKLNSTFLRDVQIVMLRTLDSFHAGQVLVLQDVCRKV >DRNTG_29657.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001492.1:7340:9467:1 gene:DRNTG_29657 transcript:DRNTG_29657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLSILPKDTCFLGFCREMGRKRVASYFHSNSKRNTSPTPSSCPSTGKVPLNPR >DRNTG_04038.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11421830:11422850:1 gene:DRNTG_04038 transcript:DRNTG_04038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTPLSNRISTTMEGTERVSEKSSSRASGSKRGTPNKRWKGEYDSFLIPLLVEQVKKGMKCDKSFKRAAFNFAAVAVNSRFNTDFSAENVENHYRTLKSRYVEIKKVRDLSGAGWDDATKTITLDPIVALTYIEAHPAAKAFINKPIDHYEALRIICGDDNATGAYATSLYANFSDKSEAEGNNMENFDEGPVELPSDDDADVNSAPPIVGSPATSSAQRSQHSSRGSKNPSMMGDLIIVVGEMAAAIKNPTHWTEPLYAKVMEIDGFQKKELVQVFDYLQFRENEARGFLVKDMELRKDWIEQFLSRME >DRNTG_21842.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6097868:6099453:1 gene:DRNTG_21842 transcript:DRNTG_21842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSLKIGDGTARFRRATLCSSALHLLMLASVLATNLFAFYAFTSTPLPASQPSKNLSLISEHVALILREIDASERRLHQIQRELSGYDSLDPLNPSFPSDLRLFLSRHPLPLGKDSKSGITQMISSVSHSCSRSTDLLSSFSSYKPWSKCPNDSLLVTKLIVRGCDPLPRRRCLTRPSTSLPAPTPIAHQIWLKLRGKNDFLIDDVLKMGKGAIRTGLDISGGVGDFAVRMAERNVTIVTTSSDSDSGAMMASRGVFPLLMSTAGRFPFYDSVFDLVHTTKGFDEGAVDKTKSEGMEFLMFDIDRVLRTGGLILVG >DRNTG_28050.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3280704:3281368:-1 gene:DRNTG_28050 transcript:DRNTG_28050.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDIATLLGAIHLMAPMRGFAGGMRSVHNIVGGLPIHNGGMPPSIPVGALTPLLANASPEQQRTILGENLYPFVDYLEHNHAAKVTGMLLEMDQVEILHLLESPEVLKAKVSEAMEILRNVRQQQQTSSPADQFAAVTE >DRNTG_28050.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3280541:3281318:-1 gene:DRNTG_28050 transcript:DRNTG_28050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFAGGMRSVHNIVGGLPIHNGGMPPSIPVGALTPLLANASPEQQRTILGENLYPFVDYLEHNHAAKVTGMLLEMDQVEILHLLESPEVLKAKVSEAMEILRNVRQQQQTSSPADQFAAVTE >DRNTG_28050.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3280612:3281318:-1 gene:DRNTG_28050 transcript:DRNTG_28050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFAGGMRSVHNIVGGLPIHNGGMPPSIPVGALTPLLANASPEQQRTILGENLYPFVDYLEHNHAAKVTGMLLEMDQVEILHLLESPEVLKAKVSEAMEILRNVRQQQQTSSPADQFAAVTE >DRNTG_28050.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3280704:3281318:-1 gene:DRNTG_28050 transcript:DRNTG_28050.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFAGGMRSVHNIVGGLPIHNGGMPPSIPVGALTPLLANASPEQQRTILGENLYPFVDYLEHNHAAKVTGMLLEMDQVEILHLLESPEVLKAKVSEAMEILRNVRQQQQTSSPADQFAAVTE >DRNTG_28050.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3280612:3281368:-1 gene:DRNTG_28050 transcript:DRNTG_28050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDIATLLGAIHLMAPMRGFAGGMRSVHNIVGGLPIHNGGMPPSIPVGALTPLLANASPEQQRTILGENLYPFVDYLEHNHAAKVTGMLLEMDQVEILHLLESPEVLKAKVSEAMEILRNVRQQQQTSSPADQFAAVTE >DRNTG_28050.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3280770:3281368:-1 gene:DRNTG_28050 transcript:DRNTG_28050.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDIATLLGAIHLMAPMRGFAGGMRSVHNIVGGLPIHNGGMPPSIPVGALTPLLANASPEQQRTILGENLYPFVDYLEHNHAAKVTGMLLEMDQVEILHLLESPEVLKAKVSEAMEILRNVRQQQQTSSPADQFAAVTE >DRNTG_28050.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3280541:3281368:-1 gene:DRNTG_28050 transcript:DRNTG_28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIATLLGAIHLMAPMRGFAGGMRSVHNIVGGLPIHNGGMPPSIPVGALTPLLANASPEQQRTILGENLYPFVDYLEHNHAAKVTGMLLEMDQVEILHLLESPEVLKAKVSEAMEILRNVRQQQQTSSPADQFAAVTE >DRNTG_28050.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3280770:3281318:-1 gene:DRNTG_28050 transcript:DRNTG_28050.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFAGGMRSVHNIVGGLPIHNGGMPPSIPVGALTPLLANASPEQQRTILGENLYPFVDYLEHNHAAKVTGMLLEMDQVEILHLLESPEVLKAKVSEAMEILRNVRQQQQTSSPADQFAAVTE >DRNTG_02154.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29040321:29044726:1 gene:DRNTG_02154 transcript:DRNTG_02154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAALLLNGGGARWKEEYRSGTNNGLGREMGAHVGSWRSPLDWIWLTVDHAVVTWRGVLQSPPHLDTPSVSSANLTLFSNSICTVCSLLALSSAATLALHSLANRLLSSGLASSAINQILDARTLCGTITNVSSVFFARFRDRPSGYFNTPLTIVVTGISKWLDIYSGVLMVRGLLNWFTNIPWDRQPLSAIRDLCDPYLSLFRNIIPSVFDTLDVSPLLAGVYPEQQQPRDVPRRLSFKRYHN >DRNTG_12903.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26706082:26712593:-1 gene:DRNTG_12903 transcript:DRNTG_12903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNGEPSSAPQPERWYNLTLGASFKDQASVKFSTLRYEFKPASIDKSQAGSLHKNKENRVSVEFHNNQPGKPKVTFEGSSENYKDNDGVLFFDGQTFRLERLHRAVKRLRHVRLPGEAAAAAAASAATPVPAVAAMESRSPPLGKTTKSQPLTKAVAHSVPVEIEKIDIGEPESPVPKPTNRNNDYHSMPSNPFPFSPDPRSSEAEENVDIVADDDAGSPNQNDAGAHASGFGLDINIPGQNDSDEENADVDISDDEGNKGPNAAEALQAQMNAEEKQGQQTSSSSDSSGSGSSGSGSGSESSSSDSDGSDDDSASSGGDIDI >DRNTG_30652.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2608216:2608861:-1 gene:DRNTG_30652 transcript:DRNTG_30652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQRTYYKCIDGACKATKTVQQKDHCEPPNFMVTYGMQHTCNVAEINQLEFIMDSSTPTHTLVPIIESNSFMMNKQQEQTSSSTSDQFQCYNNSSEETTVTAQALPVDDSAMDQVSSDNIPKIFSPSCDLFYNYEENESAWMMEEGIRSSHEFN >DRNTG_23028.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3229123:3236839:-1 gene:DRNTG_23028 transcript:DRNTG_23028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGDIGFAAAVNIISVVVFLVAFAFLRLQPTFDMVYFPKWYLKGVRISPNHSGVKKFVNLEWRSYLRILEWVPAALKMPEPELIEHAGLDSAVYLRIYLIGLKIFIPITFLAFAVLVPVNWTNKTLELSRDNGTKLVYSDIDKLSISNIPEGSQRFFAHLVMAYVFTFWTCYVLLKEYEIVASMRLQFLETEKRRPDQFTVLVRNVPPDPDESVSELVEHFFLVNHSDHYLTHQVVYNANELQKLVKKKKSKQNWLAYNELRYEKNPTKRPTKKTGFLGLSGEKVDAIDFYREEIERLSKEETILREKTKGNPKSIMPAAFVSFRTRWGAAVCAQTQQCRNPTIWLTEWAPEPRDVYWENLAIPFVLLTLRKLIAAVAFFFLTFFFMIPIAFVQSLANLEGIEKIAPFLRPLIDIKFIKPFIQGLLPGIALKIFLILLPTILMMLSKFEGLVSISALERRSASKYYIFLLVNVFLGSIIAGTAFQQLDSFIHQSANEIPKTIGVSIPMKATFFITYIMVDGWSGIAGEIMRLKPLIIFKLKDMFLVKTEKDREEAMDPGSIGFDTSEPQIQLYFLLGLVYAVVTPFLLPFIIVFFAMAYIVYRHQIINVYNQEYESRGAFWPDVHGRIITALVISQLLLMGLLSTKGAAQSTPFLVVLPVLTIWFHWFCKNRYEPAFKRYPLQEALRQDTRERTKEPNLDLKGYLLNAYIHPVFKDEDDDDSLSAENEKELETILVPTKRQSRRNTPVPSKYNGSSSPSLADAVLDQQL >DRNTG_03729.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2243306:2245298:-1 gene:DRNTG_03729 transcript:DRNTG_03729.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSRHLDKNNKEDVAFAESRIRAETIAAEDILHDMGAISIISSGSQGMGRVGEVITQTWQTADKMKVQRGRSIELNGCENDNFRIKRYIAKYTINPAIANGFSNYVGSVEKW >DRNTG_03729.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2243306:2244641:-1 gene:DRNTG_03729 transcript:DRNTG_03729.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQRGRSIELNGCENDNFRIKRYIAKYTINPAIANGFSNYVGSVEKW >DRNTG_08664.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19906886:19908113:-1 gene:DRNTG_08664 transcript:DRNTG_08664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDALGPVIQPEILLQDRMVLRNHRSVKQVLVQWKGLPESEKSWEDLILLQQQYPELDLEDKVSIEEGGNVMFPHLIELKLQVKGKVEGNEGSCRSEFQWPHGYPRDVDEESGRWNGGVARWRVKSGWIQIN >DRNTG_04511.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19037638:19039934:1 gene:DRNTG_04511 transcript:DRNTG_04511.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTLLLAQHNPVQHRNQAQEDERKRVKALIRQKSSSVNFFFPQRLGAR >DRNTG_35096.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1458136:1461691:1 gene:DRNTG_35096 transcript:DRNTG_35096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVDGVADQETETLGENVNFDPDKVGNDGELGQGSVDVSKDGNFDRIGLAPVGIGSMEDGFENESPSRLMGSPEGEVSDVAASKTSSAKKGYGLKKWRRIRRDMNKEGSASVDSGVILKRRLSLAEPPKSRDDNKLKNDSGGEAETEADESVASLESRNAGVTGMVPHEIAAGALGPELELLVTSTGFSVGAESDNSDEWSGKLSVSNAPRLRHETGFGRERSSRVRSGVRGSGHALQQRVQRGRSGGIEISKKISSDQIRFEKENSFSSVESDLRNSSVVFGRRGSLFSNGKHSEKPLSFGGEHSDEGQASEEVKENGRNEDLDSDQAEESVKNCASSPLQSDVDPLADSITLLQVAHEALENEIQKLAEIKDATPTMDGDLYDQFDESEGSSTTTLEAHLIELSEKIEHLEQKLEEASASAKAKELKVLELEAILSNTNPTKKEAGSSDVQFLQGEYATVELELESSLKNKIEAEIEYLIITRTTQNWKVLFEDQIALFEEQKSMLKDQLLDQSQMIHKLKDNENMVTTLKEHIEKLEVSCSELLKTEEVLKLQNKAFRYSICFFVQLVMCCIALALFIVHLLPPPDGFVPT >DRNTG_05270.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19688186:19690258:-1 gene:DRNTG_05270 transcript:DRNTG_05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMLSPPFSHSILHDNLFLDSSLSVRFLSSCASMRDLKLTTSLHGATLKTGIHSNLFVANSLLDLYLRCGRLDSAFNLFDRMPERDVVSWTSLISGHCCNESADVSISLFLDMLSEESAPLPNEFTIAALLKACALCQDEPMGLGLHGYLIKSGFFEDHFVCNSLIDMYSKLGSVGRAEKVIAGMSKRGVVSWSALVSGCVLQGMMKKAFCAFMMMLEDEIIPNLVTMLSIIQASSLMGVHSIFGMIHALVVKLGLDADVLVVNSLVQMYCKNSFLEEGLKVFVQFYASNGDFCFDPEVMVSIVQACTFLKSLEQGRWIHGYLVKCGFFPCIVIENSLMDMYAKLEQVDSANLVFRKMENRDIISWNTLMSSFVKNDRAHEVLQYLSEIHSKCSDDLLPDFVTILSSIDACSEIASLQQGQILHCYAIKSGFSCDTFVSNALIGIHSGLTVEGSECFKSMRRDYNIEPTMEHYASMVDLLGRSGNLNAAENFIREMNIESSSDVWGSLLGACGINRNIEIAERAAKRLAILEPESNIWRVALSNVYASVGRWEDAVMVRMNMRRERSRKEAGWSFVEIAGKEKSFRFMVGDTRHPESNMIYGVCNGLMKQISDVSVETY >DRNTG_04990.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4245966:4247556:-1 gene:DRNTG_04990 transcript:DRNTG_04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDYVMDAFVCIIQKSLSKELYPYKKRASITRPLALFMSKQDDAHETIMAMVGDAVRNLHKIQIVILPIIMIGHFHVVILDNDKQEYSHYSSCPGYDKDALDMRNLFDNCVDMQFGESATAKYPLVHDMETPRQKQGSVDCTVYVMRFIEQLLWGEKLRLPQTDVPYLRLRYVTRILKEGRAAGVHDKGGSSQAG >DRNTG_24307.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19134429:19136937:-1 gene:DRNTG_24307 transcript:DRNTG_24307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSLPSIQVSSLIVASPDEQRGVDSKSSIREAVQEGFKNASIAAAVTAVPTLVGCRVIPWAKANLNHTAQALIISAAGIAAFFVTADKTILGRARENSMGKYDKTG >DRNTG_34342.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9468637:9471292:-1 gene:DRNTG_34342 transcript:DRNTG_34342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHKSWAFIGDSIYQNHVHSLLCQLSQVEEHHVIYHDPSFHIITFYFPSHNFTIYEIWSPLLVHYETIDDPGVSSKKYMNLHLDILDSTWTRFYNQYDYMVLSGSPWFYNPSIIFEKNEVIGCHYCPGLGLKEYGAAKAYAKALQLSLNFIATSEHKPIVIVRTWPPSHYEAGESPTERVCNRTRPFREGEISGAPADLKMREVEVEEYEKAAPIGARNGVRIELLDTYHLFLLRPDGHPGPYGTYYPFDGGKQQNDKNDCIHWCLPGPIDTLNDMLMKMVMNGNAHDSASAML >DRNTG_16301.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2037758:2039415:1 gene:DRNTG_16301 transcript:DRNTG_16301.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNVPKNLQTLEGVNAGPWIGNALPKLTNLCELSIEKVSNDHADALSSSLQKLGRLASFSIENDPDDGNEIPLDNIITTFSNQHCLKELHLSGSLNRKQLPHNDVFPQQLVDLFLEFSKLEQDPMATLEKLPCLKYLRLYYDTYRGKQMMCSTTGFPQLLSLFIGALHELEEWTIEENAMSCLKSLQIYECIRLKMIPEGLKNLPLDQLNLTSMSEEFKTRMKENTGEDWYKIQHVPNIYIF >DRNTG_16301.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2035312:2039415:1 gene:DRNTG_16301 transcript:DRNTG_16301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVGFLIQKLGDLLVQEAINLHGVRDEVEWLERELRRMQCFLKDADAKKSQGDDERVKNWVSDMRDMAFEVEDIVDTFMYCKLRRQQRQSGCIGFIKSICSRAMDNMFNC >DRNTG_16301.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2035312:2036698:1 gene:DRNTG_16301 transcript:DRNTG_16301.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVGFLIQKLGDLLVQEAINLHGVRDEVEWLERELRRMQCFLKDADAKKSQGDDERVKNWVSDMRDMAFEVEDIVDTFMYCKLRRQQRQSGCIGFIKRFVFIIDELVSRHKVHVDVEG >DRNTG_00438.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21861043:21863154:-1 gene:DRNTG_00438 transcript:DRNTG_00438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVAIADGIPEIMKESILLQTFGVVGSHVCQEADHVVIPPYVSPAAIEEFPSPETVKRDIWVFFRGKMEVHPKNISGRYYSKKVRTEIWNRYGNNKRFYLRRKRFDGFRTEISRSVFCLCPLGWAPWSPRLVESVALGCVPVIIADGIRLPFPSAVPWAHISITVPERHVDQLESILDHVAATNLSDIQRNLWDPSIRRALLFHRPMRDGDATWQVLKALEGKMHRSGRRRAVGQLGRNTWRS >DRNTG_22013.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23629516:23635053:1 gene:DRNTG_22013 transcript:DRNTG_22013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKENVKPSLFTYWLLIDTKGRANDIPGMEQVVGKMKAEGMEPDLNIQAMLAKHYIFGGLKEKAESVLKEMEGDDIMENRYACKSLLPLYAALGKAEDVERVWKVCQTHPRL >DRNTG_22013.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23634626:23635053:1 gene:DRNTG_22013 transcript:DRNTG_22013.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKENVKPSLFTYWLLIDTKGRANDIPGMEQVVGKMKAEGMEPDLNIQAMLAKHYIFGGLKEKAESVLKEMEGDDIMENRYACKSLLPLYAALGKAEDVERVWKVCQTHPRL >DRNTG_03749.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17975072:17979342:1 gene:DRNTG_03749 transcript:DRNTG_03749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRSFGLASRLIDDPPDEVTTAAVAVKACGLTMIWSWLLGRTPLTTFAEVLATLIVAETRLHALATTSVTSHTILVASHQLGVIRGSSSIDTIICTHSRSLYRRVLPRLTWSGHDRVFASEKPRPKAMLLKNRRYVLETLNFTQLSLDMTWYGQARAQTVSSPNPLFLTPVFKVSSLSLFGDLLLHQEDIDESEYQAYPHFLRH >DRNTG_04079.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2212859:2215135:-1 gene:DRNTG_04079 transcript:DRNTG_04079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVHEQPLMEMAGAGEPRRGGGLGPYYEESSEERRSFFVPAVVVANLVVFVVTMYVNDCPSHRTPFGPCIAVNFLHRFSFQPLRQNPLFGPSASTLVKLGALEWIKVVRQHQGWRLVTCSWLHAGVLHLIANMLSLTFVGIRIERQFGLVRVGIIYLMSGLGGSSLSSLMIGSDISVGASGALFGVLGAMLSELITNWTIYSNRVSALLTLIFIILINLAIGILPHVDNSAHIGGFFTGFLLGFVLLMRPQIIWVEDHDVPFGSKPKPMYKAYQYILLAIA >DRNTG_04079.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2214764:2215135:-1 gene:DRNTG_04079 transcript:DRNTG_04079.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVHEQPLMEMAGAGEPRRGGGLGPYYEESSEERRSFFVPAVVVANLVVFVVTMYVNDCPSHRTPFGPCIAVNFLHRFSFQPLRQNPLFGPSASTYCHPPPFSDCQLIQVLCLLCSCM >DRNTG_14605.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7136300:7137160:-1 gene:DRNTG_14605 transcript:DRNTG_14605.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIVENPENCESGGSRILVFVRLRPMAKKEKEAGSRSCVKIVNRKDVYLTEFASETDYLRLKRLRGRHFCFDAAFSDSTTQQEVYATTTAELVEGVLQGRNGSVFCYGATGAGKTYT >DRNTG_12258.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24894597:24896757:1 gene:DRNTG_12258 transcript:DRNTG_12258.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMVREEMIYNAVNFLSDPNVRSSSIISRREFLEKKGLTQCEIDEAFRRVPDHPHSMNSLVEVAPMNQTNQAGPVTPVSSASTKLKTMSYWPHALVAIGGLAILGTGTLCFKNKVIPWLKTWAGNPVAEEDRAQSSLVQEAAHAAKSAAQAASLVANAIQELHNAKIEERMQFKALKDMMFTQVKQMENMSYMIQKLENRIGNAQYHSTIRNANPWRKVEYSSSGMSFLYPKNDQQLTWKSHDSSESCDQANKTMKVIDIEPEPEVSIPSDIIMENMTRPELPN >DRNTG_12258.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24892512:24896757:1 gene:DRNTG_12258 transcript:DRNTG_12258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMVREEMIYNAVNFLSDPNVRSSSIISRREFLEKKGLTQCEIDEAFRRVPDHPHSMNSLVEVAPMNQTNQAGPVTPVSSASTKLKTMSYWPHALVAIGGLAILGTGTLCFKNKVIPWLKTWAGNPVAEEDRAQSSLVQEAAHAAKSAAQAASLVANAIQELHNAKIEERMQFKALKDMMFTQVKQMENMSYMIQKLENRIGNAQYHSTIRNANPWRKVEYSSSGMSFLYPKNDQQLTWKSHDSSESCDQANKTMKVIDIEPEPEVSIPSDIIMENMTRPELPN >DRNTG_23796.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29699956:29700261:1 gene:DRNTG_23796 transcript:DRNTG_23796.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIAMLFCPFNICYRSTRYCFLRVMRNIACSPLYRVQNILLC >DRNTG_27141.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001384.1:16011:16530:-1 gene:DRNTG_27141 transcript:DRNTG_27141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIQENQQTTCSIYVRQFDREENSFMVDEMAPPQYGGIAGSYRIDLRKQWCYCGRPKSTRIRNEMDIREGGQPKRCGLCRNAGYSHRNCPNAAGPSHNI >DRNTG_31359.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001690.1:16388:18117:1 gene:DRNTG_31359 transcript:DRNTG_31359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHSGRKSYHLESVKATLAAALERATLEDGFERYWLRFWRGSTALTSSFLRKKIGSGASVEAYPIPDKEILGRRVEDFAQDHRHEYRGDSTSVPRILCGHNRVNGLRDDPPLGLVARATE >DRNTG_17420.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8532664:8534926:1 gene:DRNTG_17420 transcript:DRNTG_17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWSGLVLSKGPLVKSRAHVAESYDLSGAPLGSRLAGLNHHAGVAPFASGADLSHTAVIMPIRELNLTFLREQNLPNEQVLQPHQGSVDVNSNDIITQAMVGYGIQVPMPQFAVSSEQSSPSMESLSSEGSD >DRNTG_27796.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3192962:3193287:1 gene:DRNTG_27796 transcript:DRNTG_27796.2 gene_biotype:protein_coding transcript_biotype:protein_coding AGRPGYDRKKLLLYAIISGCRRQIDRLLKDLPTLFNTIEDFLWFKLSSVRDSSSGATSLVLIEVLVPTVSMIIRVT >DRNTG_27796.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3192962:3210572:1 gene:DRNTG_27796 transcript:DRNTG_27796.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACAETASIIRQYGSVYLRHGNLELALEYYAQAAAAMGGGELSWIGRGNVDQQRQRNLMMKQLLTEILLRDGGILLLLGSRGAGEEGALRKYFMDWKTQQQFLLEAAHKCQESGLYDK >DRNTG_21880.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19154923:19161209:1 gene:DRNTG_21880 transcript:DRNTG_21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGIPKMKIVHGDAGYVLEDVPHLTDFIPDLPTYPNPLQDNPAYSVVKQYFVNHDDTVAQKIVVQKDSTRGTHFRRAGPRQKVYFESDEVQACIVTCGGLCPGLNTVIREIVCGLAYMYGVTNIIGIEDGYKGFYARNTISLSPRSVNDIHKRGGTILNTSRGGHVTSKIVDSIQDRGINQVYILGGDGTQRGASVIFEEIQRRGLKVAVAGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAESFENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGPGGLFEFMEKRLKENGHMVLVVAEGAGQELIAESLRTMNHQDASGNKLLLDVGLWLSQKIKDHFANKGKIPINLKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYTGFTVGPVNGRHAYIPFHRVTEKQNKVVITDRMWARLLSSTNQPSFLSPIDMEQVKKEDEPANQLFDQNSIADDYHVESTEGNNNGLPDGSTSC >DRNTG_29554.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19674151:19676445:1 gene:DRNTG_29554 transcript:DRNTG_29554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRSPLLWLMHLMKIIEDKLRVYDGLDPKKPFLMAIKSQIYDISQSVVEARKRPQRQQVLDAMVGMEGVEPMVRVEVVGMVDPSKIPSV >DRNTG_00604.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22371141:22372455:1 gene:DRNTG_00604 transcript:DRNTG_00604.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNELLVQECDVLIPCALGGVLNKENAANVRAKYIIEAANHPTDPDADEVSILLVVLTPA >DRNTG_00604.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22369442:22401147:1 gene:DRNTG_00604 transcript:DRNTG_00604.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGIRYHPDVDPDEVNALAQLMTWKTAVANVPYGGAKGGIGCSPSDLSASELERLTRIFTQKIHDLIGIHTDIPAPDMGTNSQTMAWIFDEYSKFHGHSPAIVTGKPLDLGGSLGRDAATGRGVVFATEALLAEHGKSISGLTFAIQGFGNVGSWAADLIHGRGGKIVAISDVHGAIRKSDGIDIPALSKHKAEGGLLKDFKDADVMDRNELLVQECDVLIPCALGGVLNKENAANVRAKYIIEAANHPTDPDADEILSKKGVTILPDIYANAGGVIVSYFEWVQNIQGFQWKEEKVNMELQKHMNDAFENIKSMCKTHDCSLRMGAFTLGVNRVAKATTLRGWEA >DRNTG_00604.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22369628:22401147:1 gene:DRNTG_00604 transcript:DRNTG_00604.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGIRYHPDVDTDEVNALAQLMTWKTAVADVPYGGAKGGIGCSPSDLSASELERLTRIFTQKIHDLIGIHTDILAPDMGTNSHTMAWILDEYSKFHGHSPAIVTGKPLDLGGSLGRDAATGRGVVFATEALLAEHGKSISGLTFAIQGFGNVGSWAADLIHGRGGKIVAISDVHGAIRKSDGIDIPALSKHKAEGGLLKDFKDADVMDRNELLVQECDVLIPCALGGVLNKENAANVRAKYIIEAANHPTDPDADEILSKKGVTILPDIYANAGGVIVSYFEWVQNIQGFQWKEEKVNMELQKHMNDAFENIKSMCKTHDCSLRMGAFTLGVNRVAKATTLRGWEA >DRNTG_00604.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22369442:22372455:1 gene:DRNTG_00604 transcript:DRNTG_00604.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGIRYHPDVDTDEVNALAQLMTWKTAVADVPYGGAKGGIGCSPSDLSASELERLTRIFTQKIHDLIGIHTDILAPDMGTNSHTMAWILDEYSKFHGHSPAIVTGKPLDLGGSLGRDAATGRGVVFATEALLAEHGKSISGLTFAIQGFGNVGSWAADLIHGRGGKIVAISDVNGAIRKPDGIDIPALSKHKAEGGLLKDFKDADIMDQNELLVQECDVLIPCALGGVLNKENAANVRAKYIIEAANHPTDPDADEILSKKGVTILPDIYANAGGVIVSYFEWVQNIQGFQWKEEKVNMELRKHMHDAFENIKSMCKTHDCGLRMGAFTLGVNRVARVTTLRGWEA >DRNTG_00604.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22398226:22401147:1 gene:DRNTG_00604 transcript:DRNTG_00604.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGIRYHPEVDPDEVNALAQLMTWKTAVANVPYGGAKGGIGCSPSDLSASELERLTRIFTQKIHDLIGIHTDIPAPDMGTNSQTMAWIFDEYSKFHGHSPAIVTGKPLDLGGSLGRDAATGRGVVFATEALLAEHGKSISGLTFAIQGFGNVGSWAADLIHGRGGKIVAISDVHGAIRKSDGIDIPALSKHKAEGGLLKDFKDADVMDRNELLVQECDVLIPCALGGVLNKENAANVRAKYIIEAANHPTDPDADEILSKKGVTILPDIYANAGGVIVSYFEWVQNIQGFQWKEEKVNMELQKHMNDAFENIKSMCKTHDCSLRMGAFTLGVNRVAKATTLRGWEA >DRNTG_00604.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22369442:22372455:1 gene:DRNTG_00604 transcript:DRNTG_00604.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGIRYHPDVDTDEVNALAQLMTWKTAVADVPYGGAKGGIGCSPSDLSASELERLTRIFTQKIHDLIGIHTDILAPDMGTNSHTMAWILDEYSKFHGHSPAIVTGKPLDLGGSLGRDAATGRGVVFATEALLAEHGKSISGLTFAIQGFGNVGSWAADLIHGRGGKIVAISDVNGAIRKPDGIDIPALSKHKAEGGLLKDFKDADIMDQNELLVQECDVLIPCALGGVLNKENAANVRAKYIIEAANHPTDPDADEVSILLVVLTPA >DRNTG_00604.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22397915:22401147:1 gene:DRNTG_00604 transcript:DRNTG_00604.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFAATNRNFRHAAKLLGLDSKLAKSLLIPFREIKVECTIPKDDGSIHSYVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANVPYGGAKGGIGCSPSDLSASELERLTRIFTQKIHDLIGIHTDIPAPDMGTNSQTMAWIFDEYSKFHGHSPAIVTGKPLDLGGSLGRDAATGRGVVFATEALLAEHGKSISGLTFAIQGFGNVGSWAADLIHGRGGKIVAISDVHGAIRKSDGIDIPALSKHKAEGGLLKDFKDADVMDRNELLVQECDVLIPCALGGVLNKENAANVRAKYIIEAANHPTDPDADEILSKKGVTILPDIYANAGGVIVSYFEWVQNIQGFQWKEEKVNMELQKHMNDAFENIKSMCKTHDCSLRMGAFTLGVNRVAKATTLRGWEA >DRNTG_04141.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14077191:14082079:-1 gene:DRNTG_04141 transcript:DRNTG_04141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLVSSPALRSAAPSGALRFPGLLKIRHIGQKTLGGAAVFGLFLGAGSLIHCGR >DRNTG_04637.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19104194:19108514:-1 gene:DRNTG_04637 transcript:DRNTG_04637.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGEASKDATQEVASLVFNLLYTSPRSRSRTKSCSPHQILLGNALKTLLLAPIWPTLSPGGLGDVIGDEFVNVAHRRLFGKKLDIPRGRHTADKLVELLFYVHKSECSSVTALVPLQMDVPTRIRGVPRGSSPGDLALSLLLASLVCLCGEP >DRNTG_22389.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16909730:16911160:1 gene:DRNTG_22389 transcript:DRNTG_22389.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWARPPKVLGPILRTDSVVPHRRVPRRLWLGYRWALRRPRDLRKESGIGGDPQQMGYVRCIGLCLPRNFVEKNGVKFGEAVWFKAGSQIFSEGGLDYLGNPNLIHAQSILAIWASQVVLMGFVEGYRIGGGPLGEGLDAIYPGGAFDPLGLADDPDAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLYDHLADPVANNAWAYATNFVPGK >DRNTG_07266.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:510434:513895:-1 gene:DRNTG_07266 transcript:DRNTG_07266.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBSX3 [Source:Projected from Arabidopsis thaliana (AT5G10860) UniProtKB/TrEMBL;Acc:A0A178UNP9] MTQHNVGALVVVKPEEEKAIAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVTPDTKVLRAMQLMTDNRIRHIPVIDGRGMIGMVSIGDVVRAVVTEHREELNRLNAYIQGGY >DRNTG_07266.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:510434:513895:-1 gene:DRNTG_07266 transcript:DRNTG_07266.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBSX3 [Source:Projected from Arabidopsis thaliana (AT5G10860) UniProtKB/TrEMBL;Acc:A0A178UNP9] MQGLIRAIRSNGSILTSAVRLSIANPVVRPTVFSRFQSVSSARLEEHGFESTTISDILKAKGKNADGSWLWCTTNDTVYDAVKSMTQHNVGALVVVKPEEEKAIAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVTPDTKVLRAMQLMTDNRIRHIPVIDGRGMIGMVSIGDVVRAVVTEHREELNRLNAYIQGGY >DRNTG_06219.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8373513:8379203:1 gene:DRNTG_06219 transcript:DRNTG_06219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLVKIVRAWVISARPCKSLQRSSLHPEKSQGRVLAPVSWACKCHARVEFPHGCTEHLAVFLGCPEQPQGRAATHVGRAHGRGYFSHARVFAFIGCVCNFDTIVQMYRTPRSASTFKRIIVPLHHNILQLSESTSTLFPNLISPIWKDFAWFSGRFQSFLISTGRMVVRLLMASRSTRACRNSA >DRNTG_35350.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18410906:18411462:-1 gene:DRNTG_35350 transcript:DRNTG_35350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPRIQGIKIKPMTNVTDPQSMSAIEAPSKRNTIPAGETCRRRTTGWLRAGGGDGGGKRRTVRRRTQRS >DRNTG_35350.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18410906:18411348:-1 gene:DRNTG_35350 transcript:DRNTG_35350.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPRIQGIKIKPMTNVTDPQSMSAIEAPSKRNTIPAGETCRRRTTGWLRAGGGDGGGKRRTVRRRTQRS >DRNTG_35350.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18410943:18411462:-1 gene:DRNTG_35350 transcript:DRNTG_35350.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPRIQGIKIKPMTNVTDPQSMSAIEAPSKRNTIPAGETCRRRTTGWLRAGGGDGGGKRRTVRRRTQRS >DRNTG_35350.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18410943:18411846:-1 gene:DRNTG_35350 transcript:DRNTG_35350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPRIQGIKIKPMTNVTDPQSMSAIEAPSKRNTIPAGETCRRRTTGWLRAGGGDGGGKRRTVRRRTQRS >DRNTG_35350.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18410943:18411348:-1 gene:DRNTG_35350 transcript:DRNTG_35350.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPRIQGIKIKPMTNVTDPQSMSAIEAPSKRNTIPAGETCRRRTTGWLRAGGGDGGGKRRTVRRRTQRS >DRNTG_35350.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18410906:18412129:-1 gene:DRNTG_35350 transcript:DRNTG_35350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPRIQGIKIKPMTNVTDPQSMSAIEAPSKRNTIPAGETCRRRTTGWLRAGGGDGGGKRRTVRRRTQRS >DRNTG_35350.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18410943:18412129:-1 gene:DRNTG_35350 transcript:DRNTG_35350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPRIQGIKIKPMTNVTDPQSMSAIEAPSKRNTIPAGETCRRRTTGWLRAGGGDGGGKRRTVRRRTQRS >DRNTG_35350.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18410906:18411846:-1 gene:DRNTG_35350 transcript:DRNTG_35350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPRIQGIKIKPMTNVTDPQSMSAIEAPSKRNTIPAGETCRRRTTGWLRAGGGDGGGKRRTVRRRTQRS >DRNTG_23865.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20862680:20866708:1 gene:DRNTG_23865 transcript:DRNTG_23865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNQPPNSILAVLSLDLLIQIVDRLLDPSDRKAVRLVSLAFLRAESLQRRTLNVLQRDALGSLLRRYGSIERLDLFSCAGLDDVALAASVADGGAPMLRQIRLRRASGVGWRGLAAMVAACPKLETVDLSHCVGVGDREAAALAGLPGLKELKLDKCLKVTDVGLAKVAVGCPGLERLGIKWCLEISDVGIELLVKKCRELKELDISYLKVTDKSLQFISTLGKLQVLSMVGCYFVTDEGLRCLNNGNNSLKEINVLRSHNITSSGLVSVIDGHKSLQKLKAGDCFLDLTPLFLSKLGGLTNGFRTLKLDGSQVSVTSLQIIGANCKHLTKIGLGKCNGITDEGVSELVSSCVDLNSIDLTCCRELTDNSLVSIANSCNKLETLLLESCSLITEKGINLIGACCANLEKIDLTDCDVSDTALKGLSKCSGLMFLKLGLCQNVSDIGLAYIGSGCGELRELDLYRCIAVGDEGLAALVTGCKKIKKLNVCYCTQITDQGMKHLSRLEELKEIEIRGLTQVTSLGITAIAIGCRSLAKTRHEAL >DRNTG_01529.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5131159:5133605:1 gene:DRNTG_01529 transcript:DRNTG_01529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHAATASSRITSSPRLHSKTTNINPSSCFSKRINFTEFSGLRSNACLTYESNARAASFSDTLSFQLASNNVGGRHIRGETVAKLKVAINGFGRIGRNFLRCWHGRKDSPLDVIVVNDSGGVKNASHLLKYDSTLGTFKADVKIVDNETISVDGKAIKVVSSRDPLQLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPGKGADIPTYVVGVNEGGYSHDVANIISNASCTTNCLAPFVKVLDEEFRIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPNLKGKLNGIALRVPTPNVSVVDLVVNVEKKGISAEDVNGAFRKAAEGPLKGILEVCDIPLVSLDFLCTDVSSTIDSSLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVASKWPGTPVQASGDPLEDFCETNPETEECKVYEA >DRNTG_01273.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:10483667:10490988:-1 gene:DRNTG_01273 transcript:DRNTG_01273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYKYIVTNWTSFFKIRSLCKAALQKELGLAVRLR >DRNTG_01273.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:10483998:10490988:-1 gene:DRNTG_01273 transcript:DRNTG_01273.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLYKYIVTNWTSFFKIRSLCKAALQKELGLAVRLR >DRNTG_11218.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2398136:2402465:1 gene:DRNTG_11218 transcript:DRNTG_11218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPPSLPPFPLPDLPFCPSNFTDYCPCQDTTRERRFSMRSLFHRERHCPDPDERVRCRIPRPRGYRRTVPWPESRNYAWFANVPSKRLTESKKDQNWIRLEGDRLVFPGGGTSFPYGVKSYGNEMAKLVPLKSGEVRTVLDIGCGVASFGGHLVDYNILTMSVAPRDVHEAQVQFALERGLPAMLGVLSTYRLPYPSRSFDMAHCARCLIKWAGHEGSYLMEIDRVLRPGGYWVLSGPPINWKNSYKGWGRTAQDLEEEQLAIESLAKRLCWKKTVEKGPIAVWRKPTNHVHCLKKSKILKSPPFCARTDLDAAWYERLESCITLLPNVDKIDEIAGGTLAKWPKRLTAVPPRISSGTISGVTSQTFNHDNQIWNHRISHYAAYISSIKGSRYRNIMDMNAGLGGFAAALSKYPVWVMNVVPTAKNNTLGVIYERGFIGTYMDWCEAFSTYPRTYDFIHADSVFSLYMDKCDIVDILLEMDRILRPEGAVIIRDHVDTIGKVKKEADRLKWQCRIVHNEKGPFDTEKLLIVDNSVVLASSQGS >DRNTG_00145.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2388020:2394283:1 gene:DRNTG_00145 transcript:DRNTG_00145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLDSEEEFIDHYLVLCLPSGKEGSKLSLKQIDKAYRDQSRKRHPDKRPNDPDATADFQRLTTSYEILRDVTSRATFDARLFSIQEKAFRASLFDAKRRKLASDLEERERAAAAPEPNPTELAARKEKMVAAELQKEPAAFQSRKAKKTTSSSAPSPSQEKAKKNDGVSLDEEKVLKVSWERDGRDYGAVQLTELFERFGRVEDVLIRSKGSKKKGSAIVVMFSKDAAVAATQSMIGDISNPLLVLPLRTPSSTSTAFPAKQAEPSSPKLNNIVGAGFQDYESSILKKLEMAKEKKRAP >DRNTG_00145.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2388020:2391855:1 gene:DRNTG_00145 transcript:DRNTG_00145.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLDSEEEFIDHYLVLCLPSGKEGSKLSLKQIDKAYRDQSRKRHPDKRPNDPDATADFQRLTTSYEILRDVTSRATFDARLFSIQEKAFRASLFDAKRRKLASDLEERERAAAAPEPNPTELAARKEKMVAAELQKEPAAFQSRKAKKTTSSSAPSPSQEKAKKNDGVSLDEEKVLKVSWERDGRDYGAVQLTELFERFGRVEDVLIRSKGSKKKGSAIVVMFSKDAAV >DRNTG_00145.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2388388:2394283:1 gene:DRNTG_00145 transcript:DRNTG_00145.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLDSEEEFIDHYLVLCLPSGKEGSKLSLKQIDKAYRDQSRKRHPDKRPNDPDATADFQRLTTSYEILRDVTSRATFDARLFSIQEKAFRASLFDAKRRKLASDLEERERAAAAPEPNPTELAARKEKMVAAELQKEPAAFQSRKAKKTTSSSAPSPSQEKAKKNDGVSLDEEKVLKVSWERDGRDYGAVQLTELFERFGRVEDVLIRSKGSKKKGSAIVVMFSKDAAVAATQSMIGDISNPLLVLPLRTPSSTSTAFPAKQAEPSSPKLNNIVGAGFQDYESSILKKLEMAKEKKRAP >DRNTG_23913.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3121925:3123307:1 gene:DRNTG_23913 transcript:DRNTG_23913.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISLPSPKPPPHSILIHSHKHLLHHHHHHQASMQNSSYHHHATTTMSITTSTSSSTNDEKKWAEKLLRECAKAISDKDSTKIHHFLWMLNELSSPYGDTDQKLASYFLQALFCKATDSGDRSFNTLISIAEKNHCFETARKVILKFQEVSPWTTFGHVASNCAILEALEGEAKLHIIDMSNTYCTQWPTLLEALATRNDDTPHLRLSVVVSARAGGTVIKEIGQRMEKFARLMGVPFEFQVVSGFTRLGELKKEDFSLREDEVVAINLIGALRRVNIDERSDFLRMIHQLKPKVVTVVEEEADFTSNKDVFVMCFEECLRFYGVFFQMLQESFGVSTSNERLMLERECSRSILSVLACEGDGGGGGNGEYYYCERREKGRQWSERLRGVEFMPVNLSDDALDDVKALLKRYQGGWSLVTSTKNSTSSQGEDDDDDHGCFGVYLAWKDEAVVWASAWKPS >DRNTG_15752.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20433505:20436516:1 gene:DRNTG_15752 transcript:DRNTG_15752.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHAEISEWEDYLHSSSLSSDPNPFVLDAAATTDDAGAIQPNYFSLSSAPRRPPSEPMSDGSSDCPSWVDPDSNPPCVETPKFDVFRKNLVGSWSDGSSTPPDSEKGETFRASDQKFQSEFDGIGESSQESDGNIVSESDGEQLVEMNREDVELEKVGIRVGVGDGDGDPEKKGTVWWKMPMEVLKFYILRVRPIWSVSIAAAIVGVVMLGRRLYKMKHKTRSVSLKVSLDDKKASLFMSRAARLNEAFSVVKRAPIVRPPLPAGGVTPWPVLGFALS >DRNTG_28166.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:484029:484961:1 gene:DRNTG_28166 transcript:DRNTG_28166.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLHLCGWPCLYSSSVAVGQSTIFSFRVSQHLLMLNSR >DRNTG_26315.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21379867:21384314:-1 gene:DRNTG_26315 transcript:DRNTG_26315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQWMVLTCVVAVETAIVVLLTLPWPRQLKSSIVALVSMIIQPAVSILPFAGFHLLDIHWKNEHRQMCSAEVCTPEELFHYEKSVFKAQRNVILCVLSCLLYWCICCVCKYQQEVRGLEEAEKRLKNQ >DRNTG_26315.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21382613:21384314:-1 gene:DRNTG_26315 transcript:DRNTG_26315.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQWMVLTCVVAVETAIVVLLTLPWPRQLKSSIVALVSMIIQPAVSILPFAGFHLLDIHWKNEHRQMCSAEVCTPEELFHYEKSVFKAQRNVILCVLSCLLYWCICCVCKYQQEVRGLEEAEKRLKNQ >DRNTG_08065.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18306722:18310754:-1 gene:DRNTG_08065 transcript:DRNTG_08065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINVFVNGCNHDNHVHYLSLTYLPYPVASPNWRDLLARPARRRLTLTRSKLRPCTQWGPVPLDAANLSVGPAVSLGRDSFQVLTQWQAMQIHSIISPQVKVGPTRWFRPFNGRFKCNVDAESSSCLSSSFYWAIVKDASGSFKGAVYGFIPFTQDSGLAEALALREALQWYGNHAVDVLVKNHKEAAGLYGKSFPFFNDLVPVFTKDRVHDNAREDIGDDAEQYAHESINLDEDTGFSQTPDDQFTMPTEEPNANP >DRNTG_09036.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31357009:31360661:1 gene:DRNTG_09036 transcript:DRNTG_09036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGETSGKDIERGPHLPFNSDDSDGNHCFSDAEEQSWHSPYDSNSAASPYAVFRASFASSHETDVAPETCRNSCFSESSLEIDLESGAAEIKVNLPNVVEKDCRICHLSLQSSASESGVAIELGCSCKGDLASAHKQCAEKWFKMKGNKTCEICGSCAQNVAGAVDIQTEHIEEWNETSNNSNNTGTGAAAVTENRRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >DRNTG_09036.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31357236:31360702:1 gene:DRNTG_09036 transcript:DRNTG_09036.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGETSGKDIERGPHLPFNSDDSDGNHCFSDAEEQSWHSPYDSNSAASPYAVFRASFASSHETDVAPETCRNSCFSESSLEIDLESGAAEIKVNLPNVVEKDCRICHLSLQSSASESGVAIELGCSCKGDLASAHKQCAEKWFKMKGNKTCEICGSCAQNVAGAVDIQTEHIEEWNETSNNSNNTGTGAAAVTENRRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >DRNTG_09036.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31357236:31360661:1 gene:DRNTG_09036 transcript:DRNTG_09036.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGETSGKDIERGPHLPFNSDDSDGNHCFSDAEEQSWHSPYDSNSAASPYAVFRASFASSHETDVAPETCRNSCFSESSLEIDLESGAAEIKVNLPNVVEKDCRICHLSLQSSASESGVAIELGCSCKGDLASAHKQCAEKWFKMKGNKTCEICGSCAQNVAGAVDIQTEHIEEWNETSNNSNNTGTGAAAVTENRRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >DRNTG_04065.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18776805:18778099:-1 gene:DRNTG_04065 transcript:DRNTG_04065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDEMRDWKGKSEGEGETFIHGLISLIDKDQRKEFTFSKENIKAIIKDVMGAGTESVSVVMDWIMAELLKKPPTNW >DRNTG_08859.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27785982:27791951:-1 gene:DRNTG_08859 transcript:DRNTG_08859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMYELIKGDDQSAVAADPNNDHQAIDIDEGHAKPGDTKPLKSLPPAAILLPPSTQSKRLVSLDVFRGLTVALMILVDDAGSVFPAINHSPWNGLTLADFVMPFFLFIVGVALGLAYKRVPNRVVATRKAILRALKLCLLGLVLQGGYFHGIHNLTYGVDIAYIRWMGILQRIALAYLLAAVSEIWLRSDDAVDSGYSLLTRYRFQLLVGLALTIFYTILLYGLYVPDWEYQIQGESSALKTFSVKCGVRGDTGPGCNAVGMIDRKIMGIQHLYRRPVYERTQECSINSPENGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQFGHVIVHFKDHWDRIFHWLISSFSLLALAFALDFFGVHMNKPLYSLSYTCVTAGVAGLLFAGIYLLVDVYGFRRPTYVMEWMGMHALSIYILIACNILPILIQGFYYKDPQNNLLAAIGIAS >DRNTG_08859.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27785982:27791332:-1 gene:DRNTG_08859 transcript:DRNTG_08859.2 gene_biotype:protein_coding transcript_biotype:protein_coding SQFIYSLQFHEELGFLGGWRPCASFLYMPRAVEVYVASFAACILCKLVIVTINPCKEWHMQIGCA >DRNTG_15125.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18293373:18294794:-1 gene:DRNTG_15125 transcript:DRNTG_15125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRDEAYVLSRMIGDVLDPFTRSVALRVSFNNKLVINGTECKPSALVNKPRVQVGGDDLRIFYTLIMIDPDAPNPSNPSLREYLHWMVTDIPATTDASFGTEVVCYESPRPVAGIHRILLVLYRQLGRDTVLAPAIRHNFSTRSFSQNNNLGSPANVAYFNCQRETGSGGRKLTKS >DRNTG_04697.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000223.1:7006:10636:-1 gene:DRNTG_04697 transcript:DRNTG_04697.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g31850, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G31850) UniProtKB/Swiss-Prot;Acc:Q9SZ52] MPDPEQALDFFETIAKQSKIIHTTESFNYMLEFLRKHGRVGDMVHVFDLMQKQIVKRSAATFLTIFKGLGVQGGLRSAPFALSRMSKEGFVLNAFSYNGLIHFLLQSGFAREALEVYKRMVSEGITPSLKTYSALMVALGRKRDVETVMSLLSEMEALGLKPNVYTFTICIRALGQTGKIDEAYGLLHRMEEEGCRPDVVTYTVLIEVLCEAGRLEKARELFVRMKSSDQRPDRVTYITLLDKFGDAGDLASLWEFWKEMQADGYDADVVVYTTIINALCKEGKIDEAAEFLDAMGRKNICPNQHTYNTFIGGLLRVNRLQEAQELFNKMDDHGPKPTAYTYILFIDYYSKTGEIEKALQTFENMKRKGVVPDIIACNACLYGLAESGRLAEAKDVFYELRATGLTPDAITYNMMIKCYNKAGKADEAIKMFSEMIARGCDPDAITVNSLIDTLYKAGREDEAWRMFQSMKAMNLEPTVVTYNTLLAGLGNEGKVQEAMELFREMGSHGCPPNTITYNTLLDCLCKNGDVCVALNLLNEMEQKSCTPDLLSYNTIMHGLVTDDRIDEALLLFHHMSKLFAPDFITLCTLLPAIVKSNLLEDALQITQQYTLLPDAQADRSSWEALMEGILKEAGLEQSFEFAERIATSGVCWKDFLLFPLIKFLCKQKEALSAYELFKKFKKHGISPTVEVYNSLIDCLLEGHFTDMAQGLFEEMKNIGCTPNVFTYNSLLDAHGKSKRLKEMLGLYEEMLSRNCKANQITCNIIISGLVKSQMLDQAIEFYYDLISRDFSPTPCTYGPLIDGLLKSRRMDEAEKLFEEMVDCGCKPNCAIYNILLNGYGKAGDVEQVCQLFERMLREGIKPDVRSYTILINTLCVVGRAEDAFRYFEELVVTGLEPDLITYNFMINGLGKSQRVDKAMLLFNEMQRKGVLPDLYTYNSLILHLGQAGMVDEAGKMYKELQLRGIEPNVFTYNALIRGHSIVGETDEAFAIFKKMMSGGCNPNTGTFAQLPNQS >DRNTG_29439.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001478.1:8525:9050:-1 gene:DRNTG_29439 transcript:DRNTG_29439.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSSTSNINDIPYITPSPLSSSASRLSLSPKPTSPQSSTKPHPPQTFHTMIH >DRNTG_02276.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:902287:905430:-1 gene:DRNTG_02276 transcript:DRNTG_02276.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQSEFRCFIGGLSWSTSDKGLREAFEKFGRLTEAKVVVDKFSGRSRGFGFITFDDEKAMDDAIEAMNGIDLDGRSITVDKAQPQGSGRDRDYDRGRDRDRGRDYGGGRGSNSGGGDCFKCGKPGHFARECPSSDGPRGDRFGGRDDRYGGRDDRYGGGGGNSRHGPDRNGDRYGGRNRDGGGRGGSGSDRYNRDRSGPYERPGGGGGGGGSGYRS >DRNTG_02276.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:902287:905430:-1 gene:DRNTG_02276 transcript:DRNTG_02276.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQSEFRCFIGGLSWSTSDKGLREAFEKFGRLTEAKVVVDKFSGRSRGFGFITFDDEKAMDDAIEAMNGIDLDGRSITVDKAQPQGSGRDRDYDRGRDRDRGRDYGGGRGSNSGGGDCFKCGKPGHFARECPSSDGPRGDRFGGRDDRYGGRDDRYGGGGGNSRHGPDRNGDRYGGRNRDGGGRGGSGSDRYNRDRSGPYERPGGGGGGGGSGYRS >DRNTG_02276.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:902287:905430:-1 gene:DRNTG_02276 transcript:DRNTG_02276.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQSEFRCFIGGLSWSTSDKGLREAFEKFGRLTEAKVVVDKFSGRSRGFGFITFDDEKAMDDAIEAMNGIDLDGRSITVDKAQPQGSGRDRDYDRGRDRDRGRDYGGGRGSNSGGGDCFKCGKPGHFARECPSSDGPRGDRFGGRDDRYGGRDDRYGGGGGNSRHGPDRNGDRYGGRNRDGGGRGGSGSDRYNRDRSGPYERPGGGGGGGGSGYRS >DRNTG_02276.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:902287:905430:-1 gene:DRNTG_02276 transcript:DRNTG_02276.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQSEFRCFIGGLSWSTSDKGLREAFEKFGRLTEAKVVVDKFSGRSRGFGFITFDDEKAMDDAIEAMNGIDLDGRSITVDKAQPQGSGRDRDYDRGRDRDRGRDYGGGRGSNSGGGDCFKCGKPGHFARECPSSDGPRGDRFGGRDDRYGGRDDRYGGGGGNSRHGPDRNGDRYGGRNRDGGGRGGSGSDRYNRDRSGPYERPGGGGGGGGSGYRS >DRNTG_02276.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:902287:905430:-1 gene:DRNTG_02276 transcript:DRNTG_02276.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQSEFRCFIGGLSWSTSDKGLREAFEKFGRLTEAKVVVDKFSGRSRGFGFITFDDEKAMDDAIEAMNGIDLDGRSITVDKAQPQGSGRDRDYDRGRDRDRGRDYGGGRGSNSGGGDCFKCGKPGHFARECPSSDGPRGDRFGGRDDRYGGRDDRYGGGGGNSRHGPDRNGDRYGGRNRDGGGRGGSGSDRYNRDRSGPYERPGGGGGGGGSGYRS >DRNTG_02276.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:902287:905430:-1 gene:DRNTG_02276 transcript:DRNTG_02276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQSEFRCFIGGLSWSTSDKGLREAFEKFGRLTEAKVVVDKFSGRSRGFGFITFDDEKAMDDAIEAMNGIDLDGRSITVDKAQPQGSGRDRDYDRGRDRDRGRDYGGGRGSNSGGGDCFKCGKPGHFARECPSSDGPRGDRFGGRDDRYGGRDDRYGGGGGNSRHGPDRNGDRYGGRNRDGGGRGGSGSDRYNRDRSGPYERPGGGGGGGGSGYRS >DRNTG_02276.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:902287:905430:-1 gene:DRNTG_02276 transcript:DRNTG_02276.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQSEFRCFIGGLSWSTSDKGLREAFEKFGRLTEAKVVVDKFSGRSRGFGFITFDDEKAMDDAIEAMNGIDLDGRSITVDKAQPQGSGRDRDYDRGRDRDRGRDYGGGRGSNSGGGDCFKCGKPGHFARECPSSDGPRGDRFGGRDDRYGGRDDRYGGGGGNSRHGPDRNGDRYGGRNRDGGGRGGSGSDRYNRDRSGPYERPGGGGGGGGSGYRS >DRNTG_02276.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:902287:905430:-1 gene:DRNTG_02276 transcript:DRNTG_02276.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQSEFRCFIGGLSWSTSDKGLREAFEKFGRLTEAKVVVDKFSGRSRGFGFITFDDEKAMDDAIEAMNGIDLDGRSITVDKAQPQGSGRDRDYDRGRDRDRGRDYGGGRGSNSGGGDCFKCGKPGHFARECPSSDGPRGDRFGGRDDRYGGRDDRYGGGGGNSRHGPDRNGDRYGGRNRDGGGRGGSGSDRYNRDRSGPYERPGGGGGGGGSGYRS >DRNTG_19820.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:8468558:8473981:1 gene:DRNTG_19820 transcript:DRNTG_19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRASRGVSPIGASSSCRRPRPPSPPSPSHTTKLFPGLVLHLRQVH >DRNTG_17752.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4225785:4228597:1 gene:DRNTG_17752 transcript:DRNTG_17752.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYRFSRSVSERRSFVPSSILLQSLSFSSFISAPAMGESGGAGSDASTAKGKTKVAAAAKSKSVKDEAYLQAVIQKRIGLFESIQSAQLAKRQSIGGDPIKVTLPDGTVKEGKKWISSPMDIAKEISSGLAASVLIAQVNGILWDMSRPLEEDCTLKLFKFDSNEGRDTFWHSSAHILGQSLEMEYGCKLCIGPCTTRGEGFYYDAFYSDLTLNEEHFEQIQSQAAKAASEKQPFERIEVSRDQALEMF >DRNTG_33050.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26964546:26969154:1 gene:DRNTG_33050 transcript:DRNTG_33050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGNIFEVDLASNGSSSSLIFLGTGCSSAVPNARCLIQPSDPPCEVCSQALSVPPERNPNYRCNTSLLIDYCHGDVHKYILIDVGKTFREQVLRWFTYHKIPCVDSIILTHEHADAVLGLDDIRVVQPFSPTNDIEPTPIYLTQFAMDSLAEKFPYLVQKKLKEGQEVRRVAQLDWKIIEDHHEKPFIASGLEFVPLPVMHGEDYTCLGFLFGKKSRVAYISDISRFPPSTEYVISKSGAGQLDLLILDTLYKVGSHNVHFCLTQSLDAVKRIQPKRALLIGLTHDFDHHKDNQELTEWSKREGIPVQLALDGLRVFIDL >DRNTG_33050.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26964546:26969154:1 gene:DRNTG_33050 transcript:DRNTG_33050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGNIFEVDLASNGSSSSLIFLGTGCSSAVPNARCLIQPSDPPCEVCSQALSVPPERNPNYRCNTSLLIDYCHGDVHKYILIDVGKTFREQVLRWFTYHKIPCVDSIILTHEHADAVLGLDDIRVVQPFSPTNDIEPTPIYLTQFAMDSLAEKFPYLVQKKLKEGQEVRRVAQLDWKIIEDHHEKPFIASGLEFVPLPVMHGEDYTCLGFLFGKKSRVAYISDISRFPPSTEYVISKSGAGQLDLLILDTLYKVGSHNVHFCLTQSLDAVKRIQPKRALLIGLTHDFDHHKDNQELTEWSKRQGFMLYYHSCNLMLYFMLFSMHRYISIFSRAYSLCWKWILLIFFLQGGNSCAACS >DRNTG_12317.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29574844:29579373:1 gene:DRNTG_12317 transcript:DRNTG_12317.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGSKGMAMVIAGGAVLVPLLFWFLRWVNERRFVGGLSSGNGVAKLPPGCMGWPLIGEMIDFLWCFKFSRKPDDFISRRKLRYGDTGIYRTHLFGYPVIVTCSPELNKQVLGSLTEDGSFSTGWPSSQLIGNSSVAVVDGPLHKGLRRCLMQAVNSPSALDFHLRTAQPLLISALEEWAFKRKVVAFEETKAVTFRIICDALVSFKSTALLDKMESLYRGIMAGIRAMTINIPGTAFHHALKCRKELSRILLDEMRKRREEKIQKMDFMQTLMDYVDENGNRLNDVEVLDNIFSLILGGYESTSNVMTWSLYYLAKYPQILERVKEETHAIKKLKTEESLLTYEDIKKMKYTSKVVEELIRLANVSPFIFRRVVKDVVVDRYKFPKNWKVIVWIRAIHIDSKYYDDPLTFNPDRWNDCKPKAGTYSVFGAGMRYCPGNNLARHQLMMFIYHACLKYKWELLNPEAGIVFQPHQRPRDGAEMLFSQAD >DRNTG_16741.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13977848:13980171:-1 gene:DRNTG_16741 transcript:DRNTG_16741.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHTGGERGRSATSRLTLSTVSNLALSNSHGVSLTHKRYQGLSSAADAGARLKGAGGLGDAGVGDGIVGDAGGLLISSCYNTSKRATTQY >DRNTG_30563.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:828729:831613:-1 gene:DRNTG_30563 transcript:DRNTG_30563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLALLLLMCNQASSSPSIPITGDGKVLRSLAGQLPSQQTNIFASWNDSSSHCRWPGVFCGGHKHPDRVIGLELDSLGLTGSIPPSTANLTFLRYLNFADNRLHGDIPVGLCCLARLRHLNLSKNSLQGNIPTQIGNLKNLKSMVLSDNSLTGSIPSSVWNLSSLTVLSLSDNQLNSTLPMNIGFLLRNLQIILLNNNQFTGPIPSSLSNASRLEQVQLTKNEFTGRIPESLGSLSSLQCLHLGMNFLEAKNSNDWKFIDALANSTNLERLDISYNRLGGVLPSSVGNLSKEFQWLDLRYNQISGRIPEEIAGLGGLVGLFMQGNQFYGPIPNSLGMLHSLQGLVLEDNYLNGEIPASFANLTSLIRLFLGNNELNGSIPSILGQCQHLEFLSLEENKFTGRVPIEIFTITSLSVGLLLFGNSLTGPLPLDVGSLKALKTFDVSNNNLSGELPKTLGDCLSLEFLNLSGNSFHGSIPSTLEELKGIQNLDLSRNSFSGNIPKFLEELQYLYYLNLSFNSFSGEVPMNGVFANESGISLLGNNQLCGGNRVLKLPPCSHSKNKRLQVLIPTVVTAFICLVILVLCLLKLKKKIDKE >DRNTG_16465.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:11337371:11339512:1 gene:DRNTG_16465 transcript:DRNTG_16465.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLEQGDRLGGGREGEEDEEVVGVEVEKEKEKERGATQCCVCMLRDKGAAFIPCGHTFCRLCSRELWISRGNCPLCNGFILEILDIF >DRNTG_16465.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:11337371:11337926:1 gene:DRNTG_16465 transcript:DRNTG_16465.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLEQGDRLGGGREGEEDEEVVGVEVEKEKEKERGATQCCVCMLRDKGAAFIPCGHTFCRLCSRELWISRGNCPLCNGFILEILDIF >DRNTG_21386.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19474518:19478928:-1 gene:DRNTG_21386 transcript:DRNTG_21386.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTKHLWAGAVAAMVSRTFVAPLERLKLEYIVRGEQRNLFDLIKHIAATQGLRGFWKGNFVNILRTAPFKAVNFYAYDTYRKQLLKLSGNEETTNFERFVAGAAAGITAAVLCIPLDTIRTKMVAPGGEALGGVIGVFRHMVQTEGFLSLYKGLVPSLISMAPSGAVFYGVYDILKSAYLHSPEGMRRTLLMKQQEGGEMNALDQLELGPARTLIYGAIAGACAEAATYPFEVVRRHLQMQVQATKLNSFATCMKIVEQGGISALYAGLIPSLLQVLPSASISYFVYEFMKIVLKVE >DRNTG_21386.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19474518:19478928:-1 gene:DRNTG_21386 transcript:DRNTG_21386.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIRTKMVAPGGEALGGVIGVFRHMVQTEGFLSLYKGLVPSLISMAPSGAVFYGVYDILKSAYLHSPEGMRRTLLMKQQEGGEMNALDQLELGPARTLIYGAIAGACAEAATYPFEVVRRHLQMQVQATKLNSFATCMKIVEQGGISALYAGLIPSLLQVLPSASISYFVYEFMKIVLKVE >DRNTG_21386.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19474518:19479508:-1 gene:DRNTG_21386 transcript:DRNTG_21386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVEDPPGALFVQGGLFLDQWVPPSLLASVTNGNTSVSFPGWGSRRRKSVALGVFMRGGPTFLSVSISVKGGQGFVGEAAGILGQDGKRREEEEEEEEGKKEGKVVEVEDEGVEVRGAGAGAMNTTKHLWAGAVAAMVSRTFVAPLERLKLEYIVRGEQRNLFDLIKHIAATQGLRGFWKGNFVNILRTAPFKAVNFYAYDTYRKQLLKLSGNEETTNFERFVAGAAAGITAAVLCIPLDTIRTKMVAPGGEALGGVIGVFRHMVQTEGFLSLYKGLVPSLISMAPSGAVFYGVYDILKSAYLHSPEGMRRTLLMKQQEGGEMNALDQLELGPARTLIYGAIAGACAEAATYPFEVVRRHLQMQVQATKLNSFATCMKIVEQGGISALYAGLIPSLLQVLPSASISYFVYEFMKIVLKVE >DRNTG_11790.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:494601:497773:1 gene:DRNTG_11790 transcript:DRNTG_11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKLWFGLMIIALSLIVIDNGRVLATMPKEKMMKLNSLWDEMFKNQSSSSNDGTNDVIRHWATSYPSLSDDGLIYYGAKATLSVHGVPEIKANQFSSACIWISNGDGPNLNVITAGWTVHPYIYHDNRPHFFTLWTRDGYQTNCYNTDCPGFILTDKSNALLGSPINQVSTYGGPQYNITIKVSKDPTSGNWWLYYGPSGDYDKLNAVGYWPSSLFTTLVDNASEIQFGGIVTYYKDEQGPPMGSGHYPNEGEGKAATFYGIQVVDRNGNMYDFKDNPKVFQDKRECYRVSEFKDKSFFYGGPAGCIN >DRNTG_13076.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19425284:19427133:1 gene:DRNTG_13076 transcript:DRNTG_13076.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEILDEKDHKRILGHHGKILEWVGNVKAATSPHFEEVHAVLYSLKAKLHRQRSSVSDKIMTSITEGKLPSKL >DRNTG_13076.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19424529:19427133:1 gene:DRNTG_13076 transcript:DRNTG_13076.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVPAIVDGRFKLFERYPADLSIRTRVDTILDWHHSNLRLGAASLIVNSITAPALGLPLNPNAAKEAEKILGSSLAKIETIWLKGNAKFLLGSSQPSIADLSLACEIMQLEILDEKDHKRILGHHGKILEWVGNVKAATSPHFEEVHAVLYSLKAKLHRQRSSVSDKIMTSITEGKLPSKL >DRNTG_13076.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19424529:19427133:1 gene:DRNTG_13076 transcript:DRNTG_13076.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVPAIVDGRFKLFESHAILKYLSCTFPGVSDNW >DRNTG_13076.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19425284:19427133:1 gene:DRNTG_13076 transcript:DRNTG_13076.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEILDEKDHKRILGHHGKILEWVGNVKAATSPHFEEVHAVLYSLKAKLHRQRSSVSDKIMTSITEGKLPSKL >DRNTG_13076.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19424529:19427133:1 gene:DRNTG_13076 transcript:DRNTG_13076.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVPAIVDGRFKLFESHAILKYLSCTFPGVSDNWYPADLSIRTRVDTILDWHHSNLRLGAASLIVNSITAPALGLPLNPNAAKEAEKILGSSLAKIETIWLKGNAKFLLGSSQPSIADLSLACEIMQLEILDEKDHKRILGHHGKILEWVGNVKAATSPHFEEVHAVLYSLKAKLHRQRSSVSDKIMTSITEGKLPSKL >DRNTG_13076.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19425284:19427133:1 gene:DRNTG_13076 transcript:DRNTG_13076.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHLFMGSHAILKYLSCTFPGVSDNWYPADLSIRTRVDTILDWHHSNLRLGAASLIVNSITAPALGLPLNPNAAKEAEKILGSSLAKIETIWLKGNAKFLLGSSQPSIADLSLACEIMQLEILDEKDHKRILGHHGKILEWVGNVKAATSPHFEEVHAVLYSLKAKLHRQRSSVSDKIMTSITEGKLPSKL >DRNTG_33027.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001939.1:25381:27403:-1 gene:DRNTG_33027 transcript:DRNTG_33027.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPDANGGLDHGEIFSDGPSLPPLNEMQPEEGFIIRNGAECREALHYVDELHRAGIATSEFP >DRNTG_33027.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001939.1:25381:27403:-1 gene:DRNTG_33027 transcript:DRNTG_33027.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDANGGLDHGEIFSDGPSLPPLNEMQPEEGFIIRNGAEKSAEKHCIMLMSCIELG >DRNTG_33027.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001939.1:23439:27403:-1 gene:DRNTG_33027 transcript:DRNTG_33027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDANGGLDHGEIFSDGPSLPPLNEMQPEEGFIIRNGAEKSAEKHCIMLMSCIELG >DRNTG_12182.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19050958:19051594:-1 gene:DRNTG_12182 transcript:DRNTG_12182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKHTPQTGSAFLHFRSSHNSKPKKNGG >DRNTG_29187.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3073696:3081117:-1 gene:DRNTG_29187 transcript:DRNTG_29187.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRSWPWKKKSSEKAAAAAAATADSVSSSDANQADQPSAKSVNYVQISAETYNHLTELEDQVKVLNEKLTSAQSEITNKDVLVKQHAKVAEEAVTGWEKAEEEALALKQQLESVTLLKLRAEDRASHLDGALKECMKQVRNVKEESEQKLHDVIFAKTKQWEKVKSELEARIHDFEEELLRASAENAALSRSLQERSNMLMKVSDEKSQADAEIEVLKSNLQSCEREISSLKYELHIASKELEIRNEEKNMSVRSADVANKQHVEDVKKITKLEAECQRLRGLVRKKLPGPAALAQMKLEVENLGRDYSEPRGRRSSARSSSPHFAPASEFAYENFQQCRKENEFLTARLLAMEEETKMLKEALANRNSELQVSRDMCASTANKLLSMEAEMNSMNQQKGPLKNKYGYNCGTFLQ >DRNTG_29187.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3073696:3081117:-1 gene:DRNTG_29187 transcript:DRNTG_29187.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRSWPWKKKSSEKAAAAAAATADSVSSSDANQADQPSAKSVNYVQISAETYNHLTELEDQVKVLNEKLTSAQSEITNKDVLVKQHAKVAEEAVTGWEKAEEEALALKQQLESVTLLKLRAEDRASHLDGALKECMKQVRNVKEESEQKLHDVIFAKTKQWEKVKSELEARIHDFEEELLRASAENAALSRSLQERSNMLMKVSDEKSQADAEIEVLKSNLQSCEREISSLKYELHIASKELEIRNEEKNMSVRSADVANKQHVEDVKKITKLEAECQRLRGLVRKKLPGPAALAQMKLEVENLGRDYSEPRGRRSSARSSSPHFAPASEFAYENFQQCRKENEFLTARLLAMEEETKMLKEALANRNSELQVSRDMCASTANKLLSMEAEMNSMNQQKGPLKNKYGYNCGTFLQ >DRNTG_29187.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3073696:3075191:-1 gene:DRNTG_29187 transcript:DRNTG_29187.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPAELMASSLNDGRRMSDGIVEDEPSSSGFHPRSLPSPQQSDYSETEKVGGNNTRITGGESPLDGYMIPSDNESTSFPRSPVSSKRQKAKVV >DRNTG_29187.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3073696:3081117:-1 gene:DRNTG_29187 transcript:DRNTG_29187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRSWPWKKKSSEKAAAAAAATADSVSSSDANQADQPSAKSVNYVQISAETYNHLTELEDQVKVLNEKLTSAQSEITNKDVLVKQHAKVAEEAVTGWEKAEEEALALKQQLESVTLLKLRAEDRASHLDGALKECMKQVRNVKEESEQKLHDVIFAKTKQWEKVKSELEARIHDFEEELLRASAENAALSRSLQERSNMLMKVSDEKSQADAEIEVLKSNLQSCEREISSLKYELHIASKELEIRNEEKNMSVRSADVANKQHVEDVKKITKLEAECQRLRGLVRKKLPGPAALAQMKLEVENLGRDYSEPRGRRSSARSSSPHFAPASEFAYENFQQCRKENEFLTARLLAMEEETKMLKEALANRNSELQVSRDMCASTANKLLSMEAEMNSMNQQKGPLKNKYGYNCGTFLQ >DRNTG_00060.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21752929:21755142:-1 gene:DRNTG_00060 transcript:DRNTG_00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHVLVFPFPAQGHLNSMLKLAELLYLSGFHITFLTTEYDHHRLCLHSPAHSRLSRLPGFWFRSISDGLPDQQPRSASRLIELYNSLTHNSSSLFRDFLNSNLSQSQDAGWSRITCLVVDGVMPFAMDAATDLGIPTITFRTSSPCSIWTYFCLPKLVEAGEYPFPEGADLDERVYAVSGMESFLRRRDLPSCFREARDVSDPRLDVVYMVTVSTTRAKGFILNTFEAMDSTVLSHIRTVCPTTYVIGPLHCMLQNMRSRMLSNTQSGETSSNSANLWQEDRSCLPWLDKQPKGSVLYVSFGSFTVITNEDLMEFWHGLVNSGQRFLWVIRPDLVNGVEDGEGQPTSVPPELEAGTSERGYLVAWAPQEEVLAHPSIGCFLTHSGWNSSLESAVSGVPMICWPFFADQHTTSRFVSEVWKIGLDMKDKHGREIVETIVRDAMEGESAQELRRSAAAMAEKARESIAEGGSSYLDFQTLVRYIKSTDQGDG >DRNTG_32713.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18119728:18120720:1 gene:DRNTG_32713 transcript:DRNTG_32713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLAMSRLTKAAQQDHKNGVLSFISSSTPATFPSALNTGDKEPMTRSSNPGFSPWTNGDNEPP >DRNTG_17107.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000872.1:72981:77961:-1 gene:DRNTG_17107 transcript:DRNTG_17107.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLWDVDSRLAQIDKYLHNNDNHVVAGALLGAMALLMDYIVKDDTITRIGAILGLGITYAGSHRDGIQKLLGYCAQHLDKGETHQGPTVLGRYAIRSLENLLQYGEQNIRRVMPLADGILCISNSKPRMLMTMDENLKPLSVPIQVGQAVGDVGQADRPKTSTVF >DRNTG_19823.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25030215:25030703:-1 gene:DRNTG_19823 transcript:DRNTG_19823.2 gene_biotype:protein_coding transcript_biotype:protein_coding RFLKELKKTLKNKAHIEGSICQAYIAQEINIFAEHYFEPHISCRRRRPRRNDEGCSNEIFPPFSIFNYTGRGQGRPKIRWLSEDELHVAHTYVLRNCPEVYPYYKIFVTYLEGNTSDAIDKAVDKHFA >DRNTG_19823.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25030215:25031036:-1 gene:DRNTG_19823 transcript:DRNTG_19823.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLIVHLPYEVRIGGPVQYRWMYPFERFLKELKKTLKNKAHIEGSICQAYIAQEINIFAEHYFEPHISCRRRRPRRNDEGCSNEIFPPFSIFNYTGRGQGRPKIRWLSEDELHVAHTYVLRNCPEVYPYYKIFVTYLEGNTSDAIDKAVDKHFA >DRNTG_07310.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3379999:3380633:1 gene:DRNTG_07310 transcript:DRNTG_07310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRSHGRWNHPYTTTIHNSQKCALSRESNSHHSVRALSSTRVPIDPKD >DRNTG_07310.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3376296:3380633:1 gene:DRNTG_07310 transcript:DRNTG_07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGDPSRPQPGTAAGGGGIPAHGVVWTTEEDEILREGLEK >DRNTG_07310.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3376296:3379842:1 gene:DRNTG_07310 transcript:DRNTG_07310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGDPSRPQPGTAAGGGGIPAHGVVWTTEEDEILREGLEK >DRNTG_07310.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3376296:3379842:1 gene:DRNTG_07310 transcript:DRNTG_07310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGDPSRPQPGTAAGGGGIPAHGVVWTTEEDEILREGLEK >DRNTG_07310.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3376296:3379842:1 gene:DRNTG_07310 transcript:DRNTG_07310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGDPSRPQPGTAAGGGGIPAHGVVWTTEEDEILREGLEKHYQNPTLAACEEIAAALPRKTILDVGLRCEWLLVAEKITDLSNYHMLRPLAMSSEDNGEARRNLLDSTEDILKKVRENPQTNENMELLYCALKNIVKFMEYYW >DRNTG_17826.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15970810:15977784:-1 gene:DRNTG_17826 transcript:DRNTG_17826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKPISFFHLKTLTPCYPHPRLRLLLPSLRLFSFASPEEAAAERRRRKRRLRMEPPLSSLRPSAPKPPQQRIPSKTAPNPNFPKLPETLSALSAKRLDLHNRILTLIRENDLDEASLLVRHSIYSNCRPTVFTCNAVLHSLLRQNRHADLLSLHRFITQAGIAPTVITHNLVLQVYCDARKTDTALEHYRLILKDSPFNPSPTTYRILVKGLIDCSRLDQALDLKDDMLTKAFCPADPIVYNLLMAGALKSSDPDKALSLFEELKEKLGGGMVLDGAVYGSLMKGYFAKGMDKEAMEFYSEVLGENSKVRFNAVSYNSVLEALTKNGKFDEALRLFGRMNAEHDPPRRLTVNLGSFNVMVDGYCALGKFSEAIETFGKMGEKKCSPDVLSYNNLIDQLGRNQLVAEAEELYREMGERGINPDEYTYVLIVEACFGVDRVDDAVAYFNKMREVGLRPNANAYNKVIGGLVNARKLDEARGFFEQMVENEVKPNITSYELLLKEFCEVGRVDDALKTTKGILMDENVVFSPEMKGLVEEMLKKEGREEDMENLYEEVEREKAEALARAEEEKARAEALAKEEEERKKAEAAAKAEATAKASAAAIEAVLGRRKEEKKEEPSAIGSSAPDAGQLKELIDGITPGKDTKAGEGDALEQTS >DRNTG_31859.9.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001757.1:44852:49509:-1 gene:DRNTG_31859 transcript:DRNTG_31859.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDERPRPPNPALPYREDCWSEDATEALVEAWGTRYLELNRGNLRQKDWQEVADAVNGRPGASRRSHRTDVQCKNRIDTLKRKYKSEKARVASGAVASQWPFYSRLDDLIGSITPAKRPAPSPSLPVALPLPWRPTSSPLPVAAATVSKRPAVAIPLDDSIFQRSYMAAAAAAAKEEVEEEIEEEESSRSSRSCKRKLGRGLTRCIGGGNGIQEVVRAIEMFGEIYERVEEAKHQHLIEMEKQRMEFAKWLEVQRMQLFADCQIQFAKVKRHGS >DRNTG_31859.11.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001757.1:47814:49746:-1 gene:DRNTG_31859 transcript:DRNTG_31859.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDERPRPPNPALPYREDCWSEDATEALVEAWGTRYLELNRGNLRQKDWQEVADAVNGRPGASRRSHRTDVQCKNRIDTLKRKYKSEKARVASGAVASQWPFYSRLDDLIGSITPAKRPAPSPSLPVALPLPWRPTSSPLPVAAATVSKRPAVAIPLDDSIFQRSYMAAAAAAAKEEVEEEIEEEESSRSSRSCKRKLGRGLTRCIGGGNGIQEVVRAIEMFGEIYERVEEAKHQHLIEMEKQRMEFAKWLEVQRMQLFADCQIQFAKVKRHGS >DRNTG_31859.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001757.1:44742:49554:-1 gene:DRNTG_31859 transcript:DRNTG_31859.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDERPRPPNPALPYREDCWSEDATEALVEAWGTRYLELNRGNLRQKDWQEVADAVNGRPGASRRSHRTDVQCKNRIDTLKRKYKSEKARVASGAVASQWPFYSRLDDLIGSITPAKRPAPSPSLPVALPLPWRPTSSPLPVAAATVSKRPAVAIPLDDSIFQRSYMAAAAAAAKEEVEEEIEEEESSRSSRSCKRKLGRGLTRCIGGGNGIQEVVRAIEMFGEIYERVEEAKHQHLIEMEKQRMEFAKWLEVQRMQLFADCQIQFAKVKRHGS >DRNTG_31859.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001757.1:44818:49746:-1 gene:DRNTG_31859 transcript:DRNTG_31859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDERPRPPNPALPYREDCWSEDATEALVEAWGTRYLELNRGNLRQKDWQEVADAVNGRPGASRRSHRTDVQCKNRIDTLKRKYKSEKARVASGAVASQWPFYSRLDDLIGSITPAKRPAPSPSLPVALPLPWRPTSSPLPVAAATVSKRPAVAIPLDDSIFQRSYMAAAAAAAKEEVEEEIEEEESSRSSRSCKRKLGRGLTRCIGGGNGIQEVVRAIEMFGEIYERVEEAKHQHLIEMEKQRMEFAKWLEVQRMQLFADCQIQFAKVKRHGS >DRNTG_31859.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001757.1:44621:49509:-1 gene:DRNTG_31859 transcript:DRNTG_31859.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDERPRPPNPALPYREDCWSEDATEALVEAWGTRYLELNRGNLRQKDWQEVADAVNGRPGASRRSHRTDVQCKNRIDTLKRKYKSEKARVASGAVASQWPFYSRLDDLIGSITPAKRPAPSPSLPVALPLPWRPTSSPLPVAAATVSKRPAVAIPLDDSIFQRSYMAAAAAAAKEEVEEEIEEEESSRSSRSCKRKLGRGLTRCIGGGNGIQEVVRAIEMFGEIYERVEEAKHQHLIEMEKQRMEFAKWLEVQRMQLFADCQIQFAKVKRHGS >DRNTG_31859.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001757.1:44852:49554:-1 gene:DRNTG_31859 transcript:DRNTG_31859.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDERPRPPNPALPYREDCWSEDATEALVEAWGTRYLELNRGNLRQKDWQEVADAVNGRPGASRRSHRTDVQCKNRIDTLKRKYKSEKARVASGAVASQWPFYSRLDDLIGSITPAKRPAPSPSLPVALPLPWRPTSSPLPVAAATVSKRPAVAIPLDDSIFQRSYMAAAAAAAKEEVEEEIEEEESSRSSRSCKRKLGRGLTRCIGGGNGIQEVVRAIEMFGEIYERVEEAKHQHLIEMEKQRMEFAKWLEVQRMQLFADCQIQFAKVKRHGS >DRNTG_31859.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001757.1:44818:49509:-1 gene:DRNTG_31859 transcript:DRNTG_31859.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDERPRPPNPALPYREDCWSEDATEALVEAWGTRYLELNRGNLRQKDWQEVADAVNGRPGASRRSHRTDVQCKNRIDTLKRKYKSEKARVASGAVASQWPFYSRLDDLIGSITPAKRPAPSPSLPVALPLPWRPTSSPLPVAAATVSKRPAVAIPLDDSIFQRSYMAAAAAAAKEEVEEEIEEEESSRSSRSCKRKLGRGLTRCIGGGNGIQEVVRAIEMFGEIYERVEEAKHQHLIEMEKQRMEFAKWLEVQRMQLFADCQIQFAKVKRHGS >DRNTG_31859.13.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001757.1:47814:49509:-1 gene:DRNTG_31859 transcript:DRNTG_31859.13 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDERPRPPNPALPYREDCWSEDATEALVEAWGTRYLELNRGNLRQKDWQEVADAVNGRPGASRRSHRTDVQCKNRIDTLKRKYKSEKARVASGAVASQWPFYSRLDDLIGSITPAKRPAPSPSLPVALPLPWRPTSSPLPVAAATVSKRPAVAIPLDDSIFQRSYMAAAAAAAKEEVEEEIEEEESSRSSRSCKRKLGRGLTRCIGGGNGIQEVVRAIEMFGEIYERVEEAKHQHLIEMEKQRMEFAKWLEVQRMQLFADCQIQFAKVKRHGS >DRNTG_31859.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001757.1:44852:49746:-1 gene:DRNTG_31859 transcript:DRNTG_31859.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDERPRPPNPALPYREDCWSEDATEALVEAWGTRYLELNRGNLRQKDWQEVADAVNGRPGASRRSHRTDVQCKNRIDTLKRKYKSEKARVASGAVASQWPFYSRLDDLIGSITPAKRPAPSPSLPVALPLPWRPTSSPLPVAAATVSKRPAVAIPLDDSIFQRSYMAAAAAAAKEEVEEEIEEEESSRSSRSCKRKLGRGLTRCIGGGNGIQEVVRAIEMFGEIYERVEEAKHQHLIEMEKQRMEFAKWLEVQRMQLFADCQIQFAKVKRHGS >DRNTG_31859.10.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001757.1:47712:49746:-1 gene:DRNTG_31859 transcript:DRNTG_31859.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDERPRPPNPALPYREDCWSEDATEALVEAWGTRYLELNRGNLRQKDWQEVADAVNGRPGASRRSHRTDVQCKNRIDTLKRKYKSEKARVASGAVASQWPFYSRLDDLIGSITPAKRPAPSPSLPVALPLPWRPTSSPLPVAAATVSKRPAVAIPLDDSIFQRSYMAAAAAAAKEEVEEEIEEEESSRSSRSCKRKLGRGLTRCIGGGNGIQEVVRAIEMFGEIYERVEEAKHQHLIEMEKQRMEFAKWLEVQRMQLFADCQIQFAKVKRHGS >DRNTG_31859.12.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001757.1:47712:49509:-1 gene:DRNTG_31859 transcript:DRNTG_31859.12 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDERPRPPNPALPYREDCWSEDATEALVEAWGTRYLELNRGNLRQKDWQEVADAVNGRPGASRRSHRTDVQCKNRIDTLKRKYKSEKARVASGAVASQWPFYSRLDDLIGSITPAKRPAPSPSLPVALPLPWRPTSSPLPVAAATVSKRPAVAIPLDDSIFQRSYMAAAAAAAKEEVEEEIEEEESSRSSRSCKRKLGRGLTRCIGGGNGIQEVVRAIEMFGEIYERVEEAKHQHLIEMEKQRMEFAKWLEVQRMQLFADCQIQFAKVKRHGS >DRNTG_31859.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001757.1:44742:49509:-1 gene:DRNTG_31859 transcript:DRNTG_31859.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDERPRPPNPALPYREDCWSEDATEALVEAWGTRYLELNRGNLRQKDWQEVADAVNGRPGASRRSHRTDVQCKNRIDTLKRKYKSEKARVASGAVASQWPFYSRLDDLIGSITPAKRPAPSPSLPVALPLPWRPTSSPLPVAAATVSKRPAVAIPLDDSIFQRSYMAAAAAAAKEEVEEEIEEEESSRSSRSCKRKLGRGLTRCIGGGNGIQEVVRAIEMFGEIYERVEEAKHQHLIEMEKQRMEFAKWLEVQRMQLFADCQIQFAKVKRHGS >DRNTG_31859.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001757.1:44742:49509:-1 gene:DRNTG_31859 transcript:DRNTG_31859.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDERPRPPNPALPYREDCWSEDATEALVEAWGTRYLELNRGNLRQKDWQEVADAVNGRPGASRRSHRTDVQCKNRIDTLKRKYKSEKARVASGAVASQWPFYSRLDDLIGSITPAKRPAPSPSLPVALPLPWRPTSSPLPVAAATVSKRPAVAIPLDDSIFQRSYMAAAAAAAKEEVEEEIEEEESSRSSRSCKRKLGRGLTRCIGGGNGIQEVVRAIEMFGEIYERVEEAKHQHLIEMEKQRMEFAKWLEVQRMQLFADCQIQFAKVKRHGS >DRNTG_31751.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8266754:8267303:-1 gene:DRNTG_31751 transcript:DRNTG_31751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAWRPLSLHYQACTVPRVQYWVVHGTNSKSSDDKESLLETTVIIVPPIPTLALVVATTIASPPPSTTTQTTLAPSALEEHLTHLDWGQVRIKGLRRQGSSTTPGLILPIVTTPMVSECPPASPTPPVLHRSYTVPDFPCLFLPF >DRNTG_01677.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23830528:23831894:-1 gene:DRNTG_01677 transcript:DRNTG_01677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMHRDSPLSPHYQPSVTSEYRVKDMIERSISRARYISSIISSTSYSDNDNDGYSGGGGGVYTSIASSSSSSSSSSSAPSPAPLNINSKMLPSNGDYITQLEIGTPPVKVVTLVDTGSDLVWVQCKPCDQCYNQDDPIFDPSKSSTFKGSVSCKDDICLAIRTSECINNQCNYMYEYADGSLTSGNLSRDTFTFSSGSDDKQQGNTSSSIPGIVFGCSHNSNDTDFTYSTLNLGDNALVNDPGFITISMGRRALTYYTVKLKSIAVGKDTIPNDPFDTNILVDLGTAISFIPDIMLSKLIDDLSKMVNLTRTNDPHNYLPLCYSHSVKDPPYPFPDITFTFYKRPHDTDGESIVLTPMQAFFHVSDTVLCLAMHGKKLVGISVLGNIAQLNMHVGYDLHNNVLSMATVNCSKF >DRNTG_22364.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18548068:18558306:-1 gene:DRNTG_22364 transcript:DRNTG_22364.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHVPFVCSKGQILSSFFFQVRDVRLIMDRNSRRSKGVGYVEFYDVMSVPNAIALSGHLLLGQPVMVKPSEAEKNLVQSTTAGAAGAAGVAALRKLYVGNLHFSITEDQLRQIFEPFGPVELVQLPLDLETGHCRGYGFVQFAQLEHAKAAQSLNGKLDIAGRIIKVSAVTDHVPGQDSGAATELDDEDGALSINAQSRAILMQKLDRTGTASSIAESLAVPALNGSLTNPLGLGLPVNLQASLPTLVPSALPSMVTEPVGKASECLLLKNMFDPKTETEPDFDLDIKEDVQEECSKFGRLRHIYVDKNSDGCVYLCYESVAAATSCQQAMHGRWFAGRSISTTFMSQEEYGAKFKGVI >DRNTG_22364.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18548068:18558306:-1 gene:DRNTG_22364 transcript:DRNTG_22364.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVPFVCSKGQILSSFFFQVRDVRLIMDRNSRRSKGVGYVEFYDVMSVPNAIALSGHLLLGQPVMVKPSEAEKNLVQSTTAGAAGAAGVAALRKLYVGNLHFSITEDQLRQIFEPFGPVELVQLPLDLETGHCRGYGFVQFAQLEHAKAAQSLNGKLDIAGRIIKVSAVTDHVPGQDSGAATELDDEDGALSINAQSRAILMQKLDRTGTASSIAESLAVPALNGSLTNPLGLGLPVNLQASLPTLVPSALPSMVTEPVGKASECLLLKNMFDPKTETEPDFDLDIKEDVQEECSKFGRLRHIYVDKNSDGCVYLCYESVAAATSCQQAMHGRWFAGRSISTTFMSQEEYGAKFKGVI >DRNTG_22364.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18548068:18558306:-1 gene:DRNTG_22364 transcript:DRNTG_22364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFDEYEYLEKTVEAPAAEENKEKEQRSSRRHDRDGESGDRDRERERSSRSDRRDKDRDHRRSRSRERDREKKGESDKDKDRARDRERDGEQRSSSHSRRNEKDEDRERRSSSRSKKHDRDDDREKDRERRSSSHSRRHEVEREMERSRSKDRALRERERERELERELREREGRRYKDKKEVVELEADPERDQRTVFAYQMPLKATERDVYEFFSQVGKVRDVRLIMDRNSRRSKGVGYVEFYDVMSVPNAIALSGHLLLGQPVMVKPSEAEKNLVQSTTAGAAGAAGVAALRKLYVGNLHFSITEDQLRQIFEPFGPVELVQLPLDLETGHCRGYGFVQFAQLEHAKAAQSLNGKLDIAGRIIKVSAVTDHVPGQDSGAATELDDEDGALSINAQSRAILMQKLDRTGTASSIAESLAVPALNGSLTNPLGLGLPVNLQASLPTLVPSALPSMVTEPVGKASECLLLKNMFDPKTETEPDFDLDIKEDVQEECSKFGRLRHIYVDKNSDGCVYLCYESVAAATSCQQAMHGRWFAGRSISTTFMSQEEYGAKFKGVI >DRNTG_22364.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18548068:18558306:-1 gene:DRNTG_22364 transcript:DRNTG_22364.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIIMTFLAQHTFYLVRDVRLIMDRNSRRSKGVGYVEFYDVMSVPNAIALSGHLLLGQPVMVKPSEAEKNLVQSTTAGAAGAAGVAALRKLYVGNLHFSITEDQLRQIFEPFGPVELVQLPLDLETGHCRGYGFVQFAQLEHAKAAQSLNGKLDIAGRIIKVSAVTDHVPGQDSGAATELDDEDGALSINAQSRAILMQKLDRTGTASSIAESLAVPALNGSLTNPLGLGLPVNLQASLPTLVPSALPSMVTEPVGKASECLLLKNMFDPKTETEPDFDLDIKEDVQEECSKFGRLRHIYVDKNSDGCVYLCYESVAAATSCQQAMHGRWFAGRSISTTFMSQEEYGAKFKGVI >DRNTG_29478.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2669043:2670461:1 gene:DRNTG_29478 transcript:DRNTG_29478.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylulose 5-phosphate/phosphate translocator, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G17630) UniProtKB/Swiss-Prot;Acc:Q9LF61] MFSLHSLPTISAIPKSRPHFIHPKPIHGFKLHPNNPVPPHPLLHTITKHRLRLLFPSATSPDQDSKLTSTDSTQSESDPFDPFPKEEEDSENNPCKPPPEQEQEKEQEQEQEQGEKEDQEDDGEEKEEQEKEKGDEPIHLKSTTITPPQSPTTNNSNLQLALVFGLWYFQNVVFNIYNKKVLNLFPFPWLLASFQLLVGSIWMSILWFSGLQPFPRVNKRFLSALLVPALFHTIGHISACVAFSKVTVSFTHVIKASEPVFSVILSALHGQFYPIHIWLSVLPIVAGCSLAAITEVSFNVHGLWGALISNVGFVLRNIYSKQSLYDFKHINGLNLYGFITIASLLYLVPVAVLVEGSKWVDGFQMAVMAAPAPWSFYSWVVLSGIFYHLYNQSSYQALDKISPLTFSVGNTMKRVVVIVSSVLVFRNPIMPLNALGSAIAIFGTFLYSQASMIGKKQKKKDDDVVADQQMSL >DRNTG_35487.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23496171:23499968:1 gene:DRNTG_35487 transcript:DRNTG_35487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDQIGAGLSNLGNTCFINAVLQCITHTVPLVEKLRSVNHPRCSAEDEEFCALCALRDHVERSIAMSGHIIVPEQFPSNLSKISSDFVLGSQGDSHEFLRCLLDSVDNCCLQPKSKEKPSLEEDSLVKQVFGGRLKSQLKCSECNHHSDTFEPLLDLSLEINNADSVTEALESFFRVEKIDDEETKFTCGGCNHQVTVEKQLTLDQAPEVVSLHLKRFENNGLCIEKITKPVKFELELDLKPFVSSTNAEGQFTYDLYAVVVHVGDGCMAHYYTFIRSSPSAWHWMNDSKVMGVSESLVLDEPAYLLFYKKQGSSPWFSSFMEAQKMLKCNSPDHTSPMSVFDFADRNPVPVWEGQFSGGSLNDPLDKNEDSILFTYSRRSSITVNSQTTVDPSQTLIEGKLISNANSGDESPTCLSRTRPPRRPRQDDSSVEIIFQDEPLDEGNACQIPQAHIGDKGLKEASTPANRALNNIAVDESFRRLVRGMPSARRAGLLSCLPQHQPQSGSYLMEGPRPLDRKRRKCFTA >DRNTG_35487.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23498821:23499968:1 gene:DRNTG_35487 transcript:DRNTG_35487.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSESLVLDEPAYLLFYKKQGSSPWFSSFMEAQKMLKCNSPDHTSPMSVFDFADRNPVPVWEGQFSGGSLNDPLDKNEDSILFTYSRRSSITVNSQTTVDPSQTLIEGKLISNANSGDESPTCLSRTRPPRRPRQDDSSVEIIFQDEPLDEGNACQIPQAHIGDKGLKEASTPANRALNNIAVDESFRRLVRGMPSARRAGLLSCLPQHQPQSGSYLMEGPRPLDRKRRKCFTA >DRNTG_35487.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23497896:23498744:1 gene:DRNTG_35487 transcript:DRNTG_35487.3 gene_biotype:protein_coding transcript_biotype:protein_coding KFKRSFLTKQLPEISSDFVLGSQGDSHEFLRCLLDSVDNCCLQPKSKEKPSLEEDSLVKQVFGGRLKSQLKCSECNHHSDTFEPLLDLSLEINNADSVTEALESFFRVEKIDDEETKFTCGGCNHQVTVEKQLTLDQAPEVVSLHLKRFENNGLCIEKITKPVKFELELDLKPFVSSTNAEGQFTYDLYAVVVHVGDGCMAHYYTFIRSSPSAWHWMNDSKV >DRNTG_29567.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2243774:2244131:1 gene:DRNTG_29567 transcript:DRNTG_29567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKARETPSLSFFFTSPCRHTGGTR >DRNTG_15045.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5670528:5684090:1 gene:DRNTG_15045 transcript:DRNTG_15045.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGGIETGLLFEKAKELDQLRKDQEEVLQDINKIHKKLQSLTPELIEKSGDNMLFRLRNLYSQAKDLSETEVSVSTALLSYLDALQQSGLSAAQRKKIEVSEQKKKRLKPDPEIPRFTPNRLLDHANLKGEQVAGRVNPDEADKDEWFVVKVIHFDKDAKEFEVLDEEPGDDEESVQNRKYKLPLSRVIPFPKKNDPSSAQDFPPGKHVLAVYPGTTALYKATVVNSHRKRKSDDYLLEFDDDEEDGSLPQRTVPFYKVVALPVGHRQ >DRNTG_15045.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5670528:5679542:1 gene:DRNTG_15045 transcript:DRNTG_15045.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGGIETGLLFEKAKELDQLRKDQEEVLQDINKIHKKLQSLTPELIEKSGDNMLFRLRNLYSQAKDLSETEVSVSTALLSYLDALQQSGLSAAQRKKIEVSEQKKKRLKPDPEIPRFTPNRLLDHANLKGEQVAGRVNPDEADKDEWFVVKVIHFDKDAKEFEVLDEEPGDDEESVQNRKYKLPLSRVIPFPKKNDPSSAQDFPPGKHVLAVYPGTTALYKATVVNSHRKVLPNFSVFL >DRNTG_15045.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5670528:5684090:1 gene:DRNTG_15045 transcript:DRNTG_15045.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGGIETGLLFEKAKELDQLRKDQEEVLQDINKIHKKLQSLTPELIEKSGDNMLFRLRNLYSQAKDLSETEVSVSTALLSYLDALQQSGLSAAQRKKIEVSEQKKKRLKPDPEIPRFTPNRLLDHANLKGEQVAGRVNPDEADKDEWFVVKVIHFDKDAKEFEVLDEEPGDDEESVQNRKYKLPLSRVIPFPKKNDPSSAQDFPPGKHVLAVYPGTTALYKATVVNSHRKLFA >DRNTG_11496.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19598189:19599409:-1 gene:DRNTG_11496 transcript:DRNTG_11496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAEINGYARCVKHPSQFFTGFCSSCLAERLLGVDSGERAVNPQNEIVEVSCSGSDVVRKSSEVRVRRTLRYLFELDDNKNKENHQPEIQEVDVVNENIGRDSKVSGSSDAAVSVNADAHVVRNSMFWSSLISSKKGGSAKKSVCRRSWCGGFGGKQVGKKPNVRHSFDWVDAHDSGKHVWELPRHSWDDSVMGKALSCSFSCLDEPQDGSSRVKRGSADQAPIDKMDNEDFGVKSMPLDGSSFGESHREIAVPGVWGKKSNRWSRVWNWSITSPFRDFGKKPEHVLQRSLSESWRDSRKEKNTRIAETDARLKSYRNGFSSARMNQFMSRSVNAVNGDLQNIKPDWQRKREVKLSRSRSVHYSSPGNLDNGLLRFYLTPLRSSRRYTRRGRTRTSRTFARGVLGL >DRNTG_31942.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001764.1:7588:8966:-1 gene:DRNTG_31942 transcript:DRNTG_31942.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPPTNQTPSSSPPDPAQMHHQHHIQTSKNQMPS >DRNTG_22623.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17868302:17870947:1 gene:DRNTG_22623 transcript:DRNTG_22623.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSFFNSIYIFGGVWLILEVLCFLNSIREKDACRNIKSSETNYPVSDGPEENGNVKDDRTSSQAVKLAEDPKTGQEQAESNVPGQEKILKKPDKILPCPRCKSMATKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKNKHCAMHQRNMAMLSDGVEATQADASDSTHRLSVSVLKFGPEAPHCESMSSVLNLGDQKGTSESSSMACGDTIDEPSCSSSVTAPNCVGKEVPEIGMNVKQSGMQGLALVEQILCNIFCFIPGLPGLIHGVLQ >DRNTG_22623.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17868302:17870947:1 gene:DRNTG_22623 transcript:DRNTG_22623.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACRNIKSSETNYPVSDGPEENGNVKDDRTSSQAVKLAEDPKTGQEQAESNVPGQEKILKKPDKILPCPRCKSMATKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKNKHCAMHQRNMAMLSDGVEATQADASDSTHRLSVSVLKFGPEAPHCESMSSVLNLGDQKGTSESSSMACGDTIDEPSCSSSVTAPNCVGKEVPEIGMNVKQSGMQGLALVEQILCNIFCFIPGLPGLIHGVLQ >DRNTG_24413.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001301.1:218212:222237:1 gene:DRNTG_24413 transcript:DRNTG_24413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQNIQSIPKNTNDPKHSIHTKNTNNPYNNPTFHDNQKSIINNSLIHQYNCHQQSEVKSHHEHALNYLEFLCFLFLAIVCPVFASSSPTTNMKLY >DRNTG_24413.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001301.1:220945:222237:1 gene:DRNTG_24413 transcript:DRNTG_24413.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLTHSSPATKDLLKQQEQDFTNKGKIEQ >DRNTG_17519.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28913702:28917485:-1 gene:DRNTG_17519 transcript:DRNTG_17519.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACIYSPFSISSPSISARSRIKSKQFAIRCVVGEVVAEPKVANLAEPLLLHAVRGGKVDRPPVWLMRQAGRYMKSYQMICEKYPSFRERSENVDLVVEISLQPWKVFKPDGVILFSDILTPLPGMNIPFDIVKGKGPVIYSPLRTSGDVDQVREFVPEEFVPYVGEALSILRDEVKDEAAVLGFVGAPFTLASYVVEGGSSKNFTKIKQLAFSQPEVLHALLQKFANSMAKYIKYQANNGAQAVQIFDSWATELSPVDFEEFSLPYLKQIVESVRKTHPDLPLILYASGSGGLLERLAMTGVDVVSLDWTVDMAEGRKRLGLDVAVQGNVDPGVLFGSKEFITKRIHDTVAKAGNSKHILNLGHGIKVGTPEENVAHFFEVAQGIRYQM >DRNTG_17519.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28913702:28917485:-1 gene:DRNTG_17519 transcript:DRNTG_17519.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNLISILYWSLQSYQMICEKYPSFRERSENVDLVVEISLQPWKVFKPDGVILFSDILTPLPGMNIPFDIVKGKGPVIYSPLRTSGDVDQVREFVPEEFVPYVGEALSILRDEVKDEAAVLGFVGAPFTLASYVVEGGSSKNFTKIKQLAFSQPEVLHALLQKFANSMAKYIKYQANNGAQAVQIFDSWATELSPVDFEEFSLPYLKQIVESVRKTHPDLPLILYASGSGGLLERLAMTGVDVVSLDWTVDMAEGRKRLGLDVAVQGNVDPGVLFGSKEFITKRIHDTVAKAGNSKHILNLGHGIKVGTPEENVAHFFEVAQGIRYQM >DRNTG_17519.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28913702:28917485:-1 gene:DRNTG_17519 transcript:DRNTG_17519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICEKYPSFRERSENVDLVVEISLQPWKVFKPDGVILFSDILTPLPGMNIPFDIVKGKGPVIYSPLRTSGDVDQVREFVPEEFVPYVGEALSILRDEVKDEAAVLGFVGAPFTLASYVVEGGSSKNFTKIKQLAFSQPEVLHALLQKFANSMAKYIKYQANNGAQAVQIFDSWATELSPVDFEEFSLPYLKQIVESVRKTHPDLPLILYASGSGGLLERLAMTGVDVVSLDWTVDMAEGRKRLGLDVAVQGNVDPGVLFGSKEFITKRIHDTVAKAGNSKHILNLGHGIKVGTPEENVAHFFEVAQGIRYQM >DRNTG_17519.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28913702:28917485:-1 gene:DRNTG_17519 transcript:DRNTG_17519.3 gene_biotype:protein_coding transcript_biotype:protein_coding MICEKYPSFRERSENVDLVVEISLQPWKVFKPDGVILFSDILTPLPGMNIPFDIVKGKGPVIYSPLRTSGDVDQVREFVPEEFVPYVGEALSILRDEVKDEAAVLGFVGAPFTLASYVVEGGSSKNFTKIKQLAFSQPEVLHALLQKFANSMAKYIKYQANNGAQAVQIFDSWATELSPVDFEEFSLPYLKQIVESVRKTHPDLPLILYASGSGGLLERLAMTGVDVVSLDWTVDMAEGRKRLGLDVAVQGNVDPGVLFGSKEFITKRIHDTVAKAGNSKHILNLGHGIKVGTPEENVAHFFEVAQGIRYQM >DRNTG_15851.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25179474:25186070:-1 gene:DRNTG_15851 transcript:DRNTG_15851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPALTEVTQLFGRFKAAFLRNDFGTCSSLLAQLKVLLTKFPSLPPSFQKTPNSVQELSIAREIYEHAVVLSVKTEDQDAFERDFFQLKPYYTDTCGVIPPSPQEYPILGLNLLRLLVQNRIAEFHTELELLSATVLENPCIKHAVELEQSFMEGAYNRVLSARQTVPHDTYVYFMDLLAKTVRDEIAGCSEKAYNYLSIADAKKILMFSSDQELNEYITEEHPEWEIKDGSVFFQKAKESQPCKEIPSLQLINQTLSYARELERIV >DRNTG_06135.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25547362:25547737:1 gene:DRNTG_06135 transcript:DRNTG_06135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHIAVTRETEIARGRKLGSRRPREKTMASPPSNDAR >DRNTG_22585.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17422882:17426541:-1 gene:DRNTG_22585 transcript:DRNTG_22585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTLVVALIAAAGVVAFVGIIFLLWYCLFRKSISRTSETNSSEPSIQAGGNVELSGGVSYPSGLQGARSFSLEELNLATKNFSSVNLIGYGRFGEVYKGLLQDGMIVAIKSRQAAPSREFVEEVRNLSTIRHRNLVSLLGFCQEKDLQMLVYEYIPNGSVSSHLYGANQMSSEKLEFKNRLSIAHNAAKGLAHLHGFDPPVIHKNFKTANVLVDEDFIPKVADAGLRNLMERIGGASSTSQMIVDDVFIDPEVKHSGTFCAKSDVYSFGVFLLELVSGRDARTDQSLIAKVQNYQDPSTISSLVDSRMGSSFTTEGMKEFLRLAFWCLNTSSERRPPMSFVVLELNRIHEKEISLTTVMGEGTPTVTLGSHLFTAAR >DRNTG_22585.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17426012:17426541:-1 gene:DRNTG_22585 transcript:DRNTG_22585.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRKRRREEDDDEKEKREIQHKLCSSRQQRPSQIALQPMKTSTFSTSLLICFQFNGNHGMHSLWLH >DRNTG_22585.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17422882:17426541:-1 gene:DRNTG_22585 transcript:DRNTG_22585.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTLVVALIAAAGVVAFVGIIFLLWYCLFRKSISRTSETNSSEPSIQAGGNVELSGGVSYPSGLQGARSFSLEELNLATKNFSSVNLIGYGRFGEVYKGLLQDGMIVAIKSRQAAPSREFVEEVRNLSTIRHRNLVSLLGFCQEKDLQMLVYEYIPNGSVSSHLYGANQMSSEKLEFKNRLSIAHNAAKGLAHLHGFDPPVIHKNFKTANVLVDEDFIPKVADAGLRNLMERIGGASSTSQMIVDDVFIDPEVKHSGTFCAKSDVYSFGVFLLELVSGRDARTDQSLIAKVQNYQDPSTISSLVDSRMGSSFTTEGMKEFLRLAFWCLNTSSERRPPMSFVVLELNRIHEKEISLTTVMGEGTPTVTLGSHLFTAAR >DRNTG_22585.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17422882:17424329:-1 gene:DRNTG_22585 transcript:DRNTG_22585.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERIGGASSTSQMIVDDVFIDPEVKHSGTFCAKSDVYSFGVFLLELVSGRDARTDQSLIAKVQNYQDPSTISSLVDSRMGSSFTTEGMKEFLRLAFWCLNTSSERRPPMSFVVLELNRIHEKEISLTTVMGEGTPTVTLGSHLFTAAR >DRNTG_20412.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29187742:29193331:1 gene:DRNTG_20412 transcript:DRNTG_20412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARDSSPSGDSPVEDDQALSVTAALAKEAAGLFQSRRYSECVDLLKQLSRLKEDDPKVAHNIVVAEYYRDGCFDPRKLLDVLTKKRSKDVLCASGEEMESANNLRGNAVSGSSDGTTALYQFSAAKSGCFNYSDDSDVSIVTFNIAVILYHLHEFNRALPMLESLFQHIEALDEVIALRICLLLLDTAFALKDAARASDVIQYLEKFLGVSYKMNQVDNGTIAQNPSSIQAASVNINVAVQDPISDLSISANMPDDPLSRTLSDEYETLISTMDSGALKFGRSTLNHVSKPPVGRAAPCVDLKLNMQLYKVRFLLLTRNLKVAKREVKLAMNIVRDRDSSSALMLKSQLEYARGNHRKAIKLLMTSINRADPVMLSMFNSNLGCIYHQLGEHHLSIMYLSKALKSCSTILKEKPLKLSTFSHDKSLLIFYNYGLQYLACGKPLTAARCLYRTIPIFHNRPLLWLRLAECCLLAQEKGLLRQAITSSSEEIKLSVVGSGKWRHVVVVDMNSRNRHLDIRGEDGRFGPDDQCRLSLPFARQCLLNALHLLDKLEERTSRPATTFSVNEVDKPNQGASGSISNSSHKNWSDGDSKSFSVTSASLPTGTNNEFKETKGSMNSNNIFQGSVSSFEDMCRKENHMMKQAVLGDLAYVELCLENPLKALSHAQTLQEMPDCSKMYSYLACVYAAEALCLLNRPKEAAEQLSVYLLDGTSVVFALQ >DRNTG_27214.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:820281:825116:1 gene:DRNTG_27214 transcript:DRNTG_27214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYENGSEITLTELIRIDGALTKASLDVGVGKLRWLSGEKRFLEVESEVLGFETQGTRITIKAFVGETKGSSCGKSNGKRARRDFVLEMPTEECAVTWSEKLRNLINSFGRPRRLYILLNPYGGKKCARKIFHNEVRPLLEASDILYTLQETNYQLHAQEIAHKLELLKYDGIVCVSGDGVLVEVVNGLLQRDDWDTAIKVPLGIIPAGTGNGMAKSLLDSVGDVYSISNTVFAIIRGHKRSLDVTTVLQGEAKFFSVLMLTWGFVADVDIESEKYRWMGSARLDFYCFLRVMSLRKYHGHVEFVPAPGYQVYGELVKRSESCKDGILEQICGNDGRYLQCGYEGPKISLEDVEWRSIGGPFILVWLNNVPWPCEDMMPAPEAKFSDGYLDVIIIKDCPKSAFMSILLKMKDGSHVKSPYVMYLKVKAFRLEPGQRVGNPARGGIIDSDGEVIARGEGTYECDQQDDLMAYGPPIHMTVDKGLATIYSPR >DRNTG_06480.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22911520:22941926:-1 gene:DRNTG_06480 transcript:DRNTG_06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPHGRAENTHARAPDPQGQPHALVPSLSEREKSLSVKLSRSEILHGRGHSQAQLAGASARPHAVRFSQVHPEGRVHAPVCSWDKLPSHTI >DRNTG_16751.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11004431:11004805:1 gene:DRNTG_16751 transcript:DRNTG_16751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRDHLWTRGQVNHRFWDYICAMIYGSTGATHFDQLAKILTGYEITGARSSSILMGILSIAIGSLFKITVIPLWAAVGQGGLRAHETHHYFVRALRS >DRNTG_21015.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:66159:69142:-1 gene:DRNTG_21015 transcript:DRNTG_21015.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLIIIIIIVIIIIISFSYKLIFSGGNKPKVLPSPPALPIIGNLLQLTSLPHRSFHALSQTYGPLMLVHIGQVPTLIVSSPDMAHEVLKTHDLAFANRPFSKPFFKLSHGGRNISFSQYGDYWRQTKKLAVVYLLNHKRVQSFHSLRQHQASLMIQKITGSKEEEVMNVSEIVYEYSHKVVSRAAAGTLGNAEKFKEMAEEASVLFGGFQVYDMFPAMGWLSVVMGLDGKLERVTRKLDMFLSEIVEEHVDRRQHGGAGEEEEEEEEQEDFVDLLLALKEEGAIAHENIRAIIMDIIGAGTDTSSVTLEWAMSELMKNPRTMKKAQDEVRQRSNGKPTVSENDIPQMSYLKAVIKEVLRLHPPAPLLLPHESLQKVVIQGYEIPERTRVMINAWSIGRDPNSWEDPEEFKPERFIGSSVDFKGLDFKFIPFGAGRRICPGTNFAITSIEFALASLLYHFNWRLPDGMSVEDLDMQEAPGLTTTRKQSLHLIATPYLPQVFV >DRNTG_21015.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:66159:69032:-1 gene:DRNTG_21015 transcript:DRNTG_21015.8 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLIIIIIIVIIIIISFSYKLIFSGGNKPKVLPSPPALPIIGNLLQLTSLPHRSFHALSQTYGPLMLVHIGQVPTLIVSSPDMAHEVLKTHDLAFANRPFSKPFFKLSHGGRNISFSQYGDYWRQTKKLAVVYLLNHKRVQSFHSLRQHQASLMIQKITGSKEEEVMNVSEIVYEYSHKVVSRAAAGTLGNAEKFKEMAEEASVLFGGFQVYDMFPAMGWLSVVMGLDGKLERVTRKLDMFLSEIVEEHVDRRQHGGAGEEEEEEEEQEDFVDLLLALKEEGAIAHENIRAIIMDIIGAGTDTSSVTLEWAMSELMKNPRTMKKAQDEVRQRSNGKPTVSENDIPQMSYLKAVIKEVLRLHPPAPLLLPHESLQKVVIQGYEIPERTRVMINAWSIGRDPNSWEDPEEFKPERFIGSSVDFKGLDFKFIPFGAGRRICPGTNFAITSIEFALASLLYHFNWRLPDGMSVEDLDMQEAPGLTTTRKQSLHLIATPYLPQVFV >DRNTG_21015.17 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:66586:69032:-1 gene:DRNTG_21015 transcript:DRNTG_21015.17 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLIIIIIIVIIIIISFSYKLIFSGGNKPKVLPSPPALPIIGNLLQLTSLPHRSFHALSQTYGPLMLVHIGQVPTLIVSSPDMAHEVLKTHDLAFANRPFSKPFFKLSHGGRNISFSQYGDYWRQTKKLAVVYLLNHKRVQSFHSLRQHQASLMIQKITGSKEEEVMNVSEIVYEYSHKVVSRAAAGTLGNAEKFKEMAEEASVLFGGFQVYDMFPAMGWLSVVMGLDGKLERVTRKLDMFLSEIVEEHVDRRQHGGAGEEEEEEEEQEDFVDLLLALKEEGAIAHENIRAIIMDIIGAGTDTSSVTLEWAMSELMKNPRTMKKAQDEVRQRSNGKPTVSENDIPQMSYLKAVIKEVLRLHPPAPLLLPHESLQKVVIQGYEIPERTRVMINAWSIGRDPNSWEDPEEFKPERFIGSSVDFKGLDFKFIPFGAGRRICPGTNFAITSIEFALASLLYHFNWRLPDGMSVEDLDMQEAPGLTTTRKQSLHLIATPYLPQVFV >DRNTG_21015.20 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:71930:74242:-1 gene:DRNTG_21015 transcript:DRNTG_21015.20 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLIIIIIIIFIFSYKLIFSGGNKPRVPPSPPALPIIGNLLQLTSLPHRSFHALSQTYGPLMLVHIGQVPTLIVSSPDTAFEVLKTHDLAFANRPFSKPFFKLTYDGCNISFSQYGDYWRQTKKLAVTHLLNQKRVRSYHSLRQHQASLMIQKIAGSKEEEVVNVSEIVYEYSKEVVSRAVAGKLGNVEKLREMEEDSSVLLGGFQVCDMFPAMGWLSALMGLDGKLERIAKKLDVLLSEIVEEHVQRRRHGGAGEEEEEDFVDLLLALKEGGDGDFAIADENIKAITMDMIGAGKDTSYVTLEWAMAELMKNPRTMKKAQDEVRQRSNGKPTVSENDIPQMSYLKAVIKEVLRLHPPAPLLLPRESRQKVVIQGYEIPERTRVMINAWSIGRDPNSWEDPGVQT >DRNTG_21015.19 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:66692:69032:-1 gene:DRNTG_21015 transcript:DRNTG_21015.19 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLIIIIIIVIIIIISFSYKLIFSGGNKPKVLPSPPALPIIGNLLQLTSLPHRSFHALSQTYGPLMLVHIGQVPTLIVSSPDMAHEVLKTHDLAFANRPFSKPFFKLSHGGRNISFSQYGDYWRQTKKLAVVYLLNHKRVQSFHSLRQHQASLMIQKITGSKEEEVMNVSEIVYEYSHKVVSRAAAGTLGNAEKFKEMAEEASVLFGGFQVYDMFPAMGWLSVVMGLDGKLERVTRKLDMFLSEIVEEHVDRRQHGGAGEEEEEEEEQEDFVDLLLALKEEGAIAHENIRAIIMDIIGAGTDTSSVTLEWAMSELMKNPRTMKKAQDEVRQRSNGKPTVSENDIPQMSYLKAVIKEVLRLHPPAPLLLPHESLQKVVIQGYEIPERTRVMINAWSIGRDPNSWEDPEEFKPERFIGSSVDFKGLDFKFIPFGAGRRICPGTNFAITSIEFALASLLYHFNWRLPDGMSVEDLDMQEAPGLTTTRKQSLHLIATPYLPQVFV >DRNTG_21015.12 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:66507:69142:-1 gene:DRNTG_21015 transcript:DRNTG_21015.12 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLIIIIIIVIIIIISFSYKLIFSGGNKPKVLPSPPALPIIGNLLQLTSLPHRSFHALSQTYGPLMLVHIGQVPTLIVSSPDMAHEVLKTHDLAFANRPFSKPFFKLSHGGRNISFSQYGDYWRQTKKLAVVYLLNHKRVQSFHSLRQHQASLMIQKITGSKEEEVMNVSEIVYEYSHKVVSRAAAGTLGNAEKFKEMAEEASVLFGGFQVYDMFPAMGWLSVVMGLDGKLERVTRKLDMFLSEIVEEHVDRRQHGGAGEEEEEEEEQEDFVDLLLALKEEGAIAHENIRAIIMDIIGAGTDTSSVTLEWAMSELMKNPRTMKKAQDEVRQRSNGKPTVSENDIPQMSYLKAVIKEVLRLHPPAPLLLPHESLQKVVIQGYEIPERTRVMINAWSIGRDPNSWEDPEEFKPERFIGSSVDFKGLDFKFIPFGAGRRICPGTNFAITSIEFALASLLYHFNWRLPDGMSVEDLDMQEAPGLTTTRKQSLHLIATPYLPQVFV >DRNTG_21015.15 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:71734:74242:-1 gene:DRNTG_21015 transcript:DRNTG_21015.15 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLIIIIIIIFIFSYKLIFSGGNKPRVPPSPPALPIIGNLLQLTSLPHRSFHALSQTYGPLMLVHIGQVPTLIVSSPDTAFEVLKTHDLAFANRPFSKPFFKLTYDGCNISFSQYGDYWRQTKKLAVTHLLNQKRVRSYHSLRQHQASLMIQKIAGSKEEEVVNVSEIVYEYSKEVVSRAVAGKLGNVEKLREMEEDSSVLLGGFQVCDMFPAMGWLSALMGLDGKLERIAKKLDVLLSEIVEEHVQRRRHGGAGEEEEEDFVDLLLALKEGGDGDFAIADENIKAITMDMIGAGKDTSYVTLEWAMAELMKNPRTMKKAQDEVRQRSNGKPTVSENDIPQMSYLKAVIKEVLRLHPPAPLLLPRESRQKVVIQGYEIPERTRVMINAWSIGRDPNSWEDPGVQT >DRNTG_21015.10 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:66692:69343:-1 gene:DRNTG_21015 transcript:DRNTG_21015.10 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLIIIIIIVIIIIISFSYKLIFSGGNKPKVLPSPPALPIIGNLLQLTSLPHRSFHALSQTYGPLMLVHIGQVPTLIVSSPDMAHEVLKTHDLAFANRPFSKPFFKLSHGGRNISFSQYGDYWRQTKKLAVVYLLNHKRVQSFHSLRQHQASLMIQKITGSKEEEVMNVSEIVYEYSHKVVSRAAAGTLGNAEKFKEMAEEASVLFGGFQVYDMFPAMGWLSVVMGLDGKLERVTRKLDMFLSEIVEEHVDRRQHGGAGEEEEEEEEQEDFVDLLLALKEEGAIAHENIRAIIMDIIGAGTDTSSVTLEWAMSELMKNPRTMKKAQDEVRQRSNGKPTVSENDIPQMSYLKAVIKEVLRLHPPAPLLLPHESLQKVVIQGYEIPERTRVMINAWSIGRDPNSWEDPEEFKPERFIGSSVDFKGLDFKFIPFGAGRRICPGTNFAITSIEFALASLLYHFNWRLPDGMSVEDLDMQEAPGLTTTRKQSLHLIATPYLPQVFV >DRNTG_21015.16 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:66692:69142:-1 gene:DRNTG_21015 transcript:DRNTG_21015.16 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLIIIIIIVIIIIISFSYKLIFSGGNKPKVLPSPPALPIIGNLLQLTSLPHRSFHALSQTYGPLMLVHIGQVPTLIVSSPDMAHEVLKTHDLAFANRPFSKPFFKLSHGGRNISFSQYGDYWRQTKKLAVVYLLNHKRVQSFHSLRQHQASLMIQKITGSKEEEVMNVSEIVYEYSHKVVSRAAAGTLGNAEKFKEMAEEASVLFGGFQVYDMFPAMGWLSVVMGLDGKLERVTRKLDMFLSEIVEEHVDRRQHGGAGEEEEEEEEQEDFVDLLLALKEEGAIAHENIRAIIMDIIGAGTDTSSVTLEWAMSELMKNPRTMKKAQDEVRQRSNGKPTVSENDIPQMSYLKAVIKEVLRLHPPAPLLLPHESLQKVVIQGYEIPERTRVMINAWSIGRDPNSWEDPEEFKPERFIGSSVDFKGLDFKFIPFGAGRRICPGTNFAITSIEFALASLLYHFNWRLPDGMSVEDLDMQEAPGLTTTRKQSLHLIATPYLPQVFV >DRNTG_21015.9 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:66507:69343:-1 gene:DRNTG_21015 transcript:DRNTG_21015.9 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLIIIIIIVIIIIISFSYKLIFSGGNKPKVLPSPPALPIIGNLLQLTSLPHRSFHALSQTYGPLMLVHIGQVPTLIVSSPDMAHEVLKTHDLAFANRPFSKPFFKLSHGGRNISFSQYGDYWRQTKKLAVVYLLNHKRVQSFHSLRQHQASLMIQKITGSKEEEVMNVSEIVYEYSHKVVSRAAAGTLGNAEKFKEMAEEASVLFGGFQVYDMFPAMGWLSVVMGLDGKLERVTRKLDMFLSEIVEEHVDRRQHGGAGEEEEEEEEQEDFVDLLLALKEEGAIAHENIRAIIMDIIGAGTDTSSVTLEWAMSELMKNPRTMKKAQDEVRQRSNGKPTVSENDIPQMSYLKAVIKEVLRLHPPAPLLLPHESLQKVVIQGYEIPERTRVMINAWSIGRDPNSWEDPEEFKPERFIGSSVDFKGLDFKFIPFGAGRRICPGTNFAITSIEFALASLLYHFNWRLPDGMSVEDLDMQEAPGLTTTRKQSLHLIATPYLPQVFV >DRNTG_21015.14 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:66507:69032:-1 gene:DRNTG_21015 transcript:DRNTG_21015.14 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLIIIIIIVIIIIISFSYKLIFSGGNKPKVLPSPPALPIIGNLLQLTSLPHRSFHALSQTYGPLMLVHIGQVPTLIVSSPDMAHEVLKTHDLAFANRPFSKPFFKLSHGGRNISFSQYGDYWRQTKKLAVVYLLNHKRVQSFHSLRQHQASLMIQKITGSKEEEVMNVSEIVYEYSHKVVSRAAAGTLGNAEKFKEMAEEASVLFGGFQVYDMFPAMGWLSVVMGLDGKLERVTRKLDMFLSEIVEEHVDRRQHGGAGEEEEEEEEQEDFVDLLLALKEEGAIAHENIRAIIMDIIGAGTDTSSVTLEWAMSELMKNPRTMKKAQDEVRQRSNGKPTVSENDIPQMSYLKAVIKEVLRLHPPAPLLLPHESLQKVVIQGYEIPERTRVMINAWSIGRDPNSWEDPEEFKPERFIGSSVDFKGLDFKFIPFGAGRRICPGTNFAITSIEFALASLLYHFNWRLPDGMSVEDLDMQEAPGLTTTRKQSLHLIATPYLPQVFV >DRNTG_21015.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:66692:74242:-1 gene:DRNTG_21015 transcript:DRNTG_21015.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLIIIIIIIFIFSYKLIFSGGNKPRVPPSPPALPIIGNLLQLTSLPHRSFHALSQTYGPLMLVHIGQVPTLIVSSPDTAFEVLKTHDLAFANRPFSKPFFKLTYDGCNISFSQYGDYWRQTKKLAVTHLLNQKRVRSYHSLRQHQASLMIQKIAGSKEEEVVNVSEIVYEYSKEVVSRAVAGKLGNVEKLREMEEDSSVLLGGFQVCDMFPAMGWLSALMGLDGKLERIAKKLDVLLSEIVEEHVQRRRHGGAGEEEEEDFVDLLLALKEGGDGDFAIADENIKAITMDMIGAGKDTSYVTLEWAMAELMKNPRTMKKAQDEVRQRSNGKPTVSENDIPQMSYLKAVIKEVLRLHPPAPLLLPRESRQKVVIQGYEIPERTRVMINAWSIGRDPNSWEDPGVQT >DRNTG_21015.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:66507:74242:-1 gene:DRNTG_21015 transcript:DRNTG_21015.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLIIIIIIIIIIVIIIIISFSYKLIFSGGNKPKVLPSPPALPIIGNLLQLTSLPHRSFHALSQTYGPLMLVHIGQVPTLIVSSPDMAHEVLKTHDLAFANRPFSKPFFKLSHGGRNISFSQYGDYWRQTKKLAVVYLLNHKRVQSFHSLRQHQASLMIQKITGSKEEEVMNVSEIVYEYSHKVVSRAAAGTLGNAEKFKEMAEEASVLFGGFQVYDMFPAMGWLSVVMGLDGKLERVTRKLDMFLSEIVEEHVDRRQHGGAGEEEEEEEEQEDFVDLLLALKEEGAIAHENIRAIIMDIIGAGTDTSSVTLEWAMSELMKNPRTMKKAQDEVRQRSNGKPTVSENDIPQMSYLKAVIKEVLRLHPPAPLLLPHESLQKVVIQGYEIPERTRVMINAWSIGRDPNSWEDPEEFKPERFIGSSVDFKGLDFKFIPFGAGRRICPGTNFAITSIEFALASLLYHFNWRLPDGMSVEDLDMQEAPGLTTTRKQSLHLIATPYLPQVFV >DRNTG_21015.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:66159:74242:-1 gene:DRNTG_21015 transcript:DRNTG_21015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLIIIIIIIIIIVIIIIISFSYKLIFSGGNKPKVLPSPPALPIIGNLLQLTSLPHRSFHALSQTYGPLMLVHIGQVPTLIVSSPDMAHEVLKTHDLAFANRPFSKPFFKLSHGGRNISFSQYGDYWRQTKKLAVVYLLNHKRVQSFHSLRQHQASLMIQKITGSKEEEVMNVSEIVYEYSHKVVSRAAAGTLGNAEKFKEMAEEASVLFGGFQVYDMFPAMGWLSVVMGLDGKLERVTRKLDMFLSEIVEEHVDRRQHGGAGEEEEEEEEQEDFVDLLLALKEEGAIAHENIRAIIMDIIGAGTDTSSVTLEWAMSELMKNPRTMKKAQDEVRQRSNGKPTVSENDIPQMSYLKAVIKEVLRLHPPAPLLLPHESLQKVVIQGYEIPERTRVMINAWSIGRDPNSWEDPEEFKPERFIGSSVDFKGLDFKFIPFGAGRRICPGTNFAITSIEFALASLLYHFNWRLPDGMSVEDLDMQEAPGLTTTRKQSLHLIATPYLPQVFV >DRNTG_21015.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:65375:69032:-1 gene:DRNTG_21015 transcript:DRNTG_21015.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLIIIIIIVIIIIISFSYKLIFSGGNKPKVLPSPPALPIIGNLLQLTSLPHRSFHALSQTYGPLMLVHIGQVPTLIVSSPDMAHEVLKTHDLAFANRPFSKPFFKLSHGGRNISFSQYGDYWRQTKKLAVVYLLNHKRVQSFHSLRQHQASLMIQKITGSKEEEVMNVSEIVYEYSHKVVSRAAAGTLGNAEKFKEMAEEASVLFGGFQVYDMFPAMGWLSVVMGLDGKLERVTRKLDMFLSEIVEEHVDRRQHGGAGEEEEEEEEQEDFVDLLLALKEEGAIAHENIRAIIMDIIGAGTDTSSVTLEWAMSELMKNPRTMKKAQDEVRQRSNGKPTVSENDIPQMSYLKAVIKEVLRLHPPAPLLLPHESLQKVVIQGYEIPERTRVMINAWSIGRDPNSWEDPEEFKPERFIGSSVDFKGLDFKFIPFGAGRRICPGTNFAITSIEFALASLLYHFNWRLPDGMSVEDLDMQEAPGLTTTRKQSLHLIATPYLPQVFV >DRNTG_21015.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:66507:74351:-1 gene:DRNTG_21015 transcript:DRNTG_21015.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLIIIIIIIFIFSYKLIFSGGNKPRVPPSPPALPIIGNLLQLTSLPHRSFHALSQTYGPLMLVHIGQVPTLIVSSPDTAFEVLKTHDLAFANRPFSKPFFKLTYDGCNISFSQYGDYWRQTKKLAVTHLLNQKRVRSYHSLRQHQASLMIQKIAGSKEEEVVNVSEIVYEYSKEVVSRAVAGKLGNVEKLREMEEDSSVLLGGFQVCDMFPAMGWLSALMGLDGKLERIAKKLDVLLSEIVEEHVQRRRHGGAGEEEEEDFVDLLLALKEGGDGDFAIADENIKAITMDMIGAGKDTSYVTLEWAMAELMKNPRTMKKAQDEVRQRSNGKPTVSENDIPQMSYLKAVIKEVLRLHPPAPLLLPRESRQKVVIQGYEIPERTRVMINAWSIGRDPNSWEDPGVQT >DRNTG_21015.18 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:71930:74351:-1 gene:DRNTG_21015 transcript:DRNTG_21015.18 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLIIIIIIIFIFSYKLIFSGGNKPRVPPSPPALPIIGNLLQLTSLPHRSFHALSQTYGPLMLVHIGQVPTLIVSSPDTAFEVLKTHDLAFANRPFSKPFFKLTYDGCNISFSQYGDYWRQTKKLAVTHLLNQKRVRSYHSLRQHQASLMIQKIAGSKEEEVVNVSEIVYEYSKEVVSRAVAGKLGNVEKLREMEEDSSVLLGGFQVCDMFPAMGWLSALMGLDGKLERIAKKLDVLLSEIVEEHVQRRRHGGAGEEEEEDFVDLLLALKEGGDGDFAIADENIKAITMDMIGAGKDTSYVTLEWAMAELMKNPRTMKKAQDEVRQRSNGKPTVSENDIPQMSYLKAVIKEVLRLHPPAPLLLPRESRQKVVIQGYEIPERTRVMINAWSIGRDPNSWEDPGVQT >DRNTG_21015.11 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:71930:74574:-1 gene:DRNTG_21015 transcript:DRNTG_21015.11 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLIIIIIIIFIFSYKLIFSGGNKPRVPPSPPALPIIGNLLQLTSLPHRSFHALSQTYGPLMLVHIGQVPTLIVSSPDTAFEVLKTHDLAFANRPFSKPFFKLTYDGCNISFSQYGDYWRQTKKLAVTHLLNQKRVRSYHSLRQHQASLMIQKIAGSKEEEVVNVSEIVYEYSKEVVSRAVAGKLGNVEKLREMEEDSSVLLGGFQVCDMFPAMGWLSALMGLDGKLERIAKKLDVLLSEIVEEHVQRRRHGGAGEEEEEDFVDLLLALKEGGDGDFAIADENIKAITMDMIGAGKDTSYVTLEWAMAELMKNPRTMKKAQDEVRQRSNGKPTVSENDIPQMSYLKAVIKEVLRLHPPAPLLLPRESRQKVVIQGYEIPERTRVMINAWSIGRDPNSWEDPGVQT >DRNTG_21015.13 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:66586:69142:-1 gene:DRNTG_21015 transcript:DRNTG_21015.13 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLIIIIIIVIIIIISFSYKLIFSGGNKPKVLPSPPALPIIGNLLQLTSLPHRSFHALSQTYGPLMLVHIGQVPTLIVSSPDMAHEVLKTHDLAFANRPFSKPFFKLSHGGRNISFSQYGDYWRQTKKLAVVYLLNHKRVQSFHSLRQHQASLMIQKITGSKEEEVMNVSEIVYEYSHKVVSRAAAGTLGNAEKFKEMAEEASVLFGGFQVYDMFPAMGWLSVVMGLDGKLERVTRKLDMFLSEIVEEHVDRRQHGGAGEEEEEEEEQEDFVDLLLALKEEGAIAHENIRAIIMDIIGAGTDTSSVTLEWAMSELMKNPRTMKKAQDEVRQRSNGKPTVSENDIPQMSYLKAVIKEVLRLHPPAPLLLPHESLQKVVIQGYEIPERTRVMINAWSIGRDPNSWEDPEEFKPERFIGSSVDFKGLDFKFIPFGAGRRICPGTNFAITSIEFALASLLYHFNWRLPDGMSVEDLDMQEAPGLTTTRKQSLHLIATPYLPQVFV >DRNTG_21015.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:66586:74242:-1 gene:DRNTG_21015 transcript:DRNTG_21015.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLIIIIIIIFIFSYKLIFSGGNKPRVPPSPPALPIIGNLLQLTSLPHRSFHALSQTYGPLMLVHIGQVPTLIVSSPDTAFEVLKTHDLAFANRPFSKPFFKLTYDGCNISFSQYGDYWRQTKKLAVTHLLNQKRVRSYHSLRQHQASLMIQKIAGSKEEEVVNVSEIVYEYSKEVVSRAVAGKLGNVEKLREMEEDSSVLLGGFQVCDMFPAMGWLSALMGLDGKLERIAKKLDVLLSEIVEEHVQRRRHGGAGEEEEEDFVDLLLALKEGGDGDFAIADENIKAITMDMIGAGKDTSYVTLEWAMAELMKNPRTMKKAQDEVRQRSNGKPTVSENDIPQMSYLKAVIKEVLRLHPPAPLLLPRESRQKVVIQGYEIPERTRVMINAWSIGRDPNSWEDPGVQT >DRNTG_02013.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:15179416:15180021:-1 gene:DRNTG_02013 transcript:DRNTG_02013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIIEEASDSRHECVPVCVTPMKVWNWEVNQVEGCSRALQRQCGNYCSNNVHSRTRNQRNRESTRRVEIVHARVEIPHGHVILFSIFSPTCERTSARVSRGIGQGFGEVLRL >DRNTG_09776.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20098087:20099463:1 gene:DRNTG_09776 transcript:DRNTG_09776.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 45 [Source:Projected from Arabidopsis thaliana (AT3G01970) UniProtKB/Swiss-Prot;Acc:Q9S763] MDNYAMLFPTQPSSSYHSMLSNTTTQLFANLSSNMTCSNNSNTSATKSPNGLIFESKELGEKASKKKGEKKVRRPRYAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHQGCNVKKQVQRLSKDEAVVVTTYEGMHTHPIEKSNDNFEHILNQMQIYRSC >DRNTG_32024.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27460869:27467350:-1 gene:DRNTG_32024 transcript:DRNTG_32024.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVNGFVCKSPKLVTADDFTAHSLDKPGNTSNPQGSAVTPVFVEQLTGLNTLGVSLARLDFAPYGLIVPHYHPRGTEIMTVLEGELYVGFVTSAPDFKLFTKIVKKGDVFVFPKGLVHFQFNYGAKKAVAISGLGSQDPGVVLVPNAVFGSNPPINDGILAKAFQLDKKIIDYLQSKF >DRNTG_20531.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001126.1:13011:15092:1 gene:DRNTG_20531 transcript:DRNTG_20531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWSCHFFSKGSLVKPMAHLTESMPMKAPPITIFRRNAVLKVVEPSKDLAKASQYLGLFKGSLVKPRAHFAEWMPTKAPPLTIF >DRNTG_15112.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7150448:7156546:-1 gene:DRNTG_15112 transcript:DRNTG_15112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCERLESQNKRLESQNKRLESQNSLMVKDISDLKSKVDILIEVAGRQKGVEGDAAAILSAISKKGSHTSHSQNNDVGSSHPDEDEDEDHRAYADVMSKT >DRNTG_15112.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7150448:7156546:-1 gene:DRNTG_15112 transcript:DRNTG_15112.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERCERLESQNKRLESQNKRLESQNSLMVKDISDLKSKVDILIEVAGRQKGVEGDAAAILSAISKKGSHTSHSQNNDVGSSHPDEDEDEDHRAYADVMSKT >DRNTG_15112.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7150448:7156546:-1 gene:DRNTG_15112 transcript:DRNTG_15112.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERCERLESQNKRLESQNKRLESQNSLMVKDISDLKSKVDILIEVAGRQKGVEGDAAAILSAISKKGSHTSHSQNNDVGSSHPDEDEDEDHRAYADVMSKT >DRNTG_15112.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7150448:7156546:-1 gene:DRNTG_15112 transcript:DRNTG_15112.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERCERLESQNKRLESQNKRLESQNSLMVKDISDLKSKVDILIEVAGRQKGVEGDAAAILSAISKKGSHTSHSQNNDVGSSHPDEDEDEDHRAYADVMSKT >DRNTG_00931.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21286505:21294018:1 gene:DRNTG_00931 transcript:DRNTG_00931.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEELVLEAEAAFPADYSIAIEYQGPPIPFEIPCAIPIEIERIPIASVAAPSSLPPNLHLPVIQPLASPKKPDGTLAPDPIVVSPTSVMENRSAIDGETSGEIPDVTLEPSVGGVDSSGPVEFSNDTALNESGLSSDFESSEFSDEDEDEALPHQKRATNVTFEPSGSASPAKDSTRQESEQKIKKGSCYRCLKGSRFLEKESCLVCDAKYCSACVLRAMGSMPEGRKCISCIGSQIEESKRERLGKCSRMLKKLLSALEVEQIMKAERFCETNQLQPEDVCVNGRRLTMDEMVTLQSCPCPPTKLRPGLYWYDKVSGFWGKEGHKPHNIISPHLNVGGGTLMRNASNGNTNILINGREITNVERQMLKWAGVQIAGNPHFWVNADGTYLEEGQKNIKGRIWGKPGMKLICSFLSLPIPSKPIDSSGRDANKMFDRPVPDYLEQRALQKLLLVGYHGSGTSTIFKQAKFLYRTDPFSEDERESIKIMIQSNIYRYLGILLEGRKRFEEESLAERRERQSTCSSTGIHESVKFDNVTEYSLGVRLTSFSDWLLNEMASGKLEAVFPAATREYAPVVEELWNDGAIQATYRRRNELELFPSVANYFLERIVDISRQEYEPSDLDILYADGITSSNGLASTDFIFPPSACDVDGADQEEARLRYQLIRVHTKNLGENCKWLDMFEDVRLVIFCVAASDYDEFYEDSSGAILNKMMESKRLFESIVTHPTFDQMEFLLVLNKFDLLEQKIDTTSLTVCDWFADFNPVLSRHHPNHSRNQNHGATKAQMAFHYIAVMFKRLFACLTARKLYVVSANGLDSDSIDATLKYAREILKWEEEKPELIEYSVNSVYSTDPSSYSP >DRNTG_33857.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002049.1:88966:105833:1 gene:DRNTG_33857 transcript:DRNTG_33857.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 9 [Source:Projected from Arabidopsis thaliana (AT2G32810) UniProtKB/Swiss-Prot;Acc:Q9SCV3] MAVAQVAVIYGEFFEPFNVSYDHRAIILGGQRRMLISAGIHYPRATPDMWPGLIAKSKEGGADVIETYVFWSGHEPSRGQYYFEERYDIVKFVKLVGANGLYLLLRIGPYVCAEWNFGGFPVWLRDVPGIEFRTDNAPFKDEMQRFAKKIVDMMKEENLFSWQGGPIILTQIENEYGNVQGSYGQGGERYMKWAAGMALGLGAGVPWIMCRQTDAPEAIINSCNAFYCDGFKPNSYRKPVIWTEDWNGWYANWGDRLPHRPVEDNAFAIARFFQRGGSFHNYYMYFGGTNFERTAGGPLQITSYNYDAPIDEYGILNQPKWGHLKDLHKAIKLCEPALVAVDDSPLYVKLGRRQEAHVYSSEHIDTNSTLPGNIGTCAAFLANIDEHKSASVKIFGKVYNLPPWSVSILPDCKTLAFNTAKTEAQVSIKTVESGSPSFSNATEAADLLFHDNYFTPLKSWMASKEPIGLWNKNSFSAKGILEHLNVTKDTSDYLWYITSVNISAEDVLYWKEKGILPLLEINSMRDVVRIFVNGELAGSKVGHWLRLEQHVQLVEGDNQLALLSETVGLQNYGAFMEKDGAGFRGPIKLTGFRNYGSLDLTKFLWTYQVQYGYFDKIQDKFTEESFVLLDL >DRNTG_33857.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002049.1:88966:105833:1 gene:DRNTG_33857 transcript:DRNTG_33857.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 9 [Source:Projected from Arabidopsis thaliana (AT2G32810) UniProtKB/Swiss-Prot;Acc:Q9SCV3] MAVAQVAVIYGEFFEPFNVSYDHRAIILGGQRRMLISAGIHYPRATPDMWPGLIAKSKEGGADVIETYVFWSGHEPSRGQYYFEERYDIVKFVKLVGANGLYLLLRIGPYVCAEWNFGGFPVWLRDVPGIEFRTDNAPFKDEMQRFAKKIVDMMKEENLFSWQGGPIILTQIENEYGNVQGSYGQGGERYMKWAAGMALGLGAGVPWIMCRQTDAPEAIINSCNAFYCDGFKPNSYRKPVIWTEDWNGWYANWGDRLPHRPVEDNAFAIARFFQRGGSFHNYYMYFGGTNFERTAGGPLQITSYNYDAPIDEYGILNQPKWGHLKDLHKAIKLCEPALVAVDDSPLYVKLGRRQEAHVYSSEHIDTNSTLPGNIGTCAAFLANIDEHKSASVKIFGKVYNLPPWSVSILPDCKTLAFNTAK >DRNTG_33857.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002049.1:88966:105833:1 gene:DRNTG_33857 transcript:DRNTG_33857.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 9 [Source:Projected from Arabidopsis thaliana (AT2G32810) UniProtKB/Swiss-Prot;Acc:Q9SCV3] MQRFAKKIVDMMKEENLFSWQGGPIILTQIENEYGNVQGSYGQGGERYMKWAAGMALGLGAGVPWIMCRQTDAPEAIINSCNAFYCDGFKPNSYRKPVIWTEDWNGWYANWGDRLPHRPVEDNAFAIARFFQRGGSFHNYYMYFGGTNFERTAGGPLQITSYNYDAPIDEYGILNQPKWGHLKDLHKAIKLCEPALVAVDDSPLYVKLGRRQEAHVYSSEHIDTNSTLPGNIGTCAAFLANIDEHKSASVKIFGKVYNLPPWSVSILPDCKTLAFNTAKVCF >DRNTG_24189.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22393540:22396656:-1 gene:DRNTG_24189 transcript:DRNTG_24189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKSSFSTPLGEAACENDAKKLQFIEEVTNNTKKVQERVLAEILSQNSQTEYLQRFNLCGSIDRCSFKAKVPVVTYEDLLPDIQRIANGDRSPILCSHPISEFLTSSGTSAGERKLMPTIQEELDRRQLLYSLLMPVMNLYVPGLDKGKGLYFLFIKSETKTPGGLVARPVLTSYYKSEHFRHRPFDPYNVYTSPNATILCTDSFQSMYSQMLCGLLHRHDVLRVGAVFASGLLRAIRFLQLHWHQLAFDIASGELSPKITDPSIRTAISEILSPNPDLSKFIISECSSGNWSGIIKRIWPNTKYLDVIVTGAMAQYIPTLEFYSGNLPMACTMYASSECYFGINLRPMCHPSEVTYTIMPMMGYFEFIPHDGSTTSNSTTLVELADVEVGKEYELVITTYSGLCRYRVGDILLVTGFHNAAPEFKFIRRKNVLLSIESDKTDEAELQAAVARASELLKPFGASVIEYTSQADTKVIPGHYVIYWELLLKSSEGIPDGEVLEKCCLEMEEAMNTVYRQSRVADGSIGPLEIRVVKGGTFEELMDYAISRGASINQYKVPRCVNFPPILELLDSRVISAHFSPACPTWTPYKSTS >DRNTG_35273.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002236.1:143:10751:-1 gene:DRNTG_35273 transcript:DRNTG_35273.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:maternal effect embryo arrest 18 [Source:Projected from Arabidopsis thaliana (AT2G34090) TAIR;Acc:AT2G34090] MADAHLAPSLARNPFSRSPLRHPIPPSSSSSSSSLAAALPSASFRSLVSMAAPHGASSSPFTNCQNPSSFPPPSLLVFSGGTAFNGVVEELKKVTTRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDESTFEALAVRRLLGHRLPLDPSAAKSEWYEIVEGEHSLWKGVSRPYRETIHAFLAYFQNQILRRPSDSFCFSNGSIGNFFFAGARLFFQSLDAAIFLFSRVSEIPKESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPTNGCMEPINKDCNSAAKLPSGIKRVFYISSEGSNLLHEVFPAANPTVLEQFE >DRNTG_35273.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002236.1:143:10751:-1 gene:DRNTG_35273 transcript:DRNTG_35273.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:maternal effect embryo arrest 18 [Source:Projected from Arabidopsis thaliana (AT2G34090) TAIR;Acc:AT2G34090] MADAHLAPSLARNPFSRSPLRHPIPPSSSSSSSSLAAALPSASFRSLVSMAAPHGASSSPFTNCQNPSSFPPPSLLVFSGGTAFNGVVEELKKVTTRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDESTFEALAVRRLLGHRLPLDPSAAKSEWYEIVEGEHSLWKGVSRPYRETIHAFLAYFQNQILRRPSDSFCFSNGSIGNFFFAGARLFFQSLDAAIFLFSRVSEIPKESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPTNGCMEPINKDCNSAAKLPSGIKRVFYISSEGSNLLHEVFPAANPTVLEQFE >DRNTG_13763.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8113930:8116144:1 gene:DRNTG_13763 transcript:DRNTG_13763.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G65290) UniProtKB/Swiss-Prot;Acc:O80800] MAAVRSAVLKHLRVRASLSPLQVPAISISRVFGRFFSEEVKGSFLDKSEVTDRVITVVKNFQKVEPSKVTPNAHFHNDLGLDSLDAVEIVMALEEEFGFEIPDNEADKINSISLAVDFIASHPQAK >DRNTG_24249.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19942521:19943269:1 gene:DRNTG_24249 transcript:DRNTG_24249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRVRGGGKTSQIYAIRQSIAKALVAYYQKYVDEQSKKEIRDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >DRNTG_34657.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002170.1:23711:24318:-1 gene:DRNTG_34657 transcript:DRNTG_34657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLIICMVLRLEVPSLTRMVNFQHTTADLLQYANPYPPMIIVLLHATVSKILFREKGRPRLMAHGVAFWDGAGVMHRAYLNKASKNEIIVSVGALGSPQLHVDA >DRNTG_04644.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19155769:19158490:-1 gene:DRNTG_04644 transcript:DRNTG_04644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTRRCHEASYKNLEHQLGGILDTLSREQQVFEQKQVKFLIEKMCMFVSKKWCKESWGRYISFKLKWKKTPILRVGSECVNMFRDFFAEPAEKVQGHAAAPVNDHATITRSWVISARPCEFLHSWADYPESTQVRGLAPVSDLVNHTRAWVIFTCPCKSLQRISPSGEDTGACVCPYGLVIMGIETLSWARPRCFGTCLWKELSHRMSESYHYGRKGYHLESVKATLAAALERATLEDV >DRNTG_34199.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:909895:910571:-1 gene:DRNTG_34199 transcript:DRNTG_34199.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKGLFDVVSCNTLIEAVCRVGKMRIAHNLFKNMVNKGITPDAVTYCTLIKGSFRARESHHAEELFEQMLKAGVVPNVHAYTTMVHHLYKEGRVEQARSYFTGMIQRGISPDNASYRALINGLFSASRHHEAMNLYHDMYARGVPPDNETYKLLVRGLLKGGEIELADKLWEHMMSKGFTLDEVVRERPINAMKL >DRNTG_34199.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:909277:910571:-1 gene:DRNTG_34199 transcript:DRNTG_34199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKGLFDVVSCNTLIEAVCRVGKMRIAHNLFKNMVNKGITPDAVTYCTLIKGSFRARESHHAEELFEQMLKAGVVPNVHAYTTMVHHLYKEGRVEQARSYFTGMIQRGISPDNASYRALINGLFSASRHHEAMNLYHDMYARGVPPDNETYKLLVRGLLKGGEIELADKLWEHMMSKGFTLDEVVRERPINAMKL >DRNTG_01081.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6417681:6418880:-1 gene:DRNTG_01081 transcript:DRNTG_01081.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEVFGSLKFSYNWLSNDKIRAYFLYCALYPEDHQILVDVLIEYWMAEGLINEEGSIQTEKDKGHAYLKELKDACMIESIRDDDKYVRMHDLIRDLAINITREPPLFMVKVGLRLEESPKEEEWVESLQRVSLMRNDIKAFSGQPNCPQLSTLLLHHNGTKYSEITFSDTFFKHMHNLKVLDLSNTGIKSLPNLISVLVNLQALILTKC >DRNTG_01081.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6417681:6420359:-1 gene:DRNTG_01081 transcript:DRNTG_01081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEIQPIAKQVAKECGRLPLALISVGRALRKAKQLPVWRNALQQLKTSRVDQIHGMGQEVFGSLKFSYNWLSNDKIRAYFLYCALYPEDHQILVDVLIEYWMAEGLINEEGSIQTEKDKGHAYLKELKDACMIESIRDDDKYVRMHDLIRDLAINITREPPLFMVKVGLRLEESPKEEEWVESLQRVSLMRNDIKAFSGQPNCPQLSTLLLHHNGTKYSEITFSDTFFKHMHNLKVLDLSNTGIKSLPNLISVLVNLQALILTKC >DRNTG_03354.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18099747:18103408:1 gene:DRNTG_03354 transcript:DRNTG_03354.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L27, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G40950) UniProtKB/Swiss-Prot;Acc:Q9FLN4] MATLTTFNLAGAFKGLSLNSSSSFSSSSSYLTGGLAFAPLNFRCARPLPTGFTPLTIESAHKKGAGSTKNGRDSKGQRLGVKIYGDQIAKPGAIIVRQRGTKFHPGKNVGLGRDHTIFSLIDGLVKFEKFGPDRKKISVYPRIEQPENPNSYKARKREYFRLQRERKKARKEGVVVAPQLVMASAEVVSEDTPVC >DRNTG_09168.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7216553:7218872:-1 gene:DRNTG_09168 transcript:DRNTG_09168.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCTEDMSKKTMIPRVKLGTQGLEVSKLGFGCMGLSTAYNSPVPEEQGIGIIKHAFNQGITFFDTSDVYGPHTNEILVGKALKELPREEFQLATKFGIEKSGRYGGMVVNGRPEYVRACCEASLNRLQVDYIDLYYQHRIDQTVPIEETMGELKKLVAEGKVKYIGLSEASPDTIRRAHAVHPISAVQMEWSLWARDIEQEIVPLCRELGIGIVPYSPLGRGFFGGKAFVENRSENTILASHPRFSGENLEKNKTLYVRVENLSKNHQCSTAQLALAWVLHQGDDVVPIPGTTKIKNLDNNIGALQVNLTEEDLKEISDLVSEEEVAGSRSFSESSEKFSWKYANTPLPKSA >DRNTG_09168.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7153595:7218872:-1 gene:DRNTG_09168 transcript:DRNTG_09168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCTEDMSKKTMIPRVKLGTQGLEVSKLGFGCMGLSTAYNSPVPEEQGIGIIKHAFNQGITFFDTSDVYGPHTNEILVGKALKELPREEFQLATKFGIEKSGRYGGMVVNGRPEYVRACCEASLNRLQVDYIDLYYQHRIDQTVPIEETMGELKKLVAEGKVKYIGLSEASPDTIRRAHAVHPISAVQMEWSLWARDIEQEIVPLCRELGIGIVPYSPLGRGFFGGKGVVERLSENTFLASHPRFVGENLERNKSTVCES >DRNTG_26105.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20816744:20819068:1 gene:DRNTG_26105 transcript:DRNTG_26105.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSRGAMLGSGGVSDGYEGTKRARMMESNPYFAVSSGAGSDGFNNGSKRPRTMESSPYFSTRGGISSFQQPYGYGGGSLGAFPVVRLRGLPFNCDDLDIYKFFSGLDVVDCLLVNKNGRFSGEAFVVFPSMMQTEFALQKDRQNMGRRYIEVFRGSKMEYYHAIAAEVNSGGATEHEYRRGSPVSRPKKSFEDKDQMEYTEVLKLRGLPYSATKSDIVDFFGEEFGLSEENVQIACRSDGKATGEAFVEFESAEMAKKAMCKDKMMIGSRYVELFLSTPEEAKRAEFRSRQ >DRNTG_26105.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20816744:20819068:1 gene:DRNTG_26105 transcript:DRNTG_26105.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPYFSTRGGISSFQQPYGYGGGSLGAFPVVRLRGLPFNCDDLDIYKFFSGLDVVDCLLVNKNGRFSGEAFVVFPSMMQTEFALQKDRQNMGRRYIEVFRGSKMEYYHAIAAEVNSGGATEHEYRRGSPVSRPKKSFEDKDQMEYTEVLKLRGLPYSATKSDIVDFFGEEFGLSEENVQIACRSDGKATGEAFVEFESAEMAKKAMCKDKMMIGSRYVELFLSTPEEAKRAEFRSRQ >DRNTG_26105.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20816744:20819068:1 gene:DRNTG_26105 transcript:DRNTG_26105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGGVSDGYEGTKRARMMESNPYFAVSSGAGSDGFNNGSKRPRTMESSPYFSTRGGISSFQQPYGYGGGSLGAFPVVRLRGLPFNCDDLDIYKFFSGLDVVDCLLVNKNGRFSGEAFVVFPSMMQTEFALQKDRQNMGRRYIEVFRGSKMEYYHAIAAEVNSGGATEHEYRRGSPVSRPKKSFEDKDQMEYTEVLKLRGLPYSATKSDIVDFFGEEFGLSEENVQIACRSDGKATGEAFVEFESAEMAKKAMCKDKMMIGSRYVELFLSTPEEAKRAEFRSRQ >DRNTG_18859.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2458491:2462019:1 gene:DRNTG_18859 transcript:DRNTG_18859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQNDVPDIHSDPHMDPGYESAEEEPDDKRRDTYLRSRESSSLRKAREAGYLGKGGSSSSTWNERKVLETSSEQAAGSRDRVESQRKDVSQLNVQSTPANLETGVWNQLGKKPGQSSDIPSETMPASLPTGMVIQPVVNETEKIWHYKDPSGKIQGPFSMAQLRKWSTTGYFPEKLRIWKTSEKQDDSILLSDAMNGKFLKDPPPHEPQLSVFSQSGRVTSVTENRGNSASLWTDNRQTGRNLRTPNDVSPLATGNLEMAKADKWASQPTIWSPSSREALVNTSALSRQVQGHDSPKSLASLSGNSSRSSSYQERGVPGGNAGEQMGHRNSWSSNRPTGEQKAQATFDASKPWGNDPSSLPTPTPQATSMVWTGSQAALNSSAVSVQHLMNTGWGTTPDAAAGWGLSSLSSAPKETEVGRSLVSLPITSLSDFQASHPQVSPLDVAGVLRSQLNSEPLPADNMSPVKNPIVFSGPSSREICGRNQFFESDCPSPTPKSEQTADDILSSEYSQQTSLNGRQMIPQDGGRSDSVYGLFSEGGNLPSRSDSLTESCANVVHNVSADARIKAETNALDSASSHRSEVSTVPQVHPDMKSGEWSMPSPTPTTAPSGWGSGINSTTRSNNQISQYATSDGSKMLTTSQLTANASNETAQPSVKDVQNTGWSVPTPNLNANMGQAQGTGNVGAMVQGSLNPGWGMVPQNNVNMAWGIPAQGNSNMNVGWSTPTQVNTNANLAWGSLPQGTMIANTGLGTLTQGVTTMMPTQGYPNPQSGWGTPTPANTNQNPSWGTTGQGNTNANANANANANASLGTNQTWDPSSGSSSWSMQQKQGGDGHSGQGDRGFQNNDSGHGGGGGGGRQSWSRSHSGGGGSRAPARGQRAGVCRFHENGHCKKGANCNYFHS >DRNTG_12340.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20762082:20772088:1 gene:DRNTG_12340 transcript:DRNTG_12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGDDLDEDALLEIALKEQAQRDTNYRRPSQASKPVVNLVQPPPIPPASRNQRNPNPRAAPTPAMAHKKNPSRGGDDDDDSEVELLSISSGDEDSTKDRVVPQRGAAAGRDRRGERDDGDRAWNGDEPDCWKRVDETDLSRRVREMRDTRAAPSQTLDQKTAAMGRKGLNNLQSFRGVEVLDPLGLGIIDNKSMRLITESSESSPLARDKSDTLDPNLREKVMYYSPNFDPMAFLSRVHHKTSAADLESGALVLKNDFKGRTQQKKQLVKENFDCFVSCKTTIDDIESKLRQIEKDPEGAGTVQLHAATQNITVLANQAFQPLFERQVQADKIRSVQGMLQRFRTLFNLPSSIRGSISRGEYDLAVREYRKAKSIVLPSHAGILKRVLEEVEKVMHEFRGMLYKSMEDPQIDLADLENIVRLLLELEPDSDPVWHYLNIQNRRIQGLLEKCALDHEERMEVLQHDLQERLESDARWRQLQQESNKPLGFHLPTEDSHLEESQPINLIGEEVDSLRGRYIHRLSAVIIHHIPAFWRLSLAVFSGKFAKATTGSMHLDNETFTKSSTNNGEEKASDIKYSSHSLEEVAPMVHGTISAYEAKVLNTFRDFEESNILLPYMSNSVNEIAKACQALERKETAPSLAVKIMYGLHFEITKIYILRLCTWMRATTEVISKDEMWIPLSTLERNKSPYSISYLPLAFQEMTASAMDRIDVMIENLKSAATKTKDLGVLVEDIQESVRLAFLNSFMDFAGYLEQIGGELTLHRSYGENTQSQMESSTLHSNGAIADSLKKLLVVLSNIGYCKDELSQTMYSKYKHIWGQSKEMDGPNADIKDLITSFSALEEKILGHYTYAKSNLIRSAAINYLLDSGVQWGGAPAVKGIRDATIDLLHTLVAVHAEIFSGVKPLLEKTLGILVEGLIDTLLNLFHDNNGQGLKLLDPNGFCQLMLELEFFETVLHTYFSPAAHEAFKSLQGLLLDKACESATESAENPGHHRRPTRGSEDAMVEEKQQGSVSPDDLLALAQQYSSELLEAELERTRLNIACFMDSSLQPASVPVNPKPTYSSFQGPVSSPSFRRQQTVSSPGFSRHRRR >DRNTG_22317.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:463263:467586:1 gene:DRNTG_22317 transcript:DRNTG_22317.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSSSSSFLPRPMDGLHELGPPPFLTKTFDVVDDPSTDRVVSWSRTNNSFVVWDPHTFALTLLPRYFKHNNFSSFVRQLNTYVSTSLQLSAH >DRNTG_22317.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:463263:467586:1 gene:DRNTG_22317 transcript:DRNTG_22317.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSSSSSFLPRPMDGLHELGPPPFLTKTFDVVDDPSTDRVVSWSRTNNSFVVWDPHTFALTLLPRYFKHNNFSSFVRQLNTYGFRKVDPDRWEFASEGFLKGQKHLLKTIKRRKPPSHALPPQQQALGPFLEVGRFGLDGEINRLRRDKNMLMSEIVKLRQEQQNTRAHLQALEVRLQGTEQKQQQMMTFLARAMQNPDFLQQLIQQKERRKELEEAMTKKRRRPIDRVPDPGGAETSGSRDLETELRDLYGYQVTELENLALEIQAYDRNIDGEEAYDEKQGGAGELTDDFWEELLNEGISEKSGAELQGQDDEDVNFLAEKLGYLSSTSPK >DRNTG_22317.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:463263:467586:1 gene:DRNTG_22317 transcript:DRNTG_22317.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSSSSSFLPRPMDGLHELGPPPFLTKTFDVVDDPSTDRVVSWSRTNNSFVVWDPHTFALTLLPRYFKHNNFSSFVRQLNTYLC >DRNTG_22317.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:463263:467586:1 gene:DRNTG_22317 transcript:DRNTG_22317.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSSSSSFLPRPMDGLHELGPPPFLTKTFDVVDDPSTDRVVSWSRTNNSFVVWDPHTFALTLLPRYFKHNNFSSFVRQLNTYVSTSLQLSAH >DRNTG_19573.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2015427:2016188:-1 gene:DRNTG_19573 transcript:DRNTG_19573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHSDHCFSFFASFLRRGLPSVALSVRYASSSSVGLPMDPARVLRTLLSSRQFTKASQVFLSFTSPSISDPSLLDSMLLCYCKLRDLPRAQSLFNSIIQLGTLPSLASYGTLLRLLCVKEQSSHALSLFFRMAKAGVLPPASSYHVLITRLCSEGYLNEACFLFDVMLGDGIRPSLPLLKFLTYGFCKWHRMLEAERVFRLMKSHGFVLDRKLCTAMIHGYFREGRIS >DRNTG_32156.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001793.1:43232:46018:-1 gene:DRNTG_32156 transcript:DRNTG_32156.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLARARAPQSSSKASASPPSAPPIPSPPPKPPVLSSPISSALPVLERKRRSIRRQLLRASRTSSRRAGIALRPLVVGAGMIAIRVDLAGVRPVGREEGGRGTIGREGEGLEVIREMKVEAVGAGGADGAVVLMGVGIGIGRGVGEARSVRARRARMSALITLSSSRVMLRWRRLRELKRR >DRNTG_32156.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001793.1:42460:46018:-1 gene:DRNTG_32156 transcript:DRNTG_32156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKAAAYYDELSRKGEGAAKFKQGLGFSSQRSSDPFSSSKAASSLFSNFVRASSPGKEAEVDKKAALESIQNKLKKSRDRSPSPRSRGRDDRHSRGSRRRSSSRERGGRERHDRERRRRSRSDSGDESRSGRRRRSRRSRSPHGGGNRDRERSRRSPKRESSKSKNVGVDYSQLIEGYAQMAPAERVKAKMKLQLSQTAANDMSKGTGWERFDFNKDAPLDDDDEIEAAEGDASVVKGIGQSFRFAAVEAKLEADVKAAHDQAMFGTPRTSPLTLEPASIQDNEPKEAESEELRNPLLSEKVIAMQQGSWRDRARKKAS >DRNTG_14904.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20536054:20537002:1 gene:DRNTG_14904 transcript:DRNTG_14904.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDWDFRSFFMELLRLFCVLVLCFALCVNGVDVNVTKRPRPKIVNIGAFFTFNSTIGRVASVAIHTALDDVNADPSVLKGSKLVVDTQDTQCNGFMG >DRNTG_30077.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22794885:22795405:-1 gene:DRNTG_30077 transcript:DRNTG_30077.1 gene_biotype:protein_coding transcript_biotype:protein_coding FNPASNQRFRNSIKCRRKKTRFSSPPPNSHHKQASKLPAMEIRIDDAT >DRNTG_07881.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18847342:18852487:1 gene:DRNTG_07881 transcript:DRNTG_07881.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHPLPPNLGPGVYLGAVERATSFITDDLWYGIFAGTNPETFVRADGAFIPFADDLDLSKITTLVRGVGEIGDVKMIDLQSPIGSLMGKQVVKVGRSSGLTTGTVMAYALEYNDEKGICFFTDYLVVGENQQTFDLEGDSGSLIILTGQDDEKPRPIGIIWGGTANRGRLKLKSGQDPENWTSGVDLGRLLDLLELDLITTNAGLEEALQEQRMALAGVDSMVGDSSPTVANLPKEKTEEVYEPLGLNLLPLPIDDNPVQEVNPPSIDFDFKVDTLDVATAVEEHQFIVNLNYSPAHPNQDDISESRSLSAFRGSPDEDLCVSLHLGDREAKRQRSEPVLGVNSPPK >DRNTG_07881.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18847342:18852487:1 gene:DRNTG_07881 transcript:DRNTG_07881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSGIRGNRAGSIQSEESALDMERTICSHANHPSSSASLQPITSSGQHSENNAAYFSWPTSTLLHGAAEGRANYFGNLQKGVLPGRLDELPSGQQATTLLDLMTIRAFHSKILRRYSLGTAIGFRIRKGKLTDIPAILVFVARKVHRQWLNQIQCLPTALEGPGGVWCDVDVVEFSYYGAPAATPKEQFYTELVDGLRGSDPCIGSGSQVASLETYGTLGAIVKSRTGSKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDLWYGIFAGTNPETFVRADGAFIPFADDLDLSKITTLVRGVGEIGDVKMIDLQSPIGSLMGKQVVKVGRSSGLTTGTVMAYALEYNDEKGICFFTDYLVVGENQQTFDLEGDSGSLIILTGQDDEKPRPIGIIWGGTANRGRLKLKSGQDPENWTSGVDLGRLLDLLELDLITTNAGLEEALQEQRMALAGVDSMVGDSSPTVANLPKEKTEEVYEPLGLNLLPLPIDDNPVQEVNPPSIDFDFKVDTLDVATAVEEHQFIVNLNYSPAHPNQDDISESRSLSAFRGSPDEDLCVSLHLGDREAKRQRSEPVLGVNSPPK >DRNTG_32450.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18961378:18968636:1 gene:DRNTG_32450 transcript:DRNTG_32450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGSGGQTEQHFFEYKKVVVFSRRLFFLLPITSLFLPPFLHPCTFMRALVAGRSKQQVSGIDLCYDQTSYTLDKSQAFTRIGWSIVEGMSEIHFSVHYSFMEELSLNQKFIMNFLPWTKYFQFLAVTFASYGHPSLPNCKERPKLFGGFQVWTAFYHLFFSHVSSGESYAGRVTSNLSARPHSICFG >DRNTG_31615.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:721755:722464:-1 gene:DRNTG_31615 transcript:DRNTG_31615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIHCKTFERRSSKKSNKKKKFQQTKNLSA >DRNTG_30995.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001653.1:22736:25206:-1 gene:DRNTG_30995 transcript:DRNTG_30995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQYSTSTISIEGQNWIVGSKNKFKFPGGGTQFIHGADQYLDQISKMVPDIAFDQNTRVVLDVGCGVASFGAFLLLRNVLTMSIAPKDVHKNQIQFALECGVPAMLAVFAARRLLYPSQAFDLIYCSRCRINRTGNGNNTLH >DRNTG_28525.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6417509:6418056:1 gene:DRNTG_28525 transcript:DRNTG_28525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLMKRALQKMEDEDEKDEDEDDIENEENDACNT >DRNTG_10746.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26128812:26131826:1 gene:DRNTG_10746 transcript:DRNTG_10746.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP15-1 [Source:Projected from Arabidopsis thaliana (AT3G25220) UniProtKB/Swiss-Prot;Acc:Q38935] MAKLLLSIAFAAALILLVSAKKSGDVKDLQIGVKFKPESCDIQAHKGDRIKVHYRGTLTDGKVFDSSFERGDPIEFELGAGQVIKGWDQGILGMCVGEKRKLKIPSKLGYGDQGSPPTIPGGATLIFETELVAVNGKTKAEDKASESDTEL >DRNTG_29456.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14287955:14295980:1 gene:DRNTG_29456 transcript:DRNTG_29456.3 gene_biotype:protein_coding transcript_biotype:protein_coding SPSQCTRQLQVAPIGSPTSMRVTTSVGDKMSGFQCMKGKDVKKPSMAAWEG >DRNTG_29456.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14287955:14295980:1 gene:DRNTG_29456 transcript:DRNTG_29456.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPSQCTRQLQVAPIGSPTSMRVTTSVGDKMSGFQCMKGKDVKKPSMAAWEG >DRNTG_29456.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14287955:14295980:1 gene:DRNTG_29456 transcript:DRNTG_29456.2 gene_biotype:protein_coding transcript_biotype:protein_coding SPSQCTRQLQVAPIGSPTSMRVTTSVGDKMSGFQCMKGKDVKKPSMAAWEG >DRNTG_29456.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14287955:14295042:1 gene:DRNTG_29456 transcript:DRNTG_29456.4 gene_biotype:protein_coding transcript_biotype:protein_coding SPSQCTRQLQVAPIGSPTSMRVTTSVGDKMSGFQCMKGKDVKKPSMAAWEG >DRNTG_04356.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31024697:31025477:1 gene:DRNTG_04356 transcript:DRNTG_04356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPELYVNARLSPLSLKYYGLCLQNGIYTVNLHFAEIMFTDDQTYSAVGRRLFDVSIQGEKVLRDFNIAKEANGTGRNITKSFNASVSENTLEIHFQWAGKGTSTIPHASVYGPLISAISVTPNFDPDLGEHNLSTGAILGIVVAGCVVIALISIFIWYCRRRKNAENK >DRNTG_04356.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31024697:31025006:1 gene:DRNTG_04356 transcript:DRNTG_04356.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPELYVNARLSPLSLKYYGLCLQNGIYTVNLHFAEIMFTDDQTYSAVGRRLFDVSIQVVTLNFYGFSAH >DRNTG_14689.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000740.1:23642:24270:1 gene:DRNTG_14689 transcript:DRNTG_14689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWENGSCWVQNCCKLQKRKWKLIRERLKTAQSRQKSYADKRRSDIEFQMGDSVFLRISPMKGVVRFGKRGKLNPRYIGPFEIVERVGAVAYRLALPSDLAQVHNVFHVSMLRKYLSDPSHVIQHELVELQKNLSYVEQLAEFLAYKEQQRGNRVIPLIKVRWSNHSKDEATWEREADLRDRYPELFPDS >DRNTG_34747.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20944995:20949050:1 gene:DRNTG_34747 transcript:DRNTG_34747.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTFIGQFKELRYLNLSDCYFAGAIPASFGNLSSLHTLDLSRNYYIHVDDSALQWLSHLTSLQHLDMSRVNLSSMSRNLFLALNKLPSINELHLSFCELEKLPLSILHLNFSSLSILDLSYNYINFSEISWVFKVKSLQSLDLSHNDLYFPTNPIISLKALSAYHPMAQPNDISIPESIGSLCSLQILDLSGLNIRKRLVELEGSLPSSLTSLSFLEELLLDYNQLTGTLPKEIGNLTELSYLGLSGNQLSGPLPDLHMHSPMFSYMVFSNNSFSGNIPEELASLYGLQSLNFSGNTLEGEIPQKLGRMQQLESLDLSRNKLSGSIPETLSNLTFLNHFNVSYNNLTGRIPFAHQFSTFNDSFIYIGNHLCGAPLGNNCTKDGGIIKKESLDRKDEDDDGMLWVYIGSLSGFAVGFWTVWGVLAFKKNWRQACLFPLHGQYV >DRNTG_00894.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21712618:21714665:1 gene:DRNTG_00894 transcript:DRNTG_00894.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAEDRLSELPDTIRLQILSLLPRKQAIRTSILSSKWRHLWTFRWPYSTTLDFSHPLSSLKTTDDFVHNVNQVLQLRGHKKVELFLLSFHPQTQHHMSTVVRWLEYVVSNGVEELFLDLHPSSSSSSSSSSSSSSSSSHEQQRSVPFVLPDCLFNGEKLSVLSLNHCNFGVNSEFRKLRFLETLCLTSVGITDKMLMDFIESCPFLQKLDLRQCNELRSVRVYKDGLKLKSMVMVDCLRAYQVEISAPELRSFCFNGEFLRRYLFRNLAALEDVVLSSAGRDIGGCITDWMKIVPCLTNVKLLTLCSRAIQVLQCTIFGFTGIV >DRNTG_00894.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21712618:21714665:1 gene:DRNTG_00894 transcript:DRNTG_00894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAEDRLSELPDTIRLQILSLLPRKQAIRTSILSSKWRHLWTFRWPYSTTLDFSHPLSSLKTTDDFVHNVNQVLQLRGHKKVELFLLSFHPQTQHHMSTVVRWLEYVVSNGVEELFLDLHPSSSSSSSSSSSSSSSSSHEQQRSVPFVLPDCLFNGEKLSVLSLNHCNFGVNSEFRKLRFLETLCLTSVGITDKMLMDFIESCPFLQKLDLRQCNELRSVRVYKDGLKLKSMVMVDCLRAYQVEISAPELRSFCFNGEFLRRYLFRNLAALEDVVLSSAGRDIGGCITDWMKIVPCLTNVKLLTLCSRAIQFIVVSKISIPTVFNNLKELRMEMELMTETNLSDIYDFFRKCHCPNLDQVSIELPNNCSRDPSLKMFLKVSAEKPMDCELGKLKTVKMKSFKGHKNEMELVRFFLDKAPELENMFLFASDSDSRSAFHSMNSLNFRGKASDKAKIIMIDKNFGIEFQFPLEDVTC >DRNTG_00894.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21712618:21714665:1 gene:DRNTG_00894 transcript:DRNTG_00894.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAEDRLSELPDTIRLQILSLLPRKQAIRTSILSSKWRHLWTFRWPYSTTLDFSHPLSSLKTTDDFVHNVNQVLQLRGHKKVELFLLSFHPQTQHHMSTVVRWLEYVVSNGVEELFLDLHPSSSSSSSSSSSSSSSSSHEQQRSVPFVLPDCLFNGEKLSVLSLNHCNFGVNSEFRKLRFLETLCLTSVGITDKMLMDFIESCPFLQKLDLRQCNELRSVRVYKDGLKLKSMVMVDCLRAYQVEISAPELRSFCFNGEFLRRYLFRNLAALEDVVLSSAGRDIGGCITDWMKIVPCLTNVKLLTLCSRAIQELRMEMELMTETNLSDIYDFFRKCHCPNLDQVSIELPNNCSRDPSLKMFLKVSAEKPMDCELGKLKTVKMKSFKGHKNEMELVRFFLDKAPELENMFLFASDSDSRSAFHSMNSLNFRGKASDKAKIIMIDKNFGIEFQFPLEDVTC >DRNTG_19530.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:110795:117209:1 gene:DRNTG_19530 transcript:DRNTG_19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEDALPQTKMVASWDTRKQISCINFDSTGITRLCERLGKMMMDSQGVLSKEQQIDTLHQCKTSNLLWVGPHRLSPIEAEQVEQILGYPVRHTEIWGMEPSDRLKVLKYSFQTDTLGYHLSVLKAMYPGGLRVLSIFSGIGGAEVTLHRLGIRLNCVVSVESSETNRKIFKRWWQKTGQPGQLVQMGGVGMLTSHKLEQLMKEYGDFDIVIGANPGSYTSRTTSAGDFSMGMDISLFFEYVR >DRNTG_19530.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:110795:112933:1 gene:DRNTG_19530 transcript:DRNTG_19530.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEDCSDSNACSNAEVINQNLIKDEPLDLKPALETERIHSSSSGGHLKSYFVGMGFSPSLVDKVIKEHGEGDANLLLETLFTYSALEKSSSPKASDSKDGLSGSDKEGGDSPLNFTSDDEEMEVYL >DRNTG_19530.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:116523:117322:1 gene:DRNTG_19530 transcript:DRNTG_19530.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDSQGVLSKEQQIDTLHQCKTSNLLWVGPHRLSPIEAEQVEQILGYPVRHTEIWGMEPSDRLKVLKYSFQTDTLGYHLSVLKAMYPGGLRVLSIFSGIGGAEVTLHRLGIRLNCVVSVESSETNRKIFKRWWQKTGQPGQLVQMGGVGMLTSHKLEQLMKEYGDFDIVIGANPGSYTSRTTSAGDFSMGMDISLFFEYVRVLQRVRSMAGRSR >DRNTG_19530.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:113744:117209:1 gene:DRNTG_19530 transcript:DRNTG_19530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEDALPQTKMVASWDTRKQISCINFDSTGITRLCERLGKMMMDSQGVLSKEQQIDTLHQCKTSNLLWVGPHRLSPIEAEQVEQILGYPVRHTEIWGMEPSDRLKVLKYSFQTDTLGYHLSVLKAMYPGGLRVLSIFSGIGGAEVTLHRLGIRLNCVVSVESSETNRKIFKRWWQKTGQPGQLVQMGGVGMLTSHKLEQLMKEYGDFDIVIGANPGSYTSRTTSAGDFSMGMDISLFFEYVR >DRNTG_19530.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:110795:117209:1 gene:DRNTG_19530 transcript:DRNTG_19530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEDALPQTKMVASWDTRKQISCINFDSTGITRLCERLGKMMMDSQGVLSKEQQIDTLHQCKTSNLLWVGPHRLSPIEAEQVEQILGYPVRHTEIWGMEPSDRLKVLKYSFQTDTLGYHLSVLKAMYPGGLRVLSIFSGIGGAEVTLHRLGIRLNCVVSVESSETNRKIFKRWWQKTGQPGQLVQMGGVGMLTSHKLEQLMKEYGDFDIVIGANPGSYTSRTTSAGDFSMGMDISLFFEYVR >DRNTG_19530.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:116523:117484:1 gene:DRNTG_19530 transcript:DRNTG_19530.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDSQGVLSKEQQIDTLHQCKTSNLLWVGPHRLSPIEAEQVEQILGYPVRHTEIWGMEPSDRLKVLKYSFQTDTLGYHLSVLKAMYPGGLRVLSIFSGIGGAEVTLHRLGIRLNCVVSVESSETNRKIFKRWWQKTGQPGQLVQMGGVGMLTSHKLEQLMKEYGDFDIVIGANPGSYTSRTTSAGDFSMGMDISLFFEYVRVLQRVRSMAGRSR >DRNTG_19530.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:114549:117209:1 gene:DRNTG_19530 transcript:DRNTG_19530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEDALPQTKMVASWDTRKQISCINFDSTGITRLCERLGKMMMDSQGVLSKEQQIDTLHQCKTSNLLWVGPHRLSPIEAEQVEQILGYPVRHTEIWGMEPSDRLKVLKYSFQTDTLGYHLSVLKAMYPGGLRVLSIFSGIGGAEVTLHRLGIRLNCVVSVESSETNRKIFKRWWQKTGQPGQLVQMGGVGMLTSHKLEQLMKEYGDFDIVIGANPGSYTSRTTSAGDFSMGMDISLFFEYVR >DRNTG_20054.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26236126:26237307:1 gene:DRNTG_20054 transcript:DRNTG_20054.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACLHNTRNVPMIISLLEASNPTKRSPIFIYALHLVELTGRSSAMLIVHNNSAAKNGRHLGPSHGSQAQSEHIIAAFENYEQHAGGVSVQTLTAVSPYSTMHEDICNLAEDKHVTLIVLPFHKQQTVDGGMEETNPAIRTVNQSVLANATCSVAILVDRGLSGTGRSTTGQHMSHHVALLFFGGPDDREALAYAWRMAEHPANSLTVVRFIPGEEAAMRRLSSTDSRVITIVTDTERERQMDDEYLNEFRLKNVNDETVIYMERVVNNSEETVAAIRSMDSIHDLYIVGRGAGEMASGMLAGMIEWMECPELGPVGDLLASSDFAATVSVLVVQQYVGGGTVGGEGGGVGEMGEQPVQQYLNNAHNRGRMVAGTHKGVAGSFSTPGNWDNGR >DRNTG_23072.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2388258:2391041:1 gene:DRNTG_23072 transcript:DRNTG_23072.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 58, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19210) UniProtKB/Swiss-Prot;Acc:Q3E9C3] MRLISCSTHRSKCSLFANSLTSHSSIENRQTVFASASIPQHNRFLHDCVQQKWTKSNVMHIHVNPVEPMPSHVHHKFMICGRRQRLDALLFLLQKDAPKSGIIFVGEQSEKSKKAGKPPSTTLVIDFLKATYSGHLEVLLLEADMNFNARASSLSDVRQGGCLLVATDIASRGFDLPQTTHIYNFDLPKTAVDYLHRAGRTGRLPFSKEVCSVTNLITNDERFVLRKFENELMFQCEEVCLDSLAL >DRNTG_23072.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2386311:2390639:1 gene:DRNTG_23072 transcript:DRNTG_23072.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 58, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19210) UniProtKB/Swiss-Prot;Acc:Q3E9C3] MGLEASQKSCTIMALLDGGLLKRHKSWLKAEPPQVVVATIGSLCQMLDRHAFNLEALRVLVIDEVDFMFNSSKQVQSLRKLFDIPFIH >DRNTG_23072.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2386311:2386864:1 gene:DRNTG_23072 transcript:DRNTG_23072.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 58, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19210) UniProtKB/Swiss-Prot;Acc:Q3E9C3] MASFYGSTHPASTVKLSRENPNLLLIPRFSKPHDLISIWSTSSYFPISLCRAPSPFFRRGVLIRALSLRDICHGSVPEHILKRAEEVGYTMPTDVQRESLPVLLSGQDCVIHAQVITFCGIYVFHFDLSVEISFGY >DRNTG_23072.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2386311:2391041:1 gene:DRNTG_23072 transcript:DRNTG_23072.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 58, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19210) UniProtKB/Swiss-Prot;Acc:Q3E9C3] MASFYGSTHPASTVKLSRENPNLLLIPRFSKPHDLISIWSTSSYFPISLCRAPSPFFRRGVLIRALSLRDICHGSVPEHILKRAEEVGYTMPTDVQRESLPVLLSGQDCVIHAQTGSGKTLAYLFLIFSAINFQRSAVQAIIVVPTRELGMQVTKVARTLAAKSMGLEASQKSCTIMALLDGGLLKRHKSWLKAEPPQVVVATIGSLCQMLDRHAFNLEALRVLVIDEVDFMFNSSKQVQSLRKLFDIPFIH >DRNTG_23072.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2386311:2386582:1 gene:DRNTG_23072 transcript:DRNTG_23072.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 58, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19210) UniProtKB/Swiss-Prot;Acc:Q3E9C3] SSLVKIPISFSSPASPSPMISSPSGALPHTFQSRFAELHPLSSAVEFSSELYLSVIYAMVPFRSTF >DRNTG_23072.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2386311:2391041:1 gene:DRNTG_23072 transcript:DRNTG_23072.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 58, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19210) UniProtKB/Swiss-Prot;Acc:Q3E9C3] MRLISCSTHRSKCSLFANSLTSHSSIENRQTVFASASIPQHNRFLHDCVQQKWTKSNVMHIHVNPVEPMPSHVHHKFMICGRRQRLDALLFLLQKDAPKSGIIFVGEQSEKSKKAGKPPSTTLVIDFLKATYSGHLEVLLLEADMNFNARASSLSDVRQGGCLLVATDIASRGFDLPQTTHIYNFDLPKTAVDYLHRAGRTGRLPFSKEVCSVTNLITNDERFVLRKFENELMFQCEEVCLDSLAL >DRNTG_05350.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:2396:3528:1 gene:DRNTG_05350 transcript:DRNTG_05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVASNGLFHLKVLMLKPSNLHNPKPNPNQVINSSSLSSKKRCLRCGSLYHDMDNSPTACSFHGHTTGERGLFALAPPHQGIDGEWSEKSGVIVYRWNEKGNRPNTGRANWKKRWTCCQEYDEHATPCRKGWHVCYDDGYTLY >DRNTG_07083.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2056487:2058339:-1 gene:DRNTG_07083 transcript:DRNTG_07083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILLSSCFASNVLNTSNVIWRKVGMPHVKKLASKRPRNESHTPGEPRFSCSLHKTCDESLKTKPFTTFRHVDWSVVGELGWDEQVKDLFSCNGWTKLFSINEPTFRQITLEVLNTFDTKQDERSILIRQPGSIKFQAFGKIYEMNHIEFAKYMATRLVNPLHRYIHALIIRSIGGWKDSTGVVTQSDLFTMYGILEEYPIHLEHLVTEAFIHQAQFVRLEAIFAGPYITRLIRGMNLLDRTRGMTMVGEVAPLGAPVPQAIGLLQKKSGTCRLASHLITRESSQHDHGESESESDGALTQDPRGTSFPSNFDSRFKTMEDDIQAIRHE >DRNTG_04820.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2310876:2312270:-1 gene:DRNTG_04820 transcript:DRNTG_04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIDNRVEKTDDLEEITPKSNESWKLKQSTNLTCLVASSLVLEIYFQVPNSKESKL >DRNTG_25666.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21623107:21624566:1 gene:DRNTG_25666 transcript:DRNTG_25666.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVYFKNTKWQAAIKVDKKQIHLGTVGSQEEAAKLYDRAAYICGRRPNFELSEEEKQELSRYKWEEFLEITRNSISSKKHQRRQGGGRKKMNENNLQCNNLESM >DRNTG_25666.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21621220:21624566:1 gene:DRNTG_25666 transcript:DRNTG_25666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRRRRLLGLCSGKHSFSIGIPKFFENNTFVESRNQNIRPSSVHPLPAVIIDHQKLISEECVESTTVIKEEVDHYFQDKKIKHRKNYRRKQYKDQEPPIMRGVYFKNTKWQAAIKVDKKQIHLGTVGSQEEAAKLYDRAAYICGRRPNFELSEEEKQELSRYKWEEFLEITRNSISSKKHQRRQGGGRKKMNENNLQCNNLESM >DRNTG_07478.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:955918:959338:1 gene:DRNTG_07478 transcript:DRNTG_07478.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSATTTTNITISEKADEEACLHAMQLVSSSILPMTFKAAIELNLFNIISAASPNSLSATEITSLLPSSTPSTPIMLDRILRLLSSYSILTCSLSTDPISGAVTHRYAAAPVVKYLAQNEDGFTLSALGLMNQDKVLMESWYYLKDTVLNGGIPFNMAHGMTSFEYHGTDPRFNKVFNEGMKNHSAIIMKRILEKYRGFDDVKVLVDVGGGVGGTLAQVVAKHKHIKGINFDLPHVISEAAPIPGVEHIGGDMFESVPSGDAIFMKWILHDWSDEHGLKILKNCWKALPENGKVILVECILPVAPENTFAAQSVFHLDMIMLAHNPGGKERTAQEFESMAKQAGFSAMKPYFSFAGAWVIELFK >DRNTG_10960.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1032485:1034013:1 gene:DRNTG_10960 transcript:DRNTG_10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLYSLVYKGCANGTIGGGSTAQQAIAAVATALIAQSTTSKFYKTTTTSTAGQAFSGRFQCRGDLTPSDCSTCVSKLTPMWG >DRNTG_10960.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1033670:1034013:1 gene:DRNTG_10960 transcript:DRNTG_10960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSHQWLLIIIFVYGFRALTSIAMAVDLYSLVYKGCANGTIGGGSTAQQAIAAVATALIAQSTTSKFYKTTTTSTAGQAFSGRFQCRGDLTPSDCSTCVSKLTPMWG >DRNTG_10960.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1032485:1033029:1 gene:DRNTG_10960 transcript:DRNTG_10960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLITHPPLISSKLVHNSCNGESSARASPPPSSPNSI >DRNTG_26889.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21408959:21410194:-1 gene:DRNTG_26889 transcript:DRNTG_26889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGSVKDVQSKPELDDLIRGPAVVILHFWASWCEASKQMDQVFSHLATDFPHVVFLRVEAEEQPEISEAYSVSAVPYFVFCKVCLIPFLWLAYLFLLCCLRKYDSM >DRNTG_15979.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5130640:5131836:-1 gene:DRNTG_15979 transcript:DRNTG_15979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLPSSSSAAGIASPPSPSQSPPPTSSSLSPKQPSSKPTMTRSSWSESKRIDKRESNARVLSTPLN >DRNTG_05855.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31530321:31536238:1 gene:DRNTG_05855 transcript:DRNTG_05855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDLEKRAKAAFVDDDFELAVGLYGQAIELDPNNADLFADRAQAYIKLGSFTEAVADASRAIELDPSMSKAYLRKGTACIKLEEYHTAKAALEAGLDLAPTEPRFVRLIKECEKHIEEETKNSPAKVIPDAAATLLSSTTHMVTNGENNSGQAHEMSEQLNLQQNKLKYRHDYYNSTAEVVLTIFAKGIPAERVLVDFGEQILSVTIDVPGEEAYHFQPRLFGKILPEKSTYKVFASKIEIRLAKAEATAWPSLEFSKEKSSAQKRIVSPGVDTTKSSTRPSYPSSKNKVNWDKLEAEVKKQEKDEKLEGDAALNKLFRDIYRDGDEDMRRAMMKSFVESNGTVLSTNWKDVGTKKVEGSPPDGMELKKWEY >DRNTG_08626.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20476647:20477441:-1 gene:DRNTG_08626 transcript:DRNTG_08626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSRPAYRYLHAIMSRSVNGRGDSTCVLSRQELLYLYSMVQRMPIYLGHILAEYIHHQGHYVRLGAIFSGPYITRLVMCMGLLDEIRGAERTSTPAPLSLETMRLMGMIRRVRTGVYGLVLPTPEMAKGDDAEASQPAPEPQPMPMETDAPPATEDPPLLRMFSPSRAHDHFKRLENAVGVIRAEIPEARAEIAERDAIAPFVIPPRTSQTPPASPSLDPPASPDPAPAAEEPERGANT >DRNTG_15981.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5137498:5138378:1 gene:DRNTG_15981 transcript:DRNTG_15981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGVFSWSVVAMLLVAMVAGAAAATKHDVGGTTGWSIPPNANASFYSDWAASQTFVVGDSLVFKFQTGAHNVIEVGKSDYDACTSKNKIGSAITTGPATVPISSAGQHFYICGVAGHCNAGQKLAITVTGSSTTEQAPAQAPGGPAPAGSTPTTTTPSPESASGPSATKGSSSATSLASGFPMAVAFSLVFGFLI >DRNTG_05454.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22996470:23040648:-1 gene:DRNTG_05454 transcript:DRNTG_05454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPEANWERLVRAALQRERLGVGAYRQRAGGISGIVPSCLSNNLHIDEILRAADEIQDEDASISRILCEHAYSLAQNLDPNSEGRGVLQFKTGLMSVIKQKLAKRDGGSIDRSQDIARLQEFYKKYREKHKADELREDEMKLRESGVFSGNLRALEDKTLKRKKVFATLKVLGTVVEELTKEIAPEDADKLISEEMKRVMESDAAMTEDVIAYNIIPLDAPSITNAIVSFPEVRAAVSALKYHRELPKLPGDFSLPASRSADMLDFLQYVFGFQKDNVCNQREHIVHLLANEQSRLGNPVGTEPKIDEGAVHIVFYKSLENYIKWCNYLPLQPVWNNLDSATKEKKLLLLCLFFLIWGEAANVRFLPECLCYIFHHMARELLEILHEQIAKPANSCMSQNEASSVSFLDQIISPIYEALAAEAENNNNGRASHSAWRNYDDFNEHFWSLNCFQLGWPWHLNLPFFAKPKNEAKGSSSAGRRVHYGKTSFVEHRTFLHLYHSFHRLWLFLFMMFQGLTIIAFNKGHLNAKTLKELLSLGPTYVVMKFCVCVLDIMMMYGAFSTSRGSAVTRIICRFLWFSFASGAICYLYVKALQEGTNSTIFKIYVFVVGIYAGIQLFISFLLRIPFCRQLTEPCDRWSMIRLIKWMHEEHYYVGRGMYERTTDYIKYVLFWLVVLGCKFSFAYFLLIKPLVEPTQIIVNLTRLEYSWHDLVSKDNHNALTILCLWAPVFSIYLLDIYVFYTVLSSIYGFLLGARDRLGEIRSVEAIHQRFEKFPEAFMTNLHPVLRKRQMLRSNDQVLELNKFDAARFAPFWNEIIKCLRDEDYITNLETELLLMPSNAGNVPLVQWPLFLLASKIYLAKDIAAESKDSQDELWERISRDDYMKYAVEECYHTTKLLLISILEDEGRLWVERIYDDIGKSITKKEIQLNFQLNNLALVISRIPPITGVLKGAESAEQTQGAVKALQDLYDVIHHDFLSLDMRENFEEWSRIFKAKAEGRLFTKLKWPTNPELKALIKRLHSLLTIKESAASVPRNLEARRRLQFFTNSLFMKMPATKPVSEMLSFSVFTPYYSEIVLYSDSELQKKNEDGISTLFYLQKIFPDEWQNFLSRIGRKEDARDSELFGNPSDMLELRFWASYRGQTLARTVRGMMYYRKALMLQSYLERISLEDPEAAIADSALTDTQGYDLSPEARAQADLKFSYVVTCQIYGQQKEEQKPEAADIALLMQRNEALRVAFIHSVESMKDGINHTEYYSKLVKADIHGKDQEIYSIKLPGNPKLGEGKPENQNHAIIFTRGNAVQTIDMNQDNYFEEALKMRNLLEEFNSDHGLRKPTILGVREHVFTGSVSSLASFMGNQETIFVTLGQRVLANPLKVRMHYGHPDVFDRIFHITRGGVSKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGKDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMMSFYFTTVGFYFCTMLTALTVYIFLYGKTYLALSGLGKAIQDRANIMHNTALDAALNTQFLFQIGIFTAVPMILGFILEQGFLTAVVSFTTMQFQLCSVFFTFSLGTKTHYFGRTILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKGFEVVILLIVYLAYGYNNGALSYILLSISSWFMALSWLFAPYLFNPSGFEWQKTVEDFRDWTNWLFYRGGIGVKGEESWEAWWDEELVHIHTLRGRILETILSLRFFVFQYGIVYKLHASGHDTSLTVYGLSWIVLAVLFFLFQVFTFSQKASVNFQLLLRLIQSMSFLLVLAGLAVAIAVTSLSVPDVFACILAFIPTGWGILSIAVTWKPLMKKLHLWKSVRSLARLYDAIMGIFIFIPVSLLSWFPFVSTFQTRLLFNQAFSRGLEISLILAGNNPNTGL >DRNTG_05454.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22996470:23040648:-1 gene:DRNTG_05454 transcript:DRNTG_05454.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKPLVEPTQIIVNLTRLEYSWHDLVSKDNHNALTILCLWAPVFSIYLLDIYVFYTVLSSIYGFLLGARDRLGEIRSVEAIHQRFEKFPEAFMTNLHPVLRKRQMLRSNDQVLELNKFDAARFAPFWNEIIKCLRDEDYITNLETELLLMPSNAGNVPLVQWPLFLLASKIYLAKDIAAESKDSQDELWERISRDDYMKYAVEECYHTTKLLLISILEDEGRLWVERIYDDIGKSITKKEIQLNFQLNNLALVISRIPPITGVLKGAESAEQTQGAVKALQDLYDVIHHDFLSLDMRENFEEWSRIFKAKAEGRLFTKLKWPTNPELKALIKRLHSLLTIKESAASVPRNLEARRRLQFFTNSLFMKMPATKPVSEMLSFSVFTPYYSEIVLYSDSELQKKNEDGISTLFYLQKIFPDEWQNFLSRIGRKEDARDSELFGNPSDMLELRFWASYRGQTLARTVRGMMYYRKALMLQSYLERISLEDPEAAIADSALTDTQGYDLSPEARAQADLKFSYVVTCQIYGQQKEEQKPEAADIALLMQRNEALRVAFIHSVESMKDGINHTEYYSKLVKADIHGKDQEIYSIKLPGNPKLGEGKPENQNHAIIFTRGNAVQTIDMNQDNYFEEALKMRNLLEEFNSDHGLRKPTILGVREHVFTGSVSSLASFMGNQETIFVTLGQRVLANPLKVRMHYGHPDVFDRIFHITRGGVSKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGKDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMMSFYFTTVGFYFCTMLTALTVYIFLYGKTYLALSGLGKAIQDRANIMHNTALDAALNTQFLFQIGIFTAVPMILGFILEQGFLTAVVSFTTMQFQLCSVFFTFSLGTKTHYFGRTILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKGFEVVILLIVYLAYGYNNGALSYILLSISSWFMALSWLFAPYLFNPSGFEWQKTVEDFRDWTNWLFYRGGIGVKGEESWEAWWDEELVHIHTLRGRILETILSLRFFVFQYGIVYKLHASGHDTSLTVYGLSWIVLAVLFFLFQVFTFSQKASVNFQLLLRLIQSMSFLLVLAGLAVAIAVTSLSVPDVFACILAFIPTGWGILSIAVTWKPLMKKLHLWKSVRSLARLYDAIMGIFIFIPVSLLSWFPFVSTFQTRLLFNQAFSRGLEISLILAGNNPNTGL >DRNTG_11825.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:268117:271555:1 gene:DRNTG_11825 transcript:DRNTG_11825.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYNILKVNRNATDEDLKKSYRRMAMRWHPDKNPDNKKEAEAKFKQISEAYDVLSDAQKRAIYDQYGEEGLKGMPPPGSGSSTSYTNGPSGPANFHFNPRNAEDIFAEFFGGSGFGFEHTGRAKSMRFQTDGRFGSHDSSSAYRTYSEGVGSGGSSQPRKAPPVESKLACSLEELFTGSTRKMKISRNILGANGRLVSKSEILTIDVKPGWKKGTKITFPDKGNEQVNQLPADLIFVIDEKPHDVYKRDGNDLVVHQRISLADALAGTTMNLRTLDGRDLTVPLTEIVTPSYEIVIAKEGMPIAKEQGKKGNLRIKFEVQFPTRLTAEQRTAIRRILGG >DRNTG_04052.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26462226:26471945:-1 gene:DRNTG_04052 transcript:DRNTG_04052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGVQSYERVSDTVSDRVDGVSVSDTGTTSVRRQFCRVRASQIIPRVIGPEMPSRELLAAAAELTQAEATLREAEVDDLFIGPPPPTIVAEAESTNEAERFEEVSRIAGVEVDKPYDVLAVNWKMSFDNIKKRYWKLSLMVHPDKCSHPQAHQAFVILNQALKDLQDPDKRKAIDEKIKIKEDQEQFEAELKELREAARWRQSQGISLEGDEELLAVVKEAPKRDEWMTTLPPERKPGMPPRQSTSFSKTGKEGRGDTSVWTDS >DRNTG_02849.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20744608:20746501:1 gene:DRNTG_02849 transcript:DRNTG_02849.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 87, subfamily A, polypeptide 2 [Source:Projected from Arabidopsis thaliana (AT1G12740) UniProtKB/TrEMBL;Acc:Q9LN73] MNEGVDVVIYTCRYGPVFKTNLVGRPVIVSTDPELNHFVFQQEGRLFQSWYPDTFTEIFGKQNVGSMHGFMYKYLKSLVLKLFGTESLKETLIHEVEASAWRSLHSWSQFSSIELKEATATMIFDLTAKKLISYESSNSSENLRESFVAFILGLISFPIDIPGTAYHKCLQGRKKAMEMLKSMLIKRRNNNSDSKECGDFFEFVIEELKKERTVLTETIALDLMFVLLFASFETTSLALTLAVKFLHDHPRAL >DRNTG_01246.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15677535:15679940:-1 gene:DRNTG_01246 transcript:DRNTG_01246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYSNLLDLVSGEPPSFAGIGRRIPRVMTVSGIVPDLDDSDSDSSSSTARERTIIVANQLPIRAHRLAGTGAAAWSFSWDENSLLLQLKDAIAPHSDMEFIYVGCLRDEIPLSDQDEVAQTLLETFKCVPAFIPSDLRTRFYHGFCKQYLWPLFHYMLPLSPDLGGRFDRFLWQAYVSVNKLFADKLLEVINPDDDFVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYRTLPVREELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYESKRGYIGLEYYGRTVSIKILPVGIHMGQLKSVLSLPETEAKVKELVEQYCDRGRVMLLGVDDMDIFKGISLKLLAMEQLLVQHPEWRGKVVLVQIANPARGKGKDVEEVQAESFAMVERINEAFGQEDYTPVVLINKPLQFYERVAHYVVAECCLVTAVRDGMNLIPYEYVISRQGNENLDLVLGLRSNSAPKKSMLVLSEFIGCSPSLSGAIRVNPWNVDAVADAMVSALELAEPEKQLRHEKHYRYVSTHDVGYWANSFLQDLERTCRDHIRRRCWGIGFGLRFRVVALDPNFRKLSMEHIVSAYRRTTTRAILLDYDGTLMPQASIDKSPSAKSIEILNSLCYDKNNMVFLVSARSRKTLGEWFSPCENLGIAAEHG >DRNTG_02244.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1074969:1075793:-1 gene:DRNTG_02244 transcript:DRNTG_02244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLTFTSLFFKLREPSSSSSTTTTTTTTTNVSSPSSSSSSSTISSSTSTWPWASCKNPKTSSFRGGRGQHDDTMFKTVNSVYFDYSNESCFTNTSEDLLSESFSTVSEDSNGDSSLEMVIKGLRSDRLFFEPCTTTSLLIMDQDTTSASITNPPPPFDDLPFKESVAMAMDSEDPYRDFRESMEEMVKAHGITTWDSLEDLLVWYLKVNGKKTHGFILGAFVDLLVSLASTSFSLSPSCSYSYSSSSSSSSLSFEIHEVLDDDDDDDDEQDV >DRNTG_04529.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5013728:5028801:1 gene:DRNTG_04529 transcript:DRNTG_04529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVTLLGSLNFTVFGCENHRNRCRH >DRNTG_18296.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2620314:2624382:-1 gene:DRNTG_18296 transcript:DRNTG_18296.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSSLQPPSSSKPASVLPYKTPNLRDHYRVGRKLGQGQFGTTYLCVDKADGKEYACKSIPKRKLLCREDYEDVWREIQIMHHLSEHAHVVRIRGAYEDTLFVHLVMELCAGGELFDRIIQKGHFSERKAAQLIKTIVGVVEACHSLGVMHRDLKPENFLFSSGDEEAALKATDFGLSVFYKPGDTFSDVVGSPYYVAPEVLRKLYGPEADVWSAGVILYILLSGVPPFWAETEAGIFRQILQGRLDFESEPWPCISESAKDLIRRMLNRDPKKRFTAHEVLSHPWIVDDRVAPDKPLDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITFDELKDGLRRVGSELMESEIQALMNAADIDNSGTIDYGEFLAATVHLNKLEREENLMSAFSFFDKDGSGYITIDELSQACREFGLDDVHLEEMIKEIDQDNDGQIDYNEFAAMMRKGNAGGGGIGRRTMRNTLNTNLGDALRITNNTLP >DRNTG_28116.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22125358:22131229:1 gene:DRNTG_28116 transcript:DRNTG_28116.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLMKMLTVILNAVKLILMTNLMSLMLLVLEVKISALAVACDDGCIRLYNVSDTDGLTYNRAFPRASGRILSVAWSSDAKCIFSGTSDGLIRCWDTASFT >DRNTG_28116.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22128097:22130490:1 gene:DRNTG_28116 transcript:DRNTG_28116.8 gene_biotype:protein_coding transcript_biotype:protein_coding MISALHLKGQFIQLVHNTVTDKPSIILVQSYNCLDVMSIKLGGNASLSMPVGQGATTQLLARVKSKGSRKIICSSISGTGALLAYSDYVKPNIFELKKLGKGGWSVNKIQLPCRLPSAHCMAFSMDSSCLILSGQDRRIYVVDVKKSEVTNTLVPQRKENDLNLPPSEPPITKIFTSSDGRWLIAVNCFGDIYIFDLKIHRQHWFISRLNGASVTAGDFPPGNSNVFVVTTSLNQIYAFDINGKQLTEWSRRHTHLLPRRFQEFPGEVIGLSVSPSLSSTSVIVYSTR >DRNTG_28116.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22127874:22131229:1 gene:DRNTG_28116 transcript:DRNTG_28116.4 gene_biotype:protein_coding transcript_biotype:protein_coding MISALHLKGQFIQLVHNTVTDKPSIILVQSYNCLDVMSIKLGGNASLSMPVGQGATTQLLARVKSKGSRKIICSSISGTGALLAYSDYVKPNIFELKKLGKGGWSVNKIQLPCRLPSAHCMAFSMDSSCLILSGQDRRIYVVDVKKSEVTNTLVPQRKENDLNLPPSEPPITKIFTSSDGRWLIAVNCFGDIYIFDLKIHRQHWFISRLNGASVTAGDFPPGNSNVFVVTTSLNQIYAFDINGKQLTEWSRRHTHLLPRRFQEFPGEVIGLSVSPSLSSTSVIVYSTRAMCLIDLGMPIDQDKDLPKSSGLPIDKYETIKHVKANRKRKNRNEEIKSLNRSSDFCAFIDPVLFVNHISDNSLLIIEKQWMDIVQRFDAPVHRHVYGT >DRNTG_28116.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22125017:22131229:1 gene:DRNTG_28116 transcript:DRNTG_28116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLMKMLTVILNAVKLILMTNLMSLMLLVLEVKISALAVACDDGCIRLYNVSDTDGLTYNRAFPRASGRILSVAWSSDAKCIFSGTSDGLIRCWDTASFT >DRNTG_28116.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22130227:22130490:1 gene:DRNTG_28116 transcript:DRNTG_28116.9 gene_biotype:protein_coding transcript_biotype:protein_coding RQHWFISRLNGASVTAGDFPPGNSNVFVVTTSLNQIYAFDINGKQLTEWSRRHTHLLPRRFQEFPGEVIGLSVSPSLSSTSVIVYSTR >DRNTG_28116.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22127874:22131229:1 gene:DRNTG_28116 transcript:DRNTG_28116.5 gene_biotype:protein_coding transcript_biotype:protein_coding MISALHLKGQFIQLVHNTVTDKPSIILVQSYNCLDVMSIKLGGNASLSMPVGQGATTQLLARVKSKGSRKIICSSISGTGALLAYSDYVKPNIFELKKLGKGGWSVNKIQLPCRLPSAHCMAFSMDSSCLILSGQDRRIYVVDVKKSEVTNTLVPQRKENDLNLPPSEPPITKIFTSSDGRWLIAVNCFGDIYIFDLKIHRQHWFISRLNGASVTAGDFPPGNSNVFVVTTSLNQIYAFDINGKQLTEWSRRHTHLLPRRFQEFPGEVIGLSVSPSLSSTSVIVYSTRAMCLIDLGMPIDQDKDLPKSSGLPIDKYETIKHVKANRKRKNRNEEIKSLNRSSDFCAFIDPVLFVNHISDNSLLIIEKQWMDIVQRFDAPVHRHVYGT >DRNTG_28116.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22125017:22131229:1 gene:DRNTG_28116 transcript:DRNTG_28116.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFQVYRNSSVEWRPSSVLALATSPDGSQVAAARDDGSLEIWLVSPGSIGWHCQLTIQGDSRSRVSSLVWCRSSARKAQAGRLLSSSIDGSISEWDLFSLQQKTVVDSVGVSIWQMALEPCNGPAQKSESWPRYCC >DRNTG_28116.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22127202:22130490:1 gene:DRNTG_28116 transcript:DRNTG_28116.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLMKMLTVILNAVKLILMTNLMSLMLLVLEVKISALAVACDDGCIRLYNVSDTDGLTYNRAFPRASGRILSVAWSSDAKCIFSGTSDGLIRCWDTASFT >DRNTG_28116.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22128097:22131229:1 gene:DRNTG_28116 transcript:DRNTG_28116.7 gene_biotype:protein_coding transcript_biotype:protein_coding MISALHLKGQFIQLVHNTVTDKPSIILVQSYNCLDVMSIKLGGNASLSMPVGQGATTQLLARVKSKGSRKIICSSISGTGALLAYSDYVKPNIFELKKLGKGGWSVNKIQLPCRLPSAHCMAFSMDSSCLILSGQDRRIYVVDVKKSEVTNTLVPQRKENDLNLPPSEPPITKIFTSSDGRWLIAVNCFGDIYIFDLKIHRQHWFISRLNGASVTAGDFPPGNSNVFVVTTSLNQIYAFDINGKQLTEWSRRHTHLLPRRFQEFPGEVIGLSVSPSLSSTSVIVYSTRAMCLIDLGMPIDQDKDLPKSSGLPIDKYETIKHVKANRKRKNRNEEIKSLNRSSDFCAFIDPVLFVNHISDNSLLIIEKQWMDIVQRFDAPVHRHVYGT >DRNTG_11208.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2340243:2345135:1 gene:DRNTG_11208 transcript:DRNTG_11208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSSASSSSSSSSSSSVPIEAIRAYHATERRLFARMVLDLSLDPFRAMEIIAFWLWLSEIGHPDIIRRAQSLTGVSLLLIAGVAEAFIDALRLEPSDHFRPNADLRHAAIHGISYYLSSVCFRAFDDIQRQAQLLHMDRLLHQMSHLHIPHGEGTSSSSSSARSLLSHPSQDLERAIRFRQELNLLFGTPINSGISNLSSASLHRRHPPPPTAANAQLVTDPTHQMNSTARPYHPLSTINCPPHHHRSFHRIEQMERDQRTLFVTFSNGYPLTEEDLYVFFMSRFGDVESVSVQTVTKPGAEPLFARVSFWHEETMLRVLNGNEKAKFIFKGKHLWARRFTTMYKRG >DRNTG_03920.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15012228:15012923:1 gene:DRNTG_03920 transcript:DRNTG_03920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIDPQVQHNHFAQVHFPCINNEEDELIAQVQISVDPMSRHQDKAKFGANESLKGKHMLVEPPVKKKSSLKKKPSTQVRIPVLRSHVQGRNVGDRKAPDKEGLTAKKRKV >DRNTG_03920.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15012107:15012923:1 gene:DRNTG_03920 transcript:DRNTG_03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEDDSNDQDPMDTIDPQVQHNHFAQVHFPCINNEEDELIAQVQISVDPMSRHQDKAKFGANESLKGKHMLVEPPVKKKSSLKKKPSTQVRIPVLRSHVQGRNVGDRKAPDKEGLTAKKRKV >DRNTG_11872.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15358034:15360881:1 gene:DRNTG_11872 transcript:DRNTG_11872.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFLNTVSPSSQPHLLLHQPRPHLIPTRPLSRSRLYCSSSSSLETLNPNESKDSTLSSFSSAPPTGAVSIDEPASVFPADFRGCKTCGKEEVERGCNGEGRIQGGIATVPGFGWWPIKAFRPCPGFIASGGRYRRRGQNMDEVAFGKGGRDSATGSTD >DRNTG_11872.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15358034:15360881:1 gene:DRNTG_11872 transcript:DRNTG_11872.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFLNTVSPSSQPHLLLHQPRPHLIPTRPLSRSRLYCSSSSSLETLNPNESKDSTLSSFSSAPPTGAVSIDEPASVFPADFRGCKTCGKEEVERGCNGEGRIQGGIATVPGFGWWPIKAFRPCPGFIASGGRYRRRGQNMDEVAFGKGGRDSATGSTD >DRNTG_11872.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15358034:15360945:1 gene:DRNTG_11872 transcript:DRNTG_11872.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFLNTVSPSSQPHLLLHQPRPHLIPTRPLSRSRLYCSSSSSLETLNPNESKDSTLSSFSSAPPTGAVSIDEPASVFPADFRGCKTCGKEEVERGCNGEGRIQGGIATVPGFGWWPIKAFRPCPGFIASGGRYRRRGQNMDEVAFGKGGRDSATGSTD >DRNTG_04795.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2424273:2425690:1 gene:DRNTG_04795 transcript:DRNTG_04795.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFFATFAILVLFTSAYLQAAMAGSAFCDSKCKVRCSKASAQDRCLKYCGICCKECNCVPSGTYGNKDECPCYKNKLNKKKKPKCP >DRNTG_18242.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:21576939:21577592:-1 gene:DRNTG_18242 transcript:DRNTG_18242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTGSGGVSLRRLTSFFLTRGAISMEQDEENDQRNSKVVYCRTPHGHVEITHARVDLQGVKNRTGESHRGKCTPMCSLRIALADFGNTRTGVW >DRNTG_03675.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13778178:13781116:-1 gene:DRNTG_03675 transcript:DRNTG_03675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAPTTSVVSTFSKDSATVELAMVVVPRNKKELSEIIKELDEYFLKAADSGARVSYLLESPHYGVPSNQGFTGRVCSYGRSLSPVLWSWGSNSKTSGFSRFGKSGDEFLDRNGVHGGVGFSSSSHSSTVEKLYAWEKKLYLEVKNAEMIRMEHEKRVKLLRKQEAKGADYVKVEKNRREIERLESKMLVASQAMETTSSEIIRLRESELFPQLLELAKGLMFMWRSMYECHQVQTHIVQQLEYLNNTPSTNLTSDIHRQSTLQLELEIEHWHSAFCSLVKSQRDYIQSLTGWLRLSLFQCYNNHLPTKTHQHSAVYSLCEEWQLALDRVPDKVASEGIKSFLTVIHAIVAQQAEEHKQKKLAESTFKELEKKMAELQSLESKYGPYSTSEEYGDMTSRRSSVIDKRAKVEALKVKAEEEKNKHDKCAGITRAMTVNNLQTGFPNVFGALTGFASVCMQSFENVYNHRSANSGLELKRLLH >DRNTG_26948.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30147749:30148377:1 gene:DRNTG_26948 transcript:DRNTG_26948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTPSTRWCPTPEQKMELENMFISGLQRPDASQIQMITAYLSCYGKIEGKNVFYWFQNQRARERQKLRQRLAMQLVLPNLNFNNQLIHTFEEPPLHLQEGTQDADQAMNLLSKLDANGKNEVIGMESGIGTSYGYDVHPCSRPLITLDLFPCKSTGLRDECSPSSKNSSCSTYTDL >DRNTG_04671.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30355781:30356728:-1 gene:DRNTG_04671 transcript:DRNTG_04671.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKFYSHLKMKLIVTFSYLLDVLIDSGVHGTDLDMFPDPFRSVEYPVQMNVFDNLEKKYNFLVSWPKSERRHLFDLINLELAEIGTAYRNLHPWMKQTRTFRPIKSRDDLVEKVWQMVVKNRKELTGNLESFLELKWLNWEEEVDMIGMDIQGNVTRGLIGRPCL >DRNTG_04671.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30355930:30356728:-1 gene:DRNTG_04671 transcript:DRNTG_04671.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKFYSHLKMKLIVTFSYLLDVLIDSGVHGTDLDMFPDPFRSVEYPVQMNVFDNLEKKYNFLVSWPKSERRHLFDLINLELAEIGTAYRNLHPWMKQTRTFRPIKSRDDLVEKVWQMVVKNRKELTGNLESFLELKWLNWEEEVDMIGMDIQGNVTRGLIGRPCL >DRNTG_04671.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30355649:30356728:-1 gene:DRNTG_04671 transcript:DRNTG_04671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKFYSHLKMKLIVTFSYLLDVLIDSGVHGTDLDMFPDPFRSVEYPVQMNVFDNLEKKYNFLVSWPKSERRHLFDLINLELAEIGTAYRNLHPWMKQTRTFRPIKSRDDLVEKVWQMVVKNRKELTGNLESFLELKWLNWEEEVDMIGMDIQGNVTRGLIGRPCL >DRNTG_09095.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6281935:6283733:-1 gene:DRNTG_09095 transcript:DRNTG_09095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKSCGHHGHHKHRKLYRRIVFTIIFFIILILLAILIVWLVLRPSRPGFALQDSSIIQLNFSSNTNFLTTTLQVTLYSHNPNDRIGIYYDRLDTFITYKGQQITLATALPNGYQGHHDVSIWSPYLYGTDVPLAPFLTVALEQDENAGFLLLYVQVDGKLRWRVGSWMSGQYHITVRCPAFFTFDGLKYSFRFRQPTSCTVDV >DRNTG_03721.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12056673:12057159:1 gene:DRNTG_03721 transcript:DRNTG_03721.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTGYCGMDRQRKKSRSKQSSAGQS >DRNTG_22259.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:924747:928122:1 gene:DRNTG_22259 transcript:DRNTG_22259.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 3 [Source:Projected from Arabidopsis thaliana (AT4G17510) UniProtKB/Swiss-Prot;Acc:Q8GWE1] MAASSKRWLPLEANPDVMNQFVWGLGVPEDEVEFNDVYGLDDELLDMVPKPVLAVLFLFPYNDEAKEEIQSSGGQKEPSKQVYFLKQTVDNACGTIGVLHALGNATSKINLVEGSYFDRFFKSTSNMDPFERAAFLEADREMEDAHSVAASAGDTEANPNVEEHYICFTCVDGELYELDGVKSQPISHGPSSPTSLLKDAAEVIKAIIQKNPDSMNFNVMALSKKEI >DRNTG_12836.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32995970:32999027:1 gene:DRNTG_12836 transcript:DRNTG_12836.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIMMLCAVVLLVLLMWAWRTLDWVWFTPKRIERELRRKGLRGTHYRIFYGDSKDFSRFMGDARSRPLPLHCHDIAPRVIPFIHNIIKDHGKTSFTWLGPIPRVSLMEPKLITEILSKKFDEFTKPKSTTPLVKFVFQGLVGHDGEKWAKHRKIINPAFALEKLKIMFPAFSISCAELIRKWNMMIPDEGYLELDVFPDIQNLTKDVISRAAFGSSYEEGRRIFQLLMEKLQLIIPTSQSAYIPGFRFIPTPFNKKVSQVEKEMERILKGIIEKREKAMRMGERSKNDLLGILLESNMKEGEESQGKSKNSRMTIEDVVEECKLFYFAGQETTSVLLTWTMILLGMYPNWQAKAREEVLQVFGKNTPDMDGLSRLKI >DRNTG_12836.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32995970:32999720:1 gene:DRNTG_12836 transcript:DRNTG_12836.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIMMLCAVVLLVLLMWAWRTLDWVWFTPKRIERELRRKGLRGTHYRIFYGDSKDFSRFMGDARSRPLPLHCHDIAPRVIPFIHNIIKDHGKTSFTWLGPIPRVSLMEPKLITEILSKKFDEFTKPKSTTPLVKFVFQGLVGHDGEKWAKHRKIINPAFALEKLKIMFPAFSISCAELIRKWNMMIPDEGYLELDVFPDIQNLTKDVISRAAFGSSYEEGRRIFQLLMEKLQLIIPTSQSAYIPGFRFIPTPFNKKVSQVEKEMERILKGIIEKREKAMRMGERSKNDLLGILLESNMKEGEESQGKSKNSRMTIEDVVEECKLFYFAGQETTSVLLTWTMILLGMYPNWQAKAREEVLQVFGKNTPDMDGLSRLKIVTMILYEVLRLYPPGSWLLREVRKPTEVGGITYPPGVTLLLPILLIHHDTEFWGEDAKEFKPERFAEGISKASKSCRCFLSIWWWSLVYALARTLH >DRNTG_00674.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15971283:15976811:-1 gene:DRNTG_00674 transcript:DRNTG_00674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLIGAFKRHCDISIIFSDGKTRKKASMKKENGQTVMVPLFQSQENIAGEVIIEPLHGKKVEHNGVKIELLGQIELYFDRGNFYDFTSLVRELDVPGDLYERKTYPFEFSTVEMPYESYSGVNVRLRYILKVTISRNYVSNIVEYQDFLVRNYSPPPTINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVILGKIYFLLVRIKIKNMELEIRRRESTGSGPNTYVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTYRNTNNKFSVKYFLNLVLLDEEDRRYFKQQEITIYRLQET >DRNTG_07657.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23178965:23185595:-1 gene:DRNTG_07657 transcript:DRNTG_07657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEKVKNPPTWWLVTTLVPIAYVVSTRTSVLSCVFANIWWGMAFITTSILCIYSTLIIIAEKKTCIKSFKNLLALLLCPFRTSNNAEEGGENAANVPYQHLSDEDASSTVAVSPYANAGIFSKMSFWWLNSLMKKGYEKPLEEKDMPLLHETDRAGSCYSVFIEQLNKQRQTKSLASPSFLWTIASCHKQDILISALFALLKILASSSGPVLLNAFINVASGSQFVKYEAFLLAMGLFFAKCLESLSQRQWYFRTRLLGLKVRSLLTAAIYQKQLRLSNSAKLIHSSGEIMNYVTVDAYRIGEILTWLHETWTTSLQLCISLLILYKTVGQATIAAFTVIILTVICNAPLAMQQHKMQTTLVQAQDERMNAISEALVNMKVLKLYAWETHFKKVIESLRSVECGWLCAVQLRGAYNSTLFWASPILVSAATFLSCYLLHVPLDPTNVFTFIAALRLIQDPVRSIPDDIRNVIQAKVAFSKIFIFLDAPELKNGYAKRKHSSELKQPIIINSCGFSWDGNMSKKTLRNLNLEVYPGEKLAICGEVGAGKSTLLAAIIGEIPKMEGNIHVCGNIAYVAQDAWIQTGTVRNNILFGSPFNVDRYKETLEKCSLMKDIEMLPSGDLTEIGERGVNLSGGQKQRIQLARALYQDADIYLLDDPFSAVDARTASKLFNDYVMGALSTKTVLLVTHQSGLPSCF >DRNTG_07657.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23178965:23185408:-1 gene:DRNTG_07657 transcript:DRNTG_07657.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEKVKNPPTWWLVTTLVPIAYVVSTRTSVLSCVFANIWWGMAFITTSILCIYSTLIIIAEKKTCIKSFKNLLALLLCPFRTSNNAEEGGENAANVPYQHLSDEDASSTVAVSPYANAGIFSKMSFWWLNSLMKKGYEKPLEEKDMPLLHETDRAGSCYSVFIEQLNKQRQTKSLASPSFLWTIASCHKQDILISALFALLKILASSSGPVLLNAFINVASGSQFVKYEAFLLAMGLFFAKCLESLSQRQWYFRTRLLGLKVRSLLTAAIYQKQLRLSNSAKLIHSSGEIMNYVTVDAYRIGEILTWLHETWTTSLQLCISLLILYKTVGQATIAAFTVIILTVICNAPLAMQQHKMQTTLVQAQDERMNAISEALVNMKVLKLYAWETHFKKVIESLRSVECGWLCAVQLRGAYNSTLFWASPILVSAATFLSCYLLHVPLDPTNVFTFIAALRLIQDPVRSIPDDIRNVIQAKVAFSKIFIFLDAPELKNGYAKRKHSSELKQPIIINSCGFSWDGNMSKKTLRNLNLEVYPGEKLAICGEVGAGKSTLLAAIIGEIPKMEGNIHVCGNIAYVAQDAWIQTGTVRNNILFGSPFNVDRYKETLEKCSLMKDIEMLPSGDLTEIGERGVNLSGGQKQRIQLARALYQDADIYLLDDPFSAVDARTASKLFNDYVMGALSTKTVLLVTHQSGLPSCF >DRNTG_07657.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23178965:23185408:-1 gene:DRNTG_07657 transcript:DRNTG_07657.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEKVKNPPTWWLVTTLVPIAYVVSTRTSVLSCVFANIWWGMAFITTSILCIYSTLIIIAEKKTCIKSFKNLLALLLCPFRTSNNAEEGGENAANVPYQHLSDEDASSTVAVSPYANAGIFSKMSFWWLNSLMKKGYEKPLEEKDMPLLHETDRAGSCYSVFIEQLNKQRQTKSLASPSFLWTIASCHKQDILISALFALLKILASSSGPVLLNAFINVASGSQFVKYEAFLLAMGLFFAKCLESLSQRQWYFRTRLLGLKVRSLLTAAIYQKQLRLSNSAKLIHSSGEIMNYVTVDAYRIGEILTWLHETWTTSLQLCISLLILYKTVGQATIAAFTVIILTVICNAPLAMQQHKMQTTLVQAQDERMNAISEALVNMKVLKLYAWETHFKKVIESLRSVECGWLCAVQLRGAYNSTLFWASPILVSAATFLSCYLLHVPLDPTNVFTFIAALRLIQDPVRSIPDDIRNVIQAKVAFSKIFIFLDAPELKNGYAKRKHSSELKQPIIINSCGFSWDGNMSKKTLRNLNLEVYPGEKLAICGEVGAGKSTLLAAIIGEIPKMEGNIHVCGNIAYVAQDAWIQTGTVRNNILFGSPFNVDRYKETLEKCSLMKDIEMLPSGDLTEIGERGVNLSGGQKQRIQLARALYQDADIYLLDDPFSAVDARTASKLFNDYVMGALSTKTVLLVTHQSGLPSCF >DRNTG_07657.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23178965:23185408:-1 gene:DRNTG_07657 transcript:DRNTG_07657.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEKVKNPPTWWLVTTLVPIAYVVSTRTSVLSCVFANIWWGMAFITTSILCIYSTLIIIAEKKTCIKSFKNLLALLLCPFRTSNNAEEGGENAANVPYQHLSDEDASSTVAVSPYANAGIFSKMSFWWLNSLMKKGYEKPLEEKDMPLLHETDRAGSCYSVFIEQLNKQRQTKSLASPSFLWTIASCHKQDILISALFALLKILASSSGPVLLNAFINVASGSQFVKYEAFLLAMGLFFAKCLESLSQRQWYFRTRLLGLKVRSLLTAAIYQKQLRLSNSAKLIHSSGEIMNYVTVDAYRIGEILTWLHETWTTSLQLCISLLILYKTVGQATIAAFTVIILTVICNAPLAMQQHKMQTTLVQAQDERMNAISEALVNMKVLKLYAWETHFKKVIESLRSVECGWLCAVQLRGAYNSTLFWASPILVSAATFLSCYLLHVPLDPTNVFTFIAALRLIQDPVRSIPDDIRNVIQAKVAFSKIFIFLDAPELKNGYAKRKHSSELKQPIIINSCGFSWDGNMSKKTLRNLNLEVYPGEKLAICGEVGAGKSTLLAAIIGEIPKMEGNIHVCGNIAYVAQDAWIQTGTVRNNILFGSPFNVDRYKETLEKCSLMKDIEMLPSGDLTEIGERGVNLSGGQKQRIQLARALYQDADIYLLDDPFSAVDARTASKLFNDYVMGALSTKTVLLVTHQSGLPSCF >DRNTG_07657.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23178965:23185595:-1 gene:DRNTG_07657 transcript:DRNTG_07657.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEKVKNPPTWWLVTTLVPIAYVVSTRTSVLSCVFANIWWGMAFITTSILCIYSTLIIIAEKKTCIKSFKNLLALLLCPFRTSNNAEEGGENAANVPYQHLSDEDASSTVAVSPYANAGIFSKMSFWWLNSLMKKGYEKPLEEKDMPLLHETDRAGSCYSVFIEQLNKQRQTKSLASPSFLWTIASCHKQDILISALFALLKILASSSGPVLLNAFINVASGSQFVKYEAFLLAMGLFFAKCLESLSQRQWYFRTRLLGLKVRSLLTAAIYQKQLRLSNSAKLIHSSGEIMNYVTVDAYRIGEILTWLHETWTTSLQLCISLLILYKTVGQATIAAFTVIILTVICNAPLAMQQHKMQTTLVQAQDERMNAISEALVNMKVLKLYAWETHFKKVIESLRSVECGWLCAVQLRGAYNSTLFWASPILVSAATFLSCYLLHVPLDPTNVFTFIAALRLIQDPVRSIPDDIRNVIQAKVAFSKIFIFLDAPELKNGYAKRKHSSELKQPIIINSCGFSWDGNMSKKTLRNLNLEVYPGEKLAICGEVGAGKSTLLAAIIGEIPKMEGNIHVCGNIAYVAQDAWIQTGTVRNNILFGSPFNVDRYKETLEKCSLMKDIEMLPSGDLTEIGERGVNLSGGQKQRIQLARALYQDADIYLLDDPFSAVDARTASKLFNDYVMGALSTKTVLLVTHQSGLPSCF >DRNTG_07657.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23178965:23185408:-1 gene:DRNTG_07657 transcript:DRNTG_07657.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEKVKNPPTWWLVTTLVPIAYVVSTRTSVLSCVFANIWWGMAFITTSILCIYSTLIIIAEKKTCIKSFKNLLALLLCPFRTSNNAEEGGENAANVPYQHLSDEDASSTVAVSPYANAGIFSKMSFWWLNSLMKKGYEKPLEEKDMPLLHETDRAGSCYSVFIEQLNKQRQTKSLASPSFLWTIASCHKQDILISALFALLKILASSSGPVLLNAFINVASGSQFVKYEAFLLAMGLFFAKCLESLSQRQWYFRTRLLGLKVRSLLTAAIYQKQLRLSNSAKLIHSSGEIMNYVTVDAYRIGEILTWLHETWTTSLQLCISLLILYKTVGQATIAAFTVIILTVICNAPLAMQQHKMQTTLVQAQDERMNAISEALVNMKVLKLYAWETHFKKVIESLRSVECGWLCAVQLRGAYNSTLFWASPILVSAATFLSCYLLHVPLDPTNVFTFIAALRLIQDPVRSIPDDIRNVIQAKVAFSKIFIFLDAPELKNGYAKRKHSSELKQPIIINSCGFSWDGNMSKKTLRNLNLEVYPGEKLAICGEVGAGKSTLLAAIIGEIPKMEGNIHVCGNIAYVAQDAWIQTGTVRNNILFGSPFNVDRYKETLEKCSLMKDIEMLPSGDLTEIGERGVNLSGGQKQRIQLARALYQDADIYLLDDPFSAVDARTASKLFNDYVMGALSTKTVLLVTHQSGLPSCF >DRNTG_07657.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23178781:23185408:-1 gene:DRNTG_07657 transcript:DRNTG_07657.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEKVKNPPTWWLVTTLVPIAYVVSTRTSVLSCVFANIWWGMAFITTSILCIYSTLIIIAEKKTCIKSFKNLLALLLCPFRTSNNAEEGGENAANVPYQHLSDEDASSTVAVSPYANAGIFSKMSFWWLNSLMKKGYEKPLEEKDMPLLHETDRAGSCYSVFIEQLNKQRQTKSLASPSFLWTIASCHKQDILISALFALLKILASSSGPVLLNAFINVASGSQFVKYEAFLLAMGLFFAKCLESLSQRQWYFRTRLLGLKVRSLLTAAIYQKQLRLSNSAKLIHSSGEIMNYVTVDAYRIGEILTWLHETWTTSLQLCISLLILYKTVGQATIAAFTVIILTVICNAPLAMQQHKMQTTLVQAQDERMNAISEALVNMKVLKLYAWETHFKKVIESLRSVECGWLCAVQLRGAYNSTLFWASPILVSAATFLSCYLLHVPLDPTNVFTFIAALRLIQDPVRSIPDDIRNVIQAKVAFSKIFIFLDAPELKNGYAKRKHSSELKQPIIINSCGFSWDGNMSKKTLRNLNLEVYPGEKLAICGEVGAGKSTLLAAIIGEIPKMEGNIHVCGNIAYVAQDAWIQTGTVRNNILFGSPFNVDRYKETLEKCSLMKDIEMLPSGDLTEIGERGVNLSGGQKQRIQLARALYQDADIYLLDDPFSAVDARTASKLFNDYVMGALSTKTVLLVTHQSGLPSCF >DRNTG_24156.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001288.1:17182:17772:-1 gene:DRNTG_24156 transcript:DRNTG_24156.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMLADGGGAEREEHRITYDEPSPPRESKSRLHNFSFPTLSWGSTRLLRCSKLAAGSAPLAGDPKTPSSPNAAASPSATPEEENSIPAQPWNLRTRRAACNAPIEKVLPSSSKSLSPVPVEKAGNGGRSVRLRSDSLERKKFSVQLTREEIEEDFYSFKGTKPSRRPKKRPRVVQRQLD >DRNTG_24156.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001288.1:16725:17772:-1 gene:DRNTG_24156 transcript:DRNTG_24156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMLADGGGAEREEHRITYDEPSPPRESKSRLHNFSFPTLSWGSTRLLRCSKLAAGSAPLAGDPKTPSSPNAAASPSATPEEENSIPAQPWNLRTRRAACNAPIEKVLPSSSKSLSPVPVEKAGNGGRSVRLRSDSLERKKFSVQLTREEIEEDFYSFKGTKPSRRPKKRPRVVQRQLDALFPGLWLSEISLDTYKISD >DRNTG_18806.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:413481:416832:-1 gene:DRNTG_18806 transcript:DRNTG_18806.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX prenyl protease 2 [Source:Projected from Arabidopsis thaliana (AT2G36305) UniProtKB/Swiss-Prot;Acc:Q8GW19] MMAASWSFSGITAVAACAAMAVLYVAILYSPTLLLRLPPPTSIESFMLRRFACAVVSSLISLVFSSFLLGVGDFKELPVILNVYGIRSDHAWQAVAFPLLLTGLLYTGSFVSRVLLFIHAWKGSRDGLSFGACLCGIVERCIHGARSSASDVLNWRNYVVAPITEELVFRACMIPLLLCGGLTAYSITFFSPIFFSLAHLNHFLELYYQQRYNFWKAFLIVGNQLGYTIIFGWYASFLFIRTGNVVAPIVAHIFCNMMGLPVFSSARGKGIVAFSFAVGVVGFFKLLFPATRPDLFNDKTDDCSCWHGYCNW >DRNTG_01857.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21247008:21249941:1 gene:DRNTG_01857 transcript:DRNTG_01857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWFGWKKSKKGGGMGVEKKVVLDGSEIRELVENKEAFGSFIDHKFEELDLDRDGKLSVSELHPAVADIGAALGLPAQGSSPDSDHIYSEVLSEFTHGKQEEVSKSEFKEVLSDILLGMAAGLNRDPIVILRMDGEDLKEFVRSPGFEPEAIAIYSSIDSANAPLSKCLLMGLQQLTVEHGLPPISDSWVSGNIVEPAFQSISGDHLEQPATQETFLEEFKKLVISITRHLEEQLVIVAHSENTFDGNGIKRLLSNSFELNKLLDNAWRDLPKDQNGKVSKDYLRIALDGMASSANLPPFGAVQQVDAVVNEAFKMVNADDGKTVDEQEFKKLMTEILGSIMLQLQGNAVFVSSNSVIHETLPSSSTLLPPVSGPSV >DRNTG_29907.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21355497:21362203:-1 gene:DRNTG_29907 transcript:DRNTG_29907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGEDEQSAADGRLSVEESERNAGDPCPPCRSIGRIVGLRCLAALFLGCAVLLSAVFWLPPFLGADHKGRRRSPELEADIVASFILHKPVDFLKANVAKLEYDIFEEIGVPNSSVVLNSLEPIPHFNWTNVVFGVWPYPKNSSIPSYGLSLLRSSFMDLAGHASTLHVTTSLFGNSSFFQVRRFPDGFTVIPKQSAFLLLKPEVLFNFTLNFPIFQVQDKFVELKAQMKSGLLLNSYENLYVRLTNLKGSTIDSPTIVETSIVLAVGNRPPSMPRLKELAQTIRNSSGGNLGLNHTVFGRVKQIRLSSFLQRSLYSGSNGNAPSPAPQFSPNRHHHHHHHHHRHHSDVHMAPAPAPQYHHRAPPPVACRSRIWSKSNQAHLVPVAAPRALHHHKSVDSVPSPAPVVSSPEQFAPQPQDDSSAPTPHLFPSSPLPAVYFSRARPPSDSVTKPPNGMPSISPSIFSSSSIAIWPSMHWGIIPLLFFLMLL >DRNTG_29218.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3234771:3235970:1 gene:DRNTG_29218 transcript:DRNTG_29218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPAESRKLFEEILAVDPLSFEALFENAVLMDRCGEGEAVIERLERALDLARSEQKEKAARDVRLIMAQIQFLQKNVDAALASYEELTKEDPKDYRPYFCQGVIYSMLNRNKEAREKFAKYHELSPKKFEVNGYLQTPLSRVKLFGTEQSEL >DRNTG_04339.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31150431:31153603:1 gene:DRNTG_04339 transcript:DRNTG_04339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSHGASSSPTSSSSSPAPFLMKTYQMVDDPATNTIVSWSSSNISFVVWKLAEFSRDLLPKYFKHNNFSSFVRQLNTYGFHKIDPDRWEFANEEFVRGQRHLLRNIHRRKPIHSHSLHHNTSAPLAEDEKHELEEEIDRLKHDKDILLFELQKHTMQQQGLESIIQVLEERLLILEQRQKDIIAFLAKVVQTPGFVPDLFYQSNLHHKKRRLPRIDYLFEDDKIEEKQIISFQTVRMDQRDIGSMQILDTELFDNVESSISSLEAFFSNVSEASGEDLQNDDRILSQPCALSDEANVNTNMRLLPSELPLPLSLGQSVNHVGNIVDETKLSANHVTGTAIATLPTSVNDVFWEQFLSESPGSSASKDADDKISTEKMIEKTVWCRERNVEHLTEQMGHLSSVERT >DRNTG_24948.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7923102:7924213:-1 gene:DRNTG_24948 transcript:DRNTG_24948.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIRKPIFEKHETREDRYKHPSSFIPSNVWKEMVDKWMGDNWQHKSDKNKINRSQSQIIHTTGRVSMAKHRSDMVKETGSELGPIDWFKKFHTKRDGESWATEKAKDLWDQMDNIRSTATSEGSIVNEWEIYRNVTGEPSHGRVLGLGTGIQGKDVYGSSSSQTCSKRCKEIQKMKEKEWEDRFKQMESTIDKLQQQVPVMVQAVLQSLGLSNIQLATQ >DRNTG_24948.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7923102:7923433:-1 gene:DRNTG_24948 transcript:DRNTG_24948.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIRSTATSEGSIVNEWEIYRNVTGEPSHGRVLGLGTGIQGKDVYGSSSSQTCSKRCKEIQKMKEKEWEDRFKQMESTIDKLQQQVPVMVQAVLQSLGLSNIQLATQ >DRNTG_24948.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7922512:7923823:-1 gene:DRNTG_24948 transcript:DRNTG_24948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKSDKNKINRSQSQIIHTTGRVSMAKHRSDMVKETGSELGPIDWFKKFHTKRDGESWATEKAKDLWDQMDNIRSTATSEGSIVNEWEIYRNVTGEPSHGRVLGLGTGIQGKDVYGSSSSQTCSKRCKEIQKMKEKEWEDRFKQMESTIDKLQQQVPVMVQAVLQSLGLSNIQLATQGGGNDLRDVIANSQENVRDVHHGNVNEKDGNENSLEEDSEKDDDDNENEESCEDDDD >DRNTG_24948.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7922512:7923433:-1 gene:DRNTG_24948 transcript:DRNTG_24948.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIRSTATSEGSIVNEWEIYRNVTGEPSHGRVLGLGTGIQGKDVYGSSSSQTCSKRCKEIQKMKEKEWEDRFKQMESTIDKLQQQVPVMVQAVLQSLGLSNIQLATQGGGNDLRDVIANSQENVRDVHHGNVNEKDGNENSLEEDSEKDDDDNENEESCEDDDD >DRNTG_09617.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:22050464:22055173:1 gene:DRNTG_09617 transcript:DRNTG_09617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDLGKLFIGGISWDTDEGRLRDYFSHFGEVLEAVIMKDRATGRARGFGFIVFSDPAVAERVVMDKHMIDGRMVEAKKAVPRDDQNILNKSSNSVHGSPSPARTKKIFVGGLPSTITDNDFRRYFLQFGSIADVIVMYDHSTQRPRGFGFITFESEEAVDKALLKTFHELNGKMVEVKRAVPKELSPGPTMRSPTMGYNYGMNRINSFLNGYTQAFSPSSLGAHGMRMDARFGTVPTARNGFPAISPGYGMGMNFDPGFNSNFGGNLNYNESISFGRASSPFYNGNSSRYGTPVGYGGGNVGIGAGIGSMGRNVWGSGLNYTINPPNSNAYMSSGSGSPGAFDYGSLKWGSSASPISAQIGGNNAVFATENLGLGSRENSFSLDSNGFGRSSGAGVSNAPVNVPTNGHEGNFTDLYGASSIYGDPTWRSGSNDLDAPGTFGYGLRTAASDVTTKGSAGYAGGCIGSDRQRTRGIAS >DRNTG_09617.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:22050707:22053282:1 gene:DRNTG_09617 transcript:DRNTG_09617.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDLGKLFIGGISWDTDEGRLRDYFSHFGEVLEAVIMKDRATGRARGFGFIVFSDPAVAERVVMDKHMIDGRMVEAKKAVPRDDQNILNKSSNSVHGSPSPARTKKIFVGGLPSTITDNDFRRYFLQFGSIADVIVMYDHSTQRPRGFGFITFESEEAVDKALLKTFHELNGKMVEVKRAVPKELSPGPTMRSPTMGYNYGMNRINSFLNGYTQAFSPSSLGAHGMRMDARFGTVPTARNGFPAISPGYGMGMNFDPGFNSNFGGNLNYNESISFGRASSPFYNGNSSRYGTPVGYGGGNVGIGAGIGSMGRNVWGSGLNYTINPPNSNAYMSSGSGSPGAFDYGSLKWGSSASPISAQIGGNNAVFATENLGLGSRENSFSLDSNGFGRSSGAGVSNAPVNVPTNGHEGNFTDLYGASSIYGDPTWRSGSNDLDAPGTFGYGLRTAASDVTTKGSAGYAGGCIGSDRQRTRGKICFLFIDELFFELQMLCPLYWHATDGLRHCYNADAL >DRNTG_23288.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1292140:1293112:-1 gene:DRNTG_23288 transcript:DRNTG_23288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVQSAQDLVDSLLNADNKLVIVDFYSPGCGGCKALHPKFAELNPDVLFLQVNYEEHKSMCYSLNVHVLPFFRFYNLKQMLVPASDDVAEATPISPMFSPTRVLKGSEDKVFSKL >DRNTG_01084.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4136893:4143372:-1 gene:DRNTG_01084 transcript:DRNTG_01084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEGEGGGGGQEGVGGGGEALVEESVKLFVGQVPKHMTEAQLLAMFKEVAIVDEVNIIKDKATKASRGCCFLICPSRQEADKAVSACHNKRTLPGASSPLQVKYADGELERLEHKLFIGMLPKNVSEAEVSALFSNYGNVKDLQILRGSQQTSKGCAFLKYETKEQALAALEALNGKYRMEGSSVPLVVKWADTEKERQVRRAQKAQSYASNIPNTNSMQQPSLFGALPMGYIPPYNGFGYQAHGTYGLMQYPIPSMQNPASFHNLLPPANQVNALRGISPEHSPSMVHRNLPATQIAGYVGSAYPGVPGLQYPLTYPGGLMSQRNLGNSHGMAQPINVNSKSATSASISTSSGGQLEGPPGANLFIYHIPQEYGDQELANAFQRFGGVLSAKVFVDKATGVSKCFGFVSYESPASAQAAINVMNGFQLGGKKLKVQLKRDNKQSKPY >DRNTG_12795.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2121349:2122165:-1 gene:DRNTG_12795 transcript:DRNTG_12795.3 gene_biotype:protein_coding transcript_biotype:protein_coding RDTSGLTTENEELRLQLQAMEQQSQLCDAMNEALKQEVDRLRIATGEMPKHGETYTTREFQNNPYNQPFSTLSQQEAGLFHNQNLQSNIAVDHQLPTNPHDFLDIMQDDHFEILIGSEEIGKASFFIKSESCSLSASESSSNF >DRNTG_12795.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2121349:2122543:-1 gene:DRNTG_12795 transcript:DRNTG_12795.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRQSAARSKERKARYIAELQRRVQILQIEATTLSAQLALFQRDTSGLTTENEELRLQLQAMEQQSQLCDAMNEALKQEVDRLRIATGEMPKHGETYTTREFQNNPYNQPFSTLSQQEAGLFHNQNLQSNIAVDHQLPTNPHDFLDIMQDDHFEILIGSEEIGKASFFIKSESCSLSASESSSNF >DRNTG_12795.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2121349:2122022:-1 gene:DRNTG_12795 transcript:DRNTG_12795.4 gene_biotype:protein_coding transcript_biotype:protein_coding LSNVKHTFQLSASNMKKGQSFSQKLHSDKS >DRNTG_12795.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2121349:2124212:-1 gene:DRNTG_12795 transcript:DRNTG_12795.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPPPNATSLPHHRRLRPPLTHAPPQPRRPPAIPRPKHRYSSSVDALFPDPIAEPKKAMSADKLAELAVIDPKRAKRIMANRQSAARSKERKARYIAELQRRVQILQIEATTLSAQLALFQRDTSGLTTENEELRLQLQAMEQQSQLCDAMNEALKQEVDRLRIATGEMPKHGETYTTREFQNNPYNQPFSTLSQQEAGLFHNQNLQSNIAVDHQLPTNPHDFLDIMQDDHFEILIGSEEIGKASFFIKSESCSLSASESSSNF >DRNTG_16715.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1832767:1836313:-1 gene:DRNTG_16715 transcript:DRNTG_16715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALETLSGQAVGAGQVGMLSIYMQRTWIILIISSILMSPIYIFATPILSFLGQQPDIAVLAGRFALLILPHQFAIAISYPCQKFLLTQSKVLPLAYIAFITLALHLGVLWLFTSVLEWGSTGAAIAYDVSSCAAALFQVIYIMFWCKDAWSGFSWFAFKDLWPFIKLSLSSAFMLLFSDWYSALIVFLTGLLNKAEVTVASVSIWLNINTWEHMLVIGFMSATSVRLSNELGSGRPRAGKYAVIISGLTTLFIGLVSSILILATWNKFPLLFTSSKEVQDSASTAVYLLAIALMITCIQSTLTGAIIGGGWQGLVAYINLGCYYLLGLPLAATLGFFFHWGWQGIWIGMISGYIAQTLVLITMVCKTNWKGQVIKAEKRLQFWSGEDQ >DRNTG_16715.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1832767:1836313:-1 gene:DRNTG_16715 transcript:DRNTG_16715.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALETLSGQAVGAGQVGMLSIYMQRTWIILIISSILMSPIYIFATPILSFLGQQPDIAVLAGRFALLILPHQFAIAISYPCQKFLLTQSKVLPLAYIAFITLALHLGVLWLFTSVLEWGSTGAAIAYDVSSCAAALFQVIYIMFWCKDAWSGFSWFAFKDLWPFIKLSLSSAFMLLFSDWYSALIVFLTGLLNKAEVTVASVSIWLNINTWEHMLVIGFMSATSVRLSNELGSGRPRAGKYAVIISGLTTLFIGLVSSILILATWNKFPLLFTSSKEVQDSASTAVYLLAIALMITCIQSTLTGNMDWDDIWLHCSDFSSHNHGL >DRNTG_12390.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6521693:6523912:-1 gene:DRNTG_12390 transcript:DRNTG_12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDECCRAKNGGHKGEDPQNHHQRNPCDEEIKHPLDPLKTEHHLEISGGEKTKKKDKETRKRNGGEDH >DRNTG_04208.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7434874:7455741:1 gene:DRNTG_04208 transcript:DRNTG_04208.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAFVSFKSRWGAAVCAQTQQSSNPTLWLTEWAPEPRDVYWSNLAIPFVELTIRRLIMAVALFFLTFFFMIPIAFVQSLANIEGIKKVAPFLRSLIKVKVVKSFIQGFLPGIALKIFLILLPMILMTMSKVEGFTSLSKLERRSASKYYLFILVNVFLGSIITGTAFEQLNSFIHQSASDIPKVIGVSIPMKATFFITYIMVDGWAGIAAEIIRLKPLIVFHLKNTFLVKTDQDREQAMDPGSLGFASSEPRIQLYFLLGLVYSVVTPILLPFIIVFFSFAYVVFRHQIINVYHQQYESGASFWPDVHIRVIIAMIISQLLLMGLMSTKEASQSTPLLLVLPVLTIWFHIYCKSRFEPAFVKFPLQDAVVKDTLERATEPNLDLKAYLQDAYLHPVFHDGEIEQVTLNEEDNNALVPTKRSHRSTPVGSKYGSEVGSEIEF >DRNTG_04208.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7455225:7455741:1 gene:DRNTG_04208 transcript:DRNTG_04208.9 gene_biotype:protein_coding transcript_biotype:protein_coding QDAVVKDTLERATEPNLDLKAYLQDAYLHPVFHDGEIEQVTLNEEDNNALVPTKRSHRSTPVGSKYGSEVGSEIEF >DRNTG_04208.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7449677:7455741:1 gene:DRNTG_04208 transcript:DRNTG_04208.5 gene_biotype:protein_coding transcript_biotype:protein_coding MILMTMSKVEGFTSLSKLERRSASKYYLFILVNVFLGSIITGTAFEQLNSFIHQSASDIPKVIGVSIPMKATFFITYIMVDGWAGIAAEIIRLKPLIVFHLKNTFLVKTDQDREQAMDPGSLGFASSEPRIQLYFLLGLVYSVVTPILLPFIIVFFSFAYVVFRHQIINVYHQQYESGASFWPDVHIRVIIAMIISQLLLMGLMSTKEASQSTPLLLVLPVLTIWFHIYCKSRFEPAFVKFPLQDAVVKDTLERATEPNLDLKAYLQDAYLHPVFHDGEIEQVTLNEEDNNALVPTKRSHRSTPVGSKYGSEVGSEIEF >DRNTG_04208.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7432842:7447960:1 gene:DRNTG_04208 transcript:DRNTG_04208.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAFVSFKSRWGAAVCAQTQQSSNPTLWLTEWAPEPRDVYWSNLAIPFVELTIRRLIMAVALFFLTFFFMIPIAFVQSLANIEGIKKVAPFLRSLIKV >DRNTG_04208.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7454684:7455741:1 gene:DRNTG_04208 transcript:DRNTG_04208.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIISQLLLMGLMSTKEASQSTPLLLVLPVLTIWFHIYCKSRFEPAFVKFPLQDAVVKDTLERATEPNLDLKAYLQDAYLHPVFHDGEIEQVTLNEEDNNALVPTKRSHRSTPVGSKYGSEVGSEIEF >DRNTG_04208.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7429075:7455741:1 gene:DRNTG_04208 transcript:DRNTG_04208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGDIGLAAAINILSAIGFLLAFAVLRLQPINDRVYFPKWYLKGIRSSPTHSGNLIKKFVNLDCGTYLRFLNWMPAALKMPEPELISHAGLDSAVYIRIYLLGLKIFVPLAFLAFAILVPVNWTGGSLEQIKNLTFSNIDKLSISNVPAGSDRFWAHLVMAYVFTFWTCYILYKEYKIVTTMRLHFLASENRRADQFTVLVRNVPPDPDESVSEHVGHFFTVNHHGHYLIHQVVYNANQLAKLVEEKKGLQNWLVYYQNKYTRNTTKRPTVKEAAERERVIGDPKAIMPAAFVSFKSRWGAAVCAQTQQSSNPTLWLTEWAPEPRDVYWSNLAIPFVELTIRRLIMAVALFFLTFFFMIPIAFVQSLANIEGIKKVAPFLRSLIKVKVVKSFIQGFLPGIALKIFLILLPMILMTMSKVEGFTSLSKLERRSASKYYLFILVNVFLGSIITGTAFEQLNSFIHQSASDIPKVIGVSIPMKATFFITYIMVDGWAGIAAEIIRLKPLIVFHLKNTFLVKTDQDREQAMDPGSLGFASSEPRIQLYFLLGLVYSVVTPILLPFIIVFFSFAYVVFRHQIINVYHQQYESGASFWPDVHIRVIIAMIISQLLLMGLMSTKEASQSTPLLLVLPVLTIWFHIYCKSRFEPAFVKFPLQDAVVKDTLERATEPNLDLKAYLQDAYLHPVFHDGEIEQVTLNEEDNNALVPTKRSHRSTPVGSKYGSEVGSEIEF >DRNTG_04208.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7430714:7455741:1 gene:DRNTG_04208 transcript:DRNTG_04208.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGDIGLAAAINILSAIGFLLAFAVLRLQPINDRVYFPKWYLKGIRSSPTHSGNLIKKFVNLDCGTYLRFLNWMPAALKMPEPELISHAGLDSAVYIRIYLLGLKIFVPLAFLAFAILVPVNWTGGSLEQIKNLTFSNIDKLSISNVPAGSDRFWAHLVMAYVFTFWTCYILYKEYKIVTTMRLHFLASENRRADQFTVLVRNVPPDPDESVSEHVGHFFTVNHHGHYLIHQVVYNANQLAKLVEEKKGLQNWLVYYQNKYTRNTTKRPTVKEAAERERVIGDPKAIMPAAFVSFKSRWGAAVCAQTQQSSNPTLWLTEWAPEPRDVYWSNLAIPFVELTIRRLIMAVALFFLTFFFMIPIAFVQSLANIEGIKKVAPFLRSLIKVKVVKSFIQGFLPGIALKIFLILLPMILMTMSKVEGFTSLSKLERRSASKYYLFILVNVFLGSIITGTAFEQLNSFIHQSASDIPKVIGVSIPMKATFFITYIMVDGWAGIAAEIIRLKPLIVFHLKNTFLVKTDQDREQAMDPGSLGFASSEPRIQLYFLLGLVYSVVTPILLPFIIVFFSFAYVVFRHQIINVYHQQYESGASFWPDVHIRVIIAMIISQLLLMGLMSTKEASQSTPLLLVLPVLTIWFHIYCKSRFEPAFVKFPLQDAVVKDTLERATEPNLDLKAYLQDAYLHPVFHDGEIEQVTLNEEDNNALVPTKRSHRSTPVGSKYGSEVGSEIEF >DRNTG_04208.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7431633:7432646:1 gene:DRNTG_04208 transcript:DRNTG_04208.8 gene_biotype:protein_coding transcript_biotype:protein_coding LKIFVPLAFLAFAILVPVNWTGGSLEQIKNLTFSNIDKLSISNVPAGSDRFWAHLVMAYVFTFWTCYILYKEYKIVTTMRLHFLASENRRADQFT >DRNTG_04208.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7431633:7433423:1 gene:DRNTG_04208 transcript:DRNTG_04208.6 gene_biotype:protein_coding transcript_biotype:protein_coding LKIFVPLAFLAFAILVPVNWTGGSLEQIKNLTFSNIDKLSISNVPAGSDRFWAHLVMAYVFTFWTCYILYKEYKIVTTMRLHFLASENRRADQFTLARQRTS >DRNTG_10331.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3060034:3061445:1 gene:DRNTG_10331 transcript:DRNTG_10331.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMELSNAFSISLDTPLLSKDSTYSMDAASQGSVIGPSHGKPNSSSDILKSSLKIELSRFAKRTMKRFPSEEYTLKWPFSATCDVLHALKSEQASSALGDEIFLFKDGTLSNFLAISLADVFEDQRWVYMMRVVIVSVTRAMNMDLFLLSVEEAMPLLSYISMRQPLLFPSRHSQLLYTTSFDSHKHHTSCVADKGHFFVYTSEGKRFMVPLAYLENNIFKELLKISEEEFGLPSNGPITLPCDEASMEYVLSMLRRGVSEEVEKALLSSIFISCQSTCSAFAVENTRQLAVCSC >DRNTG_18697.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14815400:14817784:1 gene:DRNTG_18697 transcript:DRNTG_18697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRFVQSSDTKFQSVQATLHNHTAPLHNLENHVGQIAKSLSKRPHGSLPSNTEANPREHVKVVTLRSSCKVEGKFPSEEMLLADSGASINVMPYTFFQKLGIGNSKGSEDRLSWHNIGSSCTNQVGRHKRSSYFKTFQDALMKEKPYSLPYDRVPDHMAPRTKRLAQKRPRHDREPTPPPAVEFPDLIHQQRFERLQNLKIGQSRFIDWDALEDFWSSVKRSES >DRNTG_23517.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22207319:22212884:-1 gene:DRNTG_23517 transcript:DRNTG_23517.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESSQRRAGMVPLSPSHAPRPSEKLGNGSSNGKHHDRDKGLNVQVLLRCRPLSEEEIRANVPMGIACEEIRREVSAFLSMANKQIDKTFTFDKVFGPSSKQKELYDQAISPIVNEVLEGYNCTIFAYGQTGTGKTFTMEGERKTKNGEFPSDAGVIPRAVKQISDMLEMQATDYSMKVTFLELYNEDLTDLLAPEESKFSDERSKRPIALMENGKGGVFVRGLEEEVVCSASEIYKILDRGSSKRRTAETLLNKQSSRSHSIFSITIYMKESTHEGEEMIKC >DRNTG_23517.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22207319:22212884:-1 gene:DRNTG_23517 transcript:DRNTG_23517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHIPYRESKLTRLLRDSLGGKTKTCIIATISPAINCLEETLSTLEYAHRAKSIKNKPEINQKLMKSALIKDLYSEISRLRQEVYAAREKNGVYLPQDRYLYEEAQKKAMAEKIEQMAIDLESKNKQADSLQELYTSQQLSNTELSKTLEKTQRKLEDTVSTIYDLEYRNKQANTSNKEKEYMIVHLLKSEKALMDCAHELRSELENTTADVSGLFSKIETKNKTADYNRTLVQRFLTQLTGNLDILHGILSASVIQQEKQLKEMEADMHAFVSKKVQSTEDLNIHAGKLKDLYCYGMKTLEDVAGSNYS >DRNTG_23517.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22207319:22212517:-1 gene:DRNTG_23517 transcript:DRNTG_23517.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGIACEEIRREVSAFLSMANKQIDKTFTFDKVFGPSSKQKELYDQAISPIVNEVLEGYNCTIFAYGQTGTGKTFTMEGERKTKNGEFPSDAGVIPRAVKQISDMLEMQATDYSMKVTFLELYNEDLTDLLAPEESKFSDERSKRPIALMENGKGGVFVRGLEEEVVCSASEIYKILDRGSSKRRTAETLLNKQSSRSHSIFSITIYMKESTHEGEEMIKC >DRNTG_23517.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22207319:22208517:-1 gene:DRNTG_23517 transcript:DRNTG_23517.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEANQMLCDRLSCVTSTSLQKINMEQKGFHSSIDSSLKLDRDTYEKIDNMTSAFQDELRQLRNRHSHRVAEITGNTEKCLEDEYRVEEPSCSTPRRLINLPSIASIEELKTPAFEEILKLFWASKQVNGEVKHLSGAHEAQSRVPVTQKN >DRNTG_23517.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22207319:22210751:-1 gene:DRNTG_23517 transcript:DRNTG_23517.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVQTTVDSLRKSSTSMTNNLHNELSIARICTSSYKNQWKAYVEEAENQYYEGATSVEIARGGLEEGFQQCKGKIQLGSQQWRDAHNTLMKLEDGNVSSVDSVVRRGMEANQMLCDRLSCVTSTSLQKINMEQKGFHSSIDSSLKLDRDTYEKIDNMTSAFQDELRQLRNRHSHRVAEITGNTEKCLEDEYRVEEPSCSTPRRLINLPSIASIEELKTPAFEEILKLFWASKQVNGEVKHLSGAHEAQSRVPVTQKN >DRNTG_23517.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22210848:22212517:-1 gene:DRNTG_23517 transcript:DRNTG_23517.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGIACEEIRREVSAFLSMANKQIDKTFTFDKVFGPSSKQKELYDQAISPIVNEVLEGYNCTIFAYGQTGTGKTFTMEGERKTKNGEFPSDAGVIPRAVKQISDMLEMQATDYSMKVTFLELYNEDLTDLLAPEESKFSDERSKRPIALMENGKGGVFVRGLEEEVVCSASEIYKILDRGSSKRRTAETLLNKQSSRSHSIFSITIYMKESTHEGEEMIKC >DRNTG_23517.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22210848:22212884:-1 gene:DRNTG_23517 transcript:DRNTG_23517.7 gene_biotype:protein_coding transcript_biotype:protein_coding MESSQRRAGMVPLSPSHAPRPSEKLGNGSSNGKHHDRDKGLNVQVLLRCRPLSEEEIRANVPMGIACEEIRREVSAFLSMANKQIDKTFTFDKVFGPSSKQKELYDQAISPIVNEVLEGYNCTIFAYGQTGTGKTFTMEGERKTKNGEFPSDAGVIPRAVKQISDMLEMQATDYSMKVTFLELYNEDLTDLLAPEESKFSDERSKRPIALMENGKGGVFVRGLEEEVVCSASEIYKILDRGSSKRRTAETLLNKQSSRSHSIFSITIYMKESTHEGEEMIKC >DRNTG_23517.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22207319:22212884:-1 gene:DRNTG_23517 transcript:DRNTG_23517.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESSQRRAGMVPLSPSHAPRPSEKLGNGSSNGKHHDRDKGLNVQVLLRCRPLSEEEIRANVPMGIACEEIRREVSAFLSMANKQIDKTFTFDKVFGPSSKQKELYDQAISPIVNEVLEGYNCTIFAYGQTGTGKTFTMEGERKTKNGEFPSDAGVIPRAVKQISDMLEMQATDYSMKVTFLELYNEDLTDLLAPEESKFSDERSKRPIALMENGKGGVFVRGLEEEVVCSASEIYKILDRGSSKRRTAETLLNKQSSRSHSIFSITIYMKESTHEGEEMIKC >DRNTG_23517.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22207319:22212884:-1 gene:DRNTG_23517 transcript:DRNTG_23517.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSQRRAGMVPLSPSHAPRPSEKLGNGSSNGKHHDRDKGLNVQVLLRCRPLSEEEIRANVPMGIACEEIRREVSAFLSMANKQIDKTFTFDKVFGPSSKQKELYDQAISPIVNEVLEGYNCTIFAYGQTGTGKTFTMEGERKTKNGEFPSDAGVIPRAVKQISDMLEMQATDYSMKVTFLELYNEDLTDLLAPEESKFSDERSKRPIALMENGKGGVFVRGLEEEVVCSASEIYKILDRGSSKRRTAETLLNKQSSRSHSIFSITIYMKESTHEGEEMIKC >DRNTG_27221.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:877561:881452:1 gene:DRNTG_27221 transcript:DRNTG_27221.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANLIDSDAEVDDGRLPGLEPTKPGEKPRVVVLGSGWAACRFLKGLDTKIYDVVCISPRNHMVFTPLLASTCVGTLEFRSVAEPVSRIQPALSGAPNSYFYLASCMGVDTDKYEVYCEATPNAGLPSDPYLFKVAYDKLVIAAGADPLTFNIKGVREHALFLREVNHAQEIRKKLLLNLMLSENPGILEEERKRLLHCVVIGGGPTGVEFSGELSDFIMRDVRQRYSHAKDYIRVTLIEANEILSSFEVGLRQYATNHLTRSGVHLVQGVVKEVLPDKILLSDGTDIPYGLLVWSTGVGPSQFVKSLDLPKAPGGRIGIDEWLRVPSVEDVFALGDCAGFLEQTGKQVLPALAQVAERQGKYLAELFRKIGKENGGKAGCAADIPLGDPFIYRHLGSMASVGRYKALVDLRQNKDAKGLSMAGFLSWFIWRSAYLTRVVSWRNRFYVAVNWATTFVFGRDNSRIG >DRNTG_27221.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:877561:881452:1 gene:DRNTG_27221 transcript:DRNTG_27221.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANLIDSDAEVDDGRLPGLEPTKPGEKPRVVVLGSGWAACRFLKGLDTKIYDVVCISPRNHMVFTPLLASTCVGTLEFRSVAEPVSRIQPALSGAPNSYFYLASCMGVDTDKYEVYCEATPNAGLPSDPYLFKVAYDKLVIAAGADPLTFNIKGVREHALFLREVNHAQEIRKKLLLNLMLSENPGILEEERKRLLHCVVIGGGPTGVEFSGELSDFIMRDVRQRYSHAKDYIRVTLIEANEILSSFEVGLRQYATNHLTRSGVHLVQGVVKEVLPDKILLSDGTDIPYGLLVWSTGVGPSQFVKSLDLPKAPGGRIGIDEWLRVPSVEDVFALGDCAGFLEQTGKQVLPALAQVAERQGKYLAELFRKIGKENGGKAGCAADIPLGDPFIYRHLGSMASVGRYKALVDLRQNKDAKGLSMAGFLSWFIWRSAYLTRVVSWRNRFYVAVNWATTFVFGRDNSRIG >DRNTG_27221.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:877354:881452:1 gene:DRNTG_27221 transcript:DRNTG_27221.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLIDSDAEVDDGRLPGLEPTKPGEKPRVVVLGSGWAACRFLKGLDTKIYDVVCISPRNHMVFTPLLASTCVGTLEFRSVAEPVSRIQPALSGAPNSYFYLASCMGVDTDKYEVYCEATPNAGLPSDPYLFKVAYDKLVIAAGADPLTFNIKGVREHALFLREVNHAQEIRKKLLLNLMLSENPGILEEERKRLLHCVVIGGGPTGVEFSGELSDFIMRDVRQRYSHAKDYIRVTLIEANEILSSFEVGLRQYATNHLTRSGVHLVQGVVKEVLPDKILLSDGTDIPYGLLVWSTGVGPSQFVKSLDLPKAPGGRIGIDEWLRVPSVEDVFALGDCAGFLEQTGKQVLPALAQVAERQGKYLAELFRKIGKENGGKAGCAADIPLGDPFIYRHLGSMASVGRYKALVDLRQNKDAKGLSMAGFLSWFIWRSAYLTRVVSWRNRFYVAVNWATTFVFGRDNSRIG >DRNTG_27221.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:877354:881452:1 gene:DRNTG_27221 transcript:DRNTG_27221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLIDSDAEVDDGRLPGLEPTKPGEKPRVVVLGSGWAACRFLKGLDTKIYDVVCISPRNHMVFTPLLASTCVGTLEFRSVAEPVSRIQPALSGAPNSYFYLASCMGVDTDKYEVYCEATPNAGLPSDPYLFKVAYDKLVIAAGADPLTFNIKGVREHALFLREVNHAQEIRKKLLLNLMLSENPGILEEERKRLLHCVVIGGGPTGVEFSGELSDFIMRDVRQRYSHAKDYIRVTLIEANEILSSFEVGLRQYATNHLTRSGVHLVQGVVKEVLPDKILLSDGTDIPYGLLVWSTGVGPSQFVKSLDLPKAPGGRIGIDEWLRVPSVEDVFALGDCAGFLEQTGKQVLPALAQVAERQGKYLAELFRKIGKENGGKAGCAADIPLGDPFIYRHLGSMASVGRYKALVDLRQNKDAKGLSMAGFLSWFIWRSAYLTRVVSWRNRFYVAVNWATTFVFGRDNSRIG >DRNTG_18709.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14741683:14743623:1 gene:DRNTG_18709 transcript:DRNTG_18709.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKNSIMTAKNSRNGGRIYHAGGERGRSCTSLLTFSTLSNLALSNSRGLESGDYMGVDASRARAEFPHGKLVELLFYVHKSECSSVTAFVPLQMDVLTRIREGMNASHSYRTSTYHSVTEFSIRTGLYNIAYTGIVEYGRLPVDFPVSVTPHHAYKILYGHGEYEPWVSKATSLSQSSYRYLNVVISRSVSSGADNTYALTEQDILFLYSMARNVPIHLGWILADILRYEGQSVRVGLIFAGPYITRLILGMGLGYTLRGAERIVAPTPLAFDTIRMIGLVRRCGPGIYILATATPEIARSEGVTAEGSPQIPRPPAAQGPRAYDCIERHESVMREIQTEIAKLRAIQSAQYTDLMARFDFLRDLLRSRPSVPLAPSSSTPAPVDPPFASSPAAAVTPELEGNTDI >DRNTG_22258.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:917451:922959:-1 gene:DRNTG_22258 transcript:DRNTG_22258.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEQKQAEIIDRFVKQAEGMEGAPLAALVLEATSHPSLFAFSEILSSPNIAKLQGTRFSSSIDLLRLFAYGTWSDYKSNAGGLPTLLPDQVLKLKQLSVLTLAETNKVLPYDQLILEIDVANVRELEDFLINECMYAGIVKGKLDQLRRCFEVQFAAGRDLRPGQLSNMIDTLADWLGNSDNLLQSIQGRIRWADRMNEVDKMHRKDIEDRVEDVKKSLKVS >DRNTG_22258.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:916786:922959:-1 gene:DRNTG_22258 transcript:DRNTG_22258.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEQKQAEIIDRFVKQAEGMEGAPLAALVLEATSHPSLFAFSEILSSPNIAKLQGTRFSSSIDLLRLFAYGTWSDYKSNAGGLPTLLPDQVLKLKQLSVLTLAETNKVLPYDQLILEIDVANVRELEDFLINECMYAGIVKGKLDQLRRCFEVQFAAGRDLRPGQLSNMIDTLADWLGNSDNLLQSIQGRIRWADRMNEVDKMHRKDIEDRVEDVKKSLKKFSRPT >DRNTG_22258.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:916786:922959:-1 gene:DRNTG_22258 transcript:DRNTG_22258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEQKQAEIIDRFVKQAEGMEGAPLAALVLEATSHPSLFAFSEILSSPNIAKLQGTRFSSSIDLLRLFAYGTWSDYKSNAGGLPTLLPDQVLKLKQLSVLTLAETNKVLPYDQLILEIDVANVRELEDFLINECMYAGIVKGKLDQLRRCFEVQFAAGRDLRPGQLSNMIDTLADWLGNSDNLLQSIQGRIRWADRMNEVDKMHRKDIEDRVEDVKKSLKADLNLRGHDETYFEPGRLMDHEDRSRPKRRRHPMS >DRNTG_22258.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:916786:922959:-1 gene:DRNTG_22258 transcript:DRNTG_22258.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEQKQAEIIDRFVKQAEGMEGAPLAALVLEATSHPSLFAFSEILSSPNIAKLQGTRFSSSIDLLRLFAYGTWSDYKSNAGGLPTLLPDQVLKLKQLSVLTLAETNKVLPYDQLILEIDVANVRELEDFLINECMYAGIVKGKLDQLRRCFEVQFAAGRDLRPGQLSNMIDTLADWLGNSDNLLQSIQGRIRWADRMNEVDKMHRKDIEDRVEDVKKSLKQADLNLRGHDETYFEPGRLMDHEDRSRPKRRRHPMS >DRNTG_22258.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:916786:922959:-1 gene:DRNTG_22258 transcript:DRNTG_22258.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEQKQAEIIDRFVKQAEGMEGAPLAALVLEATSHPSLFAFSEILSSPNIAKLQGTRFSSSIDLLRLFAYGTWSDYKSNAGGLPTLLPDQVLKLKQLSVLTLAETNKVLPYDQLILEIDVANVRELEDFLINECMYAGIVKGKLDQLRRCFEVQFAAGRDLRPGQLSNMIDTLADWLGNSDNLLQSIQGRIRWADRMNEVDKMHRKDIEDRVEDVKKSLKVS >DRNTG_06694.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3438896:3441018:-1 gene:DRNTG_06694 transcript:DRNTG_06694.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKHKIFLVMGVIEKEAYTLYCTVLFFDPQGQYLGKHRKLMPTAIERIIWGFGDGSTIPVYETPLGKIGSLICWENRMPLLRTALYAKG >DRNTG_06694.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3437424:3441018:-1 gene:DRNTG_06694 transcript:DRNTG_06694.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKHKIFLVMGVIEKEAYTLYCTVLFFDPQGQYLGKHRKLMPTAIERIIWGFGDGSTIPVYETPLGKIGSLICWENRMPLLRTALYAKGVEIYCAPTADASDVWQASMKHIAVEGGCFVLSANQFCRRKDYPPPPDYVFAGFDSEPLPEFVACAGGSVIISPSGVVLAGPNFEGEALISAELDLGEIVRAKFGFDVVGHYSRPDVLSLIVRDHSLKPVVFTSAEKKADNEQKLP >DRNTG_06694.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3437424:3439819:-1 gene:DRNTG_06694 transcript:DRNTG_06694.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAGPEVDRLAAMAGKHKIFLVMGVIEKEAYTLYCTVLFFDPQGQYLGKHRKLMPTAIERIIWGFGDGSTIPVYETPLGKIGSLICWENRMPLLRTALYAKGVEIYCAPTADASDVWQASMKHIAVEGGCFVLSANQFCRRKDYPPPPDYVFAGFDSEPLPEFVACAGGSVIISPSGVVLAGPNFEGEALISAELDLGEIVRAKFGFDVVGHYSRPDVLSLIVRDHSLKPVVFTSAEKKADNEQKLP >DRNTG_19276.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:470964:474108:1 gene:DRNTG_19276 transcript:DRNTG_19276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLFCCLQVEQSNVAIRETFRRFDDVLEPGFHCLPWFLGKRVAGYLSLRVKQLDVRCETKTKDNVFVTVVASIQYRAIAERASDAFYKLSDTRGQIQSYVFDVIRSSVPKLDLDDIFEQKNDIAETVKEELEKAMAAYGYEIVQTLIVDIAPDERVKRAMNEINAAARLRVAATEKAEADKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSHNVPGTTSKDIMDMVLVTQYFDTMKEIGATSKASSVFIPHGPGAVKDIVSQISDGLLQANTIIPTD >DRNTG_19276.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:470964:474108:1 gene:DRNTG_19276 transcript:DRNTG_19276.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLFCCLQVEQSNVAIRETFRRFDDVLEPGFHCLPWFLGKRVAGYLSLRVKQLDVRCETKTKDNVFVTVVASIQYRAIAERASDAFYKLSDTRGQIQSYVFDVIRSSVPKLDLDDIFEQKNDIAETVKEELEKVIKFFFNKMFTTLLKCILLHITSCPLNKTYLYACVTQAMAAYGYEIVQTLIVDIAPDERVKRAMNEINAAARLRVAATEKAEADKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSHNVPGTTSKDIMDMVLVTQYFDTMKEIGATSKASSVFIPHGPGAVKDIVSQISDGLLQANTIIPTD >DRNTG_28390.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17876950:17877665:1 gene:DRNTG_28390 transcript:DRNTG_28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQVRTLSYASGQFSIRLMARLKARQIPVRKHLTPTSGEGGGRKGTLIPKSDRDSLRNQADLRKKEKVGISRSLTMEFSGEFSLAV >DRNTG_31378.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001695.1:23654:31639:-1 gene:DRNTG_31378 transcript:DRNTG_31378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPSLTPENEPAEANALPPAKAFPADTVDGAGDGPAGPVPIAVEKLRHQVDTIISKVDELEVKVNEVAQFYANKKRSKGAKDGKDKKMAVSSCSNLIVCESALNVKDGSSCTKRMQELMRQFGTILRQIIKKPMDFSTIKNQMEAKDGTGYKNVREIYADVRLVFTNAMTYNDEKNDIHVMAKTLLDKFEEKWLQLLPKVIEEERRQKEEEAQAHENMQIAQEAAVSKMAKDMNNELAVLNSQLDGLRELVVQKCRKMSAEEKRRLGAGLSNLSAEDLSKVLEIIAQNNPSFRATTEEVEIDLDAQSETTLWKLKFFMKGAMELQTKNSPSNADENSKRKKEICDALAKTAKRRSKLLSSA >DRNTG_02270.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:940950:942137:1 gene:DRNTG_02270 transcript:DRNTG_02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPVIISTGITFDRDNIERWIFSGKRKTCPVTNQALQDLDLTPNHTLRRLIQAWCTANTSKGVERFPTPRQPISKAELSHLLVCINSTVPDDRLASLLKLKSIVLTSERNKQFVEASGAVDILVSIIKEKYNMSIDDEEEEEECSVSACDEALCILCSLQLSDETLVDIISRNTDFVEALTMMLHRSNYQTRAYASLLLRFIVSVIPPVRLVGLKEELFEGVVRVIKDKVSYQASKAGLRILAWICPWGRNRMMAVEAGAVTTLIELLLDEPEKIICELILLVLDLMCGCAEGRSEMMKHAAGIAVVSRMMLRVSSVATERSVRIVYSLIKFSATPAILNEMLAVGTVSKLCFVLQIDCGVKIKEKVKEILRLHSMAWKHSPCLSPQLQSSYPS >DRNTG_35033.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15359072:15360380:1 gene:DRNTG_35033 transcript:DRNTG_35033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVFILLFSSSLLLSLACACDRCVHQSNASYSTSFLAIAAGTCGYGAIAMDFNGGYVAAARPALYREGLGCGGCFQIRCKDSKLCTTGGVKVVLTDLNKNSQTDFILSRKAFMALGRPGMALKLMRLGTVDIEYKRIPCEYKKHNLTVRVEETSQKPSNLAINFLYQGGQTDIVLVDVAKVGSSDWKYMTRSGHSAVWNTSNAPAGEGLQFRLVVTGGYDGKWVWTEKEVLPADWKIGSMYDSGVQITDIAQEGCSPCDTGDWKRIN >DRNTG_26954.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30177511:30187064:1 gene:DRNTG_26954 transcript:DRNTG_26954.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGGVSSSISVCMVCRMAEL >DRNTG_19698.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001041.1:39113:48980:-1 gene:DRNTG_19698 transcript:DRNTG_19698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRALNLLEKLHHEDVLPDTITYNILISWHCKASMLDDANRLLKNQVFGYQSSKKVRISHYLASVSMVNLSWTL >DRNTG_01958.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32607297:32610939:1 gene:DRNTG_01958 transcript:DRNTG_01958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMVAAGLQMVAARPYISSSRMVLASGMAVSGFNKRVSTCANKLKSSLHISSAQPLALSRTSVSLKFNSLVTRAMSTENEKSGAPGLPIDLRGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRLLPNGSLMEIVKVYPLDAVYDAPEDVPEDVKSNKRYAGASNWTVTEVAESVKKDFGSIDILVHSLANGPEVTKPLLETSRKGYLAAISASSYSFVSLLKHFLPIMNPGGASISLTYIASERTIPGYGGGMSSAKAALESDTRVLAFEAARKAKIRVNTISAGPLGSRAAKAIGFIEKMIDYSYANAPLQKELLADEVGNVAAFLVSPLASAVTGSMVYVDNGLNTMGLALDSPTLAI >DRNTG_01958.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32607297:32610939:1 gene:DRNTG_01958 transcript:DRNTG_01958.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMVAAGLQMVAARPYISSSRMVLASGMAVSGFNKRVSTCANKLKSSLHISSAQPLALSRTSVSLKFNSLVTRAMSTENEKSGAPGLPIDLRGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRLLPNGSLMEIVKVYPLDAVYDAPEDVPEDVKSNKRYAGASNWTVTEVAESVKKDFGSIDILVHSLANGPEVTKPLLETSRKGYLAAISASSYSFVSLLKHFLPIMNPGGASISLTYIASERTIPGYGGGMSSAKAALESDTRVLAFEAARKAKIRVNTISAGPLGSRAAKAIGFIEKMIDYSYANAPLQKELLADEVGNVAAFLVSPLASAVTGSMVYVDNGLNTMGLALDSPTLAI >DRNTG_00202.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12411384:12414692:1 gene:DRNTG_00202 transcript:DRNTG_00202.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYCSSGTVARHSSKITEAATAAHGEFSSHESNHGIRPQWCPVQFYLQIQGARNLNGSNSISATKVDAHADFIKDQRPQGNPSCSTYNPGWKYHPNSLSQEQNQKVAPPQGSQMPQPPLIKRFTTEDVLAKFMINTESRFLDGNTKFDALTTELHALDGKCNARFEAIDATLLLVQASLHKLENRVEQLFQERAIELPTTSNNKIEEKYDGREVVEYFGALEVKKVEEVAQISLELALSMEVTQITKHKKELFGTIEDMGRRSKPSNDPPMLMLDTSQPKFFPWRPKRFFWVINGRLTLVEEGDIGRRFTPSKDPPMPSLKSFQPYLFPWRPKRFLRKLQGNSAGNRMGAGGCSNHLRIRPSLSCTTLSPKRFSMEA >DRNTG_14080.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10226640:10229324:1 gene:DRNTG_14080 transcript:DRNTG_14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQDMTPESHIVAGKKASTNRFKNVALNVVARAVSARFNLIVSDTHVNNRLRHTHTGEEIYINKLIQDYDEMAIVCGNDQVTGPFARKGAQSSRSLGARMDQPPTSPVIDLDNQPQGFDDFDDFEPSETPNDTPTTATYMAKKISSRGSSMLEPERVAIIDQLMEALHACRQPGITHRDFNSVECFGERWAMRGVVGTPYYFALEMVAGREYKEMVDVWSSGVILCLMLGGIPLFYGETTMDIFKAVLRGNLRF >DRNTG_35490.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23509965:23513301:1 gene:DRNTG_35490 transcript:DRNTG_35490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSKSKSGLSEASSNKTTPATPRVSKIGRAGSAKTDSDLPSPMQIPRLSIDRSPRSIESKPAVERRATKMSSTPPDKQSRPLKASELQAQLNAVQDDLKKAKERFALVEKEKTQALEELKDAKRLAEEANEKLQEALVAKKKAEEGLEIEKFRADELEQAGIEAAQKRDEEWQKELENVQSQHTMDVSALLSTTQELQRVKHELVMTTDAKNTALSHADDAMKIAEINAEKVEILSGEVNRLKALLDSKLESKSSEAAEQVKQLDLEILALKQELEKAKAAEEKLVQMEALIERLRIEVIDVKKAESEVSNLVDEWKKKAELLESRVDEATQSEKSSLDSLASAQKQLEDTNASLQDAESEIGALKGKVESLEIEVAMYKQDLEESNRFVDLAKQEAVELGKTVEVLKSDLKTVEEEKLRALNNEKVAASNMESLSDEKEKLANELEMACQEGEKVKRAMEGLTSALHEASAEARDTQERLLMKQAEVESCRTQIEELQLALKNTQESCEVKLDEAKYDVVCLRNSVEKYETEARSSKAEWDEKESSFVNAVRKSEEEIVAIKLEMSKAASSLEAAKLEVQTVKGEGVELLSKLRKAESDLSIANKTVEETKAESLQLKEKLLDRENEVQSITQENDELRCREAAAQEKVKELSALLADAIAKKDEENCEFELSKSEKEYELLPDAAEIPKEDTEKPMAKEDLKVDEFFREESIPKEEKGNAYAEEAAANAGPKMWESCKITDKDLSPEREHEAESIDDDNDSKTDTRSFEQTNGLPSEDVENGSTSPTKQQQKKKKPLLQKFGSLLKKKNNHK >DRNTG_23849.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001280.1:41578:45333:1 gene:DRNTG_23849 transcript:DRNTG_23849.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRAAERKNPNPNPNPNWAQLQKKLKSHRPRPSPTTNSTAEESATSSVLGKRKERPGSEPEPEPEVSPASVLSPTSDDCSLTKALAMDCEMVGVSSDGSKSALGRVTLVNTWGNVVYDEYVRPIERVVDFRTKISGIHPSHLKKAKKFWVVQKEVADMIKGRVLIGHALHNDLKVLLLSHPKRDIRDTSAYQPLQSQGRPKKSTQGSCR >DRNTG_23849.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001280.1:41578:45333:1 gene:DRNTG_23849 transcript:DRNTG_23849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRAAERKNPNPNPNPNWAQLQKKLKSHRPRPSPTTNSTAEESATSSVLGKRKERPGSEPEPEPEVSPASVLSPTSDDCSLTKALAMDCEMVGVSSDGSKSALGRVTLVNTWGNVVYDEYVRPIERVVDFRTKISGIHPSHLKKAKKFWVVQKEVADMIKGRVLIGHALHNDLKVLLLSHPKRDIRDTSAYQPLQREGRRRALKDLADEVLGVKIQRRQHCPIEDARAAMLIYKKHKKEWEKSIKGHFRLKKKKLKNKSKKNPANRNKLFMQHDGETTTG >DRNTG_07608.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:8510280:8515511:1 gene:DRNTG_07608 transcript:DRNTG_07608.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYKPSRKSYHLKSMKATLAASSERATLEDGRERR >DRNTG_22906.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:6760264:6762273:1 gene:DRNTG_22906 transcript:DRNTG_22906.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 11 [Source:Projected from Arabidopsis thaliana (AT1G68850) UniProtKB/Swiss-Prot;Acc:Q96519] MVALVGAHTIGKARCASFRDRIYGDFEITSGMELTSETYLSSLQSTCPRSGGDENTSPMDNITPNLFDNNFYRILLHGEGLLNSDQELYSSWLGFETASLVQKYALDPIAFFNQFSESMVKMGNITNPAGGEVRNNCRFVNS >DRNTG_08080.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000358.1:2037:3707:-1 gene:DRNTG_08080 transcript:DRNTG_08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEVDETYSGVKEYSENYFTDVMQFADFGPRLSLNQTRNVSEDENYFSRFPISNEKMQEDAFMVVTPPMPLAFPPLGVRERNEEENEEGRFSENASSVQQQCFVTGFNEKMVGGEGKNKRRKTRTMKTTEEVESQRMTHIAVERNRRKQMNEHLRVLRSLMPSSYVQRGDQASIIGGAIEFVRELEQLLQCLESQKRRRIFGGGEAHRNIGDVSSIPIQQCQQPPLFSPIEIQDGDQMKLPEFLPNGPREEMAENKSCVADIEVRLLGFDAMIKILSKRRPGQLLKTIAALEEMQLSILHTNITTIEQTVLYSFNVKIMVETRSSAEEIANSVQQILSFIDASTI >DRNTG_05346.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18452412:18454753:1 gene:DRNTG_05346 transcript:DRNTG_05346.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNHPKENNSKRIPKRTASNRSPTVEVEKFPRSSRKYKISSRALHY >DRNTG_17972.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12369737:12372268:1 gene:DRNTG_17972 transcript:DRNTG_17972.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSYHPSEESHSITQSTEWQGQEPFNYHQRCHTETANGYPKNSKVVKGPL >DRNTG_19415.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12114656:12118567:1 gene:DRNTG_19415 transcript:DRNTG_19415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSLPISSMLSSSDFFGKKVDILNELTYDQLNARIFQHLKEKILGDDLRFYGLDFHKINTWISCAFLDFNWFSHSAALILKIADALSSVCGSGQRVCFLIHADAQINELNLPASTLGWNGEGELFATGSFSGLVCIWSKNG >DRNTG_15705.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4420223:4423019:1 gene:DRNTG_15705 transcript:DRNTG_15705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVVLSPESEPVLKPVRLSKAAAILSRFIAEGSCSRRGASAYLRLASAAFDELVEVHREIRAHRKNDEVDNREKGEEFDRKRKKRNGEVNDKGGNFDDRPTKRRRRELEAEDQ >DRNTG_15705.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4420223:4422994:1 gene:DRNTG_15705 transcript:DRNTG_15705.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVVLSPESEPVLKPVRLSKAAAILSRFIAEGSCSRRGASAYLRLASAAFDELVEVHREIRAHRKNDEVDNREKGEEFDRKRKKRNGEVNDKGGNFDDRPTKRRRRELEAEDQ >DRNTG_10900.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000477.1:1:2684:-1 gene:DRNTG_10900 transcript:DRNTG_10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSILGQKVGRGASIEAYPILDKGILARRVEDFPQDH >DRNTG_11735.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15442704:15444427:1 gene:DRNTG_11735 transcript:DRNTG_11735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDTAFLHKIVIGGAFVGIDSGRGLLDLLRMLLQYLDAYSEPWSGTVARLLDDFQIRNRSKPYGLNAAQD >DRNTG_33598.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4450288:4453344:1 gene:DRNTG_33598 transcript:DRNTG_33598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFHWYLLLLLLIIPILISSFIYQLDTFDAAPLPNDHDYFSETISVPKRHNHVLSVSERVGEGLLFGPEDLAYDADRGLLFTGCDDGWIKTVNLRDDDDDYLKVENWTFVGGRPLGLALAKDGSLVVAEPYQGLLLIRERGKVELLTESAEGLRFGLTDGVDVTLDGKIYFTDASYKYPLKDYMLDVMEARPHGRLLSYDPKTNQTLVLALDLYFANGLALSPDEHAIVFCETLLRQCKKYHIKDEKKGTIELFIQNLPGFPDNIRYDREGHYWIGLTAGKTRLWDLIFKYPFIRKLLVALEKYNVKIPNGMVNGGVLSVDLDGRPVSLYSDKGLYHVTGGLKVGKYLYQGSLTKPYLNRIDLNKHAAQAKYE >DRNTG_30940.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2501739:2504796:1 gene:DRNTG_30940 transcript:DRNTG_30940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLLQGYSSAEEEDDDRLSSSSIEDEGDDDGLFGSSRSKRDGRTSYSAPAQAGTNSILPSALDAFAEVTGPPGFLNNCVGAVEENEVDRSERSRPREKKGPSVGVVLEAKPQLVGIRDHVRSDVEGSAPPSVSSKSGEGKRVVTATNPEAKDAADLLRMCLQCGIPKTYSNAKGMVCPQCNDRPLDQMKESEKKKGSTIKDKEKNKRMKGQSSHATWKSETEMQLRQQFD >DRNTG_22298.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:905868:906839:1 gene:DRNTG_22298 transcript:DRNTG_22298.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITLPLPPPAAAAAAAAAAAAAAATGKYNESINSSRLALLHRGQPYGQCNGKVNPPKRGCPRIYGCPSDQ >DRNTG_22298.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:905868:906839:1 gene:DRNTG_22298 transcript:DRNTG_22298.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKVLWIQTGRLALLHRGQPYGQCNGKVNPPKRGCPRIYGCPSDQ >DRNTG_22889.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1277645:1282119:1 gene:DRNTG_22889 transcript:DRNTG_22889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLSEIDRYASENVNKLLVGNKCDLTANKVVSYETAKAFADEIGIPFMETSAKNATNVEQAFMAMAASIKTRMASQPAANNARPPTVQIRGQPVNQNSSCCSS >DRNTG_30449.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:359765:362754:-1 gene:DRNTG_30449 transcript:DRNTG_30449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCDKCQKKLSKVIVPDKWKEGASNTTEGGGRKINENKLLSKKQRWTPYGNTKCIICKQQVHQDAKYCHTCAYSKGKKLDA >DRNTG_30449.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:359962:362754:-1 gene:DRNTG_30449 transcript:DRNTG_30449.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCDKCQKKLSKVIVPDKWKEGASNTTEGGGRKINENKLLSKKQRWTPYGNTKCIICKQQVHQDAKYCHTCAYSKGVCAMCGKQVIDTKFYKQKQCMI >DRNTG_14047.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4887555:4897867:-1 gene:DRNTG_14047 transcript:DRNTG_14047.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGEVEGRSPAEKGVQDSDVVTVELPAPDGWKKKKAGGARKPEIVFVAPTGEEIKSKRQLDQYLRSNPGGPPSSEFDWGTGDTPRRSARISEKAKATESPEAERPKET >DRNTG_21337.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17020538:17020732:-1 gene:DRNTG_21337 transcript:DRNTG_21337.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIYDNQLGLEFQSEIQTLARVEHLSLVKFFGFLEYGDERIVVVEYVPNGTLREHLDCEFLNVVK >DRNTG_03807.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18271815:18273942:1 gene:DRNTG_03807 transcript:DRNTG_03807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLCQDHHFKNVGSSCDDEQVSERFVCLYDLFLLLKAPCQYGLSCRSYITDQVENHPHLDNGAQSSSSSSPSSDASSSYMVIT >DRNTG_31068.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30720379:30722103:-1 gene:DRNTG_31068 transcript:DRNTG_31068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSPAVFANAELIKMYTGRRVRAVVQVIRNEGGVLVGKSTDGQQLTVKGSPAFPLSHFVEVIGIADGNQSIRAEISTDLGENFDAVAYDGLCQLANGKHRKLFL >DRNTG_12668.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1163318:1167521:-1 gene:DRNTG_12668 transcript:DRNTG_12668.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEX22 [Source:Projected from Arabidopsis thaliana (AT3G21865) UniProtKB/TrEMBL;Acc:A0A178VH76] MKEDDAGQPGLRPSGSDATSHTSTLAQSNEVSTSTGGSSVQDIVHDLFKPVNVTLGQLVRQRFCEGRKVTCRLLGVILVETTPEELQKHATVRPSVLEVLLELAEYCDLYLMERILDDESGERIMSSLNDAKIFKSGGLISDKVLFCSTENGRISFVRQIEPDWHIDTNSEIISQLARFIKYQLHISPSRSERIASNVFSSINLEQFIGVPDQS >DRNTG_12668.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1163318:1168007:-1 gene:DRNTG_12668 transcript:DRNTG_12668.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEX22 [Source:Projected from Arabidopsis thaliana (AT3G21865) UniProtKB/TrEMBL;Acc:A0A178VH76] MKEDDAGQPGLRPSGSDATSHTSTLAQSNEVSTSTGGSSVQDIVHDLFKPVNVTLGQLVRQRFCEGRKVTCRLLGVILVETTPEELQKHATVRPSVLEVLLELAEYCDLYLMERILDDESGERIMSSLNDAKIFKSGGLISDKVLFCSTENGRISFVRQIEPDWHIDTNSEIISQLARFIKYQLHISPSRSERIASNVFSSINLEQFIGVPDQS >DRNTG_20477.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1928075:1928832:-1 gene:DRNTG_20477 transcript:DRNTG_20477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAIKVFSLNIRGASKSFLSECESLRSIRHRNLIKIITSCVSIDSRGNDFKALVLDLMQNGSLDSWLHPVENQQQQQQSPLSLIQRLNVAIDVADALEYLHHSCQPPVVHCDLKPSNVLLDDDMNAQKCRRLWSCKDPHEQQQQLMAELHNLNRDHQRNHWLCSSRVWFWE >DRNTG_12962.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30459361:30460205:1 gene:DRNTG_12962 transcript:DRNTG_12962.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLSSPQFHPLTSLMPAKISTRTSAT >DRNTG_07167.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31701918:31712745:-1 gene:DRNTG_07167 transcript:DRNTG_07167.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:timeless family protein [Source:Projected from Arabidopsis thaliana (AT5G52910) TAIR;Acc:AT5G52910] MDAEGLSIICTGLGFADEDDNGGIRGYVKSEHCLDNLKDLQRYLRREDPMQRDVFKQICKWRTVPQDLIPIIEYYQSDRNLVINAVKVLVFLTMPIDPTSCDIAQQMEYMWHLKAAMTHNVVLASIVSLLEDPLDHLERDAFTEDDWKLVQLVLTLFRNALAIQDIPLHHKASGSTTQFLFLRERFLELMFQENAMDIILVLTQHIDGSCGYLHEDNLLLLEIYHYIFLGQDADLILKASKKSSKADEDVATSVDSLKLMMEEESKGRITRLRNSECHSNFSGMFTRLTMDGSRTIYKGNPVCAGDGMLKVHKIHRGPVKKTAWDYESASSAKENILQLLYDFLNQFLSGGYNALMQSVFSDIINENQAVQNADIIIFFQVAQFAIAFQYQRISSSKKQNTEDSISEAAPDHGPADNLFHGSLCGPIAATVNEAMFSLVISKWRETFEGLKQTNDFKSLSAAGSLIKNMIRMLDTVLKLLPEDSKESHTARVLLYKIFYDQTEEGLTQFLLNLFKSFDTHKQPKSDLADLLEIIHIVLRLMEKLQDRGTLRVSRKSRKQRKKKTKDTNEVEVANQGKDKENPEKTGSPSSAELSKPDTVDKELGTSTSNPITEPGVATLGQGNLTDGPSHIDIERSNDNIADPVYDTDGSSSEDEVPATYEVDFNISKLVVSFASEDSIRNLCWLLKFYKSNSACTNHYITCMLKRFCDDLDLYPMLYQLSLMTTFHEILAEQKSSVSNEYKNIVDFLSKVARRMLRTIKHQPLLFVEMLFWKTRKECHCINAEVIQGDLNKLKGEIRNFDGEVGQPNDRAGSTYKSMAESLGDDEADVIIQHNFNDQRLENSLDDLRKDFLNSKRSVGSQSSPTSMLDGDFDKNGQNEGVPSDPQGHKPSKRQKGFVPVFDQEQEDTLRLLYEKYKDDARCSRLIAEAMDPDGKITAVHVSAKLRRLGLKISGRKRFVSDDVPGSSNKLKDSSFPETSTHNRKRVRAFSEEQEHEIKVLFERFKDHKKCSHMIANALDGEGKYTAAQVSRKLKNLGLVVPQKKKSSEARKQLSDIELTDSGEQSDEETLQAILKRKMKSSETSKQSSDMELTDRGEQSDEETLLAIKKRNTRKKSKPSTQVTATEISNHETTEQENSVHDEELNRMQIDEGSGGLEAVDSAALRGTDSLKDKLNDSDIDEPDRGPAISADQHADQWLQKHKELEDIIDSGDDTSPVQSTRPGSRRTFKMIVDIDDDE >DRNTG_07167.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31703975:31705865:-1 gene:DRNTG_07167 transcript:DRNTG_07167.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:timeless family protein [Source:Projected from Arabidopsis thaliana (AT5G52910) TAIR;Acc:AT5G52910] MLDGDFDKNGQNEGVPSDPQGHKPSKRQKGFVPVFDQEQEDTLRLLYEKYKDDARCSRLIAEAMDPDGKITAVHVSAKLRRLGLKISGRKRFVSDDVPGSSNKLKDSSFPETSTKRVRAFSEEQEHEIKVLFERFKDHKKCSHMIANALDGEGKYTAAQVSRKLKNLGLVVPQKKKSSEARKQLSDIELTDSGEQSDEETLQAILKRKMKSSETSKQSSDMELTDRGEQSDEETLLAIKKR >DRNTG_07167.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31701918:31712745:-1 gene:DRNTG_07167 transcript:DRNTG_07167.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:timeless family protein [Source:Projected from Arabidopsis thaliana (AT5G52910) TAIR;Acc:AT5G52910] MLKVHKIHRGPVKKTAWDYESASSAKENILQLLYDFLNQFLSGGYNALMQSVFSDIINENQAVQNADIIIFFQVAQFAIAFQYQRISSSKKQNTEDSISEAAPDHGPADNLFHGSLCGPIAATVNEAMFSLVISKWRETFEGLKQTNDFKSLSAAGSLIKNMIRMLDTVLKLLPEDSKESHTARVLLYKIFYDQTEEGLTQFLLNLFKSFDTHKQPKSDLADLLEIIHIVLRLMEKLQDRGTLRVSRKSRKQRKKKTKDTNEVEVANQGKDKENPEKTGSPSSAELSKPDTVDKELGTSTSNPITEPGVATLGQGNLTDGPSHIDIERSNDNIADPVYDTDGSSSEDEVPATYEVDFNISKLVVSFASEDSIRNLCWLLKFYKSNSACTNHYITCMLKRFCDDLDLYPMLYQLSLMTTFHEILAEQKSSVSNEYKNIVDFLSKVARRMLRTIKHQPLLFVEMLFWKTRKECHCINAEVIQGDLNKLKGEIRNFDGEVGQPNDRAGSTYKSMAESLGDDEADVIIQHNFNDQRLENSLDDLRKDFLNSKRSVGSQSSPTSMLDGDFDKNGQNEGVPSDPQGHKPSKRQKGFVPVFDQEQEDTLRLLYEKYKDDARCSRLIAEAMDPDGKITAVHVSAKLRRLGLKISGRKRFVSDDVPGSSNKLKDSSFPETSTHNRKRVRAFSEEQEHEIKVLFERFKDHKKCSHMIANALDGEGKYTAAQVSRKLKNLGLVVPQKKKSSEARKQLSDIELTDSGEQSDEETLQAILKRKMKSSETSKQSSDMELTDRGEQSDEETLLAIKKRNTRKKSKPSTQVTATEISNHETTEQENSVHDEELNRMQIDEGSGGLEAVDSAALRGTDSLKDKLNDSDIDEPDRGPAISADQHADQWLQKHKELEDIIDSGDDTSPVQSTRPGSRRTFKMIVDIDDDE >DRNTG_07167.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31701918:31708958:-1 gene:DRNTG_07167 transcript:DRNTG_07167.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:timeless family protein [Source:Projected from Arabidopsis thaliana (AT5G52910) TAIR;Acc:AT5G52910] MFSLVISKWRETFEGLKQTNDFKSLSAAGSLIKNMIRMLDTVLKLLPEDSKESHTARVLLYKIFYDQTEEGLTQFLLNLFKSFDTHKQPKSDLADLLEIIHIVLRLMEKLQDRGTLRVSRKSRKQRKKKTKDTNEVEVANQGKDKENPEKTGSPSSAELSKPDTVDKELGTSTSNPITEPGVATLGQGNLTDGPSHIDIERSNDNIADPVYDTDGSSSEDEVPATYEVDFNISKLVVSFASEDSIRNLCWLLKFYKSNSACTNHYITCMLKRFCDDLDLYPMLYQLSLMTTFHEILAEQKSSVSNEYKNIVDFLSKVARRMLRTIKHQPLLFVEMLFWKTRKECHCINAEVIQGDLNKLKGEIRNFDGEVGQPNDRAGSTYKSMAESLGDDEADVIIQHNFNDQRLENSLDDLRKDFLNSKRSVGSQSSPTSMLDGDFDKNGQNEGVPSDPQGHKPSKRQKGFVPVFDQEQEDTLRLLYEKYKDDARCSRLIAEAMDPDGKITAVHVSAKLRRLGLKISGRKRFVSDDVPGSSNKLKDSSFPETSTHNRKRVRAFSEEQEHEIKVLFERFKDHKKCSHMIANALDGEGKYTAAQVSRKLKNLGLVVPQKKKSSEARKQLSDIELTDSGEQSDEETLQAILKRKMKSSETSKQSSDMELTDRGEQSDEETLLAIKKRNTRKKSKPSTQVTATEISNHETTEQENSVHDEELNRMQIDEGSGGLEAVDSAALRGTDSLKDKLNDSDIDEPDRGPAISADQHADQWLQKHKELEDIIDSGDDTSPVQSTRPGSRRTFKMIVDIDDDE >DRNTG_07167.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31701918:31706317:-1 gene:DRNTG_07167 transcript:DRNTG_07167.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:timeless family protein [Source:Projected from Arabidopsis thaliana (AT5G52910) TAIR;Acc:AT5G52910] MTTFHEILAEQKSSVSNEYKNIVDFLSKVARRMLRTIKHQPLLFVEMLFWKTRKECHCINAEVIQGDLNKLKGEIRNFDGEVGQPNDRAGSTYKSMAESLGDDEADVIIQHNFNDQRLENSLDDLRKDFLNSKRSVGSQSSPTSMLDGDFDKNGQNEGVPSDPQGHKPSKRQKGFVPVFDQEQEDTLRLLYEKYKDDARCSRLIAEAMDPDGKITAVHVSAKLRRLGLKISGRKRFVSDDVPGSSNKLKDSSFPETSTHNRKRVRAFSEEQEHEIKVLFERFKDHKKCSHMIANALDGEGKYTAAQVSRKLKNLGLVVPQKKKSSEARKQLSDIELTDSGEQSDEETLQAILKRKMKSSETSKQSSDMELTDRGEQSDEETLLAIKKRNTRKKSKPSTQVTATEISNHETTEQENSVHDEELNRMQIDEGSGGLEAVDSAALRGTDSLKDKLNDSDIDEPDRGPAISADQHADQWLQKHKELEDIIDSGDDTSPVQSTRPGSRRTFKMIVDIDDDE >DRNTG_07167.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31705955:31711609:-1 gene:DRNTG_07167 transcript:DRNTG_07167.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:timeless family protein [Source:Projected from Arabidopsis thaliana (AT5G52910) TAIR;Acc:AT5G52910] MLKVHKIHRGPVKKTAWDYESASSAKENILQLLYDFLNQFLSGGYNALMQSVFSDIINENQAVQNADIIIFFQVAQFAIAFQYQRISSSKKQNTEDSISEAAPDHGPADNLFHGSLCGPIAATVNEAMFSLVISKWRETFEGLKQTNDFKSLSAAGSLIKNMIRMLDTVLKLLPEDSKESHTARVLLYKIFYDQTEEGLTQFLLNLFKSFDTHKQPKSDLADLLEIIHIVLRLMEKLQDRGTLRVSRKSRKQRKKKTKDTNEVEVANQGKDKENPEKTGSPSSAELSKPDTVDKELGTSTSNPITEPGVATLGQGNLTDGPSHIDIERSNDNIADPVYDTDGSSSEDEVPATYEVDFNISKLVVSFASEDSIRNLCWLLKFYKSNSACTNHYITCMLKRFCDDLDLYPMLYQLSLMTTFHEILAEQKSSVSNEYKNIVDFLSKVARRMLRTIKHQPLLFVEMLFWKTRKECHCINAEVIQGDLNKLKGEIRNFDGEVGQPNDRAGSTYKSMAESLGDDEADVIIQHNFNDQR >DRNTG_11546.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25267266:25268354:1 gene:DRNTG_11546 transcript:DRNTG_11546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMCSRGHPRHHGGVYAPYERSYMAPRMKKQTGKRPREHSFEPDHMEFTIPEHQARFELLLKLKFGQTRFLDLSALREVQLADDMADEVEELLTIGSWHRLLSICDHAIHMLTLKVFASFKFDRSYFSFDSINAIQFRAFGQYHTISVTQFSVCLGQYDEAFITIEVYEQLLTDYLGSLTP >DRNTG_31646.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:868288:870932:-1 gene:DRNTG_31646 transcript:DRNTG_31646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHALLLLFIFIIFISTSSPSSDQDLLLTFKTSINGSLQSLSNWSPTTPTCNFTGISCSTSSPPSITSLDLQNLNLSGSISFSSLCQLHHLSQLNLAHNLFNQPIPLSISQCTSLTSLNFSNNLLWGTLPDQFTQLTFLTVLDLSHNQLEGQIPLVLGSLQSLQVLNLGSNLFSGSVHPSIFANLTHLMHLDLSKNPSLSSELPVELGKLSKIKRIFMQGCGFFAGIPESILVLHELEVLDLSRNNLTGRIPLGFGLGLPKLLSLDLSQNRMYGSFPADVCYGKSLQELSLHANSFTGFVPDSIGKCSSLERIQIQDNEFYGQFPSGLWSLPEIRIVRAENNRFSGELPDFVKVPSLLEQIQIDNNSFTGRIPKGIGQINTLYRFSASFNGFHGDIPDNLCDSPVLSIIDLSHNSLSGSIPDLRKCKKLVSLYLADNSFTGSIPLSLAYLPVLTYIDLSSNNLSGEIPQELQNLKLALFNVSFNQLSGRVPFSLVSGLPASFLQGNPGLCGPGLPNQCGNTTSAKSSPGSRLIFAAIAISFVFGFMVLALGLFVVYRLSF >DRNTG_20848.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9683128:9685145:-1 gene:DRNTG_20848 transcript:DRNTG_20848.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKRIRNFGKRIGSEGWARGSRPRTRRLSADCSSCVRGESGPPRAGRGTDRERPARRSRGAGAFPGRRTADSELVRTRGIRLFN >DRNTG_20848.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9683128:9685145:-1 gene:DRNTG_20848 transcript:DRNTG_20848.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKRIRNFGKRIGSEGWARGSRPRTRRLSADCSSCVRGESGPPRAGRGTDRERPARRSRGAGAFPGRRTADSELVRTRGIRLFN >DRNTG_20848.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9666164:9685145:-1 gene:DRNTG_20848 transcript:DRNTG_20848.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGDAARPDAERRRAGPPLGSGRGPMRAAPAAEARADDPARGDAAGAAVDGARASPSACST >DRNTG_20848.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9666623:9685145:-1 gene:DRNTG_20848 transcript:DRNTG_20848.5 gene_biotype:protein_coding transcript_biotype:protein_coding GVRRRRRGPRGELSFLLNGPPTLETAQPEVGSSGRKSTARRAVSGAPPAARENPEDRVPPTPAGPPAEFKHINKRRKRNLRGFP >DRNTG_20848.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9683128:9685145:-1 gene:DRNTG_20848 transcript:DRNTG_20848.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKRIRNFGKRIGSEGWARGSRPRTRRLSADCSSCVRGESGPPRAGRGTDRERPARRSRGAGAFPGRRTADSELVRTRGIRLFN >DRNTG_20848.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9683128:9685145:-1 gene:DRNTG_20848 transcript:DRNTG_20848.11 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKRIRNFGKRIGSEGWARGSRPRTRRLSADCSSCVRGESGPPRAGRGTDRERPARRSRGAGAFPGRRTADSELVRTRGIRLFN >DRNTG_20848.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9666164:9685145:-1 gene:DRNTG_20848 transcript:DRNTG_20848.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGDAARPDAERRRAGPPLGSGRGPMRAAPAAEARADDPARGDAAGAAVDGARASPSACST >DRNTG_20848.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9666164:9685145:-1 gene:DRNTG_20848 transcript:DRNTG_20848.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGDAARPDAERRRAGPPLGSGRGPMRAAPAAEARADDPARGDAAGAAVDGARASPSACST >DRNTG_20848.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9666623:9685145:-1 gene:DRNTG_20848 transcript:DRNTG_20848.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKRIRNFGKRIGSEGWARGSRPRTRRLSADCSSCVRGESGPPRAGRGTDRERPARRSRGAGAFPGRRTADSELVRTRGIRLFN >DRNTG_23919.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3173590:3175736:-1 gene:DRNTG_23919 transcript:DRNTG_23919.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVLETEFIEHNLLLIVAPFQVSFETVKTKIEKSFGSFTQGKYHVVQDYLAHFDKHQMRTYVENTAQFFKTFYSIMDDLNNTALLVIANTVTSSKVSFRQDSSTDEKDYKRISSKDNCRIRFVWPHCPTEYALTNIPEAR >DRNTG_19757.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5967854:5972318:-1 gene:DRNTG_19757 transcript:DRNTG_19757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFLFSLIILLLFLHLPPLSLSLELFTDGRWILNESGHRVKLACVNWASHIDTMVTEGLSKQPLATITAYIAALGFNCVRLTYATYMVTREEFLHLPVRQSLLNLGLKSAVDGILAHNPNVIDLTLVESFKVSFIFSFSLLLFVHEFIHDIDINLIVNFFHCLQTVIQSLEKQNIMVIIDNHVSKPMWCCSGNDGNGFFGDVYFSVAEWQQGWTIMATLFHDSPNVIAMSLRNELRGYNQNSADWDTYMISGAETIHKVNPKVLVIFSGMDFDKDLSFLDPNRVRLSFEKKLVFEVHWYGFNNADQWNNGNLNQVCSKISNNLMKNAGFLLDNGFPLFMSEFGIDQRGGNTADNRYLTCFLAFAIELDIEWAIWTLHASYYIRDGIPDMEEDYSVLSYDWASPRNVTILRILSSIQVPYIGPLPVQVPEFKMLYHPLSGRCVLRSNSGKQAVLGRCSKTEAWANDNGVLKIQGTELCLHADGAEQPVTLGANCGGTDSKWDVISATNMQFSSQSSGSICLDTGPNARDLVTNPCRALANDTAEADIAVIFR >DRNTG_25378.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24281586:24283778:1 gene:DRNTG_25378 transcript:DRNTG_25378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAEFVSSLPKSIRQVNEDQDLAVAVDVEVEVEHAHTQKKLVERSNDDNDHLYGEHQQQPQQHYVNGHMDMYGLGQEWWN >DRNTG_00945.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21174311:21186265:-1 gene:DRNTG_00945 transcript:DRNTG_00945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGTENTGIIRACEVAIDGGVTKERAMLCIKVSKSRGIWNKAGLGSRMAKPRVLALTVKRSSQGPKPKAFLRILKYSAGGVLEPAKLYKLKHLSKVEVIANDPTGCTFMLGFDNLRSQSVAPPQWTMHNTDDRNRLLHGILNMCKEMLGRLPKVVGIDIVEMALWAKENKPAITDQVTTRDGPVASVLTPTDSKVTVENDLVSQAEEEDMEALLGTYVMGIGEAEAFSERLKRELLALEAANVHALLESEPLIEEVLQGLESATACVDDMDEWLRIFNVKLRHMREDIESIESRNNNLEMQSVNNKALIEELDKLLECLRIPSEYAASLTSGSFDEARMLKNVEACEWLTGAIRNLEVPNLNPCYANMRAVKEKRAELEKLKSTFVRRASEFLRNYFASLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKDCLGPLRKAYCHSLNLLLRREAREFANELRASTKASRNPTGWLEASTGSNQTANSSDTATVSEAYSKMLTIFIPLLVDESSFFAHFMCFEVPALIPPVKIGSDGNQTNDDDMSLMAAYGSDVSPSNSSSELGTLNEALQELLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRQLLDDLESRISMQFGRFVEEACHQIERNERNVRQMGQLSYIQRFATLATRMEQYIQGQSRDLVDQSYGKIVSTMFATLEKIAQADSKYADILLLENYAAFQNSLYDLANVVPTLAKFYHQASESYEQACTRHISMIIYVQFEKLFQFARKIEDLMYTITPEEIPFQLGLSRMDLRKTLKASLSSVDKSINAMYRKLQKNLTSEELLPSLWDKCKKEFLDKYESFVQLVTRIYPNETVPSVAEMRDLLASM >DRNTG_12640.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1313411:1315610:1 gene:DRNTG_12640 transcript:DRNTG_12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESFNYPFEACVKQGDVSSVMCSYNQVNGIPSCADPRLLSQTIRGEWNLHGYIVSDCDSVGVMHDEQKWLYDTPINSVMRVMKAGLDLDCGPYYTNFTVEAVRTGKVKEVEIDNALNNLYTVLMRLGFFDGSPEYQNLGKDVICSKTNTDVAADAARQGIVLLKNDNNALPFNNNTQKHIAVVGPHANASAVMIGNYAGVPCHYITPLQALSMDAHVDIELGCDVWCHNQAAKDHAKQLASTTDATVILAGIDLGIEAESLDRWDLNLPLDQITFINEVSGASKGPVVLVLFSGGGLDITFAQNNTKINSILWAGYPGEEGGQAIADVIYGRYNPSGKLPITWYQNGYTEMLPMTSMKFRPVDELGYPGRTYKFYDGPVVYPFGFGLSYTQFTYNVSLAQNSTATNIKLGPFQKCLPVDYKPNSGPAPSCPAVNIDDTDCSQAIGLVVQVTNTGKTDGSNVIIVYAKPPQNIAGAAIKKVIAFQKVFLKAGASQAVPISVNACDGLTLVDKSAYQIIAAGQYTIVIGNGNTTASVPLPVNIS >DRNTG_21418.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3413321:3414571:-1 gene:DRNTG_21418 transcript:DRNTG_21418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISSSFSYFLSSSYLIQVKKKKKKKKKEEEKEEN >DRNTG_08874.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27706927:27708630:1 gene:DRNTG_08874 transcript:DRNTG_08874.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIPAARAMEWSIELEKALRSNLSGRQIEAIEQIGWNLKEWSKEPEITLAVANMYGLVPGEDRTFANTILLRLADAFRTGDNNIRKSILKIFMLELKHLMNKGKCYNGILAKCRVPNHAELLKRVKVVFDTGDSVAKSLALRLFGCWADLAKDSSHTRYMILLSLQSSHVTEVKASLFAAGCLCRLSEDFAFVVVEVLSNMILSESPFDVKLAAIRAFARLCCSLSVTTRAYK >DRNTG_16676.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16417551:16426771:-1 gene:DRNTG_16676 transcript:DRNTG_16676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYQADDLKGLDDKPNQQISLKKWMKMQPPS >DRNTG_06607.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:559053:559866:-1 gene:DRNTG_06607 transcript:DRNTG_06607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGIEEVRLRSYNKGTRTNTSAGAGSGESLGGFTGSGNPLTRGTHGEGGCRELHVEWGCKLESFQLLQLLLQPSVLFCESFTAPFQKLKVHLCLF >DRNTG_05536.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16829074:16832056:1 gene:DRNTG_05536 transcript:DRNTG_05536.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHTCLRLISIIHLNSKFSSFHALKCTHESFKKMHHPDKDMHHDLDAYQVPGKMPDRDYRAQNALIRSCTMNHQYNESVIVFHRMHCDVVRPDCLALAAVVKSSAVLLLHGFGRSLHGFAVKGGYVMIAAVAKALMDMYARFDELHDAHRLFAEMDWRDSVSWNILLSGYARAELNVQVMNLFCLMHAQGDEDAKPTAITIAVVLPVCAKMRSLKSGQIVHVYAIRTGLESETLVGNALLSMYAKCGSVRDEAHYVFNHIGCKDVISWNSMISGYSEQGFFAEAFQMFKQMISSSFKPNHATVATILPICALVENGYLCGKEIHSYVLHSGLENDLSSNNALLTYYSKIRDMCIAESIFANMEVRDLVSWNTMITGYAMDGHPLRTLGLLHELLLTGMEPDSITLIGVLSACTQLHNVKEGKKIHNYVLQHPKLCLLESNGSRLRST >DRNTG_05536.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16829074:16833367:1 gene:DRNTG_05536 transcript:DRNTG_05536.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHTCLRLISIIHLNSKFSSFHALKCTHESFKKMHHPDKDMHHDLDAYQVPGKMPDRDYRAQNALIRSCTMNHQYNESVIVFHRMHCDVVRPDCLALAAVVKSSAVLLLHGFGRSLHGFAVKGGYVMIAAVAKALMDMYARFDELHDAHRLFAEMDWRDSVSWNILLSGYARAELNVQVMNLFCLMHAQGDEDAKPTAITIAVVLPVCAKMRSLKSGQIVHVYAIRTGLESETLVGNALLSMYAKCGSVRDEAHYVFNHIGCKDVISWNSMISGYSEQGFFAEAFQMFKQMISSSFKPNHATVATILPICALVENGYLCGKEIHSYVLHSGLENDLSSNNALLTYYSKIRDMCIAESIFANMEVRDLVSWNTMITGYAMDGHPLRTLGLLHELLLTGMEPDSITLIGVLSACTQLHNVKEGKKIHNYVLQHPKLCLLESNGSRLRST >DRNTG_06159.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25377355:25379445:-1 gene:DRNTG_06159 transcript:DRNTG_06159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVILPAPAKPLVVPERSILSNPRKLLLEQCKTLRELRQIHGHLIKSGLLFHLPFLAENLLESSALLLPNNLAYALKVFAQIPTPRTQSYNILIRAFILHHAPENALLLFGRMITSSVSPDKFTFSCALKACSRLKSLAHGREIHSLVLKSGFDSVDFVINSLIHMYATCGEVGTARALFDEMPVKGVVTWNAMFAGYFKAGDWMDVVSLFREMLETSAEFDQVTLISVLTACGRLGELSLGEWINHYIEENGLKSNLNLVTCLVDMYAKCERIDKARSLFDEMPFKDVVAWSAMISGYTQLNQCKEALELFHRMQMTNVDPNEVTMVSVLSACAVLGALETGKWVHSYIRRKQMQLTVNLGTALMDFYAKCGCIENSVEVFEKMPVKNSWSWTVLIKGLASNGRGREALKFFSAMQETNEQPSDVTFVCVLSACSHAGLIKEGQLFFDSMSQEYGIQPRIEHYGCMVDILGRSGLLNEAYDFIRRMPIEPNAVIWRTLLSSCKIHKNVEFAEESLKELVKLDPEHSGDYILLSSIYASVGRWEDAVIVRNQMKEKGIKKTPGCSLIEMDGMIHEFFAEDSAHPLSKEIYEKIEEMVSKIKLAGYVPNVAEARLDAEEDEKEVSVSHHSEKLAIAFGLIKSQPGSVIRVSKNLRVCTDCHLATKLISKVYQRDIVVRDRNRFHHFRDGDCSCNDYW >DRNTG_31320.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4009855:4011503:-1 gene:DRNTG_31320 transcript:DRNTG_31320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ycf20-like protein [Source:Projected from Arabidopsis thaliana (AT1G65420) UniProtKB/Swiss-Prot;Acc:O80813] MGFIILQPALIFMVESRCFEMHHHHHHHQVSLWRRTTELHSKPAFLPEMRYQLRRRKWRAFALDTGEPSNNGGQDELNGDNAGLGRTRLGIIVRAAAAQLLQKLNAARKNFPMKIFLLLLGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLMYRRTSRMPVGRLQSLVVMVNYWKAGVCLGLFVDAFKLGS >DRNTG_30333.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001562.1:2615:3034:1 gene:DRNTG_30333 transcript:DRNTG_30333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSPSKVDDGSGAVTFMFDSPNQDKIADFEMKLMDINNKLTVLKDSTGRNIEARKELRQKLSREEFGVTYLYTNGSTDKSLAYKSILKKKLRTVVDNEDEHQWVEIMCYMPMHPNIVRLKDMYDIRQDRRLIQIQSGG >DRNTG_26606.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19920515:19921684:1 gene:DRNTG_26606 transcript:DRNTG_26606.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYIASHAMKIQLITCAICLINKATFSPPQILHNILEQRVPTTAHVVLDHPL >DRNTG_26606.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19920611:19922119:1 gene:DRNTG_26606 transcript:DRNTG_26606.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYIASHAMKIQLITCAICLINKATFSPPQILHNILEQRVPTTAHVVLDHPL >DRNTG_26606.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19920611:19921684:1 gene:DRNTG_26606 transcript:DRNTG_26606.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYIASHAMKIQLITCAICLINKATFSPPQILHNILEQRVPTTAHVVLDHPL >DRNTG_26606.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19920515:19922119:1 gene:DRNTG_26606 transcript:DRNTG_26606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYIASHAMKIQLITCAICLINKATFSPPQILHNILEQRVPTTAHVVLDHPL >DRNTG_07014.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3238404:3239629:1 gene:DRNTG_07014 transcript:DRNTG_07014.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPINSMKSQEAQNKKKYSPNNETIQ >DRNTG_28924.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22071764:22072475:1 gene:DRNTG_28924 transcript:DRNTG_28924.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRISIATFIYPSSECVIGPAKQLIDEKNGPIFREFELKEFMSIYHGSIGDTANIMDAFKIKALSL >DRNTG_28924.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22071112:22072475:1 gene:DRNTG_28924 transcript:DRNTG_28924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLSNGHHESLPESYILPVDKRPDLIINKAIPVIDLAAHNHEQTAMQILQAGKEYGFFQVVNHGIEEEVIREMLKISKEFFQLPIEEKAVYFSEDANKYPRLHTSTTHVNKLEKKFWRDYFRFVSHPVNPQSMDQWPQIPTSFREIAPKYSKVARELGLKILKFIAQGLKLDDNYFNGEVSDTSIVNINFYPQCPDPSLALGLISHCDPNLITVLLPDDQVNGLQVLHHGDWIAVDPIPNAFVINVGHQLEFITNGLLKSVEHRAVTSSTMSRISIATFIYPSSECVIGPAKQLIDEKNGPIFREFELKEFMSIYHGSIGDTANIMDAFKIKALSL >DRNTG_19836.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001073.1:11377:11809:-1 gene:DRNTG_19836 transcript:DRNTG_19836.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLDGRRWSTCKSQDGWELALPGATNMEILHRRSLNQKHRPMSFDEIMGQNIVVQSLSNAVIRGRIAPAYLFYGPRGTGKTATAMVFSAALNCLSTEHKKPCGFCKE >DRNTG_22806.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3798824:3801070:1 gene:DRNTG_22806 transcript:DRNTG_22806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILRSISSNTMAAMLKLFILLVLQLTISCNTEPFPINVWPIPTSISWPSPKAATISPAFQISAPYAHKHLRTAVKRHASLLFSEGYKPIVPPSLPISSTPLLSLTLHITDILALLHHGVDESYSLTISSSNGVANLSSTTVWGAIHGLESFSQLSWGSPPLIATDLYINDRPLFPHRGLMLDTSRNFYPVEDIMRTIATMGANKLNVFHWHITDSHSFPLVLPSEPNLAAAGSYGADMQYSPEDVKKIVDYAMSYGVRVVPEFDTPGHSLSWAGAYPEIVTCANQFWLPNGPFDRAHRLGSGPGTGQLNPLHPRTYEVLHNVFSENGGFVGNYSAYDAISEHTSWCGPFKTWERIYDYDIVEGLRERKEKKGGT >DRNTG_19831.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001071.1:8393:10051:-1 gene:DRNTG_19831 transcript:DRNTG_19831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPGSLDEYQKLVTRMNTPRVVIDNKVSPSTTIVKLHSARKHGVLLEAIQALSDLNLSITKAYISSDASWFMDVFHLTDHLSDKLSDDPHLLSSFITPLLTAATSDHLSHPTSPPPLPNTSLTALELTAGDRLGALSDLFAVLADLDCSIAAVKTWTFNGCMASLLFIHNVDFDQNPTKLNVIITRLRHVINGDVQPTSTAVSHSDRRLHQLLLPEQPSQSTPLTVSVTIQNLVKRDYSVINIQCRDRPKLLFDVVCVLTDMNYVVFHGTVNTDGDKAHQEFYVRNSDGKIIGTEEEKERVVKNLRTGIERRAEKGLRLEICAEDRHGLLADVTRVLRENGLSITMAEVMRMEEKVVKSVFYVDDVAGCNLVSDKVIEMVKERMGNGSLKVGEVLKKTLGRRKVEEDGGVGLVYLGSFVRRNLYNLGLIRSCS >DRNTG_19831.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001071.1:8393:9794:-1 gene:DRNTG_19831 transcript:DRNTG_19831.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFHLTDHLSDKLSDDPHLLSSFITPLLTAATSDHLSHPTSPPPLPNTSLTALELTAGDRLGALSDLFAVLADLDCSIAAVKTWTFNGCMASLLFIHNVDFDQNPTKLNVIITRLRHVINGDVQPTSTAVSHSDRRLHQLLLPEQPSQSTPLTVSVTIQNLVKRDYSVINIQCRDRPKLLFDVVCVLTDMNYVVFHGTVNTDGDKAHQEFYVRNSDGKIIGTEEEKERVVKNLRTGIERRAEKGLRLEICAEDRHGLLADVTRVLRENGLSITMAEVMRMEEKVVKSVFYVDDVAGCNLVSDKVIEMVKERMGNGSLKVGEVLKKTLGRRKVEEDGGVGLVYLGSFVRRNLYNLGLIRSCS >DRNTG_05417.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5207227:5209666:-1 gene:DRNTG_05417 transcript:DRNTG_05417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVNDWTAFCGADTTATELSVIESVFKLREAQPSAIVDEMRKSLIDTYV >DRNTG_00969.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20962459:20964853:-1 gene:DRNTG_00969 transcript:DRNTG_00969.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPMISLPLFTFSYMRKTNGLINDQGYWLKIIGKLNKIEDKYLEIEKRSPELRKRKTVASNANATGVNHARSGDHNKRCILGRIVGKGCSEPFTSLMIRDLSVSDKTAGGPAALSPKAGWTTGGPPTYHRRPPGPPTRRRKPAGPPGPVASGWI >DRNTG_24897.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:362422:366518:1 gene:DRNTG_24897 transcript:DRNTG_24897.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHEHNRHQRLRSWPELWFADAPLKPPLKHVVLDMHLPEKQQTLTLGPDLTAPLSDELLLRLLSALPDSALPAASLVCKRWLRLLDRLRRRLILLDWSSLPRIPGRFPDLSDLDLLPASFFPSSPSPLILTRDSVSIPLDPYLDPPIGDSPFISSAALDSGLATIAQGCPNLRRLSLVAPPASEAGLMSLAERCTTLQELELHRCTDVALRPISAFTNLQILKLVGSIEGLYRGPGVTDVGLTILAHGCKRLVKLELARCEGSYDGISAVGRCCFMLEELTLTDHRMDAGWLAGLSFCGNLKTLRLQGCRSIDADPGPSEHLGTCPTIERLQLQRCQLRDKRSLSALYMVCEAVREIMFQNCWGLDNDMFGIASICRRVKFLCLEGCSLLTTEGLESVVLSWNDLQSLAVVSCNNIKNEVVSPAMSTLFSVLKELKWRPDSKSVLATNLVGTGMGKKGGRFFKRT >DRNTG_24897.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:362422:366332:1 gene:DRNTG_24897 transcript:DRNTG_24897.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHEHNRHQRLRSWPELWFADAPLKPPLKHVVLDMHLPEKQQTLTLGPDLTAPLSDELLLRLLSALPDSALPAASLVCKRWLRLLDRLRRRLILLDWSSLPRIPGRFPDLSDLDLLPASFFPSSPSPLILTRDSVSIPLDPYLDPPIGDSPFISSAALDSGLATIAQGCPNLRRLSLVAPPASEAGLMSLAERCTTLQELELHRCTDVALRPISAFTNLQILKLVGSIEGLYRGPGVTDVGLTILAHGCKRLVKLELARCEGSYDGISAVGRCCFMLEELTLTDHRMDAGWLAGLSFCGNLKTLRLQGCRSIDADPGPSEHLGTCPTIERLQLQRCQLRDKRSLSALYMVCEAVREIMFQNCWGLDNDMFGIASICRRVKFLCLEGCSLLTTEGLESVVLSWNDLQSLAVVSCNNIKNEVVSPAMSTLFSVLKELKWRPDSKSVLATNLVGTGMGKKGGRFFKRT >DRNTG_24897.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:362422:366384:1 gene:DRNTG_24897 transcript:DRNTG_24897.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHEHNRHQRLRSWPELWFADAPLKPPLKHVVLDMHLPEKQQTLTLGPDLTAPLSDELLLRLLSALPDSALPAASLVCKRWLRLLDRLRRRLILLDWSSLPRIPGRFPDLSDLDLLPASFFPSSPSPLILTRDSVSIPLDPYLDPPIGDSPFISSAALDSGLATIAQGCPNLRRLSLVAPPASEAGLMSLAERCTTLQELELHRCTDVALRPISAFTNLQILKLVGSIEGLYRGPGVTDVGLTILAHGCKRLVKLELARCEGSYDGISAVGRCCFMLEELTLTDHRMDAGWLAGLSFCGNLKTLRLQGCRSIDADPGPSEHLGTCPTIERLQLQRCQLRDKRSLSALYMVCEAVREIMFQNCWGLDNDMFGIASICRRVKFLCLEGCSLLTTEGLESVVLSWNDLQSLAVVSCNNIKNEVVSPAMSTLFSVLKELKWRPDSKSVLATNLVGTGMGKKGGRFFKRT >DRNTG_24897.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:362194:366518:1 gene:DRNTG_24897 transcript:DRNTG_24897.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEHNRHQRLRSWPELWFADAPLKPPLKHVVLDMHLPEKQQTLTLGPDLTAPLSDELLLRLLSALPDSALPAASLVCKRWLRLLDRLRRRLILLDWSSLPRIPGRFPDLSDLDLLPASFFPSSPSPLILTRDSVSIPLDPYLDPPIGDSPFISSAALDSGLATIAQGCPNLRRLSLVAPPASEAGLMSLAERCTTLQELELHRCTDVALRPISAFTNLQILKLVGSIEGLYRGPGVTDVGLTILAHGCKRLVKLELARCEGSYDGISAVGRCCFMLEELTLTDHRMDAGWLAGLSFCGNLKTLRLQGCRSIDADPGPSEHLGTCPTIERLQLQRCQLRDKRSLSALYMVCEAVREIMFQNCWGLDNDMFGIASICRRVKFLCLEGCSLLTTEGLESVVLSWNDLQSLAVVSCNNIKNEVVSPAMSTLFSVLKELKWRPDSKSVLATNLVGTGMGKKGGRFFKRT >DRNTG_24897.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:362422:363620:1 gene:DRNTG_24897 transcript:DRNTG_24897.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHEHNRHQRLRSWPELWFADAPLKPPLKHVVLDMHLPEKQQTLTLGPDLTAPLSDELLLRLLSALPDSALPAASLVCKRWLRLLDRLRRRLILLDWSSLPRIPGRFPDLSDLDLLPASFFPSSPSPLILTRDSVSIPLDPYLDPPIGDSPFISSAALDSGLATIAQGCPNLRRLSLVAPPASEAGLMSLAERCTTLQELELHRCTDVALRPISAFTNLQILKLVGSIEGLYRGPGVTDVGLTILAHGCKRLVKLELARCEGSYDGISAVGRCCFMLEELTLTDHRMDAGWLAGLSFCGNLKTLRLQGCRSIDADPGPSEHLGTCPTIERLQLQRCQLRDKRSLSALYMVCEAVREIMFQNCWGLDNDMFGIASICR >DRNTG_24897.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:362194:366384:1 gene:DRNTG_24897 transcript:DRNTG_24897.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHEHNRHQRLRSWPELWFADAPLKPPLKHVVLDMHLPEKQQTLTLGPDLTAPLSDELLLRLLSALPDSALPAASLVCKRWLRLLDRLRRRLILLDWSSLPRIPGRFPDLSDLDLLPASFFPSSPSPLILTRDSVSIPLDPYLDPPIGDSPFISSAALDSGLATIAQGCPNLRRLSLVAPPASEAGLMSLAERCTTLQELELHRCTDVALRPISAFTNLQILKLVGSIEGLYRGPGVTDVGLTILAHGCKRLVKLELARCEGSYDGISAVGRCCFMLEELTLTDHRMDAGWLAGLSFCGNLKTLRLQGCRSIDADPGPSEHLGTCPTIERLQLQRCQLRDKRSLSALYMVCEAVREIMFQNCWGLDNDMFGIASICRRVKFLCLEGCSLLTTEGLESVVLSWNDLQSLAVVSCNNIKNEVVSPAMSTLFSVLKELKWRPDSKSVLATNLVGTGMGKKGGRFFKRT >DRNTG_24897.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:362194:366332:1 gene:DRNTG_24897 transcript:DRNTG_24897.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHEHNRHQRLRSWPELWFADAPLKPPLKHVVLDMHLPEKQQTLTLGPDLTAPLSDELLLRLLSALPDSALPAASLVCKRWLRLLDRLRRRLILLDWSSLPRIPGRFPDLSDLDLLPASFFPSSPSPLILTRDSVSIPLDPYLDPPIGDSPFISSAALDSGLATIAQGCPNLRRLSLVAPPASEAGLMSLAERCTTLQELELHRCTDVALRPISAFTNLQILKLVGSIEGLYRGPGVTDVGLTILAHGCKRLVKLELARCEGSYDGISAVGRCCFMLEELTLTDHRMDAGWLAGLSFCGNLKTLRLQGCRSIDADPGPSEHLGTCPTIERLQLQRCQLRDKRSLSALYMVCEAVREIMFQNCWGLDNDMFGIASICRRVKFLCLEGCSLLTTEGLESVVLSWNDLQSLAVVSCNNIKNEVVSPAMSTLFSVLKELKWRPDSKSVLATNLVGTGMGKKGGRFFKRT >DRNTG_24830.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31741920:31747869:1 gene:DRNTG_24830 transcript:DRNTG_24830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSANPLALSVPEPAFEAWLRDTGYLEVLDSQPVPPPSADRRSKPTTAATIAPAIGGTTNFFSSALSCFRTLVSITTLNPFAKLVPDDFTAPTPSWTSAFIGPAYSYSWPPDPAQARMRVQENVRRYVRNYAALAIVFFACSLYQMPISLLGLVLCLGLWELLRFCCDKLELELLYPGLRQVLIRITQIAAAVVLYLCNMQMVLICAISVSYLVMILHASLRKLTPTKQSNRQRQSLTRTTRLSSHVF >DRNTG_24830.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31741920:31747869:1 gene:DRNTG_24830 transcript:DRNTG_24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSANPLALSVPEPAFEAWLRDTGYLEVLDSQPVPPPSADRRSKPTTAATIAPAIGGTTNFFSSALSCFRTLVSITTLNPFAKLVPDDFTAPTPSWTSAFIGPAYSYSWPPDPAQARMRVQENVRRYVRNYAALAIVFFACSLYQMPISLLGLVLCLGLWELLRFCCDKLELELLYPGLRQVLIRITQIAAAVVLYLCNMQMVLICAISVSYLVMILHASLRKLTPTKQSNRQRQSLTRTTRLSSHVF >DRNTG_29838.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23177165:23177803:1 gene:DRNTG_29838 transcript:DRNTG_29838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPGEGTASSEGGGEMRRRRATAARKKPVDRSNGQKKLRTVEKLGPWRRSEKRATAEMAAMMMWSLNWSSLSWIAIGKTKRKRKIRAFDWGKRLIDGFWGGFSQALSLRESVE >DRNTG_21394.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19503698:19509347:-1 gene:DRNTG_21394 transcript:DRNTG_21394.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRIQDWSMHELEDIICENFGKSGDHVVPLWCIQQVDEILEALDVHRQLGHRIGSVGKSANSGRSTGDTYQTEDAGSFHPSSEVGSNSMLDGSWSQESKGPAPATNGTKCTANVSALTSQNVIILDKCGEGNKIDSVCNTIYLKDAAFGTQGTPDDYRGCNLSPADSSSRDTNVGFLENDKDKDVDLMDYDWPDDSNMEDIDRLFRNCNSTFAQGSTSYADEPSWLAGSSHGGYGLEHTFELGLESSHSDFRVLNGTSALTGVNSNFSLKNDLLAAYDDKPPTIGNHTYAEWLDSNVESEWNYSTTKQANDGNDCTKVSAITQLSNENILFGSEDKDVISVHAKPPESHYVFSEKARIQHSDCNNSNLERRHGKKRPLAEEKIETSAASGHQNYSSHFSDQEHYSGPTSNPLGQMEYDLLTHQVPFSLIRSSDKFDNESHPPTSYKALDHLICDSPQRFDCLDHHLSKPASMATQEDIDNQQTRQQLSEVLMAKLPSQQNSFTSAIQKKYPKHSQLQCEISDSNLLVDRNSGHPVVEMDVLTAHEGSCLTSDLSDDSLKETSFWQLHHVMDLLDIRTTLSLRDGLYRLAKSAEQRHGFTCANSPIRASKCTGSCSTENLQNYAGYMDTETNTNPIDRAIAHLLFYGPSDSVSRPTSDAASIKFLPHY >DRNTG_21394.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19503698:19509347:-1 gene:DRNTG_21394 transcript:DRNTG_21394.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRIQDWSMHELEDIICENFGKSGDHVVPLWCIQQVDEILEALDVHRQLGHRIGSVGKSANSGRSTGDTYQTEDAGSFHPSSEVGSNSMLDGSWSQESKGPAPATNGTKCTANVSALTSQNVIILDKCGEGNKIDSVCNTIYLKDAAFGTQGTPDDYRGCNLSPADSSSRDTNVGFLENDKDKDVDLMDYDWPDDSNMEDIDRLFRNCNSTFAQGSTSYADEPSWLAGSSHGGYGLEHTFELGLESSHSDFRVLNGTSALTGVNSNFSLKNDLLAAYDDKPPTIGNHTYAEWLDSNVESEWNYSTTKQANDGNDCTKVSAITQLSNENILFGSEDKDVISVHAKPPESHYVFSEKARIQHSDCNNSNLERRHGKKRPLAEEKIETSAASGHQNYSSHFSDQEHYSGPTSNPLGQMEYDLLTHQVPFSLIRSSDKFDNESHPPTSYKALDHLICDSPQRFDCLDHHLSKPASMATQEDIDNQQTRQQLSEVLMAKLPSQQNSFTSAIQKKYPKHSQLQCEISDSNLLVDRNSGHPVVEMDVLTAHEGSCLTSDLSDDSLKETSFWQLHHVMDLLDIRTTLSLRDGLYRLAKSAEQRHGFTCANSPIRASKCTGSCSTENLQNYAGYMDTETNTNPIDRAIAHLLFYGPSDSVSRPTSDAASIKFLPHY >DRNTG_34035.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002078.1:3923:6091:-1 gene:DRNTG_34035 transcript:DRNTG_34035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAVRYVVIDAFTDTAFKGNPAAICFLEDGHQVDNEWMQSVAKEFNISVTAFLTRAVSGDNPRFNLRWFTPVAELNLCGNATLAAAHFLLSYGLVKCDVIEFATKSGILTATKVYGIKQSTLFNVKDKNYFKYSGEKESFSIELNFPVCKVIKCNPGEIPSIPETLNGASVINVTKRSLSDDLIVEVASGLDVVNLKPTFDEIRNCAGTGVIVTGPAPPGSGYDIFSRFFCPKLGVDE >DRNTG_02787.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1163520:1164557:-1 gene:DRNTG_02787 transcript:DRNTG_02787.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTNSWNSRQAPDASPTSILSFSSGLDSPVKRKNDKEFIIEDVKPKDLGILFDSNSKRNLSTKPPSYQDHIIAERKRREKLSQKFIALSSIIPNLKKMDKATVLGDAIKYVKQLQERVKTLEEETKKKTRKGDHELSSSRSSEDKEDDMNDQQYDHDSIPEIEARKLVRRQYL >DRNTG_02787.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1163052:1164974:-1 gene:DRNTG_02787 transcript:DRNTG_02787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALSARWFSEQGVDDLNSLTNQWELSSLDDFIIQPYPQDHIQQCLSSSSSSFQSHATSSSITPQPLNISVDIEKPKKMLKTNSWNSRQAPDASPTSILSFSSGLDSPVKRKNDKEFIIEDVKPKDLGILFDSNSKRNLSTKPPSYQDHIIAERKRREKLSQKFIALSSIIPNLKKMDKATVLGDAIKYVKQLQERVKTLEEETKKKTRKGDHELSSSRSSEDKEDDMNDQQYDHDSIPEIEARKLVRRQYL >DRNTG_02787.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1163052:1164557:-1 gene:DRNTG_02787 transcript:DRNTG_02787.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTNSWNSRQAPDASPTSILSFSSGLDSPVKRKNDKEFIIEDVKPKDLGILFDSNSKRNLSTKPPSYQDHIIAERKRREKLSQKFIALSSIIPNLKKMDKATVLGDAIKYVKQLQERVKTLEEETKKKTRKGDHELSSSRSSEDKEDDMNDQQYDHDSIPEIEARKLVRRQYL >DRNTG_29475.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001480.1:1225:6968:1 gene:DRNTG_29475 transcript:DRNTG_29475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIAAVTGHATAGGFIITRTHDYVGDRGFIYMSELDIGVPIAMYAMSELRSRISDASAMRDLLLRPEKMEGGESREEGGD >DRNTG_11783.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:558467:560081:1 gene:DRNTG_11783 transcript:DRNTG_11783.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 60 [Source:Projected from Arabidopsis thaliana (AT5G22410) UniProtKB/Swiss-Prot;Acc:Q9FMR0] MKVSSVGTLTILVLLSLAMSCQAQLKYGFYRGKCGFNDVEIIIRRIVAAGFAKDPTITPALIRMQFHDCFVHGCDASLLLDGDSSEKMAIPNLTVRGYDLIDEVKAALEKACPGVVSCADIIVAATRDAIALAGGWPYAVQMGRRDGRVSLASNVDLPSPFFSVAQSIAAFQKKNLTTTDMVILLGGHTVGIAHCANFVNRLYNFNGTNDADKTMDPNLVTKLRTICPQNVIVNNFTSLDQNKYSSNIIDNSFYKQIIAKKGILQIDQSLALDSATKNMVTYLANGFNFPFLFNNAMVKMGAIEVLTGTQGDIRKSCRAINLP >DRNTG_15041.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4132092:4138623:-1 gene:DRNTG_15041 transcript:DRNTG_15041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLLFVLSILFLFTYSYSSSEPIPFRSELEINLLFEGWLVKHNKTYKENSFEKAKRYDIFKDNLRYIDEHNSGNHTFTLFLNVFADLTVEEYRDTYLGSLPPLPKETVGIESDSYNDDFSEFISDDDLPNSTDWRDSGAVTTVKHQGACFCCWAFAAVAAVEGINQIVTGELISLSVQQIVDCQAKSCSKGYVDEAYKYIRRNGGIDSEIDYPYNGTYEQCDKEKADKKVVTIDTYQSLTESNEKRLKMGVAKQPVAVLIEAYERAFQLYGEGIFTAHCGTKVDHAVTIIGYGTQGDQDYWIIKNCWGDFWGEAGYMRIERNTESTKGKCGLAQWPQIPVKRKHTATSLE >DRNTG_13160.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:15587811:15588113:1 gene:DRNTG_13160 transcript:DRNTG_13160.2 gene_biotype:protein_coding transcript_biotype:protein_coding VPKKHADPACKKPYPAERERESEEVREKQDGRIC >DRNTG_13160.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:15587811:15590870:1 gene:DRNTG_13160 transcript:DRNTG_13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFVRGEGKGKEIEAIEEDNCTNKGTTIFHVIHKIPAGDTPYVRAKHLQIVEKDPERSIVWFWKAINAGDRVDSALKDMAVVMKQQDRAAEAVEAIKSFRHLCSNQSQESIDNILIDLYKACGKMEEHIELLKQKLEKVYLGEAFNGKTTKKARSHGKKFQVTVTQETSRLLGNLGWAYMQQNNYTAAEAAYLKAQMLDPDANKACNLGICLMKQGRLDEARKVLQDILNEKLPGSNDSKTVKRTEELLDEIESQALFSLISRVPSMEDEIIERLEHMMQDWSQF >DRNTG_29630.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5943010:5943468:-1 gene:DRNTG_29630 transcript:DRNTG_29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNVDSHRPSQIDGFDDMFFEVTDCHMYTPPPIHNQAESTELRGASSTQSRKEKGKRKMVSEVEAIQEVNNNIKKALGENKSIRDLDFAKDMGFFAKDEELRKYWAEDFFESIHSQGEF >DRNTG_19611.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001031.1:3356:5120:1 gene:DRNTG_19611 transcript:DRNTG_19611.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFLDGTHILGKYRGTLLGATGKDGNNGFFHVAFDIVDNETDANWTWFISKLGDALYDGGDYHEIITFVSDRSKGLVNAIARVFPSSPHAYCLRHLEANFMKANIRLGNALREECWFICFCIAWASMAKEFDDTVNELQATSPEAHHWLINKSDMAHWSNYLFRGDRWGEMYSNVAESFNAWIKEARHLLVKKMVDSIRFKLMRMLYNRREQANKWETYLCPDIHSKCSNSVDLAIRTCSCRRWQVYGIPYKHACATIMQTDTNVHRFISGYFTVDNYKLAYKEAIFPIPDDDKPSDGNRELRLRPPVTRRQPGCPRRKRIESQAFDVRKLHCSHCHGSGHNRRSCNETVAD >DRNTG_22129.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17431401:17435986:1 gene:DRNTG_22129 transcript:DRNTG_22129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLSPASAVVAAIAAKLAHVHHPYSHRRFLHRPRPGLACLSSSSFPAKISIKAMAETETRSAAAPRSVAGCKQALISLSDKRDLSLLANGLVSLGYSIVSTGGTASAIEEAGVPVTKVEEITHFPEMLDGRVKTLHPSIHGGILARRDQEHHIEALNKHGIGTFDIVVVNLYPFYEKVSSGGITFEDGIENIDIGGPTLIRAAAKNHKDVLVVVDHKDYPALLDFLQGKQVSQQFRKELAWKAFQHVASYDSAVSEWLWKQSSEGESFPPSFTVPLSLKSTLRYGENPHQKAAFYDDKSLSLVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFKSPTCVIVKHTNPCGVASRQDILEAYRLAVKADPVSAFGGIVAFNTTIDEDLAREIREFRSPTDGETRMFYEIVIAPGYTEKGLEVLRGKSKTLRILEAKRTEKGMLSLRQVSGGWLVQDSDDLVPQDIKFEVKTEKVPEEGELQDAEFAWLCVKHVKSNSIVIAKNNCMLGMGSGQPNRLESLRIAFRKAGEEAKGATLASDAFFPFAWNDAVEEACQNGIGVIAEPGRQHQRQGCHRLLQQVWCVSSLHQC >DRNTG_06930.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16851334:16852844:1 gene:DRNTG_06930 transcript:DRNTG_06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNHRKLEEKREKRAAKEQKSMAP >DRNTG_09651.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16694284:16694832:-1 gene:DRNTG_09651 transcript:DRNTG_09651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWKVLYSSSKDSLVRTSPKPWSIPLETLAEALSEVGEKMEKRILKSGLVRLLSRAGIGRLHERGCFTRPCGISTRAWIISTRPCGSSKLMFSRPTVNSAAIVLSTVLLQCSATVSDLNSFPNPFFHRSNANGRTFTSWITCIFNDRHVGEALVLCA >DRNTG_24475.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18840400:18843156:-1 gene:DRNTG_24475 transcript:DRNTG_24475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDFECPASAFRYNGTLCACPPGSFMRGGLCAGIGAGTEWEMGGGRSEGAAPRWFEPVPELEPIERMVSSEAALMKATAAVVVLWVVFCVAVRLGRVRGGRSFWFRCRCWIERLDLRFATKHLLPTLGHMHGLARQPATQAVQVCARHAVLSPM >DRNTG_29057.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001464.1:244:3473:1 gene:DRNTG_29057 transcript:DRNTG_29057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLLLHSPKKLSNIPFFLATFIFLLFHHTSVNASILSFSEITFHCSGGDYTYNSIFYTNLISLLSTLQAKSSSSISVNQTSGDAVDTVFGLYFCTGDLSQDNCQACIQSATKDITARCPSSKQAIIWYDSCEMRYSDTNFFGLPDANGFSMANLFENTSSSRPMELMARLVKDAPTQHPLMFYFIALPAARLYGLAQCSPDLNREGCSRCLTTILAKINACCTSAKGWRYLSPSCWIRYEATPFLQNLDRNNTEMTQSNCPNKETLSNGLNLDNILSDLTANTPLMGGFYNTSQGEKADKLHGLALCRGDLAPQGDSCKNCLINARNNIEEDRQNKTHAIEWYEGCFIKYSNQSFFGVVDMDGRTLCGMKQSNHVAVNASVDMAQGLIRDAVNSSMFVGVGKVVINSSLSSFALVQCTRDLTSAGCRDCLQRGMNMVLNNCDTTKGWQYLSGSCT >DRNTG_30131.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3179799:3196751:1 gene:DRNTG_30131 transcript:DRNTG_30131.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NAP1 [Source:Projected from Arabidopsis thaliana (AT2G35110) UniProtKB/Swiss-Prot;Acc:Q5S2C4] MAKLRQPPSNQDVSPRSLKSKDWENMSRWSEYLDGDSSPSTSVNWKHSGSESIPSSGNFQKSLQMEWVVQLSKVAEGLLAKMYRLNHLLDKPDLLSNTYLDAFWQAGVFPNFPKICILVSKKFPEHPNKLQLDRVDKFSLDAMYENAEGYLQHLEPWVMLLVDLMAFREQALRLILDLSSTVITLLPHQNALILHAFMDLFCSFVRVNLFSDKIPRKMMIQVYNILHAMSRGGRDCDFYHRLVQFVDSYDPPVKGLQEDLNFVSPRIGEVLEAVGPTIFLSTDTKKLRNEGFLSPFHPRYPDILTNSAHPLRAQDLANVTSYREWVLFGYLVCPDELLRVTSIDIAMVVLKENLILTLFRDENVPLHEEYQLYVLPRVLESKKMAKSGRAKQKEADLEYNVAKQVEKMISEVHEQALLSCDAIHHERRILLKQEIGRMVLFFSDQPSLLAPNIQMVFSALALAQCEIVWYFRHVGVSSSKSKAARVIPMEIDASDPTIGFLLDGIDKLCCLVRKYIAAIKGYALSYLSSCAGRIRFLLGTPGMVALDLDNTLRGLFQQVVRCLENIPKPQGENISAVMCDLSDLRRYWLSILMIVTSSRSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELESQLSKHGSLKQLYFYHHHLTTVFRNTMFGPEGRPQHCCAWLGVASSFPDCSSAIIPEEFNKIGRDAILYVESLIESIMGGLEGLINILDSEGGFGALEIQLSPEQAAIRMINAVKVSNLSMKSPKGLFGLSLPGYESYPENNSSVKMLEAAMQRLTNLCSVLNDMEPICVLNHVFVLREYMRDCILGNFRRRLLAVLKTDNGLQCPSVVESLIRRHIGIIHLAEQHISMDLTEGLREVLLMEAFTGPVSYLHNFEKPAGLQTGSAVEFICQWYMENIVKDSSGVGIVFMPRNDCFKSSIPIGGYSAESFTDILELKSLIHIFGGYGLDRMDKMLRDHTAALLNCIDTALRSNHDHLEGIAGSISTGDRIEREANMKQIPDIETLVGFCIQAGQAIAFHQILVEAATLVLDEKAPLLVSLINGVAKQLPDEIPDKDEIKRLRRVASTMAVVGDHDMEWVHSIMLEANAATDNSWTLLPYLCASFMSSNIWNLTAFNVSTGGFNNNMHCLARCISAVIAASEFVRLERGEYQRQSTSNGHANEVLEPEVLNRATVEANAKSAMQLYTKCSAVILLDSWADSNRSNIVPKLIFIDQLCELCPYLLRSTLEIHIPYAIIRSMYRQHYGNSSMAVVEILAPSPRQSPAVSLAHASPAIRHQRGDSTPQSSAYDSSYFSVHQQEEYDSDMKAKPGSKQHPSMRRSGPLDYGSSRKVKFVEGSTSGSRGPSPLPRFAVSRSGPLLDK >DRNTG_32708.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9589286:9594021:1 gene:DRNTG_32708 transcript:DRNTG_32708.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAEAPPGDAKSGEKIFKTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTAGYSYSNANKNMAVIWEESTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLIAYLKDATSS >DRNTG_16581.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:114044:116526:-1 gene:DRNTG_16581 transcript:DRNTG_16581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLALTPEQAKTPVLLQHGLMMDGTTWLMNSADESLGYILADNGYDVWIANSRGTIYSLGHTSLSAADPEYWNWSWDELTAYDLPATFEYVYNQTGQQQLHYVGHSLGTLIAMTSFCQGNLVNMLKSAALLGPVAYMDQVDSPLARGAADSFIADAIYWLGLDQFDPNGEAVHNLLAKLCKEPHVNCFDLLTSFTGPNCCLNSSAVEVFLDHEPQSTATKNMVHLSQMIRRGTVTKYDYDDATENMEHYGQSSPPAYNISGMASDIPLLFGYGGQDQLADVKDVEHLLQAIQPHDQDKLTIHYLAKYSHADLVMAVNAKQDLYDPILDFFHLHS >DRNTG_07276.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10527054:10533225:-1 gene:DRNTG_07276 transcript:DRNTG_07276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLLHSISLINLSKTLANTPRKPSRSPLASWGKDGEKNTKIGVESAFKLSRIGQLHGRGRYTCPCGISIRAWIISTRPCGFSVSLVSRPAVNSAAVVLLQCYATVFVLNNFPIPYLHRDGMLECDCLCAPPNGCTHSNARRLAHTLATHTCPMSSRLNLSKISSKIVTDTVFATVCSTVPVKNTPESTFHRGNKGSHIFMLVLFVKSARPFKDDSMKKNHIGYDMDLCPLMWPQEKIVWTVVVKRL >DRNTG_17907.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1530353:1539624:-1 gene:DRNTG_17907 transcript:DRNTG_17907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKRKNNVNGLLLLLLFVLTLISQGHAHYHLGKEVVTDLHFFYQENLLADPPTAVLVAKPKDTIVNATNRLPYGATYVLDTPLTAEQDPNSEVTSYYWSNKINRVGKSNSFDQSLKSKDIALSNAKQTTQKGVNLIQIENKEALNKPTWSNPPVNDLTKHSLTQMNHDLVVFILDFGFTSGKFKDSSVSLLSRYPLLETTTELAVVGGRGKFRMARGYANYGGWSIKEATLKLVTCAYAYILANNSDRRRSVSGKKLQVLMLEAPPDAALKDWSAVRDDKDIDVLLCIDEDYDVVVHCSNVKRISELVHEKTHGTLKTFLKNVICEVATYTKYAHPKTMTMKAKDMVYALKHQGCTLYDFDG >DRNTG_33197.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:321807:326037:1 gene:DRNTG_33197 transcript:DRNTG_33197.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFATSYIFLMIGRFVAGIGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFSASPPMPPSSLPSASPSTPPSSPSLLQDH >DRNTG_33197.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:321807:326037:1 gene:DRNTG_33197 transcript:DRNTG_33197.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFATSYIFLMIGRFVAGIGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFSASPPMPPSSLPSASPSTPPSSPSLLQDH >DRNTG_33197.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:321807:326037:1 gene:DRNTG_33197 transcript:DRNTG_33197.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFATSYIFLMIGRFVAGIGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFSASPPMPPSSLPSASPSTPPSSPSLLQDH >DRNTG_33197.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:321807:326037:1 gene:DRNTG_33197 transcript:DRNTG_33197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFATSYIFLMIGRFVAGIGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFSASPPMPPSSLPSASPSTPPSSPSLLQDH >DRNTG_01788.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:134396:140446:1 gene:DRNTG_01788 transcript:DRNTG_01788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFRTGVAIHMPTSQGQPHTPVSSQDGENCLMDSGSYSRRIP >DRNTG_11155.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23060142:23061772:1 gene:DRNTG_11155 transcript:DRNTG_11155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETANDNLNLKNTGGSLPVPNVQSLAASKEFTEIPGRYVRPEINDSPVLDSVAKHGDIPIIDLSRLDHLQHSNDEILKLSLACEDWGFFQVINHGVPDEVMEKVKVVIKEFFQLPLEEKKAYKQLPGTVEGYGQVFVVSEEQKLDWGDMYFLSTEPVSGRNLKLWATNPPTFKDALEQYTQEIRRVANILLESIEKSLKLDKFFSMFESGVQSVRINYYPPCPQASKVLGLSPHSDAVGLTVLLQVNEAQGLQIKKNGAWLPIKPLPGAFIVNIGDIIEIMSNGKYKSIEHRAIVNTDRERMSIATFHSPRADAQVGPLPAAVEKNKPHYKTISFQDYVRMLFSFKLEGKNILDHMKLEA >DRNTG_07437.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6934360:6936363:-1 gene:DRNTG_07437 transcript:DRNTG_07437.4 gene_biotype:protein_coding transcript_biotype:protein_coding SLRGSAGEENLPPTPSFKRRKTWKFSLLKMPQQQALSCSP >DRNTG_07437.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6930334:6936363:-1 gene:DRNTG_07437 transcript:DRNTG_07437.2 gene_biotype:protein_coding transcript_biotype:protein_coding RESPSNSKLQEKKNLEIQPPEDATTTSFELLPLKLNKATHANNCSSRSGF >DRNTG_07437.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6930334:6936363:-1 gene:DRNTG_07437 transcript:DRNTG_07437.1 gene_biotype:protein_coding transcript_biotype:protein_coding RESPSNSKLQEKKNLEIQPPEDATTTSFELLPLKLNKATHANNCSSRSGF >DRNTG_07437.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6935443:6936363:-1 gene:DRNTG_07437 transcript:DRNTG_07437.5 gene_biotype:protein_coding transcript_biotype:protein_coding SSPGSELQSWVHQVPRTTARYGSTQTE >DRNTG_14636.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2282371:2283868:-1 gene:DRNTG_14636 transcript:DRNTG_14636.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGIMEMKGATKQSKDDRGNYSKAPKEESSSKYGTNRETMANKYAAIREQQSRKASSTESKDEISPVSVSLLEPDESQKPSTGKVGGSPAALASAWASMKTSFQNVKANIGAKKFLPLRQAQAQAQAQNNDLHTRNDSSESLDEIFQRLKQRPNRDQKIEFDFDDDVDSSRM >DRNTG_14636.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2282371:2293354:-1 gene:DRNTG_14636 transcript:DRNTG_14636.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLFYLISLPLTMGMVAVTLRYFAGPDVPRYVLFTVGYAWFCSLSIIILVPPDIWTTIIGHEKRGITFFWSWSYWSTFLLTWAVVPTIQGYEDAGDFNMKERLKTSIRQNLVFYLCVGSIGFFGLILLIIMRRNWGDNIFGFAMACSNTFGLVTGAFLLGFGLSEIPKSIWRNADWTNRQKVLSHRVAKMAVNLDDAHQEFSNAIVVAQATSNQMSKRDPLRPYMNIIDNMLVQMFREDPSFKPSGGRLGENDMDYDTDEKTMATLRRQLRIAREQYYRYKSEYMTYVIEALELEDTIKNYERRDSTGWKYVSSFRESRSGTLGSFLDMIELLWRCILRKQLEKLSAVILGCMSVAILLAEATLLPSVDLSFFSILINAVGKQEMLVQIAAFVPLIYMCVCTYYSLFKIGMLMFYSLTPRQTSSVSLLMICSMVARYAPPVCYNFLNLIRLGGDAKTTFEERMGNIDQAVPFFGKGFNKIYPLIMVIYTLLVASNFFGRVIEFFGKWKRFRFQNEEEDLDGFDPSGTVILQKERTWIEQGRKVGEQVIPLARNFNNINDDIESGNITMDKGIMEMKGATKQSKDDRGNYSKAPKEESSSKYGTNRETMANKYAAIREQQSRKASSTESKDEISPVSVSLLEPDESQKPSTGKVGGSPAALASAWASMKTSFQNVKANIGAKKFLPLRQAQAQAQAQNNDLHTRNDSSESLDEIFQRLKQRPNRDQKIEFDFDDDVDSSRM >DRNTG_11567.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8016278:8020725:-1 gene:DRNTG_11567 transcript:DRNTG_11567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIIYLLRKAIYVDQFSLPSNVQNKIDNLNTDLNDAAETLSEKTTENSIKIKRVFNHVRAALIVVAAVMLVLSILGFALSILGHKHAIYIFILSGWLLVAVTFILCGIFVILNNAIGDTCTAMNEWADNPQAETALSNILPCVDERTTNQTLLSEQGSNQSGGKCSQHSYIFCCKLQQVAG >DRNTG_09161.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7078910:7084186:-1 gene:DRNTG_09161 transcript:DRNTG_09161.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIKLLISGIALQNTEVQSHNQSPVMANSSGILRARWNSSMSSHYSKIEFPYFDGTALVEWLFKVEQFFEIDHTLEPNKVKMISVHLEGKALHWYKAFLNTKDRGKVYVWKKFVEALTAHFGGHAFTDSLTELKKLK >DRNTG_09161.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7078972:7084186:-1 gene:DRNTG_09161 transcript:DRNTG_09161.3 gene_biotype:protein_coding transcript_biotype:protein_coding PNHLWSREKKEGGRGRRGHRQWREEEGRRTMSEKRSLMNFKIERSNRVKALWISKDFF >DRNTG_09161.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7078910:7079362:-1 gene:DRNTG_09161 transcript:DRNTG_09161.5 gene_biotype:protein_coding transcript_biotype:protein_coding PNHLWSREKKEGGRGRRGHRQWREEEGRRTMSEKRSLMNFKIERSNRVKALWISKDFF >DRNTG_09161.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7083386:7084186:-1 gene:DRNTG_09161 transcript:DRNTG_09161.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIKLLISGIALQNTEVQSHNQSPVMANSSGILRARWNSSMSSHYSKIEFPYFDGTALVEWLFKVEQFFEIDHTLEPNKVKMISVHLEGKALHWYKAFLNTKDRGKVYVWKKFVEALTAHFGGHAFTDSLTELKKLK >DRNTG_09161.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7078972:7079362:-1 gene:DRNTG_09161 transcript:DRNTG_09161.6 gene_biotype:protein_coding transcript_biotype:protein_coding PNHLWSREKKEGGRGRRGHRQWREEEGRRTMSEKRSLMNFKIERSNRVKALWISKDFF >DRNTG_09161.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7078910:7084186:-1 gene:DRNTG_09161 transcript:DRNTG_09161.1 gene_biotype:protein_coding transcript_biotype:protein_coding PNHLWSREKKEGGRGRRGHRQWREEEGRRTMSEKRSLMNFKIERSNRVKALWISKDFF >DRNTG_32623.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:13420964:13424348:-1 gene:DRNTG_32623 transcript:DRNTG_32623.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKLIKQAQVKILNKMKSMKWYFKRFMELNIMVGCVVWDLGPTPSRYFSVIWKFTSTSASTSTITIIKASWRMLNWNWAEMKDKYEKLSSDLG >DRNTG_29191.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3104074:3108355:1 gene:DRNTG_29191 transcript:DRNTG_29191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVCSVKVDSNLKPHAREKKLIDFRRKLYLAPLTTVGNLPFRRVCRALGADITCGEMAMCTNLLQGQASEWALLRRHSSEEIFGVQICGSYPDTVARTVELIDRECAVDFIDINMGCPIDIVVNKGAGSSLLTKPMRMKGIIQAASGTVDKPITVKVRTGYFEGRNRIDSLISDIKAWGGTAVTIHGRSRQQRYSKLADWDYINLCANKAPDNLQVLGNGDIFSYHDWNMHISDCSKLSSCMVGRGALIKPWIFTEIKEQRDWDISSTERFDILKDFVRFGLQHWGSDNKGVETTRHFMLEWLSYTCRYIPVGLLDVIPQRINWRLPSYYGRNDLETLMASDSAADWIRISEMLLGKVPEGFSFAPKHKSNAYDRAENG >DRNTG_02616.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21346915:21348067:1 gene:DRNTG_02616 transcript:DRNTG_02616.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICRWTFVLVIIYFVIGACISAHGCWIYSKYHPPDNEEAKGLLEGDLEENCSIKLTYRSKQNRSMPKLQRYLEEEKHQNAGFWGYVMQAIYQVSLSEPGFVSLFSSCLCLLHSNINFTNDFSLNNVTC >DRNTG_26310.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21355109:21360922:-1 gene:DRNTG_26310 transcript:DRNTG_26310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNHLLPCTLLGPFIHIHLLQRVLIHMVLMQCHFQMGTNEPSVPVTSGADADAKSNEGKGRSPLKKSKGSLGSLNMLTGKQNAVPKASGASGNGPQSQSGESGSEGSTEGSYATSKNGSQHNEDEHGSGDGEAQNGFRQATSAPSVFNQAMPFIAIPPSAITGPTTTLNIGMDYMGGPVTPVPTIRGKATPAPPPANMHPSTNAGSRDVSQPDLWLQDERELKRERRKQSNRESARRSRLRKQAECEELAQRVITIKEENDALRQELDRLREMCGKLSSENASLTEQVQELHAKKSNGNEPSKGREASNSETANRVN >DRNTG_26310.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21355109:21358261:-1 gene:DRNTG_26310 transcript:DRNTG_26310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNHLLPCTLLGPFIHIHLLQRVLIHMVLMQCHFQMGTNEPSVPVTSGADADAKSNEGKGRSPLKKSKGSLGSLNMLTGKQNAVPKASGASGNGPQSQSGESGSEGSTEGSYATSKNGSQHNEDEHGSGDGEAQNGFRQATSAPSVFNQAMPFIAIPPSAITGPTTTLNIGMDYMGGPVTPVPTIRGKATPAPPPANMHPSTNAGSRDVSQPDLWLQDERELKRERRKQSNRESARRSRLRKQAECEELAQRVITIKEENDALRQELDRLREMCGKLSSENASLTEQVQELHAKKSNGNEPSKGREASNSETANRVN >DRNTG_26310.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21355109:21360922:-1 gene:DRNTG_26310 transcript:DRNTG_26310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNHLLPCTLLGPFIHIHLLQRVLIHMVLMQCHFQMGTNEPSVPVTSGADADAKSNEGKGRSPLKKSKGSLGSLNMLTGKQNAVPKASGASGNGPQSQSGESGSEGSTEGSYATSKNGSQHNEDEHGSGDGEAQNGFRQATSAPSVFNQAMPFIAIPPSAITGPTTTLNIGMDYMGGPVTPVPTIRGKATPAPPPANMHPSTNAGSRDVSQPDLWLQDERELKRERRKQSNRESARRSRLRKQAECEELAQRVITIKEENDALRQELDRLREMCGKLSSENASLTEQVQELHAKKSNGNEPSKGREASNSETANRVN >DRNTG_26310.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21355109:21360922:-1 gene:DRNTG_26310 transcript:DRNTG_26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNHLLPCTLLGPFIHIHLLQRVLIHMVLMQCHFQMGTNEPSVPVTSGADADAKSNEGKGRSPLKKSKGSLGSLNMLTGKQNAVPKASGASGNGPQSQSGESGSEGSTEGSYATSKNGSQHNEDEHGSGDGEAQNGFRQATSAPSVFNQAMPFIAIPPSAITGPTTTLNIGMDYMGGPVTPVPTIRGKATPAPPPANMHPSTNAGSRDVSQPDLWLQDERELKRERRKQSNRESARRSRLRKQAECEELAQRVITIKEENDALRQELDRLREMCGKLSSENASLTEQVQELHAKKSNGNEPSKGREASNSETANRVN >DRNTG_02167.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29242462:29249254:-1 gene:DRNTG_02167 transcript:DRNTG_02167.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVMPNSSGQRFPNNISVQTGEEFSMDFLQDRPTQKGTALRHDFPRGLMKRVEFDADQNFQPSYEKITDIHGFRRSDSDCNAVVSNTLLTKGTQDANFDKSGRIQTENDCKIRGNSVNEFSESSSVSEIMQGCHRRLHESGTSDGSFSDKLKLLCSFGGKILPRPGDGQLRYVGGETRIISIRKKLSWRELVQKTSSICNQPHTIRYQLPGEDLDALISVSSDEDLQNMMEEYHGLEKADGSQRLRIFLIPLNESESCSLDTRALHNSSEYHYVVAVNNILDASLHKSSSGNSLSSQWGYQLDGSPRFQNDSHGFAVSEATDGTHAPNILGRFLHHPASPLFINPQAAGLSPPFSPKPILNRDSKHSQKQSFADQLNVEQPSDNYHAIDTGCCIHGPATKLHPNMLYDSETQIRPYGVHFQNHKHTRDLGHSPIYCNEPNFGVYSYGEGPGLKERGFHSEMFPREEDSMSWMLGSNGLDGPVQGMPHAYSDSSLQEQGVKNASNLTKLVPTSLDLTTQPSSPKLHQTNFEGKGSPFVENTEKINSKHPFILERALSESSSARGHALYINNENLSGRRADGRDPGLQISQMGHGLGCDASKGMDEKEFIIPNDKDVYHIDLNAVAQESVTCKGRLLVDNYHLSGNHSTHVSRRELEILESSVSVPSLLVPNTHSDHKENSLGKQLERGIDDKLKHLKSSGIDESSSTAWCKTSPGSEITNGIAAHKANEHCNGIKHNDLMCNSSSDPFSYPPPVIMPQNSKNNLEPGLASSANSAPLVRIQDSDPTLNLHLEDPPSWSLPQNSVVGDKDLRREVSLLDQDIVNNPGSNLRDMHHGTDCYEHLNGVETEPPCTSHDQVLIGAAITVEDVTNGVPSGIPEIRNIVPQVHHEVIDDVDTSDTLSLKENDVENSYLDLEHEDAKVDGETNESMDAEKEAGIYGLQIIKNADLEEIRELGSGTFGTVYYGKWRGTDVAIKRIKKSCFAGRSSEQDRLTKDFWREAQILSKLHHPNVVAFYGVVPDGTGGTLATVTEFMVNGSLRHVLLKKERALDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNMRDSQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSRVSDKVTLLILLKLFELL >DRNTG_02167.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29242462:29249634:-1 gene:DRNTG_02167 transcript:DRNTG_02167.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVMPNSSGQRFPNNISVQTGEEFSMDFLQDRPTQKGTALRHDFPRGLMKRVEFDADQNFQPSYEKITDIHGFRRSDSDCNAVVSNTLLTKGTQDANFDKSGRIQTENDCKIRGNSVNEFSESSSVSEIMQGCHRRLHESGTSDGSFSDKLKLLCSFGGKILPRPGDGQLRYVGGETRIISIRKKLSWRELVQKTSSICNQPHTIRYQLPGEDLDALISVSSDEDLQNMMEEYHGLEKADGSQRLRIFLIPLNESESCSLDTRALHNSSEYHYVVAVNNILDASLHKSSSGNSLSSQWGYQLDGSPRFQNDSHGFAVSEATDGTHAPNILGRFLHHPASPLFINPQAAGLSPPFSPKPILNRDSKHSQKQSFADQLNVEQPSDNYHAIDTGCCIHGPATKLHPNMLYDSETQIRPYGVHFQNHKHTRDLGHSPIYCNEPNFGVYSYGEGPGLKERGFHSEMFPREEDSMSWMLGSNGLDGPVQGMPHAYSDSSLQEQGVKNASNLTKLVPTSLDLTTQPSSPKLHQTNFEGKGSPFVENTEKINSKHPFILERALSESSSARGHALYINNENLSGRRADGRDPGLQISQMGHGLGCDASKGMDEKEFIIPNDKDVYHIDLNAVAQESVTCKGRLLVDNYHLSGNHSTHVSRRELEILESSVSVPSLLVPNTHSDHKENSLGKQLERGIDDKLKHLKSSGIDESSSTAWCKTSPGSEITNGIAAHKANEHCNGIKHNDLMCNSSSDPFSYPPPVIMPQNSKNNLEPGLASSANSAPLVRIQDSDPTLNLHLEDPPSWSLPQNSVVGDKDLRREVSLLDQDIVNNPGSNLRDMHHGTDCYEHLNGVETEPPCTSHDQVLIGAAITVEDVTNGVPSGIPEIRNIVPQVHHEVIDDVDTSDTLSLKENDVENSYLDLEHEDAKVDGETNESMDAEKEAGIYGLQIIKNADLEEIRELGSGTFGTVYYGKWRGTDVAIKRIKKSCFAGRSSEQDRLTKDFWREAQILSKLHHPNVVAFYGVVPDGTGGTLATVTEFMVNGSLRHVLLKKERALDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNMRDSQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSRVSDKVTLLILLKLFELL >DRNTG_02167.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29242462:29249634:-1 gene:DRNTG_02167 transcript:DRNTG_02167.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVMPNSSGQRFPNNISVQTGEEFSMDFLQDRPTQKGTALRHDFPRGLMKRVEFDADQNFQPSYEKITDIHGFRRSDSDCNAVVSNTLLTKGTQDANFDKSGRIQTENDCKIRGNSVNEFSESSSVSEIMQGCHRRLHESGTSDGSFSDKLKLLCSFGGKILPRPGDGQLRYVGGETRIISIRKKLSWRELVQKTSSICNQPHTIRYQLPGEDLDALISVSSDEDLQNMMEEYHGLEKADGSQRLRIFLIPLNESESCSLDTRALHNSSEYHYVVAVNNILDASLHKSSSGNSLSSQWGYQLDGSPRFQNDSHGFAVSEATDGTHAPNILGRFLHHPASPLFINPQAAGLSPPFSPKPILNRDSKHSQKQSFADQLNVEQPSDNYHAIDTGCCIHGPATKLHPNMLYDSETQIRPYGVHFQNHKHTRDLGHSPIYCNEPNFGVYSYGEGPGLKERGFHSEMFPREEDSMSWMLGSNGLDGPVQGMPHAYSDSSLQEQGVKNASNLTKLVPTSLDLTTQPSSPKLHQTNFEGKGSPFVENTEKINSKHPFILERALSESSSARGHALYINNENLSGRRADGRDPGLQISQMGHGLGCDASKGMDEKEFIIPNDKDVYHIDLNAVAQESVTCKGRLLVDNYHLSGNHSTHVSRRELEILESSVSVPSLLVPNTHSDHKENSLGKQLERGIDDKLKHLKSSGIDESSSTAWCKTSPGSEITNGIAAHKANEHCNGIKHNDLMCNSSSDPFSYPPPVIMPQNSKNNLEPGLASSANSAPLVRIQDSDPTLNLHLEDPPSWSLPQNSVVGDKDLRREVSLLDQDIVNNPGSNLRDMHHGTDCYEHLNGVETEPPCTSHDQVLIGAAITVEDVTNGVPSGIPEIRNIVPQVHHEVIDDVDTSDTLSLKENDVENSYLDLEHEDAKVDGETNESMDAEKEAGIYGLQIIKNADLEEIRELGSGTFGTVYYGKWRGTDVAIKRIKKSCFAGRSSEQDRLTKDFWREAQILSKLHHPNVVAFYGVVPDGTGGTLATVTEFMVNGSLRHVLLKKERALDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNMRDSQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSRVSDKVDVFSFGIVMWEIFTGEEPYANMHCGAIIGGIVNNTLRPPIPDRCDSEWRKLMEQCWSPDPLVRPTFTEITNRLRAMASSFQPEGHNQINR >DRNTG_02167.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29242462:29249634:-1 gene:DRNTG_02167 transcript:DRNTG_02167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVMPNSSGQRFPNNISVQTGEEFSMDFLQDRPTQKGTALRHDFPRGLMKRVEFDADQNFQPSYEKITDIHGFRRSDSDCNAVVSNTLLTKGTQDANFDKSGRIQTENDCKIRGNSVNEFSESSSVSEIMQGCHRRLHESGTSDGSFSDKLKLLCSFGGKILPRPGDGQLRYVGGETRIISIRKKLSWRELVQKTSSICNQPHTIRYQLPGEDLDALISVSSDEDLQNMMEEYHGLEKADGSQRLRIFLIPLNESESCSLDTRALHNSSEYHYVVAVNNILDASLHKSSSGNSLSSQWGYQLDGSPRFQNDSHGFAVSEATDGTHAPNILGRFLHHPASPLFINPQAAGLSPPFSPKPILNRDSKHSQKQSFADQLNVEQPSDNYHAIDTGCCIHGPATKLHPNMLYDSETQIRPYGVHFQNHKHTRDLGHSPIYCNEPNFGVYSYGEGPGLKERGFHSEMFPREEDSMSWMLGSNGLDGPVQGMPHAYSDSSLQEQGVKNASNLTKLVPTSLDLTTQPSSPKLHQTNFEGKGSPFVENTEKINSKHPFILERALSESSSARGHALYINNENLSGRRADGRDPGLQISQMGHGLGCDASKGMDEKEFIIPNDKDVYHIDLNAVAQESVTCKGRLLVDNYHLSGNHSTHVSRRELEILESSVSVPSLLVPNTHSDHKENSLGKQLERGIDDKLKHLKSSGIDESSSTAWCKTSPGSEITNGIAAHKANEHCNGIKHNDLMCNSSSDPFSYPPPVIMPQNSKNNLEPGLASSANSAPLVRIQDSDPTLNLHLEDPPSWSLPQNSVVGDKDLRREVSLLDQDIVNNPGSNLRDMHHGTDCYEHLNGVETEPPCTSHDQVLIGAAITVEDVTNGVPSGIPEIRNIVPQVHHEVIDDVDTSDTLSLKENDVENSYLDLEHEDAKVDGETNESMDAEKEAGIYGLQIIKNADLEEIRELGSGTFGTVYYGKWRGTDVAIKRIKKSCFAGRSSEQDRLTKDFWREAQILSKLHHPNVVAFYGVVPDGTGGTLATVTEFMVNGSLRHVLLKKERALDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNMRDSQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSRVSDKVDVFSFGIVMWEIFTGEEPYANMHCGAIIGGIVNNTLRPPIPDRCDSEWRKLMEQCWSPDPLVRPTFTEITNRLRAMASSFQPEGHNQINR >DRNTG_02167.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29242462:29249254:-1 gene:DRNTG_02167 transcript:DRNTG_02167.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVMPNSSGQRFPNNISVQTGEEFSMDFLQDRPTQKGTALRHDFPRGLMKRVEFDADQNFQPSYEKITDIHGFRRSDSDCNAVVSNTLLTKGTQDANFDKSGRIQTENDCKIRGNSVNEFSESSSVSEIMQGCHRRLHESGTSDGSFSDKLKLLCSFGGKILPRPGDGQLRYVGGETRIISIRKKLSWRELVQKTSSICNQPHTIRYQLPGEDLDALISVSSDEDLQNMMEEYHGLEKADGSQRLRIFLIPLNESESCSLDTRALHNSSEYHYVVAVNNILDASLHKSSSGNSLSSQWGYQLDGSPRFQNDSHGFAVSEATDGTHAPNILGRFLHHPASPLFINPQAAGLSPPFSPKPILNRDSKHSQKQSFADQLNVEQPSDNYHAIDTGCCIHGPATKLHPNMLYDSETQIRPYGVHFQNHKHTRDLGHSPIYCNEPNFGVYSYGEGPGLKERGFHSEMFPREEDSMSWMLGSNGLDGPVQGMPHAYSDSSLQEQGVKNASNLTKLVPTSLDLTTQPSSPKLHQTNFEGKGSPFVENTEKINSKHPFILERALSESSSARGHALYINNENLSGRRADGRDPGLQISQMGHGLGCDASKGMDEKEFIIPNDKDVYHIDLNAVAQESVTCKGRLLVDNYHLSGNHSTHVSRRELEILESSVSVPSLLVPNTHSDHKENSLGKQLERGIDDKLKHLKSSGIDESSSTAWCKTSPGSEITNGIAAHKANEHCNGIKHNDLMCNSSSDPFSYPPPVIMPQNSKNNLEPGLASSANSAPLVRIQDSDPTLNLHLEDPPSWSLPQNSVVGDKDLRREVSLLDQDIVNNPGSNLRDMHHGTDCYEHLNGVETEPPCTSHDQVLIGAAITVEDVTNGVPSGIPEIRNIVPQVHHEVIDDVDTSDTLSLKENDVENSYLDLEHEDAKVDGETNESMDAEKEAGIYGLQIIKNADLEEIRELGSGTFGTVYYGKWRGTDVAIKRIKKSCFAGRSSEQDRLTKDFWREAQILSKLHHPNVVAFYGVVPDGTGGTLATVTEFMVNGSLRHVLLKKERALDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNMRDSQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSRVSDKVDVFSFGIVMWEIFTGEEPYANMHCGAIIGGIVNNTLRPPIPDRCDSEWRKLMEQCWSPDPLVRPTFTEITNRLRAMASSFQPEGHNQINR >DRNTG_02167.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29242462:29243701:-1 gene:DRNTG_02167 transcript:DRNTG_02167.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELLNGSSSRVSDKVDVFSFGIVMWEIFTGEEPYANMHCGAIIGGIVNNTLRPPIPDRCDSEWRKLMEQCWSPDPLVRPTFTEITNRLRAMASSFQPEGHNQINR >DRNTG_02167.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29242462:29243223:-1 gene:DRNTG_02167 transcript:DRNTG_02167.9 gene_biotype:protein_coding transcript_biotype:protein_coding VNCVGGIVNNTLRPPIPDRCDSEWRKLMEQCWSPDPLVRPTFTEITNRLRAMASSFQPEGHNQINR >DRNTG_02167.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29242462:29249634:-1 gene:DRNTG_02167 transcript:DRNTG_02167.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVMPNSSGQRFPNNISVQTGEEFSMDFLQDRPTQKGTALRHDFPRGLMKRVEFDADQNFQPSYEKITDIHGFRRSDSDCNAVVSNTLLTKGTQDANFDKSGRIQTENDCKIRGNSVNEFSESSSVSEIMQGCHRRLHESGTSDGSFSDKLKLLCSFGGKILPRPGDGQLRYVGGETRIISIRKKLSWRELVQKTSSICNQPHTIRYQLPGEDLDALISVSSDEDLQNMMEEYHGLEKADGSQRLRIFLIPLNESESCSLDTRALHNSSEYHYVVAVNNILDASLHKSSSGNSLSSQWGYQLDGSPRFQNDSHGFAVSEATDGTHAPNILGRFLHHPASPLFINPQAAGLSPPFSPKPILNRDSKHSQKQSFADQLNVEQPSDNYHAIDTGCCIHGPATKLHPNMLYDSETQIRPYGVHFQNHKHTRDLGHSPIYCNEPNFGVYSYGEGPGLKERGFHSEMFPREEDSMSWMLGSNGLDGPVQGMPHAYSDSSLQEQGVKNASNLTKLVPTSLDLTTQPSSPKLHQTNFEGKGSPFVENTEKINSKHPFILERALSESSSARGHALYINNENLSGRRADGRDPGLQISQMGHGLGCDASKGMDEKEFIIPNDKDVYHIDLNAVAQESVTCKGRLLVDNYHLSGNHSTHVSRRELEILESSVSVPSLLVPNTHSDHKENSLGKQLERGIDDKLKHLKSSGIDESSSTAWCKTSPGSEITNGIAAHKANEHCNGIKHNDLMCNSSSDPFSYPPPVIMPQNSKNNLEPGLASSANSAPLVRIQDSDPTLNLHLEDPPSWSLPQNSVVGDKDLRREVSLLDQDIVNNPGSNLRDMHHGTDCYEHLNGVETEPPCTSHDQVLIGAAITVEDVTNGVPSGIPEIRNIVPQVHHEVIDDVDTSDTLSLKENDVENSYLDLEHEDAKVDGETNESMDAEKEAGIYGLQIIKNADLEEIRELGSGTFGTVYYGKWRGTDVAIKRIKKSCFAGRSSEQDRLTKDFWREAQILSKLHHPNVVAFYGVVPDGTGGTLATVTEFMVNGSLRHVLLKKERALDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNMRDSQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSRVSDKVDVFSFGIVMWEIFTGEEPYANMHCGAIIGGIVNNTLRPPIPDRCDSEWRKLMEQCWSPDPLVRPTFTEITNRLRAMASSFQPEGHNQINR >DRNTG_02167.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29242462:29249634:-1 gene:DRNTG_02167 transcript:DRNTG_02167.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVMPNSSGQRFPNNISVQTGEEFSMDFLQDRPTQKGTALRHDFPRGLMKRVEFDADQNFQPSYEKITDIHGFRRSDSDCNAVVSNTLLTKGTQDANFDKSGRIQTENDCKIRGNSVNEFSESSSVSEIMQGCHRRLHESGTSDGSFSDKLKLLCSFGGKILPRPGDGQLRYVGGETRIISIRKKLSWRELVQKTSSICNQPHTIRYQLPGEDLDALISVSSDEDLQNMMEEYHGLEKADGSQRLRIFLIPLNESESCSLDTRALHNSSEYHYVVAVNNILDASLHKSSSGNSLSSQWGYQLDGSPRFQNDSHGFAVSEATDGTHAPNILGRFLHHPASPLFINPQAAGLSPPFSPKPILNRDSKHSQKQSFADQLNVEQPSDNYHAIDTGCCIHGPATKLHPNMLYDSETQIRPYGVHFQNHKHTRDLGHSPIYCNEPNFGVYSYGEGPGLKERGFHSEMFPREEDSMSWMLGSNGLDGPVQGMPHAYSDSSLQEQGVKNASNLTKLVPTSLDLTTQPSSPKLHQTNFEGKGSPFVENTEKINSKHPFILERALSESSSARGHALYINNENLSGRRADGRDPGLQISQMGHGLGCDASKGMDEKEFIIPNDKDVYHIDLNAVAQESVTCKGRLLVDNYHLSGNHSTHVSRRELEILESSVSVPSLLVPNTHSDHKENSLGKQLERGIDDKLKHLKSSGIDESSSTAWCKTSPGSEITNGIAAHKANEHCNGIKHNDLMCNSSSDPFSYPPPVIMPQNSKNNLEPGLASSANSAPLVRIQDSDPTLNLHLEDPPSWSLPQNSVVGDKDLRREVSLLDQDIVNNPGSNLRDMHHGTDCYEHLNGVETEPPCTSHDQVLIGAAITVEDVTNGVPSGIPEIRNIVPQVHHEVIDDVDTSDTLSLKENDVENSYLDLEHEDAKVDGETNESMDAEKEAGIYGLQIIKNADLEEIRELGSGTFGTVYYGKWRGTDVAIKRIKKSCFAGRSSEQDRLTKDFWREAQILSKLHHPNVVAFYGVVPDGTGGTLATVTEFMVNGSLRHVLLKKERALDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNMRDSQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSRVSDKVDVFSFGIVMWEIFTGEEPYANMHCGAIIGGIVNNTLRPPIPDRCDSEWRKLMEQCWSPDPLVRPTFTEITNRLRAMASSFQPEGHNQINR >DRNTG_27537.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:793306:798620:-1 gene:DRNTG_27537 transcript:DRNTG_27537.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQTLIYSFVARGTVILAEFTEFTGNFTTIAAQCLQKLPASSNKFTYNCDGHTFNYLVEDGFTYCVVAVESAGRQIPIAFLERVKEDFTKKYAGGKAATATANSLNREFGSKLKEQMQYCVDHPEEISKLSKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTQMRRKMWFQNMKIKLIVLGIIIALILIIILSVCHGFKC >DRNTG_18802.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:392265:395507:-1 gene:DRNTG_18802 transcript:DRNTG_18802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKVTDLRVLRPLVHLLVPLSMHWIAYEMTVPVLVDVITGALCPGQKSCPEAIYLTGVRQTIVGIFKIVVDILLGQLADEYGRKPLLLLTLPASIVPFAVLAWDQSRPFVYAYFILHTISMIISQGSIFSIAVAYAADVVERSQRAAAFGLITGLFSAAHVLGNALARFLPVEWIFEVSITLLICCAIYVKVLLPETLKGSPRPQQLGPSSTMLFKILQERWYSMKDTVTLITSSGTLRSITYITFFYKLGMTGIGSVLLYYLKTVFGFNKNQFSEILLVVGIGSTFSQALLFPLINPLVGERVILCLALLASIVYALFYGLAWAPWVPYLSASFGAIYILEKASTYAIISKASSLRDQGKVQGFFAGIRAIASLLSPLVMSPLTSFFLSSKAPFNCKGFSILLASVSMMIALGHALLLNSEDNERNCDNGNAIDESIEAPLIISQS >DRNTG_15704.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4423489:4427731:1 gene:DRNTG_15704 transcript:DRNTG_15704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAASCLASCCASCACSACQSVVGGISRRSARIAYCGLFALSLVLSWVLREVAAPLLESIPWINHFHKTPDREWFETDAVLRVSLGNFVFFTILAVLMMGIKDQKDPRDRIHHGGWMAKIVCWCIIVFLMFFVPNGFVSFYESISKFGSGLFLLVQVVLLLDFVHSWNDNWVQRDEQFWYMALLIVSLVCYLATFSFSGLLFHWFTPSGHDCGLNTFFIVLTLILVFAFAVVALHPKINGSLLPASVISLYCMYLCYSGLSSEPRDYECNGLHNHSQAVSTGSLALGLTTTVLSVVYSAVRAGSSTTLLSPPSSPRASSDKPLLPFSKADEQEDKKKDEARPVSYSYSFFHLIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWVRIVTQWATATLFVWSLVAPILFPDREF >DRNTG_18600.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22198819:22199417:-1 gene:DRNTG_18600 transcript:DRNTG_18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGEKEMDHWILFMSVNELIIDGSGQIDGQGHAWWPCRKQSQHCLKIPNTLGIMSCQNAQLTGLKFKDSPGKHLVIYKSSSVHLSDLSIDAPEDSPNTDGIHIEDTTHSDIF >DRNTG_18600.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22198819:22199189:-1 gene:DRNTG_18600 transcript:DRNTG_18600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCQLGVLYAMQHCLKIPNTLGIMSCQNAQLTGLKFKDSPGKHLVIYKSSSVHLSDLSIDAPEDSPNTDGIHIEDTTHSDIF >DRNTG_11030.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:837548:838084:1 gene:DRNTG_11030 transcript:DRNTG_11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQTTSSTPTNQNQTPQSTNKTTKKAQSNKEKTAIKCFKAPYRILRSARDFYVRCFTGCAGRSQYGSMMGNPVRAVSAVPRGFSFHSQSTHSQEDISELIRVASRGSLGRSPAQNQGSSSNVDGVVPRSQSVAIGRIDEDKPCEFGDDVGALGLHGMYPRSRSCAVVTNKRRVGIVA >DRNTG_30729.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001619.1:5494:6458:-1 gene:DRNTG_30729 transcript:DRNTG_30729.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g22070 [Source:Projected from Arabidopsis thaliana (AT2G22070) UniProtKB/Swiss-Prot;Acc:Q9SHZ8] MASFTPLASLLQRCLLSKNALAGKSIHGYFFKTGLIFSTYLANNLINVYSKLGFSVDACRLFDEMPLKNMFTWNSILSMYAKSGCMDVAAQLFDEMPERDSVSWTTMIVGCKQMGWFESSVRVFVDMVWNG >DRNTG_30729.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001619.1:6066:6567:-1 gene:DRNTG_30729 transcript:DRNTG_30729.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g22070 [Source:Projected from Arabidopsis thaliana (AT2G22070) UniProtKB/Swiss-Prot;Acc:Q9SHZ8] MASMGGEFLEAYVLMKLQKEKIMAENKVSKESKHIEQQHDQEKKKNNKKDWKSMFGLGRKIHPNGIHH >DRNTG_30729.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001619.1:3592:6458:-1 gene:DRNTG_30729 transcript:DRNTG_30729.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g22070 [Source:Projected from Arabidopsis thaliana (AT2G22070) UniProtKB/Swiss-Prot;Acc:Q9SHZ8] MASFTPLASLLQRCLLSKNALAGKSIHGYFFKTGLIFSTYLANNLINVYSKLGFSVDACRLFDEMPLKNMFTWNSILSMYAKSGCMDVAAQLFDEMPERDSVSWTTMIVGCKQMGWFESSVRVFVDMVWNGVPLTEFTFANVLAACAAMEGLSVGRNVHSFVVKLGMSSCVHVSNSLLSMYGKSGDLEMARVVFDRLTLRSLSSWNAMISLYAQSGRLDLALAQFEQMTERNIVSWNAVIAGYNQNGLDFEALRFFARMLNESSMVPDNFTLTSVLSACANLGMLRFGEQVHAHIVRHEIVCKGELGNALISMYSKSGGVEIAERIMKHSMASELNLISSTALLEGYVKLGELQPARKIFESMRNPDVVAWTAMVVGYVQNGHHNDAMELFRLMVNKGPKPNNYTLTAVLTVCSSSAMLDHGKQIHSRAIKSRRELSVSVCNALITMYAKSGNLPAARRVFDQIRFGREPISWTSMIIALAQHGFGEEAVKLFEEMIVSGIQPDHITYVGVISACTHAGLVEEGKSYYTMMQNKHKIEPTSSHYACMIDLFARSGLLKEAEEFIKALPKEPDAIAWGSLLAACKVHKNADMAKFAAEKLLAIDPENSGAYSALANVYSACGRWNDAAKIWKLMKDKGVKKEQGFSWLQIKNKVHVFQAEDVHHPQRDAIYEMAANIWQEIKKAGFVPNIQSVLHDIDDELKEQMLCHHSEKLAIAFGLISTPENTTLRIMKNLRVCNDCHSAIKFIAKVVGREIIVRDATRFHHF >DRNTG_30729.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001619.1:5397:6458:-1 gene:DRNTG_30729 transcript:DRNTG_30729.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g22070 [Source:Projected from Arabidopsis thaliana (AT2G22070) UniProtKB/Swiss-Prot;Acc:Q9SHZ8] MASFTPLASLLQRCLLSKNALAGKSIHGYFFKTGLIFSTYLANNLINVYSKLGFSVDACRLFDEMPLKNMFTWNSILSMYAKSGCMDVAAQLFDEMPERDSVSWTTMIVGCKQMGWFESSVRVFVDMVWNGVPLTEFTFANVLAACAAMEGLSVGRNVHSFVVK >DRNTG_30729.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001619.1:6066:6458:-1 gene:DRNTG_30729 transcript:DRNTG_30729.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g22070 [Source:Projected from Arabidopsis thaliana (AT2G22070) UniProtKB/Swiss-Prot;Acc:Q9SHZ8] MASMGGEFLEAYVLMKLQKEKIMAENKVSKESKHIEQQHDQEKKKNNKKDWKSMFGLGRKIHPNGIHH >DRNTG_30729.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001619.1:5494:6567:-1 gene:DRNTG_30729 transcript:DRNTG_30729.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g22070 [Source:Projected from Arabidopsis thaliana (AT2G22070) UniProtKB/Swiss-Prot;Acc:Q9SHZ8] MASFTPLASLLQRCLLSKNALAGKSIHGYFFKTGLIFSTYLANNLINVYSKLGFSVDACRLFDEMPLKNMFTWNSILSMYAKSGCMDVAAQLFDEMPERDSVSWTTMIVGCKQMGWFESSVRVFVDMVWNG >DRNTG_05969.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20516406:20516751:-1 gene:DRNTG_05969 transcript:DRNTG_05969.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTEAPQPTFFQRNGDVRAIEPPKSLANTFLNPSRSALSRRPHVYIVDSFASSVMDMLVEIMLYMHKPERLNVTALVPSKSLF >DRNTG_34718.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11958655:11960311:1 gene:DRNTG_34718 transcript:DRNTG_34718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECVPTSSHSSEHIHLRGTKAAHSSIPFPDESMELGSYSVEDRRRGRSTFSSKALFTPPRNRETRIHTGVWKLSTPVGNSARARVPPTPCGVARFHSI >DRNTG_08085.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10097833:10099019:-1 gene:DRNTG_08085 transcript:DRNTG_08085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHSGIKSYHLKSVKATLAAALERATLEDEQTRRHKLGSMISEGVPTFMYSSNYNDLEGNKESHICEAPITRFVEALERLWRGLTAFDIVFPSKESYWGSFLRH >DRNTG_23446.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:802256:805609:-1 gene:DRNTG_23446 transcript:DRNTG_23446.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine histidine transporter-like 8 [Source:Projected from Arabidopsis thaliana (AT1G47670) UniProtKB/Swiss-Prot;Acc:Q9SX98] MLGFPSLSPAMATPTTLPSTISMLESVFKPLFFLLRSPSWAGERLGVWLALFPTVYLSAGTATALILIGGETMKLFFQIVCGPLCSSNPLSTVEWYLVFTSLCIVLSQLPNLNSIAGLSLIGAVTAIIYATMAWVLSVSQTRPPSISYQPLKSPSFTASIFSILNALGIIAFAFRGHNLALEIQATMPSTFKHPAHVPMWRGAKVAYILIAMCLFPVAIGGFWAYGNLMPAGGMLNALFAFHSPDIPRGLLATTFLLVVFNCLSSFQIYSMPVFDSFEAFYTSRTNRPCSIWVRSGFRVFYGFISFLIGVALPFLSSLAGLLGGLTLPVTFAYPCFMWVLIKKPSRFSFNWYLNWSLGIMGIAFSLAFSLGGVWSMVNSGLRLKFFKPN >DRNTG_09094.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6251406:6261136:1 gene:DRNTG_09094 transcript:DRNTG_09094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPKYPNQRRAHYPLKSRHVYARARGSSGGNLASCRIKFGRFCKDAQSSTPLDRGLHSDELRRKIQEEDKAEDINSHHTSFPVVINKPEVFRNFKAAASPVTTSIATPDESECEEDTTLGILGLITGLKGVKVEKAKVYGNSVRPRLAQQLKEIFARVPFSDPTIPIVSNLEATELSIDDINNDDTLPATSAFIYEE >DRNTG_25828.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1082327:1088704:1 gene:DRNTG_25828 transcript:DRNTG_25828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRTEMEVGADGVAVITIINPPVNPLSVDVLLSMGENFGQALRRDDVKAIVFTGARGKFSGGFDINAFGQKGNWEEPEVGYLSKVFLSGTLESARKPSVAAIDGLALGGGLELAMACHARVSTPTAQLGLPELQLGIIPGLGGTQRLPRLVGLSKALEMILLSKPIKGEEALDLGLVDAISPSDTLVNTARLLALEIAEFRRPWMKSLYKTDKLEPLGEAREILNFARVQSLKQAPNLQHPLICIDVIEEGIVSGPNAGLLKEAIVSRELQSSETSKSLVHVFFSQRATSKVPGITDLGRVPRKINKVAIVGGGLMGSGIATTLILSNYQVILKEVNEKFLNAGVDRVKANLQSRVNKGKMTQEKFERTISLLKGVLDYEQFKDVDMVIEAVIENLSLKQQIFADLEKHCPPHCILASNTSTINLNLIGEKTKSQDRIVGAHFFSPAHIMPLLEIVRTHKTSPQVIQDLLDVGKKIRKTPIVVGNCTGFAVNRMFFPYTQSALLLVDHGVDPYQIDHVVTKFGMPMGPFRLVDLVGFGVAIASGISYLENYPERCYRSMLLPMMVEDKRTGKAVRKGFYLYNEKRRASPDPEIKKYIEKSRSIAGVIPDPKLMKLTDKDIIEMVFLPCVNEACRILDEKIAVKASDLDIASVMGMGFPPYRGGLLFWADRLGAKYIYSRLDEWSKTYGDSFKPCSYLSQRAAEGIPLSAAANQVKSRL >DRNTG_29763.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15990961:15993654:-1 gene:DRNTG_29763 transcript:DRNTG_29763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHLNGSSWAEEENASAGAPWATQPSPTPAEPGSPTNLMSRFTKDELSLSLPTFKTMLEDDWYFSSATASSATPHFEPLQSQRQDIASLLPPLDSASSFSFAPKSSLSYIPFDPAFDLSFTSSDLGVNSFDFSSKSQFSNPALVPNPVSFETIENSSTFNRSKTLQPLQVFPSLGAQPTLFQKRAALQRQNLGLDMDKAASFRDLEGQEKDEDGSRMKWDSDEEDKNKEEKEGNGDGDGVVEKGKKKGQPAKNLLAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLRRIDELHNELGTAPSSSAFPHSTTMNFLPLTPATPTLPSRVKGELCTSSLASPISQTPRVEVRVREGRTVNIHMFCAQRPGLLLSTMRALDGLGLDIQQAVISCFNGFALDVYHAEQCKDVPRVMPEDIKTVLLNSAGLQTVMP >DRNTG_18476.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:10349054:10375453:-1 gene:DRNTG_18476 transcript:DRNTG_18476.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAVLYVYNSCIVLAKEQNLFKPDVPRNRLVSETGQMKILHLLTVLKQIIPYLSRRVNAKILADVYSHLACHFTFFTRHILSVLEALVEHCKVDVLVHQSQDIISSLTLYLSVGKKNPVGTVISASTLLRNLLRKLHDTESNMFMKNLPVVVTSIAGFLNSDANTSKHAAGLLQDLFNCLLDERVLQLFASHSSDNGRDSSLEMIAITSACTMLDKMFTSFSFPSEHALAVIAFLFLKLGESSYFFMKDILLKLSQLTMNENEDLPNMKHLQECIGAAVVAMGPERLLSLMPISLDKGNLTCSNTWLIAILKKFVIGASLQFFIEHVVPLARSLRKAYDKAKKKRLLQNLKSCSRDLWDLLPAFCRYPTDTSQSLASLCKILIVAMKEDPSKHETVAIALQELVNGNRSILKDNQHAKQGSYFSICAVLEFVNIDLASLPLDLSKKSASRNIEALGASSIDFIQILTDIFFDSPPEKRTYIKEAIRCLSYVTENASMKNFFVLLLEKLDSLVKKSVIGSEPSVGHAQVSDKKEDARMAVEQKCEKTRCLVMELAPAFVEAADEDLIIIIFDYIRSSLSVLYCITDS >DRNTG_18476.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:10375962:10376634:-1 gene:DRNTG_18476 transcript:DRNTG_18476.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSGRGIEVDDHEPIEEETAELDDGEEASQSFLQLGDCSDVCKAIMERYARSSAPQHRHLCASAAAMRSILLDEGLPLTPPAYFAAAITAFLDSDSDSTAALSAFIAILIPHISAESLTPVKAGEAVVVLVEFLKDPPSGMATGTVRSVIKSLGFLALRVDLEDWNAVQLPLDTLLAFSMDKRPK >DRNTG_18476.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:10349054:10356897:-1 gene:DRNTG_18476 transcript:DRNTG_18476.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFLVLNEIILTLKSKKESRKLAYDLLLNISASLKNSESSIEESNLERLFYMVMGYLSSSSPHIMSGAVSALSLLIYNNPLFCLTVPNLVTSVLALLENKDNEVIKATLGFIKVLVSSLQSNDLLEILPDIVTAILPWSSVSKHHFRSKVGIILEILMRKCGYDAIDAIAPAKYKGFVKNITEARESRKNPKEIAKSDTPQESTDSKADRGKRLRADTPRSFEKQSGARKIQKVKPRKKHQTANSGATNSNPAAAKSRKSESLDRGDSSVSEIRFGGKRSGLNKNKRGNPNEKPRQKYNNKRKINHGNLNGAVTSPILSQEPNKRRKLKHPRSKGRAFKKVERISQS >DRNTG_18476.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:10349054:10351819:-1 gene:DRNTG_18476 transcript:DRNTG_18476.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSFLTLFCVQVMGYLSSSSPHIMSGAVSALSLLIYNNPLFCLTVPNLVTSVLALLENKDNEVIKATLGFIKVLVSSLQSNDLLEILPDIVTAILPWSSVSKHHFRSKVGIILEILMRKCGYDAIDAIAPAKYKGFVKNITEARESRKNPKEIAKSDTPQESTDSKADRGKRLRADTPRSFEKQSGARKIQKVKPRKKHQTANSGATNSNPAAAKSRKSESLDRGDSSVSEIRFGGKRSGLNKNKRGNPNEKPRQKYNNKRKINHGNLNGAVTSPILSQEPNKRRKLKHPRSKGRAFKKVERISQS >DRNTG_18476.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:10349054:10376584:-1 gene:DRNTG_18476 transcript:DRNTG_18476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSGRGIEVDDHEPIEEETAELDDGEEASQSFLQLGDCSDVCKAIMERYARSSAPQHRHLCASAAAMRSILLDEGLPLTPPAYFAAAITAFLDSDSDSTAALSAFIAILIPHISAESLTPVKAGEAVVVLVEFLKDPPSGMATGTVRSVIKSLGFLALRVDLEDWNAVQLPLDTLLAFSMDKRPKVRRCAQVCVEKLVKTFQSSSVTKMASKAVLYVYNSCIVLAKEQNLFKPDVPRNRLVSETGQMKILHLLTVLKQIIPYLSRRVNAKILADVYSHLACHFTFFTRHILSVLEALVEHCKVDVLVHQSQDIISSLTLYLSVGKKNPVGTVISASTLLRNLLRKLHDTESNMFMKNLPVVVTSIAGFLNSDANTSKHAAGLLQDLFNCLLDERVLQLFASHSSDNGRDSSLEMIAITSACTMLDKMFTSFSFPSEHALAVIAFLFLKLGESSYFFMKDILLKLSQLTMNENEDLPNMKHLQECIGAAVVAMGPERLLSLMPISLDKGNLTCSNTWLIAILKKFVIGASLQFFIEHVVPLARSLRKAYDKAKKKRLLQNLKSCSRDLWDLLPAFCRYPTDTSQSLASLCKILIVAMKEDPSKHETVAIALQELVNGNRSILKDNQHAKQGSYFSICAVLEFVNIDLASLPLDLSKKSASRNIEALGASSIDFIQILTDIFFDSPPEKRTYIKEAIRCLSYVTENASMKNFFVLLLEKLDSLVKKSVIGSEPSVGHAQVSDKKEDARMAVEQKCEKTRCLVMELAPAFVEAADEDLIIIIFDYIRSSLSAIDEPSQCKAYYALSTILKEHSWFCSTRTDDLIDLLLSIKGPADHEVLKNRFLCFHYLLFNLLKGKEEKTYMKAFLVLNEIILTLKSKKESRKLAYDLLLNISASLKNSESSIEESNLERLFYMVMGYLSSSSPHIMSGAVSALSLLIYNNPLFCLTVPNLVTSVLALLENKDNEVIKATLGFIKVLVSSLQSNDLLEILPDIVTAILPWSSVSKHHFRSKVGIILEILMRKCGYDAIDAIAPAKYKGFVKNITEARESRKNPKEIAKSDTPQESTDSKADRGKRLRADTPRSFEKQSGARKIQKVKPRKKHQTANSGATNSNPAAAKSRKSESLDRGDSSVSEIRFGGKRSGLNKNKRGNPNEKPRQKYNNKRKINHGNLNGAVTSPILSQEPNKRRKLKHPRSKGRAFKKVERISQS >DRNTG_09856.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000417.1:73261:98304:1 gene:DRNTG_09856 transcript:DRNTG_09856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDVRLTFNPNGGRVLVPLCFSPPPLEDDRESFYPFDDEEEDEGVFSLLWDSMFGVEWSSSAPGADGLQGVEGDSGGPLVSRPCETVVLHSSSSERNWRNPPVGCVSIGVVGDVVPIPGKCAAVELGSHDGGLDAGPVEAMVERGSHTGVELGSGDRGLDAVLVEAMVERGSHAEKMLGSRDGRQDASPVGVVVERVSHGSVNLRWRPHIGMNLRQFQLLRCQTRGRTKVRRRAGGREVENSGLLATAGCDRVGQGKMDDGGALGLLGKPPVVVELRSHDDDSGLSCDQPKVVERCFHWAGDVLLGCRSGGGAGRTFEGDKGKLDEAMGSVRRSLEFLGVIYSLQLGLSCDSRRISSLSQLPYLFTCISFSEFFTANQTESRDTAKITAAIGTPSIAAVLFLSVAFFCLVKRRARKRSVRKIQDEGEMQELGSLDSFFFDLAALKEATDDFSEANKLGEGGFGPVYKEIAVKRLSENSSQGLVEMKNEVVLVAKLQHRNLVRLLGCCLEEKERLVVYEYIPNTSLDKFLFGIYKALSIIESIGRGLLYLHEDSRRTISASLSSSMLMKHKEKLLLYFSSSTFSSYFLLSKQHDEQGSTRDG >DRNTG_07103.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22300807:22308039:-1 gene:DRNTG_07103 transcript:DRNTG_07103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEQRQTEIIDHFVKQAEVMEAASLSALVLEATSHPSLFAFSEILSSPNVHKLEGTRYSSSRDLLRLFAYGTWNDYRSNAGCLPALLPDQVRKLKQLTVLTLAETNKVLPYDQLMQEIDVSNVRELEDFLINECMYVGIVRGKLDQLRRCFEVQFAAGRDLRPGQLNNMIQTLANWVCTSDNLLQTIQEKIKWADAMSEAYKKHRKEIEDRAEDVKKSLKADLDSRGHEEMYSEAGGLMDYEEDRARPKRRRHPVP >DRNTG_07103.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22300807:22308039:-1 gene:DRNTG_07103 transcript:DRNTG_07103.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEQRQTEIIDHFVKQAEVMEAASLSALVLEATSHPSLFAFSEILSSPNVHKLEGTRYSSSRDLLRLFAYGTWNDYRSNAGCLPALLPDQVRKLKQLTVLTLAETNKVLPYDQLMQEIDVSNVRELEDFLINECMYVGIVRGKLDQLRRCFEVQFAAGRDLRPGQLNNMIQTLANWVCTSDNLLQTIQEKIKWADAMSEAYKKHRKEIEDRAEDVKKSLKKLTRPTWTHEGMKRCTLKLEG >DRNTG_09221.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000395.1:1:4439:1 gene:DRNTG_09221 transcript:DRNTG_09221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARSPPATRSLVRRLHALPRPHGRVAPRMKQPRAHAAAWLAHAP >DRNTG_20207.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001099.1:476:2687:-1 gene:DRNTG_20207 transcript:DRNTG_20207.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRALLSGIWLCGCYWKSNGFCKKCWSWYQGFSLSF >DRNTG_20207.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001099.1:476:2687:-1 gene:DRNTG_20207 transcript:DRNTG_20207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRALLSGIWLCGCYWKSNGFCKKCWSWYQGFSLSF >DRNTG_21739.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13656511:13663739:-1 gene:DRNTG_21739 transcript:DRNTG_21739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGFHLHFGYEIALAIHHRAIDPRLSPPSSFPIPASVHSGVDTVNLEFERSEAVDALEEEEEEEKETAEPIIQEASPCPVDVPSAVDEKEETETAEPAAQGTSPCLADDMLEEAFQASKDNIVADLHLGRKAQISKCQKCLLQYWPEILPNPCSDRGYVYLHHFRRGWMPVKVSYLMELKSTAGIENAPSNCRVWNDFWPLDAVDPKNARFLCCIVWTPLPVVL >DRNTG_03848.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4402421:4408752:1 gene:DRNTG_03848 transcript:DRNTG_03848.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSISLGSGPSGDSWPPPCETSGSLNTVTP >DRNTG_23741.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001275.1:285792:289116:-1 gene:DRNTG_23741 transcript:DRNTG_23741.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMKGRGEVRKVNVVYFISREGKVEHPHLIRVHQFRGHGVHLRDVKRWLSALRGDGMPDSFSWSYKRKYKSEYVWQDLTEDDLITPISDNEYILKGSELIMRFDQQARIQKFNTSLSSGKIVDPFGTISNSPESGVSSVISSAPLPLSQDTSDESSIISIVSKKYEKDVLNTENEIVNDNKNMEHIPQDYIRNFRTRSCNKNNSHNSSQKASRVLRNLMHCGTVDISDSTLRPVKPPVTGQQVSIEVLSQRRSLGGDARYHEQKQRDNSSHSFSYARKKSSTSESQRRSYRPVVEPKCSQCGKKFKPEKLHIHMNSCKVLREKKRTWKRIQLEQRNGEKKL >DRNTG_23741.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001275.1:285792:289313:-1 gene:DRNTG_23741 transcript:DRNTG_23741.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMKGRGEVRKVNVVYFISREGKVEHPHLIRVHQFRGHGVHLRDVKRWLSALRGDGMPDSFSWSYKRKYKSEYVWQDLTEDDLITPISDNEYILKGSELIMRFDQQARIQKFNTSLSSGKIVDPFGTISNSPESGVSSVISSAPLPLSQDTSDESSIISIVSKKYEKDVLNTENEIVNDNKNMEHIPQDYIRNFRTRSCNKNNSHNSSQKASRVLRNLMHCGTVDISDSTLRPVKPPVTGQQVSIEVLSQRRSLGGDARYHEQKQRDNSSHSFSYARKKSSTSESQRRSYRPVVEPKCSQCGKKFKPEKLHIHMNSCKVLREKKRTWKRIQLEQRNGEKKL >DRNTG_19767.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001054.1:7652:13363:-1 gene:DRNTG_19767 transcript:DRNTG_19767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRRGTLEKMRRWMEFTANEFPFPRNVSFVGLANASTEVVDPSPTSSALKRLSLYLGRLLQRFQRLGTCVSHHALFLLSSGNAFLASRDSSWIIDSGASSHMTATWLDGVCAFHQGGQGWVMWDPIPKPDRTSNRDYPTLDRKDNKLEGFT >DRNTG_12695.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4300198:4305439:1 gene:DRNTG_12695 transcript:DRNTG_12695.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKELYLSNNLLTGPLPNLTGMNALDYVDLSNNSFDVSDVPPWFSSLPSLTTLLLEHSQVQGGIPAGLFSFSPLQTVQLKNNLLNGTLEIDSGYSTQLRLVDLQTNDIADFRNNGNYNKTIILADNPVCRHGSNENYCAARTKNNSPYSTPNSCSGIDCPSEQALSPNCFCSYPYEGILYFRLLTVSNFQNSTYYQDLEKKILSTLQVRKVPVDSVAVHDPFMDASNYLEISVEVFPSGKAKFDQGDIVLIASFFSNQTSDIFDYFTLYNFIPKPYIPILEGSSSKSSNTAAIVGASAGGVVALLVIIGLVIFVMIRKKGKAKKMEQSYPFGSWDPSKRSGSVPQLKGARCFSFEELKKCTDNFSEPNHIGSGGYGKVYKGTLLDGQMVAVKRAQEDSMQGGHEFKNEIELLTRVHHRNLVNLVGFCFDQGEQMLVYEYLPNGTLRESLSGMSGIRLDWKKRLWIALDAARGLSYLHFLADPPIVHRDIKSNNILLDNHLHAKVADFGLSKPMTNDRKGHVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLLLELITARKPIERNKYIVREVKSAIDKKTELYGLEQLVDSTIGLSNTLAGFNRFVDLALMCVGESGADRPTMSEVVKEIENIMQLAGINPNAESASTSASYGDSSFTLRGHLYSNEALMNYSGAIPSSRSDTK >DRNTG_12695.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4299690:4305439:1 gene:DRNTG_12695 transcript:DRNTG_12695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRTEHFHFGGNKLSGNIPPELFHSGMVMEHLICNNNNFTGSIPSTLNLVPSLEALRLDGNKLTGPVPTTINSLTSMKELYLSNNLLTGPLPNLTGMNALDYVDLSNNSFDVSDVPPWFSSLPSLTTLLLEHSQVQGGIPAGLFSFSPLQTVQLKNNLLNGTLEIDSGYSTQLRLVDLQTNDIADFRNNGNYNKTIILADNPVCRHGSNENYCAARTKNNSPYSTPNSCSGIDCPSEQALSPNCFCSYPYEGILYFRLLTVSNFQNSTYYQDLEKKILSTLQVRKVPVDSVAVHDPFMDASNYLEISVEVFPSGKAKFDQGDIVLIASFFSNQTSDIFDYFTLYNFIPKPYIPILEGSSSKSSNTAAIVGASAGGVVALLVIIGLVIFVMIRKKGKAKKMEQSYPFGSWDPSKRSGSVPQLKGARCFSFEELKKCTDNFSEPNHIGSGGYGKVYKGTLLDGQMVAVKRAQEDSMQGGHEFKNEIELLTRVHHRNLVNLVGFCFDQGEQMLVYEYLPNGTLRESLSGMSGIRLDWKKRLWIALDAARGLSYLHFLADPPIVHRDIKSNNILLDNHLHAKVADFGLSKPMTNDRKGHVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLLLELITARKPIERNKYIVREVKSAIDKKTELYGLEQLVDSTIGLSNTLAGFNRFVDLALMCVGESGADRPTMSEVVKEIENIMQLAGINPNAESASTSASYGDSSFTLRGHLYSNEALMNYSGAIPSSRSDTK >DRNTG_11094.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30704622:30705977:-1 gene:DRNTG_11094 transcript:DRNTG_11094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSGTRVAKGMEVKRAGGVAMILGNAPANGDEIPVDAHVLPATAISSKDVLLVLSYINGSRSSRSKPIIAMIERANTVLGSSPAPVMAAFSSRGPNSIEHNILKPDITAPGLNILAAWSESSSPTKLQDDKRSVKYNIMSGTSMSCPHVSAAAALLKSIHPKWTSAAIRSALMTTANIFNNEGLAIINADMEEAGPLDMGSGHLRPTYALDPGLIYDASYEDYLLFVCASIKAQMDPTTPCPDSPPSPSNLNHPSISVSVLINGTITVHRTVTNVGRAKAKYHVSIIEPAHISVEIKPKVLRFKRVGEKKNFTITLKATANPSLSGTHVSGSYSWSDGAHFVRSPIVVSLF >DRNTG_08908.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27472667:27477322:-1 gene:DRNTG_08908 transcript:DRNTG_08908.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sialyltransferase-like protein 1 [Source:Projected from Arabidopsis thaliana (AT1G08660) UniProtKB/Swiss-Prot;Acc:Q8VZJ0] MRSAKGPAGRPTVLALLGAALVFTVIVLTIQSAFFTGGPSSDPNRGEIHILSGFQSAVQQCVANRGLGLTAEIIDHCKLVLKFPEGTNSTWYNEQFKIFEPLEYKYDVCEAILLWEQYRNMTTVLTREYLDVRPDGWLDYAAKRIAQLGADKCYNHSLCEEHLKLILPSNPPFHPRQFKTCAVVGNSGDLLKTEFGEEIDSHDAVIRDNEAPVNEKYAKYVGLKRDFRLVVRGAARNMIPILNGSSDEVLIIKSVTHRDFNAMIKMVPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDVVNIYGFTVDPGYTEWTRYFSTPRKGHNPLQGRAYYQLLECLGVIRIHSPMRASRKDWSDVPSREMIRTAHAAALSLKKTQAGQPADLGPFSSCKVWGTARHGGPVSGSPDMSDTRKHSNYNKWEVLPFTDLRKEAQEHFIQMDGASLYKMDGNKLDDLVCVKHSLPSKD >DRNTG_08908.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27472667:27477322:-1 gene:DRNTG_08908 transcript:DRNTG_08908.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sialyltransferase-like protein 1 [Source:Projected from Arabidopsis thaliana (AT1G08660) UniProtKB/Swiss-Prot;Acc:Q8VZJ0] MTTVLTREYLDVRPDGWLDYAAKRIAQLGADKCYNHSLCEEHLKLILPSNPPFHPRQFKTCAVVGNSGDLLKTEFGEEIDSHDAVIRDNEAPVNEKYAKYVGLKRDFRLVVRGAARNMIPILNGSSDEVLIIKSVTHRDFNAMIKMVPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDVVNIYGFTVDPGYTEWTRYFSTPRKGHNPLQGRAYYQLLECLGVIRIHSPMRASRKDWSDVPSREMIRTAHAAALSLKKTQAGQPADLGPFSSCKVWGTARHGGPVSGSPDMSDTRKHSNYNKWEVLPFTDLRKEAQEHFIQMDGASLYKMDGNKLDDLVCVKHSLPSKD >DRNTG_01047.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17745746:17749612:-1 gene:DRNTG_01047 transcript:DRNTG_01047.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYYPGRKSYHLECVKATLAAALERATLEDQDLHQYVIILKKRCDIRHKRVPVYVASMKSVDSGIFWRSTVADYCRFKGALARVLEWFYGLTLCFSRKIVIGGAFIGTDPVRREMMEDPTKEEEEEVDVSSSNESVEDDTNNIVAMQPMD >DRNTG_07739.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2623122:2628595:1 gene:DRNTG_07739 transcript:DRNTG_07739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDLQDAIKQLLALIDQVEEPLRSSFQNMHQGYPTETLNRFLKAREWSVPKAHKMLVDCLNWRIQNEIDNILAKPIIPRDLYRAVRDSQLIGLSGYSKEGLPVFAIGVGLSTFDKASVHYYVQSHIQINEYRDRIVLPAATRKHGRHIGTCIKILDMTGLKFSALSQIKLLTVISTVDDLNYPEKTDTYFIVNVPYIFSACWKVVKPLLQRFLQL >DRNTG_29329.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29712930:29717345:1 gene:DRNTG_29329 transcript:DRNTG_29329.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKVTRRLSRITSHIITQTINKKRKKQHHPIIPILIPTPSSIPSPPKMTPPPPPLQHQHNHQHQPFLFPNTQSSSVLPDPSTFFSPSLSSPLPTNSFFQNFVLKNGDQPEYIHPYLIKSSSSSLSFSYPSRFSTPNFIYQTFTQDLTISSSSPSQSQHHTISSFNDLSLTLDIQPSLRFFLVRGSPFITLSTLASTFLSISTIHAILSFSSNPSLTKHRISLNNSQTFILYSSSPLSLSLSSLSLLSSSSPFSGVLRAAILLDPSHEPLLDRFSSCYPISGHADLSKPFTLDYKWETKGDGGDLLLLAHPLHLRLLDDSCSATVLPDLNYTSIDGPLVGVVGDSWTLKTDPISLTWHSMRGVDEVGLPEIISALAKDVATLNSTPITTTSSYFYGKAIARAARLALIAEEVCFPDVIPAVRSFLKDSITPWLDGSFNGNGFLYDSKWGGIVTKQGSVDSGADFGFGVYNDHHYHLGYFLYAIAVLARLDPAWGRTYRPQAYSMMADFMTLSRNSPHASYTRLRCFDLWTLHSWAGGLTEFGDGRNQESTSEAVNAYYSAALMGLSYGDTHLVALGTTLAALEMHAAATWWHVREGEGMYEEQFSKQNKLVGVLWANKRDSGLWFAPPEWKECRFGIQVLPLLPVTELLFRDVGFVREAVKWAEPALRREGWEKGGKGSCTPWKEFMRRRWRW >DRNTG_29329.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29712292:29717345:1 gene:DRNTG_29329 transcript:DRNTG_29329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKVTRRLSRITSHIITQTINKKRKKQHHPIIPILIPTPSSIPSPPKMTPPPPPLQHQHNHQHQPFLFPNTQSSSVLPDPSTFFSPSLSSPLPTNSFFQNFVLKNGDQPEYIHPYLIKSSSSSLSFSYPSRFSTPNFIYQTFTQDLTISSSSPSQSQHHTISSFNDLSLTLDIQPSLRFFLVRGSPFITLSTLASTFLSISTIHAILSFSSNPSLTKHRISLNNSQTFILYSSSPLSLSLSSLSLLSSSSPFSGVLRAAILLDPSHEPLLDRFSSCYPISGHADLSKPFTLDYKWETKGDGGDLLLLAHPLHLRLLDDSCSATVLPDLNYTSIDGPLVGVVGDSWTLKTDPISLTWHSMRGVDEVGLPEIISALAKDVATLNSTPITTTSSYFYGKAIARAARLALIAEEVCFPDVIPAVRSFLKDSITPWLDGSFNGNGFLYDSKWGGIVTKQGSVDSGADFGFGVYNDHHYHLGYFLYAIAVLARLDPAWGRTYRPQAYSMMADFMTLSRNSPHASYTRLRCFDLWTLHSWAGGLTEFGDGRNQESTSEAVNAYYSAALMGLSYGDTHLVALGTTLAALEMHAAATWWHVREGEGMYEEQFSKQNKLVGVLWANKRDSGLWFAPPEWKECRFGIQVLPLLPVTELLFRDVGFVREAVKWAEPALRREGWEKGGKGSCTPWKEFMRRRWRW >DRNTG_29329.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29712292:29717345:1 gene:DRNTG_29329 transcript:DRNTG_29329.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKVTRRLSRITSHIITQTINKKRKKQHHPIIPILIPTPSSIPSPPKMTPPPPPLQHQHNHQHQPFLFPNTQSSSVLPDPSTFFSPSLSSPLPTNSFFQNFVLKNGDQPEYIHPYLIKSSSSSLSFSYPSRFSTPNFIYQTFTQDLTISSSSPSQSQHHTISSFNDLSLTLDIQPSLRFFLVRGSPFITLSTLASTFLSISTIHAILSFSSNPSLTKHRISLNNSQTFILYSSSPLSLSLSSLSLLSSSSPFSGVLRAAILLDPSHEPLLDRFSSCYPISGHADLSKPFTLDYKWETKGDGGDLLLLAHPLHLRLLDDSCSATVLPDLNYTSIDGPLVGVVGDSWTLKTDPISLTWHSMRGVDEVGLPEIISALAKDVATLNSTPITTTSSYFYGKAIARAARLALIAEEVCFPDVIPAVRSFLKDSITPWLDGSFNGNGFLYDSKWGGIVTKQGSVDSGADFGFGVYNDHHYHLGYFLYAIAVLARLDPAWGRTYRPQAYSMMADFMTLSRNSPHASYTRLRCFDLWTLHSWAGGLTEFGDGRNQESTSEAVNAYYSAALMGLSYGDTHLVALGTTLAALEMHAAATWWHVREGEGMYEEQFSKQNKLVGVLWANKRDSGLWFAPPEWKECRFGIQVLPLLPVTELLFRDVGFVREAVKWAEPALRREGWEKGGKGSCTPWKEFMRRRWRW >DRNTG_29329.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29712292:29717345:1 gene:DRNTG_29329 transcript:DRNTG_29329.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKVTRRLSRITSHIITQTINKKRKKQHHPIIPILIPTPSSIPSPPKMTPPPPPLQHQHNHQHQPFLFPNTQSSSVLPDPSTFFSPSLSSPLPTNSFFQNFVLKNGDQPEYIHPYLIKSSSSSLSFSYPSRFSTPNFIYQTFTQDLTISSSSPSQSQHHTISSFNDLSLTLDIQPSLRFFLVRGSPFITLSTLASTFLSISTIHAILSFSSNPSLTKHRISLNNSQTFILYSSSPLSLSLSSLSLLSSSSPFSGVLRAAILLDPSHEPLLDRFSSCYPISGHADLSKPFTLDYKWETKGDGGDLLLLAHPLHLRLLDDSCSATVLPDLNYTSIDGPLVGVVGDSWTLKTDPISLTWHSMRGVDEVGLPEIISALAKDVATLNSTPITTTSSYFYGKAIARAARLALIAEEVCFPDVIPAVRSFLKDSITPWLDGSFNGNGFLYDSKWGGIVTKQGSVDSGADFGFGVYNDHHYHLGYFLYAIAVLARLDPAWGRTYRPQAYSMMADFMTLSRNSPHASYTRLRCFDLWTLHSWAGGLTEFGDGRNQESTSEAVNAYYSAALMGLSYGDTHLVALGTTLAALEMHAAATWWHVREGEGMYEEQFSKQNKLVGVLWANKRDSGLWFAPPEWKECRFGIQVLPLLPVTELLFRDVGFVREAVKWAEPALRREGWEKGGKGSCTPWKEFMRRRWRW >DRNTG_35255.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3413356:3415040:1 gene:DRNTG_35255 transcript:DRNTG_35255.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINSQAETAMVTTKRSNSNLAKLIFSGHLLAVAIFITFLSFRGFLEAPGFRPTHWCIPLAASIAVSAFTAISLLFLSLHHPSIALKTSLWLSPLFTTFTGILLLATGTGIGLIFAAFTLTGALSLSLYSCSIATHLKHTNQILTISITTVPPSIKITKFILAGLFTGLIYTWFWSLGAGGISAKGSPFAGIYILVLFLSLAWTMNVIRNTMHVAISRIAYMQLMHGLDVDVTQAFTDAATRSLSTICLGSAMVPAIGTFRGMARAMAAIAGGSDEFLFSCTACYINLANLLVPSGNLWACVHVGIHGKGFVQASRDIWEMFIKQKMVPVIDQELTGTFLLPLRHCRWRIVGVDWGITDACR >DRNTG_35255.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3412679:3415040:1 gene:DRNTG_35255 transcript:DRNTG_35255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKEEQETIDNGGKISIQISSSHAETAMVTTKRSNSNLAKLIFSGHLLAVAIFITFLSFRGFLEAPGFRPTHWCIPLAASIAVSAFTAISLLFLSLHHPSIALKTSLWLSPLFTTFTGILLLATGTGIGLIFAAFTLTGALSLSLYSCSIATHLKHTNQILTISITTVPPSIKITKFILAGLFTGLIYTWFWSLGAGGISAKGSPFAGIYILVLFLSLAWTMNVIRNTMHVAISRIAYMQLMHGLDVDVTQAFTDAATRSLSTICLGSAMVPAIGTFRGMARAMAAIAGGSDEFLFSCTACYINLANLLVPSGNLWACVHVGIHGKGFVQASRDIWEMFIKQKMVPVIDQELTGTFLLPLRHCRWRIVGVDWGITDACR >DRNTG_35498.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8125511:8126384:-1 gene:DRNTG_35498 transcript:DRNTG_35498.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANVRLGKALREECWSICFRIAWASTAKDFDDTVNELQATSAVAHHWLINKSDMTHWSNYLFRGDRWGEMYSNVAESFNAWIKEARHLPVTKMVDSIRFKLMRMLWNRREQANKWETYLCPDIHSKVEIIVEDS >DRNTG_14163.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14504546:14506025:-1 gene:DRNTG_14163 transcript:DRNTG_14163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHSSSSSSSSSSSSSSSSHGQPLYLKGCFAKCSHNCLNAEDSPAVDDGKAIKRAFRLGFKLMRMLCNRCEHSNVQTLVSLYEGRVIQRGGKSANVHGSDFLGVGVS >DRNTG_11721.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:586418:589346:1 gene:DRNTG_11721 transcript:DRNTG_11721.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription factor IIH subunit [Source:Projected from Arabidopsis thaliana (AT1G05055) UniProtKB/TrEMBL;Acc:A0A178W5Z7] MAAKNGDEKRIRRDGAGGDEDEEEEEENEEVMMEAWERAYADERSWESLQEDESGLLRPLDTASILHAHYRRRLLRRASAPASSRIQKGLIRYLYLVIDLSRAASETDYRPSRMAVVLKGAETFIREFFDQNPLSHIGLVIIKDGVAQRLTDLGGTPDSQIKALMAKRECSGDASLQNALELVCDYLGQVPSYGHREVLILYSSLNTCDPRDIMETIEKCKKDKIRCSVVGLSAEIYICKYICEETGGSYTVALDESHFKELLLEHAPPPAAIAEYAAANLIKMGFPQRGADGVIAICSCHKEAKVGGGYTCPRCKARVCELPTECRLCGLTLVSSPHLARSYHHLFPVTPFNEVTSMPLNKTLRKTPQACFGCQQSLGNQGSNSVLYVSCGKCNQLFCLDCDIYIHESLHNCPGCESQRESRSYDAKA >DRNTG_18028.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:16289433:16290317:-1 gene:DRNTG_18028 transcript:DRNTG_18028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYGGRMGQKALSAEGLSRRKRPRIGAGGPSAMAQSLCALSDGHHTAQTPSLLVASNYEFEDVGEALLVLHSPTLPSIHPRDL >DRNTG_23383.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1121064:1122065:1 gene:DRNTG_23383 transcript:DRNTG_23383.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIHSLSSPLNPNPNPNPNPKIHGSSSPNPRHFLRFRPSRRQIVLYLKNLGIDATADSPESLHWTLTIVDFLKSKGFDDRHFPRLASVCPRIFSSSSDVDRILAPALSFFSSDLSASPDQARDFIILCPDLLISSVDYGLRPTLDFLQGLGIKNLGIPSNLNAHLLNTPIEKLMAKIRFFEGLGLSYEESAGMCARCPAIFGYGVESNLRPKVEYLVYMMRRPLEEVKEFPQYFAFSLKKRIAPRHLHLKERGIRIPLRKMLFYSDEKFYAKWK >DRNTG_23383.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1121064:1122047:1 gene:DRNTG_23383 transcript:DRNTG_23383.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIHSLSSPLNPNPNPNPNPKIHGSSSPNPRHFLRFRPSRRQIVLYLKNLGIDATADSPESLHWTLTIVDFLKSKGFDDRHFPRLASVCPRIFSSSSDVDRILAPALSFFSSDLSASPDQARDFIILCPDLLISSVDYGLRPTLDFLQGLGIKNLGIPSNLNAHLLNTPIEKLMAKIRFFEGLGLSYEESAGMCARCPAIFGYGVESNLRPKVEYLVYMMRRPLEEVKEFPQYFAFSLKKRIAPRHLHLKERGIRIPLRKMLFYSDEKFYAKWK >DRNTG_23383.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1121013:1122065:1 gene:DRNTG_23383 transcript:DRNTG_23383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIHSLSSPLNPNPNPNPNPKIHGSSSPNPRHFLRFRPSRRQIVLYLKNLGIDATADSPESLHWTLTIVDFLKSKGFDDRHFPRLASVCPRIFSSSSDVDRILAPALSFFSSDLSASPDQARDFIILCPDLLISSVDYGLRPTLDFLQGLGIKNLGIPSNLNAHLLNTPIEKLMAKIRFFEGLGLSYEESAGMCARCPAIFGYGVESNLRPKVEYLVYMMRRPLEEVKEFPQYFAFSLKKRIAPRHLHLKERGIRIPLRKMLFYSDEKFYAKWK >DRNTG_23383.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1121013:1122047:1 gene:DRNTG_23383 transcript:DRNTG_23383.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIHSLSSPLNPNPNPNPNPKIHGSSSPNPRHFLRFRPSRRQIVLYLKNLGIDATADSPESLHWTLTIVDFLKSKGFDDRHFPRLASVCPRIFSSSSDVDRILAPALSFFSSDLSASPDQARDFIILCPDLLISSVDYGLRPTLDFLQGLGIKNLGIPSNLNAHLLNTPIEKLMAKIRFFEGLGLSYEESAGMCARCPAIFGYGVESNLRPKVEYLVYMMRRPLEEVKEFPQYFAFSLKKRIAPRHLHLKERGIRIPLRKMLFYSDEKFYAKWK >DRNTG_23383.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1121064:1121930:1 gene:DRNTG_23383 transcript:DRNTG_23383.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIHSLSSPLNPNPNPNPNPKIHGSSSPNPRHFLRFRPSRRQIVLYLKNLGIDATADSPESLHWTLTIVDFLKSKGFDDRHFPRLASVCPRIFSSSSDVDRILAPALSFFSSDLSASPDQARDFIILCPDLLISSVDYGLRPTLDFLQGLGIKNLGIPSNLNAHLLNTPIEKLMAKIRFFEGLGLSYEESAGMCARCPAIFGYGVESNLRPKVEYLVYMMRRPLEEVKEFPQYFAFSLKKRIAPRHLHLKERGIRIPLRKMLFYSDEKFYAKWK >DRNTG_29343.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1983737:1986144:-1 gene:DRNTG_29343 transcript:DRNTG_29343.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRLKKKAMRVIADHLMIDEVEVIREMFKSMDSDDDGKVTFEELKAGLQKVGSQLTEIEMKMLMEAADVDGNGILDYGEFVAITIHLQKMENDEHLRKAFMFFDKNGSGFIEIDELREALRDNTGHIDPEVLNDIVKEVDADKDGLISYEDFVGMMKAGTDWRKASRQYSRERFNSLSINLMKDGSLLMGNEAQLKK >DRNTG_29344.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1962577:1971511:-1 gene:DRNTG_29344 transcript:DRNTG_29344.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLENASIEMEEAKARLHEIITSEGKVLHSNGSEQFPWMVDGAGLPPNASEFLPKLVNLTKKITAQVKLLAADEDEKLAMKSSYAVLPPYDQAKALGKTTIDIARIAAGLPCGSEGFLLMFARWKKLERDLYNERKGRFDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQLLADGVIPNEYGINPEQKLKIGSKIARRLLGKILIDLRNTREEAISVAELKANQDKSSMPSNPRKEETDHQPRPLNKIEDTRRSSFTSEKSIDQDDDDDKEIKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLMNVLRYCNMDESLQGEESLVCNSALERLFKTRELDYMSYIVLRMFENTEVPLEDPKRFRIEMTFSRGADLSPLENNDSEATLLHQEHTLPIMGPERLQEVGSYLTLEKMEKMIRAFAMPAEDFPPPSIPQGFSGYFSKSAGVLERLVNLWRFHKNGTGNGK >DRNTG_29344.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1962577:1977431:-1 gene:DRNTG_29344 transcript:DRNTG_29344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLENASIEMEEAKARLHEIITSEGKVLHSNGSEQFPWMVDGAGLPPNASEFLPKLVNLTKKITAQVKLLAADEDEKLAMKSSYAVLPPYDQAKALGKTTIDIARIAAGLPCGSEGFLLMFARWKKLERDLYNERKGRFDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQLLADGVIPNEYGINPEQKLKIGSKIARRLLGKILIDLRNTREEAISVAELKANQDKSSMPSNPRKEETDHQPRPLNKIEDTRRSSFTSEKSIDQDDDDDKEIKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLMNVLRYCNMDESLQGEESLVCNSALERLFKTRELDYMSYIVLRMFENTEVPLEDPKRFRIEMTFSRGADLSPLENNDSEATLLHQEHTLPIMGPERLQEVGSYLTLEKMEKMIRAFAMPAEDFPPPSIPQGFSGYFSKSAGVLERLVNLWRFHKNGTGNGK >DRNTG_29344.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1962577:1968673:-1 gene:DRNTG_29344 transcript:DRNTG_29344.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIYYKYVFDILLMELKFDDVVQGGHFSGIYRKVQLKPLKWVKVSKSNGDGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLENASIEMEEAKARLHEIITSEGKVLHSNGSEQFPWMVDGAGLPPNASEFLPKLVNLTKKITAQVKLLAADEDEKLAMKSSYAVLPPYDQAKALGKTTIDIARIAAGLPCGSEGFLLMFARWKKLERDLYNERKGRFDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQLLADGVIPNEYGINPEQKLKIGSKIARRLLGKILIDLRNTREEAISVAELKANQDKSSMPSNPRKEETDHQPRPLNKIEDTRRSSFTSEKSIDQDDDDDKEIKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLMNVLRYCNMDESLQGEESLVCNSALERLFKTRELDYMSYIVLRMFENTEVPLEDPKRFRIEMTFSRGADLSPLENNDSEATLLHQEHTLPIMGPERLQEVGSYLTLEKMEKMIRAFAMPAEDFPPPSIPQGFSGYFSKSAGVLERLVNLWRFHKNGTGNGK >DRNTG_29344.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1962577:1967875:-1 gene:DRNTG_29344 transcript:DRNTG_29344.7 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLENASIEMEEAKARLHEIITSEGKVLHSNGSEQFPWMVDGAGLPPNASEFLPKLVNLTKKITAQVKLLAADEDEKLAMKSSYAVLPPYDQAKALGKTTIDIARIAAGLPCGSEGFLLMFARWKKLERDLYNERKGRFDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQLLADGVIPNEYGINPEQKLKIGSKIARRLLGKILIDLRNTREEAISVAELKANQDKSSMPSNPRKEETDHQPRPLNKIEDTRRSSFTSEKSIDQDDDDDKEIKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLMNVLRYCNMDESLQGEESLVCNSALERLFKTRELDYMSYIVLRMFENTEVPLEDPKRFRIEMTFSRGADLSPLENNDSEATLLHQEHTLPIMGPERLQEVGSYLTLEKMEKMIRAFAMPAEDFPPPSIPQGFSGYFSKSAGVLERLVNLWRFHKNGTGNGK >DRNTG_29344.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1962577:1977431:-1 gene:DRNTG_29344 transcript:DRNTG_29344.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLENASIEMEEAKARLHEIITSEGKVLHSNGSEQFPWMVDGAGLPPNASEFLPKLVNLTKKITAQVKLLAADEDEKLAMKSSYAVLPPYDQAKALGKTTIDIARIAAGLPCGSEGFLLMFARWKKLERDLYNERKGRFDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQLLADGVIPNEYGINPEQKLKIGSKIARRLLGKILIDLRNTREEAISVAELKANQDKSSMPSNPRKEETDHQPRPLNKIEDTRRSSFTSEKSIDQDDDDDKEIKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLMNVLRYCNMDESLQGEESLVCNSALERLFKTRELDYMSYIVLRMFENTEVPLEDPKRFRIEMTFSRGADLSPLENNDSEATLLHQEHTLPIMGPERLQEVGSYLTLEKMEKMIRAFAMPAEDFPPPSIPQGFSGYFSKSAGVLERLVNLWRFHKNGTGNGK >DRNTG_29344.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1962577:1971511:-1 gene:DRNTG_29344 transcript:DRNTG_29344.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLENASIEMEEAKARLHEIITSEGKVLHSNGSEQFPWMVDGAGLPPNASEFLPKLVNLTKKITAQVKLLAADEDEKLAMKSSYAVLPPYDQAKALGKTTIDIARIAAGLPCGSEGFLLMFARWKKLERDLYNERKGRFDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQLLADGVIPNEYGINPEQKLKIGSKIARRLLGKILIDLRNTREEAISVAELKANQDKSSMPSNPRKEETDHQPRPLNKIEDTRRSSFTSEKSIDQDDDDDKEIKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLMNVLRYCNMDESLQGEESLVCNSALERLFKTRELDYMSYIVLRMFENTEVPLEDPKRFRIEMTFSRGADLSPLENNDSEATLLHQEHTLPIMGPERLQEVGSYLTLEKMEKMIRAFAMPAEDFPPPSIPQGFSGYFSKSAGVLERLVNLWRFHKNGTGNGK >DRNTG_29344.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1962577:1970839:-1 gene:DRNTG_29344 transcript:DRNTG_29344.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLENASIEMEEAKARLHEIITSEGKVLHSNGSEQFPWMVDGAGLPPNASEFLPKLVNLTKKITAQVKLLAADEDEKLAMKSSYAVLPPYDQAKALGKTTIDIARIAAGLPCGSEGFLLMFARWKKLERDLYNERKGRFDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQLLADGVIPNEYGINPEQKLKIGSKIARRLLGKILIDLRNTREEAISVAELKANQDKSSMPSNPRKEETDHQPRPLNKIEDTRRSSFTSEKSIDQDDDDDKEIKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLMNVLRYCNMDESLQGEESLVCNSALERLFKTRELDYMSYIVLRMFENTEVPLEDPKRFRIEMTFSRGADLSPLENNDSEATLLHQEHTLPIMGPERLQEVGSYLTLEKMEKMIRAFAMPAEDFPPPSIPQGFSGYFSKSAGVLERLVNLWRFHKNGTGNGK >DRNTG_24957.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6527893:6528294:1 gene:DRNTG_24957 transcript:DRNTG_24957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGASSLLNGLRSPLPSAHNLRSFGRGTKLMLLSPPLSASASSSTSDQSKSKPWLLVGLGNPGKMYTGTRHNVRYSYLSDLC >DRNTG_05205.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22706693:22707539:-1 gene:DRNTG_05205 transcript:DRNTG_05205.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLSCPPPNPTPDLKDKENNLMSLEAQ >DRNTG_05205.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22701642:22705064:-1 gene:DRNTG_05205 transcript:DRNTG_05205.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKIMSRIESLVDSSNERMVSTLS >DRNTG_05205.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22703891:22707539:-1 gene:DRNTG_05205 transcript:DRNTG_05205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKIMSRIESLVDSSNERMVSTLS >DRNTG_32591.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001866.1:11311:15653:1 gene:DRNTG_32591 transcript:DRNTG_32591.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRWANFKGRLRFGEGLCLSKEHFYADLYQILPRIATGVVVDNINSEGCALGWGVAIRSPFQFWETKIRSESSSKERMSVWVTKKNYGDLAINEWTAGGLVASRRRSAEPPVAGRRRSAGKRPDRRKSAGPPVDSRRVTGGLPDRRSIALGLPDRRRLAGPPAGRQRLARPSIMA >DRNTG_11330.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23552866:23553421:1 gene:DRNTG_11330 transcript:DRNTG_11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKLKENLDTSQALSMLLVTSSSPALEVKMAHEDARKKSFNNTNVKGKIVLCDIEEGKYRDMSVNVKAAGGVGMIVLDTSREGATTLSYDYVLPTAHVNYTTARKIVNYLRNTSSAATATIAFNGTKFGARPSPTVGFFSSRGPHPYNG >DRNTG_23824.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29514079:29514576:-1 gene:DRNTG_23824 transcript:DRNTG_23824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQATSESSKSSSKELKKSASMGGGWCLFSNSAAAPRISRGSPSSTSIPTEAPLKSSMRSWL >DRNTG_13298.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2925692:2927418:-1 gene:DRNTG_13298 transcript:DRNTG_13298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNGRWQEDSSAVDDGQAIKRAFRLGFKLMLMLCNRCEHSNVQTLVSLYEGRVVSDQSPRGRYERGSSRKLAQSVSYIAAAAMDPVTIDDLAMKLSPTRHCK >DRNTG_12608.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20409390:20411969:1 gene:DRNTG_12608 transcript:DRNTG_12608.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDWGSKPGSGGAATAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKDSPAQPQPHKRKVSLRKTVKIGRPGYRVTKQFDPETKQRSLLFQIEYPEIDDNARPRHRFMSSFEQRIQACDKRYQYLLFAADPYEIIGFKVPSTEVDKSTPLFSHWDPDLKMFTLQLHFKTKPPEGSKPPPAPAPGTNGTTVPGAPPRPLPPPPQAPPPPPPPLQALPPSGPGMNAPRPPPMMPPPPPIGGSQPLPPPPSNGPPRPITGPIPPPVGGGAMANFTPAVQMGRPPMQGFPGQQGVRPPPPPPNMGQQIPRPPMS >DRNTG_29029.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18319070:18324301:-1 gene:DRNTG_29029 transcript:DRNTG_29029.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAISESDAADAPRISNGEDTYVKPLFLKGTKYFLYVHSYLHYGLLAARAEILKSGDDPYSHCILGGHHGSFKYGDETFPASASPSGPSYDKCRSNAVSALKIDEPTCTHMKCTFGGVWNGGGGAGQAKLFVASFFFDRAVEAGFADSAAPSVTVKPANFEEAAKRICKMNVNEAKSTYPDVAEDTLPYLCMDFVYQFTLLVDGFGLDPSQDITLVKKVKYSNAFVEAAWPLGSAIEVASSA >DRNTG_13386.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22905073:22913061:-1 gene:DRNTG_13386 transcript:DRNTG_13386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQCCICEDWFHENHLGLNSIEEIPRDEEGEPTYEEFICQDCALSFSFLKLYPSSIWATLRQKNPVISTDEVSLKQHDSSMQTHFETENGNGAECMMECLSKHAQSKVVATEDSLHGENTSGLSSEKASDIAPCSESILGLTTDIVPSSENILGRTPVIAPSSESILGQTPDVAPSSESFLGQTPDIDPSSESILGQTPDIAPSSESFLGQTPDIAPSSESILGQTSDIACSSECILGKAN >DRNTG_12453.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20962643:20963018:-1 gene:DRNTG_12453 transcript:DRNTG_12453.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPKELNKQTIGVNYRALNDLFHLSEERKDTIRYEIAVQMIEIYNEQVRDLLATDGVNKR >DRNTG_12453.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20960108:20960556:-1 gene:DRNTG_12453 transcript:DRNTG_12453.6 gene_biotype:protein_coding transcript_biotype:protein_coding RTQSHSHIPSPSRKLPNQPLNRNTRLPTFDSKRILSTGKTGK >DRNTG_12453.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20961910:20963275:-1 gene:DRNTG_12453 transcript:DRNTG_12453.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIGRIDDGSITIVTPLKYGKEGRKSFTFNKVFGPSASQEAVFADTQPLIRSVLDGYNVCIFAYGQTGSGKTHTMSGPKELNKQTIGVNYRALNDLFHLSEERKDTIRYEIAVQMIEIYNEQVRDLLATDGVNKRLEIRNSSLNGVNVPDANLVSVTSTSEVVDLMNLGQRNRVVSATALNDRSSRSHSCLTIHVQGRDMTSGNILRGCMHLVDLAGSERVDKSEVKGDRLKEAQHINKSLSALGDVIASLAQKNSHVPYRNSKLTQLLQDSL >DRNTG_12453.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20960108:20964112:-1 gene:DRNTG_12453 transcript:DRNTG_12453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLKKQYTEEFSNLGKHLAVLSHAASDHHKVLEENRKLYNQVQDLKGNIRVYCRVRPFLPGQSSNMNTIGRIDDGSITIVTPLKYGKEGRKSFTFNKVFGPSASQEAVFADTQPLIRSVLDGYNVCIFAYGQTGSGKTHTMSGPKELNKQTIGVNYRALNDLFHLSEERKDTIRYEIAVQMIEIYNEQVRDLLATDGVNKRLEIRNSSLNGVNVPDANLVSVTSTSEVVDLMNLGQRNRVVSATALNDRSSRSHSCLTIHVQGRDMTSGNILRGCMHLVDLAGSERVDKSEVKGDRLKEAQHINKSLSALGDVIASLAQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEADATGETLSTLKFAERVASVELGVARVNKESGEIKELKEQIVSLRAALARKEGETEYFPSTMSSPDRYTLKTGATSPLIPNHRQPMEEVGNIEVQRNSSVLQKSSSFDLQQLLEATGSHPWSESTPNAEIGTRDWIDKFMFNKPGAVLENYDSLRDWEGDNGNLPDIFYQRYQSDMKVHPEQQYNRNITGRKENGEHDLQRNRFDTTTTDDSDDLDVTTSDSSEADALWQQFNLPKISSTPNMVGSKLRKPQARSMKSPDIRTQSHSHIPSPSRKLPNQPLNRNTRLPTFDSKRILSTGKTGK >DRNTG_12453.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20961401:20961823:-1 gene:DRNTG_12453 transcript:DRNTG_12453.7 gene_biotype:protein_coding transcript_biotype:protein_coding MFVHISPEADATGETLSTLKFAERVASVELGVARVNKESGEIKELKEQIVSLRAALARKEGETEYFPSTMSSPDRYTLKTGATSPLIPNHRQPMEEVGNIE >DRNTG_12453.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20961401:20963275:-1 gene:DRNTG_12453 transcript:DRNTG_12453.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIGRIDDGSITIVTPLKYGKEGRKSFTFNKVFGPSASQEAVFADTQPLIRSVLDGYNVCIFAYGQTGSGKTHTMSGPKELNKQTIGVNYRALNDLFHLSEERKDTIRYEIAVQMIEIYNEQVRDLLATDGVNKRLEIRNSSLNGVNVPDANLVSVTSTSEVVDLMNLGQRNRVVSATALNDRSSRSHSCLTIHVQGRDMTSGNILRGCMHLVDLAGSERVDKSEVKGDRLKEAQHINKSLSALGDVIASLAQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEADATGETLSTLKFAERVASVELGVARVNKESGEIKELKEQIVSLRAALARKEGETEYFPSTMSSPDRYTLKTGATSPLIPNHRQPMEEVGNIE >DRNTG_12453.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20960108:20961153:-1 gene:DRNTG_12453 transcript:DRNTG_12453.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFNKPGAVLENYDSLRDWEGDNGNLPDIFYQRYQSDMKVHPEQQYNRNITGRKENGEHDLQRNRFDTTTTDDSDDLDVTTSDSSEADALWQQFNLPKISSTPNMVGSKLRKPQARSMKSPDIRTQSHSHIPSPSRKLPNQPLNRNTRLPTFDSKRILSTGKTGK >DRNTG_12453.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20961910:20963018:-1 gene:DRNTG_12453 transcript:DRNTG_12453.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPKELNKQTIGVNYRALNDLFHLSEERKDTIRYEIAVQMIEIYNEQVRDLLATDGVNKRLEIRNSSLNGVNVPDANLVSVTSTSEVVDLMNLGQRNRVVSATALNDRSSRSHSCLTIHVQGRDMTSGNILRGCMHLVDLAGSERVDKSEVKGDRLKEAQHINKSLSALGDVIASLAQKNSHVPYRNSKLTQLLQDSL >DRNTG_04060.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3754929:3756116:1 gene:DRNTG_04060 transcript:DRNTG_04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLYKGMKWMPMLNAVSCNTIITDRAQNEDAKGAMDYFSCMRMTELKLDQVTFASVISSCSDLCILAQGQQVHAQTNRNGVNAVVPVRSSLISWTSCYGVRWSQLMGSINEGKRPSSCLRGWYSMKPTLRHYTCVMDLLGRSGCLDKAGALIKSMPVSADAVIWKTLQSFVKLPPIDVILRSIKNE >DRNTG_35211.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7972459:7979753:1 gene:DRNTG_35211 transcript:DRNTG_35211.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVENATAAGAPEWSSPPPAGKDQQAAGWGILLQISMLVLAFLIGHLLRRRKVYYLPEASASLLIGLLVGGLASISNTKANMRGGFNFHEEFFFLFLLPPIIFQSGFSLAPKPFFSNFGAIITFAIFGTFIASVVTGVLVYVGGLMFLMYKLPFVECLMFGALISATDPVTVLAIFQELGTDTNLYALVFGESVLNDAMAISLYRTMSSVRSHASSGENLFMVILRFLETFVGSMSSGVGIGFISALISFLIF >DRNTG_35211.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7972459:7979753:1 gene:DRNTG_35211 transcript:DRNTG_35211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAEGFGLSGIVSILFTGIVMKHYTFSNLSENSQRFVASFFHLISSLAETYVFIYMGFDIAMEKHSWSHLGFIFFSILFIGVARAANVFSCAYLVNLVRPAHRQIPLKHQKALWYSGLRGAMAFALALQSVHELPEGHGQTIFTATTAIVVLTVLLIGGSTGTMLEALEVVGDGHSSPLGEARRYNELEESNYVAPSYEEGASSGSKIKMKLKELHKSAASFTALDKNYLTPFFTTQNDDEDNMDEPPQNSNRGFRGRM >DRNTG_35211.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7972459:7979753:1 gene:DRNTG_35211 transcript:DRNTG_35211.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVENATAAGAPEWSSPPPAGKDQQAAGWGILLQISMLVLAFLIGHLLRRRKVYYLPEASASLLIGLLVGGLASISNTKANMRGGFNFHEEFFFLFLLPPIIFQSGFSLAPKPFFSNFGAIITFAIFGTFIASVVTGVLVYVGGLMFLMYKLPFVECLMFGALISATDPVTVLAIFQELGTDTNLYALVFGESVLNDAMAISLYRTMSSVRSHASSGENLFMVILRFLETFVGSMSSGVGIGFISALLFKYAGLDIENLQTLECCLFVLFPYFSYMFAEGFGLSGIVSILFTGIVMKHYTFSNLSENSQRFVASFFHLISSLAETYVFIYMGFDIAMEKHSWSHLGFIFFSILFIGVARAANVFSCAYLVNLVRPAHRQIPLKHQKALWYSGLRGAMAFALALQSVHELPEGHGQTIFTATTAIVVLTVLLIGGSTGTMLEALEVVGDGHSSPLGEARRYNELEESNYVAPSYEEGASSGSKIKMKLKELHKSAASFTALDKNYLTPFFTTQNDDEDNMDEPPQNSNRGFRGRM >DRNTG_21605.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1309441:1310471:1 gene:DRNTG_21605 transcript:DRNTG_21605.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFSVSDLEAGVSGIPPPQTMTSSCTPVLPRRRRSYKALGSGTTPGFFFESLPDDEPHYFLDSCFLCKKPLGSNRDIFMYRGDTPFCSEECRQEQIDMDENNEKNRKLSSIKDQKKKKSSSPSKAQNNLHFRSGTVVAG >DRNTG_00101.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3233254:3236652:1 gene:DRNTG_00101 transcript:DRNTG_00101.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSRDISTPNALILAINPNMLPTQAPPILSKPRSESGPLPPAVTQKACHTPPNMKSAAIGLLELKSTLL >DRNTG_00101.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3233254:3236652:1 gene:DRNTG_00101 transcript:DRNTG_00101.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSRDISTPNALILAINPNMLPTQAPPILSKPRSESGPLPPAVTQKACHTPPNMKSAAIGLLELKRKRQPPSCKEVTTSSFTCFVKSSVCTLVVIILFLCQNNEKNHLLFI >DRNTG_00101.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3233254:3236652:1 gene:DRNTG_00101 transcript:DRNTG_00101.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSRDISTPNALILAINPNMLPTQAPPILSKPRSESGPLPPAVTQKACHTPPNMKSAAIGLLELKRKRQPPSCKEVTTSSFTCFVKSSVCTLVVIILFLCQNNEKNHLLFI >DRNTG_27998.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001422.1:12464:13458:-1 gene:DRNTG_27998 transcript:DRNTG_27998.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRHTQFLSDTLHNGLKKCLLIMNYYVLQDPYWDRLVRYCADHGSYQGCALPPPEFHDNFDNALQYGNKQKFPSGHGDKMPNEDNNVAECSNDTPIQYTNEVEWNEFTSYPYSDGADNWGDDNLKMYSNETEWGDHTVEQHYNESECFDVAENQPDSNAGWNDNTSESNFTNVDSTESGSSSYSNQGERKSPTLSSTRKASDDSSMYFMPKASNFGWND >DRNTG_12871.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18310194:18313204:-1 gene:DRNTG_12871 transcript:DRNTG_12871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDFSGDLDDGIKRLLKTIDGLDVGILVNNAGISYPYAKYFHEVDEELVKSLIKVNVEAVTRVTHALLPGMLEKKCGAIVNIGSGAATVLPSEPLYAVYAGTKAYIDEFSKSLHVEYKNKGIDVQCQLPLYVATRMASIKRASFFAPSSDTYARSSVAWIGLGSSCTPYWPHSVQWCFVAMLPESVVNKWRLGFCLNIRKRGLLKESNKKAL >DRNTG_08929.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27356914:27359019:-1 gene:DRNTG_08929 transcript:DRNTG_08929.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVILILSLLMASLVSANLEGDILIDQRAAWQDPNNVLQGWDATLLNPCTWLHVTCNIDNQVTRLDLGNASISGPLIPQLGMLAKLQYLELQENKISGSIPASLGNLTGLVSLDLYMNQLSGPIPSSFANLNSLQYLRLNENNLSGIIPSEVRDLVFFGSLVQLNLSGNSFMGGIRNSHQRVITVSLD >DRNTG_16349.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7796524:7798207:-1 gene:DRNTG_16349 transcript:DRNTG_16349.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSMVLWRVLYSSSKDFFVWYRIRLDRSSPTNLLPNGITMAGIGRLHGRKQADKRPRESSPEPEGMRFAIPEHQGDEFADEVEDLISAGGLRQLLTFREPAIRVFALEILPSFEFDRSYAASTVWIPFSSEYLDTAIP >DRNTG_15157.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25549608:25552372:-1 gene:DRNTG_15157 transcript:DRNTG_15157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRYHVMSHLGEDNNNGTARSPPAVFLARTAVRISRARWFSFLRRVFRYQNGSRSDVMSNPFNSKSWILLEFIVLIVQIVVITLVIVISHSEKPIWPLRIWIAGYNLGNLLSLPLLYWRYHCLSRGGDISDTEQQRRNNTEESRSAHMMNKSRTLLELFFAIWFVMGNVWIFDTRYRSFTRAPRLHSLCISLLAWNAIGYSFPFLLFILLCCFVPLLSRAVGFNMNSASEGRGASDDQISHLPQWRYKDVEANNVQHSHQNSECCICLAKYIDKEEVRQLPCLHLFHQRCVDQWLRIISSCPLCKQDIDK >DRNTG_20966.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001181.1:49926:50827:1 gene:DRNTG_20966 transcript:DRNTG_20966.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHKNTQTRKPWYQRAMEVANLWKPTTTTTTTKSNGMMEGGEFNMNNKPKLKKCTSLRVATSFTRVCLCAPISSYNEVFRAEMPPRRSYTYPRSKPFVPPLMEQRAQSGNTTTTSVHHHHHHHHFHHGRSTTSVESRRVFRGKSLTDDVLMRRFVVEEEAMNQLKRRNQMEFVRRRNSIKRKKLGPSPLRRMVMAEQDRLD >DRNTG_28644.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4137529:4139772:1 gene:DRNTG_28644 transcript:DRNTG_28644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLQSQPPYLSITNENQMLRGVNFASAGSGILNSTGEGTISLGRQVMYFQEVARSLFRRVGNLTAKTLLSKSIFYISSGSNDIFAYFLAYGPQNKTTNDQFIATLVKNLKTHLTTLYNSGGRKIVVLGTSVIGCIPTVRSQTPSGDCSKNLNNLSIQFKNETRVLLQSLTTTLKELMYSFVDTYEMANEIAANARQYGFTELTNACCGTGRFNGEAPCMPNSNLCSNRRNHNSWDEYHPTQAIAKLVSRWSFYGSKYVSSMNIQQLCIKV >DRNTG_20093.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:362163:364604:1 gene:DRNTG_20093 transcript:DRNTG_20093.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM1-like protein 2 [Source:Projected from Arabidopsis thaliana (AT1G06210) UniProtKB/Swiss-Prot;Acc:Q9LNC6] MDKLKLSGLGERLKIGGERLKVGGAEMGRKVSGKMKEILQGQSHEAKMVDEATSENLEEPNWGLNLKICNLLNSDEFNGSEVVRAIKKKIAGKNVKSQRLSLELLETCAVNCDKVFSEVASEKLLDEMVLMIDNPQTHQENRRIAFQLIEAWGKSVDLAYLPVFRQTYLSLNSRQIPDALHDDGNSPFTHGTDERGELAIPQRYPYSNIDEHDFDSNEAFYESEGLPVEEKKEILVVTRNSIDILASILNSVPQQKHIKDELTLTIREKCKDAQPMLQRIIETTVDDEPLLIEALSLHDELQQVLAKCEERDFASTQADEHNPDDSGTPCSQPEGCTSEEKEADSQPEGNTAGERMDEAGSMTTNMEKKA >DRNTG_20093.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:362163:364604:1 gene:DRNTG_20093 transcript:DRNTG_20093.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM1-like protein 2 [Source:Projected from Arabidopsis thaliana (AT1G06210) UniProtKB/Swiss-Prot;Acc:Q9LNC6] MDKLKLSGLGERLKIGGERLKVGGAEMGRKVSGKMKEILQGQSHEAKMVDEATSENLEEPNWGLNLKICNLLNSDEFNGSEVVRAIKKKIAGKNVKSQRLSLELLETCAVNCDKVFSEVASEKLLDEMVLMIDNPQTHQENRRIAFQLIEAWGKSVDLAYLPVFRQTYLSLNSRQIPDALHDDGNSPFTHGTDERGELAIPQRYPYSNIDEHDFDSNEAFYESEGLPVEEKKEILVVTRNSIDILASILNSVPQQKHIKDELTLTIREKCKDAQPMLQRIIETTVDDEPLLIEALSLHDELQQVLAKCEERDFASTQADEHNPDDSGTPCSQPEGCTSEEKEADSQPEGNTAGERMDEAGSMTTNMEKKA >DRNTG_20093.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:362163:364604:1 gene:DRNTG_20093 transcript:DRNTG_20093.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM1-like protein 2 [Source:Projected from Arabidopsis thaliana (AT1G06210) UniProtKB/Swiss-Prot;Acc:Q9LNC6] MDKLKLSGLGERLKIGGERLKVGGAEMGRKVSGKMKEILQGQSHEAKMVDEATSENLEEPNWGLNLKICNLLNSDEFNGSEVVRAIKKKIAGKNVKSQRLSLELLETCAVNCDKVFSEVASEKLLDEMVLMIDNPQTHQENRRIAFQLIEAWGKSVDLAYLPVFRQTYLSLNSRQIPDALHDDGNSPFTHGTDERGELAIPQRYPYSNIDEHDFDSNEAFYESEGLPVEEKKEILVVTRNSIDILASILNSVPQQKHIKVQDELTLTIREKCKDAQPMLQRIIETTVDDEPLLIEALSLHDELQQVLAKCEERDFASTQADEHNPDDSGTPCSQPEGCTSEEKEADSQPEGNTAGERMDEAGSMTTNMEKKA >DRNTG_12689.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:14673130:14676891:1 gene:DRNTG_12689 transcript:DRNTG_12689.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPFNDGACIEASSSEDIAGLILLSGSMYASAYLGPKEPISQAISDLQGDIINSLRSRLDIISDEADGDIDLTTTSGREEASAEILTKKPFQRLALHELRNLYSLSFPKRVLVPWLGSACICDYLQPSETLEDLKEHCKEMFSIEISQDTSLIKLETEAISITAKSFWDAIRGDAASIVHESSRKGHLSMNEDTGIKSSFISFSVAAVILLLALLIGLAIKVL >DRNTG_12689.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:14673130:14676891:1 gene:DRNTG_12689 transcript:DRNTG_12689.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPFNDGACIEASSSEDIAGLILLSGSMYASAYLGPKEPISQAISDLQGDIINSLRSRLDIISDEADGDIDLTTTSGREEASAEILTKKPFQRLALHELRNLYSLSFPKRVLVPWLGSACICDYLQPSETLEDLKEHCKEMFSIEISQDTSLIKLETEAISITAKSFWDAIRGDAASIVHESSRKGHLSMNEDTGIKSSFISFSVAAVILLLALLIGLAIKVL >DRNTG_12689.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:14673130:14676891:1 gene:DRNTG_12689 transcript:DRNTG_12689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGGMYVIGAYVWASEASFKASSQLIFSQTIKGIAQAVSKVESESDEMLLIHISYSPRRWACLKCTHASDNMRPCDFKTSKLINSLQTFRCMYNFEMRIPVSQIEALRYDVKTVLHKRIAFLAKELRAAKALIDGHLWTGNQLSTSEGPHTVDMLVPFNDGACIEASSSEDIAGLILLSGSMYASAYLGPKEPISQAISDLQGDIINSLRSRLDIISDEADGDIDLTTTSGREEASAEILTKKPFQRLALHELRNLYSLSFPKRVLVPWLGSACICDYLQPSETLEDLKEHCKEMFSIEISQDTSLIKLETEAISITAKSFWDAIRGDAASIVHESSRKGHLSMNEDTGIKSSFISFSVAAVILLLALLIGLAIKVL >DRNTG_15637.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000776.1:1150:2895:1 gene:DRNTG_15637 transcript:DRNTG_15637.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVKIMKTLVAPNLVAKAAKSGRTFQAVDLRMVLTRTFASAWVYQTEGHLLARSLYYNRKELKEMEKNSLGSYVDGLMEWPRLLQRSHVRPRAHLAGSVPTKVPPITFFQVERDVEAVKPLQKPLPIPLKTLAVALLKVGEKMEKRILKLVLARNTPESMFSSSQCKRAYVYVVDHFASSINGLIGEALAINAQIKILECDCLCAPPHHCASLNTRRLAHILAS >DRNTG_15334.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7845481:7858202:1 gene:DRNTG_15334 transcript:DRNTG_15334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASVGALVPSVVKMELRTSPAGAGALSASETTDAAAGMAAETGPGVAADAGPEVEQDKDLLCPICMGIIKDAFLTACGHSFCYMCIVTHLQNKSDCPCCAHYLTKNHLFPNFLLNKLLKKTSVRQIAKSASPIEHLRMSLQQGCEMSIKDLDTLLSLIAEKKRKMEQQEAETNMQILLDFLHCLRKQKLEELNEVQADLQFIKEDINAVERHRIELCRARERYSVKLRMLLDDPMPAKLWPVVDKHNNILVSGARNAHGGTCSGNFQRKKADMKAHGSCQGQQRKDAFSGSDPQHTLTQSGLAIARKRRVHAQFNELQECYLQKRRNGANNPQRQDERDANTITREGYTVGLEDFQSVLTTFTRYSRLRVIAELRHGDLFHSANIVSSIEFDRDDELFATAGVSKRIKVFEFSTVVNEPADVHCPVVEMSTRSKLSCLSWNKYSKNIIASSDYEGIVTVWDVNTRQSMMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWCTKQEASVLNIDMKANICCVKYNPGSSVHVAVGSADHHIHYFDLRHTSAPVHVFRGHRKAVSYVKFLSNNELASASTDSTLRLWDVKENNPVRVFKGHTNEKNFVGLTVNNEYISCGSETNEVFVYHKAISKPAAWHRFSSTDMEDADDDAASYFISAVCWKSDSPTLLTANSQGTIKVLVLAA >DRNTG_15334.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7845481:7858202:1 gene:DRNTG_15334 transcript:DRNTG_15334.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKDLDTLLSLIAEKKRKMEQQEAETNMQILLDFLHCLRKQKLEELNEVQADLQFIKEDINAVERHRIELCRARERYSVKLRMLLDDPMPAKLWPVVDKHNNILVSGARNAHGGTCSGNFQRKKADMKAHGSCQGQQRKDAFSGSDPQHTLTQSGLAIARKRRVHAQFNELQECYLQKRRNGANNPQRQDERDANTITREGYTVGLEDFQSVLTTFTRYSRLRVIAELRHGDLFHSANIVSSIEFDRDDELFATAGVSKRIKVFEFSTVVNEPADVHCPVVEMSTRSKLSCLSWNKYSKNIIASSDYEGIVTVWDVNTRQSMMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWCTKQEASVLNIDMKANICCVKYNPGSSVHVAVGSADHHIHYFDLRHTSAPVHVFRGHRKAVSYVKFLSNNELASASTDSTLRLWDVKENNPVRVFKGHTNEKNFVGLTVNNEYISCGSETNEVFVYHKAISKPAAWHRFSSTDMEDADDDAASYFISAVCWKSDSPTLLTANSQGTIKVLVLAA >DRNTG_04167.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:481874:484015:-1 gene:DRNTG_04167 transcript:DRNTG_04167.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPSCVIFFFVIGLLTFTGYLALICSPAFQRFAVRTSKAIEEVTNKAAQVREEIVEQMKDASKNVDSFKKR >DRNTG_04167.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:481874:484015:-1 gene:DRNTG_04167 transcript:DRNTG_04167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNFLGRVIGYFVNEIVVEGLANNPAFQRFAVRTSKAIEEVTNKAAQVREEIVEQMKDASKNVDSFKKR >DRNTG_26468.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:539150:541608:-1 gene:DRNTG_26468 transcript:DRNTG_26468.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVPVFLYEAAHPRGRALDSIRRELGYFRPNMGNQWAGWGLPEILTDEPDEGPARVSRERGITIIGASPWVENYNVPVRTTNVPAARRIARAISARGGGLPTVQALALVHGDDTTEIACILLDANRVGCDKVQNQIELIAAQEGLEVEKGYFTDISQDMIVDRYIQSN >DRNTG_26468.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:539150:541608:-1 gene:DRNTG_26468 transcript:DRNTG_26468.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNQGSKAKMNMKDAMLICGKLYISESRNRSAIEAIERAARLDPEAAMVNKFEDRAYNRVRYTLVSYVIRDSINCTAYSPMRVTLRTMVEAAYATINLEMHSGAHPRLGVVDHICFHPLARASLEDAAQIAKLVASDIGNDFQVPVFLYEAAHPRGRALDSIRRELGYFRPNMGNQWAGWGLPEILTDEPDEGPARVSRERGITIIGASPWVENYNVPVRTTNVPAARRIARAISARGGGLPTVQALALVHGDDTTEIACILLDANRVGCDKVQNQIELIAAQEGLEVEKGYFTDISQDMIVDRYIQSN >DRNTG_26468.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:539150:541608:-1 gene:DRNTG_26468 transcript:DRNTG_26468.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVPVFLYEAAHPRGRALDSIRRELGYFRPNMGNQWAGWGLPEILTDEPDEGPARVSRERGITIIGASPWVENYNVPVRTTNVPAARRIARAISARGGGLPTVQALALVHGDDTTEIACILLDANRVGCDKVQNQIELIAAQEGLEVEKGYFTDISQDMIVDRYIQSN >DRNTG_26468.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:539150:541662:-1 gene:DRNTG_26468 transcript:DRNTG_26468.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNQGSKAKMNMKDAMLICGKLYISESRNRSAIEAIERAARLDPEAAMVNKFEDRAYNRVRYTLVSYVIRDSINCTAYSPMRVTLRTMVEAAYATINLEMHSGAHPRLGVVDHICFHPLARASLEDAAQIAKLVASDIGNDFQVPVFLYEAAHPRGRALDSIRRELGYFRPNMGNQWAGWGLPEILTDEPDEGPARVSRERGITIIGASPWVENYNVPVRTTNVPAARRIARAISARGGGLPTVQALALVHGDDTTEIACILLDANRVGCDKVQNQIELIAAQEGLEVEKGYFTDISQDMIVDRYIQSN >DRNTG_26468.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:539150:541685:-1 gene:DRNTG_26468 transcript:DRNTG_26468.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNQGSKAKMNMKDAMLICGKLYISESRNRSAIEAIERAARLDPEAAMVNKFEDRAYNRVRYTLVSYVIRDSINCTAYSPMRVTLRTMVEAAYATINLEMHSGAHPRLGVVDHICFHPLARASLEDAAQIAKLVASDIGNDFQVPVFLYEAAHPRGRALDSIRRELGYFRPNMGNQWAGWGLPEILTDEPDEGPARVSRERGITIIGASPWVENYNVPVRTTNVPAARRIARAISARGGGLPTVQALALVHGDDTTEIACILLDANRVGCDKVQNQIELIAAQEGLEVEKGYFTDISQDMIVDRYIQSN >DRNTG_26468.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:539150:541662:-1 gene:DRNTG_26468 transcript:DRNTG_26468.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNQGSKAKMNMKDAMLICGKLYISESRNRSAIEAIERAARLDPEAAMVNKFEDRAYNRVRYTLVSYVIRDSINCTAYSPMRVTLRTMVEAAYATINLEMHSGAHPRLGVVDHICFHPLARASLEDAAQIAKLVASDIGNDFQVPVFLYEAAHPRGRALDSIRRELGYFRPNMGNQWAGWGLPEILTDEPDEGPARVSRERGITIIGASPWVENYNVPVRTTNVPAARRIARAISARGGGLPTVQALALVHGDDTTEIACILLDANRVGCDKVQNQIELIAAQEGLEVEKGYFTDISQDMIVDRYIQSN >DRNTG_26468.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:539150:541608:-1 gene:DRNTG_26468 transcript:DRNTG_26468.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNQGSKAKMNMKDAMLICGKLYISESRNRSAIEAIERAARLDPEAAMVNKFEDRAYNRVRYTLVSYVIRDSINCTAYSPMRVTLRTMVEAAYATINLEMHSGAHPRLGVVDHICFHPLARASLEDAAQIAKLVASDIGNDFQVPVFLYEAAHPRGRALDSIRRELGYFRPNMGNQWAGWGLPEILTDEPDEGPARVSRERGITIIGASPWVENYNVPVRTTNVPAARRIARAISARGGGLPTVQALALVHGDDTTEIACILLDANRVGCDKVQNQIELIAAQEGLEVEKGYFTDISQDMIVDRYIQSN >DRNTG_04320.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22112806:22113129:-1 gene:DRNTG_04320 transcript:DRNTG_04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAMEENALDSIPFAKWRNDFSRAFQHHLDRSTPHPIGRWLGTLARRAPIYVR >DRNTG_15872.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:10264226:10267246:-1 gene:DRNTG_15872 transcript:DRNTG_15872.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAMGMAVGSGASAGDGPRRRGRSEKRGRVRCAATGSLMDQYRMLRIQPGASEKEVKQAFRKLALQYHPDVCKGSNCGVQFHRINEAYDVVMSSLRQSEDDQQFSEWSDDGDCDDSMRGMYDPNWDLWEEWMGWEGAGIRDYSSHINPYI >DRNTG_01125.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:15461125:15461976:-1 gene:DRNTG_01125 transcript:DRNTG_01125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSTLTATERTICCILENYQREDAVEIPQVLRPFMGGQEFISFKVGPDTKAKKPKGNTGLQAAAQQK >DRNTG_01125.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:15461125:15461976:-1 gene:DRNTG_01125 transcript:DRNTG_01125.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSTLTATERTICCILENYQREDAVEIPQVLRPFMGGQEFISFKGNTGLQAAAQQK >DRNTG_23532.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22316337:22317174:1 gene:DRNTG_23532 transcript:DRNTG_23532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMDSFTAHNNQEERKVITKGQQQEQALKCPRCESTNTKFCYYNNYSLSQPRYLCKGCKRYWTHGGSLRNVPVGGGCRKNRKSSSLSSSSSSSSSSSYSKKPQQQHDHDHQQQAPGSNLSVHDKSGLAMEEHMPFFHSNLEFAEASGMENVVFGFDDVNLSLDVGRDQWWNGVGVGSSSSSSSSWYGLINGSLM >DRNTG_35486.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23494227:23495690:1 gene:DRNTG_35486 transcript:DRNTG_35486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQNRVLSAVLLVLLSATICFASRALLSTLDIPPYGTGHGLGNAGYGSGGGSGGGYGAGGEHGIGYGGGGGSGGGGGYGVGGDHGVGYGGGSGSGSGGGSGAAGGEHGGGYGGGGGAGGGSGYGAGGEHGGGYGEGAGTGGGAGYGAGGEHGGGYGGGGGTGGGAGYGGAGGEHGGGYGGGSGSGGGGGAGYGAGGEHGGGYGSGGGSGGGAGYGAGGEQGGGAGYGAGGAHGGGYGSGGEHGGGYGGGGGSGGGAGYGAGGEHGGGYGGGGGSGGGAGGGAGGEHGGGYGGGSGAGGGAGYGAGGEHGGGYGGGGGSGGGAGYGAGGEHGGGYGGGSGAGGGAGYGAGGEHGGGYGGGGGSGGGGGGGYGAGGGVGGGAGGGYGGGH >DRNTG_35486.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23494227:23495690:1 gene:DRNTG_35486 transcript:DRNTG_35486.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQNRVLSAVLLVLLSATICFASRALLSTLDIPPYGTGHGLGNAGYGSGGGSGGGYGAGGEHGIGYGGGGGSGGGGGYGVGGDHGVGYGGGSGSGSGGGSGAAGGEHGGGYGGGGGAGGGSGYGAGGEHGGGYGEGAGTGGGAGYGAGGEHGGGYGGGGGTGGGAGYGGAGGEHGGGYGGGSGSGGGGGAGYGAGGEHGGGYGSGGGSGGGAGYGAGGEQGGGAGYGAGGAHGGGYGSGGEHGGGYGGGGGSGGGAGYGAGGEHGGGYGGGGGSGGGAGGGAGGEHGGGYGGGSGAGGGAGYGAGGEHGGGYGGGSGAGGGAGYGAGGEHGGGYGGGGGSGGGGGGGYGAGGGVGGGAGGGYGGGH >DRNTG_35486.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23494227:23495690:1 gene:DRNTG_35486 transcript:DRNTG_35486.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQNRVLSAVLLVLLSATICFASRALLSTLDIPPYGTGHGLGNAGYGSGGGSGGGYGAGGEHGIGYGGGGGSGGGGGYGVGGDHGVGYGGGSGSGSGGGSGAAGGEHGGGYGGGGGAGGGSGYGAGGEHGGGYGEGAGTGGGAGYGAGGEHGGGYGGGGGTGGGAGYGGAGGEHGGGYGGGSGSGGGGGAGYGAGGAHGGGYGSGGEHGGGYGGGGGSGGGAGGGAGGEHGGGYGGGSGAGGGAGYGAGGEHGGGYGGGGGSGGGAGYGAGGEHGGGYGGGSGAGGGAGYGAGGEHGGGYGGGGGSGGGGGGGYGAGGGVGGGAGGGYGGGH >DRNTG_35486.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23494227:23495690:1 gene:DRNTG_35486 transcript:DRNTG_35486.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQNRVLSAVLLVLLSATICFASRALLSTLDIPPYGTGHGLGNAGYGSGGGSGGGYGAGGEHGIGYGGGGGSGGGGGYGVGGDHGVGYGGGSGSGSGGGSGAAGGEHGGGYGGGGGAGGGSGYGAGGEHGGGYGEGAGTGGGAGYGAGGEHGGGYGGGGGTGGGAGYGGAGGEHGGGYGGGSGSGGGGGAGYGAGGEHGGGYGSGGEHGGGYGGGGGSGGGAGYGAGGEHGGGYGGGGGSGGGAGGGAGGEHGGGYGGGSGAGGGAGYGAGGEHGGGYGGGGGSGGGAGYGAGGEHGGGYGGGSGAGGGAGYGAGGEHGGGYGGGGGSGGGGGGGYGAGGGVGGGAGGGYGGGH >DRNTG_00693.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30030705:30039406:-1 gene:DRNTG_00693 transcript:DRNTG_00693.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein REVOLUTA [Source:Projected from Arabidopsis thaliana (AT5G60690) UniProtKB/Swiss-Prot;Acc:Q9SE43] MAMAVAMRSGGSGSSLDKQLDSGKYVRYTAEQVEALERVYAECPKPSSIRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKESSRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAYMRQQLQKVSLANDTSCESVVTTPQNPLRDASNPAGLLSIAEETLQEYLSKATGTAVDWVQMPGMKPGPDSIGIVAISHSCTGVAARACGLVNLEPTKIAEILKDRPSWFRDCRSLEVFTMFPAGNGGTIELIYMQMYAPTTLAPARDFWTIRYTTTLEDNSLVVCERSLSGSGGGPSSTAAHQFVRAEMLPSGYLIRPCDGGGSIVHIVDHLDLEAWSVPEVLRPLYESPGVLAQKMTTSALRYIRQIAQEMSGEVVYALGRQPSVLRTYSQKLSRGFNDAVNGFNDDGWSTMGCDGVEDVVIATNSAKKVCHASSANDLSVPPGGVICAKASMLLQNVPAALLVRFLREHRSEWADYNIDAYSAASLKAGSCAFPGLRPTRFSGSQIIMPLAHTVENEEFLEVIRLEGQAVGQDDSSLSQDIHLLQLCSGVDENAVGACYQLIFAPIDELFPDDAPILPSGFRVIPLEAKTDNLSANRTLDLASSLDVGSASNQLCGDTSNTPSSRSVLTIAFQFPYEVHLLDSVAVMARQYVRSIVSAVQRVSLAISPSQHGLNMGRSLSLGSPEAHTLSTWICQSYTYHVGAELLRTNCENGESLLKMLWHHQDAILCCSLKTQPVFTFANQAGLDMLETTLVALQDITLDRIFDEGGRKAFCSDFAKLMHQGYCHLQSGVCLSGMGRHVSYEQAVAWKVLDEASNVYCLAFSFINWSFV >DRNTG_00693.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30030705:30039406:-1 gene:DRNTG_00693 transcript:DRNTG_00693.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein REVOLUTA [Source:Projected from Arabidopsis thaliana (AT5G60690) UniProtKB/Swiss-Prot;Acc:Q9SE43] MFPAGNGGTIELIYMQMYAPTTLAPARDFWTIRYTTTLEDNSLVVCERSLSGSGGGPSSTAAHQFVRAEMLPSGYLIRPCDGGGSIVHIVDHLDLEAWSVPEVLRPLYESPGVLAQKMTTSALRYIRQIAQEMSGEVVYALGRQPSVLRTYSQKLSRGFNDAVNGFNDDGWSTMGCDGVEDVVIATNSAKKVCHASSANDLSVPPGGVICAKASMLLQNVPAALLVRFLREHRSEWADYNIDAYSAASLKAGSCAFPGLRPTRFSGSQIIMPLAHTVENEEFLEVIRLEGQAVGQDDSSLSQDIHLLQLCSGVDENAVGACYQLIFAPIDELFPDDAPILPSGFRVIPLEAKTDNLSANRTLDLASSLDVGSASNQLCGDTSNTPSSRSVLTIAFQFPYEVHLLDSVAVMARQYVRSIVSAVQRVSLAISPSQHGLNMGRSLSLGSPEAHTLSTWICQSYTYHVGAELLRTNCENGESLLKMLWHHQDAILCCSLKTQPVFTFANQAGLDMLETTLVALQDITLDRIFDEGGRKAFCSDFAKLMHQGYCHLQSGVCLSGMGRHVSYEQAVAWKVLDEASNVYCLAFSFINWSFV >DRNTG_00693.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30030705:30039406:-1 gene:DRNTG_00693 transcript:DRNTG_00693.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein REVOLUTA [Source:Projected from Arabidopsis thaliana (AT5G60690) UniProtKB/Swiss-Prot;Acc:Q9SE43] MAMAVAMRSGGSGSSLDKQLDSGKYVRYTAEQVEALERVYAECPKPSSIRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKESSRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAYMRQQLQKVSLANDTSCESVVTTPQNPLRDASNPAGLLSIAEETLQEYLSKATGTAVDWVQMPGMKPGPDSIGIVAISHSCTGVAARACGLVNLEPTKIAEILKDRPSWFRDCRSLEVFTMFPAGNGGTIELIYMQMYAPTTLAPARDFWTIRYTTTLEDNSLVVCERSLSGSGGGPSSTAAHQFVRAEMLPSGYLIRPCDGGGSIVHIVDHLDLEAWSVPEVLRPLYESPGVLAQKMTTSALRYIRQIAQEMSGEVVYALGRQPSVLRTYSQKLSRGFNDAVNGFNDDGWSTMGCDGVEDVVIATNSAKKVCHASSANDLSVPPGGVICAKASMLLQNVPAALLVRFLREHRSEWADYNIDAYSAASLKAGSCAFPGLRPTRFSGSQIIMPLAHTVENEEFLEVIRLEGQAVGQDDSSLSQDIHLLQLCSGVDENAVGACYQLIFAPIDELFPDDAPILPSGFRVIPLEAKTDNLSANRTLDLASSLDVGSASNQLCGDTSNTPSSRSVLTIAFQFPYEVHLLDSVAVMARQYVRSIVSAVQRVSLAISPSQHGLNMGRSLSLGSPEAHTLSTWICQSYTYHVGAELLRTNCENGESLLKMLWHHQDAILCCSLKTQPVFTFANQAGLDMLETTLVALQDITLDRIFDEGGRKAFCSDFAKLMHQGYCHLQSGVCLSGMGRHVSYEQAVAWKVLDEASNVYCLAFSFINWSFV >DRNTG_20434.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4478846:4480956:1 gene:DRNTG_20434 transcript:DRNTG_20434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIELKTAPADFRFPTTNQTRHCFTRYIEFHKCVNAKGDDSGECEKFAKYYRSLCPGEWIEKWNEQRENGNFPGPL >DRNTG_15677.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27684570:27685261:-1 gene:DRNTG_15677 transcript:DRNTG_15677.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVNRIRHSQHSVTQRQADKRRSNHGLFALSSKPSWLRLRPMTVGLGQSTAWAASQLQPKLKLYQTARTEIFQSF >DRNTG_05588.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000257.1:4292:5558:1 gene:DRNTG_05588 transcript:DRNTG_05588.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQCWSRRTSPKPWPIPLKIPSEVPVTSWGKDGEKNTKNRG >DRNTG_26499.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:696236:698955:-1 gene:DRNTG_26499 transcript:DRNTG_26499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGKSEGKVFGLFRMPFWQNAAGKGGGGASSSTQQQHPPGPQVRGAGSSSVSSMAKSLLPTRRRLRLDPATKLFFPYEPGKQVRSAVKIKNTSKSHVAFKFQTNAPKSCFMRPPGAVLTPGESIIATVFKFVELPENDDKQDQKSKVKFKIMSLKVEGPMEYVPELFDDQKDHVAIEQILQVVFLDLDRPCQKLEKLKRQLAEADAALESRKKPPEDTGTRIVGEGLVIDEWKERRERYLAQQQGEGVDSL >DRNTG_22937.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17020536:17024268:1 gene:DRNTG_22937 transcript:DRNTG_22937.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 11 [Source:Projected from Arabidopsis thaliana (AT1G10240) UniProtKB/Swiss-Prot;Acc:Q9SY66] MNGRAPQTLLTDQNMCLKEAIVTEMPTTKHALCIWLIVAKFPSWFNAVLGERYNEWKAEFYRLYNLETIDDFELGWREMVDSFNLHTNRHIANLFAFRALWALPYLRSHFFAGMTLPGLSKSINAFIQRFLSAQTRLAHFVEQVAVAVDFRDQAGEQQTMQQNLQNISLKTGAPMEAHAASVFTPHAFCKLQDELVMAAHYASFHLEDGCFLVRHHTKIDGGWKVIWVPHNELISCSCLMFEFSGILCRHALRVLSMLNCFQIPDRYLPLRWRRVNSSLSKLVQSSLSNEHAGRVQALQSMVSVLISEAAKSEERLDLASQEISMLLSRIREQPVPIHGTQRSR >DRNTG_22937.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17020536:17024268:1 gene:DRNTG_22937 transcript:DRNTG_22937.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 11 [Source:Projected from Arabidopsis thaliana (AT1G10240) UniProtKB/Swiss-Prot;Acc:Q9SY66] MLMMITQIKDPLSLDDTSSIEESPEDTRLSLETSNDVIPYIGQKFVSHDAAYEFYSEFAKRCGFSIRRHRTEGKDGVGKGLTRRYFVCHRAGNTPIKASNESKPQRNRKSSRCGCRAYMRISKNTDSGVPDWRVTGFANHHNHDLLEANQVRFLPAYRTISDIDKNRILMFAKTGISVQQMMRLMELEKCVEPGFLPFTEKDVRNLLQSFKKMDQDEENIDLLRMCKNISDKDPNFKYDFTIDSNNRLENIAWSYASSVQAYELYGDAVVFDTTHRLTAFDMPLGIWVGMNNYGMPCFFGCVLLREENAQSFSWALRAFLSFMNGRAPQTLLTDQNMCLKEAIVTEMPTTKHALCIWLIVAKFPSWFNAVLGERYNEWKAEFYRLYNLETIDDFELGWREMVDSFNLHTNRHIANLFAFRALWALPYLRSHFFAGMTLPGLSKSINAFIQRFLSAQTRLAHFVEQVAVAVDFRDQAGEQQTMQQNLQNISLKTGAPMEAHAASVFTPHAFCKLQDELVMAAHYASFHLEDGCFLVRHHTKIDGGWKVIWVPHNELISCSCLMFEFSGILCRHALRVLSMLNCFQIPDRYLPLRWRRVNSSLSKLVQSSLSNEHAGRVQALQSMVSVLISEAAKSEERLDLASQEISMLLSRIREQPVPIHGTQRSR >DRNTG_22937.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17020536:17024268:1 gene:DRNTG_22937 transcript:DRNTG_22937.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 11 [Source:Projected from Arabidopsis thaliana (AT1G10240) UniProtKB/Swiss-Prot;Acc:Q9SY66] MNGRAPQTLLTDQNMCLKEAIVTEMPTTKHALCIWLIVAKFPSWFNAVLGERYNEWKAEFYRLYNLETIDDFELGWREMVDSFNLHTNRHIANLFAFRALWALPYLRSHFFAGMTLPGLSKSINAFIQRFLSAQTRLAHFVEQVAVAVDFRDQAGEQQTMQQNLQNISLKTGAPMEAHAASVFTPHAFCKLQDELVMAAHYASFHLEDGCFLVRHHTKIDGGWKVIWVPHNELISCSCLMFEFSGILCRHALRVLSMLNCFQIPDRYLPLRWRRVNSSLSKLVQSSLSNEHAGRVQALQSMVSVLISEAAKSEERLDLASQEISMLLSRIREQPVPIHGTQRSR >DRNTG_22937.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17020536:17024268:1 gene:DRNTG_22937 transcript:DRNTG_22937.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 11 [Source:Projected from Arabidopsis thaliana (AT1G10240) UniProtKB/Swiss-Prot;Acc:Q9SY66] MNGRAPQTLLTDQNMCLKEAIVTEMPTTKHALCIWLIVAKFPSWFNAVLGERYNEWKAEFYRLYNLETIDDFELGWREMVDSFNLHTNRHIANLFAFRALWALPYLRSHFFAGMTLPGLSKSINAFIQRFLSAQTRLAHFVEQVAVAVDFRDQAGEQQTMQQNLQNISLKTGAPMEAHAASVFTPHAFCKLQDELVMAAHYASFHLEDGCFLVRHHTKIDGGWKVIWVPHNELISCSCLMFEFSGILCRHALRVLSMLNCFQIPDRYLPLRWRRVNSSLSKLVQSSLSNEHAGRVQALQSMVSVLISEAAKSEERLDLASQEISMLLSRIREQPVPIHGTQRSR >DRNTG_22937.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17020536:17024268:1 gene:DRNTG_22937 transcript:DRNTG_22937.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 11 [Source:Projected from Arabidopsis thaliana (AT1G10240) UniProtKB/Swiss-Prot;Acc:Q9SY66] MLMMITQIKDPLSLDDTSSIEESPEDTRLSLETSNDVIPYIGQKFVSHDAAYEFYSEFAKRCGFSIRRHRTEGKDGVGKGLTRRYFVCHRAGNTPIKASNESKPQRNRKSSRCGCRAYMRISKNTDSGVPDWRVTGFANHHNHDLLEANQVRFLPAYRTISDIDKNRILMFAKTGISVQQMMRLMELEKCVEPGFLPFTEKDVRNLLQSFKKMDQDEENIDLLRMCKNISDKDPNFKYDFTIDSNNRLENIAWSYASSVQAYELYGDAVVFDTTHRLTAFDMPLGIWVGMNNYGMPCFFGCVLLREENAQSFSWALRAFLSFMNGRAPQTLLTDQNMCLKEAIVTEMPTTKHALCIWLIVAKFPSWFNAVLGERYNEWKAEFYRLYNLETIDDFELGWREMVDSFNLHTNRHIANLFAFRALWALPYLRSHFFAGMTLPGLSKSINAFIQRFLSAQTRLAHFVEQVAVAVDFRDQAGEQQTMQQNLQNISLKTGAPMEAHAASVFTPHAFCKLQDELVMAAHYASFHLEDGCFLVRHHTKIDGGWKVIWVPHNELISCSCLMFEFSGILCRHALRVLSMLNCFQIPDRYLPLRWRRVNSSLSKLVQSSLSNEHAGRVQALQSMVSVLISEAAKSEERLDLASQEISMLLSRIREQPVPIHGTQRSR >DRNTG_09639.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21921802:21924489:1 gene:DRNTG_09639 transcript:DRNTG_09639.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFKHMLPIIKHGFQGFVLSHTSTELSLKPPLCPSYSAKIFSSCSLSSESSVKIHSLKQDQEVVIALGSNVGDRVGNINESLHLMKKSGIQITRHGCLYETEPAYVTDQPLFLNSAIRATTELGPHELLKLLKQIEKDLGRTKGIRYGPRPIDLDILFYGASEINSEDLTVPHERMWERPFVVAPLIDLLGSSIDTDTAASWHSLTKYNGGLFELWEKLGGESSIGKNGMRRVLPIGNSIWDWSKKTHVMGVLNVTPDSFSDGGKFHEVEAAVSQVKLLISEGADIIDIGAQSTRPFASKLSPNEEIQRLIPVLDAIVQIPEMEGKLLSVDTFYAEVASEAVKRGAHIVNDVSGGKLDPEILRVVAELGVPYVVMHMRGNPSTMQSDKNLHYDDVCKEVASELYDQVREAELSGIPLWRIIIDPGIGFSKKLEQNLEILMGLSSIRRELGRNSFAASHAPILLGPSRKRFLGEICGRSDPVERDPATIAAVTAGILGGANIIRVHNAKGSLDAAKVCDAILKQREKSLF >DRNTG_09639.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21921802:21924489:1 gene:DRNTG_09639 transcript:DRNTG_09639.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFKHMLPIIKHGFQGFVLSHTSTELSLKPPLCPSYSAKIFSSCSLSSESSVKIHSLKQDQEVVIALGSNVGDRVGNINESLHLMKKSGIQITRHGCLYETEPAYVTDQPLFLNSAIRATTELGPHELLKLLKQIEKDLGRTKGIRYGPRPIDLDILFYGASEINSEDLTVPHERMWERPFVVAPLIDLLGSSIDTDTAASWHSLTKYNGGLFELWEKLGGESSIGKNGMRRVLPIGNSIWDWSKKTHVMGVLNVTPDSFSDGGKFHEVEAAVSQVKLLISEGADIIDIGAQSTRPFASKLSPNEEIQRLIPVLDAIVQIPEMEGKLLSVDTFYAEVASEAVKRGAHIVNDVSGGKLDPEILRVVAELGVPYVVMHMRGNPSTMQSDKNLHYDDVCKEVASELYDQVREAELSGIPLWRIIIDPGIGFSKKLEQNLEILMGLSSIRRELGRNSFAASHAPILLGPSRKRFLGEICGRSDPVERDPATIAAVTAGILGGANIIRVHNAKGSLDAAKVCDAILKQREKSLF >DRNTG_15215.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23285697:23289154:-1 gene:DRNTG_15215 transcript:DRNTG_15215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSYSNLLDLISGESPSFGRAGKRFPRVMTVAGIMSDLGEENSSSVGSDAPSSMSQDRIIIVGNQLPVRAHRRPDNDGWIFTWDEDSLLLQLKDGLGDDVEVIYIGCLREDIEPREQDDVSSTLLETFKCVPTFLPPDLFSKFYHGFCKQQLWPLFHYMLPLSPDLGGRFDRSLWQAYLSVNKIFADKVMEVINPDEDYVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRDELLRALLNADLIGFHTFDYARHFLSCCSRMLGLAYKSKRGYIGLEYYGRTVNIKILPVGIHLGQLQSVMNLSETEAKVAELRDQFKGRTVLLGVDDMDIFKGISLKLLAMEQLLVQHPDWVGKLVLVQIANPARGRGKDVQEVQSETYSAAKRINDTFGRADYEPVVLIDAPLQFYERIAYYVIAECCLVTAVRDGMNLIPYEYIICRQGNDKLNETLQLDPSVPKKSMLVVSEFIGCSPSLSGAIRVNPWNVEAVAEAIDGALAMRESEKQLRHEKHFRYVSTHDVAFWANSFLQDLERTCKDHGRRRCWGIGFGLSFRVIALDPNFRKLSVDHIVSAYKRTKNRAILLDYDGTMMPQTSINKTPNPESTDILNSLCQDPKNVAFLVSGKGKDTLSKWFSACPKLVIAAEHGYFLRQKDDVEWETCVPIADFDWKQIAEPVMKLYTETTDGSVIEHKESGLVWHYQYADPDFGACQAKELLDHLESVLSNEPVSVKSGQHMVEVKPQAITKGLVAERLLSTMKEKQTLPDFVLCIGDDRSDEDMFEVITDAITGPSLSPVAEVFLCTVGRKPSKAKYYLEDTTEIVRMLQGLATTSDQMARSTALQQNPL >DRNTG_20192.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12789042:12789774:-1 gene:DRNTG_20192 transcript:DRNTG_20192.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSEGFGSSSSMGTSERLGSSSMGIKKRRRCSSPSKRTKEGGFGGELGCC >DRNTG_17936.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25194722:25196917:-1 gene:DRNTG_17936 transcript:DRNTG_17936.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQDNNKELQQSLNEMKEERDQYREELLRQMKDMMEHFETRML >DRNTG_02541.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8268455:8269814:-1 gene:DRNTG_02541 transcript:DRNTG_02541.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISMIGVSEALTLCQSLGIAASTLTSIFNSSSACCWSMYCILAFSFHSDHVIVYFSVISTCPLLFRNNFDKN >DRNTG_22935.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23438240:23440070:-1 gene:DRNTG_22935 transcript:DRNTG_22935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESGRQLPDKDARPKNTMGREECKCSRLRLLGSWC >DRNTG_29790.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27416562:27417814:1 gene:DRNTG_29790 transcript:DRNTG_29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTRDAEAELNLPPGFRFHPTDEELVVHYLCLKSSGQRLPVPIIAEVDLYKFDPWDLPEMALFGQKEWYFFTPRDRKYPNGSRPNRAAGRGYWKATGADKPVCPKGSSKALGIKKALVFYHGKAPKGLKTDWIMHEYRLADVDRAPNKKGTLRLDDWVLCRLYNKKNNWEKTERKVRREERSSVMETMEYSEIHDETGSTSHRTPESEIDNDSFPDIDGLVQAGFFPADQVFDEKPQPVRSGFPVLEKMKEENDWMSDFNLDDFQSSWAAFGATTAVDVQDSWFSALVSPRLRPQNQVNFSRF >DRNTG_33157.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13373726:13375057:1 gene:DRNTG_33157 transcript:DRNTG_33157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGRKDRGKDGEIEAESTRACGISVRPC >DRNTG_16742.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13979293:13982205:1 gene:DRNTG_16742 transcript:DRNTG_16742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTWIRECFRLVLEQDTVGNTIAIHCSRVNGLRDDLPLGLSCRDNGAYTRSQVSHYSDPRSGWTLPHPKSLERFLLGFWSLSTTLDIDFLRKRVIGGDFTGIDSVRCALGSTKESLKKKKWLLETITMNDKASNTRVENPSSHPFEGWPSPLGLDLARLKEVDKVSREIAERPLS >DRNTG_03126.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21556947:21557085:-1 gene:DRNTG_03126 transcript:DRNTG_03126.5 gene_biotype:protein_coding transcript_biotype:protein_coding VDALSRINHKNFVNLIGYCEEDEPFMRMMVFEYASSGTLYEHLHG >DRNTG_03126.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21555313:21562558:-1 gene:DRNTG_03126 transcript:DRNTG_03126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNVHRNVNSVRRRLLQVTRNSQGSKTPAKMDARNLPAAPPVGPPPRGTVTVPSSGSGSFPAIPRGKVKPSSASPLPSPASALPTTSADPQPVTNKPTSSEKITARGSVIIIIAIALLLFFAASIYFMCRRKGVATIGPWKTGLSGQLQNAFVTGVPKLHRAELEAACEDFSNIITTFPHSIVFKGTLSNGLEISVASTAIKSDKDWSRQSEIYFRRKVDALSRINHKNFVNLIGYCEEDEPFMRMMVFEYASSGTLYEHLHGKDFDHLDWNARTRIIMGIGYCLQYMHHDLNPPVAHPDLQTSCIFLTDDYAAKVCDTSVWKEVAVVKKITGDDEMDPSELAFVDPGSNVYSFGLLMLEIISGKLPNFEEQGSLLNLVLEYLKDKSNTKNIVDLSLKDFKSNEFDIICEVIKDCTGPDPKMRPTIKEVIAKLREVIGISPEAATPRLSPLWWAELEILSMEAS >DRNTG_03126.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21555313:21558750:-1 gene:DRNTG_03126 transcript:DRNTG_03126.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNVHRNVNSVRRRLLQVTRNSQGSKTPAKMDARNLPAAPPVGPPPRGTVTVPSSGSGSFPAIPRGKVKPSSASPLPSPASALPTTSADPQPVTNKPTSSEKITARGSVIIIIAIALLLFFAASIYFMCRRKGVATIGPWKTGLSGQLQNAFVTGVPKLHRAELEAACEDFSNIITTFPHSIVFKGTLSNGLEISVASTAIKSDKDWSRQSEIYFRRKVDALSRINHKNFVNLIGYCEEDEPFMRMMVFEYASSGTLYEHLHGKDFDHLDWNARTRIIMGIGYCLQYMHHDLNPPVAHPDLQTSCIFLTDDYAAKVCDTSVWKEVAVVKKITGDDEMDPSELAFVDPGSNVYSFGLLMLEIISGKLPNFEEQGSLLNLVLEYLKDKSNTKNIVDLSLKDFKSNEFDIICEVIKDCTGPDPKMRPTIKEVIAKLREVIGISPEAATPRLSPLWWAELEILSMEAS >DRNTG_03126.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21559455:21561345:-1 gene:DRNTG_03126 transcript:DRNTG_03126.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKFRSGVDFDPYGVFNTWDPKEDDPCNWSGIYCSDDRRVEILNLKELSLEGALSPELGELSHLKALVLYKNKFSGVIPKEIGRLTMLQLMDLRYNNLGGPIPKGVEEMLSLKQFLFCGNKFQGVAPSIEKSSMFSELNFEEESFSNAVIAIGYINRKVGP >DRNTG_03126.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21555313:21556869:-1 gene:DRNTG_03126 transcript:DRNTG_03126.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGYCLQYMHHDLNPPVAHPDLQTSCIFLTDDYAAKVCDTSVWKEVAVVKKITGDDEMDPSELAFVDPGSNVYSFGLLMLEIISGKLPNFEEQGSLLNLVLEYLKDKSNTKNIVDLSLKDFKSNEFDIICEVIKDCTGPDPKMRPTIKEVIAKLREVIGISPEAATPRLSPLWWAELEILSMEAS >DRNTG_28467.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22451844:22467275:1 gene:DRNTG_28467 transcript:DRNTG_28467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISKISLQMPFTSPSITTASSILTLHGRNTCLSSIPPHRHRHHHRHRLRFSYRLPLAMTSMRTHNDGKFHQVICNAFFQANEISGKFNEEFKYEEMMIIRAALASYIISDASSKLLEQMVENGINGKRDKSLQESHAELDCLIQMAEIIRIIQEYSSTDHLAKIIEASGDYASGLKEVDKLIEEEEYEKAEKKCDMLEELPHSFVDSSITLRKLAAFGKATQANGPLNS >DRNTG_28467.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22451844:22457155:1 gene:DRNTG_28467 transcript:DRNTG_28467.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNISKISLQMPFTSPSITTASSILTLHGRNTCLSSIPPHRHRHHHRHRLRFSYRLPLAMTSMRTHNDGKFHQVICNAFFQANEISGKFNEEFKYEEMMIIRAALASYIISDASSKLLEQMVENGINGKRDKSLQESHAELDCLIQMAEIIRIIQEYSSTDHLAKIIEASGDYASGLKEVDKLIEEEEYEKAEKKCDMLEELPHSFVDSSITLRKLAAFGKATQANGPLNS >DRNTG_00567.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30079759:30084770:-1 gene:DRNTG_00567 transcript:DRNTG_00567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPCGSAGEGMAAALTASGSLKGEIKALRRRPSIKPSFEADELINLLHGSDPVKVELNRLENEVRDKDRELGEALAEIKSLRLSERAREKACEELTEELTKLDEKLKLTESLLECKNLEIKKINDEKKAALAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALLEAERTVQIALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLSQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVAVVVANEWKDANDKVMPVRQWLEERRFMQGEMQQLRDKLAIMERTAKSEAQLKEKYQLRLKVLEEGLRIPSSGTSRTVTEGRSMSNGPSRRQSLGGAENISKLPPNGYLSKRNSFQIRSSVSSGTSMILRHAKGTSKSFDGGTRSLDRGKLLANGTCHSLNKSTDGTGDSEPHLSWKENLDEKPNGFGNVDSDDSVSGLLYDMLQKEVITLRKACHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAVMEKEVAAMRVGKEQDNRAKRLGSSKASVISSQVAPGRNGPRTGLTRNLQ >DRNTG_27914.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001415.1:36902:38882:1 gene:DRNTG_27914 transcript:DRNTG_27914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREFKQDRHEHHQSIIPLHRQPHSWKHWRSRQSPHENRTLSDGSGNVVDESR >DRNTG_15130.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5653428:5654322:1 gene:DRNTG_15130 transcript:DRNTG_15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFLHHLKKKVKNQACVEGSICEAYIIQEISSFCSMYFESTVETRLNRVPRNDDGGDVESVGRLSIFSHPGRPFGPMNNARFLEDGEHYAAELYVLMNCEEIYPYVEMFDEMAKKECVNISDKELEKLRDTRFPKWFRQFVAKHKDEIDPRVVEMSYGPGRIAQCYKGCFTNGFKFHTPRLWE >DRNTG_09863.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000417.1:141664:144841:1 gene:DRNTG_09863 transcript:DRNTG_09863.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLAFGGREDDLMNEISSKAPRTPARFAAGMTNFSGGIYGMVQCTADLSVDDCEKCLNGTLNVLRDYAYGRVGSLVASLSCIARYETYRFFPLSLLSTPSPPPSSSGVNDAILNSGTGGKNSNTTTIVLVVVSVVVAGIVILSGVCIYLRRRGRRKPIRRSPVGGDDESIGFDLATLQDATNNFSEENRLGTGGFGVVYKGVLRDGKEIAVKRLSAASRQGFVELKNEVILVAKLQHRHLVRLLGCCLEQQEKLLIYEYLSNTSLDKFLFDPGRCQQLDWARRYKIIEGISGGLLYLHEDSRLRIIHRDLKAGNILLDGDMNPKISDFGFAKLFDIDETEGNTNRIAGTYGYMAPEYAMHGHFSVKSDVYSYGVIVLEIVTGRRSSGYEESANAAHFLNYHVWRLFNDGRALELKDSSLGDRIQADEVLRCIHIGLLCVQEDPKRQAYNGNSGSHAQELLISSSKTFYSCIFHSKPHKQSAKYGFERHGSQSGQL >DRNTG_09863.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000417.1:141664:144841:1 gene:DRNTG_09863 transcript:DRNTG_09863.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLAFGGREDDLMNEISSKAPRTPARFAAGMTNFSGGIYGMVQCTADLSVDDCEKCLNGTLNVLRDYAYGRVGSLVASLSCIARYETYRFFPLSLLSTPSPPPSSSGVNDAILNSGTGGKNSNTTTIVLVVVSVVVAGIVILSGVCIYLRRRGRRKPIRRSPAVGGDDESIGFDLATLQDATNNFSEENRLGTGGFGVVYKGVLRDGKEIAVKRLSAASRQGFVELKNEVILVAKLQHRHLVRLLGCCLEQQEKLLIYEYLSNTSLDKFLFDPGRCQQLDWARRYKIIEGISGGLLYLHEDSRLRIIHRDLKAGNILLDGDMNPKISDFGFAKLFDIDETEGNTNRIAGTYGYMAPEYAMHGHFSVKSDVYSYGVIVLEIVTGRRSSGYEESANAAHFLNYHVWRLFNDGRALELKDSSLGDRIQADEVLRCIHIGLLCVQEDPKRQAYNGNSGSHAQELLISSSKTFYSCIFHSKPHKQSAKYGFERHGSQSGQL >DRNTG_09863.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000417.1:143766:144841:1 gene:DRNTG_09863 transcript:DRNTG_09863.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKISDFGFAKLFDIDETEGNTNRIAGTYGYMAPEYAMHGHFSVKSDVYSYGVIVLEIVTGRRSSGYEESANAAHFLNYHVWRLFNDGRALELKDSSLGDRIQADEVLRCIHIGLLCVQEDPKRQAYNGNSGSHAQELLISSSKTFYSCIFHSKPHKQSAKYGFERHGSQSGQL >DRNTG_22094.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001229.1:27019:27549:1 gene:DRNTG_22094 transcript:DRNTG_22094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEQQQQQQQHQQKQLLPGMWQWPSHRSGDTDNSSEVRAFADDSKSYLATWPPRCYTCTFCRREFRSAQALGGHMNVHRRDRAKLHQSPMVVSDPSSSSASPPLPPLVISNGGMCFFYPLPAVPNGAVLAAAPSTGTPVTCTFIPTTSFSESIKSDSSASSTSTQELDLELRLGW >DRNTG_28081.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21454332:21455994:1 gene:DRNTG_28081 transcript:DRNTG_28081.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYTFLSFVSNVLLLLLSILFVWAKAAGLLNRPPPPIPKLQLSEAVIKTAADFVYSHMNMVLSATYKIALGKDTNLFYRVAGCLWLISFVGGLTDFLTLGYTGLLAVLTIPVLYEKYESYVERYVNIACMELRHRYESYTKHLNKVKNWILEKKEKLG >DRNTG_28081.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21454332:21458171:1 gene:DRNTG_28081 transcript:DRNTG_28081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYTFLSFVSNVLLLLLSILFVWAKAAGLLNRPPPPIPKLQLSEAVIKTAADFVYSHMNMVLSATYKIALGKDTNLFYRVAGCLWLISFVGGLTDFLTLGYTGLLAVLTIPVLYEKYESYVERYVNIACMELRHRYESYTKHLNKVKNWILEKKEKLG >DRNTG_28081.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21454332:21455994:1 gene:DRNTG_28081 transcript:DRNTG_28081.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNMVLSATYKIALGKDTNLFYRVAGCLWLISFVGGLTDFLTLGYTGLLAVLTIPVLYEKYESYVERYVNIACMELRHRYESYTKHLNKVKNWILEKKEKLG >DRNTG_28081.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21454332:21455994:1 gene:DRNTG_28081 transcript:DRNTG_28081.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYTFLSFVSNVLLLLLSILFVWAKAAGLLNRPPPPIPKLQLSEAVIKTAADFVYSHMNMVLSATYKIALGKDTNLFYRVAGCLWLISFVGGLTDFLTLGYTGLLAVLTIPVLYEKYESYVERYVNIACMELRHRYESYTKHLNKVKNWILEKKEKLG >DRNTG_34816.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8156727:8157206:1 gene:DRNTG_34816 transcript:DRNTG_34816.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor IBH1 [Source:Projected from Arabidopsis thaliana (AT2G43060) UniProtKB/Swiss-Prot;Acc:Q9SKX1] MHFNKCSEATRNPNPTPDLNPNLNVSKTMQATYFLRAFTVINSASPTSSTMQRRRSIRRAAYASMARAAGNRRAWSRAVLRRLHRGLCLSSSARRFVIRRRIRVSRPREPGQADVLRSLVPGGKAMDFCNLLEETATYIQCLSAQVRLMQSLADSISEC >DRNTG_21803.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17478367:17480182:1 gene:DRNTG_21803 transcript:DRNTG_21803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLPNFLLIFRTDGLLITFSLTFLLISSCVTSVSLSLDFYSSSCPNVELLIKDTVRSATAVDPTIPGKLLRLVFHDCIVEGCDGSVLVQGNNTERSDPANTSLGGFEVVENAKRWLEVLCPGMVSCADILVLAARDAVEIAGGPSVAVPLGRLDSRTSSAANVRPNMVDTSFSIDEMTQLFSSKGLSLDDLVILSGAHTIGKAHCSAFSERFEEGPKGTLEPIDTSLNKDYAMELIKQCPAGASGAVTVNNDPVTASIFDIQYYKNLIAGKGLFSSDSVLMSDSQTRNRVESFVCKSG >DRNTG_16246.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000802.1:20600:27937:1 gene:DRNTG_16246 transcript:DRNTG_16246.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRIPLEFDKDQGLWILQKELPEGRYEYKYIIDGEWTCNKYELITSPNSDGHVNNYVQVYGDDSTIATRETRKRLTSDDVDLTVEERQRIKEFLESYIEPNDEDIR >DRNTG_16246.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000802.1:20600:27937:1 gene:DRNTG_16246 transcript:DRNTG_16246.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWIQGYKLSEANCLLQSKRPCFPKLEAIMSATADILTGLPKSRVTLTWEDDNCSSVEVSGLDIGWGTGTKRH >DRNTG_16246.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000802.1:20600:27937:1 gene:DRNTG_16246 transcript:DRNTG_16246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWIQGYKLSEANCLLQSKRPCFPKLEAIMSATADILTGLPKSRVTLTWEDDNCSSVEVSGLDIGWGTGTKRH >DRNTG_16246.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000802.1:22163:27937:1 gene:DRNTG_16246 transcript:DRNTG_16246.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTYMFWIQGYKLSEANCLLQSKRPCFPKLEAIMSATADILTGLPKSRVTLTWEDDNCSSVEVSGLDIGWGTGTKRH >DRNTG_12452.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20964554:20965199:1 gene:DRNTG_12452 transcript:DRNTG_12452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKILKPGHNEPPENFSLPVLNQRPQT >DRNTG_29338.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29775994:29779689:-1 gene:DRNTG_29338 transcript:DRNTG_29338.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDEKACGLILLYQTGVIEIRSLPDLEVLGENSLMSTLRWSFKANMEKTMSSYDFGQIALVNGCEVAFLSLLACENDFRIPDSLPCLHDKVVAAAADAAIGISSHQKKKQDTTHGILGLIKGLKSAKAEKANVAGNSVRPISTQQLEEIFARTPFSDPTIPSVSNLEATELSIDDIEIDDALPATSTTSSVINKNKARDEDMEREKLFQGGSTDAKPRVRTTQEILTQYRFNGDAAAAAAHAKDKLVQRQEKLERLSKRTEELQSGAENFAEMANELVKTMENKKWWKL >DRNTG_29338.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29775994:29786028:-1 gene:DRNTG_29338 transcript:DRNTG_29338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDEKACGLILLYQTGVIEIRSLPDLEVLGENSLMSTLRWSFKANMEKTMSSYDFGQIALVNGCEVAFLSLLACENDFRIPDSLPCLHDKVVAAAADAAIGISSHQKKKQDTTHGILGLIKGLKSAKAEKANVAGNSVRPISTQQLEEIFARTPFSDPTIPSVSNLEATELSIDDIEIDDALPATSTTSSVINKNKARDEDMEREKLFQGGSTDAKPRVRTTQEILTQYRFNGDAAAAAAHAKDKLVQRQEKLERLSKRTEELQSGAENFAEMANELVKTMENKKWWKL >DRNTG_34061.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002082.1:6251:15731:1 gene:DRNTG_34061 transcript:DRNTG_34061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNEVNMSTGKEVEDAETTVEIKIKTLDSQTYTLRVNKCVPIPALKEQIATVTGVLSDQQRLICRGKVLRDDELLSAYHVEDGHTLHLVVRHPTQASASPSTSFMGPESAAGQPAANFASSNDHNRGNPFTHSIVFEAVNVGQGDNSSIGLGQVISSILSSIWSANTTAGNTRTDTREPNSERHGRTLGNLNRQDSNPLPQRAAPGLGFDSQQTTSQVPAAVNPGYQQPLVIPDSLATINEYIGFLRDEFAREGFRPVGNDNTEAPGTHSTEGQNNDLQSQPAYRQGTLPTPAFLAEIVQATGDLLIEQARLCLSQFARLLEDQTNVTDPLARMSLQTTAMRTGTLLQNLGPLLLELGRTTMTLRMGQAPSEAVVSAGPAVFISSSAPNTLMVQAFPPYAGPSAGRIHMGATSFGSVHESGQPIGSSHLPRNVDIRIHAGEQVRAQNAQEQMDAVRNSARVNSIRQVLAAASGNESPGESRVRLVPVRTVVALPAGVSHSPSAASGGGVGVIYPVLASVQQMNSGINDARGSQASAGLHAAGSETARQATFQSTTQRQNLDPNSAGAMSVDSHFSPNATPGSEANPANISSQSQGSTRVYISSQRGVGGNANISTEVPVSQNFQGGVFSRLDQVIRTGMREESNTDGIGHRSSGANSAADQVGATGDAEMRSSVGSSEVNDRAAFISNLLQQIMPHLPQVTSNLSGTFPTNSSSSAQNERGNDTDSRHPQSRRDPPADSNPKRQKRD >DRNTG_31207.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21610933:21623950:1 gene:DRNTG_31207 transcript:DRNTG_31207.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNEESGISSLFIQTTEDVANAVATAASATTVRSPRPSVVFSSRDDSGNNQLQKLQRRVISVLKGFSPPPEVKKATYNPEILTSQKRQWANFQLQALDQRSVKEPSKLIESIVVVGLHPRTDVRALEKHVLERSNEDQKKSRSMLNFHQQVHAEPNFEPQILFVYPPKKKLPLKYKDLLSFCFPGGLEVHAVERTPSLSELNEILLGQEQVKQSDLSFVFRLQVADDSSLYGCCVYIEEIVQKPSGLISMLSEEQGTCFPLSRYIITTPRCYCILSRLPFFDLHFGILRSIFSEERYERLTRGIEMLNLLSLEENYGQDRTDDEPKSTEQDQSSADDRGQVEFSNIDIEAPREKISGNGNPPVSCEPEEELDSQETLKSSTALDVGKEAGDVTDGDTEISLQNGHKEPSSETTKRKHFEKQFPVALLPLLQYHQYESPESHTRCGFYGSSEDKNFRCEMDDLEPEEPSSSGQEDCTKHDSILEWAKVNNHGSLQIICEYYKLQCPTRGSMITFQPLEHLHPLEFQRPAETVLHIAGSTVDLRSQNASLKLAEAQSALLAEEEATALSVWTVACICGSLRLENVLALFSGALLEKQIVVVCSNLGILSASVLSIVPLIRPYQWQSLLMPVLPIYMMDFLDAPVPYIVGIKNKTSELQSKLSNVILVDVNKNQVKSTSMPQLPQHKELFSALSPYHARLVGESYLARKRPVYDCTDVQVEAAKGFLAVLRSYLDSLCSNLRSHTITNVQSNDDKVSLLLKESFIDSFPSRDRPFMKLFVDTQLFSVHTDLVLSFYQKD >DRNTG_31207.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21610933:21624429:1 gene:DRNTG_31207 transcript:DRNTG_31207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNEESGISSLFIQTTEDVANAVATAASATTVRSPRPSVVFSSRDDSGNNQLQKLQRRVISVLKGFSPPPEVKKATYNPEILTSQKRQWANFQLQALDQRSVKEPSKLIESIVVVGLHPRTDVRALEKHVLERSNEDQKKSRSMLNFHQQVHAEPNFEPQILFVYPPKKKLPLKYKDLLSFCFPGGLEVHAVERTPSLSELNEILLGQEQVKQSDLSFVFRLQVADDSSLYGCCVYIEEIVQKPSGLISMLSEEQGTCFPLSRYIITTPRCYCILSRLPFFDLHFGILRSIFSEERYERLTRGIEMLNLLSLEENYGQDRTDDEPKSTEQDQSSADDRGQVEFSNIDIEAPREKISGNGNPPVSCEPEEELDSQETLKSSTALDVGKEAGDVTDGDTEISLQNGHKEPSSETTKRKHFEKQFPVALLPLLQYHQYESPESHTRCGFYGSSEDKNFRCEMDDLEPEEPSSSGQEDCTKHDSILEWAKVNNHGSLQIICEYYKLQCPTRGSMITFQPLEHLHPLEFQRPAETVLHIAGSTVDLRSQNASLKLAEAQSALLAEEEATALSVWTVACICGSLRLENVLALFSGALLEKQIVVVCSNLGILSASVLSIVPLIRPYQWQSLLMPVLPIYMMDFLDAPVPYIVGIKNKTSELQSKLSNVILVDVNKNQVKSTSMPQLPQHKELFSALSPYHARLVGESYLARKRPVYDCTDVQVEAAKGFLAVLRSYLDSLCSNLRSHTITNVQSNDDKVSLLLKESFIDSFPSRDRPFMKLFVDTQLFSVHTDLVLSFYQKD >DRNTG_31207.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21610933:21624429:1 gene:DRNTG_31207 transcript:DRNTG_31207.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNEESGISSLFIQTTEDVANAVATAASATTVRSPRPSVVFSSRDDSGNNQLQKLQRRVISVLKGFSPPPEVKKATYNPEILTSQKRQWANFQLQALDQRSVKEPSKLIESIVVVGLHPRTDVRALEKHVLERSNEDQKKSRSMLNFHQQVHAEPNFEPQILFVYPPKKKLPLKYKDLLSFCFPGGLEVHAVERTPSLSELNEILLGQEQVKQSDLSFVFRLQVADDSSLYGCCVYIEEIVQKPSGLISMLSEEQGTCFPLSRYIITTPRCYCILSRLPFFDLHFGILRSIFSEERYERLTRGIEMLNLLSLEENYGQDRTDDEPKSTEQDQSSADDRGQVEFSNIDIEAPREKISGNGNPPVSCEPEEELDSQETLKSSTALDVGKEAGDVTDGDTEISLQNGHKEPSSETTKRKHFEKQFPVALLPLLQYHQYESPESHTRCGFYGSSEDKNFRCEMDDLEPEEPSSSGQEDCTKHDSILEWAKVNNHGSLQIICEYYKLQCPTRGSMITFQPLEHLHPLEFQRPAETVLHIAGSTVDLRSQNASLKLAEAQSALLAEEEATALSVWTVACICGSLRLENVLALFSGALLEKQIVVVCSNLGILSASVLSIVPLIRPYQWQSLLMPVLPIYMMDFLDAPVPYIVGIKNKTSELQSKLSNVILVDVNKNQVKSTSMPQLPQHKELFSALSPYHARLVGESYLARKRPVYDCTDVQVEAAKGFLAVLRSYLDSLCSNLRSHTITNVQSNDDKVSLLLKESFIDSFPSRDRPFMKLFVDTQLFSVHTDLVLSFYQKD >DRNTG_20745.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20990220:20992416:-1 gene:DRNTG_20745 transcript:DRNTG_20745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAIILLSFLTHFTTSNAMDVHLLRPFSGIGDHPVTGVSCDSWRFAVETNNMRGWLTVPPSCENYVGNYMISGHYRNDSIVVIAEAMKYVAGLELGSDEKDVWIFDIDETSLSNLPYYAHHGFGVEAYNDTSFNAWVRKSRAPALPESLKLYKKLMSLGIKIIFLSGRSEDTREATACNLKRVGYHTWEKLILRRNGDKSLAVMYKSGVRMTIVKQGYRIVGNIGDQWSDILGSPEGDRTLKF >DRNTG_22512.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3387156:3392866:-1 gene:DRNTG_22512 transcript:DRNTG_22512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKEKKSNVSGKPKHSLDVNRSNGGAAVDKGARSAATVRRLKMYNTRPLRNSKGKVLKHELQSKELPNTRIVPDRRWFGNTRVVKQKELEFFREELQSRLSSNYNVILKERKLPMSLLNDHQKQAKAHLLDTEPFEDAFGPKRKRKRPKLLASDYESLVQRADGSQDNFEQKSANKLSGEVNEEDGFRDLVRHSMFDKGQSKRIWGELYKVVDSSDVVVQVLDARDPQGTRCPHLEKHLKEQCKHKHMVLLLNKCDLIPAWATKGWLRVLSKEYPTLAFHASINKSFGKGSLLSVLRQFARLKSDKQAISVGFVGYPNVGKSSVINTLRTKNVCKVAPIPGETKVWQYITLTKRIFLIDCPGVVYQNKDSETDIVLKGVVRVENLEDASEHIGEVLKRVKKEHLRRAYKIEDWADEYDFLVQLCKLSGKLLKGGEPDLTTAAKMVLHDWQRGRIPFFVPPPQQQEVEEEQQPNASDEIEDTTISTDQAAAAMKAIAGIISSQQLKHVPVQKNFFGEDDQEHEDGHEDEQQHPLVDDVEHGEDEQQLPLADNVGHEDEQHPAADAE >DRNTG_29424.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:7944:9629:1 gene:DRNTG_29424 transcript:DRNTG_29424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSAPLFVLFLFVFRVCLSDDSPSTGGLDSPMLALVRALGRSRPCGRCRKAPHVGDFEARIQRDNNDASSITDLSSSRRRIENKSAADTFTPLGFDRHQPLIVSRMLNETSMADRFERWIVERGRHYKDVSEKQRRFEIFKSNVEYIEYFNAGNHMYWLGINNFTDLTNEEVTAWCTGYIPPDEDKDFGHMDSSSDEDEDFGHMDSSSKDKSLV >DRNTG_26826.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1993915:1996666:1 gene:DRNTG_26826 transcript:DRNTG_26826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSRNVITWTSMISGYCYNGFLHEAVAMFKEMQAVHVRADEVTMLGMVSTCAKMGDSELGNWVDQYIEKNGYMDSVCMVNALIDMHSKCGNIEKACQIFEEMKVRTLVSWTSMIQGLALHGHGVEALVRFSQMQREGFKPDKVVFLNVLNACSHAGLAMEGMQCFKSMIEEHGVDPWMEHYGSMVDLLCKVGLVKDAFDFIMSMPIKPDPVIWRALIRACQDQGEADLASQALSRLFELEPEHSGNYILKSNFLATIEEWDSVKEVRDDMAITRIAKRDPGFSSIEVS >DRNTG_19596.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001023.1:107897:110635:1 gene:DRNTG_19596 transcript:DRNTG_19596.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Raffinose synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G20250) UniProtKB/TrEMBL;Acc:F4K470] MASFRFKLWWMAQRMGDRGRDVPLETQFLLLESKDEDEIVYLVFLPLVEGHFRACLQGNAMDELELFMESGDAGTLSVACSHAVFVGAAVSDPFAAISGAVNAVKSHLKTFRQRPEKRLPGIVDYFGWCTWDAFYQEVTQEGVEAGLQSLTSGGAPPKFVIIDDGWQSVAGDKGKDGLQRLTGIRENSKFRSKEDPVSGIKSIVSIAKEKYGLKYVYVWHAITGYWGGVRPGEGMDEYGSKMQYPKMSPRMVENEPGLVEDVLTVQGLGLVNPKRVYKFYNDLHSYLALAGVDGVKVDVQCVLETLGTGLGGRVELTRKFHQALDASVAKNFPDNGCIACMSHNTDALYCSKQTDVVRASDDFYPRDPVSHTIHIASVAYNSVFLGEFMLPDWDMFHSLHPAAEYHASARAISGGPIYVSDAPGKHNFDLLRKLVLPDGSILRARLPGRPTQDCLFTDPARDGVSLLKIWNMNKYTGVLGVYNCQGAAWSATEKKNVFHHTGEDALSCAIKGNDVHLISDAALDDDWNGDCVMYQHSEGELVVLPQNAAMPMSLKVLKHAIFTVAPIKVCLMLSVLHLE >DRNTG_19596.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001023.1:106771:110635:1 gene:DRNTG_19596 transcript:DRNTG_19596.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Raffinose synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G20250) UniProtKB/TrEMBL;Acc:F4K470] MFSPVAVSPSSLNTPLSFPFYPFFSRSAPPLSKTRVFPRFPRSTAIQASIFEPLFVEESKGGRGDEMTISSSVRISDGKLVVHGRTILAGVSDCVVSSSAVVSGPVDGVFLGAHFAEARSSHVVSLGTLRDVRFMASFRFKLWWMAQRMGDRGRDVPLETQFLLLESKDEDEIVYLVFLPLVEGHFRACLQGNAMDELELFMESGDAGTLSVACSHAVFVGAAVSDPFAAISGAVNAVKSHLKTFRQRPEKRLPGIVDYFGWCTWDAFYQEVTQEGVEAGLQSLTSGGAPPKFVIIDDGWQSVAGDKGKDGLQRLTGIRENSKFRSKEDPVSGIKSIVSIAKEKYGLKYVYVWHAITGYWGGVRPGEGMDEYGSKMQYPKMSPRMVENEPGLVEDVLTVQGLGLVNPKRVYKFYNDLHSYLALAGVDGVKVDVQCVLETLGTGLGGRVELTRKFHQALDASVAKNFPDNGCIACMSHNTDALYCSKQTDVVRASDDFYPRDPVSHTIHIASVAYNSVFLGEFMLPDWDMFHSLHPAAEYHASARAISGGPIYVSDAPGKHNFDLLRKLVLPDGSILRARLPGRPTQDCLFTDPARDGVSLLKIWNMNKYTGVLGVYNCQGAAWSATEKKNVFHHTGEDALSCAIKGNDVHLISDAALDDDWNGDCVMYQHSEGELVVLPQNAAMPMSLKVLKHAIFTVAPIKVLAPGYKFAPLGLLDMYNAGGAIEGLSYQVLGGANISELDGTVVSEFNEASPQMPENKSSEAVALVCMEVKGCGRFGAYSSAKPTKCSVGLASVDFSFDSSSGLVSLQLANMPEGDWKIHKVVIEL >DRNTG_19596.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001023.1:106771:107193:1 gene:DRNTG_19596 transcript:DRNTG_19596.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Raffinose synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G20250) UniProtKB/TrEMBL;Acc:F4K470] MFSPVAVSPSSLNTPLSFPFYPFFSRSAPPLSKTRVFPRFPRSTAIQASIFEPLFVEESKGGRGDEMTISSSVRISDGKLVVHGRTILAGVSDCVVSSSAVVSGPVDGVFLGAHFAEARSSHVVSLGTLR >DRNTG_05197.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22652064:22655133:1 gene:DRNTG_05197 transcript:DRNTG_05197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLAHPLCNLFRVVLTFLLLLNPSLGSSLMLSTLEVLTGFPTSPLEIIAWGALALIPSTMDTFPAQMAFILLTNLGASFTEVVSDALVAEFSRAQTEGKLQSYAFIALTAGAISGNLSGGFIMLRTQEPKLMFFAFALLLSIQFALSLITKETSLYPAQCTSHYLVQNSLPQNLRKQFSELMAAISEERILYPLLWIVSSISVVPILSGAMFCFQTQCLNLDPSIIGLSKVVGQSMVLLTTIFYNRYLKRIPMRKLIFGVQIVYALALLSDLFLVKQINLTLGISNEALCLVFVSFG >DRNTG_29581.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18217482:18220320:1 gene:DRNTG_29581 transcript:DRNTG_29581.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLRQKQTSCVIRMLNINQAPAPISATTPAAGAGEEVYKILILDPFCRDLLSPLIHVKDLRKHGVTLYFLIDKDRQPVPDVPAVYFVRPTPSNLQRFAADVSRHLYDSFHLNLSSSLPRPLLEELAASLLRSDSVPRVSRIYDQYLDFISLEDSLFSLAHRDAYIQLNDPSAGDHEIEEIIDRVASGLFCVLVTLGVVPIIRCARGGPAEMVASALDSRLRDHLVTKNNLFSESSGLVSSFQRPVLCIFDRNFELAVGIQHDWSYRPLVHDVLGLKLNRVNVQGEKPGGMKSFELDGSDPFWFANSWAPFPKVAEEIETQLSKYKQDVDEVNRRTGGTDGVDFDGTDLIGNTKHLMNAVNSLPELTERKKVIDKHTNIATVLLGEIKERSLDSYCNLEDDLLTKGSADRNALFGLLTGKGTKMDKLRLAITYLLSMEATPQSELEAVESALRESEVDTCAFLYVKKIKSLNSSLASSASSASKSNIVDWAEKLYGQSISAVTAGMKNLLSGGRQLALTRTVEVLMEGKPNPEVDSYLMFDPRAPRSGSGAQVKGPFKEAIVFMIGGGNYVEYRSLMELAQRSQPVKHVIYGTTEVLNGVEFVEQLTVLGQKMGLGSTPAGSSQS >DRNTG_29581.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18217482:18221096:1 gene:DRNTG_29581 transcript:DRNTG_29581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLRQKQTSCVIRMLNINQAPAPISATTPAAGAGEEVYKILILDPFCRDLLSPLIHVKDLRKHGVTLYFLIDKDRQPVPDVPAVYFVRPTPSNLQRFAADVSRHLYDSFHLNLSSSLPRPLLEELAASLLRSDSVPRVSRIYDQYLDFISLEDSLFSLAHRDAYIQLNDPSAGDHEIEEIIDRVASGLFCVLVTLGVVPIIRCARGGPAEMVASALDSRLRDHLVTKNNLFSESSGLVSSFQRPVLCIFDRNFELAVGIQHDWSYRPLVHDVLGLKLNRVNVQGEKPGGMKSFELDGSDPFWFANSWAPFPKVAEEIETQLSKYKQDVDEVNRRTGGTDGVDFDGTDLIGNTKHLMNAVNSLPELTERKKVIDKHTNIATVLLGEIKERSLDSYCNLEDDLLTKGSADRNALFGLLTGKGTKMDKLRLAITYLLSMEATPQSELEAVESALRESEVDTCAFLYVKKIKSLNSSLASSASSASKSNIVDWAEKLYGQSISAVTAGMKNLLSGGRQLALTRTVEVLMEGKPNPEVDSYLMFDPRAPRSGSGAQVKGPFKEAIVFMIGGGNYVEYRSLMELAQRSQPVKHVIYGTTEVLNGVEFVEQLTVLGQKMGLGSTPAGSSQS >DRNTG_00694.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30031964:30032958:1 gene:DRNTG_00694 transcript:DRNTG_00694.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPKYDLLISLTVFASRGMTRNPEGSIGASSGKSSSIGAKIS >DRNTG_02080.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000104.1:155304:167192:1 gene:DRNTG_02080 transcript:DRNTG_02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPEAPIALRLSSHLLLGVVRIYSRKVNYLFHDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFHLDDFELPDSAFLHGNSVDHHVSTREQITLQDTRDGLDYSRSQFGLDERFGDGDASQIALDLDEDLFLDKVSSSQHTPVPFDAEDGMQHSIMLGNMDIAEGQILYGNANVATPKDLSEFPSSDLYKHDHLDAASIEKNEDTSGEHGHNIQTPDQNEMNFPDDHIDGPSSTTGHISGVSAHSDVHSPELIECAQAPSTPSLIGEAIPANIQEIPPLSSQEKNSRANYLDAETSGSPLHSEIDCPEGADNNSLVDPTPVASELAEIVLGASPPPFADLKSAPCEPQHSGGIAAEPDEVGVEGGKSCADEFQKEFVSGKADSLPDFEQLHDNEDTILSETVTIKNSASAAASGVNVTSHDETNLSNQSLVQDADTAQHPQGTCNSTSNCLPGAPAGEEVLLVVSSVDMQEPCSANVMETIREAPQTSEIVQSENTCVEKPQKLSSDANINDNQLDHLNGTSSHEFPEPEKMLLAPPGDDNMSNDLGQLTSEKGAADLKKVLTGSRVLVEKNVI >DRNTG_32104.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10210604:10211254:1 gene:DRNTG_32104 transcript:DRNTG_32104.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQNQFRGSLTEDPNLHLSNFMEYCGILKLNGVSTDAIRLRIITVVSSSWTRKVVTKQEDTAARGALMNKIMDEAYTLFENMDLNYYQWSNERAV >DRNTG_32782.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3116490:3128997:1 gene:DRNTG_32782 transcript:DRNTG_32782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLASEWVLQDVEALHQGHQFIYKGVYFYDQEVENEDILMLGLEDKIQPTSGIMKKMLWKMKRARRRHKKCRKANGDKQEWTPPVVAAATELDTDA >DRNTG_20794.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28356055:28357057:1 gene:DRNTG_20794 transcript:DRNTG_20794.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHHHHHSQLLPCLQCHPQSYIRMVQHLIERCLILRMNRDDCVQALAQHACIQPLVTLAVWKGLLKENKGFFHSYLHEISPRHYNYKAHGRFSGFGRRKQWKCHGK >DRNTG_20794.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28356277:28357057:1 gene:DRNTG_20794 transcript:DRNTG_20794.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDDCVQALAQHACIQPLVTLAVWKGLLKENKGFFHSYLHEISPRHYNYKAHGRFSGFGRRKQWKCHGK >DRNTG_16127.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14587114:14587923:-1 gene:DRNTG_16127 transcript:DRNTG_16127.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSRPTYRYLHAIMRRSVNGRSDSTGVLSRQELLYLYSIVQCVPIHLGHILAEYIRHQGYYARLGAIFSGPYITCTMLVLGMGLLDSIRGAEKMSVPTPLSLETMRIMGMVFRVRTGICALVLPAPEIVEDEADEARASQPAPEPQPATMETKAPPVAEEPPPDTQYTEFMACFDMLHQILERDIASSFVLQPRTPPAPSVPLAPPSSTPAPVDPLCASPAATAVPPPESDTDT >DRNTG_21247.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:11448:12765:1 gene:DRNTG_21247 transcript:DRNTG_21247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPSPSLLLSTARRKPSSIAASTAVPQTKDTMRIPPEFDFKGYMLTKAATVNRALDLAVPLADPRRIHEAMRYSLLAGGKRVRPILCVASCELVGGSEAFAIPPALAVEMIHTMSLIHDDLPCMDDDDLRRGKPTCHRVFGEAIAVLAGDALLSLAFHILSNPNSYPSSPSPPSSLIVRSISELAFCVGSEGLVAGQVVDIESTGVSESVPIDRLEYIHLHKTAVLLEASVVLGAIIGGGSDSQVEKLRRYAREGLGE >DRNTG_26730.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1235487:1236425:-1 gene:DRNTG_26730 transcript:DRNTG_26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFATFAFYCIIMIISCGWRRVIHRHKHHWFHYL >DRNTG_33538.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8219264:8221674:1 gene:DRNTG_33538 transcript:DRNTG_33538.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDELGVGERINRRHHMVIEAKKQLWLSGPLIIANILEKLIQVVSLMFVGHLGELPLSGASMAVSFAAVTGFSLLSGMGSALDTLCGQAFGAKQYYMLGIYLQRAMLILSLVSIPLAFIWAFTGNILKAIGQDKEISLAATTYARYMIPVIFAYALLQCHQKFLQAQNIVFPMMLCSAFTVIFHIFLCWVLVFKLRIGLKGAAIANSVSYCISVTIIIIYVRLSPSCKETWTGFSKDALHDISSFVKLAIPSALMICLEFWTFEALVLMSGLLPNPKLETSVLAICLNSSTLAFMIPFGIGASVSTRVSNELGAGQPRNAWLAVIVGGIIAILQGVVVGSTLILGRHVWGKLFSNEKDVVNYVAKMMPFLALSNFIDATQSVLTGTARGCGWQKLGVIVNLGAYYVVGIPFSILLAFKFHLKGKGLWLGIICGLFVQVLLLLSITLCTDWEKEANKAVERVNNSIDIHLSNINQGEEERRSDNGH >DRNTG_03462.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20618741:20620322:-1 gene:DRNTG_03462 transcript:DRNTG_03462.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB87 [Source:Projected from Arabidopsis thaliana (AT4G37780) UniProtKB/Swiss-Prot;Acc:F4JSU0] MGRAPCCDKANVKKGPWSPEEDAKLKSYIEQNGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDNIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGKQRKDNQQARRALKQEAKRVEDGGLGVVSDAFRSVYWPDQQQMTMFDGVNNPPDLHHNNNNDNNNNNIDDHASIRKLLIKLGGRFSSDEGTSRASSSVSVMPTQQIYGGDTMNLMQPSFHEGVNSQLMQNPSTGFPTELDEMFQCNTVKLEGLDYFYGTANMIDGNNGSISSASTSMNWTEVSPLIYPTLSATYQGMQQCLLEEPVHHGTQ >DRNTG_03462.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20618741:20620322:-1 gene:DRNTG_03462 transcript:DRNTG_03462.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB87 [Source:Projected from Arabidopsis thaliana (AT4G37780) UniProtKB/Swiss-Prot;Acc:F4JSU0] MGRAPCCDKANVKKGPWSPEEDAKLKSYIEQNGTGGNWIALPQKIGICFVTICSCSIE >DRNTG_26062.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:14120670:14124701:-1 gene:DRNTG_26062 transcript:DRNTG_26062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKIQALWNHPAGPKTIHFWAPTFKWGISIANVADFTKPPEKLSYPQQIAVTFTGLIWSRYSTVITPKNWNLFSVNVAMAGTGTYQLYRKIRLDYFSEAQELPTNE >DRNTG_11787.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:532359:534084:1 gene:DRNTG_11787 transcript:DRNTG_11787.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80770) TAIR;Acc:AT1G80770] MNGGRTFNLLQQWRIPPGQSLSGRRERLGYQILKGHFFPVKVWNCCSFRCCQELQSNVGDVVENSSAFGSDIALKIGTPGKPAQNHKSLGAFQMLPIVMPSIDILASAKRKARNVPPTRGIANLAKRERNKGAKQLDALMKELAVPLRQYTENFPNKQYLHPYERSLIELTLGDGNYEEV >DRNTG_11787.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:535410:537080:1 gene:DRNTG_11787 transcript:DRNTG_11787.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80770) TAIR;Acc:AT1G80770] MPVVDLEKPTLCLVGAPNVGKSSLVRILSTGKPEVCNYPFTTRGILMGHIVLNYERFQVTDTPGLLMRHDEDRNNLERLTLAVLSHLPTAVLYVHDLSGECGTSPDDQFTTYKEMKERFSNHLWLDVVSKCDLLQVSPASNLQDDMEKYKSFGPEGAIRVSVKSEFGLPELKERVHGMLISQMAKIRNETNSKES >DRNTG_11787.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:532359:537080:1 gene:DRNTG_11787 transcript:DRNTG_11787.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80770) TAIR;Acc:AT1G80770] MNGGRTFNLLQQWRIPPGQSLSGRRERLGYQILKGHFFPVKVWNCCSFRCCQELQSNVGDVVENSSAFGSDIALKIGTPGKPAQNHKSLGAFQMLPIVMPSIDILASAKRKARNVPPTRGIANLAKRERNKGAKQLDALMKELAVPLRQYTENFPNKQYLHPYERSLIELTLGDGNYEEVLRRVDSLRKKIVSIGKQQASLCAQSMSKREAEERLNEVCVHFYGSVPFPCMFLSLMWFLLILNFSC >DRNTG_11787.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:532359:537080:1 gene:DRNTG_11787 transcript:DRNTG_11787.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80770) TAIR;Acc:AT1G80770] MSKREAEERLNEGLKKIEEVFHIGSNAVEDLLHVAKTLRAMPVVDLEKPTLCLVGAPNVGKSSLVRILSTGKPEVCNYPFTTRGILMGHIVLNYERFQVTDTPGLLMRHDEDRNNLERLTLAVLSHLPTAVLYVHDLSGECGTSPDDQFTTYKEMKERFSNHLWLDVVSKCDLLQVSPASNLQDDMEKYKSFGPEGAIRVSVKSEFGLPELKERVHGMLISQMAKIRNETNSKES >DRNTG_11787.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:532359:537080:1 gene:DRNTG_11787 transcript:DRNTG_11787.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80770) TAIR;Acc:AT1G80770] MNGGRTFNLLQQWRIPPGQSLSGRRERLGYQILKGHFFPVKVWNCCSFRCCQELQSNVGDVVENSSAFGSDIALKIGTPGKPAQNHKSLGAFQMLPIVMPSIDILASAKRKARNVPPTRGIANLAKRERNKGAKQLDALMKELAVPLRQYTENFPNKQYLHPYERSLIELTLGDGNYEEVLRRVDSLRKKIVSIGKQQASLCAQSMSKREAEERLNEGLKKIEEVFHIGSNAVEDLLHVAKTLRAMPVVDLEKPTLCLVGAPNVGKSSLVRILSTGKPEVCNYPFTTRGILMGHIVLNYERFQVTDTPGLLMRHDEDRNNLERLTLAVLSHLPTAVLYVHDLSGECGTSPDDQFTTYKEMKERFSNHLWLDVVSKCDLLQVSPASNLQDDMEKYKSFGPEGAIRVSVKSEFGLPELKERVHGMLISQMAKIRNETNSKES >DRNTG_11787.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:534556:537080:1 gene:DRNTG_11787 transcript:DRNTG_11787.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80770) TAIR;Acc:AT1G80770] MSKREAEERLNEGLKKIEEVFHIGSNAVEDLLHVAKTLRAMPVVDLEKPTLCLVGAPNVGKSSLVRILSTGKPEVCNYPFTTRGILMGHIVLNYERFQVTDTPGLLMRHDEDRNNLERLTLAVLSHLPTAVLYVHDLSGECGTSPDDQFTTYKEMKERFSNHLWLDVVSKCDLLQVSPASNLQDDMEKYKSFGPEGAIRVSVKSEFGLPELKERVHGMLISQMAKIRNETNSKES >DRNTG_17525.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28947590:28950047:-1 gene:DRNTG_17525 transcript:DRNTG_17525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVNFIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQEACVYDGYTLPKLYAKMQYCVSCAIHSHVVRVRSRTDRRNREPPQRFRRRDDAPRPGQGPRPGVTASAPPARQ >DRNTG_26678.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:855303:855699:1 gene:DRNTG_26678 transcript:DRNTG_26678.1 gene_biotype:protein_coding transcript_biotype:protein_coding QGPNILLDDSLSSEVDKNLLHAVKDSIVQGFQWGAREGPLCDEPIRNVKFKILHASIAQEPLHRGGGQIIPTARRVVYSAFLMATPRLMEPVYYVE >DRNTG_03324.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15290877:15307037:-1 gene:DRNTG_03324 transcript:DRNTG_03324.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYAPNRFQAQADAVNLICGAKTQSNPENTVGVLTMAGKGVRVLVTPTSDLGKILACMHGLEIGGEMNLTAGIQVAQLALKHRQNKKQQQRIIVFVGSPIKHDKSLLEAIGKKLKKNNVALDIVDFGESDDDKPEKLEALLAAVNNNNTSHIVHVPPGPNALSDVLISTPIFTGDGEGGSGFAAAAAAAAAGGMGGFDFGVDPNIDPELALALRVSMEEERARQEAAAKKAAEDAANQEKGVEQASSSQDATMAETTSSSRAAADDKRQDLTDDDTALLEQALAMSMDDTRSGGAAMADTDMSDATTDDQELAYALQMSVQDNVKGSPSQSEMSKALEDQTFVTSILSSLPGVDPNDPSVKDLLASLQGPSESQQKQNEDKSGNEGGK >DRNTG_03324.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15290877:15307037:-1 gene:DRNTG_03324 transcript:DRNTG_03324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYAPNRFQAQADAVNLICGAKTQSNPENTVGVLTMAGKGVRVLVTPTSDLGKILACMHGLEIGGEMNLTAGIQVAQLALKHRQNKKQQQRIIVFVGSPIKHDKSLLEAIGKKLKKNNVALDIVDFGESDDDKPEKLEALLAAVNNNNTSHIVHVPPGPNALSDVLISTPIFTGDGEGGSGFAAAAAAAAAGGMGGFDFGVDPNIDPELALALRVSMEEERARQEAAAKKAAEDAANQEKGVEQASSSQDATMAETTSSSRAAADDKRQDLTDDDTALLEQALAMSMDDTRSGGAAMADTDMSDATTDDQELAYALQMSVQDNVKGSPSQSEMSKALEDQTFVTSILSSLPGVDPNDPSVKDLLASLQGPSESQQKQNEDKSGNEGGK >DRNTG_13211.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2618631:2621008:1 gene:DRNTG_13211 transcript:DRNTG_13211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQIALYGKFFGKPPPFEQVKEILSAKWNNLGLFQISNLRNGYLLIQCSTHEAMQKLLFEGPWAVNGIMLQLVPWKPYFEPEFSKLSMAALWVQLHNLPVKFWEREALETISSLFG >DRNTG_00178.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:64467:67901:1 gene:DRNTG_00178 transcript:DRNTG_00178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQIFGRLPRKPSKSAESREDSAATATLSSNSSVNSRSGESSISRPLSSHNFVTPGSYSEYCYGGKTYPTIDSKLNGNLLSPTYEALPSFKDVPISEKQNLFIKKLNFCCKVFDFTDQTKSLKEKEAKRQALLELADYVTSVNGKFTEYVMQEIIKMVSANLFRPFLPAPREGKIIEALDLEEEEPLMDPAWPHLQIVYELFLRFVGSAETDAKLAKRYIDHSFVLRLLDLFESDDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEVLGSIINGFALPLKEEHKLFLVRALIPLHKPRCISAYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVLFLGELEEVLEATQPSEFQRCMVPLFHRIAHCLSSSHFQVAERALFFWNNDHIDNMIKQNHKVILPIIFPALERNTRSHWSQAVQSLTLNIRKLFSDHDPELFEQCLQKFEEDEAKQNNIKAKREALWNQLEDIAASKALTATHQTSSLEN >DRNTG_27567.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3172892:3173972:1 gene:DRNTG_27567 transcript:DRNTG_27567.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQSFSLNASIHHRNPSIHRNASIDRTILSESSANEGLRKTPSPANNQNLDVSTTTIVRPGPVIDFLKLIGQKQNGY >DRNTG_29616.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19968719:19976805:-1 gene:DRNTG_29616 transcript:DRNTG_29616.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIRKQVRLMLKSFTGSFFWAAYSWLFTGGPNCGWSSFPLFGTSAYLKRFTLQFYFDFSLSNIAVGMICSHLVNFSLLLGAIISWGFLWPYIKTKEGLWYPAKLDASSFQGAFGYKIFISIAVILGDGFYHLVEVLLRSLHNLRAKRKQRGFMSTLETSTSDLNAVTNEERLRTNHFLQEQIPTTFAVGGYLLCFTISIFGLPNIFPQLMPHHILAAYLMAPLLGFCNAYGCGVTNWSLAPTYGKFAIMIFSAWVGAKAGGVIVGLVACGIVMTISNSASDLIQDLKTGYLTLSSPRSMFISQFIGTIMGCIMAPVIFWFINKSMHLGKEGSLYSAPYAKVYRAMALLSTDGLSKLPKNCISLCVVFFFGAVLINAMREGAKRKKWWFYKYIPSPIGMAIPFYLGGFFTVSMCIGSIIRYRWEKMNPQGAAMFVPVMASGMMCDIDLAKPLKQGFWLEDDGKKVFIIVQYEKLPTFCYNYGLVGHGATACTHRRSVNCGDTPSGARSVIGNVSELVAVVMPKECSHEGNIYGAEELHDGHVEARVTLNPQRGIRVPRERGGFSHAPSVRYESLCNLKPLREPSQDPDSMVRDEGMEEKTSLDPSSSNGLNVCVETTQEIGEPLGFYASGPVLRSSLSPGLCAGEVDGEHRRMVDRVTRALDPEQVENDSNQKMEEFESSNDDSKMEEEPTDSLTLGRYQDNVRKEALARKGLASALESHKKGRLELDSDILIMRIGMVGQCFGNLSPIAGTYGLYYAAFGSTEPEIKALSVVLHCDRMDNFNISRIYISSMELSKMIDDGESSTSWISIGAVA >DRNTG_29079.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6784038:6786145:1 gene:DRNTG_29079 transcript:DRNTG_29079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGKLASEQTSEGLQVGLRVQVHQKAKQRVLAGKLAPASLPPRMAEHPG >DRNTG_08369.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4263236:4272196:1 gene:DRNTG_08369 transcript:DRNTG_08369.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMLDYQSIAIMLLFAIITLLLVMVFNSGLRSRSKLPPGPWRIPVIGNLHHLGELPHRSLRCLAEKYGPLMHLQLGQIPTIVVSSPEVASEIMKTHDLEFCNRPSTPVFKKFSYNGSDIAISKLQSFQQMGGSSIGNFFPSLGWLTSFQGKLERNFKKMDEFFEREIEEHCLRLMNDQGHGDQEECHAPNPARQIQCANNQRTPTRPRPKKLFVSPGSRPCRCIAVWRRTGSNEPGLSLDKEEDFLDVLLKSQKDSANLGFSLTRDHIKAILMLIYLVLALRNIFLDGTDTSAATLERAMTELMRCPSTMKKVQDKVLGIIGNKGNVEENDLQQLQYLKLVICETLRLHCIVPFLLPRESSKDCKVFGYDISKNTRFLVNAWAIARDPKLWENPEVFMPGRFEGSTINYKGQHFEFIPFGAIRRMCPGMQLGIVTVEIALANILYHFNWGLPFRMCYEDIDMTEIFGVVLHKKSPVCLEAKPVSFLV >DRNTG_08326.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19823215:19823622:-1 gene:DRNTG_08326 transcript:DRNTG_08326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKFALSKTTSPLIQTALRRSNLYLSSKGNRYTPRRYRHHRTPHCSGT >DRNTG_21458.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26785660:26790637:-1 gene:DRNTG_21458 transcript:DRNTG_21458.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVLGTLVTVLAWPITLLAATDFIDSKWSIALNRSEKEGKLLAEVLTKGLLGYRFIILIILVQEK >DRNTG_21458.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26785660:26790637:-1 gene:DRNTG_21458 transcript:DRNTG_21458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVLGTLVTVLAWPITLLAATDFIDSKWSIALNRSEKEGKLLAEVLTKGLLGYRFIILIILVQEK >DRNTG_21458.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26785660:26790637:-1 gene:DRNTG_21458 transcript:DRNTG_21458.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVLGTLVTVLAWPITLLAATDFIDSKWSIALNRSEKEGKLLAEVLTKGLLGYRFIILIILVQEK >DRNTG_12312.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29602337:29607744:-1 gene:DRNTG_12312 transcript:DRNTG_12312.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTLALRSAALRPLPAASAAALSVCSLLHRNPTCSSASGAGLWRPTLGSGRRNGFSISSGRSYPIVEGGEDEEDETLSFLSLSEKPDRSLAVLDDYELEELDSDPPNHKSGYVAVLGKPNVGKSTLSNQMIGQKLSIVTDKPQTTRHRILGICSEAEYQMILYDTPGVIEKQMHKLDSMMMRNVRSAAINADCALVVVDASKMPQKIDEILEEGVSELKDKLPILLVLNKKDLIKPGEIAKKLEWYQKFTHVDDAIPVSAKYGAGVDDVKDWILSKLPLGPSYYPKDIASEHPERFFVAEIVREKIFMQYRKEVPYACQVNVVSYISRPTSKEYIRIEIVVEKESQKIILIGKEGKALKVLATAARLDIEDFLQKKVYLEVEVKVKGNWRQDEDLLRNYGYDGQIKIF >DRNTG_12312.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29602337:29607744:-1 gene:DRNTG_12312 transcript:DRNTG_12312.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILYDTPGVIEKQMHKLDSMMMRNVRSAAINADCALVVVDASKMPQKIDEILEEGVSELKDKLPILLVLNKKDLIKPGEIAKKLEWYQKFTHVDDAIPVSAKYGAGVDDVKDWILSKLPLGPSYYPKDIASEHPERFFVAEIVREKIFMQYRKEVPYACQVNVVSYISRPTSKEYIRIEIVVEKESQKIILIGKEGKALKVLATAARLDIEDFLQKKVYLEVEVKVKGNWRQDEDLLRNYGYDGQIKIF >DRNTG_27783.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001409.1:14052:23058:-1 gene:DRNTG_27783 transcript:DRNTG_27783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWRNFRGQNQTVPAPVTSPHARVDHHALGESSGPSQALALSKLLKEARQLGCASFDGTRNAILAKEWIKRVIATFDDLSLGGEMRLRVATRLLDGRARIWWESLKSRLFGQVTWSDFLREFDEEYYTRFHRDQKRHEFMRLVQRNKRVTEYETELKDLAGFVPELAPTEEVLCSKFEVGLNLGIRERMTVTGKQNFKEVVQSALRVEQLVREGKKVRDNIAKRRSMEMGQPSKKSRSEGSSRGTTALGPC >DRNTG_28560.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001441.1:15700:16428:1 gene:DRNTG_28560 transcript:DRNTG_28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRNSMDHLRTSESKCKGDDLYIGASN >DRNTG_13005.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26658853:26660500:1 gene:DRNTG_13005 transcript:DRNTG_13005.8 gene_biotype:protein_coding transcript_biotype:protein_coding MELAEKEFARARMVWERAREEVEKAERMKELATKKVGATCMEITCQACRQRFRP >DRNTG_13005.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26658853:26663019:1 gene:DRNTG_13005 transcript:DRNTG_13005.5 gene_biotype:protein_coding transcript_biotype:protein_coding MELAEKEFARARMVWERAREEVEKAERMKELATKKVGATCMEITCQACRQRFRP >DRNTG_13005.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26658853:26661178:1 gene:DRNTG_13005 transcript:DRNTG_13005.6 gene_biotype:protein_coding transcript_biotype:protein_coding MELAEKEFARARMVWERAREEVEKAERMKELATKKVGATCMEITCQACRQRFRP >DRNTG_13005.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26655790:26660500:1 gene:DRNTG_13005 transcript:DRNTG_13005.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELAEKEFARARMVWERAREEVEKAERMKELATKKVGATCMEITCQACRQRFRP >DRNTG_13005.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26655790:26660500:1 gene:DRNTG_13005 transcript:DRNTG_13005.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELAEKEFARARMVWERAREEVEKAERMKELATKKVGATCMEITCQACRQRFRP >DRNTG_13005.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26655790:26663019:1 gene:DRNTG_13005 transcript:DRNTG_13005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAEKEFARARMVWERAREEVEKAERMKELATKKVGATCMEITCQACRQRFRP >DRNTG_13005.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26658853:26660867:1 gene:DRNTG_13005 transcript:DRNTG_13005.7 gene_biotype:protein_coding transcript_biotype:protein_coding MELAEKEFARARMVWERAREEVEKAERMKELATKKVGATCMEITCQACRQRFRP >DRNTG_13005.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26655790:26660500:1 gene:DRNTG_13005 transcript:DRNTG_13005.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELAEKEFARARMVWERAREEVEKAERMKELATKKVGATCMEITCQACRQRFRP >DRNTG_08983.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10431918:10433507:-1 gene:DRNTG_08983 transcript:DRNTG_08983.1 gene_biotype:protein_coding transcript_biotype:protein_coding RALTSHVVWKKVIGRAFIANDPARCILSWTKDSLLRVDDSPQDHRHDYRGIAEALAEIADIRAAQATQYTEFMARFDTL >DRNTG_25367.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24199227:24201572:-1 gene:DRNTG_25367 transcript:DRNTG_25367.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGERGGFGRGFGRGRGDRGRGDRRGGRRGGGRREEEEKWVPVTKLGRLVKEGKIKSLEQIYLHSLPVKEHQIIETLIGGPGSGLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDSNGHVGLGVKCAKEVATAIRGAIILAKLSVVPVRRGYWGNKIGLPHTVPCKVTGKCGSVTVRLVPAPRGSGIVAARVPKKLLQFAGIEDVFTSSVALPRPLATLLRLHLTV >DRNTG_25367.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24198855:24201572:-1 gene:DRNTG_25367 transcript:DRNTG_25367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGERGGFGRGFGRGRGDRGRGDRRGGRRGGGRREEEEKWVPVTKLGRLVKEGKIKSLEQIYLHSLPVKEHQIIETLIGGPGSGLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDSNGHVGLGVKCAKEVATAIRGAIILAKLSVVPVRRGYWGNKIGLPHTVPCKVTGKCGSVTVRLVPAPRGSGIVAARVPKKLLQFAGIEDVFTSSVALPRPLATLLRLHLTV >DRNTG_30730.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001620.1:17:382:1 gene:DRNTG_30730 transcript:DRNTG_30730.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGSEKSHSTNPAQALPQHRRNTRIHHHSSRAVLRRLNIGGADEPRNRGAPITSSGARGIGVEDEQWRDEAEV >DRNTG_00110.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000029.1:22013:24888:1 gene:DRNTG_00110 transcript:DRNTG_00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRKDEREPFSDNSRAPQGRTHVPLLSWENTPLTLTALPRAGENYPRPCARPIGVDAHPCGFPGEFRTGVRKLPTPVCGSQGQPQGRVHAPVCSRENLPNSAGRHKPVRKLPMAMRQSHGRPQGQPHPPVPSSNRSSNFLGSCTSPTVLGHFRWRLLALFIFRNTFFKIPGVNVSSPFEPSI >DRNTG_22727.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31565630:31566737:-1 gene:DRNTG_22727 transcript:DRNTG_22727.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKKPPVLLHRNPAQELAMKHVDELALHHLDEFIETSTPRSNSKPMHDDLNEEKARCLAPHPTPTHDLNSETKRDNTIKKSASQKTLTEAVSKILAPACNMVSDATQTIVSKLQHPSVDEEIGSKMKYDKGVSVKEFVLQKLEPGEDDKALSKVITESMSPRKSNQAGEKGVMEKFREAVSSLLGKQEDRKTPIP >DRNTG_29118.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29763503:29764394:1 gene:DRNTG_29118 transcript:DRNTG_29118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAALIATITFQAGLNPPGGFTQDNDNTTATNNNNNSIDRSPPPGVAVLGSKLSIFLLCNLIGLFASFSIILILICTRPSKKKMMMKVLIVFMWVAVFSTALAFSAGIFQIFPDSNPKKLRFFAMSWFLILAIFMSWVFLLVVVYLFRMVGWCRKKEGDKVSNIVRNGGYLLWCTRIGVVMIILLLLAFLVLSYLICLVISFLF >DRNTG_19921.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12625073:12625444:-1 gene:DRNTG_19921 transcript:DRNTG_19921.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTRKDNNSSNQYKCKYNGYSIATFSITTGCIIHKRQLL >DRNTG_00607.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22385079:22387998:1 gene:DRNTG_00607 transcript:DRNTG_00607.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPEHQVKFFLDLSSLFSVQGGGIVAIACHSIAE >DRNTG_00607.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22383550:22387998:1 gene:DRNTG_00607 transcript:DRNTG_00607.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGDDGDHHSDPGSSQAPKSVYKDPDDGRQRFLLELEFVQCLANPMYIHYLAQNCYFEDETFMVYLKYLQY >DRNTG_00607.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22383550:22387998:1 gene:DRNTG_00607 transcript:DRNTG_00607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGDDGDHHSDPGSSQAPKSVYKDPDDGRQRFLLELEFVQCLANPMYIH >DRNTG_00607.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22383550:22387998:1 gene:DRNTG_00607 transcript:DRNTG_00607.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGDDGDHHSDPGSSQAPKSVYKDPDDGRQRFLLELEFVQCLANPMYIHCVLIVFSF >DRNTG_00607.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22383550:22387998:1 gene:DRNTG_00607 transcript:DRNTG_00607.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGDDGDHHSDPGSSQAPKSVYKDPDDGRQRFLLELEFVQCLANPMYIHCRFSTKLLFRR >DRNTG_00607.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22383550:22387998:1 gene:DRNTG_00607 transcript:DRNTG_00607.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVIITPTLVPRKRGIPLRISRVLIFFIGFSVMIDLHRVRFCSPKSVYKDPDDGRQRFLLELEFVQCLANPMYIH >DRNTG_00607.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22383550:22387998:1 gene:DRNTG_00607 transcript:DRNTG_00607.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGDDGDHHSDPGSSQAPKSVYKDPDDGRQRFLLELEFVQCLANPMYIHYLAQNCYFEDETFMVYLKYLQY >DRNTG_00607.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22383550:22387998:1 gene:DRNTG_00607 transcript:DRNTG_00607.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGDDGDHHSDPGSSQAPKSVYKDPDDGRQRFLLELEFVQCLANPMYIHCVLIVFSF >DRNTG_11724.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3832566:3846101:-1 gene:DRNTG_11724 transcript:DRNTG_11724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSWELRLCHGHGQGASALARVSFTTRRNTTPMELASSNATLGVRGGFEGYWPRFWRGSMAPTSLFLRKKVGRGASVEAYPIPDEGILGRQ >DRNTG_09074.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1227726:1230349:1 gene:DRNTG_09074 transcript:DRNTG_09074.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLCCFRVQEAPEQRESPVRRRICPPLNSFVQPFRNGYISLFQSGDVHVVPLPAGRASPSAFTSRDADYSAIDSFHPPPRPLAFDDPRFSAHHDDVSLSQRDKFSSQSHEDTQSLRGCCNEPCSETGREVKTDGSKCGVKLYCSDLPQKRSPEKKISGSAYYFCPTEDEDVCPTCLEEYTPENPKITLQCSHDYHLSCIYEWMERSEACPVCGKIMMFVET >DRNTG_12012.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13708800:13721477:-1 gene:DRNTG_12012 transcript:DRNTG_12012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVFYPIDYLYLEEFPAKTQVGGCSQGKHTSLAPSTIAPNLDKVHERSCSLPLENSS >DRNTG_33019.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4826809:4827566:-1 gene:DRNTG_33019 transcript:DRNTG_33019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLYETSYLAIEREDTLEKARVFTIKHLKAIIE >DRNTG_20261.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001107.1:2458:19319:-1 gene:DRNTG_20261 transcript:DRNTG_20261.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYDFYNYHIEGQNFSSFFAMFTVNLALFGALLFFIGIE >DRNTG_08027.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30178093:30182512:1 gene:DRNTG_08027 transcript:DRNTG_08027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIMLNIWHISYLMGNLMEAARRWNDSNLNLFKPRRKKPSFGPTLFRSCSWQIMEQNNIAEPLAPVELNQDCLLEILSWLPAKSICKLRCLNKSTSDHYFDHLLQKLQTLRARTDSGIFVHTGSSFSSFKEFFLSSSSGVPPESIEFMLKKSRKIVASSNGLIVFQTNCSSTSSMGDLCVFNPVLRTLAPISSPPDVSLVDEPRISVTCTSTNDGLEYNIILVTMKPGEHPYEWRTILECRCYSSKNMSWEYLKAIDDLGRRGINFENPVFVSGSIFWASDTGSYMRNTDPYILVFDVEKRTSEFMSLPEEAQKISIDNYKIQVAPWTDKSLCLIQYIKSRAVVIWVMESPVSRGSWMKVHEVDLVSLGLGDVHQTLDSFTIINSKLLVFTIEDCLYTYSLKDKQMSKLGEHNQGLYPQLRPYANTFHPCGKFENK >DRNTG_29896.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001514.1:1:3042:1 gene:DRNTG_29896 transcript:DRNTG_29896.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PEROXIN-4 [Source:Projected from Arabidopsis thaliana (AT5G25760) UniProtKB/Swiss-Prot;Acc:Q8LGF7] MQASRARLFKEYKEVQREKSADPDIQLVCDESNIFKWTALIKGPSETPYEGGVFQLAFAIPEQYPLLPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDLRGYRSMARMYTRLAATPKKR >DRNTG_34733.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2214096:2217339:1 gene:DRNTG_34733 transcript:DRNTG_34733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQKMRKKKKKAGDEIVRRMDSAHGDMVYSSEKVVEEDSGKSEKKKKSKKKNQKESGGLEDNGVTNKETVDMRESENGLDLDGSEVKSGENRKEKKSKKLVPITNNDFGEKLDYEGRINENEKELDSEKLSTSSSSKETMKEVKEKKKRKNKSSKLVSSALLDTAAKATDSLGSEECEDLSGNKSERKRRRDADTVEAEKHGNSKRKKVKQASRIVAPSVTEEDVGGTPSMANENGERSNDMKVKNSGTEHFDKSKSKKGAKNKAARKDSKSKKRGTDDLKTSASKQKKKVSFSGDVEVFPVNNATNCEEEDEENLIRGQRYTREEDELLKKAVLDYVEENGLGEDGVKKVMNCRNYPEVKNCWKIITTALPRRPYFSVYTRAHVIFERSERHNWLPEEVEYVKKFHEEHGPDWATLAKILGKHRIHVKDTWRRVKTTRCK >DRNTG_23222.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6769590:6772589:-1 gene:DRNTG_23222 transcript:DRNTG_23222.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVPFTYTEFIDDLTVQVKNGIIPMSRIDDAVRRILRVKFTMGLFEKPYADLSLADELGNKEHRELAREAVRKSLVLLKNGKPGNEPMLPLPKKAKKILVAGIHANNLGYQCGGWTIQWQGLSGNNLTAGTPILDAVKSTVDQKTEVIYSENPDEDFIRKTGASFAIVAVGEPPYAEGFGDSLNLTILSPGPKIIKNVCGIVECVVIVISGRPVVIEPYVDMIDALVAAWLPGTEGQGVADVLFGDYGFSGKLSRTWFKTVDQLPMNVGDPHYDPLYPLGFGLTTQPVLKQN >DRNTG_23222.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6769590:6770768:-1 gene:DRNTG_23222 transcript:DRNTG_23222.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLPKKAKKILVAGIHANNLGYQCGGWTIQWQGLSGNNLTAGTPILDAVKSTVDQKTEVIYSENPDEDFIRKTGASFAIVAVGEPPYAEGFGDSLNLTILSPGPKIIKNVCGIVECVVIVISGRPVVIEPYVDMIDALVAAWLPGTEGQGVADVLFGDYGFSGKLSRTWFKTVDQLPMNVGDPHYDPLYPLGFGLTTQPVLKQN >DRNTG_23222.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6771789:6773377:-1 gene:DRNTG_23222 transcript:DRNTG_23222.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMMEGFGLRRRSVFYAFIFFCCWGVISGAAQYVKYKDPKKPLNVRINDLLGRMTLAEKIGQMTQIERKNATAQVINKYFIGSVLSGGGSVPFPQASVETWVNMVNEMQKGAMSTRLGIPVIYGIDAVHGHNNVYKATIFPHNIGLGATRDPALVKKIGAATALEVRATGIPYVFAPCVAVCRDPRWG >DRNTG_23222.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6769590:6773377:-1 gene:DRNTG_23222 transcript:DRNTG_23222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVPFTYTEFIDDLTVQVKNGIIPMSRIDDAVRRILRVKFTMGLFEKPYADLSLADELGNKEHRELAREAVRKSLVLLKNGKPGNEPMLPLPKKAKKILVAGIHANNLGYQCGGWTIQWQGLSGNNLTAGTPILDAVKSTVDQKTEVIYSENPDEDFIRKTGASFAIVAVGEPPYAEGFGDSLNLTILSPGPKIIKNVCGIVECVVIVISGRPVVIEPYVDMIDALVAAWLPGTEGQGVADVLFGDYGFSGKLSRTWFKTVDQLPMNVGDPHYDPLYPLGFGLTTQPVLKQN >DRNTG_14507.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000700.1:1:6997:1 gene:DRNTG_14507 transcript:DRNTG_14507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKTEKKGEKKRLRKLEGYEQSSGVAVHSLMPSSSMVTSQNTQDFSSLIAKTTRALYEENMISAYDTKIFSLIEKSLKVESVVDFIWSPTDSIISLFVLELWIPLVPKAPSLLIHEKEADILKNLDQYIKRYEAEEQEEEEKDTAEVVCVDGEVEAFSKVDVYQDGKIDKFEWHEFESIIDEDNDSFVSPIRSIIPHQTYRPTHVIDLN >DRNTG_34795.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1372050:1372444:-1 gene:DRNTG_34795 transcript:DRNTG_34795.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSAETPQDLEQLYSEIDLLATLKQENIIKFHTSWIDDQKKTFNIITELFTSGYLRQ >DRNTG_34795.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1371853:1373130:-1 gene:DRNTG_34795 transcript:DRNTG_34795.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALPNRSPLILTSERRIQPADIFAIRRSWEKEVFWTVYKGFDKVDGIEVAWNQVMVSSAETPQDLEQLYSEIDLLATLKQENIIKFHTSWIDDQKKTFNIITELFTSGYLRQYWKKHKTVDMKAFKRWGRQILMGLEHLCSHQPPNAH >DRNTG_34795.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1371853:1372444:-1 gene:DRNTG_34795 transcript:DRNTG_34795.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSAETPQDLEQLYSEIDLLATLKQENIIKFHTSWIDDQKKTFNIITELFTSGYLRQYWKKHKTVDMKAFKRWGRQILMGLEHLCSHQPPNAH >DRNTG_13061.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:898345:901475:-1 gene:DRNTG_13061 transcript:DRNTG_13061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEALAGTVGGFDRHLFLCYKSPDVWPSHLESAESDRLPRHLAAALKARKDDIKKRTRLTICGGEDGTESSNGDVLIFPDMIRYRGLTHFDVDNFVKEVLVKDSEWLPGAAEKLTGSYVFVCAHGSRDKRCGVCGPALIEKFTEEIASSGLQGQVSVSPCSHVGGHKYAGNVIIFSPNADGAVSGHWYGYVTPNDVSVLLEEHIGKGKIVDHLWRGQMGLSEEEQKSAKSLRQQMSSGLGEKGAGECFQPTGNSIENGTLNQAVGCCQGTRNGSCCQVESREAKSENNHTGEQAKKIPQDKNNKGSSSRKICSVSTWFENWEREDTYATLAVVAAVASIAVAYTCFKQMR >DRNTG_17801.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000913.1:17879:18846:-1 gene:DRNTG_17801 transcript:DRNTG_17801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTFRNGDRGNQPDRLMPKHDDATGKTVGKDSRAIPKLGNFLTEAINAVRVGSGFNETDHQGFVGKTISKKPVLPKSIVNTFQGISQRTEEIKEGSTTVSPQYLPYDLSCDSNLLSFAGHNGRSFLQRLGDDQGVIHQPLSRCRGEAVDKQGRQKCWLVRLKDALYDVKTFLTRPGRVN >DRNTG_14500.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6223440:6229623:1 gene:DRNTG_14500 transcript:DRNTG_14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKEKEGFLTDEQRAVLRVAAQNAEVLSSSPRSPTSLLAEHPMKVATGNNKVPTVGFAVRHMRRSHSGKLVRVKKDGGGGKGTWGKLLDTDSDSRLDRNDPNYDSGEEPYHLVGATVTTPLDDYKKSVITIIEEYFSTGDVEQAASDLRELGSEEYHHYFVKKLVSTAMDRHDKEKEMASVLLSALYADVISSAQISQGFSMLLESADDLAVDILDAVDILALFIARAVVDDILPPAFLSKAKKTLSEASKGLEVIQIAEKSYLSAPHHAELVERRWGGSTHITVEEVKKKISDLLKEYLESGDTFEACRCIRELGVSFFHHEVVKRALILAMENQASESLISKLLKEAADEGLISSSQMMKGFSRLAESLDDLTLDIPSAKSAFQLLVPKAIDEGWLDSSFLKLSGADGDRNDEDADKVRKFKEEAGTIILEYFLSDDIPELVRSLEDLAAPEYNPIFLKKLITLAMDRKNREKEMASVLLSALRMEIFSTDDIVNGFIMLLESAEDTALDILDASNELALFLARAVIDDVLVPLNLEEISSKLPQNCSGSETVHMARTLISARHAGERILRCWGGGTGWAVEDAKDKITKLLEEYESGGDVGEACQCIRDLGMPFFNHEVVKKALVMAMEKKNDRILHFLQECFSEGLITINQMTKGFSRVRDGLDDLALDIPNAEEKFGYYVEHAKKLGWLLPSFVTAPPSSNAVAP >DRNTG_24838.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29331249:29333208:-1 gene:DRNTG_24838 transcript:DRNTG_24838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKTSKYIARESPLEDNASLYCNNKENPFAEAFPDPLCKLNLKETSEFVKAFPMNNNKNSKDILAQRKKVEAPSTPGRPLFRFSPGNPPRKSIPSKWDDAEKWLNSTSCHESPAHVEKMNSVPPEVFLKDKFTDNVRHLEPMKEGFVFRNSSYCESMKDAATEVAAEVQHRDIGTEMTPIGSSIASRCNTPIKSPSPARHNTPANRSGPLVASNTAAIDISELQDCHFAKLELSAQYDSLVSNWSSREEEEEEISKSLRHFEISAGRKSITECRASAWEEEEKAKSCSRYQREEARIQAWVNLQNAKAEAQSRKLEVKIQKMRSNLEEKLMKRMAIVQRKAEEWRAAAQVQHSQQLLKTAGQAQRMKIQQSSSHFADHTSCGCFPCNNNL >DRNTG_03771.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18158775:18159899:1 gene:DRNTG_03771 transcript:DRNTG_03771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYCPSRKSYHLESVKATLAAALERATLEDMSLFLLRAFGWEFHGLHALAWMPVRVRTSRLKRVETVSHEIVECPLSLPIECILSQFLKLYATIFGVKREIERFLRRDLVGG >DRNTG_00089.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21188004:21191907:-1 gene:DRNTG_00089 transcript:DRNTG_00089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSARNRSAVVVLGLLLAGCLFAFSIAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNPERTVFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMILTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGIISGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDQRIMEYFIKLIKKKHGKDISKDNRALGKLRRESERAKRALSNQHQVRVEIESLYDGMDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLEKHQIDEIVLVGGSTRIPKVQQLLKDYFEGKEPNKGVNPDEAVAYGAAVQGSILSGEGGEETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSLTKDCRMLGKFDLSGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNDKGRLSQEEIDRMVREAEEFAEEDKKVKEKIDARNQLETYVYNMKNTINDKDKLADKLESEEKDKVDAALKEALEWLDDNQNAEKEDYDEKLKEVEAVCNPIISAVYQRSGGAPGGASEEEEDDSHDEL >DRNTG_28756.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7109845:7112068:1 gene:DRNTG_28756 transcript:DRNTG_28756.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAYKMATTGMSVKEECRSSFLDMKRRRVHRYIVFKIDESSRTIMVDKVGGPGEGYNDLAASLPADDCRYAVFDFDFVTVDNCQKSKIFFIAWSPTASRIRSKMLYATSKQGLRRVLDGVHFEVQATDSAEMGFDVIQDRAK >DRNTG_11411.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:854929:855575:-1 gene:DRNTG_11411 transcript:DRNTG_11411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADFGETGMEELVERSAEISRATETSVRGPTIGRRRGDFCGDSKRRGLRAAAGEAEEEQKPEMEAIGEVDDGGGEGEGERKRPNSKHLGFGGKRSERNKNPVELENKKVW >DRNTG_26580.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20158685:20161542:-1 gene:DRNTG_26580 transcript:DRNTG_26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGADLSPTFTMLDGAYRSKENVSSIESEDPGTLEGLKEMKVGKPPRLLPVIRHCVSTTWLSPDLEFGIRNPSGLTSPSDDQTKFLPVFRSGSYSEIGPKQCMEDEHIRIDNLIDHVGAAVNFPSPGAFYGVFDGHGGIDAASFVRKNILKFIIEDTHFPASVEKAIRSAFVKIDHAFADDCHLDRSSGTTALTALIFGRTMLIANAGDCRAVLGKRGRAVELSRDHKPNCYTERLRIEKLGGTIFDGYLNGQLSVARAIGDWHMKGSKGSNCPLSAEPELQDLTLTEEDEFLIMGCDGLWDVMSSQCAVTLVRKELMLHNDPERCSKELVQEALNRNSCDNLTVVVICFSTDPPPRIDIPKTRVRKSISLEGLHLLKGALDSDI >DRNTG_26580.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20158685:20159413:-1 gene:DRNTG_26580 transcript:DRNTG_26580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIANAGDCRAVLGKRGRAVELSRDHKPNCYTERLRIEKLGGTIFDGYLNGQLSVARAIGDWHMKGSKGSNCPLSAEPELQDLTLTEEDEFLIMGCDGLWDVMSSQCAVTLVRKELMLHNDPERCSKELVQEALNRNSCDNLTVVVICFSTDPPPRIDIPKTRVRKSISLEGLHLLKGALDSDI >DRNTG_01389.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28263254:28271667:-1 gene:DRNTG_01389 transcript:DRNTG_01389.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRQVMCAGEEANGHGFETLKNFSFRIHNVSYITISPSPALGKDALLLLPLSFFDAIWISVPPIQRLLLFPGATPDLHSLKSSLSTSLRCFYSLAGKLTYLPATGDIAVACSPDDHIVKYWLDILFRASSHATHITFIEANSDGDFIRLASDEIHDVDSFLWLVPELDTRVLPAPVMAVQVTKFESGGFAVGVAVHHAVVDGRGLWQFIKAWATACREAEESISEVSTMVHDRTVIRHHPRGDEIARQFLKMMAPDLPIGSPWTIGLASTLYPPLKDNYLGNCVKLCFAKAKVMELVENAGLSKACSRIKEQIDESFKDVLGWCEDWVGEIKRNGKSANVLFSGSSSFRAYEIDFCVGCPSRTVLDGDSFYEP >DRNTG_33008.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26198202:26201520:1 gene:DRNTG_33008 transcript:DRNTG_33008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIMRAVWYDSYGGGAAGLKHVEVPIPSPKKDQILLKVEAAALNPIDLKIQKGIARPILPPKFPFIPVTDVAGEVVEVGPGANRFKPGDKVVSMVSYMKGGGLAEYAVAGEALTVTRPPEVSPAEGAGLPIAALTALQALKTTGINFDGTSKSSNVLITAASGGVGHYAVQLAKLANSHVTATCGARNVELVKSLGADEVLDYKTPEGASLKSPSGKKYDSVVHCTIGISWSIFEPNLNANAKVVDITPTPWTIISSTLKKLTFPKKQLVPMFVSPKKEDMEFLVELVKEGKLKTLVDSKHSFSKAEEAWAKLMDGHATGKVIIEM >DRNTG_01051.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17645356:17645881:-1 gene:DRNTG_01051 transcript:DRNTG_01051.1 gene_biotype:protein_coding transcript_biotype:protein_coding QIYIYIYKHPLKLFTKPAKYFSFLFSHQPNTHLPQNKKNNNNKKKVDLDSWKGVSDADQEKQDEKRWRSDTYHHASSHSPSTAALSPSLHRPDPP >DRNTG_03761.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16258481:16259087:1 gene:DRNTG_03761 transcript:DRNTG_03761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCAGEELSITVTGHNLGAALALLVADELSSAVSVLLWRASGWNKAFADRGEEKGVKVL >DRNTG_30527.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21398765:21413500:1 gene:DRNTG_30527 transcript:DRNTG_30527.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQSQNFQLVGEDNRFPSSLQSFSLPKLDLDDHFDVRLKFDPLVESECFLGIQGQDNNWIENFSSGSSALDFTSSAAESCSISRHNNVWSEATSSESVEMLLKSVGGDELINDGSIIEEVGAHDQLTGVEGHIQTCYKMDDIIKIDPTQPPDICSKSLSGVNECQGRDQSQVDALAQASEGEKSGMDMDVGSFGERSGSDQESGAEQCTIDRKGIASLADAPPKCAAVCEDLLEKTVDEDPVGVTKIDREASAPVACSVQADDSVVSAGNLMLSENVMEKNSDIPMTLNDSFKHESDHDNVTDEPSVSCGADNTLTSVKNSSCLEANVDPPVLLMERCNESIFSGNPDELLEAIAYPVNTCNKDGEIGDFKMARTEQMPYLKLEGGRNQEKSSVEFNKEENADQSCGTEVEDVSQNLQESCSKNNSCSENVLCTEIVKLTDGHGEVKLDRSTEGMKENDGSEIGEIKDISSQLGNNSNREAAELDSSNEERHGAVGPEVKNGDTLPTRVFDTSLLKIASSDEDKSVADGAFEKEVTADLPQTDFLDKSFSATLANDTDVDLLSSTSHKSLGMSSDHKSKAESCDIQETLHDSLPEWAQPSIHSSTISSNSDASIVLDKINPISAAVQDTVMLNVVEDSSTNNMVHNMPEKRETESTLQPPLSVNVMHFTETSCSLLKNAEAQTFDKQVSDPIAMDGLSGEEIIHVDSVASLPAADVYSAFPTNETNNQQSHSDEAQLIDADLEPDQRKSSIPPSDSIQEDKKDDTCMMVSKAYSNFQLITSKNSVDDSDRSSPISESAEANQANVTSVNAEQLSPEGRAENLSSHGPDLVSPTVTSCIEISKDRREQQKKNEDLSNRAGSVGEDRCCISADTARSACGAEPVDNNSKEGTALEDNRNPSFEVSTAICSDKTHNVSRPPTVKPQKRSQTATKNTQESHFESEVEVTKTIHEDGTVASRSYSGKTAKPDGKITEETPPLSQTTEKDGKQCSTPPSAVLTMGSDNYPEMRQYPASLFHQPFTDLQQVQLRAQIFVYGSLIQGTPPDEACMLSAFGETDVRRGYWEGMLRVAVERFQNQKSPSSGCETPVHSRSGVRVSEQVTRSKSLPNKSHNPPVTKFAPSVSSLSFPTPLWSSSARDSLQASMPRGTHLDFNQAVSPLHSYQSPQMGHYSSNGASWFPPNYPATVVIPSQCSRLDANPQYSAVPVTATVQVTPTRESSVSRASVQPGAASALLPSPGPSVTVATTVPIEVNRKTPTIVNNKNPSPAQRSRKRKKTTVSDKVEPVFPASQTQAEPAAATPIAKTVTFSAVQPSSSSPTKNSSIVPVITSCNISPTHNQIVASGNTEQSAIFPEETSSRIEQTKLQAEEAAALASSAVRHSQGIWSQLALQKNSGLASECEEKLASAAVAAAAAAAVAKAAAAAAKVASDAALQAKMMADEALDLVKTANTSKNSDADYHVGKKMTMLTPVSILKGKDKFHGSSSVISAAREASRRRLEAASAAAKRAENLDAILRAAELAAEAVAQAGVIVAMGDPLPVAFNELAEAGPENYFKVLSSASGRSGKTSQIAGDQLAVDFSGGQDKSAKNASDWLNHNEIQKVPAGDTKSSHDKLPSAAEQNHSGSIMGNASSSDPIIICERDHLASISMENGISKDTLVEVMPNDDRFRGAWFSAHVLELKDGKAHVCYNDLQDEGSGQRKEWIPLEVDGNKAPRIRIAHPMTITKPEGTKKRRRDAVGKYEWSVGDHVEAWRFDGWWEGIVTEKSKEDETNLTVHFPAGGDTLTFKAWNLRPSLIWKDGQWTQWVRFRDVSCQSYEGDTPFEKRPKLSRLEASLNSEVDGRGNEETRPLTLSAKESTFTAGKNVRGEKSSNPRQMTRTGLRKEGAGVVFGIPKPASRLLRSTSKTDPQGKRAFNSRPKWSRPVKSQTIQSRSMAEKENSSVSNASASSGGESGQDLSLHPVASLGNEHDQLIKKNTLEMGSSFRAVGPTSSSSLEFSFQSVPGVATLKNFSGAEDDRGIKGKLMSVAEKSFRSEKGFEHPGKAMSETVEPRRSNRRIQPTSRLLEGLQSSLIISKIPNVSHDKGPRALHRGGSSSRGNTHG >DRNTG_30527.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21398765:21413500:1 gene:DRNTG_30527 transcript:DRNTG_30527.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQSQNFQLVGEDNRFPSSLQSFSLPKLDLDDHFDVRLKFDPLVESECFLGIQGQDNNWIENFSSGSSALDFTSSAAESCSISRHNNVWSEATSSESVEMLLKSVGGDELINDGSIIEEVGAHDQLTGVEGHIQTCYKMDDIIKIDPTQPPDICSKSLSGVNECQGRDQSQVDALAQASEGEKSGMDMDVGSFGERSGSDQESGAEQCTIDRKGIASLADAPPKCAAVCEDLLEKTVDEDPVGVTKIDREASAPVACSVQADDSVVSAGNLMLSENVMEKNSDIPMTLNDSFKHESDHDNVTDEPSVSCGADNTLTSVKNSSCLEANVDPPVLLMERCNESIFSGNPDELLEAIAYPVNTCNKDGEIGDFKMARTEQMPYLKLEGGRNQEKSSVEFNKEENADQSCGTEVEDVSQNLQESCSKNNSCSENVLCTEIVKLTDGHGEVKLDRSTEGMKENDGSEIGEIKDISSQLGNNSNREAAELDSSNEERHGAVGPEVKNGDTLPTRVFDTSLLKIASSDEDKSVADGAFEKEVTADLPQTDFLDKSFSATLANDTDVDLLSSTSHKSLGMSSDHKSKAESCDIQETLHDSLPEWAQPSIHSSTISSNSDASIVLDKINPISAAVQDTVMLNVVEDSSTNNMVHNMPEKRETESTLQPPLSVNVMHFTETSCSLLKNAEAQTFDKQVSDPIAMDGLSGEEIIHVDSVASLPAADVYSAFPTNETNNQQSHSDEAQLIDADLEPDQRKSSIPPSDSIQEDKKDDTCMMVSKAYSNFQLITSKNSVDDSDRSSPISESAEANQANVTSVNAEQLSPEGRAENLSSHGPDLVSPTVTSCIEISKDRREQQKKNEDLSNRAGSVGEDRCCISADTARSACGAEPVDNNSKEGTALEDNRNPSFEVSTAICSDKTHNVSRPPTVKPQKRSQTATKNTQESHFESEVEVTKTIHEDGTVASRSYSGKTAKPDGKITEETPPLSQTTEKDGKQCSTPPSAVLTMGSDNYPEMRQYPASLFHQPFTDLQQVQLRAQIFVYGSLIQGTPPDEACMLSAFGETDVRRGYWEGMLRVAVERFQNQKSPSSGCETPVHSRSGVRVSEQVTRSKSLPNKSHNPPVTKFAPSVSSLSFPTPLWSSSARDSLQASMPRGTHLDFNQAVSPLHSYQSPQMGHYSSNGASWFPPNYPATVVIPSQCSRLDANPQYSAVPVTATVQVTPTRESSVSRASVQPGAASALLPSPGPSVTVATTVPIEVNRKTPTIVNNKNPSPAQRSRKRKKTTVSDKVEPVFPASQTQAEPAAATPIAKTVTFSAVQPSSSSPTKNSSIVPVITSCNISPTHNQIVASGNTEQSAIFPEETSSRIEQTKLQAEEAAALASSAVRHSQGIWSQLALQKNSGLASECEEKLASAAVAAAAAAAVAKAAAAAAKVASDAALQAKMMADEALDLVKTANTSKNSDADYHVGKKMTMLTPVSILKGKDKFHGSSSVISAAREASRRRLEAASAAAKRAENLDAILRAAELAAEAVAQAGVIVAMGDPLPVAFNELAEAGPENYFKVLSSASGRSGKTSQIAGDQLAVDFSGGQDKSAKNASDWLNHNEIQKVPAGDTKSSHDKLPSAAEQNHSGSIMGNASSSDPIIICERDHLASISMENGISKDTLVEVMPNDDRFRGAWFSAHVLELKDGKAHVCYNDLQDEGSGQRKEWIPLEVDGNKAPRIRIAHPMTITKPEGTKKRRRDAVGKYEWSVGDHVEAWRFDGWWEGIVTEKSKEDETNLTVHFPAGGDTLTFKAWNLRPSLIWKDGQWTQWVRFRDVSCQSYEGDTPFEKRPKLSRLEASLNSEVDGRGNEETRPLTLSAKESTFTAGKNVRGEKSSNPRQMTRTGLRKEGAGVVFGIPKPGKKRKFMEVSKHYVADKMDKISEGNDSTKFANFFMPQASRLLRSTSKTDPQGKRAFNSRPKWSRPVKSQTIQSRSMAEKENSSVSNASASSGGESGQDLSLHPVASLGNEHDQLIKKNTLEMGSSFRAVGPTSSSSLEFSFQSVPGVATLKNFSGAEDDRGIKGKLMSVAEKSFRSEKGFEHPGKAMSETVEPRRSNRRIQPTSRLLEGLQSSLIISKIPNVSHDKGPRALHRGGSSSRGNTHG >DRNTG_21284.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27326159:27326755:-1 gene:DRNTG_21284 transcript:DRNTG_21284.1 gene_biotype:protein_coding transcript_biotype:protein_coding ADVIKVPIGWNALVKLVKWMYSAELPRINNGCAWNNMDGEQQLSELQAYVELASLADYWLMNGFQEECLDAIIPLLNVDHQIVLKTIAIAAGLNQRKIVEVAISSIASLFPKLRDTGDLESFDEVIVDIFRDKYLRESHDLGD >DRNTG_09640.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21918278:21921219:1 gene:DRNTG_09640 transcript:DRNTG_09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVYDLKQRGQLTIFDSFDDLATDLAEYIAQLSEISVKERGCFTVALSGGSLISFLGKLYEAPYDKTVDWTKWYVLWADERAVSKSHPDSNYKLTKDNFLSKVPILNNHVYSINDNLTVEDAAMQYEFVIRQLVKARILNVSSINDCPKFDLILLSVGSNGSIASLFPHHPALEQSDDWITYITDASEPPPERITFTLPVINSASNVVVLATGEDKATAMHFAVDDSGEEFDASAVPACLVRPVDGKLVWFMDMLAASKLQLSG >DRNTG_17192.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:400960:406122:1 gene:DRNTG_17192 transcript:DRNTG_17192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAMEAETPATEEIQAPAETKEASEKRKREEENPWRKTSLCSYFRRAAGSCSHGDACRYAHGEEELRPRPDNSWDPTSERAKKLLKTEAAEDEEEEDKDVDFATMEKCLVGLPRKWTSDSLKSFLDGNGIVCVSSKKKKGMTVGFVRFADPEQIRTAVEILKEKTSGGKQIKVADAIRRCQGKKPGKEYPLGQIDEQLCQTQSIIDDSTSSMPSSVVDDDAGENDDGSAHNNSLPAEGLISKARSVRDVVTPLAYMPYNEQLEHKKNSLLQTLKRLTRNARKACPDSISLPEWILKSRDIGGLPCKLEGIIESPLINGYRNKCEFSVGYSSQGKCTVGFMLGNFREGITAVEEPINCPNVSEISCKFALIFQEFLESSVLPVWNRIENHGFWRQFTVREGRSPSQVAVADNLDNQIAEVMLIIQVCSSDVDEKIMQSEFKRMVQVLVRGAATSSPTLPLTTVVVQDHKGISNVAPADCPMFVLPLAEVEEHSCLEKVPATEARINDYINNLQFCLSPTAFFQVNTLAAEKLYSLAGDWADLNSDTLLFDICCGTGTIGLTLAHRVGMVVGIEMNSSAVSDANRNAEINGITNCRFVCGKAEDVIGSLLKEYLDELQPHGTSSDLSTTNGMVGGKGDGNTEENDTTTTVTDITTSDTGPENDCPKDKDSEQIQLLVPEQQFDEKSNVQEISKGNSNDNNVSGFLESSLDSSGFEEKAQEKVDFDKQKVTDSALRPQFKNIVAIVDPPRVGLHPIVMKTIRTHHCLRRLVYISCNPESLVANAIELCTPTADKPEKSKGNKRWRSMSNAGLARQRAKSMPNSEPFQPVRAVAVDLFPHTQHCEMVMLLER >DRNTG_34052.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1911763:1915222:-1 gene:DRNTG_34052 transcript:DRNTG_34052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRRIALRSQSVPSPKRSRASQSDHKLEMVKTYARGVVPLPLEVPRSPSVLAEVQEQADSTL >DRNTG_28243.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001431.1:8633:9576:1 gene:DRNTG_28243 transcript:DRNTG_28243.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLLCGGLRLSLYEPCKSFADSVFGSANIFVKLVSGTISGAIATAVTNPVDVLKVRMQMNMNPQRGPVGELRQIISEEGLKGLWKGVGTSMTRAGALTASQLATYDESKQALLRWTPLEEGFLLHLM >DRNTG_28243.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001431.1:8265:9576:1 gene:DRNTG_28243 transcript:DRNTG_28243.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSRCPFLLTHPLDVLKIRLQMQRAGQRGPLIGMGKLCGKIVKIEGPVALCSGLAPAMVRSLLCGGLRLSLYEPCKSFADSVFGSANIFVKLVSGTISGAIATAVTNPVDVLKVRMQMNMNPQRGPVGELRQIISEEGLKGLWKGVGTSMTRAGALTASQLATYDESKQALLRWTPLEEGFLLHLISSCIAGAVGTLVTGPMDIVKTRLMLQQESKGGIIYRNSFHCAYQVWIQSNNFFAKRIF >DRNTG_28243.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001431.1:8265:9576:1 gene:DRNTG_28243 transcript:DRNTG_28243.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSRCPFLLTHPLDVLKIRLQMQRAGQRGPLIGMGKLCGKIVKIEGPVALCSGLAPAMVRSLLCGGLRLSLYEPCKSFADSVFGSANIFVKLVSGTISGAIATAVTNPVDVLKVRMQMNMNPQRGPVGELRQIISEEGLKGLWKGVGTSMTRAGALTASQLATYDESKQVYLLALLYRVSSF >DRNTG_28243.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001431.1:8633:10741:1 gene:DRNTG_28243 transcript:DRNTG_28243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLLCGGLRLSLYEPCKSFADSVFGSANIFVKLVSGTISGAIATAVTNPVDVLKVRMQMNMNPQRGPVGELRQIISEEGLKGLWKGVGTSMTRAGALTASQLATYDESKQALLRWTPLEEGFLLHLISSCIAGAVGTLVTGPMDIVKTRLMLQQESKGGIIYRNSFHCAYQCYKARKALA >DRNTG_09139.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26863035:26864465:-1 gene:DRNTG_09139 transcript:DRNTG_09139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRSGGAYPKPGSDNDATGNNESLKRRNPFGFGSSRTQDPPRKRFRPGDRTEFFEWLHDDLVLSILSKLAVSASSPSDLVNVLITCKRFNGLGMNPLVLSKASKNSLAIRAKNWSGSAHRFLKLCADAGNLEACYTLGMIRFYCLGSRGSGASLMARAAMSSHPPALYSLAVIQFNGSGGSKSDKDLRAGAALCARAALLSHVDALRELGHCLQDGYGVRRSVPDGRRFLLHANARELAAALSHPLSLPLIGSSSGSACPLLSDFGCAIPPLDPHPANRFLIDWFSSRRLEPDGLRLCSHVGCGRPETRRHEFRRCSVCGLVNYCSRACQALDWKLSHKAQCAPLDRWIN >DRNTG_12772.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000571.1:13974:17029:1 gene:DRNTG_12772 transcript:DRNTG_12772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFRPKDLALVLGPRCDGDAVMFQKKKTRSAFEESMGQYVWAQATHKWLMEDIPQAAARVQARCAGKKTNTWYIKGCTVALNIWFYEMTRTGKKVCFGKIPRMLCYVENNYRKQAMIETSLSSLEGKEACQILMTEFSRLVARVEVLEGRSQSTASSLRTNKSPGMDEASDFDDDDIIAEAIRKRPHSKRLAKKRKTIMPLSPPPTDGVTVNDMAVTVEEIADDVTIAAVDKINDSVMNPVEWAADSTASKMDTIPEEQEPANIGSPIDVVAVATVEKVVDSIVNKIIVTVEPTADITASKADTIPQQQEACKDMSPLDAAVVPASKEDDAGAKLRRPSTTVQHDDPKTAVDEGQGNTAEMTTREKINANKKLEEVRKVFIPKKKKYVGQLRHNKYEQELIRIFLNCPLDKLFDLLEGKEMVADDVMDAFEDAHDTTMAMIGDAARNLHDVEIVILPIIMNDQFHVVVLDNDK >DRNTG_18434.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20075218:20085310:-1 gene:DRNTG_18434 transcript:DRNTG_18434.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear speckle RNA-binding protein A [Source:Projected from Arabidopsis thaliana (AT1G76940) UniProtKB/Swiss-Prot;Acc:A1A6K6] MASDGYWRYSDPRYQPAQPAPSVTLKRPRTDYGEIPGGSAIPGYYPREEEHIGHFSRENEYIEASYDRYLQNGISSYGSGDSVRSVTGGVRGHPVDDPLRPVAGSVRGHLVDDPLRSVAGGVRGHPVDDPRLIGVGGVDGRAVGYRSGRSETSLPPDASNTLFVEGLPSNCTRREVSHVFRPFVGFREVRLVSKESRHPGGDPLILCFVDFSTASQAAVALEALQGYKFDENDRESANLRLQFARFPGPRSAGGPRGRR >DRNTG_18434.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20075218:20085310:-1 gene:DRNTG_18434 transcript:DRNTG_18434.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear speckle RNA-binding protein A [Source:Projected from Arabidopsis thaliana (AT1G76940) UniProtKB/Swiss-Prot;Acc:A1A6K6] MASDGYWRYSDPRYQPAQPAPSVTLKRPRTDYGEIPGGSAIPGYYPREEEHIGHFSRENEYIEASYDRYLQNGISSYGSGDSVRSVTGGVRGHPVDDPLRPVAGSVRGHLVDDPLRSVAGGVRGHPVDDPRLIGVGGVDGRAVGYRSGRSETSLPPDASNTLFVEGLPSNCTRREVSHVFRPFVGFREVRLVSKESRHPGGDPLILCFVDFSTASQAAVALEALQGYKFDENDRESANLRLQFARFPGPRSAGGPRGRR >DRNTG_18434.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20075218:20085310:-1 gene:DRNTG_18434 transcript:DRNTG_18434.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear speckle RNA-binding protein A [Source:Projected from Arabidopsis thaliana (AT1G76940) UniProtKB/Swiss-Prot;Acc:A1A6K6] MASDGYWRYSDPRYQPAQPAPSVTLKRPRTDYGEIPGGSAIPGYYPREEEHIGHFSRENEYIEASYDRYLQNGISSYGSGDSVRSVTGGVRGHPVDDPLRPVAGSVRGHLVDDPLRSVAGGVRGHPVDDPRLIGVGGVDGRAVGYRSGRSETSLPPDASNTLFVEGLPSNCTRREVSHVFRPFVGFREVRLVSKESRHPGGDPLILCFVDFSTASQAAVALEALQGYKFDENDRESANLRLQFARFPGPRSAGGPRGRR >DRNTG_18434.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20077001:20085310:-1 gene:DRNTG_18434 transcript:DRNTG_18434.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear speckle RNA-binding protein A [Source:Projected from Arabidopsis thaliana (AT1G76940) UniProtKB/Swiss-Prot;Acc:A1A6K6] MASDGYWRYSDPRYQPAQPAPSVTLKRPRTDYEIPGGSAIPGYYPREEEHIGHFSRENEYIEASYDRYLQNGISSYGSGDSVRSVTGGVRGHPVDDPLRPVAGSVRGHLVDDPLRSVAGGVRGHPVDDPRLIGVGGVDGRAVGYRSGRSETSLPPDASNTLFVEGLPSNCTRREVSHVFRPFVGFREVRLVSKESRHPGGDPLILCFVDFSTASQAAVALEALQGYKFDENDRESANLRLQFARFPGPRSAGGPRGRR >DRNTG_18434.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20075218:20085310:-1 gene:DRNTG_18434 transcript:DRNTG_18434.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear speckle RNA-binding protein A [Source:Projected from Arabidopsis thaliana (AT1G76940) UniProtKB/Swiss-Prot;Acc:A1A6K6] MASDGYWRYSDPRYQPAQPAPSVTLKRPRTDYGEIPGGSAIPGYYPREEEHIGHFSRENEYIEASYDRYLQNGISSYGSGDSVRSVTGGVRGHPVDDPLRPVAGSVRGHLVDDPLRSVAGGVRGHPVDDPRLIGVGGVDGRAVGYRSGRSETSLPPDASNTLFVEGLPSNCTRREVSHVFRPFVGFREVRLVSKESRHPGGDPLILCFVDFSTASQAAVALEALQGYKFDENDRESANLRLQFARFPGPRSAGGPRGRR >DRNTG_18434.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20075218:20085310:-1 gene:DRNTG_18434 transcript:DRNTG_18434.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear speckle RNA-binding protein A [Source:Projected from Arabidopsis thaliana (AT1G76940) UniProtKB/Swiss-Prot;Acc:A1A6K6] MASDGYWRYSDPRYQPAQPAPSVTLKRPRTDYGEIPGGSAIPGYYPREEEHIGHFSRENEYIEASYDRYLQNGISSYGSGDSVRSVTGGVRGHPVDDPLRPVAGSVRGHLVDDPLRSVAGGVRGHPVDDPRLIGVGGVDGRAVGYRSGRSETSLPPDASNTLFVEGLPSNCTRREVSHVFRPFVGFREVRLVSKESRHPGGDPLILCFVDFSTASQAAVALEALQGYKFDENDRESANLRLQFARFPGPRSAGGPRGRR >DRNTG_18434.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20075218:20085310:-1 gene:DRNTG_18434 transcript:DRNTG_18434.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear speckle RNA-binding protein A [Source:Projected from Arabidopsis thaliana (AT1G76940) UniProtKB/Swiss-Prot;Acc:A1A6K6] MASDGYWRYSDPRYQPAQPAPSVTLKRPRTDYGEIPGGSAIPGYYPREEEHIGHFSRENEYIEASYDRYLQNGISSYGSGDSVRSVTGGVRGHPVDDPLRPVAGSVRGHLVDDPLRSVAGGVRGHPVDDPRLIGVGGVDGRAVGYRSGRSETSLPPDASNTLFVEGLPSNCTRREVSHVFRPFVGFREVRLVSKESRHPGGDPLILCFVDFSTASQAAVALEALQGYKFDENDRESANLRLQFARFPGPRSAGGPRGRR >DRNTG_18434.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20075218:20085310:-1 gene:DRNTG_18434 transcript:DRNTG_18434.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear speckle RNA-binding protein A [Source:Projected from Arabidopsis thaliana (AT1G76940) UniProtKB/Swiss-Prot;Acc:A1A6K6] MASDGYWRYSDPRYQPAQPAPSVTLKRPRTDYGEIPGGSAIPGYYPREEEHIGHFSRENEYIEASYDRYLQNGISSYGSGDSVRSVTGGVRGHPVDDPLRPVAGSVRGHLVDDPLRSVAGGVRGHPVDDPRLIGVGGVDGRAVGYRSGRSETSLPPDASNTLFVEGLPSNCTRREVSHVFRPFVGFREVRLVSKESRHPGGDPLILCFVDFSTASQAAVALEALQGYKFDENDRESANLRLQFARFPGPRSAGGPRGRR >DRNTG_15480.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16595664:16599814:1 gene:DRNTG_15480 transcript:DRNTG_15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRTTSLHLDGCVHCLDWMRGLRGLEACGTYSVLDQMIEEHVNRNKKGERKDDDFMDILLSIQSNPNKEFYFSKNHVKALLLDMLFGGTETRYVALEWSFAELIRNPKVMKKLQDEVGGKAFGKSMVKEKDLNKTRVLVNYWAITRDPKIWDSLEELRPERICPAIHYGVTITELALANLVHRFDWKLSDGMVIEDLDMTETHALTVKMKIVTTLSIKLVRFSSKRSLKLPPSPWKLPFIGNLHQLGLLPYQSLHKLSKKHGPLMLLQLCQVPTLVVSSSQMAKEILKTNDLIFASRPKVNAAHIMIYGSQDMAFAPYGEHWRKMRKIAVTNLLSIKRVQSLHGAIDEQVAHMLNKISNTSSLIYRR >DRNTG_03678.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000170.1:23007:23390:-1 gene:DRNTG_03678 transcript:DRNTG_03678.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETPPAAEEPPPVHIFPPSRAHNHFERLESALGVIQIEDPSVPPAPPSSTPAPVDPPCASTSAAAAAQEPESDSDT >DRNTG_34579.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6844173:6844840:-1 gene:DRNTG_34579 transcript:DRNTG_34579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSSPSCNCSSGSSVSDDLWHTMEDEELLQRASMVVHDKDSDCQRPEKVAFLFLTRGRLPLGPLWEMFFIGQEKKLFYSIYVHPSPEFTEEPPKNSVFFRRRIPSKAFEWGRTSMVDAERRLLANALLDLSNQHFILLSETCIPLFNF >DRNTG_24058.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26505270:26517482:1 gene:DRNTG_24058 transcript:DRNTG_24058.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein 5 [Source:Projected from Arabidopsis thaliana (AT5G15920) UniProtKB/Swiss-Prot;Acc:Q9LFS8] MAHRAAKRPKLNKRGEDDYLPGNIVEIEIHNFMTYDHLRCKPGPRLNLVIGPNGSGKSSLVCAIALGLAGEPHILGRASSIGAFVKRGEESGYIKITLRGYSAAELYVITRKIDIHNKSEWSLNGAVKSRREVIEIIKKFNIQVNNLTQFLPQDRVCEFAKLNPIQLLEETEKAVGNPELPVQHQALIEKSFDLKRLELTVKQNGDTLNQLKALNAEQERDVERVRQRDNLLTKVEKMKKKLPWLKYDMKKVEYKASQKQEAEAKRKLDEAAKILNDLSQPIEDQKKVKTKQDSACKKLSNQISENAKERMEVLERESHMGVQVQAKYVEMEDLRKQEETRQIRIMKAKEDLFAAEVELANLPTFEPPKNEIERLNTQIRDLDLNSNQLALQRRENENILHQKRVALNQCLDRLKDMESKNTKLLQALCNSGADRILDAYNWLQEHRNELKKDVYGPVLIEVNVQNRMHAAFLEQHVPFYIWKSFITQDTGDRDYLVRNLRSFDVPILNYVGGNSSDRAPFQISEEVRKLGVYTRLDQVFDAPSAVKEVLISQAALEHSYVGTDETDKRADEISKFGILDLWTPENHYRWSVSRYGGHISASVEALHRSRLFMCSSDAGDIERLRSRKSELEGIIVDLEERIKEFLTEQRLLEDEAAKLRKEREELTNTVKLEKKKRHDIENRVDQRRRKIESMNKEGDLESNTKKLIDHVRQLNVQRCHLAIKIKKLLIDAVSCKWSYAEKHMKSIEIEMKIRELEVNLKQHQKSALQASAHLENCKKETEHCKQQLIASKRHAESIAVITEDLAQEFLEMPGTIEELEAAIQDNISAANSILCLNQNILEEYESRRQKIDALETKLESDKDHLTQCLSDIETLKGNWLPTLRNLVARINETFSHNFQEMAVAGEVLLDEHQKDFDKFGILIKVKFRQTGQLQVLSAHHQSGGERSVSTILYLVSLQDLTNCPFRVVDEINQGMDPINERKMFQQLVRAASQPSTPQCFLLTPKLLPDLEYSDACSILNIMNGPWIEEPSKVWSSGESWGTVMGLTG >DRNTG_29127.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1278408:1280181:-1 gene:DRNTG_29127 transcript:DRNTG_29127.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKLAVIGGSVILLVAAAAVIAITLTESKSNANSSTSSSKNSGSFKTSVKSIQAICHPTDYKQTCEQTLTAAAGNITDPKGLVKLAFQITSDRIQQALKRSTVLLNAEKDPLSVRALKDCREVFGYAVQDLQDTIDRFSDFDITKIDDMVDDIKVWLSAVVTYQESCLDGFLNVTSNAGQSMASALNISKQMTSNALAMVDGLGSLIGSISIPQFNRRLLAEATSGEFPSWLSAGGRSLLGVSPLRMKPAVTVAKDGSGDFKTINEALQGVPRKTNTSYYVIYVKEGVYNEYVEISKDMINVFMIGDGQTKTRITGHKNYVDGITTFKTASMAVIGDGFLAKDIGIENTAGPEKHQAVAMRVSGDRSVFYQCQMDGYQDTLYAYAKRQFYRDCTISGTVDFIFGDSPSVFQNCKMVVRRPMDNQQNIVTAQGRKDRHQPSGIIIHQCKIVADSDLHPVRQTIKSYLGRPWKQYSRTLIIQTEIDDLIAPEGWLPWEGDFALRTCLYAELDNFGAGAGKDKRVKWRGIKKVNYSGARKYSVERFLQGNRWLPATGVPYVADLVPQ >DRNTG_13331.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1036691:1039169:1 gene:DRNTG_13331 transcript:DRNTG_13331.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLVFLLFSLSGADNTSPEILLTLCGSNKTSNAQAFDINFVNSMELIYQNITDHGYGVAVSGTSDTVFGIGQCFNYLSSVNCQLCYAESRVKLPHCLPATDSRIYLDGCFLRYSDRNVSTQSTDLKDTSICGNSSTVIPSTSTVTSLLKNITEAALNNSNYYAAASASVSSDLTVYGMAQCWKSLNLSGCRDCLEKAVSNVSHCLPASDGKGLNAGCFVRYSTEPFYLSSSSSGGGSSSGRILAVVLGCVAAAVVVIGVAIWWMRRRGAHDSSDDEDGSGEFIRSIAGSHLSFKYEVLSKATDNFSQINKLGHGGYGSVYKGVLPDGREVAVKRLFLNTRQWVDQFFNEVSLISQVQHKNLVKLLGCSVEGPESLLVYEYLCNTSLDKFLFDSFKKTALDWERRFDIILGTAEGIAYLHTAAQVRIIHRDIKASNVLLDERFRPKIADFGLARYFAEGQSHLSTGLAGTFGYMAPEYIVHGQLSEKADTYSYGILVLEIITGRKNQNSVATSTDGHSLISLIWKHYTEKTLIELLDPNLQGQCSEEQALKIFHIGLLCAQASSGLRPHMWKVVEMLMSKSQDLPLPTQPPFINIKGADVCSSSGSGSSYKYTSSSKSGHSVNQMSVSQMQGR >DRNTG_22907.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:6658305:6659204:1 gene:DRNTG_22907 transcript:DRNTG_22907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGRSRNLRRILCSNSFAPGGLWGSCRKNIDPLVPQNIY >DRNTG_31690.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14135132:14143150:-1 gene:DRNTG_31690 transcript:DRNTG_31690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSNNHSSFSKDSSVEPRAHLGESMSSAAPSITLNLICTRLCVSSSGHVTLRYSLKCHS >DRNTG_27409.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001396.1:228953:233237:-1 gene:DRNTG_27409 transcript:DRNTG_27409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTGISSSKVLILVGAGLTSSIILRNGRLSEVLSEIQDLIKGINEVEISPGRYDATVLAAQIRQLAQEVRDLTLSRPVTILNGESSSGGLSSYIMPAAAVGAMGYCYMWWKGWSFSDVMFVTKRNMANAVSNVSKQLEQVSAALASTKRHLSHRLEKLDWKLDEQKETSKLIMNEVDGVKTDLSQIGFDIESIQKMVLGLEGKIGVLEHKQDMTNAGVWYLCQVAGSIKDGLTANFFQEAEAKLPPAQSSLTFSEDKSPMGLQCLTESLKPSDADDSKPNISLQNENNEKPVKSTALHRFYPGAINLRKDGFVI >DRNTG_27409.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001396.1:228953:230334:-1 gene:DRNTG_27409 transcript:DRNTG_27409.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTKRNMANAVSNVSKQLEQVSAALASTKRHLSHRLEKLDWKLDEQKETSKLIMNEVDGVKTDLSQIGFDIESIQKMVLGLEGKIGVLEHKQDMTNAGVWYLCQVAGSIKDGLTANFFQEAEAKLPPAQSSLTFSEDKSPMGLQCLTESLKPSDADDSKPNISLQNENNEKPVKSTALHRFYPGAINLRKDGFVI >DRNTG_07067.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3897664:3898707:1 gene:DRNTG_07067 transcript:DRNTG_07067.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIPMDSHLPKVNPNLHVPKDHSSIIEEIQGLIKVFKDGHVERLPVMPEVPPSWSSNHDVLSKDIVLHPSTSLWSRFYIPMHHLHHHHQLPLLVYFHGGGFCIGSAAWKCYHEFLSRLALETTSIILSVNYRLSPEHRLPAAYEDGLTAVKWLRTKAGSEDHALFISHCDLSNVYLAGDSAGGAIAYHVVTQLGNNNGNMIIKGVILMQPFFGGEERTNSETMSLEQPMKSALSLPTSDAYWRLSLPPGADRNHRWCNPFGRGAPKVDDPQMKIPSMLVCVAELDILKDRNLGFCMALRMAGKKVELKVYNGVGHAFQILHQYSHVSQARTLEMINDIKNFIFSTR >DRNTG_34421.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9399213:9399514:1 gene:DRNTG_34421 transcript:DRNTG_34421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFLLITVLLSILTSTKAIITVVLDTNGDILKLGAQYYIKLTTRDIAGGHSLNSSKLFLPITHRAKLSQE >DRNTG_11121.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30489758:30495039:-1 gene:DRNTG_11121 transcript:DRNTG_11121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLFGGGAVASRRESGGGSHRFSASAVAAAPPDLASPFGELGCGLSDPELRETAYEIFVSACRSTSSKPLTYIPQSERTDRSPSPSPSLTTSPSLQRSLTSTAASKMKKALGLKPSKKSPGKEGSPSKAPKRPATIGELMRVQMRVSEQTDSRIRRGLLRIAAGQLGKRVESMVLPLELLQQFKASDFLDPQEYEAWRNRNLKVLEAGLLVYPHIPLDRGDASQRLRQVLRGASERPLETGKNSESMQVLRSAIMSLACRSFDGSADICHWADGNPLNLHLYQRLLEACFVSGEEGSIIEEIDEVTELIKKTWIILGINQMLHNLCFLWVLFHRFVTTGQVDTDLLFAADNQLIEVAKDAKATKDPAYVKILSPTLSSIMGWAEKRLLAYHDTFNPSNIDSMQSIVSLGVSAAKILVEDISQEYRRKRREEVDVARTRIETYIRSSLRTAFAQRMEKVDLSRRSSRSQTIAPILSILATDIDDLASKEKEVFSPILKKWHPLAAGVAVATLHACYGNELKQFISGVMELTPDSVQVLKAADKLEKKLVLIAVEDSVDSDDGGKAIIREMPPYEADSAIANLVKSWIKTRVDRLKEWVDRNLQQESWNPKANKENFAPSAVEVLRMIDETLDAFFQLPIPTHPALLPELLIGLDRSLQHYVLKAKAGCGNRNTYVPALPPLTRCEIGSKLWKKKDKSQNVPKKKSQVGTTNGNDLFGVPQLCVRMNTIHHIRIELENLEKKIITCLRNVESAHADISNGLDINFELTLAACQEGIQQLCESTAYKVIFHDLSHVLWDGLYYGEIASSRIEPLLRELEPMLEMISTTVHDRVRSRVITAMMKASFDGFLLVILAGGPSRAFSRQDSQKLEEDFMFLRELYLADGDGLPRELVEKASAQVKNVLPLFRADTEGLVERFKHIILETYGAAAKSRFPLPPTSGQWNPNEASTILHVLCHRNDDTASKFLKKTYNLPKKL >DRNTG_04406.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12531389:12586792:1 gene:DRNTG_04406 transcript:DRNTG_04406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFRIKTMHPSHTCDGGIGSASHKQAWLGKEHAR >DRNTG_02383.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7684731:7685810:-1 gene:DRNTG_02383 transcript:DRNTG_02383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINDEDHHRNWSSLPWLAVSSIACSLNAVDYVHFRSVCSGWRSQTLEWQKAPLVILMDRDKEHDTIKALSFFDIIDKSIIPLRPLVSEMVTDSYYLGSASGWIFIGDVTFALNSNQEELTIILITPFTDGAIINPPLLTSQRKGRVFLVDSPRNLWDNRLTVVYYVDIDDNGRPAQVNFIRVGSLRPENQWTTFWLDEAPNDVIALEGYLFAYYNGVLMMIDLETQSLDLDMNMLLPGLLPSLSPDPDLFLRFFEDLSDRLNILFTGSYRTNSYCFMQVSVRPMDDLSVFYCKPPMDFRRAPTRCLVISDDLRVESLVQPYGVHIDDYCPFRLLLRLSTFWNNGQNQWEPVGWFTPAL >DRNTG_34164.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17659945:17662383:-1 gene:DRNTG_34164 transcript:DRNTG_34164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKQALRFAENLSLPKVQVIVMRANMSCNHCRKRVSQVISKMNGLVDYMVDMNKKEVVVRGLVASKSKKQRHYHDQEEKMKKKKLPHSFGLHRLMCFSAT >DRNTG_26281.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22954077:22955850:-1 gene:DRNTG_26281 transcript:DRNTG_26281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRFLGRQLSVHEILGGGLVADVILWRRRDVSMGLLLATLASWVVFELSGYTLLRLVSNVLLLLFSILFVWSKAAGILNRPPPPLPELHISAQVINEAAMLVSSCVNMLLSACYRIALGRDTLLFYRVAACLWLVSLVGGFADSLTLGYTSLVIVLTFPALYERYEDYVDRYLITAYGDVLYGYESYEKCFNEVEMWIMEKKKNL >DRNTG_07214.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20286824:20287561:-1 gene:DRNTG_07214 transcript:DRNTG_07214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLEVLPSFEFDRSYFSFNSVNGIQFRAFGHHHNYPCSLTPQRAYRALYSDGVYVLNMPPPGPLEPEGVTTEGSQLAQEPPPEYTQNDAPSAVYELPPVRIFSIFRAYD >DRNTG_06500.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21826706:21827751:-1 gene:DRNTG_06500 transcript:DRNTG_06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNHSVIMKPRGPSLDTFSFFGIFRLSLSGRDIEAARPANASAQAFRPRLSVSWHNARLQLTKANNIALAPVENSLSSVVFVFGLLTRRLLKNKEIIRHSEKVPKQLKPTSHYVRVPTRIHVPYLYRLRATMPSD >DRNTG_14169.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22930145:22933348:1 gene:DRNTG_14169 transcript:DRNTG_14169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMASWLTKPELILSIITFVLLYLFRYYHQSHHHLLLNFPVIGMLPGLFANLHWVHDWGTNIIRASGGTFMFRGPWFASMEYVVTSDPANINHIFNTNFINYPKGEEFFEIFDILGDGIFNSDGESWRLQRKKAHNLMTDHNFKAFLIKSSNSKVYTELIPLLQQLAGGEKEAQVVNLQDVFLRFTFDATCELVFGVNPKSLSPEFPTIPFSKAMDEAMTVILLRHTVPPQWWKLLRWLNVSGEKKLARARKVIDQFIAEVIEKKRDNHGNFRDSNLLTSYIKDTSSIEDIQESNKILRDTTMNLMLAGRDTTGAALTWFFWLLSKNKQAEEKIIEELKQYSLVKKEGIAGEELGKLVYLHAALCESLRLYPPVPFEHKAVVKEDVLPSGVEVRPGIKILIFLYGIGRMKEVWGEDCMEFKPERWISEKGKLRHEPSFKFLSFNAGPRTCLGKEVAFAQMKTVVAGILSRFHVDVVEGQVVEPKLSIILHTKNGIDGEDKGEKPELIWLCITNYIKCHYDVSFLCLLAMVLIKMV >DRNTG_07728.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2515114:2519299:1 gene:DRNTG_07728 transcript:DRNTG_07728.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGSTRSRASSPASPPLIPPIPHSLLSMDKARKLGMLKKFQVGRCSEATVSDAFKKQSSILRYLGGIDPSGQNIQLSHKQDAMKHCGCTMSEVEHILAKYTWAKEAQQKIENLQKEGKPIPKSFNEVQKLMGSTPLDVARSNLAKNGQISRNALCPCKSGKKYKRCCGMT >DRNTG_04430.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000210.1:204961:206536:1 gene:DRNTG_04430 transcript:DRNTG_04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEAECRPNVITGTLSIFQHNAFALIDSGSERSFVSTTFACHANSDPSPLGGELVIQTPLGEEVVRSLVYRECPVLINGVVLKADLIPLEIKDFDAILGMDWLDRHHASIDCFKKEVTFPVSSGPAVVLKGVRRTLPSCLISSMEARRLLGKGCPMILAHVVDTRVKEPALEEMPVVSEFQDVFPEDLPGLPPDREMEFAIDLLPGTAPISIPPYRMAPAELRELKTQLQDLVDKGFIRPSVSPWGAPVLFVKKKDGSMRLCIDYRQLNRVTIKNKYPLPRIDDLFDQLKGAKVFSKIDLRSGYHQLKIKSEDVPKTAFRTRYGHYEFLVMPFGLTNAPAAFMDLMNRVFRPYLDKFVIVFID >DRNTG_17564.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16591004:16592686:-1 gene:DRNTG_17564 transcript:DRNTG_17564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFWGFHVLLIFVMVASAQLPSQDILALLEFKKGITSDPKGYITDSWNEESIDFNGCPSSWNGIVCSGGNVAGVVLDNLGLVGVADLSVFGNLSMLFKLSMANNSLSGSLPNNLAEFKSLKFLDISNNMFSGELLVDIGQLKSLQNLSLAGNNFTGSLPDSIGMLVSILSLDLSYNHLTGSLPLGLKQLRNLVSVNLSFNMLTKKIPEGLEVIPNLETLDLSWNQFDGGVDWNFLMESSVVHVDLSGNLLTTSSPKELKFLSDISDTVKYLNLSNNQLSGLLIDGSRLSTFGNLKALDLSNNQLSGELPEFEYVYDLEVLRLGNNAFSGFLPNGLLKGDALVLRELDLSANNLS >DRNTG_35099.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1470007:1472199:1 gene:DRNTG_35099 transcript:DRNTG_35099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKFRGQFVFVCKRLRPVNPLLCSTSSEMKVEAAPNPVKIKNNTTRNTSPSSKPISQKP >DRNTG_08375.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4361413:4365145:1 gene:DRNTG_08375 transcript:DRNTG_08375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWCDIPFSSYRCNFLVPRGKGRIGLYRGERSCGMYTNPTNEENLTNPVNAIQLNDIATEAPNPTNASCDIANNDPNHTISTPTISQASNDPFNATANGGRLRIVVVNEFDFLRDIRNTEKKPEYMSDDVWRHWKASWDKPEFKMKREKNSNNRRSIAGPSSYTGGSISNVEHGKRLDKVLSLREAPQTISGSRNDNSQPIDEVALYYEAVGGEKNRRVYGLGSQASYYCGGNTNASKSSTSSFESQNQEELQNELATMKKKIEAQDNLIVDLKRTIEMLCNHINGGTQTSYYVFVEERARPYDAGKVWGTRATLSLSLSPESSELRDELAKVAVLLIVNGYINEANMLEVILSVVNMKLAGPITPITESSFPLSFANREEVRELVKLGIFKMTTKDGPCSLKLAYWSMELGVVGRMTGKLRAVGSPLEPSSSRLVLDHYRAEVHHGPGSLKAQCGVTVRAGLFLRNEELSGVVHE >DRNTG_31289.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1161402:1162252:1 gene:DRNTG_31289 transcript:DRNTG_31289.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDLCTESSSSSSYKINTRSSSSRVSSTEFSVELELELATARLELDRLHP >DRNTG_31259.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4398361:4404752:1 gene:DRNTG_31259 transcript:DRNTG_31259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVALFNHLKASDPFFLLAGPNVIESEEHVLKMAKHIKSITSKLGIPLVFKSSFDKANRTSSKSFRGPGLEEGLKILEKVKITYDLPIVTDVHESHQCEAVGRVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMVNSAEKVRLAGNQNVMVCERGTMFGYNDLIVDPRNFEWMREANCPVVADVTHSLQQPAGKKLEGGGVASGGLRELIPCIARTSVAVGVDGIFMEVHDDPLNAPVDGPTQWPLRHLEELLEELIAIARVSKGKKPLKIDLTPFRD >DRNTG_16597.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000828.1:31306:32200:1 gene:DRNTG_16597 transcript:DRNTG_16597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTEKLMNQIMELKFTSKSLQRQARKCEKEEKAEKLKVKKAIEKGNMDGARIYAENSIRKRSEQMNYLRLSSRLDAVVARLDTQAKMQTVGKSMGSIVKALDASLATGNLQKMSETMDQFERQFVNMEVQAEFMEGAMAGSTSLSTPEGEVNSLMQQVADDYGLEVSVGLPQAAAHAIPAKEKEKVDDDDLSRRLAELKSRG >DRNTG_03548.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21561187:21561969:1 gene:DRNTG_03548 transcript:DRNTG_03548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIIIILSLLLLFLNFLHFPTSARELTSTSDSTSDPTSSQRKSTSKTTTTKPGDTTAADSSGFGFDFGNIPDFSGNGWSNYGGGGNYGGGYGSGYGGPGGGYSHHGVVRPSVVCTERGPCYKKRVTCPAKCFTSYSHSGKNGGGGGGGGGCSIDCKKHCVGYC >DRNTG_31003.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30396504:30404223:1 gene:DRNTG_31003 transcript:DRNTG_31003.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRSEEYRLLFRLPPDEVLVQDFNCALQENILLQGHMYLFLHHICFYSKHLWF >DRNTG_31003.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30396504:30404223:1 gene:DRNTG_31003 transcript:DRNTG_31003.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRSEEYRLLFRLPPDEVLVQDFNCALQENILLQGHMYLFLHHICFYSKHLWF >DRNTG_31003.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30396504:30404223:1 gene:DRNTG_31003 transcript:DRNTG_31003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWSQHDNDAKAIFDQQDMKSDVSSSNNSLAIVEIARGSTPLLSDSLTSDGNMNANILGECLPSSGEDGIIVPSRLVEVKENGEEKAEHLSTGNLSWPVEDVDAPNVPEYFTLVAEAKFSVCAEVFFTLFFSNNAAEFLENFRKGCGDKEFQHTLWHQHEQFRYTRDISFLHPVKIYLGAKFGRCQEVQKFRVYRNSHLVIETSQQISDVPYG >DRNTG_14736.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:129038:131291:1 gene:DRNTG_14736 transcript:DRNTG_14736.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFADDLILITNASRKAARNINQCLELYNHISGQRPNRTKSQIFFPTWCNKHVSSRICSILNLTQSSFPFKYLGILISPKRLAASSFNPLVDKIRHLCSRWSNYNLSQAAKTILINTTILSIPIFTLSVYPIPDFIVSEISRVVRKFFWCRSSNGKGIHNLNWNTVTDSKAEGGIGIRNLSLAKYSLMAKHFFNFLNNGDAIWVDILRSKYGDFNFWRNNPPSKCSWFFRYLTRIATRIKPNCRINSINPDRTSFLWDPWCFEIPIALKPTFLNVDTDIDSLAISDFISGDCWNEPLLFHVFGQNFNFHDLSSSIIDSNSCNHWVWNPSTKQHKIAATVYKHLNKSGILSESWSGWHVLWKLFIAPRAKHFLWLLFHGRLSTSNFLFQLRLGPDNPCILCGLFPETIEHLFCQCSLTKQVWNFLGLKLNASIYFPNGFAEGSWLTNGNFSMHYISVIAAVAWFIWKSRCDAIFRDTPINIPVIVCRALSHVQEYTTCHSGLAGQKLILHNFTIADELFLFSHSNFNPASSVSSAGFFLSNSNYVVSLAGCCTLIQSNSAVDEISALAVALQSVLDNSLSIKHIFINSNETISLLRHPDLVTQWRFSSQFTHIRSLLADLNFPKVHCIPSTWMIPAVTLAAHGANFSNLNLFLFGRDLPRWVMKSFIDSGFIF >DRNTG_12101.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4952936:4957478:1 gene:DRNTG_12101 transcript:DRNTG_12101.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPETCSAPPACDYCGSALAALYCRADAARLCLPCDRHVHSANALSRKHPRSALCSSCYSAPAVSRLGSLFFCSACETDPSPPHVPAALEPLSGLPSAASIAASLDLEFPPEIPQPEPLNRAFSNWGSINAFEELSVPKWEQKQQLLEQVLELAKSENDGQQEQPVQCGFGPMTPGRSNNDGLMQYTELLMLPPAGCVDLKGCDRLVEDEDLLWDNGSAAAQIWDFDLGRTMEQKESSPLEIEFCNNANFMMKNYTDLLKENSFATENFVEDMCDTNHLSANDDISSTNIHHVSSLKLNMQTTSKWQNNAHNSALQGTATSGNSKFTIVSSIGNSHDTGLGGTIKEISFQEHTFIRTESFKETQKIDSERMAQNRGNAMQRYKEKRKNRRYDKHIRYESRKVRADTRKRVKGRFVKATEALDVENGG >DRNTG_06395.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:20614702:20621361:-1 gene:DRNTG_06395 transcript:DRNTG_06395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLSGKTLAPSTSPSSRNPMAISTGVNWPDTIDDSTLVKNHLVLAITVLPHYFPAQNPDLVSGERVQDKNVDGADQTHSEPMWEIEVRWRIWHDLA >DRNTG_06395.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:20614702:20621361:-1 gene:DRNTG_06395 transcript:DRNTG_06395.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLSGKTLAPSTSPSSRNPMAISTGVNWPDTIDDSTLVKNHLVLAITVLPHYFPAQNPDLVSGERVQDKNVDGADQTHSEPMWEIEVRWRIWHDLA >DRNTG_24238.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19873715:19877467:1 gene:DRNTG_24238 transcript:DRNTG_24238.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENQTLTIRFGIIGCAEIARKVSRGIALAPNATVVAVASRDVEKARRFIAVNALPEDTVAHGSYEALLDDESVDAVYLPLPTSLHVRWAVAAAEKGKHVLLEKPTALCVADLDGILGACEANGVQFMDSTMWMHHPRTAKMKELLSNSDLFGQLRSINSIFTFSANQEFLKNDIRVKPDLDALGALGDLGWYCIRAILWAVDYELPKTAVALRGSVLNEVGVIMACGSSLIWEDGKVATFHCSFLGNLTMELSVLGSKGTLHLGDFVIPYEESSAAFSFASNSGFKELVTGWNPLPSKHVVMTELPQETRMVGEFARLVSSIKSSGSKPESSWPAKTRKTQLVLDAVKASIDKGFEPVEIGS >DRNTG_24238.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19873653:19877467:1 gene:DRNTG_24238 transcript:DRNTG_24238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENQTLTIRFGIIGCAEIARKVSRGIALAPNATVVAVASRDVEKARRFIAVNALPEDTVAHGSYEALLDDESVDAVYLPLPTSLHVRWAVAAAEKGKHVLLEKPTALCVADLDGILGACEANGVQFMDSTMWMHHPRTAKMKELLSNSDLFGQLRSINSIFTFSANQEFLKNDIRVKPDLDALGALGDLGWYCIRAILWAVDYELPKTAVALRGSVLNEVGVIMACGSSLIWEDGKVATFHCSFLGNLTMELSVLGSKGTLHLGDFVIPYEESSAAFSFASNSGFKELVTGWNPLPSKHVVMTELPQETRMVGEFARLVSSIKSSGSKPESSWPAKTRKTQLVLDAVKASIDKGFEPVEIGS >DRNTG_24238.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19873715:19875831:1 gene:DRNTG_24238 transcript:DRNTG_24238.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAENQTLTIRFGIIGCAEIARKVSRGIALAPNATVVAVASRDVEKARRFIAVNALPEDTVAHGSYEALLDDESVDAVYLPLPTSLHVRWAVAAAEKGKHVLLEKPTALCVADLDGILGACEANGVQFMDSTMWMHHPRTAKMKELLSNSDLFGQLRSINSIFTFSANQEFLKNDIRVKPDLDALGALGDLGWYCIRAILWAVDYELPKTAVALRGSVLNEVGVIMACGSSLIWEDGKVATFHCSFLGNLTMELSVLGSKGTLHLGDFVIPYEESSAAFSFASNSGFKELVTGWNPLPSKHVVMTELPQETRMVGEFARLVSSIKSSGSKPESSWPAKTRKTQLVLDAVKASIDKGFEPVEIGS >DRNTG_24238.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19873715:19876357:1 gene:DRNTG_24238 transcript:DRNTG_24238.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAENQTLTIRFGIIGCAEIARKVSRGIALAPNATVVAVASRDVEKARRFIAVNALPEDTVAHGSYEALLDDESVDAVYLPLPTSLHVRWAVAAAEKGKHVLLEKPTALCVADLDGILGACEANGVQFMDSTMWMHHPRTAKMKELLSNSDLFGQLRSINSIFTFSANQEFLKNDIRVKPDLDALGALGDLGWYCIRAILWAVDYELPKTAVALRGSVLNEVGVIMACGSSLIWEDGKVATFHCSFLGNLTMELSVLGSKGTLHLGDFVIPYEESSAAFSFASNSGFKELVTGWNPLPSKHVVMTELPQETRMVGEFARLVSSIKSSGSKPESSWPAKTRKTQLVLDAVKASIDKGFEPVEIGS >DRNTG_24238.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19873653:19876581:1 gene:DRNTG_24238 transcript:DRNTG_24238.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAENQTLTIRFGIIGCAEIARKVSRGIALAPNATVVAVASRDVEKARRFIAVNALPEDTVAHGSYEALLDDESVDAVYLPLPTSLHVRWAVAAAEKGKHVLLEKPTALCVADLDGILGACEANGVQFMDSTMWMHHPRTAKMKELLSNSDLFGQLRSINSIFTFSANQEFLKNDIRVKPDLDALGALGDLGWYCIRAILWAVDYELPKTAVALRGSVLNEVGVIMACGSSLIWEDGKVATFHCSFLGNLTMELSVLGSKGTLHLGDFVIPYEESSAAFSFASNSGFKELVTGWNPLPSKHVVMTELPQETRMVGEFARLVSSIKSSGSKPESSWPAKTRKTQLVLDAVKASIDKGFEPVEIGS >DRNTG_24238.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19873653:19876357:1 gene:DRNTG_24238 transcript:DRNTG_24238.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAENQTLTIRFGIIGCAEIARKVSRGIALAPNATVVAVASRDVEKARRFIAVNALPEDTVAHGSYEALLDDESVDAVYLPLPTSLHVRWAVAAAEKGKHVLLEKPTALCVADLDGILGACEANGVQFMDSTMWMHHPRTAKMKELLSNSDLFGQLRSINSIFTFSANQEFLKNDIRVKPDLDALGALGDLGWYCIRAILWAVDYELPKTAVALRGSVLNEVGVIMACGSSLIWEDGKVATFHCSFLGNLTMELSVLGSKGTLHLGDFVIPYEESSAAFSFASNSGFKELVTGWNPLPSKHVVMTELPQETRMVGEFARLVSSIKSSGSKPESSWPAKTRKTQLVLDAVKASIDKGFEPVEIGS >DRNTG_24238.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19873715:19876581:1 gene:DRNTG_24238 transcript:DRNTG_24238.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAENQTLTIRFGIIGCAEIARKVSRGIALAPNATVVAVASRDVEKARRFIAVNALPEDTVAHGSYEALLDDESVDAVYLPLPTSLHVRWAVAAAEKGKHVLLEKPTALCVADLDGILGACEANGVQFMDSTMWMHHPRTAKMKELLSNSDLFGQLRSINSIFTFSANQEFLKNDIRVKPDLDALGALGDLGWYCIRAILWAVDYELPKTAVALRGSVLNEVGVIMACGSSLIWEDGKVATFHCSFLGNLTMELSVLGSKGTLHLGDFVIPYEESSAAFSFASNSGFKELVTGWNPLPSKHVVMTELPQETRMVGEFARLVSSIKSSGSKPESSWPAKTRKTQLVLDAVKASIDKGFEPVEIGS >DRNTG_24238.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19873598:19875749:1 gene:DRNTG_24238 transcript:DRNTG_24238.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAENQTLTIRFGIIGCAEIARKVSRGIALAPNATVVAVASRDVEKARRFIAVNALPEDTVAHGSYEALLDDESVDAVYLPLPTSLHVRWAVAAAEKGKHVLLEKPTALCVADLDGILGACEANGVQFMDSTMWMHHPRTAKMKELLSNSDLFGQLRSINSIFTFSANQEFLKNDIRVKPDLDALGALGDLGWYCIRAILWAVDYELPKTAVALRGSVLNEVGVIMACGSSLIWEDGKVATFHCSFLGNLTMELSVLGSKGTLHLGDFVIPYEESSAAFSFASNSGFKELVTGWNPLPSKHVVMTELPQETRMVGEFARLVSSIKSSGSKPESSWPAKTRKTQLVLDAVKASIDKGFEPVEIGS >DRNTG_24238.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19873715:19875749:1 gene:DRNTG_24238 transcript:DRNTG_24238.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAENQTLTIRFGIIGCAEIARKVSRGIALAPNATVVAVASRDVEKARRFIAVNALPEDTVAHGSYEALLDDESVDAVYLPLPTSLHVRWAVAAAEKGKHVLLEKPTALCVADLDGILGACEANGVQFMDSTMWMHHPRTAKMKELLSNSDLFGQLRSINSIFTFSANQEFLKNDIRVKPDLDALGALGDLGWYCIRAILWAVDYELPKTAVALRGSVLNEVGVIMACGSSLIWEDGKVATFHCSFLGNLTMELSVLGSKGTLHLGDFVIPYEESSAAFSFASNSGFKELVTGWNPLPSKHVVMTELPQETRMVGEFARLVSSIKSSGSKPESSWPAKTRKTQLVLDAVKASIDKGFEPVEIGS >DRNTG_24238.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19873598:19876357:1 gene:DRNTG_24238 transcript:DRNTG_24238.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAENQTLTIRFGIIGCAEIARKVSRGIALAPNATVVAVASRDVEKARRFIAVNALPEDTVAHGSYEALLDDESVDAVYLPLPTSLHVRWAVAAAEKGKHVLLEKPTALCVADLDGILGACEANGVQFMDSTMWMHHPRTAKMKELLSNSDLFGQLRSINSIFTFSANQEFLKNDIRVKPDLDALGALGDLGWYCIRAILWAVDYELPKTAVALRGSVLNEVGVIMACGSSLIWEDGKVATFHCSFLGNLTMELSVLGSKGTLHLGDFVIPYEESSAAFSFASNSGFKELVTGWNPLPSKHVVMTELPQETRMVGEFARLVSSIKSSGSKPESSWPAKTRKTQLVLDAVKASIDKGFEPVEIGS >DRNTG_24238.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19873653:19875749:1 gene:DRNTG_24238 transcript:DRNTG_24238.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAENQTLTIRFGIIGCAEIARKVSRGIALAPNATVVAVASRDVEKARRFIAVNALPEDTVAHGSYEALLDDESVDAVYLPLPTSLHVRWAVAAAEKGKHVLLEKPTALCVADLDGILGACEANGVQFMDSTMWMHHPRTAKMKELLSNSDLFGQLRSINSIFTFSANQEFLKNDIRVKPDLDALGALGDLGWYCIRAILWAVDYELPKTAVALRGSVLNEVGVIMACGSSLIWEDGKVATFHCSFLGNLTMELSVLGSKGTLHLGDFVIPYEESSAAFSFASNSGFKELVTGWNPLPSKHVVMTELPQETRMVGEFARLVSSIKSSGSKPESSWPAKTRKTQLVLDAVKASIDKGFEPVEIGS >DRNTG_23250.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21327827:21328456:1 gene:DRNTG_23250 transcript:DRNTG_23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRIGKGNAEKNSHQEAMLLHFAMEKDCFDKNGSSDMIENNYNGNQSPEKCSSSWYRINNGRRLWRLRTCGSQGYSTSLVKARERLVQIALVKADKAKGVDDVVLRAAQMGQITEKVSEEKFISLLKQINDQTSKQTKVTIQRRRNVLEDDD >DRNTG_22115.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9002778:9009658:-1 gene:DRNTG_22115 transcript:DRNTG_22115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAMDFLCLLGVFCFTSKLGVKPNSGKRKSKKEKKRVSYECCHPPLTHQELLQGLTPARAPPRLSSSHTGKAAAYVVTLALRSKRRRVCREPSRNRMDRMRASEDSQTSTVRSPNKRTSGSKRWTSTESRFFIRFMASQVEQGFKVDKGFKPQAFHAAIKSINEEFGIIVTESNVSNHLRTIKKRWARIKKLKELSGMGWDDGLKMIIMGESEYKNYIKIHPQDEPFLNKPIEDHDLLETICGNDQASGHRAVHFRDEIGMDMYDNENFRQST >DRNTG_27795.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3204219:3213775:1 gene:DRNTG_27795 transcript:DRNTG_27795.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDADMAGWTDLLHTSSKLLEQAAPSAQFPTLQRNLDQLEVLSKKLKTKTLRSEAPTQSVAATRLLAREGLSAEQLARDLKSFEIKTTYEDVFPSEATTVEEYLQQVHEMAMISSIQEAQRDNLRSYNDYMMQVLEDDWQKEKRDFLQSLSRLSTLPRTNNNLLSSGLARSGQMLSPASSSQVSSGQSAISLMPLANRPIIEKKASVYAEIVKNLNDTRGRGLPSNPATAFRSTYEGMELDTSGGKSVTMQKIWHLIQSLVGEESTMVQQNVSRKMSLVIGARHHLEWGHEKYILDTIQSHPAQAALGGAVGNLQKIRAFLRVRLRDHGVLDFDSGDARRQPPVDTTWQQIYYCLRTGYYDEARFVAQSSRVAHQFAPQLAEWITTGGLVSSETAVAASEECEKMLRMGDRAGRPGYDRKKLLLYAIISGCRHQIDRLLKDLPTLFNTIEDFLWFKLSSVRDSSSGATSLVLSEGLVPYSLDDLQGYLNKFEPSYYTKNGKDPLVYPYVLLLSIQLVPAVLYLSKEIGDEGYNVDAVHIAIVLADKGILSDGAGASQKIGIMDACAETASIIRQYGSVYLRHGNLELALEYYAQAAAAMGGGELSWIGRGNVDQQRQRNLMMKQLLTEILLRDGGILLLLGSRGAGEEGALRKYFMDWKTQQQFLLEAAHKCQESGLYDKSIEIHKRVGAFAMALETINKCLSEAICAMLRGTLDGESQTAGLIHSGNDILDTFKYSSEASLQEKDQIGEQQIVLRQLEAILFVHNLARAGQHVDALREICKLTFLPLNPRSTDVTNDAFRNLSPYVQACVPDLLKAALTCLDNVTDTDGTLRALKSKIASFVANNMTRNWPHDLYEKVAQSI >DRNTG_01726.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23570358:23572432:1 gene:DRNTG_01726 transcript:DRNTG_01726.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGSGGLKGVVLPLGADQFDENNFAEREQKGIFFHWFYVSFTFGILMSGTLIVFVEESIDWPLGFSICTLCLALALSALIISKPVYRLRKPTGSPLKRILQVLVACFSKISSEIPTDTNLLFETKHTDYKRQRLAHTNDFRFLDKAAIVSDTDGDDIEHRNPWKLCTITEVEELKTVLRLLPIWVTGIIFQAAFSQICTTFILRGSAMETRIFSSLSIPPASLSSFEVVSVMFCVLLYNKIIMPMSKQLFRNGAGLSKLQRMGIGRFLIIIVMVTAGSVETIRLKRIKNGNGLTNITWQLPQYFIAAVSDMFNNISQAEFFYDQAPESMKSLCTAFGLLTVALGSYLSSFIITAVQFVTAQNGRSGWISDDLNDGHLDYFFWVFAGICAVNFMLYLAFAWNFTLKRVVI >DRNTG_01726.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23570053:23572432:1 gene:DRNTG_01726 transcript:DRNTG_01726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGESSEIGKDLSESLLLDKDKSQLQHQPGHWNAAAIILGFECLQSTAYFGIYTNLVRYLKTVLHGSNASNAANAAIWNGTSFFTSLIGAFIADTFCGNYQTVFFSSLIFLLGLLIITSTSLIPSLRPSPCEGLVPSCLPATTVQTIASFSGLYLMAFGSGGLKGVVLPLGADQFDENNFAEREQKGIFFHWFYVSFTFGILMSGTLIVFVEESIDWPLGFSICTLCLALALSALIISKPVYRLRKPTGSPLKRILQVLVACFSKISSEIPTDTNLLFETKHTDYKRQRLAHTNDFRFLDKAAIVSDTDGDDIEHRNPWKLCTITEVEELKTVLRLLPIWVTGIIFQAAFSQICTTFILRGSAMETRIFSSLSIPPASLSSFEVVSVMFCVLLYNKIIMPMSKQLFRNGAGLSKLQRMGIGRFLIIIVMVTAGSVETIRLKRIKNGNGLTNITWQLPQYFIAAVSDMFNNISQAEFFYDQAPESMKSLCTAFGLLTVALGSYLSSFIITAVQFVTAQNGRSGWISDDLNDGHLDYFFWVFAGICAVNFMLYLAFAWNFTLKRVVI >DRNTG_01726.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23571317:23572432:1 gene:DRNTG_01726 transcript:DRNTG_01726.3 gene_biotype:protein_coding transcript_biotype:protein_coding METRIFSSLSIPPASLSSFEVVSVMFCVLLYNKIIMPMSKQLFRNGAGLSKLQRMGIGRFLIIIVMVTAGSVETIRLKRIKNGNGLTNITWQLPQYFIAAVSDMFNNISQAEFFYDQAPESMKSLCTAFGLLTVALGSYLSSFIITAVQFVTAQNGRSGWISDDLNDGHLDYFFWVFAGICAVNFMLYLAFAWNFTLKRVVI >DRNTG_04370.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20489620:20492819:-1 gene:DRNTG_04370 transcript:DRNTG_04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g14820 [Source:Projected from Arabidopsis thaliana (AT4G14820) UniProtKB/Swiss-Prot;Acc:O23337] METLTLNPISIPPIIPSHLLSSATTIPQLKQIHARILRSGLDLTPSLLSRLLSLPLNPAAAPSLDYALSVLLRTPHPPSRLRCRAFRLLGPRRAIAAYARLRQDGVDFDRFDFPPLLRAAARAGEGEGGEAVVRETHGFVLKTGFVADPFVLTALVRGYAARGCVSDARAVFDRMPERDVVAWGVMLDGWCQSGCYSDALQLFEEMKSSDVAPDQVILATVLSACGRTGNLSSGKAIHSYILESGIAMDAHLQSSLINMYSNCGSMDIARKLFDEIPVKDLVASTAMVFGYAKIGEIETARAIFDEMPEKDLVCWSAMISGYSESDKPGEALKLFNEMQASGVRPDKITMLSVISACAHLGAIDQAKWIHVFIDKNMFHDVLMVRNALIDMYSKCGNLASAWRVFEGTPQKNVITWTSMITGLAMHGDGTSALALFERMKAEGVEPNGVTLVGLLYACSHTGLVAEGRQVFESMVHEYKVEPKLEHYGCMVDLLCRAKLLREAFELIESMPFHPNVIIWGSLLGGCRVHMDVELGEIAAKRILELDPDHDGAYVLLSNIYAKANKWDEVGRVRRLMKSRGVSKEKGCSWIEINGNVHEFLMGDESHPKSKEIYGKLEEIIQELVLVGYSPDTGSVLVDLEEEEKKEAVLLHSEKLALSFGLINSTRGSCIRIAKNLRVCEDCHSFMEFVSKVFDIEIVLRDRTRFHHYKNGVCSCKGFW >DRNTG_04370.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20490360:20492819:-1 gene:DRNTG_04370 transcript:DRNTG_04370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g14820 [Source:Projected from Arabidopsis thaliana (AT4G14820) UniProtKB/Swiss-Prot;Acc:O23337] METLTLNPISIPPIIPSHLLSSATTIPQLKQIHARILRSGLDLTPSLLSRLLSLPLNPAAAPSLDYALSVLLRTPHPPSRLRCRAFRLLGPRRAIAAYARLRQDGVDFDRFDFPPLLRAAARAGEGEGGEAVVRETHGFVLKTGFVADPFVLTALVRGYAARGCVSDARAVFDRMPERDVVAWGVMLDGWCQSGCYSDALQLFEEMKSSDVAPDQVILATVLSACGRTGNLSSGKAIHSYILESGIAMDAHLQSSLINMYSNCGSMDIARKLFDEIPVKDLVASTAMVFGYAKIGEIETARAIFDEMPEKDLVCWSAMISGYSESDKPGEALKLFNEMQASGVRPDKITMLSVISACAHLGAIDQAKWIHVFIDKNMFHDVLMVRNALIDMYSKCGNLASAWRVFEGTPQKNVITWTSMITGLAMHGDGTSALALFERMKAEGVEPNGVTLVGLLYACSHTGLVAEGRQVFESMVHEYKVEPKLEHYGCMVDLLCRAKLLREAFELIESMPFHPNVIIWGSLLGGCRVHMDVELGEIAAKRILELDPDHDGAYVLLSNIYAKANKWDEVGRVRRLMKSRGVSKEKGCSWIEINGNVHEFLMGDESHPKSKEIYGKLEEIIQELVLVGYSPDTGSVLVDLEEEEKKEAVLLHSEKLALSFGLINSTRGSCIRIAKNLRVCEDCHSFMEFVSKVFDIEIVLRDRTRFHHYKNGVCSCKGFW >DRNTG_04370.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20490360:20492819:-1 gene:DRNTG_04370 transcript:DRNTG_04370.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g14820 [Source:Projected from Arabidopsis thaliana (AT4G14820) UniProtKB/Swiss-Prot;Acc:O23337] MKSSDVAPDQVILATVLSACGRTGNLSSGKAIHSYILESGIAMDAHLQSSLINMYSNCGSMDIARKLFDEIPVKDLVASTAMVFGYAKIGEIETARAIFDEMPEKDLVCWSAMISGYSESDKPGEALKLFNEMQASGVRPDKITMLSVISACAHLGAIDQAKWIHVFIDKNMFHDVLMVRNALIDMYSKCGNLASAWRVFEGTPQKNVITWTSMITGLAMHGDGTSALALFERMKAEGVEPNGVTLVGLLYACSHTGLVAEGRQVFESMVHEYKVEPKLEHYGCMVDLLCRAKLLREAFELIESMPFHPNVIIWGSLLGGCRVHMDVELGEIAAKRILELDPDHDGAYVLLSNIYAKANKWDEVGRVRRLMKSRGVSKEKGCSWIEINGNVHEFLMGDESHPKSKEIYGKLEEIIQELVLVGYSPDTGSVLVDLEEEEKKEAVLLHSEKLALSFGLINSTRGSCIRIAKNLRVCEDCHSFMEFVSKVFDIEIVLRDRTRFHHYKNGVCSCKGFW >DRNTG_06590.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15266793:15268608:1 gene:DRNTG_06590 transcript:DRNTG_06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTKKQADKRPRESSSKPEAMRFAIPEH >DRNTG_23848.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001280.1:35402:36311:-1 gene:DRNTG_23848 transcript:DRNTG_23848.4 gene_biotype:protein_coding transcript_biotype:protein_coding LVAAERLNLIRQQRAEAAKKREEEKAAKELKKAEARK >DRNTG_23848.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001280.1:35293:40268:-1 gene:DRNTG_23848 transcript:DRNTG_23848.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKFKGKPTGRRNFSTPEEMIAGTSARPRTFKQEQAEDEVRDESGEEEESEEEEIERSKGTQGIIETANPNLVRPKNLKAKDADLDKTPELSRREREEIEKQKAHERYMRLQEQGKTEQARKRFRASEFDKAAKS >DRNTG_23848.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001280.1:36704:40268:-1 gene:DRNTG_23848 transcript:DRNTG_23848.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKFKGKPTGRRNFSTPEEMIAGTSARPRTFKQEQAEDEVRDESGEEEESEEEEIERSKGTQGIIETANPNLVRPKNLKAKDADLDKTPELSRREREEIEKQKAHERYMRLQEQGKTEQARKRFR >DRNTG_23848.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001280.1:35402:40268:-1 gene:DRNTG_23848 transcript:DRNTG_23848.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKFKGKPTGRRNFSTPEEMIAGTSARPRTFKQEQAEDEVRDESGEEEESEEEEIERSKGTQGIIETANPNLVRPKNLKAKDADLDKTPELSRREREEIEKQKAHERYMRLQEQGKTEQARKAAERLNLIRQQRAEAAKKREEEKAAKELKKAEARK >DRNTG_01362.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:370881:371633:1 gene:DRNTG_01362 transcript:DRNTG_01362.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSEECALRASIDWIYGAEEQGNATLFVAKFFGRTGLMTFITATDHSHRPDLFRSLLYEACGRTVNPVSGAVGLLLTGNWDLCEAAVETVLRGGAPKPISSDLDLSSLGGSLEKSGTMSSEIDGGGDRRIPPRLLNLFV >DRNTG_01362.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:370881:371633:1 gene:DRNTG_01362 transcript:DRNTG_01362.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYLVTASFQDLFRSLLYEACGRTVNPVSGAVGLLLTGNWDLCEAAVETVLRGGAPKPISSDLDLSSLGGSLEKSGTMSSEIDGGGDRRIPPRLLNLFV >DRNTG_01362.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:370167:371633:1 gene:DRNTG_01362 transcript:DRNTG_01362.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSEECALRASIDWIYGAEEQGNATLFVAKFFGRTGLMTFITATDHSHRPDLFRSLLYEACGRTVNPVSGAVGLLLTGNWDLCEAAVETVLRGGAPKPISSDLDLSSLGGSLEKSGTMSSEIDGGGDRRIPPRLLNLFV >DRNTG_01362.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:370167:371633:1 gene:DRNTG_01362 transcript:DRNTG_01362.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYLVTASFQDLFRSLLYEACGRTVNPVSGAVGLLLTGNWDLCEAAVETVLRGGAPKPISSDLDLSSLGGSLEKSGTMSSEIDGGGDRRIPPRLLNLFV >DRNTG_01362.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:370881:371707:1 gene:DRNTG_01362 transcript:DRNTG_01362.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSEECALRASIDWIYGAEEQGNATLFVAKFFGRTGLMTFITATDHSHRPDLFRSLLYEACGRTVNPVSGAVGLLLTGNWDLCEAAVETVLRGGAPKPISSDLDLSSLGGSLEKSGTMSSEIDGGGDRRIPPRLLNLFV >DRNTG_01362.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:370167:371707:1 gene:DRNTG_01362 transcript:DRNTG_01362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSEECALRASIDWIYGAEEQGNATLFVAKFFGRTGLMTFITATDHSHRPDLFRSLLYEACGRTVNPVSGAVGLLLTGNWDLCEAAVETVLRGGAPKPISSDLDLSSLGGSLEKSGTMSSEIDGGGDRRIPPRLLNLFV >DRNTG_07644.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000344.1:161373:163157:1 gene:DRNTG_07644 transcript:DRNTG_07644.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFAESEVKSEGDGLPVFQEYSFEQLRLATFGFAVENIVSEHREKAPNVVYKEKLDAQRRIAVKRFNHAAWPDPRQFLEETRSVGQLRSQRLANLLGCCAEGEERLLIAEYMPNDTLAKHLFHWEAQPMKWPMRLRVVLYLAL >DRNTG_07644.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000344.1:161373:163157:1 gene:DRNTG_07644 transcript:DRNTG_07644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFAESEVKSEGDGLPVFQEYSFEQLRLATFGFAVENIVSEHREKAPNVVYKEKLDAQRRIAVKRFNHAAWPDPRQFLEETRSVGQLRSQRLANLLGCCAEGEERLLIAEYMPNDTLAKHLFHWEAQPMKWPMRLRVVLYLAL >DRNTG_07644.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000344.1:161373:162526:1 gene:DRNTG_07644 transcript:DRNTG_07644.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFAESEVKSEGDGLPVFQEYSFEQLRLATFGFAVENIVSEHREKAPNVVYKEKLDAQRRIAVKRFNHAAWPDPRQFLEETRSVGQLRSQRLANLLGCCAEGEERLLIAEYMPNDTLAKHLFHWEAQPMKWPMRLRVVLYLAL >DRNTG_11755.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:716853:719128:-1 gene:DRNTG_11755 transcript:DRNTG_11755.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFILLAILLLCISIAPTRSTTTADPHLPRPLILQFPSVDAEKEDLIMWCQSWRFAGEANKLAPWKSVPEDCAAYVKDYVTGKGYRFDLEIVATVTLAYARSFELADDGMDAWVFDIDETLLSNLPYYSDHGFGLEIFNEQEFDKWVDKASAPAIESSLKLYEEILGLGFKIFLLTGRSENKRSVTVENLKRAGFEDWEKLILREKHDHGKTATKYKSERRTEIASNGYRIVGNSGDQWSDLLGYSLSNRSFKLPNPMYFIP >DRNTG_11755.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:716853:719128:-1 gene:DRNTG_11755 transcript:DRNTG_11755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFILLAILLLCISIAPTRSTTTADPHLPRPLILQFPSVDAEKEDLIMWCQSWRFAGEANKLAPWKSVPEDCAAYVKDYVTGKGYRFDLEIVATVTLAYARSFELADDGMDAWVFDIDETLLSNLPYYSDHGFGLEIFNEQEFDKWVDKASAPAIESSLKLYEEILGLGFKIFLLTGRSENKRSVTVENLKRAGFEDWEKLILREKHDHGKTATKYKSERRTEIASNGYRIVGNSGDQWSDLLGYSLSNRSFKLPNPMYFIP >DRNTG_01089.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000064.1:27194:27688:1 gene:DRNTG_01089 transcript:DRNTG_01089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLVIHLPLEAKLGGPVYYRWMYPIERFLLKLKNYVRNKRFPEGSIAEGYLAEECVTFCSRYLVDVETVFDKPARNLRQVHDERIGSCYLFESGGEPIGKIEVVELDDRSWAQAHRYVLLHHEAIEPFQ >DRNTG_16205.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30878471:30878916:-1 gene:DRNTG_16205 transcript:DRNTG_16205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALPHGHLKSSNVLLDDSFEPLLTDYALVPVVNKTHATQV >DRNTG_26801.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20310346:20314281:1 gene:DRNTG_26801 transcript:DRNTG_26801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRLYAVTDGPPYGHYTGPSVTGCPLGREGHLAHTARILGRMGAEVLSINPLDEYLGKLENEEQGEPHQHPQIHNLRQPKERVSCNNAKEKEKKESFVKKMWREIHGRKKKGTKLHHPTSQGGKGIRPYWAVWWPSEMNTKPTRGSVPSQTAPQKAPIGGRLSWGSSRPYGGHVRHDHCRRYNTPQTNPHTGDRLGDRTWQTHMPPGHWLCIRSSVRFVASFTRSLRDSAGSRQIFTVSSPPTMVHPRTLWPLLLPPCHHHQHPIVMSSSLLELGHLLFTFACFVLYFCILLSWHGSTLRTCVVEL >DRNTG_21268.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:233957:234868:1 gene:DRNTG_21268 transcript:DRNTG_21268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLLSSPIIREVHRSPATHLRSIMATCVDNPQPKPTMNHLCMNPTRSKIEDSLYRYVALSRPGLQDLVFSPPASPAGDETWGRIREEAREDAEMEPVLRKYYEDSILSHGSLESALARHLATKLGSEIGSDVMEKVFREVLESAPEVGRAVRADLKAARDRDPACTSMARCLLYYKGFQACQAHRIAHRLWMKGRVAMALFIQSRVSEVFAVDIHPAARIGEGVLLDHATGVVIGETAVVGENVSILHNVTLGGTGKVGGDRHPKIGDGVLVGAGTQILGNV >DRNTG_25052.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001323.1:28314:30259:-1 gene:DRNTG_25052 transcript:DRNTG_25052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKCMAIQIRKGKGKPHNRKEGRIPKHTGNSRPFAVHGKV >DRNTG_04667.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30341935:30345312:-1 gene:DRNTG_04667 transcript:DRNTG_04667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQIKKVGEKDLEIGQASQSPSVAGVSPLPSPGPSPTAAPALVLSNSGKRMDQAGKKKYVKQVTGRHNDTELHIAAQRGDLAAVRQILSEIDAQMMGTSGGADFDAEVAEIRSAVVNEVNEVEETALFIAAERGFIDVVVELLKYSDRESIARKNRSGYDAFHAAVREGQTAIVQVLLDHDPTLCRTFGPSNATPLITAATRGHTEVVNLLLAKDSSMVELAKANGKNALHFAARQGHVEIAKALLDKDPQLARATDKKGQTAFNMAVKGTSSAVVQALVDADPAIVMLPDKYGNTALHVATRKKRAEIVNFLLSLPDTHVNALNREHKTAYDIAEALPLSEDSAEIKECLARFGAVRANELNQPRDELRKTVTEIKKDVHTQLEQTRKTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGTHDDGVAVAINKASFKIFFVFNAVALFTSLAVVVVQITLVRGETKSERRVVEVINKLMWLASVCTTVAFIASSYIVVGRHFQWAAILVTLVGGLIMAGVLGTMTYYVVKSKRSRKIKKREKSSRRSGSNSWHHNSELSDSDISRIYAI >DRNTG_20017.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3387206:3388425:-1 gene:DRNTG_20017 transcript:DRNTG_20017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHEYEAEPEAVLNGLSEAIDEVEHSLAEQEVALDEEDDQPDNPAGDEAAADEHENKQLPLPEMQVPHGLAFKDGDENAAEEEGDD >DRNTG_15098.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1774747:1775679:-1 gene:DRNTG_15098 transcript:DRNTG_15098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETLQSKIMEAKDERMKATSEVLRNMKILKLQAWDTKYLDKLDSLRKIEYKWLWKFLRLEAILSFILWESPTLISVVTFGACTVLGIPLTAGRILSALATFRMLQDPIFDLPDLLTVIAETKVSADRVASYLQEDEVKTDAVEVISADETEFSINIEKGLFSWDSDADSPTLGEIKLKVKKGEKVAICGNVGSGKSTLLSCLLGEVPRVGGSVTISGSMAYVPQSPWMLTCNIRENILLGNTYNHDKFERTIHVCALKEDLDSLTSGDLTEIGERGI >DRNTG_00305.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2102117:2111860:-1 gene:DRNTG_00305 transcript:DRNTG_00305.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G04350) UniProtKB/Swiss-Prot;Acc:Q9XEA0] MSKSRGNVINPDDVVSEYGADSLRLYEMFMGPLRDSKAWSTGGIEGVHRFLARIWRLVVGAPLPDGSYRKGTVVTDDKPTLEQLRALHKCIDKVSEEIQETRFNTGISAMMEFINAAYKWDNHPKPIMEAFILLLSPYAPHMAEELWFRLGHSSSLAYENFPEARTEYLKDSSIVLPVQINGKTRGTILVNEDCSEDEAFKLASLDEKLSKYLHGKAIKKRIYVPRRILNVILDQQKKLDFPVVLTCCCEEGN >DRNTG_00305.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2103563:2111860:-1 gene:DRNTG_00305 transcript:DRNTG_00305.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G04350) UniProtKB/Swiss-Prot;Acc:Q9XEA0] MPQWAGSCWYYLRFMDPKNSTAIVNKDKERYWSPVDIYVGGAEHSVLHLLYARFWHKVLYDIGVVSTIEPFQCLINQGLILGEVEYVAYRDAEGRLLSADSVSDVGEYALERIPVEKVTKAGDFYVLKDDPSIRLISRSYKMSKSRGNVINPDDVVSEYGADSLRLYEMFMGPLRDSKAWSTGGIEGVHRFLARIWRLVVGAPLPDGSYRKGTVVTDDKPTLEQLRALHKCIDKVSEEIQETRFNTGISAMMEFINAAYKWDNHPKPIMEAFILLLSPYAPHMAEELWFRLGHSSSLAYENFPEARTEYLKDSSIVLPVQINGKTRGTILVNEDCSEDEAFKLASLDEKLSKYLHGKAIKKRIYVPRRILNVILDQQKVHA >DRNTG_00305.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2103563:2115780:-1 gene:DRNTG_00305 transcript:DRNTG_00305.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G04350) UniProtKB/Swiss-Prot;Acc:Q9XEA0] MFEIQYQLRFQPPLRFLHASPTPSPAVLLLDLRFPCRRFSRVRCCSGGGNGGSAKPQQLQQRRAYPFDEIEPRWQRYWEENRTFRTPDEDLDMSKPKCYILDMFPYPSGAGLHVGHPLGYTATDILSRFKRMKGFNVLHPMGWDAFGLPAEQYAIETGTHPKVTTIRNIDRFRSQLKLLGFAYDWDREISTTDPEYYKWTQWIFLQLLKRGLAYQAEVPVNWCPALGTVLANEEIVDGVSERGGFPVIRKPMRQWMLKITSYSERLLEDLDDLDWPESIKEMQRNWIGRSEGAELEFHVIGSDGQERGIKLSVYTTRPDTIFGATYLVVAPEHISLASLVPKEQEKQVEEYKELAARKSELERTDLQKEKTGVFSGSYAKNPATGESIPIWIADYVLGSYGTGAIMAVPAHDSRDYEFAVKYDIPIIRVVEPAGTSSNLDGPYVDDGFVINSSNMSSGLNINGLTCKEAAMEVIDWLERTGHGSKKVNYKLRDWLFARQRYWGEPFPVIFLNETGELVPLPESQLPLTLPELDDFTPTGTGEPPLAKATTWVNTIDPISGKPARRETNTMPQWAGSCWYYLRFMDPKNSTAIVNKDKERYWSPVDIYVGGAEHSVLHLLYARFWHKVLYDIGVVSTIEPFQCLINQGLILGEVEYVAYRDAEGRLLSADSVSDVGEYALERIPVEKVTKAGDFYVLKDDPSIRLISRSYKMSKSRGNVINPDDVVSEYGADSLRLYEMFMGPLRDSKAWSTGGIEGVHRFLARIWRLVVGAPLPDGSYRKGTVVTDDKPTLEQLRALHKCIDKVSEEIQETRFNTGISAMMEFINAAYKWDNHPKPIMEAFILLLSPYAPHMAEELWFRLGHSSSLAYENFPEARTEYLKDSSIVLPVQINGKTRGTILVNEDCSEDEAFKLASLDEKLSKYLHGKAIKKRIYVPRRILNVILDQQKVHA >DRNTG_23450.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:777647:779413:-1 gene:DRNTG_23450 transcript:DRNTG_23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLTDLKKIEARIGKKSLCIHICLCRHLAPEYIEYGIETEKADVYAFGVVLLELITGCRAMDSSRPKGQQFLAQWARPLLSQAAMDGQSCTVMVNRYLDPRLNQGQVGLLSQPLRAMTCAASLCLRREPAGLESARGQCFG >DRNTG_18478.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:10390473:10391687:1 gene:DRNTG_18478 transcript:DRNTG_18478.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKPSNLRAMEGIKGWFFSSKEDEDEDEDEDEDEDGDEDEDEREDVEMVMERMGLHCNQERKQLIEFSGFEEFSVMFKKDEASLDEVKMAFSMFDENKDGFIDSRDLQRVLFKLGLMEEMDLDECKKMIGVCVGHIDFNGFLKFM >DRNTG_20217.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21036150:21037641:1 gene:DRNTG_20217 transcript:DRNTG_20217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEMGLNSYKWNAREKKKVVRLHEIDAVTSLAAQVEALSKKLDTSTSSKTNSEVIGRVKAITLRSDRELEEELTTEKNSEILQAKTPTGKTAEIPQETPKDKWPDIEEETMTNGEKQVVNEQPQGGILK >DRNTG_15614.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25683218:25684184:-1 gene:DRNTG_15614 transcript:DRNTG_15614.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTEGAHGEKRKGTPNKRWTKEMDNVLIPLLADMARSGLKVDKSFKCQAFLEAANVVNSRFPAVCMDADNVENHMRTLKQKYQDIKKLMNLSGVGWNATEKKLVLEDETYRTYVELRLVAGDDHATGDYARTIFDQFGGTPGEDEIAPPPNTPLDGEPMDTVHQRHEALRSSMNRTTARAPRRTRTNGENGSAENIGERIGELAASIDRTRKKTWKEKLTDVLWDIEGYSDDDMEMVYNKLIDNKKEAENFYLRKPSLRKRWIDNFIASMRNSSP >DRNTG_19582.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001021.1:17807:18064:-1 gene:DRNTG_19582 transcript:DRNTG_19582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLTKKALQRTDTRIALVNEVLAAMDTMKCYSWEENFQSKV >DRNTG_27388.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1244153:1245422:-1 gene:DRNTG_27388 transcript:DRNTG_27388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTSSSSSSSSPAMESFGAFVVLSEDLVLKILAKLEADPRDWARISCVSSRFSTLVSNVCCRSKCTRSIPSVVSDLVPLSPSSPDPPGGWASLHKLSVCCPGLLHAGVLLEHSDFGLELEIGPDLPFLPRNKPQAPPLPSDPSSASSSWSLFDDLYLDTVYDVSESQIPPAPQPPADAVVQAPCRKRKRQLSGHVDSHLASGVWNLSREQGNKLLASRFRGDCLYICDWPGCLHVEEKRNYMLFRGVFKDFKRSRVWRTINDGNRSKIDLCCAFCACKETWDLHSAFCLRRVFGFHDDGEPVVRAYVCENGHVSGAWTERPMYT >DRNTG_02420.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000114.1:21370:22592:1 gene:DRNTG_02420 transcript:DRNTG_02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLKRVERMSHEIVECPLSLPIECFLSPYSLNSMQPYLVAYCGHMGCEVLRLSDFSAGTLRVKDSEPGRKQGYLSSVAVHAGALEGHTPTWAHTWALAKATRPYCQAKQSRGVGSEPRHETHARDHQPHRRVAMAAKWAAGPCYPAHTISTSRPTTCLLAHAPTWAPDQGYLARIKKSMEGKMETWRAWRKVRAYRTESEKKTCSKSRQIPSKPPRS >DRNTG_04021.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22861487:22861860:-1 gene:DRNTG_04021 transcript:DRNTG_04021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAFESIKKPILCQLRIEEKLLRSSSENWCIINNGTSNSTIVMGVSGKLAKLVNVELVIRDGIPVIMRFSGGGTVIVDVGTVFVTLICSKGVVSRLQPFRL >DRNTG_23463.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:708203:711633:1 gene:DRNTG_23463 transcript:DRNTG_23463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSYQHGILSNFSDEYARRDKLRVQQGFNHIPNLLVPVLQAGQDQDQQIQNIYESPPPPSGAGNMLSEMFNYSSSAASDVLLASQVPVSFRQRPTGNNIGSFSGVGVGGGGGGENWYGIMNSGSGLNSIDEQPTQHVFFMKGSPPSAHDHLHNHESSTFPMVSFGEASYSSGGVVEGQGLSLSLAGDNHRGPIGLMNVLRGSKFVRPTKELLEEFCSVGRGKLKSSGRNRVGHGSISNPNPNPNPNSSACSSSSSKDVVVPPLSPADRFEHQRKKAKLLSMLDEVDRRYNHYCDQMQMVVNSFDAVMGYGSATPYTALAQKAMSRHFRCLKDAVSAQLKQICEFLGDKSAGGGGSGITKGETPRLKLLDQNLRRQRAFHHLGMMEQEAWRPQRGLPERSVNVLRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQHEAKDHEECDDKERSIEERQQRAQSPTQHHHQQQHNTEKPETNALESDISSHSQGPTSISFHQHHHHGHHGHHHRSSSDDAILATSELDVHGVPGDDMFRLAAVYGGVANALVAGDVSLTLGLRHAGNGSSAKPENNRPFALRDCGG >DRNTG_28351.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6855762:6859278:1 gene:DRNTG_28351 transcript:DRNTG_28351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQPTCGLHTTPRRPHPSPPPPPLPPPHLLPVFKLMRILCNRREHLNVQTLGESNRNLNRRTRVKSRVSKTSWELVLAEICERWGLEVFLVRVKFITPDGYKTVCPIENDVDFQRMCHVHSIFKCAVVDLVVETNNVPLSNPNKTNFSRYSASAPVQPHGDPDGVGCLPSSSDHSKMLSLDIGQRFDGVEHFRDVLQNHVIKRNFDFKFIKNEKHRVTVECAADGCRWRLHASKEYNKNTFRIKTINPSQTCGGGIGSASHPKASKKWVSARVIQKLKDRPLYKAIDIQKDMLREHGVHIPYKRAWLGKRACPGGPRRQVTSPTMICLLWYVDKVAETNPDSVAIVEKRR >DRNTG_32910.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1166366:1168582:1 gene:DRNTG_32910 transcript:DRNTG_32910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKPSLLPLLILTICLLSFSATSQSLDSTSTYDGPCPALSAGASSCPIRCFRADPVCGADGVTYWCGCPDAACAGVGVVHTGPCKAGSSSAGLVPREALLLIHITWLFVLGFSFLFGLL >DRNTG_32910.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1166366:1168582:1 gene:DRNTG_32910 transcript:DRNTG_32910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKPSLLPLLILTICLLSFSATSQSLDSTSTYDGPCPALSAGASSCPIRCFRADPVCGADGVTYWCGCPDAACAGVGVVHTGPCKAGSSSAGLVPREALLLIHITWLFVLGFSFLFGLL >DRNTG_32910.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1166366:1167105:1 gene:DRNTG_32910 transcript:DRNTG_32910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKPSLLPLLILTICLLSFSATSQSLDSTSTYDGPCPALSAGASSCPIRCFRADPVCGADGVTYWCGCPDAACAGVGVVHTGPCKAGSSSAGLVPREALLLIHITWLFVLGFSFLFGLL >DRNTG_32910.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1166366:1166991:1 gene:DRNTG_32910 transcript:DRNTG_32910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKPSLLPLLILTICLLSFSATSQSLDSTSTYDGPCPALSAGASSCPIRCFRADPVCGADGVTYWCGCPDAACAGVGVVHTGPCKAGSSSAGLVPREALLLIHITWLFVLGFSFLFGLL >DRNTG_01178.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29224973:29245793:-1 gene:DRNTG_01178 transcript:DRNTG_01178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPGSLPNMEIGSQNEEVVAYNSSTAKKARLQATLSALLDDPLLFDVPRKPTLVDVDTLINMELGSAMKVTVVKVDTTSFDVTVFNSATLKDLKSAISKKINEVEQAQMGHRFISWRHVWNNFCLSHQNEKLIDDKSLLAAFGIRNNSKVNFTPCVISRLFRKHSRRRKHRFFHGLSKKS >DRNTG_05422.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5109293:5111016:1 gene:DRNTG_05422 transcript:DRNTG_05422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYALEREMEELDAKRAYQSDPALDFQGKLLGAKMYALEKEMEELDAKRACQNDSALDIQEKLLASKIYALEQQIRMSTANTYALEERLKKILAMRATPTYPQQLGPYQPQVSQFQPQQQPKRIFTTEDILARFMIGTELRFTHNEKKLDELVTVLKSVQTSIQALENQVRQLVKANSEPSSSSPSSNIENSPSETLKAVDFSG >DRNTG_22213.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1367775:1368781:-1 gene:DRNTG_22213 transcript:DRNTG_22213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLSKQGLERLMKKEKLDAVVTPEAFFTSIMAIGGYPGISVPAGYGRDGSPFGLCFTGLKGFEPRLIEIAYAFEQATNVRKPPSFKP >DRNTG_03401.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20904834:20906163:1 gene:DRNTG_03401 transcript:DRNTG_03401.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Transcription factor RF2a [Source: Projected from Oryza sativa (Os09g0516200)] MRYIQELEMRLQTLRAEAAALSAELSMLQREIQELMIENHELRMELQLIEQQVQIQDAVNDSLREELQRLRFIASQLFQIVRMPIGGPVMNYGQQFINPNPPVQAHLPVHQLQQLQIHQPQLPAHQYQLQQNPEQPQVDFAEFFSIIFIILLGIY >DRNTG_03401.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20902414:20906163:1 gene:DRNTG_03401 transcript:DRNTG_03401.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Transcription factor RF2a [Source: Projected from Oryza sativa (Os09g0516200)] MTNSLAAGSDASLNLAAASSARTETTVASSSSSSLHGALGFATMMFSTEINQMPDFPPVNSGHRRAHSENLGCQYGFRFDGELRVVGSGADEPSSDDTDEDVFAMFIDTEKLEADLTMDAEASAIKPADVAVAASERPARIRHRRSLSEEGSSAMRAEMVVGRNRGTSRVEGRRAISSEQLEELALVDPKRAKRIRDNRLSAARSKEKKMRYIQELEMRLQTLRAEAAALSAELSMLQREIQELMIENHELRMELQLIEQQVQIQDAVNDSLREELQRLRFIASQLFQIVRMPIGGPVMNYGQQFINPNPPVQAHLPVHQLQQLQIHQPQLPAHQYQLQQNPEQPQVDFAEFFSIIFIILLGIY >DRNTG_12841.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21188474:21191543:1 gene:DRNTG_12841 transcript:DRNTG_12841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMITSRKDPTLVCFPSSSLSIYILIKTSLENRNCLYPLRFLASVRKEKRKRSKEGGRDKIRRKRRRRLMADWGPVVIAVVLFVLLTPGLLFQLPGNGRVVEFNNFKTSGISIFVHTIIFFGLITIFLIAIGVHIYTG >DRNTG_34978.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002222.1:5099:7699:1 gene:DRNTG_34978 transcript:DRNTG_34978.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 19 kDa protein [Source:Projected from Arabidopsis thaliana (AT1G48160) UniProtKB/Swiss-Prot;Acc:Q943Z6] MEGQGPNIKKWMVLYPIYINSKKTIAEGRRISAAKACENPTCLEIVDCCNYLKIPSAIELDKAYPRDFMQRGRVRVLLRREDGSPYNPAIGTRKQLMHQVAELVPKHHGRTKKQEPAATSTAAGPSKSGKSGKKKK >DRNTG_34978.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002222.1:5099:7739:1 gene:DRNTG_34978 transcript:DRNTG_34978.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 19 kDa protein [Source:Projected from Arabidopsis thaliana (AT1G48160) UniProtKB/Swiss-Prot;Acc:Q943Z6] MEGQGPNIKKWMVLYPIYINSKKTIAEGRRISAAKACENPTCLEIVDCCNYLKIPSAIELDKAYPRDFMQRGRVRVLLRREDGSPYNPAIGTRKQLMHQVAELVPKHHGRTKKQEPAATSTAAGPSKSGKSGKKKK >DRNTG_34978.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002222.1:5099:7699:1 gene:DRNTG_34978 transcript:DRNTG_34978.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 19 kDa protein [Source:Projected from Arabidopsis thaliana (AT1G48160) UniProtKB/Swiss-Prot;Acc:Q943Z6] MEGQGPNIKKWMVLYPIYINSKKTIAEGRRISAAKACENPTCLEIVDCCNYLKIPSAIELDKAYPRDFMQRGRVRVLLRREDGSPYNPAIGTRKQLMHQVAELVPKHHGRTKKQEPAATSTAAGPSKSGKSGKKKK >DRNTG_34978.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002222.1:5099:7739:1 gene:DRNTG_34978 transcript:DRNTG_34978.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 19 kDa protein [Source:Projected from Arabidopsis thaliana (AT1G48160) UniProtKB/Swiss-Prot;Acc:Q943Z6] MEGQGPNIKKWMVLYPIYINSKKTIAEGRRISAAKACENPTCLEIVDCCNYLKIPSAIELDKAYPRDFMQRGRVRVLLRREDGSPYNPAIGTRKQLMHQVAELVPKHHGRTKKQEPAATSTAAGPSKSGKSGKKKK >DRNTG_34978.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002222.1:5099:7774:1 gene:DRNTG_34978 transcript:DRNTG_34978.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 19 kDa protein [Source:Projected from Arabidopsis thaliana (AT1G48160) UniProtKB/Swiss-Prot;Acc:Q943Z6] MEGQGPNIKKWMVLYPIYINSKKTIAEGRRISAAKACENPTCLEIVDCCNYLKIPSAIELDKAYPRDFMQRGRVRVLLRREDGSPYNPAIGTRKQLMHQVAELVPKHHGRTKKQEPAATSTAAGPSKSGKSGKKKK >DRNTG_34978.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002222.1:5099:7699:1 gene:DRNTG_34978 transcript:DRNTG_34978.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 19 kDa protein [Source:Projected from Arabidopsis thaliana (AT1G48160) UniProtKB/Swiss-Prot;Acc:Q943Z6] MEGQGPNIKKWMVLYPIYINSKKTIAEGRRISAAKACENPTCLEIVDCCNYLKIPSAIELDKAYPRDFMQRGRVRVLLRREDGSPYNPAIGTRKQLMHQVAELVPKHHGRTKKQEPAATSTAAGPSKSGKSGKKKK >DRNTG_34978.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002222.1:5099:7699:1 gene:DRNTG_34978 transcript:DRNTG_34978.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 19 kDa protein [Source:Projected from Arabidopsis thaliana (AT1G48160) UniProtKB/Swiss-Prot;Acc:Q943Z6] MEGQGPNIKKWMVLYPIYINSKKTIAEGRRISAAKACENPTCLEIVDCCNYLKIPSAIELDKAYPRDFMQRGRVRVLLRREDGSPYNPAIGTRKQLMHQVAELVPKHHGRTKKQEPAATSTAAGPSKSGKSGKKKK >DRNTG_28913.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22000085:22001013:1 gene:DRNTG_28913 transcript:DRNTG_28913.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKPSKEQLIHGVENHFLSQQLDEMQVIVRFIHTKKRMRAQ >DRNTG_28913.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21998238:22001013:1 gene:DRNTG_28913 transcript:DRNTG_28913.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDISASRILSPSLVESSDEDLAVLPRHTKVIVTGNNRTKSVLVGLQGVVKKATGLGGWHWLVLKNGVEVKLQRNALSVLEAPSGNEDHRDIDCDNSSCGCLDIEDKDVNYSTKEFHRSTKPRARNAKSWTSSTTSTKSSNQNNYRDIQLKKVNLSKLGTAALWRYWRHFNLVGMNPKPSKEQLIHGVENHFLSQQLDEMQVIVRFIHTKKRMRAQ >DRNTG_06713.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21171711:21179922:-1 gene:DRNTG_06713 transcript:DRNTG_06713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSIYLPPRMDRIIHSSSISSSSTMAANPQTHFLFITYPMQSHINPALHLAKHFATTTGATVTFSTTIFAHRRMFSSTTNSDKGFNDGLITYLPFSDGLDGEDYKLTTMDSKEYFSLFRTNSKRNVSILLNDLATSGRPVKCIVYTLLLDWVVDIAGEHGIPSVLYWIQAATVFGTYYHFFHGFECLIKAHADDPSFPVCFPGLPPLQIRDLPSFLTDTEADGIYATILDSFRELFKILDGKQEKKMKKPKVLINTFQEWETVALASFSNEVEAIPVGHLPKEYTNSGAGYLFKEDEKKYMEWLDTKEEESVVYISFGSLSVMKKEQIEEIVQGLKESKRPYLWVVRKDNREKELIEIEEEEGEDVNGMMVEWCSQVRVLAHKAVGCFCDTLWMELNFGELGVRRANGVRATVVGSRDECEVGGEFVGVWSEE >DRNTG_06713.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21177123:21179922:-1 gene:DRNTG_06713 transcript:DRNTG_06713.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSIYLPPRMDRIIHSSSISSSSTMAANPQTHFLFITYPMQSHINPALHLAKHFATTTGATVTFSTTIFAHRRMFSSTTNSDKGFNDGLITYLPFSDGLDGEDYKLTTMDSKEYFSLFRTNSKRNVSILLNDLATSGRPVKCIVYTLLLDWVVDIAGEHGIPSVLYWIQAATVFGTYYHFFHGFECLIKAHADDPSFPVCFPGLPPLQIRDLPSFLTDTEADGIYATILDSFRELFKILDGKQEKKMKKPKVLINTFQEWETVALASFSNEVEAIPVGHLPKEYTNSVAGYLFREDEKKYMEWLDTKEEGSVVYISFGSVSMMKKEQMEEIVKALKESKRPYLWVVRKDNREEELLEIEEGEDGMVVEWCSQVKVLAHRAVGCFVTHCGWNSTLESLVCGVPHWTDQAMNAKLVET >DRNTG_06713.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21171711:21173500:-1 gene:DRNTG_06713 transcript:DRNTG_06713.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLDTKEEESVVYISFGSLSVMKKEQIEEIVQGLKESKRPYLWVVRKDNREKELIEIEEEEGEDVNGMMVEWCSQVRVLAHKAVGCFCDTLWMELNFGELGVRRANGVRATVVGSRDECEVGGEFVGVWSEE >DRNTG_04459.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:24071775:24072787:-1 gene:DRNTG_04459 transcript:DRNTG_04459.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKDVQIQSNLLSLRTYHSFKAKAVHLSQSRKKKEEEEREDTKKEKQVELKPFVTNHACQPTEQLQLPCMHASML >DRNTG_10367.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21178479:21183384:-1 gene:DRNTG_10367 transcript:DRNTG_10367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTMARSFLQAVASEEVTPPLRVVQMEGLAVLKIIKHCKEFSPALVTGQLLGLDVGSVLEVTNCFPFPIREDDEEIEADGANYQLEMMRCLREVNVDNNTVGWYQSTLMGLYQTVELIETFMNYQENIRRCVCIIYDPSKSNQGVLALKALKLSDSFMELYRNNEFNGEKLREKKVTWVDIFEEIPIKVSNSALISAFMTELEPDSPVAQCDFDRLQLSTSSFMERNLEFMIECMEDLSAEQNKFQYYYRNVSRQQAQQQAWLQKRRTENMARKAAGEELLPEEDPSNPIFKPIPEPSRLDSYLINNQIANYCNQINGVAGQNFSRLYLMKALHEN >DRNTG_11667.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:221774:224018:1 gene:DRNTG_11667 transcript:DRNTG_11667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMARPDRSDAHLPPEQEAKIEEETREYFEGIAPKRHTKPSRSEYSSVYSDALQPSDQTSIPELDKLRELESHPQKLVYNGTEPSEEYVETEYYTDLNGVDKEHHTTGTGFIKMEKSNDSCFELTPTSDVTDGHKSSMCNPATNEWIPSAESVIPVSNKPHRSDA >DRNTG_25390.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24412466:24415331:-1 gene:DRNTG_25390 transcript:DRNTG_25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--NADP reductase, leaf isozyme 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G20020) UniProtKB/Swiss-Prot;Acc:Q8W493] MASTAVTASVSLPSSKTSSLVLPSRTYISSSDKLTLNKTCLYTKSVSFSTRKLVTVRAQVTTEAPVKVKKESKKDDEGVVTNKYRPANPYIGKCLLNTKITGDDAPGETWHMVFSTEGEVPYREGQSVGVIADGIDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNDQGEIVKGVCSNYLCDLKPGADVKLTGPVGKEMLMPKDPNATIIMLATGTGIAPFRGFLWKMFFEKHENYKFNGLAWLFLGVPTSSSLLYKEEFEKMKEKAPDNFRLDFAVSREQTNEKGEKMYIQTRMAQYAEELWELLKKDNTFVYMCGLKGMEKGIDDIMGSLAAKDGIDWLDYKKQLKKGEQWNVEVY >DRNTG_05886.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4218700:4219127:-1 gene:DRNTG_05886 transcript:DRNTG_05886.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease regulatory subunit CLPX3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G33360) UniProtKB/Swiss-Prot;Acc:Q66GN9] HYCLTIPLIRCIYVQIDTKDILFICGGAFVDLEKTISDRCHDSSIGFGAPIRASMRTGGLPNAVVTSSLLESVSIKN >DRNTG_05886.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4223577:4224277:-1 gene:DRNTG_05886 transcript:DRNTG_05886.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease regulatory subunit CLPX3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G33360) UniProtKB/Swiss-Prot;Acc:Q66GN9] MSGILRWTKLPHAAAQAARGITQAHAYSRARGPYRHRHPQERQMSEGGGSGSLDENRNWRTRAERRMSCEDGGRQESKHCPECGGALYSLPRIFVPLVESLG >DRNTG_05886.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4216941:4218179:-1 gene:DRNTG_05886 transcript:DRNTG_05886.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease regulatory subunit CLPX3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G33360) UniProtKB/Swiss-Prot;Acc:Q66GN9] MNNVTLHITDTALRLIARKAMAKNTGARGLRSILENILTEAMYEVPDVKSGNDRVDAVLVDEESVGTINQRGSGAKILRGHGALYRYLTENERKNSTTDDVSDSGEAEADSELQPRAVMSM >DRNTG_05886.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4216941:4224277:-1 gene:DRNTG_05886 transcript:DRNTG_05886.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease regulatory subunit CLPX3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G33360) UniProtKB/Swiss-Prot;Acc:Q66GN9] MPGNPPMENWPGMRESPPAESSRLMEHMSEERVLEYGGGSEGSGRCSAEESWGGAYLGEDLPTPKEICEGLDKFVIGQERAKKVLSVAVYNHYKRIYQASSQKWSGSEYFVAENDDSEVELEKSNVLLMGPTGSGKTLLAKTLARLVNVPFVIADATALTQAGYVGDDVESILYKLLMVSGYNVEAAQQGIVYIDEVDKITRKAESLNVSRDVSGEGVQQALLKMLEGTIVNVPEKGSRKHFIQIDTKDILFICGGAFVDLEKTISDRCHDSSIGFGAPIRASMRTGGLPNAVVTSSLLESVESDDLATYGLIPEFVGRFPILVSLSALNEDQLLQVLSEPKNALCKQYRKLFNMNNVTLHITDTALRLIARKAMAKNTGARGLRSILENILTEAMYEVPDVKSGNDRVDAVLVDEESVGTINQRGSGAKILRGHGALYRYLTENERKNSTTDDVSDSGEAEADSELQPRAVMSM >DRNTG_05886.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4216941:4220163:-1 gene:DRNTG_05886 transcript:DRNTG_05886.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease regulatory subunit CLPX3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G33360) UniProtKB/Swiss-Prot;Acc:Q66GN9] MLEGTIVNVPEKGSRKHFIQIDTKDILFICGGAFVDLEKTISDRCHDSSIGFGAPIRASMRTGGLPNAVVTSSLLESVESDDLATYGLIPEFVGRFPILVSLSALNEDQLLQVLSEPKNALCKQYRKLFNMNNVTLHITDTALRLIARKAMAKNTGARGLRSILENILTEAMYEVPDVKSGNDRVDAVLVDEESVGTINQRGSGAKILRGHGALYRYLTENERKNSTTDDVSDSGEAEADSELQPRAVMSM >DRNTG_05886.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4216941:4218035:-1 gene:DRNTG_05886 transcript:DRNTG_05886.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease regulatory subunit CLPX3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G33360) UniProtKB/Swiss-Prot;Acc:Q66GN9] MAKNTGARGLRSILENILTEAMYEVPDVKSGNDRVDAVLVDEESVGTINQRGSGAKILRGHGALYRYLTENERKNSTTDDVSDSGEAEADSELQPRAVMSM >DRNTG_05886.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4222460:4222802:-1 gene:DRNTG_05886 transcript:DRNTG_05886.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease regulatory subunit CLPX3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G33360) UniProtKB/Swiss-Prot;Acc:Q66GN9] VLSVAVYNHYKRIYQASSQKWSGSEYFVAENDDSEVELEKSNVLLMGPTGS >DRNTG_05886.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4222222:4224277:-1 gene:DRNTG_05886 transcript:DRNTG_05886.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease regulatory subunit CLPX3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G33360) UniProtKB/Swiss-Prot;Acc:Q66GN9] MPGNPPMENWPGMRESPPAESSRLMEHMSEERVLEYGGGSEGSGRCSAEESWGGAYLGEDLPTPKEICEGLDKFVIGQERAKKVLSVAVYNHYKRIYQASSQKWSGSEYFVAENDDSEVELEKSNVLLMGPTGSGKTLLAKTLARLVNVPFVIADATALTQ >DRNTG_03002.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19039170:19039472:1 gene:DRNTG_03002 transcript:DRNTG_03002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSFLRKKVGRGASVEASLNSSNCPFVYPKIHVIGSVPMKALPRTFFQMECNVEAIEPLQIPYQYLSKP >DRNTG_10474.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16706305:16711133:1 gene:DRNTG_10474 transcript:DRNTG_10474.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYYRRALKLQAFLDMASEREILEGYKAVTDPAEEEKKSQRSLSAQLEAVADMKFTYVATCQNYGNQKQSGDRRATDILNLMVNYPALRVAYIDEVEERDGGKVYKVYYSVLVKAMENHDQEIYRIRLPGNAKIGEGKPENQNHAIIFTRGEALQTIDMNQDNYMEEALKMRNLLEEFNEDHGVRQPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLARPLKVRFHYGHPDVFDRIFHITRGGISKASRGINLSEDIFAGFNSTLRRGNITHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQILSRDIYRLGHRFDFFRMMSCYFTTVGFYVSSMAVVIILYAFLYGRLYLSLSGLEKAIIYQAKKRGNKALEAAMASQSIVQLGILMALPMVMEIGLERGFRSALGDFIIMQLQLCAVFFTFSLGTKSHYFGRTVLHGGAKYRATGRGFVVRHEKFAENYRMYSRSHFVKGLELMILLIVYQIYGDVTTDLTSYLLLTSSMWFLVVSWLFAPFLFNPSGFEWQKIVDDWDDWTKWISSRGGIGVPANKSWESWWDEEQEHLLYTGVLGRFWEIFLSLRFFLFQYGIVYHLHVSNNDKTIIVYGLSWLVIVAVMIILKVVSMGRKKFSADFQLMFRLLKLFLFVGSIGTLVTLFTLLHLTVSDIFASMLAFIPTGWALLQIAQACKPIVKAFGLWSSVKALARGYEYMMGLAIFAPVAILAWFPFVSEFQTRLLFNQAFSRGLQISRILAGGKKQK >DRNTG_10474.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16706085:16711133:1 gene:DRNTG_10474 transcript:DRNTG_10474.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERINCKRESEVWGNEENVLQLRHWASQRGQTLCRTVRGLMYYRRALKLQAFLDMASEREILEGYKAVTDPAEEEKKSQRSLSAQLEAVADMKFTYVATCQNYGNQKQSGDRRATDILNLMVNYPALRVAYIDEVEERDGGKVYKVYYSVLVKAMENHDQEIYRIRLPGNAKIGEGKPENQNHAIIFTRGEALQTIDMNQDNYMEEALKMRNLLEEFNEDHGVRQPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLARPLKVRFHYGHPDVFDRIFHITRGGISKASRGINLSEDIFAGFNSTLRRGNITHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQILSRDIYRLGHRFDFFRMMSCYFTTVGFYVSSMAVVIILYAFLYGRLYLSLSGLEKAIIYQAKKRGNKALEAAMASQSIVQLGILMALPMVMEIGLERGFRSALGDFIIMQLQLCAVFFTFSLGTKSHYFGRTVLHGGAKYRATGRGFVVRHEKFAENYRMYSRSHFVKGLELMILLIVYQIYGDVTTDLTSYLLLTSSMWFLVVSWLFAPFLFNPSGFEWQKIVDDWDDWTKWISSRGGIGVPANKSWESWWDEEQEHLLYTGVLGRFWEIFLSLRFFLFQYGIVYHLHVSNNDKTIIVYGLSWLVIVAVMIILKVVSMGRKKFSADFQLMFRLLKLFLFVGSIGTLVTLFTLLHLTVSDIFASMLAFIPTGWALLQIAQACKPIVKAFGLWSSVKALARGYEYMMGLAIFAPVAILAWFPFVSEFQTRLLFNQAFSRGLQISRILAGGKKQK >DRNTG_10474.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16704463:16708446:1 gene:DRNTG_10474 transcript:DRNTG_10474.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSALPTLCKKFVELVGILKEADESKRDTVVLLLQDMLEVITRDMMVNEIRELVELGHGSKDTSPGRQLFAGTGSKPAILFPPAMTAQWEEQIKRLYLLLTVKESAEDVPTNLEARRRIAFFSNSLFMDMPRAPRVRKMLSFSVMTPYYSEETVYSKNDLDLENEDGVSIIFYLQKIFPDEWNNFMERINCKRESEVWGNEENVLQLRHWASQRGQTLCRTVRGLMYYRRALKLQAFLDMASEREILEGYKAVTDPAEEEKKSQRSLSAQLEAVADMKFTYVATCQNYGNQKQSGDRRATDILNLMVNYPALRVAYIDEVEERDGGKVYKVYYSVLVKAMENHDQEIYRIRLPGNAKIGEGKPENQNHAIIFTRGEALQTIDMNQDNYMEEALKMRNLLEEFNEDHGVRQPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLARPLKVRFHYGHPDVFDRIFHITRGGISKASRGINLSEDIFA >DRNTG_10474.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16701407:16711133:1 gene:DRNTG_10474 transcript:DRNTG_10474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSRFDSLPGAFNTYLVPSEKIRNKGFTFSKRFAEVSPGQRTEAAKFAQLWNEVICSFREEDFISDREMDLLLVPYSSDPSLKVIQWPPFLLASKIPIALDMAAQFRTRDSDLWKRISADEYMKCAVLECYESFKLVLNFLVVGETEKRIIGIIIKDVELNISRNTFLSNYRMSALPTLCKKFVELVGILKEADESKRDTVVLLLQDMLEVITRDMMVNEIRELVELGHGSKDTSPGRQLFAGTGSKPAILFPPAMTAQWEEQIKRLYLLLTVKESAEDVPTNLEARRRIAFFSNSLFMDMPRAPRVRKMLSFSVMTPYYSEETVYSKNDLDLENEDGVSIIFYLQKIFPDEWNNFMERINCKRESEVWGNEENVLQLRHWASQRGQTLCRTVRGLMYYRRALKLQAFLDMASEREILEGYKAVTDPAEEEKKSQRSLSAQLEAVADMKFTYVATCQNYGNQKQSGDRRATDILNLMVNYPALRVAYIDEVEERDGGKVYKVYYSVLVKAMENHDQEIYRIRLPGNAKIGEGKPENQNHAIIFTRGEALQTIDMNQDNYMEEALKMRNLLEEFNEDHGVRQPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLARPLKVRFHYGHPDVFDRIFHITRGGISKASRGINLSEDIFAGFNSTLRRGNITHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQILSRDIYRLGHRFDFFRMMSCYFTTVGFYVSSMAVVIILYAFLYGRLYLSLSGLEKAIIYQAKKRGNKALEAAMASQSIVQLGILMALPMVMEIGLERGFRSALGDFIIMQLQLCAVFFTFSLGTKSHYFGRTVLHGGAKYRATGRGFVVRHEKFAENYRMYSRSHFVKGLELMILLIVYQIYGDVTTDLTSYLLLTSSMWFLVVSWLFAPFLFNPSGFEWQKIVDDWDDWTKWISSRGGIGVPANKSWESWWDEEQEHLLYTGVLGRFWEIFLSLRFFLFQYGIVYHLHVSNNDKTIIVYGLSWLVIVAVMIILKVVSMGRKKFSADFQLMFRLLKLFLFVGSIGTLVTLFTLLHLTVSDIFASMLAFIPTGWALLQIAQACKPIVKAFGLWSSVKALARGYEYMMGLAIFAPVAILAWFPFVSEFQTRLLFNQAFSRGLQISRILAGGKKQK >DRNTG_10474.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16708543:16711133:1 gene:DRNTG_10474 transcript:DRNTG_10474.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMSCYFTTVGFYVSSMAVVIILYAFLYGRLYLSLSGLEKAIIYQAKKRGNKALEAAMASQSIVQLGILMALPMVMEIGLERGFRSALGDFIIMQLQLCAVFFTFSLGTKSHYFGRTVLHGGAKYRATGRGFVVRHEKFAENYRMYSRSHFVKGLELMILLIVYQIYGDVTTDLTSYLLLTSSMWFLVVSWLFAPFLFNPSGFEWQKIVDDWDDWTKWISSRGGIGVPANKSWESWWDEEQEHLLYTGVLGRFWEIFLSLRFFLFQYGIVYHLHVSNNDKTIIVYGLSWLVIVAVMIILKVVSMGRKKFSADFQLMFRLLKLFLFVGSIGTLVTLFTLLHLTVSDIFASMLAFIPTGWALLQIAQACKPIVKAFGLWSSVKALARGYEYMMGLAIFAPVAILAWFPFVSEFQTRLLFNQAFSRGLQISRILAGGKKQK >DRNTG_10474.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16703088:16711133:1 gene:DRNTG_10474 transcript:DRNTG_10474.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLVPYSSDPSLKVIQWPPFLLASKIPIALDMAAQFRTRDSDLWKRISADEYMKCAVLECYESFKLVLNFLVVGETEKRIIGIIIKDVELNISRNTFLSNYRMSALPTLCKKFVELVGILKEADESKRDTVVLLLQDMLEVITRDMMVNEIRELVELGHGSKDTSPGRQLFAGTGSKPAILFPPAMTAQWEEQIKRLYLLLTVKESAEDVPTNLEARRRIAFFSNSLFMDMPRAPRVRKMLSFSVMTPYYSEETVYSKNDLDLENEDGVSIIFYLQKIFPDEWNNFMERINCKRESEVWGNEENVLQLRHWASQRGQTLCRTVRGLMYYRRALKLQAFLDMASEREILEGYKAVTDPAEEEKKSQRSLSAQLEAVADMKFTYVATCQNYGNQKQSGDRRATDILNLMVNYPALRVAYIDEVEERDGGKVYKVYYSVLVKAMENHDQEIYRIRLPGNAKIGEGKPENQNHAIIFTRGEALQTIDMNQDNYMEEALKMRNLLEEFNEDHGVRQPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLARPLKVRFHYGHPDVFDRIFHITRGGISKASRGINLSEDIFAGFNSTLRRGNITHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQILSRDIYRLGHRFDFFRMMSCYFTTVGFYVSSMAVVIILYAFLYGRLYLSLSGLEKAIIYQAKKRGNKALEAAMASQSIVQLGILMALPMVMEIGLERGFRSALGDFIIMQLQLCAVFFTFSLGTKSHYFGRTVLHGGAKYRATGRGFVVRHEKFAENYRMYSRSHFVKGLELMILLIVYQIYGDVTTDLTSYLLLTSSMWFLVVSWLFAPFLFNPSGFEWQKIVDDWDDWTKWISSRGGIGVPANKSWESWWDEEQEHLLYTGVLGRFWEIFLSLRFFLFQYGIVYHLHVSNNDKTIIVYGLSWLVIVAVMIILKVVSMGRKKFSADFQLMFRLLKLFLFVGSIGTLVTLFTLLHLTVSDIFASMLAFIPTGWALLQIAQACKPIVKAFGLWSSVKALARGYEYMMGLAIFAPVAILAWFPFVSEFQTRLLFNQAFSRGLQISRILAGGKKQK >DRNTG_31863.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:10001:10493:1 gene:DRNTG_31863 transcript:DRNTG_31863.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIILTLLLLLPLSFFLLRLRRSPENKTPKPISTSNAGSLSELLKNTHRILDWTTDLLAGSPTSTVTTFMATITSNPSNVEHILKSNFPNYPKGSHSTTVLSDLLGAGIFNSDGELWRLQRKTASLEFTTKTIRSFIFSNVHLEISR >DRNTG_08677.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4839632:4845074:1 gene:DRNTG_08677 transcript:DRNTG_08677.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGLHPYHQPWAAPPPPPPAAAIAVENPNRPSSDEVRTIFISGLPEDVKERELHNLLRWLPGFEASQINYKGEQPMGFALFTMAQHAMAAKNALQDLVFDAETKSVLHTEMAKKNLFVKRGVVNDSSSFDQSKRMRTGGDYTHAGYPSPSPFHPPPAPVWGGHGYIAPPAPYDPYAGYPVAQVPMPPPTPVPAPSGYAPIQNNKDNAPCNTLFIGNLGENVNEEELRGLFIVQPGYKQMKVLRQERNTVCFIEFEDVSSATVVHQNLQGAVLTSSGRGGMRIQFSKNPFGRRKDSVNGAAPDGNGTPTTYQQ >DRNTG_08677.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4839632:4845074:1 gene:DRNTG_08677 transcript:DRNTG_08677.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGLHPYHQPWAAPPPPPPAAAIAVENPNRPSSDEVRTIFISGLPEDVKERELHNLLRWLPGFEASQINYKGEQPMGFALFTMAQHAMAAKNALQDLVFDAETKSVLHTEMAKKNLFVKRGVVNDSSSFDQSKRMRTGGDYTHAGYPSPSPFHPPPAPVWGGHGYIAPPAPYDPYAGYPVAQVPMPPPTPVPAPSGYAPIQNNKDNAPCNTLFIGNLGENVNEEELRGLFIVQPGYKQMKVLRQERNTVCFIEFEDVSSATVVHQNLQGAVLTSSGRGGMRIQFSKNPFGRRKDSVNGAAPDGNGTPTTYQQ >DRNTG_08677.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4839632:4845148:1 gene:DRNTG_08677 transcript:DRNTG_08677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGLHPYHQPWAAPPPPPPAAAIAVENPNRPSSDEVRTIFISGLPEDVKERELHNLLRWLPGFEASQINYKGEQPMGFALFTMAQHAMAAKNALQDLVFDAETKSVLHTEMAKKNLFVKRGVVNDSSSFDQSKRMRTGGDYTHAGYPSPSPFHPPPAPVWGGHGYIAPPAPYDPYAGYPVAQVPMPPPTPVPAPSGYAPIQNNKDNAPCNTLFIGNLGENVNEEELRGLFIVQPGYKQMKVLRQERNTVCFIEFEDVSSATVVHQNLQGAVLTSSGRGGMRIQFSKNPFGRRKDSVNGAAPDGNGTPTTYQQ >DRNTG_08677.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4839632:4845074:1 gene:DRNTG_08677 transcript:DRNTG_08677.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGLHPYHQPWAAPPPPPPAAAIAVENPNRPSSDEVRTIFISGLPEDVKERELHNLLRWLPGFEASQINYKGEQPMGFALFTMAQHAMAAKNALQDLVFDAETKSVLHTEMAKKNLFVKRGVVNDSSSFDQSKRMRTGGDYTHAGYPSPSPFHPPPAPVWGGHGYIAPPAPYDPYAGYPVAQVPMPPPTPVPAPSGYAPIQNNKDNAPCNTLFIGNLGENVNEEELRGLFIVQPGYKQMKVLRQERNTVCFIEFEDVSSATVVHQNLQGAVLTSSGRGGMRIQFSKNPFGRRKDSVNGAAPDGNGTPTTYQQ >DRNTG_18078.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:259116:260098:1 gene:DRNTG_18078 transcript:DRNTG_18078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFTASRPTFPFPHLPLLHPTTKPSKVCSFPALSIKKPHLLSFKPTTISSVDVSKEDKPLSEPESLEKEKENKEELEELEEQSSNLDPRRLEEKFAVLNTGIYECRSCGYRYDEAAGDPSYPIPPGFLFGKLPEDWRCPTCGAAKSFFESKSVEIAGFAQNQQFGLGTNTLTSGQKALLIYGGLLLGFIFFLSGYFLQ >DRNTG_08862.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27772691:27775305:1 gene:DRNTG_08862 transcript:DRNTG_08862.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDKLMKMAGAVRTGGKGSVRRKKKAVHKTATTDDKRLQSTLKRVGVNAIPAIEEVNIFKDDTVIQFTNPKVQASIGANTWVVSGSPQTKNLQDLLPGIISQLGPDNLDNLRKIAEQFQKQAPSGAAATAPEDNDDDVPQLVPGENFEQAADEKETA >DRNTG_10010.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3139701:3143084:1 gene:DRNTG_10010 transcript:DRNTG_10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGISGETADHKEETNFLLQQEHMGNEISGEKYNRVTRSSMLMANPFYNFLWTIECFSRLSNSTFVHESRAFNAQNCKWKLEFCRKNNLDGVDFLGLYLVLNEVHPYFAHCQYFVMCQLSLLNQRTGKYASVLVDYEAMPGHSFGFYNLISLRDFYDEKNGYLVNDKCVFAVNIFKASPIRTICETLRAPRAYRRICVAGTKQSTTLRTNPYYNFLWTIECFSSLSNKTDVHKSGKFSALNCKWNLEFCPKNSVDGEDYIALKLVLNEVPSHFAQCQYNVVCQLSLLNQRTGKNNSSSVDYEIAPGCSYCLNKFISLRDFHNKKNDYLVNDKCVFGVNISEASPIITICETLKAPEA >DRNTG_21597.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001223.1:17705:20282:-1 gene:DRNTG_21597 transcript:DRNTG_21597.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKLEIAGKKASFRNYDLLQPKDDFNFEQRDWMTVQLEELPEGPKLIMGLNPPFGVKASLANAFIDKALTFKPKLLILIVPKETTRLDRKHPPYDLIWEDDKKLSGKSFYLPGSIDLEDQQLEQWNLKPPPLSLWSRSDWTMKHQEITIRYNLMPVDYNSAATQDGCGASPCGWRNVQKKIKSYKKSVRFKDEVGMLSTNKSSHESISPSIARSEASELPSAGEINRSSSKLPTEGYRQILSRLYGRRATADPSPLNDHVGGESDIAMDKVASPPS >DRNTG_21597.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001223.1:17705:18537:-1 gene:DRNTG_21597 transcript:DRNTG_21597.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQEITIRYNLMPVDYNSAATQDGCGASPCGWRNVQKKIKSYKKSVRFKDEVGMLSTNKSSHESISPSIARSEASELPSAGEINRSSSKLPTEGYRQILSRLYGRRATADPSPLNDHVGGESDIAMDKVASPPS >DRNTG_21597.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001223.1:17705:20621:-1 gene:DRNTG_21597 transcript:DRNTG_21597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKLEIAGKKASFRNYDLLQPKDDFNFEQRDWMTVQLEELPEGPKLIMGLNPPFGVKASLANAFIDKALTFKPKLLILIVPKETTRLDRKHPPYDLIWEDDKKLSGKSFYLPGSIDLEDQQLEQWNLKPPPLSLWSRSDWTMKHQEITIRYNLMPVDYNSAATQDGCGASPCGWRNVQKKIKSYKKSVRFKDEVGMLSTNKSSHESISPSIARSEASELPSAGEINRSSSKLPTEGYRQILSRLYGRRATADPSPLNDHVGGESDIAMDKVASPPS >DRNTG_13599.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23714891:23718075:-1 gene:DRNTG_13599 transcript:DRNTG_13599.11 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLKSWVFSANYHRDTLWRSIKATISIVLVFSVVFISYSAVSSSHYQWSCPHCDQYPDPFPVAIPGPTASPEPTNISHIVFGIGASSHTWHQRRGYSELWWRPGEMKGHVWLDEEPKRGSWSDTCPPYRVSANTSRYGARASASRIARIVAESFALGMENVRWFVMGDDDTVFFIENLVAVLGKYDHDQMWYIGAPSESVEQNLQHSYGTAFGGGGFAISYPAAAELAKVIDGCLDRYIYFYGSDERIRACLSELGVPLTREPGFHQVDLRGDAYGMLAAHPIAPLVSLHHLDDVHTVIPSQSTQMNAIRTLMDASRHDPARTLQQTFCYNREQGHNWSVSVSWGYTVQLYHWVVPPHDLEVPLQTFLTWRSSQNGPFVFNTRPFTVSNNCEPMLFFLDRVSERRKAQQVSVTEYTRHESSIDKGCDRAGFASASQVRSIRVVAPKMDPYEWQKAPRRHCCEARSKVSNEKIIDVWIRHCYPGEITSPP >DRNTG_13599.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23714741:23718075:-1 gene:DRNTG_13599 transcript:DRNTG_13599.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLKSWVFSANYHRDTLWRSIKATISIVLVFSVVFISYSAVSSSHYQWSCPHCDQYPDPFPVAIPGPTASPEPTNISHIVFGIGASSHTWHQRRGYSELWWRPGEMKGHVWLDEEPKRGSWSDTCPPYRVSANTSRYGARASASRIARIVAESFALGMENVRWFVMGDDDTVFFIENLVAVLGKYDHDQMWYIGAPSESVEQNLQHSYGTAFGGGGFAISYPAAAELAKVIDGCLDRYIYFYGSDERIRACLSELGVPLTREPGFHQVDLRGDAYGMLAAHPIAPLVSLHHLDDVHTVIPSQSTQMNAIRTLMDASRHDPARTLQQTFCYNREQGHNWSVSVSWGYTVQLYHWVVPPHDLEVPLQTFLTWRSSQNGPFVFNTRPFTVSNNCEPMLFFLDRVSERRKAQQVSVTEYTRHESSIDKGCDRAGFASASQVRSIRVVAPKMDPYEWQKAPRRHCCEARSKVSNEKIIDVWIRHCYPGEITSPP >DRNTG_13599.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23714741:23718510:-1 gene:DRNTG_13599 transcript:DRNTG_13599.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLKSWVFSANYHRDTLWRSIKATISIVLVFSVVFISYSAVSSSHYQWSCPHCDQYPDPFPVAIPGPTASPEPTNISHIVFGIGASSHTWHQRRGYSELWWRPGEMKGHVWLDEEPKRGSWSDTCPPYRVSANTSRYGARASASRIARIVAESFALGMENVRWFVMGDDDTVFFIENLVAVLGKYDHDQMWYIGAPSESVEQNLQHSYGTAFGGGGFAISYPAAAELAKVIDGCLDRYIYFYGSDERIRACLSELGVPLTREPGFHQVDLRGDAYGMLAAHPIAPLVSLHHLDDVHTVIPSQSTQMNAIRTLMDASRHDPARTLQQTFCYNREQGHNWSVSVSWGYTVQLYHWVVPPHDLEVPLQTFLTWRSSQNGPFVFNTRPFTVSNNCEPMLFFLDRVSERRKAQQVSVTEYTRHESSIDKGCDRAGFASASQVRSIRVVAPKMDPYEWQKAPRRHCCEARSKVSNEKIIDVWIRHCYPGEITSPP >DRNTG_13599.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23714663:23718075:-1 gene:DRNTG_13599 transcript:DRNTG_13599.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLKSWVFSANYHRDTLWRSIKATISIVLVFSVVFISYSAVSSSHYQWSCPHCDQYPDPFPVAIPGPTASPEPTNISHIVFGIGASSHTWHQRRGYSELWWRPGEMKGHVWLDEEPKRGSWSDTCPPYRVSANTSRYGARASASRIARIVAESFALGMENVRWFVMGDDDTVFFIENLVAVLGKYDHDQMWYIGAPSESVEQNLQHSYGTAFGGGGFAISYPAAAELAKVIDGCLDRYIYFYGSDERIRACLSELGVPLTREPGFHQVDLRGDAYGMLAAHPIAPLVSLHHLDDVHTVIPSQSTQMNAIRTLMDASRHDPARTLQQTFCYNREQGHNWSVSVSWGYTVQLYHWVVPPHDLEVPLQTFLTWRSSQNGPFVFNTRPFTVSNNCEPMLFFLDRVSERRKAQQVSVTEYTRHESSIDKGCDRAGFASASQVRSIRVVAPKMDPYEWQKAPRRHCCEARSKVSNEKIIDVWIRHCYPGEITSPP >DRNTG_13599.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23714663:23718312:-1 gene:DRNTG_13599 transcript:DRNTG_13599.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLKSWVFSANYHRDTLWRSIKATISIVLVFSVVFISYSAVSSSHYQWSCPHCDQYPDPFPVAIPGPTASPEPTNISHIVFGIGASSHTWHQRRGYSELWWRPGEMKGHVWLDEEPKRGSWSDTCPPYRVSANTSRYGARASASRIARIVAESFALGMENVRWFVMGDDDTVFFIENLVAVLGKYDHDQMWYIGAPSESVEQNLQHSYGTAFGGGGFAISYPAAAELAKVIDGCLDRYIYFYGSDERIRACLSELGVPLTREPGFHQVDLRGDAYGMLAAHPIAPLVSLHHLDDVHTVIPSQSTQMNAIRTLMDASRHDPARTLQQTFCYNREQGHNWSVSVSWGYTVQLYHWVVPPHDLEVPLQTFLTWRSSQNGPFVFNTRPFTVSNNCEPMLFFLDRVSERRKAQQVSVTEYTRHESSIDKGCDRAGFASASQVRSIRVVAPKMDPYEWQKAPRRHCCEARSKVSNEKIIDVWIRHCYPGEITSPP >DRNTG_13599.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23714531:23718312:-1 gene:DRNTG_13599 transcript:DRNTG_13599.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLKSWVFSANYHRDTLWRSIKATISIVLVFSVVFISYSAVSSSHYQWSCPHCDQYPDPFPVAIPGPTASPEPTNISHIVFGIGASSHTWHQRRGYSELWWRPGEMKGHVWLDEEPKRGSWSDTCPPYRVSANTSRYGARASASRIARIVAESFALGMENVRWFVMGDDDTVFFIENLVAVLGKYDHDQMWYIGAPSESVEQNLQHSYGTAFGGGGFAISYPAAAELAKVIDGCLDRYIYFYGSDERIRACLSELGVPLTREPGFHQVDLRGDAYGMLAAHPIAPLVSLHHLDDVHTVIPSQSTQMNAIRTLMDASRHDPARTLQQTFCYNREQGHNWSVSVSWGYTVQLYHWVVPPHDLEVPLQTFLTWRSSQNGPFVFNTRPFTVSNNCEPMLFFLDRVSERRKAQQVSVTEYTRHESSIDKGCDRAGFASASQVRSIRVVAPKMDPYEWQKAPRRHCCEARSKVSNEKIIDVWIRHCYPGEITSPP >DRNTG_13599.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23714891:23718312:-1 gene:DRNTG_13599 transcript:DRNTG_13599.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLKSWVFSANYHRDTLWRSIKATISIVLVFSVVFISYSAVSSSHYQWSCPHCDQYPDPFPVAIPGPTASPEPTNISHIVFGIGASSHTWHQRRGYSELWWRPGEMKGHVWLDEEPKRGSWSDTCPPYRVSANTSRYGARASASRIARIVAESFALGMENVRWFVMGDDDTVFFIENLVAVLGKYDHDQMWYIGAPSESVEQNLQHSYGTAFGGGGFAISYPAAAELAKVIDGCLDRYIYFYGSDERIRACLSELGVPLTREPGFHQVDLRGDAYGMLAAHPIAPLVSLHHLDDVHTVIPSQSTQMNAIRTLMDASRHDPARTLQQTFCYNREQGHNWSVSVSWGYTVQLYHWVVPPHDLEVPLQTFLTWRSSQNGPFVFNTRPFTVSNNCEPMLFFLDRVSERRKAQQVSVTEYTRHESSIDKGCDRAGFASASQVRSIRVVAPKMDPYEWQKAPRRHCCEARSKVSNEKIIDVWIRHCYPGEITSPP >DRNTG_13599.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23714531:23718075:-1 gene:DRNTG_13599 transcript:DRNTG_13599.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLKSWVFSANYHRDTLWRSIKATISIVLVFSVVFISYSAVSSSHYQWSCPHCDQYPDPFPVAIPGPTASPEPTNISHIVFGIGASSHTWHQRRGYSELWWRPGEMKGHVWLDEEPKRGSWSDTCPPYRVSANTSRYGARASASRIARIVAESFALGMENVRWFVMGDDDTVFFIENLVAVLGKYDHDQMWYIGAPSESVEQNLQHSYGTAFGGGGFAISYPAAAELAKVIDGCLDRYIYFYGSDERIRACLSELGVPLTREPGFHQVDLRGDAYGMLAAHPIAPLVSLHHLDDVHTVIPSQSTQMNAIRTLMDASRHDPARTLQQTFCYNREQGHNWSVSVSWGYTVQLYHWVVPPHDLEVPLQTFLTWRSSQNGPFVFNTRPFTVSNNCEPMLFFLDRVSERRKAQQVSVTEYTRHESSIDKGCDRAGFASASQVRSIRVVAPKMDPYEWQKAPRRHCCEARSKVSNEKIIDVWIRHCYPGEITSPP >DRNTG_13599.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23714663:23718510:-1 gene:DRNTG_13599 transcript:DRNTG_13599.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLKSWVFSANYHRDTLWRSIKATISIVLVFSVVFISYSAVSSSHYQWSCPHCDQYPDPFPVAIPGPTASPEPTNISHIVFGIGASSHTWHQRRGYSELWWRPGEMKGHVWLDEEPKRGSWSDTCPPYRVSANTSRYGARASASRIARIVAESFALGMENVRWFVMGDDDTVFFIENLVAVLGKYDHDQMWYIGAPSESVEQNLQHSYGTAFGGGGFAISYPAAAELAKVIDGCLDRYIYFYGSDERIRACLSELGVPLTREPGFHQVDLRGDAYGMLAAHPIAPLVSLHHLDDVHTVIPSQSTQMNAIRTLMDASRHDPARTLQQTFCYNREQGHNWSVSVSWGYTVQLYHWVVPPHDLEVPLQTFLTWRSSQNGPFVFNTRPFTVSNNCEPMLFFLDRVSERRKAQQVSVTEYTRHESSIDKGCDRAGFASASQVRSIRVVAPKMDPYEWQKAPRRHCCEARSKVSNEKIIDVWIRHCYPGEITSPP >DRNTG_13599.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23717083:23718075:-1 gene:DRNTG_13599 transcript:DRNTG_13599.13 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLKSWVFSANYHRDTLWRSIKATISIVLVFSVVFISYSAVSSSHYQWSCPHCDQYPDPFPVAIPGPTASPEPTNISHIVFGIGASSHTWHQRRGYSELWWRPGEMKGHVWLDEEPKRGSWSDTCPPYRVSANTSRYGARASASRIARIVAESFALGMENVRWFVMGDDDTVFFIENLVAVLGKYDHDQMWYIGAPSESVEQNLQHSYGTAFGGGGFAISYPAAAELAKVIDGCLDRYIYFYGSDERIRACLSELGVPLTREPGFHQVTNR >DRNTG_13599.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23714741:23718312:-1 gene:DRNTG_13599 transcript:DRNTG_13599.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLKSWVFSANYHRDTLWRSIKATISIVLVFSVVFISYSAVSSSHYQWSCPHCDQYPDPFPVAIPGPTASPEPTNISHIVFGIGASSHTWHQRRGYSELWWRPGEMKGHVWLDEEPKRGSWSDTCPPYRVSANTSRYGARASASRIARIVAESFALGMENVRWFVMGDDDTVFFIENLVAVLGKYDHDQMWYIGAPSESVEQNLQHSYGTAFGGGGFAISYPAAAELAKVIDGCLDRYIYFYGSDERIRACLSELGVPLTREPGFHQVDLRGDAYGMLAAHPIAPLVSLHHLDDVHTVIPSQSTQMNAIRTLMDASRHDPARTLQQTFCYNREQGHNWSVSVSWGYTVQLYHWVVPPHDLEVPLQTFLTWRSSQNGPFVFNTRPFTVSNNCEPMLFFLDRVSERRKAQQVSVTEYTRHESSIDKGCDRAGFASASQVRSIRVVAPKMDPYEWQKAPRRHCCEARSKVSNEKIIDVWIRHCYPGEITSPP >DRNTG_13599.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23715298:23718510:-1 gene:DRNTG_13599 transcript:DRNTG_13599.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLKSWVFSANYHRDTLWRSIKATISIVLVFSVVFISYSAVSSSHYQWSCPHCDQYPDPFPVAIPGPTASPEPTNISHIVFGIGASSHTWHQRRGYSELWWRPGEMKGHVWLDEEPKRGSWSDTCPPYRVSANTSRYGARASASRIARIVAESFALGMENVRWFVMGDDDTVFFIENLVAVLGKYDHDQMWYIGAPSESVEQNLQHSYGTAFGGGGFAISYPAAAELAKVIDGCLDRYIYFYGSDERIRACLSELGVPLTREPGFHQVDLRGDAYGMLAAHPIAPLVSLHHLDDVHTVIPSQSTQMNAIRTLMDASRHDPARTLQQTFCYNREQGHNWSVSVSWGYTVQLYHWVVPPHDLEVPLQTFLTWRSSQNGPFVFNTRPFTVSNNCEPMLFFLDRVSERRKAQQVSVTEYTRHESSIDKGCDRAGFASASQVRSIRVVAPKMDPYEWQKVLYSTVLSLSLSLSLSLSL >DRNTG_13599.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23717083:23718510:-1 gene:DRNTG_13599 transcript:DRNTG_13599.12 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLKSWVFSANYHRDTLWRSIKATISIVLVFSVVFISYSAVSSSHYQWSCPHCDQYPDPFPVAIPGPTASPEPTNISHIVFGIGASSHTWHQRRGYSELWWRPGEMKGHVWLDEEPKRGSWSDTCPPYRVSANTSRYGARASASRIARIVAESFALGMENVRWFVMGDDDTVFFIENLVAVLGKYDHDQMWYIGAPSESVEQNLQHSYGTAFGGGGFAISYPAAAELAKVIDGCLDRYIYFYGSDERIRACLSELGVPLTREPGFHQVTNR >DRNTG_08145.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5450682:5454080:-1 gene:DRNTG_08145 transcript:DRNTG_08145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDPEIEVVSDPNSLKNGNPSSREAISNAIVDVYSTSAYGDLQKVRDFVEREGHTVSKPDGNGYHALQWATLNNHIDITQNIIEDKAPEKKLTLFALCLAILEKTASGLGALRFIWATVVLLGGFASAMEKNDFQVETAILLNEGTRTFSRSHELGWQHQTT >DRNTG_18279.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1830070:1836451:1 gene:DRNTG_18279 transcript:DRNTG_18279.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TPLATE [Source:Projected from Arabidopsis thaliana (AT3G01780) UniProtKB/Swiss-Prot;Acc:F4J8D3] MDILIAQIQADLRSSDALRQSGALLQALQQSAAGRDVSAVAKPACEEILASPASAVCKKLALDLIRSTRLPPDLWDTVCSAVRTDLDFPDPEVAAAAVSALSAVPSHRLPRLVSDAHKEISACLASPSDSLRHSATEALGCVLARDDLVLLCESNPGLLDRASAWWQRIADNMLDRSDSVSKIAFESIGRLFQEFESKRMSRLAGDKLIDSENSLAIRSKWVAAAVDFVWKSRNALMARSLILPIESFRVTVFPLVYATKAVASGAMEVFRKLSKSSNVETDSFWSAEKVVGVSDVVSHLLPFLSSLDPPLIFEVGINMLSLADVPGGKPEWASASIIAILTLWDRQEFSSARESIVRAVVTNLHLLDLHMQVSIFKRLLLMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPLPGTDIASLFEDLRIKDDLNSVANKSLFREELVASLVESCFQLSLPLPEQKNTGTESRVIGALAYGTGYGALNWTEPALEVVEVCKPCVMWNCDGRTYAIDCYLKLLVRLCHIYDTRGGVKRIKDGASQDQILNETRLRNLQLQLIKDLREVHTPRVCARLIWAISEHFDLEGLDPLLADDPEDPLNIIIANMHKVLFNSDTSANTSNRLQDVQAVLLCAQRLGSRNARAGQLLSKELEEFRASALSDSVNKHQSRYILQTIKYITNHPERRWAGVGETTGDYPFSHHKLTVQFFEASAAQDRKVEGLVHKAIQELWRPDPSELTLLLTKGIDSTHLKVPPKAYTLTGSSDPCYIEAYHLADSTDGRVTLHLKVLNLTELELNRVDIRVGLSGALYFMDGSPQAIRHLRNIVSQDPVLCSVTVGVSHFERCALWVQVLYYPFYGSGGMGDYEGDYAEEDPQTMRQKRTLKPELWKPVILRCQPYKIPLTDLLLPHKCSPVEYFRMWPSLPAILEYTGAYTYEGSGFKATAAQQYDASPFLSGLKSLSTKPFHQVCSHIIHTVAGFQLCYAAKTWYGGFLGMMIFGASEVSRNVDLGDETTTMLCKFVVRASDASIIKEIGSDLQGWLDDITDGGVEYMPEDEVKVAAAERLRISMERIAILKAARPPPQPPKPEEEEETEDGKKKEEVDEEGKPKGPSTLSTLTAEEAEHRALQAAVLQEWHMLCKEKALKVS >DRNTG_30687.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1834612:1838507:-1 gene:DRNTG_30687 transcript:DRNTG_30687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFWREKERDNREQNGGPPCGQVRVLIVGDSGVGKTSLVHLIVNGSSISRPPQTIGCAVGVKHITYGSPGSSSNSIKGDAERDFFVELWDVSGHERYKDCRSLFYAQINGVIFVHDLSQRRTKSSLQKWASEIAATGSFSAPLGSGGPGGLPVPYLVIANKADIAAENSRSSSGNLVDVARQWVEKQGLLPSSEELPLRESFPGSTGLLTAAKEARYDKEAVIKFFRMLIRRRYFSDELPAPNPWSISPIQNSINQSDEIVLEGDPFYNHNSVSLSSETYKYNVHPPLPAQCNLAPPPTLYPQQPMSASENYRYPRYSATGLPEVSSNKSNRADINV >DRNTG_21855.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19380365:19381282:-1 gene:DRNTG_21855 transcript:DRNTG_21855.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPSPPPPSQALQAPPSMEEQPAKCPRCESTNTKFCYYNNYNLSQPRHFCKACRRYWTKGGALRNVPVGGGSRKNSKRLSPNSSSSTLPAAKRSSSTSPPCNSSSVPDSELLSVFPPPLDSEHHSVLGVSGSFSSLLNSSSQFGSFMEEFDQPAGEHLGLCLESQQQSSTDSSGTENFLSLQGDWPGGWPDNLAIYTPGNGFQ >DRNTG_21855.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19380316:19381362:-1 gene:DRNTG_21855 transcript:DRNTG_21855.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPSPPPPSQALQAPPSMEEQPAKCPRCESTNTKFCYYNNYNLSQPRHFCKACRRYWTKGGALRNVPVGGGSRKNSKRLSPNSSSSTLPAAKRSSSTSPPCNSSSVPDSELLSVFPPPLDSEHHSVLGVSGSFSSLLNSSSQFGSFMEEFDQPAGEHLGLCLESQQQSSTDSSGTENFLSLQGDWPGGWPDNLAIYTPGNGFQ >DRNTG_21855.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19380203:19381362:-1 gene:DRNTG_21855 transcript:DRNTG_21855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPSPPPPSQALQAPPSMEEQPAKCPRCESTNTKFCYYNNYNLSQPRHFCKACRRYWTKGGALRNVPVGGGSRKNSKRLSPNSSSSTLPAAKRSSSTSPPCNSSSVPDSELLSVFPPPLDSEHHSVLGVSGSFSSLLNSSSQFGSFMEEFDQPAGEHLGLCLESQQQSSTDSSGTENFLSLQGDWPGGWPDNLAIYTPGNGFQ >DRNTG_21855.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19380203:19381282:-1 gene:DRNTG_21855 transcript:DRNTG_21855.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPSPPPPSQALQAPPSMEEQPAKCPRCESTNTKFCYYNNYNLSQPRHFCKACRRYWTKGGALRNVPVGGGSRKNSKRLSPNSSSSTLPAAKRSSSTSPPCNSSSVPDSELLSVFPPPLDSEHHSVLGVSGSFSSLLNSSSQFGSFMEEFDQPAGEHLGLCLESQQQSSTDSSGTENFLSLQGDWPGGWPDNLAIYTPGNGFQ >DRNTG_21855.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19380316:19381282:-1 gene:DRNTG_21855 transcript:DRNTG_21855.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPSPPPPSQALQAPPSMEEQPAKCPRCESTNTKFCYYNNYNLSQPRHFCKACRRYWTKGGALRNVPVGGGSRKNSKRLSPNSSSSTLPAAKRSSSTSPPCNSSSVPDSELLSVFPPPLDSEHHSVLGVSGSFSSLLNSSSQFGSFMEEFDQPAGEHLGLCLESQQQSSTDSSGTENFLSLQGDWPGGWPDNLAIYTPGNGFQ >DRNTG_21855.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19380365:19381362:-1 gene:DRNTG_21855 transcript:DRNTG_21855.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPSPPPPSQALQAPPSMEEQPAKCPRCESTNTKFCYYNNYNLSQPRHFCKACRRYWTKGGALRNVPVGGGSRKNSKRLSPNSSSSTLPAAKRSSSTSPPCNSSSVPDSELLSVFPPPLDSEHHSVLGVSGSFSSLLNSSSQFGSFMEEFDQPAGEHLGLCLESQQQSSTDSSGTENFLSLQGDWPGGWPDNLAIYTPGNGFQ >DRNTG_21855.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19380365:19381407:-1 gene:DRNTG_21855 transcript:DRNTG_21855.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPSPPPPSQALQAPPSMEEQPAKCPRCESTNTKFCYYNNYNLSQPRHFCKACRRYWTKGGALRNVPVGGGSRKNSKRLSPNSSSSTLPAAKRSSSTSPPCNSSSVPDSELLSVFPPPLDSEHHSVLGVSGSFSSLLNSSSQFGSFMEEFDQPAGEHLGLCLESQQQSSTDSSGTENFLSLQGDWPGGWPDNLAIYTPGNGFQ >DRNTG_35248.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3467300:3470179:-1 gene:DRNTG_35248 transcript:DRNTG_35248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSSSPDSPELRKDHIFNRWVIFSSARSRRPSDLKVHSPTSNPNPKSNTSCPFCAGHEDECAPEIFRVPAGSSVAGWKVRVIENLYPALRRELEPPNSGSDVVCMRGFGFHDVVIETPSHNVRLPDLSDSEIKEVVLAFKERIEQLRRVGSIKFSRTMVQQLERH >DRNTG_04054.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000193.1:12911:15606:-1 gene:DRNTG_04054 transcript:DRNTG_04054.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASPESIGSSSFPEVITRRKHPSCFAFALIDNLANPRQRNHGEMACTCSRRIGADESSPNNTLPKERGVESH >DRNTG_23263.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:260035:261300:-1 gene:DRNTG_23263 transcript:DRNTG_23263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRIVSFIGGIDLCDGRYDTQAHSLFRTLCTTHRADFHQPNFEGSSIQKGGPREPWHDIHCRLEGPVAWDVVSNFEQRWRKQSGGKDELLLPLHEMADDDVIIPPSPVILPSDRESWNVQLFRSIDGGAAFGFPEKPEDAARVGLVSGKDHIIDRSIQDAYINAIRRARNFIYIENQYFLGSSYGWRGGDDIKVEDIGALHLIPKELSLKIASKIEAGERFSVYVVVPMWPEGVPESASVQAILDWQRRTMEMMYSDIADALRARGLEATNLDDYLVFFCLGNRELNKEGDGYAPREHPEPNSDYARAQQARRFMIYVHAKL >DRNTG_08348.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4023781:4032010:1 gene:DRNTG_08348 transcript:DRNTG_08348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSTSMANPPLEEFYIPSYIMSPELEAESIDYIPTCPVIVFINSKSGGQLGSDLLITYRGLLNKAQVIDLGEEAPEKVLHRLFCNFETLKSKGDTLAAEIERRLRIIVAGGDGTASWLLGVVSDLKLPAPPPIATVPLGTGNNLPYSFGWGKKNPGTDCHSVKSFLDQVMKAREMKIDSWHIIMRMRAPEKGSCDPIAPLELPHSLHAFHRVSTDDSLNMDGYDTYRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLVNQSTYAKLGCTQGWFCASLFHPSSRNISHLAKVKIMRKHGQWEELHIPQSIRSIVCLNLPSFSGGLNPWGTPNRRKELERGLTAPFVDDGYLEVVGFRDAWHGLVLLAPKGHGTRLAQAHRIKFEFHKGGADHTYMRIDGEPWKQPLPADNDTVVVEISHLGQVNMLATEGCLSKSIHDPSIPSNGHNDNEDEDSDDSEDSEERRKFGAAETFKFPENLDFSHMS >DRNTG_00211.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:8780740:8782000:1 gene:DRNTG_00211 transcript:DRNTG_00211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQLASMVEKASIEDVMKVLTASRKQDMHQLWTTCSHLVSKSGLPPEVLAKHLPIDVVAKIEELRIKSSLSRRPTSFMDVVTGPPAELEDHHHKIRRMRRALDSSDVELVKLMVMGEGLNLDAAFALHYAVENCSREVVKALLELGAADVNCPAGPAGKTPLHIAAEMVCPDMVAVLLDHHADPNIRTVDGVTPLDILRSLTSDFLFKGAVPGLTHIEPNKLRLCLELVQSAAMVLSREEASAAAAAADNSNTVVHHHLNPEPGNNNSSMVNLSLDSRMVYLNLGMAAAAAQYRCKMNDGNEDDTRSNRSQGSGTIGPPSMYSPHDYP >DRNTG_11282.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12312633:12316610:-1 gene:DRNTG_11282 transcript:DRNTG_11282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKARRHHRKRSKAFGDVREPKKLDEPLNSFRPNPRMIALRSGKCLIRVDTQTRHPGKTHGRGVYPHARGLTLRAHPEKAHWRAAAPVNDHANIARPWVISARACDFLQCLADYLESKQGREIAPDFYEVFLPNPNSLISTRQSGLSITDTNPLRGPGGEIAQSQHLIPHMTAKHSWDSMRTHFEARFTGGFLDTMTEEESIKVGDEVPPKPQRPPLQTLAVSPLKNRTKDKKE >DRNTG_03912.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14780371:14781990:-1 gene:DRNTG_03912 transcript:DRNTG_03912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHYLLLLTLISMANSFVMAYDSSPLQNFCIANNNSQLCARIQSSSKPMISYPLVLTLLKTLRGYLVTYNKPNNPKNRLSSKVTLLNADHIARKVYVGFITSIPENNLFSKVLNKGDSFVFPKGLIHFVVDIGKTKAIGIAALGSQNLGFYSLADAVLGTNPNIFDDVLAKAFQLDKKIVDWLQSQF >DRNTG_25604.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:266970:273715:1 gene:DRNTG_25604 transcript:DRNTG_25604.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRLSRTIYVGNLPLDIRESEVEDIFYKYGRIVEIELKNPPRPPGYCFVEFESSRDADDAIRGRDGYLFDGHRLRVELAHGGRGQSSSIGRSSGHGSGHGSGSGKYGVSHRSEFRVIVRGLPSSASWQDLKDHMRKAGDVCFAQVFRDRDGTVGLVDYTNHEDMKYAIRKLDDTEFRNPWARSYIRVKIYEGSRSPSRSRSKSRSPRKNRSDSIEKPVSRSASISSRSRSASPAKISRSRSRSRSG >DRNTG_25604.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:266970:273715:1 gene:DRNTG_25604 transcript:DRNTG_25604.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRLSRTIYVGNLPLDIRESEVEDIFYKYGRIVEIELKNPPRPPGYCFVEFESSRDADDAIRGRDGYLFDGHRLRVELAHGGRGQSSSIGRSSGHGSGHGSGSGKYGVSHRSEFRVIVRGLPSSASWQDLKDHMRKAGDVCFAQVFRDRDGTVGLVDYTNHEDMKYAIRKLDDTEFRNPWARSYIRVKIYEGSRSPSRSRSKSRSPRKNRSDSIEKPVSRSASISSRSRSASPAKISRSRSRSRSG >DRNTG_25604.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:266970:273715:1 gene:DRNTG_25604 transcript:DRNTG_25604.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRLSRTIYVGNLPLDIRESEVEDIFYKYGRIVEIELKNPPRPPGYCFVEFESSRDADDAIRGRDGYLFDGHRLRVELAHGGRGQSSSIGRSSGHGSGHGSGSGKYGVSHRSEFRVIVRGLPSSASWQDLKDHMRKAGDVCFAQVFRDRDGTVGLVDYTNHEDMKYAIRKLDDTEFRNPWARSYIRVKIYEGSRSPSRSRSKSRSPRKNRSDSIEKPVSRSASISSRSRSASPAKISRSRSRSRSG >DRNTG_27648.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001401.1:290:55526:1 gene:DRNTG_27648 transcript:DRNTG_27648.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pullulanase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04360) UniProtKB/Swiss-Prot;Acc:Q8GTR4] MSVLPSCLSFFSSPASPALPPRWISPAAILPIRSSSCRALDLSPLPLATASFAGPCFSGSGSRRHRRRRRTLSSCSLMEPLTQSSIAKDSLSYARAYWVSRSIIAWNTDADDYSVYLYASEGAKLMVTETSVEGQDVKFQLELDECGLPESVAKKFPHIMNYKAFKVPHAMDVEKVLKCQLAIAAFSDNGQLKNATSLQLPGVLDDMFAYSGPLGAVFNEEAIRLYLWAPTAQVVNVLLYKDPCGGDPLEYLQLEERNGVWSALGPKSWEGCYYVYEVVVYHPSTSQVETCIVNDPYARGLSSNGMRTLLVNIDCESLKPTGWDKLYHEKPDLISFSDVSIYELHIRDFSASDGSIYPDFRGGYLAFTLEDSAGIAHLKRLCNAGLTHVHLLPSFHFAGVDDNKENWKLIDDAEMEKLPPDSDIQQSKIESIKDEDGYNWGYRLSHLSYHLQT >DRNTG_27648.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001401.1:54263:55526:1 gene:DRNTG_27648 transcript:DRNTG_27648.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pullulanase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04360) UniProtKB/Swiss-Prot;Acc:Q8GTR4] MDTKGVPGIAQLDPLYSFIVIVFNARPTDVSLSIPTLTAKRLQLHPVQLKSKDKLVKQASYEDSTGCFTIPQRTTSVFVQLRSS >DRNTG_27648.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001401.1:45536:55526:1 gene:DRNTG_27648 transcript:DRNTG_27648.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pullulanase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04360) UniProtKB/Swiss-Prot;Acc:Q8GTR4] MLLFQQLKGCEVLTYSGVPVAYALSPTETVNYVSAHDNETLFDIVSLKTPMEISVDDRCRINHLATSIVALSQGIPFFHAGDETLRSKSLDRDSYNSGDWFNRLDFTYESNNWGVGLPPREKNEKNWPLIKPRLGNPSFKPHKTSHPCCS >DRNTG_27648.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001401.1:52308:54071:1 gene:DRNTG_27648 transcript:DRNTG_27648.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pullulanase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04360) UniProtKB/Swiss-Prot;Acc:Q8GTR4] GIPFFHAGDETLRSKSLDRDSYNSGDWFNRLDFTYESNNWGVGLPPREKNEKNWPLIKPRLGNPSFKPHKTSHPCCS >DRNTG_27648.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001401.1:290:17975:1 gene:DRNTG_27648 transcript:DRNTG_27648.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pullulanase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04360) UniProtKB/Swiss-Prot;Acc:Q8GTR4] MSVLPSCLSFFSSPASPALPPRWISPAAILPIRSSSCRALDLSPLPLATASFAGPCFSGSGSRRHRRRRRTLSSCSLMEPLTQSSIAKDSLSYARAYWVSRSIIAWNTDADDYSVYLYASEGAKLMVTETSVEGQDVKFQLELDECGLPESVAKKFPHIMNYKAFKVPHAMDVEKVLKCQLAIAAFSDNGQLKNATSLQLPGVLDDMFAYSGPLGAVFNEEAIRLYLWAPTAQVVNVLLYKDPCGGDPLEYLQLEERNGVWSALGPKSWEGCYYVYEVVVYHPSTSQVETCIVNDPYARGLSSNGMRTLLVNIDCESLKPTGWDKLYHEKPDLISFSDVSIYELHIRDFSASDGSIYPDFRGGYLAFTLEDSAGIAHLKRLCNAGLTHVHLLPSFHFAGVDDNKENWKLIDDAEMEKLPPDSDIQQSKIESIKDEDGYNWGYNPVLWGVPKGSYATNPNSSCRILEFRKMIQALNRIGLRVVLDVVYNHLNGSGPNDETSVLDKVVPGYYLRRNNDGFIENSTCINNTASEHFMVERLIIDDILCWAVAYKVDGFRFDLMGHIMKGTMMKAKDALQNLDVDVNGVDGSKIYIYGEGWDFGEVAYNKRGINASQFNIGGTGIGSFNDRIRDAVLGGSPFGHPLQQGFVTGLSLQPNGHDHGDKPTTKRMLSSSMDHIQVGLAANLRDYVLTSHNGESLKGCEVLTYSGV >DRNTG_34151.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:31078703:31079673:-1 gene:DRNTG_34151 transcript:DRNTG_34151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRLSLYFYNGFAFVWGKLWEDFSEAQLLGLQSDASSIFASLLSEPQPEVRAAAVFALGTLLDVGSDSFRDGMVGDGDDDDEKDEV >DRNTG_34151.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:31079175:31079673:-1 gene:DRNTG_34151 transcript:DRNTG_34151.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRLSLYFYNGFAFVWGKLWEDFSEAQLLGLQSDASSIFASLLSEPQPEVRAAAVFALGTLLDVGSDSFRDGMVGDGDDDDE >DRNTG_33005.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26210109:26217475:-1 gene:DRNTG_33005 transcript:DRNTG_33005.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYENKPPIEHLDLLAKEKPKEKKHKKERKDKERREGKDKKDKDKSKDKRKEKKDRKEKHKDKKKDKDKDKDKSRTSNEVRNEAHAVPQNGEKVGGSSQKAGDVKDSKYAEEFGRRIRNEEKGVANRVVENSSGLLQKRNEIMGSGMPFGSPVQRRSECVGTGTAATAPIQKRNEACSIPKEIEIKDAGTSSTNSLQKRTESAGAGSRISSLDKRRIGGTNSITAICGSLEKGIENADARTAINDSSQRSEAIDSGSAISSSLQKRTETMATGAGQKRNESKGTAMAADKERGTGNKMVANHIGTEERRNDGMDKVVEKDTDKRFGSVGASMALEKERATGSKIVPNLAGKEERRNSGLGKRVETGAEKRTGAKDKAKDQEADGHRDKDREEKRNKSKHKDKDTNKKREKDKMRQKGEQREKAREIIKDSSKKGLVDSSSIKLNDYRTDSEKSTHVDESTKKRKDFEINGILHGECFICAFVINNLCETCSSLVIDWRLFIF >DRNTG_33005.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26210055:26217475:-1 gene:DRNTG_33005 transcript:DRNTG_33005.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYENKPPIEHLDLLAKEKPKEKKHKKERKDKERREGKDKKDKDKSKDKRKEKKDRKEKHKDKKKDKDKDKDKSRTSNEVRNEAHAVPQNGEKVGGSSQKAGDVKDSKYAEEFGRRIRNEEKGVANRVVENSSGLLQKRNEIMGSGMPFGSPVQRRSECVGTGTAATAPIQKRNEACSIPKEIEIKDAGTSSTNSLQKRTESAGAGSRISSLDKRRIGGTNSITAICGSLEKGIENADARTAINDSSQRSEAIDSGSAISSSLQKRTETMATGAGQKRNESKGTAMAADKERGTGNKMVANHIGTEERRNDGMDKVVEKDTDKRFGSVGASMALEKERATGSKIVPNLAGKEERRNSGLGKRVETGAEKRTGAKDKAKDQEADGHRDKDREEKRNKSKHKDKDTNKKREKDKMRQKGEQREKAREIIKDSSKKGLVDSSSIKLNDYRTDSEKSTHVDESTKKRKDFEINGILHENDVRPNKLLKATPSLHPTLQNGRTLEPCHMPSPNPLIRLETSNSVKAAEPPGNKIHKENGIAGAHTPSIHMKPSAAVQTGGKGEISIKPPHRDCRYLSQVYSVPKMEEFSENDDQSWLFSTENCLQKKPVKLKAEGIPQVWAQAMHIDSADVFALPYVIPY >DRNTG_33005.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26210109:26217560:-1 gene:DRNTG_33005 transcript:DRNTG_33005.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYENKPPIEHLDLLAKEKPKEKKHKKERKDKERREGKDKKDKDKSKDKRKEKKDRKEKHKDKKKDKDKDKDKSRTSNEVRNEAHAVPQNGEKVGGSSQKAGDVKDSKYAEEFGRRIRNEEKGVANRVVENSSGLLQKRNEIMGSGMPFGSPVQRRSECVGTGTAATAPIQKRNEACSIPKEIEIKDAGTSSTNSLQKRTESAGAGSRISSLDKRRIGGTNSITAICGSLEKGIENADARTAINDSSQRSEAIDSGSAISSSLQKRTETMATGAGQKRNESKGTAMAADKERGTGNKMVANHIGTEERRNDGMDKVVEKDTDKRFGSVGASMALEKERATGSKIVPNLAGKEERRNSGLGKRVETGAEKRTGAKDKAKDQEADGHRDKDREEKRNKSKHKDKDTNKKREKDKMRQKGEQREKAREIIKDSSKKGLVDSSSIKLNDYRTDSEKSTHVDESTKKRKDFEINGILHENDVRPNKLLKATPSLHPTLQNGRTLEPCHMPSPNPLIRLETSNSVKAAEPPGNKIHKENGIAGAHTPSIHMKPSAAVQTGGKGEISIKPPHRDCRYLSQVYSVPKMEEFSENDDQSWLFSTENCLQKKPVKLKAEGIPQVWAQAMHIDSADVFALPYVIPY >DRNTG_33005.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26210109:26217475:-1 gene:DRNTG_33005 transcript:DRNTG_33005.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYENKPPIEHLDLLAKEKPKEKKHKKERKDKERREGKDKKDKDKSKDKRKEKKDRKEKHKDKKKDKDKDKDKSRTSNEVRNEAHAVPQNGEKVGGSSQKAGDVKDSKYAEEFGRRIRNEEKGVANRVVENSSGLLQKRNEIMGSGMPFGSPVQRRSECVGTGTAATAPIQKRNEACSIPKEIEIKDAGTSSTNSLQKRTESAGAGSRISSLDKRRIGGTNSITAICGSLEKGIENADARTAINDSSQRSEAIDSGSAISSSLQKRTETMATGAGQKRNESKGTAMAADKERGTGNKMVANHIGTEERRNDGMDKVVEKDTDKRFGSVGASMALEKERATGSKIVPNLAGKEERRNSGLGKRVETGAEKRTGAKDKAKDQEADGHRDKDREEKRNKSKHKDKDTNKKREKDKMRQKGEQREKAREIIKDSSKKGLVDSSSIKLNDYRTDSEKSTHVDESTKKRKDFEINGILHENDVRPNKLLKATPSLHPTLQNGRTLEPCHMPSPNPLIRLETSNSVKAAEPPGNKIHKENGIAGAHTPSIHMKPSAAVQTGGKGEISIKPPHRDCRYLSQVYSVPKMEEFSENDDQSWLFSTENCLQKKPVKLKAEGIPQVWAQAMHIDSADVFALPYVIPY >DRNTG_33005.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26209971:26217475:-1 gene:DRNTG_33005 transcript:DRNTG_33005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYENKPPIEHLDLLAKEKPKEKKHKKERKDKERREGKDKKDKDKSKDKRKEKKDRKEKHKDKKKDKDKDKDKSRTSNEVRNEAHAVPQNGEKVGGSSQKAGDVKDSKYAEEFGRRIRNEEKGVANRVVENSSGLLQKRNEIMGSGMPFGSPVQRRSECVGTGTAATAPIQKRNEACSIPKEIEIKDAGTSSTNSLQKRTESAGAGSRISSLDKRRIGGTNSITAICGSLEKGIENADARTAINDSSQRSEAIDSGSAISSSLQKRTETMATGAGQKRNESKGTAMAADKERGTGNKMVANHIGTEERRNDGMDKVVEKDTDKRFGSVGASMALEKERATGSKIVPNLAGKEERRNSGLGKRVETGAEKRTGAKDKAKDQEADGHRDKDREEKRNKSKHKDKDTNKKREKDKMRQKGEQREKAREIIKDSSKKGLVDSSSIKLNDYRTDSEKSTHVDESTKKRKDFEINGILHENDVRPNKLLKATPSLHPTLQNGRTLEPCHMPSPNPLIRLETSNSVKAAEPPGNKIHKENGIAGAHTPSIHMKPSAAVQTGGKGEISIKPPHRDCRYLSQVYSVPKMEEFSENDDQSWLFSTENCLQKKPVKLKAEGIPQVWAQAMHIDSADVFALPYVIPY >DRNTG_33005.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26210055:26217475:-1 gene:DRNTG_33005 transcript:DRNTG_33005.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYENKPPIEHLDLLAKEKPKEKKHKKERKDKERREGKDKKDKDKSKDKRKEKKDRKEKHKDKKKDKDKDKDKSRTSNEVRNEAHAVPQNGEKVGGSSQKAGDVKDSKYAEEFGRRIRNEEKGVANRVVENSSGLLQKRNEIMGSGMPFGSPVQRRSECVGTGTAATAPIQKRNEACSIPKEIEIKDAGTSSTNSLQKRTESAGAGSRISSLDKRRIGGTNSITAICGSLEKGIENADARTAINDSSQRSEAIDSGSAISSSLQKRTETMATGAGQKRNESKGTAMAADKERGTGNKMVANHIGTEERRNDGMDKVVEKDTDKRFGSVGASMALEKERATGSKIVPNLAGKEERRNSGLGKRVETGAEKRTGAKDKAKDQEADGHRDKDREEKRNKSKHKDKDTNKKREKDKMRQKGEQREKAREIIKDSSKKGLVDSSSIKLNDYRTDSEKSTHVDESTKKRKDFEINGILHGECFICAFVINNLCETCSSLVIDWRLFIF >DRNTG_27239.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20080487:20083218:1 gene:DRNTG_27239 transcript:DRNTG_27239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKINKGNGADEVMIPGFRFHHTDEELVEFYLTMKIQNKPLAIELISQLDIYKYDPWDLPKLALIGETEWYFYCRRRRKYRNSARPNRVTDAGFWKATRGDRPIYSPNETKCIGLKKTLVFYKGRAAKCVKTDWMMHEFRLSSTSDSSPLKRPSDIQISTDDSWAICRIFKKINSSEQRGKLQPCMPTTTKTANSNFFSSSAANTPVGFESLFCITEARCEIQFGSNINLQYQPKYQIKQKPSALHFTDSMVCSSVDPSITSSQAVDVTSVLLEMPPEKLAGDRTLASKEFENNSQLGIKWNCSSGQKGSLIRENLNTCYPSSEVGENFEDDEFYYRVSTH >DRNTG_29421.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1817561:1819602:1 gene:DRNTG_29421 transcript:DRNTG_29421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNRVLLFTLLLISDAFAQDYDDDAASPPLPALDNCDGIFLTYTFISRAKEFPHVKNASAQAYAFKSMAKIMNTMNTDLKAWKMFIGFQHDEILVSVDGAVLTEGSDFPAKVGNGTSLSGFPQTDLLNSIDTAGDINQIQVKIDLTGTQFGVKPPGVPLPKTIKLQNDGFKCPNPTQKGSNMYVCCVKDPKFKKKKKEEKNKFLPRQYGDLNIVYDVLQAYENNYLAQVTIDNDNPLGRLDNWNITWEWMRNEFINTMRGAYTFKKDSSDCIYGVQGQYYKNLDFTPVMNCEKKPVITDLPPEMENDDKLGKLPFCCKNGTLLPPTMNETKSHAIFQLQVFKMPPDLNRTALFPPQHWKINGFLNPQYTCGPPKRVSPSTFPDPSGLMASTYAVASWQVVCNITRPKLKSSRCCVSFSAFYNDSIVPCNTCACGCDEDATCDTNAPPLLLPSEALLVPFQNRTAKAKAWAKLKHRDIPKRLPCGDNCGVSINWHVSSDYRKGWTARITIFNWADYTFKDWFTSVRMDKAFDGFEKVYSFNGTKLPKLKNTVFFQGLIGLNYLMPISDGKNPDVDPRVPGKVQSVMSFSKKHTPDIDVIHGDGFPTRVYFNGEECSLPTEMPSGDGRRYMVSVLCLVLIAVMVVFVLIGM >DRNTG_27690.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21704048:21706461:1 gene:DRNTG_27690 transcript:DRNTG_27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVPSTPEVSPKSVMADLELGKLTEMKTQGSPSIWQKAIAEFLGTYILIFIGCGSAFIDQQNNITIVGIALAWGVVITAMVYTFGHISGAHLNPAVTLAFASGLGFPFKQIPVYVISQFLGAILSSISLRALFKETSPGVMLTLPNSLQNDLTVIAWEIIISFILMLVICSAATDHRAPKELCGVAIGAAIFINVLIAGKVTGASMNPARSLGPAIAAKNYNKLWMYIVAPTIGTITASSVYKLLTLSPETINRIGNKQQT >DRNTG_17090.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17341987:17343289:1 gene:DRNTG_17090 transcript:DRNTG_17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WUSCHEL [Source:Projected from Arabidopsis thaliana (AT2G17950) UniProtKB/Swiss-Prot;Acc:Q9SB92] MEHQQQQHHEERKTTTTTTSTTSGFLCRQSSSRWIPTSDQIRILRDLYYVNGLRSPNAEQIQRISARLRQYGKIEGKNVFYWFQNHKARERQKKRLTVDIPSNKYSSSSSTTTTNHHHHHHHLPPGVAAHQSMFMERSFKESCFFGGDGAMVMESSSSSSMGWVGVEVGMTHEQENRDMETLKLFPMNKQEEQDEQDQVHGIHGYNNNNNNNNNNNNNNGGYVLAGKMGGSQPDFYWTGGSQQHTAGTASLELTLNSYYYEGST >DRNTG_14193.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20294236:20295992:1 gene:DRNTG_14193 transcript:DRNTG_14193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVCRVLTDLYSLPKAQYIAVYKDNDSFTSTNKNQDPQAQASKETLSNGGGNDNGTPNLVADNQDDSSSKDVLLKAALDKLESKKIDDDTTKSVAAEDDTKEEPVAKPKGDQKSDANMEKNRDRERERSKARDRDGRGRDSDRDSRGRDSDRDREREKDPERDREKAKERSHRAKDKSTGHPEKSRHHSLRERSEYHSSHSYRDKDRHRHHYT >DRNTG_18294.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2635560:2637586:-1 gene:DRNTG_18294 transcript:DRNTG_18294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELKALLNSQADAVEKLAGELRAGLRPAIDNFIGFFHAVDWKEPWLMCLFVFQFALLLTAILTRRNDKAQFSLSILAFCGIYFAERLNNFLRENWRSFSKQNYFDPHGLFLSVFWSGPLLLISMLVVINTLYTLCRLIVKWKRAELRHRAKLVESKLD >DRNTG_06195.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25195677:25199056:-1 gene:DRNTG_06195 transcript:DRNTG_06195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTAVGWISLQSKRLLLLLLVVFSVSTVVALFVRNINEACETRRDAAVSSSYGGGRVQLSPPPVVAAGEGLKSPLGFMRSKFVVLVSHELSLSGGPLLLMELAFLLRSAGASVVWVTYQKPSETNEVIYSLEQKMLDRGVQVLSARGREVIDATLKADLVVLNTAVAGKWLDAVLKEHVHQVLPKTLWWIHEMRGHYFKLDYVKHLPSVAGAMIDSHTTAEYWKNRTHDRLGINMPQTYVVHLGNSKELMEVAEDYVARRVLREHIREALGVRNEDLLFAIINSVSRGKGQDLFLQAFYESLRLIQEQKLQVPTMHAVVVGSDMNAQSKFEAELRAFVSKKGIQDRVHFVNKTLTVAPYLAAIDVLVQNSQARGECFGRITIEAMAFQLPVLGTAAGGTTEIVVNGSTGFLHPAGKEGVFQLAKNMVKLATHVERRLTLGRKGYERVKDRFMERHMASRIASVLKQILQKSKRPSL >DRNTG_20323.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5745030:5751248:1 gene:DRNTG_20323 transcript:DRNTG_20323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGRRSTALWRELRMVQETGLGSRGRRSLGRNGAFQGPLRRIRVGRMRRRSGGRRRSLVAMDSTWEE >DRNTG_05926.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5247797:5250735:-1 gene:DRNTG_05926 transcript:DRNTG_05926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDLDHIFHIHSLPAGLLANEDEKKKKKKKKKKKKKDNEKEKTKTKHKNENPYFKPFSSVKGRPFWPLSSFFASSPSLLPSNPCPLLLLLPLTLHNYLSPHQLHHPRSPRLPYPNPKSGSGLLVDEDEKKKKKKKKKKKKKKKKDNEKEKTKTKHMNENPHFKFFSSVKGSRFGPSRVSPPPHHPSFHSIIAPSSFSFPLPSTTTYLPTNFTIFTYHACHT >DRNTG_00850.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21566665:21567267:-1 gene:DRNTG_00850 transcript:DRNTG_00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSSNASAVQDFCVADLALPESPAGYSCKSVSKVTVNDFIKSQFLTTNTAIPSFNLSISLASATEFPAVNGLHISAIYVELGVGGLAPAHVHPGGNEFSVVTQGTILCGFVSSDNNKAYFKTLQAGDAIVFPQGLVHFQINVGRTPAKFISSFSSANPRIVIIASALFGNNLPTDIVKKVTFLDDAAVKKLKAMFGGTN >DRNTG_34801.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:248810:253638:1 gene:DRNTG_34801 transcript:DRNTG_34801.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERLVDIVHFLNLEIHDNFSSPDKSSIKPVNAHQRLGSAGLALHYANIISQIDTLVTRSSTVPSSTRDALYQGLPPSMKCSLRSKLQSLQLKEELTIPQIKAEMEKTLHWLIPLASNTTKAHHGFGWVGEWANTGSELIERPAGHSLIRIETLHHADKQRTETYILDLVIWLHHLISQSRPGNRSPIKSPVRSPTLNNATVSLLTNKNPYSSLTQEDQEMLQDVTLRKSTPGISKSQEFDTTKSRLSKHQKLCKSSSHSPTSGYEKDLVSRRSSMVPVINFDIDKMKALDAIDRVDTLCRP >DRNTG_34801.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:248810:253590:1 gene:DRNTG_34801 transcript:DRNTG_34801.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLGRASSLGLGKAVEVLDTLGSSVTNLNITGTFASGMTTKGSKISILAFEVANTIVKGASLMQSLSKENVRRLKEEVLLSEGVQNLVSKDMHELLKIVAADKREELKVFSGEVVRFGNRCKDPQWHNLDRYFEKLGLEVTPQSQLKEEAPGVMQQLMSLVQYTAELYHELHTLDRIEQDYRRKHKEEENINAGQKGNGLQILKQELKRQRRHVKSLKKKSLWSKILEEVMERLVDIVHFLNLEIHDNFSSPDKSSIKPVNAHQRLGSAGLALHYANIISQIDTLVTRSSTVPSSTRDALYQGLPPSMKCSLRSKLQSLQLKEELTIPQIKAEMEKTLHWLIPLASNTTKAHHGFGWVGEWANTGSELIERPAGHSLIRIETLHHADKQRTETYILDLVIWLHHLISQSRPGNRSPIKSPVRSPTLNNATVSLLTNKNPYSSLTQEDQEMLQDVTLRKSTPGISKSQEFDTTKSRLSKHQKLCKSSSHSPTSGYEKDLVSRRSSMVPVINFDIDKMKALDAIDRVDTLCRP >DRNTG_34801.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:248810:253590:1 gene:DRNTG_34801 transcript:DRNTG_34801.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLGRASSLGLGKAVEVLDTLGSSVTNLNITGTFASGMTTKGSKISILAFEVANTIVKGASLMQSLSKENVRRLKEEVLLSEGVQNLVSKDMHELLKIVAADKREELKVFSGEVVRFGNRCKDPQWHNLDRYFEKLGLEVTPQSQLKEEAPGVMQQLMSLVQYTAELYHELHTLDRIEQDYRRKHKEEENINAGQKGNGLQILKQELKRQRRHVKSLKKKSLWSKILEEVMERLVDIVHFLNLEIHDNFSSPDKSSIKPVNAHQRLGSAGLALHYANIISQIDTLVTRSSTVPSSTRDALYQGLPPSMKCSLRSKLQSLQLKEELTIPQIKAEMEKTLHWLIPLASNTTKAHHGFGWVGEWANTGSLCFSSELIERPAGHSLIRIETLHHADKQRTETYILDLVIWLHHLISQSRPGNRSPIKSPVRSPTLNNATVSLLTNKNPYSSLTQEDQEMLQDVTLRKSTPGISKSQEFDTTKSRLSKHQKLCKSSSHSPTSGYEKDLVSRRSSMVPVINFDIDKMKALDAIDRVDTLCRP >DRNTG_34801.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:247188:247762:1 gene:DRNTG_34801 transcript:DRNTG_34801.6 gene_biotype:protein_coding transcript_biotype:protein_coding MWWVITLMGREEWRMVWGNLKLWMLGRSCCLEIFHRNLSQ >DRNTG_34801.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:248810:249591:1 gene:DRNTG_34801 transcript:DRNTG_34801.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLGRASSLGLGKAVEVLDTLGSSVTNLNITGTFASGMTTKGSKISILAFEVANTIVKGASLMQSLSKENVRRLKEEVLLSEGVQNLVSKDMHELLKIVAADKREELKVFSGEVVRFGNRCKDPQWHNLDRYFEK >DRNTG_34801.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:247188:253590:1 gene:DRNTG_34801 transcript:DRNTG_34801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASCSKKSAVDTSPSERIARAIGLGDRDVVGYHSHGKRGMEDGVGKSEAMDAREKLLSRDLSQKSKSMKSRPVGYGRNGTIKVAEMGSRLGRASSLGLGKAVEVLDTLGSSVTNLNITGTFASGMTTKGSKISILAFEVANTIVKGASLMQSLSKENVRRLKEEVLLSEGVQNLVSKDMHELLKIVAADKREELKVFSGEVVRFGNRCKDPQWHNLDRYFEKLGLEVTPQSQLKEEAPGVMQQLMSLVQYTAELYHELHTLDRIEQDYRRKHKEEENINAGQKGNGLQILKQELKRQRRHVKSLKKKSLWSKILEEVMERLVDIVHFLNLEIHDNFSSPDKSSIKPVNAHQRLGSAGLALHYANIISQIDTLVTRSSTVPSSTRDALYQGLPPSMKCSLRSKLQSLQLKEELTIPQIKAEMEKTLHWLIPLASNTTKAHHGFGWVGEWANTGSLCFSSELIERPAGHSLIRIETLHHADKQRTETYILDLVIWLHHLISQSRPGNRSPIKSPVRSPTLNNATVSLLTNKNPYSSLTQEDQEMLQDVTLRKSTPGISKSQEFDTTKSRLSKHQKLCKSSSHSPTSGYEKDLVSRRSSMVPVINFDIDKMKALDAIDRVDTLCRP >DRNTG_34801.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:247188:247762:1 gene:DRNTG_34801 transcript:DRNTG_34801.7 gene_biotype:protein_coding transcript_biotype:protein_coding MWWVITLMGREEWRMVWGNLKLWMLGRSCCLEIFHRNLSQ >DRNTG_29523.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2176518:2177225:-1 gene:DRNTG_29523 transcript:DRNTG_29523.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHPNPFPSSTTTNNTIKQNFLPPPPQATFSNTTQRNHQRLLPPHRKTNPLVWCGAILCFFFSLLLILSGILILIIFLFIKPRNPAFDIPNASLNTIVLLSPFYLNGDLTFLANFSNPNHKLDLIFDYISIELYFSDHLIAAQGLPPFTQRQGEQRLEAVHMISSEVYLPTHLLLDLQKQVGSNSVVYNIRGTFKVKVSFGVFHFTYWLYSRCFIQLSAPPSGVLIGRTCKTNK >DRNTG_23976.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30885559:30890822:-1 gene:DRNTG_23976 transcript:DRNTG_23976.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable mediator of RNA polymerase II transcription subunit 37c [Source:Projected from Arabidopsis thaliana (AT3G12580) UniProtKB/Swiss-Prot;Acc:Q9LHA8] MVLIKMREIAEAYLGSSVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSAGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTILTT >DRNTG_05250.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2439318:2444425:-1 gene:DRNTG_05250 transcript:DRNTG_05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASLALQNNGAILAPLLPLALDKLISCLVDYLSRDEQSSSSEVDHAKDVGYEIQDLEDDLECMEPQRKVEEINLQEQDEAADKSQSKRPKRLFWFSWTTRQSSNKRRRLSSSMSTDNCDRFRIIKEIIDLLSINDDIKFPHDTTSLDLLETELKKSLRGKKFLLVLDDVWSVEWQQLLDFLKSSQTEIVKILVTCRNPKVLGNLDRNHGISLKKLDDVECWPFFLNCVFSDKNSDNYPRKLLSIGRQIMRKLNGSPLAVKTVGRTLGHSLTD >DRNTG_34466.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18163426:18167270:-1 gene:DRNTG_34466 transcript:DRNTG_34466.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDLPSPNQEFASDDCSNDAYHVHQELPSIDLAATANASGTISSFAGLKPLQSQNESRKVAFISVGKSAPSVADDCASNKKMKSEMQPENAANPDDPFLSLLLAGNTNKSLF >DRNTG_34466.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18163426:18164851:-1 gene:DRNTG_34466 transcript:DRNTG_34466.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDLPSPNQEFASDDCSNDAYHVHQELPSIDLAATANASGTISSFAGLKPLQSQNESRKVAFISVGKSAPSVADDCASNKKMKSEMQPENAANPDDPFLSLLLAGNTNKSLF >DRNTG_34466.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18163426:18169167:-1 gene:DRNTG_34466 transcript:DRNTG_34466.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSDFSVIEERLRVLLRQLQTESGILERIVYKNKNQHRRCPYFKSLLKVRRDVNLLNSAMLGDVLSVLFPIIDGKKPAQKAFFISRVNKKSPCGKYNYLERLLGIARLLSQMAEPILKASIYHSCLRNHFLLGFQ >DRNTG_04606.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4007754:4009123:-1 gene:DRNTG_04606 transcript:DRNTG_04606.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIEWDSASNKFSHSAVSPKHQDCSAANATQHKGLEL >DRNTG_04606.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4007754:4011297:-1 gene:DRNTG_04606 transcript:DRNTG_04606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARVLFRQALLVHSDHLLAIRSTHQARSPFKVFFSVSSSSGNGDDGYCRRSNNYLKLSDEELMAQCEMDTYKASGPGGQHRNKRESAVRLKHLPTGVIAQAVEDRSQHKNRAAALSRLRTLLALKVRNDINLDEYKPPPEILQILPAKSTIRGADVGPQIGPNNPKFALGMQALLDLIFWC >DRNTG_04606.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4007754:4008408:-1 gene:DRNTG_04606 transcript:DRNTG_04606.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFIEWDSASNKFSHSAVSPKHQDCSAANATQHKGLEL >DRNTG_00290.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2024624:2026971:1 gene:DRNTG_00290 transcript:DRNTG_00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVFYFVVMALASLGFAAKAKFSPCAYFDSWSRIVADGFLGGLLSFVLFWTFAYDLVHIF >DRNTG_14481.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000694.1:1:1058:-1 gene:DRNTG_14481 transcript:DRNTG_14481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDARVCANLLILQLAQPFGGAQGQSHLSIPICAHRTRSLPTCLLLKKQSDPRRERVPVYVTLMKAWTWEYFMLGIATGFVDSQPKKQRNRESMDFAGHMEAMENKKISFGQKEKKTGISYHPHTTQFPYYPVYGVVWRPYALRMERESRNHLLADFWETTWEILGNLGEEKKGKKARRSFKPKVQSSQGKKATSFKGEIDYDLEEGEPRLEEASFSIPLVGEASFGTFLPHPSPFHLVVSLEFKASFLKISSVCIFNALVLSFSFLGHSWSFC >DRNTG_12024.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:782216:783508:-1 gene:DRNTG_12024 transcript:DRNTG_12024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKKCATVFAMLIILFASNSYLRLIQCQLMPIVSDNQVKTFIVFVEEPVGGANLVNDEELTNFHRSFLPNNSLDSGEERMIYSYRHAISGFAALLTADEVLAMETKPGFLFARLPEEVRAETTYTPEFMGLSVGGGLWWSSQYGEGIIIGVIDSGITPTHPSFQSFNAVPAPPVNWFSNCSFGPNACNNKLIGAMAFQNGKNPSPLDDTGHGTHCASIAAGSPVYDAGVLGQARGMAVGTAPRAHISAYKVLYRNRGSEDDMLAGIDQAIRDGVNVLSVSIVSGPKHFLDSGIAMSSFYAITKGIVPCAAAGNSGPTASVISNDAPWILTVGASSTDRRIKVTVMLGNGMEIDGESAYQPDTHNATDLELVLPGSDPDCLS >DRNTG_14418.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000679.1:29690:30629:1 gene:DRNTG_14418 transcript:DRNTG_14418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYKYSQRKMRRDGLLEATTGLLEESPLPLANLDIYSRSAPFSHAESEAAPRKKAQTSHMQGNLHVWFWPGTPRKLIELRLISQKRKLNPSELRLIKLRLISQKMNQSQDAILNSSLLAEANVPGSRGLILT >DRNTG_14594.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:25545312:25546446:1 gene:DRNTG_14594 transcript:DRNTG_14594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRATRCHWKHSKAVGDVRELKKLDVSLLGGLKPDNTPSTLKRLCTSCFQNLQIFLSHPKKTHGRVEFPHAREVALRAHPEKAQGRAATPVNDHTISTRPWRSSLHPEKTQGRAAAPVSWACECPRPCGISARACITLGIFLGCPEKPQGCAASPVGRTHGRGYFPHARVRTIRVEGVFSRERTGACMRPCGSFVMKRTGVGNYRTPLWMHRTSRVASPF >DRNTG_23043.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3287126:3290042:-1 gene:DRNTG_23043 transcript:DRNTG_23043.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDIIHKKIDSIKMSGSANDDLLSMLLQSSNLNLATEDKNKKNNEITIDDVIQECKLFYLAGQETTSVLLTWTLILLSMHPTWQQKAREEVLYTCGKNTPDFESISHLKIVNMILHEVLRLYPPVVFLLRYVNKEIKFGDITLPAGAEALIPVLQVHHDPEIWGEDAEEFNPERFSEGVSKASKGQNAFFPFGWGPRICIGQTFAMIEAKLALAMILQHFSFELSPSYAHAPYTVVTLQPQYGAHLILHQL >DRNTG_23043.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3287126:3290042:-1 gene:DRNTG_23043 transcript:DRNTG_23043.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAFRTSCINLVKRWEKLMSSEGSCEVDVWPELQNLTGDVISRTAFGSSFEEGKQIFELQKEQAALVIEAARSVYLPGFRFLPTAKNKRRIFINSEVKRMLTDIIHKKIDSIKMSGSANDDLLSMLLQSSNLNLATEDKNKKNNEITIDDVIQECKLFYLAGQETTSVLLTWTLILLSMHPTWQQKAREEVLYTCGKNTPDFESISHLKIVNMILHEVLRLYPPVVFLLRYVNKEIKFGDITLPAGAEALIPVLQVHHDPEIWGEDAEEFNPERFSEGVSKASKGQNAFFPFGWGPRICIGQTFAMIEAKLALAMILQHFSFELSPSYAHAPYTVVTLQPQYGAHLILHQL >DRNTG_23043.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3287126:3290042:-1 gene:DRNTG_23043 transcript:DRNTG_23043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSLVIQVTVCVFVVIGVLGRVLYSIWLKPKMLEMQLRRQGLRGNKYRPLADIKDEAASLKEAWSKPMELTHEIIPRVIPYDHQMFKRHGKMAFKWAGTTPKLNIWDLDMMREILQNKSGEILKPRVNPLIRLLVMGVASLEGEVWAHRRKLINPAFHMEKLKGMVPAFRTSCINLVKRWEKLMSSEGSCEVDVWPELQNLTGDVISRTAFGSSFEEGKQIFELQKEQAALVIEAARSVYLPGFRFLPTAKNKRRIFINSEVKRMLTDIIHKKIDSIKMSGSANDDLLSMLLQSSNLNLATEDKNKKNNEITIDDVIQECKLFYLAGQETTSVLLTWTLILLSMHPTWQQKAREEVLYTCGKNTPDFESISHLKIVNMILHEVLRLYPPVVFLLRYVNKEIKFGDITLPAGAEALIPVLQVHHDPEIWGEDAEEFNPERFSEGVSKASKGQNAFFPFGWGPRICIGQTFAMIEAKLALAMILQHFSFELSPSYAHAPYTVVTLQPQYGAHLILHQL >DRNTG_17540.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29057768:29058076:-1 gene:DRNTG_17540 transcript:DRNTG_17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETELHLFLAPLLFEPVMSVTARALRERKRWRREPTGAGGVESSMSGERRG >DRNTG_13982.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27847859:27852808:1 gene:DRNTG_13982 transcript:DRNTG_13982.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein ERG [Source:Projected from Arabidopsis thaliana (AT1G30960) UniProtKB/Swiss-Prot;Acc:O82653] MIPLRAVRRLLFSSPALSSSSTTKLNLIPLARFSATQPHPDDHSIAEESPDFDSRELSLPYSPSPSPAPERTPTWNEAYRAKADQVLYGRPNPTTAAVKQKEVEKEEDNERATILARALLEAALAPPDDVEDEDLIVKEEDQRSLSVGIIGAPNAGKSSLTNFMVGAKVAAVSRKTNTTTHEVLGVITKANTQICLFDTPGLMIGHHGYPYKTDVKVRVESAWSSIELYDLLIVIFDVNRHLTMPDTRVTKLISRLGAQAQVKQKRILCMNKVDLVEDKKDLLKVAKEFEDLPGYERYFMISGLNGSGVKDLVQYLMDQAVKRPWDEDPIVMSEEMMKNISLEVVREKMLNHIHQEIPYVIDHCLMDWKELRDGSLRVEQHFITPKERQRQILIGKNGSKIGRIGIEANEELRSIFKREVHLILRVKVAKKKGG >DRNTG_13982.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27847859:27852808:1 gene:DRNTG_13982 transcript:DRNTG_13982.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein ERG [Source:Projected from Arabidopsis thaliana (AT1G30960) UniProtKB/Swiss-Prot;Acc:O82653] MIPLRAVRRLLFSSPALSSSSTTKLNLIPLARFSATQPHPDDHSIAEESPDFDSRELSLPYSPSPSPAPERTPTWNEAYRAKADQVLYGRPNPTTAAVKQKEVEKEEDNERATILARALLEAALAPPDDVEDEDLIVKEEDQRSLSVGIIGAPNAGKSSLTNFMVGAKVAAVSRKTNTTTHEVLGVITKANTQICLFDTPGLMIGHHGYPYKTDVKVRVESAWSSIELYDLLIVIFDVNRHLTMPDTRVTKLISRLGAQAQVKQKRILCMNKVDLVEDKKDLLKVAKEFEDLPGYERYFMISGLNGSGVKDLVQYLMDQAVKRPWDEDPIVMSEEMMKNISLEVVREKMLNHIHQEIPYVIDHCLMDWKELRDGSLRVEQHFITPKERQRQILIGKNGSKIGRIGIEANEELRSIFKREVHLILRVKVAKKKGG >DRNTG_13982.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27847859:27852808:1 gene:DRNTG_13982 transcript:DRNTG_13982.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein ERG [Source:Projected from Arabidopsis thaliana (AT1G30960) UniProtKB/Swiss-Prot;Acc:O82653] MIPLRAVRRLLFSSPALSSSSTTKLNLIPLARFSATQPHPDDHSIAEESPDFDSRELSLPYSPSPSPAPERTPTWNEAYRAKADQVLYGRPNPTTAAVKQKEVEKEEDNERATILARALLEAALAPPDDVEDEDLIVKEEDQRSLSVGIIGAPNAGKSSLTNFMVGAKVAAVSRKTNTTTHEVLGVITKANTQICLFDTPGLMIGHHGYPYKTDVKVRVESAWSSIELYDLLIVIFDVNRHLTMPDTRVTKLISRLGAQAQVKQKRILCMNKVDLVEDKKDLLKVAKEFEDLPGYERYFMISGLNGSGVKDLVQYLMDQAVKRPWDEDPIVMSEEMMKNISLEVVREKMLNHIHQEIPYVIDHCLMDWKELRDGSLRVEQHFITPKERQRQILIGKNGSKIGRIGIEANEELRSIFKREVHLILRVKVAKKKGG >DRNTG_13553.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6578972:6580694:-1 gene:DRNTG_13553 transcript:DRNTG_13553.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGEFWLTTQLHTVNPLAKPLAQLKQLPNILEQTNILKPRFDAINNLIKAMLDVAKCIVEFRELPSEYISYDAPDMAMALAHIPIAVYWTIRGAIACTSQIVGLIGLGREYISSTTEAWELSSLAHKINNIYGHLIKQLTMCQQQIGEKKHMEAYQTLVRLFETIHLDNMKILRALMHSKDDLPIMDGVAKKRVSVEVLRRKIVMLFISDLDITHEELFVLIQIYNDTHQGRVERHYDIVWLPIIDRHVPWLHAREESFNSLTSSMPWYSLVHPSLLDKAVVQYIRNVWHFNKKPMLVVLDPQGKVVCPNAMHMMWIWGSIAYPFTSNREEALWKEEIWRLELLVDEIDPTILQWVTEGRHVCLYGGDNIDWIRRFTTTMRRITQEA >DRNTG_13553.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6579151:6581356:-1 gene:DRNTG_13553 transcript:DRNTG_13553.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQITATHAPDGREVDVMPILHIVEDIMQRATPSLILMPQTQLELVEQTTHRAAVVSMLEAVAYTVHRMSSEINYKCSIGGDAHATTLALLQSLSSYTWDAKLVLVLAAFAMRYGEFWLTTQLHTVNPLAKPLAQLKQLPNILEQTNILKPRFDAINNLIKAMLDVAKCIVEFRELPSEYISYDAPDMAMALAHIPIAVYWTIRGAIACTSQIVGLIGLGREYISSTTEAWELSSLAHKINNIYGHLIKQLTMCQQQIGEKKHMEAYQTLVRLFETIHLDNMKILRALMHSKDDLPIMDGVAKKRVSVEVLRRKIVMLFISDLDITHEELFVLIQIYNDTHQGRVERHYDIVWLPIIDRHVPWLHAREESFNSLTSSMPWYSLVHPSLLDKAVVQYIRNVWHFNKKPMLVVLDPQGKVVCPNAMHMMWIWGSIAYPFTSNREEALWKEEIWRLELLVDEIDPTILQW >DRNTG_13553.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6578972:6581356:-1 gene:DRNTG_13553 transcript:DRNTG_13553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQITATHAPDGREVDVMPILHIVEDIMQRATPSLILMPQTQLELVEQTTHRAAVVSMLEAVAYTVHRMSSEINYKCSIGGDAHATTLALLQSLSSYTWDAKLVLVLAAFAMRYGEFWLTTQLHTVNPLAKPLAQLKQLPNILEQTNILKPRFDAINNLIKAMLDVAKCIVEFRELPSEYISYDAPDMAMALAHIPIAVYWTIRGAIACTSQIVGLIGLGREYISSTTEAWELSSLAHKINNIYGHLIKQLTMCQQQIGEKKHMEAYQTLVRLFETIHLDNMKILRALMHSKDDLPIMDGVAKKRVSVEVLRRKIVMLFISDLDITHEELFVLIQIYNDTHQGRVERHYDIVWLPIIDRHVPWLHAREESFNSLTSSMPWYSLVHPSLLDKAVVQYIRNVWHFNKKPMLVVLDPQGKVVCPNAMHMMWIWGSIAYPFTSNREEALWKEEIWRLELLVDEIDPTILQWVTEGRHVCLYGGDNIDWIRRFTTTMRRITQEA >DRNTG_34134.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21826663:21829046:1 gene:DRNTG_34134 transcript:DRNTG_34134.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHASRLLIRAAAGEGGAHGRTAAVASSRAFSSEVPAAPVQDPAFIEAWKKVAPNIEPPKTPLEFLKPRPPTPSTIPSKLTVNFVLPYQSEISSKEVDMVIIPATTGQMGVLPGHVATIAELKPGLLSVHEGTEVTKYFVSSGFAFIHANSFTDIVAIEAVPIDRIDPDLVQKGLTEFTQKLSSATTDLEKAEAQIGVDVHSAMNAALSG >DRNTG_09324.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1018359:1021644:-1 gene:DRNTG_09324 transcript:DRNTG_09324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSPAIMNNSRLLLLLAILFLFISSNITSSSDAVVSDTLRPNQTLRDGQSLVSANQSFELGFFSPQGSTNRYVGIWYHNISVQTVVWVGNRDRPVADASGVISFDSYGNLRIVDARGSSFVFTYGFSSTESTAAKLLDNGNLVLMDANNSSQILYESFDYPTDSFLPGMKLGLVRGQNRLLTSWRNSGDPATGDFLFGVNPNSSGHQQMYIWQKNTIYWDSGPWNGQIFSLRPEMFSLNSSEYMHVLSPEDDYLTYSTKNTSIIPRYAMNFSGRIQLQLWSEAAQEWLILWSQPRPQCDVYNLCGDNGVCDEESVPDGQCSCLRGFAPALESLADWNISVTKPGCLVRKSSLQCSRTGDKNGYLTMPNTIKDRFLWIDVNLPADPQYLNVSSAAECQSSCLSNCNCTAYAFTNMCQLWYGNLKDLKKVNGAAAGLYLRLAPSEFPDENRNWIWVAATATVLGLILCMTYMCYRWRRKDRERRKLYQEQILLASIRRNLDEAGERGPSFTSFSFSRVADATENFSSANKLGEGGFGPVYKGQLPGGLEIAVKRLSKSSGQGLEEFKNEIMLIAKLQHRNLVRLLGCCIQAGERILIYEYMPNKSLDYFLFEPTRGVVLDWTRRFNIIEGIAHGLLYLHKHSRLIIIHRDLKASNILLDDEWCPKISDFGLARIFSSNEMQANTIRVVGTFGYMSPEYASEGLYSIKSDVFSFGVLLLEIVSGKRNAGFHRYGNSFNLLGYAWELWIEGRWPELADPVIGNACQGYQVCKCIHVALLCVQECASDRPTMSEVINMLVNENSENVEAPAAPKHPAFFATRIRTEDDAPLTNNEITASTFSGR >DRNTG_09324.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1018359:1019019:-1 gene:DRNTG_09324 transcript:DRNTG_09324.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEYASEGLYSIKSDVFSFGVLLLEIVSGKRNAGFHRYGNSFNLLGYAWELWIEGRWPELADPVIGNACQGYQVCKCIHVALLCVQECASDRPTMSEVINMLVNENSENVEAPAAPKHPAFFATRIRTEDDAPLTNNEITASTFSGR >DRNTG_09324.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1018359:1019337:-1 gene:DRNTG_09324 transcript:DRNTG_09324.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQANTIRVVGTFGYMSPEYASEGLYSIKSDVFSFGVLLLEIVSGKRNAGFHRYGNSFNLLGYAWELWIEGRWPELADPVIGNACQGYQVCKCIHVALLCVQECASDRPTMSEVINMLVNENSENVEAPAAPKHPAFFATRIRTEDDAPLTNNEITASTFSGR >DRNTG_09324.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1019390:1019650:-1 gene:DRNTG_09324 transcript:DRNTG_09324.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAKLQHRNLVRLLGCCIQAGERILIYEYMPNKSLDYFLFGLLSLVTS >DRNTG_11679.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:300726:303368:1 gene:DRNTG_11679 transcript:DRNTG_11679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRNLVDVDKLVLRGLQFHGFHGVKQEEKKLGQKFFVDVDAWLDLSTAGATDDISDTVSYTDIYRIVKEIVEGSSQNLLESVAHLIANTTLLKIPQISAIRVKVGKPHVAVPGPVDYLGVEILRYRKHD >DRNTG_09809.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26792994:26793864:1 gene:DRNTG_09809 transcript:DRNTG_09809.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSVLLTNLESRKASPNAHTSLSSPRGGHPFEFGNKGTSIIQRRLLNASERPSSYRINTLGGGMSSSHTSNGEYSPSNGNIVKHLIQQNNVKQGYQSVGLLIPASNPINLQSTPQREAKATASGLLHNDWQLHSLQSSFGFEPNDIAIQAESSHSLLPRGIPEDSNSLINTPHNNGFRKDPFGDQNSLISGKRNLLDRSLSNLSSEEANMNTR >DRNTG_18314.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2529552:2531962:-1 gene:DRNTG_18314 transcript:DRNTG_18314.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAGLPLREAGVLKGHEGAVLAVRFNGDGNYCLSCGKDRTLRLWNPHRGIHIKTYKSHGREVRDVHVTPDNAKLVSCGGDRQIFYWDVSTGRVIRKFRGHDSEVNSVKFNEYASVVVSAGYDQSVRAWDCRSHSTEPIQIIDTFQDSVMSVCLTKSEIIAGSVDGTVRTFDIRIESLLMT >DRNTG_01508.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:19430269:19432128:1 gene:DRNTG_01508 transcript:DRNTG_01508.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSKQLKHKRRTSKEYMTSKEHHQNNIKNLIESHILKRCVKNHEAELEENQNSRKPHCASEIDVNNTNFIGIYREKRGRLACLTVLLSDLH >DRNTG_07786.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1187734:1190571:1 gene:DRNTG_07786 transcript:DRNTG_07786.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRNPNVPSNQVSLHHLKRIIKSDPPRHL >DRNTG_10446.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22361355:22364912:-1 gene:DRNTG_10446 transcript:DRNTG_10446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTYKPRLGSSESNEQLRNRVKELEEELARAR >DRNTG_08557.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17254917:17259770:1 gene:DRNTG_08557 transcript:DRNTG_08557.3 gene_biotype:protein_coding transcript_biotype:protein_coding SIGLGGFVIFELSGDQLMAGAPRVRSMNIADLEVRPVFGPAGNNRAKSVVKKPASKPTRKAGEAKKVVALAPAPTMDPAVDSPPLSPALSAPAVLRRQELLLHSNLSLNASCSSDASTDSFRSRASTGRIGRPGSAGRWKHSGLKPEKIVAKLEKIVQDGGAMPQPEARPGKRRCAWVTPNTEPCYAALHDGEWGVPVHDDKKLFELLVLSSALAELTWPAILCKRHIFREVFMDFDPIAVSKLNEKKVISPGSTASSLLSEPKLRAIIENSRQILKIVDEFGSFDRYCWGFVNHKPITNRFRYPRQVPVKSPKADVISKDLVKRGFRCVGPTVVYSFMQVAGITNDHLISCFRFEECVHKATIPAVSAELDAAVNLRKAEAGIEVIDLELSKAVNGLSISLQNGF >DRNTG_08557.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17254917:17259770:1 gene:DRNTG_08557 transcript:DRNTG_08557.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPRVRSMNIADLEVRPVFGPAGNNRAKSVVKKPASKPTRKAGEAKKVVALAPAPTMDPAVDSPPLSPALSAPAVLRRQELLLHSNLSLNASCSSDASTDSFRSRASTGRIGRPGSAGRWKHSGLKPEKIVAKLEKIVQDGGAMPQPEARPGKRRCAWVTPNTEPCYAALHDGEWGVPVHDDKKLFELLVLSSALAELTWPAILCKRHIFREVFMDFDPIAVSKLNEKKVISPGSTASSLLSEPKLRAIIENSRQILKIVDEFGSFDRYCWGFVNHKPITNRFRYPRQVPVKSPKADVISKDLVKRGFRCVGPTVVYSFMQVAGITNDHLISCFRFEECVHKATIPAVSAELDAAVNLRKAEAGIEVIDLELSKAVNGLSISLQNGF >DRNTG_08557.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17254917:17259770:1 gene:DRNTG_08557 transcript:DRNTG_08557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPRVRSMNIADLEVRPVFGPAGNNRAKSVVKKPASKPTRKAGEAKKVVALAPAPTMDPAVDSPPLSPALSAPAVLRRQELLLHSNLSLNASCSSDASTDSFRSRASTGRIGRPGSAGRWKHSGLKPEKIVAKLEKIVQDGGAMPQPEARPGKRRCAWVTPNTEPCYAALHDGEWGVPVHDDKKLFELLVLSSALAELTWPAILCKRHIFREVFMDFDPIAVSKLNEKKVISPGSTASSLLSEPKLRAIIENSRQILKIVDEFGSFDRYCWGFVNHKPITNRFRYPRQVPVKSPKADVISKDLVKRGFRCVGPTVVYSFMQVAGITNDHLISCFRFEECVHKATIPAVSAELDAAVNLRKAEAGIEVIDLELSKAVNGLSISLQNGF >DRNTG_25376.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24258508:24265222:-1 gene:DRNTG_25376 transcript:DRNTG_25376.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERNREARRGSMPVMNGGLPRRRQRSSSLRDSPEEDGAIDMQETGRLRERASKKERDRERLSRNKRRRGDRMMHGSNREEGADTSDGSLDEDDDEEEEEAPNVRLPPSAPSMPASSSSLPPSNHLRKSFPAKVVRAPAVWKADEMIGVPIPRKARSGTAKRSHDCWASGGGSGGGASGEASHRQPPPTSPARPPHPSSGPMSPSSSNASVRKKIKPISGSKPQRPPKTAKSSSIQDLEIEVAEVLFGMNRQPQPKSEGHKLDSKEANGSGNDAKSRVSSPISNSQATGSSQAPAPTLPNSSSNPAPMAVTAPKRKRPRPVKFEDESSTSPVSSTANASVPPASATKPDSQVVVKTESSSPRTEKTMASPMNDNAGGLLDHGLPQVAPSVTSADRLQESSKPDADSVADSAGRDRMVVTDENVPLVKQPALDVNLDDVPEKDDPVTENRKEEKFSIDLMAPPPGKLSPERDGFPDLSSDSKPVDSEMKLKMELSGRQEEKREVKPESTEMLHAGRKERTLDLQLDLDKHGKEVASSSKQQGQKQQTKPSGKLEAKPDSKPVANANASGSVPVPLQVGTWPSNVPPFGYMGHVPPLPAVLPIDGSSGSSKTMQAPNFASGQSRPSRCVTHCYIAKNIFYHQQIAKMNPFWPAAAGTVPLYGAKPYNLNAIPPSESTSIPANSLQANFPGRNSGALPDKGSHPSREKSSTAANTQIDSAQRKQHPMQQLTPPASHGPAFIFSLNQQAAGAAASVIRSAAPKSTPGIASAASSSSTANFGVANSGSGGPAATVNFNYAMPVNEAHYLAILQNNAYPFQIPGAVGTPPSYRGGAPVQALPFFNGSFYSSQMLHPSQLQQQPPPQQASHTQHGHQNQSTSSGSSSSQKHHPQQPQQRVTAGAAAPSTAGLSTPSHPSSKPKQHLLPHQSRHLEGETGCEDSPSTADSRTSQAGQKAVPGQNFAMPIHPPNFALISPAAAALGGSVTHTDKQSSQQPPLKVELNPSQTFGMSFTSLGGNAVAAGAPPCLDFSSLAQNHAIFQSLPEAARHGYQINAAAAVASAQAAQHLKKSPQMLEERRTGGESTSAMVGVEEDRKALATSKVMNVPQQSLSFSRPDNNSSMPSILGNSVIDNSRTLTLISTNVNGGGISHRVSNSVLSSPPATGAGGSQPCQQQQAFINIQKQQQLQHHLASRTKSLSSSNNTGIYSERMPSGSAVSKFPPALAGFPQALMQSSSASHSAQWKGSTKSTTNAASSSHPAQSSVKTSISQQQSRISQQSVTPQVHQTQISFGVNSPKMAAPAGQQLTGGNSMSSLSSAPTSIMVGSPQNSAASKGSAGGSPRASANAKSGPQMPTTSLPLAQQASSKGSPSSSSRKSSPVSNRNVPSILGQPHISSSQSSSTNKHQHQPPHQKQPYPQPQLFFNNTYMPTQSSQSNASAAAAAAAAASAAVAASYYHRRPSEQQPVQGPNLVASSTGMLSLCPSTLSLIGSPVTVDPTKAVAAATNMKGLQQAGLLQHPGAASFPYIHGMPSVSIKPAEQKPAAGNDNLNASWQAERR >DRNTG_25376.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24258508:24265222:-1 gene:DRNTG_25376 transcript:DRNTG_25376.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERNREARRGSMPVMNGGLPRRRQRSSSLRDSPEEDGAIDMQETGRLRERASKKERDRERLSRNKRRRGDRMMHGSNREEGADTSDGSLDEDDDEEEEEAPNVRLPPSAPSMPASSSSLPPSNHLRKSFPAKVVRAPAVWKADEMIGVPIPRKARSGTAKRSHDCWASGGGSGGGASGEASHRQPPPTSPARPPHPSSGPMSPSSSNASVRKKIKPISGSKPQRPPKTAKSSSIQDLEIEVAEVLFGMNRQPQPKSEGHKLDSKEANGSGNDAKSRVSSPISNSQATGSSQAPAPTLPNSSSNPAPMAVTAPKRKRPRPVKFEDESSTSPVSSTANASVPPASATKPDSQVVVKTESSSPRTEKTMASPMNDNAGGLLDHGLPQVAPSVTSADRLQESSKPDADSVADSAGRDRMVVTDENVPLVKQPALDVNLDDVPEKDDPVTENRKEEKFSIDLMAPPPGKLSPERDGFPDLSSDSKPVDSEMKLKMELSGRQEEKREVKPESTEMLHAGRKERTLDLQLDLDKHGKEVASSSKQQGQKQQTKPSGKLEAKPDSKPVANANASGSVPVPLQVGTWPSNVPPFGYMGHVPPLPAVLPIDGSSGSSKTMQAPNFASGQSRPSRCVTHCYIAKNIFYHQQIAKMNPFWPAAAGTVPLYGAKPYNLNAIPPSESTSIPANSLQANFPGRNSGALPDKGSHPSREKSSTAANTQIDSAQRKQHPMQQLTPPASHGPAFIFSLNQQAAGAAASVIRSAAPKSTPGIASAASSSSTANFGVANSGSGGPAATVNFNYAMPVNEAHYLAILQNNAYPFQIPGAVGTPPSYRGGAPVQALPFFNGSFYSSQMLHPSQLQQQPPPQQASHTQHGHQNQSTSSGSSSSQKHHPQQPQQRVTAGAAAPSTAGLSTPSHPSSKPKQHLLPHQSRHLEGETGCEDSPSTADSRTSQAGQKAVPGQNFAMPIHPPNFALISPAAAALGGSVTHTDKQSSQQPPLKVELNPSQTFGMSFTSLGGNAVAAGAPPCLDFSSLAQNHAIFQSLPEAARHGYQINAAAAVASAQAAQHLKKSPQMLEERRTGGESTSAMVGVEEDRKALATSKVMNVPQQSLSFSRPDNNSSMPSILGNSVIDNSRTLTLISTNVNGGGISHRVSNSVLSSPPATGAGGSQPCQQQQAFINIQKQQQLQHHLASRTKSLSSSNNTGIYSERMPSGSAVSKFPPALAGFPQALMQSSSASHSAQWKGSTKSTTNAASSSHPAQSSVKTSISQQQSRISQQSVTPQVHQTQISFGVNSPKMAAPAGQQLTGGNSMSSLSSAPTSIMVGSPQNSAASKGSAGGSPRASANAKSGPQMPTTSLPLAQQASSKGSPSSSSRKSSPVSNRNVPSILGQPHISSSQSSSTNKHQHQPPHQKQPYPQPQLFFNNTYMPTQSSQSNASAAAAAAAAASAAVAASYYHRRPSEQQPVQGPNLVASSTGMLSLCPSTLSLIGSPVTVDPTKAVAAATNMKGLQQAGLLQHPGAASFPYIHGMPSVSIKPAEQKPAAG >DRNTG_25376.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24258508:24265222:-1 gene:DRNTG_25376 transcript:DRNTG_25376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNREARRGSMPVMNGGLPRRRQRSSSLRDSPEEDGAIDMQETGRLRERASKKERDRERLSRNKRRRGDRMMHGSNREEGADTSDGSLDEDDDEEEEEAPNVRLPPSAPSMPASSSSLPPSNHLRKSFPAKVVRAPAVWKADEMIGVPIPRKARSGTAKRSHDCWASGGGSGGGASGEASHRQPPPTSPARPPHPSSGPMSPSSSNASVRKKIKPISGSKPQRPPKTAKSSSIQDLEIEVAEVLFGMNRQPQPKSEGHKLDSKEANGSGNDAKSRVSSPISNSQATGSSQAPAPTLPNSSSNPAPMAVTAPKRKRPRPVKFEDESSTSPVSSTANASVPPASATKPDSQVVVKTESSSPRTEKTMASPMNDNAGGLLDHGLPQVAPSVTSADRLQESSKPDADSVADSAGRDRMVVTDENVPLVKQPALDVNLDDVPEKDDPVTENRKEEKFSIDLMAPPPGKLSPERDGFPDLSSDSKPVDSEMKLKMELSGRQEEKREVKPESTEMLHAGRKERTLDLQLDLDKHGKEVASSSKQQGQKQQTKPSGKLEAKPDSKPVANANASGSVPVPLQVGTWPSNVPPFGYMGHVPPLPAVLPIDGSSGSSKTMQAPNFASGQSRPSRCVTHCYIAKNIFYHQQIAKMNPFWPAAAGTVPLYGAKPYNLNAIPPSESTSIPANSLQANFPGRNSGALPDKGSHPSREKSSTAANTQIDSAQRKQHPMQQLTPPASVNNLLHGPAFIFSLNQQAAGAAASVIRSAAPKSTPGIASAASSSSTANFGVANSGSGGPAATVNFNYAMPVNEAHYLAILQNNAYPFQIPGAVGTPPSYRGGAPVQALPFFNGSFYSSQMLHPSQLQQQPPPQQASHTQHGHQNQSTSSGSSSSQKHHPQQPQQRVTAGAAAPSTAGLSTPSHPSSKPKQHLLPHQSRHLEGETGCEDSPSTADSRTSQAGQKAVPGQNFAMPIHPPNFALISPAAAALGGSVTHTDKQSSQQPPLKVELNPSQTFGMSFTSLGGNAVAAGAPPCLDFSSLAQNHAIFQSLPEAARHGYQINAAAAVASAQAAQHLKKSPQMLEERRTGGESTSAMVGVEEDRKALATSKVMNVPQQSLSFSRPDNNSSMPSILGNSVIDNSRTLTLISTNVNGGGISHRVSNSVLSSPPATGAGGSQPCQQQQAFINIQKQQQLQHHLASRTKSLSSSNNTGIYSERMPSGSAVSKFPPALAGFPQALMQSSSASHSAQWKGSTKSTTNAASSSHPAQSSVKTSISQQQSRISQQSVTPQVHQTQISFGVNSPKMAAPAGQQLTGGNSMSSLSSAPTSIMVGSPQNSAASKGSAGGSPRASANAKSGPQMPTTSLPLAQQASSKGSPSSSSRKSSPVSNRNVPSILGQPHISSSQSSSTNKHQHQPPHQKQPYPQPQLFFNNTYMPTQSSQSNASAAAAAAAAASAAVAASYYHRRPSEQQPVQGPNLVASSTGMLSLCPSTLSLIGSPVTVDPTKAVAAATNMKGLQQAGLLQHPGAASFPYIHGMPSVSIKPAEQKPAAGNDNLNASWQAERR >DRNTG_01599.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9563935:9566513:1 gene:DRNTG_01599 transcript:DRNTG_01599.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCESYHPGRKSYHLESVKATLAAALERATLEVVKPNLIEEANVDREFTIWKKSCEGSNAKTYVGFKMLECVPTSLFSS >DRNTG_10381.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15660355:15662275:-1 gene:DRNTG_10381 transcript:DRNTG_10381.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLEKALTRFVQSSDTRFQSIEATLRTHTATLHNLENQVGQIAKSLLERPQGSVSNNTETNMREHVKVITLRSGCEVEGRLPINVESIPIRGFARPRNGERRGDDAWYREECTIYSGDRKEDAQEDEAREEITQEMAQGSWGYEFLAEPVEFSKSSRENVWPCGISTWPRVSTMSSSQEDTGAWTWLYERPCDGPRPWIISTRACVILQRFRDFPREDTREWTHPSG >DRNTG_25138.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3454410:3464007:1 gene:DRNTG_25138 transcript:DRNTG_25138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVALLRSLSCSATACRRLLFHSRTKSRLFSSNPHRKRLHLQQARALSCSSTLRCWPSAPPSSLHFRRKAVSFSPRAISTSPSPLPQDMDTDHDLAEKLGFEKIAEQTINECKSTAVLYKHKKTGAEVMSVSNDDENKVFGVVFRTPPKDSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPKCVEDFQTFQQEGWHYELNDPSEEVSFKGVVFNEMKGVYSQPDNILGRASQQALFPDNTYGVDSGGDPKVIPKLTFEEFKDFHRKYYHPSNARIWFYGDDDSNERLRILSEYLNEFEASSSSDESKVEPQKLFKEPVRIIEQYPAGDGGDLTKKHMVCINWLLSDKPLDLETELTLGFLDHLLLGTPASPLRKILLESGLGDAIVGGGMEDELLQPQFSIGLKGVSQNDIHKVEELVMETLKNLAEEGFSPEAVEASMNTIEFSLRENNTGSFPRGLSLMLRAVGKWIYDMDPFEPLKYEKPLQSLKARIAEEGSKAVFSPLIEKYILNNPHRVTVEMQPDPEKASRDEEAEKELLEKVKKSMTEEDLAELARATQELRLKQETPDPPEALRSVPSLSLKDIPKEPIHVPTEIGEVDGVKVLQHNLFTNDVLYSEVVFDMSSLKKELLQLVPLFCQSLLEMGTKDMDFVQLNQLIGRKTGGISVYPFTSAVRGKADPCTRIIVRGKAMAGRVDDLFNLMNCILQEVQLTDQQRFKQFVLQSKARMENRLRGSGHGIAAARMDAKLNVAGWISEQMGGVSYLEFLQDLEKKVDDDWDGISSSLEDIRTALISRKGCLINMTADEKNLINSTKFVAKFLDSLPNSSSTVNESWHAQLPSVNEAIVIPTQVNYVGKAGNIYDSGYQLKGSAYVISKYIGNTWLWDRVRVSGGAYGGFCDFDTHSGVFSYLSYRDPNLLKTLEVYDGTEDFLRELEMDDNTLTKAIIGTIGDVDSYQLPDAKGYSSMLRYLLGVTEEERQRRREEILSTTLKDFKEFADAIKVVKEKGVVVAVASPDDVGAANDERPGFLNIKKAL >DRNTG_25138.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3454410:3456365:1 gene:DRNTG_25138 transcript:DRNTG_25138.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERVALLRSLSCSATACRRLLFHSRTKSRLFSSNPHRKRLHLQQARALSCSSTLRCWPSAPPSSLHFRRKAVSFSPRAISTSPSPLPQDMDTDHDLAEKLGFEKIAEQTINECKSTAVLYKHKKTGAEVMSVSNDDENKVFGVVFRTPPKDSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPKCVEDFQTFQQEGWHYELNDPSEEVSFKGVVFNEMKGVYSQPDNILGRASQQASFLSCPVLVNSV >DRNTG_25138.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3456904:3464007:1 gene:DRNTG_25138 transcript:DRNTG_25138.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCINWLLSDKPLDLETELTLGFLDHLLLGTPASPLRKILLESGLGDAIVGGGMEDELLQPQFSIGLKGVSQNDIHKVEELVMETLKNLAEEGFSPEAVEASMNTIEFSLRENNTGSFPRGLSLMLRAVGKWIYDMDPFEPLKYEKPLQSLKARIAEEGSKAVFSPLIEKYILNNPHRVTVEMQPDPEKASRDEEAEKELLEKVKKSMTEEDLAELARATQELRLKQETPDPPEALRSVPSLSLKDIPKEPIHVPTEIGEVDGVKVLQHNLFTNDVLYSEVVFDMSSLKKELLQLVPLFCQSLLEMGTKDMDFVQLNQLIGRKTGGISVYPFTSAVRGKADPCTRIIVRGKAMAGRVDDLFNLMNCILQEVQLTDQQRFKQFVLQSKARMENRLRGSGHGIAAARMDAKLNVAGWISEQMGGVSYLEFLQDLEKKVDDDWDGISSSLEDIRTALISRKGCLINMTADEKNLINSTKFVAKFLDSLPNSSSTVNESWHAQLPSVNEAIVIPTQVNYVGKAGNIYDSGYQLKGSAYVISKYIGNTWLWDRVRVSGGAYGGFCDFDTHSGVFSYLSYRDPNLLKTLEVYDGTEDFLRELEMDDNTLTKAIIGTIGDVDSYQLPDAKGYSSMLRYLLGVTEEERQRRREEILSTTLKDFKEFADAIKVVKEKGVVVAVASPDDVGAANDERPGFLNIKKAL >DRNTG_29398.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9041693:9043847:1 gene:DRNTG_29398 transcript:DRNTG_29398.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALAGHRIRRFFPHLRAGIRPIWSPSGADVDPTTATSSSSPSSSRSREKKLANRISSVVDAINDRKLPPELRGRANAIRSETDIVNVVEQRIWHSMEEGHFENLPGKGKPLDLSTNPHADPAEDTLYRILSRNGCAPEWVELNKEIRSKIAEWRLAMKKAWTRRSDHDNSKWLEDSESLKSQIREINDKVLRYNLIVPFGRQMFGLKWEKEIDKLK >DRNTG_24253.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20664099:20671665:1 gene:DRNTG_24253 transcript:DRNTG_24253.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional fucokinase/fucose pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT1G01220) UniProtKB/Swiss-Prot;Acc:Q9LNJ9] MTGDVLPCFDASTLSLPDDACCIITVPITLDIASNHGVVVASKDGVLEENYSLCLVEDLLQKPTVEELVQKHAVRHDGRALLDTGIIAVKGKAWLDLIMLAYSSSRAMVLELLKARKEMSLYEDLVAAWVPAKHEWLNSRPLGKELIKALGSRKMFSYCAYDLSFLHFGTSNEVLDHLSGSDFGLVGRRHLCSIADTTVCDIAASAVILSSKVSPGVSVGEDSLVYDSSLSGRIQMGSHCIVVGVNITQKNASQSSEDSFRFILPDRHCVWEVPLVGHSGRIFIYCGLHDNPKSPLGDNGTFCGKPWRQVLHDLNIQPRELWSSSSAKDMCLWNAKLYPILPPSDMLSIGMWLMGSSSLNSEQMLSLWRSSQRFSLEELHRSIDFPRLCMHSTNHQAELAAGIAKACITYGLLGRDLSQLCEEILQNNVSGLKTCEEFLELCPSLEALNRGVLPQSRAYQVQVDLLRACGDDSAACSLEQKVWTAVASETASAVKDGIADQFSSSSFGVNHSSSDKSEIDGLTFQARRVKVELPVRVDFVGGWSDTPPWSLERPGCVLNMAVRLENSLPVGIEINTTESHGVLIVDDAENSVYIQHPKSMIGPFDEDDRFRLVKSALLVTGIIHNNLLKDSGLQIRTWANVPRGSGLGTSSILAAAVVKGLLHLMEEDESNENVARIVLVLEQVMGTGGGWQDQIGGLYPGIKYTSSFPGQPLRLQVTPLVASPQLVQELEQRLLVVFTGQVRLANQVLQKVVIRYLRRDNLLISSIKRLAALARIGREALMNGDIDELGEIMLEAWRLHQELDPYCSNEFVDKLFTFAEPYCCGYKLVGAGGGGFALLLAKDFSFCKRTKKKSRRSFRTRRKSI >DRNTG_24253.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20662396:20671665:1 gene:DRNTG_24253 transcript:DRNTG_24253.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional fucokinase/fucose pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT1G01220) UniProtKB/Swiss-Prot;Acc:Q9LNJ9] MPHVPMLAGGLFIMTGDVLPCFDASTLSLPDDACCIITVPITLDIASNHGVVVASKDGVLEENYSLCLVEDLLQKPTVEELVQKHAVRHDGRALLDTGIIAVKGKAWLDLIMLAYSSSRAMVLELLKARKEMSLYEDLVAAWVPAKHEWLNSRPLGKELIKALGSRKMFSYCAYDLSFLHFGTSNEVLDHLSGSDFGLVGRRHLCSIADTTVCDIAASAVILSSKVSPGVSVGEDSLVYDSSLSGRIQMGSHCIVVGVNITQKNASQSSEDSFRFILPDRHCVWEVPLVGHSGRIFIYCGLHDNPKSPLGDNGTFCGKPWRQVLHDLNIQPRELWSSSSAKDMCLWNAKLYPILPPSDMLSIGMWLMGSSSLNSEQMLSLWRSSQRFSLEELHRSIDFPRLCMHSTNHQAELAAGIAKACITYGLLGRDLSQLCEEILQNNVSGLKTCEEFLELCPSLEALNRGVLPQSRAYQVQVDLLRACGDDSAACSLEQKVWTAVASETASAVKDGIADQFSSSSFGVNHSSSDKSEIDGLTFQARRVKVELPVRVDFVGGWSDTPPWSLERPGCVLNMAVRLENSLPVGIEINTTESHGVLIVDDAENSVYIQHPKSMIGPFDEDDRFRLVKSALLVTGIIHNNLLKDSGLQIRTWANVPRGSGLGTSSILAAAVVKGLLHLMEEDESNENVARIVLVLEQVMGTGGGWQDQIGGLYPGIKYTSSFPGQPLRLQVTPLVASPQLVQELEQRLLVVFTGQVRLANQVLQKVVIRYLRRDNLLISSIKRLAALARIGREALMNGDIDELGEIMLEAWRLHQELDPYCSNEFVDKLFTFAEPYCCGYKLVGAGGGGFALLLAKDFSFCKRTKKKSRRSFRTRRKSI >DRNTG_24253.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20665712:20671665:1 gene:DRNTG_24253 transcript:DRNTG_24253.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional fucokinase/fucose pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT1G01220) UniProtKB/Swiss-Prot;Acc:Q9LNJ9] MSLYEDLVAAWVPAKHEWLNSRPLGKELIKALGSRKMFSYCAYDLSFLHFGTSNEVLDHLSGSDFGLVGRRHLCSIADTTVCDIAASAVILSSKVSPGVSVGEDSLVYDSSLSGRIQMGSHCIVVGVNITQKNASQSSEDSFRFILPDRHCVWEVPLVGHSGRIFIYCGLHDNPKSPLGDNGTFCGKPWRQVLHDLNIQPRELWSSSSAKDMCLWNAKLYPILPPSDMLSIGMWLMGSSSLNSEQMLSLWRSSQRFSLEELHRSIDFPRLCMHSTNHQAELAAGIAKACITYGLLGRDLSQLCEEILQNNVSGLKTCEEFLELCPSLEALNRGVLPQSRAYQVQVDLLRACGDDSAACSLEQKVWTAVASETASAVKDGIADQFSSSSFGVNHSSSDKSEIDGLTFQARRVKVELPVRVDFVGGWSDTPPWSLERPGCVLNMAVRLENSLPVGIEINTTESHGVLIVDDAENSVYIQHPKSMIGPFDEDDRFRLVKSALLVTGIIHNNLLKDSGLQIRTWANVPRGSGLGTSSILAAAVVKGLLHLMEEDESNENVARIVLVLEQVMGTGGGWQDQIGGLYPGIKYTSSFPGQPLRLQVTPLVASPQLVQELEQRLLVVFTGQVRLANQVLQKVVIRYLRRDNLLISSIKRLAALARIGREALMNGDIDELGEIMLEAWRLHQELDPYCSNEFVDKLFTFAEPYCCGYKLVGAGGGGFALLLAKDFSFCKRTKKKSRRSFRTRRKSI >DRNTG_24253.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20662456:20671665:1 gene:DRNTG_24253 transcript:DRNTG_24253.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional fucokinase/fucose pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT1G01220) UniProtKB/Swiss-Prot;Acc:Q9LNJ9] MTGDVLPCFDASTLSLPDDACCIITVPITLDIASNHGVVVASKDGVLEENYSLCLVEDLLQKPTVEELVQKHAVRHDGRALLDTGIIAVKGKAWLDLIMLAYSSSRAMVLELLKARKEMSLYEDLVAAWVPAKHEWLNSRPLGKELIKALGSRKMFSYCAYDLSFLHFGTSNEVLDHLSGSDFGLVGRRHLCSIADTTVCDIAASAVILSSKVSPGVSVGEDSLVYDSSLSGRIQMGSHCIVVGVNITQKNASQSSEDSFRFILPDRHCVWEVPLVGHSGRIFIYCGLHDNPKSPLGDNGTFCGKPWRQVLHDLNIQPRELWSSSSAKDMCLWNAKLYPILPPSDMLSIGMWLMGSSSLNSEQMLSLWRSSQRFSLEELHRSIDFPRLCMHSTNHQAELAAGIAKACITYGLLGRDLSQLCEEILQNNVSGLKTCEEFLELCPSLEALNRGVLPQSRAYQVQVDLLRACGDDSAACSLEQKVWTAVASETASAVKDGIADQFSSSSFGVNHSSSDKSEIDGLTFQARRVKVELPVRVDFVGGWSDTPPWSLERPGCVLNMAVRLENSLPVGIEINTTESHGVLIVDDAENSVYIQHPKSMIGPFDEDDRFRLVKSALLVTGIIHNNLLKDSGLQIRTWANVPRGSGLGTSSILAAAVVKGLLHLMEEDESNENVARIVLVLEQVMGTGGGWQDQIGGLYPGIKYTSSFPGQPLRLQVTPLVASPQLVQELEQRLLVVFTGQVRLANQVLQKVVIRYLRRDNLLISSIKRLAALARIGREALMNGDIDELGEIMLEAWRLHQELDPYCSNEFVDKLFTFAEPYCCGYKLVGAGGGGFALLLAKDFSFCKRTKKKSRRSFRTRRKSI >DRNTG_24253.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20662456:20671665:1 gene:DRNTG_24253 transcript:DRNTG_24253.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional fucokinase/fucose pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT1G01220) UniProtKB/Swiss-Prot;Acc:Q9LNJ9] MLNFMAKKHILLLHAGGDSKRVPWANPMGKVFLPLPYLATDNPDGPVPLLFDHILAISSSARQAFKDEGCYTGGLFIMTGDVLPCFDASTLSLPDDACCIITVPITLDIASNHGVVVASKDGVLEENYSLCLVEDLLQKPTVEELVQKHAVRHDGRALLDTGIIAVKGKAWLDLIMLAYSSSRAMVLELLKARKEMSLYEDLVAAWVPAKHEWLNSRPLGKELIKALGSRKMFSYCAYDLSFLHFGTSNEVLDHLSGSDFGLVGRRHLCSIADTTVCDIAASAVILSSKVSPGVSVGEDSLVYDSSLSGRIQMGSHCIVVGVNITQKNASQSSEDSFRFILPDRHCVWEVPLVGHSGRIFIYCGLHDNPKSPLGDNGTFCGKPWRQVLHDLNIQPRELWSSSSAKDMCLWNAKLYPILPPSDMLSIGMWLMGSSSLNSEQMLSLWRSSQRFSLEELHRSIDFPRLCMHSTNHQAELAAGIAKACITYGLLGRDLSQLCEEILQNNVSGLKTCEEFLELCPSLEALNRGVLPQSRAYQVQVDLLRACGDDSAACSLEQKVWTAVASETASAVKDGIADQFSSSSFGVNHSSSDKSEIDGLTFQARRVKVELPVRVDFVGGWSDTPPWSLERPGCVLNMAVRLENSLPVGIEINTTESHGVLIVDDAENSVYIQHPKSMIGPFDEDDRFRLVKSALLVTGIIHNNLLKDSGLQIRTWANVPRGSGLGTSSILAAAVVKGLLHLMEEDESNENVARIVLVLEQVMGTGGGWQDQIGGLYPGIKYTSSFPGQPLRLQVTPLVASPQLVQELEQRLLVVFTGQVRLANQVLQKVVIRYLRRDNLLISSIKRLAALARIGREALMNGDIDELGEIMLEAWRLHQELDPYCSNEFVDKLFTFAEPYCCGYKLVGAGGGGFALLLAKDFSFCKRTKKKSRRSFRTRRKSI >DRNTG_24253.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20662456:20671665:1 gene:DRNTG_24253 transcript:DRNTG_24253.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional fucokinase/fucose pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT1G01220) UniProtKB/Swiss-Prot;Acc:Q9LNJ9] MPHVPMLAGGLFIMTGDVLPCFDASTLSLPDDACCIITVPITLDIASNHGVVVASKDGVLEENYSLCLVEDLLQKPTVEELVQKHAVRHDGRALLDTGIIAVKGKAWLDLIMLAYSSSRAMVLELLKARKEMSLYEDLVAAWVPAKHEWLNSRPLGKELIKALGSRKMFSYCAYDLSFLHFGTSNEVLDHLSGSDFGLVGRRHLCSIADTTVCDIAASAVILSSKVSPGVSVGEDSLVYDSSLSGRIQMGSHCIVVGVNITQKNASQSSEDSFRFILPDRHCVWEVPLVGHSGRIFIYCGLHDNPKSPLGDNGTFCGKPWRQVLHDLNIQPRELWSSSSAKDMCLWNAKLYPILPPSDMLSIGMWLMGSSSLNSEQMLSLWRSSQRFSLEELHRSIDFPRLCMHSTNHQAELAAGIAKACITYGLLGRDLSQLCEEILQNNVSGLKTCEEFLELCPSLEALNRGVLPQSRAYQVQVDLLRACGDDSAACSLEQKVWTAVASETASAVKDGIADQFSSSSFGVNHSSSDKSEIDGLTFQARRVKVELPVRVDFVGGWSDTPPWSLERPGCVLNMAVRLENSLPVGIEINTTESHGVLIVDDAENSVYIQHPKSMIGPFDEDDRFRLVKSALLVTGIIHNNLLKDSGLQIRTWANVPRGSGLGTSSILAAAVVKGLLHLMEEDESNENVARIVLVLEQVMGTGGGWQDQIGGLYPGIKYTSSFPGQPLRLQVTPLVASPQLVQELEQRLLVVFTGQVRLANQVLQKVVIRYLRRDNLLISSIKRLAALARIGREALMNGDIDELGEIMLEAWRLHQELDPYCSNEFVDKLFTFAEPYCCGYKLVGAGGGGFALLLAKDFSFCKRTKKKSRRSFRTRRKSI >DRNTG_24253.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20664099:20671665:1 gene:DRNTG_24253 transcript:DRNTG_24253.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional fucokinase/fucose pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT1G01220) UniProtKB/Swiss-Prot;Acc:Q9LNJ9] MLNFMAKKHILLLHAGGDSKRVPWANPMGKVFLPLPYLATDNPDGPVPLLFDHILAISSSARQAFKDEGGLFIMTGDVLPCFDASTLSLPDDACCIITVPITLDIASNHGVVVASKDGVLEENYSLCLVEDLLQKPTVEELVQKHAVRHDGRALLDTGIIAVKGKAWLDLIMLAYSSSRAMVLELLKARKEMSLYEDLVAAWVPAKHEWLNSRPLGKELIKALGSRKMFSYCAYDLSFLHFGTSNEVLDHLSGSDFGLVGRRHLCSIADTTVCDIAASAVILSSKVSPGVSVGEDSLVYDSSLSGRIQMGSHCIVVGVNITQKNASQSSEDSFRFILPDRHCVWEVPLVGHSGRIFIYCGLHDNPKSPLGDNGTFCGKPWRQVLHDLNIQPRELWSSSSAKDMCLWNAKLYPILPPSDMLSIGMWLMGSSSLNSEQMLSLWRSSQRFSLEELHRSIDFPRLCMHSTNHQAELAAGIAKACITYGLLGRDLSQLCEEILQNNVSGLKTCEEFLELCPSLEALNRGVLPQSRAYQVQVDLLRACGDDSAACSLEQKVWTAVASETASAVKDGIADQFSSSSFGVNHSSSDKSEIDGLTFQARRVKVELPVRVDFVGGWSDTPPWSLERPGCVLNMAVRLENSLPVGIEINTTESHGVLIVDDAENSVYIQHPKSMIGPFDEDDRFRLVKSALLVTGIIHNNLLKDSGLQIRTWANVPRGSGLGTSSILAAAVVKGLLHLMEEDESNENVARIVLVLEQVMGTGGGWQDQIGGLYPGIKYTSSFPGQPLRLQVTPLVASPQLVQELEQRLLVVFTGQVRLANQVLQKVVIRYLRRDNLLISSIKRLAALARIGREALMNGDIDELGEIMLEAWRLHQELDPYCSNEFVDKLFTFAEPYCCGYKLVGAGGGGFALLLAKDFSFCKRTKKKSRRSFRTRRKSI >DRNTG_24253.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20662396:20671665:1 gene:DRNTG_24253 transcript:DRNTG_24253.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional fucokinase/fucose pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT1G01220) UniProtKB/Swiss-Prot;Acc:Q9LNJ9] MPHVPMLAGGLFIMTGDVLPCFDASTLSLPDDACCIITVPITLDIASNHGVVVASKDGVLEENYSLCLVEDLLQKPTVEELVQKHAVRHDGRALLDTGIIAVKGKAWLDLIMLAYSSSRAMVLELLKARKEMSLYEDLVAAWVPAKHEWLNSRPLGKELIKALGSRKMFSYCAYDLSFLHFGTSNEVLDHLSGSDFGLVGRRHLCSIADTTVCDIAASAVILSSKVSPGVSVGEDSLVYDSSLSGRIQMGSHCIVVGVNITQKNASQSSEDSFRFILPDRHCVWEVPLVGHSGRIFIYCGLHDNPKSPLGDNGTFCGKPWRQVLHDLNIQPRELWSSSSAKDMCLWNAKLYPILPPSDMLSIGMWLMGSSSLNSEQMLSLWRSSQRFSLEELHRSIDFPRLCMHSTNHQAELAAGIAKACITYGLLGRDLSQLCEEILQNNVSGLKTCEEFLELCPSLEALNRGVLPQSRAYQVQVDLLRACGDDSAACSLEQKVWTAVASETASAVKDGIADQFSSSSFGVNHSSSDKSEIDGLTFQARRVKVELPVRVDFVGGWSDTPPWSLERPGCVLNMAVRLENSLPVGIEINTTESHGVLIVDDAENSVYIQHPKSMIGPFDEDDRFRLVKSALLVTGIIHNNLLKDSGLQIRTWANVPRGSGLGTSSILAAAVVKGLLHLMEEDESNENVARIVLVLEQVMGTGGGWQDQIGGLYPGIKYTSSFPGQPLRLQVTPLVASPQLVQELEQRLLVVFTGQVRLANQVLQKVVIRYLRRDNLLISSIKRLAALARIGREALMNGDIDELGEIMLEAWRLHQELDPYCSNEFVDKLFTFAEPYCCGYKLVGAGGGGFALLLAKDFSFCKRTKKKSRRSFRTRRKSI >DRNTG_24253.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20664099:20671665:1 gene:DRNTG_24253 transcript:DRNTG_24253.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional fucokinase/fucose pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT1G01220) UniProtKB/Swiss-Prot;Acc:Q9LNJ9] MPHVPMLAGGLFIMTGDVLPCFDASTLSLPDDACCIITVPITLDIASNHGVVVASKDGVLEENYSLCLVEDLLQKPTVEELVQKHAVRHDGRALLDTGIIAVKGKAWLDLIMLAYSSSRAMVLELLKARKEMSLYEDLVAAWVPAKHEWLNSRPLGKELIKALGSRKMFSYCAYDLSFLHFGTSNEVLDHLSGSDFGLVGRRHLCSIADTTVCDIAASAVILSSKVSPGVSVGEDSLVYDSSLSGRIQMGSHCIVVGVNITQKNASQSSEDSFRFILPDRHCVWEVPLVGHSGRIFIYCGLHDNPKSPLGDNGTFCGKPWRQVLHDLNIQPRELWSSSSAKDMCLWNAKLYPILPPSDMLSIGMWLMGSSSLNSEQMLSLWRSSQRFSLEELHRSIDFPRLCMHSTNHQAELAAGIAKACITYGLLGRDLSQLCEEILQNNVSGLKTCEEFLELCPSLEALNRGVLPQSRAYQVQVDLLRACGDDSAACSLEQKVWTAVASETASAVKDGIADQFSSSSFGVNHSSSDKSEIDGLTFQARRVKVELPVRVDFVGGWSDTPPWSLERPGCVLNMAVRLENSLPVGIEINTTESHGVLIVDDAENSVYIQHPKSMIGPFDEDDRFRLVKSALLVTGIIHNNLLKDSGLQIRTWANVPRGSGLGTSSILAAAVVKGLLHLMEEDESNENVARIVLVLEQVMGTGGGWQDQIGGLYPGIKYTSSFPGQPLRLQVTPLVASPQLVQELEQRLLVVFTGQVRLANQVLQKVVIRYLRRDNLLISSIKRLAALARIGREALMNGDIDELGEIMLEAWRLHQELDPYCSNEFVDKLFTFAEPYCCGYKLVGAGGGGFALLLAKDFSFCKRTKKKSRRSFRTRRKSI >DRNTG_24253.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20669414:20671665:1 gene:DRNTG_24253 transcript:DRNTG_24253.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional fucokinase/fucose pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT1G01220) UniProtKB/Swiss-Prot;Acc:Q9LNJ9] MAVRLENSLPVGIEINTTESHGVLIVDDAENSVYIQHPKSMIGPFDEDDRFRLVKSALLVTGIIHNNLLKDSGLQIRTWANVPRGSGLGTSSILAAAVVKGLLHLMEEDESNENVARIVLVLEQVMGTGGGWQDQIGGLYPGIKYTSSFPGQPLRLQVTPLVASPQLVQELEQRLLVVFTGQVRLANQVLQKVVIRYLRRDNLLISSIKRLAALARIGREALMNGDIDELGEIMLEAWRLHQELDPYCSNEFVDKLFTFAEPYCCGYKLVGAGGGGFALLLAKDFSFCKRTKKKSRRSFRTRRKSI >DRNTG_24253.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20662456:20671665:1 gene:DRNTG_24253 transcript:DRNTG_24253.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional fucokinase/fucose pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT1G01220) UniProtKB/Swiss-Prot;Acc:Q9LNJ9] MLNFMAKKHILLLHAGGDSKRVPWANPMGKVFLPLPYLATDNPDGPVPLLFDHILAISSSARQAFKDEGGLFIMTGDVLPCFDASTLSLPDDACCIITVPITLDIASNHGVVVASKDGVLEENYSLCLVEDLLQKPTVEELVQKHAVRHDGRALLDTGIIAVKGKAWLDLIMLAYSSSRAMVLELLKARKEMSLYEDLVAAWVPAKHEWLNSRPLGKELIKALGSRKMFSYCAYDLSFLHFGTSNEVLDHLSGSDFGLVGRRHLCSIADTTVCDIAASAVILSSKVSPGVSVGEDSLVYDSSLSGRIQMGSHCIVVGVNITQKNASQSSEDSFRFILPDRHCVWEVPLVGHSGRIFIYCGLHDNPKSPLGDNGTFCGKPWRQVLHDLNIQPRELWSSSSAKDMCLWNAKLYPILPPSDMLSIGMWLMGSSSLNSEQMLSLWRSSQRFSLEELHRSIDFPRLCMHSTNHQAELAAGIAKACITYGLLGRDLSQLCEEILQNNVSGLKTCEEFLELCPSLEALNRGVLPQSRAYQVQVDLLRACGDDSAACSLEQKVWTAVASETASAVKDGIADQFSSSSFGVNHSSSDKSEIDGLTFQARRVKVELPVRVDFVGGWSDTPPWSLERPGCVLNMAVRLENSLPVGIEINTTESHGVLIVDDAENSVYIQHPKSMIGPFDEDDRFRLVKSALLVTGIIHNNLLKDSGLQIRTWANVPRGSGLGTSSILAAAVVKGLLHLMEEDESNENVARIVLVLEQVMGTGGGWQDQIGGLYPGIKYTSSFPGQPLRLQVTPLVASPQLVQELEQRLLVVFTGQVRLANQVLQKVVIRYLRRDNLLISSIKRLAALARIGREALMNGDIDELGEIMLEAWRLHQELDPYCSNEFVDKLFTFAEPYCCGYKLVGAGGGGFALLLAKDFSFCKRTKKKSRRSFRTRRKSI >DRNTG_34358.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3039740:3042868:1 gene:DRNTG_34358 transcript:DRNTG_34358.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVCLRARWRGWDVTTPFQNAYLLLFSCDEWHLQASHASPHPHTSPLPPHPLRPLPPSLQTLSTSSANRLFLPLSRSISLDFTMDEEYYVIHHPQGVYSQRPSLR >DRNTG_34358.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3043489:3048087:1 gene:DRNTG_34358 transcript:DRNTG_34358.6 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSVTAQRNLKNYTQLYIDLASCYAAGKFFELEMFIQTNLEKFPNDSNLGLAKQVLASIYKRNIQRLTQTYLTLSLQDIANTVQLKTAKEAEMHVLQMIQDGEIRATINQKDGMVSFQGNLSNTKLVT >DRNTG_34358.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3039740:3048932:1 gene:DRNTG_34358 transcript:DRNTG_34358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSVTAQRNLKNYTQLYIDLASCYAAGKFFELEMFIQTNLEKFPNDSNLGLAKQVLASIYKRNIQRLTQTYLTLSLQDIANTVQLKTAKEAEMHVLQMIQDGEIRATINQKDGMVSFQGNLSNTKLVT >DRNTG_34358.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3039740:3048087:1 gene:DRNTG_34358 transcript:DRNTG_34358.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSVTAQRNLKNYTQLYIDLASCYAAGKFFELEMFIQTNLEKFPNDSNLGLAKQVLASIYKRNIQRLTQTYLTLSLQDIANTVQLKTAKEAEMHVLQMIQDGEIRATINQKDGMVSFQGNLSNTKLVT >DRNTG_34358.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3039740:3048087:1 gene:DRNTG_34358 transcript:DRNTG_34358.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFIQTNLEKFPNDSNLGLAKQVLASIYKRNIQRLTQTYLTLSLQDIANTVQLKTAKEAEMHVLQMIQDGEIRATINQKDGMVSFQGNLSNTKLVT >DRNTG_34358.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3039740:3048932:1 gene:DRNTG_34358 transcript:DRNTG_34358.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSVTAQRNLKNYTQLYIDLASCYAAGKFFELEMFIQTNLEKFPNDSNLGLAKQVLASIYKRNIQRLTQTYLTLSLQDIANTVQLKTAKEAEMHVLQMIQDGEIRATINQKDGMVSFQGNLSNTKLVT >DRNTG_34358.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3045401:3048087:1 gene:DRNTG_34358 transcript:DRNTG_34358.8 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSVTAQRNLKNYTQLYIDLASCYAAGKFFELEMFIQTNLEKFPNDSNLGLAKQVLASIYKRNIQRLTQTYLTLSLQDIANTVQLKTAKEAEMHVLQMIQDGEIRATINQKDGMVSFQGNLSNTKLVT >DRNTG_31830.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2180188:2186663:-1 gene:DRNTG_31830 transcript:DRNTG_31830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQARRFRLNAKNIFLTYPHCPLTKERALEQLLAVSLPSNKKFIRVARELHEDGSPHLHILVQLEGRAQCAKSSSDVKAYIEKGGDYVDWGQFQVAGRSSRDGRHDLLTVYADALNSGSTEAALQIILEKDPRAFTLQYHNLKPNYEHIFLKPLDPYKTGDIITEEQANTGLNKLMDMLNDTSKDSAQHLDAVQMASLGNGSANTTSTSSITMVVNHSIAPLENAGENTGQTNVAKVAAFLTKNADNVSSV >DRNTG_20568.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001132.1:273:477:-1 gene:DRNTG_20568 transcript:DRNTG_20568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAYVHKDSCKKQYSGVYGPTLTVISLTITQIEDLMDLTCDCKCSYKACGYLLQLSLMA >DRNTG_27064.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2739678:2740450:1 gene:DRNTG_27064 transcript:DRNTG_27064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSADIPSRPPDPSPPPRLSRMLHNSSFPIFKTWGTQRLLRCANVNGKGEIIEPRPRFARIGSPEEDGDDEDGDRDLEEMREKLMVHVREAAGKMELAGEPEATRPWTLRPRKSVARVSSIAEPDLNPSTPARFSLTLSKDEIAEDVYAITGSLPRRRPRKRARAAQKKLDALFPGGWLSEITL >DRNTG_07842.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:43302:43954:-1 gene:DRNTG_07842 transcript:DRNTG_07842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKISDFGFAKLFDVDETQGRTTRIAGTYGYMAPEYAVRGKFSIK >DRNTG_04604.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4015480:4016284:-1 gene:DRNTG_04604 transcript:DRNTG_04604.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDAKVSMGFYSESLELSNNDSHVPYQHNQQEQPLSDCINNTMVGFSAGMEFNGDEELRGIRRNSTEFEPLVGMEFDSLEAGKLFYYEYAVRTGFRARAGKCRTSLRDDSVIMKQYTCVKEGFSVKKQRHGDDHKERRNRKSMREGCKAMIQLSRRDHGKWVVSKFIPEHSHPFVDDVKVEKVKKRRQVPVIPAEFSSMFEGLSRDGGNEISLDSVLGGGESF >DRNTG_04604.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4015480:4016775:-1 gene:DRNTG_04604 transcript:DRNTG_04604.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDAKVSMGFYSESLELSNNDSHVPYQHNQQEQPLSDCINNTMVGFSAGMEFNGDEELRGIRRNSTEFEPLVGMEFDSLEAGKLFYYEYAVRTGFRARAGKCRTSLRDDSVIMKQYTCVKEGFSVKKQRHGDDHKERRNRKSMREGCKAMIQLSRRDHGKWVVSKFIPEHSHPFVDDVKVEKVKKRRQVPVIPAEFSSMFEGLSRDGGNEISLDSVLGGGESF >DRNTG_13024.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1749238:1750641:-1 gene:DRNTG_13024 transcript:DRNTG_13024.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAKHGCQLWGVPSADILSPTTEAIATHLGVAPSGIPRGAPGRNPLSKEYFKRIEAIDFTIKQDDGALPQNLNRANIVLVGVSRTGKTPLSIYLAQKGYKVANIPIVMGVDLPKTLFEINQDKVFGLTINPVVLQTIRKARAKSLGFSNQMESNYSDMEHVREEIEFANKIFSQNPVWPVIEVTGKAIEETAAVILRILHDRKKKCSMPSISKRY >DRNTG_13024.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1749238:1752933:-1 gene:DRNTG_13024 transcript:DRNTG_13024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYKMITMAFVYPQTLTRPTAISCLPDETPATDPPARKLPRGSSQLNRWSMARSLRSGRRLDWSAQRKDSASTNTVSLIQPAISSDDEEVGVDDGDMSAGKAIYMVSDGTGWTAEHSVNAALGQFEYCLVDRGCAVNTHLFSGVEDVERLIEIIKQAAEEGALVLYTLVDPSMAEAAKHGCQLWGVPSADILSPTTEAIATHLGVAPSGIPRGAPGRNPLSKEYFKRIEAIDFTIKQDDGALPQNLNRANIVLVGVSRTGKTPLSIYLAQKGYKVANIPIVMGVDLPKTLFEINQDKVFGLTINPVVLQTIRKARAKSLGFSNQMESNYSDMEHVREEIEFANKIFSQNPVWPVIEVTGKAIEETAAVILRILHDRKKKCSMPSISKRY >DRNTG_31462.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1878531:1883506:-1 gene:DRNTG_31462 transcript:DRNTG_31462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGEDRRESAGAVESDDEISPIEEVRLTVTNTDDPTLPVWTFRMWFIGILCCALLSFLNQFFAYRTNPLIITQITVQVASLPVGRFLASALPTTRFKIPGFGDREFSLNPGPFNMKEHVLITIFANAGSAFGNGPAYAVGIVDIIKAFYKRSISFIAAWILIVTTQVLGYGWAGLMRKYVVEPAHMWWPSTLVQVSLFRALHEKEDRRMSRAKFFIIAFICSFAWYVVPGYLFTTLTSISWVCWAFLQLSHCPTARLWQQRPGSRCLHNGLECHCLFPLQSPGQPVLCHCQCLHWLCGIDLHCHPGRLLVAQPLQCKDLPNILSQALHSHGLTLQHHSHCE >DRNTG_19878.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17508863:17509176:-1 gene:DRNTG_19878 transcript:DRNTG_19878.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAV6 [Source:Projected from Arabidopsis thaliana (AT5G57090) UniProtKB/TrEMBL;Acc:A0A384LBE9] MGSPFLANKKAADDVEGGEAVGTGLVERNHQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVSFR >DRNTG_19878.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17508342:17510419:-1 gene:DRNTG_19878 transcript:DRNTG_19878.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAV6 [Source:Projected from Arabidopsis thaliana (AT5G57090) UniProtKB/TrEMBL;Acc:A0A384LBE9] MITGKDIYEVLAAIVPLYVAMILAYGSVRWWRIFTPDQCSGINRFVAVFAVPLLSFHFISSNNPYKMNYHFIAADSLQKLVILGALTLWLNLSKRANLDWMITLFSLSTLPNTLVMGIPLLRAMYGDFSGNLMVQIVVLQSVIWYTLMLFLFEYRGARALISEQFPADIAGSITSFRVDSDVISLNGREPLQADAEIGQDGKLHVVVRKSSASAKSMISSYNKSHGLHSMTSMTPRASNLTGVEIYSLQSSREPTPRASSFNQTDFYAMFSSKATSPRNVSFEEEMANKFGKHKGAGSKSTEFMNGGVYPPPYPAPNPSLSGIVTPVKKKEIGAAATNKELHMYVWSSSASPGSESNLKNAVNRAVSNDFGAHDPNTAAPKEHAASPIKKTGIPWNNLEIEEARKSPKGKKFPVMGSPFLANKKAADDVEGGEAVGTGLVERNHQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVSFRWNIEMPKIVRGSISILSDAGLGMAMFSLGLFMALQPKIIACGKSVAAFSMAVRFLTGPAVIAATSIAIGIRGVLLHIAIVQ >DRNTG_19878.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17507676:17510419:-1 gene:DRNTG_19878 transcript:DRNTG_19878.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAV6 [Source:Projected from Arabidopsis thaliana (AT5G57090) UniProtKB/TrEMBL;Acc:A0A384LBE9] MITGKDIYEVLAAIVPLYVAMILAYGSVRWWRIFTPDQCSGINRFVAVFAVPLLSFHFISSNNPYKMNYHFIAADSLQKLVILGALTLWLNLSKRANLDWMITLFSLSTLPNTLVMGIPLLRAMYGDFSGNLMVQIVVLQSVIWYTLMLFLFEYRGARALISEQFPADIAGSITSFRVDSDVISLNGREPLQADAEIGQDGKLHVVVRKSSASAKSMISSYNKSHGLHSMTSMTPRASNLTGVEIYSLQSSREPTPRASSFNQTDFYAMFSSKATSPRNVSFEEEMANKFGKHKGAGSKSTEFMNGGVYPPPYPAPNPSLSGIVTPVKKKEIGAAATNKELHMYVWSSSASPGSESNLKNAVNRAVSNDFGAHDPNTAAPKEHAASPIKKTGIPWNNLEIEEARKSPKGKKFPVMGSPFLANKKAADDVEGGEAVGTGLVERNHQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVSFRWNIEMPKIVRGSISILSDAGLGMAMFSLGLFMALQPKIIACGKSVAAFSMAVRFLTGPAVIAATSIAIGIRGVLLHIAIVQAALPQGIVPFVFAKEYNCHPDILSTAVIFGMLIALPITILYYILLGV >DRNTG_12571.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:788684:790552:-1 gene:DRNTG_12571 transcript:DRNTG_12571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAQRALQEPSKLIDGRLAVCNLACEGLSSGSVSTDLALRKIYIGGLSPEISSETLLKFFGRHGEIEEGSVAYDKET >DRNTG_12571.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:788684:789827:-1 gene:DRNTG_12571 transcript:DRNTG_12571.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESAQRALQEPSKLIDGRLAVCNLACEGLSSGSVSTDLALRKIYIGGLSPEISSETLLKFFGRHGEIEEGSVAYDKET >DRNTG_12571.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:788684:789827:-1 gene:DRNTG_12571 transcript:DRNTG_12571.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVLCLCFPTSQVRCYKDLDSSFISDLTMHVCMHVLHAELCSGTQIPEVAEEIRGVASADPVHRKLFVRGLSWETTSETLFSAFSAHGDIEEGAVITDKATGKSRGYGFITYRHMESAQRALQEPSKLIDGRLAVCNLACEGLSSGSVSTDLALRKIYIGGLSPEISSETLLKFFGRHGEIEEGSVAYDKET >DRNTG_05721.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5282421:5285121:1 gene:DRNTG_05721 transcript:DRNTG_05721.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKKLIENVLKTTAKLLELSKDFFVSHLGDKFSIFARFNYYPCCLKPDLVFGLKPHSDRTLITVILPDKYVEGLQVMKDGEWIKVTTSPDALIFNIGDQMEIMSNGIFKSPVHRVVTFSDKDRISIAMLCANLPEKVIGPADELVNDMRPRMYKNVKVKDYTEVFFKRFYQGKRAIDWAQFG >DRNTG_05721.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5282421:5285121:1 gene:DRNTG_05721 transcript:DRNTG_05721.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKKLIENVLKTTAKLLELSKDFFVSHLGDKFSIFARFNYYPCCLKPDLVFGLKPHSDRTLITVILPDKYVEGLQVMKDGEWIKVTTSPDALIFNIGDQMEIMSNGIFKSPVHRVVTFSDKDRISIAMLCANLPEKVIGPADELVNDMRPRMYKNVKVKDYTEVFFKRFYQGKRAIDWAQFG >DRNTG_21228.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:185129:189504:-1 gene:DRNTG_21228 transcript:DRNTG_21228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARMGMLRRWSMGMGPSAAEEVKRCKWWWERRRVCRFSATSSSIRPRVGLGKVMSSGMVAFGSVYRGVLPDGRKIAVKLMDRAGKQGEEEFKMEVELLTRLRSPYLLGLIGYCSDGSHRLLVYEFMANGGLQEHLYPTGGSRAGVSKLDWETRMKIALEAAKGLEYLHEHVNPPVIHRDFKSSNILLDKNFHAKVSDFGLAKLGSDKAGGHVSTRVLGTQGYVAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDMKRPPGEGVLVSWALPRLTDREKVVQIMDPAIEGQYSMKDVVQVAAIAAMCVQPEADYRPLMADVVQSLVPLVKHKSTAKIGSCSSLHSPKPPVTPE >DRNTG_26494.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:677730:680121:1 gene:DRNTG_26494 transcript:DRNTG_26494.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHADNCWSVSAHGGVTVMLKILAEVGASVQLISSACGVLRNLSKVHEIKRFMMEHGLVSLLINFLRSKEEGSQIEAIEFLHHLAVQDGAMKDNVIKGGVVESLLKILNPNSLQSSKAREVALRAIQGLCFSSVNSITGLIHCGFLDRVLFFLKDGEISVQESAPKATFHLCGTSQVVVKKAMGDAGFMEELVKWLETKSPEAQEMAAEILCSLLSIQRNQRKFIREDHNIRKVIKLLHQVEEKPAVLKKHLLSILFLLSCSYNGQRKIISAGYVEHLEKFAENGVVDAKKILKKLSGNRFQSIFSGFWKYTSL >DRNTG_26494.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:677730:680030:1 gene:DRNTG_26494 transcript:DRNTG_26494.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHADNCWSVSAHGGVTVMLKILAEVGASVQLISSACGVLRNLSKVHEIKRFMMEHGLVSLLINFLRSKEEGSQIEAIEFLHHLAVQDGAMKDNVIKGGVVESLLKILNPNSLQSSKAREVALRAIQGLCFSSVNSITGLIHCGFLDRVLFFLKDGEISVQESAPKATFHLCGTSQVVVKKAMGDAGFMEELVKWLETKSPEAQEMAAEILCSLLSIQRNQRKFIREDHNIRKVIKLLHQVEEKPAVLKKHLLSILFLLSCSYNGQRKIISAGYVEHLEKFAENGVVDAKKILKKLSGNRFQSIFSGFWKYTSL >DRNTG_26494.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:677683:680121:1 gene:DRNTG_26494 transcript:DRNTG_26494.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHADNCWSVSAHGGVTVMLKILAEVGASVQLISSACGVLRNLSKVHEIKRFMMEHGLVSLLINFLRSKEEGSQIEAIEFLHHLAVQDGAMKDNVIKGGVVESLLKILNPNSLQSSKAREVALRAIQGLCFSSVNSITGLIHCGFLDRVLFFLKDGEISVQESAPKATFHLCGTSQVVVKKAMGDAGFMEELVKWLETKSPEAQEMAAEILCSLLSIQRNQRKFIREDHNIRKVIKLLHQVEEKPAVLKKHLLSILFLLSCSYNGQRKIISAGYVEHLEKFAENGVVDAKKILKKLSGNRFQSIFSGFWKYTSL >DRNTG_26494.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:677191:680030:1 gene:DRNTG_26494 transcript:DRNTG_26494.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHADNCWSVSAHGGVTVMLKILAEVGASVQLISSACGVLRNLSKVHEIKRFMMEHGLVSLLINFLRSKEEGSQIEAIEFLHHLAVQDGAMKDNVIKGGVVESLLKILNPNSLQSSKAREVALRAIQGLCFSSVNSITGLIHCGFLDRVLFFLKDGEISVQESAPKATFHLCGTSQVVVKKAMGDAGFMEELVKWLETKSPEAQEMAAEILCSLLSIQRNQRKFIREDHNIRKVIKLLHQVEEKPAVLKKHLLSILFLLSCSYNGQRKIISAGYVEHLEKFAENGVVDAKKILKKLSGNRFQSIFSGFWKYTSL >DRNTG_26494.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:677635:680121:1 gene:DRNTG_26494 transcript:DRNTG_26494.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHADNCWSVSAHGGVTVMLKILAEVGASVQLISSACGVLRNLSKVHEIKRFMMEHGLVSLLINFLRSKEEGSQIEAIEFLHHLAVQDGAMKDNVIKGGVVESLLKILNPNSLQSSKAREVALRAIQGLCFSSVNSITGLIHCGFLDRVLFFLKDGEISVQESAPKATFHLCGTSQVVVKKAMGDAGFMEELVKWLETKSPEAQEMAAEILCSLLSIQRNQRKFIREDHNIRKVIKLLHQVEEKPAVLKKHLLSILFLLSCSYNGQRKIISAGYVEHLEKFAENGVVDAKKILKKLSGNRFQSIFSGFWKYTSL >DRNTG_26494.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:677635:680030:1 gene:DRNTG_26494 transcript:DRNTG_26494.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHADNCWSVSAHGGVTVMLKILAEVGASVQLISSACGVLRNLSKVHEIKRFMMEHGLVSLLINFLRSKEEGSQIEAIEFLHHLAVQDGAMKDNVIKGGVVESLLKILNPNSLQSSKAREVALRAIQGLCFSSVNSITGLIHCGFLDRVLFFLKDGEISVQESAPKATFHLCGTSQVVVKKAMGDAGFMEELVKWLETKSPEAQEMAAEILCSLLSIQRNQRKFIREDHNIRKVIKLLHQVEEKPAVLKKHLLSILFLLSCSYNGQRKIISAGYVEHLEKFAENGVVDAKKILKKLSGNRFQSIFSGFWKYTSL >DRNTG_26494.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:677191:680121:1 gene:DRNTG_26494 transcript:DRNTG_26494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHADNCWSVSAHGGVTVMLKILAEVGASVQLISSACGVLRNLSKVHEIKRFMMEHGLVSLLINFLRSKEEGSQIEAIEFLHHLAVQDGAMKDNVIKGGVVESLLKILNPNSLQSSKAREVALRAIQGLCFSSVNSITGLIHCGFLDRVLFFLKDGEISVQESAPKATFHLCGTSQVVVKKAMGDAGFMEELVKWLETKSPEAQEMAAEILCSLLSIQRNQRKFIREDHNIRKVIKLLHQVEEKPAVLKKHLLSILFLLSCSYNGQRKIISAGYVEHLEKFAENGVVDAKKILKKLSGNRFQSIFSGFWKYTSL >DRNTG_26494.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:677683:680030:1 gene:DRNTG_26494 transcript:DRNTG_26494.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHADNCWSVSAHGGVTVMLKILAEVGASVQLISSACGVLRNLSKVHEIKRFMMEHGLVSLLINFLRSKEEGSQIEAIEFLHHLAVQDGAMKDNVIKGGVVESLLKILNPNSLQSSKAREVALRAIQGLCFSSVNSITGLIHCGFLDRVLFFLKDGEISVQESAPKATFHLCGTSQVVVKKAMGDAGFMEELVKWLETKSPEAQEMAAEILCSLLSIQRNQRKFIREDHNIRKVIKLLHQVEEKPAVLKKHLLSILFLLSCSYNGQRKIISAGYVEHLEKFAENGVVDAKKILKKLSGNRFQSIFSGFWKYTSL >DRNTG_23556.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22434704:22435795:-1 gene:DRNTG_23556 transcript:DRNTG_23556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSASYIRTVQHLIEKCLIFNMTKEECMDALSKHANIKPVITSTVWKELEKENKEFFETYAREREERITEMETMQRIQKMLEETAARDADNEG >DRNTG_31302.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1210388:1213486:-1 gene:DRNTG_31302 transcript:DRNTG_31302.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKAGPMPTLADLIISRIKEKDAMVSSEERPLPKLDNSIIELYKGVGRLMSRYTIGKIPKAFKHIPSLELWEDVLYLTEPEKWSPNAMYQATRIFASNLGARKVQRFYSLVLLPRIREDIQKNKRLHFVLYQALKKALYKPAAFFKGFLLPLCQSGTCNLREAVIIGSIIQKVSIPPLHSSAALMKLAEMDYCGTTSYFIKLFLDKKYALPYRVLDAVVAHFIKFLEDTRIMPVIWHQSLLAFVQRYKNELTKEDKDNLERLIQYQKHHLVTPEIFRELKNSRNRGEKDDDLMSIYILYSLPD >DRNTG_31302.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1210388:1213486:-1 gene:DRNTG_31302 transcript:DRNTG_31302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKAGPMPTLADLIISRIKEKDAMVSSEERPLPKLDNSIIELYKGVGRLMSRYTIGKIPKAFKHIPSLELWEDVLYLTEPEKWSPNAMYQATRIFASNLGARKVQRFYSLVLLPRIREDIQKNKRLHFVLYQALKKALYKPAAFFKGFLLPLCQSGTCNLREAVIIGSIIQKVSIPPLHSSAALMKLAEMDYCGTTSYFIKLFLDKKYALPYRVLDAVVAHFIKFLEDTRIMPVIWHQSLLAFVQRYKNELTKEDKDNLERLIQYQKHHLVTPEIFRELKNSRNRGEKDDDLMSIYILYSLPD >DRNTG_31302.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1210388:1213486:-1 gene:DRNTG_31302 transcript:DRNTG_31302.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKAGPMPTLADLIISRIKEKDAMVSSEERPLPKLDNSIIELYKGVGRLMSRYTIGKIPKAFKHIPSLELWEDVLYLTEPEKWSPNAMYQATRIFASNLGARKVQRFYSLVLLPRIREDIQKNKRLHFVLYQALKKALYKPAAFFKGFLLPLCQSGTCNLREAVIIGSIIQKVSIPPLHSSAALMKLAEMDYCGTTSYFIKLFLDKKYALPYRVLDAVVAHFIKFLEDTRIMPVIWHQSLLAFVQRYKNELTKEDKDNLERLIQYQKHHLVTPEIFRELKNSRNRGEKDDDLMSISSPISVINKPIEEDRWNFPGVPMEED >DRNTG_20317.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5696219:5698667:-1 gene:DRNTG_20317 transcript:DRNTG_20317.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPCGFNLPISWRAVSSSSSSVETLRSPFISATRRLGWLRPSSLRSKLGHASSGFRATSWFNFRKDSEGAGIYGSQSRDDFDRDDVEQYFNYMGMLAVEGSYDKMEILLNQNIHPVDILLMMASSEGDKPKIEELLRAGANYDVKDSDGRTALDRAASDEIKEFILGFSVKNA >DRNTG_00644.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:12401175:12424841:1 gene:DRNTG_00644 transcript:DRNTG_00644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNPSRNPLTSWGKDGEKNAEIGAEIGFK >DRNTG_34177.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7032704:7035077:-1 gene:DRNTG_34177 transcript:DRNTG_34177.3 gene_biotype:protein_coding transcript_biotype:protein_coding LDWDAIFRRGAAPPPELEVVTSDEPAPSEAFPVNELSDHDLETKIRRLHELLDGGFILKRLQDNGAKLHSNLSQFEEEFRRRNLLRKQKDSEARGRTTQLKIKSSTDVISNLDYSRMSSKTKSSSVFHFSKKLEDKACTAFNEELSFIGQHKQKSLGIEQHKANKFRHSDVFSSQPAKTSFRGLPFRFLLGVKKDRFSNGGQTDSGSSNSSLYEKRKFSGRILRRQVKDFVILDEEAHSTQPVNETMFHEW >DRNTG_34177.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7027303:7027964:-1 gene:DRNTG_34177 transcript:DRNTG_34177.6 gene_biotype:protein_coding transcript_biotype:protein_coding MERFIREAPERIRAKDLNMFGNKWFQPQEASNLRNRIRALLLEEFESARLDNEEDQLPSSSGCSANCEEKDDPEPDVVESIMLD >DRNTG_34177.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7027333:7035077:-1 gene:DRNTG_34177 transcript:DRNTG_34177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKTKSSSVFHFSKKLEDKACTAFNEELSFIGQHKQKSLGIEQHKANKFRHSDVFSSQPAKTSFRGLPFRFLLGVKKDRFSNGGQTDSGSSNSSLYEKRKFSGRILRRQVKDFVILDEEAHSTQPVNETMFHEWREAKVYYPSRDDPESVELSYSDIKCLDPGSYLTSTIMNFYIQYLQRSLSSISRARDKYYIFNTYFYEKLKEAHFCEGDKGASFLKLRRWWKGVNIFQKSYIFLPIHGHSHWSLVIICIPAKEDESGPIILHLDSLGIHSSHPIFDIVDSYLIDEWNFVNQSAAPLDIPFSRGIWRCLPDWIEKKKIKVPQQKNEYDCGVFVLYYMERFIREAPERIRAKDLNMFGNKWFQPQEASNLRNRIRALLLEEFESARLDNEEDQLPSSSGCSANCEEKDDPEPDVVESIMLD >DRNTG_34177.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7027333:7027964:-1 gene:DRNTG_34177 transcript:DRNTG_34177.7 gene_biotype:protein_coding transcript_biotype:protein_coding MERFIREAPERIRAKDLNMFGNKWFQPQEASNLRNRIRALLLEEFESARLDNEEDQLPSSSGCSANCEEKDDPEPDVVESIMLD >DRNTG_34177.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7033803:7035077:-1 gene:DRNTG_34177 transcript:DRNTG_34177.5 gene_biotype:protein_coding transcript_biotype:protein_coding LDWDAIFRRGAAPPPELEVVTSDEPAPSEAFPVNELSDHDLETKIRRLHELLDGGFILKRLQDNGAKLHSNLSQFEEEFRRRNLLRKQKDSEARGRTTQLKIKSSTDVISNLDYSRMSSKTKSSSVFHFSKKLEDKACTAFNEELSFIGQHKQKSLGIEQHKANKFRHSDVFSSQPAKTSFRGLPFRFLLGVKKDRFSNGGQTDSGSSNSSLYEKRKFSGRILRRYVLMI >DRNTG_34177.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7027333:7032614:-1 gene:DRNTG_34177 transcript:DRNTG_34177.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFYIQYLQRSLSSISRARDKYYIFNTYFYEKLKEAHFCEGDKGASFLKLRRWWKGVNIFQKSYIFLPIHGQ >DRNTG_34177.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7027333:7029111:-1 gene:DRNTG_34177 transcript:DRNTG_34177.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERFIREAPERIRAKDLNMFGNKWFQPQEASNLRNRIRALLLEEFESARLDNEEDQLPSSSGCSANCEEKDDPEPDVVESIMLD >DRNTG_11278.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12218658:12219061:1 gene:DRNTG_11278 transcript:DRNTG_11278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWKVLYSSSKDSLICRSPLSSWGKDGEKNIKIGADSALNRAGIGRLHGRGCFTRPCGISAWPWIISTRPYGFSDLLFSRPAVNIAATVIATLLLQ >DRNTG_26132.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28397321:28404410:-1 gene:DRNTG_26132 transcript:DRNTG_26132.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRERNRLIHGLYLGFLLLLSCPSGTQASIHEYFNGAFTPRNNSFFFHGGSEGLYAAASGGNRTSFIRFESVVFKRPKESTSRYHAMQRNTGLVEAIVVEVRDRERIGGTYFNSELICCTPELRDKKICEAGEVIIKKSSDYQDWPVRIQTFFEGTDEEATMETKTVYINKTGMYYLYFMYCDPLLKGTTIKGRTVWRNPDGYLPGKMAPMMTFYGFMSLAYLMLGLIWFLKFVRYWKDILQLHYHITAVIALGMCEMAFWYFEYANFNSTGTRPIAITLWAVTFTAVKKTLSRLLLLVVSMGFGVVRPTLGGVSSKVAILGVVYFIASEALELVEHLGNVNDFTGKKRLFLVLPVALLDACFILWIFSSLSKTLEKLQLRRSVAKLDLYRKFTNALAVSVLLSVAWIGYELYFNATDPLSELWQWAWIIPAFWNASAYILLVVICILWAPSQNPTRYAYSEDTTDDFDEEGVPLTGSGVKTTGDIMSKLERKERKTTDHVFGLGDDLEEDKRE >DRNTG_28124.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18820617:18821964:-1 gene:DRNTG_28124 transcript:DRNTG_28124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLLTVGSSFAANRAVEVSEMWRARDKELELDSRPRDISKNSSRPRSEKHYNGHVEGRLKSRHEENERVCASRLKFRPEENDIVSASRLKSRQKENDKVSASRLKSRRKENDKVSASRLKSRQKENDKDSASLCSSSKRAYEDSYPNEDGMQDEEIEKFLHSRRKRGKGAIGSRMDEPGPYLSTSLNDNERLLLPADVREKEEWEKRIVGPEKPSFMQTKEHLNDSHNSTDSEGDTLLSEKHHVKKHISKKDKSKKKKRKERRSKHHNKKQKIR >DRNTG_34699.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002180.1:11355:12468:-1 gene:DRNTG_34699 transcript:DRNTG_34699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIFGLMISLLPLAHLKALEAIVGKDKLVVSTSYSLMHSAVDLVNETKLNSAIKSWLSFATQKVFEVNVVAKALVGTKDKVQSTLQLLFCVTPFFLFFLFLCYRE >DRNTG_34972.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002220.1:18146:20283:1 gene:DRNTG_34972 transcript:DRNTG_34972.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTACKYRYTGRERHVALDNPTRTDPDHIAPCKLSAGSKLRDNQSVTESTPQCL >DRNTG_02260.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:990625:991018:-1 gene:DRNTG_02260 transcript:DRNTG_02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITEGVNNLSVSDSHKKNRIQVSNTKKPLFFYVNLAKRYMQQHDEVELSALGMAIATVVTIAEILKNNGLAV >DRNTG_23908.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1998066:2004871:1 gene:DRNTG_23908 transcript:DRNTG_23908.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTPSFILKKAENMMAGAAGQKPYGSASVGLTSSSHETPCDTSNQAAALPTLSLFRSFKSSASSPLCSSSSLVGSSAAMAGHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTFDSPEEAALAYDHAARALRGTKAKTNFPHPRSPSLSLDLNLPGHHLFPIPPPPPPPPPPPPTSLLLGGFLHYDPPGSSTIFSPPMEQVVPRKALPFDLNEPPPLH >DRNTG_23908.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1998066:1998950:1 gene:DRNTG_23908 transcript:DRNTG_23908.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGAAGQKPYGSASVGLTSSSHETPCDTSNQAAALPTLSLFRSFKSSASSPLRSSSSLVGSSAAMAGHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTFDSPEEAALAYDHAARALRGTKAKTNFPHPRSPLIGSRTRLVIQRTNTQTLNLTTSDSCMKGRDRKANAK >DRNTG_24478.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18871537:18875505:1 gene:DRNTG_24478 transcript:DRNTG_24478.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGVKLYSMFFKFLLKHRLQSLSHGSPETPFGVTSRPEEPSAPANPSFASDGVATKDIHIDLLTSLSVRIFLPESSLDLPRSLSDDPFRPRRSSDAGIAGIGCPPSDHGRRSSYDSPVEPGCAYGGYLPTVDTRRRSTSRRLPVMVQFHGGAFVSGSNTSAANDLFCRRIARLCDVIVIAVGYRLAPESRFPAAFEDGLKVLNWLAKQANLAECSKSLGSGKGGEGKRADGHRYIVDTFGASIVEPWLAIHGDPSRCVLLGVSCGANIADYVARKAVEAGKLLDPVKVVAQVLMYPFFIGTVPTRSEIKLANSYFYDKSMCLLAWRLFLPEEEFDLDHLAANPLIPGRGPPLKCMPPTLTVVAEHDWMKDRAIAYSEELRKVNVDSPVLEYKDAVHEFATLDMLLKTPEAQACADDIAIWVKKYISLRGHEFSY >DRNTG_24478.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18871460:18875640:1 gene:DRNTG_24478 transcript:DRNTG_24478.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGVKLYSMFFKFLLKHRLQSLSHGSPETPFGVTSRPEEPSAPANPSFASDGVATKDIHIDLLTSLSVRIFLPESSLDLPRSLSDDPFRPRRSSDAGIAGIGCPPSDHGRRSSYDSPVEPGCAYGGYLPTVDTRRRSTSRRLPVMVQFHGGAFVSGSNTSAANDLFCRRIARLCDVIVIAVGYRLAPESRFPAAFEDGLKVLNWLAKQANLAECSKSLGSGKGGEGKRADGHRYIVDTFGASIVEPWLAIHGDPSRCVLLGVSCGANIADYVARKAVEAGKLLDPVKVVAQVLMYPFFIGTVPTRSEIKLANSYFYDKSMCLLAWRLFLPEEEFDLDHLAANPLIPGRGPPLKCMPPTLTVVAEHDWMKDRAIAYSEELRKVNVDSPVLEYKDAVHEFATLDMLLKTPEAQACADDIAIWVKKYISLRGHEFSY >DRNTG_24478.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18871537:18875640:1 gene:DRNTG_24478 transcript:DRNTG_24478.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGVKLYSMFFKFLLKHRLQSLSHGSPETPFGVTSRPEEPSAPANPSFASDGVATKDIHIDLLTSLSVRIFLPESSLDLPRSLSDDPFRPRRSSDAGIAGIGCPPSDHGRRSSYDSPVEPGCAYGGYLPTVDTRRRSTSRRLPVMVQFHGGAFVSGSNTSAANDLFCRRIARLCDVIVIAVGYRLAPESRFPAAFEDGLKVLNWLAKQANLAECSKSLGSGKGGEGKRADGHRYIVDTFGASIVEPWLAIHGDPSRCVLLGVSCGANIADYVARKAVEAGKLLDPVKVVAQVLMYPFFIGTVPTRSEIKLANSYFYDKSMCLLAWRLFLPEEEFDLDHLAANPLIPGRGPPLKCMPPTLTVVAEHDWMKDRAIAYSEELRKVNVDSPVLEYKDAVHEFATLDMLLKTPEAQACADDIAIWVKKYISLRGHEFSY >DRNTG_24478.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18871460:18875505:1 gene:DRNTG_24478 transcript:DRNTG_24478.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGVKLYSMFFKFLLKHRLQSLSHGSPETPFGVTSRPEEPSAPANPSFASDGVATKDIHIDLLTSLSVRIFLPESSLDLPRSLSDDPFRPRRSSDAGIAGIGCPPSDHGRRSSYDSPVEPGCAYGGYLPTVDTRRRSTSRRLPVMVQFHGGAFVSGSNTSAANDLFCRRIARLCDVIVIAVGYRLAPESRFPAAFEDGLKVLNWLAKQANLAECSKSLGSGKGGEGKRADGHRYIVDTFGASIVEPWLAIHGDPSRCVLLGVSCGANIADYVARKAVEAGKLLDPVKVVAQVLMYPFFIGTVPTRSEIKLANSYFYDKSMCLLAWRLFLPEEEFDLDHLAANPLIPGRGPPLKCMPPTLTVVAEHDWMKDRAIAYSEELRKVNVDSPVLEYKDAVHEFATLDMLLKTPEAQACADDIAIWVKKYISLRGHEFSY >DRNTG_02672.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18703850:18709488:-1 gene:DRNTG_02672 transcript:DRNTG_02672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTWMLEMLDQLLWIARGRSGGNQQLGEGVPGFGFFIKISGFYFGLFDLLSFKSEYSAYLCCVWLRRSSLGPEGVWESYFLHFDSIFVVHFSVVDSNQVKSEALHPVEPSSIGYGQSVERNPYCRVGV >DRNTG_19518.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2919336:2922026:-1 gene:DRNTG_19518 transcript:DRNTG_19518.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive beta-amylase 9 [Source:Projected from Arabidopsis thaliana (AT5G18670) UniProtKB/Swiss-Prot;Acc:Q8VYW2] MEISMIHRQAGLTKPELFPVRTGAGSVSSTKLIYSSNRVGFCTTTFKRRCAGVKVVRSEIGVDEKQSSPSISQPNQTRPVRLFVGLPIDSVSDCKTVNHGKAIAAGLKALKLLGADGVDLPVHWAPVQPDSIDRFDWTAYVTLAQMVREAGLHLRVSLNLYPSPFPRFPLPEWLKRVSDSDPDLFITDRSGRRREGCLSFAADELPVLSGKTPLQAFEAFFSSFRDSFSDLFGSVITDVMIGLGPDGELRYPSIPAKDARSKTAGVGVGVGEFQCYDKYMLADLKRHAEKSGQPLWGLAGPHDAPAYNQSPENGGFFKDHGGSWESSYGQFFISWYTDLLLAHGDRVLSIASKVFDVLPVRISGKIALVDAWHRSRARPAELMAGYRDYDAIARMFAKNSCGVVVPGMEMSDEEKRNTSSSPESVMAEILAACERHGVPVTGENYGAGGFGRIKKCLMSSSAVKTFTYQRMGVEFFSPEHWPQFTAFVRGFAEMELAGDDVVSSGDTLTLPMSAAVTPATSARRDEMQAQAV >DRNTG_12788.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2188287:2194063:1 gene:DRNTG_12788 transcript:DRNTG_12788.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FATTY ACID EXPORT 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G38550) UniProtKB/Swiss-Prot;Acc:Q9ZVH7] MAVALQSFVSLRNPNPSSYGAMTPRPLSRSPLLQFAPLPVRFRPGSILSSSSKRDNKICILSSPRLISRVYCVSAPHEESKHSDIGPEEKRFERESNEPEEDWQKILKTFKEEAIKRKNTSLDAYAKYSKVAMAILKETSVVLKIQADQAKNDLMEIVHEINEEGQGYLSSSPDSVKEIVEAFSSPNDLKEISKLQDFHVGIPYGFLLAIGGFLWFMLTGSISAIRFGVILGVALFALSLQSLRLSKSGQSSALLLKSQAAIVAIIFMREWRLYFQKSSFPGLVMTLVSGAVVAFYIYKILTIDKGDGTKLAESE >DRNTG_24490.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18927133:18936409:1 gene:DRNTG_24490 transcript:DRNTG_24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRLIGHPIGGDPPPPPPPPTQQQGPYWYPPSSSSVAPVWERPPLPPATHHLPPPQRWVPPEAHHDPHLLHRQPPMAAHPPLPPHPSVSYHSQSPFQHPYPLSMPPPPPYPSHRPAPPHPHASVYPPPNQAWGNTPWSQQQPWEHQERNNLYPNEEDWAARARAWAAAKSVPDNHHSQSQFTPVGRVEESIYTFHDQYQQAVAPPPTVIQQPSLANSNHRISHDAGYEVKATNTDHMVSPQRSFSTPSVYEQEVSYSYSSAPGHREALDQNGSSQMPDLPVQEGLHPHSMSSAGNLSVKHPHFNHGGQQTKFSTDTSLKHLDFETRFTSDHDSQLRSGYGQINPANPAGVMDCDVHTTSIQTWNSVPPEATSLQVPLVPSETQFDPSFTFQSPLPVQSVPVFEGIPGSSFRPSTPPITLPFDFVNGTFHHGAASSGDATGSLSLPERPKKAAVPNWLREEIIKNKSVIASTAPTNLNGSFQASEPEDGDKSFGGGEPDNKSIDSNRSTEDDEDGEDDVEAAKSAAINQEIKRVLTEVLLKVTDELFNEIATKVLNEDDLTVEVDEGTAVENQKASPQSVVLVSPSTAKVLVPVKQNGNKVEHSDNSSADSLGGNILGLANYDSDNDDENENSGMLSTDLRTKDVSLENENGPTDILCPTADHHYAASGEKTEKLNGPNINEEKALPFAGTSNYHEKLPKEGSKIHEEPISVDRGTPDEFPSGKRHGLLADENTMCSSSSGNADIANSGEFHGKGSKNISSTKSHPDKRVDARSSVKETRSASDKTNDENSEPPRIGTNEDRAGLKPKLEKRDCLKGKDMEKDQERNVKQRSHKREHDSKGSSKNDNMKDGRSQKERRGKDKEDDGRKREHTIDQREDRSSHITKDSRRHKSRNSSSPSNRGKNKKNNYSHEHGYISSDEPYDNSKRRKLQSHKRSSSPAPTRSRSRQASRSPHSKHSHRRQSPYSSVERRRRSRSRSPDHQRSSHKRRT >DRNTG_24490.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18927133:18936286:1 gene:DRNTG_24490 transcript:DRNTG_24490.13 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLPVQEGLHPHSMSSAGNLSVKHPHFNHGGQQTKFSTDTSLKHLDFETRFTSDHDSQLRSGYGQINPANPAGVMDCDVHTTSIQTWNSVPPEATSLQVPLVPSETQFDPSFTFQSPLPVQSVPVFEGIPGSSFRPSTPPITLPFDFVNGTFHHGAASSGDATGSLSLPERPKKAAVPNWLREEIIKNKSVIASTAPTNLNGSFQASEPEDGDKSFGGGEPDNKSIDSNRSTEDDEDGEDDVEAAKSAAINQEIKRVLTEVLLKVTDELFNEIATKVLNEDDLTVEVDEGTAVENQKASPQSVVLVSPSTAKVLVPVKQNGNKVEHSDNSSADSLGGNILGLANYDSDNDDENENSGMLSTDLRTKDVSLENENGPTDILCPTADHHYAASGEKTEKLNGPNINEEKALPFAGTSNYHEKLPKEGSKIHEEPISVDRGTPDEFPSGKRHGLLADENTMCSSSSGNADIANSGEFHGKGSKNISSTKSHPDKRVDARSSVKETRSASDKTNDENSEPPRIGTNEDRAGLKPKLEKRDCLKGKDMEKDQERNVKQRSHKREHDSKGSSKNDNMKDGRSQKERRGKDKEDDGRKREHTIDQREDRSSHITKDSRRHKSRNSSSPSNRGKNKKNNYSHEHGYISSDEPYDNSKRRKLQSHKRSSSPAPTRSRSRYYKN >DRNTG_24490.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18927133:18936286:1 gene:DRNTG_24490 transcript:DRNTG_24490.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLPVQEGLHPHSMSSAGNLSVKHPHFNHGGQQTKFSTDTSLKHLDFETRFTSDHDSQLRSGYGQINPANPAGVMDCDVHTTSIQTWNSVPPEATSLQVPLVPSETQFDPSFTFQSPLPVQSVPVFEGIPGSSFRPSTPPITLPFDFVNGTFHHGAASSGDATGSLSLPERPKKAAVPNWLREEIIKNKSVIASTAPTNLNGSFQASEPEDGDKSFGGGEPDNKSIDSNRSTEDDEDGEDDVEAAKSAAINQEIKRVLTEVLLKVTDELFNEIATKVLNEDDLTVEVDEGTAVENQKASPQSVVLVSPSTAKVLVPVKQNGNKVEHSDNSSADSLGGNILGLANYDSDNDDENENSGMLSTDLRTKDVSLENENGPTDILCPTADHHYAASGEKTEKLNGPNINEEKALPFAGTSNYHEKLPKEGSKIHEEPISVDRGTPDEFPSGKRHGLLADENTMCSSSSGNADIANSGEFHGKGSKNISSTKSHPDKRVDARSSVKETRSASDKTNDENSEPPRIGTNEDRAGLKPKLEKRDCLKGKDMEKDQERNVKQRSHKREHDSKGSSKNDNMKDGRSQKERRGKDKEDDGRKREHTIDQREDRSSHITKDSRRHKSRNSSSPSNRGKNKKNNYSHEHGYISSDEPYDNSKRRKLQSHKRSSSPAPTRSRSRYYKN >DRNTG_24490.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18927133:18936286:1 gene:DRNTG_24490 transcript:DRNTG_24490.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRLIGHPIGGDPPPPPPPPTQQQGPYWYPPSSSSVAPVWERPPLPPATHHLPPPQRWVPPEAHHDPHLLHRQPPMAAHPPLPPHPSVSYHSQSPFQHPYPLSMPPPPPYPSHRPAPPHPHASVYPPPNQAWGNTPWSQQQPWEHQERNNLYPNEEDWAARARAWAAAKSVPDNHHSQSQFTPVGRVEESIYTFHDQYQQAVAPPPTVIQQPSLANSNHRISHDAGYEVKATNTDHMVSPQRSFSTPSVYEQEVSYSYSSAPGHREALDQNGSSQMPDLPVQEGLHPHSMSSAGNLSVKHPHFNHGGQQTKFSTDTSLKHLDFETRFTSDHDSQLRSGYGQINPANPAGVMDCDVHTTSIQTWNSVPPEATSLQVPLVPSETQFDPSFTFQSPLPVQSVPVFEGIPGSSFRPSTPPITLPFDFVNGTFHHGAASSGDATGSLSLPERPKKAAVPNWLREEIIKNKSVIASTAPTNLNGSFQASEPEDGDKSFGGGEPDNKSIDSNRSTEDDEDGEDDVEAAKSAAINQEIKRVLTEVLLKVTDELFNEIATKVLNEDDLTVEVDEGTAVENQKASPQSVVLVSPSTAKVLVPVKQNGNKVEHSDNSSADSLGGNILGLANYDSDNDDENENSGMLSTDLRTKDVSLENENGPTDILCPTADHHYAASGEKTEKLNGPNINEEKALPFAGTSNYHEKLPKEGSKIHEEPISVDRGTPDEFPSGKRHGLLADENTMCSSSSGNADIANSGEFHGKGSKNISSTKSHPDKRVDARSSVKETRSASDKTNDENSEPPRIGTNEDRAGLKPKLEKRDCLKGKDMEKDQERNVKQRSHKREHDSKGSSKNDNMKDGRSQKERRGKDKEDDGRKREHTIDQREDRSSHITKDSRRHKSRNSSSPSNRGKNKKNNYSHEHGYISSDEPYDNSKRRKLQSHKRSSSPAPTRSRSRQASRSPHSKHSHRRQSPYSSVERRRRSRSRSPDHQRSSHKRRT >DRNTG_24490.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18927133:18936286:1 gene:DRNTG_24490 transcript:DRNTG_24490.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRLIGHPIGGDPPPPPPPPTQQQGPYWYPPSSSSVAPVWERPPLPPATHHLPPPQRWVPPEAHHDPHLLHRQPPMAAHPPLPPHPSVSYHSQSPFQHPYPLSMPPPPPYPSHRPAPPHPHASVYPPPNQAWGNTPWSQQQPWEHQERNNLYPNEEDWAARARAWAAAKSVPDNHHSQSQFTPVGRVEESIYTFHDQYQQAVAPPPTVIQQPSLANSNHRISHDAGYEVKATNTDHMVSPQRSFSTPSVYEQEVSYSYSSAPGHREALDQNGSSQMPDLPVQEGLHPHSMSSAGNLSVKHPHFNHGGQQTKFSTDTSLKHLDFETRFTSDHDSQLRSGYGQINPANPAGVMDCDVHTTSIQTWNSVPPEATSLQVPLVPSETQFDPSFTFQSPLPVQSVPVFEGIPGSSFRPSTPPITLPFDFVNGTFHHGAASSGDATGSLSLPERPKKAAVPNWLREEIIKNKSVIASTAPTNLNGSFQASEPEDGDKSFGGGEPDNKSIDSNRSTEDDEDGEDDVEAAKSAAINQEIKRVLTEVLLKVTDELFNEIATKVLNEDDLTVEVDEGTAVENQKASPQSVVLVSPSTAKVLVPVKQNGNKVEHSDNSSADSLGGNILGLANYDSDNDDENENSGMLSTDLRTKDVSLENENGPTDILCPTADHHYAASGEKTEKLNGPNINEEKALPFAGTSNYHEKLPKEGSKIHEEPISVDRGTPDEFPSGKRHGLLADENTMCSSSSGNADIANSGEFHGKGSKNISSTKSHPDKRVDARSSVKETRSASDKTNDENSEPPRIGTNEDRAGLKPKLEKRDCLKGKDMEKDQERNVKQRSHKREHDSKGSSKNDNMKDGRSQKERRGKDKEDDGRKREHTIDQREDRSSHITKDSRRHKSRNSSSPSNRGKNKKNNYSHEHGYISSDEPYDNSKRRKLQSHKRSSSPAPTRSRSRYYKN >DRNTG_24490.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18927133:18936286:1 gene:DRNTG_24490 transcript:DRNTG_24490.17 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLPVQEGLHPHSMSSAGNLSVKHPHFNHGGQQTKFSTDTSLKHLDFETRFTSDHDSQLRSGYGQINPANPAGVMDCDVHTTSIQTWNSVPPEATSLQVPLVPSETQFDPSFTFQSPLPVQSVPVFEGIPGSSFRPSTPPITLPFDFVNGTFHHGAASSGDATGSLSLPERPKKAAVPNWLREEIIKNKSVIASTAPTNLNGSFQASEPEDGDKSFGGGEPDNKSIDSNRSTEDDEDGEDDVEAAKSAAINQEIKRVLTEVLLKVTDELFNEIATKVLNEDDLTVEVDEGTAVENQKASPQSVVLVSPSTAKVLVPVKQNGNKVEHSDNSSADSLGGNILGLANYDSDNDDENENSGMLSTDLRTKDVSLENENGPTDILCPTADHHYAASGEKTEKLNGPNINEEKALPFAGTSNYHEKLPKEGSKIHEEPISVDRGTPDEFPSGKRHGLLADENTMCSSSSGNADIANSGEFHGKGSKNISSTKSHPDKRVDARSSVKETRSASDKTNDENSEPPRIGTNEDRAGLKPKLEKRDCLKGKDMEKDQERNVKQRSHKREHDSKGSSKNDNMKDGRSQKERRGKDKEDDGRKREHTIDQREDRSSHITKDSRRHKSRNSSSPSNRGKNKKNNYSHEHGYISSDEPYDNSKRR >DRNTG_24490.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18927133:18936286:1 gene:DRNTG_24490 transcript:DRNTG_24490.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRLIGHPIGGDPPPPPPPPTQQQGPYWYPPSSSSVAPVWERPPLPPATHHLPPPQRWVPPEAHHDPHLLHRQPPMAAHPPLPPHPSVSYHSQSPFQHPYPLSMPPPPPYPSHRPAPPHPHASVYPPPNQAWGNTPWSQQQPWEHQERNNLYPNEEDWAARARAWAAAKSVPDNHHSQSQFTPVGRVEESIYTFHDQYQQAVAPPPTVIQQPSLANSNHRISHDAGYEVKATNTDHMVSPQRSFSTPSVYEQEVSYSYSSAPGHREALDQNGSSQMPDLPVQEGLHPHSMSSAGNLSVKHPHFNHGGQQTKFSTDTSLKHLDFETRFTSDHDSQLRSGYGQINPANPAGVMDCDVHTTSIQTWNSVPPEATSLQVPLVPSETQFDPSFTFQSPLPVQSVPVFEGIPGSSFRPSTPPITLPFDFVNGTFHHGAASSGDATGSLSLPERPKKAAVPNWLREEIIKNKSVIASTAPTNLNGSFQASEPEDGDKSFGGGEPDNKSIDSNRSTEDDEDGEDDVEAAKSAAINQEIKRVLTEVLLKVTDELFNEIATKVLNEDDLTVEVDEGTAVENQKASPQSVVLVSPSTAKVLVPVKQNGNKVEHSDNSSADSLGGNILGLANYDSDNDDENENSGMLSTDLRTKDVSLENENGPTDILCPTADHHYAASGEKTEKLNGPNINEEKALPFAGTSNYHEKLPKEGSKIHEEPISVDRGTPDEFPSGKRHGLLADENTMCSSSSGNADIANSGEFHGKGSKNISSTKSHPDKRVDARSSVKETRSASDKTNDENSEPPRIGTNEDRAGLKPKLEKRDCLKGKDMEKDQERNVKQRSHKREHDSKGSSKNDNMKDGRSQKERRGKDKEDDGRKREHTIDQREDRSSHITKDSRRHKSRNSSSPSNRGKNKKNNYSHEHGYISSDEPYDNSKRRKLQSHKRSSSPAPTRSRSRYYKN >DRNTG_24490.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18927133:18936286:1 gene:DRNTG_24490 transcript:DRNTG_24490.11 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLPVQEGLHPHSMSSAGNLSVKHPHFNHGGQQTKFSTDTSLKHLDFETRFTSDHDSQLRSGYGQINPANPAGVMDCDVHTTSIQTWNSVPPEATSLQVPLVPSETQFDPSFTFQSPLPVQSVPVFEGIPGSSFRPSTPPITLPFDFVNGTFHHGAASSGDATGSLSLPERPKKAAVPNWLREEIIKNKSVIASTAPTNLNGSFQASEPEDGDKSFGGGEPDNKSIDSNRSTEDDEDGEDDVEAAKSAAINQEIKRVLTEVLLKVTDELFNEIATKVLNEDDLTVEVDEGTAVENQKASPQSVVLVSPSTAKVLVPVKQNGNKVEHSDNSSADSLGGNILGLANYDSDNDDENENSGMLSTDLRTKDVSLENENGPTDILCPTADHHYAASGEKTEKLNGPNINEEKALPFAGTSNYHEKLPKEGSKIHEEPISVDRGTPDEFPSGKRHGLLADENTMCSSSSGNADIANSGEFHGKGSKNISSTKSHPDKRVDARSSVKETRSASDKTNDENSEPPRIGTNEDRAGLKPKLEKRDCLKGKDMEKDQERNVKQRSHKREHDSKGSSKNDNMKDGRSQKERRGKDKEDDGRKREHTIDQREDRSSHITKDSRRHKSRNSSSPSNRGKNKKNNYSHEHGYISSDEPYDNSKRRKLQSHKRSSSPAPTRSRSRQASRSPHSKHSHRRQSPYSSVERR >DRNTG_24490.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18927133:18936286:1 gene:DRNTG_24490 transcript:DRNTG_24490.12 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLPVQEGLHPHSMSSAGNLSVKHPHFNHGGQQTKFSTDTSLKHLDFETRFTSDHDSQLRSGYGQINPANPAGVMDCDVHTTSIQTWNSVPPEATSLQVPLVPSETQFDPSFTFQSPLPVQSVPVFEGIPGSSFRPSTPPITLPFDFVNGTFHHGAASSGDATGSLSLPERPKKAAVPNWLREEIIKNKSVIASTAPTNLNGSFQASEPEDGDKSFGGGEPDNKSIDSNRSTEDDEDGEDDVEAAKSAAINQEIKRVLTEVLLKVTDELFNEIATKVLNEDDLTVEVDEGTAVENQKASPQSVVLVSPSTAKVLVPVKQNGNKVEHSDNSSADSLGGNILGLANYDSDNDDENENSGMLSTDLRTKDVSLENENGPTDILCPTADHHYAASGEKTEKLNGPNINEEKALPFAGTSNYHEKLPKEGSKIHEEPISVDRGTPDEFPSGKRHGLLADENTMCSSSSGNADIANSGEFHGKGSKNISSTKSHPDKRVDARSSVKETRSASDKTNDENSEPPRIGTNEDRAGLKPKLEKRDCLKGKDMEKDQERNVKQRSHKREHDSKGSSKNDNMKDGRSQKERRGKDKEDDGRKREHTIDQREDRSSHITKDSRRHKSRNSSSPSNRGKNKKNNYSHEHGYISSDEPYDNSKRRKLQSHKRSSSPAPTRSRSRQASRSPHSKHSHRRQSPYSSVERR >DRNTG_24490.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18927133:18936286:1 gene:DRNTG_24490 transcript:DRNTG_24490.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLPVQEGLHPHSMSSAGNLSVKHPHFNHGGQQTKFSTDTSLKHLDFETRFTSDHDSQLRSGYGQINPANPAGVMDCDVHTTSIQTWNSVPPEATSLQVPLVPSETQFDPSFTFQSPLPVQSVPVFEGIPGSSFRPSTPPITLPFDFVNGTFHHGAASSGDATGSLSLPERPKKAAVPNWLREEIIKNKSVIASTAPTNLNGSFQASEPEDGDKSFGGGEPDNKSIDSNRSTEDDEDGEDDVEAAKSAAINQEIKRVLTEVLLKVTDELFNEIATKVLNEDDLTVEVDEGTAVENQKASPQSVVLVSPSTAKVLVPVKQNGNKVEHSDNSSADSLGGNILGLANYDSDNDDENENSGMLSTDLRTKDVSLENENGPTDILCPTADHHYAASGEKTEKLNGPNINEEKALPFAGTSNYHEKLPKEGSKIHEEPISVDRGTPDEFPSGKRHGLLADENTMCSSSSGNADIANSGEFHGKGSKNISSTKSHPDKRVDARSSVKETRSASDKTNDENSEPPRIGTNEDRAGLKPKLEKRDCLKGKDMEKDQERNVKQRSHKREHDSKGSSKNDNMKDGRSQKERRGKDKEDDGRKREHTIDQREDRSSHITKDSRRHKSRNSSSPSNRGKNKKNNYSHEHGYISSDEPYDNSKRRKLQSHKRSSSPAPTRSRSRQASRSPHSKHSHRRQSPYSSVERRRRSRSRSPDHQRSSHKRRT >DRNTG_24490.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18927133:18936286:1 gene:DRNTG_24490 transcript:DRNTG_24490.14 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLPVQEGLHPHSMSSAGNLSVKHPHFNHGGQQTKFSTDTSLKHLDFETRFTSDHDSQLRSGYGQINPANPAGVMDCDVHTTSIQTWNSVPPEATSLQVPLVPSETQFDPSFTFQSPLPVQSVPVFEGIPGSSFRPSTPPITLPFDFVNGTFHHGAASSGDATGSLSLPERPKKAAVPNWLREEIIKNKSVIASTAPTNLNGSFQASEPEDGDKSFGGGEPDNKSIDSNRSTEDDEDGEDDVEAAKSAAINQEIKRVLTEVLLKVTDELFNEIATKVLNEDDLTVEVDEGTAVENQKASPQSVVLVSPSTAKVLVPVKQNGNKVEHSDNSSADSLGGNILGLANYDSDNDDENENSGMLSTDLRTKDVSLENENGPTDILCPTADHHYAASGEKTEKLNGPNINEEKALPFAGTSNYHEKLPKEGSKIHEEPISVDRGTPDEFPSGKRHGLLADENTMCSSSSGNADIANSGEFHGKGSKNISSTKSHPDKRVDARSSVKETRSASDKTNDENSEPPRIGTNEDRAGLKPKLEKRDCLKGKDMEKDQERNVKQRSHKREHDSKGSSKNDNMKDGRSQKERRGKDKEDDGRKREHTIDQREDRSSHITKDSRRHKSRNSSSPSNRGKNKKNNYSHEHGYISSDEPYDNSKRRKLQSHKRSSSPAPTRSRSRYYKN >DRNTG_24490.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18927133:18936286:1 gene:DRNTG_24490 transcript:DRNTG_24490.10 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLPVQEGLHPHSMSSAGNLSVKHPHFNHGGQQTKFSTDTSLKHLDFETRFTSDHDSQLRSGYGQINPANPAGVMDCDVHTTSIQTWNSVPPEATSLQVPLVPSETQFDPSFTFQSPLPVQSVPVFEGIPGSSFRPSTPPITLPFDFVNGTFHHGAASSGDATGSLSLPERPKKAAVPNWLREEIIKNKSVIASTAPTNLNGSFQASEPEDGDKSFGGGEPDNKSIDSNRSTEDDEDGEDDVEAAKSAAINQEIKRVLTEVLLKVTDELFNEIATKVLNEDDLTVEVDEGTAVENQKASPQSVVLVSPSTAKVLVPVKQNGNKVEHSDNSSADSLGGNILGLANYDSDNDDENENSGMLSTDLRTKDVSLENENGPTDILCPTADHHYAASGEKTEKLNGPNINEEKALPFAGTSNYHEKLPKEGSKIHEEPISVDRGTPDEFPSGKRHGLLADENTMCSSSSGNADIANSGEFHGKGSKNISSTKSHPDKRVDARSSVKETRSASDKTNDENSEPPRIGTNEDRAGLKPKLEKRDCLKGKDMEKDQERNVKQRSHKREHDSKGSSKNDNMKDGRSQKERRGKDKEDDGRKREHTIDQREDRSSHITKDSRRHKSRNSSSPSNRGKNKKNNYSHEHGYISSDEPYDNSKRR >DRNTG_24490.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18927133:18936409:1 gene:DRNTG_24490 transcript:DRNTG_24490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFAFANLQAAVPNWLREEIIKNKSVIASTAPTNLNGSFQASEPEDGDKSFGGGEPDNKSIDSNRSTEDDEDGEDDVEAAKSAAINQEIKRVLTEVLLKVTDELFNEIATKVLNEDDLTVEVDEGTAVENQKASPQSVVLVSPSTAKVLVPVKQNGNKVEHSDNSSADSLGGNILGLANYDSDNDDENENSGMLSTDLRTKDVSLENENGPTDILCPTADHHYAASGEKTEKLNGPNINEEKALPFAGTSNYHEKLPKEGSKIHEEPISVDRGTPDEFPSGKRHGLLADENTMCSSSSGNADIANSGEFHGKGSKNISSTKSHPDKRVDARSSVKETRSASDKTNDENSEPPRIGTNEDRAGLKPKLEKRDCLKGKDMEKDQERNVKQRSHKREHDSKGSSKNDNMKDGRSQKERRGKDKEDDGRKREHTIDQREDRSSHITKDSRRHKSRNSSSPSNRGKNKKNNYSHEHGYISSDEPYDNSKRRKLQSHKRSSSPAPTRSRSRQASRSPHSKHSHRRQSPYSSVERRRRSRSRSPDHQRSSHKRRT >DRNTG_24490.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18927133:18936286:1 gene:DRNTG_24490 transcript:DRNTG_24490.15 gene_biotype:protein_coding transcript_biotype:protein_coding MIFAFANLQAAVPNWLREEIIKNKSVIASTAPTNLNGSFQASEPEDGDKSFGGGEPDNKSIDSNRSTEDDEDGEDDVEAAKSAAINQEIKRVLTEVLLKVTDELFNEIATKVLNEDDLTVEVDEGTAVENQKASPQSVVLVSPSTAKVLVPVKQNGNKVEHSDNSSADSLGGNILGLANYDSDNDDENENSGMLSTDLRTKDVSLENENGPTDILCPTADHHYAASGEKTEKLNGPNINEEKALPFAGTSNYHEKLPKEGSKIHEEPISVDRGTPDEFPSGKRHGLLADENTMCSSSSGNADIANSGEFHGKGSKNISSTKSHPDKRVDARSSVKETRSASDKTNDENSEPPRIGTNEDRAGLKPKLEKRDCLKGKDMEKDQERNVKQRSHKREHDSKGSSKNDNMKDGRSQKERRGKDKEDDGRKREHTIDQREDRSSHITKDSRRHKSRNSSSPSNRGKNKKNNYSHEHGYISSDEPYDNSKRRKLQSHKRSSSPAPTRSRSRQASRSPHSKHSHRRQSPYSSVERRRRSRSRSPDHQRSSHKRRT >DRNTG_24490.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18927133:18936409:1 gene:DRNTG_24490 transcript:DRNTG_24490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRLIGHPIGGDPPPPPPPPTQQQGPYWYPPSSSSVAPVWERPPLPPATHHLPPPQRWVPPEAHHDPHLLHRQPPMAAHPPLPPHPSVSYHSQSPFQHPYPLSMPPPPPYPSHRPAPPHPHASVYPPPNQAWGNTPWSQQQPWEHQERNNLYPNEEDWAARARAWAAAKSVPDNHHSQSQFTPVGRVEESIYTFHDQYQQAVAPPPTVIQQPSLANSNHRISHDAGYEVKATNTDHMVSPQRSFSTPSVYEQEVSYSYSSAPGHREALDQNGSSQMPDLPVQEGLHPHSMSSAGNLSVKHPHFNHGGQQTKFSTDTSLKHLDFETRFTSDHDSQLRSGYGQINPANPAGVMDCDVHTTSIQTWNSVPPEATSLQVPLVPSETQFDPSFTFQSPLPVQSVPVFEGIPGSSFRPSTPPITLPFDFVNGTFHHGAASSGDATGSLSLPERPKKAAVPNWLREEIIKNKSVIASTAPTNLNGSFQASEPEDGDKSFGGGEPDNKSIDSNRSTEDDEDGEDDVEAAKSAAINQEIKRVLTEVLLKVTDELFNEIATKVLNEDDLTVEVDEGTAVENQKASPQSVVLVSPSTAKVLVPVKQNGNKVEHSDNSSADSLGGNILGLANYDSDNDDENENSGMLSTDLRTKDVSLENENGPTDILCPTADHHYAASGEKTEKLNGPNINEEKALPFAGTSNYHEKLPKEGSKIHEEPISVDRGTPDEFPSGKRHGLLADENTMCSSSSGNADIANSGEFHGKGSKNISSTKSHPDKRVDARSSVKETRSASDKTNDENSEPPRIGTNEDRAGLKPKLEKRDCLKGKDMEKDQERNVKQRSHKREHDSKGSSKNDNMKDGRSQKERRGKDKEDDGRKREHTIDQREDRSSHITKDSRRHKSRNSSSPSNRGKNKKNNYSHEHGYISSDEPYDNSKRRKLQSHKRSSSPAPTRSRSRQASRSPHSKHSHRRQSPYSSVERR >DRNTG_24490.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18927133:18936409:1 gene:DRNTG_24490 transcript:DRNTG_24490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLPVQEGLHPHSMSSAGNLSVKHPHFNHGGQQTKFSTDTSLKHLDFETRFTSDHDSQLRSGYGQINPANPAGVMDCDVHTTSIQTWNSVPPEATSLQVPLVPSETQFDPSFTFQSPLPVQSVPVFEGIPGSSFRPSTPPITLPFDFVNGTFHHGAASSGDATGSLSLPERPKKAAVPNWLREEIIKNKSVIASTAPTNLNGSFQASEPEDGDKSFGGGEPDNKSIDSNRSTEDDEDGEDDVEAAKSAAINQEIKRVLTEVLLKVTDELFNEIATKVLNEDDLTVEVDEGTAVENQKASPQSVVLVSPSTAKVLVPVKQNGNKVEHSDNSSADSLGGNILGLANYDSDNDDENENSGMLSTDLRTKDVSLENENGPTDILCPTADHHYAASGEKTEKLNGPNINEEKALPFAGTSNYHEKLPKEGSKIHEEPISVDRGTPDEFPSGKRHGLLADENTMCSSSSGNADIANSGEFHGKGSKNISSTKSHPDKRVDARSSVKETRSASDKTNDENSEPPRIGTNEDRAGLKPKLEKRDCLKGKDMEKDQERNVKQRSHKREHDSKGSSKNDNMKDGRSQKERRGKDKEDDGRKREHTIDQREDRSSHITKDSRRHKSRNSSSPSNRGKNKKNNYSHEHGYISSDEPYDNSKRRKLQSHKRSSSPAPTRSRSRQASRSPHSKHSHRRQSPYSSVERRRRSRSRSPDHQRSSHKRRT >DRNTG_24490.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18927133:18936286:1 gene:DRNTG_24490 transcript:DRNTG_24490.16 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLPVQEGLHPHSMSSAGNLSVKHPHFNHGGQQTKFSTDTSLKHLDFETRFTSDHDSQLRSGYGQINPANPAGVMDCDVHTTSIQTWNSVPPEATSLQVPLVPSETQFDPSFTFQSPLPVQSVPVFEGIPGSSFRPSTPPITLPFDFVNGTFHHGAASSGDATGSLSLPERPKKAAVPNWLREEIIKNKSVIASTAPTNLNGSFQASEPEDGDKSFGGGEPDNKSIDSNRSTEDDEDGEDDVEAAKSAAINQEIKRVLTEVLLKVTDELFNEIATKVLNEDDLTVEVDEGTAVENQKASPQSVVLVSPSTAKVLVPVKQNGNKVEHSDNSSADSLGGNILGLANYDSDNDDENENSGMLSTDLRTKDVSLENENGPTDILCPTADHHYAASGEKTEKLNGPNINEEKALPFAGTSNYHEKLPKEGSKIHEEPISVDRGTPDEFPSGKRHGLLADENTMCSSSSGNADIANSGEFHGKGSKNISSTKSHPDKRVDARSSVKETRSASDKTNDENSEPPRIGTNEDRAGLKPKLEKRDCLKGKDMEKDQERNVKQRSHKREHDSKGSSKNDNMKDGRSQKERRGKDKEDDGRKREHTIDQREDRSSHITKDSRRHKSRNSSSPSNRGKNKKNNYSHEHGYISSDEPYDNSKRR >DRNTG_27054.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2665638:2666934:1 gene:DRNTG_27054 transcript:DRNTG_27054.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNQGAMVDLRRGPWTLEEDSLLVHYIALHGEGRWNLLARSSGLKRTGKSCRLRWLNYLKPDVKRGNLSPEEQFLILELHSRWGNRWSRIAQHLPGRTDNEIKNYWRTRVQKQARQMKIDSNSSLFADAVRCFWMPRLLEKMQASSYSSSTSSTTTVTNNSNTSCSSYSMVNGCLPLSCGSSNNEKKNHEVVVVNQSGSELMFNNSLTSSGMMNEMPSSNSSLMDDDELGPLLMENSLMENDESWAMDEFWHARNVHEWGGGM >DRNTG_35357.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15120352:15122235:1 gene:DRNTG_35357 transcript:DRNTG_35357.13 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIACYDIFRKILIILSGFLLLMRIMKSMRSTDLSPHSSIDKRTAIYERILSTMRNGMCIGDKKFEFLAFSSSQLRENSTWMFASRPGLTPADIRKWMGDFRKIRNVAKYAARLGQSFSSSTETLTVYRDEVEVIPDVENTAGYVFSDGIGKISPEFARAVAKKCHITTATPSAFQIRYGGYKGVVAVDPTSSMKLSLRKSMSKYESENNKLDVLAYSKYQPCYLNRQLITLLSTLGVRDAIFERKQGEAVKQLDNMLVDPASAQEAIEVMSPGETTNILKEMLLCGYKPDAEPFLSMLLQTFRATKLVELRTRSRIFIPKGRSFNGMFGRNTNFGLR >DRNTG_35357.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15119653:15122123:1 gene:DRNTG_35357 transcript:DRNTG_35357.7 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIACYDIFRKILIILSGFLLLMRIMKSMRSTDLSPHSSIDKRTAIYERILSTMRNGMCIGDKKFEFLAFSSSQLRENSTWMFASRPGLTPADIRKWMGDFRKIRNVAKYAARLGQSFSSSTETLTVYRDEVEVIPDVENTAGYVFSDGIGKISPEFARAVAKKCHITTATPSAFQIRYGGYKGVVAVDPTSSMKLSLRKSMSKYESENNKLDVLAYSKYQPCYLNRQLITLLSTLGVRDAIFERKQGEAVKQLDNMLVDPASAQEAIEVMSPGETTNILKEMLLCGYKPDAEPFLSMLLQTFRATKLVELRTRSRIFIPKGRSFNGMFGRNTNFGLR >DRNTG_35357.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15119023:15122123:1 gene:DRNTG_35357 transcript:DRNTG_35357.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKTIRVSGFPIYITANEVKAFLKRYVDEGAVDAIKVRFPKVRNSSSKAFAVVQFSSSKHAGEINSRAQQKRLMYGTYILNTINAEHDIVQKPRISLLTLEDAMLHLGCPISSDKFSVLRSFKSVRVDFGFNLRKIYFFIPWLSKSYKLELSYEAIWEIKLLRSPDKREKCLLIQVQAAPRIYELSDQNSSNLYEDARFNFFKDLPDDQWIRTTDFTQLRSIGQSSAFCLQLPYGCSLPNIREYFVYYKEVDGPFRLLRGSSFSRSLDLVPIVEPSPEFNVPYRILFKINHMVQNGTLMGPTLDHKFYRLVSPHFAPINHIERALEEMSYLKSSCLNPANWLHEKYQKFLRSKRVTQSSMISLDSGLVYVHRVQVTPCKVYFYGPEINVSNRVLRHFSEDIDNFIRISFVDEDYEKHALYRFITSF >DRNTG_35357.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15120352:15122123:1 gene:DRNTG_35357 transcript:DRNTG_35357.15 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIACYDIFRKILIILSGFLLLMRIMKSMRSTDLSPHSSIDKRTAIYERILSTMRNGMCIGDKKFEFLAFSSSQLRENSTWMFASRPGLTPADIRKWMGDFRKIRNVAKYAARLGQSFSSSTETLTVYRDEVEVIPDVENTAGYVFSDGIGKISPEFARAVAKKCHITTATPSAFQIRYGGYKGVVAVDPTSSMKLSLRKSMSKYESENNKLDVLAYSKYQPCYLNRQLITLLSTLGVRDAIFERKQGEAVKQLDNMLVDPASAQEAIEVMSPGETTNILKEMLLCGYKPDAEPFLSMLLQTFRATKLVELRTRSRIFIPKGRSFNGMFGRNTNFGLR >DRNTG_35357.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15120214:15122184:1 gene:DRNTG_35357 transcript:DRNTG_35357.11 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIACYDIFRKILIILSGFLLLMRIMKSMRSTDLSPHSSIDKRTAIYERILSTMRNGMCIGDKKFEFLAFSSSQLRENSTWMFASRPGLTPADIRKWMGDFRKIRNVAKYAARLGQSFSSSTETLTVYRDEVEVIPDVENTAGYVFSDGIGKISPEFARAVAKKCHITTATPSAFQIRYGGYKGVVAVDPTSSMKLSLRKSMSKYESENNKLDVLAYSKYQPCYLNRQLITLLSTLGVRDAIFERKQGEAVKQLDNMLVDPASAQEAIEVMSPGETTNILKEMLLCGYKPDAEPFLSMLLQTFRATKLVELRTRSRIFIPKGRSFNGMFGRNTNFGLR >DRNTG_35357.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15120214:15122123:1 gene:DRNTG_35357 transcript:DRNTG_35357.12 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIACYDIFRKILIILSGFLLLMRIMKSMRSTDLSPHSSIDKRTAIYERILSTMRNGMCIGDKKFEFLAFSSSQLRENSTWMFASRPGLTPADIRKWMGDFRKIRNVAKYAARLGQSFSSSTETLTVYRDEVEVIPDVENTAGYVFSDGIGKISPEFARAVAKKCHITTATPSAFQIRYGGYKGVVAVDPTSSMKLSLRKSMSKYESENNKLDVLAYSKYQPCYLNRQLITLLSTLGVRDAIFERKQGEAVKQLDNMLVDPASAQEAIEVMSPGETTNILKEMLLCGYKPDAEPFLSMLLQTFRATKLVELRTRSRIFIPKGRSFNGMFGRNTNFGLR >DRNTG_35357.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15119653:15122347:1 gene:DRNTG_35357 transcript:DRNTG_35357.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIACYDIFRKILIILSGFLLLMRIMKSMRSTDLSPHSSIDKRTAIYERILSTMRNGMCIGDKKFEFLAFSSSQLRENSTWMFASRPGLTPADIRKWMGDFRKIRNVAKYAARLGQSFSSSTETLTVYRDEVEVIPDVENTAGYVFSDGIGKISPEFARAVAKKCHITTATPSAFQIRYGGYKGVVAVDPTSSMKLSLRKSMSKYESENNKLDVLAYSKYQPCYLNRQLITLLSTLGVRDAIFERKQGEAVKQLDNMLVDPASAQEAIEVMSPGETTNILKEMLLCGYKPDAEPFLSMLLQTFRATKLVELRTRSRIFIPKGRSFNGMFGRNTNFGLR >DRNTG_35357.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15120214:15122347:1 gene:DRNTG_35357 transcript:DRNTG_35357.8 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIACYDIFRKILIILSGFLLLMRIMKSMRSTDLSPHSSIDKRTAIYERILSTMRNGMCIGDKKFEFLAFSSSQLRENSTWMFASRPGLTPADIRKWMGDFRKIRNVAKYAARLGQSFSSSTETLTVYRDEVEVIPDVENTAGYVFSDGIGKISPEFARAVAKKCHITTATPSAFQIRYGGYKGVVAVDPTSSMKLSLRKSMSKYESENNKLDVLAYSKYQPCYLNRQLITLLSTLGVRDAIFERKQGEAVKQLDNMLVDPASAQEAIEVMSPGETTNILKEMLLCGYKPDAEPFLSMLLQTFRATKLVELRTRSRIFIPKGRSFNGMFGRNTNFGLR >DRNTG_35357.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15120214:15122235:1 gene:DRNTG_35357 transcript:DRNTG_35357.9 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIACYDIFRKILIILSGFLLLMRIMKSMRSTDLSPHSSIDKRTAIYERILSTMRNGMCIGDKKFEFLAFSSSQLRENSTWMFASRPGLTPADIRKWMGDFRKIRNVAKYAARLGQSFSSSTETLTVYRDEVEVIPDVENTAGYVFSDGIGKISPEFARAVAKKCHITTATPSAFQIRYGGYKGVVAVDPTSSMKLSLRKSMSKYESENNKLDVLAYSKYQPCYLNRQLITLLSTLGVRDAIFERKQGEAVKQLDNMLVDPASAQEAIEVMSPGETTNILKEMLLCGYKPDAEPFLSMLLQTFRATKLVELRTRSRIFIPKGRSFNGMFGRNTNFGLR >DRNTG_35357.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15119023:15122235:1 gene:DRNTG_35357 transcript:DRNTG_35357.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKTIRVSGFPIYITANEVKAFLKRYVDEGAVDAIKVRFPKVRNSSSKAFAVVQFSSSKHAGEINSRAQQKRLMYGTYILNTINAEHDIVQKPRISLLTLEDAMLHLGCPISSDKFSVLRSFKSVRVDFGFNLRKIYFFIPWLSKSYKLELSYEAIWEIKLLRSPDKREKCLLIQVQAAPRIYELSDQNSSNLYEDARFNFFKDLPDDQWIRTTDFTQLRSIGQSSAFCLQLPYGCSLPNIREYFVYYKEVDGPFRLLRGSSFSRSLDLVPIVEPSPEFNVPYRILFKINHMVQNGTLMGPTLDHKFYRLVSPHFAPINHIERALEEMSYLKSSCLNPANWLHEKYQKFLRSKRVTQSSMISLDSGLVYVHRVQVTPCKVYFYGPEINVSNRVLRHFSEDIDNFIRISFVDEDYEKHALYRFITSF >DRNTG_35357.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15119653:15122184:1 gene:DRNTG_35357 transcript:DRNTG_35357.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIACYDIFRKILIILSGFLLLMRIMKSMRSTDLSPHSSIDKRTAIYERILSTMRNGMCIGDKKFEFLAFSSSQLRENSTWMFASRPGLTPADIRKWMGDFRKIRNVAKYAARLGQSFSSSTETLTVYRDEVEVIPDVENTAGYVFSDGIGKISPEFARAVAKKCHITTATPSAFQIRYGGYKGVVAVDPTSSMKLSLRKSMSKYESENNKLDVLAYSKYQPCYLNRQLITLLSTLGVRDAIFERKQGEAVKQLDNMLVDPASAQEAIEVMSPGETTNILKEMLLCGYKPDAEPFLSMLLQTFRATKLVELRTRSRIFIPKGRSFNGMFGRNTNFGLR >DRNTG_35357.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15119653:15122235:1 gene:DRNTG_35357 transcript:DRNTG_35357.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIACYDIFRKILIILSGFLLLMRIMKSMRSTDLSPHSSIDKRTAIYERILSTMRNGMCIGDKKFEFLAFSSSQLRENSTWMFASRPGLTPADIRKWMGDFRKIRNVAKYAARLGQSFSSSTETLTVYRDEVEVIPDVENTAGYVFSDGIGKISPEFARAVAKKCHITTATPSAFQIRYGGYKGVVAVDPTSSMKLSLRKSMSKYESENNKLDVLAYSKYQPCYLNRQLITLLSTLGVRDAIFERKQGEAVKQLDNMLVDPASAQEAIEVMSPGETTNILKEMLLCGYKPDAEPFLSMLLQTFRATKLVELRTRSRIFIPKGRSFNGMFGRNTNFGLR >DRNTG_35357.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15120352:15122184:1 gene:DRNTG_35357 transcript:DRNTG_35357.14 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIACYDIFRKILIILSGFLLLMRIMKSMRSTDLSPHSSIDKRTAIYERILSTMRNGMCIGDKKFEFLAFSSSQLRENSTWMFASRPGLTPADIRKWMGDFRKIRNVAKYAARLGQSFSSSTETLTVYRDEVEVIPDVENTAGYVFSDGIGKISPEFARAVAKKCHITTATPSAFQIRYGGYKGVVAVDPTSSMKLSLRKSMSKYESENNKLDVLAYSKYQPCYLNRQLITLLSTLGVRDAIFERKQGEAVKQLDNMLVDPASAQEAIEVMSPGETTNILKEMLLCGYKPDAEPFLSMLLQTFRATKLVELRTRSRIFIPKGRSFNGMFGRNTNFGLR >DRNTG_35357.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15120352:15122347:1 gene:DRNTG_35357 transcript:DRNTG_35357.10 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIACYDIFRKILIILSGFLLLMRIMKSMRSTDLSPHSSIDKRTAIYERILSTMRNGMCIGDKKFEFLAFSSSQLRENSTWMFASRPGLTPADIRKWMGDFRKIRNVAKYAARLGQSFSSSTETLTVYRDEVEVIPDVENTAGYVFSDGIGKISPEFARAVAKKCHITTATPSAFQIRYGGYKGVVAVDPTSSMKLSLRKSMSKYESENNKLDVLAYSKYQPCYLNRQLITLLSTLGVRDAIFERKQGEAVKQLDNMLVDPASAQEAIEVMSPGETTNILKEMLLCGYKPDAEPFLSMLLQTFRATKLVELRTRSRIFIPKGRSFNGMFGRNTNFGLR >DRNTG_35357.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15119023:15122347:1 gene:DRNTG_35357 transcript:DRNTG_35357.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKTIRVSGFPIYITANEVKAFLKRYVDEGAVDAIKVRFPKVRNSSSKAFAVVQFSSSKHAGEINSRAQQKRLMYGTYILNTINAEHDIVQKPRISLLTLEDAMLHLGCPISSDKFSVLRSFKSVRVDFGFNLRKIYFFIPWLSKSYKLELSYEAIWEIKLLRSPDKREKCLLIQVQAAPRIYELSDQNSSNLYEDARFNFFKDLPDDQWIRTTDFTQLRSIGQSSAFCLQLPYGCSLPNIREYFVYYKEVDGPFRLLRGSSFSRSLDLVPIVEPSPEFNVPYRILFKINHMVQNGTLMGPTLDHKFYRLVSPHFAPINHIERALEEMSYLKSSCLNPANWLHEKYQKFLRSKRVTQSSMISLDSGLVYVHRVQVTPCKVYFYGPEINVSNRVLRHFSEDIDNFIRISFVDEDYEKHALYRFITSF >DRNTG_33134.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10541991:10542543:1 gene:DRNTG_33134 transcript:DRNTG_33134.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALYLLYQLSVSWCYARLQLTKANNIALAPAENSLSSVVFAFGLLTRRLLKNKEIIRHSEKVPKQLKPTSHYGLPKNPSEAPGKRKKQIEQRSPLSVRSSRRNCM >DRNTG_24127.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:219602:220321:-1 gene:DRNTG_24127 transcript:DRNTG_24127.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFQSMIPGASARIFSATTDQRRLRGYPGPPPPPPPPIKCPRCDSTNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGVLRNVPVGGGSRKSSSSKRSSSKDRRRSCPSRPSSDNPHLFPNPNPNPNPNPNPTPDLRSTARSHHELPQPRHRR >DRNTG_29610.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19885643:19890797:1 gene:DRNTG_29610 transcript:DRNTG_29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT1G34130) UniProtKB/TrEMBL;Acc:W8PVA0] MGAIKADPSSKAPIASSPYSFFPFLKALRLKTKQQELLLRASALCLIYVLAFAIRLFSVLRYESMIHEFDPYFNYRTTLFLTRNGALEFWNWFDSESWYPLGRIIGGTLFPGLMVTAAAIYKTLHFLRFAVHIREVCVLTAPFFASNTAIVAYFFGKEVWDSGAGLVAAALIAICPGYISRSVAGSYDNEGVAIFALLLTFYLFVKAVNTGSLAWALASAFGYFYMVSAWGGYVFIINLLPLYALVLLVTGRYSMRLYVAYNCMYVLGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLMQVFYFLDWVKYLLNDPKQFHAFLRITLTCAISVGALALGVGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFLVMYGLTSMYFAGVMVRLILVATPAVCLISAIAISATIKNLTSLLRTKSKALQSSVSKGAASLKASAKASFDQALPFQKNGAIALLFGAFYLLSRYAIHCTWVTSEAYSSPSIVLAARGAHGGRVIFDDYREAYFWLRQNTPQDAKIMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMQSLDVDYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLSYYRFGELTTEYGKPPGYDRARGVEIGNKDVKLEYLEEAFTTSNWIVRIYKVKPPKNRW >DRNTG_33823.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2958529:2959707:1 gene:DRNTG_33823 transcript:DRNTG_33823.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHVRDWSSLPESFLSLTSDLLNAIDHVRFRSVVSQWRKHTEQRQKAPLVILIDQYSEDQVLHGSLMIMKDLSLFDIIQKEIITIPFRHLLPSSSSPAANFYYLGSSRGWIFIGVLHGNEPYQEQQLRITLFNPFTSTKDGIINLPTFLEANIHPLGRVFLLGETPSLHLDSFSFTVVYYYPKEDSDSGQVCIFKSVENHWTSFLIKNCPHDVVVCHGRLYANYKGTLLEIDLETQKLCDDASFLLPGLPEPNSKLLITSKSKDHHRVTSKSKNHHRDPSLRFFEDYYGQLHLLFTSSYRTRSFIFMKVNVMPRGNVKLSAYGQPYFLKADNCLWLSINLLQVESLSIPWLKFDVVYLNPVELLAKLSEFLASMQYQHRWKPVGWITPTLL >DRNTG_10399.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23053753:23056056:1 gene:DRNTG_10399 transcript:DRNTG_10399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFFLRFPSMKNMVVLLILMALFGISSLKVDCKVLDSCSSDSNCGNGYWCSSCPAGFSGSRCVRSATTNQFQLINNSLPFNKYAYLTTHNSYAIEGEPSHTGVPRVTFNNQEDTVTQQLNNGVRALMLDTYDFDNDVWLCHSTGGKCYDITAFEPAIDTMKEIETFLSANPSEIVTLILEDYVSTPNGLTKVFNESGLMKYWFPLSSMPQNGQNWPLVSDMVAKNHRLIVFTSIKSKQETEGIAYQWNFMVENQYGDGGMNAGQCSNRAESSALNDETKALVLVNYFPSMPNKYGACVDNSDELLNMLKTCYGAAGNRWANFVAVDYYKRSDGGGSFQADDMLNGRLLCGCDDVHACAKGSSSGACTFP >DRNTG_19822.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25028404:25028921:-1 gene:DRNTG_19822 transcript:DRNTG_19822.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKLGRGQSGHRSGGRSKSGHGGRSKSGRGPSTSNIRQISGDNSSSIPNTVTDLGMPPCIHPSIQPTTTMTPASPITQPSPAIPIAQPPPIPHGETSIHASDDYSPAMEVPSNESESTGPPWLITPDSLIV >DRNTG_18530.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3373564:3378878:-1 gene:DRNTG_18530 transcript:DRNTG_18530.10 gene_biotype:protein_coding transcript_biotype:protein_coding MQDNVDLGIVCAKYYRVCCLSIIDPGDSDIIKSLPGMGNICMAIQIRKSKDKSLNRERRKHYKAHWWFMTFRYSWYQVGNAC >DRNTG_18530.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3373472:3378878:-1 gene:DRNTG_18530 transcript:DRNTG_18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDNVDLGIVCAKYYRVCCLSIIDPGDSDIIKSLPGKV >DRNTG_18530.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3373564:3378878:-1 gene:DRNTG_18530 transcript:DRNTG_18530.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQDNVDLGIVCAKYYRVCCLSIIDPGDSDIIKSLPGKV >DRNTG_18530.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3373564:3378878:-1 gene:DRNTG_18530 transcript:DRNTG_18530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQDNVDLGIVCAKYYRVCCLSIIDPGDSDIIKSLPGEN >DRNTG_18530.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3373564:3378878:-1 gene:DRNTG_18530 transcript:DRNTG_18530.9 gene_biotype:protein_coding transcript_biotype:protein_coding MQDNVDLGIVCAKYYRVCCLSIIDPGDSDIIKSLPGMGNICMAIQIRKSKDKSLNRERRKHYKAHWWFMTFRYSWYQVAC >DRNTG_18530.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3373564:3378878:-1 gene:DRNTG_18530 transcript:DRNTG_18530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDNVDLGIVCAKYYRVCCLSIIDPGDSDIIKSLPGEN >DRNTG_18530.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3373564:3378878:-1 gene:DRNTG_18530 transcript:DRNTG_18530.8 gene_biotype:protein_coding transcript_biotype:protein_coding MQDNVDLGIVCAKYYRVCCLSIIDPGDSDIIKSLPGKV >DRNTG_18530.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3373564:3378878:-1 gene:DRNTG_18530 transcript:DRNTG_18530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQDNVDLGIVCAKYYRVCCLSIIDPGDSDIIKSLPGEN >DRNTG_18530.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3373564:3378878:-1 gene:DRNTG_18530 transcript:DRNTG_18530.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQDNVDLGIVCAKYYRVCCLSIIDPGDSDIIKSLPGEN >DRNTG_18530.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3373564:3378878:-1 gene:DRNTG_18530 transcript:DRNTG_18530.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQDNVDLGIVCAKYYRVCCLSIIDPGDSDIIKSLPGEN >DRNTG_28427.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18404234:18412730:1 gene:DRNTG_28427 transcript:DRNTG_28427.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucomutase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51820) UniProtKB/Swiss-Prot;Acc:Q9SCY0] MATSSLRLGSVLSSLKLSSSSSITRSIPANVLSVPITRRNPRIGIKACSSPSTAIAEPEGLKITSIPTTPVEGQKTGTSGLRKKVKVFREGNYLANWIQAVFNSLSPEDYKNGVLVLGGDGRYFNRGAAQIIIKIAAGNGVGKVLVGRDGIMSTPAVSAVIRKQKANGGFIMSASHNPGGPEYDWGIKFNYSSGQPAPESITDKIYGNTLSVSEIKLADIPDIDLACLGTAKFGSFTVDVIDPVSDYLELMENVFDFQLLKDLISRPDFRFIFDAMHAVTGAYAKPIFVDRLGASPDCISNGIPLEDFGHGHPDPNLTYAKDLVGKMYAENAPDFGAASDGDGDRNMILGRGFFITPSDSVAIIAANAQAAIPYFKNGPKGLARSMPTSGALDLVAAKLKLPFFEVPTGWKFFGNLMDAGKLSICGEESFGTGSDHVREKDGIWAVLAWLSILGYRNKDKKMGEQLISVEDIAMEHWATYGRNFFSRYDYEECESGAANQMVEHLRDLISKSKPDQKYGNYTLQFADDFSYTDPVDGSVASKQGLRFVFTDGSRIIFRLSGTGSAGATIRVYIEQFEPDVSKHKMDAQTALKPLIELALTISKLKEFTGREKPTVIT >DRNTG_26707.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31251641:31257713:-1 gene:DRNTG_26707 transcript:DRNTG_26707.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP90.5 [Source:Projected from Arabidopsis thaliana (AT2G04030) UniProtKB/TrEMBL;Acc:A0A178VPV7] MTKQELVDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVADRVVVSTKSPKSDKQYVWEAVADSSSYVIREETDPEKLIPRGTEITLYLRPDDKYEFSDPSRIQSLVKNYSQFVAFPIFTWQEKSRTVEVEEEEEPKEGEEVKPEDETNKKKKTVTEKYWDWELANETKPIWMRNSKEVEKNEYNEFYKKTFNEFLDPLAYSHFSTEGEVEFRSVLYVPGMAPLNNEDIVNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQEISEKDDKEDYKKFWENFGKLLKLGCIEDSGNHKRLAPLLRFHSSKSEEDMISLDQYVENMAESQNAIYYLATDSLKSAKTAPFLEKLVQKDIEVLYLVEPIDEVAIQNLQTYKEKKFVDISKEDLELGDDDEVKERESMQEYNLLCDWIKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMRAQTLGDTSSLEFMRGRRILEINPDHPIIKDLSAACKNDPSSTEAQRAVDLLYDTALISSGFTPDSPAELGNKIYEMMAIALGGRWGRSETDEGDEPISEASSETAFSEPTDAEVVEPSEVRTENDPWKD >DRNTG_21200.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001208.1:86379:87382:1 gene:DRNTG_21200 transcript:DRNTG_21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKERVDGHIPLSRSASRVSVTSNPVSLSTELSDSQIAPSEGTSRNPHSDGVSVSSSQLGDMSFSTLSATSSTTSDAVSPDTELPDCQIVNEEVTLKSSRLLSKININEVPSDDEESLKSLRLESNIININEVPFDDEETLKTPHSQSKTININEVPSDDEETPKSPDSESKIININAVPFDEEETPKSPHSESRININEVPSDDDNNIVDMDDWEFLGEFYVKPTAPEMAA >DRNTG_35424.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20339298:20339869:1 gene:DRNTG_35424 transcript:DRNTG_35424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVYRIVPDMLHYSCMVDLLSRAGFLDKAGDFIQSMPLEPDPSLRRAHLASYNIYPDAAIARYIGEKLIELDPMNIGNYVLLSNLHATAGSWEDVNVIRSEIKEMGLKKTPGLSWIVIRNQVHYFTAGDTSHPMSDQIHETLSLLFSKLIENRDDL >DRNTG_27028.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2538153:2544304:1 gene:DRNTG_27028 transcript:DRNTG_27028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDERSYAYGHGKSVGHKRRSSFQFDNGKHKRQNFSHDSVTSKPIDTIYRILCPAKRIGSVLGKGGDIINALREETHAKIRVADAIPGFEERVIIIFSYLSKESEYNDSDPEPDNGYPAEEEREEMRPDCPAQDALLKVHDRITADDYIRGGVLHEKAERDHEDVIARILVPNNQVGCLLGKGGTVIQKLRSETRASIRILPAEHLPPCAMRTDELVQISGIPHVVKRALYEISSLLHRHPRKENPSLEDLIFASTNGLYTPGPSLPPSMSQGNQGWSHKHPGLHEMPMPRFGGFMNKSPGYAPGGYDNGHARDSHDLSDEFSMRILCATEKIGGLIGKGGVNVRQLEQHTGAHIQVEDTGPEADERVVLISSKEASWDRNSPTIVAILQLQDKIGATSEKGTITTRLLVPSSKVGCLLGQGGNIITEMRMRTHADIRVYSKNDKPKYIPSNEELVQISGTNSVAKEALIEIASRLRERTLRSSTNPVPHGSFHRGADFDDFDSRVIPSSTMVRPRNTSSYRLPKRDSGLPYEFHERPGSLTRAGYPGPMGVAGYQSSVSADGYRSPASVSRYAGPASSVGYGPSGAAGYLSSVSADERPGPANVSAYPGPANATRYSDPASATWYPDPVSGAKYPDPASATWYPDPVSGAKYPDPANAARYPGLPSGAGYHNAPIPTAYDGPLRSTTRYSTMNNSVEIKIPMGVAPTVLGVGGSNISEISQISGARVQLREPLSGASECVIEICGSSDQIKAAQSLLQAFIASGGRDVPPPTYVPRSF >DRNTG_30679.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14436180:14439115:1 gene:DRNTG_30679 transcript:DRNTG_30679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRTIYSLGILGSCLAKMFFNPINLSLQSFFPGAVRPASLNILSENPLHSVLLHDDTRNQPCSGSRSLLPRCITCCPTPLLLHS >DRNTG_14127.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17210725:17216382:1 gene:DRNTG_14127 transcript:DRNTG_14127.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLECSASGERLVSAARDGDLIEARMLLEFNPSLAKYSTFSGLNSPLHFAAAKGHCETALMQACRYGHWEVVQTLLLYRSNVMRADYLSGRTALHFAAVEGHIRCILAIGCDFIPSAPFDSAADGDKVRFINKVADGGTTTLHMAALNGYFDCMQLLLDLHADDLVVTFHYGSSLVSPGNATGRGGAGSGRGGIKLKLVAAMLFFLEYNYDDGVLVALGEKGFTVATTTMMLPVASGETGRAEPSFALVKLGLLLFSSSSSSSQAFFELHLLSSGMAC >DRNTG_27363.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23104271:23106767:1 gene:DRNTG_27363 transcript:DRNTG_27363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLIISFLPFILILSKPFPLKSISLWMSEMFLCLVAHWFKEFKLIGSQSFGLSIRMSGKKKAGARVENVEESGEVSVLDLPELVLDSILGKLSPAGLSTMAGVCKSLRERCRSDHVWEMHMKRKWGRVIGAAAHREWQAYLALKNESLSKAKDQGFLGSLSCFWPLCWVKSRFDFGAKPLMNPLPDDSIMSWYLSIEKGKFWFPAQVYNREHGHVGFMLSCYDAQVCYDCHTDTFTARYPPHGRRTIPSEGGVQWERLRAPPVDTTAHELHISDYLNELRPGDHIEIQWRRNKEFPYGWWYGVVGHMESCNQTANHCQCHNSDTITLEFNQYSADSRWRRTIIDRNNHLEEGNETEGFYGGVRKLNSKDEIAIWKQLWPPEVLE >DRNTG_30469.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10911293:10911887:1 gene:DRNTG_30469 transcript:DRNTG_30469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVLWSSLVPFKGPLVKPRSHLAGSVPMKAAPITIFQEKYGVGAVEPLQNPCQSPSKPKSVRATDLPKVREKMERRLKDGSPNQCEPRLYKGLESGIHTVLPPKYFRIHTIHRDNIKQAHVYAVNPIASSIKCHIGEDLANIAQVGIHKCDCLCAPPNHVIA >DRNTG_08613.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5793788:5795246:-1 gene:DRNTG_08613 transcript:DRNTG_08613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLEKAFTKFIAPSDIRFQSVEATLRNHTASLHNLENQVLLQNTVECTVATRPRNKRNRESTRACGNSTGACDIIHARGVARFQPYLKPISAPILVFFSPSFPQLVRGLRLGFRGVLAKVLEKFYGSDIVIPLGRRLVGELRSRRILYRTKESLDDE >DRNTG_25137.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3464466:3465802:-1 gene:DRNTG_25137 transcript:DRNTG_25137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDVFKHLTTSGLRVWLYSGDVNGVVPVTSTLYFITQLELLNESLWHPWYTNNE >DRNTG_11967.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14374041:14383085:-1 gene:DRNTG_11967 transcript:DRNTG_11967.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFRTSVRNSAEEFSPSQKNTGACGCPYVQRSHKGVQLPLWVGRTVVGIFHMPVRDQSKEYFPESAQGRAYAPVALFAGYM >DRNTG_23475.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2826640:2827632:1 gene:DRNTG_23475 transcript:DRNTG_23475.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRITMGILIQVLCSYVTLPLYALVTQMGSNMKPAIFNEKIAGALRKWHHTAKKRLKESRKSGSNTPLSTSRSATPVHGFSPVHLLRYYRSELDSAQTSPTKRYNADDEHFDLESSPSTSNQNTLGSEAQHLRLTLQQIIERAESVDTTTHWQSTDDIHIEMRSNEIRDFSFEKRLEQ >DRNTG_23475.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2826259:2827632:1 gene:DRNTG_23475 transcript:DRNTG_23475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQIMDRGDVVKGVPVVHPKDDLFWFNRPTLILFLIQFVLFQNAFQIAFLAWSLYEFGYPSCFHKNVQDMIIRITMGILIQVLCSYVTLPLYALVTQMGSNMKPAIFNEKIAGALRKWHHTAKKRLKESRKSGSNTPLSTSRSATPVHGFSPVHLLRYYRSELDSAQTSPTKRYNADDEHFDLESSPSTSNQNTLGSEAQHLRLTLQQIIERAESVDTTTHWQSTDDIHIEMRSNEIRDFSFEKRLEQ >DRNTG_23475.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2826796:2827632:1 gene:DRNTG_23475 transcript:DRNTG_23475.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNMKPAIFNEKIAGALRKWHHTAKKRLKESRKSGSNTPLSTSRSATPVHGFSPVHLLRYYRSELDSAQTSPTKRYNADDEHFDLESSPSTSNQNTLGSEAQHLRLTLQQIIERAESVDTTTHWQSTDDIHIEMRSNEIRDFSFEKRLEQ >DRNTG_18330.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1699950:1704101:1 gene:DRNTG_18330 transcript:DRNTG_18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRDSLPLQERVPLNNTLQRASDLLILFLLISLLYCRLISLHFRGFVWLLSFICESWFTFVWILDTNAKWNPVTYKTYPHNLLKRLDELPAVDIFVTTADPKLEPPIITVNTVLSLLAVEYPVEKLACYVSDDAASAATFYSLVQASKFAKLWVPFCKKYDVRVRAPSVYFSTQCPPSVPSALPSDFRNDWNHVKDEYEKLCQRIENACKEKHDGVPKTDEFADFSDVERGNHPSIVKIIWENNKDANAMEDGFPHLIYVSREKRPRHSHHFKAGAMNVLTRVSGVMTNAPLILNVDCDMFANNPEVFLHGMCLLFGFPHEVHSGYVQTPQQFYGGLKDDPFGNQLVVLQHKLGLGIAGLQGPFYGGTGCFHRRKIIYGSPPNLPHAHRYDVLSYKDSKQVFGDCRELLDSAAHIRSANMKTSGKLIDLSAKIEAAKQVASCTYEFNTSWGKEIGWLYGAVVEDLITGLKIQSMGWESKCMTLDPAPFLGIAPTGGPASLTQHKRWATGLLEFLLGPYNPLLATIYKSLSFRQCLTYLLINVWPLRSLFELCYTLLPACSFLTNTSFFPKASEPAIMIPLGLMVSYNVRTLMEYFECGLSIRAWWNNQRMQRIYALTAWLFGFIGGVLKTMGLSETIFELTRKDQNSGKSNIDPRRFTFDSSPMFVPGTAVVMVNMVALVVGLVRMMVNGEDVEEGGGDSPGLGELVCSLWVLLSFWPFIKGLFGKGCYGIPWVIVFKAAALVLLFLQIFAKWS >DRNTG_25899.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19822318:19823697:-1 gene:DRNTG_25899 transcript:DRNTG_25899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSGGGGSDDGKMTMTTMCSRGHWRPGEDEKLRQLVEKYGPQNWNSIAEKLQGRSGKSCRLRWFNQLDPRINRRPFTEEEEERLLAAHRIHGNKWALIARLFPGRTDNAVKNHWHVIMARRQRERSKIYSKRIHDQHHHHLLESTSRSFGFYSGFGAVMQLNYKPNSSRADGYVYEAMNKFELFRIGDSSSGLLNLGSDKQKEQEDESLQQKKDAPFIDFLGVGITS >DRNTG_11132.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30420222:30424802:1 gene:DRNTG_11132 transcript:DRNTG_11132.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPLRNQGRNLTPRFTRSEIKEMEKLLDEQRGELVDESFCQKLTEKFNALGRDESRAIKVKQVQRWFQSRLRIQVMETTPSPVDSKETVVVLESSSDTLKDSDQKVPDILELEFEAKSSRDGAWYDVGTFLAHRVLSSGEPEVRVRFQGFGPEEDEWVHVKRAVRERSIPLESSECHKVEMGDLVLCFQENSDQATYYDAHVLEIQRKQHDIRGCRCLFLIRYDHDRTEERVHHARLCRRPSNRAHNVAEM >DRNTG_08949.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27263914:27264896:-1 gene:DRNTG_08949 transcript:DRNTG_08949.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFCHASLIESSSSSLCNLPNLRSSTEHLGLMCRDASACLYNLNALSFRPAKKDTNLCGTNEASESSDLSVSLFLWPPSPAFPFPLPFISPPSPPPFPFPLPSISPPSPPPFPFPIPFPPLPTFVSPPPPPPPTFSLGDPRTWFQPPSPPNHP >DRNTG_08949.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27263914:27264896:-1 gene:DRNTG_08949 transcript:DRNTG_08949.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFCHASLIESSSSSLCNLPNLRSSTEHLGLMCRDASACLYNLNALSFRPAKKDTNLCGTNEASESSDLSVSLFLWPPSPAFPFPLPFISPPSPPPFPFPIPFPPLPTFVSPPPPPPPTFSLGDPRTWFQPPSPPNHP >DRNTG_08949.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27263914:27264896:-1 gene:DRNTG_08949 transcript:DRNTG_08949.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFCHASLIESSSSSLCNLPNLRSSTEHLGLMCRDASACLYNLNALSFRPAKKDTNLCGTNEASESSDLSVSLFLWPPSPAFPFPLPFISPPSPPPFPFPIPFPPLPTFVSPPPPPPPTFSLGDPRTWFQPPSPPNHP >DRNTG_08949.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27263914:27264896:-1 gene:DRNTG_08949 transcript:DRNTG_08949.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFCHASLIESSSSSLCNLPNLRSSTEHLGLMCRDASACLYNLNALSFRPAKKDTNLCGTNEASESSDLSVSLFLWPPSPAFPFPLPFISPPSPPPFPFPLPFISPPSPPPFPFPIPFPPLPTFVSPPPPPPPTFSLGDPRTWFQPPSPPNHP >DRNTG_08949.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27263914:27264896:-1 gene:DRNTG_08949 transcript:DRNTG_08949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFCHASLIESSSSSLCNLPNLRSSTEHLGLMCRDASACLYNLNALSFRPAKKDTNLCGTNEASESSDLSVSLFLWPPSPAFPFPLPFISPPSPPPFPFPLPFISPPSPPPFPFPLPSISPPSPPPFPFPIPFPPLPTFVSPPPPPPPTFSLGDPRTWFQPPSPPNHP >DRNTG_08949.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27263914:27264896:-1 gene:DRNTG_08949 transcript:DRNTG_08949.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFCHASLIESSSSSLCNLPNLRSSTEHLGLMCRDASACLYNLNALSFRPAKKDTNLCGTNEASESSDLSVSLFLWPPSPAFPFPLPFISPPSPPPFPFPLPSISPPSPPPFPFPIPFPPLPTFVSPPPPPPPTFSLGDPRTWFQPPSPPNHP >DRNTG_32272.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15609461:15613312:1 gene:DRNTG_32272 transcript:DRNTG_32272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVDSGGESPPNDALTKLLYAQIPMGKRVNIRPNRPTPGISSFCGSPQ >DRNTG_16836.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18092431:18097971:-1 gene:DRNTG_16836 transcript:DRNTG_16836.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADP-dependent malic enzyme 1 [Source:Projected from Arabidopsis thaliana (AT2G19900) UniProtKB/Swiss-Prot;Acc:O82191] MVSLSQSSILGCGQTTGLLGLGRSRSLAVTRRSGLVVRCSGVARRGSLAMENVSESNGSAAASVVDAKSAVGGGVQDVYGEDRATEEQHVTPWAVSVASGYSLLRDPHHNKGLAFNEKERDAHYLRGLLPPAVVSQDLQERKIMHSLRHYKVPLQRYMALMDLQERNERLFYKLLIDHVEELLPVVYTPTVGLACQKYGAIFRRPQGLFISLKEKGKILEVLRNWPEKRIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGLRPSACLPITIDVGTNNEQLLKDEFYIGLRQRRATGQEYLELLDEFMSAVKQNYGEKVLIQFEDFANHNAFTLLDRYSRSHLVFNDDIQGTASVVLAGVVAALKLVGGTLAEHTFLFLGAGEAGTGIAELIALEMSKQTKAPLEESRKKIWLVDSKGLIVDSRKDSLQHFKKPWAHEHEPVKNLLDAVKAIKPTVLIGSSGVGRTFTQEVVEAMASFNEKPLILALSNPTSQSECTAEQAYTWSKGRAIFASGSPFDPVEYEGKVFVPGQANNAYIFPGFGLGLVMCGAIRVHDDMLLAASKALAQQVTQEHYDKGLIYPPFTNIRKISAHIAAKVAAKAYELGLASHLPRPENLVEHAESCMYTPLYRNYR >DRNTG_02644.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16101169:16102475:1 gene:DRNTG_02644 transcript:DRNTG_02644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIYGCLHDERIALLGIKSSLLSGDRGNIDPESWNNNSDCCSWDGVQCSSTTKQVTRTEETGVLGPLWQLFQWQRSVIFGCISFSQRSLPAAQLHGKRTVYQRNSLSSSTCLPGSQLAPSTSKLAINLRKLRHVKGHVRLFSPRLQLLPRVQGFIHRLQRLPPCVVGLLHSQREIGDRVTEIARGSRPRLPA >DRNTG_27237.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18467429:18469751:1 gene:DRNTG_27237 transcript:DRNTG_27237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPHALVLPLPLQGHVIPLLELSNRLVERGFKVTFVNTELNHARITSAMSNSSCDMNQIHFVTVPDGIEEGDDPSDLVRKREGLRRVLPASLEELIKKSNMVEDHKFTCFIVDVFMASTLDVAKKAGLHTVIFYPGGLGTLLIGSSIPKLIADGIIDEQGEVKTKGKFQINLEMPSMETADLPWQCFPDVKTKHHMFKFSLNVGTTINTEELILCNSFFGLENGKSILPPNILLIGPLLANQELKKPKGYLWEENTSCITWLDKQLPNSVIYIAFGSYTMFDHCQFEELALGLELSDKRFLWVVRPGLSRDEDVGFLARFRSRVEGRGMIVRWAPQQQVLAHCSIACFMSHCGWNSTLEGLANGVPFLCWPYFADQFISQGYICDIWKTGLRMNLDGNKVVSRKEIKRKVEELMGDEEMKIRAMQLKARADKSVNKGGHSFENFNCFVSRMNTSVH >DRNTG_28736.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2325308:2326904:1 gene:DRNTG_28736 transcript:DRNTG_28736.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAKIQIKRIENMMNRQVTFSKRRNGLLKKVYELSILCDIDIALIMFSPAGKLVMFSSKKSSRDSIRKNIEDLCNEIKECKIKLEEDTKKLRDLSQQFYRIKGWKIIYTSN >DRNTG_24271.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10811003:10813384:-1 gene:DRNTG_24271 transcript:DRNTG_24271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIDKSLTKAGGSGHGQGPPTLGAAVGRCQREARCI >DRNTG_24271.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10811003:10811864:-1 gene:DRNTG_24271 transcript:DRNTG_24271.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIDKSLTKAGGSGHGQGPPTLGAAVGRCQREARCI >DRNTG_34552.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11660858:11661412:-1 gene:DRNTG_34552 transcript:DRNTG_34552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPARSPTIGRPPLAVSPRRLRPRHPPRYVPPPENPEKKTLNPSPQKTISSDLLNIAKGIKEDLINDDDNDEIRISFTPASAMFERGRMYDLYSARRNDRLKRKMGEVGDDAESENPAAAVELGKRRSQKKAESLRKSVPADFSVGRIGMMSKEMKKSARPGNGVRASVDSGRRVSTRSSCRV >DRNTG_17987.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18524156:18526601:1 gene:DRNTG_17987 transcript:DRNTG_17987.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase CCNB1IP1 homolog [Source:Projected from Arabidopsis thaliana (AT1G53490) UniProtKB/Swiss-Prot;Acc:F4HRI2] MRCNACWRELEGKAVSTTCGHILCTEDAGKILSNDAACPICDQVLSRSLMRPVEINPGDEWINMAMAGMSPQILMKSAYRSVMFYIGQKELEMQYKMNTIIGQCRQKCEAMQAKFTEKLEQVHTAYQKAAKRCQMMEQEIDNLSKDKQELQEKYAEKSRQKRKLDEMYDQLRNEYESVKRSAIQPAGNFFQRADPDLFSGMGNLMDGREPVRQGQREDMWPGRQKNSNSTVFDLSGGSPAKTTIPGDSGGRRPARPIFGPGVSNPSATLRNLIISPMKRPQLSRSRPHMFTL >DRNTG_21492.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9550867:9551507:-1 gene:DRNTG_21492 transcript:DRNTG_21492.1 gene_biotype:protein_coding transcript_biotype:protein_coding RELTLIYSSCSSPSFGASSAASPSASAGAVSGAGAFSSGSAGASTASVFSSPSTSTSASSAGVSIFGSCSSSTFSSVFSSVSTLVSSSGFNSSPSSSVLGGSSTTGTGVSVNSDDVSTFVGATGVSSSTGVALTSSRTWLVS >DRNTG_16380.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:908501:909339:-1 gene:DRNTG_16380 transcript:DRNTG_16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELVNGEVGEIEEDDAITTFPKLKYLAILGLPKLVKISHCTLDFPHLSKVHLEGCPSLKRLPFKPGIVNNRGLLVKCEKKWWEKLEWDDATIPSQFCSNSTEDEEIADFFVGGVPPLGHRDFLEIFGFDEVIL >DRNTG_29775.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:628624:634876:-1 gene:DRNTG_29775 transcript:DRNTG_29775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIARPASHPTCCSLLCPTKPDNGFSSLSSMSQGFHQGIFSFSDGFDRSGSQDQQQQQHHIAQQSRREKLRVPHGFDPAGHPLVTPLEEGEDPAIYETTGAGNMLSEMFNFPTQAPSATELLANQIPANFRLPQRQATISGFAGDWYGATRQGMVMGSSSSVNPLGDTTPKHPHDSSQQSQQQQQQQQHALPGLNADSAAAMQLFLMSPPTGQAQHTRSPSPPPPAPQQALHHHHTFQTASFGETSFGRGIVEGQGLSLSLASNLQQFEMAKAEELRMRDGVLYFNNQQQQQQQPQSQQQQQQQQQQQQSHHQHHHTSSLHLQSHGQASGHGHGQQVHMGYGPMGVVNVLRSSKYARPAQELLEEFCSVGRGQLKGSRIGRHHHASSNPNNPSSSAGAAVAGAGASSSSSKDVPPPLSPADRFEQQRKKAKLLSMLDEVDRRYNHYCDQMQMVVNSFDAVMGFGAATPYTALAQKAMSRHFRCLKDAIAVQLKQTCELLGEKDGGGTSGITKGETPRLKLLDQSLRQQRAFHQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQQEYKEEGEGREQGEGSHQTAQSPTQQHQQQQQQQQQQQQQQHQHQHQRAENNAPENDSSLGPTSISMHQRNAASASAMSHQSSTDDAILAATEPFVGPDPHVGGPGDDVFRMADYGVASALGPSATRMRFGTAGDVSLTLGLRHTSNTSSAPETNRFSIRDFGA >DRNTG_29775.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:628624:634876:-1 gene:DRNTG_29775 transcript:DRNTG_29775.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIARPASHPTCCSLLCPTKPDNGFSSLSSMSQGFHQGIFSFSDGFDRSGSQDQQQQQHHIAQQSRREKLRVPHGFDPAGHPLVTPLEEGEDPAIYETTGAGNMLSEMFNFPTQAPSATELLANQIPANFRLPQRQATISGFAGDWYGATRQGMVMGSSSSVNPLGDTTPKHPHDSSQQSQQQQQQQQHALPGLNADSAAAMQLFLMSPPTGQAQHTRSPSPPPPAPQQALHHHHTFQTASFGETSFGRGIVEGQGLSLSLASNLQQFEMAKAEELRMRDGVLYFNNQQQQQQQPQSQQQQQQQQQQQQSHHQHHHTSSLHLQSHGQASGHGHGQQVHMGYGPMGVVNVLRSSKYARPAQELLEEFCSVGRGQLKGSRIGRHHHASSNPNNPSSSAGAAVAGAGASSSSSKDVPPPLSPADRFEQQRKKAKLLSMLDEVDRRYNHYCDQMQMVVNSFDAVMGFGAATPYTALAQKAMSRHFRCLKDAIAVQLKQTCELLGEKDGGGTSGITKGETPRLKLLDQSLRQQRAFHQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQQEYKEEGEGREQGEGSHQTAQSPTQQHQQQQQQQQQQQQQQHQHQHQRAENNAPENDSSLGPTSISMHQRNAASASAMSHQSSTDDAILAATEPFVGPDPHVGGPGDDVFRMADYGVASALGPSATRMRFGTAGDVSLTLGLRHTSNTSSAPETNRFSIRDFGA >DRNTG_29775.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:628624:634876:-1 gene:DRNTG_29775 transcript:DRNTG_29775.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVVSIGEDQIRRRRRRRRRRKKKLATYEKDDAGMGIARPASHPTCCSLLCPTKPDNGFSSLSSMSQGFHQGIFSFSDGFDRSGSQDQQQQQHHIAQQSRREKLRVPHGFDPAGHPLVTPLEEGEDPAIYETTGAGNMLSEMFNFPTQAPSATELLANQIPANFRLPQRQATISGFAGDWYGATRQGMVMGSSSSVNPLGDTTPKHPHDSSQQSQQQQQQQQHALPGLNADSAAAMQLFLMSPPTGQAQHTRSPSPPPPAPQQALHHHHTFQTASFGETSFGRGIVEGQGLSLSLASNLQQFEMAKAEELRMRDGVLYFNNQQQQQQQPQSQQQQQQQQQQQQSHHQHHHTSSLHLQSHGQASGHGHGQQVHMGYGPMGVVNVLRSSKYARPAQELLEEFCSVGRGQLKGSRIGRHHHASSNPNNPSSSAGAAVAGAGASSSSSKDVPPPLSPADRFEQQRKKAKLLSMLDEVDRRYNHYCDQMQMVVNSFDAVMGFGAATPYTALAQKAMSRHFRCLKDAIAVQLKQTCELLGEKDGGGTSGITKGETPRLKLLDQSLRQQRAFHQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQQEYKEEGEGREQGEGSHQTAQSPTQQHQQQQQQQQQQQQQQHQHQHQRAENNAPENDSSLGPTSISMHQRNAASASAMSHQSSTDDAILAATEPFVGPDPHVGGPGDDVFRMADYGVASALGPSATRMRFGTAGDVSLTLGLRHTSNTSSAPETNRFSIRDFGA >DRNTG_29775.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:628624:634876:-1 gene:DRNTG_29775 transcript:DRNTG_29775.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVVSIGEDQIRRRRRRRRRRKKKLATYEKDDAGMGIARPASHPTCCSLLCPTKPDNGFSSLSSMSQGFHQGIFSFSDGFDRSGSQDQQQQQHHIAQQSRREKLRVPHGFDPAGHPLVTPLEEGEDPAIYETTGAGNMLSEMFNFPTQAPSATELLANQIPANFRLPQRQATISGFAGDWYGATRQGMVMGSSSSVNPLGDTTPKHPHDSSQQSQQQQQQQQHALPGLNADSAAAMQLFLMSPPTGQAQHTRSPSPPPPAPQQALHHHHTFQTASFGETSFGRGIVEGQGLSLSLASNLQQFEMAKAEELRMRDGVLYFNNQQQQQQQPQSQQQQQQQQQQQQSHHQHHHTSSLHLQSHGQASGHGHGQQVHMGYGPMGVVNVLRSSKYARPAQELLEEFCSVGRGQLKGSRIGRHHHASSNPNNPSSSAGAAVAGAGASSSSSKDVPPPLSPADRFEQQRKKAKLLSMLDEVCTKFLFLSLSLSLSLSLSLHILFFFSSVSVLYLLLYSTLLHSPLSLSL >DRNTG_30528.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21392858:21397566:1 gene:DRNTG_30528 transcript:DRNTG_30528.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAWTKTEKTSLLLFDFKAWNTTCLYLATSTRTYPFYPCSAADRISELARHGTSYSMGEDINDYKNLMKSSKPQGTSEVAHQNNVCDAALNHRTSLENDIKLLQVNLQQEKSVRVMLEKAIGRASSTLSPGHRHFATQTRELIAEIEFLEEEIANREQHVLSLYRSMLDQCLSASTSAQSSGRTSPAQTKNGGRKHPSIISSAFCSSRKFPLHSFQVLSTIKESKKGSVFVKPKGKHEQISTMKTSTHGGCNTSDFKLPTFGGSRLARTLKDHLYQCPSKLSEELIRCMAAIYCWLQTDASTDAENGRSPFLSRSSTNVILPRRGAGDEKVLSCRPMVEISSISIDKKKFSSASYAINSYRLLVENLERVDASMLESGAKLAFWLNVYNSLIMHVNHFLKQGKLFLLFLN >DRNTG_30528.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21392520:21397566:1 gene:DRNTG_30528 transcript:DRNTG_30528.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGNVGCSSSHRRSKSAADRISELARHGTSYSMGEDINDYKNLMKSSKPQGTSEVAHQNNVCDAALNHRTSLENDIKLLQVNLQQEKSVRVMLEKAIGRASSTLSPGHRHFATQTRELIAEIEFLEEEIANREQHVLSLYRSMLDQCLSASTSAQSSGRTSPAQTKNGGRKHPSIISSAFCSSRKFPLHSFQVLSTIKESKKGSVFVKPKGKHEQISTMKTSTHGGCNTSDFKLPTFGGSRLARTLKDHLYQCPSKLSEELIRCMAAIYCWLQTDASTDAENGRSPFLSRSSTNVILPRRGAGDEKVLSCRPMVEISSISIDKKKFSSASYAINSYRLLVENLERVDASMLESGAKLAFWLNVYNSLIMHGYLVYGISHTSLRRLALFHKCRLHITSEGMFSLPTP >DRNTG_30528.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21392520:21397566:1 gene:DRNTG_30528 transcript:DRNTG_30528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGNVGCSSSHRRSKSAADRISELARHGTSYSMGEDINDYKNLMKSSKPQGTSEVAHQNNVCDAALNHRTSLENDIKLLQVNLQQEKSVRVMLEKAIGRASSTLSPGHRHFATQTRELIAEIEFLEEEIANREQHVLSLYRSMLDQCLSASTSAQSSGRTSPAQTKNGGRKHPSIISSAFCSSRKFPLHSFQVLSTIKESKKGSVFVKPKGKHEQISTMKTSTHGGCNTSDFKLPTFGGSRLARTLKDHLYQCPSKLSEELIRCMAAIYCWLQTDASTDAENGRSPFLSRSSTNVILPRRGAGDEKVLSCRPMVEISSISIDKKKFSSASYAINSYRLLVENLERVDASMLESGAKLAFWLNVYNSLIMHGYLVYGISHTSLRRLALFHKTAYNIGGYVFTANSIEHCILCCHTPRIGRWFESILSNAMRKKSGEEKQLLESKFGLCRSQPLVYFGLCTGAASDPMLRVYTAKNVIDELEKAKKEFLQSNVVVKKSRKVFLPKILERYAKETSLSSGELLTWVLENIDKKLHESADPKNKRKASQVIEWLPYNTRFRYVLSTDFAENQ >DRNTG_10366.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21195636:21195944:1 gene:DRNTG_10366 transcript:DRNTG_10366.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAPAPPPRPPPAPPPPPRPPPAPPPRPPPAPPPPPPPNPPPPRNVSFSSILASTIPTIMSIAIAMNPNTPKHLTNFPIVLYYMISN >DRNTG_25328.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6505264:6508504:1 gene:DRNTG_25328 transcript:DRNTG_25328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPPLQRLSLLPLLLPLLFLAMFTNSQPLSTSGRWLIDTSTAKRMKLRCVNWAAHMPAVVAEGLDKQPLNSITMRAASLGFNCVRLTWATHLFTKEHYENLTVGESLRSLGLDKALEGVKRNNGGMVGMGVREAYDEVVKAIGDAGMMVVLDNHVSRPQWCCGGQDGNGFFGDLYFDPDDWLIGLDFVARRFRGYSQVIGMSMRNELRGPRESQEAWYRNITEGASRIHEANPNVLVIVSGLHYDTDLSFLHHQPLNSTYDNKLVFEVHWYSFSIRRDWANKSPNIVCSSATKKLEQQAAFLVKNDDDGDGGTPLFVSEFGVDQRGWNRADNRFLSCFLMFAAEKDLDWALWALQGSYYKRNGVVGLDETYGVFDFNWDQPRNPKFQQRFRLIQQMLQVSDSSSNATYQIIYHPHSGKCLNADENNKVLLSDCQKKSRWNYNGEGSQVQLVGSTNCLQLVGDGLPVVLSSECDDNRSTWKAVSESKYQIFAKDLQGRALCLEGNSTEASILTKECLCLGNSTCSENPQVQWFNFVPSNIP >DRNTG_25328.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6507299:6508504:1 gene:DRNTG_25328 transcript:DRNTG_25328.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRNELRGPRESQEAWYRNITEGASRIHEANPNVLVIVSGLHYDTDLSFLHHQPLNSTYDNKLVFEVHWYSFSIRRDWANKSPNIVCSSATKKLEQQAAFLVKNDDDGDGGTPLFVSEFGVDQRGWNRADNRFLSCFLMFAAEKDLDWALWALQGSYYKRNGVVGLDETYGVFDFNWDQPRNPKFQQRFRLIQQMLQVSDSSSNATYQIIYHPHSGKCLNADENNKVLLSDCQKKSRWNYNGEGSQVQLVGSTNCLQLVGDGLPVVLSSECDDNRSTWKAVSESKYQIFAKDLQGRALCLEGNSTEASILTKECLCLGNSTCSENPQVQWFNFVPSNIP >DRNTG_21680.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1053392:1058035:-1 gene:DRNTG_21680 transcript:DRNTG_21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RuvB-like protein 1 [Source:Projected from Arabidopsis thaliana (AT5G22330) UniProtKB/Swiss-Prot;Acc:Q9FMR9] MRIEEVQSTTKKQRIATHTHIKGLGLDPNGVALPMAAGFVGQVAAREAAGLVVDMIRQKKMAGRALLLAGPPSTGKTALALGISQELGTKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEETESTNGGYGKSIGHVIVGLKTVKGTKQLKLDPTIYDALIKEKVAVGDVIYIEANSGAVKRVGRSDSFATEFDLEAEEYVPLPKGEVHKKKEIVQDVTLHDLDAANARPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYIDEGVAELVPGVLFIDEVHMLDMECFSYLNRALESAISPIVIFATNRGICNVRGTDMTSPHGVPVDLLDRLVIIRTETYGPTEMIQILAIRAQVEELVIDEESLAYLGEIGQEASLRHVMQLLSPASIVSRMSGRDKICKADIEEVNTLYLDAKSSARLLQEQQDRYIS >DRNTG_26903.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3271024:3271928:1 gene:DRNTG_26903 transcript:DRNTG_26903.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQKTTLARTPSSLLRSPTLRSSLNEDNPPDEKKTRHSSPKPFCTIFFFFSPFLLLLLYLYFKNEYPFLSSIVFVSALLALCFILASRSGCFRFQNRNFRRGDSVEWFIGEEKKASKKIVREGVEFYSNGDVYEGEFHKGRCNGSGVYSFFGHGRYEGDWIDGKYDGFGIESWARGSRYRGQYRRGLRHGFGVYRFFNGDCYAGGWLNGQSHGIGVQSCSDGSSYVGEFNCGVKHGLGCYHFR >DRNTG_26903.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3271024:3272906:1 gene:DRNTG_26903 transcript:DRNTG_26903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQKTTLARTPSSLLRSPTLRSSLNEDNPPDEKKTRHSSPKPFCTIFFFFSPFLLLLLYLYFKNEYPFLSSIVFVSALLALCFILASRSGCFRFQNRNFRRGDSVEWFIGEEKKASKKIVREGVEFYSNGDVYEGEFHKGRCNGSGVYSFFGHGRYEGDWIDGKYDGFGIESWARGSRYRGQYRRGLRHGFGVYRFFNGDCYAGGWLNGQSHGIGVQSCSDGSSYVGEFNCGVKHGLGCYHFRNGDRYSGEYFADKIHGFGVYHFANGHCYEGSWHEGRKQGFGTYMFRNGDSRSGEWDCGVLKSPHPASDPSVLHAIHAARKAVENAALLPRVDDQVNKAVAAANRSATAARVAAVKAVQNRTDGRFCDIDV >DRNTG_34620.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:61864:65219:-1 gene:DRNTG_34620 transcript:DRNTG_34620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF1-related protein kinase regulatory subunit gamma-1 [Source:Projected from Arabidopsis thaliana (AT3G48530) UniProtKB/Swiss-Prot;Acc:Q8LBB2] MEESPRCSSPEAEVGMRVEDLWDSIQEPQLSPSEKLNSCFDSIPVSSFPPAPSSQVIDIPSDSSLAAAVDTLSKSKIISAPVRDVDAAEDASWIDKYIGIVEFAGIAVWLLHQSDAAAQKSVSGSADGLAKKVANVTLEASGQGSNGVSSDDVASETTDSEAAASMGGSFLETLTSSDFYKKTKVRDILGSFRWAPFLALQKSDSFLTMLLLLSKYRMKSLPVVDLGDGKIDNIITQSAVVHMLAECVGLHWFEDWGTKKLFELGLPIMKPSRLVKVSEDEPVLKAFQLMRRKGIGGLPVVEANGKKAIGNISIRDVQYLLTAPEIYKDYRFVLTPF >DRNTG_34620.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:61864:65219:-1 gene:DRNTG_34620 transcript:DRNTG_34620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF1-related protein kinase regulatory subunit gamma-1 [Source:Projected from Arabidopsis thaliana (AT3G48530) UniProtKB/Swiss-Prot;Acc:Q8LBB2] MEESPRCSSPEAEVGMRVEDLWDSIQEPQLSPSEKLNSCFDSIPVSSFPPAPSSQVIDIPSDSSLAAAVDTLSKSKIISAPVRDVDAAEDASWIDKYIGIVEFAGIAVWLLHQSDAAAQKSVSGSADGLAKKVANVTLEASGQGSNGVSSDDVASETTDSEAAASMGGSFLETLTSSDFYKKTKVRDILGSFRWAPFLALQKSDSFLTMLLLLSKYRMKSLPVVDLGDGKIDNIITQSAVVHMLAECVGLHWFEDWGTKKLFELGLPIMKPSRLVKVSEDEPVLKAFQLMRRKGIGGLPVVEANGKKAIGNISIRDVQYLLTAPEIYKDYRSIRAKDFVSLVRSYLKEHEGTSPRLLGVITCKRIDTIKDIILKLDSYKIQRIYVVDDEDNLEGVITLRDIISKLVHEPRGYFGDFFDGVVPLPQNSRV >DRNTG_12679.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:12655430:12658381:1 gene:DRNTG_12679 transcript:DRNTG_12679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTSRAVWKKVSGRAFVGSNPVRVVKPNLRKEANVDRECTIWRKSCECSNAKTFRVGTVAENYRNTVVKYCSRGVWIPDSSPLKADFSPDFSILFSIFSPT >DRNTG_07777.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1079612:1080828:-1 gene:DRNTG_07777 transcript:DRNTG_07777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLSLGFGGCSSAPPVQLELLPMEPVLRDQCSSQLALQWLPNNSRNLEILTTRGFDVNRTPATETESETALAPASPNNSAGSSHQLERDEDENSLSRKKLRLSKEQSAFLEESFKEHNTLNPKQKLALAKHLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLHKELAELRALKATAAHPLLAHLPATTLSMCPSCERVSSSSTTSGAGVKPSTPPFSHLSKARFFPFAAHPSAAS >DRNTG_07777.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1079612:1080572:-1 gene:DRNTG_07777 transcript:DRNTG_07777.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKVHKVLDNNAGNLEILTTRGFDVNRTPATETESETALAPASPNNSAGSSHQLERDEDENSLSRKKLRLSKEQSAFLEESFKEHNTLNPKQKLALAKHLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLHKELAELRALKATAAHPLLAHLPATTLSMCPSCERVSSSSTTSGAGVKPSTPPFSHLSKARFFPFAAHPSAAS >DRNTG_04230.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30340392:30341835:1 gene:DRNTG_04230 transcript:DRNTG_04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRGDNCKFSHKMSSVEDASTTSATNISHSSPSTITSNITNQFNVKNHSTTSRSTFSIYEDMKKNLVDKCLKPPVPSVEGYPTASTSSKSDTPLSNSNIKQVNLKSSSPVPNELLQHTTPSSRKENLLEKFLKPSVKIPSGVCFLQFGKEPSFVTNKSPPSDEKKPLVSNITSSTLLNGSCSKEQRNDSFRPASEIELSDASKILEEFLFIG >DRNTG_04230.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30340848:30341835:1 gene:DRNTG_04230 transcript:DRNTG_04230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRGDNCKFSHKMSSVEDASTTSATNISHSSPSTITSNITNQFNVKNHSTTSRSTFSIYEDMKKNLVDKCLKPPVPSVEGYPTASTSSKSDTPLSNSNIKQVNLKSSSPVPNELLQHTTPSSRKENLLEKFLKPSVKIPSGVCFLQFGKEPSFVTNKSPPSDEKKPLVSNITSSTLLNGSCSKEQRNDSFRPASEIELSDASKILEEFLFIG >DRNTG_18795.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:345019:346316:1 gene:DRNTG_18795 transcript:DRNTG_18795.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSLWRIMAIAILLFVMVEAQPYKPKQVKCKDKNGYPMCRGSKFTCPAACPRSCMADCELCRAVCSCDKGGAVCQDPRFIGGDGITFYFHGRKDKDFCLLSDSNLHINAHFIGRRNPEMKRDFTWVQSILVLFEDHQLYIRAQKTSTWDDAEDHLAISFDSEPIVLPREEDAKWQTSALSIVRSSSANAITVEAEGKFKITASVVPVTEEESRVHNYGIKADDCFAHLELGFKFYSLTSHVHGVLGQTYREGYVSRVKMSSDMPIMSGEHKFLASGLYATDCAVARFVRGPPAGTAGQLSELADITCSSGVSGRGIICKK >DRNTG_25091.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:738022:739894:1 gene:DRNTG_25091 transcript:DRNTG_25091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFMLICTPVSVQNMDLLFFFFFSSISFPKLIIGNNVESQAPLINKFITVDQKGGGNFKTISAAISAVPQNNNQWIKILVKSGVYREKVFITKDKRFIMLEGEGANNTSVEWGDHLTKSPLDFPMKKTDAETATLRVFGTNIVVKYIAIKNTYNGGAKNRVQALAAMVSGDKIAFYHCLFYGIQDTLCDFQGRHYYKACYILGAVDFIWGNAQTIYQEKYILCRNRLSWTGSRQIEKSTMGEESHRSSGEAVH >DRNTG_00872.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21862430:21862791:1 gene:DRNTG_00872 transcript:DRNTG_00872.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKEELKNWANNKLASTSQYPQAWQPKPQEQEESTKHKTYMAKCSNISRNNKP >DRNTG_04048.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11727712:11729790:-1 gene:DRNTG_04048 transcript:DRNTG_04048.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGDTNVVALYFILFYFCLYVCVCFLFCWFGFSCKSS >DRNTG_07499.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3819619:3819961:-1 gene:DRNTG_07499 transcript:DRNTG_07499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSGSRRRRRRRRRSRLRRRSGSLDSRRLSCGLGCLGLNRRRETKGW >DRNTG_28541.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:20713804:20717143:1 gene:DRNTG_28541 transcript:DRNTG_28541.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYDVYDLELTAVKNNSALRKFMLETTSKLIIVLEDIDCTLELSGEQKVIGGSSEGKEEEKKAVDGPPDKEESKVALSGLLNCINGLWSACGGEKVIVFTTNHIDKLDPALIRRGMMD >DRNTG_35085.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16937358:16940046:-1 gene:DRNTG_35085 transcript:DRNTG_35085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGPISRDWEPVVIRKKAPTGGAKKDDKAVNAARRSGAEIETVKKSNAGTNKAASSGTSLNTRKLDEESENLTHDRVPTELKKNIMQARMDKKYTQAQLAQLINEKPQVIQEYESGKAIPNQQLINKLERVLGVKLRGRK >DRNTG_06224.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5470568:5471671:-1 gene:DRNTG_06224 transcript:DRNTG_06224.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVSFVWCNIFTYSELRTTTKNFRLDLILGEGGFGNWNHVQRKN >DRNTG_16417.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5499798:5501797:-1 gene:DRNTG_16417 transcript:DRNTG_16417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIEERAEIEEEEEEDREILNPLLPIGRVKKIVKMDRDIKKVNSEALHLITLSTQLFIEFLAERSRTAAVEKKRKVIKVEHLRSAARNHPPTSDFLLDCLPKPAQAKPSASASSRPLPDDAPPPPQPLPPGARRIDDFFSKPSSAGKQGTSEDGAATAC >DRNTG_16417.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5501325:5501797:-1 gene:DRNTG_16417 transcript:DRNTG_16417.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIEERAEIEEEEEEDREILNPLLPIGRVKKIVKMDRDIKKVNSEALHLITLSTQLFIEFLAERSRTAAVEKKRKVIKVEHLRSAARNHPPTSDFLLDCLPKPAQAKPSASASSRPLPDDAPPPPQPLPPGARRIDDFFSKPSSA >DRNTG_32329.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24561259:24567225:1 gene:DRNTG_32329 transcript:DRNTG_32329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNITNVTVLDNPAAFLNPFQFEISYECLIPLKDDLEWKLTYVGSAEDETYDQLLESVLVGPVNVGNYRFVLQADPPDPSKIRDEDIIGVTVLLLTCSYLGQEFIRVGYYVNNDYDDEQLREEPPPRVLIDRVQRNILADKPRVTKFPINFHPETSEGGAQQPPSPSPENPNSDEFQPGQSENNGCDEPQPTLPQGPQV >DRNTG_20989.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001188.1:34180:35885:1 gene:DRNTG_20989 transcript:DRNTG_20989.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLGHVLCNRSFVDETAIYRSVKLVAESLARHIYGHEGRNIQIFADNSSLAVNPSYINSWLDLLSMTPRVAPFLSKTDPLISALRKELSGHTDEVRVQHESLDGMFTFYDVTRARLNIYQ >DRNTG_19474.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15406553:15412084:1 gene:DRNTG_19474 transcript:DRNTG_19474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSDSGPSGCEIHTLEECIDSGSSTEDGCRGFEDIDLGKFGRLKSSVEEPLEVDLKSLTEHLEDQVSLAVISCLGKHLTSPGLSLCKMSAQHSCTRRGRVTPPAVATPRVHRCGKRGEPSPSSPPSPPRQESKALWAHWATKGRQPTYKEYQDFLEYWTIFWGQVHTTLTLAPALPKTPVIQHALVEVSGPSQA >DRNTG_28296.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001432.1:19662:24020:1 gene:DRNTG_28296 transcript:DRNTG_28296.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNSRNGGRIYHTGRERGRSCTSRLTISTLSNQALSNSRDGPHTAPIRGHLGHQPECLRGLLRLYGPHTGPHGGRMPNTKRLASKRPRLITSSSTLEEPIFKLPHHREKYGILKTKPFGMVCYIYWGLVESLGIGNQVKGWLSHNCWEKLFVIDEPTFRLLTLEVLSTFEAQQDAQSVRDRKCVTIRFQAFGKTHTVHHLEFGKYLGIYCDDFINPMLGDHLKLDFPSDSNQLQQQEEPSPIEGDPDSIPSDRGAHEDETREVFDLVQVHHKQPSICKDGFFMCVRYGSECALNAHLATVIGSLEQPPLRLLGSSSDDGASMIMKLV >DRNTG_35136.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1207060:1210868:-1 gene:DRNTG_35136 transcript:DRNTG_35136.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHIQRLLNRISLAFAVAGTLALFHVFFKTSVSCSYSGHNLHHHDTLTQTISHSPFPRSSCDAASRPTLLPDRRFSRIQSSRSWRRGVAALSSLFLRLRTAHLLSNSSRILCVSSGAGHEVAAFRESGVVDVTGVDLIDFPPLVSRADPHNLPFFDNVFDLGFSRGLSGALFPVRFVGELERTVRKGGAVALVVERCGSEEEVASVKGLFRKSSLVEVSNITLSDSQMTLIVMRVNGLSP >DRNTG_35136.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1206985:1210868:-1 gene:DRNTG_35136 transcript:DRNTG_35136.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHIQRLLNRISLAFAVAGTLALFHVFFKTSVSCSYSGHNLHHHDTLTQTISHSPFPRSSCDAASRPTLLPDRRFSRIQSSRSWRRGVAALSSLFLRLRTAHLLSNSSRILCVSSGAGHEVAAFRESGVVDVTGVDLIDFPPLVSRADPHNLPFFDNVFDLGFSRGLSGALFPVRFVGELERTVRKGGAVALVVERCGSEEEVASVKGLFRKSSLVEVSNITLSDSQMTLIVMRVNGLSP >DRNTG_35136.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1206842:1210927:-1 gene:DRNTG_35136 transcript:DRNTG_35136.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHIQRLLNRISLAFAVAGTLALFHVFFKTSVSCSYSGHNLHHHDTLTQTISHSPFPRSSCDAASRPTLLPDRRFSRIQSSRSWRRGVAALSSLFLRLRTAHLLSNSSRILCVSSGAGHEVAAFRESGVVDVTGVDLIDFPPLVSRADPHNLPFFDNVFDLGFSRGLSGALFPVRFVGELERTVRKGGAVALVVERCGSEEEVASVKGLFRKSSLVEVSNITLSDSQMTLIVMRVNGLSP >DRNTG_35136.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1206985:1211080:-1 gene:DRNTG_35136 transcript:DRNTG_35136.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHIQRLLNRISLAFAVAGTLALFHVFFKTSVSCSYSGHNLHHHDTLTQTISHSPFPRSSCDAASRPTLLPDRRFSRIQSSRSWRRGVAALSSLFLRLRTAHLLSNSSRILCVSSGAGHEVAAFRESGVVDVTGVDLIDFPPLVSRADPHNLPFFDNVFDLGFSRGLSGALFPVRFVGELERTVRKGGAVALVVERCGSEEEVASVKGLFRKSSLVEVSNITLSDSQMTLIVMRVNGLSP >DRNTG_35136.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1206985:1210927:-1 gene:DRNTG_35136 transcript:DRNTG_35136.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHIQRLLNRISLAFAVAGTLALFHVFFKTSVSCSYSGHNLHHHDTLTQTISHSPFPRSSCDAASRPTLLPDRRFSRIQSSRSWRRGVAALSSLFLRLRTAHLLSNSSRILCVSSGAGHEVAAFRESGVVDVTGVDLIDFPPLVSRADPHNLPFFDNVFDLGFSRGLSGALFPVRFVGELERTVRKGGAVALVVERCGSEEEVASVKGLFRKSSLVEVSNITLSDSQMTLIVMRVNGLSP >DRNTG_35136.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1207060:1211080:-1 gene:DRNTG_35136 transcript:DRNTG_35136.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHIQRLLNRISLAFAVAGTLALFHVFFKTSVSCSYSGHNLHHHDTLTQTISHSPFPRSSCDAASRPTLLPDRRFSRIQSSRSWRRGVAALSSLFLRLRTAHLLSNSSRILCVSSGAGHEVAAFRESGVVDVTGVDLIDFPPLVSRADPHNLPFFDNVFDLGFSRGLSGALFPVRFVGELERTVRKGGAVALVVERCGSEEEVASVKGLFRKSSLVEVSNITLSDSQMTLIVMRVNGLSP >DRNTG_00067.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21797252:21801094:1 gene:DRNTG_00067 transcript:DRNTG_00067.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIDVDKENFQHHEERLGLESADDTAHQISHDPWYQVGFVLTTGVNSAYILGYAGSIMVPLGWVAGTTGLLLAAAISLYANVLLAQLHEVGGKRHIRYRDLAGHIYGRKMYALTWALQYVNLFMINTGFIILAGQALKAIYVLYRDDHVLKLPYCIAIAGIVCALFAFGIPHLSALRIWLGFSTILSLIYIVTAFVLSIKDGMNSPGRDYNIPGSHASKIFSTIGAVASLVFAYNTGMLPEIQATVKPPVIKNMEKALWFQFTIGVLPLYAVTFMGYWAYGSSTSTYLLTSVKGPVWVKTMANVAAFLQTVVALHIFASPMYEYLDTQYGPGKGSAFSIHNVGFRVMVRGGYLTINTLVAALLPFLGDFMSLTGALSTFPLTFVLANHMYVVARKNKLSPLRKAWHWLNVVAFSCLAATAAVAALRLIAVDSSTYNLFADL >DRNTG_00067.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21797252:21802781:1 gene:DRNTG_00067 transcript:DRNTG_00067.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIDVDKENFQHHEERLGLESADDTAHQISHDPWYQVGFVLTTGVNSAYILGYAGSIMVPLGWVAGTTGLLLAAAISLYANVLLAQLHEVGGKRHIRYRDLAGHIYGRKMYALTWALQYVNLFMINTGFIILAGQALKAIYVLYRDDHVLKLPYCIAIAGIVCALFAFGIPHLSALRIWLGFSTILSLIYIVTAFVLSIKDGMNSPGRDYNIPGSHASKIFSTIGAVASLVFAYNTGMLPEIQATVKPPVIKNMEKALWFQFTIGVLPLYAVTFMGYWAYGSSTSTYLLTSVKGPVWVKTMANVAAFLQTVVALH >DRNTG_26784.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3449659:3452114:1 gene:DRNTG_26784 transcript:DRNTG_26784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGPGCSTLITVSTSCSSCLNMRDKCVGDGRRRKEKGIIQNYFSILYLMQGNEGRTMPWSGCLSELRME >DRNTG_15919.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:952467:954163:1 gene:DRNTG_15919 transcript:DRNTG_15919.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLARWVGERRARQIHRAVRNGKLTLICLFLTLLVLRGTIGAGKFGTPNQDLEDLRHALQSRPRLPHRSLVEESKPLADHRAAEKDDDPPPRDPSDPYSLGPKISDWDSQRASWLSRHPDRPNFLTASKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRVHGIEIFYNMALLDAEMAGFWAKLPLIRTLLLSHPEIEYLWWMDSDAMFTDMAFELPWDRYADYNLVMHGWNEMVYDDKNWIGLNTGSFLLRNCQWSLDLLDVWAPMGPKGPTRIEAGKVLTAFLKDRPVFEADDQSAMVYILATQRDKWGDKVYLESGYYLHGYWGILVDRYEEMIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQMYGFEHKTLASRRVKRVRNETSNPLDIKDDLGLLHPSFKETKVGNSIAS >DRNTG_15919.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:948000:954163:1 gene:DRNTG_15919 transcript:DRNTG_15919.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLARWVGERRARQIHRAVRNGKLTLICLFLTLLVLRGTIGAGKFGTPNQDLEDLRHALQSRPRLPHRSLVEESKPLADHRAAEKDDDPPPRDPSDPYSLGPKISDWDSQRASWLSRHPDRPNFLTASKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRVHGIEIFYNMALLDAEMAGFWAKLPLIRTLLLSHPEIEYLWWMDSDAMFTDMAFELPWDRYADYNLVMHGWNEMVYDDKNWIGLNTGSFLLRNCQWSLDLLDVWAPMGPKGPTRIEAGKVLTAFLKDRPVFEADDQSAMVYILATQRDKWGDKVYLESGYYLHGYWGILVDRYEEMIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQMYGFEHKTLASRRVKRVRNETSNPLDIKDDLGLLHPSFKETKVGNSIAS >DRNTG_15919.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:952467:954515:1 gene:DRNTG_15919 transcript:DRNTG_15919.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLARWVGERRARQIHRAVRNGKLTLICLFLTLLVLRGTIGAGKFGTPNQDLEDLRHALQSRPRLPHRSLVEESKPLADHRAAEKDDDPPPRDPSDPYSLGPKISDWDSQRASWLSRHPDRPNFLTASKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRVHGIEIFYNMALLDAEMAGFWAKLPLIRTLLLSHPEIEYLWWMDSDAMFTDMAFELPWDRYADYNLVMHGWNEMVYDDKNWIGLNTGSFLLRNCQWSLDLLDVWAPMGPKGPTRIEAGKVLTAFLKDRPVFEADDQSAMVYILATQRDKWGDKVYLESGYYLHGYWGILVDRYEEMIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQMYGFEHKTLASRRVKRVRNETSNPLDIKDDLGLLHPSFKETKDYALFFWPSISY >DRNTG_15919.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:952467:954515:1 gene:DRNTG_15919 transcript:DRNTG_15919.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLARWVGERRARQIHRAVRNGKLTLICLFLTLLVLRGTIGAGKFGTPNQDLEDLRHALQSRPRLPHRSLVEESKPLADHRAAEKDDDPPPRDPSDPYSLGPKISDWDSQRASWLSRHPDRPNFLTASKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRVHGIEIFYNMALLDAEMAGFWAKLPLIRTLLLSHPEIEYLWWMDSDAMFTDMAFELPWDRYADYNLVMHGWNEMVYDDKNWIGLNTGSFLLRNCQWSLDLLDVWAPMGPKGPTRIEAGKVLTAFLKDRPVFEADDQSAMVYILATQRDKWGDKVYLESGYYLHGYWGILVDRYEEMIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQMYGFEHKTLASRRVKRVRNETSNPLDIKDDLGLLHPSFKETKVGNSIAS >DRNTG_15919.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:952305:954163:1 gene:DRNTG_15919 transcript:DRNTG_15919.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLARWVGERRARQIHRAVRNGKLTLICLFLTLLVLRGTIGAGKFGTPNQDLEDLRHALQSRPRLPHRSLVEESKPLADHRAAEKDDDPPPRDPSDPYSLGPKISDWDSQRASWLSRHPDRPNFLTASKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRVHGIEIFYNMALLDAEMAGFWAKLPLIRTLLLSHPEIEYLWWMDSDAMFTDMAFELPWDRYADYNLVMHGWNEMVYDDKNWIGLNTGSFLLRNCQWSLDLLDVWAPMGPKGPTRIEAGKVLTAFLKDRPVFEADDQSAMVYILATQRDKWGDKVYLESGYYLHGYWGILVDRYEEMIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQMYGFEHKTLASRRVKRVRNETSNPLDIKDDLGLLHPSFKETKVGNSIAS >DRNTG_15919.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:952467:956342:1 gene:DRNTG_15919 transcript:DRNTG_15919.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLARWVGERRARQIHRAVRNGKLTLICLFLTLLVLRGTIGAGKFGTPNQDLEDLRHALQSRPRLPHRSLVEESKPLADHRAAEKDDDPPPRDPSDPYSLGPKISDWDSQRASWLSRHPDRPNFLTASKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRVHGIEIFYNMALLDAEMAGFWAKLPLIRTLLLSHPEIEYLWWMDSDAMFTDMAFELPWDRYADYNLVMHGWNEMVYDDKNWIGLNTGSFLLRNCQWSLDLLDVWAPMGPKGPTRIEAGKVLTAFLKDRPVFEADDQSAMVYILATQRDKWGDKVYLESGYYLHGYWGILVDRYEEMIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQMYGFEHKTLASRRVKRVRNETSNPLDIKDDLGLLHPSFKETKLLD >DRNTG_15919.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:948000:951809:1 gene:DRNTG_15919 transcript:DRNTG_15919.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAMDHQLEVINSLRITPLDDDDEGQDEVEEIEIDEDDEEEEEDEEGVTLGFVEKPKNPRSLLRHLFPSKAGGVPAWLDPVDLPPESARTCGFCGDPLQFLLQIYAPISEKESTFHRTFFVFMCPSMACLLRDQHEQWKHGVDKPHRSVKVFRCQLPRSNPFYSSEPPKYDGTDKPLSTGAVLCSWCGTWKGEKVCGSCRKARYCSEKHQLMHWRSGHKNDCRQIFISSHSSVLDHGNGREKLPAIEKVACRTLWREHEIAIEDESTYESNMSDDNGCGTSIVPANNKKDETFQSVLNKFEANADKKKWASFQERIARAPEQVLRYCRDLTAKPLWPLLIGRPSKEDIPKCNYCKGPLCYEFQIMPQLLYYFDVKNEPDSLDWATIVVFTCLNSCEASLAYKEEFAWVQLYPSTGTL >DRNTG_15919.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:952349:954163:1 gene:DRNTG_15919 transcript:DRNTG_15919.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLARWVGERRARQIHRAVRNGKLTLICLFLTLLVLRGTIGAGKFGTPNQDLEDLRHALQSRPRLPHRSLVEESKPLADHRAAEKDDDPPPRDPSDPYSLGPKISDWDSQRASWLSRHPDRPNFLTASKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRVHGIEIFYNMALLDAEMAGFWAKLPLIRTLLLSHPEIEYLWWMDSDAMFTDMAFELPWDRYADYNLVMHGWNEMVYDDKNWIGLNTGSFLLRNCQWSLDLLDVWAPMGPKGPTRIEAGKVLTAFLKDRPVFEADDQSAMVYILATQRDKWGDKVYLESGYYLHGYWGILVDRYEEMIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQMYGFEHKTLASRRVKRVRNETSNPLDIKDDLGLLHPSFKETKVGNSIAS >DRNTG_15919.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:948000:951809:1 gene:DRNTG_15919 transcript:DRNTG_15919.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSMACLLRDQHEQWKHGVDKPHRSVKVFRCQLPRSNPFYSSEPPKYDGTDKPLSTGAVLCSWCGTWKGEKVCGSCRKARYCSEKHQLMHWRSGHKNDCRQIFISSHSSVLDHGNGREKLPAIEKVACRTLWREHEIAIEDESTYESNMSDDNGCGTSIVPANNKKDETFQSVLNKFEANADKKKWASFQERIARAPEQVLRYCRDLTAKPLWPLLIGRPSKEDIPKCNYCKGPLCYEFQIMPQLLYYFDVKNEPDSLDWATIVVFTCLNSCEASLAYKEEFAWVQLYPSTGTL >DRNTG_15919.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:952349:954515:1 gene:DRNTG_15919 transcript:DRNTG_15919.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLARWVGERRARQIHRAVRNGKLTLICLFLTLLVLRGTIGAGKFGTPNQDLEDLRHALQSRPRLPHRSLVEESKPLADHRAAEKDDDPPPRDPSDPYSLGPKISDWDSQRASWLSRHPDRPNFLTASKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRVHGIEIFYNMALLDAEMAGFWAKLPLIRTLLLSHPEIEYLWWMDSDAMFTDMAFELPWDRYADYNLVMHGWNEMVYDDKNWIGLNTGSFLLRNCQWSLDLLDVWAPMGPKGPTRIEAGKVLTAFLKDRPVFEADDQSAMVYILATQRDKWGDKVYLESGYYLHGYWGILVDRYEEMIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQMYGFEHKTLASRRVKRVRNETSNPLDIKDDLGLLHPSFKETKVGNSIAS >DRNTG_31045.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30583041:30586731:-1 gene:DRNTG_31045 transcript:DRNTG_31045.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCECFQARVVHYSSTEWQCIKDATEKARGIAITVGDEVGELTCSELLEALELSRCLFLMNYIHGSHLLENSDAFSSQEAAENTAAALGRVFVLDLILRNEDRLPCRQLGWRGNSENLLFASNVISADMDIFDNAYDSATKIYKPRLIQYIQKERRSNSISGRLSHHKPDHMSLSFDAVAEIGHHRSTPSEVSSNASVTVIGDSHIVAIDSVVPRRPPAGKRVKDQEYYPKVVELMLNNTEFSSNLLYEVSGGKLGFPSTDDLVITDSCSSLSDIDMAAVVREYRVGFRAALRDLQGFHIFLLTVYQKLDGLFRVLLPIISKSSGESEKDEPGACDSPSHSAGCGFNYFSPAFKERATQEINAEFSDFEAQKSAYRSPPPGRRDSLDMNSPLSRENWNGRYSKGNGDTQRSLRLTMRLRDFNKFSKVDVELNKELEQWSELLRTDVIKLCQENNFNTGFFEGNDNNIIIDAYELKVRLEHILERIGLISDAANTEKPSLITSNLFIGGALAARSMYTLQHLGITHILCLCSNEIGQSDSQYPDMFNYRNFSIIDNEDEDIADLFEEASDFIDCVEYMGGKVLVHCFEGRSRSATVVLAYLMLRKGLTLLQAWNKLKKVHRRAQPNDGFAKTLLDLDKRLHGKASMEWQQRRPTMKVCPICGKNAGLSSSSLKLHLQKSHRKISSGSVDSAMTLEIQKTLEALKISRGGSISPTQKQPQSFIEAFAPHW >DRNTG_31045.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30583041:30586026:-1 gene:DRNTG_31045 transcript:DRNTG_31045.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDDLSPNEAAAVIKFSTSRMATQSERLGYEFAKWLGVRTPQARVVHYSSTEWQCIKDATEKARGIAITVGDEVGELTCSELLEALELSRCLFLMNYIHGSHLLENSDAFSSQEAAENTAAALGRVFVLDLILRNEDRLPCRQLGWRGNSENLLFASNVISADMDIFDNAYDSATKIYKPRLIQYIQKERRSNSISGRLSHHKPDHMSLSFDAVAEIGHHRSTPSEVSSNASVTVIGDSHIVAIDSVVPRRPPAGKRVKDQEYYPKVVELMLNNTEFSSNLLYEVSGGKLGFPSTDDLVITDSCSSLSDIDMAAVVREYRVGFRAALRDLQGFHIFLLTVYQKLDGLFRVLLPIISKSSGESEKDEPGACDSPSHSAGCGFNYFSPAFKERATQEINAEFSDFEAQKSAYRSPPPGRRDSLDMNSPLSRENWNGRYSKGNGDTQRSLRLTMRLRDFNKFSKVDVELNKELEQWSELLRTDVIKLCQENNFNTGFFEGNDNNIIIDAYELKVRLEHILERIGLISDAANTEKPSLITSNLFIGGALAARSMYTLQHLGITHILCLCSNEIGQSDSQYPDMFNYRNFSIIDNEDEDIADLFEEASDFIDCVEYMGGKVLVHCFEGRSRSATVVLAYLMLRKGLTLLQAWNKLKKVHRRAQPNDGFAKTLLDLDKRLHGKASMEWQQRRPTMKGVWTVP >DRNTG_31045.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30583041:30586731:-1 gene:DRNTG_31045 transcript:DRNTG_31045.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDIESESFSWDALSSLHHTKYTTNADHSEDEFNKALEVTVNSGGVVFYALFNTMSTDDLSPNEAAAVIKFSTSRMATQSERLGYEFAKWLGVRTPQARVVHYSSTEWQCIKDATEKARGIAITVGDEVGELTCSELLEALELSRCLFLMNYIHGSHLLENSDAFSSQEAAENTAAALGRVFVLDLILRNEDRLPCRQLGWRGNSENLLFASNVISADMDIFDNAYDSATKIYKPRLIQYIQKERRSNSISGRLSHHKPDHMSLSFDAVAEIGHHRSTPSEVSSNASVTVIGDSHIVAIDSVVPRRPPAGKRVKDQEYYPKVVELMLNNTEFSSNLLYEVSGGKLGFPSTDDLVITDSCSSLSDIDMAAVVREYRVGFRAALRDLQGFHIFLLTVYQKLDGLFRVLLPIISKSSGESEKDEPGACDSPSHSAGCGFNYFSPAFKERATQEINAEFSDFEAQKSAYRSPPPGRRDSLDMNSPLSRENWNGRYSKGNGDTQRSLRLTMRLRDFNKFSKVDVELNKELEQWSELLRTDVIKLCQENNFNTGFFEGNDNNIIIDAYELKVRLEHILERIGLISDAANTEKPSLITSNLFIGGALAARSMYTLQHLGITHILCLCSNEIGQSDSQYPDMFNYRNFSIIDNEDEDIADLFEEASDFIDCVEYMGGKVLVHCFEGRSRSATVVLAYLMLRKGLTLLQAWNKLKKVHRRAQPNDGFAKTLLDLDKRLHGKASMEWQQRRPTMKVCPICGKNAGLSSSSLKLHLQKSHRKISSGSVDSAMTLEIQKTLEALKISRGGSISPTQKQPQSFIEAFAPHW >DRNTG_31045.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30583041:30586840:-1 gene:DRNTG_31045 transcript:DRNTG_31045.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDIESESFSWDALSSLHHTKYTTNADHSEDEFNKALEVTVNSGGVVFYALFNTMSTDDLSPNEAAAVIKFSTSRMATQSERLGYEFAKWLGVRTPQARVVHYSSTEWQCIKDATEKARGIAITVGDEVGELTCSELLEALELSRCLFLMNYIHGSHLLENSDAFSSQEAAENTAAALGRVFVLDLILRNEDRLPCRQLGWRGNSENLLFASNVISADMDIFDNAYDSATKIYKPRLIQYIQKERRSNSISGRLSHHKPDHMSLSFDAVAEIGHHRSTPSEVSSNASVTVIGDSHIVAIDSVVPRRPPAGKRVKDQEYYPKVVELMLNNTEFSSNLLYEVSGGKLGFPSTDDLVITDSCSSLSDIDMAAVVREYRVGFRAALRDLQGFHIFLLTVYQKLDGLFRVLLPIISKSSGESEKDEPGACDSPSHSAGCGFNYFSPAFKERATQEINAEFSDFEAQKSAYRSPPPGRRDSLDMNSPLSRENWNGRYSKGNGDTQRSLRLTMRLRDFNKFSKVDVELNKELEQWSELLRTDVIKLCQENNFNTGFFEGNDNNIIIDAYELKVRLEHILERIGLISDAANTEKPSLITSNLFIGGALAARSMYTLQHLGITHILCLCSNEIGQSDSQYPDMFNYRNFSIIDNEDEDIADLFEEASDFIDCVEYMGGKVLVHCFEGRSRSATVVLAYLMLRKGLTLLQAWNKLKKVHRRAQPNDGFAKTLLDLDKRLHGKASMEWQQRRPTMKGVWTVP >DRNTG_31045.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30583041:30586731:-1 gene:DRNTG_31045 transcript:DRNTG_31045.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPCSPGPELLESSGELVKPVPCDQAPEISLRERLGNASMLDIESESFSWDALSSLHHTKYTTNADHSEDEFNKALEVTVNSGGVVFYALFNTMSTDDLSPNEAAAVIKFSTSRMATQSERLGYEFAKWLGVRTPQARVVHYSSTEWQCIKDATEKARGIAITVGDEVGELTCSELLEALELSRCLFLMNYIHGSHLLENSDAFSSQEAAENTAAALGRVFVLDLILRNEDRLPCRQLGWRGNSENLLFASNVISADMDIFDNAYDSATKIYKPRLIQYIQKERRSNSISGRLSHHKPDHMSLSFDAVAEIGHHRSTPSEVSSNASVTVIGDSHIVAIDSVVPRRPPAGKRVKDQEYYPKVVELMLNNTEFSSNLLYEVSGGKLGFPSTDDLVITDSCSSLSDIDMAAVVREYRVGFRAALRDLQGFHIFLLTVYQKLDGLFRVLLPIISKSSGESEKDEPGACDSPSHSAGCGFNYFSPAFKERATQEINAEFSDFEAQKSAYRSPPPGRRDSLDMNSPLSRENWNGRYSKGNGDTQRSLRLTMRLRDFNKFSKVDVELNKELEQWSELLRTDVIKLCQENNFNTGFFEGNDNNIIIDAYELKVRLEHILERIGLISDAANTEKPSLITSNLFIGGALAARSMYTLQHLGITHILCLCSNEIGQSDSQYPDMFNYRNFSIIDNEDEDIADLFEEASDFIDCVEYMGGKVLVHCFEGRSRSATVVLAYLMLRKGLTLLQAWNKLKKVHRRAQPNDGFAKTLLDLDKRLHGKASMEWQQRRPTMKVCPICGKNAGLSSSSLKLHLQKSHRKISSGSVDSAMTLEIQKTLEALKISRGGSISPTQKQPQSFIEAFAPHW >DRNTG_31045.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30586128:30586731:-1 gene:DRNTG_31045 transcript:DRNTG_31045.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPCSPGPELLESSGELVKPVPCDQAPEISLRERLGNASMLDIESESFSWDALSSLHHTKYTTNADHSEDEFNKALE >DRNTG_31045.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30583041:30586731:-1 gene:DRNTG_31045 transcript:DRNTG_31045.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCECFQARVVHYSSTEWQCIKDATEKARGIAITVGDEVGELTCSELLEALELSRCLFLMNYIHGSHLLENSDAFSSQEAAENTAAALGRVFVLDLILRNEDRLPCRQLGWRGNSENLLFASNVISADMDIFDNAYDSATKIYKPRLIQYIQKERRSNSISGRLSHHKPDHMSLSFDAVAEIGHHRSTPSEVSSNASVTVIGDSHIVAIDSVVPRRPPAGKRVKDQEYYPKVVELMLNNTEFSSNLLYEVSGGKLGFPSTDDLVITDSCSSLSDIDMAAVVREYRVGFRAALRDLQGFHIFLLTVYQKLDGLFRVLLPIISKSSGESEKDEPGACDSPSHSAGCGFNYFSPAFKERATQEINAEFSDFEAQKSAYRSPPPGRRDSLDMNSPLSRENWNGRYSKGNGDTQRSLRLTMRLRDFNKFSKVDVELNKELEQWSELLRTDVIKLCQENNFNTGFFEGNDNNIIIDAYELKVRLEHILERIGLISDAANTEKPSLITSNLFIGGALAARSMYTLQHLGITHILCLCSNEIGQSDSQYPDMFNYRNFSIIDNEDEDIADLFEEASDFIDCVEYMGGKVLVHCFEGRSRSATVVLAYLMLRKGLTLLQAWNKLKKVHRRAQPNDGFAKTLLDLDKRLHGKASMEWQQRRPTMKGVWTVP >DRNTG_13671.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000630.1:3122:8926:-1 gene:DRNTG_13671 transcript:DRNTG_13671.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKEVQAVVERIQKFIVPPDVPPLKCRTYGCYLCDIWANNDAVKNAVHIQKGTVPEWIKCNDYLQYANDILSSVKYQYKLTSQGYRALVYR >DRNTG_13671.9 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000630.1:4407:8926:-1 gene:DRNTG_13671 transcript:DRNTG_13671.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEIGVIFNIPQPFTICTKRLSQVVRISHRHFTQIVQPCITDGQTIVSNFVQFLKGLKEEILEEIPFAAELLEDMNNMNHKQPGEAKERETINPNMNGDAQTEGGCQVSG >DRNTG_13671.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000630.1:3122:8926:-1 gene:DRNTG_13671 transcript:DRNTG_13671.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKEVQAVVERIQKFIVPPDVPPLKCRTYGCYLCDIWANNDAVKNAVHIQKGTVPEWIKCNDYLQYANDILSSVKYQYKLTSQGYRALVYR >DRNTG_13671.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000630.1:3122:8926:-1 gene:DRNTG_13671 transcript:DRNTG_13671.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKEVQAVVERIQKFIVPPDVPPLKCRTYGCYLCDIWANNDAVKNAVHIQKGTVPEWIKCNDYLQYANDILSSVKYQYKLTSQGYRALVYRVLVTQLQTTSQGRAWL >DRNTG_13671.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000630.1:3122:8926:-1 gene:DRNTG_13671 transcript:DRNTG_13671.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKEVQAVVERIQKFIVPPDVPPLKCRTYGCYLCDIWANNDAVKNAVHIQKGTVPEWIKCNDYLQYANDILSSVKYQYKLTSQGYRALVYR >DRNTG_13671.10 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000630.1:3122:6162:-1 gene:DRNTG_13671 transcript:DRNTG_13671.10 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKEVQAVVERIQKFIVPPDVPPLKCRTYGCYLCDIWANNDAVKNAVHIQKGTVPEWIKCNDYLQYANDILSSVKYQYKLTSQGYRALVYRVLVTQLQTTSQGRAWL >DRNTG_13671.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000630.1:3122:8926:-1 gene:DRNTG_13671 transcript:DRNTG_13671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKEVQAVVERIQKFIVPPDVPPLKCRTYGCYLCDIWANNDAVKNAVHIQKGTVPEWIKCNDYLQYANDILSSVKYQYKLTSQGYRALVYR >DRNTG_13671.11 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000630.1:3122:5613:-1 gene:DRNTG_13671 transcript:DRNTG_13671.11 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKEVQAVVERIQKFIVPPDVPPLKCRTYGCYLCDIWANNDAVKNAVHIQKGTVPEWIKCNDYLQYANDILSSVKYQYKLTSQGYRALVYR >DRNTG_13671.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000630.1:3122:8926:-1 gene:DRNTG_13671 transcript:DRNTG_13671.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKEVQAVVERIQKFIVPPDVPPLKCRTYGCYLCDIWANNDAVKNAVHIQKGTVPEWIKCNDYLQYANDILSSVKYQYKLTSQGYRALVYRVLVTQLQTTSQGRAWL >DRNTG_13671.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000630.1:3122:8926:-1 gene:DRNTG_13671 transcript:DRNTG_13671.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKEVQAVVERIQKFIVPPDVPPLKCRTYGCYLCDIWANNDAVKNAVHIQKGTVPEWIKCNDYLQYANDILSSVKYQYKLTSQGYRALVYRVLVTQLQTTSQGRAWL >DRNTG_13671.16 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000630.1:3122:4191:-1 gene:DRNTG_13671 transcript:DRNTG_13671.16 gene_biotype:protein_coding transcript_biotype:protein_coding MQAYVTQENVLLGTLTVRETITYSAHLRLPTTMRKKEVQAVVERIQKFIVPPDVPPLKCRTYGCYLCDIWANNDAVKNAVHIQKGTVPEWIKCNDYLQYANDILSSVKYQYKLTSQGYRALVYR >DRNTG_13671.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000630.1:3122:8926:-1 gene:DRNTG_13671 transcript:DRNTG_13671.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKEVQAVVERIQKFIVPPDVPPLKCRTYGCYLCDIWANNDAVKNAVHIQKGTVPEWIKCNDYLQYANDILSSVKYQYKLTSQGYRALVYR >DRNTG_00689.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30055441:30059092:-1 gene:DRNTG_00689 transcript:DRNTG_00689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPERFMSHEEGDRGHTKSLLLNVQDNSETAATSEEISHIQHGKLPKLSIEIPSTSVQDASIDFVALNMPLTSNCASPNVNPFPTKSPTSFNRKHKSPDISSYKPKPSIKKILLPRLSFKFRSPVSEIDKCDVIVQDDSSATSSEKPSVLRSLSFTKLFSSTPRGSSSLPVTPVAHLHGMFSLRTTPVGTSMSDRKEFKKKIHRSLSLPAVAKPKNIKRMDSLGNMYRVIPTPRIVDMSCATPEIIASPDHESNDDGEDIAEEEAVCRICMIELCEGGDTLKLECSCKGELALAHKECALKWFILRGSKNCEVCKQEVKNLPVTLLRIHNIQAAVVQTGTISQRIVCNYGVFQEMPVLVFVSMLAYFCFLEQLLVADNGFTALAIAVPFSFILGLLASMTSSTMVAGTCTWIYSSVQFVLVVLFAHLFYSMFHMQSIMSVVLATFTGFAITMCGSSIIVEFLRWRIRLHA >DRNTG_00689.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30055441:30059092:-1 gene:DRNTG_00689 transcript:DRNTG_00689.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPERFMSHEEGDRGHTKSLLLNVQDNSETAATSEEISHIQHGKLPKLSIEIPSTSVQDASIDFVALNMPLTSNCASPNVNPFPTKSPTSFNRKHKSPDISSYKPKPSIKKILLPRLSFKFRSPVSEIDKCDVIVQDDSSATSSEKPSVLRSLSFTKLFSSTPRGSSSLPVTPVAHLHGMFSLRTTPVGTSMSDRKEFKKKIHRSLSLPAVAKPKNIKRMDSLGNMYRVIPTPRIVDMSCATPEIIASPDHESNDDGEDIAEEEAVCRICMIELCEGGDTLKLECSCKGELALAHKECALKWFILRGSKNCEVCKQEVKNLPVTLLRIHNIQAAVVQTGTISQRIVCNYGVFQEMPVLVFVSMLAYFCFLEQLLVADNGFTALAIAVPFSFILGLLASMTSSTMVAGTCTWIYSSVQFVLVVLFAHLFYSMFHMQSIMSVVLATFTGFAITMCGSSIIVEFLRWRIRLHA >DRNTG_00689.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30055441:30059092:-1 gene:DRNTG_00689 transcript:DRNTG_00689.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPERFMSHEEGDRGHTKSLLLNVQDNSETAATSEEISHIQHGKLPKLSIEIPSTSVQDASIDFVALNMPLTSNCASPNVNPFPTKSPTSFNRKHKSPDISSYKPKPSIKKILLPRLSFKFRSPVSEIDKCDVIVQDDSSATSSEKPSVLRSLSFTKLFSSTPRGSSSLPVTPVAHLHGMFSLRTTPVGTSMSDRKEFKKKIHRSLSLPAVAKPKNIKRMDSLGNMYRVIPTPRIVDMSCATPEIIASPDHESNDDGEDIAEEEAVCRICMIELCEGGDTLKLECSCKGELALAHKECALKWFILRGSKNCEVCKQEVKNLPVTLLRIHNIQAAVVQTGTISQRIVCNYGVFQEMPVLVFVSMLAYFCFLEQLLVADNGFTALAIAVPFSFILGLLASMTSSTMVAGTCTWIYSSVQFVLVVLFAHLFYSMFHMQSIMSVVLATFTGFAITMCGSSIIVEFLRWRIRLHA >DRNTG_08414.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4917656:4920670:1 gene:DRNTG_08414 transcript:DRNTG_08414.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein CXXS1 [Source:Projected from Arabidopsis thaliana (AT1G11530) UniProtKB/Swiss-Prot;Acc:Q8LDI5] MEAEEEGGVSSKVLKVDSEEAWDSFITQANTQGIPVFVHFTAAWCVPSIAMNAFFEELAIKYQNIIMFLLVDVDDVKLLRRWR >DRNTG_23077.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8594143:8597673:1 gene:DRNTG_23077 transcript:DRNTG_23077.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPDIAPPRTIVSSAYEISTRPCGFSVLQLSRPAVNSAATLLPVVSLHCYATGQMHIPVSSQDGEEILCRETHALAEIPHGRGPSQGRLQGQVHAPVLSQDEL >DRNTG_15522.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8789378:8790088:-1 gene:DRNTG_15522 transcript:DRNTG_15522.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSITPLGELTETIPRRPRRGGLLVGEGDHPRFPVDFSADFVPRRIVLTTCSPRWLILTKASSSAL >DRNTG_30088.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22863571:22864915:1 gene:DRNTG_30088 transcript:DRNTG_30088.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Presenilin-like protein At2g29900 [Source:Projected from Arabidopsis thaliana (AT2G29900) UniProtKB/Swiss-Prot;Acc:Q9SIK7] MAETLEEPPTLLSTIGEEIIRIIAPVSACMLLVVLLVSILSSPSSSSSDSPLPTIVFSDDSATTTSSLWDKLKDAILSSIAFVATVTILTFLLVLLFYFRCTGFLKYYMAFSSFIVLAFLGGEVTLFLIDKFSFPIDSVTFFILLLNFSVVGVIAIFMSRMAIIITQSYLVFIGVLVAYWFTMLPEWTTWALLISMALYDLAAVLLPGGPLRLLVELAISRNEEIPALVYEARPVDHHTVSSRGLWRSSALNSNGDSIRETLPESRVVVAEDTGNSSEVTAPLISSVMSEHRGEDNSMDGIGLGASGAIKLGLGDFIFYSVLVGRAALYDFMTVYACYLAIIAGLGITLLLLAFYRRALPALPVSILLGVLFYVLTRLLLESFVVQCSTNLLMF >DRNTG_30088.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22863571:22865938:1 gene:DRNTG_30088 transcript:DRNTG_30088.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Presenilin-like protein At2g29900 [Source:Projected from Arabidopsis thaliana (AT2G29900) UniProtKB/Swiss-Prot;Acc:Q9SIK7] MAETLEEPPTLLSTIGEEIIRIIAPVSACMLLVVLLVSILSSPSSSSSDSPLPTIVFSDDSATTTSSLWDKLKDAILSSIAFVATVTILTFLLVLLFYFRCTGFLKYYMAFSSFIVLAFLGGEVTLFLIDKFSFPIDSVTFFILLLNFSVVGVIAIFMSRMAIIITQSYLVFIGVLVAYWFTMLPEWTTWALLISMALYDLAAVLLPGGPLRLLVELAISRNEEIPALVYEARPVDHHTVSSRGLWRSSALNSNGDSIRETLPESRVVVAEDTGNSSEVTAPLISSVMSEHRGEDNSMDGIGLGASGAIKLGLGDFIFYSVLVGRAALYDFMTVYACYLAIIAGLGITLLLLAFYRRALPALPVSILLGVLFYVLTRLLLESFVVQCSTNLLMF >DRNTG_30088.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22863571:22865223:1 gene:DRNTG_30088 transcript:DRNTG_30088.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Presenilin-like protein At2g29900 [Source:Projected from Arabidopsis thaliana (AT2G29900) UniProtKB/Swiss-Prot;Acc:Q9SIK7] MAETLEEPPTLLSTIGEEIIRIIAPVSACMLLVVLLVSILSSPSSSSSDSPLPTIVFSDDSATTTSSLWDKLKDAILSSIAFVATVTILTFLLVLLFYFRCTGFLKYYMAFSSFIVLAFLGGEVTLFLIDKFSFPIDSVTFFILLLNFSVVGVIAIFMSRMAIIITQSYLVFIGVLVAYWFTMLPEWTTWALLISMALYDLAAVLLPGGPLRLLVELAISRNEEIPALVYEARPVDHHTVSSRGLWRSSALNSNGDSIRETLPESRVVVAEDTGNSSEVTAPLISSVMSEHRGEDNSMDGIGLGASGAIKLGLGDFIFYSVLVGRAALYDFMTVYACYLAIIAGLGITLLLLAFYRRALPALPVSILLGVLFYVLTRLLLESFVVQCSTNLLMF >DRNTG_24077.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26413078:26413863:1 gene:DRNTG_24077 transcript:DRNTG_24077.1 gene_biotype:protein_coding transcript_biotype:protein_coding YKPHNHRPFFCYLLSLHSIMAYRNNFIHLLLFFLLFSFIISLPLSESLGIRKHGFRDSKHKVIGRQVMDVEKEEEEMMMMKRMDFEINDYPGSGANNRHDPKTPAAP >DRNTG_19337.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5278765:5284419:1 gene:DRNTG_19337 transcript:DRNTG_19337.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTSRNGICKATWHISIPYAIRDAGYSVISA >DRNTG_10635.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:266275:269083:-1 gene:DRNTG_10635 transcript:DRNTG_10635.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPa2 [Source:Projected from Arabidopsis thaliana (AT2G18230) UniProtKB/TrEMBL;Acc:A0A178VY12] MAGSSENSSHSSFPSAVLNERILSSMTHKSVAAHPWHDLEIGPGAPSVFNCVIEISKGSKVKYELDKASGLIKIDRVLYSSVVYPHNYGFIPRTICEDGDPMDVLVLMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEFRHYKDIKDLAPHRLAEIRRFFEDYKKNENKEVAVNDFLPAEDAVKAIKYSMDLYAAYIVEGLRQ >DRNTG_02944.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1327865:1334325:1 gene:DRNTG_02944 transcript:DRNTG_02944.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEGGGVRSDVVKRESWRTVLTLAYQSLGVVYGDLSTSPLYVYKSTFAEDIEHSESNEEIYGVLSFVFWTLTLIPLLKYVFIVLRADDNGEGGTFALYSLLCRHARVGFLPNCQLADEELSAYKKTDGGPHLSNGEIAATVNSSEASSMKKLLEKHQVLQRLLLILALIGTCMVIGDGILTPAISVFSAVSGLELSMAKEHHKCVEVPVTCFILVCLFALQHYGTHRVGFLFAPIVVMWLLCISLIGVYNILHWNPHVYQALSPYYMYKFLRKTQRDGWMSLGGILLCITGSEAMFADLGHFSQLSIKIAFTTIVYPSLILAYMGQAAYLSRHHIIESDYRIGFYVSVPEQIRWPVLAIAILAAVVGSQAIITGTFSIIKQCSALGCFPRVKIVHTSSKFHGQIYIPEINWILMILCLAVTIGFRDTKRMGNASGLAVITVMLVTTCLMSLVMVLCWNKSILLALCFILFFGTIEALYFSASLIKFLEGAWVPIALAFIFMIIMYVWHYGTLKKYEFDVQNKVSINWLLGLGPTLGIVRVHGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLVFLCIKSVPVPYVHPGERFLVGRIGPKEFRLYRCIVRYGYRDVHKDDLEFEKDLVCSIAEFIRTETSTSLEEPEKDDERMAVVGEGFRLCEENGIPDDEAGPSNSREIRSPVIVSRKKKVRFVLPESPEINIAVREELQELMEAREAGMAFILGHSHMRAKSGSSLLKRLVIDVAYDFLRRNCRGPDYAVSIPHASTLEVGMIYHV >DRNTG_02944.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1327865:1334325:1 gene:DRNTG_02944 transcript:DRNTG_02944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEGGGVRSDVVKRESWRTVLTLAYQSLGVVYGDLSTSPLYVYKSTFAEDIEHSESNEEIYGVLSFVFWTLTLIPLLKYVFIVLRADDNGEGGTFALYSLLCRHARVGFLPNCQLADEELSAYKKTDGGPHLSNGEIAATVNSSEASSMKKLLEKHQVLQRLLLILALIGTCMVIGDGILTPAISVFSAVSGLELSMAKEHHKCVEVPVTCFILVCLFALQHYGTHRVGFLFAPIVVMWLLCISLIGVYNILHWNPHVYQALSPYYMYKFLRKTQRDGWMSLGGILLCITGSEAMFADLGHFSQLSIKIAFTTIVYPSLILAYMGQAAYLSRHHIIESDYRIGFYVSVPEQIRWPVLAIAILAAVVGSQAIITGTFSIIKQCSALGCFPRVKIVHTSSKFHGQIYIPEINWILMILCLAVTIGFRDTKRMGNASGLAVITVMLVTTCLMSLVMVLCWNKSILLALCFILFFGTIEALYFSASLIKFLEGAWVPIALAFIFMIIMYVWHYGTLKKYEFDVQNKVSINWLLGLGPTLGIVRVHGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLVFLCIKSVPVPYVHPGERFLVGRIGPKEFRLYRCIVRYGYRDVHKDDLEFEKDLVCSIAEFIRTETSTSLEEPEKDDERMAVVGEGFRLCEENGIPDDEAGPSNSREIRSPVIVSRKKKVRFVLPESPEINIAVREELQELMEAREAGMAFILGHSHMRAKSGSSLLKRLVIDVAYDFLRRNCRGPDYAVSIPHASTLEVGMIYHV >DRNTG_02944.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1327865:1334325:1 gene:DRNTG_02944 transcript:DRNTG_02944.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEHHKCVEVPVTCFILVCLFALQHYGTHRVGFLFAPIVVMWLLCISLIGVYNILHWNPHVYQALSPYYMYKFLRKTQRDGWMSLGGILLCITGSEAMFADLGHFSQLSIKIAFTTIVYPSLILAYMGQAAYLSRHHIIESDYRIGFYVSVPEQIRWPVLAIAILAAVVGSQAIITGTFSIIKQCSALGCFPRVKIVHTSSKFHGQIYIPEINWILMILCLAVTIGFRDTKRMGNASGLAVITVMLVTTCLMSLVMVLCWNKSILLALCFILFFGTIEALYFSASLIKFLEGAWVPIALAFIFMIIMYVWHYGTLKKYEFDVQNKVSINWLLGLGPTLGIVRVHGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLVFLCIKSVPVPYVHPGERFLVGRIGPKEFRLYRCIVRYGYRDVHKDDLEFEKDLVCSIAEFIRTETSTSLEEPEKDDERMAVVGEGFRLCEENGIPDDEAGPSNSREIRSPVIVSRKKKVRFVLPESPEINIAVREELQELMEAREAGMAFILGHSHMRAKSGSSLLKRLVIDVAYDFLRRNCRGPDYAVSIPHASTLEVGMIYHV >DRNTG_14822.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:17079301:17084672:-1 gene:DRNTG_14822 transcript:DRNTG_14822.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDLTLVNLQQLHFLDLSCNDFNGIRIPEFMSSFQKLEYLSLFEAVFTGIVLVMKC >DRNTG_31910.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18758179:18758816:-1 gene:DRNTG_31910 transcript:DRNTG_31910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIMRMVPKPRGAGTVVFSTPLMASSRHILMMSLLLLTQDADTITVAIHRPAQDSMNVVVHMSGKTRGLRHPRLILSLSTQRSVCSLR >DRNTG_04431.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000210.1:209880:211517:-1 gene:DRNTG_04431 transcript:DRNTG_04431.1 gene_biotype:protein_coding transcript_biotype:protein_coding QSNIPKCSYTTHHFNLNHDNNHSLREYTSHTQHKANQHI >DRNTG_04431.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000210.1:210670:211517:-1 gene:DRNTG_04431 transcript:DRNTG_04431.2 gene_biotype:protein_coding transcript_biotype:protein_coding QSNIPKCSYTTHHFNLNHDNNHSLREYTSHTQHKANQHI >DRNTG_30068.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22720145:22723761:1 gene:DRNTG_30068 transcript:DRNTG_30068.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDMGAYTPYSTFYRTQDESKATVKWILRDIEALGDKPALVSHIGDISYARGFSWIWDEFFNQIEPIASRVPYHVCIGNHEYDWPSQPWRPYWAYGVYGTDGGGECGVPYSLKFRMPGNSSFPTGTQAPDTKNLYYSFDAGVVHFLYISTETNFLKGSDQYNFIKADLEGVDREKTPFIVVQGHRPMYTTSNEIRDAPMRERLLESLEPLLVDNNVTLALWGHVHRYERFCPLKNYTCVDYSSNSTAWGAPVHVVIGMAGQDWQPIWEPRPDHPDVPIFPQPERSMYRGGEFGYTRLVATREKLTLTYIGNHDGEMHDMVEIPSGIVVAKDEGNVQVKVGDVAVPVESSHSLYLKAGGILILGLFIGFALGFATRCRRDAVPVTRNTWTPVKNEEI >DRNTG_30068.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22720145:22723761:1 gene:DRNTG_30068 transcript:DRNTG_30068.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSKLLPLLLLLFLLPSHSLAASISATPLTLTKSGRTITIRWSGIDSPSELDWLGIYSPSNSPDDHFIGYRFLNGSETWRSGSGSISIPLVNTRSDYEFRIFRWTRDEVNYRHHDHDHNPLPGIRHRLASSAVVRFENASAPDQVHLALTDRVGEMRVLFVTGKGLEAVVEYGSDSGLAVGRRVAATAVTRYERSDMCDSPANSSLGWRDPGFIHDGLMAGLNPGKRYYYRVGSDVIGWSDIYSFVSPDSNETIAFMFGDMGAYTPYSTFYRTQDESKATVKWILRDIEALGDKPALVSHIGDISYARGFSWIWDEFFNQIEPIASRVPYHVCIGNHEYDWPSQPWRPYWAYGVYGTDGGGECGVPYSLKFRMPGNSSFPTGTQAPDTKNLYYSFDAGVVHFLYISTETNFLKGSDQYNFIKADLEGVDREKTPFIVVQGHRPMYTTSNEIRDAPMRERLLESLEPLLVDNNVTLALWGHVHRYERFCPLKNYTCVDYSSNSTAWGAPVHVVIGMAGQDWQPIWEPRPDHPDVPIFPQPERSMYRGGEFGYTRLVATREKLTLTYIGNHDGEMHDMVEIPSGIVVAKDEGNVQVKVGDVAVPVESSHSLYLKAGGILILGLFIGFALGFATRCRRDAVPVTRNTWTPVKNEEI >DRNTG_30068.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22720082:22723761:1 gene:DRNTG_30068 transcript:DRNTG_30068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSKLLPLLLLLFLLPSHSLAASISATPLTLTKSGRTITIRWSGIDSPSELDWLGIYSPSNSPDDHFIGYRFLNGSETWRSGSGSISIPLVNTRSDYEFRIFRWTRDEVNYRHHDHDHNPLPGIRHRLASSAVVRFENASAPDQVHLALTDRVGEMRVLFVTGKGLEAVVEYGSDSGLAVGRRVAATAVTRYERSDMCDSPANSSLGWRDPGFIHDGLMAGLNPGKRYYYRVGSDVIGWSDIYSFVSPDSNETIAFMFGDMGAYTPYSTFYRTQDESKATVKWILRDIEALGDKPALVSHIGDISYARGFSWIWDEFFNQIEPIASRVPYHVCIGNHEYDWPSQPWRPYWAYGVYGTDGGGECGVPYSLKFRMPGNSSFPTGTQAPDTKNLYYSFDAGVVHFLYISTETNFLKGSDQYNFIKADLEGVDREKTPFIVVQGHRPMYTTSNEIRDAPMRERLLESLEPLLVDNNVTLALWGHVHRYERFCPLKNYTCVDYSSNSTAWGAPVHVVIGMAGQDWQPIWEPRPDHPDVPIFPQPERSMYRGGEFGYTRLVATREKLTLTYIGNHDGEMHDMVEIPSGIVVAKDEGNVQVKVGDVAVPVESSHSLYLKAGGILILGLFIGFALGFATRCRRDAVPVTRNTWTPVKNEEI >DRNTG_02359.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12827957:12831265:-1 gene:DRNTG_02359 transcript:DRNTG_02359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEITRDTEPPHSQLTSDKGKKKMALKQEAIVERGMEEEQIEQVNMTQSSDSKRSKFARIWSVQEELDLLNVCERYKRKKAPEFKLHGKHSLDSLLDYMKKKSPHLSDKYEKQQIFHKLRNMRRKYLKKILGEGPSRNDPFELELYELSRKIWYKEKENEKENKKENEKEKENDNENEKRFPCLTRAVEQCSSGFPYVFQGSKMVDMIDPLKAEKLEKEWAKFQNYALSHWTLLMRKTLDLLIETAKKSDRKKRSILM >DRNTG_02359.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12828874:12831265:-1 gene:DRNTG_02359 transcript:DRNTG_02359.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEITRDTEPPHSQLTSDKGKKKMALKQEAIVERGMEEEQIEQVNMTQSSDSKRSKFARIWSVQEELDLLNVCERYKRKKAPEFKLHGKHSLDSLLDYMKKKSPHLSDKYEKQQIFHKLRNMRRKYLKKILGEGPSRNDPFELELYELSRKIWYKEKENEKENKKENEKEKENDNENEKRFPCLTRAVEQCSSGFPYVFQGSKMVDMIDPLKAEKLEKEWAKFQNYALSHWTLLMRKTLDLLIETAKKSDRKKRSILM >DRNTG_32871.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001927.1:18253:18659:-1 gene:DRNTG_32871 transcript:DRNTG_32871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSEAMVDDQIPLLPPIKTSAVIEEKHIIDVSKECVTPKFDDCILKPSLICPPAPRKPRRMKRKSRGASRQFFVVPTDLSSVFLALPVAKKQRIQEWDELELHT >DRNTG_00699.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30000703:30002120:-1 gene:DRNTG_00699 transcript:DRNTG_00699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTLREMMAWCPVLFQCWNQIISEGFLLLYQQLLIIVTVRGLTAMRRLLLQHHQQQLVVVLEQSGLGLLLLHHLLLLLLSPRVLVVESFNDQPVMNKPKNKKRKKNAVEDIDQDHDGDKQQQQQQQQQQCSVVRKCMHCGIQKTPQWRAGPMGPKTLCNACGVRV >DRNTG_20696.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1686257:1689490:-1 gene:DRNTG_20696 transcript:DRNTG_20696.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRASLALSLRSPAPEPFSFGGDGAVVALPSLSSVLRLSKKGPRDGALVAMATDSRTLSGVVFEPFEELKREGSHLVPLSPHESLTRQCYSHDCETAINVQINVEYNVSYVYHALYAYFDRDNVALKGFAKFFKESSEEEREHAEKLMKYQNKRGGRVKLHSIVWPPSEFDHPEKGDALYAMELALSLEKLTNEKLLHLQSVACNNNDPQMQDFVESEFLGEQVEAIKKISDYVSQLRRVGKGHGVWHFDQMLLHDEEEEAVA >DRNTG_11849.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:134753:137777:1 gene:DRNTG_11849 transcript:DRNTG_11849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSQRKVHPDCINASNPYHNCLDYCFSKIAEAKAQEQHQYTGRNREPAERTVHPKCINASNPFHECVEYCFRRIAEAVNQNKTDASEAEEAEDEGLLPLGTAHKSEPSSDERANLSEQNDDGDKRPEDAELGYAQLDERQKKLFELRLKMNEVRKANQMAMVAEKKKMEAPPESRGVSKQKWLEDRKKKIGKLLDSNGLEMSKAYMLDTQEMAEAKYKKWEKDPAPFGWDVFNQKTLYDAYKKRTKNIECDMEAYNKAKEVDPEFYRDASSLQYGKVSKVAEDDIDRMVKELQDRDAKRKAFSRRRRFHEEKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >DRNTG_22528.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3550030:3556675:1 gene:DRNTG_22528 transcript:DRNTG_22528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSILIGFLFGVLAVVGAEAFFVVFAVGHLRRRKRPAQTEAEEERTEARDLDAEQSLAFLCNKQGTVWVLEPEKVPKVVPNESTTKGTKEQKKKEVVEVFPVKKQAKIKDHMLFLSDPDGPHVTIPLRECAIVAVSASGLSSRKWAKRYPIRLESKKSEIYDGSKVLHIYLDTSWEKESWCKALRLASCPDNKCLKWSFKLTEDFQCYLTLLNAVYPSFLKPSALLGEPSEKTNKIDGSSSKVRLFLKKLAKKSTKSGDYKANSASSSVRGERSMDVRSRSLQDVSLTSGLVKTLSDKNASNSFQDFVQPMPISSGQLGNKNQIPAFSDTICEEKFIGDEGTLGLNLLFSRLFFDAKRSDEIKDFIKARIQRALSGMRIPSYIGGLTCAGFDIGTLPPYVHSIKVLPVDLNEVWAVEVDLEYSGGILLDIETRLEVCAPELQKGIIGTGLEPSSSEQVTADLLDGIEYYGSQLKSHSGIPADQVENGEDSDKIDELKQTKSSKWTATYVSRWKAIMHSIADQVSQVPLSLAIKISSLRGTLRLYIKPPPSDQLWFAFTSMPEIAWNLDSAVGDRKITSSHVALLIGNRVKAAIRDSIVLPNCECVCIPWMLAEKDDWVPRKVAPFIWVNQEAMDGTESEASSLQSEEVKIRPDGSNIPKALDAASPGDEADDVKNVIHVQKPLDEVQSENSASKADQFSSGSSSQSPQSQKSDDLRVPLLRDYATPESRQKTLDSLDTSPSTQLAITDDPIERNLEDVKPKKIGSRRARMMDLGKKMGEKFEEKRRHIEEKSRHIVEKMRENART >DRNTG_22528.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3550030:3556675:1 gene:DRNTG_22528 transcript:DRNTG_22528.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSILIGFLFGVLAVVGAEAFFVVFAVGHLRRRKRPAQTEAEEERTEARDLDAEQSLAFLCNKQGTVWVLEPEKVPKVVPNESTTKGTKEQKKKEVVEVFPVKKQAKIKDHMLFLSDPDGPHVTIPLRECAIVAVSASGLSSRKWAKRYPIRLESKKSEIYDGSKVLHIYLDTSWEKESWCKALRLASCPDNKCLKWSFKLTEDFQCYLTLLNAVYPSFLKPSALLGEPSEKTNKIDGSSSKVRLFLKKLAKKSTKSGDYKANSASSSVRGERSMDVRSRSLQDVSLTSGLVKTLSDKNASNSFQDFVQPMPISSGQLGNKNQIPAFSDTICEEKFIGDEGTLGLNLLFSRLFFDAKRSDEIKDFIKARIQRALSGMRIPSYIGGLTCAGFDIGTLPPYVHSIKVLPVDLNEVWAVEVDLEYSGGILLDIETRLEVCAPELQKGIIGTGLEPSSSEQVTADLLDGIEYYGSQLKSHSGIPADQVENGEDSDKIDNLPSISDELKQTKSSKWTATYVSRWKAIMHSIADQVSQVPLSLAIKISSLRGTLRLYIKPPPSDQLWFAFTSMPEIAWNLDSAVGDRKITSSHVALLIGNRVKAAIRDSIVLPNCECVCIPWMLAEKDDWVPRKVAPFIWVNQEAMDGTESEASSLQSEEVKIRPDGSNIPKALDAASPGDEADDVKNVIHVQKPLDEVQSENSASKADQFSSGSSSQSPQSQKSDDLRVPLLRDYATPESRQKTLDSLDTSPSTQLAITDDPIERNLEDVKPKKIGSRRARMMDLGKKMGEKFEEKRRHIEEKSRHIVEKMRENART >DRNTG_14407.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:17434949:17435374:1 gene:DRNTG_14407 transcript:DRNTG_14407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEESIKVGDEAPPKPQRPLLQTTTSIMCPHVPMWIFHAPTWAAGTSTRAREQ >DRNTG_08760.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18533345:18534021:-1 gene:DRNTG_08760 transcript:DRNTG_08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKRLSRPSPSFRLRSSSLNAVRLRRVFDLFDHNGDGEITVEELGLALDRLGLGADPTDLRFTVETYIREGRKGLAFEDFEKLHSALGDALFGPVGEEGEDGDGEMEEAFKVFDEDGDGFISEAELQAVLAKLGLVEGRSMACVHEMICSVDRNHDGRVDFNEFKGMMRSISLKSA >DRNTG_07628.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000344.1:26745:30708:1 gene:DRNTG_07628 transcript:DRNTG_07628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSIYGPDSIYRSPRPPLHFPSDPNLSMLSFLSATAARHPHRTALADADSGETLSFSDLLSLIPRIALGLSRRLGVTRGSVVLLFAPNSTDFPACFLAITALGAIATTVNPLYTVPELSKQAKDSGTSVVITVPDLWPKVQHLRLPTIFLSPPIPSFDSGSIPITFFSDLIAYDASEFIPPPVRQTDVAALLYSSGTTGANKGVILTHRNFICTAMMASQDQDVLGDPPNVFLCFLPLFHIFGLSVITYAQLSRGNSVVVMKRFEMEMVLKSIERFKVTHMYVVPPVMIALAKQGKSTKYDLSSLTFLGSGAAPLGKDVMEEVAKAIPKAEIIQGYGLTESCGIISVEYSFGESRQFGSTGHLVAGVEGKVVSVDTLQLLPPNQLGELCFRGPNMMQGYFKNPQATNLTLKDGWLHTGDLGYFDDNGQLFVVDRIKELIKYKGFQVAPAELEGLLLSHPEILDAVVIPFPDAEAGEVPIAYVVRSPQSSLTEEDVQKFIADQVAPFKRLRRVTFVNSIPKSASGKILRRELIAKVKAKL >DRNTG_08150.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000367.1:103949:105663:1 gene:DRNTG_08150 transcript:DRNTG_08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSYDRASEIKAFDETKAGVKGLVDAGITKVPRFFIHPTETMSNSTTELKIPVIDMKNINTRKKEIVEKVKEASETIGFFQVANHGVPNKVMDEMLDAIKRFIEDDEEVKKNYYTRDHKRNVVFNCNFHLYSSPAANWRDTLILRMAPDPPEKEEVPHAFREIVFEYSFHMKKLGEVLFELISEALGLKPDHLKEMECAQGIGVACHYYPPCPEPHLAHGICKHSDPGFLTILLQDKSIGGLQILHKNKWVDVPPSPGCLIINIADLLQLISNDKLKSVEHKVLASKEGPRLSVACFFVTPYSPSSKLYGPIMELLANGSAPIYREVVIDEFNKHYNSKGLDGKSALDHFKL >DRNTG_18781.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000973.1:10641:12046:-1 gene:DRNTG_18781 transcript:DRNTG_18781.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELVKLCFAPCCSCLQSPVVREDMSYVFCTKENLVTLERAMKDLMVKKKDVERERDLPQK >DRNTG_24994.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27165925:27171872:1 gene:DRNTG_24994 transcript:DRNTG_24994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVETSEVETLVPLLHSETTEKPRGGGLLSEVDIPPWRDQITIRGLLVSALLGSLFCIITHKLNLTVGVIPSLNVAAGLLGFFFVKSWTGFLQKLGFSGKPFTRQENTVIQTCVVACYGLAFSGGFGSYMLSMDQKTYELIGPDYPGNRAEDVKNPSLGWMIGFMFVVSFLGLFSLVALRKVMVIDYKLTYPSGTATALLINSFHTNTGAELAGKQVHCLGKYLSISFFWSCFKWFFSGVGDSCGFDNFPSLGLEAFKNTFYFDFSPTYIGCGLICPHIVNCSVLLGAIISWGFLWPFIAQRAGDWYPDNLEANDFKGLYGYKVFIALSLILGDGIYNLIKILYVSSKAMCNVQSKESSLPIANQKDDEDEDSMLSEEDKLRNEVFVRDSIPPWLAASGYVALAAISTATIPIIFPQIPWYLVLVCYILAPALAFCNSYGTGLTDWNLSSTYGKIGLFIFASLVGSNGGVIAGLAACGVMMSIVSTAADLMQDFKTGYLTLSSPRSMFVSQLIGTALGCVIAPLTFWLYWKAFDIGSPDGVYKAPYAVIYREMAILGIKGFSSLPKHCLEICCVFFLLAIVINLARDVTPPKVSKFIPIPMAMAVPFYIGAYFAIDMFVGTVILFVWERINKKGADDFAGAMASGLICGDGIWTVPSAILSIFRIDPPICMSFGPSST >DRNTG_26973.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11293924:11298610:1 gene:DRNTG_26973 transcript:DRNTG_26973.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLGPFWLVNSTIYAEVGDKVVGVVHRRWHVWRRIYDLYLGNKQFAMVENPGFWNWTFTLKDDEENVLAQIDRDWRGLGLELFTDAGQYVIRFGNPGSSPPFGQTSVIPELEVARPLSLTERAVTVALAVSLDTDYFSRSGGWGLPILIAGE >DRNTG_26973.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11293924:11298610:1 gene:DRNTG_26973 transcript:DRNTG_26973.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVENPGFWNWTFTLKDDEENVLAQIDRDWRGLGLELFTDAGQYVIRFGNPGSSPPFGQTSVIPELEVARPLSLTERAVTVALAVSLDTDYFSRSGGWGLPILIAGE >DRNTG_26973.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11293924:11298610:1 gene:DRNTG_26973 transcript:DRNTG_26973.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLRTRRPFIASITDSIGNEIFRIRRPFWLVNSTIYAEVGDKVVGVVHRRWHVWRRIYDLYLGNKQFAMVENPGFWNWTFTLKDDEENVLAQIDRDWRGLGLELFTDAGQYVIRFGNPGSSPPFGQTSVIPELEVARPLSLTERAVTVALAVSLDTDYFSRSGGWGLPILIAGE >DRNTG_26973.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11293924:11298610:1 gene:DRNTG_26973 transcript:DRNTG_26973.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLGPFWLVNSTIYAEVGDKVVGVVHRRWHVWRRIYDLYLGNKQFAMVENPGFWNWTFTLKDDEENVLAQIDRDWRGLGLELFTDAGQYVIRFGNPGSSPPFGQTSVIPELEVARPLSLTERAVTVALAVSLDTDYFSRSGGWGLPILIAGE >DRNTG_26973.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11290521:11293542:1 gene:DRNTG_26973 transcript:DRNTG_26973.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRPPAPASSPPSAGRCRPAVSITHSGSSMATRALPLGIRIRL >DRNTG_26973.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11290521:11298610:1 gene:DRNTG_26973 transcript:DRNTG_26973.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAGVNGGKAAGPGLLAAICRKVQTSRLNHPLRIVNGHSSSAARNTYKIMRLIPKLFGQPSAELNKTMKISVVQSSDGRSVISGIFDYLQDWAKGSTMSGVKGCPAGVMNLSTDFVYPCRNEAFHYTYRPISALSLYLLQSNRRSSSFQLLNPSTSFQSKFGQSIRMTHSLTRSWSVKLWAAEKEEKLQRGKRKHRIAKPALGENTIFETLSSFQLPSLKSVLKRPGPLEHQRQINRTINRLPLTQFDEGFPSPSSSEEVQLAPLLARPNLLITRDIEWANIMFAIEQESRYIIVDPNYPHSPVGYIREQSNVLYRQLLRTRRPFIASITDSIGNEIFRIRRPFWLVNSTIYAEVGDKVVGVVHRRWHVWRRIYDLYLGNKQFAMVENPGFWNWTFTLKDDEENVLAQIDRDWRGLGLELFTDAGQYVIRFGNPGSSPPFGQTSVIPELEVARPLSLTERAVTVALAVSLDTDYFSRSGGWGLPILIAGE >DRNTG_26973.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11290521:11298610:1 gene:DRNTG_26973 transcript:DRNTG_26973.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASSRASSVNLLSCLLIVICLLISILCYLFWKLDTIGLYFWIVGLFLDYLQDWAKGSTMSGVKGCPAGVMNLSTDFVYPCRNEAFHYTYRPISALSLYLLQSNRRSSSFQLLNPSTSFQSKFGQSIRMTHSLTRSWSVKLWAAEKEEKLQRGKRKHRIAKPALGENTIFETLSSFQLPSLKSVLKRPGPLEHQRQINRTINRLPLTQFDEGFPSPSSSEEVQLAPLLARPNLLITRDIEWANIMFAIEQESRYIIVDPNYPHSVCI >DRNTG_26973.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11293924:11298610:1 gene:DRNTG_26973 transcript:DRNTG_26973.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFAIEQESRYIIVDPNYPHSPVGYIREQSNVLYRQLLRTRRPFIASITDSIGNEIFRIRRPFWLVNSTIYAEVGDKVVGVVHRRWHVWRRIYDLYLGNKQFAMVENPGFWNWTFTLKDDEENVLAQIDRDWRGLGLELFTDAGQYVIRFGNPGSSPPFGQTSVIPELEVARPLSLTERAVTVALAVSLDTDYFSRSGGWGLPILIAGE >DRNTG_17766.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:751463:752044:1 gene:DRNTG_17766 transcript:DRNTG_17766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAKMDMKQNQKQKQKQKQKQKQNQGRQKVPIKRIEKDTARQATFSKRRKGFFKKANELAVLCGVQVTALAFSPAGKLYSFTANTSLDELMHRCIANAGPSDLHYQQQQQQQQQQQQQQQQEQQQQQQEQQQPWDMVGMNMDDHLGQVEMINYDDEFWNNWLNELMTDETLNLPPLPDLLLDPPTGSSSHHL >DRNTG_27248.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20168178:20170786:-1 gene:DRNTG_27248 transcript:DRNTG_27248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEDQSCVISRPLTKSCEKESGWVYMTYKLLDISNGKQQEASSRHDEQGGDSAKKRRKSLKASDQGGDGRDSDTTSLIHPIGWDNSINCLLRCSRSDYGAIASLNKGFRSLIRGGELYKLRRLNKIVEHWIYFSCNVLEWEAYDPYRGRWMTLPRMPPNECFMCSDKESLAVGTELLVFGKEVTSHIVLRYSILTNSWSAGVKMNSPRCLFGSASLAEKAILAGGTDAHGTILDSAELYNSETQTWVTLPNMNRPRKMCSGVFMDEKFYVIGGMASNTEVLTCGEEYDLDKGVWKIIPNMSSGLNGASGAPPLVAVVNNELYAATYADMMLRKYDKKNKSWITLGSLPERPVSMNGWGLAFRACGEQLIVIGGPRATGGGMIELNAWIPKDGPPEWNMIASKHCGSFVYNCAVMGC >DRNTG_27248.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20168178:20170384:-1 gene:DRNTG_27248 transcript:DRNTG_27248.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEDQSCVISRPLTKSCEKESGWVYMTYKLLDISNGKQQEASSRHDEQGGDSAKKRRKSLKASDQGGDGRDSDTTSLIHPIGWDNSINCLLRCSRSDYGAIASLNKGFRSLIRGGELYKLRRLNKIVEHWIYFSCNVLEWEAYDPYRGRWMTLPRMPPNECFMCSDKESLAVGTELLVFGKEVTSHIVLRYSILTNSWSAGVKMNSPRCLFGSASLAEKAILAGGTDAHGTILDSAELYNSETQTWVTLPNMNRPRKMCSGVFMDEKFYVIGGMASNTEVLTCGEEYDLDKGVWKIIPNMSSGLNGASGAPPLVAVVNNELYAATYADMMLRKYDKKNKSWITLGSLPERPVSMNGWGLAFRACGEQLIVIGGPRATGGGMIELNAWIPKDGPPEWNMIASKHCGSFVYNCAVMGC >DRNTG_28326.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:62305:64856:-1 gene:DRNTG_28326 transcript:DRNTG_28326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDNEPSTPETSSQGEEGGAGEEEGEAWEQRALEYERERLLRIKENRARLEALGLLRPGSKPPQGASKGKKAAVKRGDDDDEYRPSDEDRNDEDDDYEPLPTGSRQNLKAKGKKKISFSLAKTRKRPNREGSMKEDELVDNDEASLQQAIALSLGSVAECSTAEAGGPSQNSGKTTRGAQLHGMTDKTKTQATSAKKKQRKLNSRRVQLTEDELVAFFFSFDEVGKGHISLRDLQRMAIAHDFSWTEMEIALMIHCFDSDKDGKLSLEDFRKIVSRCNMLREPGNS >DRNTG_28326.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:62305:64856:-1 gene:DRNTG_28326 transcript:DRNTG_28326.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDNEPSTPETSSQGEEGGAGEEEGEAWEQRALEYERERLLRIKENRARLEALGLLRPGSKPPQGASKGKKAAVKRGDDDDEYRPSDEDRNDEDDDYEPLPTGSRQNLKAKAIALSLGSVAECSTAEAGGPSQNSGKTTRGAQLHGMTDKTKTQATSAKKKQRKLNSRRVQLTEDELVAFFFSFDEVGKGHISLRDLQRMAIAHDFSWTEMEIALMIHCFDSDKDGKLSLEDFRKIVSRCNMLREPGNS >DRNTG_28326.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:64031:64856:-1 gene:DRNTG_28326 transcript:DRNTG_28326.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDNEPSTPETSSQGEEGGAGEEEGEAWEQRALEYERERLLRIKENRARLEALGLLRPGSKPPQGASKGKKAAVKRGDDDDEYRPSDEDRNDEDDDYEPLPTGSRQNLKAKGKKKISFSLAKTRKRPNREGSMKEDELVDNDEASLQQ >DRNTG_05264.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19747629:19774213:-1 gene:DRNTG_05264 transcript:DRNTG_05264.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:Projected from Arabidopsis thaliana (AT1G29940) UniProtKB/TrEMBL;Acc:A0A178W5B4] MVRKSFRSRGDGYTSKGVVIRCVREDQSSVTIKLYYLQNGSARIGFWIRGREVLLPVGIILKALIDTNDHEIFLSLTSCYNEKYQRQKGAVGTQLAGERAQIILDEVRALLLLTRSQCLEHIGEFFQPAIYGFETETHLVVAEAVLRDYIFVHLANNYDKFNLLIFMLQKLFALVDQTAAPDNPDALQNQEVLLPGHLITLYVKEKLEEWLHKSIRDIKDEMSKNPAEFKFNKLDAKKISRNSPSIGRAVESMIRTGKLATRSTLDLPQKDGLTIQAERLNFLRFISHFCAVHRGAAFAKMRTTSVRKLLPESWGFLCPVHTPDGEPCGLLNHMASSCRVTSFYDSDGKIKDFLKIRMTILTVLVGMGMASSLPKLEQAGPPEVLHVLLDGCVVGSISSSNIEDAVGHLRRLKLSASSGFPADLEVGHVPLSLGGAYPGLYLFTSPSRFVRPVRNIYQLSDVNHCVELIGPFEQAFMEIKCPDGGEGGRREGFPATHEEIHPTGILSVVANLTPWSDHNQSPRNMYQCQMAKQTMGFSAQAIGKRADVKLYHLQTPQSPIVRTSSYSKYCIDEYPTGTNAIVAVLAYTGYDMEDAMILNKSSVERGLCRGQIYQTETVDLTKKHDKSTRVVEIFARSSDRKMSSSIDSDGLPYVGQKVQPNDPYYSSYNTLTSDIKPTNMKSSEPAVIDFVSIDGTNLKNGIQKANIRFRRPRNPVIGDKFSSRHGQKGVCSQLWPDIDMPFSAITGMRPDLIINPHAFPSRMTIGMLLESVAAKGGCLKGQFMDATPFSNSVNKADDNTSFESSSLVDELGPMLTSYGFNYHGVEVLYSGVFGVEMTCEIFIGPVYYQRLRHMVSDKFQVRSTGVVDQVTRQPIGGRKRGGGIRFGEMERDSLLAHGAAYLLHDRLHSSSDYHIAEVCSICGSLLTASTLQPQKRIVREIRGLPPAKAPKTFTCLNCRSSKGIETVAMPYVFRYLAAELASMNIRMDLRLSNQQEK >DRNTG_05264.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19747629:19774213:-1 gene:DRNTG_05264 transcript:DRNTG_05264.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:Projected from Arabidopsis thaliana (AT1G29940) UniProtKB/TrEMBL;Acc:A0A178W5B4] MAPIEKPDYSALRELVHPHIDSFDYFLDEGLEKAVVSIRPVELSDPVSGSKLRISFGKPYVNAPTKEGRLKSSLYPHQCREAGLSYKGEFKVELNLQFDNKAVVRDHISFGHLPIMLRSKLCHLRGASPEELIFHKEEATEMGGYFICNGLERLARLLIVPKRNYPIGMVRKSFRSRGDGYTSKGVVIRCVREDQSSVTIKLYYLQNGSARIGFWIRGREVLLPVGIILKALIDTNDHEIFLSLTSCYNEKYQRQKGAVGTQLAGERAQIILDEVRALLLLTRSQCLEHIGEFFQPAIYGFETETHLVVAEAVLRDYIFVHLANNYDKFNLLIFMLQKLFALVDQTAAPDNPDALQNQEVLLPGHLITLYVKEKLEEWLHKSIRDIKDEMSKNPAEFKFNKLDAKKISRNSPSIGRAVESMIRTGKLATRSTLDLPQKDGLTIQAERLNFLRFISHFCAVHRGAAFAKMRTTSVRKLLPESWGFLCPVHTPDGEPCGLLNHMASSCRVTSFYDSDGKIKDFLKIRMTILTVLVGMGMASSLPKLEQAGPPEVLHVLLDGCVVGSISSSNIEDAVGHLRRLKLSASSGFPADLEVGHVPLSLGGAYPGLYLFTSPSRFVRPVRNIYQLSDVNHCVELIGPFEQAFMEIKCPDGGEGGRREGFPATHEEIHPTGILSVVANLTPWSDHNQSPRNMYQCQMAKQTMGFSAQAIGKRADVKLYHLQTPQSPIVRTSSYSKYCIDEYPTGTNAIVAVLAYTGYDMEDAMILNKSSVERGLCRGQIYQTETVDLTKKHDKSTRVVEIFARSSDRKMSSSIDSDGLPYVGQKVQPNDPYYSSYNTLTSDIKPTNMKSSEPAVIDFVSIDGTNLKNGIQKANIRFRRPRNPVIGDKFSSRHGQKGVCSQLWPDIDMPFSAITGMRPDLIINPHAFPSRMTIGMLLESVAAKGGCLKGQFMDATPFSNSVNKADDNTSFESSSLVDELGPMLTSYGFNYHGVEVLYSGVFGVEMTCEIFIGPVYYQRLRHMVSDKFQVRSTGVVDQVTRQPIGGRKRGGGIRFGEMERDSLLAHGAAYLLHDRLHSSSDYHIAEVCSICGSLLTASTLQPQKRIVREIRGLPPAKAPKTFTCLNCRSSKGIETVAMPYVFRYLAAELASMNIRMDLRLSNQQEK >DRNTG_34048.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23437389:23438000:-1 gene:DRNTG_34048 transcript:DRNTG_34048.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAQASTGLVGPLGRGSSLQNVNHIAHLSGALVGVALVLFLYRIPTQPSDLDSKDG >DRNTG_27856.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001412.1:144173:145948:1 gene:DRNTG_27856 transcript:DRNTG_27856.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKILDELLWRINVSTFSSHSPELGGEASSEGKENSDL >DRNTG_27344.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17036429:17038820:1 gene:DRNTG_27344 transcript:DRNTG_27344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLCCKCLEILIAILLPPLGVCLRHGCCSLEFCICLALTILGYLPGILYALYVIVAVEPERHRHDYYHSVNEVA >DRNTG_06583.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:554237:556956:1 gene:DRNTG_06583 transcript:DRNTG_06583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHGVVEVDIPEAQPIPGSKLEAKMRAEGRIITRDFMSPGDRKWLEKDIEDTVRLVGHVTEIPYYESDEWIKQFGSKPEKGRYRYAGQWKHGRMHGCGVYEVNERIIYVCTCYYPVSLSTME >DRNTG_32123.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1373830:1374352:1 gene:DRNTG_32123 transcript:DRNTG_32123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDPEGYSLWFCNYKYNDENTVSFVTLNKVSGFLQRMDLARKYAFGKMLVIGSQPPFKVKGLWLFRGKEIPQFVLDECYDMELYEWTKVDITDETQKERVNAMIEDQEPFEGEGLLDAKCFK >DRNTG_16028.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17479905:17482243:1 gene:DRNTG_16028 transcript:DRNTG_16028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDTARSSTESNVSGLDYEETELKLALPGASRPPAADVDRKRGFSETVAELSLGASRTDSPNGDCSDDSQTSVAGKPPAAKAQVVGWPPVRNFRRNALKTCTYVKVAVDGAPYLRKVDLETYGGYEQLLASLQDMFSCFTIRKYHTESKLVDPVNGTEYVPTYEDKDGDWMLVGDVPWKMFVASCKRIRLMKSSEAVNLAPRETTGCTKTR >DRNTG_00338.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18782041:18785335:-1 gene:DRNTG_00338 transcript:DRNTG_00338.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RID2 [Source:Projected from Arabidopsis thaliana (AT5G57280) UniProtKB/TrEMBL;Acc:A0A178UNY0] MPRPELQAPPEIFYNDVEARKYTTSSRIVEIQARISERALELLNLPNDGVPRLLLDIGCGSGLSGETMSEHGHHWIGYDISPSMLNVALDREVDGDLLLADIGQGLGLRPGVIDGAISISAVQWLCYADKSSHEPRMRLKAFFGSLYRCLARGARAVLQLYAENMDQSAMILTSAMRAGFGGGVVVDYPHSSKAKKAYIVLTCGPPSTQSWLPKGKGEDDEMCTDSDEDSDDEDQTVGMYDRSRPRKKMKVNKKGKGREWVLKKKDQMRKKGNVVPPDTKYTARKRKTRF >DRNTG_27022.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2497091:2501233:1 gene:DRNTG_27022 transcript:DRNTG_27022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRREGEDGGEKKAGEGDRTVTDEEVEEFFTILRRISEAWPMIARRDGDASVNLGERWLPEFVMEDFEAGERDQGPGSRDEDQISRQLDLNQEPKSDSVPPKTQTETARRRGGLVEIVFSDEGEDTVVVAVASSGKAKKKSVRIGLRIVG >DRNTG_27022.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2497091:2497488:1 gene:DRNTG_27022 transcript:DRNTG_27022.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRREGEDGGEKKAGEGDRTVTDEEVEEFFTILRRISEAWPMIARRDGDASVNLGERWLPEFVMEDFEAGERDQGPGSRDEDQISRQLDLNQEPKSDSVPPKTQTETARRR >DRNTG_20694.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16258661:16263802:-1 gene:DRNTG_20694 transcript:DRNTG_20694.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase 1 [Source:Projected from Arabidopsis thaliana (AT2G17820) UniProtKB/Swiss-Prot;Acc:Q9SXL4] MPQDALNIKPKQNESTFKRDYKNNERITLWFEVEDTGCGIDPSKWETVFESFEQADPSTTRTHGGTGLGLCIVRNLVNKMGGEIKVKKKEGPGTLMQLYLVLGIAECGQEAKKDLSKYNLTVLLAMNSSKGRSITAQWLKEKGVSTWESSEWNGLTQILIQFFRGNRNAENGFTENSKRESFHLNRMREGAKEINVQKIGSPKVVIIVDTALLDQSTNIWKEQLIFLDQYHGEAKFAWVLSHDTSNAIKVELRRRGNFLMVNKPLYKTKLIQILETVVSQENFEDQRSEISELSTMPSDWPECHEIDPIQFDSISSGSSGISDTENLGSRTSTFASGYKRREELLVSHNPHYVKCDSGKESANPITLHTTHKSNIVQAANNSETLSRDIGADKYEITDVDHLARYNHKIVSKDIVTTEKTKQGRHIDSQVEDPLLAYKKTFCEINQPDYLKRNLIEETNQNHVGAQLCDSKDKALELIDKRNSTAVCNLNARLMHNQNGEQKSPLSPRVLEINKYQDANFQTNKEDYPMPTKVVPHQNHLGGLCILLAEDNPILQRVAMTMLEKVGAKVVAVGDGMQAVDALKCISCGEQNAVQNSIENEGERQSPKPLQENPPFDLILMDCQCFYIIITFGVLLLSRMEQESFAKWI >DRNTG_20694.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16258661:16272506:-1 gene:DRNTG_20694 transcript:DRNTG_20694.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase 1 [Source:Projected from Arabidopsis thaliana (AT2G17820) UniProtKB/Swiss-Prot;Acc:Q9SXL4] MKLSLCNHNPLRESRASFTPQQRMLHGETEEEEEYNYHNTRCLSSYYSIFVARLAIMVMLAILIGLLTMLTWHFTRSYTTNSITSLAYGLRYELLQRPILRMWNILNSTVEITVAQVKLSEYVISQHESPKGHGQAELYEAMRNITWALFASKKALDAITINYTNGFVQAFHRDRRSNNTYYIYSDLINATNTQIQGSPGNHSEIPRAVSLNISAIWYREPLDPVTGNKLGAPSQIPPEDLIQIAGLSELRDGTASWHVAVSKFTESPLLSAALPVRHPSQGNIVAVVGVTTALKSVGQLMRELVEFHSGYMYLTSKDGYLLATSTDAPLLRNTTTGLKLMMANDSEDQMIKSGAEWLWRTYGDQFPISHEIHAENVMLGRERYYIDSFFLNLKRLPLVGVIIIPRRYIMGKVDQIGFTTLIILISASVCILAIGCVCILILTSGVSKAMKLRAALISQLDARRRAEASSNYKSQFLANMSHELRTPMAAVIGLLDILMIDDNLTNEQVATVTQIRKCSTALLRLLNNILDLSKVESGKLVLEEAEFDLGRELEGLVDMFSVHSMSHNVETILDICDSVPKVVRGDSARVVQIFTNLISNSIKFTSEGHVILRGWCESFNQSCQLVQNSVSKMPQDALNIKPKQNESTFKRDYKNNERITLWFEVEDTGCGIDPSKWETVFESFEQADPSTTRTHGGTGLGLCIVRNLVNKMGGEIKVKKKEGPGTLMQLYLVLGIAECGQEAKKDLSKYNLTVLLAMNSSKGRSITAQWLKEKGVSTWESSEWNGLTQILIQFFRGNRNAENGFTENSKRESFHLNRMREGAKEINVQKIGSPKVVIIVDTALLDQSTNIWKEQLIFLDQYHGEAKFAWVLSHDTSNAIKVELRRRGNFLMVNKPLYKTKLIQILETVVSQENFEDQRSEISELSTMPSDWPECHEIDPIQFDSISSGSSGISDTENLGSRTSTFASGYKRREELLVSHNPHYVKCDSGKESANPITLHTTHKSNIVQAANNSETLSRDIGADKYEITDVDHLARYNHKIVSKDIVTTEKTKQGRHIDSQVEDPLLAYKKTFCEINQPDYLKRNLIEETNQNHVGAQLCDSKDKALELIDKRNSTAVCNLNARLMHNQNGEQKSPLSPRVLEINKYQDANFQTNKEDYPMPTKVVPHQNHLGGLCILLAEDNPILQRVAMTMLEKVGAKVVAVGDGMQAVDALKCISCGEQNAVQNSIENEGERQSPKPLQENPPFDLILMDCQCFYIIITFGVLLLSRMEQESFAKWI >DRNTG_20694.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16258661:16268290:-1 gene:DRNTG_20694 transcript:DRNTG_20694.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase 1 [Source:Projected from Arabidopsis thaliana (AT2G17820) UniProtKB/Swiss-Prot;Acc:Q9SXL4] MAAVIGLLDILMIDDNLTNEQVATVTQIRKCSTALLRLLNNILDLSKVESGKLVLEEAEFDLGRELEGLVDMFSVHSMSHNVETILDICDSVPKVVRGDSARVVQIFTNLISNSIKFTSEGHVILRGWCESFNQSCQLVQNSVSKMPQDALNIKPKQNESTFKRDYKNNERITLWFEVEDTGCGIDPSKWETVFESFEQADPSTTRTHGGTGLGLCIVRNLVNKMGGEIKVKKKEGPGTLMQLYLVLGIAECGQEAKKDLSKYNLTVLLAMNSSKGRSITAQWLKEKGVSTWESSEWNGLTQILIQFFRGNRNAENGFTENSKRESFHLNRMREGAKEINVQKIGSPKVVIIVDTALLDQSTNIWKEQLIFLDQYHGEAKFAWVLSHDTSNAIKVELRRRGNFLMVNKPLYKTKLIQILETVVSQENFEDQRSEISELSTMPSDWPECHEIDPIQFDSISSGSSGISDTENLGSRTSTFASGYKRREELLVSHNPHYVKCDSGKESANPITLHTTHKSNIVQAANNSETLSRDIGADKYEITDVDHLARYNHKIVSKDIVTTEKTKQGRHIDSQVEDPLLAYKKTFCEINQPDYLKRNLIEETNQNHVGAQLCDSKDKALELIDKRNSTAVCNLNARLMHNQNGEQKSPLSPRVLEINKYQDANFQTNKEDYPMPTKVVPHQNHLGGLCILLAEDNPILQRVAMTMLEKVGAKVVAVGDGMQAVDALKCISCGEQNAVQNSIENEGERQSPKPLQENPPFDLILMDCQCFYIIITFGVLLLSRMEQESFAKWI >DRNTG_20694.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16268553:16272506:-1 gene:DRNTG_20694 transcript:DRNTG_20694.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase 1 [Source:Projected from Arabidopsis thaliana (AT2G17820) UniProtKB/Swiss-Prot;Acc:Q9SXL4] MKLSLCNHNPLRESRASFTPQQRMLHGETEEEEEYNYHNTRCLSSYYSIFVARLAIMVMLAILIGLLTMLTWHFTRSYTTNSITSLAYGLRYELLQRPILRMWNILNSTVEITVAQVKLSEYVISQHESPKGHGQAELYEAMRNITWALFASKKALDAITINYTNGFVQAFHRDRRSNNTYYIYSDLINATNTQIQGSPGNHSEIPRAVSLNISAIWYREPLDPVTGNKLGAPSQIPPEDLIQIAGLSELRDGTASWHVAVSKFTESPLLSAALPVRHPSQGNIVAVVGVTTALKSVGQLMRELVEFHSGYMYLTSKDGYLLATSTDAPLLRNTTTGLKLMMANDSEDQMIKSGAEWLWRTYGDQFPISHEIHAENVMLGRERYYIDSFFLNLKRLPLVGVIIIPRRYIMGKVDQIGFTTLIILISASVCILAIGCVCILILTSGVSKAMKLRAALISQLDARRRAEASSNYKSQFLANMRQVLFLLREAHATFCNQISENDNNLI >DRNTG_20694.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16258661:16268290:-1 gene:DRNTG_20694 transcript:DRNTG_20694.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase 1 [Source:Projected from Arabidopsis thaliana (AT2G17820) UniProtKB/Swiss-Prot;Acc:Q9SXL4] MAAVIGLLDILMIDDNLTNEQVATVTQIRKCSTALLRLLNNILDLSKVESGKLVLEEAEFDLGRELEGLVDMFSVHSMSHNVETILDICDSVPKVVRGDSARVVQIFTNLISNSIKFTSEGHVILRGWCESFNQSCQLVQNSVSKMPQDALNIKPKQNESTFKRDYKNNERITLWFEVEDTGCGIDPSKWETVFESFEQADPSTTRTHGGTGLGLCIVRNLVNKMGGEIKVKKKEGPGTLMQLYLVLGIAECGQEAKKDLSKYNLTVLLAMNSSKGRSITAQWLKEKGVSTWESSEWNGLTQILIQFFRGNRNAENGFTENSKRESFHLNRMREGAKEINVQKIGSPKVVIIVDTALLDQSTNIWKEQLIFLDQYHGEAKFAWVLSHDTSNAIKVELRRRGNFLMVNKPLYKTKLIQILETVVSQENFEDQRSEISELSTMPSDWPECHEIDPIQFDSISSGSSGISDTENLGSRTSTFASGYKRREELLVSHNPHYVKCDSGKESANPITLHTTHKSNIVQAANNSETLSRDIGADKYEITDVDHLARYNHKIVSKDIVTTEKTKQGRHIDSQVEDPLLAYKKTFCEINQPDYLKRNLIEETNQNHVGAQLCDSKDKALELIDKRNSTAVCNLNARLMHNQNGEQKSPLSPRVLEINKYQDANFQTNKEDYPMPTKVVPHQNHLGGLCILLAEDNPILQRVAMTMLEKVGAKVVAVGDGMQAVDALKCISCGEQNAVQNSIENEGERQSPKPLQENPPFDLILMDCQMPKLDGYEATKAIRRLEEGTSLHIPIVAVTAHAMSSDQEKCIKVGMDAYLTKPIDSKLMVSTILSLTKKISSVNHVSQMAGEPRS >DRNTG_20694.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16268553:16272506:-1 gene:DRNTG_20694 transcript:DRNTG_20694.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase 1 [Source:Projected from Arabidopsis thaliana (AT2G17820) UniProtKB/Swiss-Prot;Acc:Q9SXL4] MKLSLCNHNPLRESRASFTPQQRMLHGETEEEEEYNYHNTRCLSSYYSIFVARLAIMVMLAILIGLLTMLTWHFTRSYTTNSITSLAYGLRYELLQRPILRMWNILNSTVEITVAQVKLSEYVISQHESPKGHGQAELYEAMRNITWALFASKKALDAITINYTNGFVQAFHRDRRSNNTYYIYSDLINATNTQIQGSPGNHSEIPRAVSLNISAIWYREPLDPVTGNKLGAPSQIPPEDLIQIAGLSELRDGTASWHVAVSKFTESPLLSAALPVRHPSQGNIVAVVGVTTALKSVGQLMRELVEFHSGYMYLTSKDGYLLATSTDAPLLRNTTTGLKLMMANDSEDQMIKSGAEWLWRTYGDQFPISHEIHAENVMLGRERYYIDSFFLNLKRLPLVGVIIIPRRYIMGKVDQIGFTTLIILISASVCILAIGCVCILILTSGVSKAMKLRAALISQLDARRRAEASSNYKSQFLANMRQVLFLLREAHATFCNQISENDNNLI >DRNTG_17477.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6839673:6842522:1 gene:DRNTG_17477 transcript:DRNTG_17477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQNQCNGGKEGDIETGHTPAAAALYPNMAENPSFRWAFIRKVYSIILIQLLLTVAVASAFIFVRPISVFLFAGTPVSWAVLILIIIAPLITMFPMFYFQERHPINVFLLGLFTIFMACSIGLACATKSGKVVLEAAVLTIAVVVAITLYTFWAAKRGYDFNFLGPFLTAAILILLIYWAIQIFFPLGKIGQTIYGFLGSIIFSGFILYDTDNLIKRYTYDQYISAAIALYLDIINLFTSILSIFSGMDS >DRNTG_31404.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001699.1:9893:15973:1 gene:DRNTG_31404 transcript:DRNTG_31404.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTSLLPRSQSSALFPLAVSSPSPTSSPHGRSSLPLVSSFKAQRLLSWSRSSLPWRSHGVRFSSLVCKATAAEPPARALRRILESPGVFLGPACFDALSAKLVEKAGFQYCFTSGFSISSARLGLPDVGLISYGEMLDQGRNITQAVSIPVIGDGDNGYGNQMNVKRTVKGYIQAGFAGILLEDQVSPKACGHTRGRKVVSREEAVMRIKAALDAREESGSDIVIIARTDSRQAISFEEALWRSRAFADAGVDALFIDALASIEEMKAFCEVTPLLPKVANMLEGGGKTPILSPIELEEIGFKLVAYPLSLIGVSIRAMEDALVAIKGGRIPPPGQLPTFDEIKDIVGFNDYYKEEERYSVSSARTSPQRSYFSARSTSGEGTEQSAEKPYQPDVEVLAPYPSDSSRSHDPRGRLSGIWSRTLRIKITGRDGLEKLDIRIPAGFLDGISSVIPGLGGRNIIEMLEDASVDVNDGTERGKVLLDFNDTMGDRIQVFLE >DRNTG_03227.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4610694:4617899:1 gene:DRNTG_03227 transcript:DRNTG_03227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIGCCCHCCTFGSNGFSRGFRYYTWASNSFSATGSSSKSLTYSLICLIMSDFNLISEPIQGDLGSIEPSWLKRFCIKIEMGSRLVGYLVPEWPLTNPMRATSARKLPAWFPSGTMLPLCSLYAAINCSHCSQVEACSLYRPTTIRIDPRFYHMHV >DRNTG_21181.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20623154:20626921:-1 gene:DRNTG_21181 transcript:DRNTG_21181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQMGNEGKVFMDLGGDNDLRDVIANFQENIRDALMAMLMKKMTMRIAWRKIPKKMMITIKMKIVVKMTMMTII >DRNTG_26749.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7969373:7970278:-1 gene:DRNTG_26749 transcript:DRNTG_26749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSLRERPNSSTLVSILSACSDLSSLKIGKQVHCYLIKCEVSTGVMIQTALMEVYSKCGCLEFTFRIFEAMEERNIVTWNTMISGLLLN >DRNTG_09357.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:14960467:14961629:-1 gene:DRNTG_09357 transcript:DRNTG_09357.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQKFESYFGRKIAIDASMSIYQFLIVVGRIGTETLTNEAGEVTRYVFDGQPPDLKKQELAKRYSKRENASKELTAAIEDGDKEGIEKYSKRTEGLFSSSRAS >DRNTG_01454.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8183903:8186677:1 gene:DRNTG_01454 transcript:DRNTG_01454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMGMIKKYPGGVYVLNMSPPEPLVSDETTAEGSQPALESELKGDQIEMVPPVTEDPPSACMFLPSRAQDRFERLESAVGRYGQRYGQDVSALGYVSFTTHWNNTPKDLAPSNATLGRGSTAQTSSFLRKKVGRGASVEAYPIPDEGILGRRVEDFPQDHRHNY >DRNTG_33307.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23721437:23722765:1 gene:DRNTG_33307 transcript:DRNTG_33307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHAVAGLVATTKPIPSPLAPPSGVPAGLRALPDRFALRSAFCSPSLQLLLPGSRPDPGRFSMRFVAAKQAYICRDCGYIYNERTPFEKLPDNYFCPVCGARKRRFRAYQPAVAKNANDTDVRKARKAQLKKDETVGQALPIAIVVGVAALAGLYFYLNSVY >DRNTG_12830.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32916955:32919706:-1 gene:DRNTG_12830 transcript:DRNTG_12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDWMYLRGNGSQSHGYQVGGYNHLGDGGNYGAYAAPSFLPDVPVANRDFPGPLMNGFEFQPMETCPKNFIIFDQTDNKSRVMFHPALAHNFSYPSFDIHPSFPHEIGKNLDSAIDNQGKLSSSMKEDTEEIDALLCSEEEEEEDDDVISTGHTPCNWRGSPQYSLSSTMRSTSQKTIFPSSAQGSFSSSANSSGRKRERMKKMVKALRQIIPGGEQLDTPAVLDEAVRYLKSLKVEAKKLGIQNLEE >DRNTG_22117.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9045825:9049540:1 gene:DRNTG_22117 transcript:DRNTG_22117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNHLTGTIPSEFGDLSSLEILDLSNNNLSGEIPTLLEECHKLNSLKLSNNELSGAIPFQLGNLNLHEALDLSGNLFKGEIPSQLSKLIELQEMNLSHNQLVGHIPSSFQFMFGLVSLDLSYNSLDGPVPEGRFFQAAPIEWFIHNKGLCGQVQGLPPCNQSVWPSRDEARNHNKIIILVLVPTLGILFLSFLTVGITYLYHKRMKFTSNDFGEDVGGHFFSIWSVNQGKEAYKEIIQATENFDDKYEIGKGACSIVYKAALSSGGILAIKKIQGGEGQVNDQTFQNEIQALTQIRHRNILRFYGYCSTNRFNFLAYEYMERGSLGDILRSDEGAIELDWIKRVNIVIGIAEALSYLHHDCAPPIVHRDIKSNNILLDEEYKACVADFGIARLLKPDSSHWSMLAGTHGYMAPELAYTMKVTRKCDVYSFGVVAIEVIHGMHPGDFISDLSSSSSTHDTNMLAKDVLDRRLSLPSTLMVNKVLTVIFTAMQCIDTNPQSRPTMEQVSKRLSSPKSQISFNIDSLSELTFTELMKVQI >DRNTG_14442.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11220722:11275214:1 gene:DRNTG_14442 transcript:DRNTG_14442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAFRTHYFPPGKSAKLRNEISSFVQLELESLFKTWERFKELLRKCPQHGFP >DRNTG_09959.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:6675205:6676604:-1 gene:DRNTG_09959 transcript:DRNTG_09959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFQGAIPFEVWISPWDLFLGARLDALHDEIQLKIGASQGLAVSLSIKVSPTSDSGTSINELVGNNCNNSRGKDSFEAGLKNDAGEYNCFLNVIIKGHMIDAAKVLEAIFKCFNRSFTSSVESDSELVKTIWTGSWECEDDSCLAHNLFGLKAYELMICLFHGI >DRNTG_21164.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2187179:2188784:1 gene:DRNTG_21164 transcript:DRNTG_21164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISRIAIGTREEATHPSALKAALAEFISTLIFVFAGQGSGMAFGKLTGGASTTPAGLISASIAHGFGLFVAVSVAANISGGHVNPAVTFGAFVGGNITLLRGILYWIAQLLGSTVACLLLRISTGEPTGSFGLSGISVWNALVLEIVMTFGLVYTVYATAIDSKRGTLGTIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPSLVSWSWDNQWVYWVGPLIGGGLAGLVYELFFISHSHEQIPSAEY >DRNTG_14981.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24027978:24029006:1 gene:DRNTG_14981 transcript:DRNTG_14981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSPPMTPAQRMMRRTMQTASATPPARAGSVRLLISVNVLGSAGPIRFVVKEEEPVGAVISTALRSYAREGRRPVLGSDLNNFLLYCTNSCSDALSPLEPIGSVGSRNFTLCKKQGVVEEGNSQHLIKKGNGKWKGLVNNVLSFRITSH >DRNTG_15074.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29568410:29568837:-1 gene:DRNTG_15074 transcript:DRNTG_15074.2 gene_biotype:protein_coding transcript_biotype:protein_coding TFFLILQCKKIVFHYGPLILFDIEKYLETTDVCAAIHVCKVNQEAGIGATLLADA >DRNTG_15074.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29568410:29568965:-1 gene:DRNTG_15074 transcript:DRNTG_15074.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYAQECKKIVFHYGPLILFDIEKYLETTDVCAAIHVCKVNQEAGIGATLLADA >DRNTG_06357.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24365260:24369206:-1 gene:DRNTG_06357 transcript:DRNTG_06357.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAQGIMGARKSYTLQGLSEESSWALFEQRAFTTSVSRQPKFLEIGKKIVNKCKGLPLAIQVMGSLMQSKIEESQWQAVLDNEIWDIPRATDKIRPELWLSYVNLPSEVKKCFAFCALFPKDSFIKVDMLVQFWIAHGFIPSQTGKDIEVEGHEIFSELIWRSLLQYASYDCGHCKMHDLIHDLAQFVTGDECSTLAERNEFMKISKRTRHFELNFDIGYNMGDCPPSVRTALNVQTNFIGLSKLKLVRVLKIRYEANVDELSTSIQYLHHLRYLNLFGTKIKELPESICMLINLQTLNLNHCYYLTKLPMSIVYMNSLRHLYISRCPELKIMRSGLSRLRCLKTLTKYIVSEKAGNKIGELKHWNLDGELGLYDLHEVKNADEAKEANMSSRQNINSLSLSWGASVANAEQVLEALKPHAALKVLSLHDYPGTQFSMWIRDGQQLQNLVRIRLEGCQGCQQLPPLEQLLYLEELTIRRMDSIKYIINSTTGDALSLFPALRFLKLREMANLEGWYPGEDRETAPPMFPCLANLRITRCPKLTTMPPQIPTLIDLSITESYCGTQIAHMSKEKGFFKHLKSLAALSLERCDELTLLLEDKEETRPLSSSLHYLDIDDCHQFSLSAALHNLTSLETLSMGHCEELLSWPDEMLRDSGASSQRDCGLPFLEDLSVSACDALIELPKCPTSLKSLSVFNCPSIKSLCSNMGHLTSLFKLALFKCPVLESLPEGMQGLTSLEELSIEDCPALKSFPEGLQQRLPTLKRLEISGCPKLERRCGPGGEYFHLVSSISERSIESSPRRTLLAPCL >DRNTG_14807.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29826164:29831034:-1 gene:DRNTG_14807 transcript:DRNTG_14807.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVAVGVAVVGAVAAVAVATVVVRRRMRSTGKWTRAAEIVRELEEQCATPLGRLRQVADAMAVEMHAGLASDGGSKLKMLISYVDNLPTGNETGLFYALDLGGTNFRVLRVQLGGKDKRVIKQEAEEVSIPPHLMVGTSDDLFDFIAAELAKFVALEGDSFHLPVDRQRELGFTFSFPVKQSSIASGSLIKWTKGFKIDGTVGEDVVAELTKAMERQNLDMRVTALVNDTIGTLAGGRYYDNDVVAAVILGTGTNAAYVERAQAIPKWHGLLPKSGDMVINMEWGNFRSSHLPVTEYDQALDLESLNPGEQIFEKLISGMYLGDILRRILLKLAGEAALFGDTVPPKLKEQFILRTPVMSTMHHDTTPDLRVVGNKLKEIFDINNTSLKVRKLVVKLCDIITKRGARLAAAGIVGILKKQGRDTLRYGNKQRTVIAMDGGLFEHYTIFSKTLVRTIEELLGEEVASTVFIEHANDGSGIGAALLAASHSQYLGLEEP >DRNTG_14807.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29825576:29831034:-1 gene:DRNTG_14807 transcript:DRNTG_14807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVAVGVAVVGAVAAVAVATVVVRRRMRSTGKWTRAAEIVRELEEQCATPLGRLRQVADAMAVEMHAGLASDGGSKLKMLISYVDNLPTGNETGLFYALDLGGTNFRVLRVQLGGKDKRVIKQEAEEVSIPPHLMVGTSDDLFDFIAAELAKFVALEGDSFHLPVDRQRELGFTFSFPVKQSSIASGSLIKWTKGFKIDGTVGEDVVAELTKAMERQNLDMRVTALVNDTIGTLAGGRYYDNDVVAAVILGTGTNAAYVERAQAIPKWHGLLPKSGDMVINMEWGNFRSSHLPVTEYDQALDLESLNPGEQIFEKLISGMYLGDILRRILLKLAGEAALFGDTVPPKLKEQFILRTPVMSTMHHDTTPDLRVVGNKLKEIFDINNTSLKVRKLVVKLCDIITKRGARLAAAGIVGILKKQGRDTLRYGNKQRTVIAMDGGLFEHYTIFSKTLVRTIEELLGEEVASTVFIEHANDGSGIGAALLAASHSQYLGLEEP >DRNTG_08952.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27243716:27250852:-1 gene:DRNTG_08952 transcript:DRNTG_08952.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase-like protein 5 [Source:Projected from Arabidopsis thaliana (AT3G27440) UniProtKB/Swiss-Prot;Acc:Q9LTY6] MVRARGSRHAPSNPRLLLPFSTPLSNPQRPSEALVFVSIAKLGYLIAPIPFALFLTPRRPRFDRLDCMGSKPVENLIEASDGVQISGLHLNGVGEKSSRENQKTISAKLELPRQPFVIGVAGGTASGKTTVCDMIIEQLHDQRVVLVNQDSFYNNLTEEELLRVHEYNFDHPGEVFLLQTLGKPFYAFDTEKLLNCMENLMRGQAVDVPNYDFKNHKSVFPARKVNPSDVVIMEGILVFHDPRVRNLMNMKIFVDTDADVRLARRIGRDTVDRGRDIKGVLDQYAKFVKPAFENFILPTKKYADIIMPRGGDNHVAIDLIVQHIHNKLCQKRSLQTETNL >DRNTG_22100.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:132129:143646:1 gene:DRNTG_22100 transcript:DRNTG_22100.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPFALSGILLPTVPGTSSRSDFRGVRRSRANVSFLSTTTNSNSRKICASLSPSPSFDSDSTSTTVAAAGGKVLIPGGESQESTPPAIKDPQVADKDHKVFEDVVILPTEVESAIEIEQKSVASETLVEVDSAIEVEQKFVASETLVEVDSAIEVEQKFVASETLVEVDGAIGVEQQSFASETLVEVEGGELPESLATVKIDEPMEEKLGVIPPPGSGQKIYEYDPQLEAHRAHLDYRYSQYKRLRELIDEHEGGLEPFSRGYEKFGFIKSDNGITYREWAPGAKWAALIGDFNNWNPNADVMTKNEFGVWELFLPNNADGSPPIPHGSRVKIRMDSPSGVKDSIPAWIKFSVQAPGEIPYNGVYYDPPEEERYIFKHPRPKAPKSIRIYESHVGMSSTEPKINTYANFRDDVLPRIKRLGYNAVQIMAIQEHSYYGSFGYHVTNFFAPSSRFGTPDDLKSLIDKAHELGIIVLMDIVHSHASNNVLDGLNQI >DRNTG_22100.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:132129:143646:1 gene:DRNTG_22100 transcript:DRNTG_22100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPFALSGILLPTVPGTSSRSDFRGVRRSRANVSFLSTTTNSNSRKICASLSPSPSFDSDSTSTTVAAAGGKVLIPGGESQESTPPAIKDPQVADKDHKVFEDVVILPTEVESAIEIEQKSVASETLVEVDSAIEVEQKFVASETLVEVDSAIEVEQKFVASETLVEVDSAIEVEQKSVASETLVEVDGAIGVEQQSFASETLVEVEGGELPESLATVKIDEPMEEKLGVIPPPGSGQKIYEYDPQLEAHRAHLDYRYSQYKRLRELIDEHEGGLEPFSRGYEKFGFIKSDNGITYREWAPGAKWAALIGDFNNWNPNADVMTKNEFGVWELFLPNNADGSPPIPHGSRVKIRMDSPSGVKDSIPAWIKFSVQAPGEIPYNGVYYDPPEEERYIFKHPRPKAPKSIRIYESHVGMSSTEPKINTYANFRDDVLPRIKRLGYNAVQIMAIQEHSYYGSFGYHVTNFFAPSSRFGTPDDLKSLIDKAHELGIIVLMDIVHSHASNNVLDGLNQI >DRNTG_22100.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:132129:143646:1 gene:DRNTG_22100 transcript:DRNTG_22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFALSGILLPTVPGTSSRSDFRGVRRSRANVSFLSTTTNSNSRKICASLSPSPSFDSDSTSTTVAAAGGKVLIPGGESQESTPPAIKDPQVADKDHKVFEDVVILPTEVESAIEIEQKSVASETLVEVDSAIEVEQKFVASETLVEVDSAIEVEQKSVASETLVEVDGAIGVEQQSFASETLVEVEGGELPESLATVKIDEPMEEKLGVIPPPGSGQKIYEYDPQLEAHRAHLDYRYSQYKRLRELIDEHEGGLEPFSRGYEKFGFIKSDNGITYREWAPGAKWAALIGDFNNWNPNADVMTKNEFGVWELFLPNNADGSPPIPHGSRVKIRMDSPSGVKDSIPAWIKFSVQAPGEIPYNGVYYDPPEEERYIFKHPRPKAPKSIRIYESHVGMSSTEPKINTYANFRDDVLPRIKRLGYNAVQIMAIQEHSYYGSFGYHVTNFFAPSSRFGTPDDLKSLIDKAHELGIIVLMDIVHSHASNNVLDGLNQI >DRNTG_22100.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:132129:143646:1 gene:DRNTG_22100 transcript:DRNTG_22100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFALSGILLPTVPGTSSRSDFRGVRRSRANVSFLSTTTNSNSRKICASLSPSPSFDSDSTSTTVAAAGGKVLIPGGESQESTPPAIKDPQVADKDHKVFEDVVILPTEVESAIEIEQKSVASETLVEVDSAIEVEQKFVASETLVEVDSAIEVEQKSVASETLVEVDGAIGVEQQSFASETLVEVEGGELPESLATVKIDEPMEEKLGVIPPPGSGQKIYEYDPQLEAHRAHLDYRYSQYKRLRELIDEHEGGLEPFSRGYEKFGFIKSDNGITYREWAPGAKWAALIGDFNNWNPNADVMTKNEFGVWELFLPNNADGSPPIPHGSRVKIRMDSPSGVKDSIPAWIKFSVQAPGEIPYNGVYYDPPEEERYIFKHPRPKAPKSIRIYESHVGMSSTEPKINTYANFRDDVLPRIKRLGYNAVQIMAIQEHSYYGSFGYHVTNFFAPSSRFGTPDDLKSLIDKAHELGIIVLMDIVHSHASNNVLDGLNQI >DRNTG_22100.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:132129:143646:1 gene:DRNTG_22100 transcript:DRNTG_22100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPFALSGILLPTVPGTSSRSDFRGVRRSRANVSFLSTTTNSNSRKICASLSPSPSFDSDSTSTTVAAAGGKVLIPGGESQESTPPAIKDPQVADKDHKVFEDVVILPTEVESAIEIEQKSVASETLVEVDSAIEVEQKSVASETLVEVDGAIGVEQQSFASETLVEVEGGELPESLATVKIDEPMEEKLGVIPPPGSGQKIYEYDPQLEAHRAHLDYRYSQYKRLRELIDEHEGGLEPFSRGYEKFGFIKSDNGITYREWAPGAKWAALIGDFNNWNPNADVMTKNEFGVWELFLPNNADGSPPIPHGSRVKIRMDSPSGVKDSIPAWIKFSVQAPGEIPYNGVYYDPPEEERYIFKHPRPKAPKSIRIYESHVGMSSTEPKINTYANFRDDVLPRIKRLGYNAVQIMAIQEHSYYGSFGYHVTNFFAPSSRFGTPDDLKSLIDKAHELGIIVLMDIVHSHASNNVLDGLNQI >DRNTG_26841.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1890773:1895729:-1 gene:DRNTG_26841 transcript:DRNTG_26841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQKKRNFKIEAFKHRVEVDPKYADKTWRVLEHAIHEIYNHNASGLSFEELYRNAYNMVLHKYGEKLYLGLVTTMTLHLKEMCKSVESAQGGLFLEELNRRWGDHNKALQMIRDILMYMDRTFVPINHKTPVHELGLNLWRDNIIHCSKIQTRLQNTLLDLIHRERTGEVINRGLMRNITKMLVDLGSCVYQDNFEKHFLEVSADFYRVESQQFIDNCDCGEYLKKAERRLNEEIERVSQYLDAKSETKITYVVEKEMIDNHMQRLVHMENSGLVNMLMDDKYDDLSRMYNLFHRVPEGLSTIREVMTAHLRETGRQLVTDVDKLKDPVDFVQHLLDVRYKFDKIITTAFNNDKTFQNALNSSFEYFINLNNRSPEFISLYVDDKLRKGLKGVSEEDVETVLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSMIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFYASQSFDSGESPTLAVQVLTTGSWPAQPSAPCNLPAEILSVCERFRAFYLGTHTGRRLSWQTNMGTADLKAIFGKGQKHELNVSTYQMCILMLFNSSDCLNYKEIEEATEIPSADLKRCLQSLACVKAKNVLRKEPMSKDIGEDDAFYFNDKFTSKFFKVKIGTVVAQKESEPEKLETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNNIVAEVTKQLQSRFLPNPVVIKKRIESLIEREFLERDKTDRKLYRYLA >DRNTG_05105.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2068301:2074927:1 gene:DRNTG_05105 transcript:DRNTG_05105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTSSSSTSTSTSTSTSIPNSLRLLNKSSHKISKQFIPKPQIPTSTSTSLTSSATSTGNFTSNNPNPPQQQPQPPVYNINKNDFREVVQKLTGSPAFNLTAPSLISSPSPSLSPPPPPPPPPPPPAPHRPTVSRLHRIRPPPLAELGQRPQTPSFPGVRPPMSPLPPLPAVNAAVESPITAYMRRLRGDPPPASPLAFGCFPSPGTVAALSPTRLFPTSPGPLASPQWREL >DRNTG_05105.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2068301:2069288:1 gene:DRNTG_05105 transcript:DRNTG_05105.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTSSSSTSTSTSTSTSIPNSLRLLNKSSHKISKQFIPKPQIPTSTSTSLTSSATSTGNFTSNNPNPPQQQPQPPVYNINKNDFREVVQKLTGSPAFNLTAPSLISSPSPSLSPPPPPPPPPPPPAPHRPTVSRLHRIRPPPLAELGQRPQTPSFPGVRPPMSPLPPLPAVNAAVESPITAYMRRLRGDPPPASPLAFGCFPSPGTVAALSPTRLFPTSPGPLASPQWREL >DRNTG_06179.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25285739:25288363:1 gene:DRNTG_06179 transcript:DRNTG_06179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPESSEPVESSKESSRMAGTVNLGAATVMGVFAGLLYGGSKEATASVSKDAEVMLKLGSTPDKREQYRLMRDAMEKRFIRVARGSIVGGVRLGMFTAIFYGLQDVLADTRGVHDVFNVAGAGSATAAMFGLILPGSLMWRARNVLLGSALGAGICFPLGWLQLKLMEKANEEISNSKSLSNPNETEESSTSSRVGAAIERLEIKARK >DRNTG_33782.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:89227:94062:-1 gene:DRNTG_33782 transcript:DRNTG_33782.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPLTADMIALTEKKMDMSLDEIIKMSKKTSSERRRHRAPIKSRGTFGNNTSQRQGTFKLQQFVDSRSSIRQGVLAQRRSNFHGNQFPVTKEAGTNALNRPTHNRAVNWSKPRFAIAPVPKKTAEKNISGKDKAIMAKPRPHTLDALFASMKEQRMVETSVNGRQAPRRRRGQKQRQHGRVGAPPVRVARPFWKLN >DRNTG_33782.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:89175:94025:-1 gene:DRNTG_33782 transcript:DRNTG_33782.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPLTADMIALTEKKMDMSLDEIIKMSKKTSSERRRHRAPIKSRGTFGNNTSQRQGTFKLQQFVDSRSSIRQGVLAQRRSNFHGNQFPVTKEAGTNALNRPTHNRAVNWSKPR >DRNTG_33782.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:89175:94025:-1 gene:DRNTG_33782 transcript:DRNTG_33782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPLTADMIALTEKKMDMSLDEIIKMSKKTSSERRRHRAPIKSRGTFGNNTSQRQGTFKLQQFVDSRSSIRQGVLAQRRSNFHGNQFPVTKEAGTNALNRPTHNRAVNWSKPRFAIAPVPKKTAEKNISGKDKAIMAKPRPHTLDALFASMKEQRMVETSVNGRQAPRRRRGQKQRQHGRVGAPPVRVARPFWKLN >DRNTG_33782.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:89227:94025:-1 gene:DRNTG_33782 transcript:DRNTG_33782.6 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPLTADMIALTEKKMDMSLDEIIKMSKKTSSERRRHRAPIKSRGTFGNNTSQRQGTFKLQQFVDSRSSIRQGVLAQRRSNFHGNQFPVTKEAGTNALNRPTHNRAVNWSKPRFAIAPVPKKTAEKNISGKDKAIMAKPRPHTLDALFASMKEQRMVETSVNGRQAPRRRRGQKQRQHGRVGAPPVRVARPFWKLN >DRNTG_33782.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:89227:94025:-1 gene:DRNTG_33782 transcript:DRNTG_33782.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPLTADMIALTEKKMDMSLDEIIKMSKKTSSERRRHRAPIKSRGTFGNNTSQRQGTFKLQQFVDSRSSIRQGVLAQRRSNFHGNQFPVTKEAGTNALNRPTHNRAVNWSKPRFAIAPVPKKTAEKNISGKDKAIMAKPRPHTLDALFASMKEQRMVETSVNGRQAPRRRRGQKQRQHGRVGAPPVRVARPFWKLN >DRNTG_33782.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:89227:94025:-1 gene:DRNTG_33782 transcript:DRNTG_33782.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPLTADMIALTEKKMDMSLDEIIKMSKKTSSERRRHRAPIKSRGTFGNNTSQRQGTFKLQQFVDSRSSIRQGVLAQRRSNFHGNQFPVTKEAGTNALNRPTHNRAVNWSKPR >DRNTG_34737.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002186.1:6281:6974:-1 gene:DRNTG_34737 transcript:DRNTG_34737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDFKKSISDPNNRLSSWAMGQDCCSWEGVRCDNITGNVIGLELGEHDLQLKGEISPSLLQLQHLNYLDLSHNYFYGTTIPSFISQLKELRYLDLSYSYFSGPIPASFGNLSNLLTLDLSENVLFISDPVDHEWLSHLTSLQHLYMSGVTFGSNSSSKSLFLALNKLPAIEEIGLSRCQFESIPLSIPPSKLLKSLSS >DRNTG_00096.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3324420:3327442:1 gene:DRNTG_00096 transcript:DRNTG_00096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSDVLREAITQVVGDAKTKKRNFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVTHQESLEAKVNETKAMVKFQLKKVLCMGVAVGNCSMEDKQIFQNVQLSVNFLVSLLKKNWQNVRCLYLKSTMGKPVRVF >DRNTG_00096.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3324420:3326043:1 gene:DRNTG_00096 transcript:DRNTG_00096.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSDVLREAITQVVGDAKTKKRNFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFHTYCELFLDHKSCLGDLM >DRNTG_00096.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3326522:3327442:1 gene:DRNTG_00096 transcript:DRNTG_00096.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEINIFILPTGKFPTLVTHQESLEAKVNETKAMVKFQLKKVLCMGVAVGNCSMEDKQIFQNVQLSVNFLVSLLKKNWQNVRCLYLKSTMGKPVRVF >DRNTG_31133.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:188451:197235:-1 gene:DRNTG_31133 transcript:DRNTG_31133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLLQLTDHGRKILASRRRTLAIATSIVVVGGTYAYTQLRQRSKSIKPDESINGVLPSNHEENSIQNGTSDNLTRSSRRKGGGLRSLQVLAAILLSRMGSMGVRNLIALVTTAVLRTALSIRLAKVQGFLFRAAFLRRVPSFVRLIIENLLLCFLQSALFSTSKYLTGALGLHFRRLLTDLIHSDYFENMAYYKISHVDDRINNPEQRIASDIPRFCSELSELVQEDLTAVIDGLLYTWRLCSYASPKYVLWILAYVIGAGAAIKNFSPAFGKLMSKEQQLEGDYRQLHSRLRTHAESVAFYGGENREASHIQKQFKALIRHMNLVLHEHWWFGMIQDFLLKYLGATVGVVLIIEPFFSGNLRPDNSTLGRAEMLSNLRYHTSVIMSLFQSLGTLASSSRRLNRLSGYAHRIHELMAVSRELSVIHDQSLIKSHTSRNYISEANYIEFAGVKVVTPTGNVLVDDLCLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVEGYIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTASQETDPLTYDGMVDLLKNVDLEYLLERYPFEKEVNWGEELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCARVRAMGTSCITISHRPALVAFHDIVLSLDGEGRWSVQDKRDDDSTEIGQKLLESTETDRQADALAVQRAFAPTGKRTTFSKSNAHSYVPKVIKSSPALERNIQLPIVPQLQKTPRILPLRAAAMFKVLVPTLLDKQGAQLLTVALLVMSRTWISDRIASLNGTTVKYVLEQDKIAFMRLIGISVLQSAANSIVAPSLRHVLSAVRSSFCHLSFNLAFFFN >DRNTG_19213.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000990.1:24832:29675:-1 gene:DRNTG_19213 transcript:DRNTG_19213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSCSGKIDGSMGGLQCMFGLSISAIALMNAKAKLKDTIASVTCSLEFIWSRSQVAPIDTIAEEAPDHATSSIPNQFLIRSETLTLVREQGHLSMATISLRKGNTRLPQEVNRVLYMRNFPFNISSEEMCNIFGKYGAIRKIRLGTNKDTGGTTFVVYEGVYDAKTVVDHLSGFNVANRYLIVLYY >DRNTG_12346.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20794861:20795733:-1 gene:DRNTG_12346 transcript:DRNTG_12346.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEYRLKALSDDFEKSAELVLCRIQRTRTGSDVEEEFAYNYENQSSDFYNITDHQATFPDQVKDPVNYDDWERPRSKRLKRDINTNNGTQYGSTNTDWHQQQVNRRNEKGNEFGVDWLAIIDLPSSSGLQQLQQQHGLSNTCAPASLVNSEGDDNGDELSRLAKELNL >DRNTG_11889.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15073728:15081250:1 gene:DRNTG_11889 transcript:DRNTG_11889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWACPELMSFSRSEFRRLDDRRRRPAQTGRNPPRRIA >DRNTG_22836.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5010265:5013793:-1 gene:DRNTG_22836 transcript:DRNTG_22836.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAMDCMSRKDSRPSSVSRSKRNSKSQRKTSATAEDEQLHRQALAMAIQQHQLSQRFEGSMSRRITGSTSSRRLADPFSNGKQQAPIVLDNVETKKLVLVHGEGFGAWCWYKTITMLEEAGLEPIALDLTGSGIDTTDTNIITSLEDYAKPLINYLHNLPEGEKVILVGHSCGGASISYALECYPKKISKAVFVCATMVADGQKPFDIFAEELASAEAFMQESQFLLYGNGRDKPPTSLMFSKQQIKGLYFNQSPPKDIALATVAMRPTPLAPIMEKLSLSPGNYGSVRRYFVQTLDDRMLSPDVQEKLVRENPPHGVYKIKGSDHCPFFSKPLSLNKILLEIIQL >DRNTG_22836.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5010265:5013793:-1 gene:DRNTG_22836 transcript:DRNTG_22836.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEAGLEPIALDLTGSGIDTTDTNIITSLEDYAKPLINYLHNLPEGEKVILVGHSCGGASISYALECYPKKISKAVFVCATMVADGQKPFDIFAEELASAEAFMQESQFLLYGNGRDKPPTSLMFSKQQIKGLYFNQSPPKDIALATVAMRPTPLAPIMEKLSLSPGNYGSVRRYFVQTLDDRMLSPDVQEKLVRENPPHGVYKIKGSDHCPFFSKPLSLNKILLEIIQL >DRNTG_19760.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6128930:6133335:-1 gene:DRNTG_19760 transcript:DRNTG_19760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGAEAVHSANPEILAILSGLDYDKDLSFLSMKQVELPFTDKLVFELHWYGFSDGQDWQNGNSNEACGNATSNFIRKGGFLLKDGWPLFLSEFGVDVMGNSLADNLFLSCFLSVAAELDLDWAIWALQGSYYRREGQLDFDETYGVLSRDWSKARNERFVYRLAGVQKPFQGPGLSNVPQYNIIFHPLTGLCIQKKSLFKLELGPCAQSDSWNYTLEDDIMEKSSGFCLQVEDIGKPPKLGTQCGESSSKWKLISDSKMHIASEMAVNGSAVCLDVSPEGFIVTNFCKCLRYETCSPESQWFKIISSTRYETEQKALMDLLPTGALDVYTPIVGRRR >DRNTG_19760.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6128930:6133335:-1 gene:DRNTG_19760 transcript:DRNTG_19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEPQDIQTQLPLAMFILLSFLLFLCPTSLLTESTSLPSLPLSTSSRWVVDAAGRRVKLACGNWAAHMETVVAEGLDKQPIKTISERIASMGFNCVRLTWPTDLLSDPSLASLTVRASLLRLGLMEAAAGVQANNPEIMDLTLFQAFQAVVSNLADSNIMVILDNQITKPGWCCSKLDDNGFFGDKFFDPEEWLEALTKMATLFRGSTNVVGMSLRNELRGPNQTLDGWYKYMQRGAEAVHSANPEILAILSGLDYDKDLSFLSMKQVELPFTDKLVFELHWYGFSDGQDWQNGNSNEACGNATSNFIRKGGFLLKDGWPLFLSEFGVDVMGNSLADNLFLSCFLSVAAELDLDWAIWALQGSYYRREGQLDFDETYGVLSRDWSKARNERFVYRLAGVQKPFQGPGLSNVPQYNIIFHPLTGLCIQKKSLFKLELGPCAQSDSWNYTLEDDIMEKSSGFCLQVEDIGKPPKLGTQCGESSSKWKLISDSKMHIASEMAVNGSAVCLDVSPEGFIVTNFCKCLRYETCSPESQWFKIISSTRYETEQKALMDLLPTGALDVYTPIVGRRR >DRNTG_28154.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5600974:5603935:-1 gene:DRNTG_28154 transcript:DRNTG_28154.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor B-1 [Source:Projected from Arabidopsis thaliana (AT4G36990) UniProtKB/Swiss-Prot;Acc:Q96320] MGRNGRRGGGMGGPPPFLIKTYEMVEDAETDEVISWAEMGKSFVVWKPVEFARDILPAHFKHNNFSSFVRQLNTYGFRKIVPDRWEFANDYFRRGEQNLLSEIRRRKSIQAQSATKGNPLPPSASNSVEAPSISTASSPPPAATSQNPQHFLDLSNENKKLKEDNQLLSSELAQAKLKCEELLASLSAYADARELDTRPLVQEAARQGVRIASSVREVTQWEVDAEMGGEKEECLKLFGVLFKVFEGRKKRGRCEEGSSSPGQPMKMRLGAPWMGISPPVQGSNSVCN >DRNTG_27178.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:649829:656862:-1 gene:DRNTG_27178 transcript:DRNTG_27178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLRDALRRLCVEIGWSYAVFWRSIGSRNPMHLVWSDGHCERPVDISGFDAMDMLLKERGAARSSGNDRVSEIRGVAGDRLSMLVKTTMASQVHVVGDGIIGKAALTGNHWWILRDLLDGSTSDCLGEMRPQFLAGIQTIAIIPVLPFGVVQLGSTQSVIENIGFVSHVRCLFSQFGHTPGALSCYPTQNVLGVFQGKNSQMHESPAIPTANCPSKKICEGMGRSLPSIADGCSPQSGRSSSRVSVSQPSYSSLVHDKLESGASKVAANDVSTPTVHSEMCQQIIDPVINPVHLNNPLERVPMEVQKEFGMPFVSSDHPTSRYSGSLEESPVNNREPLRRRSNRFISSIPLTDLDDIALFDGMKSLVDANAIGKTGSLPPGIKKTLKIPCFPARTGSSNIKNGAKDANSQPMFVEDSTYAGSVKQDSQNVVTSRVQEQDNNLFEATDILPTELGKQGSSYNSCFGALPDCWINSSDPFTEERQYPNTEFDTGVLVNSQNKISEKSGHVNAVTQHSSQVLLQPGSGNDLFDVLGVEYKSNHCYASLDEIEQADQFNAIEMDTGVSTCFTQLDTGSIYDSLNYENSCNGNFLEDYHDQLLDAVVSKAKSSAKQNSDDNVSCKTSITNISNSSLCASPASGWVSSSEQMENNYLGLLPMLLRTEAASSGAAKSACSSDKTEDCHTRNASYKSQVIPCFEIGQSIKRISATSAPNKQVDDTGKLTRKRSRPGESPRPRPKDRQMIQDRVKELREIVPNGAKCSIDALLEKTIKHMLFLQSVTKHADKLKETGEPKIVNKEGGILLKDNFEGGATWAFEVGNQSMVCPIIVEDLNPPRQMLVEMLCEERGLFLEIADLIRGLGLTILKGVMESREDKIWARFAVEANRDVTRMEIFLSLVRLLEPTMGGHIIPVGIDNASMPQILVPQCSIPATGLSDLLG >DRNTG_22025.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2528920:2544207:-1 gene:DRNTG_22025 transcript:DRNTG_22025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFISKGTSPLYKDGYNPILAVIIHRKGAVLNDLFLFGRNQRKHSMHVISRFSEAGALALCISKVPHLPGFAILFRMGDLLLMDLRDPHNISCIHRINFSFSSASEELISAEESYRGLDVDDEGMSNVAACALLKLRDSGGNMIRGDDPMNIDSRSGKTIPSEKHVCSWSWEPCESMTSRLIFCLDSGELFIIEIHSDVEGIRVNSSDCHYRGLPCKALSWVKGGLIVGLVEMGDGMVLKLENGRVIYKSPIQNIAPILDLSVVDCHDEKQEIFASCGMNTEGSVRVIQSGISVEKLVSTAPIYHGITGTWTLKMKESDLYHSFLVLSFVEETRVLSVGVSFRDVSDATGFRSDACTLACGLVADGVLVQIHRTGVRLCFPTTLAHPDGIPLSDPMCTLWNPGNMAISLGAVSNDVIVVATSNPCYLLVLGIKQHSDFHFEIQEVQHLTLQHEVSCISIPRYTLSHEYTHPEVNMSNTNDTCSHNTIVVGKVFVIGTHKPSVEVYSFVCEEGFKLFAIGFISINNAIGGPTSGSIPENVRLVIVDKSYVLSGLRNGMLLRFEWPTVSAGPQNQLQHETSLNKSLTSSSVTPLYSPGGGTQNAEESLPILLQLIAIRRIGITPVFLVGLDDSLDADVLVLSDKPWLLHTARHGLAYTSIAFQPATHATPVCSVDCPKGIFFVSENSLHLVEMVYKRLNVQKFPVGGTPRKVLFHSDTKTLLILRTGLNGASGSSDICCMDPISGSILSTYTFGLGEIAKSMQMMKVGNVSVLIVGTSLSAGRTTMPSGEAESNAKGRLLVLSLDMGQSSSDNASLAVCPNFSSSFQAISTLSETVGNASERLSSNSLCSSPDDPNSDGAKMEETEPGQLRMVFQQSLSGAVLHVCPYLGRYVLASAGNTLNVFGFQNDNPHRVRKYAVSKTRFTINCLTTHFTRIVVGDCRDGIIFYSYQEDLRKLQPLYSDPVQRLVAACVLMDMDTAVVSDRIGSLAVLSCVHHAQGNGSPEKNLMQSCSFYMGETVMSIQKGLFFHKLPMDDLRGNCNTAEMVFESACDSIIASTLLGSVLILIPITSQEHELLEYVQARLAVHPLTSPILGNDHQEFRGRRTREGVPIILDGDMLAQFLELTNIEQEAVLATSSQLGTRASTSNSSGSNVTANQIVRLLERYHYVFHGRLL >DRNTG_22025.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2528920:2539003:-1 gene:DRNTG_22025 transcript:DRNTG_22025.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHVISRFSEAGALALCISKVPHLPGFAILFRMGDLLLMDLRDPHNISCIHRINFSFSSASEELISAEESYRGLDVDDEGMSNVAACALLKLRDSGGNMIRGDDPMNIDSRSGKTIPSEKHVCSWSWEPCESMTSRLIFCLDSGELFIIEIHSDVEGIRVNSSDCHYRGLPCKALSWVKGGLIVGLVEMGDGMVLKLENGRVIYKSPIQNIAPILDLSVVDCHDEKQEIFASCGMNTEGSVRVIQSGISVEKLVSTAPIYHGITGTWTLKMKESDLYHSFLVLSFVEETRVLSVGVSFRDVSDATGFRSDACTLACGLVADGVLVQIHRTGVRLCFPTTLAHPDGIPLSDPMCTLWNPGNMAISLGAVSNDVIVVATSNPCYLLVLGIKQHSDFHFEIQEVQHLTLQHEVSCISIPRYTLSHEYTHPEVNMSNTNDTCSHNTIVVGKVFVIGTHKPSVEVYSFVCEEGFKLFAIGFISINNAIGGPTSGSIPENVRLVIVDKSYVLSGLRNGMLLRFEWPTVSAGPQNQLQHETSLNKSLTSSSVTPLYSPGGGTQNAEESLPILLQLIAIRRIGITPVFLVGLDDSLDADVLVLSDKPWLLHTARHGLAYTSIAFQPATHATPVCSVDCPKGIFFVSENSLHLVEMVYKRLNVQKFPVGGTPRKVLFHSDTKTLLILRTGLNGASGSSDICCMDPISGSILSTYTFGLGEIAKSMQMMKVGNVSVLIVGTSLSAGRTTMPSGEAESNAKGRLLVLSLDMGQSSSDNASLAVCPNFSSSFQAISTLSETVGNASERLSSNSLCSSPDDPNSDGAKMEETEPGQLRMVFQQSLSGAVLHVCPYLGRYVLASAGNTLNVFGFQNDNPHRVRKYAVSKTRFTINCLTTHFTRIVVGDCRDGIIFYSYQEDLRKLQPLYSDPVQRLVAACVLMDMDTAVVSDRIGSLAVLSCVHHAQGNGSPEKNLMQSCSFYMGETVMSIQKGLFFHKLPMDDLRGNCNTAEMVFESACDSIIASTLLGSVLILIPITSQEHELLEYVQARLAVHPLTSPILGNDHQEFRGRRTREGVPIILDGDMLAQFLELTNIEQEAVLATSSQLGTRASTSNSSGSNVTANQIVRLLERYHYVFHGRLL >DRNTG_22025.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2544010:2544207:-1 gene:DRNTG_22025 transcript:DRNTG_22025.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPESKPPRRAMVRTSSPSSSSVVAPFSKPSMATSAPLPLMMSSLER >DRNTG_22025.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2528920:2544207:-1 gene:DRNTG_22025 transcript:DRNTG_22025.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVDSDGCYIAVSAYEDRFALFPLSISAGSRIVDEKILYPPENEVETSSLRDAQRSNIRGTVWSMCFISKGTSPLYKDGYNPILAVIIHRKGAVLNDLFLFGRNQRKHSMHVISRFSEAGALALCISKVPHLPGFAILFRMGDLLLMDLRDPHNISCIHRINFSFSSASEELISAEESYRGLDVDDEGMSNVAACALLKLRDSGGNMIRGDDPMNIDSRSGKTIPSEKHVCSWSWEPCESMTSRLIFCLDSGELFIIEIHSDVEGIRVNSSDCHYRGLPCKALSWVKGGLIVGLVEMGDGMVLKLENGRVIYKSPIQNIAPILDLSVVDCHDEKQEIFASCGMNTEGSVRVIQSGISVEKLVSTAPIYHGITGTWTLKMKESDLYHSFLVLSFVEETRVLSVGVSFRDVSDATGFRSDACTLACGLVADGVLVQIHRTGVRLCFPTTLAHPDGIPLSDPMCTLWNPGNMAISLGAVSNDVIVVATSNPCYLLVLGIKQHSDFHFEIQEVQHLTLQHEVSCISIPRYTLSHEYTHPEVNMSNTNDTCSHNTIVVGKVFVIGTHKPSVEVYSFVCEEGFKLFAIGFISINNAIGGPTSGSIPENVRLVIVDKSYVLSGLRNGMLLRFEWPTVSAGPQNQLQHETSLNKSLTSSSVTPLYSPGGGTQNAEESLPILLQLIAIRRIGITPVFLVGLDDSLDADVLVLSDKPWLLHTARHGLAYTSIAFQPATHATPVCSVDCPKGIFFVSENSLHLVEMVYKRLNVQKFPVGGTPRKVLFHSDTKTLLILRTGLNGASGSSDICCMDPISGSILSTYTFGLGEIAKSMQMMKVGNVSVLIVGTSLSAGRTTMPSGEAESNAKGRLLVLSLDMGQSSSDNASLAVCPNFSSSFQAISTLSETVGNASERLSSNSLCSSPDDPNSDGAKMEETEPGQLRMVFQQSLSGAVLHVCPYLGRYVLASAGNTLNVFGFQNDNPHRVRKYAVSKTRFTINCLTTHFTRIVVGDCRDGIIFYSYQEDLRKLQPLYSDPVQRLVAACVLMDMDTAVVSDRIGSLAVLSCVHHAQGNGSPEKNLMQSCSFYMGETVMSIQKGLFFHKLPMDDLRGNCNTAEMVFESACDSIIASTLLGSVLILIPITSQEHELLEYVQARLAVHPLTSPILGNDHQEFRGRRTREGVPIILDGDMLAQFLELTNIEQEAVLATSSQLGTRASTSNSSGSNVTANQIVRLLERYHYVFHGRLL >DRNTG_22025.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2528920:2544207:-1 gene:DRNTG_22025 transcript:DRNTG_22025.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGEEELHAGIQTSKESNGPHFLAKFILRGSAVLQAVYGHLRSPSSHDVVFGKETSIELVVIGEDGIVRSICEQPVFGIIKDLAILCWNDGFREATLQTQGKDLLVVLSDSGKLSFLTFSLEMHRFFAVTHIQLSKPGNLRHQLGRMLAVDSDGCYIAVSAYEDRFALFPLSISAGSRIVDEKILYPPENEVETSSLRDAQRSNIRGTVWSMCFISKGTSPLYKDGYNPILAVIIHRKGAVLNDLFLFGRNQRKHSMHVISRFSEAGALALCISKVPHLPGFAILFRMGDLLLMDLRDPHNISCIHRINFSFSSASEELISAEESYRGLDVDDEGMSNVAACALLKLRDSGGNMIRGDDPMNIDSRSGKTIPSEKHVCSWSWEPCESMTSRLIFCLDSGELFIIEIHSDVEGIRVNSSDCHYRGLPCKALSWVKGGLIVGLVEMGDGMVLKLENGRVIYKSPIQNIAPILDLSVVDCHDEKQEIFASCGMNTEGSVRVIQSGISVEKLVSTAPIYHGITGTWTLKMKESDLYHSFLVLSFVEETRVLSVGVSFRDVSDATGFRSDACTLACGLVADGVLVQIHRTGVRLCFPTTLAHPDGIPLSDPMCTLWNPGNMAISLGAVSNDVIVVATSNPCYLLVLGIKQHSDFHFEIQEVQHLTLQHEVSCISIPRYTLSHEYTHPEVNMSNTNDTCSHNTIVVGKVFVIGTHKPSVEVYSFVCEEGFKLFAIGFISINNAIGGPTSGSIPENVRLVIVDKSYVLSGLRNGMLLRFEWPTVSAGPQNQLQHETSLNKSLTSSSVTPLYSPGGGTQNAEESLPILLQLIAIRRIGITPVFLVGLDDSLDADVLVLSDKPWLLHTARHGLAYTSIAFQPATHATPVCSVDCPKGIFFVSENSLHLVEMVYKRLNVQKFPVGGTPRKVLFHSDTKTLLILRTGLNGASGSSDICCMDPISGSILSTYTFGLGEIAKSMQMMKVGNVSVLIVGTSLSAGRTTMPSGEAESNAKGRLLVLSLDMGQSSSDNASLAVCPNFSSSFQAISTLSETVGNASERLSSNSLCSSPDDPNSDGAKMEETEPGQLRMVFQQSLSGAVLHVCPYLGRYVLASAGNTLNVFGFQNDNPHRVRKYAVSKTRFTINCLTTHFTRIVVGDCRDGIIFYSYQEDLRKLQPLYSDPVQRLVAACVLMDMDTAVVSDRIGSLAVLSCVHHAQGNGSPEKNLMQSCSFYMGETVMSIQKGLFFHKLPMDDLRGNCNTAEMVFESACDSIIASTLLGSVLILIPITSQEHELLEYVQARLAVHPLTSPILGNDHQEFRGRRTREGVPIILDGDMLAQFLELTNIEQEAVLATSSQLGTRASTSNSSGSNVTANQIVRLLERYHYVFHGRLL >DRNTG_22025.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2528920:2539307:-1 gene:DRNTG_22025 transcript:DRNTG_22025.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCFISKGTSPLYKDGYNPILAVIIHRKGAVLNDLFLFGRNQRKHSMHVISRFSEAGALALCISKVPHLPGFAILFRMGDLLLMDLRDPHNISCIHRINFSFSSASEELISAEESYRGLDVDDEGMSNVAACALLKLRDSGGNMIRGDDPMNIDSRSGKTIPSEKHVCSWSWEPCESMTSRLIFCLDSGELFIIEIHSDVEGIRVNSSDCHYRGLPCKALSWVKGGLIVGLVEMGDGMVLKLENGRVIYKSPIQNIAPILDLSVVDCHDEKQEIFASCGMNTEGSVRVIQSGISVEKLVSTAPIYHGITGTWTLKMKESDLYHSFLVLSFVEETRVLSVGVSFRDVSDATGFRSDACTLACGLVADGVLVQIHRTGVRLCFPTTLAHPDGIPLSDPMCTLWNPGNMAISLGAVSNDVIVVATSNPCYLLVLGIKQHSDFHFEIQEVQHLTLQHEVSCISIPRYTLSHEYTHPEVNMSNTNDTCSHNTIVVGKVFVIGTHKPSVEVYSFVCEEGFKLFAIGFISINNAIGGPTSGSIPENVRLVIVDKSYVLSGLRNGMLLRFEWPTVSAGPQNQLQHETSLNKSLTSSSVTPLYSPGGGTQNAEESLPILLQLIAIRRIGITPVFLVGLDDSLDADVLVLSDKPWLLHTARHGLAYTSIAFQPATHATPVCSVDCPKGIFFVSENSLHLVEMVYKRLNVQKFPVGGTPRKVLFHSDTKTLLILRTGLNGASGSSDICCMDPISGSILSTYTFGLGEIAKSMQMMKVGNVSVLIVGTSLSAGRTTMPSGEAESNAKGRLLVLSLDMGQSSSDNASLAVCPNFSSSFQAISTLSETVGNASERLSSNSLCSSPDDPNSDGAKMEETEPGQLRMVFQQSLSGAVLHVCPYLGRYVLASAGNTLNVFGFQNDNPHRVRKYAVSKTRFTINCLTTHFTRIVVGDCRDGIIFYSYQEDLRKLQPLYSDPVQRLVAACVLMDMDTAVVSDRIGSLAVLSCVHHAQGNGSPEKNLMQSCSFYMGETVMSIQKGLFFHKLPMDDLRGNCNTAEMVFESACDSIIASTLLGSVLILIPITSQEHELLEYVQARLAVHPLTSPILGNDHQEFRGRRTREGVPIILDGDMLAQFLELTNIEQEAVLATSSQLGTRASTSNSSGSNVTANQIVRLLERYHYVFHGRLL >DRNTG_32690.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:10540208:10541405:1 gene:DRNTG_32690 transcript:DRNTG_32690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIICQTVTLDIRTQIADLSTALEMWDYLERRYCGSSEAQLYTLYQSFSSLQQGENTVDQFYSRYCALWRQIDALTPPYCATHAAQILTCSESCSRRSSHDGTRRMYEFIMRLRLEFEQTRAQLLHAPSVYSLDDAFTFVRAEKIRLRASITGGGNALAVPRLSSVSSSSSTRPPAFSVSSRPSSTRPKRTVICHCCGMFGHLKREC >DRNTG_05078.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4641905:4643009:-1 gene:DRNTG_05078 transcript:DRNTG_05078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTFSFFTGLLQARALATPTNLRPFGDSPAGERRHSSTAPTDSCPSCDRLQLGRSGHSGTSNCSRPYLRSSRAASAALIITVHLSSLAGDPSGEVHTSSPEEGLELLADVTLDDEEEAVGPTGRCWSWKRSRTIQSSPIGPPMVRPASGTCRQSNQSPATNHRSTSSLATSRPTSGDTPVVHQWLGPPSVTGHQSTAGPVTD >DRNTG_26364.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:528140:530050:-1 gene:DRNTG_26364 transcript:DRNTG_26364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >DRNTG_22449.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18023597:18030112:1 gene:DRNTG_22449 transcript:DRNTG_22449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFFACFRMKDENQRTNQMVSQSISTKNRDPLVSRHQLGSVFLAEEKENGSSCERVERSNLRDSFQKEDLIDNELKNEAKFLKSCGALLETPGEIRKASQRTVSHNPHEEKSSSNFHSWLPGTSLNKLLWDEPLDHHSSSPKKHVYEDSQSPGKVYGRSVNFEDKKQMSGSASPSHPESQAKQVGPKPLPSPYPTPLKLNDEMQTPGTVYPTKLENFGTGKNVRIRTQYLYPVLNPVENTSQWKALSGGSHLQVQSSEIIVQGRGPDAGENKQQLNKMHTLTPKDSKSSLSPGIASPIAKGNQNIEMSYDDKYVSCNSPSSVIPLLDNREKVFLRPNDEQLVVSSLSQWLKPLPANAERGKDHDTINENSHSGKSPSGDRPILGTVAAHWNEDEPSHISPKWWDGNGIPNSTNKYKEDQKVKWHATPFEERLEKALSEEKLFPPRENLEAKIMEFDYEGEESDTAAS >DRNTG_25365.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24192522:24193591:-1 gene:DRNTG_25365 transcript:DRNTG_25365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSSNTSSHHHHHPHPHPQDELRDIHALITDQHQPSSLKSELLSSLMNREHMRSSMNDDGAGDDQLQQEEEEQEVNPLAIVVNQEHNNNTSDHQEAVSLYRVRQEEVETKIVAWQTEEVSKINNRFKRQSAIISSWENAQVDMATNNFKKVERKLEERRARAMEKMQNEVVEAKRKAEEKRASAEGKRGEKVAGVLELAKLMRAVGRAPSRRSFF >DRNTG_25365.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24192640:24193591:-1 gene:DRNTG_25365 transcript:DRNTG_25365.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSSNTSSHHHHHPHPHPQDELRDIHALITDQHQPSSLKSELLSSLMNREHMRSSMNDDGAGDDQLQQEEEEQEVNPLAIVVNQEHNNNTSDHQEAVSLYRVRQEEVETKIVAWQTEEVSKINNRFKRQSAIISSWENAQVDMATNNFKKVERKLEERRARAMEKMQNEVVEAKRKAEEKRASAEGKRGEKVAGVLELAKLMRAVGRAPSRRSFF >DRNTG_25365.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24192640:24193659:-1 gene:DRNTG_25365 transcript:DRNTG_25365.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSSNTSSHHHHHPHPHPQDELRDIHALITDQHQPSSLKSELLSSLMNREHMRSSMNDDGAGDDQLQQEEEEQEVNPLAIVVNQEHNNNTSDHQEAVSLYRVRQEEVETKIVAWQTEEVSKINNRFKRQSAIISSWENAQVDMATNNFKKVERKLEERRARAMEKMQNEVVEAKRKAEEKRASAEGKRGEKVAGVLELAKLMRAVGRAPSRRSFF >DRNTG_20362.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2697808:2698421:1 gene:DRNTG_20362 transcript:DRNTG_20362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNKVESKMNIPCSKKGKEGNFFDNLKSQFDVFMNTSMEQHRICLQRSFNDFRDFVKHKREELSLKASNAGGNGGEADGKGTNNS >DRNTG_24633.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31182075:31182376:-1 gene:DRNTG_24633 transcript:DRNTG_24633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDIDPHAGSQKEGHSDGSHNRKLKDFEDIKIRIPLHEE >DRNTG_08299.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000375.1:85807:87617:-1 gene:DRNTG_08299 transcript:DRNTG_08299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKVLWEEGRYASDVLAGMDQAIADGVDVISISMGYDGVELYEDPIAIASFAAMEKGIIVSSSAGNYGPDLSSLHNGIPWVLTVAAGTVDRKFSGTMELGNGQSIIGTTMYPENALIVDVQLVYNKTISACNSSSLLSSAAEGSIVICDNTVEAWEQVHYVTESTAGGAVLITNDTESVYHFRCPAILVTPKAGKTLIKYAKKQPQRYYYNEVQANIIGHKPAPAVASYSSRGPSPNYPGVLKPDILAPGNNILAAWSPVSPSAAIGNALIASEYNIISGTSMACPHASGVAALLKAAHPSWSPAAIRSAMMTSASVLDNTHKPIRDIGTNFGYASPLALGAGHVDPNKALDPGLVYDASPQDYVNMLCASNYTHKQMSMITRSSTAFHCSKPSTDLNYPSFIAIFNNSMHYSRTFGRTVTNVGDGPVIYKVTVMAPVGISVVVQPELLVFKEKYEKQSYKVYLKARSKGKEAAYGSLVWVDDKGKYTVRSPLVVLF >DRNTG_15462.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16331122:16331758:-1 gene:DRNTG_15462 transcript:DRNTG_15462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPKSKNSIELQPLGQDRNEETHNLIEKPIQSPPSTLSQALASTAHLANLLPTGTVLAFQLLVPVFTNNGSCDTITRPLSLFLLTILAVSCFLACFTDSFRSPDGKASLWHCHLAWLVAF >DRNTG_07669.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23381961:23383357:-1 gene:DRNTG_07669 transcript:DRNTG_07669.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEIHISVELADDSVVSKIVDSIVNEIPVLVEPADGTAASKRETLPQPSTTVPSNKLKDSTDEGQGNANEKTTTGAAVDSMVLTNQQYKEVRIDFQLKKKRYPGQRTIVRKNDYVSTTRASLCTILDEKKMVTNHMMDAFEDARKTALTMMGDVACNLHNVDIVILPIIMNGNFHLVGLDKDKQEYRHYISPESEEYDKDAGEMRKLFDYCVDMNLGESATIPYPLVHDTNTSRQKRRSVDCVVYVLQFIEQLLNGEKLWLPQADIPYWRLKYFTRILKDGSAAGITKKRDSLTGVKKD >DRNTG_07562.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21805782:21807136:-1 gene:DRNTG_07562 transcript:DRNTG_07562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAIHMSNKPWDRWDFLATVACIIGIVIAYYADTQLHDFVQKNERLKELGAPTVPNLDKGLWRYSRHPNYFGEQLWWWSLAGFAWNLEQGWMFIGTFINTLCLAYVTVLVEKRMLKQQHRAEAYGRYQKSTSVWIPWFRSAIKDSKAKTS >DRNTG_21837.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6062560:6066025:1 gene:DRNTG_21837 transcript:DRNTG_21837.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFLSKVQIEFNPLNPRTAACMEFLAQCNSRKAKESNPACQVEVKRRIDDHPPQITVTYVNGVVENIDAASTPAQHIRQRILERGQLLETEQMFREAGEPWPVLIPEEELHQTFPGTKPRKAQEKSQ >DRNTG_00763.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13198218:13199480:1 gene:DRNTG_00763 transcript:DRNTG_00763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSITQCCYQNPSFLRYWMINRRFLSLFLRRARTNLISSINIRRLRSLMIRTM >DRNTG_14998.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23945074:23946084:1 gene:DRNTG_14998 transcript:DRNTG_14998.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEQLKHIAALLLFLNLCMYIILAAIGGWALNIALDRAFIIGEDLKLPAYFTPIFFPIGNAATGFFVLFSLIAAVVGAASMLTGINHISSWNNNSLASAASSAITAWSLTLLAMGLACKEIAIERRNARLRTMEAFTIILSATQLFYILAIHGASAKV >DRNTG_06931.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16816419:16847596:1 gene:DRNTG_06931 transcript:DRNTG_06931.1 gene_biotype:protein_coding transcript_biotype:protein_coding QQNDEVNQTLANIFKNRLNNTATNGQKPGTFFETDSKIGKEPKRVTFSK >DRNTG_06931.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16816419:16817971:1 gene:DRNTG_06931 transcript:DRNTG_06931.2 gene_biotype:protein_coding transcript_biotype:protein_coding QQNDEVNQTLANIFKNRLNNTATNGQKPGTFFETDSKIGKEPKRVTFSK >DRNTG_00392.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17889837:17895200:1 gene:DRNTG_00392 transcript:DRNTG_00392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARIGHFVEDLILETIVIYYVDVYEVLTPTDDEHHINKHNFCVPFVCRCQGPPAHP >DRNTG_32472.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12413366:12431197:1 gene:DRNTG_32472 transcript:DRNTG_32472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILNLVDATNPLSFASAAPKLRRCPISAGGSLRPSRACSNMGYTIFTWRRTTKLFSSSKCDTSSSKKMRFVIRNVSVRPLDEDTVEGQIKNNSVSGDAIRQCFLDFYAARGHKILPSSSLVPDDPTVLLTIAGMLQFKPIFLGKEPRHVARAATPQRCIRTNDVENVGRTSRHHTFFEMLGNFSFGDYFKREAINWAWELTTKEFGLPPERLWVSVFEDDDEAYSIWHDEV >DRNTG_02547.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3361774:3371296:-1 gene:DRNTG_02547 transcript:DRNTG_02547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGHGLAPVSDLVMVHTRVEFSHGRVFPGRDLEIYPEKTQGEATGRASAPIGRAHGRGNFPHARVDAFRSIRILTSLLPRERILSCVRRRFGELYPRLDKGVLGEDEARRLLKTIDTNDKGIFSMDCFAYAFDV >DRNTG_01931.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32442750:32445396:1 gene:DRNTG_01931 transcript:DRNTG_01931.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEARAIKKGSRVCLTGAGGFVASWLVKLLLSHGYHVHATVRDPCDKKNSHLKKLENAMENLQLFKADLLDYDSILAAAAGCEGVFHVASPVPTTKVPNPEVELISPAVTGTQNVLKACSTLRVKRVVVVSSGAAVILNPHWPKGKVMDEECWSDPEHCRMTENWYCLSKTLAEADTFKYAKENDLDVVTVCPSMVLGPIMQPTLNASTLFLINILKGLRESKENSLYHTVDVRDVADALLLVYEKPEASGRYICASHPIKIRELIDTLRSIYPNYNYPNNLTEVDYGHLVSSEKLKKLGWKCRPLKETLVDSVESYKEAGLLNN >DRNTG_03054.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18599020:18600094:-1 gene:DRNTG_03054 transcript:DRNTG_03054.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDDDDTLIAVNGRHCTSIKSMLHHHNWYDFFERLVIELLINDQQHDGLSSVTPSFSINEHLQRRGTSSFTSSSI >DRNTG_27656.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001402.1:79652:80214:1 gene:DRNTG_27656 transcript:DRNTG_27656.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIEKLQFLKYLELGNKSYCGKQVICSATGFPQLLSLTVVGFYELEEWTIEENAMPCLKYLLLFRCPKLKMIPEGLKNVPLDQLELTLMSAEFKTRIKENTGEDWYKIQHVPNISII >DRNTG_09088.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6125240:6129860:1 gene:DRNTG_09088 transcript:DRNTG_09088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLKQGMMEAISLRLVEDFLGYQSLRALQSDCSIQCQTLERSCGSHHSDGRLEFKEAFAWFEERFYDIRHRVPMEENYWGSFRRHRFGEVYPRVGQSKVEEGREGESRGSGTPPFSSDVVYHTFTFQEFFAVMIE >DRNTG_15977.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5123733:5124587:1 gene:DRNTG_15977 transcript:DRNTG_15977.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML41 [Source:Projected from Arabidopsis thaliana (AT3G50770) UniProtKB/Swiss-Prot;Acc:Q8L3R2] MASSTSAPKSSKWFSNKALKLSLHKLRHPKSSNSSSSSTAPCTHLERRNSRRANEFREAFRRFDVDGDGKISSEELKSFLCWAGDEVSSEAAEAVMRDFDMDGDGLMDYEDFVRLVESERGSVAGDEDLRRAFEMFVAEKGAGCITADGLQRMLSRLGDVRSYEECAAMIRAYDLDGNGVLDFNEFHRMMN >DRNTG_16652.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:19216439:19224351:1 gene:DRNTG_16652 transcript:DRNTG_16652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLGQSFKCKNPLLFFLINAMGPTPPLVVKFPDPVRQQRFECLQSLKIRQSRFIGWDALEDIGLAEEAYADTIKYTQLLTKNPHDLTPSQVFHYFMRRLQVCFRLVEGIFSHTITIQSLPKIMFPENQHRHVEIPHARVDIPQARVDARFQLYLSRDSARF >DRNTG_24767.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20365638:20369439:-1 gene:DRNTG_24767 transcript:DRNTG_24767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIMETKGKEQFVDVHYVNTAVPCVVEEYYVGFPDSHEDQESVYQTARASSSAGSRSDFVRDQSTNRGNSLRTASVVRSQLTIDEALARELQELEVAEVDITSKSSTKSSGGQYTSNTSDQVVRQDDVDPDNMTYEELQSLGEAIGAETKGLSDELISFLPTSTYKTGLFSRKNKEDKCVICHDSYKNRVKLIHLPCQHYFHSKCVAHWLKINKACPICKEEVFGS >DRNTG_07414.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7267128:7268021:1 gene:DRNTG_07414 transcript:DRNTG_07414.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMNLTLAGNLSFKTSSVGIRRRGAVAMAAAVAPAMRKEKKGLYEVLKVRETATAGEIKVAYRALAKRFHPDLGNGKDGNEMDFVEINRAYETLSDPLERAKYDSETRKGGFGFGYGMERRFQSRRTWETDQCW >DRNTG_07414.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7267248:7268155:1 gene:DRNTG_07414 transcript:DRNTG_07414.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMNLTLAGNLSFKTSSVGIRRRGAVAMAAAVAPAMRKEKKGLYEVLKVRETATAGEIKVAYRALAKRFHPDLGNGKDGNEMDFVEINRAYETLSDPLERAKYDSETRKGGFGFGYGMERRFQSRRTWETDQCW >DRNTG_07414.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7267207:7268394:1 gene:DRNTG_07414 transcript:DRNTG_07414.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMNLTLAGNLSFKTSSVGIRRRGAVAMAAAVAPAMRKEKKGLYEVLKVRETATAGEIKVAYRALAKRFHPDLGNGKDGNEMDFVEINRAYETLSDPLERAKYDSETRKGGFGFGYGMERRFQSRRTWETDQCW >DRNTG_07414.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7266965:7268155:1 gene:DRNTG_07414 transcript:DRNTG_07414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMNLTLAGNLSFKTSSVGIRRRGAVAMAAAVAPAMRKEKKGLYEVLKVRETATAGEIKVAYRALAKRFHPDLGNGKDGNEMDFVEINRAYETLSDPLERAKYDSETRKGGFGFGYGMERRFQSRRTWETDQCW >DRNTG_07414.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7267248:7268021:1 gene:DRNTG_07414 transcript:DRNTG_07414.12 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMNLTLAGNLSFKTSSVGIRRRGAVAMAAAVAPAMRKEKKGLYEVLKVRETATAGEIKVAYRALAKRFHPDLGNGKDGNEMDFVEINRAYETLSDPLERAKYDSETRKGGFGFGYGMERRFQSRRTWETDQCW >DRNTG_07414.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7267248:7268394:1 gene:DRNTG_07414 transcript:DRNTG_07414.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMNLTLAGNLSFKTSSVGIRRRGAVAMAAAVAPAMRKEKKGLYEVLKVRETATAGEIKVAYRALAKRFHPDLGNGKDGNEMDFVEINRAYETLSDPLERAKYDSETRKGGFGFGYGMERRFQSRRTWETDQCW >DRNTG_07414.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7267128:7268155:1 gene:DRNTG_07414 transcript:DRNTG_07414.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMNLTLAGNLSFKTSSVGIRRRGAVAMAAAVAPAMRKEKKGLYEVLKVRETATAGEIKVAYRALAKRFHPDLGNGKDGNEMDFVEINRAYETLSDPLERAKYDSETRKGGFGFGYGMERRFQSRRTWETDQCW >DRNTG_07414.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7267207:7268021:1 gene:DRNTG_07414 transcript:DRNTG_07414.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMNLTLAGNLSFKTSSVGIRRRGAVAMAAAVAPAMRKEKKGLYEVLKVRETATAGEIKVAYRALAKRFHPDLGNGKDGNEMDFVEINRAYETLSDPLERAKYDSETRKGGFGFGYGMERRFQSRRTWETDQCW >DRNTG_07414.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7266965:7268021:1 gene:DRNTG_07414 transcript:DRNTG_07414.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMNLTLAGNLSFKTSSVGIRRRGAVAMAAAVAPAMRKEKKGLYEVLKVRETATAGEIKVAYRALAKRFHPDLGNGKDGNEMDFVEINRAYETLSDPLERAKYDSETRKGGFGFGYGMERRFQSRRTWETDQCW >DRNTG_07414.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7267207:7268155:1 gene:DRNTG_07414 transcript:DRNTG_07414.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMNLTLAGNLSFKTSSVGIRRRGAVAMAAAVAPAMRKEKKGLYEVLKVRETATAGEIKVAYRALAKRFHPDLGNGKDGNEMDFVEINRAYETLSDPLERAKYDSETRKGGFGFGYGMERRFQSRRTWETDQCW >DRNTG_07414.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7267248:7267916:1 gene:DRNTG_07414 transcript:DRNTG_07414.14 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMNLTLAGNLSFKTSSVGIRRRGAVAMAAAVAPAMRKEKKGLYEVLKVRETATAGEIKVAYRALAKRFHPDLGNGKDGNEMDFVEINRAYETLSDPLERAKYDSETRKGGFGFGYGMERRFQSRRTWETDQCW >DRNTG_07414.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7266965:7267916:1 gene:DRNTG_07414 transcript:DRNTG_07414.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMNLTLAGNLSFKTSSVGIRRRGAVAMAAAVAPAMRKEKKGLYEVLKVRETATAGEIKVAYRALAKRFHPDLGNGKDGNEMDFVEINRAYETLSDPLERAKYDSETRKGGFGFGYGMERRFQSRRTWETDQCW >DRNTG_07414.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7267128:7267916:1 gene:DRNTG_07414 transcript:DRNTG_07414.11 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMNLTLAGNLSFKTSSVGIRRRGAVAMAAAVAPAMRKEKKGLYEVLKVRETATAGEIKVAYRALAKRFHPDLGNGKDGNEMDFVEINRAYETLSDPLERAKYDSETRKGGFGFGYGMERRFQSRRTWETDQCW >DRNTG_07414.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7267207:7267916:1 gene:DRNTG_07414 transcript:DRNTG_07414.13 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMNLTLAGNLSFKTSSVGIRRRGAVAMAAAVAPAMRKEKKGLYEVLKVRETATAGEIKVAYRALAKRFHPDLGNGKDGNEMDFVEINRAYETLSDPLERAKYDSETRKGGFGFGYGMERRFQSRRTWETDQCW >DRNTG_29257.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20999448:21003379:-1 gene:DRNTG_29257 transcript:DRNTG_29257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSRNRSAVVVLGLLLAGCLFAFSIAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNPERTVFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMILTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGIISGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDQRIMEYFIKLIKKKHGKDISKDNRALGKLRRESERAKRALSNQHQVRVEIESLYDGMDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLEKHQIDEIVLVGGSTRIPKVQQLLKDYFEGKEPNKGVNPDEAVAYGAAVQGSILSGEGGEETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSLTKDCRMLGKFDLSGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNDKGRLSQEEIDRMVREAEEFAEEDKKVKEKIDARNQLETYVYNMKNTINDKDKLADKLESEEKDKVDAALKEALEWLDDNQNAEKEDYDEKLKEVEAVCNPIISAVYQRSGGAPGGASEEEEDDSHDEL >DRNTG_06032.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32697268:32700297:1 gene:DRNTG_06032 transcript:DRNTG_06032.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4G28980 protein [Source:Projected from Arabidopsis thaliana (AT4G28980) UniProtKB/TrEMBL;Acc:B9DFZ7] MEGGSSAGGRRSWSIYGRVEITARYEILEGIGSGAYSDVYRARRLSDGLTVALKEVHDYQSSLREIEALQALRGSSNVVNLIEHFWQDGEDAVLVLEFFQTDLATVIKEGKRRDGGIGVGDVKQWMLQILCGVDACHRRGIAHRDLKPSNLLIGADGVLKLADFGQSRILNAFEQVPTNEEWMPDPPTTDQEVNQSSVEGYENQTSQELRPLNEEDYLQQLDGFKKRHVTDDTDKESNLQDGDVSCLATCSTGEMEEDPDKGSYFSVDDESAEHESGAFTSYVGTRWFRAPELLFGSTNYGLEIDLWALGCIFAELLSLKPLFPGTSDIDQLAKVISVLGDLNEESYPGCSKWPDYNKISFNKVEIPISLEACLPNRSPSEVNLVKRLVCYDPASRATAMELLLDTYFTEEPLPTPLSELNVPSSKKAHDEGPSGEFNGYANMESDSDLEEFGHMDVSNTEKGFSIRFS >DRNTG_06032.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32697268:32700345:1 gene:DRNTG_06032 transcript:DRNTG_06032.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4G28980 protein [Source:Projected from Arabidopsis thaliana (AT4G28980) UniProtKB/TrEMBL;Acc:B9DFZ7] MEGGSSAGGRRSWSIYGRVEITARYEILEGIGSGAYSDVYRARRLSDGLTVALKEVHDYQSSLREIEALQALRGSSNVVNLIEHFWQDGEDAVLVLEFFQTDLATVIKEGKRRDGGIGVGDVKQWMLQILCGVDACHRRGIAHRDLKPSNLLIGADGVLKLADFGQSRILNAFEQVPTNEEWMPDPPTTDQEVNQSSVEGYENQTSQELRPLNEEDYLQQLDGFKKRHVTDDTDKESNLQDGDVSCLATCSTGEMEEDPDKGSYFSVDDESAEHESGAFTSYVGTRWFRAPELLFGSTNYGLEIDLWALGCIFAELLSLKPLFPGTSDIDQLAKVISVLGDLNEESYPGCSKWPDYNKISFNKVEIPISLEACLPNRSPSEVNLVKRLVCYDPASRATAMELLLDTYFTEEPLPTPLSELNVPSSKKAHDEGPSGEFNGYANMESDSDLEEFGHMDVSNTEKGFSIRFS >DRNTG_26549.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5621914:5622919:-1 gene:DRNTG_26549 transcript:DRNTG_26549.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGEYTHTHTHTHTHTHTHTHTHTQFFP >DRNTG_17162.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21793583:21795902:1 gene:DRNTG_17162 transcript:DRNTG_17162.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYLFLTPLLAVIIAQISTFSFQDLCDLWDHLRFNLISVVLCSTLLVFLCTLYFMTRPQPVYLVNFACYKPDDARKCTRQIFMERSRLIGTFTEENLEFQRKILERSGLGEDTYLPEAVLNVPPNPCMAEARKEAEAVMFGAVDELFAKTNVKPKDIGILIINCSLFNPTPSLSAMVVNHYKLRGNIVSFNLGGMGCSAGLLSIALAKDLLQVYPNSYALVISMENITLNWYFGNNRSMLVSNCLFRMGGAAILLSNKRSDKGRSKYQLVHTVRTHKGADDKCFSCVTQEEDDNGKIGVALSKRSDGSCR >DRNTG_17162.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21793583:21795902:1 gene:DRNTG_17162 transcript:DRNTG_17162.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFETMAAEQSRAPLLQASSTRLPDFKQSVKLKYVKLGYHYLITHGMYLFLTPLLAVIIAQISTFSFQDLCDLWDHLRFNLISVVLCSTLLVFLCTLYFMTRPQPVYLVNFACYKPDDARKCTRQIFMERSRLIGTFTEENLEFQRKILERSGLGEDTYLPEAVLNVPPNPCMAEARKEAEAVMFGAVDELFAKTNVKPKDIGILIINCSLFNPTPSLSAMVVNHYKLRGNIVSFNLGGMGCSAGLLSIALAKDLLQVYPNSYALVISMENITLNWYFGNNRSMLVSNCLFRMGGAAILLSNKRSDKGRSKYQLVHTVRTHKGADDKCFSCVTQEEDDNGKIGVALSKRSDGSCR >DRNTG_17162.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21793583:21795902:1 gene:DRNTG_17162 transcript:DRNTG_17162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFETMAAEQSRAPLLQASSTRLPDFKQSVKLKYVKLGYHYLITHGMYLFLTPLLAVIIAQISTFSFQDLCDLWDHLRFNLISVVLCSTLLVFLCTLYFMTRPQPVYLVNFACYKPDDARKCTRQIFMERSRLIGTFTEENLEFQRKILERSGLGEDTYLPEAVLNVPPNPCMAEARKEAEAVMFGAVDELFAKTNVKPKDIGILIINCSLFNPTPSLSAMVVNHYKLRGNIVSFNLGGMGCSAGLLSIALAKDLLQVYPNSYALVISMENITLNWYFGNNRSMLVSNCLFRMGGAAILLSNKRSDKGRSKYQLVHTVRTHKGADDKCFSCVTQEEDDNGKIGVALSKRSDGSCR >DRNTG_05941.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5792252:5793790:1 gene:DRNTG_05941 transcript:DRNTG_05941.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGIVSVLPSPCSSSLPGAVAGTSISTATTRPAVILPGLGNNTGDYQRLVSTLGEAHGIPSVVAKVSRVDWLRNAAGVLDRNYWKGTLRPRPVLDWYLERVDQAVSEAKMLCPQGERISLIGHSAGGWLARVYMQEFGISNVALLLTLGTPHLPPSKGFPGVFDQTRGLLDYVEKHCSPAVYTPELRYVCIAGR >DRNTG_05941.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5792252:5795167:1 gene:DRNTG_05941 transcript:DRNTG_05941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGIVSVLPSPCSSSLPGAVAGTSISTATTRPAVILPGLGNNTGDYQRLVSTLGEAHGIPSVVAKVSRVDWLRNAAGVLDRNYWKGTLRPRPVLDWYLERVDQAVSEAKMLCPQGERISLIGHSAGGWLARVYMQEFGISNVALLLTLGTPHLPPSKGFPGVFDQTRGLLDYVEKHCSPAVYTPELRYVCIAGRYIQGAHFGGDDKIISNEMVEVGKLGGTFELAMDSGNQPPSAGPTLRARLIGQGYKQVCGRAEVWGDGVVPEISAHLEGALNISLEGVYHSPVGSDDESRPWYGSPAIVEKWVHHLLA >DRNTG_01899.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20972786:20976937:-1 gene:DRNTG_01899 transcript:DRNTG_01899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHSEETATEVEREHSAFPQNVINTPEKQSDTASVVLEDTVDRSFESIPNQLVLYDHSGSGTSQDALVAVEPTDDFSLTSESSYVPTPSSRVLPSVGAFTVQCAACFKWRLIPTKEKYEEIRETILQEPFLCDRAREWRPDITCDDPEDISQDGSRLWAIDKPNIAQPPPGWERLLRIRGEGSTRFADIYYVAPSGKRLRSMVEIERYLLEHPEYIRDGVTMSQFSFQIPRPLQENYVRKRPRPP >DRNTG_10884.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11752770:11758538:1 gene:DRNTG_10884 transcript:DRNTG_10884.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETPSAAQEPPPVRRMPPARAHDHFERLESAVGVVRTDSDKCEIDSILNDKNNK >DRNTG_16946.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:11648051:11656714:1 gene:DRNTG_16946 transcript:DRNTG_16946.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRSKIDLRIFRESQFHVCLCGVQFVWQSSSHDASLFIFTDCRSLVQYIHYDDDRISGGLRGVLDSVKSMIRCFPMAQLEVNYKVRSQYHCGFSS >DRNTG_24921.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7060152:7064756:1 gene:DRNTG_24921 transcript:DRNTG_24921.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEKIGRSEEEEEEEDKETKKGEEEAEEDEEEESEHRYGAGFLDSVVDGEEELFVPPLNFSVVDHGVFRSGFPDTANFSFLETLKLSSIICLCPESYPEANTEFLRSHGIKLFQFGIEGGKEPFVNIPEDTIREALKVVLDVRNHPLLIHCKRGKHRTGCLVGCLRKLQKWCLSSVFDEYQRFAAAKARVSDQRFMERFDTSSLKHLPTSFSCSKKS >DRNTG_34249.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28107650:28108779:1 gene:DRNTG_34249 transcript:DRNTG_34249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDGRSNLIHGDYEDNTDYSDNKMPKF >DRNTG_16923.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22005649:22006491:1 gene:DRNTG_16923 transcript:DRNTG_16923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKIDQSSSSYACQKLKDYYAINVRGEDRIPINTVWIGLPAMPSPFLTDDELMSICKLAINGLGSVVRIVRLKRENMQNSCWLVEFNSVEAAATALKNIRDCPGVFLQIEFRNPKVSSYHDELQFAPYLPSHGPVFLESKPGNQYRSSYSDKPNIVTHELVSPRTDTERFAGQVHNRPPYGSNWSAAGSAEMMEAR >DRNTG_02238.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1099637:1106276:-1 gene:DRNTG_02238 transcript:DRNTG_02238.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g04810, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04810) UniProtKB/Swiss-Prot;Acc:Q0WMY5] MESSGIEHNFKTYSMLINGFIKLNDYANAFSIFEEMLTAGLKPDAVLYNIIINAFCKMGNIDRALRIVHEMQRERHRPSARTFTPIMYGFAEAGDVKRALEVLDLMRHAGCVPTVETYNVLVLGLVRKHQVDKAVGIIDKMVLAGVKPNERTYTTVMEGYAAGGDIGKAFEYFTRIKDEGLKLDVFTYEALLKACCKSGRMQSALAVTREMSAQKMPRNTFVYNILIDGWARRGDVWEAADLMQQMNQDGVLPDIHTYTSFINACCKAGDMPKAMEIVEEMKNVGVQPNLKTYTTLIKGWARSSLPEKALQCFEEMKLAGLKPDKAAYHCLMTSLLSRATVAEEYISSGILNICKEMVKNDLTVDMGTAVHWSKCLRQIERNGGVLTEALQKTFPPAWNSHEIYRASDISARNNRDIENDDGYSIEEETRSLDC >DRNTG_02238.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1099637:1106276:-1 gene:DRNTG_02238 transcript:DRNTG_02238.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g04810, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04810) UniProtKB/Swiss-Prot;Acc:Q0WMY5] MGYCFVIYGGPMADESAEQAVEFDGVEFHGRVLTVRMDDGSRLKARAEERARWAAGNDKRDFRSQWHEENDSACRRFRKVLETEPKNWQAVINAFKSIPKASRGEYGLMVNYYARRGDKHHARSTFEEMRAKGIEANSYVFTNLVNAYAVARDMRGGLSCIEEMKAENIELTLVTYSILIKGFAAINDVEAADKYFKEAKRKLTSLNAVIYSNIIYAHCQVGNMTRAEDLVREMEEQGIDASIDLYHTMMDGYTNIKDEEKCLIVFERLKECGFPPSVVSYGCLINLYTKIGKLPKALEVVNLMESSGIEHNFKTYSMLINGFIKLNDYANAFSIFEEMLTAGLKPDAVLYNIIINAFCKMGNIDRALRIVHEMQRERHRPSARTFTPIMYGFAEAGDVKRALEVLDLMRHAGCVPTVETYNVLVLGLVRKHQVDKAVGIIDKMVLAGVKPNERTYTTVMEGYAAGGDIGKAFEYFTRIKDEGLKLDVFTYEALLKACCKSGRMQSALAVTREMSAQKMPRNTFVYNILIDGWARRGDVWEAADLMQQMNQDGVLPDIHTYTSFINACCKAGDMPKAMEIVEEMKNVGVQPNLKTYTTLIKGWARSSLPEKALQCFEEMKLAGLKPDKAAYHCLMTSLLSRATVAEEYISSGILNICKEMVKNDLTVDMGTAVHWSKCLRQIERNGGVLTEALQKTFPPAWNSHEIYRASDISARNNRDIENDDGYSIEEETRSLDC >DRNTG_02238.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1097757:1106276:-1 gene:DRNTG_02238 transcript:DRNTG_02238.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g04810, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04810) UniProtKB/Swiss-Prot;Acc:Q0WMY5] MFLPIARDMRGGLSCIEEMKAENIELTLVTYSILIKGFAAINDVEAADKYFKEAKRKLTSLNAVIYSNIIYAHCQVGNMTRAEDLVREMEEQGIDASIDLYHTMMDGYTNIKDEEKCLIVFERLKECGFPPSVVSYGCLINLYTKIGKLPKALEVVNLMESSGIEHNFKTYSMLINGFIKLNDYANAFSIFEEMLTAGLKPDAVLYNIIINAFCKMGNIDRALRIVHEMQRERHRPSARTFTPIMYGFAEAGDVKRALEVLDLMRHAGCVPTVETYNVLVLGLVRKHQVDKAVGIIDKMVLAGVKPNERTYTTVMEGYAAGGDIGKAFEYFTRIKDEGLKLDVFTYEALLKACCKSGRMQSALAVTREMSAQKMPRNTFVYNILIDGWARRGDVWEAADLMQQMNQDGVLPDIHTYTSFINACCKAGDMPKAMEIVEEMKNVGVQPNLKTYTTLIKGWARSSLPEKALQCFEEMKLAGLKPDKAAYHCLMTSLLSRATVAEEYISSGILNICKEMVKNDLTVDMGTAVHWSKCLRQIERNGGVLTEALQKTFPPAWNSHEIYRASDISARNNRDIENDDGYSIEEETRSLDC >DRNTG_22246.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1206876:1211727:-1 gene:DRNTG_22246 transcript:DRNTG_22246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPPAAPQLSGRFFTIGLVASWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLFSYVAIAWLRVVPMQTVRSRIQLFKISALSLVFCGSVVSGNVSLRYLPVSFNQAVGATTPFFTAVFAYLMTVKREAWITYITLIPVVTGVVIASGGEPSFHLFGFIVCVAATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVLLLPATLIMEENVVGITLALAREDIKIVWYLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFKNPVSVTGMLGYSMTVIGVILYSEAKKRNK >DRNTG_16273.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4459399:4459548:-1 gene:DRNTG_16273 transcript:DRNTG_16273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLVSQSIKTRPKGLWAGLTPRKLRDKGQTYKHIDWPYARKGEVASTK >DRNTG_02037.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000100.1:49440:66408:-1 gene:DRNTG_02037 transcript:DRNTG_02037.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKASDNFSFHVFFLLSLIDAANSLSFSVRLPYVIFSLLLDLTMRLVLAILLYVDDLAPSCPN >DRNTG_00865.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21933507:21934732:-1 gene:DRNTG_00865 transcript:DRNTG_00865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGHEIAVKRLSRNSTQGIDEFENEVTFIAKLQHRNLVRLLGYCIKGDEKILVYEYMPNGSLDACLFGKEKGDHLDWQTRFHIIEGIARGLLYLHQDSRLRIIHRDLKASNILLDIEMNSKISDFGLARNFGESVTMIKTRKVVGTYGYMAPEYTLDGVFSMKSDVFSFGVLILEIISGQRNRILLSNPHLYLLGKAWRLWNEGKVLDLLDPLIGNSFSITQVMRCINIGLLCVQEKPKDRPSMASVVVMLSNDDAPLLEPKEPGFKAIFSTKHDAVSNQNDSHTFNDISLTEQIGR >DRNTG_24040.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26617023:26621810:1 gene:DRNTG_24040 transcript:DRNTG_24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRRLLSSWPRHCRTVAPASGHRTFSADVSPEYARRNYAGNLSEYNTVISSLISQRRSFLLRDVYDDMMLDGVQPVRDTFHSLIVGCMKGSRLQDAFFFRDEMMAMGLPPDVNLYNFLISTCGKCKSSDAAIKLLEEMKRHGVKLKGETYICLLNACAATGRTDLVLTLVRDMAAVGLNKFCYAGLITAYKNKLPTTEETFAKIIEYVQASKGWSSVEASTESGENVMMNVSLEELYNIPTAEYVHRRAFVNRQLTVYHVALHACAELKNKETMETLLDMLKTDGYTFDAFIAMQAMRCYLHCGDIDSGVKIFEEYSSSKPPTAELFVTLAEGAMVGYTPKGMQLAQETLEKMNARGIFLNARMGSDLLLAAAGEKMGGYTTANYVWDLLQSRKINPSLPAVEAYYKGLKQREIPSDDPRLVLVGRTYDNLSIRSARRNE >DRNTG_33059.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7284859:7309933:-1 gene:DRNTG_33059 transcript:DRNTG_33059.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHWSNYLFGGDRWGEMYSNVAESFNAWIKEARHLPVTKMVDSIRFKLMRMLCNRREQANKWETYLCPDIHSKVEIIVEDSRNLRVGRCVDDRYKVIDQCSNSVDLAIRTCSCRRWQVYGIPCKHACAAIMQTDTNVHRFISGYFTVDNYKLAYKEAIFPIPDDDRPSDGNRELRLR >DRNTG_25018.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19525626:19530308:-1 gene:DRNTG_25018 transcript:DRNTG_25018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLRLSGSWRHRREPAEDAPRRFPNPPLGFVLDPHGRVLAASNKRIATIVDATNNLPLECTIRRVFRSSQGDECMLLCPVDTPVQILKSTNFNGWSAVNDEEVESILPAAAYALAKIHMHLVISGFCYTARGGFCYSEEDILEFGTDDGEDIDGLPGEGVEITCFHLDGAHYMIYTPSDPLLFVAVKDKNDRLQIADDDLLEDPAIIGAIDEETEFNALVEEEAALLESLLGER >DRNTG_31308.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3923961:3934603:1 gene:DRNTG_31308 transcript:DRNTG_31308.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGSYSALPSDYRLLEEVGYGASATVFRAIYLPSNEIVAVKCLDLDRCNSNLDDIRREAQTMSLIDHPNIIRAYCSFVVEHCLWVIMPFMAEGSCLHLMKISYPDGFEEPVIASILKETLKALEYLHKHGHIHRDVKAGNILLDGSGVVKLGDFGVSTCMFDKGDRQRSRNTFVGTPCWMAPEVLQPGSGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHAFFKNAKPPELSVKKLLVGLPPLWDRVKALQLKDAAQLALKKMPSAEEEALSQSEYQRGVSAWNFDVEDLKAQASLIQDDDDLPGLKEDDGSSIFLVNNKNSSGSGSGLGKSTFANENEYRRHISVAEESDMKCSSTKNEISEVDIVDCGNKEMFAGNENRLKNDSLPSTTKQNFEPSCWKNEVGRRHQTYSGPLLPSSVRGNSLSERSRIPERFESDSALASDKQKRDVRKGPNLSGPLMLPTRASANSLSAPIRSSGGFGDSSDEKSKGNVVQIKGRFSVTSEHVDLVKVRFYPWKS >DRNTG_31308.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3923961:3934603:1 gene:DRNTG_31308 transcript:DRNTG_31308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGSYSALPSDYRLLEEVGYGASATVFRAIYLPSNEIVAVKCLDLDRCNSNLDDIRREAQTMSLIDHPNIIRAYCSFVVEHCLWVIMPFMAEGSCLHLMKISYPDGFEEPVIASILKETLKALEYLHKHGHIHRDVKAGNILLDGSGVVKLGDFGVSTCMFDKGDRQRSRNTFVGTPCWMAPEVLQPGSGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHAFFKNAKPPELSVKKLLVGLPPLWDRVKALQLKDAAQLALKKMPSAEEEALSQSEYQRGVSAWNFDVEDLKAQASLIQDDDDLPGLKEDDGSSIFLVNNKNSSGSGSGLGKSTFANENEYRRHISVAEESDMKCSSTKNEISEVDIVDCGNKEMFAGNENRLKNDSLPSTTKQNFEPSCWKNEVGRRHQTYSGPLLPSSVRGNSLSERSRIPERFESDSALASDKQKRDVRKGPNLSGPLMLPTRASANSLSAPIRSSGGFGDSSDEKSKGNVVQIKGRFSVTSEHVDLVKTSQGTSLRKSASVGEWLMPGKLVPNSQYAKETNNGWLPASVLMPHLQNLFQQTSFQQDIIMNLLNSMQPNEAFDALQFGMPPQECNADIDSNVETVGTERERLLLAQIAELEARMAVLTDELTTEKQKHMQLEQQLNVFSREEEIREEEAA >DRNTG_31308.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3923961:3934603:1 gene:DRNTG_31308 transcript:DRNTG_31308.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGSYSALPSDYRLLEEVGYGASATVFRAIYLPSNEIVAVKCLDLDRCNSNLDDIRREAQTMSLIDHPNIIRAYCSFVVEHCLWVIMPFMAEGSCLHLMKISYPDGFEEPVIASILKETLKALEYLHKHGHIHRDVKAGNILLDGSGVVKLGDFGVSTCMFDKGDRQRSRNTFVGTPCWMAPEVLQPGSGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHAFFKNAKPPELSVKKLLVGLPPLWDRVKALQLKDAAQLALKKMPSAEEEALSQSEYQRGVSAWNFDVEDLKAQASLIQDDDDLPGLKEDDGSSIFLVNNKNSSGSGSGLGKSTFANENEYRRHISVAEESDMKCSSTKNEISEVDIVDCGNKEMFAGNENRLKNDSLPSTTKQNFEPSCWKNEVGRRHQTYSGPLLPSSVRGNSLSERSRIPERFESDSALASDKQKRDVRKGPNLSGPLMLPTRASANSLSAPIRSSGGFGDSSDEKSKGNVVQIKGRFSVTSEHVDLVKVRFYPWKS >DRNTG_31308.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3923961:3934603:1 gene:DRNTG_31308 transcript:DRNTG_31308.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGSYSALPSDYRLLEEVGYGASATVFRAIYLPSNEIVAVKCLDLDRCNSNLDDIRREAQTMSLIDHPNIIRAYCSFVVEHCLWVIMPFMAEGSCLHLMKISYPDGFEEPVIASILKETLKALEYLHKHGHIHRDVKAGNILLDGSGVVKLGDFGVSTCMFDKGDRQRSRNTFVGTPCWMAPEVLQPGSGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHAFFKNAKPPELSVKKLLVGLPPLWDRVKALQLKDAAQLALKKMPSAEEEALSQSEYQRGVSAWNFDVEDLKAQASLIQDDDDLPGLKEDDGSSIFLVNNKNSSGSGSGLGKSTFANENEYRRHISVAEESDMKCSSTKNEISEVDIVDCGNKEMFAGNENRLKNDSLPSTTKQNFEPSCWKNEVGRRHQTYSGPLLPSSVRGNSLSERSRIPERFESDSALASDKQKRDVRKGPNLSGPLMLPTRASANSLSAPIRSSGGFGDSSDEKSKGNVVQIKGRFSVTSEHVDLVKTSQGTSLRKSASVGEWLMPGKLVPNSQYAKETNNGWLPASVLMPHLQNLFQQTSFQQDIIMNLLNSMQPNEAFDALQFGMPPQECNADIDSNVETVGTERERLLLAQIAELEARMAVLTDELTTEKQKHMQLEQQLNVFSREEEIREEEAA >DRNTG_12641.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1311381:1312088:1 gene:DRNTG_12641 transcript:DRNTG_12641.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTGYSDVPLKNVKTTIPKCLLHKLKTVKLRNLVGVNKLDLVKFLVKNAEVLEKIITVSKEGVVKERTVEWIKSRAQSLVNSNRKTT >DRNTG_12641.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1308836:1312088:1 gene:DRNTG_12641 transcript:DRNTG_12641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKEQQSALRRRKKAKTDSDEQNDEDRISKLPDELRSYILSKLPTADAMKTSLLSTRWKHTWTSVTNLEFDFGQFPKFKGRNSAFTKFVNQAIITHDGLDIQRFHLQLYAYRSKLPYARFWISFAVRHFVQELELCIPKIALDKLPDLLFTCESLRLLKLDLSGNVLKLPTSVTLINLQTLHLESMSFRDDNVVWELISSCPKLENLTLNNCSMYGMKILNICSSELQNLSLLNCQTFSSCEVNISAPKLKTFRYHCSLVRKLSLEHVCTLTKADIDLHGSLYFVKRDKELSNRAIRILKELDNVRTLTLSARCTEYLSTAIDLLGSFSCNLRTVKHLDVAFWSHKSYIKVLSSLLKCCPTVETLSVGIDMTFTGYSDVPLKNVKTTIPKCLLHKLKTVKLRNLVGVNKLDLVKFLVKNAEVLEKIITVSKEGVVKERTVEWIKSRAQSLVNSNRKTT >DRNTG_14094.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20750216:20750643:-1 gene:DRNTG_14094 transcript:DRNTG_14094.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKMCISMSWHGVLPKHLTLSNILIPWVLLLSCLPTFITYVEQLINMYIYIYIIYTHAQLFCI >DRNTG_14094.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20750216:20751285:-1 gene:DRNTG_14094 transcript:DRNTG_14094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKMCISMSWHGVLPKHLTLSNILIPWVLLLSCLPTFITYVEQLINMYIYIYIIYTHAQLFCI >DRNTG_29827.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23222975:23223384:1 gene:DRNTG_29827 transcript:DRNTG_29827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQQLAESPMHGHALHLHLPPNNVKEMTKRECI >DRNTG_21928.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2222927:2225434:-1 gene:DRNTG_21928 transcript:DRNTG_21928.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTPSPCLSSSLRPQFTFPIPIPRHSLLPIRVPIKSFRAVAQVPSRPKKKAVSKPEGPSEAEELVRTLLKKADGDDKRPLVSTLDKHVRILRTEHCFLLFEELGRRDRWLQCLEVFRWMQKQRWYIADNGIYSKLISIMGKKGQTRSAMWLFSEMRSSGCRPDTSVYNALITAHLHSKDKTKALAKAMGYFQKMKGIERCKPNTVTYNILLRAFAQAGDVKQVEELFKDLKASEVSPDIYTYNGVMDAYGKNRMVAEMESVLRLMKSNQCKPDVITFNLLIDSYGKRQAFDKMEQVFKSLLRSKEKPTLPTFNSMITSYGRARLRDKAESVFKNMNELGFKPSNVTYDCLITAYGYCDSVSRAREIFDEILNTQKDVQVSTLNAMLDAYCINNLQGEADQLLGLALSKGLKTNASTYKLLYGAYTKANMKDLVGKLMKRMDAQGIVPNKRFFIEGFGSSKTSSRKVVPEPSKVVDSSTPLIESKT >DRNTG_21928.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2222889:2225191:-1 gene:DRNTG_21928 transcript:DRNTG_21928.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQRWYIADNGIYSKLISIMGKKGQTRSAMWLFSEMRSSGCRPDTSVYNALITAHLHSKDKTKALAKAMGYFQKMKGIERCKPNTVTYNILLRAFAQAGDVKQVEELFKDLKASEVSPDIYTYNGVMDAYGKNRMVAEMESVLRLMKSNQCKPDVITFNLLIDSYGKRQAFDKMEQVFKSLLRSKEKPTLPTFNSMITSYGRARLRDKAESVFKNMNELGFKPSNVTYDCLITAYGYCDSVSRAREIFDEILNTQKDVQVSTLNAMLDAYCINNLQGEADQLLGLALSKGLKTNASTYKLLYGAYTKANMKDLVGKLMKRMDAQGIVPNKRFFIEGFGSSKTSSRKVVPEPSKVVDSSTPLIESKT >DRNTG_17896.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17336991:17343059:1 gene:DRNTG_17896 transcript:DRNTG_17896.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVTLDFGDSMQGEVMQSVSSDVNFASHGFPKYMLGPNNMIIEPHEGPKKPSLKEIVAKETAQLLEQHQRVSVRDLAKKFEKGLSTATKLSDEARWREVTSLDRQVLLKKLRDLLESLRGRVAGRNRDDVDEAITMVEALAVQLTQREGELLQEKAEVKKLAAILKKASEDAKKVAEEERAFARAEIENARAVVQRIEEALHEQEKKSKSSEQRDLEELMQEVQEARRIKMLHQPSKVMDMEHELQALRGQLAEKSGKIIKLQREISLNKRREQNESLLYELEGLECLGSCLCILPRTETAPNLANCSIQWYRVQPEESKKELISGATKSIYASEPFDVGRFLQAEIVFNDEKIILKTSGPIDPAAGLGSYVEALIRKPETQFNV >DRNTG_17896.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17336991:17343059:1 gene:DRNTG_17896 transcript:DRNTG_17896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVTLDFGDSMQGEVMQSVSSDVNFASHGFPKYMLGPNNMIIEPHEGPKKPSLKEIVAKETAQLLEQHQRVSVRDLAKKFEKGLSTATKLSDEARWREVTSLDRQVLLKKLRDLLESLRGRVAGRNRDDVDEAITMVEALAVQLTQREGELLQEKAEVKKLAAILKKASEDAKKVAEEERAFARAEIENARAVVQRIEEALHEQEKKSKSSEQRDLEELMQEVQEARRIKMLHQPSKVMDMEHELQALRGQLAEKSGKIIKLQREISLNKRREQNESLLYELEGLECLGSCLCILPRTETAPNLANCSIQWYRVQPEESKKELISGATKSIYASEPFDVGRFLQAEIVFNDEKIILKTSGPIDPAAGLGSYVEALIRKPETQFNVIIVQMNGRDHPSHAIHVFHVGKMRIKLCKGTKTKTKESYSNSMQLCGVRGAGNAAAQALYWQAKRGLSYILAFESVRERNAAIMLARRYAFDCNIMLAGPGERSSV >DRNTG_31866.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7709626:7715231:1 gene:DRNTG_31866 transcript:DRNTG_31866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGHFHVVVLDNDKQEYMYYSSCVGYDKDALDMRNLFDICVDMEFGESANSKYPLVHDMETPRQKQGSVDCAIYVMRFIEQLLADEKLRLPQTEMIIKFLSRLRRISYTSERRRRRRRRRRMRMTRSGCPWERVLPHHLWCEQWHIVRLRQQDGLLTHLKMEYT >DRNTG_22758.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4075344:4079483:1 gene:DRNTG_22758 transcript:DRNTG_22758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLKQELQRKRQSLAADFGGKKLIKRSEIEQKEIQKLRQEEHRHLQSRSRPLPSSTSNPSSSSSSSSSSLPPALAAASSKKASEAQSDEQRIDDLVLPRHEVIRRLRFLKQPITLFGEDDDTRLDRLKLTLKSGVFDVDSDMTEGQTNDFLRDIYELRKRQKAGSLLHDRAKHKRDDGDGIEGDGGDDDGDKDVSGDGGSSGMDADKDIKRMKANFEELCDEDKILVFFKRLLNEWNQELDEMPEADKRTAKGKQMVATFKQCARYLHPLFKFCRKKVLQDDIRQALMVVVKCCMKRDYLAAMDQYIKLAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSVKRLMTFCQRRYPADPSKSVEFNSLANGSDLHSLLSEERVTEKPTSEEKLRIMPAARE >DRNTG_22428.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23349565:23350026:1 gene:DRNTG_22428 transcript:DRNTG_22428.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPMSALPADEFDESEIWGSYGADPRQGSDFRKAIPSARSTSRKKGGERNGDRSGGGAAPASLPVNIPDWSKILKEEYRSGNDGREWTGVDQWDGDEDDEGEKAGVVIPPHEYLWRNRAASFSVQEGIGRTLKGRDLRRVRNAIWEKTGFQD >DRNTG_06935.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16820409:16821393:-1 gene:DRNTG_06935 transcript:DRNTG_06935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINGLLKLNFVDGGWDLFHEMVGCVLKPNLVTFNMMISWYWKNSDVGFCFGAS >DRNTG_32399.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001835.1:12433:14351:-1 gene:DRNTG_32399 transcript:DRNTG_32399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTRRRLTFFDNCIIESCVDELIPPKKDNKDCAKQSEEPVVVYPSEIFLSHCYTCKKSITLGKQDIYIFRGEHAFCTENCRTEGITIIEKAEKEEKSSSETEELEEYVLVKKKAIL >DRNTG_14692.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000740.1:84654:85276:1 gene:DRNTG_14692 transcript:DRNTG_14692.1 gene_biotype:protein_coding transcript_biotype:protein_coding EHKAFGSWLHASPSHKSVLGSEDLE >DRNTG_18248.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000944.1:1189:2325:1 gene:DRNTG_18248 transcript:DRNTG_18248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAHFAGSVLTKSPPITIFQEKQGDKVPLRISRKCTGRDGGSSLRPFTLLWRKELEERRRIYHARRGKGTLPAPLDSPSQPLSNL >DRNTG_02427.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000114.1:346697:352857:-1 gene:DRNTG_02427 transcript:DRNTG_02427.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSYSHEGPGIRNPHARATALVDFLDEGVEIPSTRSCGFSAFLFSRPAVNSAGTVFLLQCRPEILPNPCFHRDGKQVNKQKLLQRFTPLHSQLIPSGRSSLSRFTILWPQKTLGTWRAGIGNPHERASALLDFSQEDVEFPSTCPCGFSAFQFSWPAINSAGTVFLPWYLLQYRPEILPNPRFHREGPIRWNTGQQAKVTSALHSVALAIKPDRKVIP >DRNTG_22199.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1437671:1438679:1 gene:DRNTG_22199 transcript:DRNTG_22199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVDTSSRLQPVDVSKSWPEVAPGMLPPDFRFPTEPLRLNSAPPAQDFVHGERSAMGATPVGEPDVVAAASVAFTAIMRSNEAGSMVDPDLLIKIFSNPALVETLTSEYGAPKQLPLPGVTSSAPPPLSQIGITSLQPPPAPQSYPVPNVIRPPPVNPQAPPAIQFNTGNPLPKALPMRDINYYKSLIQQHGEDRQEAAPAHNAMQFGNHSHRDYSKNLMPANGLDLASAHGSKQRDAKPKISKPCIYFNSPRGCRHGSNCSYQHDVAYPAHFEQQKNSKRIKLDSSVNGRL >DRNTG_20133.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7580529:7593439:1 gene:DRNTG_20133 transcript:DRNTG_20133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSCSIWKKVGGRAFIGTDTLQVMTQGNPSILIEGDPEHEHTLKRRGKEPIQEPSNEAEIEMEGSDNMAEQNEQKMTLSDYTTPLILVKAISKRAKVKKGVIPDFGILFSIFFPTCERTLARVLRGIGQGFGEVLRLRHRYSIRKKVGSGASIKAYPIPDEGIFGRRVEDSPQDHRHDHRGGASPFPSDVIDSTSVPRVLCGHNGVNVFFPRAQWAWTRLVGNLVDHARAWVTFHTPAAKLAVAPSIPRKHRARGCHLVSSACECPRLRR >DRNTG_05492.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30276108:30278630:1 gene:DRNTG_05492 transcript:DRNTG_05492.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSELGARSPSPMNGTSSASSLLFGLPRSHLDSATYRSLARILSVCFDSSGRDSNPSFDGCLVAGSSGSGGDGEVREGVVRENPRADDASSERLGHRENESFDFLKKFEGDGFVNAEFPEEVVSDCTAELIGLIERSQGNGGENPNRDESEIRVLSAANELEQEKKQEKHIGNESLEVCPNNGDDLVIGQDQLFHQCPHTQLQFDDKIEINSGSDELALVENKVENCESAGRFGEEEDGGMEEGEILVDVVDHHAAIEAEKLEENSVASTISNCTEKKIGSTNGIRKVDDPNMMMVDRKEEPLSSSATEFVISELQKVKGDNIDSVTSVNLRSACTVNVGLYGQAFENSDNEDEENQLDDKVDEDVMKKRRRTLTKERKEKKKKAKKRKRAQIEREQGVKRLKLQLVAKPKPVRYCEFYLKGRCQKGDACKFSHEATPLTKSQPCKYFACNTCLKGDDCPFDHELSKYPCHNFQSKGMCLRGDNCKF >DRNTG_05492.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30276108:30277222:1 gene:DRNTG_05492 transcript:DRNTG_05492.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSELGARSPSPMNGTSSASSLLFGLPRSHLDSATYRSLARILSVCFDSSGRDSNPSFDGCLVAGSSGSGGDGEVREGVVRENPRADDASSERLGHRENESFDFLKKFEGDGFVNAEFPEEVVSDCTAELIGLIERSQGNGGENPNRDESEIRVLSAANELEQEKKQEKHIGNESLEVCPNNGDDLVIGQDQLFHQCPHTQLQFDDKIEINSGSDELALVENKVENCESAGRFGEEEDGGMEEGEILVDVVDHHAAIEAEKLEENSVASTISNCTEKKIGSTNGIRKVDDPNMMMVDRKEEPLSSSATEFVISELQKVKGDNIDSVTSVNLRSACTVNVGLYGQAFENSDNEDEENQLDDK >DRNTG_34328.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002128.1:34760:37135:-1 gene:DRNTG_34328 transcript:DRNTG_34328.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSQTHLSNNKTNNNSLLQLLLQSFTNFLKCIPSSSPQTNNNSNTIQNTTTTITSMPSESKFTISVQKRTVQSSVKQLHFGGWDEKKVAAKEIKRLAGEGHSTKKLLAELGVITSLVLMLVESTDDHLRCLAIDALIELAKETRH >DRNTG_34328.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002128.1:34760:37135:-1 gene:DRNTG_34328 transcript:DRNTG_34328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSQTHLSNNKTNNNSLLQLLLQSFTNFLKCIPSSSPQTNNNSNTIQNTTTTITSMPSESKFTISVQKRTVQSSVKQLHFGGWDEKKVAAKEIKRLAGEGHSTKKLLAELGVITSLVLMLVESTDDHLRCLAIDALIELAKGTFRNKALIVEAGLVAKLPNIIIATQEEEQEEDSSTIVKLKLATLLQSISSLTKTHISITPQTILPFLTTILTTPTKLDPNLKLKCLSTLYNLSTKLETLNLVSSSVPLVHALLTLSLHDQSELAVAILANLVLSSRGKKAIEDDPMVPQALIDIITWHDKPMGQELVMYILMVLANGSSAQRMKMRGLGIVPLLLEVALLGSSALAQKRALKMLEWFKDESRVVQMGVHSGPQEDRFSDPAGTSSSSPEESRRAVRRLVRQSLHQNIKMMTRRGNGSQGSTSLVKSLVVNRSSKSLPY >DRNTG_22067.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20367736:20371697:1 gene:DRNTG_22067 transcript:DRNTG_22067.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPVDDLNEKNPRPLDEDDIALLKTYGLGPYSASIKKAEKEIKEMAKKVNDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTDDAKYVINVKQIAKFVVGLGDRVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKIEFSLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMFAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >DRNTG_32477.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17097533:17105893:1 gene:DRNTG_32477 transcript:DRNTG_32477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNVTSQDMASMNEESNFTSPENQPVKRKRGRPRKSESPGLERVNKAQSVLTNPTGSSTDGLVGQPVSGHLDGAFDCGYLLTVRVGPSGPVFKGMVFEPGRSVPVTAENDIAPHLPMQQRVDVMQMVGEQNQAAASRSPQASQRTDTSIPSATGHMPEGSSDKVPVAPPMHASEGITDDADPSTQNEADALQSELLKLGNVETTTEKVTSDPGPQAALEPNQSENNTIPNVFSSSNEGALPVTAQGSEAHVGEGVQASSDIEVGLVEVAKDAHGTETSDDIVLGKEIGSEVPDSLGLGAQLQTNASPKDQIKELQDLKEQTADTDLPTSEAMTSHDESGVMQTFNQTEVGMDNQPAHSS >DRNTG_08943.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27290380:27291119:1 gene:DRNTG_08943 transcript:DRNTG_08943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTCISTTSMIGKEKNRDSALPWRSKWCWMSSLTLRLSFSDPTAKASPIFSTVAHPSRLSSTANSKPPSS >DRNTG_00125.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2251723:2254593:1 gene:DRNTG_00125 transcript:DRNTG_00125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAFNAFKACVPIQWSPRLYITLVRGLPGTRKLHRRTLYAMRLRRCHRTVVHRTTPSLVGMLNQVKRLVVVETEAMFNARKQKEEEHRALRPPIVVSHAPPPAPAQQPAQ >DRNTG_00890.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21756798:21759288:1 gene:DRNTG_00890 transcript:DRNTG_00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OSB1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G47720) UniProtKB/Swiss-Prot;Acc:Q9SX99] MLRRPETVSLRHLPLNSCSLIGSVARHLKPYGGGYQGFGVYTFLDVKPSSLQSSCSSFQILLEFKEKLAEISLKHLKPNDLIYVQGCLTSYEKVDASGSHEIFHKVVVKDLSYITVNTQNKMTQKPEAPGENDLARDIADATNENTPSSQFAPNDEKDHRDRLHLWQIFFANPHEWWDNRKRKLYPRAPDFKHKDTKECLWLDPNDPPWVRKQLELYDSKMAMNWQRNPDCRLNLHSWKSDDFS >DRNTG_00890.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21757542:21759288:1 gene:DRNTG_00890 transcript:DRNTG_00890.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OSB1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G47720) UniProtKB/Swiss-Prot;Acc:Q9SX99] MTQKPEAPGENDLARDIADATNENTPSSQFAPNDEKDHRDRLHLWQIFFANPHEWWDNRKRKLYPRAPDFKHKDTKECLWLDPNDPPWVRKQLELYDSKMAMNWQRNPDCRLNLHSWKSDDFS >DRNTG_06288.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22712714:22716655:1 gene:DRNTG_06288 transcript:DRNTG_06288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVQIIYIWLNYATRQLIDAAAGGSLSNKYPYEVEQLLESMVSNKSHRASIGSSQKTTGLYEVSSNYSLAAKVNVLTRKLDLLMGSSSRPESVLSCSTCGGGHGVAQCPIARSSSNHCGGLPPQAGVTGNASTRAGSGDGFRFPDREGLHYSARTNSWARLEYI >DRNTG_25763.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21348126:21352037:-1 gene:DRNTG_25763 transcript:DRNTG_25763.10 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTQFKDRARLPDFAIPKRYDLTLKPDLTDCSFSGTVRIVLDVLRPSRFLVLNSLDLAIAAGSVSFSSGDQ >DRNTG_25763.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21348126:21352037:-1 gene:DRNTG_25763 transcript:DRNTG_25763.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTQFKDRARLPDFAIPKRYDLTLKPDLTDCSFSGTVRIVLDVLRPSRFLVLNSLDLAIAAGSVSFSSGDQ >DRNTG_25763.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21348126:21352037:-1 gene:DRNTG_25763 transcript:DRNTG_25763.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTQFKDRARLPDFAIPKRYDLTLKPDLTDCSFSGTVRIVLDVLRPSRFLVLNSLDLAIAAGSVSFSSGDQ >DRNTG_25763.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21348160:21352037:-1 gene:DRNTG_25763 transcript:DRNTG_25763.14 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTQFKDRARLPDFAIPKRYDLTLKPDLTDCSFSGTVRIVLDVLRPSRFLVLNSLDLAIAAGSVSFSSGDQ >DRNTG_25763.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21348126:21352037:-1 gene:DRNTG_25763 transcript:DRNTG_25763.9 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTQFKDRARLPDFAIPKRYDLTLKPDLTDCSFSGTVRIVLDVLRPSRFLVLNSLDLAIAAGSVSFSSGDQ >DRNTG_25763.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21348160:21352037:-1 gene:DRNTG_25763 transcript:DRNTG_25763.13 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTQFKDRARLPDFAIPKRYDLTLKPDLTDCSFSGTVRIVLDVLRPSRFLVLNSLDLAIAAGSVSFSSGDQVPYF >DRNTG_25763.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21348126:21352037:-1 gene:DRNTG_25763 transcript:DRNTG_25763.11 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTQFKDRARLPDFAIPKRYDLTLKPDLTDCSFSGTVRIVLDVLRPSRFLVLNSLDLAIAAGSVSFSSGDQVPYF >DRNTG_25763.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21348126:21352037:-1 gene:DRNTG_25763 transcript:DRNTG_25763.8 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTQFKDRARLPDFAIPKRYDLTLKPDLTDCSFSGTVRIVLDVLRPSRFLVLNSLDLAIAAGSVSFSSGDQVPYF >DRNTG_25763.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21348126:21352037:-1 gene:DRNTG_25763 transcript:DRNTG_25763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTQFKDRARLPDFAIPKRYDLTLKPDLTDCSFSGTVRIVLDVLRPSRFLVLNSLDLAIAAGSVSFSSGDQ >DRNTG_25763.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21348126:21352037:-1 gene:DRNTG_25763 transcript:DRNTG_25763.6 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTQFKDRARLPDFAIPKRYDLTLKPDLTDCSFSGTVRIVLDVLRPSRFLVLNSLDLAIAAGSVSFSSGDQ >DRNTG_25763.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21348126:21352037:-1 gene:DRNTG_25763 transcript:DRNTG_25763.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTQFKDRARLPDFAIPKRYDLTLKPDLTDCSFSGTVRIVLDVLRPSRFLVLNSLDLAIAAGSVSFSSGDQ >DRNTG_25763.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21348160:21352037:-1 gene:DRNTG_25763 transcript:DRNTG_25763.12 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTQFKDRARLPDFAIPKRYDLTLKPDLTDCSFSGTVRIVLDVLRPSRFLVLNSLDLAIAAGSVSFSSGDQ >DRNTG_25763.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21348126:21352037:-1 gene:DRNTG_25763 transcript:DRNTG_25763.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTQFKDRARLPDFAIPKRYDLTLKPDLTDCSFSGTVRIVLDVLRPSRFLVLNSLDLAIAAGSVSFSSGDQVPYF >DRNTG_25763.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21348126:21352037:-1 gene:DRNTG_25763 transcript:DRNTG_25763.7 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTQFKDRARLPDFAIPKRYDLTLKPDLTDCSFSGTVRIVLDVLRPSRFLVLNSLDLAIAAGSVSFSSGDQVPYF >DRNTG_32068.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8419855:8421776:1 gene:DRNTG_32068 transcript:DRNTG_32068.2 gene_biotype:protein_coding transcript_biotype:protein_coding TSSPPLRPPHRPHPRLTRSPRQPLPTSTSPTIVSKGPSLLFSPTASMAALPPEIAAFMVYLCHPC >DRNTG_32068.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8419855:8425408:1 gene:DRNTG_32068 transcript:DRNTG_32068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGESQHRGRGQNKHYWTIEEDKALIEALVELSIDAMWRSENGFRNGYLFQLERMIKAKIPRTTLKAMPNIESRVKLLRRQTTAIADLLCISGFVWNHENSTIECEKSSYDEYVKNHKEAAGLYGKSFPFFNELAPVFTKDRAHGNARGDLGDDATQYMHENTSFEENTGPSQLPSDDFFAFMQEPIDPSSPMTSENNASSTSKRRKKKGLANDTSLEVISEKIAQFVEVVGPGLKAIADCAVRNAETVALMEASRKEADEKKKEFEERKRLLNEVVFNIDGLSEDDALVVIQFLRKDENELDMFWDLPNDKKLRFCRLILARMSFHPPNM >DRNTG_22719.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31522626:31524118:-1 gene:DRNTG_22719 transcript:DRNTG_22719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHVARWSLHGTKALVTGGTKGIGHAIVEELAKFGATVHTCSRNESELNACLKEWEDKKFNVTGSVCDVSSQIERERIINSVSAVFQGKLDILINNVGMCWIKPTLECTAEDYSQTMATNFESAFHLSQLAHPLMKASGSGRIVFISSVGTRVVYQGIAIYAASKGAMDQLTKHLACEWARDNIRVNSVAPSVIKTPLIKKLGVDEAITKETSRVPLNRLGEPEEVASVVAFLCLPASSYVTGQTVCIDGGRALNYTTTD >DRNTG_19944.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:19019010:19021375:1 gene:DRNTG_19944 transcript:DRNTG_19944.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPARLLVLCVVVCCLLALHHVYQAKAGDDSDGASSSKAVDTRGMRFVNSRQKEAYIVLQTWKSTAIYSDPNNFTANWVGPKVCDYTGVYCAPSPTNPSLTVVAGIDLNHADIAGYLPPELGQLSDLALLHLNSNRFCGILPTTFRRLKLLHELDLSNNRLVGRFPKVVLSLPSLRYLDLRFNEFEGAIPPALFDRPLDAIFLNSNRLRHGIPANLGNSPASVVVLAHNALGGCIPSSIGRMAATINEIILLDDNLTGCIPPEVGQLHNLTVFDVSFNHLRGPIPDTFSQMSSLEQLDVAHNQLTGAIPAGVCSLPKLENFTYSYNYFTQVPPQCPARSAGTGRMMDGQQNCIPGLPNQRSPSECSSDAARPFDCSKSKCSREGGGRMPSPALPMAPPPPQQSKGGTSRRRSNPPPSPVGNRPKNNIKPNYPPPPPVSRSSPSTRSHSPPPPSQTPPYLPPSLVPPPPPSSSLLPPPSYPSQPSASTTKQASAQPNISTPGVLSPYISSTTGPATVYPNISPSTKPLSIHPVSSAAFQSPDIRTATHVPHSITASNISGGSSTGEGSPPSIIHITAASVRVLTSSTTITNAGNTFTTSSRDKTLTSTSAAANTRLRWWWGITTASCDRCILRITSSAGHPLLLN >DRNTG_19944.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:19019010:19021375:1 gene:DRNTG_19944 transcript:DRNTG_19944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPARLLVLCVVVCCLLALHHVYQAKAGDDSDGASSSKAVDTRGMRFVNSRQKEAYIVLQTWKSTAIYSDPNNFTANWVGPKVCDYTGVYCAPSPTNPSLTVVAGIDLNHADIAGYLPPELGQLSDLALLHLNSNRFCGILPTTFRRLKLLHELDLSNNRLVGRFPKVVLSLPSLRYLDLRFNEFEGAIPPALFDRPLDAIFLNSNRLRHGIPANLGNSPASVVVLAHNALGGCIPSSIGRMAATINEIILLDDNLTGCIPPEVGQLHNLTVFDVSFNHLRGPIPDTFSQMSSLEQLDVAHNQLTGAIPAGVCSLPKLENFTYSYNYFTQVPPQCPARSAGTGRMMDGQQNCIPGLPNQRSPSECSSDAARPFDCSKSKCSREGGGRMPSPALPMAPPPPQQSKGGTSRRRSNPPPSPVGNRPKNNIKPNYPPPPPVSRSSPSTRSHSPPPPSQTPPYLPPSLVPPPPPSSSLLPPPSYPSQPSASTTKQASAQPNISTSGVLSP >DRNTG_14634.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2301340:2303800:-1 gene:DRNTG_14634 transcript:DRNTG_14634.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDNQGAGLRRSQRSSKGVQGERFRDFVPK >DRNTG_14634.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2301340:2301746:-1 gene:DRNTG_14634 transcript:DRNTG_14634.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDNQGAGLRRSQRSSKGVQGERFRDFVPK >DRNTG_26539.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:948196:952260:-1 gene:DRNTG_26539 transcript:DRNTG_26539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEPDWALTAKTHLLGLRLYAIVGISAAIIVLLFVLLVLIVCLRSTHRRATRVKHASGVIPISMKEVAVGDRKVCCSSGEASSSSSEVKPVVGKMGNIGWGRWYTLSELEAATNAFDCRNIIGEGGYGVVYRGVLPDLSVVAVKNLLNNKGQAEKEFKVEVEAIGKVRHKNLVGLLGYCAESAKRMLVYEFVDNGTLEQWLHGDVGTFSPLTWDIRMKIAIGTAKGIAYLHEGLEPKVVHRDIKSSNILLDKQWNAKVSDFGLAKLLGPESTFVTTRVMGTFGYVSPEYASTGMLNEASDIYSFGVSIDGDHFRKKPC >DRNTG_15071.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15107261:15111811:-1 gene:DRNTG_15071 transcript:DRNTG_15071.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNPSILIEGEPELEREARYTAALMGCDGRGYFPQARVFCIRRQRVFFRECSSACTLLVLLV >DRNTG_07354.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000333.1:48288:48597:-1 gene:DRNTG_07354 transcript:DRNTG_07354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPYLVGGELDTQFGIPRVLCGHMWCEVLRLSDFSTGTS >DRNTG_31803.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:584054:584748:1 gene:DRNTG_31803 transcript:DRNTG_31803.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDRILRPGGRVYIRDTRFVIDEIKAITLAMDWKTEVRDTSEGPFSSRKLLICEKRLPRS >DRNTG_31803.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:582212:584748:1 gene:DRNTG_31803 transcript:DRNTG_31803.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVPTSGPNTLPVIYDRGLIGVTHDWCEPFDTYPRTYDLLHAAGLFSREQKRCNITLILLEMDRILRPGGRVYIRDTRFVIDEIKAITLAMDWKTEVRDTSEGPFSSRKLLICEKRLPRS >DRNTG_31803.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:580377:583604:1 gene:DRNTG_31803 transcript:DRNTG_31803.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRGGYFAWAAQPVYKHEEAQQEVWKEMEELTSRICWELVKKEGYIAIWRKPLNNTCYISRNPGTRAPLCDPDDDPNNVWYVNLKACITQLPENGHGANVAQWPGRLREPPARLQDVQMDAYMAKKELFLAESGYWNEIVRGYVRVYHWQKLKLRNVMDMRAGFGGFAAALIDLTVDCWVMNVVPTSGPNTLPVIYDRGLIGVTHDWYNLASLIFSTSVMSFSLLELKEP >DRNTG_31803.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:578703:582087:1 gene:DRNTG_31803 transcript:DRNTG_31803.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVWYYNVPHQRLVDDKGGQNWIVRSKSKFKFPGGGTQFIHGADQYLDQISKMVPDIAFGQNTRVVLDVGCGVASFGAFLLSRNVLTMSIAPKDVHENQIQFALERGVPAMLAAFATRRLLYPSQAFDLIHCSRCRINWTRDDGILLFEVDRMLRRGGYFAWAAQPVYKHEEAQQEVWKEMEELTSRICWELVKKEGYIAIWRKPLNNTCYISRNPGTRAPLCDPDDDPNNVWYFQ >DRNTG_31803.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:578703:584748:1 gene:DRNTG_31803 transcript:DRNTG_31803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPSELADALKSPFMARVSIIILASIAAFYLGKHVSNANSQFFFINSPRSFLSSPSPSHSIAISPNANISVDISSIIATPPPAAPPPPPPPPPQPERFGIVDENGVMTEDFDVGEFDSSLVGEWENVTDRNEKGGDSGDEAGRVRVKVNKFELCPMSMKEYIPCLDNEEAIKKLKSTANGEKFERHCPGVGDGLNCLVPTPRGYKVPIPWPRSRDEVWYYNVPHQRLVDDKGGQNWIVRSKSKFKFPGGGTQFIHGADQYLDQISKMVPDIAFGQNTRVVLDVGCGVASFGAFLLSRNVLTMSIAPKDVHENQIQFALERGVPAMLAAFATRRLLYPSQAFDLIHCSRCRINWTRDDGILLFEVDRMLRRGGYFAWAAQPVYKHEEAQQEVWKEMEELTSRICWELVKKEGYIAIWRKPLNNTCYISRNPGTRAPLCDPDDDPNNVWYVNLKACITQLPENGHGANVAQWPGRLREPPARLQDVQMDAYMAKKELFLAESGYWNEIVRGYVRVYHWQKLKLRNVMDMRAGFGGFAAALIDLTVDCWVMNVVPTSGPNTLPVIYDRGLIGVTHDWCEPFDTYPRTYDLLHAAGLFSREQKRCNITLILLEMDRILRPGGRVYIRDTRFVIDEIKAITLAMDWKTEVRDTSEGPFSSRKLLICEKRLPRS >DRNTG_31803.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:578703:582087:1 gene:DRNTG_31803 transcript:DRNTG_31803.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSSQVWYYNVPHQRLVDDKGGQNWIVRSKSKFKFPGGGTQFIHGADQYLDQISKMVPDIAFGQNTRVVLDVGCGVASFGAFLLSRNVLTMSIAPKDVHENQIQFALERGVPAMLAAFATRRLLYPSQAFDLIHCSRCRINWTRDDGILLFEVDRMLRRGGYFAWAAQPVYKHEEAQQEVWKEMEELTSRICWELVKKEGYIAIWRKPLNNTCYISRNPGTRAPLCDPDDDPNNVWYFQ >DRNTG_05868.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31439745:31440446:1 gene:DRNTG_05868 transcript:DRNTG_05868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTDDALVDEDGNGDAEQADDSEVPACPAEVKLEVLPAGVPFLDELVLIHLHSAPHRCSFLSLSRSLDAGFRGELIA >DRNTG_33790.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:125644:127201:-1 gene:DRNTG_33790 transcript:DRNTG_33790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQHGLRLAAGLITSHFGDLVGKVCECLLRRGTLSLHEIIRFTELSSSQVKNCLLVLIQHSCVQAFSIPRPVASGAEIRTLTQYMALFDNILHRLRFSKFLSIVQADLGLQCRNLLEGLLQHGRLTFEQLAEREASTKGLEGSTSSQNILRAEFDRLVRAHYVERCPRPEPFISPPEEDKLTSTKRRGSK >DRNTG_20965.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001181.1:38823:42591:1 gene:DRNTG_20965 transcript:DRNTG_20965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIHEIKDFLLTARRKDARSVKIKKSKDVVKFKVRCSKYLYTLCVFDPEKADKLKQSLPPGLTVQDI >DRNTG_20965.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001181.1:38823:41929:1 gene:DRNTG_20965 transcript:DRNTG_20965.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIHEIKDFLLTARRKDARSVKIKKSKDVVKFKVRCSKYLYTLCVFDPEKADKLKQSLPPGKYHYLSL >DRNTG_28596.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7934768:7938219:1 gene:DRNTG_28596 transcript:DRNTG_28596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGARGMASFRCPSFFKVFLPNLSAQHLKIPPAFLKHIVHEEEARTVSLEGPSGSVWCVEMVRNSGGVWFENGWKEFVADHSVVMGDFLVFCYTGDSSFRVLLFDSTACQKEVAFCARPSQAATVLGEDIDEDDCKDLFKRALEKNAKKKKRRVGDSSDVDNSQRKVSSSLAVHSDSHSGPFEILGAANGGSSFDEADGSTPKEGTGTLMTLKSCGKQLHSNIPNRKTYIPKSRRGELTVYVPRIKPTTPKPQCKVKQEIDFTEEETLSNKLIHSGEMSTVKVQSKVKSNCLALMESGGPPQCSEDDVKLVRLGSLLSQRRPVTKDEMDRTIEMAKSFNSQNPFFAVVMREAYVYSSFFMNVPHTFVKQYFPETKTEMILWDSEGKRWPIMYNSYGRRGGFSAGWGKFSRNHNIEKDDVCIFELIKEYEMRVHIYRVVEEISPLVRIRRKDL >DRNTG_29986.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7504726:7507699:-1 gene:DRNTG_29986 transcript:DRNTG_29986.1 gene_biotype:protein_coding transcript_biotype:protein_coding FGVSVADEGDEAVEAGIGNHPPELLDLQDGFPLLVELHQWRRR >DRNTG_26461.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:497550:500783:-1 gene:DRNTG_26461 transcript:DRNTG_26461.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWHQHRNTFCIQRHLRGWKIKLAGKSRPMMLNIEIGTAPSEPPMRRVEQRGSWAEKALEDGHDEEHGDEDGGGHEAKRNSVDGAVEVAPSLPLRTPLDLQRRHNWPPVRHSSDL >DRNTG_14120.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17336366:17342376:1 gene:DRNTG_14120 transcript:DRNTG_14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNCRALLRISAAILRDNGSDNERQQEAEFPVFSSLEAWSWREILFTGGSSERLEEKIKSRMGGGVWGSYCLLLSISLYYLLKSVKLRPCTQRGPVPLDAADLSVGPARSGVNCHLTIRDGVCFPCGRIGHGQSKCTFVSSRKSVLVPQPLVSSEKAMEKQHTSSLPEEPLPSSSLPTVDIGTYPLFEIEQLTVAASPEAEYPEALAIVPSSTKDLTSLIPESSLYPSSSLVIQSTNSPSSPPIQPLPPLSQQTQPLLLTSLHSNNPDQTHSSLVDTIKQALQATDPSDFNMESLEETRSQLGSEDSCSSMDPNDDLLISHLQKDIKLEALARRAPSKNTRSKNGK >DRNTG_16202.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30889641:30893041:-1 gene:DRNTG_16202 transcript:DRNTG_16202.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICMSLDQPPHIPQALQPVVEAQNVQVNNQHCNNKNSSKVGSSNEVSSTVDVVHVDELRQNAAGNGDVNIFTYSELRAATKNFRPDLILGEGGFGIVYKGIIDDNVRPDFPSTQVAVKVLNRDGLQGDREWLAEVYHLGQFSHPNLVKLIGYCCEDEHRLLVYEYMACGSLEKHLFRRICLTMPWSTRMRIALDAAKGLAFLHGATRPIIYRDFKTSNILLDSRYNAKLSDFGLAKEGPVGDQTHVSTRVMGTDGYAAPEYVMTGHLTARSDVYGFGVVLLEMLIGRQAMDKSRPSREQNLAEWARPFLVHNRKLQKIIDSRMEGQYCFTKAQKVADLAYQCLSQNPKGRPTMSQVVEILEVVQEQDDNEQGLPSLRWDCAAVTLYEAPKEAVNGNADENKPPSVARGEESRREANRVRNHRRRRARPRPTLKTSSTGELSSMEKESIKSPESDGSEVHSRTSSLSLHENANGESILR >DRNTG_09854.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000417.1:71297:71681:1 gene:DRNTG_09854 transcript:DRNTG_09854.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPDAESLLFDLVTLKEATDDFSEANKLGQGGFGPVYKGVLDDGQQIAVKRLSGNSSQGLIELKNEVFLVAKLQHRNLVRLLGCCLQEQERLLV >DRNTG_32785.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3108638:3114399:1 gene:DRNTG_32785 transcript:DRNTG_32785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCGHSTRVGLLFAGPYITRLIMGMGLGDALRGAERTVVPTHLAFDTIRMMGLVRRFGPRVYILTTATVETTRSEGDTAGGVQQTPPSSVAPAELRSLQLAQHTDLIARFEFLRDLLRPRSSVSPAPPSSTLTPEDPLYASTSTAAVVEPESDSDT >DRNTG_35160.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28810020:28815675:-1 gene:DRNTG_35160 transcript:DRNTG_35160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLSNKRAAFSRPSEPAQPRRNSTSAATAASRRPRLDRRNANKNIDYDATASSWTSSASSSDDSQGLRATRSLDLHYSDQTSFRIEGLDGEVDQLCRSLGLSGPEEFAIPAADWEARKSRANAETLPPSRLLSQSSCETPLEVVDSSDNRESRDRSPSDYRADPLTADDSPSIKGSGGNSDGGGINGARPPVLTPPPLSSPKLTSSGGSSDGGGGGGGRGGGGIKGVRPPVLTPPPVPSPRLKQVLPLPPSRQTLLPSPPSRQTLLPSPPSRQTLTSPPSNQTIPSPSSNQTLPSPPLKQALPPPPSMVLPTLEKMGSTWDLLRSFAPDDDEEERKYVDLVDDVVEDERAVQLRMGEMFGDMTGSCSYSTSNDDDSSSTTTETIFVISPNGRFKRSINSWMRGRLLGSGSFGTVFEGISDDGFFFAVKEVSLLDQGSNANQCIIQLEQEIALLSQFEHDNIVQYFGSDKEEAKLYIFLELVSQGSLASLYQRYHLRDSQVSAYTRQILNGLKYLHDRNVVHRDIKCANILVSSNGLVKLADFGLAKEITKLNVLKSCKGSVYWMAPEVVNPKSSYGPAADIWSLGCTVLEMLTRQVPYPNLEWPQAFYKIGQGEKPPIPNILSRDARDFIQKCVQFNPDDRPTASELMEHPFIKTPLSASTSPDVSLRNGRRQSG >DRNTG_26452.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:428109:429738:-1 gene:DRNTG_26452 transcript:DRNTG_26452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTISVPIPPVAIVCHLVGGPTCVESGDDAQSELTYLRDAGRIEEMTCAFMRKWDSNFEGLYWVFVRESLHHSATSATRDQSTLPATSRDVDDV >DRNTG_15510.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20810212:20811308:1 gene:DRNTG_15510 transcript:DRNTG_15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNHHHQIYSYSTAPKDDSPDLLISNTFNTPLPPSFKHHPFSSSSSSSSDFIDSSLRHSFSAEDIQLMNGMQSLSDNGGQDGNAIFGKVGRYSAEERKERIERYRSKRNQRNFQKKITYACRKTLADSRPRVRGRFARNGETETETEAETESNIDNGYAYVYDYGYGYGYGSSSVNYDNGDGNGEYFWRPENTVVKEEIETDDRRRYDEDDSMWTSFLDEFSMNLPS >DRNTG_12825.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32879995:32882432:1 gene:DRNTG_12825 transcript:DRNTG_12825.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEGKRVESLGWLTESSVMPKKHKAIEGVGASSIVELKAQLYRTQEETRKSKDSDAEFLRAKKKPLSNDLFSHKNTGVDARAHRDKMELKAVNDGSVSYAALERKAELYDKLARGELPDEEDKEKYCVDFFQKSLVEDQPQLSESDNTSNSMPQENKDSDADDMLPNSRPVVSDQANFKLDHEEHKRFVREVHEEATQEREKATSIKLRRQEQLAARREKLRQAYLRKQLEKLTSEK >DRNTG_23417.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001264.1:78658:85330:-1 gene:DRNTG_23417 transcript:DRNTG_23417.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIAAEFSAEELETALITPNNTLEEIHIPLLKAIPPVIRMALGHATWITVLCRKLKYWWHWVAEGEPPIIASHGTEIETYKTLDPGTRMLILKALCDIRVEQEDIRTYIESSLKQGIQLTAFRRERIGGDSHGISYWYEDDPIIGHRLYREIRQVEVTKFKSKGPSRPVVSYQWETVATNFDEFQEVSDKLFLSKNKTEAVLGKKLKIRILPEIEKIHKKKERLLKKQHRQALLLDSFLTTEGHTSGRALRDRKPVTYTFDDYDRSISEAIKITKQPSPEQITRREAVAKTNASTNGKWSESPRISQQLENKSQFPKSENWEEIDAGHLSEPLDRRRRKRPQRYSEKEFVEAVPDELDFDSDDDIVGEAVYDEEYLRSRKQRKVASSSDGDEEYRWEDENAQDEEEDDGDDNFSDGEDQVEHRQSGKFLHRSRRETKMRSVGELQSGLRRSKRSTRQRVNYRQYAVSDTEEGYTKRFKPPKLKVSDVNWDPSDELEMPAPSPESQQKDIDEKLTDQNSHHKQQARESAETTSSSQEEDDSAQRRFLDLNKLAPVIGIDDGSRPLLKDEDVDNFLPSKAQTVHS >DRNTG_23417.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001264.1:78658:85330:-1 gene:DRNTG_23417 transcript:DRNTG_23417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWELASLLNFLHVFRPMLNIAAEFSAEELETALITPNNTLEEIHIPLLKAIPPVIRMALGHATWITVLCRKLKYWWHWVAEGEPPIIASHGTEIETYKTLDPGTRMLILKALCDIRVEQEDIRTYIESSLKQGIQLTAFRRERIGGDSHGISYWYEDDPIIGHRLYREIRQVEVTKFKSKGPSRPVVSYQWETVATNFDEFQEVSDKLFLSKNKTEAVLGKKLKIRILPEIEKIHKKKERLLKKQHRQALLLDSFLTTEGHTSGRALRDRKPVTYTFDDYDRSISEAIKITKQPSPEQITRREAVAKTNASTNGKWSESPRISQQLENKSQFPKSENWEEIDAGHLSEPLDRSNRRRKRPQRYSEKEFVEAVPDELDFDSDDDIVGEAVYDEEYLRSRKQRKVASSSDGDEEYRWEDENAQDEEEDDGDDNFSDGEDQVEHRQSGKFLHRSRRETKMRSVGELQSGLRRSKRSTRQRVNYRQYAVSDTEEGYTKRFKPPKLKVSDVNWDPSDELEMPAPSPESQQKDIDEKLTDQNSHHKQQARESAETTSSSQEEDDSAQRRFLDLNKLAPVIGIDDGSRPLLKDEDVDNFLPSKAQTVHS >DRNTG_14208.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11866960:11867567:-1 gene:DRNTG_14208 transcript:DRNTG_14208.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEAPPVAQEPPTVSIFLPSRAYDQFERLESVVGVLQTELAEILERDVTSTFVMRPRTLLASVVPTSPRPEPAADPTVKETDTC >DRNTG_19979.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:817827:823655:1 gene:DRNTG_19979 transcript:DRNTG_19979.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fe-S cluster assembly factor HCF101, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G24430) UniProtKB/Swiss-Prot;Acc:Q6STH5] MQLLRSPPDFPLPISSRSPSFEVTKKPSFLSTGSARFTKTHPNEQFTRPLTSRSSVFTRPASLQAGTPVLSVDTAKNDVLNALSQIIDPDFGTDIVSCGFVKDLNIDESLQEVSFRLELTTPACPIKDEFEKKANKVVAALPWVKNVNVTMSAQPARTAYAGELPMGLQSISNIIAVSSCKGGVGKSTVAVNLAYTLAGMGARVGIFDADVYGPSLPTMVSPENRLLEMNPATKTIIPTEYLGVKLVSFGFAGQGRAIMRGPMVSGVINQLLTTTEWGELDYLLIDMPPGTGDIQLTLCQIAPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMCYFDGDGKRYYPFGKGSGAQVVKQFGIPNLFDLPIKPTLSASGDSGMPEVVADPLSEVAKTFQNLGVCVVQQCAKIRQQVSTAVTYDKTIRAIRVKVPDSDEEFLLHPATVRRNDRSAQSVDEWTGEQKLQYGDVPEDIEPEDIRPMGNYAVSITWPDGFSQIAPYDQLQTIERLIDIQSPMSVNLDVS >DRNTG_19979.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:817827:823655:1 gene:DRNTG_19979 transcript:DRNTG_19979.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fe-S cluster assembly factor HCF101, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G24430) UniProtKB/Swiss-Prot;Acc:Q6STH5] MPPGTGDIQLTLCQIAPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMCYFDGDGKRYYPFGKGSGAQVVKQFGIPNLFDLPIKPTLSASGDSGMPEVVADPLSEVAKTFQNLGVCVVQQCAKIRQQVSTAVTYDKTIRAIRVKVPDSDEEFLLHPATVRRNDRSAQSVDEWTGEQKLQYGDVPEDIEPEDIRPMGNYAVSITWPDGFSQIAPYDQLQTIERLIDIQSPMSVNLDVS >DRNTG_19979.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:817827:823655:1 gene:DRNTG_19979 transcript:DRNTG_19979.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fe-S cluster assembly factor HCF101, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G24430) UniProtKB/Swiss-Prot;Acc:Q6STH5] MQLLRSPPDFPLPISSRSPSFEVTKKPSFLSTGSARFTKTHPNEQFTRPLTSRSSVFTRPASLQAGTPVLSVDTAKNDVLNALSQIIDPDFGTDIVSCGFVKDLNIDESLQEVSFRLELTTPACPIKDEFEKKANKVVAALPWVKNVNVTMSAQPARTAYAGELPMGLQSISNIIAVSSCKGGVGKSTVAVNLAYTLAGMGARVGIFDADVYGPSLPTMVSPENRLLEMNPATKTIIPTEYLGVKLVSFGFAGQGRAIMRGPMVSGVINQLLTTTEWYV >DRNTG_19979.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:817827:823655:1 gene:DRNTG_19979 transcript:DRNTG_19979.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fe-S cluster assembly factor HCF101, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G24430) UniProtKB/Swiss-Prot;Acc:Q6STH5] MRGPMVSGVINQLLTTTEWGELDYLLIDMPPGTGDIQLTLCQIAPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMCYFDGDGKRYYPFGKGSGAQVVKQFGIPNLFDLPIKPTLSASGDSGMPEVVADPLSEVAKTFQNLGVCVVQQCAKIRQQVSTAVTYDKTIRAIRVKVPDSDEEFLLHPATVRRNDRSAQSVDEWTGEQKLQYGDVPEDIEPEDIRPMGNYAVSITWPDGFSQIAPYDQLQTIERLIDIQSPMSVNLDVS >DRNTG_11084.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30782624:30784193:1 gene:DRNTG_11084 transcript:DRNTG_11084.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RLP29 [Source:Projected from Arabidopsis thaliana (AT2G42800) UniProtKB/TrEMBL;Acc:A0A178VT48] MKTQPQCRTKRKETSLHLPCLPPLTFPQDSKNMSLSPLFLLLQLLIFFSLSYTTISILSMTMDPFEKETLFKVMETLSSDKNWKTSHPEPCNPGSSWPGIECKPAGKDNHLHVTRLNFGNSPNPTCKKDATFPPEILTLPFLESLFIFNCFKTTKTTLSIFPSKPQHLSLQQLSLKSNAALVGVIPPLISSLTSLQVLTLSQNHLFGKIPQGISSLTSLIHLDLSYNSLTGSIPFQLGMLKSLTGLDLSYNSLSGPIPAPLGHLVLLQKLDLSSNSLTGRIPDTFENLKVLSFLALSNNKLSGKFPKGLLSSKSYVLPTLATQAQFPGAFNG >DRNTG_25102.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:818798:827564:1 gene:DRNTG_25102 transcript:DRNTG_25102.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein high chlorophyll fluorescent 107 [Source:Projected from Arabidopsis thaliana (AT3G17040) UniProtKB/Swiss-Prot;Acc:Q8RWG2] MAWGWMEWKEGNLSAARALYQRALSINSTTESAARCLQAWGVLEQRDGNLLAARRLFRSSLNINSQSYVTWMTWASLEEEQGNSIRAEEIRDLYFQQRTEIVDDASWVMGFLDIIDPALDSIKRLLSLGETSDFKASDIMRKVQTMNNNTAEALSDSSSTLLIDTIVGNEENAGSLNNFDLDAFVRNKLSLDVSKLDEKLYIFEPKKTMSYKRSRRSEPKKVALPQA >DRNTG_25102.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:818798:827564:1 gene:DRNTG_25102 transcript:DRNTG_25102.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein high chlorophyll fluorescent 107 [Source:Projected from Arabidopsis thaliana (AT3G17040) UniProtKB/Swiss-Prot;Acc:Q8RWG2] MQAFSCPNSTQFPFFSSSSPNPNPNPSFSRSLSKIPFNGFSFLPLPLLKTPKCSSQPSPTSPLQSDLLLSSDGEEEDDDEVEGKEKPVEGVLMVRRPAKGSLQEDDEASSLDSLKINSGLQDLAKKMPVFEPQRVEMDPKERPLRVNLELGLYRAKVLTRNFEFKEAEKILRKCIFFWPEDGRPYVALGKLLTKQSKFGEARTVYEKGCQATQGENPYIWQCWAVLENKVGNIRKARELFDAATVADKRHVAAWHGWAVLEIKQNNIKKARNLLGKGLKYCGGNEYIYQTLALLEAKGSRFEQARYLFKQATLCNPKSCASWLAWAQVEMQQENNYAARKLFEKAVQASPKNRFAWHVWAIFEANQGDVDKAKKLLKIGHALNPRDPVLLQSLALLEYKHASPNLARAIFRKASEIDPKHQPVWMAWGWMEWKEGNLSAARALYQRALSINSTTESAARCLQAWGVLEQRDGNLLAARRLFRSSLNINSQSYVTWMTWASLEEEQGNSIRAEEIRDLYFQQRTEIVDDASWVMGFLDIIDPALDSIKRLLSLGETSDFKASDIMRKVQTMNNNTAEALSDSSSTLLIDTIVGNEENAGSLNNFDLDAFVRNKLSLDVSKLDEKLYIFEPKKTMSYKRSRRSEPKKVALPQA >DRNTG_25102.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:818798:827564:1 gene:DRNTG_25102 transcript:DRNTG_25102.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein high chlorophyll fluorescent 107 [Source:Projected from Arabidopsis thaliana (AT3G17040) UniProtKB/Swiss-Prot;Acc:Q8RWG2] MQAFSCPNSTQFPFFSSSSPNPNPNPSFSRSLSKIPFNGFSFLPLPLLKTPKCSSQPSPTSPLQSDLLLSSDGEEEDDDEVEGKEKPVEGVLMVRRPAKGSLQEDDEASSLDSLKINSGLQDLAKKMPVFEPQRVEMDPKERPLRVNLELGLYRAKVLTRNFEFKEAEKILRKCIFFWPEDGRPYVALGKLLTKQSKFGEARTVYEKGCQATQGENPYIWQCWAVLENKVGNIRKARELFDAATVADKRHVAAWHGWAVLEIKQNNIKKARNLLGKGLKYCGGNEYIYQTLALLEAKGSRFEQARYLFKQATLCNPKSCASWLAWAQVEMQQENNYAARKLFEVKISSPESRPSQSKEQVCLACLGYI >DRNTG_18987.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22707030:22709514:-1 gene:DRNTG_18987 transcript:DRNTG_18987.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGDEDKKSDSREESNSDDQGNFRENFMKQFQNIVAPLLFFALFLSSFSIGSFDQKEISFQEFKNKLLEPRLVDHIVVSNKSVAKVYVKSSPEISTQDPNSDVQEPTAGIPPRHTPSRYKYYFNIGSVEAFEEKLEEAQEALGIDPHDYVPVIYLSEVIWYQELLKLLPTVFFVGLLYLLGRRLQGGFSVGGGAGRGNRGIFNIGKAQTTKMDKNSK >DRNTG_33001.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001935.1:14627:18103:-1 gene:DRNTG_33001 transcript:DRNTG_33001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHLPPGPKAPGYDPREPSILIEGDPELECALRRKGKEPVEEPSNLADLEVEESENMDFLLERPQGSLPSNTKTNPREHVKAITLRSGHEVEGRVLAKVLERFYGSNIVIPLGRRLVGELLSGRILYRTKESLDDE >DRNTG_01584.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16434939:16435398:-1 gene:DRNTG_01584 transcript:DRNTG_01584.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDVGGDAANEGRTEWDGIEEEMARGAGGKDFQENGVKGEELGRRSCRGRKG >DRNTG_13905.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1915597:1918122:1 gene:DRNTG_13905 transcript:DRNTG_13905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEEMQAEISRSQTGPSFGFVKLRLGVTRSREDVPLIAMKWSKILRTGSIEGKFMAVDKNTIMFSMEKGQDTKELKDFILSQPEAYEIKIGDQLHRRPGDPPLDEIIGSLNREKSNNGMHDSIKDEF >DRNTG_14622.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000721.1:19679:25345:1 gene:DRNTG_14622 transcript:DRNTG_14622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETQKEGAEMAAPVKMEATLTHGGRFVRYCLCGNRRIVVEVTTKYRPPITFLRSDPYGVTWSVLNSETGEQVAIKRIRRAFDNILYAKRMLREIKLLRYMDHENVLAIRDIIPPPQWELFNDVYIAYELMEANLHQIIHSNQPLSEEHIQYILYQTLCGLKYIHSASVLHLDLQPSNILLNANCDLKICDFGFEPITSAVIRENFPVTKNYRAPELLLNSSGYTAAMDVWSVGCIFMELMQRKPLFPGKNIVHQMCLLLE >DRNTG_25952.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2098531:2100573:-1 gene:DRNTG_25952 transcript:DRNTG_25952.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELRREALEPNQFVFSGLVVASAKLDSVVVGKGIHAQVIVSGFESDVYVRTALIDMYSKFGDASSAVSVLRQCPVEDAVMINSMVTGYVSFGSYEEALRLFGEVRRGSEFKVTESSFGGLIKACSELGRDVGEQLHGLILKTGFDSDCFVGTSLVDMYGNFGDVDCMKMVFLSVVCIDIALYNAMIVGCLKNGFDEFAVEYFHELRSRGLVPNDGTFSSLLKACSVLKSLELGRTTHGLVEKSSFRKDLVVNTALIDMYMKCGKVEEACTVFDRMRDRNTVSYNAMIYGHGQNENFLEAVSLFSDMNRRRLDIDHVTFVVLLTSCLGHEWSVYAHAIKYGYGSDLMVTSALLDTLIKRGATDEALDLFGKMKINNVVSWTTIISGMSQLGLHLKALNLFRTMISSSITPNSFTFSAVLKACGSLPSLEQGRCIHACSIKLGVMDDEFTDSALLDMYSKCGILEDGRKLFEKLSNKDIVSWNTMITGYAQHGYGQEALKLYDRMEVYGISPNYVTFVSLLSACSRCGLVETGKQLFELMSTKHGISPSMEHYACMVDMFGRAGMLDRAKHFITDMPFPADALVWTVFLSSCKLHGDVELAQLAKNHVLRMQNEDNPTLVLMSGLYSEAGKWIDAEKVRNGIQTGIKGKEPGLSWIQISEEATCRKMDDKLIMIEQYESNL >DRNTG_24792.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31940521:31941156:-1 gene:DRNTG_24792 transcript:DRNTG_24792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLKMVLKLTMEDDKKRSKAMQTAVKFYGVESAEIQGEAKDKLVVIGNGVDPVNLTTSLRKKMKVEVHVESVSGVEEKKEEKKEEKKVEFEPINYWSYYNQQPYFLPPPYICYI >DRNTG_25341.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22273966:22277902:1 gene:DRNTG_25341 transcript:DRNTG_25341.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVDRFTPLLGILQDCDFMTELVEKIGYCIDCTLSIILDRASAKLESVRLERKENMEKLDTLLKEVSVSVYQAGGIDSPLITKRRSRMCVGIKASHKSLLPGGVVLSVSSSGATYFMEPRDAIELNNMEVRLSNAERAEELAVLGFLTAEVACSETRIRCLMEKILELDFACARGAYAKWMNGVCPEFIEGFEKIDSRRDGNFLQVDIEGIQHPLLLEPFLRSLSLVPKQQSGTAKMLSQSDQAMSSGKLLEGKAPVPLDIKVQNTKKVVVISGPNTGGKTATMKTLGLAAIMSKAGLFLPARNTPRLPWFDQILADIGDHQSLEHSLSTFSAHISRICKILDAASEKSLVLIDEIGCGTDPSEGVALSTSILQHLAGCVNLAMVTTHYTDLSLLKATDSRFENAAMEFCMDTLQPTYRILWGSTGNSNALSIAKSIGFDQEVLDRAQQWVQRLVPDKQKERQGLLYQSLLEERNCLEAQATEAASILSEVKKLHFEINSEAEDLENREAALKAKETKSIQQELRSISSRMDDIIKKFEKQLEIANPDQFNSIMRDTEAAIASIVASHSASGFMLTEETEYHSTYMPQVGDKVYVKGLGDKLATVIETLAEDGTAMVQYGKIRIRTKRNDMRPVNNVKDNFNRSKPPLKEQRRSAQRVIVAENKDDDSTFGPAVRTSKNTVDLRGMRVDEAALRLQMAISECKSYGVLFVVHGMGTGAVKERTLHILRSHPRVTKFEEENPMNYGCTIAYIK >DRNTG_25341.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22273279:22277902:1 gene:DRNTG_25341 transcript:DRNTG_25341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRGFTPLLYPPKLHHFPQSRVLILAKSLISIPNSISRGFSIIHNDSQPSSISKAATFAFPETENKVRLSEELCKETEETLEWPSICAQVSSFASTAAGRAACQRSGLPSGQARAESQKLLDQTAAAALLPQALDFSGIEDVSDIVRLAVAGELLTVRELCTVERSLQAARRVLQDLERIGESSDRFTPLLGILQDCDFMTELVEKIGYCIDCTLSIILDRASAKLESVRLERKENMEKLDTLLKEVSVSVYQAGGIDSPLITKRRSRMCVGIKASHKSLLPGGVVLSVSSSGATYFMEPRDAIELNNMEVRLSNAERAEELAVLGFLTAEVACSETRIRCLMEKILELDFACARGAYAKWMNGVCPEFIEGFEKIDSRRDGNFLQVDIEGIQHPLLLEPFLRSLSLVPKQQSGTAKMLSQSDQAMSSGKLLEGKAPVPLDIKVQNTKKVVVISGPNTGGKTATMKTLGLAAIMSKAGLFLPARNTPRLPWFDQILADIGDHQSLEHSLSTFSAHISRICKILDAASEKSLVLIDEIGCGTDPSEGVALSTSILQHLAGCVNLAMVTTHYTDLSLLKATDSRFENAAMEFCMDTLQPTYRILWGSTGNSNALSIAKSIGFDQEVLDRAQQWVQRLVPDKQKERQGLLYQSLLEERNCLEAQATEAASILSEVKKLHFEINSEAEDLENREAALKAKETKSIQQELRSISSRMDDIIKKFEKQLEIANPDQFNSIMRDTEAAIASIVASHSASGFMLTEETEYHSTYMPQVGDKVYVKGLGDKLATVIETLAEDGTAMVQYGKIRIRTKRNDMRPVNNVKDNFNRSKPPLKEQRRSAQRVIVAENKDDDSTFGPAVRTSKNTVDLRGMRVDEAALRLQMAISECKSYGVLFVVHGMGTGAVKERTLHILRSHPRVTKFEEENPMNYGCTIAYIK >DRNTG_21092.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18897936:18906437:-1 gene:DRNTG_21092 transcript:DRNTG_21092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWRVLYSSSKDSLIRRSPLSSWGKGGEKNAEIGAESALNRAVIERLHGRGCFTRP >DRNTG_19843.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001073.1:43661:44025:1 gene:DRNTG_19843 transcript:DRNTG_19843.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLGPTGEFFRRRDEWRKHPMVGNQMRHALPGLGTALVAFGIYLIGEAAYNRFYRTPDSQH >DRNTG_19843.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001073.1:43661:46118:1 gene:DRNTG_19843 transcript:DRNTG_19843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLGPTGEFFRRRDEWRKHPMVGNQMRHALPGLGTALVAFGIYLIGEAAYNRFYRTPDSQH >DRNTG_00590.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29879020:29881725:1 gene:DRNTG_00590 transcript:DRNTG_00590.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTQIDRFLDKAATICNLDVKFENSLDPWRLCTVTQVEELKILLRMFPILATGIMFSAIYAQNSSMFLEQGMALDKRIGSFTIPPATLSSFDVISVIIWVPIYDKLLIPIARRLTRNERGISQLQRIGIGLFISILTVTAAALVETKRLQIAKAEGIVHEKVTVPMSILWQIPQYSLAGLSEVFICIGHLEFFYDQAPDNLRSLCTALTLVLVALGSYLSSLILSIVMLITTRRGNPGWIPDNLNEGHLDRFFWLLAGLSFLNLMVYVHYAMKYKHKM >DRNTG_00590.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29879020:29881725:1 gene:DRNTG_00590 transcript:DRNTG_00590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTQIDRFLDKAATICNLDVKFENSLDPWRLCTVTQVEELKILLRMFPILATGIMFSAIYAQNSSMFLEQGMALDKRIGSFTIPPATLSSFDVISVIIWVPIYDKLLIPIARRLTRNERGISQLQRIGIGLFISILTVTAAALVETKRLQIAKAEGIVHEKVTVPMSILWQIPQYSLAGLSEVFICIGHLEFFYDQAPDNLRSLCTALTLVLVALGSYLSSLILSIVMLITTRRGNPGWIPDNLNEGHLDRFFWLLAGLSFLNLMVYVHYAMKYKHKM >DRNTG_00590.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29879020:29881725:1 gene:DRNTG_00590 transcript:DRNTG_00590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEGLEQSLLSEDQHIQNEICRPCSGDGSVDINGNPALRHHTGNWKSCLFILGTECCERLAYYGIVKNLVTYLITKFHEGNAIAARNVTTWQGTCYLTTLIGASIADSYLGRFKTIAVFSTIYLIGMLILTLSASVPALKPPSCVGIACLDASTAQYAIFFIGLYLIALGTGGIKPCVSSFGADQFDDTDPLERVSKGSYFNWYYFSINIGSLISGTLLVWIQDNYGWGLGFGIPTVFMALAILSFFSGTTVYRFQKPGGSPFTRNFQVIVASIRKWYIAVPHDSSFLFELPNATAGLNEIRKLKHSSTYKYFSNFSQFFFSYHHCRT >DRNTG_00590.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29879020:29881725:1 gene:DRNTG_00590 transcript:DRNTG_00590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLRHSYSFHGISHSKLFLWHHCIQISETWGKPFYKKFPSYCCIYSQMNMTQIDRFLDKAATICNLDVKFENSLDPWRLCTVTQVEELKILLRMFPILATGIMFSAIYAQNSSMFLEQGMALDKRIGSFTIPPATLSSFDVISVIIWVPIYDKLLIPIARRLTRNERGISQLQRIGIGLFISILTVTAAALVETKRLQIAKAEGIVHEKVTVPMSILWQIPQYSLAGLSEVFICIGHLEFFYDQAPDNLRSLCTALTLVLVALGSYLSSLILSIVMLITTRRGNPGWIPDNLNEGHLDRFFWLLAGLSFLNLMVYVHYAMKYKHKM >DRNTG_00590.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29879020:29881725:1 gene:DRNTG_00590 transcript:DRNTG_00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEGLEQSLLSEDQHIQNEICRPCSGDGSVDINGNPALRHHTGNWKSCLFILGTECCERLAYYGIVKNLVTYLITKFHEGNAIAARNVTTWQGTCYLTTLIGASIADSYLGRFKTIAVFSTIYLIGMLILTLSASVPALKPPSCVGIACLDASTAQYAIFFIGLYLIALGTGGIKPCVSSFGADQFDDTDPLERVSKGSYFNWYYFSINIGSLISGTLLVWIQDNYGWGLGFGIPTVFMALAILSFFSGTTVYRFQKPGGSPFTRNFQVIVASIRKWYIAVPHDSSFLFELPNATAGLNEIRKLKHSSTYKFLDKAATICNLDVKFENSLDPWRLCTVTQVEELKILLRMFPILATGIMFSAIYAQNSSMFLEQGMALDKRIGSFTIPPATLSSFDVISVIIWVPIYDKLLIPIARRLTRNERGISQLQRIGIGLFISILTVTAAALVETKRLQIAKAEGIVHEKVTVPMSILWQIPQYSLAGLSEVFICIGHLEFFYDQAPDNLRSLCTALTLVLVALGSYLSSLILSIVMLITTRRGNPGWIPDNLNEGHLDRFFWLLAGLSFLNLMVYVHYAMKYKHKM >DRNTG_02516.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7901154:7903177:-1 gene:DRNTG_02516 transcript:DRNTG_02516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWTREKGHTSRSHLYALIDGKQMVPDDVVDVFVLMLLDTLKKSPNVYKMTATITRPMALALSRQEHSSAGMERMMSHGVEDFPAIELILMPIVWNKHYHLLVLDKVKKEYLHYDSIGGHVHDRDAVAMRALFEEHLQKQLGISETVAYTLTHVQGYPKQRMDSVDCSVYLMRFMEQVLNDEELYLPQSDVPHVRLEHGYKEDRTPPTDDKNKFYYSFQSSCESPGKDGFPISCYSRAACTLGSSS >DRNTG_05441.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12008161:12018857:1 gene:DRNTG_05441 transcript:DRNTG_05441.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASSAAYLVWEDLMAVLPNYGTPRPAKKLLQGLSGYAAPGRIMAIIGPSRSGKSTLLDSLAGWGEMWFSLGRCC >DRNTG_05441.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12008161:12018857:1 gene:DRNTG_05441 transcript:DRNTG_05441.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMHKQKVDVKCLVEKLLEYVMNNHLVVRDPETILQALKISLMEEASSAAYLVWEDLMAVLPNYGTPRPAKKLLQGLSGYAAPGRIMAIIGPSRSGKSTLLDSLAGWGEMWFSLGRCC >DRNTG_05441.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12011837:12018857:1 gene:DRNTG_05441 transcript:DRNTG_05441.12 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASSAAYLVWEDLMAVLPNYGTPRPAKKLLQGLSGYAAPGRIMAIIGPSRSGKSTLLDSLAGLGILPFFFLLIILGVWFDWWYWNMEVGEKCGSHWEGVAEWEEE >DRNTG_05441.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12016128:12018857:1 gene:DRNTG_05441 transcript:DRNTG_05441.14 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASSAAYLVWEDLMAVLPNYGTPRPAKKLLQGLSGYAAPGRIMAIIGPSRSGKSTLLDSLAGLGILPFFFLLIILGVWFDWWYWNMEVGEKCGSHWEGVAEWEEE >DRNTG_05441.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12008161:12018857:1 gene:DRNTG_05441 transcript:DRNTG_05441.10 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASSAAYLVWEDLMAVLPNYGTPRPAKKLLQGLSGYAAPGRIMAIIGPSRSGKSTLLDSLAGLGILPFFFLLIILGVWFDWWYWNMEVGEKCGSHWEGVAEWEEE >DRNTG_05441.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12008161:12018857:1 gene:DRNTG_05441 transcript:DRNTG_05441.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVMHKQKVDVKCLVEKLLEYVMNNHLVVRDPETILQALKISLMEEASSAAYLVWEDLMAVLPNYGTPRPAKKLLQGLSGYAAPGRIMAIIGPSRSGKSTLLDSLAGWGEMWFSLGRCC >DRNTG_05441.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12011837:12018857:1 gene:DRNTG_05441 transcript:DRNTG_05441.11 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASSAAYLVWEDLMAVLPNYGTPRPAKKLLQGLSGYAAPGRIMAIIGPSRSGKSTLLDSLAGLGILPFFFLLIILGVWFDWWYWNMEVGEKCGSHWEGVAEWEEE >DRNTG_05441.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12008161:12018897:1 gene:DRNTG_05441 transcript:DRNTG_05441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASSAAYLVWEDLMAVLPNYGTPRPAKKLLQGLSGYAAPGRIMAIIGPSRSGKSTLLDSLAGLGILPFFFLLIILGVWFDWWYWNMEVGEKCGSHWEGVAEWEEE >DRNTG_05441.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12008161:12018857:1 gene:DRNTG_05441 transcript:DRNTG_05441.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVMHKQKVDVKCLVEKLLEYVMNNHLVVRDPETILQALKISLMEEASSAAYLVWEDLMAVLPNYGTPRPAKKLLQGLSGYAAPGRIMAIIGPSRSGKSTLLDSLAGLRDTRKCSAWDSDSQRDHHLLSSLEASYHNEKEGGASCSGTHPKVYRATRCSSSQM >DRNTG_05441.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12008161:12018857:1 gene:DRNTG_05441 transcript:DRNTG_05441.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASSAAYLVWEDLMAVLPNYGTPRPAKKLLQGLSGYAAPGRIMAIIGPSRSGKSTLLDSLAGLRDTRKCSAWDSDSQRDHHLLSSLEASYHNEKEGGASCSGTHPKVYRATRCSSSQM >DRNTG_05441.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12008161:12018857:1 gene:DRNTG_05441 transcript:DRNTG_05441.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASSAAYLVWEDLMAVLPNYGTPRPAKKLLQGLSGYAAPGRIMAIIGPSRSGKSTLLDSLAGWGEMWFSLGRCC >DRNTG_05441.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12008161:12018857:1 gene:DRNTG_05441 transcript:DRNTG_05441.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASSAAYLVWEDLMAVLPNYGTPRPAKKLLQGLSGYAAPGRIMAIIGPSRSGKSTLLDSLAGLGILPFFFLLIILGVWFDWWYWNMEVGEKCGSHWEGVAEWEEE >DRNTG_05441.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12008161:12018857:1 gene:DRNTG_05441 transcript:DRNTG_05441.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVMHKQKVDVKCLVEKLLEYVMNNHLVVRDPETILQALKISLMEEASSAAYLVWEDLMAVLPNYGTPRPAKKLLQGLSGYAAPGRIMAIIGPSRSGKSTLLDSLAGLGILPFFFLLIILGVWFDWWYWNMEVGEKCGSHWEGVAEWEEE >DRNTG_17838.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4665879:4670804:1 gene:DRNTG_17838 transcript:DRNTG_17838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKERTRLRGGRSGDRTSHAHSNIEGSSNADSIPFSDANIPSVEERVNGIGSQQSNQNVVVVSDTQANYGSTQDASTIVGRLRITVVNGL >DRNTG_20744.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20999124:21000289:-1 gene:DRNTG_20744 transcript:DRNTG_20744.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGLGEAPSQACDEGDEHHEAQQRHVAFQVSRPTNPISNVISPAPLHQSSIVNLDDPYHVSRLLLTAKIITIIIIIIIIMDSKKYTVLGIEKETKKIRKLTSIGSYYS >DRNTG_34462.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18205543:18209362:1 gene:DRNTG_34462 transcript:DRNTG_34462.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G25140) UniProtKB/TrEMBL;Acc:W8PVC6] MAIPRGLYSSSLRIAILAAAFLLFVAISVYTSSSPPDPGSAGFTSAIGSIPRRSILALRSDPLKARIDLLRKQVADHAALAAAYASFARRLKLESTKQVRLFADLSRNYSSLLLSGIPSDEPGVRALERGVKDRIRATRQLVAEAKESFDNQLKIQKLKDTIFAVNEQLSKAKKQGAFSSLIAAKSIPKSLHCLAMRLMEERISHSKKYADPDVRPAELDDPRLYHYAIFSDNVVAASVVVNSAVKNSREPWKHVFHVVTDKMNLGAMQVMFRMKDYNGAHIEVVAVEDYKFLNSSYVPVLRQLESANLQRFYFENKLENATKDTTNMKFRNPKYLSMLNHLRFYLPEMYPKLHRILFLDDDVVVQRDLTGLWKIDMDGQSEWCCGDMLWFLSPICAIHEFLPSFNKGEVQSKRMWLGIWDELL >DRNTG_02737.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19809572:19812717:-1 gene:DRNTG_02737 transcript:DRNTG_02737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLPYDYIVVGGGTAGCPLAATLSEKFRVLVLERGGSPYGNANISRLENYDINLAHSTPTSPVQRFLSTDGVFNHRARVLGGNTCINGGFYSRAQPRNAGWDEVLVNESYKWVEDKIVFLNPAAPWQSAVKDGFLEVGVTPSMDTPINISTGRSLAVQSSIIMASDTPPPISWPPATLETLMSSFMPTPKRSSLIQKAQTPKQSE >DRNTG_02737.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19809572:19811373:-1 gene:DRNTG_02737 transcript:DRNTG_02737.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGQDSLLESSCAMAKCSEGWVLGGWSHSFNGYTYQHINGTKFGGSIFDNNGFRHTAADLLAAGNPRNLDVLIYANAEKIIFDTKGTNSKAIGVIFIDENDNRHEAFIKADERSEVILTSGAIGTPQLLLLSGVGPEEDLRKMNITVILNNEHVGKEMADVPSNNIQIPTPEPQKEKH >DRNTG_11289.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1358563:1368122:1 gene:DRNTG_11289 transcript:DRNTG_11289.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:Projected from Arabidopsis thaliana (AT1G28210) UniProtKB/TrEMBL;Acc:F4HWI4] MGGENLRYATDGAKGFQDAYEEYATDQSARFHRDREDPFSDTFYKIFSEVFENESESFAPDIEVKLKLTFSEAAKGCTKHLSFRAQVVCDSCLGRGHPKHVKPSKCPNCNGTGRVTVFPFTSTCNACKGLGKTVKAGCQLCRGSGVVEGMKNINVAIPAGVDSGDTINVPKAGNSGGRRVHPGNLYIKLQVAKDPVFVRDGADIYVDSHISFTDAILGGKVEVPTLFGKTEVKIPKGVQPGQLLVLRARGLPKRIGLVDHGDQYVRFRVHFPSKLNERQRALMEEFAKEEAIQENNGFADGNWLDEQLSTG >DRNTG_11289.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1358563:1365319:1 gene:DRNTG_11289 transcript:DRNTG_11289.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:Projected from Arabidopsis thaliana (AT1G28210) UniProtKB/TrEMBL;Acc:F4HWI4] MGGENLRYATDGAKGFQDAYEEYATDQSARFHRDREDPFSDTFYKIFSEVFENESESFAPDIEVKLKLTFSEAAKGCTKHLSFRAQVVCDSCLGRGHPKHVKPSKCPNCNGTGRVTVFPFTSTCNACKGLGKTVKAGCQLCRGSGVVEGMKNINVAIPAGVDSGDTINVPKAGNSGGRRVHPGNLYIKLQVAKDPVFVRDGADIYVDSHISFTD >DRNTG_11289.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1358563:1360288:1 gene:DRNTG_11289 transcript:DRNTG_11289.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:Projected from Arabidopsis thaliana (AT1G28210) UniProtKB/TrEMBL;Acc:F4HWI4] MLSSNRNFHATGFLCAMGSGDYYDILGVAKDATQDDIKKAFHALAKKYHPDVNKNNPTAKRKFQEVRDAYETLRDPEKRAHYDMGGENLRYATDGAKGFQDAYEEYATDQSARFHRDREDPFSDTFYKIFSEV >DRNTG_11289.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1358563:1368122:1 gene:DRNTG_11289 transcript:DRNTG_11289.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:Projected from Arabidopsis thaliana (AT1G28210) UniProtKB/TrEMBL;Acc:F4HWI4] MLAAGLGFQANTWSAVLCIARRLEMRRFRCFASAPRSIAKHSGQVSPALDLVGKRKEGFLTIIRSICGLSPCNPVAVQRPLSILRAWMLSSNRNFHATGFLCAMGSGDYYDILGVAKDATQDDIKKAFHALAKKYHPDVNKNNPTAKRKFQEVRDAYETLRDPEKRAHYDMGGENLRYATDGAKGFQDAYEEYATDQSARFHRDREDPFSDTFYKIFSEVFENESESFAPDIEVKLKLTFSEAAKGCTKHLSFRAQVVCDSCLGRGHPKHVKPSKCPNCNGTGRVTVFPFTSTCNACKGLGKTVKAGCQLCRGSGVVEGMKNINVAIPAGVDSGDTINVPKAGNSGGRRVHPGNLYIKLQVAKDPVFVRDGADIYVDSHISFTDAILGGKVEVPTLFGKTEVKIPKGVQPGQLLVLRARGLPKRIGLVDHGDQYVRFRVHFPSKLNERQRALMEEFAKEEAIQENNGFADGNWWQQITDYLIGPKFMLGIGFLLLIHLLLSKSMT >DRNTG_11289.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1358563:1368122:1 gene:DRNTG_11289 transcript:DRNTG_11289.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:Projected from Arabidopsis thaliana (AT1G28210) UniProtKB/TrEMBL;Acc:F4HWI4] MLAAGLGFQANTWSAVLCIARRLEMRRFRCFASAPRSIAKHSGQVSPALDLVGKRKEGFLTIIRSICGLSPCNPVAVQRPLSILRAWMLSSNRNFHATGFLCAMGSGDYYDILGVAKDATQDDIKKAFHALAKKYHPDVNKNNPTAKRKFQEVRDAYETLRDPEKRAHYDMGGENLRYATDGAKGFQDAYEEYATDQSARFHRDREDPFSDTFYKIFSEVFENESESFAPDIEVKLKLTFSEAAKGCTKHLSFRAQVVCDSCLGRGHPKHVKPSKCPNCNGTGRVTVFPFTSTCNACKGLGKTVKAGCQLCRGSGVVEGMKNINVAIPAGVDSGDTINVPKAGNSGGRRVHPGNLYIKLQVAKDPVFVRDGADIYVDSHISFTDAILGGKVEVPTLFGKTEVKIPKGVQPGQLLVLRARGLPKRIGLVDHGDQYVRFRVHFPSKLNERQRALMEEFAKEEAIQENNGFADGNWWQQITDYLIGPKFMLGIGFLLLIHLLLSKSMT >DRNTG_12725.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000564.1:11468:17110:1 gene:DRNTG_12725 transcript:DRNTG_12725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKNRSSIRRGRSSIPTSQAESTGASITSSATVVPSDTAPYSYYSYHYSCAYLSTIYCCSYYNFFASSYKYYSNYYSTYYSNIPFNYKHYDWPIEEENAIKEVWEKICRGHYSRNLCRWHKSYKETGKKPVWIEDEIWNKWLEHWNTEQFKHKSKQASINRCSERGGNGGGISRHLGGSKSFVEHAMDLSKTLQRTPTAFDIFCKTHVNKEAKGVDSRAQAVYDAMQKMVETASQTLPDGSQPSPLDMDAMYFEASGGEKKKRVYGLCSHASSMYQESLCSGATSAPPPPSASTNQKMMRQMRHEWKRMRMMIQSGPGQTSQPQEDEEDHDEDDDDDEDDDDL >DRNTG_31713.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16628260:16632971:1 gene:DRNTG_31713 transcript:DRNTG_31713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSTTTTTILLLLLFPFFIHATTSSSSSPSTIIKAGFWPSWSFQTLPPSSINFSYFTHLFYAFIPLDPTTFQLSISPFHHLWLTNFTTTVHSQTPPLSALLSIAGGAFNRTIIANLVSNPTSRATFINSTISIALHYNLDGFDFDWEFPANPEQMDNLALLYKEWRAAITCLLPTKVLLLTSSVYFSPDFFLSIVPRSYPVAVMAETLDWINAMCFDYHGWWNTSETGLHAALYDPEKNISTSFGLGTWIREGMPAKKVVLGMPLYGRTWELKESEEHGVGSPAVNVGPGKGGKGIMVYGAIVRFNEENNATVVHDEERVAVYSYAGKSWLGYDDEWSVRKKVEYGMKMGLGGYFFWALGF >DRNTG_13080.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13195217:13195884:1 gene:DRNTG_13080 transcript:DRNTG_13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDEDSKKSKLSWSKNLMKKWFNIKSKAQDFHADFSTRRGTDREWRSSLSEREPTTLRKSKT >DRNTG_31709.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16592257:16596954:-1 gene:DRNTG_31709 transcript:DRNTG_31709.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEYNGSAVVAMVGKNCFAIASDRRLGVNLQTIATDFQRIYKIHEKLYIGLSGLATDAQTLYQRLVFRHKLYQLREERDMRPETFASLVSAILYEKRFGPYFCQPVIAGLGDDNKPFICTMDCIGAKELAKDFVVSGTASESLYGACESMFKPDLEPDELFEVVSQALLASVDRDCLSGWGGHVLIVTPTEVLERTLKGRMD >DRNTG_33990.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32040266:32046357:-1 gene:DRNTG_33990 transcript:DRNTG_33990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1381 [Source:Projected from Arabidopsis thaliana (AT2G31340) UniProtKB/TrEMBL;Acc:F4IQS9] MATMARSAAKPWRIIPRPLLETILNNHAYHPVVPQPLLLHGPRGVGKTSLIRHRLLNDWNKGPHVAGYVDFSGGGGAIPWAASQPRDLRELRDALEGEIESMVDRGVRLGAIGARGVLSVLNRRLGILSALRRLTNTDPSSKSSSITSLWNKAVIARMRLVDIEELEGGHSKEEVAYMKEAAAALRVAKEVVELHQEWRKEAVRELNRKGGFSRSLAHSVTDWPCLLLEILSGAAESDLFQPKLVINNIDILRKAFLTDDSSVSAAMYHDSFLWRVIALGVNERCLPVFLVTSDSYYSYQVFIDFGFPDIFLSREIYY >DRNTG_33990.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32040266:32046357:-1 gene:DRNTG_33990 transcript:DRNTG_33990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1381 [Source:Projected from Arabidopsis thaliana (AT2G31340) UniProtKB/TrEMBL;Acc:F4IQS9] MATMARSAAKPWRIIPRPLLETILNNHAYHPVVPQPLLLHGPRGVGKTSLIRHRLLNDWNKGPHVAGYVDFSGGGGAIPWAASQPRDLRELRDALEGEIESMVDRGVRLGAIGARGVLSVLNRRLGILSALRRLTNTDPSSKSSSITSLWNKAVIARMRLVDIEELEGGHSKEEVAYMKEAAAALRVAKEVVELHQEWRKEAVRELNRKGGFSRSLAHSVTDWPCLLLEILSGAAESDLFQPKLVINNIDILRKAFLTDDSSVSAAMYHDSFLWRVIALGVNERCLPVFLVTSDSYYSYQVFIDFGFPDIFLSRETYGWTRQEAKLHMVPDFFSESEWKVIDEVLGPSPRHLSELYSLKQNAHDQGIMQDSSSFEDVVDAYLAYLQVSVVNPAMESALVMLQKFVCDVHDGKIPENRLPFGSPWRHPPRSSDPAVCMEWAKLQLMDFVQSFVNAEFGVNYLADFSLEILEDPSAVAMLEVGLLYKQRDPSFFRPITRGVQRCLARWLVHERMQMNLGDYTAFLWQRIVRGRSYRHLMKELGYK >DRNTG_33990.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32041851:32046357:-1 gene:DRNTG_33990 transcript:DRNTG_33990.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1381 [Source:Projected from Arabidopsis thaliana (AT2G31340) UniProtKB/TrEMBL;Acc:F4IQS9] MATMARSAAKPWRIIPRPLLETILNNHAYHPVVPQPLLLHGPRGVGKTSLIRHRLLNDWNKGPHVAGYVDFSGGGGAIPWAASQPRDLRELRDALEGEIESMVDRGVRLGAIGARGVLSVLNRRLGILSALRRLTNTDPSSKSSSITSLWNKAVIARMRLVDIEELEGGHSKEEVAYMKEAAAALRVAKEVVELHQEWRKEAVRELNRKGGFSRSLAHSVTDWPCLLLEILSGAAESDLFQPKLVINNIDILRKAFLTDDSSVSAAMYHDSFLWRVIALGVNERCLPVFLVTSDSYYSYQVFIDFGFPDIFLSRETYGWTRQEAKLHMVPDFFSESEWKVIDEVLGPSPRHLSELYSLKQNAHDQGIMQDSSSFEDVVDAYLAYLQVSVVNPAMESALVMLQKFVCDVHDGKIPENRLPFGSPWRHPPRSSDPAVCMEWAKLQLMDFVQSFVNAEFGVNYLADFSLEILEDPSAVAMLE >DRNTG_19418.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16852530:16853258:-1 gene:DRNTG_19418 transcript:DRNTG_19418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTVVWKNDSLSTTRSRLFTLLEGKEMVSDDVMDTFVCIIQKTLSIVPYPYKKRASITRPLPLFMSKQDDAHETTMAMIGDAAHNLHDVEIVILPIIMNGHFHVVVLDNNKQEYMHYSSCQSEEYDKDVLEMRRLFDICIDMEFGESMTSKYSLVHDIETP >DRNTG_07804.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1282610:1285063:1 gene:DRNTG_07804 transcript:DRNTG_07804.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNALQKASVSLGTDNVKMKRADASNPGLPPSSMMAFLRKNQSTSGVVLEEFDGSFSNKFYHSHLDNPSNINSSSIAAAAILAARAIYILATGDLTLNLIALNSIKINMSLVEELVGCLLTCEPGLSCALVKKFISPSNTCPSHYVGVFLGMPSNIKYEQYPEYVDDTSRFVWNFLADRTATQTSNASFCTRECDGEGEVCIGAEPAGKGRCMISTTRYIPAYSTRLTFEGNSWQVIPADASDSMGLVDPVWTESYWGTLGLRIYKVQSSAYDHLVLLGGVAVTAVAYLAVIVTRTCLVKALKHD >DRNTG_07804.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1278829:1285063:1 gene:DRNTG_07804 transcript:DRNTG_07804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLISAAFFLVITVPRILGAGEVESVPDLEKAMYLTVEGYPCVRLLNLSSEIGCSNPGSGKVVAPIVRFSNAHDQLDRLSTVLISIDEMQSFFLRVSSDLYFAKKVAGVLVEPSNLNKSIGFSPVEKFPQAAFAPYQSLNYEWNPTGSGIMWNSYDFPVFLLSEKSASIVQEIVIKNMKDNTAYRTDVAEFDLVMQTTKVGTHDSESCLKEGSCLPLGGYSVWSSLPPINISSLGPPKPILLTIVSQDSASFFRDQSIGADSPLSGLIALLAAVDALSNIGSLDKLQKQLVFAVFTGEAWGYLGSRRFLHELDIGTDGLKGLNGTLIEQASLLLNSKLVNKNRVYYILSWLLLVTRLCF >DRNTG_07804.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1278829:1285063:1 gene:DRNTG_07804 transcript:DRNTG_07804.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLISAAFFLVITVPRILGAGEVESVPDLEKAMYLTVEGYPCVRLLNLSSEIGCSNPGSGKVVAPIVRFSNAHDQLDRLSTVLISIDEMQSFFLRVSSDLYFAKKVAGVLVEPSNLNKSIGFSPVEKFPQAAFAPYQSLNYEWNPTGSGIMWNSYDFPVFLLSEKSASIVQEIVIKNMKDNTAYRTDVAEFDLVMQTTKVGTHDSESCLKEGSCLPLGGYSVWSSLPPINISSLGPPKPILLTIVSQDSASFFRDQSIGADSPLSGLIALLAAVDALSNIGSLDKLQKQLVFAVFTGEAWGYLGSRRFLHELDIGTDGLKGLNGTLIEQVLEIGSVGQGLGEGFTTFYAHTEVDSSKTKEMLNALQKASVSLGTDNVKMKRADASNPGLPPSSMMAFLRKNQSTSGVVLEEFDGSFSNKFYHSHLDNPSNINSSSIAAAAILAARAIYILATGDLTLNLIALNSIKINMSLVEELVGCLLTCEPGLSCALVKKFISPSNTCPSHYVGVFLGMPSNIKYEQYPEYVDDTSRFVWNFLADRTATQTSNASFCTRECDGEGEVCIGAEPAGKGRCMISTTRYIPAYSTRLTFEGNSWQVIPADASDSMGLVDPVWTESYWGTLGLRIYKVQSSAYDHLVLLGGVAVTAVAYLAVIVTRTCLVKALKHD >DRNTG_14935.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27115317:27120281:1 gene:DRNTG_14935 transcript:DRNTG_14935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHPQSLSLNTPPFANPAPSSPVAAAGAGTVAGAPGNKDRKMASAEQLVLDLLDPELRENALLDLSKKREIFQDLAPLLWYSFGTIPALLQEIVSIYPVLSPPTLTPVASNRVCNALALLQCVASHPDTRILFLNAHIPLYLYPFLNTTSKTRPFEYLRLTSLGVIGALVKVDDTEVITFLLQTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLRYICATAERFYAVGSVLATMVASLAEQPSTRLLKHIIRCYLRLSDNQRACEALHNCLPDMLKDGTFNNCLRDDLVTRRWLQQLLHNVAAVNPVSVAMQQARLEHMMGN >DRNTG_19795.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16000306:16001817:1 gene:DRNTG_19795 transcript:DRNTG_19795.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQSLLPPPPPPPEFSAKAILNLNRLLITLLPNKILFLSFFILLPLTSILTQSTRTPTIVLSLPTIHHVSLLALFLLLLLLLPIRYFKSSPSPVYILDFTCFKPPITCRVPHATFLEHSRLLVDENSVRFQMRILERSGIGEETGLPPPSLYLPPKASLEGSRAESEQVIFSCIDELLITTSFDPKHIDILVLDSNNFSPTPSLTSMIVNKYKLNTNVLTFNLSGMGCSASPISLGLAKDLLQVYPNSNALVVSAQIIVSPNWYMGSERSMLIPNCLFRMGGAAILMTNRRSERRHAKYQLHHVVRTHTGTDDKAYRCVYQLEDSQGRQGVSLEKDVMPISAEALKINISNLGPLVLPRSEQLHYIFSLLVHKIINPKRKIYVPDFKKAFDHFCVHAGGRAIIDEVEKNLKLTSEQVEASRMTLYRFGNTSSCSIWYELGYIEAKGKMKKGDRVWQLGLGSGFKCNSAVLECLNNISLPVKSGAWADCIDRFPVEIPKIIKF >DRNTG_12388.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6542557:6543489:-1 gene:DRNTG_12388 transcript:DRNTG_12388.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor 7 [Source:Projected from Arabidopsis thaliana (AT3G20310) UniProtKB/Swiss-Prot;Acc:Q9LDE4] MRRAKPSTVTAAAAAIAAGSANERRYRGVRKRPWGRFAAEIRDPWKRARVWLGTFDSAEDAARAYDAAALALHGSKAKINFPHPLPLLAPSPPFPLTRTRSAPPVAIAALWNPTAALGFPPLSLALPAPVILTPHRRATTRTATATAGLHLLLWTAMVMLLSRFIAGSRSSSSSGSSNSSKRFLSISTPCLLATRRMISSAPCFGSDSPSDNNRFLTSLLLPLYSFLYLLRYMYSALSIFSRMVSAS >DRNTG_29617.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19976885:19978412:-1 gene:DRNTG_29617 transcript:DRNTG_29617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERVRDGDHWPDHPNGIEPDNLSVDQMLQSDKVPKWTEQLTVRAFIVSLLVGTFLTIIILRLSITTGIVPAFNIVAGLLGFFLVKSWTRVLEATGLSRTPFTRQENTIIQTCIVACTSIGFSGGFGSYILAMSSQVANEIRDPAKENSTKDPSESWMIPFLFMVSFTGLFTISPIAKMTIIQHRLTYPTGSAVAHLINNFHTPQGALLARYKQEIQV >DRNTG_12217.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25114236:25119529:-1 gene:DRNTG_12217 transcript:DRNTG_12217.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VPS45 [Source:Projected from Arabidopsis thaliana (AT1G77140) UniProtKB/TrEMBL;Acc:A0A178WPE4] MVLISLTRDYIGRMLQDISGMKVLVLDSHTVSIVSVVYSQSMLLQKEVYLVELVDSMSKESMMHLKAVYFLRPTLENIQHLRRQLTNPRFGEYHLFFSNILKTNQIQVLADSDEQEVVQQVQEFYADFVAIDPYHFTLNMNMNHIYMFPAVIDPPSSQNFCDRIVDAIAAVFLALKRRPVVRYQRTSDIAKKVAQETAKLMYEQESGLFDFRRTEISPLLLVIDRRDDPVTPLLNQWTYQAMVHELIGIQDNKVDLRNIGKVPKDQQEVVLSSEQDLFFKANMYENFGDLGMNIKRMVDEFQQVAKSNQNIQTIEDMAKFVDNYPEYRKMQGNVSKHVAMVTEMSRIVEEQKLMSVSQIEQELACNGGQGAAFEAVTSLLNNEGVSDVDCLRLVMLYALRYEKESPVQLMQLFNKLASRSAKYKSGLVQFLLKQAGVDKRTGDLYGNRDLLNIARNMARGLKGVENVYTQHQPLLFQTMENITKGRLRDVDYPFIGNHFQQGRPQDVVIFIIGGTTYEEARTVALHNASNSGTRFILGGSFVLNSKRFLKDLEEAQKMSRSNSAV >DRNTG_12217.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25114236:25119529:-1 gene:DRNTG_12217 transcript:DRNTG_12217.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:VPS45 [Source:Projected from Arabidopsis thaliana (AT1G77140) UniProtKB/TrEMBL;Acc:A0A178WPE4] MVLISLTRDYIGRMLQDISGMKVLVLDSHTVSIVSVVYSQSMLLQKEVYLVELVDSMSKESMMHLKAVYFLRPTLENIQHLRRQLTNPRFGEYHLFFSNILKTNQIQVLADSDEQEVVQQVQEFYADFVAIDPYHFTLNMNMNHIYMFPAVIDPPSSQNFCDRIVDAIAAVFLALKRRPVVRYQRTSDIAKKVAQETAKLMYEQESGLFDFRRTEISPLLLVIDRRDDPVTPLLNQWTYQAMVHELIGIQDNKVDLRNIGKVPKDQQEVVLSSEQDLFFKANMYENFGDLGMNIKRMVDEFQQVAKSNQNIQTIEDMAKFVDNYPEYRKMQGNVSKHVAMVTEMSRIVEEQKLMSVSQIEQELACNGGQGAAFEAVTSLLNNEGVSDVDCLRLVMLYALRYEKESPVQLMQLFNKLASRSAKYKSGVIWSNSSLNKQVLTRELVICTVIVTF >DRNTG_22260.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:929804:933971:-1 gene:DRNTG_22260 transcript:DRNTG_22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVYVTLDEKKPEAGCLVKGISVKGPFIDDLSIGVPAVKPSVVISPMETSVDEPNPPGVISSPFSVPRSSQNMDGSFPPHDTEEKECVWDASLPPSGNVSPHSSIDSIGVATAMSIVNSCTSTYRSDCMTSDGMLSVERNCESAKGSVRGDSLESAKTSMSRASDSSGLSDDSNWSNITGSANKPHKGNDPRWKAILAVRARDGLLGMSHFRLLKRLGCGDIGSVYLSELTGTRCYFAMKVMDKASLASRKKLMRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIKTSSNSDPSKRSSGSAFCVQPACIEPSSVCIQPACFMPKLFNQKNKKKPRKPRTEPGGQQSTTLPELVAEPTTARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLRFPESPSTSYASRDLIRGLLVKEPQHRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEIPRPVETELPSKFGAAEAVVGVGSSSKKMVGATDMKSGGKYLDFEFF >DRNTG_12520.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:13515165:13516427:-1 gene:DRNTG_12520 transcript:DRNTG_12520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLDLKRVICQVKLVGTLVTVVGAMLMTLYKGPIIEMVWTKHKHTHEVQTDESNNKDWLKGSIFVIIATLAWAALFILQTEALKKYASAPISLTSLICFVGTLQAIVVTFVMEHKASVWSIGWDMNLLAAAYAGIVTSSVAYYVQGLVIQEKGPVFASAFSPLMMIIVAIMGSFILAEKIYLGGVIGAILIVAGLYSVLWGKFKENKEMTETAALEFPIAIKSIEGNGKGMDIIDSNDVVIAKDTQKA >DRNTG_12520.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:13515165:13517145:-1 gene:DRNTG_12520 transcript:DRNTG_12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQGHCANLFHKCKPYIAMVSLQFGYAGMNIITKVSLNHGMSHYVLVVYRHAFATLSIAPFALFLERKGRPKMTFKIFLQIFVLALLGPVIDQNFYYAGLKYTSPSFACAISNMLPAMTFVMAVIFRMEKLDLKRVICQVKLVGTLVTVVGAMLMTLYKGPIIEMVWTKHKHTHEVQTDESNNKDWLKGSIFVIIATLAWAALFILQTEALKKYASAPISLTSLICFVGTLQAIVVTFVMEHKASVWSIGWDMNLLAAAYAGIVTSSVAYYVQGLVIQEKGPVFASAFSPLMMIIVAIMGSFILAEKIYLGGVIGAILIVAGLYSVLWGKFKENKEMTETAALEFPIAIKSIEGNGKGMDIIDSNDVVIAKDTQKA >DRNTG_17869.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28290123:28292051:1 gene:DRNTG_17869 transcript:DRNTG_17869.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSAHSESTTSGSRKDNGIVWKPVLTSAFQTESVIPNVLTNTCDNRDRHPDVNGVNTGSNSGQLGFMADKSMSKSSEVLMS >DRNTG_01152.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23183302:23184044:-1 gene:DRNTG_01152 transcript:DRNTG_01152.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVGKYEVGRTIGEGTFSKVKFARNMDTGDTVAMKVLARTTILKHRMVNQIKREISIMKIVRHPNIVRLHEVLAGEMKIYIILEFVTGGELFDKI >DRNTG_34394.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:14962430:14964612:1 gene:DRNTG_34394 transcript:DRNTG_34394.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGEASKDATQEVASLVFNLLYTSPRSRSRPKSRSPHRILHGNALKTLLLALIWHKRSLGDLDNGIQDEISTKNTVLEPGIVADCSIIVARKLEENAKSGVS >DRNTG_35413.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1532393:1534615:-1 gene:DRNTG_35413 transcript:DRNTG_35413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKTKNLQSINKRESSSILQDPKSTLARTWVGHERSAMRPQCRVFGWLSCESDSVEHLSC >DRNTG_01042.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18637263:18637841:-1 gene:DRNTG_01042 transcript:DRNTG_01042.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTASKSKQAEHDVSKPSTTPAAVEQKLKEPTGAPSPQSEEGFRPKIVTPLDETGTSDQQLSSAISQSAPTDA >DRNTG_35153.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1270045:1270958:1 gene:DRNTG_35153 transcript:DRNTG_35153.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of lumenal location 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01440) UniProtKB/Swiss-Prot;Acc:Q9SGH4] MATSLTSINGASQILLPMAMPKPKPKPKPKPTQTKAQLSQESPLQSLNNTLQTSRRTSIGLSVAILFNQATSMSLLAEEENNGFWLTGPLPVPSVSNDIANKETGTRTFIKKGVYMPNIGVDGSKYRLRMCAFDLLALGDMLSQDTWNYFRKYLCLKSTTMYFDFDKVITAASDDQKQPLLDLANKLFDNVEKLEDAAKQKKAEAAKAFYADTEVLLKDVMTRMQKPEPVG >DRNTG_29071.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1729735:1733036:-1 gene:DRNTG_29071 transcript:DRNTG_29071.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNPKNWQQRREVFLGKSLGRGRWRGSATWSSRRSPPVPEFAPSTSSLSSPTTSTKVSHGTALNLKSRKKNHQNEEKKELVMYQQNNKLFVVGISQLAWSWKVSCCQVSQWSSSFKFAFSFAEVYFVLHIWAFNGKYNPKCFTCLLKSVYSTSNPLK >DRNTG_28181.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20735659:20743565:1 gene:DRNTG_28181 transcript:DRNTG_28181.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSHFSNGFLSPYHQRLLLSISDFIFLLSFIFLTIHRCRRRSPEPEKEPLLTKLSSSPTTPLKLKLKLTIILLSFLTIFYTTLFTLSLFFSTSLQCPNLSSILLLLRLLSHLFSTSILLLTNPLPFSFLLFLRLFFFSSFFFNSFFSISSFFFTSLLFPDDFIILSLLPFSFFLLYLSITGVQPAPEASPATYATATLLSKATWSWMNPLLAKGYKTPLHLHDVPSLAPEHQAETLLQTFNSHWPENNLRRNHHPVATSLFLSFWPNLLLTAALSLLRLLAMYISPTLIQSFISFASSSTKSSMAEGYYLCSILLFSKLIEVFTSHQFNFQSQKLGMMIRSTLITSLYRKGLRLSCSSRQSHGVGMIVNYMAVDAQQLSDVMLQLHYLWLMPLQILTALALLYTYLGIAATTGIAGTLIATLFILLNTRRNNTFQFMLMTLRDARMKATNEMLANMRVIKLQAWERHFVEHIVGIRDGEFGWLSKFMYSMSTNMVVLWSSPAIIAVVVFGTSLLTGVKLDAAVVFTAMTIFRLLQEPMRNFPQALITVSQATVSLERVERFMMSRELDDKAVERVDGGHVAVEIRDGEFGWDDDDLHGSLKVLDVAIERGTLSAVVGTVGSGKSSLLACFLGEMHKISGTVRVCGTTAYVAQTAWIQNGTIQENILFGSPMKSEKYKEVIRVCCLEKDLEMMEFGDKTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGSEIFKECVRGVLKEKTVVLVTHQVDFLHNADCILVSYRIYFSESFEIVVEWY >DRNTG_28181.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20735659:20743565:1 gene:DRNTG_28181 transcript:DRNTG_28181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSHFSNGFLSPYHQRLLLSISDFIFLLSFIFLTIHRCRRRSPEPEKEPLLTKLSSSPTTPLKLKLKLTIILLSFLTIFYTTLFTLSLFFSTSLQCPNLSSILLLLRLLSHLFSTSILLLTNPLPFSFLLFLRLFFFSSFFFNSFFSISSFFFTSLLFPDDFIILSLLPFSFFLLYLSITGVQPAPEASPATYATATLLSKATWSWMNPLLAKGYKTPLHLHDVPSLAPEHQAETLLQTFNSHWPENNLRRNHHPVATSLFLSFWPNLLLTAALSLLRLLAMYISPTLIQSFISFASSSTKSSMAEGYYLCSILLFSKLIEVFTSHQFNFQSQKLGMMIRSTLITSLYRKGLRLSCSSRQSHGVGMIVNYMAVDAQQLSDVMLQLHYLWLMPLQILTALALLYTYLGIAATTGIAGTLIATLFILLNTRRNNTFQFMLMTLRDARMKATNEMLANMRVIKLQAWERHFVEHIVGIRDGEFGWLSKFMYSMSTNMVVLWSSPAIIAVVVFGTSLLTGVKLDAAVVFTAMTIFRLLQEPMRNFPQALITVSQATVSLERVERFMMSRELDDKAVERVDGGHVAVEIRDGEFGWDDDDLHGSLKVLDVAIERGTLSAVVGTVGSGKSSLLACFLGEMHKISGTVRVCGTTAYVAQTAWIQNGTIQENILFGSPMKSEKYKEVIRVCCLEKDLEMMEFGDKTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGSEIFKECVRGVLKEKTVVLVTHQVDFLHNADCILVMRDGMIVQSGKYDELLGSDTEFGVLVSAHENSMELVEQSTNHNISNDEHSKPDKQSIEEDRPGISPKTEKGTSKLIEEEQRETGHVSWNVYKVYITEAWGWWGVVIVLGISIVWQVSLMSSDYWLAYVTSEQNIVSFSPSLFIEVYCIIVAVSLIVVAVRSFLISYLGLKTAQIFFEQMLNCISHAPMSFFDTTPSGRILTRASSDQTNIDLFLPFFIGLTITMYITVITTVIVTCQVAWPTFIAVIPLAWLNIWYRGYYLATSRELTRLDSITKAPVIHHFSESILGVTTIRCFRKEESFAQENINRVNSSLRMDFHNNGSNEWLGFRLELIGSFVLCIVALLIIMLPANFIKPEYVGLSLSYGLNLNSALFFAVWISCFIENRMVSVERIKQFTKIPPEAAWEIKDRLPSPNWPARGDIVIQDLKVRYRPNTPLVLNSITLSIQGGEKIGVVGRTGGGKSTLIQAFFRLVEPCGGKIIVDGVDICTIGLHDLRSRFGIIPQEPILFQGTVRTNIDPVGKYSDEEIWQSLERCQLKDAVVSKPEKLDALVVDNGENWSVGQRQLLCLGRVMLKQSRILFMDEATASVDSHTDAVIQKIIREDFASCTIFSIAHRIPTVMDCDRVLVIDAGHAKEFDKPSKLIELNSLFRCFGSRVC >DRNTG_04999.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4379470:4385679:-1 gene:DRNTG_04999 transcript:DRNTG_04999.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSKVIHVRNVGLEITEIDLHQLVQPFGVVTKLVMLRAKNQALLQMQDVDSAVNVLQYYTNTQPSVRGRNVYMQFSSHQELTTVDQNSQGRKNGEQDSQPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFHKSAGFQALVQYQLCQSAVQAQSSLQGRNIYDGCCQLDIQYSNLNELQVNYNNDRSRDFTNPSLPSEQRGRSSQQSGYGDSGSLYGLQPAGVRPGT >DRNTG_04999.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4379470:4385679:-1 gene:DRNTG_04999 transcript:DRNTG_04999.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSKVIHVRNVGLEITEIDLHQLVQPFGVVTKLVMLRAKNQALLQMQDVDSAVNVLQYYTNTQPSVRGRNVYMQFSSHQELTTVDQNSQGRKNGEQDSQPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFHKSAGFQALVQYQLCQSAVQAQSSLQGRNIYDGCCQLDIQYSNLNELQVNYNNDRSRDFTNPSLPSEQRGRSSQSGYGDSGSLYGLQPAGVRPGSFTQVRCLSEDFSG >DRNTG_04999.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4379470:4385679:-1 gene:DRNTG_04999 transcript:DRNTG_04999.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVAAIAAAFGGVLPHGVTGTNDRCTLLVTNLNPEKIDEDKLFNLFSIYGNIVRIKLLRNKPDHALVQMGDGFQAELALHFLKGAFLLGKRLEVNFSKYPNITQAPDTREYAGSPLNRYNNNAVKNYRYCCSPTKMIHVSALPQDITEEELVTHLEEHGTILNTKLFEVNGKKQALIMFENEEQATEALVCKHATNIDRSVIRISFSQLQSI >DRNTG_04999.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4379470:4381463:-1 gene:DRNTG_04999 transcript:DRNTG_04999.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVAAIAAAFGGVLPHGVTGTNDRCTLLVTNLNPEKIDEDKLFNLFSIYGNIVRIKLLRNKPDHALVQMGDGFQAELALHFLKGAFLLGKRLEVNFSKYPNITQAPDTREYAGSPLNRYNNNAVKNYRYCCSPTKMIHVSALPQDITEEELVTHLEEHGTILNTKLFEVNGKKQALIMFENEEQATEALVCKHATNIDRSVIRISFSQLQSI >DRNTG_04999.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4379470:4385679:-1 gene:DRNTG_04999 transcript:DRNTG_04999.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSKVIHVRNVGLEITEIDLHQLVQPFGVVTKLVMLRAKNQALLQMQDVDSAVNVLQYYTNTQPSVRGRNVYMQFSSHQELTTVDQNSQGRKNGEQDSQPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFHKSAGFQALVQYQLCQSAVQAQSSLQGRNIYDGCCQLDIQYSNLNELQVNYNNDRSRDFTNPSLPSEQRGRSSQQSGYGDSGSLYGLQPAGVRPGSFTQVRCLSEDFSG >DRNTG_04999.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4379470:4385679:-1 gene:DRNTG_04999 transcript:DRNTG_04999.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSKVIHVRNVGLEITEIDLHQLVQPFGVVTKLVMLRAKNQALLQMQDVDSAVNVLQYYTNTQPSVRGRNVYMQFSSHQELTTVDQNSQGRKNGEQDSQPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFHKSAGFQALVQYQLCQSAVQAQSSLQGRNIYDGCCQLDIQYSNLNELQVNYNNDRSRDFTNPSLPSEQRGRSSQQSGYGDSGSLYGLQPAGVRPGSFTQMSNVAAIAAAFGGVLPHGVTGTNDRCTLLVTNLNPEKIDEDKLFNLFSIYGNIVRIKLLRNKPDHALVQMGDGFQAELALHFLKGAFLLGKRLEVNFSKYPNITQAPDTREYAGSPLNRYNNNAVKNYRYCCSPTKMIHVSALPQDITEEELVTHLEEHGTILNTKLFEVNGKKQALIMFENEEQATEALVCKHATNIDRSVIRISFSQLQSI >DRNTG_04999.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4379470:4385679:-1 gene:DRNTG_04999 transcript:DRNTG_04999.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVAAIAAAFGGVLPHGVTGTNDRCTLLVTNLNPEKIDEDKLFNLFSIYGNIVRIKLLRNKPDHALVQMGDGFQAELALHFLKGAFLLGKRLEVNFSKYPNITQAPDTREYAGSPLNRYNNNAVKNYRYCCSPTKMIHVSALPQDITEEELVTHLEEHGTILNTKLFEVNGKKQALIMFENEEQATEALVCKHATNIDRSVIRISFSQLQSI >DRNTG_04999.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4379470:4385679:-1 gene:DRNTG_04999 transcript:DRNTG_04999.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSKVIHVRNVGLEITEIDLHQLVQPFGVVTKLVMLRAKNQALLQMQDVDSAVNVLQYYTNTQPSVRGRNVYMQFSSHQELTTVDQNSQGRKNGEQDSQPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFHKSAGFQALVQYQLCQSAVQAQSSLQGRNIYDGCCQLDIQYSNLNELQVNYNNDRSRDFTNPSLPSEQRGRSSQSGYGDSGSLYGLQPAGVRPGSFTQMSNVAAIAAAFGGVLPHGVTGTNDRCTLLVTNLNPEKIDEDKLFNLFSIYGNIVRIKLLRNKPDHALVQMGDGFQAELALHFLKGAFLLGKRLEVNFSKYPNITQAPDTREYAGSPLNRYNNNAVKNYRYCCSPTKMIHVSALPQDITEEELVTHLEEHGTILNTKLFEVNGKKQALIMFENEEQATEALVCKHATNIDRSVIRISFSQLQSI >DRNTG_04999.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4379470:4385763:-1 gene:DRNTG_04999 transcript:DRNTG_04999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVAAIAAAFGGVLPHGVTGTNDRCTLLVTNLNPEKIDEDKLFNLFSIYGNIVRIKLLRNKPDHALVQMGDGFQAELALHFLKGAFLLGKRLEVNFSKYPNITQAPDTREYAGSPLNRYNNNAVKNYRYCCSPTKMIHVSALPQDITEEELVTHLEEHGTILNTKLFEVNGKKQALIMFENEEQATEALVCKHATNIDRSVIRISFSQLQSI >DRNTG_04999.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4379470:4385679:-1 gene:DRNTG_04999 transcript:DRNTG_04999.9 gene_biotype:protein_coding transcript_biotype:protein_coding MCKISSFDLSGSSSPYKIICNDVASVFLKLILSLSTVLSSSCHYLFFSLFCLCDGLLLETQDSQPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFHKSAGFQALVQYQLCQSAVQAQSSLQGRNIYDGCCQLDIQYSNLNELQVNYNNDRSRDFTNPSLPSEQRGRSSQQSGYGDSGSLYGLQPAGVRPGSFTQMSNVAAIAAAFGGVLPHGVTGTNDRCTLLVTNLNPEKIDEDKLFNLFSIYGNIVRIKLLRNKPDHALVQMGDGFQAELALHFLKGAFLLGKRLEVNFSKYPNITQAPDTREYAGSPLNRYNNNAVKNYRYCCSPTKMIHVSALPQDITEEELVTHLEEHGTILNTKLFEVNGKKQALIMFENEEQATEALVCKHATNIDRSVIRISFSQLQSI >DRNTG_02293.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:808559:811026:-1 gene:DRNTG_02293 transcript:DRNTG_02293.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNAVLFDQASYDKMLTEVPKFKQITPSVLSERLRINGSLARRAIKDLMARGAIRMVAAHASQQIYTRATNT >DRNTG_31182.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9992226:9993307:-1 gene:DRNTG_31182 transcript:DRNTG_31182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQEKKIKVLETEISNKNEEIILIKAQFQQITKEAEEMKTILIDERKQRTKRFELFPEPIAEVKQFIFKKVMATQYNQFISEQEILRQLAKEEILQAGFAITGNVLREIAHKDSGCQCEEGFTVVKCSLDMS >DRNTG_32131.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001787.1:80167:82973:-1 gene:DRNTG_32131 transcript:DRNTG_32131.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKFRMPVDLHCELIQRRHRGVDAPLDLAIYPDSTQGRGLIPVGKPMTNARAWVLPARPYGSLQKSYPPSREDTVACACPGHASAPVGRAHGCGKFLHAHVDEFRSIGCHPEKPQGRASTPVGLSWGVTRAWRSGVQFILGQILDEKTPQEFKCSSRTRVGHTLVIPPEVLSQSLMQVSSSSWFKDLLLRWEDFDEDEDQAYPQLLRRKGASWRHKGSGVSTRSSTSEAYLRVKEDMSSFSGSNLFSSMMYIPMRG >DRNTG_02104.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10660254:10661611:1 gene:DRNTG_02104 transcript:DRNTG_02104.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKLIMRGRDLADQLVHKELESPKDAVHGFCEKSISYEEAVKREVEYRKLINIRGLPLQPTTVSESLPAFPQDFPLNTNLAGRKRKGEPHNFDDQKPLLFSAKTQPPQQPSSSCLLPLQNQSLNVQNSMNRVNHLWCNVCKVVCMDAFNLNQHLQGKKHKAKCAAAFGNQTIDSGFCEIKFLWCQECNVPCMNEFSLAQHRAGKKHFQRLHQLQTLKGYPH >DRNTG_22234.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1276792:1279339:-1 gene:DRNTG_22234 transcript:DRNTG_22234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQFTDGGGNVIVEEAKGFNPGLVVLLVVGGFLLLFLVGNYALYAYAQKTLPPKKKKPVSKKKMKKAMLKQGVSAPGE >DRNTG_34434.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21246433:21250428:-1 gene:DRNTG_34434 transcript:DRNTG_34434.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFYIDPEFETDPKMDGINNFILSYTFFKVNED >DRNTG_25443.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1466124:1478165:1 gene:DRNTG_25443 transcript:DRNTG_25443.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVVILPSFSVLQRSANPREHAMGLANLSTLELVQNDGSPSGPKYFVLWNPPLTVMKGSKHHNKSNHGSPHGEALTTRSSPILEISYLFAEMVQHGLRCITFCKTRKLSELVLCYTREILQVTAPALAGTICAYRGGYNPQDRRKIESDLFGGKLWGVAATNALELGIDVGHIDATLHLGFPGSIASLWQQAGRSGRRGRPSLAIYVAFEGPLDQYFMRFPNKLFQHPVEHVLVDADNEKVLEQHIACAALELPLCLEYDEYHFGSHLNNAIMNLKNKGSLVISPENLWSYIGPEEKPSRAFSIRMIESEKYKVIEKFSNEILEEIEDSRAFFQVYEGAVYMHQGKTYLVEALDLSLKVAFCLKADLKYFTKTRDYTDIHVIGGNLAYPQVKTTEPDKMATTAQANTCTVTTKWFGFYRIWRASNQIFDSVELNLPSFSYESQAFWIRVPPSIRKAVDIQKLPFRAGQHAASHALLNVVPLYLMCNASDLRSECANPHETRKIPERILLYDQHPGGIGISKKVQLLFRELLTASLELVTACSCSTNAGCPNCIQTLSCSEYNEVLDKKAAIIILKVP >DRNTG_25443.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1468603:1475594:1 gene:DRNTG_25443 transcript:DRNTG_25443.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSKHHNKSNHGSPHGEALTTRSSPILEISYLFAEMVQHGLRCITFCKTRKLSELVLCYTREILQVTAPALAGTICAYRGGYNPQDRRKIESDLFGGKLWGVAATNALELGIDVGHIDATLHLGFPGSIASLWQQAGRSGRRGRPSLAIYVAFEGPLDQYFMRFPNKLFQHPVEHVLVDADNEKVLEQHIACAALELPLCLEYDEYHFGSHLNNAIMNLKNKGSLVISPENLWSYIGPEEKPSRAFSIRMIESEKYKVIEKFSNEILEEIEDSRAFFQVYEGAVYMHQGKTYLVEALDLSLKVAFCLKADLKYFTKTRDYTDIHVIGGNLAYPQVKTTEPDKMATTAQANTCTVTTKWFGFYRIWRASNQIFDSVELNLPSFSYESQAFWIRVPPSIRKAVDIQKLPFRAGQHAASHALLNVVPL >DRNTG_25443.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1467604:1475594:1 gene:DRNTG_25443 transcript:DRNTG_25443.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVVILPSFSVLQRSANPREHAMGLANLSTLELVQNDGSPSGPKYFVLWNPPLTVMKGSKHHNKSNHGSPHGEALTTRSSPILEISYLFAEMVQHGLRCITFCKTRKLSELVLCYTREILQVTAPALAGTICAYRGGYNPQDRRKIESDLFGGKLWGVAATNALELGIDVGHIDATLHLGFPGSIASLWQQAGRSGRRGRPSLAIYVAFEGPLDQYFMRFPNKLFQHPVEHVLVDADNEKVLEQHIACAALELPLCLEYDEYHFGSHLNNAIMNLKNKGSLVISPENLWSYIGPEEKPSRAFSIRMIESEKYKVIEKFSNEILEEIEDSRAFFQVYEGAVYMHQGKTYLVEALDLSLKVAFCLKADLKYFTKTRDYTDIHVIGGNLAYPQVKTTEPDKMATTAQANTCTVTTKWFGFYRIWRASNQIFDSVELNLPSFSYESQACVFFFIANRV >DRNTG_25443.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1464289:1478165:1 gene:DRNTG_25443 transcript:DRNTG_25443.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVVILPSFSVLQRSANPREHAMGLANLSTLELVQNDGSPSGPKYFVLWNPPLTVMKGSKHHNKSNHGSPHGEALTTRSSPILEISYLFAEMVQHGLRCITFCKTRKLSELVLCYTREILQVTAPALAGTICAYRGGYNPQDRRKIESDLFGGKLWGVAATNALELGIDVGHIDATLHLGFPGSIASLWQQAGRSGRRGRPSLAIYVAFEGPLDQYFMRFPNKLFQHPVEHVLVDADNEKVLEQHIACAALELPLCLEYDEYHFGSHLNNAIMNLKNKGSLVISPENLWSYIGPEEKPSRAFSIRMIESEKYKVIEKFSNEILEEIEDSRAFFQVYEGAVYMHQGKTYLVEALDLSLKVAFCLKADLKYFTKTRDYTDIHVIGGNLAYPQVKTTEPDKMATTAQANTCTVTTKWFGFYRIWRASNQIFDSVELNLPSFSYESQAFWIRVPPSIRKAVDIQKLPFRAGQHAASHALLNVVPL >DRNTG_25443.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1464289:1475594:1 gene:DRNTG_25443 transcript:DRNTG_25443.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERLIEIRCLDGQSTKVSISLNCSIADLKASLKESFIAAKKSSNFHLFFKGSKLRLESRIDSVSIDDGDFLVLVPFAKKTQVVSPRNDHPEEINTQSAATELGVMHEASHSAWKEIMNDLSSISEILPDDSMPTSFGALNNAGSSKNEVLRSESSGKESFKRRKKDTVMNCTLWDIFSSKSKNVFDRETCGKVLQLVESVNCLSDSPSGKCLLFEGSVKIADKAEECSCQFWLKKVLKTFTLLNIMYAFCQMEQKCMTLSVLKEELKHASIFGLDDLCISDVENLSRLCPKVVVILGKEKRMFAKSTFAIIISDSLTFLVDKPKLAVRSRAGRKPAAVSTSTIVNAIERRELLFKTDLWMTIKIYMEKTQSDTGIPLCCSLQDLLTYVDNHVDILKDSEVNLIKNRALASRCCEQTQLGPIEMIDHLRKGIGMRGQMVHVEKIDAKLPAYADFPDVLSENSRAALRSLGFSRLYSHQSAAIQASVTGKNVVVATSTSSGKSLCYNLPVVEHLSQNTSSCALYIFPTKALAQDQLRALLEMTRSLSVSFNIGIYDGDTSQEHRMWIRDNARLLITNPDMLHMSILPYHRQFQRILINLRFVVIDETHMYKGAFGCHSALILRRLRRICSHVHGSDPSFIFCTATLCKPT >DRNTG_25443.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1467797:1476094:1 gene:DRNTG_25443 transcript:DRNTG_25443.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRLVFFFLLQIEFNVKQQFISLYIYLMLHFFHIIKQAVWIRVPPSIRKAVDIQKLPFRAGQHAASHALLNVVPLYLMCNASDLRSECANPHETRKIPERILLYDQHPGGIGISKKVQLLFRELLTASLELVTACSCSTNAGCPNCIQTLSCSEYNEVLDKKAAIIILKGVLEAETESLASKF >DRNTG_25443.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1470222:1475594:1 gene:DRNTG_25443 transcript:DRNTG_25443.9 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRLVFFFLLQIEFNVKQQFISLYIYLMLHFFHIIKQAVWIRVPPSIRKAVDIQKLPFRAGQHAASHALLNVVPLYLMCNASDLRSECANPHETRKIPERILLYDQHPGGIGISKKVQLLFRELLTASLELVTACSCSTNAGCPNCIQTLSCSEYNEVLDKKAAIIILKGVLEAETESLASKF >DRNTG_25443.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1467175:1478165:1 gene:DRNTG_25443 transcript:DRNTG_25443.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVVILPSFSVLQRSANPREHAMGLANLSTLELVQNDGSPSGPKYFVLWNPPLTVMKGSKHHNKSNHGSPHGEALTTRSSPILEISYLFAEMVQHGLRCITFCKTRKLSELVLCYTREILQVTAPALAGTICAYRGGYNPQDRRKIESDLFGGKLWGVAATNALELGIDVGHIDATLHLGFPGSIASLWQQAGRSGRRGRPSLAIYVAFEGPLDQYFMRFPNKLFQHPVEHVLVDADNEKVLEQHIACAALELPLCLEYDEYHFGSHLNNAIMNLKNKGSLVISPENLWSYIGPEEKPSRAFSIRMIESEKYKVIEKFSNEILEEIEDSRAFFQVYEGAVYMHQGKTYLVEALDLSLKVAFCLKADLKYFTKTRDYTDIHVIGGNLAYPQVKTTEPDKMATTAQANTCTVTTKWFGFYRIWRASNQIFDSVELNLPSFSYESQAFWIRVPPSIRKAVDIQKLPFRAGQHAASHALLNVVPL >DRNTG_05809.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19084984:19096888:1 gene:DRNTG_05809 transcript:DRNTG_05809.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 45, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38380) UniProtKB/Swiss-Prot;Acc:Q9SVE7] MKAVQQNGLFSGLAAGGFDGEAKNKRKYFPVSRCSVNIKRKDVKGVSSINTLKSSCLGSHHSELCSSSAACRKRVLTVNCSQSCSDYDKGPYNANTQNADHLFGSFKDGILKLFRSPLDRADSGDVKSELVLLALPAVVGQALDPLAQLLETAYIGRLGPLELASAGISISIFNIISKIFNVPLLSITTSFVAEDIAKNPTRQHVENYEHGETLNEVGARMRLPSVSTALLLAAAIGTIEALALYFGAGIFLSMMGISTISPMRYAAQQFLSLRALGAPAVVITLAVQGVFRGFKDTKTPLLCIGLGNLSSVILLPLLVYNFRLGIIGAAFATIASQYITTLSLMWCLSKRAVILPPKFGDLQFEGYMKSAYVEQMLWGDFSRRKELKLELMWSI >DRNTG_05809.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19084471:19096888:1 gene:DRNTG_05809 transcript:DRNTG_05809.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 45, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38380) UniProtKB/Swiss-Prot;Acc:Q9SVE7] MKAVQQNGLFSGLAAGGFDGEAKNKRKYFPVSRCSVNIKRKDVKGVSSINTLKSSCLGSHHSELCSSSAACRKRVLTVNCSQSCSDYDKGPYNANTQNADHLFGSFKDGILKLFRSPLDRADSGDVKSELVLLALPAVVGQALDPLAQLLETAYIGRLGPLELASAGISISIFNIISKIFNVPLLSITTSFVAEDIAKNPTRQHVENYEHGETLNEVGARMRLPSVSTALLLAAAIGTIEALALYFGAGIFLSMMGISTISPMRYAAQQFLSLRALGAPAVVITLAVQGVFRGFKDTKTPLLCIGLGNLSSVILLPLLVYNFRLGIIGAAFATIASQYITTLSLMWCLSKRAVILPPKFGDLQFEGYMKSGGYMLGRTLSILLTLTFGTSMAAQLGPLAMAAHQICLQVWLAVSLLSDALAVSAQALIASSIARFDYDRVKEITYYVLKLGVFAGIALAIVISASYSNIAELFTKDAEVLQIVKSGVLFVCITQPINSLAFIFDGLHYGVSDFSYAAYSSMMVGVMSSICLLYAPSFFGIAGVWMGLTLLMALRMVAGIMRLCWKGGPWWFLQSATEVSKVTS >DRNTG_05809.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19084471:19087809:1 gene:DRNTG_05809 transcript:DRNTG_05809.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 45, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38380) UniProtKB/Swiss-Prot;Acc:Q9SVE7] MKAVQQNGLFSGLAAGGFDGEAKNKRKYFPVSRCSVNIKRKDVKGVSSINTLKSSCLGSHHSELCSSSAACRKRVLTVNCSQSCSDYDKGPYNANTQNADHLFGSFKDGILKLFRSPLDRADSGDVKSELVLLALPAVVGQALDPLAQLLETAYIGRLGPLELASAGISISIFNIISKIFNVPLLSITTSFVAEDIAKNPTRQHVENYEHGETLNEVGARMRLPSVSTALLLAAAIGTIEALALYFGAGIFLSMMGISTISPMRYAAQQFLSLRALGAPAVVITLAVQGVFRGFKDTKTPLLCIGKCIR >DRNTG_05809.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19088713:19096888:1 gene:DRNTG_05809 transcript:DRNTG_05809.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 45, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38380) UniProtKB/Swiss-Prot;Acc:Q9SVE7] MWCLSKRAVILPPKFGDLQFEGYMKSGGYMLGRTLSILLTLTFGTSMAAQLGPLAMAAHQICLQVWLAVSLLSDALAVSAQALIASSIARFDYDRVKEITYYVLKLGVFAGIALAIVISASYSNIAELFTKDAEVLQIVKSGVLFVCITQPINSLAFIFDGLHYGVSDFSYAAYSSMMVGVMSSICLLYAPSFFGIAGVWMGLTLLMALRMVAGIMRLCWKGGPWWFLQSATEVSKVTS >DRNTG_22490.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2599688:2600374:1 gene:DRNTG_22490 transcript:DRNTG_22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHTSTKPSIIPITSLSKRIAREKEADTIQGLKGVNLNASRSHQSRRKRKRMRRTKRSDGNGRGGGGQGGSRRTRRGRGRGGGDGKGEGSRGGQGPRSCSAAGDCRRCTGRDPPTPSPPEPPERC >DRNTG_23112.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4221220:4221964:-1 gene:DRNTG_23112 transcript:DRNTG_23112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHGVPLGVATVPGFEQPAHSARLVLSRVVVSRVDVLQSDALELIRDAAVNDRVDLRVSGDVGAKIRFIGLTSPRVQVSVDCAIVISPRRQAVTYKQCGVEGVNV >DRNTG_23112.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4221400:4221964:-1 gene:DRNTG_23112 transcript:DRNTG_23112.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHGVPLGVATVPGFEQPAHSARLVLSRVVVSRVDVLQSDALELIRDAAVNDRVDLRVSGDVGAKIRFIGLTSPRVQVRPPLFPSPLPF >DRNTG_15132.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5595395:5600149:-1 gene:DRNTG_15132 transcript:DRNTG_15132.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVHYPKRFEAFMWIGFGHCLKKREKERERERERERAKRSILEPKC >DRNTG_15132.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5599044:5600149:-1 gene:DRNTG_15132 transcript:DRNTG_15132.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLALSPLSYHLFVSSPGMKLRIPLNKHLYLIGWRLSRHATSLSIKWLRSTFVVPLPTPPSFKAPPRPYQNPSASSQPQLSPLPKTPSSSHPSLLNPSHAKIAIFTTSQIHFSITNYSKCNDQVITGTPPTTIQGLISN >DRNTG_12586.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15632120:15633581:-1 gene:DRNTG_12586 transcript:DRNTG_12586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSNKMSHLGNFFSYKENILLDIKGNEYKCELILPLISVMDLSYNCLYGSIPEELTNLLGLKSLNLSGNNLIGEITNKIGKMHELESLDLSRNSLSGVIPSSLSNLDSLGSLEFIIQ >DRNTG_12625.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000557.1:12066:13631:1 gene:DRNTG_12625 transcript:DRNTG_12625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISATISQFFSQSFSQLERGLRLGFEEVLTNDLERFYGSDIMLHLEEGDHELERTLRRKGKEPVQEPSKLADLEVEESKHMAEQNEQ >DRNTG_30357.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001566.1:1818:2498:1 gene:DRNTG_30357 transcript:DRNTG_30357.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIVLVGLRDYQDDKADVILKYMPDEARLLKAYGELPENTRLNEGIAGGLDEEDDGQGDDYIEFEDEDIDKI >DRNTG_18394.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12791021:12791590:-1 gene:DRNTG_18394 transcript:DRNTG_18394.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLALKVPNASPPLMMKLPLEVQALDLPKLQAKSPKKMMSSFFCFLPSGGCQEPPKVSPKKSLFCFPKNAFYTPHHQRQDPPIPGRIPSSMRTSLSSSLTLFGARKLHKCSPNSIHTGSMSTSLRRCYSNLATVSVLQYYCYNTPATVL >DRNTG_16549.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8591958:8602372:-1 gene:DRNTG_16549 transcript:DRNTG_16549.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPTIVFSDSGRVSKIETFSHYVARQLGFSDMNECPQLCKLANNYLRKTKGCEEDIFGFLSKDSNPEALYVKLIQELDRCILAYFAFHWQHASLMVTQVLNVDSEHKKKLKNMVMEATRKQRFERITKNLKVTRVFSTLVEELRAIGITPRDDVNKCTEVMVPAALSDRSPVLLLMGGGMGAGKSTVLKDILKEAFWSGAAANAVVVEADAFKETDVIYRALSSRGHHNDMLQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWEPFVEQTIAMVRAIHRRRYRMGVGYKVADDGSVMENYWEPAEEENNDENNKRRPYRIELVGVVCDAYLAVVRGIRRAILMGRAVRVKSQLKSHKRFANAFPRYCQLVDHARLYSSNSMGTAPKLIAWKDGDSSLLIEPQEYTCLENVAKLNEDADSVHEVYPQTDTVSASGSIWNDMISPLRLSSQQELKISIQMIENHVP >DRNTG_16549.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8591958:8602372:-1 gene:DRNTG_16549 transcript:DRNTG_16549.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMINKDGAATKLVLFHLVAIAAAGAIATAVQLRSRRKRRLKTKDAMPIPTIVFSDSGRVSKIETFSHYVARQLGFSDMNECPQLCKLANNYLRKTKGCEEDIFGFLSKDSNPEALYVKLIQELDRCILAYFAFHWQHASLMVTQVLNVDSEHKKKLKNMVMEATRKQRFERITKNLKVTRVFSTLVEELRAIGITPRDDVNKCTEVMVPAALSDRSPVLLLMGGGMGAGKSTVLKDILKEAFWSGAAANAVVVEADAFKETDVIYRALSSRGHHNDMLQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWEPFVEQTIAMVRAIHRRRYRMGVGYKVADDGSVMENYWEPAEEENNDENNKRRPYRIELVGVVCDAYLAVVRGIRRAILMGRAVRVKSQLKSHKRFANAFPRYCQLVDHARLYSSNSMGTAPKLIAWKDGDSSLLIEPQEYTCLENVAKLNEDADSVHEVYPQTDTVSASGSIWNDMISPLRLSSQQELKISIQMIENHVP >DRNTG_16549.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8591958:8602372:-1 gene:DRNTG_16549 transcript:DRNTG_16549.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPTIVFSDSGRVSKIETFSHYVARQLGFSDMNECPQLCKLANNYLRKTKGCEEDIFGFLSKDSNPEALYVKLIQELDRCILAYFAFHWQHASLMVTQVLNVDSEHKKKLKNMVMEATRKQRFERITKNLKVTRVFSTLVEELRAIGITPRDDVNKCTEVMVPAALSDRSPVLLLMGGGMGAGKSTVLKDILKEAFWSGAAANAVVVEADAFKETDVIYRALSSRGHHNDMLQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWEPFVEQTIAMVRAIHRRRYRMGVGYKVADDGSVMENYWEPAEEENNDENNKRRPYRIELVGVVCDAYLAVVRGIRRAILMGRAVRVKSQLKSHKRFANAFPRYCQLVDHARLYSSNSMGTAPKLIAWKDGDSSLLIEPQEYTCLENVAKLNEDADSVHEVYPQTDTVSASGSIWNDMISPLRLSSQQELKISIQMIENHVP >DRNTG_08331.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19853499:19855268:1 gene:DRNTG_08331 transcript:DRNTG_08331.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSLLLLLLLGFECFSLFFIQSHASIDYSQALTKSLLFFEGQRSGKLPPSQRLQWRGDSALKDGSDNGIDLTGGYYDAGDNVKFGFPHAFTITTLAWGIIEFEKNLVSKNEFGHAMEALKWGCDYFLKAHIAPDVLYVEVGDGDSDHECWMRPEDMTTPRTSYRVDAAHPGSDVAGETSAALAAASIAFRKADPHYADVLLAHAKQLFQFANEHRGLYQQSVPVAGKYYSSSGFDDEYQWAAVWLFHATDDKTYSDYLANCGSTGGVRSLFSWDDKWVGVQTIVTKLKIEQRLDNNNPLWNKYESEMVQFICPTIQKGNNNVKMSPGGMLWWQPWNNFQYTTSAMLVIAAHADHLASVGANLMCGSVTVTPRELIEFVKSQVDYILGANPKGMSYMVGFGSKYPIKFHHRGASMPSIKSDPNLIGCKDGFEKYFNSDGPNPNVLEGAVVGGPDANDQFEDQRSNYQQSEGPTVNNAPLVGVLARLAA >DRNTG_01952.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32569769:32574741:-1 gene:DRNTG_01952 transcript:DRNTG_01952.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSTDCRGSCCLVCPNLLLLEPFLFTLSFFFSSNFFLTVLP >DRNTG_01085.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000063.1:59931:64947:1 gene:DRNTG_01085 transcript:DRNTG_01085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLNIFNSLGLSLINISNEILQIPQVLFLVSISHGLDYEYKAVDLLKGDHFDREFEKLNPISFVLVLVDGDVVVGDSYAIVLVVSIVCSSIQSLHNLLIQKFLETKFHADEKLKLVQHHDEKSLSGDVLNFNIIVHAYPSNCCACFFSNLQQGLEKLLKDVPGKYATRDEVLLTQYPRLARAHAAYNELPAFQAVFQER >DRNTG_18779.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000972.1:51989:57216:1 gene:DRNTG_18779 transcript:DRNTG_18779.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRVLRLQPGQKYCLLGWLSKEVGWNHYDTIKENSLLKIERVEDKEDTWSFFYFE >DRNTG_18779.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000972.1:51989:52187:1 gene:DRNTG_18779 transcript:DRNTG_18779.2 gene_biotype:protein_coding transcript_biotype:protein_coding KHHSHRFHEAPSSPALSPSPRPNHGGHLLSMPHHHYICGATHRATLKSPKPSSLDTVLVAVDSDS >DRNTG_17955.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000927.1:14956:16157:-1 gene:DRNTG_17955 transcript:DRNTG_17955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLYFCSCCIKDKGKDAIFYSYTKHINGLAANLDEKEANDILKANEILSVVSVFPNRGHKLHTARSWEFLGFEKDDRAMAKSLWFKT >DRNTG_00248.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25948151:25950435:-1 gene:DRNTG_00248 transcript:DRNTG_00248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHYQTLGLNRSANKEEIKEAFRKSALKFHPDKHSHSSDDARNEASLRFKRASEAYQVLIDERKRADYDLRLRSAGFPRWSGKGPQSSSSPPSSSSSSSGYYRPPRAPASEFDPAIVFRWLMRRRTLIELAVAGALLGAYIVMEDSLEKVWKRNNAGKSFEETIESIKKGGKSHKDTSK >DRNTG_34617.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:45653:49633:-1 gene:DRNTG_34617 transcript:DRNTG_34617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNTNQPRPMGGGMMPGPVNPQTQSPMASSSPFQALFQTPPPMHPQSQHHSPFQLHIPSQSPNPSLSAPGMSGIPKRPPQKPPARPPAPAALPINMQAFKTGELTPAARRKKRKLPEKQLPDRVAALLPESALYTQLLEFEARVDAALTRKKVDIQESLKSPPTLQRTLRIYVFNTFANQTPRTPENKNTEPPTWSLKIVGRILEDGVDPDPVSGLPKPNPMYPKFSSFFKRITIALDPTLYPDNPTIIWEHARSPASHEGFEVKRKGDKEFTVSIRLDMNYNPEKFRLSPPLMEVLGIEVDTRARIIAGIWQYVKARKLQNPSDPSFFICDPPLKKVFGEDKLKFSLVSQKISQHLFPPQPIQFDHKIRLSGNGPVGNACYDVLVDVPFPLQKEMSAFLTNSEKHKEIEACDEVICASIKKIHEHRRRRAFFLGFSQSPVEFINALIASQSRDLKLVAGDAGRNAEKERRSDFYNQPWVEDAVIRYLNRKPAGGNDAPGST >DRNTG_34391.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4835219:4836622:1 gene:DRNTG_34391 transcript:DRNTG_34391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFDSCLSILDLGGVHLGCYAVSSIQSIAASASLMSLNALMGMSS >DRNTG_27991.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:10930253:10931517:-1 gene:DRNTG_27991 transcript:DRNTG_27991.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVCYCLLYFLLVSALSLVCIYFILFRSLVKCCSSLGGSSRSSKKPKGDQDFNGDYGL >DRNTG_26061.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001345.1:35400:36116:-1 gene:DRNTG_26061 transcript:DRNTG_26061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIRSTATSEGSLINEWEIYRNVTGEPSHGRVLGLGTGVKGKDVYGSSSSQTCSKKCEENQKRKEEEWEGRFKKMESIINELQQQVPVMVQTILQSLGVPSAPIATQGGANGLRDLSINSHDNITKESGNINVNDNNDNSFVEDCERGDDPRDDDGDNDEDGDEDDDDGGGDDDEED >DRNTG_21500.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001221.1:61401:85432:-1 gene:DRNTG_21500 transcript:DRNTG_21500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLEMSYKEKVLKAQHTQHGRGGATIQVELRDVDNGNKITERFRTDENIERVFVEEKSFTFLYQEGDSVTLMEATTFEQIEVAKELFGKAAGYLKDDMKVTVQYYDGKPMSASVPQRVTCTVVDAQPNTKGLTAAPQYKRVLLDNGLTVLAPPFIAAGDEIVVNTTDDTYMTRAKE >DRNTG_21500.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001221.1:61401:85432:-1 gene:DRNTG_21500 transcript:DRNTG_21500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLEMSYKEKVCDFFSTFYVALTIYVYYLLTIFVHVNHFGLLSNKGRIFQVLKAQHTQHGRGGATIQVELRDVDNGNKITERFRTDENIERVFVEEKSFTFLYQEGDSVTLMEATTFEQIEVAKELFGKAAGYLKDDMKVTVQYYDGKPMSASVPQRVTCTVVDAQPNTKGLTAAPQYKRVLLDNGLTVLAPPFIAAGDEIVVNTTDDTYMTRAKE >DRNTG_21500.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001221.1:61401:85432:-1 gene:DRNTG_21500 transcript:DRNTG_21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFGRKAAQYYFLASSPGSCSSISTLSQSAPNPWNQACDHSLCRATLAAPWSAIQRRGAKVMGSDVRFGNVIQRKGRIFQVLKAQHTQHGRGGATIQVELRDVDNGNKITERFRTDENIERVFVEEKSFTFLYQEGDSVTLMEATTFEQIEVAKELFGKAAGYLKDDMKVTVQYYDGKPMSASVPQRVTCTVVDAQPNTKGLTAAPQYKRVLLDNGLTVLAPPFIAAGDEIVVNTTDDTYMTRAKE >DRNTG_21500.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001221.1:61401:85432:-1 gene:DRNTG_21500 transcript:DRNTG_21500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDPFEFLTLAMVKFFEVLKAQHTQHGRGGATIQVELRDVDNGNKITERFRTDENIERVFVEEKSFTFLYQEGDSVTLMEATTFEQIEVAKELFGKAAGYLKDDMKVTVQYYDGKPMSASVPQRVTCTVVDAQPNTKGLTAAPQYKRVLLDNGLTVLAPPFIAAGDEIVVNTTDDTYMTRAKE >DRNTG_28777.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:25934619:25937059:-1 gene:DRNTG_28777 transcript:DRNTG_28777.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch-repeat protein SKIP4 [Source:Projected from Arabidopsis thaliana (AT3G61350) UniProtKB/Swiss-Prot;Acc:Q9M2C9] MTSFGSITEECGLINGSNFPFIPGLPDDIALLCLARVPLRYHHVLRCVSRRWKALLGSEEWASCRQKNNLEESLIYALCRDNTTGRNCSYVLYPEPASRCWKLHGDVPTQFSEKKGLVLEALGKKLYLFSNCGFPGCTTGAVYCYVPSANKWEEGAPMAGRCYFLSTVLDEKLYIIGGNGMSIVDQQSLDVYDSHSNSWSSNEIQIPLNDIVKVIPLDGKIHTIHKIWNFPYAGVCDPSCCRWNKTNNDLASCCGPTVVIDGTLYMLDETSGTRLMIWQKSSEEWVALGRLSHQLTQPPCQLVAVGRKIFVVGQGLHTVVVDVDTAAKVEGMLVSSSFFSKSNSHLSVISCKAVTI >DRNTG_28777.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:25934619:25935418:-1 gene:DRNTG_28777 transcript:DRNTG_28777.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch-repeat protein SKIP4 [Source:Projected from Arabidopsis thaliana (AT3G61350) UniProtKB/Swiss-Prot;Acc:Q9M2C9] MSIVDQQSLDVYDSHSNSWSSNEIQIPLNDIVKVIPLDGKIHTIHKIWNFPYAGVCDPSCCRWNKTNNDLASCCGPTVVIDGTLYMLDETSGTRLMIWQKSSEEWVALGRLSHQLTQPPCQLVAVGRKIFVVGQGLHTVVVDVDTAAKVEGMLVSSSFFSKSNSHLSVISCKAVTI >DRNTG_11472.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6236816:6238803:-1 gene:DRNTG_11472 transcript:DRNTG_11472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNSGNLVNGRCYLAPVIDTINQLKKMMTRRHWDILRRTPFSHLMDIEPIVQERSVLDALMQMFDERSNTFHLGDSFLQFKAEDVSLILGLQCDGTAIDFKRKKECSVFEEEYFSKGVDRNRDCLVRSLMNMVVRKESKKEESFVKLLLVYILGFLLFPTTSCSSPAWLPYYVDNLSSIGQYA >DRNTG_03038.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16394873:16398255:1 gene:DRNTG_03038 transcript:DRNTG_03038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEDWEMLNTEEHGSPNNFTKLEEEESKFNPDNDGVVNMDYFTCVFPPSSLLNNNNVEAEDVQEDDLSKIKEVRSLALLPDVLAEMGIISELFKGNLEDDSVNMKIEHPKCIIREFYQSEDSEDYSSEELSFRDDDDYGDGNNNDGSQSRVKLWGSRLIGAAAATLCVLLLSGCPKRKPRLQNQASFRQSGLGDLAAPTQIKHDRDVDGS >DRNTG_14641.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000731.1:11579:15874:1 gene:DRNTG_14641 transcript:DRNTG_14641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKALPITFFQMERDVKAKKPSQKTLSIPLKTLAALPSQISERMVKRMLKSGFNRGLNRAGIGHQHTHVDLPHGPVEFPHRRE >DRNTG_18090.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:304113:307897:-1 gene:DRNTG_18090 transcript:DRNTG_18090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFWAVDNPPPANFLLISGDRDFSNALHQLRMRRYNVLLAQPANVSPALVAAAKTVWLWTSLVSGGPPLPVTSHLFIDASANASSTATTQKSISESAETSKSMDSSFESSCLGNKKGYGGNGGRCDNRYKAKQVVKNLKQPNSSTPKTMSNEFKFRSFPGKQWHTPEIYGNFNPNVIQGLQQMDQVTAPAMSSSDSICFNVSSTETIQNPSPYSVQTTNFMSGASDGPLMGSQKGYGPYGGNRRADNWHQGKQAWKNPKQPNSCLPRTTIHEFRPLSGIEGHIRETSCNFNSNGKLGLNQVMASVISGSDSVGLNASDTDTIKNPTPGTMQTNKHADDSSDCSSLGNHKVHGSSGRADNWQKGKQSWKNTKQPHSNIPRNTSTEPKLVSGNQDSLQSAIPVDTSSDSSFPGSRNGSATCGKADNWYKGKKAWKNPKLPNSSLPRTTSDEFGKLSGNQGRVDGTSSNFNQNVKQSLQQGNLVSAPDMPGSNCGHWNVTITSSSVSQSSSQKSLTQCACSQQSEENHIKEAPYEFHGSMSCGVSSEPIPGCSLPSSDFLSTNKSNLPNNPPTQPFYPMPRPHDLVSPEANFAPGNLPAPSTLHLSHYPSTARLSGPQPPTSGAPPTSPNSNSFSTSEYPSGFHQNAIFSQSNHEPNVSSCMNHSNAQSGPPLYDNNMSNAPTAHSMEINTSISGGWGSPSCSTPSDVVQGLMGTILRALHTLKSDKIAPTEANISDCIHYGEINMPNFDVRIALNHAVEYQMVVTYKLGSNLPFYIAKNAKIWKCVNVMDTNVNHPKAIWDAILVFLSQSDGHHAIMTSQCRYQAAVALKRSCLKNLVVGDVLQILHAVINVKKWIIPHSSGWHPLSINLPVTESSELGSSNP >DRNTG_18090.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:304113:309280:-1 gene:DRNTG_18090 transcript:DRNTG_18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKYAEAKTSVWWDIENCQVPKGCDPHLIAQNIRSALADMEYKGAVAIWAYGDTSNIPAAIQQALSSTGVSLNHVPAGVKDASDKKILVDMLFWAVDNPPPANFLLISGDRDFSNALHQLRMRRYNVLLAQPANVSPALVAAAKTVWLWTSLVSGGPPLPVTSHLFIDASANASSTATTQKSISESAETSKSMDSSFESSCLGNKKGYGGNGGRCDNRYKAKQVVKNLKQPNSSTPKTMSNEFKFRSFPGKQWHTPEIYGNFNPNVIQGLQQMDQVTAPAMSSSDSICFNVSSTETIQNPSPYSVQTTNFMSGASDGPLMGSQKGYGPYGGNRRADNWHQGKQAWKNPKQPNSCLPRTTIHEFRPLSGIEGHIRETSCNFNSNGKLGLNQVMASVISGSDSVGLNASDTDTIKNPTPGTMQTNKHADDSSDCSSLGNHKVHGSSGRADNWQKGKQSWKNTKQPHSNIPRNTSTEPKLVSGNQDSLQSAIPVDTSSDSSFPGSRNGSATCGKADNWYKGKKAWKNPKLPNSSLPRTTSDEFGKLSGNQGRVDGTSSNFNQNVKQSLQQGNLVSAPDMPGSNCGHWNVTITSSSVSQSSSQKSLTQCACSQQSEENHIKEAPYEFHGSMSCGVSSEPIPGCSLPSSDFLSTNKSNLPNNPPTQPFYPMPRPHDLVSPEANFAPGNLPAPSTLHLSHYPSTARLSGPQPPTSGAPPTSPNSNSFSTSEYPSGFHQNAIFSQSNHEPNVSSCMNHSNAQSGPPLYDNNMSNAPTAHSMEINTSISGGWGSPSCSTPSDVVQGLMGTILRALHTLKSDKIAPTEANISDCIHYGEINMPNFDVRIALNHAVEYQMVVTYKLGSNLPFYIAKNAKIWKCVNVMDTNVNHPKAIWDAILVFLSQSDGHHAIMTSQCRYQAAVALKRSCLKNLVVGDVLQILHAVINVKKWIIPHSSGWHPLSINLPVTESSELGSSNP >DRNTG_19352.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5497754:5498608:-1 gene:DRNTG_19352 transcript:DRNTG_19352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQTTTDCDADGEAALLSDQMETDKSEDTNPLSPDA >DRNTG_20609.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2295504:2299229:-1 gene:DRNTG_20609 transcript:DRNTG_20609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEKLAEVSSPRGCGPSFLVCSCDGDAIVFRRRKTRSASKGGIYQKPTRDTETPSRALLRNLFDRGGKKIILSNS >DRNTG_14657.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5824503:5826429:1 gene:DRNTG_14657 transcript:DRNTG_14657.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MET1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G55480) UniProtKB/Swiss-Prot;Acc:Q94BS2] MSITSITNPSLCLSSPIPRKKLTKYSLINKAFPFSDSQACCSLSGRAMKLSVLVAKATPATESQPSKSEGGEGGEDKYEVELDKPYGLRFMKGRDGGTYIDAIAPGSSADKSGKFTVGDKVIATSAVFGEEIWPAAEYGRTMYTIRQRIGPLLMKMEKRYGKIEDGGELTEKEIIRAERNSGVISNTVREIQVQNYLRKKERKERREKDLSEGLKLYKSGKYEEALEKFESVLGSKPETDETSVACYNVACCYSKLNQIQAAISALDEALKAGYDDFKRIRTDPDLANVRTAEEFEPLMKKYDESFINENAINAIKSIFGIFNKE >DRNTG_14566.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17697291:17698865:-1 gene:DRNTG_14566 transcript:DRNTG_14566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKAGVSNSSFRKLPGGDNSTDLGNVGISQNGPELLETLLSTRFKGLSGEFRLVNGQQQSSVFEIVNVIGKGARNIAFWTPEFKISKQFNSASPANLKTIIWPGDTITVSKGWEIPTNGKRLKIGVPVKIGFNEFVNVVHDNSTNRTTVTGYCIDVFDAIMQSLPYAVPYDYLPFEIPGKNYTDLVYQVFLQKYDAVVGDVTIIANRSNYVDFTLPYTESGVSMIALVKENKRRNMWIFLKPLTTNLWLGTLTFFFFTGCIVWLIEHIVSTRSFVGHHHSSSE >DRNTG_31926.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2456414:2458869:1 gene:DRNTG_31926 transcript:DRNTG_31926.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKTSKTEHVASRGDAHTDWRFPSPWRM >DRNTG_31926.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2456414:2462820:1 gene:DRNTG_31926 transcript:DRNTG_31926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKTSKTEHVASRGDAHTDWRFPSPWRML >DRNTG_28780.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21658343:21674710:1 gene:DRNTG_28780 transcript:DRNTG_28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYVWAQATHKWLMEDIPQVAARVQDRYAGKKTNTGYIKGFSVALNIWFYELIGTRKKVRFGKIPRILCYGESTYRKQATIETSLLSLEGKEFPRLIARVEALEARSQSTVSSLQRNEAPGTDEKSEFDNNDIIGVAIPRRLHSKRHAKKRRTIMPLSPPPADDETIATPLAADAVTKSVAVDDMDVMVEEIVDDVAIAAGVSPVDVVAMATVQKIVDSVVNKSIGTVEPTADSTASKPDTISQQQKACKDMSAVDAVVIPASKEDSTSDEHCQGSTIVSHDDPDQATRDMIEANQKLDEKALKELIRIFLNCPKDSTVVWKNDAVSTTRDKLFTLLERKEMVTDDRASITRPLALFMSKQDDVHETTMAMIRDVVRNLHEVKIVILPIIMNDHFHVVVLDNEKQEYNHYSLCQSAGYDKDALDMRNLFDICVDMEFGESATSKYPLVHDIETPRQKQGSVDCAVYVKRFIEQLLADEKLRLPQTNILYLRLKYVSRILKKGRAAGVHEKGGSSQAG >DRNTG_11106.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30606189:30610606:-1 gene:DRNTG_11106 transcript:DRNTG_11106.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEILGTDATVGPVKDGINGENIHHTEFDDKEKTNLGQIAEEPIQFGSQAASGGKKDGKPMTNGSFLQNATEEWPAQEQIHTFYFVKVRSYEDPKLKAKIDEAEKEVQKRNKARFQITEALKAKRSERAQVISQLKPLTTEDKRYRSIMDEKRKEMEPLQDALGKLRGSNNVTREKGVSLCSSEEELNFLIQSLHYHIQHESNTLSEEKQLLKEIKQLESTREKVIANDVVKAMIQDSLGQKETIQDQVKLMGVGIGGVKKEKQAVRTKIKQLEEELKAIDAAIASLQDQLEPLTEKRDKAFENLSELKKSRQELNTCSRENRSVLNIAKDLASRKDVHALEELCHNEVEKFMSQWSSNKAFRDDYERRILSSLDSRQFTRDGRQRNPDENPIIVEAPLPPPPTVAQEASIKVSRENENADLGSGAISSRKVRNEDRRKSTEESKQPASVPQEEEYSLGIEKSQEEPVEIDAIKLKEMKREVEIAKANLARERKKKQAEKATARAMLRAQKEEEKKLKEKEKKAKKKVGPASEPSAEETEPETKTEEPEEADLNLDIPVPTNSKELKDRTRYRNKPKVHDQLPRKILKRKKSNSYLLWAVPSAILALVIAVLVYYYQNI >DRNTG_11106.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30619094:30626554:-1 gene:DRNTG_11106 transcript:DRNTG_11106.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEILGTDATVGPVKDGVNGENIHHPEFDDKEKTNLGQIAEEPIQFGSQGASGGKKDGQPMTNGTFLLNATEEWPAQEQIHTFYFVKVRSYEDPKLKAQIEQADMQVQKFGCR >DRNTG_11106.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30606189:30610606:-1 gene:DRNTG_11106 transcript:DRNTG_11106.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIQDSLGQKETIQDQVKLMGVGIGGVKKEKQAVRTKIKQLEEELKAIDAAIASLQDQLEPLTEKRDKAFENLSELKKSRQELNTCSRENRSVLNIAKDLASRKDVHALEELCHNEVEKFMSQWSSNKAFRDDYERRILSSLDSRQFTRDGRQRNPDENPIIVEAPLPPPPTVAQEASIKVSRENENADLGSGAISSRKVRNEDRRKSTEESKQPASVPQEEEYSLGIEKSQEEPVEIDAIKLKEMKREVEIAKANLARERKKKQAEKATARAMLRAQKEEEKKLKEKEKKAKKKVGPASEPSAEETEPETKTEEPEEADLNLDIPVPTNSKELKDRTRYRNKPKVHDQLPRKILKRKKSNSYLLWAVPSAILALVIAVLVYYYQNI >DRNTG_11106.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30622184:30626554:-1 gene:DRNTG_11106 transcript:DRNTG_11106.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEILGTDATVGPVKDGVNGENIHHPEFDDKEKTNLGQIAEEPIQFGSQGASGGKKDGQPMTNGTFLLNATEEWPAQEQIHTFYFVKVRSYEDPKLKAKIDEAEKEVQKRNKARFQITEALKAKRSERAQVISQLKPLTTEDKRYRSIMDEKRKEMEPLQDALGKLRGSNNVTREKGVSLCSSEEELNFLIQSLHYRIQHESNTLSEEKQLLKEIKQLESTREKVIANDVVKAKIQDSLGQKETIQDQVKLIGVGIGGVKKEKQAVRTKIKQLEEELKAIDAAIASLQDQLEPLTEKRDKAFENLSELKKSRQELNTCSRENRSVLNTAKDLASRKDVHALEELCHNEVEKFMSQWSSNKAFRDDYERRILSSLDSRQFTRDGRQRNPDEKPIIVEAPLPPPPTVAQEASIKVSRENENADLGSGTISSRKVRNEDRRKSTEESKQPASVSQEEEYSLGIEKSQEKPVEIDATKLKEMKREEEIAKANMARERKKKQSEKATARAMLKAQKEEEKKLKEKEKKAKKKAGPASEPSAEETEPETKTEEPEEAVVNLDIPVPTKSKELKDRTRYRNKPKIHDQLPRKILKKKKSNSYLLWAVPSAILALVIAVLVYYYQNI >DRNTG_11106.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30606189:30626554:-1 gene:DRNTG_11106 transcript:DRNTG_11106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEILGTDATVGPVKDGVNGENIHHPEFDDKEKTNLGQIAEEPIQFGSQGASGGKKDGQPMTNGTFLLNATEEWPAQEQIHTFYFVKVRSYEDPKLKAKIDEAEKEVQKRNKARFQITEALKAKRSERAQVISQLKPLTTEDKRYRSIMDEKRKEMEPLQDALGKLRGSNNVTREKGVSLCSSEEELNFLIQSLHYRIQHESNTLSEEKQLLKEIKQLESTREKVIANDVVKAKIQDSLGQKETIQDQVKLMGVGIGGVKKEKQAVRTKIKQLEEELKAIDAAIASLQDQLEPLTEKRDKAFENLSELKKSRQELNTCSRENRSVLNIAKDLASRKDVHALEELCHNEVEKFMSQWSSNKAFRDDYERRILSSLDSRQFTRDGRQRNPDENPIIVEAPLPPPPTVAQEASIKVSRENENADLGSGAISSRKVRNEDRRKSTEESKQPASVPQEEEYSLGIEKSQEEPVEIDAIKLKEMKREVEIAKANLARERKKKQAEKATARAMLRAQKEEEKKLKEKEKKAKKKVGPASEPSAEETEPETKTEEPEEADLNLDIPVPTNSKELKDRTRYRNKPKVHDQLPRKILKRKKSNSYLLWAVPSAILALVIAVLVYYYQNI >DRNTG_13225.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2756723:2763584:1 gene:DRNTG_13225 transcript:DRNTG_13225.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope pore protein 37, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G43950) UniProtKB/Swiss-Prot;Acc:O80565] MGQPEPAPLNPNPKPSPPPPPPPPALLGPPPLRQDGGRFLKFPRPALRVTSEFDSEADVWFHKVSCRLFDRLAKLKLSFQNDKRGEVSSPQIGFLTKNLSVLYDVESRNALLKGSLDLAGCLQLRATHDVKEQQGEVSLIANLVDPSYKFELASAVPFSGLPRATLRFPQGELSVEERENEEAQRELSWSGILKGQILNGVCTAVYKENDVNLRYCYKDEEISFIPSITLPSNAPSFAFKRRFSPSDKLSYWYHFDSDEWSAVYKHTMGKDFKFKAGYDSEVRLGWASLWVGDEDGKTKTAPKKVKVQFMVQVPRDEIRNSVFMVRVKKRWDI >DRNTG_03304.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29029214:29030226:-1 gene:DRNTG_03304 transcript:DRNTG_03304.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFENNNGMHALVQYPDVITAATAKLYLEGHCIYDGGYCKLHLSYSRHTNLTIMVNSDKNRDYTIPETRVFAAPPAFTGWQPSAPAAPVFDAVQMPDYDPSRLIIPASSSTYPGQSLMLHSGPAYPATGAYSSASGASLHASRLISLYGMHPGASGPSGSSSSQPHVYYQ >DRNTG_03851.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:14473117:14476607:-1 gene:DRNTG_03851 transcript:DRNTG_03851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFLISFEFRISYNVWDNPLTIHFQLRGQEYHLNYTDLALLMGISTPEYTVIEEYRYLISSPPLGEGQRTQWRRLSDCGLLFRPSLTASTTLKSPALLVIHVLLSGTITGILGMLLSPFCRSISVGSSQYHRPLSHDMALSFFVAWTEPTCHTTTSPCAWQGVVYENDRVVLLRRPDFGFIGHIPILRFLATSLFSIPSASGSTRKLAHRRDLESRPPKSGSIQRVLQPIEWIGVAEALKQASRDVSRYVTLRWSSHSLPDVISPMSSIPSPPSSEAKKDHKLSGGAIARITIGVVVEFVLLLLIIILLYMRKSSKSGTIAVAAKLPKFMSPFVTKALSTESQRMECLIVHHRHQWRTPAKGENTKKLLFFRTGLRTFELEDLLRVSAKVLGNGTFGTVYKA >DRNTG_31215.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21684894:21686323:-1 gene:DRNTG_31215 transcript:DRNTG_31215.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G35550) TAIR;Acc:AT5G35550] MGRKPCCSRDGLRRGAWTQEEDKILSAYIKAHGEGRWRSLPSRAGLKRCGKSCRLRWLNYLRPDIKRGNISQEEDDLIIRLHKLLGNKWSLIAGRLPGRTDNEIKNYWNTHLSKTLIKHGNGHDEHQNKIETKKEKTLAIVDTNNVVRTKARRCTSNVFITTTPQQQQPHFDDHNEEHMNTGVLLHGNEAANGDENTGHEIESWWDALMDFNMNDDWTWMNEASSPPIHNINVAEAEGDDDDDHHQVMIESEADETRKPDLDLDLNALAAFLDWETYA >DRNTG_29994.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6542178:6544320:1 gene:DRNTG_29994 transcript:DRNTG_29994.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVVVPMQKMQLIKGERHLFSSSDDSVVMKQIMATHAPDSREVDVRPILDIVEDILKRANPSYILMPQTQLEVVEAGTHPAEVVSMLEALAYTVHRMSSEINYKCSIGGDAHATTLALLQSLSSYTWDAKLVIVLAAFAISYGEFWLTTQLHTVNPLAKPLAHLKQLPNMLEHTDILKPRFDAINNLIEAMLEVTKCIVEFRELPSEYISHDAPEMAMALAHVPTAVYWTIRGVIASTSQIVSLVGLGHEYISSTTEAWELSSLAHKLNNIHGHLIRQLNLCKQQIGEKKHMEAYQTLVRLFETIHLDNMKILRALMYSKDDLPIINGTTKRRVSVEVLRRKIVMLFITDLDISHEELFVLIQLYNDTHQGKVERHYEIVWLPVIDRHVPWLQSREESFQSLGIINAMVLFGASFIVGQGGGEIHKGCVAF >DRNTG_29994.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6542178:6545216:1 gene:DRNTG_29994 transcript:DRNTG_29994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVVVPMQKMQLIKGERHLFSSSDDSVVMKQIMATHAPDSREVDVRPILDIVEDILKRANPSYILMPQTQLEVVEAGTHPAEVVSMLEALAYTVHRMSSEINYKCSIGGDAHATTLALLQSLSSYTWDAKLVIVLAAFAISYGEFWLTTQLHTVNPLAKPLAHLKQLPNMLEHTDILKPRFDAINNLIEAMLEVTKCIVEFRELPSEYISHDAPEMAMALAHVPTAVYWTIRGVIASTSQIVSLVGLGHEYISSTTEAWELSSLAHKLNNIHGHLIRQLNLCKQQIGEKKHMEAYQTLVRLFETIHLDNMKILRALMYSKDDLPIINGTTKRRVSVEVLRRKIVMLFITDLDISHEELFVLIQLYNDTHQGKVERHYEIVWLPVIDRHVPWLQSREESFQSLGIINAMVLFGASFIVGQGGGEIHKGCVAF >DRNTG_24064.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26484672:26486009:-1 gene:DRNTG_24064 transcript:DRNTG_24064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRPPCCDKVGIKKGPWTPEEDIILVSYIQQHGPGNWRLVPINTGLMRCSKSCRLRWTNYLRPGIKRGNFTYTEEAIIVHLQSLLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLRRFQASVDCYMNSTSSGSSSTTSHELLSGGYQNPRHHQIPSAYASSTENISRLLQGWVMKSKITQEDEVQSIITSDSYKASSSSFAPPVLSNEELESLIPLGNGSSSSSNNNNINNDNNNNNNNGGCIGAWEKSQCTVDNQNPPLFMLEKWLLDESGVPADAAPLF >DRNTG_14638.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000730.1:1:8438:-1 gene:DRNTG_14638 transcript:DRNTG_14638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSESLHLGHIMVEYLRHHGQVIGGAFVGTDPVRCALDLTRGPLEKTRPFHKTIDMNTKVW >DRNTG_35433.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1734481:1737483:-1 gene:DRNTG_35433 transcript:DRNTG_35433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRAMEEGGVVVLGGGSHSKNKEIMRHGRTAHNMSSSSLRKKSDLSLVSKVPCSLLRSFLANLQEIFLGTKLFVLFPAVPLAVVAHYFHFGRAWVFALSLLGLTPLAERVSFLTEQIAFYTGPTVGGLLNATCGNATELIIALFALRQAKVEVVKWSLMGSILSNLLLVLGTSLFAGGLKNLDKEQLFDPKQAEVNTGLLLLGSLCHLLTLLFKYAIGSGEPGDVTGPQLALSRTCSFVMLIGYVAYLFFQLKTHRRLFESPEEDDKNDNVSEETPVIGFSSSLAWLIVMTVVIAVLSEYVVGTIEDASTSWGISVSFISIILLPIVGNAAEHAGAIIFAFKNKLDITMGVSLGSATQISMFVVPLSVLVGWAMGVQLDLDF >DRNTG_07892.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2445422:2446040:-1 gene:DRNTG_07892 transcript:DRNTG_07892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEPKGDFFTDFDSESDNDELPSL >DRNTG_02580.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19361676:19377939:1 gene:DRNTG_02580 transcript:DRNTG_02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRHRLTSPSCLGQPRSCPAPRRSPRGVEDENPRQRSKKTQIDARPLLNIAPSLTTAIASPELPLIRVSLPISEEINKSKEELRKMIIIRVSSGNVSVNSLMTHLPEIFYPGSIVNITPYDDEFILTMNSSRSAVQAVKRSPLSFSSKHGECTIILTPWTPEFKSHSLAAGNYQWIRISNLPLHCWNWDSIVSVLRPIGDLIYVQKREEASLKFLRVMARLKKPIAFPMQMVVDVGMRSFFVMLEDSGIPSLRSKISHGSSASVKPLVIKEPSAAPRMSPAGIANPSSQQVHCSSSAIPCGLIGKAPKSVPTDIDIPGKRVDLCSASGHSMMFIPTEEVGISGDRPVIPGDQQEVSSLF >DRNTG_17894.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17356067:17358910:1 gene:DRNTG_17894 transcript:DRNTG_17894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTGAFGCKELQPLLSSSPGSVPGLTSHATDLLQVTCRLSSTNHFPCFCASVSHPTPSNPSPAVGYLPPISRCSPPSSSSSSSREHLISHANKPLACHPSLIARSCCQLGGIRERMSTGGDGDGLRDDDQEARAPMGDEALQ >DRNTG_23190.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001261.1:10988:18363:-1 gene:DRNTG_23190 transcript:DRNTG_23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIYVVVILLQIAKALLAFAVLGRCVFAPLTVYAFLSYQLYQIMSSVDNVEKFLRNQQALVPTRYSYTDIITMTNNFKEKLGQGGFGSVFKGRLPWDRLVAIKMLTNSKYNTGEDFMNEVSTIGRIHHINVVKLIGFCSDRLQRALVYEYMPNGSLDKFIFSTKNGPNHKFSLDKLIDIALGVARGLDYLHKGCDMQILHFDIKPHNILLDQNFNPKLSDFGLAKLYPKNNSLVSLSIARGTIGYIAPELISRSFGVVSHKCDVYSFGMLLLEMAGGRRNSDLRAENTSQVYYPSWIYDKLVEDTIEDNVVEMDTSIAIDEREKKLCMVGLWCIQIRPSDRPSMCKVIEMLEGDVSSLQMPPKPFFSEPTQIPSKVSYLMMAN >DRNTG_16360.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7713229:7715039:1 gene:DRNTG_16360 transcript:DRNTG_16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRNTSQFFSYVQSSHRGYEPGVSKATCLSRPAYPYHYAIMSKSVIGRGDSTGVLSRQELLYLYSMMHCIPIHLGHIVAKYIQHQGQYPRLGVIFSGPYITRLALGMGLLGDIRGAEKMSIPVPLSLETIRLMEIAKEEEEDAKASQPASEPHVVRVIGTEVTKARAEIADIRTAQAAQAAQYTEFMARFDTL >DRNTG_26149.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29362531:29363220:1 gene:DRNTG_26149 transcript:DRNTG_26149.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPFGLLSSKFYNIHEWEKEIKKQKRTNNTNKHILQQPPNTNNQNRI >DRNTG_10943.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:174707:178749:1 gene:DRNTG_10943 transcript:DRNTG_10943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGAVVWDPWLISSQIVCLQCLYYLTLGLFMSILVGTRVSRMTLVYFFDFSTLTASTATGWCAIIAFLLTSLAGSVYMFYIVERAKKCLDFSATLYIIHLFICIIYGGWPSSITWWVLNVTGLALMSLLGEWLCIRREMREIPITRLRSNV >DRNTG_07042.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3702037:3703377:1 gene:DRNTG_07042 transcript:DRNTG_07042.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALKSPQIPILFFVSALTFVAGDLPVIPPTTSVDNSTAFIRSSCGATRYPELCFSSLIHYADTVRSDPVLLAWLAVNITLGRVRSVSSHISSLRQATTSGDSREFAALRDCAETLADAAQLAKKSASEIGRLAKAETSPEVGWWVSNAQTWLSAVLTNEDTCTDGFSPVGASTLKADVCRRVGSAKKYTSNALALVNKLVSSR >DRNTG_07042.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3702037:3703428:1 gene:DRNTG_07042 transcript:DRNTG_07042.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKSPQIPILFFVSALTFVAGDLPVIPPTTSVDNSTAFIRSSCGATRYPELCFSSLIHYADTVRSDPVLLAWLAVNITLGRVRSVSSHISSLRQATTSGDSREFAALRDCAETLADAAQLAKKSASEIGRLAKAETSPEVGWWVSNAQTWLSAVLTNEDTCTDGFSPVGASTLKADVCRRVGSAKKYTSNALALVNKLVSSR >DRNTG_07042.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3702037:3702789:1 gene:DRNTG_07042 transcript:DRNTG_07042.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALKSPQIPILFFVSALTFVAGDLPVIPPTTSVDNSTAFIRSSCGATRYPELCFSSLIHYADTVRSDPVLLAWLAVNITLGRVRSVSSHISSLRQATTSGDSREFAALRDCAETLADAAQLAKKSASEIGRLAKAETSPEVGWWVSNAQTWLSAVLTNEDTCTDGFSPVGASTLKADVCRRVGSAKKYTSNALALVNKLVSSR >DRNTG_07042.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3702037:3703428:1 gene:DRNTG_07042 transcript:DRNTG_07042.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKSPQIPILFFVSALTFVAGDLPVIPPTTSVDNSTAFIRSSCGATRYPELCFSSLIHYADTVRSDPVLLAWLAVNITLGRVRSVSSHISSLRQATTSGDSREFAALRDCAETLADAAQLAKKSASEIGRLAKAETSPEVGWWVSNAQTWLSAVLTNEDTCTDGFSPVGASTLKADVCRRVGSAKKYTSNALALVNKLVSSR >DRNTG_30507.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:771580:772729:-1 gene:DRNTG_30507 transcript:DRNTG_30507.2 gene_biotype:protein_coding transcript_biotype:protein_coding RIKKRKGNLSGDEGCPWNTNRSMSSIQRPHPEKYQKSIERFLPG >DRNTG_30507.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:769803:772729:-1 gene:DRNTG_30507 transcript:DRNTG_30507.1 gene_biotype:protein_coding transcript_biotype:protein_coding RIKKRKGNLSGDEGCPWNTNRSMSSIQRPHPEKYQKSIERFLPG >DRNTG_28941.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001461.1:12805:26411:1 gene:DRNTG_28941 transcript:DRNTG_28941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLVDNNCPSIKSLNMTADGTSYFTKGAIGVDAELEKLRNDLVKIQPLVEDAEERQLMEKNVKLWLTQLRDVAYDAKDILDQANTHVLFIQHKSEFYGPLKSKVRDFFSLHHNPLLFQLQLGHNLRSINRRIDGIIKEMDKFNFKVVDNNNKNDKPWRNRPQTHSYVPASEVTDRDEDKERMVEILIHDHFEEKVTVVSIVGIGGLGNTTFAQLVYGDKNVESCFQLRIWACVSDDFNVAKLARNIIHTASRKICDHTNMEVLQRDLRQLLGRGSSITGVRVSTGSLGIRRRGEEEQVVVGVSPKARFTGPHPVDLRPFVSSPGSGRDKIRPELWLSYVDLPSQVKKCFAFCAIFPKDSFIQEGMLVQFWMAHGFIPSQVEGKEIFDELIGRSLLQIITDKEYTIVKNAENKIGELKHWNLDGKLVLYGLHKVKNVDEAKEANMSSRQNINSLSFSWGWGASVENAEQVLEALKPHVFQHGLETDSNFKICAMLSTILHPDPANHLQHHPCVQSPSTLSSFPSLRKLSLNLMANLEGWCVEEDRETTPPLFPCLEVMYISYCPKLTTMPP >DRNTG_33028.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21620337:21635083:-1 gene:DRNTG_33028 transcript:DRNTG_33028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTRDEYNQLLYACCVSIGASSSQVSKFGEMVTILCSSNEIQPLFPTILKPKPSWTGKQVISSILSYVTRGTKPFTVEKDGRIPKEYFGKDLTEHKLFILNNELIHGMIDKAQFGKHGLVHTVHEFYGADTAGILLSMFSRLFTIFLQIHRFTCGVDDLLISEDSDLRWKDILETSKKESKQVHLQFTNNNSVGSTDLQKEIEKVQHLIERCLILRMNRDDCVQALAHELVTEICNKKAQAATNAYWLTIFRLSKKANSSREDSVPYGYGFSILFFLVGSMSFAMLLIGWNSHKTMKKNSIASGDAIHQYARRMHVQNIGLGKTEFLAIKELLYEAHDID >DRNTG_17828.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15993277:15993743:-1 gene:DRNTG_17828 transcript:DRNTG_17828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRRACFEGIRIAIDEKLQSSGFSLFTTRKLLPLSFPGSGTKQSSQPLLSSSSAEDHHPALTISKKNTVTLNPMLKFHSFHSSSFSQCWNRGKTSASWPFLLCDTCRSVQPIDPSVDYFQIFGL >DRNTG_24655.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8101431:8105768:-1 gene:DRNTG_24655 transcript:DRNTG_24655.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHVFISLNELSSLSSTTQSIPTNVSSVLITRRTHRIGIQACSSPSTAITKPEGLKITSIPTTPVEGQKTGTSGLRKKNGALVLGGDGRYFNREAAQIIIKVAAGNGVGKVLVGRDGIMSTPAVSAANGGFIMSASHNPGGPEYDWGIKEILEDLLWFGLAVQTVPQEEAKKKARLDKFAPNKKPDTLEEEKRKARAIKSSQTSTASSATNGQTNLEMVRTSRLWCYYLNALERLSVALFENWH >DRNTG_21915.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1690665:1694325:1 gene:DRNTG_21915 transcript:DRNTG_21915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVTTVSVGSIASCHALSGFGASSKPSAVNFNATKGFKGFSGLKAASSINIETEESFLGNKSTFALHESFSPKLEARQRTTNHLQPYASTFKVAVLGAAGGIGQPLALLIKMSPLVSALHLYDIANVKGVAADLSHCNTPSQVLDFTGPAELANCLKEVDVVVIPAGVPRKPGMTRDDLFNINANIVKTLIEAVADNCPDALIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVSTLDVVRANTFVAQKKGLKLIDVDVPVVGGHAGITILPLLSKTRPSTTFTDKEVEELTARIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALDGDADVYECSFIQSDLNELPFFASRVKLGKEGVEDVISADLQGLTEFEEKALEALKPELRASIEKGVAFVHKQPATSGSA >DRNTG_17561.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:16727075:16727389:-1 gene:DRNTG_17561 transcript:DRNTG_17561.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRRSPMLPPSRARRPLNPISSSAVTCQTCKLPSVTSSSSSRADSGRI >DRNTG_30769.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3746838:3749457:-1 gene:DRNTG_30769 transcript:DRNTG_30769.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQYVRWKVSIYALSYIIYFTSSCLLGHFHHLFRKRVLFLSLIFPIPTHCYQTISTFIPSFLHSRDHSWL >DRNTG_13711.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5384468:5391623:1 gene:DRNTG_13711 transcript:DRNTG_13711.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMAPAVTLPLRIGSPLCDNPMEITRLKLMTDTASVMSDPMAIEEQRGVPADAVAEEDGTGDDLEVRTLPASDDDEVLSIGPEPETELSAGSSCSMVSDCSSIASAMEDSSGLDVVFDSGTLSSADVVKNIGAVGDTIPGPPLGDLAVNVLPLSAEDSVSRVLSIGEPTVQGNQNSGTGGRSVLLRDYVPLWGSISICGRRPEMEDAVVAVPQFFDIPMTMLPADLVVDGINADLISLPGHFFGVYDGHGGAQVANYCRERVHLALVEELRNIRASTGGTSRDDWQKQWEKAFISCFLKVDDEVGGKVSRGASGGTSDVSGEISTSLDPAGISEPVAPETVGSTAVVAVICSSHIVIANCGDSRAVLCRGKQPVALSVDHKPNRDDEYARIEAGGGKVIQWNGYRVFGVLAMSRSIGDRYLKPWIIPDPEVTVVQRAREDECLILASDGLWDVMSNEEACDAARRRILLWHKKNGATATPCLIQRGEEADPAAQAAADYLSKLAIQKGSKDNISVIVVDLKAQRKFKSKA >DRNTG_13711.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5384251:5391585:1 gene:DRNTG_13711 transcript:DRNTG_13711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMAPAVTLPLRIGSPLCDNPMEITRLKLMTDTASVMSDPMAIEEQRGVPADAVAEEDGTGDDLEVRTLPASDDDEVLSIGPEPETELSAGSSCSMVSDCSSIASAMEDSSGLDVVFDSGTLSSADVVKNIGAVGDTIPGPPLGDLAVNVLPLSAEDSVSRVLSIGEPTVQGNQNSGTGGRSVLLRDYVPLWGSISICGRRPEMEDAVVAVPQFFDIPMTMLPADLVVDGINADLISLPGHFFGVYDGHGGAQVANYCRERVHLALVEELRNIRASTGGTSRDDWQKQWEKAFISCFLKVDDEVGGKVSRGASGGTSDVSGEISTSLDPAGISEPVAPETVGSTAVVAVICSSHIVIANCGDSRAVLCRGKQPVALSVDHKPNRDDEYARIEAGGGKVIQWNGYRVFGVLAMSRSIGDRYLKPWIIPDPEVTVVQRAREDECLILASDGLWDVMSNEEACDAARRRILLWHKKNGATATPCLIQRGEEADPAAQAAADYLSKLAIQKGSKDNISVIVVDLKAQRKFKSKA >DRNTG_13711.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5384468:5391623:1 gene:DRNTG_13711 transcript:DRNTG_13711.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMAPAVTLPLRIGSPLCDNPMEITRLKLMTDTASVMSDPMAIEEQRGVPADAVAEEDGTGDDLEVRTLPASDDDEVLSIGPEPETELSAGSSCSMVSDCSSIASAMEDSSGLDVVFDSGTLSSADVVKNIGAVGDTIPGPPLGDLAVNVLPLSAEDSVSRVLSIGEPTVQGNQNSGTGGRSVLLRDYVPLWGSISICGRRPEMEDAVVAVPQFFDIPMTMLPADLVVDGINADLISLPGHFFGVYDGHGGAQVANYCRERVHLALVEELRNIRASTGGTSRDDWQKQWEKAFISCFLKVDDEVGGKVSRGASGGTSDVSGEISTSLDPAGISEPVAPETVGSTAVVAVICSSHIVIANCGDSRAVLCRGKQPVALSVDHKPNRDDEYARIEAGGGKVIQWNGYRVFGVLAMSRSIGDRYLKPWIIPDPEVTVVQRAREDECLILASDGLWDVMSNEEACDAARRRILLWHKKNGATATPCLIQRGEEADPAAQAAADYLSKLAIQKGSKDNISVIVVDLKAQRKFKSKA >DRNTG_13711.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5384468:5391585:1 gene:DRNTG_13711 transcript:DRNTG_13711.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMAPAVTLPLRIGSPLCDNPMEITRLKLMTDTASVMSDPMAIEEQRGVPADAVAEEDGTGDDLEVRTLPASDDDEVLSIGPEPETELSAGSSCSMVSDCSSIASAMEDSSGLDVVFDSGTLSSADVVKNIGAVGDTIPGPPLGDLAVNVLPLSAEDSVSRVLSIGEPTVQGNQNSGTGGRSVLLRDYVPLWGSISICGRRPEMEDAVVAVPQFFDIPMTMLPADLVVDGINADLISLPGHFFGVYDGHGGAQVANYCRERVHLALVEELRNIRASTGGTSRDDWQKQWEKAFISCFLKVDDEVGGKVSRGASGGTSDVSGEISTSLDPAGISEPVAPETVGSTAVVAVICSSHIVIANCGDSRAVLCRGKQPVALSVDHKPNRDDEYARIEAGGGKVIQWNGYRVFGVLAMSRSIGDRYLKPWIIPDPEVTVVQRAREDECLILASDGLWDVMSNEEACDAARRRILLWHKKNGATATPCLIQRGEEADPAAQAAADYLSKLAIQKGSKDNISVIVVDLKAQRKFKSKA >DRNTG_13711.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5384468:5391585:1 gene:DRNTG_13711 transcript:DRNTG_13711.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMAPAVTLPLRIGSPLCDNPMEITRLKLMTDTASVMSDPMAIEEQRGVPADAVAEEDGTGDDLEVRTLPASDDDEVLSIGPEPETELSAGSSCSMVSDCSSIASAMEDSSGLDVVFDSGTLSSADVVKNIGAVGDTIPGPPLGDLAVNVLPLSAEDSVSRVLSIGEPTVQGNQNSGTGGRSVLLRDYVPLWGSISICGRRPEMEDAVVAVPQFFDIPMTMLPADLVVDGINADLISLPGHFFGVYDGHGGAQVANYCRERVHLALVEELRNIRASTGGTSRDDWQKQWEKAFISCFLKVDDEVGGKVSRGASGGTSDVSGEISTSLDPAGISEPVAPETVGSTAVVAVICSSHIVIANCGDSRAVLCRGKQPVALSVDHKPNRDDEYARIEAGGGKVIQWNGYRVFGVLAMSRSIGDRYLKPWIIPDPEVTVVQRAREDECLILASDGLWDVMSNEEACDAARRRILLWHKKNGATATPCLIQRGEEADPAAQAAADYLSKLAIQKGSKDNISVIVVDLKAQRKFKSKA >DRNTG_13711.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5384468:5391585:1 gene:DRNTG_13711 transcript:DRNTG_13711.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMAPAVTLPLRIGSPLCDNPMEITRLKLMTDTASVMSDPMAIEEQRGVPADAVAEEDGTGDDLEVRTLPASDDDEVLSIGPEPETELSAGSSCSMVSDCSSIASAMEDSSGLDVVFDSGTLSSADVVKNIGAVGDTIPGPPLGDLAVNVLPLSAEDSVSRVLSIGEPTVQGNQNSGTGGRSVLLRDYVPLWGSISICGRRPEMEDAVVAVPQFFDIPMTMLPADLVVDGINADLISLPGHFFGVYDGHGGAQVANYCRERVHLALVEELRNIRASTGGTSRDDWQKQWEKAFISCFLKVDDEVGGKVSRGASGGTSDVSGEISTSLDPAGISEPVAPETVGSTAVVAVICSSHIVIANCGDSRAVLCRGKQPVALSVDHKPNRDDEYARIEAGGGKVIQWNGYRVFGVLAMSRSIGDRYLKPWIIPDPEVTVVQRAREDECLILASDGLWDVMSNEEACDAARRRILLWHKKNGATATPCLIQRGEEADPAAQAAADYLSKLAIQKGSKDNISVIVVDLKAQRKFKSKA >DRNTG_16440.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7706441:7712662:1 gene:DRNTG_16440 transcript:DRNTG_16440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSLKGGDDASVKGRHIKIDSDSDSEGFLEEKSRTSSKGSSVKGGGKIPSDSLKSGKKVSLDSLKSGGKASFNTPIGSGGKDYKTGKAGGKGSLPHAQAVKVPIVEVDLKLELDLPKDAKVLMDCEATEILEGIQDHLLVLSRDPEIKMPESFNKALQHSKYGSHYTDVQSVRQVLDTLKVNGVTDGEICMIGNILPESVDEVYALVPSLKDNRDNNEGPIKDVLSNLAKYRNPK >DRNTG_16440.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7706441:7712662:1 gene:DRNTG_16440 transcript:DRNTG_16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSLKGGDDASVKGRHIKIDSDSDSEGFLEEKSRTSSKGSSVKGGGKIPSDSLKSGKKVSLDSLKSGGKASFNTPIGSGGKDYKTGKAGGKGSLPHAQAVKVPIVEVDLKLELDLPKDAKVLMDCEATEILEGIQDHLLVLSRDPEIKMPESFNKALQHSKYGSHYTDVQSVRQVLDTLKVNGVTDGEICMIGNILPESVDEVYALVPSLKDNRDNNEGPIKDVLSNLAKYRNPK >DRNTG_18617.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22317752:22325129:-1 gene:DRNTG_18617 transcript:DRNTG_18617.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 90-6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G07770) UniProtKB/Swiss-Prot;Acc:F4JFN3] MIVAGGWRRSVTAVVRSTAGVRWPGFSAPSSSPAAAAYQAAGCENKVPLLSGRWFSILSAPKTRTAIHKTWLNPLVNNLLGHCSETTAAAVDTSDPSCEKFEYQAEVSRLMDLIVHSLYSNKEVFLRELISNASDALDKLRFLGVTEPELLKEAVDFDIRIQTDKDNGIITITDTGIGMTRQELVDCLGTIAQSGTAKFLKALKDSKEAGVDSNLIGQFGVGFYSAFLVSDKVVVSSKSAKSDKQYVWEGEANASSYTIREETDPEKLIPRGTRLTLYLKRDDKAFAHPERIQNLVKNYSQFVSFPIYTWQEKGFTKEVEVDEDPAEAKKEGGDDAITERKKKTKKVIEKYWDWELTNETKPIWLRNPKDVTTEEYNEFYKKTFNEYLDPLASSHFTTEGEVEFRSILFVPAVKKDDIVNFKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGISLSENRDDYEKFWENFGKNLKLGCIEDHSNHKRIAPLLRFFSSQSENELISLDEYVENMKVDQKDIYFIAADSLTSARNTPFLERLIEKDYEVLFLVDPMDEVAIQNLKSYKDKNFVDISKEDLDLGDKNEEKEKEIKQEFGQTCDWIKKRLGDKVASVQVSYRLSSSPCVLVSGKFGWSANMERLMRAQTLGDTSSLEFMRARRVFEINPEHPIIKNLNIAGRTSPDDPEALRAIDLLYDTALISSGFTPENPAELSGKIYEMMGTALGGKWAAGSPISQEYGSPGVHCGSANKVTPEAEVVESVEVGGQK >DRNTG_04673.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30365942:30368555:1 gene:DRNTG_04673 transcript:DRNTG_04673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTSEKPVELCVKAATGAEDVVGDCPFCQRVQLTLEEKKVAYELKLVNLDKKPDWFLKINPEGKVPVFKGNDGEWIADSDVITQIIEEKFPNPCLATPPEFASVGSKIFPSFVKFLKSKDPNDGSEQVLLAELQALDEHLKKHGPYISGENISAVDLSLAPKLFHLKVALGHFKGWSIPENLTHLLAYLELLFNRESFLKTKPAEEHVIAGWAPKVNA >DRNTG_05218.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6614436:6616661:-1 gene:DRNTG_05218 transcript:DRNTG_05218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNYEAVMLLHGWEDAIMCRAFPLTLTDHTCIWFNNMKKGSISNFNQLRKEFIDAFLINARRKKGASYLLTIKQEEKESLKDYVKRFHAATLEVQDLQATVAISGMLQGTS >DRNTG_21560.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4970789:4974135:1 gene:DRNTG_21560 transcript:DRNTG_21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITKRPLNSNMPRPLSRTAGWTAFDHKQRNKGVAGITSDLEPFPSLSNNAPSVPVKNLPAGSSVGIKSFASVVQPSRGFPLLEGQSKGEKQYGSSGAVCQGLQLNPESSVHASVKWLKGIHGWANESLIEDVLTSVDYDVDKACLLLKSMVSPGPEEEEANLPAQNTSIMVKCDGKNRGSSENILSDNKLVDGANDLPAVKQPCFVLVEPEWEEDDVYFSHRKDALRMMRVASQHSRAASNAFLRGDHSSAHQLSLKARDEWIAAEKLNHKAAEEILQINNRNNDLWKLDLHGLHASEAVTAVKERLHQIESQIFTDSSASSEGLTKTLAGMPRSRSVESLSSTVKDSEDIRTKPLSFQRQSVLNIITGMGKHSGGQATLPSAVRSFLIENKYRFDEARPGLISVHPRFRRM >DRNTG_21560.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4970789:4974135:1 gene:DRNTG_21560 transcript:DRNTG_21560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTITKRPLNSNMPRPLSRTAGWTAFDHKQRNKGVAGITSDLEPFPSLSNNAPSVPVKNLPAGSSVGIKSFASVVQPSRGFPLLEGQSKGEKQYGSSGAVCQGLQLNPESSVHASVKWLKGIHGWANESLIEDVLTSVDYDVDKACLLLKSMVSPGPEEEEANLPAQNTSIMVKCDGKNRGSSENILSDNKLVDGANDLPAVKQPCFVLVEPEWEEDDVYFSHRKDALRMMRVASQHSRAASNAFLRGDHSSAHQLSLKARDEWIAAEKLNHKAAEEILQINNRNNDLWKLDLHGLHASEAVTAVKERLHQIESQIFTDSSASSEGLTKTLAGMPRSRSVESLSSTVKDSEDIRTKPLSFQRQSVLNIITGMGKHSGGQATLPSAVRSFLIENK >DRNTG_02077.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000104.1:119786:123492:1 gene:DRNTG_02077 transcript:DRNTG_02077.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRALRAIFQILFWLILSKFCCGTPTDLQCLNLLKQSVKDPNNNLAWNFDNKTEGSICKLNGVECWHPDENRVLNLRLSNMGLEGKFPSGLQNCTSLTGLDLSNNNFTGPIPTDIAVQLKFVTMLDLSYNHFSGDIPPNLSDCSYLNVINLQDNRLTGPIPWQLSRLNRLTTLNVADNLLSGQVPSFVNNISSTNLGNNPGLCGNPYSACTATNKKDSTGVIIGAAVGGVLFAFIVAAVILFFCCRRVSIKKKEKDVEENQWAKSIKGTKAKVSMFEKSVSKMKFSDLMKATKDFSKENIIGTGRTGTMYKATLTDGSFLIIKRLQDTQHSEKEFMSEMSTLGNVKHQNLVPLMGFCIAKSERLLVYKHMANGTLHDQLHVRDSEKKPMEWPLRLKISIGAARGLAWLHHNCNPRILHRNISSKCILLDEDFEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLTATPKGDVYSFGTVLLELVTCERPTQVSNAPENFKGNLVEWITYLSNNSLIQDAIDKFLIGKGYDSELLQVLKVACACVLSAPKERPSMFEVYQLLRAIGERYHFTADDDILMQPESTDTGYLDVLIVAQ >DRNTG_02077.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000104.1:119786:123492:1 gene:DRNTG_02077 transcript:DRNTG_02077.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENRALRAIFQILFWLILSKFCCGTPTDLQCLNLLKQSVKDPNNNLAWNFDNKTEGSICKLNGVECWHPDENRVLNLRLSNMGLEGKFPSGLQNCTSLTGLDLSNNNFTGPIPTDIAVQLKFVTMLDLSYNHFSGDIPPNLSDCSYLNVINLQDNRLTGPIPWQLSRLNRLTTLNVADNLLSGQVPSFVNNISSTNLGNNPGLCGNPYSACTATNKKDSTGVIIGAAVGGVLFAFIVAAVILFFCCRRVSIKKKEKDVEENQWAKSIKGTKAKVSMFEKSVSKMKFSDLMKATKDFSKENIIGTGRTGTMYKATLTDGSFLIIKRLQDTQHSEKEFMSEMSTLGNVKHQNLVPLMGFCIAKSERLLVYKHMANGTLHDQLHVRDSEKKPMEWPLRLKISIGAARGLAWLHHNCNPRILHRNISSKCILLDEDFEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLTATPKGDVYSFGTVLLELVTCERPTQVSNAPENFKGNLVEWITYLSNNSLIQDAIDKFLIGKGYDSELLQVLKVACACVLSAPKERPSMFEVYQLLRAIGERYHFTADDDILMQPESTDTGYLDVLIVAQ >DRNTG_29114.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:10674482:10682309:1 gene:DRNTG_29114 transcript:DRNTG_29114.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMKKHPSIIPFMIYITNEDKHMERFAVRAKYMTLDPARNKYVKYIRNIRTIQEYLCHRADKHLVPKINNTNVDRSVAAIHATVFSCLRRREAGDQLYDPTTNTVSVIDEEYRNQCTANSLSSKGMFQLIQRQGSSRHLMALLNIDGSVAKAWPVESTGVNGKPISGTASDKCVGVPMYGPLQIRKAEPVNLQFGNFGLSAWPSDTGGTSQTGSIDDSKVDCTDTGSRHFSSSCSSPRMSDAPAKELKEESSVSGSEEEADDLPNADTDEDASDANENNIDDEMAGSVDESTKSDEEYEDLAMRDSFENGYSSDDEEEKNSNENKPAERNSSASTAGGNNNNNNNNNNNNNQNSADLLQTMPEAPSARSQMKKRSLSDNSRLLGRQRRNSSLSKSGSLRRGGSSLQGELPGSIIDNQDKKPPQ >DRNTG_29114.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:10674482:10682309:1 gene:DRNTG_29114 transcript:DRNTG_29114.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMKKHPSIIPFMIYITNEDKHMERFAVRAKYMTLDPARNKYVKYIRNIRTIQEYLCHRADKHLVPKINNTNVDRSVAAIHATVFSCLRRREAGDQLYDPTTNTVSVIDEEYRNQCTANSLSSKGMFQLIQRQGSSRHLMALLNIDGSVAKAWPVESTGVNGKPISGTASDKCVGVPMYGPLQIRKAEPVNLQFGNFGLSAWPSDTGGTSQTGSIDDSKVDCTDTGSRHFSSSCSSPRMSDAPAKELKEESSVSGSEEEADDLPNADTDEDASDANENNIDDEMAGSVDESTKSDEEYEDLAMRDSFENGYSSDDEEEKNSNENKPAERNSSASTAGGNNNNNNNNNNNNNQNSADLLQTMPEAPSARSQMKKRSLSDNSRLLGRQRRNSSLSKSGSLRRGGSSLQGELPGSIIDNQDKKPPQ >DRNTG_29114.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:10674482:10682309:1 gene:DRNTG_29114 transcript:DRNTG_29114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGKLLYVVVVDDVEGASSSSSSFRYTRPVLQSALQLMGCKARHAFKISRRVYEVLRSNQSTLKSPLCDNIPSNNVDSSGNEEAAGLPFIANVEQSKKMPFELYKSLTTIVVTRETFLNVVCDALSGYKYVGPNQRADLMLACRIRERKESVTVLLCGTSGCGKSTLSSLLGSRLGITTVVSTDSIRHMMRSFVDEKENPLLWASTYHAGECLDMMAVAEAKAKKKAQKLARFSNSVSKEESSDGVNKLVEAGHGAELISSKQMAVEGYKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMIYITNEDKHMERFAVRAKYMTLDPARNKYVKYIRNIRTIQEYLCHRADKHLVPKINNTNVDRSVAAIHATVFSCLRRREAGDQLYDPTTNTVSVIDEEYRNQCTANSLSSKGMFQLIQRQGSSRHLMALLNIDGSVAKAWPVESTGVNGKPISGTASDKCVGVPMYGPLQIRKAEPVNLQFGNFGLSAWPSDTGGTSQTGSIDDSKVDCTDTGSRHFSSSCSSPRMSDAPAKELKEESSVSGSEEEADDLPNADTDEDASDANENNIDDEMAGSVDESTKSDEEYEDLAMRDSFENGYSSDDEEEKNSNENKPAERNSSASTAGGNNNNNNNNNNNNNQNSADLLQTMPEAPSARSQMKKRSLSDNSRLLGRQRRNSSLSKSGSLRRGGSSLQGELPGSIIDNQDKKPPQ >DRNTG_29114.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:10674482:10682309:1 gene:DRNTG_29114 transcript:DRNTG_29114.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASRNYASFQVLNYVKQGSRLGITTVVSTDSIRHMMRSFVDEKENPLLWASTYHAGECLDMMAVAEAKAKKKAQKLARFSNSVSKEESSDGVNKLVEAGHGAELISSKQMAVEGYKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMIYITNEDKHMERFAVRAKYMTLDPARNKYVKYIRNIRTIQEYLCHRADKHLVPKINNTNVDRSVAAIHATVFSCLRRREAGDQLYDPTTNTVSVIDEEYRNQCTANSLSSKGMFQLIQRQGSSRHLMALLNIDGSVAKAWPVESTGVNGKPISGTASDKCVGVPMYGPLQIRKAEPVNLQFGNFGLSAWPSDTGGTSQTGSIDDSKVDCTDTGSRHFSSSCSSPRMSDAPAKELKEESSVSGSEEEADDLPNADTDEDASDANENNIDDEMAGSVDESTKSDEEYEDLAMRDSFENGYSSDDEEEKNSNENKPAERNSSASTAGGNNNNNNNNNNNNNQNSADLLQTMPEAPSARSQMKKRSLSDNSRLLGRQRRNSSLSKSGSLRRGGSSLQGELPGSIIDNQDKKPPQ >DRNTG_24597.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28770859:28779249:-1 gene:DRNTG_24597 transcript:DRNTG_24597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSLYAKFLRKALPLDQAKLALANAWRRLGDFSVANLPNGFYFISCETQEMQNKLLWDGPWSVAGRILQLAPWSGSFQPAFEKLSLAAVWIQIYHLPIELWSGEILEQVASQFGRVLKVDDHTIDRLRAKFAHVVRLDTAKHTALPPTVACVREGIVDVPNPVGHLSNNEKEFGAWLMPHRRYTASRGQGGSHSGSRCGSRNPNSGGSLGDDAPDADASKNPFATVSRLDEACLEAPVFSPPTTIHPTINDTPSPVEKLPFSSPSPLDHCVDIFMKKPLTIGPGSSAEPMLISSVAIHSPSSPDNSSPHDHHLLVVDKIVDVLAGSSHSDHSMDDSASEDLDTVQEVEDDMTLGRGLSNSCTVDRILDFLKKKNLDFLCLVETKASVHRLQRLCVKLNHKWEWVAIPSTGYSGGILVLWRRSIGSMGAKHDTLIMAEIAAVNLALQFCKDRDWKPSHIFCDCSGIPNLLKNFNACIAWHLSTEFTKLKRNLNFFPNLHVELISREDNDVADALANFGRHNGNFGWAYMQKTNYSAVEAAYQKTQMIDTDSNKACNLALYLMKQARFDENWSLLQEILHGKLPHLDDSRTLKRIEELMDEIK >DRNTG_18043.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8271288:8274192:1 gene:DRNTG_18043 transcript:DRNTG_18043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQEEKVEPTPGIMKNMLRMIKRARRCHKKRPNANDDEQEQKGYPEKTQGHVSAPVFDPVNYTWAWSRSVNGRGDSTGVLSRQEPLYISIRWIGVIFSGPYITRLIVGIGLLDEIRGAEKMIIPAPLALETMCMMGMIRRYRDRVYVLNISQPEPSEIEHRSCSPVFIFSPSRAYDHFERLWNAVRVLRTMIVEVRLTQFA >DRNTG_24755.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2219090:2219674:-1 gene:DRNTG_24755 transcript:DRNTG_24755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFREDSFNSPDSDRGDRRNTSYQQIRYSVYQY >DRNTG_01482.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13732621:13733408:1 gene:DRNTG_01482 transcript:DRNTG_01482.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTCQCELRTSNNDQVASTTVVVVVTQEQLVVANCGDSHAVLFLRSQGKAHSFQSSKIWI >DRNTG_01482.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13732621:13733408:1 gene:DRNTG_01482 transcript:DRNTG_01482.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTCQCELRTSNNDQVASTTVVVVVTQEQLVVANCGDSHAVLFLRSQGKAHSFQSSKIWI >DRNTG_01482.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13732621:13733408:1 gene:DRNTG_01482 transcript:DRNTG_01482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTCQCELRTSNNDQVASTTVVVVVTQEQLVVANCGDSHAVLFLRSQELEKIAAQTPPDTITQI >DRNTG_01482.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13732621:13733408:1 gene:DRNTG_01482 transcript:DRNTG_01482.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTCQCELRTSNNDQVASTTVVVVVTQEQLVVANCGDSHAVLFLRSQELEKIAAQTPPDTITQI >DRNTG_33113.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32809726:32813829:1 gene:DRNTG_33113 transcript:DRNTG_33113.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSDNIAKHWKANEAEAVETAKEWTRLYASGA >DRNTG_01896.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21001671:21003417:1 gene:DRNTG_01896 transcript:DRNTG_01896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRKSYLDLTLVPLGILFSLLYHAWLWHKVRSQPLDTIIGINSAGRRLWVLAMFKDNEKKNILAVQTIRNAIMGSTLMATTSILLSSGLAAIISSTYSVKQPIGDSVYGAHGEAVVAMKYVVLLLFFLLAFLCYSLSIRFMNQVNFLINVPLGDTCPVSTEYVTELLERGFMLNAMGNRIFYVAMPLLLWIFGPVLVFLSSATMVPILYNLDVVYGKGKGKGEGKGSMVGDGHGFMQA >DRNTG_01896.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21001847:21003417:1 gene:DRNTG_01896 transcript:DRNTG_01896.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRKSYLDLTLVPLGILFSLLYHAWLWHKVRSQPLDTIIGINSAGRRLWVLAMFKDNEKKNILAVQTIRNAIMGSTLMATTSILLSSGLAAIISSTYSVKQPIGDSVYGAHGEAVVAMKYVVLLLFFLLAFLCYSLSIRFMNQVNFLINVPLGDTCPVSTEYVTELLERGFMLNAMGNRIFYVAMPLLLWIFGPVLVFLSSATMVPILYNLDVVYGKGKGKGEGKGSMVGDGHGFMQA >DRNTG_01896.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21001773:21003417:1 gene:DRNTG_01896 transcript:DRNTG_01896.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRKSYLDLTLVPLGILFSLLYHAWLWHKVRSQPLDTIIGINSAGRRLWVLAMFKDNEKKNILAVQTIRNAIMGSTLMATTSILLSSGLAAIISSTYSVKQPIGDSVYGAHGEAVVAMKYVVLLLFFLLAFLCYSLSIRFMNQVNFLINVPLGDTCPVSTEYVTELLERGFMLNAMGNRIFYVAMPLLLWIFGPVLVFLSSATMVPILYNLDVVYGKGKGKGEGKGSMVGDGHGFMQA >DRNTG_01896.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21001847:21003522:1 gene:DRNTG_01896 transcript:DRNTG_01896.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRKSYLDLTLVPLGILFSLLYHAWLWHKVRSQPLDTIIGINSAGRRLWVLAMFKDNEKKNILAVQTIRNAIMGSTLMATTSILLSSGLAAIISSTYSVKQPIGDSVYGAHGEAVVAMKYVVLLLFFLLAFLCYSLSIRFMNQVNFLINVPLGDTCPVSTEYVTELLERGFMLNAMGNRIFYVAMPLLLWIFGPVLVFLSSATMVPILYNLDVVYGKGKGKGEGKGSMVGDGHGFMQA >DRNTG_14275.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:906827:907222:1 gene:DRNTG_14275 transcript:DRNTG_14275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKTNATASFALFLTIFFFFFTLSSSWPRPASPPPPPPPCDDDNKLCPIDTLKLGVCIDVLNGLLNVTIGKPPKEPCCPLLGGLLDVEAAVCLCTAIKADILGIHLNIPIDLSLLLNYCGKGVPKGFKCP >DRNTG_20612.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2327616:2330953:1 gene:DRNTG_20612 transcript:DRNTG_20612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKGRECLPLILIMRNRLKYALTYREVIAILMQRHVLVDGKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSIKDEEAKFKLCKVRSVQFGHKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLETNKIVDFIKF >DRNTG_07389.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:22460065:22482113:1 gene:DRNTG_07389 transcript:DRNTG_07389.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIKPRPPPSAPLRSMGMLQHVQTATGQSTKSGSTLIHPRLLLLDFGVQSSLEFIWR >DRNTG_01595.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9580083:9581475:-1 gene:DRNTG_01595 transcript:DRNTG_01595.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDSIHGVEKTIITAPLGMEAIRLMGMMRRYRDEVYVLNIPTPKIAEGECNATEGYQLAQELQPEHEMETETPPTPEEPSPVHILSRSGAYDHFERLKTAVWVLLTEIAEMVLYNPLKLNAQ >DRNTG_07224.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14097003:14100218:-1 gene:DRNTG_07224 transcript:DRNTG_07224.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKCKPTEKRIAVVTGANKGIGVEIVRQLANNGIMVILIARDEKRGTEAVEKLINSGVSDVLFHQLDVSDSSSVVSLAHFIKTKFGKLDILHFLNNDKIVEKLSDTNGLTEEELDKMLKHFLNDFKEGKLESNGWPISLSAYKVSKIFC >DRNTG_21078.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20054593:20056211:1 gene:DRNTG_21078 transcript:DRNTG_21078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMARNCQRYWTAGGTMRNVPVGAGRRKSKNSSLHYKHLNIINPSCPTIPINSNATVLSFGPDMPLCESMASLLNLKNSSSETENAKEHSSSFSIETNLQASSALKNFPCFNGPQWPIQWSSIPIPMHSTTAYWTVPCFPPLTPGSSGTSPNSLALGKHTRDGSVLINGNNSDKGDTLRKSDPERCLWIPKTQRIDDPGEAAKSSVWATIGINNENADSVNGGGLFKAFHPKTDSKKHTAEASPVWHANPAAVSRSLSFHENS >DRNTG_04474.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31671791:31676908:-1 gene:DRNTG_04474 transcript:DRNTG_04474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLIQIWLTNGITALMVVTVRKILGKGEAGSEGKLPKFGFCLESMREYIPCLDNEEAIKKLKSTEKGERFERHCPGEGKGLNCLVPAPKDYKTPIPWPRSRDEVWYSNVPHQRLVEDKGGQNWISKDGDKFKFPGGGTQFIHGADQYLDQMSQMVPDIAFGNHTRVVLDVGCGVASFGAFLLSRNVLTLSMAPKDVHENQIQFALERGMPAFIAAFSTRRLLYPSQAFDLIHCSRCRINWTRDDGILLLEVNRMLRAGGYFAWAAQPVYKHEASQQEAWKEMEELTGRICWELVKKEGYIAIWRKPLDNRCYINRDPGAQPPLCDPKDDPNSVWYVDLKACITRLPENGYGSNIKAWPARLHEPPDRLQDVQMDAYLSKKELFKAESNYWNDIIEGYVRVFHMDKMKLRNVMDMRAGFGGFAAALSDLKIDCWVMNVVPVSGPNTLPVIYDRGLIGVNHDWCEPFDSYPRTYDLLHASGLFSREHKRCNISGILLEMDRILRLVVGCLHP >DRNTG_24647.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8032438:8035338:1 gene:DRNTG_24647 transcript:DRNTG_24647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSLYEKNQTLSTYEKEMSAVVLAVQKWRLYVLGRHLKIKTDHQSLKYLLQQRINTPNQQRWIAKLMG >DRNTG_12662.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1212055:1216896:1 gene:DRNTG_12662 transcript:DRNTG_12662.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQSSSSEMDLDRPNIEEYLTADSIQDSPKKLHLRDLLDISPTLKEAAGAIVDDSFTRCFKSNPPEPWNWNIYLFPLWCLGVVVRYGILFPVRVAILAGGWVVFFAAFFPVHFLLSGHNNWRRKIERKLVEMMCGIFVASWTGVVKYHGPRPSMRPHQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGFIQKTILESVGCIWFNRPESKDREVVARKLREHVNGVDNNPLLIFPEGTCVNNHYTVMFKKGAFELGCAVCPIAIKYNKIFVDAFWNSKKQSFTMHLVCLMTSWAVVCDVWYLEPQYLRPGETPIEFAERVRDMISVRAGLKKVPWDGYLKYFRPSPKLTERKQQIFAESVLQRLEEI >DRNTG_24731.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2334903:2339065:-1 gene:DRNTG_24731 transcript:DRNTG_24731.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLTIAMMLVIIFLGGAASLTNIDASDDMSFEIPIIYNGLSPSTAYNPKTNVSLVPYAGYVDALMQLKMRRRGHMYSLDTAIGTPWSPVTVSLDTGSDLTWVQCEPCSNCFVKILSPIFDPDFSTTYKAMNCEYQQCSAFDGTAKIGCAIDGEWICLFDQEYMDDSIVQGFLSEDYFQFQGTNGTRKRSNSPLKFGCVHASKGHFSPRDDGIMGMGRGKLSFISQLNISRFSHCLSMSRKSSYISFEDAAKLEGETVGLIQNMKNSSLYYVNLLAHIHIGW >DRNTG_20751.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001145.1:27741:32643:1 gene:DRNTG_20751 transcript:DRNTG_20751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRLEAVQGPGEQPAMAPVTCLIKHLQQEALSIFHMMRNRGFFPSKSSCYRLLDCLYQSHASDLAFRLFEEMVSLGYTPQHDEYNKLLFMLLEEESLEAAHKTFDMMLKRGKTPDNEAKKQLLNVCYKHGEYDLAFVIDKNIPVYE >DRNTG_33731.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29227954:29228812:-1 gene:DRNTG_33731 transcript:DRNTG_33731.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRNKNIFHFEEDDDIIEEEQEEDEDDYKAMEEKKSFVGLQIVIKNQNQSSNIVTKHILKAPQVVQQSSSHFGFLNSCHLCKRKLSLQMDVYMYRGDQGYCTPECRSQQMIIDERRELEASSSERIISFPLHHEVALEVKNSDPQSRVSAAA >DRNTG_20137.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16019645:16020484:-1 gene:DRNTG_20137 transcript:DRNTG_20137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHAHVEFSHRHVFPCRDLEIFPEKTQGRGFAPVDDSVNKQGRASALVCLSYGVTRAWVISTRPCGCTEPKKSP >DRNTG_10274.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20168869:20172816:-1 gene:DRNTG_10274 transcript:DRNTG_10274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIHISRSGISSPALLRLRPAPPRRRASSLVLRSQLQDSDGESNGEEKPESLFMKELKRRGMTQRSLLEEREAEVEEEGGVGERGRGKRRNGVAEADFSKAVLNQREKSMALNSEGLEGLIPRAKILLTIGGTFFLGFWPLILITVSSFAALYFYFGPNFVHDASKITATPPPYVDPYTLLEDERLSQVAPYVK >DRNTG_17951.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4824788:4825621:-1 gene:DRNTG_17951 transcript:DRNTG_17951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKSQAQGTTFVHADACNFMEVVQRLTGPNIKQHPPPPPPPPTSLKVTGIRKPTFQAS >DRNTG_24772.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001315.1:6556:8175:-1 gene:DRNTG_24772 transcript:DRNTG_24772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLDFDDWMFGGLLDDFRAQAERIVLRSNGMDGLSTCVLRVGIPFGPGDGVFRAVSCPVCEVGAC >DRNTG_24772.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001315.1:6556:7650:-1 gene:DRNTG_24772 transcript:DRNTG_24772.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFVPFLVRCAKLGLAKFVIGNGENMCDVIYAENVAHANICAEQTLESDENFSSWPGLA >DRNTG_18867.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2400188:2401420:1 gene:DRNTG_18867 transcript:DRNTG_18867.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRLLARRMMGLVEQRATFFSVASSSSTSAPIEPELCGRGDKKTKRGKRFKGSYGNARPKREKKIERIKDRVEVPRSTPWPLPFKLI >DRNTG_18867.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2400188:2401037:1 gene:DRNTG_18867 transcript:DRNTG_18867.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRLLARRMMGLVEQRATFFSVASSSSTSAPIEPELCGRGDKKTKRGKRFKGSYGNARPKREKKIERIKDRVEVPRSTPWPLPFKLI >DRNTG_18867.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2400188:2400884:1 gene:DRNTG_18867 transcript:DRNTG_18867.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRLLARRMMGLVEQRATFFSVASSSSTSAPIEPELCGRGDKKTKRGKRFKGSYGNARPKREKKIERIKDRVEVPRSTPWPLPFKLI >DRNTG_18867.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2400188:2402214:1 gene:DRNTG_18867 transcript:DRNTG_18867.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRLLARRMMGLVEQRATFFSVASSSSTSAPIEPELCGRGDKKTKRGKRFKGSYGNARPKREKKIERIKDRVEVPRSTPWPLPFKLI >DRNTG_05463.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23148993:23150558:1 gene:DRNTG_05463 transcript:DRNTG_05463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSSSSPITSTPSAMSGTAPSAPPGVHPHPSAPRPPSSSPASSTGFAIYPL >DRNTG_23158.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1841749:1844440:1 gene:DRNTG_23158 transcript:DRNTG_23158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIICSLETYLKNSVTFRFFEHWTSLLTPLVEHCPISLCNLSSLVFLNLGRNMIDNAIPESIDGLKKLSVLSLKSNRFRGEIPETLGNLSKLSLLDLSENKFIGVIPESFNLLTKLTSFNVSDNNLSGSVPLVLAQKFNASSFRGNIQLCGYPTSAPCPSSPSPSFPVKPGHRRRLSTRDIILIASGAVIGFLLLLCCLLLFCLSRKRSTATAQTTRTSAKTETEAGEDTGGKLVHFDGPLVFTADELLCATAEIIGKNSYGTVYKATLEDGNEVAVKRLRERIVKNTKEFETEVSTLGKVRHPNLVALRAYYLGPKGEKLLALDFMPKGSLSAFLHARGPDQHVGWRTRINIAIGIARGLNYLHNELDMVHGQLTSSNVLLDENFNARISDYGLSNLVNANVSSSAIVTTVSELGYRAPELSKLKKGEPKSDVYSFGVIMLELLTCKPPGETINGLDLPQWVASVMSEDRISELFDVELNRENVGDELLSCLNLALHCVDPSPEARPEMSQVLQRLEVIRPELVAIEQESTSND >DRNTG_05530.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000250.1:5203:5593:1 gene:DRNTG_05530 transcript:DRNTG_05530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFCLKSSIVNPIKSNPPKVFSNANTQSTDPKQSPKNAKNLNEQIN >DRNTG_05530.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000250.1:5203:6043:1 gene:DRNTG_05530 transcript:DRNTG_05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFCLKSSIVNPIKSNPPKVFSNANTQSTWRGRRMKHSNGEG >DRNTG_07070.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2115100:2117669:-1 gene:DRNTG_07070 transcript:DRNTG_07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDDCSAAAAAVVPSELSSTGAEEVASAAELDLGLTLRTSKLIRGSRMACRILTAEDLGSRSPISSSSSVSSSSSAPSPAGAGGRTPPSHCHGQMARGWPPIKICRMNSLAIHSKDCTSEAETSVQKKIDKIVVKEDTDSNNQEKYIRCTVSTHFVKVNMDGDPIGRKVDLNAHNSYETLALALEDMFCKSNNDHDTFTYGLKASQMLDGSSGFSLTYEDRDGDWMLVGDVPWGMFLITVKRLRIMRTSDAIGLAGSPRSQFCKSVRPRIIPA >DRNTG_07070.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2115100:2117669:-1 gene:DRNTG_07070 transcript:DRNTG_07070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDDCSAAAAAVVPSELSSTGAEEVASAAELDLGLTLRTSKLIRGSRMACRILTAEDLGSRSPISSSSSVSSSSSAPSPAGAGGRTPPSHCHGQMARGWPPIKICRMNSLAIHSKDCTSEAETSVQKKIDKIVVKEDTDSNNQEKYIRCTVSTHFVKVNMDGDPIGRKVDLNAHNSYETLALALEDMFCKSNNDHDTFTLDGLKASQMLDGSSGFSLTYEDRDGDWMLVGDVPWGMFLITVKRLRIMRTSDAIGLAGSPRSQFCKSVRPRIIPA >DRNTG_03597.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:258084:260891:-1 gene:DRNTG_03597 transcript:DRNTG_03597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRYVEIGRVALVNYGKDYGKLVVIVDVVDQNRALVDAPDMVRGQMNFKRLSLTDIKIDIPRIPKKKSLIAAMQTADVKNKWENSSWGRKLIVQKKRASLNDFDRFKVMLAKIKRGGAIRQELAKLKKQSAT >DRNTG_17962.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20398468:20402962:1 gene:DRNTG_17962 transcript:DRNTG_17962.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFLRTISAATAIAAAAAALSSSSSSSSSPRFPIKSNIPLPSSLPLLSISRAFAAPRNPSPSLAALRMDSVATELKPSDQEAGDLPELLTEFMVDMKCEGCVSAVKNNLLKLKGVKNVDADLSNQVVRVLGSIPLKNMVDALEQTGRKAKLIGQGSPDDFLVSAAVAEFKGPDIYGVVRLAQVNMELARIEASFSGLSPGQHAWSINEFGDLTKGAASTGKEFNPAYNASEKPLGDLGTIEALETGEAHFSGAKEMIRVADLIGRAIVVYGTEDKSVPGIAAAVIARSAGVGENYKKICTCDGVTIWEST >DRNTG_17962.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20398468:20402962:1 gene:DRNTG_17962 transcript:DRNTG_17962.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFLRTISAATAIAAAAAALSSSSSSSSSPRFPIKSNIPLPSSLPLLSISRAFAAPRNPSPSLAALRMDSVATELKPSDQQEAGDLPELLTEFMVDMKCEGCVSAVKNNLLKLKGVKNVDADLSNQVVRVLGSIPLKNMVDALEQTGRKAKLIGQGSPDDFLVSAAVAEFKGPDIYGVVRLAQVNMELARIEASFSGLSPGQHAWSINEFGDLTKGAASTGKEFNPAYNASEKPLGDLGTIEALETGEAHFSGAKEMIRVADLIGRAIVVYGTEDKSVPGIAAAVIARSAGVGENYKKICTCDGVTIWEST >DRNTG_12278.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24765533:24770357:1 gene:DRNTG_12278 transcript:DRNTG_12278.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP26-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74070) UniProtKB/Swiss-Prot;Acc:F4HTT6] MRHLLQSLNPPPHLLNPPSPPPTFHSHSHSPHSPPPPPPPQKLIFRRELAITTISLPLLLPSPSHAQPNTGEDVTTDNCGNQTSIQKAFMDISIDNQPIGRILIGLYKDTAPLGVSRFISLLTGAAGISYRRKEFIRIMPNYIQHGGVRSYGVDAELARKKGGNVDKAEGGLVAEWEAAEELCKGTRNTAGTVGIIVRDPLKPGPKMKLVARQGRLEIDEEEVGKEPNGTEFVIAVKDAPELDASTLVVGKVLLGMEVVESVALVKTVQENTSSPYFRVAKLIGDKRAVVAERGFNRPYSKILITNCGLLE >DRNTG_12278.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24764869:24770357:1 gene:DRNTG_12278 transcript:DRNTG_12278.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP26-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74070) UniProtKB/Swiss-Prot;Acc:F4HTT6] MRHLLQSLNPPPHLLNPPSPPPTFHSHSHSPHSPPPPPPPQKLIFRRELAITTISLPLLLPSPSHAQPNTGEDVTTDNCGNQTSIQKAFMDISIDNQPIGRILIGLYKDTAPLGVSRFISLLTGAAGISYRRKEFIRIMPNYIQHGGVRSYGVDAELARKKGGNVDKAEGGLVAEWEAAEELCKGTRNTAGTVGIIVRDPLKPGPKMKLVARQGRLEIDEEEVGKEPNGTEFVIAVKDAPELDASTLVVGKVLLGMEVVESVALVKTVQENTSSPYFRVAKLIGDKRAVVAERGFNRPYSKILITNCGLLE >DRNTG_12278.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24764823:24770357:1 gene:DRNTG_12278 transcript:DRNTG_12278.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP26-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74070) UniProtKB/Swiss-Prot;Acc:F4HTT6] MRHLLQSLNPPPHLLNPPSPPPTFHSHSHSPHSPPPPPPPQKLIFRRELAITTISLPLLLPSPSHAQPNTGEDVTTDNCGNQTSIQKAFMDISIDNQPIGRILIGLYKDTAPLGVSRFISLLTGAAGISYRRKEFIRIMPNYIQHGGVRSYGVDAELARKKGGNVDKAEGGLVAEWEAAEELCKGTRNTAGTVGIIVRDPLKPGPKMKLVARQGRLEIDEEEVGKEPNGTEFVIAVKDAPELDASTLVVGKVLLGMEVVESVALVKTVQENTSSPYFRVAKLIGDKRAVVAERGFNRPYSKILITNCGLLE >DRNTG_12278.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24764869:24770357:1 gene:DRNTG_12278 transcript:DRNTG_12278.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP26-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74070) UniProtKB/Swiss-Prot;Acc:F4HTT6] MRHLLQSLNPPPHLLNPPSPPPTFHSHSHSPHSPPPPPPPQKLIFRRELAITTISLPLLLPSPSHAQPNTGEDVTTDNCGNQTSIQKAFMDISIDNQPIGRILIGLYKDTAPLGVSRFISLLTGAAGISYRRKEFIRIMPNYIQHGGVRSYGVDAELARKKGGNVDKAEGGLVAEWEAAEELCKGTRNTAGTVGIIVRDPLKPGPKMKLVARQGRLEIDEEEVGKEPNGTEFVIAVKDAPELDASTLVVGKVLLGMEVVESVALVKTVQENTSSPYFRVAKLIGDKRAVVAERGFNRPYSKILITNCGLLE >DRNTG_12278.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24764869:24770357:1 gene:DRNTG_12278 transcript:DRNTG_12278.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP26-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74070) UniProtKB/Swiss-Prot;Acc:F4HTT6] MRHLLQSLNPPPHLLNPPSPPPTFHSHSHSPHSPPPPPPPQKLIFRRELAITTISLPLLLPSPSHAQPNTGEDVTTDNCGNQTSIQKAFMDISIDNQPIGRILIGLYKDTAPLGVSRFISLLTGAAGISYRRKEFIRIMPNYIQHGGVRSYGVDAELARKKGGNVDKAEGGLVAEWEAAEELCKGTRNTAGTVGIIVRDPLKPGPKMKLVARQGRLEIDEEEVGKEPNGTEFVIAVKDAPELDASTLVVGKVLLGMEVVESVALVKTVQENTSSPYFRVAKLIGDKRAVVAERGFNRPYSKILITNCGLLE >DRNTG_00858.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7125862:7126140:1 gene:DRNTG_00858 transcript:DRNTG_00858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWGAVSKSGEMTLEDFKEWLKQFDVNGDGRISKSELKKVIKHAGKRFAFLKYHKGLKYADANHDGFIDDDEINKLAEFVSKNLGMKITMC >DRNTG_34085.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002086.1:38583:39535:-1 gene:DRNTG_34085 transcript:DRNTG_34085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYMLSKTLAEESAWKFSKDNGIEIVIINPSMVIDPLLQPTLNTSSAVILNLINGASTYPNSSFGWMNVKDVALAHILGFESPSTNGRYCLVESVAHLSELLKIIHELYPTLQLPQK >DRNTG_33701.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1122465:1123713:1 gene:DRNTG_33701 transcript:DRNTG_33701.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYSGKERVAVVMFFLFVCSWVIFRLLIFPFWVIRSTSYEVVFTLDKDKLKMKGPIYYYIFNSLLISLLVLHIYWWVLMFRMLVKQIQNGGRVGDDVRSDSEDEEHED >DRNTG_33701.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1120564:1123713:1 gene:DRNTG_33701 transcript:DRNTG_33701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMDLLSSVDWEAESYPDYVDFLGLPFMVMFFPIVRFLLDRFIFEKVARRLIFGQGHEKLDVETKQRRKKINKFKESAWKCVYFLSAEFITLCVTYNEPWFKNTRYFWVGPGDQIWPDQKYKLKLKVAYMYSAGFYTYSIFALIFWETKRADWGVSMSHHLSTAILIILSYLFRFARVGSIVLALHDACDVFLETGKMSKYSGKERVAVVMFFLFVCSWVIFRLLIFPFWVIRSTSYEVVFTLDKDKLKMKGPIYYYIFNSLLISLLVLHIYWWVLMFRMLVKQIQNGGRVGDDVRSDSEDEEHED >DRNTG_28382.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20562660:20568028:-1 gene:DRNTG_28382 transcript:DRNTG_28382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSVFLQGLCGRLQSTIAASDQLPLNVDKELERLLKAFLAIQVSTLEAAEKKQIKSKAVRTWLRELKEVAYDADDLLDCLIPRGQKLEVGTNSSMEVVDQRSCLMFNCGQEHPTSQTELMIQNIQRRVQDLRRKKPLYLNLHRGRSSNRMISHGVEAIEATTANSVEFGRDEDKEKLMKFLLNSDEPSQVNLSLVAIVGKSGVGKMTFARIIYNDKEVTSYFKLKLWVTASGSYDNERLSKSILSSVGRETQEKFDAQLAEG >DRNTG_00358.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18628820:18629713:-1 gene:DRNTG_00358 transcript:DRNTG_00358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGTPPRSDRRIVASPTRMPCKRVRPLPDASDAEDPVLALVVPASPFEKLENYHLLEAGQGWMSWNVDLSGEECTLEKYQWCGDADYRRKIDIIRNSKNPYILRCHGYREDAEGIAVIFERFGNSLEGRHVDVEPMLTTIAGQALEGLFFLHDHKVSHGNIRPATIFVGKNVKTKIGDFTSRVRPPLVDSKSSAYLSPEVIGGDADKNFDVLAAGDIWSLGLSVLELFLGYYPYGKDLPIVLDYSSLKDIICSMDPPQAPAQASMQFTDFLALTLQKDPKKRSTAAKLLHHSFITG >DRNTG_17598.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7448511:7455318:1 gene:DRNTG_17598 transcript:DRNTG_17598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLGNLAGDASDTKMRETLVASSRKMYNFASSQQR >DRNTG_17843.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000917.1:5145:6768:-1 gene:DRNTG_17843 transcript:DRNTG_17843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGLTGPYGGRLSRAQSQSRGSVPEQTAPIRPRMGAVCSATLSRPSEVLGGGRQPPYGMPNTKRLASKRPRTTEPSSTPDEPVFKLSHHEERYDRLKKKPFGTLCYLEWELVDNLGSNYWATLAGDDKTRKASRMIDPAHRFIHALVAVLFGAGPIARGWSPNPISSRCMASLSGALLTLATLSPTHSFTRACLHDWELSLLGPI >DRNTG_21564.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8889997:8898028:1 gene:DRNTG_21564 transcript:DRNTG_21564.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVYIASWEEFVERSIQLFRADPQSSRYVMKYRHCDGKLVLKVTDNRECLKFKTDQAQDVKKMEKLNNIFFTLMARGPDADISEVSGKEQAEQLASKKGRGRRQ >DRNTG_21564.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8889997:8893420:1 gene:DRNTG_21564 transcript:DRNTG_21564.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVYIASWEEFVERSIQLFRADPQSSRYVMKYRHCDGKLVLKVTDNRECLKFKTDQAQDVKKMEKLNNIFFTLMARGPDADISEVSGKEQAEQLASKKGRGRRQ >DRNTG_21564.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8889997:8900535:1 gene:DRNTG_21564 transcript:DRNTG_21564.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTFANTHWRSLILAMYPGDDGAVLQKWAHTQVYVGSRMACCHDEIKIFLPAILSPQHHLLFTLFHVDLQTKQEAPKPVIVGYAALPLSTHAQLHSEVSLPIFRELVPHYLQDSIKEGLDYLEVEKMSSD >DRNTG_21564.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8889997:8893420:1 gene:DRNTG_21564 transcript:DRNTG_21564.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVYIASWEEFVERSIQLFRADPQSSRYVMKYRHCDGKLVLKVTDNRECLKFKTDQAQDVKKMEKLNNIFFTLMARGPDADISEVSGKEQAEQLASKKGRGRRQ >DRNTG_21564.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8889997:8900535:1 gene:DRNTG_21564 transcript:DRNTG_21564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTFANTHWRSLILAMYPGDDGAVLQKWAHTQVYVGSRMACCHDEIKIFLPAILSPQHHLLFTLFHVDLQTKQEAPKPVIVGYAALPLSTHAQLHSEVSLPIFRELVPHYLQDSIKEGLDYLEVEKMSSD >DRNTG_21857.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19369254:19373145:-1 gene:DRNTG_21857 transcript:DRNTG_21857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSGSVSIDVERISFGGKEHLIQTSHGPVSVAVYGDQDKPALITYPDVALNYMSCFQGLFFCPEAASLLLHNFCIYHINPPGHELGAASISPNYSVPSVDDLADQVLGVLDFFGLGAVMCLGVTAGAYILTLFATKYRERVIGLMLVSPLCKAPSWTEWLYYKVMSNLLYFYGMCSLVKECLLQRYFSKEVRGSAQVHESDIVQACRSLLDEKQGLNVWRFLQAINGRYDLTERLKKLQCRTLIFVGENSPFHSEALHMTTKLDRRFSALVEVQACGSVVTEEQPHAMLIPMEYFLMGYGLYRPYQFTGSPRSPLSPTCISPELLSPESMGIKLKPIKTRLSSTV >DRNTG_16061.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25707465:25708750:1 gene:DRNTG_16061 transcript:DRNTG_16061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELANRVEGMSPSAFLSRFISGLKRDIQRDVIPWKPDSLPAAVSLAKLYEEKYNPSNKNWSRKNSFSPDITPSLHQAKGTPLPIRAAPVLPALGPPVPHQTVSSAPMQQSPYRKISFNEMQLRKAKGLCFNCDEKFTPSHRCANRRLLLLQWDEDSTEESQHDGNDFVVELDTTSQAEEQSLKHSLNAMNSTTVSGTLRFSGTINGHSVKILLDGGSDDSFIQPRLARFLNLDVQPTSAFKVLVGNGQTLEVTGCIHQLPIQVQGHTLTVPVFLLPIAGAEIILGAAWLATLGAHVVDYSKLSIQFFHKGQFITLQGDTGVLPQQISLHQLNRLSSSKSIAESYEIYPTEPDNSTGVNAKCMSTMTDETQEMIFSADMPDTLRELLLKYRRVFSV >DRNTG_08096.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9912934:9914198:1 gene:DRNTG_08096 transcript:DRNTG_08096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGLSESNAGDMGLSPAPGPPGGIPSYFMASFYPMDMTMDAPLDRALLASKNHREAEKRRRERIKSHMDRLRTLLACDPKTDKASLLAKAVEHVRDLKQRTAEIAETQLFPSESDEIIVIPGDELSSSDGRRLVYKASLCCEDRSDLLPELIDTLRALRLKTLRAEMATLGGRVRNVLVLAGDSEGHQEDDAGAGGFLKDALKELVDRRTVSGDRLKRRRTVDRDSL >DRNTG_16253.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9624186:9636389:1 gene:DRNTG_16253 transcript:DRNTG_16253.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase I MNS5 [Source:Projected from Arabidopsis thaliana (AT1G27520) UniProtKB/Swiss-Prot;Acc:Q9SXC9] MASLVGSPARSRQSALLPFLFVVILVLSPFLVSAFDEARRIRLREEVRRMFYHAYENYMTYAFPHDELKPLTSTYTDSLSELGNLKLEHLPENYKGSALTLIESLSSLVILGNNTEFERGVLWLSENLTFDVDVRINLFECNIRVLGGLVSAHILATDSKSRLAPGIYKNQLLSLAADLGQRFLPAFDTPTGLPYAWINLKHGVVENETSETSTSGCGSLILEMGALSRLTGDSRYETAALRALRKLWSMRSSLDLPGTTLDVITGEWIVYSSGIGAGVDSFYEYLMKAYILFGSDEFWDMFHPAYIAVQKYFRHGPWYHEADMRTGKATYWQLTSLQAFWPGLQVLVGDIPAANSSHRQFFHIWDRFGVLPERYLLDHDALHPTEKYYPLRPEFAESTFYLYQGTKDPWYLEVGESIVRSLNYYTRVQAGFASIRDVTTMQLEDHQHSFFLSETCKYLYLLFDDSFLVNQNYIFTTEGHPIPIRSSWHERLPQTYIPNNWTSFKSENQANRQSAMQSRLCPATISGANTGISIESACHIPDLRANHRCITDEECGTDSTTCRQRTCSLAGYCGLWFRI >DRNTG_03205.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12283055:12290591:1 gene:DRNTG_03205 transcript:DRNTG_03205.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cpn60beta4 [Source:Projected from Arabidopsis thaliana (AT1G26230) UniProtKB/TrEMBL;Acc:A0A178WH16] MLVLQIEDHEIAHVAAVSAGNGHTIGNMIADALRKVGRKGVVRIEQGRSLDSNLEILEGMQLDSGYLSPYFVTDRANMFVEFIDCKILLVDKKIADPKAMFKVLDGAVKEKYPLLVIAEGVGQEVLALMIRNKLKGVFKVAAIKAPSFGEGKSHFLDDLAILTGGKVVRDEMGLTLEKAGKEVLGNAAKVVITNNSTLIVTDGSTKHAVEKRVHQIRSLTESTEEKFKKKILNERIARLCGGIAVIQVGAQTEVELQDKKLRIEDAINATKAAIEEGVVVGGGCTLLRLSTKVDSIKASLDNDEQKIGADIFKHALSYPSKLIVKNAGMNGSVIVEKILSIEDLRYGYNAAKNRYEDLIAAGILDPTKVVRCCLENAASVAKTFLTSDVVVVEMNQPKPIHMKIPPSPYKVMSKPGSLSWDVFPYYTLILYQQIPC >DRNTG_03205.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12283055:12290591:1 gene:DRNTG_03205 transcript:DRNTG_03205.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cpn60beta4 [Source:Projected from Arabidopsis thaliana (AT1G26230) UniProtKB/TrEMBL;Acc:A0A178WH16] MLVLQIEDHEIAHVAAVSAGNGHTIGNMIADALRKVGRKGVVRIEQGRSLDSNLEILEGMQLDSGYLSPYFVTDRANMFVEFIDCKILLVDKKIADPKAMFKVLDGAVKEKYPLLVIAEGVGQEVLALMIRNKLKGVFKVAAIKAPSFGEGKSHFLDDLAILTGGKVVRDEMGLTLEKAGKEVLGNAAKVVITNNSTLIVTDGSTKHAVEKRVHQIRSLTESTEEKFKKKILNERIARLCGGIAVIQVGAQTEVELQDKKLRIEDAINATKAAIEEGVVVGGGCTLLRLSTKVDSIKASLDNDEQKIGADIFKHALSYPSKLIVKNAGMNGSVIVEKILSIEDLRYGYNAAKNRYEDLIAAGILDPTKVVRCCLENAASVAKTFLTSDVVVVEMNQPKPIHMKIPPSPYKVMSKPGTALKI >DRNTG_03205.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12283055:12290591:1 gene:DRNTG_03205 transcript:DRNTG_03205.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cpn60beta4 [Source:Projected from Arabidopsis thaliana (AT1G26230) UniProtKB/TrEMBL;Acc:A0A178WH16] MSSCSPLPLAMSISPLPSSQKRALPSKLMRIPKEPHFNHDFSATKKLLAGVDLVADLLGVTLGPKGRNVVLGNKYGPPKIVNDGETVLKEIELEDPLENLGVKLVRQAGAKTNDLAGDGSTTSIVLARGLIAEGVKVLSVGMNPVQIARGIEKTANALVSKLKLMSREIEDHEIAHVAAVSAGNGHTIGNMIADALRKVGRKGVVRIEQGRSLDSNLEILEGMQLDSGYLSPYFVTDRANMFVEFIDCKILLVDKKIADPKAMFKVLDGAVKEKYPLLVIAEGVGQEVLALMIRNKLKGVFKVAAIKAPSFGEGKSHFLDDLAILTGGKVVRDEMGLTLEKAGKEVLGNAAKVVITNNSTLIVTDGSTKHAVEKRVHQIRSLTESTEEKFKKKILNERIARLCGGIAVIQVGAQTEVELQDKKLRIEDAINATKAAIEEGVVVGGGCTLLRLSTKVDSIKASLDNDEQKIGADIFKHALSYPSKLIVKNAGMNGSVIVEKILSIEDLRYGYNAAKNRYEDLIAAGILDPTKVVRCCLENAASVAKTFLTSDVVVVEMNQPKPIHMKIPPSPYKVMSKPGTALKI >DRNTG_03205.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12283055:12290591:1 gene:DRNTG_03205 transcript:DRNTG_03205.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cpn60beta4 [Source:Projected from Arabidopsis thaliana (AT1G26230) UniProtKB/TrEMBL;Acc:A0A178WH16] MFKVLDGAVKEKYPLLVIAEGVGQEVLALMIRNKLKGVFKVAAIKAPSFGEGKSHFLDDLAILTGGKVVRDEMGLTLEKAGKEVLGNAAKVVITNNSTLIVTDGSTKHAVEKRVHQIRSLTESTEEKFKKKILNERIARLCGGIAVIQVGAQTEVELQDKKLRIEDAINATKAAIEEGVVVGGGCTLLRLSTKVDSIKASLDNDEQKIGADIFKHALSYPSKLIVKNAGMNGSVIVEKILSIEDLRYGYNAAKNRYEDLIAAGILDPTKVVRCCLENAASVAKTFLTSDVVVVEMNQPKPIHMKIPPSPYKVMSKPGSLSWDVFPYYTLILYQQIPC >DRNTG_03205.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12283055:12290591:1 gene:DRNTG_03205 transcript:DRNTG_03205.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cpn60beta4 [Source:Projected from Arabidopsis thaliana (AT1G26230) UniProtKB/TrEMBL;Acc:A0A178WH16] MNPVQIARGIEKTANALVSKLKLMSREIEDHEIAHVAAVSAGNGHTIGNMIADALRKVGRKGVVRIEQGRSLDSNLEILEGMQLDSGYLSPYFVTDRANMFVEFIDCKILLVDKKIADPKAMFKVLDGAVKEKYPLLVIAEGVGQEVLALMIRNKLKGVFKVAAIKAPSFGEGKSHFLDDLAILTGGKVVRDEMGLTLEKAGKEVLGNAAKVVITNNSTLIVTDGSTKHAVEKRVHQIRSLTESTEEKFKKKILNERIARLCGGIAVIQVGAQTEVELQDKKLRIEDAINATKAAIEEGVVVGGGCTLLRLSTKVDSIKASLDNDEQKIGADIFKHALSYPSKLIVKNAGMNGSVIVEKILSIEDLRYGYNAAKNRYEDLIAAGILDPTKVVRCCLENAASVAKTFLTSDVVVVEMNQPKPIHMKIPPSPYKVMSKPGSLSWDVFPYYTLILYQQIPC >DRNTG_03205.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12284052:12290591:1 gene:DRNTG_03205 transcript:DRNTG_03205.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cpn60beta4 [Source:Projected from Arabidopsis thaliana (AT1G26230) UniProtKB/TrEMBL;Acc:A0A178WH16] MNPVQIARGIEKTANALVSKLKLMSREIEDHEIAHVAAVSAGNGHTIGNMIADALRKVGRKGVVRIEQGRSLDSNLEILEGMQLDSGYLSPYFVTDRANMFVEFIDCKILLVDKKIADPKAMFKVLDGAVKEKYPLLVIAEGVGQEVLALMIRNKLKGVFKVAAIKAPSFGEGKSHFLDDLAILTGGKVVRDEMGLTLEKAGKEVLGNAAKVVITNNSTLIVTDGSTKHAVEKRVHQIRSLTESTEEKFKKKILNERIARLCGGIAVIQVGAQTEVELQDKKLRIEDAINATKAAIEEGVVVGGGCTLLRLSTKVDSIKASLDNDEQKIGADIFKHALSYPSKLIVKNAGMNGSVIVEKILSIEDLRYGYNAAKNRYEDLIAAGILDPTKVVRCCLENAASVAKTFLTSDVVVVEMNQPKPIHMKIPPSPYKVMSKPGSLSWDVFPYYTLILYQQIPC >DRNTG_03205.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12283055:12283285:1 gene:DRNTG_03205 transcript:DRNTG_03205.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cpn60beta4 [Source:Projected from Arabidopsis thaliana (AT1G26230) UniProtKB/TrEMBL;Acc:A0A178WH16] MSSCSPLPLAMSISPLPSSQKRALPSKLMRIPKEPHFNHDFSATKKLL >DRNTG_09568.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22564650:22571630:1 gene:DRNTG_09568 transcript:DRNTG_09568.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFIPSISNSVPSSPNPLSMSSSLPFFLRLAPLRQSIIRSTIPNHTRAPAYSWFSKPSGLRIDRRGCTSSGFPIRVSAMDDDPMDVYSIFDENDVVSGMNSSYMMSSSEGEDSDSDPFLDPNRDVDLPPRKDQKDIPDAALTMAAHRFASINRGHRKRRIRRGVWNNMGLIAFVILFLLFVDWCSWRIVRIPLESFYLTHPFWVSTSLSACAGWIYIPLVDSMKLHQIQSKGGPSAPSSKRGIPTMGGLFFIPVGVIVARCKAGSHSYPVYGSVLATLACAAVGLLDDGLSLVKNRSYGLSGWTKFFLLV >DRNTG_09568.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22564650:22576243:1 gene:DRNTG_09568 transcript:DRNTG_09568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFIPSISNSVPSSPNPLSMSSSLPFFLRLAPLRQSIIRSTIPNHTRAPAYSWFSKPSGLRIDRRGCTSSGFPIRVSAMDDDPMDVYSIFDENDVVSGMNSSYMMSSSEGEDSDSDPFLDPNRDVDLPPRKDQKDIPDAALTMAAHRFASINRGHRKRRIRRGVWNNMGLIAFVILFLLFVDWCSWRIVRIPLESFYLTHPFWVSTSLSACAGWIYIPLVDSMKLHQIQSKGGPSAPSSKRGIPTMGGLFFIPVGVIVARCKAGSHSYPVYGSVLATLACAAVGLLDDGLSLVKNRSYGLSGWTKFFLLVVVGASFSVWMNSANLPTPYNMYTYL >DRNTG_09568.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22575211:22576243:1 gene:DRNTG_09568 transcript:DRNTG_09568.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAGACVGFLVHNRYRASIFMGDTGSLALGGALAAMASCTGMFLPLFISSGVFILDAVSVIVQVLTLRATRCIHGTSKYIRRIAPMHRILELCGLKEPVIVAIAYVIYCSMAMYAGYVGLISA >DRNTG_09568.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22564650:22576243:1 gene:DRNTG_09568 transcript:DRNTG_09568.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFIPSISNSVPSSPNPLSMSSSLPFFLRLAPLRQSIIRSTIPNHTRAPAYSWFSKPSGLRIDRRGCTSSGFPIRVSAMDDDPMDVYSIFDENDVVSGMNSSYMMSSSEGEDSDSDPFLDPNRDVDLPPRKDQKDIPDAALTMAAHRFASINRGHRKRRIRRGVWNNMGLIAFVILFLLFVDWCSWRIVRIPLESFYLTHPFWVSTSLSACAGWIYIPLVDSMKLHQIQSKGGPSAPSSKRGIPTMGGLFFIPVGVIVARCKAGSHSYPVYGSVLATLACAAVGLLDDGLSLVKNRSYGLSGWTKFFLLVVVGASFSVWMNSANLPTPYNMKFLVPFPAPLGLVYFGKFYLALTTFCFASIGTGVNLADKLDGLAGGTAALAFLGMAVAILPICPELSIFGASMAGACVGFLVHNRYRASIFMGDTGSLALGGALAAMASCTGMFLPLFISSGVFILDAVSVIVQVLTLRATRCIHGTSKYIRRIAPMHRILELCGLKEPVIVAIAYVIYCSMAMYAGYVGLISA >DRNTG_09568.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22574753:22576243:1 gene:DRNTG_09568 transcript:DRNTG_09568.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAILPICPELSIFGASMAGACVGFLVHNRYRASIFMGDTGSLALGGALAAMASCTGMFLPLFISSGVFILDAVSVIVQVLTLRATRCIHGTSKYIRRIAPMHRILELCGLKEPVIVAIAYVIYCSMAMYAGYVGLISA >DRNTG_09568.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22564650:22571839:1 gene:DRNTG_09568 transcript:DRNTG_09568.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDPMDVYSIFDENDVVSGMNSSYMMSSSEGEDSDSDPFLDPNRDVDLPPRKDQKDIPDAALTMAAHRFASINRGHRKRRIRRGVWNNMGLIAFVILFLLFVDWCSWRIVRIPLESFYLTHPFWVSTSLSACAGWIYIPLVDSMKLHQIQSKGGPSAPSSKRGIPTMGGLFFIPVGVIVARCKAGSHSYPVYGSVLATLACAAVGLLDDGLSLVKNRSYGLSGWTKFFLLQVVVGASFSVWMNSANLPTPYNMYTYL >DRNTG_09568.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22564650:22576243:1 gene:DRNTG_09568 transcript:DRNTG_09568.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDPMDVYSIFDENDVVSGMNSSYMMSSSEGEDSDSDPFLDPNRDVDLPPRKDQKDIPDAALTMAAHRFASINRGHRKRRIRRGVWNNMGLIAFVILFLLFVDWCSWRIVRIPLESFYLTHPFWVSTSLSACAGWIYIPLVDSMKLHQIQSKGGPSAPSSKRGIPTMGGLFFIPVGVIVARCKAGSHSYPVYGSVLATLACAAVGLLDDGLSLVKNRSYGLSGWTKFFLLQVVVGASFSVWMNSANLPTPYNMYTYL >DRNTG_09568.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22571005:22576243:1 gene:DRNTG_09568 transcript:DRNTG_09568.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIAFVILFLLFVDWCSWRIVRIPLESFYLTHPFWVSTSLSACAGWIYIPLVDSMKLHQIQSKGGPSAPSSKRGIPTMGGLFFIPVGVIVARCKAGSHSYPVYGSVLATLACAAVGLLDDGLSLVKNRSYGLSGWTKFFLLVVVGASFSVWMNSANLPTPYNMKFLVPFPAPLGLVYFGKFYLALTTFCFASIGTGVNLADKLDGLAGGTAALAFLGMAVAILPICPELSIFGASMAGACVGFLVHNRYRASIFMGDTGSLALGGALAAMASCTGMFLPLFISSGVFILDAVSVIVQVLTLRATRCIHGTSKYIRRIAPMHRILELCGLKEPVIVAIAYVIYCSMAMYAGYVGLISA >DRNTG_05988.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:24136337:24138034:1 gene:DRNTG_05988 transcript:DRNTG_05988.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEMLNPDPYEGLFDQEESNEEVMMFGSTGEETFTLGILKKVLRKMKRARRCHRKCSKTIGDVHEPRKLDEPLLGSECVNMFRIFLQSLQVFLSHP >DRNTG_32254.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3348431:3351211:1 gene:DRNTG_32254 transcript:DRNTG_32254.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHVTPLPEEPSILSLALIPPLNSNSKRSNQKQKKKEKKKTQSSRTLQITMRSGLSSGDRKLGFWP >DRNTG_27033.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2552406:2552709:1 gene:DRNTG_27033 transcript:DRNTG_27033.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLNNLPEEYGKQPLEESSTTTAASTDTARFKKKKGSGKDDAKVYECRFCSLKFCKSQALGGHMNRHRQ >DRNTG_05304.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2676198:2678102:-1 gene:DRNTG_05304 transcript:DRNTG_05304.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDDHPFMDSSWVDAFPFFSGEVACLDCFQETTTVPATTSTSTSFNPTFFAADHLDSVVNVGVANDNQMSTGFDCCFGEVYGQISPSLPEFHHQVGGFLDRSVVERSETTAAAAAASAAAPVRAERKKRKVEGMPSKNLMAERRRRKRLNDRLSMLRSVVPKISKMDRTSILGDTIDYMKDLLERIKMLREEIEGGAGLQSENLLNIFKELDTNEVLVRNSPKFDVERRDRDTRIEICCAAKSGLLLSTVSTLESLGLEIQQCVVSCFNDFGMQASCSEEVERRKEMSSEEIKQALFRNAGYGGRCV >DRNTG_29046.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2783397:2784251:-1 gene:DRNTG_29046 transcript:DRNTG_29046.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLILKLVKERREGSQASSKKDLLQSILDGAKDNLVSTKDHDNFIVDNCKNIFFAGHETAATSASWCLMLLACHPKWQAQARAEVIEVCQGELPNADMLRKMKTLTMVIQESLRLYPPTAFVTREAFKDIKLGKLNIPKGITLVIPTSILHHEQEIWGPDADEFKPERFTNGISGACKFPHAYIPFGLGARTCLGQNLAMVELKIILSLILLKFSFSLSPSYVHAPAFRLTIEPEFGV >DRNTG_29046.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2783397:2786470:-1 gene:DRNTG_29046 transcript:DRNTG_29046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEFNWGTLVVLCFSGILCFLLHYLYGFLWLKPERMREKLRKQGMRGPPPSLLLGNIIEMKRIQMEEKGLIGSSANVMVDYSVALFPYFKKWRNEFGPLFMYSTGSIQLLYVSDPDLIKEIGLYKPLDIGKPVYLTKERGALFGNGILTSNGEVWTHQRKVIAPEFYADKVKGMVDLILDAAVPLLKSWETIVESGGGIGLLTVGEDLRSFSADVISRASFGSNYLEGEEMFLKLRQLQNLMSKTNLFIGIPGLRYLPTKANREIWRLNREIRMLILKLVKERREGSQASSKKDLLQSILDGAKDNLVSTKDHDNFIVDNCKNIFFAGHETAATSASWCLMLLACHPKWQAQARAEVIEVCQGELPNADMLRKMKTLTMVIQESLRLYPPTAFVTREAFKDIKLGKLNIPKGITLVIPTSILHHEQEIWGPDADEFKPERFTNGISGACKFPHAYIPFGLGARTCLGQNLAMVELKIILSLILLKFSFSLSPSYVHAPAFRLTIEPEFGV >DRNTG_15819.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24944190:24950239:-1 gene:DRNTG_15819 transcript:DRNTG_15819.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLATSPISPLPRCPRPLLLRSTPVMGFQLPVVPLRGFRKRVSRIEASDCNEHSSFSGVNNSVMSTVGKSTNIAWYECKIGKHERQQLLKQKGCVVWITGLSGSGKSTVACALSRELYSRGYLAYVLDGDNLRHGLNRDLSFSAEDRSENIRRVGEVAKLFADAGVICIASLISPYRSERDACRAMVPDSFIEVFMDMPLELCEARDPKGLYKLARAGKIKGFTGIDDPYEAPLNCELVIQMKNGECSSPSSMAEQITMYLDEKGFLKA >DRNTG_15819.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24944240:24950239:-1 gene:DRNTG_15819 transcript:DRNTG_15819.6 gene_biotype:protein_coding transcript_biotype:protein_coding MCKEVYAGVNNSVMSTVGKSTNIAWYECKIGKHERQQLLKQKGCVVWITGLSGSGKSTVACALSRELYSRGYLAYVLDGDNLRHGLNRDLSFSAEDRSENIRRVGEVAKLFADAGVICIASLISPYRSERDACRAMVPDSFIEVFMDMPLELCEARDPKGLYKLARAGKIKGFTGIDDPYEAPLNCELVIQMKNGECSSPSSMAEQITMYLDEKGFLKA >DRNTG_15819.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24944240:24950274:-1 gene:DRNTG_15819 transcript:DRNTG_15819.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLATSPISPLPRCPRPLLLRSTPVMGFQLPVVPLRGFRKRVSRIEASDCNEHSSFSGVNNSVMSTVGKSTNIAWYECKIGKHERQQLLKQKGCVVWITGLSGSGKSTVACALSRELYSRGYLAYVLDGDNLRHGLNRDLSFSAEDRSENIRRVGEVAKLFADAGVICIASLISPYRSERDACRAMVPDSFIEVFMDMPLELCEARDPKGLYKLARAGKIKGFTGIDDPYEAPLNCELVIQMKNGECSSPSSMAEQITMYLDEKGFLKA >DRNTG_15819.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24944240:24948696:-1 gene:DRNTG_15819 transcript:DRNTG_15819.9 gene_biotype:protein_coding transcript_biotype:protein_coding MCKEVYAGVNNSVMSTVGKSTNIAWYECKIGKHERQQLLKQKGCVVWITGLSGSGKSTVACALSRELYSRGYLAYVLDGDNLRHGLNRDLSFSAEDRSENIRRVGEVAKLFADAGVICIASLISPYRSERDACRAMVPDSFIEVFMDMPLELCEARDPKGLYKLARAGKIKGFTGIDDPYEAPLNCELVIQMKNGECSSPSSMAEQITMYLDEKGFLKA >DRNTG_15819.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24944190:24950239:-1 gene:DRNTG_15819 transcript:DRNTG_15819.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCKEVYAGVNNSVMSTVGKSTNIAWYECKIGKHERQQLLKQKGCVVWITGLSGSGKSTVACALSRELYSRGYLAYVLDGDNLRHGLNRDLSFSAEDRSENIRRVGEVAKLFADAGVICIASLISPYRSERDACRAMVPDSFIEVFMDMPLELCEARDPKGLYKLARAGKIKGFTGIDDPYEAPLNCELVIQMKNGECSSPSSMAEQITMYLDEKGFLKA >DRNTG_15819.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24944240:24949479:-1 gene:DRNTG_15819 transcript:DRNTG_15819.7 gene_biotype:protein_coding transcript_biotype:protein_coding MCKEVYAGVNNSVMSTVGKSTNIAWYECKIGKHERQQLLKQKGCVVWITGLSGSGKSTVACALSRELYSRGYLAYVLDGDNLRHGLNRDLSFSAEDRSENIRRVGEVAKLFADAGVICIASLISPYRSERDACRAMVPDSFIEVFMDMPLELCEARDPKGLYKLARAGKIKGFTGIDDPYEAPLNCELVIQMKNGECSSPSSMAEQITMYLDEKGFLKA >DRNTG_15819.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24944190:24948696:-1 gene:DRNTG_15819 transcript:DRNTG_15819.8 gene_biotype:protein_coding transcript_biotype:protein_coding MCKEVYAGVNNSVMSTVGKSTNIAWYECKIGKHERQQLLKQKGCVVWITGLSGSGKSTVACALSRELYSRGYLAYVLDGDNLRHGLNRDLSFSAEDRSENIRRVGEVAKLFADAGVICIASLISPYRSERDACRAMVPDSFIEVFMDMPLELCEARDPKGLYKLARAGKIKGFTGIDDPYEAPLNCELVIQMKNGECSSPSSMAEQITMYLDEKGFLKA >DRNTG_15819.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24944240:24950239:-1 gene:DRNTG_15819 transcript:DRNTG_15819.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLATSPISPLPRCPRPLLLRSTPVMGFQLPVVPLRGFRKRVSRIEASDCNEHSSFSGVNNSVMSTVGKSTNIAWYECKIGKHERQQLLKQKGCVVWITGLSGSGKSTVACALSRELYSRGYLAYVLDGDNLRHGLNRDLSFSAEDRSENIRRVGEVAKLFADAGVICIASLISPYRSERDACRAMVPDSFIEVFMDMPLELCEARDPKGLYKLARAGKIKGFTGIDDPYEAPLNCELVIQMKNGECSSPSSMAEQITMYLDEKGFLKA >DRNTG_15819.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24944105:24950239:-1 gene:DRNTG_15819 transcript:DRNTG_15819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATSPISPLPRCPRPLLLRSTPVMGFQLPVVPLRGFRKRVSRIEASDCNEHSSFSGVNNSVMSTVGKSTNIAWYECKIGKHERQQLLKQKGCVVWITGLSGSGKSTVACALSRELYSRGYLAYVLDGDNLRHGLNRDLSFSAEDRSENIRRVGEVAKLFADAGVICIASLISPYRSERDACRAMVPDSFIEVFMDMPLELCEARDPKGLYKLARAGKIKGFTGIDDPYEAPLNCELVIQMKNGECSSPSSMAEQITMYLDEKGFLKA >DRNTG_05243.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13014478:13015532:-1 gene:DRNTG_05243 transcript:DRNTG_05243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDDVTDAFRNPFDICVDMEFAESATSKYPLVHDMETLDKNKEAPIAPSMSCDLSSNYSPLRSYGYRRQTFLT >DRNTG_07476.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28955401:28958039:1 gene:DRNTG_07476 transcript:DRNTG_07476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSEWSIQISRHLKYGEKKNVAAELKYGYIVERHLEDGDVVLFNRQPSLHRMSIMAHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEALMLMGGILSVASMKNLHLQAFGA >DRNTG_07476.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28955401:28956463:1 gene:DRNTG_07476 transcript:DRNTG_07476.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMAHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEALMLMGVYFFLYRICFKF >DRNTG_16955.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:183374:186197:-1 gene:DRNTG_16955 transcript:DRNTG_16955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLNSSLKNEESQGQAEEAKIKEASQQPQQDKPKDQPTPDLSSPDTTNNRLGNAPSSVAPAHQLTIFYAGSVSVFDAVPPEKAQAIMLIAAAAAAASASLNSKNNGQQQQQQTQQSPPMLNAPAAATTAAATAASSPALTRCLSFQSSSTAAGALSPPPQLLANPTSNLCKLQAELPTARRNSLQRFLEKRRDRLVSKAPYTATKTTSDGLEAAQLEVKSQLS >DRNTG_24845.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29373385:29379768:1 gene:DRNTG_24845 transcript:DRNTG_24845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREWGIWFFLACIWLSNQSFINGDTNATDAAALNALYSSLNLPPQLTGWTQSGGDPCGQSWKGITCSGSSVTQINLSGLGLNGSMGYMISNLASLIELDLSNNNLGNGSQIPYNLPSTLHRLNLAGNQYTGNIPYSIALMLSLEHLDLAHNQLQGSLADVFQQLTNLSMMDLSFNNFSAELPQSFSSLSSLKSLYLQSNKFSGQIDVLADLPLQDLNVANNNFSGSIPDQLLKINNLQIGGNQFNVTFTPPPPSNKTPPGRRANPSQSSGRDSGSSSDSGGEKSSGIGIGGIVGIIVSVLVISFILVFLLIRRKKSEASSKGNRNPEQDQLFTPLPSYEVKEMKQIQTSSLVSTNVVQPTTPITLKPPPRQKSFDSDEFSTKAVVKNATPISIKATVYSVADLQIATESFSIDNLIGEGSSGRVYKAQFIDGKVLAVKKINSSALPNQSSEDFLEVVSNVSRLHHPNLTELVGYCSEHGQHLMVYEFHKNGSLHDFLHISDEYKKPLSWNARVKIALGSARALEYLHEVCSPSVVHKNFKSANILLDAELNPHLSDCGLATLIPDPEYQSVENSMSSGYKAPEVAMSGQYTLKSDVYSFGVVMLELITGRKPFDSSRPRSEQSLVRWATPQLHDIDALDKMVDPALKGLCPPKSLSRFADVIALCVQPEPEFRPPMSEVVQALLRLVQRANMSKRLSAGDDDQDLQEFTF >DRNTG_29656.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15609070:15610278:-1 gene:DRNTG_29656 transcript:DRNTG_29656.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLISENMDGLIQLSLESNRFNETIPESLSGLSKLRLLDVAANSFIGTLTEHHFANLTNLLYMGLSCNSLQLNVTKDWVPSFHADTILMYSCTISPDFPAWLKTQTVLASLYLSSARIFGPATLTSP >DRNTG_34220.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2032364:2036082:1 gene:DRNTG_34220 transcript:DRNTG_34220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSSSSESELPFPVFSNPNPDSDFYSSSSSSKKKKKKKRAQKQRSFGPAMLENDEWRWKRGGEVMLEGYVEAAEGGRDGGLVGGDGAVGRTKSLTDEDLEELKGCLDLGFGFNYEEIPELCSTLPALELCYSMSQRFLDEQQQQQQQQGKQGKSVEASGEAAESSAPHTVAHTTPIANWKISSPGDNPDDVKARLKYWAQAVACTVKLCN >DRNTG_04827.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2272081:2273463:1 gene:DRNTG_04827 transcript:DRNTG_04827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQFSESAPPPPPPPPPPPLPPLQLHQNPLGLCLDLTTTKSHSRPWPSFPTSSKTLGTTFNNASCMEQLLVHCATAIETNDSTLAQQILWVLNNIAPPDGDSNQRLTSAFLRALIIRASKTGTCAVLAAAVTRADSELALYSHRFSAIELASFIDLTPWYRFGFSATNSAITEAIEGFQIIHLVDLSTTHCMQIPTLIDMLANRPEGPPFIRLTVPGITYSNTNPPPPLLDLSYDELGARLIAFARSRNIGMEFRVIPSDFSQGFDTLIEQLRAEQMVCDGEALVVNCQMMLHYVPEETVSHEINHLSMRSCCLKAIRSLEPTIITLVEEDADFTDSDLVGRLRSAFNFLWIPYDAVETFLPKGSDQRRWYEGGVCWKIENVIAHEGMQRVERLESRGRWVQRMRGAGFRGLGFGEEAVGEVKAMLDEHAAGWGLKKEDEGLVLSWKGHNVVFATAWAPC >DRNTG_08720.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4959127:4964136:1 gene:DRNTG_08720 transcript:DRNTG_08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGISLEEIKNETVDLERIPVEEVFEQLKCSREGLSSDEGNSRLQIFGPNKLEEKKESKILKFLGFMWNPLSWVMEMAAIMAIALANGGGKPPDWEDFVGIVVLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWSEEEAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTHQVGHFQKVLTAIGNFCICSIAIGMIVEIIVMYPIQKRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSIDKNLIEVFAKGVEKDHVILLAARASRTENQDAIDAAMVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDSDGRWHRASKGAPEQILTLCNCKEDVKSKVHSVIDKFAERGLRSLAVARQEVPERTKESQGGPWQFVGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSALLGQNKDAALASLPVDELIEKADGFAGVFPEHKYEIVKKLQERKHLCGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGVVLGGYLALMTVIFFWAMKDTDFFSNKFKVRSLRHSNDEMMAALYLQVSIVSQALIFVTRSRSWFFMERPGLLLVSAFIVAQLVATIIAVYANWGFAKIKGCGWGWAGVIWLYSIVFFLPLDFFKFGIRYILSGKAWNNLLENKTAFTSKKDYGREEREAQWATAQRTLHGLQAPETNNLFADKNSYRELTEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >DRNTG_12627.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000557.1:17784:30707:1 gene:DRNTG_12627 transcript:DRNTG_12627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITQFSVRLGLEEEAFIDTEEYSQLPTDYPGALTPQRAYRALCGQGQYELGMSKAMCLSRPAYQYLHTILSRSVNGHGDSTGVLSRQELLYMYSMVQRISIHLGHIIAEGLLLGFAEVLARVWRGIGYALEKYWLGL >DRNTG_09790.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4321729:4329586:1 gene:DRNTG_09790 transcript:DRNTG_09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKEEERNEKIIRGLMKLPPNRKCINCNSLGPQYVCTNFWTFVCIACSGIHREFTHRVKSVSMAKFTKQEVEALQNGGNQRAREIFLKDWDMQQLRLPDSSKPDRIREFIRSVYVEKKYAGGRSSDKPPRDTQSLKSHEDHRRASSYHSYSQSPPYDHQYEDRRYGKQSGMLTRKPGSDRGHYEGKLSSFMYSPGSLREQMYEDKFANESSGSRMSDYSASTTGDPFRSESQSPNFQESIYSKPPLQQARDIFVEDSQLQSLNRHKTNVKADLDGIPRPKRSASSGSFGSFDSTSLKSFNSGSLVDVVVEPDNSGKTQQLETFSVSSISQPPPVLTPELDLFNLPLMQVASPAPSIDLFHDVNHNSAPAVPEQKPMVLPSPESGGWATFDLPHSVRPTSEANSGISTTVEPVAGGPKGSIDVFSSMPDQWFSAQSSVPLTFFPLIGDQWDTDLGKVKRSSDPKNSQSWNAFDGSNGNTHSLFDLLPKGNELQVPMHQPPSDVPLGMSKVPKDSIDGFQISPANDRAPDLNLPFNGVPGPSCPPSVLQETVMATHHRKSTNPFDIPDDLEVQPNNMFLDMSSLQATLPNPQLPPPFLEALSEPFFNQNSVNVHVSSLPLEGLPYIGGQVPGSQLPNVASQGSVASIGGNPFA >DRNTG_09790.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4321729:4329586:1 gene:DRNTG_09790 transcript:DRNTG_09790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKEEERNEKIIRGLMKLPPNRKCINCNSLGPQYVCTNFWTFVCIACSGIHREFTHRVKSVSMAKFTKQEVEALQNGGNQRAREIFLKDWDMQQLRLPDSSKPDRIREFIRSVYVEKKYAGGRSSDKPPRDTQSLKSHEDHRRASSYHSYSQSPPYDHQYEDRRYGKQSGMLTRKPGSDRGHYEGKLSSFMYSPGSLREQMYEDKFANESSGSRMSDYSASTTGDPFRSESQSPNFQESIYSKPPLQQARDIFVEDSQLQSLNRHKTNVKADLDGIPRPKRSASSGSFGSFDSTSLKSFNSGSLVDVVVEPDNSGKTQQLETFSVSSISQPPPVLTPELDLFNLPLMQVASPAPSIDLFHDVNHNSAPAVPEQKPMVLPSPESGGWATFDLPHSVRPTSEANSGISTTVEPVAGGPKGSIDVFSSMPDQWFSAQSSVPLTFFPLIGDQWDTDLGKVKRSSDPKNSQGNELQVPMHQPPSDVPLGMSKVPKDSIDGFQISPANDRAPDLNLPFNGVPGPSCPPSVLQETVMATHHRKSTNPFDIPDDLEVQPNNMFLDMSSLQATLPNPQLPPPFLEALSEPFFNQNSVNVHVSSLPLEGLPYIGGQVPGSQLPNVASQGSVASIGGNPFA >DRNTG_24726.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7813784:7815479:-1 gene:DRNTG_24726 transcript:DRNTG_24726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIHSNCQCFNPTKEKLKRKMEEEKKSTASKFKSICVFCGSSAGKGKKNQDAAINLGKELVLKNIDLVYGGGSIGLMGLISQTVFDGGRNVIGVIPKALMGREITGVTVGEVKTVIDMHHRKAEMGSYADAFIALPVYCFKYSGGYGTLEELFEVISWAQLGIHNKPIGLLNVDGYYNSLLSFIDKVLEEGFINLAARQIIISSANAKELIETLEEYSPHSSPKIPSLRTLIDLNLPVAMDD >DRNTG_24527.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6062133:6067371:-1 gene:DRNTG_24527 transcript:DRNTG_24527.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPELRSGPRRGRAQPNPVVQSERRGAAGAARRRRPATRGRQAAEEIVVVEPKEEVGLPEGVGEIGALGGGEEENQEEVGERRMDEFDSGGKSADKLAGGEDEGSTAPLPEKVQIGNSPVYKIEKKLGKGGFGQVYVGRRMSPANANDRIPGSNAVEVALKFEHRSSKGCNYGPPYEWQVYNTLGGIHGVPRVHYKGRQGDYYVMVMDMLGPSLWDVWNNNSHTMSIEMVACIGIEAISILEKMHSKGYVHGDVKPENFLLGPSGTPEEKKLFLVDLGLATRWKDTSSGQHVDYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCCFCPHPFRQFVEYVVNLKFDEEPNYAKCISLFDGIVGPNPDIRPINTDGAQKLIYQVGQKRGRLMIEDEDDEQPKKKVRMGMPATQWISVYNSRRPMKQRYHYNVADARLVQHIEKGNEDGLFISCVASCTNLWALIMDAGTGFTAQVYELSANFLHKEWIMEQWEKNFYISALAGANNGSSLVVMSKGTQYAQQSYKVSDSFPFKWINKKWREGFYVTAMATAGTRWGVVMSRNAGFSDQVVELDFLYPSEGIHRRWDGGYRITATAATWDQAAFVLSVPRRRPVDETQETLRTSAFPSQHVKEKWAKNLYIASVCYGRTVS >DRNTG_26746.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7933514:7937024:-1 gene:DRNTG_26746 transcript:DRNTG_26746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGENDGAGSAPVIIPPSWEEAAEFIAREGDTAPPPIAVVCGPKNSGKSTFSRYLLNTLLSRYKKVGYLDTDVGQPEFFLPGCLSVHIIDERISDLRSWSLKSPDRCCFFGDVSSKRDPQAYLGHVRGLYDNFLGEHYKFYEKQALPLIINTPGWVKGTGYDLLVEMLKYISPTHVVQVRISAESKNLPKGAFWLDGEHYGSLHLIDIYSARTDFFNQSVLTRKDAGTLRDRRLFEYFKLCFPSDLDIKTNKDLAYALASLCPYEVPFSRIKVKHLHCQVPNSEVFHSLNATIVGLAINSGKPPSSFSQQCVGLGIVRGIDVKNDRIYVITPVPIHNLQKVDLLLQGLIEIPTGFLQVRRCLSPYMATNVLHKLPEKDE >DRNTG_23335.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6145419:6147714:1 gene:DRNTG_23335 transcript:DRNTG_23335.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL28 [Source:Projected from Arabidopsis thaliana (AT1G24030) UniProtKB/Swiss-Prot;Acc:Q84M95] MPFNLVSAWNKRRRSKSHDQIDPWIYKPVELWQLNDQSPPTKRRNCSSVFTLKEMEEATCSFSDKNLIGKGGFGRVYKGVLRDGEVVAIKKMELPPCRHADGDREFRVEVDILSRLDHPNLVTLIGYCVDGKDRFLVYEYMPRGNLQDLLNGINEVKMEWPLRLKVALGAAKGLAYLHSSPAVGIPIVHRDLKSTNILLSEHFEAKISDFGLAKLMPEGQDILATTRVLGTFGYFDPEYALTGKLTLQSDVYAFGVVLLELLTGRRAVELTQCTTDQNLIFQVRHLLNDRKRLRNVIDPDMSRSSYTMESMALFADLAARCVRIESSGRPSMEQCVKELQVIVYANMRK >DRNTG_23335.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6145419:6147714:1 gene:DRNTG_23335 transcript:DRNTG_23335.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL28 [Source:Projected from Arabidopsis thaliana (AT1G24030) UniProtKB/Swiss-Prot;Acc:Q84M95] MPFNLVSAWNKRRRSKSHDQIDPWIYKPVELWQLNDQSPPTKRRNCSSVFTLKEMEEATCSFSDKNLIGKGGFGRVYKGVLRDGEVVAIKKMELPPCRHADGDREFRVEVDILSRLDHPNLVTLIGYCVDGKDRFLVYEYMPRGNLQDLLNGINEVKMEWPLRLKVALGAAKGLAYLHSSPAVGIPIVHRDLKSTNILLSEHFEAKISDFGLAKLMPEGQDILATTRVLGTFGYFDPEYALTGKLTLQSDVYAFGVVLLELLTGRRAVELTQCTTDQNLIFQVRHLLNDRKRLRNVIDPDMSRSSYTMESMALFADLAARCVRIESSGRPSMEQCVKELQVIVYANMRK >DRNTG_26829.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1978392:1983450:1 gene:DRNTG_26829 transcript:DRNTG_26829.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLQACGDSQTLEEAKAVHDYISRKICDVEIDVQNKIIETYSKCGSMEDAYHLFKNMQDPDLGSWNSMISGLANNGLGEDAIDLFNECKQKDLKPDGSLFVTVFSVCAVLGAVDEGMLHFESMKKDFGISPGMEHYVAIVDMLGRSGYLDEAFEFIEKMPIEPGVLVWETLMNLCRANGNIELGDHCAEIVDSMDSSRLTEECRKGLLPVKASDLAKEKAKKKNSPLEVKSRVHEYRAGDRSHPEFDKINEQIRGLAPQMKEAGYVPDTRFVLHDIDQESKEEALLYHSERLAVAHGVMTSAARQDLRIIKNLRVCGDCHNAMKIISKIVGTEAHCTGC >DRNTG_26829.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1978392:1983450:1 gene:DRNTG_26829 transcript:DRNTG_26829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLQACGDSQTLEEAKAVHDYISRKICDVEIDVQNKIIETYSKCGSMEDAYHLFKNMQDPDLGSWNSMISGLANNGLGEDAIDLFNECKQKDLKPDGSLFVTVFSVCAVLGAVDEGMLHFESMKKDFGISPGMEHYVAIVDMLGRSGYLDEAFEFIEKMPIEPGVLVWETLMNLCRANGNIELGDHCAEIVDSMDSSRLTEECRKGLLPVKASDLAKEKAKKKNSPLEVKSRVHEYRAGDRSHPEFDKINEQIRGLAPQMKEAGYVPDTRFVLHDIDQESKEEALLYHSERLAVAHGVMTSAARQDLRIIKNLRVCGDCHNAMKIISKIVGTEAHCTGC >DRNTG_26829.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1978392:1982918:1 gene:DRNTG_26829 transcript:DRNTG_26829.8 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLQACGDSQTLEEAKAVHDYISRKICDVEIDVQNKIIETYSKCGSMEDAYHLFKNMQDPDLGSWNSMISGLANNGLGEDAIDLFNECKQKDLKPDGSLFVTVFSVCAVLGAVDEGMLHFESMKKDFGISPGMEHYVAIVDMLGRSGYLDEAFEFIEKMPIEPGVLVWETLMNLCRANGNIELGDHCAEIVDSMDSSRLTEECRKGLLPVKASDLAKEKAKKKNSPLEVKSRVHEYRAGDRSHPEFDKINEQIRGLAPQMKEAGYVPDTRFVLHDIDQESKEEALLYHSERLAVAHGVMTSAARQDLRIIKNLRVCGDCHNAMKIISKIVGTEAHCTGC >DRNTG_26829.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1978302:1982918:1 gene:DRNTG_26829 transcript:DRNTG_26829.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLQACGDSQTLEEAKAVHDYISRKICDVEIDVQNKIIETYSKCGSMEDAYHLFKNMQDPDLGSWNSMISGLANNGLGEDAIDLFNECKQKDLKPDGSLFVTVFSVCAVLGAVDEGMLHFESMKKDFGISPGMEHYVAIVDMLGRSGYLDEAFEFIEKMPIEPGVLVWETLMNLCRANGNIELGDHCAEIVDSMDSSRLTEECRKGLLPVKASDLAKEKAKKKNSPLEVKSRVHEYRAGDRSHPEFDKINEQIRGLAPQMKEAGYVPDTRFVLHDIDQESKEEALLYHSERLAVAHGVMTSAARQDLRIIKNLRVCGDCHNAMKIISKIVGTEAHCTGC >DRNTG_26829.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1978392:1983124:1 gene:DRNTG_26829 transcript:DRNTG_26829.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLQACGDSQTLEEAKAVHDYISRKICDVEIDVQNKIIETYSKCGSMEDAYHLFKNMQDPDLGSWNSMISGLANNGLGEDAIDLFNECKQKDLKPDGSLFVTVFSVCAVLGAVDEGMLHFESMKKDFGISPGMEHYVAIVDMLGRSGYLDEAFEFIEKMPIEPGVLVWETLMNLCRANGNIELGDHCAEIVDSMDSSRLTEECRKGLLPVKASDLAKEKAKKKNSPLEVKSRVHEYRAGDRSHPEFDKINEQIRGLAPQMKEAGYVPDTRFVLHDIDQESKEEALLYHSERLAVAHGVMTSAARQDLRIIKNLRVCGDCHNAMKIISKIVGTEAHCTGC >DRNTG_26829.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1978392:1981344:1 gene:DRNTG_26829 transcript:DRNTG_26829.9 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLQACGDSQTLEEAKAVHDYISRKICDVEIDVQNKIIETYSKCGSMEDAYHLFKNMQDPDLGSWNSMISGLANNGLGEDAIDLFNECKQKDLKPDGSLFVTVFSVCAVLGAVDEGMLHFESMKKDFGISPGMEHYVAIVDMLGRSGYLDEAFEFIEKMPIEPGVLVWETLMNLCRANGNIELGDHCAEIVDSMDSSRLTEECRKGLLPVKASDLAKEKAKKKNSPLEVKSRVHEYRAGDRSHPEFDKINEQIRGLAPQMKEAGYVPDTRFVLHDIDQESKEEALLYHSERLAVAHGVMTSAARQDLRIIKNLRVCGDCHNAMKIISKIVGTEAHCTGC >DRNTG_26829.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1978392:1982918:1 gene:DRNTG_26829 transcript:DRNTG_26829.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLQACGDSQTLEEAKAVHDYISRKICDVEIDVQNKIIETYSKCGSMEDAYHLFKNMQDPDLGSWNSMISGLANNGLGEDAIDLFNECKQKDLKPDGSLFVTVFSVCAVLGAVDEGMLHFESMKKDFGISPGMEHYVAIVDMLGRSGYLDEAFEFIEKMPIEPGVLVWETLMNLCRANGNIELGDHCAEIVDSMDSSRLTEECRKGLLPVKASDLAKEKAKKKNSPLEVKSRVHEYRAGDRSHPEFDKINEQIRGLAPQMKEAGYVPDTRFVLHDIDQESKEEALLYHSERLAVAHGVMTSAARQDLRIIKNLRVCGDCHNAMKIISKIVGTEAHCTGC >DRNTG_26829.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1978392:1983124:1 gene:DRNTG_26829 transcript:DRNTG_26829.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLQACGDSQTLEEAKAVHDYISRKICDVEIDVQNKIIETYSKCGSMEDAYHLFKNMQDPDLGSWNSMISGLANNGLGEDAIDLFNECKQKDLKPDGSLFVTVFSVCAVLGAVDEGMLHFESMKKDFGISPGMEHYVAIVDMLGRSGYLDEAFEFIEKMPIEPGVLVWETLMNLCRANGNIELGDHCAEIVDSMDSSRLTEECRKGLLPVKASDLAKEKAKKKNSPLEVKSRVHEYRAGDRSHPEFDKINEQIRGLAPQMKEAGYVPDTRFVLHDIDQESKEEALLYHSERLAVAHGVMTSAARQDLRIIKNLRVCGDCHNAMKIISKIVGTEAHCTGC >DRNTG_26829.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1978302:1982918:1 gene:DRNTG_26829 transcript:DRNTG_26829.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLQACGDSQTLEEAKAVHDYISRKICDVEIDVQNKIIETYSKCGSMEDAYHLFKNMQDPDLGSWNSMISGLANNGLGEDAIDLFNECKQKDLKPDGSLFVTVFSVCAVLGAVDEGMLHFESMKKDFGISPGMEHYVAIVDMLGRSGYLDEAFEFIEKMPIEPGVLVWETLMNLCRANGNIELGDHCAEIVDSMDSSRLTEECRKGLLPVKASDLAKEKAKKKNSPLEVKSRVHEYRAGDRSHPEFDKINEQIRGLAPQMKEAGYVPDTRFVLHDIDQESKEEALLYHSERLAVAHGVMTSAARQDLRIIKNLRVCGDCHNAMKIISKIVGTEAHCTGC >DRNTG_00114.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10870012:10877399:1 gene:DRNTG_00114 transcript:DRNTG_00114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSGMSALDQVIHGPGPRLIGVGAQIFGADDKYICQFTDVSKNFWASAQGDVALTFAGGLRKLSGLADSYENVALIAIYYQGIFYEYVLWTGTLNWEIAPWGYWKLSALNEKHMVIFFFNFLSFKHGHTASMLPGIDPGMNTVAREEWVLLGSYGLSEATIDPGIDPLIDPDFMGGLLGRASLAATLGGKKKKKNKDIFGELWLDAWT >DRNTG_06099.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4693171:4694376:1 gene:DRNTG_06099 transcript:DRNTG_06099.3 gene_biotype:protein_coding transcript_biotype:protein_coding MINAYAASGLHHEAENIFQDMQKNSHYPDTLTYLALVRAYTEAQEHSKAEEVVHRMQNERILPTCAHFNQIIRAFAREGSIADAKRVYTQMRHEGLEPDLACCRMMMRIYLDYGLVKEGLSFFESVEGFVKPDGFVLSAAVHLYEFMGKQSEAGYILDKIGSHRFVFLQNLRVGSKSINSFERI >DRNTG_06099.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4691990:4694376:1 gene:DRNTG_06099 transcript:DRNTG_06099.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAGIQPSIQTYNTMISVHGQGGKLDKAVEMFTEAQNSGIPVYEKTFTNMIGYYGKAGKTQEASLLFKEMVGNGIRPGKITYDTMINAYAASGLHHEAENIFQDMQKNSHYPDTLTYLALVRAYTEAQEHSKAEEVVHRMQNERILPTCAHFNQIIRAFAREGSIADAKRVYTQMRHEGLEPDLACCRMMMRIYLDYGLVKEGLSFFESVEGFVKPDGFVLSAAVHLYEFMGKQSEAGYILDKIGSHRFVFLQNLRVGSKSINSFERI >DRNTG_06099.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4688522:4694376:1 gene:DRNTG_06099 transcript:DRNTG_06099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLNDDLGGHLYGGHVVAAIKTVRGLAVRREGSYDMREVMSSFVAKLSFREMCTVLKEQRGWRQARDFFAWMKLQLCYQPSVVVYTILLRIYGQVGKIQLAEQIFLEMLEAGCEPDEVACGTMLCAYAKWGRHKDMLLFYSAVRRRDIAPPVAVYNFMLSSLQKQKLHEKVIKVWEQMLEVGVKPNGFTFTVAIGSYVKEELINDALDAFAKMRKSGFIPEEVTYNLLINLTAKHGKGDEALRLYEEMKLQGIVPSNYTFASILALHCKNNDYSKAFSLFKDMDRNSIVPDEVIYGMLVKIYGKLGLYEDAQKTFRDIEKLGLLSDEKTYLAMAQVHLNAGNYDKALDIFDSMKSRGLEFSEFAYRTLLRCFIAQGDLGSSEITFQALNRFGPPDAICCNSLLTLYNKSGSVEKAKSLISQIRKDKVQFDEGLYKTVIETFARQGMVNEMEEMIEEMENVGWTLDKTTMTSLVVMYGEAGALKKAENLLNNLEKPDANSFGVMLCLYLESGNTCKSKEILNSLFESTTGLSVASRLISKFVREGDLVKAEFIYDYSQKQGLRLEDAALASIITCYGRNGQLQKAEAAFASALVSSRIGTNIFSSMIDACCKCGKVDEANNIFRAMIEQGHSDDVVAISILVNALTKHGNYQEAESIIWDSFNQGTELDTVAYNTFIKSMLDAGKLQLSIEIYNRMMSAGIQPSIQTYNTMISVHGQGGKLDKAVEMFTEAQNSGIPVYEKTFTNMIGYYGKAGKTQEASLLFKEMVGNGIRPGKITYDTMINAYAASGLHHEAENIFQDMQKNSHYPDTLTYLALVRAYTEAQEHSKAEEVVHRMQNERILPTCAHFNQIIRAFAREGSIADAKRVYTQMRHEGLEPDLACCRMMMRIYLDYGLVKEGLSFFESVEGFVKPDGFVLSAAVHLYEFMGKQSEAGYILDKIGSHRFVFLQNLRVGSKSINSFERI >DRNTG_08893.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27570427:27576141:1 gene:DRNTG_08893 transcript:DRNTG_08893.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREMGAGLKRKRLEGHNLHEQCSEKKKKKTKSSDCTLTRRPHTNLQWDDRRERVVAKRELWTGCEDPEKSWSEGFIKHQQGSLTNFEGMVKVDPVPREEMPDKTSTSHSKSANNPRYVKISREQDLLLLTIEQRGDGLRSKPLNQGMEGNNACTILPYAVSEEEENKRLRNWLTLANKDIPVAYKDLREKRLQRERWSISLEQKLTGKKKFADAKSILHNDLLEKAVEGTSEHHSITNKSDCDLDFSPNSTAQLEQFTSPNYQPEMGSMSPKNQVDGNMLKSLDNSLLHPQAFGKTVSPNHAWQPEPSIALSQGISPEVGILDSLYQTKAESQMYKSSSSLQHAKSIEEHSYPVIGLHRDSTDHGVGEPVHSFHPNLSTILSSYPQGHINSLTQPALQFDIVNGFASDSGNSSTHFQEQKQFLEYMRRERELYMHHMMNNNDHFSGRHPIEHFPLVDHQGISSLQSPVVGGAASQNWFPVERRGYDGYSGVHLPDVANQHVANYETADSHPFRVMLPSHRSYETANSEQFSQANNFSGSAIQTHDNNYFCAPSLQNSSSGFDAVVVPKNPMRTNTVLSVNFP >DRNTG_08893.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27573598:27574134:1 gene:DRNTG_08893 transcript:DRNTG_08893.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVDPVPREEMPDKTSTSHSKSANNPRYVKISREQDLLLLTIEQRGDGLRSKPLNQGMEGNNACTILPYAVSEEEENKRLRNWFVS >DRNTG_08893.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27570556:27572070:1 gene:DRNTG_08893 transcript:DRNTG_08893.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKREMGAGLKRKRLEGHNLHEQCSEKKKKKTKSSDCTLTRRPHTNLQWDDRRERVVAKREQIAITWRDMASFVDSVPKHHSGPADVFPVPPETFTLENLTDVLSYE >DRNTG_35245.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3487996:3489013:-1 gene:DRNTG_35245 transcript:DRNTG_35245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDENKVNSELRNYMINGFNDVKEMCKTHHCDLRMGAFTLGVNRVARATVLRGWEA >DRNTG_07392.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000337.1:20898:23891:1 gene:DRNTG_07392 transcript:DRNTG_07392.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNTHPFISSSHLGVDLQRKMSKFAVVVLCIMLVLPQQSPKVPPSPGLRAYNHRSYSWRNRS >DRNTG_07392.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000337.1:20898:21413:1 gene:DRNTG_07392 transcript:DRNTG_07392.2 gene_biotype:protein_coding transcript_biotype:protein_coding SNTHPFISSSHLGVDLQRKMSKFAVVVLCIMLVLPQQSPKVPPSPGLRAYNHRSYSWRNRS >DRNTG_33929.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22020431:22023398:1 gene:DRNTG_33929 transcript:DRNTG_33929.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:8-amino-7-oxononanoate synthase [Source:Projected from Arabidopsis thaliana (AT5G04620) UniProtKB/Swiss-Prot;Acc:Q8GW43] MAFMTALGSISSLLAVNGKPSKDERIAIFSDALNHASIIDGIRLAEKQREAEVYVYRHSDMDHLSSLLSSCPLKKKVVVTDSLFSMDGDFALMSDLAKLRNKHHFLLVIDDAHATLVCGRNGGGVPEAYECENDVDICIGTLSKAAGCQGGFIACSHKWKQLIQSRGRSFIFSTALPVPIVAASLASLTVARQEKWRRREVWSRVHDFRSLTLLPITSPIISLIVGNEAAALLASRHMLKSGFHVTAIRPPTVPPDSCRLRITLSAAHTTGDVRKLVTALSRCFKLPVFENNGLMASKL >DRNTG_33929.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22020431:22020711:1 gene:DRNTG_33929 transcript:DRNTG_33929.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:8-amino-7-oxononanoate synthase [Source:Projected from Arabidopsis thaliana (AT5G04620) UniProtKB/Swiss-Prot;Acc:Q8GW43] MAFMTALGSISSLLAVNGKPSKDERIAIFSDALNHASIIDGIRLAEKQREAEVYVYRHSDMDHLSSLLYVLFTICLNLVLC >DRNTG_33929.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22019162:22023398:1 gene:DRNTG_33929 transcript:DRNTG_33929.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:8-amino-7-oxononanoate synthase [Source:Projected from Arabidopsis thaliana (AT5G04620) UniProtKB/Swiss-Prot;Acc:Q8GW43] MILFSGNDYLGLSAHPALRIAASEAARMHGMGPRGSALICGYTNYHMQVEASLAELKNKEDCLLCPTGFSANMAFMTALGSISSLLAVNGKPSKDERIAIFSDALNHASIIDGIRLAEKQREAEVYVYRHSDMDHLSSLLSSCPLKKKVVVTDSLFSMDGDFALMSDLAKLRNKHHFLLVIDDAHATLVCGRNGGGVPEAYECENDVDICIGTLSKAAGCQGGFIACSHKWKQLIQSRGRSFIFSTALPVPIVAASLASLTVARQEKWRRREVWSRVHDFRSLTLLPITSPIISLIVGNEAAALLASRHMLKSGFHVTAIRPPTVPPDSCRLRITLSAAHTTGDVRKLVTALSRCFKLPVFENNGLMASKL >DRNTG_17971.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12362114:12372006:1 gene:DRNTG_17971 transcript:DRNTG_17971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPMGTPVVAAVAVAAFRSASNWWDDVNHSLLWQDRIFHALAALYGLVSVVALIQLVRIECRVPEYGWTTQKVFHFLNFLVNGVRSVVFVFRRNLQQFEPIFQHVLLDLPGLAFFTTYALLVLFWAEIYYQARAVSTDRLRPSFYTINGVVYVIQLALWLLLWWKPVPPVIVLSKIFFAGVSLFAALGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFSCFLVRCIMMCFNAFDKAADLDILDHPILNFLYYLLVEILPSSLVLFILRKLPPKRGITQYHPIH >DRNTG_18183.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29094021:29095018:1 gene:DRNTG_18183 transcript:DRNTG_18183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLKQPMRAPKYRLERHHLDFLCRMKDYLPCRSTFIEKTIAALSSHFTVKPVDKKTITDPIDTKHVSSTKLLTKEDLEAALSSPHESSVVQQLQL >DRNTG_06716.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21149052:21151917:-1 gene:DRNTG_06716 transcript:DRNTG_06716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCLPCRKIVVSTRVLDHLRTDAEIATLLGHEVAHVVARHGAEIATKGLWMDIHFMPRFCCFDHEKIVDALMRKEDLCTRMEMEADHIGLLLMAYAGYDPSVAPRVYEKLTGIKWKTLWHYSSTRPASLERTEQMEAALSVYRGVFASNVCF >DRNTG_20831.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001164.1:688:1394:1 gene:DRNTG_20831 transcript:DRNTG_20831.3 gene_biotype:protein_coding transcript_biotype:protein_coding GNKKNLEITVREIQIRDRGSHVVIHIHDPEAEYVGAGSFQRRRGGHSRRRREGVEKRTTNRFTGEGLGHLQCL >DRNTG_20831.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001164.1:688:1394:1 gene:DRNTG_20831 transcript:DRNTG_20831.2 gene_biotype:protein_coding transcript_biotype:protein_coding GNKKNLEITVREIQIRDRGSHVVIHIHDPEAEYVGAGSFQRRRGGHSRRRREGVEKRTTNRFTGEGLGHLQCL >DRNTG_20831.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001164.1:688:1394:1 gene:DRNTG_20831 transcript:DRNTG_20831.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILITETYSTSLREIQIRDRGSHVVIHIHDPEAEYVGAGSFQRRRGGHSRRRREGVEKRTTNRFTGEGLGHLQCL >DRNTG_20369.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:187352:187799:-1 gene:DRNTG_20369 transcript:DRNTG_20369.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLDSPVGTGFSFSNTSETYVDGGVTSSLRVHKFIRNVF >DRNTG_09878.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:303259:305212:1 gene:DRNTG_09878 transcript:DRNTG_09878.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEMFNPDPYEGLFYQEMENEEVLMLGSTEEVPCTPGILKKVLRKINRVRRHHRKCSKAVRDTCELNKLDAPLLGGRSLTMINPYILRSTQRFTPLHAQLSPSGGSSHRPFTLLWPQRNQGTEVESITSEGKGDAPVPLDSPSQPSPT >DRNTG_22274.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:864427:866779:-1 gene:DRNTG_22274 transcript:DRNTG_22274.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHLORORESPIRATORY REDUCTION 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G39210) UniProtKB/Swiss-Prot;Acc:Q9FL87] MHHVVNREVLYMSQHVKHCVKAFAIRRRRAFMQSETYVLLEPGKSEEFVSEEELKDRLKFLLENWPGNSLPPDLARFNTIDDAVSHLVKSVCELQITGQVGSVQWYQVRLE >DRNTG_22274.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:864427:866779:-1 gene:DRNTG_22274 transcript:DRNTG_22274.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHLORORESPIRATORY REDUCTION 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G39210) UniProtKB/Swiss-Prot;Acc:Q9FL87] MEGLLCRSSLCNGVPNFQVSDEVPNFQGKKLPESCRQFPSSLRHSLPIADNMHHVVNREVLYMSQHVKHCVKAFAIRRRRAFMQSETYVLLEPGKSEEFVSEEELKDRLKFLLENWPGNSLPPDLARFNTIDDAVSHLVKSVCELQITGQVGSVQWYQVRLE >DRNTG_22274.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:864427:866779:-1 gene:DRNTG_22274 transcript:DRNTG_22274.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHLORORESPIRATORY REDUCTION 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G39210) UniProtKB/Swiss-Prot;Acc:Q9FL87] MHHVVNREVLYMSQHVKHCVKAFAIRRRRAFMQSETYVLLEPGKSEEFVSEEELKDRLKFLLENWPGNSLPPDLARFNTIDDAVSHLVKSVCELQITGQVGSVQWYQVRLE >DRNTG_06697.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3476907:3487454:1 gene:DRNTG_06697 transcript:DRNTG_06697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLERVEKPYLQSSSGHNLIKFRPQIPASTSGQDLSSSVDLDVLHLDHLIFSDYLHPTIISSSFPAILDLSVEEFYAVFTRVFNQLDTIVLKGCFGCKNCAEKEKHEQQNIMFQFMMRLCSEFEPTGYHAQNYFKLYPEQLAKFRVAELPHRGRKLLTCYDVGLGYAGLDFCALPLYLYFQVVFGTPPGSVVEVVSLSVIYDSRSSVGPLLHTLWTRQPWSKKGGAGRTAQYIYDGQSERFKIGDNMLAFRPKDITIVMDVPCVGEIVSFRHKGEGVSSEFDIAYLNKMHNWHRDAIKDNLFRLVQGQSGIEEIFVKLLVVFFMTTIFFPNTSLNVPTFVAKYADNLSTIGNYAWAHAIHRWLISMLLSQPMMKKLHLLAVEDEWPRKLMPDRAAEASSSNDDVRGLIYRLLAEFDVLLVHMDESDAKDARRDEPDERTSPVEDVVKRQEDKFRSPSSCTLPLSSMHFKRW >DRNTG_00980.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20858471:20861829:1 gene:DRNTG_00980 transcript:DRNTG_00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEYRCCEAKFFLNIGVIVLLVIFAGLMSGLTLGLMSLSLVDLEVLAKSGTPQDRKHAAKILPVVKKQHLLLCTLLICNAAAMEALPIFLDSLVTAWGAILISVTLILLFGEIIPQSVCSRYGLAIGAAVAPFVRILVWFCFPVAYPISKLLDCLLGEGHVALFRRAELKTLVNLHGNEAGKGGELTHDETTIIAGALELTEKKAKDAMTPLSETFAIDINAKLDRTLMQSILDKGHSRVPVYYDHPTNIIGLILVKNLLTIHPSDEVPVKNVTIRKMPRVPEDMPLYDILNEFQEGHSHMAVVVKQRTPIEQPSGDKQGEDLKLDIAIEKPAEKSVKGSKPIQRWKSFPANSQGSSRGTLKSKKWARDSADVLQINDKPLPKLNEDEEAIGIITMEDLIEELLQEEIFDETDYHEDQS >DRNTG_06062.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4065935:4069703:-1 gene:DRNTG_06062 transcript:DRNTG_06062.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGESRMERDFMGLSGKEVKGEAGGGHQDSGSALQWPFAPQRFISHEHTQQESPIYRGSNQYSAPGYLPVLTRDTCDAKINTELSSQKPFSSESSDKQGAHLFSLQSIHSPNSDSFGALKHEECEIRAYKTLPRHSIPVLIGSPFFAAHASPNGPKLTVTDLKQQPFFRGTTTVNSPAAGSSGAFDPRILSKPTTMTSQLTIFYAGAVNVYNDIPLDKAQAIMFSASKVVSDVVSSRSERLLLPPIGVKMVHGVNTDQSQTQILNHKTSPCPILPSPKSKTLSSSGIANDISQSKATETVVPTRPVIQRAIPQARNASLARFLERRKERVSNVLPYGNPNKTLKGISSLNDNNSSSKPSLFDVASSSNPEHSLESQSPNTKLDI >DRNTG_06062.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4065935:4070089:-1 gene:DRNTG_06062 transcript:DRNTG_06062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGESRMERDFMGLSGKEVKGEAGGGHQDSGSALQWPFAPQRFISHEHTQQESPIYRGSNQYSAPGYLPVLTRDTCDAKINTELSSQKPFSSESSDKQGAHLFSLQSIHSPNSDSFGALKHEECEIRAYKTLPRHSIPVLIGSPFFAAHASPNGPKLTVTDLKQQPFFRGTTTVNSPAAGSSGAFDPRILSKPTTMTSQLTIFYAGAVNVYNDIPLDKAQAIMFSASKVVSDVVSSRSERLLLPPIGVKMVHGVNTDQSQTQILNHKTSPCPILPSPKSKTLSSSGIANDISQSKATETVVPTRPVIQRAIPQARNASLARFLERRKERVSNVLPYGNPNKTLKGISSLNDNNSSSKPSLFDVASSSNPEHSLESQSPNTKLDI >DRNTG_14651.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5867534:5869405:1 gene:DRNTG_14651 transcript:DRNTG_14651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVANEDFQHILRVLNTNVDGKQKIMFALTSIKGIGRRFANICCKKADVDMNKRAGELSAAELENIMTVVANPRQFKIPDWFLNRKKDYKDGRYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >DRNTG_25212.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20143186:20143580:-1 gene:DRNTG_25212 transcript:DRNTG_25212.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENILCYECDSCKAGVLEQMRNAWHKLSIINAVVLLFLVAVYSIAFCAFRNTRRDASGYPFGLGPLSKPRSYSDSYW >DRNTG_25212.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20142863:20144491:-1 gene:DRNTG_25212 transcript:DRNTG_25212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAALLGITVFGFAVTGGGGGAEVEGRNYREYRLEDYSGWLRHQVESTKYWRTARACVVGSKACDKVVTWTPMDYLQRDLTPIQSGCCKPPTTCKYEGGAATPAQDEDCYRWNNMENILCYECDSCKAGVLEQMRNAWHKLSIINAVVLLFLVAVYSIAFCAFRNTRRDASGYPFGLGPLSKPRSYSDSYWWR >DRNTG_25212.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20143186:20144491:-1 gene:DRNTG_25212 transcript:DRNTG_25212.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAALLGITVFGFAVTGGGGGAEVEGRNYREYRLEDYSGWLRHQVESTKYWRTARACVVGSKACDKVVTWTPMDYLQRDLTPIQSGCCKPPTTCKYEGGAATPAQDEDCYRWNNMENILCYECDSCKAGVLEQMRNAWHKLSIINAVVLLFLVAVYSIAFCAFRNTRRDASGYPFGLGPLSKPRSYSDSYW >DRNTG_05337.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18683961:18684942:-1 gene:DRNTG_05337 transcript:DRNTG_05337.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYVWLINNGFFNSFICAYDACFFLLYGLLSWFGPGIISCYVCIGDSLSDVGNNNYLHTTEKCNFPPYGIDYPGGVANARCTNGKNVADFLADKLGMPSPKPFLSLLETRKYHESLLGVNFASSSARILISTYEGLCTSLDNQIDYYSSVFEALVEKMGISHTQRFISSSISMICWCCCSKLSTTLESAIL >DRNTG_30422.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001573.1:188913:192652:1 gene:DRNTG_30422 transcript:DRNTG_30422.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSFPDVSNGSRVLITTRFLNVAKRADPRSTPYQLQLLNDDESMKLLLKKAFPYEDAEANCTNELLDIGLRLMHKCGGLPLALVVLGGLLSIKDKTPVVWRRVLETMDWAAEGRQCQEILELSYEDLPYHMKSCFFYLGAYPEDYEISGNELIWQWIAEGFIPQEERKTMEDTGEAILEELIQRSLIHVNMRKNDGSVKKCGVHDLLLDFARSAAKKDFFLTVCSNENDQPTYLASSRRVAFHNVDDTKINEISRVSTIHGLRTLMAFGLHYPPIDSPIFRFELLRVLDLTELTFVQRLPKKIELMIHLRYLRMGHVSCLPSSVGNFKSLETVILRQGTAISITLWKIKTLKHVQVGWAKPPQSLELKNLLTLENVEFGSYKTINWRFPNLRKLKVFIDKEHRGTMLTHLLSELDHLISLCICAAKDFPIGINTKDFPFHNHLLSLTLFGFWAKGDAVSEFPTCLTKLELMNSRLEQDPMPKLERLQYLVTLKFFGNVYLGETIICSTGGFPSLKSLVIARRSFKDGMLNLEEWRIERGAMPKLAFLELSSCNKLKVFPDLQHVMSLQKLEVFDLSQELMLRLQREAGED >DRNTG_30422.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001573.1:171925:192652:1 gene:DRNTG_30422 transcript:DRNTG_30422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWAAEGRQCQEILELSYEDLPYHMKSCFFYLGAYPEDYEISGNELIWQWIAEGFIPQEERKTMEDTGEAILEELIQRSLIHVNMRKNDGSVKKCGVHDLLLDFARSAAKKDFFLTVCSNENDQPTYLASSRRVAFHNVDDTKINEISRVSTIHGLRTLMAFGLHYPPIDSPIFRFELLRVLDLTELTFVQRLPKKIELMIHLRYLRMGHVSCLPSSVGNFKSLETVILRQGTAISITLWKIKTLKHVQVGWAKPPQSLELKNLLTLENVEFGSYKTINWRFPNLRKLKVFIDKEHRGTMLTHLLSELDHLISLCICAAKDFPIGINTKDFPFHNHLLSLTLFGFWAKGDAVSEFPTCLTKLELMNSRLEQDPMPKLERLQYLVTLKFFGNVYLGETIICSTGGFPSLKSLVIARRSFKDGMLNLEEWRIERGAMPKLAFLELSSCNKLKVFPDLQHVMSLQKLEVFDLSQELMLRLQREAGED >DRNTG_17017.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10509045:10509948:-1 gene:DRNTG_17017 transcript:DRNTG_17017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSEALVLDFWVSPFAMRVKIALEEKGVEYESRHEDDLLGNKSELLLKSNPIHNKVPVLLHGGKPVCESLVILSYIDDAWPQPPFLPSSPYDRSVARFWADYVDKKLFEAGGEIWRAQGEAKEAGKKELFEVLKNLEGTLGENDYFGGETFGFLDIVIIPLTSWFYAYEYLGGFKIEEEFPKLSTWIKRCLQRQSVAKVLPEPAKLLEFVRMIRKMNGLE >DRNTG_14359.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21715804:21718326:-1 gene:DRNTG_14359 transcript:DRNTG_14359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETPGAVGTTASLSLRLGQALFSSASLLFMSVGVEFYSYTAFCFLVTIMGLVIPWSATLAIIDVYSIIAGCPLRLPGVMVIVVVGDWVLSILSLAAACSTASVVDLLVHFDGSYCPAKFCGRYQLSAAMAFLSWFLTAASSLFNLWLVASW >DRNTG_31201.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26904078:26907912:1 gene:DRNTG_31201 transcript:DRNTG_31201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDLKMPSWDLADMEQNAEQGIVPVVAGPSSWPSNQDCSVDLKLGGLGDFGQADRWKVQPRVPAVPSATTSSSSKRSRTPNNAGQIACCLVDGCKSDLSKCRDYHRRHKVCEVHSKTQIVMVGGQEQRFCQQCSRFHLLVEFDEVKRSCRKRLDGHNRRRRKPQPDPLSAANLFTNHQAGIRFTSYPPLFPSATTPGGLAWPGIIKTEQDTMFIDQQSSSFISRQQNLPSSFYINFKEGKKFPFLQDSEIALGHRISLEASGKIIPSSQSSSMKMLPDGINHILDSEGALSLLSSPVQTAAINLSQMVPSDRIPVGQPLVSSLSYSGLGHYSGSQASSSVSPTGFSCSGIDDDHEGNILVSDASDADLPCHGIFPVGGEGSSDGASQALPFWL >DRNTG_31201.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26904078:26907912:1 gene:DRNTG_31201 transcript:DRNTG_31201.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDLKMPSWDLADMEQNAEQGIVPVVAGPSSWPSNQDCSVDLKLGGLGDFGQADRWKVQPRVPAVPSATTSSSSKRSRTPNNAGQIACCLVDGCKSDLSKCRDYHRRHKVCEVHSKTQIVMVGGQEQRFCQQCSRFHLLVEFDEVKRSCRKRLDGHNRRRRKPQPDPLSAANLFTNHQGIRFTSYPPLFPSATTPGGLAWPGIIKTEQDTMFIDQQSSSFISRQQNLPSSFYINFKEGKKFPFLQDSEIALGHRISLEASGKIIPSSQSSSMKMLPDGINHILDSEGALSLLSSPVQTAAINLSQMVPSDRIPVGQPLVSSLSYSGLGHYSGSQASSSVSPTGFSCSGIDDDHEGNILVSDASDADLPCHGIFPVGGEGSSDGASQALPFWL >DRNTG_34711.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:12009576:12013881:1 gene:DRNTG_34711 transcript:DRNTG_34711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGKGTWPWTPPARTDPDHIAPCKLSAWSKLQDNQSVTESTAQCL >DRNTG_09727.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32248906:32251961:1 gene:DRNTG_09727 transcript:DRNTG_09727.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEAVLPQIALIGAGIFARTTYIKNLRQITDRVTLKAIWDLTEEASRALVELARDFAPQVECKWGEEGLNDIMSDDLIIGVAVVVSGQALVDVSLRMIKAGKHVLQEKPAALTVSQGDCALSTYNSIRNNSPYPIWAIAENYRFEPAFVEAKKLMKDVGDVLNIKVTVEGYLSSSNRFFSSGWRRNLSSGFLLDMAVHYIAGLRMIIDSEIKTVSSIARHVNKALPPFDTICTVFQLENGCAGVFAMVVWSVSPKLTWRVDGTKGALQIELNSQYGDFMVSFFSADGQCQTNRYPMMGVIEDLKAFVHDITQAANKDAAAGFKPEERLSYIEGLRDLAVLEAMIESNANNGAQTFVKKF >DRNTG_09727.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32248906:32251961:1 gene:DRNTG_09727 transcript:DRNTG_09727.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEAVLPQIALIGAGIFARTTYIKNLRQITDRVTLKAIWDLTEEASRALVELARDFAPQVECKWGEEGLNDIMSDDLIIGVAVVVSGQALVDVSLRMIKAGKHVLQEKPAALTVSQGDCALSTYNSIRNNSPYPIWAIAENYRFEPAFVEAKKLMKDVGDVLNIKVTVEGYLSSSNRFFSSGWRRNLSSGFLLDMAVHYIAGLRMIIDSEIKTVSSIARHVNKALPPFDTICTVFQLENGCAGVFAMVVWSVSPKLTWRVDGTKGALQIELNSQYGDFMVSFFSADGQCQTNRYPMMGVIEDLKAFVHDITQAANKDAAAGFKPEERLSYIEGLRDLAVLEAMIESNANNGAQTFVKKF >DRNTG_12446.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21000422:21003655:-1 gene:DRNTG_12446 transcript:DRNTG_12446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVCLNKNMRALRQTKEQLQHSVQLLQSIALMLQSTS >DRNTG_09234.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:928827:940068:1 gene:DRNTG_09234 transcript:DRNTG_09234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSSSPGVHVYGIVGERGIGKTTLARKIFNHQTIKDKFQSLPPIWVDVHMNSTFHTIMNSIIDKFGGDSTRTTYWPNLINILNDLIRDKEIFVVLNDVNDSEVWEEMSNLIFKNLGCITNNILVTTRYESVITHEGIYKHKLPLLSEEDGWALMCKLLFHDGEKGNMQHFEQIGKNMVNKCHGLPLSMKTIARILYTKEKNHSEWGKVLENIIVSLEPSNKTLTKPVYLLPYENLSSYIKQCFIFCAFFPEDYIFEKNILIQQWVVVGLVKKPSMPTEEEKGMTQQLLEDVANDYYMELLQSNILHSAAKCLYYDDKAMCRMHGNMRSFGQHLVQNYGYFQGDVEALEKAATSPSSSSVPKLQHLIITNNAPLNVIPNIVKKQTSVRTLIFTSKLKITKLPKDLFQKLKHLRILDISSSDCRVLPKSLFKLLH >DRNTG_07806.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1293866:1298385:1 gene:DRNTG_07806 transcript:DRNTG_07806.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYD1 [Source:Projected from Arabidopsis thaliana (AT3G17810) UniProtKB/TrEMBL;Acc:A0A178VD08] MATSSLTRIAAGGGGMLGCGRTRRCESDLRSGRNLSMRIRAVDDGAAVEPDLSVRVNGLEMPNPFVIGSGPPGTNYTVMKRAFDEGWGAVIAKTVSLDAAKVVNVTPRYARLRTGSNGSAKGQIIGWENIELISDRPLETMLNEFKQLKKEYPDRILIASIMEEYDKAAWQELIERVEETGVDALEINFSCPHGMPERKMGAAVGQDCALLEEVCGWINEKATVPVWAKMTPNITDISQPARIALKSGCEGVAAINTIMSVMGIDLNTLRPEPCVEGYSTPGGYSAKAVHPIALGKVMSIAQMMKSEFSEGDYSLSGIGGVETGGDAAEFILLGSNTVQVCTGVMMHGYGLVKKLCSELKDFMRKHNFSSIEDFRGASLPYFTTHTDLVKRQQEAIKERKAVKKGLQSDRDWTGDGFVKETESMVSN >DRNTG_01436.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:66146:66752:-1 gene:DRNTG_01436 transcript:DRNTG_01436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSHVIWKKVIGRVFVGIDPARCILDRTKDPLRRVEDSPQDHRHDHQGNVQFPLRRDRFYLRSSSYLWS >DRNTG_28186.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20769172:20777183:-1 gene:DRNTG_28186 transcript:DRNTG_28186.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGSNRTIYVGNLPGDIREREVEDLFHKYGPIVDIDLKVPPRPPGYAFIEFEEARDAQDAIRGRDGYNFDGHRLRVEVAHGGRGHSSSIDRHSYRGGGGGRGGVSRRSEYRVRVTGLPSSASWQDLKDHMRLAGDVCFSQVFSESGGTVGIVDYTNYDDMKYAIRKLDDSEFRNAFSRAYIRVKEYKQSLSRSRSRSRSYSRSRSRSNSRSRSRSRSQSKSPKAKSARRSKSRSRSVASRSRSGSRGPSLSGYALSSLLHLYVFLVLEAFV >DRNTG_28186.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20769172:20777183:-1 gene:DRNTG_28186 transcript:DRNTG_28186.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGSNRTIYVGNLPGDIREREVEDLFHKYGPIVDIDLKVPPRPPGYAFIEFEEARDAQDAIRGRDGYNFDGHRLRVEVAHGGRGHSSSIDRHSYRGGGGGRGGVSRRSEYRVRVTGLPSSASWQDLKDHMRLAGDVCFSQVFSESGGTVGIVDYTNYDDMKYAIRKLDDSEFRNAFSRAYIRVKEYKQSLSRSRSRSRSYSRSRSRSNSRSRSRSRSQSKSPKAKSARRSKSRSRSVASRSRSGSRGPSLSG >DRNTG_28186.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20769172:20777183:-1 gene:DRNTG_28186 transcript:DRNTG_28186.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGSNRTIYVGNLPGDIREREVEDLFHKYGPIVDIDLKVPPRPPGYAFIEFEEARDAQDAIRGRDGYNFDGHRLRVEVAHGGRGHSSSIDRHSYRGGGGGRGGVSRRSEYRVRVTGLPSSASWQDLKDHMRLAGDVCFSQVFSESGGTVGIVDYTNYDDMKYAIRKLDDSEFRNAFSRAYIRVKEYKQSLSRSRSRSRSYSRSRSRSNSRSRSRSRSQSKSPKAKSARRSKSRSRSVASRSRSGSRGPSLSG >DRNTG_28186.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20769172:20777183:-1 gene:DRNTG_28186 transcript:DRNTG_28186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGSNRTIYVGNLPGDIREREVEDLFHKYGPIVDIDLKVPPRPPGYAFIEFEEARDAQDAIRGRDGYNFDGHRLRVEVAHGGRGHSSSIDRHSYRGGGGGRGGVSRRSEYRVRVTGLPSSASWQDLKDHMRLAGDVCFSQVFSESGGTVGIVDYTNYDDMKYAIRKLDDSEFRNAFSRAYIRVKEYKQSLSRSRSRSRSYSRSRSRSNSRSRSRSRSQSKSPKAKSARRSKSRSRSVASRSRSGSRGPSLSGSRSRSRSPVASPPRNKRPSKSPARSKSRSLSRSPAAKPEGSQEIGTAEN >DRNTG_35044.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23528070:23528681:1 gene:DRNTG_35044 transcript:DRNTG_35044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGQAPLTTTPRAPPPSWAQIASKVTPTLDSSPLHNPAVLNKLKASTSEFIKVDNDTLSRVRLRFQHSLFGKFFGKPPSFDQVKSILLKKWENLGEIQIFDLHNGFLLIRCPDQTVLQRLLSDGPWTINGIILQLTPWRPFFEPAFTKLTSAAVWVQLHNLPIVSRPDPRDRHVTTSTTIPRRDTHHSTLESSQGSQTPAK >DRNTG_28335.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:123332:126818:1 gene:DRNTG_28335 transcript:DRNTG_28335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISLMVLVTTPLVSACNDCNQQASSPTTFSSPRHHSTTSRRPRRDPPPVVIPPPVVVYPPYNGGSGINAPPSTPSDQKCSIDLLKIGLCIDVLGLVHIGLGNPVENVCCPVLQGLLELEAAACLCTAIRLKVLNLNIYIPLALQALATLWKWPRHNNLSGNLDTANIFLSWLSTDSESHRQKTSDVFMRIKCSLNFLSMCPQMVGFACPAYLFPFCILVCDLFVVQLAVFPHLCGSLKNHEAILNIEGNHQEGEKALNAGRSGVQFNEHPHRGGKGRGHYFPRSRGRGLGRGRSSELGRLEHT >DRNTG_05803.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19141296:19143321:1 gene:DRNTG_05803 transcript:DRNTG_05803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFRKTLAHPPQELNSPTISSKPPRPSKLPDEILTAFNASHPVTAFAATFRGGAALSSAGGDFNRRRLFCALDDIYCVFVGCIDNLSSLIRQYGLCGKITDEALLVLEAYRTLRDRGPYPADQVVKDFQGCFAFVVYDNKTGTVFAALSSDGKIPMYWGIAADGSVVMSDELEIVKGGCGKSFAPFPTGCMFHSDGGLRSFEHPMNKMKAMPRVDSEGMMCGACFKVDTFSKINSMPRVGSAANWASWEDAH >DRNTG_06006.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2524036:2528272:1 gene:DRNTG_06006 transcript:DRNTG_06006.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transfer flavoprotein subunit alpha, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G50940) UniProtKB/Swiss-Prot;Acc:Q9C6I6] MASSSSFGKKYTSKSCGSFGCSPVTDVVEISEPRLFVRPIYAGNALSTVRYTGPDPCMMTIRSTSFPISSFSKDTNSDAVPISQVDLSTFNEETFGKSRWLNLTSQDSERPDLGNARVVVTGGRALKSADNFKLLEKLAEKLGAAVGATRAAVDAGFVPNELQVGQTGKIVAPDLYMAFGVSGAIQHLAGMRDSKVIVAVNKDPDAPIFQVADYGLVADLFDVIPEMLEKLPEKK >DRNTG_06006.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2524427:2528272:1 gene:DRNTG_06006 transcript:DRNTG_06006.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transfer flavoprotein subunit alpha, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G50940) UniProtKB/Swiss-Prot;Acc:Q9C6I6] MASSSSFGKKYTSKSCGSFGCSPVTDVVEISEPRLFVRPIYAGNALSTVRYTGPDPCMMTIRSTSFPISSFSKDTNSDAVPISQVDLSTFNEETFGKSRWLNLTSQDSERPDLGNARVVVTGGRALKSADNFKLLEKLAEKLGAAVGATRAAVDAGFVPNELQVGQTGKIVAPDLYMAFGVSGAIQHLAGMRDSKVIVAVNKDPDAPIFQVADYGLVADLFDVIPEMLEKLPEKK >DRNTG_09598.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2584109:2584917:1 gene:DRNTG_09598 transcript:DRNTG_09598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERFYDPDSGMILLDGVKLQDLKISWLRQQMGLVSQEPVLFNDTIRANIAYGKQGEVSEEEIITVAEAANAHRFMSGLPQGYDTNVGERGAQLSGGQKQRIAIARAIIKDPKILLLDEATSALDAESEHVVQEALQRVMVGRTCIVVAHRLSTIKGADVIAVIKNGVIVEQGKHEELIELRNGAYASLVALHSTSFS >DRNTG_05278.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000239.1:8999:10908:1 gene:DRNTG_05278 transcript:DRNTG_05278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLEKHLTRFLQSTSTRFESVEATLRNHTASLHNLENQVGQIAKYLSERSHGSLPSNTETNPREHVKGITLRSGREVEGRLPIEKPKEHAPEVIEEMFNPDLYEGLFDQEEGHEDVMMLGSTEEVPSTPGILKKSLQVFLRHPVKTHGRVEFSHARVYVLRAHPEKVQRRVAAPVNDHATIIRPCVISSRACEFLQSWADYPESTQGRGVAPVGDLVNHTWAWVISTRPCEIL >DRNTG_08603.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30328890:30329322:1 gene:DRNTG_08603 transcript:DRNTG_08603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKYLGFPGTQKAHPVPGTQKAHQEEREYER >DRNTG_17844.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000917.1:10268:13481:1 gene:DRNTG_17844 transcript:DRNTG_17844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPYTVSYGNLGRTPNQSAWSFSGGVSSPSSSSGAPSSRSLRLMVNLPLRQAA >DRNTG_09273.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21638772:21640948:-1 gene:DRNTG_09273 transcript:DRNTG_09273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLAFDSAMDIGGRISLAMTLKALIELDVLEVMAAAGPGAWLSPEEIASKIQTSNPDAHKVLDRMLRYLAAHKVMTCEVVVGEGDGKSKRRYGLDPVSKFFTKDEDGVSMAPLLLMQLSNGWIYTWANMKHVVSDGIVPFVKTHGMTFYEHVGKNPHIGEMFNKAMFNLTTVLIKKILETYNGFESINVLVDVGGGHGAILSLILSKYPHIKAINFDLPHVVSEAKPIQGVEFVGGDMFESVPSGDAIILKDVLHNWSDAECVKILKNCWKALPDNGKIIIIEHVIPRNIDEAKSAFDYDVVMLTLLAKGKERTENEYQFLVKKSGFSKFKFMCNIYSFSVIVIYK >DRNTG_18267.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8903375:8904770:1 gene:DRNTG_18267 transcript:DRNTG_18267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEELRGQVSEAILDILRSADLTVMTTATVRATVSKHFNIDPSLPDLKIFVKSVVDSFLKSKQGEEEGSNQAEEEEEQENTSHKDEYTANGDLIIYRLTKKRLITLQPYNGTTLVSIREYFTRNGQELPTSKGISLPIDQWKDLKNAIPAIERGIEKLEY >DRNTG_34155.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15194997:15195431:1 gene:DRNTG_34155 transcript:DRNTG_34155.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSFIHLISIRTLKEKESLEVVSKTNQASAMEYLPSRQFMMLVFGVSLLVLPCHCSRSGYSLLQQDQEVGKHDVAHLIISKGGLTESKRSSAPPPPGPGLDKPPAPHYYKSPPPAPPKAISVQPCPEHKRPPPSTCC >DRNTG_34155.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15194997:15206268:1 gene:DRNTG_34155 transcript:DRNTG_34155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSFIHLISIRTLKEKESLEVVSKTNQASAMEYLPSHQFIMLVFVVSLLVLPCHCSRSVNSLLQQDQEVGKHDVAHLSISIGGLTESKRSSAPPPPGPGLDNPP >DRNTG_10652.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:334015:343613:1 gene:DRNTG_10652 transcript:DRNTG_10652.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pantothenate kinase 2 [Source:Projected from Arabidopsis thaliana (AT4G32180) UniProtKB/TrEMBL;Acc:A0A178V4D0] MADNQTNNKTGCESERGDLEENIEDKEDKEGEKEVSAASSNPMQRSSSRPQLDVSRAAIQGDFDDRNPTILLPNQSDDISHLALDIGGSLIKLVYFSRHEENPSDNWRKRAIKQRFGVSNVNRRSYPILGGRLHFVKFETGKLNECLDFISSKQLHHGGIDSPSWNAGIRPVENPIIKATGGGAYKYADVFNERLGVSIDKEDEMNCLVAGANFLLKAIRHEAFTHMDGQKDFVQIDHNDLFPYLLVNIGSGVSMIKVDGDGKFERVSGTNVGGGTYWGLGRLLTKCKSFDELLELSQQGDNSKVDMLVGDIYGGMDYSKIGLSASTIASSFGKAISETKELSDYRPEDISLSLLRMISYNIGQISYLNALWYGLKRIFFGGFFIRGHAYTMDTISFAVQFWSKGQAQAMFLRHEGFLGALGAFMSYEKHGLDDLMAHQLVERFPMGAPYVGGKIHGPPLGDLNEKISWMEKFLQKGTEITAPVPMAPPGTTGMGGFDRPSSKGHMLRSDASAALTIGVLHLVPSLEVFPLLADPKTYEPNTIDLSDRTELEYWFTVLSEHTPDLVDKAVASEGGTDDAKRRGDAFARALSAHLARLIEEPAAYGKLGLANLLELREECLREFQFRDAYISIKQRENEASLTVLPDLLMELDSMNEEDRLLTLVEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSRKKMQRPWRVDDFDKFKERMLGCGEKKFQPYKKALLFVDNSGADIILGMLPLARELLRQGTEVVLVANSLPALNDVTAYELPEIVAEAAKHCDILRKSAEAGGLLVDAMVNAQDGLKASSPSVPLMVVENGCGSPCIDFRQVSSELAAAAKDADLIILEGMGRSLHTNFNAKFKCDALKLAMVKNQRLAVKLFNGKLYDCVCRFEPAAQHS >DRNTG_10652.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:334015:343613:1 gene:DRNTG_10652 transcript:DRNTG_10652.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pantothenate kinase 2 [Source:Projected from Arabidopsis thaliana (AT4G32180) UniProtKB/TrEMBL;Acc:A0A178V4D0] MADNQTNNKTGCESERGDLEENIEDKEDKEGEKEVSAASSNPMQRSSSRPQLDVSRAAIQGDFDDRNPTILLPNQSDDISHLALDIGGSLIKLVYFSRHEENPSDNWRKRAIKQRFGVSNVNRRSYPILGGRLHFVKFETGKLNECLDFISSKQLHHGGIDSPSWNAGIRPVENPIIKATGGGAYKYADVFNERLGVSIDKEDEMNCLVAGANFLLKAIRHEAFTHMDGQKDFVQIDHNDLFPYLLVNIGSGVSMIKVDGDGKFERVSGTNVGGGTYWGLGRLLTKCKSFDELLELSQQGDNSKVDMLVGDIYGGMDYSKIGLSASTIASSFGKAISETKELSDYRPEDISLSLLRMISYNIGQISYLNALWYGLKRIFFGGFFIRGHAYTMDTISFAVQFWSKGQAQAMFLRHEGFLGALGAFMSYEKHGLDDLMAHQLVERFPMGAPYVGGKIHGPPLGDLNEKISWMEKFLQKGTEITAPVPMAPPGTTGMGGFDRPSSKGHMLRSDASAALTIGVLHLVPSLEVFPLLADPKTYEPNTIDLSDRTELEYWFTVLSEHTPDLVDKAVASEGGTDDAKRRGDAFARALSAHLARLIEEPAAYGKLGLANLLELREECLREFQFRDAYISIKQRENEASLTVLPDLLMELDSMNEEDRLLTLVEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSRKKMQRPWRVDDFDKFKERMLGCGEKKFQPYKKALLFVDNSGADIILGMLPLARELLRQGTEVVLVANSLPALNDVTAYELPEIVAEAAKHCDILRKSAEAGGLLVDAMVNAQDGLKASSPSVPLMVVENGCGSPCIDFRQVSSELAAAAKDADLIILEGMGRSLHTNFNAKFKCDALKLAMVKNQRLAVKLFNGKLYDCVCRFEPAAQHS >DRNTG_00632.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22573335:22575089:-1 gene:DRNTG_00632 transcript:DRNTG_00632.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSTSHLVIETSQQISDVPYGDYFKVEGIWDVQQLSGETGCILRVYINVAFSKKTMFRGKIEQSTKDECREVYALWINNV >DRNTG_20699.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17318690:17319344:1 gene:DRNTG_20699 transcript:DRNTG_20699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSKAPTQSPDHHHHLQSSEGLGLNTRSIVLLEKTESLFYLQMLNC >DRNTG_28575.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22987058:22995380:-1 gene:DRNTG_28575 transcript:DRNTG_28575.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQRGLSAVAEGCLELEYLAVYVFDISNAALECIGTFSKNLCDFRLVLLEREERITELPLDNGVRALLRGCTKLRRFALYLRPGGLSDVGLAYIGENSKNIRYMLLGFVGDSDAGLLRFSEGCPDLQKLELRGCCFSERALARAATQLVSLRYIWVQGYNASPNGSGLMVMARPHWNIEFIPPRQVNERPGELPPIDHPAQILAYYSLAGRRTDCPHTVIPLYPHA >DRNTG_28575.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22987058:22995953:-1 gene:DRNTG_28575 transcript:DRNTG_28575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQRGLSAVAEGCLELEYLAVYVFDISNAALECIGTFSKNLCDFRLVLLEREERITELPLDNGVRALLRGCTKLRRFALYLRPGGLSDVGLAYIGENSKNIRYMLLGFVGDSDAGLLRFSEGCPDLQKLELRGCCFSERALARAATQLVSLRYIWVQGYNASPNGSGLMVMARPHWNIEFIPPRQVNERPGELPPIDHPAQILAYYSLAGRRTDCPHTVIPLYPHA >DRNTG_28575.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22987058:22995380:-1 gene:DRNTG_28575 transcript:DRNTG_28575.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQRGLSAVAEGCLELEYLAVYVFDISNAALECIGTFSKNLCDFRLVLLEREERITELPLDNGVRALLRGCTKLRRFALYLRPGGLSDVGLAYIGENSKNIRYMLLGFVGDSDAGLLRFSEGCPDLQKLELRGCCFSERALARAATQLVSLRYIWVQGYNASPNGSGLMVMARPHWNIEFIPPRQVNERPGELPPIDHPAQILAYYSLAGRRTDCPHTVIPLYPHA >DRNTG_28575.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22987058:22995380:-1 gene:DRNTG_28575 transcript:DRNTG_28575.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQRGLSAVAEGCLELEYLAVYVFDISNAALECIGTFSKNLCDFRLVLLEREERITELPLDNGVRALLRGCTKLRRFALYLRPGGLSDVGLAYIGENSKNIRYMLLGFVGDSDAGLLRFSEGCPDLQKLELRGCCFSERALARAATQLVSLRYIWVQGYNASPNGSGLMVMARPHWNIEFIPPRQVNERPGELPPIDHPAQILAYYSLAGRRTDCPHTVIPLYPHA >DRNTG_28575.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22987058:22995380:-1 gene:DRNTG_28575 transcript:DRNTG_28575.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQRGLSAVAEGCLELEYLAVYVFDISNAALECIGTFSKNLCDFRLVLLEREERITELPLDNGVRALLRGCTKLRRFALYLRPGGLSDVGLAYIGENSKNIRYMLLGFVGDSDAGLLRFSEGCPDLQKLELRGCCFSERALARAATQLVSLRYIWVQGYNASPNGSGLMVMARPHWNIEFIPPRQVNERPGELPPIDHPAQILAYYSLAGRRTDCPHTVIPLYPHA >DRNTG_31516.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14108596:14110440:1 gene:DRNTG_31516 transcript:DRNTG_31516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAVAGDFEPLRANDRRSSIGLIFFKFFSVLFLAGALCYLFISNFNSSTPDAKKFSLSFEKQDALNIRPLIKESTVKEKQLKKEVCDLSVGEWIPNHAGPAYTNETCNQIPRYLNCLKNERPDTGYLHWRWKPSACDLPYIDPLKFLNAMRNKSIAFLGASICHNLVVSLTCLLSKVEKARDIFHDSTFSTRTWHYSSHNLTLYVIWAPFLIHYETIDNHGDKSQIDTHLHLDILDSKWTSEYNKFDYVVISGGPDFYRSSIIYENNQVIGCHYCPHLKLRNLATDKIYRRALQLSLKFIATSEHKPFVILRTWSPSHYEDGEFPNERVCSRTMPFREGEISGAPSDLKMRDVEVVEFEKAATIGARNGVRMELLDTYHLSLLRPDGHPGPYGTCHPFDSDKKKKLQNDCLHWCLPGPIDTWNELLLKMLISGDTGGSVSALL >DRNTG_22440.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23428851:23429316:1 gene:DRNTG_22440 transcript:DRNTG_22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKEVELREDSGKEEMKIGPRRPVDMKQH >DRNTG_17763.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:738177:741464:1 gene:DRNTG_17763 transcript:DRNTG_17763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFSTAYPRAGKLDTERKNDDSSLTMGIQISRKRPKLEVQQADKSVSASLLSEPTKLDADSGHSNCQGIVKYVPTGAAQDNVIPGLAAVEGSSTMSDTFNEIGVEDESIQFAQGSFASGACNKTAMVNSHHSPDAMKYRQCSAFIEAKGRQCGRWANDGDIFCCVHLNSRSVGKPNQEEHNTPLEAPMCEGTTTNGNKCKHRARYGSAFCKKHRSQEINDPMVVNDLSYSCVNELKRKHDHSTALEHLPSPVTISEKNFGWAGEAQTSLQENLIPVMVEETLDERNCLMKKSELSSALPSTASATSLDLPLCIGHYGRINGEQCLEVAKRHTLYCEKHLPKFLKRARNGKSRLVSKDVFLDLFRNCSSRKQKLYLHQACELLYGFMKSNLSHQKAVPKDDSMGWVLSEASVDQHVGEYLLKLVSSETEKITRIWGFGADKVNQVPSSKAIVHDLLVHDGDQSETTVRCKICTEKFSDNQKLSLHWTEIHKKELRWLFLEGLLCSICMNSFTNRKVFETHVKEKHGMQFLGHSVLLRCMSCNSHFPSSDQLWQHVLSFHSSELCQQDLSQQQCRVVTEADQPDSELCNKVCQDKSAFEKDEGSQRFVCRFCGLKFDLLPDLGRHHQVAHMSHFTSKRGNNHLRRGRHCYPRLKRSFDAAFRIKNRSNLGTQKQFESSNSVIAARARLQTQASETASLGRLLDSHCSDVALTLFSEIQKTKPRPSNLEILSTARTACCRTSLSAALKLSMVCCQKIFA >DRNTG_03488.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:802052:812552:-1 gene:DRNTG_03488 transcript:DRNTG_03488.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEVQKRFPANPKEYKLYEEVGEGVSATVYRALCVPLNEIVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNVLRAYCSFPADRNLWVVMPYMAGGSCLHIIKSDFPEGFEEPIIATLLREVLKALVYLHGHGHIHRDVKAGNILVDANGAVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFRELVAACLVKDPKKRPASEKLLKHPFFKQARSNEYLKKTILDGLAPLGDRFRALKGRESNFLLQNQAIYEDKEHLSQQEYIRGISGWNFNLEDLKTQAALLDEIHTKDLDKKQKSKDSTDDYDGYICAGQTLSSTTENHGATPKEDGLEDLQDLEGPFSSAFSGRPLQALKACFDVCEDDIDATSPNLKDPLHLSSESISQKQHQSQVGDLETRKVNGENLGKSYSVPKNINVLGRQKFSSGSLVPELVHSSSSNIAGDVERGDSNQKQQHERNYSGPLLYRQIRDFAAASGQEESLEGRLVQRKGRFKVTSADVNAKVASVTNSISNQVGVAANYPVNTASLLPTLHFLLQQNALQREQLVKLIKCVEQSSSRQSETPSVDAGTSDTSQPFQAPNTSRERELQTLLSQLQQSVGSLAEDVQKLKAKNSQLERQIGAFVGKGGKFQIANEVQRSNLDG >DRNTG_03488.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:802052:812552:-1 gene:DRNTG_03488 transcript:DRNTG_03488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEVQKRFPANPKEYKLYEEVGEGVSATVYRALCVPLNEIVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNVLRAYCSFPADRNLWVVMPYMAGGSCLHIIKSDFPEGFEEPIIATLLREVLKALVYLHGHGHIHRDVKAGNILVDANGAVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFRELVAACLVKDPKKRPASEKLLKHPFFKQARSNEYLKKTILDGLAPLGDRFRALKGRESNFLLQNQAIYEDKEHLSQQEYIRGISGWNFNLEDLKTQAALLDEIHTKDLDKKQKSKDSTDDYDGYICAGQTLSSTTENHGATPKEDGLEDLQDLEGPFSSAFSGRPLQALKACFDVCEDDIDATSPNLKDPLHLSSESISQKQHQSQVGDLETRKVNGENLGKSYSVPKNINVLGRQKFSSGSLVPELVHSSSSNIAGDVERGDSNQKQQHERNYSGPLLYRQIRDFAAASGQEESLEGRLVQRKGRFKVTSADVNAKVASVTNSISNQVGVAANYPVNTASLLPTLHFLLQQNALQREQLVKLIKCVEQSSSRQSETPSVDAGTSDTSQQPFQAPNTSRERELQTLLSQLQQSVGSLAEDVQKLKAKNSQLERQIGAFVGKGGKFQIANEVQRSNLDG >DRNTG_11385.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000505.1:29997:34365:-1 gene:DRNTG_11385 transcript:DRNTG_11385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYWVSQGNKWCDFCKIYIANNSLSIRTHELGQRHKDNVNKRIATMRKESAAKEKQKKDAARALQQIEEKAKRSYQKDLASFQEAKNSCGQTVDEENPEWEYDATSGYYYCQDNGHHFDPNTGLFYSNELGKWITQDEAFTSHVSKPGISHSSNTKDGKHLSKKPSSTPGTSSSGAHDITASESKAGPAPGRVVSTTLNPMRFVKGAQSSIAIQKRKREGEKTKAISKEEEAALRAREAAKKRMEEREKPLLGLYRSY >DRNTG_11385.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000505.1:29997:31493:-1 gene:DRNTG_11385 transcript:DRNTG_11385.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKESAAKEKQKKDAARALQQIEEKAKRSYQKDLASFQEAKNSCGQTVDEENPEWEYDATSGYYYCQDNGHHFDPNTGLFYSNELGKWITQDEAFTSHVSKPGISHSSNTKDGKHLSKKPSSTPGTSSSGAHDITASESKAGPAPGRVVSTTLNPMRFVKGAQSSIAIQKRKREGEKTKAISKEEEAALRAREAAKKRMEEREKPLLGLYRSY >DRNTG_06541.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29448710:29449497:1 gene:DRNTG_06541 transcript:DRNTG_06541.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRPANSSASSNRSRHSARIIAQTTVDAKLDAEFEEFGTSFDYSQSIVAHKGSSPDPKKSEKVTAYLQHIQKGKLIQPFGCLLALDEKTFKVIAYSENSPEMLTMV >DRNTG_12769.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16824296:16826478:-1 gene:DRNTG_12769 transcript:DRNTG_12769.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMVSVPLKPTTKNVKKIKKGKKRNGGAFSRKWNPLLMNCSNKYLSWFKQYCKAWAYLMYQ >DRNTG_12769.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16824296:16826478:-1 gene:DRNTG_12769 transcript:DRNTG_12769.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMVSVPLKPTTKNVKKIKKGKKRNGGAFSRKWNPLLMNCSNKYLSWFKQYCKAWAYLMYQ >DRNTG_30262.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5091678:5092214:1 gene:DRNTG_30262 transcript:DRNTG_30262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESNSNSASNSRRCAACKYLRRRCSADCILSPYFPASHPQRFACVHRIFGASNVARMLQILPVHQRAQAAESISFEAHWRAQDPVYGCVRIINMLQHEIYESQQELARTQAQLAMYTARQPTEASSVIAQPQENLILDNSNFLNFQDLHDLI >DRNTG_12785.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2210775:2211461:1 gene:DRNTG_12785 transcript:DRNTG_12785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLINNGGDGDGGDGQNDPSQGTSTKDRRHQGHIFPIPQE >DRNTG_19184.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21371755:21373593:1 gene:DRNTG_19184 transcript:DRNTG_19184.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVSRTSWEQKKKFEEVANNTTLDSIGQELNSEDKHNSDNLKRKKRRREHAASSGEKDSKVEQEKEMKRLESFLFGTIYSPLDFGKEVSEDREEEGLAEPLFIVNKSTNNQIVVHEEELNKEKKPAWIDEEENMTKVDIMKVPRLRKLRKEAGEGLISGTDYVSRLRAQHSRLNPGTEWAHIDRKSERVHDSDNESDDESGITVAPGYERIVSDDILRSNDELVVKDRVKLLPGLLEYSRLMDANGEEPSNGPINSIQFHRNGQLLLTAGLDRRLRFFQVDGKRNTKVQSIFIEDCPIHKASFLPDGSQVILSGRRKFFYSVDLVKASVDKIGPLTGREEKSLEVFEVSNDSSIIAFIGNEGYILLVSTRTKELIGTLKMNGSARSLAFADGGQRLLSSGGDGHVYHWDLRTRTCIHKAVDEGCLAGSSLCTSPDSSLFAAGSTSGIVNIYKEDEFLGGKRKPLKTIESLSTQIDCLKFNPDAQILALCSRMKKNSLRLVHVPSFNIFSNWPPPRSSLQYPRCLDFSPGGGFMAVGNATGKVLLYKLHHYQKA >DRNTG_13971.20.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21545070:1 gene:DRNTG_13971 transcript:DRNTG_13971.20 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_13971.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21546021:1 gene:DRNTG_13971 transcript:DRNTG_13971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_13971.26.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21545070:1 gene:DRNTG_13971 transcript:DRNTG_13971.26 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_13971.19.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21545070:1 gene:DRNTG_13971 transcript:DRNTG_13971.19 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_13971.25 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21545070:1 gene:DRNTG_13971 transcript:DRNTG_13971.25 gene_biotype:protein_coding transcript_biotype:protein_coding MYVFLFTMIFWGEPKCWYGVPGSEANAFEEESGNFVITFLRSYHGGFNFGLNCAEAVNFAPADWLPHGGLGAELYSVYHKPAVLSHEELLYVVAKVAFVLLAFLPSFFCFDNLTIFFFSHFHFIMSFGHQRILCGLAMLEHCNKLSRFTFVVLGTCIYKFGILLIIGLQCFIFQSILFCQLLSLLAYPSH >DRNTG_13971.24.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21545070:1 gene:DRNTG_13971 transcript:DRNTG_13971.24 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_13971.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21545070:1 gene:DRNTG_13971 transcript:DRNTG_13971.15 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_13971.16.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21545070:1 gene:DRNTG_13971 transcript:DRNTG_13971.16 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_13971.33.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21545070:1 gene:DRNTG_13971 transcript:DRNTG_13971.33 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_13971.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21536875:21545070:1 gene:DRNTG_13971 transcript:DRNTG_13971.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_13971.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21545156:1 gene:DRNTG_13971 transcript:DRNTG_13971.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_13971.27.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21545070:1 gene:DRNTG_13971 transcript:DRNTG_13971.27 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_13971.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21545070:1 gene:DRNTG_13971 transcript:DRNTG_13971.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_13971.34.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21545070:1 gene:DRNTG_13971 transcript:DRNTG_13971.34 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_13971.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21545070:1 gene:DRNTG_13971 transcript:DRNTG_13971.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_13971.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21545070:1 gene:DRNTG_13971 transcript:DRNTG_13971.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_13971.30.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21545070:1 gene:DRNTG_13971 transcript:DRNTG_13971.30 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_13971.28.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21545070:1 gene:DRNTG_13971 transcript:DRNTG_13971.28 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_13971.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21545070:1 gene:DRNTG_13971 transcript:DRNTG_13971.12 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_13971.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21545070:1 gene:DRNTG_13971 transcript:DRNTG_13971.14 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_13971.36.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21539035:1 gene:DRNTG_13971 transcript:DRNTG_13971.36 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_13971.23.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21545070:1 gene:DRNTG_13971 transcript:DRNTG_13971.23 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_13971.35 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21545070:1 gene:DRNTG_13971 transcript:DRNTG_13971.35 gene_biotype:protein_coding transcript_biotype:protein_coding MYVFLFTMIFWGEPKCWYGVPGSEANAFEEESGNFVITFLRSYHGGFNFGLNCAEAVNFAPADWLPHGGLGAELYSVYHKPAVLSHEELLYVVAKVAFVLLAFLPSFFCFDNLTIFFFSHFHFIMSFGHQRILCGLAMLEHCNKLSRFTFVVLGTCIYKFGILLIIGLQCFIFQSILFCQLLSLLAYPSH >DRNTG_13971.31.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21545070:1 gene:DRNTG_13971 transcript:DRNTG_13971.31 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_13971.18.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21545070:1 gene:DRNTG_13971 transcript:DRNTG_13971.18 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_13971.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21545070:1 gene:DRNTG_13971 transcript:DRNTG_13971.13 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_13971.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21545070:1 gene:DRNTG_13971 transcript:DRNTG_13971.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_13971.32.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21545070:1 gene:DRNTG_13971 transcript:DRNTG_13971.32 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_13971.37.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21538392:1 gene:DRNTG_13971 transcript:DRNTG_13971.37 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_13971.17.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21545070:1 gene:DRNTG_13971 transcript:DRNTG_13971.17 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_13971.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21546021:1 gene:DRNTG_13971 transcript:DRNTG_13971.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_13971.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21545070:1 gene:DRNTG_13971 transcript:DRNTG_13971.11 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_13971.29.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21545070:1 gene:DRNTG_13971 transcript:DRNTG_13971.29 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_13971.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21546021:1 gene:DRNTG_13971 transcript:DRNTG_13971.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_13971.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21546021:1 gene:DRNTG_13971 transcript:DRNTG_13971.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_13971.22.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21545070:1 gene:DRNTG_13971 transcript:DRNTG_13971.22 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_13971.21.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21537009:21545070:1 gene:DRNTG_13971 transcript:DRNTG_13971.21 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGMLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFSNTLDFISKIRPQIEPFGICRIVPRHRGTLRSFLTARPSLSLPKTRPFTASRTARCLAILRPFISSTRVFLNRILGGDLSGGLCLMGMILIFDGFSTLSSFMVGMRRSVRRSAGGTFLGL >DRNTG_02677.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20737071:20738869:1 gene:DRNTG_02677 transcript:DRNTG_02677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSQRDSTTTLLSPTPPSYRRRSSLRLLLTILAFSATLTLALLLCVFSFSSLIPSFARRSHSTLHRPTVILISSDGFRYGYQFKCPMPNIHRLISNGTEAVPGLIPVFPTLTFPNHYSIVTGLYPESHGIINNFFIDPVTGDAFTKRRHEARWWLGEPLWEIVSNQGFNAAAYFWAGSEVSKGSWHCPAEFCPKYDSSVPFEKRVDDVLSYFDLPIDEIPVFVALYFEEPDSKGHDFGPDHPEITKAVARIDSMLGRLIAGLERRGIFEDVTIILVGDHGMVGTCDQRIIFLDDLSPWIEIPEKWVQSYGPLLAIQPPVNISAAEVVAAMNQGLSSGKVDNGQHLSVYLKEDLPERLHYSSSYRIPPIVGLVDEGYKLVPKRPMSNICGGDHGYDNALLSMRSIFVAHGPQFERGRKVPPFENIQIYNLVTSILGLRGAPNNGSYTFPASILLSDT >DRNTG_02677.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20736945:20738573:1 gene:DRNTG_02677 transcript:DRNTG_02677.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSQRDSTTTLLSPTPPSYRRRSSLRLLLTILAFSATLTLALLLCVFSFSSLIPSFARRSHSTLHRPTVILISSDGFRYGYQFKCPMPNIHRLISNGTEAVPGLIPVFPTLTFPNHYSIVTGLYPESHGIINNFFIDPVTGDAFTKRRHEARWWLGEPLWEIVSNQGFNAAAYFWAGSEVSKGSWHCPAEFCPKYDSSVPFEKRVDDVLSYFDLPIDEIPVFVALYFEEPDSKGHDFGPDHPEITKAVARIDSMLGRLIAGLERRGIFEDVTIILVGDHGMVGTCDQRIIFLDDLSPWIEIPEKWVQSYGPLLAIQPPVNISAAEVVAAMNQGLSSGKVDNGQHLSVYLKEDLPERLHYSSSYRIPPIVGLVDEGYKLVPKRPMSNICGGDHGYDNALLSMRSIFVAHGPQFERGRKVPPFENIQIYNLVTSILGLRGAPNNGSYTFPASILLSDT >DRNTG_02677.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20737071:20738573:1 gene:DRNTG_02677 transcript:DRNTG_02677.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSQRDSTTTLLSPTPPSYRRRSSLRLLLTILAFSATLTLALLLCVFSFSSLIPSFARRSHSTLHRPTVILISSDGFRYGYQFKCPMPNIHRLISNGTEAVPGLIPVFPTLTFPNHYSIVTGLYPESHGIINNFFIDPVTGDAFTKRRHEARWWLGEPLWEIVSNQGFNAAAYFWAGSEVSKGSWHCPAEFCPKYDSSVPFEKRVDDVLSYFDLPIDEIPVFVALYFEEPDSKGHDFGPDHPEITKAVARIDSMLGRLIAGLERRGIFEDVTIILVGDHGMVGTCDQRIIFLDDLSPWIEIPEKWVQSYGPLLAIQPPVNISAAEVVAAMNQGLSSGKVDNGQHLSVYLKEDLPERLHYSSSYRIPPIVGLVDEGYKLVPKRPMSNICGGDHGYDNALLSMRSIFVAHGPQFERGRKVPPFENIQIYNLVTSILGLRGAPNNGSYTFPASILLSDT >DRNTG_32111.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13308630:13310413:-1 gene:DRNTG_32111 transcript:DRNTG_32111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRESPWFVPLRDVNDVSMPRGKVTLPLSESKHRTMYIQVVVVLVQHHTRKLPKNGKGCRPMLFLDNTHLLGRYGDILLGVTRKDGNEGFFHLAFATVDNETDDNWTWLILKLGDALYGDDDTMILLHSFQTGPRALSMLLPRWQVYGLSCKHACVAIMLTGINVHRFIERYHTHVNLFQEAYAIQIFPIPDHDKPRDDNRMLQLRPPITKKRPGRLRL >DRNTG_13130.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000592.1:23927:25392:1 gene:DRNTG_13130 transcript:DRNTG_13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLNLLRFSLQISREYVLKSLGKKWRDYKHDLKTKHFKREESLQANKDKHPSATIRWQWEQLVDFWYSKKGEDSEKLGVASRKQQKYTHTCGSKSFARKEKEMEVTTGKKVGRLELFRATHTKKDGSHMNEETRQIMESANEKLVGYQTIDEDMKMVETHILTQVIGKERCSRVRGVGLGPTPKSYYGGSSTRNSNNSNNQSSEVVERIHQMEREMQKMRDEREQERAQVEQQNAQYNALLTFLQNQFPGVTIPGINNIGPSSSQAQDNSSRDN >DRNTG_27894.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20161621:20162033:1 gene:DRNTG_27894 transcript:DRNTG_27894.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWKAVERVLGPGFSREDCEVKLVGTPLTHQRFLRRNRGTYGPAIEAGKAVFPGHSTPVPQLFCCGDSTFPGIGVPAVAASGAIVANSLVSVAKHSELLDAVGI >DRNTG_27894.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20159503:20162033:1 gene:DRNTG_27894 transcript:DRNTG_27894.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAEWYKPGCSLEYPLHGSGAVVNALVRGLQKFGGRLGLGTHVEKIIVENGRAVGVKLRTGHIVRAKKAVVSNASMWDTLNLLPDTVVPKSYRDKIEATPQCESFMHLHLGFDAKMAHESLGIHHIVVNDWSRGVDADQNVVLISIPSVLSKDLAPAGKHILHAYTPGTEPYKLWEGLDRRSTEYKNLKRERSEVMWKAVERVLGPGFSREDCEVKLVGTPLTHQRFLRRNRGTYGPAIEAGKAVFPGHSTPVPQLFCCGDSTFPGIGVPAVAASGAIVANSLVSVAKHSELLDAVGI >DRNTG_27894.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20158309:20162033:1 gene:DRNTG_27894 transcript:DRNTG_27894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMALALGGALSCFPRLPCFNLSPEKRLVAAGRSSITCRASKSGDSYPFPGKPEADVLVIGSGIGGLCCAGLLARYKQDVLVLESHDLPGGAAHSFDIKGYKFDSGPSLFSGFQSRGPQANPLAQVLDALGESVPCASYDSWMVYIPEGEFLSRIGPTEFLKDLETYVGQNAVNEWNKLLDAIMPISATAMALPPLSIRSDFGVLSTAAARYAPSLLKSLIQMGPQGALGATKLLRPFSEIIDSLDIKDRFIRNWVDLLAFLLAGVKSNGILSAEMIYMFAEWYKPGCSLEYPLHGSGAVVNALVRGLQKFGGRLGLGTHVEKIIVENGRAVGVKLRTGHIVRAKKAVVSNASMWDTLNLLPDTVVPKSYRDKIEATPQCESFMHLHLGFDAKMAHESLGIHHIVVNDWSRGVDADQNVVLISIPSVLSKDLAPAGKHILHAYTPGTEPYKLWEGLDRRSTEYKNLKRERSEVMWKAVERVLGPGFSREDCEVKLVGTPLTHQRFLRRNRGTYGPAIEAGKAVFPGHSTPVPQLFCCGDSTFPGIGVPAVAASGAIVANSLVSVAKHSELLDAVGI >DRNTG_13482.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:17747869:17749609:-1 gene:DRNTG_13482 transcript:DRNTG_13482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRNSAGCSLHPEKTQGRAAAPVNWACECPRPWGISARACGRLDIFLGCPGKPHGRASAPIMAPRSKKQADKRPRESSSEPEGMRFVIPEHQVRYERLSRLRFGQTRFLDTTILRDLQQGDEFADEVEDLVSEGGWRKLLTIREPAIREFTLEVLSSLEFDRVYASFDSLGTIQFRVFGRHHSLSIR >DRNTG_30607.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10052092:10052823:-1 gene:DRNTG_30607 transcript:DRNTG_30607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCKVVILFGQCIVSIIDAQEERKLNVAEMVEVDSMRLVPTIIAMKPLKGREILKS >DRNTG_30578.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001593.1:56029:60032:-1 gene:DRNTG_30578 transcript:DRNTG_30578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTLFSSHLLRRLSPSKFPSIPRNPSIASTPSSQGLNRFGKSLSSMAASSEPKESPANNPGLQTDLDDATKGYFLQQTMFRVKDPKVSLDFYSRVLGMSLLKRLDFPEMKFSLYFLGYENTASAPADPTQRTVWTFGQKATLELTHNWGTESDPDFKGYHNGNSEPRGFGHIGITVDDTYKACERFECLGVEFVKKPDDGKMKGIAFIKDPDGYWIEIFDLNRIGNVTKTAS >DRNTG_08599.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30354237:30356949:-1 gene:DRNTG_08599 transcript:DRNTG_08599.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKDVMSKNVSIYKAQASALEKHAAANCKVLVVANPANTNALILKEFAPSIPEKNISCLTRLDHNRALGQISERLNVQVCDVKNVIIWGNHSSTQYPDVNHATVKTPGGDMPVRQLVADDDWLNEEFITTVQQRGAAIIKARKLSSALSAASAACDHIRDWVLGTPEGTFVSMGVYSDGSYNVPAGLIYSFPVTCCDGEWSIVQGLSIDEFSKKKLDTTAEELSEEKALAYSCLS >DRNTG_08599.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30354237:30355602:-1 gene:DRNTG_08599 transcript:DRNTG_08599.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYSDGSYNVPAGLIYSFPVTCCDGEWSIVQGLSIDEFSKKKLDTTAEELSEEKALAYSCLS >DRNTG_32960.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30841710:30846227:1 gene:DRNTG_32960 transcript:DRNTG_32960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARETSPDIDDELFNEVYGKEYTGPPRPTFGSTTVKAQNKRSSVELQSDEDDGPRDPNAVPTDFTSREAKVWEAKAKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSADFFERVPARDKNVRALFSEKVISQIEKDVGCKIRMDEKFLFVSGRDRLILAKGVDAVHKVIQEGDKDKRKGSASSHRSRSKSPDGNSGGPYLRHAESLRSYNSPRDTSGFQSRNFNHDRIVEDPIRQDQQKFKGSPHARGKFKLFVFKYEVIFCPVLVRH >DRNTG_32960.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30841710:30846141:1 gene:DRNTG_32960 transcript:DRNTG_32960.8 gene_biotype:protein_coding transcript_biotype:protein_coding MARETSPDIDDELFNEVYGKEYTGPPRPTFGSTTVKAQNKRSSVELQSDEDDGPRDPNAVPTDFTSREAKVWEAKAKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSADFFERVPARDKNVRALFSEKVISQIEKDVGCKIRMDEKFLFVSGRDRLILAKGVDAVHKVIQEGDKDKRKGSASSHRSRSKSPDGNSGGPYLRHAESLRSYNSPRDTSGFQSRNFNHDRIVEDPIRQDQQKFKGSPHARGKFKLFVFKYEVIFCPVLVRH >DRNTG_32960.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30841710:30842944:1 gene:DRNTG_32960 transcript:DRNTG_32960.11 gene_biotype:protein_coding transcript_biotype:protein_coding MARETSPDIDDELFNEVYGKEYTGPPRPTFGSTTVKAQNKRSSVELQSDEDDGPRDPNAVPTDFTSREAKVWEAKAKATERNWKKRKEEEMICKICGESGHFTQV >DRNTG_32960.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30841710:30846141:1 gene:DRNTG_32960 transcript:DRNTG_32960.9 gene_biotype:protein_coding transcript_biotype:protein_coding MARETSPDIDDELFNEVYGKEYTGPPRPTFGSTTVKAQNKRSSVELQSDEDDGPRDPNAVPTDFTSREAKVWEAKAKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSADFFERVPARDKNVRALFSEKVISQIEKDVGCKIRMDEKFLFVSGRDRLILAKGVDAVHKVIQEGDKDKRKGSASSHRSRSKSPDGNSGGPYLRHAESLRSYNSPRDTSGFQSRNFNHDRIVEDPIRQDQQKFKGSPHARGKFKLFVFKYEVIFCPVLVRH >DRNTG_32960.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30841710:30846141:1 gene:DRNTG_32960 transcript:DRNTG_32960.7 gene_biotype:protein_coding transcript_biotype:protein_coding MARETSPDIDDELFNEVYGKEYTGPPRPTFGSTTVKAQNKRSSVELQSDEDDGPRDPNAVPTDFTSREAKVWEAKAKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSADFFERVPARDKNVRALFSEKVISQIEKDVGCKIRMDEKFLFVSGRDRLILAKGVDAVHKVIQEGDKDKRKGSASSHRSRSKSPDGNSGGPYLRHAESLRSYNSPRDTSGFQSRNFNHDRIVEDPIRQDQQKFKGSPHARGKFKLFVFKYEVIFCPVLVRH >DRNTG_32960.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30841710:30846294:1 gene:DRNTG_32960 transcript:DRNTG_32960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARETSPDIDDELFNEVYGKEYTGPPRPTFGSTTVKAQNKRSSVELQSDEDDGPRDPNAVPTDFTSREAKVWEAKAKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSADFFERVPARDKNVRALFSEKVISQIEKDVGCKIRMDEKFLFVSGRDRLILAKGVDAVHKVIQEGDKDKRKGSASSHRSRSKSPDGNSGGPYLRHAESLRSYNSPRDTSGFQSRNFNHDRIVEDPIRQDQQKFKGSPHGRNLFFCYSNFYLVIWVMLK >DRNTG_32960.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30841662:30846141:1 gene:DRNTG_32960 transcript:DRNTG_32960.6 gene_biotype:protein_coding transcript_biotype:protein_coding MARETSPDIDDELFNEVYGKEYTGPPRPTFGSTTVKAQNKRSSVELQSDEDDGPRDPNAVPTDFTSREAKVWEAKAKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSADFFERVPARDKNVRALFSEKVISQIEKDVGCKIRMDEKFLFVSGRDRLILAKGVDAVHKVIQEGDKDKRKGSASSHRSRSKSPDGNSGGPYLRHAESLRSYNSPRDTSGFQSRNFNHDRIVEDPIRQDQQKFKGSPHARGKFKLFVFKYEVIFCPVLVRH >DRNTG_32960.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30841710:30846294:1 gene:DRNTG_32960 transcript:DRNTG_32960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARETSPDIDDELFNEVYGKEYTGPPRPTFGSTTVKAQNKRSSVELQSDEDDGPRDPNAVPTDFTSREAKVWEAKAKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSADFFERVPARDKNVRALFSEKVISQIEKDVGCKIRMDEKFLFVSGRDRLILAKGVDAVHKVIQEGDKDKRKGSASSHRSRSKSPDGNSGGPYLRHAESLRSYNSPRDTSGFQSRNFNHDRIVEDPIRQDQQKFKGSPHARAYTNEGGKGQPARSKSPPRPGFGGGSFGSYDDHNRNSGMHAADNWGIERRGAEFHSERKFDYPVYPQTLEELEMEFKREAIEFGRIRDKEEDEENNKHRECMRELKESYMKKLGIMRGMHAKQWEEFLQRDSQRRQQGRHNAYNQPSYSEHDQSVRNVPYMGANLPVDSRNRYPYPGDNYSASRSHDAYHDFHHQRHGDYAKDYGRY >DRNTG_32960.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30841662:30846227:1 gene:DRNTG_32960 transcript:DRNTG_32960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARETSPDIDDELFNEVYGKEYTGPPRPTFGSTTVKAQNKRSSVELQSDEDDGPRDPNAVPTDFTSREAKVWEAKAKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSADFFERVPARDKNVRALFSEKVISQIEKDVGCKIRMDEKFLFVSGRDRLILAKGVDAVHKVIQEGDKDKRKGSASSHRSRSKSPDGNSGGPYLRHAESLRSYNSPRDTSGFQSRNFNHDRIVEDPIRQDQQKFKGSPHARAYTNEGGKGQPARSKSPPRPGFGGGSFGSYDDHNRNSGMHAADNWGIERRGAEFHSERKFDYPVYPQTLEELEMEFKREAIEFGRIRDKEEDEENNKHRECMRELKESYMKKLGIMRGMHAKQWEEFLQRDSQRRQQGRHNAYNQPSYSEHDQSVRNVPYMGANLPVDSRNRYPYPGDNYSASRSHDAYHDFHHQRHGDYAKDYGRY >DRNTG_32960.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30841710:30846141:1 gene:DRNTG_32960 transcript:DRNTG_32960.10 gene_biotype:protein_coding transcript_biotype:protein_coding MARETSPDIDDELFNEVYGKEYTGPPRPTFGSTTVKAQNKRSSVELQSDEDDGPRDPNAVPTDFTSREAKVWEAKAKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSADFFERVPARDKNVRALFSEKVISQIEKDVGCKIRMDEKFLFVSGRDRLILAKGVDAVHKVIQEGDKDKRKGSASSHRSRSKSPDGNSGGPYLRHAESLRSYNSPRDTSGFQSRNFNHDRIVEDPIRQDQQKFKGSPHGRNLFFCYSNFYLVIWVMLK >DRNTG_32960.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30841710:30846227:1 gene:DRNTG_32960 transcript:DRNTG_32960.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARETSPDIDDELFNEVYGKEYTGPPRPTFGSTTVKAQNKRSSVELQSDEDDGPRDPNAVPTDFTSREAKVWEAKAKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSADFFERVPARDKNVRALFSEKVISQIEKDVGCKIRMDEKFLFVSGRDRLILAKGVDAVHKVIQEGDKDKRKGSASSHRSRSKSPDGNSGGPYLRHAESLRSYNSPRDTSGFQSRNFNHDRIVEDPIRQDQQKFKGSPHARAYTNEGGKGQPARSKSPPRPGFGGGSFGSYDDHNRNSGMHAADNWGIERRGAEFHSERKFDYPVYPQTLEELEMEFKREAIEFGRIRDKEEDEENNKHRECMRELKESYMKKLGIMRGMHAKQWEEFLQRDSQRRQQGRHNAYNQPSYSEHDQSVRNVPYMGANLPVDSRNRYPYPGDNYSASRSHDAYHDFHHQRHGDYAKDYGRY >DRNTG_21491.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9566128:9567567:-1 gene:DRNTG_21491 transcript:DRNTG_21491.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQIKADLDKNINSRTNARNSTGTSSSPLCIGFGITNGVRTFVVSDIFSPTRISSDRPTISQ >DRNTG_34392.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5890522:5892324:-1 gene:DRNTG_34392 transcript:DRNTG_34392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKNSRNGGRIYHTFGGKGDAPVPLDPPSQPSPIKLCLTLMIVLVLQ >DRNTG_14431.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000681.1:8567:8822:-1 gene:DRNTG_14431 transcript:DRNTG_14431.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGRRPPAGRTPASSGRTPGSSGRTPASSGRPPASPDVPNGLENLPRRAQWPRKLAPARPMA >DRNTG_20368.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:231349:238779:1 gene:DRNTG_20368 transcript:DRNTG_20368.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGESATAKFRLVHDTETPRQKKGSVDCSVYVMRFIEQLLDDEKLRLPQTDVPYLRLKYVSLILKEGRAAGITAKWECSQAEQEGEHFDLAKKKQVFTYRACTPLEIDCPTNAHSPGEYENWASNNTPFTVDILYDKYGKFNI >DRNTG_28526.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6406437:6407962:-1 gene:DRNTG_28526 transcript:DRNTG_28526.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLRPTFLPPIHSFKIPKLPFIPMSSLRCPSTVCRNASTKIQPPSTPIPSSAYIHLPFCRKRCHYCDFPIVALGSSHSNTATDDPRITNYTNLLLQEINATVTDTNTPSIPLQTIFFGGGTPSLVPPHLVSNILDKLRCKFGIAHNVEISIEMDPGTFDAHKLNELAELGVNRVSLGVQAFQEELLRACGRAHGVREVYEAIDIVTGCTGLENWSMDLISSLPHQTEAMWAESLKCAVSARPTHVSVYDLQVEQGTKFGQLYKPGEFPLPSDNQSANLYKMASETLRNAGYSHYEISSYCKSGYECKHNQTYWQNKPFFGFGLGSASYINGNRFSRPRRMNEYTEYVRKLEDGMIKHDRINCEAKDMAMDVVMLSLRTAIGLDVASFCRLFGECLAVSLCKAFEPFVESGHVVFMDEERVKVSNGSRVAFIRLSDPDGFLLSNELISIAFSVISP >DRNTG_23015.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3166974:3169791:1 gene:DRNTG_23015 transcript:DRNTG_23015.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRVSISCQRCHLCLCLFMFLMFSVLVLHVVCLNEEGLALLSFKASITEDPNGSFANWDSSKQNPCSWNGITCKDSRVVSISFPKERLLGSIASSLGSLASLRHINLRNNRLFGSLPLELFQARGLQSLVLYGNALSGFIPTEIGNLAFLQVLDLSLNTLTGSLPNALINCKRLKTLVLSHNNFTGSLPIGFGNGLNALEKLDLSYNRLNGSIPMDIGNMSKLEGTADFSHNLFSGLIPLSFGDLPEKVYIDLTYNNLSGPIPQNGALVNRGPTAFIGNPLLCGPPLKTPCSNGVPPDGPAMFPTIEGGENEGRISKRTSMSKAIVVAIVVCDLFGIALIALLFFYCYRRAIAMRLNEANGSNDKDLKAMKECLCCMDEDSDTSSENVEQNDLVPLDRHVKFNLDELLKASAFVLGKSEIGIVYKVVLDNGLMLAVRRLGEGGSQRFKEFQTEVEAVGKVRHPNIVTLRAYYWSVEEKLLIYDFIPNGNLSAAIHGRSVPVSFSPMSWAVRLKIMKGIARGLCFLHEFSPKKYVHGDLKPNNILLGPNMEAHISDFGLAHLANIAGGSPMLHSRRIATEKTQNQFSDIAVTPVMKIELCYQAPEALKTLKPSQKWDVYSYGVILLELISGRSPVALLDTSDMDLVRWFQFCIEAKKPLSDVLDPALGQEPEKEDEIISVLKTSLACVQYNPESRPSMRQVTDTLERLAAGL >DRNTG_23015.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3167120:3169791:1 gene:DRNTG_23015 transcript:DRNTG_23015.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRVSISCQRCHLCLCLFMFLMFSVLVLHVVCLNEEGLALLSFKASITEDPNGSFANWDSSKQNPCSWNGITCKDSRVVSISFPKERLLGSIASSLGSLASLRHINLRNNRLFGSLPLELFQARGLQSLVLYGNALSGFIPTEIGNLAFLQVLDLSLNTLTGSLPNALINCKRLKTLVLSHNNFTGSLPIGFGNGLNALEKLDLSYNRLNGSIPMDIGNMSKLEGTADFSHNLFSGLIPLSFGDLPEKVYIDLTYNNLSGPIPQNGALVNRGPTAFIGNPLLCGPPLKTPCSNGVPPDGPAMFPTIEGGENEGRISKRTSMSKAIVVAIVVCDLFGIALIALLFFYCYRRAIAMRLNEANGSNDKDLKAMKECLCCMDEDSDTSSENVEQNDLVPLDRHVKFNLDELLKASAFVLGKSEIGIVYKVVLDNGLMLAVRRLGEGGSQRFKEFQTEVEAVGKVRHPNIVTLRAYYWSVEEKLLIYDFIPNGNLSAAIHGRSVPVSFSPMSWAVRLKIMKGIARGLCFLHEFSPKKYVHGDLKPNNILLGPNMEAHISDFGLAHLANIAGGSPMLHSRRIATEKTQNQFSDIAVTPVMKIELCYQAPEALKTLKPSQKWDVYSYGVILLELISGRSPVALLDTSDMDLVRWFQFCIEAKKPLSDVLDPALGQEPEKEDEIISVLKTSLACVQYNPESRPSMRQVTDTLERLAAGL >DRNTG_23015.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3168963:3169791:1 gene:DRNTG_23015 transcript:DRNTG_23015.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAVRLKIMKGIARGLCFLHEFSPKKYVHGDLKPNNILLGPNMEAHISDFGLAHLANIAGGSPMLHSRRIATEKTQNQFSDIAVTPVMKIELCYQAPEALKTLKPSQKWDVYSYGVILLELISGRSPVALLDTSDMDLVRWFQFCIEAKKPLSDVLDPALGQEPEKEDEIISVLKTSLACVQYNPESRPSMRQVTDTLERLAAGL >DRNTG_23015.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3167120:3169823:1 gene:DRNTG_23015 transcript:DRNTG_23015.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRVSISCQRCHLCLCLFMFLMFSVLVLHVVCLNEEGLALLSFKASITEDPNGSFANWDSSKQNPCSWNGITCKDSRVVSISFPKERLLGSIASSLGSLASLRHINLRNNRLFGSLPLELFQARGLQSLVLYGNALSGFIPTEIGNLAFLQVLDLSLNTLTGSLPNALINCKRLKTLVLSHNNFTGSLPIGFGNGLNALEKLDLSYNRLNGSIPMDIGNMSKLEGTADFSHNLFSGLIPLSFGDLPEKVYIDLTYNNLSGPIPQNGALVNRGPTAFIGNPLLCGPPLKTPCSNGVPPDGPAMFPTIEGGENEGRISKRTSMSKAIVVAIVVCDLFGIALIALLFFYCYRRAIAMRLNEANGSNDKDLKAMKECLCCMDEDSDTSSENVEQNDLVPLDRHVKFNLDELLKASAFVLGKSEIGIVYKVVLDNGLMLAVRRLGEGGSQRFKEFQTEVEAVGKVRHPNIVTLRAYYWSVEEKLLIYDFIPNGNLSAAIHGRSVPVSFSPMSWAVRLKIMKGIARGLCFLHEFSPKKYVHGDLKPNNILLGPNMEAHISDFGLAHLANIAGGSPMLHSRRIATEKTQNQFSDIAVTPVMKIELCYQAPEALKTLKPSQKWDVYSYGVILLELISGRSPVALLDTSDMDLVRWFQFCIEAKKPLSDVLDPALGQEPEKEDEIISVLKTSLACVQYNPESRPSMRQVTDTLERLAAGL >DRNTG_23015.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3166974:3169823:1 gene:DRNTG_23015 transcript:DRNTG_23015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRVSISCQRCHLCLCLFMFLMFSVLVLHVVCLNEEGLALLSFKASITEDPNGSFANWDSSKQNPCSWNGITCKDSRVVSISFPKERLLGSIASSLGSLASLRHINLRNNRLFGSLPLELFQARGLQSLVLYGNALSGFIPTEIGNLAFLQVLDLSLNTLTGSLPNALINCKRLKTLVLSHNNFTGSLPIGFGNGLNALEKLDLSYNRLNGSIPMDIGNMSKLEGTADFSHNLFSGLIPLSFGDLPEKVYIDLTYNNLSGPIPQNGALVNRGPTAFIGNPLLCGPPLKTPCSNGVPPDGPAMFPTIEGGENEGRISKRTSMSKAIVVAIVVCDLFGIALIALLFFYCYRRAIAMRLNEANGSNDKDLKAMKECLCCMDEDSDTSSENVEQNDLVPLDRHVKFNLDELLKASAFVLGKSEIGIVYKVVLDNGLMLAVRRLGEGGSQRFKEFQTEVEAVGKVRHPNIVTLRAYYWSVEEKLLIYDFIPNGNLSAAIHGRSVPVSFSPMSWAVRLKIMKGIARGLCFLHEFSPKKYVHGDLKPNNILLGPNMEAHISDFGLAHLANIAGGSPMLHSRRIATEKTQNQFSDIAVTPVMKIELCYQAPEALKTLKPSQKWDVYSYGVILLELISGRSPVALLDTSDMDLVRWFQFCIEAKKPLSDVLDPALGQEPEKEDEIISVLKTSLACVQYNPESRPSMRQVTDTLERLAAGL >DRNTG_00785.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:10412566:10417551:1 gene:DRNTG_00785 transcript:DRNTG_00785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNLRNGGRIHKVTNKNSQPYCHSRGNVHTIKHSWLENQRNRM >DRNTG_32168.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30909314:30913154:1 gene:DRNTG_32168 transcript:DRNTG_32168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALGTLSSLPMLFSPSPHLARRLSFAAARCFPPSPTPLSVPRFPIRRRAVILQVRAIASPTESVAGFEDMVSSTQRKYYMLGGKGGVGKTSCAASLAVKFANHGHPTIVVSTDPAHSLSDSFGQDLSGGTLVPVDGVDSPLFALEINPEKAREEFRSASQKSGGTGVKDFMDSMGLGMLVEQLGELKLGELLDTPPPGLDEAIAISKVMQFVEAQEFNMFSRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLKKKLTSATSAIKSVFGQEEQPAQDASDKLEQLRERMAKVRELFRDNESTEFVIVTIPTVMAVSESSRLHASLKKENVPVRRLVVNQILPPSSSDCKFCAMKRKDQMRALDMIQNDPELSSLRIIESPLVDVEIRGVPALRFMGDMVWK >DRNTG_20813.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22116998:22118632:-1 gene:DRNTG_20813 transcript:DRNTG_20813.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPIIDFSKSETDKDSTISRERE >DRNTG_20541.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001128.1:44281:47073:1 gene:DRNTG_20541 transcript:DRNTG_20541.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIGGRVHGLGKNCSDEIYLKLRTSTEILSKQWYICGNVLTEADVRLFVTLIRFDEVYVDHFKCNKKLIREYPNLFNYTKDIYQTKGMNSSVNMEHIMFDSLLSSFSSSIAMVNEGILPTSMSKTSSFSHHLWPLKASPSTPMAKAPTLASLMAIYSNGEAVVLVGKSLPPLQTSGQVTMIA >DRNTG_20541.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001128.1:44281:47073:1 gene:DRNTG_20541 transcript:DRNTG_20541.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIGGRVHGLGKNCSDEIYLKLRTSTEILSKQWYICGNVLTEADVRLFVTLIRFDEVYVDHFKCNKKLIREYPNLFNYTKDIYQTKGMNSSVNMEHIMFDSLLSSFSSSIAMVNEGILPTSMSKTSSFSHHLWPLKASPSTPMAKAPTLASLMAIYSNGEAVVLVGKSLPPLQTSGQVTMIA >DRNTG_20541.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001128.1:44281:47073:1 gene:DRNTG_20541 transcript:DRNTG_20541.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIGGRVHGLGKNCSDEIYLKLRTSTEILSKQWYICGNVLTEADVRLFVTLIRFDEVYVDHFKCNKKLIREYPNLFNYTKDIYQTKGMNSSVNMEHIMFDSLLSSFSSSIAMVNEGILPTSMSKTSSFSHHLWPLKASPSTPMAKAPTLASLMAIYSNGEAVVLVGKSLPPLQTSGQVTMIA >DRNTG_20541.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001128.1:44281:47472:1 gene:DRNTG_20541 transcript:DRNTG_20541.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIGGRVHGLGKNCSDEIYLKLRTSTEILSKQWYICGNVLTEADVRLFVTLIRFDEVYVDHFKCNKKLIREYPNLFNYTKDIYQTKGMNSSVNMEHIMFDSLLSSFSSSIAMVNEGILPTSMSKTSSFSHHLWPLKASPSTPMAKAPTLASLMAIYSNGEAVVLVGKSLPPLQTSGIYIYIYIIQIHI >DRNTG_20541.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001128.1:44281:47073:1 gene:DRNTG_20541 transcript:DRNTG_20541.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIGGRVHGLGKNCSDEIYLKLRTSTEILSKQWYICGNVLTEADVRLFVTLIRFDEVYVDHFKCNKKLIREYPNLFNYTKDIYQTKGILPTSMSKTSSFSHHLWPLKASPSTPMAKAPTLASLMAIYSNGEAVVLVGKSLPPLQTSGQVTMIA >DRNTG_20541.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001128.1:44281:47073:1 gene:DRNTG_20541 transcript:DRNTG_20541.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIGGRVHGLGKNCSDEIYLKLRTSTEILSKQWYICGNVLTEADVRLFVTLIRFDEVYVDHFKCNKKLIREYPNLFNYTKDIYQTKGMNSSVNMEHIMFDSLLSSFSSSIAMVNEGI >DRNTG_20541.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001128.1:44281:47073:1 gene:DRNTG_20541 transcript:DRNTG_20541.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIGGRVHGLGKNCSDEIYLKLRTSTEILSKQWYICGNVLTEADVRLFVTLIRFDEVYVDHFKCNKKLIREYPNLFNYTKDIYQTKGMNSSVNMEHIMFDSLLSSFSSSIAMVNEGI >DRNTG_20541.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001128.1:44281:47073:1 gene:DRNTG_20541 transcript:DRNTG_20541.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIGGRVHGLGKNCSDEIYLKLRTSTEILSKQWYICGNVLTEADVRLFVTLIRFDEVYVDHFKCNKKLIREYPNLFNYTKDIYQTKGILPTSMSKTSSFSHHLWPLKASPSTPMAKAPTLASLMAIYSNGEAVVLVGKSLPPLQTSGQVTMIA >DRNTG_07165.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31697564:31699946:1 gene:DRNTG_07165 transcript:DRNTG_07165.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALMLPILEIAGVATRLAGARVCASGRVKDPETRVGRDSDPLRRLGISPLFPTPLLCVSSPPSPSLREKQENDGGGDKGDYYVNMGYAIRTLREELPEMFYREPCFDIYRDDVVFKDPVNAFTGIDNYKLIFSALRFSGQLCFKALWVEIVSIWQPMENIIMIRWIAHGVPRVPWERRSRLDGTSKYKLDKKGKIFEHRVDNVALNSPPKFKVQAVEEMLHSLGCPSTPKPTFFKALFSATPYMAVMLRFTWVRLYLALCLTLAWRYVGEE >DRNTG_07165.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31697564:31700035:1 gene:DRNTG_07165 transcript:DRNTG_07165.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALMLPILEIAGVATRLAGARVCASGRVKDPETRVGRDSDPLRRLGISPLFPTPLLCVSSPPSPSLREKQENDGGGDKGDYYVNMGYAIRTLREELPEMFYREPCFDIYRDDVVFKDPVNAFTGIDNYKLIFSALRFSGQLCFKALWVEIVSIWQPMENIIMIRWIAHGVPRVPWERRSRLDGTSKYKLDKKGKIFEHRVDNVALNSPPKFKVQAVEEMLHSLGCPSTPKPTFFKALFSATPYMAVMLRFTWVRLYLALCLTLAWRYVGEE >DRNTG_07165.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31697508:31700035:1 gene:DRNTG_07165 transcript:DRNTG_07165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMLPILEIAGVATRLAGARVCASGRVKDPETRVGRDSDPLRRLGISPLFPTPLLCVSSPPSPSLREKQENDGGGDKGDYYVNMGYAIRTLREELPEMFYREPCFDIYRDDVVFKDPVNAFTGIDNYKLIFSALRFSGQLCFKALWVEIVSIWQPMENIIMIRWIAHGVPRVPWERRSRLDGTSKYKLDKKGKIFEHRVDNVALNSPPKFKVQAVEEMLHSLGCPSTPKPTFFKALFSATPYMAVMLRFTWVRLYLALCLTLAWRYVGEE >DRNTG_07165.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31697436:31699946:1 gene:DRNTG_07165 transcript:DRNTG_07165.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALMLPILEIAGVATRLAGARVCASGRVKDPETRVGRDSDPLRRLGISPLFPTPLLCVSSPPSPSLREKQENDGGGDKGDYYVNMGYAIRTLREELPEMFYREPCFDIYRDDVVFKDPVNAFTGIDNYKLIFSALRFSGQLCFKALWVEIVSIWQPMENIIMIRWIAHGVPRVPWERRSRLDGTSKYKLDKKGKIFEHRVDNVALNSPPKFKVQAVEEMLHSLGCPSTPKPTFFKALFSATPYMAVMLRFTWVRLYLALCLTLAWRYVGEE >DRNTG_07165.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31697508:31699946:1 gene:DRNTG_07165 transcript:DRNTG_07165.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALMLPILEIAGVATRLAGARVCASGRVKDPETRVGRDSDPLRRLGISPLFPTPLLCVSSPPSPSLREKQENDGGGDKGDYYVNMGYAIRTLREELPEMFYREPCFDIYRDDVVFKDPVNAFTGIDNYKLIFSALRFSGQLCFKALWVEIVSIWQPMENIIMIRWIAHGVPRVPWERRSRLDGTSKYKLDKKGKIFEHRVDNVALNSPPKFKVQAVEEMLHSLGCPSTPKPTFFKALFSATPYMAVMLRFTWVRLYLALCLTLAWRYVGEE >DRNTG_10904.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000477.1:61976:63060:1 gene:DRNTG_10904 transcript:DRNTG_10904.2 gene_biotype:protein_coding transcript_biotype:protein_coding METYTVKVEESRPASGERPSAGPVYRCIYAKDGLLDPPSGIESPWEFFCGSVKLYPQNQMLGRREVNDGQGMFLFFCLFILLFPC >DRNTG_10904.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000477.1:61976:63060:1 gene:DRNTG_10904 transcript:DRNTG_10904.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYTVKVEESRPASGERPSAGPVYRCIYAKDGLLDPPSGIESPWEFFCGSVKLYPQNQMLGRREVNDGQGMFLFFCLFILLFPC >DRNTG_08364.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4205700:4207405:1 gene:DRNTG_08364 transcript:DRNTG_08364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKERSEERQKEKPSEIQAPKPPRASNHHHE >DRNTG_03083.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:402246:404839:1 gene:DRNTG_03083 transcript:DRNTG_03083.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FH [Source:Projected from Arabidopsis thaliana (AT4G03240) UniProtKB/TrEMBL;Acc:A0A178V3B4] MVAFAPSLWSSSFSKFFSSSGTSPVVDAQGPAAIDYQSILEENEFHQLADDTIHDLQEKFEEYGDSVQIDGFDIDYGNQVLTVKFGSLGTYVVNKQTPNRQIWLSSPVSGPSRFDWDAKTNSWVYRRTKANLIKVLENEVEELCGKPICLS >DRNTG_20684.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:7879515:7883023:1 gene:DRNTG_20684 transcript:DRNTG_20684.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLKPSNDPPVLSLDNSQPKLFPWRLKNFLRHPEKTHGHMEFPHARGFALRAHPEKAHGRAAAPVNYHVTVTRPWQSTVATLFTAGRENYRTENPHGDVKIPHARVKKSTGASTVACGYQIPALFQADSTPISGFFSPSFPQLERGFRLGFERYCLGFWRGSTALTSSSHWKKLGRGASVEAYPIPDKGIFGRRVEDFPQDHQHDYRGGFLWIHFFYILFL >DRNTG_18039.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7807931:7809580:-1 gene:DRNTG_18039 transcript:DRNTG_18039.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDVCTTVALVIDRVTKSKDMALVEEEKILCSTLDQVLIVENMMRNQNLELKRQTVILQAKFEIVYRIKTISFISRLAHLNECLLHAAGGKQTF >DRNTG_03702.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8148710:8152715:1 gene:DRNTG_03702 transcript:DRNTG_03702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSMAASTFFPASSSSLGQPVKSSKPFSGGPDSVDVRGIVAKPASSSGGLKVKTNAQSSPKINGTKVSLRKDGQMAEDDTLSSAPRTFYNQLPDWSMLLAAIITIFLAAEKQLTLLDWKPKRPDMLSDAFGFGMTVHNGLVFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVKSAGLLGDGFGATPEMSRRNLIWVVTKMQVLVERYPIWGDVVEVDTWVGASGKNGMRKDWHVRDPLTGETIMEATSVWVMMNKLTRRLTKIPEEVRGEIEPYFVERTIIVDEDGRKLPKLDNDTADYVRKGLTPHWCDLDVNQHVNNVKYIGWMLESAPISILENYELSSMTLEYRRECGRDSVVQSLTAVSTNYSDNPHDAAVECKHLLRLECGAEIVRGRTQWRPKQAHQLREAGFMPIRSS >DRNTG_33220.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:448243:457816:-1 gene:DRNTG_33220 transcript:DRNTG_33220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHGCIKPVPHDTSEDDDEPIVFRRTSTATKQNPPSSASKISAQKNDRPLGRSAPGHSMSNGQSSNLLKSNVASSSKPTGRSAAENLNSQSSKSNAPKHSSEQRLASDETNNLAKTYMNDKSGVENSDDSDDDKPLTSRLNTVFMSLQKSDSVNNSCSKSANFGHSDNGRSLSSKYSTDGSMHSTKAFESQKTKTSQTEKMNVDIKKQSNDLENEKTLLSKLGSKAGSSGSSVKNESTSNEKPLNSKVRQNGSVKGDSKSEVKLHKNLSKRPLDEVNDSGDPLAKKAKVSATFPATVKHESIIKELKAEDDDEDDHIPISQRINKSVTSDNPSIKKVVKKIMPSSLKKGSKKIQKTMKNSKYSKSLKVPPGSGGGQKWTTLEHNGVIFPPPYKPHGIKMLYNGQPVSLTPEQEEVATMFAVMKDTDYATKPKFIENFMNDWRQILGKTHVIKKFELCDFTPLYEWHLREKEKKKQMTSEEKKALKEEKLKQEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKKRIRPRDITINIGKGAPVPECPIHGERWKEIKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARLLKDYIRGIRATYTKDFNSKDATKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVENVTLVPPNKLQFDFLGKDSIRYFNTVEVELPVYKAIGDFQNAKKSSGGKKVGGDDLFDLLDTSKLNAHLKELMPGLTAKVFRTYNASITLDDMLNRETKDGTLPEKIAVYQLANKEVAIICNHQRSVSKSHDAQMTRLNEKIDELKAQQEELKVDLSRARKGKPPLKDAEGKPKKNLSSEVLEKKLAQIDAKIEKMELDKKTKEDLKTVALGTSKINYLDPRISVAWCKRHEVPIEKIFNKSLLAKFAWAMDVDPSFRF >DRNTG_33220.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:448243:456492:-1 gene:DRNTG_33220 transcript:DRNTG_33220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHGCIKPVPHDTSEDDDEPIVFRRTSTATKQNPPSSASKISAQKNDRPLGRSAPGHSMSNGQSSNLLKSNVASSSKPTGRSAAENLNSQSSKSNAPKHSSEQRLASDETNNLAKTYMNDKSGVENSDDSDDDKPLTSRLNTVFMSLQKSDSVNNSCSKSANFGHSDNGRSLSSKYSTDGSMHSTKAFESQKTKTSQTEKMNVDIKKQSNDLENEKTLLSKLGSKAGSSGSSVKNESTSNEKPLNSKVRQNGSVKGDSKSEVKLHKNLSKRPLDEVNDSGDPLAKKAKVSATFPATVKHESIIKELKAEDDDEDDHIPISQRINKSVTSDNPSIKKVVKKIMPSSLKKGSKKIQKTMKNSKYSKSLKVPPGSGGGQKWTTLEHNGVIFPPPYKPHGIKMLYNGQPVSLTPEQEEVATMFAVMKDTDYATKPKFIENFMNDWRQILGKTHVIKKFELCDFTPLYEWHLREKEKKKQMTSEEKKALKEEKLKQEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKKRIRPRDITINIGKGAPVPECPIHGERWKEIKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARLLKDYIRGIRATYTKDFNSKDATKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVENVTLVPPNKLQFDFLGKDSIRYFNTVEVELPVYKAIGDFQNAKKSSGGKKVGGDDLFDLLDTSKLNAHLKELMPGLTAKVFRTYNASITLDDMLNRETKDGTLPEKIAVYQLANKEVAIICNHQRSVSKSHDAQMTRLNEKIDELKAQQEELKVDLSRARKGKPPLKDAEGKPKKNLSSEVLEKKLAQIDAKIEKMELDKKTKEDLKTVALGTSKINYLDPRISVAWCKRHEVPIEKIFNKSLLAKFAWAMDVDPSFRF >DRNTG_33220.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:448243:457816:-1 gene:DRNTG_33220 transcript:DRNTG_33220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHGCIKPVPHDTSEDDDEPIVFRRTSTATKQNPPSSASKISAQKNDRPLGRSAPGHSMSNGQSSNLLKSNVASSSKPTGRSAAENLNSQSSKSNAPKHSSEQRLASDETNNLAKTYMNDKSGVENSDDSDDDKPLTSRLNTVFMSLQKSDSVNNSCSKSANFGHSDNGRSLSSKYSTDGSMHSTKAFESQKTKTSQTEKMNVDIKKQSNDLENEKTLLSKLGSKAGSSGSSVKNESTSNEKPLNSKVRQNGSVKGDSKSEVKLHKNLSKRPLDEVNDSGDPLAKKAKVSATFPATVKHESIIKELKAEDDDEDDHIPISQRINKSVTSDNPSIKKVVKKIMPSSLKKGSKKIQKTMKNSKYSKSLKVPPGSGGGQKWTTLEHNGVIFPPPYKPHGIKMLYNGQPVSLTPEQEEVATMFAVMKDTDYATKPKFIENFMNDWRQILGKTHVIKKFELCDFTPLYEWHLREKEKKKQMTSEEKKALKEEKLKQEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKKRIRPRDITINIGKGAPVPECPIHGERWKEIKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARLLKDYIRGIRATYTKDFNSKDATKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVENVTLVPPNKLQFDFLGKDSIRYFNTVEVELPVYKAIGDFQNAKKSSGGKKVGGDDLFDLLDTSKLNAHLKELMPGLTAKVFRTYNASITLDDMLNRETKDGTLPEKIAVYQLANKEVAIICNHQRSVSKSHDAQMTRLNEKIDELKAQQEELKVDLSRARKGKPPLKDAEGKPKKNLSSEVLEKKLAQIDAKIEKMELDKKTKEDLKTVALGTSKINYLDPRISVAWCKRHEVPIEKIFNKSLLAKFAWAMDVDPSFRF >DRNTG_08241.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:6214:7839:-1 gene:DRNTG_08241 transcript:DRNTG_08241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTMIVVKAPDGFVNPSDITPSSLRVRKANLVQWSDFNEWTNTPKAFHDLGKLTPNGFERNGENQVILLNPCESVATNLNLNTLGYQVNADPTTIVWNGRIPFHKYASHPAGYEQWAFEVIKKSSEALKQVGILGAVAVSTGTYNFDPSIIKGLVEAWCPNTNTFITPFGEIGISLWDLKCIGGLPIAGDLYEEYVPPNKDLYSKDTYSTTLQDLVKIYQWIHFYASGKTKKVPLSLWVEFFFKESHGKGKFVGDEAHPIPPASDECKLAAFLSLWLCCFIMPRKGSLIRPETFLMATKLASGMRIALAPAVLGYINTSLDAMALHPKGPCHASVYLPCHFFYGWIDAHLEGTYSRLNKPDDYIRNINGLREIPSLAYISGVKASTFTLKRARNILRMEEYLKWRPFTCSDFKNEGWYEDHKEPNDDNKLGTAQAEYFISLRQSILPLRLGNTLYAQQYNPHRFARQFGYDQGFPTEIQEKNRSTILHSVSPAQRTTSVLVCTLLVS >DRNTG_12215.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12983078:12985179:1 gene:DRNTG_12215 transcript:DRNTG_12215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGINVIFYHLSLTIVMSIYNYFFQCHDQMDNIRSTATSEDSMVNEWGIYRDVIGELSHGRVLGLGMTIQGKDVYGSSSSQTCSKRCKEIQKMKEKEWEDCFKQMESTIDKLQQQVHVMVQAMLQSLGLSNIQLATQDEDDDDDDDNDDDDDDDDDNDDDDDDDDDDDDDDDDDDDDDDDDDDDLNL >DRNTG_20394.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19317580:19318182:-1 gene:DRNTG_20394 transcript:DRNTG_20394.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDSGSNLGYAFVNFTSAAAARRLYRAYQNKAWDTVLGSRKICEVTYARIQGLLPLQKRFKNSIFICDSDDYLPVYFTPSRNGDR >DRNTG_17022.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10654711:10668186:1 gene:DRNTG_17022 transcript:DRNTG_17022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGARPSPAPLSLRLSTNILASPWFIATSSFINGGSSCDSLPIPHTALVGEIVTVLAAALNTESSGTSSPEQSCGISSLAASEALSFHKLAFLLISTPSSPSPMTNSKHLTSSSPWAPKLIKLFSLFFTSGVGLGGGQLRGV >DRNTG_25432.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24695557:24697031:1 gene:DRNTG_25432 transcript:DRNTG_25432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQQAPPVGVPPPQGYPPEGYAKDAYPPPGYPPQGYAQGYPPQGYPPQQAYPPQYAQPPPQQQQQSSGPSFMEGCLAALCCCCLLEACF >DRNTG_10035.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1789630:1791648:-1 gene:DRNTG_10035 transcript:DRNTG_10035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAVLSSASPATTTGHRHPSPLLLPCRRPSFKTLALPPLPATVADLSLDSPATALAVLGGGSIAALATALSLSDPERRRRQQATEVGGDDKAVVREYFNTTGFDRWRKIYSDATEGVNKVQLDIRLGHSKTVEKTIEMLKDDSPLAGVSICDAGCGTGSLSIPLAREGAVVTATDISAAMVDEARRRASEELGEAQMPVFDVSDLEGLKGKWDTVVCLDVLIHYPQEKADGMIEHLASLAERRLVLSFAPKTFYYDLLKRIGELFPGPSKATRAYLHAERDVERALRRVGWKINKKGLINTQFYFANLIEAVPVSSTA >DRNTG_32074.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22349312:22354734:1 gene:DRNTG_32074 transcript:DRNTG_32074.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKGRNVVLEQSWGAPKVTKDGVTVAKSIEFKDRVKNMGASLVKQVANATNDVAGDGTTCATVLTRAIFAEGCKSVAAGMNAMDLRRGITMAVDSVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIQDGKTLYNELEVVEGMKLDRGYISPYFITNQKTQKCELDEPLILIHEKKISSINAVVKVLELALKKQRPLLIVAEDIESDALATLILNKLRAGIKVCAIKAPGFGENRKASLQDLAVLTGGELITEELGMNLEKVEADMLGSCKKATISKDDTIVLDGAGDKKGIEERSELIRSGIELSTSDYDKEKASGEISKAFGRCCCSKDWRGQ >DRNTG_02149.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28980067:28980965:1 gene:DRNTG_02149 transcript:DRNTG_02149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDSKLKEYIEKNGTGGNWIALPHKAGLKRCGKSCRLRWLNYLRPNIKHGEFSPEEDNIIFTLFATIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLGITPPTHPRNNQVFFPPSLQPNLMITSTPPPPLLHPPNYNNHPLVFGCDLYDHHHQQPSCSYVKQELGVEFINGGGGDHQIINNVNNNMSFGGDEGSLME >DRNTG_21553.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:318140:319262:1 gene:DRNTG_21553 transcript:DRNTG_21553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMQMSAELEQLDGEIHDILRARNGFQKLDKVKDPNRQSKQLEELTGKMR >DRNTG_02545.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3508473:3509859:1 gene:DRNTG_02545 transcript:DRNTG_02545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMASNKCSLLALLVLSVFVLVTASRVLNEVSMSDRHNQWMLEHGRAYKDVIEKQHHFEIYKKNVEFIDSFNAGDHKFKLVANHFADLTNEEFRAMYNGFRLSSTDSSKAKSSFKYENITAVAEFVDWRTKGAVTHVKNQQQCSCCWAFSAVAAMEGAIKLSTGNLISLSEQEVVDCDVYGEDHGCNPSFTDGAYKFIIQNGGLTTETNYPYMATQGTCNRQKAASHAAQINGYEEVPANNEAALLMAVANQPVSVTIDASGSAFRFYSNGVFNGPCGTELDHAVTAVGYGQDSDGTKYWLIKNSWGESWGEEGYIRMERDVEYAQGLCGIAMQASYPTI >DRNTG_05442.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11993997:11995395:1 gene:DRNTG_05442 transcript:DRNTG_05442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFVGLCSSMLITKESVRRLFILLECQREREREREREREREREI >DRNTG_30647.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31428414:31429944:-1 gene:DRNTG_30647 transcript:DRNTG_30647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDWVIRPVDAGEPIYEPKSEYYTIKFYYTIGETMKWGDQKLAGYVDYCCPDKMSKLELLHMAKEFKLDVEGGQFWWLDLMSDNMAMKEVLTDVDALSMASSVDSHRVVNIYVTVKRMLGHCDSQEIVAFDIEKVTTEQAIAKSTKSVQETRGLDNVAPTEGDEDNQGFDGGDEGEDEGSGLSDSDYNFSSEDYDVDEGNVDEQRGKVNEEAANIGTEGDEIDSEVEEIGAGGNVNEEIGRGGSARLEAEEFESEYCDSDELQSGSSTDEELVGPIKPKYSEFREEVDMKDPHFKIGMKFRSFKQFREARPQHKARQC >DRNTG_34246.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28088507:28096835:-1 gene:DRNTG_34246 transcript:DRNTG_34246.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3,4,5-trisphosphate 3-phosphatase and protein-tyrosine-phosphatase PTEN2B [Source:Projected from Arabidopsis thaliana (AT3G50110) UniProtKB/Swiss-Prot;Acc:Q8H106] MEAKQAEPSSLPQVAAESQQPAPTRPDTSVQDTSSLLSASGITSWARNLKIPQSSSEDDTQSGNSTKSAFARFTSGFGLRMSPKSPTQDDNAEESLTTSQPGVLGSFTKGLMDSSRSAVKAVQVKARHMVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVIKFFETHHKGKYKVYNLCSERLYDASLFEGKVACFPFDDHNCPPIQLIKLFCQSAYSWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEESMDYYNQKRCIDGKGLVLPSQIRYVKYFERILTYFNGEIQPGRRCMLRGFRLHRCPYWIRPSITVSDHSGVLFSTKKHPRTKDLLPEDFWFTAPRKGIMVFALPGEPGLTELSGDFKIHFHDRQGDFYCWLNTTMMENRKILTTSDLDGFDKRKLPSPGFQVEVVLVDYDGTQPPRRTAAAQKESDGSSTETAQVESTAPSPDPSKNSVNQDKDDVFSDSEAEESGSSKGRRSQGASSAAGPANTSQKSEAKTMQEETSNIAQGVKQVYLKSESGTQASKTDELKNERNVKSPTTLESPKLKPNEMSEFKAIAADASVFSFGDEDDYESE >DRNTG_34246.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28088507:28096012:-1 gene:DRNTG_34246 transcript:DRNTG_34246.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3,4,5-trisphosphate 3-phosphatase and protein-tyrosine-phosphatase PTEN2B [Source:Projected from Arabidopsis thaliana (AT3G50110) UniProtKB/Swiss-Prot;Acc:Q8H106] MEAKQAEPSSLPQVAAESQQPAPTRPDTSVQDTSSLLSASGITSWARNLKIPQSSSEDDTQSGNSTKSAFARFTSGFGLRMSPKSPTQDDNAEESLTTSQPGVLGSFTKGLMDSSRSAVKAVQVKARHMVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVIKFFETHHKGKYKVYNLCSERLYDASLFEGKVACFPFDDHNCPPIQLIKLFCQSAYSWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEESMDYYNQKRCIDGKGLVLPSQIRYVKYFERILTYFNGEIQPGRRCMLRGFRLHRCPYWIRPSITVSDHSGVLFSTKKHPRTKDLLPEDFWFTAPRKGIMVFALPGEPGLTELSGDFKIHFHDRQGDFYCWLNTTMMENRKILTTSDLDGFDKRKLPSPGFQVEVVLVDYDGTQPPRRTAAAQKESDGSSTETAQVESTAPSPDPSKNSVNQDKDDVFSDSEAEESGSSKGRRSQGASSAAGPANTSQKSEAKTMQEETSNIAQGVKQVYLKSESGTQASKTDELKNERNVKSPTTLESPKLKPNEMSEFKAIAADASVFSFGDEDDYESE >DRNTG_20512.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16625899:16636892:1 gene:DRNTG_20512 transcript:DRNTG_20512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQQIPSIDFAVRWKIQVKLAFLLHFAALQSIPRSTPAAVPPPPPPARRISLSLLISGVFFFVGAIGIVFAIVVLLRPPRTVQVSVFRCGRAEDTLRNFRLRSSVGADAGTKADPVILAEYVVALLRNDKPKKELQKLCADSLVEFLGPNSRFTQQELPACKPILTLGIVILTFAAIGVIFIPIGLASLSASERVVEIVDRCDLDCIPKELRNDKLGFIQSCQTNKTCTRSVVVFQVILKVVFGWGDEPFHAINSELQELPSVELSILPLILKRSRLGRDPWPFELFEVTHTKKGTSMLVDARAQSVKDRYLELVEQASQTQEGHDELPIVDETTLYYEAVGGGKKKSGLWNRVPGVYLLSIFIFEFVHRSGDQIWHGVGICQLCTEPTIIANQRRSIVGSKGRRPNVIPPTDVMEDVQATRTSVFNRLTFPKRVVRINKEEDEEKPSFTITTEGEKSGIFKKSKATPIKSFTMRRSRLTKRKMPSLQEKKAKDREDDTDGVLLKEEEVTLRVTGFCVCQTCLTKEMKDAFRVRFGGMRDEITIADLANTRQNKDEKVIGYVMRWHNLNIKLKKLDITSPKVVSTMQPIRMDKEKQKKLEGVKHVAFATDKVKEEISPPNYNKPKPLALWGWK >DRNTG_01922.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32353942:32357997:1 gene:DRNTG_01922 transcript:DRNTG_01922.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWEGASTGERMMRWAARAEHLAGLPRRVVVVAVGAFAKVMTTVLNSTHVHNPETLIRLVRSRPSSVPLLTVSNHMSTLDDPLMWGFKGFPSMDAKLARWVLAAEDICFKNRILSYLFRLGKCIPVTRGGGIYQEQMSEALDVLNGGGWLHTFPEGKVNQEDVPVRRLKWGTASLIVRAPVTPIVLPIFHSGFEKVMPEKACYGRRPPLPLCMKDIKIIIGEPIEFDLWSLRQTALTHSCEENLNNLGWPKTSPDGLNEAAQRWLFTSISDQIRTAMEKLRTFGKSL >DRNTG_01922.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32353942:32360191:1 gene:DRNTG_01922 transcript:DRNTG_01922.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWEGASTGERMMRWAARAEHLAGLPRRVVVVAVGAFAKVMTTVLNSTHVHNPETLIRLVRSRPSSVPLLTVSNHMSTLDDPLMWGFKGFPSMDAKLARWVLAAEDICFKNRILSYLFRLGKCIPVTRGGGIYQEQMSEALDVLNGGGWACYYNPKSAVAYISRRKS >DRNTG_01922.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32353942:32357997:1 gene:DRNTG_01922 transcript:DRNTG_01922.8 gene_biotype:protein_coding transcript_biotype:protein_coding MWEGASTGERMMRWAARAEHLAGLPRRVVVVAVGAFAKVMTTVLNSTHVHNPETLIRLVRSRPSSVPLLTVSNHMSTLDDPLMWGFKGFPSMDAKLARWVLAAEDICFKNRILSYLFRLGKCIPVTRGGGIYQEQMSEALDVLNGGGLLLQSKKCSCIHFQKEKLTRKMCL >DRNTG_01922.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32353942:32357997:1 gene:DRNTG_01922 transcript:DRNTG_01922.7 gene_biotype:protein_coding transcript_biotype:protein_coding MWEGASTGERMMRWAARAEHLAGLPRRVVVVAVGAFAKVMTTVLNSTHVHNPETLIRLVRSRPSSVPLLTVSNHMSTLDDPLMWGFKGFPSMDAKLARWVLAAEDICFKNRILSYLFRLGKCIPVTRGGGIYQEQMSEALDVLNGGGWACYYNPKSAVAYISRRKS >DRNTG_01922.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32353942:32360191:1 gene:DRNTG_01922 transcript:DRNTG_01922.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWEGASTGERMMRWAARAEHLAGLPRRVVVVAVGAFAKVMTTVLNSTHVHNPETLIRLVRSRPSSVPLLTVSNHMSTLDDPLMWGFKGFPSMDAKLARWVLAAEDICFKNRILSYLFRLGKCIPVTRGGGIYQEQMSEALDVLNGGGWLHTFPEGKVNQEDVPVRRLKWGTASLIVRAPVTPIVLPIFHSGFEKVMPEKACYGRRPPLPLCMKDIKIIIGEPIEFDLWSLRQTALTHSCEENLNNLGWPKTSPDGLNEAAQRWLFTSISDQIRTAMEKLRTFADASAATQE >DRNTG_01922.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32353942:32360191:1 gene:DRNTG_01922 transcript:DRNTG_01922.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWEGASTGERMMRWAARAEHLAGLPRRVVVVAVGAFAKVMTTVLNSTHVHNPETLIRLVRSRPSSVPLLTVSNHMSTLDDPLMWGFKGFPSMDAKLARWVLAAEDICFKNRILSYLFRLGKCIPVTRGGGIYQEQMSEALDVLNGGGWACYYNPKSAVAYISRRKS >DRNTG_01922.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32359056:32360315:1 gene:DRNTG_01922 transcript:DRNTG_01922.11 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPLENLEKQRCNRLSTTTCVMCHSGIELADHLFLHCSFAQKVWEYFVYLFQLLEPPQSMCHVWDRGVLN >DRNTG_01922.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32357473:32360315:1 gene:DRNTG_01922 transcript:DRNTG_01922.9 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPLENLEKQRCNRLSTTTCVMCHSGIELADHLFLHCSFAQKVWEYFVYLFQLLEPPQSMCHVWDRGVLN >DRNTG_01922.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32353942:32355073:1 gene:DRNTG_01922 transcript:DRNTG_01922.13 gene_biotype:protein_coding transcript_biotype:protein_coding MWEGASTGERMMRWAARAEHLAGLPRRVVVVAVGAFAKVMTTVLNSTHVHNPETLIRLVRSRPSSVPLLTVSNHMSTLDDPLMWGFKGFPSMDAKLARWVLAAEDICFKNRILSYLFRLG >DRNTG_01922.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32353942:32357997:1 gene:DRNTG_01922 transcript:DRNTG_01922.6 gene_biotype:protein_coding transcript_biotype:protein_coding MWEGASTGERMMRWAARAEHLAGLPRRVVVVAVGAFAKVMTTVLNSTHVHNPETLIRLVRSRPSSVPLLTVSNHMSTLDDPLMWGFKGFPSMDAKLARWVLAAEDICFKNRILSYLFRLGKCIPVTRGGGIYQEQMSEALDVLNGGGWVRLFSFGLET >DRNTG_01922.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32359056:32360191:1 gene:DRNTG_01922 transcript:DRNTG_01922.12 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPLENLEKQRCNRLSTTTCVMCHSGIELADHLFLHCSFAQKVWEYFVYLFQLLEPPQSMCHVWDRGVLN >DRNTG_01922.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32353942:32360191:1 gene:DRNTG_01922 transcript:DRNTG_01922.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEGASTGERMMRWAARAEHLAGLPRRVVVVAVGAFAKVMTTVLNSTHVHNPETLIRLVRSRPSSVPLLTVSNHMSTLDDPLMWGFKGFPSMDAKLARWVLAAEDICFKNRILSYLFRLGKCIPVTRGGGIYQEQMSEALDVLNGGGWLHTFPEGKVNQEDVPVRRLKWGTASLIVRAPVTPIVLPIFHSGFEKVMPEKACYGRRPPLPLCMKDIKIIIGEPIEFDLWSLRQTALTHSCEENLNNLGWPKTSPDGLNEAAQRWLFTSISDQIRTAMEKLRTFDASAATQE >DRNTG_01922.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32357473:32360191:1 gene:DRNTG_01922 transcript:DRNTG_01922.10 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPLENLEKQRCNRLSTTTCVMCHSGIELADHLFLHCSFAQKVWEYFVYLFQLLEPPQSMCHVWDRGVLN >DRNTG_01997.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13429500:13431312:-1 gene:DRNTG_01997 transcript:DRNTG_01997.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMNSGKYKEVIQVCCLEKDMVMKFGDQTEIRERGINLSGARSREFNSPRLFIRTLMFIYLMMSSVLLMLILVLRSSRNASKELSDKIVLLVTHQVDFLHNVNCIYLELIGSFILCITSLLIVMLPVNIIQPGSCYHDVIKHPMDYVTIRKKLDLCDETEELLYSLVENSLHE >DRNTG_05870.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31421700:31424873:-1 gene:DRNTG_05870 transcript:DRNTG_05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRTLLKVIILGDSGVGKTSLMNQYVNRKFSNQYKATIGADFLTKEVQVEDRSFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPENFPFIVLGNKIDVDGGNSRVVSEKKAKAWCASKSNIPYFETSAKEGFNVEAAFECIAKNAFKNEPEENMYLPNTVDVTAGGRQQRSASSCEC >DRNTG_32751.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15449687:15464530:1 gene:DRNTG_32751 transcript:DRNTG_32751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEFHFDAVSSDEETEFEPPKLESKEAQSPWEFAAYSESVAEEHARRNTTSVDDKISKALQGRQVYSAESDEVDDDEEGAADDLDDLPIDEEIGKSQDVAVKPRAFFASSEGASFHANSFLELNLSRPLLRACEALDYRKPTPIQAACIPLALTGRDICGSAITGSGKTAAFALPVLERLLFRPKRIPAIRVLILTPARELAIQVHSMIEKLSQYTDIRCCLVIGGLSTKVQEAALRSMPDIVVATPGRIIDHLRNAPSVGLEDLSVLILDEADRLLELGFSGEIQELLRICPKKRQTMLFSATMTEEVDRLVELSLTRPVRLKADPSTKRPATLTEEVVRIRRSREVNQEAVLLALCEKIFTQKVIIFSGTKQAAHRLKIIFGLAELKAAELHGNLTQTQRLDALELFKKQKVDFLIATDVAARGLDIIGVQTVINFACPRDVTSYVHRVGRTARAGREGYAVTFVTDNDRSLLKAIAKRAGTSLKSRTVAEKSVAKWSQRIEQMEDEISVILEEESVERALRKANMEATKAENMIKHKEEIFSRPKRTWFATEREKNLIAK >DRNTG_20995.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001191.1:7000:7841:-1 gene:DRNTG_20995 transcript:DRNTG_20995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGSLHDVLHEIKPPPVLEWNARYKIALGIAQGLAYLHDDCNPAIIHRDIKPKNILLDSNMEPHISDFGIAKLMDQISASPQSSAIMGTIGYMSPETAFTTRRNKESDMYSYGVVLLELITRKMALDPSFPDNMNIVNWALSTLNASEASDRPSMRSVVAQLTDLRSSVARFGKDIK >DRNTG_27331.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17116324:17122411:-1 gene:DRNTG_27331 transcript:DRNTG_27331.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIFNIRLNEVQQQVPRSLGMLKDLFYIDLSNNELHGTLPTSLLYNCTNLISVDLSNNSFTGLIPPEIGNHLPYLGTLNLYFNQLSGTIPASLCNSTNLITIDLGNNFLTGTLPSETIMCLTSLLHLHLAFNYFTSDDNNKNLHPFFSALSNLTHLESLELEKNNIGGTLPETIGLLSQNLSNMNLRANLIHGMIPLSISNLSNLSSLNLSNNYLNGTIPLNLFLLPRLQRLWLSDNKLEGEIPSPPHELNRLGLIDLSGNNLSGSIPANLASIKYLRNLILSKNSLSGSIPSSLGRLNLELLDLSHNNLTGLLPAEVAAMNTISAYFNLSDNALEGPIPMELSHMDKVQEIDLSSNKFTGKIPSTLETCVEVQLVNLSHNHLQGTIPPTLGKLRSIKILDLSSNSFSGEVPSSLAFSTSLQQLNLSCNNLSGPLPQGGVFANLTGESLMGNHFCGSSLGLPSCNSNKGRKHSKIFLLLLVCIVSVLAFLTTLLSVVCYRRIRRIVVSHRGETDLNTPAQDLSSSYPRITYRELVEATGGFDLSSLIGSGSYGHVYRGVLSDGTVVAIKVLQFQASNSTRSFNRECQVLKRIRHRNLMRIITACSLPDFKALVLPFMINGSLESHLYPQAPGTGTSQLSLVERVNICCDIAEGMAYLHHHSPVQVIHCDLKPSNILLNDDMTAIVSDFGIARLVMRVTERNLIAENAANSTANLLFGSVGYIPPGTISFLSRGSNI >DRNTG_21885.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19569108:19569727:-1 gene:DRNTG_21885 transcript:DRNTG_21885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLLVNSPVPLVVILPGPSLLRLLGTSGLRGAIKSFAKPRLTSTKSLSILVFSDVAWDPLTGKAGFGFLITTNRNDILFAGVAGDTCVSPLNAELRAILLALEHCRLNAWSPSKLFTDC >DRNTG_01630.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1050979:1055489:-1 gene:DRNTG_01630 transcript:DRNTG_01630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVVCAESSFNTLRIRVGGSLQDQVVYGVKGLEHPCLPFEKTVGSLFGFSKGCLSMDRWDEINSFFNRTGAIVTFGINALYGRHHVKNHLWGGAWDSSNARDFIEYTISKGYKVDSWEFGNELSGRGIGARVEAEQYGRDLIHFRAILDELYEKSHTQHLLLAPGGFFDEQWYSKLLQVSGSGIVNAMTHHIYNLGPGTDPHLAKKILDPQYLNRIADIYKNVQSTIKNHGPWALAWVGEAGGVPNNGGRLVSNTFIDSFWYLDQLGMASTYNTKAYCRQTLIGGHYGLLDRDTFIPNPDYYSALLWHRLMGKKVLSVDFNGHPHLRAYTHCRKQKEGISLLLINLSNNTEYNVAIKNDINANLNTGVHNDKGHSFTDGLKWAVSWIGTTSTAVQTREEYHLTAENGNLQSKTMLLNGTPLQLTEGGGIPPLTPAHVPVASPLIVAPLSIVFVTLPNFEAEACV >DRNTG_01630.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1050979:1055489:-1 gene:DRNTG_01630 transcript:DRNTG_01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWLPLLLFFASGYGILALESTNVSIIVKGSVSVAETNEHFVCATIDWWPPEKCNYNQCPWGQSSVLNLDINHPFLAAAIQAFNTLRIRVGGSLQDQVVYGVKGLEHPCLPFEKTVGSLFGFSKGCLSMDRWDEINSFFNRTGAIVTFGINALYGRHHVKNHLWGGAWDSSNARDFIEYTISKGYKVDSWEFGNELSGRGIGARVEAEQYGRDLIHFRAILDELYEKSHTQHLLLAPGGFFDEQWYSKLLQVSGSGIVNAMTHHIYNLGPGTDPHLAKKILDPQYLNRIADIYKNVQSTIKNHGPWALAWVGEAGGVPNNGGRLVSNTFIDSFWYLDQLGMASTYNTKAYCRQTLIGGHYGLLDRDTFIPNPDYYSALLWHRLMGKKVLSVDFNGHPHLRAYTHCRKQKEGISLLLINLSNNTEYNVAIKNDINANLNTGVHNDKGHSFTDGLKWAVSWIGTTSTAVQTREEYHLTAENGNLQSKTMLLNGTPLQLTEGGGIPPLTPAHVPVASPLIVAPLSIVFVTLPNFEAEACV >DRNTG_25628.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21945460:21950380:-1 gene:DRNTG_25628 transcript:DRNTG_25628.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase D6PKL3 [Source:Projected from Arabidopsis thaliana (AT3G27580) UniProtKB/Swiss-Prot;Acc:Q05999] MAAAIPNPKNLINGAASAIAIGVGSSLQNHPHLSGVREDSACASAHMKCAGVLQDSMDDPPFEGRIGSSDRVQEASPSTSMCYQTAISESFCSEPQFTEAKDSFVTARASDGIVGVGIGKVRDLGGGRNSSSVCRAGSTGSDASDESSSYSLGGSVNKPHKGNDPRWEAISAVRSRDGALGLSHFRLLKRLGCGDIGSVYLSELDGTRACFAMKLMDKALLASRKKLARAQTEREILQCLDHPFLPTLYTHFETEKFSCLVMEFCPGGDLHALRQRQSGKYFTEQAARFYVAEVLLALEYLHMLGIIYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLVKSSKLDFNSKNSGYCAQPACVEPSCIQPSCIQPTCFSPRLLLSRSKKGKKSDQGNKPKVDVYNQVLPLPELIAEPTNARSMSFVGTHEYLAPEIIKGEGHGSPVDWWTFGIFSL >DRNTG_25782.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3648556:3652723:-1 gene:DRNTG_25782 transcript:DRNTG_25782.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLGVLLTVPMDPYLEEELDRRYNLFRLWEAPLESRRDFLFTRAGSIRALVGNTNVGADAETIDALPRLEIVSTFSVGLDKINLDKCRERGIRVTNTPDVLTDDVADLAIGLAIAVLRRIPQVDRYVRDGSWKTKGDYKLTTKPEGTSCVVMQLKSRPWSHLMECYSPLWKL >DRNTG_25782.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3648556:3652723:-1 gene:DRNTG_25782 transcript:DRNTG_25782.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLGVLLTVPMDPYLEEELDRRYNLFRLWEAPLESRRDFLFTRAGSIRALVGNTNVGADAETIDALPRLEIVSTFSVGLDKINLDKCRERGIRVTNTPDVLTDDVADLAIGLAIAVLRRIPQVDRYVRDGSWKTKGDYKLTTKLSGKTVGIIGLGRIGMAIAKRAEAFGCPIIYHSRSEKPDTNYKYYSNVLDLAANCEVLIVACSLTPETRHIVNRKIMDALGPKGVLINIGRGLHVDESELVKALVQGRLGGAGIDVFEHEPHVPQELFGLDNVVLVPHVGSDTMETCKAMADLVLQNLEAHFSCKLLLTPVL >DRNTG_00989.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6712413:6713744:1 gene:DRNTG_00989 transcript:DRNTG_00989.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASADANREAVVWDRMTREVKLKNMLYHSARINCLAWSPDGRMVATGSLDTCVIIL >DRNTG_07746.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2690364:2697015:1 gene:DRNTG_07746 transcript:DRNTG_07746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGLAMHYNGWKSKHMNPERRAFLGLGPTTLEQTLVQYKRWGEG >DRNTG_17387.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:9136750:9137396:1 gene:DRNTG_17387 transcript:DRNTG_17387.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQACYTLSKDERMEFCDFLKAVKFPDGFASNISRCVNSNDSQISGLKSHDCHIILQRLLPVVIRKFVPKDIFQALDELANFFKRLCCKTLKKEAVKGLQDDIVIILCKLEKIFPPAFFDVMVHLAIHLPREAMLGGPVHYRWMYPIERLYFIC >DRNTG_04760.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3058400:3059353:-1 gene:DRNTG_04760 transcript:DRNTG_04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCGKSAEPRKAIIPAPLGLETMRLIGLIRKYSNGVYVLNIPFEDEAGHLSPPPEPQPTPMDTETPSAAEEPPPVRRMPQARAHDRFERLKSAVGVVWTEVAKVRAEVAEIRATQATQYTEFMARFDILQQILERDVASSFVLQPRTLQAPSAPPAPEDPPCASTLRQQ >DRNTG_22411.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22697109:22701932:-1 gene:DRNTG_22411 transcript:DRNTG_22411.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLISAFIHRMVRVCGSTAYVAQTAWIQNRTIQENILFGMPMNSRKYKEVLQVCCLKKDMEMIEFGDQTEIRERD >DRNTG_22411.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22697109:22701932:-1 gene:DRNTG_22411 transcript:DRNTG_22411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVCGSTAYVAQTAWIQNRTIQENILFGMPMNSRKYKEVLQVCCLKKDMEMIEFGDQTEIRERD >DRNTG_02141.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28888772:28889280:-1 gene:DRNTG_02141 transcript:DRNTG_02141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNHATSTSQSWGPPNQDQNVVKLPPRPCPCLETLI >DRNTG_16265.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000805.1:1:12622:-1 gene:DRNTG_16265 transcript:DRNTG_16265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKKIYSSKPYETTYGRNEEARLKGSYGNIRTEYGLRALATCSCPWVVTMGFIKILGNLKVS >DRNTG_23019.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3188841:3190327:1 gene:DRNTG_23019 transcript:DRNTG_23019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGATSVAGLAYPDSVDSSPSSRGRESWDDPPAAADALPLKLRFMCSYGGRIVPRPTDKSLCYLGGETRIIVVDRHSTLADLSSRLSRSLLGGRAFSLKYQLPNEDLDALISVSSDEDLENMIDEYDRLLQGGGGGGGGSSSRSSRIRLFLFPSKSESAPSSIGSGSLLEDSKSETWFVDALNSGIGSAGALPRGLSADSASVNCLLGLEDDSSTHSRADVEQHVLHRPDSSGRLPLVADLPMLETISSGPQPFLSNLPPIPVWPEDRSPDRRVGGLDDHFSQMNLSAGTHPPPIPMPIPLPPVSSTTLSISPSEIPNRVFSDDEKSEKSDQNAVRKTPAPSMQTIQSQFPPKIESPIPESLPRPFYANPITESKREAPPRMPPSSSTMQPEQHQHQHFVTTNPHYLHHQHTATMVPIQSYYQIPQQSQQPHHFDSQIHPMYYLPIHSNPSTIPTS >DRNTG_29590.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1209110:1226434:1 gene:DRNTG_29590 transcript:DRNTG_29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps14 MARKSLIHREKKRQKLEQKYQLIRRSSKKEISKVLPLSEKWKIHRKLQSSPRNSTPIRLHRRCFLTGRPRANYRDFGLSGHILREMVYACLLPGAIRSSW >DRNTG_02899.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:724475:727970:-1 gene:DRNTG_02899 transcript:DRNTG_02899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVSCVMFHAKQDVIVSNSEDKSIRIWDATKRTGVQTFRREHDRFWILSAHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDSIYYVKDRFLRYYEFSTQKEVQVVPIRRPGSVSLNQGPRTLSYSPTENAVLICSDADGGSYELYIVPKDASGRSEFMQDAKKGSGGSAVFVARNRFAVLDKGSNQALVKNLKNEIVKKSALPIVTDAIFYAGTGNLLCKAEDRVFIFDLQQRIILGELQTPSVKYIVWSSDMENVALLSKHAIVIANKKLVHRCTLHETIRVKSGAWDENGVFVYTTLNHIKYCLPNGDNGIIKTLDVPVYMTKVAGSNIYCLDRDGKNRIISIDATEYVFKLSLLRKRYDHVMSMIKSSQLCGQAVIAYLQQKGFPEVALHFVKDERTRFNLALESGNIQIAVASAKEIDEKDHWYRLGIEALRQGNTSIVEYAYQRTKNFERLSFLYLVTGNIEKLSKMLKIAEIKNDVMGQFHNALYLGDIQERVKILEKAGHLPLAYVTAATHGLKEDAERLAVELGDNLPSLPEGKAQSLLIPPPPLTCGGDWPLLRVMRGIFEGGLDNVGKGGPEEEEDETNGAEWGDEDLDIVDVEHAMQNGDIGVDIEDGEANAENDEEGGWDLEDLELPPDVDTPKATSNVRSLFVAPTPGMQVSQIWIQKSSLAGEHVAAGNFDTAMRLLSRQLGIKNFAPLKPMFLDLFMGSHTYLQAFTSVPVISIAVEKGWSESASPNVRGPPALVFKLSQLDEKLKSAYRATTEGKFPEALRQFLGILHTIPLIVVDSRREVDEVKELIEIAREYALGLKIEVKRKETKDDIVRQQELAAYFTNCKLQKIHTRLVLTSAMTICFKGGNYATASNFARMLLESSPTEAQAKKAKQVLQACGDRKDAEQLNYDFRNPFVVCGATFVPIYRGQKDVSCPYCRARFVPSIEGQLCVVCELAMVGADASGLLCSPSQTR >DRNTG_33954.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:978585:981435:-1 gene:DRNTG_33954 transcript:DRNTG_33954.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-glycerate 3-kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G80380) UniProtKB/Swiss-Prot;Acc:Q944I4] MTVLIASSRSPQPWSLPSSSSPSSRSQTSGMALFRSLFSLKPVHHPPIPGHANTGITIKGRVAGPVVYSLFPKSSALVSSVDDLFDFICSGPLLEQLGYTPEIVADSLDKWLACGVHLCNLFQLNELRLTAPQKMRLYHYYIPVFVWCEDQISRHCSQFADGDEVPPPLVIGISAPQGSGKTTLVFALDYLFRRSGRNSATLSIDDFYLTAEDQAKLRNQHPGNALLEFRGNAGSHDLPFSVSTLTGLKKLTKEGTILTLYIESSESCRLFSTYQFL >DRNTG_33954.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:978585:981435:-1 gene:DRNTG_33954 transcript:DRNTG_33954.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-glycerate 3-kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G80380) UniProtKB/Swiss-Prot;Acc:Q944I4] MRLYHYYIPVFVWCEDQISRHCSQFADGDEVPPPLVIGISAPQGSGKTTLVFALDYLFRRSGRNSATLSIDDFYLTAEDQAKLRNQHPGNALLEVSKSLLFFV >DRNTG_33954.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:978585:981435:-1 gene:DRNTG_33954 transcript:DRNTG_33954.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-glycerate 3-kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G80380) UniProtKB/Swiss-Prot;Acc:Q944I4] MRLYHYYIPVFVWCEDQISRHCSQFADGDEVPPPLVIGISAPQGSGKTTLVFALDYLFRRSGRNSATLSIDDFYLTAEDQAKLRNQHPGNALLEFRGNAGSHDLPFSVSTLTGLKKLTKEGTILTLYIESSESCRLFSTYQFL >DRNTG_33954.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:978585:981435:-1 gene:DRNTG_33954 transcript:DRNTG_33954.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-glycerate 3-kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G80380) UniProtKB/Swiss-Prot;Acc:Q944I4] MTVLIASSRSPQPWSLPSSSSPSSRSQTSGMALFRSLFSLKPVHHPPIPGHANTGITIKGRVAGPVVYSLFPKSSALVSSVDDLFDFICSGPLLEQLGYTPEIVADSLDKWLACGVHLCNLFQLNELRLTAPQKMRLYHYYIPVFVWCEDQISRHCSQFADGDEVPPPLVIGISAPQGSGKTTLVFALDYLFRRSGRNSATLSIDDFYLTAEDQAKLRNQHPGNALLEFRGNAGSHDLPFSVSTLTGLKKLTKEGMKMKLPRYDKSAYGGRGDRSDPSTWPEVKGPLTVILFEGWMLGFKPLPNEVVKAVDPQLEIVNKNLEAYYDAWDKFIEAWIIIKIREPTCVYQWRLQAEIAMRKDGKPGMSNEEVLDFVSRYLPAYKAYLPTLYSEGPRGLNPDRLLVVDIDDERNPILAS >DRNTG_33954.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:978585:981435:-1 gene:DRNTG_33954 transcript:DRNTG_33954.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-glycerate 3-kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G80380) UniProtKB/Swiss-Prot;Acc:Q944I4] MRLYHYYIPVFVWCEDQISRHCSQFADGDEVPPPLVIGISAPQGSGKTTLVFALDYLFRRSGRNSATLSIDDFYLTAEDQAKLRNQHPGNALLEFRGNAGSHDLPFSVSTLTGLKKLTKEGMKMKLPRYDKSAYGGRGDRSDPSTWPEVKGPLTVILFEGWMLGFKPLPNEVVKAVDPQLEIVNKNLEAYYDAWDKFIEAWIIIKIREPTCVYQWRLQAEIAMRKDGKPGMSNEEVLDFVSRYLPAYKAYLPTLYSEGPRGLNPDRLLVVDIDDERNPILAS >DRNTG_33954.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:978585:981435:-1 gene:DRNTG_33954 transcript:DRNTG_33954.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-glycerate 3-kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G80380) UniProtKB/Swiss-Prot;Acc:Q944I4] MRLYHYYIPVFVWCEDQISRHCSQFADGDEVPPPLVIGISAPQGSGKTTLVFALDYLFRRSGRSDLEDLKNLNFVN >DRNTG_11528.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3737621:3738311:1 gene:DRNTG_11528 transcript:DRNTG_11528.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSDSLVSDSNTAGQVRSRLGRGYQGRNEGDDRGVAHSARVLGGVDGGVA >DRNTG_11528.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3734272:3737569:1 gene:DRNTG_11528 transcript:DRNTG_11528.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMNNRPRFPSFFSSSSLLFLLSSCSYSLFPSYYWY >DRNTG_11528.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3734272:3738311:1 gene:DRNTG_11528 transcript:DRNTG_11528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMNNRPRFPSFFSSSSLLFLLSSCSYSLFPSYYWY >DRNTG_11528.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3734272:3738311:1 gene:DRNTG_11528 transcript:DRNTG_11528.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMNNRPRFPSFFSSSSLLFLLSSCSYSLFPSYYWY >DRNTG_00552.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30181587:30184875:-1 gene:DRNTG_00552 transcript:DRNTG_00552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERADEALLPAVYEEIGNALHATPTGLGSLTLFRSIVQSACYPLATYMSVRYNRAHVIALGAFLWAAATFLVGLSSTFLQVAISRGLNGIGLAIAAPAIQSLIADSTEDHNRGTAFGWFQLTSSVGSILGGLFSLLLAPTSVMGIDGWRLAFHLVGIISVIVGILVRLFAIDPHYMNSDIRHADQMPRKSVKEEVIDLFKEAKAVIKIPSFQIIVAQGVTGSFPWSALAFAPMWLELIGFSHAKTGFLMSMFVVAVSLGGLFGGKMGDFLAKRFPNSGRIVLSQISSGSAVPLAAVLLLFLPDDPSSWFSHGLVLFIMGLSISWNAPATNNPIFAEIVPEKARTSIYALDRTFESVLASFAPPTVGILAEHMYGFKPIPYRQEKNTVVQVDKDNAAALAKALFTAIVIPISLCCVIYSFLYCTYPRDRERARMSALVNSEMQQIELENSLALEDIHGKDSRVIDLVYTGKDFDIDDNDKRRLLPEHNSLSNGEQYR >DRNTG_09668.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20539088:20539634:1 gene:DRNTG_09668 transcript:DRNTG_09668.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITITFFLSQLCLVASVLLPHSQLHAHDHNCVETERTALLSIKGGMWITNQSFLSSWTGHDCCHWRGVSCNPETGHVTKLHLRYPYDFYGNQYPQMESFLTHLETYHACATLIFAHLITLYKLMISTFSLG >DRNTG_31124.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:136510:139272:-1 gene:DRNTG_31124 transcript:DRNTG_31124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGIPEDCRPTNNQAGGDRELEPTQSFRRRRRRRPTSMAAIARP >DRNTG_31124.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:136510:136931:-1 gene:DRNTG_31124 transcript:DRNTG_31124.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGIPEDCRPTNNQAGGDRELEPTQSFRRRRRRRPTSMAAIARP >DRNTG_31914.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18780260:18783311:-1 gene:DRNTG_31914 transcript:DRNTG_31914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRQSKIGEDREGKSRDSRMSHFPSNVIYPISMFQEFFARRIGADESSPTNLLPNGARCQSH >DRNTG_15987.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5165247:5168802:-1 gene:DRNTG_15987 transcript:DRNTG_15987.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 17 [Source:Projected from Arabidopsis thaliana (AT5G20170) UniProtKB/Swiss-Prot;Acc:F4K460] MKIDLDKLPLKRLDAIDESGNEQFPQETGHEEKRLDMIRRIDFSSIIDKKDTKKQKTSKDSSLAAPAAPAVWPWQGLVENLRLAHEELSIILDLINTVEANDAVAVAGMQRPKQLPNENVSDVAVSAATKLQRLRQVGRYFKQSSKALEQQVAREARFYGSLIRLQQNWKVKRHRLAVTGPGNEGFTIDLLESSLLDLTASARPSPLSTVRIDHDSAGILAVQLPQKSCRSLCLRFLGAHPNYIPRSFGKFPMRGSGEHFSCGGRKEGLTAEDVNECVKNAHGILREIHRSIFEEQVFDMVNHESYNSAPGVNVTGMREDFLQLRIGQEAYVCLCLIASGKEDSSQATDSSSQTQNGEDGILHSDSLDMMVLDAKHDTRNLLGFPSPVSLEIYLQDMFHRNVVTKVKDRRSFPPRHQLYSQHSGDGNGLLGHFCMTVSHRIFSNKVLSELECLVSRVPYLHLLSRPTWHSRTSSWLLSLKVPHSILHSGEQTKSLDSSDLKSRSQFFTKVVVNDDRISVSGEGSSSIIGSFRSKSADTCSVNCYSCDLEDLSMILLQQVAGQVIRWLHEEALVVGMKVSRDFLCLYFDLDQGETLGLVAHVDPHDVSGCISWWVVLDDDSMAEGKLAAGNDEFENKRFLGHLSLEGLYSTLMDLVNLCCSGGSH >DRNTG_10051.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11961973:11972928:-1 gene:DRNTG_10051 transcript:DRNTG_10051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDLMPGVTSTMRTLLRLLRSSFNKGKVGHDYNIGTKKERSVLDVARDVCKLFSLDPEAAIKFVESRLFNDQSYLFI >DRNTG_21404.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:640741:643443:-1 gene:DRNTG_21404 transcript:DRNTG_21404.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLMLCITSHRTVAERSSDSPILTNPLLLPIPSSSFSASSLNPNSNLNSGCLGGSAFPIPSSSSSSPNPNLNLNSGRLGGSASRAFSLLFSSAALFEHAPSRPFTGYAAVLSGSRYLEPALQVLEVACGVGRVRAFFYGDHFGMEDTCRAGRVVNESVLGKEQQWKKARLVSMLDEVCSRYTHYYQQLQAVIQAFESVAGLSTAAPYALMALQTMSKQFKCLTNLISDHLHLTARNPETYRQPPIWRPQRGLPERAVAVLRKWLFEHFLHPYPTDIDKQMLAKHTGLSRNQVSNWFINARVRLWKPMVEEVQSLELSQSYQFPAGDRKIEELGKGLSAAGRGNGGVPLTLALHQSNEVCIYKPLHRNAVRSFGFDYDDITRNTGKFNGNICTDSFCRYL >DRNTG_20576.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8429672:8430654:1 gene:DRNTG_20576 transcript:DRNTG_20576.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVQSATTALPEENVQVTETNKETVEETTKEEEVFGSATETAVTDEVKVKEDAEEAAKPVVEEEKIEEKPAEEKPVEEKPVTEITGEDTVENKEVPEVEQEVVAVTISEKEEEKEDKKEERAEEKPVVAEEEVKKDENKDEGEKSNEE >DRNTG_20576.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8429730:8430739:1 gene:DRNTG_20576 transcript:DRNTG_20576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVQSATTALPEENVQVTETNKETVEETTKEEEVFGSATETAVTDEVKVKEDAEEAAKPVVEEEKIEEKPAEEKPVEEKPVTEITGEDTVENKEVPEVEQEVVAVTISEKEEEKEDKKEERAEEKPVVAEEEVKKDENKDEGEKSNEE >DRNTG_20576.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8429730:8430718:1 gene:DRNTG_20576 transcript:DRNTG_20576.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVQSATTALPEENVQVTETNKETVEETTKEEEVFGSATETAVTDEVKVKEDAEEAAKPVVEEEKIEEKPAEEKPVEEKPVTEITGEDTVENKEVPEVEQEVVAVTISEKEEEKEDKKEERAEEKPVVAEEEVKKDENKDEGEKSNEE >DRNTG_20576.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8429730:8430654:1 gene:DRNTG_20576 transcript:DRNTG_20576.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVQSATTALPEENVQVTETNKETVEETTKEEEVFGSATETAVTDEVKVKEDAEEAAKPVVEEEKIEEKPAEEKPVEEKPVTEITGEDTVENKEVPEVEQEVVAVTISEKEEEKEDKKEERAEEKPVVAEEEVKKDENKDEGEKSNEE >DRNTG_18322.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1764992:1768873:1 gene:DRNTG_18322 transcript:DRNTG_18322.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLMCFLVFFGATLAAGFSDAQPKPVPGLSYTFYKSTCPKLESIISKQLKKEFKKDIGLAAGLLRLHFHDCFVQGCDASVLLDGSASGPSEQDALPNLTLRPAAFKAINDLRALINKKCGVVVSCADVVAVAARDSVALSGGPNYKVPLGRRDGLTFATQNVTLADLPPPTSNVSFLINTLNKLNLTITDLVTLSGGHTIGLAHCTSFTNRLYQTQDTNMDKTFAKNLKLTCPAANTTNTTVNDIRTPNTFDNKYYVDLMNRQGLFTSDQGLYSDSRTKSLVLKFALNQTQFFEQFALSMVKMGQLSVLTGSKGEIRTNCSARNSGSGLWSVLEPLVETASTF >DRNTG_16892.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29961714:29973280:1 gene:DRNTG_16892 transcript:DRNTG_16892.11 gene_biotype:protein_coding transcript_biotype:protein_coding MGTISSKNQDSSSNGLRDAAGDGVFYVSLKMENPKIAGDLIPHVFGSLPIIGSWDSSKALSMQRESASMWELSFIVPPNHETLDFKFLLKPKSSGEPCVVEEGPNRLLTGGSLEGDARNALFRTGGGDDEALEYKVFIKADIVSPFDLAASWRAYQENLKPSTVRGIPDVSINSAPETEFEVGSLELDLEHYVVPAPASACSGAVYAANLAETPRYLRSGIFSKGDASSSSHGSSKGNVLSAEHVASRKDIEVIVPDHSKIFSSSGMVESKSVSTFSQLQKQDGQRGLFVDRGVGSPRLVKSASASAFPNDLKLDTEEKKAMPAAAGAVQAAAIADRMHGPKEDRKLAIVLVNYDMQYLWGSFLSNFFP >DRNTG_16892.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29961714:29973280:1 gene:DRNTG_16892 transcript:DRNTG_16892.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGTISSKNQDSSSNGLRDAAGDGVFYVSLKMENPKIAGDLIPHVFGSLPIIGSWDSSKALSMQRESASMWELSFIVPPNHETLDFKFLLKPKSSGEPCVVEEGPNRLLTGGSLEGDARNALFRTGGGDDEALEYKVFIKADIVSPFDLAASWRAYQENLKPSTVRGIPDVSINSAPETEFEVGSLELDLEHYVVPAPASACSGAVYAANLAETPRYLRSGIFSKGDASSSSHGSSKGNVLSAEHVASRKDIEVIVPDHSKIFSSSGMVESKSVSTFSQLQKQDGQRGLFVDRGVGSPRLVKSASASAFPNDLKLDTEEKKAMPAAAGAVQAAAIADRMHGPKEDRKLAIVLVGLPARGKTFTAAKLTRYLRWLGHETKHFNVGKYRRLKHGTNQSADFFRADNPEGLEARNEVAALAMDDMLSWMQEGGQVGIFDATNSSKTREICS >DRNTG_16892.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29961714:29973280:1 gene:DRNTG_16892 transcript:DRNTG_16892.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGTISSKNQDSSSNGLRDAAGDGVFYVSLKMENPKIAGDLIPHVFGSLPIIGSWDSSKALSMQRESASMWELSFIVPPNHETLDFKFLLKPKSSGEPCVVEEGPNRLLTGGSLEGDARNALFRTGGGDDEALEYKVFIKADIVSPFDLAASWRAYQENLKPSTVRGIPDVSINSAPETEFEVGSLELDLEHYVVPAPASACSGAVYAANLAETPRYLRSGIFSKGDASSSSHGSSKGNVLSAEHVASRKDIEVIVPDHSKIFSSSGMVESKSVSTFSQLQKQDGQRGLFVDRGVGSPRLVKSASASAFPNDLKLDTEEKKAMPAAAGAVQAAAIADRMHGPKEDRKLAIVLVGLPARGKTFTAAKLTRYLRWLGHETKHFNVGKYRRLKHGTNQSADFFRADNPEGLEARNEVAALAMDDMLSWMQEGGQVGIFDATNSSKTREICS >DRNTG_16892.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29961714:29973280:1 gene:DRNTG_16892 transcript:DRNTG_16892.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGTISSKNQDSSSNGLRDAAGDGVFYVSLKMENPKIAGDLIPHVFGSLPIIGSWDSSKALSMQRESASMWELSFIVPPNHETLDFKFLLKPKSSGEPCVVEEGPNRLLTGGSLEGDARNALFRTGGGDDEALEYKVFIKADIVSPFDLAASWRAYQENLKPSTVRGIPDVSINSAPETEFEVGSLELDLEHYVVPAPASACSGAVYAANLAETPRYLRSGIFSKGDASSSSHGSSKGNVLSAEHVASRKDIEVIVPDHSKIFSSSGMVESKSVSTFSQLQKQDGQRGLFVDRGVGSPRLVKSASASAFPNDLKLDTEEKAMPAAAGAVQAAAIADRMHGPKEDRKLAIVLVGLPARGKTFTAAKLTRYLRWLGHETKHFNVGKYRRLKHGTNQSADFFRADNPEGLEARNEVAALAMDDMLSWMQEGGQVGIFDATNSSKTREICS >DRNTG_16892.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29961714:29973280:1 gene:DRNTG_16892 transcript:DRNTG_16892.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGTISSKNQDSSSNGLRDAAGDGVFYVSLKMENPKIAGDLIPHVFGSLPIIGSWDSSKALSMQRESASMWELSFIVPPNHETLDFKFLLKPKSSGEPCVVEEGPNRLLTGGSLEGDARNALFRTGGGDDEALEYKVFIKADIVSPFDLAASWRAYQENLKPSTVRGIPDVSINSAPETEFEVGSLELDLEHYVVPAPASACSGAVYAANLAETPRYLRSGIFSKGDASSSSHGSSKGNVLSAEHVASRKDIEVIVPDHSKIFSSSGMVESKSVSTFSQLQKQDGQRGLFVDRGVGSPRLVKSASASAFPNDLKLDTEEKKAMPAAAGAVQAAAIADRMHGPKEDRKLAIVLVGLPARGKTFTAAKLTRYLRWLGHETKHFNVGKYRRLKHGTNQSADFFRADNPEGLEARNEVAALAMDDMLSWMQEGGQVGIFDATNSSKTREICS >DRNTG_16892.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29961714:29973280:1 gene:DRNTG_16892 transcript:DRNTG_16892.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTISSKNQDSSSNGLRDAAGDGVFYVSLKMENPKIAGDLIPHVFGSLPIIGSWDSSKALSMQRESASMWELSFIVPPNHETLDFKFLLKPKSSGEPCVVEEGPNRLLTGGSLEGDARNALFRTGGGDDEALEYKVFIKADIVSPFDLAASWRAYQENLKPSTVRGIPDVSINSAPETEFEVGSLELDLEHYVVPAPASACSGAVYAANLAETPRYLRSGIFSKGDASSSSHGSSKGNVLSAEHVASRKDIEVIVPDHSKIFSSSGMVESKSVSTFSQLQKQDGQRGLFVDRGVGSPRLVKSASASAFPNDLKLDTEEKKAMPAAAGAVQAAAIADRMHGPKEDRKLAIVLVGLPARGKTFTAAKLTRYLRWLGHETKHFNVGKYRRLKHGTNQSADFFRADNPEGLEARNEVAALAMDDMLSWMQEGGQVGIFDATNSSKTREICS >DRNTG_16892.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29961714:29962616:1 gene:DRNTG_16892 transcript:DRNTG_16892.14 gene_biotype:protein_coding transcript_biotype:protein_coding MGTISSKNQDSSSNGLRDAAGDGVFYVSLKMENPKIAGDLIPHVFGSLPIIGSWDSSKALSMQRESASMWELSFIVPPNHETLDFKFLLKPKSSGEPCVVEEGPNRLLTGGSLEGDARNALFRTGGGDDEALEYKVFIKADIVSPFDLAASWRAYQENLKPSTVRGIPDVSINSAPETEFEVIILSCNSIFFVLVLREG >DRNTG_16892.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29961714:29973280:1 gene:DRNTG_16892 transcript:DRNTG_16892.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTISSKNQDSSSNGLRDAAGDGVFYVSLKMENPKIAGDLIPHVFGSLPIIGSWDSSKALSMQRESASMWELSFIVPPNHETLDFKFLLKPKSSGEPCVVEEGPNRLLTGGSLEGDARNALFRTGGGDDEALEYKVFIKADIVSPFDLAASWRAYQENLKPSTVRGIPDVSINSAPETEFEVGSLELDLEHYVVPAPASACSGAVYAANLAETPRYLRSGIFSKGDASSSSHGSSKGNVLSAEHVASRKDIEVIVPDHSKIFSSSGMVESKSVSTFSQLQKQDGQRGLFVDRGVGSPRLVKSASASAFPNDLKLDTEEKKAMPAAAGAVQAAAIADRMHGPKEDRKLAIVLVGLPARGKTFTAAKLTRYLRWLGHETKHFNVGKYRRLKHGTNQSADFFRADNPEGLEARNEVAALAMDDMLSWMQEGGQVGIFDATNSSKTREICS >DRNTG_16892.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29961714:29973280:1 gene:DRNTG_16892 transcript:DRNTG_16892.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTISSKNQDSSSNGLRDAAGDGVFYVSLKMENPKIAGDLIPHVFGSLPIIGSWDSSKALSMQRESASMWELSFIVPPNHETLDFKFLLKPKSSGEPCVVEEGPNRLLTGGSLEGDARNALFRTGGGDDEALEYKVFIKADIVSPFDLAASWRAYQENLKPSTVRGIPDVSINSAPETEFEVGSLELDLEHYVVPAPASACSGAVYAANLAETPRYLRSGIFSKGDASSSSHGSSKGNVLSAEHVASRKDIEVIVPDHSKIFSSSGMVESKSVSTFSQLQKQDGQRGLFVDRGVGSPRLVKSASASAFPNDLKLDTEEKKAMPAAAGAVQAAAIADRMHGPKEDRKLAIVLVGLPARGKTFTAAKLTRYLRWLGHETKHFNVGKYRRLKHGTNQSADFFRADNPEGLEARNEVAALAMDDMLSWMQEGGQVGIFDATNSSKTREICS >DRNTG_16892.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29961654:29973307:1 gene:DRNTG_16892 transcript:DRNTG_16892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTISSKNQDSSSNGLRDAAGDGVFYVSLKMENPKIAGDLIPHVFGSLPIIGSWDSSKALSMQRESASMWELSFIVPPNHETLDFKFLLKPKSSGEPCVVEEGPNRLLTGGSLEGDARNALFRTGGGDDEALEYKVFIKADIVSPFDLAASWRAYQENLKPSTVRGIPDVSINSAPETEFEVGSLELDLEHYVVPAPASACSGAVYAANLAETPRYLRSGIFSKGDASSSSHGSSKGNVLSAEHVASRKDIEVIVPDHSKIFSSSGMVESKSVSTFSQLQKQDGQRGLFVDRGVGSPRLVKSASASAFPNDLKLDTEEKAMPAAAGAVQAAAIADRMHGPKEDRKLAIVLVGLPARGKTFTAAKLTRYLRWLGHETKHFNVGKYRRLKHGTNQSADFFRADNPEGLEARNEVAALAMDDMLSWMQEGGQVGIFDATNSSKTREICS >DRNTG_16892.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29961714:29973280:1 gene:DRNTG_16892 transcript:DRNTG_16892.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGTISSKNQDSSSNGLRDAAGDGVFYVSLKMENPKIAGDLIPHVFGSLPIIGSWDSSKALSMQRESASMWELSFIVPPNHETLDFKFLLKPKSSGEPCVVEEGPNRLLTGGSLEGDARNALFRTGGGDDEALEYKVFIKADIVSPFDLAASWRAYQENLKPSTVRGIPDVSINSAPETEFEVGSLELDLEHYVVPAPASACSGAVYAANLAETPRYLRSGIFSKGDASSSSHGSSKGNVLSAEHVASRKDIEVIVPDHSKIFSSSGMVESKSVSTFSQLQKQDGQRGLFVDRGVGSPRLVKSASASAFPNDLKLDTEEKKAMPAAAGAVQAAAIADRMHGPKEDRKLAIVLVGLPARGKTFTAAKLTRYLRWLGHETKHFNVGKYRRLKHGTNQSADFFRADNPEGLEARNEVAALAMDDMLSWMQEGGQVGIFDATNSSKTREICS >DRNTG_16892.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29966959:29973280:1 gene:DRNTG_16892 transcript:DRNTG_16892.13 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKMAEGNCKIIFLETICNDVSIIERNIRLKIQQSPDYAEQPDFEAGLEDFKTRLANYEKAYESVEEGSYIKMIDMASGQGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESRDNVRGRIGGDTALRLTLY >DRNTG_16892.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29964927:29973307:1 gene:DRNTG_16892 transcript:DRNTG_16892.12 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKMAEGNCKIIFLETICNDVSIIERNIRLKIQQSPDYAEQPDFEAGLEDFKTRLANYEKAYESVEEGSYIKMIDMASGQGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESRDNVRGRIGGDTALSEAGEVYAKKLANFVEKRLKFEKTASIWTSTLQRTILTASPIVGFPKIQWRALEEINAGVCDGMSYEEIRKNMPEEYESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQHAPVVVISHQAVLRALYAYFADRPLIEIPHIEVPLHTIIEIQMGVTGVQEKRYKLMD >DRNTG_16892.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29961714:29973280:1 gene:DRNTG_16892 transcript:DRNTG_16892.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGTISSKNQDSSSNGLRDAAGDGVFYVSLKMENPKIAGDLIPHVFGSLPIIGSWDSSKALSMQRESASMWELSFIVPPNHETLDFKFLLKPKSSGEPCVVEEGPNRLLTGGSLEGDARNALFRTGGGDDEALEYKVFIKADIVSPFDLAASWRAYQENLKPSTVRGIPDVSINSAPETEFEVGSLELDLEHYVVPAPASACSGAVYAANLAETPRYLRSGIFSKGDASSSSHGSSKGNVLSAEHVASRKDIEVIVPDHSKIFSSSGMVESKSVSTFSQLQKQDGQRGLFVDRGVGSPRLVKSASASAFPNDLKLDTEEKKAMPAAAGAVQAAAIADRMHGPKEDRKLAIVLVNYDMQYLWGSFLSNFFP >DRNTG_23504.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:821369:827580:1 gene:DRNTG_23504 transcript:DRNTG_23504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTGKRRQDLVCNICGDVGYADYIATCCHCKRVSEHIYCMQNKLYEIPETWTCEQCPSVVSKCKKIEITSSNVKRLKPDDKQSYKENFRQHKAQLLQHERIGPSSVKAHPADRRTSVTRDVQKQLTGSAFPSPSTIDKAAEKCLPVKDVSAQTFGGKSTVSNISPSLGASVARMNQHKIICSKNLNLCKTLYQPKEEDTDANEKSGSVCNYGTSGKDSLPALIKTEPCEEGTYPQMCKPLDHTDHILSAYCADVPTLGINNAPYHSPIKKCSGQNIQVSELPQGWNQGTA >DRNTG_23504.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:821369:827368:1 gene:DRNTG_23504 transcript:DRNTG_23504.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTGKRRQDLVCNICGDVGYADYIATCCHCKRVSEHIYCMQNKLYEIPETWTCEQCPSVVSKCKKIEITSSNVKRLKPDDKQSYKENFRQHKAQLLQHERIGPSSVKAHPADRRTSVTRDVQKQLTGSAFPSPSTIDKAAEKCLPVKDVSAQTFGGKSTVSNISPSLGASVARMNQHKIICSKNLNLCKTLYQPKEEDTDANEKSGSVCNYGTSGKDSLPALIKTEPCEEGTYPQMCKPLDHTDHILSAYCADVPTLGINNAPYHSPIKKCSGQNIQVSELPQGWNQGTA >DRNTG_23504.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:821369:824743:1 gene:DRNTG_23504 transcript:DRNTG_23504.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTGKRRQDLVCNICGDVGYADYIATCCHCKRVSEHIYCMQNKLYEIPETWTCEQCPSVVSKCKKIEITSSNVKRLKPDDKQSYKENFRQHKAQLLQHERIGPSSVKAHPADRRTSVTRDVQKQLTGSAFPSPSTIDKAAEKCLPVKDVSAQTFGGKSTVSNISPSLGASVARMNQHKIICSKNLNLCKTLYQPKEEDTDANEKSGSVCNYGTS >DRNTG_23504.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:821369:827368:1 gene:DRNTG_23504 transcript:DRNTG_23504.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTGKRRQDLVCNICGDVGYADYIATCCHCKRVSEHIYCMQNKLYEIPETWTCEQCPSVVSKCKKIEITSSNVKRLKPDDKQSYKENFRQHKAQLLQHERIGPSSVKAHPADRRTSVTRDVQKQLTGSAFPSPSTIDKAAEKCLPVKDVSAQTFGGKSTVSNISPSLGASVARMNQHKIICSKNLNLCKTLYQPKEEDTDANEKSGSVCNYGTSGKDSLPALIKTEPCEEGTYPQMCKPLDHTDHILSAYCADVPTLGINNAPYHSPIKKCSGQNIQVSELPQGWNQGTA >DRNTG_23504.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:821251:824992:1 gene:DRNTG_23504 transcript:DRNTG_23504.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTGKRRQDLVCNICGDVGYADYIATCCHCKRVSEHIYCMQNKLYEIPETWTCEQCPSVVSKCKKIEITSSNVKRLKPDDKQSYKENFRQHKAQLLQHERIGPSSVKAHPADRRTSVTRDVQKQLTGSAFPSPSTIDKAAEKCLPVKDVSAQTFGGKSTVSNISPSLGASVARMNQHKIICSKNLNLCKTLYQPKEEDTDANEKSGSVCNYGTSGKDSLPALIKTEPCEEGTYPQMCKPLDHTDHILSAYCADVPTLGINNAPYHSPIKKCSG >DRNTG_34273.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002110.1:72324:73944:-1 gene:DRNTG_34273 transcript:DRNTG_34273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSMAKNTRACCLLLLRLLALAATVTAAIVMGTSHEITTFFNIKLEAKFQYTPAFVFFLIVNAVGGVYTLLVLFIPRFNNSVSSFIILMDLVVTMFLVSAISAAGAVSQVGKKGNEHAGWLPICGQTPEFCDHVMGALISAFVGVLIYFVLLLHTIYSNIFSPLLT >DRNTG_04171.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:498940:501120:1 gene:DRNTG_04171 transcript:DRNTG_04171.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-phytyl-1,4-beta-naphthoquinone methyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G23360) UniProtKB/Swiss-Prot;Acc:Q3ED65] MMLMMSMTMAAASSLGGIISSAASSSFSSSSRASIQCSMERQALFNRIAPVYDGLNDVLSLGQHRLWKRMSVTWSGAKKGDSVLDLCCGSGDLAFLLSHYVGLHGQVSALDFSSDQLSIASSRQELNWKACYDNIKWIEGDALDLPFPSSSFDAITMGYGLRNLVDRKKALQEIFRVLKPAGSRASILDFNKSTSAIAKMFRVWMMDNVVVPVASTYGLADEYRYLRDSINDFLTGKELEELARGVGFNKAKHYELGGGLMGNLVVTK >DRNTG_04171.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:498940:501120:1 gene:DRNTG_04171 transcript:DRNTG_04171.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-phytyl-1,4-beta-naphthoquinone methyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G23360) UniProtKB/Swiss-Prot;Acc:Q3ED65] MMLMMSMTMAAASSLGGIISSAASSSFSSSSRASIQCSMERQALFNRIAPVYDGLNDVLSLGQHRLWKRMSVTWSGAKKGDSVLDLCCGSGDLAFLLSHYVGLHGQVSALDFSSDQLSIASSRQELNWKACYDNIKWIEGDALDLPFPSSSFDAITMGYGLRNLVDRKKALQEIFRVLKPGSRASILDFNKSTSAIAKMFRVWMMDNVVVPVASTYGLADEYRYLRDSINDFLTGKELEELARGVGFNKAKHYELGGGLMGNLVVTK >DRNTG_26397.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18109216:18112832:-1 gene:DRNTG_26397 transcript:DRNTG_26397.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ROOT INITIATION DEFECTIVE 3 [Source:Projected from Arabidopsis thaliana (AT3G49180) UniProtKB/Swiss-Prot;Acc:Q9M3B4] MLISGSVDGSVRVWSLLMMFDEIGKEAVRNLYVYSFSEHSLRVTDVVSGHGFCNSIIVSSSEDRTCKIWSLSKGRLLRTVYFPAIINAIAMDPGEHAFYAGGRDGKIYIVALNGEHNPDSSHGLFIIGSLTDHSKAVTCLAFSIDGVTLVSGSEDGTVRVWDAKKKLVVRVLKHMKGPVNNVIIVRQQSCSDSQVLQSRKRMHLSMPPPLNKYINSTDGELESQAVIVPQPTREPLDTGYCSSTVMKNQIKELQNSSRATEMEVEKLRMQCARSAQVAQQWSKLYQDLQDVYVDKMLDDN >DRNTG_07250.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000329.1:46409:48958:-1 gene:DRNTG_07250 transcript:DRNTG_07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFTSKHVIKHTPGYEEPTVLASETSFTVSEVEALYELFKKLSSSIIKDGLIHKEEFQLALFRNRNKRNLFADRIFDLFDLKRNGVIEFGEFVRSLSIFHPNTPEADKIAFAFKLYDLRHTGYIEREELKEMVLAILNESDLFLSNEFVEIIVDKTFTQADLKGDGKINPDEWEEFAKKNPSLLKNMTLPYLKDITMAFPSFVVNSEVDDSDLTF >DRNTG_07250.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000329.1:46840:48958:-1 gene:DRNTG_07250 transcript:DRNTG_07250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFTSKHVIKHTPGYEEPTVLASETSFTVSEVEALYELFKKLSSSIIKDGLIHKEEFQLALFRNRNKRNLFADRIFDLFDLKRNGVIEFGEFVRSLSIFHPNTPEADKIAFAFKLYDLRHTGYIEREELKEMVLAILNESDLFLSNEFVEIIVDKTFTQADLKGDGKINPDEWEEFAKKNPSLLKNMTLPYLK >DRNTG_25607.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:167743:171824:-1 gene:DRNTG_25607 transcript:DRNTG_25607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLRRFAMYSIYIDVVGCRVERVGVVISKWGVRNSIDFLSLSYTRHAEDVRQVFLFQKAAVYKCNMAGKPAVISRVVDSMTDNLSPTRAEATDVANAVLDGSDVILLGAEILQGLYPVETISIVGKICAEHDHHLRYVQQSKLKLLLSLSSLHLEGLQVIPRLNTNQLRWSFTGAFLYSYTRHTGTSASNRFEVPVKYARQSHIVRGLFPMRGDPRDPAESTSGTNDSVLKVAP >DRNTG_20627.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20575340:20579110:-1 gene:DRNTG_20627 transcript:DRNTG_20627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAGCKASSPLIFLFPQQQVLFLLSLLCLALILPFITSSATTSTSNITSRDCIKEERDALLTFKAQMTYHKIYPISSWGDQTDECCHWDGVRCDNNSGHVVRLDLKGALDWGLRGNISQSLLVLQHLTYLDLSDNFFVNFSIPKLLGLLENLVYLDLRYSGFTGVIPHELGNLTRPRYLNLDAEEGRGYSKVDDAEWLSGLSSLEYLFMDHVNFSGVDNVMQSLNKLQHLKEVYLFECSMNIISESLPYLNFTSLVVMDISSNMFGNTSIPEWLFRMPSLCDLNMYSTGLAGSIPSSVGNASSLQFLDLRGNEGISGDMPRGFGNLCNLQGLYLDGTFVGKSLNEFRDAFSRCINLNLNVLYFRNSSLQGPLPDWLGELINLTSLDLSTNNLHSSIPAPIGKLSQLQELYLYSNALNGFIPKSLGRLSSLQHLDLRDNALNGSIPASLGRLSGLQYLDLAANALIGSIPASLGRLSRLQYLSLSDNALNGSVPASLGNLSELQYLLLGGNELNGPAPENLSQLSNLITFDLSNNFNYNSVITETHLAHLTSLKYLILDYTNLALNISTNWIPVFQAFEVNLHHGQVGPKFPLWLANQVTLKHLVLSNTGIKDSIPDWFWNNTHILSFLDLSNNEINGQLPQRLQAGEYSLRIFLSSNCFEGSIPYFSPMVGALDLSNNSFSGMIPSNLGNFGGIRPQLTFLSLSSNNLSGGIPNSLCNLVDLVLLDFSNNHLEGPIPNCWNNLTHLRYLILANNSLAGEIPDDIGLMQSLESLDLSRNKLIGPIPPSLSTLHFLESLNLSHNNLCGKIPYCSQLTTFNDPSIYAGNINLCGAPLSKNCTSDEPKSNSHVDDQEDEDDKDDLPIWFYIGLMLAQLQEEGNYSGSAVFAESPIKSEGLVFRKLRERLILCEASEISY >DRNTG_05412.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5342757:5343205:-1 gene:DRNTG_05412 transcript:DRNTG_05412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCSRGMIQSVCPDFHTTQYTGPYGGLQKRDFLARLFAGHILGRHLRGLWRPWGGEEGQGS >DRNTG_32163.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30944716:30946619:1 gene:DRNTG_32163 transcript:DRNTG_32163.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNYVQACDYIAMFDADFQPSPDFLMRTVPFLVHNDDVALVQARWKFVNARECLMTRIQEMSMDYHFKVEQESGSSTFAFFGFNGTAGVWRIKAIDDAGGWNDRTTVEDMDLAVRAGLGGWKFIYVGDIKVNSELPSTLKAYRYQQHRWSCGPANLFRKMAWEIIQAEKVSFWKKFYVIYNFFLARRIISHYVTFFFYSIVIPLAVFFPEVHLPKWGVVYIPTAITLLNSVGTPRSFHLIIFWVLLENVMSLHRCKAVFIGLLEAGRANEWVVTEKLGDMLKTKPDTSVTNKFQIRFWKRFHVLELVVSVFLLISASYNLINGGDSYYMYIIPQAISFFLVGIGYVGTFIPTDK >DRNTG_32163.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30943880:30946619:1 gene:DRNTG_32163 transcript:DRNTG_32163.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGAVQRVYEILFSVAFGVPDEVVFIWRKVREVVLVPAMNIAVIMCLIMSVMVFIEKLVMGAVSLYVKVFHRKPEKIYKWEALREDVEIGTSVFPMVLVQIPMFNEKEVYKLSIEAACNLTWPSDRVIIQVLDDSTDPVIKGLVRNECEKWLRKGKNIRYETRNNRNGYKAGALKEGMKYNYVQACDYIAMFDADFQPSPDFLMRTVPFLVHNDDVALVQARWKFVNARECLMTRIQEMSMDYHFKVEQESGSSTFAFFGFNGTAGVWRIKAIDDAGGWNDRTTVEDMDLAVRAGLGGWKFIYVGDIKVNSELPSTLKAYRYQQHRWSCGPANLFRKMAWEIIQAEKVSFWKKFYVIYNFFLARRIISHYVTFFFYSIVIPLAVFFPEVHLPKWGVVYIPTAITLLNSVGTPRSFHLIIFWVLLENVMSLHRCKAVFIGLLEAGRANEWVVTEKLGDMLKTKPDTSVTNKFQIRFWKRFHVLELVVSVFLLISASYNLINGGDSYYMYIIPQAISFFLVGIGYVGTFIPTDK >DRNTG_32163.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30945039:30946619:1 gene:DRNTG_32163 transcript:DRNTG_32163.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIQEMSMDYHFKVEQESGSSTFAFFGFNGTAGVWRIKAIDDAGGWNDRTTVEDMDLAVRAGLGGWKFIYVGDIKVNSELPSTLKAYRYQQHRWSCGPANLFRKMAWEIIQAEKVSFWKKFYVIYNFFLARRIISHYVTFFFYSIVIPLAVFFPEVHLPKWGVVYIPTAITLLNSVGTPRSFHLIIFWVLLENVMSLHRCKAVFIGLLEAGRANEWVVTEKLGDMLKTKPDTSVTNKFQIRFWKRFHVLELVVSVFLLISASYNLINGGDSYYMYIIPQAISFFLVGIGYVGTFIPTDK >DRNTG_32163.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30943519:30944640:1 gene:DRNTG_32163 transcript:DRNTG_32163.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGAVQRVYEILFSVAFGVPDEVVFIWRKVREVVLVPAMNIAVIMCLIMSVMVFIEKLVMGAVSLYVKVFHRKPEKIYKWEALREDVEIGTSVFPMVLVQIPMFNEKEVYKLSIEAACNLTWPSDRVIIQVLDDSTDPVIK >DRNTG_32163.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30943519:30944441:1 gene:DRNTG_32163 transcript:DRNTG_32163.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGQGSGASASNEYSSHHVPYHVGDGLHREVGHGCCQSLCESLS >DRNTG_32163.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30943219:30946619:1 gene:DRNTG_32163 transcript:DRNTG_32163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGAVQRVYEILFSVAFGVPDEVVFIWRKVREVVLVPAMNIAVIMCLIMSVMVFIEKLVMGAVSLYVKVFHRKPEKIYKWEALREDVEIGTSVFPMVLVQIPMFNEKEVYKLSIEAACNLTWPSDRVIIQVLDDSTDPVIKGLVRNECEKWLRKGKNIRYETRNNRNGYKAGALKEGMKYNYVQACDYIAMFDADFQPSPDFLMRTVPFLVHNDDVALVQARWKFVNARECLMTRIQEMSMDYHFKVEQESGSSTFAFFGFNGTAGVWRIKAIDDAGGWNDRTTVEDMDLAVRAGLGGWKFIYVGDIKVNSELPSTLKAYRYQQHRWSCGPANLFRKMAWEIIQAEKVSFWKKFYVIYNFFLARRIISHYVTFFFYSIVIPLAVFFPEVHLPKWGVVYIPTAITLLNSVGTPRSFHLIIFWVLLENVMSLHRCKAVFIGLLEAGRANEWVVTEKLGDMLKTKPDTSVTNKFQIRFWKRFHVLELVVSVFLLISASYNLINGGDSYYMYIIPQAISFFLVGIGYVGTFIPTDK >DRNTG_32163.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30943219:30944441:1 gene:DRNTG_32163 transcript:DRNTG_32163.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGQGSGASASNEYSSHHVPYHVGDGLHREVGHGCCQSLCESLS >DRNTG_32163.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30944539:30946619:1 gene:DRNTG_32163 transcript:DRNTG_32163.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNYVQACDYIAMFDADFQPSPDFLMRTVPFLVHNDDVALVQARWKFVNARECLMTRIQEMSMDYHFKVEQESGSSTFAFFGFNGTAGVWRIKAIDDAGGWNDRTTVEDMDLAVRAGLGGWKFIYVGDIKVNSELPSTLKAYRYQQHRWSCGPANLFRKMAWEIIQAEKVSFWKKFYVIYNFFLARRIISHYVTFFFYSIVIPLAVFFPEVHLPKWGVVYIPTAITLLNSVGTPRSFHLIIFWVLLENVMSLHRCKAVFIGLLEAGRANEWVVTEKLGDMLKTKPDTSVTNKFQIRFWKRFHVLELVVSVFLLISASYNLINGGDSYYMYIIPQAISFFLVGIGYVGTFIPTDK >DRNTG_02865.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:13130350:13134759:-1 gene:DRNTG_02865 transcript:DRNTG_02865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPAPLSLETMRLMSMVRRVQTGVFALVLPASEIVEDEGDEAGVSRPATEPQPAPMENEAPPVIEEPPLRM >DRNTG_04110.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30079629:30081290:1 gene:DRNTG_04110 transcript:DRNTG_04110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGCRHFGMGAKDGGPGPGPEEIEHVEAAFTVLSLIYAFCASDFMPSLRILDIDGHEKIMKKAIKVINKYHDPIIEERMRRWRSDGGADNEPEDILDVFISLKDDKGKPLLTMEEIKAQAAELILATVDNPSNTVEWAMAEMLNQPDILRKAIKELDSVIGPNRLVEESDFPNLPYLKACAREALRLHPLAPFNLPHVSTTNTTVAGFFIPKGSQVLLSRVGLGRNPKVWEDPMRFNPDRHLNEKNVELAEPNLRFISFSAGRRGCMGAPLGTMMTYMLLARVLHAFTWSLPVGEEPVNLSEDKLSLFMVKPLHAFAKPRLPFLS >DRNTG_04110.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30078755:30081290:1 gene:DRNTG_04110 transcript:DRNTG_04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEYSSRGFLSVVIAPWGDQWKKMRRVIASEVMNHKRFRSMAKMRVEEADNLVRCIQYQSEANEVIDVRKSLRYYSGNIIRRMIFGCRHFGMGAKDGGPGPGPEEIEHVEAAFTVLSLIYAFCASDFMPSLRILDIDGHEKIMKKAIKVINKYHDPIIEERMRRWRSDGGADNEPEDILDVFISLKDDKGKPLLTMEEIKAQAAELILATVDNPSNTVEWAMAEMLNQPDILRKAIKELDSVIGPNRLVEESDFPNLPYLKACAREALRLHPLAPFNLPHVSTTNTTVAGFFIPKGSQVLLSRVGLGRNPKVWEDPMRFNPDRHLNEKNVELAEPNLRFISFSAGRRGCMGAPLGTMMTYMLLARVLHAFTWSLPVGEEPVNLSEDKLSLFMVKPLHAFAKPRLPFLS >DRNTG_01370.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17794588:17797144:1 gene:DRNTG_01370 transcript:DRNTG_01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAPSSPERSAKLGFLCHGWRMGKKLAIAGPNVMMAPLVVPPLLVLSIVGLVCAVCMIKVNHAWLHDWRRRSSLGSGGVSGGRIVCFYQYLYITLLAAKVCTCSISISSILFVLLCSVHICLLGLTLTRLKLRPCTQWDPVLLDAAGLSAGPAVGLGRDTVPVCVYLATFATIEGVMTSLMPIPSNEEPMESEAGIEPLDVVYAFVVKEKGRMDDDDDVRGGREEKRGH >DRNTG_30335.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:9394281:9398465:1 gene:DRNTG_30335 transcript:DRNTG_30335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECVPTSSHSSEHIHLEGHKGSNTQAFCFMHTRTGAPPTYISLKKSKTIAEHYRNTVESTVAALFITGRETGETENPHGRVEIIHARVEIPHGRVYRPRLWSCPIPSLFKADSAPILEMFNPDPYEGLFDQEESNEEVMMLGSNGEETFNPGILMNVLRKMKRARRRHRKRPKTVGDVHEPRKLDE >DRNTG_31374.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001691.1:3108:3707:-1 gene:DRNTG_31374 transcript:DRNTG_31374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEILAFSDAMDLGCGIALPMMMKAMIELDVLETMAAAGSGALLSPEEIASKIQTSNPDAHEVLDRMLRFLAAHKVMMCDEMDGEEDGKSKRRYGLGPVCKFFTKDEDGVSLAPLLLIHHSKFMADTW >DRNTG_22676.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:13657728:13659187:1 gene:DRNTG_22676 transcript:DRNTG_22676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVADPHVTRPLAVCQKQREIRGQLHQILEGQRRLEADFHHFITSYYGSSSHIMTLPSAAMPPPQVIVLDAAFGWEFHGLHAPAWMPVRFAGNSQGSGRRPTLPTRRSHRERLHQEGDKL >DRNTG_08186.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6905262:6906273:-1 gene:DRNTG_08186 transcript:DRNTG_08186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSESIADYADDLPTHGAITHRARATHKWLMEDIPQVAARVQDRCVGKKTNIGYIKGCSVALNVWFYELTGTGKKVRFGKVPRMLCYGESTYRKQAMVETSLSSLNGKEFPELVPANAEEEIFIGANRRMDAIAPEPLARRQDERAAHHAHAILDAGEAFPHPGRLQQPPLPRQRQSPDHGSPSDRGSPTGDI >DRNTG_20250.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20905484:20905908:-1 gene:DRNTG_20250 transcript:DRNTG_20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRILDNKIPILVVPFPTQGHLNTLLHFSLLLSSHGLPAHFASSSIHNHQARHSLLGWTSTSLHNITFHDIP >DRNTG_07945.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1087810:1091856:1 gene:DRNTG_07945 transcript:DRNTG_07945.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter PHO1 homolog 1 [Source:Projected from Arabidopsis thaliana (AT1G68740) UniProtKB/Swiss-Prot;Acc:Q93ZF5] MDRGDSLKKQMDILIDLKAALKQQRLRSSSTKDDPSISCSITSDEDSVRSRGEQEQGQEKTECEFEGLDETEKEVKAKKEKMKLRSLSGTVISSQGKSLRINIPLTTPTRTITALTYSVWEDLVNQSKKCGPESGRVNINKTKLHHAEKMIRGAFMELYKGLGYLKTYRQLNMLAFAKILKKFDKITGKQALAIYLKEVERSYFNSSDKAIKLLDEVEELFIKHFADNDQRKAMKYLKPHMRKESHAVTFFIGLFTGCFIALFIGYCIMAHIAGMYTGQSDSVYMETVYPVLSMFSLLFLHLFLYGCNIFMWRRTRINYSFIFEFAPTKELKYRDVFLICTTSMTVVVGVLFAHLAIIAKGYTSTQIQAIPGILLLMFIVVLICPFNVLYRSSRYHFLRVIRNIILSPLYKVVMVDFFMADQLCSQVPMLRNLEYVACYYITGSYKTQDYGYCSSRSHYRDLAYAVSFLPYYWRAMQCARRWFDEGQTSHLVNLGKYVSAMLAAGAKVAYEKEKTIGWLSLVVAMSSAATVYQLYWDFVKDWGLLQVGSKNPWLRNELILRRKFIYFLSMGLNFILRLAWLQTVLHYNFGSLDYRVTSFFLAALEVIRRGHWNFYRLENEHLNNAGKFRAVKTVPLPFHEVDQD >DRNTG_07945.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1090049:1091856:1 gene:DRNTG_07945 transcript:DRNTG_07945.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter PHO1 homolog 1 [Source:Projected from Arabidopsis thaliana (AT1G68740) UniProtKB/Swiss-Prot;Acc:Q93ZF5] MFSLLFLHLFLYGCNIFMWRRTRINYSFIFEFAPTKELKYRDVFLICTTSMTVVVGVLFAHLAIIAKGYTSTQIQAIPGILLLMFIVVLICPFNVLYRSSRYHFLRVIRNIILSPLYKVVMVDFFMADQLCSQVPMLRNLEYVACYYITGSYKTQDYGYCSSRSHYRDLAYAVSFLPYYWRAMQCARRWFDEGQTSHLVNLGKYVSAMLAAGAKVAYEKEKTIGWLSLVVAMSSAATVYQLYWDFVKDWGLLQVGSKNPWLRNELILRRKFIYFLSMGLNFILRLAWLQTVLHYNFGSLDYRVTSFFLAALEVIRRGHWNFYRLENEHLNNAGKFRAVKTVPLPFHEVDQD >DRNTG_25169.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13823831:13828659:1 gene:DRNTG_25169 transcript:DRNTG_25169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRWSWTGPGTSYPNKELLLARGPVMEMEFSPDGEYIASESMDQHLLIWKVKDGTIVKSYVGCDPCVIRLCVNNNNLHKFPDKASFQLSVSVAHLLRTEK >DRNTG_05972.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12134252:12142939:-1 gene:DRNTG_05972 transcript:DRNTG_05972.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triacylglycerol lipase 1 [Source:Projected from Arabidopsis thaliana (AT2G15230) UniProtKB/Swiss-Prot;Acc:Q71DJ5] MISYVYATTHSKVFFVGHSQGTIMALSAFTLPDAVEMIEAAALLCPISYLDHITSRFVLRAVSLHLDQLLITLGLHQLNFRSDMGIQILDLLCDGHMDCNNMLASITGVNCCFNMTRMDYYLEYEPHPSSTKNLNHLFQMIRKGTFARYDYGLWGNLKRYGKLKPPAFNLADIPKSLPLWMAYGGNDALADPADVKRTIKGLKSKPVLLYNDSYGHIDFIMSVNAKDDVYANLLSFFRARSWLSSY >DRNTG_08825.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27982009:27989345:-1 gene:DRNTG_08825 transcript:DRNTG_08825.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRGVLFVLLLALVLLPISLSVRPFILVIHNEDLVDGSASADAESVEDSAPDWEEFGEPDAISEDDLDPGSWRPIFEPSSSSSALNSSSDSLYFSGVRSLISASSSGDTAAMDEAISVIETAAFAGSPHAQSALGFVFSTGCMRAKNRAKAHLYHYFAAQAGNMQSKMVLAYTYFRQDMPERAVKHYAELAEAAVASFLISKEPPVIEPVRIHSGTEENKDGLRKSRGEDDEDFQIIEYQAQKGNAAAMYRIGVLYYYGLRGVRRDHARALFWFSKAVDKGEPRAMELLGEIYARGAGVERNYTKAFEWLKLASRQQHYSAYNGLGYLYVKGYGVEKNLTKAKEFFEKAAENKEAGGHYNLGVLYLKGIGVKKDVVTACKYFLVAANAGQPKAIFQVAKMFQKGIGIKKNIQMATILYKTVAERGPWSSLSRWALEAYLKGDVGKALLLYSRMAELGYEVAQSNAAWILDRYGEHSMCIGESGFCTDQERHLRAHALWWQASEQGNEHAALLIGDAYYYGRGTGRDYERAAEAYMHAQSQSNAQAMFNLGYMHEHGQGLPFDLHLAKRYYDQALENDPAAKLPVSLALASLWIRKNYADSFMVGMIDALPDLFPRIEEWVEEVLMDEGNVTILTLFVCLLTVLYLRERQRRLAVVPPPPPEPEDEAPAPN >DRNTG_01752.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4337790:4344595:1 gene:DRNTG_01752 transcript:DRNTG_01752.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDKILPFSSTLGWHSLNVNGEVQTRKGLRWIPRHPETRKGVASDEMLRGVENKHRSGDSRIGQPFELLPNPWAGKRQPGELKHLSSQRKRKQKRFP >DRNTG_01752.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4337790:4344595:1 gene:DRNTG_01752 transcript:DRNTG_01752.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDKILPFSSTLGWHSLNVNGEVQTRKGLRWIPRHPETRKGVASDEMLRGVENKHRSGDSRIGQPFELLPNPWAGKRQPGELKHLSSQRKRKQKRFP >DRNTG_21975.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001225.1:10599:15131:1 gene:DRNTG_21975 transcript:DRNTG_21975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVDIYYTRTVRHTYMVPQKCLTWDVRLLGLIDRCSGMQPLGVIILQAMRIIERPLLPLNLPRLSFQPLLFSLSPLIIAPTLAITPPHKECMAHLEEGQVRTEGIAVACCSFFI >DRNTG_08784.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7348399:7351309:-1 gene:DRNTG_08784 transcript:DRNTG_08784.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLHIHGHGKALSTLFFLLFIYHSIAIETLTYKQIKANCGTTKYVDNSPFSTNLNSLLSTLKNKSSSSISINETAGEAPATVFGLYFCTGDLPQANCQACIQTAINDITANCPSSKQAIIWYDYCELRYSDTNFFGDPDNNGFSMINDKENTTSKRPVEVVSQLVKDAPLAHPLMFKSQALIPESLYALAQCSSDLTRQGCSDCLTTILASIKSCCTTAKGWRYLAPSCWIRYEATPFLQNLNTTSIEITRSFCSSNDFPASNGLNAATQLENLLSSLTEQAPAQKGFYNTSEGEDMNKIYGLALCRGDLQNKMDDCKSCLKDASKSIVEDCPNKAQAIEWYEKCFVRYSNQNFFGIVDTNGAQALCGTGKISPTADNSVEALTMSLISDAINSPMLFRAVQNLSNYILVQCTRDLSQESCRECLQAGMSKVSNECKQANGWRYLSGSCTLRYEEYPFFNSTLISPSPPTSASLSPVTPEKDGAGNKASTISLAAVVTSVLAVIIL >DRNTG_08784.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7348399:7351309:-1 gene:DRNTG_08784 transcript:DRNTG_08784.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLHIHGHGKALSTLFFLLFIYHSIAIETLTYKQIKANCGTTKYVDNSPFSTNLNSLLSTLKNKSSSSISINETAGEAPATVFGLYFCTGDLPQANCQACIQTAINDITANCPSSKQAIIWYDYCELRYSDTNFFGDPDNNGFSMINDKENTTSKRPVEVVSQLVKDAPLAHPLMFKSQALIPESLYALAQCSSDLTRQGCSDCLTTILASIKSCCTTAKGWRYLAPSCWIRYEATPFLQNLNTTSIEITRSFCSSNDFPASNGLNAATQLENLLSSLTEQAPAQKGFYNTSEGEDMNKIYGLALCRGDLQNKMDDCKSCLKDASKSIVEDCPNKAQAIEWYEKCFVRYSNQNFFGIVDTNGAQALCGTGKISPTADNSVEALTMSLISDAINSPMLFRAVQNLSNYILVQCTRDLSQESCRECLQAGMSKVSNECKQANGWRYLSGSCTLRYEEYPFFNSTLISPSPPTSASLSPVTPEKDGAAGNKASTISLAAVVTSVLAVIIL >DRNTG_08784.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7348399:7351466:-1 gene:DRNTG_08784 transcript:DRNTG_08784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTLISTTLHIRSQYIKPRHQMASLLLHIHGHGKALSTLFFLLFIYHSIAIETLTYKQIKANCGTTKYVDNSPFSTNLNSLLSTLKNKSSSSISINETAGEAPATVFGLYFCTGDLPQANCQACIQTAINDITANCPSSKQAIIWYDYCELRYSDTNFFGDPDNNGFSMINDKENTTSKRPVEVVSQLVKDAPLAHPLMFKSQALIPESLYALAQCSSDLTRQGCSDCLTTILASIKSCCTTAKGWRYLAPSCWIRYEATPFLQNLNTTSIEITRSFCSSNDFPASNGLNAATQLENLLSSLTEQAPAQKGFYNTSEGEDMNKIYGLALCRGDLQNKMDDCKSCLKDASKSIVEDCPNKAQAIEWYEKCFVRYSNQNFFGIVDTNGAQALCGTGKISPTADNSVEALTMSLISDAINSPMLFRAVQNLSNYILVQCTRDLSQESCRECLQAGMSKVSNECKQANGWRYLSGSCTLRYEEYPFFNSTLISPSPPTSASLSPVTPEKDGAGNKASTISLAAVVTSVLAVIIL >DRNTG_08784.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7349420:7351466:-1 gene:DRNTG_08784 transcript:DRNTG_08784.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTLISTTLHIRSQYIKPRHQMASLLLHIHGHGKALSTLFFLLFIYHSIAIETLTYKQIKANCGTTKYVDNSPFSTNLNSLLSTLKNKSSSSISINETAGEAPATVFGLYFCTGDLPQANCQACIQTAINDITANCPSSKQAIIWYDYCELRYSDTNFFGDPDNNGFSMINDKENTTSKRPVEVVSQLVKDAPLAHPLMFKSQALIPESLYALAQCSSDLTRQGCSDCLTTILASIKSCCTTAKGWRYLAPSCWIRYEATPFLQNLNTTSIEITRSFCSSNDFPASNGLNAATQLENLLSSLTEQAPAQKGFYNTSEGEDMNKIYGLALCRGDLQNKMDDCKSCLKDASKSIVEDCPNKAQAIEWYEKCFVRYSNQNFFGIVDTNGAQALCGTGKISPTADNSVEALTMSLISDAINSPMLFRAVQNLSNYILVQCTRDLSQESCRECLQAGMSKVSNECKQANGWRYLSGSCTLRYEEYPFFNSTLISPSPPTSASLSPVTPEKDGAAGNKASTISLAAVVTSVLAVIIL >DRNTG_08784.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7349420:7351309:-1 gene:DRNTG_08784 transcript:DRNTG_08784.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLHIHGHGKALSTLFFLLFIYHSIAIETLTYKQIKANCGTTKYVDNSPFSTNLNSLLSTLKNKSSSSISINETAGEAPATVFGLYFCTGDLPQANCQACIQTAINDITANCPSSKQAIIWYDYCELRYSDTNFFGDPDNNGFSMINDKENTTSKRPVEVVSQLVKDAPLAHPLMFKSQALIPESLYALAQCSSDLTRQGCSDCLTTILASIKSCCTTAKGWRYLAPSCWIRYEATPFLQNLNTTSIEITRSFCSSNDFPASNGLNAATQLENLLSSLTEQAPAQKGFYNTSEGEDMNKIYGLALCRGDLQNKMDDCKSCLKDASKSIVEDCPNKAQAIEWYEKCFVRYSNQNFFGIVDTNGAQALCGTGKISPTADNSVEALTMSLISDAINSPMLFRAVQNLSNYILVQCTRDLSQESCRECLQAGMSKVSNECKQANGWRYLSGSCTLRYEEYPFFNSTLISPSPPTSASLSPVTPEKDGAAGNKASTISLAAVVTSVLAVIIL >DRNTG_08784.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7349420:7351466:-1 gene:DRNTG_08784 transcript:DRNTG_08784.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTLISTTLHIRSQYIKPRHQMASLLLHIHGHGKALSTLFFLLFIYHSIAIETLTYKQIKANCGTTKYVDNSPFSTNLNSLLSTLKNKSSSSISINETAGEAPATVFGLYFCTGDLPQANCQACIQTAINDITANCPSSKQAIIWYDYCELRYSDTNFFGDPDNNGFSMINDKENTTSKRPVEVVSQLVKDAPLAHPLMFKSQALIPESLYALAQCSSDLTRQGCSDCLTTILASIKSCCTTAKGWRYLAPSCWIRYEATPFLQNLNTTSIEITRSFCSSNDFPASNGLNAATQLENLLSSLTEQAPAQKGFYNTSEGEDMNKIYGLALCRGDLQNKMDDCKSCLKDASKSIVEDCPNKAQAIEWYEKCFVRYSNQNFFGIVDTNGAQALCGTGKISPTADNSVEALTMSLISDAINSPMLFRAVQNLSNYILVQCTRDLSQESCRECLQAGMSKVSNECKQANGWRYLSGSCTLRYEEYPFFNSTLISPSPPTSASLSPVTPEKDGAGNKASTISLAAVVTSVLAVIIL >DRNTG_08784.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7349420:7351309:-1 gene:DRNTG_08784 transcript:DRNTG_08784.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLHIHGHGKALSTLFFLLFIYHSIAIETLTYKQIKANCGTTKYVDNSPFSTNLNSLLSTLKNKSSSSISINETAGEAPATVFGLYFCTGDLPQANCQACIQTAINDITANCPSSKQAIIWYDYCELRYSDTNFFGDPDNNGFSMINDKENTTSKRPVEVVSQLVKDAPLAHPLMFKSQALIPESLYALAQCSSDLTRQGCSDCLTTILASIKSCCTTAKGWRYLAPSCWIRYEATPFLQNLNTTSIEITRSFCSSNDFPASNGLNAATQLENLLSSLTEQAPAQKGFYNTSEGEDMNKIYGLALCRGDLQNKMDDCKSCLKDASKSIVEDCPNKAQAIEWYEKCFVRYSNQNFFGIVDTNGAQALCGTGKISPTADNSVEALTMSLISDAINSPMLFRAVQNLSNYILVQCTRDLSQESCRECLQAGMSKVSNECKQANGWRYLSGSCTLRYEEYPFFNSTLISPSPPTSASLSPVTPEKDGAGNKASTISLAAVVTSVLAVIIL >DRNTG_34575.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1483679:1486618:-1 gene:DRNTG_34575 transcript:DRNTG_34575.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDFLGISGKDSGVVVKEETRGGRQDSAFLVGSNLVWPFSNKVSTLQQFMAYKAAQEERPKKIVFDQFSSSGYPPMSTMDSQKGMHQLPMHPYQSPNSDSLGVSKHQVSEIRTFPVVSHHSIPVPTSSPFFQIHGAPNGPSLMATSIKQQAFVGGIAEANSAVVGSTVGAFAPRYLSKPSSTTAQLTIFYGGAVNVYDDVPLDKAQAIMFLASNSSNLTSNMVNPRTEAPILTPIKATKVEGLNTNQTKNQSHAASPCSGACNHHVCGFTHRTSCS >DRNTG_34575.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1483679:1486618:-1 gene:DRNTG_34575 transcript:DRNTG_34575.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRAVPQARKASLARFLEKRKERVSNALPYACPKNSGVTSGIEDNVCSKTSSPDIALSSNMEQSWCAAQSKNTDGRDSPSTELEM >DRNTG_34575.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1483679:1486618:-1 gene:DRNTG_34575 transcript:DRNTG_34575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWHVLVVFISCLHSFVDNTLKNKNLCIGALSKPSSTTAQLTIFYGGAVNVYDDVPLDKAQAIMFLASNSSNLTSNMVNPRTEAPILTPIKATKVEGLNTNQTKNQSHAASPCSGACNHHVCGFTHRTSCS >DRNTG_01142.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7874744:7876255:1 gene:DRNTG_01142 transcript:DRNTG_01142.1 gene_biotype:protein_coding transcript_biotype:protein_coding STHAGHKNLQAEDSAPLLHKSTTRNDGKGGRKHQKK >DRNTG_09983.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22098198:22099235:-1 gene:DRNTG_09983 transcript:DRNTG_09983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHCDLKPSNVLLDKDMNAHVGDFGLAKFLSETMSQSLHDSNSTIGIKGTVGYVAPEYGAGSQVSTSGDIYSYGIVLLEMFTGKRPTNDMFKEGLSIREFAGKGSTSEHAMEILDEIMFLEGKGNANKNEIMWIKECLDSVLEVGLSCSNPSPRERMRINDAVTKLHVIQNEYLGVKRQSEIHR >DRNTG_01573.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5338711:5339329:-1 gene:DRNTG_01573 transcript:DRNTG_01573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIIVLGVTLVWVLEWTNTNNSNSSAPIGSNRSAPTLHVAPHCSVPSFSANSNHNEQVPNQNNSTRLENVPAVTEAENLNAVDRNGQQKKEAELL >DRNTG_30441.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3227591:3227953:1 gene:DRNTG_30441 transcript:DRNTG_30441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIKEEGLIPIVEGSMVVIVGRRDCHMNYAAQRLLEKLKAYPTMHEVSKEFVVRMTFMHNLGRTLRGDDKTLAAPLFPMIFIGGKLVGGLDRLIAIHVTGKLIPMLKEAGAIWL >DRNTG_18605.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22245388:22247923:-1 gene:DRNTG_18605 transcript:DRNTG_18605.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLSNQIEETLPEWRDKFLSYKELKKRLKRIGGGERPLKKPRLGDDEDEAPFSSTDDEEGDFLSLLEAELDKFNAFFVEKEEEYIIRLKELQDIVGRVAGKDDEDELMMVRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQKVLQQPFFTTDLLYKLVKECESMLDRLFPKNEESVSGDHGNEEGTVEGKRLEKGSSSLGRGEVPELEEIERMESLCMKSTVAALRVLKEIRSGSSTVSVFSLPPMQSSGMEEQWNKIPVLEQAAK >DRNTG_11340.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23690132:23691940:1 gene:DRNTG_11340 transcript:DRNTG_11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDKREEVLKRWTKGKCFKTLRMVFLMVKIICFFVFFSMTNEHSENPSWKAIGYYLPTTSKKTSETQERRERPLEKGIIESIN >DRNTG_14623.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000723.1:582:3327:1 gene:DRNTG_14623 transcript:DRNTG_14623.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSPRFGSISGDNRPMKTRFRYGSGGFP >DRNTG_29918.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7382:13514:1 gene:DRNTG_29918 transcript:DRNTG_29918.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTRGKLYEYSTESRMDKILDRYERYCHAEKELMVGDPKSLGSWSHEYGKLKARIEVIQKSQKHLMGEELESLNLKELQQLEQQLEGALKNIRSRKNHLLFDSIAELQRKASCVKFKSHEIKFL >DRNTG_02915.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23649607:23653977:-1 gene:DRNTG_02915 transcript:DRNTG_02915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPWRCLHRPPLTVPSLPTLIYRRRAPVAAAFISPSLAPSLRGIQLRPSPDAARKKWRLGQVQCFIHEEERKKSLENGGFMGVNPSQELENDKLDDKDWISKIRKVVDRNFVLDGEPWAVPWTGETIVQVMLLWILSFWFVGSWIVPFVAHAAGFNKSSLTLRGQALYSLLTDLAEGLAGIAILHRCLARFYPLPPGWFIFRLKGSWHLDVGLGCLLFPLVNFLSRVNLNLVPSIPTPPMGVSSVEQSIIARDPVAMALYAMVVSICAPVWEEIVFRGFLLPSLTRYMSLWSSILVSALAFALVHFNAQRLLPLICLGVVMGIVFARSRNLLASMLLHSLWNGFVFLDLMK >DRNTG_13360.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2285367:2288373:-1 gene:DRNTG_13360 transcript:DRNTG_13360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTSLHVQSSMVLIFSLFLLFSLKHFLLPSLSSASTDLLVCYMCVYVCEKQRKRERERWAKLVVFLWSLLMVVVSVRVSGYPVEDLVKKLPGQPEVGFKQYAGYVDVDVKAGRSLFYYFAEAAVDPYLKPLTLWLNGGPGCSSLGGGAFTELGPFYPRADGRGLRINKWSWNRVSNLLFLLNLLLELDGLTLIELLITPQAMKKQLMTCTYF >DRNTG_13360.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2279765:2288373:-1 gene:DRNTG_13360 transcript:DRNTG_13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTSLHVQSSMVLIFSLFLLFSLKHFLLPSLSSASTDLLVCYMCVYVCEKQRKRERERWAKLVVFLWSLLMVVVSVRVSGYPVEDLVKKLPGQPEVGFKQYAGYVDVDVKAGRSLFYYFAEAAVDPYLKPLTLWLNGGPGCSSLGGGAFTELGPFYPRADGRGLRINKWSWNRVSNLLFVESPAGVGWSYSNRTSDYTTGDERTANDMRVFLMRWYEKFPEFKLHDLFLTGESYAGHYIPQLATALLKHNKYSTDFKFKIKGVAIGNPLLKLDRDAPATYEFFWSHGMISDELGLTIMNQCDFEDYAFSSPHNVSKSCNGAIAEANRVVGDYINSYDVILDVCYPSIVEQELRLRKLATKMSIGVDVCMTYERRFYFNLHEVQQALHANRTNLPYRWSMCSDVLDYNNTDGNINILPLLKTIISHKIPVWIFSGDQDSVVPLLGSRTLVRELAHEMKHSITVPYRAWFYKDQVGGWMTEYGNLLTFATVRGAAHMVPYAQPGRALQLFESFVKGQRLPNITQTSF >DRNTG_13360.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2285786:2288373:-1 gene:DRNTG_13360 transcript:DRNTG_13360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTSLHVQSSMVLIFSLFLLFSLKHFLLPSLSSASTDLLVCYMCVYVCEKQRKRERERWAKLVVFLWSLLMVVVSVRVSGYPVEDLVKKLPGQPEVGFKQYAGYVDVDVKAGRSLFYYFAEAAVDPYLKPLTLWLNGGPGCSSLGGGAFTELGPFYPRADGRGLRINKWSWNRVSNLLFLLNLLLELDGLTLIELLITPQAMKKQLMTCTYF >DRNTG_13360.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2280069:2282432:-1 gene:DRNTG_13360 transcript:DRNTG_13360.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLVMFLWSLLMVVVSVRVSGYPVEDLVNKLPGQPEVEFKQYAGYVDVDVKAGRSLFYYFVEAVVDPHLKPLTLWLNGGGGAFTELGPFYPRADGRGLRINKFSWNRVSNLLFVESPAGVGWSYSNRTSDYTTGDERTANDMRVFLMRWYEKFPEFKLHDLFLTGESYAGHYIPQLATALLKHNKYSTDFKFKIKGVAIGNPLLKLDRDAPATYEFFWSHGMISDELGLTIMNQCDFEDYAFSSPHNVSKSCNGAIAEANRVVGDYINSYDVILDVCYPSIVEQELRLRKLATKMSIGVDVCMTYERRFYFNLHEVQQALHANRTNLPYRWSMCSDVLDYNNTDGNINILPLLKTIISHKIPVWIFSGDQDSVVPLLGSRTLVRELAHEMKHSITVPYRAWFYKDQ >DRNTG_13360.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2282024:2282432:-1 gene:DRNTG_13360 transcript:DRNTG_13360.8 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLVMFLWSLLMVVVSVRVSGYPVEDLVNKLPGQPEVEFKQYAGYVDVDVKAGRSLFYYFVEAVVDPHLKPLTLWLNG >DRNTG_13360.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2279765:2282318:-1 gene:DRNTG_13360 transcript:DRNTG_13360.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLVMFLWSLLMVVVSVRVSGYPVEDLVNKLPGQPEVEFKQYAGYVDVDVKAGRSLFYYFVEAVVDPHLKPLTLWLNGGPGCSSVGGGAFTELGPFYPRADGRGLRINKFSWNRVSNLLFVESPAGVGWSYSNRTSDYTTGDERTANDMRVFLMRWYEKFPEFKLHDLFLTGESYAGHYIPQLATALLKHNKYSTDFKFKIKGVAIGNPLLKLDRDAPATYEFFWSHGMISDELGLTIMNQCDFEDYAFSSPHNVSKSCNGAIAEANRVVGDYINSYDVILDVCYPSIVEQELRLRKLATKMSIGVDVCMTYERRFYFNLHEVQQALHANRTNLPYRWSMCSDVLDYNNTDGNINILPLLKTIISHKIPVWIFSGDQDSVVPLLGSRTLVRELAHEMKHSITVPYRAWFYKDQVGGWMTEYGNLLTFATVRGAAHMVPYAQPGRALQLFESFVKGQRLPNITQTSF >DRNTG_13360.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2279765:2282318:-1 gene:DRNTG_13360 transcript:DRNTG_13360.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLVMFLWSLLMVVVSVRVSGYPVEDLVNKLPGQPEVEFKQYAGYVDVDVKAGRSLFYYFVEAVVDPHLKPLTLWLNGGPGCSSVGGGAFTELGPFYPRADGRGLRINKFSWNRVSNLLFVESPAGVGWSYSNRTSDYTTGDERTANDMRVFLMRWYEKFPEFKLHDLFLTGESYAGHYIPQLATALLKHNKYSTDFKFKIKGVAIGNPLLKLDRDAPATYEFFWSHGMISDELGLTIMNQCDFEDYAFSSPHNVSKSCNGAIAEANRVVGDYINSYDVILDVCYPSIVEQELRLRKLATKMSIGVDVCMTYERRFYFNLHEVQQALHANRTNLPYRWSMCSDVLDYNNTDGNINILPLLKTIISHKIPVWIFRQDVTNLFIQSNCIFRT >DRNTG_13360.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2285367:2288373:-1 gene:DRNTG_13360 transcript:DRNTG_13360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISDELELKITNQCGFDDYAFSSPHNVSKSCSDAITDANRVARNHITSYDVLLDVCYPSIVEQELRLRKFATKMSIGVDVCMTYEQRFYFNLHEVQRALHANRTNLPYRWSVCSEVLDYNNTGGNINILPLLKTIISHKIPVWIYSGDQDIVLPLLGSRTLVRELAQEMKHSITVPYRAWFYKDQVGGWVTEYGNLLTFATVRAAGHMVPYSQPGRALQLFESFVKGHRLPNITQTSF >DRNTG_31758.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10475409:10496076:1 gene:DRNTG_31758 transcript:DRNTG_31758.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEKITNLLREKEIELDSCQKVVAMQNVEIGHLQSRIAELLENCKNIDVGEYERMKDDLHQLKILLEESDTQLHLTKNLVSEKQELVSSLEENLTKFQSELAEQEKKLNDILQVEANIRQENEKVKKSSTFLKKKTETLSKEKEELTKENQALLKQLDELKSSRRAIGDNIASEQAMKEKEEKEKEKDTRIQTLERTLEREREENKKEKSRRLKMEKTVMDVVGNVNKEKKKVEDELAKQRNAIAKVLEGLGITASQLPDTSALDEQTTAFFLAADSVESSVKSVSNDGQGDPIDCHGDFSNGHLYHCCRTHCHSSIEVNYARGGRRKR >DRNTG_05826.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18961296:18963618:-1 gene:DRNTG_05826 transcript:DRNTG_05826.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTKRHVTSSVSTNLNEDSGRSNDPMCNFISATYNCRRT >DRNTG_05826.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18962372:18963287:-1 gene:DRNTG_05826 transcript:DRNTG_05826.5 gene_biotype:protein_coding transcript_biotype:protein_coding SLGKEHPSYIRGLGLGVIPTQVYGASSSSSGRHSASGGIPSEFEALRETVQQLTWQVELQQRQIAFLTQQLANQGQNTAPNM >DRNTG_05826.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18961296:18964224:-1 gene:DRNTG_05826 transcript:DRNTG_05826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTKRHVTSSVSTNLNEDSGRSNDPMCNFISATYNCRRT >DRNTG_05826.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18961296:18962937:-1 gene:DRNTG_05826 transcript:DRNTG_05826.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENTGSASIGRCSSQDSHSAHGEHSSDPDA >DRNTG_05826.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18961296:18963287:-1 gene:DRNTG_05826 transcript:DRNTG_05826.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENTGSASIGRCSSQDSHSAHGEHSSDPDA >DRNTG_10735.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000455.1:40770:42632:1 gene:DRNTG_10735 transcript:DRNTG_10735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVREKHSKESSSSISDKDRGGDEKYDKLWDKLRALRSKVVTLQWRIDALEKKKTHLLAKKDTICDLPEHEATVPAAKKMKMVAVKLRKHQMSTQDLPSQESTYEKPVTCAATTATKESTLGAVAISKGKPRAPRKGNKQIVTPQSEMGVVEPKTLEDVSRSIESLTSMMYGDLCNDMNLNECTEPILLVDVAAVQDTLNKVSNVRKRQTNFIPNKKQPPGFARLDNMQQLSRSVFLNLHIDKLIVIHSTRVWTCACDHLYALLDGKSLVPDDVMDMYVLILREDMKKSPCANKRSACIVRPMALALSRKPHSTDGLENLIGPCLDEYSMVEVVLMPIIMNNHYHLLVFVLEKDKKEYLHYSSMSSPLHDAVANENGKC >DRNTG_11492.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19486944:19490763:1 gene:DRNTG_11492 transcript:DRNTG_11492.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQQGNSEVENEALSAKFVRNVSSSSSAFFSAIQSPFLSPRTTKFPICEIVQPKSSNSSNYYTKRSVDPLVSQAFAKQLESSSNADILASDDFGTPSAVVENFEFNVPSNCFCHGGSSSDCSNGTSAENLLKNGSQVKFSRSQLTNSFDQSSASISSCSKTFSYDVYIGFHGRNPSLLRFANWLRAELEVQGIRCFVSDRARCRNPRSHDTVERIMNSCALGVVILSRNSFSNPYSIEELRNFLGRKILVAIFFDLRFSDCLARDVIEKRGELWEKDGGELWLLYGGEEEEWSEAVDGLSRVSDSHLEANDGNWRNCIFETVILLATRLGLRSVVERIKRWKERVEEEEFPFPRNEEFVGRERELSELELLLFGYVSGDGERELLEAKSKRRRKSLRTHQQIEDGNKSKEIFRNVQRKRYTRIVYGKGIACVAGKSGIGKTELALEYAYRFSQRYKMVLWMGGEARYVKQNFLDLRNFLDVDQSDENCPTKNNKVKSFEEQERAAIDHVREALMQDIPYLVVIDNLENEKDWWDQELVMDLLPQFRGEIHIIITTRLPRVMDLEPIKLSYLSGLEAMSLMKGNVKDYPVMEINALRVIEEKLGRLTLGLGIVGAILFELSITPSKLLDTINRMPIRDSIWSDGEAHILRQHPFLMQLFDVCLSIFYYADGSRSLAARMFLVSSWFGPTAIPIPLLAMAAHKVPGKYHGTEKWQKIFRALNCIIKSTKIKKSEIEASSMLVRFGIARYLTKYDAIHFHEIIKIYARKRGSIAIAQAVVQAISHRSFASQYSEHQWAACFLLFGFGTDPITVNLKPPELLLFVKHIALPLAVHTFIKFSRCNAALELLRLCADALDAAAETMLSRADKWLGRSFCCQKPNNSVTQYTYIWQELALLKAGVSEVSAKLMLQGGEYHIAEDLIRQTIYIRKSIYGEYHADTVSAQETLNKLGSVFMNMQLN >DRNTG_19981.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:825440:826188:-1 gene:DRNTG_19981 transcript:DRNTG_19981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEFLKETGRTCSDFDVTVAVLLQCRTRAINPDHAETLDFHLICPSNARPLLHELIPGYEGYYGNCTYRALVTAPASKIMQASVTDIVGWILDAKEKISEKFWKWLDGEHSDKSIIASASNYKTIVVTDMDKLGSKDVNYGWGPPVQSGMLRYSDHMVFCVVDSSLKIEGGVRISGRLVREEHLQAFRDEINKVCN >DRNTG_26270.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7385764:7387635:-1 gene:DRNTG_26270 transcript:DRNTG_26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNINNNNNNNNNNNNNNNNVDAATNLLSSYLNCELEAGELGFKFDTFLRDTTLNLMVAGRDTTSSGLTWFFWLVAKNPKVEAKIIEELQLKFYHYENSNDTSSLIYLHAALLESLRLYPPVPFEHKGVVRPDILPSGHGVRRRRMLVFSLYAMGRMESIWGKDCMDFRPERWISDSGKIKHEPSYKYLVFNCGPRTCLGKDMAFTQMKATAAAIISNFHVEILKGHVARPKLSIILHMKDGLMVRLRKRG >DRNTG_16580.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:109267:113389:-1 gene:DRNTG_16580 transcript:DRNTG_16580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGWSALEKAPPGTIKSKIHSLGLKLLSQVKPSEIFLKSVSKDITKLEITYPARFINT >DRNTG_16580.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:109267:113389:-1 gene:DRNTG_16580 transcript:DRNTG_16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGWSALEKAPPGTIKSKIHSLGLKLLSQVKPSEIFLKSVSKDITKLEITYPASLNPRLVRRRLRHVAVRGSAIHKKYFYGSLSLIPVTSVFSVLPLPNIPFFWTLFRGYSNWRALQGSERLLLLASDSPSLWSSLATKGDTTKTATHSPLVLRPSDDLEKVLDIDDNEYADSNEAISKICERYHLDKNEVMKHRRSSS >DRNTG_25080.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:664899:666388:1 gene:DRNTG_25080 transcript:DRNTG_25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHMSKQEFIASLRRKSSGFSRGASIFRGVTRHHQHGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDVAAIKFRGVNAVTNFELSRYDMEAIASTELPIGGSAKRIKQTNQSNSDYQFTENNVSSAIALQTNNYSSGFIRNLLELPSTVANSSVPMACLSFGGNAGANVCIPSLNWLAATNSQYDNQINCNESTALETDPTLMELLHS >DRNTG_04669.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30352004:30352627:1 gene:DRNTG_04669 transcript:DRNTG_04669.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYISSLTDHEATIDEIFADSPIPVKSPSPATTPSINWLLLRLLLVLLSKLDSKAGMYRDEAISYLFLANNMWFIVSKAKEGKLGLLLGEEWEALHIEQARQYATNYEKLAWHKPADATTKTSAATAPEKMRAFTAAFEETLRSQREFEIEDESFKQEVKDDIAGMMLPGSL >DRNTG_03093.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:486592:487154:1 gene:DRNTG_03093 transcript:DRNTG_03093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRLNRAVANWGKVKLSKAGKSILINSILMSTPIYYLAIYPIPDSILAKISRIARKYLWANYDHGRVAEGPREKMEDSIVTIHQSLDFLGPRVEESGGVVPSEEIQTQITG >DRNTG_27253.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:804929:807683:-1 gene:DRNTG_27253 transcript:DRNTG_27253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGAAKGPKKANLLDPHAIKHVLDESVNEIVTGKGYGEDFRLSNVRLLIGTVIIGIALLAQFH >DRNTG_22688.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:13816930:13820593:-1 gene:DRNTG_22688 transcript:DRNTG_22688.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAHLRYLDENMDSGVFLAGTIAGVLEWLYSFDIALHLEEGYWGSFCLHRSGESKHDDELSWLFPGVYYVSGFSSGLVWPYHTRGYGSKENYQRSIDRGREEEEEKKKKTRESSSQPAVPKFGDLEHKGPIWEARGSEVHRV >DRNTG_21861.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19315321:19315758:1 gene:DRNTG_21861 transcript:DRNTG_21861.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLATIINTMEHGFQIGMLIEMFRNCAGFYRKLEEEIIEFNSEESCQEDIEMFDMNMALQLGRRVSELEDFASCSSPSVNANEFQGFAGKLF >DRNTG_33238.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1324730:1327539:-1 gene:DRNTG_33238 transcript:DRNTG_33238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRRKSKRSRPKVRVGLPKKKPGVFKPSFSVPEKLLGNGASPAVWDEEGSVIRNYKAFGVVANPNILSVRARTPQIVQDPSLQVPKPGPPIPVSEFDPIDSGSDLESDDLKFALGKKRRDGKSAPLLPLTKVQRVHVARLIDKYGDNYQAMFMDTKLNAMQHSVATLQKLCQRYYAPTSRICINTK >DRNTG_03682.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000170.1:54204:55767:1 gene:DRNTG_03682 transcript:DRNTG_03682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFRTSVHRDLATYPESTQGRGLAPVGEHVTNAWAWSAQGHASAPVKLSVEVYGHGPPVPPASPSSNPPAPFDLAPTSAAEQRPDDTDT >DRNTG_07003.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1757414:1760729:1 gene:DRNTG_07003 transcript:DRNTG_07003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPSVISPLKVAFAFAFSFSLSLSLSSSSKIPPSISLKSSSSSSSSPSSSNSFVSDLLSILGAPCDAARVNAREAKEIRSCLRFLVPFTPFSFGKIESRSGRRFIATFRDDRGHDHANQMVWWPPKPVMELARLAVDSGGDPPRFTVLSIPLFSPCQMWRVRRRTSAN >DRNTG_34287.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28201892:28202256:-1 gene:DRNTG_34287 transcript:DRNTG_34287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFYLSLAGCLNGIDWTIYGFIHFDIFVVLPNGIGALLALCQLILYGCYYKSTPVVDEPKGELELPTTMPRIEA >DRNTG_27875.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10406310:10407541:-1 gene:DRNTG_27875 transcript:DRNTG_27875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERVSREKWLRSCILTTDKLSVFSGSRRCWVMSSIKDLLDMFKRNTLEEDVEDALVWAAMENLPTSDHMTRGVLGEQILKVIQQDNEQFLGQLKSRINEFRSLPLFLSWLAPSPTGPNAKTNSHRPTGRKPHRPTWP >DRNTG_03615.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11243552:11245328:1 gene:DRNTG_03615 transcript:DRNTG_03615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSWNENSGPETYPPTAPYPPPHTDPPSRVAPGTPTTYPPMNIASQGGHVGSPYRPGTPSVGRPWSTGLFDCGQNQTNAIMTAFFPCVTFGQIAEILDEGETSCTLGSFMYILMVPALLTCWIMGSNYRRKLRNKYNLVQAPAEDWTVHLFCPCCSLCQEFRELHNRGIDPSLGWMGYLAQQQQGTTTIEPPKDQFMTK >DRNTG_25991.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1017193:1019188:1 gene:DRNTG_25991 transcript:DRNTG_25991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADSTGDNSENTPTGQSVRLMCSYGGRILPRPHDHQLRYVGGDTRIVAIPRHTSWSSLLSKLAKLSGSSPDSASLIVKYQLPNEDLDALVSLTSDEDLENMMDEYDRLLLLSSPSRPPRLRLFLFPPGTNVISSSSSAAFGSLLESSTSKRDTWFLDALNGGTSPGLLPLERGRSEASSVISEVPDYLFGLDSNSDDPKPKSSDPSSPAIATSSPHYRSASSPPSVAVIPDFPTTTAKKPEPAVHPIPNPNPNPVYYVHDSAVPVYYVPSSVPVRPMQYGPVYGGPAYVGGPPTLASARPGLAGAFEYQGGMVYPMAGVGPVGGDVVAPPGVIGPEMRIGPTSRHYTT >DRNTG_12272.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24799390:24809063:1 gene:DRNTG_12272 transcript:DRNTG_12272.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:G patch domain-containing protein TGH [Source:Projected from Arabidopsis thaliana (AT5G23080) UniProtKB/Swiss-Prot;Acc:Q8GXN9] MDGDEEDHVFYGTPIEREEDTSTRKRKAAADAGQLRSLPVWKQEVRDEEGRRRFHGAFTGGFSAGYYNTVGSKEGWTPQTFTSSRKNRAEFKQQSIYQFLDDDEIKDMGGHALETSTRFDTFGFTAAEYARTQAEKEQEKRPSAIPGPAPDDIVLPAADSIGMKLLQKMGWRRGHSIRETKADSLYDARREARKALIAFSSAAGEPDLAQIESSLSGNDELTERSNDDIYSSGSTPVYVLNPKQDVFGLGYDPFKHATEFRDRKELHEARRKDQDNRKGFPMRKSLFASN >DRNTG_12272.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24799390:24809063:1 gene:DRNTG_12272 transcript:DRNTG_12272.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:G patch domain-containing protein TGH [Source:Projected from Arabidopsis thaliana (AT5G23080) UniProtKB/Swiss-Prot;Acc:Q8GXN9] MDGDEEDHVFYGTPIEREEDTSTRKRKAAADAGQLRSLPVWKQEVRDEEGRRRFHGAFTGGFSAGYYNTVGSKEGWTPQTFTSSRKNRAEFKQQSIYQFLDDDEIKDMGGHALETSTRFDTFGFTAAEYARTQAEKEQEKRPSAIPGPAPDDIVLPAADSIGMKLLQKMGWRRGHSIRETKADSLYDARREARKALIAFSSAAGEPDLAQIESSLSGNDELTERSNDDIYSSGSTPVYVLNPKQDVFGLGYDPFKHATEFRDRKELHEARRKDQDNRKGFPMRKSLFASNSGKYAPGFGIGALEELDVEDEDIYASGTALGYALEETEVEEKEPAKVTQNNNKLEYRKQGVISGFKVASNPDYNVERFRPPVIPQDFEPVHKFSSPPDPASKFAEALPPEVPPPEDSNLKLLIDGLATLVARCGKLFEDLSKEKNKSNPLFSFITGGNGHSYYLRKLWEEKQKHGDQQKQMDTKSMPSVPKLTAESRGRILGERPLEKSTESSSSVAPKEIIHLQSNLSDTFTKPASLQQAQPSESEKPFKSDPAKQKRFELFLKDKYQGGLRSTHSSGTNGMSETDRARERLDFEAAAEAIEKGKNKQTNNQSVEQFLELARSGDLHFIPSLGTEKDQSSHDEEKTKIYPKREEFQWRPSPILCKRFDIIDPFMGKPPPLPRARSRMESLIFTPDSAKSVQPAKTEAAERDALPISQPKIQEETKLNAEEADNELNLANVERPVDLYKAIFSDDSDDEGDFASLNNVDQEKKAEGANMTLNRLVAGDFLESLGKELGLGVPSDTNDGQYKANLETGGDGNNNISSKNERSGLGHQSSNMLWENKEPTVSKSSSLENAMGNVSSGAQHDMIDKRAVPLEGDVLGNTDSRRSNRSISSSGRTIYSDHQEGEDPSEEKDHRANRHKIRSQHRRRSRSSDSDSSSDSQQRVRSRSKSDKRSSGGESRKHSKNHRHKRRRSRSRYSSHDDDRDQKDDHRRKDKRHRDGASDTTYSKHDDYRKYR >DRNTG_16025.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17508301:17509815:-1 gene:DRNTG_16025 transcript:DRNTG_16025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSKSETQQQLPKINFSGLVVGTPEWIAVRTEVMNALHVYGTFEAVYDPHLDPELREQVFKKAITELFDLPTHVKSRSVTYTSKSYIPSEQGFTVYEGNVESIHSVQSLTSLMWPQGNSHFCETMQRYAEAMKELNQMITRMIMESLGVDKYYDEMNQKINYMLRAICYKNEEDKDDLYMGTHTDIHFLTIVAQHEVDGLEVLIKSGQWIKPAPYCYIVFLGDSLQAWTNGRVQAIGHRIRKNKSHDTRYVTQFMSRGAKEFIIQAPPELIDVTHPVLYKPFDFNDYFQFYLSKLGSMPTNPLKTFCGIEKPV >DRNTG_09607.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:13138670:13187718:-1 gene:DRNTG_09607 transcript:DRNTG_09607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPPATITGLESPISRSIATIGILSWRLKHSINFPLEITVDVGVRSFIVKLEDDGVHVLRSKVVQGPAAQLPSRKPLDPPHRIAQFIPKTDKGKAPIFPVDVSAGETVERSSGLGHATRTMDIGFQGDTSLPPSDEWLIVGNPVSLRTGNSVVPMAGISVAPLSPRVSSEGGDKLLPCSGNVEAGLSSTVSLPRDKDSSILFGTMHVSLLHRDNNSLDSYKISDAKSMTRDNNSLIDPSPRDHHSNTFPITCTENVHRDNHSSSCTISHDQVHREKR >DRNTG_33691.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1265117:1266230:1 gene:DRNTG_33691 transcript:DRNTG_33691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGENLGRGTKITLFLKED >DRNTG_05515.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2403668:2404680:1 gene:DRNTG_05515 transcript:DRNTG_05515.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGTGVPTVVHLHGGVHPPFSDGNANSWFTSGFRSTGPTWSSPTSSYPNVQSPGNLWYHDHAMGLTRVNLLTGLLGAYILRDPSLESPLSLPSFPFDLNLMVFDRKFRVDGSIYLNSTGNNPSIHPQWQPEYFGDTIIVNGKVWPRLHVQRRRYRFRILNASNARFFRFFFSNALPFFHIGSDSTYLSKPVKTTGFLLAPSEIADVIVDFSNSPTDSAILLNDAPYPFPSGETVDATNRKVMKFVIERQRS >DRNTG_05515.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2403767:2404794:1 gene:DRNTG_05515 transcript:DRNTG_05515.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGTGVPTVVHLHGGVHPPFSDGNANSWFTSGFRSTGPTWSSPTSSYPNVQSPGNLWYHDHAMGLTRVNLLTGLLGAYILRDPSLESPLSLPSFPFDLNLMVFDRKFRVDGSIYLNSTGNNPSIHPQWQPEYFGDTIIVNGKVWPRLHVQRRRYRFRILNASNARFFRFFFSNALPFFHIGSDSTYLSKPVKTTGFLLAPSEIADVIVDFSNSPTDSAILLNDAPYPFPSGETVDATNRKVMKFVIERQRSPDGSRIRRQLFVFPKAL >DRNTG_05515.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2403668:2404794:1 gene:DRNTG_05515 transcript:DRNTG_05515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGTGVPTVVHLHGGVHPPFSDGNANSWFTSGFRSTGPTWSSPTSSYPNVQSPGNLWYHDHAMGLTRVNLLTGLLGAYILRDPSLESPLSLPSFPFDLNLMVFDRKFRVDGSIYLNSTGNNPSIHPQWQPEYFGDTIIVNGKVWPRLHVQRRRYRFRILNASNARFFRFFFSNALPFFHIGSDSTYLSKPVKTTGFLLAPSEIADVIVDFSNSPTDSAILLNDAPYPFPSGETVDATNRKVMKFVIERQRSPDGSRIRRQLFVFPKAL >DRNTG_05515.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2403767:2404680:1 gene:DRNTG_05515 transcript:DRNTG_05515.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGTGVPTVVHLHGGVHPPFSDGNANSWFTSGFRSTGPTWSSPTSSYPNVQSPGNLWYHDHAMGLTRVNLLTGLLGAYILRDPSLESPLSLPSFPFDLNLMVFDRKFRVDGSIYLNSTGNNPSIHPQWQPEYFGDTIIVNGKVWPRLHVQRRRYRFRILNASNARFFRFFFSNALPFFHIGSDSTYLSKPVKTTGFLLAPSEIADVIVDFSNSPTDSAILLNDAPYPFPSGETVDATNRKVMKFVIERQRS >DRNTG_34609.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23251396:23252508:-1 gene:DRNTG_34609 transcript:DRNTG_34609.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G17905) TAIR;Acc:AT4G17905] MGSSVGNQEPLIPFEPTKDCSQGFCSIYCPQWCYIIFPPPPPFFSDNDQSSSGRTFSPLVITIIGVLASAFLLVSYYTIISKYCVSFSTLRRRFHRRLHVGPEGNIEEDVIGASSRRYETWYNSPSNGLDEALINKITVCTYKRDDGLIDSTDCSVCLSEFREDESLRLLPKCNHAFHLHCIDTWLTSHSNCPLCRASIISVNQMPPPLQLPAPPEPPPQPESSIELAVVSIGESNTREEQEEQEEEEEINEPNNDAAKRNEQEDHDHKIDVDKTDETVQVIRRSFSMDSSSSSSHRGRVSIADVLRLSIDDDESQVLEFARPENKGSSSNRITRGLHCVISPVPMKRSFSSGRFCFTRNAREKTSVLPL >DRNTG_06543.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10361043:10387315:-1 gene:DRNTG_06543 transcript:DRNTG_06543.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLKPWLQLHNSKKKRMVLHGENVHQEQFQASEVKPIMWACTVSAPEMTIVLYNLDGMPVYHGCSQSSHLFFNNIANKGVQVHAELGELHLHMADEYQQFKENIFGVETTSSSLIHIERVSLDWGQREIESHEGYDSDKLHLVFSVDISGMDVQFGLKHVESFIFTMMSFKALLKSLSSNKRVTQNKEGRLRKTAAKGFRMLKLNLDKCSINYCGNISLDNAIVADPKRVNYGSQGGPIIISVNTDCTPRNANITSSLPDGCKHLKFFTSLDIFHLSVCVNNDKESMQMELDRARSVYEEHSEEHKPAIKVNLFDMQKGKFVRRSGGLNDIAVCSYFSATDISVRWEPDAHLALFEFVTRLRFMLHNQKRQISTNEISKDPFDIRDHELGKVIVMDESQPEKQCRKRESVFAVDVEMLRVSAELADGVEGMVHVQSIFSENAKIGVLLEGLMLSFNGSRIFKSSRMQVSRIPLPTTNSGDTKVQSATSWDWVIQGLDVHICMPYRLQLRAVDDAVEDTLRALKLITKSISSVLFPVKMDNSKKGKSKSTKSESVRFIIRKLTADIEEEPIQGWLDEHYQLLKNEICEQDVRLRLLDEMISSHSNDIGNVESSELPSEKKLNFDGIEINVLDASAIQRLKEEIYKKTFRSYYEACQKFVFSEGSGACSSGFQSGFKTSTNRSSLLSVCATELDVSLTKIKGGDVGMVEFIRKSDPIALENEIPFSRLYGRDISVNAGYLAVRLRNYTFPLFAATSGKCKGCVVLAQQATCFQPQIVQDVYVGRWRKVQLLRSASGTTPPMKTYSDLPICFQKGEVSFGVGYEPVFADISYAFTVALRRANLSIRIPDSGLNNQNVLGSQQPTILPGGQPPKKERSLPWWDDMRNYIHGKNCLCFRESTWNLLATTNPYEKLDKLQILSGYMDIQQTDGRVFLSAKEFKIYVSSLESLSNNCSLKLPRKTCIPFIDSPAFSLEVVMNWECESGNPLNHYLYALPNEGGPRQKVFDPFRSTSLSLRWNFSLRPLPLGEKDLKSAIEDNAMLDGTIYCSSQKMESSLSDFPTLTFGAHDLAWIFKWWNMMYNPPHKLRSFSRWPRFRVPRIPRSGNLSLDRVMTENFLRVDSTPTCVKHMPLGDDDPASGLTFKMTKLKYELCYSRGKQKYTFESKRDSLDLVYQGLDLHMLKAYLNRDSSKTVQDIQTAKHSLQNVPADKVSNEKNGYLSSCTEKNQDDGFLLYSDYFTIRRQTPKADPSRLLAWQEAGRKNLEMTYVRSEFENGSESDHARSSDDDGFNVVIADNCQRVFVYGLKLLWTIENRDAVWSWVGGISKAFEPSKPSPSRQYAQRKLVEGSVPEGPEMPHDDTIKSSPSISQSASFSSPKHVEVSSQLPSSSSSTKIDVSHSPMKLGHVDDSEDDGIRHFMVNVLQPQFNLHSEDANGRFLLAAASGRVLARSFHSVLHVGYEMIEQALGTESVHIPEVEPEMTWKRVELSVMLEHVQAHVAPTDVDPGAGLQWLPKILRSSPKVKRTGALLERVFLPCQMYFRYTRHKGGTADLKVKPLKELNFNSPNITATMTSRQFQVMLDVLSNLLFARLPKPRKSSLSYPSEDDDDIEEEADEVVPDGVEEVELAKISLEQRERERKLLLDDIRNLLATNNISGDPCLMPEKDEDIWMITGGKSMLVQGLKKELGNIQKSRKDASTALRMALQKAAQLRLMEKEKNKSPSYAMRISMRINKVVWSMLADGKTFAEAEIKDMIYDFDRDYKDIGIAQFTTKSFVVRNCLQNAKSDMLLSAWNAPPEWGKNVMLRVDAKQGVPKDGSSPLELFHVEIYPLKIHLAETMYRMMWDYFFPEEEQDSQRRQEVWKVSTTASSRRGRKGSAAEPSSSSSHAIRELEALGKLGTAASSSVPGGAIQFSTPGDSSQGSKKPNTRPNSELRRTSSFDRTWEENVAESVANELVMQAHSSSVSTKSGLLNPTPELQNASVEVTSKSKPKDKMAKAARLSHEEKKVGKSQDEKRTRARKMMEFHNIKISQVELLVTYEGSRFAVNDLRLLMDTFHRVEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKDKAQSQRDAQGVVPDIDLNFSDSDGGQPGKADQNPIAFLKRPSDGAGDGFVTSIKGLFNSQRRKAKAFVLKTMRGEADNEYHGEWSESDVEFSPFARQLTITKAKKLIRRHTKKFRSRGQKVQQIDSLQSSPRETTPYQSDSSGGSSYEDFHELKAAVLD >DRNTG_06543.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10361043:10394584:-1 gene:DRNTG_06543 transcript:DRNTG_06543.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILSNMARFLSVSVTELTVKVPKAIIEVKDLKLDVSKSGGSSPVLNIKLYIIPLTVQICDSRLSYDQSSSYNQMEGLLNSDLSGSAIMEKNSAPFICEDLSVACEFGHDRERGVKIRDLDVICGDVTLNLNENLFLKNTTKSGTSSDVDTSGGATFDSSVDKRSQKKKLSVLSMKNHILAFPEKVSFNMPKLDLKFLHKGQNLYIENNVMGIHFKSSKSQYEDSGETTSHLDIQMDLSEIHLLREGTTSILEILKVVLIASVDVPMQPVLPIRAEIDVKLGGTQCSLIMGRLKPWLQLHNSKKKRMVLHGENVHQEQFQASEVKPIMWACTVSAPEMTIVLYNLDGMPVYHGCSQSSHLFFNNIANKGVQVHAELGELHLHMADEYQQFKENIFGVETTSSSLIHIERVSLDWGQREIESHEGYDSDKLHLVFSVDISGMDVQFGLKHVESFIFTMMSFKALLKSLSSNKRVTQNKEGRLRKTAAKGFRMLKLNLDKCSINYCGNISLDNAIVADPKRVNYGSQGGPIIISVNTDCTPRNANITSSLPDGCKHLKFFTSLDIFHLSVCVNNDKESMQMELDRARSVYEEHSEEHKPAIKVNLFDMQKGKFVRRSGGLNDIAVCSYFSATDISVRWEPDAHLALFEFVTRLRFMLHNQKRQISTNEISKDPFDIRDHELGKVIVMDESQPEKQCRKRESVFAVDVEMLRVSAELADGVEGMVHVQSIFSENAKIGVLLEGLMLSFNGSRIFKSSRMQVSRIPLPTTNSGDTKVQSATSWDWVIQGLDVHICMPYRLQLRAVDDAVEDTLRALKLITKSISSVLFPVKMDNSKKGKSKSTKSESVRFIIRKLTADIEEEPIQGWLDEHYQLLKNEICEQDVRLRLLDEMISSHSNDIGNVESSELPSEKKLNFDGIEINVLDASAIQRLKEEIYKKTFRSYYEACQKFVFSEGSGACSSGFQSGFKTSTNRSSLLSVCATELDVSLTKIKGGDVGMVEFIRKSDPIALENEIPFSRLYGRDISVNAGYLAVRLRNYTFPLFAATSGKCKGCVVLAQQATCFQPQIVQDVYVGRWRKVQLLRSASGTTPPMKTYSDLPICFQKGEVSFGVGYEPVFADISYAFTVALRRANLSIRIPDSGLNNQNVLGSQQPTILPGGQPPKKERSLPWWDDMRNYIHGKNCLCFRESTWNLLATTNPYEKLDKLQILSGYMDIQQTDGRVFLSAKEFKIYVSSLESLSNNCSLKLPRKTCIPFIDSPAFSLEVVMNWECESGNPLNHYLYALPNEGGPRQKVFDPFRSTSLSLRWNFSLRPLPLGEKDLKSAIEDNAMLDGTIYCSSQKMESSLSDFPTLTFGAHDLAWIFKWWNMMYNPPHKLRSFSRWPRFRVPRIPRSGNLSLDRVMTENFLRVDSTPTCVKHMPLGDDDPASGLTFKMTKLKYELCYSRGKQKYTFESKRDSLDLVYQGLDLHMLKAYLNRDSSKTVQDIQTAKHSLQNVPADKVSNEKNGYLSSCTEKNQDDGFLLYSDYFTIRRQTPKADPSRLLAWQEAGRKNLEMTYVRSEFENGSESDHARSSDDDGFNVVIADNCQRVFVYGLKLLWTIENRDAVWSWVGGISKAFEPSKPSPSRQYAQRKLVEGSVPEGPEMPHDDTIKSSPSISQSASFSSPKHVEVSSQLPSSSSSTKIDVSHSPMKLGHVDDSEDDGIRHFMVNVLQPQFNLHSEDANGRFLLAAASGRVLARSFHSVLHVGYEMIEQALGTESVHIPEVEPEMTWKRVELSVMLEHVQAHVAPTDVDPGAGLQWLPKILRSSPKVKRTGALLERVFLPCQMYFRYTRHKGGTADLKVKPLKELNFNSPNITATMTSRQFQVMLDVLSNLLFARLPKPRKSSLSYPSEDDDDIEEEADEVVPDGVEEVELAKISLEQRERERKLLLDDIRNLLATNNISGDPCLMPEKDEDIWMITGGKSMLVQGLKKELGNIQKSRKDASTALRMALQKAAQLRLMEKEKNKSPSYAMRISMRINKVVWSMLADGKTFAEAEIKDMIYDFDRDYKDIGIAQFTTKSFVVRNCLQNAKSDMLLSAWNAPPEWGKNVMLRVDAKQGVPKDGSSPLELFHVEIYPLKIHLAETMYRMMWDYFFPEEEQDSQRRQEVWKVSTTASSRRGRKGSAAEPSSSSSHAIRELEALGKLGTAASSSVPGGAIQFSTPGDSSQGSKKPNTRPNSELRRTSSFDRTWEENVAESVANELVMQAHSSSVSTKSGLLNPTPELQNASVEVTSKSKPKDKMAKAARLSHEEKKVGKSQDEKRTRARKMMEFHNIKISQVELLVTYEGSRFAVNDLRLLMDTFHRVEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKDKAQSQRDAQGVVPDIDLNFSDSDGGQPGKADQNPIAFLKRPSDGAGDGFVTSIKGLFNSQRRKAKAFVLKTMRGEADNEYHGEWSESDVEFSPFARQLTITKAKKLIRRHTKKFRSRGQKVQQIDSLQSSPRETTPYQSDSSGGSSYEDFHELKAAVLD >DRNTG_06543.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10361043:10393083:-1 gene:DRNTG_06543 transcript:DRNTG_06543.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLNSDLSGSAIMEKNSAPFICEDLSVACEFGHDRERGVKIRDLDVICGDVTLNLNENLFLKNTTKSGTSSDVDTSGGATFDSSVDKRSQKKKLSVLSMKNHILAFPEKVSFNMPKLDLKFLHKGQNLYIENNVMGIHFKSSKSQYEDSGETTSHLDIQMDLSEIHLLREGTTSILEILKVVLIASVDVPMQPVLPIRAEIDVKLGGTQCSLIMGRLKPWLQLHNSKKKRMVLHGENVHQEQFQASEVKPIMWACTVSAPEMTIVLYNLDGMPVYHGCSQSSHLFFNNIANKGVQVHAELGELHLHMADEYQQFKENIFGVETTSSSLIHIERVSLDWGQREIESHEGYDSDKLHLVFSVDISGMDVQFGLKHVESFIFTMMSFKALLKSLSSNKRVTQNKEGRLRKTAAKGFRMLKLNLDKCSINYCGNISLDNAIVADPKRVNYGSQGGPIIISVNTDCTPRNANITSSLPDGCKHLKFFTSLDIFHLSVCVNNDKESMQMELDRARSVYEEHSEEHKPAIKVNLFDMQKGKFVRRSGGLNDIAVCSYFSATDISVRWEPDAHLALFEFVTRLRFMLHNQKRQISTNEISKDPFDIRDHELGKVIVMDESQPEKQCRKRESVFAVDVEMLRVSAELADGVEGMVHVQSIFSENAKIGVLLEGLMLSFNGSRIFKSSRMQVSRIPLPTTNSGDTKVQSATSWDWVIQGLDVHICMPYRLQLRAVDDAVEDTLRALKLITKSISSVLFPVKMDNSKKGKSKSTKSESVRFIIRKLTADIEEEPIQGWLDEHYQLLKNEICEQDVRLRLLDEMISSHSNDIGNVESSELPSEKKLNFDGIEINVLDASAIQRLKEEIYKKTFRSYYEACQKFVFSEGSGACSSGFQSGFKTSTNRSSLLSVCATELDVSLTKIKGGDVGMVEFIRKSDPIALENEIPFSRLYGRDISVNAGYLAVRLRNYTFPLFAATSGKCKGCVVLAQQATCFQPQIVQDVYVGRWRKVQLLRSASGTTPPMKTYSDLPICFQKGEVSFGVGYEPVFADISYAFTVALRRANLSIRIPDSGLNNQNVLGSQQPTILPGGQPPKKERSLPWWDDMRNYIHGKNCLCFRESTWNLLATTNPYEKLDKLQILSGYMDIQQTDGRVFLSAKEFKIYVSSLESLSNNCSLKLPRKTCIPFIDSPAFSLEVVMNWECESGNPLNHYLYALPNEGGPRQKVFDPFRSTSLSLRWNFSLRPLPLGEKDLKSAIEDNAMLDGTIYCSSQKMESSLSDFPTLTFGAHDLAWIFKWWNMMYNPPHKLRSFSRWPRFRVPRIPRSGNLSLDRVMTENFLRVDSTPTCVKHMPLGDDDPASGLTFKMTKLKYELCYSRGKQKYTFESKRDSLDLVYQGLDLHMLKAYLNRDSSKTVQDIQTAKHSLQNVPADKVSNEKNGYLSSCTEKNQDDGFLLYSDYFTIRRQTPKADPSRLLAWQEAGRKNLEMTYVRSEFENGSESDHARSSDDDGFNVVIADNCQRVFVYGLKLLWTIENRDAVWSWVGGISKAFEPSKPSPSRQYAQRKLVEGSVPEGPEMPHDDTIKSSPSISQSASFSSPKHVEVSSQLPSSSSSTKIDVSHSPMKLGHVDDSEDDGIRHFMVNVLQPQFNLHSEDANGRFLLAAASGRVLARSFHSVLHVGYEMIEQALGTESVHIPEVEPEMTWKRVELSVMLEHVQAHVAPTDVDPGAGLQWLPKILRSSPKVKRTGALLERVFLPCQMYFRYTRHKGGTADLKVKPLKELNFNSPNITATMTSRQFQVMLDVLSNLLFARLPKPRKSSLSYPSEDDDDIEEEADEVVPDGVEEVELAKISLEQRERERKLLLDDIRNLLATNNISGDPCLMPEKDEDIWMITGGKSMLVQGLKKELGNIQKSRKDASTALRMALQKAAQLRLMEKEKNKSPSYAMRISMRINKVVWSMLADGKTFAEAEIKDMIYDFDRDYKDIGIAQFTTKSFVVRNCLQNAKSDMLLSAWNAPPEWGKNVMLRVDAKQGVPKDGSSPLELFHVEIYPLKIHLAETMYRMMWDYFFPEEEQDSQRRQEVWKVSTTASSRRGRKGSAAEPSSSSSHAIRELEALGKLGTAASSSVPGGAIQFSTPGDSSQGSKKPNTRPNSELRRTSSFDRTWEENVAESVANELVMQAHSSSVSTKSGLLNPTPELQNASVEVTSKSKPKDKMAKAARLSHEEKKVGKSQDEKRTRARKMMEFHNIKISQVELLVTYEGSRFAVNDLRLLMDTFHRVEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKDKAQSQRDAQGVVPDIDLNFSDSDGGQPGKADQNPIAFLKRPSDGAGDGFVTSIKGLFNSQRRKAKAFVLKTMRGEADNEYHGEWSESDVEFSPFARQLTITKAKKLIRRHTKKFRSRGQKVQQIDSLQSSPRETTPYQSDSSGGSSYEDFHELKAAVLD >DRNTG_06543.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10361043:10392333:-1 gene:DRNTG_06543 transcript:DRNTG_06543.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHILAFPEKVSFNMPKLDLKFLHKGQNLYIENNVMGIHFKSSKSQYEDSGETTSHLDIQMDLSEIHLLREGTTSILEILKVVLIASVDVPMQPVLPIRAEIDVKLGGTQCSLIMGRLKPWLQLHNSKKKRMVLHGENVHQEQFQASEVKPIMWACTVSAPEMTIVLYNLDGMPVYHGCSQSSHLFFNNIANKGVQVHAELGELHLHMADEYQQFKENIFGVETTSSSLIHIERVSLDWGQREIESHEGYDSDKLHLVFSVDISGMDVQFGLKHVESFIFTMMSFKALLKSLSSNKRVTQNKEGRLRKTAAKGFRMLKLNLDKCSINYCGNISLDNAIVADPKRVNYGSQGGPIIISVNTDCTPRNANITSSLPDGCKHLKFFTSLDIFHLSVCVNNDKESMQMELDRARSVYEEHSEEHKPAIKVNLFDMQKGKFVRRSGGLNDIAVCSYFSATDISVRWEPDAHLALFEFVTRLRFMLHNQKRQISTNEISKDPFDIRDHELGKVIVMDESQPEKQCRKRESVFAVDVEMLRVSAELADGVEGMVHVQSIFSENAKIGVLLEGLMLSFNGSRIFKSSRMQVSRIPLPTTNSGDTKVQSATSWDWVIQGLDVHICMPYRLQLRAVDDAVEDTLRALKLITKSISSVLFPVKMDNSKKGKSKSTKSESVRFIIRKLTADIEEEPIQGWLDEHYQLLKNEICEQDVRLRLLDEMISSHSNDIGNVESSELPSEKKLNFDGIEINVLDASAIQRLKEEIYKKTFRSYYEACQKFVFSEGSGACSSGFQSGFKTSTNRSSLLSVCATELDVSLTKIKGGDVGMVEFIRKSDPIALENEIPFSRLYGRDISVNAGYLAVRLRNYTFPLFAATSGKCKGCVVLAQQATCFQPQIVQDVYVGRWRKVQLLRSASGTTPPMKTYSDLPICFQKGEVSFGVGYEPVFADISYAFTVALRRANLSIRIPDSGLNNQNVLGSQQPTILPGGQPPKKERSLPWWDDMRNYIHGKNCLCFRESTWNLLATTNPYEKLDKLQILSGYMDIQQTDGRVFLSAKEFKIYVSSLESLSNNCSLKLPRKTCIPFIDSPAFSLEVVMNWECESGNPLNHYLYALPNEGGPRQKVFDPFRSTSLSLRWNFSLRPLPLGEKDLKSAIEDNAMLDGTIYCSSQKMESSLSDFPTLTFGAHDLAWIFKWWNMMYNPPHKLRSFSRWPRFRVPRIPRSGNLSLDRVMTENFLRVDSTPTCVKHMPLGDDDPASGLTFKMTKLKYELCYSRGKQKYTFESKRDSLDLVYQGLDLHMLKAYLNRDSSKTVQDIQTAKHSLQNVPADKVSNEKNGYLSSCTEKNQDDGFLLYSDYFTIRRQTPKADPSRLLAWQEAGRKNLEMTYVRSEFENGSESDHARSSDDDGFNVVIADNCQRVFVYGLKLLWTIENRDAVWSWVGGISKAFEPSKPSPSRQYAQRKLVEGSVPEGPEMPHDDTIKSSPSISQSASFSSPKHVEVSSQLPSSSSSTKIDVSHSPMKLGHVDDSEDDGIRHFMVNVLQPQFNLHSEDANGRFLLAAASGRVLARSFHSVLHVGYEMIEQALGTESVHIPEVEPEMTWKRVELSVMLEHVQAHVAPTDVDPGAGLQWLPKILRSSPKVKRTGALLERVFLPCQMYFRYTRHKGGTADLKVKPLKELNFNSPNITATMTSRQFQVMLDVLSNLLFARLPKPRKSSLSYPSEDDDDIEEEADEVVPDGVEEVELAKISLEQRERERKLLLDDIRNLLATNNISGDPCLMPEKDEDIWMITGGKSMLVQGLKKELGNIQKSRKDASTALRMALQKAAQLRLMEKEKNKSPSYAMRISMRINKVVWSMLADGKTFAEAEIKDMIYDFDRDYKDIGIAQFTTKSFVVRNCLQNAKSDMLLSAWNAPPEWGKNVMLRVDAKQGVPKDGSSPLELFHVEIYPLKIHLAETMYRMMWDYFFPEEEQDSQRRQEVWKVSTTASSRRGRKGSAAEPSSSSSHAIRELEALGKLGTAASSSVPGGAIQFSTPGDSSQGSKKPNTRPNSELRRTSSFDRTWEENVAESVANELVMQAHSSSVSTKSGLLNPTPELQNASVEVTSKSKPKDKMAKAARLSHEEKKVGKSQDEKRTRARKMMEFHNIKISQVELLVTYEGSRFAVNDLRLLMDTFHRVEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKDKAQSQRDAQGVVPDIDLNFSDSDGGQPGKADQNPIAFLKRPSDGAGDGFVTSIKGLFNSQRRKAKAFVLKTMRGEADNEYHGEWSESDVEFSPFARQLTITKAKKLIRRHTKKFRSRGQKVQQIDSLQSSPRETTPYQSDSSGGSSYEDFHELKAAVLD >DRNTG_06543.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10361043:10363379:-1 gene:DRNTG_06543 transcript:DRNTG_06543.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHSSSVSTKSGLLNPTPELQNASVEVTSKSKPKDKMAKAARLSHEEKKVGKSQDEKRTRARKMMEFHNIKISQVELLVTYEGSRFAVNDLRLLMDTFHRVEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKDKAQSQRDAQGVVPDIDLNFSDSDGGQPGKADQNPIAFLKRPSDGAGDGFVTSIKGLFNSQRRKAKAFVLKTMRGEADNEYHGEWSESDVEFSPFARQLTITKAKKLIRRHTKKFRSRGQKVQQIDSLQSSPRETTPYQSDSSGGSSYEDFHELKAAVLD >DRNTG_06543.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10361043:10398515:-1 gene:DRNTG_06543 transcript:DRNTG_06543.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLDLKFLHKGQNLYIENNVMGIHFKSSKSQYEDSGETTSHLDIQMDLSEIHLLREGTTSILEILKVVLIASVDVPMQPVLPIRAEIDVKLGGTQCSLIMGRLKPWLQLHNSKKKRMVLHGENVHQEQFQASEVKPIMWACTVSAPEMTIVLYNLDGMPVYHGCSQSSHLFFNNIANKGVQVHAELGELHLHMADEYQQFKENIFGVETTSSSLIHIERVSLDWGQREIESHEGYDSDKLHLVFSVDISGMDVQFGLKHVESFIFTMMSFKALLKSLSSNKRVTQNKEGRLRKTAAKGFRMLKLNLDKCSINYCGNISLDNAIVADPKRVNYGSQGGPIIISVNTDCTPRNANITSSLPDGCKHLKFFTSLDIFHLSVCVNNDKESMQMELDRARSVYEEHSEEHKPAIKVNLFDMQKGKFVRRSGGLNDIAVCSYFSATDISVRWEPDAHLALFEFVTRLRFMLHNQKRQISTNEISKDPFDIRDHELGKVIVMDESQPEKQCRKRESVFAVDVEMLRVSAELADGVEGMVHVQSIFSENAKIGVLLEGLMLSFNGSRIFKSSRMQVSRIPLPTTNSGDTKVQSATSWDWVIQGLDVHICMPYRLQLRAVDDAVEDTLRALKLITKSISSVLFPVKMDNSKKGKSKSTKSESVRFIIRKLTADIEEEPIQGWLDEHYQLLKNEICEQDVRLRLLDEMISSHSNDIGNVESSELPSEKKLNFDGIEINVLDASAIQRLKEEIYKKTFRSYYEACQKFVFSEGSGACSSGFQSGFKTSTNRSSLLSVCATELDVSLTKIKGGDVGMVEFIRKSDPIALENEIPFSRLYGRDISVNAGYLAVRLRNYTFPLFAATSGKCKGCVVLAQQATCFQPQIVQDVYVGRWRKVQLLRSASGTTPPMKTYSDLPICFQKGEVSFGVGYEPVFADISYAFTVALRRANLSIRIPDSGLNNQNVLGSQQPTILPGGQPPKKERSLPWWDDMRNYIHGKNCLCFRESTWNLLATTNPYEKLDKLQILSGYMDIQQTDGRVFLSAKEFKIYVSSLESLSNNCSLKLPRKTCIPFIDSPAFSLEVVMNWECESGNPLNHYLYALPNEGGPRQKVFDPFRSTSLSLRWNFSLRPLPLGEKDLKSAIEDNAMLDGTIYCSSQKMESSLSDFPTLTFGAHDLAWIFKWWNMMYNPPHKLRSFSRWPRFRVPRIPRSGNLSLDRVMTENFLRVDSTPTCVKHMPLGDDDPASGLTFKMTKLKYELCYSRGKQKYTFESKRDSLDLVYQGLDLHMLKAYLNRDSSKTVQDIQTAKHSLQNVPADKVSNEKNGYLSSCTEKNQDDGFLLYSDYFTIRRQTPKADPSRLLAWQEAGRKNLEMTYVRSEFENGSESDHARSSDDDGFNVVIADNCQRVFVYGLKLLWTIENRDAVWSWVGGISKAFEPSKPSPSRQYAQRKLVEGSVPEGPEMPHDDTIKSSPSISQSASFSSPKHVEVSSQLPSSSSSTKIDVSHSPMKLGHVDDSEDDGIRHFMVNVLQPQFNLHSEDANGRFLLAAASGRVLARSFHSVLHVGYEMIEQALGTESVHIPEVEPEMTWKRVELSVMLEHVQAHVAPTDVDPGAGLQWLPKILRSSPKVKRTGALLERVFLPCQMYFRYTRHKGGTADLKVKPLKELNFNSPNITATMTSRQFQVMLDVLSNLLFARLPKPRKSSLSYPSEDDDDIEEEADEVVPDGVEEVELAKISLEQRERERKLLLDDIRNLLATNNISGDPCLMPEKDEDIWMITGGKSMLVQGLKKELGNIQKSRKDASTALRMALQKAAQLRLMEKEKNKSPSYAMRISMRINKVVWSMLADGKTFAEAEIKDMIYDFDRDYKDIGIAQFTTKSFVVRNCLQNAKSDMLLSAWNAPPEWGKNVMLRVDAKQGVPKDGSSPLELFHVEIYPLKIHLAETMYRMMWDYFFPEEEQDSQRRQEVWKVSTTASSRRGRKGSAAEPSSSSSHAIRELEALGKLGTAASSSVPGGAIQFSTPGDSSQGSKKPNTRPNSELRRTSSFDRTWEENVAESVANELVMQAHSSSVSTKSGLLNPTPELQNASVEVTSKSKPKDKMAKAARLSHEEKKVGKSQDEKRTRARKMMEFHNIKISQVELLVTYEGSRFAVNDLRLLMDTFHRVEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKDKAQSQRDAQGVVPDIDLNFSDSDGGQPGKADQNPIAFLKRPSDGAGDGFVTSIKGLFNSQRRKAKAFVLKTMRGEADNEYHGEWSESDVEFSPFARQLTITKAKKLIRRHTKKFRSRGQKVQQIDSLQSSPRETTPYQSDSSGGSSYEDFHELKAAVLD >DRNTG_06543.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10361043:10398515:-1 gene:DRNTG_06543 transcript:DRNTG_06543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVKFFFVLLVVCTIGWVVFIFAARLLAWFLSRTTGASVGFRVAGCNCFRDVTLKFRKGALESVSIGEIKLSLRKSLVKLGFSFISRDPKLQLLISDLEIVTRPSVQGSKKGKSHKPRSSGRGKWMILSNMARFLSVSVTELTVKVPKAIIEVKDLKLDVSKSGGSSPVLNIKLYIIPLTVQICDSRLSYDQSSSYNQMEGLLNSDLSGSAIMEKNSAPFICEDLSVACEFGHDRERGVKIRDLDVICGDVTLNLNENLFLKNTTKSGTSSDVDTSGGATFDSSVDKRSQKKKLSVLSMKNHILAFPEKVSFNMPKLDLKFLHKGQNLYIENNVMGIHFKSSKSQYEDSGETTSHLDIQMDLSEIHLLREGTTSILEILKVVLIASVDVPMQPVLPIRAEIDVKLGGTQCSLIMGRLKPWLQLHNSKKKRMVLHGENVHQEQFQASEVKPIMWACTVSAPEMTIVLYNLDGMPVYHGCSQSSHLFFNNIANKGVQVHAELGELHLHMADEYQQFKENIFGVETTSSSLIHIERVSLDWGQREIESHEGYDSDKLHLVFSVDISGMDVQFGLKHVESFIFTMMSFKALLKSLSSNKRVTQNKEGRLRKTAAKGFRMLKLNLDKCSINYCGNISLDNAIVADPKRVNYGSQGGPIIISVNTDCTPRNANITSSLPDGCKHLKFFTSLDIFHLSVCVNNDKESMQMELDRARSVYEEHSEEHKPAIKVNLFDMQKGKFVRRSGGLNDIAVCSYFSATDISVRWEPDAHLALFEFVTRLRFMLHNQKRQISTNEISKDPFDIRDHELGKVIVMDESQPEKQCRKRESVFAVDVEMLRVSAELADGVEGMVHVQSIFSENAKIGVLLEGLMLSFNGSRIFKSSRMQVSRIPLPTTNSGDTKVQSATSWDWVIQGLDVHICMPYRLQLRAVDDAVEDTLRALKLITKSISSVLFPVKMDNSKKGKSKSTKSESVRFIIRKLTADIEEEPIQGWLDEHYQLLKNEICEQDVRLRLLDEMISSHSNDIGNVESSELPSEKKLNFDGIEINVLDASAIQRLKEEIYKKTFRSYYEACQKFVFSEGSGACSSGFQSGFKTSTNRSSLLSVCATELDVSLTKIKGGDVGMVEFIRKSDPIALENEIPFSRLYGRDISVNAGYLAVRLRNYTFPLFAATSGKCKGCVVLAQQATCFQPQIVQDVYVGRWRKVQLLRSASGTTPPMKTYSDLPICFQKGEVSFGVGYEPVFADISYAFTVALRRANLSIRIPDSGLNNQNVLGSQQPTILPGGQPPKKERSLPWWDDMRNYIHGKNCLCFRESTWNLLATTNPYEKLDKLQILSGYMDIQQTDGRVFLSAKEFKIYVSSLESLSNNCSLKLPRKTCIPFIDSPAFSLEVVMNWECESGNPLNHYLYALPNEGGPRQKVFDPFRSTSLSLRWNFSLRPLPLGEKDLKSAIEDNAMLDGTIYCSSQKMESSLSDFPTLTFGAHDLAWIFKWWNMMYNPPHKLRSFSRWPRFRVPRIPRSGNLSLDRVMTENFLRVDSTPTCVKHMPLGDDDPASGLTFKMTKLKYELCYSRGKQKYTFESKRDSLDLVYQGLDLHMLKAYLNRDSSKTVQDIQTAKHSLQNVPADKVSNEKNGYLSSCTEKNQDDGFLLYSDYFTIRRQTPKADPSRLLAWQEAGRKNLEMTYVRSEFENGSESDHARSSDDDGFNVVIADNCQRVFVYGLKLLWTIENRDAVWSWVGGISKAFEPSKPSPSRQYAQRKLVEGSVPEGPEMPHDDTIKSSPSISQSASFSSPKHVEVSSQLPSSSSSTKIDVSHSPMKLGHVDDSEDDGIRHFMVNVLQPQFNLHSEDANGRFLLAAASGRVLARSFHSVLHVGYEMIEQALGTESVHIPEVEPEMTWKRVELSVMLEHVQAHVAPTDVDPGAGLQWLPKILRSSPKVKRTGALLERVFLPCQMYFRYTRHKGGTADLKVKPLKELNFNSPNITATMTSRQFQVMLDVLSNLLFARLPKPRKSSLSYPSEDDDDIEEEADEVVPDGVEEVELAKISLEQRERERKLLLDDIRNLLATNNISGDPCLMPEKDEDIWMITGGKSMLVQGLKKELGNIQKSRKDASTALRMALQKAAQLRLMEKEKNKSPSYAMRISMRINKVVWSMLADGKTFAEAEIKDMIYDFDRDYKDIGIAQFTTKSFVVRNCLQNAKSDMLLSAWNAPPEWGKNVMLRVDAKQGVPKDGSSPLELFHVEIYPLKIHLAETMYRMMWDYFFPEEEQDSQRRQEVWKVSTTASSRRGRKGSAAEPSSSSSHAIRELEALGKLGTAASSSVPGGAIQFSTPGDSSQGSKKPNTRPNSELRRTSSFDRTWEENVAESVANELVMQAHSSSVSTKSGLLNPTPELQNASVEVTSKSKPKDKMAKAARLSHEEKKVGKSQDEKRTRARKMMEFHNIKISQVELLVTYEGSRFAVNDLRLLMDTFHRVEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKDKAQSQRDAQGVVPDIDLNFSDSDGGQPGKADQNPIAFLKRPSDGAGDGFVTSIKGLFNSQRRKAKAFVLKTMRGEADNEYHGEWSESDVEFSPFARQLTITKAKKLIRRHTKKFRSRGQKVQQIDSLQSSPRETTPYQSDSSGGSSYEDFHELKAAVLD >DRNTG_35232.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18017676:18018591:1 gene:DRNTG_35232 transcript:DRNTG_35232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEKRYFVGIRSSLQVLEKIACSVKYNEPVLLVGETGTGKTTLVQNLATKLGHSLTVMNLSQQSDVADLLGGYKPTDACSVCMPIYHELKELFCKSFSGK >DRNTG_30658.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8485548:8492954:-1 gene:DRNTG_30658 transcript:DRNTG_30658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPPRISKSRRPSPSPISSDMPTANGDHLMAELHPSSPSPTASDMPMANGNHFMAELPAPVNKDVDFAKYFCTYAYLYYQKVMLSDRVRMEAYYNAVFKNSYHFRNKVVLDVGTGTGILAIWCAQAGAKKVYAVEATKMSDHARDLVKANNVYDVVEVIEGSMEDVLLPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPDGVMYPSHARMWIGPIRSDLCEQKWNEFANAMSDWNCFANNMESQYGVNMNVLTRPYLEENEKYYLKTSLWNNINPSQVIGTPDVIKEIDCLTVTVDEIRELHVKFSLRIKQDKTKLSGFAGWFDVRFRGSTQNPAKNEVVLTTAPSLVNQTHWGQQAFLLHPSVRVNEGDELAVSFSMVRSKHNHRLMDVEFTFELQQSSGQRHLPVFSKFYIE >DRNTG_30658.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8485548:8487815:-1 gene:DRNTG_30658 transcript:DRNTG_30658.3 gene_biotype:protein_coding transcript_biotype:protein_coding TSLWNNINPSQVIGTPDVIKEIDCLTVTVDEIRELHVKFSLRIKQDKTKLSGFAGWFDVRFRGSTQNPAKNEVVLTTAPSLVNQTHWGQQAFLLHPSVRVNEGDELAVSFSMVRSKHNHRLMDVEFTFELQQSSGQRHLPVFSKFYIE >DRNTG_30658.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8485548:8486561:-1 gene:DRNTG_30658 transcript:DRNTG_30658.4 gene_biotype:protein_coding transcript_biotype:protein_coding QAFLLHPSVRVNEGDELAVSFSMVRSKHNHRLMDVEFTFELQQSSGQRHLPVFSKFYIE >DRNTG_30658.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8485548:8489054:-1 gene:DRNTG_30658 transcript:DRNTG_30658.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHARDLVKANNVYDVVEVIEGSMEDVLLPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPDGVMYPSHARMWIGPIRSDLCEQKWNEFANAMSDWNCFANNMESQYGVNMNVLTRPYLEENEKYYLKTSLWNNINPSQVIGTPDVIKEIDCLTVTVDEIRELHVKFSLRIKQDKTKLSGFAGWFDVRFRGSTQNPAKNEVVLTTAPSLVNQTHWGQQAFLLHPSVRVNEGDELAVSFSMVRSKHNHRLMDVEFTFELQQSSGQRHLPVFSKFYIE >DRNTG_09199.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4809864:4811020:-1 gene:DRNTG_09199 transcript:DRNTG_09199.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKHYCTCCHSTRQPVNQNIKIDTFTALNRFKLQLITMKYIGPFFLLPFIPSLSLLSLSLQTLITKALNFLNLDPGYNQPRALLSLTIDQTLTLTLTWPLTSKVKQLNLTSSHEGLLQVARIFHFQLHSSPKRAATAELTNLSLTVFLTTGSNSGDSNPFSDTSGMVHNPPRPSSTL >DRNTG_09199.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4809660:4811020:-1 gene:DRNTG_09199 transcript:DRNTG_09199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHYCTCCHSTRQPVNQNIKIDTFTALNRFKLQLITMKYIGPFFLLPFIPSLSLLSLSLQTLITKALNFLNLDPGYNQPRALLSLTIDQTLTLTLTWPLTSKVKQLNLTSSHEGLLQVARIFHFQLHSSPKRAATAELTNLSLTVFLTTGSNSGDSNPFSDTSGMVHNPPRPSSTL >DRNTG_09199.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4809864:4810957:-1 gene:DRNTG_09199 transcript:DRNTG_09199.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKHYCTCCHSTRQPVNQNIKIDTFTALNRFKLQLITMKYIGPFFLLPFIPSLSLLSLSLQTLITKALNFLNLDPGYNQPRALLSLTIDQTLTLTLTWPLTSKVKQLNLTSSHEGLLQVARIFHFQLHSSPKRAATAELTNLSLTVFLTTGSNSGDSNPFSDTSGMVHNPPRPSSTL >DRNTG_09199.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4809660:4810957:-1 gene:DRNTG_09199 transcript:DRNTG_09199.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHYCTCCHSTRQPVNQNIKIDTFTALNRFKLQLITMKYIGPFFLLPFIPSLSLLSLSLQTLITKALNFLNLDPGYNQPRALLSLTIDQTLTLTLTWPLTSKVKQLNLTSSHEGLLQVARIFHFQLHSSPKRAATAELTNLSLTVFLTTGSNSGDSNPFSDTSGMVHNPPRPSSTL >DRNTG_00798.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8179154:8179507:1 gene:DRNTG_00798 transcript:DRNTG_00798.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDIPPTAQEPPPLHIFSPSRAYDPFERLESAVGVLRTKITEASPAQPSPAPAPVDPPIAASPQPAVVNPNAADTDA >DRNTG_03708.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000173.1:20938:32667:1 gene:DRNTG_03708 transcript:DRNTG_03708.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMNTCLGALCTCIQHPTVKEKLRSITSPKDFLDEAERKFQDLKANCVLRDEELVADRPGMQLTDQVQRWHNKVHHQEKENMINQMKDDYNDRGCLLGSCSLNLWANYKISQSSIKLFKEINNLRTEHDAFQEITKTQSPRAVEEILTSTIPVGNIIKLNLKKVCSYLADDTVSMVGIWGMGGVGKTNLLNEINNSLQGGDAYNMEFKYVIYLVVSTEPQFEKLQKEISERLGLQPPYSMKNDIFEFLKNKDFLLLLDDIWKAIDLPKTLGIPLPHHQSQNWEDRGPRYKHKVIFTTREDDVCAQMKADKKIKVECLDREEAWHLFMHHTNEEIISSNVVIKKLAREVMERCAGLPLALKVIGRAMSNKKTPEEWRHMLKLLIKVDIKTVTDIEESLFHNLKVSYNNFVSDTCENVSCVVLNGLKMSVFQSMIS >DRNTG_03708.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000173.1:30107:32667:1 gene:DRNTG_03708 transcript:DRNTG_03708.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINQMKDDYNDRGCLLGSCSLNLWANYKISQSSIKLFKEINNLRTEHDAFQEITKTQSPRAVEEILTSTIPVGNIIKLNLKKVCSYLADDTVSMVGIWGMGGVGKTNLLNEINNSLQGGDAYNMEFKYVIYLVVSTEPQFEKLQKEISERLGLQPPYSMKNDIFEFLKNKDFLLLLDDIWKAIDLPKTLGIPLPHHQSQNWEDRGPRYKHKVIFTTREDDVCAQMKADKKIKVECLDREEAWHLFMHHTNEEIISSNVVIKKLAREVMERCAGLPLALKVIGRAMSNKKTPEEWRHMLKLLIKVDIKTVTDIEESLFHNLKVSYNNFVSDTCENVSCVVLNGLKMSVFQSMIS >DRNTG_20200.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001098.1:4968:42582:-1 gene:DRNTG_20200 transcript:DRNTG_20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGAIPENIGKLSKLFELNLSSNYLMGVLTESHFANLVNLKYLDLSYNSLQLNVSENWKPPFECLQIRMCSIKVGSVFPTWLKTQTYLSDLCLSDAGIS >DRNTG_18483.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29848270:29850585:-1 gene:DRNTG_18483 transcript:DRNTG_18483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFEFSFVSFLVLAFFLSFNIVLLVHGKPLPIVTDYGESSSQIQTYIVHVLKPEGSNFLGTEDLENWHKSFLPNTTLDTGEPRLLYSYKEAISGFAARLTPEEVRAMEKMDGFLRANPSQRLELQTTYTHKLLNLSTIFGAWSTTNSFFGEGIIIGVLDSGIHFPHPSFADEGMPPRPKGWNASCYFQRPSCNDKVIGAQSFKGGNKPIPPTDIDQGHGTHVAGIAAGNFVDNAEVLGQALGKAAGMAPKAFISVYKICWKGKGCGVSDIIAGIDKAIQDGVHILQMSFGGNWPNSFEEDEVAIATYSAMQKGIFPCTCASNEGPDPETLGHYAPWDMVVGATTTDRRIRATVTLGNGMQFHGETAYQPNNTITNKFLPLVYPGSNGQNEQNNCLNNSLNGINVRGKIVMCYIGGKAESDEQVDIVRNAGGAGVIFANPNRIGFTTYSAPHHLPASHVSHKDAIKIQLYFATNDTPTAKITFGGTVFGARPSPALAYFSSRGPPMNNGNIVKPDVTAPGVNILSAWPVEVGPFPSGLKTKTFNFLSGTSMATPHVSGIVALIMSKLKYENKRKWSTTEIQSALITTANIFDLDGEPIFDEASLKSSANIVQRGAGQVNAIKAMDPGLVYNIEQDDYVAYLCGIYSNNSQKVQIFTKDNTQCTRSISGEQLNYPSIGVPMGSSSSRITVRRTVTNVGDAKELYNAKIKEPQNVKIDLSQYSLSFTRFEQQITYDMTFTLNGAHPGSGVIGQGELSWVSDKHTVTSPIYIAF >DRNTG_31503.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14223230:14224369:1 gene:DRNTG_31503 transcript:DRNTG_31503.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSQFSVHLGLYEEAYTDTEAYGHLPMDYPGLLTPQLVMGMGLLDAIHGAEKAIVPSPLGLDTIRLMGLTPEPQPEHTEAEAPSVAQEPPLVRIYFERDASSPFIMRPRTPPTPRASPSPDPPASFDLATAVELTVKDTDA >DRNTG_11875.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15319669:15323782:-1 gene:DRNTG_11875 transcript:DRNTG_11875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDISSKADNKRVVVASCRVLLGKKIFDLVATNQIAKGDVFAVAKIAAISGAKQTSNLIPLCHNIGLTHVQFDLTLNEKDCSVDMEEKLQQLEKPTGDQSPEADRTTSGLLASHQRLASKIDVPSVDRWRVTGGQPTDGRTTGVQADQTGYRKSAGPPVNRHVHCFFLIRRMFFIDIAQNFPGEFILDGQLMSGDIGQGATKEIVTFTARITSMQARPDGFYQLAGGTNAYTIDSLKKLGLFRAKNDPGSFS >DRNTG_06123.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000281.1:20130:32096:1 gene:DRNTG_06123 transcript:DRNTG_06123.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRICLRGKTIWKMGRMRHCSALSAADLGFGGGKSKSMYGKEGHMGMTLVKFANTQAGLKEAERLSEYFEKDNHGRKGWSRAQAMSSSLDDDKNPALVKLDEKTGEKKRILYGYLVTASDLDKVDLDTRKRLVIKSRKEHDSSD >DRNTG_06123.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000281.1:20130:32096:1 gene:DRNTG_06123 transcript:DRNTG_06123.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRMSLRGIGRVCSSMVVLTLMGSRQVLGVIVGISPGEVAALIGGNYHDDSRMVKQGRFEAEGYDGVLPRRGRRDEDFPAVDVDPKALKRAFLKFAKIINENLSQRKNYLEDGKNAPLQCIVCGRASKDFADVHELVLHAYTSQNAELRVDHLGLHKALCVLMGWNYGKAPDYSKAYQSLSADDVAANREDLIVWPPTVIIHNTNSGRRKDGRMEGMGNKEMDTKLKDLGFGGGKSKSMYGKEGHMGMTLVKFANTQAGLKEAERLSEYFEKDNHGRKGWSRAQAMSSSLDDDKNPALVKLDEKTGEKKRILYGYLVTASDLDKVDLDTRKRLVIKSRKEHDSSD >DRNTG_06123.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000281.1:20130:32164:1 gene:DRNTG_06123 transcript:DRNTG_06123.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRMSLRGIGRVCSSMVVLTLMGSRQVLGVIVGISPGEVAALIGGNYHDDSRMVKQGRFEAEGYDGVLPRRGRRDEDFPAVDVDPKALKRAFLKFAKIINENLSQRKNYLEDGKNAPLQCIVCGRASKDFADVHELVLHAYTSQNAELRVDHLGLHKALCVLMGWNYGKAPDYSKAYQSLSADDVAANREDLIVWPPTVIIHNTNSGRRKDGRMEGMGNKEMDTKLKDLGFGGGKSKSMYGKEGHMGMTLVKFANTQAGLKEAERLSEYFEKDNHGRKGWSRAQAMSSSLDDDKNPALVKLDEKTGEKKRILYGYLVTASDLDKVDLDTRKRLVIKSRKEHDSSD >DRNTG_06123.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000281.1:20130:32164:1 gene:DRNTG_06123 transcript:DRNTG_06123.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRICLRGKTIWKMGRMRHCSALSAADLGFGGGKSKSMYGKEGHMGMTLVKFANTQAGLKEAERLSEYFEKDNHGRKGWSRAQAMSSSLDDDKNPALVKLDEKTGEKKRILYGYLVTASDLDKVDLDTRKRLVIKSRKEHDSSD >DRNTG_06123.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000281.1:20130:32263:1 gene:DRNTG_06123 transcript:DRNTG_06123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICLRGKTIWKMGRMRHCSALSAADLGFGGGKSKSMYGKEGHMGMTLVKFANTQAGLKEAERLSEYFEKDNHGRKGWSRAQAMSSSLDDDKNPALVKLDEKTGEKKRILYGYLVTASDLDKVDLDTRKRLVIKSRKEHDSSD >DRNTG_29822.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23241748:23246182:-1 gene:DRNTG_29822 transcript:DRNTG_29822.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional regulator STERILE APETALA [Source:Projected from Arabidopsis thaliana (AT5G35770) UniProtKB/Swiss-Prot;Acc:Q9FKH1] MSNTSSSSSEGGGDEGSGPSSPAPRRNGEPSSSRRRSAEAVWPEHFVEAVAIRVASDAAASAGRLAAAPALASFFQVCSTWRAVSRSELLWREVSHLVFNRHRPLRPSWREEFVRLHRTARNFRLRRNAHHHVLPPSSEHCTRLAVSDDRLAAGFLDGSVALFDLPTCQLLITYPPNLTRERLGRFSQSISGVILLLDRLVFASQDGDVHVADALDPPSWPARRSHVGSLMEDGTLVDFSGDNIRWVGLYAGVPGRSFRIWNSENEHVLFVGGSLTDPDSVAGWHLLTDLAGPSLGRARVGQPGTVMVACTGSRLQAIDDTGDVLNEVGFGREAVVDCVDACEGSVLVVDVRGTARVRQAHTLEELCRFNTVRRRDASLPGAVTGCMNWGYVLLCFGDGVIRVWDAATGEFLYRFRERIGEAGVVVASYRHVAAWSRGTGLHLWDFGDDLFD >DRNTG_08961.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000392.1:25460:26057:-1 gene:DRNTG_08961 transcript:DRNTG_08961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTVYGKLLAGDGEEDCPSRWPEIERGPAVLAMEKGGDQQVKERELVGRSGREREKGGGGGWRCVTAAAGRT >DRNTG_15448.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:305116:311500:1 gene:DRNTG_15448 transcript:DRNTG_15448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSSSHPPPLSGKPSSVLPHKTPNIRDHYRVGKKLGQGQFGTTYLCVDKSDGKEYACKSIPKRKLLCREDYEDVWREIQIMHHLSEHANVVRIRGAYEDALFVHLVMELCAGGELFDRIIQKGHFSEREAAQLIKTIVGVVESCHSLGVMHRDLKPENFLFLSADDDAVLKATDFGLSVFYKPGLSSSSSSSSSSNVVCSELFYCIYLCAELLLV >DRNTG_15448.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:307675:311500:1 gene:DRNTG_15448 transcript:DRNTG_15448.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSSSHPPPLSGKPSSVLPHKTPNIRDHYRVGKKLGQGQFGTTYLCVDKSDGKEYACKSIPKRKLLCREDYEDVWREIQIMHHLSEHANVVRIRGAYEDALFVHLVMELCAGGELFDRIIQKGHFSEREAAQLIKTIVGVVESCHSLGVMHRDLKPENFLFLSADDDAVLKATDFGLSVFYKPGLSSSSSSSSSSNVVCSELFYCIYLCAELLLV >DRNTG_04183.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:576237:577101:1 gene:DRNTG_04183 transcript:DRNTG_04183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVPCLAVSSEITGFPFSVGRMDLISVFLFSVITKSTTPQATARFHPGSITEARTTVGEKEHSSSTITTSLEPTNQTVRI >DRNTG_28485.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8561208:8567363:-1 gene:DRNTG_28485 transcript:DRNTG_28485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPKGELDLREMQSLEGHNDRVWSLAWNPASGAAGVPAMLASCSGDKTARIWKQGPSGSFDCMAVLEDAHMRTVRSCAWSPCGKLLATTSFDATTAIWEQIGSDFECISTLEGHENEVKSVSWNASGSLVATCSRDKSVWIWEMQSGKEFECVSVLQGHTQDVKMVQWHPLIDVLVSVSYDNTIKVWAEDGDDDWHCVQTIDEACSGHSSTVWALSFNESGDKMVTCSDDLTLKIWDTSGTPSESSDDAPRSWRHVYTLSGFHDRTIFSVNWSREGVIASGAADDAICLFVESKEKMDEPFYSLVMKKEKAHAMDINSVQWNPKNPRILASASDDGTIKIWELAEISG >DRNTG_28485.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8561208:8567363:-1 gene:DRNTG_28485 transcript:DRNTG_28485.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPKGELDLREMQSLEGHNDRVWSLAWNPASGAAGVPAMLASCSGDKTARIWKQGPSGSFDCMVRSEPLLIGAVLEDAHMRTVRSCAWSPCGKLLATTSFDATTAIWEQIGSDFECISTLEGHENEVKSVSWNASGSLVATCSRDKSVWIWEMQSGKEFECVSVLQGHTQDVKMVQWHPLIDVLVSVSYDNTIKVWAEDGDDDWHCVQTIDEACSGHSSTVWALSFNESGDKMVTCSDDLTLKIWDTSGTPSESSDDAPRSWRHVYTLSGFHDRTIFSVNWSREGVIASGAADDAICLFVESKEKMDEPFYSLVMKKEKAHAMDINSVQWNPKNPRILASASDDGTIKIWELAEISG >DRNTG_07902.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2510274:2513003:1 gene:DRNTG_07902 transcript:DRNTG_07902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIKVRIFGKPKVEKMKKRQQPKRSKELSPWGVR >DRNTG_07902.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2510458:2513003:1 gene:DRNTG_07902 transcript:DRNTG_07902.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKPISPQSSMSSNQMHFFIRIHCYCPKYKVAKLNCYHKSTPAKQASHRNSEHGGDKGEDLRQAKGGEDEETAAAKAKQRIIAMGREIK >DRNTG_07902.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2510458:2513003:1 gene:DRNTG_07902 transcript:DRNTG_07902.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIKVRIFGKPKVEKMKKRQQPKRSKELSPWGVR >DRNTG_07902.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2510401:2513003:1 gene:DRNTG_07902 transcript:DRNTG_07902.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPQHLNHQIVTLTPIFSSFFFFPNHLTTKLFKSPPFIFFLIHPCYLSTCINQHQQSKHRIATASMEEIKVRIFGKPKVEKMKKRQQPKRSKELSPWGVR >DRNTG_07902.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2510401:2513003:1 gene:DRNTG_07902 transcript:DRNTG_07902.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIKVRIFGKPKVEKMKKRQQPKRSKELSPWGVR >DRNTG_04494.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000216.1:13022:15420:1 gene:DRNTG_04494 transcript:DRNTG_04494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLAPAFKSIYYDQRGCSYFIFFFQAKPKKRKHSLNYLRNLVFLSTPRILFLMCPGRSEVRLASPPPSKVQHQSRSALARPRANKQGIKRKARKNKQGRKPLLLAL >DRNTG_34418.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2650058:2650353:-1 gene:DRNTG_34418 transcript:DRNTG_34418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWYLDPLMHGDYPFNMRVIVRDRLPTFSEEEADMIKGSYDFIGINYYTARYA >DRNTG_33606.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002026.1:16652:17590:1 gene:DRNTG_33606 transcript:DRNTG_33606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPVWNFYTGVMAPRSKKQADKRPRESSLEPEGMSFVIPEHQVRYERLSRLRFGYTRFLDTSILRDLQQGDELADEVEDLVSAGG >DRNTG_30982.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18748096:18749075:-1 gene:DRNTG_30982 transcript:DRNTG_30982.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKKITCCRCLCCLVTITDDCCRRHPLPPLLLVKDAAITIIVTNQREEEEDVGETDEEKKKKTSEGCRCLLPLPPFLLPASIDARHLLPFLLKSSGRPKSLRDERREKEEDGGVSLPSYLYCCRCYFLLCDRCLSTLLPATTDVDVTVTTAVTTAIDVFNAIERASRRYEKKVYVLPKHPDEKVAALHLNKFGSKLTKPTPS >DRNTG_23309.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1141229:1143766:-1 gene:DRNTG_23309 transcript:DRNTG_23309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSWSQALMQISPYTFAAIGIAISIGVSVLGAAWGIYITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPASQIYQPESLRAGYAIFASGIIVGFANLVCGLCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSSQATWPAKAV >DRNTG_25172.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17168296:17169295:1 gene:DRNTG_25172 transcript:DRNTG_25172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAWSCIGETRPKHDCAPLLDFQFLGFRSHLCSGIFLLHQENFDEGEDQASLHHTVLSSVCRHLCTTCRSTSSP >DRNTG_02127.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28745717:28746964:-1 gene:DRNTG_02127 transcript:DRNTG_02127.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVERLYFYSIPSITTTHFMEHLLPSLKSSLSLTLPHFFPLAGHIIHSPTPTPNFHYHFSTTNSIPFTVAESDDDFKHLIGDDARSVLRLSPLVPPLSPPSGDAATPLMAVQVTVFPNHGVSIGLTINHGACDGTSTANFMKFWADTCKSGSSAPFITPLFDRSLFIYPNELDSIFLDLAMKFRELIFNSSITTSGSKSINNEAKQDDVVIGSFWLSQDEIEKLKQWISKENAAVTYCSTFVVACAYVWTCQVRARGWEISRTAWFSFAVNLRGRLRPPVPKEYFGNCLGICVVTLEVGELVKDDGVSVAAEFIRRAIDELRDDALRYASTPEIGRTLGDNQPLTVAGSPAFRVYDRDFGWGRPVKVEVVSIGRNGAMSISEGRDGEGIGIGLAASKHEMDKFRLEFANGLQEL >DRNTG_03253.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000149.1:35026:36757:1 gene:DRNTG_03253 transcript:DRNTG_03253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAARRLVVYNEIDILNYSNSMLRGLFYGGEGFSNHLAFSALKGHLGRFKYLRVLILNAPDMSEFPSEIKSLLHLRYLQLHVLRLKEVPSWIGHLCNLQTFILHGGDLEKISDSLWTIGNLRHVQLPKSLADPNMGNNIPKNLQTLEGVKAGSWIENTLPNLTNLCKLEICNVSNYHADALSSSLQKLCRLASFSITNNPYGNEIPSDNIITAFSNQHCLRNLYIYGSLNRKQLPHNDVFPQQLVSLHLACSKLEQDPMATLEQLPCLKYLRLGVDSYTGKQMICSATGFPQLLSLEIEYLKELEEWKIEEKAMSCLKSLKIRGCKRLKMIPEGLKNVPLDQLILEHMPEEFVSRIKENTGEDWYKTRHVPNISIFL >DRNTG_35215.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8024209:8025137:-1 gene:DRNTG_35215 transcript:DRNTG_35215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKSDGLPLAIIVLGALAMRKEQYEEGWRKLLNSKAFIEDRDEETMEEVAEEYLEELVHRSLIQVAERNVLGGIVTCRIHDLLLDLAISEAKAQQYPTDSAGSLWTITFFGGTELWKRDVNTNSWYWKCFEKIPNEGFKFGCVLFVASWLIVGPRGLVRFQRPRILINMKLLRVIDLHGVPIILPKAIGELIHLKYLNVQVGRTKSLPSSIGELTNL >DRNTG_17226.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2690320:2691748:1 gene:DRNTG_17226 transcript:DRNTG_17226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINTTTTSSLTHQVILHNNLQIIHSNDSQVSQTSLHVNQTTTMIKNQETSEPTTRWPFYAYMCGAMFCLLMSSACHLLSCHSEHTCYLMLRLDYAGISGLIVTSFYPLVYYSFTCHPFIRNVYLTAITVFGIATIIVSLFPVFETPEYRSMRAGLFVCMALSGLVPIMHKLMAFGDRPEAVVTAGYEMIMGAFYALGVIVYAARVPERWMPGRFDLAGHSHQLFHVLVIAGAYTHYLAGLVYLRWREIEGC >DRNTG_32081.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:42079:46673:1 gene:DRNTG_32081 transcript:DRNTG_32081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSPPDVDLTSKLLGGLNLIGNAPDKDMDEMDLWTVVPDSADRLKMTSQSGSSAANAETGRGFGVALPEKKVHARKGRSCRTRVSGLTDHLSRGNSQDKAEHQFGQPSLAALTQDEAEHLFGRASLACLMSEDLKDKISPPTGGTSFEHEQDRVVNGNEMSFSPSACFHSSVRRDTRKNTDKEWYLLDNEGILKGEGCGYFNSGAGLSKTSVSFCEEERVGILVGGNVRSKLADKGKGIESSANSRGISVQPQSRTFLPGLSWKNEGRKTLVHGGLTSPHSITKAKNSPVLDGSNGIDSSFDRDPRSDNDIVDEGVGYDSDLRNGQLCQTQIEVDGLSQRNRQRRLLHSGFISFCDVGGNDCNDGSDGSSSQIVIPDSEEGSVGKKKGKTIIHDNVADHLQHTKDKARSNRHCSVSNKEVGTNSGGHPLRLSADKGWRMALDSIAQTTTLLSEDNIDVPKRKHIMYIDDDSPEVTTSRSRYNKPNIRQPTSNVVSGDRNHRRYKMMKGKRKNNFLHTQDGECSSSAIEDSEVLLVESVVPSNQKSTRIRGSRRHGGTLLGPVIEIDELDFPEGANSNSEEQSHRSFYDSSATARQVESDEILARQLQEQLFNELPDFDASEEIDATIAMALQHEEDSSRLARRGQAHPRDFSMAHLYAQCPQVASRNSLGRTTVRDRATPSRMSHLRRRFNRSSSDRYNFVNVLEAEFNSRSGLSTSPGVLPYQHEFNGSDYEMLLSLDDNNRHAGASQRQLDNLPESIVHQSDNIEEACAICLEIPTTGETIRHLPCLHKFHKDCIDKWLRRKTFCPVCKSGIT >DRNTG_32081.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:42079:46673:1 gene:DRNTG_32081 transcript:DRNTG_32081.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLDDNNRHAGASQRQLDNLPESIVHQSDNIEEACAICLEIPTTGETIRHLPCLHKFHKDCIDKWLRRKTFCPVCKSGIT >DRNTG_14624.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000723.1:1165:1447:-1 gene:DRNTG_14624 transcript:DRNTG_14624.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASQRVTEACKGFLGPDGDWPSSAKAEGSLTARPTRRAGTKVGLSDPTVPSGRAVAQRIK >DRNTG_19622.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001033.1:16848:18233:-1 gene:DRNTG_19622 transcript:DRNTG_19622.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPSKDKDAAKGPDDQAATGDAENAYASFQGLLALARITGSSSNETRGACKRCGRVGHLTFQCRNFLSVKDDKEKDGDVSASIQTANAVFEKIKKASKKDGAESGPEEDEDDESEESESSDSDVDPEIEKIIAARFGKKVKKKTTEEDSVRRGRSKKRSNRGDDDSEIEEEERRREKRKKRHMTSDDDEDDRKRRHRKSRKDRKKRSHKKNEDSDESDEESDRRRRHRCKRRNRRRDASASESDSDDEPAGDRKRSHRSERRRGRRAASDSSYESDSSDKEDSRVRKEKGRIDKKSKDHRRV >DRNTG_19622.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001033.1:15384:18233:-1 gene:DRNTG_19622 transcript:DRNTG_19622.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPSKDKDAAKGPDDQAATGDAENAYASFQGLLALARITGSSSNETRGACKRCGRVGHLTFQCRNFLSVKDDKEKDGDVSASIQTANAVFEKIKKASKKDGAESGPEEDEDDESEESESSDSDVDPEIEKIIAARFGKKVKKKTTEEDSVRRGRSKKRSNRGDDDSEIEEEERRREKRKKRHMTSDDDEDDRKRRHRKSRKDRKKRSHKKNEDSDESDEESDRRRRHRCKRRNRRRDASASESDSDDEPAGDRKRSHRSERRRGRRAASDSSYESDSSDKEDSRVRKEKGRIDKKSKDHRRV >DRNTG_19622.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001033.1:15384:18233:-1 gene:DRNTG_19622 transcript:DRNTG_19622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPSKDKDAAKGPDDQAATGDAENAYASFQGLLALARITGSSSNETRGACKRCGRVGHLTFQCRNFLSVKDDKEKDGDVSASIQTANAVFEKIKKASKKDGAESGPEEDEDDESEESESSDSDVDPEIEKIIAARFGKKVKKKTTEEDSVRRGRSKKRSNRGDDDSEIEEEERRREKRKKRHMTSDDDEDDRKRRHRKSRKDRKKRSHKKNEDSDESDEESDRRRRHRCKRRNRRRDASASESDSDDEPAGDRKRSHRSERRRGRRAASDSSYESDSSDKEDSRVRKEKGRIDKKSKDHRRV >DRNTG_19622.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001033.1:15384:18233:-1 gene:DRNTG_19622 transcript:DRNTG_19622.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPSKDKDAAKGPDDQAATGDAENAYASFQGLLALARITGSSSNETRGACKRCGRVGHLTFQCRNFLSVKDDKEKDGDVSASIQTANAVFEKIKKASKKDGAESGPEEDEDDESEESESSDSDVDPEIEKIIAARFGKKVKKKTTEEDSVRRGRSKKRSNRGDDDSEIEEEERRREKRKKRHMTSDDDEDDRKRRHRKSRKDRKKRSHKKNEDSDESDEESDRRRRHRCKRRNRRRDASASESDSDDEPAGDRKRSHRSERRRGRRAASDSSYESDSSDKEDSRVRKEKGRIDKKSKDHRRV >DRNTG_35306.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22707274:22711483:1 gene:DRNTG_35306 transcript:DRNTG_35306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPYFTALTTLFSYGLLFAFGQMRDLFRKILDLPKSKKVQGYAPICLGLEDFYTRRLYLRAQDCFGRPIASAPDSWIDVVDRYSNDNNKTLHRTSNITKCLNLGSYNYLGFAAADEYCTPRVIESLKQYSPGTCSVRVDGGTTKLHTELEELAARFVGKPAAILFGMGYVTNSAIIPALIGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNTPSHLEEVLREQIAEGQPRTHRPWKKIIVILEGIYSMEGELCKLPEIMAVCKKYKAYTYLDEAHSIGAIGKTGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIQYLKHTCPAHLYGTSMSPPAVQQVISAIKVILGEDGSNRGAQKLAQIRDNSNFFRSELHKMGFEVLGDEDSPVMPIMLYNPAKIPAFSRECLKQNVAVVTVAFPATPLLLARARICISASHSREDLMKGLEVLSKVGDLVGIKYFPVESENSHAEAKLKKLE >DRNTG_35159.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28809104:28810489:1 gene:DRNTG_35159 transcript:DRNTG_35159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDGGRQDVVSQEGSRDKGENDDDGNQDVPSLAQKPSKTQGTNDSEAPLVLRYRPSMVKSLLLEVTNDRLERNILKHSHSAGENT >DRNTG_08127.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1451998:1456284:-1 gene:DRNTG_08127 transcript:DRNTG_08127.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEALLESSTVIAGVVDYRGRPINAGSGKGRWTSAFFIIGVEMAERFAYYGIGFNLITYLTGPLRLSIGSAATAVNLWDGMASMLPLLGAFVADSYLGRYRTIIIASILYILGLGMLTFSSSLLPSILNQKCDVAMGPSSCPPSQLQVITFFVSLYLVAFAQGGHKPCTQAFGADQFDQNNPLESKSRSSFFNWWYFGMTFGMLFTTVLNYVQDNVSWGFGFGIPCMAMVLALIVFLSGSRTYRYCILEETSPFIRIGKACWRASAVKTSQRRRRKRS >DRNTG_15762.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15254172:15254531:-1 gene:DRNTG_15762 transcript:DRNTG_15762.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETPSAAEEPHPVRRMPPARAHDCFERLESAVGVQIL >DRNTG_15084.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4070590:4074447:1 gene:DRNTG_15084 transcript:DRNTG_15084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSFSYPCNLMVVGASDPRKQSLFASSLFGGASDLLCFHQQHRLLKGRKRSCISASLSERGEYHSQRPPTPLLDTINYPIHMKNLSIKELKQLSDELRSDVIFHVSKTGGHLGSSLGVVELTVALHYVFNAPQDKMLWDVGHQSYPHKILTGRRDKMHTMRQTNGLSGFTKRSESEYDSFGTGHSSTSISAALGMAVGRDLKGRKNSVIAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTANLDGPIPPVGALSSALSRLQSSRPLRELREVAKGVTKQIGGPMHEIAAKVDEYARGMISGSGSTLFEELGLYYIGPVDGHNMDDLVTILREVKSTKTTGPVLIHVVTEKGRGYPYAERAADKYHGVTKFDPATGKQYKGSAPTQSYTNYFAEALIAEAEADKDIIAIHAAMGGGTGLNYFLRRFPERCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFLQRAYDQVIHDVDLQKLPVRFAMDRAGLVGADGPTHSGSFDVTYMACLPNMVVMAPSDEAELFHMVATAAAINDRPSCFRYPRGNGIGAPLPPGNKGVPLEIGKGRVLIEGERVALLGYGTAVQSCFAAASLIESHGLRITVADARFCKPLDQALIRGLAKSHEVLITVEEGSIGGFGSHVAQFMALDGLLDGTTKWRPIVLPDRYIDHGAPVDQMVEAGLTPAHIAATVFNILGQTREALEIMS >DRNTG_16527.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12153399:12153832:-1 gene:DRNTG_16527 transcript:DRNTG_16527.2 gene_biotype:protein_coding transcript_biotype:protein_coding VEKKQVKGSNKFVSRDYPTENRPLPLKSPRWLQPRLPMQGHFRPKNLKLTPFTSVTGFGGLKNIEFKRRGILGIAKLTGCFWQFYKLKGF >DRNTG_16527.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12153399:12154443:-1 gene:DRNTG_16527 transcript:DRNTG_16527.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEKKQVKGSNKFVSRDYPTENRPLPLKSPRWLQPRLPMQGHFRPKNLKLTPFTSVTGFGGLKNIEFKRRGILGIAKLTGCFWQFYKLKGF >DRNTG_10838.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4972439:4973686:-1 gene:DRNTG_10838 transcript:DRNTG_10838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIVLNAKDVCVTGRKLTDKFYRWHTGYVGHLKERSLKDQLAKDPTEVIRKAVLRMLPNNKLRDVSVAS >DRNTG_18938.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12091286:12095700:-1 gene:DRNTG_18938 transcript:DRNTG_18938.13 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPSHSFLMLFFFFFAVTAIACCQADRQAVLLMDFKKTLIDENNHLNDWNSSLSNPCSWIGIGCLHSEVTSINLSGFNLSGTLSGSICGLPLIAIFNISKNSISGPISVELAGCRNLEVLDLSTNWLHGIIAPELCQLASLRKLYLSENFLYGEIPDAIGNLALLQELVIYSNNLTGGIPQSIGGMKELRIIRAGLNNLSGPMPVEISDCQSMEILGLAQNRLEGTLPREIGKLKNLTTLILWQNRFYGEIPSELGNCTSLEMLALNDNCFNGSIPKEIGRLTLLKKLYLYTNQLDGSIPEELGNCKNAVEIDFSENHLTGSIPRELGYIQSLQLLHLFENRLQGGIPRELGRLSKLRRIDLSINNLTGEIPTEFQNQASLEYFQLFNNSLHGVIPPLLGTKSNLTVLDVSNNNLSGYIPAHLCKYQKLIYLSLGNNNLLGNIPFGVKTCKSLVQLRLGNNQLTGSLPVELSSLQNLTSLEMHHNKFSGPLAPEIGKLKIIERLLLSTNYFIGQIPPEIGGLAELHDFNISSNQFSGGIPPELANCTKLLLLDLSRNYLTGEVPPELGSLVNLGRLGLSDNNLKGTIPNSLGSLIRLTELQMGGNNFSGRIPVELGRLNALQIALNISFNALSGEIPTELGNLQMLESLFLNNNQLDGEVPASFGLMSSLFICNLSYNHLVGTLPSTQVFQRMDSSNFLGLDGLCGTGTKACQPSPTSTGVERDDTKQKIIIIVCVVVGIVALALTVGISLSLKRQLPILVSSDNRKGDFSDFYYSPKEGIRYQELLKATGNFSESAIIGRGACGTVYKAVMSDGSIIAVKKLKPHGEGSSADSSFRAEISTLGNIRHRNIVKLYGFCYHQDSNLILYEYMANGSLGEMLHRNQDTCILDWDTRYRIALGAAEGLCYLHSACKPQIIHRDIKSNNILLDESMEAHVGDFGLAKLIDFSQSKTMSAVAGSYGYIAPEYAFTMKVTEKCDIYSFGVVLLELITGRSPIQPLDQGGDLVNWVRRSIQQSPSPSSIFDARLDLSSRSTVDEMSLFLKIALFCTSESPVDRPTMKEVIAMMIDVRESTSISPSSPTSETPLDESASSKG >DRNTG_18938.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12079652:12095597:-1 gene:DRNTG_18938 transcript:DRNTG_18938.9 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPSHSFLMLFFFFFAVTAIACCQADRQAVLLMDFKKTLIDENNHLNDWNSSLSNPCSWIGIGCLHSEVTSINLSGFNLSGTLSGSICGLPLIAIFNISKNSISGPISVELAGCRNLEVLDLSTNWLHGIIAPELCQLASLRKLYLSENFLYGEIPDAIGNLALLQELVIYSNNLTGGIPQSIGGMKELRIIRAGLNNLSGPMPVEISDCQSMEILGLAQNRLEGTLPREIGKLKNLTTLILWQNRFYGEIPSELGNCTSLEMLALNDNCFNGSIPKEIGRLTLLKKLYLYTNQLDGSIPEELGNCKNAVEIDFSENHLTGSIPRELGYIQSLQLLHLFENRLQGGIPRELGRLSKLRRIDLSINNLTGEIPTEFQNQASLEYFQLFNNSLHGVIPPLLGTKSNLTVLDVSNNNLSGYIPAHLCKYQKLIYLSLGNNNLLGNIPFGVKTCKSLVQLRLGNNQLTGSLPVELSSLQNLTSLEMHHNKFSGPLAPEIGKLKIIERLLLSTNYFIGQIPPEIGGLAELHDFNISSNQFSGGIPPELANCTKLLLLDLSRNYLTGEVPPELGSLVNLGRLGLSDNNLKGTIPNSLGSLIRLTELQMGGNNFSGRIPVELGRLNALQIALNISFNALSGEIPTELGNLQMLESLFLNNNQLDGEVPASFGLMSSLFICNLSYNHLVGTLPSTQVFQRMDSSNFLGLDGLCGTGTKACQPSPTSTGVERDDTKQKIIIIVCVVVGIVALALTVGISLSLKRQLPILVSSDNRKGDFSDFYYSPKEGIRYQELLKATGNFSESAIIGRGACGTVYKAVMSDGSIIAVKKLKPHGEGSSADSSFRAEISTLGNIRHRNIVKLYGFCYHQDSNLILYEYMANGSLGEMLHRNQDTCILDWDTRYRIALGAAEGLCYLHSACKPQIIHRDIKSNNILLDESMEAHVGDFGLAKLIDFSQSKTMSAVAGSYGYIAPEYAFTMKVTEKCDIYSFGVVLLELITGRSPIQPLDQGGDLVNWVRRSIQQSPSPSSIFDARLDLSSRSTVDEMSLFLKIALFCTSESPVDRPTMKEVIAMMIDVRESTSISPSSPTSETPLDESASSKE >DRNTG_18938.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12079652:12093954:-1 gene:DRNTG_18938 transcript:DRNTG_18938.12 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNNFSGRIPVELGRLNALQIALNISFNALSGEIPTELGNLQMLESLFLNNNQLDGEVPASFGLMSSLFICNLSYNHLVGTLPSTQVFQRMDSSNFLGLDGLCGTGTKACQPSPTSTGVERDDTKQKIIIIVCVVVGIVALALTVGISLSLKRQLPILVSSDNRKGDFSDFYYSPKEGIRYQELLKATGNFSESAIIGRGACGTVYKAVMSDGSIIAVKKLKPHGEGSSADSSFRAEISTLGNIRHRNIVKLYGFCYHQDSNLILYEYMANGSLGEMLHRNQDTCILDWDTRYRIALGAAEGLCYLHSACKPQIIHRDIKSNNILLDESMEAHVGDFGLAKLIDFSQSKTMSAVAGSYGYIAPEYAFTMKVTEKCDIYSFGVVLLELITGRSPIQPLDQGGDLVNWVRRSIQQSPSPSSIFDARLDLSSRSTVDEMSLFLKIALFCTSESPVDRPTMKEVIAMMIDVRESTSISPSSPTSETPLDESASSKG >DRNTG_18938.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12079652:12095700:-1 gene:DRNTG_18938 transcript:DRNTG_18938.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPSHSFLMLFFFFFAVTAIACCQADRQAVLLMDFKKTLIDENNHLNDWNSSLSNPCSWIGIGCLHSEVTSINLSGFNLSGTLSGSICGLPLIAIFNISKNSISGPISVELAGCRNLEVLDLSTNWLHGIIAPELCQLASLRKLYLSENFLYGEIPDAIGNLALLQELVIYSNNLTGGIPQSIGGMKELRIIRAGLNNLSGPMPVEISDCQSMEILGLAQNRLEGTLPREIGKLKNLTTLILWQNRFYGEIPSELGNCTSLEMLALNDNCFNGSIPKEIGRLTLLKKLYLYTNQLDGSIPEELGNCKNAVEIDFSENHLTGSIPRELGYIQSLQLLHLFENRLQGGIPRELGRLSKLRRIDLSINNLTGEIPTEFQNQASLEYFQLFNNSLHGVIPPLLGTKSNLTVLDVSNNNLSGYIPAHLCKYQKLIYLSLGNNNLLGNIPFGVKTCKSLVQLRLGNNQLTGSLPVELSSLQNLTSLEMHHNKFSGPLAPEIGKLKIIERLLLSTNYFIGQIPPEIGGLAELHDFNISSNQFSGGIPPELANCTKLLLLDLSRNYLTGEVPPELGSLVNLGRLGLSDNNLKGTIPNSLGSLIRLTELQMGGNNFSGRIPVELGRLNALQIALNISFNALSGEIPTELGNLQMLESLFLNNNQLDGEVPASFGLMSSLFICNLSYNHLVGTLPSTQVFQRMDSSNFLGLDGLCGTGTKACQPSPTSTGVERDDTKQKIIIIVCVVVGIVALALTVGISLSLKRQLPILVSSDNRKGDFSDFYYSPKEGIRYQELLKATGNFSESAIIGRGACGTVYKAVMSDGSIIAVKKLKPHGEGSSADSSFRAEISTLGNIRHRNIVKLYGFCYHQDSNLILYEYMANGSLGEMLHRNQDTCILDWDTRYRIALGAAEGLCYLHSACKPQIIHRDIKSNNILLDESMEAHVGDFGLAKLIDFSQSKTMSAVAGSYGYIAPEYAFTMKVTEKCDIYSFGVVLLELITGRSPIQPLDQGGDLVNWVRRSIQQSPSPSSIFDARLDLSSRSTVDEMSLFLKIALFCTSESPVDRPTMKEVIAMMIDVRESTSISPSSPTSETPLDESASSKG >DRNTG_18938.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12079583:12095700:-1 gene:DRNTG_18938 transcript:DRNTG_18938.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPSHSFLMLFFFFFAVTAIACCQADRQAVLLMDFKKTLIDENNHLNDWNSSLSNPCSWIGIGCLHSEVTSINLSGFNLSGTLSGSICGLPLIAIFNISKNSISGPISVELAGCRNLEVLDLSTNWLHGIIAPELCQLASLRKLYLSENFLYGEIPDAIGNLALLQELVIYSNNLTGGIPQSIGGMKELRIIRAGLNNLSGPMPVEISDCQSMEILGLAQNRLEGTLPREIGKLKNLTTLILWQNRFYGEIPSELGNCTSLEMLALNDNCFNGSIPKEIGRLTLLKKLYLYTNQLDGSIPEELGNCKNAVEIDFSENHLTGSIPRELGYIQSLQLLHLFENRLQGGIPRELGRLSKLRRIDLSINNLTGEIPTEFQNQASLEYFQLFNNSLHGVIPPLLGTKSNLTVLDVSNNNLSGYIPAHLCKYQKLIYLSLGNNNLLGNIPFGVKTCKSLVQLRLGNNQLTGSLPVELSSLQNLTSLEMHHNKFSGPLAPEIGKLKIIERLLLSTNYFIGQIPPEIGGLAELHDFNISSNQFSGGIPPELANCTKLLLLDLSRNYLTGEVPPELGSLVNLGRLGLSDNNLKGTIPNSLGSLIRLTELQMGGNNFSGRIPVELGRLNALQIALNISFNALSGEIPTELGNLQMLESLFLNNNQLDGEVPASFGLMSSLFICNLSYNHLVGTLPSTQVFQRMDSSNFLGLDGLCGTGTKACQPSPTSTGVERDDTKQKIIIIVCVVVGIVALALTVGISLSLKRQLPILVSSDNRKGDFSDFYYSPKEGIRYQELLKATGNFSESAIIGRGACGTVYKAVMSDGSIIAVKKLKPHGEGSSADSSFRAEISTLGNIRHRNIVKLYGFCYHQDSNLILYEYMANGSLGEMLHRNQDTCILDWDTRYRIALGAAEGLCYLHSACKPQIIHRDIKSNNILLDESMEAHVGDFGLAKLIDFSQSKTMSAVAGSYGYIAPEYAFTMKVTEKCDIYSFGVVLLELITGRSPIQPLDQGGDLVNWVRRSIQQSPSPSSIFDARLDLSSRSTVDEMSLFLKIALFCTSESPVDRPTMKEVIAMMIDVRESTSISPSSPTSETPLDESASSKE >DRNTG_18938.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12079652:12095805:-1 gene:DRNTG_18938 transcript:DRNTG_18938.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPSHSFLMLFFFFFAVTAIACCQADRQAVLLMDFKKTLIDENNHLNDWNSSLSNPCSWIGIGCLHSEVTSINLSGFNLSGTLSGSICGLPLIAIFNISKNSISGPISVELAGCRNLEVLDLSTNWLHGIIAPELCQLASLRKLYLSENFLYGEIPDAIGNLALLQELVIYSNNLTGGIPQSIGGMKELRIIRAGLNNLSGPMPVEISDCQSMEILGLAQNRLEGTLPREIGKLKNLTTLILWQNRFYGEIPSELGNCTSLEMLALNDNCFNGSIPKEIGRLTLLKKLYLYTNQLDGSIPEELGNCKNAVEIDFSENHLTGSIPRELGYIQSLQLLHLFENRLQGGIPRELGRLSKLRRIDLSINNLTGEIPTEFQNQASLEYFQLFNNSLHGVIPPLLGTKSNLTVLDVSNNNLSGYIPAHLCKYQKLIYLSLGNNNLLGNIPFGVKTCKSLVQLRLGNNQLTGSLPVELSSLQNLTSLEMHHNKFSGPLAPEIGKLKIIERLLLSTNYFIGQIPPEIGGLAELHDFNISSNQFSGGIPPELANCTKLLLLDLSRNYLTGEVPPELGSLVNLGRLGLSDNNLKGTIPNSLGSLIRLTELQMGGNNFSGRIPVELGRLNALQIALNISFNALSGEIPTELGNLQMLESLFLNNNQLDGEVPASFGLMSSLFICNLSYNHLVGTLPSTQVFQRMDSSNFLGLDGLCGTGTKACQPSPTSTGVERDDTKQKIIIIVCVVVGIVALALTVGISLSLKRQLPILVSSDNRKGDFSDFYYSPKEGIRYQELLKATGNFSESAIIGRGACGTVYKAVMSDGSIIAVKKLKPHGEGSSADSSFRAEISTLGNIRHRNIVKLYGFCYHQDSNLILYEYMANGSLGEMLHRNQDTCILDWDTRYRIALGAAEGLCYLHSACKPQIIHRDIKSNNILLDESMEAHVGDFGLAKLIDFSQSKTMSAVAGSYGYIAPEYAFTMKVTEKCDIYSFGVVLLELITGRSPIQPLDQGGDLVNWVRRSIQQSPSPSSIFDARLDLSSRSTVDEMSLFLKIALFCTSESPVDRPTMKEVIAMMIDVRESTSISPSSPTSETPLDESASSKE >DRNTG_18938.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12079583:12095597:-1 gene:DRNTG_18938 transcript:DRNTG_18938.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPSHSFLMLFFFFFAVTAIACCQADRQAVLLMDFKKTLIDENNHLNDWNSSLSNPCSWIGIGCLHSEVTSINLSGFNLSGTLSGSICGLPLIAIFNISKNSISGPISVELAGCRNLEVLDLSTNWLHGIIAPELCQLASLRKLYLSENFLYGEIPDAIGNLALLQELVIYSNNLTGGIPQSIGGMKELRIIRAGLNNLSGPMPVEISDCQSMEILGLAQNRLEGTLPREIGKLKNLTTLILWQNRFYGEIPSELGNCTSLEMLALNDNCFNGSIPKEIGRLTLLKKLYLYTNQLDGSIPEELGNCKNAVEIDFSENHLTGSIPRELGYIQSLQLLHLFENRLQGGIPRELGRLSKLRRIDLSINNLTGEIPTEFQNQASLEYFQLFNNSLHGVIPPLLGTKSNLTVLDVSNNNLSGYIPAHLCKYQKLIYLSLGNNNLLGNIPFGVKTCKSLVQLRLGNNQLTGSLPVELSSLQNLTSLEMHHNKFSGPLAPEIGKLKIIERLLLSTNYFIGQIPPEIGGLAELHDFNISSNQFSGGIPPELANCTKLLLLDLSRNYLTGEVPPELGSLVNLGRLGLSDNNLKGTIPNSLGSLIRLTELQMGGNNFSGRIPVELGRLNALQIALNISFNALSGEIPTELGNLQMLESLFLNNNQLDGEVPASFGLMSSLFICNLSYNHLVGTLPSTQVFQRMDSSNFLGLDGLCGTGTKACQPSPTSTGVERDDTKQKIIIIVCVVVGIVALALTVGISLSLKRQLPILVSSDNRKGDFSDFYYSPKEGIRYQELLKATGNFSESAIIGRGACGTVYKAVMSDGSIIAVKKLKPHGEGSSADSSFRAEISTLGNIRHRNIVKLYGFCYHQDSNLILYEYMANGSLGEMLHRNQDTCILDWDTRYRIALGAAEGLCYLHSACKPQIIHRDIKSNNILLDESMEAHVGDFGLAKLIDFSQSKTMSAVAGSYGYIAPEYAFTMKVTEKCDIYSFGVVLLELITGRSPIQPLDQGGDLVNWVRRSIQQSPSPSSIFDARLDLSSRSTVDEMSLFLKIALFCTSESPVDRPTMKEVIAMMIDVRESTSISPSSPTSETPLDESASSKG >DRNTG_18938.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12079629:12095597:-1 gene:DRNTG_18938 transcript:DRNTG_18938.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPSHSFLMLFFFFFAVTAIACCQADRQAVLLMDFKKTLIDENNHLNDWNSSLSNPCSWIGIGCLHSEVTSINLSGFNLSGTLSGSICGLPLIAIFNISKNSISGPISVELAGCRNLEVLDLSTNWLHGIIAPELCQLASLRKLYLSENFLYGEIPDAIGNLALLQELVIYSNNLTGGIPQSIGGMKELRIIRAGLNNLSGPMPVEISDCQSMEILGLAQNRLEGTLPREIGKLKNLTTLILWQNRFYGEIPSELGNCTSLEMLALNDNCFNGSIPKEIGRLTLLKKLYLYTNQLDGSIPEELGNCKNAVEIDFSENHLTGSIPRELGYIQSLQLLHLFENRLQGGIPRELGRLSKLRRIDLSINNLTGEIPTEFQNQASLEYFQLFNNSLHGVIPPLLGTKSNLTVLDVSNNNLSGYIPAHLCKYQKLIYLSLGNNNLLGNIPFGVKTCKSLVQLRLGNNQLTGSLPVELSSLQNLTSLEMHHNKFSGPLAPEIGKLKIIERLLLSTNYFIGQIPPEIGGLAELHDFNISSNQFSGGIPPELANCTKLLLLDLSRNYLTGEVPPELGSLVNLGRLGLSDNNLKGTIPNSLGSLIRLTELQMGGNNFSGRIPVELGRLNALQIALNISFNALSGEIPTELGNLQMLESLFLNNNQLDGEVPASFGLMSSLFICNLSYNHLVGTLPSTQVFQRMDSSNFLGLDGLCGTGTKACQPSPTSTGVERDDTKQKIIIIVCVVVGIVALALTVGISLSLKRQLPILVSSDNRKGDFSDFYYSPKEGIRYQELLKATGNFSESAIIGRGACGTVYKAVMSDGSIIAVKKLKPHGEGSSADSSFRAEISTLGNIRHRNIVKLYGFCYHQDSNLILYEYMANGSLGEMLHRNQDTCILDWDTRYRIALGAAEGLCYLHSACKPQIIHRDIKSNNILLDESMEAHVGDFGLAKLIDFSQSKTMSAVAGSYGYIAPEYAFTMKVTEKCDIYSFGVVLLELITGRSPIQPLDQGGDLVNWVRRSIQQSPSPSSIFDARLDLSSRSTVDEMSLFLKIALFCTSESPVDRPTMKEVIAMMIDVRESTSISPSSPTSETPLDESASSKE >DRNTG_18938.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12079652:12093954:-1 gene:DRNTG_18938 transcript:DRNTG_18938.11 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNNFSGRIPVELGRLNALQIALNISFNALSGEIPTELGNLQMLESLFLNNNQLDGEVPASFGLMSSLFICNLSYNHLVGTLPSTQVFQRMDSSNFLGLDGLCGTGTKACQPSPTSTGVERDDTKQKIIIIVCVVVGIVALALTVGISLSLKRQLPILVSSDNRKGDFSDFYYSPKEGIRYQELLKATGNFSESAIIGRGACGTVYKAVMSDGSIIAVKKLKPHGEGSSADSSFRAEISTLGNIRHRNIVKLYGFCYHQDSNLILYEYMANGSLGEMLHRNQDTCILDWDTRYRIALGAAEGLCYLHSACKPQIIHRDIKSNNILLDESMEAHVGDFGLAKLIDFSQSKTMSAVAGSYGYIAPEYAFTMKVTEKCDIYSFGVVLLELITGRSPIQPLDQGGDLVNWVRRSIQQSPSPSSIFDARLDLSSRSTVDEMSLFLKIALFCTSESPVDRPTMKEVIAMMIDVRESTSISPSSPTSETPLDESASSKE >DRNTG_18938.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12079415:12095597:-1 gene:DRNTG_18938 transcript:DRNTG_18938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPSHSFLMLFFFFFAVTAIACCQADRQAVLLMDFKKTLIDENNHLNDWNSSLSNPCSWIGIGCLHSEVTSINLSGFNLSGTLSGSICGLPLIAIFNISKNSISGPISVELAGCRNLEVLDLSTNWLHGIIAPELCQLASLRKLYLSENFLYGEIPDAIGNLALLQELVIYSNNLTGGIPQSIGGMKELRIIRAGLNNLSGPMPVEISDCQSMEILGLAQNRLEGTLPREIGKLKNLTTLILWQNRFYGEIPSELGNCTSLEMLALNDNCFNGSIPKEIGRLTLLKKLYLYTNQLDGSIPEELGNCKNAVEIDFSENHLTGSIPRELGYIQSLQLLHLFENRLQGGIPRELGRLSKLRRIDLSINNLTGEIPTEFQNQASLEYFQLFNNSLHGVIPPLLGTKSNLTVLDVSNNNLSGYIPAHLCKYQKLIYLSLGNNNLLGNIPFGVKTCKSLVQLRLGNNQLTGSLPVELSSLQNLTSLEMHHNKFSGPLAPEIGKLKIIERLLLSTNYFIGQIPPEIGGLAELHDFNISSNQFSGGIPPELANCTKLLLLDLSRNYLTGEVPPELGSLVNLGRLGLSDNNLKGTIPNSLGSLIRLTELQMGGNNFSGRIPVELGRLNALQIALNISFNALSGEIPTELGNLQMLESLFLNNNQLDGEVPASFGLMSSLFICNLSYNHLVGTLPSTQVFQRMDSSNFLGLDGLCGTGTKACQPSPTSTGVERDDTKQKIIIIVCVVVGIVALALTVGISLSLKRQLPILVSSDNRKGDFSDFYYSPKEGIRYQELLKATGNFSESAIIGRGACGTVYKAVMSDGSIIAVKKLKPHGEGSSADSSFRAEISTLGNIRHRNIVKLYGFCYHQDSNLILYEYMANGSLGEMLHRNQDTCILDWDTRYRIALGAAEGLCYLHSACKPQIIHRDIKSNNILLDESMEAHVGDFGLAKLIDFSQSKTMSAVAGSYGYIAPEYAFTMKVTEKCDIYSFGVVLLELITGRSPIQPLDQGGDLVNWVRRSIQQSPSPSSIFDARLDLSSRSTVDEMSLFLKIALFCTSESPVDRPTMKEVIAMMIDVRESTSISPSSPTSETPLDESASSKE >DRNTG_18938.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12079652:12095700:-1 gene:DRNTG_18938 transcript:DRNTG_18938.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPSHSFLMLFFFFFAVTAIACCQADRQAVLLMDFKKTLIDENNHLNDWNSSLSNPCSWIGIGCLHSEVTSINLSGFNLSGTLSGSICGLPLIAIFNISKNSISGPISVELAGCRNLEVLDLSTNWLHGIIAPELCQLASLRKLYLSENFLYGEIPDAIGNLALLQELVIYSNNLTGGIPQSIGGMKELRIIRAGLNNLSGPMPVEISDCQSMEILGLAQNRLEGTLPREIGKLKNLTTLILWQNRFYGEIPSELGNCTSLEMLALNDNCFNGSIPKEIGRLTLLKKLYLYTNQLDGSIPEELGNCKNAVEIDFSENHLTGSIPRELGYIQSLQLLHLFENRLQGGIPRELGRLSKLRRIDLSINNLTGEIPTEFQNQASLEYFQLFNNSLHGVIPPLLGTKSNLTVLDVSNNNLSGYIPAHLCKYQKLIYLSLGNNNLLGNIPFGVKTCKSLVQLRLGNNQLTGSLPVELSSLQNLTSLEMHHNKFSGPLAPEIGKLKIIERLLLSTNYFIGQIPPEIGGLAELHDFNISSNQFSGGIPPELANCTKLLLLDLSRNYLTGEVPPELGSLVNLGRLGLSDNNLKGTIPNSLGSLIRLTELQMGGNNFSGRIPVELGRLNALQIALNISFNALSGEIPTELGNLQMLESLFLNNNQLDGEVPASFGLMSSLFICNLSYNHLVGTLPSTQVFQRMDSSNFLGLDGLCGTGTKACQPSPTSTGVERDDTKQKIIIIVCVVVGIVALALTVGISLSLKRQLPILVSSDNRKGDFSDFYYSPKEGIRYQELLKATGNFSESAIIGRGACGTVYKAVMSDGSIIAVKKLKPHGEGSSADSSFRAEISTLGNIRHRNIVKLYGFCYHQDSNLILYEYMANGSLGEMLHRNQDTCILDWDTRYRIALGAAEGLCYLHSACKPQIIHRDIKSNNILLDESMEAHVGDFGLAKLIDFSQSKTMSAVAGSYGYIAPEYAFTMKVTEKCDIYSFGVVLLELITGRSPIQPLDQGGDLVNWVRRSIQQSPSPSSIFDARLDLSSRSTVDEMSLFLKIALFCTSESPVDRPTMKEVIAMMIDVRESTSISPSSPTSETPLDESASSKE >DRNTG_18938.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12079583:12093954:-1 gene:DRNTG_18938 transcript:DRNTG_18938.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNNFSGRIPVELGRLNALQIALNISFNALSGEIPTELGNLQMLESLFLNNNQLDGEVPASFGLMSSLFICNLSYNHLVGTLPSTQVFQRMDSSNFLGLDGLCGTGTKACQPSPTSTGVERDDTKQKIIIIVCVVVGIVALALTVGISLSLKRQLPILVSSDNRKGDFSDFYYSPKEGIRYQELLKATGNFSESAIIGRGACGTVYKAVMSDGSIIAVKKLKPHGEGSSADSSFRAEISTLGNIRHRNIVKLYGFCYHQDSNLILYEYMANGSLGEMLHRNQDTCILDWDTRYRIALGAAEGLCYLHSACKPQIIHRDIKSNNILLDESMEAHVGDFGLAKLIDFSQSKTMSAVAGSYGYIAPEYAFTMKVTEKCDIYSFGVVLLELITGRSPIQPLDQGGDLVNWVRRSIQQSPSPSSIFDARLDLSSRSTVDEMSLFLKIALFCTSESPVDRPTMKEVIAMMIDVRESTSISPSSPTSETPLDESASSKE >DRNTG_18938.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12079583:12095597:-1 gene:DRNTG_18938 transcript:DRNTG_18938.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPSHSFLMLFFFFFAVTAIACCQADRQAVLLMDFKKTLIDENNHLNDWNSSLSNPCSWIGIGCLHSEVTSINLSGFNLSGTLSGSICGLPLIAIFNISKNSISGPISVELAGCRNLEVLDLSTNWLHGIIAPELCQLASLRKLYLSENFLYGEIPDAIGNLALLQELVIYSNNLTGGIPQSIGGMKELRIIRAGLNNLSGPMPVEISDCQSMEILGLAQNRLEGTLPREIGKLKNLTTLILWQNRFYGEIPSELGNCTSLEMLALNDNCFNGSIPKEIGRLTLLKKLYLYTNQLDGSIPEELGNCKNAVEIDFSENHLTGSIPRELGYIQSLQLLHLFENRLQGGIPRELGRLSKLRRIDLSINNLTGEIPTEFQNQASLEYFQLFNNSLHGVIPPLLGTKSNLTVLDVSNNNLSGYIPAHLCKYQKLIYLSLGNNNLLGNIPFGVKTCKSLVQLRLGNNQLTGSLPVELSSLQNLTSLEMHHNKFSGPLAPEIGKLKIIERLLLSTNYFIGQIPPEIGGLAELHDFNISSNQFSGGIPPELANCTKLLLLDLSRNYLTGEVPPELGSLVNLGRLGLSDNNLKGTIPNSLGSLIRLTELQMGGNNFSGRIPVELGRLNALQIALNISFNALSGEIPTELGNLQMLESLFLNNNQLDGEVPASFGLMSSLFICNLSYNHLVGTLPSTQVFQRMDSSNFLGLDGLCGTGTKACQPSPTSTGVERDDTKQKIIIIVCVVVGIVALALTVGISLSLKRQLPILVSSDNRKGDFSDFYYSPKEGIRYQELLKATGNFSESAIIGRGACGTVYKAVMSDGSIIAVKKLKPHGEGSSADSSFRAEISTLGNIRHRNIVKLYGFCYHQDSNLILYEYMANGSLGEMLHRNQDTCILDWDTRYRIALGAAEGLCYLHSACKPQIIHRDIKSNNILLDESMEAHVGDFGLAKLIDFSQSKTMSAVAGSYGYIAPEYAFTMKVTEKCDIYSFGVVLLELITGRSPIQPLDQGGDLVNWVRRSIQQSPSPSSIFDARLDLSSRSTVDEMSLFLKIALFCTSESPVDRPTMKEVIAMMIDVRESTSISPSSPTSETPLDESASSKE >DRNTG_25579.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29748911:29754342:-1 gene:DRNTG_25579 transcript:DRNTG_25579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSTPKTEKFSEDGENAKLRFGLSSMQGWRATMEDAHAALPDLDASTAFFGVYDGHGGKVVAKFCAKFLHAQVLKQEAYSAGDLGASIQRAFFRMDEMMKGQRGWRELSVLGDKINKFTGMIEGLIWSPKGSDSNDQQDDWAYEEGPHSDFTGPTSGSTACVAVIRNNQLIVANAGDSRCVISRKGQAYNLSRDHKPELEAERDRILKAGGFIHAGRVNGSLNLARAIGDMEFKQNKFLPAEKQIVTANPDLSVVDLCDDDDFIVLACDGIWDCMSSQQLVDFIHEHINIETSLSAVCERVLDRCLAPTTAGGEGCDNMTMILVQFKKPINSDAATSGQSAQTASETQTTSKEVKNEIAN >DRNTG_25579.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29748911:29754342:-1 gene:DRNTG_25579 transcript:DRNTG_25579.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSTPKTEKFSEDGENAKLRFGLSSMQGWRATMEDAHAALPDLDASTAFFGVYDGHGGKVVAKFCAKFLHAQVLKQEAYSAGDLGASIQRAFFRMDEMMKGQRGWRELSVLGDKINKFTGMIEGLIWSPKGSDSNDQQDDWAYEEGPHSDFTGPTSGSTACVAVIRNNQLIVANAGDSRCVISRKGQAYNLSRDHKPELEAERDRILKAGGFIHAGRVNGSLNLARAIGDMEFKQNKFLPAEKQIVTANPDLSVVDLCDDDDFIVLACDGIWDCMSSQQLVDFIHEHINIETSLSAVCERVLDRCLAPTTAGGEGCDNMTMILVQFKKPINSDAATSGQSAQTASETQTTSKEVKNEIAN >DRNTG_31929.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2795964:2799986:1 gene:DRNTG_31929 transcript:DRNTG_31929.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMYEIIGQELKSKGLKDAHPTHYLNFYCLVNRELLPKGSSQPTQATQSQKYRRFMIYVHSKGMIVDDEYVILGSANINQRSLDGSRDTEIAMGAYQPNHTWTHKKQHPHGQVYGYRKSLWSEHLGKFDPSLEEPEELKCVQSVNSIADENWSLYTVDEVVPLKSHIVKYPISVKDNGEVEPLPGWDLFPDVGGKVLQQPSSFSTSLPSELTT >DRNTG_31929.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2795964:2800234:1 gene:DRNTG_31929 transcript:DRNTG_31929.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYEIIGQELKSKGLKDAHPTHYLNFYCLVNRELLPKGSSQPTQATQSQKYRRFMIYVHSKGMIVDDEYVILGSANINQRSLDGSRDTEIAMGAYQPNHTWTHKKQHPHGQVYGYRKSLWSEHLGKFDPSLEEPEELKCVQSVNSIADENWSLYTVDEVVPLKSHIVKYPISVKDNGEVEPLPGWDLFPDVGGKVLQQPSSFSTSLPSELTTMRRYHEW >DRNTG_35056.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18780785:18787860:1 gene:DRNTG_35056 transcript:DRNTG_35056.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSCFVKTGEAGWTSDQWGHSRFKATNSSAESVAYRQQLNTFMRGLLKMMNEHLDSWPFKEPVDPRDVPDYYDIIKDPMDLRTMSKRLESELYYVTFEMFVADVKRMFANARTYNSPETIYYKCATRLISRPLARLLEIPLLNKTHFA >DRNTG_35056.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18780312:18787860:1 gene:DRNTG_35056 transcript:DRNTG_35056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLKMMNEHLDSWPFKEPVDPRDVPDYYDIIKDPMDLRTMSKRLESELYYVTFEMFVADVKRMFANARTYNSPETIYYKCATRLISRPLARLLEIPLLNKTHFA >DRNTG_17458.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21526523:21527914:-1 gene:DRNTG_17458 transcript:DRNTG_17458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRSGFCYPRPESDNQDPFRGKRKLGLGFGEPYRKRHRLSSSSEAAAAAGEYGCGSGHDLFDGIPDDLVLLILSKLSASATSPSDLFAVLITCKRLKKLGVNPMVLAKVSAKSMAIRASKWCESAHRFLKLCADSGNLEACYTLGMIRFYCLGSRGNGAAMMARAAMGSHAAALYALAVIQFNGSGGAKTDKDLRAGVALCARAAFLGHIDALRELGHCLQDGYGVRRNVTEGRRFLIQANLQELATVPDAAAAAMAIGGGGGVCPLLSDFGWALEEREEHAANRFMVEWFTAKGLEEGMRMCSHGGCGRPETRRHEFRRCSVCGAVNYCSRACQALHWKLAHKAECTPMDRWNLAVAGAGAAPPAAMG >DRNTG_14505.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:7512683:7535147:1 gene:DRNTG_14505 transcript:DRNTG_14505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMARRRHRKRPKTVGDVHEPRKLDERLLERAQGRAAAPVDDHATGARPWIMAPRSKKQADKRPHESSSEHEVMRFVIPEHQVRYERLSRLRFGQTRFQDTTILRNLQQGNEFADEVEDLVSDGGWCQLLTIREPATREFALEFRVFGRHHSLSITQFSVLLALYEEAFTDTDEYA >DRNTG_17673.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24141202:24142224:1 gene:DRNTG_17673 transcript:DRNTG_17673.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF and B3 domain-containing transcription factor ARF14 [Source:Projected from Arabidopsis thaliana (AT3G25730) UniProtKB/Swiss-Prot;Acc:Q9LS06] MESSCVDDSLSSDSGQLLPVPAPAPLQRAGSGASVVLDPPEASAVEAESRKLPSSRYKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEAEAARAYDTAAQRFRGRDAVTNFRPLSDSSDDDSAELSFLHSHSKAEIVDMLRKHTYNDELTQSKRTYGTSGAAKKFYNNGDSSIGAREPLFDKAVTPSDVGKLNRLVIPKQHAEKHFPAQNGGVENKGVLLNFEDAGGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKNLNAGDVVSFHRSTGPDKQLYIDWRPRATIPVVRPVRPVQVVRLFGVNIFKAPADMHQENQLKRSREMELLPPNHCFKKPCIGSL >DRNTG_26184.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29130744:29132287:1 gene:DRNTG_26184 transcript:DRNTG_26184.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAGRDWLQIYSIYGTDEWQTVGFLAVNAAAFAAAAILLLLYFSPFLALLHSLLPAAPLPALRYAAGFSGSVVAFTSAGLLYAAANVLYSSIPLRWEMAQRMVAAVPDWSAVRTALDVGCGRGILLNSVAMQLKKGGSSGRVVGLDRRRETAVAALRRAGAEGVQEYVTCREGDARGLPFADGYFDVVVSAVHLSGLGGGGAAAEAAAERGKGLGEVVRVLKAGGVGVVWDLVCVPEYAQRLREMRMEEIRVSERVTAYMVSSYIVSFRKPLAPPLDHYQPSDWRTTIIC >DRNTG_15408.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4822849:4825004:1 gene:DRNTG_15408 transcript:DRNTG_15408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEIPFSQFRVGSQFFTLSRRHALLVVRDRRLWRKFRLPCLPSSLDSCYPEEHYFPTLLSMMDPESCTNFTLMNVNWSDNVDGHPRLYSPEEVSPELVRTLRKSEDSNHEFMFARKFSPECLQPLMDIAQTVILKEE >DRNTG_03471.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17819315:17819780:-1 gene:DRNTG_03471 transcript:DRNTG_03471.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRGYFPHARVDFCRRSLLHPKSAQGRANAPVSWACDCPRPCRISARAYETLGDFSRFDRERTVACAFPCGSDACVYLGGSPLWRHTGVWNFRTPVRIYREVHGE >DRNTG_29533.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2077143:2079319:1 gene:DRNTG_29533 transcript:DRNTG_29533.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDLRACHNLENLPVEVTMLKKLTYLDVSECYLLDHMPKGLHSLTKIRVLKGFVIGNSTSKDPCRLWELASLQNLWKLSIRIGRDSAGKKREFHELTKFTALRSLTITWGATASTPSNGVRKALSGKSTAGIFNLSPPPNLDKLDLRCFPHNRAPEWLTPEKFPKLQKLYIRGGRFRRLGVGTEFNSVQVLRLRYLPDFTMQEPYLLKVFPRLTILEVHQCRNLPSINRKL >DRNTG_32875.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1979862:1981120:1 gene:DRNTG_32875 transcript:DRNTG_32875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHQVMHQPSHKIFSPLSRPHSPLFLPLHLL >DRNTG_16503.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5119802:5127429:1 gene:DRNTG_16503 transcript:DRNTG_16503.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKDEEPYLNKLIEDYDLFEIICDGLGNASPFTQPYNFELASTTSPIQRRKEKGKRKATHSDETIDLLAISIEKAFDKVQSSHSVSFAKDVEDECMKLTQNGYSIDQILMVYEHLMSDDARACMFFGMRQELRMAWVNIFFNSDDWMLKYAIVIITSWFVSNVSSCCLYASIASIACKEEVHVGRLQSWIFHPEDGPFRFEKVWITVEGFQEMVQQWWTETTPKGCGAFIVYKKLAGVQTLITQVVDLSVGITSIGLATKVIQFNYDMSRWNMFPRQTGRISFFWKGVMRSLPALRGCILHDVKSGEETLFWKDGWLDGRAPMNLWPEAFSRAHRPNGTLRDLSALLYHDPFSEDVGVRMYRERWRSQGGVLVDAKSWWLTGNGCFSVKSFYSFLNDGGLRCPLAKFFWKRFCPKKINIFNWLAWKDRILTLEKLAFRGCNRLPTATCVLCHAVIESVDHLFLHCSFSRQVWWYFGRLFNLPGPPGALHLIWDRWRSSARPDCRDIGDLVVKSIVWNIRLARNDCMFNAIVVSAHALIPKDRIECYRLDFYKLLRVPQRKLEEHSLTIAPELGVPQRRLQRACGMYRYWRDGS >DRNTG_23642.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7053331:7056208:-1 gene:DRNTG_23642 transcript:DRNTG_23642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLHPPHLRLYSCLLLILSTVFFSFSLLSLASDHPEPQYSFQELLQAARNPDFFDWLISIRRRIHQNPELAFEEFETSALVRTQLDEFGIEYLWPVAKTGVVGTVGSGSGPRFAIRADMDALPLQELVDWEYKSKKKGKMHACGHDVHTAMLLGAAKLLQQRKDKLKGTVKLVFQPAEEGHAGAYFMLQKGVLDDVQAIFGMHIEPYLPTGTIACRPGPVLAASARFVAVITGVGGHAASPHEAADPVLAASFTILSLQQIVSRESDPLDARVVSVSFIKAGDAHNVIPESVTFGGTFRSLTTEGIVYLTKRIKEIIEIQSAVHRCTASVDFMEKKLIPYPPTVNDQQMYTHVKMVGESLVGKDKFQASIPAMGAEDFGFYSQRMPSAVIQLGTQNETLAPTHMLHSPNLFVDEQALPVGAAMYAAVAMSYLERHSTEL >DRNTG_12489.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:8898393:8902646:1 gene:DRNTG_12489 transcript:DRNTG_12489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPDSYEGLFNQKEDNEEIMMLSSTEEVPSTPGILKKVLRRLKRARRRRRERSKTIGDVHEPNNLGEPFQGGLKPDN >DRNTG_21060.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2850761:2856963:1 gene:DRNTG_21060 transcript:DRNTG_21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase eta [Source:Projected from Arabidopsis thaliana (AT5G44740) UniProtKB/Swiss-Prot;Acc:Q8H2D5] MLSEAPPETLETIEKEALKSHILGLADDGKGSEENVRRWLCRDDADHEDKLLACAAIIVAQLRIQVMEETQFTCSAGIAHNKMLAKLASAMHKPAQQTVVQASSVKDLLASLPVKKMKQLGGKLGSSLQSDLGVKAVGDLLQFPVEKLQERYGTNTGSWLWNIARGISGEEVESRLLPKSHGCGKTFPGPQALKSTSSVDHWLNQLCEELSERIQDDLDQNKRIAHTLTLHAGAFKEYALFIYLVLIFHFLPMLFDCLCFACVGLCFDVSSMVLCCGFCSIMKRG >DRNTG_21060.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2850761:2856963:1 gene:DRNTG_21060 transcript:DRNTG_21060.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase eta [Source:Projected from Arabidopsis thaliana (AT5G44740) UniProtKB/Swiss-Prot;Acc:Q8H2D5] MLAKLASAMHKPAQQTVVQASSVKDLLASLPVKKMKQLGGKLGSSLQSDLGVKAVGDLLQFPVEKLQERYGTNTGSWLWNIARGISGEEVESRLLPKSHGCGKTFPGPQALKSTSSVDHWLNQLCEELSERIQDDLDQNKRIAHTLTLHAGAFKEYALFIYLVLIFHFLPMLFDCLCFACVGLCFDVSSMVLCCGFCSIMKRG >DRNTG_21060.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2850761:2856963:1 gene:DRNTG_21060 transcript:DRNTG_21060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase eta [Source:Projected from Arabidopsis thaliana (AT5G44740) UniProtKB/Swiss-Prot;Acc:Q8H2D5] MLSEAPPETLETIEKEALKSHILGLADDGKGSEENVRRWLCRDDADHEDKLLACAAIIVAQLRIQVMEETQFTCSAGIAHNKMLAKLASAMHKPAQQTVVQASSVKDLLASLPVKKMKQLGGKLGSSLQSDLGVKAVGDLLQFPVEKLQERYGTNTGSWLWNIARGISGEEVESRLLPKSHGCGKTFPGPQALKSTSSVDHWLNQLCEELSERIQDDLDQNKRIAHTLTLHAGAFKEYALFIYLVLIFHFLPMLFDCLCFACVGLCFDVSSMVLCCGFCSIMKRG >DRNTG_02919.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000132.1:33936:41103:1 gene:DRNTG_02919 transcript:DRNTG_02919.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTHLPGYIITSTFPAFAIPHLRPVEYMRLRLSRNRRTVNRAYSGVLSRSASRDYPGFSGRRAKDCKESSQDSEGQRETVIKGLDYWSVNSTNALFLFIVSPTKQWDILSFEASVAAIKEYQGTNLLAYKEEEAASPLESPKLIEEEPRVETKEPPEPIAEAAPQPNTTGDLLGLDEINPMATELEQSNAIALAIIQHGTYETTVGIEDLDLYPKDSLARSMKLSKLQDVESEIQIDLDRSLENSKEPPANAAMDKYGRKVLRKRLIAVSRP >DRNTG_29834.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23199442:23200616:-1 gene:DRNTG_29834 transcript:DRNTG_29834.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRIITSSSQEQDQEEQNMAEKEKPASTALTPKKPTKPELPPVPDCCAQPSYQWLCASKKCASCGTVTMLTMQYCLPPSPPPLPGHACCSGCAQLRICQSHCGCGCGCGCGQTKPCQRRCGGGCAQSKPCRSSCGCGQYHKT >DRNTG_28633.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28343927:28345532:1 gene:DRNTG_28633 transcript:DRNTG_28633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMSDDGERTCPLCAEEMDITNQQLKPCKCGYEYVLNLIV >DRNTG_26146.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29381855:29383204:1 gene:DRNTG_26146 transcript:DRNTG_26146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLPKLNPSFSQLPYKHCSSSNHSLQHLFSIPRPLPTLATTASGRCHAISKNDSLTSMISELERDAQKVDEADDELNEFIRKQPATGLADRWREIHGRDDWAGLLDPMDPLLRSELIRYGEFAQACYDAFDYDPFSRYCGSCKHARRHFFQDLGIPDTGYEVVRYLYATSNLSLPTFFTQSRWPKMWSRRANWIGYIAVSDDATSAKLGRRDIMVSWRGTVTRLEWLADLMDFLCPVSDKGIPCPDPSVKVESGFIDLYTDKDPSCRFCKYSAREQVLAAVRKLVHQHARSNAPVSITLTGHSLGSALAMLSAYDIAETSVNVVDNESLPVCVYSFSGPRVGNGKFKQRFESLGVKALRVVNVHDTVPKVPGILFNERVPAFVQRMAEGLPWSYSHVGVELALDHKNSPFLKETSDPSCYHNLEAHLHLL >DRNTG_10908.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17602407:17603741:-1 gene:DRNTG_10908 transcript:DRNTG_10908.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVTYSAEHNHPWPLPKHQHQHDHRKQEQKTNKQFPPLMPKVEEEVKKAEEIVDQEEDKLVELIEGESSLWLPEMLTSHAGVYDPVCSIATDMAGLSPEEWERFGGGASKGGSLEEEEELMYADLGELPECSMVFSRSSFLECTFELLEVACHFFFWFWFC >DRNTG_27360.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8057587:8057933:1 gene:DRNTG_27360 transcript:DRNTG_27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELAPLPLSLQLDLGPLKSTIVLIPSFFENLQVLTRDIHGEEEQSCFIRALLDQDHARSIWACFSCEHMVL >DRNTG_21778.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2945366:2946294:1 gene:DRNTG_21778 transcript:DRNTG_21778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRIRSRETRVKKPKPERNGVKTGRRRRPIRIREQRAQANGIGSGSSFVRRLRSPERARIR >DRNTG_14604.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7132867:7133238:-1 gene:DRNTG_14604 transcript:DRNTG_14604.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQGISRGRGLEVSSFSRIHSKIGQSQ >DRNTG_12852.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000576.1:11013:14394:1 gene:DRNTG_12852 transcript:DRNTG_12852.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLGHGSLFPMPHTSKDVEQPQHVDEIATK >DRNTG_12852.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000576.1:11013:14299:1 gene:DRNTG_12852 transcript:DRNTG_12852.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLGHGSLFPMPHTSKDVEQPQHVDEIATK >DRNTG_12852.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000576.1:11013:14299:1 gene:DRNTG_12852 transcript:DRNTG_12852.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLGHGSLFPMPHTSKDVEQPQHVDEIATK >DRNTG_12852.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000576.1:11013:14299:1 gene:DRNTG_12852 transcript:DRNTG_12852.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLGHGSLFPMPHTSKDVEQPQHVDEIATK >DRNTG_12852.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000576.1:10916:14299:1 gene:DRNTG_12852 transcript:DRNTG_12852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLGHGSLFPMPHTSKDVEQPQHVDEIATK >DRNTG_12852.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000576.1:11013:14299:1 gene:DRNTG_12852 transcript:DRNTG_12852.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLGHGSLFPMPHTSKDVEQPQHVDEIATK >DRNTG_24139.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26308618:26309322:1 gene:DRNTG_24139 transcript:DRNTG_24139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNESEMASNAIAKLGSESTITKRSVGDVAIDGITTRGGNADPLGTSTTSAK >DRNTG_23614.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001270.1:17731:22120:-1 gene:DRNTG_23614 transcript:DRNTG_23614.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEQPLKKRKLYDSAPLEPQGPPNPEPNNVPPPPPPSQEEILRKRKNRDEIRNLYEFYRRMKYCVAQKNPRLMPDFEQAYLSLISASRGCTSVQRIVAELIPRYASYCPTALEAAAKFPLTCIIGAWPL >DRNTG_07535.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14279149:14283610:1 gene:DRNTG_07535 transcript:DRNTG_07535.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-repair protein XRCC1 [Source:Projected from Arabidopsis thaliana (AT1G80420) UniProtKB/Swiss-Prot;Acc:Q24JK4] MPKSSSNGDDPPQKRKLPSWTSSKDSGEGSCKKKQQKDGVCSGKESSSSFSKLLEGVVFVLSGFVNPERSTLRSKALAMGAEYRPDWSSDCTLLVCAFPNTPKFRQVQGDCGTIVSKEWISECYSEEKLIDIEPYLMNVGKPWRKHDESSETSQAKKTSQSKEPKLIDEERSHPPKPTVSAVAKDGSSNTVKDLFSPSEVKEWVMDDLQKTVSWLESQEEKPEADQVRTIAAEGIITCLQDAIESLEQDHDVRLVTEQWKFLPRVVKELAKLDGGGRSKKELLSKEELIQLAISTKNIYESELAHISSSRMKNKQKIDETQGSEDTGFDSDQTIEMTEEEIELACKHLTSEPCA >DRNTG_21994.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5468783:5472007:-1 gene:DRNTG_21994 transcript:DRNTG_21994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTRLFGRTLCTAAKTEASTSAAAAASATVKTARNPLEEFFEVDRSGDEEKPIVYGRGWKASELRLKSWDDLQKLWYVLLKEKNMLMTQRQMLQAENLRFPNPERIPKVRKSMCRIKHVLTERAIAEPDPRRSAEMKRMINAL >DRNTG_09309.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:926399:927020:1 gene:DRNTG_09309 transcript:DRNTG_09309.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENEKLKSHLQGMQCRVMELEKVCRKMQTQLTQVKKTKLSSSSTAGRSLPRLCS >DRNTG_09309.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:924198:927020:1 gene:DRNTG_09309 transcript:DRNTG_09309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTNLMKLTEKSLDCILYWSWSDVLTALKQCQDCFEAANSSGVLDKILDSLVGRIMSASETSPSGFSPESSALRFSCDTRSTISTKNGCNLAWWFEDLSVLNFDTINKAISCMVSKKLEHASISRFLFYYLKHRYLNATSDEKRQATEIVVDLLHSLDNKCVSCKGLFGILPVSSSLNVSKLCRNRLESMIGSQIDHATLDNLLVPAPYGMMNNSLYDVNLISRFLKVFSE >DRNTG_09309.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:924198:926945:1 gene:DRNTG_09309 transcript:DRNTG_09309.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIGIDVNGEESFFVDKQVLSLFSGRLRKLLQKPSESGAIETVNLILHGFPGGAEAFELITRFCYNNGNFQINPTNTCILHFVADFMEMTNLMKLTEKSLDCILYWSWSDVLTALKQCQDCFEAANSSGVLDKILDSLVGRIMSASETSPSGFSPESSALRFSCDTRSTISTKNGCNLAWWFEDLSVLNFDTINKAISCMVSKKLEHASISRFLFYYLKHRYLNATSDEKRQATEIVVDLLHSLDNKCVSCKGLFGILPVSSSLNVSKLCRNRLESMIGSQIDHATLDNLLVPAPYGMMNNSLYDVNLISRFLKVFSE >DRNTG_09309.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:926399:926945:1 gene:DRNTG_09309 transcript:DRNTG_09309.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENEKLKSHLQGMQCRVMELEKVCRKMQTQLTQVKKTKLSSSSTAGRSLPRLCS >DRNTG_15604.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4897876:4902916:1 gene:DRNTG_15604 transcript:DRNTG_15604.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKHTATNLEGGLSGLDDFDEDQEPAGTNSELLVLEAKSIDDINLAFHQLSQSDLVNLVRDEAGPSELLPFESHTELIDVHSGMQLVEARSLDDIHLAMNQLSAMVENSKKSVESEDESSEVIDSELVETHSELQVVDATTLEDIQLAMKQHSKATVTDQNKTIEDVDESSVAESSQMHPDSELLLLEEKSPDLVETHSELQVVEASTLDEIQLVMKQLLNDTVIDQNKSIEGADQSSQMHPESELVVLEAKSIEDISSAFKQLHEGGYIDESVYSEVGPSEAMEAHSDLQVIEAKSLDDIHMVLRRQSDGTIEHPSIPIESQNRSTEILEAQPIQEIVDGSETEQQTKISTEV >DRNTG_15604.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4896690:4902916:1 gene:DRNTG_15604 transcript:DRNTG_15604.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKHTATNLEGGLSGLDDFDEDQEPAGTNSELLVLEAKSIDDINLAFHQLSQSDLVNLVRDEAGPSELLPFESHTELIDVHSGMQLVEARSLDDIHLAMNQLSAMVENSKKSVESEDESSEVIDSELVETHSELQVVDATTLEDIQLAMKQHSKATVTDQNKTIEDVDESSVAESSQMHPDSELLLLEEKSPDLVETHSELQVVEASTLDEIQLVMKQLLNDTVIDQNKSIEGADQSSQMHPESELVVLEAKSIEDISSAFKQLHEGGYIDESVYSEVGPSEAMEAHSDLQVIEAKSLDDIHMVLRRQSDGTIEHPSIPIESQNRSTEILEAQPIQEIVDGSETEQQTKISTEV >DRNTG_34424.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9333727:9336089:1 gene:DRNTG_34424 transcript:DRNTG_34424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRTGELLTEEEANTGVFYWQLRNPLYFRVKDHMDRPCNNNWDRITMEIRFNYNLRRALQIHDERMITGHTLQAEFRFPVDCQIDLHYYSASYFSVNDPIPWRAYFRAEDSNIKIGSHFTKIKGLLKLSAARKARHVSFRGPMVKIQSPKYTLKDVDFKHVQYGEVCKAVYRSNSALTTSNYLNLLPGESYKDREFHAYGSLPDLGSNEMKDIDQIGPSISEVGTGTSYDPRTSISMEPAQLGLIIGKSISESIQRLQENLIVTSPKKPV >DRNTG_27433.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28646149:28648651:-1 gene:DRNTG_27433 transcript:DRNTG_27433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVENGVMKPKRTIWRLSIISDFFWAIVNLIGVFFITMFSLDKSDEYKKGTGATKRYGGGGGGGPGGGGGPGRGPRAPRFMSDMRSNDHNSLPACGSCCGG >DRNTG_27511.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19091384:19092575:-1 gene:DRNTG_27511 transcript:DRNTG_27511.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEILDEKDHERILGPHGKILEWVGNVKAATSHYFEEVHAFLYRLKAKLQRQRSSMSDKIITSFTEGKLPSKL >DRNTG_27511.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19091384:19093052:-1 gene:DRNTG_27511 transcript:DRNTG_27511.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEILDEKDHERILGPHGKILEWVGNVKAATSHYFEEVHAFLYRLKAKLQRQRSSMSDKIITSFTEGKLPSKL >DRNTG_26037.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001344.1:9443:14210:1 gene:DRNTG_26037 transcript:DRNTG_26037.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKHRDVLELDVEQESESASETNSSRDDADMLVDVSFLDFNSDADDEIAGARDKVKKFIQLKKEIQGKDSENVRNVDEASGNEDPGSYDDTSDGSAGDDARRHKSCRTIYDPKTTLVDFSLDLRKETYEKAYQFAVNPVKGTTNNISESSTQNKNADVTIKGRKKSSAAMPPIQVLRGAHNGGIIVGREPSNSSSFMTTAELIANLLPDAIAIIDCLIALEPNDKDLPLLKAHLHSHVGNPDAAKQLFEDLISKNTFLVKAYHGLVMVAYEYQSGTKLEIRVVKGKYNDALKVYERMVTEEPRDFRPYFFQGIIYSMLKKNDEAEK >DRNTG_24419.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1288323:1289506:-1 gene:DRNTG_24419 transcript:DRNTG_24419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHHLLPFLALILLILPSSSQAQQQPTALFSDTSGYLGSNYNITISNHTLSLTHDCGLYYYDQYDNGSSKVIDFNTPTDEEGCYLTINNFGQLVIKYSNERKKPVTLGTAGKYGTYALLTTKYGIGIFGPRLWDNKIKPEDPQTPKNKNLRAAHSSIVLYSPDDKSRNANDNNSLAINGDVSVYITRYCALSVNHMTTGINIWNSNSSSAEPRICSLYLTRRGVLPLLYYDESNELHTQWTGGALAELKKYYVLVLRYYGGLDIYGVSINVRNIPPYSGPVTENIKMVTA >DRNTG_23813.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29590502:29592722:-1 gene:DRNTG_23813 transcript:DRNTG_23813.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGVTHLENRDGYKPPALIDFKEEVHRKEEEEVEDDEACSLLPPVMNGDMPEKRRKGSGRKVQWNDLNGNKLVEVLEFHQSDSSDSEIEEDTCICSVM >DRNTG_23813.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29590417:29592692:-1 gene:DRNTG_23813 transcript:DRNTG_23813.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGVTHLENRDGYKPPALIDFKEEVHRKEEEEVEDDEACSLLPPVMNGDMPEKRRKGSGRKVQWNDLNGNKLVEVLEFHQSDSSDSEIEEDTCICSVM >DRNTG_23813.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29590417:29592722:-1 gene:DRNTG_23813 transcript:DRNTG_23813.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGVTHLENRDGYKPPALIDFKEEVHRKEEEEVEDDEACSLLPPVMNGDMPEKRRKGSGRKVQWNDLNGNKLVEVLEFHQSDSSDSEIEEDTCICSVM >DRNTG_23813.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29590605:29592722:-1 gene:DRNTG_23813 transcript:DRNTG_23813.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGVTHLENRDGYKPPALIDFKEEVHRKEEEEVEDDEACSLLPPVMNGDMPEKRRKGSGRKVQWNDLNGNKLVEVLEFHQSDSSDSEIEEDTCICSVM >DRNTG_23813.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29590502:29592692:-1 gene:DRNTG_23813 transcript:DRNTG_23813.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGVTHLENRDGYKPPALIDFKEEVHRKEEEEVEDDEACSLLPPVMNGDMPEKRRKGSGRKVQWNDLNGNKLVEVLEFHQSDSSDSEIEEDTCICSVM >DRNTG_23813.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29590605:29592692:-1 gene:DRNTG_23813 transcript:DRNTG_23813.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGVTHLENRDGYKPPALIDFKEEVHRKEEEEVEDDEACSLLPPVMNGDMPEKRRKGSGRKVQWNDLNGNKLVEVLEFHQSDSSDSEIEEDTCICSVM >DRNTG_04016.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29232471:29233896:-1 gene:DRNTG_04016 transcript:DRNTG_04016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLTSLVFFPIAMIMMMISMFMIPSVSSELTPDFYNDVCPDALPTINILVNAAIALDPRMGASLLRLHFHDCFVNGCDGSILIR >DRNTG_31391.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28068768:28070161:1 gene:DRNTG_31391 transcript:DRNTG_31391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGSAMAVQTCINNLVPEEFRRSFVSSLINNIQSLLCQELTIVIEEKDKYNLNDVHQAAMAYLSFKVSSSMNKIKVKKHFDDKNLIVSLGAGEDVIDVFEGIKFRWCLHYPSKQRRSFEDNGTSNDSSYFELSFHKKLKDKALDVYIPSILEWWQKFKMEDKKLQLFANDYDEWISINHNHPSTFETMAMELDLKQIVMEDLTNFVNRKDYYRKIGKAWKRGYLLYGPPGTGKSSLICAMANFLKFDIYGLDLAGVRNAATLKSLLIETSNKSIIVIEDIDCSVPLQNRNSEDIDDNDTKVTLSSLLNLVDGLWSSCGEEKIIVFTTNYKERLDPALLRPGRMDMHIYMGYCSPCMFRILTSNYHDVNDHPLFEKIETLIQDVEVTPATVAEELMRSNDCDIALGRLLNFLRCKKQEKLEAGEVDTRSEIID >DRNTG_18359.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:17112888:17113385:-1 gene:DRNTG_18359 transcript:DRNTG_18359.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSTASSMQSSSPGLSSMRSSNWESKGLKKGFISMVTLSTSI >DRNTG_18359.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:17112888:17113331:-1 gene:DRNTG_18359 transcript:DRNTG_18359.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSTASSMQSSSPGLSSMRSSNWESKGLKKGFISMVTLSTSI >DRNTG_18359.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:17112543:17113331:-1 gene:DRNTG_18359 transcript:DRNTG_18359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSTASSMQSSSPGLSSMRSSNWESKGLKKGFISMVTLSTSIACVPKSSHLALDFISVEILLGDIRGVKRASPAQAHNGPCTMHARAGTARLKIVPGRHGPPTTKVGPAHGPAQLYYIFIFYFKPQKYKKYPKIAKKYKKIS >DRNTG_27279.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22496940:22499892:1 gene:DRNTG_27279 transcript:DRNTG_27279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLALKAMEIFMRRRRRRRRDHQLSCCSISSSSSSSSSSSSSSSSSSYFPLNMSSFLSLFLLLLLLFVSCDAFNHTSSRRISSLKLARIQKHLDKINKPPVRSIQSLDGDIIDCVHKHKQPALDHPLLKNHKIQRVAPEKPKFKDARHPRNYTDTIKNAWQTWHHSGHCPKGTVPIRRSSVDDVLRAKSLYHYGKKQRRPRPLARSIDAPDVVSGNGHEHAIAYTGESQEVYGARATINVWDPSIEVVNEFSLSQIWILSGSFDGSDLNSIEAGWQVSPELYGDSRPRLFTYWTSDAYEATGCYNLLCSGFVQTNNKIAIGAAISPVSAFSGSQYDISILIWKDPKVGNWWMSFGDNTLVGYWPAELFTHLTDHASMVEWGGEVVNMKPNGAHTSTQMGSGHFADAGFAQASYFRNLELVDADNSLSSVQSISTLAENTNCYNIISSSNQDWGTFFYFGGPGNNPKCP >DRNTG_27279.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22496940:22499892:1 gene:DRNTG_27279 transcript:DRNTG_27279.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLALKAMEIFMRRRRRRRRDHQLSCCSISSSSSSSSSSSSSSSSSSYFPLNMSSFLSLFLLLLLLFVSCDAFNHTSSRRISSLKLARIQKHLDKINKPPVRSIQSLDGDIIDCVHKHKQPALDHPLLKNHKIQRVAPEKPKFKDARHPRNYTDTIKNAWQTWHHSGHCPKGTVPIRRSSVDDVLRAKSLYHYGKKQRRPRPLARSIDAPDVVSGNGHEHAIAYTGESQEVYGARATINVWDPSIEVVNEFSLSQIWILSGSFDGSDLNSIEAGWQSGQP >DRNTG_19686.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001039.1:20267:21930:-1 gene:DRNTG_19686 transcript:DRNTG_19686.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPPSADDETIATPSTANVVTESVTFNDIAVTVDEIIDDVAIAVVEKIVYSVINEIPDPVEPAAESAALKMDTIPEEQEQAKGVSPVDAVAVATVEKIVDSVVNESIETVEPTTDSTARIEARHNPTTTRSITVVWKNDVVSTTRDKLYTLLEEKEMVTDDMMDAFDDTHETTMAMIGDAVRNLHDVKIVILPIIMNGHFYVVVLDNEKQEYKYYSSCQRAGYDKDALDMRNLFDIYVDMEFGESATSKYPLVHDMEISRQKQGSVDCAVYVMRFIEQLLADEMLWLLQPDVSYLRLKYVSRILEEGRIASVHEKWVLSQVNQFKSNLLFLVSKNMTNFLNVNFVCFQL >DRNTG_10686.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13907709:13908325:-1 gene:DRNTG_10686 transcript:DRNTG_10686.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSPTASPSASSPRAPPATTLTPPPASSRRTSAANVASPLKTLTSSATRPPSPAPSPLTAFTISRASA >DRNTG_23766.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21230512:21230955:1 gene:DRNTG_23766 transcript:DRNTG_23766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLAKIIHSNGFHIITFINSKYKHKRLLNSRSPSSLDGLHDFQYKTIPNGLPSSRFDDTQDIPSLCYSTMTTCLPFFG >DRNTG_11653.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:142201:144574:-1 gene:DRNTG_11653 transcript:DRNTG_11653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKVVQAACLQSFIIPRPVSSPRALSGSCALAYHLMLRPDHYGAGALCSRRRRRRKEHGLRRAFSASLDTAMPDDDDDDDDEEEVFIRRLQELTPEIKLIDNGNEQEDEVSQWLGIQPEPPDWPERDQIVPASVERNANSVGIPLSLRIIKGKKWEEEFMDASESACSSVKKAFSSMVFIVHELQSYALQMRQLLSYQDLERILDRVRGEMHASFVWLFQKVFSCTPTLMLSLMILLANYSVYSMGHNPAIAATPPQTCTSSTLSASHHHLQQQQQQQQKQRPGFESSSTIKITTFSAGKTASVGGGGGGGGKVRPVAGATDDGRPDGIPSSSSIYNPRSSTPASTPEQLVVEEEVEVEDEQVVWNRVVEEASRMQASLRDEALMDSDLLQSLVSPVTVELEPDDHSSHFQAELMYQKALSHDPENTLLLCNFAQFLYFVLQDHDRAEYYFKRAVKVQPADAEALDKYASFLWLVRKDLTAAEETYLEAIAADPGNALYASHYAHFLWNTGGEDTCYPLDDS >DRNTG_31047.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30593319:30594041:-1 gene:DRNTG_31047 transcript:DRNTG_31047.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPQSGDGIPTQQQSPDRDSLSGKIMLSVIAALFTATLIVLLLHLYIRMHLLRRARRRRVALLASADPFHPPPFVPHGLDPDLLRSLPISFRSPSDDLIECTVCLGEVEEGEKLRILPKCRHGFHVDCIDMWFFNHATCPLCRAVVEAPSPNLIICDACTSSSGEAMVGIEEPPRRLDELGLGFGSPAFMRVLTLKTLWSQDMRFYSEPLSDADLELGESPDPHPSPSPSQVPSPASAG >DRNTG_18935.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12244535:12247293:-1 gene:DRNTG_18935 transcript:DRNTG_18935.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inositol transporter 2 [Source:Projected from Arabidopsis thaliana (AT1G30220) UniProtKB/Swiss-Prot;Acc:Q9C757] MEGGVVEADASAFKECFSLAWRNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFSSVDRKTWLQEMIVSMAVAGAIVGAAVGGWTNDRFGRRFSILVADFLFFVGAVIMAAAPSPTLLIVGRVFVGLGVGMASMTSPLYISEASPAKVRGALVSTNGFLITGGQFLSSLINLAFTNVHGTWRWMLGIAALPALLQFILMLLLPESPRWLYRKRREAEAEAIMRKIYPAQEADKEIQALKDSIEAEIKEEGSSEKINITKLLKTKTVRRGLIAGVGLQIFQQFVGINTVMYYSPTIVQLAGFASNQTAVALSLVTSGLNAMGTIVSIYFIDRTGRKKLLIISLCGVILSLGVLSGVFHETTSHSPNVSKQETSHFSSYTCPDFSLATTNWDCMKCLKASSPDCGFCASGTNKLFPGACLISNNTVKDLCHSEGRLWYTRGCPSRYGWLALIGLALYIIFFSPGMGTAPWIVNSEIYPLRFRGVCGGIAATANWISNLIVAQSFLSLTQSIGTSWTFFIFGVISVVALFFVLVFVPETKGLPIEEVEKMLEQRDVHFAFWKKQAGESEKKANTNV >DRNTG_18935.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12244535:12247293:-1 gene:DRNTG_18935 transcript:DRNTG_18935.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inositol transporter 2 [Source:Projected from Arabidopsis thaliana (AT1G30220) UniProtKB/Swiss-Prot;Acc:Q9C757] MEGGVVEADASAFKECFSLAWRNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFSSVDRKTWLQEMIVSMAVAGAIVGAAVGGWTNDRFGRRFSILVADFLFFVGAVIMAAAPSPTLLIVGRVFVGLGVGMASMTSPLYISEASPAKVRGALVSTNGFLITGGQFLSSLINLAFTNVHGTWRWMLGIAALPALLQFILMLLLPESPRWLYRKRREAEAEAIMRKIYPAQEADKEIQALKDSIEAEIKEEGSSEKINITKLLKTKTVRRGLIAGVGLQIFQQFVGINTVMYYSPTIVQLAGFASNQTAVALSLVTSGLNAMGTIVSIYFIDRTGRKKLLIISLCGVILSLGVLSGVFHETTSHSPNVSKQETSHFSSYTCPDFSLATTNWDCMKCLKASSPDCGFCASGTNKLFPGACLISNNTVKDLCHSEGRLWYTRGCPSRYGWLALIGLALYIIFFSPGMGTAPWIVNSEIYPLRFRGVCGGIAATANWISNLIVAQSFLSLTQSIGTSWTFFIFGVISVVALFFVLVFVPETKGLPIEEVEKMLEQRDVHFAFWKKQAGESEKKANTNV >DRNTG_18935.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12244535:12247293:-1 gene:DRNTG_18935 transcript:DRNTG_18935.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inositol transporter 2 [Source:Projected from Arabidopsis thaliana (AT1G30220) UniProtKB/Swiss-Prot;Acc:Q9C757] MVTGAIVGAAVGGWTNDRFGRRFSILVADFLFFVGAVIMAAAPSPTLLIVGRVFVGLGVGMASMTSPLYISEASPAKVRGALVSTNGFLITGGQFLSSLINLAFTNVHGTWRWMLGIAALPALLQFILMLLLPESPRWLYRKRREAEAEAIMRKIYPAQEADKEIQALKDSIEAEIKEEGSSEKINITKLLKTKTVRRGLIAGVGLQIFQQFVGINTVMYYSPTIVQLAGFASNQTAVALSLVTSGLNAMGTIVSIYFIDRTGRKKLLIISLCGVILSLGVLSGVFHETTSHSPNVSKQETSHFSSYTCPDFSLATTNWDCMKCLKASSPDCGFCASGTNKLFPGACLISNNTVKDLCHSEGRLWYTRGCPSRYGWLALIGLALYIIFFSPGMGTAPWIVNSEIYPLRFRGVCGGIAATANWISNLIVAQSFLSLTQSIGTSWTFFIFGVISVVALFFVLVFVPETKGLPIEEVEKMLEQRDVHFAFWKKQAGESEKKANTNV >DRNTG_18935.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12244535:12247293:-1 gene:DRNTG_18935 transcript:DRNTG_18935.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inositol transporter 2 [Source:Projected from Arabidopsis thaliana (AT1G30220) UniProtKB/Swiss-Prot;Acc:Q9C757] MEGGVVEADASAFKECFSLAWRNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFSSVDRKTWLQEMIVSMAVAGAIVGAAVGGWTNDRFGRRFSILVADFLFFVGAVIMAAAPSPTLLIVGRVFVGLGVGMASMTSPLYISEASPAKVRGALVSTNGFLITGGQFLSSLINLAFTNVHGTWRWMLGIAALPALLQFILMLLLPESPRWLYRKRREAEAEAIMRKIYPAQEADKEIQALKDSIEAEIKEEGSSEKINITKLLKTKTVRRGLIAGVGLQIFQQFVGINTVMYYSPTIVQLAGFASNQTAVALSLVTSGLNAMGTIVSIYFIDRTGRKKLLIISLCGVILSLGVLSGVFHETTSHSPNVSKQETSHFSSYTCPDFSLATTNWDCMKCLKASSPDCGFCASGTNKLFPGACLISNNTVKDLCHSEGRLWYTRGCPSRYGWLALIGLALYIIFFSPGMGTAPWIVNSEIYPLRFRGVCGGIAATANWISNLIVAQSFLSLTQSIGTSWTFFIFGVISVVALFFVLVFVPETKGLPIEEVEKMLEQRDVHFAFWKKQAGESEKKANTNV >DRNTG_04221.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20293971:20296090:-1 gene:DRNTG_04221 transcript:DRNTG_04221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKIISHEDPKEYWSLKDFDIGRLLGEGKFGKVYLAREKQSGYVVALKIIFKEKLDKYRYHSHLRREIEIQHSLSHPNVLRLFAWFHDETRIFLVLEYAAGHELYKLLKDLKYFSEQRAATYIASLARALAYCHEKHVIHRDIKPENLLLDMEGHLKIADFGWAVQSSSKRRTMCGTIDYLAPEMIENKEHDHAVD >DRNTG_04221.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20293971:20295368:-1 gene:DRNTG_04221 transcript:DRNTG_04221.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHLKIADFGWAVQSSSKRRTMCGTIDYLAPEMIENKEHDHAVD >DRNTG_23201.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5586684:5591116:-1 gene:DRNTG_23201 transcript:DRNTG_23201.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPILSSSRALARLLTRRGRALGGSNGLGLMENCLANEGRVERFSQTRCISSLARVGNSNIGGLVDERREQDLSFGSFQSNAQTRWFLGCGDGEEGEVLSKVHEEKRVIGYSPEQIFAVVAAVDLYEDFLPWCQRSRVIRRNSDGSFDAELEIGFKFLVESYVSHVELTKPKYIKTTASESGLFDHLINVWEFNPGPVPGSCQVHFLVDFKFQSPLYRQVASMFFKEVVSRLVFSFTDRCRRIYGPGVQVLENPYGEGKIVSRSC >DRNTG_23201.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5586684:5591116:-1 gene:DRNTG_23201 transcript:DRNTG_23201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPILSSSRALARLLTRRGRALGGSNGLGLMENCLANEGRVERFSQTRCISSLARVGNSNIGGLVDERREQDLSFGSFQSNAQTRWFLGCGDGEEGEVLSKVHEEKRVIGYSPEQIFAVVAAVDLYEDFLPWCQRSRVIRRNSDGSFDAELEIGFKFLVESYVSHVELTKPKYIKTTASESGLFDHLINVWEFNPGPVPGSCQVHFLVDFKFQSPLYRQVASMFFKEVVSRLVFSFTDRCRRIYGPGVQVLENPYGEGKIVSRSC >DRNTG_04556.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22675710:22681779:1 gene:DRNTG_04556 transcript:DRNTG_04556.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEKDEAKEPRARPRLIIFMASFLVSHSTLFSVVCCIAGLIALLLLPILAKSTYISENALMPGSANPVFSGQDVSEASRLVKDITETQKMGKTTGIEIQRLIIQRMADVGAEVYYHKFQSQSNQFHPFRFFSCDSNSAVTESNSNTSNGLNTVGIIRAPRGDGKEAIVLVTPYNSEYVKLNDALSLGLGFSIFSHLSRVSWLAKDILWLAADSRYGEYSSVSAWLKDYHNPVFFSSENVDANVTHDKDFLNMENKNMLNGRNVDVFKRAGTMAAAMVFKVGEAMERDPRDILTIYAEASNGQMPNLDLINVVHYLAVHRQGFRVNIGKLASLVNSAWLNLVGQILEWLGNLAKNLNPKWNFGISSADYAEGAATVASSMYHQALGVPTGSHGAFRDYQVDAITFSFSSRFYLNNENMQSSFILRGGRLIEGVIRSVNNLLEKFHQSFFLYMMTAPNKFVSVGVYMIGFALLALPLPVVAASLFLNHKATGFTGTEEAKIAFGSWQWLYAAKTVLMIDLWALIASLMPYFISQIPNISPTESTLLWVALSVSILAVLYVILGSPYSHSAQIGALLIVPMCLVVRPLKKHMQGALILRALSLVCNLVMVVIGFPPAALLLMKGFSEGFEGVGIGAVWEVAEFLWVWNSATYLYVFLVHLPCWVLCIHILLHP >DRNTG_04556.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22675710:22681779:1 gene:DRNTG_04556 transcript:DRNTG_04556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEKDEAKEPRARPRLIIFMASFLVSHSTLFSVVCCIAGLIALLLLPILAKSTYISENALMPGSANPVFSGQDVSEASRLVKDITETQKMGKTTGIEIQRLIIQRMADVGAEVYYHKFQSQSNQFHPFRFFSCDSNSAVTESNSNTSNGLNTVGIIRAPRGDGKEAIVLVTPYNSEYVKLNDALSLGLGFSIFSHLSRVSWLAKDILWLAADSRYGEYSSVSAWLKDYHNPVFFSSENVDANVTHDKDFLNMENKNMLNGRNVDVFKRAGTMAAAMVFKVGEAMERDPRDILTIYAEASNGQMPNLDLINVVHYLAVHRQGFRVNIGKLASLVNSAWLNLVGQILEWLGNLAKNLNPKWNFGISSADYAEGAATVASSMYHQALGVPTGSHGAFRDYQVDAITFSFSSRFYLNNENMQSSFILRGGRLIEGVIRSVNNLLEKFHQSFFLYMMTAPNKFVSVGVYMIGFALLALPLPVVAASLFLNHKATGFTGTEEAKIAFGSWQWLYAAKTVLMIDLWALIASLMPYFISQIPNISPTESTLLWVALSVSILAVLYVILGSPYSHSGNVEWQTLKAFVIASVTIGMGLMSIINFATAQIGALLIVPMCLVVRPLKKHMQGALILRALSLVCNLVMVVIGFPPAALLLMKGFSEGFEGVGIGAVWEVAEFLWVWNSATYLYVFLVHLPCWVLCIHILLHP >DRNTG_24668.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6904731:6906966:1 gene:DRNTG_24668 transcript:DRNTG_24668.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKLTGKGEQTVILAHGYGASQCVWDELCPLLEDKYRVVVFDWIFSADISQQNDHDLDALNYSSFNALSDDLISLVEENHLHGSVYVGHSMAGMLGCIASVKSPHLFSHLILLGATPSYLNDKNYKGGFDKEMVDKMLCDIEFNFKTWAPNFASMVVGPENPDAVEKYTKTLQRMKPEVALSVARTLFQSDNRHVLENVQIQCTIIHCKNDNVAPEFVAKYMEAKMKGRASIVTIDADVGHFPQLTAPQKLYQVLHKVLTSNTSLFQS >DRNTG_24668.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6906258:6906966:1 gene:DRNTG_24668 transcript:DRNTG_24668.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKMLCDIEFNFKTWAPNFASMVVGPENPDAVEKYTKTLQRMKPEVALSVARTLFQSDNRHVLENVQIQCTIIHCKNDNVAPEFVAKYMEAKMKGRASIVTIDADVGHFPQLTAPQKLYQVLHKVLTSNTSLFQS >DRNTG_24668.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6904731:6905278:1 gene:DRNTG_24668 transcript:DRNTG_24668.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKLTGKGEQTVILAHGYGASQCVWDELCPLLEDKYRVVVFDWIFSADISQQNDHDLDALNYSSFNALSDDLISLVEENHLHGSVYVGHSMAGMLGCIASVKSPHLFSHLILLGATPRQALFFFFFFF >DRNTG_33476.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17922182:17922875:-1 gene:DRNTG_33476 transcript:DRNTG_33476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGIGNMIDSLDPRSIDAMQGAVAVRSYVPRSQTIANFTTRWKTRFRLENPSSEPADPSVFELWAYDTVWALAMATEKAGVSNSSFRKLPGGDNSTDLGNVGISQNGPELLETLLSTRFKGLSGEFRLVNGQQQSSVFEIVNVIGKGARNIAFWTPEFKISKQFNSASPANLKTIIWPGDTITVSKGWEIPTNGKRLKIGVPVKIGFNEFVNVVHDNTTNRTTVT >DRNTG_16298.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2060252:2060850:1 gene:DRNTG_16298 transcript:DRNTG_16298.2 gene_biotype:protein_coding transcript_biotype:protein_coding GFCCVGWQVDGFLGGERDYTKLKGDTEPLVYLSLFFLCLFRHPICDW >DRNTG_16298.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2059887:2060850:1 gene:DRNTG_16298 transcript:DRNTG_16298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVREGVFSVEWRSNGRSGNPKAGSRRCPSPSRCDLGLSDHRLRALWMGFLEERGIIRS >DRNTG_32621.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23833129:23833889:1 gene:DRNTG_32621 transcript:DRNTG_32621.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFEEREVTGATGDQWHGFAADGGGSGSEL >DRNTG_32621.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23832984:23833998:1 gene:DRNTG_32621 transcript:DRNTG_32621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEEREVTGATGDQWHGFAADGGGSGSEL >DRNTG_32621.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23832984:23833889:1 gene:DRNTG_32621 transcript:DRNTG_32621.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFEEREVTGATGDQWHGFAADGGGSGSEL >DRNTG_32621.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23833129:23833971:1 gene:DRNTG_32621 transcript:DRNTG_32621.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFEEREVTGATGDQWHGFAADGGGSGSEL >DRNTG_32621.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23832984:23833971:1 gene:DRNTG_32621 transcript:DRNTG_32621.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEEREVTGATGDQWHGFAADGGGSGSEL >DRNTG_32621.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23833129:23833998:1 gene:DRNTG_32621 transcript:DRNTG_32621.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFEEREVTGATGDQWHGFAADGGGSGSEL >DRNTG_23057.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2356387:2359060:1 gene:DRNTG_23057 transcript:DRNTG_23057.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHESSSNDPFEKLQCSTTPMKAQSLYSMPSMSNSTCSAQRCSIPFQRTSSTGLTYQCDAAVPNTEAFVSPNPQLSHSSLSNNSDIVCSNANAWNKEVNQSVMDQRLMTGMHSQGLPCTSHSPYSSTRTSPYHHLHGGSVSFSSLYRSLGASGEHLLDFQHAAPSFQVIEGDSCTGISFSNPTSINSLNKELGPGESKFIPSKDGLVVNENKLDGISSSHVTSNEVGNSISGAMNSFNISHYGEENPMSLVTNPLSDNDFFNGVEMDLNPSFLGQDSWSTISMPTDDNPVFSECFSELDLGSKAGFDDKFFMEPAVEQLLDAMVSGNLNFASGHSSVDTNQCSTSTTIAGAQGFGQASNVLFSESNLGLGNGCLKETQAKSKVSSWMDDSTSMNAESAVTNMSKRLEEAPKVVRKRARPGESSRPRPKDRQQIQDRVKELREIVPNSAKCSIDTLLDRTIKHMLYLQSVTKYADKFKQADEPKMICEESGVVLKDNTSGSGNGATWAFEVAGQTMVCPIIVEDLSPPGQMLVEMLCEEHGLFLEIADIIRNFGLTILKGVMEIRDSKIWARFFVEANRDVTRMDIFMSLVQLLQQNTHIIRPHEQQQAKNVDRRACDLIVSKHQWQTQSAWPLSCSNNEQRSFAQ >DRNTG_23057.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2355913:2359060:1 gene:DRNTG_23057 transcript:DRNTG_23057.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFISLPSQGVVQFGSSQQIFEDFGFIDQVKSSFLKFTCLKGSHSEKNKKTHAPHEAGISSRHFQTSYRNIIDSMHESSSNDPFEKLQCSTTPMKAQSLYSMPSMSNSTCSAQRCSIPFQRTSSTGLTYQCDAAVPNTEAFVSPNPQLSHSSLSNNSDIVCSNANAWNKEVNQSVMDQRLMTGMHSQGLPCTSHSPYSSTRTSPYHHLHGGSVSFSSLYRSLGASGEHLLDFQHAAPSFQVIEGDSCTGISFSNPTSINSLNKELGPGESKFIPSKDGLVVNENKLDGISSSHVTSNEVGNSISGAMNSFNISHYGEENPMSLVTNPLSDNDFFNGVEMDLNPSFLGQDSWSTISMPTDDNPVFSECFSELDLGSKAGFDDKFFMEPAVEQLLDAMVSGNLNFASGHSSVDTNQCSTSTTIAGAQGFGQASNVLFSESNLGLGNGCLKETQAKSKVSSWMDDSTSMNAESAVTNMSKRLEEAPKVVRKRARPGESSRPRPKDRQQIQDRVKELREIVPNSAKCSIDTLLDRTIKHMLYLQSVTKYADKFKQADEPKMICEESGVVLKDNTSGSGNGATWAFEVAGQTMVCPIIVEDLSPPGQMLVEMLCEEHGLFLEIADIIRNFGLTILKGVMEIRDSKIWARFFVEANRDVTRMDIFMSLVQLLQQNTHIIRPHEQQQAKNVDRRACDLIVSKHQWQTQSAWPLSCSNNEQRSFAQ >DRNTG_23057.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2354866:2359060:1 gene:DRNTG_23057 transcript:DRNTG_23057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWEKGLVAASGKHQWIHSNGNFREWSTNGADNTPGMLQGNNEWCYQFLSGIKSMVFISLPSQGVVQFGSSQQIFEDFGFIDQVKSSFLKFTCLKGSHSEKNKKTHAPHEAGISSRHFQTSYRNIIDSMHESSSNDPFEKLQCSTTPMKAQSLYSMPSMSNSTCSAQRCSIPFQRTSSTGLTYQCDAAVPNTEAFVSPNPQLSHSSLSNNSDIVCSNANAWNKEVNQSVMDQRLMTGMHSQGLPCTSHSPYSSTRTSPYHHLHGGSVSFSSLYRSLGASGEHLLDFQHAAPSFQVIEGDSCTGISFSNPTSINSLNKELGPGESKFIPSKDGLVVNENKLDGISSSHVTSNEVGNSISGAMNSFNISHYGEENPMSLVTNPLSDNDFFNGVEMDLNPSFLGQDSWSTISMPTDDNPVFSECFSELDLGSKAGFDDKFFMEPAVEQLLDAMVSGNLNFASGHSSVDTNQCSTSTTIAGAQGFGQASNVLFSESNLGLGNGCLKETQAKSKVSSWMDDSTSMNAESAVTNMSKRLEEAPKVVRKRARPGESSRPRPKDRQQIQDRVKELREIVPNSAKCSIDTLLDRTIKHMLYLQSVTKYADKFKQADEPKMICEESGVVLKDNTSGSGNGATWAFEVAGQTMVCPIIVEDLSPPGQMLVEMLCEEHGLFLEIADIIRNFGLTILKGVMEIRDSKIWARFFVEANRDVTRMDIFMSLVQLLQQNTHIIRPHEQQQAKNVDRRACDLIVSKHQWQTQSAWPLSCSNNEQRSFAQ >DRNTG_03380.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17661450:17664083:1 gene:DRNTG_03380 transcript:DRNTG_03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich protein 2 [Source:Projected from Arabidopsis thaliana (AT2G21140) UniProtKB/Swiss-Prot;Acc:Q9SKP9] MAVKPLARDFGLVLSVVVLLSLMSLISCSSRSFSAQESGVFAEVVGATECLDCDEKNIKHENAFKGLQIAIKCKVINKLQEPYYVTKATSELNPKGEFIVQLPDDVIGHLDDLTTQCFAQLHDASNTPCPHQSPSNIILLQSKLNSNKLTYSTSTSKLPFSSSTCSSVFLLHKFFHHHHMYKMPNPSPYPTPVYEPKPNPSPPPSPVYKPTPYPSSPPSPVYKPTPNPSPPSSPVYNKPTYVPKYPPVYKKPLPPIPEYHPHPKYQIPPSHEWPPMPPFPKYHAHPKFQLPPSPPFSSHHPHHPYFPPSKEVALPSP >DRNTG_21921.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1660639:1663230:-1 gene:DRNTG_21921 transcript:DRNTG_21921.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIWNFPGDFAVNFGECLMTRIQRMSLDYHFKVEQEAGSCTFAFFGFNGRLFIVLSMPHRDTKIETHLTNLWIIGTAGVWRISALNEAGGWEDRTTVEDMDLAVRAILKGWKFLYVGDVKVSSFLINIPSNFKAYRHQQHRWTCGAANLFRKVAFDIMRAKVKLHYFTELRIFVPLHLLSPL >DRNTG_34146.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:31048078:31052164:1 gene:DRNTG_34146 transcript:DRNTG_34146.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYACKVIHKALEAVDVVQQTKMVLELEGSIMKCVCDQNGVLSVSH >DRNTG_34146.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:31047373:31052164:1 gene:DRNTG_34146 transcript:DRNTG_34146.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYACKVIHKALEAVDVVQQTKMVLELEGSIMKCVCDQNGVLSVSH >DRNTG_34146.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:31047373:31052164:1 gene:DRNTG_34146 transcript:DRNTG_34146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYACKVIHKALEAVDVVQQTKMVLELEGSIMKCVCDQNGVLSVSH >DRNTG_34146.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:31049804:31052164:1 gene:DRNTG_34146 transcript:DRNTG_34146.4 gene_biotype:protein_coding transcript_biotype:protein_coding DNKKLQTASTKEKNRIFPEILPQAWSLMIDVFGNYVIQHMLFTLVGSITSKKCTDANTLFCRFF >DRNTG_05445.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11978762:11981985:1 gene:DRNTG_05445 transcript:DRNTG_05445.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMADLQCIILHRHDRRRTRASTGYLGVRRRPWERYAAEIRNPYTRMRHWLGTFNTPEEAAIAYDIASITLSGIDRAQTNFYYMFVTMPSTIPPPPPPPPPPPPSPLEEQNEYYFETMLYTFKARLSAFPVQITKRLMQIVSAEGFQIAQNAISFIALEELADSQWGYTDGIESTAIHELIVICS >DRNTG_08008.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30055125:30057048:-1 gene:DRNTG_08008 transcript:DRNTG_08008.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVMSEREINQKSANDVPSFEIGHEQGLHRVSQRIRAEDVPSETTDKDGAGSPAFTTGGELIRLDEVRASNLADFGGRVEKSIHGSELMAVPSGDFSATWIHGYQIGDMVWGKVKSHPWWPGHIYNEAFASPSVRRTKREGHLLVAFFGDSSYGWFDPEELIPFESHYSEKSRQTSARTFLKAVEEATDEASRRGALAVTCKCRNPYNFRSTGVPGYFAVDVFGYEHGGIYSSEQIKNIRDSFDPARMLSFVKDLACSPRTSAYRARSDMQWIRNISMILAYRRAIFEEYDEPYAEAFGLQPVRPSRNEFGVPGQTERFAPRGINWLPHFGCSLLKLSVFSCLYLDTLRCTERVNFLSSTLVDNIFFLRITCMLSNSL >DRNTG_08008.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30049427:30057048:-1 gene:DRNTG_08008 transcript:DRNTG_08008.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVMSEREINQKSANDVPSFEIGHEQGLHRVSQRIRAEDVPSETTDKDGAGSPAFTTGGELIRLDEVRASNLADFGGRVEKSIHGSELMAVPSGDFSATWIHGYQIGDMVWGKVKSHPWWPGHIYNEAFASPSVRRTKREGHLLVAFFGDSSYGWFDPEELIPFESHYSEKSRQTSARTFLKAVEEATDEASRRGALAVTCKCRNPYNFRSTGVPGYFAVDVFGYEHGGIYSSEQIKNIRDSFDPARMLSFVKDLACSPRTSAYRARSDMQWIRNISMILAYRRAIFEEYDEPYAEAFGLQPVRPSRNEFGVPGQTERFAPRAAPLSGLLVIPEALGVKKAVTTTTTTTTITSSIKPASSAHKPAKVSSSKKNKYEFKRREEPYYPSNFIPFSAQQQHGISYSESAGINLQQQFQQQNVQQYVPLDETVDTKQAQVAEYVLQKRSPSFVRQESFRNERDALQGINTDGAGVHAFPETFGESKPQDDRAFVGAQAKPDLFSPANVQAVADSGPFATEAGSMTFMAPPVTAQESKRATGVKVAKLPKRKREDGTPARTDDLGLVKKKKLKKESGIAVGPQRLQKKSAAGKPFGGVSSVPARPESAQGDLPRRGVVGNTLLLPKVDIGSMNIEFPQVITDLSELAINPFYGSQVNVPSIVSHIILKFRSLVYQKSLVLPPASETETAEFRAAKLAAGRVFLEQQGNVNVEMVVAKESAEQREPAQPSFVRQIKPSLRPDDPTKSGRKRGPSNRQEEISVKRVKKLTQVKTLAAEKKAGLVQKPPEKNRQDQEATKVFNASPAALVSRPVSRPPLAKKEEAPEATKVVSPTYLVMKFPPNSTLPSIASMKAKFARFGTLETDSFRVYWKSLTCKVLFRHRVDAVAAYSFGRSNDMFGYKVNYSIRDADVPVTEPQDSSRRQEPKAEGTQFGTGNGNAGSGMSLNPLRPLHQPRQQSVQLKSILKKPNDDVSGSGTGPVKESQRVKFMLGGDDVRKEPPSIVASNKSGVRLEVPMESVGVKPLSVSSMNYFPPTQPLARPSLSQHPPRFQQPLRLPELHPPAPQFQQAQPHPPRLSESHTPASHFQQPPRISELHPPAPQFQQTQRLPELHHPQPPRLPDVHYRPLGQLMQQPPPQLQPHAPEGRSRTQFPSGAESARPFLLLLFKCSEIVKNLESNLGYIPYHGL >DRNTG_08008.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30055125:30057048:-1 gene:DRNTG_08008 transcript:DRNTG_08008.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVMSEREINQKSANDVPSFEIGHEQGLHRVSQRIRAEDVPSETTDKDGAGSPAFTTGGELIRLDEVRASNLADFGGRVEKSIHGSELMAVPSGDFSATWIHGYQIGDMVWGKVKSHPWWPGHIYNEAFASPSVRRTKREGHLLVAFFGDSSYGWFDPEELIPFESHYSEKSRQTSARTFLKAVEEATDEASRRGALAVTCKCRNPYNFRSTGVPGYFAVDVFGYEHGGIYSSEQIKNIRDSFDPARMLSFVKDLACSPRTSAYRARSDMQWIRNISMILAYRRAIFEEYDEPYAEAFGLQPVRPSRNEFGVPGQTERFAPRGINWLPHFGCSLLKLSVFSCLYLDTLRCTERVNFLSSTLVDNIFFLRITCMLSNSL >DRNTG_08008.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30049427:30057048:-1 gene:DRNTG_08008 transcript:DRNTG_08008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVMSEREINQKSANDVPSFEIGHEQGLHRVSQRIRAEDVPSETTDKDGAGSPAFTTGGELIRLDEVRASNLADFGGRVEKSIHGSELMAVPSGDFSATWIHGYQIGDMVWGKVKSHPWWPGHIYNEAFASPSVRRTKREGHLLVAFFGDSSYGWFDPEELIPFESHYSEKSRQTSARTFLKAVEEATDEASRRGALAVTCKCRNPYNFRSTGVPGYFAVDVFGYEHGGIYSSEQIKNIRDSFDPARMLSFVKDLACSPRTSAYRARSDMQWIRNISMILAYRRAIFEEYDEPYAEAFGLQPVRPSRNEFGVPGQTERFAPRAAPLSGLLVIPEALGVKKAVTTTTTTTTITSSIKPASSAHKPAKVSSSKKNKYEFKRREEPYYPSNFIPFSAQQQHGISYSESAGINLQQQFQQQNVQQYVPLDETVDTKQAQVAEYVLQKRSPSFVRQESFRNERDALQGINTDGAGVHAFPETFGESKPQDDRAFVGAQAKPDLFSPANVQAVADSGPFATEAGSMTFMAPPVTAQESKRATGVKVAKLPKRKREDGTPARTDDLGLVKKKKLKKESGIAVGPQRLQKKSAAGKPFGGVSSVPARPESAQGDLPRRGVVGNTLLLPKVDIGSMNIEFPQVITDLSELAINPFYGSQVNVPSIVSHIILKFRSLVYQKSLVLPPASETETAEFRAAKLAAGRVFLEQQGNVNVEMVVAKESAEQREPAQPSFVRQIKPSLRPDDPTKSGRKRGPSNRQEEISVKRVKKLTQVKTLAAEKKAGLVQKPPEKNRQDQEATKVFNASPAALVSRPVSRPPLAKKEEAPEATKVVSPTYLVMKFPPNSTLPSIASMKAKFARFGTLETDSFRVYWKSLTCKVLFRHRVDAVAAYSFGRSNDMFGYKVNYSIRDADVPVTEPQDSSRRQEPKAEGTQFGTGNGNAGSGMSLNPLRPLHQPRQQSVQLKSILKKPNDDVSGSGTGPVKESQRVKFMLGGDDVRKEPPSIVASNKSGVRLEVPMESVGVKPLSVSSMNYFPPTQPLARPSLSQHPPRFQQPLRLPELHPPAPQFQQAQPHPPRLSESHTPASHFQQPPRISELHPPAPQFQQTQRLPELHHPQPPRLPDVHYRPLGQLMQQPPPQLQPHAPEGRSRTQFPSGAESARPFLLLLFKCSEIVKNLESNLGYIPYHGL >DRNTG_08008.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30049427:30052460:-1 gene:DRNTG_08008 transcript:DRNTG_08008.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTFMAPPVTAQESKRATGVKVAKLPKRKREDGTPARTDDLGLVKKKKLKKESGIAVGPQRLQKKSAAGKPFGGVSSVPARPESAQGDLPRRGVVGNTLLLPKVDIGSMNIEFPQVITDLSELAINPFYGSQVNVPSIVSHIILKFRSLVYQKSLVLPPASETETAEFRAAKLAAGRVFLEQQGNVNVEMVVAKESAEQREPAQPSFVRQIKPSLRPDDPTKSGRKRGPSNRQEEISVKRVKKLTQVKTLAAEKKAGLVQKPPEKNRQDQEATKVFNASPAALVSRPVSRPPLAKKEEAPEATKVVSPTYLVMKFPPNSTLPSIASMKAKFARFGTLETDSFRVYWKSLTCKVLFRHRVDAVAAYSFGRSNDMFGYKVNYSIRDADVPVTEPQDSSRRQEPKAEGTQFGTGNGNAGSGMSLNPLRPLHQPRQQSVQLKSILKKPNDDVSGSGTGPVKESQRVKFMLGGDDVRKEPPSIVASNKSGVRLEVPMESVGVKPLSVSSMNYFPPTQPLARPSLSQHPPRFQQPLRLPELHPPAPQFQQAQPHPPRLSESHTPASHFQQPPRISELHPPAPQFQQTQRLPELHHPQPPRLPDVHYRPLGQLMQQPPPQLQPHAPEGRSRTQFPSGAESARPFLLLLFKCSEIVKNLESNLGYIPYHGL >DRNTG_12333.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000542.1:32857:33392:-1 gene:DRNTG_12333 transcript:DRNTG_12333.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSINISQEGDGASKQHISRDHELTLGI >DRNTG_12333.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000542.1:31978:33392:-1 gene:DRNTG_12333 transcript:DRNTG_12333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARLPSCQHEAAAIKEISRALELISHLEAHLCPLILAKKGMELANNIFQEITSSLLESSTILDPGAAAQVASKKVKTINHEDGTTVKKKRHKDLVTFLTTEPFFDGYQWRKYGQKDRKNSMFQRTYYKCLNEKCQATKTVQQEDHHEPPNILVTYGMQHTCDLAEFTQKFRMDSSTSTRAFGPIVESNSFIVKNN >DRNTG_28716.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3791081:3800125:1 gene:DRNTG_28716 transcript:DRNTG_28716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINSMVFAISRPPLLPFPSSPARFKRAVRVPFRCSTEPSPQVDSASARETSLDAKAPEKASLLGNSAAILRAGLGGLGLLETGYLTYLKLTNSEAFCPVGGGSCSDVLNSDYSSIFGIPLPLVGMVAYGLVTLLSLHQIRKDLLSGLGEADARLLLLGTSTSMAAASGYFLYILSTKLAGTSCSYCILSAILSFGISFITLKDIGMKEVRKVLGLQLAVAGAVIAALSYSYTTAAPQFLGSNEVELEPFKTEITSKSTPWAISLAEHLHSIGAKMYGAFWCTHCQEQKQMFGKEAAKIIDYVECYPDGIGKGRKIAFECILAGVEGFPTWIINDQHIDGERELKDLAEVSGFVLADSQRS >DRNTG_28716.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3791081:3800125:1 gene:DRNTG_28716 transcript:DRNTG_28716.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAINSMVFAISRPPLLPFPSSPARFKRAVRVPFRCSTEPSPQVDSASARETSLDAKAPEKASLLGNSAAILRAGLGGLGLLETGYLTYLKLTNSEAFCPVGGGSCSDVLNSDYSSIFGIPLPLVGMVAYGLVTLLSLHQIRKDLLSGLGEADARLLLLGTSTSMAAASGYFLYILSTKLAGTSCSYCILSAILSFGISFITLKDIGMKEVRKVLGLQLAVAGAVIAALSYSYTTAAPQFLGSNEVELEPFKTEITSKSTPWAISLAEHLHSIGAKMYGAFWCTHCQEQKQMFGKEAAKIIDYVECYPDGIGKGRKIAFECILAGVEGFPTWIINDQHIDGERELKDLAEVSGFVLADSQRS >DRNTG_11776.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:609339:613027:-1 gene:DRNTG_11776 transcript:DRNTG_11776.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDRGVNGSKPEASPLDRKRINNVLDRHLERASSSTTKGLNGKEKERLPLTLPAAGKRPDHRDQHPSLSKNKCSEDESDTDSEESDVSGSDGEDTSWISWFCNLKGNEFFCEIDEEYIQDDFNLCGLSGQVPYYDYALDLILDVESSHGDMLTEEQNEMIESAAEMLYGLIHVRYILTGRGMAAMLEKFKNYDFGRCPRVHCSSQPCLPVGQSDIPRSSTVKIYCPKCEDIHYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLNPQKPSQQYVPRVFGFKVRKT >DRNTG_11776.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:609339:613027:-1 gene:DRNTG_11776 transcript:DRNTG_11776.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVLSVEKSLFSVCILICLSLLSFRAADESDTDSEESDVSGSDGEDTSWISWFCNLKGNEFFCEIDEEYIQDDFNLCGLSGQVPYYDYALDLILDVESSHGDMLTEEQNEMIESAAEMLYGLIHVRYILTGRGMAAMLEKFKNYDFGRCPRVHCSSQPCLPVGQSDIPRSSTVKIYCPKCEDIHYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLNPQKPSQQYVPRVFGFKVRKT >DRNTG_25667.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21614077:21618964:1 gene:DRNTG_25667 transcript:DRNTG_25667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAATRGKGPWVRLLSLRLYPQTVHVFWRNLSSIPPSHLSRHHLNPFQSPSLILRHFSASTSVSGDRVVQELLAEVERERQLEREEKRKAGVVLGDDDGDSESEDYMGVTPLIEKLEKKKAKELENIDQFWEPTDSESDDDERYSVEEVKKRVDAFENKCKRFGELLKSFAESETLDDAHKWMTKIDKFEERHLKLPLEYRVIGDMMNRLKQTTGKDRFILLQKLNRAVRLMECKEAYDPNNPSNFGVIQHQQVGSPEDVVDNTGFEREKQMIKGASLEDDDEDLNETKEKDDVLIDKLNDLEKKIEQKLEELDHTFGKKGRVLEEEIKDLVEERNSLTEKRRRPLYRKGFDVKVIDVNRTVKVTKGGQLVKFTALLATGNYHGVVGFAKAKGPNAKIAIQRAYEKCFQNLHYVERYEEHTIAHAIHTKYEKTKIYLWPGPMRSGMSAASRTVETVLYLAGFSNVKSKIIGSRNPLNVIKVLFKALNAIETPKDVQEKFGRTVVESYLL >DRNTG_24489.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18919854:18920210:1 gene:DRNTG_24489 transcript:DRNTG_24489.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISTFVKFHIETSAITVQTTKQPANDKPQDNVFDSPKR >DRNTG_14349.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21637458:21641099:1 gene:DRNTG_14349 transcript:DRNTG_14349.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein CCS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G49380) UniProtKB/Swiss-Prot;Acc:Q9XIA4] MSLLLNPIRTLSISPLLPSSKAHLFLSPNSKLRSFQSTKNEALPSKTKKKIVLFDVAPPVSEDDNGIVENGRVSEGQRKLGGVPPPPPLGFLRKGLKRVLAALSNLPLAIGEMFTIASLMALATVIDQGEAPDYYFKKYPEENPVFGFFTWRLILTLGFDHMFTSPVFLGMLVLLAASLMACTYTTQIPMVKVARRWSFMHSSETIRKLEFFDSLPQASIQDLGVILMGSGFEVFVKGPCLYAFKGLAGRFAPIGVHIAMLLIMAGGTLSSTGSFRGSVDVPQGLNFVIGDVMKPNGFLSTPSEAFDTEVHVNKFFMDYYDSGEVSQFHSDLSLFSLDGKELMRKTISVNDPLRYKGITIYQTDWGFSALQVSKDGEGPYNLPVAPLQINGDKKLYGTFLPVGNTDSPNVKGISMLARDLQSIVLYDQEGKFVGVRRPSSKLPIDIDGMSIVIEDAIGSTGLDLKTDPGVPVVYAGFGALMLTTCISFLSHSQIWALQDGTTVVVGGKTNRAKLEFPEEMNDLLDKVPELVNADDNTA >DRNTG_05446.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3931245:3931756:-1 gene:DRNTG_05446 transcript:DRNTG_05446.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLKTLVVFVLLMASTMAAREGMYDDDRATVPHHGLLETSMAGTGTESKTNCWPETGIHFSTPMPKPNNPKKP >DRNTG_05446.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3931245:3931874:-1 gene:DRNTG_05446 transcript:DRNTG_05446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLKTLVVFVLLMASTMAAREGMYDDDRATVPHHGLLETSMAGTGTESKTNCWPETGIHFSTPMPKPNNPKKP >DRNTG_22919.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:12272847:12280437:-1 gene:DRNTG_22919 transcript:DRNTG_22919.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH3 [Source:Projected from Arabidopsis thaliana (AT4G25540) UniProtKB/Swiss-Prot;Acc:O65607] MLLQRLQNQSIHTKLQRLYLNQMEKSLCKVLSPPMVNSIISSVLTMLAKCSDIQRGLTRIFHRTATPAEFISVIHMILLAGKLLQKLHIGDDDSNDNVQVMTVKSTLLRRLIVSASSPSIIAHSTKLLSCLNRSAADQGDILNIFNAGNDQFLEVSRSHTSVHEAEEKLNSLIAQYRKQLGIRNLEFMCVSGITHLIELPLDTRVPTNWLKINSTKKAVRYHPPEVLAGLEELLLAKEKLTVVCRTAWDRFLMGFGKYYAQFQAAVHALAALDCLHSLAILSKTQNYIRPSFVGDEEPVQINICSGRHPVLETILRDNFVPNGTCMHADGEYCQIVTGPNMGGKSCYIRQVALIAIMAQVGSFVPASSTKLHVLDGIFTRMGLSDSIQQGTSTFFEEISETKHILQHCSSRSLVIIDELGRGTSTYDGVAIAYATLHYLLKHKKCMTLFVTHYPKIMDIQHEFEGSVAAYHVSYLTSKKPLEVAYSRKGFSAENLDQGEVTFLYKVVRGASDKSFGLNVARLAQLPPSCITVASIMAAKMESTMTSCGRRQLQELISLAAQERCSSDCRTLKEESKCYHDLATGLHQLLSFLSGDSDDANNVNIFSSLKGAKDLASNIIECYSNCRCVGQGDFTKGLLV >DRNTG_22919.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:12272847:12278737:-1 gene:DRNTG_22919 transcript:DRNTG_22919.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH3 [Source:Projected from Arabidopsis thaliana (AT4G25540) UniProtKB/Swiss-Prot;Acc:O65607] MLLQRLQNQSIHTKLQRLYLNQMEKSLCKVLSPPMVNSIISSVLTMLAKCSDIQRGLTRIFHRTATPAEFISVIHMILLAGKLLQKLHIGDDDSNDNVQVMTVKSTLLRRLIVSASSPSIIAHSTKLLSCLNRSAADQGDILNIFNAGNDQFLEVSRSHTSVHEAEEKLNSLIAQYRKQLGIRNLEFMCVSGITHLIELPLDTRVPTNWLKINSTKKAVRYHPPEVLAGLEELLLAKEKLTVVCRTAWDRFLMGFGKYYAQFQAAVHALAALDCLHSLAILSKTQNYIRPSFVGDEEPVQINICSGRHPVLETILRDNFVPNGTCMHADGEYCQIVTGPNMGGKSCYIRQVALIAIMAQVGSFVPASSTKLHVLDGIFTRMGLSDSIQQGTSTFFEEISETKHILQHCSSRSLVIIDELGRGTSTYDGVAIAYATLHYLLKHKKCMTLFVTHYPKIMDIQHEFEGSVAAYHVSYLTSKKPLEVAYSRKGFSAENLDQGEVTFLYKVVRGASDKSFGLNVARLAQLPPSCITVASIMAAKMESTMTSCGRRQLQELISLAAQERCSSDCRTLKEESKCYHDLATGLHQLLSFLSGDSDDANNVNIFSSLKGAKDLASNIIECYSNCRCVGQGDFTKGLLV >DRNTG_22919.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:12278982:12280437:-1 gene:DRNTG_22919 transcript:DRNTG_22919.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH3 [Source:Projected from Arabidopsis thaliana (AT4G25540) UniProtKB/Swiss-Prot;Acc:O65607] MVAVEVSTGDVLHAEFNDNVMRSGLEAVILSLTPAEMLLGEPLSTTTEKMLLAYAGPASNVRVERASRDCFNEGGALAEVVSLYENNNDNLTAEHGLENTTIGKEENHPIGIEGIMGMPELAVQALALIIRHLKQFGFERILFLGASFRPFSSNIEMTLSANALHQLE >DRNTG_22919.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:12272847:12277033:-1 gene:DRNTG_22919 transcript:DRNTG_22919.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH3 [Source:Projected from Arabidopsis thaliana (AT4G25540) UniProtKB/Swiss-Prot;Acc:O65607] MCVSGITHLIELPLDTRVPTNWLKINSTKKAVRYHPPEVLAGLEELLLAKEKLTVVCRTAWDRFLMGFGKYYAQFQAAVHALAALDCLHSLAILSKTQNYIRPSFVGDEEPVQINICSGRHPVLETILRDNFVPNGTCMHADGEYCQIVTGPNMGGKSCYIRQVALIAIMAQVGSFVPASSTKLHVLDGIFTRMGLSDSIQQGTSTFFEEISETKHILQHCSSRSLVIIDELGRGTSTYDGVAIAYATLHYLLKHKKCMTLFVTHYPKIMDIQHEFEGSVAAYHVSYLTSKKPLEVAYSRKGFSAENLDQGEVTFLYKVVRGASDKSFGLNVARLAQLPPSCITVASIMAAKMESTMTSCGRRQLQELISLAAQERCSSDCRTLKEESKCYHDLATGLHQLLSFLSGDSDDANNVNIFSSLKGAKDLASNIIECYSNCRCVGQGDFTKGLLV >DRNTG_22919.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:12272847:12276441:-1 gene:DRNTG_22919 transcript:DRNTG_22919.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH3 [Source:Projected from Arabidopsis thaliana (AT4G25540) UniProtKB/Swiss-Prot;Acc:O65607] MHADGEYCQIVTGPNMGGKSCYIRQVALIAIMAQVGSFVPASSTKLHVLDGIFTRMGLSDSIQQGTSTFFEEISETKHILQHCSSRSLVIIDELGRGTSTYDGVAIAYATLHYLLKHKKCMTLFVTHYPKIMDIQHEFEGSVAAYHVSYLTSKKPLEVAYSRKGFSAENLDQGEVTFLYKVVRGASDKSFGLNVARLAQLPPSCITVASIMAAKMESTMTSCGRRQLQELISLAAQERCSSDCRTLKEESKCYHDLATGLHQLLSFLSGDSDDANNVNIFSSLKGAKDLASNIIECYSNCRCVGQGDFTKGLLV >DRNTG_22919.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:12272741:12277360:-1 gene:DRNTG_22919 transcript:DRNTG_22919.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH3 [Source:Projected from Arabidopsis thaliana (AT4G25540) UniProtKB/Swiss-Prot;Acc:O65607] MILLAGKLLQKLHIGDDDSNDNVQVMTVKSTLLRRLIVSASSPSIIAHSTKLLSCLNRSAADQGDILNIFNAGNDQFLEVSRSHTSVHEAEEKLNSLIAQYRKQLGIRNLEFMCVSGITHLIELPLDTRVPTNWLKINSTKKAVRYHPPEVLAGLEELLLAKEKLTVVCRTAWDRFLMGFGKYYAQFQAAVHALAALDCLHSLAILSKTQNYIRPSFVGDEEPVQINICSGRHPVLETILRDNFVPNGTCMHADGEYCQIVTGPNMGGKSCYIRQVALIAIMAQVGSFVPASSTKLHVLDGIFTRMGLSDSIQQGTSTFFEEISETKHILQHCSSRSLVIIDELGRGTSTYDGVAIAYATLHYLLKHKKCMTLFVTHYPKIMDIQHEFEGSVAAYHVSYLTSKKPLEVAYSRKGFSAENLDQGEVTFLYKVVRGASDKSFGLNVARLAQLPPSCITVASIMAAKMESTMTSCGRRQLQELISLAAQERCSSDCRTLKEESKCYHDLATGLHQLLSFLSGDSDDANNVNIFSSLKGAKDLASNIIECYSNCRCVGQGDFTKGLLV >DRNTG_20425.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001116.1:7002:8293:-1 gene:DRNTG_20425 transcript:DRNTG_20425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDTLMMKEALCFRSENIKAIVPALRHRCQDENHKNKRKSEDDKRRKVAIIAAPLKPKPKPKPKPKPTRHSFCTTSRLLRH >DRNTG_24432.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8502030:8502697:-1 gene:DRNTG_24432 transcript:DRNTG_24432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLPIFLTMFYTTNKAAYDPQNGCLNQLNSLAEYHNSFLQNSLKMLQLIYPQARIIYADYYSLVISFVDSPQQFGFNSGTLRACCGGGGLYNFNSSVFCGLPEASVCTDPSTYMNWNGIHLTETAYHHIAIGILNGPYSRPPMFTNFRS >DRNTG_21219.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001212.1:7890:10122:1 gene:DRNTG_21219 transcript:DRNTG_21219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHKYTLAAEHKALKSPSSISPATALQAPHLSSMDRTRMNTAVD >DRNTG_09597.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2571906:2579470:1 gene:DRNTG_09597 transcript:DRNTG_09597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKKQKRHRKAVRFYSARFGFCEPYKVLCDGTFVHHLLLHGLVPTDNALQRLLRAHVLLFSSRQVVGELQVLGESHSEALNAARQLVTTRCDHEKRVYVVHFKCNKNLIREYPNLFNYTKDIYQTNGMSSSINMEHIMFDSLLSSFSSSIAIVNEAMKNLTIFLIIFFNSLTYALLERDIANLHVQDKLLLSSAFGPESITFDTNGKGPYIGFSNAHILKWIGCSLGWQEFATTSNISNYDSIKYFHVSLESKYGRPLGLQFNKGTGDLYITDAYFGLLTVGLEGGEVAQVVVAAMAADDQPFGLTNGLDVDQQNGMVYFTDSTTHFQRRSSAYKRSIVSVSLLSSFSSSIVIANEGNENLVVIEAVITVSKTSERSLGQVLPYVTSFGKGQASAYKMFETIKRKPAIDVYDTNGIVLEDIKGVIVMKDVTFSYPSRPDHLIFDGFSVHVHSGKTMALVGESGSGKSTVISLLERFYDPQGGEVLIDGVNLKNLNLKWIREKIGLVSQEPVLFTMTIRENIAYGKEGATSDEIWTAVELANAANFIDNMPNGLDTMVGEHGTQLSGGQKQRIAIARSILKNPKILLLDEATSSHANLISHSEGTYSQLIRLQENKKQDKKEPSKHSQNMVLSNDTVTPIVRSESHRSTRRSTSRGFHINFS >DRNTG_14964.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000748.1:11006:17048:-1 gene:DRNTG_14964 transcript:DRNTG_14964.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGSLQQIEEEVAMFCPMICREIAQTGMGSSKNYPISLPPRVNPSSLSLILDYCRFHQVPGRSNKERKSFDEKFVKIDTKQLCELTSAADSLQLRPLVDLTSRALARMIEGKTPEEIRETFHLPDDLTEEEKLEPLKNPTDDPRIRLLNRLYAKKRKELKERQKLQDVEVEERVDDRSVDDLLSFINGGHQADSKGARSAKNKKKNRRRKDQSNDSSADATNETHRKDAPDHSTLSHEVDVSSSNSPSRHPKTQESPEDALTSKISFEDPDIDDELDPEMKERLDKEVEDFARRLNSDWPERMQEILSLGQERKFVPNLMNGNGSLRRYTDK >DRNTG_14964.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000748.1:11006:17048:-1 gene:DRNTG_14964 transcript:DRNTG_14964.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESAMAIIKPEALKSFIWLQMGDGSLQQIEEEVAMFCPMICREIAQTGMGSSKNYPISLPPRVNPSSLSLILDYCRFHQVPGRSNKERKSFDEKFVKIDTKQLCELTSAADSLQLRPLVDLTSRALARMIEGKTPEEIRETFHLPDDLTEEEKLEPLKNPTDDPRIRLLNRLYAKKRKELKERQKLQDVEVEERVDDRSVDDLLSFINGGHQADSKGARSAKNKKKNRRRKDQSNDSSADATNETHRKDAPDHSTLSHEVDVSSSNSPSRHPKTQESPEDALTSKISFEDPDIDDELDPEMKERLDKEVEDFARRLNSDWPERMQEILSLGQERKFVPNLMNGNGSLRRYTDK >DRNTG_14964.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000748.1:11006:17048:-1 gene:DRNTG_14964 transcript:DRNTG_14964.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGKTPEEIRETFHLPDDLTEEEKLEPLKNPTDDPRIRLLNRLYAKKRKELKERQKLQDVEVEERVDDRSVDDLLSFINGGHQADSKGARSAKNKKKNRRRKDQSNDSSADATNETHRKDAPDHSTLSHEVDVSSSNSPSRHPKTQESPEDALTSKISFEDPDIDDELDPEMKERLDKEVEDFARRLNSDWPERMQEILSLGQERKFVPNLMNGNGSLRRYTDK >DRNTG_14964.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000748.1:11006:17048:-1 gene:DRNTG_14964 transcript:DRNTG_14964.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGKTPEEIRETFHLPDDLTEEEKLEPLKNPTDDPRIRLLNRLYAKKRKELKERQKLQDVEVEERVDDRSVDDLLSFINGGHQADSKGARSAKNKKKNRRRKDQSNDSSADATNETHRKDAPDHSTLSHEVDVSSSNSPSRHPKTQESPEDALTSKISFEDPDIDDELDPEMKERLDKEVEDFARRLNSDWPERMQEILSLGQERKFVPNLMNGNGSLRRYTDK >DRNTG_35113.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8751600:8752117:1 gene:DRNTG_35113 transcript:DRNTG_35113.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMGMFRRVQTGVFALVLPAPEIAEDEGVEAGTEVAEARAEIVQIRDTQATQYTDFMARFDVLQQILERDVASSFVLWPRTPQAPSVPPAPSSPTPALVDPPCASSPAAVAAPKPERDTDI >DRNTG_08955.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:19745484:19746874:1 gene:DRNTG_08955 transcript:DRNTG_08955.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRDPYNLQQDPACSDHPPQHIVCRSSNL >DRNTG_08955.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:19745484:19749018:1 gene:DRNTG_08955 transcript:DRNTG_08955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRDPYNLQQDPACSDHPPQHIVCRSSNL >DRNTG_23563.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8768019:8784062:-1 gene:DRNTG_23563 transcript:DRNTG_23563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHPGRKSYHLESVKATLAAALERATLEAKIVAELYSNNVAITVATLFTAGREIRETENPHGRVEIIHARVEIPHGRVYRPRVWSCPIPSLFKPDSAPILVFFSPSFPQLVRELQLGF >DRNTG_28494.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8149708:8151233:-1 gene:DRNTG_28494 transcript:DRNTG_28494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSPNDEEEEECQPNEASSSPKGTECFSSLDDETFS >DRNTG_12916.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16895611:16898701:-1 gene:DRNTG_12916 transcript:DRNTG_12916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRYAGEFGPWQLRHFMLTSVVWTLGAFQVMAVVFADHRPKWRCISGGACPETMCGLPHDAWEWDGGRRTSTVADWDLVCKDKYKAGIPQFALFVGSMLGSGIFGHLSDSFLGRKRALALACAMGATFGFLTSLSPSFYFYTLFRFLTGVSTGGAGTSVFVLTTEPIGPSKRATMGISTFYFFAIGTILLSIISYFHHSWRSLYIITSIPSLLFLIFILPFISESPRWFLVRHKITSAMKVMEDIAKSNGKQIPDGVTLSLDCGPDQTVVSGSIIDVIRSPVTRLRFILAVSVNFLCYVAYYGLGLNVMNLKTNLYLGVILNGVAEMPAYILTAVALNWVGRRPLTVGMMLFGGVVCGVGSLMGDVGVVRKLKMMCGVVGIFAMTSTYNLLFVYSSELFPTVVRNAAVGCVSQAGQIGAMVATFVVIIGDRWPFIVFAVCGVVGGVLAWLLPETLNQPLYDTMGGLEKGELQKSDGARDG >DRNTG_33065.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:6167802:6171390:1 gene:DRNTG_33065 transcript:DRNTG_33065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREHGIHIPYKQAWLGKEHTRVVLDGSDISSYDLLLWYVDKVAETNPDSIAIVERDGNHFKRAFFSFSACIMGFKRACRPLLFLDGTHLLGKYRGTLLGATGKDGNNGFFHVAFGIVDNETDANWTWSISKLGDALYEEGDYHEIITFVSDESKGLVNAIARVFLLRHMYTRLRHLEANFYESQCRTWEGIERGSARSICFRISWASTAKEFDDTVNESQATSPEAHHWLINKSDMAHWSNYLFRDDHWG >DRNTG_13650.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1241816:1243631:-1 gene:DRNTG_13650 transcript:DRNTG_13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPVSCLCSCIQSYVTRQDISYVFRTKEKIDDLKNTMKNLMATKEDVQRKLDDPQERGKLLDNQHQVKDWLRDVGEKDNKVERLLDEYGKGNCVPAGSCSLNCFSRYKIGRNAFKLKEEITQLTTKQPEIKFSDIPPPKPVSESYKTVGKEISSNVDIARSYLADETVGIIGIWGMGGVGKTTLLKKIRQSLSGDANMGFDHVLFIEASKVILLEELRKQIAKFLQLEPSAGKEDIFNVLKISNFVLLLDNIWEEVGLIDLGIPHPYSEDNSTKQYKHKVIFTTRSEDVCARMEASKKIKVECLEPDEAWALFKQNVNLDVIESDEKFKKIARQVMRKCGGLPLALKVVGKAMSNKKTVQNWEVVLNSDTEVVQDVQESLLRLLKFSYDHLPDIMNIKECFLSASMLRWSSKDVLLECWMGLGLMGDLVNLQLAYGKATYIFNILEGSGLLHFSDEGDMHLHDVIYEMALWIASDCGRNRNKWIVKTFEVKTASINAENWRFANRVFISG >DRNTG_16846.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4841226:4846875:-1 gene:DRNTG_16846 transcript:DRNTG_16846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPQVTITLGRSGQVVKKAGTTIDGSQPDYAPSLSLGSKRPIRERLGGNVEDPHAYASQSRSKRQRREGHDWMSDDDLDDDQQNAPKRWIGRDDLRHKLLNKGLHRRG >DRNTG_00710.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000052.1:43885:45901:1 gene:DRNTG_00710 transcript:DRNTG_00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLLFLFLFFFSIFLTESDKCHGPLTGRSQGQTSENRSTSPSLKHKSIAWSKAQTRDLHETLGDPVPLGH >DRNTG_07870.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18965173:18966722:-1 gene:DRNTG_07870 transcript:DRNTG_07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCIYIIKFEVDAFGWDDGPVSLHLVTPDGHKSKISYNFGEYNKNDWHKVSGGEFTVGSTMRGNVKFGMYEIETLWWKGGMVLHGVLIEPKN >DRNTG_32524.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1360981:1361561:1 gene:DRNTG_32524 transcript:DRNTG_32524.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 1 [Source:Projected from Arabidopsis thaliana (AT1G68760) UniProtKB/Swiss-Prot;Acc:Q9CA40] MNGGGAVERSPTVAVAVFILKGSAVLLGRRLSPIGKDTFALPGGRLEFGESLEECAKREVKEETGLEVKNIEVVKVVNDVVRDGPNPSHFVTILVRAELVESDQVPATLEPDKCEGWDWFPWDQLPQPLFRPLESLVHSGFSPFSSPSL >DRNTG_27762.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24432959:24435507:1 gene:DRNTG_27762 transcript:DRNTG_27762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFHATTSLSFFLLLLHVSSLLLSKLFSYLHQRSAFRGEECIHHEEKKLLSLEKDELIEDIVFGCEDLLLFFYENSERNDTHVVGQDKDSIEQTTFEFQVDDDTINNNASESTAHDSPQKNHTEIPTIQSSEPVVAIFDSKTKEEDLSKEKRFILVDHNTYSDSKRFKLDEIHGESDCNYGDSSITGESSSWRSSTIFRDSETEYPFSSSSRRSSSLWESYTMFRKYDEEMLFFDKITAQKLHETETLESIKIKPRSVSQRIAHKYSKIKKHKQFPRSRDPYKELESAYVAQVCLAWEALCWNYNNFRRVSLDKNMDFHGYSARVAQEFQQFHVLLQRFIEIEPYEHGSRPQVFARMKISSPKLLQVPEFRESEGDESKENMSSRISSAEFLNILEEGLKTFMNFLKTDKKSHCEMLKALFKKTSIKHSLIKKTKNKKKSKLKVLRKRKVSEAQEMEILMGLIDMKVVSRVLRMPEISEEQLQWCEEKINKVSVWDGKTQRDSSPLFFPIAS >DRNTG_27303.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:164965:168632:1 gene:DRNTG_27303 transcript:DRNTG_27303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFSGVISSSLPVLPTSVEENYPTVFVSQRGQQEMEASDNQSKTAPCHTPPVSHIGNGRPLLSSAPGSQTDFQFSSISHNGRHPIDPLFNSQSSFGDRCLPSKHSSYAMTAQPPITHFPKEPTEISWREDALTPMFDSSENFTSTHSQLLNGCLITSDDFSKSFDLSDLNDFDEASLEFLNDSNSNEHQLQVVQQAGLASSSFSVPQPQINKSALSHSAELCHAPSPSSSTNATAVKSRMRWTQELHGCFVEAVSQLGGSERATPKEVLKLMKKDGLTIYHVKSHLQKYRTARYRPESSEAVPEKTSTASEKLSLDPKMGIEITETLRIQMALQKQLHEQLEVQRNLQLRIEEQGRYLQMMFEKQQKMGLEMMKTKSPLDDPSTQTVHDSDNSSDGARSASKNGSVAADQAEKENASVVAITEEEFSQIGNKHKLPEPEPVVGGSHSPTHKRARGGEIQQ >DRNTG_00611.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22413146:22414422:1 gene:DRNTG_00611 transcript:DRNTG_00611.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSDLRSGLLSLNFRNHHRDQSQLRPKSKKSINPKNKNKKFKKP >DRNTG_00611.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22411047:22414422:1 gene:DRNTG_00611 transcript:DRNTG_00611.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSDLRSGLLSLNFRNHHRDQSQLRPKSKKSINPKNKNKKFKKP >DRNTG_00611.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22408350:22414422:1 gene:DRNTG_00611 transcript:DRNTG_00611.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSDLRSGLLSLNFRNHHRDQSQLRPKSKKSINPKNKNKKFKKP >DRNTG_00611.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22408350:22414422:1 gene:DRNTG_00611 transcript:DRNTG_00611.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSDLRSGLLSLNFRNHHRDQSQLRPKSKKSINPKNKNKKFKKP >DRNTG_00611.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22414184:22414422:1 gene:DRNTG_00611 transcript:DRNTG_00611.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSDLRSGLLSLNFRNHHRDQSQLRPKSKKSINPKNKNKKFKKP >DRNTG_09705.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:772218:775161:1 gene:DRNTG_09705 transcript:DRNTG_09705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLFACFGRGASSSSTTTDKPASSPDLHDTADQAVEEQRRGGAVLMELFSSQGCGTSTDAEAIASRVGRGDLGLDDVPVVVLAWHVDYWDYRGWKDPFGSSVWTVRQKAFVESLQLDTLYTPQVVIQGQTQCLGTDENSIADAARAAPRFPSPAMKATFHKSSPDMLQVSFSGTLGSKVDSNGADVMVVLYESGLVTNCERGENKGRALNNDHVVRRSEKLLSVKDVSAKKKLSGSVQFPLWESFNRANCGIVLFVQNRSLQTFGVQHFQIPDTI >DRNTG_17721.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4053025:4053359:-1 gene:DRNTG_17721 transcript:DRNTG_17721.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRDQLGQELQSVKHQSPPNKQKLHALMLRISSSSLVRL >DRNTG_12310.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29608813:29614546:-1 gene:DRNTG_12310 transcript:DRNTG_12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTLEQKKSHKGYIKLVRQWSLPSNISTSDDKKKKIKKKKNENEANYKGAITLKSKGQNASSSGCHPVLKAMQAPNFDKALMKPEFLSYLDYLKEAGYQGRIHMVASLGWPAKKPLENFVRRWVRRLKYCPSEYLDQRNMTQKLANALKNVQLQETMDNVTVLAQNEWYYKESAKKTEYSWIKRWCHNSDKNVRIEDKDLPSFESVKFIGKSAFKKL >DRNTG_03130.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21515709:21520018:-1 gene:DRNTG_03130 transcript:DRNTG_03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPADTAAVPALPSDEPKEVKNEKGEACVVIDVKCGGGIGDGGDSDPEDEKVCRICHLSPDRSSESCGGAPEGSELIQLGCGCRGELGIAHRHCAEAWFRVKGNRCCEICGSNAKNVNGEEDITFMEEWHERRIVGNNRRRNSSERSSCWRSQPFCNFLMACLVIAFILPWFFRVNVF >DRNTG_04715.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000224.1:7035:10493:-1 gene:DRNTG_04715 transcript:DRNTG_04715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRKLPTPVCVSQGHPQGRGHVPVCSRENLPNSAGIHTLVHKLPTAAPSRRSSTWAPHAPSSRSPG >DRNTG_09977.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22139060:22139542:-1 gene:DRNTG_09977 transcript:DRNTG_09977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFNTIIPNWFLNLSNLVHVDMNSADFHRPIPVKLGSNLQNLRCLDLSLNNNLTADCSMLLRGGWRRIEYFDVAANQVYGELPASIGNFTSLVELNFFSNLIQGGVPSSIGKLCNLKTLILDGNNLTLELPPYLEQNTACISEHPLPTLSKITLGASFA >DRNTG_12152.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11955636:11956985:1 gene:DRNTG_12152 transcript:DRNTG_12152.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPKSKKLSTPAPKSGQNPSNAPDAVEPESTITKRKLFERFWSEGDEIKILEGVAEYHRKKGTNPASVVDLDSLHEFMKSFLGSEFGKNQLGDKIRRLKKRFRTLHAKNNGNPKITKPHERAKYELSKKIWGKNKSLIDEAEDDGDEDEDAGNSSEHETVKQSSGKSRKRKDPVDNGVLNDGSNRTKEVDDCSYEYIREAFGQMKNDIFSDMFLEQGLKLAEPKKAKKLDQEFRELSMLEAKSNLEYISIVRDITSHALEALQKSG >DRNTG_12152.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11955568:11956985:1 gene:DRNTG_12152 transcript:DRNTG_12152.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPKRAPPKKPPPKPPSPSPSSSSSGDDSDPQPPRPTNNPIQSSSEDGQQSTPKPKHQPPAKNQQVGSDLSSGEDSDSDSPPPLEKSTSSRRPDPSIKPISSKPMAESPKSKKLSTPAPKSGQNPSNAPDAVEPESTITKRKLFERFWSEGDEIKILEGVAEYHRKKGTNPASVVDLDSLHEFMKSFLGSEFGKNQLGDKIRRLKKRFRTLHAKNNGNPKITKPHERAKYELSKKIWGKNKSLIDEAEDDGDEDEDAGNSSEHETVKQSSGKSRKRKDPVDNGVLNDGSNRTKEVDDCSYEYIREAFGQMKNDIFSDMFLEQGLKLAEPKKAKKLDQEFRELSMLEAKSNLEYISIVRDITSHALEALQKSG >DRNTG_12152.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11955568:11957020:1 gene:DRNTG_12152 transcript:DRNTG_12152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPKRAPPKKPPPKPPSPSPSSSSSGDDSDPQPPRPTNNPIQSSSEDGQQSTPKPKHQPPAKNQQVGSDLSSGEDSDSDSPPPLEKSTSSRRPDPSIKPISSKPMAESPKSKKLSTPAPKSGQNPSNAPDAVEPESTITKRKLFERFWSEGDEIKILEGVAEYHRKKGTNPASVVDLDSLHEFMKSFLGSEFGKNQLGDKIRRLKKRFRTLHAKNNGNPKITKPHERAKYELSKKIWGKNKSLIDEAEDDGDEDEDAGNSSEHETVKQSSGKSRKRKDPVDNGVLNDGSNRTKEVDDCSYEYIREAFGQMKNDIFSDMFLEQGLKLAEPKKAKKLDQEFRELSMLEAKSNLEYISIVRDITSHALEALQKSG >DRNTG_24631.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31169802:31175501:1 gene:DRNTG_24631 transcript:DRNTG_24631.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSMFRFIASCLQIAVISLIGGSISMLVMLVFSGFILPQSSMPVWLQWGFWISPCSYAELGLTLNEFLAPRWQKVSAKNMTLGDQVLSSRGLHFKSYFYWVSVGALLGYALLFNVGFTLVLSFKRPVGVSRAIISREKLSQMNGGDDLHDGINKSSLESARVMKRTGKMMVLPFQPLTITFQDIGYHVDTPPGMKEQGYKEKNLKLLHNITGAFKPGVLSVLMGISGAGKTTLLDVLSGRKTGGVIEGDIRIGGYQKVQETFARISGYCEQTDVHSSQITVEESVVYSAWLRLPQEIDSQTRSKFVNEVLETIELDSIKDALVGVPGVNGLSTEQRKRLTIAVELVANPSILFMDEPTSGLDARAAAIVMRAVKNVAETGRTVVCTIHQPSIDIFEAFDELMLIKKGGKLIYSGPLGRQSCKVIEYFERIPEVPKIKQKYNPATWMLEVTSTSLEQELGIDFAEVYVNSTLYRDNKELVKQLSLPPPGSRDLHFPTRFAQNSWVQFKACLWKQYLSYWRSPSYNLARLIFMFITSVTFALLFWNHGKTLNNQQNLFNMLGSMYSVVIFTGINNCGSVLPFVIARRNVFYRENFARMYSPWTYSLAQVVIEIPCVFILTLTFMMIAYPAIGYYWTAYKFLWFFYTMFCSLLIFVYLGMLLVSLTPNIQSTLVLSSFFYQNFHLFSGFILPGPHIPKWWIWFYYIMPMSWTLNALFASQYGDIQKEITVSGETKSVAIFLQDYFGFHYDRLGLVALILLVFPFSFASLFAYCIRQT >DRNTG_24631.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31174770:31175501:1 gene:DRNTG_24631 transcript:DRNTG_24631.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMIAYPAIGYYWTAYKFLWFFYTMFCSLLIFVYLGMLLVSLTPNIQSTLVLSSFFYQNFHLFSGFILPGPHIPKWWIWFYYIMPMSWTLNALFASQYGDIQKEITVSGETKSVAIFLQDYFGFHYDRLGLVALILLVFPFSFASLFAYCIRQT >DRNTG_24631.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31170081:31175501:1 gene:DRNTG_24631 transcript:DRNTG_24631.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALSMFRFIASCLQIAVISLIGGSISMLVMLVFSGFILPQSSMPVWLQWGFWISPCSYAELGLTLNEFLAPRWQKVSAKNMTLGDQVLSSRGLHFKSYFYWVSVGALLGYALLFNVGFTLVLSFKRPVGVSRAIISREKLSQMNGGDDLHDGINKSSLESARVMKRTGKMMVLPFQPLTITFQDIGYHVDTPPGMKEQGYKEKNLKLLHNITGAFKPGVLSVLMGISGAGKTTLLDVLSGRKTGGVIEGDIRIGGYQKVQETFARISGYCEQTDVHSSQITVEESVVYSAWLRLPQEIDSQTRSKFVNEVLETIELDSIKDALVGVPGVNGLSTEQRKRLTIAVELVANPSILFMDEPTSGLDARAAAIVMRAVKNVAETGRTVVCTIHQPSIDIFEAFDELMLIKKGGKLIYSGPLGRQSCKVIEYFERIPEVPKIKQKYNPATWMLEVTSTSLEQELGIDFAEVYVNSTLYRDNKELVKQLSLPPPGSRDLHFPTRFAQNSWVQFKACLWKQYLSYWRSPSYNLARLIFMFITSVTFALLFWNHGKTLNNQQNLFNMLGSMYSVVIFTGINNCGSVLPFVIARRNVFYRENFARMYSPWTYSLAQVVIEIPCVFILTLTFMMIAYPAIGYYWTAYKFLWFFYTMFCSLLIFVYLGMLLVSLTPNIQSTLVLSSFFYQNFHLFSGFILPGPHIPKWWIWFYYIMPMSWTLNALFASQYGDIQKEITVSGETKSVAIFLQDYFGFHYDRLGLVALILLVFPFSFASLFAYCIRQT >DRNTG_24631.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31170471:31175501:1 gene:DRNTG_24631 transcript:DRNTG_24631.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALSMFRFIASCLQIAVISLIGGSISMLVMLVFSGFILPQSSMPVWLQWGFWISPCSYAELGLTLNEFLAPRWQKVSAKNMTLGDQVLSSRGLHFKSYFYWVSVGALLGYALLFNVGFTLVLSFKRPVGVSRAIISREKLSQMNGGDDLHDGINKSSLESARVMKRTGKMMVLPFQPLTITFQDIGYHVDTPPGMKEQGYKEKNLKLLHNITGAFKPGVLSVLMGISGAGKTTLLDVLSGRKTGGVIEGDIRIGGYQKVQETFARISGYCEQTDVHSSQITVEESVVYSAWLRLPQEIDSQTRSKFVNEVLETIELDSIKDALVGVPGVNGLSTEQRKRLTIAVELVANPSILFMDEPTSGLDARAAAIVMRAVKNVAETGRTVVCTIHQPSIDIFEAFDELMLIKKGGKLIYSGPLGRQSCKVIEYFERIPEVPKIKQKYNPATWMLEVTSTSLEQELGIDFAEVYVNSTLYRDNKELVKQLSLPPPGSRDLHFPTRFAQNSWVQFKACLWKQYLSYWRSPSYNLARLIFMFITSVTFALLFWNHGKTLNNQQNLFNMLGSMYSVVIFTGINNCGSVLPFVIARRNVFYRENFARMYSPWTYSLAQVVIEIPCVFILTLTFMMIAYPAIGYYWTAYKFLWFFYTMFCSLLIFVYLGMLLVSLTPNIQSTLVLSSFFYQNFHLFSGFILPGPHIPKWWIWFYYIMPMSWTLNALFASQYGDIQKEITVSGETKSVAIFLQDYFGFHYDRLGLVALILLVFPFSFASLFAYCIRQT >DRNTG_24631.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31167952:31175501:1 gene:DRNTG_24631 transcript:DRNTG_24631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSMFRFIASCLQIAVISLIGGSISMLVMLVFSGFILPQSSMPVWLQWGFWISPCSYAELGLTLNEFLAPRWQKVSAKNMTLGDQVLSSRGLHFKSYFYWVSVGALLGYALLFNVGFTLVLSFKRPVGVSRAIISREKLSQMNGGDDLHDGINKSSLESARVMKRTGKMMVLPFQPLTITFQDIGYHVDTPPGMKEQGYKEKNLKLLHNITGAFKPGVLSVLMGISGAGKTTLLDVLSGRKTGGVIEGDIRIGGYQKVQETFARISGYCEQTDVHSSQITVEESVVYSAWLRLPQEIDSQTRSKFVNEVLETIELDSIKDALVGVPGVNGLSTEQRKRLTIAVELVANPSILFMDEPTSGLDARAAAIVMRAVKNVAETGRTVVCTIHQPSIDIFEAFDELMLIKKGGKLIYSGPLGRQSCKVIEYFERIPEVPKIKQKYNPATWMLEVTSTSLEQELGIDFAEVYVNSTLYRDNKELVKQLSLPPPGSRDLHFPTRFAQNSWVQFKACLWKQYLSYWRSPSYNLARLIFMFITSVTFALLFWNHGKTLNNQQNLFNMLGSMYSVVIFTGINNCGSVLPFVIARRNVFYRENFARMYSPWTYSLAQVVIEIPCVFILTLTFMMIAYPAIGYYWTAYKFLWFFYTMFCSLLIFVYLGMLLVSLTPNIQSTLVLSSFFYQNFHLFSGFILPGPHIPKWWIWFYYIMPMSWTLNALFASQYGDIQKEITVSGETKSVAIFLQDYFGFHYDRLGLVALILLVFPFSFASLFAYCIRQT >DRNTG_24631.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31167952:31168402:1 gene:DRNTG_24631 transcript:DRNTG_24631.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKDHDHELHWSAVESLPTSNRLRTSQFDGGEDKVDHGEHNSKRMVDVTKLGAVEKRLLIDSLIKHIENDNLRLLQRQKHRLDRYEYASFLFFSFTCMLRDLELV >DRNTG_04002.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25821913:25825970:-1 gene:DRNTG_04002 transcript:DRNTG_04002.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT1-like protein MAG2L [Source:Projected from Arabidopsis thaliana (AT1G08400) UniProtKB/Swiss-Prot;Acc:Q8GXP1] MALRQNSPADLLGFLDDHLKTREDLLKLPSLVARIDRECLDLEAGLLSLEKNLSCALVSWISRSDEVREVLRQIDLSRDDNVEGVRMRRARKMIDKELPLLVREVDRIKAVRAYAETTLQLELLVGDLEDAVISIMSAAVSGLKHEKLLLAINATKDIEKVLVDITHSRPRWLHLLMAVDSRVEKALAFLRPQALSDHRALLASLGWPPSLSTANMEKDNYEDIPNPLILMQGEKKEKYSRSFLALCALQHLQAQRDKRKNDLAKYKKEYNSVNIDKQLCSHSGLWTIDELVSPIASRIEYHFFKWSDEPKFIFALVYKVTRDFLEGVDNVLQPLIDEARLVGYSAKESWVSAMVKMLCQYLEREVFPVLAKPEDCRDSNREVTSSWIHLVDIMISFDKRMQILSSSGTTFMGVFAGFEGFSQSLPVMSIFSEHSNWLQIWAGIELKYARDKLRSELEDERSWAIHIAKQASLSYEDSAESFLLSTREDHKAPSIAESFVQLAWAMIERGRSLPGTSVRTVFVRSSVIIFFYDFFPHLVQRCHEIESTASIQEDDMVLRVAGLMNAARYIECVMRDWSEDLIFLEMSAAEDVITEVQNADQYLHNCFFRDEILYLVKLETDYLEEIVSALLLDFNALCWDYIQNSGQWERVDDKYKDKVSDEENFSVSPGFIEALDMLKNRMTILKINLNVKYFLDLWRSVAEGLDHFIFNSIPLSQVKFSSYGVYQFKADIDALLTVFRPFCARPEAFFPCTMDALTLLTMKRRDVDLLLKVLFKGEKNIDKCLQSRGLFHVSASQVENILWNIKVECTTDK >DRNTG_04002.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25820495:25825970:-1 gene:DRNTG_04002 transcript:DRNTG_04002.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT1-like protein MAG2L [Source:Projected from Arabidopsis thaliana (AT1G08400) UniProtKB/Swiss-Prot;Acc:Q8GXP1] MALRQNSPADLLGFLDDHLKTREDLLKLPSLVARIDRECLDLEAGLLSLEKNLSCALVSWISRSDEVREVLRQIDLSRDDNVEGVRMRRARKMIDKELPLLVREVDRIKAVRAYAETTLQLELLVGDLEDAVISIMSAAVSGLKHEKLLLAINATKDIEKVLVDITHSRPRWLHLLMAVDSRVEKALAFLRPQALSDHRALLASLGWPPSLSTANMEKDNYEDIPNPLILMQGEKKEKYSRSFLALCALQHLQAQRDKRKNDLAKYKKEYNSVNIDKQLCSHSGLWTIDELVSPIASRIEYHFFKWSDEPKFIFALVYKVTRDFLEGVDNVLQPLIDEARLVGYSAKESWVSAMVKMLCQYLEREVFPVLAKPEDCRDSNREVTSSWIHLVDIMISFDKRMQILSSSGTTFMGVFAGFEGFSQSLPVMSIFSEHSNWLQIWAGIELKYARDKLRSELEDERSWAIHIAKQASLSYEDSAESFLLSTREDHKAPSIAESFVQLAWAMIERGRSLPGTSVRTVFVRSSVIIFFYDFFPHLVQRCHEIESTASIQEDDMVLRVAGLMNAARYIECVMRDWSEDLIFLEMSAAEDVITEVQNADQYLHNCFFRDEILYLVKLETDYLEEIVSALLLDFNALCWDYIQNSGQWERVDDKYKDKVSDEENFSVSPGFIEALDMLKNRMTILKINLNVKYFLDLWRSVAEGLDHFIFNSIPLSQVKFSSYGVYQFKADIDALLTVFRPFCARPEAFFPCTMDALTLLTMKRRDVDLLLKVLFKGEKNIDKCLQSRGLFHVSASQVENILWNIKVECTTDK >DRNTG_04002.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25820495:25824437:-1 gene:DRNTG_04002 transcript:DRNTG_04002.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT1-like protein MAG2L [Source:Projected from Arabidopsis thaliana (AT1G08400) UniProtKB/Swiss-Prot;Acc:Q8GXP1] MSAAVSGLKHEKLLLAINATKDIEKVLVDITHSRPRWLHLLMAVDSRVEKALAFLRPQALSDHRALLASLGWPPSLSTANMEKDNYEDIPNPLILMQGEKKEKYSRSFLALCALQHLQAQRDKRKNDLAKYKKEYNSVNIDKQLCSHSGLWTIDELVSPIASRIEYHFFKWSDEPKFIFALVYKVTRDFLEGVDNVLQPLIDEARLVGYSAKESWVSAMVKMLCQYLEREVFPVLAKPEDCRDSNREVTSSWIHLVDIMISFDKRMQILSSSGTTFMGVFAGFEGFSQSLPVMSIFSEHSNWLQIWAGIELKYARDKLRSELEDERSWAIHIAKQASLSYEDSAESFLLSTREDHKAPSIAESFVQLAWAMIERGRSLPGTSVRTVFVRSSVIIFFYDFFPHLVQRCHEIESTASIQEDDMVLRVAGLMNAARYIECVMRDWSEDLIFLEMSAAEDVITEVQNADQYLHNCFFRDEILYLVKLETDYLEEIVSALLLDFNALCWDYIQNSGQWERVDDKYKDKVSDEENFSVSPGFIEALDMLKNRMTILKINLNVKYFLDLWRSVAEGLDHFIFNSIPLSQVKFSSYGVYQFKADIDALLTVFRPFCARPEAFFPCTMDALTLLTMKRRDVDLLLKVLFKGEKNIDKCLQSRGLFHVSASQVENILWNIKVECTTDK >DRNTG_33509.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002012.1:47806:49082:1 gene:DRNTG_33509 transcript:DRNTG_33509.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAALSTFRKLYGRIEEDLQQNQRITVVIENNYNTYSFGGKKKLVLSTTSWIGGKNDFLGVAYLTVGGLCLFLALVFILLYLFKPRTLGDPSYLSWNRNAPGYSN >DRNTG_33509.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002012.1:44207:49082:1 gene:DRNTG_33509 transcript:DRNTG_33509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSNGASSSASDGGGRDSNPPKRNSKKPKYSRFTQQELPACKPILTPGIVILTFAVIGVIFFIPIGLASLSASERVVEIVDRYDLDCIPKELRNDKLGFIQSGQTNKTCTRSVVVPRYMKSPVFIYYELDNFYQNHRRYVKSRSDKQLRSKKYEQDTSTCAPESTSADGSPIVPCGLIAWSLFNDTYSFSLNNEPLVVNKKNIAWGSDKYRKFGSDVYPKNFQSGQLIGGAKLNASIPLSEQEDLIVWMRTAALSTFRKLYGRIEEDLQQNQRITVVIENNYNTYSFGGKKKLVLSTTSWIGGKNDFLGVAYLTVGGLCLFLALVFILLYLFKPRTLGDPSYLSWNRNAPGYSN >DRNTG_34983.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002222.1:35236:36271:1 gene:DRNTG_34983 transcript:DRNTG_34983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPLNLPDYPTKNQFVSYLEAYANKFDIRPEFQRTVVNTEYDHGLGLWRVKAVIREGNYKEEEEEVEYVCRWLVVATGENAEAVLPEIEGMKDFQGLSMHTSLYKSGEMFRDKKVLVIGCGNSGMEVCLDLCNHNALPSLVVRDSVHILPREMLGQSTFGLSMWLLRWLPMSIVDCLLLVLSHFIIGDTSVFGIPRPRLGPLELKSQSGKTPVLDIGTLAQIKSGKI >DRNTG_34983.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002222.1:35236:35720:1 gene:DRNTG_34983 transcript:DRNTG_34983.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPLNLPDYPTKNQFVSYLEAYANKFDIRPEFQRTVVNTEYDHGLGLWRVKAVIREGNYKEEEEEVEYVCRWLVVATGENAEAVLPEIEGMKDFQGLSMHTSLYKSGEMFRDKKVLVIGCGNSGMEVCLDLCNHNALPSLVVRDSV >DRNTG_18695.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16498736:16499861:-1 gene:DRNTG_18695 transcript:DRNTG_18695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEEMNTGDEYARVKWMEISITKSGVLGNAPLGFGKYANVDHNAPIFGGDLAKVQAKT >DRNTG_04091.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5396854:5417593:1 gene:DRNTG_04091 transcript:DRNTG_04091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDELSQLSDSMIQAAAVLADEDVDETTPSKRTATFLNVVALGNVGAGKSAILNSLIGHPVLPTGENGATRAPISIDLQRDNSLDNKSIVLQIDNKSQQVSASALRHSLQDRLSKGAGVHSSGKSRADEIYMKLRTSTAPPLKLIDLPGLDQRAMDESMISDYAARNDAILLVIIPAAQSPEISSSRALKLAKEFDSEGTRTIGVISKIDQASGDQKTLAAVQALLSDKGPRLASDVTWVALIGQSVSIASAQAGSVGSDNSLETAWRAESESLRSILTGASPSKLGRVALVDTIAKQIRNRIKVRLPNLLSGLQGKSQMVADELVRLGAQMVDSAEGTRAIALELCREFEDKFLQHISSGEGGGCKVVLSFEGNFPNRIKQLPLDKHFDIKNVKRIVLEADGYQPYLISPEKGVRSLIKGVLELAKEPSRLCVDEVHRVLIDIVSAAANATPGLGRYPPFKREVIAIASAALDDFRNEAKKMVVALVDMERAYVPPQHFIRLVQKSMDRQRREEEIKNRSSRKGNEAEQSTLNRATSPQAGLQQPGGSLKSMKEQSTDKDAKEGSALQIAGPSGEITAGFLLKKSAKSNSWSKRWFVLNEKSGKLGYTRKQEERQFRGVITLEECNVEEPLDVEETKSSKDSKKSSGSESGKGPSLVFKITSKVPYKTVMKAHSAVVLKAENMADKTEWVNKIRNITQPSNGISGKGKGTSASEAGTVIRQSLSDGSLDTMTRRPADPEEELRWMSQEVRGYVEAAMNSLAANVPKAVVLFQVEKAKEDMLNQLYSSVSAQSASRIEELLLEDQNVKRKRERAQKQSSLLSKLTRQLGIHDNRAAAASWSNGGNGEASTRTSAPSGDDWRSAFDAAANGPTNFSRSFGDSRSNSVNGHSRRYSDPTQNGDENSGSNSGSRRTPNRLPPPPPSQGGSSSMYKY >DRNTG_04091.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5401238:5417593:1 gene:DRNTG_04091 transcript:DRNTG_04091.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALVDMERAYVPPQHFIRLVQKSMDRQRREEEIKNRSSRKGNEAEQSTLNRATSPQAGLQQPGGSLKSMKEQSTDKDAKEGSALQIAGPSGEITAGFLLKKSAKSNSWSKRWFVLNEKSGKLGYTRKQEERQFRGVITLEECNVEEPLDVEETKSSKDSKKSSGSESGKGPSLVFKITSKVPYKTVMKAHSAVVLKAENMADKTEWVNKIRNITQPSNGISGKGKGTSASEAGTVIRQSLSDGSLDTMTRRPADPEEELRWMSQEVRGYVEAAMNSLAANVPKAVVLFQVEKAKEDMLNQLYSSVSAQSASRIEELLLEDQNVKRKRERAQKQSSLLSKLTRQLGIHDNRAAAASWSNGGNGEASTRTSAPSGDDWRSAFDAAANGPTNFSRSFGDSRSNSVNGHSRRYSDPTQNGDENSGSNSGSRRTPNRLPPPPPSQGGSSSMYKY >DRNTG_04091.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5398813:5417593:1 gene:DRNTG_04091 transcript:DRNTG_04091.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVADELVRLGAQMVDSAEGTRAIALELCREFEDKFLQHISSGEGGGCKVVLSFEGNFPNRIKQLPLDKHFDIKNVKRIVLEADGYQPYLISPEKGVRSLIKGVLELAKEPSRLCVDEVHRVLIDIVSAAANATPGLGRYPPFKREVIAIASAALDDFRNEAKKMVVALVDMERAYVPPQHFIRLVQKSMDRQRREEEIKNRSSRKGNEAEQSTLNRATSPQAGLQQPGGSLKSMKEQSTDKDAKEGSALQIAGPSGEITAGFLLKKSAKSNSWSKRWFVLNEKSGKLGYTRKQEERQFRGVITLEECNVEEPLDVEETKSSKDSKKSSGSESGKGPSLVFKITSKVPYKTVMKAHSAVVLKAENMADKTEWVNKIRNITQPSNGISGKGKGTSASEAGTVIRQSLSDGSLDTMTRRPADPEEELRWMSQEVRGYVEAAMNSLAANVPKAVVLFQVEKAKEDMLNQLYSSVSAQSASRIEELLLEDQNVKRKRERAQKQSSLLSKLTRQLGIHDNRAAAASWSNGGNGEASTRTSAPSGDDWRSAFDAAANGPTNFSRSFGDSRSNSVNGHSRRYSDPTQNGDENSGSNSGSRRTPNRLPPPPPSQGGSSSMYKY >DRNTG_04091.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5400050:5406632:1 gene:DRNTG_04091 transcript:DRNTG_04091.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALVDMERAYVPPQHFIRLVQKSMDRQRREEEIKNRSSRKGNEAEQSTLNRATSPQAGLQQPGGSLKSMKEQSTDKDAKEGSALQIAGPSGEITAGFLLKKSAKSNSWSKRWFVLNEKSGKLGYTRKQEERQFRGVITLE >DRNTG_04091.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5412485:5417593:1 gene:DRNTG_04091 transcript:DRNTG_04091.5 gene_biotype:protein_coding transcript_biotype:protein_coding RAPGIGDEGDLIIVLSLKNNDSSRESTRWDNHPLLIFGETFAELQNSSAQSASRIEELLLEDQNVKRKRERAQKQSSLLSKLTRQLGIHDNRAAAASWSNGGNGEASTRTSAPSGDDWRSAFDAAANGPTNFSRSFGDSRSNSVNGHSRRYSDPTQNGDENSGSNSGSRRTPNRLPPPPPSQGGSSSMYKY >DRNTG_04091.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5417136:5417593:1 gene:DRNTG_04091 transcript:DRNTG_04091.9 gene_biotype:protein_coding transcript_biotype:protein_coding LNEHTGFAEASTRTSAPSGDDWRSAFDAAANGPTNFSRSFGDSRSNSVNGHSRRYSDPTQNGDENSGSNSGSRRTPNRLPPPPPSQGGSSSMYKY >DRNTG_04091.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5404421:5408098:1 gene:DRNTG_04091 transcript:DRNTG_04091.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQRREEEIKNRSSRKGNEAEQSTLNRATSPQAGLQQPGGSLKSMKEQSTDKDAKEGSALQIAGPSGEITAGFLLKKSAKSNSWSKRWFVLNEKSGKLGYTRKQEERQFRGVITLEECNVEEPLDVEETKSSKDSKKSSGSESGKGPSLVFKITSKVPYKTVMKAHSAVVLKAENMADKTEWVNKIRNITQPSNGISGKGKGTSASEAGTVIRQSLSDGSLVCSSFFA >DRNTG_04091.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5404709:5408098:1 gene:DRNTG_04091 transcript:DRNTG_04091.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQSTDKDAKEGSALQIAGPSGEITAGFLLKKSAKSNSWSKRWFVLNEKSGKLGYTRKQEERQFRGVITLEECNVEEPLDVEETKSSKDSKKSSGSESGKGPSLVFKITSKVPYKTVMKAHSAVVLKAENMADKTEWVNKIRNITQPSNGISGKGKGTSASEAGTVIRQSLSDGSLVCSSFFA >DRNTG_26745.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7927045:7932604:-1 gene:DRNTG_26745 transcript:DRNTG_26745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATHSDLDRQIEHLRECKYLPEAEVKALCEQARAILTEEWNVQPVRCPVTVCGDIHGQFHDLIELFRIGGDAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRERITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALVENQVFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQNFNHTNGLTLVARAHQLVMEGFNWCQDRNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQVEPDTTRKTPDYFL >DRNTG_21965.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2145188:2145573:-1 gene:DRNTG_21965 transcript:DRNTG_21965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVNRVPKIDIDSKEGEILENVHGEVEFKRVDFAYPSRLENLILYEFSLNVPAGMTVALVGGSGSGKSTVIALLE >DRNTG_29699.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3762758:3770704:-1 gene:DRNTG_29699 transcript:DRNTG_29699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRQHIMQTKELGTCPVRQIGDCSFLYMRISNVYIVIVVSSNANVACAFKFVVEAVQLFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQGGVRSPFSSKPSEKPVPNATLQVTGAVGWRREGLAYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKESELKSRPTKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEVNVKVKSVFGGKMFALGVVVKVPVSKQTAKTNFQVTSGRAKYNAATDCIIWKIRKFPGQTEATMSAEVELITSMTEKKPWTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEIRC >DRNTG_24005.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001285.1:50620:53005:-1 gene:DRNTG_24005 transcript:DRNTG_24005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSDFSRLAESMAEFVEARTGLEVGPIKRPPPLSTKQILLLIAALLASAPFVIKRVLAGDTILHDRKLWMSLAVFVYFFSVSGTMHNIIRKMPMFISDRNDPGKPVFFYQGSGMQLGAEGFAIGFLYTAVGVALAATTHGLVRLKNVKAQRGYMLVAMIVAYWAVSKVIYLDNWKTGYSIHAYWPTSWR >DRNTG_12591.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15781275:15803732:-1 gene:DRNTG_12591 transcript:DRNTG_12591.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWPWPRLRKSFTLSLTKSPTRWNRLSRVRHQRWTQSLKNTNKLTGVSFVDVVAVTTVEKIVESVAVAMAVADSTASKQDTIPQQEESCKGMSAIDVVVVPASKPDTIPQQQQPCKDVSAVDAVAVVPASKEDAASVEHRQGSTTVPHEDPDRATREMIKANQKWDETGLKVFVLKKNKWVGQLRLNKYEQELMRIFLNCRMDSTVVWKNDAVSTSRDKLYTLLEGKEMVTDDVMDAFGDAHETTMAMIGDAVRNLHEVQIVILPIIMNGHFHVVILDNDKQEYRYYSSCPGYEKDALDMRNLFDTCVDMEFGESATVKLETLDTHESTLVRLLRFRFDSPTNIIHKKQMRSRRRRMRTTRSGCPWEGFFLAIYGVKLTSRIRQWHIVCLDNKIDYSSFEDGVYLTNPMEINFIFCWRNRFIYF >DRNTG_16587.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:139454:141281:1 gene:DRNTG_16587 transcript:DRNTG_16587.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELASSLTSIIIAVLFLILFSPECATSSSPAGNFTPSSEFMSNVRSTIDEVQNLISLLSPFVSTVGGGDLRLSSAVSDCLDLLDLSSDQLSLSLSPSGGTGNSVSDLRAWLSAALGNQDTCHESLSGTDGIVATLIFTGLDTVTSLVASSLEEISSSSTIAGRRKLLRPNTTSFPAWLKAGDRRILQAASPKPDVVVAQDGSGNYTTVTEAVEAAPSESWKRYVIYVKKGVYRENVEIKKKKWNLMMIGDGVGLTLISGNRSFVDGWTTFRSATFAVAGKGFIARDLSIENTAGPEKHQAVALRSDSDLSVYYHCSIHGYQDTLYAHSLRQFYRECLITGTVDAIFGNAAAVFQNCQILARRPLSNQKNSLTAQGRKDPNQNTGFSFQFCNVSSTSDLIANETFTYLGRPWKEYSRTVFMQSYLGNAIRPEGWLAWQGEYALDTLYYGEYMNFGPGSGLGNRVKWSGYHAITDLAQAINFTVSQFIDGNLWLPSTGVKYTAGLTF >DRNTG_15495.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20886021:20891387:-1 gene:DRNTG_15495 transcript:DRNTG_15495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCQIELEIRRPTPSLVARLMGLDSLPSSKVANKPRDRMESCFSHVSSVGYQGKRATCEEHLFQKSIDENQDFKDVFEIVEMKKSEESKHQSVQKVISSSQRSETDVAFIRQKFMDAKRLSTNESLRQSKEFDDALEVLDSNKDLFLKFLQEPNSLFSKHLQGLKCASPPPHAGHITILKSANGTKHRNIESTS >DRNTG_27059.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2722635:2724457:-1 gene:DRNTG_27059 transcript:DRNTG_27059.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATLSPPPPQLRSPSRPTPPAHDLEFYSFPDATGTRACPTPPAPFLPDRFAFLGETCIDLTSDQISISGFSCKT >DRNTG_27971.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3397656:3401654:-1 gene:DRNTG_27971 transcript:DRNTG_27971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMASLGYSSHGVSSYLAGVAGNLFAFVLFVSPFPTFRRIIGNKSTEQFSGLPYIYSLLNCLIVLWYGLPWVTPGGVLLVATVNSVGAVFQLTYVIIFIIYSENSRKLKMSSFLIAVFSTLALVIYISLEFFNGSARRNFVGYLSIASLISMFASPLFIINLVIKTKSVEFMPFYLSLATFLMSISFFTYGMLLHDFFIYLPNGIGTILGIVQLILYMYYSSKSMDLSRLPLIASYR >DRNTG_27971.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3397656:3401654:-1 gene:DRNTG_27971 transcript:DRNTG_27971.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKMSSFLIAVFSTLALVIYISLEFFNGSARRNFVGYLSIASLISMFASPLFIINLVIKTKSVEFMPFYLSLATFLMSISFFTYGMLLHDFFIYLPNGIGTILGIVQLILYMYYSSKSMDLSRLPLIASYR >DRNTG_27971.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3397656:3401654:-1 gene:DRNTG_27971 transcript:DRNTG_27971.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKMSSFLIAVFSTLALVIYISLEFFNGSARRNFVGYLSIASLISMFASPLFIINLVIKTKSVEFMPFYLSLATFLMSISFFTYGMLLHDFFIYLPNGIGTILGIVQLILYMYYSSKSMDLSRLPLIASYR >DRNTG_15044.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5686684:5688582:1 gene:DRNTG_15044 transcript:DRNTG_15044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITTASLLPSPSLSSKPKPRLLSSLAASSSNSSPTLNLSRVAAGAGSQTLLKKRGGLFVVRAARGKFERKKPHVNIGTIGHVDHGKTTLTAALTMALSSMGNSAPKRYDEIDAAPEERARGITINTATVEYETESRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPNMVVFLNKQDQVDDEELLQLVDLEVRELLSSYEFPGDDIPIISGSALLALEALMANPSIKRGEDPWVDKIYELMDAVDSYIPIPQRQTDLPFLLAVEDVFSITGRGTVATGRVERGTVKVGETLEIVGLRETRNTIVTGVEMFQKILDEAIAGDNVGLLLRGMQKADIQRGMVLAKPGTITPHTKFTAVVYVLKKEEGGRHSPFFAGYRPQFYMRTTDVTGKVTSIMNDKDEESKMVMPGDRVKMVVELIVPIACEQGMRFAIREGGKTVGAGVIQSIIE >DRNTG_23907.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2007000:2012252:1 gene:DRNTG_23907 transcript:DRNTG_23907.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPLDYESINENVKKTQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVIALCQAPFLLDDPNVGLLFPADAIARAKHYLSLTSGGLGAYSDSRGLIGIRKEIAEFIERRDGYPSDPELVFLTDGASKGVMQMLNTIIGSNKDGILVPVPQYPLYSAAITLFGGSLVPYFLEEEANWGLDVNNLRQAIAGARSRGITVKAMVIINPGNPTGQCLSRTNIQELLQFCYQENLALFADEVYQQNIYQDERPFISAKKVLFDMGPPISKELQLISFHTVSKGYWGECGQRGGYFEMTNLSPQTVDEIYKVSSISLSMNVSGQIFLGLMVNPPKPGDISYLKFSMESKAVLESLRRRAQIMTDGFNSCRNVVCNFTEGAMYSFPQIRLPPRAIEAAKKAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMASFKKFNDGFMEQYEDYRGYSRM >DRNTG_23907.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2007000:2012252:1 gene:DRNTG_23907 transcript:DRNTG_23907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPLDYESINENVKKTQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVIALCQAPFLLDDPNVGLLFPADAIARAKHYLSLTSGGLGAYSDSRGLIGIRKEIAEFIERRDGYPSDPELVFLTDGASKGVMQMLNTIIGSNKDGILVPVPQYPLYSAAITLFGGSLVPYFLEEEANWGLDVNNLRQAIAGARSRGITVKAMVIINPGNPTGQCLSRTNIQELLQFCYQENLALFADEVYQQNIYQDERPFISAKKVLFDMGPPISKELQLISFHTVSKGYWGECGQRGGYFEMTNLSPQTVDEIYKVSSISLSMNVSGQIFLGLMVNPPKPGDISYLKFSMESKAVLESLRRRAQIMTDGFNSCRNVVCNFTEGAMYSFPQIRLPPRAIEAAKKAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMASFKKFNDGFMEQYEDYRGYSRM >DRNTG_23907.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2007000:2012252:1 gene:DRNTG_23907 transcript:DRNTG_23907.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPLDYESINENVKKTQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVIALCQAPFLLDDPNVGLLFPADAIARAKHYLSLTSGGLGAYSDSRGLIGIRKEIAEFIERRDGYPSDPELVFLTDGASKGVMQMLNTIIGSNKDGILVPVPQYPLYSAAITLFGGSLVPYFLEEEANWGLDVNNLRQAIAGARSRGITVKAMVIINPGNPTGQCLSRTNIQELLQFCYQENLALFADEVYQQNIYQDERPFISAKKVLFDMGPPISKELQLISFHTVSKGYWGECGQRGGYFEMTNLSPQTVDEIYKVSSISLSMNVSGQIFLGLMVNPPKPGDISYLKFSMESKAVLESLRRRAQIMTDGFNSCRNVVCNFTEGAMYSFPQIRLPPRAIEAAKKAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMASFKKFNDGFMEQYEDYRGYSRM >DRNTG_01023.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18146758:18148038:-1 gene:DRNTG_01023 transcript:DRNTG_01023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRMVMEGLGVDKYYESNTESIDYLLRMMKEGNEARYSVAFFALPKGGHLVQAPEEMVGEEHPLLFKPFDVIKYLNYISSDGGKPGKSALKDYCGV >DRNTG_02975.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16191000:16195758:-1 gene:DRNTG_02975 transcript:DRNTG_02975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIEMFDQFKKTEGSEERTVSSVVEESMGIDRWQIEDINKEKNEVYDLDDDINNDQTPEEEKDIPRSVLCLWVF >DRNTG_07741.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2634019:2640002:1 gene:DRNTG_07741 transcript:DRNTG_07741.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVSRAVFATSFLPRCLPYPSYHQLAAGSLRPRTAIRCSLSAAAPSGSREVPWGCEIESLESAVGLQQWLSDSGLPPQKMSIQRVDVGERGLVALKNIRKGEKLLFVPPSLVITADSEWGCKETGDVLRRYSVPDWPLLATYLISEASLMNSSRWNRYISALPRQPYSLLYWTRSELDTYLVASYMRERAIERITDVTGTYNDLRARIFSKHPTLFPVEVYNMETFLWSFGILFSRLVRLPSMDGKVALVPWADMLNHSSEVETFLDYDKSSQGIVFTTDKAYQPGEQVFISYGKKSNGELLLSYGFVPKEGTNPNDSVDLLLSLKKSDKCYKEKLLALQKYGLSSPQRFPLQITGWPLEMMAYAYLVVSPPDMIPKLNEMAAAASDSNKFKTELKYPDLEEQTLQFILDSCESSISKYSKFLEGGTPLDPITTNMKQANRKLLLKQLAMDLCTSEQRILFRTQYILRRRLRDMRSGELRALTILNGFRKLFK >DRNTG_19491.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:12262367:12263724:-1 gene:DRNTG_19491 transcript:DRNTG_19491.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTKLYSVRCVPSLYHAHRPERGDPKRLHGPNSPHA >DRNTG_13524.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22000309:22012444:-1 gene:DRNTG_13524 transcript:DRNTG_13524.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPIAMREALTLPSIGINPQFITFTHVTMESDKYICVRETSPANSVVIIDMSMPMQPLRRPITADSALMNPNSRILALKAQLPGTTQDHLQIFNIEAKTKIKSHQMPEQVVFWKWITPKMLGLVTQSSVYHWSIEGDSEPVKMFDRTANLTNNQIINYRCDPTEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALEAHAASFATFKVAGNDKESILICFASKTSNAGQISSKLHVIELGAQPGKPGFSKKQADLFFPPDFADDFPVAMQISHKYSLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTAEASTVGGFYAINRRGQVLLATVNEATLVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFSQTKYKEAAELAAESPQGILRTPETVAKFQSVPVQAGQTPPLLQYFGTLLTKGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHAFLQTKVLEVNLVTYPNVADAILANGMFSHYDRPRIAQLCEKAGLYMRALQHYSELPDIKRVIVNTHAIEPQALVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQAAKEYSEQLGVDSCIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDPEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEQCEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWEKVLQPDNEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIRSIERAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDATQFLDVIHAAEDANVYHDLVKYLLMVRQKTKEPKVDGELIYAYAKIDRLGEIEEFILMPNVANLQNVGDRLYDGELYEAAKIIFAFISNWAKLASTLVKLKQFQGAVDAARKANSSKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRVCDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVSVKVANVELYYKAVHFYLLEHPDLINDLLNVLALRVDHTRVVDIMRKAGHLHLVKPYMVAVQSNNVSAVNEALNEIYIEEEDYDRLRESVDMHDNFDQIGLAQKIEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNLYKDAMETCSQSGDRELSEELLVYFIEQGKKECFASCLFICYDLIRPDVALELAWMNNMIDFAFPYLLQFIREYTGKVDELVKDKLEAQMEVKAKEKEEKDLVSQQNMYAQLLPLALPAPPMPGMGGSTGMGGPFAVPPPMGGMGMPPMPPFGMPPMGSY >DRNTG_21926.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:19696082:19701966:-1 gene:DRNTG_21926 transcript:DRNTG_21926.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PrfB3 [Source:Projected from Arabidopsis thaliana (AT3G57190) UniProtKB/TrEMBL;Acc:A0A178VAE2] MAAREGSLRSVLLAWVVSGLQLLSLNQNTFMATFTGERGTHRMVRSSLDASVIREACSADVNVMPIFLEEADDLHVDEEDLNVSSLFLPQQQNDCKTEHAVSILHIPSGISAQSSGERSFVANKIKALARLKAKLLVAALEQGVKNVDKVRGVIANEWKHEMRRYMFRPHKMVQDLKTGIQLLDLNSVLDGNIEPLIRAHISLQRGEEIDYGLNCIKNK >DRNTG_21926.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:19696082:19701966:-1 gene:DRNTG_21926 transcript:DRNTG_21926.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PrfB3 [Source:Projected from Arabidopsis thaliana (AT3G57190) UniProtKB/TrEMBL;Acc:A0A178VAE2] MESFSARNFVPFLLLRCFPRRNLRPLCSTIQASQSMEDENEKIYKELGLFSLKKKIADAISRAEMIAPLALEFEEARRIKQEAVLQDCNLWDDIEKSTESLSALGDAIKMVNDLKDLQHKAEEVKLITQLAEMDVINHQLFKQAYEDSMRVSNFLDRYEMSKFLSGPYDKEGAWIIIEAGQEGIASEIWAEKLLVMYMIWAEKHGCKGRIIEKCAPRMGGIRSATVEFESEYFYGYLYW >DRNTG_25822.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1042217:1045500:1 gene:DRNTG_25822 transcript:DRNTG_25822.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGADQWKEIEHRMLQVNGITMHIAEKGEGQVVLLIHGFPELWYTWRHQIVALAARGYRAVAPDLRGFGDTDVPPSVSSYTILHIVGDLVALIDALGQDQVFVVGHDWGASVAWNLCLFRPDKVKALVNTSVQFSPRNPARKPLESMRFGFGDDYYICRFQELGTYSCMDWIQNQSSSEVYHR >DRNTG_05752.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3630271:3631242:1 gene:DRNTG_05752 transcript:DRNTG_05752.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYSNLIAKLFLLLQIITSLLSISSFKTVLSSLHDAAPNSTDLFREYIGAEFNGVKFSDVPINPHVDFHFIISFAIDYTTTSNSPSPTNGHFNIFWDTDNLTPSQVISIKQNHNNVKVALSLGGDSVGDGHYAYFNPSSVDSWVSNAVTSLTNIIQQYNLDGIDIDYEHFDADPDTFAECIGRLLTTLKTNGLISFASIAPYDDDQVQSHYQALWRKYGHVIDYVNFQFYAYDAGTTVSQFLGYYERQSEKYKGGKILASMATDGSGGLSPQNGFFRACTTLREQGKLPGIFIWSADDSMANGFPYEKRSQSLMAATKSQLP >DRNTG_25420.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24620939:24623297:-1 gene:DRNTG_25420 transcript:DRNTG_25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDNIGNPAGIQRPHPPGPFGNAFYGTGSGIIRGGLGAYGERFLGSSSEFMQSNISQHLSDSQYYFQVNGQYVRNKLKVILFPFLHRGHWTRITEPVGGRLSYKPPVHDINAPDLYIPFMAFGTYVVLAGFMLGVLGRFTPEALSLQFTKGLAGWFFQILLLKGLLYSLGSGEAPLLDVVAYTGYAFTGMSVAVFARIFWNYSYFFLLPWICVCMGIFLVKTMKRVLLGGTRSYEKHTNRHHYLLLFMAAAQFPWFFWLGNVGA >DRNTG_25420.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24620939:24622255:-1 gene:DRNTG_25420 transcript:DRNTG_25420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNCLFKSLCLSYGYRFTPEALSLQFTKGLAGWFFQILLLKGLLYSLGSGEAPLLDVVAYTGYAFTGMSVAVFARIFWNYSYFFLLPWICVCMGIFLVKTMKRVLLGGTRSYEKHTNRHHYLLLFMAAAQFPWFFWLGNVGA >DRNTG_01171.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29112443:29113240:1 gene:DRNTG_01171 transcript:DRNTG_01171.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTMALSSPSLVGKAVKVAPAASEIFGEGRISMRKTGGRPRPVSGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVILMGAVEGYRIAGGPLGEITDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >DRNTG_17877.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5953044:5961929:-1 gene:DRNTG_17877 transcript:DRNTG_17877.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEWEGQTLDPANVIGTRQPPPPFPSGAPNNQVSNHPPNDDIFGDLTSKLRNFRIVQYPEEKGFPQTAYNLANETGIKNINDVDVGKGAIPNETLFSYMRDGLEYAQLKANLHAHDSGSFIKCCSLDPVDIITINVDSCLSQIIDDRNKNAIDHEEDIDYDVPDKESDQSEVNVHKGPPLRGQIPSVPSVTHQVSNLDVFVSAGHSLEGSACAWSPTDSLLAIGSSNSTAQIWKISDDFSGMHTSIPGVHFLIQFDDKTYKSSGPVTKVAWNGEGELLAIGSEYGFASIWCKNGELRKTLYKDEESISSILWNSKGDLLLIGSDDSRFIIWDTITWKPKQKLRFDPELPVVIAAWRDNTSFTICSRDMRIYVWNVGDSQPIIIFTGHQGDIDGIKWDPTGTLLASYSEDGAIKIWTLKQNQSLHNLMHCERINSIRWSWTGPGTSNPNKQLLLASAADDGTVKIWDGVQGHLLYSFNGHRRCVIEMEFSPDGDYIASESDDGRLLIWKVKDGTIVKSCDGCDPWCYNLSWNREGNKIAAGCKNYKLNVIRLW >DRNTG_17877.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5953044:5959003:-1 gene:DRNTG_17877 transcript:DRNTG_17877.9 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYVWNVGDSQPIIIFTGHQGDIDGIKWDPTGTLLASYSEDGAIKIWTLKQNQSLHNLMHCERINSIRWSWTGPGTSNPNKQLLLASAADDGTVKIWDGVQGHLLYSFNGHRRCVIEMEFSPDGDYIASESDDGRLLIWKVKDGTIVKSCDGCDPWCYNLSWNREGNKIAAGCKNYKLNVIRLW >DRNTG_17877.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5952692:5961929:-1 gene:DRNTG_17877 transcript:DRNTG_17877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSIPGVHFLIQFDDKTYKSSGPVTKVAWNGEGELLAIGSEYGFASIWCKNGELRKTLYKDEESISSILWNSKGDLLLIGSDDSRFIIWDTITWKPKQKLRFDPELPVVIAAWRDNTSFTICSRDMRIYVWNVGDSQPIIIFTGHQNEN >DRNTG_17877.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5960472:5961980:-1 gene:DRNTG_17877 transcript:DRNTG_17877.12 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEWEGQTLDPANVIGTRQPPPPFPSGAPNNQVSNHPPNDDIFGDLTSKLRNFRIVQYPEEKGFPQTAYNLANETGIKNINDVDVGKGAIPNETLFSYMRDGLEYAQLKANLHAHDSGSFIKCCSLDPVDIITINVDSCLSQIIDDRNKNAIDHEEDIDYDVPDKESDQSEVNVHKGPPLRGQIPSVPSVTHQVSNLDVFVSAGHSLE >DRNTG_17877.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5953044:5961929:-1 gene:DRNTG_17877 transcript:DRNTG_17877.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTKMQLIMKKILTMMCQTKSQTNPKLMFIKGSACAWSPTDSLLAIGSSNSTAQIWKISDDFSGMHTSIPGVHFLIQFDDKTYKSSGPVTKVAWNGEGELLAIGSEYGFASIWCKNGELRKTLYKDEESISSILWNSKGDLLLIGSDDSRFIIWDTITWKPKQKLRFDPELPVVIAAWRDNTSFTICSRDMRIYVWNVGDSQPIIIFTGHQVSISK >DRNTG_17877.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5953044:5961929:-1 gene:DRNTG_17877 transcript:DRNTG_17877.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTKMQLIMKKILTMMCQTKSQTNPKLMFIKGSACAWSPTDSLLAIGSSNSTAQIWKISDDFSGMHTSIPGVHFLIQFDDKTYKSSGPVTKVAWNGEGELLAIGSEYGFASIWCKNGELRKTLYKDEESISSILWNSKGDLLLIGSDDSRFIIWDTITWKPKQKLRFDPELPVVIAAWRDNTSFTICSRDMRIYVWNVGDSQPIIIFTGHQGDIDGIKWDPTGTLLASYSEDGAIKIWTLKQNQSLHNLMHCERINSIRWSWTGPGTSNPNKQLLLASAADDGTVKIWDGVQGHLLYSFNGHRRCVIEMEFSPDGDYIASESDDGRLLIWKVKDGTIVKSCDGCDPWCYNLSWNREGNKIAAGCKNYKLNVIRLW >DRNTG_17877.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5953044:5961929:-1 gene:DRNTG_17877 transcript:DRNTG_17877.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYVWNVGDSQPIIIFTGHQGDIDGIKWDPTGTLLASYSEDGAIKIWTLKQNQSLHNLMHCERINSIRWSWTGPGTSNPNKQLLLASAADDGTVKIWDGVQGHLLYSFNGHRRCVIEMEFSPDGDYIASESDDGRLLIWKVKDGTIVKSCDGCDPWCYNLSWNREGNKIAAGCKNYKLNVIRLW >DRNTG_17877.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5953044:5954990:-1 gene:DRNTG_17877 transcript:DRNTG_17877.11 gene_biotype:protein_coding transcript_biotype:protein_coding MHCERINSIRWSWTGPGTSNPNKQLLLASAADDGTVKIWDGVQGHLLYSFNGHRRCVIEMEFSPDGDYIASESDDGRLLIWKVKDGTIVKSCDGCDPWCYNLSWNREGNKIAAGCKNYKLNVIRLW >DRNTG_17877.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5953044:5961929:-1 gene:DRNTG_17877 transcript:DRNTG_17877.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEWEGQTLDPANVIGTRQPPPPFPSGAPNNQVSNHPPNDDIFGDLTSKLRNFRIVQYPEEKGFPQTAYNLANETGIKNINDVDVGKGAIPNETLFSYMRDGLEYAQLKANLHAHDSGSFIKCCSLDPVDIITINVDSCLSQIIDDRNKNAIDHEEDIDYDVPDKESDQSEVNVHKGPPLRGQIPSVPSVTHQVSNLDVFVSAGHSLEGSACAWSPTDSLLAIGSSNSTAQIWKISDDFSGMHTSIPGVHFLIQFDDKTYKSSGPVTKVAWNGEGELLAIGSEYGFASIWCKNGELRKTLYKDEESISSILWNSKGDLLLIGSDDSRFIIWDTITWKPKQKLRFDPELPVVIAAWRDNTSFTICSRDMRIYVWNVGDSQPIIIFTGHQVSISK >DRNTG_17877.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5953044:5953342:-1 gene:DRNTG_17877 transcript:DRNTG_17877.13 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSPDGDYIASESDDGRLLIWKVKDGTIVKSCDGCDPWCYNLSWNREGNKIAAGCKNYKLNVIRLW >DRNTG_17877.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5953044:5959655:-1 gene:DRNTG_17877 transcript:DRNTG_17877.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYVWNVGDSQPIIIFTGHQGDIDGIKWDPTGTLLASYSEDGAIKIWTLKQNQSLHNLMHCERINSIRWSWTGPGTSNPNKQLLLASAADDGTVKIWDGVQGHLLYSFNGHRRCVIEMEFSPDGDYIASESDDGRLLIWKVKDGTIVKSCDGCDPWCYNLSWNREGNKIAAGCKNYKLNVIRLW >DRNTG_17877.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5957848:5961929:-1 gene:DRNTG_17877 transcript:DRNTG_17877.10 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEWEGQTLDPANVIGTRQPPPPFPSGAPNNQVSNHPPNDDIFGDLTSKLRNFRIVQYPEEKGFPQTAYNLANETGIKNINDVDVGKGAIPNETLFSYMRDGLEYAQLKANLHAHDSGSFIKCCSLDPVDIITINVDSCLSQIIDDRNKNAIDHEEDIDYDVPDKESDQSEVNVHKGPPLRGQIPSVPSVTHQVSNLDVFVSAGHSLEGSACAWSPTDSLLAIGSSNSTAQIWKISDDFSGMHTSIPGVHFLIQFDDKTYKSSGPVTKVAWNGEGELLAIGSEYGFASIWCKNG >DRNTG_17877.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5953044:5961929:-1 gene:DRNTG_17877 transcript:DRNTG_17877.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHCERINSIRWSWTGPGTSNPNKQLLLASAADDGTVKIWDGVQGHLLYSFNGHRRCVIEMEFSPDGDYIASESDDGRLLIWKVKDGTIVKSCDGCDPWCYNLSWNREGNKIAAGCKNYKLNVIRLW >DRNTG_16935.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:98091:99652:1 gene:DRNTG_16935 transcript:DRNTG_16935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSAPASSTPTATTGVSSARLPVSSSPPKLSVPSSSLASISSPSLVSFLSSPLLPSPARSSTSKTSSSSSPSTTLARSPSAMTPLFSTLHHRPSPTVSSLWPLRKPHSSAFAASLTLSRSSGSSSVFSTSAPNAGSEKRLQRSKLSPWRLYRERKRRRDLTSSLGDDLLSRFIAVDNDYSDDFLRDIIISFVLAGRDTTAAAITWFFWLISTRPDVKEKIIDEIKSVRDKTKKTMDEAPVFSLDQVKDMVYLHAALAESLRLYPPVPLQTRTSLEDDVLPDGTAVKKGQTVMYSSYAMGRRKEIWGPEWGDFRPERWIEKGEFRAVSPFTYPVFHAGPRMCLGKEMAHIQMKAIAAAVLERFEIEVVDGEKERVKDLGIMLRIKGGLPVRVHPLVY >DRNTG_20223.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:13317925:13319212:1 gene:DRNTG_20223 transcript:DRNTG_20223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQGINGTEIINNIDNYIVYLAKFAVEEHNGKENAHLTFSKVVKATIDPVVEGVLYYITLEASNLGVLQLWQAKVWVKESTGYIELKDFHHVVELKAVNINDSTDPIVVEFAKFAVREYNRQKSANLEFVQVLKARTEQVLDGNLYYITLEARNGGCNEA >DRNTG_00885.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21786982:21787838:-1 gene:DRNTG_00885 transcript:DRNTG_00885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHKYHICFPLVYRLIELALVLPVATATVERCFSAMNVVKTDLSNRLADELLSDCLVCYIEKKIFISIDEETIMQRFQKLASRKNYLKPLKGSGA >DRNTG_20164.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4746508:4748075:1 gene:DRNTG_20164 transcript:DRNTG_20164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEVYSFVGGELDSASAPIHPHGDPDGVGCLPSSSDHSEVLSLDIGQRFDGVEHFRDVLRNHAIKRNFDFKFIKNEKHRVTVECAADGCRWRLHASKEYNKNTFRIKTINPSHTCGGGIGSASHPKASKKWVSARVIQKLKDRPLYKAIDIQKDMLREHGVHIPYKQAWLGKEHARVVLDGSDISSYDCLLWSKGLVSAIARVFPSSPHAYCLRHLEANFMKANVRLGKALREECWSICFRIA >DRNTG_25880.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9081384:9082194:1 gene:DRNTG_25880 transcript:DRNTG_25880.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSKHMNHLLKSPFCVHPKTGRVCVPIDPAHCDEFDPTTVPTLSTLLEELNMRGDNSESLNGKLLNYQVLIAKIVYVFQVQKIGTDIYLH >DRNTG_25880.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9078334:9082865:1 gene:DRNTG_25880 transcript:DRNTG_25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIACKLMGVIQSFKDLAKVPQGFNVDYLKVYYGKLFPYGDIFKWMSYGNAGKHPACDPSYFGRREFSFSLGNDTYCRYQSFDSVTEFENSIKKNCPFKIDIGPVYRVNPAKRFAYAQSGSDGFTPVERELVFDVDISDYDDVRHCCSGADVCTNCWPLMTIAIKVIDTTLRDDFGFNHILWVFSGRRGVHCWVCDGRARRLNNDERASIAKYFHVYKRGESGFKKVSLTGSVLHPFLARSYTDVLRIHFEEKLLCNQNLLSSEDRYEKILELVSNKSITDELHDKWQGHRRSSNTEEDLNITRWEQLKHVLQSGKQKGLRRCVEEIVFLYTYPRLDMEVSKHMNHLLKSPFCVHPKTGRVCVPIDPAHCDEFDPTTVPTLSTLLEELNMRGDNSESLNELESTSLGQYITMFRSCFLEPLLKSCKEEMENAYNANLQQSRNSFSW >DRNTG_25880.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9080182:9081157:1 gene:DRNTG_25880 transcript:DRNTG_25880.7 gene_biotype:protein_coding transcript_biotype:protein_coding DDFGFNHILWVFSGRRGVHCWVCDGRARRLNNDERASIAKYFHVYKRGESGFKKVSLTGSVLHPFLARSYTDVLRIHFEEKLLCNQNLLSSEDRYEKILELVSNKSITDELHDKWQGHRRSSNTEEDLNITRWEQLKHVLQSGKQK >DRNTG_25880.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9078925:9082865:1 gene:DRNTG_25880 transcript:DRNTG_25880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAIKVIDTTLRDDFGFNHILWVFSGRRGVHCWVCDGRARRLNNDERASIAKYFHVYKRGESGFKKVSLTGSVLHPFLARSYTDVLRIHFEEKLLCNQNLLSSEDRYEKILELVSNKSITDELHDKWQGHRRSSNTEEDLNITRWEQLKHVLQSGKQKGLRRCVEEIVFLYTYPRLDMEVSKHMNHLLKSPFCVHPKTGRVCVPIDPAHCDEFDPTTVPTLSTLLEELNMRGDNSESLNELESTSLGQYITMFRSCFLEPLLKSCKEEMENAYNANLQQSRNSFSW >DRNTG_25880.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9078925:9081595:1 gene:DRNTG_25880 transcript:DRNTG_25880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGNAGKHPACDPSYFGRREFSFSLGNDTYCRYQSFDSVTEFENSIKKNCPFKIDIGPVYRVNPAKRFAYAQSGSDGFTPVERELVFDVDISDYDDVRHCCSGADVCTNCWPLMTIAIKVIDTTLRDDFGFNHILWVFSGRRGVHCWVCDGRARRLNNDERASIAKYFHVYKRGESGFKKVSLTGSVLHPFLARSYTDVLRIHFEEKLLCNQNLLSSEDRYEKILELVSNKSITDELHDKWQGHRRSSNTEEDLNITRWEQLKHVLQSGKQKGLRRCVEEIVFLYTYPRLDMEVSKHMNHLLKSPFCVHPKTG >DRNTG_25880.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9080342:9082194:1 gene:DRNTG_25880 transcript:DRNTG_25880.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSKHMNHLLKSPFCVHPKTGRVCVPIDPAHCDEFDPTTVPTLSTVNSDHLYCSFF >DRNTG_25880.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9080182:9082366:1 gene:DRNTG_25880 transcript:DRNTG_25880.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSKHMNHLLKSPFCVHPKTGRVCVPIDPAHCDEFDPTTVPTLSTLLEELNMRGDNSESLNELESTSLGQYITMFRSCFLEPLLKSCK >DRNTG_25880.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9080182:9082865:1 gene:DRNTG_25880 transcript:DRNTG_25880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSKHMNHLLKSPFCVHPKTGRVCVPIDPAHCDEFDPTTVPTLSTLLEELNMRGDNSESLNELESTSLGQYITMFRSCFLEPLLKSCKEEMENAYNANLQQSRNSFSW >DRNTG_31328.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4081500:4083198:1 gene:DRNTG_31328 transcript:DRNTG_31328.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Heat stress transcription factor Spl7 (Heat shock transcription factor) (Heat shock factor RHSF10) [Source: Projected from Oryza sativa (Os04g0568700)] MAPPTGDQTAATTAGDGQRTLPTPFLTKTYQLVDDPAIDDVISWNDDGSTFIVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANDCFRKGEKRLLCDIHRRKISPAAAGATPVQAAVTVAVPPNRTGSPTNSGDEQVLSSNSSPGPPQALASCPSGSASAAELMDENERLRRENSQLSHELSEMRTLCDNILLLMSKYASARNGGTSGLTGDSSGPPPPPQSTSPEEAPGRVEETSSPRLFGVPIGTKRAREDEGEEPQRVKPEPLDSGSDPQDDPQDHQTWTVYRPRPNRRVCNAFTDHVT >DRNTG_31328.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4081500:4083198:1 gene:DRNTG_31328 transcript:DRNTG_31328.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Heat stress transcription factor Spl7 (Heat shock transcription factor) (Heat shock factor RHSF10) [Source: Projected from Oryza sativa (Os04g0568700)] MAPPTGDQTAATTAGDGQRTLPTPFLTKTYQLVDDPAIDDVISWNDDGSTFIVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYVSAL >DRNTG_17058.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:25515:26665:1 gene:DRNTG_17058 transcript:DRNTG_17058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKRGTWSPEEDATLKSYLNKHGTVVNWISLPHKAGLNRCGKSCRLRWLNYLRPNIKHGGFTPEEDNIIFTLYQTIGSRWSVIASHLHERTDNDVKNYWNTKLKKKVLHQISSPPSSQSLMITPNIKVEQKDTTSLIQDYHDYNDLLPEMTSLSSVSVGNNGSSNTSGSFVGWSCNGVVEDDHDVLFTEFGFESSLNELLAG >DRNTG_17058.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:26188:26665:1 gene:DRNTG_17058 transcript:DRNTG_17058.2 gene_biotype:protein_coding transcript_biotype:protein_coding RWSVIASHLHERTDNDVKNYWNTKLKKKVLHQISSPPSSQSLMITPNIKVEQKDTTSLIQDYHDYNDLLPEMTSLSSVSVGNNGSSNTSGSFVGWSCNGVVEDDHDVLFTEFGFESSLNELLAG >DRNTG_00771.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:12847508:12849509:-1 gene:DRNTG_00771 transcript:DRNTG_00771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTNIAADGSTILIALERVEELNLNCKHIYINNSDLWRFLHGLEEVNNWRAANSLANIKHQMRRFNEPSIHLIPARWNKIAATMASKGVNASQLSLFHKGMDLPRWLMRLLERSLFNF >DRNTG_25233.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6874457:6874867:1 gene:DRNTG_25233 transcript:DRNTG_25233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHNVPQMALISASGRLIPSISAEMELVQVDTVMQADEATREVVDGITVDSSLENQPTDTDSEFGAWLKPRWRPTAS >DRNTG_01145.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7941664:7942822:1 gene:DRNTG_01145 transcript:DRNTG_01145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEMKLEIIQDLVKFSKSKEYYAKIGKPWKRGYLLFGPPGTGKSTMIAAMANVLDYDVYDLEITAVKDNSALRKLLLNTTSKSIIVIEDIDCSLDLSGKRKTGGDQQEGNKEEEEKKKAMGGPPGKEESKVTLSGLLNCIDGLWSACGGEKLIVFTTNHIEKLDPALIRKGRMDKHIELGFCGYEGFKVLAKNYLGVESHPLFDSIHELLKEKKMSPADVAENLMPKNESEDQADLCLQSLVKALKECKSENEIDEEEEGEQEKKEVKTDAEKSVNDVKVGDKETEENKED >DRNTG_26758.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7903822:7905563:1 gene:DRNTG_26758 transcript:DRNTG_26758.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYIGPDPDLQGKKNQEEEKCKGPLHSILVHINTKPHQAILDQLQQTGFPAPTLSSSNLLTIHCDAVIIGSGSGGSVAASVLAKSGHKVIVVEKGHYYQTTDLSLLEGPTASVMYEGGGVIATDNIGVVMLAGSTIGGGSTINWSASIRTPDYVIKNWCHDHGLELFSSSAYQRALDSVCERMSVQPHVLKEGFNNAVLRKGCAQLGIPVTNVPCNAPSDHYCGWCHLGCKDAKKKSAQETWLVDLVESGNGLIIPGCSVLKVLHKIKNGCNRSEATGVVVQLEQYDQQQAFVIESKITIVACGALNTPPLLKRSGLKNKHIGKNLHVHPCAMAWGYFPEEQQGWPEKTMRSYEGAIITAMASVVSKQDGYGVILQTPALHPGMFSVLMPWISAQDFRERMRRFSRTAHVFALARDKGAGMTDYPKSLTYKLADSDEENLREGLVMALKILAAAGAEEIGTHHCDGERFRVKGSEKNKFDEYLVRVRKMKRRNVWTPISTAHQMGSCRMGVDQKTSAVSPDGETWEVEGLFVADTSVFPTALGVNPMVTVMAIAHCIANSALRFLER >DRNTG_26758.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7902992:7905563:1 gene:DRNTG_26758 transcript:DRNTG_26758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKVHDVSNDESGKRWSLWSGRPFGPNKLFKKEQESLAALCDALLPSMDLSNSNTKEEAVSEFYTTSASMTGTPDMIGSMLAGEFQHPAVPLLRLALLLLSTWYGTFILCGRDSLSDKFPYFQKFSKVDVSKREQILLSWSLSPFALIRMLFKAIKFITMRLFFSQVDEKGKNVTWQAMDYIGPDPDLQGKKNQEEEKCKGPLHSILVHINTKPHQAILDQLQQTGFPAPTLSSSNLLTIHCDAVIIGSGSGGSVAASVLAKSGHKVIVVEKGHYYQTTDLSLLEGPTASVMYEGGGVIATDNIGVVMLAGSTIGGGSTINWSASIRTPDYVIKNWCHDHGLELFSSSAYQRALDSVCERMSVQPHVLKEGFNNAVLRKGCAQLGIPVTNVPCNAPSDHYCGWCHLGCKDAKKKSAQETWLVDLVESGNGLIIPGCSVLKVLHKIKNGCNRSEATGVVVQLEQYDQQQAFVIESKITIVACGALNTPPLLKRSGLKNKHIGKNLHVHPCAMAWGYFPEEQQGWPEKTMRSYEGAIITAMASVVSKQDGYGVILQTPALHPGMFSVLMPWISAQDFRERMRRFSRTAHVFALARDKGAGMTDYPKSLTYKLADSDEENLREGLVMALKILAAAGAEEIGTHHCDGERFRVKGSEKNKFDEYLVRVRKMKRRNVWTPISTAHQMGSCRMGVDQKTSAVSPDGETWEVEGLFVADTSVFPTALGVNPMVTVMAIAHCIANSALRFLER >DRNTG_05366.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8577952:8578943:-1 gene:DRNTG_05366 transcript:DRNTG_05366.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNKNEILCFFFFGKEERGEPTRDLGTCTSLGGTSGTGPHSRGRWNHRQFSCEES >DRNTG_05366.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8577952:8582627:-1 gene:DRNTG_05366 transcript:DRNTG_05366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYRKQKPELDLEKEEQTSIPVLAPYSDDDENDNGVVEEEEEAGFQKPAAPQCRQVVVRRDCPYLDTVNRQVLDFDFEKFCSISLSNLNVYACLVSGKYYQGRGLNSHAYTNSLEAGHHVYINLQTEKVYCLPDGYEINDPSLDDIRHVLNPRFSREQVLHLDENRQWSRALDGSNYLPGMVGLNNIKETDFVDVTIQSCS >DRNTG_05012.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6061435:6062037:1 gene:DRNTG_05012 transcript:DRNTG_05012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGENQRKKTKLAKLYPFGAALVQFMESRKLIQYHSSLSGASVSIKKGDKYYLPTHLYAVCNFDISLLPVKLNLPMVSRPLDWTSACPEGVNPRTLSDLSGGYLSGPTGEILHRYRLLSSDNMKNFHIDIGKGGDYKALCSVMNKLQHQSFQINSQFLQYVIENQNRLVTCGHLMPEFLSTMNINEVSLLLREFYMKDGG >DRNTG_28509.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14817610:14818341:-1 gene:DRNTG_28509 transcript:DRNTG_28509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGAGCVHVQVVLRVYCRKEYASLALRVFNEMRNLGLVPDRVMKELLVKSLWKEGKLREAAQVEAKELLPPRRARNLVALDIGCCDRITDSAFQALGTNGTSVFHVLKISNCPRVTVSGIDTIL >DRNTG_09810.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26766435:26787069:-1 gene:DRNTG_09810 transcript:DRNTG_09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 4 [Source:Projected from Arabidopsis thaliana (AT4G15475) UniProtKB/Swiss-Prot;Acc:Q9C5D2] MQNGHLKLDLHWKTMNLGKMKCITSAGLSSIAESCKSLRSLDLQGCYVGDQGLIAIGESCQLLEDLNLCFCEGLTDKGLAGLAKGCANSLRVLGIAACAWITDNSLKAVASHCSSLESLSLDSEFIKNEGIIAIAEGCQSLRALKLLCRNVTDEGLQAVGSFCSSLELLALYSFQKFTDRSLYAIGKGCKNLRSLTLSDCYFLSDKSLETVAAGCTGLTYLEINGCHNIGTLGLESIGRSCPRLSELALLYCQRIRNSALLEIGRGCTLLQALHLVDCLSIDDEALCEVAQGCRNLKKLHVRRCYEIGDKGVIAVGQNCKLLRDLSLRYCDRVGDGALIAIGQGCPLEHLNLSGCHQITDVGLTAIARGCPELISLDVSVLQNLGDIALAALGEGCHVLKDIVISHCPQITDAGLAHLVRGCTQLETIQMVYCPSITSAGVATVISSCNHMKKVQIEKWKVSNRTMRRSAAVLSFLCVEL >DRNTG_09810.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26766435:26778949:-1 gene:DRNTG_09810 transcript:DRNTG_09810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 4 [Source:Projected from Arabidopsis thaliana (AT4G15475) UniProtKB/Swiss-Prot;Acc:Q9C5D2] MKGRDLINVLLPDELIAEVFRRLGGSKASCDACALVCRRWLGIERACRRHVRIGANGDADRLMEALVRRFSGLQSICVDERLPISCPSPLVARMTPRKRHAKRSSEARSTLENNEFGENEVVCSISDAGLAALANGCKGLEKLSLIWCSSITSAGLSSIAESCKSLRSLDLQGCYVGDQGLIAIGESCQLLEDLNLCFCEGLTDKGLAGLAKGCANSLRVLGIAACAWITDNSLKAVASHCSSLESLSLDSEFIKNEGIIAIAEGCQSLRALKLLCRNVTDEGLQAVGSFCSSLELLALYSFQKFTDRSLYAIGKGCKNLRSLTLSDCYFLSDKSLETVAAGCTGLTYLEINGCHNIGTLGLESIGRSCPRLSELALLYCQRIRNSALLEIGRGCTLLQALHLVDCLSIDDEALCEVAQGCRNLKKLHVRRCYEIGDKGVIAVGQNCKLLRDLSLRYCDRVGDGALIAIGQGCPLEHLNLSGCHQITDVGLTAIARGCPELISLDVSVLQNLGDIALAALGEGCHVLKDIVISHCPQITDAGLAHLVRGCTQLETIQMVYCPSITSAGVATVISSCNHMKKVQIEKWKVSNRTMRRSAAVLSFLCVEL >DRNTG_30766.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28736156:28737641:-1 gene:DRNTG_30766 transcript:DRNTG_30766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKKKKKKGINFKMKMSSNQFKNI >DRNTG_12301.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29705406:29705936:-1 gene:DRNTG_12301 transcript:DRNTG_12301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDVHLCFTPEGLPFYTPEGLPFYTPVFHSHPLSDEGVNLFLDNEEGDDEALLDLESPNAESLVYLDNSLVKVREQPWNKEVVVPVEGKAAACVEEFHSRVTQFGFAIGLSLQEAMSELKMKEVACNYRKKESAAPGIVLNTKKRT >DRNTG_11176.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4299143:4301465:-1 gene:DRNTG_11176 transcript:DRNTG_11176.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYRSSGSANPRRTLDSVKCGNEIRRDRESEN >DRNTG_11176.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4299143:4300207:-1 gene:DRNTG_11176 transcript:DRNTG_11176.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASYRSSGSANPRRTLDSVKCGNEIRRDRESEN >DRNTG_11176.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4299143:4300207:-1 gene:DRNTG_11176 transcript:DRNTG_11176.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASYRSSGSANPRRTLDSVKCGNEIRRDRESEN >DRNTG_05019.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5615217:5631031:-1 gene:DRNTG_05019 transcript:DRNTG_05019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNPWTTSRGLSTRPSTRPSRGFLYGFIAFTFDFFDLSDTPRALDKARLERVERVSREYLGLNCGEGPSAWTKGLGLNLGIDLSLGIPRAHCNSIRMRGVEIVRFLLRDLKLEEIIIDLRGSHPHIDARGIGILRVRSDIYRRFDSINVIQFRAFEHQFSMSVIEFSVWHNEAMEDKNKSFRRKEKKTRTLYHPHNTQYGGCMHCSSGIPYYPVWRLSAENSILPSIRDRDSSISYDNGSWHTVSETFIEIGTPSVNGGSKSSIEQFILTTEGQQSTSINPAMEDSAEMGRVSAKVQESNLEHQYWQHDNPENDDE >DRNTG_11901.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21468324:21469911:1 gene:DRNTG_11901 transcript:DRNTG_11901.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFSERMLSTRQSPLMSGTISHPVPQQQWHPLTARLA >DRNTG_11901.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21467973:21469911:1 gene:DRNTG_11901 transcript:DRNTG_11901.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSERMLSTRQSPLMSGTISHPVPQQQWHPLTARLA >DRNTG_11901.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21467973:21469911:1 gene:DRNTG_11901 transcript:DRNTG_11901.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSERMLSTRQSPLMSGTISHPVPQQQWHPLTARLA >DRNTG_11901.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21466604:21469911:1 gene:DRNTG_11901 transcript:DRNTG_11901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRTVLVSNISLSANERDVKEFFSFSGDIEYIEMQSESARTQCAFVTFKESQGADTAILLSGATIVDLSVNITPVENYQLPPEAYKQERNTPAADFCCQEGRGCCEQYACHGICSRKGCSQPGKVL >DRNTG_32319.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18296282:18298678:-1 gene:DRNTG_32319 transcript:DRNTG_32319.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTSLPLPPQQSRINLSDLKSQIVKKLGPDRAHRYFDHLNRLLSQKLGKHEFDKLCISILGRENVMLHNQLIRAVLRNAFHAKIPPPVTHDKRLSHLSNGEALPFSPCRSRSNKDRPSPVPPSEIVARRDGDLSSIVLKRPAQYHQSGSAERLQKRQRTDKPLLHNQFSLHGKSLVEVAAVDDQEDVGRKDDFECIRGRPLLAPLGIPFCAASVGGARRSLPLNISGGTVGSVTSYNCGELCNSEDLRTRMEKSHKGMDWEE >DRNTG_32319.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18294291:18298101:-1 gene:DRNTG_32319 transcript:DRNTG_32319.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTSLPLPPQQSRINLSDLKSQIVKKLGPDRAHRYFDHLNRLLSQKLGKHEFDKLCISILGRENVMLHNQLIRAVLRNAFHAKIPPPVTHDKRLSHLSNGEALPFSPCRSRSNKDRPSPVPPSEIVARRDGDLSSIVLKRPAQYHQSGSAERLQKRQRTDKPLLHNQFSLHGKSLVEVAAVDDQEDVGRKDDFECIRGRPLLAPLGIPFCAASVGGARRSLPLNISGGTVGSVTSYNCGELCNSEDLRTRMEKSHKGMDWEE >DRNTG_32319.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18298484:18298678:-1 gene:DRNTG_32319 transcript:DRNTG_32319.4 gene_biotype:protein_coding transcript_biotype:protein_coding REVAASSSSFPRSRGIERLKSRGKGEVERSEARQKTQWSEWNRRSYFSFGESQYLSRSTSRTPL >DRNTG_32319.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18294291:18298678:-1 gene:DRNTG_32319 transcript:DRNTG_32319.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTSLPLPPQQSRINLSDLKSQIVKKLGPDRAHRYFDHLNRLLSQKLGKHEFDKLCISILGRENVMLHNQLIRAVLRNAFHAKIPPPVTHDKRLSHLSNGEALPFSPCRSRSNKDRPSPVPPSEIVARRDGDLSSIVLKRPAQYHQSGSAERLQKRQRTDKPLLHNQFSLHGKSLVEVAAVDDQEDVGRKDDFECIRGRPLLAPLGIPFCAASVGGARRSLPLNISGGTVGSVTSYNCGELCNSEDLRTRMEKSHKGMDWEE >DRNTG_26301.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21304117:21309921:-1 gene:DRNTG_26301 transcript:DRNTG_26301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSAPTAMAEHPRLLLHNFITVDQCKELEFIHRSCGVMGYRPGVLSTTLSHLIATNCPHLILPFVSIRNRIKDLAEDFFSCHFDLFLEFTGLISWCKGASIGWHSDDNRPYLKQRDFAAVCYLNSHGKDFKGGVFHFKDGEPASIFPVVGDVLIYTADSRNVHSVDEVTEGERLTLTLWFTRDSAHDEDAKLISLLSERLLNNEDNHSDPFLPVPASDNMYWFSHGHDQLGFDVRCARVHILGYNFCSTSKKKCETSDSSYEELLARPLQLSRGDVILGEEFANSLHALQVVQFYYWKAHELKAARKCSSSAKLPQPSLLEKTSYFHLVLTGDLKLAEEVLGCVSYDDNPNFFNWDDFALAIAKWKVYIGKLHEHLVVSLPQWINHQIIYLAEPSEVEKHND >DRNTG_26301.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21304117:21309921:-1 gene:DRNTG_26301 transcript:DRNTG_26301.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRPGVLSTTLSHLIATNCPHLILPFVSIRNRIKDLAEDFFSCHFDLFLEFTGLISWCKGASIGWHSDDNRPYLKQRDFAAVCYLNSHGKDFKGGVFHFKDGEPASIFPVVGDVLIYTADSRNVHSVDEVTEGERLTLTLWFTRDSAHDEDAKLISLLSERLLNNEDNHSDPFLPVPASDNMYWFSHGHDQLGFDVRCARVHILGYNFCSTSKKKCETSDSSYEELLARPLQLSRGDVILGEEFANSLHALQVVPSLL >DRNTG_26301.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21304117:21309921:-1 gene:DRNTG_26301 transcript:DRNTG_26301.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRPGVLSTTLSHLIATNCPHLILPFVSIRNRIKDLAEDFFSCHFDLFLEFTGLISWCKGASIGWHSDDNRPYLKQRDFAAVCYLNSHGKDFKGGVFHFKDGEPASIFPVVGDVLIYTADSRNVHSVDEVTEGERLTLTLWFTRDSAHDEDAKLISLLSERLLNNEDNHSDPFLPVPASDNMYWFSHGHDQLGFDVRCARVHILGYNFCSTSKKKCETSDSSYEELLARPLQLSRGDVILGEEFANSLHALQVVQFYYWKAHELKAARKCSSSAKLPQPSLLEKTSYFHLVLTGDLKLAEEVLGCVSYDDNPNFFNWDDFALAIAKWKVYIGKLHEHLVVSLPQWINHQIIYLAEPSEVEKHND >DRNTG_26301.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21304117:21309921:-1 gene:DRNTG_26301 transcript:DRNTG_26301.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRPGVLSTTLSHLIATNCPHLILPFVSIRNRIKDLAEDFFSCHFDLFLEFTGLISWCKGASIGWHSDDNRPYLKQRDFAAVCYLNSHGKDFKGGVFHFKDGEPASIFPVVGDVLIYTADSRNVHSVDEVTEGERLTLTLWFTRDSAHDEDAKLISLLSERLLNNEDNHSDPFLPVPASDNMYWFSHGHDQLGFDVRCARVHILGYNFCSTSKKKCETSDSSYEELLARPLQLSRGDVILGEEFANSLHALQVVQFYYWKAHELKAARKCSSSAKLPQPSLLEKTSYFHLVLTGDLKLAEEVLGCVSYDDNPNFFNWDDFALAIAKWKVYIGKLHEHLVVSLPQWINHQIIYLAEPSEVEKHND >DRNTG_30057.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18659273:18663920:-1 gene:DRNTG_30057 transcript:DRNTG_30057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFLAAGATAVCLIAAIFAFRSIPEKKSNPCGCACSCCGAEAGGGGGRGSMAKANGEVAGKGKEKEAVVADRQVGGSMMEQLVPEITTHALSYLDYPSLCRLSMTNSSMRRAANDDNAWKALYHKDFTVEQDSVTPPNGWKSYYAATKAIVNLNSEFYNIIRERSLAAMSRFWLQADYVKCVHASGELFSGYTAVIHSWSLAFNWDHGGQGIAFQIRDVRARVLSDMAWVTMKAYVDIDSGPFHVTNIYEFHNGRWYMVHHHSSVMLGEGDLGLP >DRNTG_23034.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3260094:3260824:-1 gene:DRNTG_23034 transcript:DRNTG_23034.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEISKLSIEPCKERGQHSNEDGWSQSSSTATATTTHGRGWRNVVKLHHRSCSPVAEEKQAADNKHHSQHETLHASTQR >DRNTG_20443.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4530542:4531139:-1 gene:DRNTG_20443 transcript:DRNTG_20443.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNGVLKRTQVQQKFGDEEDKEAHEDHPWLWWLRC >DRNTG_20443.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4530542:4531089:-1 gene:DRNTG_20443 transcript:DRNTG_20443.2 gene_biotype:protein_coding transcript_biotype:protein_coding KNGVLKRTQVQQKFGDEEDKEAHEDHPWLWWLRC >DRNTG_15100.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1766072:1767193:1 gene:DRNTG_15100 transcript:DRNTG_15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASVKLREEQGKAPLLRFKLPIRVLSLPFNTAVSVSSSSAPSDVSFDLKSALPFGPSLKLSYCPSPSDPFSLSLSSGIGVLGSPFDSPLVLSARFSPIAPVSFSLTLKPRLGDFSLKTTAISNPNASPNPKENGGGVYHPIPVPPRERTGLEDLLASGVVAVARTSIPFGRRAAVRLRWGVNMPKPEAVGWGRLPYLTVDKISIEAGERNENEEEKKKIKEKNDLDANATSGMVYWMGREVEELQKENRMIKENVEELKKKVFERRVGKVEPFRGDFDVKRKNPSAAIAKEIEKETKEEAFCCQVQHSSMILV >DRNTG_32862.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32142527:32151045:-1 gene:DRNTG_32862 transcript:DRNTG_32862.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMILRLLFGLLFLLSVLSGLVHGKECTNGLPELASHSLRYRLGISKDEVWKADMLSHYHLTPSDDSAWMGLLPRRLLPGEEVQKEEFDWAMLYRKIKNPDGVNVRPEGENFLNEISLHDVRLDPDSIHGHAQQTNLEYLLMLDTDNLLWSFRKQAGLPTPGKPYGGWEAPDVELRGHFVGHFLSASAMMWASTHNDTLYQRMTSVVDALNSCQKKIGTGYLSAFPTELFDRVEALVYAWAPYYTIHKIMAGLLDQYTFAGNSKALPMAVWMADYFANRVKNVILKYSIERHWRLLNEETGGMNDVLYRLYSITGDQKHLILAHLFDKPCFLGMLAVKADSLSGFHTNTHVPVVIGAQHRYEITGDLLYREIGTLFLDVVNSSHTYATGGTSVSEFWSDPKRLADTLTTETEESCVTHNMLKVSRNLFRWTKEIAYADYYERALTNGVLSIQRGREPGVMLYLLSLEPGKSKKDNSHGWGTQFESFWCCYGTAIESFSKLGDSIYFEEKGDTPGLYIIQYIANSFNWKSGGVTLHQKIESVSSMDAALQVSLAISSNESSNQPSTLHLRIPRWTSVDGAKATLNDQDLSLPAPGNFLSVTRQWTSNDNLTLQFPIGVWTEAIKDDRPEYASVQAILFGPYLLAGLTDGDWNLGTGKAMSDWITPIPASYSSQLTTLSQELSRKTFVLSNSNKSLTMKDWPYDGTNDAVHATFRLIPDSKTSKDNATRPQYVMLEPFDLPGMVVVEQGPNNELSVDSPTNSSNSVFQMVAGLDGKPNTVSFESVKHPRCFISAGDTVKLLCQQGNIRRGMALQQSTSFVMNEGLKQYHPISFIAAGAARNFVLEPLLSLKDETYSVYFNIN >DRNTG_32862.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32142527:32150249:-1 gene:DRNTG_32862 transcript:DRNTG_32862.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMILRLLFGLLFLLSVLSGLVHGKECTNGLPELASHSLRYRLGISKDEVWKADMLSHYHLTPSDDSAWMGLLPRRLLPGEEVQKEEFDWAMLYRKIKNPDGVNVRPEGENFLNEISLHDVRLDPDSIHGHAQQTNLEYLLMLDTDNLLWSFRKQAGLPTPGKPYGGWEAPDVELRGHFVGHFLSASAMMWASTHNDTLYQRMTSVVDALNSCQKKIGTGYLSAFPTELFDRVEALVYAWAPYYTIHKIMAGLLDQYTFAGNSKALPMAVWMADYFANRVKNVILKYSIERHWRLLNEETGGMNDVLYRLYSITGDQKHLILAHLFDKPCFLGMLAVKADSLSGFHTNTHVPVVIGAQHRYEITGDLLYREIGTLFLDVVNSSHTYATGGTSVSEFWSDPKRLADTLTTETEESCVTHNMLKVSRNLFRWTKEIAYADYYERALTNGVLSIQRGREPGVMLYLLSLEPGKSKKDNSHGWGTQFESFWCCYGTAIESFSKLGDSIYFEEKGDTPGLYIIQYIANSFNWKSGGVTLHQKIESVSSMDAALQVSLAISSNESSNQPSTLHLRIPRWTSVDGAKATLNDQDLSLPAPGNFLSVTRQWTSNDNLTLQFPIGVWTEAIKDDRPEYASVQAILFGPYLLAGLTDGDWNLGTGKAMSDWITPIPASYSSQLTTLSQELSRKTFVLSNSNKSLTMKDWPYDGTNDAVHATFRLIPDSKTSKDNATRPQYVMLEPFDLPGMVVVEQGPNNELSVDSPTNSSNSVFQMVAGLDGKPNTVSFESVKHPRCFISAGDTVKLLCQQGNIRRGMALQQSTSFVMNEGLKQYHPISFIAAGAARNFVLEPLLSLKDETYSVYFNIN >DRNTG_32862.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32142527:32152317:-1 gene:DRNTG_32862 transcript:DRNTG_32862.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMILRLLFGLLFLLSVLSGLVHGKECTNGLPELASHSLRYRLGISKDEVWKADMLSHYHLTPSDDSAWMGLLPRRLLPGEEVQKEEFDWAMLYRKIKNPDGVNVRPEGENFLNEISLHDVRLDPDSIHGHAQQTNLEYLLMLDTDNLLWSFRKQAGLPTPGKPYGGWEAPDVELRGHFVGHFLSASAMMWASTHNDTLYQRMTSVVDALNSCQKKIGTGYLSAFPTELFDRVEALVYAWAPYYTIHKIMAGLLDQYTFAGNSKALPMAVWMADYFANRVKNVILKYSIERHWRLLNEETGGMNDVLYRLYSITGDQKHLILAHLFDKPCFLGMLAVKADSLSGFHTNTHVPVVIGAQHRYEITGDLLYREIGTLFLDVVNSSHTYATGGTSVSEFWSDPKRLADTLTTETEESCVTHNMLKVSRNLFRWTKEIAYADYYERALTNGVLSIQRGREPGVMLYLLSLEPGKSKKDNSHGWGTQFESFWCCYGTAIESFSKLGDSIYFEEKGDTPGLYIIQYIANSFNWKSGGVTLHQKIESVSSMDAALQVSLAISSNESSNQPSTLHLRIPRWTSVDGAKATLNDQDLSLPAPGNFLSVTRQWTSNDNLTLQFPIGVWTEAIKDDRPEYASVQAILFGPYLLAGLTDGDWNLGTGKAMSDWITPIPASYSSQLTTLSQELSRKTFVLSNSNKSLTMKDWPYDGTNDAVHATFRLIPDSKTSKDNATRPQYVMLEPFDLPGMVVVEQGPNNELSVDSPTNSSNSVFQMVAGLDGKPNTVSFESVKHPRCFISAGDTVKLLCQQGNIRRGMALQQSTSFVMNEGLKQYHPISFIAAGAARNFVLEPLLSLKDETYSVYFNIN >DRNTG_32862.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32142527:32150374:-1 gene:DRNTG_32862 transcript:DRNTG_32862.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMILRLLFGLLFLLSVLSGLVHGKECTNGLPELASHSLRYRLGISKDEVWKADMLSHYHLTPSDDSAWMGLLPRRLLPGEEVQKEEFDWAMLYRKIKNPDGVNVRPEGENFLNEISLHDVRLDPDSIHGHAQQTNLEYLLMLDTDNLLWSFRKQAGLPTPGKPYGGWEAPDVELRGHFVGHFLSASAMMWASTHNDTLYQRMTSVVDALNSCQKKIGTGYLSAFPTELFDRVEALVYAWAPYYTIHKIMAGLLDQYTFAGNSKALPMAVWMADYFANRVKNVILKYSIERHWRLLNEETGGMNDVLYRLYSITGDQKHLILAHLFDKPCFLGMLAVKADSLSGFHTNTHVPVVIGAQHRYEITGDLLYREIGTLFLDVVNSSHTYATGGTSVSEFWSDPKRLADTLTTETEESCVTHNMLKVSRNLFRWTKEIAYADYYERALTNGVLSIQRGREPGVMLYLLSLEPGKSKKDNSHGWGTQFESFWCCYGTAIESFSKLGDSIYFEEKGDTPGLYIIQYIANSFNWKSGGVTLHQKIESVSSMDAALQVSLAISSNESSNQPSTLHLRIPRWTSVDGAKATLNDQDLSLPAPGNFLSVTRQWTSNDNLTLQFPIGVWTEAIKDDRPEYASVQAILFGPYLLAGLTDGDWNLGTGKAMSDWITPIPASYSSQLTTLSQELSRKTFVLSNSNKSLTMKDWPYDGTNDAVHATFRLIPDSKTSKDNATRPQYVMLEPFDLPGMVVVEQGPNNELSVDSPTNSSNSVFQMVAGLDGKPNTVSFESVKHPRCFISAGDTVKLLCQQGNIRRGMALQQSTSFVMNEGLKQYHPISFIAAGAARNFVLEPLLSLKDETYSVYFNIN >DRNTG_32862.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32142527:32151045:-1 gene:DRNTG_32862 transcript:DRNTG_32862.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMILRLLFGLLFLLSVLSGLVHGKECTNGLPELASHSLRYRLGISKDEVWKADMLSHYHLTPSDDSAWMGLLPRRLLPGEEVQKEEFDWAMLYRKIKNPDGVNVRPEGENFLNEISLHDVRLDPDSIHGHAQQTNLEYLLMLDTDNLLWSFRKQAGLPTPGKPYGGWEAPDVELRGHFVGHFLSASAMMWASTHNDTLYQRMTSVVDALNSCQKKIGTGYLSAFPTELFDRVEALVYAWAPYYTIHKIMAGLLDQYTFAGNSKALPMAVWMADYFANRVKNVILKYSIERHWRLLNEETGGMNDVLYRLYSITGDQKHLILAHLFDKPCFLGMLAVKADSLSGFHTNTHVPVVIGAQHRYEITGDLLYREIGTLFLDVVNSSHTYATGGTSVSEFWSDPKRLADTLTTETEESCVTHNMLKVSRNLFRWTKEIAYADYYERALTNGVLSIQRGREPGVMLYLLSLEPGKSKKDNSHGWGTQFESFWCCYGTAIESFSKLGDSIYFEEKGDTPGLYIIQYIANSFNWKSGGVTLHQKIESVSSMDAALQVSLAISSNESSNQPSTLHLRIPRWTSVDGAKATLNDQDLSLPAPGNFLSVTRQWTSNDNLTLQFPIGVWTEAIKDDRPEYASVQAILFGPYLLAGLTDGDWNLGTGKAMSDWITPIPASYSSQLTTLSQELSRKTFVLSNSNKSLTMKDWPYDGTNDAVHATFRLIPDSKTSKDNATRPQYVMLEPFDLPGMVVVEQGPNNELSVDSPTNSSNSVFQMVAGLDGKPNTVSFESVKHPRCFISAGDTVKLLCQQGNIRRGMALQQSTSFVMNEGLKQYHPISFIAAGAARNFVLEPLLSLKDETYSVYFNIN >DRNTG_32862.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32142527:32150249:-1 gene:DRNTG_32862 transcript:DRNTG_32862.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMILRLLFGLLFLLSVLSGLVHGKECTNGLPELASHSLRYRLGISKDEVWKADMLSHYHLTPSDDSAWMGLLPRRLLPGEEVQKEEFDWAMLYRKIKNPDGVNVRPEGENFLNEISLHDVRLDPDSIHGHAQQTNLEYLLMLDTDNLLWSFRKQAGLPTPGKPYGGWEAPDVELRGHFVGHFLSASAMMWASTHNDTLYQRMTSVVDALNSCQKKIGTGYLSAFPTELFDRVEALVYAWAPYYTIHKIMAGLLDQYTFAGNSKALPMAVWMADYFANRVKNVILKYSIERHWRLLNEETGGMNDVLYRLYSITGDQKHLILAHLFDKPCFLGMLAVKADSLSGFHTNTHVPVVIGAQHRYEITGDLLYREIGTLFLDVVNSSHTYATGGTSVSEFWSDPKRLADTLTTETEESCVTHNMLKVSRNLFRWTKEIAYADYYERALTNGVLSIQRGREPGVMLYLLSLEPGKSKKDNSHGWGTQFESFWCCYGTAIESFSKLGDSIYFEEKGDTPGLYIIQYIANSFNWKSGGVTLHQKIESVSSMDAALQVSLAISSNESSNQPSTLHLRIPRWTSVDGAKATLNDQDLSLPAPGNFLSVTRQWTSNDNLTLQFPIGVWTEAIKDDRPEYASVQAILFGPYLLAGLTDGDWNLGTGKAMSDWITPIPASYSSQLTTLSQELSRKTFVLSNSNKSLTMKDWPYDGTNDAVHATFRLIPDSKTSKDNATRPQYVMLEPFDLPGMVVVEQGPNNELSVDSPTNSSNSVFQMVAGLDGKPNTVSFESVKHPRCFISAGDTVKLLCQQGNIRRGMALQQSTSFVMNEGLKQYHPISFIAAGAARNFVLEPLLSLKDETYSVYFNIN >DRNTG_19486.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:13563416:13563748:1 gene:DRNTG_19486 transcript:DRNTG_19486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRWCGKGPSRSGRVVCHVVANASTELADPSPTSGAEQLVTLSHADIVQFQQLHGTVSHSIVSSPISSGNAFLASRDSC >DRNTG_18011.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24557751:24560480:-1 gene:DRNTG_18011 transcript:DRNTG_18011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIAGTDTSTIIVEWTLAELINNPIIMKRLQSEMDAIIGQERILEEDDISNLPYLQAVCKEALRLHASTPLSIPHYSYEACEVEGYHIPADTRLLINIWAIGRDPDIWENPLEFIPERFLPGGKAVNIEPHGTDFEMIPFGAGRRICVGKQAGMVFVQYLLGILVHGFNWKMPKGEEIDLKETPGLVIPKAVPIKAFVTPRLASMAYF >DRNTG_11681.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:329281:330243:-1 gene:DRNTG_11681 transcript:DRNTG_11681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVQSAQDLVDSLLNADNKLVIVDFYSLGCGGCKALHPKFAELNPDVLFLLVNYEEHKSMCYSLNVHVLPFFRFYNLKQMLVPASDDVAEATPISPMFSPTRVLKGSEDKVFSKF >DRNTG_18044.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000937.1:13762:17570:-1 gene:DRNTG_18044 transcript:DRNTG_18044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLTAAVVAAGGNSRMRERPIGDLVDGLKQLGADVDCFLGTNCPPVRIIGKGGLPGGKVKLSGSISSQYLTALLMAAPLALGDVEIEIIDKLISVPYVEMTLKLMERFGVKAEHSDSWDKFIVKGGQKYKSPGKAFVEGDASSASYFLAGAAVTGGTVTVEGCGTSSLQGDVRFAEVLEKMGAKVTWTETSVTVTGPPLDPSKRNACVPSMLT >DRNTG_18044.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000937.1:13762:15875:-1 gene:DRNTG_18044 transcript:DRNTG_18044.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRERPIGDLVDGLKQLGADVDCFLGTNCPPVRIIGKGGLPGGKVKLSGSISSQYLTALLMAAPLALGDVEIEIIDKLISVPYVEMTLKLMERFGVKAEHSDSWDKFIVKGGQKYKSPGKAFVEGDASSASYFLAGAAVTGGTVTVEGCGTSSLQGDVRFAEVLEKMGAKVTWTETSVTVTGPPLDPSKRNACVPSMLT >DRNTG_06037.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32673120:32673862:-1 gene:DRNTG_06037 transcript:DRNTG_06037.9 gene_biotype:protein_coding transcript_biotype:protein_coding GNVALDVARILLRPTAELAATDIADHALAALQESTIRKVYLVGRRGPAQAACTAKELREILGIKDLYVHIQESDLVKSQTDEVIIYYRTQ >DRNTG_06037.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32671475:32672914:-1 gene:DRNTG_06037 transcript:DRNTG_06037.5 gene_biotype:protein_coding transcript_biotype:protein_coding MELENSRIRKRVFELFAKRAASHRSNAIKGQRELHFIFFRKPDRFLPSDSGLHVSGVRLEKTSLKENGESGRQVAVGTGQFDDLKCGMVLKSIGYKSVPVDGLPFDMHQGIVPNIRGRVVNGAPPEHAAVEHGLYVVGWLKRGPTGIIATNLYCAEETVESILEDEKKGLLISDSQKLGRQGLLQILENKGVNYVPFSGWQKIDSEEKLRGQLKGKPRDKITDWEELLRVANA >DRNTG_06037.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32671475:32674355:-1 gene:DRNTG_06037 transcript:DRNTG_06037.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDLKSTDAAVVLGQGNVALDVARILLRPTAELAATDIADHALAALQESTIRKVYLVGRRGPAQAACTAKELREILGIKDLYVHIQESDLVKSQTDEMELENSRIRKRVFELFAKRAASHRSNAIKGQRELHFIFFRKPDRFLPSDSGLHVSGVRLEKTSLKENGESGRQVAVGTGQFDDLKCGMVLKSIGYKSVPVDGLPFDMHQGIVPNIRGRVVNGAPPEHAAVEHGLYVVGWLKRGPTGIIATNLYCAEETVESILEDEKKGLLISDSQKLGRQGLLQILENKGVNYVPFSGWQKIDSEEKLRGQLKGKPRDKITDWEELLRVANA >DRNTG_06037.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32671475:32673221:-1 gene:DRNTG_06037 transcript:DRNTG_06037.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELENSRIRKRVFELFAKRAASHRSNAIKGQRELHFIFFRKPDRFLPSDSGLHVSGVRLEKTSLKENGESGRQVAVGTGQFDDLKCGMVLKSIGYKSVPVDGLPFDMHQGIVPNIRGRVVNGAPPEHAAVEHGLYVVGWLKRGPTGIIATNLYCAEETVESILEDEKKGLLISDSQKLGRQGLLQILENKGVNYVPFSGWQKIDSEEKLRGQLKGKPRDKITDWEELLRVANA >DRNTG_06037.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32671475:32672559:-1 gene:DRNTG_06037 transcript:DRNTG_06037.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKSIGYKSVPVDGLPFDMHQGIVPNIRGRVVNGAPPEHAAVEHGLYVVGWLKRGPTGIIATNLYCAEETVESILEDEKKGLLISDSQKLGRQGLLQILENKGVNYVPFSGWQKIDSEEKLRGQLKGKPRDKITDWEELLRVANA >DRNTG_06037.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32671475:32675787:-1 gene:DRNTG_06037 transcript:DRNTG_06037.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAKVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFSRVAGNERCSFFGNVSLGSHGVSLSELRQLYDVVVLAYGAESDRSLGVPGEDLGGVYSAREFVWWYNGHPDCKAMPIDLKSTDAAVVLGQGNVALDVARILLRPTAELAATDIADHALAALQESTIRKVYLVGRRGPAQAACTAKELREILGIKDLYVHIQESDLVKSQTDEMELENSRIRKRVFELFAKRAASHRSNAIKGQRELHFIFFRKPDRFLPSDSGLHVSGVRLEKTSLKENGESGRQVAVGTGQFDDLKCGMVLKSIGYKSVPVDGLPFDMHQGIVPNIRGRVVNGAPPEHAAVEHGLYVVGWLKRGPTGIIATNLYCAEETVESILEDEKKGLLISDSQKLGRQGLLQILENKGVNYVPFSGWQKIDSEEKLRGQLKGKPRDKITDWEELLRVANA >DRNTG_06037.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32671475:32672339:-1 gene:DRNTG_06037 transcript:DRNTG_06037.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKSIGYKSVPVDGLPFDMHQGIVPNIRGRVVNGAPPEHAAVEHGLYVVGWLKRGPTGIIATNLYCAEETVESILEDEKKGLLISDSQKLGRQGLLQILENKGVNYVPFSGWQKIDSEEKLRGQLKGKPRDKITDWEELLRVANA >DRNTG_06037.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32674650:32675398:-1 gene:DRNTG_06037 transcript:DRNTG_06037.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAKVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFSRVAGNERCSFFGNVSLGSHGVSLSELRQLYDVVVLAYGAESDRSLGVPGEVCTTCTYLQVIDLVFSCLRLLGIRNYRKLLFYNVAL >DRNTG_06037.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32671475:32673679:-1 gene:DRNTG_06037 transcript:DRNTG_06037.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELENSRIRKRVFELFAKRAASHRSNAIKGQRELHFIFFRKPDRFLPSDSGLHVSGVRLEKTSLKENGESGRQVAVGTGQFDDLKCGMVLKSIGYKSVPVDGLPFDMHQGIVPNIRGRVVNGAPPEHAAVEHGLYVVGWLKRGPTGIIATNLYCAEETVESILEDEKKGLLISDSQKLGRQGLLQILENKGVNYVPFSGWQKIDSEEKLRGQLKGKPRDKITDWEELLRVANA >DRNTG_09207.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4636063:4638045:-1 gene:DRNTG_09207 transcript:DRNTG_09207.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKVGITNSQSRAEPPGMEESLKRTFSDELAMKEVEKMELISKCKDLEKEVEKYKRMAALFEEQMTTMWEEYGAMRAREQMAQVVQKEKQDDVRETENKNALDEINEWKRRCGDLEIRISELIEENLRLKARNFGDVIEISDEEGDEVETDFKDEVLNADGCRQEERFLSVPTPKKKCCSRVITSDSEDDDDDVDDDTPIGKLKRRKKAEEDDEDEIPIGMLKNSNVGGDAVGEDLTPNRKRLFPLGELERMNCRKEGSYPRDSIDSDRITRRKVMFLNAGDAEGEEDETHELHACDSDSGSESLRGFIVNDSEVDESECSHGDDISSHDLSDECPSDLELDEVLARIRRGKNMKQWEYEADMLASFSKDPELCMKAVCAVYRQQTAEEKSVKGTLLINNRGFSKFDALKGSRMAEFLLDGDPNGPLKKSVEELQKYDRHGLDYCSKLARHYSKQLFMIYQNKEDPFFLPS >DRNTG_09207.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4636026:4638073:-1 gene:DRNTG_09207 transcript:DRNTG_09207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKVGITNSQSRAEPPGMEESLKRTFSDELAMKEVEKMELISKCKDLEKEVEKYKRMAALFEEQMTTMWEEYGAMRAREQMAQVVQKEKQDDVRETENKNALDEINEWKRRCGDLEIRISELIEENLRLKARNFGDVIEISDEEGDEVETDFKDEVLNADGCRQEERFLSVPTPKKKCCSRVITSDSEDDDDDVDDDTPIGKLKRRKKAEEDDEDEIPIGMLKNSNVGGDAVGEDLTPNRKRLFPLGELERMNCRKEGSYPRDSIDSDRITRRKVMFLNAGDAEGEEDETHELHACDSDSGSESLRGFIVNDSEVDESECSHGDDISSHDLSDECPSDLELDEVLARIRRGKNMKQWEYEADMLASFSKDPELCMKAVCAVYRQQTAEEKSVKGTLLINNRGFSKFDALKGSRMAEFLLDGDPNGPLKKSVEELQKYDRHGLDYCSKLARHYSKQLFMIYQNKEDPFFLPS >DRNTG_09207.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4636026:4638045:-1 gene:DRNTG_09207 transcript:DRNTG_09207.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKVGITNSQSRAEPPGMEESLKRTFSDELAMKEVEKMELISKCKDLEKEVEKYKRMAALFEEQMTTMWEEYGAMRAREQMAQVVQKEKQDDVRETENKNALDEINEWKRRCGDLEIRISELIEENLRLKARNFGDVIEISDEEGDEVETDFKDEVLNADGCRQEERFLSVPTPKKKCCSRVITSDSEDDDDDVDDDTPIGKLKRRKKAEEDDEDEIPIGMLKNSNVGGDAVGEDLTPNRKRLFPLGELERMNCRKEGSYPRDSIDSDRITRRKVMFLNAGDAEGEEDETHELHACDSDSGSESLRGFIVNDSEVDESECSHGDDISSHDLSDECPSDLELDEVLARIRRGKNMKQWEYEADMLASFSKDPELCMKAVCAVYRQQTAEEKSVKGTLLINNRGFSKFDALKGSRMAEFLLDGDPNGPLKKSVEELQKYDRHGLDYCSKLARHYSKQLFMIYQNKEDPFFLPS >DRNTG_09207.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4636063:4638073:-1 gene:DRNTG_09207 transcript:DRNTG_09207.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKVGITNSQSRAEPPGMEESLKRTFSDELAMKEVEKMELISKCKDLEKEVEKYKRMAALFEEQMTTMWEEYGAMRAREQMAQVVQKEKQDDVRETENKNALDEINEWKRRCGDLEIRISELIEENLRLKARNFGDVIEISDEEGDEVETDFKDEVLNADGCRQEERFLSVPTPKKKCCSRVITSDSEDDDDDVDDDTPIGKLKRRKKAEEDDEDEIPIGMLKNSNVGGDAVGEDLTPNRKRLFPLGELERMNCRKEGSYPRDSIDSDRITRRKVMFLNAGDAEGEEDETHELHACDSDSGSESLRGFIVNDSEVDESECSHGDDISSHDLSDECPSDLELDEVLARIRRGKNMKQWEYEADMLASFSKDPELCMKAVCAVYRQQTAEEKSVKGTLLINNRGFSKFDALKGSRMAEFLLDGDPNGPLKKSVEELQKYDRHGLDYCSKLARHYSKQLFMIYQNKEDPFFLPS >DRNTG_03863.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3672513:3674713:1 gene:DRNTG_03863 transcript:DRNTG_03863.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFLERCKGNKDSVGAYAVQCGECSKWRFIPTKEEFESIRHNFIENPWYCNKKPGVTCDDPADLEYDNTRIWVFDKPNIPKAPPNTERMLIMRRDCSKMDAHYIMPNGKKVRSGVEVEKFLEAYPEYKGKISPSSFSFTVPKVMDERSPRKFEAKASPSSNKRLKISTKKE >DRNTG_26215.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:366222:368457:-1 gene:DRNTG_26215 transcript:DRNTG_26215.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g57150 (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G57150) UniProtKB/TrEMBL;Acc:C0SVF3] MASSPPSPSSEKKKKKNKKHANDHDAVPTMDSVPDLDQSQTDFFIKPQSFTPPADTSQWPILLKNYDRLNVRTGHYTPLPAGHSPLKRPIAEYLRYGIINLDKPSNPSSHEVVAWIKRLLRTEKTGHSGTLDPKVTGNLIVCVDRATRLVKSQQGAGKEYVCVARLHSAVPETSKVARALETLTGAVFQRPPLISAVKRQLRIRTIYESKLLEYDADKHLVVFWISCEAGTYVRTLCVHLGLILGVGGHMQELRRVRSGILGEKDNMVTMHDVMDAQWVYDNYKDESYLRRVVMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIENGEEVVLMTTKGEAIALGIAEMTTAVMATCDHGVVARIKRVVMDRDTYPRKWGLGPRASMKKKMVAEGLLDKHGKPNEKTPGEWLRNLPLPSGGDAMIAGIAAAQETVIAKEGVVGEEKGSKDGKEDVEGKKRKLEQAMGSPISVAAKKVKVDEVDGAVDGAKEKKHKAKKVKEGEEVVEEGMSEKKKKKKHKEKSETEDNDLDKGGKEKKSSKDKSDLGI >DRNTG_26215.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:364466:368457:-1 gene:DRNTG_26215 transcript:DRNTG_26215.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g57150 (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G57150) UniProtKB/TrEMBL;Acc:C0SVF3] MASSPPSPSSEKKKKKNKKHANDHDAVPTMDSVPDLDQSQTDFFIKPQSFTPPADTSQWPILLKNYDRLNVRTGHYTPLPAGHSPLKRPIAEYLRYGIINLDKPSNPSSHEVVAWIKRLLRTEKTGHSGTLDPKVTGNLIVCVDRATRLVKSQQGAGKEYVCVARLHSAVPETSKVARALETLTGAVFQRPPLISAVKRQLRIRTIYESKLLEYDADKHLVVFWISCEAGTYVRTLCVHLGLILGVGGHMQELRRVRSGILGEKDNMVTMHDVMDAQWVYDNYKDESYLRRVVMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIENGEEVVLMTTKGEAIALGIAEMTTAVMATCDHGVVARIKRVVMDRDTYPRKWGLGPRASMKKKMVAEGLLDKHGKPNEKTPGEWLRNLPLPSGGDAMIAGIAAAQETVIAKEGVVGEEKGSKDGKEDVEGKKRKLEQAMGSPISVAAKKVKVDEVDGAVDGAKEKKHKAKKVKEGEEVVEEGMSEKKKKKKHKEKSETEDNDLDKGGKEKKSSKDKSDLGI >DRNTG_26215.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:364466:368457:-1 gene:DRNTG_26215 transcript:DRNTG_26215.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g57150 (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G57150) UniProtKB/TrEMBL;Acc:C0SVF3] MASSPPSPSSEKKKKKNKKHANDHDAVPTMDSVPDLDQSQTDFFIKPQSFTPPADTSQWPILLKNYDRLNVRTGHYTPLPAGHSPLKRPIAEYLRYGIINLDKPSNPSSHEVVAWIKRLLRTEKTGHSGTLDPKVTGNLIVCVDRATRLVKSQQGAGKEYVCVARLHSAVPETSKVARALETLTGAVFQRPPLISAVKRQLRIRTIYESKLLEYDADKHLVVFWISCEAGTYVRTLCVHLGLILGVGGHMQELRRVRSGILGEKDNMVTMHDVMDAQWVYDNYKDESYLRRVVMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIENGEEVVLMTTKGEAIALGIAEMTTAVMATCDHGVVARIKRVVMDRDTYPRKWGLGPRASMKKKMVAEGLLDKHGKPNEKTPGEWLRNLPLPSGGDAMIAGIAAAQETVIAKEGVVGEEKGSKDGKEDVEGKKRKLEQAMGSPISVAAKKVKVDEVDGAVDGAKEKKHKAKKVKEGEEVVEEGMSEKKKKKKHKEKSETEDNDLDKGGKEKKSSKDKSDLGI >DRNTG_29964.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5732711:5741092:1 gene:DRNTG_29964 transcript:DRNTG_29964.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGGIEAEKEAKKRARLAMMELTNMISVPMALHTVIRLNIPDAIWSSGSNSPLSADEILSRIHPSLPPSTSPTAIQRLLRLLTSHGVFSELYLPPAPRRYSLTDIGQTLVSSEPNGPSFAAYVLQHHQDALVRAWPWLHSAVVDPDGPEPFAKANGGVGAYEYYGKEPEANALMQRAMWGVSVPFMDAFLDGYEGGFDGVETLVDVGGSSGACLKMIMDRFSGVTLGINFDLPEVVAEAPDFPGVKHVGGDMFKSIPAGDAIFMKWILMTWTDEECERILKNCYNALPEKGKLIACEPVLPEETDSSQRTRALIENDIFVMTIYRTQGRGRTEEEFRRLAISSGFSSFRAIYLDHFYTVLEFQK >DRNTG_10148.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:690111:693272:1 gene:DRNTG_10148 transcript:DRNTG_10148.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSLVFSLLLVLPLCLWIPSPTLAHSLVSQLPGFPGSLPFHLETGYVNVDEEMDGNLFYYFIESENNPKQDPVILWLTGGPGCSSFCGLAFEIGPMAFDPPGYQEGLPTLFYNPLTWTKLCNIIFLDSPIGTGFSYSNRYDEYKLDDYKSTQDIHTFLRKWFADHPEFISNPFYIAGDSYSGMIVPVVAQKIANDNDNGVEHPFNLKGYLLGNPITDYKFDHEAKIPFVHGMGLISNELHEAIRTSCEGEYYTFPRNEQCTKNIELMDECLAGINPVNVLDPVCPFASPKPVRFNADRTLLEEQTMKELHLTKSDLSKECKTSGYLLAANWANNVTVRESLEIHEGTVKQWQRCDRSMPYTSNYQSVVEYHHDLTKRGYKALIYSGDHDMNAPHVGTQAWIKSLNLTIVDDWRPWMVDSQVAGFTRKYFNNLTFATVKGAGHTAPEYKQKECLAMFQRWINDIPL >DRNTG_10148.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:690181:693272:1 gene:DRNTG_10148 transcript:DRNTG_10148.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSLVFSLLLVLPLCLWIPSPTLAHSLVSQLPGFPGSLPFHLETGYVNVDEEMDGNLFYYFIESENNPKQDPVILWLTGGPGCSSFCGLAFEIGPMAFDPPGYQEGLPTLFYNPLTWTKLCNIIFLDSPIGTGFSYSNRYDEYKLDDYKSTQDIHTFLRKWFADHPEFISNPFYIAGDSYSGMIVPVVAQKIANDNDNGVEHPFNLKGYLLGNPITDYKFDHEAKIPFVHGMGLISNELHEAIRTSCEGEYYTFPRNEQCTKNIELMDECLAGINPVNVLDPVCPFASPKPVRFNADRTLLEEQTMKELHLTKSDLSKECKTSGYLLAANWANNVTVRESLEIHEGTVKQWQRCDRSMPYTSNYQSVVEYHHDLTKRGYKALIYSGDHDMNAPHVGTQAWIKSLNLTIVDDWRPWMVDSQVAGFTRKYFNNLTFATVKGAGHTAPEYKQKECLAMFQRWINDIPL >DRNTG_24541.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2404988:2407160:1 gene:DRNTG_24541 transcript:DRNTG_24541.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGTLPSDLRFCTSLQTLDLSSNSISGGLPSSLCNWLPYLVSLDLSSNQLSGPLPAELSNCRFLNALILDHNSFSGSIPASIARLDRLKRLDLSDNQLSGAIPPSLPVSDPSAFANNPSLCGHPLHSCHSSLTRTSLIIIIASGVFGAAASLLLAFLIWRWRSKKHLAGAAGEDGLRWADRLRSSQHRLTEVSLFHKPIVKVKLADLMAATNGFHPNHIITAGSSRNGTAYKAVLRDGSALTVKRLHGCDLLEKPFRAEMNRLGQLRHPKPRPSSRLLPRRRREASHLQKHAPWFHF >DRNTG_24591.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28702624:28711795:-1 gene:DRNTG_24591 transcript:DRNTG_24591.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWKVLYSSSKDSLVLYRFKHEDIGRVGDARVSANLLVFELAQPFGVAQGQSHSSIPTYAHGTRSPPTCPSLKKQSDPRRERVPIYVTSMNLWTREVFLARKKVGRGASVEAYPIPDEGILGRRVEDFPQDHRHDYRGGFSMDSLLLHSILLILQVMTRGNRSILVEGDLELERTLKKKEKEPVQEQSNVADLEMEGSGNMAEQNEQ >DRNTG_07512.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27153941:27154328:1 gene:DRNTG_07512 transcript:DRNTG_07512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASGTLLFPAINVNDSVTKSKFDNLYGCHQYSLLDGLMRATEVMIASKVVVVCGYGDIDKCCSQADVISEVDIVVTTTGKRGYYHG >DRNTG_00321.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2232376:2234048:1 gene:DRNTG_00321 transcript:DRNTG_00321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPSFYRTKNKSIKKRNKVTETNKDPNQEDMLKYKEVASQEKENEEVSRGILVLIKADVFHCPICCHALDPPIHQCQNGHVMCSKCCVNLNGKCPSCSEIIGLIRCLTLEKIIESMEISCSNAGCDAIVSYLDRASHQKSCIYAQCFCPLCSFQGCMSSLSQHVADNHKKSAVQLFSYESCFDVRVTDHELNILIAPDNRLFLLLIKDVAEGVAFSVIGICPAAEDYKFTYKLSVYNHPNYIELRSSGSMTCEWKGVHPKTFLLVPADAFPCHKLQVCVTITKKNMRP >DRNTG_11545.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21262801:21272176:1 gene:DRNTG_11545 transcript:DRNTG_11545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGDLDGMDPDGEMTMEEVIHALTDQLVLPLLPCRNPSKEPPLIPQQEAVAKQLHAVVLLYNYYHRKQFPQLEFVQFAPFCKAVIVANPSLMIYMKYIHGREVAELEDIQKNLSILSITEKAVLDACKICAALDAGKDVPSIEGLPVSKIALFLVNPVKKTCLLQFSAVTQGVWSLLEKDVDAPLDNLGGSKNKKNSLADNDIRQKLAFSAAQKITGIHPSKLRILGCHLTYSLSEEKRTTTLYIMEYNQAVDGDFVEVPIDDVMNSIRGPLVRAGVPPEVTTVVEYYHLLPYVHIISEWLSRDPPHRGSLDLQKQQAEVEKFPLEPEPIVRSHVVSNKSCKNTQAMVLSNNISKTETESRNKRKNNPFYMNGSQEAAGIPKKKAGSFSSKLDSSNLQQQPMTCGMSLNNKKEMMKTQVINDQGIRGSTLSESSEAFSRPENEADGPIQQPFLQTKERIISDIQMNNTSEQLSSEANVSIDSDGFKDKADKVDVAKRLNNDTRTEDGGLPVQKDNEGGNSIQDRMASSGLRSSVQDRLATSDPRSSVRDRLATSDLPIVPVEGCSEKNDKRTSLQTTLRTFKRKRNELCNQHRILEDEIAKCEMNIQNILNGGEEDVVSNMEPIADAVTILSSSQMSMDGSTASSEEESFNELVKRKKLSEAVLFLRTPCQELDDICSKNNWTLPRYSVLPVVSGGNFQSTVTVQGVDFDFMCRGDPEEKPRDARQSAASDMLIKLRKMASQTK >DRNTG_11545.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21262801:21269796:1 gene:DRNTG_11545 transcript:DRNTG_11545.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGDLDGMDPDGEMTMEEVIHALTDQLVLPLLPCRNPSKEPPLIPQQEAVAKQLHAVVLLYNYYHRKQFPQLEFVQFAPFCKAVIVANPSLMIYMKYIHGREVAELEDIQKNLSILSITEKAVLDACKICAALDAGKDVPSIEGLPVSKIALFLVNPVKKTCLLQFSAVTQGVWSLLEKDVDAPLDNLGGSKNKKNSLADNDIRQKLAFSAAQKITGIHPSKLRILGCHLTYSLSEEKRTTTLYIMEYNQAVDGDFVEVPIDDVMNSIRGPLVRAGVPPEVTTVVEYYHLLPYVHIISEWLSRDPPHRGSLDLQKQQAEVEKFPLEPEPIVRSHVVSNKSCKNTQAMVLSNNISKTETESRNKRKNNPFYMNGSQEAAGIPKKKAGSFSSKLDSSNLQQQPMTCGMSLNNKKEMMKTQVINDQGIRGSTLSESSEAFSRPENEADGPIQQPFLQTKERIISDIQMNNTSEQLSSEANVSIDSDGFKDKADKVDVAKRLNNDTRTEDGGLPVQKDNEGGNSIQDRMASSGLRSSVQDRLATSDPRSSVRDRLATSDLPIVPVEGCSEKNDKRTSLQTTLRTFKRKRNELCNQHRILEDEIAKCEMNIQNILNGTSSIFSLTFGNYLCVLLWLCSNIAILEWKASYYQS >DRNTG_11545.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21271801:21272176:1 gene:DRNTG_11545 transcript:DRNTG_11545.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGDPEEKPRDARQSAASDMLIKLRKMASQTK >DRNTG_30153.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3336623:3336939:1 gene:DRNTG_30153 transcript:DRNTG_30153.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEAIVTATGVHSFFGKAAHLVDSTNQVGHFQKVLKSIGNFCICSIAVGMIVEIVGMYAIQHRAYRTGIDNL >DRNTG_26636.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13918933:13929505:-1 gene:DRNTG_26636 transcript:DRNTG_26636.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSLSSSWSNKPSYGSGLNISGVWKLYMAVWKFHMGV >DRNTG_13845.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9759435:9760045:-1 gene:DRNTG_13845 transcript:DRNTG_13845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRFSMLPTTSKHPHLQLLVNTQQMEGMKSWQTEAFEKLGPCKDFPITHYFDSHAQLFAQVSAKAANKDHHGKARNGDHKEGSEKQNQVKDMISEKKKEPSTHLKAPKAVDEDLYKIPPELLHK >DRNTG_15246.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23447080:23450057:1 gene:DRNTG_15246 transcript:DRNTG_15246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIMAIRSRGNPRSLPLRSQAQGHQHPLQRAHIKH >DRNTG_02785.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1188249:1195002:1 gene:DRNTG_02785 transcript:DRNTG_02785.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transport protein SUC4 [Source:Projected from Arabidopsis thaliana (AT1G09960) UniProtKB/Swiss-Prot;Acc:Q9FE59] MRAAAVACGVQFGWALQLSLLTPYVQELGIAHAWASLVWLCGPLSGLLVQPLAGHMSDRCRSGFGRRRPFIAAGAATIALAVILIGYSADIGDILGDPRGPVHRPRAVVVFVIGFWLLDVGNNATQGPCRALLADLSGKDHRRTRIANAYFSLFMALGNILGFATGSYSGWYTILPFTLTSACSVNCANLKSAFILDIVLLALTTYISISSIQEIPLSDMGAEHSVEEVQQEAFLWELIGSFRYLTPPIWIVLIVVSLTWVGWFPFFLFDTDWMGREIYKGKPNEGHAYHSGVRMGSLGLMLNSVVLGVTSVILERLCRKWGAGLVWGISGILMSICFGAMLIISYVAETTEYPSSGIPPIGIIVAALIVFAVLGAPLAITYSIPYAMISTRTEPLRLGQGLAMGILNLAIVVPQVIVSLGSGPWDQLFGGGNSPAFAVAAVAAFCSGLMAIIALPRSSITRARTHK >DRNTG_02785.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1188249:1196137:1 gene:DRNTG_02785 transcript:DRNTG_02785.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transport protein SUC4 [Source:Projected from Arabidopsis thaliana (AT1G09960) UniProtKB/Swiss-Prot;Acc:Q9FE59] MRAAAVACGVQFGWALQLSLLTPYVQELGIAHAWASLVWLCGPLSGLLVQPLAGHMSDRCRSGFGRRRPFIAAGAATIALAVILIGYSADIGDILGDPRGPVHRPRAVVVFVIGFWLLDVGNNATQGPCRALLADLSGKDHRRTRIANAYFSLFMALGNILGFATGSYSGWYTILPFTLTSACSVNCANLKSAFILDIVLLALTTYISISSIQEIPLSDMGAEHSVEEVQQEAFLWELIGSFRYLTPPIWIVLIVVSLTWVGWFPFFLFDTDWMGREIYKGKPNEGHAYHSGVRMGSLGLMLNSVVLGVTSVILERLCRKWGAGLVWGISGILMSICFGAMLIISYVAETTEYPSSGIPPIGIIVAALIVFAVLGAPLAITYSIPYAMISTRTEPLRLGQGLAMGILNLAIVVPQVIVSLGSGPWDQLFGGGNSPAFAVAAVAAFCSGLMAIIALPRSSITRARTHK >DRNTG_19876.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15449591:15450184:1 gene:DRNTG_19876 transcript:DRNTG_19876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQACQILMTEFPRLVARVEALEGRSQPTAPSLQRTEAPGTNEASEFDDDDIIGKVIPRRPHSKRLAKKRRTILPLSPPPADDETIATPSAADAVTETVAVDDMAMTVEDIVDDVAVAAVEKVVNSLLNESMDPVEPVAEIAASKMDTILADQEQAKGVSPNDAVVVATVEKIVESVAVAVAVADSTATKQDTIPPQIQ >DRNTG_20373.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:140449:151412:1 gene:DRNTG_20373 transcript:DRNTG_20373.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEDALHIQKGTVPEWIRCNDNLHYAKDLPSNVKYQCKLTSQGTQTWIRSLNYSIIDDWRSWFFGEQVAGYTRTYANNLTFATVKGAGHTVPEYKPRESLAMIKRWLSNQPL >DRNTG_07988.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27383248:27388190:1 gene:DRNTG_07988 transcript:DRNTG_07988.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEGP1 [Source:Projected from Arabidopsis thaliana (AT3G27925) UniProtKB/TrEMBL;Acc:A0A178V823] MLSAFMAATSPSLLLLASSLTSSSSSPSRFSIRAHLSSPRPSPLRPLLLHSPLSSSPPRRPFFPIKFHPDLLSAARDSLFVFVASLALSVSVFVSDAGSAAAFVVTSPRKLQSDELATVRLFQENTPSVVYITNLAVRQDAFTLDVLEVPQGSGSGFVWDKDGHIVTNYHVIRGASDLRVTLADQATYEAKVVGFDQDKDVAVLRIDAPKDKLRPIPVGVSADLLVGQKVYAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGINTAIYSPSGASSGVGFSIPVDTVNGIVDQLVRFGKVTRPILGIKFAPDQSVEQLGVSGVLVLDAPADGPAGKAGLQPTKRDAYGRLILGDIITSVNGKKITNGSDLYRILDQCKVGEKVIVEVLRGDHKEKFPVVLEPKPDES >DRNTG_16552.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8571180:8572305:1 gene:DRNTG_16552 transcript:DRNTG_16552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEPTTGLATEAIADPAPDVTVPDVASADDVAGKPVKARKAKEPKAKKAAGPKKGPSHPPYLEMIGEAITTLKERTGSSQYAIGKFIEDKHKNKLPPNFAKLLLVRLRKLTASGKLTKVKNSYKIPSASKTPAAPAAAVAPAKLKSKPKPKPTVAKIKTAAKPKDKAKPKSVAAKPKSKPKPAAAAKPKPAAAKPKPKAAPAAKAAAKPKAAAKVTKPKPKARPAKAAKTSAKDTPSRKAVAKPASSKKAAAAPVAKKPKAVKPVAKSPVKKTPARKAKK >DRNTG_16451.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17204682:17208492:1 gene:DRNTG_16451 transcript:DRNTG_16451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMHMIKIICWNCRGISVGDTSSLVFRLVKAHKPAIVCLVETRANANRFDRFCRKIPKHWDWAAILSDGFSREIIVFWRKSIGAVTPIAASRRALHIVTHDLSKTFLLSVTYNSSRFRSQCFLWHELSKLTSLQVPWLVVGDFNSILHRTEHLRGPFTHYDRQARFFCCHDAVRDAWNKHPHGNPMQAFTHLLSCAHYNLSMLRRILQRQNSNIWAQHAHLSWIKDVDKNTSFFHVITRIRMHTNFISPVVDSFGNQCQDQASIEGCCAQAMDDSSLDDLFALGIALQTTSDQRLGIKHIFFHSPTMLATITNPDPVVTWRFNSQIANIRYLLNEHDSHAIHCILRHWLLPAVHLATHGFNFSALNLFLFG >DRNTG_26114.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20845852:20846896:1 gene:DRNTG_26114 transcript:DRNTG_26114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQYHPFLLLCLLLPLLSIASSSSHKHHQDHPALKSLHFSLYQHETINQTGYIIVNGVAGAGVGQTTTPFGTIFVFNDKMTTKPDSNSNVKGIAEGTSITSSLDGLRSVSYAKITLKINGYQGSISIVGGTHNIKPADHPVVGGTGDFMFVQGFVRSSPVDLQGVTVVYKIEFHLYWPPYAAAVHGF >DRNTG_26114.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20845852:20846736:1 gene:DRNTG_26114 transcript:DRNTG_26114.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQYHPFLLLCLLLPLLSIASSSSHKHHQDHPALKSLHFSLYQHETINQTGYIIVNGVAGAGVGQTTTPFGTIFVFNDKMTTKPDSNSNVKGIAEGTSITSSLDGLRSVSYAKITLKINGYQGSISIVGGTHNIKPADHPVVGGTGDFMFVQGFVRSSPVDLQGVTVVYKIEFHLYWPPYAAAVHGF >DRNTG_26114.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20846061:20846896:1 gene:DRNTG_26114 transcript:DRNTG_26114.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANQYHPFLLLCLLLPLLSIASSSSHKHHQDHPALKSLHFSLYQHETINQTGYIIVNGVAGAGVGQTTTPFGTIFVFNDKMTTKPDSNSNVKGIAEGTSITSSLDGLRSVSYAKITLKINGYQGSISIVGGTHNIKPADHPVVGGTGDFMFVQGFVRSSPVDLQGVTVVYKIEFHLYWPPYAAAVHGF >DRNTG_26114.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20846061:20846736:1 gene:DRNTG_26114 transcript:DRNTG_26114.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANQYHPFLLLCLLLPLLSIASSSSHKHHQDHPALKSLHFSLYQHETINQTGYIIVNGVAGAGVGQTTTPFGTIFVFNDKMTTKPDSNSNVKGIAEGTSITSSLDGLRSVSYAKITLKINGYQGSISIVGGTHNIKPADHPVVGGTGDFMFVQGFVRSSPVDLQGVTVVYKIEFHLYWPPYAAAVHGF >DRNTG_01005.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19505628:19506485:-1 gene:DRNTG_01005 transcript:DRNTG_01005.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQIKHTEEEEEEEEESIKERTKKEMHLL >DRNTG_01005.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19505356:19506485:-1 gene:DRNTG_01005 transcript:DRNTG_01005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQIKHTEEEEEEEEESIKERTKKEMHLL >DRNTG_01005.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19505628:19506344:-1 gene:DRNTG_01005 transcript:DRNTG_01005.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSSHATHNRQSNNGLSYMCGIQGARHNPYHLSTFLSFFFFFFPNTFILSLLQKRKKEEKEREVEDTWRVREVGGQESCGGGGEFIGKRERKTTEK >DRNTG_01005.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19505356:19506344:-1 gene:DRNTG_01005 transcript:DRNTG_01005.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSSHATHNRQSNNGLSYMCGIQGARHNPYHLSTFLSFFFFFFPNTFILSLLQKRKKEEKEREVEDTWRVREVGGQESCGGGGEFIGKRERKTTEK >DRNTG_01005.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19505398:19506485:-1 gene:DRNTG_01005 transcript:DRNTG_01005.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQIKHTEEEEEEEEESIKERTKKEMHLL >DRNTG_01005.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19505398:19506344:-1 gene:DRNTG_01005 transcript:DRNTG_01005.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSSHATHNRQSNNGLSYMCGIQGARHNPYHLSTFLSFFFFFFPNTFILSLLQKRKKEEKEREVEDTWRVREVGGQESCGGGGEFIGKRERKTTEK >DRNTG_11980.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4302603:4308205:1 gene:DRNTG_11980 transcript:DRNTG_11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDVERVRSMKQQQGQGEQVCQICGDGVGTTVDGELFVACDVCGFPVCRPCYEYERKDGNQACPQCKTKYKRHRGSPPVSGEDEGAEEVASDVEYSAGNQVQKQKIADRMLSWRMSRGRGGETGISKNDSGEFPRNHIPLITHSQGFSGELSAASPDHHMMSPGGGAGGMKRVHPLPYASSNTSREFSGGFGNVAWKERVDGWKMKQDKNVVPMTHATSHAPSEGRGVVDIDAATDYNMDDALLNDEARQPLSRKVSLPSSRINPYRMVIVLRLIILCIFLHYRITNPVRNAYPLWLVSVICEIWFAISWILDQFPKWFPVNRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYSIEPRAPEWYFAQKIDYLKDKVQASFVKDRRAMKREYEEFKVRINGLVAKAQKVPEEGMDYARWHTLAWQ >DRNTG_25003.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27214715:27217160:1 gene:DRNTG_25003 transcript:DRNTG_25003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTELNVLPHPIAINKRSKAPFIPLNVSLLKECSTLREFQQFHTLIIKLPSPLTHISLAKLIQSLVNSSHIDYARKVFDQMPQPSTFVFNTMIRAYAGSNAACREGIGVYTQMRSSSVEPDSYTYPSLLQACSSLSDGRGVHALILKSGQCGLDVHAQTSLVSFYSSFGDLECARRVFDGMPERNVVSWTAMVTGYVKQMEYDDGLALFHQMQVLDVEPNELTLVNVLSACANLGAYEMGKWVHRYIDRKGIVMNSTLGTALVDMYAKCGHIHKALHVFKRLPEKSVFAWNAVIGGLAMHGLGEEAIEKFSEMISVGMRPDDITLLAVLSACAHAGLVRKGKEYFDLIEREYGVRPNIKHYGCMVNLLGRAGLLEEAYEVVTKMPMEPNGVVWGTLLNCCSSHGDVELAETVMARLVALEPYNDGNYVLMSNIYASKGRWEDVAKVRRFMNERGINKTTGCSTIEVDNVVHEFIVSCSKHPRAEEIYSTLDEMSMRLKAEGYMAKTSNVMLDIDEEDKKRALCHHSEKLAIAFGLISTKPGTLLRVVKNLRACENCHLATKLISKIYNREIIVRDRNRFHHFKHGVCSCGDYW >DRNTG_31648.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:880556:881404:-1 gene:DRNTG_31648 transcript:DRNTG_31648.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVARNIGLRRLIEVEERSVYEPHPLDPDRWTAFRQETRIRCKPLSALASIAEKVEQRCAERFLQNSAKGRDFVERICRYLEAEGSSAAAAATAAASP >DRNTG_31648.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:880556:881546:-1 gene:DRNTG_31648 transcript:DRNTG_31648.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVARNIGLRRLIEVEERSVYEPHPLDPDRWTAFRQETRIRCKPLSALASIAEKVEQRCAERFLQNSAKGRDFVERICRYLEAEGSSAAAAATAAASP >DRNTG_31648.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:880486:881404:-1 gene:DRNTG_31648 transcript:DRNTG_31648.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVARNIGLRRLIEVEERSVYEPHPLDPDRWTAFRQETRIRCKPLSALASIAEKVEQRCAERFLQNSAKGRDFVERICRYLEAEGSSAAAAATAAASP >DRNTG_31648.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:880486:881546:-1 gene:DRNTG_31648 transcript:DRNTG_31648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVARNIGLRRLIEVEERSVYEPHPLDPDRWTAFRQETRIRCKPLSALASIAEKVEQRCAERFLQNSAKGRDFVERICRYLEAEGSSAAAAATAAASP >DRNTG_18390.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21000316:21000603:-1 gene:DRNTG_18390 transcript:DRNTG_18390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAFNAFKACVPIQWSPRLYITLVRGLPGTRKLYRGTLEAMRLRRCHRTVVHRTTPSLIGMLNQVCVP >DRNTG_18390.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21000141:21000603:-1 gene:DRNTG_18390 transcript:DRNTG_18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAFNAFKACVPIQWSPRLYITLVRGLPGTRKLYRGTLEAMRLRRCHRTVVHRTTPSLIGMLNQVKRLVAVETEAMYKSSETE >DRNTG_06843.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6319429:6326339:-1 gene:DRNTG_06843 transcript:DRNTG_06843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVEASREDNVYMAKLAEQAERYEEMVEFMEKVVKAVDTEELSVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVTLIKEYRGKIEAELSKICDGILKLLDSHLIPSSTAAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLLAYKSAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDITEDAGDEIKEAPKGESVEGH >DRNTG_09832.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000414.1:150637:152257:-1 gene:DRNTG_09832 transcript:DRNTG_09832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHEPQNLTANRAPAYQPHSFASELHGQIFTVAFQVKPPTGGSWKSAVEVSNAGVKFCGKSLGKIEFNDGVLYLPHILITHRTEKRLLNAIAYEMRRGGDAMVTDYVIIMANLLKTPKDVKVLSSAWIISNELGNHQEVVDLFNNISHVASSGRYANNLQDTLNKYCESKWRSLRAYVIGTYFRSPWAMFSLVYAIILFLFTVGQTYYTVAAYYSSDDGTSPK >DRNTG_20316.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5696189:5696726:-1 gene:DRNTG_20316 transcript:DRNTG_20316.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLAVEGSYDKMEILLNQNIHPVDILLMMASSEGDKPKIEELLRAGANYDVKDSDGRTALDRAASDEIKEFILGFSVKNA >DRNTG_14598.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16523963:16525621:1 gene:DRNTG_14598 transcript:DRNTG_14598.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGARQPAKRAGRVTRRKMARGIDLNAPPTLDEHQPVAAPLQPSQGSVSQWPELGTLGRSTWPIDVELIEDDVVCLSERMNSTQFCCFVLWLRFGLLDRLGFLSN >DRNTG_14598.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16523963:16527224:1 gene:DRNTG_14598 transcript:DRNTG_14598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGARQPAKRAGRVTRRKMARGIDLNAPPTLDEHQPVAAPLQPSQGSVSQWPELGTLGRSTWPIDVELIEDDVVCLSERMNSTQFCCFVLWLRFGLLDRLGFLSN >DRNTG_14598.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16523963:16527224:1 gene:DRNTG_14598 transcript:DRNTG_14598.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGARQPAKRAGRVTRRKMARGIDLNAPPTLDEHQPVAAPLQPSQGSVSQWPELGTLGRSTWPIDVELIEDDVVVCARSRSRRNEPVQVVEDDEPQVILGSSGPKTMPSAN >DRNTG_14598.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16523963:16525621:1 gene:DRNTG_14598 transcript:DRNTG_14598.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGARQPAKRAGRVTRRKMARGIDLNAPPTLDEHQPVAAPLQPSQGSVSQWPELGTLGRSTWPIDVELIEDDVVCLSERMNSTQFCCFVLWLRFGLLDRLGFLSN >DRNTG_04109.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3567387:3569034:-1 gene:DRNTG_04109 transcript:DRNTG_04109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPILLLFLLVTISLALLINQRERIRIRKLPPSPPRIPILGNLLWLTKPFSQLETTLHHLRAKYGPIFTLYIGSRPVIFIMDGALASRSLIQCGEVFADRPPPLSTSALNPNLHSINNTSYGHLWRLLRRNLISEVFYPLKSKKLSGHVQHMALDILLKRLKNEAEANGGVVVPVHSIQHCVSFFMTSLCFGMTLEEKVVDKIKNVQLELLAVLENHFVFGLLPKAALLLYWRRFGKLKQLRRVHEELLIPIIRARKQGEKNTHDMISYVDSLLKLKVPADGVGNMRELSEEDIVNFISEFLDASIWSSAASLEWIMANIVKHQDIQKKLRKEIRSVVGDTKRRIEEDEIRRMPYLKAVILEALRRHSPTHFSIPHSVKEDVIMDKYLIPKGTVVNYSVTSIGLDGRVWKDPLEFRPERFMAGGEGEGVDVNCGKRDIKMMPFGAGRRICPGSDMAVLLLQYLVANLVNEIELNAVEGMEVDLAANGEIFAAMKNPLHARIVNTA >DRNTG_13619.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6239272:6241226:-1 gene:DRNTG_13619 transcript:DRNTG_13619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIRLLQARAESREEGGLGERDRHCCAASRFPELEMI >DRNTG_05201.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22677466:22678874:1 gene:DRNTG_05201 transcript:DRNTG_05201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHHLLILLLLSILPTTFAEIKNTHIFSDARPMILFEQFGFLRGGRLSISISHVQWSPAFTSTTTSTFNTSLTGFFLIPNAYYPRIINESEYTPRFCPLLSHYIIPVLTFTDLTKDRSCDRALSIDIADEYSLFFGNCQPMFDVTMDVRTEMYNVDATGGKDYLPLGRRPVPKIYFGFFLVYLLFFLVWIWVCCKQRKTVEKIHLVMGGLLLFKALKLVSASEDLWHVKRTGSPHGWDVAFYFFGFFKENIAAVVIGETGPAEPDFLTWNQIFLTVDIICCAAVFFPIIWSIKNLQEASKTDGKAARNLEKLTLFKQFYVVVVSYLYFTRIFLSAFIAMLSYKYRWTVTAAEEGASLGFYLFVFYNFQPVEKNPYLYIGDEEEAAAGGALEMEESFEL >DRNTG_26179.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29176939:29177655:1 gene:DRNTG_26179 transcript:DRNTG_26179.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNLIPHSLKYLTKTYLGYEIQTGIHHPYEDCVAALRIYKKMRSLSHPNFSLPNHRKDFLDANSSNSFDFLTKRELMNLSPDALLEMSLPNYKCWCLDAKNPMNS >DRNTG_26179.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29176628:29177655:1 gene:DRNTG_26179 transcript:DRNTG_26179.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYYPSHLIRDTAQYRPLMRTNLIPHSLKYLTKTYLGYEIQTGIHHPYEDCVAALRIYKKMRSLSHPNFSLPNHRKDFLDANSSNSFDFLTKRELMNLSPDALLEMSLPNYKCWCLDAKNPMNS >DRNTG_26179.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29176057:29177655:1 gene:DRNTG_26179 transcript:DRNTG_26179.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLETCDMEVSSSTVADANEQGPEVVALDCEMVGGGSDGTLDLCARVCLIGENENVIFHSYCKPLIPVTNYRYEITGITEENLINAPPLKQVRSRIEEILYNGETPWRARILGGRGRILVGHDLEHDLWCLDMYYPSHLIRDTAQYRPLMRTNLIPHSLKYLTKTYLGYEIQTGIHHPYEDCVAALRIYKKMRSLSHPNFSLPNHRKDFLDANSSNSFDFLTKRELMNLSPDALLEMSLPNYKCWCLDAKNPMNS >DRNTG_26179.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29175490:29176837:1 gene:DRNTG_26179 transcript:DRNTG_26179.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMNFICRHKCSACYKQYKKKEHLVEHMRVSYHSFHQPKCDVCKKHCISFESVREHLKGPLPKESCAKTFSSLGCDLCLKVFDDTDVLSAHESSCRLDPAIIPEIPAMLSLETCDMEVSSSTVADANEQGPEVVALDCEMVGGGSDGTLDLCARVCLIGENENVIFHSYCKPLIPVTNYRYEITGITEENLINAPPLKQVRSRIEEILYNGETPWRARILGGRGRILVGHDLEHDLWCLDMYYPSHLIR >DRNTG_26179.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29175490:29175933:1 gene:DRNTG_26179 transcript:DRNTG_26179.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMNFICRHKCSACYKQYKKKEHLVEHMRVSYHSFHQPKCDVCKKHCISFESVREHLKGDCLF >DRNTG_26179.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29172276:29177655:1 gene:DRNTG_26179 transcript:DRNTG_26179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAPKCLCRDPDSYYLQRSPMIISMDLQPNPIDPQPERSRIVRHKCSACYKQYKKKEHLVEHMRVSYHSFHQPKCDVCKKHCISFESVREHLKGPLPKESCAKTFSSLGCDLCLKVFDDTDVLSAHESSCRLDPAIIPEIPAMLSLETCDMEVSSSTVADANEQGPEVVALDCEMVGGGSDGTLDLCARVCLIGENENVIFHSYCKPLIPVTNYRYEITGITEENLINAPPLKQVRSRIEEILYNGETPWRARILGGRGRILVGHDLEHDLWCLDMYYPSHLIRDTAQYRPLMRTNLIPHSLKYLTKTYLGYEIQTGIHHPYEDCVAALRIYKKMRSLSHPNFSLPNHRKDFLDANSSNSFDFLTKRELMNLSPDALLEMSLPNYKCWCLDAKNPMNS >DRNTG_33090.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:9953690:9959563:1 gene:DRNTG_33090 transcript:DRNTG_33090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYIPLTGTVLITYNAPALCLVAPSQSSPLSITANLSTDYIHLDLDFGQPSLYWLSSLGKAPSSAERTASLSSIIREHWGELPFHADYSNHMVILGFLHDEFSNKWDPDGVVVSEVKIKQLELEPVVEEVLPAASILMKGKHYGGVRQRPWGKFEAEIRIRQGMV >DRNTG_10111.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20829296:20832778:-1 gene:DRNTG_10111 transcript:DRNTG_10111.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTAC15 [Source:Projected from Arabidopsis thaliana (AT5G54180) UniProtKB/TrEMBL;Acc:A0A178U8Y7] MAAAAVVLSSPFPSRNITTIALIPPPSSTSLPFPPLKHTINPLRCSLAVSPLASPLEPLLDEKEIQALLRHHPQLHSAPPEFLRHRITSLQSLGITGFTLRRAITKCPEILTSLKLDQFLDFIHGNLKDVKPAKIERLLGCAIHSHFFAGFTIRIKLLLEHGIPQEKLAHIINNINAQKVFAEKSVDEIKNMLAFLDRFGGPALCLRRPALLNLDLNEQMIPRYEFLVEIAGGDEDTAGLLIRKVPALLLYTVEHFGSHMDFWRSEGLSDEEVLKIALIYPNIFSASRERKLKPRVAFLRESGLSSQDIFKFLCKAPLFLTLSFEDNLSKKLGLLIKLGYRARTRELVVALGAVTRTSCENMQMVIGLFFSYGLSCEDVLTMSKKHPQVLQYSHLSLEKKLEFLIEDMEREVGELLCFPAFLGYKLDERIKPRYEAKKESRGKGMSLNKLLSVSSQRFSKTGNKQRQDGD >DRNTG_11566.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8007423:8010442:-1 gene:DRNTG_11566 transcript:DRNTG_11566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSDSESEFNHQKKKKDKDKNGDEGFSTGKLVECRICQDEDEDSNMETPCSCCGSLKYAHRKCVQRWCNEKGDTVCEICLQQFKPGYTAPPQLFHYGGIPMNFRGNWEVSRRDINNHRFITMVPTARDLVDSDDDDYSVSSTRNMMYCRTVAIVFMVLLVLRHTLPIILTGVEQYSLTLFTLLLLRTAGIVLPLYVMLKAVTTFHRRRIQQAAQEPAIPASNEATTRAQASQTMPTEMHMIHVH >DRNTG_11566.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8007423:8008287:-1 gene:DRNTG_11566 transcript:DRNTG_11566.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTARDLVDSDDDDYSVSSTRNMMYCRTVAIVFMVLLVLRHTLPIILTGVEQYSLTLFTLLLLRTAGIVLPLYVMLKAVTTFHRRRIQQAAQEPAIPASNEATTRAQASQTMPTEMHMIHVH >DRNTG_11741.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1945125:1946500:1 gene:DRNTG_11741 transcript:DRNTG_11741.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAAVFSQELFAYTCKELLTIGGTWNEFGVIEEENMINNEVGESQEQWSGWMDELSSIVEEQNSPSPETCKKEEYFFNETSASRQRRRRARTYKNKEELENQRMTHIAVERNRRKLMNEYLAVLRSLMPPSYVQRGDQASVIGGAINYVKELEQLLQSLEAQKYMNQCSSSSCTITPFTDFFTFPQYSSSTSSSSSSSQDLLEETKKKKNSSSAMADIQVTMVENHASLKVLSRSRPKQLLKMVTGLHSLHLTIFHLNLTTFDHMTFYSFSLKVEEECPATSADEIAGVVHEMLGRIEEEQLNF >DRNTG_11594.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13669643:13670780:-1 gene:DRNTG_11594 transcript:DRNTG_11594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAMMTPTPAAVMSQATTSSSSSSSSRPTLGFPLGTALLLIVIFCLSGIFSCCYHWDKLRSLRARASRHSTDLEDPHTHDQEHIMPTLSSPTLPVKLALVHQSHEQEKKQSLPVIMPGDQIPKFMAWPRACQQR >DRNTG_27356.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6676037:6679683:1 gene:DRNTG_27356 transcript:DRNTG_27356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLEKTTARSEYRQESMGLKTEKLKGVAMAGVSRSRVKLWMIRATTTVLLWTCVVQLTALSEMWGPRVLKGWPSCFSPSDSPIPMKLSSSSMEVSLPPKRIYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKSSFWADPSEFQDIFDVDHFITSLRDEVRILKELPPRLKKRVELGRVPSMPPISWSDISYYLYQILPLIQKHKVLHLNRTDARLANNGLPMEIQKLRCRVNYSALRFTSQIEELGKKVINILRQNGPFLVLHLRYEMDMLAFSGCTQGCTQEEVEELTRMRYAYPWWKEKVINSDLKRKDGLCPLTPEETALILTALDIDRSIQIYIAAGEIYGAERRMASLSSAFPNVVRKETLLEASDLRFFQNHSSQMAALDYMVSLESDIFVPTYDGNMAKVVEGHRRYLGYRKTILLNRKLLVELVDQYNSGSLNWHGFSSAVKEAHGNRMGMPSRRMVIPDKPKEEDYFYSNPQECLPLPDDQPWTS >DRNTG_11746.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1916469:1918240:-1 gene:DRNTG_11746 transcript:DRNTG_11746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTRPLSLYNNNPNFLSAPPPEGPGSGILVIQDEAAEAEAVCCLGLCEDSRIYKLPFPQNRKTVISYTHTTGAGAHQGTSHSHHQTSHDEVYFIPVTGQPLSSNVYYAIMADGRHKGKTATSSREEDMGTCLCFNFVNDTKPLSFDPTNTYQQVEIIPRGRRFTAKAVAQDGFPPHFLRRKGWRANTSIPRSFVLEGEANGVDMALRRRLPDFGSHVVVGKWYTPFIFIKEGDRLKDQMKKSMYYEVTLEQFWEEIYGCNFHGENKVQVSVSVRREMALLNGNEVVEDEVNMVDNFIWFKGVNSRESGLGLSMVVWERMRWEENRLGWVMSGRENKVKKVDRVEEFEGDVWRRFSCYVLVERFVFKRMGGSLAFTYDFKHVDKIRVTWE >DRNTG_21245.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6300862:6302370:-1 gene:DRNTG_21245 transcript:DRNTG_21245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKERTRLRGGRSGGRTSHAHSNIEGSSNDDSAPISNPNIPSVEETVNVIGSQQHNQNVVAVSNTQANYGSTQDASTIVGRLRITVVNGLPSCHREESISNVEHGKKPDKMLTLKQHAISIEFGSTNSRPTLAVDELVFYIEVIGGEKKRRVYGLRPQASSYYGCSNSNVNNSTTTSTMQNNEDLQKELASVHKQVQIQEERHQQERQETQARASRNSTRGG >DRNTG_18902.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3097976:3100609:-1 gene:DRNTG_18902 transcript:DRNTG_18902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIHLGHVVADHLQYQRQNGRAKLLFAGPCITRLIRGMVLMEAIQDVEKTVIPSPLGLDTLRMMGLVHKYQPRVYILATSTTESAKGGGDAAENSAPMAT >DRNTG_35479.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3840402:3845662:-1 gene:DRNTG_35479 transcript:DRNTG_35479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGLLGSKFVNKCKHSVKCINSRLVVITKKKQATVGFLKKDVADLISRGHDSNAFGRIDVLIVEINHARCYDMIEKYCESILNLLPSMQKQSECPEDAMEAVSTLIFAAARFSDLPELCELRRAFLERFGSYIESFVNSEFMENMQRKSFSVDKKLQLMQEIAQEFSVSWDFKDIQTEVI >DRNTG_28962.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:7160200:7164178:1 gene:DRNTG_28962 transcript:DRNTG_28962.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 15 [Source:Projected from Arabidopsis thaliana (AT5G42340) UniProtKB/Swiss-Prot;Acc:Q681N2] MAEQKENLIRWLLQLIESVGTMGEFRRTQRKECSHLIRRMRLFVPLLEEITDLEATIPESARNALLDLKKAFSCARALLKSCCHSSKIYLAVEGEAVMGRFHAVYDKLYHVLDGMAFDQLGVSDEVKEQVELTCMQLKRAKRRVDTQDMELAMDLMVVLSKSENRNADKVIIERLAKKLDLQTLPDLREETAAVQKLVKERRSRNREITHQIVDLLNKFIQMSGFEDINELDAVQPKTKSLQRCPSLQIPNEFLCPISLEIMTDPVIVATGQTYDRSSIQKWLDSGHCTCPKTRQALTHQSLAPNHALANLISQWCKKNNVELQAKDLCSPSKLVMHAEDEIPSLVKDLSSNFLHIQRTAVRKIRLYSKENPDNRILIARNGGIPRLVGLLYSPDSVMQENAVTALLNLSINEANKKTISEEGAIPAVIELLNNGTIEAKENAAATLFSLSMLDDNKFVIGDMNGIPPLICLLQNGSLRGKKDAATALFNLCLNQKNKYRAISAGIVAPLLKLLEDGNLGMIDEALSILLLLASLPEGRSAIGKPSFVEILVKLIRDGTQKNKECAVSLLLELGLHDLALVLAAFGFGVHEPLCEIVRSGTNRAKRKAISLLEYMSRCEQVR >DRNTG_16927.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000857.1:28047:28742:-1 gene:DRNTG_16927 transcript:DRNTG_16927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRLDSIPGFYPPVRDLRRATTRRVVALQEVFDAVVSAPAAVGSDGFPPTWDELVAEISRDDDDDNDVEIMVPAKKGLECFERFLAEV >DRNTG_26251.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6973236:6988066:-1 gene:DRNTG_26251 transcript:DRNTG_26251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAGGVARPAEDHVEKTKTLIGALSLVSRNLPLPPEVFDAVSSIYHDGEEEVYDTEEEEAEAIEGNDASAEKHSISEVSTSGALISQLEDALLKQQLSCLSASALEELKESHFQSHIQHRLEELEVLPSSRGEDLQQKCLLELYGLKLVELQRKVRSDVCAEYWLHEKCAYPDRQLFDWGMLRLRHPFSMYGIGDAFAMEGDERQRKKRDAERLSRLEEEERNRVETRKRKFFAEILNAAREFQLQAQAVLKRRKQRNDGIQAWHARQRQRATRAEKLRFQALKADDQEAYMRMVEESKNERLTMLLGKTNDLLVCLGAAVQRQKDAEHTVGFEALKESESDDHSQGSLSKSETPGEMSLDDDSVQKVKANDLLEGQRQYNSAVHSIQEKVTEQPLMLQGGELRPYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTIALIAYLMENKGVNGPHLIVAPKAVLPNWLNEFSTWAPSIIAVLYDGRLDERKAMREEYSGEGKFNVMITHYDLIMRDKAFLKKIHWYYMIVDEGHRLKNHECALARTLVSGYRIRRRLLLTGTPIQNSLQELWALLNFLLPSIFNSVQNFEEWFNAPFADKCEVSLTDEEELLIIRRLHHVIRPFLLRRKKDEVEKFLPGKTQVILKCDLSAWQKAYYQQVTDIGRVGLDSGTGKSKSLQNLSMQLRKCCNHPYLFVGEYNMWQKDQIVRASGKFELLDRLLPKLQKSGHRVLLFSQMTRLIDILEIYLQLHDFKYLRLDGSTKTEERGSLLKQFNAPDSPYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSIEEEILERAKQKMGIDAKVIQAGLFNTTSTAQDRREMLQEIMRRGTSSLGTDVPSEREINRLAARTEEEFWLFEKMDEERRKRERYMSRLMEESEVPDWVYAQSNEEKSKKSLEADSQSNQLLGKRRRKEVIYADLLSDVQWMKAVEGGEDLSKISAREKKRSGHSETYESASENMGMARNSHEQNNSSRLSTSEEYSEDMVGRTPRKLRSGSLQANKDEGEGDSSSWQDKVTWKPHKRKRSSMTLPGLH >DRNTG_26251.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6973236:6978114:-1 gene:DRNTG_26251 transcript:DRNTG_26251.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGGELRPYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTIALIAYLMENKGVNGPHLIVAPKAVLPNWLNEFSTWAPSIIAVLYDGRLDERKAMREEYSGEGKFNVMITHYDLIMRDKAFLKKIHWYYMIVDEGHRLKNHECALARTLVSGYRIRRRLLLTGTPIQNSLQELWALLNFLLPSIFNSVQNFEEWFNAPFADKCEVSLTDEEELLIIRRLHHVIRPFLLRRKKDEVEKFLPGKTQVILKCDLSAWQKAYYQQVTDIGRVGLDSGTGKSKSLQNLSMQLRKCCNHPYLFVGEYNMWQKDQIVRASGKFELLDRLLPKLQKSGHRVLLFSQMTRLIDILEIYLQLHDFKYLRLDGSTKTEERGSLLKQFNAPDSPYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSIEEEILERAKQKMGIDAKVIQAGLFNTTSTAQDRREMLQEIMRRGTSSLGTDVPSEREINRLAARTEEEFWLFEKMDEERRKRERYMSRLMEESEVPDWVYAQSNEEKSKKSLEADSQSNQLLGKRRRKEVIYADLLSDVQWMKAVEGGEDLSKISAREKKRSGHSETYESASENMGMARNSHEQNNSSRLSTSEEYSEDMVGRTPRKLRSGSLQANKDEGEGDSSSWQDKVTWKPHKRKRSSMTLPGLH >DRNTG_30698.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1805013:1808477:-1 gene:DRNTG_30698 transcript:DRNTG_30698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRKIVVVEYVPNGTLREHLDCLKGKPLDLAARLDIAIDVAHAITYLHMYSDHPIIHRDIKSSNILLTDTLRAKVADFGFARLGATDGDATHVSTQVKGTAGYLDPEYLRTYQLTDKSDVFSFGVLLVELVSGRRPIETKREIVERITAKWAMKKFTEGNALQTLDSNLLPTPAANLALEKILELSFQCLAPTRQSRPSMRRCAEILWSIRKDYRELLSSDPPCPRMRSSMNEKIAHDHIEVLIMLQLLISPACFPY >DRNTG_32720.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1483502:1485602:-1 gene:DRNTG_32720 transcript:DRNTG_32720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKICCIGAGYVGGPTMAVIALKCPSIEVVVVDISVDRITAWNSDLLPIYEPGLEDVVKKCRGVNLFFSTDTEKHISEADIIFVSVNTPTKTCGIGAGKAADLTYWESAARMIADVAKSDKIVVEKSTVPVKTAEAIEQILTHNSKGINFQVLSNPEFLAEGTAIQDLFYPDRVLIGGRETPGGQKAIETLKEVYSNWVPEDRIITANLWSAELSKLAANAFLAQRISSVNAISALCEATGADITVVADAVGKNTSIGPEFLSASVGFGGSCFQKDILNLVYLCECHGLSEVALYWKHVVQINDYQKTRFVDMVVASMFNAVSDKKIAILGFAFKKDTGDTRETAAIDVCKGLLREKAEISIYDPHVTEDQIQRDLTMNKFDWDHPIHLQQMSPSPVKQVTVTWDAYEATKGAHGICILTEWDEFKQLDYKKIYDNMKKPAFLFDGRNVVDPEKLREIGFIVYSIGRPLDAWLKAHTSD >DRNTG_32720.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1483502:1486075:-1 gene:DRNTG_32720 transcript:DRNTG_32720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKICCIGAGYVGGPTMAVIALKCPSIEVVVVDISVDRITAWNSDLLPIYEPGLEDVVKKCRGVNLFFSTDTEKHISEADIIFVSVNTPTKTCGIGAGKAADLTYWESAARMIADVAKSDKIVVEKSTVPVKTAEAIEQILTHNSKGINFQVLSNPEFLAEGTAIQDLFYPDRVLIGGRETPGGQKAIETLKEVYSNWVPEDRIITANLWSAELSKLAANAFLAQRISSVNAISALCEATGADITVVADAVGKNTSIGPEFLSASVGFGGSCFQKDILNLVYLCECHGLSEVALYWKHVVQINDYQKTRFVDMVVASMFNAVSDKKIAILGFAFKKDTGDTRETAAIDVCKGLLREKAEISIYDPHVTEDQIQRDLTMNKFDWDHPIHLQQMSPSPVKQVTVTWDAYEATKGAHGICILTEWDEFKQLDYKKIYDNMKKPAFLFDGRNVVDPEKLREIGFIVYSIGRPLDAWLKAHTSD >DRNTG_22144.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5807397:5808410:-1 gene:DRNTG_22144 transcript:DRNTG_22144.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCTFALQEEMKLEREQILSLFIKVMKKLYNYLYSIAAKDIDSTLPRLKDVSFAPHSKSVDEDLNEAAREVMEKMKAGNEAMLDPELLQQYAIVDREGDFEKALQTGAGKVPASGLLSVKSNRPRKEKPETHLESSRKNKRKGDGHKDRSKFSKKNKS >DRNTG_22144.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5807454:5817078:-1 gene:DRNTG_22144 transcript:DRNTG_22144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILQDFEALTPNLLARTIETVEGGGLIVLLLRSLSSLTSLYTMVMDVHERFRTESHSQPAARFNERFLLSIASCKACVVMDDELNILPISSHIRSIQPVTVMEDSEGLSEKERKLKTLKEQFHEDLPVGPLIGKCCTMDQGKAVITFLDAVLDKTLRSTVALIASRGRGKSAALGLAVAGAVAAGYSNIFVTAPSPENLKTLFEFVCKGLNALEYKEHLHYDVVKSTDPDLKKATIQINVYKQHRQTIQYLKPHDHGKLAQVELLVIDEAAAIPLPIVKSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLESQSQVPGQTVDGPHPGRLFKKIELNESIRYASGDPIESWLYGLLCLDVTSYIPNISRLPHPSECDLYYVNRDTLFSYHKESEIFLQRMMALYVASHYKNSPNDLQLMADAPSHHLFVLLGPVDESKNQLPDILCVIQVSLEGQISRKSAIKSLSEGHQPFGDQIPWKFCEQFQDNTFPSLSGARIVRIAVHPSALRLGYGSVAVELLARYYEGQLTHFTEAEFDENEEQNIRVTEAAEKVSLLEENITPRANLPPLLVHLRERHPEKLHYLGVSFGLTRDLFRFWRKHNFVPFYIGQIPSAVTGEHTCMVLKPLNNDEIEVSEPGQLGFLSPFYEDFKQRFSRLLGTTFRALDYKLAMSILASKISFAEHETLSDENSKPLQALLSPYDMKRLEAYTNNHVDYHMILDLVPILAHQYFQEKIPMTLTPVQASVLFCIGMQNQDISYIKEEMKLEREQILSLFIKVMKKLYNYLYSIAAKDIDSTLPRLKDVSFAPHSKSVDEDLNEAAREVMEKMKAGNEAMLDPELLQQYAIVDREGDFEKALQTGAGKVPASGLLSVKSNRPRKEKPETHLESSRKNKRKGDGHKDRSKFSKKNKS >DRNTG_07401.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7394092:7394385:1 gene:DRNTG_07401 transcript:DRNTG_07401.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPRKKLQINAVTTAPVTAIGHKMIARPANGTQTVSRKGPSLPIKS >DRNTG_06426.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29845848:29847377:-1 gene:DRNTG_06426 transcript:DRNTG_06426.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLX-like 3 [Source:Projected from Arabidopsis thaliana (AT1G55170) UniProtKB/Swiss-Prot;Acc:Q9C717] MAGRGHGPRHVMNSRRGHPNAQEVPFIRGPAPRPPHPVLLEEELELQHIEIQRLLADNHRLADDRAGMQREVGVLKEELHHMNIVIADLKAEKEAQCRELLEKVLKLEADVRASEPLRNEAIQLRKEVQKLNVLRQDLTGQVNTLTKELAVVRSDNQQIPHLRSEIEGLQQELMHIRRAFEYEKTRNVELMEHRQTMEKNMVSMAREIEMLRTNMGNAERRPMGGGRAYGMNHVNPEGAYPLSFGSAYNPHSGVAEQGPSYGAGSGPWGGFDKSRPPPRH >DRNTG_23600.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:609998:611501:1 gene:DRNTG_23600 transcript:DRNTG_23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIQILQQNKTTSTDCPSRSTHRSNTRDLVR >DRNTG_09709.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:785291:787390:1 gene:DRNTG_09709 transcript:DRNTG_09709.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSCASSPIPVVSQRDPRNSRLRRIAARGSSSFALAPSVKTSNHDRNMGSTSKASWMVAVSVGAVEALKDQGICRWNSTFRSLHQRAKNNMGSFSQTRRMSSSSSSSSSLETRKIEEVEHRAKQSEESLRKVMYLSSWGPY >DRNTG_28446.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3455914:3457072:-1 gene:DRNTG_28446 transcript:DRNTG_28446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSPSPTTKARTTKVSYDLDTGVQR >DRNTG_34153.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15208990:15216285:-1 gene:DRNTG_34153 transcript:DRNTG_34153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSDPPTITIPGWLETLPHAPVYRPTISDFSDPISFISRIEHEASRFGICKVIPPLPRASKSTTLQHLHSSLRIPFSTRCQELGSKKPSKTKQVWQSGDSYTIEQFEAKSKAFAKSQLHGLKEVTPLLVESLFWNAVAEKPITVEYANDVPGSAFAPRRKKRKREEGTPRSLSDSPWNLQGVARSPGSLTRFMPDDIPGVTSPMVYIGMLFSWFAWHVEDHELHSLNFLHMGAPKTWYAVPGEYAASLEEVVRVKGYGGNVDRLAAFMMLGEKTTLLLPEVLVEAGIPCCRLVQYPGEFVVTFPRAYHVGFSHGFTCGEAANFATPQWLKVAKEAAVRRTAMNHLPMLSHQQLLYMLTMSFISSLNLLELF >DRNTG_34153.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15208990:15212663:-1 gene:DRNTG_34153 transcript:DRNTG_34153.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMRRRRREAEEEEVLPFGLNVDSGTLACVACGVLGFPFMAIVQPELFSLSNDESYQKSEKSGWLKPCLPSYVQRTSKLGSDTKDSCLESEEQPNQESGPDSSSQLCGHIPAAECQGNACGSKLLKNVSQQSTSCVTKLVKVTCGRFGDADSEYIKARIFCLQHAIEIADLFRCKGGARILIICHSDYLKIKALAIAVAEEIGMQFNFKDFPIEDASATDLDLINFSIDGGEEHEDWTSKLGINLRYCIKVRKQSSSNQEPLPLSLSKLFADSPHLSVVSTLKWLSRKSRTPYKVVGKSYSKTHIVKDTVNDEALKVCQNHKRRPSFITAKHHGQHSKGQLEESHGSRGTKSVDDGNDHSRTSGFLLCKDNLELFCTDSLVTV >DRNTG_34153.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15212208:15216285:-1 gene:DRNTG_34153 transcript:DRNTG_34153.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSDPPTITIPGWLETLPHAPVYRPTISDFSDPISFISRIEHEASRFGICKVIPPLPRASKSTTLQHLHSSLRIPFSTRCQELGSKKPSKTKQVWQSGDSYTIEQFEAKSKAFAKSQLHGLKEVTPLLVESLFWNAVAEKPITVEYANDVPGSAFAPRRKKRKREEGTPRSLSDSPWNLQGVARSPGSLTRFMPDDIPGVTSPMVYIGMLFSWFAWHVEDHELHSLNFLHMGAPKTWYAVPGEYAASLEEVVRVKGYGGNVDRLAAFMMLGEKTTLLLPEVLVEAGIPCCRLVQYPGEFVVTFPRAYHVGFSHGFTCGEAANFATPQWLKVAKEAAVRRTAMNHLPMLSHQQLLYMLTMSFISRVPRPVLSGVRSSRLRDREKERELLIKEAFLNDMMDENRKLHSLLEKESIPTVVLWEPELLPSASNVSQLNSSSTIHDTQLSVIDAEQGSDVNCKFKTMCDTVSGNRQLKEILCEETMGLTSIATHDISSGMTVKDVNTC >DRNTG_34153.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15215287:15216285:-1 gene:DRNTG_34153 transcript:DRNTG_34153.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSDPPTITIPGWLETLPHAPVYRPTISDFSDPISFISRIEHEASRFGICKVIPPLPRASKSTTLQHLHSSLRIPFSTRCQELGSKKPSKTKQVWQSGDSYTIEQFEAKSKAFAKSQLHGLKEVTPLLVESLFWNAVAEKPITVEYANDVPGSAFAPRRKKRKREEGTPRSLSDSPWNLQGVARSPGSLTRFMPDDIPGVTSPMVYIGMLFSWFAWHVEDHELHSLNFLHMGAPKTWYAVPGEYAASLEEVVRVKGYGGNVDRLAAFMMLGEKTTLLLPEVLVEAGIPCCRL >DRNTG_21567.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8920285:8925797:1 gene:DRNTG_21567 transcript:DRNTG_21567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSALIHALSCAIARPSAPSFFAPIYHHHSILSFPLRSTTTTTPPPLRSRFLASMASKDVAAAVSVVVDDSGMDAVQRRLMFEDECILVDEQDRVVGHESKYNCHLMEKIEAENLLHRAFSVFLFNSKYELLLQQRSETKVTFPLVWTNTCCSHPLYRESELIDENYLGVRNAAQRKLLDELGIPAEDLPVDQFIPLGRMLYKAPSDGKWGEHELDYLLFIVRDVNVHPNPDEVADIKYVNRDQLKELLRKADAGEDGIKLSPWFRLVVDNFLPKWWDHVEKGTLEEAADMKTIHKLT >DRNTG_22643.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20837314:20839200:-1 gene:DRNTG_22643 transcript:DRNTG_22643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPPSLSLQTNQQTMVINGYSFIHFLFNLSFLILLTFFLTAYPRSLTTTTPQEVCKSNQQYVPEGYVDYLYIYYCSCKSYPILGYTFLFLWLLVLFYLLGNTASQYFCSSLEKLSRVLRLSPSIAGVTLLSLGNGAPDVFSSLVSFAGSGAGEVGISSVLGGAFFVTTVVVGVMTLFISFTLSTSSSSIVTIDKSSFLRDTGFFILVLSSLVVMLLIGSINLWGSLCFFCLYIVYVFVVSTCHLCSKIQVEMGVPLLEGIKVEEQVCNERTSITTVQECHGYLKLVFQLIELPLYLPRRITIPDVSEERWSKPFAVASVVLSPLLLATLWNSQTGLAEIGSDNSITVFLLGGVAGLVLGITAFESTESSGPPTKCLFPWLAGGFLMSVVWAYIIARELVSLLVSIGVILGISPSILGLTVLAWGNSVGDLMANVAMAVNGGQDGVQVAISGCYAGPIFNTLVGLGLSLVFSSWRVYPSSFEIPKEKPLFETLVFLIAGILWAMVIVPSREMKLDRVLGVGLLAIYACFLCLRISEYLGIVQIEDFFHL >DRNTG_23489.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21826984:21830058:-1 gene:DRNTG_23489 transcript:DRNTG_23489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEVSETVIVPIPPDLFESKGEERRNSSGKASIYKKRIPNYLKPSTGSCHDFCKYGRNHTFQVKERRPFRRRLFVSNEVLDDKRHEEKIPTSGNRKKKVIQKEKAEELMNSMENTVLSPPNGISSADEMSDLIVEKSIVTDELIVSSNRTEEFIEEPTIIELEIPPGLASSNASSENSPANDDREFPGEVIFMEKCIVESENGILKKSQEEYVEPESIKPNKLASIKKAMASSKEKVPGSKQIKARTSNASDLRREVARSKSNESVNNKGIKQKETDESVKSTSIIKQKTSSKIKQDSTLSGVRRTLKPITSSTSKKTDTSNRTQLKLRTPPISKSTNTLSKSTSSGLARILKPITSSPSKKTDTSNRTQLKLRTPQISKSVNTLSKTGSKRVLRSLSGEKRVLRSLSLTSIPSVKLRNITKNSRLGSTMKTGKKESENNEQYTQTRKLRSLSTLSNHSPQKKKDKEGMLIKTVIGPRKKTELKPVQRRNVNAKMQEATPHKLSFRQGKVVVPQAENTAPKRLRFRPRAAGEHQNGIVSSQRRILMRKRSELGRVGESNGRIGEASRVVLRHQEQQEKKDKQGLFNHVIEETASKLVETRKSKVKALVGAFETVISLQENKKDYA >DRNTG_33053.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26954087:26955348:1 gene:DRNTG_33053 transcript:DRNTG_33053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFWKWALRSVSSRARIHGRSHENFFSTALLGACLSGNPTVKHHPKWVLGCGEDCYWGVPSLLDVRYQIHHGRSFEKEPLDPFSLVADELSLLANRLRSMVVAEVPKLASAAEYFFKMGVEGKRFRPTVLLLMASALNMPIPQSAADEVFDNLSRDLRSRQQSIAEIT >DRNTG_33053.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26954087:26954910:1 gene:DRNTG_33053 transcript:DRNTG_33053.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFWKWALRSVSSRARIHGRSHENFFSTALLGACLSGNPTVKHHPKWVLGCGEDCYWGVPSLLDVRYQIHHGRSFEKEPLDPFSLVADELSLLANRLRSMVVAEVPKLASAAEYFFKMGVEGKRFRPT >DRNTG_18772.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1376385:1379006:1 gene:DRNTG_18772 transcript:DRNTG_18772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVDQEIAPTSAANLKRTHRLSTAMQRTSEWIFSQDIPSDITVLAGGAAFSLHKFPLVSKCGYIRKLMLEAGNSSDISVTDISDIPGGAEAFEFAAKFCYGMNFEISAENVAMLRCAAEYLEMTEAYSDGNLINRTEAYLEEVAMLSLSGAVTVLRKAESLLPMSEKVKLVNRCIDAVAYLACNDSQFSLSLDSQESLSSSLSQPRLIVDWWAEELTVLKISTFQRLLMALKARGFKQVAFGPVLMLYVQKSLRGLDLFSGARKKNELKLEHERRLVLETIASLLPTERNAMSVSFVSMLLRAALYLETTVACRLDLEKRMGLQLGQAVLDDLLIPSYSPDADTIFDVETVKRILMKYLEQNTDSFRIGYNTDDDYVSSPLNDMDIVGQLMERYLAEIASDHNLPISKFVSLAELIPEQARFREDGMYRAIDVFLKAHPSLSDPERKRICNLMDCQKLSREACAHAAQNERLPVQIVVQVLYHEQHRFKDRMSGSYFGGESPALSRQMNPYNTHFNTPDELSRLRRENDDLKLELLRMRAPVKDIDQPSMNESTSSDRPPLLKKSFLSSVTKKLSRLYLFSRSESVKSLSGKTGRKTPKDRRHSIS >DRNTG_18772.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1376385:1379006:1 gene:DRNTG_18772 transcript:DRNTG_18772.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAGNSSDISVTDISDIPGGAEAFEFAAKFCYGMNFEISAENVAMLRCAAEYLEMTEAYSDGNLINRTEAYLEEVAMLSLSGAVTVLRKAESLLPMSEKVKLVNRCIDAVAYLACNDSQFSLSLDSQESLSSSLSQPRLIVDWWAEELTVLKISTFQRLLMALKARGFKQVAFGPVLMLYVQKSLRGLDLFSGARKKNELKLEHERRLVLETIASLLPTERNAMSVSFVSMLLRAALYLETTVACRLDLEKRMGLQLGQAVLDDLLIPSYSPDADTIFDVETVKRILMKYLEQNTDSFRIGYNTDDDYVSSPLNDMDIVGQLMERYLAEIASDHNLPISKFVSLAELIPEQARFREDGMYRAIDVFLKAHPSLSDPERKRICNLMDCQKLSREACAHAAQNERLPVQIVVQVLYHEQHRFKDRMSGSYFGGESPALSRQMNPYNTHFNTPDELSRLRRENDDLKLELLRMRAPVKDIDQPSMNESTSSDRPPLLKKSFLSSVTKKLSRLYLFSRSESVKSLSGKTGRKTPKDRRHSIS >DRNTG_11090.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30738484:30743158:-1 gene:DRNTG_11090 transcript:DRNTG_11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKTEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSTRGRLYEYANNSVRATIERYKKACSGTPNTGSINEANSQYYQQESSKLRQQITNLQNSNRNLMGEALSTMSLRDLKQLENRLEKGISKIRSKKNELLYAEIEYMQKREMELQNDNMYLRNKIAENERAQQTLNMLPVTTTAAVYDLMPPFDSRNFLQVNLLDPNRH >DRNTG_05754.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:4226008:4227006:1 gene:DRNTG_05754 transcript:DRNTG_05754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSKKQANKRTREPSPELENMRFAVPEHHIRFERLWKLRIGHSCFLDTSILQEKLHGDEFADEMLSSFDFDRSYGRIDSVDAIQFRVLGHHYNMSVAQFSTRLVLYEKRFTDIEEYDQVPTDCLGPYITRLILGMVGLLDAIHRAEKMTIPSLLGLDILRLMGLVRRYSLGVFILATPSQDVAEKGDDTTEDPQPVPEPQHRSHLWCT >DRNTG_08304.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000375.1:140804:143714:1 gene:DRNTG_08304 transcript:DRNTG_08304.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAINLDLKRVANFRYQKTIAYGHFGRDDPDFTWEKVKLLNEEEEHQMIGMGRDSAIQALNSIIQLHFEKTLEKKRAIDGRRKEMWRLFQLFFLFLALVLSAQAQSPPGRLQCRHLWAPVGLLALAHLAFYVAVAQTLRAINGFRYQRRCHKLTLALATERLKHVKMRGYGVGGSAGVAVPAEEFEVQYQEPPESYLAKFKRSWAMHFAFLLCTFGFMASASVVLLCF >DRNTG_07311.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3357720:3358401:1 gene:DRNTG_07311 transcript:DRNTG_07311.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMATGGDPSRPQPGTAAGGGGVGTRSSVDGRGG >DRNTG_07311.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3357720:3362118:1 gene:DRNTG_07311 transcript:DRNTG_07311.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATGGDPSRPQPGTAAGGGGVGTRSSVDGRGG >DRNTG_17222.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2738892:2739410:-1 gene:DRNTG_17222 transcript:DRNTG_17222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDSLPTFLKDNELILNYYPSEWPWKQKTILSIFSIHNETLNIWTHLIEFIIFLTLTLCTMHAFAPLTFSQSSSDKVIILNTTSATVFKAQVPANQTNIINLLVYIYTIKSQRLELTININ >DRNTG_05630.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6345517:6409858:-1 gene:DRNTG_05630 transcript:DRNTG_05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEESKDENEVEEIVDALEVGSVENVASMSLEHVFGIEVNEHTKHKREISGIVEDVDRKSKPFKNPRMPGLDDSQPKIFPWKPKQCLWAIREHLTLVEEQNLGRSLTPCKNPPMPCLSNSQTKLFPWRPKQFSRLLEEDLTGGGIEVERMLKPSNDPPKPRVHKSRPKLFPWRPKGTMSKVFTSSSSQKVGRGAFVEAYPILDEGILG >DRNTG_11317.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:9339064:9342818:-1 gene:DRNTG_11317 transcript:DRNTG_11317.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKLSQFLQTLASILLLFAFSSSNASPQQGFPSYMRFSFDAVLFPVEAEYDYIVVGGGTAGCPLAATLSEGGHRVLILERGGAPHEFPSLATMDGFLTTISSGSSAPDSPAQTFVSEDGVPNARGRVLGGSSAINAGFYSRAHLGFFHGSRVDPGGLSIEWDMAMVNESYEWVERAVTFRPELRSWQSAVRDGLLEANVTPYNGFTVHHVAGTKIGASTFDPSGQRHSAADLLASAVPENTRVALRANVDRILLNPIHGGRRRNQHAAIGVIYRDRYGRRHHAMTRPFGEVILCAGALSSPQLLLLSGIGPRAYLSSWGIPVAVHLPDVAQNMADNPRNGISIIPPGPPLDHSLIQVVGITQSNAGDAGPFLEAASNIIPFFSTPRLPPFLHPHTTTTPLYLTVATLIEKAPGPKSFGSLRLASLDSRDNPVVRFNYFSNPDDLAACVSGTRKVAQVLAGRSMDEFRVPPGWFGNGRERRDFRFIGSELPENMDDDASVKDFCRRTVATIWHYHGGCLVGKVVDQNYKVIGVGSLRVIDGSTFSVSPGTNPQATLMMMGRYMGRKMNEERRMMERRRNRRRSTSSPPPPVPYHA >DRNTG_18091.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:310847:313037:-1 gene:DRNTG_18091 transcript:DRNTG_18091.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADGQYVEAKTSVWWDIENCQVPRGCDPHLIAQNIRSGLAEMDYKGAVSIWAYGDTGNIPNTIQQALSSTGVSLNHVPAGVKDASDKKILVDMLFWAVDNPPPANFLLISGDRDFSNALHQLRMRRYNVLLAQPTNVSQALVAAAKTVWLWTSLVSGGGPISVPVPSHIADGASDDTSSGDDDTNPRNTFTPGHGSRRTRASKLHSSSSRRPIIIICGRAKNQHGTSSGKPAVNGRAANGHGSSKTADNLQKDKQNQKNPKQAHSSNSGHGGNAKAGNGNGSSAKANSGNGSSAKANSGNGSSGTAANKQSQKKPKQPHSSDNSSPGSRKGCAPSGKPDSQCKQSQKKPKQPHSSDSSIPGSRKGCAANAKPDNQCKAKQGPKNPKQKSK >DRNTG_18091.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:310847:312892:-1 gene:DRNTG_18091 transcript:DRNTG_18091.7 gene_biotype:protein_coding transcript_biotype:protein_coding MADGQYVEAKTSVWWDIENCQVPRGCDPHLIAQNIRSGLAEMDYKGAVSIWAYGDTGNIPNTIQQALSSTGVSLNHVPAGVKDASDKKILVDMLFWAVDNPPPANFLLISGDRDFSNALHQLRMRRYNVLLAQPTNVSQALVAAAKTVWLWTSLVSGGGPISVPVPSHIADGASDDTSSGDDDTNPRNTFTPGHGSRRTRASKLHSSSSRRPIIIICGRAKNQHGTSSGKPAVNGRAANGHGSSKTADNLQKDKQNQKNPKQAHSSNSGHGGNAKAGNGNGSSAKANSGNGSSAKANSGNGSSGTAANKQSQKKPKQPHSSDNSSPGSRKGCAPSGKPDSQCKQSQKKPKQPHSSDSSIPGSRKGCAANAKPDNQCKAKQGPKNPKQKSK >DRNTG_18091.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:310249:312892:-1 gene:DRNTG_18091 transcript:DRNTG_18091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGQYVEAKTSVWWDIENCQVPRGCDPHLIAQNIRSGLAEMDYKGAVSIWAYGDTGNIPNTIQQALSSTGVSLNHVPAGVKDASDKKILVDMLFWAVDNPPPANFLLISGDRDFSNALHQLRMRRYNVLLAQPTNVSQALVAAAKTVWLWTSLVSGGGPISVPVPSHIADGASDDTSSGDDDTNPRNTFTPGHGSRRTRASKLHSSSSRRPIIIICGRAKNQHGTSSGKPAVNGRAANGHGSSKTADNLQKDKQNQKNPKQAHSSNSGHGGNAKAGNGNGSSAKANSGNGSSAKANSGNGSSGTAANKQSQKKPKQPHSSDNSSPGSRKGCAPSGKPDSQCKQSQKKPKQPHSSDSSIPGSRKGCAANAKPDNQCKAKQGPKNPKQKSK >DRNTG_18091.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:310778:312892:-1 gene:DRNTG_18091 transcript:DRNTG_18091.6 gene_biotype:protein_coding transcript_biotype:protein_coding MADGQYVEAKTSVWWDIENCQVPRGCDPHLIAQNIRSGLAEMDYKGAVSIWAYGDTGNIPNTIQQALSSTGVSLNHVPAGVKDASDKKILVDMLFWAVDNPPPANFLLISGDRDFSNALHQLRMRRYNVLLAQPTNVSQALVAAAKTVWLWTSLVSGGGPISVPVPSHIADGASDDTSSGDDDTNPRNTFTPGHGSRRTRASKLHSSSSRRPIIIICGRAKNQHGTSSGKPAVNGRAANGHGSSKTADNLQKDKQNQKNPKQAHSSNSGHGGNAKAGNGNGSSAKANSGNGSSAKANSGNGSSGTAANKQSQKKPKQPHSSDNSSPGSRKGCAPSGKPDSQCKQSQKKPKQPHSSDSSIPGSRKGCAANAKPDNQCKAKQGPKNPKQKSK >DRNTG_18091.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:310650:312892:-1 gene:DRNTG_18091 transcript:DRNTG_18091.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADGQYVEAKTSVWWDIENCQVPRGCDPHLIAQNIRSGLAEMDYKGAVSIWAYGDTGNIPNTIQQALSSTGVSLNHVPAGVKDASDKKILVDMLFWAVDNPPPANFLLISGDRDFSNALHQLRMRRYNVLLAQPTNVSQALVAAAKTVWLWTSLVSGGGPISVPVPSHIADGASDDTSSGDDDTNPRNTFTPGHGSRRTRASKLHSSSSRRPIIIICGRAKNQHGTSSGKPAVNGRAANGHGSSKTADNLQKDKQNQKNPKQAHSSNSGHGGNAKAGNGNGSSAKANSGNGSSAKANSGNGSSGTAANKQSQKKPKQPHSSDNSSPGSRKGCAPSGKPDSQCKQSQKKPKQPHSSDSSIPGSRKGCAANAKPDNQCKAKQGPKNPKQKSK >DRNTG_18091.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:310516:312892:-1 gene:DRNTG_18091 transcript:DRNTG_18091.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGQYVEAKTSVWWDIENCQVPRGCDPHLIAQNIRSGLAEMDYKGAVSIWAYGDTGNIPNTIQQALSSTGVSLNHVPAGVKDASDKKILVDMLFWAVDNPPPANFLLISGDRDFSNALHQLRMRRYNVLLAQPTNVSQALVAAAKTVWLWTSLVSGGGPISVPVPSHIADGASDDTSSGDDDTNPRNTFTPGHGSRRTRASKLHSSSSRRPIIIICGRAKNQHGTSSGKPAVNGRAANGHGSSKTADNLQKDKQNQKNPKQAHSSNSGHGGNAKAGNGNGSSAKANSGNGSSAKANSGNGSSGTAANKQSQKKPKQPHSSDNSSPGSRKGCAPSGKPDSQCKQSQKKPKQPHSSDSSIPGSRKGCAANAKPDNQCKAKQGPKNPKQKSK >DRNTG_18091.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:310734:312892:-1 gene:DRNTG_18091 transcript:DRNTG_18091.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADGQYVEAKTSVWWDIENCQVPRGCDPHLIAQNIRSGLAEMDYKGAVSIWAYGDTGNIPNTIQQALSSTGVSLNHVPAGVKDASDKKILVDMLFWAVDNPPPANFLLISGDRDFSNALHQLRMRRYNVLLAQPTNVSQALVAAAKTVWLWTSLVSGGGPISVPVPSHIADGASDDTSSGDDDTNPRNTFTPGHGSRRTRASKLHSSSSRRPIIIICGRAKNQHGTSSGKPAVNGRAANGHGSSKTADNLQKDKQNQKNPKQAHSSNSGHGGNAKAGNGNGSSAKANSGNGSSAKANSGNGSSGTAANKQSQKKPKQPHSSDNSSPGSRKGCAPSGKPDSQCKQSQKKPKQPHSSDSSIPGSRKGCAANAKPDNQCKAKQGPKNPKQKSK >DRNTG_06939.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16793863:16796522:1 gene:DRNTG_06939 transcript:DRNTG_06939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLHFDNKNTLKRQNSIHQPKSMVVLERKRSP >DRNTG_18726.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1122513:1125127:-1 gene:DRNTG_18726 transcript:DRNTG_18726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLLKASSPILPNPQCLIKPQRPSLCPPLTLTTSSPWKNPTLGLSLSFSPQRMLVQVRSSFGSSARLEERIDESDSLTLDAIRHSLIRQEDSIIFSLVERAHYCYNADAYHSNVVCMEGFQGSLIEYMLRETEKLHAQVGRYNSPDEHPFFPEDLPEPKLPPMRYPKVLHPIADSININKKIWDMYFNNLLPRLVKKGDDGNYGSTAVCDTIVLQALSKRIHYGKFVAEAKFRENPSAYETAIKSQDPDLLMKMLTYESVETAIKQRVETKAKVFGQEVVITENGNGVPPVYKIKPDIVAELYGDWIMPLTKQVQVQYLLRRLD >DRNTG_02056.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000103.1:3829:9070:-1 gene:DRNTG_02056 transcript:DRNTG_02056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWDQLKSSSFQLNEEMIETLFVCNPASTAPKETNWRPVLPVPNSENRVLDPKKSQNIAILLRALNVTKEEVCEALLEGNTDSLGTELLETLLKMAPSKEEEGKLRDYKDDSPFKLGPAEKFLKAVLDIPFAFKRVDAMLYIANFDSEVNYLKKSFETLEAACEELRSSRLFLKLLEAVLKTGNRMNVGTNRGDAKAFKLDTLLKLVDVKGTDGKTTLLHFVVQEIIRAEGSRLSANSSTTKTQASTLQDDLEHKKLGLQVVAGLGGELSNVKKAAAMDSDVLSSYVSKLAAGINKISEVLRLNEANKGTNESSDQFDKAMSEFLKKAEDDIIKVQAQESVALSLVKEITEYFHGNSAKEEAHPFRIFMVVRDFLTVLDQVCKEVGKINDRHFVTSARQLPVPVNPTLPPLFPRLHALRPESSDDESSSSS >DRNTG_09224.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000396.1:7028:26774:-1 gene:DRNTG_09224 transcript:DRNTG_09224.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYRHEDPREVKHLPQPYITVRSHQDARILGWPLGNPCGDSGHPTLHTFQALYAPP >DRNTG_23850.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001280.1:46443:50164:1 gene:DRNTG_23850 transcript:DRNTG_23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPIKKQEFTAEELLQAQAQVFHHVYAQIGAMSLNCAIELDIPGIISKHGGEPMPLSNLISSLPISAKKAAFLPRLMRILTHMGYFVQETASDKKEVAYTITPLSKVLLKDSPTSLSSWVMGMLHPYSRVSWHRLSDWFLEERYETPCELEHGKSLWDLASEIPYYNHRFNETMAGDSRLLMKAVVTKCEEVFHGMRTLVDVGGGTGTSARTIAEAFPHIKCTVFDLPHVIADMPESPLVDAVAGNMFEYVPKADAIFMKLILHDWTDEDCVKILKKCKEAVSEREGKVIIVDIVLDSNKEDPKMTETQLFWDMVMMAFTGGLERNEDEWKHIFNEVGFSSYKITPLGVRSLIEVFPSIIHNYGASSSMYVMNNLLKKASSYM >DRNTG_29368.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1339870:1343033:-1 gene:DRNTG_29368 transcript:DRNTG_29368.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEVLKRNYGPEIDIWSAGVILYILLSGVPPFWAESERGVAQAILRGLIDFNREPWPSVSESAKDLIQHMLEPDPKLRLTAKQVLEHPWLQNAKKAPNVPLGDVVKSRLKQFSVMNRFKRRALRVIADHLSAEEVEDIKEMFKLIDTDNDGIVSCEELKTGLVKHGSQLAESEVQMLIEAVDNQGKGVLDYGEFVAVSLHLQRMANDQHLRRAFSYFDKDGNGYIEADELREALVEDGTTDGTDVVNDIFQEVDTDKDGKINYDEFVAMMKTGTDWRKASRHYSRGRFNSLSVRLMKDGSLKQGGES >DRNTG_29368.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1339870:1344306:-1 gene:DRNTG_29368 transcript:DRNTG_29368.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPSAVAREDVDDASFHPRKPHSAAAKHRHHPLRNGAGDPKLKTLTVLSSADTEGGIDEKYTVDRELGRGEFGVTYLCVDRATRELLACKSIPKRKLRTAVDVEDVRREVAIMKHLPRSPSIVCLREACEDENAVHLVMELCEGGELFDRIVARGHYTERAAAAVTKTIVEVVQLCHQHGVIHRDLKPENFLFADKKENSPLKAIDFGLSIFFKPGERFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLSGVPPFWAESERGVAQAILRGLIDFNREPWPSVSESAKDLIQHMLEPDPKLRLTAKQVLEHPWLQNAKKAPNVPLGDVVKSRLKQFSVMNRFKRRALRVIADHLSAEEVEDIKEMFKLIDTDNDGIVSCEELKTGLVKHGSQLAESEVQMLIEAVDNQGKGVLDYGEFVAVSLHLQRMANDQHLRRAFSYFDKDGNGYIEADELREALVEDGTTDGTDVVNDIFQEVDTDKDGKINYDEFVAMMKTGTDWRKASRHYSRGRFNSLSVRLMKDGSLKQGGES >DRNTG_32678.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16077155:16085444:1 gene:DRNTG_32678 transcript:DRNTG_32678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNAEELLAGLEAGYSKICTNPDHLKDLRSYLKYCGDIIFVGITSTSIERNMIERLLEIYNNQVDEEPIYDIKEGLINLGKRSRDEIPSFLADSDGWTDHVICDPLLPEISGVDKGDAVQKMKSSMEEYRFRYLPQKGQQKTNDYLHYRRQLTDGKLVFVAHTDYFFC >DRNTG_29677.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4000788:4001000:1 gene:DRNTG_29677 transcript:DRNTG_29677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAMERGMEVRFECVLPLSWIKTREKFCEFNDRTLYVLPYAGNHRLEMESEKKRMVGVVHELLSLTIG >DRNTG_21954.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2048938:2050191:-1 gene:DRNTG_21954 transcript:DRNTG_21954.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYHTHIEQQMNTQSQRYNQLSEST >DRNTG_09754.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24790533:24793690:-1 gene:DRNTG_09754 transcript:DRNTG_09754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRQSCSTSFRRVELGTLIFALVIFLLVTGQDPSLTPKKSGIDIIEQGFFLKSRDLFTLPIGDAGPGIGVGIKRTARQHTMNAIKLLRTPASMGQVKVSERKSSMSSERVMRDRRRVAGEMASEGWTAGGDRNRPCDDSFFSFWIWNHLLILVMELRYRQISELRSAVNSHASDNDLYSVVDGIMAHYDDIFKLKSVVAKADRCLPIVIWHVEDTSRKVFSMAWRVLVI >DRNTG_11695.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:373465:376056:-1 gene:DRNTG_11695 transcript:DRNTG_11695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRRFGVAVDFSKSSKRTLSWAIDNLVNKGDTLILIHVKQGELDEAKHALWAQSGSPLIPLIEFRQPEIIKHYDLAADAEVLDLLDTACRQKEASILTKIYWGDAREKLCEAVEDLKLTSIVMGSRGLGQIQRILLGSVTNYVLSNASCPVTIIKEQNIKP >DRNTG_07289.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3616556:3618599:-1 gene:DRNTG_07289 transcript:DRNTG_07289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFSLLQALLIAGALSGLLGRFIVAQNCGCSSDLCCSQYGYCGSGNEYCGEGCQQGPCFGNNGGGGGGGAVDDIVTTAFFDGIASQAADGCAGKGFYTRDAFLSAAVSFPDFGNTGSDDVKKREIAAFFAHATHETGHFCYIEEINGANNNYCQASQQYPCNPDKKYFGRGPLQLSWNYNYIPCGQAIGFDGLNSPETVANDKVKSFKSALWFWTDRGVHNAITSGQGFGATIRIINGALECDGHNPDQMNARVGYYQDYCNQLGVSPGDNLTC >DRNTG_30763.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28707392:28713703:-1 gene:DRNTG_30763 transcript:DRNTG_30763.8 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLHSFQKMHAPYTQDAPVMTEDMHEERLHAAEVCGDAFSTSGQLERDILSSDMSAFKAANPDAVFEDFIRWHSPGDWESEEIEDRSTGNKEDPDQCNWPPRGKLSQRMSEHGNSWRKIWNDSPALPASEQKPLFDPIREGEKVLHYLETLQPHHLLEQMVCSAFKVSADTLNQTSFGNLQLMKTKLNQLYITLASSLRPLRANRTHDKYELIGDLRRLCLVFENIEKLLIFVSSLHRKLRDAPRLTEAIFNDYFNYYLPKMGNSSASICFDKKFSNKQQVSMLERDVVANLFPPPTANQSWRKVLSMGNLLNGHEPILREIIFSVAHRVGDCHYGSNIPWTHTEEIETHRMYIRGTSNDLQVALSVTSWD >DRNTG_30763.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28707706:28718863:-1 gene:DRNTG_30763 transcript:DRNTG_30763.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDLDIDLSSCLIHQKLHLLAICIKKKNLLNHENHTSVEITGQSSDDKGLAEFDRNEILDLPQNESMVDEFDSDSPDSEGTTGNLTVDAECAVASPDAHKSCPIRKGSSGIVGNMMLLHSFQKMHAPYTQDAPVMTEDMHEERLHAAEVCGDAFSTSGQLERDILSSDMSAFKAANPDAVFEDFIRWHSPGDWESEEIEDRSTGNKEDPDQCNWPPRGKLSQRMSEHGNSWRKIWNDSPALPASEQKPLFDPIREGEKVLHYLETLQPHHLLEQMVCSAFKVSADTLNQTSFGNLQLMKTKLNQLYITLASSLRPLRANRTHDKYELIGDLRRLCLVFENIEKLLIFVSSLHRKLRDAPRLTEAIFNDYFNYYLPKMGNSSASICFDKKFSNKQQVSMLERDVVANLFPPPTANQSWRKVLSMGNLLNGHEPILREIIFSVAHRVGDCHYGSNIPWTHTEEIETHRMYIRGTSNDLQVALSVTSWD >DRNTG_30763.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28707706:28728575:-1 gene:DRNTG_30763 transcript:DRNTG_30763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIARGGEDEGEDEEFEGFDDFTIASSWERFISEIEAVCRLWLFDGPKNLVDKGAECLDHPKNLYKVKSELKYGGKDYCLEFYFMINKDGQATEWVDNLHNIQQSFGVNEFLVIAPLSASGVVLDSPESSKLLSAVAIALSNCGSNWPAFVPVHDPSRKAYIGIQSTGTIFTRRFDADRIGSQVPVRLMHMEGLYELFVAKFASFDYPMNYFKVSFGMKLTYRTPPFDDDEEDVLENVEAEVKESEEVNDGYDRIKVQWDDDCPWAEWYSAEDPIKGFELITIWPRNTCESSMEMAELENASSFDAEKWSLYPLISPNMIDDSDGKFIGFASQLRLLVGALERSFDAKFLEDFVSAENIGSDNSNPAVVIPPPTVRDRVLKELFHDGAQISSSLDLESKNSRAIKGAPLESLFAQFCLQSLWFGNCNVRAIATLWIEFVREVRWCWEESQPLPRMPSDLDIDLSSCLIHQKLHLLAICIKKKNLLNHENHTSVEITGQSSDDKGLAEFDRNEILDLPQNESMVDEFDSDSPDSEGTTGNLTVDAECAVASPDAHKSCPIRKGSSGIVGNMMLLHSFQKMHAPYTQDAPVMTEDMHEERLHAAEVCGDAFSTSGQLERDILSSDMSAFKAANPDAVFEDFIRWHSPGDWESEEIEDRSTGNKEDPDQCNWPPRGKLSQRMSEHGNSWRKIWNDSPALPASEQKPLFDPIREGEKVLHYLETLQPHHLLEQMVCSAFKVSADTLNQTSFGNLQLMKTKLNQLYITLASSLRPLRANRTHDKYELIGDLRRLCLVFENIEKLLIFVSSLHRKLRDAPRLTEAIFNDYFNYYLPKMGNSSASICFDKKFSNKQQVSMLERDVVANLFPPPTANQSWRKVLSMGNLLNGHEPILREIIFSVAHRVGDCHYGSNIPWTHTEEIETHRMYIRGTSNDLQVALSVTSWD >DRNTG_30763.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28707706:28718863:-1 gene:DRNTG_30763 transcript:DRNTG_30763.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDLDIDLSSCLIHQKLHLLAICIKKKNLLNHENHTSVEITGQSSDDKGLAEFDRNEILDLPQNESMVDEFDSDSPDSEGTTGNLTVDAECAVASPDAHKSCPIRKGSSGIVGNMMLLHSFQKMHAPYTQDAPVMTEDMHEERLHAAEVCGDAFSTSGQLERDILSSDMSAFKAANPDAVFEDFIRWHSPGDWESEEIEDRSTGNKEDPDQCNWPPRGKLSQRMSEHGNSWRKIWNDSPALPASEQKPLFDPIREGEKVLHYLETLQPHHLLEQMVCSAFKVSADTLNQTSFGNLQLMKTKLNQLYITLASSLRPLRANRTHDKYELIGDLRRLCLVFENIEKLLIFVSSLHRKLRDAPRLTEAIFNDYFNYYLPKMGNSSASICFDKKFSNKQQVSMLERDVVANLFPPPTANQSWRKVLSMGNLLNGHEPILREIIFSVAHRVGDCHYGSNIPWTHTEEIETHRMYIRGTSNDLQVALSVTSWD >DRNTG_30763.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28707706:28728575:-1 gene:DRNTG_30763 transcript:DRNTG_30763.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGQATEWVDNLHNIQQSFGVNEFLVIAPLSASGVVLDSPESSKLLSAVAIALSNCGSNWPAFVPVHDPSRKAYIGIQSTGTIFTRRFDADRIGSQVPVRLMHMEGLYELFVAKFASFDYPMNYFKVSFGMKLTYRTPPFDDDEEDVLENVEAEVKESEEVNDGYDRIKVQWDDDCPWAEWYSAEDPIKGFELITIWPRNTCESSMEMAELENASSFDAEKWSLYPLISPNMIDDSDGKFIGFASQLRLLVGALERSFDAKFLEDFVSAENIGSDNSNPAVVIPPPTVRDRVLKELFHDGAQISSSLDLESKNSRAIKGAPLESLFAQFCLQSLWFGNCNVRAIATLWIEFVREVRWCWEESQPLPRMPSDLDIDLSSCLIHQKLHLLAICIKKKNLLNHENHTSVEITGQSSDDKGLAEFDRNEILDLPQNESMVDEFDSDSPDSEGTTGNLTVDAECAVASPDAHKSCPIRKGSSGIVGNMMLLHSFQKMHAPYTQDAPVMTEDMHEERLHAAEVCGDAFSTSGQLERDILSSDMSAFKAANPDAVFEDFIRWHSPGDWESEEIEDRSTGNKEDPDQCNWPPRGKLSQRMSEHGNSWRKIWNDSPALPASEQKPLFDPIREGEKVLHYLETLQPHHLLEQMVCSAFKVSADTLNQTSFGNLQLMKTKLNQLYITLASSLRPLRANRTHDKYELIGDLRRLCLVFENIEKLLIFVSSLHRKLRDAPRLTEAIFNDYFNYYLPKMGNSSASICFDKKFSNKQQVSMLERDVVANLFPPPTANQSWRKVLSMGNLLNGHEPILREIIFSVAHRVGDCHYGSNIPWTHTEEIETHRMYIRGTSNDLQVALSVTSWD >DRNTG_30763.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28706035:28722629:-1 gene:DRNTG_30763 transcript:DRNTG_30763.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHMEGLYELFVAKFASFDYPMNYFKVSFGMKLTYRTPPFDDDEEDVLENVEAEVKESEEVNDGYDRIKVQWDDDCPWAEWYSAEDPIKGFELITIWPRNTCESSMEMAELENASSFDAEKWSLYPLISPNMIDDSDGKFIGFASQLRLLVGALERSFDAKFLEDFVSAENIGSDNSNPAVVIPPPTVRDRVLKELFHDGAQISSSLDLESKNSRAIKGAPLESLFAQFCLQSLWFGNCNVRAIATLWIEFVREVRWCWEESQPLPRMPSDLDIDLSSCLIHQKLHLLAICIKKKNLLNHENHTSVEITGQSSDDKGLAEFDRNEILDLPQNESMVDEFDSDSPDSEGTTGNLTVDAECAVASPDAHKSCPIRKGSSGIVGNMMLLHSFQKMHAPYTQDAPVMTEDMHEERLHAAEVCGDAFSTSGQLERDILSSDMSAFKAANPDAVFEDFIRWHSPGDWESEEIEDRSTGNKEDPDQCNWPPRGKLSQRMSEHGNSWRKIWNDSPALPASEQKPLFDPIREGEKVLHYLETLQPHHLLEQMVCSAFKVSADTLNQTSFGNLQLMKTKLNQLYITLASSLRPLRANRTHDKYELIGDLRRLCLVFENIEKLLIFVSSLHRKLRDAPRLTEAIFNDYFNYYLPKMGNSSASICFDKKFSNKQQVSMLERDVVANLFPPPTANQSWRKVLSMGNLLNGHEPILREIIFSVAHRVGDCHYGSNIPWTHTEEIETHRMYIRGTSNDLQVALSVTSWD >DRNTG_30763.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28707706:28728575:-1 gene:DRNTG_30763 transcript:DRNTG_30763.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFWKILFQTAENIGSDNSNPAVVIPPPTVRDRVLKELFHDGAQISSSLDLESKNSRAIKGAPLESLFAQFCLQSLWFGNCNVRAIATLWIEFVREVRWCWEESQPLPRMPSDLDIDLSSCLIHQKLHLLAICIKKKNLLNHENHTSVEITGQSSDDKGLAEFDRNEILDLPQNESMVDEFDSDSPDSEGTTGNLTVDAECAVASPDAHKSCPIRKGSSGIVGNMMLLHSFQKMHAPYTQDAPVMTEDMHEERLHAAEVCGDAFSTSGQLERDILSSDMSAFKAANPDAVFEDFIRWHSPGDWESEEIEDRSTGNKEDPDQCNWPPRGKLSQRMSEHGNSWRKIWNDSPALPASEQKPLFDPIREGEKVLHYLETLQPHHLLEQMVCSAFKVSADTLNQTSFGNLQLMKTKLNQLYITLASSLRPLRANRTHDKYELIGDLRRLCLVFENIEKLLIFVSSLHRKLRDAPRLTEAIFNDYFNYYLPKMGNSSASICFDKKFSNKQQVSMLERDVVANLFPPPTANQSWRKVLSMGNLLNGHEPILREIIFSVAHRVGDCHYGSNIPWTHTEEIETHRMYIRGTSNDLQVALSVTSWD >DRNTG_30763.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28720201:28728575:-1 gene:DRNTG_30763 transcript:DRNTG_30763.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIARGGEDEGEDEEFEGFDDFTIASSWERFISEIEAVCRLWLFDGPKNLVDKGAECLDHPKNLYKVKSELKYGGKDYCLEFYFMINKDGQATEWVDNLHNIQQSFGVNEFLVIAPLSASGVVLDSPESSKLLSAVAIALSNCGSNWPAFVPVHDPSRKAYIGIQSTGTIFTRRFDADRIGSQVPVRLMHMEGLYELFVAKFASFDYPMNYFKVSFGMKLTYRTPPFDDDEEDVLENVEAEVKESEEVNDGYDRIKVQWDDDCPWAEWYSAEDPIKGFELITIWPRNTCESSMEMAELENASSFDAEKWSLYPLISPNM >DRNTG_01617.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1890467:1893396:1 gene:DRNTG_01617 transcript:DRNTG_01617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSATSSSKLFQNFQRTEGLAGDEGYAEEEKGRK >DRNTG_33133.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10544955:10548324:-1 gene:DRNTG_33133 transcript:DRNTG_33133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVNVSNGSRRLSVSYLTRLGKLGEVSCVWSLIAGTSGATIGSFPLSLLFDASDRNSFSDRKPYLYVLCMSRGSSNLDSFSTPSLLSLVLRGPSTIQGL >DRNTG_31822.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7042091:7042862:-1 gene:DRNTG_31822 transcript:DRNTG_31822.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGTEVYFVFMNFDPEYERLQKNRSKQGKEELDLYLNNKHDKLLAKLFQPNTYNKRSSLAIVDGFAVEMTQAQAAILKGTEEVRIVEKNQELA >DRNTG_12552.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21045551:21046004:-1 gene:DRNTG_12552 transcript:DRNTG_12552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILMICRISMGNGSMGRCPSLSISSTPPPSYPKKGNSRSPPTLTSPTYLWARLMYDEVKVDAWVLGHKGRVSAVLVELRKKKNGESLALGKPPPPPPPSPLE >DRNTG_08802.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28137469:28138083:1 gene:DRNTG_08802 transcript:DRNTG_08802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSPAIGPLLLLLLLLLVASISTLTLVSGSDDDSLAFIKKSCDSTLYPDLCFSSLSRYAASVHSDPIRLAWLATNVTIGRLRYISSHISSVRRTAVVGEGGREAAALKDCAETMGDAVDLARSSASEIGKLTAPSTVGTEIAWRVSNAQTWMSAVMTNEDTCTDGFAAVPGQLKTDICGRIRWAHKFTSNALALLNKLVSNR >DRNTG_31329.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4085024:4089728:1 gene:DRNTG_31329 transcript:DRNTG_31329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRAISCGFSHLSRRLRPSFAHFLLLDRDDSSPRSPSPIPNRSYSSSRFLSGISSPSRDRPELGFSIPFGLALGRRNYSSSTEGSSEVDVIKDVAGVLTDTTFDAAAVVASAAPAPFPGEVAAAAADSFLPVAALQYLIDGVHSATGFNWWVSIALTTVLIRSATIPLLLNQMRATVKLNMMRPELEELKEQMQNSMDPQSVHEGQKKMKALFKKYGVTPFTPLKGIFIQGPIFVSFFMAISNMVEKVPSFKGGGISWFTDLTTPDPFYALPVLTAATFLATVELNMQEGMEGNPMANTMKNFSRVLAFMTVPFTATFPKAIFFYWMTSNFYSLLYGLVIKRPPVRKFLNLPDIVPQPTPTTQPNFNFFGGLKSISTIDSPSPIDSSSPPIQQAEEHKSSDRKISSSSVISQRIRNLEKTVKSRRKPKRR >DRNTG_14045.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4905183:4906967:1 gene:DRNTG_14045 transcript:DRNTG_14045.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLLLIIIIIIPFLFLLLKPLFNSNSKSKSQIYPPSPASLPFIGHLHLLLPIPYRALHSLSLIHGPIMLLRLGQIPTLILSSSSSVRSMTKSHDIAFSSRPNLKVPRQLVYNSKNISFSPYGPYWRQSRKLSVLHLLSTKRVLSFRPIRNSELYIMLSNISNHSLSGPINLSETIYFFTTNILCKVAFGQSISEESQCRMLHDSISEAAMLFSAFSADDYFPSLKWLNMFSNLDSKIAKIFKKLDGFITSVVEDHLIAGVRDKDDDNADLVDILLSLQKNPPSGEFSPTMDEVKAIILNMLAAGTSTSFIFLEWAMSELIQNPKVMKKLKEEAKSVAGKDSVVTEENVNKMPYLKAVAKEVLRLHPPAPLLLPRETIEDIELEGYKIPAKTRVLINAWAIGRDPKSWDAPEEFIPERFINSDLDFRGQDFEFIPFGVGRRICPGMQFAVATIEFALANLVHHFDWEMPKGLSAEDLNMDEAQGLTMHRKYPLVLVAKKVD >DRNTG_02493.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000117.1:124129:124435:1 gene:DRNTG_02493 transcript:DRNTG_02493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFWVLERFYGFDIVRHLEEGHWDSFRQHRSGEVYPRPDKGSLRLSRGTLHKTINTTIEGFLLWIHCLLHSISLIVL >DRNTG_00544.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30242963:30245381:-1 gene:DRNTG_00544 transcript:DRNTG_00544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHNEDLSQIGISLEEKDKLVAEVIRYVLFKTHQSGGCPIKRDELTQLITKNYHQRSLPALVISEAREKLSSIFGYEMRELQRLRPSSHKQSRASQQSAIDPKSYIVVSKLPADIYCKFVNNKENAHVTGFTFVVISIVHLAGGKISEENLWHHLKRLGLNESDEKDPLFGSTKQALEALVQQRYLQKEKVNGPEGNTVMYELAERALDESVYDKVKDYIAQIANKDATAGAQ >DRNTG_13945.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21265406:21267623:1 gene:DRNTG_13945 transcript:DRNTG_13945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHIVTVELKSGELYRGSMIECEDNWNCQLENITFTARDGKVSQLEHVFIRGSKVRFMVIPDMLKNAPMFKRLDARIKGKGSSLGVGRGRAAAMRARAQAAGRGAPPGRGGAPPVRR >DRNTG_30001.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11546781:11548968:1 gene:DRNTG_30001 transcript:DRNTG_30001.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIFKIHKQAQENLQNQPLGCQQWPRMKGEEKGRVR >DRNTG_14804.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29858355:29860923:-1 gene:DRNTG_14804 transcript:DRNTG_14804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSSKSLSPSIILFFFFFFFILIIFISGEVSGHHTKKLRPGVRPPWDQFQPNQTRAKLIEVQFTKWVKFMGGLRHTLFGKAFNKLFPSYTLTVDKNPSLGDFTTIQDAIDSLPFINLVRVVIKVNAGTYTEKVNISPMRAFITIQGDGADVTVVQWGDTAQTLGPKGQPIGTFNSATFAINSPYFIAKNITFKNTTPVPPPGAIGKQAVALRISGDTATFVGCKFLGAQDTLYDHVGRHYYKDCYIEGSVDFIFGNALTLFEGCHVHAIAENYGALTAQNRMSILEDTGFSFVNCKVTGSGALYLGRAWGTFSRVIFAYTYMDNIIIPKGWYNWGDPNREMTVFYGQYKCYGPGASYAGRVAWSRELTDEEAKPFISLGFIDGSDWIKI >DRNTG_22895.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1307955:1309929:-1 gene:DRNTG_22895 transcript:DRNTG_22895.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQELWNMNAPLGIRPSKSAPSSPIKPILRTRSESFHVAHKVPVGDTPYVKAKRVQLVDKDPEKAIALFWAAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRNRCSDQAQESLDNILLDLYKRCGRLDDQISLLKHKLHLIQQGLAFNGKRTKTARSQGRKFQVSLEQEATRLLGNLGWALMQKDEYMEAEAAYRRALLIGPDNNKMCNLGICLMKQGRVAEAKDTLKQVKRPVNSSDGLRGADSHLKAFERAQEMLRDIESKILTSGGSRLFEAFTGSSSIWQPQPCNDYQMPLPEFFPDENAAKLPPPPPPPPPPQTLLPCTNSLNIDAPPFYLNSLKSHNSLAQCHDPLGNLKRTRSGNMMEEKKTTDETVNRKKSVFLPELPDNDAFNEAILAAVLAPVLEDAGGETSNKSPALAGGKMGKRLRVFQDITLSLRSSSNA >DRNTG_17293.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31778395:31778705:1 gene:DRNTG_17293 transcript:DRNTG_17293.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDKNQGNREQVITLVKSDENGSNFSVESNK >DRNTG_17293.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31775629:31778705:1 gene:DRNTG_17293 transcript:DRNTG_17293.2 gene_biotype:protein_coding transcript_biotype:protein_coding LQIQPSLPSYDMKSSQVSRKPIYASAPKAPIKTTDPEFQAAKRACTEITKIPQITHSLYSTNEFHLCNCIWRSMESKLSTQSCKFNPIIYI >DRNTG_17293.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31775629:31778705:1 gene:DRNTG_17293 transcript:DRNTG_17293.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSQVSRKPIYASAPKAPIKTTDPEFQAAKRALVKSDENGSNFSVESNK >DRNTG_13651.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1260269:1261745:-1 gene:DRNTG_13651 transcript:DRNTG_13651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIPSFKRPRFSSSSSSSSCYNLGELDWLEVGERALEWSGFFSIIIWDISKRVDLALGVG >DRNTG_15582.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7634266:7635395:1 gene:DRNTG_15582 transcript:DRNTG_15582.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINPYENTSSSEPMEVMSQLVKEAPSQVPVMFSYRALPPERLYALAQCSPDLTAEGCSRCLTTILANIKACCTMRKGWRYLATSGWIRYEATPFLQNLQGVYIEVTQSSCPYQDTLPNDLILNDILSDLMTNTPLKGGFYNTSEGETMNKLYGLALCRGDLAPQGDSCETCLQNARNSILEDCTNKTQAIEWYESCFIKYSNQSFFGVVDTDGRTMCGTEQSNQIAANITTGMVQGLIRDAVNSPTFLGVGKVLCIYILDMH >DRNTG_15582.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7634266:7637266:1 gene:DRNTG_15582 transcript:DRNTG_15582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISLQNCALTQGWRYLSGSCTLRYEAFPFFDTSVIPEGTPTQEFGVRKKSSNVSVLVIVMPIVGFILLATCLSCLCWRLRQKHASKRAQFDKYMPLSSIQTATNNFANQNKLGEGGFGPVYKGVLKNGTEIAVKRLSTASKQGATEFENEVKLIAKLQHRNLVKMLGWCVEKEEKLLVYEYLPNKGLDALLFDYEKRVQLDWNQRLQIIGGIARGLVYLHEDSLLKVIHRDLKASNVLLDNKMTPKISDFGMAKIYGADEIEANSSRVVGTYGYMAPEYAMAGLFSVKSDVFSFGVLLVEVLTAQRNGRAHFEEYGQTLIRHMWHLWTENKALELVDPLLEGSYSTDEAIKLIKIGLLCVQENVEERPTMSLVIHMLRSSDHTVFPTPSQPPSFITRPKNIQLLASSSSSQSHATVVPSVNDVTNSDLMPR >DRNTG_31095.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21299461:21300401:1 gene:DRNTG_31095 transcript:DRNTG_31095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPSTSIALLLLFNLIFFTLLSSITATHCPPPPHKPKPNPNPNPTPSPSSGSCPRDTLKLGVCLNLLNLLNLKVGTPPKEPCCPLLNGLADLEAAVCLCTTIKANLLGLINLTLPIDLSLLLNYCGRKTPSGFICS >DRNTG_08166.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11802896:11806846:-1 gene:DRNTG_08166 transcript:DRNTG_08166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGISSSSEKVRSRLRRRPLQEVAVSKPYLPSMRSTTSFVRGSSSRKNQNFDRFIPDRSAMDFDRAHSLLTESRKEKENAAASSPSKEAYRKHLAEALLQNRTRILAFKSKPPALVESVFQEVFSDSTSSHQSKSAKHQRYIPQSAEKTLDAPDLVDDYYLNLLDWGSSNLLSIALGNTVYLWNATNCSTSELTTVEEDYGPVTSVSWASDGRHIAIGLNSSAVQLWDTSSNRLLRTLRGVHQSRVGSLAWNNNILTTGGMDGMIVNNDVRVRSHIVQTYRGHQQEVCGLRWSGSGQQLASGGNDNLLLIWDRSMASSNFTPNQNQWLHRFDDHMAAVKALAWCPFQSNLLASGGGGSDRCIKFWNTHTGACLNSVDTGSQVCSLLWNKNERELLSSHGFTQNQLTLWKYPSMVKITELTGHTSRVLFMAQSPDGCTVASAAGDETLRFWHVFGSPETLKFAAKAAHAGPFSSFSHIR >DRNTG_16255.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9586748:9587905:1 gene:DRNTG_16255 transcript:DRNTG_16255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGPFYSVPLGRKDSLSSHVFSVEGNLPRPNMTISQILSIFSSKGFSTQETVALTGAHTVGFSHCKEYASRIYSFNGGAHDHYDPSINPRYAQALQKACANYLHDPTIATFNDVMTPGKFDNMYFQNLARGLGLLASDQALVSDSRTSPFVHLYAANQTAFFHDFAAAMQKLSVLGVKSLRDGEVRRRCDQFNTIST >DRNTG_03627.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11672714:11682113:1 gene:DRNTG_03627 transcript:DRNTG_03627.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan endotransglucosylase/hydrolase protein 26 [Source:Projected from Arabidopsis thaliana (AT4G28850) UniProtKB/Swiss-Prot;Acc:Q9SVV2] MVLWKVLYSSSNDSLVRTLRLYRAKEPRNATAELLRSRLRVVLKDERKPKEVFWRQINEDRAFSISFMKNRSRQTHLRLPYNHAYNQHKPKIIQILTGSSSGVGMASFQVFLLALIALVASEQCLVGANFYNQTYCNWGPQNMAIWGNGENLALVLNRVSGSGIITTTQFLFGSIQMLIKLVPGNSAGTVTAYYVSSTGDRHDEIDFEFLGNSSGQPYTIHTNVYAQGIGNREEQFRPWFDPTADFHNYTIHWNPSHIVWFVDGLPIRVFRNYENFGIPFPNKQPMKAYSSIWNADNWATKGGLVKIDWNRAPFVARYHSFRLGTCQWRGPYSIYQCASRTAANWWTFQAYSKLSYAQIGQMQWVRKNYMIYDYCKDIKRFNGLLPRECLLPQF >DRNTG_06743.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8338213:8339501:-1 gene:DRNTG_06743 transcript:DRNTG_06743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKNLLMFLLLLLSLNSVHTIGMDMPRERFLMQKRRKDLGSRPPRCVNKCLSCRPCMATLVVSPRHETKLLKPSTHVEDDTYYLLSWKCTCGDRLFQP >DRNTG_06743.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8338213:8338715:-1 gene:DRNTG_06743 transcript:DRNTG_06743.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPRERFLMQKRRKDLGSRPPRCVNKCLSCRPCMATLVVSPRHETKLLKPSTHVEDDTYYLLSWKCTCGDRLFQP >DRNTG_11754.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:719789:720929:-1 gene:DRNTG_11754 transcript:DRNTG_11754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLFFSLSTSPLPSPSQALQASSMITSMETQDISVSDYFSDNTEVEYIKSNTICNLISFSCEEEEEEEEKEKERRYRGVRQRPWGKYAAEIRDPKRRGCRVWLGTYDSAVEAARAYDKAAFEMRGRKAILNFPNEFGCSSEWVEVSPEPEKKRRKVEMEVVVKKEEVGDEWDSVWESLGPLSPLSEIMAAI >DRNTG_11754.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:719789:720854:-1 gene:DRNTG_11754 transcript:DRNTG_11754.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLFFSLSTSPLPSPSQALQASSMITSMETQDISVSDYFSDNTEVEYIKSNTICNLISFSCEEEEEEEEKEKERRYRGVRQRPWGKYAAEIRDPKRRGCRVWLGTYDSAVEAARAYDKAAFEMRGRKAILNFPNEFGCSSEWVEVSPEPEKKRRKVEMEVVVKKEEVGDEWDSVWESLGPLSPLSEIMAAI >DRNTG_11754.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:719789:720651:-1 gene:DRNTG_11754 transcript:DRNTG_11754.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLFFSLSTSPLPSPSQALQASSMITSMETQDISVSDYFSDNTEVEYIKSNTICNLISFSCEEEEEEEEKEKERRYRGVRQRPWGKYAAEIRDPKRRGCRVWLGTYDSAVEAARAYDKAAFEMRGRKAILNFPNEFGCSSEWVEVSPEPEKKRRKVEMEVVVKKEEVGDEWDSVWESLGPLSPLSEIMAAI >DRNTG_17295.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31799029:31800937:1 gene:DRNTG_17295 transcript:DRNTG_17295.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENDASWESFPVNYFDVGLVENELLSKDINGGKCDMMVSLDAVLPDDVLEKILSLLPIASILRARSVCKRWHSVVHSRSYSWMNRLPQKPWYFMFTRANSPTGYAFDPNLRKWYNFDLPCIERSNWLISSSHGLVCFMDNDNRSRIFVCNPITRYWTRIPEPPGVYLPDYSALAMSVDQCARSYTIVVAKSKLLPSDLLQSELSIHIYESETGLWVTPFMEILVGWRGGDEGVICNGVFYCLIHRTGVLGNADIRHGLLMYDLSARSSNTSIMRMSIPVPLQITCGRLMNLKDKLVLVGGIAKHDRPDIIKGICIWELHRNEWREIARMPHRLFQGFGEFDEVFASSGADDLVFIQSFGGTALLTFDMKQRSWKWSVKCPVTKRFPLQLFTGFCFEPRLEAA >DRNTG_17295.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31799626:31800989:1 gene:DRNTG_17295 transcript:DRNTG_17295.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENDASWESFPVNYFDVGLVENELLSKDINGGKCDMMVSLDAVLPDDVLEKILSLLPIASILRARSVCKRWHSVVHSRSYSWMNRLPQKPWYFMFTRANSPTGYAFDPNLRKWYNFDLPCIERSNWLISSSHGLVCFMDNDNRSRIFVCNPITRYWTRIPEPPGVYLPDYSALAMSVDQCARSYTIVVAKSKLLPSDLLQSELSIHIYESETGLWVTPFMEILVGWRGGDEGVICNGVFYCLIHRTGVLGNADIRHGLLMYDLSARSSNTSIMRMSIPVPLQITCGRLMNLKDKLVLVGGIAKHDRPDIIKGICIWELHRNEWREIARMPHRLFQGFGEFDEVFASSGADDLVFIQSFGGTALLTFDMKQRSWKWSVKCPVTKRFPLQLFTGFCFEPRLEAAH >DRNTG_17295.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31799029:31800989:1 gene:DRNTG_17295 transcript:DRNTG_17295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDASWESFPVNYFDVGLVENELLSKDINGGKCDMMVSLDAVLPDDVLEKILSLLPIASILRARSVCKRWHSVVHSRSYSWMNRLPQKPWYFMFTRANSPTGYAFDPNLRKWYNFDLPCIERSNWLISSSHGLVCFMDNDNRSRIFVCNPITRYWTRIPEPPGVYLPDYSALAMSVDQCARSYTIVVAKSKLLPSDLLQSELSIHIYESETGLWVTPFMEILVGWRGGDEGVICNGVFYCLIHRTGVLGNADIRHGLLMYDLSARSSNTSIMRMSIPVPLQITCGRLMNLKDKLVLVGGIAKHDRPDIIKGICIWELHRNEWREIARMPHRLFQGFGEFDEVFASSGADDLVFIQSFGGTALLTFDMKQRSWKWSVKCPVTKRFPLQLFTGFCFEPRLEAAH >DRNTG_17295.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31799626:31800937:1 gene:DRNTG_17295 transcript:DRNTG_17295.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENDASWESFPVNYFDVGLVENELLSKDINGGKCDMMVSLDAVLPDDVLEKILSLLPIASILRARSVCKRWHSVVHSRSYSWMNRLPQKPWYFMFTRANSPTGYAFDPNLRKWYNFDLPCIERSNWLISSSHGLVCFMDNDNRSRIFVCNPITRYWTRIPEPPGVYLPDYSALAMSVDQCARSYTIVVAKSKLLPSDLLQSELSIHIYESETGLWVTPFMEILVGWRGGDEGVICNGVFYCLIHRTGVLGNADIRHGLLMYDLSARSSNTSIMRMSIPVPLQITCGRLMNLKDKLVLVGGIAKHDRPDIIKGICIWELHRNEWREIARMPHRLFQGFGEFDEVFASSGADDLVFIQSFGGTALLTFDMKQRSWKWSVKCPVTKRFPLQLFTGFCFEPRLEAA >DRNTG_01476.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13511154:13514585:-1 gene:DRNTG_01476 transcript:DRNTG_01476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPEEHVGEEARGENEMLQNGDHGQNVSDVSQGSHQEMEDTDILDTDENELQKLLEDELEEDSEFGSSTGEQNPRRVARRKKFHRHTQRQIHEMEALFRECPHPDDKQRKELSRALGLELLQVKFWFQNKRTQIKAQNERQENSHLREESVRLSSESTMMREALRQGLCINCGGCLAMGEWASEGNDLRAENAKLKGEIERISAIVAKYVGKQPMNSHQHSPPVIPCLGTGLGVYNVGLELVEGIGIEKQVIIELAVASMDEVIGMAQLGEPLWMSRIDWPLDTLNEGIYNQSFLKGLGMKLTGLKTEATRQTVVVPMKAVNIIEMLMDANRWMAFFPSIVSRGATLDVYSRGAADNFNGALQVMSAMFQMATPLVPSREGLFVRYCKQHAEKTWAVVDVSLDGLRTNPSLTWRRRPSGCLIQEISESFSKVTWVEHMEVDDTDVAEIYKPLVNSGLAFGAQRWALALKRQCHRITSVNNDAPVNQIGVLTSVVMRKNLMKLSERMVRIFANGVSSATSDQWSLVAGTGANNGVRATTQKRQNQRGSPPGIIVNATTSVWLQVPTRRLFEFLHSETSRCQWDILTKNGVIEEVSQVADGGSHENCISLLHVKSSVMVLQESSMDASGAYVIYAPLDDAAMGMVLDNGDPDLVELLPSGFAILPDGLVGVLNEWNTAASLLTVSFQILLHAAPSERIPVSSISAINNLIAGIVDRIKSAVSS >DRNTG_11669.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:235751:237857:-1 gene:DRNTG_11669 transcript:DRNTG_11669.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLQFESNAYLQRATASSHTCERHPDELVTGFCASCLRERLAGLESQASTARRNSTSSSSSSAFKSLFFKSNPNAPLRRCKSFSCGRGDGFSASFEPQRKSCDVRGRSTLWSLFNQDDQLRGGVGGEIEIDSCGVAAPVLEDEDEAEIRPVEPELVVETTGEITREEEEEEVVEKGDNLEQPELKPMKDHIDLDSQTQAKKPPAKERKEITGGFWTAASVFSKKLQKWRRKQKLKKQRSSKAGNGMPMEKPSSSRRFRDTQSEIAVDAFGRRSCDTDPRFSLDIGRISLDYSWDEPRASWDGYLIGGRAALSRFPPPMLSVMEDRPAAVVQRADGQIPVEEDSIIPGGTVQTRDYYLDSSSSQRRRRSLDRSNSVRKLSVEINEAKAVSNTKVSPASVAAKSHLGHNGIKSERGDSRELSYNSLRDDCCESFDSAYRDPCKGGQPKKSKSWSKRWSIWGFIHWRSGNKGGANNVVERSFSESWPELRRQGSNGKMLRCNSNVSSRHSFSSSTGFGSMRRSSVETNGHGKKKKDEFVLERNRSARYSPSHGDNGLLRFYLTPMRGSRRNGAVAKSRNSSSQFFTRSMLQLY >DRNTG_11669.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:235751:237629:-1 gene:DRNTG_11669 transcript:DRNTG_11669.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLQFESNAYLQRATASSHTCERHPDELVTGFCASCLRERLAGLESQASTARRNSTSSSSSSAFKSLFFKSNPNAPLRRCKSFSCGRGDGFSASFEPQRKSCDVRGRSTLWSLFNQDDQLRGGVGGEIEIDSCGVAAPVLEDEDEAEIRPVEPELVVETTGEITREEEEEEVVEKGDNLEQPELKPMKDHIDLDSQTQAKKPPAKERKEITGGFWTAASVFSKKLQKWRRKQKLKKQRSSKAGNGMPMEKPSSSRRFRDTQSEIAVDAFGRRSCDTDPRFSLDIGRISLDYSWDEPRASWDGYLIGGRAALSRFPPPMLSVMEDRPAAVVQRADGQIPVEEDSIIPGGTVQTRDYYLDSSSSQRRRRSLDRSNSVRKLSVEINEAKAVSNTKVSPASVAAKSHLGHNGIKSERGDSRELSYNSLRDDCCESFDSAYRDPCKGGQPKKSKSWSKRWSIWGFIHWRSGNKGGANNVVERSFSESWPELRRQGSNGKMLRCNSNVSSRHSFSSSTGFGSMRRSSVETNGHGKKKKDEFVLERNRSARYSPSHGDNGLLRFYLTPMRGSRRNGAVAKSRNSSSQFFTRSMLQLY >DRNTG_11669.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:235751:237735:-1 gene:DRNTG_11669 transcript:DRNTG_11669.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLQFESNAYLQRATASSHTCERHPDELVTGFCASCLRERLAGLESQASTARRNSTSSSSSSAFKSLFFKSNPNAPLRRCKSFSCGRGDGFSASFEPQRKSCDVRGRSTLWSLFNQDDQLRGGVGGEIEIDSCGVAAPVLEDEDEAEIRPVEPELVVETTGEITREEEEEEVVEKGDNLEQPELKPMKDHIDLDSQTQAKKPPAKERKEITGGFWTAASVFSKKLQKWRRKQKLKKQRSSKAGNGMPMEKPSSSRRFRDTQSEIAVDAFGRRSCDTDPRFSLDIGRISLDYSWDEPRASWDGYLIGGRAALSRFPPPMLSVMEDRPAAVVQRADGQIPVEEDSIIPGGTVQTRDYYLDSSSSQRRRRSLDRSNSVRKLSVEINEAKAVSNTKVSPASVAAKSHLGHNGIKSERGDSRELSYNSLRDDCCESFDSAYRDPCKGGQPKKSKSWSKRWSIWGFIHWRSGNKGGANNVVERSFSESWPELRRQGSNGKMLRCNSNVSSRHSFSSSTGFGSMRRSSVETNGHGKKKKDEFVLERNRSARYSPSHGDNGLLRFYLTPMRGSRRNGAVAKSRNSSSQFFTRSMLQLY >DRNTG_08725.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4978814:4981299:1 gene:DRNTG_08725 transcript:DRNTG_08725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAELWEFGVAILFFHASEYALAVAFHGRNNVNLSSLLISKHYVIAMGCALVEYIVELVFFPELKENWLVNNVGLVMVLVGEVVRKAGVITAGRAFTHNIRIYHEDHHELITHGIYRFIRHPGYCGFFVWATGTQVMLCNPICIVAFALVTWRFFSSRIRYEEFFLRQFFGRQYEEYANEVPSGLPFIK >DRNTG_08725.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4980400:4981299:1 gene:DRNTG_08725 transcript:DRNTG_08725.2 gene_biotype:protein_coding transcript_biotype:protein_coding HVFSIQINRFIRHPGYCGFFVWATGTQVMLCNPICIVAFALVTWRFFSSRIRYPY >DRNTG_22952.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9922790:9927016:1 gene:DRNTG_22952 transcript:DRNTG_22952.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATSSTNLVTMASQLSEAEERERVFSGRGRWNQVRSLAEAKNVMNHLFNLASSSRCQLRDREIQCREKDSIISDFKGKVFNLSSLVRQLEGEKLKLLQELNLQKLAPKRSSQDAGGHLGTVLSSSTDDGQYYALRKASRTSQVFDRSRNNLDFFEDMDTSDSEQSDQYDSDFDMTDEDWVESEKKIGRKQSRQSRFGKKTFEDGHTSDNIKLEVLNEETESVIEKNSSPICCSCSKSSSCKTKKCECRADGNLCGASCGCIVSKCSNRVANFIQKESDDKLHSELSGSGGSNSSSECLENEKCNELVSECALLLQNALAEKPNVVDEDSKQRKPLSDIGNTVTKPNGGKRRKNWRKTTIQLVPSNPIPTSAIETNEVPRQREENIPPLRLPRVMDTRALDTNPPLGDRNSVKAEGSRATAKDVSNILQPKSPNRLRKASDEKENQIV >DRNTG_22952.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9925359:9927016:1 gene:DRNTG_22952 transcript:DRNTG_22952.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSDSEQSDQYDSDFDMTDEDWVESEKKIGRKQSRQSRFGKKTFEDGHTSDNIKLEVLNEETESVIEKNSSPICCSCSKSSSCKTKKCECRADGNLCGASCGCIVSKCSNRVANFIQKESDDKLHSELSGSGGSNSSSECLENEKCNELVSECALLLQNALAEKPNVVDEDSKQRKPLSDIGNTVTKPNGGKRRKNWRKTTIQLVPSNPIPTSAIETNEVPRQREENIPPLRLPRVMDTRALDTNPPLGDRNSVKAEGSRATAKDVSNILQPKSPNRLRKASDEKENQIV >DRNTG_22952.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9924835:9927016:1 gene:DRNTG_22952 transcript:DRNTG_22952.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSDSEQSDQYDSDFDMTDEDWVESEKKIGRKQSRQSRFGKKTFEDGHTSDNIKLEVLNEETESVIEKNSSPICCSCSKSSSCKTKKCECRADGNLCGASCGCIVSKCSNRVANFIQKESDDKLHSELSGSGGSNSSSECLENEKCNELVSECALLLQNALAEKPNVVDEDSKQRKPLSDIGNTVTKPNGGKRRKNWRKTTIQLVPSNPIPTSAIETNEVPRQREENIPPLRLPRVMDTRALDTNPPLGDRNSVKAEGSRATAKDVSNILQPKSPNRLRKASDEKENQIV >DRNTG_27854.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001412.1:98527:105058:-1 gene:DRNTG_27854 transcript:DRNTG_27854.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKRSLVDKSPSESTLNADGLRDHESIPYESKQQMEETVEKRFPQQSLSYYDRMVPASENKQPSATMEGSNEPQLLRAHSQESRSTKTKSSVAAKTGTTKASEVGSLLGKAGSVGLGKAVEVLDTLGSSVTSLSLSSGFGSGTTKGNKLSILAFEVANTIVKGSNLMQSLLRENIKHLKEIVLPSEGVQRLISKDMDELLRIAAADKREELKVFSGEVVRFGNRCKDPQWHNLDRYFNKLGSEISPQKQLKNEAPELMQQLMTLVQYTAELYHELHALDRFEQDYQRKQQEEENTNVVQRGDNLQLLRQELKSQKKHVNSLKKRSLWSRNLEEIIEKLVDIVHFLHLVIRDAFGSADGDNPVKGSLNSHQTLGSAGLALHYANIITQIDTLVSRSGSMPANSRDALYQSLPPAVKGALRSRLPSVQFEEELTVAIVKAEMEKTLRWLVPLANNTTKAHHGFGWVGEWANNGSEMNRKSTTQTDLIRIETLHHADKDKTEAHILDIVVWLHHLISLSRPSNGNIRSPIKSPVQSPSQSSSTVSLPAKSPMLTDEDQKMLRDINFRKLTPGISKSQEFDISKIRLCTKSRLTKSNSHSPTSETVKDFFPTRRPSMAPVIDFRY >DRNTG_27854.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001412.1:103225:105058:-1 gene:DRNTG_27854 transcript:DRNTG_27854.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKRSLVDKSPSESTLNADGLRDHESIPYESKQQMEETVEKRFPQQSLSYYDRMVPASENKQPSATMEGSNEPQLLRAHSQESRSTKTKSSVAAKTGTTKASLMLASIFYCPGMYAKSFVNCTWIEELIIICGAPKKTLRMHACLKFSLLSLQAM >DRNTG_27854.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001412.1:103225:105058:-1 gene:DRNTG_27854 transcript:DRNTG_27854.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKRSLVDKSPSESTLNADGLRDHESIPYESKQQMEETVEKRFPQQSLSYYDRMVPASENKQPSATMEGSNEPQLLRAHSQESRSTKTKSSVAAKTGTTKASLMLASIFYCPGMYAKSFVNCTWIEELIIICGAPKKTLRMHACLKFSLLSLQAM >DRNTG_27854.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001412.1:98527:105058:-1 gene:DRNTG_27854 transcript:DRNTG_27854.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLMTLVQYTAELYHELHALDRFEQDYQRKQQEEENTNVVQRGDNLQLLRQELKSQKKHVNSLKKRSLWSRNLEEIIEKLVDIVHFLHLVIRDAFGSADGDNPVKGSLNSHQTLGSAGLALHYANIITQIDTLVSRSGSMPANSRDALYQSLPPAVKGALRSRLPSVQFEEELTVAIVKAEMEKTLRWLVPLANNTTKAHHGFGWVGEWANNGSEMNRKSTTQTDLIRIETLHHADKDKTEAHILDIVVWLHHLISLSRPSNGNIRSPIKSPVQSPSQSSSTVSLPAKSPMLTDEDQKMLRDINFRKLTPGISKSQEFDISKIRLCTKSRLTKSNSHSPTSETVKDFFPTRRPSMAPVIDFRY >DRNTG_27854.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001412.1:98527:105058:-1 gene:DRNTG_27854 transcript:DRNTG_27854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKRSLVDKSPSESTLNADGLRDHESIPYESKQQMEETVEKRFPQQSLSYYDRMVPASENKQPSATMEGSNEPQLLRAHSQESRSTKTKSSVAAKTGTTKASEVGSLLGKAGSVGLGKAVEVLDTLGSSVTSLSLSSGFGSGTTKGNKLSILAFEVANTIVKGSNLMQSLLRENIKHLKEIVLPSEGVQRLISKDMDELLRIAAADKREELKVFSGEVVRFGNRCKDPQWHNLDRYFNKLGSEISPQKQLKNEAPELMQQLMTLVQYTAELYHELHALDRFEQDYQRKQQEEENTNVVQRGDNLQLLRQELKSQKKHVNSLKKRSLWSRNLEEIIEKLVDIVHFLHLVIRDAFGSADGDNPVKGSLNSHQTLGSAGLALHYANIITQIDTLVSRSGSMPANSRDALYQSLPPAVKGALRSRLPSVQFEEELTVAIVKAEMEKTLRWLVPLANNTTKAHHGFGWVGEWANNGSEMNRKSTTQTDLIRIETLHHADKDKTEAHILDIVVWLHHLISLSRPSNGNIRSPIKSPVQSPSQSSSTVSLPAKSPMLTDEDQKMLRDINFRKLTPGISKSQEFDISKIRLCTKSRLTKSNSHSPTSETVKDFFPTRRPSMAPVIDFRY >DRNTG_27854.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001412.1:103131:105058:-1 gene:DRNTG_27854 transcript:DRNTG_27854.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKRSLVDKSPSESTLNADGLRDHESIPYESKQQMEETVEKRFPQQSLSYYDRMVPASENKQPSATMEGSNEPQLLRAHSQESRSTKTKSSVAAKTGTTKASLMLASIFYCPGMYAKSFVNCTWIEELIIICGAPKKTLRMHACLKFSLLSLQAM >DRNTG_27854.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001412.1:98527:105058:-1 gene:DRNTG_27854 transcript:DRNTG_27854.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKRSLVDKSPSESTLNADGLRDHESIPYESKQQMEETVEKRFPQQSLSYYDRMVPASENKQPSATMEGSNEPQLLRAHSQESRSTKTKSSVAAKTGTTKASEVGSLLGKAGSVGLGKAVEVLDTLGSSVTSLSLSSGFGSGTTKGNKLSILAFEVANTIVKGSNLMQSLLRENIKHLKEIVLPSEGVQRLISKDMDELLRIAAADKREELKVFSGEVVRFGNRCKDPQWHNLDRYFNKLGSEISPQKQLKNEAPELMQQLMTLVQYTAELYHELHALDRFEQDYQRKQQEEENTNVVQRGDNLQLLRQELKSQKKHVNSLKKRSLWSRNLEEIIEKLVDIVHFLHLVIRDAFGSADGDNPVKGSLNSHQTLGSAGLALHYANIITQIDTLVSRSGSMPANSRDALYQSLPPAVKGALRSRLPSVQFEEELTVAIVKAEMEKTLRWLVPLANNTTKAHHGFGWVGEWANNGSEMNRKSTTQTDLIRIETLHHADKDKTEAHILDIVVWLHHLISLSRPSNGNIRSPIKSPVQSPSQSSSTVSLPAKSPMLTDEDQKMLRDINFRKLTPGISKSQEFDISKIRLCTKSRLTKSNSHSPTSETVKDFFPTRRPSMAPVIDFRY >DRNTG_27854.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001412.1:103225:105058:-1 gene:DRNTG_27854 transcript:DRNTG_27854.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKRSLVDKSPSESTLNADGLRDHESIPYESKQQMEETVEKRFPQQSLSYYDRMVPASENKQPSATMEGSNEPQLLRAHSQESRSTKTKSSVAAKTGTTKASLMLASIFYCPGMYAKSFVNCTWIEELIIICGAPKKTLRMHACLKFSLLSLQAM >DRNTG_22867.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4314028:4318153:-1 gene:DRNTG_22867 transcript:DRNTG_22867.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNEVNVNESKRVVPLNTWVLISNFKLKYNMLRRPDGTFNRHLAEFLDRKVSANATPVNGVLSFDLLIDRPTSLLVRIYRPSPFPDTDNTEPSTSSMSSLLTDLIQPPSSDPFPVIVFFHGGSFAHSSANTAIYDSLCRRFVSLCNAVVISVNYRRSPEYRYPCAYDDGWTALKWASTQPWLHSGKDSKLRVFLAGDSSGGNIAHHVGLRAIESGITISGNILLNPMFGGQARTESEKRLDGKYFVTIQDRDWYWKAFLPEGADRDHPACNPFGPNGNDLKGLPFPRSIVVVAGLDLVQDWQLAYVDGLKKAGQDVKLVYREQATIGFYLLPNTDHFYEVMEEIKGFVSSNC >DRNTG_22867.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4314028:4318153:-1 gene:DRNTG_22867 transcript:DRNTG_22867.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNEVNVNESKRVVPLNTWVLISNFKLKYNMLRRPDGTFNRHLAEFLDRKVSANATPVNGVLSFDLLIDRPTSLLVRIYRPSPFPDTDNTEPSTSSMSSLLTDLIQPPSSDPFPVIVFFHGGSFAHSSANTAIYDSLCRRFVSLCNAVVISVNYRRSPEYRYPCAYDDGWTALKWASTQPWLHSGKDSKLRVFLAGDSSGGNIAHHVGLRAIESGITISGNILLNPMFGGQARTESEKRLDGKYFVTIQDRDWYWKAFLPEGADRDHPACNPFGPNGNDLKGLPFPRSIVVVAGLDLVQDWQLAYVDGLKKAGQDVKLVYREQATIGFYLLPNTDHFYEVMEEIKGFVSSNC >DRNTG_22867.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4314028:4318153:-1 gene:DRNTG_22867 transcript:DRNTG_22867.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNEVNVNESKRVVPLNTWVLISNFKLKYNMLRRPDGTFNRHLAEFLDRKVSANATPVNGVLSFDLLIDRPTSLLVRIYRPSPFPDTDNTEPSTSSMSSLLTDLIQPPSSDPFPVIVFFHGGSFAHSSANTAIYDSLCRRFVSLCNAVVISVNYRRSPEYRYPCAYDDGWTALKWASTQPWLHSGKDSKLRVFLAGDSSGGNIAHHVGLRAIESGITISGNILLNPMFGGQARTESEKRLDGKYFVTIQDRDWYWKAFLPEGADRDHPACNPFGPNGNDLKGLPFPRSIVVVAGLDLVQDWQLAYVDGLKKAGQDVKLVYREQATIGFYLLPNTDHFYEVMEEIKGFVSSNC >DRNTG_22867.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4312805:4318153:-1 gene:DRNTG_22867 transcript:DRNTG_22867.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNEVNVNESKRVVPLNTWVLISNFKLKYNMLRRPDGTFNRHLAEFLDRKVSANATPVNGVLSFDLLIDRPTSLLVRIYRPSPFPDTDNTEPSTSSMSSLLTDLIQPPSSDPFPVIVFFHGGSFAHSSANTAIYDSLCRRFVSLCNAVVISVNYRRSPEYRYPCAYDDGWTALKWASTQPWLHSGKDSKLRVFLAGDSSGGNIAHHVGLRAIESGITISGNILLNPMFGGQARTESEKRLDGKYFVTIQDRDWYWKAFLPEGADRDHPACNPFGPNGNDLKGLPFPRSIVVVAGLDLVQDWQLAYVDGLKKAGQDVKLVYREQATIGFYLLPNTDHFYEVMEEIKGFVSSNC >DRNTG_26066.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:14341124:14342369:1 gene:DRNTG_26066 transcript:DRNTG_26066.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor B-4 [Source:Projected from Arabidopsis thaliana (AT1G46264) UniProtKB/Swiss-Prot;Acc:Q9C635] MDNPNKCWDGGVSLDQQQHKAVPAPFLTKTYQLVDDPSTDHIVSWGEDGCSTFVVWRPPEFARDILPNYFKHNNFSSFVRQLNTYGFRKIVPERWEFANEFFRKGEKNLLCEIHRRKTNSSITSLPSVQPFSEQHFPLYQFSAYEEPHRLLLCSPTVDTGLSVNSCRNGNDSATALLEENEWLRRSNAVLLSELAHMRRLYNDIIYFVQNHVKPVAPSSPSVNPQLLLSGFYGQRNGGLNNSGSTTSSSSLTIAEEHNTNGESSSTPSPSSQQTKLFGVNLSGGGCSSNYKRVLESNQGGSLPLCSSTKPRLVLEKDDLGLNLKPSSSHHPPPSC >DRNTG_03786.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1799103:1799689:-1 gene:DRNTG_03786 transcript:DRNTG_03786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAEPPRQPEFRLELGFRDEAEKEEEGMGRRRGLESENRSFSLRLFCGT >DRNTG_09474.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1427911:1431123:1 gene:DRNTG_09474 transcript:DRNTG_09474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLRLLRVVPSAVAPPAKGSQHVAGAPAHVCKGLGLRAAGASAVETVQATEPVVGRRDENRALNILKVMPLWPERREEEKEEEEEEEEEMGDLCVGCVVEEEDDSCDVDEKKEKVKRMEFGRDSFSKLLTRVSQKEAQMFEKMSYLGSLAYDIAKIKSKNLLSCRGLQFITSSLDKKAKSLNAEMEKNSAQDVEPDVDNNRFKEDEEHKGNDYEIRTGDLYQVAASAASSLLEQIRGFIPVGIPKNGNDSSETSTSMEVASFVATASSVTAMVSGKEEMRQAVAENLNKPQSSPCEWFVCDDDASDTRYFVIQGSESLSSWVTNLLFEPIQFEGLDVRVHRGIYEAAKGIYEQMLPEVRAHLKSRGKSATFRFTGHSLGGSLSLLINLMLLIRGEVSASSLLPVITFGAPCIMCGGDYLLRKLGLPQTHVQAITMHRDIVPRAFSCHYPDHVAQFLKAVNANFRDHPCLDNQKLLYAPMGKLLILQPEHDFSPHHHLLPEGSGLYLLGHAFSDNDDSERLLRSAQLAFLNSPHPLEILIDLGAYGSQGTVYRDHDVVSYLECMNSLTRKEQRRNVWWPLVVFPSRQPNVMPGHVAAKSNNLNPQNHLNFFGLLYGGHLAVKCFGGLVASQSLHLFTLLLLPAKRLLAVLSVTNHLV >DRNTG_09474.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1429758:1431123:1 gene:DRNTG_09474 transcript:DRNTG_09474.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEVRAHLKSRGKSATFRFTGHSLGGSLSLLINLMLLIRGEVSASSLLPVITFGAPCIMCGGDYLLRKLGLPQTHVQAITMHRDIVPRAFSCHYPDHVAQFLKAVNANFRDHPCLDNQKLLYAPMGKLLILQPEHDFSPHHHLLPEGSGLYLLGHAFSDNDDSERLLRSAQLAFLNSPHPLEILIDLGAYGSQGTVYRDHDVVSYLECMNSLTRKEQRRNVWWPLVVFPSRQPNVMPGHVAAKSNNLNPQNHLNFFGLLYGGHLAVKCFGGLVASQSLHLFTLLLLPAKRLLAVLSVTNHLV >DRNTG_30034.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5673498:5675657:1 gene:DRNTG_30034 transcript:DRNTG_30034.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKNSSLRTLELNNNMIEYTGFASLAAALLENKTIRSIHLNGNYCGALGAASLAKGIEGNKSLRELHLHGNLIGNEGIRALMSGLSAHKGPQHHVCK >DRNTG_33371.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20889879:20890993:-1 gene:DRNTG_33371 transcript:DRNTG_33371.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSCKQKNSRAQPVRAREKFSSSQHKH >DRNTG_33371.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20889879:20890295:-1 gene:DRNTG_33371 transcript:DRNTG_33371.2 gene_biotype:protein_coding transcript_biotype:protein_coding HSCKQKNSRAQPVRAREKFSSSQHKH >DRNTG_09586.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23654080:23657196:1 gene:DRNTG_09586 transcript:DRNTG_09586.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLQGDFRRKIDSYPDLYGPVWISTTLVFMLAALGNCGTYLMNRKSVPDTAWVFDVNYVNWAASVVYGYALLVPVAFYFLLQYFGCSASLTRFWCLWGYSLFIFIPSSLLLVFPDEFLRWLIILVAGAASSVFIGINLKSYTEGSDMMVMCVSAMVLQFVLALFIKFFFYA >DRNTG_09586.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23654080:23657196:1 gene:DRNTG_09586 transcript:DRNTG_09586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGYNSLPSTHLLGSVPAVVADDKRPAVDEARTGSHSNLHVFPPANGGYQAPGTPYETGGNEQATTNWKGVLSISSYSPYFNVDTDNVVDRILSSMNPLQGDFRRKIDSYPDLYGPVWISTTLVFMLAALGNCGTYLMNRKSVPDTAWVFDVNYVNWAASVVYGYALLVPVAFYFLLQYFGCSASLTRFWCLWGYSLFIFIPSSLLLVFPDEFLRWLIILVAGAASSVFIGINLKSYTEGSDMMVMCVSAMVLQFVLALFIKFFFYA >DRNTG_09586.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23654080:23657196:1 gene:DRNTG_09586 transcript:DRNTG_09586.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLQGDFRRKIDSYPDLYGPVWISTTLVFMLAALGNCGTYLMNRKSVPDTAWVFDVNYVNWAASVVYGYALLVPVAFYFLLQYFGCSASLTRFWCLWGYSLFIFIPSSLLLVFPDEFLRWLIILVAGAASSVFIGINLKSYTEGSDMMVMCVSAMVLQFVLALFIKFFFYA >DRNTG_24442.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10148293:10153525:-1 gene:DRNTG_24442 transcript:DRNTG_24442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVQTFYNGLNPSTRQLLDAAARSTLGSKTPSEARQLIEEMELNGSSGIIDLPSNTETNPKKHVKAITLRSGLEVEGKLPSEKPNEHVPKSLQSLSSHPEKMHGRVEFPHARGVVVRANLEKAQGRALAPISDLAIIARPCGIFAQACVVL >DRNTG_19340.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5247065:5251690:-1 gene:DRNTG_19340 transcript:DRNTG_19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFFSMLPWRLLHFLIYCSCCFIILPLFPGLMSVDAFTGTYGINYGRIADNIPQPERVVTLLRSSKIKNVRIYDADDSVLKAFKGTGLELIVGLPNGFVKDISTNQSHAMDWLKENVQPYLPDTHIRGIAIGNEVLGGNDQELEGALFGAIKNMYSALKKLQLENLIEVSTSHSQAVFNSSYPPSSGTFKESALVYLKPILDFFSKTGAPFCVNVYPFLAYDSDPDHIDINYALFEPNAGVYDEKTDLHYDNMFDAQVDAAYAALEAAGFNNMEVIVTETGWASAGGNDEKGASPENARTYNFNLRKRLFKRKGTPLRPKLVTKAYIFALFNEDLKPGQNSERHYGLFNANGSVSDDIGFSGLKSSPAPASTLSLKDIHRQGWSTSYSFTLIACITILVTLLA >DRNTG_11506.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29515858:29518742:-1 gene:DRNTG_11506 transcript:DRNTG_11506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQMSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPDNSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFIMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVNEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPMTPLPDLVTIHAPKDEDEFMRPPVVMAPEVEVPVA >DRNTG_12863.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18418870:18419786:-1 gene:DRNTG_12863 transcript:DRNTG_12863.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLEAIRARKPYHGISEDGSGWISVSEPPQESDSRENGPDLSPPRQRRRRVDTPSPERDVEPVCDPSPPRRMARQCSPSRGSPSGDLSPPRQVQHRSEDPDSDLSPPRRRRSQDADLSPPRRSRKGPDSDLSPPPRQVRRRSEDPERDLSPPRRRRSQDADLSPPRRRRKGPESDLSPPPRQVHRRPEDPERDLSPPRRRRSQDADLSPPRRSRKGPDSDLSPPRRRLNSQNDDLSPRSRNQKDQAAAKEGKAARAGLFSANEIKQELDRKKKEELSRY >DRNTG_12863.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18418870:18419786:-1 gene:DRNTG_12863 transcript:DRNTG_12863.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLEAIRARKPYHGISEDGSGWISVSEPPQESDSRENGPDLSPPRQRRRRVDTPSPERDVEPVCDPSPPRRMARQCSPSRGSPSGDLSPPRQVQHRSEDPDSDLSPPRRRRSQDADLSPPRRSRKGPDSDLSPPPRQVRRRSEDPERDLSPPRRRRSQDADLSPPRRSRKGPDSDLSPPRRRLNSQNDDLSPRSRNQKDQAAAKEGKAARAGLFSANEIKQELDRKKKEELSRY >DRNTG_12863.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18418870:18419786:-1 gene:DRNTG_12863 transcript:DRNTG_12863.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLEAIRARKPYHGISEDGSGWISVSEPPQESDSRENGPDLSPPRQRRRRVDTPSPERDVEPVCDPSPPRRMARQCSPSRGSPSGDLSPPRQVQHRSEDPDSDLSPPRRRRSQDADLSPPRRSRKGPDSDLSPPRRRLNSQNDDLSPRSRNQKDQAAAKEGKAARAGLFSANEIKQELDRKKKEELSRY >DRNTG_12863.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18418870:18420129:-1 gene:DRNTG_12863 transcript:DRNTG_12863.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLEAIRARKPYHGISEDGSGWISVSEPPQESDSRENGPDLSPPRQRRRRVDTPSPERDVEPVCDPSPPRRMARQCSPSRGSPSGDLSPPRQVQHRSEDPDSDLSPPRRRRSQDADLSPPRRSRKGPDSDLSPPRRRLNSQNDDLSPRSRNQKDQAAAKEGKAARAGLFSANEIKQELDRKKKEELSRY >DRNTG_12863.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18418870:18419786:-1 gene:DRNTG_12863 transcript:DRNTG_12863.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLEAIRARKPYHGISEDGSGWISVSEPPQESDSRENGPDLSPPRQRRRRVDTPSPERDVEPVCDPSPPRRMARQCSPSRGSPSGDLSPPRQVQHRSEDPDSDLSPPRRRRSQDADLSPPRRRRKGPESDLSPPPRQVHRRPEDPERDLSPPRRRRSQDADLSPPRRSRKGPDSDLSPPRRRLNSQNDDLSPRSRNQKDQAAAKEGKAARAGLFSANEIKQELDRKKKEELSRY >DRNTG_16859.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21906263:21918073:1 gene:DRNTG_16859 transcript:DRNTG_16859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLYSKGCIGHVANSPREFRVKETRATANVDVGLSPDSSDGLAGEADDQLHNLGGTRDSDAGITRLSRVSAQFLPPEGSRAVRVHLGNYELRYSFLSQRGYYPESLDKPNQDSFCIHTPFGTSPDDHFFGVFDGHGEYGAQCSQFVKQKLCENLLKNSRFHEDAVEACHAAFLATNTQLHDDTLDDSMSGTTAITILVRGRTIYVANTGDSRAVIAERRGKEVVAVDLSIDQTPFRSDELERVKQCGARVLTLDQIEGLKNPDVQCWGTEEGEDGDPPRLWVQNGMYPGTAFTRSIGDSIAESIGVVPSPEIFVMDLTSNHTFFVIASDGVFEFMSSQAVVDMVDKFKDPRDACAAVVAESYRLWLQYETRTDDITIIVVHINGLTDANHVHNSPSVPTRPLNQVIELTGSESPSAVSWNSKSNRARQDLSRARLKAIESSLENGRAWVPPSPSHRKTWEEEAHIERALHDHFLFRRLTDSQCHVLLDCMQRVEFRPGDVVVQQGGEGDCFYVVGSGEFEVLATQEEGGKEVEIKVLHRYTADKLSSFGELALMYNKPLQASVRAVTSGTLWALKREDFRGVLMSEFSNISSLKLLRSVELFSRLTILQLSQIADSLVEISFSDGQHIINKDEPLSALYIIQKGLVRLTVNVDLLRSNTSSLVSLNLGQEIHTEDKSECVVEMREGDHFGEWALLGESINSVAAVAIGHAVCAVITKEKFDSAVGPLAKLLQDEQRLKDSIRSIKEPTPEVDAAKLTKIKYSDLEWRTCIYATDWCEVGLVLLKGSENMLSLKRFSKKIIKQLGKQSQVLKEKDLMRSLNPSPCVPQVLCTCADQSYVGILLNSCLTCPLASILHKPLDESSAQFYAASVVVALEQLHKDAILYRGVSPDVLMLDQRGCLQIIDFRFAKKLNSERAFTIRGMADSLAPEVVQGKGHGFAADWWALGVLIYFMLQAEMPFGSWRESELETFAKIAKGQLTLPQTFSMEAVDLITKLLEVDEAARLGTQGSDAIKNHSWFSGFDWNGIYNGTFPVPQEITSRIDLYLENHAEDIVLPASSALDGDELNTPEWLENW >DRNTG_29576.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2310056:2310441:1 gene:DRNTG_29576 transcript:DRNTG_29576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWLEKARGYKLMMWSTLGTQQSMPSMSPEVMSKLEFLEKAYEEQKQQNQYIISLLESRGIQVNFEKTPRTSHAPARTGESASHAPHTSEDVE >DRNTG_23496.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21802402:21804623:1 gene:DRNTG_23496 transcript:DRNTG_23496.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSWKELIKGRRVDEMEEKVTKFFFHVFVRPSRDRDGKLWCPCFKCGSVKRVPKREAFDHVICDGFLQGLEVKVRMRKF >DRNTG_23496.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21802402:21804623:1 gene:DRNTG_23496 transcript:DRNTG_23496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSWKELIKGRRVDEMEEKVTKFFFHVFVRPSRDRDGKLWCPCFKCGSVKRVPKREAFDHVICDGFLQGLEVKVQRLKAEVQVLKQSLTTVISLFEKQFPGENEEILNTIASMINQKAPEASGTQQMPCGNDHLPESSHRVKQNALRNASGTQQTPNGNNHSPVSSHLANHFRYLPLVAYSVGVLFCIYKLRS >DRNTG_23276.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001262.1:66375:71744:1 gene:DRNTG_23276 transcript:DRNTG_23276.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTCRLLCRSKKQLYAGQTFLQQHHAIPLRLFAKEAGPPSMPPLKGDEMLKGIFYEVKNKFDTALGILRKEKITIDPDDPAAVSHYANVMKTVREKAGLFSESQRVKYTIEQRTQEIPDARTYLLTLQEIRAKSGLTDDLGVEPVMIEALEKVEKEIKKPLLRSDKKNMALLLAEFDKINKKLGIWKENLAKYEEEVELKIAKEQLTELKKDALEAMETQLKREEFKDEEMVDVKSLDIRNFI >DRNTG_23276.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001262.1:66375:71744:1 gene:DRNTG_23276 transcript:DRNTG_23276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTCRLLCRSKKLYAGQTFLQQHHAIPLRLFAKEAGPPSMPPLKGDEMLKGIFYEVKNKFDTALGILRKEKITIDPDDPAAVSHYANVMKTVREKAGLFSESQRVKYTIEQRTQEIPDARTYLLTLQEIRAKSGLTDDLGVEPVMIEALEKVEKEIKKPLLRSDKKNMALLLAEFDKINKKLGIWKENLAKYEEEVELKIAKEQLTELKKDALEAMETQLKREEFKDEEMVDVKSLDIRNFI >DRNTG_25997.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:997577:999156:1 gene:DRNTG_25997 transcript:DRNTG_25997.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKNGLKKGPWTPEEDQKLIDYIQKHGHGSWRTLPKNAGLARCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSILGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHSPRLDLLDFSSFLAPSLYNQTQLDVSRLLGIEPLVNTELLRIATNLLTSQCQNSNMVNQRLQHQSLISNPPQVQDHTLTAFQPPQQQQQQQLQNSIPDISATICTTSNAPFFGDQQSQLVQANAGHFQADVQTNTWQTSSHAQCDIQDNYGPLPTFNSQYPQQLISQHLSTDDYNQAFQIQNNNHGFDFSPVLSTTTSSPTQLNSSPSTYINSCSTEDDRDSYSSNLFNFQIPDLLDVSEFL >DRNTG_29995.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6544465:6544765:1 gene:DRNTG_29995 transcript:DRNTG_29995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSHWRWSTWEGVTQKEKVKRAMSVIAEEKLSGYWPDVAMIWFFWVRLESMWYSKMQHDCTVEDDHIMQEVMQILSFDGSEEGWAVISPGS >DRNTG_04583.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14397352:14397870:-1 gene:DRNTG_04583 transcript:DRNTG_04583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLSIEMMRLMGMIHRVKSGVYALITLAPEIAEGEGDDAEASQPVPKPQPTPMETEAPPVAEEPSPVHMFSPSRADDDFVRIKNVVGVLRTEIAEASLTPPSPIPAPVDPSSASSPPLVVVEEPTTDDIDT >DRNTG_02663.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000122.1:32512:33810:-1 gene:DRNTG_02663 transcript:DRNTG_02663.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVTSSTILTIPISHPSDVPHTIFDRHALNRHIAVLYAVTPPTSTNADIISGLSKTLVHFPTLTANLSTDYHGRPSLTVGAPDGGALVVEATVSSKLEDHLPLTPSSDFRLLHPEVNDAKHLLQVQLNRFQCCGLVIGVTTHHRVADGRSMGSFFVAWGKMVRGIPIDPLPVYDQSWLKPRDPPLVKFDHWGNEFIPLSPLQNEFNITPVYVDPSEITNLLLHFSPEFIMAIKAQTNKLSNEKHTTFETLAGYLWRKVTIARQLDGEECTMLVVPVNGMRRLQPPVPLEFFGNLALNAYPKTKAKTLIEGGVLTAAGIVREAARDMGDDYFKSFIDFGEVYGDRDLVPCHEKLGNVLSPTMEVDSWLGLEFDEIDFGGGGKLCGISLSWVPFEGLSVLIPALCKDGGVDVFVSLFEKDAVRFREISHSLD >DRNTG_20025.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28614065:28623310:-1 gene:DRNTG_20025 transcript:DRNTG_20025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSATEDMLGGDSWRLYQYICQHFIGSLSPDCKYTRTKVEFSAGGELFHCIGQHVTSKGFTSIMPWMEVSEKLIPRFMVGEKISIIKVEIYEGMTSPPDYLSDSELISLMEKNGIGTDASIPVHINNICERNYVQVNSGRRLVPTALGTTLIRGYQCIDPDLCLPDIHGFIEQQITLIAKGASR >DRNTG_20025.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28616331:28616981:-1 gene:DRNTG_20025 transcript:DRNTG_20025.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPWMEVSEKLIPRFMVGEKISIIKVEIYEGMTSPPDYLSDSELISLMEKNGIGTDASIPVHINNICERNYVQ >DRNTG_27810.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27265797:27266519:-1 gene:DRNTG_27810 transcript:DRNTG_27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVNNSDILRSARNAAMNQYPRFSLDGRDAMYRSSFRNYGCVKPGRRSVCCSSSTGGGYLNNCYEVNFDGNIAYPPTVAGESVVWCKPGVVAKLMGLDAVPVPVPVPARPGRSRVKAGAFNSRKENLRRMGRHELEKERLLMNMNGCKGSSNYKTGRYCVMKPINVEPMNGPLNWNFRHARR >DRNTG_08366.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4220382:4222556:1 gene:DRNTG_08366 transcript:DRNTG_08366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKTAENMTWHATNQSDDGVLRHPVDGKAWQHFNETYPSFSQEPRNVRLGLCADGFSPFGPAAKPYSVWPVMLVVYNLPPWMCMKQPYIFLNMVIPGKKSPSQNIDVFLRPLIDELNQLWDDGILTYDAFLRNRIS >DRNTG_29428.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:40880:43714:1 gene:DRNTG_29428 transcript:DRNTG_29428.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRRRFILCAFCVILATLILGASTTRKGGGGGAQAQSQSHSSILNKGLKLGTVFTSAMVSPCKDTLYPSACESAVASIDGAHLKKTTEKVFDASLKMAVAGAHSARSMAYNFTVYHQKLAFGRPTAMDDCFELMDITLDLLNDVTNSNKKASSNDIQTWLSAAITNQVTCQESLATHGAGLASKDTMNDRAQSLMEHVSNSLALYKSVKGKKKSSTAGAGRKLLADGYPSWLSAADRRLLKASPEDIKADAVVAQDGTGTHTTINEALAFLFQKYSTASSGGGGGGRSVMYLKAGTYKGPIVIPTKQKNVMIMGDGKGKTVIIGSKSAGSGSSTYQSATVAAMGAGFIGKGLTIINNAGPEDHQAVALRVGADKSVITQCSIQAYQDTLYTHSNRQFYRDNDITGTVDFIFGNSAAVFQNCFIQPRRAGSGQKNSVTAQGRSDPNQNTGISIQSCTIKCSSDIDGTPTYLGRPWHKYARVVVMESFLDGCINKDGWEPWSGSFAESTAYYAEYDNSGPGARPSDRVHWGGVHPSISSSEASKFTVSEFIVGDYWLPGTGVDYKAGL >DRNTG_04329.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31230440:31231811:-1 gene:DRNTG_04329 transcript:DRNTG_04329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMDKYRTYMYGEGEKNTSWRHGEPPGYEVVNKLFEDERTMEWPKGSLEEVVQNAIKTWEMELSHKTNIHDFKTINPQKFKLFVNGRKGLSGEETLELGSYNALLKTSMPEKLQYYKTEKETFESSHEVFRTAFPRGFAWEVLSVYSGPPVIVFKFRHWGYMEGPFKGHAPTGELVEFSGVAILKVDESLRAEEVEIYYDPAELFAGLLKGDAVADDHGDIDAAAAKLQACPFFKGDQLKDGRELQLD >DRNTG_13936.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19584025:19588506:1 gene:DRNTG_13936 transcript:DRNTG_13936.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 15b [Source:Projected from Arabidopsis thaliana (AT5G58470) UniProtKB/Swiss-Prot;Acc:Q94KD0] MEAVPPPTGYGGPSSLPPSYGAPPSNTYGGDSTVGRGGPPPPSYDGGYGGRPRSGRDGGGGGGGGGYSDRSTDISEKVKQCDDNCDDTCDNSRIYISNLPPDVTIDELRDLFGGIGQVGRIKQKRGYKDQWPWNIKIYTDEQGNNKGDAVLSYEDPSAAHSAGGFYNNYDLRGYKISVAMAEKSAPKPPPAFGHGRGGRGGYGGGGDRRRDNYRDGGGSGPDRHQHGGPRSRPY >DRNTG_13936.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19584025:19588506:1 gene:DRNTG_13936 transcript:DRNTG_13936.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 15b [Source:Projected from Arabidopsis thaliana (AT5G58470) UniProtKB/Swiss-Prot;Acc:Q94KD0] MEAVPPPTGYGGPSSLPPSYGAPPSNTYGGDSTVGRGGPPPPSYDGGYGGRPRSGRDGGGGGGGGGYSDRSTDISEKVKQCDDNCDDTCDNSRIYISNLPPDVTIDELRDLFGGIGQVGRIKQKRGYKDQWPWNIKIYTDEQGNNKGDAVLSYEDPSAAHSAGGFYNNYDLRGYKISVAMAEKSAPKPPPAFGHGRGGRGGYGGGGDRRRDNYRDGGGSGPDRHQHGGPRSRPY >DRNTG_13936.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19584025:19588506:1 gene:DRNTG_13936 transcript:DRNTG_13936.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 15b [Source:Projected from Arabidopsis thaliana (AT5G58470) UniProtKB/Swiss-Prot;Acc:Q94KD0] MEAVPPPTGYGGPSSLPPSYGAPPSNTYGGDSTVGRGGPPPPSYDGGYGGRPRSGRDGGGGGGGGGYSDRSTDISEKVKQCDDNCDDTCDNSRIYISNLPPDVTIDELRDLFGGIGQVGRIKQKRGYKDQWPWNIKIYTDEQGNNKGDAVLSYEDPSAAHSAGGFYNNYDLRGYKISVAMAEKSAPKPPPAFGHGRGGRGGYGGGGDRRRDNYRDGGGSGPDRHQHGGPRSRPY >DRNTG_14441.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000687.1:30604:33464:-1 gene:DRNTG_14441 transcript:DRNTG_14441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGAKSIGAGAATIALAGAAVGIGNVFSSLIHSVARNPSLAKQSFGYAILGFALTEAIALFALMMAFLISFVF >DRNTG_14441.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000687.1:31264:32438:-1 gene:DRNTG_14441 transcript:DRNTG_14441.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGAKSIGAGAATIALAGAAVGIGNVFSSLIHSVARNPSLAKQSFGYAILGFALTEAIALFALMMAFLISFVF >DRNTG_14441.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000687.1:31264:33464:-1 gene:DRNTG_14441 transcript:DRNTG_14441.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGAKSIGAGAATIALAGAAVGIGNVFSSLIHSVARNPSLAKQSFGYAILGFALTEAIALFALMMAFLISFVF >DRNTG_14441.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000687.1:30604:32438:-1 gene:DRNTG_14441 transcript:DRNTG_14441.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGAKSIGAGAATIALAGAAVGIGNVFSSLIHSVARNPSLAKQSFGYAILGFALTEAIALFALMMAFLISFVF >DRNTG_11918.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:477766:483035:-1 gene:DRNTG_11918 transcript:DRNTG_11918.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSKVIHIRNVGHEITENDLLQLLQPFGVVTKIVMLRAKNQALLQMYDVSSAVTALQYYGNMQPNIRGRNVYMQFSSHQELTTTEQSSQGRKGDQDSQPNRILLVTIHHLLYPITVEVLHQVFSPHGFVEKIVTFQKSAGAQALIQYQSRQSAVQARNTLQGRNIYDGCCQLDIQFSNLNELQVNYNNERSRDFLNPSLPSEQRGRSSQTGYGDGGGLYALHPTGPRAGACSEFTSDNLFLKQKKKLYIYIYYSQ >DRNTG_11918.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:477766:483035:-1 gene:DRNTG_11918 transcript:DRNTG_11918.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAAAVAAAFGGVLPPGVTGTNDRCTILVSNLNPDKSDEDKLFNLFSMYGNIVRIKLLRNKPDHALIEMEDGFQAELAVHFLKGAMLFGKRLEVNFSKYPNITPAPDAHEYSGSTLNRFNRNAVKNYRYCCSPTKMIHVSTLPQDITEDEIETHLVEHGTIVSSKLFEVNGKKQALILFEDEEQATEALVCKHASSIDSCIIRISFSQLQTI >DRNTG_11918.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:477766:483035:-1 gene:DRNTG_11918 transcript:DRNTG_11918.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSKVIHIRNVGHEITENDLLQLLQPFGVVTKIVMLRAKNQALLQMYDVSSAVTALQYYGNMQPNIRGRNVYMQFSSHQELTTTEQSSQGRKGDQDSQPNRILLVTIHHLLYPITVEVLHQVFSPHGFVEKIVTFQKSAGAQALIQYQSRQSAVQARNTLQGRNIYDGCCQLDIQFSNLNELQVNYNNERSRDFLNPSLPSEQRGRSSQTGYGDGGGLYALHPTGPRAVSFAQMSNAAAVAAAFGGVLPPGVTGTNDRCTILVSNLNPDKSDEDKLFNLFSMYGNIVRIKLLRNKPDHALIEMEDGFQAELAVHFLKGAMLFGKRLEVNFSKYPNITPAPDAHEYSGSTLNRFNRNAVKNYRYCCSPTKMIHVSTLPQDITEDEIETHLVEHGTIVSSKLFEVNGKKQALILFEDEEQATEALVCKHASSIDSCIIRISFSQLQTI >DRNTG_11918.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:477766:483035:-1 gene:DRNTG_11918 transcript:DRNTG_11918.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSKVIHIRNVGHEITENDLLQLLQPFGVVTKIVMLRAKNQALLQMYDVSSAVTALQYYGNMQPNIRGRNVYMQFSSHQELTTTEQSSQGRKGDQDSQPNRILLVTIHHLLYPITVEVLHQVFSPHGFVEKIVTFQKSAGAQALIQYQSRQSAVQARNTLQGRNIYDGCCQLDIQFSNLNELQVNYNNERSRDFLNPSLPSEQRGRSSQTGYGDGGGLYALHPTGPRAVSFAQVSLLHQVLLIIR >DRNTG_11918.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:477766:483035:-1 gene:DRNTG_11918 transcript:DRNTG_11918.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAAAVAAAFGGVLPPGVTGTNDRCTILVSNLNPDKSDEDKLFNLFSMYGNIVRIKLLRNKPDHALIEMEDGFQAELAVHFLKGAMLFGKRLEVNFSKYPNITPAPDAHEYSGSTLNRFNRNAVKNYRYCCSPTKMIHVSTLPQDITEDEIETHLVEHGTIVSSKLFEVNGKKQALILFEDEEQATEALVCKHASSIDSCIIRISFSQLQTI >DRNTG_11918.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:477766:483035:-1 gene:DRNTG_11918 transcript:DRNTG_11918.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSKVIHIRNVGHEITENDLLQLLQPFGVVTKIVMLRAKNQALLQMYDVSSAVTALQYYGNMQPNIRGRNVYMQFSSHQELTTTEQSSQGRKGDQDSQPNRILLVTIHHLLYPITVEVLHQVFSPHGFVEKIVTFQKSAGAQALIQYQSRQSAVQARNTLQGRNIYDGCCQLDIQFSNLNELQVNYNNERSRDFLNPSLPSEQRGRSSQTGYGDGGGLYALHPTGPRAVSFAQVSLLHQVLLIIRFYGFCGFSSSWPLFYLI >DRNTG_10245.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19879037:19883736:-1 gene:DRNTG_10245 transcript:DRNTG_10245.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LIKE COV 3 [Source:Projected from Arabidopsis thaliana (AT2G18460) UniProtKB/Swiss-Prot;Acc:F4IQJ6] MKMMGSNVERDGEFLLPVTESLNRDAVPGSSSLPTPLHAHTPSHLQPSSTEAFSKVLRSWSSKKFMTGCVIFLPIAITFYITWWFIHFVDGFFSPIYIHLGIDIFGLGFITSITFIFLVGVFMSSWLGASVLGLGEWLIKKMPLISHIYSALKQISIAISPDQTARAFKEVVILKHPRLGEYAIGFITSTVILRTFTTEEKLTCVYIPTNNVYLGDIFLFNSGDIIKSSLSVREGIEIVISGGMSIPQILSTMDANATFVGHGRVGKSPRYANSQA >DRNTG_25523.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2931173:2932768:1 gene:DRNTG_25523 transcript:DRNTG_25523.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNSTNNSGCPNANMEKNAKEHTSSMAALKCPRCNSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPIGGGCRKNKRLKKPTTSITTHPSSSSTSSALLSCHPPPPPPPPPPPSAPQVPSSSLPLISSNNNMDFSIHYANLPPLPAFLQQPQLDGFNLGYPSNAPTNHDQQQHLFDPLLGSSLSTATAASLFAFKH >DRNTG_17957.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000927.1:37251:39348:-1 gene:DRNTG_17957 transcript:DRNTG_17957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDKSTGDIASDQYHKYKEDVKLMSDTGLEAYKFSISWSRLIPNGRGEINPKGLDYYNNLINQLIEKGIQPHVMLYHQDLPQVLEDEYNGWLSPRIVDDFTAYADVCFREFGDRVYHWTTIAEVNVMAKASFDLGYFPPQRCSNPFGVINCTSGNSSTE >DRNTG_21407.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:662157:663014:-1 gene:DRNTG_21407 transcript:DRNTG_21407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGADLVQHINFFNHTVSDLQRIEVVIEDEDKAMILLCSLPPSYEHLVTTLTWGKDTLKVDEIT >DRNTG_04268.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9368505:9369454:-1 gene:DRNTG_04268 transcript:DRNTG_04268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKATSFSTGQIVLCPLSVINFTLTREILRPHRSHISVNTDSQEVSAVNISTRPVPFVSNNSTKTFHNSPYRKTDRTQTNKPNEVKKKK >DRNTG_16043.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25897502:25898902:-1 gene:DRNTG_16043 transcript:DRNTG_16043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKGHGCISGELSQQVLSPRSMISGKGLAKSRLVRISFVDPEATDSSSSDDEGRVFPPRRRVKRCVHEIGIQASVPSEPRRRPVSKRVPTRPVEKKRFRGVRRRPWGRWAAEIRDPYQRKRVWLGTFDTAEEAAVVYDSAALRLKGDKAITNFPTSKAVTPATMTTTTTTTTTTAESIVNLINEDVSLLFTSPTSVLRSGGDQAPFDYLAFGDVDAFGLSLDEEDMLMPLSLTNFGWPKSQCWGEVEFSEFDAADFSLEVVTF >DRNTG_25381.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24307246:24308603:1 gene:DRNTG_25381 transcript:DRNTG_25381.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFHIVKPKTLNTTRSRGEQWTGAGRGERLPGSGAQQRGNRWPGSLGTRAGRGEQRTRAGNREGNNSQGSGAQQRGNDDWDRWCKERIVECGRLEPGIERGETRHEKERDERVENGCRGATVDRSCPQERRSGAGIGGAGETGGVSGEEASGW >DRNTG_16408.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28864390:28866338:-1 gene:DRNTG_16408 transcript:DRNTG_16408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYRDGTSFYRGSACNRRSPCCLTPGEAKKPGQTISKGHKNYDLMLNLQLGIRYSVGKPASTEMRELVAGDFDPKEKFWTRFPPEGSKITPPHPTSEFRWKDYCPMVFRHLRKLFSVDPADYMMAICGNDALREFSSPGKSGSFFYLTQDDRFMIKTVKKSEVKVLIRMLPSYYQHVCQYENTLVTKFYGVHCVKPIGGQKVRFIVMGNLFCSEYRIHRRFDLKGSSHGRTTDKAEEEIDETTTLKDLDLNFVFRLHKYWFEELLRQIDRDCEFLEAERIMDYSLLVGVHFRDDASASK >DRNTG_11295.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1476458:1480960:-1 gene:DRNTG_11295 transcript:DRNTG_11295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKLQQNNNNNNSSSSSSSSSSKNEHMSKTLKKTLIIINCFLLAIGDTGGPLLSRLYFQYGGHRQWLSSCLETAGWPIIFIPLIISYYYRRKTDSFAKLYFITPRIFVACVVLGLLTGLDDFLYAYGLAFIPVSTSALLISTQLAFTAFFAYIIVKQKFTPFSINAVALLTVGAVILGLHGSKDRPANVTKGKYFMGFFLTLGAAALYGFVLPMIELTYLKAKQAITYTLVMEMQLVMGFFATVFCTVGMLINGDFQAIPKEASAYGLGPVRYYTVLVWQSIFWQFFFLGAVGVIFCVHTLLAGIIIAVFIPVIEVFGVIFFHENFSSEKGVALVLSLWGLASYSYGEYREAKEKEAARRAKDIEAQTI >DRNTG_11295.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1476458:1480960:-1 gene:DRNTG_11295 transcript:DRNTG_11295.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKLQQNNNNNNSSSSSSSSSSKNEHMSKTLKKTLIIINCFLLAIGDTGGPLLSRLYFQYGGHRQWLSSCLETAGWPIIFIPLIISYYYRRKTDSFAKLYFITPRIFVACVVLGLLTGLDDFLYAYGLAFIPVSTSALLISTQLAFTAFFAYIIVKQKFTPFSINAVALLTVGAVILGLHGSKDRPANVTKGKYFMGFFLTLGAAALYGFVLPMIELTYLKAKQAITYTLVMEMQLVMGFFATVFCTVGMLINGDFQAIPKEASAYGLGPVRYYTVLVWQSIFWQFFFLGAVGVIFCVHTLLAGIIIAVFIPVIEVFGVIFFHENFSSEKGVALVLSLWGLASYSYGEYREAKEKEAARRAKDIEAQTI >DRNTG_03356.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18089636:18092210:-1 gene:DRNTG_03356 transcript:DRNTG_03356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGSGGGGGGGGGGGGSVKTPADFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTHGEGG >DRNTG_33988.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32027063:32029421:-1 gene:DRNTG_33988 transcript:DRNTG_33988.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-[acyl-carrier-protein] synthase [Source:Projected from Arabidopsis thaliana (AT2G04540) UniProtKB/TrEMBL;Acc:A0A178VUN0] MAAGHVSMKYGFMGPNHAAVTACATGAHSIGDATRMIQFGDADVMVAGGTESSIDALSIAGFCRSKALATKYNSLPEASSRPFDCDRDGFVIAEGSGVMVLEELDHAKERGAKIYAEVRGYGMSGDAHHITQPHIEGKGAMLAMTRALEQSGLSPNQVDYINAHATSTPLGDVAEANAIGSVFSDHSTSGALALSSTKGATGHLLGAAGSVEAIFAVLAIHHGIAPPTLNLQKPDPVFHGGFMPLSAPREMPIRAALSNSFGFGGTNASLLFSGPP >DRNTG_33988.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32027786:32028017:-1 gene:DRNTG_33988 transcript:DRNTG_33988.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-[acyl-carrier-protein] synthase [Source:Projected from Arabidopsis thaliana (AT2G04540) UniProtKB/TrEMBL;Acc:A0A178VUN0] GDVAEANAIGSVFSDHSTSGALALSSTKGATGHLLGAAGSVEAIFAVLAIHH >DRNTG_33988.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32027063:32028876:-1 gene:DRNTG_33988 transcript:DRNTG_33988.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-[acyl-carrier-protein] synthase [Source:Projected from Arabidopsis thaliana (AT2G04540) UniProtKB/TrEMBL;Acc:A0A178VUN0] MVLEELDHAKERGAKIYAEVRGYGMSGDAHHITQPHIEGKGAMLAMTRALEQSGLSPNQVDYINAHATSTPLGDVAEANAIGSVFSDHSTSGALALSSTKGATGHLLGAAGSVEAIFAVLAIHHGIAPPTLNLQKPDPVFHGGFMPLSAPREMPIRAALSNSFGFGGTNASLLFSGPP >DRNTG_33988.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32028102:32029577:-1 gene:DRNTG_33988 transcript:DRNTG_33988.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-[acyl-carrier-protein] synthase [Source:Projected from Arabidopsis thaliana (AT2G04540) UniProtKB/TrEMBL;Acc:A0A178VUN0] MICEKRLRRLSPFFIPRILINMAAGHVSMKYGFMGPNHAAVTACATGAHSIGDATRMIQFGDADVMVAGGTESSIDALSIAGFCRSKALATKYNSLPEASSRPFDCDRDGFVIAEGSGVMVLEELDHAKERGAKIYAEVRGYGMSGDAHHITQPHIEGKGAMLAMTRALEQSGLSPNQVDYINAHATSTPLG >DRNTG_33988.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32027063:32030590:-1 gene:DRNTG_33988 transcript:DRNTG_33988.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-[acyl-carrier-protein] synthase [Source:Projected from Arabidopsis thaliana (AT2G04540) UniProtKB/TrEMBL;Acc:A0A178VUN0] MRRPGFVPRSIARFISYALCSTDEAIRDANWMPEEPEKKERTGVSIGGGTGSISDILDAAHMICEKRLRRLSPFFIPRILINMAAGHVSMKYGFMGPNHAAVTACATGAHSIGDATRMIQFGDADVMVAGGTESSIDALSIAGFCRSKALATKYNSLPEASSRPFDCDRDGFVIAEGSGVMVLEELDHAKERGAKIYAEVRGYGMSGDAHHITQPHIEGKGAMLAMTRALEQSGLSPNQVDYINAHATSTPLGDVAEANAIGSVFSDHSTSGALALSSTKGATGHLLGAAGSVEAIFAVLAIHHGIAPPTLNLQKPDPVFHGGFMPLSAPREMPIRAALSNSFGFGGTNASLLFSGPP >DRNTG_00282.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1939588:1978575:1 gene:DRNTG_00282 transcript:DRNTG_00282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQALHFIQFFLGFCHAPNRLTGPGAQTNGRKPTRCEPHRPARPRNLIQSRRIYNNPTELPDYKLYKIHNTGI >DRNTG_04470.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31658539:31659725:1 gene:DRNTG_04470 transcript:DRNTG_04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNFESALHLSQLAHPLLKTSSSGNIVFISTIGTFIVYQGGAIYSASKGAMNQITKHLACEWAKDNIRVNGVAPSAIKTPLIENLFGKDSDLLMKEASRVPLGRLGEPEEVASVVAFLCLPAASYVTGQIICIDGGRAQIS >DRNTG_24198.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22472033:22482279:1 gene:DRNTG_24198 transcript:DRNTG_24198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEMDAIERNGTWELTELPHDKKVVQLKWVYKTKYLSDGRVQRHKARLVAKGYTQQAGIDFQEIYAPVARMETVRLLLAVAAQQRWPVYQFDIKSAFLNGEILEEVYVEQPIGYEKEGEENKVLKLRKALYGLKQAPRAWYSKIDGYLQSRGFIRSENEHTLYKRSDEDGNLLLVSIYVDDIIYLSSSQAMVESFKNEMKECFEMTDLGLLNYFLGLEVKQKQGEISISQRRYAEETLKLFQMQHCNSVCIPMKTSEKLQCSDDSGDADPKVYRSLIGRLLYLTHTRPDIAFTVNLLSRFVSKPSKTHLGAAKHLLRYIAGTINLGIKYTKVEEWKLRGYSDSDWGGSIDDRRSTSGMIFDLGSGAISWSSKKQEVTALSTTEAEYVAAAAATCQGIWLGRMLEDCGMKNEKAIEIWCDNKSAIEIAKNPAHHGRTKHIDIRFHFIRGLVADGLIVLSYCKTEDQKADIFTKPLPVKKHNHLRIQLGIEDVSSKEGCCSVLETGPDVFDWSASQGKCCEEEKEWLTCQQANGFGFSPLSINAFTSY >DRNTG_24198.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22472033:22477212:1 gene:DRNTG_24198 transcript:DRNTG_24198.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEMDAIERNGTWELTELPHDKKVVQLKWVYKTKYLSDGRVQRHKARLVAKGYTQQAGIDFQEIYAPVARMETVRLLLAVAAQQRWPVYQFDIKSAFLNGEILEEVYVEQPIGYEKEGEENKVLKLRKALYGLKQAPRAWYSKIDGYLQSRGFIRSENEHTLYKRSDEDGNLLLVSIYVDDIIYLSSSQAMVESFKNEMKECFEMTDLGLLNYFLGLEVKQKQGEISISQRRYAEETLKLFQMQHCNSVCIPMKTSEKLQCSDDSGDADPKVYRSLIGRLLYLTHTRPDIAFTVNLLSRFVSKPSKTHLGAAKHLLRYIAGTINLGIKYTKVEEWKLRGYSDSDWGGSIDDRRSTSGMIFDLGSGAISWSSKKQEVTALSTTEAEYVAAAAATCQGIWLGRMLEDCGMKNEKAIEIWCDNKSAIEIAKNPAHHGRTKHIDIRFHFIRGLVADGLIVLSYCKTEDQKADIFTKPLPVKKHNHLRIQLGIEDVSSKEGCCSVLETGPDVFDWSASQGKCCEEEKEWLTCQQANGFGFSPLSINAFTSY >DRNTG_23306.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1171547:1179427:-1 gene:DRNTG_23306 transcript:DRNTG_23306.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGSHHLQCLSAAMSFSRANVRTRSRNPWCRGFCDPPPSDGGGGRFGSFVSGDSHIRTVSDANFVYRHGCSGKAWVPRGPPYQSFQSWPPPPNFVPFPPPPFSQPPPYYRPFPPPFRRPTPQPKPADHRYWVFSQSQPPPQCERFTVMSYNILADYLARDHRSKLYFHIPHRILDWEWRKRRILLEFGLWAADIICLQEVDHFHDLEEELARRGYTGIWKMRTGMAVDGCAVFWQTNRFQLRHEENIEYCKLGLRDNVAQILVLESKSQNLMGSSANSLPGSSNHLGGTNLVVICNIHVLYNPKRGEIKIGQVRMLLDKAYAVSRHWNNAPVVICGDFNCTPKSSLYNYILEQKLSLSGLARNQVSGQLSANLLYTPRSYSAPNMYSAQAPANCSSTLTSSGGRGSNYPNTDHQNNSDNCLRDTSSRAEPIQASTQLVDMSGGPSSDNQCINDISKVFDAKALHGLGDPQGENIRSSNQPSKTPTIVETNVQQPHVQTVSGRNGPFSGELHQNGSVTDPVRSIRNMPNVHFPPAKSAIYDKLIEDSLDSKSSCKDAVLSQGLSDSSLDVLDGNLILGLSLKQVGELKIQDATPDGGKGTAGLLGETSAFITACATSKDNNSHQKSIQPIDKDENQASEESVHEGVFSRSKSEKHIGVCHGDSVMVDLCMSEESSDPNFIKELLGNEDEPAFSDNIYSEQSHSSPIVDECERMGVLGVSRQIVESNELHKSESDASHMENQKDTTPMTVPPYCDLSYDPFLWTPMEIETASGNAERDFVEHNLRLRSAYRDVKDYAGTKDMSGEPQVTSYHRQFMGTVDYIWYSEGLQIVKVLDTIPKHVLQRTPGFPTQKWGSDHLALVCQLAFLAPPRASRLCQDVNISQTPT >DRNTG_23306.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1171547:1179427:-1 gene:DRNTG_23306 transcript:DRNTG_23306.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGSHHLQCLSAAMSFSRANVRVMTRSRNPWCRGFCDPPPSDGGGGRFGSFVSGDSHIRTVSDANFVYRHGCSGKAWVPRGPPYQSFQSWPPPPNFVPFPPPPFSQPPPYYRPFPPPFRRPTPQPKPADHRYWVFSQSQPPPQCERFTVMSYNILADYLARDHRSKLYFHIPHRILDWEWRKRRILLEFGLWAADIICLQEVDHFHDLEEELARRGYTGIWKMRTGMAVDGCAVFWQTNRFQLRHEENIEYCKLGLRDNVAQILVLESKSQNLMGSSANSLPGSSNHLGGTNLVVICNIHVLYNPKRGEIKIGQVRMLLDKAYAVSRHWNNAPVVICGDFNCTPKSSLYNYILEQKLSLSGLARNQVSGQLSANLLYTPRSYSAPNMYSAQAPANCSSTLTSSGGRGSNYPNTDHQNNSDNCLRDTSSRAEPIQASTQLVDMSGGPSSDNQCINDISKVFDAKALHGLGDPQGENIRSSNQPSKTPTIVETNVQQPHVQTVSGRNGPFSGELHQNGSVTDPVRSIRNMPNVHFPPAKSAIYDKLIEDSLDSKSSCKDAVLSQGLSDSSLDVLDGNLILGLSLKQVGELKIQDATPDGGKGTAGLLGETSAFITACATSKDNNSHQKSIQPIDKDENQASEESVHEGVFSRSKSEKHIGVCHGDSVMVDLCMSEESSDPNFIKELLGNEDEPAFSDNIYSEQSHSSPIVDECERMGVLGVSRQIVESNELHKSESDASHMENQKDTTPMTVPPYCDLSYDPFLWTPMEIETASGNAERDFVEHNLRLRSAYRDVKDYAGTKDMSGEPQVTSYHRQFMGTVDYIWYSEGLQIVKVLDTIPKHVLQRTPGFPTQKWGSDHLALVCQLAFLAPPRASRLCQDVNISQTPT >DRNTG_23306.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1171547:1179427:-1 gene:DRNTG_23306 transcript:DRNTG_23306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGSHHLQCLSAAMSFSRANVRTRSRNPWCRGFCDPPPSDGGGGRFGSFVSGDSHIRTVSDANFVYRHGCSGKAWVPRGPPYQSFQSWPPPPNFVPFPPPPFSQPPPYYRPFPPPFRRPTPQPKPADHRYWVFSQSQPPPQCERFTVMSYNILADYLARDHRSKLYFHIPHRILDWEWRKRRILLEFGLWAADIICLQEVDHFHDLEEELARRGYTGIWKMRTGMAVDGCAVFWQTNRFQLRHEENIEYCKLGLRDNVAQILVLESKSQNLMGSSANSLPGSSNHLGGTNLVVICNIHVLYNPKRGEIKIGQVRMLLDKAYAVSRHWNNAPVVICGDFNCTPKSSLYNYILEQKLSLSGLARNQVSGQLSANLLYTPRSYSAPNIAQAPANCSSTLTSSGGRGSNYPNTDHQNNSDNCLRDTSSRAEPIQASTQLVDMSGGPSSDNQCINDISKVFDAKALHGLGDPQGENIRSSNQPSKTPTIVETNVQQPHVQTVSGRNGPFSGELHQNGSVTDPVRSIRNMPNVHFPPAKSAIYDKLIEDSLDSKSSCKDAVLSQGLSDSSLDVLDGNLILGLSLKQVGELKIQDATPDGGKGTAGLLGETSAFITACATSKDNNSHQKSIQPIDKDENQASEESVHEGVFSRSKSEKHIGVCHGDSVMVDLCMSEESSDPNFIKELLGNEDEPAFSDNIYSEQSHSSPIVDECERMGVLGVSRQIVESNELHKSESDASHMENQKDTTPMTVPPYCDLSYDPFLWTPMEIETASGNAERDFVEHNLRLRSAYRDVKDYAGTKDMSGEPQVTSYHRQFMGTVDYIWYSEGLQIVKVLDTIPKHVLQRTPGFPTQKWGSDHLALVCQLAFLAPPRASRLCQDVNISQTPT >DRNTG_34267.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:12523283:12528505:-1 gene:DRNTG_34267 transcript:DRNTG_34267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFSQTFVVECDASSTGVGAVLMQNNRPLAFSSKQLAPRHLAKPAYEKELMALVLAIRQWRPYLMGQHSVIKTDHSNLQHILQQHVNTPTQQNWITKLLGYEFDVQYQPGSTNKAVDALSRAYGDLELTAIFIPY >DRNTG_34267.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:12523283:12524513:-1 gene:DRNTG_34267 transcript:DRNTG_34267.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSHLVSQALPHELSLEEESYIPAAILKVQNQGSGSFPCHPVLVQWQSRPPEESSWMTCSDFRGQFPTSNLVDKVCSIQESTDKPFQKHLLVYSRRNKISQGQNGKLAPT >DRNTG_15366.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26824171:26825472:1 gene:DRNTG_15366 transcript:DRNTG_15366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRKLNAFLAFVAIILSSCATSNAQLQIGFYNGSCPVAESIVKEEVMKALQNDPGMAAGLVRMHFHDAFVRGADGSVLIDSTSNNTAEKDAPPNNPSLRGFEIIDAAKARLETKCKGIVSCADILAFAARDSVLYSMGLPYAVPSGRRDGRVSLASEAMSNLPPPSFNLTQLTQAFNGKGFSQEEMITLSGAHTIGRSHCSSFSNRLYNFNSTVSQDPSLDPTYAEQLKKQCPNGSTNASIVVPMDPDTPTKFDSNYYKLILSNRGLFTSDQTLISTPRTKKQVQVNAYVPLYFQSKFRDAFVKMGNIGVLTGNQGEIRINCRVINS >DRNTG_01844.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7668249:7670191:-1 gene:DRNTG_01844 transcript:DRNTG_01844.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGPYPCGSAAVGWEGVRSEVQCTAAPDIDGLLAGAGYRVRSSDLCQVAQRLERLESAMVYSSGGGHVDYDHLASDAVHYNPSDLASWVDSMLSELTPADPGPVRQAPAPDGWVDQIYPQPQRAVAARMEEEEDSGIRLVHLLMSSAEAIQRGDAALSGSLIDEMRLLLTRVNTGFGIGKVAGYFVDALTRRLYSVSSPAASGSPAEYEILYHHFYEASPYLKFAHFTANQAILEAFDGHDRVHVIDFSLMHGLQWPALIQALALRPGGPPSLRLTGIGPPSPDGRDSLREIGLRLAELARSVRVRFAFRGVAANRLDDVRPWMLQVAPGEAVAVNSVMQLHRLLTDPDSPGPAPIDSVLSWIHGLRPKIVTVVEQEADHNKPGFLDRFTEALFYYSTMFDSLEAGRANGSAAQQQQATAVAEVYLQREIRDIVCCEGPNRAERHELLVRWRARMGQTGFKPVHLGSNAFKQASMLLTLFSGEGYCVEEVDGCLTLGWHSRPLIAASAWRADDLEDNARPVPDNFIFSSISSTSNTNDSNNNNHNNNDIINSNGSMLRRNSNNNSRSSDSSSQQESIHGM >DRNTG_01844.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7668204:7670191:-1 gene:DRNTG_01844 transcript:DRNTG_01844.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGPYPCGSAAVGWEGVRSEVQCTAAPDIDGLLAGAGYRVRSSDLCQVAQRLERLESAMVYSSGGGHVDYDHLASDAVHYNPSDLASWVDSMLSELTPADPGPVRQAPAPDGWVDQIYPQPQRAVAARMEEEEDSGIRLVHLLMSSAEAIQRGDAALSGSLIDEMRLLLTRVNTGFGIGKVAGYFVDALTRRLYSVSSPAASGSPAEYEILYHHFYEASPYLKFAHFTANQAILEAFDGHDRVHVIDFSLMHGLQWPALIQALALRPGGPPSLRLTGIGPPSPDGRDSLREIGLRLAELARSVRVRFAFRGVAANRLDDVRPWMLQVAPGEAVAVNSVMQLHRLLTDPDSPGPAPIDSVLSWIHGLRPKIVTVVEQEADHNKPGFLDRFTEALFYYSTMFDSLEAGRANGSAAQQQQATAVAEVYLQREIRDIVCCEGPNRAERHELLVRWRARMGQTGFKPVHLGSNAFKQASMLLTLFSGEGYCVEEVDGCLTLGWHSRPLIAASAWRADDLEDNARPVPDNFIFSSISSTSNTNDSNNNNHNNNDIINSNGSMLRRNSNNNSRSSDSSSQQESIHGM >DRNTG_01844.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7668077:7670484:-1 gene:DRNTG_01844 transcript:DRNTG_01844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPYPCGSAAVGWEGVRSEVQCTAAPDIDGLLAGAGYRVRSSDLCQVAQRLERLESAMVYSSGGGHVDYDHLASDAVHYNPSDLASWVDSMLSELTPADPGPVRQAPAPDGWVDQIYPQPQRAVAARMEEEEDSGIRLVHLLMSSAEAIQRGDAALSGSLIDEMRLLLTRVNTGFGIGKVAGYFVDALTRRLYSVSSPAASGSPAEYEILYHHFYEASPYLKFAHFTANQAILEAFDGHDRVHVIDFSLMHGLQWPALIQALALRPGGPPSLRLTGIGPPSPDGRDSLREIGLRLAELARSVRVRFAFRGVAANRLDDVRPWMLQVAPGEAVAVNSVMQLHRLLTDPDSPGPAPIDSVLSWIHGLRPKIVTVVEQEADHNKPGFLDRFTEALFYYSTMFDSLEAGRANGSAAQQQQATAVAEVYLQREIRDIVCCEGPNRAERHELLVRWRARMGQTGFKPVHLGSNAFKQASMLLTLFSGEGYCVEEVDGCLTLGWHSRPLIAASAWRADDLEDNARPVPDNFIFSSISSTSNTNDSNNNNHNNNDIINSNGSMLRRNSNNNSRSSDSSSQQESIHGM >DRNTG_01844.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7668204:7670484:-1 gene:DRNTG_01844 transcript:DRNTG_01844.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPYPCGSAAVGWEGVRSEVQCTAAPDIDGLLAGAGYRVRSSDLCQVAQRLERLESAMVYSSGGGHVDYDHLASDAVHYNPSDLASWVDSMLSELTPADPGPVRQAPAPDGWVDQIYPQPQRAVAARMEEEEDSGIRLVHLLMSSAEAIQRGDAALSGSLIDEMRLLLTRVNTGFGIGKVAGYFVDALTRRLYSVSSPAASGSPAEYEILYHHFYEASPYLKFAHFTANQAILEAFDGHDRVHVIDFSLMHGLQWPALIQALALRPGGPPSLRLTGIGPPSPDGRDSLREIGLRLAELARSVRVRFAFRGVAANRLDDVRPWMLQVAPGEAVAVNSVMQLHRLLTDPDSPGPAPIDSVLSWIHGLRPKIVTVVEQEADHNKPGFLDRFTEALFYYSTMFDSLEAGRANGSAAQQQQATAVAEVYLQREIRDIVCCEGPNRAERHELLVRWRARMGQTGFKPVHLGSNAFKQASMLLTLFSGEGYCVEEVDGCLTLGWHSRPLIAASAWRADDLEDNARPVPDNFIFSSISSTSNTNDSNNNNHNNNDIINSNGSMLRRNSNNNSRSSDSSSQQESIHGM >DRNTG_01844.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7668077:7670191:-1 gene:DRNTG_01844 transcript:DRNTG_01844.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPYPCGSAAVGWEGVRSEVQCTAAPDIDGLLAGAGYRVRSSDLCQVAQRLERLESAMVYSSGGGHVDYDHLASDAVHYNPSDLASWVDSMLSELTPADPGPVRQAPAPDGWVDQIYPQPQRAVAARMEEEEDSGIRLVHLLMSSAEAIQRGDAALSGSLIDEMRLLLTRVNTGFGIGKVAGYFVDALTRRLYSVSSPAASGSPAEYEILYHHFYEASPYLKFAHFTANQAILEAFDGHDRVHVIDFSLMHGLQWPALIQALALRPGGPPSLRLTGIGPPSPDGRDSLREIGLRLAELARSVRVRFAFRGVAANRLDDVRPWMLQVAPGEAVAVNSVMQLHRLLTDPDSPGPAPIDSVLSWIHGLRPKIVTVVEQEADHNKPGFLDRFTEALFYYSTMFDSLEAGRANGSAAQQQQATAVAEVYLQREIRDIVCCEGPNRAERHELLVRWRARMGQTGFKPVHLGSNAFKQASMLLTLFSGEGYCVEEVDGCLTLGWHSRPLIAASAWRADDLEDNARPVPDNFIFSSISSTSNTNDSNNNNHNNNDIINSNGSMLRRNSNNNSRSSDSSSQQESIHGM >DRNTG_29107.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10452933:10457146:-1 gene:DRNTG_29107 transcript:DRNTG_29107.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNIYLDDLRVKPEVIDPPKDEDLVEVSEDVNDPDQQALKPNLTVASSVHELLECPVCLNAMYPPIHQCSNGHTICSACKPKVHNRCPTCRHELGNIRCLALEKVAATLELPCKFHTFGCSGIFPYFNKLKHESQCAFKPYNCPYAGSECSVVGDIPFLVNHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVLSDVTSQFISTMFLVCNPLFADCLLYVQVFSCFGQYFCLHFEAFQLGMAPVYVAFLRFMGDDTEAKNYSYSLEVGANGRKMIFQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDRKELKLRVTGKIWKEQ >DRNTG_29107.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10452933:10453964:-1 gene:DRNTG_29107 transcript:DRNTG_29107.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYVAFLRFMGDDTEAKNYSYSLEVGANGRKMIFQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDRKELKLRVTGKIWKEQ >DRNTG_29107.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10452933:10457146:-1 gene:DRNTG_29107 transcript:DRNTG_29107.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNIYLDDLRVKPEVIDPPKDEDLVEVSEDVNDPDQQALKPNLTVASSVHELLECPVCLNAMYPPIHQCSNGHTICSACKPKVHNRCPTCRHELGNIRCLALEKVAATLELPCKFHTFGCSGIFPYFNKLKHESQCAFKPYNCPYAGSECSVVGDIPFLVNHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYVAFLRFMGDDTEAKNYSYSLEVGANGRKMIFQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDRKELKLRVTGKIWKEQ >DRNTG_23610.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001268.1:53264:58341:1 gene:DRNTG_23610 transcript:DRNTG_23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSKSANSVESDVQRSMPSTIVAQPWWRGPGFGATAPPSADPDGGDVSKHAGQSQVHDKVDNSADVQKQMQTLGIPTDGICGQEHQHQPFVSSSVPTMMTEYHVPHTQLELGQSIACAAYPYADPYALMNAYGNPAMVHPHLIGMPHTRMPLPLEMTEEPVYVNAKQYHGILRRRQSRAKAELEKKLIKVRRPYLHESRHQHAMRRARGCGGRFLNTKKNDGNTDNTTNPGATLPVSLPKSSPSEAPPSGCSENQITANQMQQPAYTNGTDGYPQSGFQLSAFHAMQGERIDEGDCSGQQRGGILVNQSSNRAVTIQ >DRNTG_20693.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16258027:16265736:1 gene:DRNTG_20693 transcript:DRNTG_20693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECTENMSTSPSSSRPKSNSASSSTSFPDST >DRNTG_14994.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23956308:23957308:1 gene:DRNTG_14994 transcript:DRNTG_14994.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:Projected from Arabidopsis thaliana (AT2G42940) UniProtKB/TrEMBL;Acc:A0A178VQG3] MAGPDLPGEKPATTNCNDDHEHQPDCTDDTKSSMLVLPMIPTPVRRPRGRPAGSKNKPKPPIIITRDSPNVLRAHAMEVSPGCDITDCIAGFARRRQRGVCVLRGSGCVMNVTLRQPGPAPGATITLHGRFEILSLLGSFFPPPAPTSGTGLTVFLGGSQGQVFGGSVVGPLVAASPTVVMAASFMTTGFDRLPLEEHESTIAGSGMHHHHHHHHHRIEMPNLYGVGVPSAMAPELYAWAHGGQVTELKK >DRNTG_10609.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:67753:74679:-1 gene:DRNTG_10609 transcript:DRNTG_10609.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:myo-inositol monophosphatase like 2 [Source:Projected from Arabidopsis thaliana (AT4G39120) TAIR;Acc:AT4G39120] MRFSGRRMDGGVRRRLQTLSGFWIPLMGQRASLLANLCSELSLLFCTRENQERWIGADGRCTTLNGQEVSTRSCSKLSEAYLYTTSPHLFSGDAVEAFARVRNKVKVPLYGCDCYAYALLASGFVDLVIESGLKPYDFLSLIPVIEGAGGRITNWNGTKLNWEASPDSQVKSFNVAAAGDPKLHQLALDALQWCES >DRNTG_10609.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:67753:70962:-1 gene:DRNTG_10609 transcript:DRNTG_10609.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:myo-inositol monophosphatase like 2 [Source:Projected from Arabidopsis thaliana (AT4G39120) TAIR;Acc:AT4G39120] MVSIILENFPSHAIFGEENGWRCKETSADFVWVLDPIDGTKSFITGKPLFGTLIALLYKGKPVWSSCISFALYFFHLSPTFLILVLENWLIEYSS >DRNTG_10609.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:67753:74679:-1 gene:DRNTG_10609 transcript:DRNTG_10609.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:myo-inositol monophosphatase like 2 [Source:Projected from Arabidopsis thaliana (AT4G39120) TAIR;Acc:AT4G39120] MVSIILENFPSHAIFGEENGWRCKETSADFVWVLDPIDGTKSFITGKPLFGTLIALLYKGKPVWSSCISFALYFFHLSPTFLILVLENWLIEYSS >DRNTG_10609.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:67753:74679:-1 gene:DRNTG_10609 transcript:DRNTG_10609.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myo-inositol monophosphatase like 2 [Source:Projected from Arabidopsis thaliana (AT4G39120) TAIR;Acc:AT4G39120] MVSIILENFPSHAIFGEENGWRCKETSADFVWVLDPIDGTKSFITGKPLFGTLIALLYKGKPIIGIIDQPVLRERWIGADGRCTTLNGQEVSTRSCSKLSEAYLYTTSPHLFSGDAVEAFARVRNKVKVPLYGCDCYAYALLASGFVDLVIESGLKPYDFLSLIPVIEGAGGRITNWNGTKLNWEASPDSQVKSFNVAAAGDPKLHQLALDALQWCES >DRNTG_10609.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:67753:70962:-1 gene:DRNTG_10609 transcript:DRNTG_10609.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:myo-inositol monophosphatase like 2 [Source:Projected from Arabidopsis thaliana (AT4G39120) TAIR;Acc:AT4G39120] MVSIILENFPSHAIFGEENGWRCKETSADFVWVLDPIDGTKSFITGKPLFGTLIALLYKGKPVWSSCISFALYFFHLSPTFLILVLENWLIEYSS >DRNTG_10609.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:67753:70962:-1 gene:DRNTG_10609 transcript:DRNTG_10609.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:myo-inositol monophosphatase like 2 [Source:Projected from Arabidopsis thaliana (AT4G39120) TAIR;Acc:AT4G39120] MLTTQSRLLITLNPQRRHPSQSVVQHCNPSTHLSFNRIAPCLSTQWRASISASMAAVDSLEMDRFVEVGKKVADASGEVIRKYFRQPFDIIDKPDLSPVTIADRDAEESMVSIILENFPSHAIFGEENGWRCKETSADFVWVLDPIDGTKSFITGKPLFGTLIALLYKGKPIIGIIDQPVLRERWIGADGRCTTLNGQEVSTRSCSKLSEAYLYTTSPHLFSGDAVEAFARVRNKVKVPLYGCDCYAYALLASGFVDLVIESGLKPYDFLSLIPVIEGAGGRITNWNGTKLNWEASPDSQVKSFNVAAAGDPKLHQLALDALQWCES >DRNTG_22656.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20523774:20526764:-1 gene:DRNTG_22656 transcript:DRNTG_22656.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:20 kDa chaperonin, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20720) UniProtKB/Swiss-Prot;Acc:O65282] MATLPIIGAGLAGRVMMPSFDGLRQSPSVLRVCPMVTVCNAGLNRRSFRGLVVKAATTVAPKFTSVKPLDDRVLVKIKSFDEKTPGGVLLPTTAQSKPQGGEVVAVGDGRTVGNKKVEISVQSGAQVVYSKFAGTELEFNGADHILLKEDDIVGILDTDDVKDLKPLGDRVLIKIVEAEDKTPCWRAIDRGHQGKTSHRHNSCGWTRSLR >DRNTG_16051.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25846229:25861504:1 gene:DRNTG_16051 transcript:DRNTG_16051.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRLNSSKLDNSEYFQNKLVETIQFMEVLNLKDSVEKDAFFRKLPNLAEQLPRQIVLKKLLPLLASALEFGSATALALTALLKLGSWLSTDEFNAKLLPAIVKLFASNDRAIRVGLLQHIDQFGESLTAQIVDEQVFPHVATGFSDTSAFLRELTLKSMLVLAPKLSQRTISGSLLKYLSKLQVDEEPAIRTNTTILLGNIASYLNEGTRKRVLINAFTVRALRDNFSPARAAGIMALTATSSYYDMTEIATRILPNIVVLSIDPDSDVRTKAFQAIDQFLLIAKQYHEKLNTGDTSGSANDGASLIPGNASLLGWAMSSLTLKGKASEHAPVASANSNTPISASNNSSDTQNNIVPVSSSSDPLDQPSPPSPTSVDGWGELENGLHEDHDSDKEGWDDIDPLEEQKPPPSLASIQAAQKRPVLQPKRSTTSAKPKTTLKTSNAEDDDLWGSISAPEPKTAAKSLNVKPASSQNDDDLWDTIAAPPPKSASKPLTAKSSAAFDDNDPWAAIAAPPPTTKAKPLSLGRGRGAKPAVQKLGAQRIDRTSSSGM >DRNTG_16051.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25846229:25861504:1 gene:DRNTG_16051 transcript:DRNTG_16051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFLKGVVAGSGAGVKDLPYTIGEPYSTAWGSWTHYRGTSKDDGSAVSIFSLSGSNAQDGHLSAGRNCVKRLRTVRHPNILSYLHSTETEAFDGSSTKITIYIVTEPVMPLSEKIKELKLEGTQRDEYYAWGLHQISKAVSFLNNDCKLVHGNVCLASVVVTQTLDWKLHAFDVLSEFDQNNEASNRPMLQFEWLVGSQYKPMELAKSDWAAIRKSPPWAIDSWGLGCLIYELFTGLKLTKTEELRNTAHIPKSLLPDYQRLLSSMPSRRLNSSKLDNSEYFQNKLVETIQFMEVLNLKDSVEKDAFFRKLPNLAEQLPRQIVLKKLLPLLASALEFGSATALALTALLKLGSWLSTDEFNAKLLPAIVKLFASNDRAIRVGLLQHIDQFGESLTAQIVDEQVFPHVATGFSDTSAFLRELTLKSMLVLAPKLSQRTISGSLLKYLSKLQVDEEPAIRTNTTILLGNIASYLNEGTRKRVLINAFTVRALRDNFSPARAAGIMALTATSSYYDMTEIATRILPNIVVLSIDPDSDVRTKAFQAIDQFLLIAKQYHEKLNTGDTSGSANDGASLIPGNASLLGWAMSSLTLKGKASEHAPVASANSNTPISASNNSSDTQNNIVPVSSSSDPLDQPSPPSPTSVDGWGELENGLHEDHDSDKEGWDDIDPLEEQKPPPSLASIQAAQKRPVLQPKRSTTSAKPKTTLKTSNAEDDDLWGSISAPEPKTAAKSLNVKPASSQNDDDLWDTIAAPPPKSASKPLTAKSSAAFDDNDPWAAIAAPPPTTKAKPLSLGRGRGAKPAVQKLGAQRIDRTSSSGM >DRNTG_09780.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000411.1:61810:62961:1 gene:DRNTG_09780 transcript:DRNTG_09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPQKRFRINAKNLFLTYPKCSLAKEFALEKLRAVILPSNKKFIRVARELHEDESPHSHVLIQLQGRAKVTNSRIFDIVSPNSSVVFHPNIQSAKSSKDVKAYIEKGGDYVDWGTFQEESRKTRNGKKSLNDVYDEALHTGSAEDALNIIRRNDPRIFSLQYHNLRANYERLFYKPSDPYISFWAYSSFNATRLRNEWLEHNFQINDAAQPCGDIIIQREDRIRPISLILEGPSRIGKTAWARSLGKHNYICGHLDFNPATYQQDVLYNVIGDVSTVYLRLKHWRELIGCQRDWQTNCKYGKPIRIKGGIPPIILCNPGSDSSYKEYLDKNENMGLRDWTLKNAKFEFIHEPLFNTAQAQDSNTSYSSGCSL >DRNTG_28301.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001432.1:38513:40669:1 gene:DRNTG_28301 transcript:DRNTG_28301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSSLIKAATSARFENPFSHLLACLFSSLEALSATVWKSFGKAFGVILWLSTPRSFGGELLGELLPALIRRANPHWRVEILQGRWSYGFDTVLLLEEGYWGSFRRHRSGEVCPRLDKGNFGEEEPTLQDH >DRNTG_06616.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:475474:478400:1 gene:DRNTG_06616 transcript:DRNTG_06616.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metallopeptidase EGY3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17870) UniProtKB/Swiss-Prot;Acc:Q9LMU1] MASVFVSSSWFLQRTTSPPLHFRSKIQSWNPNHGQSFKLSVREVQRPKFSAQDQEEQIMAPSSPSTSSVAMVSEEEVDDKPAESTAEGNSEEDGDKDEKMKQQEMDWKADEEFKSFMGNPSIEAAIKLEKKRADRKLRELDQESAGNPIAAFFRRAARESLEREKERLEQAEEAFKALDLNKLKSCFGFDTFFAVDVRRFGDGGIFVGNLRKPIEEVIPKLEKKLSEAAGRDVVLWFMEEKTDDITKQVCMVQPKSEMDLQFESTKLSTPWGYISAILLCVATFGTIALMSGFFLKPDATFDDYVADVLPLFGGFLSILGASEIATRVTAARYGVKLSPSFLVPSNWTGCLGVMNNYESLLPNKKALFDIPVARTATAYLTSLALALTAFVVDGSFNGGDNALFIRPQFFYNNPLLSFIQLVIGPYADELGNVLPNAVEGVGVPVDPLAFAGLLGMVVTSLNLLPCGRLEGGRIAQALFGRNTATLLSFGDISSPRHRWVEWQCSLLGLGVISRHSSEEEMKFRPRMRSHL >DRNTG_06616.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:475418:478400:1 gene:DRNTG_06616 transcript:DRNTG_06616.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metallopeptidase EGY3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17870) UniProtKB/Swiss-Prot;Acc:Q9LMU1] MASVFVSSSWFLQRTTSPPLHFRSKIQSWNPNHGQSFKLSVREVQRPKFSAQDQEEQIMAPSSPSTSSVAMVSEEEVDDKPAESTAEGNSEEDGDKDEKMKQQEMDWKADEEFKSFMGNPSIEAAIKLEKKRADRKLRELDQESAGNPIAAFFRRAARESLEREKERLEQAEEAFKALDLNKVILNSSKVEELLWL >DRNTG_17999.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24177184:24177719:1 gene:DRNTG_17999 transcript:DRNTG_17999.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEQVNPLQSSDGNIPSYPDTEKIVGNMSTSPASAVEYQLLKVSF >DRNTG_17999.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24177184:24178480:1 gene:DRNTG_17999 transcript:DRNTG_17999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEQVNPLQSSDGNIPSYPDTEKIVGNMSTSPASAVEYQLLKGQLAKEQSRAETLSAEVMKLTAELRRSVQSYNNRARLYRPVFPKYRERAYENEARKFCLHSAVSFL >DRNTG_17999.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24177184:24178232:1 gene:DRNTG_17999 transcript:DRNTG_17999.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEQVNPLQSSDGNIPSYPDTEKIVGNMSTSPASAVEYQLLKGQLAKEQSRAETLSAEVMKLTAELRRSVQSYNNRARL >DRNTG_20221.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20996433:20998994:1 gene:DRNTG_20221 transcript:DRNTG_20221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPRGCRFDPNEFELIQYLSDKVNGCPDPGQGLIKDDIDAYASSPDLLSANFCPDDGDGRLFCFTPIKFRKGLRCRDRSTPDGHWKATDARRRIDDKVGNPVGCVQTLSYYLREKGGCEV >DRNTG_14189.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20264399:20273044:-1 gene:DRNTG_14189 transcript:DRNTG_14189.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3117 [Source:Projected from Arabidopsis thaliana (AT2G47940) UniProtKB/TrEMBL;Acc:A0A178VUR8] MMALRLSLLGRRRRTWGLVYDLKEPQVNDIGDIQDAAFLNAVVKVYCTHTPPDYSLPWQKQRQFTSTGSAFMIGDGKLLTNAHCVDHDTQVKVKRRGDDTKFVAKVLARGIECDIALLSVESEEFWIGAEPLRFGRLPCLQDAVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGASDLLGIQIDAAINPGNSGGPAFNDQGECIGVAFQVYRSEDAENIGYVIPTTVVSHFLNDYERNGKYTGFPSLGVLLQKLENPALRSCLKVPSNEGVLVRRVEPTSAASKVLKKGDVIVSFDGVHVGCEGTVPFRSTERIAFRYLISQKFSGDVAELGIVREGTYMKVPVTLHPRVHLVPFHIEGGQPSYLIIAGLVFTPLSEPLIEEECEDSLGLKLLAKARYSLARFKGEQIVILSQVLANDANIGYEEMANQQVLKLNGTQIRNIRHLAHLVDSCKDKYLIFEFEDNFLVVLDRAVATAASPCILKDYGIPCERSSDLSEPYIDDKSEDKQAVNEEIR >DRNTG_14189.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20264399:20273044:-1 gene:DRNTG_14189 transcript:DRNTG_14189.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3117 [Source:Projected from Arabidopsis thaliana (AT2G47940) UniProtKB/TrEMBL;Acc:A0A178VUR8] MVLHFMFLSGNSGGPAFNDQGECIGVAFQVYRSEDAENIGYVIPTTVVSHFLNDYERNGKYTGFPSLGVLLQKLENPALRSCLKVPSNEGVLVRRVEPTSAASKVLKKGDVIVSFDGVHVGCEGTVPFRSTERIAFRYLISQKFSGDVAELGIVREGTYMKVPVTLHPRVHLVPFHIEGGQPSYLIIAGLVFTPLSEPLIEEECEDSLGLKLLAKARYSLARFKGEQIVILSQVLANDANIGYEEMANQQVLKLNGTQIRNIRHLAHLVDSCKDKYLIFEFEDNFLVVLDRAVATAASPCILKDYGIPCERSSDLSEPYIDDKSEDKQAVNEEIR >DRNTG_14189.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20264399:20270964:-1 gene:DRNTG_14189 transcript:DRNTG_14189.18 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3117 [Source:Projected from Arabidopsis thaliana (AT2G47940) UniProtKB/TrEMBL;Acc:A0A178VUR8] MVLHFMFLSGNSGGPAFNDQGECIGVAFQVYRSEDAENIGYVIPTTVVSHFLNDYERNGKYTGFPSLGVLLQKLENPALRSCLKVPSNEGVLVRRVEPTSAASKVLKKGDVIVSFDGVHVGCEGTVPFRSTERIAFRYLISQKFSGDVAELGIVREGTYMKVPVTLHPRVHLVPFHIEGGQPSYLIIAGLVFTPLSEPLIEEECEDSLGLKLLAKARYSLARFKGEQIVILSQVLANDANIGYEEMANQQVLKLNGTQIRNIRHLAHLVDSCKDKYLIFEFEDNFLVVLDRAVATAASPCILKDYGIPCERSSDLSEPYIDDKSEDKQAVNEEIR >DRNTG_14189.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20264399:20270964:-1 gene:DRNTG_14189 transcript:DRNTG_14189.15 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3117 [Source:Projected from Arabidopsis thaliana (AT2G47940) UniProtKB/TrEMBL;Acc:A0A178VUR8] MIGDGKLLTNAHCVDHDTQVKVKRRGDDTKFVAKVLARGIECDIALLSVESEEFWIGAEPLRFGRLPCLQDAVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGASDLLGIQIDAAINPGNSGGPAFNDQGECIGVAFQVYRSEDAENIGYVIPTTVVSHFLNDYERNGKYTGFPSLGVLLQKLENPALRSCLKVPSNEGVLVRRVEPTSAASKVLKKGDVIVSFDGVHVGCEGTVPFRSTERIAFRYLISQKFSGDVAELGIVREGTYMKVPVTLHPRVHLVPFHIEGGQPSYLIIAGLVFTPLSEPLIEEECEDSLGLKLLAKARYSLARFKGEQIVILSQVLANDANIGYEEMANQQVLKLNGTQIRNIRHLAHLVDSCKDKYLIFEFEDNFLVVLDRAVATAASPCILKDYGIPCERSSDLSEPYIDDKSEDKQAVNEEIR >DRNTG_14189.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20264399:20273044:-1 gene:DRNTG_14189 transcript:DRNTG_14189.14 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3117 [Source:Projected from Arabidopsis thaliana (AT2G47940) UniProtKB/TrEMBL;Acc:A0A178VUR8] MKVPVTLHPRVHLVPFHIEGGQPSYLIIAGLVFTPLSEPLIEEECEDSLGLKLLAKARYSLARFKGEQIVILSQVLANDANIGYEEMANQQVLKLNGTQIRNIRHLAHLVDSCKDKYLIFEFEDNFLVVLDRAVATAASPCILKDYGIPCERSSDLSEPYIDDKSEDKQAVNEEIR >DRNTG_14189.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20264399:20273044:-1 gene:DRNTG_14189 transcript:DRNTG_14189.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3117 [Source:Projected from Arabidopsis thaliana (AT2G47940) UniProtKB/TrEMBL;Acc:A0A178VUR8] MIGDGKLLTNAHCVDHDTQVKVKRRGDDTKFVAKVLARGIECDIALLSVESEEFWIGAEPLRFGRLPCLQDAVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGASDLLGIQIDAAINPGNSGGPAFNDQGECIGVAFQVYRSEDAENIGYVIPTTVVSHFLNDYERNGKYTGFPSLGVLLQKLENPALRSCLKVPSNEGVLVRRVEPTSAASKVLKKGDVIVSFDGVHVGCEGTVPFRSTERIAFRYLISQKFSGDVAELGIVREGTYMKVPVTLHPRVHLVPFHIEGGQPSYLIIAGLVFTPLSEPLIEEECEDSLGLKLLAKARYSLARFKGEQIVILSQVLANDANIGYEEMANQQVLKLNGTQIRNIRHLAHLVDSCKDKYLIFEFEDNFLVVLDRAVATAASPCILKDYGIPCERSSDLSEPYIDDKSEDKQAVNEEIR >DRNTG_14189.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20264399:20270964:-1 gene:DRNTG_14189 transcript:DRNTG_14189.17 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3117 [Source:Projected from Arabidopsis thaliana (AT2G47940) UniProtKB/TrEMBL;Acc:A0A178VUR8] MKVPVTLHPRVHLVPFHIEGGQPSYLIIAGLVFTPLSEPLIEEECEDSLGLKLLAKARYSLARFKGEQIVILSQVLANDANIGYEEMANQQVLKLNGTQIRNIRHLAHLVDSCKDKYLIFEFEDNFLVVLDRAVATAASPCILKDYGIPCERSSDLSEPYIDDKSEDKQAVNEEIR >DRNTG_14189.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20264399:20273044:-1 gene:DRNTG_14189 transcript:DRNTG_14189.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3117 [Source:Projected from Arabidopsis thaliana (AT2G47940) UniProtKB/TrEMBL;Acc:A0A178VUR8] MIGDGKLLTNAHCVDHDTQVKVKRRGDDTKFVAKVLARGIECDIALLSVESEEFWIGAEPLRFGRLPCLQDAVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGASDLLGIQIDAAINPGNSGGPAFNDQGECIGVAFQVYRSEDAENIGYVIPTTVVSHFLNDYERNGKYTGFPSLGVLLQKLENPALRSCLKVPSNEGVLVRRVEPTSAASKVLKKGDVIVSFDGVHVGCEGTVPFRSTERIAFRYLISQKFSGDVAELGIVREGTYMKVPVTLHPRVHLVPFHIEGGQPSYLIIAGLVFTPLSEPLIEEECEDSLGLKLLAKARYSLARFKGEQIVILSQVLANDANIGYEEMANQQVLKLNGTQIRNIRHLAHLVDSCKDKYLIFEFEDNFLVVLDRAVATAASPCILKDYGIPCERSSDLSEPYIDDKSEDKQAVNEEIR >DRNTG_14189.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20264399:20273044:-1 gene:DRNTG_14189 transcript:DRNTG_14189.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3117 [Source:Projected from Arabidopsis thaliana (AT2G47940) UniProtKB/TrEMBL;Acc:A0A178VUR8] MVLHFMFLSGNSGGPAFNDQGECIGVAFQVYRSEDAENIGYVIPTTVVSHFLNDYERNGKYTGFPSLGVLLQKLENPALRSCLKVPSNEGVLVRRVEPTSAASKVLKKGDVIVSFDGVHVGCEGTVPFRSTERIAFRYLISQKFSGDVAELGIVREGTYMKVPVTLHPRVHLVPFHIEGGQPSYLIIAGLVFTPLSEPLIEEECEDSLGLKLLAKARYSLARFKGEQIVILSQVLANDANIGYEEMANQQVLKLNGTQIRNIRHLAHLVDSCKDKYLIFEFEDNFLVVLDRAVATAASPCILKDYGIPCERSSDLSEPYIDDKSEDKQAVNEEIR >DRNTG_14189.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20264399:20273044:-1 gene:DRNTG_14189 transcript:DRNTG_14189.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3117 [Source:Projected from Arabidopsis thaliana (AT2G47940) UniProtKB/TrEMBL;Acc:A0A178VUR8] MMALRLSLLGRRRRTWGLVYDLKEPQVNDIGDIQDAAFLNAVVKVYCTHTPPDYSLPWQKQRQFTSTGSAFMIGDGKLLTNAHCVDHDTQVKVKRRGDDTKFVAKVLARGIECDIALLSVESEEFWIGAEPLRFGRLPCLQDAVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGASDLLGIQIDAAINPGNSGGPAFNDQGECIGVAFQVYRSEDAENIGYVIPTTVVSHFLNDYERNGKYTGFPSLGVLLQKLENPALRSCLKVPSNEGVLVRRVEPTSAASKVLKKGDVIVSFDGVHVGCEGTVPFRSTERIAFRYLISQKFSGDVAELGIVREGTYMKVPVTLHPRVHLVPFHIEGGQPSYLIIAGLVFTPLSEPLIEEECEDSLGLKLLAKARYSLARFKGEQIVILSQVLANDANIGYEEMANQQVLKLNGTQIRNIRHLAHLVDSCKDKYLIFEFEDNFLVVLDRAVATAASPCILKDYGIPCERSSDLSEPYIDDKSEDKQAVNEEIR >DRNTG_14189.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20264399:20270964:-1 gene:DRNTG_14189 transcript:DRNTG_14189.16 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3117 [Source:Projected from Arabidopsis thaliana (AT2G47940) UniProtKB/TrEMBL;Acc:A0A178VUR8] MKVPVTLHPRVHLVPFHIEGGQPSYLIIAGLVFTPLSEPLIEEECEDSLGLKLLAKARYSLARFKGEQIVILSQVLANDANIGYEEMANQQVLKLNGTQIRNIRHLAHLVDSCKDKYLIFEFEDNFLVVLDRAVATAASPCILKDYGIPCERSSDLSEPYIDDKSEDKQAVNEEIR >DRNTG_14189.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20264303:20273084:-1 gene:DRNTG_14189 transcript:DRNTG_14189.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3117 [Source:Projected from Arabidopsis thaliana (AT2G47940) UniProtKB/TrEMBL;Acc:A0A178VUR8] MKVPVTLHPRVHLVPFHIEGGQPSYLIIAGLVFTPLSEPLIEEECEDSLGLKLLAKARYSLARFKGEQIVILSQVLANDANIGYEEMANQQVLKLNGTQIRNIRHLAHLVDSCKDKYLIFEFEDNFLVVLDRAVATAASPCILKDYGIPCERSSDLSEPYIDDKSEDKQAVNEEIR >DRNTG_14189.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20264399:20273044:-1 gene:DRNTG_14189 transcript:DRNTG_14189.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3117 [Source:Projected from Arabidopsis thaliana (AT2G47940) UniProtKB/TrEMBL;Acc:A0A178VUR8] MVTARFSCCSDWSRHKRHSLRRGASSRENLDEVFPNKERCWIFLVSFLWFVGEKFGFFVFLIWIDGRLKLCRRRGFLGEGGMMALRLSLLGRRRRTWGLVYDLKEPQVNDIGDIQDAAFLNAVVKVYCTHTPPDYSLPWQKQRQFTSTGSAFMIGDGKLLTNAHCVDHDTQVKVKRRGDDTKFVAKVLARGIECDIALLSVESEEFWIGAEPLRFGRLPCLQDAVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGASDLLGIQIDAAINPGNSGGPAFNDQGECIGVAFQVYRSEDAENIGYVIPTTVVSHFLNDYERNGKYTGFPSLGVLLQKLENPALRSCLKVPSNEGVLVRRVEPTSAASKVLKKGDVIVSFDGVHVGCEGTVPFRSTERIAFRYLISQKFSGDVAELGIVREGTYMKVPVTLHPRVHLVPFHIEGGQPSYLIIAGLVFTPLSEPLIEEECEDSLGLKLLAKARYSLARFKGEQIVILSQVLANDANIGYEEMANQQVLKLNGTQIRNIRHLAHLVDSCKDKYLIFEFEDNFLVVLDRAVATAASPCILKDYGIPCERSSDLSEPYIDDKSEDKQAVNEEIR >DRNTG_14189.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20264399:20273044:-1 gene:DRNTG_14189 transcript:DRNTG_14189.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3117 [Source:Projected from Arabidopsis thaliana (AT2G47940) UniProtKB/TrEMBL;Acc:A0A178VUR8] MVTARFSCCSDWSRHKRHSLRRGASSRENLDEVFPNKERCWIFLVSFLWFVGEKFGFFVFLIWIDGRLKLCRRRGFLGEGGMMALRLSLLGRRRRTWGLVYDLKEPQVNDIGDIQDAAFLNAVVKVYCTHTPPDYSLPWQKQRQFTSTGSAFMIGDGKLLTNAHCVDHDTQVKVKRRGDDTKFVAKVLARGIECDIALLSVESEEFWIGAEPLRFGRLPCLQDAVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGASDLLGIQIDAAINPGNSGGPAFNDQGECIGVAFQIGRC >DRNTG_14189.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20264399:20273044:-1 gene:DRNTG_14189 transcript:DRNTG_14189.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3117 [Source:Projected from Arabidopsis thaliana (AT2G47940) UniProtKB/TrEMBL;Acc:A0A178VUR8] MSCAFMIGDGKLLTNAHCVDHDTQVKVKRRGDDTKFVAKVLARGIECDIALLSVESEEFWIGAEPLRFGRLPCLQDAVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGASDLLGIQIDAAINPGNSGGPAFNDQGECIGVAFQVYRSEDAENIGYVIPTTVVSHFLNDYERNGKYTGFPSLGVLLQKLENPALRSCLKVPSNEGVLVRRVEPTSAASKVLKKGDVIVSFDGVHVGCEGTVPFRSTERIAFRYLISQKFSGDVAELGIVREGTYMKVPVTLHPRVHLVPFHIEGGQPSYLIIAGLVFTPLSEPLIEEECEDSLGLKLLAKARYSLARFKGEQIVILSQVLANDANIGYEEMANQQVLKLNGTQIRNIRHLAHLVDSCKDKYLIFEFEDNFLVVLDRAVATAASPCILKDYGIPCERSSDLSEPYIDDKSEDKQAVNEEIR >DRNTG_14189.20 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20271628:20273044:-1 gene:DRNTG_14189 transcript:DRNTG_14189.20 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3117 [Source:Projected from Arabidopsis thaliana (AT2G47940) UniProtKB/TrEMBL;Acc:A0A178VUR8] TRLKLCRRRGFLGEGGMMALRLSLLGRRRRTWGLVYDLKEPQVNDIGDIQDAAFLNAVVKVYCTHTPPDYSLPWQKQRQFTSTGRHVLVVITNIDVYLAL >DRNTG_14189.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20264399:20273044:-1 gene:DRNTG_14189 transcript:DRNTG_14189.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3117 [Source:Projected from Arabidopsis thaliana (AT2G47940) UniProtKB/TrEMBL;Acc:A0A178VUR8] MSCAFMIGDGKLLTNAHCVDHDTQVKVKRRGDDTKFVAKVLARGIECDIALLSVESEEFWIGAEPLRFGRLPCLQDAVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGASDLLGIQIDAAINPGNSGGPAFNDQGECIGVAFQVYRSEDAENIGYVIPTTVVSHFLNDYERNGKYTGFPSLGVLLQKLENPALRSCLKVPSNEGVLVRRVEPTSAASKVLKKGDVIVSFDGVHVGCEGTVPFRSTERIAFRYLISQKFSGDVAELGIVREGTYMKVPVTLHPRVHLVPFHIEGGQPSYLIIAGLVFTPLSEPLIEEECEDSLGLKLLAKARYSLARFKGEQIVILSQVLANDANIGYEEMANQQVLKLNGTQIRNIRHLAHLVDSCKDKYLIFEFEDNFLVVLDRAVATAASPCILKDYGIPCERSSDLSEPYIDDKSEDKQAVNEEIR >DRNTG_14189.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20264399:20273044:-1 gene:DRNTG_14189 transcript:DRNTG_14189.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3117 [Source:Projected from Arabidopsis thaliana (AT2G47940) UniProtKB/TrEMBL;Acc:A0A178VUR8] MKVPVTLHPRVHLVPFHIEGGQPSYLIIAGLVFTPLSEPLIEEECEDSLGLKLLAKARYSLARFKGEQIVILSQVLANDANIGYEEMANQQVLKLNGTQIRNIRHLAHLVDSCKDKYLIFEFEDNFLVVLDRAVATAASPCILKDYGIPCERSSDLSEPYIDDKSEDKQAVNEEIR >DRNTG_14189.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20264399:20266037:-1 gene:DRNTG_14189 transcript:DRNTG_14189.19 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3117 [Source:Projected from Arabidopsis thaliana (AT2G47940) UniProtKB/TrEMBL;Acc:A0A178VUR8] MKVPVTLHPRVHLVPFHIEGGQPSYLIIAGLVFTPLSEPLIEEECEDSLGLKLLAKARYSLARFKGEQIVILSQVLANDANIGYEEMANQQVLKLNGTQIRNIRHLAHLVDSCKDKYLIFEFEDNFLVVLDRAVATAASPCILKDYGIPCERSSDLSEPYIDDKSEDKQAVNEEIR >DRNTG_14189.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20264399:20273044:-1 gene:DRNTG_14189 transcript:DRNTG_14189.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3117 [Source:Projected from Arabidopsis thaliana (AT2G47940) UniProtKB/TrEMBL;Acc:A0A178VUR8] MSCAFMIGDGKLLTNAHCVDHDTQVKVKRRGDDTKFVAKVLARGIECDIALLSVESEEFWIGAEPLRFGRLPCLQDAVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGASDLLGIQIDAAINPGNSGGPAFNDQGECIGVAFQVYRSEDAENIGYVIPTTVVSHFLNDYERNGKYTGFPSLGVLLQKLENPALRSCLKVPSNEGVLVRRVEPTSAASKVLKKGDVIVSFDGVHVGCEGTVPFRSTERIAFRYLISQKFSGDVAELGIVREGTYMKVPVTLHPRVHLVPFHIEGGQPSYLIIAGLVFTPLSEPLIEEECEDSLGLKLLAKARYSLARFKGEQIVILSQVLANDANIGYEEMANQQVLKLNGTQIRNIRHLAHLVDSCKDKYLIFEFEDNFLVVLDRAVATAASPCILKDYGIPCERSSDLSEPYIDDKSEDKQAVNEEIR >DRNTG_04296.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30084374:30085130:1 gene:DRNTG_04296 transcript:DRNTG_04296.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLNLKDSVHQAVLRALYAYFADRPLIEIPHIEVPLHTIIEIQMGVTGVQEKRYKLMD >DRNTG_31129.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:169723:173008:1 gene:DRNTG_31129 transcript:DRNTG_31129.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWNWFSRTGPSGFGQNSTAEEVTEGIDASHLTAIVTGSTSGIGKETARVLALRGAKVIIPARSLESGIKVKESLLEQNPDAKLQVMEMDVSSLESVRSFAQSFNSSNKHLNILINNAGIMACPFQLSKDGIELQFATNHLGHFLLTNLLLEKIKATAKETGIQGRIVNVSSVAHRRSDSSWFDLNVINDESKYKPFNAYSRSKLANILHSNELSRCLKEKGSNVTANSLHPGVIMTNISRSLDLNSVVMSLATLVKPFLKSIPQGAATTCYVALSPQIKDVSGKYFADCNEASPSKEGEDEVLGKRLWEFCQQILKKSKAEK >DRNTG_31129.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:169723:173008:1 gene:DRNTG_31129 transcript:DRNTG_31129.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWNWFSRTGPSGFGQNSTAEEVTEGIDASHLTAIVTGSTSGIGKETARVLALRGAKVIIPARSLESGIKVKESLLEQNPDAKLQVMEMDVSSLESVRSFAQSFNSSNKHLNILINNAGIMACPFQLSKDGIELQFATNHLGHFLLTNLLLEKIKATAKETGIQGRIVNVSSVAHRRSDSSWFDLNVINDESKYKPFNAYSRSKLANILHSNELSRCLKEKGSNVTANSLHPGVIMTNISRSLDLNSVVMSLATLVKPFLKSIPQGAATTCYVALSPQIKDVSGKYFADCNEASPSKEGEDEVLGKRLWEFCQQILKKSKAEK >DRNTG_31129.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:169723:173008:1 gene:DRNTG_31129 transcript:DRNTG_31129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWNWFSRTGPSGFGQNSTAEEVTEGIDASHLTAIVTGSTSGIGKETARVLALRGAKVIIPARSLESGIKVKESLLEQNPDAKLQVMEMDVSSLESVRSFAQSFNSSNKHLNILINNAGIMACPFQLSKDGIELQFATNHLGHFLLTNLLLEKIKATAKETGIQGRIVNVSSVAHRRSDSSWFDLNVINDESKYKPFNAYSRSKLANILHSNELSRCLKEKGSNVTANSLHPGVIMTNISRSLDLNSVVMSLATLVKPFLKSIPQGAATTCYVALSPQIKDVSGKYFADCNEASPSKEGEDEVLGKRLWEFCQQILKKSKAEK >DRNTG_02306.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:744622:747570:1 gene:DRNTG_02306 transcript:DRNTG_02306.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWHYLGLLIMNFPCLNGQVLVPLHASNSVPQTKSRHCLAQSTTCIPYSQDRLYLLFHGANCLPQTRL >DRNTG_02306.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:742790:751203:1 gene:DRNTG_02306 transcript:DRNTG_02306.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNEKVLMEQSIIDIHPETRKGRSEEEDSEIDIDLAELKLRLYEQVNYRRRQLNKIEKAMQNAKEIEDRNLEQLALDKLVEMAYQKLMSAITFAKRTLARCKEYEETGKSCFSEPALREILFSAPPHCSNVSHVAPDKGQASGSVGNGLSDKCQRGSLDLCQSSAHVKLLSGNTAHNLSRNSEDELLLDAADSMEILDIADGLGAPGQDIGSWLNIDEDLLDDDEELVGLDVPMDDLSDLNVIF >DRNTG_02306.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:742790:751203:1 gene:DRNTG_02306 transcript:DRNTG_02306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNEKVLMEQSIIDIHPETRKGRSEEEDSEIDIDLAELKLRLYEQVNYRRRQLNKIEKAMQNAKEIEDRNLEQLALDKLVEMAYQKLMCGRSHNMGARNLSKQSAITFAKRTLARCKEYEETGKSCFSEPALREILFSAPPHCSNVSHVAPDKGQASGSVGNGLSDKCQRGSLDLCQSSAHVKLLSGNTAHNLSRNSEDELLLDAADSMEILDIADGLGAPGQDIGSWLNIDEDLLDDDEELVGLDVPMDDLSDLNVIF >DRNTG_19615.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001032.1:78836:81178:1 gene:DRNTG_19615 transcript:DRNTG_19615.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNVSKNETPSGKFVPTSTPYHPDGLRKMLEYIKQKYKNPPIYIQENGCELAKEDTMNDTYRIDYLNGYIGSTLEAIRNGANVRGYFVWSFIDVFELLDGYRTRFGLYFVDFDDKELKRIPKSSAHWYSNFLKRKNIKGLPGGHSVVLDLESK >DRNTG_19615.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001032.1:78836:81178:1 gene:DRNTG_19615 transcript:DRNTG_19615.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIVGSRLPVFTKSQSEYLKGSFDFIGLNHYTSIFVVDNSVEALAMPIRDYSSDMLATFTVSKNETPSGKFVPTSTPYHPDGLRKMLEYIKQKYKNPPIYIQENGCELAKEDTMNDTYRIDYLNGYIGSTLEAIRNGANVRGYFVWSFIDVFELLDGYRTRFGLYFVDFDDKELKRIPKSSAHWYSNFLKRKNIKGLPGGHSVVLDLESK >DRNTG_19615.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001032.1:75323:81178:1 gene:DRNTG_19615 transcript:DRNTG_19615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDKSTGDIASDQYHKYKEDVKLMSDTGLEAYKFSISWARLIPNGRGEVNPKGLDYYNNLINELIGKGIQPHVTLHHQDLPQALEDEYNGWLSPRIVDDFTAYADVCFREFGDRVSHWTTMAEVNILSIATYDIGVFPPQRCSYPFGVNNCTAGNSTTEPYIAIHNALLAHASIFHLYKTKYKAFQHGWIGLNVYTYWYSPFSNSKADVKAAKRARDFTFRRIVDPFVFGDYPKTMKKIVGSRLPVFTKSQSEYLKGSFDFIGLNHYTSIFVVDNSVEALAMPIRDYSSDMLATFTVSKNETPSGKFVPTSTPYHPDGLRKMLEYIKQKYKNPPIYIQENGCELAKEDTMNDTYRIDYLNGYIGSTLEAIRNGANVRGYFVWSFIDVFELLDGYRTRFGLYFVDFDDKELKRIPKSSAHWYSNFLKRKNIKGLPGGHSVVLDLESK >DRNTG_19615.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001032.1:75323:78490:1 gene:DRNTG_19615 transcript:DRNTG_19615.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDKSTGDIASDQYHKYKEDVKLMSDTGLEAYKFSISWARLIPNGRGEVNPKGLDYYNNLINELIGKGIQPHVTLHHQDLPQALEDEYNGWLSPRIVDDFTAYADVCFREFGDRVSHWTTMAEVNILSIATYDIGVFPPQRCSYPFGVNNCTAGNSTTEPYIAIHNALLAHASIFHLYKTKYKAFQHGWIGLNVYTYWYSPFSNSKADVKAAKRARDFTFRVVSVYMPFIL >DRNTG_19615.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001032.1:75323:78490:1 gene:DRNTG_19615 transcript:DRNTG_19615.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPDKSTGDIASDQYHKYKEDVKLMSDTGLEAYKFSISWARLIPNGRGEVNPKGLDYYNNLINELIGKGIQPHVTLHHQDLPQALEDEYNGWLSPRIVDDFTAYADVCFREFGDRVSHWTTMAEVNILSIATYDIGVFPPQRCSYPFGVNNCTAGNSTTEPYIAIHNALLAHASIFHLYKTKYKA >DRNTG_19615.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001032.1:78836:81178:1 gene:DRNTG_19615 transcript:DRNTG_19615.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIVLNCIFFIFIFFILFLFIFIFEVSKNETPSGKFVPTSTPYHPDGLRKMLEYIKQKYKNPPIYIQENGCELAKEDTMNDTYRIDYLNGYIGSTLEAIRNGANVRGYFVWSFIDVFELLDGYRTRFGLYFVDFDDKELKRIPKSSAHWYSNFLKRKNIKGLPGGHSVVLDLESK >DRNTG_30275.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5171948:5174872:1 gene:DRNTG_30275 transcript:DRNTG_30275.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPCLTGAGAGTTSPYSLDLDLLKSPSPSPSPSPLPSPSSTLCDITISTKKPRAPRKRLNQSYSEAAALLSAIHPGIFPSSTLPKLRHSLSFPFSESSNLLLPPPPNPPKLLLQSPKPNPSGTHSPTFSFSTSIHDDDDDFNPTSMLHEQISEGIDTILGNPSSTASIDSQPSCPYTICLNAYIASLIYHARALRRRDADGDWWSSPRVAVQDLIPKPRQSEKNKKKSNSNSSNSSPPSTTTRSATRSTTTTTTTTTKSREESITGGLNLKLNFEGVLKEWSPRGSPFGDSGASPESSGDVLTRLAHIDLFPETENGVRETSLQRYKEKRRNRLFSKKIRYEVRKVNADQRPRMKASGRFVKRPALLCQTIEEESS >DRNTG_30275.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5171948:5174872:1 gene:DRNTG_30275 transcript:DRNTG_30275.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPCLTGAGAGTTSPYSLDLDLLKSPSPSPSPSPLPSPSSTLCDITISTKKPRAPRKRLNQSYSEAAALLSAIHPGIFPSSTLPKLRHSLSFPFSESSNLLLPPPPNPPKLLLQSPKPNPSGTHSPTFSFSTSIHDDDDDFNPTSMLHEQISEGIDTILGNPSSTASIDSQPSCPYTICLNAYIASLIYHARALRRRDADGDWWSSPRVAVQDLIPKPRQSEKNKKKSNSNSSNSSPPSTTTRSATRSTTTTTTTTTKSREESITGGLNLKLNFEGVLKEWSPRGSPFGDSGASPESSGDVLTRLAHIDLFPETENGVRETSLQRYKEKRRNRLFSKKIRYEVRKVNADQRPRMKGRFVKRPALLCQTIEEESS >DRNTG_30275.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5171948:5175045:1 gene:DRNTG_30275 transcript:DRNTG_30275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPCLTGAGAGTTSPYSLDLDLLKSPSPSPSPSPLPSPSSTLCDITISTKKPRAPRKRLNQSYSEAAALLSAIHPGIFPSSTLPKLRHSLSFPFSESSNLLLPPPPNPPKLLLQSPKPNPSGTHSPTFSFSTSIHDDDDDFNPTSMLHEQISEGIDTILGNPSSTASIDSQPSCPYTICLNAYIASLIYHARALRRRDADGDWWSSPRVAVQDLIPKPRQSEKNKKKSNSNSSNSSPPSTTTRSATRSTTTTTTTTTKSREESITGGLNLKLNFEGVLKEWSPRGSPFGDSGASPESSGDVLTRLAHIDLFPETENGVRETSLQRYKEKRRNRLFSKKIRYEVRKVNADQRPRMKASVRVITYFYIFIFIFKNIEFVLFF >DRNTG_30275.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5171948:5175045:1 gene:DRNTG_30275 transcript:DRNTG_30275.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPCLTGAGAGTTSPYSLDLDLLKSPSPSPSPSPLPSPSSTLCDITISTKKPRAPRKRLNQSYSEAAALLSAIHPGIFPSSTLPKLRHSLSFPFSESSNLLLPPPPNPPKLLLQSPKPNPSGTHSPTFSFSTSIHDDDDDFNPTSMLHEQISEGIDTILGNPSSTASIDSQPSCPYTICLNAYIASLIYHARALRRRDADGDWWSSPRVAVQDLIPKPRQSEKNKKKSNSNSSNSSPPSTTTRSATRSTTTTTTTTTKSREESITGGLNLKLNFEGVLKEWSPRGSPFGDSGASPESSGDVLVRPLNSTAISTMKSIWLP >DRNTG_30275.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5171948:5174872:1 gene:DRNTG_30275 transcript:DRNTG_30275.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPCLTGAGAGTTSPYSLDLDLLKSPSPSPSPSPLPSPSSTLCDITISTKKPRAPRKRLNQSYSEAAALLSAIHPGIFPSSTLPKLRHSLSFPFSESSNLLLPPPPNPPKLLLQSPKPNPSGTHSPTFSFSTSIHDDDDDFNPTSMLHEQISEGIDTILGNPSSTASIDSQPSCPYTICLNAYIASLIYHARALRRRDADGDWWSSPRVAVQDLIPKPRQSEKNKKKSNSNSSNSSPPSTTTRSATRSTTTTTTTTTKSREESITGGLNLKLNFEGVLKEWSPRGSPFGDSGASPESSGDVLVRPLNSTAISTMKSIWLP >DRNTG_18008.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24233460:24234604:-1 gene:DRNTG_18008 transcript:DRNTG_18008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTSDVLLLCMYVDDIVYMSSSGEMLAEFKSAMLSTFEMSDLGLLNYFLGLEVKQQKGSIFISQRRYAEGLLKKYGMLHCKSISNPMNTNEKLRRDDGSGIANAQRYRSMIGGLLYLSHTRPDLTYVVGVVSRFMQSPTMHHLGAVKRIFHYVSGTMGHGLLYECVSNPKLTGFTDSDWSGSSDDRKSTTGWVFTMGSAAVSWCSKKQDIIALSSTEAEYVSATSAACQGVWMRRVLGDMNQAQISPTVIYCDNRSAISIAKNPILHGRTKHIETRFHFIRGLVNDGLIELIHCRTEEQAADVFTKPLSTQKHEDFRGVLGVRSF >DRNTG_29048.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2797489:2801918:-1 gene:DRNTG_29048 transcript:DRNTG_29048.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEPLQLNQSPRSIDRSYRQPDQHEAQCLLCRRLFSPEAELNEAFEAIPICRGCKLSVLDDTDTGANMRNLIRRRRYGRRNRLGSSESIEDLFSHQFSQLISLVRQNHEALIDGDSASILHNHSSHTASRSRSRRWRAFSDNDSDSVDYVESLYGESDSILSFGGYGGESDTSVDGRSINDREILVPLDNENRFYTDSDIDPMHAGLDQWNSDNEEDDDGEWEEADVDEASRSESHALMRDATGSQITGNRGGFRDSTVVRWMLRENQLRYYSDFFFDVEGSEIPPYLENTGPYLDARGFEEVLEQLAENDDGSRRGAPPASACFVESLPSVIISKDHETNGSLICPVCKDPLLICAEAKQLPCKHLYHSNCILPWLSTRNSCPVCRYELPTDDPDYEEGKQRMNTSVHEVHHQHQTEETSSEISTDIETDEAMQANANANASDNQAESSNRAGGGRGRWLFLAAAPIVSMVGVVVVLWLKNSFGNSSICYNHRAGDPHQIQNSHSISSPPNRSNRRWWSLF >DRNTG_15165.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:473164:475235:1 gene:DRNTG_15165 transcript:DRNTG_15165.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEMEMPMQQSRFKRICVFCGSSQGKKRSYQDAAVDLGKELVSRNIDLVYGGGSVGLMGLVSQAVYDGGRHVIGVIPKTLMPREITGETVGEVKAVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPSARHIIVSAPTAMDLVKKLE >DRNTG_15165.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:473164:474936:1 gene:DRNTG_15165 transcript:DRNTG_15165.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEMEMPMQQSRFKRICVFCGSSQGKKRSYQDAAVDLGKELVSRNIDLVYGGGSVGLMGLVSQAVYDGGRHVIGVIPKTLMPREITGETVGEVKAVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVITWAQLGIHDKP >DRNTG_15165.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:473164:475655:1 gene:DRNTG_15165 transcript:DRNTG_15165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEMEMPMQQSRFKRICVFCGSSQGKKRSYQDAAVDLGKELVSRNIDLVYGGGSVGLMGLVSQAVYDGGRHVIGVIPKTLMPREITGETVGEVKAVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPSARHIIVSAPTAMDLVKKLEEYVPRHEGVAPKLNWEIEQLGYPSQCEISR >DRNTG_21122.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2577849:2581442:1 gene:DRNTG_21122 transcript:DRNTG_21122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEVSSIGLAVGRCNEIDFDIAVFMNLTRDHHDFHGNEEEYKKSKAKLFMKMTDKRRHRKVINFDDPNAAFFAAQGNPEVPVVSFGMEDKSADVHPLKIELNMFKTKVWVKTPNGVVKISSGLIGRYNVYNILACAAVGVALGAPLKDIARGIKNVDGVPGNNPALVNLV >DRNTG_21122.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2577849:2581442:1 gene:DRNTG_21122 transcript:DRNTG_21122.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEVSSIGLAVGRCNEIDFDIAVFMNLTRDHHDFHGNEEEYKKSKAKLFMKMTDKRRHRKVINFDDPNAAFFAAQGNPEVPVVSFGMEDKSADVHPLKIELNMFKTKVWVKTPNGVVKISSGLIGRYNVYNILACAAVGVALGAPLKDIARGIKNVDGVPGNNPALVNLV >DRNTG_00166.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30985840:31006414:-1 gene:DRNTG_00166 transcript:DRNTG_00166.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNAQAHMSGHISGQVPNQASQQFSGLPQQMSTSLPSQIQNFGGHSMDPELYARRRDVQEYIFKRLQKRSNSAPGEFVPKLSEIAKRLEEYIYRDAASKEDYINMNAPTIDQRLQSLVKTTTNNSQLNSHHFPSSSAVGTMIPTPGMAQISSTNSMVGPAENVMNASNAAAMVVQTTSNTGNLLPIANGLGGVGNATSFNMSDGQVPNGYRKMTSNASLGSGVTNTMSSVVPQPPSQMIPTPGLNNFKPTAVNPDFSNSGGLLVTESSMVSQQLQHKHYGGSQASHIFHSPGAQMGGRMRPSMPKPSPYGFPNGHLNGGLGLTGAKQLVNGPAASEGYLSAVSYGSSPKPLQEHFDQQHHQPILPGSGNLYGQVPSAINHQNANSASLNPKSKITPVAQSNNVSLQSMQQITKPQILDQSQSMDFQTPQSIREHLVQSQHQLQNLQHQQFQQQSSQPYSNIMQNQQQNQQQQQQGPQHEQLMAKTDFLRQSLVISNLGGQLASDHGNESHNELLLSQTAEQFQLSELQNHWPQRSSVNNHSGSGQSHGQLSSSHYFQQSLHNPFPLHQQTSEFQNDLNCLTNGSESDSLLQSHWHSHPSQKSHILERSSLDQQIKEEFNQRIAGQGESQQSYNILDGFISCSDGPTKVAAVQQSSSSLASGLGNSKREQDYYNQQRWLLFLFHASRCPAPKGACKEVNCIKVQQLWSHIKSCKSDKCAFPRCIGTKKLYQHYTSCRKTDCPVCKPVRAFLQRAARSRSGLVDQMSNSQRPINATDVDRVILKVSSPLVEASKPCADPHSLPKRMKMEHTPPVLVPKSEASPVYIQLQTGVSEDVQFQGHQEADPAIAVNSEIAKVNISTSMSPGNGNPPVPSKVTHGSLLENVHKTQSELESASSHDIDGHKLQETIHDNKELDQGKIEQKYESNGSLTDCTAGSKSGKPKIKGVSLTELFTPEQVREHIIGLRQWVGQSKAKAEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCSSCGARIKRNATYYTVGTGDSRHYFCIPCYNEARGDTIEVDGSTFPKSRLDKKRNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPHCYIGEVERGERMPLPQNAVLGAKDLPRTLLSDRIEQRLFRRLKQERQERARHLGKSFDEVPGAEALVVRVVSSVDKKLEVKQRFLEIFQEENYPTEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGSECQFPNQRRVYLSYLDSVKYFRPEIKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKASKENIVADLTNLYDHFFVNMGECKAKVTAARLPYFDGDYWPGAAEDMINQLRQEEDGRKQQKKGKTKKIISKRALKAAGQADLSGNASKDALLMQKLGETIYPMKEDFIMVHLQHACTHCCLLMISGTRWVCNQCKNFQLCDKCHDGEQRLEERERHPINSRETHMLYPVEINDVPSDTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNVCHQDIETGQGWRCEICPDFDVCNACNQKNGGVDHPHKLTNHPSMADRDAQNKEARQKRVLQLRKMLDLLVHASQCRFSNCQYPNCRKVKGLFRHGIQCKKRVVGGCPLCKKVWYLLQLHARACKESECHVPRCKDLKEHLKRLQQQSDSRRRAAVMEMMRQRAAEVAGNTD >DRNTG_00166.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30985840:30986896:-1 gene:DRNTG_00166 transcript:DRNTG_00166.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLLVHASQCRFSNCQYPNCRKVKGLFRHGIQCKKRVVGGCPLCKKVWYLLQLHARACKESECHVPRCKDLKEHLKRLQQQSDSRRRAAVMEMMRQRAAEVAGNTD >DRNTG_00166.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30985840:31007791:-1 gene:DRNTG_00166 transcript:DRNTG_00166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAQAHMSGHISGQVPNQASQQFSGLPQQMSTSLPSQIQNFGGHSMDPELYARRRDVQEYIFKRLQKRSNSAPGEFVPKLSEIAKRLEEYIYRDAASKEDYINMNAPTIDQRLQSLVKTTTNNSQLNSHHFPSSSAVGTMIPTPGMAQISSTNSMVGPAENVMNASNAAAMVVQTTSNTGNLLPIANGLGGVGNATSFNMSDGQVPNGYRKMTSNASLGSGVTNTMSSVVPQPPSQMIPTPGLNNFKPTAVNPDFSNSGGLLVTESSMVSQQLQHKHYGGSQASHIFHSPGAQMGGRMRPSMPKPSPYGFPNGHLNGGLGLTGAKQLVNGPAASEGYLSAVSYGSSPKPLQEHFDQQHHQPILPASLPSQTLSMSGDGYAMTSVDVSGSGNLYGQVPSAINHQNANSASLNPKSKITPVAQSNNVSLQSMQQITKPQILDQSQSMDFQTPQSIREHLVQSQHQLQNLQHQQFQQQSSQPYSNIMQNQQQNQQQQQQGPQHEQLMAKTDFLRQSLVISNLGGQLASDHGNESHNELLLSQTAEQFQLSELQNHWPQRSSVNNHSGSGQSHGQLSSSHYFQQSLHNPFPLHQQTSEFQNDLNCLTNGSESDSLLQSHWHSHPSQKSHILERSSLDQQIKEEFNQRIAGQGESQQSYNILDGFISCSDGPTKVAAVQQSSSSLASGLGNSKREQDYYNQQRWLLFLFHASRCPAPKGACKEVNCIKVQQLWSHIKSCKSDKCAFPRCIGTKKLYQHYTSCRKTDCPVCKPVRAFLQRAARSRSGLVDQMSNSQRPINATDVDRVILKVSSPLVEASKPCADPHSLPKRMKMEHTPPVLVPKSEASPVYIQLQTGVSEDVQFQGHQEADPAIAVNSEIAKVNISTSMSPGNGNPPVPSKVTHGSLLENVHKTQSELESASSHDIDGHKLQETIHDNKELDQGKIEQKYESNGSLTDCTAGSKSGKPKIKGVSLTELFTPEQVREHIIGLRQWVGQSKAKAEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCSSCGARIKRNATYYTVGTGDSRHYFCIPCYNEARGDTIEVDGSTFPKSRLDKKRNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPHCYIGEVERGERMPLPQNAVLGAKDLPRTLLSDRIEQRLFRRLKQERQERARHLGKSFDEVPGAEALVVRVVSSVDKKLEVKQRFLEIFQEENYPTEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGSECQFPNQRRVYLSYLDSVKYFRPEIKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKASKENIVADLTNLYDHFFVNMGECKAKVTAARLPYFDGDYWPGAAEDMINQLRQEEDGRKQQKKGKTKKIISKRALKAAGQADLSGNASKDALLMQKLGETIYPMKEDFIMVHLQHACTHCCLLMISGTRWVCNQCKNFQLCDKCHDGEQRLEERERHPINSRETHMLYPVEINDVPSDTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNVCHQDIETGQGWRCEICPDFDVCNACNQKNGGVDHPHKLTNHPSMADRDAQNKEARQKRVLQLRKMLDLLVHASQCRFSNCQYPNCRKVKGLFRHGIQCKKRVVGGCPLCKKVWYLLQLHARACKESECHVPRCKDLKEHLKRLQQQSDSRRRAAVMEMMRQRAAEVAGNTD >DRNTG_00166.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30985840:31007791:-1 gene:DRNTG_00166 transcript:DRNTG_00166.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAQAHMSGHISGQVPNQASQQFSGLPQQMSTSLPSQIQNFGGHSMDPELYARRRDVQEYIFKRLQKRSNSAPGEFVPKLSEIAKRLEEYIYRDAASKEDYINMNAPTIDQRLQSLVKTTTNNSQLNSHHFPSSSAVGTMIPTPGMAQISSTNSMVGPAENVMNASNAAAMVVQTTSNTGNLLPIANGLGGVGNATSFNMSDGQVPNGYRKMTSNASLGSGVTNTMSSVVPQPPSQMIPTPGLNNFKPTAVNPDFSNSGGLLVTESSMVSQQLQHKHYGGSQASHIFHSPGAQMGGRMRPSMPKPSPYGFPNGHLNGGLGLTGAKQLVNGPAASEGYLSAVSYGSSPKPLQEHFDQQHHQPILPGSGNLYGQVPSAINHQNANSASLNPKSKITPVAQSNNVSLQSMQQITKPQILDQSQSMDFQTPQSIREHLVQSQHQLQNLQHQQFQQQSSQPYSNIMQNQQQNQQQQQQGPQHEQLMAKTDFLRQSLVISNLGGQLASDHGNESHNELLLSQTAEQFQLSELQNHWPQRSSVNNHSGSGQSHGQLSSSHYFQQSLHNPFPLHQQTSEFQNDLNCLTNGSESDSLLQSHWHSHPSQKSHILERSSLDQQIKEEFNQRIAGQGESQQSYNILDGFISCSDGPTKVAAVQQSSSSLASGLGNSKREQDYYNQQRWLLFLFHASRCPAPKGACKEVNCIKVQQLWSHIKSCKSDKCAFPRCIGTKKLYQHYTSCRKTDCPVCKPVRAFLQRAARSRSGLVDQMSNSQRPINATDVDRVILKVSSPLVEASKPCADPHSLPKRMKMEHTPPVLVPKSEASPVYIQLQTGVSEDVQFQGHQEADPAIAVNSEIAKVNISTSMSPGNGNPPVPSKVTHGSLLENVHKTQSELESASSHDIDGHKLQETIHDNKELDQGKIEQKYESNGSLTDCTAGSKSGKPKIKGVSLTELFTPEQVREHIIGLRQWVGQSKAKAEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCSSCGARIKRNATYYTVGTGDSRHYFCIPCYNEARGDTIEVDGSTFPKSRLDKKRNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPHCYIGEVERGERMPLPQNAVLGAKDLPRTLLSDRIEQRLFRRLKQERQERARHLGKSFDEVPGAEALVVRVVSSVDKKLEVKQRFLEIFQEENYPTEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGSECQFPNQRRVYLSYLDSVKYFRPEIKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKASKENIVADLTNLYDHFFVNMGECKAKVTAARLPYFDGDYWPGAAEDMINQLRQEEDGRKQQKKGKTKKIISKRALKAAGQADLSGNASKDALLMQKLGETIYPMKEDFIMVHLQHACTHCCLLMISGTRWVCNQCKNFQLCDKCHDGEQRLEERERHPINSRETHMLYPVEINDVPSDTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNVCHQDIETGQGWRCEICPDFDVCNACNQKNGGVDHPHKLTNHPSMADRDAQNKEARQKRVLQLRKMLDLLVHASQCRFSNCQYPNCRKVKGLFRHGIQCKKRVVGGCPLCKKVWYLLQLHARACKESECHVPRCKDLKEHLKRLQQQSDSRRRAAVMEMMRQRAAEVAGNTD >DRNTG_00166.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30985840:31002518:-1 gene:DRNTG_00166 transcript:DRNTG_00166.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDGYAMTSVDVSGSGNLYGQVPSAINHQNANSASLNPKSKITPVAQSNNVSLQSMQQITKPQILDQSQSMDFQTPQSIREHLVQSQHQLQNLQHQQFQQQSSQPYSNIMQNQQQNQQQQQQGPQHEQLMAKTDFLRQSLVISNLGGQLASDHGNESHNELLLSQTAEQFQLSELQNHWPQRSSVNNHSGSGQSHGQLSSSHYFQQSLHNPFPLHQQTSEFQNDLNCLTNGSESDSLLQSHWHSHPSQKSHILERSSLDQQIKEEFNQRIAGQGESQQSYNILDGFISCSDGPTKVAAVQQSSSSLASGLGNSKREQDYYNQQRWLLFLFHASRCPAPKGACKEVNCIKVQQLWSHIKSCKSDKCAFPRCIGTKKLYQHYTSCRKTDCPVCKPVRAFLQRAARSRSGLVDQMSNSQRPINATDVDRVILKVSSPLVEASKPCADPHSLPKRMKMEHTPPVLVPKSEASPVYIQLQTGVSEDVQFQGHQEADPAIAVNSEIAKVNISTSMSPGNGNPPVPSKVTHGSLLENVHKTQSELESASSHDIDGHKLQETIHDNKELDQGKIEQKYESNGSLTDCTAGSKSGKPKIKGVSLTELFTPEQVREHIIGLRQWVGQSKAKAEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCSSCGARIKRNATYYTVGTGDSRHYFCIPCYNEARGDTIEVDGSTFPKSRLDKKRNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPHCYIGEVERGERMPLPQNAVLGAKDLPRTLLSDRIEQRLFRRLKQERQERARHLGKSFDEVPGAEALVVRVVSSVDKKLEVKQRFLEIFQEENYPTEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGSECQFPNQRRVYLSYLDSVKYFRPEIKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKASKENIVADLTNLYDHFFVNMGECKAKVTAARLPYFDGDYWPGAAEDMINQLRQEEDGRKQQKKGKTKKIISKRALKAAGQADLSGNASKDALLMQKLGETIYPMKEDFIMVHLQHACTHCCLLMISGTRWVCNQCKNFQLCDKCHDGEQRLEERERHPINSRETHMLYPVEINDVPSDTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNVCHQDIETGQGWRCEICPDFDVCNACNQKNGGVDHPHKLTNHPSMADRDAQNKEARQKRVLQLRKMLDLLVHASQCRFSNCQYPNCRKVKGLFRHGIQCKKRVVGGCPLCKKVWYLLQLHARACKESECHVPRCKDLKEHLKRLQQQSDSRRRAAVMEMMRQRAAEVAGNTD >DRNTG_09344.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:639528:641561:1 gene:DRNTG_09344 transcript:DRNTG_09344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSNSPCAACKFLRRKCMPGCIFAPYFPPEEPQKFANVHKIFGASNVTKILNELLPHQREDAVNSLAYEAEARMKDPVYGCVGAISVLQSQVQRLQKELDAANADLLRYACGEIPAGMPLPSLLQPSPMGRIEAVRRANNGGGGPIYYHGTGLQSPYPPPWTGGHPLGDMENQRKGGGDSSSSSM >DRNTG_09344.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:639706:641561:1 gene:DRNTG_09344 transcript:DRNTG_09344.10 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSNSPCAACKFLRRKCMPGCIFAPYFPPEEPQKFANVHKIFGASNVTKILNELLPHQREDAVNSLAYEAEARMKDPVYGCVGAISVLQSQVQRLQKELDAANADLLRYACGEIPAGMPLPSLLQPSPMGRIEAVRRANNGGGGPIYYHGTGLQSPYPPPWTGGHPLGDMENQRKGGGDSSSSSM >DRNTG_09344.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:639528:641561:1 gene:DRNTG_09344 transcript:DRNTG_09344.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSNSPCAACKFLRRKCMPGCIFAPYFPPEEPQKFANVHKIFGASNVTKILNELLPHQREDAVNSLAYEAEARMKDPVYGCVGAISVLQSQVQRLQKELDAANADLLRYACGEIPAGMPLPSLLQPSPMGRIEAVRRANNGGGGPIYYHGTGLQSPYPPPWTGGHPLGDMENQRKGGGDSSSSSM >DRNTG_09344.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:639706:641561:1 gene:DRNTG_09344 transcript:DRNTG_09344.11 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSNSPCAACKFLRRKCMPGCIFAPYFPPEEPQKFANVHKIFGASNVTKILNELLPHQREDAVNSLAYEAEARMKDPVYGCVGAISVLQSQVQRLQKELDAANADLLRYACGEIPAGMPLPSLLQPSPMGRIEAVRRANNGGGGPIYYHGTGLQSPYPPPWTGGHPLGDMENQRKGGGDSSSSSM >DRNTG_09344.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:639706:641561:1 gene:DRNTG_09344 transcript:DRNTG_09344.9 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSNSPCAACKFLRRKCMPGCIFAPYFPPEEPQKFANVHKIFGASNVTKILNELLPHQREDAVNSLAYEAEARMKDPVYGCVGAISVLQSQVQRLQKELDAANADLLRYACGEIPAGMPLPSLLQPSPMGRIEAVRRANNGGGGPIYYHGTGLQSPYPPPWTGGHPLGDMENQRKGGGDSSSSSM >DRNTG_09344.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:639706:641561:1 gene:DRNTG_09344 transcript:DRNTG_09344.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSNSPCAACKFLRRKCMPGCIFAPYFPPEEPQKFANVHKIFGASNVTKILNELLPHQREDAVNSLAYEAEARMKDPVYGCVGAISVLQSQVQRLQKELDAANADLLRYACGEIPAGMPLPSLLQPSPMGRIEAVRRANNGGGGPIYYHGTGLQSPYPPPWTGGHPLGDMENQRKGGGDSSSSSM >DRNTG_09344.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:639706:641561:1 gene:DRNTG_09344 transcript:DRNTG_09344.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSNSPCAACKFLRRKCMPGCIFAPYFPPEEPQKFANVHKIFGASNVTKILNELLPHQREDAVNSLAYEAEARMKDPVYGCVGAISVLQSQVQRLQKELDAANADLLRYACGEIPAGMPLPSLLQPSPMGRIEAVRRANNGGGGPIYYHGTGLQSPYPPPWTGGHPLGDMENQRKGGGDSSSSSM >DRNTG_09344.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:639706:641561:1 gene:DRNTG_09344 transcript:DRNTG_09344.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSNSPCAACKFLRRKCMPGCIFAPYFPPEEPQKFANVHKIFGASNVTKILNELLPHQREDAVNSLAYEAEARMKDPVYGCVGAISVLQSQVQRLQKELDAANADLLRYACGEIPAGMPLPSLLQPSPMGRIEAVRRANNGGGGPIYYHGTGLQSPYPPPWTGGHPLGDMENQRKGGGDSSSSSM >DRNTG_09344.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:639706:641561:1 gene:DRNTG_09344 transcript:DRNTG_09344.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSNSPCAACKFLRRKCMPGCIFAPYFPPEEPQKFANVHKIFGASNVTKILNELLPHQREDAVNSLAYEAEARMKDPVYGCVGAISVLQSQVQRLQKELDAANADLLRYACGEIPAGMPLPSLLQPSPMGRIEAVRRANNGGGGPIYYHGTGLQSPYPPPWTGGHPLGDMENQRKGGGDSSSSSM >DRNTG_09344.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:639706:641561:1 gene:DRNTG_09344 transcript:DRNTG_09344.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSNSPCAACKFLRRKCMPGCIFAPYFPPEEPQKFANVHKIFGASNVTKILNELLPHQREDAVNSLAYEAEARMKDPVYGCVGAISVLQSQVQRLQKELDAANADLLRYACGEIPAGMPLPSLLQPSPMGRIEAVRRANNGGGGPIYYHGTGLQSPYPPPWTGGHPLGDMENQRKGGGDSSSSSM >DRNTG_09344.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:639706:641561:1 gene:DRNTG_09344 transcript:DRNTG_09344.12 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSNSPCAACKFLRRKCMPGCIFAPYFPPEEPQKFANVHKIFGASNVTKILNELLPHQREDAVNSLAYEAEARMKDPVYGCVGAISVLQSQVQRLQKELDAANADLLRYACGEIPAGMPLPSLLQPSPMGRIEAVRRANNGGGGPIYYHGTGLQSPYPPPWTGGHPLGDMENQRKGGGDSSSSSM >DRNTG_09344.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:639706:641561:1 gene:DRNTG_09344 transcript:DRNTG_09344.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSNSPCAACKFLRRKCMPGCIFAPYFPPEEPQKFANVHKIFGASNVTKILNELLPHQREDAVNSLAYEAEARMKDPVYGCVGAISVLQSQVQRLQKELDAANADLLRYACGEIPAGMPLPSLLQPSPMGRIEAVRRANNGGGGPIYYHGTGLQSPYPPPWTGGHPLGDMENQRKGGGDSSSSSM >DRNTG_26218.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:374162:374663:-1 gene:DRNTG_26218 transcript:DRNTG_26218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFWICLFLTLLGYFPGAIYAFYVLHIASSSDSK >DRNTG_15050.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5606813:5612179:-1 gene:DRNTG_15050 transcript:DRNTG_15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDGSGEEVAVVQDSQTMVIGAKDQGLSLDPPKGSVGAPVQSPVKTRPSPFGAARPREEVLAEKGVDWRKMESEIEVKTSRPTSSHSSRPSSAQSSRPGSPGSQTLAAGASTIVGAIKPRPKVNPFGDAKPREVILEEKGKDWKKIDQELEHRRVNRPETQEEKVLKEELRRLRDTLIKESKGNSDGESAQISADELKGLHEQVLQKERELELLIREFDDKVRFGQKATIDMRPGSGSGRTVSSFDRPPSQSGISEEQRITDYGERPRSRGGMGDHWSKPADDRRFQVAKERGFPGNRNVDRSKSRERW >DRNTG_11684.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:339420:343268:-1 gene:DRNTG_11684 transcript:DRNTG_11684.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVDEYDDQAHAMYHVPQHSRREKLRFSDSNPLVLPIPSSSSSSSPNPNPNLNSSCLGGFASRAFSLSLSSAALLEHAPSRPFTGYAAVLSGSRFLEPALQVLEAACGVGRDGAFFDGDRFGMEDACRAERVVNGLVLGKEQQWKKARLVSMLDEVCSRYTHYYQQLQAVIQAFESVAGLSTAAPYALMALQTMSKQFRCLTNLISDQLHKLHLTDKTPGNEGIKRKDVPTFGVINTGVGGLRTASNSETYRQPPIWRPQRGLPERAVAVLRKWLFEHFLHP >DRNTG_11684.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:339420:343268:-1 gene:DRNTG_11684 transcript:DRNTG_11684.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVDEYDDQAHAMYHVPQHSRREKLRFSDSNPLVLPIPSSSSSSSPNPNPNLNSSCLGGFASRAFSLSLSSAALLEHAPSRPFTGYAAVLSGSRFLEPALQVLEAACGVGRDGAFFDGDRFGMEDACRAERVVNGLVLGKEQQWKKARLVSMLDEVCSRYTHYYQQLQAVIQAFESVAGLSTAAPYALMALQTMSKQFRCLTNLISDQLHKLHLTDKTPGNEGIKRKDVPTFGVINTGVGGLRTASNSETYRQPPIWRPQRGLPERAVAVLRKWLFEHFLHPYPTDTDKQMLAKQTGLSRNQVSNWFINARVRLWKPMVEEVHSLELSQSHQFPAGDKNKNANGQPHAPPPSFIATQSDNQTSVNTCHWKNQYPTPKPFRSDLSQITHQIAEPLNFASNEISSHHDIGTGTGLSVAGRGNGGVTLTLGLHQSNGVRIHEPLHLNTVHSFGFDYGDAYVMDTIGGHDQHFGRSIGRHCIHDFVG >DRNTG_22266.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:976755:978038:-1 gene:DRNTG_22266 transcript:DRNTG_22266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHPDKMRFSIASLHSFTMDEKVAVAHDELEDKQHPRYYRDCTVFRVLSLEETRVRFSRTLCHQSGGIRRRCSGEKRESPALMDHRPLFCFSTSRLFFLIEVEDN >DRNTG_26949.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30156472:30157118:1 gene:DRNTG_26949 transcript:DRNTG_26949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAPSTRWCPTPEQLMVLEDMYRSGLKTPNASQIQQITAYLSYYGKIEGKNVFYWFQNHKARDRQKFRRRLSRHHQLVLNHNSNPNPNPNPNQQLFHTFEEPPLYLQQGGAQDADQAMNLLSKLEAQGKDGVIGPASGFGISYGYDVHPCCRPLITLDLFPSKSTGLRDECSSSSKNSSCSTSTNL >DRNTG_11510.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29476129:29484167:-1 gene:DRNTG_11510 transcript:DRNTG_11510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLIGQTVEVHLRNGSIISGIFYTSDTEKDFGIILKMARVIKDGSSKGQKPFPDIVKKPHTMIIPARELVQVLAKDVPLSVDAFANGNAHDKLQDLMIDSAISQSHHVEVGRELKPWTPDKDDPECPELDDIFDGTWNRNWNQFETNEALFGVKSTFDEELYTTKLERGPQMRQLEKEAIRIAREIQEEDTKDLHLAEERGIHFHGDHDLDEEIRFSAVRRDIDSRKFAESENAKLDTYNPNKTLKSAIGRSYSDIARRGIIDEARALSTCSSVDEETGSQIPADRVLNPSGSGDHLNDCIARNSQSMDDGRLDEVQASDQDKEKGSANRCAERISHEEAQTVSFNDGQSLSIVEDLSANAAASAPGQENEHSSSERLDSGKKSDASEPVNLSLQPAGSTSSTSEHPGAGLVSSRPGLSPSSSMGSLSSEKSTLNPHAKEFKLNPNAKSFTPSSSFRPQVPASEGSFYYANNVSAVPHLHSLPVGVGMGPSFVGPQPIVYNAQATQMQSQPFIHPNGPLYGQQMVLGQPRPVMYMPAYPPEMTYKGRNF >DRNTG_11510.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29476129:29484167:-1 gene:DRNTG_11510 transcript:DRNTG_11510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVIKDGSSKGQKPFPDIVKKPHTMIIPARELVQVLAKDVPLSVDAFANGNAHDKLQDLMIDSAISQSHHVEVGRELKPWTPDKDDPECPELDDIFDGTWNRNWNQFETNEALFGVKSTFDEELYTTKLERGPQMRQLEKEAIRIAREIQEEDTKDLHLAEERGIHFHGDHDLDEEIRFSAVRRDIDSRKFAESENAKLDTYNPNKTLKSAIGRSYSDIARRGIIDEARALSTCSSVDEETGSQIPADRVLNPSGSGDHLNDCIARNSQSMDDGRLDEVQASDQDKEKGSANRCAERISHEEAQTVSFNDGQSLSIVEDLSANAAASAPGQENEHSSSERLDSGKKSDASEPVNLSLQPAGSTSSTSEHPGAGLVSSRPGLSPSSSMGSLSSEKSTLNPHAKEFKLNPNAKSFTPSSSFRPQVPASEGSFYYANNVSAVPHLHSLPVGVGMGPSFVGPQPIVYNAQATQMQSQPFIHPNGPLYGQQMVLGQPRPVMYMPAYPPEMTYKGRNF >DRNTG_11510.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29476129:29484167:-1 gene:DRNTG_11510 transcript:DRNTG_11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQQCALPRSSTNGIGRRREMNLRVENKMHGKSASSSFGLANGDKGVSFASPSHDRLIFVMTCLIGQTVEVHLRNGSIISGIFYTSDTEKDFGIILKMARVIKDGSSKGQKPFPDIVKKPHTMIIPARELVQVLAKDVPLSVDAFANGNAHDKLQDLMIDSAISQSHHVEVGRELKPWTPDKDDPECPELDDIFDGTWNRNWNQFETNEALFGVKSTFDEELYTTKLERGPQMRQLEKEAIRIAREIQEEDTKDLHLAEERGIHFHGDHDLDEEIRFSAVRRDIDSRKFAESENAKLDTYNPNKTLKSAIGRSYSDIARRGIIDEARALSTCSSVDEETGSQIPADRVLNPSGSGDHLNDCIARNSQSMDDGRLDEVQASDQDKEKGSANRCAERISHEEAQTVSFNDGQSLSIVEDLSANAAASAPGQENEHSSSERLDSGKKSDASEPVNLSLQPAGSTSSTSEHPGAGLVSSRPGLSPSSSMGSLSSEKSTLNPHAKEFKLNPNAKSFTPSSSFRPQVPASEGSFYYANNVSAVPHLHSLPVGVGMGPSFVGPQPIVYNAQATQMQSQPFIHPNGPLYGQQMVLGQPRPVMYMPAYPPEMTYKGRNF >DRNTG_29155.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5281840:5283427:-1 gene:DRNTG_29155 transcript:DRNTG_29155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYSTSLDIFLLISKTLRDYGLQSKVLSISFDDACYDAAAIDMLKSEFQPVLDGKFFGVRCPCHYFNLFIDGCLKTLLPIVEKIGDGVSHIQFHGNAPGFSKLCVEKGKVYKKRFAPAITDNWSSVYSMLQSVAGFEDVISIYCNQSSSEISVTETDWEAGKLVRDFLETLHTATCCSDTSENSLLFHLLNMRCFFNKYRNGPGFADIIAPMESKFKDYLQHIDALYWIHLVIDPNIRLCGVEYLFNEIMPVNDGTSLLEEVKNLVYSMFSIYIKGEDCRVRALLAEKANSSKQGGTAKILAMLRKKRPQDYDQSLVPTELDLFLRTDFACPPNDEDVLSWWKSTRVGLVVVAAMARDLLAASKSMLTSKVCFGAKNKVLNETRSRTNDRYVKMCVCLKDWLDAELGVQEQGEEDDFDLEFDEEELKDLLS >DRNTG_21827.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17710879:17716504:-1 gene:DRNTG_21827 transcript:DRNTG_21827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNNNQVPLPLPFPFHDFHHLQQQFQSQLLHQAKGGTGTGTGEGDAYHEYEEGDSTRRARGRPTGSKNKPKPPIIISRDSANVLRTHVMEIAGGCDITDCISTFARHRQRGICILNGSGNVVNVTLRQPASPDAVVSLQGRFEILSLCGSFLPEPAPPAASGLAVYLAGGQGQVVGGSVVGALVAAGPVIIMAASFSNVAYERLPLEDDEPPAPEQQEMAPDPSSGLFGLAPNLVNNVQLPVDHAYGWANPTGPSHPGYRRQPCFYDEQNHHVCKQDFNDIYIECTDDLQGEKEKEKEKVDEDDDGMDADELRKKADELLSYRRQPCFYDEQHHQVGKQDFNDIYTECIDDLQGEKEEVDDDDGMDADELRKRADEFIKATIMGWIEEKLREGSEC >DRNTG_27278.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22485106:22485635:-1 gene:DRNTG_27278 transcript:DRNTG_27278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRASVHPEVHAPGTSAMSASSMTVKDAGSDSDQVKETTEKSCKGKRPIELIINAVQSGTPEVLDSSTKDIASVVDLDILSSSARSSRKKIRLDTSNMHVPLTCGHEVEFA >DRNTG_21731.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13890931:13892430:-1 gene:DRNTG_21731 transcript:DRNTG_21731.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFDFSPSTSSPPRFSASPPPPRSIRARTRGGVRSTVSRLLGRFLCAVVTCVFALVGSFVGAVTGALIGLATESGLFRGAGIGAISGAVFSIEVVESSLALWHSNESGIWSILYVIDIITSLLSGRLVREKVGPAVQSAVQSQMNAVDLPFAEAIDLFETGGTKGMSKDSVDKIPKVSVTAENNVDDSGEKICCSVCLQDLQIGETVRSLPHCEHMFHLLCIDSWLIRHGSCPLCRRDI >DRNTG_19739.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:2032298:2034382:-1 gene:DRNTG_19739 transcript:DRNTG_19739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKLGQVPTLVVSSSQIAKEILKTHDLNFANRPILRAAEILLYGSSSMGFSPYGEHWRNMKKVCMINFLSMKKVQSFHATRKEEVAHLMDKIASHASSNPLEPLNMSQVLYFFTSDMLCKAILGKFSREEDRNKLFHEMIKENVRLLNGFNLVDYFPSLGWLNSLLDLDKRTKRNFSKWDAVLNQIFQERGMIDEEVKDDGFMDILLSLQKNPYVDFSFTDDQIKALLVDMFVAGTETTYIVLGWSLAELIKNPEIMKKLRNEIRNLTHGKSMVQEEDISEMNYLKAFIKEILRLHPPTPMLLPRESIEGCQIEGYEIPRKTRVIINYWAIARDPRIWDSPEEFKPDRFISNDIDFKGQNYEFIPFGAGRRICPGMHFAVSTMEVALANLIYRFDWKFFQIVDGEEIDMTEGTGLTMKMKQNLYLIPKSWM >DRNTG_27016.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26924683:26925995:-1 gene:DRNTG_27016 transcript:DRNTG_27016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEELNTNESRKVVPLNTWVLISNFKLAYNMQRRPDGTFDRHLHEYLDRKVPANAVPVDGVISYDRLIDRSTNLLVRVYRPSDNVVNFPVIIFFHGGSFAHSSVNTAIYDSLCRRLLRHCTPAVIISVNYRRSPEHRYPCAYDDGWAALKWAVSESWLKSSPFFLAGDSSGGNIAHHVAVRAISSGIPISGNILLNPMFGGEQRTESELRLDGKYFVTIKDRDWYWKAFLPDGADRDHPACNPFGPKGGSLDGVSKFPRSLVVVAGLDLIRDWQLKYVEGLRNGGHEVKLVLREEATIGFYLLPNNDHCHEVMNQIANFVVVVVSSHHHHHHHHQHLS >DRNTG_22005.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9081591:9086442:1 gene:DRNTG_22005 transcript:DRNTG_22005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASFFTCLARRSGSTAFDIEFIWRRAIGGAFVNIDLVRYILTLSTLQQLTDEGKDEGEESDNEPDNRSSIPPAAADLVTVVPLDAASRSWSLRAIQRSLRSSIYGRKLDHNTRQKTLSDETYGRK >DRNTG_18659.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15991953:15994021:1 gene:DRNTG_18659 transcript:DRNTG_18659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRENSNDSDINKKYRHLWVQCENCYGLNYKKFFRSKMNICEQCGYHLKMSSSDRIEVSIDPDTWDPMDEDMVSI >DRNTG_31967.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001767.1:46673:47318:1 gene:DRNTG_31967 transcript:DRNTG_31967.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKMLHLMRMVHKVQTIRGTEYRLSFDGYKGVWCASLNIWGVHLSIKPTFPICHTRHIGVDTVDLGGGIMWVLGVTNNLR >DRNTG_00519.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30428620:30430617:1 gene:DRNTG_00519 transcript:DRNTG_00519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQNILILTGPLSGITPDGYDTPAHFHARKLLSPDIEVQSGSLKGINKDGHQNWWTQFTNFFIKKIFLSALLFWFAFGLFCILIVIVIALVCYHIFHTYSRRHARDIELIPISSHRGVGDIEEAGQAECIICIEPYHGGDDTRTLSCGHVFHASCITEWLTRSTICPLCRRVP >DRNTG_29712.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28898082:28899589:-1 gene:DRNTG_29712 transcript:DRNTG_29712.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTLASPCITSTIFNSETSSNNGRDDSFSSYLNLIRESLAKDQTDNSGDTPTTMQISIGKTQGEDRELDIFRAEKYFSGVMDGESTNMEKSPVISSYKKEGKMMSLKSISMTESTYSEVSANSRKTLLRDHRRKLSSSSSSNGRRRRLLRVFRCSCSGKDATRVEEDMNGNKKQEFFVERSSFATKIEDALTFMTLNSQMGAARMTIQKETFGSPFIEKSVMVSTLRKSLTLLTPPLVTKQQQQQDDDDDDDDDDDLLSETSSDLFEIDSLPSMGVVCFGRRLGMSRVRQA >DRNTG_31620.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:758285:759209:-1 gene:DRNTG_31620 transcript:DRNTG_31620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIITMAKPNQGGEIFHFSHLQHPLVPLNLPYFFTCMGCKEYGAGLRFRCQTCDFELHDFCALAPPSLIAHPFHTKHQLIFFTKPGGYLRSKCDICCKTVKGYAFHCTTCSFAMHPCCATMNGEMNLPVHEHPLIISTTLSSSSSSSSTGGDHSSLFYTCQVCNKKKSGLFFGCNLCGYFIHAICAKDMVNGLYVHGIKPPKKHNMLGTAAKLATHALFGIIGGLIEGIGEGIGEALIDSLGRSTRNRVIRP >DRNTG_09005.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:650252:652273:1 gene:DRNTG_09005 transcript:DRNTG_09005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVWIEYNGTDMQLNVTLAPLWTPKPKIPLLSSTINLSSIILDLMYVGFSTSVGASYSHHYILGWSFNLDGKAPELNLSSLPLLPQDLTSFEKKKNQNNLSLWLPPVLSVLVLLIAVGATALVVRKKKFSELHDDWELEFESNRFSYVQLDKATRGFKDECLLGIGGFGKVYRGILPACKMEVAVKRVSHESKQGVREFMAEIVSLGKLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDGFLFSQDKKILDWDMRFQIIKGVASGLQYLHEGWDKVVIHRDIKASNVLLDSEMNGRLGDFGLARLYDHGAAPQTTNVVGTLGFLAPELAKSCKFTTSSDVFAFGAFLLEVVCGRRTMDPNKEEMEQDLSDWVFANWKKGTIYETKDPRLGEDYVLEELNLVFKLGLFCSHPLPLARPIMRQVTQFLNGDAPLPPLAELSSCAISQIRGIDFEVHRSEGIGSSSVLPVDNRTRLLYHKCFTY >DRNTG_22592.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17499004:17505396:-1 gene:DRNTG_22592 transcript:DRNTG_22592.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM2 [Source:Projected from Arabidopsis thaliana (AT1G44900) UniProtKB/Swiss-Prot;Acc:Q9LPD9] MDNADHPPSTPGSPTSAGFSTDRLPPNTSGNTDSSGSDDEAAVDPNVIPDDVPDGDDVGEEEDGEDLFNENYMDDYRRMDDHDRYESLGLDDSMEDERDLDQIMADRRAAEVELDNRERRTAVAGRKLPRLLHDQDSDDDIGFRRSKRSRADFRPPGGATSDDDGDGPMLSSPGRSQQGHSRDDVPMTDQTDDDPYEDDFDAESENNMYRVQGTLREWVTRDEVRRFIAKKFKEFLLTYVNPKNEQGDCEYVRLINEMALANKCSLEIDYKQFIYVHPNIAIWLADAPQSVLEVMEEVAQKVVFDFHKNYKNIHQKIYVRITNLPVYDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKYDCAKCGMVLGPFFQNSYSEVKVGSCPECQSKGPFTINVEQTIYRNYQKLTLQESPGIVPAGRLPRYKEVIVLNDLIDCARPGEEIEVTGIYTNNFDLSLNTKNGFPVFATVVEANFITKKQDLFSAYKLTDEDKSEIEKLSKDPRIGERIIKSIAPSIYGHEDIKTAIALAMFGGQEKNVKGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGHRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADRGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPVGGRYDSSKTFSQNVELTDPIISRFDILCVVKDIVDPVTDEMLAKFVVDSHSRSQPKGVNIDDNHASHPENLLASARQADPEILSQDMLKKYITYAKLNVFPKLHDADLDKLTHVYAELRRESSHGQGVPIAVRHIESMIRMSEAHARMHLRNYVSQEDVDMAIRVLLDSFISTQKFGVQKALQKRFKNYMTFKKDFNELLLHFLRVLVKEALHFEEIMSGSTARLTHIEVKIEELRNKAQEYEIYDLKPFFSSAHFRNNNFILDEERGVIRHPLAR >DRNTG_04165.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:469453:474348:-1 gene:DRNTG_04165 transcript:DRNTG_04165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRLRTMSPAAFLLSTSHSSLLLRNPHSDSFFSPVSNRLHFHARFPNILSSTACRTASLRSFASLATSDKIKVRNPIVEMDGDEMARVIWNMIKDKLIFPYLDLDIKYFDLGILNRDDTDDKVTIESAEATLKYNVAVKCATITPDEGRVKEFKLKKMWRSPNGTIRNILNGTVFREPIICRNIPRIVPGWKLPICIGRHGFGDVYRATEMIVEGPGKLKMVFVPADGIEPVELSVYDYKGPGIALAMYNVDQSIRAFAESSMAMAYKKRWPLYLSTKNTILKTYDGRFKDIFQEVYEQNWKVKFEEQSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDFVAQGFGSLGLMTSVLLASDGKTLEAEAAHGTVTRHYRVYQKGQETSTNSIASIFAWTRGLEHRGKLDKHDELLDFVQKLESTCVETVESGKMTKDLAIIAHGPKVSRDFYLSTEEFIDAVSENLNKKLQLAAV >DRNTG_19091.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:176659:181927:1 gene:DRNTG_19091 transcript:DRNTG_19091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFAFHGGLRVSVNGDLSSFSSESRDPLILRGFRWKRCQEKHGGTHMTGKGVRFRFSGDVRVYSAGKRSRGLKAEDLLDDDDDDDDDDDDDDEEEEVELYERDELSCFRGLVLDISYRPVNVVGWRRAICLEFMEKAEVLEYYDQTVSSPRGPFFVPAVLRVPHLLQVVKRRRVKNNLSRKRVFFRDNYTCQYCSSRDNLTIDHVLPVSRGGEWKWENLVTACSKCNTRKGQKTLEEVKMMLIKTPKAPKDYDILAIPLTTSAMNMLRTRKGVPEEWLQYLSKPSP >DRNTG_19144.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000984.1:69871:71666:-1 gene:DRNTG_19144 transcript:DRNTG_19144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVNCIPYLKKSWYELCKSYLVEANWAHSEYTPKMEEYLDNAWISISAYTILFHSFFCISEATSKEALESLENFPNIMRQSFLIFRLCNDLGTSTEEVNRGDVKKSIQCYMHEKGVSETIAREYIKDLIRETWKELNTNFFTMSSPFDISFNNLAMDIARTTQCIYEYGDGYGIPENETKDIAISLLIKPISLGTM >DRNTG_10978.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1097437:1100876:-1 gene:DRNTG_10978 transcript:DRNTG_10978.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMEDFQAKSLPAMLQRNYSMMRDLDKSFQGVQRQNEQRCEQEMENIKRGVESGNITPDSSLIRFSDEALEEQKHCIRIADEKVALAVQAYDIVDAHIQQLDQYMRKLEEIRKGFLFHSFFRNFFMKFVPFPINLWLILLMIYC >DRNTG_23565.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8720672:8722251:1 gene:DRNTG_23565 transcript:DRNTG_23565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAPVRNFHTGLDNFHTPVWILCFSGFSAVVSIESSTTVFDLARFPPELVHYDPHWPISFILGLTTLPWPCP >DRNTG_32905.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1139492:1142897:-1 gene:DRNTG_32905 transcript:DRNTG_32905.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKREEESMEEVRWETLFLNRPVRVLLVEGDDSTRQIISALLRKCGYKVLSVSDGVKAWEAITGCHVDLVLTEVELPSLSGFGLLTMIMEHETCKNIPVIMMSSHDSVSVVFKCMLKGAADYLVKPIRKNELRNLWQHVWRRHLASGEHAGAQRNQDEKPVNGELEANLENNASNYSSDYVDCMDKNDGNTAKGSEAQVSSMIQSSCTRSDTEAESKYMKNMQETKQQTSKSCILLDTKMTLDGDEHDMVSMKHAVAEAEDKSVTLGPECYSSEVLADNGSSAVVAMKEDFKVMDSVDIDDATNEIKPKEAIDLIGVMDSQSNGINASEDHPVYDLLELSLRRTTQACSQTQENNEPKTLNHSNSSPFSLYTGRTPLPPSPIPMKVITEREKLLGSSSMKSQVIQVSGNMHERETSNSIEDTNPSGSGNTNQDEASLHLSLRAIPFPVPITWQTNGSLLQPMFTPQSSNAFVSTMPTISKEADHPNSADEVNAMLSEQLPTYHSSENKEEIAEIRDEQQMDFLRMNQREAALTKYRLKRKDRCYEKKVRYQSRKLLAEQRPRVKGQFVRQIQHYSSDSVTG >DRNTG_32905.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1139492:1142897:-1 gene:DRNTG_32905 transcript:DRNTG_32905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKREEESMEEVRWETLFLNRPVRVLLVEGDDSTRQIISALLRKCGYKVLSVSDGVKAWEAITGCHVDLVLTEVELPSLSGFGLLTMIMEHETCKNIPVIMMSSHDSVSVVFKCMLKGAADYLVKPIRKNELRNLWQHVWRRHLASGEHAGAQRNQDEKPVNGELEANLENNASNYSSDYVDCMDKNDGNTAKGSEAQSSCTRSDTEAESKYMKNMQETKQQTSKSCILLDTKMTLDGDEHDMVSMKHAVAEAEDKSVTLGPECYSSEVLADNGSSAVVAMKEDFKVMDSVDIDDATNEIKPKEAIDLIGVMDSQSNGINASEDHPVYDLLELSLRRTTQACSQTQENNEPKTLNHSNSSPFSLYTGRTPLPPSPIPMKVITEREKLLGSSSMKSQVIQVSGNMHERETSNSIEDTNPSGSGNTNQDEASLHLSLRAIPFPVPITWQTNGSLLQPMFTPQSSNAFVSTMPTISKEADHPNSADEVNAMLSEQLPTYHSSENKEEIAEIRDEQVDASTVIVDSNNSNINAEVGKNEVPFVHDGMQQMDFLRMNQREAALTKYRLKRKDRCYEKKVRYQSRKLLAEQRPRVKGQFVRQIQHYSSDSVTG >DRNTG_32905.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1139492:1142897:-1 gene:DRNTG_32905 transcript:DRNTG_32905.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKREEESMEEVRWETLFLNRPVRVLLVEGDDSTRQIISALLRKCGYKVLSVSDGVKAWEAITGCHVDLVLTEVELPSLSGFGLLTMIMEHETCKNIPVIMMSSHDSVSVVFKCMLKGAADYLVKPIRKNELRNLWQHVWRRHLASGEHAGAQRNQDEKPVNGELEANLENNASNYSSDYVDCMDKNDGNTAKGSEAQVSSMIQSSCTRSDTEAESKYMKNMQETKQQTSKSCILLDTKMTLDGDEHDMVSMKHAVAEAEDKSVTLGPECYSSEVLADNGSSAVVAMKEDFKVMDSVDIDDATNEIKPKEAIDLIGVMDSQSNGINASEDHPVYDLLELSLRRTTQACSQTQENNEPKTLNHSNSSPFSLYTGRTPLPPSPIPMKVITEREKLLGSSSMKSQVIQVSGNMHERETSNSIEDTNPSGSGNTNQDEASLHLSLRAIPFPVPITWQTNGSLLQPMFTPQSSNAFVSTMPTISKEADHPNSADEVNAMLSEQLPTYHSSENKEEIAEIRDEQVDASTVIVDSNNSNINAEVGKNEVPFVHDGMQQMDFLRMNQREAALTKYRLKRKDRCYEKKVRYQSRKLLAEQRPRVKGQFVRQIQHYSSDSVTG >DRNTG_18437.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14717380:14718355:-1 gene:DRNTG_18437 transcript:DRNTG_18437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGELVKTYCFQVEMEEDANPKVMEKASLFGINQLINCKKEVLGLEEDVGRRLKPSNDTPVLILDNSKPKLFPWRPKSLQNFLRHPEKTHGHVEFPHARGFALRAHPENAQGHAAAPMNDHVTVTRPWVIFAWVCEFLQSWAIYPERTQWHGLTPVGDLVKIARAWVIFVRPCESLKRISPS >DRNTG_22968.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16359244:16359877:1 gene:DRNTG_22968 transcript:DRNTG_22968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSGNNHRRPSETGRQFVEDVLAFPTGLSELGVRPGDVVAIAALNRIEQ >DRNTG_18573.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000964.1:12045:14195:1 gene:DRNTG_18573 transcript:DRNTG_18573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSQAYDHFERLEGAVGMLLTEIAMVPATQVELSESTQTYTISGFGEALARLLERFTAFNTAFPLEESYWESFRRHQSGEVCPRLDEGTCGEDAATPQDHRHEH >DRNTG_13012.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26700712:26704218:1 gene:DRNTG_13012 transcript:DRNTG_13012.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LAZY 1 [Source:Projected from Arabidopsis thaliana (AT5G14090) UniProtKB/Swiss-Prot;Acc:Q5XV40] MATPTFGVPSVDAIAEKGAEATTETDLMVVSAEIEKVLAAEAEKGVNGGGAARISSARASYASGKSVAEAYPLQGYLFGSPIEVAETRRERRASLGELFLKTRMEEETGPGGEGRAAATASAAAGKKAVKRRSAAKASDLGGAADGSSPAETKFHKILQIFHRKVHPESTLTTKKSFKAIKQDSRENSSRDGGDHSGVINVDHTLTDSKRACKRRAIPCFKCDSSSPSLMVDGNDPNGTREYWIKTDADYLVLEL >DRNTG_13012.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26700200:26704218:1 gene:DRNTG_13012 transcript:DRNTG_13012.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LAZY 1 [Source:Projected from Arabidopsis thaliana (AT5G14090) UniProtKB/Swiss-Prot;Acc:Q5XV40] MKLKLVTVFPGRAPLDYKARPPARDLGLLEAVGEDEGELFDSGAVLFGGFLTIGTLGSAPIPENSSGSGEDEDEEIPNGMATPTFGVPSVDAIAEKGAEATTETDLMVVSAEIEKVLAAEAEKGVNGGGAARISSARASYASGKSVAEAYPLQGYLFGSPIEVAETRRERRASLGELFLKTRMEEETGPGGEGRAAATASAAAGKKAVKRRSAAKASDLGGAADGSSPAETKFHKILQIFHRKVHPESTLTTKKSFKAIKQDSRENSSRDGGDHSGVINVDHTLTDSKRACKRRAIPCFKCDSSSPSLMVDGNDPNGTREYWIKTDADYLVLEL >DRNTG_33777.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:60886:66914:-1 gene:DRNTG_33777 transcript:DRNTG_33777.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G23940) UniProtKB/Swiss-Prot;Acc:O22993] MLSLALFSSTAPISGDLRRLSSRVSLYPPLWIRPPPRHFRGSPSHRTIVAGQQSSAAASGDDFISRVLKENPSQVEPKFLVGDRLLTLREKQQRSSRSKLLQLVKRIYRDAKGEGREGSREVLNRPVYLKDLLREYKGKLYVPEEVFRESLSEEEEFEKNLEELPVMSFEDFQKHLKADKVKLLTSRSVADEFKDFVVDLKEIPGDKNLQKTKWTIKLSANQVREVMAEYKGPQYEIEKHTTSYVGKLPEYPHPVASSISSRMMVELGMVTALIAAAAAVIAGFVASAAFAATSFLYAAAVYVVWPLVRPFVKLVLGIVLKILDRIWENFIDIFSDGGFFSRMYEFYTFGGVSASLEMMKPIMLVGLTMVLLVRFTLSRRPKNFRKWDIWQGIEFGQSKPQARVDGSTGVKFSDVAGIDEAVEELQELVRYLKNPELFDKMGIKPPHGVLLEGPPGCGKTLVAKAIAGEAGVPFYQMAGSEFVEVLVGVGSARIRDLFKRAKVNKPSVVFIDEIDALATRRQGIFSESTNYLYNAATQERETTLNQLLIELDGFDTGKGVIFLGATNRMDLLDPALLRPGRFDRKIRIRPPAAKGRLDILKVHARKVKMSPSVDLSTYAQNLPGWTGAKLAQLTQEAALVAVRNGHESILQSDMDDAVDRLTVGPKRVGIELGHQGQCRRAVTEVGIAITSHLLRRYENAKVEFCERISIIPRGQSLSQIVFHHLDEESYMFERKPQLLHRLQVLLGGRAAEEVIYGRDTSRASVKYLEDATCLARKILTIWNLENPITIHGEPFPWRKKVSFVGPRLDFEGSLYDDYGLIEPPINFELDDRVARKTEQLLHDMYEKTRTLLSNHFAALLKTVKVLLDNKEISGDQIEFIIDSYPAETPVKLVLEEKNPGSLPDFHVEREHNERLLSSLTPQTQAL >DRNTG_18054.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:58122:62034:-1 gene:DRNTG_18054 transcript:DRNTG_18054.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKFINKRNSATFRLLARDSSTVSTEGGAPALPDRVFVRVDNNSYLSNGFLDDEVERQDVYHDCGNSDSIFADASDDTGAGDFVGSLHPWIVDCATSAGSNGALPDHVRKEILELGFPDDGYNYLNHLREIRNSGGGYAYYHNSKARLDLVGADVKAYDASRLRVSGEEASSSGMYTVAAKTVAVTVKKALDPDVLRLLNDDNDDLSRFVSDVEDLEEDFVVRANCIEGEDQEEVEQFSFLSDKQKNVSGEVSFANEFIHEDRLGGVEKPRAPRLLDEQFDLLTLREYDTDSESDNAVIEDEPLANKLNCVLQGLAIDDLELEGSYKAPGDFVNGNQGSGADKQPDYSAELIRKCVEYAQMYCNENHVDEEMVLVPESSDESEVWDCETIVSTYSNLDNHPGKIHTPSNRKKLPATGDGISKSNIIALRGKEKLPVDFLPHNKPSVEKPKRTVSAEANKPRSRRHCSESKEEKKERKAALKEEKKEARRAKKDLKVLYKCETQKAQKVAAVSGPSSIHLM >DRNTG_20916.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25453474:25459601:-1 gene:DRNTG_20916 transcript:DRNTG_20916.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSCVREHLCCPTAALDSAGLLPRCSNLIKREISTMKLIKHPNVVQLYEVMGSKTKIFIVLEFVTGGELFDKIVNHGRMREDEARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKISDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLDDRGYDGALADLWSCGVILFVLLAGYLPFDESNLMNLYRKISVAEFTYPSWLSFGAMRLLTRILDPNPMTRITISEILEDEWFKKGYKPPVFEEKYEGNFNDVEAAFKDSEEACVTEKTEEQPAAMNAFQLISMSKGLNLGNLFDVEQEFKRETRFTSKCPAKEIITKIEAAAKPLGFDIQKKNYKMKLEHVKAGRKGNLNIATEIFQVAPSLHMVEVRKAKGDTLEFHKFYKKLSSSLKDVVWKSDSDMQEQAPK >DRNTG_20916.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25453474:25459601:-1 gene:DRNTG_20916 transcript:DRNTG_20916.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSCVREHLCCPTAALDSAGLLPRCSNLIKREISTMKLIKHPNVVQLYEVMGSKTKIFIVLEFVTGGELFDKIVNHGRMREDEARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKISDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLDDRGYDGALADLWSCGVILFVLLAGYLPFDESNLMNLYRKISVAEFTYPSWLSFGAMRLLTRILDPNPMTRITISEILEDEWFKKGYKPPVFEEKYEGNFNDVEAAFKDSEEACVTEKTEEQPAAMNAFQLISMSKGLNLGNLFDVEQEFKRETRFTSKCPAKEIITKIEAAAKPLGFDIQKKNYKMKLEHVKAGRKGNLNIATEIFQVAPSLHMVEVRKAKGDTLEFHKFYKKLSSSLKDVVWKSDSDMQEQAPK >DRNTG_20916.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25453474:25459601:-1 gene:DRNTG_20916 transcript:DRNTG_20916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQKVKRRVGKYELGKTIGEGTFAKVRFARNSETGDAVAIKILDKEKILKHKLVEQIKREISTMKLIKHPNVVQLYEVMGSKTKIFIVLEFVTGGELFDKIVNHGRMREDEARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKISDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLDDRGYDGALADLWSCGVILFVLLAGYLPFDESNLMNLYRKISVAEFTYPSWLSFGAMRLLTRILDPNPMTRITISEILEDEWFKKGYKPPVFEEKYEGNFNDVEAAFKDSEEACVTEKTEEQPAAMNAFQLISMSKGLNLGNLFDVEQEFKRETRFTSKCPAKEIITKIEAAAKPLGFDIQKKNYKMKLEHVKAGRKGNLNIATEIFQVAPSLHMVEVRKAKGDTLEFHKFYKKLSSSLKDVVWKSDSDMQEQAPK >DRNTG_14283.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:818504:828808:1 gene:DRNTG_14283 transcript:DRNTG_14283.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASRIIRHSRKFCNGQSVLSSEASVLGRYFSTNGSSYAGKGNDILKGRQCGLSGKENRCIGKDFSLFKASSEGISKSFARTNMNGSIPMARMSLSHSFSSLQTTLRRGFSSISELPPHQEIGMPSLSPTMTEGNIARWLKKEGDKVSPGEVLCEVETDKATVEMECMEEGYIAKIIHGDGAKDIKVGEIICITVEEEGDIEKFKDYKTSAKPAETTAPSEPSSPKQEVPEPAKAPEPKPTVSKTEEVPHGDDRIFSSPLARKLAEENNVPLSNIKGTGPDGRILKADIEDYLASSAKGVPTQKKDKTGAPVSDYSDIPISQIRKITASRLLLSKQTIPHYYLTVDTQVDKLMKLRSELNSMQESSGGKRISVNDLVIKAVALALRKVPQCNSSWMNDFIRQHHNINVNVAVQTDHGLFVPVVRDADKKGLSTIAEEVKHLAQKAKDNSLKPEDYEGGTFTVSNLGGPFGIKQFCAIINPPQSGILAVGSAEKRVIPGAGPDQYEFGSFMSVTLSCDHSVIDGAIGAEWLKAFKGYIENPHSMLL >DRNTG_14283.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:818504:828808:1 gene:DRNTG_14283 transcript:DRNTG_14283.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASRIIRHSRKFCNGQSVLSSEASVLGRYFSTNGSSYAGKGNDILKGRQCGLSGKENRCIGKDFSLFKASSEGISKSFARTNMNGSIPMARMSLSHSFSSLQTTLRRGFSSISELPPHQEIGMPSLSPTMTEGNIARWLKKEGDKVSPGEVLCEVETDKATVEMECMEEGYIAKIIHGDGAKDIKVGEIICITVEEEGDIEKFKDYKTSAKPAETTAPSEPSSPKQEVPEPAKAPEPKPTVSKTEEVPHGDDRIFSSPLARKLAEENNVPLSNIKGTGPDGRILKADIEDYLASSAKGVPTQKKDKTGAPVSDYSDIPISQIRKITASRLLLSKQTIPHYYLTVDTQVDKLMKLRSELNSMQESSGGKRISVNDLVIKAVALALRKVPQCNSSWMNDFIRQHHNINVNVAVQTDHGLFVPVVRDADKKGLSTIAEEVKHLAQKAKDNSLKPEDYEGGTFTVSNLGGPFGIKQFCAIINPPQSGILAVGSAEKRVIPGAGPDQYEFGSFMSVTLSCDHSVIDGAIGAEWLKAFKGYIENPHSMLL >DRNTG_03428.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3221469:3223573:-1 gene:DRNTG_03428 transcript:DRNTG_03428.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLLKVPSLKRMVFTPRADAHSDFNGCSTSSIVEKGHFNVYTSEGKRFMVPLEYLENSIFKELLRISEEEIDLPCDGPITLPWTVSTYYLPVYPIPDSILREITKIVRNFFWDKGGNGKGI >DRNTG_19992.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:898434:899601:1 gene:DRNTG_19992 transcript:DRNTG_19992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSTRPPKSARFEALTSSNLINSPPVVKAGDPAPSLSVVSEGMSSALTIPSLTPSQARPNTLRDPMKP >DRNTG_32553.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20050992:20052706:1 gene:DRNTG_32553 transcript:DRNTG_32553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSQRDSTTTLLSPTPPSYRRRSSLRLLLTILAFFATLTLALLLCVFSFSSLIPSFARRSHSTLHRPTVILISSDGFRYGYQFKCPTPNIHRLISNGTEAVPGLIPVFPTLTFPNHYSIVTGLYPESHGIINNFFIDPVTGDAFSKRRHEACWWLGEPLWETVSNQGFNAAAYFWAGSEVSKGSWHCPAEFCPKYDSSVPFEKRVDDVLSYFDLPIDEIPVFVALYFEEPDSKGHDFGPDHPEITKAVARIDSMLGRLIAGLERRGIFEDVTIILVGDHGMVGTCDQRIIFLDDLSPWIEIPEKWVQSYGPLLAIQPPANISAAEVVAAMNQGLSSGKVDNGQHLSVYLKEDLPERLHYSSSYRIPPIVGLVDEGYKLVPKRPMSNICGGDHGYDNALLSMRSIFVAHGPQFERGRKVPPFENIQIYNLVTSILGLRGAPNNGSYTFPASILLSDT >DRNTG_32553.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20051185:20052770:1 gene:DRNTG_32553 transcript:DRNTG_32553.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSQRDSTTTLLSPTPPSYRRRSSLRLLLTILAFFATLTLALLLCVFSFSSLIPSFARRSHSTLHRPTVILISSDGFRYGYQFKCPTPNIHRLISNGTEAVPGLIPVFPTLTFPNHYSIVTGLYPESHGIINNFFIDPVTGDAFSKRRHEACWWLGEPLWETVSNQGFNAAAYFWAGSEVSKGSWHCPAEFCPKYDSSVPFEKRVDDVLSYFDLPIDEIPVFVALYFEEPDSKGHDFGPDHPEITKAVARIDSMLGRLIAGLERRGIFEDVTIILVGDHGMVGTCDQRIIFLDDLSPWIEIPEKWVQSYGPLLAIQPPANISAAEVVAAMNQGLSSGKVDNGQHLSVYLKEDLPERLHYSSSYRIPPIVGLVDEGYKLVPKRPMSNICGGDHGYDNALLSMRSIFVAHGPQFERGRKVPPFENIQIYNLVTSILGLRGAPNNGSYTFPASILLSDT >DRNTG_33394.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:15382:16359:-1 gene:DRNTG_33394 transcript:DRNTG_33394.7 gene_biotype:protein_coding transcript_biotype:protein_coding GQATKYEAYGETKGHGKYIVTVEGYPYWEHGAKGCKVYLHAAPKGSTCNKPTKLNECAPLNVYSKSHEEVVLKAKPLAFAPEKPYEHCEHHHHNHHNHHHHHHHHQTPSPTPPYYYNSPPPPVKSWSPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKNPPSIPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPP >DRNTG_33394.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:15382:16359:-1 gene:DRNTG_33394 transcript:DRNTG_33394.8 gene_biotype:protein_coding transcript_biotype:protein_coding GQATKYEAYGETKGHGKYIVTVEGYPYWEHGAKGCKVYLHAAPKGSTCNKPTKLNECAPLNVYSKSHEEVVLKAKPLAFAPEKPYEHCEHHHHNHHNHHHHHHHHQTPSPTPPYYYNSPPPPVKSWSPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKNPPSIPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPP >DRNTG_33394.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:13774:16359:-1 gene:DRNTG_33394 transcript:DRNTG_33394.4 gene_biotype:protein_coding transcript_biotype:protein_coding GQATKYEAYGETKGHGKYIVTVEGYPYWEHGAKGCKVYLHAAPKGSTCNKPTKLNECAPLNVYSKSHEEVVLKAKPLAFAPEKPYEHCEHHHHNHHNHHHHHHHHQTPSPTPPYYYNSPPPPVKSWSPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKNPPSIPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPIPTTSLLLQISSPTITLTTTSLLLQVTSSTITITTTTLLLQVTTTTITIPSTTLLLQITTTTFTIPSTTLLLQISSSTIAISSTTLLLQITSTTIPISTTSLLLQVTPSTVPISSTTLLLQVSSSTITIPSTTLLLKSPPPPSPSPPPPLSLQVSSTTISIPSTTLSLQLTSTTISVSPTSLPLPISSTSIAISSTSLPLQISTPAFPISTTSLPLQVTTPTFTVSSSNIHLRIPTTSHSLLGIILSQKLFSKLLS >DRNTG_33394.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:15382:16359:-1 gene:DRNTG_33394 transcript:DRNTG_33394.6 gene_biotype:protein_coding transcript_biotype:protein_coding GQATKYEAYGETKGHGKYIVTVEGYPYWEHGAKGCKVYLHAAPKGSTCNKPTKLNECAPLNVYSKSHEEVVLKAKPLAFAPEKPYEHCEHHHHNHHNHHHHHHHHQTPSPTPPYYYNSPPPPVKSWSPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKNPPSIPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPP >DRNTG_33394.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:13774:16359:-1 gene:DRNTG_33394 transcript:DRNTG_33394.2 gene_biotype:protein_coding transcript_biotype:protein_coding GQATKYEAYGETKGHGKYIVTVEGYPYWEHGAKGCKVYLHAAPKGSTCNKPTKLNECAPLNVYSKSHEEVVLKAKPLAFAPEKPYEHCEHHHHNHHNHHHHHHHHQTPSPTPPYYYNSPPPPVKSWSPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKNPPSIPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYLYNSPPPPSPSPPPPYHYQSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPTYIYASPPPPIHY >DRNTG_33394.10 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:15382:16359:-1 gene:DRNTG_33394 transcript:DRNTG_33394.10 gene_biotype:protein_coding transcript_biotype:protein_coding GQATKYEAYGETKGHGKYIVTVEGYPYWEHGAKGCKVYLHAAPKGSTCNKPTKLNECAPLNVYSKSHEEVVLKAKPLAFAPEKPYEHCEHHHHNHHNHHHHHHHHQTPSPTPPYYYNSPPPPVKSWSPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKNPPSIPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPP >DRNTG_33394.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:13774:16359:-1 gene:DRNTG_33394 transcript:DRNTG_33394.1 gene_biotype:protein_coding transcript_biotype:protein_coding GQATKYEAYGETKGHGKYIVTVEGYPYWEHGAKGCKVYLHAAPKGSTCNKPTKLNECAPLNVYSKSHEEVVLKAKPLAFAPEKPYEHCEHHHHNHHNHHHHHHHHQTPSPTPPYYYNSPPPPVKSWSPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKNPPSIPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPIPTTSLLLQISSPTITLTTTSLLLQVTSTTITIPSTTLLLQITTTTFTIPSTTLLLQISSSTIAISSTTLLLQITSTTIPISTTSLLLQVTPSTVPISSTTLLLQVSSSTITIPSTTLSLQLTSTTISVSPTSLPLPISSTSIAISSTSLPLQISTPAFPISTTSLPLQVTTPTFTVSSSNIHLRIPTTSHSLLGIILSQKLFSKLLS >DRNTG_33394.9 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:15382:16359:-1 gene:DRNTG_33394 transcript:DRNTG_33394.9 gene_biotype:protein_coding transcript_biotype:protein_coding GQATKYEAYGETKGHGKYIVTVEGYPYWEHGAKGCKVYLHAAPKGSTCNKPTKLNECAPLNVYSKSHEEVVLKAKPLAFAPEKPYEHCEHHHHNHHNHHHHHHHHQTPSPTPPYYYNSPPPPVKSWSPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKNPPSIPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPP >DRNTG_33394.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:15382:16359:-1 gene:DRNTG_33394 transcript:DRNTG_33394.5 gene_biotype:protein_coding transcript_biotype:protein_coding GQATKYEAYGETKGHGKYIVTVEGYPYWEHGAKGCKVYLHAAPKGSTCNKPTKLNECAPLNVYSKSHEEVVLKAKPLAFAPEKPYEHCEHHHHNHHNHHHHHHHHQTPSPTPPYYYNSPPPPVKSWSPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKNPPSIPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPP >DRNTG_33394.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:13774:16359:-1 gene:DRNTG_33394 transcript:DRNTG_33394.3 gene_biotype:protein_coding transcript_biotype:protein_coding GQATKYEAYGETKGHGKYIVTVEGYPYWEHGAKGCKVYLHAAPKGSTCNKPTKLNECAPLNVYSKSHEEVVLKAKPLAFAPEKPYEHCEHHHHNHHNHHHHHHHHQTPSPTPPYYYNSPPPPVKSWSPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKNPPSIPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPIPTTSLLLQISSPTITLTTTSLLLQVTSSTITITTTTLLLQITTTTFTIPSTTLLLQISSSTIAISSTTLLLQITSTTIPISTTSLLLQVTPSTVPISSTTLLLQVSSSTITIPSTTLSLQLTSTTISVSPTSLPLPISSTSIAISSTSLPLQISTPAFPISTTSLPLQVTTPTFTVSSSNIHLRIPTTSHSLLGIILSQKLFSKLLS >DRNTG_07871.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18947717:18950130:1 gene:DRNTG_07871 transcript:DRNTG_07871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIGNCPRLTKLIPSRLCQRSMQKLLRLEVKDCPMMLELFPCDEGAHDITELLPWLWCLVLKGLQRLQNVLQPFQRLPNLKEFYIHNNCGVRYVVSSKMETVTMADPFPALENLEIINCQEMSEMISPPASLQAPCFFQRLRELHIESCPRLTHLFSYKQAISMQHLSDLYIRDCAALEAVVISMENKEEAFASTSTFVVDHESYNSPFPNLTRLFPV >DRNTG_07871.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18947717:18948678:1 gene:DRNTG_07871 transcript:DRNTG_07871.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIGNCPRLTKLIPSRLCQRSMQKLLRLEVKDCPMMLELFPCDEGAHDITELLPWLWCLVLKGLQRLQNVLQPFQRLPNLKEFYIHNNCGVRYVVSSKMETVTMADPFPALENLEIINCQEMSEMISPPASLQAPCFFQRLRELHIESCPRLTHLFSYKQAISMQHLSDLYIRDCAALEAVVISMENKEEAFASTSTFVVDHESYNSPFPNLTRLFPV >DRNTG_30098.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31182653:31191576:1 gene:DRNTG_30098 transcript:DRNTG_30098.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative DEAD-box ATP-dependent RNA helicase 29 [Source:Projected from Arabidopsis thaliana (AT1G77030) UniProtKB/Swiss-Prot;Acc:O49289] MGFQEPDFAADDDADQAEALAIGANSKAELKRRRKQAKKAKSGGFESLGLSPEIFRGVRRKGYRVPTPIQRKTMPLILAGSDVVAMARTGSGKTAAFLIPMLQKLRQHVPQSGVRGLILSPTRDLALQTLKFTKEIGRFTDLRTSLLVGGDSMENQFEELAQNPDIIIATPGRLMHHLAEVEGMSLRTVEYVVFDEADSLFGMGFAEQLHKILTQLSETRQTLLFSATLPSALAEFAKAGLRDPQLVRLDLETKISPDLKLIFFTLRHEEKHAALLYLVREVISSEQQTLIFVSTKYHVEFLNVLFRQEGIEPSVCYGDMDHDARKIHLSRFRARKTMLLIVTDIAARGIDIPLLDNVVNWDFPPKPKIFVHRVGRAARAGRTGSAYSFVTNDDMPYLLDLHLFLSKPLIPAPTEEELLHDMEGAYSKINDALANGQTVYGRFPQTVIDLVSDRVKEIVDGCSELVALEKPCSNAFRLYSKTKPLPSRESIRRAKDFPRPGLHPMFKDTLESNELAALAFSERLKAFRPKQTILEAEGEASKSKHPQGPNQWLEVMRKKRAVHEAVINLVHEKQSSNQVAQEIIPDTANLKHQEKKEVCGFKRKEMSFKDDEFYISSVPMNQHLESGLSVKGGRGFESNKFEAAVLDLVADDSSGMQKHKSVYHWDKRSKKYVKLNNGERVTAAGKVKTESGARVQAGKTGIYKKWKERSHKKISFNGSGEPNDDRIGSSGNNQMRGNRRHFKGGRNHFSVPNANAPSELRNMEQVRKNRQHEVSRIARLKNKSKGNKSHGNKKRGKQRKN >DRNTG_04383.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1209866:1214127:-1 gene:DRNTG_04383 transcript:DRNTG_04383.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSAIPPSSGDGAAAERRLREAEERLREAIEELQRHHANRDGSDAYPECDHADESCVAHAIGNLCQTFLLSYGVRAGIGILLRAFKLARRQSYSSILDLKQLVSEKDLIVREEACRIGLLFGGFTGFYHAIRCFLRKFRKKETPLNAILAGSIAGLSILALDDSSRRRTLALYLLARLAQQSRRISFIYGGVIGNMEILYSFHWHVHR >DRNTG_04383.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1209866:1214127:-1 gene:DRNTG_04383 transcript:DRNTG_04383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAFVMRPESLPKAYQDFIQKTGPVAEPVYKAVRDSCRGSAVDIVSLSAYLFKTKGPGIINLVEHPPIIPCSIIHPGTSSCVAHNSVAASETFRKTFPLYFSLTFVPFVVLHLQKFLEFPARTCWRAVQGAVRSTTFLSAFVGIFQGCICLHRKVAIKDHKLVYWIAGAIAALSVLLEKKARRGELALYVLPRAVDSLWYILVNRHLLPDIKNAEVPLFCLCMGGIMYYLEHEPDTMAPFLRGLIRRFLASRITNPSTTSNHRNSSYSYLHNLGSIENQPLQETEDNNEDSSPSTSVEYNLESIPGL >DRNTG_04383.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1209866:1214127:-1 gene:DRNTG_04383 transcript:DRNTG_04383.6 gene_biotype:protein_coding transcript_biotype:protein_coding MYAFVMRPESLPKAYQDFIQKTGPVAEPVYKAVRDSCRGSAVDIVSLSAYLFKTKGPGIINLVEHPPIIPCSIIHPGTSSCVAHNSVAASETFRKTFPLYFSLTFVPFVVLHLQKFLEFPARTCWRAVQGAVRSTTFLSAFVGIFQGCICLHRKVAIKDHKLVYWIAGAIAALSVLLEKKARRGELALYVLPRAVDSLWYILVNRHLLPDIKNAEVPLFCLCMGGIMYYLEHEPDTMAPFLRGLIRRFLASRITNPSTTSNHRNSSYSYLHNLGSIENQPLQETEDNNEDSSPSTSVEYNLESIPGL >DRNTG_04383.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1209866:1214127:-1 gene:DRNTG_04383 transcript:DRNTG_04383.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYAFVMRPESLPKAYQDFIQKTGPVAEPVYKAVRDSCRGSAVDIVSLSAYLFKTKGPGIINLVEHPPIIPCSIIHPGTSSCVAHNSVAASETFRKTFPLYFSLTFVPFVVLHLQKFLEFPARTCWRAVQGAVRSTTFLSAFVGIFQGCICLHRKVAIKDHKLVYWIAGAIAALSVLLEKKARRGELALYVLPRAVDSLWYILVNRHLLPDIKNAEVPLFCLCMGGIMYYLEHEPDTMAPFLRGLIRRFLASRITNPSTTSNHRNSSYSYLHNLGSIENQPLQETEDNNEDSSPSTSVEYNLESIPGL >DRNTG_04383.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1209866:1214127:-1 gene:DRNTG_04383 transcript:DRNTG_04383.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSAIPPSSGDGAAAERRLREAEERLREAIEELQRHHANRDGSDAYPECDHADESCVAHAIGNLCQTFLLSYGVRAGIGILLRAFKLARRQSYSSILDLKQLVSEKDLIVREEACRIGLLFGGFTGFYHAIRCFLRKFRKKETPLNAILAGSIAGLSILALDDSSRRRTLALYLLARLAQCAYNSAKSKNKFHLWGSHWKHGDSLLFSLACAQVMYAFVMRPESLPKAYQDFIQKTGPVAEPVYKAVRDSCRGSAVDIVSLSAYLFKTKGPGIINLVEHPPIIPCSIIHPGTSSCVAHNSVAASETFRKTFPLYFSLTFVPFVVLHLQKFLEFPARTCWRAVQGAVRSTTFLSAFVGIFQGCICLHRKVAIKDHKLVYWIAGAIAALSVLLEKKARRGELALYVLPRAVDSLWYILVNRHLLPDIKNAEVPLFCLCMGGIMYYLEHEPDTMAPFLRGLIRRFLASRITNPSTTSNHRNSSYSYLHNLGSIENQPLQETEDNNEDSSPSTSVEYNLESIPGL >DRNTG_04383.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1209866:1212710:-1 gene:DRNTG_04383 transcript:DRNTG_04383.8 gene_biotype:protein_coding transcript_biotype:protein_coding MYAFVMRPESLPKAYQDFIQKTGPVAEPVYKAVRDSCRGSAVDIVSLSAYLFKTKGPGIINLVEHPPIIPCSIIHPGTSSCVAHNSVAASETFRKTFPLYFSLTFVPFVVLHLQKFLEFPARTCWRAVQGAVRSTTFLSAFVGIFQGCICLHRKVAIKDHKLVYWIAGAIAALSVLLEKKARRGELALYVLPRAVDSLWYILVNRHLLPDIKNAEVPLFCLCMGGIMYYLEHEPDTMAPFLRGLIRRFLASRITNPSTTSNHRNSSYSYLHNLGSIENQPLQETEDNNEDSSPSTSVEYNLESIPGL >DRNTG_04383.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1209866:1214127:-1 gene:DRNTG_04383 transcript:DRNTG_04383.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYAFVMRPESLPKAYQDFIQKTGPVAEPVYKAVRDSCRGSAVDIVSLSAYLFKTKGPGIINLVEHPPIIPCSIIHPGTSSCVAHNSVAASETFRKTFPLYFSLTFVPFVVLHLQKFLEFPARTCWRAVQGAVRSTTFLSAFVGIFQGCICLHRKVAIKDHKLVYWIAGAIAALSVLLEKKARRGELALYVLPRAVDSLWYILVNRHLLPDIKNAEVPLFCLCMGGIMYYLEHEPDTMAPFLRGLIRRFLASRITNPSTTSNHRNSSYSYLHNLGSIENQPLQETEDNNEDSSPSTSVEYNLESIPGL >DRNTG_04383.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1209866:1214127:-1 gene:DRNTG_04383 transcript:DRNTG_04383.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSAIPPSSGDGAAAERRLREAEERLREAIEELQRHHANRDGSDAYPECDHADESCVAHAIGNLCQTFLLSYGVRAGIGILLRAFKLARRQSYSSILDLKQLVSEKDLIVREEACRIGLLFGGFTGFYHAIRCFLRKFRKKETPLNA >DRNTG_17737.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4151272:4153556:-1 gene:DRNTG_17737 transcript:DRNTG_17737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHCKPLLLLLFFLYSHANSQYQQPSPPSPAPAPAIKAAYWPSWTSQALPPSSINFSLFTHIYYAFVQLNATTFELAITPYDQQWLPIFTSTVHSHYPPLKALLSIGGGASNTTTFSNLINNSTTKTTFITSSISISRSYNLDGLDLDWEFPITDADMSLLGDLYKELRVAVNEEALISGKPPLLLTSAVYYAPKVMIVSPPAMYPVRSMAENLDWINAMCFDYHGGWEKWQTGAHAELYDPKSNVSTSYGIMSWINEGMPEKKVVMGLPLYGRTWTLVNESEHGIGAPANGVGPGVQGVMVVASIEMFNRERIATVVYDVERVAAYSFACLDWIGYDNEKSVSVKVVFAKELGLGGYFFWALGQDDIKWSISEAAWVAWEEF >DRNTG_21117.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2607571:2610238:1 gene:DRNTG_21117 transcript:DRNTG_21117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLSKKGKSGFSSSSTAEQVTEGVDGTGLTAIVTGASSGIGTETTRVLALRGVHVVMGVRNVAAGIDVKKEIIKKVPTANIDVLELDLSSKASVRKFSSEFASLNLPLNILINNAGVMAPPFSLSSDGIELQFATNHLGHFLLTHLLLENMKKTSRQSKIEGRIVNVSSEAHRFTYKEGIRFDKTNDKSSYHNWRAYGQSKLANILHANELA >DRNTG_19013.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22608096:22610437:-1 gene:DRNTG_19013 transcript:DRNTG_19013.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAVPKLSSSSCFSFLAHRRHRRGRRDAAAVSSEPCDEGFGHSFCYVRPDIKLDETTTFRTISGAAVSANTSTPPSTSDLHAFASQLTWPAAAAAFESSTSFSSVPLQPVPRFSSGPLSGPLAERGFLSGPIERGFLSGPIDPRAAPFSGPLEPKASTPPSRLQRNFSRRAATNLLRGISKAISRSISVKRPIKSESLDSSAGSSNHNGSSDRTSDDTESLEPTSSGNNLQWAQGKAGEDRVHVVISEEHGWVFVGIYDGFNGPDATDYLLSNLYSSVQHELKGLLWDDNDNDKDKDNNEAVESQQRAETTNHPAVLHALSQALMKTEEAYLSIADNKVLENPELALMGSCVLVMLMKGEDVYLMSVGDSRAVLAHKNEERWELGMISEEAMVKEVDDNGDHYLAALQLTLDHSASVQEVIKIKMVSLMIFFLCLYIYGF >DRNTG_19013.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22608096:22610437:-1 gene:DRNTG_19013 transcript:DRNTG_19013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAVPKLSSSSCFSFLAHRRHRRGRRDAAAVSSEPCDEGFGHSFCYVRPDIKLDETTTFRTISGAAVSANTSTPPSTSDLHAFASQLTWPAAAAAFESSTSFSSVPLQPVPRFSSGPLSGPLAERGFLSGPIERGFLSGPIDPRAAPFSGPLEPKASTPPSRLQRNFSRRAATNLLRGISKAISRSISVKRPIKSESLDSSAGSSNHNGSSDRTSDDTESLEPTSSGNNLQWAQGKAGEDRVHVVISEEHGWVFVGIYDGFNGPDATDYLLSNLYSSVQHELKGLLWDDNDNDKDKDNNEAVESQQRAETTNHPAVLHALSQALMKTEEAYLSIADNKVLENPELALMGSCVLVMLMKGEDVYLMSVGDSRAVLAHKNEERWELGMISEEAMVKEVDDNGDHYLAALQLTLDHSASVQEEVHRIRNEHPDDCSAIVNDRVKGSLKVTRAFGAGFLKQPKWNNALLEMFQIDYVGNAPYLSCTPFLCHHKLASRDRFLILSSDGLYQYFTNEEVVSQVELFMSMCPEGDPAQHLIEEVLFRAAEKAGMDFHELLEIPQGDRRRYHDDVSIIVISFEGMIWRSCV >DRNTG_06858.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000314.1:9092:12646:-1 gene:DRNTG_06858 transcript:DRNTG_06858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFDILAWWKQQVHSYPVLAAMALDLLTPPMSCAASSEVPG >DRNTG_19773.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17668884:17671483:1 gene:DRNTG_19773 transcript:DRNTG_19773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLISQKVQVNRNTTATPPSSSPGRKSSNLGVILGSMFASLAVVVVVVMLVIYVVIKRKRMSSELEEGDEFDQVPGMPTRFSFEELRIATENFSKKLGQGGFGSVFEGELADGVKVAVKRLDDVGQGKKEFLAEVQTIGSIHHIKLVRLIGFCAEKTYRLLVYEYMPNGSLDKWIFHASEADALDWNTRQRIITDIAKGLSYLHEECRQRIAHLDIKPQNILLDDKFNAKVSDFGLAKLIDREQSQVMTRMRGTPGYLAPEWLTSRITEKVDIYSFGVVMMEIVCGRKNLDSSQSEDSFHLIRKLQKFIEENRIEDLVDGQCSDMQLHQDDAVEVIRLAMWCLQRDSSKRPSMSEVVKVLEGSMSVVDQQLDFDFLSIATPLPHDAQQGNASVVLVESVLSAPR >DRNTG_24865.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20155212:20157621:-1 gene:DRNTG_24865 transcript:DRNTG_24865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKDAAAVAGNTVSYRRILTKKTDDPKSQESQEFSTTFEKLEAKQVNEKTEKIPVMVEIVEEGKKEIKELPEKRLEYSLTEREVGKEGKKIEESKEESSVVGLGGGDEFLKGESDEKGEQVFEVKKIEESKEENIGGGDVVTGDESLKDETDEKGEQISEVEKIEESKEENCGSDVLIGEECLKDETSEKGEQVSEVETIEETKEENSGGGVVREESLKGEEAFNSKVEKIEERKEENGGGGVPGEETLKGESDEEGKQVSTKDEKGEISQKEEDGKTSADDSGEMQMKISTDELKSETITHE >DRNTG_02602.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21282381:21284929:-1 gene:DRNTG_02602 transcript:DRNTG_02602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFVFLLLQIYGLSIFLKAFHHPHHCHHLHQNHRYRYQTSFVLKRLRPFQHHRCSLHHCLRPHRSLHLARSHLHHPLHRPHRHHHHLHHHLHLHPLHLRSHPHPPHPHHPQYEPPAHLSSSHPPPHHHPFPHHHPSLPRSPVALPPLPPPPRHRLHSHHQHHHHHHHTTTLPFPLTPSSASFFLSISISLSSSTFSLHPSLPPKPQKYQKIKIEFLSNFFRELIPEKLE >DRNTG_19753.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19381752:19382127:1 gene:DRNTG_19753 transcript:DRNTG_19753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVDGEEGLDIGLAGEIEGGVGSEEKVGEAESVEAAGDGGADEALVAGDEDRCGLVGEEGGA >DRNTG_15703.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4434671:4435906:1 gene:DRNTG_15703 transcript:DRNTG_15703.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDG4 [Source:Projected from Arabidopsis thaliana (AT4G30860) UniProtKB/TrEMBL;Acc:A0A178UX33] MKRRGDQNFYMCEIRKDFTIDATFKGNASRFLNHSCDPNCKLEKWQVDGETRVGVFASRSIKPGEPLTYDYRFVHFGSMVKCFCGASNCQGFLGSKRKNNEMTMSWGCKRPRTAIKVKGKQLIHLNVIPF >DRNTG_15703.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4428286:4435906:1 gene:DRNTG_15703 transcript:DRNTG_15703.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDG4 [Source:Projected from Arabidopsis thaliana (AT4G30860) UniProtKB/TrEMBL;Acc:A0A178UX33] MPDLSNLLPVLQPCTPTYLADVGSVGQNRKWSRRSRFPVVKRDRMGGKKLGGGKSLEEYVKAWTEKKIADGSDEKQCSLPFLDNAPRMVECCNCSKCIYPGEELSCSVSRCRVVYHRTCAPELAGLSKSRKFKCPQHACFNCKKKSHWRCVRCTMATHPHCSPWPTEVRSLPNQPGWAVCWKHPADWRMLNKHADLTGDIEEAFQRLPLPYIDEEFSVGMNWKDFMESQAEPAPYVHIKRNIYLFKKKRDYANDDVGCRNCTFDSTCRSDCECRGLSISCSKACHCADSCQNRPFRKDKKIKVVKTKLCGWGVEALESIEKGDFVIEYIGEVINDAACEQRLWDMKRRGDQNFYMCEIRKDFTIDATFKGNASRFLNHSCDPNCKLEKWQVDGETRVGVFASRSIKPGEPLTYDYRFVHFGSMVKCFCGASNCQGFLGSKRKNNEMTMSWGCKRPRTAIKVKGKQLIHLNVIPF >DRNTG_17795.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:151151:158676:-1 gene:DRNTG_17795 transcript:DRNTG_17795.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIKFGRGDEEQRLGRGNEERRLRGGSGRDEMRR >DRNTG_14781.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30023799:30026031:1 gene:DRNTG_14781 transcript:DRNTG_14781.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIVLVFDFDQTIIDCDSDEWVVNELGIGELFQQLLPCMIWNTLMDTMMKELHVQGKTIEDIVKCLRRVQLDAHIINAIKSAYAMGCDLRIVSDANLLFIETILKKHEVLDCFSEINTNPCYVDEDGRLRILPYNDHINSPHGCSLCPTNMCKGKIIERMKTKMASKTKGDQFIYLGDGKGDYCPSLKLNERRPYNG >DRNTG_21349.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20564948:20567092:1 gene:DRNTG_21349 transcript:DRNTG_21349.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKPLRPLPFSVPSSIDDIVTLVKLSNMVSKPIKIAARGHGHSLQGQAMAPGGVVIEMRALGGENDGRIVVCPEEMYVDAGGEKFWIEVLHETLKYGLAPRAWTDYLYLTVGGTLSNAGLSGQAFLHGPQISNVFELDVITGKGEKVTCSENYNSDLFFAVLGGLGQFGIITRARIAIEAAPDRVKWVRLIYTDFVAFTEDQERLISREGKDEKGFSVCGGFNSHGSKSYKQLEV >DRNTG_25916.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19931727:19935293:1 gene:DRNTG_25916 transcript:DRNTG_25916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSAAWSFSRSSSARLSTRTTSFNSHRKWFPSAAASSLSLIAIVFLFSSLVCAFYLFTVWRIPAPDPEFFSGAGQYCDVFAGSWILDDAYPIYNSSECPFAERGFNCLGNGRNDTGYLRWRWKPSSCDIPRFDAREALRRLRGKRIVFVGDSMSRTQWESFICMLMTGVDDKQSVYEVNGNQISKTIGFLGVKFGGFNLSVEFFRSVFLVQQGLPPKQGPRRVRSTLKLDTLDVMNKRWMNSDVLVFNTGHWWTATKLFEILADC >DRNTG_12412.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12329313:12335279:1 gene:DRNTG_12412 transcript:DRNTG_12412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHGRAENTHGRAPDPQEQPHTLVASLDIPCPCVVHKVAHRGESMPLCALGILHSTLA >DRNTG_32159.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30959353:30962655:1 gene:DRNTG_32159 transcript:DRNTG_32159.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDNKDPAFKLFGMTIPVPFSSSSSSSSAAATSAIAVVVEVDGEKDQSEKEASYESADKENESPNTGEDYSELGKSSLACEAQKSCGNQETTAMEDVKSEEQQNETSNSQDKTLKKPDKILPCPRCNSLETKFCYFNNYNVNQPRHFCKKCQRYWTAGGTMRNVPVGAGRRKNKNTASHYRQMAMNGAALQTIQSHMPESIHHPPLKANGTVLSFGSGAPLCESMANVLNLAEKTIKPCDRNGFHHMEELPCVENVEEHSSGSSVTASKNSSDEGMSANPPETITGNRQQIPISVPCFSGTPWPYPWNSSPGFFSSSFPLSFYPMAAYWGCTVPGNWGVPWVSSMATSPNSSPNSLTLGKHSREGNVLKHCNSDKVDSSIQPDHEKRFWIPTTLRMDDPEEAAKSCIWASMGIQNDKAKPISEGGLFKAFQTKADMKNSTVGGSQVLHANPAAMSRSLNFQESS >DRNTG_32159.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30959267:30962655:1 gene:DRNTG_32159 transcript:DRNTG_32159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDNKDPAFKLFGMTIPVPFSSSSSSSSAAATSAIAVVVEVDGEKDQSEKEASYESADKENESPNTGEDYSELGKSSLACEAQKSCGNQETTAMEDVKSEEQQNETSNSQDKTLKKPDKILPCPRCNSLETKFCYFNNYNVNQPRHFCKKCQRYWTAGGTMRNVPVGAGRRKNKNTASHYRQMAMNGAALQTIQSHMPESIHHPPLKANGTVLSFGSGAPLCESMANVLNLAEKTIKPCDRNGFHHMEELPCVENVEEHSSGSSVTASKNSSDEGMSANPPETITGNRQQIPISVPCFSGTPWPYPWNSSPGFFSSSFPLSFYPMAAYWGCTVPGNWGVPWVSSMATSPNSSPNSLTLGKHSREGNVLKHCNSDKVDSSIQPDHEKRFWIPTTLRMDDPEEAAKSCIWASMGIQNDKAKPISEGGLFKAFQTKADMKNSTVGGSQVLHANPAAMSRSLNFQESS >DRNTG_32159.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30959353:30962225:1 gene:DRNTG_32159 transcript:DRNTG_32159.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMDNKDPAFKLFGMTIPVPFSSSSSSSSAAATSAIAVVVEVDGEKDQSEKEASYESADKENESPNTGEDYSELGKSSLACEAQKSCGNQETTAMEDVKSEEQQNETSNSQDKTLKKPDKILPCPRCNSLETKFCYFNNYNVNQPRHFCKKCQRYWTAGGTMRNVPVGAGRRKNKNTASHYRQMAMNGAALQTIQSHMPESIHHPPLKANGTVLSFGSGAPLCESMANVLNLAEKTIKPCDRNGFHHMEELPCVENVEEHSSGSSVTASKNSSDEGMSANPPETITGNRQQIPISVPCFSGTPWPYPWNSSPGFFSSSFPLSFYPMAAYWGCTVPGNWGVPWVSSMATSPNSSPNSLTLGKHSREGNVLKHCNSDKVDSSIQPDHEKRFWIPTTLRMDDPEEAAKSCIWASMGIQNDKAKPISEGGLFKAFQTKADMKNSTVGGSQVLHANPAAMSRSLNFQESS >DRNTG_32159.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30959267:30962225:1 gene:DRNTG_32159 transcript:DRNTG_32159.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMDNKDPAFKLFGMTIPVPFSSSSSSSSAAATSAIAVVVEVDGEKDQSEKEASYESADKENESPNTGEDYSELGKSSLACEAQKSCGNQETTAMEDVKSEEQQNETSNSQDKTLKKPDKILPCPRCNSLETKFCYFNNYNVNQPRHFCKKCQRYWTAGGTMRNVPVGAGRRKNKNTASHYRQMAMNGAALQTIQSHMPESIHHPPLKANGTVLSFGSGAPLCESMANVLNLAEKTIKPCDRNGFHHMEELPCVENVEEHSSGSSVTASKNSSDEGMSANPPETITGNRQQIPISVPCFSGTPWPYPWNSSPGFFSSSFPLSFYPMAAYWGCTVPGNWGVPWVSSMATSPNSSPNSLTLGKHSREGNVLKHCNSDKVDSSIQPDHEKRFWIPTTLRMDDPEEAAKSCIWASMGIQNDKAKPISEGGLFKAFQTKADMKNSTVGGSQVLHANPAAMSRSLNFQESS >DRNTG_32159.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30959353:30962284:1 gene:DRNTG_32159 transcript:DRNTG_32159.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMDNKDPAFKLFGMTIPVPFSSSSSSSSAAATSAIAVVVEVDGEKDQSEKEASYESADKENESPNTGEDYSELGKSSLACEAQKSCGNQETTAMEDVKSEEQQNETSNSQDKTLKKPDKILPCPRCNSLETKFCYFNNYNVNQPRHFCKKCQRYWTAGGTMRNVPVGAGRRKNKNTASHYRQMAMNGAALQTIQSHMPESIHHPPLKANGTVLSFGSGAPLCESMANVLNLAEKTIKPCDRNGFHHMEELPCVENVEEHSSGSSVTASKNSSDEGMSANPPETITGNRQQIPISVPCFSGTPWPYPWNSSPGFFSSSFPLSFYPMAAYWGCTVPGNWGVPWVSSMATSPNSSPNSLTLGKHSREGNVLKHCNSDKVDSSIQPDHEKRFWIPTTLRMDDPEEAAKSCIWASMGIQNDKAKPISEGGLFKAFQTKADMKNSTVGGSQVLHANPAAMSRSLNFQESS >DRNTG_32159.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30959267:30962284:1 gene:DRNTG_32159 transcript:DRNTG_32159.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMDNKDPAFKLFGMTIPVPFSSSSSSSSAAATSAIAVVVEVDGEKDQSEKEASYESADKENESPNTGEDYSELGKSSLACEAQKSCGNQETTAMEDVKSEEQQNETSNSQDKTLKKPDKILPCPRCNSLETKFCYFNNYNVNQPRHFCKKCQRYWTAGGTMRNVPVGAGRRKNKNTASHYRQMAMNGAALQTIQSHMPESIHHPPLKANGTVLSFGSGAPLCESMANVLNLAEKTIKPCDRNGFHHMEELPCVENVEEHSSGSSVTASKNSSDEGMSANPPETITGNRQQIPISVPCFSGTPWPYPWNSSPGFFSSSFPLSFYPMAAYWGCTVPGNWGVPWVSSMATSPNSSPNSLTLGKHSREGNVLKHCNSDKVDSSIQPDHEKRFWIPTTLRMDDPEEAAKSCIWASMGIQNDKAKPISEGGLFKAFQTKADMKNSTVGGSQVLHANPAAMSRSLNFQESS >DRNTG_25642.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21818857:21830017:-1 gene:DRNTG_25642 transcript:DRNTG_25642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRISVEDDDLLPPLDSSEQHHPQHRRAVLSYSQPLSPVRPPAAARRNHSLDDDPLFTDHSFNPFSSNPQLPSPLAPPHLYSSPSLTLDRAISESGGGLGVLPEFIGRGGGTGIFKVPTRAAIHPGRPPSLELRPHPLRETQANSFIRTIASAAGSQLWAGLEDGVRYWDLKDVFEGWGGEVLPCKVRRGDEESAPFRESCETPPTLCLVSDAASGLVWTGHKDGKIRSWRIEEKIPGGAKDFCPFREGLSWQAHRGPVLSIVITSYGDLWSGSENGTIKVWPWESIEKALSLPKEERHMATLVVERSYADLRNQVTVGGVCNLPAIDVRFLLSDNSRSKVWSGGHLSFALWDSRSKELLKVFGIDGQIENRVEASVMQDLYSDDEMKLKIISSSKKEKAQGALGFFQRSRNALMGAADAVRRVAVKGAFGDRRAEAMTISMDGTIWMGCTNGSVVQWDGNGTRLQEFQHHSSPILCLCAFGTRLWVGYLNGSVQVLDLEGNLVGTWVAHSSPIIKMAAAGSYIFTLASHGGIRGWNLTSPGPLDGILRAELSSKESSYTNLENLKILAGTWNVGQERASHDSLISWLGSNASEVELVIVGLQEVEMGAGVLAMAAAKETVGLEGSANGLWWLSAIDKTLDEGSSFVRIGSRQLAGLLIAAWARKKLSPYIGDVDAAAVPCGFGRAIGNKGAVGLRMRVYDRVMCFVNCHFAAHLEAVGRRNADFDHVYRTMTFSRPSGSSSAAPLQQGANATGFQSSDGRPELAEADMVVFLGDFNYRLHGITYDEARDFVSQRCFDWLREKDQLRAEMKAGKVFQGMREGQIKFPPTYKFERNQAGLSGYDSSEKKRIPAWCDRILYRDSRSFSVAECSLECSVVCSISQYDACMDVTDSDHKPVRCIFHVKIAHADELIRRREFGEIIFRNKKVRFLLERLCSVPDVIVSTNNIILQNQDSSILRITNKSDKYKAVFEILCEGQFTTMEDGQTSKPLVRGSFGFPHWLQILPATGIIKPSQMVEVSVCHEDFFTKEEFLDGIAQNWWCEDTRDKEAILLVKITGCCSTDAKTHRVRVRHCLLTSASSTTKGDSEGTGTQSNLLHRADFVHLSSTADVVHDLSHLQCS >DRNTG_25642.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21818857:21830017:-1 gene:DRNTG_25642 transcript:DRNTG_25642.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVVERSYADLRNQVTVGGVCNLPAIDVRFLLSDNSRSKVWSGGHLSFALWDSRSKELLKVFGIDGQIENRVEASVMQDLYSDDEMKLKIISSSKKEKAQGALGFFQRSRNALMGAADAVRRVAVKGAFGDRRAEAMTISMDGTIWMGCTNGSVVQWDGNGTRLQEFQHHSSPILCLCAFGTRLWVGYLNGSVQVLDLEGNLVGTWVAHSSPIIKMAAAGSYIFTLASHGGIRGWNLTSPGPLDGILRAELSSKESSYTNLENLKILAGTWNVGQERASHDSLISWLGSNASEVELVIVGLQEVEMGAGVLAMAAAKETVGLEGSANGLWWLSAIDKTLDEGSSFVRIGSRQLAGLLIAAWARKKLSPYIGDVDAAAVPCGFGRAIGNKGAVGLRMRVYDRVMCFVNCHFAAHLEAVGRRNADFDHVYRTMTFSRPSGSSSAAPLQQGANATGFQSSDGRPELAEADMVVFLGDFNYRLHGITYDEARDFVSQRCFDWLREKDQLRAEMKAGKVFQGMREGQIKFPPTYKFERNQAGLSGYDSSEKKRIPAWCDRILYRDSRSFSVAECSLECSVVCSISQYDACMDVTDSDHKPVRCIFHVKIAHADELIRRREFGEIIFRNKKVRFLLERLCSVPDVIVSTNNIILQNQDSSILRITNKSDKYKAVFEILCEGQFTTMEDGQTSKPLVRGSFGFPHWLQILPATGIIKPSQMVEVSVCHEDFFTKEEFLDGIAQNWWCEDTRDKEAILLVKITGCCSTDAKTHRVRVRHCLLTSASSTTKGDSEGTGTQSNLLHRADFVHLSSTADVVHDLSHLQCS >DRNTG_25642.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21818857:21823737:-1 gene:DRNTG_25642 transcript:DRNTG_25642.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRVYDRVMCFVNCHFAAHLEAVGRRNADFDHVYRTMTFSRPSGSSSAAPLQQGANATGFQSSDGRPELAEADMVVFLGDFNYRLHGITYDEARDFVSQRCFDWLREKDQLRAEMKAGKVFQGMREGQIKFPPTYKFERNQAGLSGYDSSEKKRIPAWCDRILYRDSRSFSVAECSLECSVVCSISQYDACMDVTDSDHKPVRCIFHVKIAHADELIRRREFGEIIFRNKKVRFLLERLCSVPDVIVSTNNIILQNQDSSILRITNKSDKYKAVFEILCEGQFTTMEDGQTSKPLVRGSFGFPHWLQILPATGIIKPSQMVEVSVCHEDFFTKEEFLDGIAQNWWCEDTRDKEAILLVKITGCCSTDAKTHRVRVRHCLLTSASSTTKGDSEGTGTQSNLLHRADFVHLSSTADVVHDLSHLQCS >DRNTG_31456.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:785030:786730:-1 gene:DRNTG_31456 transcript:DRNTG_31456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMRALNSLGIGLSLVFGCLLLALVAELYYLLWWKKRFSNRDLEADHYASPAKEIFCLFCWKRPSSLSSTALNPQAMNSSAVDDDHNHGHQLHLHSNSGKDHLLKSFDSEEGLESELMRIHNLQGPPRFLFTIKEETKEDLESEDGKSKGGRSRRGSKGRSLSDVLFPVETPFLTPLSSPPFFTPPLTPLSYYYNQHGLNPLFESSKQDDFNSLRSSSPPPKFKFLKDAEEKLYRKTLMEEALKVHRNNGEEEDEDGSFITIIIGKNRDKGHHSSSSQVTPLSSSPSTIRPSHA >DRNTG_28213.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11718091:11720031:1 gene:DRNTG_28213 transcript:DRNTG_28213.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHSIIFWVTFFLSFQIHSISSQSTLCRTSCGSIPIKYPLSIDHGCGSPYYRHMLFCEPNSTDLRFRTPSGTYTVKSISYSDPHLVISDPSMWTCHSDGKPAPPFSLDTSTRFSLSPKNEFLFLNCQEDSVIIQPKPKFCERFPERCDSACDSSAYLCRNMPGCMDALVERRISCCSYYPKASASLRMMMSHCEGYSSVYWRDLESSFGVYDQAPEFGVRVDFEIPVTTRCLRCRDQEKGGGTCGFDTTEGSFLCLCKERNATTFCTDGGFAHKRSAGLIA >DRNTG_28213.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11718091:11720343:1 gene:DRNTG_28213 transcript:DRNTG_28213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHSIIFWVTFFLSFQIHSISSQSTLCRTSCGSIPIKYPLSIDHGCGSPYYRHMLFCEPNSTDLRFRTPSGTYTVKSISYSDPHLVISDPSMWTCHSDGKPAPPFSLDTSTRFSLSPKNEFLFLNCQEDSVIIQPKPKFCERFPERCDSACDSSAYLCRNMPGCMDALVERRISCCSYYPKASASLRMMMSHCEGYSSVYWRDLESSFGVYDQAPEFGVRVDFEIPVTTRCLRCRDQEKGGGTCGFDTTEGSFLCLCKERNATTFCTDGGFAHKRSAGLIAVTVVSFAGVAGIGALVWYIKKMRTNKVTCGVQTNENRFF >DRNTG_07207.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27925191:27932822:-1 gene:DRNTG_07207 transcript:DRNTG_07207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAARDHLLMGEEELGVAKEEVPLIFIQVYSNHMTGDWMLFSNLDELQEVTVRLGDDKEIKVQGSSEPLQFIYAVAHDCFIKDRILNNLATINIAHLWTCLTYNASSHPVIREDEVEHQREEVALAYDMSSITFRGIDKAQTNFCYEFLTMPSPSPPPPPPSPLPSENEKEYCSEYNLEINDDHDHLVDTDGDDWIKITTILQSFCQSNALPSSLILQ >DRNTG_02494.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000117.1:125500:127432:-1 gene:DRNTG_02494 transcript:DRNTG_02494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMARRHHWECSKAVGDACELNKLDAPLLGGPKPINFPSTFKRLCASCFQAMEFIQRQHRGIDTPCERPCDGPHPWIMAPRSKKQADKRPRESCPELESIRFAILKHQGDEFVDEVDGLVSVEGWRQLLSTRKLPIHELTLEVLSSFEFDKSYARFDSLDTI >DRNTG_33913.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8737375:8739202:-1 gene:DRNTG_33913 transcript:DRNTG_33913.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSHHKPNKQSLLPLPQSLLPQLRSSPADLLLPRRSSFTGS >DRNTG_27179.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:646585:649733:1 gene:DRNTG_27179 transcript:DRNTG_27179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFYHGAPEIQSDSLQTLQFMNPGFSGYSDTSAPANMVLLNSSTLSSLNPVSLSQSQQQHFVGIPLQTTTQETANPHEISTMNGFIPRLHYNLWTPTPTNNTIDISTSSMPLAQQGSLSLSLSSQQQAPYHYHSEPAEIHTTPVSSSVSFINGGIMSSSQSHVLMGSKYLKVAQQLLDEVASVGKCGVVEGDDQTVKKSSSSKVSGYNSKDQSDKKEEGETSVKRAVDLTTVEKQDLQMKKAKLVTMLDEVEQRYRQYSQQMQIVVSSFEVVAGQGSARTYTSLALKTISKQFRSLRDAIAGQIRGVSKSLGEDECLLGSKAEGGSRLRFVDHHLRQQRALQQLGMMQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYMEETKEQEQNNADDKAIKGDANENSMSQSGNSPGRTEQDDNRKPSPMSVNILPQAIYQNNEAVVMHSKLKKPRSTDEISGEQGYSVITGNMNHGGSFGTYHHHHHHQVGEFERFEGEPFTQRFSGNGVALTLGLSHCENLSMSGGQPTYIPFGRRLEMSSSSSNNNNNNHNNNDSNQTMVHQSNGYDNINLQSRKRLAAQLLPDYVS >DRNTG_34866.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21957805:21959163:1 gene:DRNTG_34866 transcript:DRNTG_34866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQRSDETFRRMGSSGLVWDLNRMRKREEAVDVRELRPSQSAGTIGMMQRNQSNGGRAFHAGRVVPDLDPPSPRVSSCGCFGLFGKPAPAKKSKKSKK >DRNTG_02968.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22434373:22438872:-1 gene:DRNTG_02968 transcript:DRNTG_02968.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:QPT [Source:Projected from Arabidopsis thaliana (AT2G01350) UniProtKB/TrEMBL;Acc:A0A178VX18] MIKTTFSSPPSSLLLFPPRRRLAGNFPTVSLSSPAFRRSVVTMSVSEAAIHGSSVGSMAVKPPSHPTYDIKTVIKLALAEDAGDRGDVTCLATVPSDMEVEAYFIAKEDGVIAGIALAEMIFSEVDPLIKVEWSNKDGDYVHKGTQFGKVHGRAHNIVVAERVVLNFMQRMSGIATLTKSMADAARPAFILETRKTAPGLRLMDKWAVLIGGGKNHRMGLFDMVMIKDNHISIAGGVTNAIKSVDHFLEQNNLEMAVEVETRTLEEVKEVLQYTNQYKTSLTRIMLDNMVVPLPDGGVDVSMLKEAVELINGTFETEASGNVTLETVKKIGETGVTYISSGALTHSVKAIDISLKIDTELALLVGRRTNRA >DRNTG_04197.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7121304:7122914:1 gene:DRNTG_04197 transcript:DRNTG_04197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSSIDYTGNDGSLIVIDPSNPQSTRKIFHFNKIFGPDATQDEVYKDTQTLIRSVMDGYNVCIFAYGQTGSGKTHTMCGPCSGLNKNFGVSYMALNDLFQISSARVDIKYEIQAQMVEIYNEQVRDLLKDMPAIKLEIKNCSVNGGLSVPDASTRSVQSVDDVLNLMKLGEKNRVFSSTALNNRSSRSHSVLTVHIIGEDITRCRIRSCLHLVDLAGSERVDKSEVTGDRLKEAQHINRSLSCLGDVIAALAQKNSHIPYRNSKLTQLLQNSLGGHAKVLMLAHVSPEADYYGETVSTLKFAQRVSTVQLGAAHSNRESSEVW >DRNTG_23290.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1290287:1291219:1 gene:DRNTG_23290 transcript:DRNTG_23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSTETFRRSGSSGLVWEERFSGNLNQGKGGNDKNREGPELRQSRSVGSTGMMERSLSNGGGRGFRTMGVEPTFDPPSPKVSACGFCGVFRKSKGSKKSNPRSTKRNT >DRNTG_21692.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:972551:974937:1 gene:DRNTG_21692 transcript:DRNTG_21692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIVKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEPWTARLLPSRQFGFIVLTTSAGIMDHEEARKKNVGGKVLGFFY >DRNTG_29704.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3602767:3613911:-1 gene:DRNTG_29704 transcript:DRNTG_29704.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKADAAFFSPFWNEIIKSLREEDYINNREMSLLSIPSNCGSLKIVQWPLLLLCSKIFLAINLALDCKDTQADLWNRISRDEYMVYAVQECYYSVERILHSVVNDEGRLWVQRLFREINNSIYEGSLAVTISYTNLQPVLKSLVSLTGLLMQNEASHLASGVTKSLYQLYDVVTHDLLTSSLRDQFDTWSILARARNEGRLFSRIEWPNEPELKEQVKRLHLLLTAQDSAANIPKNLEARRRLQFFTNSLFMDMPSAKPVSEMIPFSVFTPYYSEIVLYSSSDLRAENEDGISTLFYLQKIFPDEWENFLERIGRPESSADALQDSSGYNLELRFWASYRGQTLARTVRGMMYYRRALMLQSYLEKRSTAGIEDGYAGADYINTQGFESSSESRAQADIKFTYVVSCQIYGQQKQKKDKEAADIALLMQRNEALRVAFIHTEENVDAEGKVSKEFYSKLVKADVHGKDQEIYSIKLPGNPKLGEGKPENQNHAIIFTRGDAIQTIDMNQDNYLEEALKMRNLLEEFYGNYGLRHPTILGVREHVFTGSVSSLAWFMSNQETSFVTLAQRVLAYPLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGMH >DRNTG_29704.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3602767:3613911:-1 gene:DRNTG_29704 transcript:DRNTG_29704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKADAAFFSPFWNEIIKSLREEDYINNREMSLLSIPSNCGSLKIVQWPLLLLCSKIFLAINLALDCKDTQADLWNRISRDEYMVYAVQECYYSVERILHSVVNDEGRLWVQRLFREINNSIYEGSLAVTISYTNLQPVLKSLVSLTGLLMQNEASHLASGVTKSLYQLYDVVTHDLLTSSLRDQFDTWSILARARNEGRLFSRIEWPNEPELKEQVKRLHLLLTAQDSAANIPKNLEARRRLQFFTNSLFMDMPSAKPVSEMIPFSVFTPYYSEIVLYSSSDLRAENEDGISTLFYLQKIFPDEWENFLERIGRPESSADALQDSSGYNLELRFWASYRGQTLARTVRGMMYYRRALMLQSYLEKRSTAGIEDGYAGADYINTQGFESSSESRAQADIKFTYVVSCQIYGQQKQKKDKEAADIALLMQRNEALRVAFIHTEENVDAEGKVSKEFYSKLVKADVHGKDQEIYSIKLPGNPKLGEGKPENQNHAIIFTRGDAIQTIDMNQDNYLEEALKMRNLLEEFYGNYGLRHPTILGVREHVFTGSVSSLAWFMSNQETSFVTLAQRVLAYPLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGMH >DRNTG_29704.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3602767:3613911:-1 gene:DRNTG_29704 transcript:DRNTG_29704.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNKADAAFFSPFWNEIIKSLREEDYINNREMSLLSIPSNCGSLKIVQWPLLLLCSKIFLAINLALDCKDTQADLWNRISRDEYMVYAVQECYYSVERILHSVVNDEGRLWVQRLFREINNSIYEGSLAVTISYTNLQPVLKSLVSLTGLLMQNEASHLASGVTKSLYQLYDVVTHDLLTSSLRDQFDTWSILARARNEGRLFSRIEWPNEPELKEQVKRLHLLLTAQDSAANIPKNLEARRRLQFFTNSLFMDMPSAKPVSEMIPFSVFTPYYSEIVLYSSSDLRAENEDGISTLFYLQKIFPDEWENFLERIGRPESSADALQDSSGYNLELRFWASYRGQTLARTVRGMMYYRRALMLQSYLEKRSTAGIEDGYAGADYINTQGFESSSESRAQADIKFTYVVSCQIYGQQKQKKDKEAADIALLMQRNEALRVAFIHTEENVDAEGKVSKEFYSKLVKADVHGKDQEIYSIKLPGNPKLGEGKPENQNHAIIFTRGDAIQTIDMNQDNYLEEALKMRNLLEEFYGNYGLRHPTILGVREHVFTGSVSSLAWFMSNQETSFVTLAQRVLAYPLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGMH >DRNTG_29704.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3602767:3612396:-1 gene:DRNTG_29704 transcript:DRNTG_29704.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNKADAAFFSPFWNEIIKSLREEDYINNREMSLLSIPSNCGSLKIVQWPLLLLCSKIFLAINLALDCKDTQADLWNRISRDEYMVYAVQECYYSVERILHSVVNDEGRLWVQRLFREINNSIYEGSLAVTISYTNLQPVLKSLVSLTGLLMQNEASHLASGVTKSLYQLYDVVTHDLLTSSLRDQFDTWSILARARNEGRLFSRIEWPNEPELKEQVKRLHLLLTAQDSAANIPKNLEARRRLQFFTNSLFMDMPSAKPVSEMIPFSVFTPYYSEIVLYSSSDLRAENEDGISTLFYLQKIFPDEWENFLERIGRPESSADALQDSSGYNLELRFWASYRGQTLARTVRGMMYYRRALMLQSYLEKRSTAGIEDGYAGADYINTQGFESSSESRAQADIKFTYVVSCQIYGQQKQKKDKEAADIALLMQRNEALRVAFIHTEENVDAEGKVSKEFYSKLVKADVHGKDQEIYSIKLPGNPKLGEGKPENQNHAIIFTRGDAIQTIDMNQDNYLEEALKMRNLLEEFYGNYGLRHPTILGVREHVFTGSVSSLAWFMSNQETSFVTLAQRVLAYPLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGMH >DRNTG_18860.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2447203:2458227:1 gene:DRNTG_18860 transcript:DRNTG_18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEEEEGISGIPNPNPHQDGDVGGAVDVVPSLLMGSPSLIDPVFVGEDGARASEPEEVFGGDAAEKVEPLVGDALEDGKSLMDVWEEEMGPLVDVVREKEARPLDGLVEGRKSSAGDSAVVVSVPALDGGEETEPLVGASTETLEKGWIKPLEDMVGEERIEALVDKVGEDKRDPLADAVTKDETEKSDPLGGALKGEDCQSLENVEMEPSVDAMGGQEKSSMNGVMAEGTELLAGATEPVADAVKETAEPLLDSVEEEVAEPLSNAVEEEVEDVVEEVAEEPSVEYKTEEEAETVMDSTVEVAAEPLMNSVAKEAVEPFMDSAAEETAEPLMMDSVAEEAAEPPSMDSIVGATEPLMDSVGDGAAEPPSMDSVMEATEPLMDSVGDGAAEPPSMDSIMEATEPLMDSVGEVAAEPPSTDSVAEEVAEPLMDSVVEMAAEPLMDSVVEAADSLMDSVEEEAEEPLMDSMEEEAAEPLMDPITEKAVEPLISSRLEEAATTLEDSMVEGAAAPLEDSMLEVAAPSLGDFMVEDVEPFADTMIGEDAEPPAVSAKKGAGKRKRGRPPKTQSTRSLSKRKAEEDVCFICFDGGNLVVCDRRGCPKVYHPSCVNRDEAFFRSKGRWNCGWHICSICEKTASYMCYTCTYSLCKGCAKESAFVCIRGNKGLCPTCMSTVMLIETNQHANQTMSAVDFDDKTSWEFLFKDYWLDLKGKLSLTLEELNGAKTPRKESNVTVGNDESSEELYDANADQVGSSDSSSERRQVSNSSRKKLKKGSRNTAKNDTPTGGTFREEMAHPDDTDWASNELLEFVAHMKGGDKSLLSQFDVQALLLEYIKQNNLRDPRRKSQIICDARLQSLFGKPRVGHFEMLKLLESHFLMKEVAQPVTDDSQGGVVDPDSSPVDADGNSDASTRLSFDKRRKARKRTDEKEPQTNLDDYAAIDVHNISLMYLKRNLMEDLIDDAEFSEKVIGCFVRIRISGASQKQDMYRLVQVVGTRMAGEKYKTGKKMTDIMLEILNLDKTEVVTIDVISNQDFTEEECKRLRQSIKCELIGRLTVGDVQEKARALQTVRVNDWLENEKSRLGHLRDRASEKGRRKEYP >DRNTG_33798.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32526170:32530914:-1 gene:DRNTG_33798 transcript:DRNTG_33798.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILGGCRELKDCLQQRMVLSDSWSFFMISGLFSNHSPLYFVNTKKFFSKMGLACHIAKIHSESSVEKNAWELKQYIEELYWGSGKRVLLLGHSKGGVDAAAALSLYWADLKDKVAGLALVQSPYGGSPIASDILREGQVADKEARRIMELIICKLIKGDMRALEDLTYEKRKDFIEKHSLPADQLPLISFHTEASTAPSVLATMSHIAHAELPWLPLPQFCSEDEIESGRRVPVVIPIAAAMAVSALHLWLRYGEASDGLVTRCDAEVPGSVVVKPDRKLDHAWMVCSSLRKDQSEADASEMCEALLTMLVERWKMKQA >DRNTG_33798.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32526170:32530914:-1 gene:DRNTG_33798 transcript:DRNTG_33798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKICSDEKLSSAAYDVQSEFLSEACGSVFVEVMDFSLVQILTLTSYVSRSFIRFIENLMFRDAEKHSQYSNVSSSQCYGRHFQTIYQAAVDCPMLSKSSYTRAKLLEHERELQSQKENIFVNKISHILPIETTCFFIRQGVALSCHFLNLGRRLTSACWRTSCAYFKCICTQVQGFLSRVRRTLQGSSHDIGWLQRTEGLPPTEDGTIRFMELLHDIRNGEHCLPNTLIYLLIPGLFSNHSPLYFVNTKKFFSKMGLACHIAKIHSESSVEKNAWELKQYIEELYWGSGKRVLLLGHSKGGVDAAAALSLYWADLKDKVAGLALVQSPYGGSPIASDILREGQVADKEARRIMELIICKLIKGDMRALEDLTYEKRKDFIEKHSLPADQLPLISFHTEASTAPSVLATMSHIAHAELPWLPLPQFCSEDEIESGRRVPVVIPIAAAMAVSALHLWLRYGEASDGLVTRCDAEVPGSVVVKPDRKLDHAWMVCSSLRKDQSEADASEMCEALLTMLVERWKMKQA >DRNTG_28689.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21295695:21296605:-1 gene:DRNTG_28689 transcript:DRNTG_28689.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGLKLVYHHTFASSPRSEHLDKSCEGSLSSISVNSFDSTLSSSSDHTDDDTASSSHHSNGPLFQLSSLMDQLPIKKGLSKYYEGKSQSYTSLSNVRCLEDLAKKESPYRRRMKLSKSYGGGLDVSHKANFGPRPCSKTISKKSSRSSCSSLGALIRSSSNLHCSSKPPPIPVHKNNLPHFSLN >DRNTG_28689.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21295764:21296605:-1 gene:DRNTG_28689 transcript:DRNTG_28689.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGLKLVYHHTFASSPRSEHLDKSCEGSLSSISVNSFDSTLSSSSDHTDDDTASSSHHSNGPLFQLSSLMDQLPIKKGLSKYYEGKSQSYTSLSNVRCLEDLAKKESPYRRRMKLSKSYGGGLDVSHKANFGPRPCSKTISKKSSRSSCSSLGALIRSSSNLHCSSKPPPIPVHKNNLPHFSLN >DRNTG_28689.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21295695:21296772:-1 gene:DRNTG_28689 transcript:DRNTG_28689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGLKLVYHHTFASSPRSEHLDKSCEGSLSSISVNSFDSTLSSSSDHTDDDTASSSHHSNGPLFQLSSLMDQLPIKKGLSKYYEGKSQSYTSLSNVRCLEDLAKKESPYRRRMKLSKSYGGGLDVSHKANFGPRPCSKTISKKSSRSSCSSLGALIRSSSNLHCSSKPPPIPVHKNNLPHFSLN >DRNTG_28689.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21295499:21296534:-1 gene:DRNTG_28689 transcript:DRNTG_28689.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGLKLVYHHTFASSPRSEHLDKSCEGSLSSISVNSFDSTLSSSSDHTDDDTASSSHHSNGPLFQLSSLMDQLPIKKGLSKYYEGKSQSYTSLSNVRCLEDLAKKESPYRRRMKLSKSYGGGLDVSHKANFGPRPCSKTISKKSSRSSCSSLGALIRSSSNLHCSSKPPPIPVHKNNLPHFSLN >DRNTG_28689.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21295764:21296772:-1 gene:DRNTG_28689 transcript:DRNTG_28689.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGLKLVYHHTFASSPRSEHLDKSCEGSLSSISVNSFDSTLSSSSDHTDDDTASSSHHSNGPLFQLSSLMDQLPIKKGLSKYYEGKSQSYTSLSNVRCLEDLAKKESPYRRRMKLSKSYGGGLDVSHKANFGPRPCSKTISKKSSRSSCSSLGALIRSSSNLHCSSKPPPIPVHKNNLPHFSLN >DRNTG_28689.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21295695:21296534:-1 gene:DRNTG_28689 transcript:DRNTG_28689.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGLKLVYHHTFASSPRSEHLDKSCEGSLSSISVNSFDSTLSSSSDHTDDDTASSSHHSNGPLFQLSSLMDQLPIKKGLSKYYEGKSQSYTSLSNVRCLEDLAKKESPYRRRMKLSKSYGGGLDVSHKANFGPRPCSKTISKKSSRSSCSSLGALIRSSSNLHCSSKPPPIPVHKNNLPHFSLN >DRNTG_28689.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21295764:21296534:-1 gene:DRNTG_28689 transcript:DRNTG_28689.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGLKLVYHHTFASSPRSEHLDKSCEGSLSSISVNSFDSTLSSSSDHTDDDTASSSHHSNGPLFQLSSLMDQLPIKKGLSKYYEGKSQSYTSLSNVRCLEDLAKKESPYRRRMKLSKSYGGGLDVSHKANFGPRPCSKTISKKSSRSSCSSLGALIRSSSNLHCSSKPPPIPVHKNNLPHFSLN >DRNTG_18889.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2210757:2230592:1 gene:DRNTG_18889 transcript:DRNTG_18889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEPLSVGIHACRRANVGPETNVLIMGAGPIGLVTMLAARAFGARRIVIVDVDDHRLRVAMSLGADGVVVVSPNIQVPSLILTSPYITLARSTALYGADTVPCTFSVHQY >DRNTG_12826.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32883345:32886056:1 gene:DRNTG_12826 transcript:DRNTG_12826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKPLQKRKPQARRRLAVKVDTKKPKKPPTAFFYFLEDFRKTFKEENPNVKSMREIGKACGEKWKTMPFGEKVKYYDIATEKRAEFEKAMAEFIRRKENGEESEESEDEYK >DRNTG_06967.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:76333:77655:-1 gene:DRNTG_06967 transcript:DRNTG_06967.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSLNQSPILLTNSLIDALLLRLRFSHANPHRALHFFSFTSNRRGFFHSSSSYDTMLYILGRARLFSHAWQLLVQMRRKDSTLITPKTLQIILGRIAKVCSLRQTLDSFRRFSKISSCSDTVWFNALLRTLCQEKTMADARTVYHSLKHDFKPDLQTFNILLSGWKSSDEAEHFFEEMKLLNVIPDVVSYNCLIDVYCKNREIEKARKVFDEMHDREINPDVFSYTSLIGGLGLIGQPDKAKELLNEMKELGCYPDVPAYNAAIRNYCIAKRIGNAFGLMEEMMSKGLVPNATTYNLFFRVFYWANELKNAWSLYLRMRRENCLPNTQSCMFLIRLCRRQEKVEMAIELWNDMVEHGFGSFTLFSDVLFDLLCDFGKLEEAEKCFLQMVDKGHKPSNVSFRRIKVLMELANRHDSLQTLSDKMAFCGRHSPNNNIIRSS >DRNTG_15558.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000767.1:25730:26317:-1 gene:DRNTG_15558 transcript:DRNTG_15558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSSFLRKKVGRAASVDAYPIPDKEILGRRVEDFREDHRHDYRGGASPFPSDLIDSTSVPRVLCGHNRVNGLRVHWGLVARATE >DRNTG_29005.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22337525:22341203:1 gene:DRNTG_29005 transcript:DRNTG_29005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQENNPIINGEIDDTMEQENNLINDDLDYVLPSNPFYDFTETQNNPNSSSETHDDPLPKFSSLSLSDKPFNLPLLPSSSSASSSSTNTRTKGTVSPPPLILETSLSSFNECMASEGMMMRRREHGIHIERFFNKVLRNPWQVMTDAAVKSSFCEFIKVINQTMISKLVRVLVVDVEKFTRTCCNVTGERTMSVLMERCKSNDQHEMLCGAFCQENVILDLVFDRYGYHVADKLITAIPHQYMKYIICEVPNFKPMVLKSLQGHYAVLSLQKFSSNVVEKCIDYSNDIEFQMIVNELLYDPNMERILGDQFGNYVIQTAIKKAEGDFFDKIFEVIMSFKNNLSSNRMYAGKVFKALECKRSFHAH >DRNTG_04573.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2993018:2996447:1 gene:DRNTG_04573 transcript:DRNTG_04573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDEGKSRGLTGEYQHQHQHQHQHQQPQQYGTFQGGPGYSQPAIGFPRPVPPPGASAYPPPPPQHYPGPTYYSHGYQAIPVAEGTPVRMARLPCCGIGIGWFLFIIGFCFAAIPWYIGAFILLCVRVDYREKPGYVACTIAAVLAAIAIILGVTKGADAW >DRNTG_27257.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:778264:779277:1 gene:DRNTG_27257 transcript:DRNTG_27257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLVHLLLIIITLFTISCVSSSSSNPTMTTKDFILATLGHTDDSLNFAEFAEKYGKRYESVEEIKKKFAVFKDHLKEIKSHNEKGLSFTLGINEFSDMTWEEFKAKRLRYKCCGKNPTQKLRPFNFDEFQNRSRLINFRRQLQTH >DRNTG_20720.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17880613:17882076:-1 gene:DRNTG_20720 transcript:DRNTG_20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNHDYYPTKLWHSSPLDPNKYVKEFRDEEESSLTRLLEAQSILRETKANSGPLKVPPPLAEFSPPQIDPRIASDPKKIKRYIDSVPLASKASSKPRFPATSAVSLHGSSAQSYVSQKKSPGASPPIPSPKINELHQLPLPPVSLARGRRPGLIGHSAPLVPGGQDLYAASKMTSQKASPLPKPPGAMARSFSIPSSSQRKPELTVAKLLEEPHNPDMIGDTASPITPRSPTVNKNSKENERDALRLS >DRNTG_02967.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22452992:22455406:1 gene:DRNTG_02967 transcript:DRNTG_02967.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDIEDKMSGEEGSSSILDLRERKPYTITRPRERWTTEEHQRFLEALSLHGRAWRRIQEHIRTKTAVQIRSHAQKFFSKVVKESASGGDGSLKLIDIPPPRPKKKPMHPYPRKSHSIQERTILEPLQTSSSPISLACGPDNQSPTSVLSDTIGMQVSSAHEQEDGDQDTTGLDAQKCPVELDVSPDHAATSKECSSVETQVVSLRLFGRTVLVTESHGTTSSNFGDKTKHQSASKDLQNQISVPSEALDHGDCHGDQMKIEWSYWPASFPSMLYSLPHGNTKIPMPWFMYPLNFPFPFSHHQNIISCEQAGQEECSNTGSNTSSDTEMETHDKEEAATHGIDATTTGFVPYKS >DRNTG_02967.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22452992:22455406:1 gene:DRNTG_02967 transcript:DRNTG_02967.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDIEDKMSGEEGSSSILDLRERKPYTITRPRERWTTEEHQRFLEALSLHGRAWRRIQGVPSTDCIFSVVLSFLS >DRNTG_02967.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22452992:22455406:1 gene:DRNTG_02967 transcript:DRNTG_02967.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDIEDKMSGEEGSSSILDLRERKPYTITRPRERWTTEEHQRFLEALSLHGRAWRRIQGFSCRAHKD >DRNTG_32348.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001828.1:35056:35763:-1 gene:DRNTG_32348 transcript:DRNTG_32348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEEEKRYISSEKLKRHNSASDLWISIQGKVYDVIAPFRRDKTASSLAATLITASPRFPRITGSLWPSLSRWDFLRRRGHSVFYSMCLMLCLFMVAVYGVLATSNVLVHLLCGGMMGFLWIQSVWIGHDSGHYQVMTSPTGERETTTLITLLVIVWNSILICSTCPLRCLFQASHLPHILIL >DRNTG_12214.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10413941:10416872:1 gene:DRNTG_12214 transcript:DRNTG_12214.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLQQQQDEYKLKDTKPQLGERWPGGAKGGGWLYGIGDRPTSTYDLVEQMYYLYVRVEKAKDLPVNAITGSIDPYVEVKLGNYKGKTRHFEKKTNPEWKQVFAFAKERIQSSVLEVFIKDKEMVGRDDYVGKVVFDLNEVPTRVPPDSPLAPQWYRLEERRGEGKLRGEVMLAVWIGTQADEAFPEAWHTDATSVQGEGVYNIRSKVYVSPKLWYLRVNVIEAQDVQPNDRSRVPDVFVKAQVGNQVLKTRTCTARTLSPLWNEDLVFVAAEPFDEHLVLTIEDKVSATKDELLSRITLPLTIFEKRLDHRPVHSQWFNLEKFGFGALEGDRRKELKFSSRVHLRVCLEGAYHVMDESTMYLSDNRPTARQLWKAPIGVLELGILSAQGLQAMKTRDTRSTTDAYCVAKYGQKWVRTRTIIDSLSPKWNEQYTWEVFDACTVITLGVFDNCHLGAANGAAVRDARIGKVRIRLSTLETDRIYTHAYPLIVLQPSGVKKMGELHLAVRFTCLSFITMIHLYSRPLLPKMHYIHPFTVNQLDSLRFQAMTIVAARLGRAEPPLRRETVEYMLDVDSHLWSMRRSKANFFRIMGLLSGVIGVCRWFDDVCRWRNPVTTLLVHVLFLILVWYPELILPTVFLYMFVIGVWNYRRRPRHPPHMDIKLSWAEGVPPDELDEEFDTFPTTKGHDIVRLRYDRLRSVAGRIQTVVGDMATQGERIQGVLSWRDPRATSLFVVFCLVAAVVLYVTPFRVVAMVAGLFIMRHPRFRSKLPSVPSNFFKRLPSKIDSML >DRNTG_12214.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10413876:10416872:1 gene:DRNTG_12214 transcript:DRNTG_12214.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLQQQQDEYKLKDTKPQLGERWPGGAKGGGWLYGIGDRPTSTYDLVEQMYYLYVRVEKAKDLPVNAITGSIDPYVEVKLGNYKGKTRHFEKKTNPEWKQVFAFAKERIQSSVLEVFIKDKEMVGRDDYVGKVVFDLNEVPTRVPPDSPLAPQWYRLEERRGEGKLRGEVMLAVWIGTQADEAFPEAWHTDATSVQGEGVYNIRSKVYVSPKLWYLRVNVIEAQDVQPNDRSRVPDVFVKAQVGNQVLKTRTCTARTLSPLWNEDLVFVAAEPFDEHLVLTIEDKVSATKDELLSRITLPLTIFEKRLDHRPVHSQWFNLEKFGFGALEGDRRKELKFSSRVHLRVCLEGAYHVMDESTMYLSDNRPTARQLWKAPIGVLELGILSAQGLQAMKTRDTRSTTDAYCVAKYGQKWVRTRTIIDSLSPKWNEQYTWEVFDACTVITLGVFDNCHLGAANGAAVRDARIGKVRIRLSTLETDRIYTHAYPLIVLQPSGVKKMGELHLAVRFTCLSFITMIHLYSRPLLPKMHYIHPFTVNQLDSLRFQAMTIVAARLGRAEPPLRRETVEYMLDVDSHLWSMRRSKANFFRIMGLLSGVIGVCRWFDDVCRWRNPVTTLLVHVLFLILVWYPELILPTVFLYMFVIGVWNYRRRPRHPPHMDIKLSWAEGVPPDELDEEFDTFPTTKGHDIVRLRYDRLRSVAGRIQTVVGDMATQGERIQGVLSWRDPRATSLFVVFCLVAAVVLYVTPFRVVAMVAGLFIMRHPRFRSKLPSVPSNFFKRLPSKIDSML >DRNTG_12214.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10413941:10416938:1 gene:DRNTG_12214 transcript:DRNTG_12214.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLQQQQDEYKLKDTKPQLGERWPGGAKGGGWLYGIGDRPTSTYDLVEQMYYLYVRVEKAKDLPVNAITGSIDPYVEVKLGNYKGKTRHFEKKTNPEWKQVFAFAKERIQSSVLEVFIKDKEMVGRDDYVGKVVFDLNEVPTRVPPDSPLAPQWYRLEERRGEGKLRGEVMLAVWIGTQADEAFPEAWHTDATSVQGEGVYNIRSKVYVSPKLWYLRVNVIEAQDVQPNDRSRVPDVFVKAQVGNQVLKTRTCTARTLSPLWNEDLVFVAAEPFDEHLVLTIEDKVSATKDELLSRITLPLTIFEKRLDHRPVHSQWFNLEKFGFGALEGDRRKELKFSSRVHLRVCLEGAYHVMDESTMYLSDNRPTARQLWKAPIGVLELGILSAQGLQAMKTRDTRSTTDAYCVAKYGQKWVRTRTIIDSLSPKWNEQYTWEVFDACTVITLGVFDNCHLGAANGAAVRDARIGKVRIRLSTLETDRIYTHAYPLIVLQPSGVKKMGELHLAVRFTCLSFITMIHLYSRPLLPKMHYIHPFTVNQLDSLRFQAMTIVAARLGRAEPPLRRETVEYMLDVDSHLWSMRRSKANFFRIMGLLSGVIGVCRWFDDVCRWRNPVTTLLVHVLFLILVWYPELILPTVFLYMFVIGVWNYRRRPRHPPHMDIKLSWAEGVPPDELDEEFDTFPTTKGHDIVRLRYDRLRSVAGRIQTVVGDMATQGERIQGVLSWRDPRATSLFVVFCLVAAVVLYVTPFRVVAMVAGLFIMRHPRFRSKLPSVPSNFFKRLPSKIDSML >DRNTG_12214.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10413876:10416938:1 gene:DRNTG_12214 transcript:DRNTG_12214.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLQQQQDEYKLKDTKPQLGERWPGGAKGGGWLYGIGDRPTSTYDLVEQMYYLYVRVEKAKDLPVNAITGSIDPYVEVKLGNYKGKTRHFEKKTNPEWKQVFAFAKERIQSSVLEVFIKDKEMVGRDDYVGKVVFDLNEVPTRVPPDSPLAPQWYRLEERRGEGKLRGEVMLAVWIGTQADEAFPEAWHTDATSVQGEGVYNIRSKVYVSPKLWYLRVNVIEAQDVQPNDRSRVPDVFVKAQVGNQVLKTRTCTARTLSPLWNEDLVFVAAEPFDEHLVLTIEDKVSATKDELLSRITLPLTIFEKRLDHRPVHSQWFNLEKFGFGALEGDRRKELKFSSRVHLRVCLEGAYHVMDESTMYLSDNRPTARQLWKAPIGVLELGILSAQGLQAMKTRDTRSTTDAYCVAKYGQKWVRTRTIIDSLSPKWNEQYTWEVFDACTVITLGVFDNCHLGAANGAAVRDARIGKVRIRLSTLETDRIYTHAYPLIVLQPSGVKKMGELHLAVRFTCLSFITMIHLYSRPLLPKMHYIHPFTVNQLDSLRFQAMTIVAARLGRAEPPLRRETVEYMLDVDSHLWSMRRSKANFFRIMGLLSGVIGVCRWFDDVCRWRNPVTTLLVHVLFLILVWYPELILPTVFLYMFVIGVWNYRRRPRHPPHMDIKLSWAEGVPPDELDEEFDTFPTTKGHDIVRLRYDRLRSVAGRIQTVVGDMATQGERIQGVLSWRDPRATSLFVVFCLVAAVVLYVTPFRVVAMVAGLFIMRHPRFRSKLPSVPSNFFKRLPSKIDSML >DRNTG_12214.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10413876:10416872:1 gene:DRNTG_12214 transcript:DRNTG_12214.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLQQQQDEYKLKDTKPQLGERWPGGAKGGGWLYGIGDRPTSTYDLVEQMYYLYVRVEKAKDLPVNAITGSIDPYVEVKLGNYKGKTRHFEKKTNPEWKQVFAFAKERIQSSVLEVFIKDKEMVGRDDYVGKVVFDLNEVPTRVPPDSPLAPQWYRLEERRGEGKLRGEVMLAVWIGTQADEAFPEAWHTDATSVQGEGVYNIRSKVYVSPKLWYLRVNVIEAQDVQPNDRSRVPDVFVKAQVGNQVLKTRTCTARTLSPLWNEDLVFVAAEPFDEHLVLTIEDKVSATKDELLSRITLPLTIFEKRLDHRPVHSQWFNLEKFGFGALEGDRRKELKFSSRVHLRVCLEGAYHVMDESTMYLSDNRPTARQLWKAPIGVLELGILSAQGLQAMKTRDTRSTTDAYCVAKYGQKWVRTRTIIDSLSPKWNEQYTWEVFDACTVITLGVFDNCHLGAANGAAVRDARIGKVRIRLSTLETDRIYTHAYPLIVLQPSGVKKMGELHLAVRFTCLSFITMIHLYSRPLLPKMHYIHPFTVNQLDSLRFQAMTIVAARLGRAEPPLRRETVEYMLDVDSHLWSMRRSKANFFRIMGLLSGVIGVCRWFDDVCRWRNPVTTLLVHVLFLILVWYPELILPTVFLYMFVIGVWNYRRRPRHPPHMDIKLSWAEGVPPDELDEEFDTFPTTKGHDIVRLRYDRLRSVAGRIQTVVGDMATQGERIQGVLSWRDPRATSLFVVFCLVAAVVLYVTPFRVVAMVAGLFIMRHPRFRSKLPSVPSNFFKRLPSKIDSML >DRNTG_12214.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10413876:10416938:1 gene:DRNTG_12214 transcript:DRNTG_12214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLQQQQDEYKLKDTKPQLGERWPGGAKGGGWLYGIGDRPTSTYDLVEQMYYLYVRVEKAKDLPVNAITGSIDPYVEVKLGNYKGKTRHFEKKTNPEWKQVFAFAKERIQSSVLEVFIKDKEMVGRDDYVGKVVFDLNEVPTRVPPDSPLAPQWYRLEERRGEGKLRGEVMLAVWIGTQADEAFPEAWHTDATSVQGEGVYNIRSKVYVSPKLWYLRVNVIEAQDVQPNDRSRVPDVFVKAQVGNQVLKTRTCTARTLSPLWNEDLVFVAAEPFDEHLVLTIEDKVSATKDELLSRITLPLTIFEKRLDHRPVHSQWFNLEKFGFGALEGDRRKELKFSSRVHLRVCLEGAYHVMDESTMYLSDNRPTARQLWKAPIGVLELGILSAQGLQAMKTRDTRSTTDAYCVAKYGQKWVRTRTIIDSLSPKWNEQYTWEVFDACTVITLGVFDNCHLGAANGAAVRDARIGKVRIRLSTLETDRIYTHAYPLIVLQPSGVKKMGELHLAVRFTCLSFITMIHLYSRPLLPKMHYIHPFTVNQLDSLRFQAMTIVAARLGRAEPPLRRETVEYMLDVDSHLWSMRRSKANFFRIMGLLSGVIGVCRWFDDVCRWRNPVTTLLVHVLFLILVWYPELILPTVFLYMFVIGVWNYRRRPRHPPHMDIKLSWAEGVPPDELDEEFDTFPTTKGHDIVRLRYDRLRSVAGRIQTVVGDMATQGERIQGVLSWRDPRATSLFVVFCLVAAVVLYVTPFRVVAMVAGLFIMRHPRFRSKLPSVPSNFFKRLPSKIDSML >DRNTG_12214.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10413941:10416938:1 gene:DRNTG_12214 transcript:DRNTG_12214.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLQQQQDEYKLKDTKPQLGERWPGGAKGGGWLYGIGDRPTSTYDLVEQMYYLYVRVEKAKDLPVNAITGSIDPYVEVKLGNYKGKTRHFEKKTNPEWKQVFAFAKERIQSSVLEVFIKDKEMVGRDDYVGKVVFDLNEVPTRVPPDSPLAPQWYRLEERRGEGKLRGEVMLAVWIGTQADEAFPEAWHTDATSVQGEGVYNIRSKVYVSPKLWYLRVNVIEAQDVQPNDRSRVPDVFVKAQVGNQVLKTRTCTARTLSPLWNEDLVFVAAEPFDEHLVLTIEDKVSATKDELLSRITLPLTIFEKRLDHRPVHSQWFNLEKFGFGALEGDRRKELKFSSRVHLRVCLEGAYHVMDESTMYLSDNRPTARQLWKAPIGVLELGILSAQGLQAMKTRDTRSTTDAYCVAKYGQKWVRTRTIIDSLSPKWNEQYTWEVFDACTVITLGVFDNCHLGAANGAAVRDARIGKVRIRLSTLETDRIYTHAYPLIVLQPSGVKKMGELHLAVRFTCLSFITMIHLYSRPLLPKMHYIHPFTVNQLDSLRFQAMTIVAARLGRAEPPLRRETVEYMLDVDSHLWSMRRSKANFFRIMGLLSGVIGVCRWFDDVCRWRNPVTTLLVHVLFLILVWYPELILPTVFLYMFVIGVWNYRRRPRHPPHMDIKLSWAEGVPPDELDEEFDTFPTTKGHDIVRLRYDRLRSVAGRIQTVVGDMATQGERIQGVLSWRDPRATSLFVVFCLVAAVVLYVTPFRVVAMVAGLFIMRHPRFRSKLPSVPSNFFKRLPSKIDSML >DRNTG_12214.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10413941:10416872:1 gene:DRNTG_12214 transcript:DRNTG_12214.8 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLQQQQDEYKLKDTKPQLGERWPGGAKGGGWLYGIGDRPTSTYDLVEQMYYLYVRVEKAKDLPVNAITGSIDPYVEVKLGNYKGKTRHFEKKTNPEWKQVFAFAKERIQSSVLEVFIKDKEMVGRDDYVGKVVFDLNEVPTRVPPDSPLAPQWYRLEERRGEGKLRGEVMLAVWIGTQADEAFPEAWHTDATSVQGEGVYNIRSKVYVSPKLWYLRVNVIEAQDVQPNDRSRVPDVFVKAQVGNQVLKTRTCTARTLSPLWNEDLVFVAAEPFDEHLVLTIEDKVSATKDELLSRITLPLTIFEKRLDHRPVHSQWFNLEKFGFGALEGDRRKELKFSSRVHLRVCLEGAYHVMDESTMYLSDNRPTARQLWKAPIGVLELGILSAQGLQAMKTRDTRSTTDAYCVAKYGQKWVRTRTIIDSLSPKWNEQYTWEVFDACTVITLGVFDNCHLGAANGAAVRDARIGKVRIRLSTLETDRIYTHAYPLIVLQPSGVKKMGELHLAVRFTCLSFITMIHLYSRPLLPKMHYIHPFTVNQLDSLRFQAMTIVAARLGRAEPPLRRETVEYMLDVDSHLWSMRRSKANFFRIMGLLSGVIGVCRWFDDVCRWRNPVTTLLVHVLFLILVWYPELILPTVFLYMFVIGVWNYRRRPRHPPHMDIKLSWAEGVPPDELDEEFDTFPTTKGHDIVRLRYDRLRSVAGRIQTVVGDMATQGERIQGVLSWRDPRATSLFVVFCLVAAVVLYVTPFRVVAMVAGLFIMRHPRFRSKLPSVPSNFFKRLPSKIDSML >DRNTG_02595.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21248063:21249408:-1 gene:DRNTG_02595 transcript:DRNTG_02595.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKTDPMRGGGVITERCYGCGRCLPVCPFDRISGCFF >DRNTG_02595.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21247107:21249408:-1 gene:DRNTG_02595 transcript:DRNTG_02595.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPESFLLQGGVITERCYGCGRCLPVCPFDRIRAITYIRDLATTSALLKRNDVDAIEIHTRGRTTELFKELWTGLSSSIGHLKLVAVSLPDNGESTVATMHMIYSIMKTDLECYNLWQLDGRPMSGDIGRGATKEAVTFAARISSMQDRPH >DRNTG_02595.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21246405:21249408:-1 gene:DRNTG_02595 transcript:DRNTG_02595.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPESFLLQGGVITERCYGCGRCLPVCPFDRIRAITYIRDLATTSALLKRNDVDAIEIHTRGRTTELFKELWTGLSSSIGHLKLVAVSLPDNGESTVATMHMIYSIMKTDLECYNLWQAYSLFLLNKENFLYRYCSEFVFNICIGSLLLV >DRNTG_02595.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21246405:21249408:-1 gene:DRNTG_02595 transcript:DRNTG_02595.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKTDPMRGGGVITERCYGCGRCLPVCPFDRIRAITYIRDLATTSALLKRNDVDAIEIHTRGRTTELFKELWTGLSSSIGHLKLVAVSLPDNGESTVATMHMIYSIMKTDLECYNLWQLDGRPMSGDIGRGATKEAVTFAARISSMQDRPHGFYQLAGGTNAHTIDSLRKVGLFRAKNDPARSVSEKH >DRNTG_02595.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21246405:21249408:-1 gene:DRNTG_02595 transcript:DRNTG_02595.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKTDPMRGGGVITERCYGCGRCLPVCPFDRIRAITYIRDLATTSALLKRNDVDAIEIHTRGRTTELFKELWTGLSSSIGHLKLVAVSLPDNGESTVATMHMIYSIMKTDLECYNLWQLDGRPMSGDIGRGATKEAVTFAARISSMQDRPHGFYQLAGGTNAHTIDSLRKVGLFRAKNDPADSNALIGGIAYGGYARKIIGRVLRRIPSKHGHAHIEDYPELMLDAIKEAFNLVGPVKC >DRNTG_02595.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21248063:21249408:-1 gene:DRNTG_02595 transcript:DRNTG_02595.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPESFLLQGGVITERCYGCGRCLPVCPFDRISGCFF >DRNTG_02595.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21246405:21249408:-1 gene:DRNTG_02595 transcript:DRNTG_02595.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLCSASPPSIPSNSRHCLQSVKTLAESISGNRPASLLAAPIESLRRGDWVKLICGASFEDMADVRNLSLVYTLAGVDCIDCAADASVVNAVNDGIDAALEIASVRRPWVMISVNDDRNDLHFRKAEFDPEDCPPDCSRPCEMVCPANAILLKRMSEGDEIQDGSHARGKLQGGVITERCYGCGRCLPVCPFDRIRAITYIRDLATTSALLKRNDVDAIEIHTRGRTTELFKELWTGLSSSIGHLKLVAVSLPDNGESTVATMHMIYSIMKTDLECYNLWQLDGRPMSGDIGRGATKEAVTFAARISSMQDRPHGFYQLAGGTNAHTIDSLRKVGLFRAKNDPADSNALIGGIAYGGYARKIIGRVLRRIPSKHGHAHIEDYPELMLDAIKEAFNLVGPVKC >DRNTG_02595.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21246405:21247001:-1 gene:DRNTG_02595 transcript:DRNTG_02595.8 gene_biotype:protein_coding transcript_biotype:protein_coding GFYQLAGGTNAHTIDSLRKVGLFRAKNDPADSNALIGGIAYGGYARKIIGRVLRRIPSKHGHAHIEDYPELMLDAIKEAFNLVGPVKC >DRNTG_29109.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10433925:10435124:-1 gene:DRNTG_29109 transcript:DRNTG_29109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHDTEIGKVIGYESEYIDSSDPGSYDDTIEGTSSDEARRHKSIKKHYNPNVLLEDLCLDPRFPNLKLFKKELVQFSTSMGFEFKYIKNDAIRVKAQCSGKGYSWLILCWWSLVRRC >DRNTG_01907.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20940746:20945907:-1 gene:DRNTG_01907 transcript:DRNTG_01907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDHSMDQSEHTTENWINVQELLKQKLILEDCFTWTISSPDMSSVEISRQNNLKFIGGVDVSFLKEDPSMACAALVVVDAETLDVVHEVFDVVQLQIPYVPGFLAFREAPILQGLLDKMKQSAHPFYPQLLMVDGNGLLHPRGFGLACHVGVLVDLPVIGVGKNLHHVDGLTQSSVRKLFEAKENNDKDLICLVGKSGRVWGAAMCSTHGSTKPIYVSIGHRISLDSSIKIVKMCCKFRVPEPIRQADIRSRIFIQQFKGSSSMHK >DRNTG_01907.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20940746:20942265:-1 gene:DRNTG_01907 transcript:DRNTG_01907.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSLLLLLVLTMTLETVSTSRRTGCAFSSPSPSTPLVSIDFTTVDASEDMKTEDHAFLEDKLIAREEDYGHVDPTPVMNPGGGGGTIPHAVMPSNV >DRNTG_01907.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20945306:20946006:-1 gene:DRNTG_01907 transcript:DRNTG_01907.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMDHSMDQSEHTTENWINVQELLKQKLILEDCFTWTISSPDMSSVEISRQNNLKFIGGVDVSFLKEDPSMACAALVVVDAETLDVVHEVFDVVQLQIPYVPGFLAFREVIF >DRNTG_01907.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20940746:20942686:-1 gene:DRNTG_01907 transcript:DRNTG_01907.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTHGSTKPIYVSIGHRISLDSSIKIVKMCCKFRVPEPIRQADIRSRIFIQQFKGSSSMHK >DRNTG_01907.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20942905:20946006:-1 gene:DRNTG_01907 transcript:DRNTG_01907.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDHSMDQSEHTTENWINVQELLKQKLILEDCFTWTISSPDMSSVEISRQNNLKFIGGVDVSFLKEDPSMACAALVVVDAETLDVVHEVFDVVQLQIPYVPGFLAFREAPILQGLLDKMKQSAHPFYPQLLMVDGNGLLHPRGFGLACHVGVLVDLPVIGVGKNLHHVDGLTQSSVRKLFEAKENNDKDLICLVGKSGRVWGAETLQHSLVGKSN >DRNTG_06971.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:63840:64731:1 gene:DRNTG_06971 transcript:DRNTG_06971.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLMKMGIRGVTVSDVRGFGAQGGSMERQAGSEFSENMFLAKVKMEIVVSKDQVEAVIDKIIEEARTGEIGDGKIFLIPVSDVI >DRNTG_06971.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:63690:64731:1 gene:DRNTG_06971 transcript:DRNTG_06971.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPWRVAHVSSGLMKMGIRGVTVSDVRGFGAQGGSMERQAGSEFSENMFLAKVKMEIVVSKDQVEAVIDKIIEEARTGEIGDGKIFLIPVSDVI >DRNTG_06971.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:63386:64731:1 gene:DRNTG_06971 transcript:DRNTG_06971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGIRGVTVSDVRGFGAQGGSMERQAGSEFSENMFLAKVKMEIVVSKDQVEAVIDKIIEEARTGEIGDGKIFLIPVSDVI >DRNTG_08344.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3948342:3952798:-1 gene:DRNTG_08344 transcript:DRNTG_08344.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G20810) UniProtKB/TrEMBL;Acc:W8PV18] MRRRGFDFRRPARRRWISGGWVLWLVIGGLGVLMFLSLFSRESQPRSAPLVRQHHITTFVEGLNVTDEMLSPHSATRQVVDQISLAKSYVTIAKESNNIRFAGELSAQLHRSQDLLSSVAARGTQLTIEEAETTIRDMAFLLFQAQQLHYDSSSMIAKLKGQVQSFEEKSKSEAEKSTKYGQIAAEELPKGLYCLGLKLTMEWFQNSNAQRKLVEQRRVSERLKDNRLYHYCVFSDNILAASVVVNSTTLNSKHPDLIVFHLVTDDVNYAPMKAWFFLNNFHGATVEIQKVEDFSWLNASYVPVLKQLQDSETQNFYFSGSGDSRTPIKFRNPKYLSLLNHLRFYIPEVYPALEKVVFLDDDVVVQKDLSDLFSINLNGNVMGAVETCMETFHRFHKYLNYSHPLIRAHFDPDACGWAFGMNVIDLREWRKRNVTGIYHYWQERNKDHTLWKLGSLPPGLLAFYGLVETLDPKWHVLGLGYTTVEPSLIKEGAVLHYNGNMKPWLKIAMEKYKGLWDRYVDYSHPLLQRCFVH >DRNTG_08344.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3948439:3952798:-1 gene:DRNTG_08344 transcript:DRNTG_08344.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G20810) UniProtKB/TrEMBL;Acc:W8PV18] MRRRGFDFRRPARRRWISGGWVLWLVIGGLGVLMFLSLFSRESQPRSAPLVRQHHITTFVEGLNVTDEMLSPHSATRQVVDQISLAKSYVTIAKESNNIRFAGELSAQLHRSQDLLSSVAARGTQLTIEEAETTIRDMAFLLFQAQQLHYDSSSMIAKLKGQVQSFEEKSKSEAEKSTKYGQIAAEELPKGLYCLGLKLTMEWFQNSNAQRKLVEQRRVSERLKDNRLYHYCVFSDNILAASVVVNSTTLNSKHPDLIVFHLVTDDVNYAPMKAWFFLNNFHGATVEIQKVEDFSWLNASYVPVLKQLQDSETQNFYFSGSGDSRTPIKFRNPKYLSLLNHLRFYIPEVYPALEKVVFLDDDVVVQKDLSDLFSINLNGNVMGAVETCMETFHRFHKYLNYSHPLIRAHFDPDACGWAFGMNVIDLREWRKRNVTGIYHYWQERNKDHTLWKLGSLPPGLLAFYGLVETLDPKWHVLGLGYTTVEPSLIKEGAVLHYNGNMKPWLKIAMEKYKGLWDRYVDYSHPLLQRCFVH >DRNTG_08344.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3948537:3952798:-1 gene:DRNTG_08344 transcript:DRNTG_08344.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G20810) UniProtKB/TrEMBL;Acc:W8PV18] MRRRGFDFRRPARRRWISGGWVLWLVIGGLGVLMFLSLFSRESQPRSAPLVRQHHITTFVEGLNVTDEMLSPHSATRQVVDQISLAKSYVTIAKESNNIRFAGELSAQLHRSQDLLSSVAARGTQLTIEEAETTIRDMAFLLFQAQQLHYDSSSMIAKLKGQVQSFEEKSKSEAEKSTKYGQIAAEELPKGLYCLGLKLTMEWFQNSNAQRKLVEQRRVSERLKDNRLYHYCVFSDNILAASVVVNSTTLNSKHPDLIVFHLVTDDVNYAPMKAWFFLNNFHGATVEIQKVEDFSWLNASYVPVLKQLQDSETQNFYFSGSGDSRTPIKFRNPKYLSLLNHLRFYIPEVYPALEKVVFLDDDVVVQKDLSDLFSINLNGNVMGAVETCMETFHRFHKYLNYSHPLIRAHFDPDACGWAFGMNVIDLREWRKRNVTGIYHYWQERNKDHTLWKLGSLPPGLLAFYGLVETLDPKWHVLGLGYTTVEPSLIKEGAVLHYNGNMKPWLKIAMEKYKGLWDRYVDYSHPLLQRCFVH >DRNTG_08344.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3948537:3952798:-1 gene:DRNTG_08344 transcript:DRNTG_08344.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G20810) UniProtKB/TrEMBL;Acc:W8PV18] MRRRGFDFRRPARRRWISGGWVLWLVIGGLGVLMFLSLFSRESQPRSAPLVRQHHITTFVEGLNVTDEMLSPHSATRQVVDQISLAKSYVTIAKESNNIRFAGELSAQLHRSQDLLSSVAARGTQLTIEEAETTIRDMAFLLFQAQQLHYDSSSMIAKLKGQVQSFEEKSKSEAEKSTKYGQIAAEELPKGLYCLGLKLTMEWFQNSNAQRKLVEQRRVSERLKDNRLYHYCVFSDNILAASVVVNSTTLNSKHPDLIVFHLVTDDVNYAPMKAWFFLNNFHGATVEIQKVEDFSWLNASYVPVLKQLQDSETQNFYFSGSGDSRTPIKFRNPKYLSLLNHLRFYIPEVYPALEKVVFLDDDVVVQKDLSDLFSINLNGNVMGAVETCMETFHRFHKYLNYSHPLIRAHFDPDACGWAFGMNVIDLREWRKRNVTGIYHYWQERNKDHTLWKLGSLPPGLLAFYGLVETLDPKWHVLGLGYTTVEPSLIKEGAVLHYNGNMKPWLKIAMEKYKGLWDRYVDYSHPLLQRCFVH >DRNTG_27724.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:251949:252462:1 gene:DRNTG_27724 transcript:DRNTG_27724.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHIDSSRHTDSAESNEHGDFAAAHNQHEFLFSVGVMKHLDGDMPDHSCEHSANHSIESPHLSADTPTGLVKSRSLTNLRVDSDSSTDAAFASRIGGTTFKNLLRI >DRNTG_27724.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:251923:252462:1 gene:DRNTG_27724 transcript:DRNTG_27724.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHIDSSRHTDSAESNEHGDFAAAHNQHEFLFSVGVMKHLDGDMPDHSCEHSANHSIESPHLSADTPTGLVKSRSLTNLRVDSDSSTDAAFASRIGGTTFKNLLRI >DRNTG_27724.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:252519:253707:1 gene:DRNTG_27724 transcript:DRNTG_27724.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYDAEMRGNLQKVSYMQNCDDLTSDELKIKRIEEWISQIEIPSGFSVEEVGESSETASKKDSHAAAGASPGKPDARNSAGMEVASAYISSLSPAATSVQITNLGLVLVPILSSFAGLKVLNLSGNAIVRINAGVLPKSLQMLNLSKNNMAVIEGLRDLTRLRVLDLSFNRISRIGHGLASCSSLKELNLAGNKISEVEGLHRLLKLYFLDLRFNKISTSKGLGQLAANTSLQAINLEGNPAQRNVGDEQLKKYLLSLLPSLVYFNKQTIRASSSKEVASLQFERG >DRNTG_27724.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:252519:253620:1 gene:DRNTG_27724 transcript:DRNTG_27724.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYDAEMRGNLQKVSYMQNCDDLTSDELKIKRIEEWISQIEIPSGFSVEEVGESSETASKKDSHAAAGASPGKPDARNSAGMEVASAYISSLSPAATSVQITNLGLVLVPILSSFAGLKVLNLSGNAIVRINAGVLPKSLQMLNLSKNNMAVIEGLRDLTRLRVLDLSFNRISRIGHGLASCSSLKELNLAGNKISEVEGLHRLLKLYFLDLRFNKISTSKGLGQLAANTSLQAINLEGNPAQRNVGDEQLKKYLLSLLPSLVYFNKQTIRASSSKEVASLQ >DRNTG_27724.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:251949:253620:1 gene:DRNTG_27724 transcript:DRNTG_27724.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTSLNIKSVAGGDFSSGNCSISELGENTMYDAEMRGNLQKVSYMQNCDDLTSDELKIKRIEEWISQIEIPSGFSVEEVGESSETASKKDSHAAAGASPGKPDARNSAGMEVASAYISSLSPAATSVQITNLGLVLVPILSSFAGLKVLNLSGNAIVRINAGVLPKSLQMLNLSKNNMAVIEGLRDLTRLRVLDLSFNRISRIGHGLASCSSLKELNLAGNKISEVEGLHRLLKLYFLDLRFNKISTSKGLGQLAANTSLQAINLEGNPAQRNVGDEQLKKYLLSLLPSLVYFNKQTIRASSSKEVASLQ >DRNTG_27724.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:251949:253707:1 gene:DRNTG_27724 transcript:DRNTG_27724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTSLNIKSVAGGDFSSGNCSISELGENTMYDAEMRGNLQKVSYMQNCDDLTSDELKIKRIEEWISQIEIPSGFSVEEVGESSETASKKDSHAAAGASPGKPDARNSAGMEVASAYISSLSPAATSVQITNLGLVLVPILSSFAGLKVLNLSGNAIVRINAGVLPKSLQMLNLSKNNMAVIEGLRDLTRLRVLDLSFNRISRIGHGLASCSSLKELNLAGNKISEVEGLHRLLKLYFLDLRFNKISTSKGLGQLAANTSLQAINLEGNPAQRNVGDEQLKKYLLSLLPSLVYFNKQTIRASSSKEVASLQFERG >DRNTG_18175.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29134601:29135862:1 gene:DRNTG_18175 transcript:DRNTG_18175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKIRKCVPCLRFLYFVESRSFSSIRAKILTSVEESGSNLASDFQPESYLGVGKTVFSKCSYMWEKKAATLTENSSLQDLLLMSLDLFPETIRRFWRVSALKPEDFLEILLSCGPEMNLRKVDFLWKLFGWAARQGEGFHHLPRSYEIMLSMLIQEQMYDEAESLLLSDDTRGVVSGAGEMFSAVIQGYANASRMDDSIALYDNARNQGLVPSVSCFKALLNALIRNKNAEFAVRVYMDMIDVGHGLSSEEHILDFVVRELAKRGEML >DRNTG_01581.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5501793:5506293:-1 gene:DRNTG_01581 transcript:DRNTG_01581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFASDDGVGQFLWLPKSMKNGSIANNSEMKFGTHTGTHVDAPGHVFQHYFEAGFDVDTLDLAILNGPALLVDVPRDKNITAEVMESLHIPRGVRRVLFRTLNTDRKLMWKKEFDYTYVGFMKDGAQWLVDNTDIKLVGIDYLSVAAYDDLIPSHLVLLKNRDIILVEGLKLENVEAGIYTLHCLPLRLIGAEGSPIRCILIK >DRNTG_20314.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5687492:5690317:1 gene:DRNTG_20314 transcript:DRNTG_20314.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G05190) UniProtKB/Swiss-Prot;Acc:O23049] MASLSASIPSCNLKSGFLGEMRGIRIPSSSTSRVGFWKKPVECKESRIGKQPIEVPSNVTIMLEGQDLKVKGPLGELSRTYPREVKVDREDSGLLRVSKALDTRRVNQMHGLFRTLTDNMVVGVSKGFEKRLQMVGVGYRAVLEGNNLVLNLGFSHPVRMAIPDGLKVKVEENTKIVVSGYDKCSIGEFAASIRKWRPPEPYKGKGVRYADEVVRRKEGKAGKKK >DRNTG_00621.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22501074:22503692:-1 gene:DRNTG_00621 transcript:DRNTG_00621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLHPLLTLPAPPPPPRLTVVASLRPSLFSPTKLSIPRSPSLCLVSSKVFRRSELLIARASTEEGDGVVVEEFEALEDVVVDEEDEEGELKSSEGEVEERPPRKPRIKLGDIMGILNKRAIETSEQARPVPDLRTGDIVEIKLEVPENRKRLSVYKGIVMSKQNAGIHTTIRIRRIIAGVGVEIVFPVYSPNIKEIKVVKHRKVRRARLYYLRDKLPRLSTFK >DRNTG_04743.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:23407:60232:-1 gene:DRNTG_04743 transcript:DRNTG_04743.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLFNHGVFKRIRVLVIFDGTMKELPEVIYHLKHLQYLDLQGTVIKSISESVCGLYQLRVLKLPWDLLNVPNQIHSLINLEILHTGNGLMCMQLRNLNKLRGWLSIVALEIIRNKKEATKARLNERRHIEGLKLCWNIDKVKHCKHDVQEEVLEGLQPHPNLERLFIEAYMGSKTPSWLMTLALQKLQKLYLFKCRNWACLPATLGLLASLKVLHLEDIGNITIECDDSVPEMFPSLEQLELYSATVSFKSMSSSSSRSLTTTGRSNLFPRLQGLTVDKCDEVNGLLLPKLSTLEKLCLIDSCGLQSQVPRCLQNLTSLTSIYLCFIKGLKTETTTQIGAQQQHEGRVLPN >DRNTG_04743.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:23407:62678:-1 gene:DRNTG_04743 transcript:DRNTG_04743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLAQFVFHNREIKNHFDEKAWIYVSNHFDRCRITKEMLQVINPNVQHCSTSNLDFLERELQRHLTGKNFLLVLDDIWSNEWQWLLVPLQSSQAHVIKIIVTCRDPMVLRSTDERNKIILKDIDHQEYWSLFLNHAFAENNPDNYSQKLHDIGRCIVKKLMGSPLAAKTVGKLLGRDLTEKHWNDVLENDLWKLQIDAHDIMPALALSYYHLSPHLQPCFVFCSLMHNLNDQYCEMDELIFMWIANGYIHESGSNSKTMYDIGEEYCHELLAMGFFDKADSTTGFSTGFYESPDIRQGFFDRGDSTKVKMHDLMHDLAQLVSHGEICIYKNGKDEKLLKNARHVYVEGSVDPGLACEVNNLRTLVLGRVDAMLALFNHGVFKRIRVLVIFDGTMKELPEVIYHLKHLQYLDLLETSIKSISESVCGLYQLRVLKLPWDLLTVPNQIHSLINLEILHTGSRKNGLMCMQLRNLNKLRGWLSIVALEIIRNKKEATKARLNERRHIKGLELCWNIDKVEHCKHDV >DRNTG_04743.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:23407:62678:-1 gene:DRNTG_04743 transcript:DRNTG_04743.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLAQFVFHNREIKNHFDEKAWIYVSNHFDRCRITKEMLQVINPNVQHCSTSNLDFLERELQRHLTGKKFLLVLDDIWSNEWQWLLAPLQSSQAHVIKIIVTCRDPMVLRSTDERNKIILKGIDHQEYWSFF >DRNTG_19871.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001079.1:13229:13698:-1 gene:DRNTG_19871 transcript:DRNTG_19871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKLSSTSVCCPTKLCNLFFRELTRFARSSALSSGALAGRTEPRSARLMPSCFLSPVIVSIAASPPPKKRSKQEKNPRNPSTDRKRER >DRNTG_10847.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25054557:25055066:-1 gene:DRNTG_10847 transcript:DRNTG_10847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVWNSGVFLAEYCSSRPIPALFKADFSPYFSILFSIFSPTGERVSARVSRGIGQGFGEVLRLRHRDSIRKKGGRGASIEAYPIPDEGIFGRRVKNAPQDHRHNDLVGFFMDSLLLHSISVIVLSSMES >DRNTG_29380.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:458980:465271:-1 gene:DRNTG_29380 transcript:DRNTG_29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNTSSSSSSSSSSAAAHVLIICFPGQGHVTPMLSLAKLLSFSGVFTTFVNTESIHRRLSSSSDLTDRRRLRFRTVPDPLTGGVDEELGFSSKFINLQESMRSCSTEPYKKLLLTEELTGEWPPVTCVIADGILFYAIEVAGEVGIPTLVFRTSSLSSSWAYASLRTFMDQGIIPFPEKCDMDEIVKGVEGMEGILRRRDLPGFMKMVKSTEDNYIKIINGINSLLINGKALIFNSFDALDPTLLPIMSSYYPPIFTIGPLHLLTKFFNISNEKEQEQEKEPGKNSCSSSLRQEDHACMTWLDNQPDKSVVYVTFGTVAVMSLEQFTEFWHGLVNSGHRFLWAMREDMVKGKEEMEVTEELEEGTKERGCMVEWVPQEEVLAHRAVGCFLTHSGWNSTLEGMVAGVPMICFPYFSDQMVNSRFVSEVWRIGLDMKDTCDRNTVERMVREAMEGESALELRNSAARMADLARKSVEKNGTSCANFERLVSYIKSTALTGSS >DRNTG_09424.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21147037:21148868:-1 gene:DRNTG_09424 transcript:DRNTG_09424.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin TIP1-3 [Source:Projected from Arabidopsis thaliana (AT4G01470) UniProtKB/Swiss-Prot;Acc:O82598] MRGRRRRRGWLRWQWRMRFALFVAVSVGANISGGHVNPAVTFGALIGGNITFFRAMLYWIAQLLGSVVACLLLKFATGGMETAAFALAADVSAWRAVVLEMVMTFGLVYTVYATALDPKKGDIGVVAPIAIGFIVGANILAGGAFDGASMNPAVSFGPALVSGTWDNHWVYWLGPFAGAAVAALVYDLVFIGQRTHEQLPVVDY >DRNTG_04034.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11296982:11297448:1 gene:DRNTG_04034 transcript:DRNTG_04034.3 gene_biotype:protein_coding transcript_biotype:protein_coding RYQRALNNSVADSIYRSGDEIFTLLDGGAYIYFAGSMMMMPEIYATCEQIATERFVVWADMLARLRENDQWRVEVY >DRNTG_04034.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11295369:11297448:1 gene:DRNTG_04034 transcript:DRNTG_04034.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKADLCSVMDAKMRPGKGLGFVHPGSRIFNNLSLRNNASTSLPCLSLKNQKQHSNYNRMVLCMSARGATRLSAAAIPLEAEDTRPLRSDPGPTYTTTVVSNDTLVGPKGGLGETCHIVLDHGGSFTFVEGQYLGVILPSTKKMNYFSVASSDHDKTISLCVRRSQTTPDSISDYLCRSKAGDTISITGPYGGQMVFPNDPNAKHIMVTTTTGIAPCRSNLEGLFPISKSNAKFTGLAWLIAGAENYNSLLYNEEFLVILKDYPGQFRYQRALNNSVADSIYRSGDEIFTLLDGGAYIYFAGSMMMMPEIYATCEQIATERFVVWADMLARLRENDQWRVEVY >DRNTG_04034.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11295369:11296280:1 gene:DRNTG_04034 transcript:DRNTG_04034.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKADLCSVMDAKMRPGKGLGFVHPGSRIFNNLSLRNNASTSLPCLSLKNQKQHSNYNRMVLCMSARGATRLSAAAIPLEAEDTRPLRSDPGPTYTTTVVSNDTLVGPKGGLGETCHIVLDHGGSFTFVEGQYLGVILPSTKKMNYFSVASSDHDKTISLCVRRSQTTPDSISDYLCRSKAGDTISIT >DRNTG_01984.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13275930:13276678:-1 gene:DRNTG_01984 transcript:DRNTG_01984.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYHHDHMDRNLSTAYQHFLSLYLHSHLSELFSEPSGGNLQNWVHTHWNWF >DRNTG_35331.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002239.1:253:677:1 gene:DRNTG_35331 transcript:DRNTG_35331.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAVPPRYGWTGGEIGFDVYFSMARGNSSQPAMEMTKWFDTNYHFIVPELGPETKFSYASHKAVSEYKEAKAV >DRNTG_11173.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4355580:4356539:1 gene:DRNTG_11173 transcript:DRNTG_11173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSRLGKEIWEKKLANQKMEKRRNEGQRRRRPVEKEKDDKVATIAIARGCRYLIWKKEEEEEEEEGE >DRNTG_31297.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1189723:1192257:-1 gene:DRNTG_31297 transcript:DRNTG_31297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGLSEEALGQQWRAREDGGGFVTSPSRMPPSPFAFSTSPPLPFSSNPSSLLTTGSDPSLPIASSPITSSTSWSLWSCAALWSLWN >DRNTG_34645.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002169.1:10624:12378:1 gene:DRNTG_34645 transcript:DRNTG_34645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESITMEQSSLSLDLSVGSHWLSHQPMKATRNSNAITSGESKSKEVVNLRAELNRMNEENERLSEMLQNMCEKYSALQSQMMDIMSESGSEGGSAAPETSPEKKRKRHNSKTQNEIVSNAMDQSISSDECLKPIKDDQIKSKITKVYVQTNPSDTSLIVKDGYQWRKYGQKVTRDNPCPRAYFRCSFAPTCQVKKKVQRSAENQSIVEVTYEGEHTHNAPTSQSDTPKVKNNVVANFVPVSKPVTDEHIINIKKKPHLDFDLSATPPAELQYQLVEQMASSLTKDPGFTAALASAISGKILSFSPN >DRNTG_06546.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10287122:10290300:1 gene:DRNTG_06546 transcript:DRNTG_06546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGAVIACHTEADWRQQLQLANESNKLAVIDFTASWCGPCRVIAPFFVELAKRFTDVIFLKVDIDELKVVAQEWAIEAMPTFIFLKKGTILDKMVGARKDDLPKKIQEYMAK >DRNTG_00782.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:10507289:10542998:1 gene:DRNTG_00782 transcript:DRNTG_00782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVESPDSSPRASARVSRGIGQGFGEVLWLRHRDSIRKKVGRGPSIEAYPIPDEGILGRRVEDFPQDHRHDHRGEASPFPSDVIDSTSVPRVLCGHNGVNGLRDEPPLGPSCACNGVKR >DRNTG_03736.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18232787:18234074:1 gene:DRNTG_03736 transcript:DRNTG_03736.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGNFCTPVRNSTEELSPSQEGTGACAYPWARVCPVALPCGGTQAWNMAPQSKKQAKKRPRESSSEAESMRVTIPEEAAICELTLDVLSSFEFDRSYARFDSLDTVQFRAFGHYHSMSITQFSVRLCLYEEAFTDTKEYSQLPTDYPRALTPQRAYRALCG >DRNTG_17326.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31979635:31981089:1 gene:DRNTG_17326 transcript:DRNTG_17326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTGSLLQSPCKTLIFSIGNGNGHGFLACTPFSTRLRTSSSFSQTSSSRRLRSHGVVCGLLPVDPWAPSIDSQSIASQLFAFSLFPYLGFLYFITKSKTTPGLTLFGFYFLLAFVGATIPAGIYAKVHYGTSLSNVDWLHGGAESLLTLTNLFIVLGLRNALRKIKNEKKIASEVVSEVQEQQTSV >DRNTG_11074.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11277067:11277784:-1 gene:DRNTG_11074 transcript:DRNTG_11074.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHAPVRNFHTGVDNFHTPVWILCFSGFSVGCEHCCYSSCCIALLQSST >DRNTG_16257.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9579294:9581667:1 gene:DRNTG_16257 transcript:DRNTG_16257.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLCFPVFRFVPSNTIALNKDPDAAFFKKLDGFQPCEINELKAGTHIFAVYGDNFFKSVNYTIEVMCAEPFSTETEELRDVEAKILTKRVELSKFETEYREVLTQFTDMTSRYAQEMQAIDELLKEWNTIHASYTTICPLKRNSSNRKTRASSKRSTKAEEHQTKERKPGNRSKKKKWFNIHIKTEKKRHC >DRNTG_16257.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9574922:9581667:1 gene:DRNTG_16257 transcript:DRNTG_16257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPGFSSSKSEKKGVGVKQQRRDPYEVLNVPRNASDQEIKTAYRKLALKYHPDKNANDPTAADIFKEVTYSYNILSNPEKRHQYDTAGFEAIESESQELELDLSSLSTVNTMFAALFSKLGVPIKTTVSATVLEEALNGSVTISPLQLGQPLFRKVEKQCAHFYAVEIAEKEAEMGLVCRVHSTEKSKFKLLYFEQEGNGGLSLALQEDSVRTGKVTSAGMFFLCFPVFRFVPSNTIALNKDPDAAFFKKLDGFQPCEINELKAGTHIFAVYGDNFFKSVNYTIEVMCAEPFSTETEELRDVEAKILTKRVELSKFETEYREVLTQFTDMTSRYAQEMQAIDELLKEWNTIHASYTTICPLKRNSSNRKTRASSKRSTKAEEHQTKERKPGNRSKKKKWFNIHIKTEKKRHC >DRNTG_16257.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9579942:9581667:1 gene:DRNTG_16257 transcript:DRNTG_16257.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLCFPVFRFVPSNTIALNKDPDAAFFKKLDGFQPCEINELKAGTHIFAVYGDNFFKSVNYTIEVMCAEPFSTETEELRDVEAKILTKRVELSKFETEYREVLTQFTDMTSRYAQEMQAIDELLKEWNTIHASYTTICPLKRNSSNRKTRASSKRSTKAEEHQTKERKPGNRSKKKKWFNIHIKTEKKRHC >DRNTG_09387.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15629920:15631690:-1 gene:DRNTG_09387 transcript:DRNTG_09387.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNSNGARRSNKYPNLRTFSLPYPKGYNVPKFKQFNGIGNPDQHLAHFVTPCGDTSAKPSLLLRQFSASLTGVAFEWYASLQPDSIQNWQQLKDAFRVRFGGVTDKITIADLAATGQNKDEKVVDYIMRWRNLSVKCEQPLDQPQAVGLLLGNIDSW >DRNTG_13303.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2964554:2967217:-1 gene:DRNTG_13303 transcript:DRNTG_13303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSALRTRTTTIVRVPARNPRPEDRPENGRFNLRPEVRQRIVNKIVETLRRHFPIPYSEIFYFASRFEQKIYTSAMSRQDYLRKISLKMLSVETRIRNDSAANA >DRNTG_13303.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2964709:2967279:-1 gene:DRNTG_13303 transcript:DRNTG_13303.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLKNSIRPQNWEFVENRDLFRSRLKMPSSSALRTRTTTIVRVPARNPRPEDRPENGRFNLRPEVRQRIVNKIVETLRRHFPIPYSEIFYFASRFEQKIYTSAMSRQDYLRKISLKMLSVETRIRNDSAANA >DRNTG_13303.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2964709:2967217:-1 gene:DRNTG_13303 transcript:DRNTG_13303.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSALRTRTTTIVRVPARNPRPEDRPENGRFNLRPEVRQRIVNKIVETLRRHFPIPYSEIFYFASRFEQKIYTSAMSRQDYLRKISLKMLSVETRIRNDSAANA >DRNTG_13303.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2964651:2967217:-1 gene:DRNTG_13303 transcript:DRNTG_13303.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSALRTRTTTIVRVPARNPRPEDRPENGRFNLRPEVRQRIVNKIVETLRRHFPIPYSEIFYFASRFEQKIYTSAMSRQDYLRKISLKMLSVETRIRNDSAANA >DRNTG_13303.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2964554:2967217:-1 gene:DRNTG_13303 transcript:DRNTG_13303.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSALRTRTTTIVRVPARNPRPEDRPENGRFNLRPEVRQRIVNKIVETLRRHFPIPYSEIFYFASRFEQKIYTSAMSRVHSSSN >DRNTG_13009.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26677364:26678737:1 gene:DRNTG_13009 transcript:DRNTG_13009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLSLGVAPPSDHVQTEEIKPSSSNQKQPTVMSGVMGSLRVIELQLVAFIMVFSASGLVPFLDLIFPAFASGYILLISRLAFPAVRSRSNGGREIFNGGKLFRGYVVMGTTVGLFLPLAYVLGGFARGDDHAVRSATPHLYLLSFQILTENIISGLEIFSPPVRAMVPLLYTVRRIFVVLDWLYDVCLNKTLPATATFQDVAWMWFGRVLAMANMIYFCINLFGFLLPQFLPRAFEKYFKERDEISAKMAEDKRASAPNIHGGSQDHEANKSHETKKSD >DRNTG_09736.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1688169:1689092:1 gene:DRNTG_09736 transcript:DRNTG_09736.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCSCRRWQVYGIPCKHACAAIMQTDTNVH >DRNTG_11024.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:795915:796391:-1 gene:DRNTG_11024 transcript:DRNTG_11024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSFPPLSLHLYTPETHSQPPPPPAQPQPHFSSTLHSIKKPPVKSWRRSEQPPPRVYRVEPRGFRKLVQRLTGAPPKQAGSRPLRDTAPMPAPLELAPRPLPQPVQSQVELGPGPLLDNNGFMGFNISQPFYSGWCLSPLLSPGTLSALDHGSSPVL >DRNTG_26071.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:14477473:14480114:-1 gene:DRNTG_26071 transcript:DRNTG_26071.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERVQEIASLSILKDTIPPEFIRSEYEQPGITTFTGPVPNIPVIDLSKREALVQVIRNASEEWGIFQVVNHGIPEKVIKELQSVGKEFFELPLDEKEKYAVKPGSFEGYGTKLQKEPEGKKAWVDYLFHNVWPPDRVNHDIWPQNPPSYRETNEEYAKHLIRVVDDLLEILSEGLGLEKQVLKESVGGDGLEYLLKINYYPKCPRPDLALGVVAHTDMSAITILIPNEVPGLQVFKDDHWFDAKYVPNALVVHIGDQIEILSNGKYKSVLHRTTVNKDKVRMSWPVFCSPPEEMVVGPLKPLVNDDSPPKFKTKKYKDYQYCKLNKLPQ >DRNTG_23366.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5866198:5870947:-1 gene:DRNTG_23366 transcript:DRNTG_23366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTLYVQLSSNTVLSSGTNYYGITCFDVEREALLRFKAGVQCDNTTGHVIKLDLQGPHPFIIDGMGDPVMRNNSAGLGGKINPSLFSLKYLVHLDLSGNNFNYTPIPKFIGLLKELTYLNLSNACFGGTIPLSLGNLTKLQVLDLSSVPLENPLLKMHDAKWLFQLHALEDLDMSNVIFEKDASDQWVHALNSLPSIAKITLENCNLNQLPPTLHHVNFTSLSLFDLSDNKINCTIPSWLFKITSLQHLDLSWNFFHGHVPNSIGNMTSLRFLDLSANYDLHLNRDILLELKSLCKLQILNLEHMNIKHRFSDLGVIFSGCMKDSLEELRLNGNTLTGHLPGWIGNLTSLTFLDLSDNSFYGPLPLSFCQLSALQELRLGSNAFNGTVTEAHFHDFTRLEILDMSLNSLVFNLSADWVPQFQLKYILLRGISDTLPDWFWGITSNIFFLDLSNNEMKGRLPTSLESAYLEYFAPVMTQVFAAIDLSSNLLDGPIPKSIPKVGWLDLSNNSFTGSLPPKINEAMPKLYYINLSGNKINGTIPSKFCELQNLTALFLSENSLSGRIPDCWTQHSNLAILDFSFNHLSGHIPSSIFLPPALETLSLSNNHLSGHIHPSIRKCWKLYSLDLGYNMLRGNIPISLGESIRHLVILILASNHFNGNIPPQLFLLTALQVLDLSNNNLSGTIPKNIGNLTSMASGVGDGLATFDYSRYMFLTAKGLYLKYNALLTDMVLLDLSDNDLHGGIPYEIGKLISLHGLNLSGNHLTGEITDNIGSMNQLEFLDLSRNELLGAIPATLSKLNFLEILNLSYNHLSGEIPTGGQFNTFVDPSIYMDNDNLCGFALSKKCHDNNVSKKQRLKDEIDTDVNDPMWFCFGVMSGFVVGSWTESSGIAMPKNIKYLLRHLWGGFGGDGSDRDGDKVDKGAGKGLSDEGKRSFVQVVSLASSGDSRTSKRMAKRESKSRLPMEPQGGR >DRNTG_05192.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22634311:22635943:1 gene:DRNTG_05192 transcript:DRNTG_05192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIATKNMSISWPLLLVILGTLFDLSEAKAFFVFGDSLVDSGNNNYLESTARADSPPYGIDYPTHQPTGRFSNGLNLPDIISEYLGSESTLPYLSPELQGEKLLVGANFASAGIGILNDTGVQFISIIRITRQLEFFQQYQQRLSSLVGPDQTQQIVNNGLVLIALGGNDFVNNYYLVPDSARSQEYSLPEYVKFLISEYKKILARLYELGARRVMVTGTGPLGCVPSELALRSQNGECNPELQQAGDLFNPQLVTALNELNKQYGSDVFVTANAFKMHSDFLNNPQDFGFVTSKEACCGQGPYNGLGLCNQFSNLCPNRDTYAFWDAFHPTERATRLIASQFMTGSTEYMNPMNLSTILAMDARN >DRNTG_15722.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4320891:4324577:1 gene:DRNTG_15722 transcript:DRNTG_15722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAVLFTSTSPLNAFFRGGKTGFAPTPVGFLNFSAGSLTGMPRPLLSARGIFDGRLRFSGSGSKISRVPGVVYATAATEKSIYEFTVKDIDGKDVSLSKFKGKVLLIVNVASKCGLTTSNYTELSHIYEKYKNQGFEILAFPCNQFGGQEPGSNSQIKQFACTRFKAEFPIFDKVDVNGPNTAPVYQFLKSNAGGFFGDLIKWNFEKFLIDKNGKVVERYQPTTSPFQIEKDIQKLLAA >DRNTG_27841.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4666896:4668012:1 gene:DRNTG_27841 transcript:DRNTG_27841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCMMGRIFLVIWLFVVLVIHTSYIAGLISILTL >DRNTG_23572.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18224905:18225911:1 gene:DRNTG_23572 transcript:DRNTG_23572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHNPIMGPQYCLPYATDLVFSKTIAGVRHGELAVTDVNGKPLFWFDGSSKDNMWFLVDANSSSPLISLKRKKSWSCHDRWQVFRGASKKKRDLLFKLKRSSSFGFNTLWRVNLAANKTIENKYDFKIKGGYKKRSIKIYKGDTSIVVAQMRKEHKFVNLPWDKHAFVVNINPYTDHAFIVSLIVILHLHHLPKEVDSSMPEVIDAIAQVLQAASS >DRNTG_16517.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5363599:5364037:-1 gene:DRNTG_16517 transcript:DRNTG_16517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVEQGFKVDKGFKPQAFHAAIIAIKNGFGVKVTEANVTNHLRTIRKLWARINKLKELSGMGWDNRLKMIIMGESEFRNYVQAHPQDEPYLNKPIEDHDLLEIICGND >DRNTG_26671.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1054824:1057844:1 gene:DRNTG_26671 transcript:DRNTG_26671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQETSQEKAVLDVNLAAAKQIARELRLRDIGGIIVVDFIDMADESNRRLVYEEVKKAVEKDRSMVKVSELSKHGLMEMTRKRVRPSVTFMISEPCTCCHGTGRVEALETSFSKIEHEICRLLAASYKKPDPENAKSWPRFVLRVDRHMCNYLTSGKRTKLAVLSSSLKVWILLKVARGFSRGMFEVKPFTDEQENDDHQVAISRLQRTKAKTFIPNTKLTLFPIKKWKTRGK >DRNTG_21675.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10937897:10938601:-1 gene:DRNTG_21675 transcript:DRNTG_21675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKFLNLLLFFLLLHHHHHHQTSADVGTAAYYGPPYLPTVCYGDDPSQFPADNIFAAAGDGIWDNGACCGRQYLVRCLSSSPESCIADQTIRVEVINQASMLDSNPSLNGTTMTLSDAAFLQIANKSSPVINIEFTQI >DRNTG_06950.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:814713:815755:1 gene:DRNTG_06950 transcript:DRNTG_06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATLGDDQQMEVGIGQTLIGSGSELQEMVSWRMRRMFSFIIAAMVFDEMPLIIAAIKARKLLHVNSVALVAEELAKLYAKNLPMYHNADLEGIAALCNGYAGANLVGDFARSEVGASITRGACASKEVSKFSWDDIRGYHWCGVFSYMDLWAAQTPSIIFFDKAVAVAPKCGDHGGNLISNATIGERLLPTLLVEMDGLE >DRNTG_13559.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29441895:29442275:-1 gene:DRNTG_13559 transcript:DRNTG_13559.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHAAVIQEGDVAMDSSIARPWSLCTAEEVEDLKTLIRIVPLWTSTIFISVCIATQASLSILQALNMDRSLGAHFSVPAGSFSVTT >DRNTG_05755.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:4218343:4218768:1 gene:DRNTG_05755 transcript:DRNTG_05755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYTPVRKFRTAVDIHMPNSQGRSHTPMSSRDGEDSSAEFRTGVRKLPRPGQPHAPVPSLDELAINTHGLAEITHVRVFSLDDLEKSASSAEIL >DRNTG_18599.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22191219:22198362:-1 gene:DRNTG_18599 transcript:DRNTG_18599.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSEAKVEQIYVFSCQFFNTMNGVRIKTWQNPIVINQFYCDYANDGCPIKSSAVRVSDVRYIDVGGTTNSKLAINLNCSQSMQCTEILMKMVNIQGTDAGVKAESFCINASGRKQGYVMPEVPCLTSLLRSMGKDMRITIGSRSTMLMASLWMDMVSLMAEVPSGGHANFKTRVEINGVTITSPGDSPNTDGIYQLGDSLHVQVMTDCTIATGHGISIGSLGIDGSTSRVVLVHVFSCNLFNITNGVRIKTCMAGRLVAGVTSVYNVVDFGAVGDGKSDDSKSMVFIQCNNLKVKEIKLKDSPGKHLSIDASIGVEINGVTITSPGDSPNTDGIYVADSQHVLITGCTIASGDDCIAIGSGCVDVNVTQITCGPGHGISIGSLGMDGSTSLVDLVQVSVCNLFNTTNGVRIKTWQVIN >DRNTG_24016.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16982784:16983644:1 gene:DRNTG_24016 transcript:DRNTG_24016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAGSKVIPQDELDSSCLEIAINEVLGYEKLMAEMSEKALSVARPNASAEIAQDMLSLIRVSAP >DRNTG_08041.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30271633:30273363:-1 gene:DRNTG_08041 transcript:DRNTG_08041.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATLIVPEHVPSPVEDAEQLRKAFQGWGTDDKTVIAILAHRNSTQRKHIQEAYEELYKECLTKRLESELNGEYEKAVYRWMFNPIEREAVLANIALKKSVDNPVIIEIACINSPADLLVVKQAYQALYKHSLEEDVAARSSGDLRKLLTGLVGTYRYNGDEIDVKLAQSEALILHEAIKRKNYNHEDIIRILTTRSKAQLNATFNRYKDEHAMTITKALSADSANDFVSALYVTIKCIVSPLKYHEKLLRNALNKSDDDSLTRVIVTRAEKDLNEIKELYLKRTNITLEQSISKKESGHYRSFLLALLGN >DRNTG_08041.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30270995:30273363:-1 gene:DRNTG_08041 transcript:DRNTG_08041.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLIVPEHVPSPVEDAEQLRKAFQGWGTDDKTVIAILAHRNSTQRKHIQEAYEELYKECLTKRLESELNGEYEKAVYRWMFNPIEREAVLANIALKKSVDNPVIIEIACINSPADLLVVKQAYQALYKHSLEEDVAARSSGDLRKLLTGLVGTYRYNGDEIDVKLAQSEALILHEAIKRKNYNHEDIIRILTTRSKAQLNATFNRYKDEHAMTITKALSADSANDFVSALYVTIKCIVSPLKYHEKLLRNALNKSDDDSLTRVIVTRAEKDLNEIKELYLKRTNITLEQSISKKESGHYRSFLLALLGN >DRNTG_08041.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30271633:30274103:-1 gene:DRNTG_08041 transcript:DRNTG_08041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYYIIEQEESLFQKPQGVMFNANAQNPVSLNPRGSCFLLVLYILHICIALFSSSLVSEIRRFIEREKQMATLIVPEHVPSPVEDAEQLRKAFQGWGTDDKTVIAILAHRNSTQRKHIQEAYEELYKECLTKRLESELNGEYEKAVYRWMFNPIEREAVLANIALKKSVDNPVIIEIACINSPADLLVVKQAYQALYKHSLEEDVAARSSGDLRKLLTGLVGTYRYNGDEIDVKLAQSEALILHEAIKRKNYNHEDIIRILTTRSKAQLNATFNRYKDEHAMTITKALSADSANDFVSALYVTIKCIVSPLKYHEKLLRNALNKSDDDSLTRVIVTRAEKDLNEIKELYLKRTNITLEQSISKKESGHYRSFLLALLGN >DRNTG_10145.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19206981:19221631:-1 gene:DRNTG_10145 transcript:DRNTG_10145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLTLSFLILLPLLFLNPNLSSLAQSFKQNNNIILLSCGLPTPNSSDGTRTWTSDKGTIYSPSLNSDGAFNANSQQSGVPQVPYLTARIFTSNFTYRFPLKPGRIFLRLYFYPSDYSHFSASNALFSVTAGTTTLLNNFSVSQTADALTYSYLILEFSLNITSSPLNLTFSPSPSSNRSYYALINGIEIVSSDGLFTFNSSGIVNGASPLLVSGGSVMPYFFDPSWALQTVYRLNVGGNDISPEQDSGTLYRSWKKDDMYIEGAGYGVTFTADYNVSINYSKSLPEYIAPKTVYSDARSMGPNPAINLQSNLSWILPVDNGFYYLVRFHFCEIQNEFTVTNQRSFYIYINNQTAQAETDVIAMSGGLGNPIYQDFVTVMPGKGRSNLWIELHPDTTTKPEYYDAILNGLEVFKLEDGNDNLAGPNPDPASKSDGDGSRVFPAKLSSHNDSHKVPVIAGGVVGGAAVIVSILLFIFRRRLKKKTVAGAGHDKEPPSWSPLAVFDTSHSKTSGETATTGSTPKSFPSNLCRHFTFTEILVATDGFSEDLLIGVGGFGKVFKGELPGVGGRTTMVAIKRGNPMAEQGVHEFQTEIEMLSKLRHRHLVSLIGYCDDNNEMILVYDFMSHGTLREHLYKSSRPPLPWKVRLEICIGAARGLHYLHTGAKNTIIHRDVKTTNILLDDKWIAKVSDFGLSKADLSLDNTHVSTVVKGTMGYLDPEYYRRQQLTEKSDVYSFGVVLLEVLCARPPIMTSLPREQVSLAEWVMQCKEKGMLESIIDPYLDGRIARQCLKTYAETAGKCLSDKGSERPSMGDVLWNLEFALQLQESAEDSSGLIGKGSSKGNGGDGGSGGGGLDSRSGEEMSITTSSSNVISGTTSSSEQSFGSQGSSGLTPTGVFSMLANPKGR >DRNTG_10145.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19206981:19221160:-1 gene:DRNTG_10145 transcript:DRNTG_10145.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYFFDPSWALQTVYRLNVGGNDISPEQDSGTLYRSWKKDDMYIEGAGYGVTFTADYNVSINYSKSLPEYIAPKTVYSDARSMGPNPAINLQSNLSWILPVDNGFYYLVRFHFCEIQNEFTVTNQRSFYIYINNQTAQAETDVIAMSGGLGNPIYQDFVTVMPGKGRSNLWIELHPDTTTKPEYYDAILNGLEVFKLEDGNDNLAGPNPDPASKSDGDGSRVFPAKLSSHNDSHKVPVIAGGVVGGAAVIVSILLFIFRRRLKKKTVAGAGHDKEPPSWSPLAVFDTSHSKTSGETATTGSTPKSFPSNLCRHFTFTEILVATDGFSEDLLIGVGGFGKVFKGELPGVGGRTTMVAIKRGNPMAEQGVHEFQTEIEMLSKLRHRHLVSLIGYCDDNNEMILVYDFMSHGTLREHLYKSSRPPLPWKVRLEICIGAARGLHYLHTGAKNTIIHRDVKTTNILLDDKWIAKVSDFGLSKADLSLDNTHVSTVVKGTMGYLDPEYYRRQQLTEKSDVYSFGVVLLEVLCARPPIMTSLPREQVSLAEWVMQCKEKGMLESIIDPYLDGRIARQCLKTYAETAGKCLSDKGSERPSMGDVLWNLEFALQLQESAEDSSGLIGKGSSKGNGGDGGSGGGGLDSRSGEEMSITTSSSNVISGTTSSSEQSFGSQGSSGLTPTGVFSMLANPKGR >DRNTG_10145.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19206981:19220465:-1 gene:DRNTG_10145 transcript:DRNTG_10145.4 gene_biotype:protein_coding transcript_biotype:protein_coding MITCLMVLYVNTSARALNLHCHGNCVWKYASVRHEVFHYLHTGAKNTIIHRDVKTTNILLDDKWIAKVSDFGLSKADLSLDNTHVSTVVKGTMGYLDPEYYRRQQLTEKSDVYSFGVVLLEVLCARPPIMTSLPREQVSLAEWVMQCKEKGMLESIIDPYLDGRIARQCLKTYAETAGKCLSDKGSERPSMGDVLWNLEFALQLQESAEDSSGLIGKGSSKGNGGDGGSGGGGLDSRSGEEMSITTSSSNVISGTTSSSEQSFGSQGSSGLTPTGVFSMLANPKGR >DRNTG_10145.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19206981:19210149:-1 gene:DRNTG_10145 transcript:DRNTG_10145.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLTLSFLILLPLLFLNPNISSSADQSSEQNNNIILLSCGLPTPNRSDGTRTWTSDNGTIYSPSLNSDGTFNANSQQSGVPQVPYLTARIFTSNFTYRFPLKPGRIFLRLYFYPSDYSHFSASNALFSVTAGTTTLLNNFSVSQTADALTYSYLILEFSLNITSSPLNLTFSPSPSSNRSYYALINGIEIVSSDGLFTFNSSGIVNGASPLLVSGGSVMPYFFDPSWALQTVYRLNVGGNDISPEQDSGTLYRSWKKDDMYIEGAGYGVTFTADYNVSINYSKSLPEYIAPKTVYSDARSMGPNPAINLQSNLSWILPVDNGFYYLVRFHFCEIQNEFTVTNQRSFYIYINNQTAQAETDVIAMSGGLGNPIYQDFVTVMPGKGRSNLWIELHPDTTTKPEYYDAILNGLEVFKLEDGNDNLAGPNPDPASKSDGDGSRVFPAKLSSHNDSHKVPVIAGGVVGGAAVIVSILLFIFRRRLKKKTVAGAGHDKEPPSWSPLAVFDTSHSKTSGETATTGSTPKSFPSNLCRHFTFTEILVATDGFSEDLLIGVGGFGKVFKGELPGVGGRTTMVAIKRGNPMAEQGVHEFQTEIEMLSKLRHRHLVSLIGYCDDNNEMILVYDFMSHGTLREHLYKSSRPPLPWKVRLEICIGAARGLHYLHTGAKNTIIHRDVKTTNILLDDKWIAKVSDFGLSKADLSLDNTHVSTVVKGTMGYLDPEYYRRQQLTEKSDVYSFGVVLLEVLCARPPIMTSLPREQVSLAEWVMQCKEKGMLESIIDPYLDGRIARQCLKTYAETAGKCLSDKGSERPSMGDVLWNLEFALQLQESAEDSSGLIGKGSSKGNGGDGGSGGGGLDSRSGEEMSITTSSSNVISGTTSSSEQSFGSQGSSGLTPTGVFSMLANPKGR >DRNTG_10145.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19206981:19221160:-1 gene:DRNTG_10145 transcript:DRNTG_10145.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPYFFDPSWALQTVYRLNVGGNDISPEQDSGTLYRSWKKDDMYIEGAGYGVTFTADYNVSINYSKSLPEYIAPKTVYSDARSMGPNPAINLQSNLSWILPVDNGFYYLVRFHFCEIQNEFTVTNQRSFYIYINNQTAQAETDVIAMSGGLGNPIYQDFVTVMPGKGRSNLWIELHPDTTTKPEYYDAILNGLEVFKLEDGNDNLAGPNPDPASKSDGDGSRVFPAKLSSHNDSHKVPVIAGGVVGGAAVIVSILLFIFRRRLKKKTVAGAGHDKEPPSWSPLAVFDTSHSKTSGETATTGSTPKSFPSNLCRHFTFTEILVATDGFSEDLLIGVGGFGKVFKGELPGVGGRTTMVAIKRGNPMAEQGVHEFQTEIEMLSKLRHRHLVSLIGYCDDNNEMILVYDFMSHGTLREHLYKSSRPPLPWKVRLEICIGAARGLHYLHTGAKNTIIHRDVKTTNILLDDKWIAKVSDFGLSKADLSLDNTHVSTVVKGTMGYLDPEYYRRQQLTEKSDVYSFGVVLLEVLCARPPIMTSLPREQVSLAEWVMQCKEKGMLESIIDPYLDGRIARQCLKTYAETAGKCLSDKGSERPSMGDVLWNLEFALQLQESAEDSSGLIGKGSSKGNGGDGGSGGGGLDSRSGEEMSITTSSSNVISGTTSSSEQSFGSQGSSGLTPTGVFSMLANPKGR >DRNTG_17283.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18492617:18493273:-1 gene:DRNTG_17283 transcript:DRNTG_17283.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVGEVVSLPINQEILALGAFKRSIFEDPLSVLSDWNSFDENPCGWSGVTCLKPQNRVVNL >DRNTG_13744.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5555990:5556627:1 gene:DRNTG_13744 transcript:DRNTG_13744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAGSRPPNCAHKCGECKPCLAMQVPTITYQQFPYYEPEGWKCQCGSSVFNP >DRNTG_30163.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16602874:16609140:-1 gene:DRNTG_30163 transcript:DRNTG_30163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGRAAAPVSRAHGRGYFAHTHLFAFRGSECFPESAQGCASAPVTLSCGDARAWKQADKRPRESSPESESMRFTIPEHQAHFERLSRLQFRQTREPAIRELTLEVLSSFEFDRSYERFNDLDVVQFRALAHHYSLSITQFSVQLSLALCGQAQYELGMSKATCLSRPTYRYLHAIMSRSVNGRGDSTAILSRLELLSIYYEISSGYGSLRRDSRGREDESTYAPEHRDDEIDGHGP >DRNTG_33183.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23529165:23533045:1 gene:DRNTG_33183 transcript:DRNTG_33183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLDATRAELALLVLYLNKAEARDKICRAIQYGSKFLSNGQPGTAQNVDKSTSLARKVFRLFKFVNDLHALIAPPSKETPLPLILLGKSKNALLSTFLFLDQIVWLGRTGVYKNKERAELIGRISLFCWMGSSACTTVIELSELVRISKSIEKLEKELKLSDKYKDEVYRNKVKQSNERLLALVKASMDLVVAIGLLQLAPKKITPRVTGGFGFLSSLISCYQLLPSSAKSKTT >DRNTG_02173.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1439892:1441075:1 gene:DRNTG_02173 transcript:DRNTG_02173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSLEPEGTEQENFRANFKIISSCFASLPFKIPGTAFYRGLEARNRMYAMLDNIISRRRSGEDIQHDFLQTLLRKHSRAAGETDEDSEKLTDSQLKDNILTLLVAGHDTTTSALTWLVKFLGENPDVLQKLREEHKGIQERRNGGMHLTWSEVHTMPYTNKVISETLRRATILPWYSRKAAQDFNIDGYDIKEGWSVNLDVVSIHHDPKFFPNPEKFDPSRFDEPLKPFSFLGFGSGPRMCPGLNLAKLEICV >DRNTG_02173.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1439892:1440936:1 gene:DRNTG_02173 transcript:DRNTG_02173.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSLEPEGTEQENFRANFKIISSCFASLPFKIPGTAFYRGLEARNRMYAMLDNIISRRRSGEDIQHDFLQTLLRKHSRAAGETDEDSEKLTDSQLKDNILTLLVAGHDTTTSALTWLVKFLGENPDVLQKLREEHKGIQERRNGGMHLTWSEVHTMPYTNKVISETLRRATILPWYSRKAAQDFNIDGYDIKEGWSVNLDVVSIHHDPKFFPNPEKFDPSRFDVSLHLWLTVR >DRNTG_16565.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19539077:19542223:-1 gene:DRNTG_16565 transcript:DRNTG_16565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEHRNASKAIASSIALHPRMKPRPLDILVLLLFLFPRFHLICNADTEAVALLKWKSSLFNPESLSSWSQTNGTSHCRWSGITCNSANRIVKLSLPNRSLNGTLDELDLTSLPNLTKLDLSRNMLTGSIPRNLSALSRLTSLDISHNGLETSDFSKFKVMPTLTYLSLRSNFLTLEFPSFILNCTNLTVLDLSYNGLKGTIPDSLGTNLVKLQYLNLSLNSFSGSIPSSIGNLVHLQKMILNGNKLSGLIPTEIGNMASLEQLDMNSNFLEGQLPSTMAQLEYLMYLSLSYNKLNGSIPTGLGKGGLLYVAKFWDNRFSGELPESLCSGFKLQHLNVGNNNFYGALPYWLRNCTGLVKIVFNDNYFTGDISKAFGVHPNLKYLYLNGNQLTGTLSTEWGPCASLTSFIINGNHISGEIPKEFGNITRLRDLNLASNLLTGEIPDELGNLSSLRSLNLNNNMLSGHIGRISRLTQLFHLKLSGNKLLGQIAAELGNSSGLHYLDLSHNLLEGHIPSTIGGMVKLEDLHLSGNKFSGEISEKLGDLKNLMHLDLSSNELSGSIPSNLARLTALKLLNVSHNNLSGHIPEAFSDMYSLCSIDFSYNMLTGPIPSGEVFHNASKAYVGNDGLCGDAVGLLQCGFSPSDQGSHKKHATLLITITVPVAGCSLMLLVAIALACSRQRTSKVAETENYSLVWDMGLKFKFTDVMEAIDNFNEAYCIGKGSFGVVYKAELPSGQVLAVKRHHFSDESDIQENNVRSFLNEIQILLGVRHRNIVKLHGSCMRKGVMYLVYDYVERGSLGDVLYNVLGGLTFDWAMRVKVIHGVAHALAYLHNDCSPSIVHRDISINNVLLDDDFEPKLCDFGTAKLLTHDASSCTAVVGSYGYIAPELAYMTKFTDKCDVYSFGVVTLEVMMGIHPGELLLNLPSMSSSSQGNDQLLKDVLDHRLLPPTGQLAEQVVFIVKIALACIQTDPASRPAMLSIAQELSTMRKSYLSEPLGTITIKDLLQVSRRGEVLK >DRNTG_26292.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22917761:22924045:-1 gene:DRNTG_26292 transcript:DRNTG_26292.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGDILDEYISLKEQRLMVDQEKRRLEMALRGIQDVMRVYYSAGAVSTSLPSSPPLLPNVMAISTGPFFPAPNYSAGSPSGHMMQGPLVVNNTVQPMLQHKTSEHKISSVNKRKLDRKLPPVQKKSRVQSPSGGEGNALSSDVPHTENNENMGKLVDVHSASMIDPKTVSPIQDTSAAQSSVKHPSECGRAS >DRNTG_26292.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22917761:22924356:-1 gene:DRNTG_26292 transcript:DRNTG_26292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRNQAEKRGNLGKGKVTPVQIAFIVGRYLTDNNYTNTLSAFKSEAADLFSKTLGKEAPKGLMGLGDILDEYISLKEQRLMVDQEKRRLEMALRGIQDVMRVYYSAGAVSTSLPSSPPLLPNVMAISTGPFFPAPNYSAGSPSGHMMQGPLVVNNTVQPMLQHKTSEHKISSVNKRKLDRKLPPVQKKSRVQSPSGGEGNALSSDVPHTENNENMGKLVDVHSASMIDPKTVSPIQDTSAAQSSVKHPSECGRAS >DRNTG_01562.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:9026368:9032975:-1 gene:DRNTG_01562 transcript:DRNTG_01562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVILHPDVSSLSPSRPKLETNATRIAEREGRSLREMKMVLLHYPNPPLLPIYANLSMRWHLDKNTQEEFLKLPSISMNVSRNIVCMRNHANSKKSVYQATGPLVTRPAFGHQPPATGPTGCPADLRRPATIPTNLQRPVTGPPAVRSPVHRPPVTCRQSTGGPTNRPSASGDTRAIHRWSGHRTLASGDMTVVHW >DRNTG_16032.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:342469:345340:1 gene:DRNTG_16032 transcript:DRNTG_16032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNHGVRGSNPSSPTTGQKGKDLSFTYRGRKIMIGIADTKLLNYGSFVEMEWPYLFFLFIVNALIITYSNQGPRISSSSELGLWKKEPRRSSASSIG >DRNTG_31305.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3906507:3910271:-1 gene:DRNTG_31305 transcript:DRNTG_31305.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:YSL1 [Source:Projected from Arabidopsis thaliana (AT4G24120) UniProtKB/TrEMBL;Acc:A0A178V2Q5] MEDQKEREEIEKGEDLEVQEKERGTEDWKKIPPWSKQLTLRGVVASIAIGIMYSVIVMKLNLTTGLVPTLNVSAALLAFVFLRTWTKLAGKVGFISTPFTRQENTVVQTCAVACYSIAVGGGFGSYLLGLNKKTYEQAGVDTEGNAPGSYKEPGMGWMTGFLFTASFAGLLALVPLRKIMIIDYNLSYPSGTATAVLINGFHSPDGNEMAKKQVHGFAKYFTISFLWSFFQWFFSGGDVCGFSQFPTFGLKAWKQTFFFDFSLTYVGAGMICSHLVNLSLLLGAVLSWGVMWPLISELKGDWYPNTLPESSMRSLQGYKVFISIALILGDGLYNFFKIFYLSTRSMHERSKCKFLKTVADRDSFAIHDDFKRNELFARETIPLWLAFSGYVFFTVISVIAIPLMFSQVKWYYVITAYILAPCSGLLQCLWRRSHRHEHGLQLWKSVSIHSCSLGGKRLRSGCRPCWLWTNQISSIHFGRPDA >DRNTG_31305.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3906507:3910271:-1 gene:DRNTG_31305 transcript:DRNTG_31305.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YSL1 [Source:Projected from Arabidopsis thaliana (AT4G24120) UniProtKB/TrEMBL;Acc:A0A178V2Q5] MEDQKEREEIEKGEDLEVQEKERGTEDWKKIPPWSKQLTLRGVVASIAIGIMYSVIVMKLNLTTGLVPTLNVSAALLAFVFLRTWTKLAGKVGFISTPFTRQENTVVQTCAVACYSIAVGGGFGSYLLGLNKKTYEQAGVDTEGNAPGSYKEPGMGWMTGFLFTASFAGLLALVPLRKIMIIDYNLSYPSGTATAVLINGFHSPDGNEMAKKQVHGFAKYFTISFLWSFFQWFFSGGDVCGFSQFPTFGLKAWKQTFFFDFSLTYVGAGMICSHLVNLSLLLGAVLSWGVMWPLISELKGDWYPNTLPESSMRSLQGYKVFISIALILGDGLYNFFKIFYLSTRSMHERSKCKFLKTVADRDSFAIHDDFKRNELFARETIPLWLAFSGYVFFTVISVIAIPLMFSQVKWYYVITAYILAPCSGLLQCLWRRSHRHEHGLQLWKSVSIHSCSLGGKRLRSGCRPCWLWTNQISSIHFGRPDA >DRNTG_31305.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3906507:3910271:-1 gene:DRNTG_31305 transcript:DRNTG_31305.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:YSL1 [Source:Projected from Arabidopsis thaliana (AT4G24120) UniProtKB/TrEMBL;Acc:A0A178V2Q5] MEDQKEREEIEKGEDLEVQEKERGTEDWKKIPPWSKQLTLRGVVASIAIGIMYSVIVMKLNLTTGLVPTLNVSAALLAFVFLRTWTKLAGKVGFISTPFTRQENTVVQTCAVACYSIAVGGGFGSYLLGLNKKTYEQAGVDTEGNAPGSYKEPGMGWMTGFLFTASFAGLLALVPLRKIMIIDYNLSYPSGTATAVLINGFHSPDGNEMAKKQVHGFAKYFTISFLWSFFQWFFSGGDVCGFSQFPTFGLKAWKQTYIFLILLFYLLSFHMFCTIRAMTVLTILLADFFLISASLTLEQE >DRNTG_31305.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3906507:3910271:-1 gene:DRNTG_31305 transcript:DRNTG_31305.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:YSL1 [Source:Projected from Arabidopsis thaliana (AT4G24120) UniProtKB/TrEMBL;Acc:A0A178V2Q5] MEDQKEREEIEKGEDLEVQEKERGTEDWKKIPPWSKQLTLRGVVASIAIGIMYSVIVMKLNLTTGLVPTLNVSAALLAFVFLRTWTKLAGKVGFISTPFTRQENTVVQTCAVACYSIAVGGGFGSYLLGLNKKTYEQAGVDTEGNAPGSYKEPGMGWMTGFLFTASFAGLLALVPLRKIMIIDYNLSYPSGTATAVLINGFHSPDGNEMAKKQVHGFAKYFTISFLWSFFQWFFSGGDVCGFSQFPTFGLKAWKQTFFFDFSLTYVGAGMICSHLVNLSLLLGAVLSWGVMWPLISELKGDWYPNTLPESSMRSLQGYKVFISIALILGDGLYNFFKIFYLSTRSMHERSKCKFLKTVADRDSFAIHDDFKRNELFARETIPLWLAFSGYVFFTVISVIAIPLMFSQVKWYYVITAYILAPCSGLLQCLWRRSHRHEHGLQLWKSVSIHSCSLGGKRLRSGCRPCWLWTNQISSIHFGRPDA >DRNTG_05591.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11165573:11169345:1 gene:DRNTG_05591 transcript:DRNTG_05591.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATPTYPQQLSPYQPQVSQFQPQQQPKRNFTTEDILARFMIGTELRFTHNEKKLDELDTVLRSVQTSIQALENQFKQLVRANSEPSSSSLLSNIENSPSETLKAVNFSGEKQVEIVAKESLSVMEDRVKQDSVDSIMDVETCEEVEEAQSTTIEEATCLNIDLSINLPILVKCKNKILGIVFEDVGRKLRSSLNPPMPGLDNSQPKIFPWRPKQMLWALDVHHTMVEKQIVDRILKPPIDPPIQSLTSSQPNLFPWRPKQHLWVVQAILKWVEEANTGRRLKPSKDPPMPSLNNSRPKLFPWRPKGDSCLTSKFASSRRCANCAKCGEKLLCVRKIPRTILAAARMPLGTHPESLEDHPCVRKDAPQDYPTSKRAGGDGSLPPFLTRSPRGSATRLGVNSATKWHFRSEINASKRSAAYAMQRSHDLITGFS >DRNTG_07369.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:203493:215612:-1 gene:DRNTG_07369 transcript:DRNTG_07369.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMVLLLSLLTWWELLVFFAPELARTLKVTTSIDVFAFGSFLFEVACGRRAIEPNKQESEQVLVDWVFANWKMGTIHETKDLRLGEDYVLEELDLVLKLGLFCSHPLPSARPRKATDVHCFCGRFIYLISEQQVPNMISQLLRVALLCRLLMHITASAGDHEFTFNGFSNANLSLDGDANLAANGLLQLTNATRQSKGQTFYPSPLHFKMSKSTSVRSFSTTFVFAIVTKYAGLSSYGFTFCISPTRALHGDSGHYMGLFNSTNNGLFSNHIIGVEFDTIQTPEFHDIDDNHVGIDIHSEISNSSHSAGYYPGDANAEFQNMSLSSGQRMQVWIEYDSKALQLNVTLAPFQLPMPKRSLLSLDIDLSSHISQEMYVGFTASKGDDLTTHCILGWSFKMDGNATALDLDRLPSLPTLSTNKKGKSKTWTIWLSVSAFLGLLTAALIIKYVAARRSKFAEVREDWEQEYGPHRFPYKVLYEATDGFKDEYFLGFGGFGSVYRGVLPTTKAEVAVKKVSHESRQGMREFVAEVVSLGQIRHRNLVNLLGYCRGKAELILVYEFMPNGSLDKYLFSKATPCLDWNCRFRIIKGVASGLLYLHEEWVKVVIHRDIKASNVLLDCEFNARLGDFGLARLCDHGTDFQRTHVMGTMGYLAPELVRRGRATTSSDVFAFGVFLLEVACGRRPIEPNVDGDGDGEDFVLAEWVLDNWRRGDILASSDGGLDKQFVVEEMELVLKLGL >DRNTG_30856.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001636.1:35643:37317:-1 gene:DRNTG_30856 transcript:DRNTG_30856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNFLQSLQVFLSHPVKTHGLVEFPHARGFGLRAHLEKAQGRAAAPVNDHANIARPWVISARACDVLHSLSNYPESAQGRELAPVGDLVKTARAWIISACLCESLQRSPLYPEKTQGMCLPLEATGACVYPCMATKSKKAVAKCPRGPAPEREVMEFTLPAHRARFERLAKLKFGQMRIPDVGSLRKVQLADDMVDEVEKLLLVSSWHKLLNIHDPAIRSLTLEVLAFI >DRNTG_32585.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23977881:23979119:1 gene:DRNTG_32585 transcript:DRNTG_32585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVKGLQITIHSNTCKNNPSFVRASTALQARVGGNANSALTHSKPLENSLDEFCDNRG >DRNTG_02054.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18768844:18769278:-1 gene:DRNTG_02054 transcript:DRNTG_02054.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQESLKVTPFAMLSRAAAGIRGSTLIINMPGNPNAVAECMEALI >DRNTG_21474.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2692980:2694243:1 gene:DRNTG_21474 transcript:DRNTG_21474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAHLSSSFDMAALEAGKHLSQELQDKDSLKSRITIHKLAAELIGTYILILIGIGSILVDQRVKITLLGVAFVWGTVVTVLVYTIGHISGCHLNPAVTFAFAVVRQFPWKLVIPYAVVQVLGAILASVTLRFLFVDIDTDLMLSHPAGSSSNLSAVACEIIVTFILMFVICGSATDSMAAKELAGVAIGAAIFCNVLISGTISGASMNPARSLGPAIATMNFERVWIYVVSPVIGAVFGSALYHFLRLPKSLIN >DRNTG_15998.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5216636:5218795:1 gene:DRNTG_15998 transcript:DRNTG_15998.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRX4 [Source:Projected from Arabidopsis thaliana (AT3G15660) UniProtKB/TrEMBL;Acc:A0A178VHP8] MARSLSGVMLKNILRSAAPSFNFKSSGAVLCRGLDYTTRISGDSDTHEDFRPTDNLQSSGTSLVDLVQQDVKENPVMIYMKGHPDAPRCGFSALAVKVLQQYGVPITSRNILEGSEIKDAVKSFTNWPTFPQIFIKGEFIGGSDIVLKMHQDGELKLLLQDNVDNKGKE >DRNTG_08658.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16842555:16845337:-1 gene:DRNTG_08658 transcript:DRNTG_08658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAALLRRINGSAYSRKPHQCRRFCADVAPTDTKPKRYKYPEVYDPYGPRPPPSEKIIQLAEKIATLPHAELKQIGPTLQLRLRHPKLQTMSAAGLNMGQQTGAASGKPEEKKAEKTAFDVKLEKFDAAAKIKVIKEVRAFTNLGLKEAKDLVEKAPIVLKQGLTKEEANDIIEKLKAAGGVAVME >DRNTG_30521.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21440561:21441804:1 gene:DRNTG_30521 transcript:DRNTG_30521.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLEYEWTNPAAAAAAAMLLFSEENQQVINDHRQVYNHHFGHDLTGDFFCQPTTIPAPPPAPALPTSGLFPMVTSSSSSLHGLALPPAPTRLGLNLGVRTYFSSEEGMMVTGRVSRRRPRMVRCQAEGCGTDLTHAKHYHRRHKVCEFHSKASIVITAGLSQRFCQQCSRFHVLSEFDQGKRSCRKRLAEHNRRRRKSHDLITSPAGEKTPVMVTEKVLFTTSDNKTNDHVLSLPPPPPPLPPQEETNGAPMVLGLGFNSNESGDLMVVPAPAGLSGSSSTGTSPCRFPVQLGDFGEHENHNHFPNWDDGEDGSSIRALFS >DRNTG_13743.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5552838:5554407:1 gene:DRNTG_13743 transcript:DRNTG_13743.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDESLAEKDVKAPNLIERAKEEIEAIMHREKKHNKETHGMRDDIDETTPIDEIKGPNVFERAKEEIGALVETIHSKKEHDHQMHSEDRKGFWELLGRSFEKFCSPFTPKRD >DRNTG_13743.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5552838:5554450:1 gene:DRNTG_13743 transcript:DRNTG_13743.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDESLAEKDVKAPNLIERAKEEIEAIMHREKKHNKETHGMRDDIDETTPIDEIKGPNVFERAKEEIGALVETIHSKKEHDHQMHSEDRKGFWELLGRSFEKFCSPFTPKRD >DRNTG_13743.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5552838:5554546:1 gene:DRNTG_13743 transcript:DRNTG_13743.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLQDVKAPNLIERAKEEIEAIMHREKKHNKETHGMRDDIDETTPIDEIKGPNVFERAKEEIGALVETIHSKKEHDHQMHSEDRKGFWELLGRSFEKFCSPFTPKRD >DRNTG_13743.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5552838:5554407:1 gene:DRNTG_13743 transcript:DRNTG_13743.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLQDVKAPNLIERAKEEIEAIMHREKKHNKETHGMRDDIDETTPIDEIKGPNVFERAKEEIGALVETIHSKKEHDHQMHSEDRKGFWELLGRSFEKFCSPFTPKRD >DRNTG_13743.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5553950:5554407:1 gene:DRNTG_13743 transcript:DRNTG_13743.8 gene_biotype:protein_coding transcript_biotype:protein_coding MHREKKHNKETHGMRDDIDETTPIDEIKGPNVFERAKEEIGALVETIHSKKEHDHQMHSEDRKGFWELLGRSFEKFCSPFTPKRD >DRNTG_13743.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5552795:5554546:1 gene:DRNTG_13743 transcript:DRNTG_13743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESLAEKDVKAPNLIERAKEEIEAIMHREKKHNKETHGMRDDIDETTPIDEIKGPNVFERAKEEIGALVETIHSKKEHDHQMHSEDRKGFWELLGRSFEKFCSPFTPKRD >DRNTG_13743.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5552838:5554546:1 gene:DRNTG_13743 transcript:DRNTG_13743.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESLAEKDVKAPNLIERAKEEIEAIMHREKKHNKETHGMRDDIDETTPIDEIKGPNVFERAKEEIGALVETIHSKKEHDHQMHSEDRKGFWELLGRSFEKFCSPFTPKRD >DRNTG_13743.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5552838:5554450:1 gene:DRNTG_13743 transcript:DRNTG_13743.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLQDVKAPNLIERAKEEIEAIMHREKKHNKETHGMRDDIDETTPIDEIKGPNVFERAKEEIGALVETIHSKKEHDHQMHSEDRKGFWELLGRSFEKFCSPFTPKRD >DRNTG_34446.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8076979:8081189:1 gene:DRNTG_34446 transcript:DRNTG_34446.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDP1 [Source:Projected from Arabidopsis thaliana (AT5G04040) UniProtKB/TrEMBL;Acc:A0A178UB07] MDISNEASVDPFSIGPSSIIGRTIALRVLFCTSVSHLRHQLLHLLIRSLRHLRNTTVPLLSWFHPKNTQGILLMVTLIAFLLKRFTNVRSRAESAYLRKFWRSMMRSSLTYEEWSHAAKMLEKETTKMNEADLYDEELVRNKLRELRHRREEGSLRDVVFHMRADLLRNLGNMCNPHLHKGRLQVPKLIKEYIDEVSAQLKLVCDSESEELLLEEKLAFMHETRHAFGRTALLLSGGASLGAFHVGVVRTLVEHKLLPRIIAGSSVGSIMCAVVATRSWPELESFFEDSWHSLQFFDHMGGIFAVVRRVVTQGAVHDIRQLQRLLRHLTSNLTFQEAYDMTGRILGITVCSPRKHEPPRCLNYLTAPHVVIWSAVAASCAFPGLFEAQELMAKDRFGEIVPYHAPFAAGPGEKTTPSARRWRDGSLESDLPMMRLKELFNVNHFIVSQANPHIAPLLRLKELIRAYGGNFAAKLAHLAEMEVKHRFNQVLELGFRLGGIAKLFAQDWEGDVTVVMPATLAQYSKIIQNPSYAELQKAANQGRRCTWEKLSAIKANCAIELALDESVALLNHMRRLKRSAERAAASHGLVNTSRFNASRRIPSWNCIARENSSGSLEEDGLADTAASTQQGPIIVGGTSSRNRVSQRSFPNGSDSESESIDLNSWTRCGGPLMRTSSANKFIAFLHSLDTENDNTSVAMQMTGYSDSRVSTSEQSSDNTDSDQNAPASTSIVVSEGDLLQPERVRNGIMFNVVKKETVIRTPRSSDSEQQQSSSMEVDVENLQLDSYDASSSECDEDENTESLNKV >DRNTG_15247.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23456099:23458531:-1 gene:DRNTG_15247 transcript:DRNTG_15247.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDGIDEWDENFLDEAIRVELEAISSRNLPSSDPLRNPTTPFRPNPQPFTSLGFDGVGESVDLCFSPPRELSQRFVEKPQPSVLVEDCEIVEHWVGLRNGACDGGRIKKDGEAKEVEKLKRELGRLSKQLNHMEHECAELQKDRSKKDEQLKSAFSQIEAKDAEINRLSKSNIKAISQAEQRKNTRSSVKVNKTVEPTGEAIQADGTSLVSELKRRVVIDAGLTTSTGLPLQ >DRNTG_15247.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23451135:23458531:-1 gene:DRNTG_15247 transcript:DRNTG_15247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDGIDEWDENFLDEAIRVELEAISSRNLPSSDPLRNPTTPFRPNPQPFTSLGFDGVGESVDLCFSPPRELSQRFVEKPQPSVLVEDCEIVEHWVGLRNGACDGGRIKKDGEAKEVEKLKRELGRLSKQLNHMEHECAELQKDRSKKDEQLKSAFSQIEAKDAEINRLSKSNIKAISQAEQRKNTRSSVKVNKTVEPTGEAIQADGTSLVSELKRRVVIDAGLTTSTGLPLQENSFHENTVQTKSSKEIGVQTDTVQHCGLTALKDEKIGAHGVSSKLCAIWGTGDPEMSGQSLVSKLFITCSLEFSVLFRCMSTESQNTLDTLPDKPSSNVLSNDGKNLNQPAEITKVSCLYAVLMKIHKGVVQLDALVSILLDLCDLENAVFVHCSLHILYVVLQHVLSCNARYDISVLWSGTISVWNSVPVAALIWRKP >DRNTG_17356.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32195548:32202240:-1 gene:DRNTG_17356 transcript:DRNTG_17356.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRITSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIRLKRDNNITTGKIYQHVINKERKGDYLGKTVQVVPHITDAIQEWIERVAIIPVDGKEGPADVCVIELGGTIGDSIFSRHTENGILDAGDIESMPFIEALSQFSCRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLTPDILACRSTTALDENVKEKLSQFCHVPVSNIVNLYDVSNIWHIPLLLKEQKAHEALIKALNLQGVAREPMLLEWMERAKICDTLHETVTIAMVGKYTGLSDSYLSVLKALLHASVAHRRKLVVEWVPASDLEETTLKEAPEVHKAAWKLLKSADGVLVPGGFGDRGVEGKILAAKYARENKIPFLGICLGMQIAVIEYCRSILKLWDANSTEFNPNTTNPCVIFMPEGSKTHMGATMRLGSRRTYFEVMDCKSAKLYGNAAYVDERHRHRYEVNPEMVMELEKAGLAFVGKDDTGKRMEIIELPSHPYFVGVQFHPEFKSRPGKPSALFSGLIAASCGQLETLVHGQGHVNRVQTKVVANGVLPQKVYPNGNANKSRKLMVNGATFYANGNGVHV >DRNTG_17356.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32195548:32202240:-1 gene:DRNTG_17356 transcript:DRNTG_17356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRITSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIRLKRDNNITTGKIYQHVINKERKGDYLGKTVQVVPHITDAIQEWIERVAIIPVDGKEGPADVCVIELGGTIGDIESMPFIEALSQFSCRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLTPDILACRSTTALDENVKEKLSQFCHVPVSNIVNLYDVSNIWHIPLLLKEQKAHEALIKALNLQGVAREPMLLEWMERAKICDTLHETVTIAMVGKYTGLSDSYLSVLKALLHASVAHRRKLVVEWVPASDLEETTLKEAPEVHKAAWKLLKSADGVLVPGGFGDRGVEGKILAAKYARENKIPFLGICLGMQIAVIEYCRSILKLWDANSTEFNPNTTNPCVIFMPEGSKTHMGATMRLGSRRTYFEVMDCKSAKLYGNAAYVDERHRHRYEVNPEMVMELEKAGLAFVGKDDTGKRMEIIELPSHPYFVGVQFHPEFKSRPGKPSALFSGLIAASCGQLETLVHGQGHVNRVQTKVVANGVLPQKVYPNGNANKSRKLMVNGATFYANGNGVHV >DRNTG_32509.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001848.1:44343:49059:1 gene:DRNTG_32509 transcript:DRNTG_32509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEFRLDIEGSTICREVYVCINLPSHEEEEQDVDDADLEKNVGMGNDRGKKVDEDGDFHGSDYSCSEESEDEVTDNPIKSTTDEELQSCSSTNEEAQLPPRPWIIDGDEESLMAKLYDYRLELVRTHLGSIVIIKSSEEEVFQGMYVCLAPLRAGFLVGSNWEKPENYIDSCYKVITFMETYRNILNPTHDKDSWPKSDQGPIIPPQAVNKRKGRKTMLKRRELGETSGFNNGKGNKGSPTLQPQPEESATNKQPSDHYAPQFFSIPVHTTLDTTTTNPRGNQRGDIPSEEQVSNVLSAQVSVNLSKPLALVGDFKAKSILLLITHSLLIRKDNATSPPSME >DRNTG_21144.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2334923:2335901:1 gene:DRNTG_21144 transcript:DRNTG_21144.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAAKQALSLKTSTHIQVFKYRCTPCFYNLSFLPNHTSSDLVLKQFPGCFSDTFLEFRTRGHYDKDAVEDGFIINTCEAIEGEFIEDFRRAKAGKRVFTVGPAHPLVVVGGVRRSECLDWLDKQVDKSVLYVAFGTTSMMSDEQVSVFALLYKLLFF >DRNTG_21144.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2369267:2369907:1 gene:DRNTG_21144 transcript:DRNTG_21144.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNDNNNTTILVIPFPAQGHLNQFLHLSLRLATSGHFSSVHFAGSSIHILQIISRFQGWPSSSLFTLHIHHFPLPPFSTPPPNPSSIFSDHLLPLFHSLYHLQPSLSSLLHSLSSSSKRLIVIHDPLMSFAAKQALSLETSTHIQ >DRNTG_21144.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2334923:2351842:1 gene:DRNTG_21144 transcript:DRNTG_21144.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNNNTTILMVPFPAQGHLNQLLHLSLRLSTSGHFSSVHFAGSSIHNLQIISRFQGWPSSSLSTLHIHHFPLPSFSTPPPNPSSIFPDHLLPLFHSLSQLQPFLSSLLHDLSSSSKRLILIHDPLMSFAAKQALSLKTSTHIQVFKYICAPCFFHLSFLPNQTSSDLVLKQF >DRNTG_21144.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2334923:2351842:1 gene:DRNTG_21144 transcript:DRNTG_21144.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNNNTTILMVPFPAQGHLNQLLHLSLRLSTSGHFSSVHFAGSSIHNLQIISRFQGWPSSSLSTLRMHDFPLPPFSTPPPNPSSIFPDHLLPLFHSLSQLQPFLSSLLHDLSSSSKRLILIHDPLMSFAAKQALSLKTSTHIQVFKYICAPCFFHLSFLPNQTSSDLVLKQF >DRNTG_21144.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2334923:2369907:1 gene:DRNTG_21144 transcript:DRNTG_21144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNNNTTILMVPFPAQGHLNQLLHLSLRLSTSGHFSSVHFAGSSIHNLQIISRFQGWPSSSLSTLHIHHFPLPSFSTPPPNPSSIFPDHLLPLFHSLYHLQPSLSSLLHSLSSSSKRLIVIHDPLMSFAAKQALSLETSTHIQ >DRNTG_26704.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31283227:31283518:1 gene:DRNTG_26704 transcript:DRNTG_26704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFIVTLIFLYITVATVIGYKAQFATDTCGGVGVLGIAWAFGGMIFILVYCTTGISGPPPPSPKP >DRNTG_18689.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18185222:18190557:1 gene:DRNTG_18689 transcript:DRNTG_18689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGRSIPAPPRFHPCSPRKSANVLPFRKQRSNWTRPGLLHKILMDNVITLVADSSTFQLQCRH >DRNTG_05533.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16854866:16861627:-1 gene:DRNTG_05533 transcript:DRNTG_05533.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGEDLSIHELASNLSAYKDQLREVRKLLADDPRNSEYADMEKELREVISLTEELLATAKQSEIAGLVDELDADASPIEGQADRKSLSNLETGQDDRFPVGTKVQAVWSEDGEWYDATIEALTPNGYFVSYDEWGNKEEVDPANVRPIKEETVNAMLEAEKEAEATRQAIKRKIAQAAVSDFQARTLPAKLRIDPNDPDDVKAAKKKKIHAFKSKVRLEQQEVVQNKRQNAWQQFQTTKGKAKKIGFFSGRKRESIFKSPDDPKGKVGVTGSGKGLTEFQKREKHLHLKAGGSADTEEEE >DRNTG_05533.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16854866:16861627:-1 gene:DRNTG_05533 transcript:DRNTG_05533.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYDATIEALTPNGYFVSYDEWGNKEEVDPANVRPIKEETVNAMLEAEKEAEATRQAIKRKIAQAAVSDFQARTLPAKLRIDPNDPDDVKAAKKKKIHAFKSKVRLEQQEVVQNKRQNAWQQFQTTKGKAKKIGFFSGRKRESIFKSPDDPKGKVGVTGSGKGLTEFQKREKHLHLKAGGSADTEEEE >DRNTG_05533.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16854792:16861627:-1 gene:DRNTG_05533 transcript:DRNTG_05533.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGEDLSIHELASNLSAYKDQLREVRKLLADDPRNSEYADMEKELREVISLTEELLATAKQSEIAGLVDELDADASPIEGQADRKSLSNLETGQDDRFPVGTKVQAVWSEDGEWYDATIEALTPNGYFVSYDEWGNKEEVDPANVRPIKEETVNAMLEAEKEAEATRQAIKRKIAQAAVSDFQARTLPAKLRIDPNDPDDVKAAKKKKIHAFKSKVRLEQQEVVQNKRQNAWQQFQTTKGKAKKIGFFSGRKRESIFKSPDDPKGKVGVTGSGKGLTEFQKREKHLHLKAGGSADTEEEE >DRNTG_13224.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2750595:2755957:1 gene:DRNTG_13224 transcript:DRNTG_13224.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEAVDAAVEIPADPSPAPPNDPALTWSLSSSRLNARAPEFVPRSAPPMVKIHHHGPPPPPQAMIHVYHAPASSPPPFIAPVVNPGTFEYFVGGGFGGEQEVVQSAASADPDPPPSVRDGLSDEAVQKITKQVEYYFSDANLATTEHLMRFISKDPEGFVPMPVIASFKKIKALVHNNSLLADALRKSLKLVVSEDGKKVRRQQPITETDVEELQSRIVVAENLPEDHCYQSLMKIFSAVGSVKSIRTCYPQTSNGTSAPANRATKMDMLFGNRLHAFVEYETSEDAEKAVSELNDERNWRSGLRVRLLHRCMSKHGTVRGRKGGHEGDGNGEEEDVSTSNQAQEKQTDDLSLPSDTTTEHVGEEVNDKEDVVRRAKGRGRGGRGRGRGHHHNNHHHNNNKTGGHAIGTPPSSHPIQPEQPAIAKQPPGPRMPDGTRGFTIGRGKPVAPPTCG >DRNTG_30909.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:16980617:16981265:1 gene:DRNTG_30909 transcript:DRNTG_30909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSTSPTSRSVWKKVSGRTFTGTDPARDEHGGEYARVKMEEESQSVKVGHSGIAPPRIQVLGTG >DRNTG_03565.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5436843:5438751:-1 gene:DRNTG_03565 transcript:DRNTG_03565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQEEEEEREEKGAQEDPYACKGGREPLWVASWHKQGHEASQNIPSTALFGDHLLLGSLGPPPHLSSWKFPVIYYAKVDQEGGKHQRRR >DRNTG_33711.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1181369:1188087:1 gene:DRNTG_33711 transcript:DRNTG_33711.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(5)GlcNAc(2)-PP-Dol alpha-1,3-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT2G47760) UniProtKB/Swiss-Prot;Acc:O82244] MGGHEARKPAVAAGLLLLDVILVSLIIAYVPYTKIDWDAYMSQVDGFLGGERDYTKLKGDTGPLVYPAGFLYAYSAIQFVTGGQVYPAQILFGILYILNLGIILYIYTRTDVLPWWALGLLCLSKRIHSIFMLRLFNDCLAMTLLHGALALVLHQKWHLALISFSGAVSIKMNVLLCAPSLLLLMLKGMSFKGVLSALSCAALVQILLGLPFLLSHPAAYISRAFDLGRVFIHFWSVNFKFVPEDVFISRKFAIALLAIHLMLLILFAHYRWSKHEGGLLQLLCSRFSQKDLGGFLRSISLNQFLSCEPKHKILSKEHIVTVMFVGNFIGVVCARSLHYQFYSWYFYSLPFLLWKTPFPTLLRLILFVAVELSWNIYPSNFYSSIILFCAHLIILWGLWIAPIESPYPRRKHSQKKRD >DRNTG_01889.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21050378:21057669:1 gene:DRNTG_01889 transcript:DRNTG_01889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGDRLTTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDILWDFEPKKLGEGDLLTGGDLYATVFENTLMQHHVALPPGSMGKVTYIAPAGQYSLKDTVLELEFQGVKKEFTMLQTWPVRTPRPVASKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDTVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPDRTGSVTIVGAVSPPGGDFSDPVTSATLGIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSKALESFYEKFDPDFIDIRTKAREVLQREDDLNEIVQLVGKDALAETDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFNTLANQAVERGAGSDGQKITYSVIKHRLGDLFYRLVSQKFEDPAEGEEALVAKFKKLNEDLTVGFRILEDEAR >DRNTG_08482.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17754069:17758190:-1 gene:DRNTG_08482 transcript:DRNTG_08482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSISILAGGWRQLLMIREPAIQELALEVLSSFEFERSYARFDSLDTIQFRALGHHHSLSITQFSVLLGLYEEAFTDTEEFTQLPTDYPGALTPQRAYRVLCGQGQYEPGVSKATCLSRPAYRYLHAIMSRLVNGRGDSTGVLSRQELLYLYSMVQRVPIHLGHIMAEYIRHQGHYARLGAIFSGPYNMRLVLSMGLLASIRGVEKTSIPTPLSLETLRLMGMVRRVRTGVYTLVLPAPEIAEDEGDHAEASQPALEPQPAPMETEAPSVVEDAPPVRMFSPSRAHDRFERLESAVGVIWTEAPSSPPASPSPILAPQDPPCASPPAAAAEEPTERNTDI >DRNTG_21226.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:177373:177934:-1 gene:DRNTG_21226 transcript:DRNTG_21226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKALIQDTDMPAKMQLQAMSSASEALDLFDVFDCKSIAAHIKKEFDMIYGPGWQCVVGSNFGCFFTHTQGTFIYFCLETLYFLIFKGASSTEQSGLVGS >DRNTG_26660.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1005774:1008533:-1 gene:DRNTG_26660 transcript:DRNTG_26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLEVLKPWTLSFSFGRALQQSTIKKWCGKTENVPAAQQAFLDRCKANSAATLGKYIGGEGGDAAAFGELACQGIQVLVRKFR >DRNTG_29040.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10662419:10668636:1 gene:DRNTG_29040 transcript:DRNTG_29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGLVLKSCGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTSDNNITTGKIYQHVINKERRGDYLGKTVQVVPHITDAIQEWIECVAMIPVDGKEGPPDVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLSPHILACRSSKALEENVIEKLSQFCHVPASNIITLYDVTNIWQVPLLLKEQKAHEAILKQLSLLSVARVPMLEEWMARVKICDTLHDSVRIAIVGKYTGLTDAYLSVLKALLHASVACRRKLVVEWVPASDLEDATLKETPDAHIAAWNLLKGADGVLVPGGFGDRGVQGKILAAKHARENNIPFLGICLGMQTAVIEYCRSVLNLHDANSTEFDPDTTNPCVIFMPEGSKTHMGGTMRLGSRRTYFQVADCKSAKLYGNAKFVDERHRHRYEVNPDMVKELEEAGLSFVGKDETGKRMEIIELPTHPYFIGVQFHPEYKSRPGKPSAPFLGLIAASCGQLDGLLGQASRLPRSVLGSKGYQNGNSNKTKKQIGTGSFYLNGNGNGVHALTS >DRNTG_29040.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10662419:10668636:1 gene:DRNTG_29040 transcript:DRNTG_29040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGLVLKSCGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTSDNNITTGKIYQHVINKERRGDYLGKTVQVVPHITDAIQEWIECVAMIPVDGKEGPPDVCVIELGGTIGDMMFSRHNENGILDAGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLSPHILACRSSKALEENVIEKLSQFCHVPASNIITLYDVTNIWQVPLLLKEQKAHEAILKQLSLLSVARVPMLEEWMARVKICDTLHDSVRIAIVGKYTGLTDAYLSVLKALLHASVACRRKLVVEWVPASDLEDATLKETPDAHIAAWNLLKGADGVLVPGGFGDRGVQGKILAAKHARENNIPFLGICLGMQTAVIEYCRSVLNLHDANSTEFDPDTTNPCVIFMPEGSKTHMGGTMRLGSRRTYFQVADCKSAKLYGNAKFVDERHRHRYEVNPDMVKELEEAGLSFVGKDETGKRMEIIELPTHPYFIGVQFHPEYKSRPGKPSAPFLGLIAASCGQLDGLLGQASRLPRSVLGSKGYQNGNSNKTKKQIGTGSFYLNGNGNGVHALTS >DRNTG_34507.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002160.1:172:6438:-1 gene:DRNTG_34507 transcript:DRNTG_34507.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLGRMGKTYKNGPLGLKNFFKSQNSTPEKHMKQRDIYINTSQQFRTKREIQSKPFSSPAINEGSAAQDL >DRNTG_34507.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002160.1:8:6438:-1 gene:DRNTG_34507 transcript:DRNTG_34507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLGRMGKTYKNGPLGLKNFFKSQNSTPEKHMKQRDIYINTSQQFRTKREIQSKPFSSPAINEGSAAQDL >DRNTG_17514.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19007678:19014725:-1 gene:DRNTG_17514 transcript:DRNTG_17514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELRFQRVQFCDCKDGSSAIQILEMAKMKTQIKRNKFEQISVYDTVTERGEERERERERERERESDKGGGGGGRWYQWVRAHVASKFKDRRGCI >DRNTG_03111.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14050894:14102522:1 gene:DRNTG_03111 transcript:DRNTG_03111.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVSCHPINWKLMNYLLVGYLSASIKSVADARVGDTITHFGKQAESALPGYEEATPMVFCGLFPVDADQFPELRDALEKLQLNDAALKFEPETSSAMGFGFRCGFLGLLHMEIVQERLEREYDLTLITTAPSVVYRVHCANGEIVECSNPSSLPEPGKRRFIEEPFVKIEMLSPKEYIGPLMELGQERRGVFKEMNYITENRASIIYELPLAEMVGDFFDQLKSRSKGYASMEYSFVGYSESDLVKLDIQINGEPVEPLATIVHKDKAYAVGRALTQKLKELIPRQMFKVPIQACIGAKVIASESLSAIRKDVLAKCYGGDITRKKKLLRKQAEGKKRMKAIGRVEVPQEAFMAVLRLEKEVI >DRNTG_03111.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14050894:14061831:1 gene:DRNTG_03111 transcript:DRNTG_03111.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSILLSCVPMAVCRCGTTHYWAQRPSIPSSNLASMPAPLRAPLRMSSHSCRFQRLRVFCRAAGTASKGLSDSETSLDAGQDRLQKVPISNIRNFCIIAHIDHGKSTLADKLLQLTGTVHKREMKEQFLDNMDLERERGITIKLQVGPTNFLLNLYTERS >DRNTG_03111.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14085180:14102522:1 gene:DRNTG_03111 transcript:DRNTG_03111.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVFCGLFPVDADQFPELRDALEKLQLNDAALKFEPETSSAMGFGFRCGFLGLLHMEIVQERLEREYDLTLITTAPSVVYRVHCANGEIVECSNPSSLPEPGKRRFIEEPFVKIEMLSPKEYIGPLMELGQERRGVFKEMNYITENRASIIYELPLAEMVGDFFDQLKSRSKGYASMEYSFVGYSESDLVKLDIQINGEPVEPLATIVHKDKAYAVGRALTQKLKELIPRQMFKVPIQACIGAKVIASESLSAIRKDVLAKCYGGDITRKKKLLRKQAEGKKRMKAIGRVEVPQEAFMAVLRLEKEVI >DRNTG_03111.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14061958:14102522:1 gene:DRNTG_03111 transcript:DRNTG_03111.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTDHIADEIGVLSPNQLEVDELFAGEVGYLSASIKSVADARVGDTITHFGKQAESALPGYEEATPMVFCGLFPVDADQFPELRDALEKLQLNDAALKFEPETSSAMGFGFRCGFLGLLHMEIVQERLEREYDLTLITTAPSVVYRVHCANGEIVECSNPSSLPEPGKRRFIEEPFVKIEMLSPKEYIGPLMELGQERRGVFKEMNYITENRASIIYELPLAEMVGDFFDQLKSRSKGYASMEYSFVGYSESDLVKLDIQINGEPVEPLATIVHKDKAYAVGRALTQKLKELIPRQMFKVPIQACIGAKVIASESLSAIRKDVLAKCYGGDITRKKKLLRKQAEGKKRMKAIGRVEVPQEAFMAVLRLEKEVI >DRNTG_03111.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14050894:14064639:1 gene:DRNTG_03111 transcript:DRNTG_03111.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSILLSCVPMAVCRCGTTHYWAQRPSIPSSNLASMPAPLRAPLRMSSHSCRFQRLRVFCRAAGTASKGLSDSETSLDAGQDRLQKVPISNIRNFCIIAHIDHGKSTLADKLLQLTGTVHKREMKEQFLDNMDLERERGITIKLQVMDLAYNWNSFIVGSSDAICIGWGAVLPQSD >DRNTG_03111.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14086994:14102522:1 gene:DRNTG_03111 transcript:DRNTG_03111.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVFCGLFPVDADQFPELRDALEKLQLNDAALKFEPETSSAMGFGFRCGFLGLLHMEIVQERLEREYDLTLITTAPSVVYRVHCANGEIVECSNPSSLPEPGKRRFIEEPFVKIEMLSPKEYIGPLMELGQERRGVFKEMNYITENRASIIYELPLAEMVGDFFDQLKSRSKGYASMEYSFVGYSESDLVKLDIQINGEPVEPLATIVHKDKAYAVGRALTQKLKELIPRQMFKVPIQACIGAKVIASESLSAIRKDVLAKCYGGDITRKKKLLRKQAEGKKRMKAIGRVEVPQEAFMAVLRLEKEVI >DRNTG_03111.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14050894:14102522:1 gene:DRNTG_03111 transcript:DRNTG_03111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSILLSCVPMAVCRCGTTHYWAQRPSIPSSNLASMPAPLRAPLRMSSHSCRFQRLRVFCRAAGTASKGLSDSETSLDAGQDRLQKVPISNIRNFCIIAHIDHGKSTLADKLLQLTGTVHKREMKEQFLDNMDLERERGITIKLQAARMRYVLDGEPFCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALENNLEIIPVLNKIDLPGAEPDRVCQEIEEIIGLDCSEAIRCSAKEGIGIAEILSAIIKKIPPPKDTARNPLRALIFDSYYDPYRGVIVYFRVIDGTIKKGDRIYFMASGTDHIADEIGVLSPNQLEVDELFAGEVGYLSASIKSVADARVGDTITHFGKQAESALPGYEEATPMVFCGLFPVDADQFPELRDALEKLQLNDAALKFEPETSSAMGFGFRCGFLGLLHMEIVQERLEREYDLTLITTAPSVVYRVHCANGEIVECSNPSSLPEPGKRRFIEEPFVKIEMLSPKEYIGPLMELGQERRGVFKEMNYITENRASIIYELPLAEMVGDFFDQLKSRSKGYASMEYSFVGYSESDLVKLDIQINGEPVEPLATIVHKDKAYAVGRALTQKLKELIPRQMFKVPIQACIGAKVIASESLSAIRKDVLAKCYGGDITRKKKLLRKQAEGKKRMKAIGRVEVPQEAFMAVLRLEKEVI >DRNTG_25312.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20273655:20277375:1 gene:DRNTG_25312 transcript:DRNTG_25312.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWIKDTRLGPYSINPNSAPETQPSYPRTASAVPFWACHSSAMPPHTQPE >DRNTG_25312.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20273726:20277528:1 gene:DRNTG_25312 transcript:DRNTG_25312.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTIPMAPTKKNTQEISEEREMRGGGGGGGDGGTSRDRLKSGKMKKRSKLYLYFPPHGKING >DRNTG_25312.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20273726:20277375:1 gene:DRNTG_25312 transcript:DRNTG_25312.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTIPMAPTKKNTQEISEEREMRGGGGGGGDGGTSRDR >DRNTG_25312.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20273655:20277528:1 gene:DRNTG_25312 transcript:DRNTG_25312.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTIPMAPTKKNTQEISEEREMRGGGGGGGDGGTSRDRLKSGKMKKRSKLYLYFPPHGKING >DRNTG_25312.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20273655:20277375:1 gene:DRNTG_25312 transcript:DRNTG_25312.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTIPMAPTKKNTQEISEEREMRGGGGGGGDGGTSRDR >DRNTG_25312.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20273540:20277375:1 gene:DRNTG_25312 transcript:DRNTG_25312.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTIPMAPTKKNTQEISEEREMRGGGGGGGDGGTSRDR >DRNTG_25312.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20273726:20277375:1 gene:DRNTG_25312 transcript:DRNTG_25312.7 gene_biotype:protein_coding transcript_biotype:protein_coding MWIKDTRLGPYSINPNSAPETQPSYPRTASAVPFWACHSSAMPPHTQPE >DRNTG_08566.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000387.1:47313:47792:1 gene:DRNTG_08566 transcript:DRNTG_08566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGVNAARYRARDDHCSTKKVDSLKAYWLNEVLIEPVWILFGVHLRSFLALNSVNEELNEVLIEPVYHLNEVLFELATTWEEGEKRRHHILRRFGLKLGKIKGLNFKEKLHHQGRRSIRHL >DRNTG_01140.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:12691924:12692997:1 gene:DRNTG_01140 transcript:DRNTG_01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLVIFIGFHFVKLKEETGQTQSCQEGSHGALAIYTIMISPFELLGNFPDIFMGERHILDMIVANALKEEKEAKIGYKDTFLEFLSNLRIKAQEELMAKRLGFQEEDFGKFEGRSSLTLIDLSFVTASRGPSAT >DRNTG_31235.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001676.1:51693:60055:1 gene:DRNTG_31235 transcript:DRNTG_31235.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRLVKIAGPLKDASMKKSLIDYHLVVCPAMWPQEENVWTMFVKSNVAKYCNKHYTAAKLLLTRLHGNSYSPHGSIENPHGRVGAHNRLYATIFCVRREIDRFLRQDFVGVSRVKELKVFEEKDLEITGESSPECLIRWERRALIGLYIGIPESPHGTDGPTSRVRRPWRLPT >DRNTG_03468.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17786887:17795215:1 gene:DRNTG_03468 transcript:DRNTG_03468.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIHGRMGNTHAHVPDPQGQPQPRGKRTPL >DRNTG_01792.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000094.1:1:12745:-1 gene:DRNTG_01792 transcript:DRNTG_01792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKSKRASQSRHFFESGDHSEARVRMAQVLRRMG >DRNTG_28057.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16124067:16124189:1 gene:DRNTG_28057 transcript:DRNTG_28057.1 gene_biotype:protein_coding transcript_biotype:protein_coding EVVQRPGFVVQFRRRVEPRLDIYRKLGVTTRIFNGSTSRA >DRNTG_02885.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:820361:821301:1 gene:DRNTG_02885 transcript:DRNTG_02885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPLLLLQLQECNPNPSTSYSSSSSSSIPIKDHPKPNINELPTNNQNNKLSSSSSSSSSSSSSPSSSSSSSSSSSSCNNKTRHNNNNNNNNNNNNNKKHPTYRGVRMRNWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDVAALTIKGQSAYLNFPELASFLPRPATSSPKDIQAAAAKAANATKFTEVNDDDHHDHLLSPTTVSTTSSSSSSSPPNSSPVDDTLFFDLPDLILDLRDGFYYSSSSSSWLEETFSCDLHPLPHLGTSIN >DRNTG_11726.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3803667:3804564:1 gene:DRNTG_11726 transcript:DRNTG_11726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEAECRPNVITGTLSIFQHNAFALIDSGSERSFVSTTFACHANSDPSPLGGELVIQTPLGEEVVRSLVYRECPVLINGVVLKADLIPLEIKDFDAILGMDWLDRHHASIDCFKKEVTFPVSSGPAVVLKGVRRTLPSCLISSMEARRLLGKGCPMILAHVVDTRVKEPALEEMPVVSEFQDVFPEDLPGLPPDREMEFAIDLLPGTAPISIPPYRMAPAELRELKTQLQDLVDKGFIRPSVSPWGAPVLFVKKKDGSMRLCIDYRQLNRVTIKNKYPLPRID >DRNTG_28438.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20623518:20626410:1 gene:DRNTG_28438 transcript:DRNTG_28438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSRMKPELKAKTFAGEVDDFFPPSPRKKDWTIGLMKVVSFLVIFMAGAVLGLSVSARFTRYFASQTELFFPRTIYTANCEESSLSLRSFVSPNHLMHRMSDDELFWRASMVPKMEEFPFERTPKVAFLFMTRGPLPFVPLWDRFFEGHEELFSVYVHTLPDYKLSVANSSAFYGRQIPSEEVSWGSVSLVDAEKRLLANALLDFNNERFVLLSESCIPIFDFPTVYDYLTKSAHSFVDSYDEDSWRGRGRYNRRMAPAIKLSQWRKGSEWFELNRQLAVNIIADTKYYSLFRKHCRPSCYPDEHYIPTFLNMFHGSLNANRSVTWVDWSRGGPHPARFGRANITADFIQTIRNNGTICTYNSKPTSICYLLLGSLLPAHWSPY >DRNTG_21667.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:11060553:11063309:-1 gene:DRNTG_21667 transcript:DRNTG_21667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGSLQTWLHPKDAGLFNQLSLIQKLNIAVDVADALDYLHANCQPPVIHCDLKPSNILLDDNMNAVVGDFGLARILSETMSISQHDSHNLMRIKGPIRYIAPEYGAGIQVSTSGDVYSYGILLLEIFTGKRPVDDMFNNGLELREYVHMAFPDRVMKIIDHAINESTTNGINQRAEEECLVSVIRIGLACSERLARDKMSIRDVASEMHAVRNAYFKAGQS >DRNTG_32687.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:10570321:10580117:-1 gene:DRNTG_32687 transcript:DRNTG_32687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYVARVSLDVLSLGGAHGRHEYNGFKIEKPSVVSKLKDFPKFQAVAIVIQQSGFKVAVASNPATPSPATAAVRSGRAISDLCPCPCPCVHSPHLENVCVFCDQPVTVTVLAPTTVPATALAPVLDPALAPVTASAPAPALPRPRPCARTSRART >DRNTG_05336.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18737180:18739077:-1 gene:DRNTG_05336 transcript:DRNTG_05336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQSKGKGQNGIGGGEQLAFSRANLTGKERERRRNGEVSDVLSSKNEPLISLSSNQRYQATATPGKSEREIVEPFRKIQAQLHELASIKEEKRIDVTQKCQSERGNVDSLLKLLRKHSADLDRKTSEEDDQNDLLDRRNQFEDELVSNFFDANNINKEVNASEPAPNPRPTSNFKQRSPIPRVKFQLVFVADEDINSE >DRNTG_01976.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13229601:13230429:1 gene:DRNTG_01976 transcript:DRNTG_01976.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTARRYTGYIVNGFRFHTKARERWLKTQNSGVVVTSKMMSYASSRDARPIEGEINYYGVLTDIIQLNYSGRFKVVLFKCDWIDPNRGLKKDKFGFTIVNFSHLAHSGTNLVDDPFVFASQAKKVFYVQDEKNKDWLIVKHAKLRDIYDIGGGSSFDRGQGSGEVQDDDSHDTTKWVRNEVDGVELTQEMKTAHEEEQALYEHNEDLF >DRNTG_35344.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2682047:2683121:-1 gene:DRNTG_35344 transcript:DRNTG_35344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQGESLAAGAGAGAGLRPALAFQPSGSVTVPLHPLPRPGLISVGVQILRSEGPAALFSGISATVLRQTLYSTTRMGLYDMMKKSWSGDNGILPLHRKIAAGLIAGGIGAAVGNPADVAMVRMQADGRLPVAQRRNYKSVVDAISRMVREEGVTSLWRGSSLTVNRAMIVTASQLATYDQAKEAILRRRGAGADGMGTHVAASFAAGIVAAVASNPVDVVKTRVMNMRVGVGEVAPYAGAVDCAVKTVRAEGVMALYKGFIPTVSRQGPFTVVLFVTLEQVRALLKDF >DRNTG_16997.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000863.1:70579:72543:-1 gene:DRNTG_16997 transcript:DRNTG_16997.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLLLLLLLHSPLTTSSHCSTSTTLKTYQKCMSLPSQDATLAWTYYPHNSTLDLSFTSSFLSPSGWVAWGLNPTSPQMSGTRSLIAFSDPSSGSLILLPFILSPSTKLQLSPLLSTPLDIPLLSSSALLRHDSASIRAGAVVEIHATLKLVPNRTRINHVWNRGLYVQGYSPTIHPLTSSDLASRATIDVVSTAATLSPELPSWAKPLHGVLNALSWGFLLPAGVVIARYVRQFESAGPTWFYAHAAVQATGYILGITGFAIGIAMGNSSPGVTYSLHRGLGVAVVVAGGLQSLALFFRPSATHRFRKYWKSYHHFVGYGCVVLGVVNVFQGMEIMGLGRSYGKLGKAKEEKMRRESGGNGFQHVRKG >DRNTG_26924.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2430645:2431775:-1 gene:DRNTG_26924 transcript:DRNTG_26924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFFFRGRVICGPDPRGFLLTMVSIILSNWIFSVYIGEDLSKHRAIVVNSSLVLSVIVIVSLILVSTRDPGIVPRNMKPISDQVSTSFRTRSLRISIDGVEVKLKYCRVCMIYRPPRTCHCLVCDNCVERFDHHCPWIGQCVGLRNYRYYMMFIFSALVFFVYIFLHSHVGESGGK >DRNTG_24020.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16958377:16960612:1 gene:DRNTG_24020 transcript:DRNTG_24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYVLLLLIIVPTVSGLNLTNEEVTALLSFKSSVSYDPDDSLSNWNSSIDENPCSWNGITCKQGKVVSLSIPRKGLVGYLPAALGSLSSLRHLNLRSNRLFGNLPLELFFVKSLQSLVLYGNSLSGPLPQEIGNLTSLQNLDLSHNLFKGLIPSSLIRCQRLRSLHLSNNNFSGPLPNGFGRSLVFLERLDLSFNSLNGSIPGDIDELSSLQGTVDLSHNLFSGLIPPSLGNVPDNVYIDLSFNNLSGLIPQNGALVNRGPTAFIGNPALCGPPLKRPCSSSSVVVSSPSSPLPFEPNNYQPMSNVPNNGVSDNHRGMSKGLVIAIIISDVIVLFVIAFVFFYCYKRATSSLRKEKVEEIASNNNKGNNSRKECRCFFAKGESETISEETEQIDLVPLDDLVHFDIDELLKASAFVLGKSWMGIVYKVVLEDGLNLAVRRLGEGSSQRLKEFKTEVEAIGKIRHPCIVSLRAYYWSNEEKLLIYDYITNGNLSAAIHGTPGMVEFKPMSWTMRLNIMRGIAQGLAFLHEFSPKKCVHGDLRPNNILLGQ >DRNTG_24020.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16958377:16959915:1 gene:DRNTG_24020 transcript:DRNTG_24020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYVLLLLIIVPTVSGLNLTNEEVTALLSFKSSVSYDPDDSLSNWNSSIDENPCSWNGITCKQGKVVSLSIPRKGLVGYLPAALGSLSSLRHLNLRSNRLFGNLPLELFFVKSLQSLVLYGNSLSGPLPQEIGNLTSLQNLDLSHNLFKGLIPSSLIRCQRLRSLHLSNNNFSGPLPNGFGRSLVFLERLDLSFNSLNGSIPGDIDELSSLQGTVDLSHNLFSGLIPPSLGNVPDNVYIDLSFNNLSGLIPQNGALVNRGPTAFIGNPALCGPPLKRPCSSSSVVVSSPSSPLPFEPNNYQPMSNVPNNGVSDNHRGMSKGLVIAIIISDVIVLFVIAFVFFYCYKRATSSLRKEKVEEIASNNNKGNNSRKECRCFFAKGESETISEETEQIDLVPLDDLVHFDIDELLKASAFVLGKSWMGIVYKVVLEDGLNLAVRRLGEGSSQRLKEFKTEVEAIGKIRHPCIVSLRAYYWSNEEKLLIYDYITNGNLSAAIH >DRNTG_08310.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8909911:8912157:-1 gene:DRNTG_08310 transcript:DRNTG_08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRTGLGGYALVESSSNDEPAKALDAPPDRVPMVAEASLAASSDRESSTTRVHECLARLKLSGYHP >DRNTG_08712.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18090141:18092085:1 gene:DRNTG_08712 transcript:DRNTG_08712.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEGSIGVFIWLMPKIVILLLSAVGTAVGAYFVYKYLMKRELPQTNKNSNSTLMNPSSTTDGDARRTTLNSWIENAPVERFLNRIAEEKPIRFTLQQLAGLTSNYTTRLGSGGFGMVYKGQLPNGVLVAVKVLNSGGSENKTLMEQQFKAEIGTIGRTFHANLVKLYGFCYDSIVRALVYEYMDKGSLDTYLFDKSHAIAWEKLHEIAIGTAKALSYLHDECEQRIIHYDIKPANILLDNNFNPKVADFGLAKLCDRENTHVSMTVGRGTPGYAAPEIVRCG >DRNTG_04483.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8781062:8783767:1 gene:DRNTG_04483 transcript:DRNTG_04483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGMASEGVASEGRHEARAKWNEMHKAYLVKLLCEYNTPAYRSQNGWTKEAWNKIVRDMITKFSNPSITTSQVKALEQDLKKTYKLLKGFSELSGFGWDYERHIVSAPDDVWAPLLERNRDARKWHTRPFPYFMALQEVYEGRYAEGKRSRGIEDYEDISQSP >DRNTG_13473.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:17469686:17490687:-1 gene:DRNTG_13473 transcript:DRNTG_13473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSEPKNLSKTLANTPQNPSQSPLSSWGKDGEKNIKIGSESALNRAGIGQLHGHGCYTRPCGISTRAWIISTRPCGFSVSLISLLAVNNAAIVIATVLPLHLGNQA >DRNTG_21105.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6525577:6526127:1 gene:DRNTG_21105 transcript:DRNTG_21105.3 gene_biotype:protein_coding transcript_biotype:protein_coding RTLANLHNPYSGDLYPSESSEPQNFEHNDLHHYYTA >DRNTG_21105.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6525577:6526127:1 gene:DRNTG_21105 transcript:DRNTG_21105.2 gene_biotype:protein_coding transcript_biotype:protein_coding RTLANLHNPYSGDLYPSESSEPQNFEHNDLHHYYTA >DRNTG_21105.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6525577:6526223:1 gene:DRNTG_21105 transcript:DRNTG_21105.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTLANLHNPYSGDLYPSESSEPQNFEHNDLHHYYTA >DRNTG_21105.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6525577:6525921:1 gene:DRNTG_21105 transcript:DRNTG_21105.4 gene_biotype:protein_coding transcript_biotype:protein_coding RTLANLHNPYSGDLYPSESSEPQNFEHNDLHHYYTA >DRNTG_29916.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:15701:18705:-1 gene:DRNTG_29916 transcript:DRNTG_29916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLCCFRVADVGEGAENSDSLVSRHCICLRCLTQQLSHAYIALFQRGEVHAAASASVRQLPSLASVMAAEDSSLPNTYRSPPRPLPYDDPRCSRPRDRLVSRREKCSSHSHEESEPLRRDFDENNAETKAEKDKRSGSKNGVKLCCSNSSTDVTSGSTYYFSSSEDEDVCPTCLEEYTSENPKIVMQCSHHFHLSCIYEWMERSEICPVCGKVMVFNEAR >DRNTG_14915.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20462850:20467000:1 gene:DRNTG_14915 transcript:DRNTG_14915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSFKRSDTIADSMPDALKQSRYQMKRCFARYVGKGKRLMKNKQLMEDLEKSMDDKVEKQRLMEGYLGYIICSTQEAVVLPPFVSFAVRPHPGIWEFVKVHSGDLSVEGITASEYLKAKEILFDEKWASDENALEIDFGAFDIATPQLALPSSIGNGLQFMSKFISSKIHEQSESIELLLDYLLALNHKGQNLLINDTVNTVNKLQTALLLAEDYVSDLPKSTPFPKFEHRFQDWGLEKGWGDTAERVKDNLHCLSEVLQAPDPLNMEKFFSFVPCVFDIVIFSPHGYFGQAGVLGLPDTGGQVVYILDQVKALEEELLLRIKQQGLNIKPQILVLTRKIPEAQGTKCDHELEPILNTNHSFILRVPFKTDHGVLKQWVSRFDIYPYLERYAKDAAEKVIDRLEGKPDLIIGNYTDGNLVASLMASKLGVTQGTIAHALEKTKYEDSDFKWKELDKKYHFSCQFTADMISMNTTDFIITSTYQEIAGNKEKPGQYESHYAFSLPGLCRFVSGINVYNPKFNIAPPGADQSIYFPYTQKQKRLTNFHPAIEELLYSKEDNDEHLGLLTDPKKPIIFSMARLDTVKNITGLVEWYAKNKKLRELVNLVVVAGFFDPSKSKDREEISEIKKMHSLIEKYQMKGQIRWIAAQTDRYRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHINPNNGDEASNKIVDFFEKCKADTSYWNKMSTAALQRIYECYTWKIYANKVLNMGSTYTFWRLLNKEPKHAKERYLHMLYSLQFRNLAATVPVPGIAGEQEKQDKPAKATLKPKLTRRTQSRLQKAIEDCFTPKPKSTQATSSSAT >DRNTG_25391.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24417733:24420164:-1 gene:DRNTG_25391 transcript:DRNTG_25391.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDGTKAMLAKPIQLADQVTKFADEAHNFRQECLDLKPKTERLSALLRQAARSDLYERPARRITDDTEQALDKALSLVLKCRPHGLLRRVLTIIPAAAFKRVHTSLDNSIADLSWLLRVSSTSHSDEDDDDIHLGLPPIAQNEPILFLIWEQIAALQTGGSLEARADAAASLASLARDNDRYGKLIVEEDGIYPLLRLVKEGRMEGQENAARAIGLLARDLESIDRMVQAGVCSAFAKVLKDAPMNVQATVAWAVSELAGNCPNSQDVFAQNNVVRFLVGHLAFETVQEHSKYTIQSKVNSIHSAVLANKDTSSGKPPHIPDDSLESGNHGHVVARPTTAAKPSRSNNGSLSGASIRGREYEEPATKNYMKAMAARALSQLSKGNLAISKSITESKALLCFAVLLEKGTEDVRYNCALALMEITKVAEQDADLRRSAFKPTTPAAKAVVDQLLRIILSEKPEHDELLVPCIVALGCLSRTFRATETRIIGPLVGFLDDREEVVIKEAAVALTKFACTENYLHHDHSKAILKAGGAKHLIQHVYFGEQGVQMAALILLCYIALHVPDSEELAQAEVLTVLEWASKQAFMVQDPKVEELLHESKGRLELYQSRGSRGLY >DRNTG_25391.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24417733:24419159:-1 gene:DRNTG_25391 transcript:DRNTG_25391.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQENAARAIGLLARDLESIDRMVQAGVCSAFAKVLKDAPMNVQATVAWAVSELAGNCPNSQDVFAQNNVVRFLVGHLAFETVQEHSKYTIQSKVNSIHSAVLANKDTSSGKPPHIPDDSLESGNHGHVVARPTTAAKPSRSNNGSLSGASIRGREYEEPATKNYMKAMAARALSQLSKGNLAISKSITESKALLCFAVLLEKGTEDVRYNCALALMEITKVAEQDADLRRSAFKPTTPAAKAVVDQLLRIILSEKPEHDELLVPCIVALGCLSRTFRATETRIIGPLVGFLDDREEVVIKEAAVALTKFACTENYLHHDHSKAILKAGGAKHLIQHVYFGEQGVQMAALILLCYIALHVPDSEELAQAEVLTVLEWASKQAFMVQDPKVEELLHESKGRLELYQSRGSRGLY >DRNTG_25391.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24417825:24419159:-1 gene:DRNTG_25391 transcript:DRNTG_25391.10 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQENAARAIGLLARDLESIDRMVQAGVCSAFAKVLKDAPMNVQATVAWAVSELAGNCPNSQDVFAQNNVVRFLVGHLAFETVQEHSKYTIQSKVNSIHSAVLANKDTSSGKPPHIPDDSLESGNHGHVVARPTTAAKPSRSNNGSLSGASIRGREYEEPATKNYMKAMAARALSQLSKGNLAISKSITESKALLCFAVLLEKGTEDVRYNCALALMEITKVAEQDADLRRSAFKPTTPAAKAVVDQLLRIILSEKPEHDELLVPCIVALGCLSRTFRATETRIIGPLVGFLDDREEVVIKEAAVALTKFACTENYLHHDHSKAILKAGGAKHLIQHVYFGEQGVQMAALILLCYIALHVPDSEELAQAEVLTVLEWASKQAFMVQDPKVEELLHESKGRLELYQSRGSRGLY >DRNTG_25391.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24417890:24419159:-1 gene:DRNTG_25391 transcript:DRNTG_25391.11 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQENAARAIGLLARDLESIDRMVQAGVCSAFAKVLKDAPMNVQATVAWAVSELAGNCPNSQDVFAQNNVVRFLVGHLAFETVQEHSKYTIQSKVNSIHSAVLANKDTSSGKPPHIPDDSLESGNHGHVVARPTTAAKPSRSNNGSLSGASIRGREYEEPATKNYMKAMAARALSQLSKGNLAISKSITESKALLCFAVLLEKGTEDVRYNCALALMEITKVAEQDADLRRSAFKPTTPAAKAVVDQLLRIILSEKPEHDELLVPCIVALGCLSRTFRATETRIIGPLVGFLDDREEVVIKEAAVALTKFACTENYLHHDHSKAILKAGGAKHLIQHVYFGEQGVQMAALILLCYIALHVPDSEELAQAEVLTVLEWASKQAFMVQDPKVEELLHESKGRLELYQSRGSRG >DRNTG_25391.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24417825:24420164:-1 gene:DRNTG_25391 transcript:DRNTG_25391.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDGTKAMLAKPIQLADQVTKFADEAHNFRQECLDLKPKTERLSALLRQAARSDLYERPARRITDDTEQALDKALSLVLKCRPHGLLRRVLTIIPAAAFKRVHTSLDNSIADLSWLLRVSSTSHSDEDDDDIHLGLPPIAQNEPILFLIWEQIAALQTGGSLEARADAAASLASLARDNDRYGKLIVEEDGIYPLLRLVKEGRMEGQENAARAIGLLARDLESIDRMVQAGVCSAFAKVLKDAPMNVQATVAWAVSELAGNCPNSQDVFAQNNVVRFLVGHLAFETVQEHSKYTIQSKVNSIHSAVLANKDTSSGKPPHIPDDSLESGNHGHVVARPTTAAKPSRSNNGSLSGASIRGREYEEPATKNYMKAMAARALSQLSKGNLAISKSITESKALLCFAVLLEKGTEDVRYNCALALMEITKVAEQDADLRRSAFKPTTPAAKAVVDQLLRIILSEKPEHDELLVPCIVALGCLSRTFRATETRIIGPLVGFLDDREEVVIKEAAVALTKFACTENYLHHDHSKAILKAGGAKHLIQHVYFGEQGVQMAALILLCYIALHVPDSEELAQAEVLTVLEWASKQAFMVQDPKVEELLHESKGRLELYQSRGSRGLY >DRNTG_25391.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24417825:24420462:-1 gene:DRNTG_25391 transcript:DRNTG_25391.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDGTKAMLAKPIQLADQVTKFADEAHNFRQECLDLKPKTERLSALLRQAARSDLYERPARRITDDTEQALDKALSLVLKCRPHGLLRRVLTIIPAAAFKRVHTSLDNSIADLSWLLRVSSTSHSDEDDDDIHLGLPPIAQNEPILFLIWEQIAALQTGGSLEARADAAASLASLARDNDRYGKLIVEEDGIYPLLRLVKEGRMEGQENAARAIGLLARDLESIDRMVQAGVCSAFAKVLKDAPMNVQATVAWAVSELAGNCPNSQDVFAQNNVVRFLVGHLAFETVQEHSKYTIQSKVNSIHSAVLANKDTSSGKPPHIPDDSLESGNHGHVVARPTTAAKPSRSNNGSLSGASIRGREYEEPATKNYMKAMAARALSQLSKGNLAISKSITESKALLCFAVLLEKGTEDVRYNCALALMEITKVAEQDADLRRSAFKPTTPAAKAVVDQLLRIILSEKPEHDELLVPCIVALGCLSRTFRATETRIIGPLVGFLDDREEVVIKEAAVALTKFACTENYLHHDHSKAILKAGGAKHLIQHVYFGEQGVQMAALILLCYIALHVPDSEELAQAEVLTVLEWASKQAFMVQDPKVEELLHESKGRLELYQSRGSRGLY >DRNTG_25391.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24417825:24419981:-1 gene:DRNTG_25391 transcript:DRNTG_25391.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDGTKAMLAKPIQLADQVTKFADEAHNFRQECLDLKPKTERLSALLRQAARSDLYERPARRITDDTEQALDKALSLVLKCRPHGLLRRVLTIIPAAAFKRVHTSLDNSIADLSWLLRVSSTSHSDEDDDDIHLGLPPIAQNEPILFLIWEQIAALQTGGSLEARADAAASLASLARDNDRYGKLIVEEDGIYPLLRLVKEGRMEGQENAARAIGLLARDLESIDRMVQAGVCSAFAKVLKDAPMNVQATVAWAVSELAGNCPNSQDVFAQNNVVRFLVGHLAFETVQEHSKYTIQSKVNSIHSAVLANKDTSSGKPPHIPDDSLESGNHGHVVARPTTAAKPSRSNNGSLSGASIRGREYEEPATKNYMKAMAARALSQLSKGNLAISKSITESKALLCFAVLLEKGTEDVRYNCALALMEITKVAEQDADLRRSAFKPTTPAAKAVVDQLLRIILSEKPEHDELLVPCIVALGCLSRTFRATETRIIGPLVGFLDDREEVVIKEAAVALTKFACTENYLHHDHSKAILKAGGAKHLIQHVYFGEQGVQMAALILLCYIALHVPDSEELAQAEVLTVLEWASKQAFMVQDPKVEELLHESKGRLELYQSRGSRGLY >DRNTG_25391.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24417890:24419981:-1 gene:DRNTG_25391 transcript:DRNTG_25391.8 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDGTKAMLAKPIQLADQVTKFADEAHNFRQECLDLKPKTERLSALLRQAARSDLYERPARRITDDTEQALDKALSLVLKCRPHGLLRRVLTIIPAAAFKRVHTSLDNSIADLSWLLRVSSTSHSDEDDDDIHLGLPPIAQNEPILFLIWEQIAALQTGGSLEARADAAASLASLARDNDRYGKLIVEEDGIYPLLRLVKEGRMEGQENAARAIGLLARDLESIDRMVQAGVCSAFAKVLKDAPMNVQATVAWAVSELAGNCPNSQDVFAQNNVVRFLVGHLAFETVQEHSKYTIQSKVNSIHSAVLANKDTSSGKPPHIPDDSLESGNHGHVVARPTTAAKPSRSNNGSLSGASIRGREYEEPATKNYMKAMAARALSQLSKGNLAISKSITESKALLCFAVLLEKGTEDVRYNCALALMEITKVAEQDADLRRSAFKPTTPAAKAVVDQLLRIILSEKPEHDELLVPCIVALGCLSRTFRATETRIIGPLVGFLDDREEVVIKEAAVALTKFACTENYLHHDHSKAILKAGGAKHLIQHVYFGEQGVQMAALILLCYIALHVPDSEELAQAEVLTVLEWASKQAFMVQDPKVEELLHESKGRLELYQSRGSRG >DRNTG_25391.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24417733:24420462:-1 gene:DRNTG_25391 transcript:DRNTG_25391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDGTKAMLAKPIQLADQVTKFADEAHNFRQECLDLKPKTERLSALLRQAARSDLYERPARRITDDTEQALDKALSLVLKCRPHGLLRRVLTIIPAAAFKRVHTSLDNSIADLSWLLRVSSTSHSDEDDDDIHLGLPPIAQNEPILFLIWEQIAALQTGGSLEARADAAASLASLARDNDRYGKLIVEEDGIYPLLRLVKEGRMEGQENAARAIGLLARDLESIDRMVQAGVCSAFAKVLKDAPMNVQATVAWAVSELAGNCPNSQDVFAQNNVVRFLVGHLAFETVQEHSKYTIQSKVNSIHSAVLANKDTSSGKPPHIPDDSLESGNHGHVVARPTTAAKPSRSNNGSLSGASIRGREYEEPATKNYMKAMAARALSQLSKGNLAISKSITESKALLCFAVLLEKGTEDVRYNCALALMEITKVAEQDADLRRSAFKPTTPAAKAVVDQLLRIILSEKPEHDELLVPCIVALGCLSRTFRATETRIIGPLVGFLDDREEVVIKEAAVALTKFACTENYLHHDHSKAILKAGGAKHLIQHVYFGEQGVQMAALILLCYIALHVPDSEELAQAEVLTVLEWASKQAFMVQDPKVEELLHESKGRLELYQSRGSRGLY >DRNTG_25391.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24417890:24420164:-1 gene:DRNTG_25391 transcript:DRNTG_25391.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDGTKAMLAKPIQLADQVTKFADEAHNFRQECLDLKPKTERLSALLRQAARSDLYERPARRITDDTEQALDKALSLVLKCRPHGLLRRVLTIIPAAAFKRVHTSLDNSIADLSWLLRVSSTSHSDEDDDDIHLGLPPIAQNEPILFLIWEQIAALQTGGSLEARADAAASLASLARDNDRYGKLIVEEDGIYPLLRLVKEGRMEGQENAARAIGLLARDLESIDRMVQAGVCSAFAKVLKDAPMNVQATVAWAVSELAGNCPNSQDVFAQNNVVRFLVGHLAFETVQEHSKYTIQSKVNSIHSAVLANKDTSSGKPPHIPDDSLESGNHGHVVARPTTAAKPSRSNNGSLSGASIRGREYEEPATKNYMKAMAARALSQLSKGNLAISKSITESKALLCFAVLLEKGTEDVRYNCALALMEITKVAEQDADLRRSAFKPTTPAAKAVVDQLLRIILSEKPEHDELLVPCIVALGCLSRTFRATETRIIGPLVGFLDDREEVVIKEAAVALTKFACTENYLHHDHSKAILKAGGAKHLIQHVYFGEQGVQMAALILLCYIALHVPDSEELAQAEVLTVLEWASKQAFMVQDPKVEELLHESKGRLELYQSRGSRG >DRNTG_25391.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24417733:24419981:-1 gene:DRNTG_25391 transcript:DRNTG_25391.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDGTKAMLAKPIQLADQVTKFADEAHNFRQECLDLKPKTERLSALLRQAARSDLYERPARRITDDTEQALDKALSLVLKCRPHGLLRRVLTIIPAAAFKRVHTSLDNSIADLSWLLRVSSTSHSDEDDDDIHLGLPPIAQNEPILFLIWEQIAALQTGGSLEARADAAASLASLARDNDRYGKLIVEEDGIYPLLRLVKEGRMEGQENAARAIGLLARDLESIDRMVQAGVCSAFAKVLKDAPMNVQATVAWAVSELAGNCPNSQDVFAQNNVVRFLVGHLAFETVQEHSKYTIQSKVNSIHSAVLANKDTSSGKPPHIPDDSLESGNHGHVVARPTTAAKPSRSNNGSLSGASIRGREYEEPATKNYMKAMAARALSQLSKGNLAISKSITESKALLCFAVLLEKGTEDVRYNCALALMEITKVAEQDADLRRSAFKPTTPAAKAVVDQLLRIILSEKPEHDELLVPCIVALGCLSRTFRATETRIIGPLVGFLDDREEVVIKEAAVALTKFACTENYLHHDHSKAILKAGGAKHLIQHVYFGEQGVQMAALILLCYIALHVPDSEELAQAEVLTVLEWASKQAFMVQDPKVEELLHESKGRLELYQSRGSRGLY >DRNTG_03610.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:166977:171461:-1 gene:DRNTG_03610 transcript:DRNTG_03610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSGSSCTWKEWSISCGAHMMCTSTHHLPFSISFPKIELSIQRDFARAVLYEDRRKVKFLADGRWGIRKVRGAVPHDLGTHDPWQEMNAYNIHDTSRWKDLNPKFVLQIYRDFAATGDMSFGREVWPAVCAAIDYMEQFDQDEDGLIENDGFPDQTYDAWTVHGISAYCGCLWLAALQAAAAMGQRLGDHAYAEKCKIKFLKAKAVFETKLWNGSYFNYDSGTSSNSRSIQADQLAGQWYVASSGLPSLFDESKVRSTLQKIFEFNVMKVKGGRMGAVNGMHPNGKVDESCMQSREIWTGVTYSVAANMLLAGMEHQAFTTAEGIFTSGWSEEGFGYWFQTPEGWTTDGHYRLLDLYASSRNLGNAMGIVTSQGNTRSSENKHDG >DRNTG_03610.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:171663:173919:-1 gene:DRNTG_03610 transcript:DRNTG_03610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSLFHCRKHSWPPDEYVSRATLQLLDFDGAAPPEQAWRRRLNSHANRLKEFSVTFMEAMRMLGLGVRLWSYVREEASYGRKAPIDPFTRERCKPSASQGVPLGGMGSGSISRGFRGEFKHWQIIPGLCEMSPVMANQFSIFISRDGGNKKYSSVLAPGHHEGIK >DRNTG_03610.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:166977:173919:-1 gene:DRNTG_03610 transcript:DRNTG_03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSGSSCTWKEWSISCGAHMMCTSTHHLPFSISFPKIELSIQRDFARAVLYEDRRKVKFLADGRWGIRKVRGAVPHDLGTHDPWQEMNAYNIHDTSRWKDLNPKFVLQIYRDFAATGDMSFGREVWPAVCAAIDYMEQFDQDEDGLIENDGFPDQTYDAWTVHGISAYCGCLWLAALQAAAAMGQRLGDHAYAEKCKIKFLKAKAVFETKLWNGSYFNYDSGTSSNSRSIQADQLAGQWYVASSGLPSLFDESKVRSTLQKIFEFNVMKVKGGRMGAVNGMHPNGKVDESCMQSREIWTGVTYSVAANMLLAGMEHQAFTTAEGIFTSGWSEEGFGYWFQTPEGWTTDGHYRLLDLYASSRNLGNAMGIVTSQGNTRSSENKHDG >DRNTG_03610.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:166977:173919:-1 gene:DRNTG_03610 transcript:DRNTG_03610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSGSSCTWKEWSISCGAHMMCTSTHHLPFSISFPKIELSIQRDFARAVLYEDRRKVKFLADGRWGIRKVRGAVPHDLGTHDPWQEMNAYNIHDTSRWKDLNPKFVLQIYRDFAATGDMSFGREVWPAVCAAIDYMEQFDQDEDGLIENDGFPDQTYDAWTVHGISAYCGCLWLAALQAAAAMGQRLGDHAYAEKCKIKFLKAKAVFETKLWNGSYFNYDSGTSSNSRSIQADQLAGQWYVASSGLPSLFDESKVRSTLQKIFEFNVMKVKGGRMGAVNGMHPNGKVDESCMQSREIWTGVTYSVAANMLLAGMEHQAFTTAEGIFTSGWSEEGFGYWFQTPEGWTTDGHYRLLDLYASSRNLGNAMGIVTSQGNTRSSENKHDG >DRNTG_03865.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3685772:3687436:-1 gene:DRNTG_03865 transcript:DRNTG_03865.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIPWVIMSEIFPINMKASAGSLVTLISWFGSWIVSYAFNFLMAWNSAGTFFMFATVCGLTVLFVAKLVPETKDRTLEEIQASMNSFSLGKPRIQHP >DRNTG_03865.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3685772:3691544:-1 gene:DRNTG_03865 transcript:DRNTG_03865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAVMSGRVTDMIGRKLAMATSDFFCIVGWLAIFFAKGVWWLDLGRLSVGYGIGLLSYIVPVYIAEITPKNLRGGFTTVNQLMICCGSSLAFLFGTFLTWRTLALLGVFPCLLQLVGLLFIPESPRWLAKVGHHARFEAALQSLRGKETDISLEAEEIKDFTETLQRSPKTTVLDLFQKKYLHSVVVGVGLMVFQQFGGVNAVCFYASAIFVSAGFSSDIGSIAMVIIQIPMTTLGVFLMDVSGRRPLLMISAAGTCLGCFLVGMSFLFKGHELLMNVNPFFALVGILVFTGSFSLGMGGIPWVIMSEIFPINMKASAGSLVTLISWFGSWIVSYAFNFLMAWNSAGTFFMFATVCGLTVLFVAKLVPETKDRTLEEIQASMNSFSLGKPRIQHP >DRNTG_03865.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3685772:3691544:-1 gene:DRNTG_03865 transcript:DRNTG_03865.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGVWWLDLGRLSVGYGIGLLSYIVPVYIAEITPKNLRGGFTTVNQLMICCGSSLAFLFGTFLTWRTLALLGVFPCLLQLVGLLFIPESPRWLAKVGHHARFEAALQSLRGKETDISLEAEEIKDFTETLQRSPKTTVLDLFQKKYLHSVVVGVGLMVFQQFGGVNAVCFYASAIFVSAGFSSDIGSIAMVIIQIPMTTLGVFLMDVSGRRPLLMISAAGTCLGCFLVGMSFLFKGHELLMNVNPFFALVGILVFTGSFSLGMGGIPWVIMSEIFPINMKASAGSLVTLISWFGSWIVSYAFNFLMAWNSAGTFFMFATVCGLTVLFVAKLVPETKDRTLEEIQASMNSFSLGKPRIQHP >DRNTG_17989.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18531778:18534169:1 gene:DRNTG_17989 transcript:DRNTG_17989.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFPRLVARVEALEGRSHSQSTAPSLQTNEAPRTDAPSEFDDEDIIGVAIRRRPHSKRLAKKRKTIMPPSPPPTDDETIAALSAADGVTVDDMLVTVEEIADDAEIAVVDKIVDSVVNDNMNPVESASDSTASKMDIIHEE >DRNTG_19817.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14672837:14674960:-1 gene:DRNTG_19817 transcript:DRNTG_19817.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPSPSLPSLRRPLTNPLVSPTSSLSPTSF >DRNTG_19817.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14674589:14674960:-1 gene:DRNTG_19817 transcript:DRNTG_19817.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPSPSLPSLRRPLTNPLVSPTSSLSPTSF >DRNTG_24553.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001308.1:149038:149927:1 gene:DRNTG_24553 transcript:DRNTG_24553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDRGGGFPMAARRRHPQAAALTSSPPSMAPGAFRRASVTSSASQSSSAFPSMPPSSLPSSFPTAALPPTPRTSVISTEILKPKLRVLSRRLSSLFLVSLFNFSHAYLFIYLM >DRNTG_18374.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000946.1:36364:40150:-1 gene:DRNTG_18374 transcript:DRNTG_18374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSESEAVFDACDLNPQRFINEVLNTSDDLLDGAFQFCLQQASIITGYGEKQSDELAKGVSYLRNMTQGILDKRMNIWEKYCLRHCFSVPEGFLLQKTQDLSVENLSLQEGGSEAELDSQLSSLREKLAAVRKESSELHREIQALEKQASLNNSYDASIAEALQLYEPNSTHEMFQEVRKVASVLQAKAETMQIKRTKDMEPLIAGKINSPSKRQHVFDNHDLTASLDDILGVITVLKNM >DRNTG_18374.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000946.1:36364:39312:-1 gene:DRNTG_18374 transcript:DRNTG_18374.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQGILDKRMNIWEKYCLRHCFSVPEGFLLQKTQDLSVENLSLQEGGSEAELDSQLSSLREKLAAVRKESSELHREIQALEKQASLNNSYDASIAEALQLYEPNSTHEMFQEVRKVASVLQAKAETMQIKRTKDMEPLIAGKINSPSKRQHVFDNHDLTASLDDILGVITVLKNM >DRNTG_08936.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27326680:27328991:-1 gene:DRNTG_08936 transcript:DRNTG_08936.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGMGAFWGTRVMEVVKRNNSPGLLWKRIKLTGTRKNNAKKRLRRIWQNEAVIRACADPPASETSTTASSVGGGHQ >DRNTG_35006.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24130110:24131792:1 gene:DRNTG_35006 transcript:DRNTG_35006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRALMESQNSKNGLATKVTAGNQFAGESTINRPMKPSPSSEQLVLPASASQMLHKIQRF >DRNTG_35006.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24130110:24131507:1 gene:DRNTG_35006 transcript:DRNTG_35006.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRALMESQNSKNGLATKVTAGNQFAGESTINRPMKPSPSSEQLVLPASASQMLHKIQRF >DRNTG_35006.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24130110:24131507:1 gene:DRNTG_35006 transcript:DRNTG_35006.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRALMESQNSKNGLATKVTAGNQFAGESTINRPMKPSPSSEQLVLPASASQMLHKIQRF >DRNTG_33045.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26975815:26977881:-1 gene:DRNTG_33045 transcript:DRNTG_33045.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g53600, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G53600) UniProtKB/Swiss-Prot;Acc:Q9C8L6] MLIEKLSPRVSGKHLSFPALLRLSLGYSGVPLQSPSPIPESRTSVSCFTKTGRYLMLLNSEITNFGRNGNLDEARMVFDQMPFRDVVSWTAMLTAYAGNGQVVKAREVFDEMPTRNTASWNAMISAYVHDLNLSEAFELFMRMPRKNVISYSAMITGFAKSRMLREAEDVYKRMPPSWRDPVASNALIYGYLRNGEVEEAARVFNAMVMKDVISWSSMVDGYCKNGRVFDAREMFEEMPVRNVVSWTAMIHGYVKVGMWVDGLMMFSRMRREGIMINSTTLAIVLDACAEFGRNSEGIQIHGLTVRMGFGSDIFLCNSIIAMYSRAGWMLAARTVFDNMRKKDVVSWNSLITGYVQHDDIEEANALFEEMPEKDAVTWTSMVVGFSKRGWIRESVRLFEAMPEKDDVAWTAMISCFAANGEYVRAFQLFQCMLQEGLRPNPLVLSSVLSALSGLAILQQGVQVHAFIVKMELEFDSSIQSSLVSMYAKCGSLIDAFRIFSNISELTIIAVNSMITGFAQHGLAKEALRVFKEAEANGCKPNHVTFLGILSACSCAGLVEEGYHYFKLMDSCYCIEPGPDHYTCMVDLLGRAGMLKEALDLINSMPFDPNAAIWGALLNAGKVHSDLNIAKLAAQRLFELDPGNATAYAVLSNMYSLAGMKDNEEELRITQRTRGLRKNPGYSWIALDK >DRNTG_30925.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26018508:26019274:-1 gene:DRNTG_30925 transcript:DRNTG_30925.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRMGSMSSNSLALFPLLALMFVFLLSLVVVEGMQMQMQMQMQMKEKVACNNGTSVGECLIEAEEEWSFDSETARRMLAGGTNPIAPKATIPELAACDGKGGQPYTQGGGPCNTIVNKGKRNCEQIHKCPTTN >DRNTG_30925.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26018508:26019096:-1 gene:DRNTG_30925 transcript:DRNTG_30925.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRMGSMSSNSLALFPLLALMFVFLLSLVVVEGMQMQMQMQMQMKEKVACNNGTSVGECLIEAEEEWSFDSETARRMLAGGTNPIAPKATIPELAACDGKGGQPYTQGGGPCNTIVNKGKRNCEQIHKCPTTN >DRNTG_30925.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26018484:26019274:-1 gene:DRNTG_30925 transcript:DRNTG_30925.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRMGSMSSNSLALFPLLALMFVFLLSLVVVEGMQMQMQMQMQMKEKVACNNGTSVGECLIEAEEEWSFDSETARRMLAGGTNPIAPKATIPELAACDGKGGQPYTQGGGPCNTIVNKGKRNCEQIHKCPTTN >DRNTG_30925.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26018484:26019096:-1 gene:DRNTG_30925 transcript:DRNTG_30925.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRMGSMSSNSLALFPLLALMFVFLLSLVVVEGMQMQMQMQMQMKEKVACNNGTSVGECLIEAEEEWSFDSETARRMLAGGTNPIAPKATIPELAACDGKGGQPYTQGGGPCNTIVNKGKRNCEQIHKCPTTN >DRNTG_13959.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:12220291:12223976:1 gene:DRNTG_13959 transcript:DRNTG_13959.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGVNGNDGAGVDSGHARLHELGYKQELKRDLSVLSNFAFSFSIISVLTGITTLYNTGLKYGGPIVMTYGWFVAAFFTMSVGLSMAEICSSFPTSGGLYYWSARLSGKKWAPFASWMTGWFNIVGQWAVTTSVDFSLAQLIQVIILLSTGGNNGGGYLASKYVVIAFHGGILLVHAILNSLPISWLSFFGQLAAAWNLLGVFVLMILIPTVATKKASAEFVFTHFNTDNDAGIHSKVYIFVLGLLMSQYTLTGYDASAHMTEETKNADMNGPKGIISAIGISIIVGWGYLLGITFAVTNIPELLNLDNDAGGYAIAEVFYQVFKSRYGNGVGGIICLGIIAVAIFFCGMSSVTSNSRYKLITLHIIFVQFIHS >DRNTG_13959.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:12220291:12223976:1 gene:DRNTG_13959 transcript:DRNTG_13959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGVNGNDGAGVDSGHARLHELGYKQELKRDLSVLSNFAFSFSIISVLTGITTLYNTGLKYGGPIVMTYGWFVAAFFTMSVGLSMAEICSSFPTSGGLYYWSARLSGKKWAPFASWMTGWFNIVGQWAVTTSVDFSLAQLIQVIILLSTGGNNGGGYLASKYVVIAFHGGILLVHAILNSLPISWLSFFGQLAAAWNLLGVFVLMILIPTVATKKASAEFVFTHFNTDNDAGIHSKVYIFVLGLLMSQYTLTGYDASAHMTEETKNADMNGPKGIISAIGISIIVGWGYLLGITFAVTNIPELLNLDNDAGGYAIAEVFYQVFKSRYGNGVGGIICLGIIAVAIFFCGMSSVTSNSRMAYAFSRDGAMPLSAQWHKVNKNEVPINAVWLSVFISFCMALTSLGSLVAFQAMVSIATIGLYIAYALPIFFRVTLARRTFVPGPFNLGRYGVLVGWIAVLWVATITVMFSLPVQYPVTKDTLNYTPVAVGGLLILTVSSWFISARHWFKGPITNLST >DRNTG_13959.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:12220988:12223976:1 gene:DRNTG_13959 transcript:DRNTG_13959.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILIPTVATKKASAEFVFTHFNTDNDAGIHSKVYIFVLGLLMSQYTLTGYDASAHMTEETKNADMNGPKGIISAIGISIIVGWGYLLGITFAVTNIPELLNLDNDAGGYAIAEVFYQVFKSRYGNGVGGIICLGIIAVAIFFCGMSSVTSNSRYKLITLHIIFVQFIHS >DRNTG_13959.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:12220291:12220873:1 gene:DRNTG_13959 transcript:DRNTG_13959.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGVNGNDGAGVDSGHARLHELGYKQELKRDLSVLSNFAFSFSIISVLTGITTLYNTGLKYGGPIVMTYGWFVAAFFTMSVGLSMAEICSSFPTSGGLYYWSARLSGKKWAPFASWMTGWYGFTLSLLLLLTW >DRNTG_03096.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:504468:505482:-1 gene:DRNTG_03096 transcript:DRNTG_03096.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKISDFGMARIFGGDEAEANTRKVVGTYGYMSPEYAMDGIFSQKSDVFSFGVLVLEIITGKKNRGVYLAAPHTNLLDHVWNSWKEGNGLQMVDESMGYSYPMKEVMRCINVGLLCVQNHPEDRPLMSSVLLLLSRDNTLLPYPKEPGFAARKVTHQMESTSSKPNSSSINGITVTLLEGR >DRNTG_03096.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:504468:506284:-1 gene:DRNTG_03096 transcript:DRNTG_03096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIATSFSEPSSSNQVAGSLPGKKIELPLLNFNTIAAATDYFANANKLGEGGFGPVYKGKLGDEQEIAVKRLAKTSIQGLDEFKNEVVLIAKLQHRNLVRLLGCCIEGEERLLVYEYMPNKSLDFFLFGKSKDVVFDWETRFKIITGIARGLLYLHHDSRLRIIHRDLKASNVLLDKEMTAKISDFGMARIFGGDEAEANTRKVVGTYGYMSPEYAMDGIFSQKSDVFSFGVLVLEIITGKKNRGVYLAAPHTNLLDHVWNSWKEGNGLQMVDESMGYSYPMKEVMRCINVGLLCVQNHPEDRPLMSSVLLLLSRDNTLLPYPKEPGFAARKVTHQMESTSSKPNSSSINGITVTLLEGR >DRNTG_23274.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001262.1:40195:48161:-1 gene:DRNTG_23274 transcript:DRNTG_23274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMSTDLATTFLGFAKRHVAIATVRLRPALFARTRAPSHRALTRVAAPSISVRMLKSKAATRRFTASAASTAEENSDVMTKIPPDDRIPATIITGFLGSGKTTLLNHILTADHGKRIAVIENEYGEIDIDGSLVAAKTTGAEDIIMLNNGCLCCTVRGDLVRMISELVAKKKGKFDHIVIETTGLANPAPIIQTFYAEDNIFNDVKLDGVVTLVDAKHADRHLDEVKPKGVVNEAVEQIAYADRIIVNKTDLVGESEISSLAQRIKTINSMANLKRTEYGRVELDYVLGIGGFDLERIETVVNEEGSKEEHHDHGHSHHDGHHHDDHHHHHEHDHKHDDHTHDHVHDPGVSSVSIVCEGNLDLEKANMWLGTLLMERSDDIYRMKGLLSVDGMPERFVFQGVHDIFQGSPDRLWGSNEPRINKIVFIGKNLDAQELEKGFKACLL >DRNTG_02290.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:818950:821902:-1 gene:DRNTG_02290 transcript:DRNTG_02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVLRSPTHIPSPSSSPLSCSPTDDGRGLLRRRRVEDGVERKEERKGQEDQLSLLTFVLTVLRKSLAGCRTEGVEEELRSMEIGWPTNVRHVAHVTFDRFHGFLGLPVEFEPEVPRRVPSASTNAFGVSTQSMQCAYDSRGNSVPTILLLMQQRLYEQGGLQAEGIFRINAENSQEEFVRDQLNNGIVPDGIDVHCLAGLIKAWFRELPTAVLDCLTPEQVAQCQSEDECAQLVKLLPPSEAALLDWAINLMADVVQEEQQNKMNARNVAMVFAPNMTQMADPLTALMYAVQVMNFLKMLILKTLKDRQEPFLEDNASVPHPDPSDGNSHHSLCLPNPMVSHNVEDTEHVFVAKEPVLDNPTHLNRQSLAAEGSIDNSQTSSENAVSQTTSQSTDECSCEVSVPIENPTKGSGHRMSSRRKKGQPSTVNNKKVTRKMNSQPAVRGMPAEKTLGISVVSSINSKVKRIEAWR >DRNTG_01933.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32452826:32455976:1 gene:DRNTG_01933 transcript:DRNTG_01933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLEKGRRVCVTGAGGYVASWLIKLLLCHGYQVHGTVRDPSDKRNSHLMKLDKASENLRLFKVELIDYDSVLAAIAGCEGVFHLASPVPATKVPNPEAEVIVPAVSGTLNVLKACSALRVKRAVVVSSVAAVAIKPQWPDGKVMDEESWSDKEYCRITEEWYCLSKTMAEADAFGVWTETWTRCYNCLPIFGYWTYVATKCQCKQLVSHHYSERNLQINGGQNPSHCGCSRFS >DRNTG_28945.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001461.1:47073:60483:1 gene:DRNTG_28945 transcript:DRNTG_28945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRRRLFPPCLRHLKKIHTKQPKKDNTSTLSLTS >DRNTG_28945.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001461.1:47073:47391:1 gene:DRNTG_28945 transcript:DRNTG_28945.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLQVVLLSYQVINKCIAIATNSFNLGGIFICGVTCFIHSSFNKHIFKVLPCP >DRNTG_03626.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11645141:11646975:1 gene:DRNTG_03626 transcript:DRNTG_03626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHFRELGLGNGRSGSSRKGKKSSSDKPKQPQRGLGVAQLEKIRLHSQMAAAYLPSLQSPPFCSNLNKEGDHISEDVACSQSFYSNSTSSSSFLGLHPNHMMAFGDDERNDIRYREFPATPSASSLSNNSNFFLPPSFAQETVTLPLLEDNIEDSVQWKTWQERHWSMMRTVNQNPDSSSDSQELDLDLKLSL >DRNTG_05642.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22320124:22321503:-1 gene:DRNTG_05642 transcript:DRNTG_05642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDTVVVVVPFVAQGHLNAFLHLSHRLSSHGLPVHYVSSTSHVHQVISRSTSTTFLSHTGHIHFHHFPLPPYPSPPPTPTSPSTSTHFPSHLQPSFNASLHLKLPLTSLLHSLSSSSRRLILIHDSAMSFAATAAISIPNVETYVFHTVAAISLSFLQWEARGKPPEPWVQSLNLPDLSFDSCFTDEFFNFFKFQHSLTSTASGRLINSSVSIEDIFLQHLRQEPNWQGQKVFAIGPLNPVINIKPRMTTSRCLQWLDEQPLASVVYVAFGTTTSFSQEQVGEIKNGLVSSGQRFILMCRDADLGDIFAGGNSVEKCDEIEEVGGGVVVRGWAPQLEILSHSSMAAFMSHCGWNSCMESLSLGVPVLAWPMHSDQPWNAVLLTEILKVGILVREWKKRNELVTKETVKDLVLKIVVGDEGKKMRERAVEIKDSLREAMSEAGASKIEFDSFIAHITRS >DRNTG_02848.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20710107:20710657:-1 gene:DRNTG_02848 transcript:DRNTG_02848.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQPIARFQGQATDVDLARKEIKNVKNELVALYSKHIGKSKEQIEEDIWRPKYFSPSEAVEYGIIDK >DRNTG_02848.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20710107:20711133:-1 gene:DRNTG_02848 transcript:DRNTG_02848.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQPIARFQGQATDVDLARKEIKNVKNELVALYSKHIGKSKEQIEEDIWRPKYFSPSEAVEYGIIDK >DRNTG_02848.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20709327:20713024:-1 gene:DRNTG_02848 transcript:DRNTG_02848.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQPIARFQGQATDVDLARKEIKNVKNELVALYSKHIGKSKEQIEEDIWRPKYFSPSEAVEYGIIDKVLYNERGREDRSVVSDLRKAQLI >DRNTG_02848.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20709327:20710415:-1 gene:DRNTG_02848 transcript:DRNTG_02848.2 gene_biotype:protein_coding transcript_biotype:protein_coding QPIARFQGQATDVDLARKEIKNVKNELVALYSKHIGKSKEQIEEDIWRPKYFSPSEAVEYGIIDKVLYNERGREDRSVVSDLRKAQLI >DRNTG_02473.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2154222:2157421:-1 gene:DRNTG_02473 transcript:DRNTG_02473.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALVEEVIEPLKYQTLALKVSIHCEGCKRKVKRVLQSIDGVYKIAFDAQQHKVTITGNVDAETLIKKLLKNGKNAELWPEIKPPNPNPNPKSKKKKKSKSSQKPPESAENPESKPSAATDDDETPGENTELDSSKSDDSDEEPNNPSPPADNP >DRNTG_02473.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2153597:2155766:-1 gene:DRNTG_02473 transcript:DRNTG_02473.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIPMKNPITHPHPPIIPHLPPQPPMAVPPHPAAARRRKRKRRSHTHQHPPPPVAAAAAAIQKDQRSSSFHHHIRRLSAHPILAHTMFHRRSRW >DRNTG_02473.24.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2154222:2155256:-1 gene:DRNTG_02473 transcript:DRNTG_02473.24 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKEQSRVPKSFPSRDRSPRNLLFLPTNSPPSLSAIQLKANRTFTTIPFTYSPLLFFPNLFTILFNQSSHYSLPTIFSSSHGFS >DRNTG_02473.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2153597:2155766:-1 gene:DRNTG_02473 transcript:DRNTG_02473.7 gene_biotype:protein_coding transcript_biotype:protein_coding MALVEEVIEPLKYQTLALKVSIHCEGCKRKVKRVLQSIDGELQTLTKSCSFF >DRNTG_02473.23 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2154222:2155256:-1 gene:DRNTG_02473 transcript:DRNTG_02473.23 gene_biotype:protein_coding transcript_biotype:protein_coding MALVEEVIEPLKYQTLALKVSIHCEGCKRKVKRVLQSIDGELQTLTKSCSFF >DRNTG_02473.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2153597:2155766:-1 gene:DRNTG_02473 transcript:DRNTG_02473.6 gene_biotype:protein_coding transcript_biotype:protein_coding MALVEEVIEPLKYQTLALKVSIHCEGCKRKVKRVLQSIDGVYKIAFDAQQHKVTITGNVDAETLIKKLLKNGKNAELWPEIKPPNPNPNPKSKKKKKSKSSQKPPESAENPESKPSAATDDDETPGENTELDSSKSDDSDEEPNNPSPPADNPPPPTPTPNGGAPASGGGKKKKKKKKKPHAPAPTSAGGGGGGGDSKGPEIIVVSSPHPPPLSPPHPRTYHVPSPQPVVSYSTASYFPATHYIPSSATATATATASYYAMMEPALEPAPREDSYSLFSEENANACRVM >DRNTG_02473.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2153597:2155256:-1 gene:DRNTG_02473 transcript:DRNTG_02473.14 gene_biotype:protein_coding transcript_biotype:protein_coding MIPMKNPITHPHPPIIPHLPPQPPMAVPPHPAAARRRKRKRRSHTHQHPPPPVAAAAAAIQKDQRSSSFHHHIRRLSAHPILAHTMFHRRSRW >DRNTG_02473.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2154222:2157421:-1 gene:DRNTG_02473 transcript:DRNTG_02473.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKEQSRVPKSFPSRDRSPRNLLFLPTNSPPSLSAIQLKANRTFTTIPFTYSPLLFFPNLFTILFNQSSHYSLPTIFSSSHGFS >DRNTG_02473.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2153597:2157421:-1 gene:DRNTG_02473 transcript:DRNTG_02473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVEEVIEPLKYQTLALKVSIHCEGCKRKVKRVLQSIDGVYKIAFDAQQHKVTITGNVDAETLIKKLLKNGKNAELWPEIKPPNPNPNPKSKKKKKSKSSQKPPESAENPESKPSAATDDDETPGENTELDSSKSDDSDEEPNNPSPPADNPPPPTPTPNGGAPASGGGKKKKKKKKKPHAPAPTSAGGGGGGGDSKGPEIIVVSSPHPPPLSPPHPRTYHVPSPQPVVSYSTASYFPATHYIPSSATATATATASYYAMMEPALEPAPREDSYSLFSEENANACRVM >DRNTG_02473.19.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2154222:2155623:-1 gene:DRNTG_02473 transcript:DRNTG_02473.19 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKEQSRVPKSFPSRDRSPRNLLFLPTNSPPSLSAIQLKANRTFTTIPFTYSPLLFFPNLFTILFNQSSHYSLPTIFSSSHGFS >DRNTG_02473.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2153597:2155623:-1 gene:DRNTG_02473 transcript:DRNTG_02473.10 gene_biotype:protein_coding transcript_biotype:protein_coding MALVEEVIEPLKYQTLALKVSIHCEGCKRKVKRVLQSIDGELQTLTKSCSFF >DRNTG_02473.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2154222:2155766:-1 gene:DRNTG_02473 transcript:DRNTG_02473.15 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKEQSRVPKSFPSRDRSPRNLLFLPTNSPPSLSAIQLKANRTFTTIPFTYSPLLFFPNLFTILFNQSSHYSLPTIFSSSHGFS >DRNTG_02473.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2153597:2155256:-1 gene:DRNTG_02473 transcript:DRNTG_02473.13 gene_biotype:protein_coding transcript_biotype:protein_coding MALVEEVIEPLKYQTLALKVSIHCEGCKRKVKRVLQSIDGVYKIAFDAQQHKVTITGNVDAETLIKKLLKNGKNAELWPEIKPPNPNPNPKSKKKKKSKSSQKPPESAENPESKPSAATDDDETPGENTELDSSKSDDSDEEPNNPSPPADNPPPPTPTPNGGAPASGGGKKKKKKKKKPHAPAPTSAGGGGGGGDSKGPEIIVVSSPHPPPLSPPHPRTYHVPSPQPVVSYSTASYFPATHYIPSSATATATATASYYAMMEPALEPAPREDSYSLFSEENANACRVM >DRNTG_02473.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2153597:2155623:-1 gene:DRNTG_02473 transcript:DRNTG_02473.8 gene_biotype:protein_coding transcript_biotype:protein_coding MALVEEVIEPLKYQTLALKVSIHCEGCKRKVKRVLQSIDGVYKIAFDAQQHKVTITGNVDAETLIKKLLKNGKNAELWPEIKPPNPNPNPKSKKKKKSKSSQKPPESAENPESKPSAATDDDETPGENTELDSSKSDDSDEEPNNPSPPADNPPPPTPTPNGGAPASGGGKKKKKKKKKPHAPAPTSAGGGGGGGDSKGPEIIVVSSPHPPPLSPPHPRTYHVPSPQPVVSYSTASYFPATHYIPSSATATATATASYYAMMEPALEPAPREDSYSLFSEENANACRVM >DRNTG_02473.20 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2154222:2155623:-1 gene:DRNTG_02473 transcript:DRNTG_02473.20 gene_biotype:protein_coding transcript_biotype:protein_coding MALVEEVIEPLKYQTLALKVSIHCEGCKRKVKRVLQSIDGELQTLTKSCSFF >DRNTG_02473.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2153597:2155623:-1 gene:DRNTG_02473 transcript:DRNTG_02473.9 gene_biotype:protein_coding transcript_biotype:protein_coding MIPMKNPITHPHPPIIPHLPPQPPMAVPPHPAAARRRKRKRRSHTHQHPPPPVAAAAAAIQKDQRSSSFHHHIRRLSAHPILAHTMFHRRSRW >DRNTG_02473.21 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2154222:2155256:-1 gene:DRNTG_02473 transcript:DRNTG_02473.21 gene_biotype:protein_coding transcript_biotype:protein_coding MALVEEVIEPLKYQTLALKVSIHCEGCKRKVKRVLQSIDGVYKIAFDAQQHKVTITGNVDAETLIKKLLKNGKNAELWPEIKPPNPNPNPKSKKKKKSKSSQKPPESAENPESKPSAATDDDETPGENTELDSSKSDDSDEEPNNPSPPADNP >DRNTG_02473.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2153635:2155623:-1 gene:DRNTG_02473 transcript:DRNTG_02473.12 gene_biotype:protein_coding transcript_biotype:protein_coding MIPMKNPITHPHPPIIPHLPPQPPMAVPPHPAAARRRKRKRRSHTHQHPPPPVAAAAAAIQKDQRSSSFHHHIRRLSAHPILAHTMFHRRSRW >DRNTG_02473.17.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2154222:2155766:-1 gene:DRNTG_02473 transcript:DRNTG_02473.17 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKEQSRVPKSFPSRDRSPRNLLFLPTNSPPSLSAIQLKANRTFTTIPFTYSPLLFFPNLFTILFNQSSHYSLPTIFSSSHGFS >DRNTG_02473.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2153597:2155766:-1 gene:DRNTG_02473 transcript:DRNTG_02473.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIPMKNPITHPHPPIIPHLPPQPPMAVPPHPAAARRRKRKRRSHTHQHPPPPVAAAAAAIQKDQRSSSFHHHIRRLSAHPILAHTMFHRRSRW >DRNTG_02473.22.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2154222:2155256:-1 gene:DRNTG_02473 transcript:DRNTG_02473.22 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKEQSRVPKSFPSRDRSPRNLLFLPTNSPPSLSAIQLKANRTFTTIPFTYSPLLFFPNLFTILFNQSSHYSLPTIFSSSHGFS >DRNTG_02473.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2153635:2155623:-1 gene:DRNTG_02473 transcript:DRNTG_02473.11 gene_biotype:protein_coding transcript_biotype:protein_coding MALVEEVIEPLKYQTLALKVSIHCEGCKRKVKRVLQSIDGVYKIAFDAQQHKVTITGNVDAETLIKKLLKNGKNAELWPEIKPPNPNPNPKSKKKKKSKSSQKPPESAENPESKPSAATDDDETPGENTELDSSKSDDSDEEPNNPSPPADNPPPPTPTPNGGAPASGGGKKKKKKKKKPHAPAPTSAGGGGGGGDSKGPEIIVVSSPHPPPLSPPHPRTYHVPSPQPVVSYSTASYFPATHYIPSSATATATATASYYAMMEPALEPAPREDSYSLFSEENANACRVM >DRNTG_02473.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2154222:2155766:-1 gene:DRNTG_02473 transcript:DRNTG_02473.16 gene_biotype:protein_coding transcript_biotype:protein_coding MALVEEVIEPLKYQTLALKVSIHCEGCKRKVKRVLQSIDGVYKIAFDAQQHKVTITGNVDAETLIKKLLKNGKNAELWPEIKPPNPNPNPKSKKKKKSKSSQKPPESAENPESKPSAATDDDETPGENTELDSSKSDDSDEEPNNPSPPADNP >DRNTG_02473.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2154222:2155623:-1 gene:DRNTG_02473 transcript:DRNTG_02473.18 gene_biotype:protein_coding transcript_biotype:protein_coding MALVEEVIEPLKYQTLALKVSIHCEGCKRKVKRVLQSIDGVYKIAFDAQQHKVTITGNVDAETLIKKLLKNGKNAELWPEIKPPNPNPNPKSKKKKKSKSSQKPPESAENPESKPSAATDDDETPGENTELDSSKSDDSDEEPNNPSPPADNP >DRNTG_15673.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28840189:28840911:1 gene:DRNTG_15673 transcript:DRNTG_15673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARFVEIKKARELCGAGWDDENKIITLDPIIAFTYTEAHPAAKPYINKPIENYEGLRIICGEDSATGSYATSLYSDLGEKTVGDEDNENENFESPVEQVVSDDDGIGNSAPPVVNSPVTSSSVRSQRTKGTKDIPMMADLITVIGEMAVAIKNPTHWKETLFSRVMEVEGFNEHVLKEMFDYLQERETEDRRFMVKRVDMLQAWVRKYLANLG >DRNTG_26423.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23926929:23928471:-1 gene:DRNTG_26423 transcript:DRNTG_26423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLVDVHSASMIDPKTVSPIQDTSAAQSSVKHPSECGRASASPKTPMRAISSQTNTFVSPLNATPSQGIASSNCSIISSKTVVVSPLKGKGYCAIERSYHVTSSPLNSNSKKQSKREHIRGRLDFDDVDAATTSDKQPDTTSSASSTDGEIEKLLDFDPADLDFLNGDFSFSQFLVDLGISCEGIPSQPAATSAFLPGLELNMLNGCSETNQVILNPCQSSTTKFLSGDTNTQVPDYVTSISSVTKCIITSPGKTKT >DRNTG_26423.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23926929:23928330:-1 gene:DRNTG_26423 transcript:DRNTG_26423.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLVDVHSASMIDPKTVSPIQDTSAAQSSVKHPSECGRASASPKTPMRAISSQTNTFVSPLNATPSQGIASSNCSIISSKTVVVSPLKGKGYCAIERSYHVTSSPLNSNSKKQSKREHIRGRLDFDDVDAATTSDKQPDTTSSASSTDGEIEKLLDFDPADLDFLNGDFSFSQFLVDLGISCEGIPSQPAATSAFLPGLELNMLNGCSETNQVILNPCQSSTTKFLSGDTNTQVPDYVTSISSVTKCIITSPGKTKT >DRNTG_00129.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2282348:2288862:-1 gene:DRNTG_00129 transcript:DRNTG_00129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCCSKLTEIPITSGSVKLPTQAQAQPKTPSQTPAKTPTQQAQPPSSLHKTPTQPPPSTLPKQDTKTPTKATTLPITSAKSSIPSLSRSTSKLGRVLEKPMVDVNSFFILEKELGRGQFGVTYLCTERSTKHKYACKSVSKQKLVSKSDVQDMRREIMILQHLTGQPNIVEFKGAYEDENSVHLVMELCEGGELFDRIIAKGTYSEKEAAALCRDIVNVVHVCHFMGVIHRDLKPENFLLVKRDTSEIKATDFGLSVFIEEGKVYKELVGSAYYVAPEVLKRNYGKEIDVWSAGVILYILLCGMPPFWAETEKGIFDAILQGHVDLKSAPWPLISDGAKDLIKKMLTQDPKKRITAAQALEHPWLRVGGEAPDKPISSAVQDRLKQFRAMNKMKKLALKVIAENLSEEDIKGLQQMFKNMDTDQSGTITYEELKVGLSRLGSRMTENEIRQLMDAADVDKNGSIDYIEFITATMHRHRLDNDENLYKAFQYFDKDGSGYITRDEIKQAMQEYGMGDDATIDEIIDDVDTDKDGRIDFEEFVAMMRKGHT >DRNTG_04213.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20863284:20864815:1 gene:DRNTG_04213 transcript:DRNTG_04213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMGEGRHTDPTVHAPPWNLFDDPTESVAFQLPVGNDPYLLGDATMAALQRFLPSNEDGDELDAPVDAYSCDEFRMYEFKVRRCARGRSHDWTECPYAHPGEKARRRDPRKYHYSGTACPDFRKGSCKRGDACEFAHGVFECWLHPARYRTQPCKDGTACRRRVCFFAHTPEQLRVLPQTQSPKAPVESYDGSPLRHHALDAYFTKNMFSSSPTSTLISPPVSPPSDSPPMSPVVRRAPVGASVNEVLASLRQLQLSKAKSAPSSWGLQMGCGLGSPRSPAVRAGGGFSSLPATPTRSSVGGSGWFDRWDGGHVDEPPMERVESGRALRAKMFERLSKESGMERPETGSPAPAMGTPDVGWVSELVM >DRNTG_10136.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19110667:19111695:-1 gene:DRNTG_10136 transcript:DRNTG_10136.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCHSSKLSPAVALKKIINRYSHDSQEACPEATTLSPKKLPETNVSNIVS >DRNTG_28822.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4147717:4152724:-1 gene:DRNTG_28822 transcript:DRNTG_28822.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHCICAYPYSGTFSLRAPSFSYLGNSTYFTRLENDIMNSFRKHQLPVDSVSLNNINTDVDEYLSMHLQVFPSGKLRFEQSDISNIGFILSNQTFKTSKDFGPYFFIGAPYTAFLETSTGGKSSNSKTVIIGVVAGAVVLALILIILLVFLVRHKKKTKNAVEKSQPFESWVVTKRSGDAPQLKGARSFTYEEIRKCTNNFAESNEIGTGGYGKVYKGTLANGQLVAIKRAQQGSMQGGLEFKTEIEMLSRVHHKNLVSLIGFCFDKGEQMLIYEYITNGSLKESLTGKSGVQLDWKRRLRVALGAAKGLAYLHDLADPPIIHRDIKSSNILLDNHLNAKVSDFGLSKPMTDTDKGHVTTQVKGTMGYLDPEYYMSQQLTEKSDVYSFGVLLLELLTARKPIELRKICSERGESYDG >DRNTG_28822.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4147717:4152724:-1 gene:DRNTG_28822 transcript:DRNTG_28822.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHCICAYPYSGTFSLRAPSFSYLGNSTYFTRLENDIMNSFRKHQLPVDSVSLNNINTDVDEYLSMHLQVFPSGKLRFEQSDISNIGFILSNQTFKTSKDFGPYFFIGAPYTAFLETSTGGKSSNSKTVIIGVVAGAVVLALILIILLVFLVRHKKKTKNAVEKSQPFESWVVTKRSGDAPQLKGARSFTYEEIRKCTNNFAESNEIGTGGYGKVYKGTLANGQLVAIKRAQQGSMQGGLEFKTEIEMLSRVHHKNLVSLIGFCFDKGEQMLIYEYITNGSLKESLTGKSGVQLDWKRRLRVALGAAKGLAYLHDLADPPIIHRDIKSSNILLDNHLNAKVSDFGLSKPMTDTDKGHVTTQVKGTMGYLDPEYYMSQQLTEKSDVYSFGVLLLELLTARKPIELRKICSERGESYDG >DRNTG_28822.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4147717:4152724:-1 gene:DRNTG_28822 transcript:DRNTG_28822.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHCICAYPYSGTFSLRAPSFSYLGNSTYFTRLENDIMNSFRKHQLPVDSVSLNNINTDVDEYLSMHLQVFPSGKLRFEQSDISNIGFILSNQTFKTSKDFGPYFFIGAPYTAFLETSTGGKSSNSKTVIIGVVAGAVVLALILIILLVFLVRHKKKTKNAVEKSQPFESWVVTKRSGDAPQLKGARSFTYEEIRKCTNNFAESNEIGTGGYGKVYKGTLANGQLVAIKRAQQGSMQGGLEFKTEIEMLSRVHHKNLVSLIGFCFDKGEQMLIYEYITNGSLKESLTGKSGVQLDWKRRLRVALGAAKGLAYLHDLADPPIIHRDIKSSNILLDNHLNAKVSDFGLSKPMTDTDKGHVTTQVKGTMGYLDPEYYMSQQLTEKSDVYSFGVLLLELLTARKPIELRKICSERGESYDG >DRNTG_28822.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4147717:4152724:-1 gene:DRNTG_28822 transcript:DRNTG_28822.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMKKMISLGLSFLLVLAQIMLISAATNAQEAAAVYGLGDQWSNTPSNWNSGDPCDDNWVGIHCDNNSHVTSITLSSLGLEGTLTGDIQSLTELGTLDLSYNKGLTGQIPAFIGSLSKLENLILVGCSFSGEIPAEIGSLKQLIFLSLNSNKFSGRIPSTIGNLAKLYWLDLADNLLTDEIPVSDGKNPGLDMLIDTKHFHLGMNQLSGAIPEQLFSSNMKLIHLLLDNNNLTGEIPSTLGLVQSLEVLRLDRNHLSGSVPSNINNLTKVAEMHLSNNDLTGPLPNLTGMISLTYLDMSNNTFDESATPAWFSTLPSLTTLVLEYLRVSGEIPMALFSSSPLQTVRLRNNKFNGTLNIGTAFSSQLELVDVQYNNIELYTEGGGYNNQLILVGNPYCEHEASSPHCLESQQPITPYSTEPQDNCVPVSCPTDQSMSPHCICAYPYSGTFSLRAPSFSYLGNSTYFTRLENDIMNSFRKHQLPVDSVSLNNINTDVDEYLSMHLQVFPSGKLRFEQSDISNIGFILSNQTFKTSKDFGPYFFIGAPYTAFLETSTGGKSSNSKTVIIGVVAGAVVLALILIILLVFLVRHKKKTKNAVEKSQPFESWVVTKRSGDAPQLKGARSFTYEEIRKCTNNFAESNEIGTGGYGKVYKGTLANGQLVAIKRAQQGSMQGGLEFKTEIEMLSRVHHKNLVSLIGFCFDKGEQMLIYEYITNGSLKESLTGKSGVQLDWKRRLRVALGAAKGLAYLHDLADPPIIHRDIKSSNILLDNHLNAKVSDFGLSKPMTDTDKGHVTTQVKGTMGYLDPEYYMSQQLTEKSDVYSFGVLLLELLTARKPIELRKICSERGESYDG >DRNTG_29336.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29772150:29775290:-1 gene:DRNTG_29336 transcript:DRNTG_29336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVLAGLSWVLGPLQMIKLYAVPYFIFVMWLDLVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLIEATKAAKPVLGKYYKEPAKSGPMPFHLFKDLGRSLKNDHYVSDSGDIVYYQTDPQMNESPQNNSR >DRNTG_25982.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001343.1:14460:37411:-1 gene:DRNTG_25982 transcript:DRNTG_25982.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPGNRGGTAIDAFLTNYKLGKTLGIGSFGKVKIAEHTLTGRKVAVKILNRRKIKNMEMEEKEMKMKMMAGYATLLAFALVSAQQSVTIDALQHNTGSHAFSSATSAVISATVYPQAPMEISKNALVMTIGRPKMASPSALDKTITT >DRNTG_25602.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:252554:256569:-1 gene:DRNTG_25602 transcript:DRNTG_25602.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Granule-bound starch synthase 1, chloroplastic/amyloplastic [Source:Projected from Arabidopsis thaliana (AT1G32900) UniProtKB/Swiss-Prot;Acc:Q9MAQ0] MAAVTASHFVLKSSNSFGGAFGCEPRAFQSGRLSCLANHATSHHHGLRPQNRLDMLQMKPKAKTTSRRRLTPQNRRPLMVVICESGMNMVFVTAEVAPWSKTGGLGDVLGGLPPALAASGHRVMTIAPRYDQYKDAWDTIVLLEVKVDDRMETVRFFHCYKGGVDRVFVDHPWFLEKVWGKTGGKIYGPVTGIDYQDNQLRFSLLCQAALEAPKVLNLNSSKKFSGPYGEDVMFIANDWHTAPLAYYLKSMYQAHGMYKNAKATFCIHNIAYQGRFAPSDYSFLNLPDRFKPYFDFIDGYDKPVKGRKINWMKAGILGADKVFTVSPYYAQELVSGPDKGVELDNVLSAVGVEGIVNGMDVKEWNPLADKYISVDYDQSTVEDAKALNKEALQAEVGLPVDRNIPLIVFIGRLEEQKGPDILVEAIPGFIDENVQIIALGTGKKKLEELLLELEEKFPDNARGVAKFNVPLAHMMMAGADYIIIPSRFEPCGLIQFQGMRYGALPICSSTGGLVDTVKEGVTGYHMGPFNVECEAVDQKDVTAIISTVKRALKDYGTPAFKKMVLNCMAQDLSWEGPAKKWEEALLNLKVVVGEPGIEVEEIASLAKENVATA >DRNTG_33530.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20658040:20661903:1 gene:DRNTG_33530 transcript:DRNTG_33530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIHLYLSGILSVSGCSSRWVGRIPI >DRNTG_19139.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000982.1:21467:23289:1 gene:DRNTG_19139 transcript:DRNTG_19139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRNMAKPMELSKLTLALSIPPSKFEPFDRFMTTLVHLELFAIEQDDSGATKYMLTPASHLLLKDEAMSIAPLITLFLDPTICDSSNALGPWFKGPKGTPFEFYFRKGIWDVAGEKPQFNKMFNEGMASDSRFVCNVVMTSCRDVFKGLKSVVDVGGGTGTMARSIAHTFPRIKFTVFDLPHVIDTIEDQQPGVEYVGGDMFVYVPHANAVLLKWILHDWNNEECVKILQRCKEAIPSRADGGKIIIIDMVIGAVTNKHVCAKETQLLCDLLVTSLYNGKERNECEWHNIFLSAGFTDYKITHFLGIRSIIELYP >DRNTG_24091.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001287.1:20466:21032:-1 gene:DRNTG_24091 transcript:DRNTG_24091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRMNPVNHAHQSHRLQAQGYRYARLLGPANRTQETHTQS >DRNTG_24228.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19810982:19812528:1 gene:DRNTG_24228 transcript:DRNTG_24228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEQMACNGMASPFFPPNFLLQMQTPHEDHHEHTQPSSTTLSPLLPATTPCTTTPPDFRAMLGKRSMSFSGIEPCEEMTGDDDLSDDCSQAGEKKRRLNMEQVRTLEKNFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDVLKRQFEALKSENDALQAHNKKLQSELLALKGREISEPINLNKETEGSCSNRSENSSEINLDISRTSVTETSPLNQQQNSRAFFTAVRPGSMTQLLQAGSTKIENSAPEENLCNMFCNMDDQSAFWAWSEHNHNFH >DRNTG_33985.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002074.1:2342:9604:-1 gene:DRNTG_33985 transcript:DRNTG_33985.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHT1.1 [Source:Projected from Arabidopsis thaliana (AT1G80050) UniProtKB/TrEMBL;Acc:A0A178W1K2] MLTPNMQLFFDKNSGKTISEAYALEYGTDCLEMHVDAVQPGENVLIIDDLVATGGTLRAAIRVLERAGAEVVECGCIVGLPKFKAGYRINGRPVYMLVEAIS >DRNTG_33985.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002074.1:2342:9604:-1 gene:DRNTG_33985 transcript:DRNTG_33985.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHT1.1 [Source:Projected from Arabidopsis thaliana (AT1G80050) UniProtKB/TrEMBL;Acc:A0A178W1K2] MLEEENGTDPRLLAISAAIRVVPHFPKPGIMFNDITPLLLRPKAFKDAIEIFAERYRGMGIHAVAGIEARGFMFGSPLAIAIGAKFIPLHKPGKLPGKTISEAYALEYGTDCLEMHVDAVQPGENVLIIDDLVATGGTLRAAIRVLERAGAEVVECGCIVGLPKFKAGYRINGRPVYMLVEAIS >DRNTG_15932.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:876876:881703:1 gene:DRNTG_15932 transcript:DRNTG_15932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPEHHSPSTRGLLCNAASGAAAGVIAATFVCPLDVIKTRFQVHGLPKLGSGSLKGSLIVGSLEQIVQREGVRGMYRGLSPTVLALLPNWAVYFTVYEQLKSVLYSNDSNELSVGANMIAAAGAGAATTIATNPLWVVKTRFQTQGLRVGVVPYRSTFSALRRIAHEEGIRGLYSGLVPALAGISHVAIQFPAYEKIKAYLAAQDNRTVDSLSAADVALASSISKIAASTMTYPHEVVRSRLQEQGFHSEVRYEGVVDCVKKVFQKEGLAGFYRGCATNLIRTTPAAVITFTSFEMIHRFLINLFPEPHPHPI >DRNTG_21172.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001205.1:20908:25950:-1 gene:DRNTG_21172 transcript:DRNTG_21172.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinaceous RNase P 1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G32230) UniProtKB/Swiss-Prot;Acc:Q66GI4] MCSKSLDLPSALHLYDDALASSLSLNLHLYNSLLYLCSSFPHPLGLQRGFQIFSRMSADPSVVPNEATFTALARLAAADKNPRLAFQYVLQMYSSGIPPKLRSYGPALFGFCENRDLDGAREVEAHMDASGVVPEEAELAALFKLFSESGNADEVYRILHRMRVLVRRVSESTAEILERWFESDVAAEVGVEDWDEKKVKEGVIKGGGGWHGQGWLGNGRWCVGRSEMDGNGVCQKCGERLVCIDIDPNETEDFARSLSALACQREAKDDFSRFEEWLDRWGPFDAVIDAANVGLCNQRDFNFHQLKSVVNGMRESSPLKKLPLVILHNRRVKGGPANSPNNKALIDSWRKAGVLYVTPHGSNDDWYWLYAAVKCKSLLVTNDEMRDHLFELLGTSFFPRWKEKHQVRLSFSRRGLSFHMPPPYSIVIQESEQGSWHMPTTVGDDIETPRQWVCVSRDAASDLSLADPRLIQMKS >DRNTG_05179.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:15920960:15922616:1 gene:DRNTG_05179 transcript:DRNTG_05179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGERLWRCGFWKRDDCETDNESGDGIVYGMSLMLLVEFVSMNAVSLGVKILRKLEISLGSSKGPFIQPRIHFVGSVPTKALPLTFFQMEQDFEAVEPLQIPYQCLSKL >DRNTG_00647.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16588576:16597995:1 gene:DRNTG_00647 transcript:DRNTG_00647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWQMRKGKTREEAEGTSLPQQSDDNLTVADDIDELNLKCLPSLLPQARPLHQGHFIDHFTLPPSLLGRLHQAALNFNTRITLDKISNCFHPSVGPMEFTGLLVPISWQPGIDDVCGLHICILDAKPIKSKGWPKVNMRIKSGIDLQLSVKRKRSCSRCGRKGHYMSICTFIIRGAFFGTDLARCALGLTREPLERTRPLHKTINTNAKKLVEAREMYKDCAVARKSLSKADHIVALLSWMKRSEDRKKDLRIGVIGGNGEKKKMVEKKKKKKKKKKKKKKKKGKGG >DRNTG_15553.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18035458:18035996:1 gene:DRNTG_15553 transcript:DRNTG_15553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFAKTQNPSGATKHLLMPLEDVADEKLEFNKMFNDGMASDLVLVGDVVMMTCRDMFKGLKSLVEVGGGTGPMARAITHVFPEIKCIVLNLPHEINTVKEHICLVEYVSGDMFVSVPLANATLLMDNF >DRNTG_31126.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:145407:148734:-1 gene:DRNTG_31126 transcript:DRNTG_31126.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVGSHGWCQAWDHATIFVLRPLLAVSFVFSLILLSWFVAWKTVLVHVPLVQEIFGLRKKPAKPKPPSRHRLSRFYNSTGVHSKSKLDGEKNSQESRQDS >DRNTG_31126.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:145407:148734:-1 gene:DRNTG_31126 transcript:DRNTG_31126.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVGSHGWCQAWDHATIFVLRPLLAVSFVFSLILLSWFVAWKTVLVHVPLVQEIFGLRKKPAKPKPPSRHRLSRFYNSTGVHSKSKLDGEKNSQESRQDS >DRNTG_31126.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:145407:148734:-1 gene:DRNTG_31126 transcript:DRNTG_31126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVGSHGWCQAWDHATIFVLRPLLAVSFVFSLILLSWFVAWKTVLVHVPLVQEIFGLRKKPAKPKPPSRHRLSRFYNSTGVHSKSKLDGEKNSQESRQDS >DRNTG_21821.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13649890:13669227:1 gene:DRNTG_21821 transcript:DRNTG_21821.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNILKKLHIVRGQSDDADGSPPSRGSRIRSSACSAPLVEQKPLSSLSSWLNSVASKPASSSGPPSASSSSSVVSGGREERRELIERQGLGRELEAKEAVDPDLEEEHQIQLALELSAREDPEAVQIEAVKQISLGPCPSVSNPAEVLAYRYWNYNALSYDDKILDGFYDLYGILADSSLLKMPSLIDLQGMPVASDVSWDAVIVNRPTDTDLLKLEQRALELASEAKPESLGYAGSDLVRKLAVLVSDYMGGTVCDPDSLLVLWMKLSNFLRARTGNMVLPLGHLSVGLARHRALLFKVLADGVGIPCQLLKGQQFTGSEDGAVNIVKFSDGKEYIVDLMAAPGTLIPSDGAGLVKDFEETFFLVNSVFKNVMSPRMASSNSSVASSLGCYTENEPLGKKAMARTLNDMDNEGVGSGALKNQESLELNSLCPESSSEVIILPNDDQSPEQSMGSNRPHVLARSPSWTEGASSPAVRRMKVKDVSQYMIEAAKENPQLAQKLHDVLLESGVVAPPNLFTEIYSEEPDPTVSDINISEDKDEMRKMNNEKRSKTPYEGSPGTFLPPLPRQNMQRKVPSSRTKPEPLKPIEGLELSRSLVPAEAAGFPCSSQSETPGVQSQGATLQFIKNMPVAAAAATAAVVASSMVVAAAKSSSDIKMEVPVAAAATATAAAVVATTAAVSRQYEHSGSSYNLHISLKSQIDTTGNMQVGSDHPDDCTLEQEHDISEIHQETERSSDRSTGNDSVRSDIALDEVADCEIQWEDLVLGERIGLGSFGEVYRGEWHGTEVAIKKFLHQDLSGDALEEFKSEVRIMKKLRHPNVVLFMGAVTRVPNLSIVTEFLPRGSLFRLIHRPNNQLDERRRLKMALDVARGMNYLHNCTPMIVHRDLKSPNLLVDKSWVVKVCDFGLSRMKHSTFLSSRSTAGTAEWMAPEVLRNEPSDEKHVIKSICWK >DRNTG_21821.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13649890:13669227:1 gene:DRNTG_21821 transcript:DRNTG_21821.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNILKKLHIVRGQSDDADGSPPSRGSRIRSSACSAPLVEQKPLSSLSSWLNSVASKPASSSGPPSASSSSSVVSGGREERRELIERQGLGRELEAKEAVDPDLEEEHQIQLALELSAREDPEAVQIEAVKQISLGPCPSVSNPAEVLAYRYWNYNALSYDDKILDGFYDLYGILADSSLLKMPSLIDLQGMPVASDVSWDAVIVNRPTDTDLLKLEQRALELASEAKPESLGYAGSDLVRKLAVLVSDYMGGTVCDPDSLLVLWMKLSNFLRARTGNMVLPLGHLSVGLARHRALLFKVLADGVGIPCQLLKGQQFTGSEDGAVNIVKFSDGKEYIVDLMAAPGTLIPSDGAGLVKDFEETFFLVNSVFKNVMSPRMASSNSSVASSLGCYTENEPLGKKAMARTLNDMDNEGVGSGALKNQESLELNSLCPESSSEVIILPNDDQSPEQSMGSNRPHVLARSPSWTEGASSPAVRRMKVKDVSQYMIEAAKENPQLAQKLHDVLLESGVVAPPNLFTEIYSEEPDPTVSDINISEDKDEMRKMNNEKRSKTPYEGSPGTFLPPLPRQNMQRKVPSSRTKPEPLKPIEGLELSRSLVPAEAAGFPCSSQSETPGVQSQGATLQFIKNMPVAAAAATAAVVASSMVVAAAKSSSDIKMEVPVAAAATATAAAVVATTAAVSRQYEHSGSSYNLHISLKSQIDTTGNMQVGSDHPDDCTLEQEHDISEIHQETERSSDRSTGNDSVRSDIALDEVADCEIQWEDLVLGERIGLGSFGEVYRGEWHGTEVAIKKFLHQDLSGDALEEFKSEVRIMKKLRHPNVVLFMGAVTRVPNLSIVTEFLPRGSLFRLIHRPNNQLDERRRLKMALDVARGMNYLHNCTPMIVHRDLKSPNLLVDKSWVVKVCDFGLSRMKHSTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSFGVILWELCTLQQPWGGMNPMQVVGAVGFQYRHLDIPDDMDPAIADIIIKCWQTNPKLRPSFSDIMAALKPLQKSLSSGQTSRHRAPAAGSTEKERS >DRNTG_19998.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001083.1:3038:3716:-1 gene:DRNTG_19998 transcript:DRNTG_19998.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLAAAVDVSRSFIGVITAISAAKSAAAVANTTTASFLDELAETLAHQIERVEEAASRFESAIDAATPDPDQSRITLRRLATLERMAVMLRSWAERRCWEDDEGAAAIVAASEQRVIGLKRVVAWSGRVVEKRILRIRKESGSGAGFEPVSRDPFSLWSLEEEIREIENGGPRSEVENSKEFQEMLNLMKGLFLGT >DRNTG_23267.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18574037:18576549:1 gene:DRNTG_23267 transcript:DRNTG_23267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLTEALLLYLLYLILSVSDSLPIYGCKEGERKALLNFKEGLKDPGGRLSSWIGQDCCIWRGVQCGDQTGQVVQLDLGNKIPLHDMFQYGRRSQPLKGFSGVVPHQLSKLSSLRYLDLSSVSNLPCNHLHLARSHWLSNLSSLQYLNLNFVDLSQASDWL >DRNTG_25289.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20339135:20349922:1 gene:DRNTG_25289 transcript:DRNTG_25289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNIVTTKTTICTLLLLLLFSTSLHLSSAAATVTCNTITTEAAPCLGFITGKVPRPPAACCTGLKQIVKSGTTVTARRAICQCMKNAIKEFPGVKEKFLSQLPKACHVSVSFPISSHTNCNKQKVDEMLTWNLGQASLVWSLEPLPKGRSLSLVWLLEPLPKGRYVNGAVDGSSATWNLEDKEFLCLQYSRAFAKYRAYYDLELSIVHGIRIRQCTYPLIILLSFYHSAISSRASSSLPIGTVDFFVFRQLCCRLILCLQLLFELLKLFCLHKLLSRSGSRALKKQKNQQVRWAKKKKPAVEKLMKEEKEKKNKMKLLLLLYSDEAEAKIEGKCHED >DRNTG_12469.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20897623:20900236:-1 gene:DRNTG_12469 transcript:DRNTG_12469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAASVLKCGKGKVWLDPNESSEISMANSRQNIRKLVKDGFVIRKPTKIHSRSRARRALEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPGEQPAPAPTSQPAEAPKKSKK >DRNTG_31151.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:374754:377155:-1 gene:DRNTG_31151 transcript:DRNTG_31151.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT1G21600 protein [Source:Projected from Arabidopsis thaliana (AT1G21600) UniProtKB/TrEMBL;Acc:Q9XI19] MGTISFFVPASPPILTLRSSHPKVQPFLKNPSPCLRLTFPLASNRRPRREFIVRSDDGDVDSGGGDDYDIDADVDEVEELDNKKDYDVEYDRLLGFPPPRGSVDAGDASPDDIQMVHSDSFVFTQGWDFETVVDYRINEDEFHKISLLHCDFFIRKPPDPDESVYDFREMYVTPPDTDVYSIPTVLAPMPQKYIRCSKSDYGCYNVTEPPIDAPRDPLYKTEREISKVFLTKHYRNRRINDPEFVLDFEEIYVIDSKTKSITRAKVIVTIPDGRKRDRRNDLLIIRDNGTFFKIVDKSEREEPDAVIEREEWIETREELERHLRKLRDFHVSNWF >DRNTG_21539.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1426581:1429717:-1 gene:DRNTG_21539 transcript:DRNTG_21539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSDLEEEQVQEQKQTKPSSSSSSSSPSKFPAVDATDEEVLCSILAKKGTMPFLETVIDVLRRKSDLFKDESGVKKIMAVVSAARDKVVADERRQKEAEAARKASVQAEKPKETSLKLKEEMTSEKQPAEAAEEKEKSSGGTPNSGNGLDLENYSWTQILQEVSVSVPIPPGTKSRFVTCEIKKNHLKVGLKGNPPIIDGELYQAVKPDDCFWSIEDEKSLSILLTKHNQMEWWKSLIKGDPEIDTQKVEPENSKLSDLDPETRQTVEKMMFDQRQKSMGLPSSDEMQKQEIMKKFMAQHPEMDFSKAKMG >DRNTG_04798.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2410681:2412476:-1 gene:DRNTG_04798 transcript:DRNTG_04798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLAPIHVSCGEPLPHLSIKDTPIETSSAQYILQQYMAASGGQKLQSSIRNSYAMGKVRMLASEFETATKVMKTRCSAAESGGFVLWQMAPDMWYVELAVGGSKVHAGCNGELVWRHTPWLGAHAAKGPVRPLRRALQGLDPLTTASMFANARCIGEKKVNGEDCFILKLSADPQTLKARSEGPAEIIRHLLFGYFSQRTGLLVHMEDSHLTRIQSNTGGDAVYWETTINSFLDDYRSVDGIMIAHSGRSVVTLFRFGEMAMSHTKTRMEEAWTIEEVAFNVPGLSMDCFIPPADIRNGLISEASDIPHGERGKRLIAPSNHQVKIAALEKQQDTTNNIVWRVEV >DRNTG_32747.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001909.1:2148:7632:1 gene:DRNTG_32747 transcript:DRNTG_32747.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLHSASIDRRVGKHLRLQPGDTLWTKVNGCSWWPAQVVNDKRISNRFKRKAEGEVLVRLYGTYEYVSVDPVKSHSEFANKLKQENSKKHEVFQKALEEVLSHAKSGCNSKKKVSRCSEIVASVNSKIKRQKTSGNSMGKRREQHVVERSQENQSPASPEAQTDNVKKSKSVRQRRRKSKAEAFGDNKSRKYDLRKTKDREQKNVSMDKDQKNMKDVVCVKSGENATATKENTASKASRGKKPEKISTNNDDADGLAIPETRSREAAVKESSAIPKKRGKALQENKTKKNEVKKRKARDASEDNIIEANVSKKPNLARSLRDKTSDVRTAIQDVVNQTVKKKSNAKACNGKLTKKAKNEVVDVKSSKQNISTPPKETLRTKSPISKKVAEAEEESMSIKMKVMQSLGLIAPSGSPFKRNLVTKGGRRIF >DRNTG_32747.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001909.1:2148:7632:1 gene:DRNTG_32747 transcript:DRNTG_32747.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKSSRNIQNQLSNDDCETNRYFVRQVGKHLRLQPGDTLWTKVNGCSWWPAQVVNDKRISNRFKRKAEGEVLVRLYGTYEYVSVDPVKSHSEFANKLKQENSKKHEVFQKALEEKL >DRNTG_32747.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001909.1:2361:7632:1 gene:DRNTG_32747 transcript:DRNTG_32747.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKSSRNIQNQLSNDDCETNRYFVRQVGKHLRLQPGDTLWTKVNGCSWWPAQVVNDKRISNRFKRKAEGEVLVRLYGTYEYVSVDPVKSHSEFANKLKQENSKKHEVFQKALEEKL >DRNTG_32747.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001909.1:2361:7632:1 gene:DRNTG_32747 transcript:DRNTG_32747.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKSSRNIQNQLSNDDCETNRYFVRQVGKHLRLQPGDTLWTKVNGCSWWPAQVVNDKRISNRFKRKAEGEVLVRLYGTYEYVSVDPVKSHSEFANKLKQENSKKHEVFQKALEEVLSHAKSGCNSKKKVSRCSG >DRNTG_32747.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001909.1:2148:7632:1 gene:DRNTG_32747 transcript:DRNTG_32747.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKSSRNIQNQLSNDDCETNRYFVRQVGKHLRLQPGDTLWTKVNGCSWWPAQVVNDKRISNRFKRKAEGEVLVRLYGTYEYVSVDPVKSHSEFANKLKQENSKKHEVFQKALEEVLSHAKSGCNSKKKVSRCSEIVASVNSKIKRQKTSGNSMGKRREQHVVERSQENQSPASPEAQTDNVKKSKSVRQRRRKSKAEAFGDNKSRKYDLRKTKDREQKNVSMDKDQKNMKDVVCVKSGENATATKENTASKASRGKKPEKISTNNDDADGLAIPETRSREAAVKESSAIPKKRGKALQENKTKKNEVKKRKARDASEDNIIEANVSKKPNLARSLRDKTSDVRTAIQDVVNQTVKKKSNAKACNGKLTKKAKNEVVDVKSSKQNISTPPKETLRTKSPISKKVAEAEEESMSIKMKVMQSLGLIAPSGSPFKRNLVTKGGRRIF >DRNTG_09239.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13846420:13847271:-1 gene:DRNTG_09239 transcript:DRNTG_09239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKSVTGTEGGSGADEGSISPSSSSSSSSSSSSSLSSTSSLSSSSSSTSGKTACSSSSSEGIER >DRNTG_22212.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1369771:1371529:-1 gene:DRNTG_22212 transcript:DRNTG_22212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSFIAPLLLLLSPPMAISGNGFEIQEATIEAIQQAFKEGKLTSRQLVQYYSDRIHALNPLVRAVIEVNPDAIRLAELADEERNNAGDRHLGWLHGIPILLKDNIATKDQLNTTAGSFALLGSVVPRDAGVVRRLRSAGAIILGKASLSEWAEFRSFSVPDGWCGRSGQGKTDLSNRIGCSPRIRCHCWV >DRNTG_01592.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9608650:9615726:-1 gene:DRNTG_01592 transcript:DRNTG_01592.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSFLGDDTLCFRMHLQGRAENFHARVPDPQGQTYTSVASLSNQENSLSASHARVEIPHERGSLLGNSQG >DRNTG_22319.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:470786:471173:1 gene:DRNTG_22319 transcript:DRNTG_22319.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein indeterminate-domain 9 [Source:Projected from Arabidopsis thaliana (AT3G45260) UniProtKB/Swiss-Prot;Acc:Q944L3] MATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRNNKEVVKKRVYVCPEPSCVHHHPSRALGDLTGIKKHYSRKHGEKKWKCDKCSKRYAVHSDWKAHIKNCGTKEYKCDCG >DRNTG_22319.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:470398:471173:1 gene:DRNTG_22319 transcript:DRNTG_22319.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein indeterminate-domain 9 [Source:Projected from Arabidopsis thaliana (AT3G45260) UniProtKB/Swiss-Prot;Acc:Q944L3] MFPGSSMSNPSSISDEATGTASSNNPNTFSHLFIPISPPPLHHQHQTKQKKKRSQPGNPDPEAEVVALSPKSLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRNNKEVVKKRVYVCPEPSCVHHHPSRALGDLTGIKKHYSRKHGEKKWKCDKCSKRYAVHSDWKAHIKNCGTKEYKCDCG >DRNTG_20921.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25521836:25522557:1 gene:DRNTG_20921 transcript:DRNTG_20921.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKTHTQTQNHTHKTRNNNKQNPNKCAQNQEPHTSKFRASAQFPDLSL >DRNTG_23671.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10368596:10373094:1 gene:DRNTG_23671 transcript:DRNTG_23671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLGLVSLFKRSLSASSRVGSALGAGTQRFGTVAAVEEPISPPVEVNYTKLLINGQFVDSASGKTFPTLDPRTGEVIAHVAEGDEEDVNRAVSAARKAFDEGPWPRMTGYERSRVLNRFADLIEKHNDEIAALETWDNGKPYEQSAQVEIPMVTRLIRYYAGWADKIHGLIVPADGPHHVQVLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTIVLKTAEQTPLSALFVAQLLHEAGLPDGVLNVISGFGPTAGAALASHMDVDKLAFTGSTQTGKIVLELATKSNLKSVTLELGGKSPMIILDDADIDQAVELAHFALFFNQGQCCCAGSRTFVHERVYDEFVEKAKARALKRVVGDPFKKGVEQGPQIDEEQFKKILKYIQSGKDNGATLVSGGDRIGDKGYYIQPTIFSNVKDEMEIAREEIFGPVQSILKFNDLDEVIRRANATRYGLAAGVFTSNLEKANTLMRALRAGTVWINCYDVFDAAIPFGGFKMSGHGREKGIDSLKNYLQVKAVVTPIKNPAWL >DRNTG_17949.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4831689:4838146:-1 gene:DRNTG_17949 transcript:DRNTG_17949.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sucrose-phosphate synthase 4 [Source:Projected from Arabidopsis thaliana (AT4G10120) UniProtKB/Swiss-Prot;Acc:F4JLK2] MVNSIQKDRAMAGNEWINGYLEAILDAGTKLSREKNISSPLSPPAGRAPPYSPTKYFVEEVVNHFDDADLHKTWIKAVAMRSNHERNQRLENICWRIWHLTRKKKQIEWEDERKLAKQRMEREHLSKVAAEDLSDLSEGEKEKGDPEVPMLRIDSNVQIWQDEQAQNKRLYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELARALGGTKGVYRVDLLTRQLSGPDVHWSYGEPVEMLTYGDRNSHIDSCGAYIIRLPFGPGQRYIPKESLWPHIPEFVDRALAHITNVARSVGDQLEPAGGKPIWPYVIHGHYADASEAAARLSGILNVPMVITGHSLGRNKLEQLLKQGRLSKEDINRTYRIMRRIDAEEAALDAAEMVITSTRQEIEEQWGLYDGFDVNLERKLRVRRRRGVSCFGRYMPRMVVIPPGLDFSYVRMQDLSEGDADLAKMIGPDGAQSRRDLPPIWAEIMRFFTNPHKPMILALSRPDPKKNVTTLLKAFGECHPLRELSNMTLILGNRDDIEEMSGSGATVLTTVLKLIDKYDLYGLVAYPKHHKQTDVPEIYRLAAKTKGVFINPALVEPFGLTLIEAAAYGLPVVATKNGGPVDIMKALNNGVLVDPHDHNAISAALLKLVADKGLWMECRRNGLKNIHRFSWPEHCRKYLSHVEHYRNHQTATSLEIMSRMEEPMSDSLRDVEDLSLRFSVDGGDLQTNGSVSSIVEALHQHQHDLTHSLMNNTYMFGPGKRQRMFVIAVDCYDANGQIVLHDFSVLIGKLMAIGGTNGCTGFVLATGATGKETIEAMQQCDIPPRGFDALICSSGSELYYPWRDLMPDGDYGAHIDYRWPVEHVKSTILRVAQMENKPEEDCLIVDEEACSWHCNAYSLKQGAKVRKLDAIHQRLRMRGFRCNPIYTKACTRLNVVPLYASRSYALRYLSVRWNIDLSKLLIFVGERGDTDHEELLPGIHNTVILTGLVTSGSETLLRDEENCKIDDIIPLESSNIAWLPAESFDASAIMAIIEKVKAK >DRNTG_03578.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13523411:13523874:1 gene:DRNTG_03578 transcript:DRNTG_03578.1 gene_biotype:protein_coding transcript_biotype:protein_coding DNKKANFFIKNQRKTKKSQPSLSHKITRETHNIPHKQTKSSHQTTFKQQLDSQNQTPALNTIVNQALTSEKQRMPPKES >DRNTG_08777.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7276116:7277570:1 gene:DRNTG_08777 transcript:DRNTG_08777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFRHLGRQSKKDNSKRKSWEDLSLRRQRILNRRIYINLQLRNILKPLNIKLHSEQRAARRAGFNDLVASKIKSLELLRRFEEKIQKVIEEEDIKSLRKEMVPKAQLMPLFDRPFTPQRSNRPLTVPKEPSFLRMNKKCSINEDPYTRCTNILLRL >DRNTG_35373.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:28:42843:49024:-1 gene:DRNTG_35373 transcript:DRNTG_35373.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLDVGRSSHLMVTLVSSIRRMRLLLMWPLACPQFTPLAIGFSKRCGKGCRVSRRLVSWIFGAGPGSALWAMREVWPRSLERVNLVEPSKSMQRAAQSLLGDLKNLPLIHSYDSIQALNRKLEKRDRAHDLVISSYALGEIPSLRDRITIVRQLWDLTQDVLVLLEPGTPHGSKIIRQMRSYILWMAKRKSRKSKITQSEVPCSGKSISSEVANLENDAFVVAPCPHDGRCPLENTSKYCHFVQRLERTSSQRAYKRSKGEPLRGFEDEKFCFVALRRGKRPQEAWPLDGMEFETLKEQHAKRNPEDLIIDYDDQFETEDDNIISFEEDPVLYPSDVAETSLFHQEDNNNDDENIEEGSEDQPHAGLGGGWGRILYMPHRRGRQVSMDICRATKRDASEGALQRLVVTQSKNPALHFQARRSIWGDLWPF >DRNTG_35373.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:28:48552:49024:-1 gene:DRNTG_35373 transcript:DRNTG_35373.4 gene_biotype:protein_coding transcript_biotype:protein_coding LELELGSNGDDNEAIQPGDSPCRGEAIRGHPSRPSLSSPRYQKIPQREGVGAYESKGAASLPVFQPHQGDKPPARGLCLSGTR >DRNTG_35373.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:28:42843:47891:-1 gene:DRNTG_35373 transcript:DRNTG_35373.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYILWMAKRKSRKSKITQSEVPCSGKSISSEVANLENDAFVVAPCPHDGRCPLENTSKYCHFVQRLERTSSQRAYKRSKGEPLRGFEDEKFCFVALRRGKRPQEAWPLDGMEFETLKEQHAKRNPEDLIIDYDDQFETEDDNIISFEEDPVLYPSDVAETSLFHQEDNNNDDENIEEGSEDQPHAGLGGGWGRILYMPHRRGRQVSMDICRATKRDASEGALQRLVVTQSKNPALHFQARRSIWGDLWPF >DRNTG_35373.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:28:42843:48346:-1 gene:DRNTG_35373 transcript:DRNTG_35373.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREVWPRSLERVNLVEPSKSMQRAAQSLLGDLKNLPLIHSYDSIQALNRKLEKRDRAHDLVISSYALGEIPSLRDRITIVRQLWDLTQDVLVLLEPGTPHGSKIIRQMRSYILWMAKRKSRKSKITQSEVPCSGKSISSEVANLENDAFVVAPCPHDGRCPLENTSKYCHFVQRLERTSSQRAYKRSKGEPLRGFEDEKFCFVALRRGKRPQEAWPLDGMEFETLKEQHAKRNPEDLIIDYDDQFETEDDNIISFEEDPVLYPSDVAETSLFHQEDNNNDDENIEEGSEDQPHAGLGGGWGRILYMPHRRGRQVSMDICRATKRDASEGALQRLVVTQSKNPALHFQARRSIWGDLWPF >DRNTG_34126.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15059878:15060908:1 gene:DRNTG_34126 transcript:DRNTG_34126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNVAESFNVWIKEARHLSVMKMVDYIRREQANKWETYLYPNIHPKIKVVSLWYPVKHAYTAVIQTDTNGHRFISCYFTIDNYKLTYKESYFPHI >DRNTG_32247.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3402731:3405841:-1 gene:DRNTG_32247 transcript:DRNTG_32247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYWVLVWAMVAVAGKGQKTIPVSFVFGDSLVDAGNNNYLNTLSRADIPPNGIDFKASNGQPTGRYTNGRTIADIILEELGQKIYPQPFLAPDTTGSSILHGVNYASGGGGIMNQTGRIFINRLGLEVQVDFFNITRQQLDEVLGQEQAKEFLSKAIFSISIGSNDFLNNYLLPVFSVGERILETPDEFVDNLIEGLRDQLVRLYKLDARKFVVGNVGPLGCIPYQKTINQADEDECVSLPNQLAMQYNEKFKDLMNELNQRLPGAKFVIANVYDVVMELITNYQHYGFESASVSCCRNGPFSGIVPCGPTSSMCADRSKYLFWDPYHPSEAANLIVAKFLVDGDNKYISPINIRQLVHL >DRNTG_32247.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3404319:3405841:-1 gene:DRNTG_32247 transcript:DRNTG_32247.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYWVLVWAMVAVAGKGQKTIPVSFVFGDSLVDAGNNNYLNTLSRADIPPNGIDFKASNGQPTGRYTNGRTIADIILEELGQKIYPQPFLAPDTTGSSILHGVNYASGGGGIMNQTGRIFINRLGLEVQVDFFNITRQQLDEVLGQEQAKEFLSKAIFSISIGSNDFLNNYLLPVFSVGERILETPDEFVDNLIEGLRDQLVRLYKLDARKFVVGNVGPLGCIPYQKTINQADEDECVSLPNQLAMQYNEKFKDLMNELNQRLPGAKFVIANVYDVVMELITNYQHY >DRNTG_16804.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1659067:1662245:1 gene:DRNTG_16804 transcript:DRNTG_16804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARVQRGFGKVFRVVLQVSGRAFIGVDPARYILGQTNGPLEELKFLHKTIVMTIEGVFYGLLAFTFDFIVNCNLLHGELNPLVGSYTPQELDKARLERVERVSRTFHLDQRVWSTSWKRNYHLESLEIIVILYEYEVLRLLDFSSRTCIESGERPLVTIKHHILRITSILNYDACVTMPSGVRLLALHSIVMAKNSWNVEVGKSHWRRKGTFRYLSTHPLNPLQYSIV >DRNTG_05146.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30693162:30697418:-1 gene:DRNTG_05146 transcript:DRNTG_05146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKDSIDDIPVQDPADEEFSAADLTWTKVGNEHHVDDVALIPYARVNDFINGECSIAECPTRFHIEKGRKRERGSLKEYKSDEYLMYRLYWCSFGPENYGEGGTILPSRRYRLNTRNRAARPQSMRGCTCHFTIKRLYTRPSVALIIYHERRHINKSGFICHGPLDRDAIGPGAKKIPYICSEIQQQTMSLIYLGVPEENVLQTHIEGIQRYCGSDAKVNTLASQYIQKLGMIIKRSTHELDLDDQASIRLWVERNKKSVFFYQDSSETDPFILGIQTEWQLQQMIRFGHHSILAADSSFGISKLKYPLYTLLAFDSRQHALPVAWVITRTITKEDVTKWMKALVNRIHSVDSCWRISGFIIDDPALETDPIRDAFGCSILFSLWRVRRSWLRNVVKRCSNTQVQREIFKRLGEIIYTIWTRKDSKEALEEFLQDFIDQISFLQYFKAFWMPKLEMWLSTVKNLPLASQESSGAIEGYHVKLKPKIYDDSQLGALQRVDWLVHKLTTELHSSYWLDLYADESGSFQEVKDEYVSSTSWHRALQIPDNAVIFDDRKHLYAKVLSQKDNSQTRIVWNPGSEFAFCDCSWSTQGNLCKHVIKVNMVSENLKDYRPSLSYLAFQEILLNLWRKPLDDSVALDQSMAWATQVQEKIVRLVELTTTDDITRVVKQLPVKWVCRKRRTSVGKPSGTTALSIEQLTENAAKKKTASRKKTRKRKRLSRF >DRNTG_05146.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30693162:30696220:-1 gene:DRNTG_05146 transcript:DRNTG_05146.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKDSIDDIPVQDPADEEFSAADLTWTKVGNEHHVDDVALIPYARVNDFINGECSIAECPTRFHIEKGRKRERGSLKEYKSDEYLMYRLYWCSFGPENYGEGGTILPSRRYRLNTRNRAARPQSMRGCTCHFTIKRLYTRPSVALIIYHERRHINKSGFICHGPLDRDAIGPGAKKIPYICSEIQQQTMSLIYLGVPEENVLQTHIEGIQRYCGSDAKVNTLASQYIQKLGMIIKRSTHELDLDDQASIRLWVERNKKSVFFYQDSSETDPFILGIQTEWQLQQMIRFGHHSILAADSSFGISKLKYPLYTLLAFDSRQHALPVAWVITRTITKEDVTKWMKALVNRIHSVDSCWRISGFIIDDPALETDPIRDAFGCSILFSLWRVRRSWLRNVVKRCSNTQVQREIFKRLGEIIYTIWTRKDSKEALEEFLQDFIDQISFLQYFKAFWMPKLEMWLSTVKNLPLASQESSGAIEGYHVKLKPKIYDDSQLGALQRVDWLVHKLTTELHSSYWLDLYADESGSFQEVKDEYVSSTSWHRALQIPDNAVIFDDRKHLYAKVLSQKDNSQTRIVWNPGSEFAFCDCSWSTQGNLCKHVIKVNMVSENLKDYRPSLSYLAFQEILLNLWRKPLDDSVALDQSMAWATQVQEKIVRLVELTTTDDITRVVKQLPVKWVCRKRRTSVGKPSGTTALSIEQLTENAAKKKTASRKKTRKRKRLSRF >DRNTG_19054.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7333555:7333800:-1 gene:DRNTG_19054 transcript:DRNTG_19054.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMRCIWERGTQRWTNDEKALAAFRRFGTRLEENEKEIVARNEDPNLKNRNGHVKIPYSLLYTTSDPGLTAKGIPNSVSI >DRNTG_07668.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23384723:23385644:-1 gene:DRNTG_07668 transcript:DRNTG_07668.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNQVNGRCYLAPVMETLAELKVHISGLHWEIIRRTPFIAFTEIDAMFQKRAFLDSLLQRYDGRTNKFKIGGSLVSFRPEDVALVLGLRCDGDAVIFQKKKKARSSFEDRYFSKTYERHKDSIKRTLK >DRNTG_22313.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:418627:425953:1 gene:DRNTG_22313 transcript:DRNTG_22313.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,2-dihydroxy-3-keto-5-methylthiopentene dioxygenase 4 [Source:Projected from Arabidopsis thaliana (AT5G43850) UniProtKB/Swiss-Prot;Acc:Q8H185] MALEAWLMDESDEDQRLPHHRNPKEFVPLSKLEEIGLLYWHLNPQNYENDEQLKKIREERGYNYMDLLDLCPGKVQNYEEKLKNFFTEHIHGDEEICYCLEGSGYFDVRDKDDQWIRICIKEGDMIVLPAGIYHRFTLDTSNYVKLMRLFIGEPVWTAYNRPQEDHPARQSYIKNLFENSGVALEAH >DRNTG_14226.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:867345:869006:-1 gene:DRNTG_14226 transcript:DRNTG_14226.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVISKCTVKAEPPPMLLANKKCHLTPWDLAMLSPQYIQKGHLFTNLPPTLSIDDIISNLKTSLSSTLHHFYPLAGRLATQHEVDNDGNITGTFVFIDCNNEGAEFVQADAQSISVEDVLAPFSDVPAFVQSFFPYDGATNHDGHSIPLVALQLTVLADGVFLGCSFNHVVGDGTSFWHFFTTWAEICRSKNINVIPSRPPVHDRCFLDGAKPPLKLPFTHESQFIERYSPPPLRQKMFHFSSETISKLKAKANKERGSTNKISSFQSLSALMWRCITRARRFPAEQVTSCRVAIQNRARLQPSQSPNYFGNSIYSLRITATAGELLENDFGWAAWVIHEGVLSHTNDVIRGMVKKWVEAPVVYRLSMFDDFSVVMGSSPRFDMYGCDFGWGKAVALRSGSANKSDGKVSSYPGWEGGGSVDLEVCLPPKSMAALEADPEFLAAVSPFVSLHVQTSQVI >DRNTG_14226.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:867345:868778:-1 gene:DRNTG_14226 transcript:DRNTG_14226.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVISKCTVKAEPPPMLLANKKCHLTPWDLAMLSPQYIQKGHLFTNLPPTLSIDDIISNLKTSLSSTLHHFYPLAGRLATQHEVDNDGNITGTFVFIDCNNEGAEFVQADAQSISVEDVLAPFSDVPAFVQSFFPYDGATNHDGHSIPLVALQLTVLADGVFLGCSFNHVVGDGTSFWHFFTTWAEICRSKNINVIPSRPPVHDRCFLDGAKPPLKLPFTHESQFIERYSPPPLRQKMFHFSSETISKLKAKANKERGSTNKISSFQSLSALMWRCITRARRFPAEQVTSCRVAIQNRARLQPSQSPNYFGNSIYSLRITATAGELLENDFGWAAWVIHEGVLSHTNDVIRGMVKKWVEAPVVYRLSMFDDFSVVMGSSPRFDMYGCDFGWGKAVALRSGSANKSDGKVSSYPGWEGGGSVDLEVCLPPKSMAALEADPEFLAAVSPFVSLHVQTSQVI >DRNTG_14226.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:866996:868823:-1 gene:DRNTG_14226 transcript:DRNTG_14226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVISKCTVKAEPPPMLLANKKCHLTPWDLAMLSPQYIQKGHLFTNLPPTLSIDDIISNLKTSLSSTLHHFYPLAGRLATQHEVDNDGNITGTFVFIDCNNEGAEFVQADAQSISVEDVLAPFSDVPAFVQSFFPYDGATNHDGHSIPLVALQLTVLADGVFLGCSFNHVVGDGTSFWHFFTTWAEICRSKNINVIPSRPPVHDRCFLDGAKPPLKLPFTHESQFIERYSPPPLRQKMFHFSSETISKLKAKANKERGSTNKISSFQSLSALMWRCITRARRFPAEQVTSCRVAIQNRARLQPSQSPNYFGNSIYSLRITATAGELLENDFGWAAWVIHEGVLSHTNDVIRGMVKKWVEAPVVYRLSMFDDFSVVMGSSPRFDMYGCDFGWGKAVALRSGSANKSDGKVSSYPGWEGGGSVDLEVCLPPKSMAALEADPEFLAAVSPFVSLHVQTSQVI >DRNTG_14226.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:867345:868823:-1 gene:DRNTG_14226 transcript:DRNTG_14226.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVISKCTVKAEPPPMLLANKKCHLTPWDLAMLSPQYIQKGHLFTNLPPTLSIDDIISNLKTSLSSTLHHFYPLAGRLATQHEVDNDGNITGTFVFIDCNNEGAEFVQADAQSISVEDVLAPFSDVPAFVQSFFPYDGATNHDGHSIPLVALQLTVLADGVFLGCSFNHVVGDGTSFWHFFTTWAEICRSKNINVIPSRPPVHDRCFLDGAKPPLKLPFTHESQFIERYSPPPLRQKMFHFSSETISKLKAKANKERGSTNKISSFQSLSALMWRCITRARRFPAEQVTSCRVAIQNRARLQPSQSPNYFGNSIYSLRITATAGELLENDFGWAAWVIHEGVLSHTNDVIRGMVKKWVEAPVVYRLSMFDDFSVVMGSSPRFDMYGCDFGWGKAVALRSGSANKSDGKVSSYPGWEGGGSVDLEVCLPPKSMAALEADPEFLAAVSPFVSLHVQTSQVI >DRNTG_14226.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:866996:868778:-1 gene:DRNTG_14226 transcript:DRNTG_14226.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVISKCTVKAEPPPMLLANKKCHLTPWDLAMLSPQYIQKGHLFTNLPPTLSIDDIISNLKTSLSSTLHHFYPLAGRLATQHEVDNDGNITGTFVFIDCNNEGAEFVQADAQSISVEDVLAPFSDVPAFVQSFFPYDGATNHDGHSIPLVALQLTVLADGVFLGCSFNHVVGDGTSFWHFFTTWAEICRSKNINVIPSRPPVHDRCFLDGAKPPLKLPFTHESQFIERYSPPPLRQKMFHFSSETISKLKAKANKERGSTNKISSFQSLSALMWRCITRARRFPAEQVTSCRVAIQNRARLQPSQSPNYFGNSIYSLRITATAGELLENDFGWAAWVIHEGVLSHTNDVIRGMVKKWVEAPVVYRLSMFDDFSVVMGSSPRFDMYGCDFGWGKAVALRSGSANKSDGKVSSYPGWEGGGSVDLEVCLPPKSMAALEADPEFLAAVSPFVSLHVQTSQVI >DRNTG_14226.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:867345:868922:-1 gene:DRNTG_14226 transcript:DRNTG_14226.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVISKCTVKAEPPPMLLANKKCHLTPWDLAMLSPQYIQKGHLFTNLPPTLSIDDIISNLKTSLSSTLHHFYPLAGRLATQHEVDNDGNITGTFVFIDCNNEGAEFVQADAQSISVEDVLAPFSDVPAFVQSFFPYDGATNHDGHSIPLVALQLTVLADGVFLGCSFNHVVGDGTSFWHFFTTWAEICRSKNINVIPSRPPVHDRCFLDGAKPPLKLPFTHESQFIERYSPPPLRQKMFHFSSETISKLKAKANKERGSTNKISSFQSLSALMWRCITRARRFPAEQVTSCRVAIQNRARLQPSQSPNYFGNSIYSLRITATAGELLENDFGWAAWVIHEGVLSHTNDVIRGMVKKWVEAPVVYRLSMFDDFSVVMGSSPRFDMYGCDFGWGKAVALRSGSANKSDGKVSSYPGWEGGGSVDLEVCLPPKSMAALEADPEFLAAVSPFVSLHVQTSQVI >DRNTG_22626.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17892073:17892379:1 gene:DRNTG_22626 transcript:DRNTG_22626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSSPSPVTPLLSDTTSLPLSTSPSYPFPPSTAFS >DRNTG_06679.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3168834:3183806:-1 gene:DRNTG_06679 transcript:DRNTG_06679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGDEVSAIVIDLGSHTCKAGYAGEDAPKAVFPSVVGSIDQAGAVEDIKPERDSEPVPDSKNGIRSFDSEKTKSKRKLYVGSQALGYRRDHMEVISPIRDGVVVDWDVVDKIWDHAFRERLLIDPKEHPMLLAEPSSNVPQQRERAAELMFESYKVPALFLAKNAVLTSFASGRATSLVVDSGGGSTTVAAVHDGFVLQKAVSSSPIGGEFLSECMMKSLESKGIVLKPRYSFKRKEIRPGEFQSIDLDFPNTTESYRLYCQKLIASDIKECVCRAPDTAYDESAYANIPMTSYELPDGQTIEIGADRFKIPDILFNPSLVQTIPGMESFNDPAAYRGLPQMVIESINKCDVDIRRELFSSILLAGGTASMQQLKERLEKDLMEEAPQAARVKVLASGNATERRFSVWIGGSILASLGSFQQMWFSKAEYEEHGVSYIQRKCP >DRNTG_10290.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20311029:20311478:-1 gene:DRNTG_10290 transcript:DRNTG_10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPRPPKPKSCPTIYSSGNSTKKGMMAKRKKKDPKTHSS >DRNTG_02425.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000114.1:321151:325560:1 gene:DRNTG_02425 transcript:DRNTG_02425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPREDRCEMLECVPTSSHSSEHSSNTVAIIVAVLFTAGREIRETETPHGCVEIIHARVEILHRRVYRPRPWSCPIPALFKADSAPILVFFSSSFPQLVRGLRLGFRGVLAKVLERFYCSDIVIPLGRRLVGELRLRRILYRTKESLDDE >DRNTG_14383.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:472278:472753:1 gene:DRNTG_14383 transcript:DRNTG_14383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFFAGEIATELVKELIKVIRHAYLCRPSAEQLKRSVDALLPIVNEIRYSGVELPQARQNQLSDLAEKLRLGLELARKAADSPRWNVYRSIQLSRRMERVDRWISKWVDRQMPAHVLADVHHLRVDSLARLDRIERKIDEGSAMGRRMVVEM >DRNTG_18907.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3320307:3359530:1 gene:DRNTG_18907 transcript:DRNTG_18907.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR4 [Source:Projected from Arabidopsis thaliana (AT5G06620) UniProtKB/Swiss-Prot;Acc:Q9FG08] MITLRRRLSSDLRQRLADLHHPSHSFSIRTFSQEKDGFNSGTTKLGPPPIQVSLTESAGRGVFATRRIGAGELIHTANPLVTHPTQSGLDRPQVCCYCLRRLGMRDGVPVTSAPNGGFWYFCSDACKERAKVFVEVERRADWSFYDEHCSMRQLKYPFMVKRLACMVISGGASVDCLDILQPAYLHPQTILEMEKEFELLKATFLKAQIDDELIAFLTKRWYTGALARLHINSFRIELIGGSYESLLASAAAIVEGEAAVGNAVYMLPSFYNHDCDPNVNILWIENADAKIRALRDIEAGEELCICYIDASMDCKARQVLLADGFGFQCHCLRCKSGD >DRNTG_18907.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3341143:3359530:1 gene:DRNTG_18907 transcript:DRNTG_18907.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR4 [Source:Projected from Arabidopsis thaliana (AT5G06620) UniProtKB/Swiss-Prot;Acc:Q9FG08] MLPSFYNHDCDPNVNILWIENADAKIRALRDIEAGEELCICYIDASMDCKARQVLLADGFGFQCHCLRCKSGD >DRNTG_18907.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3320307:3320960:1 gene:DRNTG_18907 transcript:DRNTG_18907.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR4 [Source:Projected from Arabidopsis thaliana (AT5G06620) UniProtKB/Swiss-Prot;Acc:Q9FG08] MITLRRRLSSDLRQRLADLHHPSHSFSIRTFSQEKDGFNSGTTKLGPPPIQVSLTESAGRGVFATRRIGAGELIHTANPLVTHPTQSGLDRPQVCCYCLRRLGMRDGVPVTSAPNGGFWYFCSDACKERAKVPFAFFSWFFGDYLHSFIELLHELI >DRNTG_08848.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27876065:27876761:1 gene:DRNTG_08848 transcript:DRNTG_08848.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGQYSEKTDVFSFGVLLLEIMSGQRNKFNKDRGDDKNLLGTVWRLWVENEVLEVIDPSLGESWPPSDVLKCIKVGLLCVQEFPEDRPTMAAVISMLSTKDDIDFPSPKRSALFRHTQSSLYTEETSINALTLTKLQCR >DRNTG_00284.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1992336:1992848:1 gene:DRNTG_00284 transcript:DRNTG_00284.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTERDNKTERMKKMKFSSFIGSFFITLVIISSLFIQFQVVHGSDGRGRRLGIRRPWFNKPPSPVGGQHKSPIIRPPPAGCC >DRNTG_32642.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22111788:22116644:1 gene:DRNTG_32642 transcript:DRNTG_32642.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate 1,2-dioxygenase [Source:Projected from Arabidopsis thaliana (AT5G54080) UniProtKB/Swiss-Prot;Acc:Q9ZRA2] MAETLARLDTESPEDSSWINLHYHSGFGNHFSSEAKPGALPADQNNPLLCPFGLYAEQISGTSFTAPRRLNQRSWLYRIKPSVTHEPFHPRSPPHRRLVGDFNQSNSSANPTQLRWKPADPPDSPTDFVDGLYTVCGAGSAFLRHGYAIHMYTANKSMDGAFCNADGDFLIVPQEGRLWITTECGQLQVSPGEIVVLPQGFRFSINLPDGPSRGYVAEIFGTHFQLPDLGPIGSNGLAAARHFLTPTAWFEDRIHPGYIIVQKFGGELFTAKQDFSPFNVVAWHGNYVPYKYDLNKFCPFNSVLIDHGDPSINTVLTAPSDKPGVALLDFVIFPPRWLVAEHTFRPPYYHRNCMSEFMGLIFGQYEAKADGFLPGGASLHSCMTPHGPDTKTYEATIAQGDDAGPSRLRATMAFMFESSLIPRVCQWSLESPHLDPDYYQCWIGLRSHFSYNETDEVIDGIRNVSV >DRNTG_22174.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:894788:900412:1 gene:DRNTG_22174 transcript:DRNTG_22174.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRLSSSSGFMVSVLFLSAFSIPATLVACGILPQSGI >DRNTG_22174.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:894788:900412:1 gene:DRNTG_22174 transcript:DRNTG_22174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRLSSSSGFMVSVLFLSAFSIPATLVACGILPQSGI >DRNTG_22289.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21889213:21890687:-1 gene:DRNTG_22289 transcript:DRNTG_22289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHFMISLDNFKSGRWHTLITSAFSHADLDHLVTNMIGLYFF >DRNTG_01613.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11967762:11968632:-1 gene:DRNTG_01613 transcript:DRNTG_01613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRADNTAALTRLDLLFLYSMARNVPLHLGCILADVLQYQGRSTRVGLLFAGPYITRLILGMGLGDALRGAERTVVPTALTFDTIRMMGLQTPPSSVAPGIQAYDRIERLETDVREIRTKIEELLALQSAQYTNLMAQFDFL >DRNTG_25948.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2126249:2131647:1 gene:DRNTG_25948 transcript:DRNTG_25948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDHKSPGFIPYCPRWSMIRLLSNTHLFSTKALDDLRYVREEEVYMSLLAFKLVQAKDTSISVCVENACAANARTRALFRRRVFEVDNGSDVGEFKKVVEEFLRLSNALNVEDLIPWIKALDVHGFVSKLKRLHRWYDDTLTKIIDEHKNKPKIALEGEGEAKDFLSVLLRLKEADIKDEETDLFNGGTGTTSTTVEWALVELIRHPDILAAAQKELDSIIGLFRLVLELDLNNMPLLQTIIKDAMILGFGQLVDHMQSGWLPHSRSNYPFGQRVDHMQRSWGLVWTPPKA >DRNTG_13853.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000644.1:69768:70532:-1 gene:DRNTG_13853 transcript:DRNTG_13853.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMCFLGLFNTTNDTAYKVLKLRNVNCIPYLKKSWLELCKAYLVE >DRNTG_31470.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1922411:1929947:1 gene:DRNTG_31470 transcript:DRNTG_31470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGRACLSKEVRNGLEILKRKRLQRFKLGILPAEANATNMMTRSGGDSLKSNASCGMKTCEDAGTISCENDLVKDAFAKHKVEKFDMSNLEWIDKVPECPVYYPTKDEFEDPLTYVQKIAPVASKYGICKIISPISPSIPAGVVLMKEKVGFKFTTRVQPLRLAEWAVDDRVTFFMSGRKYSFRDFEKMANKVFSRRYSSAGCLPARYLEEQFWQEIGCGKTESVEYACDIEGSAFSCSPGDQLGKSKWNLKRLSRLPKSILRLLGAAIPGVTDPMLYIGMLFSLFAWHVEDHYFINYHHCGASKTWYGIPGNAAPDFEKVVREHVYDHGILSSEGDDAAFDVLLGKTTMFPPNILLKHNVPVYKAVQKPGEFIITFPRAYHSGFSHGFNCGEAVNFAIGDWFPLGAVASQRYALLKRIPLLPHEELLCKEAMLLSQRLFSPNSEVLLPTEDLSSQCCIKVSFVILMRFQHRACWLIMNSGARACSYSSKAVTLSCSICLRDCYVSYIKCDCNMNPVCLRHEMELRSCHCGRKRIIFLREGFLELEAVARKFEQEEGIVEEAQKQVFHSDDQCLKNLFPSTRDDGYIPYCKLNLYLSLENVEQRMEISEDLDFVSQRECIKYDAEEAACSAISISSFSLGQNDSNSKFSVSVKNDKMQSCHKKYPDCLPAPPCGSVRVTPSNRWSTRHEGSLHGVLDGDDSDTEIFRVKRRSAVNLERGGNVISVKLPEQQVLKRLKKLDNKGVVVPRPSASCSHGLNRNLVGGIAPISLKFRKQQLELDAKVGRDGGVETKSQGAMQEQGCIPVKLNREHEGGGASYRARTKASESQRPLLS >DRNTG_31470.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1925784:1929947:1 gene:DRNTG_31470 transcript:DRNTG_31470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKVGFKFTTRVQPLRLAEWAVDDRVTFFMSGRKYSFRDFEKMANKVFSRRYSSAGCLPARYLEEQFWQEIGCGKTESVEYACDIEGSAFSCSPGDQLGKSKWNLKRLSRLPKSILRLLGAAIPGVTDPMLYIGMLFSLFAWHVEDHYFINYHHCGASKTWYGIPGNAAPDFEKVVREHVYDHGILSSEGDDAAFDVLLGKTTMFPPNILLKHNVPVYKAVQKPGEFIITFPRAYHSGFSHGFNCGEAVNFAIGDWFPLGAVASQRYALLKRIPLLPHEELLCKEAMLLSQRLFSPNSEVLLPTEDLSSQCCIKVSFVILMRFQHRACWLIMNSGARACSYSSKAVTLSCSICLRDCYVSYIKCDCNMNPVCLRHEMELRSCHCGRKRIIFLREGFLELEAVARKFEQEEGIVEEAQKQVFHSDDQCLKNLFPSTRDDGYIPYCKLNLYLSLENVEQRMEISEDLDFVSQRECIKYDAEEAACSAISISSFSLGQNDSNSKFSVSVKNDKMQSCHKKYPDCLPAPPCGSVRVTPSNRWSTRHEGSLHGVLDGDDSDTEIFRVKRRSAVNLERGGNVISVKLPEQQVLKRLKKLDNKGVVVPRPSASCSHGLNRNLVGGIAPISLKFRKQQLELDAKVGRDGGVETKSQGAMQEQGCIPVKLNREHEGGGASYRARTKASESQRPLLS >DRNTG_31470.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1928316:1929065:1 gene:DRNTG_31470 transcript:DRNTG_31470.6 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSCHCGRKRIIFLREGFLELEAVARKFEQEEGIVEEAQKQVFHSDDQCLKNLFPSTRDDGYIPYCKLNLYLSLENVEQRMEISEDLDFVSQRECIKYDAEEAACSAISISSFSLGQNDSNSKFSVSVKNDKMQSCHKKYPDCLPAPPCGSVRVTPSNRWSTRHEGSLHGVLDGDDSDTEIFRVKRRSAVNLERGGNVISVKLPEQQ >DRNTG_31470.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1927827:1929947:1 gene:DRNTG_31470 transcript:DRNTG_31470.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSQRLFSPNSEVLLPTEDLSSQCCIKVSFVILMRFQHRACWLIMNSGARACSYSSKAVTLSCSICLRDCYVSYIKCDCNMNPVCLRHEMELRSCHCGRKRIIFLREGFLELEAVARKFEQEEGIVEEAQKQVFHSDDQCLKNLFPSTRDDGYIPYCKLNLYLSLENVEQRMEISEDLDFVSQRECIKYDAEEAACSAISISSFSLGQNDSNSKFSVSVKNDKMQSCHKKYPDCLPAPPCGSVRVTPSNRWSTRHEGSLHGVLDGDDSDTEIFRVKRRSAVNLERGGNVISVKLPEQQVLKRLKKLDNKGVVVPRPSASCSHGLNRNLVGGIAPISLKFRKQQLELDAKVGRDGGVETKSQGAMQEQGCIPVKLNREHEGGGASYRARTKASESQRPLLS >DRNTG_31470.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1927248:1929947:1 gene:DRNTG_31470 transcript:DRNTG_31470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIGMLFSLFAWHVEDHYFINYHHCGASKTWYGIPGNAAPDFEKVVREHVYDHGILSSEGDDAAFDVLLGKTTMFPPNILLKHNVPVYKAVQKPGEFIITFPRAYHSGFSHGFNCGEAVNFAIGDWFPLGAVASQRYALLKRIPLLPHEELLCKEAMLLSQRLFSPNSEVLLPTEDLSSQCCIKVSFVILMRFQHRACWLIMNSGARACSYSSKAVTLSCSICLRDCYVSYIKCDCNMNPVCLRHEMELRSCHCGRKRIIFLREGFLELEAVARKFEQEEGIVEEAQKQVFHSDDQCLKNLFPSTRDDGYIPYCKLNLYLSLENVEQRMEISEDLDFVSQRECIKYDAEEAACSAISISSFSLGQNDSNSKFSVSVKNDKMQSCHKKYPDCLPAPPCGSVRVTPSNRWSTRHEGSLHGVLDGDDSDTEIFRVKRRSAVNLERGGNVISVKLPEQQVLKRLKKLDNKGVVVPRPSASCSHGLNRNLVGGIAPISLKFRKQQLELDAKVGRDGGVETKSQGAMQEQGCIPVKLNREHEGGGASYRARTKASESQRPLLS >DRNTG_31470.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1929487:1929947:1 gene:DRNTG_31470 transcript:DRNTG_31470.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIETWLVELLQYPLSSGNNNWNWMPRWEGMEALKRKAKELCRSRDVFQLNLTENMKEEAPPIELGPKRLKVRGPSFPNSVVEQDRSSSNSSRTLDCKVQSGGQLF >DRNTG_31470.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1926294:1929947:1 gene:DRNTG_31470 transcript:DRNTG_31470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVFSRRYSSAGCLPARYLEEQFWQEIGCGKTESVEYACDIEGSAFSCSPGDQLGKSKWNLKRLSRLPKSILRLLGAAIPGVTDPMLYIGMLFSLFAWHVEDHYFINYHHCGASKTWYGIPGNAAPDFEKVVREHVYDHGILSSEGDDAAFDVLLGKTTMFPPNILLKHNVPVYKAVQKPGEFIITFPRAYHSGFSHGFNCGEAVNFAIGDWFPLGAVASQRYALLKRIPLLPHEELLCKEAMLLSQRLFSPNSEVLLPTEDLSSQCCIKVSFVILMRFQHRACWLIMNSGARACSYSSKAVTLSCSICLRDCYVSYIKCDCNMNPVCLRHEMELRSCHCGRKRIIFLREGFLELEAVARKFEQEEGIVEEAQKQVFHSDDQCLKNLFPSTRDDGYIPYCKLNLYLSLENVEQRMEISEDLDFVSQRECIKYDAEEAACSAISISSFSLGQNDSNSKFSVSVKNDKMQSCHKKYPDCLPAPPCGSVRVTPSNRWSTRHEGSLHGVLDGDDSDTEIFRVKRRSAVNLERGGNVISVKLPEQQVLKRLKKLDNKGVVVPRPSASCSHGLNRNLVGGIAPISLKFRKQQLELDAKVGRDGGVETKSQGAMQEQGCIPVKLNREHEGGGASYRARTKASESQRPLLS >DRNTG_22823.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14816197:14824734:1 gene:DRNTG_22823 transcript:DRNTG_22823.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTPRSAWKKVIGGTFVSTDLPRCTLGRTRGPLEKMRPFHKTIDMNTKGVISMDYLLLHSISLLIVTSSMKSYTPSGKKVGRGASVEAYPIPDEGILGRREMFNPDSYEGLFDQEESNEEVMMLGSTEEVTWTPGILKKVLRKMKRARRRHRKRSKIVGDSLQIFLSHPEKTHGRVEFLHAHGDCTVSSYKEGTGACGYPCERPCDYHTPVGNFRTGVLIPAELGRFFTRAHRGVDSPLCPEKPQWRVSSPVGRMHGHMAPRVKKNEVKRLILTPPEPLHMDFSNPEQQALFEKLSVLGFGQTCFTDLQVLKDIQQGDKLANEIDEMLAVGSWRRLLAISEPAYRVLTLEIGLYDVAYRGTVEYGRLPTDFPVSVTPYHAYRIFCSHGEYELGLLKASSLSWMMGLVRRFVPRVYILATTTADSTRSEGDTAGGVQQIPTPSVALAAAALASYRDTDI >DRNTG_21691.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:977603:983532:-1 gene:DRNTG_21691 transcript:DRNTG_21691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLEVQSMFGAKGSLPLNERIISTISRSSLAAHPWHDLEIGAGAPAIFNCVVEIGKGSKVKYELDKKTGMIMVDRILYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVVSGCFLRAKAIGIMPMIDQGENDNKIIAVCADDPEYRHYNDISELPPHRLAEIKSFFEDYKKNENKTVEVGEFLSSQDARNAIQRSMDLYGQYVVESLRK >DRNTG_26519.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2356681:2361430:1 gene:DRNTG_26519 transcript:DRNTG_26519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACLPALLPLLLMYFVKIHQTDGRDDKKHLDAFSIITLIIAGYLMLVILGENILTLETSVRIVAFLLLLLLLMSPLIVAMKAQLHDWKTLSESCDESIRPLIGDINYAVTDQRSDGLIEEEASSVIGRALSSQDMCQTSRGEDLNVLQSMLTCEFWLLFLAVACGLG >DRNTG_26519.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2356681:2358940:1 gene:DRNTG_26519 transcript:DRNTG_26519.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLACLPALLPLLLMYFVKIHQTDGRDDKKHLDAFSIITLIIAGYLMLVILGENILTLETSVRIVAFLLLLLLLMSPLIVAMKAQLHDWKTLSESCDESIRPLIGDINYAVTDLKSDGLVEEEASSSVIGRESSSQDMCQASREDLSVLQSMLTSEFWLLFLAVACGLGSGLATINNISQIGSSLGYSIKEISSLVSLWSIWNFLGRFAIGYISDYFLRSRGYARTLFMVLTLAVMSIGHVIISSGLPGTLYLGSTFVGLCYGSIWVLMPSITSEIFGLRDFGTIFNTIAIASPVGSYILSVRVVGYIYDKESSSSAIHACMGRHCFMSSFLIMASTSLLGVASSVALFLRTRKFYSQVIYAAVQSS >DRNTG_25193.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5753553:5754562:-1 gene:DRNTG_25193 transcript:DRNTG_25193.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGNENSDLGMKIKGMALIHSYFWGRDALEGENHDPKFREEMERMWRFVCPSSTEGTDDVWANPVKEPAERLARLGCEKVMVWVAEKDIFRARGVAYCEALKKSRWNGDVKLVEHKGQGHVFHLLDPQSQQALEFLEDLGGFLNQEQ >DRNTG_25193.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5712995:5754562:-1 gene:DRNTG_25193 transcript:DRNTG_25193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLDNQIEQDFGHFLRVYKSGRIERILGTEVLPPSTDPSTGVSSKDILIDPTTGLSVRLYLPAGHPHPDPNLPLLIYFHGGAFCVESASSPTYHNYLNALVARSNITVVSVDYRRAPEHPLPAAYDDSWAVLRWAANLADFKRVFLAGDSAGANIAHRMAMMAGNEGSDLGMKIKGMALIHSYFWGRDALEGESEDLKFREGMERMWRFVCPSTTEGTDDVWANPLREPVERLARLGCQKVMVWVAEKDILRTRGVAYCEALKKSGWNGDVKLGEHEGQGHCFHLLDPQSQQALEFLEDFGKFLNQE >DRNTG_07935.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1184689:1191047:-1 gene:DRNTG_07935 transcript:DRNTG_07935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQLTWRRNARGKIANVVASTGENDVESCVHVTEFSSENPTASPNRTSRTRNRPAVRSGSIKLVDWESTWSNLVKLAVDHGIEIELFPGETLSNRALALRFGWDLVEIVALKRGLSMMEEFIVLCNLFSVFLENMLPDEKAIHCHLREVGMTFQLLNDLPITISKNMEKGLLTVFEPLAMLEGVLFLIGSKRN >DRNTG_31712.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16621936:16624287:1 gene:DRNTG_31712 transcript:DRNTG_31712.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGRKKAAPKLSSRPEDAGTDADKRFKEQQQAFSDQEVERRIAAIQAIQAAEVESLLSRLRLLRSYLSKEQLDTCALTFFQKNLPNLSVVKNEKYKVYELEYNNKNNDLLGNHGHALNIHVSTDNTCQPSSDFYLSAKSVKNNFLDAANYCIPDFVFDEQTENLIAGMRDAFQTPGNTSNRLSFGMTPKTQRVPKHGEMLLSVHGSPLGVYREDNLEAIHESGDCPPGDGVC >DRNTG_28100.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1530628:1540256:-1 gene:DRNTG_28100 transcript:DRNTG_28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGTSMAAPHVAGVAALLMKAHPTWSPSMVASAICTSSLQCNNKGLPIMAHGLEVQALYPSTPFDHGAGFINPTAALDPGLVFSSAFEDYINFLCSLPNLDPSKVRSVTGKACNTSHQTSTSDLNLPSITLSKLSKFKSVKRQVLNVAKYPEKYLCSIVAPHGTLVDVMPRWFTIFPQEIQVLEIQINVTNPLKLFTFGEIVLVGSLNHVVRFPLSVFPMEV >DRNTG_15285.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4583630:4583930:1 gene:DRNTG_15285 transcript:DRNTG_15285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASVLAVAAAASAAVTSGSAMPSDEGTMRKEVGEGSGSPRKGADKGKFAPRFDGLRFIETLVTAHR >DRNTG_26658.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:10028554:10034497:1 gene:DRNTG_26658 transcript:DRNTG_26658.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRALEDLIHSIFKLIWKRTSARDEDTPLIDVEADGGTSKKSRPTSANAGALKISCELLRLFVTEAVQRAAIIAEAEGTEKIEPTHLERILPQLLLDF >DRNTG_26658.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:10026636:10034497:1 gene:DRNTG_26658 transcript:DRNTG_26658.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGVPLPRSSLDKSHSEAAVELDPMEEMLLGGSWLGTLDSSDFSLLGAPNSASPFGCSGFSPLFDISSSTLVPILHKNDTQEDVEWPNFCTNPSSCEGHIGSISEMQQLRDPDITGLPKFSAQSTESETANPISGAGATLWIQPSIPFVSIGDRLNYALNYVKENSRDGDALLQVWAPVKHGNQRVLTTYGQPFSLDPNCDRLVNYRTVSMRYQFSAEENSNVAAGLPGRVFLGKMPEWTPDVQYFSVSEYPRVGHAHQYDVRGTIGVPIFERDSRYCIGVVEMVMTSQKINYSSDIDNICNALEAVNLRSSEGLSGLGSFYRRVNNDSYQTALCEILEVLKAVCRTHNLPLAQTWIPCIQQGISHNRHSDENLNDCVSTSDAACYVHDPSMLGFHKACSEHHLFKGQGVAGKAFMTNQPCFSLDVTGFRKMDYPLSHHAKMFHLRAAVAIRLRCIHTGPIDFVLEFFLPFDCLGSEEQKLMLDSLSFTIKQVCKTLRVVTIKELQDEAPLQSGEVVLSDNFMKGSASEGQEQKCGSIVSMSTPTAGYSREVSSWIPNLSGTPHNGAKNVFPVGEPGEFKEKHAEGFNMTTTWVDHAETALSTRQIFSEVKRHGQDSIKHKKNHMFLNAGDSNCQNASKIAEKRRAKAEKTVSLQVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGITRWPSQKIKKVGHSLRKLQVVIDSVQGAEGAFQLSSLYEDFTKGAGAENMSGNKTLSMVKTDRLGTSRSQARLSSASNSHSSSCSQSSNSSLGCIESNQYSQAVPSAIKQETLMPLNPSGKLKRAHSDIALHLATQEAPPCINRSRSREVLGAHHSSDSMSPLNKDKCSSFRVKAVNGEEKVRFRLLPNWGFNDLKQEIARRFEMDDLSSMDLKYLDDDSEWVLLTCNEDLQECLDVYKSSDVNTIKISVHTGSPSPRASIVQPGSS >DRNTG_26658.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:10026100:10034497:1 gene:DRNTG_26658 transcript:DRNTG_26658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGVPLPRSSLDKSHSEAAVELDPMEEMLLGGSWLGTLDSSDFSLLGAPNSASPFGCSGFSPLFDISSSTLVPILHKNDTQEDVEWPNFCTNPSSCEGHIGSISEMQQLRDPDITGLPKFSAQSTESETANPISGAGATLWIQPSIPFVSIGDRLNYALNYVKENSRDGDALLQVWAPVKHGNQRVLTTYGQPFSLDPNCDRLVNYRTVSMRYQFSAEENSNVAAGLPGRVFLGKMPEWTPDVQYFSVSEYPRVGHAHQYDVRGTIGVPIFERDSRYCIGVVEMVMTSQKINYSSDIDNICNALEAVNLRSSEGLSGLGSFYRRVNNDSYQTALCEILEVLKAVCRTHNLPLAQTWIPCIQQGISHNRHSDENLNDCVSTSDAACYVHDPSMLGFHKACSEHHLFKGQGVAGKAFMTNQPCFSLDVTGFRKMDYPLSHHAKMFHLRAAVAIRLRCIHTGPIDFVLEFFLPFDCLGSEEQKLMLDSLSFTIKQVCKTLRVVTIKELQDEAPLQSGEVVLSDNFMKGSASEGQEQKCGSIVSMSTPTAGYSREVSSWIPNLSGTPHNGAKNVFPVGEPGEFKEKHAEGFNMTTTWVDHAETALSTRQIFSEVKRHGQDSIKHKKNHMFLNAGDSNCQNASKIAEKRRAKAEKTVSLQVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGITRWPSQKIKKVGHSLRKLQVVIDSVQGAEGAFQLSSLYEDFTKGAGAENMSGNKTLSMVKTDRLGTSRSQARLSSASNSHSSSCSQSSNSSLGCIESNQYSQAVPSAIKQETLMPLNPSGKLKRAHSDIALHLATQEAPPCINRSRSREVLGAHHSSDSMSPLNKDKCSSFRVKAVNGEEKVRFRLLPNWGFNDLKQEIARRFEMDDLSSMDLKYLDDDSEWVLLTCNEDLQECLDVYKSSDVNTIKISVHTGSPSPRASIVQPGSS >DRNTG_26658.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:10028812:10034497:1 gene:DRNTG_26658 transcript:DRNTG_26658.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFHKACSEHHLFKGQGVAGKAFMTNQPCFSLDVTGFRKMDYPLSHHAKMFHLRAAVAIRLRCIHTGPIDFVLEFFLPFDCLGSEEQKLMLDSLSFTIKQVCKTLRVVTIKELQDEAPLQSGEVVLSDNFMKGSASEGQEQKCGSIVSMSTPTAGYSREVSSWIPNLSGTPHNGAKNVFPVGEPGEFKEKHAEGFNMTTTWVDHAETALSTRQIFSEVKRHGQDSIKHKKNHMFLNAGDSNCQNASKIAEKRRAKAEKTVSLQVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGITRWPSQKIKKVGHSLRKLQVVIDSVQGAEGAFQLSSLYEDFTKGAGAENMSGNKTLSMVKTDRLGTSRSQARLSSASNSHSSSCSQSSNSSLGCIESNQYSQAVPSAIKQETLMPLNPSGKLKRAHSDIALHLATQEAPPCINRSRSREVLGAHHSSDSMSPLNKDKCSSFRVKAVNGEEKVRFRLLPNWGFNDLKQEIARRFEMDDLSSMDLKYLDDDSEWVLLTCNEDLQECLDVYKSSDVNTIKISVHTGSPSPRASIVQPGSS >DRNTG_17421.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8546024:8548042:-1 gene:DRNTG_17421 transcript:DRNTG_17421.2 gene_biotype:protein_coding transcript_biotype:protein_coding QFLSLGSNISKSYSLSCVYLLQLNIAEHDRSGLSGQEKNQSAPFGLLKLLNFGWTDKELRNATGSSNCTILKHPLKLRSSYATVKGTNPRTRGSYGEPLATTYHAKFLGTVDYIWYSSGVTLTKVLDTLPSDALLRIGSLPCKDLGSDHLPLVAEFAFTESGRSKADDLRLPVKKYDNEEE >DRNTG_17421.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8546024:8549163:-1 gene:DRNTG_17421 transcript:DRNTG_17421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEKAYDLSEKWGNIPILVMGDFNCTPESGIYKFLSTSKLNIAEHDRSGLSGQEKNQSAPFGLLKLLNFGWTDKELRNATGSSNCTILKHPLKLRSSYATVKGTNPRTRGSYGEPLATTYHAKFLGTVDYIWYSSGVTLTKVLDTLPSDALLRIGSLPCKDLGSDHLPLVAEFAFTESGRSKADDLRLPVKKYDNEEE >DRNTG_17421.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8546024:8547420:-1 gene:DRNTG_17421 transcript:DRNTG_17421.3 gene_biotype:protein_coding transcript_biotype:protein_coding YSSGVTLTKVLDTLPSDALLRIGSLPCKDLGSDHLPLVAEFAFTESGRSKADDLRLPVKKYDNEEE >DRNTG_13272.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10162087:10162966:-1 gene:DRNTG_13272 transcript:DRNTG_13272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDGIEAVIIPIGIGGFVACRALSQRNNGPKTTSRQGVGVLVIENLGI >DRNTG_13378.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000607.1:64025:64555:-1 gene:DRNTG_13378 transcript:DRNTG_13378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLCYRREQSHRWERRLCPVIHRKIEELVEESRNLLVGHSDGDHFEVTDTNIHRYVDDYFTVDSYRQAYAKAIFPVPDNDKPDDINRELLVRPPITKKPVGRPRRKRLESQASIVHEL >DRNTG_08887.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27618960:27624334:-1 gene:DRNTG_08887 transcript:DRNTG_08887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVTFSWPYGGRQVLITGTFTSWTRHYQMTPVDGSPGTFQSSIDLLPGYHQYRFLADGIWRCDERQPCISDGFGVVNNWLYIAEPDVAAPALQYEPHIIRTMDMDEGTALYTAPSPSQAQLSETDIQVSRSWISRLLSSHTVYDTLPMSSKVVIIDAQMPVKKAFQIMYEEGLFVVPIWDELRATVTGMLTASDFISILKGLQENVLVLAEEELDNYTVSAWKEIKFQQNSNGPVGMHRRPVIHASDQECLKDVALKIVRNEISSLPVFKSSSQDTCMPLLTLACLPGVLKYIFTHYREPIIALPLLQHPICRIPLGTWLLETGRGNGRQLAMLGSNSPLSSALQLLLEGRISTIPIVDDSGSLVDIYSRSDILALAKGDMYAHIQPHQMTMHQALQQVYQANSYINGRRRCHTCFRSSTLHEVMDQLSDPAVRRLVIVDAISKRVEGIISLRDVLEFLLH >DRNTG_08887.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27618960:27624334:-1 gene:DRNTG_08887 transcript:DRNTG_08887.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVVIIDAQMPVKKAFQIMYEEGLFVVPIWDELRATVTGMLTASDFISILKGLQENVLVLAEEELDNYTVSAWKEIKFQQNSNGPVGMHRRPVIHASDQECLKDVALKIVRNEISSLPVFKSSSQDTCMPLLTLACLPGVLKYIFTHYREPIIALPLLQHPICRIPLGTWLLETGRGNGRQLAMLGSNSPLSSALQLLLEGRISTIPIVDDSGSLVDIYSRSDILALAKGDMYAHIQPHQMTMHQALQQVYQANSYINGRRRCHTCFRSSTLHEVMDQLSDPAVRRLVIVDAISKRVEGIISLRDVLEFLLH >DRNTG_08887.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27618960:27624334:-1 gene:DRNTG_08887 transcript:DRNTG_08887.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVTFSWPYGGRQVLITGTFTSWTRHYQMTPVDGSPGTFQSSIDLLPGYHQYRFLADGIWRCDERQPCISDGFGVVNNWLYIAEPDVAAPALQYEPHIIRTMDMDEGTALYTAQLSETDIQVSRSWISRLLSSHTVYDTLPMSSKVVIIDAQMPVKKAFQIMYEEGLFVVPIWDELRATVTGMLTASDFISILKGLQENVLVLAEEELDNYTVSAWKEIKFQQNSNGPVGMHRRPVIHASDQECLKDVALKIVRNEISSLPVFKSSSQDTCMPLLTLACLPGVLKYIFTHYREPIIALPLLQHPICRIPLGTWLLETGRGNGRQLAMLGSNSPLSSALQLLLEGRISTIPIVDDSGSLVDIYSRSDILALAKGDMYAHIQPHQMTMHQALQQVYQANSYINGRRRCHTCFRSSTLHEVMDQLSDPAVRRLVIVDAISKRVEGIISLRDVLEFLLH >DRNTG_27997.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001422.1:9685:12123:1 gene:DRNTG_27997 transcript:DRNTG_27997.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICAFRAREEQKNKSFPKEWVFWELARDGDRTRGATLDARWGSNSGSHARRSRRT >DRNTG_29644.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2917147:2919644:1 gene:DRNTG_29644 transcript:DRNTG_29644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINFNKIKQHFDCHAWVYISQSNRDRELLESIAKQLTVVDEVRMKKSKDEELKKVVYEHLKERKYLVVTDDVWTRGACDNIKEVLPAEMLNGSKILLTTRNRDVTLHAD >DRNTG_06872.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17255871:17256212:1 gene:DRNTG_06872 transcript:DRNTG_06872.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKFDKPVKFPSDLEIENDLLASPTQHQRILYELVGSVTHHGSKSSTGHYTADIKYLDGPWMRCDDEKVAPVSSSDVFHDQAYIL >DRNTG_28814.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28701272:28702049:-1 gene:DRNTG_28814 transcript:DRNTG_28814.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVQIHQVSHVAVFPSPVTETELLLPLSFFDVSWISIPPIRRLLLFPAAPDLHYLKSSLSAVLRIFYPLAGKLTYLPATGDVALSCSPGDHVTFIEADSDGDFTRLASDEIHDVDSFLRLVPELDVKVLPAAVMEVQVTRFDGGSVAVGLAIHHAVVDGRGFWLFVQAWAMACRAGEEAISGVSLVHDRTVIRHHPHGNEIARSLLKKMAPELPIV >DRNTG_28814.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28700287:28702049:-1 gene:DRNTG_28814 transcript:DRNTG_28814.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVQIHQVSHVAVFPSPVTETELLLPLSFFDVSWISIPPIRRLLLFPAAPDLHYLKSSLSAVLRIFYPLAGKLTYLPATGDVALSCSPGDHVTFIEADSDGDFTRLASDEIHDVDSFLRLVPELDVKVLPAAVMEVQVTRFDGGSVAVGLAIHHAVVDGRGFWLFVQAWAMACRAGEEAISGVSLVHDRTVIRHHPHGNEIARSLLKKMAPELPIINTQRRFEATRRTFTISRDMIRTMKQRAKDGHIQYSTFTALSALTWVSLIKTKAMEDPNEETILGFPMDCRTRLNPPLNDGYYGNCIRGCFAKAKAVKLGGSAGFSIACRRIKEEIDESNKDVLRGYEDSVGGFFRDANSAFILLNGSLSFRAYETDFGMGRPSRVEQVSMNLDGQVTLIGGREEGEIQMSVSLNPPHMEEFTKEFLRELNA >DRNTG_21517.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1517610:1519041:1 gene:DRNTG_21517 transcript:DRNTG_21517.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOLDAT10 [Source:Projected from Arabidopsis thaliana (AT2G03050) UniProtKB/TrEMBL;Acc:A0A178VYV9] MAMVRLHLQPPFSSKPLPNPNPSPLPSSEILTSTSDAGLLFREKLLYLQRDLHIDASRALAANPALRSAPLPSLRAASDVLLSHGFLPDDASRILSHHPSLLTSDPEDPLIAALRFLRGPVGIPLSHLRRTVLRCPRLLVTSVPNQLQPTLYFLRRFGFVGSHRITSQTALLLVSSVEKTLIPKLDFIQSLGFSYKETQKMVLRSPGLLTFSIENNFKPKWDFLVNEMGRDLKELKEFPQYFAFSLEGRIKPRQQMLVESGLSMPLADMLKLSDGAFREQLIDLRIASLDKRL >DRNTG_21517.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1517610:1518845:1 gene:DRNTG_21517 transcript:DRNTG_21517.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOLDAT10 [Source:Projected from Arabidopsis thaliana (AT2G03050) UniProtKB/TrEMBL;Acc:A0A178VYV9] MAMVRLHLQPPFSSKPLPNPNPSPLPSSEILTSTSDAGLLFREKLLYLQRDLHIDASRALAANPALRSAPLPSLRAASDVLLSHGFLPDDASRILSHHPSLLTSDPEDPLIAALRFLRGPVGIPLSHLRRTVLRCPRLLVTSVPNQLQPTLYFLRRFGFVGSHRITSQTALLLVSSVEKTLIPKLDFIQSLGFSYKETQKMVLRSPGLLTFSIENNFKPKWDFLVNEMGRDLKELKEFPQYFAFSLEGRIKPRQQMLVESGLSMPLADMLKLSDGAFREQLIDLRIASLDKRL >DRNTG_21517.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1517610:1518776:1 gene:DRNTG_21517 transcript:DRNTG_21517.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOLDAT10 [Source:Projected from Arabidopsis thaliana (AT2G03050) UniProtKB/TrEMBL;Acc:A0A178VYV9] MAMVRLHLQPPFSSKPLPNPNPSPLPSSEILTSTSDAGLLFREKLLYLQRDLHIDASRALAANPALRSAPLPSLRAASDVLLSHGFLPDDASRILSHHPSLLTSDPEDPLIAALRFLRGPVGIPLSHLRRTVLRCPRLLVTSVPNQLQPTLYFLRRFGFVGSHRITSQTALLLVSSVEKTLIPKLDFIQSLGFSYKETQKMVLRSPGLLTFSIENNFKPKWDFLVNEMGRDLKELKEFPQYFAFSLEGRIKPRQQMLVESGLSMPLADMLKLSDGAFREQLIDLRIASLDKRL >DRNTG_21517.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1517769:1519041:1 gene:DRNTG_21517 transcript:DRNTG_21517.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOLDAT10 [Source:Projected from Arabidopsis thaliana (AT2G03050) UniProtKB/TrEMBL;Acc:A0A178VYV9] MAMVRLHLQPPFSSKPLPNPNPSPLPSSEILTSTSDAGLLFREKLLYLQRDLHIDASRALAANPALRSAPLPSLRAASDVLLSHGFLPDDASRILSHHPSLLTSDPEDPLIAALRFLRGPVGIPLSHLRRTVLRCPRLLVTSVPNQLQPTLYFLRRFGFVGSHRITSQTALLLVSSVEKTLIPKLDFIQSLGFSYKETQKMVLRSPGLLTFSIENNFKPKWDFLVNEMGRDLKELKEFPQYFAFSLEGRIKPRQQMLVESGLSMPLADMLKLSDGAFREQLIDLRIASLDKRL >DRNTG_21517.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1517769:1518776:1 gene:DRNTG_21517 transcript:DRNTG_21517.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOLDAT10 [Source:Projected from Arabidopsis thaliana (AT2G03050) UniProtKB/TrEMBL;Acc:A0A178VYV9] MAMVRLHLQPPFSSKPLPNPNPSPLPSSEILTSTSDAGLLFREKLLYLQRDLHIDASRALAANPALRSAPLPSLRAASDVLLSHGFLPDDASRILSHHPSLLTSDPEDPLIAALRFLRGPVGIPLSHLRRTVLRCPRLLVTSVPNQLQPTLYFLRRFGFVGSHRITSQTALLLVSSVEKTLIPKLDFIQSLGFSYKETQKMVLRSPGLLTFSIENNFKPKWDFLVNEMGRDLKELKEFPQYFAFSLEGRIKPRQQMLVESGLSMPLADMLKLSDGAFREQLIDLRIASLDKRL >DRNTG_21517.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1517769:1518845:1 gene:DRNTG_21517 transcript:DRNTG_21517.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOLDAT10 [Source:Projected from Arabidopsis thaliana (AT2G03050) UniProtKB/TrEMBL;Acc:A0A178VYV9] MAMVRLHLQPPFSSKPLPNPNPSPLPSSEILTSTSDAGLLFREKLLYLQRDLHIDASRALAANPALRSAPLPSLRAASDVLLSHGFLPDDASRILSHHPSLLTSDPEDPLIAALRFLRGPVGIPLSHLRRTVLRCPRLLVTSVPNQLQPTLYFLRRFGFVGSHRITSQTALLLVSSVEKTLIPKLDFIQSLGFSYKETQKMVLRSPGLLTFSIENNFKPKWDFLVNEMGRDLKELKEFPQYFAFSLEGRIKPRQQMLVESGLSMPLADMLKLSDGAFREQLIDLRIASLDKRL >DRNTG_29169.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:8975:9777:1 gene:DRNTG_29169 transcript:DRNTG_29169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGEKEDGKVKSPMVEKKKQELEDTSEKQEDLDEIVKKAIEELKKTRETRENSDYVVTPVTTPDSKANEEEQEVNKEGEEKASTPRKGKKKKTGEDSKALAGKKFNLSEFIFHNIVLMSQFLLQYGIQQVKGETVTTLKKLKISMKLFSLEKRIGSTRIPCKVIKNSFCRTDTHCNVEADYSRSS >DRNTG_32227.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1439540:1442476:1 gene:DRNTG_32227 transcript:DRNTG_32227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDPERRDEEEAPEAADDEDTGAHVAPIVRLEEVSVTTGEEEEDVLLDLKAKLYRFDKEGNQWKERGTGSVKLLKHKESGKVRLVMRQAKTLKICANHLVIPSIKMQEHAGNDKSCVWHATDFSDGELKDEMFCIRFGSVENCKKFMEKVESIAEDLGKNEEKESEETTSAAGLLEKLSVGDESKSEEKSKDEASTAQTTEEKKSDDDKKPE >DRNTG_02767.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11784952:11786118:1 gene:DRNTG_02767 transcript:DRNTG_02767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVEVERRMSTLTPSHAAGLRRLSTRAATAPAPTPTRNGLLPLTPLAESLLAHLRSSGVPILPGLSDTDLARTEAELGFPFPPDLRAILSLGLPSGPGFPDWHRLQSSSLSLPLAAASLQCFLEIRPQKLPTWVGGYLDRIGSVLRAGGWNESDVREIVHVSSSGLFNGHDEIVLDDQAIRDSLLLKTNRCSDSLRQAGWSSDEINDALTIEFRHDRRRHPVKLPPEIAFKIGQLAEAVSR >DRNTG_12974.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24908510:24911214:-1 gene:DRNTG_12974 transcript:DRNTG_12974.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELVENGGKKHHEIQEEKVMATSMTLNDYINIQIPSSENNELINHKQKRFLDFLKAHPSKEWFQKLGFIGRLSPFTFLRRSTSVSQPRPSVLTTEERRRRFRVPFVRKIDWPALIKYCKNWAKHPSNIAMLVWLSFVAVGIIILGLLMLGLLNGALSKSERTRWTEILNQVLNALFTIMCLYQHPRLFHHLVLLYNWRSSDIAELRKLYCKTPFRRPNERAHITFVVILLHITCISQYALCALYWGFTSKTRPDWAENLFIGVGIAAPVIAFLYTTYSPLGKKYESETDEENSEPAVNMVKLGSKRVVITNPEWIGGLYDIRDDMTVTYLSFFCTVCVFGWNMERLGFGNMYVHIVTFILLLLAPFLVFTVAALNINNEGIKYTVEIIGILLCFLGLLYGGFWRSQMRKKFKLPKSLFCSGNATMGDFMQWLFCWSCSLAQEVRTGNFYDVEDDSFCMKEEESARPELAPLPREAVVSPVMEMKKDGGGLDVLPVSVGGSSVGKDEALRPPLQPLIDMEVVVQPSKN >DRNTG_12974.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24908695:24911214:-1 gene:DRNTG_12974 transcript:DRNTG_12974.5 gene_biotype:protein_coding transcript_biotype:protein_coding MELVENGGKKHHEIQEEKVMATSMTLNDYINIQIPSSENNELINHKQKRFLDFLKAHPSKEWFQKLGFIGRLSPFTFLRRSTSVSQPRPSVLTTEERRRRFRVPFVRKIDWPALIKYCKNWAKHPSNIAMLVWLSFVAVGIIILGLLMLGLLNGALSKSERTRWTEILNQVLNALFTIMCLYQHPRLFHHLVLLYNWRSSDIAELRKLYCKTPFRRPNERAHITFVVILLHITCISQYALCALYWGFTSKTRPDWAENLFIGVGIAAPVIAFLYTTYSPLGKKYESETDEENSEPAVNMVKLGSKRVVITNPEWIGGLYDIRDDMTVTYLSFFCTVCVFGWNMERLGFGNMYVHIVTFILLLLAPFLVFTVAALNINNEGIKYTVEIIGILLCFLGLLYGGFWRSQMRKKFKLPKSLFCSGNATMGDFMQWLFCWSCSLAQEVRTGNFYDVEDDSFCMKEEESARPELAPLPREAVVSPVMEMKKDGGGLDVLPVSVGGSSVGKDEALRPPLQPLIDMEVVVQPSKN >DRNTG_12974.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24908695:24911252:-1 gene:DRNTG_12974 transcript:DRNTG_12974.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELVENGGKKHHEIQEEKVMATSMTLNDYINIQIPSSENNELINHKQKRFLDFLKAHPSKEWFQKLGFIGRLSPFTFLRRSTSVSQPRPSVLTTEERRRRFRVPFVRKIDWPALIKYCKNWAKHPSNIAMLVWLSFVAVGIIILGLLMLGLLNGALSKSERTRWTEILNQVLNALFTIMCLYQHPRLFHHLVLLYNWRSSDIAELRKLYCKTPFRRPNERAHITFVVILLHITCISQYALCALYWGFTSKTRPDWAENLFIGVGIAAPVIAFLYTTYSPLGKKYESETDEENSEPAVNMVKLGSKRVVITNPEWIGGLYDIRDDMTVTYLSFFCTVCVFGWNMERLGFGNMYVHIVTFILLLLAPFLVFTVAALNINNEGIKYTVEIIGILLCFLGLLYGGFWRSQMRKKFKLPKSLFCSGNATMGDFMQWLFCWSCSLAQEVRTGNFYDVEDDSFCMKEEESARPELAPLPREAVVSPVMEMKKDGGGLDVLPVSVGGSSVGKDEALRPPLQPLIDMEVVVQPSKN >DRNTG_12974.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24908510:24911252:-1 gene:DRNTG_12974 transcript:DRNTG_12974.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVENGGKKHHEIQEEKVMATSMTLNDYINIQIPSSENNELINHKQKRFLDFLKAHPSKEWFQKLGFIGRLSPFTFLRRSTSVSQPRPSVLTTEERRRRFRVPFVRKIDWPALIKYCKNWAKHPSNIAMLVWLSFVAVGIIILGLLMLGLLNGALSKSERTRWTEILNQVLNALFTIMCLYQHPRLFHHLVLLYNWRSSDIAELRKLYCKTPFRRPNERAHITFVVILLHITCISQYALCALYWGFTSKTRPDWAENLFIGVGIAAPVIAFLYTTYSPLGKKYESETDEENSEPAVNMVKLGSKRVVITNPEWIGGLYDIRDDMTVTYLSFFCTVCVFGWNMERLGFGNMYVHIVTFILLLLAPFLVFTVAALNINNEGIKYTVEIIGILLCFLGLLYGGFWRSQMRKKFKLPKSLFCSGNATMGDFMQWLFCWSCSLAQEVRTGNFYDVEDDSFCMKEEESARPELAPLPREAVVSPVMEMKKDGGGLDVLPVSVGGSSVGKDEALRPPLQPLIDMEVVVQPSKN >DRNTG_12974.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24908453:24911214:-1 gene:DRNTG_12974 transcript:DRNTG_12974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVENGGKKHHEIQEEKVMATSMTLNDYINIQIPSSENNELINHKQKRFLDFLKAHPSKEWFQKLGFIGRLSPFTFLRRSTSVSQPRPSVLTTEERRRRFRVPFVRKIDWPALIKYCKNWAKHPSNIAMLVWLSFVAVGIIILGLLMLGLLNGALSKSERTRWTEILNQVLNALFTIMCLYQHPRLFHHLVLLYNWRSSDIAELRKLYCKTPFRRPNERAHITFVVILLHITCISQYALCALYWGFTSKTRPDWAENLFIGVGIAAPVIAFLYTTYSPLGKKYESETDEENSEPAVNMVKLGSKRVVITNPEWIGGLYDIRDDMTVTYLSFFCTVCVFGWNMERLGFGNMYVHIVTFILLLLAPFLVFTVAALNINNEGIKYTVEIIGILLCFLGLLYGGFWRSQMRKKFKLPKSLFCSGNATMGDFMQWLFCWSCSLAQEVRTGNFYDVEDDSFCMKEEESARPELAPLPREAVVSPVMEMKKDGGGLDVLPVSVGGSSVGKDEALRPPLQPLIDMEVVVQPSKN >DRNTG_08749.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18625957:18643349:-1 gene:DRNTG_08749 transcript:DRNTG_08749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAKSFLAEVIFTILLCHFSQTVIAEVTAPSEVDALGAIKSRLIDPKGNLNNWNSGDPCTSNWTGVLCYNTTSSDGYLHIQELQLLRMNLSGNLAPELGRLSKLKILDFMWNKITGSIPKEIGSITTLKLLLLNGNQLNGSLPDELGNLPNLDRIQIDQNHISGQLPKSFANLNKTKHFHMNNNSISGQIPPELSRLPSLVHFLLDNNNLSGHIPAEFSKLPKLLILQLDNNNFSGTQIPDSFGSMSTLLKLSLRNCSLQGPIPDLSRVPRLGYLDLSSNQLTGTIPSNKLSDNITTIDLSNNLLSGPIPSNFSGLPNLQRLSLDHNQLNGSVPSTIWRNMIFSANKSLILDFQNNNLTNISNTLNPPANVSIWLYGNPVCSNASLLNISQFCNPETNNQTAGSSSNVTPSCQPCPTDEDYEYNPLSPLSCFCSLPLHVGYRLKSPGFSDFNPYVQDFEEYLSSGLELLLYQLYIDTFSWEEGPRLGMNLKLFPSNGSRFNSSEVLRIRGMFTGWLIGDSDLYGPYELINFTLGSYASVIPSRPKSGLGAGAVVGIVLGAVAVAITLSVIITMVIMKRFSTYSVATRKRSVSRIPIKIEGVKSFTFQEMSQATDNFSNAAQVGQGGYGKVYKGILADGTVVAIKRAQEGSLQGSKEFFTEIELLSRLHHRNLVSLLGYCDEEDEQMLVYEFMPNLTLRDHLSSKSKNGPLSFSLRLRIALGSSRGILYLHTEADPPIFHRDIKASNILLDAKFIAKVADFGLSRLAPLPEGEGTVPGYVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGKNIVREIMVAYQSGMVFSVIDNRMGSYPSECIEKFVALALRCCQDETERRPAMSEVVRELESIWRMTPESDSVPSESMVTDSVKKSTPTSTSMETNTYISTTDVSGSDLLSGAMPTITPR >DRNTG_08749.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18625957:18643349:-1 gene:DRNTG_08749 transcript:DRNTG_08749.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAKSFLAEVIFTILLCHFSQTVIAEVTAPSEVDALGAIKSRLIDPKGNLNNWNSGDPCTSNWTGVLCYNTTSSDGYLHIQELQLLRMNLSGNLAPELGRLSKLKILDFMWNKITGSIPKEIGSITTLKLLLLNGNQLNGSLPDELGNLPNLDRIQIDQNHISGQLPKSFANLNKTKHFHMNNNSISGQIPPELSRLPSLVHFLLDNNNLSGHIPAEFSKLPKLLILQLDNNNFSGTQIPDSFGSMSTLLKLSLRNCSLQGPIPDLSRVPRLGYLDLSSNQLTGTIPSNKLSDNITTIDLSNNLLSGPIPSNFSGLPNLQRLSLDHNQLNGSVPSTIWRNMIFSANKSLILDFQNNNLTNISNTLNPPANVSIWLYGNPVCSNASLLNISQFCNPETNNQTAGSSSNVTPSCQPCPTDEDYEYNPLSPLSCFCSLPLHVGYRLKSPGFSDFNPYVQDFEEYLSSGLELLLYQLYIDTFSWEEGPRLGMNLKLFPSNGSRFNSSEVLRIRGMFTGWLIGDSDLYGPYELINFTLGSYASVIPSRPKSGLGAGAVVGIVLGAVAVAITLSVIITMVIMKRFSTYSVATRKRSVSRIPIKIEGVKSFTFQEMSQATDNFSNAAQVGQGGYGKVYKGILADGTVVAIKRAQEGSLQGSKEFFTEIELLSRLHHRNLVSLLGYCDEEDEQMLVYEFMPNLTLRDHLSSKSKNGPLSFSLRLRIALGSSRGILYLHTEADPPIFHRDIKASNILLDAKFIAKVADFGLSRLAPLPEGEGTVPGYVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGKNIVREIMVAYQSGMVFSVIDNRMGSYPSECIEKFVALALRCCQDETERRPAMSEVVRELESIWRMTPESDSVPSESMVTDSVKKSTPTSTSMETNTYISTTDVSGSDLLSGAMPTITPR >DRNTG_08749.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18625957:18629617:-1 gene:DRNTG_08749 transcript:DRNTG_08749.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQATDNFSNAAQVGQGGYGKVYKGILADGTVVAIKRAQEGSLQGSKEFFTEIELLSRLHHRNLVSLLGYCDEEDEQMLVYEFMPNLTLRDHLSSKSKNGPLSFSLRLRIALGSSRGILYLHTEADPPIFHRDIKASNILLDAKFIAKVADFGLSRLAPLPEGEGTVPGYVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGKNIVREIMVAYQSGMVFSVIDNRMGSYPSECIEKFVALALRCCQDETERRPAMSEVVRELESIWRMTPESDSVPSESMVTDSVKKSTPTSTSMETNTYISTTDVSGSDLLSGAMPTITPR >DRNTG_09423.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21143709:21145125:1 gene:DRNTG_09423 transcript:DRNTG_09423.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-5B [Source:Projected from Arabidopsis thaliana (AT2G37420) UniProtKB/Swiss-Prot;Acc:Q0WQJ7] MLKEKEFIISNLLQSENAILQRAKQMHSNLESASEDMSLLLAKTERQTKIETENQGLVLNFGSHLDQSLKDLHKTVIGSVCQHQQVLRSMEEHFNSFLSTKSEATRNLELRIANIKDVYASGVQGMRKLANVLHKKSLSDLEQMKLSISAQMISVENFLITAVSEAEQVLSDVQTSLEEQKELLAVSACQQEMGLKQSLVSTRVISRTTIDFFNDLQERASRLAATVEENQMERSHQLEVFETKFKELSAKEENAALEKIAGILRNLTSTKDNMV >DRNTG_09423.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21142852:21144261:1 gene:DRNTG_09423 transcript:DRNTG_09423.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-5B [Source:Projected from Arabidopsis thaliana (AT2G37420) UniProtKB/Swiss-Prot;Acc:Q0WQJ7] MSTLDYAYRAKSIRNKPEANRKVSKSVLLKDLYSEIERMKQDVRAAREKNGVYIPPERFARDEAERKAMSEKIEQMESNVDLLRKEALKFQELYQAEQEHNLDMESEIQKCKESSEKSKKTLQDLQGVYNTTNLMLKEKEFIISNLLQSENAILQRAKQMHSNLESASEDMSLLLAKTERQTKIETENQGLVLNFGSHLDQSLKDLHKTVIGSVCQHQQVLRSMEEHFNSFLSTKSE >DRNTG_09423.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21141595:21143321:1 gene:DRNTG_09423 transcript:DRNTG_09423.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-5B [Source:Projected from Arabidopsis thaliana (AT2G37420) UniProtKB/Swiss-Prot;Acc:Q0WQJ7] MKVTFLELYNEEITDLLVSEEHSRTMDEKQRRPISLMEDGKGGAIIRGLQEEVVYSANDIFNLLERGSAKRRITDTMLNKQSSRSHSIFSITIHVKEVTLGNEELIKCGRLNLVDLAGSENISKSGVREVRAREAGEMNKSLLTLGRVITALVEHSGHVPYRDSKLTRLLRDSLGGKSKTCIIATISPSVLCLEETMSTLDYAYRAKSIRNKPEANRKVSKSVLLKDLYSEIERMKQDVRAAREKNGVYIPPERFARDEAERK >DRNTG_09423.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21139701:21146398:1 gene:DRNTG_09423 transcript:DRNTG_09423.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-5B [Source:Projected from Arabidopsis thaliana (AT2G37420) UniProtKB/Swiss-Prot;Acc:Q0WQJ7] MEGEMKFKGGDFSGDAGVIPRAVRHIFDALESQKSDYSMKVTFLELYNEEITDLLVSEEHSRTMDEKQRRPISLMEDGKGGAIIRGLQEEVVYSANDIFNLLERGSAKRRITDTMLNKQSSRSHSIFSITIHVKEVTLGNEELIKCGRLNLVDLAGSENISKSGVREVRAREAGEMNKSLLTLGRVITALVEHSGHVPYRDSKLTRLLRDSLGGKSKTCIIATISPSVLCLEETMSTLDYAYRAKSIRNKPEANRKVSKSVLLKDLYSEIERMKQDVRAAREKNGVYIPPERFARDEAERKAMSEKIEQMESNVDLLRKEALKFQELYQAEQEHNLDMESEIQKCKESSEKSKKTLQDLQGVYNTTNLMLKEKEFIISNLLQSENAILQRAKQMHSNLESASEDMSLLLAKTERQTKIETENQGLVLNFGSHLDQSLKDLHKTVIGSVCQHQQVLRSMEEHFNSFLSTKSEATRNLELRIANIKDVYASGVQGMRKLANVLHKKSLSDLEQMKLSISAQMISVENFLITAVSEAEQVLSDVQTSLEEQKELLAVSACQQEMGLKQSLVSTRVISRTTIDFFNDLQERASRLAATVEENQMERSHQLEVFETKFKELSAKEENAALEKIAGILRNLTSTKDNMVLAALHNMNNKECGRKKDVADRNG >DRNTG_09423.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21139993:21146398:1 gene:DRNTG_09423 transcript:DRNTG_09423.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-5B [Source:Projected from Arabidopsis thaliana (AT2G37420) UniProtKB/Swiss-Prot;Acc:Q0WQJ7] MEGEMKFKGGDFSGDAGVIPRAVRHIFDALESQKSDYSMKVTFLELYNEEITDLLVSEEHSRTMDEKQRRPISLMEDGKGGAIIRGLQEEVVYSANDIFNLLERGSAKRRITDTMLNKQSSRSHSIFSITIHVKEVTLGNEELIKCGRLNLVDLAGSENISKSGVREVRAREAGEMNKSLLTLGRVITALVEHSGHVPYRDSKLTRLLRDSLGGKSKTCIIATISPSVLCLEETMSTLDYAYRAKSIRNKPEANRKVSKSVLLKDLYSEIERMKQDVRAAREKNGVYIPPERFARDEAERKAMSEKIEQMESNVDLLRKEALKFQELYQAEQEHNLDMESEIQKCKESSEKSKKTLQDLQGVYNTTNLMLKEKEFIISNLLQSENAILQRAKQMHSNLESASEDMSLLLAKTERQTKIETENQGLVLNFGSHLDQSLKDLHKTVIGSVCQHQQVLRSMEEHFNSFLSTKSEATRNLELRIANIKDVYASGVQGMRKLANVLHKKSLSDLEQMKLSISAQMISVENFLITAVSEAEQVLSDVQTSLEEQKELLAVSACQQEMGLKQSLVSTRVISRTTIDFFNDLQERASRLAATVEENQMERSHQLEVFETKFKELSAKEENAALEKIAGILRNLTSTKDNMVLAALHNMNNKECGRKKDVADRNG >DRNTG_09423.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21140294:21146398:1 gene:DRNTG_09423 transcript:DRNTG_09423.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-5B [Source:Projected from Arabidopsis thaliana (AT2G37420) UniProtKB/Swiss-Prot;Acc:Q0WQJ7] MEGEMKFKGGDFSGDAGVIPRAVRHIFDALESQKSDYSMKVTFLELYNEEITDLLVSEEHSRTMDEKQRRPISLMEDGKGGAIIRGLQEEVVYSANDIFNLLERGSAKRRITDTMLNKQSSRSHSIFSITIHVKEVTLGNEELIKCGRLNLVDLAGSENISKSGVREVRAREAGEMNKSLLTLGRVITALVEHSGHVPYRDSKLTRLLRDSLGGKSKTCIIATISPSVLCLEETMSTLDYAYRAKSIRNKPEANRKVSKSVLLKDLYSEIERMKQDVRAAREKNGVYIPPERFARDEAERKAMSEKIEQMESNVDLLRKEALKFQELYQAEQEHNLDMESEIQKCKESSEKSKKTLQDLQGVYNTTNLMLKEKEFIISNLLQSENAILQRAKQMHSNLESASEDMSLLLAKTERQTKIETENQGLVLNFGSHLDQSLKDLHKTVIGSVCQHQQVLRSMEEHFNSFLSTKSEATRNLELRIANIKDVYASGVQGMRKLANVLHKKSLSDLEQMKLSISAQMISVENFLITAVSEAEQVLSDVQTSLEEQKELLAVSACQQEMGLKQSLVSTRVISRTTIDFFNDLQERASRLAATVEENQMERSHQLEVFETKFKELSAKEENAALEKIAGILRNLTSTKDNMVLAALHNMNNKECGRKKDVADRNG >DRNTG_09423.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21145200:21146398:1 gene:DRNTG_09423 transcript:DRNTG_09423.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-5B [Source:Projected from Arabidopsis thaliana (AT2G37420) UniProtKB/Swiss-Prot;Acc:Q0WQJ7] MLQIEMADMQKVSANVKSDFIGQIEKVEKQFYENETSAAVTRAMMENIIQSCSKEVDKSMQYWEVAQLSVNKLNEDHNVEIVSSVEEIQQENKNILEEFVSVSSCTDVDFEVGTSHLLTNAKDSHLLDLETSKTMNSMTTTCSDQLKNLQHDHSERIKEIRNLADEQLLKEYLVDLPTAATPKKTSVLLPSWSSIEELRTPHIADLVKGMESEDRLKSEHEVIKHQQYLSPLTSRSPFA >DRNTG_09423.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21143086:21146398:1 gene:DRNTG_09423 transcript:DRNTG_09423.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-5B [Source:Projected from Arabidopsis thaliana (AT2G37420) UniProtKB/Swiss-Prot;Acc:Q0WQJ7] MKQDVRAAREKNGVYIPPERFARDEAERKAMSEKIEQMESNVDLLRKEALKFQELYQAEQEHNLDMESEIQKCKESSEKSKKTLQDLQGVYNTTNLMLKEKEFIISNLLQSENAILQRAKQMHSNLESASEDMSLLLAKTERQTKIETENQGLVLNFGSHLDQSLKDLHKTVIGSVCQHQQVLRSMEEHFNSFLSTKSEATRNLELRIANIKDVYASGVQGMRKLANVLHKKSLSDLEQMKLSISAQMISVENFLITAVSEAEQVLSDVQTSLEEQKELLAVSACQQEMGLKQSLVSTRVISRTTIDFFNDLQERASRLAATVEENQMERSHQLEVFETKFKELSAKEENAALEKIAGILRNLTSTKDNMVLAALHNMNNKECGRKKDVADRNG >DRNTG_09423.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21143242:21146398:1 gene:DRNTG_09423 transcript:DRNTG_09423.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-5B [Source:Projected from Arabidopsis thaliana (AT2G37420) UniProtKB/Swiss-Prot;Acc:Q0WQJ7] MSEKIEQMESNVDLLRKEALKFQELYQAEQEHNLDMESEIQKCKESSEKSKKTLQDLQGVYNTTNLMLKEKEFIISNLLQSENAILQRAKQMHSNLESASEDMSLLLAKTERQTKIETENQGLVLNFGSHLDQSLKDLHKTVIGSVCQHQQVLRSMEEHFNSFLSTKSEATRNLELRIANIKDVYASGVQGMRKLANVLHKKSLSDLEQMKLSISAQMISVENFLITAVSEAEQVLSDVQTSLEEQKELLAVSACQQEMGLKQSLVSTRVISRTTIDFFNDLQERASRLAATVEENQMERSHQLEVFETKFKELSAKEENAALEKIAGILRNLTSTKDNMVLAALHNMNNKECGRKKDVADRNG >DRNTG_09423.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21142008:21145620:1 gene:DRNTG_09423 transcript:DRNTG_09423.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-5B [Source:Projected from Arabidopsis thaliana (AT2G37420) UniProtKB/Swiss-Prot;Acc:Q0WQJ7] MNKSLLTLGRVITALVEHSGHVPYRDSKLTRLLRDSLGGKSKTCIIATISPSVLCLEETMSTLDYAYRAKSIRNKPEANRKVSKSVLLKDLYSEIERMKQDVRAAREKNGVYIPPERFARDEAERKAMSEKIEQMESNVDLLRKEALKFQELYQAEQEHNLDMESEIQKCKESSEKSKKTLQDLQGVYNTTNLMLKEKEFIISNLLQSENAILQRAKQMHSNLESASEDMSLLLAKTERQTKIETENQGLVLNFGSHLDQSLKDLHKTVIGSVCQHQQVLRSMEEHFNSFLSTKSEATRNLELRIANIKDVYASGVQGMRKLANVLHKKSLSDLEQMKLSISAQMISVENFLITAVSEAEQVLSDVQTSLEEQKELLAVSACQQEMGLKQSLVSTRVISRTTIDFFNDLQERASRLAATVEENQMERSHQLEVFETKFKELSAKEENAALEKIAGILRNLTSTKDNMVLAALHNMNNKECGRKKDVADRNG >DRNTG_09423.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21143418:21146398:1 gene:DRNTG_09423 transcript:DRNTG_09423.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-5B [Source:Projected from Arabidopsis thaliana (AT2G37420) UniProtKB/Swiss-Prot;Acc:Q0WQJ7] MSEKIEQMESNVDLLRKEALKFQELYQAEQEHNLDMESEIQKCKESSEKSKKTLQDLQGVYNTTNLMLKEKEFIISNLLQSENAILQRAKQMHSNLESASEDMSLLLAKTERQTKIETENQGLVLNFGSHLDQSLKDLHKTVIGSVCQHQQVLRSMEEHFNSFLSTKSEATRNLELRIANIKDVYASGVQGMRKLANVLHKKSLSDLEQMKLSISAQMISVENFLITAVSEAEQVLSDVQTSLEEQKELLAVSACQQEMGLKQSLVSTRVISRTTIDFFNDLQERASRLAATVEENQMERSHQLEVFETKFKELSAKEENAALEKIAGILRNLTSTKDNMVLAALHNMNNKECGRKKDVADRNG >DRNTG_09423.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21141128:21141509:1 gene:DRNTG_09423 transcript:DRNTG_09423.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-5B [Source:Projected from Arabidopsis thaliana (AT2G37420) UniProtKB/Swiss-Prot;Acc:Q0WQJ7] RPLSDEEQRLNVQKAISCIEQKKEVIVFQNSANKQVEKTFLFDKVFGPKAQQRSIYDYAISPFVKDVLEGYNCTVFAYGQTGTGKTYTMEGEMKFK >DRNTG_09423.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21145517:21146069:1 gene:DRNTG_09423 transcript:DRNTG_09423.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-5B [Source:Projected from Arabidopsis thaliana (AT2G37420) UniProtKB/Swiss-Prot;Acc:Q0WQJ7] MQYWEVAQLSVNKLNEDHNVEIVSSVEEIQQENKNILEEFVSVSSCTDVDFEVGTSHLLTNAKDSHLLDLETSKTMNSMTTTCSDQLKNLQHDHSERIKEIRNLADEQLLKEYL >DRNTG_09423.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21145915:21146069:1 gene:DRNTG_09423 transcript:DRNTG_09423.14 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-5B [Source:Projected from Arabidopsis thaliana (AT2G37420) UniProtKB/Swiss-Prot;Acc:Q0WQJ7] MNSMTTTCSDQLKNLQHDHSERIKEIRNLADEQLLKEYL >DRNTG_16877.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29879036:29886043:-1 gene:DRNTG_16877 transcript:DRNTG_16877.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAC1 [Source:Projected from Arabidopsis thaliana (AT2G38280) UniProtKB/TrEMBL;Acc:A0A178VY66] MMNGQFFAPGAGAGEGMLIPPGLPRLHTVHEGNKQPTRATPTKRSGHFIKPTSPKSPVASASAFESLEGSDEEDSLAIDAKLDTSYLHANGNFGPDSNSLFQNMPTDITANAEAKPLSSSIIRSHSVSGDLHGVQPDPVAADILRKEPEQERFARLKISPTETPSVDEAEVYKILQNCLELRKSYIFREKVEPWEKEVITDPSTPKPNAEPFAYVEEQKTDHIFEMVDGVVHVYPNKDSKERLFPVADATTFFTDLHHILRVTAAGNIRTLCHQRLVLLEQKFNLHLMLNADKEFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKRT >DRNTG_16877.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29879036:29886043:-1 gene:DRNTG_16877 transcript:DRNTG_16877.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAC1 [Source:Projected from Arabidopsis thaliana (AT2G38280) UniProtKB/TrEMBL;Acc:A0A178VY66] MMNGQFFAPGAGAGEGMLIPPGLPRLHTVHEGNKQPTRATPTKRSGHFIKPTSPKSPVASASAFESLEGSDEEDSLAIDAKLDTSYLHANGNFGPDSNSLFQNMPTDITANAEAKPLSSSIIRSHSVSGDLHGVQPDPVAADILRKEPEQERFARLKISPTETPSVDEAEVYKILQNCLELRKSYIFREKVEPWEKEVITDPSTPKPNAEPFAYVEEQKTDHIFEMVDGVVHVYPNKDSKERLFPVADATTFFTDLHHILRVTAAGNIRTLCHQRLVLLEQKFNLHLMLNADKEFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKRT >DRNTG_05253.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000237.1:34413:37064:1 gene:DRNTG_05253 transcript:DRNTG_05253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQGPIVLVPELEPNWLGKVGGGDLPDDTVLTCSHGLERALQTVLQLREPELKEVQRLFGLVEAWCFKVYEPSMLAKASSSGSKLGK >DRNTG_12748.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25838744:25843963:-1 gene:DRNTG_12748 transcript:DRNTG_12748.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRARCTLDPQQIGQACGFVPNSSVPTRQFDRYQPSYNVAPGAYLPVVVAARKDGESPVVIHCMKWGLVPSFTKKTEKPDHFRMFNARSESVKEKASFRRLMPNNRCLVAVEGFYEWKKDGSKKQPYYIHFKDHRPLIFAALYDSWQNSEGDILYTFTILTTHCSSALQWLHDRMPVILAGKGSIDKWLDNSMSKPETLWEPYEDSDLVWYPVTPAIGKTTFNGPECIKEIQLKPACENPLSKFFIKMTDDKCRSQPGCSDPYKESLPTEVKDELFDDKEREESAGSECRRAAASMPLKNEPVDTDTPMKLEFEEIATDPELNTENISNSFVKDGVFPVKKRELEDIGTDSGQTTEKVSNFTSNPVKKGRSNKNSGDKQATLLSYFGKS >DRNTG_02942.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1276633:1276980:1 gene:DRNTG_02942 transcript:DRNTG_02942.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVRCTGSGGYKLDEPSSEGDDELAEAIEVPPDGEPMVTEAALILNEGVSSNSVAVPTLVPVPAPAPDVDA >DRNTG_31973.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:645340:645702:-1 gene:DRNTG_31973 transcript:DRNTG_31973.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLNSFTRRTHSEGGRRSHMHIKMRRELQSPEFSHLLLQPPVLFCQVLTTPFQKLTVHLSLFQLSSRSPVLKPHLHLPRPE >DRNTG_06070.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20550174:20552422:1 gene:DRNTG_06070 transcript:DRNTG_06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFTELFYGIGPVHTPFLRSNKSFRLPFLLRYLRCQIISHHGTLKNLEESRLIGRDPPFVTPHPRSNAPVKGEYSENQAQAESNISASFIPPRGNAPLPALLVSQPFGNRSLSLDKGERLPHLPKRRDWRTYLTKPKRLADLLDYTSG >DRNTG_25652.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21732712:21740131:1 gene:DRNTG_25652 transcript:DRNTG_25652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFRSRGSIDKNKALVEHLQYYGIIKSGKVAEVMDVIDRGEFAPSGCPAYDDSPMPIGYNATISAPHMHATCLQLLEEHLQPGMRALDVGSGTGYLTACFAIMVGAQGLVVGVEHIPQLVALSIENINKSSAAAFLKEGSLSIKLADGQLGWPDSAPYDAIHVGAAAREIPQSLIDQLKPGGRMVIPVGDLFQDLKVVDKNLDGSVSIRSEMSVRYVPLTSLEAQLS >DRNTG_25652.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21732712:21740131:1 gene:DRNTG_25652 transcript:DRNTG_25652.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFRSRGSIDKNKALVEHLQYYGIIKSGKVAEVMDVIDRGEFAPSGCPAYDDSPMPIGYNATISAPHMHATCLQLLEEHLQPGMRALDVGSGTGYLTACFAIMVGAQGLVVGVEHIPQLVALSIENINKSSAAAFLKEGSLSIKLAGMCSA >DRNTG_02448.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14654679:14687257:1 gene:DRNTG_02448 transcript:DRNTG_02448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKLQNSPNQPVMNGPYIEQTEKCCGKHGICSHEILWDEAPRSNTKLAQEMAEAKKASFKLCWGCNGEKSGQHKHEIVSFERGNITTAERSSKQILLKWESPPQTVLILTKPNSISVRTLCAEMVRWLKECKKIIVFVEPRVRTELLRESPYFNFVRTWKDDGEKKLLHTKIDLIITLGGDGTVLWAASMFRGPVPPLVSFSLGSLGFMTPFQSEQYRDYLDTILKGPN >DRNTG_30284.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13707999:13711100:-1 gene:DRNTG_30284 transcript:DRNTG_30284.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKIPHPVPSAVEDAENLMKAFHGWGTDEKAVISILAHRDADQRKQIMVAYEELYKENLIKRLESEISGHFERAMYRWMFDPIEREVIFANVALKKSVDWIVIIELSCINSPDELLVIKKMYQNRYKLSLEEDVAFHTSGDFRKLLVGLVSTYRYRGEEINASLAQAEAKILHNLIKQKTFDHDEVIRILCTRSKAQLNATFNCYKDEQGTSITKSLSSASSSEFVSALRTAIRCIISPEKYYEKLLRRALNKGGIDEDSLTRVIIMRAEKDLQVIKELYQKRANMTLDHAVSKEASGDYKKFLLALIGN >DRNTG_03588.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8225138:8225426:1 gene:DRNTG_03588 transcript:DRNTG_03588.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILCHFNHEASEWLKKHLYLARTLYKAPLEWMTPSVWESLQKYWGSEEFKKISEQNKKNKAINGSSSIVIYRGGSVSTAVHRL >DRNTG_08526.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:19199589:19200366:1 gene:DRNTG_08526 transcript:DRNTG_08526.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRPRWLKIVPKKPTQARGKSTRPRGLLSGQKLATRARGFSTRPCGFLQLAISCKFAFLWQFSCRVLEEVFGDIGGRPSPTLINFLSVIAKREPSAT >DRNTG_27429.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28614290:28620379:-1 gene:DRNTG_27429 transcript:DRNTG_27429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVALRRVPIRTLRSSSVLHPFSNSIGPPPPQLGELSESTRWISRNGISTMYSGIQGISHGNLLPFTQRHLLPLSPMVGASFSSTAAKDTGPPTELVVELYQKMLKSLEARTMPPNAWLWSLIASCSNREDIKLLFEMLQKLRIFRLSNLRIHDNFNCHLCMRVSEACARASALDYGLKALWKHNVYGLTPTIGSAHYLLSYAKEHNDAKLMVKIMQILQRNSLPLQPGTADIVFSICYKTNKWDLISKYAKKFSKAGVKLHRAAFDIWMEFAAKVGDAQSIWKIDKLRSKSVKQHTLATGFAYAKGFLLEHNPEGAAAVIQLLYQTLPDQKKPSFTDELQKLVNEWPLEVVKRQKKDDRKALEDSLKSDIPAMINSLLTSGLDVPINLEGQKS >DRNTG_24977.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6954709:6955222:-1 gene:DRNTG_24977 transcript:DRNTG_24977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSMGTLRTHTSLSSLVHMYAKYGDVLSARKVFDGMTTRDVVPWSAIVGCYSSSGDAETAFHMFNQMRRLGIEPNAVTILSLLSGISRSN >DRNTG_22860.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4498525:4499176:1 gene:DRNTG_22860 transcript:DRNTG_22860.2 gene_biotype:protein_coding transcript_biotype:protein_coding VSHQYYPSQCSLEQAHPQHLPSSSHSSQSSPLHPQTPLCSPLLFSGDHWDGHPPSPASSSPFRLEHSYSLKMISIESMFLCHANSCSSRNHRSFGKLQWLLPLSSSPYPSLQNKEII >DRNTG_22860.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4498472:4499027:1 gene:DRNTG_22860 transcript:DRNTG_22860.3 gene_biotype:protein_coding transcript_biotype:protein_coding VSHQYYPSQCSLEQAHPQHLPSSSHSSQSSPLHPQTPLCSPLLFSGDHWDGHPPSPASSSPFRLEHSYSLKMISIESMFLCHANSCSSRNHRSFGKLQWLLPLSSSPYPSLQNKEII >DRNTG_22860.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4498247:4499027:1 gene:DRNTG_22860 transcript:DRNTG_22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSHQYYPSQCSLEQAHPQHLPSSSHSSQSSPLHPQTPLCSPLLFSGDHWDGHPPSPASSSPFRLEHSYSLKMISIESMFLCHANSCSSRNHRSFGKLQWLLPLSSSPYPSLQNKEII >DRNTG_22860.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4498525:4499027:1 gene:DRNTG_22860 transcript:DRNTG_22860.4 gene_biotype:protein_coding transcript_biotype:protein_coding VSHQYYPSQCSLEQAHPQHLPSSSHSSQSSPLHPQTPLCSPLLFSGDHWDGHPPSPASSSPFRLEHSYSLKMISIESMFLCHANSCSSRNHRSFGKLQWLLPLSSSPYPSLQNKEII >DRNTG_13652.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1262935:1265867:1 gene:DRNTG_13652 transcript:DRNTG_13652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFKRLHDHHGRCSEVPVDPSSSTPSLLSPSCASDHRAAVGGAAAPANPSPPLPSDASLLSLARDFVMSEEEYQIRVAKLLSLGKQRINPIREEYVSADVLSLSVLGLQDISESETKEDEEDEQADNEYEESDDDDDDDDDDDDDDDDDLGEHDF >DRNTG_12898.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15453875:15458792:1 gene:DRNTG_12898 transcript:DRNTG_12898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWHSFSSIDGKSSSISNNNENGILALVPIEHNSGGQRFDSDESPSEVNTPAKDTKTRAALRDKLRRAKISEAIKAFENSMPFSEKRCQESVLDDVIDYIKFLKLQLKVLSQSRLGGEAETFPFVQLEGYGHYLLHPQMSSEPLEEVMGQMLETDMQAANELLESK >DRNTG_26453.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:436341:439065:-1 gene:DRNTG_26453 transcript:DRNTG_26453.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDKRVYEVPAMKVTALRFTETAKARILKAGEARANIHVSAATEHGCLAVNAPTANTIIANEHGIALLTSMARNVTQADASMKARFQVGHSLGGS >DRNTG_26453.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:436341:439065:-1 gene:DRNTG_26453 transcript:DRNTG_26453.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDKRVYEVPAMKVTALRFTETAKARILKAGEARANIHVSAATEHGCLAVNAPTANTIIANEHGIALLTSMARNVTQADASMKARFQVGHSLGGS >DRNTG_26453.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:435001:439065:-1 gene:DRNTG_26453 transcript:DRNTG_26453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDKRVYEVPAMKVTALRFTETAKARILKAGEARANIHVSAATEHGCLAVNAPTANTIIANEHGIALLTSMARNVTQADASMKARFQVGHSLGGS >DRNTG_26453.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:437592:439065:-1 gene:DRNTG_26453 transcript:DRNTG_26453.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDKRVYEVPAMKVTALRFTETAKARILKAGEARANIHVSAATEHGCLAVNAPTANTIIANEHGIALLTSMARNVTQADASMKASKLSLSLSLSPLLSLSPSLYLGFHLDLDLDLGIRFR >DRNTG_26453.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:435001:439065:-1 gene:DRNTG_26453 transcript:DRNTG_26453.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDKRVYEVPAMKVTALRFTETAKARILKAGEARANIHVSAATEHGCLAVNAPTANTIIANEHGIALLTSMARNVTQADASMKARFQVGHSLGGS >DRNTG_18834.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2651124:2651616:-1 gene:DRNTG_18834 transcript:DRNTG_18834.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSNPNSLSHLLHHHLQDQVSMALRALFLLLLVAMVAAAARRDGITSNTKAFKAAVEHLGQYSKDGGGGGGGLLYVPAGRWLTGPFNLTSVFTLFLHRDAVILASQ >DRNTG_20339.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12853426:12854020:-1 gene:DRNTG_20339 transcript:DRNTG_20339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSVACTTKPQRSSSISTSLYYDHKELLERGVEWMRLLQISLRQAEGTPRRIGADENFPNNSLLKETQCRRQLTSPKA >DRNTG_25747.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21599745:21600831:1 gene:DRNTG_25747 transcript:DRNTG_25747.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 45 [Source:Projected from Arabidopsis thaliana (AT3G56891) UniProtKB/Swiss-Prot;Acc:B3H6D0] MRIKFQSHLLLLIYISIGEHCTYPSRQLVKMFSFTRHKTISNAISTVELGVHMDCEGCEKRIRKALAKLEGVDSVDIDMDKQKVTVTGYVDQNKVLKAVRRTGRKAEFWPYPYDSQYYPYAIQYLEDDTYATTHNYYRHGYNSTVHGYFPDPAYTMIVDDDAAAIFNDDNVHACMIM >DRNTG_32128.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001787.1:9640:11771:-1 gene:DRNTG_32128 transcript:DRNTG_32128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLLFSAASKARALSSSSSSSSTFIKLRFSNLLTEKPHFETLILGTIDAYPSAFTPAFRPPDRLKPLISNTALFLSALDSIRKRPRLALRFFRWAELQPGFPRCEMAFVGVLEILADSDLTRAAYAVMERVLALGLHGILDLLIQGYANSAATIKLLDLLLWVYTRHSMAERSLSTFYRMVRSGFFPDVKNCNRVLRLLRDKRQWVQVRDVFEEMRNVGILPTVVTYNTMLDSFFKEDNAQDALELLGKMLNKGFSFNDVTYNVLINGYSKMGEFEEAKRWVSKMWTSGLQVTAFTYNPLIYGYCSKGWMHEALHFRDEMVRRGISPTVTTYNILIDGLCKKGWVTLAREKFCEMGEMNLVPDIVTYNSLIYGYCRVGDLREAFLLVDDLRVQGLAPTLITYNTLIDGLCRTGELEEGKEVKDHMVESGFQPDVFTYTILVNGSCKMGKLAMAKEFFNEMLWIGLQPDGFAYTTRIVGELQLGSASEAFKLGEEMIARGITPDTVSYNVQIDGLCKMGNIDEAYNLLLKMVKDGVPPDCVTCSCIIHALCQKGYLSKAWAMYDNMISSGLSPSVITYTILIHAYANRGDLESAFTFFSEMRESDVLPNEITYNALINGFCGKDLELAYEYFIEMQKRGLSPNKYTYTLLINEHCNRGDWNEALRLYGEMHEKGVQPDSCAQSVLFKR >DRNTG_18560.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16416733:16416936:-1 gene:DRNTG_18560 transcript:DRNTG_18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMKVYALILVTFFFSGLTQMAYGQALALSSASSSFSFRMIDGKAIDQGIAYVLMLVALLVTYFVH >DRNTG_23005.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3037326:3041768:1 gene:DRNTG_23005 transcript:DRNTG_23005.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein GTS1 [Source:Projected from Arabidopsis thaliana (AT2G47790) UniProtKB/Swiss-Prot;Acc:Q944S2] MEASMEVDVENSGAASDSSPSSKCLGLKNTIHTNFGEDYVFQIASCQETSSLAVSLSSNAIKFYSSATGQFIGECKGHSATIHEVSFSIPGHSNALGSCSSDGTVRVWDTRAFKQVSLLRTGSSQEIFSFSFGGSSGNLLAAGSNAQILFWDWRHGKLGACLEESHMDDVTQVRFVPSQQSKLISSSVDGLMCLFDTDGQIDDDDHLESVMNVGTSVAKVGFFGEMNQRLWCLTHIETLSIWDWRESARQINFEEARSLASDKWNLDQIDYFVDCHYSGADDRLWVIGGTSSGTLGYFPINHQVPSGGIGPAEAILEGGHSGVVRTVLPVSCTRGNLPRNNGIFGWTGGEDGRLCCWLSDKSLEANRSWASSALVMKSQKIHKLNRRNPY >DRNTG_00081.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21130075:21134285:-1 gene:DRNTG_00081 transcript:DRNTG_00081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLSVLQLLLLLLLFGHALHCNDAAVLVPISKYPANCIESERMALLDFKKHIQDPSNKLSSWVIGQDCCSWEGVHCDNLTGNILALELKGPDPNSYESYYWDDSNYLQLGGEISPSLLQLQHLNYLDLSCNFFDGTSIPSFISQFKELRYLNLSYSGFQGSIPAGFGNLSSLHTLDLSHNYGGVYVDDPAHQWLSHLSSLQHLVVSGVTFRSNSSSCLFLALNKLPSIKEIRLSQCGLESIPLFIPHLNFSSLSILDLSENYINFSVPSFQFNLKSLQYLDLRVNYFDNIGHDYQWLSNLTSLQHLDMSFVNLGNMSTSLFLALNKLPSINELHLSNCKLEKLPHSIPHLNFSSLSVLDLSYNHINFSGISWLFNIKSLQSLDLSQNELYHPTITVPSPIMYMTSIFIGPNYYKSQPSEISISIPESMGSLCSLQTLDLTSLSINKRLVELEGGFSGCLKNSLTHLHLSSTELKGDIPDWIGEIKNLKLLDLSRNSFSGSVPSSLASLSFLEELLLNDNQLTGTLPKEFGNLAQLVHLDLSYNQLSGAIAEEHFTQLGNLKTLDMSSNSPVFNVSANWVPPFLLNQLRIRSCLVGPEFPTWLQTQHMLKTLDMSQNRISSTVPDWLWNLTTRNLIYLGLSFNQIQGMIPKFLTFTHMEILDLSSNLFSGPLPNLHMKSPTFSSIDLSNNSFSGELLDCWSDSSTLSEINLAYNNISGPIPGTTISLEAYQHG >DRNTG_00081.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21130490:21134285:-1 gene:DRNTG_00081 transcript:DRNTG_00081.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLSVLQLLLLLLLFGHALHCNDAAVLVPISKYPANCIESERMALLDFKKHIQDPSNKLSSWVIGQDCCSWEGVHCDNLTGNILALELKGPDPNSYESYYWDDSNYLQLGGEISPSLLQLQHLNYLDLSCNFFDGTSIPSFISQFKELRYLNLSYSGFQGSIPAGFGNLSSLHTLDLSHNYGGVYVDDPAHQWLSHLSSLQHLVVSGVTFRSNSSSCLFLALNKLPSIKEIRLSQCGLESIPLFIPHLNFSSLSILDLSENYINFSVPSFQFNLKSLQYLDLRVNYFDNIGHDYQWLSNLTSLQHLDMSFVNLGNMSTSLFLALNKLPSINELHLSNCKLEKLPHSIPHLNFSSLSVLDLSYNHINFSGISWLFNIKSLQSLDLSQNELYHPTITVPSPIMYMTSIFIGPNYYKSQPSEISISIPESMGSLCSLQTLDLTSLSINKRLVELEGGFSGCLKNSLTHLHLSSTELKGDIPDWIGEIKNLKLLDLSRNSFSGSVPSSLASLSFLEELLLNDNQLTGTLPKEFGNLAQLVHLDLSYNQLSGAIAEEHFTQLGNLKTLDMSSNSPVFNVSANWVPPFLLNQLRIRSCLVGPEFPTWLQTQHMLKTLDMSQNRISSTVPDWLWNLTTRNLIYLGLSFNQIQGMIPKFLTFTHMEILDLSSNLFSGPLPNLHMKSPTFSSIDLSNNSFSAFLNGCVRLKTLEALISLKTIYQVSSLIAGRIHLHYQKLIWHTTIYPGLFQAQQFHWKHTSMDRRTAIIFNGSYVKVECFC >DRNTG_00081.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21130075:21134285:-1 gene:DRNTG_00081 transcript:DRNTG_00081.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLSVLQLLLLLLLFGHALHCNDAAVLVPISKYPANCIESERMALLDFKKHIQDPSNKLSSWVIGQDCCSWEGVHCDNLTGNILALELKGPDPNSYESYYWDDSNYLQLGGEISPSLLQLQHLNYLDLSCNFFDGTSIPSFISQFKELRYLNLSYSGFQGSIPAGFGNLSSLHTLDLSHNYGGVYVDDPAHQWLSHLSSLQHLVVSGVTFRSNSSSCLFLALNKLPSIKEIRLSQCGLESIPLFIPHLNFSSLSILDLSENYINFSVPSFQFNLKSLQYLDLRVNYFDNIGHDYQWLSNLTSLQHLDMSFVNLGNMSTSLFLALNKLPSINELHLSNCKLEKLPHSIPHLNFSSLSVLDLSYNHINFSGISWLFNIKSLQSLDLSQNELYHPTITVPSPIMYMTSIFIGPNYYKSQPSEISISIPESMGSLCSLQTLDLTSLSINKRLVELEGGFSGCLKNSLTHLHLSSTELKGDIPDWIGEIKNLKLLDLSRNSFSGSVPSSLASLSFLEELLLNDNQLTGTLPKEFGNLAQLVHLDLSYNQLSGAIAEEHFTQLGNLKTLDMSSNSPVFNVSANWVPPFLLNQLRIRSCLVGPEFPTWLQTQHMLKTLDMSQNRISSTVPDWLWNLTTRNLIYLGLSFNQIQGMIPKFLTFTHMEILDLSSNLFSGPLPNLHMKSPTFSSIDLSNNSFSAFLNGCVRLKTLEALISLKTIYQVSSLIAGRIHLHYQKLIWHTTIYPGLFQVQYLILVI >DRNTG_00081.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21130490:21134285:-1 gene:DRNTG_00081 transcript:DRNTG_00081.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLSVLQLLLLLLLFGHALHCNDAAVLVPISKYPANCIESERMALLDFKKHIQDPSNKLSSWVIGQDCCSWEGVHCDNLTGNILALELKGPDPNSYESYYWDDSNYLQLGGEISPSLLQLQHLNYLDLSCNFFDGTSIPSFISQFKELRYLNLSYSGFQGSIPAGFGNLSSLHTLDLSHNYGGVYVDDPAHQWLSHLSSLQHLVVSGVTFRSNSSSCLFLALNKLPSIKEIRLSQCGLESIPLFIPHLNFSSLSILDLSENYINFSVPSFQFNLKSLQYLDLRVNYFDNIGHDYQWLSNLTSLQHLDMSFVNLGNMSTSLFLALNKLPSINELHLSNCKLEKLPHSIPHLNFSSLSVLDLSYNHINFSGISWLFNIKSLQSLDLSQNELYHPTITVPSPIMYMTSIFIGPNYYKSQPSEISISIPESMGSLCSLQTLDLTSLSINKRLVELEGGFSGCLKNSLTHLHLSSTELKGDIPDWIGEIKNLKLLDLSRNSFSGSVPSSLASLSFLEELLLNDNQLTGTLPKEFGNLAQLVHLDLSYNQLSGAIAEEHFTQLGNLKTLDMSSNSPVFNVSANWVPPFLLNQLRIRSCLVGPEFPTWLQTQHMLKTLDMSQNRISSTVPDWLWNLTTRNLIYLGLSFNQIQGMIPKFLTFTHMEILDLSSNLFSGPLPNLHMKSPTFSSIDLSNNSFSGNLPNFHIKSPIISYIDLSNNSFSGPIPIILNNTFFSNFLRISISMNKLNGSVPEWLCQIKDIGSIDFSKNHLSGELLDCWSDSSTLSEINLAYNNISGPIPGTTISLEAYQHG >DRNTG_00081.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21130075:21134285:-1 gene:DRNTG_00081 transcript:DRNTG_00081.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLSVLQLLLLLLLFGHALHCNDAAVLVPISKYPANCIESERMALLDFKKHIQDPSNKLSSWVIGQDCCSWEGVHCDNLTGNILALELKGPDPNSYESYYWDDSNYLQLGGEISPSLLQLQHLNYLDLSCNFFDGTSIPSFISQFKELRYLNLSYSGFQGSIPAGFGNLSSLHTLDLSHNYGGVYVDDPAHQWLSHLSSLQHLVVSGVTFRSNSSSCLFLALNKLPSIKEIRLSQCGLESIPLFIPHLNFSSLSILDLSENYINFSVPSFQFNLKSLQYLDLRVNYFDNIGHDYQWLSNLTSLQHLDMSFVNLGNMSTSLFLALNKLPSINELHLSNCKLEKLPHSIPHLNFSSLSVLDLSYNHINFSGISWLFNIKSLQSLDLSQNELYHPTITVPSPIMYMTSIFIGPNYYKSQPSEISISIPESMGSLCSLQTLDLTSLSINKRLVELEGGFSGCLKNSLTHLHLSSTELKGDIPDWIGEIKNLKLLDLSRNSFSGSVPSSLASLSFLEELLLNDNQLTGTLPKEFGNLAQLVHLDLSYNQLSGAIAEEHFTQLGNLKTLDMSSNSPVFNVSANWVPPFLLNQLRIRSCLVGPEFPTWLQTQHMLKTLDMSQNRISSTVPDWLWNLTTRNLIYLGLSFNQIQGMIPKFLTFTHMEILDLSSNLFSGPLPNLHMKSPTFSSIDLSNNSFSGELLDCWSDSSTLSEINLAYNNISGPIPGSISHLSNLNFLLLNDNKLSGEFPDSLKNCSQLVTLDLRHNNFTGSIPAWIGERLSYLTVLMLKSNAFVNHIPQELSQLQYLQILDLSSNNLSGPIPKSLSNLTAMQMLPDTIGWILLVIQYKDTMLLSFRGRDDEYNQRNIGYLNYIDLSNNELSGNIPEELASLYALQSLNLSGNTLGGEIPNKLGRMKQLQSLDLSRNELSGSIPATLSNLTFLEHFNVSYNNLSGRIPSGNQFNTFNDSSIYIGNHLCGYPLSDNCTKDGGIITEELSDGKDEDDEMLWVYIGSLSGFAVGFWTIWGVLIFKKKWRHAYFHCVDNTYNKIYVFIAVSFARMRIKMMSVNH >DRNTG_00081.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21130075:21134285:-1 gene:DRNTG_00081 transcript:DRNTG_00081.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLSVLQLLLLLLLFGHALHCNDAAVLVPISKYPANCIESERMALLDFKKHIQDPSNKLSSWVIGQDCCSWEGVHCDNLTGNILALELKGPDPNSYESYYWDDSNYLQLGGEISPSLLQLQHLNYLDLSCNFFDGTSIPSFISQFKELRYLNLSYSGFQGSIPAGFGNLSSLHTLDLSHNYGGVYVDDPAHQWLSHLSSLQHLVVSGVTFRSNSSSCLFLALNKLPSIKEIRLSQCGLESIPLFIPHLNFSSLSILDLSENYINFSVPSFQFNLKSLQYLDLRVNYFDNIGHDYQWLSNLTSLQHLDMSFVNLGNMSTSLFLALNKLPSINELHLSNCKLEKLPHSIPHLNFSSLSVLDLSYNHINFSGISWLFNIKSLQSLDLSQNELYHPTITVPSPIMYMTSIFIGPNYYKSQPSEISISIPESMGSLCSLQTLDLTSLSINKRLVELEGGFSGCLKNSLTHLHLSSTELKGDIPDWIGEIKNLKLLDLSRNSFSGSVPSSLASLSFLEELLLNDNQLTGTLPKEFGNLAQLVHLDLSYNQLSGAIAEEHFTQLGNLKTLDMSSNSPVFNVSANWVPPFLLNQLRIRSCLVGPEFPTWLQTQHMLKTLDMSQNRISSTVPDWLWNLTTRNLIYLGLSFNQIQGMIPKFLTFTHMEILDLSSNLFSGPLPNLHMKSPTFSSIDLSNNSFSGNLPNFHIKSPIISYIDLSNNSFSGPIPIILNNTFFSNFLRISISMNKLNGSVPEWLCQIKDIGSIDFSKNHLSGELLDCWSDSSTLSEINLAYNNISGPIPGSISHLSNLNFLLLNDNKLSGEFPDSLKNCSQLVTLDLRHNNFTGSIPAWIGERLSYLTVLMLKSNAFVNHIPQELSQLQYLQILDLSSNNLSGPIPKSLSNLTAMQMLPDTIGWILLVIQYKDTMLLSFRGRDDEYNQRNIGYLNYIDLSNNELSGNIPEELASLYALQSLNLSGNTLGGEIPNKLGRMKQLQSLDLSRNELSGSIPATLSNLTFLEHFNVSYNNLSGRIPSGNQFNTFNDSSIYIGNHLCGYPLSDNCTKDGGIITEELSDGKDEDDEMLWVYIGSLSGFAVGFWTIWGVLIFKKKWRHAYFHCVDNTYNKIYVFIAVSFARMRIKMMSVNH >DRNTG_03461.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20636878:20639055:-1 gene:DRNTG_03461 transcript:DRNTG_03461.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVIHEALLDMGIRFPMPSFIMEFLKLNKLSPAQLHPNSWRFLISCHIFCFKSGLRVSVDLFHLFFDLQPCKDKSCRHVLHGTSAFLIDDLLHIKNYEYRWVLIHPLKGAWKGPYQWCWNRKGVSRKPYAPLREKFAPEIHVIEYSYHNISTLMTPSNLRAAGWGVLAPSPSLSSYSSSYLSSSHADATGKETNSSHGASPPLKRLKSPTSKGANFVNSEVVKASSRGSDPNLDTPQSLNIDVFKGSNLDTCKGSNPPNKTSNDTSKGSNPLLSSKNLVVSCRERMELHSESLLGVNLTFPSMEPSQAIHREYKDHAKLKSTLKGEPFRFYPGLVDADSITRPGVAFRLMYSSILPREEESYFANMPENIDVAERLLVEEIIAHLPTSEAELASLRGYVSMCSTLNDENKRLGDKISSLCSTITFLEKDLASERQATSTLKDEISSFCQTKCAVGVTFSKTGFYFARELLEKEHGRAYPELVFSKTSSIVEPLPWRSFDPTEEDIIVSLNSGLHDDLDDFIGPWEIFGKNGVFSEGHDDEISALQGLDEEQIFDEVPSPVGHEQPILPAP >DRNTG_02096.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10534941:10541854:-1 gene:DRNTG_02096 transcript:DRNTG_02096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVELPLRNSLESTEIGLKIVSSHSNLQNSGCPTLLGKRISSNSEKIRGGDNNLKDVIANSQENIRDAPHGNANKKDNNENSLEEDSEKDDDDNENEDSGEMTMMTMIEDLNVPTEEFEELTNNIMDLTINGIDEKGRDLSSSNSIKT >DRNTG_02326.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:8531183:8531796:1 gene:DRNTG_02326 transcript:DRNTG_02326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYFFLDTNSNSYYKSKQTKKTGDEEGKAHQAKSADQGPGRRGRAHEGDDHGRQARLQ >DRNTG_31447.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:749241:749689:1 gene:DRNTG_31447 transcript:DRNTG_31447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAARPPQHRRSPTTIPTSGTPSATSVPMTLPSSERSPALHSAPTPPRRHPRRSSTPSPLTSPGRRRLIPPPPASRARPRSPLFRSNPSLASPLVLFLPLSPIVDFS >DRNTG_12845.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21104924:21105255:-1 gene:DRNTG_12845 transcript:DRNTG_12845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDWAPSIVASALFAFLSPGLILQLPGKQRPVDFLNMKTSWMSILVHALIFGLLLMLFLIILHAHLYI >DRNTG_13396.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9197434:9203089:1 gene:DRNTG_13396 transcript:DRNTG_13396.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10420) UniProtKB/TrEMBL;Acc:F4J3R7] MKVLYPPSSYLHNHSPSLLLVSSSHRSHRKSIAVSSPPSIRRPDVDRCSLWSHGGPALSSRPRPRLDGASGIGAGEPRDELGLFLDLLPLRMRRELVRHEEIGDLIEIVMDLGRKPIARFPSGDWFLSDKPIGLEDLRHAISKVGEFSDDNRSGINNSLHRISAIRNRKMQIIGLTCRVGRAVSGSAEMLRDLVEGGGSILVIGPPGVGKTTLIREIARMLADELKKRVVIVDTSNEIGGDGDIPHSGIGHARRMQVPNVNMQHDVMIEAVENHMPEVIIIDEIGTELEARAASTIAQRGVQLVGTAHGVTIESIVKNPCLQELVGGIESVTLGDDEARKRKVQKTILERKGPPTFTCAVEMISKTECRVHHKLEATVDTILAGKPPLFEVRKMDLKANNSKNSPEAFEEHKKEMLVARKDDQDMEPGPSTNDFCKTPGHPEKSSKTCGSRSSLTRVYTYKILEADLLQVAKVMGLENDIDVTDDIITANAILASKSEMKANPWIRSVAKYHQLPVFVIKENTMAQMVKAVRTILGMDMLGSTPQNPVKHLAKDIEIEDDAPERKPSLEEIDALEEVRLAIEYIVIPGGEPVELLPRCSEIIARQLELVESYQLAAEKSGTELNSRLQILPQKLNKKASLGKCTFPLSSKLSDIDSLTSGNAGASVARLPLLPE >DRNTG_04205.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7328738:7335973:-1 gene:DRNTG_04205 transcript:DRNTG_04205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDDITTEKALVVNSEEKAPIVRGELTNNQNWYSSRGRDTTDVIVDEYMQEMFNPDLYEGLFHQVVDNEEVMMLGLTEEVLSTQGIMKKVLRKMKRARRHHRKCPKAVGEMHEPNKLDEPLLSEFLHERAKQLAILLSGIEKPQGRAAVPVGRAHRHGYFLHAHVCAFRDIEWHPECAQGCVFAPVSLSGGGAWAWAISTPNSRSSYPFHWSIDMQPCDFHATQIHMDMAPHSKMHEVKCPRETPPEPVHMEFSNLEHQFRVFRHPFCMSVTEFSIRMGLYDETYTSIEEYGRLPMDFPGTVTPQQAYRVLCGHGQYKPGVSKATSLSRLSYKYLHSVLSRSVSGLGDNTVVLSRQDLLYLYSMVFNVPIHLGHVVADVLRHHGQFERVGVLFAGSYVTRLILGMGFLDAIRGTERTVVPSPLGFDTIRMMGLVRRCGPGAYILAMATPEITEGGRDTTEGSKQVPEP >DRNTG_02358.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12813301:12813774:-1 gene:DRNTG_02358 transcript:DRNTG_02358.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DVL17 [Source:Projected from Arabidopsis thaliana (AT4G35783) UniProtKB/TrEMBL;Acc:Q6IM84] MGQCASRSRSKDGDRAAETIGCLALVKEQRSRLYILRRCVIMLLCWHKYGKY >DRNTG_18362.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16450771:16451110:1 gene:DRNTG_18362 transcript:DRNTG_18362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAENNDENAGEAEPGAEKEFRGYIKDFQVFDPHRVRRIVVQLLGRVNDCKALIYRHDIKAKHIEESRIRTLPTHQV >DRNTG_03735.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18224005:18229609:-1 gene:DRNTG_03735 transcript:DRNTG_03735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQTSSGYMGGAISGIPGYNTYHPIEKGYPNFLCNSDGQYWEAPQEECQKGEILGEDALQLQRVLANFIEAFYVCIQNMETTLRCHEASYKNLEHQLGGIFDTLSKEQQAFEQAIQVPCRDDVRPKVRWLDSPYEHCENTRPCGIFARACVSLQRISPPSREDIGVCVCPCELPNKDTRLCGIVTRINKSLILRALRSILWVDLISRKSEFQRIHMGVWKFPRPA >DRNTG_29949.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21383741:21384641:-1 gene:DRNTG_29949 transcript:DRNTG_29949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDILAFSDAMDIGCGIALLMTMKAMIELDVLEVMAAAGSGALLSLEEIASKIQTSNPDAHEVLVA >DRNTG_05079.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4644322:4649166:-1 gene:DRNTG_05079 transcript:DRNTG_05079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRPHAPRRRARYAPQFCAFAAAFLLLLSLSVLHSRLSSSPIRLSLPFRSSPSSHTVPDSLFDDADNDAFDASSDDLIDELDDGVDEAGKGDKLSEEDELRSDDDLDSDDSDLTRTGGLFWDHLLAVARRSFGKSSNPFVDEPLFPDRREITFGSDDQPVDDDVRIKLDSISSIEDLMLVKPGSGRDSALRSGWVRWLEGKADFLRRDKMLRSNLELLNPKNHPLLQDPDGPGLASLTKGDRLMQRAIWNEIEMKPFGGAGMKRVDRRKTLNLDAREQERRRRWGYFPGLDPHLSFSEFIERFLGSGKCGLRVFMVWNSPAWMYGVRHQRGLESLLHHHSDACVVIFSETMELDFFSSFVKEGFKIAVAMPNLDELFNNTPVQVFATVWHEWRKTKYYPIHYSELVRLAALYKYGGVYLDSDVLVLRPLTSLQNSLGIENQIGGVPVYSGAVMSFKKSSAFLLECLGEFYSTYEDTRFRWNGAELMTRVINRLTHEEGKSYEQIGVNIEPSLSFFPIGSHNITSYFAEAADEEERTKQDALFAKILNESITFHFWNGVTSALVPEPNSFVERLLNHLCLHCSDVL >DRNTG_12201.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10615517:10617339:-1 gene:DRNTG_12201 transcript:DRNTG_12201.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKGKKLTVVPSHEDPGSGGEEPLPAHKRRGRPQKPLKDDIDEEENEKIEEEEAEGDDIKPTISSKEMKGVVLENGRKRKRQPVKESSDSALEENGAGAKSGNGDSAKPNGFRQNKNRRKSKPRRAAEAVVECK >DRNTG_12201.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10615268:10617339:-1 gene:DRNTG_12201 transcript:DRNTG_12201.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKGKKLTVVPSHEDPGSGGEEPLPAHKRRGRPQKPLKDDIDEEENEKIEEEEAEGDDIKPTISSKEMKGVVLENGRKRKRQPVKESSDSALEENGAGAKSGNGDSAKPNGFRQNKNRRKSKPRRAAEAVVECK >DRNTG_12201.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10615268:10617339:-1 gene:DRNTG_12201 transcript:DRNTG_12201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKGKKLTVVPSHEDPGSGGEEPLPAHKRRGRPQKPLKDDIDEEENEKIEEEEAEGDDIKPTISSKEMKGVVLENGRKRKRQPVKESSDSALEENGAGAKSGNGDSAKPNGFRQNKNRRKSKPRRAAEAVVECK >DRNTG_12201.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10615517:10617339:-1 gene:DRNTG_12201 transcript:DRNTG_12201.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKGKKLTVVPSHEDPGSGGEEPLPAHKRRGRPQKPLKDDIDEEENEKIEEEEAEGDDIKPTISSKEMKGVVLENGRKRKRQPVKESSDSALEENGAGAKSGNGDSAKPNGFRQNKNRRKSKPRRAAEAVVECK >DRNTG_12201.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10615582:10617339:-1 gene:DRNTG_12201 transcript:DRNTG_12201.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKGKKLTVVPSHEDPGSGGEEPLPAHKRRGRPQKPLKDDIDEEENEKIEEEEAEGDDIKPTISSKEMKGVVLENGRKRKRQPVKESSDSALEENGAGAKSGNGDSAKPNGFRQNKNRRKSKPRRAAEAVVECK >DRNTG_12201.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10615430:10617339:-1 gene:DRNTG_12201 transcript:DRNTG_12201.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKGKKLTVVPSHEDPGSGGEEPLPAHKRRGRPQKPLKDDIDEEENEKIEEEEAEGDDIKPTISSKEMKGVVLENGRKRKRQPVKESSDSALEENGAGAKSGNGDSAKPNGFRQNKNRRKSKPRRAAEAVVECK >DRNTG_12201.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10615430:10617339:-1 gene:DRNTG_12201 transcript:DRNTG_12201.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKGKKLTVVPSHEDPGSGGEEPLPAHKRRGRPQKPLKDDIDEEENEKIEEEEAEGDDIKPTISSKEMKGVVLENGRKRKRQPVKESSDSALEENGAGAKSGNGDSAKPNGFRQNKNRRKSKPRRAAEAVVECK >DRNTG_26311.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21364796:21365941:-1 gene:DRNTG_26311 transcript:DRNTG_26311.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVKDGRSYGCSATAAIIFAQLFGIAAITLMLVWLLHFREGVALSSTVEIRLLNIHHLLMFLGLVYSGGQAIIAFKAIPATRRVQKFFHGLLHLVAIALGALGLYAVFKFNRDLGIPDLKSLHSWLGIATISLYSLQLVVGLMIFMFPGASFTTRGSYLPWHAFFGLIIFMMAICTAETGLMERFAILRLYQVKEGLIVNFTGLAILLYGMSIILSVILP >DRNTG_10312.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27652696:27653259:-1 gene:DRNTG_10312 transcript:DRNTG_10312.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKKPALLSRPSPSFRLRCASLNAVRLRRIFDLFDHNGDGEITVEELALALDRLGLGADLTDLRATIDGYIQPGHQGLAYEDFEKLHSALGEALFGQEVVGDDEAGVREEEDEDMEEAFKVFDEDGDGFISAAELQAVLAKLGLPEGKSMARVMEMICSVDRNHDGRVDFLEFKDMMRSIAVKSS >DRNTG_19854.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19622118:19626460:1 gene:DRNTG_19854 transcript:DRNTG_19854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELGQKLSFDAKRAMVNELRRSFEEGKTMGYEWRVAQLKAILRMMDEREADFMAALHSDLSKPYFESFLHEISLIRASCSLFLKQLKHWMKPVKVLAAITTYPSTAHIVSEPLGVVLVISTWNYPFMLSLEPVIGAIAAGNAVVLKPSEVAPATSAMFAKVFPEYVDNSCIRVVEGAIHETTALLKQRWDKIFYTGNSRVAKSIMTAAAKNLTPVILELGGKSPVVVDPNCDLKIVAKRIVVGKWGCNNGQACVCPDYIITTKSFAPRLVDALKSTLEGFYGKDPQQSKDLSRIVNSKHFARLTRLLDEDRVSGNVVHGGQRDEKQLFIAPTIVLNAPLDSPIMTEEIFGPLLPITTVDKVEDSFSVIKSRPKPLSAYLFTKNKKLEEKFVMTISAGGILINDTTLQVANPDLPFGGVGESGFGSYHGKFSFDAFSHKKGVLSRGFGGETPARYPPYTPWKQALLRNLINGSIITLILVLLGWPMA >DRNTG_19854.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19625036:19626460:1 gene:DRNTG_19854 transcript:DRNTG_19854.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTISAGGILINDTTLQVANPDLPFGGVGESGFGSYHGKFSFDAFSHKKGVLSRGFGGETPARYPPYTPWKQALLRNLINGSIITLILVLLGWPMA >DRNTG_19854.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19622118:19623192:1 gene:DRNTG_19854 transcript:DRNTG_19854.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEELGQKLSFDAKRAMVNELRRSFEEGKTMGYEWRVAQLKAILRMMDEREADFMAALHSDLSKPYFESFLHEISLIRASCSLFLKQLKHWMKPVKVLAAITTYPSTAHIVSEPLGVVLVISTWNYPFSMY >DRNTG_25177.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4039478:4045339:-1 gene:DRNTG_25177 transcript:DRNTG_25177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLLFFLSILFLFTYSYSSSESIPFRSELEVNLLFEGWLMKHNKTYKENSFEKAKRYDIFKDNLRYIDEHNGGNHTFTLFLNVFADLTVEEYRDTYLGSLPPLPKETVGSNSDIYNDNFDDFGSEIPNSTDWRASGAVTPVKHQGACFCCWAFAAVATVEGINQIVTGELISLSVQQIVDCYSKSCDRGYIDDALKYIRRNGGIDSDVDYPYNATYEQCDKKKEGKKVVTIDTYQHLSENNEPRLKMGVAKQPVAVGIHAYERAFQLYGHGIFTSKCGTRIDHAVTIVGYGNEDKLDYWIIKNCWGNFWGEAGYMRLERNVESIKGKCGIAQYPYIPLKKKKASSLE >DRNTG_26436.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23962173:23965830:-1 gene:DRNTG_26436 transcript:DRNTG_26436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDFSIQVLQKALEVWDLQVIPLDSPVAEPSKYDPELESAFICHLHNHWFCIRKVNGEWYNFNSLYPAPEHLSKFYLSAYLDTLKGSGWSIFLVRGNFPKDCPISSEGSNGFGVWLTPEDAERITKSCNQNPVPTQNEGSSVPLHSTEYVGEDEALLKQEEDDLNAAIAASLMDSSAFSSGAGIIQGQSCLVESPAPLPEKNASSTDRDTVNAEIANVQTGEPEVPNSSSAFTVVDEPIIPKDHKKL >DRNTG_26436.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23962173:23964278:-1 gene:DRNTG_26436 transcript:DRNTG_26436.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAFSSGAGIIQGQSCLVESPAPLPEKNASSTDRDTVNAEIANVQTGEPEVPNSSSAFTVVDEPIIPKDHKKL >DRNTG_15638.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14027715:14029554:-1 gene:DRNTG_15638 transcript:DRNTG_15638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSENPRWRCPNAYPKVPSAYKRREGSVPMPTQNSKCIREKERERERESCEEASRE >DRNTG_27390.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1235850:1240953:1 gene:DRNTG_27390 transcript:DRNTG_27390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPE [Source:Projected from Arabidopsis thaliana (AT5G61410) UniProtKB/TrEMBL;Acc:A0A178UPG9] MAAASSSSLCSTAAILLQRNGDLGLGGSLRFLPLTAAPKKVTFRRKLQAIVKASSRVDEFSKTDIIVSPSILSANFSKLGEQVKAVETAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTLNQIKGLGAKAGVVLNPATPLSAIEYVLDVVDLVLIMSVNPGFGGQSFIESQVKKISDLRRLCVEKGVNPWIEVDGGVTPSNAYKVIEAGANALVAGSAVFGAKDYSEAIKGIKTSKRPVAVPA >DRNTG_27390.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1235850:1240953:1 gene:DRNTG_27390 transcript:DRNTG_27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPE [Source:Projected from Arabidopsis thaliana (AT5G61410) UniProtKB/TrEMBL;Acc:A0A178UPG9] MAAASSSSLCSTAAILLQRNGDLGLGGSLRFLPLTAAPKKVTFRRKLQAIVKASSRVDEFSKTDIIVSPSILSANFSKLGEQVKAVETAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTLNQIKGLGAKAGVVLNPATPLSAIEYVLDVVDLVLIMSVNPGFGGQSFIESQVKKISDLRRLCVEKGVNPWIEVDGGVTPSNAYKVIEAGANALVAGSAVFGAKDYSEAIKGIKTSKRPVAVPA >DRNTG_17108.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000873.1:14807:15908:-1 gene:DRNTG_17108 transcript:DRNTG_17108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEKQVQDVEVKERGLLDFMGKKEEKKEDEELVFVSGVEKVEVEEKPNDQEKHGILEKLQRTHSSSSSSSDEEEIDENGEKKRKKKKGLTEKIKEKLSTGEEEKTEVNEYEKSSVVTDDKNSVIIVEKLEGTSLKDDETPAEENKGFLEKIKEKLPMQSKKPSEEEVVTVECKESGKEEKKGFLEKIKEKLPGYHKNEKEEVTTESIANASST >DRNTG_08095.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9922103:9937694:-1 gene:DRNTG_08095 transcript:DRNTG_08095.1 gene_biotype:protein_coding transcript_biotype:protein_coding INGNESSSNSSLPKKLDVECSRTTPKTQQKPFQILAMLFPEDKQKIGKKIPK >DRNTG_08095.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9936930:9937694:-1 gene:DRNTG_08095 transcript:DRNTG_08095.2 gene_biotype:protein_coding transcript_biotype:protein_coding INGNESSSNSSLPKKLDVECSRTTPKTQQKPFQILAMLFPEDKQKIGKKIPK >DRNTG_09180.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7427137:7431178:1 gene:DRNTG_09180 transcript:DRNTG_09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEMGNRNVNGLQASEQDDVLASMETYKSMKASPVLNNTEGFIEKQDAEDIIENGTSKASATLNDGDHIIKGLTDDLAGVEEDKTLISKESSICSMESDGGGGLKDQEQYEVEEITMNEQMKCSIIANNAEDQQMVTNCLEDNLLEQHDSEEGSRNESSEALIISIKEQDQKSENQIIASLHSVESDEKHTNAIPDEGSTNKSLETLIISGEEQDQISESQTVASEQVESDQKHANSIPDGSTNKSSDALIISNEEQDRKGENQTIASLHSLASDEKYTNSIFDEESMNESLEALIISSEEQERKSENETIASVHSLQKDENHTNSIPDEGSSNHFSEALIVSSKEHGQKCANQTIALVHSFESDEKHANYILNVPSASDEKDLTQKQILEEKDEETSVTIETSQIVSIDLEDNEEEVKTSNEGIPISNDAERETIKDETIERPTEADTSVPDLTFEVKESQKEVEEHNEAGEQQYLQSDIIENDCEQSQILESINSAYLNAIDSEIERLVFNTDLIAFDELANNKTEITPEDDVFEEIIQTVETIEVVGRNSNERDKLPSLVSEECNEIGEDASLAEISVGGLEGKIEDGGEGSQGNAESTELPETSKETTDGALEEQTIEAKEFEPSNSNQQDQLPSYALDECNELREDAPLDEISFGECESKDNSAYQLSDPWSRHDGIVFKDTEGKIEDTDAKQSTEEEISQQLNQKSNEGPNAQMFEELLTKISSREMAMVASSQPELHEEMNIQHAMATKKEFEQQHTALETELQANMKSLIASINVSGGALTDTLSVATQKENQKQWQDEIHASMGTMVGQQADVSLVDNYEMPHVFKGDLEESKNSKDAINESTQEKSNSDALANTTITEIQASELQTPKLAFQTSVHESEEELAGNSDAGRTKAAEFENNPSMDQEKTIKCDVFAICQDISKTGAETSYSVLQSYSEESDKHPLLYQKEMEESEVPKVGRSDSGKLRVPLLSLIKEEVHEVKPLEKEGSPLIKKTVEEVWRSPAKKSMAASPQAREKPKTRSSIFSNCMCCTTEVLN >DRNTG_09180.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7429098:7431178:1 gene:DRNTG_09180 transcript:DRNTG_09180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEELLTKISSREMAMVASSQPELHEEMNIQHAMATKKEFEQQHTALETELQANMKSLIASINVSGGALTDTLSVATQKENQKQWQDEIHASMGTMVGQQADVSLVDNYEMPHVFKGDLEESKNSKDAINESTQEKSNSDALANTTITEIQASELQTPKLAFQTSVHESEEELAGNSDAGRTKAAEFENNPSMDQEKTIKCDVFAICQDISKTGAETSYSVLQSYSEESDKHPLLYQKEMEESEVPKVGRSDSGKLRVPLLSLIKEEVHEVKPLEKEGSPLIKKTVEEVWRSPAKKSMAASPQAREKPKTRSSIFSNCMCCTTEVLN >DRNTG_16462.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000818.1:4:5937:-1 gene:DRNTG_16462 transcript:DRNTG_16462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRKGHVVSQLALLSPSIDCPLLAAIRLSWSLLPAALTMRALSAPPGKNHPSVRTVHFIQKQGWHWSIRIQQPVVKEEGSARPCREKTIVLSFFQKYGPLANWSCVGGQSGKQTAVRKIRKQECGKNWSFSWAFVFPLSLVSEGDSMNIDPREMKLMEQLSLTSLSQIERSLFFLFPTLTLRVISLVAKPSFKKTMVESGTKLQVRVRNKDCKGIMIVGSGYPRPPNRT >DRNTG_08405.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4794681:4795325:-1 gene:DRNTG_08405 transcript:DRNTG_08405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKACVIGGNGYLALAIIKQLLEKGYHVNATVRNPGLYSSLFVLFFK >DRNTG_11993.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6617891:6618311:1 gene:DRNTG_11993 transcript:DRNTG_11993.1 gene_biotype:protein_coding transcript_biotype:protein_coding ETNGHYWWKKSSCGHEGRGETGCPALRSPINPS >DRNTG_03370.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30153562:30158890:-1 gene:DRNTG_03370 transcript:DRNTG_03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPNPSFLIIVVVLVSVLPANSSPDFLLRAREPEFYEWMVGVRRTIHENPELGYEEFKTSELIRKELDAMGVSYKHPVAVTGVVGFVGTGKPPFVALRADMDALAMQESVEWEHKSKVPDKMHGCGHDGHVAMLLGAAKILQEHRHEIKGTIILLFQPAEEGAGGAKRMIEAGAVDNVEAIFGFHLAADGTVGTVQSRPGPIMAGSGFFEATITGKGGHAAIPQHTIDPIIAASNVIVSLQHLVSREADPLDSQVVTVARFQGGSAFNVIPDSVSIGGTFRAFSKESFLQLRRRIEEVIVAQAAVQRCNATVDFLKERQPFFPVTVNSNDLHEHFEKVAGELLGSSNILDRVPLMGSEDFAFFTDAVPQTYYYFVGMQNHSHATLAPAHSPYFQINEEALPYGAALHASLAFQYLSESHTSSPVGEKKFHDEL >DRNTG_18735.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1172551:1183004:1 gene:DRNTG_18735 transcript:DRNTG_18735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKVKMPLSDMMSAALALDDSILDVDQVENLIKFCPTKEEMELLKNYNGDKDNLGKCEQFFLELMKVPRVESKLRVFSFKIQFNAQISDLRNSLNTVDNACVQIRNSVKLKEIMKKILLLGNTLNQGTARGSAIGFRLDSLLKLTDTRATNNKMTLMHYLCKVLASRSSHLLDFHEDLPSLEIASKIQLKSLAEEMQAIVKGLEKVELELTASESDGPVSEVFRKTLKEFIAVATADVRTLSSLYASVGRNADALAQYFGEDPARCPFEQVISTLLNFVRMFRRAHDENCKQIELERKKAQKEAEMEKSKSGIHTHRRDSSSTDAGESLKGQLERAKSSKRQKTDIR >DRNTG_05175.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:15709512:15710759:-1 gene:DRNTG_05175 transcript:DRNTG_05175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVVKVRSGGMTDKITIVDMANTRQNKDEKVIDYMIRRAQDLDGEPKKKVKKNKKIKNKRRKQKQMNPIEFHSLDVFQDEEPIIKTCRVISINEDDMQGAMMKMIQ >DRNTG_34369.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16305621:16311718:1 gene:DRNTG_34369 transcript:DRNTG_34369.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSSDALRMLVSWEIRRDGDKGQEYFIKKKGRRTMLRRKEFGEEVRGFTNGKVSKRGGYVRCGLCGEKGHNRRFHTKQLISTPEFHGRTMVEGDNSYKGKKLATKGGKGAETRASELTGLFTVSTSANEAGENSFNSGGWLLHLNVISTNAAALTVIALKKKEVVHTCYREEGSFFYSATGSRVVQFGDDISTHMDDNVDSRRPSQIDGLDDMFFEETDYHYNTPPPIHNQSESTGPRGASSTQSRKEKGKRKMPS >DRNTG_33241.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001977.1:1988:2526:1 gene:DRNTG_33241 transcript:DRNTG_33241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGFEHYSRHYSGKVAESLFMLRAFGSEHCSTDTFSGRNDNEIHGLHAPAWSCMDMTSSKEARSHVVEKENQREKLGLPRSSSISSLGVCRRQGKQPNHGVRGSLPVHLACHLTSIV >DRNTG_32561.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19023243:19026469:-1 gene:DRNTG_32561 transcript:DRNTG_32561.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTSLMLGNSKLSVFYHWGLLPGIFIGHDLSPTCHIHARVPPRERAIVADTRPCALLGKYSFVSENNRMGVQKISTPVRPTHKRRRTALLLLCLAIFIF >DRNTG_23199.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5606417:5614275:1 gene:DRNTG_23199 transcript:DRNTG_23199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEEVRSSRVEGWAGIRSLIRRKQVDSDRPRAAGGGHHQLAKHLSVPQLVAIGVGSTIGAGVYVLIGTVAREHAGPALTISFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGSAVARGITPNLALFFGGPDGLPAFLARVHIPGLDVIGDPCAAVLCLIVTALLCLGIKESSFVQGIVTTANAFVMVFVIIAGGYIGFQTGWVGYAVSSGYFPYGVNGVLAGSATVFFAYIGFDSVASTAEEVKNPQRDLPMGIGMALSICCLLYMMVSAVVVGLVPYFAMDPDTPISTAFSRNGMQWAVYIVTSGAVLALCSTLLGSLLPQPRILMAMARDGLLPSFFSDVNRRTQVPVKSTILTGIMAAALAFFMDVSQLAGMVSVGTLLAFTIVAISILILRYVPPDEVPLPSSLQESIDSVSFYYNSQEEDGKCSKDDKSLVDDVEASSMSPLVIKELNHEKLNEQTRRKRAAWSIASVCIGVLILTTSASSAFLPTYPRYFACFIGGLFLLSGLVVLSLIDQDDARHNFGHTGGFICPFVPLLPICCILINSYLLVNLGSGTWARVSIWLLIGVFVYLFYGRRHSSLRDVIYVSTAHADAVYKTSAYVA >DRNTG_10166.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:12708904:12710900:-1 gene:DRNTG_10166 transcript:DRNTG_10166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSADGSRLFSCGTSKDGESYIVEWNESEGADGDSINLGDVKSRIAIEAMEKSKIWKLKEINEPAQCRSLRLFDNMKTSKATASVVPPLWQPASGIIMTNEITDINPEEAVPCFALSKNDSYVMPTSGGKISLFNMMTFKVLL >DRNTG_24890.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:320702:329385:-1 gene:DRNTG_24890 transcript:DRNTG_24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPPDQVEDLTDEDFFDKLVGDDDSGITGSQSPPTDLVRAVSSLSIGDVGESLDDAGEGGSAAGDDDLKANSILPAPEESDKFASTEPGTGAVSQIETPSPSTEKHSGTKVKEVQWSAFNADFQQADSDGFGSYSDFWADNSEGPAEFLQNDNEVNSAFMEKSNGESSMGMSASGQEESQFYNSSYDQAADGHDLQYWENLYPGWKYDASTGQWYQLEGYDISTNAESDVYTNTTSVQSDGYNASVNVQSGSNAAVNSQLDSHTMANVDGQHGFHGNTQVASDGFVVDQRSDISYIQQASSSAVDKIAEDSSVASVQWNQVSQENAGYPSNMVFDPQYPDWYYDTNTQQWQTLESYFQSLSQAAGSLPSQLIQNSSNGYGNSSLYNGVNHSENSTMQSQSYHQTGANWQGSTNNYSLQNSWQQPEEFGKDVSDFYGNQQMGSSYGSGMQTMNKSKQETSYKSFEPGKSHVYGISNGVSGYQSFNPSENMYQYSQPKVENTLQAHLSSSGSYYGNQNSGYSQQSFQSTGGLHTPLPLANAISEGRSSAGRPPHALVTFGFGGKLIVMKDTNSFGPNLDYGSQDAAKGAISVFNLSEVVCDKIDGTNGGGGSYFHTLCHQSFPGPLVGGNAGTKDVNKWIDEKVQECQAPLLDERKGELLKMLISLLKISLQHYGKLRSPFVAGSSLEESDGPESDVTKLFASARKNHPHLGEYGSYTHCVQSLPSEGQARTTAVEVQNLLVSGRRKEALQRAQDGQLWGPALVLAAQLGDKFYVDTVKQMAHRQFLFGSPLRTLCLLIAGQPADVFSADNFVDMGMPGATNAFQQPPRVLTNSMLDDWEENLAIITANRTKDDELVIIHLGDCLWKERGEVTAAHTCYLVAEANFEPYSDSARLCLVGADHWKCPRTFASPEAIQRTELYEYSKVLGNSQFILLPFQPYKLIYAYMLAEVGKVSESLRYCQASLKVLRNSGRAPEVEAWKSLFSSLEERLRTHQQGGYGTNLAPGKFVGKLFTSIDRSIHRMIGAPPAPLPPTPQMSLNGKELNAVVPKVASSQSTMAMSSLIPSASVETMSEWEGDSSRKIMHNRSISEPDFGRSPKQGSSVDSISPEGQHKVSTSRFGRIGSQLIQKTMGWVSRSRSDRQAKLGQSNKFYYDEKLKRWVEEGTEPPAAEVALAPPPMLASYQNGISDHNVNSSFQSQSLPANGGSEIRSPSVTEPSSGMPPVPPTTNQFSSRSRMGVRSRYVDTFNKGGGASTNSFTTPTVPSVKPPMAGKFFVPTAPSASDGQQADAAESIPEADANSEPSTSGMQDKSFASPPPAMQRFPSMDNIAHNGNLTGSALQVSDGPPISHARAASWGGSYSDVFKPKMPEEKLSRGLGMQTSFMPVDLSAKQLSSSYLQPNGGGSSGVSGDDLHEVEL >DRNTG_06432.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29875254:29880261:-1 gene:DRNTG_06432 transcript:DRNTG_06432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWDSSKVSGTLIHLGTFSITLNFTNKVDNLSWTCTTVYGPNSKTIRSDFWNELRHVHDSCSSTWVLCGDFNTVFSLNDKNRGFPNASDLASAQNFLNELNLVDPPLLGRSFTWTNGQSDPIWTCLDRFLLSHDWFSSYPSTIQSALPRYGSDHAPICLDFGSHTPRPRIFRFEKTWYTNDQLSSFIHDWWCEPNLEGCGAFIISKKLIHLKSHLKVWASENFGSISVHKNALLLEINSLDSTRIAFLSPTLVSLSPVGVPCAMIGQI >DRNTG_05622.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:17254922:17257001:-1 gene:DRNTG_05622 transcript:DRNTG_05622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPTDQRSRPVHGEHYDILHSIACDAPAPVTSAPNVLLQPLYGGVTPNGDPTMHARDTMTSSMDALPLALDESRRPHIKLVNGMCEKATANRLTEIARPGSGISRQTGGSISLASHADRLDRYLELVEQASQTEEGHDELPIVDETALYYEAIGGGKKSRVYGIGSQACIFYPQLSSSFSTGSSSEQVEARNLHQTLTQVQDRKERFQQSFLEMKMERDQYRKEMMRQMKNMMMSFEKRILQQLQFTTQDSQQLTDDHVVDL >DRNTG_02794.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1121204:1124604:1 gene:DRNTG_02794 transcript:DRNTG_02794.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSLQRLVAFRNRFTGEIPASLGDCDSLYYVRIQENELSGVVPDRFWGLSKMYHLELSNNGFQGPMSSSISTASNLTKLLISGNNFSGEIPPEICQLKELTMLDAGMNRFSGSIPACIGRLSKLEQIDLQGNILSGQIPVGSWKDLTELNLSMNDLSGEIPSQFGELPVLTYLDLSSNHLTGEIPAELANLNLNIFNLSGNDLTGPIPMAFASPVYLPSLAGNPNLCSSSGTTDLVLFPKCPLVTAKGKIHSRAALYTFVVLIVGLVLLAGLFWFRRARSTRTGKFKRGPSWKSTSFQRVGFDEAEIHDCLTDENLIGSGGSGRVYRVMLKTGQTVAVKRLWTCPRGPEPEREFQAEVETLGRVRHGNIVKLLFCCSAEGFKVLVYEYMANGSLREALHGDKANPPLDWDRRVGIAVGAAQGLAYLHHDCVPAIVHRDVKPSNILLDSEFRAHVGDFGLARVLPKEAGDCVTTHVAGSCGYIAPEYAYTLKINEKSDVYSFGVVLLELVTGRKAIDPLFGENKDIVKWVREEVAGKELDLKLVIDPRLQQMSACEYEEMVRVLRVGILCTSYLPINRPSMRLVVDLLRGHRDVSFFLPDGQKQY >DRNTG_10788.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3536287:3539899:-1 gene:DRNTG_10788 transcript:DRNTG_10788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALALAALLPFLLYLLLKPIHSFLWRPYRLHLHFQAQRVRGPPRRPPSGNASDIRALIASAQSSPIPSFHHDIVSRVAPHYHAWSAAYGRIFVFWFGSRPRLAIGDPALARAVLMDSSGDFEKTGFNPVSRQLFGEGLVGLKGPKWVQHRRIVSPAFHMERVKLAL >DRNTG_10788.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3538636:3539899:-1 gene:DRNTG_10788 transcript:DRNTG_10788.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALALAALLPFLLYLLLKPIHSFLWRPYRLHLHFQAQRVRGPPRRPPSGNASDIRALIASAQSSPIPSFHHDIVSRVAPHYHAWSAAYGRIFVFWFGSRPRLAIGDPALARAVLMDSSGDFEKTGFNPVSRQLFGEGLVGLKGPKWVQHRRIVSPAFHMERVKLAL >DRNTG_22236.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1255961:1263950:1 gene:DRNTG_22236 transcript:DRNTG_22236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPTSRLPAANSLPDGFVESPGEAALMPLTPSESDYKAPLLDVDEPVPPVISEGAPSPDAASETAEKLGTLCDLAESGIAPQEASVESRVDHLSRNPETEGCSELLSTNPVEGSKTQKPESSEVKRKVVKRNTKSEKELLELTFKYQKVIVERDAAITVKEKLESLCRELQRQNKLLMSECQRVAAEGQNFRQDISTKFSDAIKDVSNKLEQQKDECLSQFKENEMLRSKLKHLADQYAITEQQFEQKLKQKMLELQLADLKLQQHQERASHEQTQMQLYVEQVSQLLATEKTLRSQLAADGEKFQQFQDALLKSNEVFETFKQEMEKMGKLIKELKKENQFLKSKCERSDVAIVKLIEERELMKMQIEKVKNQKDKLESLCRTLQEERRNRSSIIFNSLTSIAQSEVSSVAESSEKSES >DRNTG_28886.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001457.1:34891:39007:1 gene:DRNTG_28886 transcript:DRNTG_28886.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRMFTCVKSYLQRFCQDGFQRSGEYSSSELLPSLGATINHSNKLRKYIVSPYNPYYRAWEMFLIVLVVYSAWVCPFEFAFLRYLPNTLFLVDNIVNAFFAIDIVLTFFVAYLDHKSYLLIDNPKKIATRYLSTGFIFDLCSTAPFQPISLLFTKHGTELGFKVLNMLRLWRLRRVSKLFARLEKDIRFNYFWVRCTKLITVTLFAVHCAGCFNYMIADRYPDPKRTWIGAVMPNFREASLWIRYVTAMYWSITTLTTTGYGDLHAENAREMLFDVFYMLFNLGLTAYLIGNMTNLVVHGTSRTRNFRDTIQAASEFVARNQLPKAIENQILSHICLRFKTEELKQQETLNGLPKGIRSSIAHYLFFPLVQEAYLFQGISYDFIFQLVTEMQAEYFPPKVDIILQNEAPTDIYILVSGAVDLIAYVDGNEQVCGRAIAGEVFGDIGVLCYRPQPFTVRTTELSQILRLSRTTLMNIMRDNIEDGTIVMDNFFKKLKLNGSSYTAEQHMDLRIFLTDWIEGRRERWESPPTGYRLRMHNANTGKQGDNLGNEADPSSTNIDGTRALNADTQNGYFEMLDLLVNKEASMEKQNSIGLNQRGISKILSSCPNRDKKQEENQELNGMRMRDIITRRNVQPLDSMACSLLLRKQKFVEAMPISCSRNRDYSADSNAIKRADKRVTIHMHSQRESARDHFGKVISMPDSLEELLIISGQKFVGYHPTKVVNQENAEIDDINVIRDGDHLFLLEI >DRNTG_28886.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001457.1:34891:39007:1 gene:DRNTG_28886 transcript:DRNTG_28886.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEYFPPKVDIILQNEAPTDIYILVSGAVDLIAYVDGNEQVCGRAIAGEVFGDIGVLCYRPQPFTVRTTELSQILRLSRTTLMNIMRDNIEDGTIVMDNFFKKLKLNGSSYTAEQHMDLRIFLTDWIEGRRERWESPPTGYRLRMHNANTGKQGDNLGNEADPSSTNIDGTRALNADTQNGYFEMLDLLVNKEASMEKQNSIGLNQRGISKILSSCPNRDKKQEENQELNGMRMRDIITRRNVQPLDSMACSLLLRKQKFVEAMPISCSRNRDYSADSNAIKRADKRVTIHMHSQRESARDHFGKVISMPDSLEELLIISGQKFVGYHPTKVVNQENAEIDDINVIRDGDHLFLLEI >DRNTG_28886.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001457.1:34891:39007:1 gene:DRNTG_28886 transcript:DRNTG_28886.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDLHKLVIITDGCMFWLIFLQDLIAYVDGNEQVCGRAIAGEVFGDIGVLCYRPQPFTVRTTELSQILRLSRTTLMNIMRDNIEDGTIVMDNFFKKLKLNGSSYTAEQHMDLRIFLTDWIEGRRERWESPPTGYRLRMHNANTGKQGDNLGNEADPSSTNIDGTRALNADTQNGYFEMLDLLVNKEASMEKQNSIGLNQRGISKILSSCPNRDKKQEENQELNGMRMRDIITRRNVQPLDSMACSLLLRKQKFVEAMPISCSRNRDYSADSNAIKRADKRVTIHMHSQRESARDHFGKVISMPDSLEELLIISGQKFVGYHPTKVVNQENAEIDDINVIRDGDHLFLLEI >DRNTG_05807.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19103548:19112145:-1 gene:DRNTG_05807 transcript:DRNTG_05807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVAALPAMKLDQLYDSSFICEAVLRSLPPLAKKYALQLLFIDTPVTAKSIEEWVLSDGISKHKVAIDRLLQLKVFIEVIDRKKEASYKLNSKFQSNMQRYLVHGGSLPREPMPSSVTVRLPTSEDLEAYALEQWECFLLQLISSAQAERPTSFSPSMMRVFQRGLLSARENEAPRLTENGFQFLLMETNAQLWYIIREYISTAEDRGVDPTDLISFLLELSFHTMGEAYNLNTLTDVQRSAIKDLADLGLVKLQQGRKESWFIPTKLATNLSVSLSDSSSRKQGFVVVETNFRMYAYSSSKLHCEILRLFSRVEYQLPNLIVGAITKESLYNAFENGITAEQIVSFLQQNAHPRVAEKTPSVPENVTDQIRLWETDRNRVEMIPSHLYEDFPSKDVFEAACDFARDAGGLLWEDSKKMRLIVRGDIHQHMRDFFRRQK >DRNTG_05807.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19103548:19112145:-1 gene:DRNTG_05807 transcript:DRNTG_05807.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSNGRLLYFLCFLLQLISSAQAERPTSFSPSMMRVFQRGLLSARENEAPRLTENGFQFLLMETNAQLWYIIREYISTAEDRGVDPTDLISFLLELSFHTMGEAYNLNTLTDVQRSAIKDLADLGLVKLQQGRKESWFIPTKLATNLSVSLSDSSSRKQGFVVVETNFRMYAYSSSKLHCEILRLFSRVEYQLPNLIVGAITKESLYNAFENGITAEQIVSFLQQNAHPRVAEKTPSVPENVTDQIRLWETDRNRVEMIPSHLYEDFPSKDVFEAACDFARDAGGLLWEDSKKMRLIVRGDIHQHMRDFFRRQK >DRNTG_05807.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19103548:19110901:-1 gene:DRNTG_05807 transcript:DRNTG_05807.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYLVHGGSLPREPMPSSVTVRLPTSEDLEAYALEQWECFLLQLISSAQAERPTSFSPSMMRVFQRGLLSARENEAPRLTENGFQFLLMETNAQLWYIIREYISTAEDRGVDPTDLISFLLELSFHTMGEAYNLNTLTDVQRSAIKDLADLGLVKLQQGRKESWFIPTKLATNLSVSLSDSSSRKQGFVVVETNFRMYAYSSSKLHCEILRLFSRVEYQLPNLIVGAITKESLYNAFENGITAEQIVSFLQQNAHPRVAEKTPSVPENVTDQIRLWETDRNRVEMIPSHLYEDFPSKDVFEAACDFARDAGGLLWEDSKKMRLIVRGDIHQHMRDFFRRQK >DRNTG_05807.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19103548:19112145:-1 gene:DRNTG_05807 transcript:DRNTG_05807.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVAALPAMKLDQLYDSSFICEAVLRSLPPLAKKYALQLLFIDTPVTAKSIEEWVLSDGISKHKVAIDRLLQLKVFIEVIDRKKEASYKLNSKFQSNMQRYLVHGGSLPREPMPSSVTVRLPTSEDLEAYALEQWECFLLQLISSAQAERPTSFSPSMMRVFQRGLLSARENEAPRLTENGFQFLLMETNAQLWYIIREYISTAEDRGVDPTDLISFLLELSFHTMGEAYNLNTLTDVQRSAIKDLADLGLVKLQQGRKESWFIPTKLATNLSVSLSDSSSRKQGFVVVETNFRMYAYSSSKLHCEILRLFSRVEYQLPNLIVGAITKESLYNAFENGITAEQIVSFLQQNAHPRVAEKTPSVPENVTDQIRLWETDRNRVEMIPSHLYEDFPSKDVFEAACDFARDAGGLLWEDSKKMRLIVRGDIHQHMRDFFRRQK >DRNTG_34063.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002082.1:20008:24782:1 gene:DRNTG_34063 transcript:DRNTG_34063.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMQCGGTWVFQLGVRPQSSLRPSSRQSMVCCYADKFLIPQFSFSSTGKQFSSISSSFFSQVPPSTLFNSGPCRTVRRLRGAFVVRAESDYYSVLGVSKNATKSEIKSAYRKLARSYHPDVNKEPSAEQKFKDISNAYEVLSDDEKRSIYDKYGEAGLKGAGMGMGDFSNPFDLFESLFEGMGGMGGMGGMGGSRAARNRPIQGDDESYSLVLNFKEAVFGVEKEIEITRLESCATCDGSGAKPGTKPTKCSTCGGQGQVVSSARTPLGVFQQVMTCSTCNGTGESFTPCGTCGGDGRVRRTKRISLKVPPGVDSGSRLRVRSEGNAGRRGGPPGDLYVFIEVLSDPVLKRDGTNILYTCKVSYIDAILGTTVKVPTVDGMVDLKIPAGTQPSTTLVMSKKGVPFLGKPNTRGDQLVRVQVEIPKRLSSEEKKLIEELANLSKTKTANTRR >DRNTG_29159.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5258962:5261468:-1 gene:DRNTG_29159 transcript:DRNTG_29159.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSPSPNPFPLFFSSSSPFHSSIPPPSLLPKPLGTPESFRSASLPKRRPFSRPAASFYAVGRPIDTQTLIVTATVLAAVAFSLFLGLKGDPVPCERCAGNGGTKCVFCNDGKMKQETGLVDCRVCKGAGTPRLIQFDCTLAC >DRNTG_29159.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5258962:5261468:-1 gene:DRNTG_29159 transcript:DRNTG_29159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSPSPNPFPLFFSSSSPFHSSIPPPSLLPKPLGTPESFRSASLPKRRPFSRPAASFYAVGRPIDTQTLIVTATVLAAVAFSLFLGLKGDPVPCERCAGNGGTKCVFCNDGKMKQETGLVDCRVCKGAGLILCRKCGGSGYSRRL >DRNTG_29159.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5260651:5261468:-1 gene:DRNTG_29159 transcript:DRNTG_29159.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSPSPNPFPLFFSSSSPFHSSIPPPSLLPKPLGTPESFRSASLPKRRPFSRPAASFYAVGRPIDTQTLIVTATVLAAVAFSLFLGLKGDPVPCERCAGNGENLEFVCRLVCCLKFVCFILAWS >DRNTG_29159.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5258962:5260176:-1 gene:DRNTG_29159 transcript:DRNTG_29159.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKQETGLVDCRVCKGAGLILCRKCGGSGYSRRL >DRNTG_30054.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18653238:18654916:-1 gene:DRNTG_30054 transcript:DRNTG_30054.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSKRVEATVVADVYRPPPTSVSLFDVSKIEEPWMITTKVTNEDEDDIKSQDKKSQTLVPLSILDKLDSVELAPKSWLEVSKALEELKPTLNTQKLEVKNQQVIKKASNPNNNNNSLTTVLKKAESHEESLTSIGYYRPVKDNSFIVRDREEREKKKGGDQGEKKWRPRDPFEGYEEKKVPGNERGVVLYTTTLRGVRRTFEDCETARRVVEGIAVDAGVEVDERDVSLHGEYLKEVKEMVGEEVVVPRLFIMGRYIGGVEEVVQLGDVGKLREMMKWVVRRGEGGGKGGRRDCEGCGGARFVPCLECKGSCKVVVVVEEEEEKEKVVRCGECNENGLMLCPLCH >DRNTG_24281.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29574413:29575412:-1 gene:DRNTG_24281 transcript:DRNTG_24281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKQFVEPQKFLNPSGHDNKQPKGSNRGVQKILPIEIPAISLADLNRMTNNFGQKALIGEGSYGQGILWSSKFRTTCCNKEARSLCLSRT >DRNTG_13090.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2535549:2536767:1 gene:DRNTG_13090 transcript:DRNTG_13090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTEGAQGEKRKGTPNKRWTKEMDNVLIPLLADMARSGLKVDKSFKRQAFVEAANVVNKKKLVLEDETYRTYVEGQPKAKEYLNKPIPFFDELRLVAGDDHATGDYARTIFDQFGSTPGEDESAPPLNTPLDGEPMETENERHEALRASTNKTTARATRRTRTNGENGLGENIGEKIGELAASIDRNRKRTWKEKLADVLWNMEGYSDDDMEMVYNKLIDNKKEAENFYLRKPSL >DRNTG_13090.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2534596:2536767:1 gene:DRNTG_13090 transcript:DRNTG_13090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTEGAQGEKRKGTPNKRWTKEMDNVLIPLLADMARSGLKVDKSFKRQAFVEAANVVNKKKLVLEDETYRTYVEGQPKAKEYLNKPIPFFDELRLVAGDDHATGDYARTIFDQFGSTPGEDESAPPLNTPLDGEPMETENERHEALRASTNKTTARATRRTRTNGENGLGENIGEKIGELAASIDRNRKRTWKEKLADVLWNMEGYSDDDMEMVYNKLIDNKKEAENFYLRKPSL >DRNTG_13090.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2531982:2536767:1 gene:DRNTG_13090 transcript:DRNTG_13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTEGAQGEKRKGTPNKRWTKEMDNVLIPLLADMARSGLKVDKSFKRQAFVEAANVVNKKKLVLEDETYRTYVEGQPKAKEYLNKPIPFFDELRLVAGDDHATGDYARTIFDQFGSTPGEDESAPPLNTPLDGEPMETENERHEALRASTNKTTARATRRTRTNGENGLGENIGEKIGELAASIDRNRKRTWKEKLADVLWNMEGYSDDDMEMVYNKLIDNKKEAENFYLRKPSL >DRNTG_01785.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000093.1:6274:13941:-1 gene:DRNTG_01785 transcript:DRNTG_01785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAREVHWNFTILAYCGKTTGKKRRFLEKAFGGVLGADFHQFRGGKITRFGEGKSKGEAWRPAARV >DRNTG_06041.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32645597:32645991:-1 gene:DRNTG_06041 transcript:DRNTG_06041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNVVQETVTLPLLEETMEDSIQKKRREDRSLLSTGSRSQNSSDKSDTQDIDLDLKL >DRNTG_16194.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12471556:12474322:1 gene:DRNTG_16194 transcript:DRNTG_16194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGNMQALENTNNGFLSISGLGSLWNPQDAFYPPGGLFASVGQMGVGFGISPNSTGPRETGVKVPCVDLYTKYVSTEFGFRVAGGPPEPVMEVAGVKEEADLRTTREKGRFKIKIKIQNPSFRRLISGAIAGAVSRTAVAPLETIRTHLMVGSNGGSTMEVFQNIMKTEGWKGLFRGNLVNVIRVAPSKAIELFAFDTMNKVLSPKDGESPKLPLPPSLVAGAFAGVSSTICTYPLELLKTRLTIQRDVYDNLLHAFLKIVKDEGPAELYRGLTPSLIGVVPYAATNYFAYDSLKKAYKKAFKRKDIDSIATLLIGSAAGAISSSATFPLEVARKHMQVGAVGGRQVYKNMLHALLGILEKEGIGGLYKGLGPSCMKLVPAAGISFMCYEACKKVLIDKEGDA >DRNTG_02626.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21390340:21393424:1 gene:DRNTG_02626 transcript:DRNTG_02626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >DRNTG_29708.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001499.1:10078:11248:1 gene:DRNTG_29708 transcript:DRNTG_29708.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASAGVCPALPVTSPSLLLLLILCYLSMVTPAKAWRPWPHLGSNSSSSLGESKKYEGSSEFVQLRYHMGPVLTANITVHPIWYGQWTPAQKRIIRAFLRSISPVGDTTVRWPSVEGWWRTVAMYTDQTGDNVSRTVRLGAEKNDRHYSHGRSLTRLSIQHVIRSAVTAKTRPLPVNPRGGLYLLLTSAEVAVEFFCGQVCGFHYFTFPSIVGYTLPYAWVGNAERQCPGVCSWPFAVPEGYGGPRAGAPPNGEVGVDAMVSVIAHEVAELASNPLVNAWYAGADPCFPTEIADLCEGIYGTGGGGSYTGQLTVDERDGAAYNLNGVGGRRFLVQWVWHPELNYCYG >DRNTG_29708.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001499.1:10078:11248:1 gene:DRNTG_29708 transcript:DRNTG_29708.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASAGVCPALPVTSPSLLLLLILCYLSMVTPAKAWRPWPHLGSNSSSSLGESKKYEGSSEFVQLRYHMGPVLTANITVHPIWYGQWTPAQKRIIRAFLRSISPVGDTTVRWPSVEGWWRTVAMYTDQTGDNVSRTVRLGAEKNDRHYSHGRSLTRLSIQHVIRSAVTAKTRPLPVNPRGGLYLLLTSAEVAVEFFCGQVSKFFPLNFNFKFSSMKTDFEF >DRNTG_23522.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22243950:22250076:-1 gene:DRNTG_23522 transcript:DRNTG_23522.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNDSMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCRSSSGSGSESGIQTQKSAKKCVGDSDNHTGSNDEDDNGSIGLNARDGSDNGSGTQNSWTKCAVEVDSPQPMSPSDQLADPHDSTCAQVIHPKPESFCNGWVPFPTTKDGQQQKQLSDNNSMGKDLELGVPRTDVRTESHQGEKVAINQSDPNVNKVHDNNTERGVNGNPMELDNSDNTGERSTQAADLIGGIAKTSEVVMVTGSVNDPIGFPKISESTVVGSNDLPYLELSLKRLRSSDEDGTATYDERNILRHSNQSAFSRYNISVASVQAPNGYKGSCSLPLDNSSDAVKTDSTYNMTSNSNGAPLKQGSNGSSNNNDMGSSTKIAFTKIVATKEKLPSTLAIKCASPTSAFHPVQHQTPTTQQVAPEKVDEAGASGISGHSRTSPQLQVLYHHHHYHHHHHLVHGMQQQQQQQQQQQQQQQQQQQMQQPPEHDDLPLKNMVAAALQCGSSNVFVMPIEGESGSGCGNGGGGWGRNGSGADQNASSQREAALNKFRQKRKERNFEKKVRYQSRKRLAEQRPRVRGQFVRQIVYDDKRREADS >DRNTG_23522.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22243950:22250076:-1 gene:DRNTG_23522 transcript:DRNTG_23522.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNSNGAPLKQGSNGSSNNNDMGSSTKIAFTKIVATKEKLPSTLAIKCASPTSAFHPVQHQTPTTQQVAPEKVDEAGASGISGHSRTSPQLQVLYHHHHYHHHHHLVHGMQQQQQQQQQQQQQQQQQQQMQQPPEHDDLPLKNMVAAALQCGSSNVFVMPIEGESGSGCGNGGGGWGRNGSGADQNASSQREAALNKFRQKRKERNFEKKVRYQSRKRLAEQRPRVRGQFVRQIVYDDKRREADS >DRNTG_23522.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22243950:22250076:-1 gene:DRNTG_23522 transcript:DRNTG_23522.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGTISQLNPAVVGKEGLVELNFHIRDQPKVQDVITGEEQGLSEEDESKINELADDETEGSEEDVAAKVAHQCRAQKQQQQQQQQPQGPLVRWERFLPVRTLKVLLVENDDSTRQVLCALLRNCSYEVTAAENGLQAWKLLEDLTNHVDLVLTEVVMPCLSGIDLLCKIMNHKTCKTIPVISEYPSCTITKLFSCGFSIFFF >DRNTG_23522.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22243950:22250076:-1 gene:DRNTG_23522 transcript:DRNTG_23522.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTISQLNPAVVGKEGLVELNFHIRDQPKVQDVITGEEQGLSEEDESKINELADDETEGSEEDVAAKVAHQCRAQKQQQQQQQQPQGPLVRWERFLPVRTLKVLLVENDDSTRQVLCALLRNCSYEVTAAENGLQAWKLLEDLTNHVDLVLTEVVMPCLSGIDLLCKIMNHKTCKTIPVIMMSSNDSMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCRSSSGSGSESGIQTQKSAKKCVGDSDNHTGSNDEDDNGSIGLNARDGSDNGSGTQNSWTKCAVEVDSPQPMSPSDQLADPHDSTCAQVIHPKPESFCNGWVPFPTTKDGQQQKQLSDNNSMGKDLELGVPRTDVRTESHQGEKVAINQSDPNVNKVHDNNTERGVNGNPMELDNSDNTGERSTQAADLIGGIAKTSEVVMVTGSVNDPIGFPKISESTVVGSNDLPYLELSLKRLRSSDEDGTATYDERNILRHSNQSAFSRYNISVASVQAPNGYKGSCSLPLDNSSDAVKTDSTYNMTSNSNGAPLKQGSNGSSNNNDMGSSTKIAFTKIVATKEKLPSTLAIKCASPTSAFHPVQHQTPTTQQVAPEKVDEAGASGISGHSRTSPQLQVLYHHHHYHHHHHLVHGMQQQQQQQQQQQQQQQQQQQMQQPPEHDDLPLKNMVAAALQCGSSNVFVMPIEGESGSGCGNGGGGWGRNGSGADQNASSQREAALNKFRQKRKERNFEKKVRYQSRKRLAEQRPRVRGQFVRQIVYDDKRREADS >DRNTG_23522.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22243950:22250076:-1 gene:DRNTG_23522 transcript:DRNTG_23522.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGTISQLNPAVVGKEGLVELNFHIRDQPKVQDVITGEEQGLSEEDESKINELADDETEGSEEDVAAKVAHQCRAQKQQQQQQQQPQGPLVRWERFLPVRTLKVLLVENDDSTRQVLCALLRNCSYEVTAAENGLQAWKLLEDLTNHVDLVLTEVVMPCLSGIDLLCKIMNHKTCKTIPVISEYPSCTITKLFSCGFSIFFF >DRNTG_23522.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22243950:22250076:-1 gene:DRNTG_23522 transcript:DRNTG_23522.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGTISQLNPAVVGKEGLVELNFHIRDQPKVQDVITGEEQGLSEEDESKINELADDETEGSEEDVAAKVAHQCRAQKQQQQQQQQPQGPLVRWERFLPVRTLKVLLVENDDSTRQVLCALLRNCSYEVTAAENGLQAWKLLEDLTNHVDLVLTEVVMPCLSGIDLLCKIMNHKTCKTIPVIMMSSNDSMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCRSSSGSGSESGIQTQKSAKKCVGDSDNHTGSNDEDDNGSIGLNARDGSDNGSGTQNSWTKCAVEVDSPQPMSPSDQLADPHDSTCAQVIHPKPESFCNGWVPFPTTKDGQQQKQLSDNNSMGKDLELGVPRTDVRTESHQGEKVAINQSDPNVNKVHDNNTERGVNGNPMELDNSDNTGERSTQAADLIGGIAKTSEVVMVTGSVNDPIGFPKISESTVVGSNDLPYLELSLKRLRSSDEDGTATYDERNILRHSNQSAFSRWRVKNINFILS >DRNTG_23522.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22243950:22250076:-1 gene:DRNTG_23522 transcript:DRNTG_23522.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTISQLNPAVVGKEGLVELNFHIRDQPKVQDVITGEEQGLSEEDESKINELADDETEGSEEDVAAKVAHQCRAQKQQQQQQQQPQGPLVRWERFLPVRTLKVLLVENDDSTRQVLCALLRNCSYEVTAAENGLQAWKLLEDLTNHVDLVLTEVVMPCLSGIDLLCKIMNHKTCKTIPVIMMSSNDSMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCRSSSGSGSESGIQTQKSAKKCVGDSDNHTGSNDEDDNGSIGLNARDGSDNGSGTQNSWTKCAVEVDSPQPMSPSDQLADPHDSTCAQVIHPKPESFCNGWVPFPTTKDGQQQKQLSDNNSMGKDLELGVPRTDVRTESHQGEKVAINQSDPNVNKVHDNNTERGVNGNPMELDNSDNTGERSTQAADLIGGIAKTSEVVMVTGSVNDPIGFPKISESTVVGSNDLPYLELSLKRLRSSDEDGTATYDERNILRHSNQSAFSRYNISVASVQAPNGYKGSCSLPLDNSSDAVKTDSTYNMTSNSNGAPLKQGSNGSSNNNDMGSSTKIAFTKIVATKEKLPSTLAIKCASPTSAFHPVQHQTPTTQQVAPEKVDEAGASGISGHSRTSPQLQVLYHHHHYHHHHHLVHGMQQQQQQQQQQQQQQQQQQQMQQPPEHDDLPLKNMVAAALQCGSSNVFVMPIEGESGSGCGNGGGGWGRNGSGADQNASSQREAALNKFRQKRKERNFEKKVRYQSRKRLAEQRPRVRGQFVRQIVYDDKRREADS >DRNTG_23522.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22243950:22250076:-1 gene:DRNTG_23522 transcript:DRNTG_23522.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTISQLNPAVVGKEGLVELNFHIRDQPKVQDVITGEEQGLSEEDESKINELADDETEGSEEDVAAKVAHQCRAQKQQQQQQQQPQGPLVRWERFLPVRTLKVLLVENDDSTRQVLCALLRNCSYEVTAAENGLQAWKLLEDLTNHVDLVLTEVVMPCLSGIDLLCKIMNHKTCKTIPVISEYPSCTITKLFSCGFSIFFF >DRNTG_10971.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1077279:1078679:1 gene:DRNTG_10971 transcript:DRNTG_10971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGGELDDEIRAQFPLSFGKKSGRPPPNSSSVHSSTRRAVGPVSVDRNPNPSSSGSKSRDVQEEEEEDAMIGPPPPPPQPEVSQDEDDQVMIGPPRAPPPSEQLGSDDEDEMDSDDEDGPEEFRRIPLSNEIVLRGHSKVVSALAIDHSGSRVLTGSYDYTVRMYDFQGMNSKLQSFRQLEPFEGHQVRSLSWSPTADRFLCVTGSAQAKIYDRDGLTLGEFIKGDMYIRDLKNTKGHISGLSGGEWSPKAKDEILTSSEDGSLRIWDVNEFN >DRNTG_29693.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3819205:3822789:1 gene:DRNTG_29693 transcript:DRNTG_29693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNARDKARAARKHRPKPIPPSAKPPASSATSSAAVSVPDPLPNPNPNPNLGSSADDPAAWGYCTEEQLEELLLKNLEFVYKEALSRLISLGYDEAVALKAILRSGHCYGSMDVLSNILQNAIASLNSSPQQEGQGSAAAAVVEPAGFSDLRHLEEYSLAGLVCLLQQYRPHLTRGDAMWCLLMADLHVGRANAIDVPSPELALCKLHAQYDRHTELSASAKSVLKRHAAALASHPSELPHPPMADASGVKDLDEDVVASAMKNLNLTSLEAGENDRDDPKMATIIDLCRQIQDLRVQVKERKEWAQQKALQAARKVSNDLMELRALRAEREENQRVRKGKQALEDTTMKKLAEMEAALRKASGQVDRANAEVRRLETENAEIRAEMEASKLSAAESAAACTEAARREKKCFKRLQVWEKQREKVQDEIAEERRKVVEVERHLTDVKEAQKRAEAKWKKEIKAKEHAIGKVDEERRAKEAAELEIKRKHEALRQKIELDFQRHKDDVQRLEEEYARLKAVAGTGEMVSQSSNAFTSEDTDTKRTTSDTSSQPQTASKRKQGSSRRVNGSRLCFICLKEEVSVVLLPCAHQTFCAKCNEDHEKKAKTRCPACQTVIEQRVRVYGASS >DRNTG_16071.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25650373:25655594:1 gene:DRNTG_16071 transcript:DRNTG_16071.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein XAP5 CIRCADIAN TIMEKEEPER [Source:Projected from Arabidopsis thaliana (AT2G21150) UniProtKB/Swiss-Prot;Acc:Q8H110] MAGMGDGYVGTAQDAVRIRRLEKQREAERRKIQELKNKTASAKGQPGLLQFGSSTSEILETAFKKETVGLVTREQYVEKRVNIRNKIEEEEKEKLQKLQQEEEELQLQKRKKRRIKGDSRLSFADDIENGSDDEDLGNKTQEQKKFVNGKLGKDPTVETSFLPDREREAEEQAERERLGRQWIREQEMIRNEPLEITYSYWDGTGHRRVIQVRKGDRIGEFLRAVQQQLAPEFREIRTTSVENLLYVKEDLIIPHQHSFYELIINKARGKSGPLFHFDVHEDVRTIADATIEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPTKKWERYTIHGD >DRNTG_16071.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25650373:25655594:1 gene:DRNTG_16071 transcript:DRNTG_16071.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein XAP5 CIRCADIAN TIMEKEEPER [Source:Projected from Arabidopsis thaliana (AT2G21150) UniProtKB/Swiss-Prot;Acc:Q8H110] MAGMGDGYVGTAQDAVRIRRLEKQREAERRKIQELKNKTASAKGQPGLLQFGSSTSEILETAFKKETVGLVTREQYVEKRVNIRNKIEEEEKEKLQKLQQEEEELQLQKRKKRRIKGDSRLSFADDIENGSDDEDLGNKETQEQKKFVNGKLGKDPTVETSFLPDREREAEEQAERERLGRQWIREQEMIRNEPLEITYSYWDGTGHRRVIQVRKGDRIGEFLRAVQQQLAPEFREIRTTSVENLLYVKEDLIIPHQHSFYELIINKARGKSGPLFHFDVHEDVRTIADATIEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPTKKWERYTIHGD >DRNTG_10890.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13398611:13399592:-1 gene:DRNTG_10890 transcript:DRNTG_10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVENATMPASLGMETLRLMGMVRRVQSRVYALITPALEVTEGDDDIAKASQPIPKPQPTMMKTDAPPAAEDLSPVCMFSPSRACDHFERLESAVGVRWIEVVEENSAWSCDACVSLRIHSKSL >DRNTG_04790.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2457900:2460921:-1 gene:DRNTG_04790 transcript:DRNTG_04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGGKKKAVDPFAKKDWYDIKAPSVFSVRNVGKTLVSRTQGTKIASEGLKHRVFEVSLADLQTDEDQAYRKIRLRVEDVQGKNVLTNFWGMDFTTDKLRSLVRKWQTLIEAHVDVKTTDNYTLRMFCIAFTKRRPNQVKRTCYAQTSQIRQIRRKMREIMVNQATSCDLKDLVQKFIPEVIGKEIEKATTSIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYKEDLGTKVERPADDLAAEGETEVVGA >DRNTG_35355.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18362999:18364429:-1 gene:DRNTG_35355 transcript:DRNTG_35355.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGFTAIGTGGDDFVQAMVVAVESVLQQPIPEGRVTQKLSSGGKYVSVNIGPIQVVSSEQVQAVYNAMRRDDRMKYFL >DRNTG_35355.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18362999:18366183:-1 gene:DRNTG_35355 transcript:DRNTG_35355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRTVLGSMLLFEPWRRPLHRPFPFPFAFFPFPPASRSRFRPIGSSLRCSSGDASSTAPDDQGPPQEAVLKAISEVSKSEGRVAQTTNVVIGGTVTDDATDEWLVLDQKVNSYPMTRGFTAIGTGGDDFVQAMVVAVESVLQQPIPEGRVTQKLSSGGKYVSVNIGPIQVVSSEQVQAVYNAMRRDDRMKYFL >DRNTG_02775.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1252213:1256258:-1 gene:DRNTG_02775 transcript:DRNTG_02775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFFARRTIRALRTNQSVLQGGQPAAVLPNYLPAINSSPFSSRAKELKEDEEREKLAKEVAKDWSAVFEQSINTLFLTEMVRGLMLTLKYFFEPKVTINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAENLRSESLYR >DRNTG_24407.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001301.1:33491:33687:1 gene:DRNTG_24407 transcript:DRNTG_24407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSREFHDGDLEVERRFKCSFAKGLDEEIGDLGDFGIDKAFDSGFRFVEHLKLTLPRDSFHASGL >DRNTG_31125.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:139804:141530:-1 gene:DRNTG_31125 transcript:DRNTG_31125.7 gene_biotype:protein_coding transcript_biotype:protein_coding MADSQSSFPLQRKQPSLLPSHPFHT >DRNTG_31125.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:139717:141585:-1 gene:DRNTG_31125 transcript:DRNTG_31125.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADSQSSFPLQRKQPSLLPSHPFHT >DRNTG_31125.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:139804:141879:-1 gene:DRNTG_31125 transcript:DRNTG_31125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSIKRIIKLEEESRQETTTKKHKHTGRQSMNREHEMCLP >DRNTG_31125.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:139781:141530:-1 gene:DRNTG_31125 transcript:DRNTG_31125.6 gene_biotype:protein_coding transcript_biotype:protein_coding MADSQSSFPLQRKQPSLLPSHPFHT >DRNTG_31125.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:139804:141879:-1 gene:DRNTG_31125 transcript:DRNTG_31125.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSIKRIIKLEEESRQETTTKKHKHTGRQSMNREHEMCLP >DRNTG_31125.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:139804:141585:-1 gene:DRNTG_31125 transcript:DRNTG_31125.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADSQSSFPLQRKQPSLLPSHPFHT >DRNTG_31125.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:139717:141530:-1 gene:DRNTG_31125 transcript:DRNTG_31125.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADSQSSFPLQRKQPSLLPSHPFHT >DRNTG_16438.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7678914:7685598:1 gene:DRNTG_16438 transcript:DRNTG_16438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVRVIEARCLPAMDLNGLSDPYVRLQLGKQRGKTKVIRKNLNPVWDENFRFVVGDLGDELTISVLDEDKYFSDDFVGQVKVPLWKVLDAENLTLGTAWYQLQPKNKKSKIKECGEIRLHISLSQKNSFDETSTISKSYFDDVASNSDKSMELARESFSLPSNGHEEPSGDLIAEEMDPADSDKLNATSLVDRLFEFIGWRNVPIESSDSGDQVGEDQADEIQEITSKPETSNKQDEESSGVTFDELLKTIEAKGELVEMPGNLPGGLVIDQYYAASPSELNSLIFSPSSNFSRTLAEIQGNTGLEIGAWKLENNGDSLKRVITYTKAATKLVKAVKATEEQTYLKADGKSYSVLASVSTPDVPFGSYFKTEVLYCITPGPESTTEEQSCHLVISWRTNFLQSTIMKGMIENGTRQGLKESYVHFTELLSQSFKPLDLKDMGGSNKEQILSSLQTQQESDWRLAFRFFGNFTVISTFFVGLYVLAHILLSNPSTIQGLEFVGLDLPDSIGEVVVCGVLVLQGERVLKMIGRFLQARKQRGGDHGVKARGDGWILTVALIEGTNLAAVDSTGYSDPYVVFTCNGKTKTSSIKFQTLSPQWNGGNQLC >DRNTG_33089.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001951.1:45206:46290:-1 gene:DRNTG_33089 transcript:DRNTG_33089.3 gene_biotype:protein_coding transcript_biotype:protein_coding SHENQAHHNKSYLPCKKTSSKKKENKKDILEKRLEHKKNNCSAGDESQQFLQLVLEPCPA >DRNTG_33089.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001951.1:45206:46290:-1 gene:DRNTG_33089 transcript:DRNTG_33089.1 gene_biotype:protein_coding transcript_biotype:protein_coding SHENQAHHNKSYLPCKKTSSKKKENKKDILEKRLEHKKNNCSAGDESQQFLQLVLEPCPA >DRNTG_33089.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001951.1:45206:46290:-1 gene:DRNTG_33089 transcript:DRNTG_33089.2 gene_biotype:protein_coding transcript_biotype:protein_coding SHENQAHHNKSYLPCKKTSSKKKENKKDILEKRLEHKKNNCSAGDESQQFLQLVLEPCPA >DRNTG_26496.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:690077:690731:-1 gene:DRNTG_26496 transcript:DRNTG_26496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRQGHEYLGGSEPSHGHDEGLESFLDHVMLVCCR >DRNTG_34191.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3600821:3602339:-1 gene:DRNTG_34191 transcript:DRNTG_34191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEHSPVSNALHSLPQRHDPHRRAMDMSMTHLQYHLPDFTQLLTGPISDVQTHFSGAPPDVVLLSFQPSSRRKQSMGLQKWMIPRVLPFSKLLE >DRNTG_34191.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3600821:3601404:-1 gene:DRNTG_34191 transcript:DRNTG_34191.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEHSPVSNALHSLPQRHDPHRRAMDMSMTHLQYHLPDFTQLLTGPISDVQTHFSGAPPDVVLLSFQPSSRRKQSMGLQKWMIPRVLPFSKLLE >DRNTG_02991.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9096997:9105062:1 gene:DRNTG_02991 transcript:DRNTG_02991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQLVEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEENDLVFVLIDEVESLAAARQAALSGSEPSDSIRVVNALLTQMDRLKSWPNVIILTTSNITAAIDIAFVDRADIKAYVGPPTLQARYEILRSCLQELLRAGILAHTPANDHPFLNLFILKRKAAHLKARNRRIMPFLQTTASSCRSKRGIKWKNFEKAAFLSSCKCCKPLQL >DRNTG_11277.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:2042102:2043098:1 gene:DRNTG_11277 transcript:DRNTG_11277.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSKSFARLENEMESQLGRPVTRAELFQVGHTTSDGSFVNDEARQNHEDLVVRSQSSSKNEAHIGVFGKEHPGYVRGLALGVVPTQVYGSSSSSSSRCYSPRGTQAEVDALRQPVHQLLQ >DRNTG_11277.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:2041455:2043098:1 gene:DRNTG_11277 transcript:DRNTG_11277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIDIFTLPPGEKVIVDWNCRNQPIDMSVGLLAQFLVHIATNCTNFPIGYDKWQTVPAYYKDHVWDNIIKTKLEVNDDGHKDYILKSLAKKWRDHRCNLYKSLKCDPDAPRKTNIGRIPPEVPLEQWIAFVDYRARPDTKAKAAQNTTNRSHLTIPHMLGSKSFARLENEMESQLGRPVTRAELFQVGHTTSDGSFVNDEARQNHEDLVVRSQSSSKNEAHIGVFGKEHPGYVRGLALGVVPTQVYGSSSSSSSRCYSPRGTQAEVDALRQPVHQLLQ >DRNTG_00428.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21928262:21933198:-1 gene:DRNTG_00428 transcript:DRNTG_00428.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATMATELSEIHNSEIELRINPRRHKDRVFVGCGAGFGGDRPLAAFKLLQRVQGLDYLVLECLAERTLADRYQAMVSGGHGYDPRIVEWMTLLLPLAMEKGVCLITNMGAVDPIGAKKEVLDVANKLGLDVVVGVAYEVLKPGLVSYLFQVLLC >DRNTG_00428.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21928204:21930603:-1 gene:DRNTG_00428 transcript:DRNTG_00428.12 gene_biotype:protein_coding transcript_biotype:protein_coding FCFVFLKFFLLLLLLFYKNQVVDFQDVYFKPLSEDIVSCKGAKPSETALPDRLLRLVPLEYGWKAWGEISYGGHGCLKRAEAAEFLVRSWMDETYPGTKDCVSSYIIGYNSLKIGIPDIHNLSEKQTLDIRLRMDGLFKQKEHAVHFIEEFMALYTNGPAGGGGICTGQKKEIILQKELVERHNVYWKTAMSQTKTSNQCSQYPICQDFNQIHSPREHSHPFDIPKDNSFNEVNSSALTSAAPSGKRIPLYEVAHSRAGDKGNDLNFSIISHFPRDIDRLKMVITPNWVKNIVSHLLDTSCFPSPEAIEHRNNLLDQVNIELYEAKGINSLNVVVRNILDGGVNCSRRIDRHGKTLSDLVLCQEIMLPE >DRNTG_00428.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21928262:21933198:-1 gene:DRNTG_00428 transcript:DRNTG_00428.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATMATELSEIHNSEIELRINPRRHKDRVFVGCGAGFGGDRPLAAFKLLQRVQGLDYLVLECLAERTLADRYQAMVSGGHGYDPRIVEWMTLLLPLAMEKGVCLITNMGAVDPIGAKKEVLDVANKLGLDVVVGVAYEVLKPGLGSSLLGEGLKMMEGGASTYLGAAPIVRCLEKYKPHVVITSRVADAALFLAPMVYELGWNWNDFKQLAQGSLAAHLLECGCQLTGGYFMHPGDEYRDISFEKLLDLSLPYAVISYEGEVFVAKTVGSGGILNYNTCAEQLLYEVGDPSAYITPDVVVDFQDVYFKPLSEDIVSCKGAKPSETALPDRLLRLVPLEYGWKAWGEISYGGHGCLKRAEAAEFLVRSWMDETYPGTKDCVSSYIIGYNSLKIGIPDIHNLSEKQTLDIRLRMDGLFKQKEHAVHFIEEFMALYTNGPAGGGGICTGQKKEIILQKELVERHNVYWKTAMSQTKTSNQCSQYPICQDFNQIHSPREHSHPFDIPKDNSFNEVNSSALTSAAPSGKRIPLYEVAHSRAGDKGNDLNFSIISHFPRDIDRLKMVITPNWVKNIVSHLLDTSCFPSPEAIEHRNNLLDQVNIELYEAKGINSLNVVVRNILDGGVNCSRRIDRHGKTLSDLVLCQEIMLPE >DRNTG_00428.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21928262:21933198:-1 gene:DRNTG_00428 transcript:DRNTG_00428.10 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGGASTYLGAAPIVRCLEKYKPHVVITSRVADAALFLAPMVYELGWNWNDFKQLAQGSLAAHLLECGCQLTGGYFMHPGDEYRDISFEKLLDLSLPYAVISYEGEVFVAKTVGSGGILNYNTCAEQLLYEVGDPSAYITPDVVVDFQDVYFKPLSEDIVSCKGAKPSETALPDRLLRLVPLEYGWKAWGEISYGGHGCLKRAEAAEFLVRSWMDETYPGTKDCVSSYIIGYNSLKIGIPDIHNLSEKQTLDIRLRMDGLFKQKEHAVHFIEEFMALYTNGPAGGGGICTGQKKEIILQKELVERHNVYWKTAMSQTKTSNQCSQYPICQDFNQIHSPREHSHPFDIPKDNSFNEVNSSALTSAAPSGKRIPLYEVAHSRAGDKGNDLNFSIISHFPRDIDRLKMVITPNWVKNIVSHLLDTSCFPSPEAIEHRNNLLDQVNIELYEAKGINSLNVVVRNILDGGVNCSRRIDRHGKTLSDLVLCQEIMLPE >DRNTG_00428.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21928262:21933198:-1 gene:DRNTG_00428 transcript:DRNTG_00428.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGASTYLGAAPIVRCLEKYKPHVVITSRVADAALFLAPMVYELGWNWNDFKQLAQGSLAAHLLECGCQLTGGYFMHPGDEYRDISFEKLLDLSLPYAVISYEGEVFVAKTVGSGGILNYNTCAEQLLYEVGDPSAYITPDVVVDFQDVYFKPLSEDIVSCKGAKPSETALPDRLLRLVPLEYGWKAWGEISYGGHGCLKRAEAAEFLVRSWMDETYPGTKDCVSSYIIGYNSLKIGIPDIHNLSEKQTLDIRLRMDGLFKQKEHAVHFIEEFMALYTNGPAGGGGICTGQKKEIILQKELVERHNVYWKTAMSQTKTSNQCSQYPICQDFNQIHSPREHSHPFDIPKDNSFNEVNSSALTSAAPSGKRIPLYEVAHSRAGDKGNDLNFSIISHFPRDIDRLKMVITPNWVKNIVSHLLDTSCFPSPEAIEHRNNLLDQVNIELYEAKGINSLNVVVRNILDGGVNCSRRIDRHGKTLSDLVLCQEIMLPE >DRNTG_00428.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21928262:21933198:-1 gene:DRNTG_00428 transcript:DRNTG_00428.11 gene_biotype:protein_coding transcript_biotype:protein_coding MATMATELSEIHNSEIELRINPRRHKDRVFVGCGAGFGGDRPLAAFKLLQRVQGLDYLVLECLAERTLADRYQAMVSGGHGYDPRIVEWMTLLLPLAMEKGVCLITNMGAVDPIGAKKEVLDVANKLGLDVVVGVAYEVLKPGLVSYLFQVLLC >DRNTG_00428.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21928262:21933198:-1 gene:DRNTG_00428 transcript:DRNTG_00428.9 gene_biotype:protein_coding transcript_biotype:protein_coding MKGASTYLGAAPIVRCLEKYKPHVVITSRVADAALFLAPMVYELGWNWNDFKQLAQGSLAAHLLECGCQLTGGYFMHPGDEYRDISFEKLLDLSLPYAVISYEGEVFVAKTVGSGGILNYNTCAEQLLYEVGDPSAYITPDVVVDFQDVYFKPLSEDIVSCKGAKPSETALPDRLLRLVPLEYGWKAWGEISYGGHGCLKRAEAAEFLVRSWMDETYPGTKDCVSSYIIGYNSLKIGIPDIHNLSEKQTLDIRLRMDGLFKQKEHAVHFIEEFMALYTNGPAGGGGICTGQKKEIILQKELVERHNVYWKTAMSQTKTSNQCSQYPICQDFNQIHSPREHSHPFDIPKDNSFNEVNSSALTSAAPSGKRIPLYEVAHSRAGDKGNDLNFSIISHFPRDIDRLKMVITPNWVKNIVSHLLDTSCFPSPEAIEHRNNLLDQVNIELYEAKGINSLNVVVRNILDGGVNCSRRIDRHGKTLSDLVLCQEIMLPE >DRNTG_00428.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21928262:21930603:-1 gene:DRNTG_00428 transcript:DRNTG_00428.13 gene_biotype:protein_coding transcript_biotype:protein_coding FCFVFLKFFLLLLLLFYKNQVVDFQDVYFKPLSEDIVSCKGAKPSETALPDRLLRLVPLEYGWKAWGEISYGGHGCLKRAEAAEFLVRSWMDETYPGTKDCVSSYIIGYNSLKIGIPDIHNLSEKQTLDIRLRMDGLFKQKEHAVHFIEEFMALYTNGPAGGGGICTGQKKEIILQKELVERHNVYWKTAMSQTKTSNQCSQYPICQDFNQIHSPREHSHPFDIPKDNSFNEVNSSALTSAAPSGKRIPLYEVAHSRAGDKGNDLNFSIISHFPRDIDRLKMVITPNWVKNIVSHLLDTSCFPSPEAIEHRNNLLDQVNIELYEAKGINSLNVVVRNILDGGVNCSRRIDRHGKTLSDLVLCQEIMLPE >DRNTG_00428.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21928262:21933198:-1 gene:DRNTG_00428 transcript:DRNTG_00428.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATMATELSEIHNSEIELRINPRRHKDRVFVGCGAGFGGDRPLAAFKLLQRVQGLDYLVLECLAERTLADRYQAMVSGGHGYDPRIVEWMTLLLPLAMEKGVCLITNMGAVDPIGAKKEVLDVANKLGLDVVVGVAYEVLKPGLGEFLFLNSLYFGSNVCLLN >DRNTG_00428.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21928262:21933198:-1 gene:DRNTG_00428 transcript:DRNTG_00428.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATMATELSEIHNSEIELRINPRRHKDRVFVGCGAGFGGDRPLAAFKLLQRVQGLDYLVLECLAERTLADRYQAMVSGGHGYDPRIVEWMTLLLPLAMEKGVCLITNMGAVDPIGAKKEVLDVANKLGLDVVVGVAYEVLKPGLGSSLLGEGLKMMEGVSMSLILYFNKLSSKYIPLFSIKNLLI >DRNTG_00428.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21928262:21933198:-1 gene:DRNTG_00428 transcript:DRNTG_00428.8 gene_biotype:protein_coding transcript_biotype:protein_coding MATMATELSEIHNSEIELRINPRRHKDRVFVGCGAGFGGDRPLAAFKLLQRVQGLDYLVLECLAERTLADRYQAMVSGGHGYDPRIVEWMTLLLPLAMEKGVCLITNMGAVDPIGAKKEVLDVANKLGLDVVVGVAYEVLKPGLGSSLLGEGLKMMEGGASTYLGAAPIVRCLEKYKPHVVITSRVADAALFLAPMEMSTEIFHLRSF >DRNTG_00428.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21928204:21933198:-1 gene:DRNTG_00428 transcript:DRNTG_00428.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHIMAGDEYRDISFEKLLDLSLPYAVISYEGEVFVAKTVGSGGILNYNTCAEQLLYEVGDPSAYITPDVVVDFQDVYFKPLSEDIVSCKGAKPSETALPDRLLRLVPLEYGWKAWGEISYGGHGCLKRAEAAEFLVRSWMDETYPGTKDCVSSYIIGYNSLKIGIPDIHNLSEKQTLDIRLRMDGLFKQKEHAVHFIEEFMALYTNGPAGGGGICTGQKKEIILQKELVERHNVYWKTAMSQTKTSNQCSQYPICQDFNQIHSPREHSHPFDIPKDNSFNEVNSSALTSAAPSGKRIPLYEVAHSRAGDKGNDLNFSIISHFPRDIDRLKMVITPNWVKNIVSHLLDTSCFPSPEAIEHRNNLLDQVNIELYEAKGINSLNVVVRNILDGGVNCSRRIDRHGKTLSDLVLCQEIMLPE >DRNTG_00428.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21928262:21933198:-1 gene:DRNTG_00428 transcript:DRNTG_00428.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGASTYLGAAPIVRCLEKYKPHVVITSRVADAALFLAPMVYELGWNWNDFKQLAQGSLAAHLLECGCQLTGGYFMHPGDEYRDISFEKLLDLSLPYAVISYEGEVFVAKTVGSGGILNYNTCAEQLLYEVGDPSAYITPDVVVDFQDVYFKPLSEDIVSCKGAKPSETALPDRLLRLVPLEYGWKAWGEISYGGHGCLKRAEAAEFLVRSWMDETYPGTKDCVSSYIIGYNSLKIGIPDIHNLSEKQTLDIRLRMDGLFKQKEHAVHFIEEFMALYTNGPAGGGGICTGQKKEIILQKELVERHNVYWKTAMSQTKTSNQCSQYPICQDFNQIHSPREHSHPFDIPKDNSFNEVNSSALTSAAPSGKRIPLYEVAHSRAGDKGNDLNFSIISHFPRDIDRLKMVITPNWVKNIVSHLLDTSCFPSPEAIEHRNNLLDQVNIELYEAKGINSLNVVVRNILDGGVNCSRRIDRHGKTLSDLVLCQEIMLPE >DRNTG_33898.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002065.1:9446:9745:1 gene:DRNTG_33898 transcript:DRNTG_33898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYQAEHRAAHERLGSNTPLGIGCVQVVPAPMCVLASPLLVSLVHIHVSLRPL >DRNTG_16340.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23210792:23216358:-1 gene:DRNTG_16340 transcript:DRNTG_16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLGGTREGYGGSRHFHDGARGRYRNNSRRGARPNTSSSHPPRNPIAPHSQPPPSSQEETQVKQLHQISHKNNQPSPSLLGLELNSGSSPATW >DRNTG_17463.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21467751:21473206:1 gene:DRNTG_17463 transcript:DRNTG_17463.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g63050 [Source:Projected from Arabidopsis thaliana (AT5G63050) UniProtKB/TrEMBL;Acc:Q6NM17] MALITHQTQVSYPKVSPKTKSWTRGVKLKASVSFQLLNRVDHVIPLEHRLRLRNHPICWMKGKSFKIKSFKGNAQNSESDGRDSSTKFSKATFQLSKTQNGREDFITESPHPEKRTLSYTSGDREDSVGSSRAIQNLFRKWLIILRSQASNQIVDVDVKKEQVQTGISQSQNMTFKKRSGQIVKAAFMFFIGLDAAISLPLLIFVPWYLTVNMVYGAEVTKELAPLWVLGPIIVALYVKIVQGLCALYVYCFKQAIRLIRNLPAYSLLVYNYISEGKLKAFIWAYFWKPIIDIKNLDYGELARQKLKQIQEWGMEKYLDYVESIWPYYCRTIRFLKKANLI >DRNTG_00869.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21854587:21875911:-1 gene:DRNTG_00869 transcript:DRNTG_00869.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVALIIPIIYFYLWGKKKMIHKDVRGNGEFELAQLQWSTLMEATHNFAKTNILGKGGFGLVYKGKLAEGREIAVKRLSRNSTQGIDEFENEVTFIAKLQHRNLVRLLGYCIKGDEKILVYEYMPNGSLDPFLFDKEKGDHLDWQTRFHIIEGIARGLLYLHQDSRLRIIHRDLKASNILLDIEMNPKISDFGLARNFGDRETMIKTRKVVGTYGYMAPEYALDGVFSMKSDVFSFGVLILEIISGQRNRVFLSSPHLYLLGKAWRLWNDGKGLDFLDPLIGNSFSMTQVMRCINIGLLCVQEKPEDRPIMSSVVIMLGNDDAPLLEPKEPGFKAIFSPKHDAALNQNELHTFNDITLTEQTGR >DRNTG_00869.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21854587:21858502:-1 gene:DRNTG_00869 transcript:DRNTG_00869.4 gene_biotype:protein_coding transcript_biotype:protein_coding MISSTMMAMTQLVTQLVFLLVIFHYSIATDTLNPNQPLRDDQALISGKEIFALGFFSPGRSKNRYVGIWYNKLQPAGQKTIVWVANRRSPLSGTNGSLELNGNGSLTINSMIFLPMPMVALTNPVAQLLDDGNFVIREANSSEFAWQSFDYPTDTLLSGMKLGWDLRTGLNRNVTAWRSYEDPSPGSYALSIDLEGIPQANFWSGSTKKWRSGPWIGIQFSNAGEQPSNYGLRFDFVHNKDEVYYMYNTTGTNFVRRALVDQSGKVKDFVWIERTGRWNHFLDYPINDCHEYSKCGPYGVCNLDVWPICRCLQGFKPKSPQEWPLMDTSSGCDRLTALDCKNRSDGFMAVTIAALPETLSTILYTNISQNECRDRCLKNCSCTAYATANISGAGLGCVIWVTEIIDLRMSPNPTQDVFVRLAAADLASISNKSSKKSQSKSVVLIIVFSMVALIIPLIYFCSWGKKKMIHKDVRGNGEFELAQLQWSTLMEATHNFAKTNILGKGGFGLVYKGKLAEGREIAVKRLSRNSTQGIDEFENEVTFIAKLQHRNLVRLLGYCIKGDEKILVYEYMPNGSLDPFLFDKEKGDHLDWQTRFHIIEGIARGLLYLHQDSRLRIIHRDLKASNILLDIEMNPKISDFGLARNFGDRETMIKTRKVVGTYGYMAPEYALDGVFSMKSDVFSFGVLILEIISGQRNRVFLSSPHLYLLGKAWRLWNDGKGLDFLDPLIGNSFSMTQVMRCINIGLLCVQEKPEDRPIMSSVVIMLGNDDAPLLEPKEPGFKAIFSPKHDAALNQNELHTFNDITLTEQTGR >DRNTG_00869.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21855077:21858502:-1 gene:DRNTG_00869 transcript:DRNTG_00869.5 gene_biotype:protein_coding transcript_biotype:protein_coding MISSTMMAMTQLVTQLVFLLVIFHYSIATDTLNPNQPLRDDQALISGKEIFALGFFSPGRSKNRYVGIWYNKLQPAGQKTIVWVANRRSPLSGTNGSLELNGNGSLTINSMIFLPMPMVALTNPVAQLLDDGNFVIREANSSEFAWQSFDYPTDTLLSGMKLGWDLRTGLNRNVTAWRSYEDPSPGSYALSIDLEGIPQANFWSGSTKKWRSGPWIGIQFSNAGEQPSNYGLRFDFVHNKDEVYYMYNTTGTNFVRRALVDQSGKVKDFVWIERTGRWNHFLDYPINDCHEYSKCGPYGVCNLDVWPICRCLQGFKPKSPQEWPLMDTSSGCDRLTALDCKNRSDGFMAVTIAALPETLSTILYTNISQNECRDRCLKNCSCTAYATANISGAGLGCVIWVTEIIDLRMSPNPTQDVFVRLAAADLASISNKSSKKSQSKSVVLIIVFSMVALIIPLIYFCSWGKKKMIHKGKLISILL >DRNTG_00869.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21855077:21893446:-1 gene:DRNTG_00869 transcript:DRNTG_00869.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALIIPLIYFCSWGKKKMIHKDVRGNGEFELAQLQWSTLMEATHNFAKTNILGKGGFGLVYKGKLAEGREIAVKRLSRNSTQGIDEFENEVTFIAKLQHRNLVRLLGYCIKGDEKILVYEYMPNGSLDPFLFDKEKGDHLDWQTRFHIIEGIARGLLYLHQDSRLRIIHRDLKASNILLDIEMNPKISDFGLARNFGDRETMIKTRKVVGT >DRNTG_24970.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6921149:6923520:-1 gene:DRNTG_24970 transcript:DRNTG_24970.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNGDDAPRVISLLKELGGRPKEESLGMVPILLSSLKSDDPRVVTQSIISGTSLFCAVLEEMALQLHNSGQIGRWLEEIWAWIIKFKDAVSSILFEVSF >DRNTG_24970.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6916347:6923520:-1 gene:DRNTG_24970 transcript:DRNTG_24970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNGDDAPRVISLLKELGGRPKEESLGMVPILLSSLKSDDPRVVTQSIISGTSLFCAVLEEMALQLHNSGQIGRWLEEIWAWIIKFKDAVSSILFEPCSLRAKMLAVKFLEICVLLLTSDVSGGEVPSIEGKDGSFNISQLVRGHSVLTASVLANESNKALGLLMEILQPKNGLHGSLKIVIINCLAAIAKNRPDHYSSILSALLNLDPSGNSMGGHPASIRYSLRTAFLGFLRCTNPSIVESRDRLLRALQAMNPGETAEQLMRHVEKMSKNLERSRDIRPGKDDSLSGQAFIFGDSIKKRHMVQDDDFNVNPDGRPAKRTGFIAPVIPNQNFQMTSGIIQDDNAAADEGDDDDSALGGFSVKASVMDSDPAPLNEMINMIVALRAERERGNRSLDILVSRIEADLLADLVIECMKNLPIKGFPLYRNQGNVPINSQASSSSLSAQAEAGVPESVSVEYSTLSSSRELTTATVTSPTNLVSDLPSLPTISSDVKRDPRRDPRRLDPRRTAVPPSGLHSLPLNSEENNEFQSGLDHSLIRTKTALEVNKVENPVSLISKSEPEIPESPFKAKTDVWNPKETSIDNSYAVETVQTLEIQGPSNPEDSATQAIDEEPVVSTPPDVTVSEDLPSSMVEADPCPSLSTTLISEDICHSRRTLPPFIELIDERRRAISKLAIMRIIGDQQSQSTSCSQARLQILARLVSLVTDGDDILTVLQQKMSSDYYRHK >DRNTG_24970.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6918025:6923520:-1 gene:DRNTG_24970 transcript:DRNTG_24970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNGDDAPRVISLLKELGGRPKEESLGMVPILLSSLKSDDPRVVTQSIISGTSLFCAVLEEMALQLHNSGQIGRWLEEIWAWIIKFKDAVSSILFEPCSLRAKMLAVKFLEICVLLLTSDVSGGEVPSIEGKDGSFNISQLVRGHSVLTASVLANESNKALGLLMEILQPKNGLHGSLKIVIINCLAAIAKNRPDHYSSILSALLNLDPSGNSMGGHPASIRYSLRTAFLGFLRCTNPSIVESRDRLLRALQAMNPGETAEQLMRHVEKMSKNLERSRDIRPGK >DRNTG_24970.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6920089:6923520:-1 gene:DRNTG_24970 transcript:DRNTG_24970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNGDDAPRVISLLKELGGRPKEESLGMVPILLSSLKSDDPRVVTQSIISGTSLFCAVLEEMALQLHNSGQIGRWLEEIWAWIIKFKDAVSSILFEPCSLRAKMLAVKFLEICVLLLTSDVSGGEVPSIE >DRNTG_24970.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6917283:6919988:-1 gene:DRNTG_24970 transcript:DRNTG_24970.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGKDGSFNISQLVRGHSVLTASVLANESNKALGLLMEILQPKNGLHGSLKIVIINCLAAIAKNRPDHYSSILSALLNLDPSGNSMGGHPASIRYSLRTAFLGFLRCTNPSIVESRDRLLRALQAMNPGETAEQLMRHVEKMSKNLERSRDIRPGKDDSLSGQAFIFGDSIKKRHMVQDDDFNVNPDGRPAKRTGFIAPVIPNQNFQMTSGIIQDDNAAADEGDDDDSALGGFSVKASVMDSDPAPLNEMINMIVALRAERERGNRSLDILVSRIEADLLADLVIECMKNLPIKGFPLYRNQGNVPINSQASSSSLSAQAEAGVPESVSVEYSTLSSSRELTTATVTSPTNLVSDLPSLPTISSDVKRDPRR >DRNTG_24970.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6904963:6923520:-1 gene:DRNTG_24970 transcript:DRNTG_24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNGDDAPRVISLLKELGGRPKEESLGMVPILLSSLKSDDPRVVTQSIISGTSLFCAVLEEMALQLHNSGQIGRWLEEIWAWIIKFKDAVSSILFEPCSLRAKMLAVKFLEICVLLLTSDVSGGEVPSIEGKDGSFNISQLVRGHSVLTASVLANESNKALGLLMEILQPKNGLHGSLKIVIINCLAAIAKNRPDHYSSILSALLNLDPSGNSMGGHPASIRYSLRTAFLGFLRCTNPSIVESRDRLLRALQAMNPGETAEQLMRHVEKMSKNLERSRDIRPGKDDSLSGQAFIFGDSIKKRHMVQDDDFNVNPDGRPAKRTGFIAPVIPNQNFQMTSGIIQDDNAAADEGDDDDSALGGFSVKASVMDSDPAPLNEMINMIVALRAERERGNRSLDILVSRIEADLLADLVIECMKNLPIKGFPLYRNQGNVPINSQASSSSLSAQAEAGVPESVSVEYSTLSSSRELTTATVTSPTNLVSDLPSLPTISSDVKRDPRRDPRRLDPRRTAVPPSGLHSLPLNSEENNEFQSGLDHSLIRTKTALEVNKVENPVSLISKSEPEIPESPFKAKTDVWNPKETSIDNSYAVETVQTLEIQGPSNPEDSATQAIDEEPVVSTPPDVTVSEDLPSSMVEADPCPSLSTTLISEDICHSRRTLPPFIELIDERRRAISKLAIMRIIGDQQSQSTSCSQARLQILARLVSLVTDGDDILTVLQQKMSSDYYRHKGHELAIKILYQLFTIIHSEFDEHATSVASSIYDNFLLSLARSVLDSLPSSDKSFGRLLAEAPYLPHSVIMLLEDLCHSHGYDRNGKDTFDGDRVTQGLGAVWSLILGRPLYRQACLDIALKCAVDSQDEVRAKAVRLVANKLYPLDYASEHIEHFAKSLLLSVVDQRGFEAELKQENSNEERKETGNLETSFSPRENTEVGVSGMDSTIGIQSLKAAPLSTPTHVQHQTPLFFALCSKKPSLLQLVFDLYGRSPKAVKQSVHRHLPALVRTLGPSYSEILHIVSNPPEGSEDLIMLVLDILTENVTPAADLVAAVKHLYETKLKDAAILIPMLSSFSKDEVLPIFPQLVGLPLEKFQMALARILQGSAHTGPALTPVEVLVAIHGIVPEKDGIALKKITDACTACFEQRTVFTQQVLAKSLNLMVEQVPLPLLFMRTIIQAIDAFPNLVDFVMGILSKLVGRQVWKMPKLWPGFMKCLSQTPPHSYNVLLQLPTPQLEICLNRYGNIRSHLAAYVNQQNIGASLPRTTLKALGLVNERGPLPFGQANMHASETSSSIHGPAPT >DRNTG_30827.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:500943:502531:-1 gene:DRNTG_30827 transcript:DRNTG_30827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRIRSEETKETMRFEIPDPCSFSDLKTLIASKLSSSSNGLAPQSIRLTLNRKDELSPDSPSATLRSLGITSGDLIFFSFDPSLVLDPMGIDSNEKVVPVAPISGSVEELLGSEKKLEEGTSSQGETLDVDVEDGSELSEEDVETFVIEKSSSVPCFLKRVFDLEKGVAKGNLGLVVIAVHAVFLESGFVALDGSGLKLPGGWATAVGTISVSYTLPELIGSDAEKDAKVAVLKFSLMGTYVSIYGFLNVGGSGVHRACLDVSKLLPLLCLPMDSIGEMEEEVVFKFWKGVKDGVSLPLLIDICDKNGLPPPPCLACLPTDLKIRILELLPGVDLARVGCVNSEMRYLTSNDELWRKKFLEELGPVNENGGVVARWRDKYAMHWLRMKEIKRGRLFLRPHSSFRRYIRFRPFTGGRPYLNDVDDFGLGPRSGFLPRRRRNISLHCDFDQHDAGFRY >DRNTG_16249.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000803.1:3714:7689:-1 gene:DRNTG_16249 transcript:DRNTG_16249.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSPTLVTYPRGASPPPDHLSGPRHRRAQHLSSTSLALVTSAHKAS >DRNTG_30645.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31400533:31402611:-1 gene:DRNTG_30645 transcript:DRNTG_30645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFLFFTTFKILSILILFNFPIPSFCVEVHIKRSLIDFIQKLSGDNSDDIAKTFGWTISTDPCTHQWNGVSCNNETGTLKKIILENKGFNGSIDASSLCRAPRLTVVSLHHNELQGPLLPEISDCKQLTHLLINGNKLSGSLPSSISNLDNLKRVDISGNNFSGELPNLSLISGLKSFLAQDNQLSGSIPQLDFEFLDDFNISGNLFTGKIPKDAAKFGAESFSGNPGLCGEPLARVCQDETTASDESKAIPGEKLAMIIGYAFLGFVVILFLAFMIYKKIDNKKKERTNTSVNPINASESITERSPYSIDGLLNMDSPLIASALVVLKPNMSIPELSFENLLKAPAELLGKNKNGSTYKVVLEEVGSTFVVKRVKELKMSEDEFSKRMLRIDRTRHESVLSAVAFYCSKNEKLVLYDYQQNGSIFNLLHGNFNANHQPLDWYKRLDIASRVANGLAFMHKELEDINLSHGNLKSTNILMGIDINPVISEYGLTVQSGTKKNDFMDDVFNFGVILLELLTGKVVQNNGTELAQWVQSVVKEEWTVEVFDKFIVGDTANEETMVRLLKVALLCMNSGHASGPSMAGVASMIDELREDEEEGSIVSLKCDN >DRNTG_30645.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31400533:31401214:-1 gene:DRNTG_30645 transcript:DRNTG_30645.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKELEDINLSHGNLKSTNILMGIDINPVISEYGLTVQSGTKKNDFMDDVFNFGVILLELLTGKVVQNNGTELAQWVQSVVKEEWTVEVFDKFIVGDTANEETMVRLLKVALLCMNSGHASGPSMAGVASMIDELREDEEEGSIVSLKCDN >DRNTG_19516.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2899476:2901602:-1 gene:DRNTG_19516 transcript:DRNTG_19516.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFGRAGEVDQVLRLLREMKDSGLTPNVFCYNTAINSLVFADRRVEAEELFEEMKSSGVRPNVSSYNILVKMHSCYSFQFDKACSVIDDMVINRLRPDSMTYSTLITGLCRVGRIDEALDFLATMWEENCPPSVQTFTAIVHGFCLQGNLDNARGFMEFMDSKQCPPNVVTYNVFVEALCKVGRFDQVEKVLEESKLKGWKPNVVTYNTYMNGLCKWGKARDAFGLLNVMLENGLYPTVVTLNILLECLCRESNFLVVKYLLERSYELKWDIGVVGYNTVMSCLSDAGRWWDVLKLFIDMVKKGINPNTRTFNIFIHCLCEAGNFCLAKHLFYKGGFVADVVTYNTLIHWFYLEKNKKEIQALESKMVLEGISPNIITYTILINGLCRERKFSEATNLFLGSFEITDCKVGDLFDLLEVMSRQGFTFDAFIFNGIIRAFCRGGSYQSGDLPKLCLIIDKILTFR >DRNTG_19516.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2898649:2901602:-1 gene:DRNTG_19516 transcript:DRNTG_19516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFGRAGEVDQVLRLLREMKDSGLTPNVFCYNTAINSLVFADRRVEAEELFEEMKSSGVRPNVSSYNILVKMHSCYSFQFDKACSVIDDMVINRLRPDSMTYSTLITGLCRVGRIDEALDFLATMWEENCPPSVQTFTAIVHGFCLQGNLDNARGFMEFMDSKQCPPNVVTYNVFVEALCKVGRFDQVEKVLEESKLKGWKPNVVTYNTYMNGLCKWGKARDAFGLLNVMLENGLYPTVVTLNILLECLCRESNFLVVKYLLERSYELKWDIGVVGYNTVMSCLSDAGRWWDVLKLFIDMVKKGINPNTRTFNIFIHCLCEAGNFCLAKHLFYKGGFVADVVTYNTLIHWFYLEKNKKEIQALESKMVLEGISPNIITYTILINGLCRERKFSEATNLFLGSFEITDCKVGDLFDLLEVMSRQGFTFDAFIFNGIIRAFCRGGSYQSGDLPKLCLIIDKILTFR >DRNTG_09562.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22611716:22616103:1 gene:DRNTG_09562 transcript:DRNTG_09562.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasmodesmata callose-binding protein 5 [Source:Projected from Arabidopsis thaliana (AT3G58100) UniProtKB/TrEMBL;Acc:A0A1I9LSI7] MPTLSLLFLFFISSLCARAHPHPQEKDDASAGASGMPLWCVAKNNAEDSALQSALDWACGQGGADCSQIQPGGPCFQSKDVQSLASFAFNDYFLRRGSSPSDCDFAGSAALTSLDPTSGSCRFPSSSLAGNGNFVGSTNTSLGPYGADLNSATPLLFCKSWKEVVMMSLLLLVHAAVSKEMLFSGD >DRNTG_33359.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26549098:26553474:-1 gene:DRNTG_33359 transcript:DRNTG_33359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCILFTSGHRHKLVSLFSDLVLSKPGVDFSFPDLFDTLSRFLNRSGKLLFVLDALIRAFTVCNKPREAFDAVVQLAGRGFVPSPKSCNFLLNFMVESSDFDLVMAVYEQMKNFGMSPDAYRFTILIKALCRGGKFDAAFIVLEEMKETGVMPDVITYTTLIEGLCASGKSEIGSALLQTIIQKGVPVDSVLYGKVISALCKELRLQEAEKLLQDMVKRDVPADAFSYGCLIRGYCTTGNAADLLRALELYDEMVSNYVSPNYFVVSFILQCFSKRGMDSEALEYFQMFKVSGGYLDKVLYNFALNAHCKLGNMDDAIELIEEMKGQGIVPDKIHYTILICGYCRNGEMYNAQKVFSSMVKLNVEPDLVTYNVLVGGFCKHGFVKEAYELMDYMIERGLEPNAVTYSVAIENLCKGGRLKEAKELFKGLKEKGIAQEVILFSTMVCGFLELACAQDAYELFVQLSKQGYFVDQLVCSKLVGELCKENCVDEASTVFNLMLARNVTPDEFAYNSLISAYCQKGDMRHAQFWFDDLVNRGLNPDVILYTTLMNGYCKVDQIQKTHDLFIEMQEKGIKPDVVTCTVMLDVCLKQVQHEFWLSPNRENRKLSFKAKSSKLLNDMKASELEPDVVCYTQLIDGLCKMDYFQDALNLFDEMRGKGLRPDAFTYTSLANGYCHQGEVGKAEALVEEMINKGIEVDHITLSILKRGNRKYRQLQIQR >DRNTG_03536.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:247306:252019:1 gene:DRNTG_03536 transcript:DRNTG_03536.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAAQKKTRDRDSSPLSILLFSLIGATATTVAVFRLRRAINHFYNQVRSNSSSSWNTTGSSTRGSFREESWNRFNRRMQDEYEEEMERVERIRRMQSVFNRARSKQNKEYESWRESGPGPYQHFQRDDWYWKSDPSYKNHGTNYRSTMKDTGNYAMSHHYSVLGLDRARVEPYSDAEIKTAFRAKAMEFHPDQNQGNKEQAEAKFKEVMASYEAIKLERKNGSC >DRNTG_33956.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:990054:991619:-1 gene:DRNTG_33956 transcript:DRNTG_33956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSFLSTSSILHPSGSTSSTSNTKLSTRATFFSFPYNCNKANHTISFSCSANPSPSSAASTEPPATKPLIELQFIGTKPAEDGSFPVDMASAISGEKLLRNIMLDNNIELYAAYGKVMNCGGGGSCGTCIVEVVEGQELLNERTDTEKRYLKKKPETWRLACQTIVGNKVNAGKVVVQRMPQWKK >DRNTG_10729.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1954484:1956854:1 gene:DRNTG_10729 transcript:DRNTG_10729.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP,ATP carrier protein ER-ANT1 [Source:Projected from Arabidopsis thaliana (AT5G17400) UniProtKB/Swiss-Prot;Acc:Q8LB08] MPAMPSTAARRMPADFAMGGVAAVVAKSVAAPVERMKLLLQNQQEMLNRGYLAKPYKGIGDCSRRVLYEEGVLAFWRGNQANVIRYFPTQAFNFAFKGYFQSIYGRSKEKDGYLRWFIGNVASGSAAGATTSLFLYHLDFVRTRLGTDAIECQANIQRQYKGLFDVYQKTLSTDGVAGLYRGFGVSITGITLYRGLYFGIYDTMKPIVLVGPLQGNFLASFLLGWSVTTFSGICAYPFDTLRRRLMLTSGHPSKYKSAIDAFRHIVRHEGFFALYRGVGANMLSGMAGAGVLAGYDQLHRFASRQGYSFGYKMPES >DRNTG_10729.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1954484:1956854:1 gene:DRNTG_10729 transcript:DRNTG_10729.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP,ATP carrier protein ER-ANT1 [Source:Projected from Arabidopsis thaliana (AT5G17400) UniProtKB/Swiss-Prot;Acc:Q8LB08] MPAMPSTAARRMPADFAMGGVAAVVAKSVAAPVERMKLLLQNQQEMLNRGYLAKPYKGIGDCSRRVLYEEGVLAFWRGNQANVIRYFPTQAFNFAFKGYFQSIYGRSKEKDGYLRWFIGNVASGSAAGATTSLFLYHLDFVRTRLGTDAIECQANIQRQYKGLFDVYQKTLSTDGVAGLYRGFGVSITGITLYRGLYFGIYDTMKPIVLVGPLQVSIELIFFMWSNQ >DRNTG_09689.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1429498:1430463:-1 gene:DRNTG_09689 transcript:DRNTG_09689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFQASIPKTVGTVPDKIYGLVLCRGDTNATTCRNCLDVARQDVIQICPNNKGGLVWYDPCFLRYSNQNFLSSTDNSNPPILLNTQNVSEPEKFNKLVVELMDMIAQFASYNSSRRFATGEANFTVSNPKIYGLTQCTPDLSGDQCYRCLQGAFNVISSLADKQGLRVLGVRCNFRYELYSFFEGKSMVLLSASSPQSNGMNTTITPTVKEE >DRNTG_08176.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1681312:1683617:-1 gene:DRNTG_08176 transcript:DRNTG_08176.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D alpha 4 [Source:Projected from Arabidopsis thaliana (AT1G55180) UniProtKB/Swiss-Prot;Acc:Q9C888] MEKEQTLKFLHGTIEATIFNATPPSSSCSFNCAFLGKEPAYVTIKLGETKVAETSREIDRIWNQSFRILCAHPSNATLEFTLRTKISVLGYIIISANSHLSDVCFPLLTKKGKCNTQLKIKLSLKFNSAESDSKNLEFIDGATFPPRSNCRVILYQDAHHRSSFFPPNRAQTGKIYRPRRLWEDVFKAIDGAKHLIYIAGWSLNPKLVLVRDCDTEIARAQGITLGELLKRKAEEGVEVRIMLWDDETSINILKNQGVMRTHDEDAFNYFKNTKVVCKLRPRVQHKLIPTVFAHHQKTIMTDIGADFFEDDHKDEKCRHIISFVGGLDLCDGRYDTEEHSLFRTLNLKSHCCDFYQTSIHGAVISKGGPREPWHDVHACLVGEAALDVLTNFKQCWEKQCEPNSLLCYNKHLQSFTHPNNSFNWNVQVFRSIDKSSIKIQNNQSSVEHSIHDAYVKAIRSAKRFIYIENQYFIGGCHLWSKDRHSGCKNLIPLEIALKVAKKIKERDRFAVYIVIPMWPEGTPESETVQDILHWTRLTMEMMYRVIGEAIVKSGVEAHPRDYLNFFCLANREIESCDEFVPPCSPPHSTNYSKSQKSRRFMIYVHSKLMIGMLLFTTVSYIQSLLYIATLT >DRNTG_08176.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1680784:1683617:-1 gene:DRNTG_08176 transcript:DRNTG_08176.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D alpha 4 [Source:Projected from Arabidopsis thaliana (AT1G55180) UniProtKB/Swiss-Prot;Acc:Q9C888] MEKEQTLKFLHGTIEATIFNATPPSSSCSFNCAFLGKEPAYVTIKLGETKVAETSREIDRIWNQSFRILCAHPSNATLEFTLRTKISVLGYIIISANSHLSDVCFPLLTKKGKCNTQLKIKLSLKFNSAESDSKNLEFIDGATFPPRSNCRVILYQDAHHRSSFFPPNRAQTGKIYRPRRLWEDVFKAIDGAKHLIYIAGWSLNPKLVLVRDCDTEIARAQGITLGELLKRKAEEGVEVRIMLWDDETSINILKNQGVMRTHDEDAFNYFKNTKVVCKLRPRVQHKLIPTVFAHHQKTIMTDIGADFFEDDHKDEKCRHIISFVGGLDLCDGRYDTEEHSLFRTLNLKSHCCDFYQTSIHGAVISKGGPREPWHDVHACLVGEAALDVLTNFKQCWEKQCEPNSLLCYNKHLQSFTHPNNSFNWNVQVFRSIDKSSIKIQNNQSSVEHSIHDAYVKAIRSAKRFIYIENQYFIGGCHLWSKDRHSGCKNLIPLEIALKVAKKIKERDRFAVYIVIPMWPEGTPESETVQDILHWTRLTMEMMYRVIGEAIVKSGVEAHPRDYLNFFCLANREIESCDEFVPPCSPPHSTNYSKSQKSRRFMIYVHSKLMIVDDEYIIIGSSNVNQRSMDGERDTEIAIGGYQHKFHDSKTNNGDVHDFRMSLWYEHFGEIEDIFLQPESIDCVKRILKIGDEMWKIYSGDEVIAMGGKHIVNYPINVSKNGVVDDLIEINGVFPDTKALIKGRRSRALPPICTT >DRNTG_30306.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16645688:16653394:-1 gene:DRNTG_30306 transcript:DRNTG_30306.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 5 [Source:Projected from Arabidopsis thaliana (AT2G38720) UniProtKB/Swiss-Prot;Acc:Q9ZVJ3] MTLLRAEFTSCGSLLRELQDLWDDIGETDHEKDRMILQLEQECFDVYRRKVEQAKKHKSDLQRSVAEGESEVSNLISALGEQESLKLVRKPNSSLKEQLAAINPLLEDLRRKKEEKIQEFLDVESKIAKLCLEIAGSAQQGTPVLPQLDERDLTLNRLGKLNSQLQELLKDKSLRLQKVNSHMKAIHELSTIMSIDFSKMMSEVHPSYGDLANSHPKSISNDSLAKLAGIVQSLKQEKKQRLEKLQLLGSTLIELWNLLDTPTDEQKIFDHITSLISESVNTVLGQACLALDVIEQAKLEVQRLNILKASKMKELVLKKQSELEQIYSSVHMDADGDLERQMLIGLIDSGKADLSELLSNMDDNIIKAKEHALSRRDILEKVEKWTYASAEESWLDDYERDQNRYSAGRGAHKNLKRAEKARILVNKIPSLLENLTSKVKAWEKEKGMTFLYNKVPLLVTLDGYIVLRQQREEEKRRLREQKKIQEQFAAEQEALFGSKPSPLRPFTARKPLGQSSNGNTVGGTPINHRVSTPLARQAISSSGKEKKDHVKVGNAIPVNYVALPKEDSLSHNNSSAIVSP >DRNTG_30306.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16652279:16653394:-1 gene:DRNTG_30306 transcript:DRNTG_30306.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 5 [Source:Projected from Arabidopsis thaliana (AT2G38720) UniProtKB/Swiss-Prot;Acc:Q9ZVJ3] MTLLRAEFTSCGSLLRELQDLWDDIGETDHEKDRMILQLEQECFDVYRRKVEQAKKHKSDLQRSVAEGESEVSNLISALGEQESLKL >DRNTG_30306.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16648752:16649266:-1 gene:DRNTG_30306 transcript:DRNTG_30306.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 5 [Source:Projected from Arabidopsis thaliana (AT2G38720) UniProtKB/Swiss-Prot;Acc:Q9ZVJ3] MKELVLKKQSELEQIYSSVHMDADGDLERQMLIGLIDSGKADLSELLSNMDDNIIKAKEHALSRRDILEKVEKWTYASAEESWLDDYER >DRNTG_30306.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16645688:16646707:-1 gene:DRNTG_30306 transcript:DRNTG_30306.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 5 [Source:Projected from Arabidopsis thaliana (AT2G38720) UniProtKB/Swiss-Prot;Acc:Q9ZVJ3] VPLLVTLDGYIVLRQQREEEKRRLREQKKIQEQFAAEQEALFGSKPSPLRPFTARKPLGQSSNGNTVGGTPINHRVSTPLARQAISSSGKEKKDHVKVGNAIPVNYVALPKEDSLSHNNSSAIVSP >DRNTG_30306.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16645688:16649266:-1 gene:DRNTG_30306 transcript:DRNTG_30306.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 5 [Source:Projected from Arabidopsis thaliana (AT2G38720) UniProtKB/Swiss-Prot;Acc:Q9ZVJ3] MKELVLKKQSELEQIYSSVHMDADGDLERQMLIGLIDSGKADLSELLSNMDDNIIKAKEHALSRRDILEKVEKWTYASAEESWLDDYERDQNRYSAGRGAHKNLKRAEKARILVNKIPSLLENLTSKVKAWEKEKGMTFLYNKVPLLVTLDGYIVLRQQREEEKRRLREQKKIQEQFAAEQEALFGSKPSPLRPFTARKPLGQSSNGNTVGGTPINHRVSTPLARQAISSSGKEKKDHVKVGNAIPVNYVALPKEDSLSHNNSSAIVSP >DRNTG_30306.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16645688:16646199:-1 gene:DRNTG_30306 transcript:DRNTG_30306.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 5 [Source:Projected from Arabidopsis thaliana (AT2G38720) UniProtKB/Swiss-Prot;Acc:Q9ZVJ3] MVPHNFRSRRRSRSSLLQSRKLCSGQNRAP >DRNTG_31662.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:944581:948354:-1 gene:DRNTG_31662 transcript:DRNTG_31662.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNCTDISRLYPQGHKVYSVNGVQTTGWFPVDYNAAQLVNVSLVQGIFSRTDKFDSVFPILGVEDVVTQFQPPGYWLNVQHDLFYTQHNLSMRSYVLSVSKSIIVDYISSPEVRFLSSIANRFNGSKTKLVFRFLGQNIQEPTTNQTYGSLLKNLTFIKTFASGILVPKNYIWPLTPDQYLQPHTSVVADAHKAKLEVYASEFANDGTIAYNYSYDPLVEYLNFVDNGDFSVDGVLTDFPITPAEAINCYSHLNKNSSGLAKPVIISHHGSSGIYPECTDLAYQQAVSDGSDFIDCPVQITQDGVLVCMGSINLMDDTTVTKSSFNTRLTTIPEIQTNPGIFTFNLTWEEIQTLKPMIENPEVTYLLYRNPRSANAGNFMTLSSFLSFTKDKKLSGVLIEIENAAFVAEKLGASVTDAVISALNDSGYSNQTTQQVIIRSSNSAVLVKLKQQTKYKLEYMVDESIRDADNASITDIKKFADSVSINKQSIFPKTAGFITTQTGVVKRLKSAGLAVNVYFLQNEFVSQAWDFFSDPYSEITNFVNVNGANVDGIITDFPATTNLYRRNACQNMGNNTPTYMLPVQVGPQGLIGLIKDPRAQPPALAPMPVLDAADVVEPPLPSAAVKPPASVTPPTSTPPPPPSGGNKCNVSFLLVSIFVIFGS >DRNTG_07700.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23107297:23108057:-1 gene:DRNTG_07700 transcript:DRNTG_07700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPVIHASHSDSLKDVVVKIVQNQISSIPVFDPSSGDASSIPILTLASLPEILKYISERCQDPMRILPLLEQPISRIPIGTWLPGTGGRSDGHVVAFLKFDEPVTSAFQIFLQGNFNSWVLSEYLVYHSGEQLLFKKCFISF >DRNTG_07700.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23105736:23109548:-1 gene:DRNTG_07700 transcript:DRNTG_07700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYELGLPVVPIWDPFRTTVGGMLTASDFIYILRELQEDALIQSNEDLDKCTISDWKQFKFQQRNPVGSLAMQSPVIHASHSDSLKDVVVKIVQNQISSIPVFDPSSGDASSIPILTLASLPEILKYISERCQDPMRILPLLEQPISRIPIGTWLPGTGGRSDGHVVAFLKFDEPVTSAFQIFLQAKISSIPVVDCHGSLIDVFSRSDILTLTKGDVSAHAQLDQLTMDQALQLVYHNSGPRPSWTCYCSSTLREVIEQLSNLVVRRLVIVDPISKQLRGIISLRDVLMILL >DRNTG_07700.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23109641:23110085:-1 gene:DRNTG_07700 transcript:DRNTG_07700.4 gene_biotype:protein_coding transcript_biotype:protein_coding QYRFLIDGVCRYDEMLPYVADDYGMINNLLVTLEENTMPLTLQDDPQMVRSTDVRTALYAGSPSSTIPDTLAAELLDVFIQYSRCWVSTILSNNTVYDMLPVSSK >DRNTG_07700.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23105736:23111038:-1 gene:DRNTG_07700 transcript:DRNTG_07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVRILWPHGSQQASVTGSFVSWEQHYVMFPLENSPGSFQLFLDLPVGFHQYRFLIDGVCRYDEMLPYVADDYGMINNLLVTLEENTMPLTLQDDPQMVRSTDVRTALYAVVLFDAQLSVKQGFQIMYELGLPVVPIWDPFRTTVGGMLTASDFIYILRELQEDALIQSNEDLDKCTISDWKQFKFQQRNPVGSLAMQSPVIHASHSDSLKDVVVKIVQNQISSIPVFDPSSGDASSIPILTLASLPEILKYISERCQDPMRILPLLEQPISRIPIGTWLPGTGGRSDGHVVAFLKFDEPVTSAFQIFLQAKISSIPVVDCHGSLIDVFSRSDILTLTKGDVSAHAQLDQLTMDQALQLVYHNSGPRPSWTCYCSSTLREVIEQLSNLVVRRLVIVDPISKQLRGIISLRDVLMILL >DRNTG_26012.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23130375:23134214:-1 gene:DRNTG_26012 transcript:DRNTG_26012.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:WXR1 [Source:Projected from Arabidopsis thaliana (AT2G31190) UniProtKB/TrEMBL;Acc:A0A178VQM6] MAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVVGMGVGIQLASTVCSSLQGKLLVAPLLSAIHIYSVTEEMRAAPVNTLNPQRTAMIVANFVKTGRISSPADLRYRENLLFPDRIIEEAGNVKVGLPLRNVMQNFSKLKELKEIFPDEKFLISHKGKKTCMILEHNATGEDALRGWLVAAFAAADLEKSNTQSQTVMMSAYAKMETIFPMLLSELKTRGWHTDQFLDGNGCRFSF >DRNTG_26012.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23130349:23131189:-1 gene:DRNTG_26012 transcript:DRNTG_26012.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:WXR1 [Source:Projected from Arabidopsis thaliana (AT2G31190) UniProtKB/TrEMBL;Acc:A0A178VQM6] LKIQRESSISRSHNRGSRKRQSWASIAQCHAEFFEAQRA >DRNTG_26012.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23130375:23134214:-1 gene:DRNTG_26012 transcript:DRNTG_26012.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WXR1 [Source:Projected from Arabidopsis thaliana (AT2G31190) UniProtKB/TrEMBL;Acc:A0A178VQM6] MDCILDRLKGRKRKEQQREELRRPDFWVETSDSVSHLCRFDADGHLSVKVLTDSRPVVQRVVESFLNKFFPLGYPYSVNEGYLTYTQFRALQHFSSAALSVLSTQSLLFAAGLRPTPAQATAVSWILKDGMQHAGKLICSSMGARMDAEPKSWRILADVLYDLGTGLEVLSPLCPNLFLEVAGLGNFAKGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVVGMGVGIQLASTVCSSLQGKLLVAPLLSAIHIYSVTEEMRAAPVNTLNPQRTAMIVANFVKTGRISSPADLRYRENLLFPDRIIEEAGNVKVGLPLRNVMQNFSKLKELKEIFPDEKFLISHKGKKTCMILEHNATGEDALRGWLVAAFAAADLEKSNTQSQTVMMSAYAKMETIFPMLLSELKTRGWHTDQFLDGNGCRFSF >DRNTG_26012.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23130375:23134214:-1 gene:DRNTG_26012 transcript:DRNTG_26012.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:WXR1 [Source:Projected from Arabidopsis thaliana (AT2G31190) UniProtKB/TrEMBL;Acc:A0A178VQM6] MAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVVGMGVGIQLASTVCSSLQGKLLVAPLLSAIHIYSVTEEMRAAPVNTLNPQRTAMIVANFVKTGRISSPADLRYRENLLFPDRIIEEAGNVKVGLPLRNVMQNFSKLKELKEIFPDEKFLISHKGKKTCMILEHNATGEDALRGWLVAAFAAADLEKSNTQSQTVMMSAYAKMETIFPMLLSELKTRGWHTDQFLDGNGCRFSF >DRNTG_26012.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23130375:23132314:-1 gene:DRNTG_26012 transcript:DRNTG_26012.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:WXR1 [Source:Projected from Arabidopsis thaliana (AT2G31190) UniProtKB/TrEMBL;Acc:A0A178VQM6] MAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVVGMGVGIQLASTVCSSLQGKLLVAPLLSAIHIYSVTEEMRAAPVNTLNPQRTAMIVANFVKTGRISSPADLRYRENLLFPDRIIEEAGNVKVGLPLRNVMQNFSKLKELKEIFPDEKFLISHKGKKTCMILEHNATGEDALRGWLVAAFAAADLEKSNTQSQTVMMSAYAKMETIFPMLLSELKTRGWHTDQFLDGNGCRFSF >DRNTG_26012.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23130375:23134214:-1 gene:DRNTG_26012 transcript:DRNTG_26012.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WXR1 [Source:Projected from Arabidopsis thaliana (AT2G31190) UniProtKB/TrEMBL;Acc:A0A178VQM6] MAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVVGMGVGIQLASTVCSSLQGKLLVAPLLSAIHIYSVTEEMRAAPVNTLNPQRTAMIVANFVKTGRISSPADLRYRENLLFPDRIIEEAGNVKVGLPLRNVMQNFSKLKELKEIFPDEKFLISHKGKKTCMILEHNATGEDALRGWLVAAFAAADLEKSNTQSQTVMMSAYAKMETIFPMLLSELKTRGWHTDQFLDGNGCRFSF >DRNTG_06619.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:468245:471075:1 gene:DRNTG_06619 transcript:DRNTG_06619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSQAPNGALSARRCTSRPPLRFSTSKTPLFSRPKPSIAPPRRPLLSFSTSNGAASDPAPPSTSPVSSSTQIDETVFVGDENVPLEGVIQFDKPSGPSKLLSWVQVGILAGGDVLCLLLFAAFGRFNHGMSVVDFETARTADPFVAGWLLSAYFVGAYGDDAKGVNGLAKAIVAGAKSWAVGIPLGLAIRAATSGHIPPTPFILVTMGSTGVLLIGWRALICNFLPKMHSQRSDVYRRGSPFELFELLTSLVRRW >DRNTG_26508.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:764203:765581:1 gene:DRNTG_26508 transcript:DRNTG_26508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFLLWPAAPSSSPERSHIPDQEPDPGQDPTPLPIAKPKARKAGGKQPAPKRPPQRGLGVAQLERLRLQERWSKITDPEFHPSPPQLPPYSPPAPNFDGVPPVWNDHPPGSPGYCYIQRYRALNPVIPPYGSLPTGRSVLHDQYAMDRIRFSGSGLQAASPPSVIEPPSNQMPQCLSSHCELCTRNQFSGEDHGAAAKVVHQETEPDTTSTREMEYYEFLPHCGGVSHGDSEFAHRTAEDGSSSSPDFIDLSLKLSF >DRNTG_34120.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11126848:11127711:1 gene:DRNTG_34120 transcript:DRNTG_34120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHDPQVHARQQVFLYRNFHSWHHRVVAPYAFAAQYNHPLDGFLTETLGGALAFFISSMSPRTSVFFFSFATIKGIDDHCGHLLPLNPFHLLFSNNTAFHDVHHQPSGTKCNFSQPFFVVWDKIFGTYVPYTISMREGGGYEAKIAKRSCQA >DRNTG_03475.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:881239:882734:1 gene:DRNTG_03475 transcript:DRNTG_03475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSSSIASPPPQSIITLSPPLSQPDANSNPPTSPASPVSSNSPPPASSPPPPPLLSSPAGSPPPIISPPPPSIASPPPVSAPPPPSIPPPTPALSPPPPSAVPPSTSPPPPSAIPPSASPPPPSAIPPSTSPPPPSLPSSPPPPVASPPAVVTPPSPIKAQSPPPPSALPPTSSSPPPPSNPATPPTTKPETPKGSPPASPPGNSSSSSTPLTPNVPPPAPGASLPQLSPPAPSGDPSTPTSPGGNKSITPGPNGTVRTGGGGVKSGAAITISVVAALLVLSCIGAAIWFVRKRRRSAGRYNGGYVMPSP >DRNTG_03585.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:12737000:12740245:-1 gene:DRNTG_03585 transcript:DRNTG_03585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHMHSIFKCVVVDLVVETDNVPLSNPNENKFYSFVGRNSDSASAPVQPHGDPDGVGCLPSSSDHSEVLSLDIGQRFDGVEHFRDVLRDFAIKRNFDFKFIKNEKHRVTVEYAADGCHWRLHASKEFNKNTFKINTINPSHTCGVGVGSASHQKAYKKWVSARVIQKLKDRPLYKAIDIQKDMLREHGVHIPYKQAWLGKEHARVVLDGSDISSYDLLLWYVDKVAETNPGSVAIVERDVGTLRSPTRRTPYRHKCRIDSAVEICKLRLEYRLNTKHY >DRNTG_18110.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:403609:404842:1 gene:DRNTG_18110 transcript:DRNTG_18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVHGKPCSSGSATPPSDQEDMDLRRGPWTMEEDFMLINYISNHGEGRWNSLARQAGLKRTGKSCRLRWLNYLRPDVRRGNITPEEQLLILELHSRWGNRWSKIAQQLPGRTDNEIKNYWRTRVQKHAKQLQCDVNSKQFKDVVKYIWMPRLLERIHATGSSHNNIYQYSSINGGGGGGVLNQGNINLSLINGKEVEDKGSVVMEEEEEEEEKEMVSSSSSCLWSESLLSPSSSGCGNDQSVLMDFHEVERWWDGAGHMISDNLWNVGHEF >DRNTG_16443.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7733963:7735190:-1 gene:DRNTG_16443 transcript:DRNTG_16443.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNMSLSTYWEQQEIVNEQFKPSISLPQWGIRKHRTESKGVEKHDIDVRMKAENPNKNDLSQTEDESDHADAALHGSPLFKKIHRHMRRNSQNIEPRALVHAMESVVTGKQENRLPNGDVREGKEGKNVTEPDFRRSKSLPRGKFVMIS >DRNTG_16443.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7733963:7735442:-1 gene:DRNTG_16443 transcript:DRNTG_16443.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVHINPEVNAYGETISTLKFAERVASIELGAARANKETGEIKELKEEISKLKSALESKESEVVQLKDHSCRLALEVQNQRTASPLHLQKSANNVNLKDEVAQKPKSENKKIESRSCSSGKQRRPRFPHPLSEKELVSKSSFSNGDSYSNSRKTRSPSPARRSASTDRASIIKSKQRIESISERSILKPQFPEKVPTVRSAVIVPTMIKNMSLSTYWEQQEIVNEQFKPSISLPQWGIRKHRTESKGVEKHDIDVRMKAENPNKNDLSQTEDESDHADAALHGSPLFKKIHRHMRRNSQNIEPRALVHAMESVVTGKQENRLPNGDVREGKEGKNVTEPDFRRSKSLPRGKFVMIS >DRNTG_16443.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7733963:7736892:-1 gene:DRNTG_16443 transcript:DRNTG_16443.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDINTLDIRNNSQLNGVNIPDASLVSVNCTQDVLDLMKVGQGNRAIGATALNERSSRSHSVLTVHVHGKELVSGSILRGCLHLVDLAGSERVNKSEATGERLKEAQHINKSLSALGDVISALAQKSSHIPYRNSKLTQVLQDALGGNAKTLMFVHINPEVNAYGETISTLKFAERVASIELGAARANKETGEIKELKEEISKLKSALESKESEVVQLKDHSCRLALEVQNQRTASPLHLQKSANNVNLKDEVAQKPKSENKKIESRSCSSGKQRRPRFPHPLSEKELVSKSSFSNGDSYSNSRKTRSPSPARRSASTDRASIIKSKQRIESISERSILKPQFPEKVPTVRSAVIVPTMIKNMSLSTYWEQQEIVNEQFKPSISLPQWGIRKHRTESKGVEKHDIDVRMKAENPNKNDLSQTEDESDHADAALHGSPLFKKIHRHMRRNSQNIEPRALVHAMESVVTGKQENRLPNGDVREGKEGKNVTEPDFRRSKSLPRGKFVMIS >DRNTG_25303.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19799660:19802045:-1 gene:DRNTG_25303 transcript:DRNTG_25303.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHLNSLRNANVSTLWVDDVHPHYVMRRYAEFIASLVHLNVEHGDGQLDLNFERLEMAIDDLLIKLAKTFTKPKLQTAFLINNYDLIVAVLMVRARVFSQSVHLHLLYSFAFVKVCWKLVEECTWRWKNNDILWETARKQHLHICRRNAA >DRNTG_25303.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19799660:19802045:-1 gene:DRNTG_25303 transcript:DRNTG_25303.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMIHIIHQQQMVMFRRQIPCLDSYLDKVNISLWSRFKLVFDMHLNSLRNANVSTLWVDDVHPHYVMRRYAEFIASLVHLNVEHGDGQLDLNFERLEMAIDDLLIKLAKTFTKPKLQTAFLINNYDLIVAVLMNVPGGGRTMIYFGKLLESNICIFAEEMLLENFNDLIKFLNSCGAGESSSGAEKP >DRNTG_25303.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19799660:19802045:-1 gene:DRNTG_25303 transcript:DRNTG_25303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMIHIIHQQQMVMFRRQIPCLDSYLDKVNISLWSRFKLVFDMHLNSLRNANVSTLWVDDVHPHYVMRRYAEFIASLVHLNVEHGDGQLDLNFERLEMAIDDLLIKLAKTFTKPKLQTAFLINNYDLIVAVLMVRARVFSQSVHLHLLYSFAFVKVCWKLVEECTWRWKNNDILWETARKQHLHICRRNAA >DRNTG_17163.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21797081:21801153:1 gene:DRNTG_17163 transcript:DRNTG_17163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVDATKQVAEAEMSASPAQVASGKVRGGGGEGLRQYYLQHIHDLQLQVRQKSHNLNRLEAQRNDLNSRVRMLREELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDIDKNIDITKITPSTRVALRNDSYVLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGTGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEESRLDILKIHSRKMNLMRGIDLKKIAEKDEWSIRSRTQGCVHRSRYVCTERKESPCDARRF >DRNTG_04408.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8618084:8619108:-1 gene:DRNTG_04408 transcript:DRNTG_04408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLKGLAPLGVLYIHKGVRKLHTPVRPTHRGSRTPLWLLCPTEKKFRTGVGIHMPNSQGQPYAPVSSRDGENSSAEICTGVRKIPMPVRYLQVPPQGQVHTPVCYRDKSPSLCKKTHAREEVSTGVRKSQALERFRWRLLPLFIF >DRNTG_02756.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:161097:162908:1 gene:DRNTG_02756 transcript:DRNTG_02756.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRAQQGYAGSGVNCSIKGDTTPPSPSYLYTCNGYHLSCNTFLVFNSQPNFTSVSSISNLMSIQPSELAKINSVTKSHKFTQGKEVIIPVNCSCSGQYYQANTYYVIGDSDTYYSLATYVYQGLSSCSSLANQNPYDHNDLYAGLKLLVPLRCACPTKYQTNNGIHYLLTYPIFENDSISDLRERFKVSEQSIVSANGFSEEDPVIFPFTTVLIPLPTPPSSNQTIIHHPVQYPPPGATPGPVLAPPVMTQGSKGRRPLWFGIGAAFIFIISATIALYFLRKIVAEAAAKKKMMKRKRCVLPKEILEYQVGAGHALKVFGMEELEAATDDFSSERRLGGSVYKGVLRGALMAIKETCREASKEVNILHKLNHFNLISLAGVSLGMDNCYLVYEYMENGSLKDWLYNNRHWCLKQRIQIAVDVAEGLDYLHNFAEPPYVHNDIKSSNILLNGNLRAKISNFSQARASEWKKGGCEITENVKGTIGYIAPEYLESGMVTPKLDVFAFGVVLLELITGKDPVFEQDGKERLLSAVIIMSLNEEAKLITEFIGPAMRDDSQLDLVMAMVKLSVACLNHDPESRPSMKEVVSILSIIQSELMSREIV >DRNTG_26763.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18631022:18634363:1 gene:DRNTG_26763 transcript:DRNTG_26763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCAEHGIDSTGRYSGNSSLHLERINVYYNEASCGRFVPRAVLMDLEPGTVDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMIHVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMAATFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATAAEEGDYERRKNLSMNRTSVFF >DRNTG_15382.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26965237:26966216:1 gene:DRNTG_15382 transcript:DRNTG_15382.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKLHSLALFSLISLSLIFTSKAQFFSSAPLLAPSSAAKPVPAPAMEPVLPPPATVPVLSPAGAPYLEPAAAPADPCMDAYLNMTDCLTYVEAGSTVRVPDKGCCPAFASLVSNQPQCLCHILGSGDVIGFKIDTTKALTLPTACRVETPSVSLCALFGIPIPSPMSSPGPASGGQLAPAASSVIGSNPTSGPTPSGGKRNEANFKASFIGLLLGSIFIFLY >DRNTG_15382.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26965237:26966528:1 gene:DRNTG_15382 transcript:DRNTG_15382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKLHSLALFSLISLSLIFTSKAQFFSSAPLLAPSSAAKPVPAPAMEPVLPPPATVPVLSPAGAPYLEPAAAPADPCMDAYLNMTDCLTYVEAGSTVRVPDKGCCPAFASLVSNQPQCLCHILGSGDVIGFKIDTTKALTLPTACRVETPSVSLCALFGIPIPSPMSSPGPASGGQLAPASSVIGSNPTSGPTPSGGKRNEANFKASFIGLLLGSIFIFLY >DRNTG_15382.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26965237:26966528:1 gene:DRNTG_15382 transcript:DRNTG_15382.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKLHSLALFSLISLSLIFTSKAQFFSSAPLLAPSSAAKPVPAPAMEPVLPPPATVPVLSPAGAPYLEPAAAPADPCMDAYLNMTDCLTYVEAGSTVRVPDKGCCPAFASLVSNQPQCLCHILGSGDVIGFKIDTTKALTLPTACRVETPSVSLCALFGIPIPSPMSSPGPASGGQLAPAASSVIGSNPTSGPTPSGGKRNEANFKASFIGLLLGSIFIFLY >DRNTG_15382.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26965237:26966216:1 gene:DRNTG_15382 transcript:DRNTG_15382.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKLHSLALFSLISLSLIFTSKAQFFSSAPLLAPSSAAKPVPAPAMEPVLPPPATVPVLSPAGAPYLEPAAAPADPCMDAYLNMTDCLTYVEAGSTVRVPDKGCCPAFASLVSNQPQCLCHILGSGDVIGFKIDTTKALTLPTACRVETPSVSLCALFGIPIPSPMSSPGPASGGQLAPASSVIGSNPTSGPTPSGGKRNEANFKASFIGLLLGSIFIFLY >DRNTG_15382.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26965237:26966374:1 gene:DRNTG_15382 transcript:DRNTG_15382.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKLHSLALFSLISLSLIFTSKAQFFSSAPLLAPSSAAKPVPAPAMEPVLPPPATVPVLSPAGAPYLEPAAAPADPCMDAYLNMTDCLTYVEAGSTVRVPDKGCCPAFASLVSNQPQCLCHILGSGDVIGFKIDTTKALTLPTACRVETPSVSLCALFGIPIPSPMSSPGPASGGQLAPASSVIGSNPTSGPTPSGGKRNEANFKASFIGLLLGSIFIFLY >DRNTG_14911.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20493689:20495445:1 gene:DRNTG_14911 transcript:DRNTG_14911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITGDQVARRRLLQAILLLAAVAIPCTLLYLSTTPSITWSLLSNPSPPNSSSSSSSSSSSSSQDLRKVLKAAAMEDKKTVILTTVNSAWISLGSVVDLFMESFKVGNGTSELLDHLVVVTMDEKGYVRCMQVHKHCFALTTEGVDFSQQKNFMSGDYLKMMWRRLEFLGTVIQLGFDFIFSDTDIMWFRNPLLHFYEDGDFQIACDHFVGNPNDLNNKPNGGFMYVKSNNKTINFFKYWFKSKERYPGVNEQDVLNLIKKNTFTRESGVKMRFLDTTYFGGFCEPSKDFDKVCTMHANCCIGLGRKIHDLRLMLNDWRRYIFMSPEERQSRKISWSVPKKCSLAPLG >DRNTG_13923.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19663200:19663992:1 gene:DRNTG_13923 transcript:DRNTG_13923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPPIALISGLEKFPGEFRYPAPLMALWRKCTEVKSGPGSNSGLPQQVPQKLASYSLPDESQRMASNSMEEPNPQHRPQSPLDELRGCIGSPFIDLSIDKLRANF >DRNTG_34302.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002118.1:21822:22740:-1 gene:DRNTG_34302 transcript:DRNTG_34302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRMRKSWLKSALNRAGIGHPHRPVDVPHAP >DRNTG_10840.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:8086038:8091333:1 gene:DRNTG_10840 transcript:DRNTG_10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIVCANTNKVLGVHMCGEDSPEIIQGIAIAVKAGLTKADFDATVGIHPTSAEEFVTMRSPTRKIRRSLASEGKTEEIKSAA >DRNTG_10840.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:8086038:8087048:1 gene:DRNTG_10840 transcript:DRNTG_10840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIVCANTNKVLGVHMCGEDSPEIIQGIAIAVKAGLTKADFDATVGIHPTSAEEFVTMRSPTRKIRRSLASEVRHRMSFI >DRNTG_10840.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:8086038:8087048:1 gene:DRNTG_10840 transcript:DRNTG_10840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIVCANTNKVLGVHMCGEDSPEIIQGIAIAVKAGLTKADFDATVGIHPTSAEEFVTMRSPTRKIRRSLASEGKTEEIKSAA >DRNTG_07338.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23572897:23576789:1 gene:DRNTG_07338 transcript:DRNTG_07338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFSNALEMIKELSTPHAVQYLEPIWSGVYKQLQKLRESLLQIQLFIEDAEERQLTDKAVRYWLLLLKDAVYDAEDILDEAKTHELVIQRKAQLYGRPRSKVREFFSLDHNPLLFKLQLGKKLSNVNERINELIEEMGKFKLRVLENNNKPLKNRPQTYSYVDESPVIFGRDEDKGKLLQMLISDCFDEKVAVVSIVGIGGLGKTTLAQLVYRDEEVQKHFQLHIWVCVSDDFDVPKLAGKIIHTTSGELCNHTNMEVLQQKLRKELGQKRYLLVLDDVWNEDFQKWDALRSILLDGGDGSRILVTTRNEKCSRLMGVQKPYILSRLSEENSWVLFEQKAFAVGAPKPLPKLVEIGQQIVKKCQGLPLAIQVLGCIMHYKSKESEWQAVLENIETWKLQHTKNKIMPELWLSYVDLATHLKKCFAFCAIFPKDHDIEEEQLIQFWMAHGFIPSQKGTDMEVEGREIFTELIRRSLLQKGISPYLWGKESVYKMHDLIHDLAHFVNENECFTSLKSSAAPKVSISPRRLTFYADEIYSQGDCSTIHTVLHCGRDLSVLSKLKVVRVLDLSQAFIDELPASIEHLHHLRYLDLSFTHIKKLPESICMLVNLQTLKLYGCYQLPELPKSITYMNSLRHFHFDYCLQFEAFAAGLSRLQNLKTVSGYTVGDAAENKLGQLKSFNPFGELALYNLRKVKTADDAREADLGNKQHIRTLKLSWGKLSWGDDDDECCLMENAEEVLEALEPHNNLKELRVSYYAGNQFPIWMSERQQFQYLHHMELFACRKCEQLPPLELLPCLEDLRISQMDGIKHIVNNKRGNTLQPFPALKHLSLSGMRNLEGWCVEEGRGANRSLFPRLTMMDITRCPKLTTMPLEILPCLEDLSISEMDGIKHIINSRRGNALQSFPALKTLELRLMKNLEGWCVEGREANLSLFSCLIRMEIVGCPKLTTMPLEILSRVEYLRMFEMDGIKHIVNNRRGNTLQSFSVLKKLTFCKTRPLAGRYMKEGREAIPRPIVMNIDNCNQLYLTLVLQNLASLKHLHVSKCDTLRELPMCPKSVKTLTIDSCPGIGSLWPEMGHLTSLSRLEVSNCPKLVSLSDGMQALTSLQYLSITYCPALESFPEGLQQLLPTLKSLRIEGCPELERLCKPGGDYCNLLSTNSYKQIGVQPEQTIQVPHEIGTGGRNALECITTNRFLLSAILVCAIACFIDFLPNELDTQVPLSPLLFFYFLLLVLAF >DRNTG_31531.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001714.1:20487:23554:1 gene:DRNTG_31531 transcript:DRNTG_31531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLGLEPSWKIYESVINIFCKMGFPETSHHLVNQAERAGIAINLSIYVNLIETYGRLKMWQRAESIVEDLRLRSLVDRKIWNALINAYAANGRYEQARAIFNTMMKDGIQPTIDSVNGLMQALIVDGRLDELYVVVQELQDMDFKISKSTVLMMLDAYARVGNIFEVKKIYNGMKAAGYLPTMHLYRSMLALLCRGKRLRDVELMLAEMEGIGFKPDLSIFNSLLKMYTGVEDFKKTVETYRKMQEAGYKPDEDTYNTLIVMYSRDRRPEEGFSLLNDMKKQGLEPKMDTYKSLLAACAKEQLWEQAEELF >DRNTG_31531.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001714.1:20487:22549:1 gene:DRNTG_31531 transcript:DRNTG_31531.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLGLEPSWKIYESVINIFCKMGFPETSHHLVNQAERAGIAINLSIYVNLIETYGRLKMWQRAESIVEDLRLRSLVDRKIWNALINAYAANGRYEQARAIFNTMMKDGIQPTIDSVNGLMQALIVDGRLDELYVVVQELQDMDFKISKSTVLMMLDAYARVGNIFEVKKIYNGMKAAGYLPTMHLYRSMLALLCRGKRLRDVELMLAEMEGIGFKPDLSIFNSLLKMYTGVEDFKKTVETYRKMQEAGYKPDEDTYNTLIVMYSRDRRPEEGFSLLNDMKKQGLEPKMDTYKSLLAACAKEQLWEQAEELF >DRNTG_31531.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001714.1:20487:21946:1 gene:DRNTG_31531 transcript:DRNTG_31531.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLGLEPSWKIYESVINIFCKMGFPETSHHLVNQAERAGIAINLSIYVNLIETYGRLKMWQRAESIVEDLRLRSLVDRKIWNALINAYAANGRYEQARAIFNTMMKDGIQPTIDSVNGLMQALIVDGRLDELYVVVQELQDMDFKISKSTVLMMLDAYARVGNIFEVKKIYNGMKAAGYLPTMHLYRSMLALLCRGKRLRDVELMLAEMEGIGFKPDLSIFNSLLKMYTGVEDFKKTVETYRKMQEAGYKPDEDTYNTLIVMYSRDRRPEEGFSLLNDMKKQGLEPKMDTYKSLLAACAKEQLWEQAEELF >DRNTG_12216.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25126299:25132442:-1 gene:DRNTG_12216 transcript:DRNTG_12216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFDGSSSVDDRKERKSDFDCSEDERRTRIGSLKKKAIDASTKFRHSLKRRSRRKSNGRINSVSIEDVRDIEELEAVDAFRQSLILDELLPARHDDYHMLLRFLKARKFDVEKAKHMWAEMLQWRKEFGVDTILEDFEYTELDEVLKYYPHGYHGVDKEGRPIYIERLGKVDPNKLMQVTTLDRYVRYHVLEFEKSFQIKFPACSIAAKKHIDSSTTILDVQGLGMKNFSKTARELIQRLQKIDSDNYPETLHRMFIINAGTGFKLLWNTVKSFLDPKTTSKIHVLGSKYQNKLLEIIDPSELPEFLGGTCTCADLGGCLKSEKGPWKDPNILKMVENGEARYSRQIVTVSNGDGKIIAYAKPPYTAVKLSDTSTAESGSEAEDMTSPKPRRSYMSHPQLTPVHEEAKLGKVGLSVGFVEYEESVPMVDKAVVTGWKREDSNPMLPASKVYLSDTVKSQEGYCTQIVAFLMTLIMTLFTLCCSMTNHVMKKLPDQAQQNSQCHSLSSESSPKEEFRPPSPTPGFTQADLLSSLVKRLAELEDKVDTLQAKPSEMPYEKEELLNAAVRRVDALEAELISTKKALYEALMRQEELLAYIDRQQDAKFRKKKFCI >DRNTG_24422.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8287920:8290524:-1 gene:DRNTG_24422 transcript:DRNTG_24422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFQKEVEQYDDFLLLDIKEQYSNLPYKTLAFFKAAFAQFDADFYVKADDDIYLRPDRLSLLLAKDRSHPQTYLGCMKKGPVFTDPNLKWYEPLSYLLGKEYFLHAYGPIYALSADVVASLVALKNSRQVIFYSLHLPDPCYLSCLTGK >DRNTG_02417.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000114.1:2965:11936:-1 gene:DRNTG_02417 transcript:DRNTG_02417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTIKEIECKSNEPIENPLDSHVDGLVGSPILIVQRSPLSGIGYASTEAPLPTFFQRDDDCSAIVSGLKYFPNPYFDRALSGKVDRIIASQQQGIPCCNTYHPIEKGYPNFMWNSYGQYWEAPQEECQKGEILVEDVLQLQRVLANFIEVSDVCVPNMETTVRCHEASYKNLEHQLGGILDTLSKEQQAFEKAIQVPYRDDVVVNDNEEVGQIEYIGDLLVSRSFQAENRQEEVNRKVMEQAPLFGIDQFINCKKEIFSFEDDVGRRLKPSNDPPVLSLDNSQPKLFPWRPKEFSAELTKFSKCPEKTHACEEFSHGRAFSFRAHPETAQGRGLTPVSDLVMVHARAKFSHGRVFLYREFLLHPEKTQGRVSATVNCSVRIHSRVELSHRRVKHFENFLEWTEKPQGHASAHVGLSWRVTRFAPAAAFLFLLQSAMVNPCFELPAPTTAQNLTTGTDLAALFSLRTSLGFLIRHYLCCSDPCSTWVGVSCPGGCVVSVNVSGLRRT >DRNTG_04575.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:22239193:22239938:-1 gene:DRNTG_04575 transcript:DRNTG_04575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSQRFTLLHSQLSPSGGSSLSPFTLLWPQRTRGTEVESITPEGNGDASDPLDSPSQPSPIKLRLTLVENPLDSRVDGLVESTLLVATISSSGIRYSSPNHSDRGSLTNLLPNEMRCRSRRTSSRTLPIPLKTLAAVLSQVGEKIEKRIKQKML >DRNTG_14487.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14745953:14755127:1 gene:DRNTG_14487 transcript:DRNTG_14487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWFLEMTPICSEHNHTDVWKFPHPCARPIGAPTRPCGSSVHLKNFSKCSTCPYGNSTQACGSSHGYSQGHSPTRAGMHNHMEIPDGRVTVNRVRKRHGGHKNTIWAKKRRNPGRDCSVFTVAKCYSWVTVAVVLQHRHYSKITVSTSKTQGRVSATTFRDLS >DRNTG_18470.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7888380:7890651:-1 gene:DRNTG_18470 transcript:DRNTG_18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLGEVFSSRCDSCRDCTAPTEVDAALHQPRLSTSGAVGGCVPCQERVREEMMHAEEGLPKAEERARLIWDGLLVGLGRWAGEEEKGFIGFRTHSEARFTGGFFDAMTEEESIKVGDEAPPKPQKTSSPNP >DRNTG_30964.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:20922151:20922481:1 gene:DRNTG_30964 transcript:DRNTG_30964.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHCGWNSTLEDVLKIGVAVGMKEHVMRPEDRPLIHWINIERVVSCMTSIGGVKAEAMRMRARKLREMAKSAIMEDGSSYTELT >DRNTG_10938.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:122357:125685:1 gene:DRNTG_10938 transcript:DRNTG_10938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGELVSGESLQKSFNELESQQALISNCTSLWKSLSIHFSALKKSLSDRSHAIDSKLKTLDASTQESLASLDLRESSLSDREAAAAARIRELQLSALADIENPNSKQPDDVPGILRWYSRRMDSSGIWRFMVSRRKDLALLRKEISDAVSESVDPARLVLDAVNDFMEKKMREKGGPDRCWALGMLIRVLYDFDAGNALKVSGSIRERAAKVVERWKEEAKEEGGMIGGPEAQILLQLVVVFGLQSKFEQEFLKKLVLHHASRKEMPKLATYLGFGEKLADIIDELGKTGKELEAIYFAYESGFTDKFSPVPLLKSYVQSSRKNAASILKNGNRSPAATEESITMELNALKSVIKCVETYKLESKFTINGLKKRVAQLEKTKADKKKTSTPNKSHMKRTRPGGPPAPFRPTKASRTLNTSYPSLNQRPPIPPQVGGSRHSFKYSEQGGFDGPSSGSHRWSPNPVSQPYHVPEDIIGARGNIAYSGLSSSYGGVDYAPSHATTTPQPHQYTR >DRNTG_18728.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1130982:1132748:1 gene:DRNTG_18728 transcript:DRNTG_18728.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLTNSNTQMEIEELTNMETHPKIEVYHKTVEELSAQLKIAEAEREKYVEKSRKTQAIIAKLEAENVMITSQLAESDETLDKFIHVVDDLKAAKEELLCRLAELVVAEESKIAALREAELMGKALNEEKEKNKGLLARIIELKEALRVSNRAVIDAEEKKAAFFAGIESELLIATEAALKSQEQIEDMRKQLEMRKGLENDLFEKTIRIDYLQLELKREKNQNISVRKAASDAINELKKLISDMQIMEKTNSEKQACIESLQGDVKRLEEELQSTREEAKELNLKIKKLNTDIQKMEDEMSVGSLGLEVEIATLKSELHKGRSQIAAAEAAEARARSSLTGMYLAVQQLAIEAEKAKNELRIARLEADSTEVVNKQATEDETERKDITADSSELCPGITISVEEYDSLIRKAEKADVMTQTSPNDKPQAVELVETSDVDPEKKELDAANELISELKLSLEVATKRAEVAEEAKALVENYLRKLKGKHQRKKSTSEAPEKQEISIADDKPTLPTSKPRRPSGFPAHVRRSTSLTDSKKNENYVPLGKVLNIEFKI >DRNTG_33705.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1136102:1140589:1 gene:DRNTG_33705 transcript:DRNTG_33705.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant cysteine oxidase 3 [Source:Projected from Arabidopsis thaliana (AT1G18490) UniProtKB/Swiss-Prot;Acc:Q1G3U6] MAKRSSVQALYELCKKTFTPSSACPSPIAIRKLAAFLDTMGPEDVGLKQDNLEDDRGHGFFGSNLSKSTSRLARWAQPITYLNIYECESFTIVVFCLPTSSVIPLHDHPGMIVLSKILYGSLHVKAYDWTEPPCKPISGEQNYFPVRLAKLHTDTVLTAPCPTTVLYPTTGGNLHCFTAVAPCAVLDVLAPPYSEASGRLCTYYHDYPFSSFSSEKKLDTDENENDYAWLQAIEAPDNLHMRSGKYTGPAVQEEYCFPGSCTVV >DRNTG_33705.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1136102:1140589:1 gene:DRNTG_33705 transcript:DRNTG_33705.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant cysteine oxidase 3 [Source:Projected from Arabidopsis thaliana (AT1G18490) UniProtKB/Swiss-Prot;Acc:Q1G3U6] MAKRSSVQALYELCKKTFTPSSACPSPIAIRKLAAFLDTMGPEDVGLKQDNLEDDRGHGFFGSNLSKSTSRLARWAQPITYLNIYECESFTIVVFCLPTSSVIPLHDHPGMIVLSKILYGSLHVKAYDWTEPPCKPISGEQNYFPVRLAKLHTDTVLTAPCPTTVLYPTTGGNLHCFTAVAPCAVLDVLAPPYSEASGRLCTYYHDYPFSSFSSEKKLDTDENENDYAWLQAIEAPDNLHMRSGKYTGPAVQAHAQ >DRNTG_18338.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1641053:1641860:-1 gene:DRNTG_18338 transcript:DRNTG_18338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGNALATVSAVMTIVPAIGCSDVYLAYMPLDHIF >DRNTG_09359.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:14971584:14973026:-1 gene:DRNTG_09359 transcript:DRNTG_09359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGERGGQARSQPSSISRVIGGGGFLNALTLWDLRVSLTHAGRALCSFRVPPHLTVRPYSKPFAGNIVPHRLSSKGDGVLMAIVAVKLEFEVSSLEWIDSDGNWIPGAMSTVIDLVGAAVILSIEGYLKVSANMGMTYLSLAKIDDEVEVDARVLGYKGRV >DRNTG_27707.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15491273:15492602:-1 gene:DRNTG_27707 transcript:DRNTG_27707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSSFEFDRFYARFDNLDTILFRALGHDYSLSITQFSVQLSLYEEAFTDTEKYSQLLTDYPGALTRQRAYRALCGFRGVLARFLERFYGSNIARHLEEGYWESFRRHRSGEVYPKPDKGFLATSR >DRNTG_14798.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29900906:29904328:-1 gene:DRNTG_14798 transcript:DRNTG_14798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSVLEEGGLEESAGREIVRVNAKRALVGAGARILFYPTLLYNVFRNKLQPEFRWWDQVHEFLLLGAVPFPKDVPRLLQLGVRGVVTLNEPYETLVPSSLYQVYGIDHLVIPTRDYLFAPSLADICRAVDFIHENASCGRTTYVHCKAGRGRSTTIVLCYLVKHKNMTPSAALEYVRAIRSRVLLAPSQWRAVLEYSKLKFESPAVRTRTPPSSPLGDEVLITEADLEGYESGKDSRKDLNISLYRSSLAKPMIARLSCLFTSLKVSSSCPPVASQLPEIKAC >DRNTG_14798.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29900906:29902493:-1 gene:DRNTG_14798 transcript:DRNTG_14798.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSAALEYVRAIRSRVLLAPSQWRAVLEYSKLKFESPAVRTRTPPSSPLGDEVLITEADLEGYESGKDSRKDLNISLYRSSLAKPMIARLSCLFTSLKVSSSCPPVASQLPEIKAC >DRNTG_14798.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29902560:29904328:-1 gene:DRNTG_14798 transcript:DRNTG_14798.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSVLEEGGLEESAGREIVRVNAKRALVGAGARILFYPTLLYNVFRNKLQPEFRWWDQVHEFLLLGAVPFPKDVPRLLQLGVRGVVTLNEPYETLVPSSLYQASASC >DRNTG_28134.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24049829:24053230:1 gene:DRNTG_28134 transcript:DRNTG_28134.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGREHGGGLKINQLDSKRLTWILGLSGLCVLFYVLGAWQNRAAILNSSSNLSNSKAQCGNSSNNLSSKTLDFEAHHGVEINDTSSSLQKFPACPMNFSEYTPCQDLKRGRRFERTMLVYRERHCPEKDELIRCLIPAPPKYKTPFKWPQSRDFAWYDNIPHKELSIEKAVQNWIQAEGDRFRFPGGGTMFPRGADAYIDDINALIPLEDGSIRTAVDTGCGVASWGAFLLKRNILTMSFAPRDTHEAQVQFALERGVPAMIGVIGSLRMPYPARAFDMAHCSRCLIPWFKNDGQYLIEVDRVLRPGGYWILSGPPINWKTHYRGWARTQDDLKQEQDAIEKVAERLCWKKVIEKNDLAIWQKPLNHMECIENRKIYKTPHICKDENADAAWYKKLEVCITPLPEVSNAEEVAGGRLEKWPERAFAVPPRISRGTIAGITAEKFEEDKKLWKERVTHYKHIIPPLTKGRYRNVMDMNAKLGGFAAAMTKYPVWVMNVVPANSESDTLGVIYERGFIGTYQDWCEAFSTYPRTYDLIHADGVFSIYQDRCDITYILLEMDRILRPEGTVIFRDTVDVLVNIQKIAQGMRWNCRIMDHESGPFNPKKILFAVKDYWTGKPAEQQ >DRNTG_28134.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24049829:24053230:1 gene:DRNTG_28134 transcript:DRNTG_28134.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREHGGGLKINQLDSKRLTWILGLSGLCVLFYVLGAWQNRAAILNSSSNLSNSKAQCGNSSNNLSSKTLDFEAHHGVEINDTSSSLQKFPACPMNFSEYTPCQDLKRGRRFERTMLVYRERHCPEKDELIRCLIPAPPKYKTPFKWPQSRDFAWYDNIPHKELSIEKAVQNWIQAEGDRFRFPGGGTMFPRGADAYIDDINALIPLEDGSIRTAVDTGCGVASWGAFLLKRNILTMSFAPRDTHEAQVQFALERGVPAMIGVIGSLRMPYPARAFDMAHCSRCLIPWFKNDGQYLIEVDRVLRPGGYWILSGPPINWKTHYRGWARTQDDLKQEQDAIEKVAERLCWKKVIEKNDLAIWQKPLNHMECIENRKIYKTPHICKDENADAAWYKKLEVCITPLPEVSNAEEVAGGRLEKWPERAFAVPPRISRGTIAGITAEKFEEDKKLWKERVTHYKHIIPPLTKGRYRNVMDMNAKLGGFAAAMTKYPVWVMNVVPANSESDTLGVIYERGFIGTYQDWCEAFSTYPRTYDLIHADGVFSIYQDRCDITYILLEMDRILRPEGTVIFRDTVDVLVNIQKIAQGMRWNCRIMDHESGPFNPKKILFAVKDYWTGKPAEQQ >DRNTG_11813.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:334795:338606:-1 gene:DRNTG_11813 transcript:DRNTG_11813.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN/FHY [Source:Projected from Arabidopsis thaliana (AT4G21470) UniProtKB/TrEMBL;Acc:A0A178V341] MALSKPLSRMLSHVILDLDGTLLNTDGIVNDVLKGFLLKYNKQWNTKVAHKLVGKTPREAATIVLEDYGLPLSVEELMDMITPLFSDQWCNIKALPGANRLIKHLSSNGVPMALASNSPKLNIEAKISYHHGWKESFSAIVGGDEVINGKPSPEIFLEAAKRMNTEPSNCLVIEDSLPGVMAAKNAGMSVVAVPSIPKQADRYSFADEVINSLLDLHPEKWSLPPFKDWIENTLPIEPLYIGGPVIKGFGRGSKVLGIPTANLAAHDFSDILSEHTSGVYFGWAGLSTRGVFKMVMSVGWNPYFDNTEKTIEPWLLHKFDEDFYGEELRLLVVGYIRPEANFPSLESLIARIHEDGKIAEKALDLPIYAAYKNSPCLASSLQQPNSNS >DRNTG_12186.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10790469:10791662:1 gene:DRNTG_12186 transcript:DRNTG_12186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAPWRVDHRHNQRSSSEPSMMYLGRGLYP >DRNTG_19173.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6105521:6107092:1 gene:DRNTG_19173 transcript:DRNTG_19173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLKQTSMIFTAAALVMFFFIHTSSAQSTSCTTAVISLAPCLSYITGNLTTPSSSCCSQLASVVQSQVQCLCTVLNGGASQFGIAINQTQALTLPSACKVQTPPISRCNGAAGGTPVAPPAASPAGTPTVPSNDSPATDNSPTTPSSTPSVPDSPSSGSGSKTTPTTKGQSTDASFAKMNQPLILGFMVLSAFVSPFFITF >DRNTG_28510.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14746415:14746801:-1 gene:DRNTG_28510 transcript:DRNTG_28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLIRRLARVADGGDYEPLRSSKEPRERVPEGHVPVYVGKEMERFAVKAELLGRPAFLELLRRSAQEYGYEQSGVLRIPCPVPLFKHVLQLMVAAGGGFDAAEAIVRSLPEDPSADDDDDDDDTALQ >DRNTG_28180.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20735611:20737326:1 gene:DRNTG_28180 transcript:DRNTG_28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSHFSNGFLSPYHQRLLLSISDFIFLLSFIFLTIHRCRRRSPEPEKEPLLTKLSSSPTTPLKLKLKLTIILLSFLTIFYTTLFTLSLFFSTSLQCPNLSSILLLLRLLSHLFSTSILLLTNPLPFSFLLFLRLFFFSSFFFNSFFSISSFFFTSLLFPDDFIILSLLPFSFFLLYLSITGVQPAPEASPATYATATLLSKATWSWMNPLLAKGYKTPLHLHDVPSLAPEHQAETLLQTFNSHWPENNLRRNHHPVATSLFLSFWPNLLLTAALSLLRLLAMYISPTLIQSFISFASSSTKSSMAEGYYLCSILLFSKLIEVFTSHQFNFQSQKLGMMIRSTLITSLYRKGLRLSCSSRQSHGVGMIVNYMAVDAQQLSDVMLQLHYLWLMPLQILTALALLYTYLGIAATTGIAGTLIATLFILLNTRRNNTFQFMLMTLRDARMKATNEMLANMRVIKLQAWERHFVEHIVGIRDGEFGWLSKFMYSMSTNMVVLWSSPAIIAVVVFGTSLLTGVKLDAAVVF >DRNTG_03225.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4574953:4578611:1 gene:DRNTG_03225 transcript:DRNTG_03225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETQAKPTEEVVAVPPAPEKMAEQAPAQQEEPAELAPVQEEPAGESSAVVIEQSMSFKEESNVVADLKDNEKKALEELKQLIHAALAANEFAPPPPPPPPPPAGPKEKVKTGGHAAEVVEEKTVEAIEETIVPVVASLAEDPAPPTAVDEKGAVAIEETTIPVDAPAGGDKIEVNPDLALEQMVGASASTSAPAPAPASASDPAPVITGTATEEVFIWGVPLLGDEKSDTVLLKFLRARDFKAKDALAMIKNTVIWRKTFGIESLLHENLEFPEMEKVVFMHGFDKEGHPVCYNVYGEFQDKDLYAKAFGDDEKRMKFLKWRIQFLEKGIRQQLDFSPGKVCTMVQVTDLKNSPGPAKRELRQATDQALTLLQDNFPEFAAKQVFIHVPWWYLAFNRMISPFLTQRTKSKFVFAGPSKSAETLFKYIAPEQVPVQYGGLSKENNPDFTTADTVTEMTIKPSSKQTVEIPVTETCTLVWELRVLGWDVTYVEEFVPSAEDSYTVIVRKERKMIATDEPVVKNFFKIGEPGKIVLTIDNNTSKKKKLLYRYKVKTTTKAT >DRNTG_06828.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15629911:15634275:-1 gene:DRNTG_06828 transcript:DRNTG_06828.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVKDKLNNSGDMEKLDFSKPQMGKSSSLSNPHMEKICENTVATEGNENLSKDFVPSIRSGEWSDIGSREYMEDTHVCITDLAKKFGSCYLGGDVVSFYGVFDGHGGKSAAQFVRDNLPRVIVEDADFPLELEKVVTRSFVQTDTETYSRQSTLSSGTTALTAMIFGRSLLVANAGDCRAVLSRLGMAIEMSKDHRPCCLKERKRIESLGGYVDDGYLNGQLGVTRALGDWHLEGMKTIGEPGGPLSAEPELKLITLNKDDEFLIIGSDGLWDVFSNQNAVDFARRKLQEHNDAKLCCKQLVEEAIRRGADDNLTAVMICFHLDPPPPIIVQKSKVRRSISAEGLQNVKGHLEGLHCFN >DRNTG_06828.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15629911:15634275:-1 gene:DRNTG_06828 transcript:DRNTG_06828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVKDKLNNSGDMEKLDFSKPQMGKSSSLSNPHMEKICENTVATEGNENLSKDFVPSIRSGEWSDIGSREYMEDTHVCITDLAKKFGSCYLGGDVVSFYGVFDGHGGKSAAQFVRDNLPRVIVEDADFPLELEKVVTRSFVQTDTETYSRQSTLSSGTTALTAMIFGRSLLVANAGDCRAVLSRLGMAIEMSKDHRPCCLKERKRIESLGGYVDDGYLNGQLGVTRALGDWHLEGMKTIGEPGGPLSAEPELKLITLNKDDEFLIIGSDGLWDVFSNQNAVDFARRKLQEHNDAKLCCKQLVEEAIRRGADDNLTAVMICFHLDPPPPIIVQKSKVRRSISAEGLQNVKGHLEGLHCFN >DRNTG_11674.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:272640:273450:1 gene:DRNTG_11674 transcript:DRNTG_11674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHPTINVYSNHHSISKSQSHTLPSSSTLCSTSIQDFIFIFMERRKQRVCSLVFMVLLIIIPCLCLLILLSLSLPEIAPEEKSINGLYRKVMAGTGRKRAVSSEVSLGMLGEMMVSMLPSDLAFTVFVPSEAALESILKLRTSESLTKEKINNTYALLSRVMAFSAVPQHLPSASLPFNGEISFDSVSGFRLHVWRSLDKTLLVNNVNSERVDLSKDEIIVHVISGVLMDVE >DRNTG_15758.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20493655:20495696:-1 gene:DRNTG_15758 transcript:DRNTG_15758.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKQIQSPGFQEEESPEPLPINGDAPPPVAGTPKQPKFPFYSPSPLPSSYKNSPANSSVTSTPLRFLKRPFPPPSPAKHIKALLARRHGSVKPNEASIPEGCEAELGLDKSFGFSKQFSSKYELGEEVGRGHFGYTCSAKTKKGDMKGEELAVKVIPKAKMTTAIAIEDVRREVRILSALSGHKNLVHFYDACEDEDNVYIVME >DRNTG_15758.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20493139:20495696:-1 gene:DRNTG_15758 transcript:DRNTG_15758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKQIQSPGFQEEESPEPLPINGDAPPPVAGTPKQPKFPFYSPSPLPSSYKNSPANSSVTSTPLRFLKRPFPPPSPAKHIKALLARRHGSVKPNEASIPEGCEAELGLDKSFGFSKQFSSKYELGEEVGRGHFGYTCSAKTKKGDMKGEELAVKVIPKAKMTTAIAIEDVRREVRILSALSGHKNLVHFYDACEDEDNVYIVMELCKGGELLNRILSK >DRNTG_18995.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22680340:22683812:1 gene:DRNTG_18995 transcript:DRNTG_18995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSKSSKNAHVHQQNGHALPFKFAKLLDPEASWDKDQLGDVLHWIRQVAGLVCGLLWGAVPLIGAKWIVLYLVLSSSIVYGYYAHILKIDEEEFGGHGALLQEGLFASFTLFLLAWILVYSLAHF >DRNTG_22165.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3781523:3789355:-1 gene:DRNTG_22165 transcript:DRNTG_22165.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFTACTLLLLLLLLFLSSVESHRFHLPKSDGKYLTEDEQWLDQSIDHFSPIDHRQFKQRYYEFLDYYQVGGPIFLKICGESACLGIPNDYTAVLAKKFGAALVSLEHRYYGKSSPFDDLATNNLRYLSSKQALFDLAVFRQYYQESINAKYNLSKAENPWFVFGVSYSGALSAWFRLKFPHLTCGSLASSAVVLAVYNFTAFDQQIGESAGAQCKAALQEITELVDEELQSDGGSVKALFGAAQLMNDVDFLYFLADAAVTAFQYGNPDVLCSPLVDAKTSGKNLVEAYSSYVKDYYLGIFGASVKTYDQQHLKNTTVSDASGDRLWWFQVCSEVAYFQVAPENDTVRSPRIDTQYHLNLCKNVFGEGIYPDVDMTNLYYGGSKIAGTRIVFTNGSQDPWRHASKQTSSPDLPSYIINCHNCGHGTDLRGCPQSPLNIEGNAENCSSPEAVHKVRQEVINHIDLWLSECKGK >DRNTG_22165.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3779406:3789355:-1 gene:DRNTG_22165 transcript:DRNTG_22165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFTACTLLLLLLLLFLSSVESHRFHLPKSDGKYLTEDEQWLDQSIDHFSPIDHRQFKQRYYEFLDYYQVGGPIFLKICGESACLGIPNDYTAVLAKKFGAALVSLEHRYYGKSSPFDDLATNNLRYLSSKQALFDLAVFRQYYQESINAKYNLSKAENPWFVFGVSYSGALSAWFRLKFPHLTCGSLASSAVVLAVYNFTAFDQQIGESAGAQCKAALQEITELVDEELQSDGGSVKALFGAAQLMNDVDFLYFLADAAVTAFQYGNPDVLCSPLVDAKTSGKNLVEAYSSYVKDYYLGIFGASVKTYDQQHLKNTTVSDASGDRLWWFQVCSEVAYFQVAPENDTVRSPRIDTQYHLNLCKNVFGEGIYPDVDMTNLYYGGSKIAGTRIVFTNGSQDPWRHASKQTSSPDLPSYIINCHNCGHGTDLRGCPQSPLNIEGNAENCSSPEAVHKVRQEVINHIDLWLSECKGTDDEPDMFFAARSMVSSE >DRNTG_22165.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3781523:3789355:-1 gene:DRNTG_22165 transcript:DRNTG_22165.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFTACTLLLLLLLLFLSSVESHRFHLPKSDGKYLTEDEQWLDQSIDHFSPIDHRQFKQRYYEFLDYYQVGGPIFLKICGESACLGIPNDYTAVLAKKFGAALVSLEHRYYGKSSPFDDLATNNLRYLSSKQALFDLAVFRQYYQESINAKYNLSKAENPWFVFGVSYSGALSAWFRLKFPHLTCGSLASSAVVLAVYNFTAFDQQIGESAGAQCKAALQEITELVDEELQSDGGSVKALFGAAQLMNDVDFLYFLADAAVTAFQYGNPDVLCSPLVDAKTSGKNLVEAYSSYVKDYYLGIFGASVKTYDQQHLKNTTVSDASGDRLWWFQVCSEVAYFQVAPENDTVRSPRIDTQYHLNLCKNVFGEGIYPDVDMTNLYYGGSKIAGTRIVFTNGSQDPWRHASKQTSSPDLPSYIINCHNCGHGTDLRGCPQSPLNIEGNAENCSSPEAVHKVRQEVINHIDLWLSECKGSPNIQFGAPLGILGSRLQDHSNYQ >DRNTG_25961.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2045949:2051075:-1 gene:DRNTG_25961 transcript:DRNTG_25961.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase 1 [Source:Projected from Arabidopsis thaliana (AT5G07920) UniProtKB/Swiss-Prot;Acc:Q39017] MDDLYGVLLPSWASKSDETGESRVLVFAYVTAALIGILTLLYAVFQWRRNISLSLMRAIARSRKNRKAKHNKAPVAAHVWISESGSRAKGLKCCVCLEPVSAPQPLGQMMASEYAIHRCDVCGAAAHLICSSNSQKDCKCISLIGYKHVIHQWTVQWTELTDRMEETPCCSYCEEPCSGSFLGGSPIWCCMWCQRLVHVDCHSSMANETGDVCDLGPFKRLILSPLYVKDLSRPGSGGFLSTITHGANELASNIHGRLRSHSKKYKHGADLPTDAANTSPSTVESSTDSIADGHQTPKGSNVNAEHGNGIVDAGNSIQSSESDGRGDLKASPKRSSTFKRSDDSQIVGVKLKYELIDLPPDARPLLVFINKKSGAQRGDSLKHRLHKLLNPVQVFELSSAQGPEVGLYLFRKVPHFRILVCGGDGTVGWVLDTIDKQNYESPPPVAILPAGTGNDLARVLSWGGGLGAVEKHGGLCTILHDMEHAAVTILDRWKVTITNSQDKKSQPPKFMNNYLGIGCDAKVALDIHNLREENPEKFYSQFVNKVLYAREGAKSIMDRTFADFPWQIRLEVDGVEIEVPEDAEGVLVANIGSYMGGVDLWQNEDENYDNFDPQSMHDKILEVVSISGTWHLGKLQVGLSRARRLAQGESIKIQLFAPLPVQIDGEPWFQQTGKLEIFHHGQAFMLKRAAEEPLGHAAAIITDVLENAETNRVITASQKRALLREMALKLSS >DRNTG_21037.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16520694:16521394:-1 gene:DRNTG_21037 transcript:DRNTG_21037.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKRRAWPHEMRRNHKELEDKREEKAAKKKRAELWDYQPFFPQRSLMLFISSFESPH >DRNTG_34330.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002128.1:114031:117684:1 gene:DRNTG_34330 transcript:DRNTG_34330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQQHLDTASDAVLDQLEAAELVLFHVNECYVYLIPPRKSAASYRADEWNVNKWVWDGALKVVTKGEECTIKLEDKTTGELYARAFLRDGEPHPVEPVIDSSRYFVLRVEEDIGGRKRHAFIGIGFRERTEAYDFQAALYDHMKYLNKKKTAEEMEQHFQTTSSVDYSLKEGETLVLQIKNKGGSRVKSAFFEQGLNKLSLDEKSDAKGATICLKPPPPPAAPVSSMDLFQSSPPAPPSPHAVHRNTDATIDSPSTTTESPSNKSSSTSENADIDNDEFGDFQTAG >DRNTG_05520.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2435211:2435813:1 gene:DRNTG_05520 transcript:DRNTG_05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPCDNQNPSCRLKWRCSFGEDEEHLIIKLHALLGNRWSLIAGRIPGRTDNEIKYYWNSHLKKKLIKLGINPEKHHVNQSINLRLASSSSGRKKDDTMSDGKRKTPCVLPDLNLELSIKPMQCFNESKASSGVEDECSSPPTRLLF >DRNTG_28952.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2213036:2215699:-1 gene:DRNTG_28952 transcript:DRNTG_28952.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAERIDFVFKVVLIGDAAVGKSQILARFARNEFTIDSKATIGVEFQTRTVVIDHKSVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHIARWLEELRGHADKNIVIMLVGNKSDLEEQRAVPVEDAKEFAQREGLFFLETSALQATNVETAFLTVLTEIFNIVSKKSLATQANTDAPAAPPSGKKIIIPGPAQEIPKNKMCCRAA >DRNTG_28952.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2212989:2215699:-1 gene:DRNTG_28952 transcript:DRNTG_28952.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAERIDFVFKVVLIGDAAVGKSQILARFARNEFTIDSKATIGVEFQTRTVVIDHKSVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHIARWLEELRGHADKNIVIMLVGNKSDLEEQRAVPVEDAKEFAQREGLFFLETSALQATNVETAFLTVLTEIFNIVSKKSLATQANTDAPAAPPSGKKIIIPGPAQEIPKNKMCCRAA >DRNTG_28952.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2213110:2215699:-1 gene:DRNTG_28952 transcript:DRNTG_28952.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAERIDFVFKVVLIGDAAVGKSQILARFARNEFTIDSKATIGVEFQTRTVVIDHKSVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHIARWLEELRGHADKNIVIMLVGNKSDLEEQRAVPVEDAKEFAQREGLFFLETSALQATNVETAFLTVLTEIFNIVSKKSLATQANTDAPAAPPSGKKIIIPGPAQEIPKNKMCCRAA >DRNTG_28952.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2213036:2215751:-1 gene:DRNTG_28952 transcript:DRNTG_28952.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAERIDFVFKVVLIGDAAVGKSQILARFARNEFTIDSKATIGVEFQTRTVVIDHKSVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHIARWLEELRGHADKNIVIMLVGNKSDLEEQRAVPVEDAKEFAQREGLFFLETSALQATNVETAFLTVLTEIFNIVSKKSLATQANTDAPAAPPSGKKIIIPGPAQEIPKNKMCCRAA >DRNTG_28952.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2213110:2215751:-1 gene:DRNTG_28952 transcript:DRNTG_28952.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAERIDFVFKVVLIGDAAVGKSQILARFARNEFTIDSKATIGVEFQTRTVVIDHKSVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHIARWLEELRGHADKNIVIMLVGNKSDLEEQRAVPVEDAKEFAQREGLFFLETSALQATNVETAFLTVLTEIFNIVSKKSLATQANTDAPAAPPSGKKIIIPGPAQEIPKNKMCCRAA >DRNTG_28952.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2212955:2215699:-1 gene:DRNTG_28952 transcript:DRNTG_28952.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAERIDFVFKVVLIGDAAVGKSQILARFARNEFTIDSKATIGVEFQTRTVVIDHKSVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHIARWLEELRGHADKNIVIMLVGNKSDLEEQRAVPVEDAKEFAQREGLFFLETSALQATNVETAFLTVLTEIFNIVSKKSLATQANTDAPAAPPSGKKIIIPGPAQEIPKNKMCCRAA >DRNTG_34551.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002165.1:27965:29505:-1 gene:DRNTG_34551 transcript:DRNTG_34551.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVQSFADFPEDVQLSIISFLLPAELSSFACTSRRSASLCSSSALWNAMLNRRWGSKTLVRRWTATSALPFRRLYKVLDAWDALLGFWRRIGQGTAAGTPPLVFFEFGTSFISGSCVYPSPDAGSYAVVKSPFLWLGLSSHGEPVSFRFEFPEDSSKSATDSVVSEPDLVPVNVSFVGSKHFVVEENRSFYAESPDTEILGTSPPDQLMSEIYQHFANRTSPGGDRASRRQRKRRERERLGRMRWEAEHFVKIGSFGPTPSRPLQGLWKGICEDMRLDFFLVTYDDIGGITCRRVGDSGEPFSSYSPVFWTSNTTFIEPPFSREEQEIYESREHIRPVAMDRTDKHMEVVSRILCINSSYDLVLPDLSGSSGDPRNVEGRIWEYVDGTFGFGFLRNNFIIDLKHITLNGRILDTVEHCSNVSSS >DRNTG_34551.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002165.1:27924:29505:-1 gene:DRNTG_34551 transcript:DRNTG_34551.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVQSFADFPEDVQLSIISFLLPAELSSFACTSRRSASLCSSSALWNAMLNRRWGSKTLVRRWTATSALPFRRLYKVLDAWDALLGFWRRIGQGTAAGTPPLVFFEFGTSFISGSCVYPSPDAGSYAVVKSPFLWLGLSSHGEPVSFRFEFPEDSSKSATDSVVSEPDLVPVNVSFVGSKHFVVEENRSFYAESPDTEILGTSPPDQLMSEIYQHFANRTSPGGDRASRRQRKRRERERLGRMRWEAEHFVKIGSFGPTPSRPLQGLWKVWHCFSSFVVICSEILFFDLCFRFLAFILVQACNCEGYELF >DRNTG_34551.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002165.1:27924:29505:-1 gene:DRNTG_34551 transcript:DRNTG_34551.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVQSFADFPEDVQLSIISFLLPAELSSFACTSRRSASLCSSSALWNAMLNRRWGSKTLVRRWTATSALPFRRLYKVLDAWDALLGFWRRIGQGTAAGTPPLVFFEFGTSFISGSCVYPSPDAGSYAVVKSPFLWLGLSSHGEPVSFRFEFPEDSSKSATDSVVSEPDLVPVNVSFVGSKHFVVEENRSFYAESPDTEILGTSPPDQLMSEIYQHFANRTSPGGDRASRRQRKRRERERLGRMRWEAEHFVKIGSFGPTPSRPLQGLWKGICEDMRLDFFLVTYDDIGGITCRRVGDSGEPFSSYSPVFWTSNTTFIEPPFSREEQEIYESREHIRPVAMDRTDKHMEVVSRILCINSSYDLVLPDLSGSSGDPRNVEGRIWEYVDGTFGFGFLRNNFIIDLKHITLNGRILDTVEHCSNVSSS >DRNTG_34551.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002165.1:27965:29670:-1 gene:DRNTG_34551 transcript:DRNTG_34551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVQSFADFPEDVQLSIISFLLPAELSSFACTSRRSASLCSSSALWNAMLNRRWGSKTLVRRWTATSALPFRRLYKVLDAWDALLGFWRRIGQGTAAGTPPLVFFEFGTSFISGSCVYPSPDAGSYAVVKSPFLWLGLSSHGEPVSFRFEFPEDSSKSATDSVVSEPDLVPVNVSFVGSKHFVVEENRSFYAESPDTEILGTSPPDQLMSEIYQHFANRTSPGGDRASRRQRKRRERERLGRMRWEAEHFVKIGSFGPTPSRPLQGLWKGICEDMRLDFFLVTYDDIGGITCRRVGDSGEPFSSYSPVFWTSNTTFIEPPFSREEQEIYESREHIRPVAMDRTDKHMEVVSRILCINSSYDLVLPDLSGSSGDPRNVEGRIWEYVDGTFGFGFLRNNFIIDLKHITLNGRILDTVEHCSNVSSS >DRNTG_34551.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002165.1:27965:29505:-1 gene:DRNTG_34551 transcript:DRNTG_34551.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVQSFADFPEDVQLSIISFLLPAELSSFACTSRRSASLCSSSALWNAMLNRRWGSKTLVRRWTATSALPFRRLYKVLDAWDALLGFWRRIGQGTAAGTPPLVFFEFGTSFISGSCVYPSPDAGSYAVVKSPFLWLGLSSHGEPVSFRFEFPEDSSKSATDSVVSEPDLVPVNVSFVGSKHFVVEENRSFYAESPDTEILGTSPPDQLMSEIYQHFANRTSPGGDRASRRQRKRRERERLGRMRWEAEHFVKIGSFGPTPSRPLQGLWKVWHCFSSFVVICSEILFFDLCFRFLAFILVQACNCEGYELF >DRNTG_25075.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:639455:643111:-1 gene:DRNTG_25075 transcript:DRNTG_25075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGEMNRWTGMPYSARYFEIFEKRKALPVWLHKDEFLEALMANQILILVGETGSGKTTQIPQFILEAEGLGKPLVVACTQPRRVVAMSVARRVAEEMDVLLGEEVGYTIRFEDCSSQKTILKYLTDGMLLREASADPLLERYNVIILDEAHERTLATDVLFGLLKEVLIKRPDLKLVIMSATLEAEKFQDYFNVAPLIKVPGRLHPVDLFYTSEPEANYLEAAIRTVVQIHMREPPGDILLFLTGEEEIEDACRKIKMEISDLGDQFGPVKVVPLYSSLALVMQQKIFEPAPAPLKDGGPPGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKLYNPRVRVESLLVTPISKASAHQRSGRAGRTCPGKCFRLYTENSFNTDLQPQTYPELLRSDLASTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDEGNLTKLGEMMSELPLDPQMSKMLIVSPEFNCSNEILTITAMLSGTPLLCPA >DRNTG_25075.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:639455:643111:-1 gene:DRNTG_25075 transcript:DRNTG_25075.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGEMNRWTGMPYSARYFEIFEKRKALPVWLHKDEFLEALMANQILILVGETGSGKTTQIPQFILEAEGLGKPLVVACTQPRRVVAMSVARRVAEEMDVLLGEEVGYTIRFEDCSSQKTILKYLTDGMLLREASADPLLERYNVIILDEAHERTLATDVLFGLLKEVLIKRPDLKLVIMSATLEAEKFQDYFNVAPLIKVPGRLHPVDLFYTSEPEANYLEAAIRTVVQIHMREPPGDILLFLTGEEEIEDACRKIKMEISDLGDQFGPVKVVPLYSSLALVMQQKIFEPAPAPLKDGGPPGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKLYNPRVRVESLLVTPISKASAHQRSGRAGRTCPGKCFRLYTENSFNTDLQPQTYPELLRSDLASTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDEGNLTKLGEMMSELPLDPQMSKMLIVSPEFNCSNEILTITAMLSGFVCFFLPFSFFFN >DRNTG_25075.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:639455:643111:-1 gene:DRNTG_25075 transcript:DRNTG_25075.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGEMNRWTGMPYSARYFEIFEKRKALPVWLHKDEFLEALMANQILILVGETGSGKTTQIPQFILEAEGLGKPLVVACTQPRRVVAMSVARRVAEEMDVLLGEEVGYTIRFEDCSSQKTILKYLTDGMLLREASADPLLERYNVIILDEAHERTLATDVLFGLLKEVLIKRPDLKLVIMSATLEAEKFQDYFNVAPLIKVPGRLHPVDLFYTSEPEANYLEAAIRTVVQIHMREPPGDILLFLTGEEEIEDACRKIKMEISDLGDQFGPVKVVPLYSSLALVMQQKIFEPAPAPLKDGGPPGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKLYNPRVRVESLLVTPISKASAHQRSGRAGRTCPGKCFRLYTENSFNTDLQPQTYPELLRSDLASTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDEGNLTKLGEMMSELPLDPQMSKMLIVSPEFNCSNEILTITAMLSGFVCFFLPFSFFFN >DRNTG_25075.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:639455:643111:-1 gene:DRNTG_25075 transcript:DRNTG_25075.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGEMNRWTGMPYSARYFEIFEKRKALPVWLHKDEFLEALMANQILILVGETGSGKTTQIPQFILEAEGLGKPLVVACTQPRRVVAMSVARRVAEEMDVLLGEEVGYTIRFEDCSSQKTILKYLTDGMLLREASADPLLERYNVIILDEAHERTLATDVLFGLLKEVLIKRPDLKLVIMSATLEAEKFQDYFNVAPLIKVPGRLHPVDLFYTSEPEANYLEAAIRTVVQIHMREPPGDILLFLTGEEEIEDACRKIKMEISDLGDQFGPVKVVPLYSSLALVMQQKIFEPAPAPLKDGGPPGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKLYNPRVRVESLLVTPISKASAHQRSGRAGRTCPGKCFRLYTENSFNTDLQPQTYPELLRSDLASTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDEGNLTKLGEMMTPPCFVRPKEAQRAADEAKAQFSHIDGDHLTLLNVYHAYKQNNEDPQWCFDNFINPRALKVASSVRQQLARIMSRLKLKLCSTNFNSNAYYINIRKALLSGYFMQVAHLESKGEYMTVKDNQPVHLHPSTCLDHKPEWVVYNEYVLTSRDFIRTVTDIRGDWLVDIAPHYYDLSNFPPGEAREVLERLYAKC >DRNTG_15091.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4134312:4134803:1 gene:DRNTG_15091 transcript:DRNTG_15091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILMSPQATGKLTSSSTIISRKRSLESATTVKEFSIEKTERMDPARKKQCHLILKKLMDLAHDLLPKHLDLSKIERKLDCGAYPNTSQFASDVKLALASPTLPADEIHIKAKELNDLFDESWDKRNKWWIDMPKLPPDKKQKVYWIC >DRNTG_02421.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000114.1:108744:109165:-1 gene:DRNTG_02421 transcript:DRNTG_02421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRVLYSSSKDSFVRRSPLASWGKDGEKNTKIGAESALNRAGIGQLPGVDTTRARAEFPHRRG >DRNTG_35161.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28814251:28815696:-1 gene:DRNTG_35161 transcript:DRNTG_35161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLSNKRAAFSRPSEPAQPRRNSTSAATAASRRPRLDRRNANKNIDYDATASSWTSSASSSDDSQGLRATRSLDLHYSDQTSFRIEGLDGEVDQLCRSLGLSGPEEFAIPAADWEARKSRANAETLPPSRLLSQSSCETPLEVVDSSDNRESRDRSPSDYRADPLTADDSPSIKGSGGNSDGGGINGARPPVLTPPPLSSPKLTSSGGSSDGGGGGGGRGGGGIKGVRPPVLTPPPVPSPRLKQVLPLPPSRQTLLPSPPSRQTLLPSPPSNQTIPSPSSNQTLPSPPLKQALPPPPSMVLPTLEKMGSTWDLLRSFAPDDDEEERKYVDLVDDVVEDERAVQLRMGEMFGDMTGSCSYSTSNDDDSSSTTTETIFVISPNGRFKRSINSWMRGRLLGSGSFGTVFEGIS >DRNTG_35220.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8137642:8140371:-1 gene:DRNTG_35220 transcript:DRNTG_35220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSVVSIVVGKLGELLIQEVNFLQGVDEELRSLHNEFQWFQAFLKDADASIQGGNERAKTWVNQVRDVAYDAEDIIDMYIFKIHRHRRVSHGCFSSLMTTCACHPSRLTILHDLGNEIDKVKRRAVEISANRSKYGIESIGATSADSLTSNEAILPLSWKQTPVVEEVDVIGYDEHVKTLVQLLLAEDKGRYAVISIVGMGGLGKTTLAKKVFSNQRIKQHFACHAWVYISQSYRDRELVEAIANQLMVVDKVRMNESTDEEMKKIVHEHLKERRYLVVIDDIWTRGAWDNIKKVLPETINGSKVLLTTRNRDVALHADRRIPPFDLKLLGEEESWELFCKKAIPTKHSEHCPPNLETIGREMVAKCSGLPLAIVVLGGLALRKEQSEKEWRKLFKSVSWQLREGEDQISKILALSYHHLPYYMKPCFLYFAMFPEDSLIDAEDLMLKWIAEGFIEARDEETMEEVAEEYLEELVHRSLIQVAKRNALGGIMECRIHDLLLDLAINEAKGTNFLLVTKTNNSEGSITLKNTRRLVLHGDQSWEVARQYPIDSTRSLRTVTFFGTELKNPKIPQILISMKLLRVIDLRGKAIILPKAIGELIHLRYLNVRVGRSKPVPSSIGELNNLQTLQIEGYYSTTGLPSEIWKLQSNLRHLECGSSSIKGQPSADSLSNLQTLSGIKAGKWLFKGLEKMTNLRKLNICRIHSSYGKALSDSLGKLNNLIELDLIAGLIHEIPTSILTASHHKHLRVLHLNAKLERFPEVSIECLLTNLIKLTLESSSLKEDPLVTLGELDNLQVLELRYNAFVGKEMVCLEKGFPQLKELVFNHLYSLEEWKIEDEAMPKLRKLVIDNCSKLVMLPYGLRGITSLQELNVRGMPSAFTQRLRVNDGDDWDKVRRIPLLNVIDSKY >DRNTG_22851.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:16170904:16176396:1 gene:DRNTG_22851 transcript:DRNTG_22851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVNAPFGGNLEKVQTRKHKSGSKCWNVYQPPRIQVSTMIWRGTRAVTFKHSGLCMYSKISTNMSVIEEAKRFTTDWEKFNGSDIVLCLEEGGTLGSKTPDEAHQLIEEMGLNSYQWNAREKKKVAGLHEIDEGPPKAMGLPGFQPQQQAQHVENRVSGLEIRMNDLEKALTRFVQSANTRFESVEATLCNHTASLHNLENQVGQIAKSLSERPHGSLPSNKETNPREQVKTITLRSGREVEDTTDEIVDEYMQEMFNPDPYEGLFDQEEDDEEVMMLGSTEEVPSTTGILKKVLRKMKRARRRHRKRSKAVGDVREPKKLDEPLLDTCAAILF >DRNTG_33283.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23853232:23853638:-1 gene:DRNTG_33283 transcript:DRNTG_33283.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRNQKLNLIDYRSLSLHEDGFSSATPSKVAPATSSGTSSSSSFSGLIAPALWACF >DRNTG_23378.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1158704:1159595:1 gene:DRNTG_23378 transcript:DRNTG_23378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLSSFHLMNPKLLHLPKLPKTLKPLPKLPSNLSSHFKQCIKTEVILKQAPKQDISELVVSSGFQVPKWVIMGSTSLGLAFLILGLGLGLGFEMKAMALGPEGPIVEEFWDNMRRYGLYILTVSSGAIYTILLPLIELLKNPFTALVLVVVVVAAFYLISTVLGAMTGLSDFSYQYSY >DRNTG_23378.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1158704:1159419:1 gene:DRNTG_23378 transcript:DRNTG_23378.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLSSFHLMNPKLLHLPKLPKTLKPLPKLPSNLSSHFKQCIKTEVILKQAPKQDISELVVSSGFQVPKWVIMGSTSLGLAFLILGLGLGLGFEMKAMALGPEGPIVEEFWDNMRRYGLYILTVSSGAIYTILLPLIELLKNPFTALVLVVVVVAAFYLISTVLGAMTGLSDFSYQYSY >DRNTG_23378.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1158704:1159309:1 gene:DRNTG_23378 transcript:DRNTG_23378.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLSSFHLMNPKLLHLPKLPKTLKPLPKLPSNLSSHFKQCIKTEVILKQAPKQDISELVVSSGFQVPKWVIMGSTSLGLAFLILGLGLGLGFEMKAMALGPEGPIVEEFWDNMRRYGLYILTVSSGAIYTILLPLIELLKNPFTALVLVVVVVAAFYLISTVLGAMTGLSDFSYQYSY >DRNTG_23378.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1158630:1159309:1 gene:DRNTG_23378 transcript:DRNTG_23378.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLSSFHLMNPKLLHLPKLPKTLKPLPKLPSNLSSHFKQCIKTEVILKQAPKQDISELVVSSGFQVPKWVIMGSTSLGLAFLILGLGLGLGFEMKAMALGPEGPIVEEFWDNMRRYGLYILTVSSGAIYTILLPLIELLKNPFTALVLVVVVVAAFYLISTVLGAMTGLSDFSYQYSY >DRNTG_34561.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1410641:1411085:-1 gene:DRNTG_34561 transcript:DRNTG_34561.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTSKIIKGNEAHRLGLVDAIALPDELLDTACRWALDIAESRRPWIKTLNQSDKLGPLDEARNIFDSARAQARKQSAYNQLPLDCIDVIEEGIVSGPLAGLWK >DRNTG_35146.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1255676:1256315:1 gene:DRNTG_35146 transcript:DRNTG_35146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLQLVPCSGWRDMAPEDSPEEPPMAPMVSAIRVSRGKRRRSSSKSSTSWRPSLGSISEDGVAVKMAVASAAASSGKVGERARMSRSVARVPANRERDDYRNYGAPAIVPAFSPTAFLF >DRNTG_13439.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9175846:9180845:-1 gene:DRNTG_13439 transcript:DRNTG_13439.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKWRKSVSDLTAHFVWLASFVGEVPKPNFREPPLPAFDFGQNSSEAAPAPVYSLTAIHTVRKPPSKAWRRPEPPPARVYRVEPRGFRKLIQRLTGATSSASLPSQAPAKPLKETVAPPPALPLAPRSLTPELNDVGCFQELKMSVMNQVQSSALSGGGPVGFLSRSFYSGWCLSPLLSPGAVAALDHSTTTSTHVL >DRNTG_34928.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4147032:4148912:-1 gene:DRNTG_34928 transcript:DRNTG_34928.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMFKVSGLSIPFLLNGELAFQVILVVLFVLPLVLTLGASSPPKILKSPTSKGANLVDSEVVKFPPAGLTLILMLSKYYSTWGGSRLMYSSILPRDEESYFVNMPENIDVTECLLFEINEIIESTLRRFKYSSEEVIIDYRGPSKTQQGD >DRNTG_23315.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1124469:1125308:1 gene:DRNTG_23315 transcript:DRNTG_23315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINHNVEKGLVKKSKGCIKTSKGPWVVKRQGRDGHVWTSLRRPTDRERENNRQRERRRRMVAANIYKGLRLHGNYKLPKHADQNDVLKALCEEAGWHVEEDGTIYRKEQWNTCPGTR >DRNTG_12230.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25052662:25053908:-1 gene:DRNTG_12230 transcript:DRNTG_12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAFKNTSSPKVMTIADLGCSSGPNTLVAVSDAIDAVESVCKELNQKSLPEIHIMLNDLPSNDFNGLIGSFEDFKRSHPCFISVAPGSFYGRLFPTQTIHFVHSSTCLHWLSQVPLELQNGLNKGNVSISKTSPPFVLEAYTKQFERDFSQFLKCRAEELIHDGCMVFTLVARKDEDPSVEGIYLHWELLAQALMDMASQGIVETEKIDSFNLPFYAPTSKEVKNAIKREGSFAIKSIRMFDVGWGEARDHACEHCKVEENATTAQRTVKSMRAGSESLFVSHFGAEIMDELFERYSSLMEGYFSKYPDGGLINICAFVQKIK >DRNTG_24656.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7191865:7199178:-1 gene:DRNTG_24656 transcript:DRNTG_24656.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGELRQSESQKKVFTKSHFEEPLDYPHDEEITPFSYTNID >DRNTG_11861.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:19017573:19018044:1 gene:DRNTG_11861 transcript:DRNTG_11861.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDNLVGELVLEGEGRVAEKRTREASREIGEGGMAIDDDDDASLASLHQDSREIFQWSGE >DRNTG_09781.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000411.1:67188:67446:-1 gene:DRNTG_09781 transcript:DRNTG_09781.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPTAVGYHQNNHHNKIQLHSEYNIHP >DRNTG_22774.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3998257:4000245:1 gene:DRNTG_22774 transcript:DRNTG_22774.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFLEKFFQGVYVKKHEAKESNYCKFNNQGLQLFTSSLYLAAIIASFFASKACSKYGRKRTMQAASLFFLAGVALNAAAVSLPMLIIGRILLGFGVGFANQAVPLFLSEIAPVRIRGALNILFQLQITIGIFIANIVNYFTSKLHPWGWRLSLGIAGVPALILFLGSMLITETPTSLIERNEHEQGRQVLKKIRGTDNVNAEFEELVHASEIARQVKHPFRNLMKRNSRPQLIIAILMQVFQQFTGINAIMFYAPVLFQTMGFKNNASLLSAVITGLVNVLSTIVSVACVDKAGRRALLLEACGQMLIAQTIIGGILAATLHDNNTLGKGMAVGVVLLVCLFVSGFAWSWGPLGWLIPSETFPLETRTAGYAFAVSSNMLFTFLIAQAFLSMLCQMKSGIFFFFAGWIIIMALFVKFMLPETKGIPMEEMTERVWKNHWYWKRFMVEDECELSKMEKGISP >DRNTG_01988.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13341815:13345173:1 gene:DRNTG_01988 transcript:DRNTG_01988.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGLIRGPAFYDPDPTGSTGQTGPAGSTPSRVQGVDGFFPLHRELYGRGLCVVFFCVDGVGSSDRRGLSGRVLAISSSSPNADVEITAIGRCYDSAQHFKEALHDLAIKHNFDFYFIKNDTPRVIVRCAKASCQWRVHASRESNLPTFRIKTAKETHTCSGGIGTTSHPKASKKWVSRHIIQKLRDRPLYHAVDIQRDILRDYGVHLPYKKVWEVDKVSATNPSSIVIIDSDCERFRRRYGGILLGETGKDGNKGFFHLAFAIVDNETEKNWTWFMSTLGDVLYGKDDYDKIITFILDRSKGLVSIVMKVFPSSPHAYCLRHLQPNFYKTGPRWGEMYSNVAKSFNAWVKDARHLPVSNMVKVDSIRRWEVSGLPCKHACATITQNDTNVHRYINNYHIIDFYRATYTDPIFPIPDDVKLMDTSRVLCIRLPITKKRPG >DRNTG_17981.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3547358:3549839:-1 gene:DRNTG_17981 transcript:DRNTG_17981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAPVFGIDQFVNCKKEILGLGEDVGRRLKPYNDPPMLSLDNSQPKLFPWRPKSLQNFLRHPEKTHGRVGFQHARGFALRAHPEKAQGRAAAPMNDDAIVTCPWVISGRACEFLQSWAVYPESTQGRGLAPVGDLVNHTRAWVIFARPCESLQRISPSREDTGACVCLCDLGL >DRNTG_21559.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4944405:4944811:1 gene:DRNTG_21559 transcript:DRNTG_21559.2 gene_biotype:protein_coding transcript_biotype:protein_coding AELQAKLLRRLNAVSVSSIEIGEPDYDTRVEAYGSIKSELFSVLKEDHALIILSQCVYDMSSEKLVFWQNASRALLSFVQFAGPILNSEKKYCDEIISKFELQDDEVNTTQRPNETCVTWMKVSIQMIIENIFLS >DRNTG_21559.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4943713:4944811:1 gene:DRNTG_21559 transcript:DRNTG_21559.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTIWKVCMWSKISFLFLDDKMGNILNTIHPLLTHVDLDLHLLICDILDGLVMNDPFLTFLAKLLRRLNAVSVSSIEIGEPDYDTRVEAYGSIKSELFSVLKEDHALIILSQCVYDMSSEKLVFWQNASRALLSFVQFAGPILNSEKKYCDEIISKFELQDDEVNTTQRPNETCVTWMKVSIQMIIENIFLS >DRNTG_04066.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18751521:18752083:1 gene:DRNTG_04066 transcript:DRNTG_04066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFHKLQPRDKAGKKKEESAYEMEGTKPPTGEEAPSSVTKQRVDAAKQYIENHYKEQMKNLRERKERRCILEKKLADADVSEEEQSNILKHLEKKETEY >DRNTG_19403.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7150680:7152740:-1 gene:DRNTG_19403 transcript:DRNTG_19403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEQDMRKMGGLASLFPLTYAMMLMGSLSLIGFPFATGFYSKDVILELAYTKLRCHDAPIPMAVPSILLALGSLFVGYLAKV >DRNTG_19866.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10250384:10252311:-1 gene:DRNTG_19866 transcript:DRNTG_19866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHRNYNVGLQLQQYWAKDKASSSKVVPFEHDSLHSDFAHDDDDYTPLLDVNPLVESPQVEAVPFA >DRNTG_18919.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12948757:12949740:1 gene:DRNTG_18919 transcript:DRNTG_18919.1 gene_biotype:protein_coding transcript_biotype:protein_coding NINPSKNFEHNLETTPADGIPSYVDSTNNL >DRNTG_11841.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:176234:180832:-1 gene:DRNTG_11841 transcript:DRNTG_11841.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific protein with a short C-terminal coiled-coil domain and a functional NLS, Common symbiosis signaling (SYM) pathwa [Source: Projected from Oryza sativa (Os06g0115600)] MTRSRSSELRRRYAAMQNMLTPTVVEAPNDTPGPGRGGSIMKHEFAGTNNFCDVSMGEMPTQLHTFMSPSNSSTSPFNTPPMATVDTVSSVVSMLKGTLERKKLGNQADKEILEGNSFVFSTAAEVASNIGSHQDAAGQLFEPTMPFHMVSSIHMNDLGKLQKVETSLELNVEGFVSSGNQIQLGVLSQEPSQSESSAAAPVISTGFEVCDDPAQSAQTLSVCENSRKHIGNGTTERGPKAREFRERMLENNFKDDRKKGNLVRMGSVSSGGTVDKGDPTKKRRVERSRKMAEAKERNSTPALPSDMQSVLKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKQNDDLAEEKERLLEEIERIISETNKM >DRNTG_11841.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:176234:181230:-1 gene:DRNTG_11841 transcript:DRNTG_11841.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific protein with a short C-terminal coiled-coil domain and a functional NLS, Common symbiosis signaling (SYM) pathwa [Source: Projected from Oryza sativa (Os06g0115600)] MQAWFQSTQPMTRSRSSELRRRYAAMQNMLTPTVVEAPNDTPGPGRGGSIMKHEFAGTNNFCDVSMGEMPTQLHTFMSPSNSSTSPFNTPPMATVDTVSSVVSMLKGTLERKKLGNQADKEILEGNSFVFSTAAEVASNIGSHQDAAGQLFEPTMPFHMVSSIHMNDLGKLQKVETSLELNVEGFVSSGNQIQLGVLSQEPSQSESSAAAPVISTGFEVCDDPAQSAQTLSVCENSRKHIGNGTTERGPKAREFRERMLENNFKDDRKKGNLVRMGSVSSGGTVDKGDPTKKRRVERSRKMAEAKERNSTPALPSDMQSVLKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKQNDDLAEEKERLLEEIERIISETNKM >DRNTG_11841.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:176234:180832:-1 gene:DRNTG_11841 transcript:DRNTG_11841.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific protein with a short C-terminal coiled-coil domain and a functional NLS, Common symbiosis signaling (SYM) pathwa [Source: Projected from Oryza sativa (Os06g0115600)] MTRSRSSELRRRYAAMQNMLTPTVVEAPNDTPGPGRGGSIMKHEFAGTNNFCDVSMGEMPTQLHTFMSPSNSSTSPFNTPPMATVDTVSSVVSMLKGTLERKKLGNQADKEILEGNSFVFSTAAEVASNIGSHQDAAGQLFEPTMPFHMVSSIHMNDLGKLQKVETSLELNVEGFVSSGNQIQLGVLSQEPSQSESSAAAPVISTGFEVCDDPAQSAQTLSVCENSRKHIGNGTTERGPKAREFRERMLENNFKDDRKKGNLVRMGSVSSGGTVDKGDPTKKRRVERSRKMAEAKERNSTPALPSDMQSVLKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKQNDDLAEEKERLLEEIERIISETNKM >DRNTG_21397.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19517298:19520637:-1 gene:DRNTG_21397 transcript:DRNTG_21397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEKRNPRSTADLLIWSETPPPDPPAVQAPRPHQQPAAVAKVLFGGQVTEEEAASLLKRKPCSGSKLKEMTGSGIFVGDDENGTSESGGTFSTPNNKTSVRICQQTINAMSQISFSADESVSPKKPTSLAEVAKQRELSGTLDRESDAKSKKQISDAKCKELSGHDIFGPPPEIPARPLAARNLEKEKEIGEPLPRSIHTSVKVSNPAGGPSSMIFSEETPVKTAKKIYTQKAAELSGNDIFKEDAPPGSADKPLSNAKLKEMTGSNIFADGKVESKDYFGGVRKPPGGESSIALI >DRNTG_17141.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16878259:16881564:1 gene:DRNTG_17141 transcript:DRNTG_17141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLELCKMAADYIREHAEAFLLFFLSDGKIEADSDVSPLERFKKHCNKVNQTTTRGGQLELGALSHCLEIHNLFENIFGKGFYERLVTCLKEKASKKERWVLDVVLQVDDDNSQRVVETSLEERKQKYIVDAIVDGILVSNKGQGTSCDHRHVEENLVGRISVSILLLDYGFITMIIRLNNMRRGSKSRLSCPREYDNSSLRLDIYDTDTIDMGRIVHITIRHPSLLPPLCRPDTCRSDVEKIIPSTKGKNYAAGEKDGTIADIATAAEDVADAEVRQDEARQETTTTVKNETTVD >DRNTG_07779.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1093175:1096913:-1 gene:DRNTG_07779 transcript:DRNTG_07779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLFLRPAIVVASSAHSTALLPNSRQREKKTSISSLMASHRGFLADRPYEPPSWASHLSPIPSHIRSLAHLPTPIHKWNLPDLPEETEVWIKRDDLSGMQLSGNKVRKLEFLMAEALAQGADCVITVGGIQSNHCRATAVAAKYLNLDCYLILRTSKVLADKDPGLTGNLLVERLVGAHIDLVSKEEYAKIGSVALADLLKTRLIEEGRKPYIIPVGGSNSLGTWGYIEAVREIEQQSQTDNIQFDDIVVACGSGGTIAGLSLGAQLSSLKAEIHAFSVCDSPEYFYDFTQGLIDGLQASADSHDLVNIKDAKGLGYAMNTAEELKFVKQIAEATGVVLDPVYSGKAAIGMLRDMKENPSKWKSHKVLFIHTGGLLGLFDKVDEMKSMVGKWRKMEIDDTVPRVEGTGKMF >DRNTG_16964.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20993363:20994367:1 gene:DRNTG_16964 transcript:DRNTG_16964.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWALEVHHTMVEKKIVDRMLKPPIVPPMQSLTCSQPNLFPWKPKKLFLMIQGNFSRVEEENVGRRLRPSNDPPMPSLNNSRQKLFPWRPKQLCGGVLKGILVATKEEMGRRFKPSKDPPKFKFHNSRPKLFIWRPKAKVVRPSMKERSQCGLQCTNFGGNLAKNKSSTNVPIIEEASDPRRERVPVCVTPMKVWIREAIQAGYCSRVLQ >DRNTG_33428.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001996.1:79586:81326:-1 gene:DRNTG_33428 transcript:DRNTG_33428.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQRRCLLDELNRAQGLARQLQASLGHPSTIPVCKNLAQEILSCIEKAVCLAKPSPLEDYEISEQGTKEQKRRDLTKRRKASPTWTKLVKVSEGQGSEEDGYQWRKYGEKEILRAKHPRSYYRCTHRGSSGCLATKQVQKTDEDPSVLRITYYGVHSCTHQRPTEVQISCMKKEEEEEEEEEEEEEQLQHKGLKQDNHNNEQQQQIQLNIQSFLTSANKPGDEASSSFSFNFDPALGSSSSTTFPQTSSLSKKPELGDYMPEMLDMDFMADTNFSFVDTSNFFSDDDKRN >DRNTG_32829.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001921.1:26619:27300:1 gene:DRNTG_32829 transcript:DRNTG_32829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQGGGGVSGATETQGNESGYGSEPGYRGDGELGYDDEIDEEEDDGRMLFWGERLG >DRNTG_09516.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21728825:21729875:1 gene:DRNTG_09516 transcript:DRNTG_09516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADDLGHGAFFDSCADDDSDSDDESFGPPPPPPSLVAAPTNPNPDGDLPTLSSDHQQGPLSDIDAFPSNALVASSFDDSRRLFQRLFSDEDEITILKGFREFVSQRGTTHASYQYDTGPFYDQIKEKLNLEFSKNQLVEKLRRLKKKYRTAVARIAAGRSSSFKSPHDREAFEISSQIWSPTFKRPRDQRAEAETNKVRIIDSKTLKANPIYATADVDHHREQKPIKSRGRPRDQSEPVEVAVVEGLPTPSMGNYNHGMGETVRSCVTPLFKELLNCVILGPSMAGGLIGGSPALSPCTPTSSLGGMDDKWRKQQIMELEVYAKEDGSCEGTD >DRNTG_12777.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000572.1:10814:13915:1 gene:DRNTG_12777 transcript:DRNTG_12777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHCVWVVNCVGALNYNTEFGICVKCSWLLDHACIIRQLIQQPSGVREENHSKMVVCFEPKKKLSWYSAPARNTGSSQHIRMRI >DRNTG_34927.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20972459:20977057:-1 gene:DRNTG_34927 transcript:DRNTG_34927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKYPKGSSVASSSCVGPDETEEMRVTALFSVIGMTCSACAGSVEKAIKRLPGIHDAAVDVLGNRAQVVFYPAFVSEEQIQETIEDVGFEAALIVEEMNEKSTLICRIRIKGMTCTSCSGTVESALQGVHGVQKAIVALATEEAEIQYDPKIVSADKLMEVVEDTGFEAILMSTGEDRSKIDLRLDGVRTERSMRIIENSLQALPGIENISTDFLLKKISLSYKPDRTGPRTFIEVVESTGSGRFKASLYPDGVGREPHKHREIKQYYQSFLWSIVFTIPVFLTSMVFMYVPGIKHGLDKKIVNMLSIGELLRWILATPVQFIIGRRFYIGAYKALRHGSANMDVLIALGTNAAYFYSVYSVLRAATSPSFKSTDFFETSSMLISFILLGKYLEVLAKGKTSEAIAKLMDLAPETATLLTYDSEGNVLNETEIDSRLIQKNDVIKIVPGGKVASDGVVIWGQSHVNESMITGEARPVAKRKGHTVIGGTVNENGVLHVQTTHIGSESALAQIVRLIESAQMAKAPIQKFADRISMYFVPMVISFAFITWLSWYLAGKLHSYPGSWVPSSMDTFQLSLQFGIAVVVIACPCALGLATPTAVMVATGVGASQGVLIKGGQALESTHKVNCIVFDKTGTLTTGKPVVVTTRLLKNMVLGDFYEYVAAAEVNSEHPLAKAIVQYAKRFGDEDNHVWPAVRDFVSVTGHGVKATVRNKEIVVGNKTLMLDSGIHIPVEASELLREAEEMAQTGIIVSMNQEVVGIIAISDPLKPGAQDVISILNSMKVKSIMVTGDNWGTANAIAKEVGIDTVVAEARPEQKAEKVKELQVWL >DRNTG_04005.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25846471:25848149:-1 gene:DRNTG_04005 transcript:DRNTG_04005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAPTSKPPQWRTLKQRTCHLKGHGCH >DRNTG_26928.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2421871:2422232:1 gene:DRNTG_26928 transcript:DRNTG_26928.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNSHCSQRYRGVRYHEPEYWKFGEEGNKYFRHATGQLYAISKDLASYISNNHFNFLRHVLHKYANEDVSLGAWFIGLDVEHIDD >DRNTG_34992.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002222.1:109855:110376:1 gene:DRNTG_34992 transcript:DRNTG_34992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIRLPLPPSPFLSSRYTRVFLLSSRPLDFQRSLQQDFTLAGDILVATPSSISFILSFLRCGRTEVHIDHHSYLSPSSSLRV >DRNTG_04587.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000220.1:73652:75662:-1 gene:DRNTG_04587 transcript:DRNTG_04587.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFANSHNIGSYSNRDPFLYLHPEVSALRGEGNNTVIDPRKEAPTGNVTENLRDSSLPNNYNEAKIKVIGVGGGGSNAVNRMIESSMKGVEFWIVNTDVQAMRMSPVYPENRLQIGQELTRGLGAGGNPDIGMNAANESKESIQEAVSGADMVFVTAGMGGGTGTGGAPIIAGIAKSMGILTVGIVTTPFSFEGRRRACSGTRRNCSIEKQC >DRNTG_21775.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2974805:2978544:-1 gene:DRNTG_21775 transcript:DRNTG_21775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQLAPENVCYVHCNYCNTILAVSVPGNNVFNIVTVRCGHCSNLLAVNIGALLQSLPPPNLQGNQMEVGSSSKSNRTSASNSVESDQQQMLPIRPPEKRQRVPSAYNRFIKEEIQRIKSMNPDISHREAFSAAAKNWAHFPHIHFGLTLDANKQAKLDEPISAQGSQKVSGILLS >DRNTG_30705.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1755917:1756071:1 gene:DRNTG_30705 transcript:DRNTG_30705.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD5B [Source:Projected from Arabidopsis thaliana (AT5G43530) UniProtKB/Swiss-Prot;Acc:Q9FIY7] EAEPPSTLTCELRSYQKQALHWMSELEKGIDFEQAAKTLHPCWDAYNISDK >DRNTG_30705.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1754322:1755296:1 gene:DRNTG_30705 transcript:DRNTG_30705.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD5B [Source:Projected from Arabidopsis thaliana (AT5G43530) UniProtKB/Swiss-Prot;Acc:Q9FIY7] MDLTTTHFSKYLNPRPIRSVNTGYLKFQEHVASEKAEKGEFLEEPNWFLVEKAYVTGLSTCRGMWKLVENEVVHFCFPKSDVRRRPGNHWVSAKTAAAASEIVRFSTKRSGEIGRLPTEWARCLIPLVSSSKVKVQGRCVLSVQQLSLMQEIVLYVSFYIHGSIFTTGDKSSWKLVSASQLDSTIHPLPTLFKLLKIKPFKK >DRNTG_30705.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1754322:1759207:1 gene:DRNTG_30705 transcript:DRNTG_30705.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD5B [Source:Projected from Arabidopsis thaliana (AT5G43530) UniProtKB/Swiss-Prot;Acc:Q9FIY7] MDLTTTHFSKYLNPRPIRSVNTGYLKFQEHVASEKAEKGEFLEEPNWFLVEKAYVTGLSTCRGMWKLVENEVVHFCFPKSDVRRRPGNHWVSAKTAAAASEIVRFSTKRSGEIGRLPTEWARCLIPLVSSSKVKVQGRCVLSVQQLSLMQEIVLYVSFYIHGSIFTTGDKSSWKLVSASQLDSTIHPLPTLFKLLKIKPFKKADFTPEELDTRKRSLNLKDDDDDEPQQIVGLAKRRRGGQTYPEQSNDEQAVSESSLNKLVGAAEVYDLKEAEPPSTLTCELRSYQKQALHWMSELEKGIDFEQAAKTLHPCWDAYNISDKRASAVYVNVFSGEATTNFPSATQMARGGILADAMGLGKTVMTIALILANPGRGISHDKDIEMSNDNHQSTGISNTKTSTVVKGGTLIVCPMALLGQWKDELETHSKPGSISVFVHYGGDRTNDPKIVADNDVVLTTYGVLAVAYKCDPGKVSIFHQIEWYRVVLDEAHTIKSSKTRVAQSAFALVSHCRLCLTGTPLQNNLEDLYSLLCFLRVEPWCNWAWWHKLIQRPYESGDERGLRLVKAILRPLMLRRTKETTDKEGRPILVLPPVNVQVVECEQSEAERDFYDALFKKSKVRFDQFVAQGKVLHNYASILELLLRLRQCCNHPFLVMSRGDNQEYADLNKLARHFLEGTTQNSVNSTSSAPTRAFVEEVVEGIRRGEVTECPICLESASDDPVLTPCAHRMCRECLLSSWRSPSGGPCPICRKPLTKTDLITCPTESRFQVDVVKNWKESSKVTKLLKCLQSIHNAGLGEKSIIFSQWTSFLDLLEIPLKKKSVGFLRFDGKLSQKQREKVLKEFSESTDKLVLLMSLKAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGQKRQVRVRRFIVKDTVEERMQQVQARKQRMIAGALTDEEVRSARIEELKMLFR >DRNTG_30705.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1755917:1759207:1 gene:DRNTG_30705 transcript:DRNTG_30705.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD5B [Source:Projected from Arabidopsis thaliana (AT5G43530) UniProtKB/Swiss-Prot;Acc:Q9FIY7] MSELEKGIDFEQAAKTLHPCWDAYNISDKRASAVYVNVFSGEATTNFPSATQMARGGILADAMGLGKTVMTIALILANPGRGISHDKDIEMSNDNHQSTGISNTKTSTVVKGGTLIVCPMALLGQWKDELETHSKPGSISVFVHYGGDRTNDPKIVADNDVVLTTYGVLAVAYKCDPGKVSIFHQIEWYRVVLDEAHTIKSSKTRVAQSAFALVSHCRLCLTGTPLQNNLEDLYSLLCFLRVEPWCNWAWWHKLIQRPYESGDERGLRLVKAILRPLMLRRTKETTDKEGRPILVLPPVNVQVVECEQSEAERDFYDALFKKSKVRFDQFVAQGKVLHNYASILELLLRLRQCCNHPFLVMSRGDNQEYADLNKLARHFLEGTTQNSVNSTSSAPTRAFVEEVVEGIRRGEVTECPICLESASDDPVLTPCAHRMCRECLLSSWRSPSGGPCPICRKPLTKTDLITCPTESRFQVDVVKNWKESSKVTKLLKCLQSIHNAGLGEKSIIFSQWTSFLDLLEIPLKKKSVGFLRFDGKLSQKQREKVLKEFSESTDKLVLLMSLKAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGQKRQVRVRRFIVKDTVEERMQQVQARKQRMIAGALTDEEVRSARIEELKMLFR >DRNTG_30705.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1757842:1759207:1 gene:DRNTG_30705 transcript:DRNTG_30705.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD5B [Source:Projected from Arabidopsis thaliana (AT5G43530) UniProtKB/Swiss-Prot;Acc:Q9FIY7] MSRGDNQEYADLNKLARHFLEGTTQNSVNSTSSAPTRAFVEEVVEGIRRGEVTECPICLESASDDPVLTPCAHRMCRECLLSSWRSPSGGPCPICRKPLTKTDLITCPTESRFQVDVVKNWKESSKVTKLLKCLQSIHNAGLGEKSIIFSQWTSFLDLLEIPLKKKSVGFLRFDGKLSQKQREKVLKEFSESTDKLVLLMSLKAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGQKRQVRVRRFIVKDTVEERMQQVQARKQRMIAGALTDEEVRSARIEELKMLFR >DRNTG_30705.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1758027:1759207:1 gene:DRNTG_30705 transcript:DRNTG_30705.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD5B [Source:Projected from Arabidopsis thaliana (AT5G43530) UniProtKB/Swiss-Prot;Acc:Q9FIY7] MCRECLLSSWRSPSGGPCPICRKPLTKTDLITCPTESRFQVDVVKNWKESSKVTKLLKCLQSIHNAGLGEKSIIFSQWTSFLDLLEIPLKKKSVGFLRFDGKLSQKQREKVLKEFSESTDKLVLLMSLKAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGQKRQVRVRRFIVKDTVEERMQQVQARKQRMIAGALTDEEVRSARIEELKMLFR >DRNTG_30705.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1756813:1759207:1 gene:DRNTG_30705 transcript:DRNTG_30705.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD5B [Source:Projected from Arabidopsis thaliana (AT5G43530) UniProtKB/Swiss-Prot;Acc:Q9FIY7] MLRRTKETTDKEGRPILVLPPVNVQVVECEQSEAERDFYDALFKKSKVRFDQFVAQGKVLHNYASILELLLRLRQCCNHPFLVMSRGDNQEYADLNKLARHFLEGTTQNSVNSTSSAPTRAFVEEVVEGIRRGEVTECPICLESASDDPVLTPCAHRMCRECLLSSWRSPSGGPCPICRKPLTKTDLITCPTESRFQVDVVKNWKESSKVTKLLKCLQSIHNAGLGEKSIIFSQWTSFLDLLEIPLKKKSVGFLRFDGKLSQKQREKVLKEFSESTDKLVLLMSLKAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGQKRQVRVRRFIVKDTVEERMQQVQARKQRMIAGALTDEEVRSARIEELKMLFR >DRNTG_30705.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1757656:1758992:1 gene:DRNTG_30705 transcript:DRNTG_30705.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD5B [Source:Projected from Arabidopsis thaliana (AT5G43530) UniProtKB/Swiss-Prot;Acc:Q9FIY7] MSRGDNQEYADLNKLARHFLEGTTQNSVNSTSSAPTRAFVEEVVEGIRRGEVTECPICLESASDDPVLTPCAHRMCRECLLSSWRSPSGGPCPICRKPLTKTDLITCPTESRFQVDVVKNWKESSKVTKLLKCLQSIHNAGLGEKSIIFSQWTSFLDLLEIPLKKKSVGFLRFDGKLSQKQREKVLKEFSESTDKLVLLMSLKAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGQKRQVRVRRFIVK >DRNTG_30705.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1755917:1756674:1 gene:DRNTG_30705 transcript:DRNTG_30705.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD5B [Source:Projected from Arabidopsis thaliana (AT5G43530) UniProtKB/Swiss-Prot;Acc:Q9FIY7] MSELEKGIDFEQAAKTLHPCWDAYNISDKRASAVYVNVFSGEATTNFPSATQMARGGILADAMGLGKTVMTIALILANPGRGISHDKDIEMSNDNHQSTGISNTKTSTVVKGGTLIVCPMALLGQWK >DRNTG_10384.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22962816:22964846:1 gene:DRNTG_10384 transcript:DRNTG_10384.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control protein 48 homolog B [Source:Projected from Arabidopsis thaliana (AT2G03670) UniProtKB/Swiss-Prot;Acc:Q9ZPR1] MGENGILLTMEDWDFARSEVGASITRGASKEVSKVSWDDIGGLKSLKKKLQQAVEWPIKHADAFARLGISPVRGILLHGPPGCSKTTLAKAAAHAAQASFFSLSGAELYSKYVGEGEALLRRTFQKARLAAPSIIFFDEADAIAPKRGGHGGNSSGNVTVGERLLSTLLTEMDGLELATGIIVLAATNRPHAIDAALMRPGRFDLVLYVPPPDVESRYEILQIHTQQMKLCADVDLKRVAECTDLFTGADLEGLCREAGMVALREDLSADSVSDRHFLAARSSLRPSLTRSIVDEYASIEFCR >DRNTG_10384.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22963184:22964846:1 gene:DRNTG_10384 transcript:DRNTG_10384.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control protein 48 homolog B [Source:Projected from Arabidopsis thaliana (AT2G03670) UniProtKB/Swiss-Prot;Acc:Q9ZPR1] MDGLELATGIIVLAATNRPHAIDAALMRPGRFDLVLYVPPPDVESRYEILQIHTQQMKLCADVDLKRVAECTDLFTGADLEGLCREAGMVALREDLSADSVSDRHFLAARSSLRPSLTRSIVDEYASIEFCR >DRNTG_10384.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22961153:22964846:1 gene:DRNTG_10384 transcript:DRNTG_10384.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control protein 48 homolog B [Source:Projected from Arabidopsis thaliana (AT2G03670) UniProtKB/Swiss-Prot;Acc:Q9ZPR1] MMEGEKWKAEEAIAGNRRALEALRELVIYPFRYARESQKLGLKWPRGLLLYGPPGTGKTSLVHAVVRESGAHITMISPYSVHRAHAGESEKVLREAFSEAYSHASSGRPSVIFIDELDAICPRRDNRKEQESRIVGQLLTLMDGSKSLLKPLPHIVVVASTNRVDSVDPALRRPGRFDSEVEVAVPTAEERLQIIQLYAKNLPLDHNVDLEVIAASCNGYVGADLKALCREAARFAQRRGSNAGMGENGILLTMEDWDFARSEVGASITRGASKEVSKVSWDDIGGLKSLKKKLQQAVEWPIKHADAFARLGISPVRGILLHGPPGCSKTTLAKAAAHAAQASFFSLSGAELYSKYVGEGEALLRRTFQKARLAAPSIIFFDEADAIAPKRGGHGGNSSGNVTVGERLLSTLLTEMDGLELATGIIVLAATNRPHAIDAALMRPGRFDLVLYVPPPDVESRYEILQIHTQQMKLCADVDLKRVAECTDLFTGADLEGLCREAGMVALREDLSADSVSDRHFLAARSSLRPSLTRSIVDEYASIEFCR >DRNTG_10384.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22961153:22964846:1 gene:DRNTG_10384 transcript:DRNTG_10384.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control protein 48 homolog B [Source:Projected from Arabidopsis thaliana (AT2G03670) UniProtKB/Swiss-Prot;Acc:Q9ZPR1] MLEKARRFYVKHFLKRIHMRHQGGLLLYLLMNLMPFALAEIIEQESRIVGQLLTLMDGSKSLLKPLPHIVVVASTNRVDSVDPALRRPGRFDSEVEVAVPTAEERLQIIQLYAKNLPLDHNVDLEVIAASCNGYVGADLKALCREAARFAQRRGSNAGMGENGILLTMEDWDFARSEVGASITRGASKEVSKVSWDDIGGLKSLKKKLQQAVEWPIKHADAFARLGISPVRGILLHGPPGCSKTTLAKAAAHAAQASFFSLSGAELYSKYVGEGEALLRRTFQKARLAAPSIIFFDEADAIAPKRGGHGGNSSGNVTVGERLLSTLLTEMDGLELATGIIVLAATNRPHAIDAALMRPGRFDLVLYVPPPDVESRYEILQIHTQQMKLCADVDLKRVAECTDLFTGADLEGLCREAGMVALREDLSADSVSDRHFLAARSSLRPSLTRSIVDEYASIEFCR >DRNTG_10384.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22962240:22964227:1 gene:DRNTG_10384 transcript:DRNTG_10384.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control protein 48 homolog B [Source:Projected from Arabidopsis thaliana (AT2G03670) UniProtKB/Swiss-Prot;Acc:Q9ZPR1] MDGSKSLLKPLPHIVVVASTNRVDSVDPALRRPGRFDSEVEVAVPTAEERLQIIQLYAKNLPLDHNVDLEVIAASCNGYVGADLKALCREAARFAQRRGSNAGMGENGILLTMEDWDFARSEVGASITRGASKEVSKVSWDDIGGLKSLKKKLQQAVEWPIKHADAFARLGISPVRGILLHGPPGCSKTTLAKAAAHAAQASFFSLRYALHVIEHVTILNRSNSIVKDL >DRNTG_26543.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5666669:5681772:1 gene:DRNTG_26543 transcript:DRNTG_26543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRKRGSARAAAAAAARQQWKVGDLVLAKMKGFPAWPAVISDPTKWGYSSDRKKLLVFFYGTKQIAFCNHADIEAFTEEKKKSLLTKRQGKGADFVRAVDEIIDMYDKLKKNDQPENNTENEGLVPNNGNLEDSGSKTCGKPTELNSHMACDSQSEVLYSSVDNSTLASPHCVPVASEKSDFCKMNKVAKEPCEKISILDQLRQTPLAISTTVRKRPRDVTLQSCGTQRKVSSLHRSRSSSADPCKQQNSTLQQSTGDCDSGDDLIADAVQLEHVNRKIVVEEVQDRSPSDALNPQDSTDFGSNSICRESEHNIVSMESEAIDCEAEMMPEPSCQSKHVGNGFLDNDDKLNPELDTSIKTVFLKKKRNSTRKRVQNDSESALLGSNADLQIEEKGTALESLISCSEINDKFHKTDGDEHLPLVKRARVRKGEPVIDDAQLSTEESGMFVLLHKSDQNAISGSPCNNCPSDKPNLDDTEASECSLSIQNCISNTRSDMNFWKAKYQLKGMPIDVEAALPPSKRLHRALEAMSANADELKVGCIDVPMTAELTSNGHMDSLKTTSLHLSTDDEIGEYSAQDIGSPDKVPSLDTISELPSGVLEKVMDSNLLTSSNVNPDNILCHNVAKEIDMDVKDSDEFSPKTEEADIPEETLKTVPCYCEKQVPSTSKEAMVSELPSYVAGDMSSGMLQSLEVCHAGVGKREDQILELIPEKLYSEAAVSADPENEANVTLSMNDGVVTSKFVQLPASNMKGEKELQDSVAEITLSAVSKERGFSPDLAPISVLIAAAQAKRVLSRSTSFSNTVEEGKAIPHSLVNYIEDSSEQGSPSIPSATHGAPCDDRSQTSPNSSSPALHQKDADIPTEHAEVEAARKAFEVSLHILSRTKDSIGRATQLAIECAKYGIAGEVIELLLRNLERESRLYRRIDLFFLVDSIVQCSRTQKGCAGDVYASLVQSVLSRLLCAAAPPGKPAVENRRQCLKVLRLWLERKTLPESIVRHHIRELDFMNEAPFSNHSSRRPRTERAINDPIREMEGMLVDEYGSNTSFQLSGLTVPCVVEDDEHDCSSDEKSFEAVTPERNAEVDDGKGTVTSQEKHRHILEDVDGELEMEDVSPPCEVRVCSISHVAATDNICNSDKQYDQQHSPTFVPPLPEDLPPSPPPLPSSPPPMPSSCSPPSVVNQQLSVGASHTLSVAVDSNAYSASHNIRSQLPQAIYQPPGNLTVNSVPSAPMPYCNHPYGDIRRQIPHPSSSLSSSSYGGFPGAHPTMHTTNRALPLVNRPMLNSYTPQPPPARVSNQFSFVPADPQQRGQAWGNCSSFPNSLQSVHDPRGGKFYNDRDLNGSFQHDIAERGRFSPTVHPGPMVSDKVDASTIPPPFYGPPLEPPPVPCRGWPHPPRISGYHSMPGPRPQPENSISPVEGAHSFWRPR >DRNTG_07768.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1025687:1026082:1 gene:DRNTG_07768 transcript:DRNTG_07768.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRYYYQNSPQYGSSSYNQVPTLPIHLCFFLVILLLFMGISWYMSYESAFESVMEQLKLMLILSPLVLLLAVHWLSQRSPELLIPLPEKESFHRAGGSPWGVAFVLVLLMFMISYQSYFQERWFPLLSR >DRNTG_33720.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1213370:1216296:1 gene:DRNTG_33720 transcript:DRNTG_33720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDNKKCDIFRGEWVPNPKAPYYTNNTCWAIHEHQNCMKFGRPDTDFLKWRWKPDGCELPVFNPAQFLELVKGKSLAFLGDSVARNQMQSLICLLNRVSYGVDVSPTPDEKSTWWSYPTHNFTVANFWSPFLTKAEEADPNGPTMTGLFKLYLDEPDANWTSQIAEFDYVIVSAGHWFFRPTKYYELDRLVGCHYCLDPNITDLTMYYGYRMAFRTTFKTLYNLKGFKGMTFLRTFAPSHFENGEWNKGGDCVRKRPFRSNETRLEGINLELYMTQMEEFRAAEKEASKRGLKFRLLDTTEAMLMRPDGHPSRYGHPLNVNITMYNDCVHWCLPGPVDTWNDFLHHMLKMERGRPSASEQLHLSERMKRV >DRNTG_01298.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1903985:1909000:-1 gene:DRNTG_01298 transcript:DRNTG_01298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSFKPSSHSPSPSLSPSPSCLLAKASIVHMVHCFPRRIITPPPLSSSLRVYLALLQTHVFNSFIILSANAAVLSVLFLAFNIIDALGISSSNAIFAFSVGGVILYSVVLANVMVVCNLATIVSAVENCSGYLPALKACVLISGKAASAMTLAMPANLSMAAIEALFQYRVMKPYQILHRLDVSSIGEAFSISYIYSMLIVLDIIISCMFYRSCKSEYDCQTELEPDDKGALHV >DRNTG_30933.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28142760:28146628:-1 gene:DRNTG_30933 transcript:DRNTG_30933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCTIESDPGVFTELIQQMQVKGVQVEELYSLDIDALNSLRPVYGLIFLFKWRPGEKDDRPVIKDPNPNLFFANQVINNACATQAILSILLNCPEVDIGPELSLLKEFTKNFPSELKGLAINNSEAIRTAHNSFARPEPLVPDEQKAAGKDDDVYHFISYLPVDGVLYELDGLKEGPISLGQCSGGQGDLDWLRMVQPVIQERINRYSQNEIRFNLLAIIKNRREMYTAELKELQKKREHLLQQLSELRDVGSKHNPSIEAINKSLSELTTEIESASEKILMEEEKFKKWKTENIRRKHNYIPFLFNFLKILAEKKQLKPLIEKAKQKSCSPS >DRNTG_16259.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25356120:25358481:-1 gene:DRNTG_16259 transcript:DRNTG_16259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPVRNFRTGVYNTGIFLGCPEKPQGRAASPVGRTHGRGFYDTLVREQSELKECFPESAQGHACALWLSCKEAHGRGSYASFDSLDTIQFRVFGHHHTLSVT >DRNTG_13543.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21006418:21008038:1 gene:DRNTG_13543 transcript:DRNTG_13543.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTVDIYMRHRQRHSSILVTRAWSVYIYHPMDQIIHSSSISSSVTMADNPQPHFLFITYPMQSHINPALHLAKHLATTTGATVTFSTTIFAHRRMFSSTTNSDKGFIDGLITYLPFSDGFDEEGYKRATMDPKEYFSLFRTNSKRNVSILLNDLATSGRPVKCIVYTLLLDWVVDIAGEHGIPSVLYWIQAATVFGTYYHFFHGFECLIKAHADDPSFPVCFPGLPPLQIRDLPSFLTDTEADGIYATILDSFRELFKILDGKQEKKMKKPKVLINTFQEWETVALASFSNEVEAIPVGHLPKEYTNSVVGCLFREDEKKYMEWLDTKEEGSVVYISFGSVSMMKKEQMEEIVKALKQSKRPYLWVVRKDNREEELLEIEEGEDGMVVEWCSQVRVLAHRAVGCFVTHCGWNSTLESLVCGVPMVGVPHWTDQAMNAKLVESFWGCGVRSEVDGVIKGEELVKCLEMVMGEGGKSVDIRRRAKMQKDKALEAVGEAGSSHLNLMALVRMFTE >DRNTG_13543.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21001742:21008038:1 gene:DRNTG_13543 transcript:DRNTG_13543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNPQPHFLFITYPLQSHINPALHLAKHLATTTGATVTFSTTIFAHRRMFSSTTNSDKGFIDGLITYLPFSDGFDEEGYKRATMDPKEYFSLFRTNSKRNVSILLNDLATSGRPVKCIVYTLLLDWVVDIAGEHGIPSVLYWIQAATVFGTYYHFFHGFECLIKAHADDPSFPVCFPGLPPLQIRDLPSFLTDTEADGIYATILDSFRELFKILDGKQEKKMKKPKVLINTFQEWETVALASFSNEVEAIPVGHLPKEYTNSVVGCLFREDEKKYMEWLDTKEEGSVVYISFGSVSMMKKEQMEEIVKALKQSKRPYLWVVRKDNREEELLEIEEGEDGMVVEWCSQVRVLAHRAVGCFVTHCGWNSTLESLVCGVPMVGVPHWTDQAMNAKLVESFWGCGVRSEVDGVIKGEELVKCLEMVMGEGGKSVDIRRRAKMQKDKALEAVGEAGSSHLNLMALVRMFTE >DRNTG_13543.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21001742:21008038:1 gene:DRNTG_13543 transcript:DRNTG_13543.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADNPQPHFLFITYPLQSHINPALHLAKHLATTTGATVTFSTTIFAHRRMFSSTPNSDKGFNDGLITYLPFSDGFDKEGYKRATMDLKEYFSLFRSNSKRNVSILLNDLATSGRPVKCIVYTLLLDWVVDIAGEHGIPSVLYWIQAATVFGTYYHFFHGFECLIKAHADDPSFPVCFPGLPPLQIRDLPSFLTDTEADGIYATILDSFRELFKILDGKQEKKMKKPKVLINTFQEWETVALASFSNEVEAIPVGHLPKEYTNSVVGCLFREDEKKYMEWLDTKEEGSVVYISFGSVSMMKKEQMEEIVKALKQSKRPYLWVVRKDNREEELLEIEEGEDGMVVEWCSQVRVLAHRAVGCFVTHCGWNSTLESLVCGVPMVGVPHWTDQAMNAKLVESFWGCGVRSEVDGVIKGEELVKCLEMVMGEGGKSVDIRRRAKMQKDKALEAVGEAGSSHLNLMALVRMFTE >DRNTG_21252.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:68734:71265:-1 gene:DRNTG_21252 transcript:DRNTG_21252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGRKDGPLMKSTSPPLSRASRIAIAVAVGSLLGCICAFLYPDGLFHEATSRIKSSQASSVSCNSAEKVNMLKSELVSLSEKLAELKKEIRDLNKKLQLAEKEKDQAQEQFLSLGVQRKAFPFGKEKGLRTNPSILPDESINPRLAKILEKVAVQNELIVALANSNVKEMLEVWFDNIKRVGIPNYLVIALDEDIEKFCMSKEVPVYRRNPDEGIDSIGRFGGNHAVSALKFRILREFLQLGYSVLLSDVDIIYLQNPFGYLYRDSDVESMSDGHNNMTAYGFNDVSDEPSMGWARYAHTMRIWVYNSGFFFIRPTTPSIELLDRVAARLARDPVAWDQAVFNEELAFPSHPGYIGLHASRRTMDIYLFMNSKVLFKTVRKDSSLSKLKPVIVHVNYHPDKLPRMKAVVEYYVNGKQNALESFPDGSEW >DRNTG_10087.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000422.1:1888:5700:1 gene:DRNTG_10087 transcript:DRNTG_10087.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAVRSVVQKLGNLIVQEAMDLHGVRDQVEWLERELRRMQCFLKDADAKKNKGGIDGERVKNWVTEMRDVAFEAEDIIDSYMDLKLRGQQKDGCIGFLERYMFILVELIGLHKVHVDLKGVKVRMHELSESRTSYGIANIGETIGTTSQFRSQDVIPILPQLNEEIDIIGFDDEKEKIVQELVDITNTNRSVISIVGFGGLGKTTIAKSIYNDPKVRKNFDRLAWVIISQEYNILEIVKKILSAVSITSSGDTIEKLSAKLFNELTIGKYLVVLDDVWEENVWDQLQKVFPDVDNGSRVIITTRFLNIPKIADPTAQPHELRFLNENEGWELFLRRVFPRQNIETCCPIYLVDSARKLVKRCKGLPLALIVVGGLVSTKPKNKDAWEKIVKSMKWQFVEGGERCLEILALSYNDLPYYLKSCFLYFGCFPQDMAIPAKTLIRLWSAEGFLPTKNGKTIEEVGMDCLEELAQRCMIQVTKRKYDDSASYCRIHDLLRDMCISEAKENRYLEIYKNGTANCGTKTNAARRLMICQEIETLNYSNSKLRGLFYYNEYIYNTLAFKALNEQFGGFKLLRVLYLNSLDMSEFPSGIKSLAHLRYLELHVDNPKEVPSWIGHLRNLQTLIVHCRMFIGKISDSLWTIENLKHVDLGISSSVPPPNMGNIELKNLETLKWVPAGEWIGKMLPKLTNLRQLNITNISVDHADALSSSLQKFVQLASLTIQGDEIPLDNIITAFFQSTLPQEIVYLGRIQL >DRNTG_10087.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000422.1:2768:5700:1 gene:DRNTG_10087 transcript:DRNTG_10087.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFILVELIGLHKVHVDLKGVKVRMHELSESRTSYGIANIGETIGTTSQFRSQDVIPILPQLNEEIDIIGFDDEKEKIVQELVDITNTNRSVISIVGFGGLGKTTIAKSIYNDPKVRKNFDRLAWVIISQEYNILEIVKKILSAVSITSSGDTIEKLSAKLFNELTIGKYLVVLDDVWEENVWDQLQKVFPDVDNGSRVIITTRFLNIPKIADPTAQPHELRFLNENEGWELFLRRVFPRQNIETCCPIYLVDSARKLVKRCKGLPLALIVVGGLVSTKPKNKDAWEKIVKSMKWQFVEGGERCLEILALSYNDLPYYLKSCFLYFGCFPQDMAIPAKTLIRLWSAEGFLPTKNGKTIEEVGMDCLEELAQRCMIQVTKRKYDDSASYCRIHDLLRDMCISEAKENRYLEIYKNGTANCGTKTNAARRLMICQEIETLNYSNSKLRGLFYYNEYIYNTLAFKALNEQFGGFKLLRVLYLNSLDMSEFPSGIKSLAHLRYLELHVDNPKEVPSWIGHLRNLQTLIVHCRMFIGKISDSLWTIENLKHVDLGISSSVPPPNMGNIELKNLETLKWVPAGEWIGKMLPKLTNLRQLNITNISVDHADALSSSLQKFVQLASLTIQGDEIPLDNIITAFFQSTLPQEIVYLGRIQL >DRNTG_32804.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001920.1:11385:11950:1 gene:DRNTG_32804 transcript:DRNTG_32804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTKEVGYTSRSITPPPKRHSRSRLSSRSRVRSRSRSQDVDGAWNPGNNLYVTGLSTRVTSNDLEKYFNKEGKVLECHVVINPRTRESRGFGFVMMETMKDADRCVKYLNRYVLEGRLIKVEKAKRSRGRTPTPGKYRGAREVRGRGHRRSWSYSPYRPRDRGRSRPVRIQGVCGSDLAHLMQVNLV >DRNTG_29760.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15960502:15961077:-1 gene:DRNTG_29760 transcript:DRNTG_29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERFFAVEQSLSAEPALALLSGPPSCGKTSLLFQFAINCASETSSGEVIFICNKRRLESKPPFLSQEISVSNSS >DRNTG_18776.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000972.1:9022:20908:-1 gene:DRNTG_18776 transcript:DRNTG_18776.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAVVDVFIFMLLESLNKFPSLSKRSATITRPMVLALSEQPHSSDSVYNMLAPILDDYPIVEVVLMPIVRHKHYHLLILDKGTNEYLHYSSVTSPTYDQSTCAMRALFKNYLQLKLSITDTSFYLMSQVRDCPQQKEDSIDCSVYLMRFMEQILKGEQFHLATSDVAHVRCDEEQTNDVIVEDPSTMIENHKEATRLYGKSFPFFNDLALVFTKDRAQGFSQIPSEDFFMPMDEPIDSSSLVASNDNASSSGCRKRKNCSKEQNIEAISKNFQQFVEMVGPGFKTMAECAIRNAETKALKETAHREVQEKKKLLSNIIFNVDGLSEDEALLAEWLAQPTGYGTKDQESYLEMFSFIDWDALGEIGLAEEFTSLLRENTIRFYTLGQHHSMSLTQVLVLLSLPLQELHALPRPSYMYVHAILSRSVTGRELVFTGTGTLCRPYIS >DRNTG_00332.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18805180:18806639:1 gene:DRNTG_00332 transcript:DRNTG_00332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKPISIIVVALHLFTVAAATAIGSDHCDIGSLPDPRTLRPDRLTVLISGYSEHRLPLLRSLTTLYSSLPYVAAVLILWGNPQTPSETLTAAIPTSDGAPISLIRHPDSSLNARFLPRAAIRTRAVAVCDDDIDPDPDALALAFSQWLAHERALIGFFARSHAFDLESKTWIYTVHRDRYSIVLTKLMILRTEYLQRYSCWSELREARGLVERERNCEDILMNFVAAMEGGEGPVLVQGKVRDWGDPRNNGTLPASISGEIEDQEEIRRVGLSIRRDHWKRRGECIREFHWMLGVMPLRYSYGKVVEGAGEQGLCNKGGKLVYCDNQHY >DRNTG_00332.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18805180:18806337:1 gene:DRNTG_00332 transcript:DRNTG_00332.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKPISIIVVALHLFTVAAATAIGSDHCDIGSLPDPRTLRPDRLTVLISGYSEHRLPLLRSLTTLYSSLPYVAAVLILWGNPQTPSETLTAAIPTSDGAPISLIRHPDSSLNARFLPRAAIRTRAVAVCDDDIDPDPDALALAFSQWLAHERALIGFFARSHAFDLESKTWIYTVHRDRYSIVLTKLMILRTEYLQRYSCWSELREARGLVERERNCEDILMNFVAAMEGGEGPVLVQGKVRDWGDPRNNGTLPASISGEIEDQEEIRRVGLSIRRDHWKRRGECIREFHWMLGVMPLRYSYGKVVEGAGEQGLCNKGGKLVYCDNQHY >DRNTG_06096.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4736997:4738166:-1 gene:DRNTG_06096 transcript:DRNTG_06096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNAAGEPRENPDHLESRNRTPK >DRNTG_34347.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21172069:21177154:-1 gene:DRNTG_34347 transcript:DRNTG_34347.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-N-acetylmuramoyl-L-alanyl-D-glutamate--2,6-diaminopimelate ligase MurE homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G63680) UniProtKB/Swiss-Prot;Acc:F4I3P9] MPLSPSFDLDFDSLGKSRDRIVEPKFKMSLAELLDESRVVPLAVYGDLDVVISGIQHDSREVNAGDLFICRVGSKTDGHAFLSEADKRGAVAVVADKEINLDETLGCKALVIVEDTNAVVPVLAASFYGHPSKSLSVIGVTGTNGKTTTTHLVKAMYEAMGVRTGMVGTLGYYIHGDNKLEAPNTTPDALVMQKLMAKMVHNGTEAVVMEASSHGLALGRCDEIDFDVAVFTNLTRDHLDFHGTEEEYMKSKGKLFARMVDPERHRKIVNIDDPNAPYFIGQGNPDVPLVTFAMENKNADVYPLKYELSLFETQVLVNTPKGILEISSGLLGRYNIYNILAAIAVGIAVGVPLEDIVRGIEEVDGVPGRCELIDEEQAFAVIVDYAHTPDALSRLLDACRELGPKRIITVFGCGGERDRGKRPLMTKIATDKSDVVILTSDNPRNEDPLDILDDMLAGVGWSMQDYLKYGENDYYPPLPNGHRLFLHDIRRVAVRAAVAMGEEGDIVVVAGKGHETYQIEGDKKEFFDDREESREALHYVDELHRAGIDTSEFPWRLPESH >DRNTG_00499.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30551914:30554223:1 gene:DRNTG_00499 transcript:DRNTG_00499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAQDRFDPTVQNTLVGGIVTVPAAATVPRGGCYSVAPATVISRSCYGNAVCYSEIATSFSDHGCRSPHSYFSLNSDYGMILFGDVPIPSGISCGDEAISVETIEVAYA >DRNTG_18584.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3553028:3553910:-1 gene:DRNTG_18584 transcript:DRNTG_18584.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGVASEGRHESRAKWNEIHKAYLVKLLALEKELKKTNKLLKGFSKLSGFGWDYERHIVSALDDVWAPLLERNKDARKLHTRPFPYFTALQEVYEGRYAEGKGSRGIEDYEDISQLSVHTLSPSVFTPNDSRQPSPIHEIEDDDIMQVEPPSSQPRNPQTQSSSNEILRGIRDQDGQRRKRERKGKGLEIHHSIWINTLHFESTR >DRNTG_13535.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1923855:1926402:1 gene:DRNTG_13535 transcript:DRNTG_13535.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHMYNPSRVKLLSKHMYPEEVFNDVNPDRAFTLWRFRNMYVEGNDVQKFQYSKVGNYKNSTAKEQPKQIISSHGSDMITDPLDCIFGDLESGKETSHSNNVSKRSRRRLRGHKRAHRHYHHHHREANQM >DRNTG_13535.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1925027:1926402:1 gene:DRNTG_13535 transcript:DRNTG_13535.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFNYSLDACVDKILGRDWDHMKLGLAGM >DRNTG_13535.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1923855:1926402:1 gene:DRNTG_13535 transcript:DRNTG_13535.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLLAELEHLLRSEGMTREEAVFFKECKDRAIKDFTVGACASSAIAWIASRSLVPWHRFSLSAGSGLLAGMWRFNYSLDACVDKILGRDWDHMKLGLAGIIMKRHMYNPSRVKLLSKHMYPEEVFNDVNPDRAFTLWRFRNMYVEGNDVQKFQYSKVGNYKNSTAKEQPKQIISSHGSDMITDPLDCIFGDLESGKETSHSNNVSKRSRRRLRGHKRAHRHYHHHHREANQM >DRNTG_13535.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1925027:1926402:1 gene:DRNTG_13535 transcript:DRNTG_13535.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFNYSLDACVDKILGRDWDHMKLGLAGIIMKRHMYNPSRVKLLSKHMYPEEVFNDVNPDRAFTLWRFRNMYVEGNDVQKFQYSKVGNYKNSTAKEQPKQIISSHGSDMITDPLDCIFGDLESGKETSHSNNVSKRSRRRLRGHKRAHRHYHHHHREANQM >DRNTG_18491.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:910586:927448:-1 gene:DRNTG_18491 transcript:DRNTG_18491.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRILLPPSSSYSIFICSLSTDPISGATTHLYAAAPAVKYLAQNEDGFTLSTLGLMNQDKVLMESWDYLKDAVLNGGIPFNMAHGMTSFEYHGTDPRFNKVFNEAMKNHSGIIMKRILEKYRGFDDVKVLVDVGGGVGNTLAQVVAKHKHINFDLPHVISEAPLIPGISLS >DRNTG_18491.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:927225:927448:-1 gene:DRNTG_18491 transcript:DRNTG_18491.2 gene_biotype:protein_coding transcript_biotype:protein_coding PSKQPSNSTPSTSSPPPHPTLSATEITTLLPSSTPSTPIMLDRILLPPSSSYSIFICSLSTDPISGATTHL >DRNTG_13368.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2323396:2327136:-1 gene:DRNTG_13368 transcript:DRNTG_13368.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSCSIFPVSSHLNDEFSSMGNRSSGGSWSPCLLNWDFNHGGDREQGHDFSHLDPIDLLPSDPFGMNLNTTFTAALASWWIRDHGISLDDYGFGGDYLVSSFSDYGYGGWLPLYESQFNAGTLSDFGSEAQDWGFIQAVDRGESSNPNQMEEACDSGEEDYPHDALMYSLAYLGVRDLLSVEMVCRSLRNTVQSDSLLWRCIHIESPLSERMTDDDLFRLTSRAQGNLHYLSLVGCMHITDDGLKHVLETNPRLKKLRIPGCVRLSLEGLINNLKALKSSAMLGITHLRLGKLFGVTSENYDELKLLLGVDRLEQSNARKPRLYHHGPHSLAFDDDRPLDIEMCSLCQKLKLVFDCPSEGCQEKPEECRACDICIARCIQCGRCIKNCEYEETFLLENLCSSCWKETPTPAA >DRNTG_12513.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6730781:6731943:-1 gene:DRNTG_12513 transcript:DRNTG_12513.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRKVVAPFPLPYPTWAFFPSTRKPHVMKASIFSIYYSYMVSHWRFVFLLVFSSCKMGSLGLEEVKKRKAMWLYPKGELPALRDTHTAVSMGNKLLIYGGDCGDHYHGEVDVLDMENMTWSRQPVIQGSSHGVRAGNAAVTYGSKGSTHDDDVNVIGGVGYNQYYSDVWVLDVTNYSWTQLDIDEKQPQGRFSHTAVITGTDIAIY >DRNTG_24945.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001318.1:31918:32975:-1 gene:DRNTG_24945 transcript:DRNTG_24945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNLVNGAMLFDTGSWRPLVELKDNMTPDNREIIQGHRFALLIELEDIYQERSLLDSLLQRYDGRTNKFRIGESLLSFRPQDVALVFGLHMAM >DRNTG_24420.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1288323:1289506:-1 gene:DRNTG_24420 transcript:DRNTG_24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHHLLPFLALILLILPSSSQAQQQPTALFSDTSGYLGSNYNITISNHTLSLTHDCGLYYYDQYDNGSSKVIDFNTPTDEEGCYLTINNFGQLVIKYSNERKKPVTLGTAGKYGTYALLTTKYGIGIFGPRLWDNKIKPEDPQTPKNKNLRAAHSSIVLYSPDDKSRNANDNNSLAINGDVSVYITRYCALSVNHMTTGINIWNSNSSSAEPRICSLYLTRRGVLPLLYYDESNELHTQWTGGALAELKKYYVLVLRYYGGLDIYGVSINVRNIPPYSGPVTENIKMVTA >DRNTG_22791.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3916644:3921230:1 gene:DRNTG_22791 transcript:DRNTG_22791.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGVPSIALSYGWVPKKSSIHDLKLAVESCLPIINSVLNEIRNKAFPLQFFLNIAVPTDVSNHKGFKLTKQGKSNIKIFWTQTSSGVSVDGDATANMYTQDTTGTTKISCSSPTQEQLWSKKIVRNSENKSEKEEEGDDIDWQALQEGYIAVTPLSALSCSEIDTVPYFRGWLPRVTDNSCSSSL >DRNTG_22791.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3914559:3921230:1 gene:DRNTG_22791 transcript:DRNTG_22791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASASSSRLLSPPAAVACSSARRLQISQVLVMELLGVILSLPNLFILMGQQLLQLQVLSGINAGSNCGHNIVCSGTVAGAREAFMCGVPSIALSYGWVPKKSSIHDLKLAVESCLPIINSVLNEIRNKAFPLQFFLNIAVPTDVSNHKGFKLTKQGKSNIKIFWTQTSSGVSVDGDATANMYTQDTTGTTKISCSSPTQEQLWSKKIVRNSENKSEKEEEGDDIDWQALQEGYIAVTPLSALSCSEIDTVPYFRGWLPRVTDNSCSSSL >DRNTG_22791.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3914559:3914874:1 gene:DRNTG_22791 transcript:DRNTG_22791.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAASPTVLVTNDDGIDAAGLRFLVQALVSTGRCRVLVCAPAS >DRNTG_22791.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3920217:3921230:1 gene:DRNTG_22791 transcript:DRNTG_22791.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYTQDTTGTTKISCSSPTQEQLWSKKIVRNSENKSEKEEEGDDIDWQALQEGYIAVTPLSALSCSEIDTVPYFRGWLPRVTDNSCSSSL >DRNTG_01695.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23733883:23738481:-1 gene:DRNTG_01695 transcript:DRNTG_01695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACIACSKQLDDGEDDSTSRAPGTKEPVKSLTSQIKDLVLKISGGGNRQCKGASSSSSYRSKSVRQRGHQPRYTDGSTSDGGQCKYVRSASSSSMPTWGFTTNSHNSDSETRQRRQWISGGIGFPPEDDDNYNDNDDDDDDVVLQDNGEPKEWMAQVEPGVHITFVSLPGGAGNDLKRIRFSREMFNKWQAQRWWGENYDRIMELYNVQRFSSQALPTPPRSEDGEAHIGLQRNSSYPRVGSARDSPTTQTQPHHHVRSKERVFPNTSSSSSSRHKTLSLSPRGGGGTRSVPDPSESMLQQYFHPAAVAAAFGGGGGGVGSVIGGLSGMGKGEASSVEASRTTTSSRDEAASVSISNASDLEVTEWVEQDEPGVYITIRELPDGTRELRRVRFSREKFGEVRAKLWWEENRERIQAQYL >DRNTG_01695.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23733883:23735842:-1 gene:DRNTG_01695 transcript:DRNTG_01695.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTWGFTTNSHNSDSETRQRRQWISGGIGFPPEDDDNYNDNDDDDDDVVLQDNGEPKEWMAQVEPGVHITFVSLPGGAGNDLKRIRFSREMFNKWQAQRWWGENYDRIMELYNVQRFSSQALPTPPRSEDGEAHIGLQRNSSYPRVGSARDSPTTQTQPHHHVRSKERVFPNTSSSSSSRHKTLSLSPRGGGGTRSVPDPSESMLQQYFHPAAVAAAFGGGGGGVGSVIGGLSGMGKGEASSVEASRTTTSSRDEAASVSISNASDLEVTEWVEQDEPGVYITIRELPDGTRELRRVRFSREKFGEVRAKLWWEENRERIQAQYL >DRNTG_01695.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23733883:23734328:-1 gene:DRNTG_01695 transcript:DRNTG_01695.4 gene_biotype:protein_coding transcript_biotype:protein_coding SREKFGEVRAKLWWEENRERIQAQYL >DRNTG_15996.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5210272:5211747:1 gene:DRNTG_15996 transcript:DRNTG_15996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAIGMEYLHEKNIVHFDLKSHNFLVNMKDPQRPICKIGDLGLSKVKQRTLVSGGVRGTIPWMAPELINGKSNMVTDKVDVYSFGIVMWELLTSEEPYENMRSEDIIAGIIKGDLRPEIPSWCDPVWRSLMERCWSSDPSSRPAFSEVSKELRAIAASLNIK >DRNTG_33590.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9322472:9322931:-1 gene:DRNTG_33590 transcript:DRNTG_33590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSMRLVQRVETARGTEYCVTLRDDPPTLNTTALTSTSVPKPPRLHHLSHIHAHHLVLQSQFLFIYRVPVATASRGAHCRALGDAGIT >DRNTG_19551.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001011.1:3003:3377:-1 gene:DRNTG_19551 transcript:DRNTG_19551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCSGLPSPSNPLSAASRDAQRYSMPRSVSLPVDEQQRMRYTQMLSGRNVQQSGLPVPGNLPVDRGVRMLP >DRNTG_03516.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:15228589:15256810:1 gene:DRNTG_03516 transcript:DRNTG_03516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPLAVGLLPSNRASLPFFSFPRSSKNSQVLSQSRPRKGPAKSPFFLP >DRNTG_13249.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13787100:13788920:-1 gene:DRNTG_13249 transcript:DRNTG_13249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARLHYLDKSMDLGVFQAGTIAGYCRKHCRKNTTAALFTAGRENRKTENPHRRVKIPQGRPYGRVDSRFQPFKSQFQQRFQHLFLHLFPNMREGGG >DRNTG_02440.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000115.1:153334:154329:1 gene:DRNTG_02440 transcript:DRNTG_02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLQLSLLCSSWFSTLMYLFWMLLLVHHVLQQPNGCFACVEQEKIALLDIKSAFTRQEINADPYSIFESWNKNMECCSWDRVHCSPTTKHVRSLDLHDSIYSYGYTLNISLFLPFRELRSLTLSYNYFNNCIPSNSFGRMAKLDNLEYLDLSGNYFDFKALSSLAALGSLKALSLRDFWMENEFFTNGSLNVHKQSQMISELLINVVVALSKLSKLKYLDLSGNYLNGTIIPYLGGISSLKTLDLTNNNMNEGLDHLNGMYAIFISIPKDIV >DRNTG_17888.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17459633:17461413:1 gene:DRNTG_17888 transcript:DRNTG_17888.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLLLFFLLTIPLFSFLFFFLFLNTSSSSLSLQTYPLIGNLPQFLHNRHRFLDWTTDILSSSPTNTFTFARLGSCGVITANPSNVEHLLKTNFSSFPKGSRSFTHLHDFLGLGIFNVDGDLWRSQRKTASFEFNTRSLRSFVVRIVQHETLNRLLPLLSVSGSNSASLDLQDLLERFAFDNVCQLAFNEDPACLTADHVTEFAGAFRAATELISGRFRYALPRFWMLKRMLNMGSEKRLKESIATVHDFAERIIRIRRKEKKSEEDLLSRFMADKENSDVFLRDIVISFILAGRDTTSSALTWFFWLLASHPEVKHRILQEVRAVRAKRKLGSVGTEAFDYEELREMQYIHAAISESMRLYPPVPINTMMCYEDQVFPDGTAVKKGWFVTYHAYAMGRMVGIWGEDCMDFKPERWLDEDGNFQPESPYRYPAFHAGPRMCLGKEMAYIQMKSIIACVLERFVIDVIDKERIPGKTQALTLRMKGGLHVRIRERFPSVVV >DRNTG_17888.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17459737:17462343:1 gene:DRNTG_17888 transcript:DRNTG_17888.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLLLFFLLTIPLFSFLFFFLFLNTSSSSLSLQTYPLIGNLPQFLHNRHRFLDWTTDILSSSPTNTFTFARLGSCGVITANPSNVEHLLKTNFSSFPKGSRSFTHLHDFLGLGIFNVDGDLWRSQRKTASFEFNTRSLRSFVVRIVQHETLNRLLPLLSVSGSNSASLDLQDLLERFAFDNVCQLAFNEDPACLTADHVTEFAGAFRAATELISGRFRYALPRFWMLKRMLNMGSEKRLKESIATVHDFAERIIRIRRKEKKSEEDLLSRFMADKENSDVFLRDIVISFILAGRDTTSSALTWFFWLLASHPEVKHRILQEVRAVRAKRKLGSVGTEAFDYEELREMQYIHAAISESMRLYPPVPINTMMCYEDQVFPDGTAVKKGWFVTYHAYAMGRMVGIWGEDCMDFKPERWLDEDGNFQPESPYRYPAFHAGPRMCLGKEMAYIQMKSIIACVLERFVIDVIDKERIPGKTQALTLRMKGGLHVRIRERFPSVVV >DRNTG_19761.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6141251:6150324:1 gene:DRNTG_19761 transcript:DRNTG_19761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKIQFSIDTRRQLKLSKSSILVVGSRGLGSPVALYLTACGAGH >DRNTG_19761.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6144979:6145337:1 gene:DRNTG_19761 transcript:DRNTG_19761.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDVTKNEPRAECFPSTNDGFLSRSNDGHEDDDEDIYV >DRNTG_19761.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6141667:6150324:1 gene:DRNTG_19761 transcript:DRNTG_19761.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRMKQKLGFEWHSAPIWPVCCEGYKSKFLWQNDELFGQIWRGLLGDFLGDLG >DRNTG_09056.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31425182:31426536:1 gene:DRNTG_09056 transcript:DRNTG_09056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCQNLGGGWLADYGLDDEVTSVDFIWPPSVTSAILEFDASHKVDNFSENNCTKKRTRVESCAAPGTKACREKMRRDRLNDRFAELCSILDPGKPPKADKVAILTDANRLLKQLRLEAQKLKESNEALQVSIKNLKAEKVELRDEKVRLKAEKERMEKLLRCMNIAPPPLHSFQHAVFSVPNKAIPYPTYKTPMGMWQWIPPAALDTSQDHVLRPPVA >DRNTG_09056.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31425182:31426536:1 gene:DRNTG_09056 transcript:DRNTG_09056.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESCQNLGGGWLADYGLDDEVTSVDFIWPPSVTRTRVESCAAPGTKACREKMRRDRLNDRFAELCSILDPGKPPKADKVAILTDANRLLKQLRLEAQKLKESNEALQVSIKNLKAEKVELRDEKVRLKAEKERMEKLLRCMNIAPPPLHSFQHAVFSVPNKAIPYPTYKTPMGMWQWIPPAALDTSQDHVLRPPVA >DRNTG_18789.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:305948:306378:-1 gene:DRNTG_18789 transcript:DRNTG_18789.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLSLLSDLDLLSISSLFGSLCYLNIKGCALVTDIGISKLLCKCPNIKSLILSYTSFGRNSVLALCSDNMTSAVSSGDCDHRKSGTMAYHLHQLQINGCKG >DRNTG_04930.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8467349:8475689:1 gene:DRNTG_04930 transcript:DRNTG_04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSQSDMTQGAKEKQPRKERASSRDVMADMNTRLSRVELVLAEGQERSDDMEHRIEGLEHVVNEEFREEMQGALNITISKCTGQIKSLEESFQPRVVHVEDKIEKYYTELLARMKQLEDELVVCKRAIAQGASVAIPATTPSSSKIDVPRPKPYNGSRNAKEIDNYFWGLEQYFKAHSLEEAKKVDSATLYLTDAAMIWWRRRYGDIEKGTLTINSFDDFKKELKKQFYPENAENEARAKLRRLSHKNSIQEYVKEFSEVLFEITDYPDKEALFAFMDGLQHWARLEIQRRGAQDLATAITIAESLIEFKKPEKPKSFKDKGYKGKSGGETKKENFSKFSKPKEARPEGKERPPLKCYFCDGPHFARNCPNKSKISALVEEKESAHEEKKMGSLQILDAIKAKVETKGEKKGRLFVEANVKGQVVRALVDTGASNNFLEVKEAERLGVTYKSEHGWLKAVNSEAKSIFGVARGVEVRLGEWRSQVDFSIVPMDDYSMVLGMDFMDSVKAVPIPFANTMCIVGEGSPSMIPLAREVSLQAKQISALQLQKGLKKKSCSTFLAVLKEEAGEKTCEVPKEIIPVLEKFKDIMPPELPKKLPPKREVDHKIELEHGSTPPAAVPYRMAPPELEELRRQLKELLDAGYIRPSKAPYGAPVLFQKKHDGSLRMCIDYRALNKLTVKNKYPIPLIGDLFDRLGDARWFTKLDLRSGYYQVRIAEEDVPKTACVTRYGSYEFLVMPFGLTNAPATFCTLMNHVFHPFLDKFVVVYLDDIVVYSKTLDEHIQHLQQVFQVLRENELFVKREKCEFVRPEVSFLGHVVGHGKIKMDMAKIQAIESWEAPKRATELRSFLGFVNYYRKFIRGHSSIASPLTNLLKKNKAWEWNVACQEAFMKLKQAVMEEPVLALPDHAKPFEVQTDASDYAIGGVLMQEGHPIAFESRKLNDTERKYTVQEKE >DRNTG_04930.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8467349:8473863:1 gene:DRNTG_04930 transcript:DRNTG_04930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSQSDMTQGAKEKQPRKERASSRDVMADMNTRLSRVELVLAEGQERSDDMEHRIEGLEHVVNEEFREEMQGALNITISKCTGQIKSLEESFQPRVVHVEDKIEKYYTELLARMKQLEDELVVCKRAIAQGASVAIPATTPSSSKIDVPRPKPYNGSRNAKEIDNYFWGLEQYFKAHSLEEAKKVDSATLYLTDAAMIWWRRRYGDIEKGTLTINSFDDFKKELKKQFYPENAENEARAKLRRLSHKNSIQEYVKEFSEVLFEITDYPDKEALFAFMDGLQHWARLEIQRRGAQDLATAITIAESLIEFKKPEKPKSFKDKGYKGKSGGETKKENFSKFSKPKE >DRNTG_04930.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8467349:8475689:1 gene:DRNTG_04930 transcript:DRNTG_04930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSQSDMTQGAKEKQPRKERASSRDVMADMNTRLSRVELVLAEGQERSDDMEHRIEGLEHVVNEEFREEMQGALNITISKCTGQIKSLEESFQPRVVHVEDKIEKYYTELLARMKQLEDELVVCKRAIAQGASVAIPATTPSSSKIDVPRPKPYNGSRNAKEIDNYFWGLEQYFKAHSLEEAKKVDSATLYLTDAAMIWWRRRYGDIEKGTLTINSFDDFKKELKKQFYPENAENEARAKLRRLSHKNSIQEYVKEFSEVLFEITDYPDKEALFAFMDGLQHWARLEIQRRGAQDLATAITIAESLIEFKKPEKPKSFKDKGYKGKSGGETKKENFSKFSKPKEARPEGKERPPLKCYFCDGPHFARNCPNKSKISALVEEKESAHEEKKMGSLQILDAIKAKVETKGEKKGRLFVEANVKGQVVRALVDTGASNNFLEVKEAERLGVTYKSEHGWLKAVNSEAKSIFGVARGVEVRLGEWRSQVDFSIVPMDDYSMVLGMDFMDSVKAVPIPFANTMCIVGEGSPSMIPLAREVSLQAKQISALQLQKGLKKKSCSTFLAVLKEEAGEKTCEVPKEIIPVLEKFKDIMPPELPKKLPPKREVDHKIELEHGSTPPAAVPYRMAPPELEELRRQLKELLDAGYIRPSKAPYGAPVLFQKKHDGSLRMCIDYRALNKLTVKNKYPIPLIGDLFDRLGDARWFTKLDLRSGYYQVRIAEEDVPKTACVTRYGSYEFLVMPFGLTNAPATFCTLMNHVFHPFLDKFVVVYLDDIVVYSKTLDEHIQHLQQVFQVLRENELFVKREKCEFVRPEVSFLGHVVGHGKIKMDMAKIQAIESWEAPKRATELRSFLGFVNYYRKFIRGHSSIASPLTNLLKKNKAWEWNVACQEAFMKLKQAVMEEPVLALPDHAKPFEVQTDASDYAIGGVLMQEGHPIAFESRKLNDTERKYTVQEKE >DRNTG_14700.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20892183:20895288:-1 gene:DRNTG_14700 transcript:DRNTG_14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLFPPGNGTPRSALSPVTTISSSPTSSSSVNPPLALPPDPRSSRLSLWPGLWTRSSAPGRRFDVVGTSYGGFVAYHMARSCGPEKVRKVVIASSDLLKKEADDLALAERGGVNHVSELMIPKGPAEVRRFASLVVYKPPKFMPDFVVRDVLMKLFNVNVAQKMELMRSLTLPDETKFQLTPLPQEAMLIWGQQDRIFPLEKAVQIKQILGEDVKLATIKNTGHLPQTEDPRRFNEILLDFLLSSPRQWKMEHH >DRNTG_22948.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5405012:5411700:-1 gene:DRNTG_22948 transcript:DRNTG_22948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPAEEAPVPDPAPAPAPAPAAAATVADPVQEAIQPQVRAVPRQKTKAPEPIERSTFDLVDKMQYLFVRVVRARSLPNGAKPHVRITASGRQACTTVARRVGKLSEWNQTFAFARDPAVADDSSMIEVSVWDLPPDAHVDDGDDRHFLGGLCFDVSDIPKRDPPDSTLAPQWYALEGRWARSGDLMLSTWIGTQADEWFREAWKADGSSRHGSGSKVYQSPKLWYLRATIIELQDAVLAAREISISFRATLGFQMQRTRYSISRNGTAPSWNEDLIFVAAEPFDEDQRLILQMETRNGKETIVLGSAVIPLSSVERRVDDRKVASRWLDLIPADEKRRRSFGGRLHIRVCLDGGYHVADEPSHAASDYRPSARQLWRPPIGSIELGIISCKGLLPMRTVDGKGTTDAFAVAKYGPKWARTRTISDNFDPAWNEQYTWEVHDPCTVLTIAVFDDSIDSTSKDSTCRPNGKTPTPDLHPRNQPRLPLLLPTHHPPPLRPQTHGRHRDRRQVLPSRIIPRPPPPLHPTNAAGNAPRTPNPSRATGTPAPRGGEDRGGASLPRRTISQKRGRRLGSRS >DRNTG_22948.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5405012:5408231:-1 gene:DRNTG_22948 transcript:DRNTG_22948.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPAEEAPVPDPAPAPAPAPAAAATVADPVQEAIQPQVRAVPRQKTKAPEPIERSTFDLVDKMQYLFVRVVRARSLPNGAKPHVRITASGRQACTTVARRVGKLSEWNQTFAFARDPAVADDSSMIEVSVWDLPPDAHVDDGDDRHFLGGLCFDVSDIPKRDPPDSTLAPQWYALEGRWARSGDLMLSTWIGTQADEWFREAWKADGSSRHGSGSKVYQSPKLWYLRATIIELQDAVLAAREISISFRATLGFQMQRTRYSISRNGTAPSWNEDLIFVAAEPFDEDQRLILQMETRNGKETIVLGSAVIPLSSVERRVDDRKVASRWLDLIPADEKRRRSFGGRLHIRVCLDGGYHVADEPSHAASDYRPSARQLWRPPIGSIELGIISCKGLLPMRTVDGKGTTDAFAVAKYGPKWARTRTISDNFDPAWNEQYTWEVHDPCTVLTIAVFDDSIDSTSKDSTCRPNGKTPTPDLHPRNQPRLPLLLPTHHPPPLRPQTHGRHRDRRQVLPSRIIPRPPPPLHPTNAAGNAPRTPNPSRATGTPAPRGGEDRGGASLPRRTISQKRGRRLGSRS >DRNTG_04687.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30427908:30429006:-1 gene:DRNTG_04687 transcript:DRNTG_04687.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQEERLVLELHSRWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKKNLAGETSASVCTSTSTSTTTVDASTTDNTTITTTLTAGFEDEMDGYPIDKIWNELSCDASPVLDCTTCSSSDELWNLDDEEELLPNSMSDCLVPALYQQHR >DRNTG_04687.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30427908:30429006:-1 gene:DRNTG_04687 transcript:DRNTG_04687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQEERLVLELHSRWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKKNLAGETSASVCTSTSTSTTTVDASTTDNTTITTTLTAGFEDEMDGYPIDKIWNELSCDASPVLDCTTCSSSDELWNLDDEEELLPNSMSDCLVPALYQQHR >DRNTG_19187.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21384885:21389784:1 gene:DRNTG_19187 transcript:DRNTG_19187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVSSWPCSVPCLWILAVCHGCKSSTSGIFVDQKEDQPIAAVPDHNILERRGLHDLNLPPPPAAAIGTQAITEDSAALDLRLVSPSSSAAPEYQSVCTLEKVKSALERAEREARGRRRMSDGSPSPSSSTTSSSAKRRAAEAEEEEGLDGWDSSAETGMMAAGCPGCLLYVLISRRNPRCPRCDSHVPITAIPKKLKIDLNYTSP >DRNTG_19187.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21384528:21387507:1 gene:DRNTG_19187 transcript:DRNTG_19187.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNYIRCRLASENSGVNAGIIMAC >DRNTG_15980.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5133618:5136175:1 gene:DRNTG_15980 transcript:DRNTG_15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVWTCSSLRSFLPFCFLSFILYLDFGGGVMVQAFVGTFGVNYGRVADNIPTPEGAVTLLKAAKIRNVKIYDADHTVLQAFSGSGIDVVVCIPNENLIDFSVNEERPLEWIKVNVQPFLPDTHIRGIAVGNEILEGADQNIAEALVGAFKNVYSSLDRLQLADSIEVLTPHSQAVFANSYPPSSCVFKETVLPFMLPLLEFSSKIGSHFYINVYPFLAYKNDPEHIDIKYALFQSNPGVYDAKTDLHYDNMLDAEIDAVYAALESVGYDKMEVRVSETGWASEGDEGEAGANPHNARTYNFNLYKRLLKKKGTPLRPKIPVRVFLFALFNENLKPGPSSERHYGLFKADGSVAYNMGFTGLRSSDGSSSLLSLKGIGSQGWLVPYSFVLSVCAATLLMVLTT >DRNTG_15980.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5133866:5134908:1 gene:DRNTG_15980 transcript:DRNTG_15980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAFVGTFGVNYGRVADNIPTPEGAVTLLKAAKIRNVKIYDADHTVLQAFSGSGIDVVVCIPNENLIDFSVNEERPLEWIKVNVQPFLPDTHIRGIAVGNEILEGADQNIAEALVGAFKNVYSSLDRLQLADSIEVLTPHSQAVFANSYPPSSCVFKETVLPFMLPLLEFSSKIGSHFYINVYPFLAYKNDPEHIDIKYALFQSNPGVYDAKTDLHYDNMLDAEIDAVYAALESVGYDKMEVRVSETGWASEGDEGEAGANPHNARTYNFNLYKRLLKKKGTPLRPKIPVRVFLFALFNENLKPGPSSERHYGLFKADGSVAYNMGFTGLRSSDGSSSLLSLK >DRNTG_07219.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20266076:20270465:1 gene:DRNTG_07219 transcript:DRNTG_07219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLMRSRISFQQVICGSYLRLESQPSESLQRRCYHHLSLTDLMRA >DRNTG_24212.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22574815:22576449:1 gene:DRNTG_24212 transcript:DRNTG_24212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGGFLDLLSSGDGEEHAFLLSSSTTSHMLCFASASSTESSLSSSPPLPSTTSSTVTTTATTISKSTTKKGGGSSKKKTKISSESSSVTTSGTMRVRKEKLGERIMALQQLVSPFGKSDTASVLHEALGYIRFLHDQVQVLSLPYMQRLPTPPATLHDGGEGGSNELRSRGLCLVPVSCTDHVANNNGADIWSPSSMGSNSSSASKH >DRNTG_14157.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14281049:14282608:-1 gene:DRNTG_14157 transcript:DRNTG_14157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINTESRFRDVNTKFDALTSELHALDDKCNARFEDIDATLLLVQASLHKLENRVEQLFQERAVELPTTSNNEIEEKYDGREVV >DRNTG_34662.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23045376:23048333:-1 gene:DRNTG_34662 transcript:DRNTG_34662.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNLQTLKLHDCYKLSEVPKSITYMNSLRHLFFPGYCPALKALPAGLNQLQNLKTLTQYTVGDDAENNIGQLKSLNPFGELALYNLQKVKNADEARKANLGNKQLIHTLNLSWDNLSWKYDDEYYLMENAEEVLEALKPPSGVQKLTVSYYPGKQFPVWMGERQQFQYLHRIELSECIECEQLPPLETLPSLAYLSISGMDGIKHIINNSRGNNALQSFPALKELSLYRMMNLEGWCVEEGREANLCLFPCLTEMHITECPKLTTMPSIPTLQELYINQSFCETQISLVSEVRRFFKHLESSQSLSMKSCTDKLVLLSEVEEEIRVMKSSLESLIIGNCNQLSLALGLQNLPSLRRLEVKSLEKLVSWPDKLQSLKFLDDLTISSCKNFTGVSSQGDSVPPFLKSLEVFECDSMRELPTCPTSLQSLSINRCRGIESLGPEMGHLTSLSKLEVSRCPKLVSLSNGMQALTSLQYLSIEFCQALKSFPEGLQQLLPTLKELELKIKKCPELERLCNPGGDYYDLLSTISDKQIGEEPELESKPEQIIQVPNEISIGAKTSF >DRNTG_34662.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23045376:23048317:-1 gene:DRNTG_34662 transcript:DRNTG_34662.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNLQTLKLHDCYKLSEVPKSITYMNSLRHLFFPGYCPALKALPAGLNQLQNLKTLTQYTVGDDAENNIGQLKSLNPFGELALYNLQKVKNADEARKANLGNKQLIHTLNLSWDNLSWKYDDEYYLMENAEEVLEALKPPSGVQKLTVSYYPGKQFPVWMGERQQFQYLHRIELSECIECEQLPPLETLPSLAYLSISGMDGIKHIINNSRGNNALQSFPALKELSLYRMMNLEGWCVEEGREANLCLFPCLTEMHITECPKLTTMPSIPTLQELYINQSFCETQISLVSEVRRFFKHLESSQSLSMKSCTDKLVLLSEVEEEIRVMKSSLESLIIGNCNQLSLALGLQNLPSLRRLEVKSLEKLVSWPDKLQSLKFLDDLTISSCKNFTGVSSQGDSVPPFLKSLEVFECDSMRELPTCPTSLQSLSINRCRGIESLGPEMGHLTSLSKLEVSRCPKLVSLSNGMQALTSLQYLSIEFCQALKSFPEGLQQLLPTLKELELKIKKCPELERLCNPGGDYYDLLSTISDKQIGEEPELESKPEQIIQVPNEISIGAKTSF >DRNTG_34662.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23044708:23048317:-1 gene:DRNTG_34662 transcript:DRNTG_34662.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNLQTLKLHDCYKLSEVPKSITYMNSLRHLFFPGYCPALKALPAGLNQLQNLKTLTQYTVGDDAENNIGQLKSLNPFGELALYNLQKVKNADEARKANLGNKQLIHTLNLSWDNLSWKYDDEYYLMENAEEVLEALKPPSGVQKLTVSYYPGKQFPVWMGERQQFQYLHRIELSECIECEQLPPLETLPSLAYLSISGMDGIKHIINNSRGNNALQSFPALKELSLYRMMNLEGWCVEEGREANLCLFPCLTEMHITECPKLTTMPSIPTLQELYINQSFCETQISLVSEVRRFFKHLESSQSLSMKSCTDKLVLLSEVEEEIRVMKSSLESLIIGNCNQLSLALGLQNLPSLRRLEVKSLEKLVSWPDKLQSLKFLDDLTISSCKNFTGVSSQGDSVPPFLKSLEVFECDSMRELPTCPTSLQSLSINRCRGIESLGPEMGHLTSLSKLEVSRCPKLVSLSNGMQALTSLQYLSIEFCQALKSFPEGLQQLLPTLKELELKIKKCPELERLCNPGGDYYDLLSTISDKQIGEEPELESKPEQIIQVPNEISIGAKTSF >DRNTG_07460.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26800950:26802139:-1 gene:DRNTG_07460 transcript:DRNTG_07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSELLCNICMGAINMTPKCGEVHSISVCGHFFHSFCLQQWIGKCPAGLKLVCPSCKRSFSSDNVVPLIFSYPGDEEAGQMSTLESEDDRMKHVLLTCNKCKKCKKKEKLVRSLRKAIVKVIKELEMTKTDFKKLLKVVKKAEKLLEKD >DRNTG_03539.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:260557:263552:-1 gene:DRNTG_03539 transcript:DRNTG_03539.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISANVYEQHFLASDWDPILSMDNHAMSFDVSAGFSPYIVGVSEQMPNLSFFSSKRHVPSTHLVDNNHQTETNLKWKKRKAVSNEFHIEVEQKKDGSPESAKSSKEKDEKKGKTSKHSKINTQNADAGKDDYIHVRAKRGQATNSHSLAERVRREKISERMRLLQDLVPGCNKITGKAMMLDEIINYVQSLQRQVEFLSMKLAAVHPEVNFDIEQILSKGILHSEDGNAAATLGFGPGMTTTHSHLHGVIQTDVRMCSMPNSQISPTARIPNAWDHELQNIAPMSFIDSKDLNGDMKVQI >DRNTG_03539.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:260557:262377:-1 gene:DRNTG_03539 transcript:DRNTG_03539.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLCYCHCAITEFARRSDRDRPSRMKFLERVESRSVYPVQRANSGRQPSREGRRERAPSGRSCCVFSSTSLAWIPPLPMHGGLELSHRILSLVVSQSEKEDHPRKIDLTSLSPPIHRHFQAEIGQRSWH >DRNTG_03539.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:260557:264129:-1 gene:DRNTG_03539 transcript:DRNTG_03539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKACLWGHLSLWFSWLDKAAKKPVQLDSGMISANVYEQHFLASDWDPILSMDNHAMSFDVSAGFSPYIVGVSEQMPNLSFFSSKRHVPSTHLVDNNHQTETNLKWKKRKAVSNEFHIEVEQKKDGSPESAKSSKEKDEKKGKTSKHSKINTQNADAGKDDYIHVRAKRGQATNSHSLAERVRREKISERMRLLQDLVPGCNKITGKAMMLDEIINYVQSLQRQVEFLSMKLAAVHPEVNFDIEQILSKGILHSEDGNAAATLGFGPGMTTTHSHLHGVIQTDVRMCSMPNSQISPTARIPNAWDHELQNIAPMSFIDSKDLNGDMKVQI >DRNTG_13654.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1281085:1281741:-1 gene:DRNTG_13654 transcript:DRNTG_13654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPVSCLCSCIQSYVTRQDISYVFRTKKKLNNLENAMKDLKAKKKDIEKELHDTQNKRKVPDNQLQRWLQKVGEKDDEVNQLWNEYNDGCCVQGPCCLNCFSRYRISRSAINLLDEITQLKDENVEVSFIEQQPP >DRNTG_01385.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28224433:28226646:-1 gene:DRNTG_01385 transcript:DRNTG_01385.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCCTTFSLVFSNCSFHKYILTFIMQKKCQLKHPPGNEIYRSGPLSMFEVDGMKNKVYSQNLCSISKLFIEHKAANIEVGGFLFYILCECDDRGCHIVAYFSKHTNSQRSRAKQGPRSGHFLI >DRNTG_01385.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28223193:28227577:-1 gene:DRNTG_01385 transcript:DRNTG_01385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKEQLLRHMKKCQLKHPPGNEIYRSGPLSMFEVDGMKNKVYSQNLCSISKLFIEHKAANIEVGGFLFYILCECDDRGCHIVAYFSKHTNSQRSRAKQGPRSGHFLI >DRNTG_01385.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28223193:28226646:-1 gene:DRNTG_01385 transcript:DRNTG_01385.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCCTTFSLVFSNCSFHKYILTFIMQKKCQLKHPPGNEIYRSGPLSMFEVDGMKNKVYSQNLCSISKLFIEHKAANIEVGGFLFYILCECDDRGCHIVAYFSKHTNSQRSRAKQGPRSGHFLI >DRNTG_26483.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:607218:610916:1 gene:DRNTG_26483 transcript:DRNTG_26483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYDCLVVALGAKANTFNTPGVVENCHFLKEIEDAQKIRRSVMNCFERASLPNLTEEERRKNVHFVVVGGGPTGIEFAAELHDYVTEDLAILYPGVKDLVKISVIEAGDHILTMFDKRITKFAEEKFQRDGIDLKTNFKVVKVSDKAITMSNPSTGEFSLPYGMVVWSTGIGTRPVMLDLMKQVNQAGRRVLATDEWLRVLGCSDVYALGDCATISQRRVMEDIAEIFKVADIDNSGTLTVKEIKDVLDDICVRYPQVELYMKTKQMKDFSDLLKESRSNAKLEYIELSIEDFKKALCNVDSQVKNLPATAQVAAQQGEYLAQCFNRMKECEENPEGPRRIREPGRHRFRPFRYKHLGQFAPLGGEQAAAQLPGDWISIGHSTQWLWYSVYASKQVSWRTRMLVVSDWARRFIFGRDSSCI >DRNTG_26483.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:608044:610916:1 gene:DRNTG_26483 transcript:DRNTG_26483.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFERASLPNLTEEERRKNVHFVVVGGGPTGIEFAAELHDYVTEDLAILYPGVKDLVKISVIEAGDHILTMFDKRITKFAEEKFQRDGIDLKTNFKVVKVSDKAITMSNPSTGEFSLPYGMVVWSTGIGTRPVMLDLMKQVNQAGRRVLATDEWLRVLGCSDVYALGDCATISQRRVMEDIAEIFKVADIDNSGTLTVKEIKDVLDDICVRYPQVELYMKTKQMKDFSDLLKESRSNAKLEYIELSIEDFKKALCNVDSQVKNLPATAQVAAQQGEYLAQCFNRMKECEENPEGPRRIREPGRHRFRPFRYKHLGQFAPLGGEQAAAQLPGDWISIGHSTQWLWYSVYASKQVSWRTRMLVVSDWARRFIFGRDSSCI >DRNTG_02394.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9003454:9009642:-1 gene:DRNTG_02394 transcript:DRNTG_02394.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative ALA-interacting subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G46150) UniProtKB/Swiss-Prot;Acc:Q67YS6] MEMEPGSSSGSSGGSQPHSVPSRRSRAFYRFTQQNLPACKPALTPGLVIITFLLLGIICVPVGLVCLHASRSVVEIVDRYDIECIPEQYQSNKVSYIKDSMISKDCKRDLKVQSHMKAPIYIYYELENYYQNHRRYVKSRSDKQLLHGLKYRDTSLCKPQETSNGLPIVPCGLIAWSLFNDTYSFVRGTTAMNISRKNIAWKSDQDHKYGKHVYPFNSQNGSFIGGGKLDPNTPLSDQEDLIVWMRTAALPKFRKLYGRIKEDLNAGEVITVHLVNNYNTYSFGGKKKLVFTTSSWLGGKNDFLGLAYAATGFSFILVAILFALIHVKNPRPHGDAILSSWNRKTSAR >DRNTG_14460.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000693.1:36887:39151:1 gene:DRNTG_14460 transcript:DRNTG_14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELEKHLTRFVQSVNIRFESVEATLCNHTASLHNHENQVGQIAKSLSERPHGSLLSNTEANPREHVKAITLRSGREVEDTTDEIVDEYMQEMFNLDPYEGLFNQEVGHEDVMMLGSTEEVPSTPGILKKVLRKMKRARRRHRKHSKAVGDVRERKELDESFLVKTHGSVEFPHARVYVKRAHPEKAQGCAAAPMNDHATVTRPWVIYAPTCEFLQSWADFPESTQGRGLALVADLVNHTMAWVISTRPCEILQKRSLHPKKIQGRVAAPVSWACECPRPCGISAQACRTLGIFLGCPRKPQGRAAAPMVGRTSVGNFPTPVDVRSEIVRVSPESAQRCASAPMEFS >DRNTG_12459.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20942794:20945368:1 gene:DRNTG_12459 transcript:DRNTG_12459.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPTAPPSPPPSESDDPDAAFSRFYWWRSLQDPDGSVAPSTPTPSRLRVVKELERLALVAHESLDELRHRLLGYRAGDLWFPAGGISRQETDIPPVITVLLLGFAGTGKSSLVNLMYSVLGRSGFVPFAQTSSPAGRQGRTEFLEEHNVLRSMHNGFCVFDSRGLDYDRMEDGLEEVSEWMDEGVRHRQPCRGAGSSARVPLTGSAAKAAKRFVQRCVNCVMLVLSLSEIYWSYTAGDFRPLEAARELFHAPSVKNNCNDNPILVLTHGDELTTEQRIDGRVKICEYLGISETTGVYDIMCLHEQGSHGAMVIDEMDPVNAYALTEALYRALLIADRSHPPKPNVKDWLLLILSWSMCSLSAFFAFLAYFCSKLAKAHKDHHKLR >DRNTG_12459.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20942794:20945254:1 gene:DRNTG_12459 transcript:DRNTG_12459.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPTAPPSPPPSESDDPDAAFSRFYWWRSLQDPDGSVAPSTPTPSRLRVVKELERLALVAHESLDELRHRLLGYRAGDLWFPAGGISRQETDIPPVITVLLLGFAGTGKSSLVNLMYSVLGRSGFVPFAQTSSPAGRQGRTEFLEEHNVLRSMHNGFCVFDSRGLDYDRMEDGLEEVSEWMDEGVRHRQPCRGAGSSARVPLTGSAAKAAKRFVQRCVNCVMLVLSLSEIYWSYTAGDFRPLEAARELFHAPSVKNNCNDNPILVLTHGDELTTEQRIDGRVKICEYLGISETTGVYDIMCLHEQGSHGAMVIDEMDPVNAYALTEALYRALLIADRSHPPKPNVKDWLLLILSWSMCSLSAFFAFLAYFCSKLAKAHKDHHKLR >DRNTG_08202.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:36038:37132:-1 gene:DRNTG_08202 transcript:DRNTG_08202.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKILQPRYREEEVSDEEEETYESDEGEGEEEEESECDYGEQRPRRLGRSSATIKQLSYGEDLPRRNNHRRSKSYDDDDEEDDEEEEEDEEAPAPNRREKLGARVELSDLLKKLTLSPSGRHVHLKESGSFSDDEGSSNRRRRRRKNTGTVIIKDLHLNMVNNKIEDFAWTKKVREKSH >DRNTG_03194.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18963724:18970390:-1 gene:DRNTG_03194 transcript:DRNTG_03194.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRITPAIFLTLMISYLCPKTSSLTPEQEKQTLLQIKNFWGNPASLQSWEDNNNNNNNSSKTAHCHWFGITCSSHSFITNISLPSQNINGPIPDSLCNLTNLTHIDLYYNYISGQFPPSLYNCSNLQYLELGQNLLVGTIPSDINHISSSLVYLSLQSNNFTGDIPPSIGQLPNIQSLFLNDNLFNGSFPAELGQLSSLQTLCLAYNPFTPATIPQELCKLKNLRFLWMAMTNLIGEIPDSFSNLLYLKQLDLSMNKLTGPIPAGIWMLPNLQILNLYANHLDGEINGTIGALNLLEIDISTNHLSGAIAEGFGKLKNLQALAMYNNNFSSEIPASIGLLPSLINIRLFNNRLTGVLPPELGKHSKLWNFDVSDNRISGELPVGLCTGGVLTSLVASDNNLTGRLPESLCACPRLDNIQVYNNSLTGDIPAGMWLAVNLTTVMMNDNQLSGTMPEEVPWNISRLQIANNRFFGTIPSKAGNLQVFEAANNQFSGEIPRNLGSFSRLQLLSLSGNQISGEIPRSISALRFLNTLNLSHNQLSGEIPPEIRSLQALTTLDISVNRLTGEIPPAIAELKLTFLNLSSNQLSGEVPAALQNSAYNQSFLANPGLCSLSPILNLGACGHKSDGRDHTSVALILFIVLGILGLLSMALIAVMVVRNYKGKRDKLDLTKWKLTLFQSLDFNEHQILKSLTEANLIGSGGAGKVYKVVLGDHAGEIMAVKQIRSCRTLDSKLEKQFQTEVKILGTIRHANIVKLIACISNVDSKLLVYEYMENKSLDRWLHSKQRSESDEYVKLDWPTRLSIAIGAAKGLCYMHYGCKPPVVHRDVKSSNILLDKEFGAKIADFGLAKELVNAGEPEIVSAVAGSFGYIPPGEDAIHANKSRKVSEKVDVYSFGIVLLELTTGRKAHDGGDDGSLADWAWHHSDGKQLFEALDEDIRDPAYMQEIETVFKLGIMCTAALPSRRPTMKGVLQGLLQFDKMVAVCDQIQTNLSVQCESSK >DRNTG_03194.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18963654:18970390:-1 gene:DRNTG_03194 transcript:DRNTG_03194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRITPAIFLTLMISYLCPKTSSLTPEQEKQTLLQIKNFWGNPASLQSWEDNNNNNNNSSKTAHCHWFGITCSSHSFITNISLPSQNINGPIPDSLCNLTNLTHIDLYYNYISGQFPPSLYNCSNLQYLELGQNLLVGTIPSDINHISSSLVYLSLQSNNFTGDIPPSIGQLPNIQSLFLNDNLFNGSFPAELGQLSSLQTLCLAYNPFTPATIPQELCKLKNLRFLWMAMTNLIGEIPDSFSNLLYLKQLDLSMNKLTGPIPAGIWMLPNLQILNLYANHLDGEINGTIGALNLLEIDISTNHLSGAIAEGFGKLKNLQALAMYNNNFSSEIPASIGLLPSLINIRLFNNRLTGVLPPELGKHSKLWNFDVSDNRISGELPVGLCTGGVLTSLVASDNNLTGRLPESLCACPRLDNIQVYNNSLTGDIPAGMWLAVNLTTVMMNDNQLSGTMPEEVPWNISRLQIANNRFFGTIPSKAGNLQVFEAANNQFSGEIPRNLGSFSRLQLLSLSGNQISGEIPRSISALRFLNTLNLSHNQLSGEIPPEIRSLQALTTLDISVNRLTGEIPPAIAELKLTFLNLSSNQLSGEVPAALQNSAYNQSFLANPGLCSLSPILNLGACGHKSDGRDHTSVALILFIVLGILGLLSMALIAVMVVRNYKGKRDKLDLTKWKLTLFQSLDFNEHQILKSLTEANLIGSGGAGKVYKVVLGDHAGEIMAVKQIRSCRTLDSKLEKQFQTEVKILGTIRHANIVKLIACISNVDSKLLVYEYMENKSLDRWLHSKQRSESDEYVKLDWPTRLSIAIGAAKGLCYMHYGCKPPVVHRDVKSSNILLDKEFGAKIADFGLAKELVNAGEPEIVSAVAGSFGYIPPGEDAIHANKSRKVSEKVDVYSFGIVLLELTTGRKAHDGGDDGSLADWAWHHSDGKQLFEALDEDIRDPAYMQEIETVFKLGIMCTAALPSRRPTMKGVLQGLLQFDKMVAVCDQIQTNLS >DRNTG_03194.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18966824:18970418:-1 gene:DRNTG_03194 transcript:DRNTG_03194.5 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRITPAIFLTLMISYLCPKTSSLTPEQEKQTLLQIKNFWGNPASLQSWEDNNNNNNNSSKTAHCHWFGITCSSHSFITNISLPSQNINGPIPDSLCNLTNLTHIDLYYNYISGQFPPSLYNCSNLQYLELGQNLLVGTIPSDINHISSSLVYLSLQSNNFTGDIPPSIGQLPNIQSLFLNDNLFNGSFPAELGQLSSLQTLCLAYNPFTPATIPQELCKLKNLRFLWMAMTNLIGEIPDSFSNLLYLKQLDLSMNKLTGPIPAGIWMLPNLQILNLYANHLDGEINGTIGALNLLEIDISTNHLSGAIAEGFGKLKNLQALAMYNNNFSSEIPASIGLLPSLINIRLFNNRLTGVLPPELGKHSKLWNFDVSDNRISGELPVGLCTGGVLTSLVASDNNLTGRLPESLCACPRLDNIQVYNNSLTGDIPAGMWLAVNLTTVMMNDNQLSGTMPEEVPWNISRLQIANNRFFGTIPSKAGNLQVFEAANNQFSGEIPRNLGSFSRLQLLSLSGNQISGEIPRSISALRFLNTLNLSHNQLSGEIPPEIRSLQALTTLDISVNRLTGEIPPAIAELKLTFLNLSSNQLSGEVPAALQNSAYNQSFLANPGLCSLSPILNLGACGHKSDGRDHTSVALILFIVLGILGLLSMALIAVMVVRNYKGKRDKLDLTKWKLTLFQSLDFNEHQILKSLTEANLIGSGGAGKVYKVVLGDHAGEIMAVKQIRSCRTLDSKLEKQFQTEVKILGTIRHANIVKLIACISNVDSKLLVYEYMENKSLDRWLHSKQRSESDEYVKLDWPTRLSIAIGAAKGLCYMHYGCKPPVVHRDVKSSNILLDKEFGAKIADFGLAKELVNAGEPEIVSAVAGSFGYILKKGE >DRNTG_03194.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18965091:18970390:-1 gene:DRNTG_03194 transcript:DRNTG_03194.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRITPAIFLTLMISYLCPKTSSLTPEQEKQTLLQIKNFWGNPASLQSWEDNNNNNNNSSKTAHCHWFGITCSSHSFITNISLPSQNINGPIPDSLCNLTNLTHIDLYYNYISGQFPPSLYNCSNLQYLELGQNLLVGTIPSDINHISSSLVYLSLQSNNFTGDIPPSIGQLPNIQSLFLNDNLFNGSFPAELGQLSSLQTLCLAYNPFTPATIPQELCKLKNLRFLWMAMTNLIGEIPDSFSNLLYLKQLDLSMNKLTGPIPAGIWMLPNLQILNLYANHLDGEINGTIGALNLLEIDISTNHLSGAIAEGFGKLKNLQALAMYNNNFSSEIPASIGLLPSLINIRLFNNRLTGVLPPELGKHSKLWNFDVSDNRISGELPVGLCTGGVLTSLVASDNNLTGRLPESLCACPRLDNIQVYNNSLTGDIPAGMWLAVNLTTVMMNDNQLSGTMPEEVPWNISRLQIANNRFFGTIPSKAGNLQVFEAANNQFSGEIPRNLGSFSRLQLLSLSGNQISGEIPRSISALRFLNTLNLSHNQLSGEIPPEIRSLQALTTLDISVNRLTGEIPPAIAELKLTFLNLSSNQLSGEVPAALQNSAYNQSFLANPGLCSLSPILNLGACGHKSDGRDHTSVALILFIVLGILGLLSMALIAVMVVRNYKGKRDKLDLTKWKLTLFQSLDFNEHQILKSLTEANLIGSGGAGKVYKVVLGDHAGEIMAVKQIRSCRTLDSKLEKQFQTEVKILGTIRHANIVKLIACISNVDSKLLVYEYMENKSLDRWLHSKQRSESDEYVKLDWPTRLSIAIGAAKGLCYMHYGCKPPVVHRDVKSSNILLDKEFGAKIADFGLAKELVNAGEPEIVSAVAGSFGYIPPGEDAIHANKSRKVSEKVDVYSFGIVLLELTTGRKAHDGGDDGSLADWAWHHSDGKQLFEALDEDIRDPAYMQEIETVFKLGIMCTAALPSRRPTMKGVLQGLLQFDKMVAVCDQIQTNLSVQCESSK >DRNTG_03194.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18963724:18970390:-1 gene:DRNTG_03194 transcript:DRNTG_03194.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRITPAIFLTLMISYLCPKTSSLTPEQEKQTLLQIKNFWGNPASLQSWEDNNNNNNNSSKTAHCHWFGITCSSHSFITNISLPSQNINGPIPDSLCNLTNLTHIDLYYNYISGQFPPSLYNCSNLQYLELGQNLLVGTIPSDINHISSSLVYLSLQSNNFTGDIPPSIGQLPNIQSLFLNDNLFNGSFPAELGQLSSLQTLCLAYNPFTPATIPQELCKLKNLRFLWMAMTNLIGEIPDSFSNLLYLKQLDLSMNKLTGPIPAGIWMLPNLQILNLYANHLDGEINGTIGALNLLEIDISTNHLSGAIAEGFGKLKNLQALAMYNNNFSSEIPASIGLLPSLINIRLFNNRLTGVLPPELGKHSKLWNFDVSDNRISGELPVGLCTGGVLTSLVASDNNLTGRLPESLCACPRLDNIQVYNNSLTGDIPAGMWLAVNLTTVMMNDNQLSGTMPEEVPWNISRLQIANNRFFGTIPSKAGNLQVFEAANNQFSGEIPRNLGSFSRLQLLSLSGNQISGEIPRSISALRFLNTLNLSHNQLSGEIPPEIRSLQALTTLDISVNRLTGEIPPAIAELKLTFLNLSSNQLSGEVPAALQNSAYNQSFLANPGLCSLSPILNLGACGHKSDGRDHTSVALILFIVLGILGLLSMALIAVMVVRNYKGKRDKLDLTKWKLTLFQSLDFNEHQILKSLTEANLIGSGGAGKVYKVVLGDHAGEIMAVKQIRSCRTLDSKLEKQFQTEVKILGTIRHANIVKLIACISNVDSKLLVYEYMENKSLDRWLHSKQRSESDEYVKLDWPTRLSIAIGAAKGLCYMHYGCKPPVVHRDVKSSNILLDKEFGAKIADFGLAKELVNAGEPEIVSAVAGSFGYIPPGEDAIHANKSRKVSEKVDVYSFGIVLLELTTGRKAHDGGDDGSLADWAWHHSDGKQLFEALDEDIRDPAYMQEIETVFKLGIMCTAALPSRRPTMKGVLQGLLQFDKMVAVCDQIQTNLS >DRNTG_03831.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23467646:23469306:1 gene:DRNTG_03831 transcript:DRNTG_03831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLQESFEEVPTTRISRMDDYSDFYNGLNPSTRQLLDVIAGVECEGKEKVAGLHEIDTLTSLAAQVESLSKKLNLLTSNRVAVVTTCTGCGGGYAPSDCPISIVEATLRNHTTSLHNLENQLGKIAKSLSERPQGSLPSNTETNPGEHVKTTKITLTLHSVARATKPQRRVIP >DRNTG_15518.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10025404:10028747:1 gene:DRNTG_15518 transcript:DRNTG_15518.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVLGLRCFLRFICSTSFVSDFHYRLGQVENAKKHLFLSSRQPDPVEVHKMEQVERYLERCAESRKIGDWKSALRESDAAIAAGADSSPLINTSRAEALLRLHRLEEADLAISSASKLANSSPSSSANKFFGMILDSYIYIVQAQVEMALGRFETAVKMAEKSKQVDPRNVEVTVMLNNVKSVARARTQGNEFFNSGKFAEACIAYGEGLRCDPSNPVLYCNRAACRSKLGQWEKSIEDCNEALKIQANYTKALLRRAASYGKLERWADSVRDYEVLSKELPGDKEVAEALFHARISLKASRGEEISNLKFGGEVEEITSVEQFQAAISLPGVSVVHFVTTSNLQCNKITPFVDDLCTRYPSVNFLKVDINESVAVAKAENVRIVPTVKIYKNRVRVKEMICPSHQVLECSVRHYVL >DRNTG_15518.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10025404:10028747:1 gene:DRNTG_15518 transcript:DRNTG_15518.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTSPTPRISPISVRLSPRYAIYGRRRPPRRRAAAPALLALSPASLHPMSPPGAPTVSSLVVSAILVSSPRPASAAPLGLNSRPGHRRSGSGQLFYSSAAMGSSTASSPVSNVLPAGNICPSGRIGKSGMMSRSSTRSDVLGSGTGNYGHGSIIRGGSSPAKASMDAEELTKAGNEHYKRGQFSEALKLYDRAVAMCSDNASCRSNRAAALAALGRLCEAVKECEDAVRLDQGNAKAHQRLASLHLRLGQVENAKKHLFLSSRQPDPVEVHKMEQVERYLERCAESRKIGDWKSALRESDAAIAAGADSSPLINTSRAEALLRLHRLEEADLAISSASKLANSSPSSSANKFFGMILDSYIYIVQAQVEMALGRFETAVKMAEKSKQVDPRNVEVTVMLNNVKSVARARTQGNEFFNSGKFAEACIAYGEGLRCDPSNPVLYCNRAACRSKLGQWEKSIEDCNEALKIQANYTKALLRRAASYGKLERWADSVRDYEVLSKELPGDKEVAEALFHARISLKASRGEEISNLKFGGEVEEITSVEQFQAAISLPGVSVVHFVTTSNLQCNKITPFVDDLCTRYPSVNFLKVDINESVAVAKAENVRIVPTVKIYKNRVRVKEMICPSHQVLECSVRHYVL >DRNTG_28801.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28770465:28771594:-1 gene:DRNTG_28801 transcript:DRNTG_28801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIYYGMSSGTTTSSDHTVSSGGSGSWTSQSPNRRRRFPSPSPNAFLGPAFNACASSPKSPATHLDLDPALLRYTRSRLPDSSDSPMSRLKLLPHHLLQLRSPRGGTSPLSSIGNLVAGASSAVYKTPVKMEVEEDVLVMDGVLVGENS >DRNTG_06995.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1221717:1223509:1 gene:DRNTG_06995 transcript:DRNTG_06995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHENTEEIDALLYSESNESHEQHEQDECEASTGHSPLDLDGTHGYKFKTKRRRLDTELMDTASSRAREDESSCIGEEQECNKKSKRKRIQDTVEVLRRIIPGLTGVNGKAKDASTVLDEAIRYLNSLKLRMKGLEFITD >DRNTG_25573.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29725561:29729254:1 gene:DRNTG_25573 transcript:DRNTG_25573.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRFGTLRALMSVAFVLLIVGSEGFYLPGVAPADFKRKDPLHVKVNKLTSTKTQLPYSYYYLPFCKPNIIVDSAENLGEVLRGDRIENSPYVFEMREPQMCNIVCKMTLTNKGARYFKEKIDDEYRVNMILDNLPLVVPAKRVDQDLNMIYQLGYYVGFKDTFSGVRDEQYFLYNHLSFTVKYHKDPDVDLARIVGFEVRPFSVKHDYEGEWLGNKTRLNTCDPHAKQIVVNSANPQEVDANKDIIFTYDVEFKESDVKWASRWDIYLLMADAQIHWFSIVNSMMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPANSDLLCVYVGTGVQFFGMLLVTMLFAALGFLSPSNRGGLMTAMVILWVFMGIFAGYSSARLYKMFKGTEWKKITLKTAFMFPGIIFGIFLNLECTYLGSEVLWCSAVHHHVCSSTALVRHLSTSIICRWLHWFQKTSPRRSPSRQTRSPGKYQNKLGT >DRNTG_25573.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29725561:29729254:1 gene:DRNTG_25573 transcript:DRNTG_25573.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVKTCCRLRDEQYFLYNHLSFTVKYHKDPDVDLARIVGFEVRPFSVKHDYEGEWLGNKTRLNTCDPHAKQIVVNSANPQEVDANKDIIFTYDVEFKESDVKWASRWDIYLLMADAQIHWFSIVNSMMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPANSDLLCVYVGTGVQFFGMLLVTMLFAALGFLSPSNRGGLMTAMVILWVFMGIFAGYSSARLYKMFKGTEWKKITLKTAFMFPGIIFGIFLNLECTYLGSEVLWCSAVHHHVCSSTALVRHLSTSIICRWLHWFQKTSPRRSPSRQTRSPGKYQNKLGT >DRNTG_25573.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29725561:29729254:1 gene:DRNTG_25573 transcript:DRNTG_25573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVKTCCRLRDEQYFLYNHLSFTVKYHKDPDVDLARIVGFEVRPFSVKHDYEGEWLGNKTRLNTCDPHAKQIVVNSANPQEVDANKDIIFTYDVEFKESDVKWASRWDIYLLMADAQIHWFSIVNSMMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPANSDLLCVYVGTGVQFFGMLLVTMLFAALGFLSPSNRGGLMTAMVILWVFMGIFAGYSSARLYKMFKGTEWKKITLKTAFMFPGIIFGIFLNLECTYLGSEVLWCSAVHHHVCSSTALVRHLSTSIICRWLHWFQKTSPRRSPSRQTRSPGKYQNKLGT >DRNTG_10628.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:238031:240319:1 gene:DRNTG_10628 transcript:DRNTG_10628.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDYSGKGFDQLMGVIDKIKNNPDDRRIILSSWNPSDLKQMALPPCHMFAQFYVENGELSCQMYQRSADMGLGVPFNIASYSLLTYMIAHVCGLVPGEFIHVLGDAHVYRTHVRPLQEQLQKQPKPFPILKINPLKKDIDSFVASDFKLIGYDPHYKIEMKMAI >DRNTG_10628.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:235083:240319:1 gene:DRNTG_10628 transcript:DRNTG_10628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGLPVSIQNGDTQNDPLLITDQNGNVQDDPPRTFQVVVAATRKLGIGKDGKLPWKLPSDLKFFKKITLFTLDPGRKNAVIMGRKTWESIPVDNRPLPGRLNVVLTRSGSFDIATAENVVICGSMNSALDLLAASPYCLSIEKVFVIGGGQVLREALNAPGCEAIHLTDIETSIECDTFIPSVDFTVFQPWHSSSPLVENNIKYSFVTYVRVRSNSEIAVSALSNDAATDCHPEKEKFEVDRFSFLPKMIFDRHEEYLYLKLVDDIIASGAQKSDRTGTGTLSKFGCQMRFNLRRSFPLLTTKRVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASREYLDSVGLSHREEGDLGPVYGFQWRHYGAEYTDMHSDYSGKGFDQLMGVIDKIKNNPDDRRIILSSWNPSDLKQMALPPCHMFAQFYVENGELSCQMYQRSADMGLGVPFNIASYSLLTYMIAHVCGLVPGEFIHVLGDAHVYRTHVRPLQEQLQKQPKPFPILKINPLKKDIDSFVASDFKLIGYDPHYKIEMKMAI >DRNTG_10628.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:236513:240319:1 gene:DRNTG_10628 transcript:DRNTG_10628.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGLPVSIQNGDTQNDPLLITDQNGNVQDDPPRTFQVVVAATRKLGIGKDGKLPWKLPSDLKFFKKITLFTLDPGRKNAVIMGRKTWESIPVDNRPLPGRLNVVLTRSGSFDIATAENVVICGSMNSALDLLAASPYCLSIEKVFVIGGGQVLREALNAPGCEAIHLTDIETSIECDTFIPSVDFTVFQPWHSSSPLVENNIKYSFVTYVRVRSNSEIAVSALSNDAATDCHPEKEKFEVDRFSFLPKMIFDRHEEYLYLKLVDDIIASGAQKSDRTGTGTLSKFGCQMRFNLRRSFPLLTTKRVFWRGVVEELLWFISGSTNAKVWDL >DRNTG_10628.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:235083:240319:1 gene:DRNTG_10628 transcript:DRNTG_10628.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGLPVSIQNGDTQNDPLLITDQNGNVQDDPPRTFQVVVAATRKLGIGKDGKLPWKLPSDLKFFKKITLFTLDPGRKNAVIMGRKTWESIPVDNRPLPGRLNVVLTRSGSFDIATAENVVICGSMNSALDLLAASPYCLSIEKVFVIGGGQVLREALNAPGCEAIHLTDIETSIECDTFIPSVDFTVFQPWHSSSPLVENNIKYSFVTYVRVRSNSEIAVSALSNDAATDCHPEKEKFEVDRFSFLPKMIFDRHEEYLYLKLVDDIIASGAQKSDRTGTGTLSKFGCQMRFNLRRSFPLLTTKRVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASREYLDSVGLSHREEGDLGPVYGFQWRHYGAEYTDMHSDYSGKGFDQLMGVIDKIKNNPDDRRIILSSWNPSDLKQMALPPCHMFAQFYVENGELSCQMYQRSADMGLGVPFNIASYSLLTYMIAHVCGLVPGEFIHVLGDAHVYRTHVRPLQEQLQKQPKPFPILKINPLKKDIDSFVASDFKLIGYDPHYKIEMKMAI >DRNTG_19595.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001023.1:84415:89764:1 gene:DRNTG_19595 transcript:DRNTG_19595.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NPG1 [Source:Projected from Arabidopsis thaliana (AT2G43040) UniProtKB/TrEMBL;Acc:A0A178VP56] MASDAEVENGREVSANGLSMKTSDVEAKLDQGNIAEAESVLREGLSLNSEEARALLGRLEYQRGNIEAALRVFEGIDLQAALQRLQPTLSEKTPSRRRRSRTESIHSVSHSSVTLVLEAQYLKSLSLQKLGKANEAAQECVGVLDAVEKIFQNGIPDTLVDSKLRETVNKVAEILPELWKQTGKYQEVLAAYRRALLGPWNLDCDFQARIQKRFALFLLFSGVEYDPPKLAAQVEGSYVPKNNLEEAILLLMILMRKSLVDKSQWDPSVMELLTFALSIGGQTSMLGRQFEELSPGMYPRYDRWNNMALCYTGAGQKTAALSLLRKSLSKHENPDDILALLLASKICSEDQSLASEGIEYARRALANAQVSEKHLKGAGLRFLGICLGKQAKVVSSDQERSHFQSEALEVLNEAISIECDNPDLLFDLGLQYAENGNLNGALRCSKQFIDATGGSVLKGWRLLVLVLSAQQRYSEAEVILDAALDETAKLEQGPLLRLKAKLKVARSLPMDAVDTYRLLFALIQAQKKSGNTGNIPQVEDEKVSEYEVWKGLANLYSSLSHWRDVEICLEKAKALQPNSAALLHMEGIMHEARGDTSQALSTFSDAVLVDPSHVPSKVSMGVLLWRTGSKSLSAARAFLSDALRLERTNRLAWYYLGMVHKDEGRLLDATDCFQAASMLEESDPIESFSSIS >DRNTG_19595.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001023.1:86861:88516:1 gene:DRNTG_19595 transcript:DRNTG_19595.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NPG1 [Source:Projected from Arabidopsis thaliana (AT2G43040) UniProtKB/TrEMBL;Acc:A0A178VP56] MILMRKSLVDKSQWDPSVMELLTFALSIGGQTSMLGRQFEELSPGMYPRYDRWNNMALCYTGAGQKTAALSLLRKSLSKHENPDDILALLLASKICSEDQSLASEGIEYARRALANAQVSEKHLKGAGLRFLGICLGKQAKVVSSDQERSHFQSEALEVLNEAISIECDNPDLLFDLGLQYAENGNLNGALRCSKQFIDATGGSVLKGWRLLVLVLSAQQRYSEAEVILDAALDETAKLEQGPLLRLKAKLKVARSLPMDAVDTYRLLFALIQAQKKSGNTGNIPQVWQIILPLSSSYKIYYKNIFAWQCLNYAN >DRNTG_31325.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4055428:4056592:1 gene:DRNTG_31325 transcript:DRNTG_31325.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLLFVLFQFCPIDHQKLMVTSADSQVRILDGVDIVSKYKGFRNTGSQIAASFTSDGRHIISASEDSNVYIWMHTNHDAATCNQVKSTWSCERFFSRNASVALPWPGFESTSPVSVTSDVFPGTFSDQTQISECDLAGNNNLYLSPSGSFTLSQDFFSESLPKGSATWPEEKLPSGSVTASLLCKYEYKFLKTSCQNSSHAWGKVIVTGGWDGRLQSFQNYGLPVRL >DRNTG_31325.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4051969:4056592:1 gene:DRNTG_31325 transcript:DRNTG_31325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGAWIHGELRREEEEEDQFFDSREDISSAESDSWPGTPRAVERSAGDALYGVWAQSPGTVRERREKFLKWMGLDLLRSPLSESDEETAPEVDRIVLDGGAMRSDSGSEIASEDMTFDENIGYRIKNLDDGREFVADVFEENGDLRSLREVGSDRMMTLDEFERNFSASVFVQRLMRREESSGSNSENVMTLEMRKRRRSSSRGRIGWLKRLGAVACIVDRQGKESNLNLSASEDSVNDRIQRIQRIQRIHGIQRVKVRSYRKRLKEFSAVYMIQDISAHDGAILTMKFSPDGRYLATGGQDGVVRVWLVTECEWRNAVDVPEDDPSCMYFTANRNSELAPLYADKEKKNKSGSLKRTSDSACVVIPSDVFRISERPLHEFRGHDGDVLDLSWSKSNKYLLSSSIDKTVRLWQVGFNGCLKVFSHNNYVTCIQFNPTDEHYFISGSIDGKIRIWEIPQCRVVDWADVKEIVTAVCYQPDGKGGVVGTITSDCHFYDASDNQLQLDAKVSLQCKKKSVDKRITGFQFCPIDHQKLMVTSADSQVRILDGVDIVSKYKGFRNTGSQIAASFTSDGRHIISASEDSNVYIWMHTNHDAATCNQVKSTWSCERFFSRNASVALPWPGFESTSPVSVTSDVFPGTFSDQTQISECDLAGNNNLYLSPSGSFTLSQDFFSESLPKGSATWPEEKLPSGSVTASLLCKYEYKFLKTSCQNSSHAWGKVIVTGGWDGRLQSFQNYGLPVRL >DRNTG_31325.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4054738:4056592:1 gene:DRNTG_31325 transcript:DRNTG_31325.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSADSQVRILDGVDIVSKYKGFRNTGSQIAASFTSDGRHIISASEDSNVYIWMHTNHDAATCNQVKSTWSCERFFSRNASVALPWPGFESTSPVSVTSDVFPGTFSDQTQISECDLAGNNNLYLSPSGSFTLSQDFFSESLPKGSATWPEEKLPSGSVTASLLCKYEYKFLKTSCQNSSHAWGKVIVTGGWDGRLQSFQNYGLPVRL >DRNTG_31325.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4052237:4056592:1 gene:DRNTG_31325 transcript:DRNTG_31325.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGAWIHGELRREEEEEDQFFDSREDISSAESDSWPGTPRAVERSAGDALYGVWAQSPGTVRERREKFLKWMGLDLLRSPLSESDEETAPEVDRIVLDGGAMRSDSGSEIASEDMTFDENIGYRIKNLDDGREFVADVFEENGDLRSLREVGSDRMMTLDEFERNFSASVFVQRLMRREESSGSNSENVMTLEMRKRRRSSSRGRIGWLKRLGAVACIVDRQGKESNLNLSASEDSVNDRIQRIQRIQRIHGIQRVKVRSYRKRLKEFSAVYMIQDISAHDGAILTMKFSPDGRYLATGGQDGVVRVWLVTECEWRNAVDVPEDDPSCMYFTANRNSELAPLYADKEKKNKSGSLKRTSDSACVVIPSDVFRISERPLHEFRGHDGDVLDLSWSKSNKYLLSSSIDKTVRLWQVGFNGCLKVFSHNNYVTCIQFNPTDEHYFISGSIDGKIRIWEIPQCRVVDWADVKEIVTAVCYQPDGKGGVVGTITSDCHFYDASDNQLQLDAKVSLQCKKKSVDKRITGFQFCPIDHQKLMVTSADSQVRILDGVDIVSKYKGFRNTGSQIAASFTSDGRHIISASEDSNVYIWMHTNHDAATCNQVKSTWSCERFFSRNASVALPWPGFESTSPVSVTSDVFPGTFSDQTQISECDLAGNNNLYLSPSGSFTLSQDFFSESLPKGSATWPEEKLPSGSVTASLLCKYEYKFLKTSCQNSSHAWGKVIVTGGWDGRLQSFQNYGLPVRL >DRNTG_16984.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000861.1:38501:39816:1 gene:DRNTG_16984 transcript:DRNTG_16984.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTKDRLDHLEESFERVEHVIGHVDDLLSSISQRLEDLAGCFKESQLMIDTLSAKVRIEVGTGR >DRNTG_02823.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20247859:20249531:1 gene:DRNTG_02823 transcript:DRNTG_02823.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQKTLSLLLLTLAALSITSNAGIGSIVVYWGQNGYEGSLAEACSTGNYDIVVLAFLYQFGNFQTPGLNLAGHCDPTSGGCVSVGVDIKACQNKGIKVFLSLGGASGSYTLVSTEDAQQVADYLWNNYLGGSSSSRPLGDAVLDGIDFDIEGGTTQHWDELAQMLYDYSQQGQKVYLSAAPQCPYPDAWMGTALATGLFDYVWVQFYNNPPCQYYSSNAVNVLNSWNQWTSSVTATKFFVGLPASPEAAGSGYMSPDALVSQVLPNIMYSDEYGGIMLWSRYYDLLSGYSSQIRHVNLVSSPGNTSAIRASA >DRNTG_11370.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14989110:14990063:1 gene:DRNTG_11370 transcript:DRNTG_11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRYNCSQASFLKPSMDSGKLPRRKNKVIFVMGATGSGKTKLAVDLALHFNGEIINSDKMQVYDGLDIITNKATLSERAGIPHHLLGGVPPTADFSAEDFSRAATLAVDSIISRGKLPFIAGGSNTYIQALVDGDDGLFRAKYDLCFIWIAVELPVLFQFVGNRVDKMVELGLVEEARGVFNIEDDDYTRGVRRAIGVPELDKYFRDENKVDSDRKAGILGEAIEQVKVNTCKLVSSQLVKIERLRVESGWDVKRLDATRVFLKRGSPEFEGAWMEMVVKPALDIVRRFLNGECEEVLAVGVCVCDSQNGREMIYNI >DRNTG_03409.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3351710:3353879:-1 gene:DRNTG_03409 transcript:DRNTG_03409.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMLFSKKSEEIKKNRESQARCSAKWIS >DRNTG_03409.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3351628:3353879:-1 gene:DRNTG_03409 transcript:DRNTG_03409.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMLFSKKSEEIKKNRESQARCSAKWIS >DRNTG_03409.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3351710:3353617:-1 gene:DRNTG_03409 transcript:DRNTG_03409.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMLFSKKSEEIKKNRESQARCSAKWIS >DRNTG_03409.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3351628:3353742:-1 gene:DRNTG_03409 transcript:DRNTG_03409.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMLFSKKSEEIKKNRESQARCSAKWIS >DRNTG_03409.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3351566:3353742:-1 gene:DRNTG_03409 transcript:DRNTG_03409.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMLFSKKSEEIKKNRESQARCSAKWIS >DRNTG_03409.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3350712:3353617:-1 gene:DRNTG_03409 transcript:DRNTG_03409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMLFSKKSEEIKKNRESQARCSAKWIS >DRNTG_06344.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24146948:24147890:1 gene:DRNTG_06344 transcript:DRNTG_06344.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLPHSQQPLDQIDHNHPSQQPLDQIDHNHPFVRWRPIQTVQAPFVKTQALLYERSINIEKFSSKI >DRNTG_29007.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22307912:22310844:1 gene:DRNTG_29007 transcript:DRNTG_29007.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT1.7 [Source:Projected from Arabidopsis thaliana (AT5G67360) UniProtKB/Swiss-Prot;Acc:O65351] MDHNNKLLLLTILLLLLFSISFSFSFSSPTNMIKKTYIIHMAKSQMPNTFVEHQHWYEASLTSISSSAEMLYTYDTVIHGFSARLSPAEAKALEETPGVLAVLPETRYELHTTRTPEFLGLDKTEGLVPASGTETDVVVGVLDTGVWPERSSFNDAGFGPVPAGWKGACEQGTNFSTAACNRKLIGARFFCKGYEASMGPVDETKESRSPRDDDGHGTHTSSTAAGSAVPSASLLGYAAGNARGMAVRARLAVYKVCWGGGCFSSDILAAMERAVDDGCHVLSLSLGGGMSDYYRDSVAIGAFTAMEKGVLVSCSAGNAGPSSNTLSNVAPWITTVGAGTLDRDFPAYVSLGNRKNYSGVSLYSGKPLPDSPLPFVYAGNVSNSTNGNLCMPGTLIPSLVAGKLVLCDRGINARVQKGYVVREAGGAGMILANTAANGEELVADAHLLPATGVGEKAASSIRSYMQSDPNPTATIVFSGTKVNIQPSPVVAAFSSRGPNSITPEILKPDLIAPGVNILAGWTGAVGPTGLTVDPRRVEFNIISGTSMSCPHVSGLAALLKGAHPDWSPAAIKSALMTTAYDVYSGGDGILDIATGKPASPFDYGAGHVDPPRALDPGLVYDLTVEDYLDFLCALNYTALQIASLSKRSDFKCSGERTYAVSGFNYPSFSVAFTTASGSSTATTTVTHVRTVTNVGPVGTYKVSVMTSEGDGGAVKVVVDPSELDFKTVGEKRSYKVTFTAGSMPSGSVGFGRLEWTDGKHVVASPMAFTWT >DRNTG_29007.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22307996:22310844:1 gene:DRNTG_29007 transcript:DRNTG_29007.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT1.7 [Source:Projected from Arabidopsis thaliana (AT5G67360) UniProtKB/Swiss-Prot;Acc:O65351] MDHNNKLLLLTILLLLLFSISFSFSFSSPTNMIKKTYIIHMAKSQMPNTFVEHQHWYEASLTSISSSAEMLYTYDTVIHGFSARLSPAEAKALEETPGVLAVLPETRYELHTTRTPEFLGLDKTEGLVPASGTETDVVVGVLDTGVWPERSSFNDAGFGPVPAGWKGACEQGTNFSTAACNRKLIGARFFCKGYEASMGPVDETKESRSPRDDDGHGTHTSSTAAGSAVPSASLLGYAAGNARGMAVRARLAVYKVCWGGGCFSSDILAAMERAVDDGCHVLSLSLGGGMSDYYRDSVAIGAFTAMEKGVLVSCSAGNAGPSSNTLSNVAPWITTVGAGTLDRDFPAYVSLGNRKNYSGVSLYSGKPLPDSPLPFVYAGNVSNSTNGNLCMPGTLIPSLVAGKLVLCDRGINARVQKGYVVREAGGAGMILANTAANGEELVADAHLLPATGVGEKAASSIRSYMQSDPNPTATIVFSGTKVNIQPSPVVAAFSSRGPNSITPEILKPDLIAPGVNILAGWTGAVGPTGLTVDPRRVEFNIISGTSMSCPHVSGLAALLKGAHPDWSPAAIKSALMTTAYDVYSGGDGILDIATGKPASPFDYGAGHVDPPRALDPGLVYDLTVEDYLDFLCALNYTALQIASLSKRSDFKCSGERTYAVSGFNYPSFSVAFTTASGSSTATTTVTHVRTVTNVGPVGTYKVSVMTSEGDGGAVKVVVDPSELDFKTVGEKRSYKVTFTAGSMPSGSVGFGRLEWTDGKHVVASPMAFTWT >DRNTG_29007.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22308038:22310902:1 gene:DRNTG_29007 transcript:DRNTG_29007.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT1.7 [Source:Projected from Arabidopsis thaliana (AT5G67360) UniProtKB/Swiss-Prot;Acc:O65351] MDHNNKLLLLTILLLLLFSISFSFSFSSPTNMIKKTYIIHMAKSQMPNTFVEHQHWYEASLTSISSSAEMLYTYDTVIHGFSARLSPAEAKALEETPGVLAVLPETRYELHTTRTPEFLGLDKTEGLVPASGTETDVVVGVLDTGVWPERSSFNDAGFGPVPAGWKGACEQGTNFSTAACNRKLIGARFFCKGYEASMGPVDETKESRSPRDDDGHGTHTSSTAAGSAVPSASLLGYAAGNARGMAVRARLAVYKVCWGGGCFSSDILAAMERAVDDGCHVLSLSLGGGMSDYYRDSVAIGAFTAMEKGVLVSCSAGNAGPSSNTLSNVAPWITTVGAGTLDRDFPAYVSLGNRKNYSGVSLYSGKPLPDSPLPFVYAGNVSNSTNGNLCMPGTLIPSLVAGKLVLCDRGINARVQKGYVVREAGGAGMILANTAANGEELVADAHLLPATGVGEKAASSIRSYMQSDPNPTATIVFSGTKVNIQPSPVVAAFSSRGPNSITPEILKPDLIAPGVNILAGWTGAVGPTGLTVDPRRVEFNIISGTSMSCPHVSGLAALLKGAHPDWSPAAIKSALMTTAYDVYSGGDGILDIATGKPASPFDYGAGHVDPPRALDPGLVYDLTVEDYLDFLCALNYTALQIASLSKRSDFKCSGERTYAVSGFNYPSFSVAFTTASGSSTATTTVTHVRTVTNVGPVGTYKVSVMTSEGDGGAVKVVVDPSELDFKTVGEKRSYKVTFTAGSMPSGSVGFGRLEWTDGKHVVASPMAFTWT >DRNTG_29007.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22308038:22310844:1 gene:DRNTG_29007 transcript:DRNTG_29007.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT1.7 [Source:Projected from Arabidopsis thaliana (AT5G67360) UniProtKB/Swiss-Prot;Acc:O65351] MDHNNKLLLLTILLLLLFSISFSFSFSSPTNMIKKTYIIHMAKSQMPNTFVEHQHWYEASLTSISSSAEMLYTYDTVIHGFSARLSPAEAKALEETPGVLAVLPETRYELHTTRTPEFLGLDKTEGLVPASGTETDVVVGVLDTGVWPERSSFNDAGFGPVPAGWKGACEQGTNFSTAACNRKLIGARFFCKGYEASMGPVDETKESRSPRDDDGHGTHTSSTAAGSAVPSASLLGYAAGNARGMAVRARLAVYKVCWGGGCFSSDILAAMERAVDDGCHVLSLSLGGGMSDYYRDSVAIGAFTAMEKGVLVSCSAGNAGPSSNTLSNVAPWITTVGAGTLDRDFPAYVSLGNRKNYSGVSLYSGKPLPDSPLPFVYAGNVSNSTNGNLCMPGTLIPSLVAGKLVLCDRGINARVQKGYVVREAGGAGMILANTAANGEELVADAHLLPATGVGEKAASSIRSYMQSDPNPTATIVFSGTKVNIQPSPVVAAFSSRGPNSITPEILKPDLIAPGVNILAGWTGAVGPTGLTVDPRRVEFNIISGTSMSCPHVSGLAALLKGAHPDWSPAAIKSALMTTAYDVYSGGDGILDIATGKPASPFDYGAGHVDPPRALDPGLVYDLTVEDYLDFLCALNYTALQIASLSKRSDFKCSGERTYAVSGFNYPSFSVAFTTASGSSTATTTVTHVRTVTNVGPVGTYKVSVMTSEGDGGAVKVVVDPSELDFKTVGEKRSYKVTFTAGSMPSGSVGFGRLEWTDGKHVVASPMAFTWT >DRNTG_30785.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10551198:10552138:1 gene:DRNTG_30785 transcript:DRNTG_30785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNFSMRNNNSSNEEDDNLIFTSNRNSVFAKMKKKKLDQHKKEHISIIYTVVSLTNVISHLSDQVQNLFQENSRNLHGEFTQLCGGQCGNSAWACGRVSADVDARFQTLSSRFEAFRGGLLFNFWRRSW >DRNTG_18024.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000935.1:15203:17102:-1 gene:DRNTG_18024 transcript:DRNTG_18024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTSRAHDLLERLMGAVVGIRTEVAEARAEITEIRAMQVTQYTEFMAPPFASPAPSSPIPAPVDPPYVSPPPVVAEEPTERDTDI >DRNTG_22729.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31574163:31575497:1 gene:DRNTG_22729 transcript:DRNTG_22729.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g59600 [Source:Projected from Arabidopsis thaliana (AT5G59600) UniProtKB/Swiss-Prot;Acc:Q9FGR2] MGRIGFGWLNSLIAHLSHHDLLLDNHVAVVVIPRVLRACAKLSDIQTGKALHNRITRSFLDSDAFINTALISMYSKCGRTDIARRVFDRMSVRDLVAWNSMISGYACLGLLENAMDLFDLMKSSETKPDLVTWNALISGFSQAGDVDMVLYMFSLMQVDGIKPDVFSWTSIISGFVGNFRYKRALQVFKQMVVAGVHPNSVTISSILPACAIAADLRHGKEMHAHSLVIGAADDLHVNTALIDMYAKCGLISDAVMIFNNMRERNSVSWNSMIFGYANYGYCEDAIKLFYSMENEGVRLDHLTFTAVFTACSNAGMVETGKDLFSMMQTRHGIKPRLEHYACMVDLLGRAGRLLEAHEFIKKMPVEPDCFVWGALLGASRKHGDVVLAEIATSHLTELEPKSVGSGKLLSNILADAGHWRDAAEVKKNMKRRKLRGYLGCSWML >DRNTG_07194.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:9674620:9675327:-1 gene:DRNTG_07194 transcript:DRNTG_07194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGGAEYVNGGMVEYAIGLTEHLRAHRTVDIYIESGDVRHDMKLPKALLSDSDSDSDNNSASNKDDEERLVVVPFVNYTSDVDEETEQARVKLRGYVHMKKKIKGRDGAGTV >DRNTG_04137.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30239161:30240412:-1 gene:DRNTG_04137 transcript:DRNTG_04137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAEEKSYWGMNGRACDTCRGSPALIYCRADSAFLCGTCDARVHGANRVASRHERVWVCEVCEQAPAAVTCKADAAALCAACDADIHSANPLARRHERSPIVPFFDFPATKTLLFKPSDDDDDAVAVDPTAAAAEEAEAASWILPNPNPNPNHKGMEMLFGDVDPYLDFDYAAPVMDSVVPVQTKVIGGSGGAPPVLATDGCIELDFTRAKASYSSYNTTHSFSHSVSSSEVGVVPDGNGNAMADVTNPYGSAGRPSAAVVAMDREARVMRYREKRKTRRFEKTIRYASRKAYAETRPRIKGRFAKRSEIETEIDPIFSSGSGAGVASTAFMIDPGYGVVPSF >DRNTG_34081.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:354792:357397:1 gene:DRNTG_34081 transcript:DRNTG_34081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSSRNSLSDLQASLILFFCCFCLRTNSAAGEDTISFQQPLSGNQTIVSKGGIFELGFFTPGKSQKYYIGIWYKKRPERTVVWVANRETAVSSATNSELTISRDGNLVLLDKSKNQIWSSNLTSIRSNRTTVAVILDTGNFVLTNQSDSSMIYWQSFDHPTDSWLPGGRVGWNNITKQYMTLVSWRSEDDPASGSYSFGMDPTGKDQLVQMWNGTEYYWLSGGWNGQYFSDVPELTRNQIFKYIYNESLNSVTYTINDAKVALVTRFQASVTGIGIQWAWLNTTPEWIASWSQPKLQCQVYSFCGPFSICADAAAPVCSCLQGFDPASKIDWDSGSWKGGCVRRTKLLCETNSTVNIGQEDKFLMIPNMQLPVNSEASPTNNSDQCQVTCLSNCPCVAYSYNGNDCLIWSSGLVNLRPSTNSSNSAVGDVFLRLAASELQDSSNGVSAGVIAGAVVGGVVLCLVLVLVVIWILCRKRRQTRTALRQTKEGGLVVFSYRELEHLTKNFSERLGGGGFGSVFKGVLPDNNVIAVKKLEGLRQGDKQFRAEVSTMGTIQHVNLVRLRGFCCEGDKRLLVYDFMPNGSLDSYLTDNHAKQTLCWKQRYQIAIGTSRGLAYLHEHCRDCIMHCDIKPENILLDKDLCPKVSDFGMAKLMGRDFSRVMTTMRGTVGYLAPEWITGLPVTPKADVYSYGMVLFELISGKRNATVHEDGQVTFFPVWATKRVVEGDDVLSLLDKSLHGDADIEELGRVSKVACWCIQDEESERPSMGQVVQMLEGVVEIQVPPIPNTLHRLLDDESKDAMVFYEDPKNVI >DRNTG_12911.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26527301:26529516:1 gene:DRNTG_12911 transcript:DRNTG_12911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQQGSHDGRTRRSRGGGNVGGRSPLLPDLVTDCPIHQLLLLLLFFLCPPFSWNRSVQT >DRNTG_03313.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9299666:9306007:1 gene:DRNTG_03313 transcript:DRNTG_03313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPKDPKTKNKGEDVARQRRTRGPTLGRITPEDANKNCTLTILGDSIFAEKGVPSTIVKLIKNYFTGVWPTWRKIPDDVKEARNILIGPYKRNLMYEKYGKRHEEKLQRPIQYPEFFERTHEQSKGSGDFVDNKSKVVSDKYQFALSKKIGDNTSNHPKFDPEAWASSIGGKIATRTHVYGFGTMVNSKAFFYATSSAAACTTNSVCGPSTSTPHMDVSLNDDRIVSLEQKLESLTDDVSQVKNVVAFEGSSSDPSGSLFK >DRNTG_14273.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:913006:918320:1 gene:DRNTG_14273 transcript:DRNTG_14273.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved oligomeric Golgi complex component-related / COG complex component-like protein [Source:Projected from Arabidopsis thaliana (AT5G51430) UniProtKB/TrEMBL;Acc:Q9FGN0] MVVVDLGEFSREGFDAKRWINAALEARHPEDPIDRLLSDLEESLRSSSEKIADSLERESADALRRVPLACRDVVRLRDDALALRQSLSSILLQLKQAEATSAESVSAIAKIDVVKQRMEAARETLQDATGLTQLSASVEDVFASGDLPRAADTLANMRHCLSAVGEVAEFANVRKQLEVLEDRLEEMVQPHLSDALSNRKVDAVQNLRKILIRIGRFKSLELQYTRMHMKPIKKLWEDYDSRLRASKLEMERYGERISNLTDSSIKASSISFSSWLPSFYDELLLYVEQEWKWCSSAFPDDYKSLVPKLLVETMSELGASFVSRINLATGEVVPETRTLTKGILDILSGDMPKGTKIQNKHLEALIELHNMTTIFARNIQHLFSESDLQVLKSTLKAVYSPYESFKQRYGQMERAILSSELAGVDIRGAVARGVGAQSIELSETVRRMEESIPLVVVLLEAAVERCINFTGGSEADELIVTLDEIMLQYISNLQETLKSLRAVCGVDNVPHADGVGAKKDMGTDRREGARIMDLVSEEEEWSNVQGAVQILTVADCLTGRASVFEASLRATLARIGTTLSLSVLGSSVDQSHSTAADGNIEALAGRTALDVAALRLGDMPEKARKLFNLLEQSKDPRFHALPLASQRVAAFADMVNELVYDVLIFKVRQRLSDVARLPIWSSVEETGGLPLPSFSAYPQSYVTSVGEYLLNLPQQLEPLAEGIAGNETNVDEAQFLATEWIFKVAEGATALFMEQLRGIHYITDRGAQQLAADIEFFSNVLSALSMPTPTFLATFRTCLSTPRDQLPNLLKSNGGKRPQDDAGEKTDEDDGNKLDVPTAHLVCKIRRIPSD >DRNTG_05429.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:4966555:4966989:1 gene:DRNTG_05429 transcript:DRNTG_05429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSMVLWKVLYSSSKDSFIRYRIRLDQSSPTNLLPNGITMAVIGQLHGRGQYTRPCGISTRVWIISTGPCGFSVSLISRPVVNSATTVVATVLCYSLRPK >DRNTG_30961.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17811018:17812367:1 gene:DRNTG_30961 transcript:DRNTG_30961.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKNKRNHYLKYHQNVYHKINMKAVFDKVMNVIKTIRTKYFGRAQ >DRNTG_09011.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8612769:8617289:-1 gene:DRNTG_09011 transcript:DRNTG_09011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLPTDEMVLVENNIVKIDMGCHIEGFIAAVTHTHVIHEGSDTGRVVDVIAAVNMAVEVALKLVRAGIGRLHWRGCFTRSWAISIRAWIISTRPCGSSELLFSRPAVNSTATVPGLNSFPNPYFHRSNANVHTIIEVVISHQLKQFVIDGDKVVLSVASQESRRVFSIPHPGALTPQRAYRAMCGQGHSVNGRGDSTGVLSWQELLYLYSMVQRIPIHLGYIVIEYIRSGV >DRNTG_02553.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5131271:5131553:-1 gene:DRNTG_02553 transcript:DRNTG_02553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWCSLIFDLIPVLPWSTHGHAMTVSSMRILSEIGLEYSGVGDMIMSCPCATSAWLCEELPDLTALV >DRNTG_23206.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5551784:5556940:-1 gene:DRNTG_23206 transcript:DRNTG_23206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVTDGLPLAEGLDDGRDQKDSEFYKQQAKLLFKNLSKGHNEASRMSLETGPYLFHYIIENRVCYLTMCDRSYPKKLAFQYLEDLKSEFERVNGSQIETAARPYAFIKFDTFIQKTKKLYLDTHTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSEMSSRLTSESRIYADKARDLNRQAFIRKWAPVAIVLGIVLLLFWVRTKIW >DRNTG_33482.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002003.1:23702:24194:1 gene:DRNTG_33482 transcript:DRNTG_33482.1 gene_biotype:protein_coding transcript_biotype:protein_coding YKCSSVRGCPARKHVERCLEDPSMLIVTYEGEHNHTNSVTQSAHT >DRNTG_25662.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21653121:21658128:-1 gene:DRNTG_25662 transcript:DRNTG_25662.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQEGSNSGNRDKRHIKCFNRDKLGHYASECWSERREEKANLVHDEKLALRLTISQEETLRRKKKQDVVMLNDEELIPELHNAEKEQLHTDIWYLDNRVSNHKTGHHAKFQRLDESITGALKFGDGSIVEIMGKGSILLQCKNSHHRVLPEEYYIPRLRSNIISLDQMTEDGNEVEIVGEFLKAYDGNGTLLMSVRRSSIRLYKIQQEACKPTCLMASFDNPAWLWHARLEHINFHALKLMGEKQMALRLPVITHPNKVCEGCLVAKQAKSPFPAQENFRTKEPLELLHVDMCGPVIPCTVAGNKYFFLIVDDCTHWMWYIS >DRNTG_18315.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2522504:2529372:1 gene:DRNTG_18315 transcript:DRNTG_18315.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable helicase MAGATAMA 3 [Source:Projected from Arabidopsis thaliana (AT4G15570) UniProtKB/Swiss-Prot;Acc:B6SFA4] MAVEKTVAPLGSSASISRFQRIVLAWDYLRLLRDSTKKDSKDKSRDGLQRVKNTYRDVEDYIGVFEPLLFEEVKAQIVQGKDEDEALAWEKGAVDSCTEADEFHKVSMAVRDEFREELSENDLLLLSKEKFQEGASPSTYAFAIVEQRGGREMLTLRAFLAGDVKELNGNEVEHSARLLKMLAVLKIRESFLWILKICSLSTIMREYVAMHSVTSLPFKDLILSATDKPGYKNSEDRAWNIPQPLMEFFESNLNDSQLEAIHAGLSRKPFVLIQGPPGTGKTQTILGLLSAVLHAAPARVQSGGGSSIVKCQQEMNIQDKYYHWTKASPWLTGVNPRDMIMPIDGDDGFFPTGNELKPEVVKSNRKYRAHVLVCAPSNSALDEIVLRVLQTGIHDENDRVYNPKIVRIGLKPHHSVQAVSIDYLVEQKLASVDQSSGVKKPGSGRAVDRDRIRASILDEAAIVFSTLSFSGSALFSRMNRVFDIVIIDEAAQAVEPATLVPLAHGCRQVFLVGDPVQLPATVISSTAEKLGYGTSLFKRFQGAGFPVQMLKTQYRMHPEVRLL >DRNTG_18315.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2522504:2526153:1 gene:DRNTG_18315 transcript:DRNTG_18315.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable helicase MAGATAMA 3 [Source:Projected from Arabidopsis thaliana (AT4G15570) UniProtKB/Swiss-Prot;Acc:B6SFA4] MAVEKTVAPLGSSASISRFQRIVLAWDYLRLLRDSTKKDSKDKSRDGLQRVKNTYRDVEDYIGVFEPLLFEEVKAQIVQGKDEDEALAWEKGAVDSCTEADEFHKVSMAVRDEFREELSENDLLLLSKEKFQEGASPSTYAFAIVEQRGGREMLTLRAFLAGDVKELNGNEVEHSARLLKMLAVLKIRESFLWILKICSLSTIMREYVAMHSVTSLPFKDLILSATDKPGYKNSEDRAWNIPQPLMEFFESNLNDSQLEAIHAGLSRKPFVLIQGPPGTGKTQTILGLLSAVLHAAPARVQSGGGSSIVKCQQEMNIQDKYYHWTKASPWLTGVNPRDMIMPIDGDDGFFPTGNELKPEVVKSNRKYRAHVLVCAPSNSALDEIVLRVLQTGKLFFLSLFSFFFCFILF >DRNTG_18315.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2526187:2529372:1 gene:DRNTG_18315 transcript:DRNTG_18315.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable helicase MAGATAMA 3 [Source:Projected from Arabidopsis thaliana (AT4G15570) UniProtKB/Swiss-Prot;Acc:B6SFA4] MNRVFDIVIIDEAAQAVEPATLVPLAHGCRQVFLVGDPVQLPATVISSTAEKLGYGTSLFKRFQGAGFPVQMLKTQYRMHPEISIFPSKEFYDGSLENGSLVERQTKRQWHAYRCFGPFCFFDIDGTESQPSGSGSWVNEEEVDFIILLYHKLVNQYTELRSSSQLAIISPYRYQVKLLRDRIRENFGERSDHLVDINTVDGFQGREKDVAIFSCVRANKGKGIGFVSDFRRMNVGITRARSSVLVVGSASTLIQDDHWKNLVSSAKDRNCYFKVTKPYAAFFNDSNLESFRVKSSEIMKEEMVKKMEEKMVEMIDVGNAGDEDGGVEEDDFPMDIDDGGPDE >DRNTG_18315.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2522504:2526153:1 gene:DRNTG_18315 transcript:DRNTG_18315.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable helicase MAGATAMA 3 [Source:Projected from Arabidopsis thaliana (AT4G15570) UniProtKB/Swiss-Prot;Acc:B6SFA4] MNIQDKYYHWTKASPWLTGVNPRDMIMPIDGDDGFFPTGNELKPEVVKSNRKYRAHVLVCAPSNSALDEIVLRVLQTGKLFFLSLFSFFFCFILF >DRNTG_30684.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1849214:1853587:-1 gene:DRNTG_30684 transcript:DRNTG_30684.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCYLRYFSPLNVASPPRFSPTFHSRSSSKPYASSPSRTASAVLIAAGAGLALSLPSVASAAMQEPANALSLPTWIIHISSVVEWITAMVLVWEYGEKSGFEAWKGLSWGMVPLLGGAMCACTWHFFYNSESLDILVALQGMLTVVGNLTMCIAAYRIFKSAQGSS >DRNTG_21913.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1700065:1701831:-1 gene:DRNTG_21913 transcript:DRNTG_21913.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAIICCYTGLLLKQCIDANPRIRTYTDIGGLAFGNKGRLAVSVFMNIELFLVSIGFLILEGDNLNKLFPGRSFEIGMYKIAGKQFFVLLVSLIILPTTWLRNLSVLAYISAGGVLASIVMVGSVFWTATVGGVGFHELGRPLNIVGLPTSLGLYTFCYCGHAVFPTICNSMKNKAQFSKVIVLCFVLCTINYASMAVIGYLMYGNEVQSQITLNLPLRHLSSKIVIYTTLINPFTKYALLITPVATAIEERFLPYNKRCFSIVTRTLLVCSTVIIALMIPFFADLMSFIGSLLSIVVSMFLPCLCYLKIFKHLRKSKFEIVFIGLIFVFGVIIVILGTYTSLKQIIHQMQ >DRNTG_13390.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22916880:22922187:-1 gene:DRNTG_13390 transcript:DRNTG_13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVCTPYLANRAPSPFGPCCTTVAALGRSAPTQADRVAVCNCLKGVSPRFPNVDSSRASSLPSLCGVTINFTITPFIDCHMIPAV >DRNTG_13390.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22916880:22917831:-1 gene:DRNTG_13390 transcript:DRNTG_13390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHFVASFVAALLLIASSSLKSDAAPPCPQIVQMMTVCTPYLANRAPSPFGPCCTTVAALGRSAPTQADRVAVCNCLKGVSPRFPNVDSSRASSLPSLCGVTINFTITPFIDCHMIPAV >DRNTG_13390.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22916880:22919066:-1 gene:DRNTG_13390 transcript:DRNTG_13390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVCTPYLANRAPSPFGPCCTTVAALGRSAPTQADRVAVCNCLKGVSPRFPNVDSSRASSLPSLCGVTINFTITPFIDCHMIPAV >DRNTG_13183.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000600.1:5:2205:1 gene:DRNTG_13183 transcript:DRNTG_13183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMIKNGSSENIILEITKANDSGSGSTEHSDRTDHQEQKVENVENELPSEKKFINSCINKPSVCSSPQSALCDYPLPTNAGQSFVILTL >DRNTG_16166.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14945268:14975967:-1 gene:DRNTG_16166 transcript:DRNTG_16166.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQRYTRHENHNHIKDEHNNNKPHHHLHKFLLKTYS >DRNTG_12685.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13763647:13768216:-1 gene:DRNTG_12685 transcript:DRNTG_12685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGLLDAIRGAEKVIIPAPVGIQMIRQMGMLRRYSPTVNVLIITNPKIAWAGGDVAKGSQQAPEPHPEHTEAKAPPAA >DRNTG_06170.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25308705:25311909:-1 gene:DRNTG_06170 transcript:DRNTG_06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILSYLMMKKMMMMMMMLVLSVFTITALGDLSSNYQSLMLIKSDLVDPRGVLSSWSSQGSSTTGLCNWNGIACSMSQTDVLELNLSSSGLSGSISSEIGSLVSLQVLDLSSNSLSGLIPLELGMLKNLSVLLLYSNSLWGGIPPQLGLLNQLKVLRIGNNMLSGEIPPQLGNCSEMEILGLASCQLNGSIPNELGNLMHLQSLILQNNSVSGKIPEEIIGCRSLQIFSAADNKLQGEIPSGIGTLVELQALNLANNKLSGVIPSELGKLSGLTYLNLLNNELSGTIPFELNLLTRLQKLDLSQNNLTGTITLSTTHLKELQYLVLTDNYLDGEIPTDLCPAAPDNNTHWNLQNLFLAGNNLTGSIEVLLDCISLQSLDLSNNSLSGMIPAGIDRLTKLTNLILHNNSLTGTLPPQIGNLTNLEMLALFHNDLVGEIPAEIGKLKKLTLFFLYENQMSGIIPPELTNCSNLQELDLFGNQFTGSIPDNIGQLQNLVVLQLRQNDLSGFIPPSLGHCMSLEKLALADNLLSGTLPETMGSLSRLSLVTLYNNSLEGPLPESLSSLQNLTIINFSNNRFTGSISPLLGSSSLIKLDLTNNHFSGQIPSMLGDSKQLLRLRLGQNFLSGVIPSELGQLHELGFLDLSFNQLNGLVPPELSNCKQLSHLILKENMFSGTLPSWLGSLSSLGELDLSFNNFSGSVPAELGGCSSLIKLSLSDNQLTGVIPPEIGNLTSLNVVNLQNNNLSGPIPSSIQHCTSLYELRLSQNLLTGPIPPDLGLLSDLQVILDLSQNHLSGEIPASIANLVKLERLNLSFNQLQGRIPSFLGLLTSLHRLNLSHNFLYGEIPHSLSVFPVDSFSGNDLCGSPMALCFAPPASGQRLSNGMVAGIIVAIVLTSTLVILVLLYIMIRIWLNWRHVSVSVSDGEGYDEHGRYWKVSTTTCSATATSTSSHEKQGSSASDSCILQHKTMQLADLENQTKS >DRNTG_28678.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20423251:20423768:-1 gene:DRNTG_28678 transcript:DRNTG_28678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVSNSLVQLQASPFPPSPWPRSILPSLKTCKTMKDLQQFHAKSIKTGLIRDTLVGAEILRFVALSHDRDLRYARLVFDEMREPNVFSWNTLIRAFSESEFEPLQALSLFLQMLNDDSVQPNRYTLPSVLKSCARSGGLEEGKQIHGFVAKIGLQTDDFVLSNLVR >DRNTG_22112.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:209026:212452:1 gene:DRNTG_22112 transcript:DRNTG_22112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSGINLVMTVIGFAVSTMFIVFVCTRLICARIHLSASRRSFPTASRSDLSILERGIHGLEPVVVAGFPIQKFGEHFATSGQDTQCTVCLAEYQEKDVLRVLPYCGHAFHVACIDIWLKQHSTCPVCRISLRDSPDQKRAIQPIAAIRSLYPPGNFDLNSYDYLQAGPDCSGVADKQRADVQDDQFRSGPSGAREIVPIVAEVNGSVKSNCQHEFEGKYPQSPSNC >DRNTG_14873.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2871288:2876713:-1 gene:DRNTG_14873 transcript:DRNTG_14873.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAPGLSRKLKKVLETRTDSPDLLDSLAALSTFYGDNNPQSRRNLKSSIEERALAINHTFLLASLPAQQALDRVEEEVNALTECCDQIAKALSSCSETTGDIISTTERLQQELEITTQRQEIVSCFLRDYQLTNEEINALREEELNENFFKALAHVQEIHANCKVLLRTHHQRAGLELMDMMSVYQEGAYERLCRWVQGECKKLGDSDNPEVDDLLKTAVRCLKERPVLFKYCAEEVANMRHHALFRRFISALTRGGPGGLPRPIEVHAHDPLRYVGDMLGWLHQALASERELVLALLEPDAITETGPTAQHFSKGSESDLAKTEPGTTFVLDRIFEGACRPFKIRVEQVLQSQPSLIISYKLSNTLEFYCYTISDLLGRETALCNTLWLLKDAAQQTFFNILKTRGDKLLRYPPLVSVDLSPPPAVREGVSLLLELIETYNSMMFPASGNKPDFDPVLSALLDPMIQMCEKAAEAHKSKGTLSRRSRTNSDVSSGNRESLSVDAILSRKTSISPQSAESSSKIYLVNCLSAIQQPLLGHEVATNYANNLNSTIKTHISDLAEKEVNGILRRCGLLSKISYIQNPTAEDEHRLLAEIDDLSPPAVAECLRNFFGLVTGTGGFLPEFDQLQVPKLRSDACVRVARALAEAYDLFYQAIMDPKNGYPDPKSLMRHSPDQIKTILEI >DRNTG_26769.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18423054:18423972:1 gene:DRNTG_26769 transcript:DRNTG_26769.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKEDQFITSFDGFQVLRLPYRKHQNTKSFSMYIYLPDDLFGLHRFLEKIANEPGFVSNHIPLRRVEVGRFMIPKFKFSYGFEVSKVLKSLGLELPFSENADFSGMILSSSSADKLFISSVHHKATIEVEEEGTVAVAATGLVFTQRCYVPPVNFVADHPFMFVIREDVTGALLFFGVVVNPLLSAD >DRNTG_18458.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19544770:19547354:-1 gene:DRNTG_18458 transcript:DRNTG_18458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFSSSSRSSVEALGGTPVVLNVYDLTPLNNYMYWFGLGIFHSGIEIHGLEYGFWSP >DRNTG_30004.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11597177:11603541:1 gene:DRNTG_30004 transcript:DRNTG_30004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGILVAIFDPRQMSTLGPNITVLTLQDREAFDGSLLVYYGRAQVQNLSNKGLDKNFLVMVFEFVVNMNSRNPSMEATSGPRKKKKVKKQKALDLDDTSGGNLHSELMLEEAEQFDINEPTVEEKLASLDLANSEMVKSISEDISPMMKLPSANSVHVLLKQALNADDHRCSIGLCITLAKQFNRLTCKQYRVSRIIFTNAQHTLPVDKVEALKRYKFSLAFENSNEEDYATEKFFQSLVAGVC >DRNTG_08086.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10089781:10098021:1 gene:DRNTG_08086 transcript:DRNTG_08086.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVITPNPNEYGSESLPTKSSYDRITKGEISN >DRNTG_29881.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2012426:2014546:-1 gene:DRNTG_29881 transcript:DRNTG_29881.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRNMDQREPLLVGGVIGDVVDPFVKSATLKVIYNNKEITNGSELRPSAVASEPRVEIFGSDMRRLYTLVMVDPDAPSPSNPTEREHLHWLVTDIPESTDARFVVSYESPRPIAGIHRLVFVLFRQDVRQTIYAPGWRQNFNTRDFSALYNLGSPVAAMYFNCQRENGCGGRRYHMVSGWA >DRNTG_29881.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2012426:2014546:-1 gene:DRNTG_29881 transcript:DRNTG_29881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRNMDQREPLLVGGVIGDVVDPFVKSATLKVIYNNKEITNGSELRPSAVASEPRVEIFGSDMRRLYTLVMVDPDAPSPSNPTEREHLHWLVTDIPESTDARFGNEVVSYESPRPIAGIHRLVFVLFRQDVRQTIYAPGWRQNFNTRDFSALYNLGSPVAAMYFNCQRENGCGGRRYHMVSGWA >DRNTG_13421.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17387724:17388925:1 gene:DRNTG_13421 transcript:DRNTG_13421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSTRKKGADGPKGTRAKPLSISEWSDAQLTSYCDACGISFVDSVHDCINQIRSLEEARIRASLGQADASSEARDIDKPSGNPNLEDIRCTNNLMRALCLQEPPTVGRKFTWTNGQDSPIWVKLDRFLVNDCWLEHFPRVIQSSLPRLGSDHVPIRLEVGIHSSKPRPFRYEAVWATSEGFQDLVRQWWTRVDPAGCGAFVVSKKLAHVRTQLRQWAKFSFGSIKLRKLALLHDLEVLDIAKESR >DRNTG_26466.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:531319:532789:-1 gene:DRNTG_26466 transcript:DRNTG_26466.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCGGGFSKHNALLLLLLSMATIMAIETGVVQGQSTVTCTKLLSPCSRRVFKCPAECPLTRPSNPYAKACFLDCNSPVCQPVCRHRKPSCSGIGSGCYDPRFIGGDGVVFYFHGKKDEHFSLVSDPKLQINARFMGLRPAGRTRDFTWIQALGILFGPPHHSALTVEAIPTKHWDDTVDHLKLSFNGEDLEIAEGHLSGWTSESEEVTFIRVERTGSMNSVMITVEGLAELSVTVVPITREDDKVHGYGIPADDCYAHLDVQFRFLGLSTQVEGVLGRTYRPDFENTAKAGVAMPVVGGEDKYRTSSLVSPDCTHCVFNPSPDL >DRNTG_26466.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:531319:532644:-1 gene:DRNTG_26466 transcript:DRNTG_26466.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCGGGFSKHNALLLLLLSMATIMAIETGVVQGQSTVTCTKLLSPCSRRVFKCPAECPLTRPSNPYAKACFLDCNSPVCQPVCRHRKPSCSGIGSGCYDPRFIGGDGVVFYFHGKKDEHFSLVSDPKLQINARFMGLRPAGRTRDFTWIQALGILFGPPHHSALTVEAIPTKHWDDTVDHLKLSFNGEDLEIAEGHLSGWTSESEEVTFIRVERTGSMNSVMITVEGLAELSVTVVPITREDDKVHGYGIPADDCYAHLDVQFRFLGLSTQVEGVLGRTYRPDFENTAKAGVAMPVVGGEDKYRTSSLVSPDCTHCVFNPSPDL >DRNTG_27176.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:668450:673680:-1 gene:DRNTG_27176 transcript:DRNTG_27176.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLAMEPRPPTFDPAEKALAFISRGWREVRDSAGADLQLMRTRARTFKSLADLEFENFLNSASPFSVSAAEAPIAELDFVKRIKPKLSEIRRAYSSPDFSRKVLERWNPRSRFQLDFSGIRDAIVSVDENEGFGDFGRGRLKREGRGVRSKEGETEWEIIRILKTGLKEFERKSHSKDIFLDIERKSSEFVEKVKLSLKSICKEPEDSKDVPPLDVPELLAYLVKQSGPFLDQLGVKRDICDKIVEALCCGRKDPLMSHSLSAQDTPLLQNENVHDELDLRIASVLQSTGHHYEGGFWTNLTKPEIDEEKRHIAIVTTASLPWMTGTAVNPLFRAAYLSKSAKQKVTLLVPWLCKPDQELVYPNSLTFSSPDEQETYIRNWVEERVGFKADFNISFYPGKFSKERRSIIPAGDTSQFISAKEADIAILEEPEHLNWYHHGTRWTDKFNHVVGVVHTNYLEYIKREKYGAFQAFLVKHINNWVTRAYCHKVLRLSAATQDLPKSVICNVHGVNPKFLKIGEKIAAERNGGQQSFSKGAYFLGKMVWAKGYRELIDLLAKHKQDLEGFKLDVYGNGEDSHEVQSAAKKLELNLNFLRGRDHADDSLHGYKVFINPSLSDVLCTATAEALAMGKFVICADHPSNEFFRAFPNCLTYKTSEDFVARVKEALENEPHPLTPEQRYNLSWEAATQRFLQTSDLDKVLLSNNDTTANPGHSKRIRKSISMPSLSEAVDGGLALAHYCLTGNEILRLSTGAIPGTRDYNKQHCIDLHLLPPQVQNPVYGW >DRNTG_20234.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3936629:3945798:-1 gene:DRNTG_20234 transcript:DRNTG_20234.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEVHDPMQFVMSPCDYINSLCPVTSSDSKNTKGLSRRNLLMMPLEERLKKWFCEGPQINRFNALVHLAPNESINEVLKVIQQYADLVQGLWITKSSLLFKEEQARVRDYFLFLLSKNHVVPSSKITGTKFGEVSYLKEMMSQLAVKGPTNDWKLKDAPDSSFIERFPNIIKEQECAWSSREMHFLDLSAPAGKDKVLMTKSSLDSSGGVSRVANRSNDVSASTRTNALSIETRECLPKVVQQILRSQKVSSPKAIVQGLRELAKVLRAKPKDDRRYVAVSDAAVYAAKASFSELQVVLNQVAINIHGVYVAKSMGNSSIDPLRDVVIELFCHKEPQAKLKKQEVQQAAQIRLKRDITENEYFLVMKDLCDSKNGGVWVLKNGDRSPN >DRNTG_20234.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3936629:3947047:-1 gene:DRNTG_20234 transcript:DRNTG_20234.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHANSDGLQKKKKIVQEKASSSNNSLQNVDNTEDWVYLDYHAKGSPFSGAYQQRMVAEVHDPMQFVMSPCDYINSLCPVTSSDSKNTKGLSRRNLLMMPLEERLKKWFCEGPQINRFNALVHLAPNESINEVLKVIQQYADLVQGLWITKSSLLFKEEQARVRDYFLFLLSKNHVVPSSKITGTKFGEVSYLKEMMSQLAVKGPTNDWKLKDAPDSSFIERFPNIIKEQECAWSSREMHFLDLSAPAGKDKVLMTKSSLDSSGGVSRVANRSNDVSASTRTNALSIETRECLPKVVQQILRSQKVSSPKAIVQGLRELAKVLRAKPKDDRRYVAVSDAAVYAAKASFSELQVVLNQVAINIHGVYVAKSMGNSSIDPLRDVVIELFCHKEPQAKLKKQEVQQAAQIRLKRDITENEYFLVMKDLCDSKNGGVWVLKNGDRSPN >DRNTG_20234.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3936240:3951393:-1 gene:DRNTG_20234 transcript:DRNTG_20234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDGGEDCIIKEIDVFLNPSLDDQTKLYVMQYPLRPSWRPYEVNEKSKVKIDARQSKLEVDLNLDVGVNYDEDAADPLFEKKVTLSSSEVPFATQYAVGVLVGDKLYLNPLDRVVQFRPSMAHANSDGLQKKKKIVQEKASSSNNSLQNVDNTEDWVYLDYHAKGSPFSGAYQQRMVAEVHDPMQFVMSPCDYINSLCPVTSSDSKNTKGLSRRNLLMMPLEERLKKWFCEGPQINRFNALVHLAPNESINEVLKVIQQYADLVQGLWITKSSLLFKEEQARVRDYFLFLLSKNHVVPSSKITGTKFGEVSYLKEMMSQLAVKGPTNDWKLKDAPDSSFIERFPNIIKEQECAWSSREMHFLDLSAPAGKDKVLMTKSSLDSSGGVSRVANRSNDVSASTRTNALSIETRECLPKVVQQILRSQKVSSPKAIVQGLRELAKVLRAKPKDDRRYVAVSDAAVYAAKASFSELQVVLNQVAINIHGVYVAKSMGNSSIDPLRDVVIELFCHKEPQAKLKKQEVQQAAQIRLKRDITENEYFLVMKDLCDSKNGGVWVLKNGDRSPN >DRNTG_05641.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22300353:22301053:1 gene:DRNTG_05641 transcript:DRNTG_05641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALAEGLETSGKPFIWVIRPPHGFPMDGEFSEEWLPDGLEERLRVSGQGLLVKTWAPHLEILGHKSTGVFINHCGNNSLLESLSRGVPIISWPLVYDQFCVSKMMVEELGVCVELASGVEDEVESVEVERVIGLVLDGEKGKEMKKKALKCMEMMREAMKDNGEVKGSSLIALDDFIKCVSVKCSTD >DRNTG_13644.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000627.1:38094:39119:1 gene:DRNTG_13644 transcript:DRNTG_13644.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNRLRRSGNSPVISEANKPLASTSK >DRNTG_10277.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20185152:20188588:-1 gene:DRNTG_10277 transcript:DRNTG_10277.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Riboflavin biosynthesis protein PYRD, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G20960) UniProtKB/Swiss-Prot;Acc:Q8GWP5] MRRCVELARTAIGCTSPNPMVGCVIVKDGAVVGEGFHPKAGQPHAEVFAVRDAGALAENATAYVSLEPCNHYGRTPPCTEALIGAKLKRVVIGMVDPNPIVASKGVEKLRDAGMEVVVGVEELMCRKLNEAYIHKMLTGKPFVTLRYSLSFNGGILNHLGEGAEEFGGYYSQLLQEYDAVIISSDSLSKMSELPTSKETRANQPLIIVIARNNTSSICLPSPATGTQILILSEKAITVQPKSEEIKNTVIQKLNLSTILDYCATLGLCSILFDFRADDVCFTQFLEDSFKEQLLQKVVMEISPAWDVTGDTSMLNFGSQSFKLKDLQSRLANDSIIAEGYIA >DRNTG_12261.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24871880:24877756:-1 gene:DRNTG_12261 transcript:DRNTG_12261.1 gene_biotype:protein_coding transcript_biotype:protein_coding WSRVQKIIALGEWQSHLPIQATIIDSSGRWRTAASSSSGYPRRQRSGEWPTDSSE >DRNTG_07869.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18973885:18975149:-1 gene:DRNTG_07869 transcript:DRNTG_07869.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQQQASDNHKHWDALADNKFSISTKGLDIVWGDDGRFWRKVPISKDDKPELNYGEGMELLQVCWLEVRGTLNLEETHLLPNKTYKLFYIIKFKVDAFGWDYGPVPLHLVTPDGCKLRRNDNFGVYKKDAWHKVFGGEFKVGSTGKEIVKFAINGFNTPCWKGGMVLHGVLIEPKDNHFLYIHIIE >DRNTG_29399.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9039246:9041084:1 gene:DRNTG_29399 transcript:DRNTG_29399.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan endotransglucosylase/hydrolase protein 32 [Source:Projected from Arabidopsis thaliana (AT2G36870) UniProtKB/Swiss-Prot;Acc:Q9SJL9] MASKTFGELNTNMFTMAKSASGLTETLAVVSSPLGLSGNGYFGASIKLQPGYTAGVITAFYLSNNQAHPGFHDEVDIEFLGTTPGNPYTLQTNVYVRGSGDGRVIGREMKFHLWFDPTSAFHHYAIIWNPDEIIFLVDDVPIRRYQKKSAATFPIRPMWVYGSIWDASSWATDDGRYPADYRYEPFIARYTNFIIRGLFSLCFSALPSCSVFSLWPWSWSEPEHSHEMGSEEFFGV >DRNTG_25471.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1591406:1593464:-1 gene:DRNTG_25471 transcript:DRNTG_25471.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDLASCNDVLDGCCRVTGSVSDAERVLEIISSLGLSLDERSFGLLAYLYASKGLETKIVELDCLMTALGFMNKKMSFFRSLISGYVKSGDFDSVSKVLLRALKEKNGGNDCVLDEDGYKEVVKGFVENERFKDLAALIIETQEVESHMEMVGIESSVGFGIVNACVVLGWLEKAHNLLDEMSAQGAAVGIGVYSSILKAYCKEQRTAEAAQLVTEISAAGLQLDVGSFDALIDSSMTAQDFQSAFSLFRDMREARLPELKMSYLTIMTGLMENHRPELMAAFLDSVIDDPRVEVATHDWNSIIHAFCKVGRLEDARRTYRRIVFLRFEPNQQTFLSLINGYVSTEKFFSVLLLWTDVRRKGMKLDHDLLDAFLYALVKGGFFDAAMQVVEKAQELKIFIDKWRHKQAFMEKHKKLKVAKLRKRNFRKMEALIAFKNWAGLNA >DRNTG_26258.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21756225:21763297:1 gene:DRNTG_26258 transcript:DRNTG_26258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLPEDERQPSAAVRELGQLRQHHPVSGLSDGVRFRLVNSVLGQANESDTSASQDINISLDDQSQSSVVVELSTDNHDQSQSNSEDNSRQQTAETHTTSAFESNSRSENIGWREPGTFEENLRENEARAQDWQQSSEIGYSGQRASSGEELIEGWQENIDHDWPHETPEDEDGEDSHTLEAQEWHEADSHASRENWQDGPSISLGDPQAILNRRFNRFIPSDDDNVYSMELRELLSRRSVSNLLRSGFRESLDQLIQSYAQRQGRAPLDWELERTLPTDLPDEDHGQQRDDLDHDQQDDAVARPPLVLPTPPVPPPQLLWHSELHHNSWASQSMHRSEIEWDIINDLRADMARLQQGMSHMQRMLEACMDMQLELQRSVRQEVSAALNRFGEQDAAEESSEDGSKWSHVRKGTCCVCCDTHIDALLYRCGHMCTCSRCANELVRAGGKCPLCRAPIIEVIRAYSIL >DRNTG_26258.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21756225:21763297:1 gene:DRNTG_26258 transcript:DRNTG_26258.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLPEDERQPSAAVRELGQLRQHHPVSGLSDGVRFRLVNSVLGQANESDTSASQDINISLDDQSQSSVVVELSTDNHDQSQSNSEDNSRQQTAETHTTSAFESNSRSENIGWREPGTFEENLRENEARAQDWQQSSEIGYSGQRASSGEELIEGWQENIDHDWPHETPEDEDGEDSHTLEAQEWHEADSHASRENWQDGPSISLGDPQAILNRRFNRFIPSDDDNVYSMELRELLSRRSVSNLLRSGFRESLDQLIQSYAQRQGRAPLDWELERTLPTDLPDEDHGQQRDDLDHDQQDDAVARPPLVLPTPPVPPPQLLWHSELHHNSWASQSMHRSEILMQEWDIINDLRADMARLQQGMSHMQRMLEACMDMQLELQRSVRQEVSAALNRFGEQDAAEESSEDGSKWSHVRKGTCCVCCDTHIDALLYRCGHMCTCSRCANELVRAGGKCPLCRAPIIEVIRAYSIL >DRNTG_01863.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21222527:21226419:1 gene:DRNTG_01863 transcript:DRNTG_01863.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNNYLLLRIITEVHTASAFQNSKISIIPQGYEDELLWAAAWLYHATGDQSYLSYVTVENGNSYADWGRPTWFSWDDKRAGTQVLLSRVQFFGSKLSSNAENKGLQLYRKTAEAVVCGLLPDSPTATSSRTDGGLVWIDQWNALQHPVAASFLALLYSDYMLTSRTAEVDCSGKSFTPSDLRNFAVSQADYVLGSNPMEMSYLVGYGSKYPQQVHHRGASIPANANTGCKGFDYLTSTDPNPNVAMGALVGGPFKNDSYIDVRNNSMQAEPSTYNSAILVGLLSGLVTTSSVAQSLT >DRNTG_25559.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3572635:3575629:1 gene:DRNTG_25559 transcript:DRNTG_25559.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESSDLEAPACLPGFASPSKMAFPRSSEKLHSHPCH >DRNTG_33039.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3501912:3505056:1 gene:DRNTG_33039 transcript:DRNTG_33039.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRNSIVDFEMPSSLSSSSDSPEGIDFRDPYNVCKEFGKRDIGPYKHLRAIEANSIDWNLVKGSSFLTRKLKILLGKLTLVDLAELTHQQKLAFWINIYNSCMMNAFLEQGMPPTPQMIVELMPKAMINVGGHSLSAMTIEHFILRLPYQSKYTNPKGLKYDDVTTRAIFGLDWPEPLVTFALSYGSWSSPAVRVYTASQVEKELEKAKRDYLQAAVGIPTASKLAIPKLLDWYLPDFAKDVESLMDWICLQLPGESRNNAIKCLEAGRKSLVPQPIQVLPYDFSFRYLLAS >DRNTG_33039.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3501912:3505056:1 gene:DRNTG_33039 transcript:DRNTG_33039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRNSIVDFEMPSSLSSSSDSPEGIDFRDPYNVCKEFGKRDIGPYKHLRAIEANSIDWNLVKGSSFLTRKLKILLGKLTLVDLAELTHQQKLAFWINIYNSCMMNAFLEQGMPPTPQMIVELMPKAMINVGGHSLSAMTIEHFILRLPYQSKYTNPKGLKYDDVTTRAIFGLDWPEPLVTFALSYGSWSSPAVRVYTASQVEKELEKAKRDYLQAAVGIPTASKLAIPKLLDWYLPDFAKDVESLMDWICLQLPGESRNNAIKCLEAGRKSLVPQPIQVLPYDFSFRYLLAS >DRNTG_15718.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4336094:4339721:-1 gene:DRNTG_15718 transcript:DRNTG_15718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFFNFIIIFIFVSIFPSPGTNAALLGLSRDLAALSSFSAAITGDPHGVLHSWRSPEIHVCNWTGVTCHLVKDRVVQLDLSGRDLIGVISPVVANLSFLAVLDLSGNFFSGRIPLEIGSLSRLKQLSLSSNILVGTIPAQLGFLHRLVYLDLSGNRLDDRIPESLFCNLSSLQYMDLSNNSLSGEIPLGNQCSLLELRFLLLWSNNLVGPIPPSLANSTKLEWIDLESNYLSGELPSEIFDKTPYLQFLHLSYNNFTSHGGNTKLEPFFDSLVNCSLLQEIELAGNSLHGEIPVSIGSHVNLFQLHLEENFLSGPIPPSISNLVNLTYLNLSNNVLNGSIPPDISRLKKLERLYLPNNLLSGEIPVALGELPHIGLLDISGNLLSGSIPESFSNLTQLRILMLNNNRLSGMIPPSLGNCMNLENLDLSYNQLTGRIPSDVAALSSLKLYFNLSNNFLEGSIPLELSKMDMLLALDLSANNFSDKIPPQLGSCIALEYLNLSGNALHGPLPKSIGGLPYLEALDLSSNRLEGAMPESLKDSSTLKVLNISYNNFSGIVPEEGVFAVLSMDSFLGNPGLCGSISGMLQCGGTGNRAHRSMILPILMALISTPCIICFCVCFLARKLRRKLQLPIFKRTISLEDAEEGRQPDYPRISYWQLMEATGTFSESNVIGSGRFGQVYKGILHDESTKIAVKVLNPNSGVDISKSFKRECEVLKRTRHRNLIRIITTCSKPDFKALVLPLMPNGSLEIHLYPRRLSLVQVVSIASDIAEGIAYMHHYSPVKIVHCDLKPSNVLLDEDMTALVADFGIARLMNCSADETNESLGSSPSCISTTGLLCGSVGYIAPEYGMGGQPSMQGDVYSFGVVLLEMITGKRPTDVIFQEGHSLHEWVNNKYPHNIEKIMMEAPLRDASQLSNSLYYKKLRRDVTMELIELGLVCTQFSPSMRPMMNDVAHELALMKRDLYQHAMPNLNTNLESCSE >DRNTG_00008.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21498895:21502348:1 gene:DRNTG_00008 transcript:DRNTG_00008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLAVRIKAESPFHSASTSGTTSRNNSKNVNGLSGSSSKVSSTSVPPTPRSEGEILQSSNVKSFTFNDLRNATRNFRPDSVLGEGGFGSVFKGWIDEHTFVATKPGTGIVIAVKRLNQEGFQGHREWLAEVNYLGQLYHPNLVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWNLRMKVSLGAARGLAFLHSAETKVIYRDFKTSNILLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTTKSDVYSFGVVLLEMLSGRRAVDKNRPSGEHNLVEWARPYLTSKRKFFRVLDSRLDGQYSLGGAQKAAALALQCLSSESRFRPTMDEVVSTLEQLQEAKDTTKSTPAQGNKDSNRKLANNGQRSRRRSSADVVNGKAAYPRPSAPSLSS >DRNTG_34483.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18830557:18831435:1 gene:DRNTG_34483 transcript:DRNTG_34483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFYRSEESSSCCYFHPKEIVVGICALCLKERLVILASKQGHHLPLPKDTHTTHKSFRILRRKPIITFNKVFALGSFLHRLDFKQPKPDIADEIDSIPSLDDSFISIKFEENGRAMWDNKKGMMMMKKEKEVKSVVEHSKPRGTLRWRKRIGHLLQLARWKRSTKGAPCHVGLPGKVEGRRGWIRSLTRRRTSINTT >DRNTG_34040.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002079.1:19394:22275:-1 gene:DRNTG_34040 transcript:DRNTG_34040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDRSWMYSRLHDGFIKPNYFNGAEGFISFAFSQHDFVRGNKIRCPCVGCQNNKWQISDNVCKYLFLKGFSYGYTTWILHGEQPTGESSHSRADDEPICQGKYENLYARMVMDVAMGSFDFDAHQGNEPRVE >DRNTG_34040.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002079.1:20184:22841:-1 gene:DRNTG_34040 transcript:DRNTG_34040.3 gene_biotype:protein_coding transcript_biotype:protein_coding GKQELTKPLLLWLVHEGKALKINASRLGAVLNVAKPAKGSSIAVFGLGAVGLAAAEGARISGALRIISVDVNSRKFDQGTERRLQAISNSEELLSELIHANFGFSITL >DRNTG_34040.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002079.1:21880:22841:-1 gene:DRNTG_34040 transcript:DRNTG_34040.4 gene_biotype:protein_coding transcript_biotype:protein_coding GKQELTKPLLLWLVHEGKALKINASRLGAVLNVAKPAKGSSIAVFGLGAVGLAAAEGARISGALRIISVDVNSRKFDQGL >DRNTG_34040.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002079.1:17334:22841:-1 gene:DRNTG_34040 transcript:DRNTG_34040.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKQELTKPLLLWLVHEGKALKINASRLGAVLNVAKPAKGSSIAVFGLGAVGLAAAEGARISGALRIISVDVNSRKFDQGTERRLQAISNSEELLSST >DRNTG_34040.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002079.1:22345:22841:-1 gene:DRNTG_34040 transcript:DRNTG_34040.5 gene_biotype:protein_coding transcript_biotype:protein_coding FGSNPAYFHLQFESVCVARLIRVFVREARAHKTIAPLAGSRGKSIEDQRQPDFSISKP >DRNTG_16842.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000851.1:11461:13851:1 gene:DRNTG_16842 transcript:DRNTG_16842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAQRRHRKCSKTVGDGRAAAPVDDYATGTRPWVISARACGFLQSWADFPENTQGRGLAPVGDLVNHTRTWVISTPCSETREGSHKGVRLPLLVWRTGMDICRTPVGDRSKSLNFCGSWHLGQRSKAIRGHVSHPPEPEGGLDSLDATIPAGASARVMSSPDEIEDLVS >DRNTG_11256.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21789537:21794529:1 gene:DRNTG_11256 transcript:DRNTG_11256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRSLGPFLALILVAVIPRLVIGGDIVHEDDQTPKQPGCSNNFVLVKVQTWIGNREDNEFVGVGARFGTPLQSQERHANRTRLSIADPPDLCTPPRNKVTKDVLLVHRGYCTFTTKAKVAEAAGASAIIIINNRKELYKMVCNRNETDLHINIPAVMLPQDAGASLEASIKSGVSVAVQLYSPDRPLVDIAEVFLWLMAVGTILCACYWSAWSAREASIEHEKLLKDAPEELLKMETAASGVVDINTTSAVLFVVIASCFLILLYKLMSFWFVELLVVLFCIGGIEGLQACLVVLLSRWFKRASESFVKVPFFGAVSHLTLAVTPFCVAFAVVWAVYRRMSFAWIGQDILGIALIITVLQIVCVPNLKVGTVLLTCAFLYDIFWVFISKWWFNESVMIVVARGDKTGEDGVPMLLKIPRMFDPWGGYSIIGFGDILLPGLLIAFALRYDWAAKKNLRAGYFLWSMVAYGTGLLITYVALNLMDGHGQPALLYVVPFTLGTFLALGKKRGELKNLWTRGEPERVCPHIEHAQ >DRNTG_02186.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1364403:1366201:-1 gene:DRNTG_02186 transcript:DRNTG_02186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFKTAAAMVLVQILYAGVNIFYKLALNDRMDIRIMVTYRYLFAAASLIPIAYLVERKRRPRLTRMIVGESFLSGLFGCTLAQNLYLASVSKTSVTFAAAMGNLIPAITFILALLFRLENLRINKLSGQAKVLGTVIGVGGAMLLTFYKGPDVPLWSSSINLLPNNQVTPLHNQSGNRVMASLFAVASCLSYSLWLIIQAKMSEVYPCPYSATALVCSMASLQSFLLAIIMQRDMVQWRLGFNFRLLAVSYAVMKVLNPIPLATSETLKNNMRILYLQGILGSSLTFTLLAWCIRKKGPLYASVFNPLNLIIVAILSTVLLNEKLHLGSILSAGLIISGLYIVLWGKKKEAKKPVELDHMSVRGPMDLVIARGGSTHSLAQEEEEEIDIEQQLAFAYEETPHGPHGQKL >DRNTG_14648.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1213027:1213843:1 gene:DRNTG_14648 transcript:DRNTG_14648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSIEIDCSVSALRMFNLALHGLHLIGHEILPDVIKSASTIHVDGGVGSIRHIIFTPGFPFPYMKERLDFLDAVNFELRQSLVEGISLGTKLESASWCFKFIPINNGKSVFKMTTTYKLLTGVQLSDEEEKVKEMITGIIKACDDYLLANPNVCV >DRNTG_15288.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4589269:4591071:1 gene:DRNTG_15288 transcript:DRNTG_15288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNSKPPTMAAVPILLLLSLLLHCATRASALSSSPVGVNYGLVADNLPSPTSVGPLLASINVGRVKLYDTDSAVLSALSTTGFELIVGLPDRCVVKLADPSAALVWVKANLQPHLPAAKIAAVTVGNEVLTGNDTAVMAALVPAMRSLRSALDSLGLRSVAVTTPHSLAILANSFPPSAGRFRQELIPYLCPLLDFLAETGSPFFINAYPYFAYKAEPDNVTLNYALFEPNPGVLDPGSGLKYENMLDAQVDAVYAAISAATGGKGKGLEVRVSETGWPSDGDENEVGATAANAAKYNGNLMKMVAEGKGTPARPSQVLRVYVFALFNENLKPGPKSERNYGLFKPDGTPAYDLGIKPETESPTTSGGGSSGGRGGGSSDEGDGSSSSGYYSISAAAAVTRGREWLRGMAMMVGLVVLVS >DRNTG_15288.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4589359:4591014:1 gene:DRNTG_15288 transcript:DRNTG_15288.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNSKPPTMAAVPILLLLSLLLHCATRASALSSSPVGVNYGLVADNLPSPTSVGPLLASINVGRVKLYDTDSAVLSALSTTGFELIVGLPDRCVVKLADPSAALVWVKANLQPHLPAAKIAAVTVGNEVLTGNDTAVMAALVPAMRSLRSALDSLGLRSVAVTTPHSLAILANSFPPSAGRFRQELIPYLCPLLDFLAETGSPFFINAYPYFAYKAEPDNVTLNYALFEPNPGVLDPGSGLKYENMLDAQVDAVYAAISAATGGKGKGLEVRVSETGWPSDGDENEVGATAANAAKYNGNLMKMVAEGKGTPARPSQVLRVYVFALFNENLKPGPKSERNYGLFKPDGTPAYDLGIKPETESPTTSGGGSSGGRGGGSSDEGDGSSSSGYYSISAAAAVTRGREWLRGMAMMVGLVVLVS >DRNTG_15288.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4589359:4590776:1 gene:DRNTG_15288 transcript:DRNTG_15288.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNSKPPTMAAVPILLLLSLLLHCATRASALSSSPVGVNYGLVADNLPSPTSVGPLLASINVGRVKLYDTDSAVLSALSTTGFELIVGLPDRCVVKLADPSAALVWVKANLQPHLPAAKIAAVTVGNEVLTGNDTAVMAALVPAMRSLRSALDSLGLRSVAVTTPHSLAILANSFPPSAGRFRQELIPYLCPLLDFLAETGSPFFINAYPYFAYKAEPDNVTLNYALFEPNPGVLDPGSGLKYENMLDAQVDAVYAAISAATGGKGKGLEVRVSETGWPSDGDENEVGATAANAAKYNGNLMKMVAEGKGTPARPSQVLRVYVFALFNENLKPGPKSERNYGLFKPDGTPAYDLGIKPETESPTTSGGGSSGGRGGGSSDEGDGSSSSGYYSISAAAAVTRGREWLRGMAMMVGLVVLVS >DRNTG_15288.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4589269:4590776:1 gene:DRNTG_15288 transcript:DRNTG_15288.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNSKPPTMAAVPILLLLSLLLHCATRASALSSSPVGVNYGLVADNLPSPTSVGPLLASINVGRVKLYDTDSAVLSALSTTGFELIVGLPDRCVVKLADPSAALVWVKANLQPHLPAAKIAAVTVGNEVLTGNDTAVMAALVPAMRSLRSALDSLGLRSVAVTTPHSLAILANSFPPSAGRFRQELIPYLCPLLDFLAETGSPFFINAYPYFAYKAEPDNVTLNYALFEPNPGVLDPGSGLKYENMLDAQVDAVYAAISAATGGKGKGLEVRVSETGWPSDGDENEVGATAANAAKYNGNLMKMVAEGKGTPARPSQVLRVYVFALFNENLKPGPKSERNYGLFKPDGTPAYDLGIKPETESPTTSGGGSSGGRGGGSSDEGDGSSSSGYYSISAAAAVTRGREWLRGMAMMVGLVVLVS >DRNTG_15288.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4589269:4591014:1 gene:DRNTG_15288 transcript:DRNTG_15288.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNSKPPTMAAVPILLLLSLLLHCATRASALSSSPVGVNYGLVADNLPSPTSVGPLLASINVGRVKLYDTDSAVLSALSTTGFELIVGLPDRCVVKLADPSAALVWVKANLQPHLPAAKIAAVTVGNEVLTGNDTAVMAALVPAMRSLRSALDSLGLRSVAVTTPHSLAILANSFPPSAGRFRQELIPYLCPLLDFLAETGSPFFINAYPYFAYKAEPDNVTLNYALFEPNPGVLDPGSGLKYENMLDAQVDAVYAAISAATGGKGKGLEVRVSETGWPSDGDENEVGATAANAAKYNGNLMKMVAEGKGTPARPSQVLRVYVFALFNENLKPGPKSERNYGLFKPDGTPAYDLGIKPETESPTTSGGGSSGGRGGGSSDEGDGSSSSGYYSISAAAAVTRGREWLRGMAMMVGLVVLVS >DRNTG_15288.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4589359:4591071:1 gene:DRNTG_15288 transcript:DRNTG_15288.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNSKPPTMAAVPILLLLSLLLHCATRASALSSSPVGVNYGLVADNLPSPTSVGPLLASINVGRVKLYDTDSAVLSALSTTGFELIVGLPDRCVVKLADPSAALVWVKANLQPHLPAAKIAAVTVGNEVLTGNDTAVMAALVPAMRSLRSALDSLGLRSVAVTTPHSLAILANSFPPSAGRFRQELIPYLCPLLDFLAETGSPFFINAYPYFAYKAEPDNVTLNYALFEPNPGVLDPGSGLKYENMLDAQVDAVYAAISAATGGKGKGLEVRVSETGWPSDGDENEVGATAANAAKYNGNLMKMVAEGKGTPARPSQVLRVYVFALFNENLKPGPKSERNYGLFKPDGTPAYDLGIKPETESPTTSGGGSSGGRGGGSSDEGDGSSSSGYYSISAAAAVTRGREWLRGMAMMVGLVVLVS >DRNTG_01955.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32594755:32597305:-1 gene:DRNTG_01955 transcript:DRNTG_01955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTSPVVVLSFKCRADGDVVMSPLALPTNSLYESYWASSTAGVGNQIIFNSSGDLYLEFTNKTLMKITSSSVSTTEFYQRVTLDPDGVLRHYVYPKNKTKTKTSSKSWSDAGNWTVVDYEPSDICMSFGSRIGSGACGFNSYCLIDKNHRRSCECPTSYSFVNPDNPFLGCKPDFALPSCSQLANSGEQEGMFEMKVVANTDWVYGDYENYVSFDEDQCRDNCLKDCFCVAALFSGLGNGCYKKRYPLSNGRSGTDIMGTTLLKVAKTNFTTTSSPPPSPSIDQTPQYFGPDNHITNKDHKVWIVVGPLALSLSLLILFVCFANFITKARRRRRRRRFGHGNNSSVSESDTLRCFTFRELEEATSGFTKELGRGSSGIVYEGIVFQLRIAVKKLDKNFREIEKEFIAELQSIGRTHHKNLVQLYGYCNEGSNRLLVYEFMSNGSLTSFLFEQGTVKRAWNKRVEIAMGIARGVLYLHEECSSSIIHCDIKPQNILLDDDLNVRISDFGLAKLLRSDQTGTLTGIRGTKGYIAPEWFNSMPITNMVDVYSFGVILLEIICCRRNLELENSCEEKEVLVYWAYDCYIDGKVELLIGDDDDDDDAMPDIEQFKRFLMLAIWCVQEDPSNRPTMRTVNQVLDGVVAVPAPPNPSSDFSKLFSRCS >DRNTG_30745.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31734773:31735434:1 gene:DRNTG_30745 transcript:DRNTG_30745.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPYVYRMIVQYRSGGVKAPNVVSLWFNESPSASPSMAYMRLPGDSGRYIASDIQFFSPSSLSAPATTSSIQSPFRRSTSCKRS >DRNTG_30745.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31734618:31735589:1 gene:DRNTG_30745 transcript:DRNTG_30745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPYVYRMIVQYRSGGVKAPNVVSLWFNESPSASPSMAYMRLPGDSGRYIASDIQFFSPSSLSAPATTSSIQSPFRRSTSCKRS >DRNTG_30745.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31734773:31735589:1 gene:DRNTG_30745 transcript:DRNTG_30745.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPYVYRMIVQYRSGGVKAPNVVSLWFNESPSASPSMAYMRLPGDSGRYIASDIQFFSPSSLSAPATTSSIQSPFRRSTSCKRS >DRNTG_30745.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31734618:31735434:1 gene:DRNTG_30745 transcript:DRNTG_30745.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPYVYRMIVQYRSGGVKAPNVVSLWFNESPSASPSMAYMRLPGDSGRYIASDIQFFSPSSLSAPATTSSIQSPFRRSTSCKRS >DRNTG_05292.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12328654:12330310:-1 gene:DRNTG_05292 transcript:DRNTG_05292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHTMQQQQQQQQQQQLPMVNLSGLNPSQPHSSDWDAARTAVFQALEKFGCFQAVYDRITPELKGLIFREAMEDVFSLPLETKMGNNPKFPLGGFIGNLPDMTFESLRVDEAPALDAAERFTHLMWPEGNPKFCNIVWSFAKKLQQLERMVMRMILQSMGVEKHMDSFTVESNCGLRLSKYWISPDQCVKSGMGSHTDVSFLTIVCQHEVQGLEVQTTEDSWITVMPLPNTYTVMLGDALEAWTNGRLKAPVHRGDNYKQGDKILCPFWVSTKGWGLCANPGGVGG >DRNTG_18445.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:942414:944834:1 gene:DRNTG_18445 transcript:DRNTG_18445.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKEGERGGDGGDNLSESEREREEKLRD >DRNTG_16624.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31430516:31431759:-1 gene:DRNTG_16624 transcript:DRNTG_16624.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWELMNEGRCKSDLSGRTMQAWIEEMAGYVKAIDRNHLLEVGLEGFFGGLDQDQEAIQYDPFASSRNVGSDFISNNQIHGIDFATIHLYPNLWIPHADDATQLSFLRDWIHSHSNAADEILRKPLLVTEFGKTSRFAGCNGVDKVAFYRTAYAVIYKLIRARSACAGGLFWQLLLPGMENLSDGYEIILSDCPSIANIIFRHSRLISSLNGPSLRGLTSTYQ >DRNTG_16624.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31430516:31431177:-1 gene:DRNTG_16624 transcript:DRNTG_16624.2 gene_biotype:protein_coding transcript_biotype:protein_coding FGLTSRIPHADDATQLSFLRDWIHSHSNAADEILRKPLLVTEFGKTSRFAGCNGVDKVAFYRTAYAVIYKLIRARSACAGGLFWQLLLPGMENLSDGYEIILSDCPSIANIIFRHSRLISSLNGPSLRGLTSTYQ >DRNTG_23063.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23105123:23105862:-1 gene:DRNTG_23063 transcript:DRNTG_23063.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIVLGQKENRQLITGLHTVADVHCCDCNEVLGWKYIQAFEAQQKYKEGKFILEKPKIVKENW >DRNTG_21312.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1467961:1468722:1 gene:DRNTG_21312 transcript:DRNTG_21312.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLQETLEVKVTQPQVVFFFPTKRQTPNTSNAKHLKHNIPNPYLRGPRSQRTPSRALYQTYQQWFHPRISEELIPLLEHRRTSPSLPCRV >DRNTG_20028.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001086.1:43308:44107:1 gene:DRNTG_20028 transcript:DRNTG_20028.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLLLLLLVCFSSSAVSSPLADVSKPSAYDVLQSYGFPVGLLPKGATGYDLDSSSGEFSAYLGGECSFSIKNSYQLRYQATISGTISTNRLYNLKGVSVKILFLWINIIEVVHRDGVLEFSVGIASADFTEDNFFESPQCGCGFDCVGGAGDGARIKLRVPA >DRNTG_20028.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001086.1:43394:44107:1 gene:DRNTG_20028 transcript:DRNTG_20028.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLLLLLLVCFSSSAVSSPLADVSKPSAYDVLQSYGFPVGLLPKGATGYDLDSSSGEFSAYLGGECSFSIKNSYQLRYQATISGTISTNRLYNLKGVSVKILFLWINIIEVVHRDGVLEFSVGIASADFTEDNFFESPQCGCGFDCVGGAGDGARIKLRVPA >DRNTG_20028.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001086.1:43211:44067:1 gene:DRNTG_20028 transcript:DRNTG_20028.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLLLLLLVCFSSSAVSSPLADVSKPSAYDVLQSYGFPVGLLPKGATGYDLDSSSGEFSAYLGGECSFSIKNSYQLRYQATISGTISTNRLYNLKGVSVKILFLWINIIEVVHRDGVLEFSVGIASADFTEDNFFESPQCGCGFDCVGGAGDGARIKLRVPA >DRNTG_20028.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001086.1:43394:44067:1 gene:DRNTG_20028 transcript:DRNTG_20028.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLLLLLLVCFSSSAVSSPLADVSKPSAYDVLQSYGFPVGLLPKGATGYDLDSSSGEFSAYLGGECSFSIKNSYQLRYQATISGTISTNRLYNLKGVSVKILFLWINIIEVVHRDGVLEFSVGIASADFTEDNFFESPQCGCGFDCVGGAGDGARIKLRVPA >DRNTG_20028.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001086.1:43308:44067:1 gene:DRNTG_20028 transcript:DRNTG_20028.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLLLLLLVCFSSSAVSSPLADVSKPSAYDVLQSYGFPVGLLPKGATGYDLDSSSGEFSAYLGGECSFSIKNSYQLRYQATISGTISTNRLYNLKGVSVKILFLWINIIEVVHRDGVLEFSVGIASADFTEDNFFESPQCGCGFDCVGGAGDGARIKLRVPA >DRNTG_20028.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001086.1:43211:44107:1 gene:DRNTG_20028 transcript:DRNTG_20028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLLLLLLVCFSSSAVSSPLADVSKPSAYDVLQSYGFPVGLLPKGATGYDLDSSSGEFSAYLGGECSFSIKNSYQLRYQATISGTISTNRLYNLKGVSVKILFLWINIIEVVHRDGVLEFSVGIASADFTEDNFFESPQCGCGFDCVGGAGDGARIKLRVPA >DRNTG_19478.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001000.1:6043:7199:-1 gene:DRNTG_19478 transcript:DRNTG_19478.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRADDGSSGRSRMMRKSHVRFPEKGVATHWSFDQPPPVNSAL >DRNTG_19478.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001000.1:5757:7361:-1 gene:DRNTG_19478 transcript:DRNTG_19478.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAIPLILLQTGTTDLQILLTTEFSERRQIFLWIASFASFAVKVPMVPVHIWLPEAHVE >DRNTG_19478.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001000.1:2943:7199:-1 gene:DRNTG_19478 transcript:DRNTG_19478.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAIPLILLQTGTTDLQILLTTEFSERRQIFLWIASFASFAVKVPMVPVHIWLPEAHVEAPTAGSVILAGIPSKLGTHGFLRFSIPMFPEATLCSTPFIYTPSAIAIIYTSSTTSRQIDLKKIIAYSPVAHMNLVTIGMSSRAAAVRSPIILSYGHTSKAKTCVPGVRPINLLAMGGKIACRKKSVDSRRQQNTAVCSKKKPLAPRDGSGGRPYAQTAAAPALRSPNQIFLFCWLSRE >DRNTG_05490.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000245.1:16020:16631:-1 gene:DRNTG_05490 transcript:DRNTG_05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWKVLYSSSKDSLVRVMQTGTRSHRGSLASSMVYTLVKLLFYVRKSECSSVTAFMPLQMDVPTRI >DRNTG_22954.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9902294:9911703:-1 gene:DRNTG_22954 transcript:DRNTG_22954.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPRRRRKETERRRRKKKEREREEERRHAFLSRKVLT >DRNTG_07118.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4823719:4829233:1 gene:DRNTG_07118 transcript:DRNTG_07118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRGVCSEESANGGTDVWSSSNGSADHLVVMVHGILGSIADWKFGANQFVTELPDKVIVHCSERNMYKLTLDGVDVMGERLAEEVIEVINRRPEIKKISFVAHSVGGLVARYAIGRLYRPPRRKSQEDSTVGACEDDSRGTIYGLEAINFVTVATPHLGSRGNKQVPFLFGVTAIEKVASLVIHWIFRRTGRHLFLTDNDGGQPPLLQRMVDDCGDLYFLSALRAFKRRVAYANVGYDHIVGWRTSSIRLNSELPKWEDSLSVKYPHIVYEEKSETKKVDQYARDSIGEDDNCNDELEEELVTGLSRVSWERVDVSFHTSRLRFAAHSVIQVKDSFMESEGADVIQHMIDHFLLT >DRNTG_25966.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22422479:22423486:1 gene:DRNTG_25966 transcript:DRNTG_25966.1 gene_biotype:protein_coding transcript_biotype:protein_coding REGSNGGGEQEERPAEPRGSHQGFR >DRNTG_08574.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:17978678:17980511:-1 gene:DRNTG_08574 transcript:DRNTG_08574.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAPVRNFHTAVDNFHTPVSIFCFSSFSAGCEQCCYSIAKGLFLVLRLMKLIPWE >DRNTG_19048.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:21288530:21290552:-1 gene:DRNTG_19048 transcript:DRNTG_19048.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVFLVLIRLGRSSRSSKELKDTRNGIVDLGFTGIMHCYTFDIGFVENNGYFRDVNTCPGKGSCVMIYTDAFRGGVVDLGVDYYEGQFRWECRALTGYSSGSRGHRPVNRWVNVKDMSSLTALNLAAATAKAGP >DRNTG_16231.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000801.1:2475:2733:1 gene:DRNTG_16231 transcript:DRNTG_16231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRGRDVDDEEEEMEEEEMEEEE >DRNTG_25106.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:840565:843238:1 gene:DRNTG_25106 transcript:DRNTG_25106.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVNQLMMKGRSNSEIEQSAVRVLISLFPPFLLPLFKMLITPIQDGKIASMMLARATAISCQWLMGTCSVNSVDLPDGSSCSSGVFVERCKYLEESKCLGVCINTCKLPTQTFFKDCMGVPLLMEPNFADYSCQFKFGVTPPAQDTDKALQEPCLDICPNVRRRRNLRGNNDVTQCPKV >DRNTG_25106.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:840565:843238:1 gene:DRNTG_25106 transcript:DRNTG_25106.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVNQLMMKGRSNSEIEQSAVRVLISLFPPFLLPLFKMLITPIQDGKIASMMLARATAISCQWLMGTCSVNSVDLPDGSSCSSGVFVERCKYLEESKCLGVCINTCKLPTQTFFKDCMGVPLLMEPNFADYSCQFKFGVTPPAQDTDKALQEPCLDICPNVRRRRNLRGNNDVTQCPKV >DRNTG_25106.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:840565:843238:1 gene:DRNTG_25106 transcript:DRNTG_25106.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVNQLMMKGRSNSEIEQSAVRVLISLFPPFLLPLFKMLITPIQDGKIASMMLARATAISCQWLMGTCSVNSVDLPDGSSCSSGVFVERCKYLEESKCLGVCINTCKLPTQTFFKDCMGVPLLMEPNFADYSCQFKFGVTPPAQDTDKALQEPCLDICPNVRRRRNLRGNNDVTQCPKV >DRNTG_25106.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:840565:843238:1 gene:DRNTG_25106 transcript:DRNTG_25106.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLMLLRLRGPFLAGTPPARSKSFRRIHGFQIEAEKHEYKPGLLDDLLLGFFRRKMVEEVGWDSKKPGYDGLMEVVNQLMMKGRSNSEIEQSAVRVLISLFPPFLLPLFKMLITPIQDGKIASMMLARATAISCQWLMGTCSVNSVDLPDGSSCSSGVFVERCKYLEESKCLGVCINTCKLPTQTFFKDCMGVPLLMEPNFADYSCQFKFGVTPPAQDTDKALQEPCLDICPNVRRRRNLRGNNDVTQCPKV >DRNTG_25106.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:840565:843321:1 gene:DRNTG_25106 transcript:DRNTG_25106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEVGWDSKKPGYDGLMEVVNQLMMKGRSNSEIEQSAVRVLISLFPPFLLPLFKMLITPIQDGKIASMMLARATAISCQWLMGTCSVNSVDLPDGSSCSSGVFVERCKYLEESKCLGVCINTCKLPTQTFFKDCMGVPLLMEPNFADYSCQVTMFLPVLSLCKNEF >DRNTG_25106.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:840565:843238:1 gene:DRNTG_25106 transcript:DRNTG_25106.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCSVNSVDLPDGSSCSSGVFVERCKYLEESKCLGVCINTCKLPTQTFFKDCMGVPLLMEPNFADYSCQFKFGVTPPAQDTDKALQEPCLDICPNVRRRRNLRGNNDVTQCPKV >DRNTG_25106.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:840565:843238:1 gene:DRNTG_25106 transcript:DRNTG_25106.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVNQLMMKGRSNSEIEQSAVRVLISLFPPFLLPLFKMLITPIQDGKIASMMLARATAISCQWLMGTCSVNSVDLPDGSSCSSGVFVERCKYLEESKCLGVCINTCKLPTQTFFKDCMGVPLLMEPNFADYSCQFKFGVTPPAQDTDKALQEPCLDICPNVRRRRNLRGNNDVTQCPKV >DRNTG_25106.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:840565:843238:1 gene:DRNTG_25106 transcript:DRNTG_25106.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLMLLRLRGPFLAGTPPARSKSFRRIHGFQVHSSMQSQSIEAEKHEYKPGLLDDLLLGFFRRKMVEEVGWDSKKPGYDGLMEVVNQLMMKGRSNSEIEQSAVRVLISLFPPFLLPLFKMLITPIQDGKIASMMLARATAISCQWLMGTCSVNSVDLPDGSSCSSGVFVERCKYLEESKCLGVCINTCKLPTQTFFKDCMGVPLLMEPNFADYSCQFKFGVTPPAQDTDKALQEPCLDICPNVRRRRNLRGNNDVTQCPKV >DRNTG_30789.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10492717:10494218:1 gene:DRNTG_30789 transcript:DRNTG_30789.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUFE3 [Source:Projected from Arabidopsis thaliana (AT5G50210) UniProtKB/TrEMBL;Acc:A0A178UIT6] MDSSSLAANLLSFYPNPTPSLLLPSKRFFIPSRPLFLPLRSIHGLSPSLSLSSSAVTRETPFALSDPRLKLLRLAAEFRSLPEPVDRVKRLLHYASDLPPFDDDARTPGNRVMGCTAQVWASASIDASGRMRFAADSDSEITRGFCSCLIEVLDGALPEEVLEMRSEDLGDLNVVGLPGRANSRVNTWHNVLISMQKKTKALVAEADGRVSAEPFPSLVIGPDGIHAKGSYAEAQDEKRVS >DRNTG_30789.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10492717:10499864:1 gene:DRNTG_30789 transcript:DRNTG_30789.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUFE3 [Source:Projected from Arabidopsis thaliana (AT5G50210) UniProtKB/TrEMBL;Acc:A0A178UIT6] MFLAPNESKVEELVNVLREKKIGVVAHFYMDPEVQGILTAAQKHWPHIYISDSLVMADTAVKMAQAGCQYITVLGVDFMSENVRAILDQAGFEKVGVYRMSNEQIGCSLADAAASPQYMRFLEAASNSPPCLHVIYINTSLETKAHGHELVPTITCTSSNVVQTILQAFSQIPGLHVWYGPDSYMGANIAELFRQMACMSNEEITEIHPQHDRSSIRSLLARLHYYQDGTCIVHHLFGHEVVEKIKELYCDAFLTAHFEVPGEMFSLAMEAKSRGMGVVGSTQNILDFIQLRVRESLERNVDDHLQFVLGTESGMITSIVAAVRKLLDSGPSSGRARINVEIVFPVSSNSVSKRSISDSQDLEATIAGDLAKLAVVPGVSAGEGCSIHGGCASCPYMKMNSLRSLLWVCNELPDKDNSLLAYQATRLNVKTPLGNSVAEVGCEPILHMRHFQATKKLPEKLVNQILNVTGGGTSVLEKP >DRNTG_30789.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10492717:10499864:1 gene:DRNTG_30789 transcript:DRNTG_30789.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUFE3 [Source:Projected from Arabidopsis thaliana (AT5G50210) UniProtKB/TrEMBL;Acc:A0A178UIT6] MVISVPVTAVLLCYCLWFVCQDGTCIVHHLFGHEVVEKIKELYCDAFLTAHFEVPGEMFSLAMEAKSRGMGVVGSTQNILDFIQLRVRESLERNVDDHLQFVLGTESGMITSIVAAVRKLLDSGPSSGRARINVEIVFPVSSNSVSKRSISDSQDLEATIAGDLAKLAVVPGVSAGEGCSIHGGCASCPYMKMNSLRSLLWVCNELPDKDNSLLAYQATRLNVKTPLGNSVAEVGCEPILHMRHFQATKKLPEKLVNQILNVTGGGTSVLEKP >DRNTG_30789.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10492717:10499864:1 gene:DRNTG_30789 transcript:DRNTG_30789.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUFE3 [Source:Projected from Arabidopsis thaliana (AT5G50210) UniProtKB/TrEMBL;Acc:A0A178UIT6] MDSSSLAANLLSFYPNPTPSLLLPSKRFFIPSRPLFLPLRSIHGLSPSLSLSSSAVTRETPFALSDPRLKLLRLAAEFRSLPEPVDRVKRLLHYASDLPPFDDDARTPGNRVMGCTAQVWASASIDASGRMRFAADSDSEITRGFCSCLIEVLDGALPEEVLEMRSEDLGDLNVVGLPGRANSRVNTWHNVLISMQKKTKALVAEADGRVSAEPFPSLVIGPDGIHAKGSYAEAQAMFLAPNESKVEELVNVLREKKIGVVAHFYMDPEVQGILTAAQKHWPHIYISDSLVMADTAVKMAQAGCQYITVLGVDFMSENVRAILDQAGFEKVGVYRMSNEQIGCSLADAAASPQYMRFLEAASNSPPCLHVIYINTSLETKAHGHELVPTITCTSSNVVQTILQAFSQIPGLHVWYGPDSYMGANIAELFRQMACMSNEEITEIHPQHDRSSIRSLLARLHYYQDGTCIVHHLFGHEVVEKIKELYCDAFLTAHFEVPGEMFSLAMEAKSRGMGVVGSTQNILDFIQLRVRESLERNVDDHLQFVLGTESGMITSIVAAVRKLLDSGPSSGRARINVEIVFPVSSNSVSKRSISDSQDLEATIAGDLAKLAVVPGVSAGEGCSIHGGCASCPYMKMNSLRSLLWVCNELPDKDNSLLAYQATRLNVKTPLGNSVAEVGCEPILHMRHFQATKKLPEKLVNQILNVTGGGTSVLEKP >DRNTG_31534.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:2336639:2340316:-1 gene:DRNTG_31534 transcript:DRNTG_31534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFLLRAPIVTDVPLPSSSSNGNREIYEKKIQTHRSHPPSIPPFTIASPPPRSSSNGGRERERERER >DRNTG_31534.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:2336639:2337324:-1 gene:DRNTG_31534 transcript:DRNTG_31534.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFLLRAPIVTDVPLPSSSSNGNREIYEKKIQTHRSHPPSIPPFTIASPPPRSSSNGGRERERERER >DRNTG_31534.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:2336639:2340316:-1 gene:DRNTG_31534 transcript:DRNTG_31534.2 gene_biotype:protein_coding transcript_biotype:protein_coding ALWLTRPLLGVLVNKLSTSISTTSNPPVHADASLPPPSSNRHRCSSSFQLLQWQQRDI >DRNTG_24638.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31219999:31220629:1 gene:DRNTG_24638 transcript:DRNTG_24638.1 gene_biotype:protein_coding transcript_biotype:protein_coding KWAFWVSPLTYTEIGLTVNEFLAPRWQKLSSGNITVGDIVLSNHGLEFKGHFYWVSVGTLLGFILLFNLGFILALTFRRPVGKSHAIISREKLSQINGHNDVHNAATTFTANAKETKNKGRTMVLPFQP >DRNTG_26601.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19946536:19948280:1 gene:DRNTG_26601 transcript:DRNTG_26601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKACTPYIGMLIVQFAYGGSNILCKLALENGLSYLVFIVYRHLIAMVILAPLAYLYERKNRHSLSLAILAKIFVLALFGTTIHQNLYYAGLDYTSPTVASALASVIPVLTFILATLLRMERISMKNKKGRAKLLGTTICISGALIFTFWKGHQFKGFVEKPLIVVNGNVHAHEAEHERHDWIKGSVLILTSYIAFSVWLILQGIVYKVYPAGLSMNTIICFFAALQSSVLALIFERNSSSWHLSWNIQLVTIIYCGTFISCLAYYLQTICVHEKGPVFVALFMPLLLVIVGVFSAICFAERLHLGSLIGAFMIILGLYCYLWGKNRDTVKDEEDKDIEEQDEMFSKIGLQTQS >DRNTG_34872.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21939463:21941769:1 gene:DRNTG_34872 transcript:DRNTG_34872.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 19, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20070) UniProtKB/Swiss-Prot;Acc:Q94A82] MAILLQAHAFAGNPTRSNRPQSMSPSSAHESLKSLLAGPPSDVSNLNFKVLVFKNGKPLATSLDQSSDSPPKWRLGWSPPSNFKDLSADSFVYLGEESETVYWAIDASNDEDKVSKCAGGDGFCFVELRTLMVATDWADAGSMGELAIAGHARGLLEWHNTTRFCGYCGSSTIPIDAGRRKQCTKETCKKRVYPRVDPVVIMLVIDRENDRALLSRQFRFVPRMWSCLAGFVEPGESLEEAVRRETWEETGIEVGEVVYHSSQPWPVGPSSMPCQLMVGFHAYAKSFDVHVDKNELEDAQWHSREDVKKALNFAEYEKAQRTAAIKVNQMCKGVERTKSFSSDFNVESGELAPMFIPGPFAIAHHLISSWVYQGDDKPEKHQNFSNL >DRNTG_10808.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17608219:17608499:1 gene:DRNTG_10808 transcript:DRNTG_10808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNKKLLILGFIVLLAIGLSSAARTLSETSIGVKQSGGCSCGGGVGGSGEGGGGGGGSGGGGGGGSGGGQGGGSG >DRNTG_04046.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11607237:11614303:-1 gene:DRNTG_04046 transcript:DRNTG_04046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSAALPPLPYPAARRNESVVDVLHGVAVADPYRWLEDPDAEEVKGFVEAQVAITDSVLAVCEDRDRLRQQITTLFDHPRYDTPFKRGGKYFYYHNTGLQAQSVLYVQNDLDGEAEVLLDPNGLSEDGTVALATGAISEDGKYFGYGLSSSGSDWVTIKVMSIEDKKTLPDTLSWVKFSSISWTHDGKGFFYGRYPAPKEHGELDAGTETNINLNHELYYHFLGTEQSEDILCWNDPENPKYLFGSHVTNDGKYLLLSISEGCDPVNKLYYCDLSTLHQGLGGYKGGESLLPFIKLVDNFEASYSAVANDDTEFTFLTNKDAPRYKLIRVNINEPGIWTDILPESEKDVLESACAVNGSQLLVSYLSDVKYVLQLRDLKTGILLHQLPIDIGSVTGISGRREDNEVFIGFTSFLTPGIIYKCDLATEVPEMKIFREILVPGFDRSDFQAKQVFVPSKDGTKIPMFVVSKKDIVLDGSHPALLYGYGGFNISLTPTFGVSRIVLMRNLGFVYCVANIRGGGEYGEEWHKAGSLSRKQNCFDDFIAAAEFLISSGYTSSKKLCIEGGSNGGLLVAACVNQRPDLYGCALAHVGVMDMLRFHKFTIGHAWTSDYGCSDIEEEFHWLIKYSPLHNVRKPWEEPASKACQYPPTLLLTADHDDRVVPLHSLKLLATMQYVLCTSIDNSPQTNPIIARIDRKAGHGAGRPTQKMIDEAADRYSFMAKMTGASWID >DRNTG_04204.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7298820:7300724:-1 gene:DRNTG_04204 transcript:DRNTG_04204.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLRSGDEGSRGGGKWKRKGLKERC >DRNTG_04204.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7299730:7300899:-1 gene:DRNTG_04204 transcript:DRNTG_04204.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSWCWPLGNLRGDSGHQTLYTFQALYAPPERVKPHLSTPHNPNKVGPWKL >DRNTG_04204.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7298820:7299461:-1 gene:DRNTG_04204 transcript:DRNTG_04204.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLRSGDEGSRGGGKWKRKGLKERC >DRNTG_26538.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:941620:943653:-1 gene:DRNTG_26538 transcript:DRNTG_26538.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKSKADTSKKSETKLSVKRKGSKAPQKPAKREKAGKDPNKPKRPPSAFFVFMEEFRKSYKEKNPNVNKVAVIGKAGGEKWKSLSTVEKGPYEAKAAKLKTEYNKKMDAYNNQSESGNNAADDEEETSDKSKSEVNDEDNEDEEEESGEDEDDDE >DRNTG_13022.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1735103:1736533:-1 gene:DRNTG_13022 transcript:DRNTG_13022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFHDCFVRGCDASVLLNSTSKTPAEKTAPPNLSLRGFSFIDQIKSLVEKECPGVVSCADIVSLVARDSVLVIGGPFWNVPTGRRDGLISNATEARNNIPAPNFNFTTLKNSFASKGLDLTDLFLLSGAHTIGVAHCSSFSSRLYNFTGKGDQDPALDPFYAANLKKNKCKVPNDTTTIVEMDPGSFRTFDLGYYKLLLKRRGLFQSDAALTTDPATKSSIIKIVSSPLEVFFKEFALSMEKMGRIEVLTGSAGEIRKNCAIVNS >DRNTG_29781.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27467195:27470497:1 gene:DRNTG_29781 transcript:DRNTG_29781.1 gene_biotype:protein_coding transcript_biotype:protein_coding KVGRCNLRRCFGGWICFSRSSRIPAKCKELINLDQQVDARLQNLKKEVVVQDSKN >DRNTG_25534.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2972276:2975563:-1 gene:DRNTG_25534 transcript:DRNTG_25534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRLHVHLLRRFPLLILAGEALAPATSSLGNRPSPLSPHPIPTAGCNGTSFSLYSPLSFRLAIHKRGLCFPAIATMETGDGAPSSSYLSVLIHCPKDDAVVLSEALLCFGACSASVDEFSSHDELDEICITSIFMDGQDVHTCISQAVNSVGLKYMPIYDVTKGEQCDWATSIQENFQPIEVADGLWIVPSWITPPMLEATNIILNPGLSFGTGEHPTTKLCLLLLKHSIKGGEYFLDYGTGSGVLGIAAVKMGVVSSVGIDIDPQAVTSALQNMALNEISSNRMSVHLVPNNVNSLPADETTSDDQASYSLEFGNEKGKFDIVIANILLNPLVELADHILGFGKTGAVIGLSGILSEQVQQVKERYSEYLHDISVSEMDGWACLHGIKKEITKEK >DRNTG_08931.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27347461:27350740:1 gene:DRNTG_08931 transcript:DRNTG_08931.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive shikimate kinase like 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G26900) UniProtKB/Swiss-Prot;Acc:Q9LW20] MSAAELETSLAVKKMATEISFGLKGTSIFLVGINCSMKTNVGKVLADALRYYYFDSDSVVEEASGGESSATSFLERDEKGFRESETEVLKQLSSMGRLVVCAGDGAVQSPQNLSYLRYGISVWIDVPLDLLADEMVGAQVPSASDQSMSQSDPFQEALEGLTKRYMAVSGGYGTADATASLLKVTTKLGYDDFKSVTPEDMAIEAMTEIEKLTRVKKMIEAAAKPF >DRNTG_08931.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27347461:27350740:1 gene:DRNTG_08931 transcript:DRNTG_08931.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive shikimate kinase like 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G26900) UniProtKB/Swiss-Prot;Acc:Q9LW20] MAPFAVGSAAFGSYLTSAPQLTACTSRLCHPISSCLYLRNNGRPRRGTACRRAGSLSLGARMSAAELETSLAVKKMATEISFGLKGTSIFLVGINCSMKTNVGKVLADALRYYYFDSDSVVEEASGGESSATSFLERDEKGFRESETEVLKQLSSMGRLVVCAGDGAVQSPQNLSYLRYGISVWIDVPLDLLADEMVGAQVPSASDQSMSQSDPFQEALEGLTKRYMAVSGGYGTADATASLLKVTTKLGYDDFKSVTPEDMAIEAMTEIEKLTRVKKMIEAAAKPF >DRNTG_08931.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27347461:27350740:1 gene:DRNTG_08931 transcript:DRNTG_08931.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive shikimate kinase like 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G26900) UniProtKB/Swiss-Prot;Acc:Q9LW20] MGRLVVCAGDGAVQSPQNLSYLRYGISVWIDVPLDLLADEMVGAQVPSASDQSMSQSDPFQEALEGLTKRYMAVSGGYGTADATASLLKVTTKLGYDDFKSVTPEDMAIEAMTEIEKLTRVKKMIEAAAKPF >DRNTG_08931.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27347461:27350740:1 gene:DRNTG_08931 transcript:DRNTG_08931.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive shikimate kinase like 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G26900) UniProtKB/Swiss-Prot;Acc:Q9LW20] MGRLVVCAGDGAVQSPQNLSYLRYGISVWIDVPLDLLADEMVGAQVPSASDQSMSQSDPFQEALEGLTKRYMAVSGGYGTADATASLLKVTTKLGYDDFKSVTPEDMAIEAMTEIEKLTRVKKMIEAAAKPF >DRNTG_08931.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27347461:27350740:1 gene:DRNTG_08931 transcript:DRNTG_08931.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive shikimate kinase like 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G26900) UniProtKB/Swiss-Prot;Acc:Q9LW20] MAPFAVGSAAFGSYLTSAPQLTACTSRLCHPISSCLYLRNNGRPRRGTACRRAGSLSLGARMSAAELETSLAVKKMATEISFGLKGTSIFLVGINCSMKTNVGKVLADALRYYYFDSDSVVEEASGGESSATSFLERDEKGFRESEFPGRPKY >DRNTG_07566.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21849031:21852424:1 gene:DRNTG_07566 transcript:DRNTG_07566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEDVVKNRWLGFLIWQSIAGASVYLPSMALLLRRPRSAALSLLSFLSFHLSLLLLCLSFFLLSSPRDHHFLSVDFRRRAHLILRILLFFLLSSVAGFLSVASVCVRSEIFHAPTLAEVALTGSAFGMVYALQYLLRKRWVLQFPIIQRPLFFSFKMGLSACLRRALMLSAQAVACSTVLVMFVPYEFRSEFRLWRFLNQLFNFYIRTSVASFCWELSHHLLQVVHTRRCSFAPPQGSAAAETNPSEILLETLEQSSPRSLVQYLAYLDLCAVSESNVEAWRRAAFLEESGETYRRVIAVCLRPLEQLASMITEGLEGYPKIETDLLNHQLNFPTYTHADSRLLEAFNDFQLYTWCARAAAANTACSHSEDRYGVAQLTGCNAAVVSTLLSCLLAIEACLGKKTSPQTAHLLGPGNIRWATMNMVRKNGVTSMRNKIKSGILNSKAYAMADVLRTSIYQIVSVFHADMQANAKTSVLDKNWIVGKPLYGSREVLMQKLNQFLEFCAN >DRNTG_11694.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:370459:372954:-1 gene:DRNTG_11694 transcript:DRNTG_11694.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDELSVDDNVVSEAEYYSDSVEDDQPLSCSHGSLESLVQLKPMGWPMRKLLSSVEKKTKDDKLKLNVSELEMMMKERFSKLLLGEDMSGCGKGVCPALAISNAITNLCATVFGQIWRLEPLSSEKKSMWRREIELLLCVTDHIVELVPSWQTFPDGKKVEVMTSRQRSDLHVDLPALRKLDKMLLETLDSFCGVEFSYVDRGVLSANHDASLSPRRPIHLREEKWWLPVPRVPPGGLNENTRKQLELKRDSTNQILKAAMAINSNALSEMEIPQSYLDSLPKNGRASLGDVIYRYITSDKFSPECLLDCLDFASEHQALDIANRVEASIHIWRRRTTVSKPTNNTRPSWGIVKEMIIDADKRELLAGRAETLLLCLKQRFPGLTQTTLDVSKIQFNKDVGKSILESYSRVLESLAFNILSRIDDLLSADDFAKHSDKLSPVHPTALIVSQALHMQTTPFAATFINKKLDMSAKTQY >DRNTG_18320.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1767860:1773892:1 gene:DRNTG_18320 transcript:DRNTG_18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWGTTIRSGHLAGDHEYKIKRNNFLKKIQIIKSIEFSQSGGPNYKVPLGRRDGLTFATQNVTLADLPPPTSNVSFLINTLNKLNLTITDLVTLSGGHTIGLAHCTSFTNRLYPTQDTNMDKTFAKNLKLTCPAANTTNSTVNDIRTPNTFDNKYYVNLVNKEGLFTSDQGLFSDFRTKALV >DRNTG_13890.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2455986:2459309:-1 gene:DRNTG_13890 transcript:DRNTG_13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGALWQLGQSLTRRLSHAHPHRTTKNRSFAAAAGELKKTVLHDFHVENGGKMVPFAGWSMPIQYKDSIMDSTINCRENGSLFDVSHMCGLSLMGKDCVPFLERLVIADVAGLKPGTGTLTVFTNERGGAIDDSVITKVSDDLIYLVVNAGCRDKDLAHIGSHMEAFKAKGGDVNWHIHDERSLLALQGPLAAPVLQHLTKDDLSKIYFGEFRKLDINGSHCFLTRTGYTGEDGFEISVSSENAVDLAKAILEKSEGKVRLTGLGARDSLRLEAALCLYGNDMEQHVTPVEAGLTWAIGKRRRVEGGFLGADVILKQLEEGPAVRRAGFFSSGPPPRGHSEILSSSGEKIGEVTSGGFSPCLKKNIAMGYVKTGYHKPGTEVKIVIRGKSNDSAVTKMPFVPTKYYKP >DRNTG_12476.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24824731:24828045:1 gene:DRNTG_12476 transcript:DRNTG_12476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETPSPATQISLNPAAPGPVDPESAQHIKPATGLVQRVAEEVDDCWGMFNVGDFVPPVTWIDLQGIQAKLKKSKEMMDQIIKAMFVQHEAMTKERKGRTVKGWPMLTSKAHGTPRRIDADESSPNNLLPSRAQC >DRNTG_27153.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:642519:644498:1 gene:DRNTG_27153 transcript:DRNTG_27153.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small RNA-binding protein 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G06210) UniProtKB/Swiss-Prot;Acc:Q9FFZ6] MAAFRAISRLLGNGSNQSLRFSTPNHGALPFQRVSLRGVSSKLFIGGLSFYTTDESLSEAFSQFGQVVEAKVVMDRVADRSKGFGFVTFASEDEAQKALTEMNGKVLNGRVIFVDKAKPRIRFDEAVPVARGPPDPLVDK >DRNTG_29122.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29735279:29737066:-1 gene:DRNTG_29122 transcript:DRNTG_29122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINARNNIGLTALDVLLESPSEHGDLALGELIRTAGGNTSLVNHHQTPIQHEASPLIDDFMASPPKQSTVRKRSKKRPRLEDTYTPGTLMIVATLIATVTFQAGLNPPGGFTQANDPVTNSSSTAGLPVLGSNLDLFLVFDVIGLSASLIVILLLICLMPRKKRKMMMILIWVMWVAVFFTGLAFTAALYNIFPHNTLCKVLVMVWVWVLRGFILLVCFLFCRYLLRRVGWCKKKEGDDQENSEDNVAPMGVLLFFKRVGVILW >DRNTG_30809.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20827458:20830475:-1 gene:DRNTG_30809 transcript:DRNTG_30809.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNNNNKKKKNNNNKSYNTISPIILLIIATLTSHDHQAKATTFMYANCSPAKYTPNTPYQTNLDTLLSTLISLSSQSSYNSFSTGTSDTAVFGLYQCRNDLNSADCSTCIQSSVNQLNLVCPQSMDASLQLEGCFMSYSHEDFRGKLDTTLVYKKCTESRTNDGDFFRRRDDVIADLEVSGGFRVSRSGTVQGYAQCLGDLNSGDCRQCVEVAVQQLKEACGSALAADVFLAKCYARYWASGYYTSSSSSDYSDDDVGRTVAIIVGILAGLAVIVVFISFLRKAC >DRNTG_30809.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20827458:20830475:-1 gene:DRNTG_30809 transcript:DRNTG_30809.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNNNNKKKKNNNNKSYNTISPIILLIIATLTSHDHQAKATTFMYANCSPAKYTPNTPYQTNLDTLLSTLISLSSQSSYNSFSTGTSDTAVFGLYQCRNDLNSADCSTCIQSSVNQLNLVCPQSMDASLQLEGCFMSYSHEDFRGKLDTTLVYKKCTESRTNDGDFFRRRDDVIADLEVSGGFRVSRSGTVQGYAQCLGDLNSGDCRQCVEVAVQQLKEACGSALAADVFLAKCYARYWASGYYTSSSSSDYSDDDVGRTVAIIVGILAGLAVIVVFISFLRKACKSSSLTLTFLLLI >DRNTG_06854.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000311.1:4978:8593:-1 gene:DRNTG_06854 transcript:DRNTG_06854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDAAKAWPSKIIHLTRFLLVATNPNPKVRSLICFRDERLSVKKEVKPMVKPVKKKAGKDPNKPKRPSSAFFVYIKNNTMNCRPPEVDITEVYAPNCGVELPTIGCCSYLADSIVEADAMALQVALGSLHALDILPNTIFIANTDLHHLITYDESFFAWRLRPLITSVFDYISSMDHPRICIIPKAWLAIANSLALHGLSSHALSLSSTRATIFLVGL >DRNTG_30223.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:123598:126013:-1 gene:DRNTG_30223 transcript:DRNTG_30223.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin synthase catalytic subunit [Source:Projected from Arabidopsis thaliana (AT2G43760) UniProtKB/TrEMBL;Acc:A0A178VNE3] MAAVVIGEEADGDQTLIDVLEPSNGPIDIVRYVNFVRDPAAGAIATFEGTTRDTFEGKRVVELRYEGYVPMASRQLRVICDAAREMWAVRRMAAAHRLGTVGVGEASVFVAVSAEHRAEAMEACRYVIDEVKASVPIWKKEVYDDGEVWKENKEFVERRPELGFGMKTKVHDKVSRCCGHKVRVEAEGEEPK >DRNTG_30223.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:123690:126013:-1 gene:DRNTG_30223 transcript:DRNTG_30223.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin synthase catalytic subunit [Source:Projected from Arabidopsis thaliana (AT2G43760) UniProtKB/TrEMBL;Acc:A0A178VNE3] MAAVVIGEEADGDQTLIDVLEPSNGPIDIVRYVNFVRDPAAGAIATFEGTTRDTFEGKRVVELRYEGYVPMASRQLRVICDAAREMWAVRRMAAAHRLGTVGVGEASVFVAVSAEHRAEAMEACRYVIDEVKASVPIWKKEVYDDGEVWKENKEFVERRPELGFGMKTKVHDKVSRCCGHKVRVEAEGEEPK >DRNTG_30223.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:123598:126013:-1 gene:DRNTG_30223 transcript:DRNTG_30223.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin synthase catalytic subunit [Source:Projected from Arabidopsis thaliana (AT2G43760) UniProtKB/TrEMBL;Acc:A0A178VNE3] MAAVVIGEEADGDQTLIDVLEPSNGPIDIVRYVNFVRDPAAGAIATFEGTTRDTFEGKRVVELRYEGYVPMASRQLRVICDAAREMWAVRRMAAAHRLGTVGVGEASVFVAVSAEHRAEAMEACRYVIDEVKASVPIWKKEVYDDGEVWKENKEFVERRPELGFGMKTKVHDKVSRCCGHKVRVEAEGEEPK >DRNTG_30223.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:123598:124314:-1 gene:DRNTG_30223 transcript:DRNTG_30223.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin synthase catalytic subunit [Source:Projected from Arabidopsis thaliana (AT2G43760) UniProtKB/TrEMBL;Acc:A0A178VNE3] MAAVVIGEEADGDQTLIDVLEPSNGPIDIVRYVNFVRDPAAGAIATFEGTTRDTFEGKRVVELRYEGYVPMASRQLRVICDAAREMWAVRRMAAAHRLGTVGVGEASVFVAVSAEHRAEAMEACRYVIDEVKASVPIWKKEVYDDGEVWKENKEFVERRPELGFGMKTKVHDKVSRCCGHKVRVEAEGEEPK >DRNTG_30223.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:123520:124314:-1 gene:DRNTG_30223 transcript:DRNTG_30223.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin synthase catalytic subunit [Source:Projected from Arabidopsis thaliana (AT2G43760) UniProtKB/TrEMBL;Acc:A0A178VNE3] MAAVVIGEEADGDQTLIDVLEPSNGPIDIVRYVNFVRDPAAGAIATFEGTTRDTFEGKRVVELRYEGYVPMASRQLRVICDAAREMWAVRRMAAAHRLGTVGVGEASVFVAVSAEHRAEAMEACRYVIDEVKASVPIWKKEVYDDGEVWKENKEFVERRPELGFGMKTKVHDKVSRCCGHKVRVEAEGEEPK >DRNTG_30223.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:123690:124314:-1 gene:DRNTG_30223 transcript:DRNTG_30223.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin synthase catalytic subunit [Source:Projected from Arabidopsis thaliana (AT2G43760) UniProtKB/TrEMBL;Acc:A0A178VNE3] MAAVVIGEEADGDQTLIDVLEPSNGPIDIVRYVNFVRDPAAGAIATFEGTTRDTFEGKRVVELRYEGYVPMASRQLRVICDAAREMWAVRRMAAAHRLGTVGVGEASVFVAVSAEHRAEAMEACRYVIDEVKASVPIWKKEVYDDGEVWKENKEFVERRPELGFGMKTKVHDKVSRCCGHKVRVEAEGEEPK >DRNTG_30223.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:123690:126013:-1 gene:DRNTG_30223 transcript:DRNTG_30223.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin synthase catalytic subunit [Source:Projected from Arabidopsis thaliana (AT2G43760) UniProtKB/TrEMBL;Acc:A0A178VNE3] MAAVVIGEEADGDQTLIDVLEPSNGPIDIVRYVNFVRDPAAGAIATFEGTTRDTFEGKRVVELRYEGYVPMASRQLRVICDAAREMWAVRRMAAAHRLGTVGVGEASVFVAVSAEHRAEAMEACRYVIDEVKASVPIWKKEVYDDGEVWKENKEFVERRPELGFGMKTKVHDKVSRCCGHKVRVEAEGEEPK >DRNTG_30223.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:123690:126013:-1 gene:DRNTG_30223 transcript:DRNTG_30223.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin synthase catalytic subunit [Source:Projected from Arabidopsis thaliana (AT2G43760) UniProtKB/TrEMBL;Acc:A0A178VNE3] MAAVVIGEEADGDQTLIDVLEPSNGPIDIVRYVNFVRDPAAGAIATFEGTTRDTFEGKRVVELRYEGYVPMASRQLRVICDAAREMWAVRRMAAAHRLGTVGVGEASVFVAVSAEHRAEAMEACRYVIDEVKASVPIWKKEVYDDGEVWKENKEFVERRPELGFGMKTKVHDKVSRCCGHKVRVEAEGEEPK >DRNTG_24991.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27128705:27130600:1 gene:DRNTG_24991 transcript:DRNTG_24991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKPKAEEPKKEEEKPKAEETKKEASNGAEAKPDEAATEAAPPPPPEEIVMRVFMHCEGCARKVRRCLKGFDGVEEVKTDCKSHKVVVKGKKAAEDPLKVVERVQKKSGRKVELLTPLPPPKPEKKEEEKKEEETPKTEEKKEEPVVIAVVLKVFMHCEACAQEIKKRILKMKGVQSAEPDLKSSQVTVKGVFDPQKLIEYVYKRTGKHTVIIKQDPAEKPPEANPKDEKKSDNADSKDTAEKKDDAAAAKAAEDKAKDESGAAAAAAAAGEETKVVELKRNEFLYYYPRYYPVEHAYPPQIFSDENPNACVVM >DRNTG_11529.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3726414:3731238:-1 gene:DRNTG_11529 transcript:DRNTG_11529.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFNPFPSNHSPSTFLGKRQLRRLDHVPWNLRSNPVVFPSTIMAIFWGPKKISKPQELNPSLGSFPLIASVPEGSSAIQMKPQKISLSVVTSISEISAEDWDACALDATGPEKFNPFLSHAFLSSLEESGSATKQTGWLPQHILAQDEFKKTVGVVPLYLKSHSYGEYVFDHSWADAFYRYGSRYYPKLQSCVPFTPVTGQRILVRNTWFKEQVFDILLSALKDMPAKYQVSSLHVTFPSENEWHRMKNFGFLQRIGMQYHWINRNYQK >DRNTG_11529.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3726414:3731238:-1 gene:DRNTG_11529 transcript:DRNTG_11529.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFWGPKKISKPQELNPSLGSFPLIASVPEGSSAIQMKPQKISLSVVTSISEISAEDWDACALDATGPEKFNPFLSHAFLSSLEESGSATKQTGWLPQHILAQDEFKKTVGVVPLYLKSHSYGEYVFDHSWADAFYRYGSRYYPKLQSCVPFTPVTGQRILVRNTWFKEQVFDILLSALKDMPAKYQVSSLHVTFPSENEWHRMKNFGFLQRIGMQYHWINRNYQK >DRNTG_11529.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3726414:3731238:-1 gene:DRNTG_11529 transcript:DRNTG_11529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFNPFPSNHSPSTFLGKRQLRRLDHVPWNLRSNPVVFPSTIMAIFWGPKKISKPQELNPSLGSFPLIASVPEGSSAIQMKPQKISLSVVTSISEISAEDWDACALDATGPEKFNPFLSHAFLSSLEESGSATKQTGWLPQHILAQDEFKKTVGVVPLYLKSHSYGEYVFDHSWADAFYRYGSRYYPKLQSCVPFTPVTGQRILVRNTWFKEQVFDILLSALKDMPAKYQVSSLHVTFPSENEWHRMKNFGFLQRIGMQYHWINRNYQNFDEFLMDMKQSKRKTIRQERK >DRNTG_26926.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2422946:2424898:1 gene:DRNTG_26926 transcript:DRNTG_26926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNKQYSDGYGKVEFSNLSGFKYLVCINGISAVYAFVSFALSFFKCFTRDWILYLFDQVVAYLMVTSMAAVVELVYLANEGDAKVSWSSACNYYEKFCNRAQVSLALHVMAMVCFLILSLISAYKTFSKFDAPSYPSKEVGEQEN >DRNTG_03852.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:14510951:14520101:1 gene:DRNTG_03852 transcript:DRNTG_03852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYGSSTSKVSTGASNKTGKSIDNFNQELVQRVQQLEQEREQER >DRNTG_12859.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18485086:18494821:1 gene:DRNTG_12859 transcript:DRNTG_12859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIKYTPQAFMNFKRKSTVGWSIGNILLDLTGGFLNFAQMAVQSIDQGSWVNIYGNIGKTLLSLESISFDLLFIIQHYVLYPAEKEDQKKDHLNDIAPLLKSVDKSHDSNVVNV >DRNTG_04711.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3971035:3972800:-1 gene:DRNTG_04711 transcript:DRNTG_04711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYYNSLLSFIKQAIEEGFIKQSAHHIIVSASNTKELIDKLEVDSSKNNAENPSVMTLIDLNLPAAMYDDVEHTTVSDADP >DRNTG_34433.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21247664:21249107:-1 gene:DRNTG_34433 transcript:DRNTG_34433.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGASYAAVPLYRRFCQATGYGGTVQRRESVEEKIARHAKDGTTTSRELVVQFNADVADGMPWKFIPTQRE >DRNTG_34433.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21247225:21249107:-1 gene:DRNTG_34433 transcript:DRNTG_34433.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGASYAAVPLYRRFCQATGYGGTVQRRESVEEKIARHAKDGTTTSRELVVQFNADVADGMPWKFIPTQREVRVKPGESALAFYTAENRSSTPITGVSTYNVTPMKVRKKMSRDTILYSV >DRNTG_34433.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21246430:21249107:-1 gene:DRNTG_34433 transcript:DRNTG_34433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGASYAAVPLYRRFCQATGYGGTVQRRESVEEKIARHAKDGTTTSRELVVQFNADVADGMPWKFIPTQREVRVKPGESALAFYTAENRSSTPITGVSTYNVTPMKAAVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDPKMGRHQQHHLVIYLFQS >DRNTG_34433.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21246825:21246947:-1 gene:DRNTG_34433 transcript:DRNTG_34433.4 gene_biotype:protein_coding transcript_biotype:protein_coding AAVYFNKIQCFCFEEQRLLPGEQIDMPVIIIPCLFLSYID >DRNTG_30217.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:76151:82170:1 gene:DRNTG_30217 transcript:DRNTG_30217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTITPPNSHPILPLLKPFSSLTKNNTMHPLTLKDPNMNTLNHSQQQQQEQEEYIFKSNSPAVLVPENTTLPDFVLRDAEHFSDKVALVEVMTGMKLTYGEVARETRRFAKALRSLGLRKGHVVVVVLPNVAIYPVVALGIMAAGGVFSGANPLALAVEIRKQVLDSSAKILVTNGLTYETKVKDFGIPVIVIDNDNTEQCHSSDAIRWTKLLEASDRAGGNFIDENVLESDLCALPYSSGTTGISKGVMLTHRNLVSSLCSTLSNVGPEMVGQVTTLGLMPFFHIYGTTGICCATLRNKGKVVVMGRFEPRLFMHALITHEVTFAPIVPPIMLAMVNNPVIDEFDLRKLKLKSVMTAAAPLAPQLLSAFEAKFPGVVVQEAYGLTEHSCITLTHGDPSHIAKRNSVGRILPNIEVKFIDPETGLSLPRNTHGEVCVRSQSVMQGYHKNKEESERMIDEEGWLHTGDIGYIDDDGDVFIVDRIKELIKYKGFQVAPAELEDILLSHPSVQDAAVFGITDEMAGEIPVGCVVMKQNAEESEEEIMNHVASNVASYKKLRVLHFVDSIPKSQSGKIMRRLLKDQFNSSSIISQLHQI >DRNTG_04080.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2210079:2210994:-1 gene:DRNTG_04080 transcript:DRNTG_04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRRCRPSVRRRSSPSTSQLHMRSMS >DRNTG_03594.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:276342:279075:-1 gene:DRNTG_03594 transcript:DRNTG_03594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYMVFTQQSIGGVHYIHGLHSPYFHSNTKYTIHRCLPIRVSAVHLDCSQNDTIGVSKGSLPGVQLGGVNNANLSLERAVVSSKFKSLAPSNSNSGTGHMDGYFVDHDEITNNDILQSLCESGKIVEASNLIDLMARLGQVPDVQSCVNVSRGLVNSDRLDKASRVLQLMVLSGGVPDIITYNKLIGGFCRKRQLNDALNILEDMEFGGCPPDVITYNTLFRCMFDQGMYNDAICFWKNQLRKGHPPYLISYTVLLELLCKNCGIERAMEVLEDMTFEGCYPDTVTYNSLLNVTCKEGKCENTKVVLEGLLKYGFEPNAVTYNTLLHCFCSSGKWQEADEILSIMYSVSPPTVVTYNILINSLCKYRLLDRAIDVLDKMISEGCCPDIVTYNILLCALCKEELTKEALDLLHYLRENGYSLVQITYNTLIDGLAKKGEIEQAMVLFDEMVKDGISPDDITFGSLVMGFCKKGMPDEALEMLNEMKKIKCRIRGGTFVLVIQMLCRKKMIDMAVKVLEMMITSCNKPKKSMYAELVKGVAAAGMGDEAAELHKKLVQYKIML >DRNTG_03822.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18405901:18451351:1 gene:DRNTG_03822 transcript:DRNTG_03822.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRWRSRSPIDQQKAKIFSGCDTSLSSPCPA >DRNTG_23001.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1724075:1727062:-1 gene:DRNTG_23001 transcript:DRNTG_23001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSDNGEFLVEIQEELGQGGVTNGNGTEDHDSLNMQTSQKGLVGEKKDKNKFFSMFKNKAGFEKVRSLSKLPSTKFRQIAEGRDEMSRSVSSTEQHPRHHFHLPFVRKINWPFLMELCKQWIRNPMNMALFVWILCVAVSGAILFMVMTGMLNAALPKKSQRDSWFEVNNQILNALFTLMCLYQHPQRFYHLVLLCRWRQKDILKLRNIYCKHGTYKPHEWMHMMVVVLLLQLNCFAQYALCGLNLGYPREKRPAIGVGICISAAFGAAAAASVYNILSPLGREYETGIDQEASVQATAAGISQPGSLRLKSLEKKYSFAVREDSRVPENKPVWIGGLTDFWDDISLAYLSLFCSCCVFGWNMERLGFGNMYVHTVTFLLFCAAPFFIFNLAAVNINNDAVREALGISGIVLCIFGLLYGGFWRIRMRKRFNLPAYTSCCNNPSVTDCFMWLCCCSCSLAQEVRTADSYEIVEDKFFMKQTDPDGQVTLSPLPREDGLPLFTSNPGSPYRSTSSPPIFILSSLSPSRLSGAYTPDRQLPTVDEDSPTAKANTMKPPTHPKIHRGDNPAA >DRNTG_23515.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22189746:22190327:1 gene:DRNTG_23515 transcript:DRNTG_23515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFSNGGASSSTPSSVFKVAGEPAIVINGVPNISSVGSGKRQFDAQRNIESKLDPLFGDWMKGREILKLFGNQYYFGKVAMYDAEFHWYRVVYEDGDFEDLEWQELEEVLVPLDISVPLTTLALQRSRSDASLPWLGKTSKSKRSGKSLGFQETLHVHQEPHPSEFEGKEIVAVNLMEENTQETVIESKQKI >DRNTG_26736.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1207327:1213912:1 gene:DRNTG_26736 transcript:DRNTG_26736.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACSSGEEFVVKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEASLKGIPLGQAHDIDIPPPRPKKKPSNPYPRKVGSGNISSSAEVKDRRKSLYSPSASLQIQATGKVAPVEKPVTETQQRKKETSEDGSCSEVLDLFQVLPSVPISYAAENCSNPYSFREFVPLLKETSDKTLVDKSSMTINSNVETGSDDAAIYHKNTERMHGICKNMQAESITEEIAVMLKKPEMPVSSIKDNPQGFNSYQKYVVDQAEENSSAKCRETADPQSKIHSKVHNLGVPSNVNPFTTPIIPGIPQAYASSATIHPLVQPVPPFTQFCSIPGAAYRSFMNISSTFSSLILSTLLQNPAVHAAACLAASFFPSSGADAAAAAAAANSTTAEVFGGEVPGRHMNAIPSMTAIAAATVAAATAWWATHGLLPLFPPHLQSDLMFTHPTVTTTVGNTDIAQASDIIRERDDEFRPSAQEDRKGEQSHLPRSQHDSSSTSDSDESEQGERSQCNSDLKDLKANNMFKLQPQTELHDSINTCKKKAYRSSCGSNTPSSSEKDFANAAEKAKTEETKEASQDHVSNETNHRRNRSSGTINDAWKEVSEEGRLAFQALFRRNVLPQSFSLPHSDEKGAATAFAC >DRNTG_26736.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1207327:1213912:1 gene:DRNTG_26736 transcript:DRNTG_26736.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDACSSGEEFVVKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEASLKGIPLGQAHDIDIPPPRPKKKPSNPYPRKVGSGNISSSAEVKDRRKSLYSPSASLQIQATGKVAPVEKPVTETQQRKKETSEDGSCSEVLDLFQVLPSVPISYAAENCSNPYSFREFVPLLKETSDKTLVDKSSMTINSNVETGSDDAAIYHKNTERMHGICKNMQAESITEEIAVMLKKPEMPVSSIKDNPQGFNSYQKYVVDQAEENSSAKCRETADPQSKIHSKVHNLGVPSNVNPFTTPIIPGIPQAYASSATIHPLVQPVPPFTQFCSIPGAAYRSFMNISSTFSSLILSTLLQNPAVHAAACLAASFFPSSGADAAAAAAAANSTTAEVFGGEVPGRHMNAIPSMTAIAAATVAAATAWWATHGLLPLFPPHLQSDLMFTHPTVTTTVGNTDIAQASDIIRERDDEFRPSAQEDRKGEQSHLPRSQHDSSSTSDSDESEQGERSQCNSDLKDLKANNMFKLQPQTELHDSINTCKKKAYRSSCGSNTPSSSEKDFANAAEKAKTEETKEASQDHVSNETNHRRNRSSGTINDAWKEVSEEGRLAFQALFRRNVLPQSFSLPHSDEKGAATAFAC >DRNTG_26736.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1207327:1213912:1 gene:DRNTG_26736 transcript:DRNTG_26736.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDACSSGEEFVVKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEASLKGIPLGQAHDIDIPPPRPKKKPSNPYPRKVGSGNISSSAEVKDRRKSLYSPSASLQIQATGKVAPVEKPVTETQQRKKETSEDGSCSEVLDLFQVLPSVPISYAAENCSNPYSFREFVPLLKETSDKTLVDKSSMTINSNVETGSDDAAIYHKNTERMHGICKNMQAESITEEIAVMLKKPEMPVSSIKDNPQGFNSYQKYVVDQAEENSSAKCRETADPQSKIHSKVHNLGVPSNVNPFTTPIIPGIPQAYASSATIHPLVQPVPPFTQFCSIPGAAYRSFMNISSTFSSLILSTLLQNPAVHAAACLAASFFPSSGADAAAAAAAANSTTAEVFGGEVPGRHMNAIPSMTAIAAATVAAATAWWATHGLLPLFPPHLQSDLMFTHPTVTTTVGNTDIAQASDIIRERDDEFRPSAQEDRKGEQSHLPRSQHDSSSTSDSDESEQGERSQCNSDLKDLKANNMFKLQPQTELHDSINTCKKKAYRSSCGSNTPSSSEKDFANAAEKAKTEETKEASQDHVSNETNHRRNRSSGTINDAWKEVSEEVIISKIIFNFTYNAVTFEQQYLL >DRNTG_16050.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25862045:25866316:1 gene:DRNTG_16050 transcript:DRNTG_16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TFIIS [Source:Projected from Arabidopsis thaliana (AT2G38560) UniProtKB/TrEMBL;Acc:A0A178VY86] MEKELLDTFAAVKKAADAAAGDGSPAAADRCVDALNELRRIPVTMKDLVNTQVGKRLRALKKHPNARIQAVATDVLEYWKTKVIEESSDKKLGVSDNKNDSRTEAKPERSEQRKDVKTSNAATVKADMSSKSEGKAEKTTKPGPIKTEKNARSAVEQANDGERTPIGEKHASVAKKPLPSTVEPPRLTKMIKCNDPQRDKVRDLLAQSFAKVSDETTKSNREEVRNILDEVDACDPIRVAVTVESVLFEKLGHFNGAQRVKLRSIMFNLRDDKNPDLRRRVLIGDVKPERLIDMPAEEMASDERKLSNKQIKEKALFECERAGAPKASTDQFKCGRCGQRQTTYYQLQTRSADEPMTTFVTCVNCNNHWKFC >DRNTG_32600.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22798964:22800365:1 gene:DRNTG_32600 transcript:DRNTG_32600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGFLGIIYSFNMKSWLPSKFTTFPTLMMMMVLSLLVLLFSNLCFSVFNMAAASKIESQGRALLQWKTELETQELLNTWTSKTSPCNWTGITCRYNGHHMATITRVQLGGLGLEGKLVTLNFSALPSLRVLNLSHNHLHGSITAAISALSKLTILDLGDNSLTGIIPSELGNMTRLKTLWLSENQISGSIPPFGKLLNLISLAIFRNFIVGPIPPMFGNLTKLNFLYIWKNDLTGSIPCPIGNLVNLRGFDISSNQITGPIPHSIGNLTKLEIFDLSSNKITGIITPSLGNLKGLTKLKLFNNHLFGKVPNEFENLTNLIILNLFNNSLSGHLPPNLAKGGLLQRLFFFFEKRVDKPLIY >DRNTG_23461.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:719284:720070:1 gene:DRNTG_23461 transcript:DRNTG_23461.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSQSLLFSSCSAMMGLLFAYSASVQLNDPDWYFWIPLYAIASIVNLLQRKFNQLTRFVLWSGLLLFIKVMIEGHVYGLANLWSMDMRKRVVREKVGSGLVVASMTLHLKASQISKLFVTLGMAGLVALSYGFSLYFFVLTKDNMMFV >DRNTG_16359.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7715093:7719968:-1 gene:DRNTG_16359 transcript:DRNTG_16359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIDPLNSLYSRVEALCGKVDKIIASQQQGIPCYNTYHPIEKGYPNFLWNSDGQYWEEPQEEWQKGETLREDALQLQRVLANFIEASDVCIQNMETTLRCHEASYKNLEHQLGGILDTLSKEQQVFEQAIQVTYRDDVVVNDNEEVGQIEYIGAKNEKGEAEYHFEILDIVNEDCACERENFQGDLLASCSFQAKNTQEEVNPKAHLETAQGRGLTPVSNFVMVHARVEFLARACVSLHRISPPSREDTGVCICPCEFPTAAVASDEVHGGLSGCRNRGCRCRRNRGGL >DRNTG_15405.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4800231:4804832:1 gene:DRNTG_15405 transcript:DRNTG_15405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQKSIHAGKAKIDLNVDLTHKLCGALLLPPPVRNSSDPFSQIIGRLCIKHPGLFGRSEKLDFLWDKGLHDSNLLIALRRPGAERFAQQSFVVQHSIAPEIGVNGLPTEHYPHSGIGWINLSRLSAGVELTEPATSNWSSSTSIRFEHVRPINNEGRSIMTDIDGLPITCSGRSHDNMFVLKQESQFATIDESNFARLTVQMEQGLPLLSRWLIFNRFKFIASKGLRLGKALLVTSLTGGSIVGDMAPYQAFAIGGPGSVRGYTEGAIGSGRSYLVTNNELTVPLATLLSGKGNPVMDLVSDMAYVSTQS >DRNTG_15405.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4802104:4804832:1 gene:DRNTG_15405 transcript:DRNTG_15405.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLKQESQFATIDESNFARLTVQMEQGLPLLSRWLIFNRFKFIASKGLRLGKALLVTSLTGGSIVGDMAPYQAFAIGGPGSVRGYTEGAIGSGRSYLVTNNELTVPLATLLSGKGNPVMDLVSDMAYVSTQS >DRNTG_10286.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20272975:20284252:1 gene:DRNTG_10286 transcript:DRNTG_10286.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQEAKGKGNALQSTALWLSQEHLLPSPSPSHCSLWWLLFALLHDDTLYPGEIDRDLVLYNVCDPIWSSNTSGIATKCYVTFATNDNLVIHSSDGNALWSNNKSGGQGNHVLVLHDDGSVSAYTAAEDGMHELTCPTFSFSDPLSKLQMKPRVCEGLPWSSIS >DRNTG_22377.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6153087:6159035:-1 gene:DRNTG_22377 transcript:DRNTG_22377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVVKLCLAPCCSCFQSPVVREDMSYVFCTKEKLDRLESAMTDLRAKKDDIERELNLPQHRGKQPTNELQRWVHKDESNVDLTLFQAWKKYIYVQDESYKDSD >DRNTG_17779.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000910.1:92334:94299:-1 gene:DRNTG_17779 transcript:DRNTG_17779.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLQAYGGLSNHFDKRIWVTVSQENSLMELLRKMFEEVRQIEKEKLEKMTENDFIDMLNDSLRAQRFLIVLDDIWREDVWNQMQRSFPDVNNGSRVLITTRFLNVAKGADPRSTPYQLPLLNDDESMKLLLKKAKPYEDVEANFTNELLDIGLRLMDKCGGLSLALVVLGGLLSIKDKTPVVWRRVLETMDWAAEGRQCQEILALSYEDLPYHMKSCFLYLGAYPKDYEISGNELIWQWIAEGFIPQEERKTMEDTGEAILEELIQRSLIHVNMRKNNGSVKKCGVHDLLLDFTRSTAKKDIFLTVCSNENDQPTYLTSSRHVAFHNINDTKINEISRVYTMHGLRTLMAFGLRYLSIDSPIFRFKLLRVLDLTESIRLPKQIKLLIHLRYLRLGDVNYLPSSIGNFQSLETVLLSHGREIPITLWKMKTLRHVQVLRCNPPQSLELKNLLTLENVAFGSYKTIN >DRNTG_23697.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:631867:632618:-1 gene:DRNTG_23697 transcript:DRNTG_23697.3 gene_biotype:protein_coding transcript_biotype:protein_coding GKIDLNVYQGYEELRLALEDMFKCFSIGIYIYTSF >DRNTG_23697.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:631867:632618:-1 gene:DRNTG_23697 transcript:DRNTG_23697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKCFSIGELYAIAYEDKDGDLMLVGDVPWRMFISSCKRMRIMKGCEARGLSSNS >DRNTG_23697.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:631867:632618:-1 gene:DRNTG_23697 transcript:DRNTG_23697.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKCFSIGELYAIAYEDKDGDLMLVGDVPWR >DRNTG_10676.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000449.1:4893:5289:-1 gene:DRNTG_10676 transcript:DRNTG_10676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAPHWYGVKGNDSLWLDHSSSSFACLARVPSSSTRSMQSLFALALALLARARAGFSCVLPSDALLRSFCSALLT >DRNTG_32881.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23524834:23530828:-1 gene:DRNTG_32881 transcript:DRNTG_32881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPLPSQRSPSASPSQPSGKSEVSDLRLQLRQLAGSRAPGADDSKRDLFKRVISYMTVGIDVSSAFSEMVMCSATSDIVLKKMCYLYVGNYARSNPDLALLTINFLQKDCRDEDPMIRGLALRSLCSLRVANLVEYLVGPLGSGLKDGSAYVRMVSAVGVLKLYHISPATCLDADFPALLKSLMLSDPDPQVVANCLSSLQEIWNLETSEEASREREALLSKQVVYYLLNRIKEFSEWAQCLVLDLVSKYIPTDSNDIFDIMNLLEDRLQHVNSAVVLATIKLFLHMTMSMTDVHQQVYERIKAPLLTLVSTSSPEQSYAVLSHLHLLVMRAPMLFSSDYKHFYCQYSQPSYVKKLKLEMLTAIANESNTYEIVTELCEYAANVDVPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMDKDYVTAETLVLVKDLLRKYPQWSHDCIAVVGNISSKNVQEPKAKSALIWMLGEYSQDMLDAPYILESLIENWNEEHSAEVRLHLLTAAMKCFFKRPPETQKALGAALVAGLADSHQDVHDRALFYYRLLQHDVSVAERVVNPPKQAVSVFADTQSNEIKDRIFDEFNSLSVVYQKPSYLFIDKEHRGPFEFSEDIGDISLGADSGDNVIPAQRYDANDNDLLLSTAEKEESRGLTHNGSSYGGLEYDASLVSVASSATPSPAQAATSLQSALAIDDLLGLGVPVEPEPPQPPSLKLNPKPVLDPSNFQKKWGQLQISSSQECSLTPKGAAALTTPQALLRHMQGHSIQCIASGGQAPNFKFFFFAQRADEPSSFFLVECLINASSAKAQLKIKADDSSVSEAFSALFQSALSKLSVA >DRNTG_23138.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7240949:7243285:-1 gene:DRNTG_23138 transcript:DRNTG_23138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERTLDALLCCDYKELLECGAVLSLSPNPKIKPCRLVLQPQLSSLSVPPSASPLPAKLRPAPTTTSLLNPCLSRGHCSLVKLSILLLRLGSSQTEKKKKGNAARYITRTKAVHYLQNSLSSFMCLLSLLFCRKGIFPREPKKKVEGNHKTYYHMKDIAFLAHDPLIEKFRDIRAHKRKIKKALAKNNRDQANRLLNQQPKYKLDRLILERFVTS >DRNTG_02010.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:15063973:15064392:1 gene:DRNTG_02010 transcript:DRNTG_02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDGAHRPWAINDVTTSISRSPATFVLSRVFH >DRNTG_00828.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000057.1:17148:20817:-1 gene:DRNTG_00828 transcript:DRNTG_00828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSEVMADVLADGRAACYKARDAFYACLEKEVDKSPPRSPPLAFSTPAECKKSRTVFVNSCRPTWVKHFDRQYCAKKRVQRLLDSDEATEVMLFCKRSCIPC >DRNTG_08050.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30318093:30324258:-1 gene:DRNTG_08050 transcript:DRNTG_08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSAFDSRAVRWILFAVLLIIVSFYSGTLFGSTPYSSTIYLQPQSEHAPIPSRGALRFGNRVSLTYRTKSILIPETGMNVCPLDFNEYIPCHDLKYIKTLIQNLDISKKEELERHCPPPEKSLFCLVPPPKDYMIPINWPASRDYIWRSNVNHTHLSEVKGGQNWVHEKDKLWWFPGGGTHFKHGAAQYIERLGNMTTDSSGDLRTAGVSQVLDVGCGVASFSAYLLPLDILTMSFAPKDGHENQIQFALERGIGAMISVLSTKQLPFPQNSFEMVHCSRCRVDWHENDGILLKEVDRLLRPNGYFVYSAPPAYRKDKDFPIIWDKLVNLTTGMCWKLIAKQVQTAIWQKQEDESCRVQNSERNLLSICEPVDDSIPPWRSPLRDCVQLQNQKSNIQKLPPRYERLFLYPRRLETTGVTPEMFDLNNQFWQEQIQQYWKLIDLNRVEIRNVMDMNAYYGGFSAALNSLPFWVMNIVPTTMNNTLSAIYDRGLVGAFHNWCEPFSTYPRTYDLLHAYHLFSYLSFDEEGCQIEDLVLEMDRMVRPQGFIIIRDEDSIISRIKDLAPKFLWDFTSHTLENEEKKMEPVLVCRKKFWAIV >DRNTG_32825.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27709217:27709763:-1 gene:DRNTG_32825 transcript:DRNTG_32825.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGPDNPENPIDSHMGFIPSHQPMEINSTGSVNPETKSDAMLEPGNSQCQVDVQRNSGEHLEDQDSFMQS >DRNTG_10151.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:650984:653263:1 gene:DRNTG_10151 transcript:DRNTG_10151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWSMTEEVANMIAPLLVRPIPRWPFFAFLGGAMFCLLASSTCHLLSCHSRRLAYIMLRLDYAGIAALIATSFYPPVYYSFMCNPFFCNLYLGFITILGIATICVSLFPVFQNPEYRALRAGLFFGMGVSGVVPVIHKLILFWHRPEALHTTGYEILMGVLYGLGALVYATRIPERWMPGRFDIAGHSHQLFHVLVVAAAYTHYRAGLVYLKWRDLQGC >DRNTG_19833.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001073.1:3530:4302:1 gene:DRNTG_19833 transcript:DRNTG_19833.3 gene_biotype:protein_coding transcript_biotype:protein_coding RDACEKALKQHNLLSVSEARDSSNDNIDDCSKQFEYLSEVFHKAALADRPSQVPDYLCCKITLDIFRDPVVTPSGITYERAVLLEHLQKVGKFDPLTRVPLEQHQLTPNLGIKEAVQAFLNEHGWAYNMN >DRNTG_19833.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001073.1:3945:4302:1 gene:DRNTG_19833 transcript:DRNTG_19833.4 gene_biotype:protein_coding transcript_biotype:protein_coding VGKFDPLTRVPLEQHQLTPNLGIKEAVQAFLNEHGWAYNMN >DRNTG_19833.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001073.1:3334:4302:1 gene:DRNTG_19833 transcript:DRNTG_19833.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEIWLVLAKAKYNEWKQSSSERLQRLQNLRDACEKALKQHNLLSVSEARDSSNDNIDDCSKQFEYLSEVFHKAALADRPSQVKSLWCIWDSQNHLFMFINSQDFRYLTTYAAKSHWISFETLLSLRLV >DRNTG_19833.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001073.1:3334:4302:1 gene:DRNTG_19833 transcript:DRNTG_19833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEIWLVLAKAKYNEWKQSSSERLQRLQNLRDACEKALKQHNLLSVSEARDSSNDNIDDCSKQFEYLSEVFHKAALADRPSQVPDYLCCKITLDIFRDPVVTPSGITYERAVLLEHLQKVGKFDPLTRVPLEQHQLTPNLGIKEAVQAFLNEHGWAYNMN >DRNTG_23125.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:248415:249394:-1 gene:DRNTG_23125 transcript:DRNTG_23125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNHQETRHRQWLPSPLLSSSAPPFGSAPAPMPSASFPLAPFSSPPPPPPIPSPSGTSFLMPPVSYFDPTGELRTLTVSELTKGKKTIIFAVPGAFTPTCSQKHLPGFVDKSGELRAKGVDALACVSVNDAFVMRAWKESLRIGEEVLLLSDGNGDFTRALGVELDLRDKPAGLGIRSRRYAMLVEDGIVKVLNLEDGGAFTASSADHMLNAL >DRNTG_12472.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000552.1:2229:2624:-1 gene:DRNTG_12472 transcript:DRNTG_12472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIGMIRIIQSGVYALITPAPEVMAMETEAPPVAEQPCLVCMFFPSRAYDHFERLKSAVGVLQTEIAEVRVTQAAQYAMFMACFDTLQQILGQDVATSYVLQPRTPPAPPA >DRNTG_16488.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000820.1:24439:24875:1 gene:DRNTG_16488 transcript:DRNTG_16488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCLAKDGKPLALRRGAWSEEEDSRLRRCLEKYGAIKWCDVPSKAGLNRCRKSCRLRWLNYLSPSIKRGRFEDDE >DRNTG_09573.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22519632:22521774:1 gene:DRNTG_09573 transcript:DRNTG_09573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNVRIYWTCIVHGSLGNKVFSQAKFSHSFVNERKDTTESTKSTV >DRNTG_16053.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25801823:25803178:-1 gene:DRNTG_16053 transcript:DRNTG_16053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCTNVTYSPHDDGYQWRKYGQKNIQKTKLSRSYYRCTYKDQGCQATKQVEEKVCNIDQPLFLATYTGHHTCKPMTNPIINPQVPLLPIEANLLSFESNSNMIFKKEWPMLSSLYSAHQQANHHEEVHKMQTPASSSSTLNYQHVSAPYQISFMGSEPTDDNHDGNYKNSLVSIDHQRTVESMVNNEEFWEPNPGTDIEDIFSYSCLSPPWHGMNMDYSMMDPSATDDDLAFHVSKCINGVFNDLN >DRNTG_32480.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001842.1:54378:55447:-1 gene:DRNTG_32480 transcript:DRNTG_32480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVCFSSSSSATAHQRKKNNSLATESQKAKMKDLMEKAPVDEIDSKFRLFKQFDSVQDYSDHHFRNNKFVQESKVSCIHS >DRNTG_32480.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001842.1:53001:53783:-1 gene:DRNTG_32480 transcript:DRNTG_32480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMLQVLVSIQGLILNERPYFNEPLLSYFSTTRLANIPSRSYNADIFLLSCKKMLYNLRKPPKHLEDFVAGHFRTQGRTILRTCKAYMAGVPVGTVVTDSMQLDTSRIKSSKFSSELKLLFVNLLEAFCAVGAECNEFL >DRNTG_32480.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001842.1:53001:55447:-1 gene:DRNTG_32480 transcript:DRNTG_32480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVCFSSSSSATAHQRKKNNSLATESQKAKMKDLMEKAPVDEIDSKFRLFKQFDSVQDYSDHHFRNNKFVQESKLQQRWIRKIRNEWTLLEKHLPEMIYVRIYEDRMDLLRAVIVGPAGTPYHNGLFFFDFHFPEGYPNSPPLVYHHSHGLGLNPNLYANGVVCVSLLNTWVGSISERWNPVMSTMLQVLVSIQGLILNERPYFNEPLLSYFSTTRLANIPSRSYNADIFLLSCKKMLYNLRKPPKHLEDFVAGHFRTQGRTILRTCKAYMAGVPVGTVVTDSMQLDTSRIKSSKFSSELKLLFVNLLEAFCAVGAECNEFL >DRNTG_12890.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20772090:20778916:-1 gene:DRNTG_12890 transcript:DRNTG_12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAQNSIDMEEGTLEIGMEYRTVSGVAGPLVILEKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDNLLEDGEEENFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLEKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDSAH >DRNTG_31927.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2455240:2455798:1 gene:DRNTG_31927 transcript:DRNTG_31927.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNKEGESKVQNEASPKTDLPKTTSEPNDK >DRNTG_09235.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:929760:930447:1 gene:DRNTG_09235 transcript:DRNTG_09235.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTFHTIMNSINKFDGDLIQDKEILVVLNDVNDSKVLKDMTDYMHLITNANVLVTTRYESVITHEGIYKHKLPLLSEEDGWALMCKLLFHDGEKGNMQHFEQIGKKMVNMCHGLPLSIKTIARILNAKEKNHSDKWEKVLENIIVSLELSNKTLPKTVYLLPYENLSPYIKQCFIFCAFFPEDYI >DRNTG_23161.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1823369:1826308:-1 gene:DRNTG_23161 transcript:DRNTG_23161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAMATFSLNSLTLPSSQTLMLSQSPCLKPITVTGFPPTFVSAPGRRIVAVGDLHGDLSQTRSALEMAGVLSSNGEGLWIGGDTVLVQLGDVLDRGEDEIAILSLFQSLDVQAKQRGGAVFQVNGNHETMNVEGDFRYVDPGAFDECIGFLEYLDELDGNWQEAFVTWINVYERWKENHRMSHSQWSTWNFMKDRDRRESLQDHHFLVQVVHLLANWRAMLSFLK >DRNTG_23161.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1825405:1826308:-1 gene:DRNTG_23161 transcript:DRNTG_23161.6 gene_biotype:protein_coding transcript_biotype:protein_coding MALAMATFSLNSLTLPSSQTLMLSQSPCLKPITVTGFPPTFVSAPGRRIVAVGDLHGDLSQTRSALEMAGVLSSNGEGLWIGGDTVLVQLGDVLDRGEDEIAILSLFQSLDVQAKQRGGAVFQVNGNHETMNVEGDFRYVDPGAFDECIGFLEYLDELDGNWQEAFVTWINVYERWKENHRMSHSQWSTWNFMK >DRNTG_23161.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1823402:1826308:-1 gene:DRNTG_23161 transcript:DRNTG_23161.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAMATFSLNSLTLPSSQTLMLSQSPCLKPITVTGFPPTFVSAPGRRIVAVGDLHGDLSQTRSALEMAGVLSSNGEGLWIGGDTVLVQLGDVLDRGEDEIAILSLFQSLDVQAKQRGGAVFQVNGNHETMNVEGDFRYVDPGAFDECIGFLEYLDELDGNWQEAFVTWINVYERWKENHRMSHSQWSTWNFMKDRDRRESLQDHHFLVQVVHLLANWRAMLSFLK >DRNTG_23161.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1823402:1826308:-1 gene:DRNTG_23161 transcript:DRNTG_23161.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALAMATFSLNSLTLPSSQTLMLSQSPCLKPITVTGFPPTFVSAPGRRIVAVGDLHGDLSQTRSALEMAGVLSSNGEGLWIGGDTVLVQLGDVLDRGEDEIAILSLFQSLDVQAKQRGGAVFQVNGNHETMNVEGDFRYVDPGAFDECIGFLEYLDELDGNWQEAFVTWINVYERWKENHRMSHSQWSTWNFMKRQKGVFARSSLFSPGGPLACELARNAVVLKVDDWVFCHGGLLPHHIKYGIERMNREVSNWMRGLSNDVDEGLEIPFIATRGYDSVVWNRLYSRDLSDMKHKTWKVSSIAEQTLQAIGAKGMVVGHTPQFDGANCKYNRRIWCIDVGMSSGVLNSRPEVLEIVNNKARVIKDRNTKKLTA >DRNTG_23161.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1823402:1826308:-1 gene:DRNTG_23161 transcript:DRNTG_23161.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALAMATFSLNSLTLPSSQTLMLSQSPCLKPITVTGFPPTFVSAPGRRIVAVGDLHGDLSQTRSALEMAGVLSSNGEGLWIGGDTVLVQLGDVLDRGEDEIAILSLFQSLDVQAKQRGGAVFQVNGNHETMNVEGDFRYVDPGAFDECIGFLEYLDELDGNWQEAFVTWINVYERWKENHRMSHSQWSTWNFMKRQKGVFARSSLFSPGGPLACELARNAVVLKVDDWVFCHGGLLPHHIKYGIERMNREVSNWMRGLSNDVDEGLEIPFIATRGYDSVVWNRLYSRDLSDMKHKTWKVSSIAEQTLQAIGAKGMVVGHTPQFDGANCKYNRRIWCIDVGMSSGVLNSRPEVLEIVNNKARVIKDRNTKKLTAR >DRNTG_33254.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3558750:3565394:-1 gene:DRNTG_33254 transcript:DRNTG_33254.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQMDAFLEECSRSGDAAYAALKSLLERLENSDGRTEARVFLADVQRRFHSKEDADRCFQDYHFRIHDVVLNDFEGYQKRKKLTMIEIPSIFIPEDWSFTFYEGINRHPDSIFKDKTITELGCGNGWISIAIAEKWSPSKVYGLDINPRAIKAAWINLYLNALDENGLPIYDGEGKTLLDRVEFHESDLLAYCRDKKIELDRIVGCIPQILNPNPEAMSKMITENASEEFLYSLSNYCALQGFVEDQFGLGLIARAVEEGIAVIKPMGIMIFNIGGRPGQGVCKRLFERRGFHITKLWQTKVMQAADTDISALVEIERNSHHRFEFFMGLVSDQPICARTAWAYVNSGGRISHSLCVYSCQLRQPNHVKVIFDFLKNGFEEVGSSLDLSFNDDSVADEKIPFLAYLASVLKENSFLPYEPPAGSIYFRNLISRFMKSYHHIPLTTDNVIVFPSRVTAIENALRLFCPRLAIVDEHLTRHLPKKWLTSLVMEGKEDKNTEDGITVIEAPNQSELMIELIKKLKPQVVITGIAHFEAITSAAFESLLSTTADIGSRLFLDISEHLELSSLPGSNGVLKYLARNVLPSHAAILCGLVKNQVYSDLEVAFIISEDEAIFKALSKTVELLEGHTPLISQYYYGCLFHELLAFQISDRHPPEQRESSDKKPVKMIDFASSANSTLNSAELSINGEKESPIIHMDVGQSFLPLPSP >DRNTG_01306.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1855436:1858803:1 gene:DRNTG_01306 transcript:DRNTG_01306.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDEALLSQIKDGFTDGKDLVVTVMSAMGEEQICSLKDIGPK >DRNTG_01306.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1855486:1858857:1 gene:DRNTG_01306 transcript:DRNTG_01306.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDEALLSQIKDGFTDGKDLVVTVMSAMGEEQICSLKDIGPK >DRNTG_01306.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1855486:1858857:1 gene:DRNTG_01306 transcript:DRNTG_01306.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDEALLSQIKDGFTDGKDLVVTVMSAMGEEQICSLKDIGPK >DRNTG_01306.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1855486:1858803:1 gene:DRNTG_01306 transcript:DRNTG_01306.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDEALLSQIKDGFTDGKDLVVTVMSAMGEEQICSLKDIGPK >DRNTG_01306.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1855486:1858803:1 gene:DRNTG_01306 transcript:DRNTG_01306.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDEALLSQIKDGFTDGKDLVVTVMSAMGEEQICSLKDIGPK >DRNTG_01306.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1850697:1853808:1 gene:DRNTG_01306 transcript:DRNTG_01306.9 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPRDFDLLILGASGFTGKYVVREALKFLSTPASPLRTLALAGRNPNKISQTLAWASTPNPPPNIPILHADVSDSDSLIPLFRRARLVLSCVGPFRIYGSPVVASCVSAGTDYLDISGEPEFMERMEVEFHELAAKNGSLVVSACGFDSVPAELGVVFNSRQWVAPSAPNCVEAYLSLESEKKIVGNVGTYESAVLGVANADKLQELRRSRPRRARPLIPGPPPPRGPTLEHQKTFGLWAVRLPSADSIVVRRTLAALTENPDGLPGVNESEEDIERRTRLWSTVKPVHFGVKIGTKSLLGLLLGNGNWFIHWPLWKDVIRKKTSPKIPGILLPRVVPEGRANRGRGE >DRNTG_01306.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1850697:1858803:1 gene:DRNTG_01306 transcript:DRNTG_01306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPRDFDLLILGASGFTGKYVVREALKFLSTPASPLRTLALAGRNPNKISQTLAWASTPNPPPNIPILHADVSDSDSLIPLFRRARLVLSCVGPFRIYGSPVVASCVSAGTDYLDISGEPEFMERMEVEFHELAAKNGSLVVSACGFDSVPAELGVVFNSRQWVAPSAPNCVEAYLSLESEKKIVGNVGTYESAVLGVANADKLQELRRSRPRRARPLIPGPPPPRGPTLEHQKTFGLWAVRLPSADSIVVRRTLAALTENPDGLPGVNESEEDIERRTRLWSTVKPVHFGVKIGTKSLLGLLLGNGNWFIHWPLWKDVIRKKTSPKIPGILLPRVVPEGRANRGRGE >DRNTG_01306.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1855436:1858803:1 gene:DRNTG_01306 transcript:DRNTG_01306.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDEALLSQIKDGFTDGKDLVVTVMSAMGEEQICSLKDIGPK >DRNTG_01306.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1855436:1858857:1 gene:DRNTG_01306 transcript:DRNTG_01306.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDEALLSQIKDGFTDGKDLVVTVMSAMGEEQICSLKDIGPK >DRNTG_12385.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6555505:6558979:-1 gene:DRNTG_12385 transcript:DRNTG_12385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTFMASTSKPLLSNPHHPPRSLLTTPKTIPAPFRSLIKSISISPRTLVLGAASLVASPLPALASEIEKAALFDFNLTLPAIAIEFLLLMIALDKIYFTPLGKFMDSRDAAIRAQLGEVKDTSEEVKQLEEQAAAVMKAARAEITAALNKMKKETTAELEVKLVEGRKKVERELAEALENLEKQKEDTIKALDSQIAALSNEIVKKVLPQL >DRNTG_12385.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6557855:6558979:-1 gene:DRNTG_12385 transcript:DRNTG_12385.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTFMASTSKPLLSNPHHPPRSLLTTPKTIPAPFRSLIKSISISPRTLVLGAASLVASPLPALASEIEKAALFDFNLTLPAIAIEFLLLMIALDKIYFTPLGKFMDSRDAAIRAQLGEVKDTSEEVKQLEEQAAAVMKAARAEITAALNKMKKETTAELEVKLVEGRKKVERELAEALENLEKQKEDTIKALDSQIAALSNEIVKKVLPQL >DRNTG_29476.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2678173:2681808:-1 gene:DRNTG_29476 transcript:DRNTG_29476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASPREVVGAVLKYMGASYNTKDLDSVVGGRKAAMHNLIMLQRQVRLFDDMHYLKAFGAGDVWVTVGWSSDVIPAAQRMSNVAVVVPKSGASLWADLWAIPSATKFSTDRLGGRVRGPSPLIHQWFEFCLQTARGLPFQQEVIPGASPSFIEQISDGKLDDSTDRRPKLDTNLINGVPPPEILAKCEFLEPLPKKTLEDYQWLMANLQKPGYGWIQEFPKRVSWVIAKLTSNGS >DRNTG_29476.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2678173:2679697:-1 gene:DRNTG_29476 transcript:DRNTG_29476.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLLYFVAFKYPQDWGDLWRPELAGKISMVASPREVVGAVLKYMGASYNTKDLDSVVGGRKAAMHNLIMLQRQVRLFDDMHYLKAFGAGDVWVTVGWSSDVIPAAQRMSNVAVVVPKSGASLWADLWAIPSATKFSTDRLGGRVRGPSPLIHQWFEFCLQTARGLPFQQEVIPGASPSFIEQISDGKLDDSTDRRPKLDTNLINGVPPPEILAKCEFLEPLPKKTLEDYQWLMANLQKPGYGWIQEFPKRVSWVIAKLTSNGS >DRNTG_00505.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30513025:30516172:-1 gene:DRNTG_00505 transcript:DRNTG_00505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFDGRSDASVAVTISCCSMDAPPSDSGVEEKDGSFGAFNGGNLEKDGDVECVCGSIVATENHEKDFERKDGMLGGRYGSAVVIEMHKKSSERENGILGSGDDSIVIVESDQKTFEKKDGTWEAGDGSFVFIDEKAFVKDGPLDSGTSGCVVDKVLDKKVGDGLVCVQGKKPAIPRTSSYHGVTRHRWTGKFEAHLWDGTINIESRKRKGKQVYLGGYDSEQKAARAYDLAALKYWGAHSTTKMNFPISEYEHELDEMKKMTKDEWVQYLRRRSSCFSRGISAYRGVTRRQKDGRWQARLGSVAGTRDIYLGTFKTEEEAAVAYDIAAVELRGPNAVTNFDISNYLEGSFRRVQGEA >DRNTG_00505.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30513025:30516172:-1 gene:DRNTG_00505 transcript:DRNTG_00505.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFPISEYEHELDEMKKMTKDEWVQYLRRRSSCFSRGISAYRGVTRRQKDGRWQARLGSVAGTRDIYLGTFKTEEEAAVAYDIAAVELRGPNAVTNFDISNYLEGSFRRVQGEA >DRNTG_00505.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30513025:30516172:-1 gene:DRNTG_00505 transcript:DRNTG_00505.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNFPISEYEHELDEMKKMTKDEWVQYLRRRSSCFSRGISAYRGVTRRQKDGRWQARLGSVAGTRDIYLGTFKTEEEAAVAYDIAAVELRGPNAVTNFDISNYLEGSFRRVQGEA >DRNTG_15513.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20792934:20796513:1 gene:DRNTG_15513 transcript:DRNTG_15513.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPLGDPLYKVKSELWKKYSLPTVNNAPGFSSSGNFFTLKKVKTGSKKGKGIPQALRALSRVLSATSNEELKAMETEAAENDGRLARRTLKDMSKEILAHHILLAQLERAVHSHVAAITALSSAQTYNDHSRFTYRRQMARDVLEGELCVLRSACDWIKNYCLRLLE >DRNTG_15513.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20788346:20801519:1 gene:DRNTG_15513 transcript:DRNTG_15513.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTSQSKWLDNNLQEMEEKMKSMLKIIDEDADSFAKRAEMYYKKRPELMNYVEETYKAYKALAERYDRISGELHKANHTIATAFPDQVQFAIQDEDDDGLPKAITSIDSSKIHKAPAEIPQISSRNKTRQEDQQTDIKKLKHRRVTSQISKEKAQEEIDRLQKAILVLQTQKEFIKSSYESGFTKYLDIEREISEMQEEVCFLQDHFSTSSVIDDNEARALMAAAALKSCEDTLVNLQEQQNRTAQEAWVESERIKKAELRLKALSGQPSSQPSQMENRKDFSEKHEHVCVLKPERLELQSFCDKVKQHFEMNSEASVVDLAEKIDELVEKVISLELTISSQNVEIRKLRSETDELHKHLQGLEDEKAAPTNDANILSARLKQAEEKLQMIQHLEKCVKDEKNILTTHFTEACHSLNHLSEKLLSPNCQQDQVKNEVAIIPNHKGKEANVDVESCTHSKFESQPENTSEKEVPCIQDIQEKEKIGSKVLGDSSGLKVLKEKSLSEADDNVSLSDEPDDQELQQLLLNGVEGREKIFAQYTSILRNYKEMKKKLSEVEKKNQDSLAEIIAQLRELKSSNAMKDEEIRLLRENSHENNDPSLMKTGNPFLNKLESFSRFSKLTALSSKRRNIETPEDQNAESKADVADAATPPCEEISVHCAEEPHLPSPIEEKFRKDIDAVLEENLEFWLRFSATYHTVQKLQTTFEELQTEVEKLKDSKTPESTTASGSSSSPLDKRLRELKTELQVWLEQNAMLRGELKQRFSSLCEIQDDIAGVLKESTEGGEVQLTSYQAAKFQGEVLNMQQENNKVSNELQAGLDHVRGLQVEVEKALSKLQESFEISGPRSSHGNHHQFPPMRNFSSKTKIPLRSFLYGVKPKRPSIFSCMSPALQKQYSHMRAGFPT >DRNTG_15513.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20792216:20797734:1 gene:DRNTG_15513 transcript:DRNTG_15513.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPLGDPLYKVKSELWKKYSLPTVNNAPGFSSSGNFFTLKKVKTGSKKGKGIPQALRALSRVLSATSNEELKAMETEAAENDGRLARRTLKDMSKEILAHHILLAQLERAVHSHVAAITALSSAQTYNDHSRFTYRRQMARDVLEGELCVLRSACDWIKNYCLRLLE >DRNTG_15513.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20791242:20801519:1 gene:DRNTG_15513 transcript:DRNTG_15513.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTSQSKWLDNNLQEMEEKMKSMLKIIDEDADSFAKRAEMYYKKRPELMNYVEETYKAYKALAERYDRISGELHKANHTIATAFPDQVQFAIQDEDDDGLPKAITSIDSSKIHKAPAEIPQISSRNKTRQEDQQTDIKKLKHRRVTSQISKEKAQEEIDRLQKAILVLQTQKEFIKSSYESGFTKYLDIEREISEMQEEVCFLQDHFSTSSVIDDNEARALMAAAALKSCEDTLVNLQEQQNRTAQEAWVESERIKKAELRLKALSGQPSSQPSQMENRKDFSEKHEHVCVLKPERLELQSFCDKVKQHFEMNSEASVVDLAEKIDELVEKVISLELTISSQNVEIRKLRSETDELHKHLQGLEDEKAAPTNDANILSARLKQAEEKLQMIQHLEKCVKDEKNILTTHFTEACHSLNHLSEKLLSPNCQQDQVKNEVAIIPNHKGKEANVDVESCTHSKFESQPENTSEKEVPCIQDIQEKEKIGSKVLGDSSGLKVLKEKSLSEADDNVSLSDEPDDQELQQLLLNGVEGREKIFAQYTSILRNYKEMKKKLSEVEKKNQDSLAEIIAQLRELKSSNAMKDEEIRLLRENSHENNDPSLMKTGNPFLNKLESFSRFSKLTALSSKRRNIETPEDQNAESKADVADAATPPCEEISVHCAEEPHLPSPIEEKFRKDIDAVLEENLEFWLRFSATYHTVQKLQTTFEELQTEVEKLKDSKTPESTTASGSSSSPLDKRLRELKTELQVWLEQNAMLRGELKQRFSSLCEIQDDIAGVLKESTEGGEVQLTSYQAAKFQGEVLNMQQENNKVSNELQAGLDHVRGLQVEVEKALSKLQESFEISGPRSSHGNHHQFPPMRNFSSKTKIPLRSFLYGVKPKRPSIFSCMSPALQKQYSHMRAGFPT >DRNTG_15513.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20791573:20797734:1 gene:DRNTG_15513 transcript:DRNTG_15513.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPLGDPLYKVKSELWKKYSLPTVNNAPGFSSSGNFFTLKKVKTGSKKGKGIPQALRALSRVLSATSNEELKAMETEAAENDGRLARRTLKDMSKEILAHHILLAQLERAVHSHVAAITALSSAQTYNDHSRFTYRRQMARDVLEGELCVLRSACDWIKNYCLRLLE >DRNTG_15513.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20798687:20801519:1 gene:DRNTG_15513 transcript:DRNTG_15513.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKMKSMLKIIDEDADSFAKRAEMYYKKRPELMNYVEETYKAYKALAERYDRISGELHKANHTIATAFPDQVQFAIQDEDDDGLPKAITSIDSSKIHKAPAEIPQISSRNKTRQEDQQTDIKKLKHRRVTSQISKEKAQEEIDRLQKAILVLQTQKEFIKSSYESGFTKYLDIEREISEMQEEVCFLQDHFSTSSVIDDNEARALMAAAALKSCEDTLVNLQEQQNRTAQEAWVESERIKKAELRLKALSGQPSSQPSQMENRKDFSEKHEHVCVLKPERLELQSFCDKVKQHFEMNSEASVVDLAEKIDELVEKVISLELTISSQNVEIRKLRSETDELHKHLQGLEDEKAAPTNDANILSARLKQAEEKLQMIQHLEKCVKDEKNILTTHFTEACHSLNHLSEKLLSPNCQQDQVKNEVAIIPNHKGKEANVDVESCTHSKFESQPENTSEKEVPCIQDIQEKEKIGSKVLGDSSGLKVLKEKSLSEADDNVSLSDEPDDQELQQLLLNGVEGREKIFAQYTSILRNYKEMKKKLSEVEKKNQDSLAEIIAQLRELKSSNAMKDEEIRLLRENSHENNDPSLMKTGNPFLNKLESFSRFSKLTALSSKRRNIETPEDQNAESKADVADAATPPCEEISVHCAEEPHLPSPIEEKFRKDIDAVLEENLEFWLRFSATYHTVQKLQTTFEELQTEVEKLKDSKTPESTTASGSSSSPLDKRLRELKTELQVWLEQNAMLRGELKQRFSSLCEIQDDIAGVLKESTEGGEVQLTSYQAAKFQGEVLNMQQENNKVSNELQAGLDHVRGLQVEVEKALSKLQESFEISGPRSSHGNHHQFPPMRNFSSKTKIPLRSFLYGVKPKRPSIFSCMSPALQKQYSHMRAGFPT >DRNTG_14375.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:419661:422823:1 gene:DRNTG_14375 transcript:DRNTG_14375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPTSTRFKALYVTLLGKRSTIPSSPPFPARNSTGPSSSKSPTLALRPSSPFIKSTPNSPSHLLHFTSSNSSPNFSANTDCIRTSYLRESPILVLARSSSETLTSASGSHFSFAAIAFTTSCRKPRAEEEKREGWRVSSERLNWSKDWDAETKRTPLKGEKKKKKKRTRTKLISELCLMLW >DRNTG_14375.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:419661:422823:1 gene:DRNTG_14375 transcript:DRNTG_14375.2 gene_biotype:protein_coding transcript_biotype:protein_coding LGKRSTIPSSPPFPARNSTGPSSSKSPTLALRPSSPFIKSTPNSPSHLLHFTSSNSSPNFSANTDCIRTSYLRESPILVLARSSSETLTSASGSHFSFAAIAFTTSCRKPRAEEEKREGWRVSSERLNWSKDWDAETKRTPLKGEKKKKKKRTRTKLISELCLMLW >DRNTG_01798.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19747289:19749352:-1 gene:DRNTG_01798 transcript:DRNTG_01798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSLEFRNIPLIIKKKKKKKKEEEEAEAEEEGETKENEVISQYWRLYSV >DRNTG_11535.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21332955:21336407:1 gene:DRNTG_11535 transcript:DRNTG_11535.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAAAAAAAKHLLLLLLISIAPLALADQLSPKECEDLGFTGLALCSDCNTFAEYVKNDHELVSDCRKCCTDDSDDSMSKVTFSGAILEICMRKLVFYPEIVGFIEEDKDEFPSVKVQYVYGSPPKLLMLGDDGFQNESIRIDNWKRENIRRFLKEKVKPDLSAN >DRNTG_19903.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18298775:18301148:1 gene:DRNTG_19903 transcript:DRNTG_19903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKNRRLAAKEDQNEEGIPKSLSQMKF >DRNTG_19903.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18300082:18301148:1 gene:DRNTG_19903 transcript:DRNTG_19903.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKNRRLAAKEDQNEEGIPKSLSQMKF >DRNTG_07765.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1006573:1007343:1 gene:DRNTG_07765 transcript:DRNTG_07765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIEAQDMMTVMTVESFSQLPFLRPAPPREKQNSMISKPPLRLFFGFEVPQEPNTHQSYDHASHNTICKKFNIQSESAGTTAGDSGKRFSCRYCSRNFPTSQALGGHQNAHKRERQHAKRLQLQSMSSNLHHGPPSIVEGQVISFHSLSSFPSFSHNQYPINGGLRTVLQPINGNPVPCLWRAYGDGGVGISNPLFGGGEDCYNVGGFSDTLINGSSSSTTTTSSSSSSSSQRYHDHIHESSLLPKDNVSLDLHL >DRNTG_05762.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3429483:3437968:1 gene:DRNTG_05762 transcript:DRNTG_05762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQECDYGLIEGQALADSKGNEKSPKFLAILVSGDSTVDTGSNRHLLMLFYSNHHPYGRDFSSHTASGRFSNDCLVPDLLSSALGLNDHLPPFLGPDLTTNDL >DRNTG_01067.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8227515:8249614:-1 gene:DRNTG_01067 transcript:DRNTG_01067.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G13490) UniProtKB/Swiss-Prot;Acc:Q9LJE2] MDTLRAWRASYQLFGLAVRSATIRRARIGVRFCSSSSSAAAATTGPSDVHTTTPTDRRRRSAASTSDKDSVRAIRLKKVEELRTKGYEPYAYKWERSHSAKQLQDIYCHLKDGEECKDQLVSIAGRIIARRAFGKLAFLTLRDESGTIQLYCEKEVLAEDQFDQLKKFIDIGDIVGASGSIKKTEKGELSVYVKFFKILTKSLLPLPDKYHGLTDVDKRYRQRYVDMIANPDVVDVFRVRAKIVSEIRKTMESLGFIEVETPVLQGEAGGAEARPFITYHNSLGRDLYLRIATELHLKRMLVGGLERVFEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYQSMMNLAEEIITQCSLVVHGKLKIDYQGIEICLERPWRRETMHDLVKDATGIDFNQFGDDVKSAVEAARQLLGSSTEADDHVLVQTCPSVGHVLNEVFETLVEPTLVQPTFVLDYPIEISPLAKPHRRYAGLTERFELFICGREIGNAFSELTDPVDQRSRFEEQIKQHDKKRAPYFLFAVCQ >DRNTG_01067.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8227515:8249614:-1 gene:DRNTG_01067 transcript:DRNTG_01067.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G13490) UniProtKB/Swiss-Prot;Acc:Q9LJE2] MDTLRAWRASYQLFGLAVRSATIRRARIGVRFCSSSSSAAAATTGPSDVHTTTPTDRRRRSAASTSDKDSVRAIRLKKVEELRTKGYEPYAYKWERSHSAKQLQDIYCHLKDGEECKDQLVSIAGRIIARRAFGKLAFLTLRDESGTIQLYCEKEVLAEDQFDQLKKFIDIGDIVGASGSIKKTEKGELSVYVKFFKILTKSLLPLPDKYHGLTDVDKRYRQRYVDMIANPDVVDVFRVRAKIVSEIRKTMESLGFIEVETPVLQGEAGGAEARPFITYHNSLGRDLYLRIATELHLKRMLVGGLERVFEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYQSMMNLAEEIITQCSLVVHGKLKIDYQGIEICLERPWRRETMHDLVKDATGIDFNQFGDDVKSAVEAARQLLGSSTEADDHVLVQTCPSVGHVLNEVFETLVEPTLVQPTFVLDYPIEISPLAKPHRRYAGLTERFELFICGREIGNAFSELTDPVDQRSRFEEQIKQHDKKRAPYFLFAVCQ >DRNTG_01067.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8227515:8249614:-1 gene:DRNTG_01067 transcript:DRNTG_01067.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G13490) UniProtKB/Swiss-Prot;Acc:Q9LJE2] MDTLRAWRASYQLFGLAVRSATIRRARIGVRFCSSSSSAAAATTGPSDVHTTTPTDRRRRSAASTSDKDSVRAIRLKKVEELRTKGYEPYAYKWERSHSAKQLQDIYCHLKDGEECKDQLVSIAGRIIARRAFGKLAFLTLRDESGTIQLYCEKEVLAEDQFDQLKKFIDIGDIVGASGSIKKTEKGELSVYVKFFKILTKSLLPLPDKYHGLTDVDKRYRQRYVDMIANPDVVDVFRVRAKIVSEIRKTMESLGFIEVETPVLQGEAGGAEARPFITYHNSLGRDLYLRIATELHLKRMLVGGLERVFEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYQSMMNLAEEIITQCSLVVHGKLKIDYQGIEICLERPWRRETMHDLVKDATGIDFNQFGDDVKSAVEAARQLLGSSTEADDHVLVQTCPSVGHVLNEVFETLVEPTLVQPTFVLDYPIEISPLAKPHRRYAGLTERFELFICGREIGNAFSELTDPVDQRSRFEEQIKQHDKKRASLDSRSKSIEDKGEVDDYSYEVSLDEDFITSLEYGMPPASGMGLGIDRIVMLLTNSASIRDVIAFPVLKVQH >DRNTG_22281.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21851662:21855018:-1 gene:DRNTG_22281 transcript:DRNTG_22281.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITFTSIIILLVTWKTDFTPAPEPEKEVTISSMADRKRGTTRTGRSIL >DRNTG_22281.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21851662:21855269:-1 gene:DRNTG_22281 transcript:DRNTG_22281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITFTSIIILLVTWKTDFTPAPEPEKEVTISSMADRKRGTTRTGRSIL >DRNTG_30207.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:15023:17528:1 gene:DRNTG_30207 transcript:DRNTG_30207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINGSRGPPLSQLSSLQLQMGSMSGKSIHEFEDESLASPPLALPRKGLPMDGQHYGIVGQEWNFENLKSRLIKNLKIVIFTEKINILMPLGPAAIIVNHLTAHHGWVFLLSMLGIIPLAERLGFATEQLVFFTGPTVGGLLNATFGNATELIISIFALENGMIRIVQQSLLGSILSNMLLVLGCAFFSGGLVFYNKEQVFDKSAAVMNSGLLLMAVMGLLSPAVLYYTHTELHFGKSELALSRFTSCIMLVVYASYIFYQLKIQKGNHDHQNEAVGQNVESEDDEAPEISKMEAIIWLAFFTGWIAVLSEYLVQAIEVSV >DRNTG_30207.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:15023:16266:1 gene:DRNTG_30207 transcript:DRNTG_30207.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSMQMHEKTQPLPLPLILLSCNH >DRNTG_07682.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23246720:23251505:1 gene:DRNTG_07682 transcript:DRNTG_07682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLCFVLDLRSLLPPILRDLKEALVQLGNLYVASCGRGERERSMSGRPILLDRMGLCYIRGSKTPSSSPELKIVYRPAEIFNLRDFHHAVNSLPLDCFLPDLVDSIAMASENQELTLASLLSDKALYSWGGDSVSKKVIVVGSIAFKNNEALHESLMHAADRCVAVEFVLLDHEETNAPHIMLEKLKEFTCSINDLENCVLRKYLPDALILGGLVRRWLQELRNDTEEPLQAIFSFKDYLVGSRNQIICNLFSSTNQIIDGFKPCQVCRCHGHPLDGYVSNRTKSSCCPVTRNELGPSDLVENAVRVGEETILFLPSFDFSTNLRQISAPIIFNVVERTSLTSLNEGVTVGTSFIVTPVAPHEMEAVSDDCAESELNIQSFHVLCGALFHLDQGLVCSSTCNTETMKDGTLQSFYILQPSDRGPMLLRRLAGSEEVLPLPEFSQLKDVVIPEEIANSIQASLSKIDQRDYNPLQHDRGLHSGLNRLVKESLQFGSIHYPLQVETSPRAEHPSLNKGPLTRQSLIGQGSVPEIHPNQNKEENASTCFTEEWEELLVIDEMNDNFSPSSLSKPKVQNCTMQVQTKPLDDKTWRILERLEAPKQQKPDANSPLISGNLISGPIKKPLLPLKSKSSPPLKPNFQRPKRKQR >DRNTG_01782.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10679784:10681032:1 gene:DRNTG_01782 transcript:DRNTG_01782.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLVFRPYTQVRRTICTSVSLRASTRVSSGFAPLRHSSPSFGSRQACSNSNPSQKIGVGRRCTPRGGSRPSASLRLPGFRARRLARMSDSRAAGGHAEGLARAPSTAAPAASPRAGSSARASAAGAARIGPRPEPSGGPARRRSRSGRAASPAPIRFPPGNFKHSLTLFSKSFSSFPRGICSPSVSRPYSAL >DRNTG_01782.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10678528:10681032:1 gene:DRNTG_01782 transcript:DRNTG_01782.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLVFRPYTQVRRTICTSVSLRASTRVSSGFAPLRHSSPSFGSRQACSNSNPSQKIGVGRRCTPRGGSRPSASLRLPGFRARRLARMSDSLVRVSRRVGWGARGPVPGARPCRGWARRGAGARPVHGRAGGVSSGGVIRPGFGRRRGPHRSAARAERRTGSSPLTIRPGRIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSL >DRNTG_01782.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10679784:10681032:1 gene:DRNTG_01782 transcript:DRNTG_01782.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLVFRPYTQVRRTICTSVSLRASTRVSSGFAPLRHSSPSFGSRQACSNSNPSQKIGVGRRCTPRGGSRPSASLRLPGFRARRLARMSDSLVRVSRRVGWGARGPVPGARPCRGWARRGAGARPVHGRAGGVSSGGVIRPGFGRRRGPHRSAARAERRTGSSPLTIRPGRIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSL >DRNTG_01782.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10678528:10681032:1 gene:DRNTG_01782 transcript:DRNTG_01782.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLVFRPYTQVRRTICTSVSLRASTRVSSGFAPLRHSSPSFGSRQACSNSNPSQKIGVGRRCTPRGGSRPSASLRLPGFRARRLARMSDSLVRVSRRVGWGARGPVPGARPCRGWARRGAGARPVHGRAGGVSSGGVIRPGFGRRRGPHRSAARAERRTGSSPLTIRPGRIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSL >DRNTG_01782.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10678528:10681032:1 gene:DRNTG_01782 transcript:DRNTG_01782.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLVFRPYTQVRRTICTSVSLRASTRVSSGFAPLRHSSPSFGSRQACSNSNPSQKIGVGRRCTPRGGSRPSASLRLPGFRARRLARMSDSLVRVSRRVGWGARGPVPGARPCRGWARRGAGARPVHGRAGGVSSGGVIRPGFGRRRGPHRSAARAERRTGSSPLTIRPGRIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSL >DRNTG_01782.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10679784:10681032:1 gene:DRNTG_01782 transcript:DRNTG_01782.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLVFRPYTQVRRTICTSVSLRASTRVSSGFAPLRHSSPSFGSRQACSNSNPSQKIGVGRRCTPRGGSRPSASLRLPGFRARRLARMSDSLVRVSRRVGWGARGPVPGARPCRGWARRGAGARPVHGRAGGVSSGGVIRPGFGRRRGPHRSAARAERRTGSSPLTIRPGRIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSL >DRNTG_01782.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10678528:10681032:1 gene:DRNTG_01782 transcript:DRNTG_01782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLVFRPYTQVRRTICTSVSLRASTRVSSGFAPLRHSSPSFGSRQACSNSNPSQKIGVGRRCTPRGGSRPSASLRLPGFRARRLARMSDSLVRVSRRVGWGARGPVPGARPCRGWARRGAGARPVHGRAGGVSSGGVIRPGFGRRRGPHRSAARAERRTGSSPLTIRPGRIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSL >DRNTG_12723.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000563.1:48661:55737:-1 gene:DRNTG_12723 transcript:DRNTG_12723.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLRGSLPLLRRSISSKIPSSPRPHLPLPPPPLLSRSISNPNPTAGRIPNPFLSCRRFYNLDRLQLQEWYHNNHAIILDPLVVFLGVILGGGGIIYYRYFETVPISKFSRLVLLSPSTERELSEIEFQEFKKGIEGRILPANHPDSIRVRRISENIIEAIQPCLQHDNSFLADLWYAFVILAAEKFKVTRKKAAEAAAAEAENWEVFVVSDKTFYAFCLPCGKIVVSTGVLDHLRTDDEI >DRNTG_12723.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000563.1:48661:55737:-1 gene:DRNTG_12723 transcript:DRNTG_12723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLRRSLPLLRRSISSKIPSSPRPHLPLPPPPPLLSRSISNPNPTAGRIPNPFLSCRRFYNLDRLQLQEWYHNNHAIILDPLVVFLGVILGGGGIIYYRYFETVPISKFSRLVLLSPSTERELSEIEFQEFKKGIEGRILPANHPDSIRVRRISENIIEAIQPCLQHDNSFLADLWYAFVILAAEKFKVTRKKAAEAAAAEAENWEVFVVSDKTFYAFCLPCGKIVVSTGVLDHLRTDDEI >DRNTG_24814.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31829060:31831003:-1 gene:DRNTG_24814 transcript:DRNTG_24814.3 gene_biotype:protein_coding transcript_biotype:protein_coding YRYIDKSRQYDPQTPPDPRLDLLLQPKRKQDPRTATMTTKETSSSGVEVFLKASSQGEHIHTLPEF >DRNTG_24814.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31829060:31831003:-1 gene:DRNTG_24814 transcript:DRNTG_24814.2 gene_biotype:protein_coding transcript_biotype:protein_coding YRYIDKSRQYDPQTPPDPRLDLLQAPSYKVGFIKSHELKLATKNLQPKRKQDPRTATMTTKETSSSGVEVFLKASSQGEHIHTLPEF >DRNTG_24814.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31828957:31831003:-1 gene:DRNTG_24814 transcript:DRNTG_24814.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNNNYCQKYGIHSARAYQAPSYKVGFIKSHELKLATKNLQPKRKQDPRTATMTTKETSSSGVEVFLKASSQGEHIHTLPEF >DRNTG_24814.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31829060:31831003:-1 gene:DRNTG_24814 transcript:DRNTG_24814.4 gene_biotype:protein_coding transcript_biotype:protein_coding RYYRNHVHTQNLLLLTGRARNGDVQAPSYKVGFIKSHELKLATKNLQPKRKQDPRTATMTTKETSSSGVEVFLKASSQGEHIHTLPEF >DRNTG_35416.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002243.1:82836:84741:1 gene:DRNTG_35416 transcript:DRNTG_35416.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCQMTVEITKLPSMSGESSPAVRNDENALKDLGNRARLDG >DRNTG_35416.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002243.1:79436:84741:1 gene:DRNTG_35416 transcript:DRNTG_35416.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHGVVPSVRSRNDLLVALVRSNLVATAREVYRVIKEKGMGFDCHTIHIMMHACLKDGKPDEALVFFGHLKDIGLEPDLCVYGTAIQSVCRKPDCSRACELLNEMKGRGLAPTEFMYTCVIGACVKQGNLEEALKLKDELVASGCPINLVLATSLMKGHCAQGDLCNAIDLYNGLIEKGIVPNSITYSVLLDGCHKNGNLEKAFELYCQMKQTAFAPNVFQVNLILQCSLKNNKWKEALGVFNEAVSSGVANVFTYNILMHWFLQVGRVKEARELWTKMEDQGVEPNIVSYNNLLFVHCKCGDMESAAHLFGLMSEKCIKPNVITYTILADGYLKKEDFDQAFNLLSTMCSLGIYCNDYTFNAVIYGLSKAGQMSEVTSMMHKFIKVGFIPSCMTYNSIIHGFIKEGKMNSAVEIYHEMVRDGISPDVVTFTNFIDGFCKQKSTDLALKILSKMKRLGIQMDIASYNALIVGLCSEGNMKSALSLFDELPKFGLEPNVVIFNSLIAGYKNMNNMEAAIELHRRMCVQGIPCDIATYTTLIDGSLKIGDLVFASELYSEMLEKGIVPDDIAFTALINGLCNNGDLENARKILNEVDQRVLRPSVLIYNMLITGYIREGDLQEAFQLHDEMLDRGLMPNDATYDIFVNMKHGCSQSRPTT >DRNTG_35416.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002243.1:82836:84741:1 gene:DRNTG_35416 transcript:DRNTG_35416.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGCQMTVEITKLPSMSGESSPAVRNDENALKDLGNRARLDG >DRNTG_35416.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002243.1:79436:84741:1 gene:DRNTG_35416 transcript:DRNTG_35416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHGVVPSVRSRNDLLVALVRSNLVATAREVYRVIKEKGMGFDCHTIHIMMHACLKDGKPDEALVFFGHLKDIGLEPDLCVYGTAIQSVCRKPDCSRACELLNEMKGRGLAPTEFMYTCVIGACVKQGNLEEALKLKDELVASGCPINLVLATSLMKGHCAQGDLCNAIDLYNGLIEKGIVPNSITYSVLLDGCHKNGNLEKAFELYCQMKQTAFAPNVFQVNLILQCSLKNNKWKEALGVFNEAVSSGVANVFTYNILMHWFLQVGRVKEARELWTKMEDQGVEPNIVSYNNLLFVHCKCGDMESAAHLFGLMSEKCIKPNVITYTILADGYLKKEDFDQAFNLLSTMCSLGIYCNDYTFNAVIYGLSKAGQMSEVTSMMHKFIKVGFIPSCMTYNSIIHGFIKEGKMNSAVEIYHEMVRDGISPDVVTFTNFIDGFCKQKSTDLALKILSKMKRLGIQMDIASYNALIVGLCSEGNMKSALSLFDELPKFGLEPNVVIFNSLIAGYKNMNNMEAAIELHRRMCVQGIPCDIATYTTLIDGSLKIGDLVFASELYSEMLEKGIVPDDIAFTALINGLCNNGDLENARKILNEVDQRVLRPSVLIYNMLITGYIREGDLQEAFQLHDEMLDRGLMPNDATYDIFVNMKHGCSQSRPTT >DRNTG_09272.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21647122:21649291:-1 gene:DRNTG_09272 transcript:DRNTG_09272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRFSAEGTFLGVVNSGCVRVRAYIRHAVLDGSAPFEKTNGMALDEDKDPHNNEMLNKAMFNHTTILMKKMLENYKGFKSINMLEDVAGGHGDNLSIILSKYPRIKVNNFDFLPHVVTQAKHLQGVEFQGGEMFGSIPGDDVIFMKLKIVDDKTYNDMALVMMWPSEKT >DRNTG_28178.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20704921:20706161:-1 gene:DRNTG_28178 transcript:DRNTG_28178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVYLSPLMAKVHPTSSSSSSSSLYSPLMSSERQVFTIWMKSLVLNGYGCTIYDSKGLVVYRMDNYDSKSSHEVFFMNSSGKTLFKILKKNVGVFGQWRGYRSGSGEEGMEVEEKKPWFTAKKVLRIVKRDGKSWGVTVMVGSGNDGDEHCCYKIHGSYHNKGYKITNFVGDLIAEVKRKETEKGVVLGEDVLNLVIEPNSDQMLIMGLVVVCGLTNHSL >DRNTG_16308.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4408301:4409155:1 gene:DRNTG_16308 transcript:DRNTG_16308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLIPSLLHIEKLGHQGIWKIEEIERTHHKSEHWSTPTSQNCQGTAHELQ >DRNTG_16962.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20985677:20987369:1 gene:DRNTG_16962 transcript:DRNTG_16962.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHSGRKSYHLESVKAALAAALERATLEDSTVVVLFTAGRENRKSENPHGRVKDPQARVGASPFPSDVIDSTSVPRVLYGHNRVNGLRDDPPLGLSCECNRVKR >DRNTG_17569.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000902.1:7639:11911:1 gene:DRNTG_17569 transcript:DRNTG_17569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSRLSRLGARITGEACRGKFSNTQRGYYEGTLNNYLYYLRNRTLSTTSSDNAQEGCEQQTEKISVTFVDKDGEEKLIKVPVGMSMLEAAHENDIELEGDYFFMLHR >DRNTG_33431.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2919264:2923981:1 gene:DRNTG_33431 transcript:DRNTG_33431.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRAVKKASGVSTATKRTASRTARGGPKAQVCAEDVKEEVSIPVVEFQEEMKAGEALASVVETKENIREEEFAEEKLEALESMANGPDKNDAKDAYEGDDKGERLELDDNDPEYEETAVDYDEKEMEDDDNAQVEGVEVEEYVDGDDAVEEEVIEMAEEIEDGGEDVEGEEYEEHYEEEHEHYEDLEEHQEVVKERRKRKEFEVFVGGLDKDAKESDLMKVFSSVGEIVEIRLMMNHLTNRNKGFAFLRYATVEQAKRAVLELKNPVVNGKQCGVAPSKDSDTLFLGNICKTWTKEHLKETLRSYGIENFVDLNLSEDSNDEGMNRGFAFLEFSSRRDAIDAYRHLQKRDVMLGVDRPAKISLADSFIQPDDEVMAQVKTVFVDGIPASWDEDRVKGYLKEFGEIEKIELARNMPNAKRKDFGFVTFDTHDSAVRCADGINNQELGEGNSKVKVRARLSRPLQRGRGKPGSRGDFRSSRGPLRGSTRSSWSRPPPRRIPGGVPRQLGGRAVPAGGYGSRRTIDFRERRPVVAPPERARRLPPPVRSYERRPPPPEFPKSSSKREYSRHDELASRSRVAAAAEYGSRILTERRSSSYRDEFSSRGSSYSDIVPRSAPRAMERRPYADEVYGRKPEWPIPAYREARSRDYDPISGSKRSYSAMDDAPPRYPDVNMRHSRARIEYGVSGSSAQYEDAYAERLGRSHAGYGGSRSSLCGHESHGLHGSHQGISYGGGSVSGRDVSGMYSSGFSGSYLSRGSDQVGSGSYSSSYSGRNMSGSGYLGGSGSSSYY >DRNTG_33431.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2919264:2923981:1 gene:DRNTG_33431 transcript:DRNTG_33431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRAVKKASGVSTATKRTASRTARGGPKAQVCAEDVKEEVSIPVVEFQEEMKAGEALASVVETKENIREEEFAEEKLEALESMANGPDKNDAKDAYEGDDKGERLELDDNDPEYEETAVDYDEKEMEDDDNAQVEGVEVEEYVDGDDAVEEEVIEMAEEIEDGGEDVEGEEYEEHYEEEHEHYEDLEEHQEVVKERRKRKEFEVFVGGLDKDAKESDLMKVFSSVGEIVEIRLMMNHLTNRNKGFAFLRYATVEQAKRAVLELKNPVVNGKQCGVAPSKDSDTLFLGNICKTWTKEHLKETLRSYGIENFVDLNLSEDSNDEGMNRGFAFLEFSSRRDAIDAYRHLQKRDVMLGVDRPAKISLADSFIQPDDEVMAQVKTVFVDGIPASWDEDRVKGYLKEFGEIEKIELARNMPNAKRKDFGFVTFDTHDSAVRCADGINNQELGEGNSKVKVRARLSRPLQRGRGKPGSRGDFRSSRGPLRGSTRSSWSRPPPRRIPGGVPRQLGGRAVPAGGYGSRRTIDFRERRPVVAPPERARRLPPPVRSYERRPPPPEFPKSSSKREYSRHDELASRSRVAAAAEYGSRILTERRSSSYRDEFSSRGSSYSDIVPRSAPRAMERRPYADEVYGRKPEWPIPAYREARSRDYDPISGSKRSYSAMDDAPPRYPDVNMRHSRARIEYGVSGSSAQYEDAYAERLGRSHAGYGGSRSSLCGHESHGLHGSHQGISYGGGSVSGRDVSGMYSSGFSGSYLSRGSDQVGSGSYSSSYSGRNMSGSGYLGGSGSSSYY >DRNTG_27043.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2593218:2594606:-1 gene:DRNTG_27043 transcript:DRNTG_27043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDVDDIFDDQAKATYSRELLQPHLSPPPSPACSLSFPPQGGNHLGEPSSPSEDEETPPPSQTPPNSTRGDKK >DRNTG_17310.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31884414:31887441:1 gene:DRNTG_17310 transcript:DRNTG_17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDFRTRTAPAYRPAGAGTASTMYPRVGQPGAPPPARAPYHHSTSSSPQSGLGIRVTIKPEYQIRPPPPLAAQMPNIPRSTFQFDFDFERRILAEAEKETQNWGKIAGETQPTKTAPSSMAPAGDPLVDKYVASGLGREAVSLAVLNYGDNPIKVREFVKGYNLLREMGFSSKNVAEALAMYDNDTDKALAHFLNSSS >DRNTG_17359.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32255970:32259588:-1 gene:DRNTG_17359 transcript:DRNTG_17359.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSQKLGALSDRFHADLCLDGHGDKPDSKEFVDLGSPVSPLRNPHAAPTNTSSSSSSSGSSSVSGKAQRNAAVRKVSEGGAAGGRSHSGELSASGESSPTGFPSRPGHRRSGSGPLIYTSSGSTASSPMTNVLPAGNICPSGKIGKPGMMSRTPARNDVLGSGTGNYGHGSIMRGGAPKADSPTASGKPLSSLNHPEALTKAGNEHYKKGEFLDALKLYNRAVTMCPDNAACRYNKATALTGLGRLSEAVKEFEEAVRLDHGHGRAHLRLASLHLRLGQVESARRHLFASSAQQPDSVELQKLREVERHLRRCEESRKSSDWKGALRECDAAIAAGADSSPLLNAMKAEAFLRLHHLKEAESAICSASKYVPFSSNLQTKFVGMLNDAYIYIVWSHVEMALGRFESAVTMAEKAKHIDPRNVEVTVILSNVRSVAKARLEGNDFFKASNFAEACIAYGEGLKYDPSNSVLHCNRAACRSKLGQWEKSIEDCNEALKIQPNYTKALLRRATSYSKLERWVEAVRDYEVLRKELPGDNEVAEALFHAQVALKRSRGEEVSNMKFGGEVEEINGIEQFRAAIALPGVSVVHFVAVSNTQCTKLAPFVDLLCSRYPSVSFLKVDINEIPAVAKAENVMIVPTFKIYKNGTKVKEMICPSQQVLECSVRHYGL >DRNTG_17359.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32255970:32259659:-1 gene:DRNTG_17359 transcript:DRNTG_17359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEAFLRLHHLKEAESAICSASKYVPFSSNLQTKFVGMLNDAYIYIVWSHVEMALGRFESAVTMAEKAKHIDPRNVEVTVILSNVRSVAKARLEGNDFFKASNFAEACIAYGEGLKYDPSNSVLHCNRAACRSKLGQWEKSIEDCNEALKIQPNYTKALLRRATSYSKLERWVEAVRDYEVLRKELPGDNEVAEALFHAQVALKRSRGEEVSNMKFGGEVEEINGIEQFRAAIALPGVSVVHFVAVSNTQCTKLAPFVDLLCSRYPSVSFLKVDINEIPAVAKAENVMIVPTFKIYKNGTKVKEMICPSQQVLECSVRHYGL >DRNTG_09760.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10945830:10946800:-1 gene:DRNTG_09760 transcript:DRNTG_09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLDLWGGAGGEGGNDDDGVQGIKGTDKAPPIDDKRTFAQVVSLESSGESLLSKEVVKRSSKKWRSMEQQGEPRLAGAKLP >DRNTG_23780.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001278.1:45327:52294:-1 gene:DRNTG_23780 transcript:DRNTG_23780.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CONSERVED ONLY IN THE GREEN LINEAGE 160, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31040) UniProtKB/Swiss-Prot;Acc:O82279] MAGLHAGAWCITVRAASAPSVDESQPGPRQTKVVLPKKKPLKWSSGTAPGEYGGPPTTTKLRRYWGGSENEDPVTATGDFIWNKDFRPRMERLLVGKEAPDPIPFKKEQREGFLSLNRAMSLQSLEIDLSKELAPPLKPVLEQQVEAARCGRSATEGLNGAASPRWRLAPTRREQAKWDRATKAATGGSDVILRESRKERGDPKVLAAQSREQYLSLKQRLEILTVGIGGVGLVSAYVSYSPEIAARVTWLTGVHPHAWKYCGFHGRWGKRTCQGGNWTTKASRSSRIGHDV >DRNTG_23780.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001278.1:45327:52294:-1 gene:DRNTG_23780 transcript:DRNTG_23780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CONSERVED ONLY IN THE GREEN LINEAGE 160, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31040) UniProtKB/Swiss-Prot;Acc:O82279] MAGLHAGAWCITVRAASAPSVDESQPGPRQTKVVLPKKKPLKWSSGTAPGEYGGPPTTTKLRRYWGGSENEDPVTATGDFIWNKDFRPRMERLLVGKEAPDPIPFKKEQREGFLSLNRAMSLQSLEIDLSKELAPPLKPVLEQQVEAARCGRSATEGLNGAASPRWRLAPTRREQAKWDRATKAATGGSDVILRESRKERGDPKVLAAQSREQYLSLKQRLEILTVGIGGVGLVSAYVSYSPEIAASFGTGLLGSLVYIRMLGNTVDSMADGAKGLVKGAIGQPRLLVPVALVMMYNRWNEILVPNLGFVHLELIPILVGFFTYKIATFVQAIQESLTVEGKTEA >DRNTG_23780.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001278.1:45327:52294:-1 gene:DRNTG_23780 transcript:DRNTG_23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CONSERVED ONLY IN THE GREEN LINEAGE 160, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31040) UniProtKB/Swiss-Prot;Acc:O82279] MIIVNTHRKVSAPGFSFSHCELLHKLTVIIEACGSLMPHSFRLHFCNKKVGFFFFLIVEKRMLNCYNMYLPTHNKIYEVAWKQRIV >DRNTG_27076.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001379.1:37394:39834:-1 gene:DRNTG_27076 transcript:DRNTG_27076.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAFSEGDIQTLGSNNYTNYNCTGIIHSYEKSEERREKLSRYRRKKSLRNFNRKIKYACRKALADNQPRIRGRFAKTEDR >DRNTG_15484.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20933915:20936507:1 gene:DRNTG_15484 transcript:DRNTG_15484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPARKAQRTGKYGAARRRLSLPDLKTPRPALAYPPLQPLAIARRSFDEWPKAGSDDVGEWHQPPTPGAKPAGSNKPGEGLKVDLPSIHTQCEKDQIAFFDKECSKVADHIYLGGDSVARNREILKENGITHVLNCVGFVCPEYFKSDLVYKTLWLQDSPSEDITSILYDVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWRKGQSFDDAFQFVKAARGIANPNVGFACQLLLCQKRVHAIPLSPNSVLRLYRMAPHSSYDALHLVPKMLNDPSPAALDSRGAFILHVLSSIYVWLGKDCEPVMEKDAKAAAFQVVRYEKVQGSIVTVLEGEEPAAFWEAFSSVPISSDNATNAKKEQIESSTKISVGKRRVESYDADFELFRKAITGGVVPPFPSSGPGQETRLPARESNWSILRRKVVCGAISRVFPDSSLVRDSDPRVSRVQRLSSELLTSPPYLSPSSLSSDSSSSSKCSSESPSISPSTSPSTSLTPSPASSSLPDTSLPATKLFRFSNTFGRSDPCLESGPSPSKGPAKSIAERRGSFSLLKLPTLTRKLANSSHPSVANPQEFSENSGFSYGVNYGDSSPHCGNDYLEPQDVGPLHDTQTISRNTVLNDTCPVSSNTSKFLVYRWPNIESITTFDKKDLDSKALFFFLTSDASRIGELGKMLYMWIGKSFKQADLRIQSNSGKDVDEANAIDWNQVGYDFLSLLGLPQDIPV >DRNTG_11860.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:25220:29704:1 gene:DRNTG_11860 transcript:DRNTG_11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRISFLDFKVIPPWGLEALEFKVIQVEGSGIWRFRCGFSDYTGLRFQLWVLRLLYLFRNRLSA >DRNTG_23986.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30931825:30932688:-1 gene:DRNTG_23986 transcript:DRNTG_23986.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVPSPAIHFPFEDDRDLDDAELWAVIDSAAASLSSRPRKPVLALKNSYSPAPAPSPALSKIPRNHRPQIAPAEDGEVVQREEWMACQRPHKMARVGDHGMSVTDHRMVVVRHQQRSPVVASSSCSSPDMGRFMVKEVSPVVESPPSDYRRGEEQNNRVHCLSGRFPSVSMFKDYQNSAMAVHFFCCFIF >DRNTG_23986.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30929104:30932688:-1 gene:DRNTG_23986 transcript:DRNTG_23986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVPSPAIHFPFEDDRDLDDAELWAVIDSAAASLSSRPRKPVLALKNSYSPAPAPSPALSKIPRNHRPQIAPAEDGEVVQREEWMACQRPHKMARVGDHGMSVTDHRMVVVRHQQRSPVVASSSCSSPDMGRFMVKEVSPVVESPPSDYRRGEEQNNRVHCLSGRFPSVSMFKDYQNSAMAILEKSDYIMISGSPYIKKSGWRKISCFFNISFEIRDKSIEFDENRNVQRAEFVARALMQGGRFSDGWGSCERREKRFLKPNHDIPSTAETRAKNKACQDLLGIGEYRPGAVNNY >DRNTG_23986.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30931461:30932688:-1 gene:DRNTG_23986 transcript:DRNTG_23986.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVPSPAIHFPFEDDRDLDDAELWAVIDSAAASLSSRPRKPVLALKNSYSPAPAPSPALSKIPRNHRPQIAPAEDGEVVQREEWMACQRPHKMARVGDHGMSVTDHRMVVVRHQQRSPVVASSSCSSPDMGRFMVKEVSPVVESPPSDYRRGEEQNNRVHCLSGRFPSVSMFKDYQNSAMAILEKSDYIMISGSPYIKKSGNILEP >DRNTG_03570.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:7252654:7255786:-1 gene:DRNTG_03570 transcript:DRNTG_03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHGHVEFPHDRVDFCILFLEIQLAGDMADEIEELLSVGSCQLMVMATAPVCLVDKSCYIYTLWYKAHRYTWDTSWQSNYDIRDSMPGLASSSMIPTSRGLSWVSAIVYMLITPTREIAEGRGDAAEGSQPAPVSQGFSKVFGVVLQL >DRNTG_21138.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2411635:2429530:1 gene:DRNTG_21138 transcript:DRNTG_21138.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tripeptidyl-peptidase 2 [Source:Projected from Arabidopsis thaliana (AT4G20850) UniProtKB/Swiss-Prot;Acc:F4JVN6] MPSSSPSSAASTATALPEEKVKRTKSQFHHNEASFLASLMPKKEIGVGRLLEAHPEYDGRGALIAIFDSGVDPAASGLQVTSDGKPKILDVLDCTGSGDVDTSKVVKADADGYIVGASGARLLVNPSWKNPSQEWHVGCKLIYDLFTSTLTSRLKKERKKKWDESNQGAISEALKELNDFDKKHSKTEDLKLKKAREDLQNRLDFLRKEAENYDDRGPVIDIVVWNDGDAWRVAVDTQGLEDGSGNGKLADFVPLTNYRNERKYGIFSKLDACSFVTNVYDEGNLVSIVTDCSPHGTHVAGIAAAFHPEEPLLNGVAPGAQLISCKIGDTRLGSMETGTGLVRALIAAVDHKCDLINMSYGEYTLVPDYGRFVDLVNEVVDKHRLIFISSAGNNGPALSTMGSPGGTSSSIIGIGAYVSPDMAAGPHSLVEPPPEGLEYTWSSRGPTVDGHLGVCISAPGGAVAPVPTWTLQQRMLMNGTSMSSPSACGGVALLVSGMKAEGIPVSAYTVRRALENTSLSIGDTPEDKLSTGQGLMQVDRALEYIMQSKNFPCVNYRVVVNPAGLSSPTARGIYLRNACACQQTSEWTVTVDPKFHDDANKLEQLFPFEECIELHSSDKSVIRTPEFLLLTYNGRNFNLVVDPTNLKHGMHYHEVYGIDSKAPWRGPLFRVPITIIKPVASIGQPPTSSFSNISFVPGHIERIFVEVPVGATWAEATMKASGFDTSRRFSIDTLQICPLKRPIRWKGAALFSSPSLKSFSFPVKGGLTMELAISQFWSSGVGSHEATVVDFEVVFHGINIEQGAVVLDGSEAPKRLLVKSLLASEKLVPMANLNKVKIPYRPVDSKLICLPTNRDKLPSGKQIFSLTLIYKFKLEEGAEIKPRLPLLNNRIYDNKFESQLYAISDVNKRVYSVGDAYPKYVKLPKGEYTLHLCIRHENVQILEKMKHLVLFIERKLDKKDHIQLNFFSGPDGSIMGNGSYKSSTLVCGGSEAFYVSPPSKDKLPKNAPTGSTLTGSISYGSLSLGTKNDNQNQRSPVTYPISFIIPPPKIEEDKGKDNSNASKSISERLEEEIRDTKLKILSSLKRGTEEEKTAWKEFSGCLKDEYPNYTPLLAKILDAVVSGGAEDDKISYKQEIVNAANEVINSIDKDELLKFFSVKPDPEDEEAEKIRKKMESTRDYLTEALYRKGLALADIEFSKVDQPAKETTSADDEKQGEESITVASDQSDSFEENFKEIKKWVDVKSSKYGMLVVVRERHCKRLGTALKILNDMIQDESEQGKKKLYDLKIELLDEIGWSHLSAYEKRWSHVRFPTCLQPL >DRNTG_07398.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7408660:7411416:1 gene:DRNTG_07398 transcript:DRNTG_07398.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGIECVSFKYVFDQMDSLLKEEAHLLGQLRSSLQDLRGELDGMRSFLRDVDAVGESHVMRTVAGQVKELIYDTEDLLDESRHHVRHTHRHGFVGWLQKNLYNIKHLPAQHQIAIKIQDIKTQLQSIMLRRERYAINLTDEGSSSRGGKGRLHNRHVAPRFLKDTELVGIDRPREKLVDFLVNGEQKLTVISLVGMGGVGKTTLARKVYDDERVKGCFHCHAWIPVTPTFTIEELFKSIISRFHENKSEPISRRIDAMERDQLAEMIFEYLKDKRYVIVFDDVWHINAWNEIKHALPDDNCGSRLIVTTRIRDSSGESYGHVYDLQPLTQSEAWLLFCKKTFHSIPEGVCPSGIEGISKDIVELCGGLPLAIVAIGGLLSNKEKTPIEWKKMQDNLNTELSRNENLEHIKIILSLSYNDLPEVLKYCFLYFSLFPRNYPVTCITLIRLWIAEGFIEGESGKTMEEVAEGYLNNLIDRSMVQVAESYDYSRMRSCRVHDMIHEIILLKSEEENFSKSLIKQKPGMCKTIRRLSIYNTQVDVLQDMSFSHLRALFLSGPMSKTCMHKFFSSFKSLRVLSLAGAPIKTFPAEIGDLLQLRYLSMRNTKINKLSKSLGRLKYLETLDLKGTYVSALPLKILKLQHLRHLLAYHYNTGRDPPFYYTYGVKLPKGIGILKNLQKLSYLEVNNDSAILKELGNLVQLKRLGIVKLREKDGSSLCASIEKMEQLSSFSVTSIDIHEHLDLKHLKSVPLFLQRLYLRGCLQSLPHWISSLQSLVRMRLRGSRLHEDSLEVLQHLPNLAELALIQAFDGAEICCGQQGFPKLQILDLEHLDNLDRVLIVDGAMPNLRKMIIRNCEKLQRVPIGIRQLINLKELLLIEMPEVFLRRLYKDGGTERSEIDHIQKIQCYDNGKLIEELS >DRNTG_17401.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7967394:7969431:1 gene:DRNTG_17401 transcript:DRNTG_17401.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSETSFERGLTSSWSETVHISRV >DRNTG_17401.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7967049:7969431:1 gene:DRNTG_17401 transcript:DRNTG_17401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPENDRRVSNGERQSERRSNSLDSSVE >DRNTG_32732.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001904.1:16993:18529:-1 gene:DRNTG_32732 transcript:DRNTG_32732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQQPRLDRRYSVCDRVSFGRRPSDYDSTYRWGGSSDDDNISADSPSTAAFSPMSYGFGSSLTTEGTDVSGRSRYCLVASKQMVGIFLTVWVRSEIRDDIKNMKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICSHLTSGQKEGDELRRNSDVFEILRKTRFPRVHGICDEKSPETILEHDRIIWLGDLNYRIALSYRSVKALVEMRNWRALLEKDQLRIEQRSGRVFRGWSEGRIYFPPTYKYSNNSDRYTGDEMHPKEKRRTPAWCDRILWYGNGLNQLSYVR >DRNTG_32732.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001904.1:16993:18529:-1 gene:DRNTG_32732 transcript:DRNTG_32732.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQQPRLDRRYSVCDRVSFGRRPSDYDSTYRWGGSSDDDNISADSPSTAAFSPMSYGFGSSLTTEGTDVSGRSRYCLVASKQMVGIFLTVWVRSEIRDDIKNMKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICSHLTSGQKEGDELRRNSDVFEILRKTRFPRVHGICDEKSPETILEHDRIIWLGDLNYRIALSYRSVKALVEMRNWRALLEKDQLRIEQRSGRVFRGWSEGRIYFPPTYKYSNNSDRYTGDEMHPKEKRRTPAW >DRNTG_26417.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23902691:23905243:1 gene:DRNTG_26417 transcript:DRNTG_26417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENLNDGVFWLPADFLDDDIFAKGETIGTSVACFSNGVGVDLNSPTETLTETESDEEDYMAGLTRQMASSFLDADEDTVAFPGSIPKVLTGSPQSTLCAMEGWSSSSGGSPNGPSQVSSPPSTPFEQAHSGSSDLLYVAAGQVMRMRIEEQEKQVKYQGRGLLETPKKPSETTPTCNIRPPAYFANNIPMPTLQQLEFERLKQEQLIKQQIAAAWVKPNRGRRYDHTLGLPSSAWPPLQPQRQQQQQQQQPPPASAGAGMRAIFLNGSGSRKPSGGTGVFLPRRAGNTNEARKKPACSTVLLPAKVVHALNLNLDGLNANIVDHNVMDGTRPGKRNYNHRSQQPPQWAY >DRNTG_20766.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6312584:6312898:-1 gene:DRNTG_20766 transcript:DRNTG_20766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDIYIPRDERFGHLKMSDFLAYGIKALVKSVFPVLNSIFDKTPNEFDSFQDVLNLYEGGLKLPQIDLINQLKEQIPFELIKEIITIDGDALLKFP >DRNTG_10126.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30497017:30498855:1 gene:DRNTG_10126 transcript:DRNTG_10126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVGGDAELELVDYLDPLSEGVVFSVRPPKKSWKNIANLSGGEKLEE >DRNTG_00774.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:12825572:12826665:1 gene:DRNTG_00774 transcript:DRNTG_00774.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSPQSRMVPFKFSPSYPTSPPKTSPLRPPRNVANRIANADKDFSFKTPHEQATFEIARQIWSSALKRSREISDD >DRNTG_01097.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1413672:1414912:1 gene:DRNTG_01097 transcript:DRNTG_01097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEFGIIVTEANVNNHLRTIRKRWARIKKLKELSGMGWDNRLKMIIMGESEFKNYIKIHPQDEPYLNKPIEDHDLLEIVCGNDQATGRCAVQFGDDIGTHMDDSVEYRRPSQNDSLDDMFEDTNYHVNISLPTHNQSENTENRGESSTQLKKGKGKRKIPSEVEAIQEMNNTIKEALVTKKSTRNLEFAKELIGECMKLKVYGYSGRQINKAYDWLMADDSRAMAFLAKDEELRQYWAEEFFESIHNQQEYFRSPI >DRNTG_04064.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18785329:18788786:-1 gene:DRNTG_04064 transcript:DRNTG_04064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGSASEEDVSDRQSDRCGSYSPSADVSESESSVEFRPVSGVGTSSSFASSPLLAKARPQAPPMIPGADLVFWEGKPERREPDFAEVEMMKERFSKLLLGEDMSGGGKGVCTALAISNAITNLSATVFGELWRLEPLAPQRKSMWQREMEWLLCVSDYIVELIPSLQEFPGGGTFEVMVSRPRSDLYMNLPALKKLDAMLLAMLDGFRNTEFWYVDRGILVAEADEDSGESCPSSSFGRPSFRQEEKWWLPCPRVPTNGLSEDSRKRLQQCRDCANQILKAAMAINSGVLAEMEIPDVYLETLPKSGKACLGEITYRNMTAEQFSPECIMDCLDLSSEHLTLEIANRIEAAIHIWRLKDRKRHPNQSKTKKSSWSGKVKGLVSPVERNQFLAQRAECLLQSLRLRYPGLPQTVLDMNKIQYNKDVGQSILESYSRVMESLAFNIIARIDDLIYVDDATRKTAATDSVSLFNPGRLGGLPIQKRISPSPFSIHNTPYASPFATPTYCSSTPVIHSPGRTQSSRSKGGLLGQKDGKFGKLAAGDIERVWSYTGNLSARKDAGDAPERD >DRNTG_21207.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001209.1:52064:54022:-1 gene:DRNTG_21207 transcript:DRNTG_21207.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIVGMHAHAAAHRHSHAHGHRACDGSDVAQHTHSHGHAHEDESEVPSHVRHVVVSQILELGIVSHSVIIGLSLGVSRSPCTIRPLVAALSFHQFFEGFALGGCISQAQFKNFAAGLMASFFAITTPLGIAAGAGAASFYDSYSPRALVIEGLLDSVSAGILIYMALVDLIAADFLSREYELQFPVAGCIIYCFVSWCCFNVCPCYLGLNGERHLYLCIVCSVLFG >DRNTG_21207.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001209.1:50262:54022:-1 gene:DRNTG_21207 transcript:DRNTG_21207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIVGMHAHAAAHRHSHAHGHRACDGSDVAQHTHSHGHAHEDESEVPSHVRHVVVSQILELGIVSHSVIIGLSLGVSRSPCTIRPLVAALSFHQFFEGFALGGCISQAQFKNFAAGLMASFFAITTPLGIAAGAGAASFYDSYSPRALVIEGLLDSVSAGILIYMALVDLIAADFLSREYELQFPVAGCIIYCFVSWCCFNVCPCYLGLNAAISFSRLSWFTMENINTLIIIFCYYNELELQNKDRNSYLCQPN >DRNTG_15051.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5600815:5605548:1 gene:DRNTG_15051 transcript:DRNTG_15051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTCFYLIDHSDTFLFMMIGNLNIMGWNYRGSMGKDKLFCIRRLMRDNRVDIFALVETRVNTERVFRLCTPFVKKWNWVAIASDGYSRGIIILWLKHLGRISPVAHSRRALHLIFSSSADHQWIISMVYNSQQPKLQRNLWKELSMIPKLNLPWIVLGDFNVITSSADHKGSSFHYYARKAYFFLQIHY >DRNTG_01135.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5236998:5239773:-1 gene:DRNTG_01135 transcript:DRNTG_01135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQPQVLCSYLRTMASNDMSSLAPKQSGDLGIRPEMIKSSGGNHNLATRKAKGIESLITHHKHLPILGLRLRRRRPSLLKLIMS >DRNTG_31815.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001749.1:12535:13858:1 gene:DRNTG_31815 transcript:DRNTG_31815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTTIWNHCDERLGLKVFSHAYFIGLSFATCSLLLFLSLLTSDYILAGGSFILALLSLLVAFLCNVYLSLYGGGLPDPNEFVPFILELIGFPFLCVVALSFGGFRFHFLGFILTDHLSLDNGEERDKQQHSDGGTTSSSNSGPLMEKLKKDRAKRLLKVKKLIAPSKLSKVKEYRNHELA >DRNTG_24972.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6930667:6934643:1 gene:DRNTG_24972 transcript:DRNTG_24972.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMVDPPNGIGNRGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGVVCSSVNRETSEKVAIKKINNAFDNRVDALRTLRELKLLRHLHHDNVIALKDIMMPVHRRSFRDVYLVYELMDTDLHQIIKSSQALSNDHCQYFLFQLLRGLKYLHSANILHRDLKPGNLLVNANCDLKICDFGLARTNSTKGQFMTEYVVTRWYRAPELLLCCENYDTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIINVLGTRTDADLGFIDNPKARKYIKSLPYTPGTPFSTLYPRANPLAIDLLQKMLVFDPSKRISVTEALEHPYMSPLYDPNSNPPAQVPIDLDIDEDLGEDVIREMMWQEMLHYHPESAAATADVN >DRNTG_20470.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001119.1:38415:38897:1 gene:DRNTG_20470 transcript:DRNTG_20470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPTPPLLPTPPSQTHSASTSTVSLSPADQQQLLVMLRQFQQSHPGDISTGQARSAQVTPPTPGSSCPLWLLDSGASFHMTHDATHLHHSHPSSLHTRV >DRNTG_20470.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001119.1:39081:39862:1 gene:DRNTG_20470 transcript:DRNTG_20470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTVRTLIAVAAAREWTLHQLDVKNAFLHGDLKEEVYMTPPPGLRVPPGTVCRLRRALYGLKQAPRAWFERFSTVIEAAGFTSSIHDSAVFVHSSHRGRTILLLYVDDMILTGDDPAHITFVKQKLCETFLMTDLGPLRYFLGIEITSQSDGYRLTQQRYTLDLLARSGLTDTRT >DRNTG_20470.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001119.1:38415:39862:1 gene:DRNTG_20470 transcript:DRNTG_20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTVRTLIAVAAAREWTLHQLDVKNAFLHGDLKEEVYMTPPPGLRVPPGTVCRLRRALYGLKQAPRAWFERFSTVIEAAGFTSSIHDSAVFVHSSHRGRTILLLYVDDMILTGDDPAHITFVKQKLCETFLMTDLGPLRYFLGIEITSQSDGYRLTQQRYTLDLLARSGLTDTRT >DRNTG_06715.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21161674:21165039:-1 gene:DRNTG_06715 transcript:DRNTG_06715.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLYRSLPLLCRSISSKIPSSPRPHFPPSPPLFSRSISNPNPTAGLIPNPSLSWRRFCNLDRLQPQQWHHNRRTILQPLAVLLGVIVGGGGIIYYRYFETVPFSNNSRLVIVSPLAERDISEIEFQKLKNGLEGRILPGNHPDTIRVRRISENIIEAIQPCLNHDKRQWGNLSYAFEIHDKWQWGDLWYACEIQALEQSPETTKKAAEAESWEVLVVSDKTFYAFCLPCRKIVVSTRVLDHLRTDAEIATLLGHENGNGGRSYWPLVDGLCGI >DRNTG_06715.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21163858:21165130:-1 gene:DRNTG_06715 transcript:DRNTG_06715.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLYRSLPLLCRSISSKIPSSPRPHFPPSPPLFSRSISNPNPTAGLIPNPSLSWRRFCNLDRLQPQQWHHNRRTILQPLAVLLGVIVGGGGIIYYRYFETVPFSNNSRLVIVSPLAERDISEIEFQKLKNGLEGRILPGNHPDTIRVRRISENIIEAIQPCLNHDKRQWGNLSYAFEIHDKWQWGDLWYACEIQALEQSPETTKKAAEAESWEVLVVSDKTFYAFCLPCRKIVVSTRVLDHLRTDAEIATLLGHEVSSSLLLIEVEMIL >DRNTG_06715.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21163858:21165130:-1 gene:DRNTG_06715 transcript:DRNTG_06715.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLYRSLPLLCRSISSKIPSSPRPHFPPSPPLFSRSISNPNPTAGLIPNPSLSWRRFCNLDRLQPQQWHHNRRTILQPLAVLLGVIVGGGGIIYYRYFETVPFSNNSRLVIVSPLAERDISEIEFQKLKNGLEGRILPGNHPDTIRVRRISENIIEAIQPCLNHDKRQWGNLSYAFEIHDKWQWGDLWYACEIQALEQSPETTKKAAEAESWEVLVVSDKTFYAFCLPCRKIVVSTRVLDHLRTDAEIATLLGHEVAHVVVRHGAEIATKGLWMDIHFRLGVLMGRGIPDPRGDPTRRGWEFPNPLSPQGPDSTGMGIPRIPPPWGRGRGPIRPRFLNGNGDGECSP >DRNTG_06715.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21161732:21165130:-1 gene:DRNTG_06715 transcript:DRNTG_06715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLYRSLPLLCRSISSKIPSSPRPHFPPSPPLFSRSISNPNPTAGLIPNPSLSWRRFCNLDRLQPQQWHHNRRTILQPLAVLLGVIVGGGGIIYYRYFETVPFSNNSRLVIVSPLAERDISEIEFQKLKNGLEGRILPGNHPDTIRVRRISENIIEAIQPCLNHDKRQWGNLSYAFEIHDKWQWGDLWYACEIQALEQSPETTKKAAEAESWEVLVVSDKTFYAFCLPCRKIVVSTRVLDHLRTDAEIATLLGHENGNGGRSYWPLVDGLCGI >DRNTG_06715.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21163734:21165039:-1 gene:DRNTG_06715 transcript:DRNTG_06715.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLYRSLPLLCRSISSKIPSSPRPHFPPSPPLFSRSISNPNPTAGLIPNPSLSWRRFCNLDRLQPQQWHHNRRTILQPLAVLLGVIVGGGGIIYYRYFETVPFSNNSRLVIVSPLAERDISEIEFQKLKNGLEGRILPGNHPDTIRVRRISENIIEAIQPCLNHDKRQWGNLSYAFEIHDKWQWGDLWYACEIQALEQSPETTKKAAEAESWEVLVVSDKTFYAFCLPCRKIVVSTRVLDHLRTDAEIATLLGHEVAHVVVRHGAEIATKGLWMDIHFRLGVLMGRGIPDPRGDPTRRGWEFPNPLSPQGPDSTGMGIPRIPPPWGRGRGPIRPRFLNGNGDGECSPRPVGIPTRLKYIYIYIFCMYVYFFQH >DRNTG_14457.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:16048530:16049346:-1 gene:DRNTG_14457 transcript:DRNTG_14457.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWCYQLQRQLRMRKVMLRLLSLPPTSASTDGDRGTSSGRGRTPRTYVFTI >DRNTG_05462.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23140275:23141026:-1 gene:DRNTG_05462 transcript:DRNTG_05462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMGLAPWSILKHAQLTQFVVLLLVQLHVDVAFNDDRIVNLEQKLESLTDDVSQVKNAIGDISDLKNQFQIIMSFMMEKFGSNIPTPTSK >DRNTG_05462.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23140333:23141026:-1 gene:DRNTG_05462 transcript:DRNTG_05462.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYMGLAPWSILKHAQLTQFVVLLLVQLHVDVAFNDDRIVNLEQKLESLTDDVSQVKNAIGDISDLKNQFQIIMSFMMEKFGSNIPTPTSK >DRNTG_10654.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:360636:364272:1 gene:DRNTG_10654 transcript:DRNTG_10654.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPEQSETLEELASENEAEEEPQTLESQIEEPVSRVLQSGTLGTVGSNPAFLVGNFVWGRVRTHPWWPGRVRDPELAVAAKRAVRKAPNSVFVSYFGDESYAWCQPMHLKPFKQEFAQMMAQSVSKGFVSAVRSALEEIGRCVEVEMACGCVDIEIPDGFLKQGSKEFAITAYAPAEFLQLVRDVAKNCMVVDMLEITVLRSWMFAFNRKSGHQRCGVVDLVDKCDLDAENDGMEDSWIDAPRKPEISEEKYKRRKERSMAKLIAEMDLNAVEVSDDVDSVVEEEENDNDVVVEEEEKHEVEEKNDNDDVEEEEKHEEEEKGNDVVEEEEKKHEEDEKANAKVVVDSGVVVGGEGTGSGKRERKKSKYLSYPYAHLSGIHGKQSVFLLGDFEVKTSKKASYSSSTKLAGSVAEVDLSDKEEDQKDLVSKLESISTSEILSEFLAAARDSLHLKWNRSAKTVRGFFAGYRSAFYSESSDFEAHQKKLAECGCLDRKITDDVKSNQSKEGESDRNSKKRKGGINGETKVKSLTKRRMKKDGIETVAPVELEHDALGGSDKARTPQKRMKKNETACVETSLDLAIPKRNSSGKTQKKKAKQSEDSEETLLNVGHPKSNLTAPSLNQLKKQMRIEGTNVQTVAHVPVETMNNSEEAKKSMIVLTNGKPSTDVDEELLDSPKVEKSRKKRRKIKADLDSKARTDLNLDASNGSGESRKKQKKTDENNSEGPAALLLSFTPGVNLPSRDEIVAAFSKYGSLNETDTEVMKDSGCARLVFMKSLDAENALHSRDKTGVFAPPNASYRVRYLPVNPSSPSSPPSSAAAANLIPNRPLPYIRKNLEQMISTLTSPLVSDKDAGSSDELKPDAKENLVGEMQGLLEKVNKLLNGPPAGSSS >DRNTG_10654.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:360636:363756:1 gene:DRNTG_10654 transcript:DRNTG_10654.10 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPEQSETLEELASENEAEEEPQTLESQIEEPVSRVLQSGTLGTVGSNPAFLVGNFVWGRVRTHPWWPGRVRDPELAVAAKRAVRKAPNSVFVSYFGDESYAWCQPMHLKPFKQEFAQMMAQSVSKGFVSAVRSALEEIGRCVEVEMACGCVDIEIPDGFLKQGSKEFAITAYAPAEFLQLVRDVAKNCMVVDMLEITVLRSWMFAFNRKSGHQRCGVVDLVDKCDLDAENDGMEDSWIDAPRKPEISEEKYKRRKERSMAKLIAEMDLNAVEVSDDVDSVVEEEENDNDVVVEEEEKHEVEEKNDNDDVEEEEKHEEEEKGNDVVEEEEKKHEEDEKANAKVVVDSGVVVGGEGTGSGKRERKKSKYLSYPYAHLSGIHGKQSVFLLGDFEVKTSKKASYSSSTKLAGSVAEVDLSDKEEDQKDLVSKLESISTSEILSEFLAAARDSLHLKWNRSAKTVRGFFAGYRSAFYSESSDFEAHQKKLAECGCLDRKITDDVKSNQSKEGESDRNSKKRKGGINGETKVKSLTKRRMKKDGIETVAPVELEHDALGGSDKARTPQKRMKKNETACVETSLDLAIPKRNSSGKTQKKKAKQSEDSEETLLNVGHPKSNLTAPSLNQLKKQMRIEGTNVQTVAHVPVETMNNSEEAKKSMIVLTNGKPSTDVDEELLDSPKVEKSRKKRRKIKADLDSKARTDLNLDASNGSGESRKKQKKTDENNSEGPAALLLSFTPGVNLPSRDEIVAAFSKYGSLNETDTEVMKDSGCARLVFMKSLDAENALHSRDKTGVFAPPNASYRVRYLPVNPSSPSSPPSSAAAANLIPNRPLPYIRKNLEQMISTLTSPLVSDKDAGSSDELKPDAKENLVGEMQGLLEKVNKLLNGPPAGSSS >DRNTG_10654.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:360636:364272:1 gene:DRNTG_10654 transcript:DRNTG_10654.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPEQSETLEELASENEAEEEPQTLESQIEEPVSRVLQSGTLGTVGSNPAFLVGNFVWGRVRTHPWWPGRVRDPELAVAAKRAVRKAPNSVFVSYFGDESYAWCQPMHLKPFKQEFAQMMAQSVSKGFVSAVRSALEEIGRCVEVEMACGCVDIEIPDGFLKQGSKEFAITAYAPAEFLQLVRDVAKNCMVVDMLEITVLRSWMFAFNRKSGHQRCGVVDLVDKCDLDAENDGMEDSWIDAPRKPEISEEKYKRRKERSMAKLIAEMDLNAVEVSDDVDSVVEEEENDNDVVVEEEEKHEVEEKNDNDDVEEEEKHEEEEKGNDVVEEEEKKHEEDEKANAKVVVDSGVVVGGEGTGSGKRERKKSKYLSYPYAHLSGIHGKQSVFLLGDFEVKTSKKASYSSSTKLAGSVAEVDLSDKEEDQKDLVSKLESISTSEILSEFLAAARDSLHLKWNRSAKTVRGFFAGYRSAFYSESSDFEAHQKKLAECGCLDRKITDDVKSNQSKEGESDRNSKKRKGGINGETKVKSLTKRRMKKDGIETVAPVELEHDALGGSDKARTPQKRMKKNETACVETSLDLAIPKRNSSGKTQKKKAKQSEDSEETLLNVGHPKSNLTAPSLNQLKKQMRIEGTNVQTVAHVPVETMNNSEEAKKSMIVLTNGKPSTDVDEELLDSPKVEKSRKKRRKIKADLDSKARTDLNLDASNGSGESRKKQKKTDENNSEGPAALLLSFTPGVNLPSRDEIVAAFSKYGSLNETDTEVMKDSGCARLVFMKSLDAENALHSRDKTGVFAPPNASYRVRYLPVNPSSPSSPPSSAAAANLIPNRPLPYIRKNLEQMISTLTSPLVSDKDAGSSDELKPDAKENLVGEMQGLLEKVNKLLNGPPAGSSS >DRNTG_10654.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:360636:363756:1 gene:DRNTG_10654 transcript:DRNTG_10654.9 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPEQSETLEELASENEAEEEPQTLESQIEEPVSRVLQSGTLGTVGSNPAFLVGNFVWGRVRTHPWWPGRVRDPELAVAAKRAVRKAPNSVFVSYFGDESYAWCQPMHLKPFKQEFAQMMAQSVSKGFVSAVRSALEEIGRCVEVEMACGCVDIEIPDGFLKQGSKEFAITAYAPAEFLQLVRDVAKNCMVVDMLEITVLRSWMFAFNRKSGHQRCGVVDLVDKCDLDAENDGMEDSWIDAPRKPEISEEKYKRRKERSMAKLIAEMDLNAVEVSDDVDSVVEEEENDNDVVVEEEEKHEVEEKNDNDDVEEEEKHEEEEKGNDVVEEEEKKHEEDEKANAKVVVDSGVVVGGEGTGSGKRERKKSKYLSYPYAHLSGIHGKQSVFLLGDFEVKTSKKASYSSSTKLAGSVAEVDLSDKEEDQKDLVSKLESISTSEILSEFLAAARDSLHLKWNRSAKTVRGFFAGYRSAFYSESSDFEAHQKKLAECGCLDRKITDDVKSNQSKEGESDRNSKKRKGGINGETKVKSLTKRRMKKDGIETVAPVELEHDALGGSDKARTPQKRMKKNETACVETSLDLAIPKRNSSGKTQKKKAKQSEDSEETLLNVGHPKSNLTAPSLNQLKKQMRIEGTNVQTVAHVPVETMNNSEEAKKSMIVLTNGKPSTDVDEELLDSPKVEKSRKKRRKIKADLDSKARTDLNLDASNGSGESRKKQKKTDENNSEGPAALLLSFTPGVNLPSRDEIVAAFSKYGSLNETDTEVMKDSGCARLVFMKSLDAENALHSRDKTGVFAPPNASYRVRYLPVNPSSPSSPPSSAAAANLIPNRPLPYIRKNLEQMISTLTSPLVSDKDAGSSDELKPDAKENLVGEMQGLLEKVNKLLNGPPAGSSS >DRNTG_10654.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:360636:364506:1 gene:DRNTG_10654 transcript:DRNTG_10654.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPEQSETLEELASENEAEEEPQTLESQIEEPVSRVLQSGTLGTVGSNPAFLVGNFVWGRVRTHPWWPGRVRDPELAVAAKRAVRKAPNSVFVSYFGDESYAWCQPMHLKPFKQEFAQMMAQSVSKGFVSAVRSALEEIGRCVEVEMACGCVDIEIPDGFLKQGSKEFAITAYAPAEFLQLVRDVAKNCMVVDMLEITVLRSWMFAFNRKSGHQRCGVVDLVDKCDLDAENDGMEDSWIDAPRKPEISEEKYKRRKERSMAKLIAEMDLNAVEVSDDVDSVVEEEENDNDVVVEEEEKHEVEEKNDNDDVEEEEKHEEEEKGNDVVEEEEKKHEEDEKANAKVVVDSGVVVGGEGTGSGKRERKKSKYLSYPYAHLSGIHGKQSVFLLGDFEVKTSKKASYSSSTKLAGSVAEVDLSDKEEDQKDLVSKLESISTSEILSEFLAAARDSLHLKWNRSAKTVRGFFAGYRSAFYSESSDFEAHQKKLAECGCLDRKITDDVKSNQSKEGESDRNSKKRKGGINGETKVKSLTKRRMKKDGIETVAPVELEHDALGGSDKARTPQKRMKKNETACVETSLDLAIPKRNSSGKTQKKKAKQSEDSEETLLNVGHPKSNLTAPSLNQLKKQMRIEGTNVQTVAHVPVETMNNSEEAKKSMIVLTNGKPSTDVDEELLDSPKVEKSRKKRRKIKADLDSKARTDLNLDASNGSGESRKKQKKTDENNSEGPAALLLSFTPGVNLPSRDEIVAAFSKYGSLNETDTEVMKDSGCARLVFMKSLDAENALHSRDKTGVFAPPNASYRVRYLPVNPSSPSSPPSSAAAANLIPNRPLPYIRKNLEQMISTLTSPLVSDKDAGSSDELKPDAKENLVGEMQGLLEKVNKLLNGPPAGSSS >DRNTG_10654.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:360636:364374:1 gene:DRNTG_10654 transcript:DRNTG_10654.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPEQSETLEELASENEAEEEPQTLESQIEEPVSRVLQSGTLGTVGSNPAFLVGNFVWGRVRTHPWWPGRVRDPELAVAAKRAVRKAPNSVFVSYFGDESYAWCQPMHLKPFKQEFAQMMAQSVSKGFVSAVRSALEEIGRCVEVEMACGCVDIEIPDGFLKQGSKEFAITAYAPAEFLQLVRDVAKNCMVVDMLEITVLRSWMFAFNRKSGHQRCGVVDLVDKCDLDAENDGMEDSWIDAPRKPEISEEKYKRRKERSMAKLIAEMDLNAVEVSDDVDSVVEEEENDNDVVVEEEEKHEVEEKNDNDDVEEEEKHEEEEKGNDVVEEEEKKHEEDEKANAKVVVDSGVVVGGEGTGSGKRERKKSKYLSYPYAHLSGIHGKQSVFLLGDFEVKTSKKASYSSSTKLAGSVAEVDLSDKEEDQKDLVSKLESISTSEILSEFLAAARDSLHLKWNRSAKTVRGFFAGYRSAFYSESSDFEAHQKKLAECGCLDRKITDDVKSNQSKEGESDRNSKKRKGGINGETKVKSLTKRRMKKDGIETVAPVELEHDALGGSDKARTPQKRMKKNETACVETSLDLAIPKRNSSGKTQKKKAKQSEDSEETLLNVGHPKSNLTAPSLNQLKKQMRIEGTNVQTVAHVPVETMNNSEEAKKSMIVLTNGKPSTDVDEELLDSPKVEKSRKKRRKIKADLDSKARTDLNLDASNGSGESRKKQKKTDENNSEGPAALLLSFTPGVNLPSRDEIVAAFSKYGSLNETDTEVMKDSGCARLVFMKSLDAENALHSRDKTGVFAPPNASYRVRYLPVNPSSPSSPPSSAAAANLIPNRPLPYIRKNLEQMISTLTSPLVSDKDAGSSDELKPDAKENLVGEMQGLLEKVNKLLNGPPAGSSS >DRNTG_10654.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:360636:364374:1 gene:DRNTG_10654 transcript:DRNTG_10654.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPEQSETLEELASENEAEEEPQTLESQIEEPVSRVLQSGTLGTVGSNPAFLVGNFVWGRVRTHPWWPGRVRDPELAVAAKRAVRKAPNSVFVSYFGDESYAWCQPMHLKPFKQEFAQMMAQSVSKGFVSAVRSALEEIGRCVEVEMACGCVDIEIPDGFLKQGSKEFAITAYAPAEFLQLVRDVAKNCMVVDMLEITVLRSWMFAFNRKSGHQRCGVVDLVDKCDLDAENDGMEDSWIDAPRKPEISEEKYKRRKERSMAKLIAEMDLNAVEVSDDVDSVVEEEENDNDVVVEEEEKHEVEEKNDNDDVEEEEKHEEEEKGNDVVEEEEKKHEEDEKANAKVVVDSGVVVGGEGTGSGKRERKKSKYLSYPYAHLSGIHGKQSVFLLGDFEVKTSKKASYSSSTKLAGSVAEVDLSDKEEDQKDLVSKLESISTSEILSEFLAAARDSLHLKWNRSAKTVRGFFAGYRSAFYSESSDFEAHQKKLAECGCLDRKITDDVKSNQSKEGESDRNSKKRKGGINGETKVKSLTKRRMKKDGIETVAPVELEHDALGGSDKARTPQKRMKKNETACVETSLDLAIPKRNSSGKTQKKKAKQSEDSEETLLNVGHPKSNLTAPSLNQLKKQMRIEGTNVQTVAHVPVETMNNSEEAKKSMIVLTNGKPSTDVDEELLDSPKVEKSRKKRRKIKADLDSKARTDLNLDASNGSGESRKKQKKTDENNSEGPAALLLSFTPGVNLPSRDEIVAAFSKYGSLNETDTEVMKDSGCARLVFMKSLDAENALHSRDKTGVFAPPNASYRVRYLPVNPSSPSSPPSSAAAANLIPNRPLPYIRKNLEQMISTLTSPLVSDKDAGSSDELKPDAKENLVGEMQGLLEKVNKLLNGPPAGSSS >DRNTG_10654.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:360636:364374:1 gene:DRNTG_10654 transcript:DRNTG_10654.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPEQSETLEELASENEAEEEPQTLESQIEEPVSRVLQSGTLGTVGSNPAFLVGNFVWGRVRTHPWWPGRVRDPELAVAAKRAVRKAPNSVFVSYFGDESYAWCQPMHLKPFKQEFAQMMAQSVSKGFVSAVRSALEEIGRCVEVEMACGCVDIEIPDGFLKQGSKEFAITAYAPAEFLQLVRDVAKNCMVVDMLEITVLRSWMFAFNRKSGHQRCGVVDLVDKCDLDAENDGMEDSWIDAPRKPEISEEKYKRRKERSMAKLIAEMDLNAVEVSDDVDSVVEEEENDNDVVVEEEEKHEVEEKNDNDDVEEEEKHEEEEKGNDVVEEEEKKHEEDEKANAKVVVDSGVVVGGEGTGSGKRERKKSKYLSYPYAHLSGIHGKQSVFLLGDFEVKTSKKASYSSSTKLAGSVAEVDLSDKEEDQKDLVSKLESISTSEILSEFLAAARDSLHLKWNRSAKTVRGFFAGYRSAFYSESSDFEAHQKKLAECGCLDRKITDDVKSNQSKEGESDRNSKKRKGGINGETKVKSLTKRRMKKDGIETVAPVELEHDALGGSDKARTPQKRMKKNETACVETSLDLAIPKRNSSGKTQKKKAKQSEDSEETLLNVGHPKSNLTAPSLNQLKKQMRIEGTNVQTVAHVPVETMNNSEEAKKSMIVLTNGKPSTDVDEELLDSPKVEKSRKKRRKIKADLDSKARTDLNLDASNGSGESRKKQKKTDENNSEGPAALLLSFTPGVNLPSRDEIVAAFSKYGSLNETDTEVMKDSGCARLVFMKSLDAENALHSRDKTGVFAPPNASYRVRYLPVNPSSPSSPPSSAAAANLIPNRPLPYIRKNLEQMISTLTSPLVSDKDAGSSDELKPDAKENLVGEMQGLLEKVNKLLNGPPAGSSS >DRNTG_10654.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:360636:364629:1 gene:DRNTG_10654 transcript:DRNTG_10654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPEQSETLEELASENEAEEEPQTLESQIEEPVSRVLQSGTLGTVGSNPAFLVGNFVWGRVRTHPWWPGRVRDPELAVAAKRAVRKAPNSVFVSYFGDESYAWCQPMHLKPFKQEFAQMMAQSVSKGFVSAVRSALEEIGRCVEVEMACGCVDIEIPDGFLKQGSKEFAITAYAPAEFLQLVRDVAKNCMVVDMLEITVLRSWMFAFNRKSGHQRCGVVDLVDKCDLDAENDGMEDSWIDAPRKPEISEEKYKRRKERSMAKLIAEMDLNAVEVSDDVDSVVEEEENDNDVVVEEEEKHEVEEKNDNDDVEEEEKHEEEEKGNDVVEEEEKKHEEDEKANAKVVVDSGVVVGGEGTGSGKRERKKSKYLSYPYAHLSGIHGKQSVFLLGDFEVKTSKKASYSSSTKLAGSVAEVDLSDKEEDQKDLVSKLESISTSEILSEFLAAARDSLHLKWNRSAKTVRGFFAGYRSAFYSESSDFEAHQKKLAECGCLDRKITDDVKSNQSKEGESDRNSKKRKGGINGETKVKSLTKRRMKKDGIETVAPVELEHDALGGSDKARTPQKRMKKNETACVETSLDLAIPKRNSSGKTQKKKAKQSEDSEETLLNVGHPKSNLTAPSLNQLKKQMRIEGTNVQTVAHVPVETMNNSEEAKKSMIVLTNGKPSTDVDEELLDSPKVEKSRKKRRKIKADLDSKARTDLNLDASNGSGESRKKQKKTDENNSEGPAALLLSFTPGVNLPSRDEIVAAFSKYGSLNETDTEVMKDSGCARLVFMKSLDAENALHSRDKTGVFAPPNASYRVRYLPVNPSSPSSPPSSAAAANLIPNRPLPYIRKNLEQMISTLTSPLVSDKDAGSSDELKPDAKENLVGEMQGLLEKVNKLLNGPPAGSSS >DRNTG_10654.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:360636:364506:1 gene:DRNTG_10654 transcript:DRNTG_10654.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPEQSETLEELASENEAEEEPQTLESQIEEPVSRVLQSGTLGTVGSNPAFLVGNFVWGRVRTHPWWPGRVRDPELAVAAKRAVRKAPNSVFVSYFGDESYAWCQPMHLKPFKQEFAQMMAQSVSKGFVSAVRSALEEIGRCVEVEMACGCVDIEIPDGFLKQGSKEFAITAYAPAEFLQLVRDVAKNCMVVDMLEITVLRSWMFAFNRKSGHQRCGVVDLVDKCDLDAENDGMEDSWIDAPRKPEISEEKYKRRKERSMAKLIAEMDLNAVEVSDDVDSVVEEEENDNDVVVEEEEKHEVEEKNDNDDVEEEEKHEEEEKGNDVVEEEEKKHEEDEKANAKVVVDSGVVVGGEGTGSGKRERKKSKYLSYPYAHLSGIHGKQSVFLLGDFEVKTSKKASYSSSTKLAGSVAEVDLSDKEEDQKDLVSKLESISTSEILSEFLAAARDSLHLKWNRSAKTVRGFFAGYRSAFYSESSDFEAHQKKLAECGCLDRKITDDVKSNQSKEGESDRNSKKRKGGINGETKVKSLTKRRMKKDGIETVAPVELEHDALGGSDKARTPQKRMKKNETACVETSLDLAIPKRNSSGKTQKKKAKQSEDSEETLLNVGHPKSNLTAPSLNQLKKQMRIEGTNVQTVAHVPVETMNNSEEAKKSMIVLTNGKPSTDVDEELLDSPKVEKSRKKRRKIKADLDSKARTDLNLDASNGSGESRKKQKKTDENNSEGPAALLLSFTPGVNLPSRDEIVAAFSKYGSLNETDTEVMKDSGCARLVFMKSLDAENALHSRDKTGVFAPPNASYRVRYLPVNPSSPSSPPSSAAAANLIPNRPLPYIRKNLEQMISTLTSPLVSDKDAGSSDELKPDAKENLVGEMQGLLEKVNKLLNGPPAGSSS >DRNTG_10654.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:360636:363756:1 gene:DRNTG_10654 transcript:DRNTG_10654.11 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPEQSETLEELASENEAEEEPQTLESQIEEPVSRVLQSGTLGTVGSNPAFLVGNFVWGRVRTHPWWPGRVRDPELAVAAKRAVRKAPNSVFVSYFGDESYAWCQPMHLKPFKQEFAQMMAQSVSKGFVSAVRSALEEIGRCVEVEMACGCVDIEIPDGFLKQGSKEFAITAYAPAEFLQLVRDVAKNCMVVDMLEITVLRSWMFAFNRKSGHQRCGVVDLVDKCDLDAENDGMEDSWIDAPRKPEISEEKYKRRKERSMAKLIAEMDLNAVEVSDDVDSVVEEEENDNDVVVEEEEKHEVEEKNDNDDVEEEEKHEEEEKGNDVVEEEEKKHEEDEKANAKVVVDSGVVVGGEGTGSGKRERKKSKYLSYPYAHLSGIHGKQSVFLLGDFEVKTSKKASYSSSTKLAGSVAEVDLSDKEEDQKDLVSKLESISTSEILSEFLAAARDSLHLKWNRSAKTVRGFFAGYRSAFYSESSDFEAHQKKLAECGCLDRKITDDVKSNQSKEGESDRNSKKRKGGINGETKVKSLTKRRMKKDGIETVAPVELEHDALGGSDKARTPQKRMKKNETACVETSLDLAIPKRNSSGKTQKKKAKQSEDSEETLLNVGHPKSNLTAPSLNQLKKQMRIEGTNVQTVAHVPVETMNNSEEAKKSMIVLTNGKPSTDVDEELLDSPKVEKSRKKRRKIKADLDSKARTDLNLDASNGSGESRKKQKKTDENNSEGPAALLLSFTPGVNLPSRDEIVAAFSKYGSLNETDTEVMKDSGCARLVFMKSLDAENALHSRDKTGVFAPPNASYRVRYLPVNPSSPSSPPSSAAAANLIPNRPLPYIRKNLEQMISTLTSPLVSDKDAGSSDELKPDAKENLVGEMQGLLEKVNKLLNGPPAGSSS >DRNTG_14017.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27638713:27640502:1 gene:DRNTG_14017 transcript:DRNTG_14017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLWASRAASYLRISPFHRGFSSVVKDLKYADTHEWAKIDGTSATIGITDHAQDHLGDVVYVELPAVGVAVEQGKCFGAVESVKATSDINSPVSGEVVEVNTDLDGSPGLVNGSPYDKGWIMKVQMKNPGELNNLMDSEQYVKFCEEEDAKH >DRNTG_34717.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11974673:11978070:-1 gene:DRNTG_34717 transcript:DRNTG_34717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCARFPQGSSPEDGWNASRNCGGGGPNGQGKFIKSTETQFADHEEMIRKTIATMRNLEHQVAQMSKLLEEMLLGILLSNTIVSPKGILEGGVHLIALHSIVTAKNSWNVEGTPRRINADGKALPITLFQRICGVEGRESLEKPSQRLSKP >DRNTG_00205.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15540861:15541528:-1 gene:DRNTG_00205 transcript:DRNTG_00205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNFLQSLQVFLRHPVKTHGHVEFPHTRVFVLRAHPEKAQGRAAAPVKDHANIAHPWRSHRGMRLPVWVGRLGMGISRTPIRDRSESVRVFSFEHPGACTFPCEALLWGRTGLGNFRTPVWMYKTP >DRNTG_19845.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7338073:7339047:1 gene:DRNTG_19845 transcript:DRNTG_19845.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASSSSSSSCTSFGSIDDVSVRTNSNVKFVCSYGGRILPRYPDGKLRYVGGETRVLAVDRSIPFSELQVKLGELCGWGRVGLRCQLPTDDLDALVSVTSDEDLANLIEEYDIAARDKPPPLKIRAFLHPTPPSKPSKPLPRPPLKGKSPIRSPPDRCAPPRIPGRLVRSAGDLRFSGHHGTPRGHQFLVHHGNYWQ >DRNTG_19845.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7338073:7339473:1 gene:DRNTG_19845 transcript:DRNTG_19845.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASSSSSSSCTSFGSIDDVSVRTNSNVKFVCSYGGRILPRYPDGKLRYVGGETRVLAVDRSIPFSELQVKLGELCGWGRVGLRCQLPTDDLDALVSVTSDEDLANLIEEYDIAARDKPPPLKIRAFLHPTPPSKPSKPLPRPPLKGKSPIRSPPDRCAPPRIPGRLVRSAGDLRFSGHHGTPRGHQFLVHHGNYWQ >DRNTG_19845.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7338073:7339729:1 gene:DRNTG_19845 transcript:DRNTG_19845.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASSSSSSSCTSFGSIDDVSVRTNSNVKFVCSYGGRILPRYPDGKLRYVGGETRVLAVDRSIPFSELQVKLGELCGWGRVGLRCQLPTDDLDALVSVTSDEDLANLIEEYDIAARDKPPPLKIRAFLHPTPPSKPSKPLPRPPLKGKSPIRSPPDRCAPPRIPGRLVRSAGDLRFSGHHGTPRGHQFLVHHGNYWQ >DRNTG_19845.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7338073:7339729:1 gene:DRNTG_19845 transcript:DRNTG_19845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASSSSSSSCTSFGSIDDVSVRTNSNVKFVCSYGGRILPRYPDGKLRYVGGETRVLAVDRSIPFSELQVKLGELCGWGRVGLRCQLPTDDLDALVSVTSDEDLANLIEEYDIAARDKPPPLKIRAFLHPTPPSKPSKPLPRPPLKGKSPIRSPPDRCAPPRIPGRLVRSAGDLRFSGHHGTPRGHQFLVHHGNYWQ >DRNTG_14190.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20280738:20283957:1 gene:DRNTG_14190 transcript:DRNTG_14190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMECNKEEGMRARDIAENKMRNKDFVGAQRLALKAQQLFPDIDNISQMLTVCEVHCFAEVKFGGDPDWYGILQVEPTADGALIKKQYRKLALLLHPDKNKFPGAEAAFKLIGEANRTLSDQGKRSIHDLKRNPRSIVPSRQSSQQNRAVYVHKQSKPASGRAPNITTNTGPNRVNQQQPSATSAAESFLTYCPTCSRRYRYFKNLLNKALQCQSCLNPFVAYDLNAQTVSPGVKSSHPGAQTKNFSGQNSHDAVQQNDSGYATSKKGPDDKVDGKSTATETLSKSRVNEVGQKSVDGRSDGKTNHGETGNEVKFEKVELNEVNRRKQAAKPSGGNSNHKRSRKIVVESSDAEDAGQEGGANGSHLRRSARQKRKIAYTEAESDDDVDGPSSLKPSDFKREPAGFEMRRNEEKGAHVNEGLNKNETCPEVDRAGLTEEIPKSGTGPGVVPNVDFTSKSLPDLENISYPDPEFYNFEENRDVNRFVADQIWALYDDLDGMPRYYARIRNVHTPNFKVRFSWLEHEPVSGAEIAWTDATLPVAHGRYKLGDSTTTEDRNMFSHLMCSEKGSRRNTYDIHPRKGEVWALFKDWDMSWSSGTGNPGTYQFEVIEVLSDPAESTGIDVIRLVRIKGFVSLFMRAFDDGAAQFKIPPNEMLRFSHMVPSYKLNGNEKEGIPEGSFELDPVSLPVNFGDFAPSVSLDSIEKSKVLNQKNFPDALNISSDEEEPSQEVDAKDTTSPLNARSPHPSSSVGFEYPDSEFYEFHNQRANDKFKCGQIWALYSDLDKYPKYYGRINKVASGKV >DRNTG_14190.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20278877:20283957:1 gene:DRNTG_14190 transcript:DRNTG_14190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMECNKEEGMRARDIAENKMRNKDFVGAQRLALKAQQLFPDIDNISQMLTVCEVHCFAEVKFGGDPDWYGILQVEPTADGALIKKQYRKLALLLHPDKNKFPGAEAAFKLIGEANRTLSDQGKRSIHDLKRNPRSIVPSRQSSQQNRAVYVHKQSKPASGRAPNITTNTGPNRVNQQQPSATSAAESFLTYCPTCSRRYRYFKNLLNKALQCQSCLNPFVAYDLNAQTVSPGVKSSHPGAQTKNFSGQNSHDAVQQNDSGYATSKKGPDDKVDGKSTATETLSKSRVNEVGQKSVDGRSDGKTNHGETGNEVKFEKVELNEVNRRKQAAKPSGGNSNHKRSRKIVVESSDAEDAGQEGGANGSHLRRSARQKRKIAYTEAESDDDVDGPSSLKPSDFKREPAGFEMRRNEEKGAHVNEGLNKNETCPEVDRAGLTEEIPKSGTGPGVVPNVDFTSKSLPDLENISYPDPEFYNFEENRDVNRFVADQIWALYDDLDGMPRYYARIRNVHTPNFKVRFSWLEHEPVSGAEIAWTDATLPVAHGRYKLGDSTTTEDRNMFSHLMCSEKGSRRNTYDIHPRKGEVWALFKDWDMSWSSGTGNPGTYQFEVIEVLSDPAESTGIDVIRLVRIKGFVSLFMRAFDDGAAQFKIPPNEMLRFSHMVPSYKLNGNEKEGIPEGSFELDPVSLPVNFGDFAPSVSLDSIEKSKVLNQKNFPDALNISSDEEEPSQEVDAKDTTSPLNARSPHPSSSVGFEYPDSEFYEFHNQRANDKFKCGQIWALYSDLDKYPKYYGRINKVASGKV >DRNTG_14190.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20277972:20283957:1 gene:DRNTG_14190 transcript:DRNTG_14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMECNKEEGMRARDIAENKMRNKDFVGAQRLALKAQQLFPDIDNISQMLTVCEVHCFAEVKFGGDPDWYGILQVEPTADGALIKKQYRKLALLLHPDKNKFPGAEAAFKLIGEANRTLSDQGKRSIHDLKRNPRSIVPSRQSSQQNRAVYVHKQSKPASGRAPNITTNTGPNRVNQQQPSATSAAESFLTYCPTCSRRYRYFKNLLNKALQCQSCLNPFVAYDLNAQTVSPGVKSSHPGAQTKNFSGQNSHDAVQQNDSGYATSKKGPDDKVDGKSTATETLSKSRVNEVGQKSVDGRSDGKTNHGETGNEVKFEKVELNEVNRRKQAAKPSGGNSNHKRSRKIVVESSDAEDAGQEGGANGSHLRRSARQKRKIAYTEAESDDDVDGPSSLKPSDFKREPAGFEMRRNEEKGAHVNEGLNKNETCPEVDRAGLTEEIPKSGTGPGVVPNVDFTSKSLPDLENISYPDPEFYNFEENRDVNRFVADQIWALYDDLDGMPRYYARIRNVHTPNFKVRFSWLEHEPVSGAEIAWTDATLPVAHGRYKLGDSTTTEDRNMFSHLMCSEKGSRRNTYDIHPRKGEVWALFKDWDMSWSSGTGNPGTYQFEVIEVLSDPAESTGIDVIRLVRIKGFVSLFMRAFDDGAAQFKIPPNEMLRFSHMVPSYKLNGNEKEGIPEGSFELDPVSLPVNFGDFAPSVSLDSIEKSKVLNQKNFPDALNISSDEEEPSQEVDAKDTTSPLNARSPHPSSSVGFEYPDSEFYEFHNQRANDKFKCGQIWALYSDLDKYPKYYGRINKVASGKV >DRNTG_32876.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1980015:1981045:-1 gene:DRNTG_32876 transcript:DRNTG_32876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTLLPPLNLYNMKLFSSNNSRSQPRVVSIRKLRSLVLQASKDDMGAVNFDVSHASSSNPLFSLIKAPTWLIWLMGGSSVVPSVSFYRKIRKAQDRLEATVDAVAETVENVAEKVEKISCEMADALPDGTLKEIILAVEKTADIIDKSAEKTGNLIDKLDDIEAKVDAFVDPSNKGEAASKEGDERKQA >DRNTG_29177.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24282207:24282727:1 gene:DRNTG_29177 transcript:DRNTG_29177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFTLGPLKKVARSQSNPFINFSLMVKYATRFTPFSGKNCCPSKITLFCWLAGEDKILTLATLFKKGYNFQNSTNTCVICHNASENLQHLFIDCVFSKRISRAILWNIWLERNNHIFQL >DRNTG_05571.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000255.1:52885:66764:1 gene:DRNTG_05571 transcript:DRNTG_05571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPILVSILMQILERKPYWPTEKLRISITGAGGFIASHIARRLKTARINGVERFFYASSACIYPEFKQLETNVSLKESDAWPTEPQDACGLEKLATEELCKHYTKDFGIELNIGSDEMVSMNEMAEIVLSFENKQLPIHHIPGPEGVRGCNSDNTLIKEKLGWAPTMKLKDGLGFTYFRIKEQIEKEKAQGIDLSIYGSAKVVGTQAPVQLGSLRAADGKE >DRNTG_31680.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18428134:18428373:-1 gene:DRNTG_31680 transcript:DRNTG_31680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDSAKIITEVHPSLESSNSQHSKNISDSILDSLNHTVALLKDEKMRRL >DRNTG_07355.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7714313:7715977:1 gene:DRNTG_07355 transcript:DRNTG_07355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYSYQWNAREKKKLAGLHKIDALTSLAAQVESLNTTNEIVDEYIQEMSNSDLYDGLFDQEEDNEEVMMLGSTEEVSSTPGILMKVLRKMKRVRRHHWKCSKDVGDVH >DRNTG_11838.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:190063:193000:1 gene:DRNTG_11838 transcript:DRNTG_11838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKVVLVCGAVGFLGLLAAALAFGAEATRVKVSDVDTTSPGDCIYPKSPASPLGLTAALALLVAQLIINIVAGCVCCQRHQNSSNSNRTLALISLIVSWVTFTIAFQLLLTGAALNDQRTQQNLYCYAVKPGVFAGGALLSLATVSLAIIYYVSLSTFNTTNTPHFNQNQGIAMAQPEIPPQNTEPVFVHEDTYKRRQFP >DRNTG_04352.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31081185:31081819:1 gene:DRNTG_04352 transcript:DRNTG_04352.7 gene_biotype:protein_coding transcript_biotype:protein_coding SKSNTICRSSSEDGTKKKKAGCYNKSIKKKSPNLWNLIQQKQGRRTHLINSAIVGGKTYPLPVPEGRSRRRRDLAVAGAGGEKLSAGAGVSAGV >DRNTG_04352.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31081185:31081702:1 gene:DRNTG_04352 transcript:DRNTG_04352.9 gene_biotype:protein_coding transcript_biotype:protein_coding SKSNTICRSSSEDGTKKKKAGCYNKSIKKKSPNLWNLIQQKQGRRTHLINSAIVGGKTYPLPVPEGRSRRRRDLAVAGAGGEKLSAGAGVSAGV >DRNTG_04352.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31081137:31081819:1 gene:DRNTG_04352 transcript:DRNTG_04352.6 gene_biotype:protein_coding transcript_biotype:protein_coding SKSNTICRSSSEDGTKKKKAGCYNKSIKKKSPNLWNLIQQKQGRRTHLINSAIVGGKTYPLPVPEGRSRRRRDLAVAGAGGEKLSAGAGVSAGV >DRNTG_04352.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31081137:31081885:1 gene:DRNTG_04352 transcript:DRNTG_04352.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKWGEVATSSTSEEKNIKSGGSQST >DRNTG_04352.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31081137:31081702:1 gene:DRNTG_04352 transcript:DRNTG_04352.8 gene_biotype:protein_coding transcript_biotype:protein_coding SKSNTICRSSSEDGTKKKKAGCYNKSIKKKSPNLWNLIQQKQGRRTHLINSAIVGGKTYPLPVPEGRSRRRRDLAVAGAGGEKLSAGAGVSAGV >DRNTG_04352.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31080756:31081885:1 gene:DRNTG_04352 transcript:DRNTG_04352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWGEVATSSTSEEKNIKSGGSQST >DRNTG_04352.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31081185:31081885:1 gene:DRNTG_04352 transcript:DRNTG_04352.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKWGEVATSSTSEEKNIKSGGSQST >DRNTG_04352.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31080756:31081819:1 gene:DRNTG_04352 transcript:DRNTG_04352.2 gene_biotype:protein_coding transcript_biotype:protein_coding SKSNTICRSSSEDGTKKKKAGCYNKSIKKKSPNLWNLIQQKQGRRTHLINSAIVGGKTYPLPVPEGRSRRRRDLAVAGAGGEKLSAGAGVSAGV >DRNTG_04352.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31080756:31081702:1 gene:DRNTG_04352 transcript:DRNTG_04352.3 gene_biotype:protein_coding transcript_biotype:protein_coding SKSNTICRSSSEDGTKKKKAGCYNKSIKKKSPNLWNLIQQKQGRRTHLINSAIVGGKTYPLPVPEGRSRRRRDLAVAGAGGEKLSAGAGVSAGV >DRNTG_03364.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18008017:18012477:-1 gene:DRNTG_03364 transcript:DRNTG_03364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRACSDTEKKATQNTRNCKSLTMHHTLGSKSFARLENELKVGGDEPVTRVALFKAGYTKKHDGSFVNEKAKKNHEKLVIQSQILSENDAYISVFGKEHPGYVRGLGLGVVKTQIYGSSSNSNSMPYSGGPTQVEFDAMKEKIKQLEEQIAMLTGQQHTNM >DRNTG_13322.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23078131:23078455:-1 gene:DRNTG_13322 transcript:DRNTG_13322.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILTRPSSSAKVVVVPRKPVPSSATREQGSEKRTAQLPAQCQAQVPPKQACPHLSFPFPFVPV >DRNTG_10333.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3054114:3057045:-1 gene:DRNTG_10333 transcript:DRNTG_10333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKVISLKIMISSPRADKSSDFNVCSTSCVADKGHFFVYTSEGKRFMVPLAYIENNIFKELLKISEEEFGLPSNGPITLPCDEASMEYVLSMLRRGVSEEVEKALLSSIFISCQSTCSAFAVENPRFHITLDSHSVSCVPILKCTLCRQRNGVRDLSPCSISCGASGFVILGVLFCVCSFQFN >DRNTG_24209.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22551387:22551881:1 gene:DRNTG_24209 transcript:DRNTG_24209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPVHQRLAGYAASYGPILSLRFSSRPILIVSSAAITEECLLAKDITFSYHPGLAASEVFGYDYTVVDSASYGPYWRSLHPIMAHEVLSQARVTSFAGVRGDGAKGFLGKICRDSGRVTMRVYLSQLTFNLMVRIVMGKRYVNGGGEEGVRMESVKVELKKRG >DRNTG_18701.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14791672:14792610:1 gene:DRNTG_18701 transcript:DRNTG_18701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYYSGRKSYHLESVKATLAVALERATLEDNKSSTIVPIIEEASDPRRERVPVCVTPMKVWIREAIQAGYCSSALLQHGSKHYSSTVHSRPRK >DRNTG_01883.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21083855:21087306:-1 gene:DRNTG_01883 transcript:DRNTG_01883.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDAAEHHHLPLLRLASSKTKKQAFFMASAPNSNPDTTPSPPPPSLSPSSSLPSSSVTTASYCTPSITAMDYDHIVSILHLLPLDSILCFSMTCRKFRSLASSESLWELVCRRDWGSSSVDALVSSFSSVERREMSWKRLYQQVSQLSSVSCRRLVSKDGIFPRPRASHSLNYVSDCLVLFGGGCEGGRHLDDTWVAYIGNGFNRVLSWQQVDSSIPNGRFGHSCIIIADSLVLFGGINDSGVRHNDTWIGRLIREGPLDIKISWRPLDVGPVAPAPRGAHAACCTGEHKMVIHGGIGFNGLRLDDTWVLDLTDDLISARWYPITNARLSPPARSGHSLTWIGGCHMVLYGGRGSGYDVLNDVWLLDIGRELPEWMELKYDNSNIPSEMPLPRVGHSATLIIGGQVLIYGGEDSQRHRKNDFWILDVGALSRFQAMGLKRPPKIWKKLRLEGHCPSYRSFHGACTDRSGRNVFIFGGMVDGVVHPAEAYGLRFDGELYHVKLVLQL >DRNTG_01883.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21083855:21087382:-1 gene:DRNTG_01883 transcript:DRNTG_01883.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDAAEHHHLPLLRLASSKTKKQAFFMASAPNSNPDTTPSPPPPSLSPSSSLPSSSVTTASYCTPSITAMDYDHIVSILHLLPLDSILCFSMTCRKFRSLASSESLWELVCRRDWGSSSVDALVSSFSSVERREMSWKRLYQQVSQLSSVSCRRLVSKDGIFPRPRASHSLNYVSDCLVLFGGGCEGGRHLDDTWVAYIGNGFNRVLSWQQVDSSIPNGRFGHSCIIIADSLVLFGGINDSGVRHNDTWIGRLIREGPLDIKISWRPLDVGPVAPAPRGAHAACCTGEHKMVIHGGIGFNGLRLDDTWVLDLTDDLISARWYPITNARLSPPARSGHSLTWIGGCHMVLYGGRGSGYDVLNDVWLLDIGRELPEWMELKYDNSNIPSEMPLPRVGHSATLIIGGQVLIYGGEDSQRHRKNDFWILDVGALSRFQAMGLKRPPKIWKKLRLEGHCPSYRSFHGACTDRSGRNVFIFGGMVDGVVHPAEAYGLRFDGELYHVKLVLQL >DRNTG_01883.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21083819:21087382:-1 gene:DRNTG_01883 transcript:DRNTG_01883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDAAEHHHLPLLRLASSKTKKQAFFMASAPNSNPDTTPSPPPPSLSPSSSLPSSSVTTASYCTPSITAMDYDHIVSILHLLPLDSILCFSMTCRKFRSLASSESLWELVCRRDWGSSSVDALVSSFSSVERREMSWKRLYQQVSQLSSVSCRRLVSKDGIFPRPRASHSLNYVSDCLVLFGGGCEGGRHLDDTWVAYIGNGFNRVLSWQQVDSSIPNGRFGHSCIIIADSLVLFGGINDSGVRHNDTWIGRLIREGPLDIKISWRPLDVGPVAPAPRGAHAACCTGEHKMVIHGGIGFNGLRLDDTWVLDLTDDLISARWYPITNARLSPPARSGHSLTWIGGCHMVLYGGRGSGYDVLNDVWLLDIGRELPEWMELKYDNSNIPSEMPLPRVGHSATLIIGGQVLIYGGEDSQRHRKNDFWILDVGALSRFQAMGLKRPPKIWKKLRLEGHCPSYRSFHGACTDRSGRNVFIFGGMVDGVVHPAEAYGLRFDGELYHVKLVLQL >DRNTG_01883.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21083776:21087306:-1 gene:DRNTG_01883 transcript:DRNTG_01883.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDAAEHHHLPLLRLASSKTKKQAFFMASAPNSNPDTTPSPPPPSLSPSSSLPSSSVTTASYCTPSITAMDYDHIVSILHLLPLDSILCFSMTCRKFRSLASSESLWELVCRRDWGSSSVDALVSSFSSVERREMSWKRLYQQVSQLSSVSCRRLVSKDGIFPRPRASHSLNYVSDCLVLFGGGCEGGRHLDDTWVAYIGNGFNRVLSWQQVDSSIPNGRFGHSCIIIADSLVLFGGINDSGVRHNDTWIGRLIREGPLDIKISWRPLDVGPVAPAPRGAHAACCTGEHKMVIHGGIGFNGLRLDDTWVLDLTDDLISARWYPITNARLSPPARSGHSLTWIGGCHMVLYGGRGSGYDVLNDVWLLDIGRELPEWMELKYDNSNIPSEMPLPRVGHSATLIIGGQVLIYGGEDSQRHRKNDFWILDVGALSRFQAMGLKRPPKIWKKLRLEGHCPSYRSFHGACTDRSGRNVFIFGGMVDGVVHPAEAYGLRFDGELYHVKLVLQL >DRNTG_14821.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:9835026:9836269:-1 gene:DRNTG_14821 transcript:DRNTG_14821.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGMPSLIMNGEQMHGNPNQVKQRQTA >DRNTG_14821.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:9835026:9836916:-1 gene:DRNTG_14821 transcript:DRNTG_14821.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMKEQGKGRGRGKGNSSLHHAMPQRSTRTSAALAPIIQSSNEAPPSTPEVQINLPTIYDHSPTPSNPVCGGLLMSENDGSSKSSSRGPSVGLPYPLNPNDRVHLTPINADVFVEKGVTTTVTCIIKKHFKGPWPTWRKVPSDVKELMWKTFQEYCKWNLEHNSKIKNVFDKTGKTRLRDMLADERMKAMKEVGATNIRECKGMDREWITKDVWDALINNEWGTDAW >DRNTG_15244.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23439940:23440385:-1 gene:DRNTG_15244 transcript:DRNTG_15244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFRIFIDKDQREASAAGNPPPEGAAMEFRPIEHPSEPPQHDKPITCPQPEPPILYVRAFDLNNFVFLLLNEISLTQDGRRLWKKLK >DRNTG_14078.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9784004:9790529:-1 gene:DRNTG_14078 transcript:DRNTG_14078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACVPIHLGHILAEYIRHQGHYARLGAIFLGPYITRLVLGMGLLDSIRGAEKTSVPTPLSLETMRLMGMVCRVQTGVFALVLQALEIAEDEGDEAGASQPAPEPQPASMETKAPPAAEEPPLSAYATQYRKFMARFDILQQILERDVASSFVLQPRTLQALSVPPAPPSLTPEPMDPPCASTLVVAAAQEPESDSDT >DRNTG_19006.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22631934:22633216:-1 gene:DRNTG_19006 transcript:DRNTG_19006.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKERQRWRTEEDNLLRAYVKQYGPREWHLVSQRMNVPLDRDAKSCLERWKNYLKPGIKKGSLSEEEQRLVIRLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQRELKDSNKPIVIEQGKYDSILENFAEKLVKDRRITPLLMATPLIPPWLSNSNTSPAVRQPSSPSVTLTLSPAAIPSAPPPSWLQAERGAENGLGLSNVPNNMIPSVPTGNGQMVSELVECCRELEQGHRAWVSHRKETAWRLKRVELQLESEKQCKRREKFEEFEAKMRALREEQQLVLERIEAEYREQILGLRREAEVKEQKLAEQWAAKHMQLSKFLEQMGCRQWPGTEMNGR >DRNTG_19006.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22630696:22633216:-1 gene:DRNTG_19006 transcript:DRNTG_19006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERQRWRTEEDNLLRAYVKQYGPREWHLVSQRMNVPLDRDAKSCLERWKNYLKPGIKKGSLSEEEQRLVIRLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQRELKDSNKPIVIEQGKYDSILENFAEKLVKDRRITPLLMATPLIPPWLSNSNTSPAVRQPSSPSVTLTLSPAAIPSAPPPSWLQAERGAENGLGLSNVPNNMIPSVPTGNGQMVSELVECCRELEQGHRAWVSHRKETAWRLKRVELQLESEKQCKRREKFEEFEAKMRALREEQQLVLERIEAEYREQILGLRREAEVKEQKLAEQWAAKHMQLSKFLEQMGCRQWPGTEMNGR >DRNTG_33344.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21504205:21504760:-1 gene:DRNTG_33344 transcript:DRNTG_33344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESINTVCCMCGDVGFQDKLFQCMRCHYRSQHSYCSNYYDDIQSATSSICDWCLSEQRNACATNTSKTTTTTHLMISKKQHAQHDHKDVGRSEYSSMDKIKQTSDREEVANRQGKSTTTGASSSKPSGRRYKLLKDVLC >DRNTG_29390.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:504884:510386:-1 gene:DRNTG_29390 transcript:DRNTG_29390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLMVSSGMRDARIVGDYILSRRIGSGSFSVVWYARHRVRGTEVAVKEIVMERLSKKLQDSLLSEIDILKRIDHPNVISLHDIIKASGRIYLVLEYCRGGDLSMYIQRRGRVPEDVARHFMQQLAAGLMVLRENNLIHRDLKPQNLLLSTNDESSILKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGKTPFTGNNQIELLKNIIKSNELWFPSNINLSCDCIDLCQKLLRRNPVERLTFEEFFVHPFLSKGQPDELLSQASPITRDSCTLVESSPARHSEGSSQEDCLPFILDDDSSGHDVSPSVVMKNASRRSTYGFSVDNKLDESPVCSPSPVCSPSRNMDNPSRYSNAIRRSETTSSRNDSYRYLDGSVKGDQILSNQKLNQIPPEGICPCLGAST >DRNTG_29390.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:504884:510386:-1 gene:DRNTG_29390 transcript:DRNTG_29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLMVSSGMRDARIVGDYILSRRIGSGSFSVVWYARHRVRGTEVAVKEIVMERLSKKLQDSLLSEIDILKRIDHPNVISLHDIIKASGRIYLVLEYCRGGDLSMYIQRRGRVPEDVARHFMQQLAAGLMVLRENNLIHRDLKPQNLLLSTNDESSILKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGKTPFTGNNQIELLKNIIKSNELWFPSNINLSCDCIDLCQKLLRRNPVERLTFEEFFVHPFLSKGQPDELLSQASPITRDSCTLVESSPARHSEGSSQEDCLPFILDDDSSGHDVSPSVVMKNASRRSTYGFSVDNKLDESPVCSPSPVCSPSRNMDNPSRYSNAIRRSETTSSRNDSYRYLDGSVKGDQILSNQKLNQIPPEDPSVVDSLEFVDQEYVLVSGPPLELPSLSTSASRPCNLPCKLGSSPGAFKTRALSAPMPIIGAVTSNSRPIGSLGSHGSPASGTSQGSMDVCDAMEQPSTDCMTRIRSLQKCASVITDLVNQKIEDGSRLENQNRAYSCRLEAFSVQLVLLAIWKQALHICHAQAASAMEGSPSQEVGQRVSYNKNASNSQGIGSIYQPWLDSVCQQIEKEFLLEVDHAENLAQDLGQVDETTEMPDAIEIIFRSALDLGKHGAVNEMMDHVERAEAQYSQAVQLLYFLLVEAPSLILNPPFSLTNSDRYRIRTYIDILNNRQGQSRSQRVALLKCEDQ >DRNTG_35237.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3535635:3536125:-1 gene:DRNTG_35237 transcript:DRNTG_35237.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESVQCECCGLREDCTQEYIRGVRANFEGKWLCGLCSEAVRDEAIRSGRRKDQQLHHAMDEALQEHITFCTKFRANPAVLVADGMRQMLRRRSGDHLSTTPSKNFRRSSSTL >DRNTG_35237.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3535405:3536125:-1 gene:DRNTG_35237 transcript:DRNTG_35237.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESVQCECCGLREDCTQEYIRGVRANFEGKWLCGLCSEAVRDEAIRSGRRKDQQLHHAMDEALQEHITFCTKFRANPAVLVADGMRQMLRRRSGDHLSTTPSKNFRRSSSTL >DRNTG_35237.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3535405:3536290:-1 gene:DRNTG_35237 transcript:DRNTG_35237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESVQCECCGLREDCTQEYIRGVRANFEGKWLCGLCSEAVRDEAIRSGRRKDQQLHHAMDEALQEHITFCTKFRANPAVLVADGMRQMLRRRSGDHLSTTPSKNFRRSSSTL >DRNTG_35237.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3535635:3536290:-1 gene:DRNTG_35237 transcript:DRNTG_35237.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESVQCECCGLREDCTQEYIRGVRANFEGKWLCGLCSEAVRDEAIRSGRRKDQQLHHAMDEALQEHITFCTKFRANPAVLVADGMRQMLRRRSGDHLSTTPSKNFRRSSSTL >DRNTG_18757.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1294787:1296229:-1 gene:DRNTG_18757 transcript:DRNTG_18757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWSGAIGAAKKKIDEESGDAAGKYQSVGLVVGVTGIVGNSLAEILPLSDTPGGPWKVYGVSRRPLCSWSPTPVPAFEHIQCDISNPDDALAKLSSLTDITHVFYVSWSARPTEAENRKVNSAMLRNVLAAVLPNSPNLHHVCLQTGRKHYVGSFEALLKVEFPEPPFTEEMPRLNCPNFYYDLEDILFDELSKRDGAVSWSVHRPTTIFGFSPYSLMNIVGTLCVYAAICKHEGSLLRWPGSRMTWEGFSDISDADLIAEHQIWASVDPFAKNEAFNCSNGDVFKWKQLWRILAEQFGVDFVGYEGEENQVKLEEVMKGKEGVWDEIVVKYELAPTKLNDVGQWWFVDAVLGVEIEHLDSMNKSKEHGFLGFRNTVTSFHSWIDKLRAYKIVP >DRNTG_03881.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3837837:3838225:1 gene:DRNTG_03881 transcript:DRNTG_03881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAFPERDDGNNSTSERRWRPPSTRERGEAATPVLQMEGERGPRHTQRRETERE >DRNTG_09218.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4531146:4534384:-1 gene:DRNTG_09218 transcript:DRNTG_09218.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNPSEQINGTLWQRAAVTTGAGAGATLLQAHSIADYPDRDLPGTLTAHSETHLRPQLHPKSQFLALKPHCHPPPHRLIHHHPLLARREPRHRRVPLPLHESRCARRAYGEIPIIIGHRDPRFQIRIREPHLAIVVRRLDIDGGGGVKVRRPIGSRRDVESRRPERLDPIRGKTRLEPKVSEKADDGEEDDETKYNNGDPEACSAATRSAPPPSRRRFAGVPQCRGELRRFPRHHWRTRTIAAIHRRWFSVSWWPLKHWLRRRCRRRRSRRLLRHYLLSQCLRSSVFFFSFSFSPWNTGGAR >DRNTG_09218.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4531146:4534384:-1 gene:DRNTG_09218 transcript:DRNTG_09218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNPSEQINGTLWQRAAVTTGAGAGATLLQAHSIADYPDRDLPGTLTAHSETHLRPQLHPKSQFLALKPHCHPPPHRLIHHHPLLARREPRHRRVPLPLHESRCARRAYGEIPIIIGHRDPRFQIRIREPHLAIVVRRLDIDGGGGVKVRRPIGSRRDVESRRPERLDPIRGKTRLEPKVSEKADDGEEDDETKYNNGDPEACSAATRSAPPPSRRRFAGVPQCRGELRRFPRHHWRTRTIAAIHRRWFSVSWWPLKHWLRRRCRRRRSRRLLRHYLLSQCLRSSVFFFSFSFSPFEYYKLYIKNWEKNKYFFFKKKD >DRNTG_09456.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21291508:21294396:-1 gene:DRNTG_09456 transcript:DRNTG_09456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFGNRKTPAELLRENKRMLDRSIRDIERERQGLQTQEKKLIVEIKKTAKQGQMGAVKVMAKDLIRTRHQITKFYALKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPALQKIMQEFERQNEKMELVSEVMSDAIDDAMEGDEEEEETEELVNQVLDEIGIDINSELVKAPSSAVAAPVGAKVAQAETAGQQDGAIDDDLQARLNNLRKM >DRNTG_29115.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:10694709:10700880:-1 gene:DRNTG_29115 transcript:DRNTG_29115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEPFNRLVRLAARAFYDDITMKGDNQPKNGRGDNRGMAVVVLDALTRRQWVREEDLAKALKLHSKQLRRTLRFFEEEKLVTRDHRRETAKGAKIFSAAVAATADGPRGKEGEEKMKLHTHSYCCLDYAQIYDVVRYRMHRMKKKLKDELDSRNMVQEYICPNCGKRYSAFDALQLVSLTDEYFHCERCNGELVAESDKLAAEEMGDGDDNAKRRRHEKLKDMLQKMEEQLKPLAQQLLRVKDLPVPDFGTLQAWEARANAANRANGDPSANDSSKSSQGHGYGGTPMPFLGDTKVEVAFSGVEVKEDTEPETKATALKVLPPWMIREGMVLTKEQRGEVKPDVMKMDQPSSSTDDKKQKIVKDDQKSIEDEYIKAYYSALMERQRAQEEVTKKMQSEAGNLSNGVSEAAGRKVGAKAKREEDEDDAEWEEPQPTGEVYKVADLNAQAQESSEDEEDGIDWEEG >DRNTG_30251.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:272537:278573:1 gene:DRNTG_30251 transcript:DRNTG_30251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNKEWQVSIGAGQTMTGLHHRYQSKRPVWIIILVSLVCVSLLGAYIYPPRGYSGCYFLSSSICSKDWLPPVPARVYTDEEIAARVVFRDIIAAPFVQSNNPKIAFMFLTPGSLPFEKLWEKFFQGHEGRFSIYVHASREKPVHVSPLFTGREIRSEKVAWGKISMVDAEKRLLANALQDTDNQHFVLLSDSCVPLHDFDYVYSYLMETNISFIDCFEDPGPHGSGRYYEHMLPEIEKKEFRKGSQWFSVKRPHALLILSDSLYYTKFKLYCKPGMEGRNCYADEHYLPTLFHMVDPGGIANWSVTHVDWSEEKWHPKAYRAQDVTFELLKNITSIDESYHKTSDESKQVQQSPCLWNGMKRPCYLFARKFYPEALNNLLHLFSNFTSI >DRNTG_30251.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:274990:278573:1 gene:DRNTG_30251 transcript:DRNTG_30251.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEIEKKEFRKGSQWFSVKRPHALLILSDSLYYTKFKLYCKPGMEGRNCYADEHYLPTLFHMVDPGGIANWSVTHVDWSEEKWHPKAYRAQDVTFELLKNITSIDESYHKTSDESKQVQQSPCLWNGMKRPCYLFARKFYPEALNNLLHLFSNFTSI >DRNTG_30251.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:274160:278573:1 gene:DRNTG_30251 transcript:DRNTG_30251.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAEKRLLANALQDTDNQHFVLLSDSCVPLHDFDYVYSYLMETNISFIDCFEDPGPHGSGRYYEHMLPEIEKKEFRKGSQWFSVKRPHALLILSDSLYYTKFKLYCKPGMEGRNCYADEHYLPTLFHMVDPGGIANWSVTHVDWSEEKWHPKAYRAQDVTFELLKNITSIDESYHKTSDESKQVQQSPCLWNGMKRPCYLFARKFYPEALNNLLHLFSNFTSI >DRNTG_30251.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:275498:278573:1 gene:DRNTG_30251 transcript:DRNTG_30251.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRNCYADEHYLPTLFHMVDPGGIANWSVTHVDWSEEKWHPKAYRAQDVTFELLKNITSIDESYHKTSDESKQVQQSPCLWNGMKRPCYLFARKFYPEALNNLLHLFSNFTSI >DRNTG_30251.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:274646:278573:1 gene:DRNTG_30251 transcript:DRNTG_30251.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAEKRLLANALQDTDNQHFVLLSDSCVPLHDFDYVYSYLMETNISFIDCFEDPGPHGSGRYYEHMLPEIEKKEFRKGSQWFSVKRPHALLILSDSLYYTKFKLYCKPGMEGRNCYADEHYLPTLFHMVDPGGIANWSVTHVDWSEEKWHPKAYRAQDVTFELLKNITSIDESYHKTSDESKQVQQSPCLWNGMKRPCYLFARKFYPEALNNLLHLFSNFTSI >DRNTG_30251.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:272537:278573:1 gene:DRNTG_30251 transcript:DRNTG_30251.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNKEWQVSIGAGQTMTGLHHRYQSKRPVWIIILVSLVCVSLLGAYIYPPRGYSGCYFLSSSICSKDWLPPVPARVYTDEEIAARVVFRDIIAAPFVQSNNPKIAFMFLTPGSLPFEKLWEKFFQGHEGRFSIYVHASREKPVHVSPLFTGREIRSEKVAWGKISMVDAEKRLLANALQDTDNQHFVLLSDSCVPLHDFDYVYSYLMETNISFIDCFEDPGPHGSGRYYEHMLPEIEKKEFRKGSQWFSVKRPHALLILSDSLYYTKFKLYCKPGMEGRNCYADEHYLPTLFHMVDPGGIANWSVTHVDWSEEKWHPKAYRAQDVTFELLKNITSIDESYHKTSDESKQVQQSPCLWNGMKRPCYLFARKFYPEALNNLLHLFSNFTSI >DRNTG_30251.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:273293:278573:1 gene:DRNTG_30251 transcript:DRNTG_30251.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNKEWQVSIGAGQTMTGLHHRYQSKRPVWIIILVSLVCVSLLGAYIYPPRGYSGCYFLSSSICSKDWLPPVPARVYTDEEIAARVVFRDIIAAPFVQSNNPKIAFMFLTPGSLPFEKLWEKFFQGHEGRFSIYVHASREKPVHVSPLFTGREIRSEKVAWGKISMVDAEKRLLANALQDTDNQHFVLLSDSFEDPGPHGSGRYYEHMLPEIEKKEFRKGSQWFSVKRPHALLILSDSLYYTKFKLYCKPGMEGRNCYADEHYLPTLFHMVDPGGIANWSVTHVDWSEEKWHPKAYRAQDVTFELLKNITSIDESYHKTSDESKQVQQSPCLWNGMKRPCYLFARKFYPEALNNLLHLFSNFTSI >DRNTG_30942.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2492887:2495923:1 gene:DRNTG_30942 transcript:DRNTG_30942.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g39710 [Source:Projected from Arabidopsis thaliana (AT5G39710) UniProtKB/Swiss-Prot;Acc:Q9FIX3] MARSGVCFNAYSYNILIRGFCAWGDLPRGFSFFHEMVQAGCSPNCVTYNTLVDACCKSGRTDKGLRLVQEMLENQVMPNVVTYNAVINGLCREKRVTEVDKVVEEMVRLGLAPDEVTYNTIMNGYCKEGDVHRALVAHAEMTRRGLKPNVVTYTLLIDAMCKAGNLKKAMEFVEQMRERGIGLNEVTYTALVDGFCKKGFLDDAVVVLNEMKMSGILLSVVCYNALVHGYCELGRMLEAEGIVREMEGNGLKPDMVTFGTLLNGYCKNGDLERAFKLNQEMLDKGTLPDAIAYSSLIRGLCEARRLDDAFGLFKQMLSVNVLPDEFTYTTLIDGCCKEGDVKKAFALHDEMIRKGILPDVVTYSVLINGLNKTSRTKEARRLLFKLYYEEPVPDNIMYDALMDCCNKADSKGTVSLIKSFCMKGMITEAQKVFDSMTEKGGKPVEAAYNVIIRGHCRGGNVHKALALYKDMLKLGFVPNAISIISLIKGLSDAEMQTDLNEVIQELLRGSMLTDAETSKVIIEVNHREGNIEAVLDVLTEMANDGLLPNGSVNI >DRNTG_34214.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2056134:2058471:1 gene:DRNTG_34214 transcript:DRNTG_34214.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRFSIIQWEALALLLIGISVNQLRSLPEGTTAMGLPVTTIAYIYTLIFVTVPSFASVYNEYALKSQFETSIYLQNLFLYGYGAIFNFLGIVGTAIVKGSSSFNILEGHSKATMLLIFNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHTLTMNFMLGISIVFISMHQFFSPIAEVKDETLNETLELMDTQKLRSKDASFINMTAGATEDASHHLGPDERQPLLPT >DRNTG_34214.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2052428:2058471:1 gene:DRNTG_34214 transcript:DRNTG_34214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGVVECTVCHSNLLSPSAKTISRAYDKRRNRFSSKAQALNFLLVVGDCFLVGLQPILVYMSKVDGGFKFSPISVNFLTEVAKVLFAVIMLIFQGRRQKVGEKPLLSISTFVQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAVLLKIIMRRRFSIIQWEALALLLIGISVNQLRSLPEGTTAMGLPVTTIAYIYTLIFVTVPSFASVYNEYALKSQFETSIYLQNLFLYGYGAIFNFLGIVGTAIVKGSSSFNILEGHSKATMLLIFNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHTLTMNFMLGISIVFISMHQFFSPIAEVKDETLNETLELMDTQKLRSKDASFINMTAGATEDASHHLGPDERQPLLPT >DRNTG_08136.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000366.1:71410:72293:-1 gene:DRNTG_08136 transcript:DRNTG_08136.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTFNEIQKLLSKTYGKVIGDVMTTNPLVVRETTNLEDAARLLLKTKYRRLPVVDSEGKLVGIITRGNVVRAALQINRTSEISES >DRNTG_08136.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000366.1:71410:73004:-1 gene:DRNTG_08136 transcript:DRNTG_08136.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNPLVVRETTNLEDAARLLLKTKYRRLPVVDSEGKLVGIITRGNVVRAALQINRTSEISES >DRNTG_12593.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20533546:20534623:1 gene:DRNTG_12593 transcript:DRNTG_12593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHTLDTMEGQKDDDQEQQRPVPPPPKVARLSSDSSGVVTMATPSPLMLGLGLGLGPGKPAFTFLQWQELQHQALIYKYMAAGIPVPLHLVVPIWKSVAAAAAASSSSSSSSSHHYPSFMMGHGGWFMDYRNSMEPEPGRCRRTDGKKWRCSRDVVPDQKYCERHMHRGRNRSRKPVEQSASASVPTPATALQPSTSNHGTQLSISISSSGFQLNSNNVSPPRLGFSPTSVLHSSKP >DRNTG_17352.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32178292:32178462:-1 gene:DRNTG_17352 transcript:DRNTG_17352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSCIQCCKCSTSYHAMCASRAGYRMEVVTVFQNSIYAN >DRNTG_31496.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23364615:23365665:1 gene:DRNTG_31496 transcript:DRNTG_31496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFKLRVLENNSKPLKNRPQTYSYVHESRVIFGRDEDKEKLLQMLISDCFDEKVAVVSVIGMGGLGKTTLAQLVYADERVKKQFELCIWVCVSDDFDVAKLARKIIHTASGKICDHTNMEVLQQDLRQILRKKRYLLVLDDVWNEDFKKWTDLKNMLLGGGEGSRILVTTRNEKCSRVMGAGKHYIL >DRNTG_31464.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1882889:1883879:-1 gene:DRNTG_31464 transcript:DRNTG_31464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGEDRRESAGAVESDDEISPIEEVRLTVTNTDDPTLPVWTFRMWFIGILCCALLSFLNQFFAYRTNPLIITQITVQVASLPVGRFLASALPTTRFKIPGFGDREFSLNPGPFNMKEHVLITIFANAGSAFGNGPAYAVGIVDIIKAFYKRSISFIAAWILIVT >DRNTG_16737.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13950818:13952320:1 gene:DRNTG_16737 transcript:DRNTG_16737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLIVSSSLIAGIAPCSKNQKWKRPRKTSPELAHIEFSNPEYQARFERLSRLRKLAEIIVDMRASYLPTDTRNTEEYGHLPIDYPGILTPRQAYQALCVQRQYVDGCGDNMGVLVRPYITRIILGMGLVDAIRDTEKTVIHSPLRLDTLRMMGVVRRYGLGVHIFVTSTTEST >DRNTG_10409.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1704636:1706996:1 gene:DRNTG_10409 transcript:DRNTG_10409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVQGRQIFDLMLSLVGTSFGCLFQVKSFPTCMHLLIALN >DRNTG_10409.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1704636:1706005:1 gene:DRNTG_10409 transcript:DRNTG_10409.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVQGRQIFDLMLSLVGTSFGCLFQVKSFPTCMHLLIALN >DRNTG_21163.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2187975:2188814:1 gene:DRNTG_21163 transcript:DRNTG_21163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFGLVYTVYATAIDSKRGTLGTIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPSLVSWSWDNQWVYWVGPLIGGGLAGLVYELFFISHSHEQIPSAEY >DRNTG_29310.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29636474:29639326:-1 gene:DRNTG_29310 transcript:DRNTG_29310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHQVKDNAVVFQSLPSLSFGFLIFFFGFWEEFGKAMAAALECWSGRPSTDEDMVEQVLMKNNDRSESEAFTASTSSTSNPPTKWQRIGRNFAGAVAALKTSLHPDSAGAGSGPTRLVWAAIVRNLTQLYPGSQLPDRLLSNVRRHFDSLPTSYSQAGFDMKEVLLHVRLIDQASSDDLPAVHVQQIQGEAEDDGVVFQLTFASNSALSWSAISEALDSSSICCKKIQIFEKKGLTLAVVTVLVQPGDEKVFKSRIDAALKLAGKKPRNAEVKFPFGLCGCHEGVCQNAQENGDGGNGLETEMACRVKLPVPLPEATISVIVDEWQIVRSDGDDVGQWLLSSNEVEIVEQAGLNSFRGSHKGMRIHLKKLKGCERGNVFEFEVRQDLLQLMSSGHKNILQFHGICIQESHGLCVVTKMMDGGSVHALIQKKKKLAFKDVMRIALDVAEGLMFMNHHGVAYKDLNSQRILLDKQGNACLGDMGIVASGKNIGEVTEYETAGYQWLAPEIIAGDPESVIETWMSNVYSFGMVIWEMVTGEAAYSSYSPVQAAVGIATCGLRPEIPKDCPQVLKSVMIKCWNNCPSERPEFSEIISILGKHNNSDD >DRNTG_29310.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29636304:29639326:-1 gene:DRNTG_29310 transcript:DRNTG_29310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHQVKDNAVVFQSLPSLSFGFLIFFFGFWEEFGKAMAAALECWSGRPSTDEDMVEQVLMKNNDRSESEAFTASTSSTSNPPTKWQRIGRNFAGAVAALKTSLHPDSAGAGSGPTRLVWAAIVRNLTQLYPGSQLPDRLLSNVRRHFDSLPTSYSQAGFDMKEVLLHVRLIDQASSDDLPAVHVQQIQGEAEDDGVVFQLTFASNSALSWSAISEALDSSSICCKKIQIFEKKGLTLAVVTVLVQPGDEKVFKSRIDAALKLAGKKPRNAEVKFPFGLCGCHEGVCQNAQENGDGGNGLETEMACRVKLPVPLPEATISVIVDEWQIVRSDGDDVGQWLLSSNEVEIVEQAGLNSFRGSHKGMRIHLKKLKGCERGNVFEFEVRQDLLQLMSSGHKNILQFHGICIQESHGLCVVTKMMDGGSVHALIQKKKKLAFKDVMRIALDVAEGLMFMNHHGVAYKDLNSQRILLDKQGNACLGDMGIVASGKNIGEVTEYETAGYQWLAPEIIAGDPESVIETWMSNVYSFGMVIWEMVTGEAAYSSYSPVQAAVGIATCGLRPEIPKDCPQVLKSVMIKCWNNCPSERPEFSEIISILGKHNNR >DRNTG_29310.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29636261:29639326:-1 gene:DRNTG_29310 transcript:DRNTG_29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHQVKDNAVVFQSLPSLSFGFLIFFFGFWEEFGKAMAAALECWSGRPSTDEDMVEQVLMKNNDRSESEAFTASTSSTSNPPTKWQRIGRNFAGAVAALKTSLHPDSAGAGSGPTRLVWAAIVRNLTQLYPGSQLPDRLLSNVRRHFDSLPTSYSQAGFDMKEVLLHVRLIDQASSDDLPAVHVQQIQGEAEDDGVVFQLTFASNSALSWSAISEALDSSSICCKKIQIFEKKGLTLAVVTVLVQPGDEKVFKSRIDAALKLAGKKPRNAEVKFPFGLCGCHEGVCQNAQENGDGGNGLETEMACRVKLPVPLPEATISVIVDEWQIVRSDGDDVGQWLLSSNEVEIVEQAGLNSFRGSHKGMRIHLKKLKGCERGNVFEFEVRQDLLQLMSSGHKNILQFHGICIQESHGLCVVTKMMDGGSVHALIQKKKKLAFKDVMRIALDVAEGLMFMNHHGVAYKDLNSQRILLDKQGNACLGDMGIVASGKNIGEVTEYETAGYQWLAPEIIAGDPESVIETWMSNVYSFGMVIWEMVTGEAAYSSYSPVQAAVGIATCGLRPEIPKDCPQVLKSVMIKCWNNCPSERPEFSEIISILGKHNNSDD >DRNTG_29310.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29636549:29639092:-1 gene:DRNTG_29310 transcript:DRNTG_29310.12 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSGRPSTDEDMVEQVLMKNNDRSESEAFTASTSSTSNPPTKWQRIGRNFAGAVAALKTSLHPDSAGAGSGPTRLVWAAIVRNLTQLYPGSQLPDRLLSNVRRHFDSLPTSYSQAGFDMKEVLLHVRLIDQASSDDLPAVHVQQIQGEAEDDGVVFQLTFASNSALSWSAISEALDSSSICCKKIQIFEKKGLTLAVVTVLVQPGDEKVFKSRIDAALKLAGKKPRNAEVKFPFGLCGCHEGVCQNAQENGDGGNGLETEMACRVKLPVPLPEATISVIVDEWQIVRSDGDDVGQWLLSSNEVEIVEQAGLNSFRGSHKGMRIHLKKLKGCERGNVFEFEVRQDLLQLMSSGHKNILQFHGICIQESHGLCVVTKMMDGGSVHALIQKKKKLAFKDVMRIALDVAEGLMFMNHHGVAYKDLNSQRILLDKQGNACLGDMGIVASGKNIGEVTEYETAGYQWLAPEIIAGDPESVIETWMSNVYSFGMVIWEMVTGEAAYSSYSPVQAAVGIATCGLRPEIPKDCPQVLKSVMIKCWNNCPSERPEFSEIISILGKHNNR >DRNTG_29310.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29636549:29639326:-1 gene:DRNTG_29310 transcript:DRNTG_29310.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHQVKDNAVVFQSLPSLSFGFLIFFFGFWEEFGKAMAAALECWSGRPSTDEDMVEQVLMKNNDRSESEAFTASTSSTSNPPTKWQRIGRNFAGAVAALKTSLHPDSAGAGSGPTRLVWAAIVRNLTQLYPGSQLPDRLLSNVRRHFDSLPTSYSQAGFDMKEVLLHVRLIDQASSDDLPAVHVQQIQGEAEDDGVVFQLTFASNSALSWSAISEALDSSSICCKKIQIFEKKGLTLAVVTVLVQPGDEKVFKSRIDAALKLAGKKPRNAEVKFPFGLCGCHEGVCQNAQENGDGGNGLETEMACRVKLPVPLPEATISVIVDEWQIVRSDGDDVGQWLLSSNEVEIVEQAGLNSFRGSHKGMRIHLKKLKGCERGNVFEFEVRQDLLQLMSSGHKNILQFHGICIQESHGLCVVTKMMDGGSVHALIQKKKKLAFKDVMRIALDVAEGLMFMNHHGVAYKDLNSQRILLDKQGNACLGDMGIVASGKNIGEVTEYETAGYQWLAPEIIAGDPESVIETWMSNVYSFGMVIWEMVTGEAAYSSYSPVQAAVGIATCGLRPEIPKDCPQVLKSVMIKCWNNCPSERPEFSEIISILGKHNNSDD >DRNTG_29310.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29636549:29639092:-1 gene:DRNTG_29310 transcript:DRNTG_29310.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSGRPSTDEDMVEQVLMKNNDRSESEAFTASTSSTSNPPTKWQRIGRNFAGAVAALKTSLHPDSAGAGSGPTRLVWAAIVRNLTQLYPGSQLPDRLLSNVRRHFDSLPTSYSQAGFDMKEVLLHVRLIDQASSDDLPAVHVQQIQGEAEDDGVVFQLTFASNSALSWSAISEALDSSSICCKKIQIFEKKGLTLAVVTVLVQPGDEKVFKSRIDAALKLAGKKPRNAEVKFPFGLCGCHEGVCQNAQENGDGGNGLETEMACRVKLPVPLPEATISVIVDEWQIVRSDGDDVGQWLLSSNEVEIVEQAGLNSFRGSHKGMRIHLKKLKGCERGNVFEFEVRQDLLQLMSSGHKNILQFHGICIQESHGLCVVTKMMDGGSVHALIQKKKKLAFKDVMRIALDVAEGLMFMNHHGVAYKDLNSQRILLDKQGNACLGDMGIVASGKNIGEVTEYETAGYQWLAPEIIAGDPESVIETWMSNVYSFGMVIWEMVTGEAAYSSYSPVQAAVGIATCGLRPEIPKDCPQVLKSVMIKCWNNCPSERPEFSEIISILGKHNNSDD >DRNTG_29310.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29636474:29639092:-1 gene:DRNTG_29310 transcript:DRNTG_29310.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSGRPSTDEDMVEQVLMKNNDRSESEAFTASTSSTSNPPTKWQRIGRNFAGAVAALKTSLHPDSAGAGSGPTRLVWAAIVRNLTQLYPGSQLPDRLLSNVRRHFDSLPTSYSQAGFDMKEVLLHVRLIDQASSDDLPAVHVQQIQGEAEDDGVVFQLTFASNSALSWSAISEALDSSSICCKKIQIFEKKGLTLAVVTVLVQPGDEKVFKSRIDAALKLAGKKPRNAEVKFPFGLCGCHEGVCQNAQENGDGGNGLETEMACRVKLPVPLPEATISVIVDEWQIVRSDGDDVGQWLLSSNEVEIVEQAGLNSFRGSHKGMRIHLKKLKGCERGNVFEFEVRQDLLQLMSSGHKNILQFHGICIQESHGLCVVTKMMDGGSVHALIQKKKKLAFKDVMRIALDVAEGLMFMNHHGVAYKDLNSQRILLDKQGNACLGDMGIVASGKNIGEVTEYETAGYQWLAPEIIAGDPESVIETWMSNVYSFGMVIWEMVTGEAAYSSYSPVQAAVGIATCGLRPEIPKDCPQVLKSVMIKCWNNCPSERPEFSEIISILGKHNNSDD >DRNTG_29310.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29636261:29639092:-1 gene:DRNTG_29310 transcript:DRNTG_29310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSGRPSTDEDMVEQVLMKNNDRSESEAFTASTSSTSNPPTKWQRIGRNFAGAVAALKTSLHPDSAGAGSGPTRLVWAAIVRNLTQLYPGSQLPDRLLSNVRRHFDSLPTSYSQAGFDMKEVLLHVRLIDQASSDDLPAVHVQQIQGEAEDDGVVFQLTFASNSALSWSAISEALDSSSICCKKIQIFEKKGLTLAVVTVLVQPGDEKVFKSRIDAALKLAGKKPRNAEVKFPFGLCGCHEGVCQNAQENGDGGNGLETEMACRVKLPVPLPEATISVIVDEWQIVRSDGDDVGQWLLSSNEVEIVEQAGLNSFRGSHKGMRIHLKKLKGCERGNVFEFEVRQDLLQLMSSGHKNILQFHGICIQESHGLCVVTKMMDGGSVHALIQKKKKLAFKDVMRIALDVAEGLMFMNHHGVAYKDLNSQRILLDKQGNACLGDMGIVASGKNIGEVTEYETAGYQWLAPEIIAGDPESVIETWMSNVYSFGMVIWEMVTGEAAYSSYSPVQAAVGIATCGLRPEIPKDCPQVLKSVMIKCWNNCPSERPEFSEIISILGKHNNSDD >DRNTG_29310.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29636304:29639092:-1 gene:DRNTG_29310 transcript:DRNTG_29310.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSGRPSTDEDMVEQVLMKNNDRSESEAFTASTSSTSNPPTKWQRIGRNFAGAVAALKTSLHPDSAGAGSGPTRLVWAAIVRNLTQLYPGSQLPDRLLSNVRRHFDSLPTSYSQAGFDMKEVLLHVRLIDQASSDDLPAVHVQQIQGEAEDDGVVFQLTFASNSALSWSAISEALDSSSICCKKIQIFEKKGLTLAVVTVLVQPGDEKVFKSRIDAALKLAGKKPRNAEVKFPFGLCGCHEGVCQNAQENGDGGNGLETEMACRVKLPVPLPEATISVIVDEWQIVRSDGDDVGQWLLSSNEVEIVEQAGLNSFRGSHKGMRIHLKKLKGCERGNVFEFEVRQDLLQLMSSGHKNILQFHGICIQESHGLCVVTKMMDGGSVHALIQKKKKLAFKDVMRIALDVAEGLMFMNHHGVAYKDLNSQRILLDKQGNACLGDMGIVASGKNIGEVTEYETAGYQWLAPEIIAGDPESVIETWMSNVYSFGMVIWEMVTGEAAYSSYSPVQAAVGIATCGLRPEIPKDCPQVLKSVMIKCWNNCPSERPEFSEIISILGKHNNSDD >DRNTG_29310.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29636549:29639326:-1 gene:DRNTG_29310 transcript:DRNTG_29310.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHQVKDNAVVFQSLPSLSFGFLIFFFGFWEEFGKAMAAALECWSGRPSTDEDMVEQVLMKNNDRSESEAFTASTSSTSNPPTKWQRIGRNFAGAVAALKTSLHPDSAGAGSGPTRLVWAAIVRNLTQLYPGSQLPDRLLSNVRRHFDSLPTSYSQAGFDMKEVLLHVRLIDQASSDDLPAVHVQQIQGEAEDDGVVFQLTFASNSALSWSAISEALDSSSICCKKIQIFEKKGLTLAVVTVLVQPGDEKVFKSRIDAALKLAGKKPRNAEVKFPFGLCGCHEGVCQNAQENGDGGNGLETEMACRVKLPVPLPEATISVIVDEWQIVRSDGDDVGQWLLSSNEVEIVEQAGLNSFRGSHKGMRIHLKKLKGCERGNVFEFEVRQDLLQLMSSGHKNILQFHGICIQESHGLCVVTKMMDGGSVHALIQKKKKLAFKDVMRIALDVAEGLMFMNHHGVAYKDLNSQRILLDKQGNACLGDMGIVASGKNIGEVTEYETAGYQWLAPEIIAGDPESVIETWMSNVYSFGMVIWEMVTGEAAYSSYSPVQAAVGIATCGLRPEIPKDCPQVLKSVMIKCWNNCPSERPEFSEIISILGKHNNR >DRNTG_29310.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29636304:29639092:-1 gene:DRNTG_29310 transcript:DRNTG_29310.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSGRPSTDEDMVEQVLMKNNDRSESEAFTASTSSTSNPPTKWQRIGRNFAGAVAALKTSLHPDSAGAGSGPTRLVWAAIVRNLTQLYPGSQLPDRLLSNVRRHFDSLPTRFGSLLHLLAGFIDTCACFSHIVLLCSYSQAGFDMKEVLLHVRLIDQASSDDLPAVHVQQIQGEAEDDGVVFQLTFASNSALSWSAISEALDSSSICCKKIQIFEKKGLTLAVVTVLVQPGDEKVFKSRIDAALKLAGKKPRNAEVKFPFGLCGCHEGVCQNAQENGDGGNGLETEMACRVKLPVPLPEATISVIVDEWQIVRSDGDDVGQWLLSSNEVEIVEQAGLNSFRGSHKGMRIHLKKLKGCERGNVFEFEVRQDLLQLMSSGHKNILQFHGICIQESHGLCVVTKMMDGGSVHALIQKKKKLAFKDVMRIALDVAEGLMFMNHHGVAYKDLNSQRILLDKQGNACLGDMGIVASGKNIGEVTEYETAGYQWLAPEIIAGDPESVIETWMSNVYSFGMVIWEMVTGEAAYSSYSPVQAAVGIATCGLRPEIPKDCPQVLKSVMIKCWNNCPSERPEFSEIISILGKHNNR >DRNTG_29310.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29636304:29639326:-1 gene:DRNTG_29310 transcript:DRNTG_29310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHQVKDNAVVFQSLPSLSFGFLIFFFGFWEEFGKAMAAALECWSGRPSTDEDMVEQVLMKNNDRSESEAFTASTSSTSNPPTKWQRIGRNFAGAVAALKTSLHPDSAGAGSGPTRLVWAAIVRNLTQLYPGSQLPDRLLSNVRRHFDSLPTSYSQAGFDMKEVLLHVRLIDQASSDDLPAVHVQQIQGEAEDDGVVFQLTFASNSALSWSAISEALDSSSICCKKIQIFEKKGLTLAVVTVLVQPGDEKVFKSRIDAALKLAGKKPRNAEVKFPFGLCGCHEGVCQNAQENGDGGNGLETEMACRVKLPVPLPEATISVIVDEWQIVRSDGDDVGQWLLSSNEVEIVEQAGLNSFRGSHKGMRIHLKKLKGCERGNVFEFEVRQDLLQLMSSGHKNILQFHGICIQESHGLCVVTKMMDGGSVHALIQKKKKLAFKDVMRIALDVAEGLMFMNHHGVAYKDLNSQRILLDKQGNACLGDMGIVASGKNIGEVTEYETAGYQWLAPEIIAGDPESVIETWMSNVYSFGMVIWEMVTGEAAYSSYSPVQAAVGIATCGLRPEIPKDCPQVLKSVMIKCWNNCPSERPEFSEIISILGKHNNSDD >DRNTG_28776.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:25960628:25978492:-1 gene:DRNTG_28776 transcript:DRNTG_28776.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREAVIEFLGQVPLLQRLPSSSLMKVAELVQFKSYDHGDYVVREGETGQGIYFIWEGQAEVIGPSNGDEGNRPELLLRKYDYFGYGTSGSVHQVNVIALSKLTCLVLPHGNGNLLQPKSIWNAEGTPKDFSLVEHILHLEPIDADIFRGFTLPDSPSFRQVFGGQFIGQALAAASKTVDCLKHAHSLHAYFLVAGDNNLPIVYQVHRARDGNSFATRQVDAKQNGVVVFSLMASFQKNEVGFEHQNSLMPLVPLPETLPSMEELRERRLTDPRLPMEYRKTVAREKFVPWPIEIRFCDSSSSRRPSQPSMRYWFRARGKLSDDQALHRCVLAYASDLLFVGVSLNPHRRKGLKTTTLSLDHSIWFHRTVKADDWLLYSIESPSACGGRGFVTGLMFNRN >DRNTG_28776.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:25963291:25978492:-1 gene:DRNTG_28776 transcript:DRNTG_28776.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDREAVIEFLGQVPLLQRLPSSSLMKVAELVQFKSYDHGDYVVREGETGQGIYFIWEGQAEVIGPSNGDEGNRPELLLRKYDYFGYGTSGSVHQVNVIALSKLTCLVLPHGNGNLLQPKSIWNAEGTPKDFSLVEHILHLEPIDADIFRGFTLPDSPSFRQVFGGQFIGQALAAASKTVDCLKHAHSLHAYFLVAGDNNLPIVYQVHRARDGNSFATRQVDAKQNGVVVFSLMASFQKNEVGFEHQNSLMPLVPLPETLPSMEELRERRLTDPRLPMEYRKTVAREKFVPWPIEIRFCDSSSSRRPSQPSMRYWFRARGKLSDDQALHRQVGLIECFFSPLTYICLVDLALNSVQTHASFLF >DRNTG_28776.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:25960628:25962915:-1 gene:DRNTG_28776 transcript:DRNTG_28776.3 gene_biotype:protein_coding transcript_biotype:protein_coding FVETVSHIRCVLAYASDLLFVGVSLNPHRRKGLKTTTLSLDHSIWFHRTVKADDWLLYSIESPSACGGRGFVTGLMFNRN >DRNTG_20078.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001092.1:54883:57374:-1 gene:DRNTG_20078 transcript:DRNTG_20078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGQLVQQLRDELMKLNTRYVIVLDDVWSLNAWLSFFPALPDNSLGSRIIVTTRNLDVASFCSQESGHVYHLKPLCPENSWLLFCKKAFPRHYSNCPPTFTHLSKEILGKCDGLPLAIVTIGGVLASKPLLESEWQKLHDHLGTSIMSHQGLDAMTRILSFSYYDLPYYLKPLFLYLAIFPEDYQIRRKRLLRRWIAEGLVHATRDMSTEEVAEWYFKELMDRSMILSSIINGDTTVHSCHIHDIMLEFTLNMSEKDNLVSIITRKQQPPAPAPQTQDVREARHLALHQHSLPANIHKNKKLEHVRSLTVFSEGIVSLKNTSRMKLLRVLDLEGCHLSEVDGDLEVIGQFTLLRYLNLRNTSIRSLPKALAKLQNLETLDLRWTKVTEIPPHITKLHKLEYLSVGGFERDPSGASLTCHGAELPAEGMTALKALKTLSMVSFKTNPRELGEMTQLTKLGAKDITTPENAMAFVETLDKLSDQLRALKVSWNCDVPFLEEVSQPPVHLKSLWLSGIMITCKLPTWIASLDRVSKMALAHTELDEEGMQVLQRLPCLKELVLFENSYLNHELRFLAGYFPVLKLLQIDGLSHLTEFIFHGGGLQLEIIEILATAGTTYMFHGTHKPYPWPKETCTVITVVARLSTSPNPLQLRRYTQQLGGPAYVPMTGFPKFDKSVCIRLRHQTMGCSNFLLESNGDLSVGCDLPPECCDFPSSIELMVYDAM >DRNTG_29131.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1315942:1318542:1 gene:DRNTG_29131 transcript:DRNTG_29131.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKYIIGGLAGSFAIAYFCDVLIAEKKIFGGTTPHTVSDKEWWEATDKKFQAWPRTAGPPVVMNPISRQNFIVKQSES >DRNTG_24702.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19110531:19111910:1 gene:DRNTG_24702 transcript:DRNTG_24702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPYPFLRCMNFRMDKKLVQLLPIKLSNNIHGFLRKFLFKVLSFGPMPKHIAFILDGNRRYGKKWNLTEGEGHERGFCNLMTILNYVHEMKFQYVTVYAFSIDNFRRKAEEVQLLMRLIKEKMDALVEDKSMADKLGIRIEFIGKLELLDESVREAAKKLMKTTEKNDQLVLLVSIAYTSTDEIVHGIERSCMEVRDEDDDDGMMIKVVDLEKNMYFGGYPDPDILVRTSGETRLSNFLLWQTKGCLLYAPSCLWPEISLRHLVWAVLKYQRRFSYLERIKKQ >DRNTG_32018.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23629888:23639876:-1 gene:DRNTG_32018 transcript:DRNTG_32018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIRIALSHVQNLITGITKGYRYKMRLVYAHFPIKASISNSNSSIEIHNFLGEKKVRKVDMLQGVTITHSEKVKDELVLDGNDVELISRSAVLINQKCHVKNKDIRKFLFWMVSTSASKKINCAREA >DRNTG_21551.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:312724:317266:1 gene:DRNTG_21551 transcript:DRNTG_21551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDFQVPITRLYARYCGGDLQIISMERIW >DRNTG_14184.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20229831:20237831:-1 gene:DRNTG_14184 transcript:DRNTG_14184.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPVYKEPSFTIPGIPGIVQHEILNDKRHVLTKDTAGSVKLWEISNGAVVKDYGQVSFEEKKEELFEMVSIPTWFTMDTRLGSLSVHLDTPQCFSAEMYAADLNISGVPEDHKINLGQETIRGLLTHWLAKLKQRPRLQASLNGDIPVGKDASVRNLLHPRVDVDDGVENHNAVLPPFVFSTVSPPSIITEGSQGPWRKKITDLDGTEDEKDLPRWCLDCVLHGQIPPRDNMKCSFYLQPYEGSNAPVLTRGKLSAPRILRMHKVVNYVIEKMVLDKPLEGETSDGTLNLGRGIGQLQFPSLGDGSIRSGLKPWQKLKPSIEILCNNQLLSPEMSLATVRTYIWKKPEDLVLNYRLVKTK >DRNTG_14184.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20229831:20235185:-1 gene:DRNTG_14184 transcript:DRNTG_14184.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPVYKEPSFTIPGIPGIVQHEILNDKRHVLTKDTAGSVKLWEISNGAVVKDYGQVSFEEKKEELFEMVSIPTWFTMDTRLGSLSVHLDTPQCFSAEMYAADLNISGVPEDHKINLGQETIRGLLTHWLAKLKQRPRLQASLNGDIPVGKDASVRNLLHPRVDVDDGVENHNAVLPPFVFSTVSPPSIITEGSQGPWRKKITDLDGTEDEKDLPRWCLDCVLHGQIPPRDNMKCSFYLQPYEGSNAPVLTRGKLSAPRILRMHKVVNYVIEKMVLDKPLEGETSDGTLNLGRGIGQLQFPSLGDGSIRSGLKPWQKLKPSIEILCNNQLLSPEMSLATVRTYIWKKPEDLVLNYRLVKTK >DRNTG_14184.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20229831:20237831:-1 gene:DRNTG_14184 transcript:DRNTG_14184.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPVYKEPSFTIPGIPGIVQHEILNDKRHVLTKDTAGSVKLWEISNGAVVKDYGQVSFEEKKEELFEMVSIPTWFTMDTRLGSLSVHLDTPQCFSAEMYAADLNISGVPEDHKINLGQETIRGLLTHWLAKLKQRPRLQASLNGDIPVGKDASVRNLLHPRVDVDDGVENHNAVLPPFVFSTVSPPSIITEGSQGPWRKKITDLDGTEDEKDLPRWCLDCVLHGQIPPRDNMKCSFYLQPYEGSNAPVLTRGKLSAPRILRMHKVVNYVIEKMVLDKPLEGETSDGTLNLGRGIGQLQFPSLGDGSIRSGLKPWQKLKPSIEILCNNQLLSPEMSLATVRTYIWKKPEDLVLNYRLVKTK >DRNTG_15540.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15169384:15169760:-1 gene:DRNTG_15540 transcript:DRNTG_15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFRTGVDIHKPNSQGQTHDPVSSRDGEILCRDSHGRAKITHARVWFTRGSRTPLCLLWMSSQCKSTGVGKFHMPVCFLWMP >DRNTG_22953.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9917760:9922710:1 gene:DRNTG_22953 transcript:DRNTG_22953.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVKSYTVKIQELEAQLLKARSFTNSEQNGLMDCLAFGKDTFLPSLHVLASDDDDKNLDVSGEAEEDEKEREHCTLQDRLGQELQELDKRLEQKEAEMKQFSRNDTSILKQHYERKLLELEHEKKTLQKEIEDLRFNLANISNNSDDSAQKLKEEYLQKLNMLEAQVSELKKKQEAQSQLLRQKQKSDEAA >DRNTG_22953.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9916657:9922710:1 gene:DRNTG_22953 transcript:DRNTG_22953.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQMQKMRNQLEQLQAEVLYCRGGGALEELQILRHKISLLEASNTELHQELKEQQITCEHLKQQALDAQCEKDELILKIKSARSGKSWEEIDGANNSQYAQEMDLVKSYTVKIQELEAQLLKARSFTNSEQNGLMDCLAFGKDTFLPSLHVLASDDDDKNLDVSGEAEEDEKEREHCTLQDRLGQELQELDKRLEQKEAEMKQFSRNDTSILKQHYERKLLELEHEKKTLQKEIEDLRFNLANISNNSDDSAQKLKEEYLQKLNMLEAQVSELKKKQEAQSQLLRQKQKSDEAA >DRNTG_22953.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9912801:9922710:1 gene:DRNTG_22953 transcript:DRNTG_22953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCEDSRGAGQQKECVKVAVNIRPLITSELLLGCADCVSVVPGEPQVQIGSHAFTFDHVYGSSGTPHSLIFQECIAPLVDALFHGYNATVLAYGQTGSGKTYTMGTNYSGEANCGGIIPQVMETIFKKIAAMKDTAEILLRVSFIEIFKEEVFDLLDPHLNASLRAEGPAGVKVAVAPRAPIQIRETTNGGITLAGVVEAEVRSKEEMASYLARGSLSRATGSTNMNSQSSRSHAIFTISLEQKKTSTWSSDVVTNDEFGDDILSSKLHLVDLAGSERAKRTGADGLRLKEGIHINRGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADSNAEETLNTLKYANRARNIQNKAVINRDPMAAQMQKMRNQLEQLQAEVLYCRGGGALEELQILRHKISLLEASNTELHQELKEQQITCEHLKQQALDAQCEKDELILKIKSARSGKSWEEIDGANNSQYAQEMDLVKSYTVKIQELEAQLLKARSFTNSEQNGLMDCLAFGKDTFLPSLHVLASDDDDKNLDVSGEAEEDEKEREHCTLQDRLGQELQELDKRLEQKEAEMKQFSRNDTSILKQHYERKLLELEHEKKTLQKEIEDLRFNLANISNNSDDSAQKLKEEYLQKLNMLEAQVSELKKKQEAQSQLLRQKQKSDEAA >DRNTG_22953.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9919250:9922710:1 gene:DRNTG_22953 transcript:DRNTG_22953.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHKRLQEEIQRIKSQKVHLQHKIKQESEQFRAWKATREKEVLQLKKEGRRNEYEMHKLMALNQRQKMVLQRKTEEASMATKRLKELLEARKASSRSGSVNGPGIQALMQAIESELEVNLRVHEVRSEYERQMEERAAMAKEVAKLKEESELFKRKNAEVCS >DRNTG_22953.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9919428:9922710:1 gene:DRNTG_22953 transcript:DRNTG_22953.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHKRLQEEIQRIKSQKVHLQHKIKQESEQFRAWKATREKEVLQLKKEGRRNEYEMHKLMALNQRQKMVLQRKTEEASMATKRLKELLEARKASSRSGSVNGPGIQALMQAIESELEVNLRVHEVRSEYERQMEERAAMAKEVAKLKEESELFKRKNAEVCS >DRNTG_22365.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18558785:18580157:-1 gene:DRNTG_22365 transcript:DRNTG_22365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYSASIPLNSDADPEDPERSSSNSSARKACFAVLQSWISRKFMTGCVVLFPVAITFHYLVVYPVCGWFLQSIICQSWSSYIWCWICNITCVCIACWYICLIMVGSWCFLNWRMVYKENATCEAYLFSI >DRNTG_00439.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21829466:21838554:-1 gene:DRNTG_00439 transcript:DRNTG_00439.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSDDSVRHYGMDGDVNGVLLISDLVLYSSFQEEQTFPPLLVRVMAFGVPFVAPNSAQIEKYVIDKKHGFFYHPSDLNTLAEALSLAIKDNKLSNLAQIVSSYEKSFSKDLLAADCILGYANLLENVLQFPSDALLPRPLKQIQQRTWLWTLFESIEKTTSLVHAENSVDPMGRLSIVYSLEEQLSGRSHKENNSQDVTETSDGLTQIDWDDIHEMEISQDFERRELEELGERNEKILGSWEEVYRQARKAEKQKPEAHERDEGELERTGQTLCVYEIYDGEGAWSFLHRGSLYRGISLFKGSQRPRTDDVDATSRLPILKDAFYRNLFCELGAMFSIANKIDSIHKTPWIGFQSWRTNGKKTSLLTKSEKALEDAIQAEDSGDVVYFWALMGMDIGNRGRDMDLDFWSMCDIFNGGHCRPTFEEAFRQMYGLPDGSAGLPPMPVDGDQWSTLHSWVMPTSSFLEFIMFSRMFVDSLDGLPQNSSIPTFMCARVFRTGEKALLLSCSGGPGQCLGLS >DRNTG_00439.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21829466:21832333:-1 gene:DRNTG_00439 transcript:DRNTG_00439.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLRIQASPLSCVLGSSELEKKHCYCRVLEVLVNVWAYHSARKMVYLHPHSGVLEEQHPIEGRKGLMWVKYFNFSLLKSMDEELAEETDDGIKDMAGRLWPLTGEVHWQGILDREREDRYRKKMDKKRKNKEKLMDRQKHGIQAESLRRVNR >DRNTG_00439.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21829466:21843345:-1 gene:DRNTG_00439 transcript:DRNTG_00439.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQRNRSGSCFTTSTLWFLFFIFIFVFVTTIIFNSWQIGLFHSPGPGTDTVSGLASNGQAPKKRHVGSVLRFLPADLVRRFSNGGLDRLRTSPRLGVRPPRLALVLGNMNSNAQSLMLLSIVKGLQELDYKFSVFALRDGEIRPFWEDVCCKVSILRDEDSTSVDWSNYEGVILSSLEAKKVISSLMQEPFCSIPLLWFIHEDTLGKRLQLYASSDWQDLISDWRSTFKRADVVVFPDFSLPMLYTSLDTGNFYVIPGSPVDFWAANRYVESHSRQQLRLDNGYNDDDLIILVVGSYFFYDELPCDYAAMMALAPQMLKFARAKKLGAILKFAILCGSSTDDYNSAIREVASRMGFSDDSVRHYGMDGDVNGVLLISDLVLYSSFQEEQTFPPLLVRVMAFGVPFVAPNSAQIEKYVIDKKHGFFYHPSDLNTLAEALSLAIKDNKLSNLAQIVSSYEKSFSKDLLAADCILGYANLLENVLQFPSDALLPRPLKQIQQRTWLWTLFESIEKTTSLVHAENSVDPMGRLSIVYSLEEQLSGRSHKENNSQDVTETSDGLTQIDWDDIHEMEISQDFERRELEELGERNEKILGSWEEVYRQARKAEKQKPEAHERDEGELERTGQTLCVYEIYDGEGAWSFLHRGSLYRGISLFKGSQRPRTDDVDATSRLPILKDAFYRNLFCELGAMFSIANKIDSIHKTPWIGFQSWRTNGKKTSLLTKSEKALEDAIQAEDSGDVVYFWALMGMDIGNRGRDMDLDFWSMCDIFNGGHCRPTFEEAFRQMYGLPDGSAGLPPMPVDGDQWSTLHSWVMPTSSFLEFIMFSRMFVDSLDGLPQNSSIPTFMCARVFRTGEKALLLSCSGGPGQCLGLS >DRNTG_00439.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21829466:21840105:-1 gene:DRNTG_00439 transcript:DRNTG_00439.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPFCSIPLLWFIHEDTLGKRLQLYASSDWQDLISDWRSTFKRADVVVFPDFSLPMLYTSLDTGNFYVIPGSPVDFWAANRYVESHSRQQLRLDNGYNDDDLIILVVGSYFFYDELPCDYAAMMALAPQMLKFARAKKLGAILKFAILCGSSTDDYNSAIREVASRMGFSDDSVRHYGMDGDVNGVLLISDLVLYSSFQEEQTFPPLLVRVMAFGVPFVAPNSAQIEKYVIDKKHGFFYHPSDLNTLAEALSLAIKDNKLSNLAQIVSSYEKSFSKDLLAADCILGYANLLENVLQFPSDALLPRPLKQIQQRTWLWTLFESIEKTTSLVHAENSVDPMGRLSIVYSLEEQLSGRSHKENNSQDVTETSDGLTQIDWDDIHEMEISQDFERRELEELGERNEKILGSWEEVYRQARKAEKQKPEAHERDEGELERTGQTLCVYEIYDGEGAWSFLHRGSLYRGISLFKGSQRPRTDDVDATSRLPILKDAFYRNLFCELGAMFSIANKIDSIHKTPWIGFQSWRTNGKKTSLLTKSEKALEDAIQAEDSGDVVYFWALMGMDIGNRGRDMDLDFWSMCDIFNGGHCRPTFEEAFRQMYGLPDGSAGLPPMPVDGDQWSTLHSWVMPTSSFLEFIMFSRMFVDSLDGLPQNSSIPTFMCARVFRTGEKALLLSCSGGPGQCLGLS >DRNTG_00439.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21829466:21837604:-1 gene:DRNTG_00439 transcript:DRNTG_00439.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLRIQASPLSCVLGSSELEKKHCYCRVLEVLVNVWAYHSARKMVYLHPHSGVLEEQHPIEGRKGLMWVKYFNFSLLKSMDEELAEETDDGIKDMAGRLWPLTGEVHWQGILDREREDRYRKKMDKKRKNKEKLMDRQKHGIQAESLRRVNR >DRNTG_12645.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1284855:1285707:1 gene:DRNTG_12645 transcript:DRNTG_12645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGNLCVLRFLCLALLMAMAAATQFRVGGLKGWTVPTDDAGFYGKWAESSRFQIGDSLLFVYPQGQDSVLHVSQEGYNTCNTAAPIDAFQDGNTVFTLNSSGTFYFISGNQDNCLKNESLVVVVMAERNNNASAPSLPPSSPSVVSPPPPPPSLASPPPMASLAPPPPPQESSATPTTSPPAGASISTFSKWSFSINGDELQGPTWGSAWFYPVYFVIEEYYIYVYN >DRNTG_23128.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5035669:5041628:-1 gene:DRNTG_23128 transcript:DRNTG_23128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAMDVNGNFAADKKFVVVFVLGGPGSGKGTQCANIVQYFGFTHLSAGDLLRAEIKSGSENGTMIQNMIKEGKIVPSEVTIKLLQQAMLESGNDKFLIDGFPRNEENRAAFEHVTKIEPEFVLFFDCSEEEMERRLLSRNQGRVDDNIETIRKRFRVFVESSIPVIEHYEAKEKVSKIDAGKSVDEVFEDVKAIFSPYSAQAA >DRNTG_25801.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2732158:2734405:-1 gene:DRNTG_25801 transcript:DRNTG_25801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKIIIACLPECFHGSRIMEHLRRIIGSISEEVEEQEEEEEEEGQRTLKINK >DRNTG_25801.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2732158:2732721:-1 gene:DRNTG_25801 transcript:DRNTG_25801.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKIIIACLPECFHGSRIMEHLRRIIGSISEEVEEQEEEEEEEGQRTLKINK >DRNTG_35217.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8035107:8035478:-1 gene:DRNTG_35217 transcript:DRNTG_35217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGFKPSSRMLMLLSKEATRAKTWVDQVRDIAYDVEDIINTYIFKIHQHHRGSHGCFSSLMTTYACHPSRLTILHNLGNEIAKVKRRTEEIFANRSKYGIESIRATSLDSLTSSEARLPLS >DRNTG_02802.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1015140:1017687:1 gene:DRNTG_02802 transcript:DRNTG_02802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKILIWPFLLNLALSTISDFTYNGFKGANLSLDGMATLNSDGLLRLTNISKHEQGHAFSTIPLQFKRSPMGTVISFSTVFVFSIVPEYPMFGGHGFAFVLSPSMHLFQTLPIYYLGLFNSSNNGNSSNHIFAVEFDTIKDLEMADIDDNHVGIDINSVISNKSASAGFTSDDDGQFKKVKLLSDEPIQVWIEYDGLNMQLNVTLSSLGKPKPKIPLLSSTINLSSIILDHMYVGFSASTGMAYSYHYILGWSFMMNGKVPELDISSLPRLPRAMTSSKDKTGTILMWLLLSLSVLVLMAAAGARMIMVRKNRFSELREDWELDFELHRFSYKQLYNATGGFKDEFLLGVGGFGRVYRGVLPGTEVEVAIKRVCHESRQGVGEFVAEIVSLGQLQHRNLVPLLGYCRSEGELILVYEYMPNRSLDKYLFSEGESTLGWSQRFWIIKGVASGLLYLHEDCERVVIHRDVKASNVLLDGDMNGRLGDFGLARLYDHGGVPLTTHLAGTVGYLAPELSRTYRVTTNSDVFAFGVFLLEVACGRRPIEPEKAEDLQVLIDWVLANWRKGTIKETRDERLGEEYVAEELELVLKLGLLCSHPLPTARPSMRRVIQLLHGDILLPDPLLN >DRNTG_32909.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1161492:1164812:1 gene:DRNTG_32909 transcript:DRNTG_32909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKPWSSSSLAAELLLILLLAAINGEASSASGSEKPVLRFSGKRGEFKILQIADMHYADGLKTKCLDVLPEEAPNCSDLNTTAFIYRVVKAENPDLIVFTGDNIFGLDATDPAKSMDAAFAPAIALKVPWAAILGNHDQESTLTREGLMQHIVGMPYTFSSINPEGFEVDGFGNYNLEVAGVEGSGLANKSVLNLYFLDSGDYSTVSDIGGYGWVKVSQQMWFLSAASHLQNLYMSKPEPQKEPAPGLVYFHIPLPEFSSFAASNMSGIKQEEISSASVNSGFFTTMLEAGNVKAVFTGHDHLNDFCGELTGIRLCYAGGFGYHAYGKAGWPRRARVMSAYLEKTRSGAWGGVRSIKTWKRLDDKHLSTIDTEILWEAGNEAGGRQKKRITKRRRHSG >DRNTG_27919.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22841008:22843702:-1 gene:DRNTG_27919 transcript:DRNTG_27919.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTPRTWCADKRPQTHKAGPSEPARPQNLTQTRSRK >DRNTG_26526.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3371524:3375421:1 gene:DRNTG_26526 transcript:DRNTG_26526.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIVDVPLYTAIAIIKSPYLLLKGWQRLLHDLISGEGPFLETACIPIAGLVILLWPLFVIGSILMAIISSIFIGLYGAVVVYQERSFKRGVAYVIAVVAEFDEYTNDWLYLREGTILPKPRYRKKKPSNSSELSVGANSVKGKGNSGPFDAPAMLVPSLAPSRSVREVIQEVKMVQIWEELMMSPEMRGKELVDANILTSEILSEWLKTKGNSHDIVGLGLSSYALLHSLVFSVNSGSTGILLSNGVELTHLNRPQDRLLDWFFHPVMVLKEQIRVIKMSSDELRFLEKLVLFTGNIPSIHDLECGSLVPQDALRSAQIQAISRRLVGMTRSISKFPTYRRRYRQVIKVLLCYSLGRECPQGMPISHSNRLNKSNRSNRSGSSVEIVPADV >DRNTG_19239.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18887007:18890159:1 gene:DRNTG_19239 transcript:DRNTG_19239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVNFIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQEACVYDGYTLPKLYAKMQYCVSCAIHSHVVRVRSRTDRRNREPPQRFRRRDDTARPGVGARPAGGAPPPART >DRNTG_23857.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001280.1:81146:89541:1 gene:DRNTG_23857 transcript:DRNTG_23857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQMLGFRGGSASKERDHSPMAASPSNPNLDDSSPGSGFGSSSSSSVEVNGVVGAPRPLRLVYCDEKGKFQMDPEAVKALQLVKGPLGVVSVCGRARQGKSFILNQLLGRSSGFQVASTHRPCTKGLWMWSAPLKRTALDGTEYNLLLLDSEGIDAYDQTGTYSIQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTEMTKHIRVRASGGRSTVSELGQFSPVFVWLLRDFYLDLVENNQKISPRDYLELALRPVQGGGKDNVTAKNEIRESIRALFPDRECFALVRPLNNESDLRRLDQLPLDRLRPEFRSSLDELTRYVFGRTRPKQVGSTVMTGPVLAAITQSFLDALNSGAVPTISSSWQSVEEAECRRAYDSAAETYMSSFDRTRPAEEVALREAHEDAVQKSLAAYNASAVGTGSARLTYEKLLQTFVRKAFEDYKRNVFLEADLQCSNIIQSMEKKLRAACQVPDVKYDTVIQELETLISEYESSCHAPGKWKKLAVFLQQCLEGPILDLFKKQLGQVKLERRSLLLKCQSTEEKFIMLEKQLEASEKHSNDNLKRYEDAIGDKQRLSKEYSNHVSNLKSNYSTLEERHQSTLKALENVQAESSYWKQKYEQRSSEKKNEEEKLYSEAAALKTRIDAAEGKLSAAREQVKSANEEASEWKRKYDVTVRETKSALERAALAQERTNHKTQEREDALRVEFTSLLENKEEEIKHMAVKIENSENRMNNLMRELKAAEFKLESYDIEVTGLKDEIKVLNEMLNPLKTKVQSHKEEMTILEQDRDFLQEKLISECKKYDEADQRLRDAEREAKRATELADAARAEAAAAQREKSEAQQLAVERLATIERTERRVDSLERERHTLQKEIERLLKAEMDAMSKVAELELKVGDRQREITDMLNQNNEQRSGTVQVLESLLETERTARNEANRRAEALSLQLQATQGKLDTLQQQLTSVRLNETALDGKLKMTHGKRSRVDDLIATGSVQDMDVDQVVKGKKRSKSTTSPSKHNQTEDGDSVIREEDDNNQSQEGSEMGSSSYFRFTIPKLKQELTKHGFGAQLLQLKNPIKKDILALYEKLVLEK >DRNTG_06202.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25168352:25169712:1 gene:DRNTG_06202 transcript:DRNTG_06202.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSGTSSGSSQLQNSGSEGDIQAAMDQRKRKRMLSNRESARRSRLRKQKHLDDLMAQVSQLRKENSQILTTLNITTQHYLGVESQNSVLRTQMVELSTRLQSLNEILYFMNANNNSSSSSYSSNNNSIGSNGGLFHDESLRPWNMMFMNQPMMMDMFQYC >DRNTG_23969.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30854136:30856901:-1 gene:DRNTG_23969 transcript:DRNTG_23969.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSQASLLLTKQLRDLSKNPVDGFSAGLVDDVNVFEWNVTIIGPPDTLYEGGYFNAIMSFPTNYPNSPPTVRFTSEMWHPNVYPDGRVCISILHAPGDDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANIEAAKEWRERRDEFKKKVSRIVRKSQEML >DRNTG_00014.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21535391:21539255:-1 gene:DRNTG_00014 transcript:DRNTG_00014.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEPRIERSHGTFALVLVPTRELCMQVYEILQKLLHRFHWIVPGYIMGGENRSKEKARLRKGINILIATPGRLLDHLRNTSSFAYEKLRWIVFDEADRILELGFGKAIEEILDILGSRHGTFGTGENTTAKTFNVKRQNLLLSATLNDKVNQLANISLENPIMVGLDKKISSEPPKPSVKKIAFLESDEEEIAEHVDLAANVGVESYNLPTQLIQKCVKVSCGLRLVTLLSILRSLLERMSSQKVCSADCGFSFNM >DRNTG_00014.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21535391:21539255:-1 gene:DRNTG_00014 transcript:DRNTG_00014.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKPEEKDGDRSKKKDEDKSGIFASCSFSDIGLHPTLCQHLQERMGFEVPTQIQAQAIPVVISGQHVLVNAATGTGKTIVYLAPIVHLLQMYEPRIERSHGTFALVLVPTRELCMQVYEILQKLLHRFHWIVPGYIMGGENRSKEKARLRKGINILIATPGRLLDHLRNTSSFAYEKLRWIVFDEADRILELGFGKAIEEILDILGSRHGTFGTGENTTAKTFNVKRQNLLLSATLNDKVNQLANISLENPIMVGLDKKISSEPPKPSVKKIAFLESDEEEIAEHVDLAANVGVESYNLPTQLIQKCVKVSCGLRLVTLLSILRSLLERMSSQKIVVFLSTCDAVDFHYSLLSGFRWAANLQATEDQKLKFIGCKVIRLHGNMQHEDRKTSYQSFNSEKSALLLCTDVAARGLDFPKVRCIIQYDSPGEASEYVHRVGRTARLGEKGEALLFLQPVEIDYLHDLQKHGVSLKDYPLQKVLDSFPLPGQKHSNRKLISLEMHPWVLLLQKAVESFHII >DRNTG_07186.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000323.1:73212:74354:-1 gene:DRNTG_07186 transcript:DRNTG_07186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYRKSWMQPFRRFERKWGVFLRVTPQETNLLEPYSCFFSTRVFSFFLSEVVSTGTSSVISVFPFPPVSRTRSPAQVFDISSVYNIRYGSLQGEFSAGVLLACDYGVNLVNGRCYLTPVVETLAELKVHITPRHWEIMRRTPFATFTELEAIFQERALLDSLLQRYDGRTNKFRIGENCCVSGLKMWPSFLVCVAMETQSHFRRRKHAQLSKRGIYQKPTRDTKTPSRALLSNSLDRGEKKKILPNS >DRNTG_04417.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000207.1:79627:81768:-1 gene:DRNTG_04417 transcript:DRNTG_04417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQGSIPMAAFQICLQVWLASSLLADGLAVAGQAILASSFARKNHSKAMTAASRVLQFGVILGLGLTVVLVIGLQFGSRLFTKDASVLHLIHIGIPFVALTQPINALAFVFDGINFGASDYAYSAYSMITVAVISIIVLLLLSSSYGFIGIWVALSIYMSLRMFAGFWRIGTATGPWTFLRSLT >DRNTG_23498.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21793650:21796280:1 gene:DRNTG_23498 transcript:DRNTG_23498.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETLAKVWRSFSAEGEAGAAAMAMEAGRTVKDVSPHEFVKAYSSHLKRSGKMELPHWIDIVKTGRFKELAPYDPDWYYIRAASMARKIYLRQGIGVGGFQKIYGGRKRNGSRPPHFCKSSGAIARHILKQLHTMDIIEIEAKGGRKITSTGRRDLDQVAGRIAVAA >DRNTG_31460.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1875603:1876518:1 gene:DRNTG_31460 transcript:DRNTG_31460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNNEIHDIYNKRVNLLGVLIRLLQDNRSSSGLPSLARTNKPPSPLRNPQILVQVSCEKPGPQPVTRNWDFEWWLTGKGVRNVCKCLLHRIQCLAYR >DRNTG_06000.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19564954:19570691:1 gene:DRNTG_06000 transcript:DRNTG_06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQLEESVERILAQLDSSYQDQRQELFSMGVAISKAELCGMDMLISVIDCKEIGSECVNMFRNFLQSLQIFLRNPVKMHGSVEFPHARGIVLRAHPKKAQGCAAAPVNDHANIARPWVISARACDFLQSLSNYPESAQGRELDHVGDLMKHAWVWVISTRPCETLQRSSLHLEKPRGRAITPVSWACECPRPCGISARACRTLSNFSRISNKETGACVCPCMAARSKKTVAKCPKVQFLRIELGLSDVLASFEFDRSYSHFDSVGAIQFRAFGQHRSMSVTQFSNRLGLYDEEYTETEEYESLPIDMSGLSPKEAYKILCGKGRYEPGVSKASCLSRPSYRYLHGIISRSVKGRGDSTGVINKLGVIFSGPYITRLILGMGLRDTISGAKMTIIPAPLRLEIMRPISLVSKYLNGVYVMNIPFKDTGDDPRSGPMETEAPLAAENVPPVH >DRNTG_28376.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2326668:2329129:1 gene:DRNTG_28376 transcript:DRNTG_28376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGEMERSCYPAFESGGVVLSRDPKPRLRWTPDLHDRFVDAVTKLGGPDKATPKSVLRLMGMKGLTLYHLKSHLQKYRLGRQARKETSQGESNSGNPSGTKFSSTTTTMGILKRV >DRNTG_21956.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2037046:2041549:1 gene:DRNTG_21956 transcript:DRNTG_21956.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLLKFSILGAKIKDGIYPPDFLADVEPSSTTNWNKLFPLISERESELPCDASSIGTEISLERPSFSKPESDSEITLSLSKLIDSLVLLTADEQ >DRNTG_21956.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2037046:2041549:1 gene:DRNTG_21956 transcript:DRNTG_21956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNKQHESGHQRSISDSEDFSDLINPLKLPTAKKIPKTAESRNLSTKNTNKKSKELRIYIHTPRDRDIK >DRNTG_26739.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:27492714:27494230:-1 gene:DRNTG_26739 transcript:DRNTG_26739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGQKMKKPEKILKKTCGSSISVHPHWHVEISHARAQDPQRTHSEARFTGGFLDAMTGRNRSKLVTSGSKAAKTSSPNP >DRNTG_02954.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22630757:22632080:1 gene:DRNTG_02954 transcript:DRNTG_02954.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERYEQWMVEYGRTYKTTEEKLHRFEIFMSNVQFIESFNAAGNHTFELGINQFADLTNQEFKGMYTGFKPFSSNNKASKTSFKYANFTDAPESVDWRTKGAVTPIKDQGQCGSCWAFSAVASMEGTAMLSTGKLISLSEQELVDCDIKGGDQGCEGGLMDEAFKFIINNGGLNTEDKYPYTGADDSCDTKKAASHAATIKSYEDVPANSEADLLKAVANQPVSVAIDAGGSFQFYSSGVFSDKGCGNLLDHGVAAVGYGVTSNGTKYWIVKNSWGESWGEKGYVRMKRDIADLRGMCGIAMAASYPTARS >DRNTG_13486.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14040705:14044975:-1 gene:DRNTG_13486 transcript:DRNTG_13486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSHAVWKKVSGRVFVGTDPTRQTTCLRMLFTLDSYILDVRKGKSMAKFLATWVYFNMEVDGRGLSHWIFPSYEDYRVEE >DRNTG_30596.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8186081:8187876:-1 gene:DRNTG_30596 transcript:DRNTG_30596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITETTRSEGDTAGGVQHTPPSSVALGTRAYDRIERLETDVREIRTEIAELRAMRSAQVLRSYGPIRLPSRSPETQILSSSSTSILDSSTGGPTIFHFSSSNTGARGRLRHL >DRNTG_07850.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7971:9685:-1 gene:DRNTG_07850 transcript:DRNTG_07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSQDLSYPLLQPFSCVSISATPIDLSFEFSGNQTPEEFVIRVNKYLQLHESHKKINKFRVFFCAFEKFLADIEKWIAFATSRGVEELDLDFSVGYEPYNGFTNGGKSFKLPDFLFHCKSLTYLSLRCCDFNPPSGFTGFPQLRSFSFKDMVVTDDTVTNMLAACLNLECLRFDNCSTLKRINISGPNLQVKNLTILSCYDAYGILISAPMLQSFIYHGECNFSDVITPSLVDAFISSLGMESCEPEHDYAKLLNDINHVRILTICTGALMHLTIYDEYSDIDLQVSLPNLQELQLLMACISIEYLTCIYGFFRLCPSPNVEKLFIQLPKFHENEYRSSTPEPVVEEPSAVTFNHLKVIKMNNFKGCKSEMRLVRFLLEKATVLEVLLLVVPKKPGMEEDNSSSSGTHYYVDRREMEMIHGQLVSFPKSSPGGKIVLCEYDEDDQGITPTHTEYFWEYF >DRNTG_16807.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1617430:1620132:1 gene:DRNTG_16807 transcript:DRNTG_16807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISSCSSFNPLLSPLVPTSKYQSKLLPRFSASRSIDRSLKKAPSLHCHAQLLSDLAPATASVYGALLFGGGVFAYVRSGSKGSVIGGLSGAALMGTAYYLMQSPETKVIGIRLAATRKLIPSGLLLALSLGSLGVFLSSYLHDKI >DRNTG_32102.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10269004:10273253:-1 gene:DRNTG_32102 transcript:DRNTG_32102.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLCEKENTWRGRRKRQGGAGAGGGDDGRFGLVERPMVWPSDLCASSRVSWKTRVAQKMVVRMWRPGLSTLLWRGP >DRNTG_10346.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22878121:22878883:-1 gene:DRNTG_10346 transcript:DRNTG_10346.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVYGFSTMVNSKTLFDVTSSAAVYTTNSISGPSTSTPHMDVSLNDDRIVSLE >DRNTG_29780.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001508.1:51487:53973:1 gene:DRNTG_29780 transcript:DRNTG_29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTTLHLHLLLILPIGFLCNGEQSKSCMQSERLVLVKFKTGLQDPHHLLSSWEGEDCCTWRGVHCDNETWHVVSLDLQYHHLYHGLSNGGRLSGEINPSLLSLKQLESLGLEF >DRNTG_22014.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23634575:23635039:1 gene:DRNTG_22014 transcript:DRNTG_22014.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKENVKPSLFTYWLLIDTKGRANDIPGMEQVVGKMKAEGMEPDLNIQAMLAKHYIFGGLKEKAESVLKEMEGDDIMENRYACKSLLPLYAALGKAEDVERVWKVCQ >DRNTG_19385.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22231063:22234813:-1 gene:DRNTG_19385 transcript:DRNTG_19385.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAPSLRASPGFLSPHRCPSLRSPSFGFSFFVPEAKQNSGKLDSHQCQAGVVINQTPTEDQVSNNALTTGGAYGFEGATTSLTNELMPSSKRVTLIRHGLSAWNEQSRVQGSSNLSVLTETGIKQAERCRNSLVNMTFDACFSSPISRAKSTAEILWQGKQEPLIFLDSLKEAHLFFLEGMTNADAKKQYPELYTSWREDPANFHVAGIYPIRKLWGTAREAWKEILLTPGENFLVVTHKSILRALICTALGLTPERFRAIDVNNGGISVFKFSKQGEAMLQCLNMTAHMYTDHIYNY >DRNTG_19385.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22231063:22234813:-1 gene:DRNTG_19385 transcript:DRNTG_19385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSKRVTLIRHGLSAWNEQSRVQGSSNLSVLTETGIKQAERCRNSLVNMTFDACFSSPISRAKSTAEILWQGKQEPLIFLDSLKEAHLFFLEGMTNADAKKQYPELYTSWREDPANFHVAGIYPIRKLWGTAREAWKEILLTPGENFLVVTHKSILRALICTALGLTPERFRAIDVNNGGISVFKFSKQGEAMLQCLNMTAHMYTDHIYNY >DRNTG_03387.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17858513:17859406:-1 gene:DRNTG_03387 transcript:DRNTG_03387.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKALHTSSNQESCTSLTIEANNTLDVEHVEKEEHHFEEKKERKEASKVWDKF >DRNTG_12001.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7761435:7763999:1 gene:DRNTG_12001 transcript:DRNTG_12001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWRRVGLPRRSSGGYTRPPKNSRPPPQLGFWNPDVPSWERQFFINECPVTWEQLSYVKQSVSLYKNVQNWVDSAALEAFQNAKFRYWANINNCQSDIPLPDPDIYIDKVDYNVVIDPEIVADLYIEPEQPTLAESSFDYMNVPIVPTGWDDTEVPLPTNGLTAEHLSRIGIISTGWGEAKNDSGQDVKPFNAWNATSQEVTDDYSSKRNTGDCWGDANVNNSRWENERKFEPHGNSNNDMGKVW >DRNTG_14126.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17263031:17263458:1 gene:DRNTG_14126 transcript:DRNTG_14126.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLKPELVGLQTSNPATKTQNGLQTHN >DRNTG_22663.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20463645:20465695:-1 gene:DRNTG_22663 transcript:DRNTG_22663.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPKSSPSFVLLIVITTLARYSISSSLKTPTSALFIFGDSIVDAGTNNHIKSVANFKADYHPYGRNGFFNGPTGRFSDGRVLVDFIAELANIPAIPPFLQPSAKFINGANFASAGAGILSETNQGLVINFETQIKQFEELQKSLQEELGETEAKTLISKAVYLISIGTNDYLHGYTFNPNMQETFSVEDFVGMVTGNLSQAIQDLYERGARKFCVLDLMPLGCMPSLRALNPNTKNGSCFEEVSEIAMAHNRALSATLIIQQHIYKGFKYVHSNFFEWMDKRIHFPSEYGFKDAVNACCGKGAFRGINSCGRKKKKDNYEVCEDSNEYLWWDSVHVSEKVHEQLALELWTATASLPGSYTFQDLFSDFQNLRVEDVIVHEQSDLGFVSVT >DRNTG_18761.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1317756:1319855:1 gene:DRNTG_18761 transcript:DRNTG_18761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTSSSGEVELKERLFEMIYNSISKMVVGKRYHGDNVDVDVEVANQFKDIIQEVIQLVFSFNPRDFFPAFGWLDLLGVERRMQRVLPRLDEFITEIIEERRSKRRVNDAANGGGEAGKETEEEERSLVDVMLSLQETDPETFTDEVIKGHILTMLSAGSDSIAKTIEITMLFLLSHPEILRKTKAEIDTNVGHDRIIDESDLPNLPYLKNILKESLRLGMPMQVMPPRESSNDCTIQGYRIPKGTMLLVNLWGIQRDPELWDDAMSFKPERFVGEIEEKGLKYLAFGAGRRKCPGEHLAVRMVTAAVGALVQCFEWETMSKEEVDINALLGLTVSNGKPVVAKYKVRDCMADALSKI >DRNTG_18729.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1135461:1136532:1 gene:DRNTG_18729 transcript:DRNTG_18729.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASCFLTSKSFTNGRALVPSFKAGYASLCRKRCYRHYSQLVSCKIQPSSQDDNYEPSSVEPDWRSFRARLVAGEQASRIAMPPTSTPALDANEDQNSTPFSIGDKWAHPLHEPEKGCLLIATEKLNGVHIFERTVILLLSTGPIGPSGIILNRPSLMSIKETHSRDRDIAGTFSDRPLFFGGPLEESLFLVGPQVKEEGVEKSGVFMEVMKGLYYGTKESVGCAAEMVKRNAVKVNDFKFFDGYCGWAKEQLREEIRAGYWTVVACSPSVFELSSVGLWEQILSLVGQRKVW >DRNTG_18729.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1135461:1136682:1 gene:DRNTG_18729 transcript:DRNTG_18729.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASCFLTSKSFTNGRALVPSFKAGYASLCRKRCYRHYSQLVSCKIQPSSQDDNYEPSSVEPDWRSFRARLVAGEQASRIAMPPTSTPALDANEDQNSTPFSIGDKWAHPLHEPEKGCLLIATEKLNGVHIFERTVILLLSTGPIGPSGIILNRPSLMSIKETHSRDRDIAGTFSDRPLFFGGPLEESLFLVGPQVKEEGVEKSGVFMEVMKGLYYGTKESVGCAAEMVKRNAVKVNDFKFFDGYCGWAKEQLREEIRAGYWTVVACSPSVFELSSVGLWEQILSLVGQRKVW >DRNTG_19309.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:630904:633242:-1 gene:DRNTG_19309 transcript:DRNTG_19309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFQCEDCGENLKKPKLPNHFRICSAFKLSCIDCGKVFDQQSVQSHTQCISEAEKYGPKDQGKASHIAQPKPNKGKQQPEVDVNVGLSSHPPWFCSLCNTNTTSKQTLLLHADGKKHRAKARAFHAAQNQTKQTEDPNPKENGVSSDTQKVESVEANGSEKPDVLKQKEPISKVTDMIATEGGKQSLSKRKRDSTLAYAGQTQGVAGTNGTVTQAEHEEDSQCQRKIKKHTNGMKFCENKMDQLDHMEEPPNHKIKWKKLVKSTLKTNPDRAMKIKKLQKLVIKELQDSGVTVDKAQLQEMLMNKIKSSSQFVIDDKRIRLMERTEQS >DRNTG_06916.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3244282:3245724:1 gene:DRNTG_06916 transcript:DRNTG_06916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLNFRREGSTSKGCANKRGTPNRHWKPNFDNFLVLLVEQVQRGLKCDKSFKRTAFSYAASTVNAHFRTNFSTDNVENHYRTLKACYVEIKKVKVLSGARWDDQNKVIIFDPLVVAAYVEAHPGVKAFINKPIENYEGHRVYSDSGERSENEGFKMDNVNSVPVNVSDEEPDVNPTPAVLNYPVMPSTIRLVHSARGESTRMLDLISTMDRMLAALQNPTLLSEILYTRVMEVDDFNYKVLVEVFDYFQE >DRNTG_15200.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5851338:5857095:-1 gene:DRNTG_15200 transcript:DRNTG_15200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEYFNREGRINLALDLFKEELKERIGCEPNVVTCIIQ >DRNTG_15200.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5843321:5845326:-1 gene:DRNTG_15200 transcript:DRNTG_15200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEYFNREGRINLALDLFKEELKERIGCEPNVVTCIIQ >DRNTG_15200.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5843321:5857095:-1 gene:DRNTG_15200 transcript:DRNTG_15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEYFNREGRINLALDLFKEELKERIGCEPNVVTCIIQ >DRNTG_02642.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5054559:5061224:1 gene:DRNTG_02642 transcript:DRNTG_02642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISGSVSGANCKELAAKPDVDGFLVCGASLKPEFIDIIKSATMKSST >DRNTG_02642.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5054559:5060882:1 gene:DRNTG_02642 transcript:DRNTG_02642.12 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNKWRDTIGAFINIEASGSGGPDFGKFW >DRNTG_02642.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5054559:5055092:1 gene:DRNTG_02642 transcript:DRNTG_02642.14 gene_biotype:protein_coding transcript_biotype:protein_coding RDSDKPPPSPQPIVTATIARPPSSSSPPPLGSDRRPLLLLRLVSRMSHNHHHCCHFEDFRSANE >DRNTG_02642.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5054559:5060882:1 gene:DRNTG_02642 transcript:DRNTG_02642.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVISGSVSGANCKELAAKPDVDGFLVC >DRNTG_02642.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5054559:5060882:1 gene:DRNTG_02642 transcript:DRNTG_02642.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVISGSVSGANCKELAAKPDVDGFLVC >DRNTG_02642.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5054559:5060882:1 gene:DRNTG_02642 transcript:DRNTG_02642.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNKWRDTIGAFINIEASGSGGPDFGKFW >DRNTG_02642.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5054559:5060882:1 gene:DRNTG_02642 transcript:DRNTG_02642.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNKWRDTIGAFINIEASGSGGPDFGKFW >DRNTG_02642.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5054559:5060882:1 gene:DRNTG_02642 transcript:DRNTG_02642.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVISGSVSGANCKELAAKPDVDGFLVC >DRNTG_02642.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5054559:5060882:1 gene:DRNTG_02642 transcript:DRNTG_02642.13 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNKWRDTIGAFINIEASGSGGPDFGKFW >DRNTG_02642.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5054559:5060882:1 gene:DRNTG_02642 transcript:DRNTG_02642.11 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNKWRDTIGAFINIEASGSGGPDFGKFW >DRNTG_02642.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5054559:5060882:1 gene:DRNTG_02642 transcript:DRNTG_02642.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAELMACMAKNTRLSYCKVVEAIAETTAPLTPIEELLAKMPSKRELPPEPPIPAPKPEDVAQSEASKPRPLSPYSMYDDLKPPTSPTPTPSSSLDLQEKEQSKNSHLKKTPTSFSLHCVSKQCSF >DRNTG_02642.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5054559:5060882:1 gene:DRNTG_02642 transcript:DRNTG_02642.9 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAELMACMAKNTRLSYCKVVEAIAETTAPLTPIEELLAKMPSKRELPPEPPIPAPKPEDVAQSEASKPRPLSPYSMYDDLKPPTSPTPTPSSSLDLQEKEQSKNSHLKKTPTSFSLHCIRRFETAEISYTNS >DRNTG_02642.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5054559:5060882:1 gene:DRNTG_02642 transcript:DRNTG_02642.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNKWRDTIGAFINIEASGSGGPDFGKFW >DRNTG_02642.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5054559:5060882:1 gene:DRNTG_02642 transcript:DRNTG_02642.10 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNKWRDTIGAFINIEASGSGGPDFGKFW >DRNTG_10741.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26266971:26269749:1 gene:DRNTG_10741 transcript:DRNTG_10741.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSSSSAATPSDADREEMLDRMLTSLALADDHKLQGLLTRILPYSISSLSSSSPSIRKLVMEILSHVNKRVKHHPEIGLPLVQLWSMYREFSGAPMVRNFCVVYIEMAFDRMPVVDKANMAPELLADISKFPSQHQDIILRIVTKVIGECHSTSLDENIGAKYKDIGSGRDGQIFVDFCLHMLLYQPLSHGCPPGLSVSQSERATGKLPLKGDLLVSRKVGILNVIEAMDLAPEIVYPLYLAGSADSHELVIKRSEELLKRAAGRQHR >DRNTG_10741.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26266971:26268473:1 gene:DRNTG_10741 transcript:DRNTG_10741.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSSSSAATPSDADREEMLDRMLTSLALADDHKLQGLLTRILPYSISSLSSSSPSIRKLVMEILSHVNKRVKHHPEIGLPLVQLWSMYREFSGAPMVRNFCVVYIEMAFDRMPVVDKANMAPELLADISKFPSQHQDIILRIVTKVIGECHSTSLDENIGAKYKDIGSGRDGQIFVDFCLHMLLYQPLSHGCPPGLSVSQSERATGKLPLKGDLLVSRK >DRNTG_10741.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26266971:26268473:1 gene:DRNTG_10741 transcript:DRNTG_10741.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSSSSAATPSDADREEMLDRMLTSLALADDHKLQGLLTRILPYSISSLSSSSPSIRKLVMEILSHVNKRVKHHPEIGLPLVQLWSMYREFSGAPMVRNFCVVYIEMAFDRMPVVDKANMAPELLADISKFPSQHQDIILRIVTKVIGECHSTSLDENIGAKYKDIGSGRDGQIFVDFCLHMLLYQPLSHGARCPPGLSVSQSERATGKLPLKGDLLVSRK >DRNTG_10741.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26266971:26269749:1 gene:DRNTG_10741 transcript:DRNTG_10741.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSSSSAATPSDADREEMLDRMLTSLALADDHKLQGLLTRILPYSISSLSSSSPSIRKLVMEILSHVNKRVKHHPEIGLPLVQLWSMYREFSGAPMVRNFCVVYIEMAFDRMPVVDKANMAPELLADISKFPSQHQDIILRIVTKVIGECHSTSLDENIGAKYKDIGSGRDGQIFVDFCLHMLLYQPLSHGARCPPGLSVSQSERATGKLPLKGDLLVSRKVGILNVIEAMDLAPEIVYPLYLAGSADSHELVIKRSEELLKRAAGRQHR >DRNTG_10741.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26266971:26267624:1 gene:DRNTG_10741 transcript:DRNTG_10741.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSSSSAATPSDADREEMLDRMLTSLALADDHKLQGLLTRILPYSISSLSSSSPSIRKLVMEILSHVNKRVKHHPEIGLPLVQLWSMYREFSGAPMVRNFCVVYIEMAFDRMPVVDKANMAPELLADISKFPSQHQDIILRIVTK >DRNTG_32141.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20797573:20799005:-1 gene:DRNTG_32141 transcript:DRNTG_32141.4 gene_biotype:protein_coding transcript_biotype:protein_coding TVFSPKFTTNTTLLLGIQFGSIIGIKGNETLEHANQKPKKPQLSALARIKKP >DRNTG_32141.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20797573:20801932:-1 gene:DRNTG_32141 transcript:DRNTG_32141.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKESANDRTDKYGGGLENRCRFPLEVVEAVVDEIGGDRVGMRLTPFEDFLDCYESDPEALGLHMVQSLNKFGILYCHMIEPRMSMAEERRQIPHRLRNMREAFNGTFIAAGGYDRDEGNKCVREGYTDLVSYGRLFLANPDLPRRFQLKAQLNKYDRLTFYTSDPVVGYTDYPFLEDCNESMNQV >DRNTG_32141.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20797573:20801932:-1 gene:DRNTG_32141 transcript:DRNTG_32141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESANDRTDKYGGGLENRCRFPLEVVEAVVDEIGGDRVGMRLTPFEDFLDCYESDPEALGLHMVQSLNKFGILYCHMIEPRMSMAEERRQIPHRLRNMREAFNGTFIAAGGYDRDEGNKCVREGYTDLVSYGRLFLANPDLPRRFQLKAQLNKYDRLTFYTSDPVVGYTDYPFLEDCNESMNQV >DRNTG_32141.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20799022:20801932:-1 gene:DRNTG_32141 transcript:DRNTG_32141.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKESANDRTDKYGGGLENRCRFPLEVVEAVVDEIGGDRVGMRLTPFEDFLDCYESDPEALGLHMVQSLNKFGILYCHMIEPRMSMAEERRQIPHRLRNMREAFNGTFIAAGGYDRDEGNKCVREGYTDLVSYGRLFLANPDLPRRFQLKAQLNKYDRLTFYTSDPVVGYTDYPFLEDCNESMNQV >DRNTG_22052.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28514618:28515679:-1 gene:DRNTG_22052 transcript:DRNTG_22052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDRPKERSLPPMKWARAMVRSSSDITARRRGATTAATDVNQQQSLAMATSEKSVTQSTSSIMRNPTFPRFGS >DRNTG_01942.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32499389:32505217:1 gene:DRNTG_01942 transcript:DRNTG_01942.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIVGAFKPPCKITISFSDARTRKQASIKKENGQMMMVPLFQSQENIAGEVSIEPVLGKKVEHNGVKIELLGQIELYFDRGNFYDFTSLVRELDVPSEIYEKKTYPFEFSTVEMPYESYNGINVRLRYILRVTVSRNFVNNIVEQQVFWVRNYTPPPTINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKIKNMELEVRRRESTGSGPNTYVETETLAKYELMDGAPVRGESIPVRLFLSPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITVYRLMENS >DRNTG_01942.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32499389:32505217:1 gene:DRNTG_01942 transcript:DRNTG_01942.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIVGAFKPPCKITISFSDARTRKQASIKKENGQMMMVPLFQSQENIAGEVSIEPVLGKKVEHNGVKIELLGQIELYFDRGNFYDFTSLVRELDVPSEIYEKKTYPFEFSTVEMPYESYNGINVRLRYILRVTVSRNFVNNIVEQQVFWVRNYTPPPTINNSIKMEVGIEDCLHIEFEYNKSK >DRNTG_19194.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:165285:169904:-1 gene:DRNTG_19194 transcript:DRNTG_19194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAHDACRPAINFVVELMYASSVFQIPELVSLFQRRLYNFVDKALVEDVMPILMVAFDSKRDQLLAHCIQRVTRSDMNDTYLEKELPHEVAEEIKLSRKKFKPEDYENVDPVFEKRVRRIHRALDSDDVELVKLLLSESEMTLDDAYALHYAAAYCDSKVVSEVLDLGLANVNLKDGRGYTPLHMAALRMLPAVIISLLSKGASVTDTTDDGRNAASICRRQTRLKDFLEKTEKCQEANKDRICIEILEREIRRKPHAVPESISSPLLADGLHMKLLYLENRVAFARLFFPLEAKVAMEIANADNTTEFAGQSTSRSSRNLREVDLNETPAMRDKRLQSRVDALTRTVEYGRRFFPNCSEVLDNFMEDDLPDLFFLQKGTPDEQKIKRARFCELKDVVLKAFNKDKAESKRSGLSSSSSSSSSIKGDMRQQKAARKEICKV >DRNTG_22405.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22601160:22602669:1 gene:DRNTG_22405 transcript:DRNTG_22405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFNNSPIPDFIGSLANLEYLDLSNAGFSGAIPHEAIGSSYVSTLPHFNFTSLRLLDLSHNNLNITLPQWFFNLNRLVHLNLSACALYGKLPATIGNLSCLRFLSLSDNSFDGVISESFRNLGGLESLDLSGNDLSGSILESLDNHTNGLSGSIPESLNNLTNLLYLDLSNNMIGKLPNSIVRLQKLQKSMMIRAIPESFGNLTLLQYFDRENKDGSAAEIREGLTALRLGKNMLNGTVPVNIGQLSKLGQLHLPLNSLMGVLTPSPVANLVNLAYLDLSYNSLQLNVCEDWKPPFDCFVIRICSCKVISPIFPLGLKLRQNLVTFAYQMLEFHATSLHGFGN >DRNTG_27164.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:697428:702352:-1 gene:DRNTG_27164 transcript:DRNTG_27164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGKIICGEQLSLGWSNRQPKPLKRADRSIRTFEPYSRRQFKEIHDRAGPGHAQGQRDFETGSSHARAFDHSGNRSDYASEREAGNIGNNIDDIEDGKGFSSKEGLANEINPVENDRWGEPVIGPLTGHDGENHNDFDRYEPYHGLDRSYEDKTQIDSFFSSPEHAIPHEKVQRERSIEKTDMRLIKQKTQQVCYRCGVVGHIARECPEGDARRERFGKYKHKREEVNFRSGTEVKRPRYNSLGKPVGTKDPSMLEKHGRDREKSQLPITKKLVSDDERSSESKGNYRNKHRAESRIKIEKKDNHGTTKKALKKRRKRRSQTSSLSSDSSTDSSRSDSQSVRSISDARQRSSSRSRSPAPESANSLSRLASKSSDSKSMSSTKSRPRSRVGRSPNLSISLNQDSPLSMKTEPVDVPPETSPNNKFDYVANAEKSELKGSFLTSKDENALAFTDVDSKSYGHHPTPDGVAAESFEQKEWNPSIGILKDAASPGKLSENNLQGSSTRELDNCMTIPSSRVTAQELFLALRHYGLATPDEDESGISTEKYFGAARLWPWEIIYLRRVKKGAISTENYARRLEQNRQFGIVDKYIRSSSSWGQYDQTNADQV >DRNTG_25958.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2069405:2074594:1 gene:DRNTG_25958 transcript:DRNTG_25958.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) protein [Source:Projected from Arabidopsis thaliana (AT3G02090) TAIR;Acc:AT3G02090] MAIRKLLSLARRPRPPYLLPYRTASTAVATAADSSPAPVTPPVMIYDHLAAAVKAKIKRLDDPDPRFLRYASPHPNLADHSSILAAPATRVTTLSNGLRVATESTLASSTATVGVWIDAGSRFETEETNGTAHFLEHMIFKGTEDRTVRQLEEEIENMGGHLNAYTSREQTTYYAKVLDHDVPKALGILADILQNSSFDEARINRERDVILREMEEVEGQTEEVIFDHLHATAFQYTPLGRTILGPASNIKTITKEHLKNYISTHYTAPRMVISASGAVKHEDVVELVKKLFIKLSTDPTTASQLVAKEPAVFTGSEVRIIDDDMPLAQFAVAFNGASWTDPDSIALMVMQSMLGSWNKSVGGGKHMGSELAQRCAINEIAESMMAFNTNYKDTGLFGVYAVAKPDCLDDLAYAIMYDISKMSYRVSDADVKRACNQLKSSLQLHLDGTTPIAEDIGRQLLTYGRRIPAAELFARIDAVDASTVKRVANRFIFDQDVAIAAMGPITKLPDYNWFRRRTYLLRY >DRNTG_30021.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19331791:19358055:1 gene:DRNTG_30021 transcript:DRNTG_30021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELAYMAKFTDKCDVYSFGVVTLEVMMGMHPGELLSNLQSMSSSSEGNDLLLKDVLDNRLLPPTGQLAEQVVFIVKVALACTLTNPALRPAMLFIAQELSTWKNSYLPEPLGTITIKNLFQASTSGELFK >DRNTG_10902.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000477.1:4981:19058:-1 gene:DRNTG_10902 transcript:DRNTG_10902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVGLPPPVRERSESVRDFPESAQGRAPASVKLSCGAQGRGRSKLIRGHVSHPLSHPLSLRA >DRNTG_30606.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001601.1:29803:30483:1 gene:DRNTG_30606 transcript:DRNTG_30606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNKLFLLAFLVALLCNAGHAARYLLDTPAATPEATPSTTPTIPTIPTIPTIPTTQLPPLPSLPKPTIPGLVIPTMPTVTLPPIPSIPLPTMPTIPQSLPPLPSIPTAIPNIPTIPGFKMPPLPFFSPPPAATSP >DRNTG_20345.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23373932:23374749:-1 gene:DRNTG_20345 transcript:DRNTG_20345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVRELCDQLQNAHSSSEDDSAVIVETVDGSTAEEILVTKTDLEHDAGIFVGEDKFEELDCETSVSDLPDGNAKGGLRTPAGDDHVQKSSEKYMLSIIPGKQFRILHDPTLSESPKIDKNLKNGVVNSFDISEGSTKSLRNFESNSLRASLRSSKVSPTESLAASLHRGLQIIDYHQQNSASRKPFLGLSFEQFVSKSHQTENMSDASVQTSLEDGGTAAAFLCLYCRKMNVYSGNLQHENL >DRNTG_13693.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5296933:5301264:1 gene:DRNTG_13693 transcript:DRNTG_13693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFEAMTFNIHGGYLEAIVRGHRSGLLSAADYNNLCQCETLDDIKMHLSATEYGPYLQNEPSPLHTTTIVEKCTQKLVDEYKHMLCQATEPLSTFLEYITYGHMIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDINIEIMRNTLYKAYLEDFYKFCQKIGGATAEIMSDLLAFEADRRAVNITINSIGTELTRDDRRKLYSSFGLLYPYGHEELVVCEDIDQVRGVMEKYPPYQAIFAKISYGESQMLDKAFYEEEVKRLCLAFEQQFHYAVFFAYMRLREQEIRNLMWISECVAQNQKSRVHDSVVFIF >DRNTG_24245.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19913105:19918725:1 gene:DRNTG_24245 transcript:DRNTG_24245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQERARQSTTSRSLCTAEIASYYPLPAYYQPSAEEMDEYIIFENDANMCYPEPPRRVLHNWSLYDSDSRFVPLELLLMKPWDEVDLTVFGSGIVTYDDKYDDETGFCHGTEPGLSSPNSLRIEDTDGFPIFLSALKKWRIKKFEPSTFFISIQTDVARFWLGKPAKQYIQWYEPVFKTGKLVISIITLLRAQSRLSKLSFADVLKKVSEFDNNHPAFISHNLVLVESYVLAHGQFILQLFSKFPDKEIQKCAFVTCLSHKMQERHHFKPLREKKIVVRTEENLNASAAVRVLSKRDLMRATSTRLINEIWQEYYLHYFPNNSKHGDTQEVKKQDLKKKEENAEEGLEEKVPNKEEKLSKPCPSTQSCNPKSRHGEVLWKGEPTVKTGSGDAFYRQADVHGDQLVVGGAVVVGTDESEDNMTIVFLEYMFEKEGTKMAHGRILLKSSETILKNAGNEREVFLTSDCVDFKLGDVKESVTVEIRLRSWGHEHRASYSNADKIDMAKAEERKKNGLPMEYYCKSLYWPERGAFVSLPFDSMGLGNGVCNSCKQRDTQEVQFVVSSRTSFSYMKTEYKVHDFVYVRPHSFSMAKDKRKTVKGGRIVGLKPYIVCHLLGVEGAKRKGATPESTLVKVRRFYRPEDISADEAYAADIREVYYSEDIFSVSVEMLEGKCEVRKKNDLPTLDFPAITQHVFFCEKIYNAVNKCLNELPAKHKFIISLNRKASHTSLRMLKREENCDVEPDDCGRRKDLSKDGRLAALDIFSGSGGLSEGLHQSGVSFTKWAIEYEQSSGEAFSQNHPDTLVFIDNCNVILRAIMEKCGDVDDCISTSEATKLAAELSKEKLNNLPLPGQVDFIYGGPPCQGFSSMNRFNQGAWSKVQCEMILSFLSFVEYFRPRFFLLENVRTFVSFNKGHTFRFTLASLLEMGYQVRFGVLDAGSYGVAQSRKRAFIWAASPEEKLPEWPEPMHVVAGSELRIFLSNGKHYSAVRSTAGGAPFRALTVKDTIGDLPPVGNGASNVEIEYAGKPVSWFQKQIRGKSLVLKDHIAKSMNEINLRRCQLVPKHIGADWRELPDEKVELSNGQISDLRPPCLVRTAHRHNDWKGLFGRLGWEGNFPTSTTDPNPMHKVGMCFHPNQDRIVTVRECARSQGFPDTYTFVGTISDKHRQVGNAVPPTLAYALGRKLREAIDSKLGDC >DRNTG_24245.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19913105:19915547:1 gene:DRNTG_24245 transcript:DRNTG_24245.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKQERARQSTTSRSLCTAEIASYYPLPAYYQPSAEEMDEYIIFENDANMCYPEPPRRVLHNWSLYDSDSRFVPLELLLMKPWDEVDLTVFGSGIVTYDDKYDDETGFCHGTEPGLSSPNSLRIEDTDGFPIFLSALKKWRIKKFEPSTFFISIQTDVARFWLGKPAKQYIQWYEPVFKTGKLVISIITLLRAQSRLSKLSFADVLKKVSEFDNNHPAFISHNLVLVESYVLAHGQFILQLFSKFPDKEIQKCAFVTCLSHKMQERHHFKPLREKKIVVRTEENLNASAAVRVLSKRDLMRATSTRLINEIWQEYYLHYFPNNSKHGDTQEVKKQDLKKKEENAEEGLEEKVPNKEEKLSKPCPSTQSCNPKSRHGEVLWKGEPTVKTGSGDAFYRQADVHGDQLVVGGAVVVGTDESEDNMTIVFLEYMFEKEGTKMAHGRILLKSSETILKNAGNEREVFLTSDCVDFKLGDVKESVTVEIRLRSWGHEHRASYSNADKIDMAKAEERKKNGLPMEYYCKSLYWPERGAFVSLPFDSMGLGNGVCNSCKQRDTQEVQFVVSSRTSFSYMKTEYKVHDFVYVRPHSFSMAKDKRKTVKGGRIVGLKPYIVCHLLGVEGAKRKGATPESTLVKVRRFYRPEDISADEAYAADIREV >DRNTG_24245.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19914058:19915547:1 gene:DRNTG_24245 transcript:DRNTG_24245.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQERHHFKPLREKKIVVRTEENLNASAAVRVLSKRDLMRATSTRLINEIWQEYYLHYFPNNSKHGDTQEVKKQDLKKKEENAEEGLEEKVPNKEEKLSKPCPSTQSCNPKSRHGEVLWKGEPTVKTGSGDAFYRQADVHGDQLVVGGAVVVGTDESEDNMTIVFLEYMFEKEGTKMAHGRILLKSSETILKNAGNEREVFLTSDCVDFKLGDVKESVTVEIRLRSWGHEHRASYSNADKIDMAKAEERKKNGLPMEYYCKSLYWPERGAFVSLPFDSMGLGNGVCNSCKQRDTQEVQFVVSSRTSFSYMKTEYKVHDFVYVRPHSFSMAKDKRKTVKGGRIVGLKPYIVCHLLGVEGAKRKGATPESTLVKVRRFYRPEDISADEAYAADIREV >DRNTG_24245.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19915876:19918725:1 gene:DRNTG_24245 transcript:DRNTG_24245.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKREENCDVEPDDCGRRKDLSKDGRLAALDIFSGSGGLSEGLHQSGVSFTKWAIEYEQSSGEAFSQNHPDTLVFIDNCNVILRAIMEKCGDVDDCISTSEATKLAAELSKEKLNNLPLPGQVDFIYGGPPCQGFSSMNRFNQGAWSKVQCEMILSFLSFVEYFRPRFFLLENVRTFVSFNKGHTFRFTLASLLEMGYQVRFGVLDAGSYGVAQSRKRAFIWAASPEEKLPEWPEPMHVVAGSELRIFLSNGKHYSAVRSTAGGAPFRALTVKDTIGDLPPVGNGASNVEIEYAGKPVSWFQKQIRGKSLVLKDHIAKSMNEINLRRCQLVPKHIGADWRELPDEKVELSNGQISDLRPPCLVRTAHRHNDWKGLFGRLGWEGNFPTSTTDPNPMHKVGMCFHPNQDRIVTVRECARSQGFPDTYTFVGTISDKHRQVGNAVPPTLAYALGRKLREAIDSKLGDC >DRNTG_31711.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16614451:16615527:1 gene:DRNTG_31711 transcript:DRNTG_31711.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLSARRALQLHLQTLAPSPSIPSRRSYITAMRQSTFKDNLLRILRTEITYESEHRPPKPPSPSFGPFSIEDNPGEQWVRLRRQISPTEHLQVDATMFDGASPVPDSFAKKVDAMDSDGARLHISLAVEVSKGESCPWVLQFVCSAWPDALDIEKVFPIAKDSMPLRPYMGRDFKELDDELQEAVRGYLEERGVDDDLAEFLHGYMVNKDKAELVRWLRNVEAYVQK >DRNTG_31711.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16614408:16615527:1 gene:DRNTG_31711 transcript:DRNTG_31711.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLSARRALQLHLQTLAPSPSIPSRRSYITAMRQSTFKDNLLRILRTEITYESEHRPPKPPSPSFGPFSIEDNPGEQWVRLRRQISPTEHLQVDATMFDGASPVPDSFAKKVDAMDSDGARLHISLAVEVSKGESCPWVLQFVCSAWPDALDIEKVFPIAKDSMPLRPYMGRDFKELDDELQEAVRGYLEERGVDDDLAEFLHGYMVNKDKAELVRWLRNVEAYVQK >DRNTG_31711.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16614408:16615474:1 gene:DRNTG_31711 transcript:DRNTG_31711.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLSARRALQLHLQTLAPSPSIPSRRSYITAMRQSTFKDNLLRILRTEITYESEHRPPKPPSPSFGPFSIEDNPGEQWVRLRRQISPTEHLQVDATMFDGASPVPDSFAKKVDAMDSDGARLHISLAVEVSKGESCPWVLQFVCSAWPDALDIEKVFPIAKDSMPLRPYMGRDFKELDDELQEAVRGYLEERGVDDDLAEFLHGYMVNKDKAELVRWLRNVEAYVQK >DRNTG_31711.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16614338:16615527:1 gene:DRNTG_31711 transcript:DRNTG_31711.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLSARRALQLHLQTLAPSPSIPSRRSYITAMRQSTFKDNLLRILRTEITYESEHRPPKPPSPSFGPFSIEDNPGEQWVRLRRQISPTEHLQVDATMFDGASPVPDSFAKKVDAMDSDGARLHISLAVEVSKGESCPWVLQFVCSAWPDALDIEKVFPIAKDSMPLRPYMGRDFKELDDELQEAVRGYLEERGVDDDLAEFLHGYMVNKDKAELVRWLRNVEAYVQK >DRNTG_31711.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16614451:16615474:1 gene:DRNTG_31711 transcript:DRNTG_31711.6 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLSARRALQLHLQTLAPSPSIPSRRSYITAMRQSTFKDNLLRILRTEITYESEHRPPKPPSPSFGPFSIEDNPGEQWVRLRRQISPTEHLQVDATMFDGASPVPDSFAKKVDAMDSDGARLHISLAVEVSKGESCPWVLQFVCSAWPDALDIEKVFPIAKDSMPLRPYMGRDFKELDDELQEAVRGYLEERGVDDDLAEFLHGYMVNKDKAELVRWLRNVEAYVQK >DRNTG_31711.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16614408:16615617:1 gene:DRNTG_31711 transcript:DRNTG_31711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLSARRALQLHLQTLAPSPSIPSRRSYITAMRQSTFKDNLLRILRTEITYESEHRPPKPPSPSFGPFSIEDNPGEQWVRLRRQISPTEHLQVDATMFDGASPVPDSFAKKVDAMDSDGARLHISLAVEVSKGESCPWVLQFVCSAWPDALDIEKVFPIAKDSMPLRPYMGRDFKELDDELQEAVRGYLEERGVDDDLAEFLHGYMVNKDKAELVRWLRNVEAYVQK >DRNTG_30781.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001625.1:37566:42907:-1 gene:DRNTG_30781 transcript:DRNTG_30781.2 gene_biotype:protein_coding transcript_biotype:protein_coding RRGKKERKRRRGKKKKRGLGQGDRKANPRRISLKLREKTPCKKHYLLIRFDPSIFPYLLFVSHLDDDDDVCQ >DRNTG_30781.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001625.1:37314:42907:-1 gene:DRNTG_30781 transcript:DRNTG_30781.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRGKKERKRRRGKKKKRGLGQGDRKANPRRISLKLREKTPCKKHYLLIRFDPSIFPYLLFVSHLDDDDDVCQ >DRNTG_10738.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26291688:26293571:1 gene:DRNTG_10738 transcript:DRNTG_10738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECLSSLEDQSFNYVELHAENAGIRAEKLDNLRIAIAKDSTMWETLDLCLKVVDKESLDLLVPRLTQLVRSGVGLNTRVGVASFITLLVQKVTTDIKPFTSTLLKLLLAAVLEEKSKAAKRAFAAACAMVLKYAGPSQAQKLIEDTAALHLGERTAQISCAILLRNYSNFATDIVSGYHAVIVPVTFVS >DRNTG_25636.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21892375:21897595:1 gene:DRNTG_25636 transcript:DRNTG_25636.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASGITPLELHLHPLPTPQEEIQEEELSPSSSAPSAGASSFLPRSHSTTPRHQLHGSPRSFGSGDSSPFPLLGSSRFSHPHLGSESSWRSLVLRWWSQMKEPREKQRLWLNRRKGWQRRRARGVAAWIAVVGFFFLMNWRMFSRLQESSDVSEDLLGFSNSSSSRIVNNWSNNDNAKKPGKVMFTRLLAVAAHALAEREKRPEPPDLWKETLISSSLWTPCANQRNWRTSEGSNGYLLISANGGINQQRVAICNAVAISRLLNATLIVPKFLYNSVWRDRR >DRNTG_25636.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21898944:21901771:1 gene:DRNTG_25636 transcript:DRNTG_25636.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHRSHWKPIEQNLFGQFAAKTSINEKKSSSKTASRYLAVHLRFEIDMAAYSMCYFGGGKDEEEELEAYRAIHFPALTLIRNTTKVPSAAFLRSEGQCPLTPEESVLMLVALGFKRKTRVYLAGANIYGGKSRMAALTSLYPHLVTKESLLSSSEISPFLNFSSQLAALDFIVCAAADAFAMTDSGSQFSSLVSGYRMYYGGGKLPTIRPNKRRLANIFLKNNTIEWKTFEQRVRKTVRQTKQVDERPVARSVYRHPRTPACMCRTE >DRNTG_25636.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21896107:21901771:1 gene:DRNTG_25636 transcript:DRNTG_25636.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELQSLDLEAIGSVVTDAEVMKEAKPSIYLKKILPILLKNSVVHIIGFGNRLAFDPIPFELQRLRCRCNFHALQFVQKIQETGALLVQRMRNHRSHWKPIEQNLFGQFAAKTSINEKKSSSKTASRYLAVHLRFEIDMAAYSMCYFGGGKDEEEELEAYRAIHFPALTLIRNTTKVPSAAFLRSEGQCPLTPEESVLMLVALGFKRKTRVYLAGANIYGGKSRMAALTSLYPHLVTKESLLSSSEISPFLNFSSQLAALDFIVCAAADAFAMTDSGSQFSSLVSGYRMYYGGGKLPTIRPNKRRLANIFLKNNTIEWKTFEQRVRKTVRQTKQVDERPVARSVYRHPRTPACMCRTE >DRNTG_25636.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21892375:21897595:1 gene:DRNTG_25636 transcript:DRNTG_25636.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGITPLELHLHPLPTPQEEIQEEELSPSSSAPSAGASSFLPRSHSTTPRHQLHGSPRSFGSGDSSPFPLLGSSRFSHPHLGSESSWRSLVLRWWSQMKEPREKQRLWLNRRKGWQRRRARGVAAWIAVVGFFFLMNWRMFSRLQESSDVSEDLLGFSNSSSSRIVNNWSNNDNAKKPGKVMFTRLLAVAAHALAEREKRPEPPDLWKETLISSSLWTPCANQRNWRTSEGSNGYLLISANGGINQQRVAVSFPP >DRNTG_25636.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21892375:21895699:1 gene:DRNTG_25636 transcript:DRNTG_25636.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASGITPLELHLHPLPTPQEEIQEEELSPSSSAPSAGASSFLPRSHSTTPRHQLHGSPRSFGSGDSSPFPLLGSSRFSHPHLGSESSWRSLVLRWWSQMKEPREKQRLWLNRRKGWQRRRARGVAAWIAVVGFFFLMNWRMFSRLQESSDVSEDLLGFSNSSSSRIVNNWSNNDNAKKPGKVMFTRLLAVAAHALAEREKRPEPPDLWKETLISSSLWTPCANQRNWRTSEGSNGYLLISANGGINQQRVAVSFPP >DRNTG_25636.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21892375:21901771:1 gene:DRNTG_25636 transcript:DRNTG_25636.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGITPLELHLHPLPTPQEEIQEEELSPSSSAPSAGASSFLPRSHSTTPRHQLHGSPRSFGSGDSSPFPLLGSSRFSHPHLGSESSWRSLVLRWWSQMKEPREKQRLWLNRRKGWQRRRARGVAAWIAVVGFFFLMNWRMFSRLQESSDVSEDLLGFSNSSSSRIVNNWSNNDNAKKPGKVMFTRLLAVAAHALAEREKRPEPPDLWKETLISSSLWTPCANQRNWRTSEGSNGYLLISANGGINQQRVAICNAVAISRLLNATLIVPKFLYNSVWRDRSQFGNIYQEEHFINYLKDDIRIVKELPMELQSLDLEAIGSVVTDAEVMKEAKPSIYLKKILPILLKNSVVHIIGFGNRLAFDPIPFELQRLRCRCNFHALQFVQKIQETGALLVQRMRNHRSHWKPIEQNLFGQFAAKTSINEKKSSSKTASRYLAVHLRFEIDMAAYSMCYFGGGKDEEEELEAYRAIHFPALTLIRNTTKVPSAAFLRSEGQCPLTPEESVLMLVALGFKRKTRVYLAGANIYGGKSRMAALTSLYPHLVTKESLLSSSEISPFLNFSSQLAALDFIVCAAADAFAMTDSGSQFSSLVSGYRMYYGGGKLPTIRPNKRRLANIFLKNNTIEWKTFEQRVRKTVRQTKQVDERPVARSVYRHPRTPACMCRTE >DRNTG_19058.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14525737:14531347:-1 gene:DRNTG_19058 transcript:DRNTG_19058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRSGSLAMKMQSKGNAQTPNDTQELYTQENVVNSNSLPNAMDMHVDIFTLQNLGNDFSSQIMPSTHSTMTDRAH >DRNTG_30487.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:642222:642551:1 gene:DRNTG_30487 transcript:DRNTG_30487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQPQLVRPETDAGKEEKGRAMEELPLQSSPYVKYSDVEVYKLHDYDSEDHFPTIKTTRRGGSATGSPTLSRSASNSPSATHSAANSASLARSLSLKVRNHSQNNSRN >DRNTG_24567.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6571356:6572934:-1 gene:DRNTG_24567 transcript:DRNTG_24567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPQASTSSSGVAGVSKECSHPRNPHGHVENPRARVGNSEHEISPCWSVENPCARVECPRERVECPHDRVDFPHARVGFLGTIFRPRGRVGIPRDRVGARTMHKNQDIHINFKKWRHGEGRVVRPIIKERSQCGSHCIVFGGNLAKVQTRRHRSGCEGIGISKGSEDRLLWHNIGSSCTNQVGRHKRSLCMRRCVPTSIVFASQVINGRAQRQPCLHIPPLCKDFKTFQDALMKEKPYSLPYDRVPDRVALRGECVSLCSRNTIAELL >DRNTG_20851.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16006973:16010868:1 gene:DRNTG_20851 transcript:DRNTG_20851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSQLSLLCSSWLSTLMLFWMLLLAHHVLQQSNGCFACVEEEKIALLNIKSAFSDHKSIVDPYSFFQSWNKSIECCNWYGVHCSPTTKHVRQLKLHDCSNTRTLNTSLFLPFRELRSLVLSFNGFNTCIPSDCFRSWAELDNLWYLDLSYNSFHFTNVYSATPKLSKLRYLDLSNNQFNESIVPHLIGLSSLKTLYLEWNDMGGDLPLKGLCKLMNLEELDIGYNDLSGDIPLCFGHLSSLSYFDISYNQIQMPFPSIIFKNLTKLKYAFFSNNYFCGALSIDEFANNTELKILDFSNNNQLEVQNEHVRLTPSFQLDAIFLSNCICNSVPMFLSTQYQIKHIDLSNSNLKGNIPMWLFQNKTHLYYLNLRNNSLTGPLIFPSHLKTNLIWFDVSNNKLIGEIHMSIGYVIPYISYLNMSENSLQGVIPFSFRNLSHLNTLDLSNNKLSGQVSNSIEYLDNLLVLDLGENNFQGNMFTNNFSLTYLYAFIVNKNQLTGEIPNSICKMFFSILDISENRLSGALPSCMNNFQYSLKVLDVRGNSLEGSIPSKFCGFFWLQYLDLSNNHFSGLIPPCFNFPHLLYLNLKDNNFTGSFPSASFGNNLEILDIGNNHFIGGIPNWIGTLQNLKIFSLKGNHFKGPIPKQICNLKYLHILDFSQNNLSEEIPPCIHNIGHHLDSVTIILEEVGIGINESNIFPYHYFSNMLSPAEMFPASVEYIDFATKERSYAYKGDIINYFSGIDLSCNKLVGQIPIEIGDMAWLLALNLSNNMLHGPIPHTLSRLTEIESLDLSHNMLAGRIPSQLAELHFIEFFSVAYNNLSGPTLGMVGQFSTFSEKNYEGNPYLCGPPLVKSCNNMSSPQQNQVKDGHKNEETMERFITIAIFVLGFIMGFWGWMALLFFKRSLRYSFFLGVDGYMEDIVDMARNLLAKIK >DRNTG_05507.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30185256:30185900:-1 gene:DRNTG_05507 transcript:DRNTG_05507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >DRNTG_29950.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3038517:3041286:-1 gene:DRNTG_29950 transcript:DRNTG_29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin [Source:Projected from Arabidopsis thaliana (AT3G15370) UniProtKB/TrEMBL;Acc:A0A1I9LSN2] MGRILLKMMQLLFVGMMFALEVEGIKGNGWLNGHATYYGASQNPTTLAHVNFACKNGRVSCKRVGGVRFTLKGQGNFNLVMFTNIGGSGAVKAAWIKASSSSWASMQRNWGANWQTSADFRNQALSFKLLLTDGKTLEFPYVVPPTWIFGQTFISRRQFSN >DRNTG_19012.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22612539:22614793:-1 gene:DRNTG_19012 transcript:DRNTG_19012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPRYHLISHYTPTNLLILLILPFVTAAINVTSILQAHPDLSDFARLLVTTGVASELSGRSSLTLLVVPNSYLARSSELGRSAGDTADVLRYHVLLEYLSWSELRRIPASGKLVTTLYQTTGRAASNLGSVNLTRSPSGVLIARSPAGNATVLSPIATIPYNASVLAVDALLIPSGFDLAASEARPAPAVNISRVLADGHDFNVAASMLAASGVAAEFEADERGAGITVFVPTDEAFAELPATERLQSLPADRKAVVLRFHVLHSYYPLGSLESIVNPVQPTLATEDTGAGRFTLNISRVNGSVAIDTGVIQASITRTVFDQNPVAVFAVSRVLLPREIFAKGAHASPLAPPPLDPVALSPENDTPPTRLSSPPGLRTEIKSGSAARSIATAAVKACCIAMLYLLVLV >DRNTG_16019.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17546349:17547608:-1 gene:DRNTG_16019 transcript:DRNTG_16019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSVLAKNSSKMNSETEQQLPKINFSGLAVGTPEWIAVRVEVMNALHIYGSFEAVYDPLQDPKLREKVFKKGITELFDLPVDVKSRSASYSNKLYVPSAQVEDKYYDEMNQKTKYILNASCYKSEEKEDKDEQYMGAHTDPNFITIIGQDEVDGLEVLIKTGQWIRPAPYCYIVLIADAMEAWTNGKLQATGHRVIKNKSNDTRYVTLFMSTGTKGFIIQTPPELIDATHPPLYKPSDFNDYFQFYSSEMSFKTTTPLKTFCGIDDLV >DRNTG_08133.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000366.1:8948:12985:-1 gene:DRNTG_08133 transcript:DRNTG_08133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPPPRRYAVLGAGHATEYIERVHGGFARMLARLLGDPETNERWDTYHVVDGDFSFLDEAEAFDGFVITGSISDAHADDEWILRLRDAVQELCRLKKRVLGICFGHQIIARALGGATGRAEVGWELGVKTLVVDSLKIGEIYGIEFPSRVEVIESHRDQVSAIPPEAVVLASSEKTKFEMFAIGDQILGIQSHPEFSKDVMMDIIQNQLSANSFSAEFANDAIQSFEKSKPDEEVMKYLCKTFLKGNGKAKSGAE >DRNTG_35445.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002248.1:47190:47641:-1 gene:DRNTG_35445 transcript:DRNTG_35445.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVWLPLFLCFSSLFEVLHATHGDSDPIYRSCVEQCEAKGYIAEISIKHCKFSSDVLTENSSWYEHKPFYSQWKQWNCESDCQYHCMIQREKERETDGFMPVKYHGKWPFKRVYIF >DRNTG_31487.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2011980:2014761:1 gene:DRNTG_31487 transcript:DRNTG_31487.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 14 [Source:Projected from Arabidopsis thaliana (AT1G30650) UniProtKB/Swiss-Prot;Acc:Q9SA80] MIRSSGGCQPVLGDIQISSPRTPGIKRRKSQAKKVVCIPAPAAANSRTSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQTSSKNVTSSSTQKNNHKQVVNLKEEPKDTTSSSSTPLVKEEGGEFVDKAMDASDHDQDRLDQMFVGSYKPLIPECNHPDDFFADLAELDPDPMSLIFSKGIDDVDVEQDQRERNNNNNNNNNNNNKSIDPFNIFDWGVMNSFGESKRGL >DRNTG_31487.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2013182:2014980:1 gene:DRNTG_31487 transcript:DRNTG_31487.16 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 14 [Source:Projected from Arabidopsis thaliana (AT1G30650) UniProtKB/Swiss-Prot;Acc:Q9SA80] MIICRKSQAKKVVCIPAPAAANSRTSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQTSSKNVTSSSTQKNNHKQVVNLKEEPKDTTSSSSTPLVKEEGGEFVDKAMDASDHDQDRLDQMFVGSYKPLIPECNHPDDFFADLAELDPDPMSLIFSKGIDDVDVEQDQRERNNNNNNNNNNNNKSIDPFNIFDWGVMNSFGESKRGL >DRNTG_31487.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2011980:2014848:1 gene:DRNTG_31487 transcript:DRNTG_31487.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 14 [Source:Projected from Arabidopsis thaliana (AT1G30650) UniProtKB/Swiss-Prot;Acc:Q9SA80] MYRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQTSSKNVTSSSTQKNNHKQVVNLKEEPKDTTSSSSTPLVKEEGGEFVDKAMDASDHDQDRLDQMFVGSYKPLIPECNHPDDFFADLAELDPDPMSLIFSKGIDDVDVEQDQRERNNNNNNNNNNNNKSIDPFNIFDWGVMNSFGESKRGL >DRNTG_31487.18.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2012116:2013051:1 gene:DRNTG_31487 transcript:DRNTG_31487.18 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 14 [Source:Projected from Arabidopsis thaliana (AT1G30650) UniProtKB/Swiss-Prot;Acc:Q9SA80] MCDYFWKKMENGQGDLADIVRASGRVGGNDIDLSSVMSNDWQFIPSEPVSFFPLPPRDDRREEEEEEEDVFSDPFGPFSRDPLLHEITVSSFFDHGQDLKRGLQQEITRSTPTTTTTTTNYYYYHQ >DRNTG_31487.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2011980:2014980:1 gene:DRNTG_31487 transcript:DRNTG_31487.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 14 [Source:Projected from Arabidopsis thaliana (AT1G30650) UniProtKB/Swiss-Prot;Acc:Q9SA80] MIRSSGGCQPVLGDIQISSPRTPGIKRRKSQAKKVVCIPAPAAANSRTSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQTSSKNVTSSSTQKNNHKQVVNLKEEPKDTTSSSSTPLVKEEGGEFVDKAMDASDHDQDRLDQMFVGSYKPLIPECNHPDDFFADLAELDPDPMSLIFSKGIDDVDVEQDQRERNNNNNNNNNNNNKSIDPFNIFDWGVMNSFGESKRGL >DRNTG_31487.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2012116:2014848:1 gene:DRNTG_31487 transcript:DRNTG_31487.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 14 [Source:Projected from Arabidopsis thaliana (AT1G30650) UniProtKB/Swiss-Prot;Acc:Q9SA80] MIRSSGGCQPVLGDIQISSPRTPGIKRRKSQAKKVVCIPAPAAANSRTSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQTSSKNVTSSSTQKNNHKQVVNLKEEPKDTTSSSSTPLVKEEGGEFVDKAMDASDHDQDRLDQMFVGSYKPLIPECNHPDDFFADLAELDPDPMSLIFSKGIDDVDVEQDQRERNNNNNNNNNNNNKSIDPFNIFDWGVMNSFGESKRGL >DRNTG_31487.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2012309:2015136:1 gene:DRNTG_31487 transcript:DRNTG_31487.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 14 [Source:Projected from Arabidopsis thaliana (AT1G30650) UniProtKB/Swiss-Prot;Acc:Q9SA80] MIRSSGGCQPVLGDIQISSPRTPGIKRRKSQAKKVVCIPAPAAANSRTSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQTSSKNVTSSSTQKNNHKQVVNLKEEPKDTTSSSSTPLVKEEGGEFVDKAMDASDHDQDRLDQMFVGSYKPLIPECNHPDDFFADLAELDPDPMSLIFSKGIDDVDVEQDQRERNNNNNNNNNNNNKSIDPFNIFDWGVMNSFGESKRGL >DRNTG_31487.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2013182:2014761:1 gene:DRNTG_31487 transcript:DRNTG_31487.17 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 14 [Source:Projected from Arabidopsis thaliana (AT1G30650) UniProtKB/Swiss-Prot;Acc:Q9SA80] MIICRKSQAKKVVCIPAPAAANSRTSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQTSSKNVTSSSTQKNNHKQVVNLKEEPKDTTSSSSTPLVKEEGGEFVDKAMDASDHDQDRLDQMFVGSYKPLIPECNHPDDFFADLAELDPDPMSLIFSKGIDDVDVEQDQRERNNNNNNNNNNNNKSIDPFNIFDWGVMNSFGESKRGL >DRNTG_31487.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2011980:2014848:1 gene:DRNTG_31487 transcript:DRNTG_31487.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 14 [Source:Projected from Arabidopsis thaliana (AT1G30650) UniProtKB/Swiss-Prot;Acc:Q9SA80] MIRSSGGCQPVLGDIQISSPRTPGIKRRKSQAKKVVCIPAPAAANSRTSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQTSSKNVTSSSTQKNNHKQVVNLKEEPKDTTSSSSTPLVKEEGGEFVDKAMDASDHDQDRLDQMFVGSYKPLIPECNHPDDFFADLAELDPDPMSLIFSKGIDDVDVEQDQRERNNNNNNNNNNNNKSIDPFNIFDWGVMNSFGESKRGL >DRNTG_31487.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2012116:2015136:1 gene:DRNTG_31487 transcript:DRNTG_31487.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 14 [Source:Projected from Arabidopsis thaliana (AT1G30650) UniProtKB/Swiss-Prot;Acc:Q9SA80] MYRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQTSSKNVTSSSTQKNNHKQVVNLKEEPKDTTSSSSTPLVKEEGGEFVDKAMDASDHDQDRLDQMFVGSYKPLIPECNHPDDFFADLAELDPDPMSLIFSKGIDDVDVEQDQRERNNNNNNNNNNNNKSIDPFNIFDWGVMNSFGESKRGL >DRNTG_31487.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2012309:2014761:1 gene:DRNTG_31487 transcript:DRNTG_31487.15 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 14 [Source:Projected from Arabidopsis thaliana (AT1G30650) UniProtKB/Swiss-Prot;Acc:Q9SA80] MIRSSGGCQPVLGDIQISSPRTPGIKRRKSQAKKVVCIPAPAAANSRTSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQTSSKNVTSSSTQKNNHKQVVNLKEEPKDTTSSSSTPLVKEEGGEFVDKAMDASDHDQDRLDQMFVGSYKPLIPECNHPDDFFADLAELDPDPMSLIFSKGIDDVDVEQDQRERNNNNNNNNNNNNKSIDPFNIFDWGVMNSFGESKRGL >DRNTG_31487.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2012116:2014980:1 gene:DRNTG_31487 transcript:DRNTG_31487.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 14 [Source:Projected from Arabidopsis thaliana (AT1G30650) UniProtKB/Swiss-Prot;Acc:Q9SA80] MIRSSGGCQPVLGDIQISSPRTPGIKRRKSQAKKVVCIPAPAAANSRTSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQTSSKNVTSSSTQKNNHKQVVNLKEEPKDTTSSSSTPLVKEEGGEFVDKAMDASDHDQDRLDQMFVGSYKPLIPECNHPDDFFADLAELDPDPMSLIFSKGIDDVDVEQDQRERNNNNNNNNNNNNKSIDPFNIFDWGVMNSFGESKRGL >DRNTG_31487.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2012116:2014980:1 gene:DRNTG_31487 transcript:DRNTG_31487.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 14 [Source:Projected from Arabidopsis thaliana (AT1G30650) UniProtKB/Swiss-Prot;Acc:Q9SA80] MYRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQTSSKNVTSSSTQKNNHKQVVNLKEEPKDTTSSSSTPLVKEEGGEFVDKAMDASDHDQDRLDQMFVGSYKPLIPECNHPDDFFADLAELDPDPMSLIFSKGIDDVDVEQDQRERNNNNNNNNNNNNKSIDPFNIFDWGVMNSFGESKRGL >DRNTG_31487.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2012116:2015136:1 gene:DRNTG_31487 transcript:DRNTG_31487.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 14 [Source:Projected from Arabidopsis thaliana (AT1G30650) UniProtKB/Swiss-Prot;Acc:Q9SA80] MIRSSGGCQPVLGDIQISSPRTPGIKRRKSQAKKVVCIPAPAAANSRTSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQTSSKNVTSSSTQKNNHKQVVNLKEEPKDTTSSSSTPLVKEEGGEFVDKAMDASDHDQDRLDQMFVGSYKPLIPECNHPDDFFADLAELDPDPMSLIFSKGIDDVDVEQDQRERNNNNNNNNNNNNKSIDPFNIFDWGVMNSFGESKRGL >DRNTG_31487.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2012116:2014761:1 gene:DRNTG_31487 transcript:DRNTG_31487.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 14 [Source:Projected from Arabidopsis thaliana (AT1G30650) UniProtKB/Swiss-Prot;Acc:Q9SA80] MIRSSGGCQPVLGDIQISSPRTPGIKRRKSQAKKVVCIPAPAAANSRTSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQTSSKNVTSSSTQKNNHKQVVNLKEEPKDTTSSSSTPLVKEEGGEFVDKAMDASDHDQDRLDQMFVGSYKPLIPECNHPDDFFADLAELDPDPMSLIFSKGIDDVDVEQDQRERNNNNNNNNNNNNKSIDPFNIFDWGVMNSFGESKRGL >DRNTG_31487.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2011980:2014980:1 gene:DRNTG_31487 transcript:DRNTG_31487.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 14 [Source:Projected from Arabidopsis thaliana (AT1G30650) UniProtKB/Swiss-Prot;Acc:Q9SA80] MYRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQTSSKNVTSSSTQKNNHKQVVNLKEEPKDTTSSSSTPLVKEEGGEFVDKAMDASDHDQDRLDQMFVGSYKPLIPECNHPDDFFADLAELDPDPMSLIFSKGIDDVDVEQDQRERNNNNNNNNNNNNKSIDPFNIFDWGVMNSFGESKRGL >DRNTG_31487.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2012309:2014848:1 gene:DRNTG_31487 transcript:DRNTG_31487.14 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 14 [Source:Projected from Arabidopsis thaliana (AT1G30650) UniProtKB/Swiss-Prot;Acc:Q9SA80] MIRSSGGCQPVLGDIQISSPRTPGIKRRKSQAKKVVCIPAPAAANSRTSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQTSSKNVTSSSTQKNNHKQVVNLKEEPKDTTSSSSTPLVKEEGGEFVDKAMDASDHDQDRLDQMFVGSYKPLIPECNHPDDFFADLAELDPDPMSLIFSKGIDDVDVEQDQRERNNNNNNNNNNNNKSIDPFNIFDWGVMNSFGESKRGL >DRNTG_31487.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2011980:2015136:1 gene:DRNTG_31487 transcript:DRNTG_31487.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 14 [Source:Projected from Arabidopsis thaliana (AT1G30650) UniProtKB/Swiss-Prot;Acc:Q9SA80] MIRSSGGCQPVLGDIQISSPRTPGIKRRKSQAKKVVCIPAPAAANSRTSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQTSSKNVTSSSTQKNNHKQVVNLKEEPKDTTSSSSTPLVKEEGGEFVDKAMDASDHDQDRLDQMFVGSYKPLIPECNHPDDFFADLAELDPDPMSLIFSKGIDDVDVEQDQRERNNNNNNNNNNNNKSIDPFNIFDWGVMNSFGESKRGL >DRNTG_25358.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24153068:24157138:-1 gene:DRNTG_25358 transcript:DRNTG_25358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCASPVDPSEKKPKKGKKPNPFSLDYNKGQSGGGSKLTVLKDPTGRDIEARYELGQELGRGEFGVTYLCTDRSTGESLACKSISKKKLRTAVDIEDVRREVEIMRHMPTHPNIVRLKDTYEDDSAVHLVMELCEGGELFDRIVARGHYTERAAAVVTKTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFKPGERFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPRVSDNAKDLVKRMLDPDPRRRLTAQEVLDHPWLQNAKKAPNVSLGETVKARLQQFSVMNKFKKRALRVVAEHLSVEEAADIREMFRAMDINNSGKLTLEELKLGLHKLGHQIADADVKILMDAADVDGNGTLDYGEFVAVSIHLKKIGNDEHLHRAFAYFDQNKSGYIEIEELRDCLADDLGPNHEEVINAIIHDVDTDKDGRISYDEFAAMMKAGTDWRKASRQYSRERFNSLSLKLMKDGSLHLNNEGR >DRNTG_26734.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1216808:1221892:1 gene:DRNTG_26734 transcript:DRNTG_26734.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4g01560/F11O4_6 [Source:Projected from Arabidopsis thaliana (AT4G01560) UniProtKB/TrEMBL;Acc:Q9M125] MADRKRKREPAGDGAKPEEEKGSRDNKEKKRTLLPSLIKNKVKRMAVHAKVKREKRIEKKKKAKSRADAHKRALELGEEPPPVSIPRTIENTREPDETVCKPDDEELFVGNDADEFSNILKQERIPKILITTCRFNSSRGPAFIQELLSVIPNAHYFKRGTYELKRIVEYANNKEFTSIIVIHTNRREPDALLIINLPDGPTAHFKLSKLVLRKDIKNHGNPTSHKPELVLNNFTTRLGHRVGRLIQSLFPQDPFFRGRRVVTFHNQRDFIFFRHHRYVFESKETKVDSNDAKTKSAKGKNLTQTKMIARLQECGPRFTLKLISLQHGTFDSKGGEFEWVHKPEMDTSRRRFFL >DRNTG_32442.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9133967:9135804:-1 gene:DRNTG_32442 transcript:DRNTG_32442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAINEMHGQELGGRIISVNKAQPKVGSDDSGYGYGSGGGGGGGGGSGGGGYSSGGRSYRGDGPPPPPPPPPGRSDCFKCGRTGHWARECPSAGGGSGGKFPSRPRYGGGGGGGGGGARGDHFGGDRYGDRYGDRYIDDRYDGGRYGDRDRVDSRDSKYGGGRDRYGNDRYPSGGGGDRYSGDRYGGPDRYPSNGYGKERAYDRDVGPRGGAGGDRYGSGGPVRYEGSYRDRPGPYDRPSRGGRPSSYDRYP >DRNTG_17483.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6735585:6736117:1 gene:DRNTG_17483 transcript:DRNTG_17483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRRGHFEPKLWNRTGTEPSKTEPEPTKP >DRNTG_25957.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2075259:2076169:1 gene:DRNTG_25957 transcript:DRNTG_25957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLIKEKDTLPQPHTTATLKCPMDGKFYVSPAPGKPPFVKVGDKVTKGQVVCLIETVKTHERDSDRSIWNNC >DRNTG_07553.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21687777:21688086:1 gene:DRNTG_07553 transcript:DRNTG_07553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRHKMNQPGKSLGVIGLGGLGHLAVKFGKAFGLNVTVFSTSASKKKEALELLG >DRNTG_08403.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4790060:4792485:1 gene:DRNTG_08403 transcript:DRNTG_08403.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKRETSARVKARPEQWRQLQAFRAPWSPSPSTPPPSSANACPSLSPTLRLRLLFTAQMLCSIQKNKM >DRNTG_08403.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4790361:4792485:1 gene:DRNTG_08403 transcript:DRNTG_08403.3 gene_biotype:protein_coding transcript_biotype:protein_coding KKKRETSARVKARPEQWRQLQAFRAPWSPSPSTPPPSSANACPSLSPTLRLRLLFTAQMLCSIQKNKM >DRNTG_08403.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4790361:4792108:1 gene:DRNTG_08403 transcript:DRNTG_08403.5 gene_biotype:protein_coding transcript_biotype:protein_coding KKKRETSARVKARPEQWRQLQAFRAPWSPSPSTPPPSSANACPSLSPTLRLRLLFTAQMLCSIQKNKM >DRNTG_08403.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4790060:4792108:1 gene:DRNTG_08403 transcript:DRNTG_08403.4 gene_biotype:protein_coding transcript_biotype:protein_coding KKKRETSARVKARPEQWRQLQAFRAPWSPSPSTPPPSSANACPSLSPTLRLRLLFTAQMLCSIQKNKM >DRNTG_06855.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000311.1:27401:35601:1 gene:DRNTG_06855 transcript:DRNTG_06855.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFSNALEMIKELSTPHALQYLEPIWSGVDEQLQKLQFSLLVIQPLVEDAEARQLKEQAVRCWLVWLKDAVYDAEDILDEAKTHELLIQRKTELSGRPRSKVREFFSLDHNPLLFKLQLGKKLRNVNEKINDLIEKMDKFKLRPIVENNSKPLGNRPQTYSYLHESLLILGRDEDKEKLVQMLISDCFGEKVAVVSIVGMGGLGKTTLAQLVYADERVKNHFEQCIWVCVSDDFDVPRLAQKIICTAGGEIRDSTNMEMLQQDLRKVLLRYLLVLDDVWNEDFQKWDALRNMLLDGGEGSRILVTTRNEKCSRVMGAQKPYILSGLSQESSWDLFEHKAFVEDAEKPPGLVEIGKKIVMKCQGLPLAIQVMGSIMRSKSDESEWQAVLENETWKSQHTENKIMPELWLSYVDLSSHLKRCFTFCAIFPKDHDFKEQELIKFWMAHGLIPSGKGTDMEVEGRETFTELIRRSLLQIDCSVPAWEYERVCKMHDLIHDVAHFVMENECFTSLKGGAAPEIPIWPRHWNLDAGENYNLGDCSNIHTLLYCRRDLSVLSRLKMVRVLDLSHAYIDKLPASIEHLHHLRYLDLSSTYIRKLPESICMLVNLQTLILYGCYKLSEIPKSITYMNSLRHLLFDDNRPEFEALNACLSQLQNLKTLSGYTVEDDAKNNIGQLKSLNPLGALALYNLQKVKNADDARKANLGNKQLIHTLKLSWGKLSWGPDDECCSMENAEEVLEALKPPSLLNKLTVSYYPGKQFPMWMGERQQFQYLHHIILFKCKACEQLLPLEILPGLENLSVSEMHSIKHIVNNTRGNPQQSFPALKKLYLESMRNLEGWCVEDTDANPNLALFPCLALMVIKATPKLTTMPPEIFPRLEELRMYEMDGIKHIVNNRRGDALQSFPALKKLYFESMRNLEGWRVEEDREANLPLFPCLNWMEIQRCPRLTTLPLEILPCLEYLRMYEMHGIKHIANNRRGDALQSFPALKKLYLVSMRNLEGWCVERDREANLPLFPCLKRMRIKGCPKLTTLPLEILPCLEELRMYEMDGIKHIVNNRIGDAPQSFPALKKLLLVKITNLEACCMEEGREANPSLFPCLLSMDIKKCPKLTLVLQNLTSLTDLWVTSIENLVSWPNEMQGLKSLNTLTISSCKILTDASSQGDCGPPFLTHLNFFECDLRKLPMCPKSLQSLVISNCPQIESLWPEMGHLTSLSFLWLSKCPKLVSLSDGMQALTSLQSLYIEDCPALKSFPRGLQRLLPTLGKLIIERCPELEKLCKPGGDYYNLLSTMSYKQVGRAQPLKCITTNRFLLSVILICAIACCINFLFNQHDSQNMKEVWYIPPT >DRNTG_06855.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000311.1:22758:35601:1 gene:DRNTG_06855 transcript:DRNTG_06855.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFSNALEMIKELSTPHALQYLEPIWSGVDEQLQKLQFSLLVIQPLVEDAEARQLKEQAVRCWLVWLKDAVYDAEDILDEAKTHELLIQRKTELSGRPRSKVREFFSLDHNPLLFKLQLGKKLRNVNEKINDLIEKMDKFKLRPIVENNSKPLGNRPQTYSYLHESLLILGRDEDKEKLVQMLISDCFGEKVAVVSIVGMGGLGKTTLAQLVYADERVKNHFEQCIWVCVSDDFDVPRLAQKIICTAGGEIRDSTNMEMLQQDLRKVLLRYLLVLDDVWNEDFQKWDALRNMLLDGGEGSRILVTTRNEKCSRVMGAQKPYILSGLSQESSWDLFEHKAFVEDAEKPPGLVEIGKKIVMKCQGLPLAIQVMGSIMRSKSDESEWQAVLENETWKSQHTENKIMPELWLSYVDLSSHLKRCFTFCAIFPKDHDFKEQELIKFWMAHGLIPSGKGTDMEVEGRETFTELIRRSLLQIDCSVPAWEYERVCKMHDLIHDVAHFVMENECFTSLKGGAAPEIPIWPRHWNLDAGENYNLGDCSNIHTLLYCRRDLSVLSRLKMVRVLDLSHAYIDKLPASIEHLHHLRYLDLSSTYIRKLPESICMLVNLQTLILYGCYKLSEIPKSITYMNSLRHLLFDDNRPEFEALNACLSQLQNLKTLSGYTVEDDAKNNIGQLKSLNPLGALALYNLQKVKNADDARKANLGNKQLIHTLKLSWGKLSWGPDDECCSMENAEEVLEALKPPSLLNKLTVSYYPGKQFPMWMGERQQFQYLHHIILFKCKACEQLLPLEILPGLENLSVSEMHSIKHIVNNTRGNPQQSFPALKKLYLESMRNLEGWCVEDTDANPNLALFPCLALMVIKATPKLTTMPPEIFPRLEELRMYEMDGIKHIVNNRRGDALQSFPALKKLYFESMRNLEGWRVEEDREANLPLFPCLNWMEIQRCPRLTTLPLEILPCLEYLRMYEMHGIKHIANNRRGDALQSFPALKKLYLVSMRNLEGWCVERDREANLPLFPCLKRMRIKGCPKLTTLPLEILPCLEELRMYEMDGIKHIVNNRIGDAPQSFPALKKLLLVKITNLEACCMEEGREANPSLFPCLLSMDIKKCPKLTLVLQNLTSLTDLWVTSIENLVSWPNEMQGLKSLNTLTISSCKILTDASSQGDCGPPFLTHLNFFECDLRKLPMCPKSLQSLVISNCPQIESLWPEMGHLTSLSFLWLSKCPKLVSLSDGMQALTSLQSLYIEDCPALKSFPRGLQRLLPTLGKLIIERCPELEKLCKPGGDYYNLLSTMSYKQVGRAQPLKCITTNRFLLSVILICAIACCINFLFNQHDSQNMKEVWYIPPT >DRNTG_06855.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000311.1:24473:35601:1 gene:DRNTG_06855 transcript:DRNTG_06855.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFSNALEMIKELSTPHALQYLEPIWSGVDEQLQKLQFSLLVIQPLVEDAEARQLKEQAVRCWLVWLKDAVYDAEDILDEAKTHELLIQRKTELSGRPRSKVREFFSLDHNPLLFKLQLGKKLRNVNEKINDLIEKMDKFKLRPIVENNSKPLGNRPQTYSYLHESLLILGRDEDKEKLVQMLISDCFGEKVAVVSIVGMGGLGKTTLAQLVYADERVKNHFEQCIWVCVSDDFDVPRLAQKIICTAGGEIRDSTNMEMLQQDLRKVLLRYLLVLDDVWNEDFQKWDALRNMLLDGGEGSRILVTTRNEKCSRVMGAQKPYILSGLSQESSWDLFEHKAFVEDAEKPPGLVEIGKKIVMKCQGLPLAIQVMGSIMRSKSDESEWQAVLENETWKSQHTENKIMPELWLSYVDLSSHLKRCFTFCAIFPKDHDFKEQELIKFWMAHGLIPSGKGTDMEVEGRETFTELIRRSLLQIDCSVPAWEYERVCKMHDLIHDVAHFVMENECFTSLKGGAAPEIPIWPRHWNLDAGENYNLGDCSNIHTLLYCRRDLSVLSRLKMVRVLDLSHAYIDKLPASIEHLHHLRYLDLSSTYIRKLPESICMLVNLQTLILYGCYKLSEIPKSITYMNSLRHLLFDDNRPEFEALNACLSQLQNLKTLSGYTVEDDAKNNIGQLKSLNPLGALALYNLQKVKNADDARKANLGNKQLIHTLKLSWGKLSWGPDDECCSMENAEEVLEALKPPSLLNKLTVSYYPGKQFPMWMGERQQFQYLHHIILFKCKACEQLLPLEILPGLENLSVSEMHSIKHIVNNTRGNPQQSFPALKKLYLESMRNLEGWCVEDTDANPNLALFPCLALMVIKATPKLTTMPPEIFPRLEELRMYEMDGIKHIVNNRRGDALQSFPALKKLYFESMRNLEGWRVEEDREANLPLFPCLNWMEIQRCPRLTTLPLEILPCLEYLRMYEMHGIKHIANNRRGDALQSFPALKKLYLVSMRNLEGWCVERDREANLPLFPCLKRMRIKGCPKLTTLPLEILPCLEELRMYEMDGIKHIVNNRIGDAPQSFPALKKLLLVKITNLEACCMEEGREANPSLFPCLLSMDIKKCPKLTLVLQNLTSLTDLWVTSIENLVSWPNEMQGLKSLNTLTISSCKILTDASSQGDCGPPFLTHLNFFECDLRKLPMCPKSLQSLVISNCPQIESLWPEMGHLTSLSFLWLSKCPKLVSLSDGMQALTSLQSLYIEDCPALKSFPRGLQRLLPTLGKLIIERCPELEKLCKPGGDYYNLLSTMSYKQVGRAQPLKCITTNRFLLSVILICAIACCINFLFNQHDSQNMKEVWYIPPT >DRNTG_06855.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000311.1:27401:35601:1 gene:DRNTG_06855 transcript:DRNTG_06855.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFSNALEMIKELSTPHALQYLEPIWSGVDEQLQKLQFSLLVIQPLVEDAEARQLKEQAVRCWLVWLKDAVYDAEDILDEAKTHELLIQRKTELSGRPRSKVREFFSLDHNPLLFKLQLGKKLRNVNEKINDLIEKMDKFKLRPIVENNSKPLGNRPQTYSYLHESLLILGRDEDKEKLVQMLISDCFGEKVAVVSIVGMGGLGKTTLAQLVYADERVKNHFEQCIWVCVSDDFDVPRLAQKIICTAGGEIRDSTNMEMLQQDLRKVLLRYLLVLDDVWNEDFQKWDALRNMLLDGGEGSRILVTTRNEKCSRVMGAQKPYILSGLSQESSWDLFEHKAFVEDAEKPPGLVEIGKKIVMKCQGLPLAIQVMGSIMRSKSDESEWQAVLENETWKSQHTENKIMPELWLSYVDLSSHLKRCFTFCAIFPKDHDFKEQELIKFWMAHGLIPSGKGTDMEVEGRETFTELIRRSLLQIDCSVPAWEYERVCKMHDLIHDVAHFVMENECFTSLKGGAAPEIPIWPRHWNLDAGENYNLGDCSNIHTLLYCRRDLSVLSRLKMVRVLDLSHAYIDKLPASIEHLHHLRYLDLSSTYIRKLPESICMLVNLQTLILYGCYKLSEIPKSITYMNSLRHLLFDDNRPEFEALNACLSQLQNLKTLSGYTVEDDAKNNIGQLKSLNPLGALALYNLQKVKNADDARKANLGNKQLIHTLKLSWGKLSWGPDDECCSMENAEEVLEALKPPSLLNKLTVSYYPGKQFPMWMGERQQFQYLHHIILFKCKACEQLLPLEILPGLENLSVSEMHSIKHIVNNTRGNPQQSFPALKKLYLESMRNLEGWCVEDTDANPNLALFPCLALMVIKATPKLTTMPPEIFPRLEELRMYEMDGIKHIVNNRRGDALQSFPALKKLYFESMRNLEGWRVEEDREANLPLFPCLNWMEIQRCPRLTTLPLEILPCLEYLRMYEMHGIKHIANNRRGDALQSFPALKKLYLVSMRNLEGWCVERDREANLPLFPCLKRMRIKGCPKLTTLPLEILPCLEELRMYEMDGIKHIVNNRIGDAPQSFPALKKLLLVKITNLEACCMEEGREANPSLFPCLLSMDIKKCPKLTLVLQNLTSLTDLWVTSIENLVSWPNEMQGLKSLNTLTISSCKILTDASSQGDCGPPFLTHLNFFECDLRKLPMCPKSLQSLVISNCPQIESLWPEMGHLTSLSFLWLSKCPKLVSLSDGMQALTSLQSLYIEDCPALKSFPRGLQRLLPTLGKLIIERCPELEKLCKPGGDYYNLLSTMSYKQVGRAQPLKCITTNRFLLSVILICAIACCINFLFNQHDSQNMKEVWYIPPT >DRNTG_06855.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000311.1:22758:35601:1 gene:DRNTG_06855 transcript:DRNTG_06855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFSNALEMIKELSTPHALQYLEPIWSGVDEQLQKLQFSLLVIQPLVEDAEARQLKEQAVRCWLVWLKDAVYDAEDILDEAKTHELLIQRKTELSGRPRSKVREFFSLDHNPLLFKLQLGKKLRNVNEKINDLIEKMDKFKLRPIVENNSKPLGNRPQTYSYLHESLLILGRDEDKEKLVQMLISDCFGEKVAVVSIVGMGGLGKTTLAQLVYADERVKNHFEQCIWVCVSDDFDVPRLAQKIICTAGGEIRDSTNMEMLQQDLRKVLLRYLLVLDDVWNEDFQKWDALRNMLLDGGEGSRILVTTRNEKCSRVMGAQKPYILSGLSQESSWDLFEHKAFVEDAEKPPGLVEIGKKIVMKCQGLPLAIQVMGSIMRSKSDESEWQAVLENETWKSQHTENKIMPELWLSYVDLSSHLKRCFTFCAIFPKDHDFKEQELIKFWMAHGLIPSGKGTDMEVEGRETFTELIRRSLLQIDCSVPAWEYERVCKMHDLIHDVAHFVMENECFTSLKGGAAPEIPIWPRHWNLDAGENYNLGDCSNIHTLLYCRRDLSVLSRLKMVRVLDLSHAYIDKLPASIEHLHHLRYLDLSSTYIRKLPESICMLVNLQTLILYGCYKLSEIPKSITYMNSLRHLLFDDNRPEFEALNACLSQLQNLKTLSGYTVEDDAKNNIGQLKSLNPLGALALYNLQKVKNADDARKANLGNKQLIHTLKLSWGKLSWGPDDECCSMENAEEVLEALKPPSLLNKLTVSYYPGKQFPMWMGERQQFQYLHHIILFKCKACEQLLPLEILPGLENLSVSEMHSIKHIVNNTRGNPQQSFPALKKLYLESMRNLEGWCVEDTDANPNLALFPCLALMVIKATPKLTTMPPEIFPRLEELRMYEMDGIKHIVNNRRGDALQSFPALKKLYFESMRNLEGWRVEEDREANLPLFPCLNWMEIQRCPRLTTLPLEILPCLEYLRMYEMHGIKHIANNRRGDALQSFPALKKLYLVSMRNLEGWCVERDREANLPLFPCLKRMRIKGCPKLTTLPLEILPCLEELRMYEMDGIKHIVNNRIGDAPQSFPALKKLLLVKITNLEACCMEEGREANPSLFPCLLSMDIKKCPKLTLVLQNLTSLTDLWVTSIENLVSWPNEMQGLKSLNTLTISSCKILTDASSQGDCGPPFLTHLNFFECDLRKLPMCPKSLQSLVISNCPQIESLWPEMGHLTSLSFLWLSKCPKLVSLSDGMQALTSLQSLYIEDCPALKSFPRGLQRLLPTLGKLIIERCPELEKLCKPGGDYYNLLSTMSYKQVGRAQPLKCITTNRFLLSVILICAIACCINFLFNQHDSQNMKEVWYIPPT >DRNTG_16953.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:190799:191574:-1 gene:DRNTG_16953 transcript:DRNTG_16953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVDPPVGLLSENLAEAVLPATDSDFGPWLLVSRQRGSTRGHGSGPRGPHVTHDAAAALSPVADMSQGSDMRSLCGGRRAAARGRPPPSPVTSPCFASHPLQPKSHSARLPKHRAQFVPFSHPDSNPHSDIVERVSNVLDEEDMVDDERQEEESSEEDEDDEMSDEIPSDTCPDDNMTLYQFQMEARRETLVRKSSSIHSTSPKKGRVEADDPHS >DRNTG_17472.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6901866:6904456:1 gene:DRNTG_17472 transcript:DRNTG_17472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERILSGERDYAAHPAAQPFINKPIENYEALRIICGEDYATGSYATSMFSEFGDRSENEDNNHENVDTTPLEQRSDEESTSSRKMLTQLH >DRNTG_08686.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17916708:17917897:1 gene:DRNTG_08686 transcript:DRNTG_08686.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDLGGAEVFRPSMSTLSAASCAAWMESANSKTEAVEPQRSIADDASSSGK >DRNTG_05813.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19038737:19043581:-1 gene:DRNTG_05813 transcript:DRNTG_05813.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRRRTHVRCPIPAISATPAFSAAALVLLLFLASFSLLSPPLLDRASLPGSFHSLKHREDLKEDADVFVELFHVPNVGSSKPDLWASKRSKHFYGCSNASRKFSSAEVITQPERYLMIATSGGLNQQRTGITDAVVAARILNATLVVPRLDQKSFWKDASNFTQIFDVDWFISFLSKDVRIIKQLPRKRGRVIRSPYTMRVPRKCTPRCYQNRVLPVLLKKHVVELTKFDFRLSNKLETDLQKLRCRVNYHALRFTKPILKMGETLVRRMKEKGKHFIALHLRFEPDMLAFSGCDYGGGDKEKRELGAIRKRWKTLHISNPEKERRHGKCPLTPEEVGLMLRALGYGKDVHIYVASGEVYGGEDTLAPLKALFPNFHSKEILASKEELAPFATFSSRMAALDFIVCDRSDAFVTNNNGNMARILAGRRRYFGHKRTIRPNARKLYSLFLKRANLTWDEFASKVRTFQKGFMGEPKEIRSGRGEFHENPSTCICEDTQPKVSNDKGEGSSMSSVDELPDGPFSDEEPSLLDQDYGENEPLGLYSSNGTELSQNLSIPAELFELEDMFSD >DRNTG_05813.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19038737:19043581:-1 gene:DRNTG_05813 transcript:DRNTG_05813.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIATSGGLNQQRTGITDAVVAARILNATLVVPRLDQKSFWKDASNFTQIFDVDWFISFLSKDVRIIKQLPRKRGRVIRSPYTMRVPRKCTPRCYQNRVLPVLLKKHVVELTKFDFRLSNKLETDLQKLRCRVNYHALRFTKPILKMGETLVRRMKEKGKHFIALHLRFEPDMLAFSGCDYGGGDKEKRELGAIRKRWKTLHISNPEKERRHGKCPLTPEEVGLMLRALGYGKDVHIYVASGEVYGGEDTLAPLKALFPNFHSKEILASKEELAPFATFSSRMAALDFIVCDRSDAFVTNNNGNMARILAGRRRYFGHKRTIRPNARKLYSLFLKRANLTWDEFASKVRTFQKGFMGEPKEIRSGRGEFHENPSTCICEDTQPKVSNDKGEGSSMSSVDELPDGPFSDEEPSLLDQDYGENEPLGLYSSNGTELSQNLSIPAELFELEDMFSD >DRNTG_05813.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19038737:19040142:-1 gene:DRNTG_05813 transcript:DRNTG_05813.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFSGCDYGGGDKEKRELGAIRKRWKTLHISNPEKERRHGKCPLTPEEVGLMLRALGYGKDVHIYVASGEVYGGEDTLAPLKALFPNFHSKEILASKEELAPFATFSSRMAALDFIVCDRSDAFVTNNNGNMARILAGRRRYFGHKRTIRPNARKLYSLFLKRANLTWDEFASKVRTFQKGFMGEPKEIRSGRGEFHENPSTCICEDTQPKVSNDKGEGSSMSSVDELPDGPFSDEEPSLLDQDYGENEPLGLYSSNGTELSQNLSIPAELFELEDMFSD >DRNTG_11763.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:672554:673220:-1 gene:DRNTG_11763 transcript:DRNTG_11763.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMKKKKKKKKKVRSSIKAIQNIMTNIIPTTPNICMQLVSPNYFSSPSLFNSIYYSTPSTMSSSSSSSSIDHISNDHQDLDFSVHRPAIISAGRFFFSPSFSKSIMEEAKKEDDDEEEGGGEEEEEGGGNVLNGLFKESLMMTMASDDPYQDFRTSMEEMVEAHGVKEWPHLQELLHCYLKLNEKKTHKVILLAFVDLLMQLMAKHDHDN >DRNTG_11763.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:672381:673410:-1 gene:DRNTG_11763 transcript:DRNTG_11763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMKKKKKKKKKVRSSIKAIQNIMTNIIPTTPNICMQLVSPNYFSSPSLFNSIYYSTPSTMSSSSSSSSIDHISNDHQDLDFSVHRPAIISAGRFFFSPSFSKSIMEEAKKEDDDEEEGGGEEEEEGGGNVLNGLFKESLMMTMASDDPYQDFRTSMEEMVEAHGVKEWPHLQELLHCYLKLNEKKTHKVILLAFVDLLMQLMAKHDHDN >DRNTG_11763.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:672554:673410:-1 gene:DRNTG_11763 transcript:DRNTG_11763.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMKKKKKKKKKVRSSIKAIQNIMTNIIPTTPNICMQLVSPNYFSSPSLFNSIYYSTPSTMSSSSSSSSIDHISNDHQDLDFSVHRPAIISAGRFFFSPSFSKSIMEEAKKEDDDEEEGGGEEEEEGGGNVLNGLFKESLMMTMASDDPYQDFRTSMEEMVEAHGVKEWPHLQELLHCYLKLNEKKTHKVILLAFVDLLMQLMAKHDHDN >DRNTG_11763.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:672381:673220:-1 gene:DRNTG_11763 transcript:DRNTG_11763.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMKKKKKKKKKVRSSIKAIQNIMTNIIPTTPNICMQLVSPNYFSSPSLFNSIYYSTPSTMSSSSSSSSIDHISNDHQDLDFSVHRPAIISAGRFFFSPSFSKSIMEEAKKEDDDEEEGGGEEEEEGGGNVLNGLFKESLMMTMASDDPYQDFRTSMEEMVEAHGVKEWPHLQELLHCYLKLNEKKTHKVILLAFVDLLMQLMAKHDHDN >DRNTG_22795.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3868773:3874779:-1 gene:DRNTG_22795 transcript:DRNTG_22795.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVQATWKLRTYLNFPWKPLISIKGTTTYDLDKEFKIVRHAESWNISALEAVRQIFKAGPQEHDK >DRNTG_12339.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20760549:20760845:1 gene:DRNTG_12339 transcript:DRNTG_12339.1 gene_biotype:protein_coding transcript_biotype:protein_coding WKAFHCPHHQNNQDEVSQCGKRTHDLLHKDLQDHYQQEFAYLIARQLWRIHFQT >DRNTG_29451.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:474054:487080:-1 gene:DRNTG_29451 transcript:DRNTG_29451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDARAGLLMGLSIVCAVMHPWESVDARPDKATREKFYGALVMGSAPQKAGDGTIADMFDKVLEKEFSENEAPEGPDTSSFNNSVADHQAVLETVAIISHDKSKKNDSTETNSTRSFQIKDVFSLENEGADDMTTLIDRKDNVFVMSNRKSKYPMLQVDLRLISDLVVVIVSATIGGITFSCLGQPVIVGYLLAGSLIGPGGLNFINEMVQVETFAQFGVVFLLFALGLEFSLTKLKVVGPVAVLGGLLQIIIFMLLSGLTAMLCGANLSEGIFVGSFLSMSSTAVVSKFLVEKSSTNALHGQVTVGTLILQDCAVGLLFALLPILGGSSGLFRGLMSMTKLLLVLSIFMFVASTLSWSFVPRFLKLMIRLSSQTNELYQLAAVAFCLLLAWCSDKLGLSLELGAFVAGVMISTTDFAQHTLEQVEAIRNLFAALFLASIGMLIHVQFLWNHVDILLASVILVVVVKTVVITVVVKAFGYGIRTSILVGLSLAQIGEFAFVLLSRASNLHLVEGKMYLLLLRTTALSLVTTPLMFKIIPVVMHLGTLMHWFPAESSSQNEERTSVHELHN >DRNTG_29451.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:474054:487080:-1 gene:DRNTG_29451 transcript:DRNTG_29451.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLIDRKDNVFVMSNRKSKYPMLQVDLRLISDLVVVIVSATIGGITFSCLGQPVIVGYLLAGSLIGPGGLNFINEMVQVETFAQFGVVFLLFALGLEFSLTKLKVVGPVAVLGGLLQIIIFMLLSGLTAMLCGANLSEGIFVGSFLSMSSTAVVSKFLVEKSSTNALHGQVTVGTLILQDCAVGLLFALLPILGGSSGLFRGLMSMTKLLLVLSIFMFVASTLSWSFVPRFLKLMIRLSSQTNELYQLAAVAFCLLLAWCSDKLGLSLELGAFVAGVMISTTDFAQHTLEQVEAIRNLFAALFLASIGMLIHVQFLWNHVDILLASVILVVVVKTVVITVVVKAFGYGIRTSILVGLSLAQIGEFAFVLLSRASNLHLVEGKMYLLLLRTTALSLVTTPLMFKIIPVVMHLGTLMHWFPAESSSQNEERTSVHELHN >DRNTG_29451.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:474054:487080:-1 gene:DRNTG_29451 transcript:DRNTG_29451.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTKLLLVLSIFMFVASTLSWSFVPRFLKLMIRLSSQTNELYQLAAVAFCLLLAWCSDKLGLSLELGAFVAGVMISTTDFAQHTLEQVEAIRNLFAALFLASIGMLIHVQFLWNHVDILLASVILVVVVKTVVITVVVKAFGYGIRTSILVGLSLAQIGEFAFVLLSRASNLHLVEGKMYLLLLRTTALSLVTTPLMFKIIPVVMHLGTLMHWFPAESSSQNEERTSVHELHN >DRNTG_25295.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19729567:19732720:1 gene:DRNTG_25295 transcript:DRNTG_25295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSGNGDDGRVKPKKQALGWFEWGRGWYSIIWEFLFQRISASHLENPLRLPPLPDLTCIVTGSTSGIGLSTARQLAEAGAHVVMAVRKTKLAHELIHEWQLERSGDFPPLNVEVMELDLLSLDSVVRFAEAWNARLGPLHVLINNAGIFSIGEPQRFSKDGYEEHLQVNHLAPALLSLLLLPSLIRGSPSRIINVNSIMHSVGCVDTEDMNVTSGRKKYTSLVGYANSKLAQIKFCSILHKRIPAEAGINVMCVSPGIVHTNVARDLPKIIIAAYHLIPYFIFDAQEGSRSSLFAATDPQVPEYCSVLKSEEWPVCPYISHDCRPMNISEEAHNLETSLDVWEKTLEMIGLPIDSLDKLIEGEEVQCRYRSAKD >DRNTG_19534.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:87937:89484:1 gene:DRNTG_19534 transcript:DRNTG_19534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLQPPVFPSNQPPGTNLSSGNSIGDAGISWGNLPPSLRPPPEGGYPPLPFIDWG >DRNTG_02246.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1071128:1072133:1 gene:DRNTG_02246 transcript:DRNTG_02246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTKPKFPLRRPVVVDVGCGCRRSKIPSFFSPSTSSLSPSSSSSSSHFESIPTLSNTTSPNSPSTEKMKRKKEKEKKRGRRRRVSGESVAVVKESEDPYLDFRDSMVVMIVEKEIYAREELEELLHCFIALNAPHHHALILRAFADVCREVFSPR >DRNTG_33107.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001953.1:19443:21364:-1 gene:DRNTG_33107 transcript:DRNTG_33107.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKMDAFFVSHGSPMLSIDESVPARGFFKLWRSQVLQTIPRAILVVSAHWETSVPTVNVISGTNDTIYDFYGFPKCMYKLKYPAPGAPELAKKVKDLLQEAGFGPVKEDKTRGLDHGAWVPLMFMYPEANIPVCQLSVQTKKDGTYHYNMGKALAPLRDEGVLVLGSGSATHNLRALGPDDAPIPNWALEFDSWLTQSLINGRYEDVNRFEVKGPNAKMAHPWPDHFYPLHVALGAAGGSAKAELIHSSWTNISISYSSYRFTSAE >DRNTG_21919.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1668227:1671382:-1 gene:DRNTG_21919 transcript:DRNTG_21919.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAIDLKTSRPFAVSVAHAYKFAFSTFTGFIPEEAGIVWKHVRESILIPVLKIAIIICLIMSVMLVTEKLAMGMVTLFVKVFRRTPEKIYKWEAIKEDEELGTSAYPMVLVQVPMFNEREVYKLSIRAVCNLVWPADRLIIQILDDSTDLSIREMVQKECDRWVKKGMTVHYISRDNRNGYKAGAMKEAMLIDYVGQCEYVTVFDADFQPASDFLMRTVPFLMHNPQLALVQTRWKFVNADECLMTRIQEMSLNYHFKIEQQSGSSTFAFFGFNGTAGVWRIKAMNESEGWKERTTVEDMDLAVRVSLLGWKYLYVGDIKVKSELPSTYKAYRYQQHRWSCGPANLFRKVTPEILRAKKVSWVKKLFLIYNFFFARRIISHNVTFFFYCVVIPVSVFFPEISVPQWGVVYIPTVITLLNSVGTPSSIHLTIFWILFENVMSWHRCKAVFIGLSEADRVNEWVVTEKLGDKFKGKPAAKIKKFQTKFWERFHLMEIAIGIVLVLCASYDYAFNTDHYFLYIFPLAISFFIMGFGYVGIFLSSSK >DRNTG_25416.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24607450:24609827:-1 gene:DRNTG_25416 transcript:DRNTG_25416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGVGRMPTWKERENNKRRERRRRAIAAKIFSGLRAMGNYKLPKHCDNNEVLKALCAEAGWIVEPDGTTYRKGCKPTPPPPTGAPPLGQSTNISPCSSHHPSPVPSYHASPTSSSFPSPTRVSNPAAVNPSYLLPFLHNLSSLPPLRISNSAPVTPPLSSPTASRPPKIQKPDWDYSAFCHPLFAASAPASPTRARQHFYPATIPECDESDASTVDSGRWVSFQLSTAPASPTFNLVKPLAPVQDTCIGTNANVNASIAEISRGGGTEFEFENRMVKAWEGERIHEVAVDDLELTLGTRKNHG >DRNTG_08612.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22238869:22239492:-1 gene:DRNTG_08612 transcript:DRNTG_08612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLNNSTGEKMRQMTKLKGSKPKENSP >DRNTG_05047.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:198761:202185:-1 gene:DRNTG_05047 transcript:DRNTG_05047.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKEDAGNSSKMEEEKSFKDLELGEDVPNDPLQSRSSSFANDYKIPHRTPVDLTTAQTLLLAYQSLGVVYGDIGTSPLYTFPSITLSNPQELDLLGVLSLIYWTLTVMALLKYVLIVLRADDHGEGGTFALYSLLRQHIHFKNKSMVPMTKLETDVDLLYHSNSNRRKSKTHKFLEGSKTAQSVLTIIVLIGTCMVMGDGALTPAISVLSAVQGIQSRSSKITQDHVVLISVVILLLLFLFERFGTSKVGFSFSPIMLLWFVSIAGIGLFNIIKYYPPVLKAISPHYIYYFFKRNRRKGWETLGSAVLCITGAEAMFADLGHFSKSSIQIAFSTVVYPALILGYGGEAAYLIKHKDKISTAFYSSVPEPIFWPMFIIATLAAVIASQSLISASFSIIRQSMALGCFPRVTMRHTSGKYEGQVYSPEINYTIMILCIIITAGFKGGPEIGNAYVLMVVVMLVIWDTNVFLVGIFLAVFLIFEGSYMTSLLNKIPQGGWVPFAIALLFLAITLSWTYGRGKKNAYEAEKKMSWREFKELMTTDSEIPRVPGVCFFCTDLMNGIPPIVRHYVQHVGALRQVTVFVTVRTLPVKSVLPEERFVMAKLQAQGVYRCLVQYGYMDEPNMEGDEFLGSVITGLIKKVESREEVVALETAMTRGAIFVFGRVILKMRKESKWFKRLVIDTLYRFLQKNSRSTVATLKIPPGKVLQIGMVYEI >DRNTG_04174.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:511988:520097:-1 gene:DRNTG_04174 transcript:DRNTG_04174.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase ROCK-N-ROLLERS [Source:Projected from Arabidopsis thaliana (AT3G27730) UniProtKB/TrEMBL;Acc:A0A1I9LN50] MDSCSLISVADLPAPFRSAFCFRYFNSLQSECFPVCFLSDVNMVVSAPTGSGKTVLFELCILRLLSRFLSYEGKFNHQKGTLKTIYIAPSKALVQEKLRDWNMKFNTWGINCLEMTGDNETYNLKNVHDADIILTTPEKFDSVTRHGIRDGGLSFFSDIALVLIDEVHLLNDPRGAALEAIVSRIKMLSRSSDMKSSPLANVRLLAVSATIPNIEDLAEWLLVPAEGIKRFGEEMRPVKLVTKVFGYAPAKNDFLFERRLQSFIFDILMQHSRGKSALVFCSTRKGAQAAAQHLSQIAVSLGPSSPFIKSKLQQEKLKEASLSCSDKQMQSCILTGVGYHNGGLCMKDRNLVEGLFLKGDIQILCTTNTLAHGINLPAHTVVIKSTQYFNKEKGLYLEYERSMVLQMCGRAGRPPFDDTGTVIIMTRKETVHLYENLLNGCEMVESQLLSCAIEHLNAEIVQLTVSDISLAIEWLKCSYLYVRIKKNPENYGVKRGIPCERLEKHMRDICVQKINELAEYGMIWTDEDAFLLKPLEPGKLMTKFYLKFGTMKDIVTAPGSCSLEDALHVVCRSEEIAWIQLRRNEKKLLNDINSDKEGRLRFHVIGENSKRKKRIQTREEKIFVLANDCLTGDPSIRDLSLNQDMNSICSNGCRIARCMKECFFYKKSYKGAVNSTLLAKSLRQRLWDDSPYLLKQLPGIGMVTAKALHSAGINSFRTLAEADPRKIEILTGRKYPFGNHIKESLLSLPPTLEMQIEEAECKVQGKSKITVKLTRLPRQISSSKQHFADMTVGSEEDNMILFHEKIRAEEFPSPYVVTVLVACPLHGKVTVKADLIFDEYVGLDVHEKHVVSRDIYSIRNATLVNEEAPTSYSGPKEMYIVEDGNKMSSYAAANDVTHDLNNSNKPLHSSVPSFELLDEETDIYLQEPGEAEPKVKTEEMIFDHIRKKSKNFPTLVTSKEAMDCSYEPLILRSPIRTTKMSQSHFKADAYDYDFYGDDVILVDPTPPEAASSSSFFFDEQCYHTSKYRSFDNIVNSKPFSKPQGSVIKVSPLESDLSSRRPPTPSTHKEVGFLGFKSVFSFL >DRNTG_04174.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:511988:515608:-1 gene:DRNTG_04174 transcript:DRNTG_04174.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase ROCK-N-ROLLERS [Source:Projected from Arabidopsis thaliana (AT3G27730) UniProtKB/TrEMBL;Acc:A0A1I9LN50] MTKFYLKFGTMKDIVTAPGSCSLEDALHVVCRSEEIAWIQLRRNEKKLLNDINSDKEGRLRFHVIGENSKRKKRIQTREEKIFVLANDCLTGDPSIRDLSLNQDMNSICSNGCRIARCMKECFFYKKSYKGAVNSTLLAKSLRQRLWDDSPYLLKQLPGIGMVTAKALHSAGINSFRTLAEADPRKIEILTGRKYPFGNHIKESLLSLPPTLEMQIEEAECKVQGKSKITVKLTRLPRQISSSKQHFADMTVGSEEDNMILFHEKIRAEEFPSPYVVTVLVACPLHGKVTVKADLIFDEYVGLDVHEKHVVSRDIYSIRNATLVNEEAPTSYSGPKEMYIVEDGNKMSSYAAANDVTHDLNNSNKPLHSSVPSFELLDEETDIYLQEPGEAEPKVKTEEMIFDHIRKKSKNFPTLVTSKEAMDCSYEPLILRSPIRTTKMSQSHFKADAYDYDFYGDDVILVDPTPPEAASSSSFFFDEQCYHTSKYRSFDNIVNSKPFSKPQGSVIKVSPLESDLSSRRPPTPSTHKEVGFLGFKSVFSFL >DRNTG_04174.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:518673:520097:-1 gene:DRNTG_04174 transcript:DRNTG_04174.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase ROCK-N-ROLLERS [Source:Projected from Arabidopsis thaliana (AT3G27730) UniProtKB/TrEMBL;Acc:A0A1I9LN50] MDSCSLISVADLPAPFRSAFCFRYFNSLQSECFPVCFLSDVNMVVSAPTGSGKTVLFELCILRLLSRFLSYEGKFNHQKGTLKTIYIAPSKALVQEKLRDWNMKFNTWGINCLEMTGDNETYNLKNVHDADIILTTPEKFDSVTRHGIRDGGLSFFSDIALVLIDEVHLLNDPRGAALEAIVSRIKMLSRSSDMKSSPLANVRLLAVSATIPNIEDLAEWLLVPAEGIKRYRTSFLTS >DRNTG_04174.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:518673:519064:-1 gene:DRNTG_04174 transcript:DRNTG_04174.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase ROCK-N-ROLLERS [Source:Projected from Arabidopsis thaliana (AT3G27730) UniProtKB/TrEMBL;Acc:A0A1I9LN50] MLSRSSDMKSSPLANVRLLAVSATIPNIEDLAEWLLVPAEGIKRYRTSFLTS >DRNTG_04174.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:518673:520289:-1 gene:DRNTG_04174 transcript:DRNTG_04174.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase ROCK-N-ROLLERS [Source:Projected from Arabidopsis thaliana (AT3G27730) UniProtKB/TrEMBL;Acc:A0A1I9LN50] MDSCSLISVADLPAPFRSAFCFRYFNSLQSECFPVCFLSDVNMVVSAPTGSGKTVLFELCILRLLSRFLSYEGKFNHQKGTLKTIYIAPSKALVQEKLRDWNMKFNTWGINCLEMTGDNETYNLKNVHDADIILTTPEKFDSVTRHGIRDGGLSFFSDIALVLIDEVHLLNDPRGAALEAIVSRIKMLSRSSDMKSSPLANVRLLAVSATIPNIEDLAEWLLVPAEGIKRYRTSFLTS >DRNTG_04174.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:511988:515897:-1 gene:DRNTG_04174 transcript:DRNTG_04174.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase ROCK-N-ROLLERS [Source:Projected from Arabidopsis thaliana (AT3G27730) UniProtKB/TrEMBL;Acc:A0A1I9LN50] MIWTDEDAFLLKPLEPGKLMTKFYLKFGTMKDIVTAPGSCSLEDALHVVCRSEEIAWIQLRRNEKKLLNDINSDKEGRLRFHVIGENSKRKKRIQTREEKIFVLANDCLTGDPSIRDLSLNQDMNSICSNGCRIARCMKECFFYKKSYKGAVNSTLLAKSLRQRLWDDSPYLLKQLPGIGMVTAKALHSAGINSFRTLAEADPRKIEILTGRKYPFGNHIKESLLSLPPTLEMQIEEAECKVQGKSKITVKLTRLPRQISSSKQHFADMTVGSEEDNMILFHEKIRAEEFPSPYVVTVLVACPLHGKVTVKADLIFDEYVGLDVHEKHVVSRDIYSIRNATLVNEEAPTSYSGPKEMYIVEDGNKMSSYAAANDVTHDLNNSNKPLHSSVPSFELLDEETDIYLQEPGEAEPKVKTEEMIFDHIRKKSKNFPTLVTSKEAMDCSYEPLILRSPIRTTKMSQSHFKADAYDYDFYGDDVILVDPTPPEAASSSSFFFDEQCYHTSKYRSFDNIVNSKPFSKPQGSVIKVSPLESDLSSRRPPTPSTHKEVGFLGFKSVFSFL >DRNTG_04174.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:511988:518273:-1 gene:DRNTG_04174 transcript:DRNTG_04174.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase ROCK-N-ROLLERS [Source:Projected from Arabidopsis thaliana (AT3G27730) UniProtKB/TrEMBL;Acc:A0A1I9LN50] MQHSRGKSALVFCSTRKGAQAAAQHLSQIAVSLGPSSPFIKSKLQQEKLKEASLSCSDKQMQSCILTGVGYHNGGLCMKDRNLVEGLFLKGDIQILCTTNTLAHGINLPAHTVVIKSTQYFNKEKGLYLEYERSMVLQMCGRAGRPPFDDTGTVIIMTRKETVHLYENLLNGCEMVESQLLSCAIEHLNAEIVQLTVSDISLAIEWLKCSYLYVRIKKNPENYGVKRGIPCERLEKHMRDICVQKINELAEYGMIWTDEDAFLLKPLEPGKLMTKFYLKFGTMKDIVTAPGSCSLEDALHVVCRSEEIAWIQLRRNEKKLLNDINSDKEGRLRFHVIGENSKRKKRIQTREEKIFVLANDCLTGDPSIRDLSLNQDMNSICSNGCRIARCMKECFFYKKSYKGAVNSTLLAKSLRQRLWDDSPYLLKQLPGIGMVTAKALHSAGINSFRTLAEADPRKIEILTGRKYPFGNHIKESLLSLPPTLEMQIEEAECKVQGKSKITVKLTRLPRQISSSKQHFADMTVGSEEDNMILFHEKIRAEEFPSPYVVTVLVACPLHGKVTVKADLIFDEYVGLDVHEKHVVSRDIYSIRNATLVNEEAPTSYSGPKEMYIVEDGNKMSSYAAANDVTHDLNNSNKPLHSSVPSFELLDEETDIYLQEPGEAEPKVKTEEMIFDHIRKKSKNFPTLVTSKEAMDCSYEPLILRSPIRTTKMSQSHFKADAYDYDFYGDDVILVDPTPPEAASSSSFFFDEQCYHTSKYRSFDNIVNSKPFSKPQGSVIKVSPLESDLSSRRPPTPSTHKEVGFLGFKSVFSFL >DRNTG_00796.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8174790:8177412:1 gene:DRNTG_00796 transcript:DRNTG_00796.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNLSTLVEGDLELERTLRRRGKEPVQEPSDQAEVEDEGSDNMAEQNEQRTLSDYARPSVFDPYKGLLDQEVENEEVLMLGLEKKVPSTSKIMKKVLQKMKRARRRHKKCPKANGDEQEWRKGDQPLENTRPCENSTQAYVCVQSSSREDTREYVYPCEWPYDGHTPHVEFPYGRVFLCRRSELYFVKI >DRNTG_03456.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000161.1:26975:27923:-1 gene:DRNTG_03456 transcript:DRNTG_03456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQHWEILGRTPFGHLMDVEPIIQERVVLDALMQLFDEKCNGFKFGESYLQFRPEDMSLLLGLRCDGDAIDFKSKREKTALEKTFLQKGIDRNRDGLSRILFTLVEKKETNSEEKLCEVVLDLSLRVVVVYKHIVSRHHHGWSCMLITCHHWLNMRRLKLQINGSWILYQVLPLE >DRNTG_29751.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1637486:1640455:1 gene:DRNTG_29751 transcript:DRNTG_29751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAGQVIRCRAAVAWEAGKALVIEEVDVAPPQAMEVRLKILYTSVCRSDIYFWEAKGQIPLFPRIFGHEAAGIVESVGDGVTDLKPGDHVIPVPSGECKECIHCKSKESNICDLLRVNLGRGVMISDGQSRFSINGKPIYHFVGTSTYSEYTVVHVGCVAKINPLAPLDKVCILGCGISS >DRNTG_04294.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30061296:30064789:1 gene:DRNTG_04294 transcript:DRNTG_04294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSSWANCTELEVLDLGRNKLADSFPYWLMNLPALKVLVLKENRFFGHLTGICEGNHFFMMIQIFDISSNRFTGNLPSECFKSMKAMMVHQGQTKTIGYGNDSLGTPYYQDTVIVNLKGFEIELVKIWTTFTAIDLSNNQFVGNIPQVFGDLKSLHSLNMSLNALTGEIPQVLGGLSELESLDLSENQLSGVIPSSLTSLYFLAFLNLSNNNLVGRIPQSFQFSTFSNSSFEGNPGLCGSPLSRDCINSASVEPSSDSKNAPTEFDMDVIWFWMFTGLGFGFGFASVICYQLFFPKWKMWYKRRFMNR >DRNTG_04294.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30062838:30064789:1 gene:DRNTG_04294 transcript:DRNTG_04294.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRIAYSSLLFPSLNALKLKSCNLTAIPSFLKHKKNIYTLELSNNRINGIIPNWIWSIGGSFGWSMNLSFNLFTDFGRPFLNHSNDVVILDLSNNRIGGTIPSWMWSSSLLYLNLSCNLFIGVGGSSSNPSTKIVIIDLHSNLLQGSIPLPPPNNTFVDYSNNLFTSSIPFNMSYYLNKTMFFSLSHNSLTGEVPSSICSATQLYIFDLSYNNLSGSIPTCLLESLIDLRVLNAQENRFRGSIPQKISSRCAIQTINLHGNQLEGMVPSSWANCTELEVLDLGRNKLADSFPYWLMNLPALKVLVLKENRFFGHLTGICEGNHFFMMIQIFDISSNRFTGNLPSECFKSMKAMMVHQGQTKTIGYGNDSLGTPYYQDTVIVNLKGFEIELVKIWTTFTAIDLSNNQFVGNIPQVFGDLKSLHSLNMSLNALTGEIPQVLGGLSELESLDLSENQLSGVIPSSLTSLYFLAFLNLSNNNLVGRIPQSFQFSTFSNSSFEGNPGLCGSPLSRDCINSASVEPSSDSKNAPTEFDMDVIWFWMFTGLGFGFGFASVICYQLFFPKWKMWYKRRFMNR >DRNTG_02813.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13250123:13250670:-1 gene:DRNTG_02813 transcript:DRNTG_02813.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPALMLNHPLTGLDMAALAVKASEALNNAAKDKLGKKRSSSRIQVMPVDGFRENTASGVDLKIFPAVSLPTRRRRGSSSSSYKQRLSTIVEDSE >DRNTG_21071.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20023197:20026682:1 gene:DRNTG_21071 transcript:DRNTG_21071.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITQTAAVMAVLVQVWNLVRVTLVVPLLRLAIFLSLMMTVMILVEKVFVGMVSLTVRIFGLKPEKRYRWEPMRPDLETGHLAYPMVLIQIPMYNEKEVYKLSIGAACSLDWPSDRMIIQVLDDSTDPIVKDLVELECQKWKSKGVKITYEVRDNRQGYKAGALKEGMKYDYVRECDYVAMFDADFQPESDFLLRTIPFLVYNPDIALVQARWKFVNADECMMTRIQEMSLDYHFKIEQEAGSSTFAFFGFNGTAGVWRIKAIDDAGGWKDRTTVEDMDLAVRAGLEGWKFVYVGDVHVKSELPSTFKAYRYQQHRWSCGPANLFKKMAKEIVINENVSMWKKFHLLYSFFFVGKIVAHTVTFIFYCIVIPVSVIVPEVEIPQWGVVYVPTLITFCKAFGTPSSIHLVIFWLLFENVMSLHRIKAAFTGLLDAGRVNEWIVTEKLGDAHKPKNTDNFQDSSNTQPLMNKPKKTQTNIWQRFYFSEIWIGLFMLSCGCFDLATRQQGYFIYLFLQGFAFLFIGFGYVGTYVPGS >DRNTG_21071.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20023608:20025231:1 gene:DRNTG_21071 transcript:DRNTG_21071.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVQVWNLVRVTLVVPLLRLAIFLSLMMTVMILVEKVFVGMVSLTVRIFGLKPEKRYRWEPMRPDLETGHLAYPMVLIQIPMYNEKEVYKLSIGAACSLDWPSDRMIIQVLDDSTDPIVKDLVELECQKWKSKGVKITYEVRDNRQGYKAGALKEGMKYDYVRECDYVAMFDADFQPESDFLLRTIPFLVYNPDIALVQARWKFVNADECMMTRIQEMSLDYHFKIEQEAGSSTFAFFGFNGTAGVWRIKAIDDAGGWKDRTTVEDMDLAVRAGLEGWKFVYVGDVH >DRNTG_33953.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:975122:977866:-1 gene:DRNTG_33953 transcript:DRNTG_33953.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIHETLTSKGWRFRDSDEVLSLIPCKPSPVSVDSVESELLNMDLRSFGGKSLPDPSSLKKSSHLQGPKVLQVVSARDVYQSSIGASFQTPGRHRRLLRFGLTDGHSEVTAIEYSPTPSINEEIIPGTKVLLGSKIPVHSGILCLNSTVVTIMGGLVQSLYEEWQMSRKYSGFSRSSLRSPQGDGDGGPPPFEKLRIAEHSHLRSSHAPFSRNSVDRELGKDQVHLNVDRDKQSGDSKVDRTVGDSKVNSLPSRLEEKPGSSETRPKEVSEAVPVQNQAAAKKLLQKMSLATPDHRQGRGHKHRMRGKEEEAPVYTLDDWERRKANITKPAMMGQNQDVSQDEELAWQLQNQLDFEDHHGSTSNTEAEQIRMSMFNFGGSQGESNDRREFRGRGRGRGRGRKRF >DRNTG_33953.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:975175:977866:-1 gene:DRNTG_33953 transcript:DRNTG_33953.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIHETLTSKGWRFRDSDEVLSLIPCKPSPVSVDSVESELLNMDLRSFGGKSLPDPSSLKKSSHLQGPKVLQVVSARDVYQSSIGASFQTPGRHRRLLRFGLTDGHSEVTAIEYSPTPSINEEIIPGTKVLLGSKIPVHSGILCLNSTVVTIMGGLVQSLYEEWQMSRKYSGFSRSSLRSPQGDGDGGPPPFEKLRIAEHSHLRSSHAPFSRNSVDRELGKDQVHLNVDRDKQSGDSKVDRTVGDSKVNSLPSRLEEKPGSSETRPKEVSEAVPVQNQAAAKKLLQKMSLATPDHRQGRGHKHRMRGKEEEAPVYTLDDWERRKANITKPAMMGQNQDVSQDEELAWQLQNQLDFEDHHGSTSNTEAEQIRMSMFNFGGSQGESNDRREFRGRGRGRGRGRKRF >DRNTG_33953.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:975122:978033:-1 gene:DRNTG_33953 transcript:DRNTG_33953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIHETLTSKGWRFRDSDEVLSLIPCKPSPVSVDSVESELLNMDLRSFGGKSLPDPSSLKKSSHLQGPKVLQVVSARDVYQSSIGASFQTPGRHRRLLRFGLTDGHSEVTAIEYSPTPSINEEIIPGTKVLLGSKIPVHSGILCLNSTVVTIMGGLVQSLYEEWQMSRKYSGFSRSSLRSPQGDGDGGPPPFEKLRIAEHSHLRSSHAPFSRNSVDRELGKDQVHLNVDRDKQSGDSKVDRTVGDSKVNSLPSRLEEKPGSSETRPKEVSEAVPVQNQAAAKKLLQKMSLATPDHRQGRGHKHRMRGKEEEAPVYTLDDWERRKANITKPAMMGQNQDVSQDEELAWQLQNQLDFEDHHGSTSNTEAEQIRMSMFNFGGSQGESNDRREFRGRGRGRGRGRKRF >DRNTG_33953.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:975175:978033:-1 gene:DRNTG_33953 transcript:DRNTG_33953.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIHETLTSKGWRFRDSDEVLSLIPCKPSPVSVDSVESELLNMDLRSFGGKSLPDPSSLKKSSHLQGPKVLQVVSARDVYQSSIGASFQTPGRHRRLLRFGLTDGHSEVTAIEYSPTPSINEEIIPGTKVLLGSKIPVHSGILCLNSTVVTIMGGLVQSLYEEWQMSRKYSGFSRSSLRSPQGDGDGGPPPFEKLRIAEHSHLRSSHAPFSRNSVDRELGKDQVHLNVDRDKQSGDSKVDRTVGDSKVNSLPSRLEEKPGSSETRPKEVSEAVPVQNQAAAKKLLQKMSLATPDHRQGRGHKHRMRGKEEEAPVYTLDDWERRKANITKPAMMGQNQDVSQDEELAWQLQNQLDFEDHHGSTSNTEAEQIRMSMFNFGGSQGESNDRREFRGRGRGRGRGRKRF >DRNTG_03383.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17672783:17673109:1 gene:DRNTG_03383 transcript:DRNTG_03383.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIPTAESSCPPNKPFNQQPSTEITGLHPSRNPAFNGDKQTYIPSKTPSRHLNLIVDRGCVLISSSL >DRNTG_35488.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23504667:23507586:1 gene:DRNTG_35488 transcript:DRNTG_35488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLWTDDNASMMEAFMASSDLPSFGWPPATPAPATAPVASPGPQYFNQETLQQRLQMLIDSARERWTYAIFWQSSVDVASGDSLLGWGDGYYKGCEEDKRKRRMASAASAAEQEHRKRVLRELNSMISGGGSSSPDEAVDEEVTDTEWFFLVSMTQSFVNGGGIPGQAFFAGSAMWIAGAERLATAPCERARQAQVFGIQTMVCVPMGSGVVELGSTALIYHSVEIMNKVRSLFSFNALEMSPAGPFLAPQAAAANVTVMTPVGSPPVTEQGESDPAALWISDPSIIEMKNSVSAAEISISKPPTAPYDNPSSSTLTETPSSIYLHSHHQNSSNNNHHHHHHHHPQSQSLFTRDFNLSSNPHQNCKPESGEILNFTEGRRDPSVHASQHPIIDQTKQKRSTAATSRGSNEEGMLSFSSATLSRPSPVSSNLKPTTNANGNSKSTANGNGVITGGGDSDHSDLEASVREVESSPVVVEPEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQKFYALRAVVPNVSKMDKASLLGDAISYINELRSKLQTIETEKQALETEIETVKNDPTSARSSDHEMKSMMNGGGGARCIGVEIEVKILGSEAMIRVQCIKRNHPAAWLMAALRELDLDVHYASVSVVKELMILQATVRMLSRAYTQEQLSAALYSKVAEPPNHR >DRNTG_14757.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:230444:236527:1 gene:DRNTG_14757 transcript:DRNTG_14757.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase [Source:Projected from Arabidopsis thaliana (AT3G48425) UniProtKB/TrEMBL;Acc:A0A178VA84] MKRFFKPVEKDGPSKKPCSSSSSSSSPAPAGGVNAEASASKAEPLKFLTWNANSLLLRVKNNWAEFSKFIQTLDPDVIAIQEVRMPAAGSKGAPKNPSELKDDTSASREEKKMLLKALSAPPFGNYRVWWSLSDSKYGGTALFVKKEFQPKKVSFSLDRTGSKHEPDGRVILAEFKTFLLLNTYVPNNGWKEEENSFQRRRKWDKRVLDFVLNMDKPLIWCGDLNVSHQEIDVSHPDFFSNAKLNGYVPPNNEDCGQPGFTLAERRRFSNILSMGKLVDAYRFLHKEQDMECGFSWSGNPIGKYRGKRMRIDYFIVSEQLKGRVLACDIHGRGIELEGFYGSDHCPVTLELSSETSESIVTDGA >DRNTG_14757.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:230444:235658:1 gene:DRNTG_14757 transcript:DRNTG_14757.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase [Source:Projected from Arabidopsis thaliana (AT3G48425) UniProtKB/TrEMBL;Acc:A0A178VA84] MKRFFKPVEKDGPSKKPCSSSSSSSSPAPAGGVNAEASASKAEPLKFLTWNANSLLLRVKNNWAEFSKFIQTLDPDVIAIQEVRMPAAGSKGAPKNPSELKDDTSASREEKKMLLKALSAPPFGNYRVWWSLSDSKYGGTALFVKKEFQPKKVSFSLDRTGSKHEPDGRVILAEFKTFLLLNTYVPNNGWKEEENSFQRRRKWDKRVLDFVLNMDKPLIWCGDLNVSHQEIDVSHPDFFSNAKLNGYVPPNNEDCGQPGFTLAERRRFSNILSMGKLVDAYRFLHKEQDMECGFSWSGNPIGKYRGKRMRIDYFIVSEQLKGRVLACDIHGRGIELE >DRNTG_28973.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001462.1:107881:108351:-1 gene:DRNTG_28973 transcript:DRNTG_28973.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRVGYMPAPVGQPLGQLLLHGVGGAPPWGACAC >DRNTG_12330.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4225352:4230240:-1 gene:DRNTG_12330 transcript:DRNTG_12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCWDFVELLGRDLSITIFNLLDDPADLVRVSFVSRSWHRFVITNGFSKHLCLSIWPELSNFSRVVEISGSTVSANAESSSCAEWKNLEREHKVYAYLSHCIVSPMGKMDCIGEAISASSTDNYPDESIDNTLEDAERVDLRPSYWSSKGEEDPSISEMLTYKLCSKLCVIDEIRIQPFRAFFQYGYPIYSAKSVRFRMGQCRFPQKQRTLPSEHAAGRSADNYIWTYVSPVFQMAQENVLQSFKLPRPVVCVGGVVQIELMGRVQKQEMDDLYYICVCHVQIIGYPLAPVIDVDIIDPMGKLVLKYFPGARGCKIAQEVTPSEDTREPSGWNAIAARIRQLGVVRGWNQAIVSRLLGNIPEDVDDDDDDDDVVDV >DRNTG_10047.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1716121:1716958:-1 gene:DRNTG_10047 transcript:DRNTG_10047.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit alpha [Source:Projected from Arabidopsis thaliana (AT1G01090) UniProtKB/TrEMBL;Acc:A0A178W8A7] MSAFSVLKLRHPPLPSPLPPSSKPSSFPSSSSSLRFPLRTVSANVLTSSSTSLAASHPVISRDEGLDLYEDMVLGRSFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIRLLEKKDSVVSTYRDHVHALSKGVPAREVMAELFGKTGGCCRGQGGSMHMFSAEHGVLGGFAFIGEGIPVATGRRLSPPGPFPFAFPF >DRNTG_08649.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2064381:2066311:-1 gene:DRNTG_08649 transcript:DRNTG_08649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVVVEAVEEIMRVYRSLPRRPSLEDVEAAMAVIKASTAEEETRLQEINKMEKPQGLPDELFHVLQEVKRNMVLLLGHEQRKEANFVLDLDKRFAVFDDLIQRTSVLVSGGDDEGFGMKEMVEKRLDLDAPIPLVVHPVPSPFKFETPSSGGEDSEKLSLIKLASLIEASAKDGAVVLDLQGKLMDQIEWLPLSLGKLEDVTELNLSENRIMALPSTIVSLKCLRKLDIHSNQLINLPDSFGELSTLIDLDLHANQLKSLPASIGNLTSLTNLDLSSNKLSSLPETLGNLKSLRKLNVETNELEELPYNIGFCTNLVELRLDFNQLKALPEAIGKLECLEVLTLHYNRIKSLPTTMASLTKLRELDVSFNELESIPENLCFVTSLVRLDVGRNFADLRSLPRSIGNLEMLEELDISSNQIRTLPESFRYLSKLRVLHADETPLEVPPREVVKLGAQAVVQYMADLMTIKDSNPQQNDRKGFWHWVCSLCGEDEIEA >DRNTG_18687.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15768574:15776378:-1 gene:DRNTG_18687 transcript:DRNTG_18687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSNMAVGKRPREPTLEPESIEFTLPEHKTRYERLAKLKFGQARIPDLSSLREVQLADDMADEVEEFLSVVLASFEFDHSYADFDSIGTIQFRAFGQHHKPTGTEGATAEGSRPNLGLPQEREQIEAVHMPSPSRAHDRFERLESAMELARAEIAEMRATQATQYTELMARFDIL >DRNTG_29042.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2711499:2719065:1 gene:DRNTG_29042 transcript:DRNTG_29042.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSGFSWKLEDHPKFPKGKTIGLVVLDGWGEANPDKYNCIHVAETPTMDSLKTGAPDRWRLVRAHGKAVGLPTEDDMGNSEVGHNALGAGRIFAQGAKLVDLALASGKIYEGEGFEYIKECFNEGTLHLIGLLSDGGVHSRLDQLQLLLKGVSERGAKRIRVHVLTDGRDVLDGSSVGFVETLENDLANLRAKGVDAQIASGGGRMYVTMDRYENDWGVVKRGWDAQVLGEAPYKFRNAVEAVKKLREDPKNNDQYLPPFVIVDEGGKAVGPIVDGDAVVTFNFRADRMVMIAKALEYEDFNIFDRVRFPKIRYAGMLQYDGELKLPSKYLVSPPEIDRTSGEYLVHNGVRTFACSETVKFGHVTFFWNGNRSGYFNSSLEEYVEIPSDSGITFNVEPKMKALEIAEKARDAILSRKFDQVRVNLPNGDMVGHTGDINATVVACKAADEAVKIILDAIEQVGGIYVVTADHGNAEDMVKRNKSGQPLLDKNGNIQVLTSHTLQPVPIAIGGPGLAPGVRFRKDVPDGGLANVAATVMNLHGFVAPSDYEATLIEVFDN >DRNTG_15660.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19891192:19891441:1 gene:DRNTG_15660 transcript:DRNTG_15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSSKALLLLNGSPPTNTKAIPTWKHKSKTRK >DRNTG_00001.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21406176:21408366:-1 gene:DRNTG_00001 transcript:DRNTG_00001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIAAYGRALDLGCGIALSMTLKAVIELDVLEIMAAAGPGAWLWPEEIASKIQSSNPDAHEVLDRMLRFLAAHKVVTCEVVVGEDGESKRRYGLGPVCKYLTKDEDGVSVAPLLLKHHTKVLVETWLNLKHAVLDGSIPFVKTHGVTMFEHEDKDPDFSEIFNKAMFNQTIMLMKKMLENYKGFENINVLVDVGGGHGATLGIILSKYPNIKAINFDLPHVVSKAKPIQGVEFVGGDMFASVPTGDAIFMKWILHDWSNEHCVKILKNCWKALPNNGKVIVVELIIPEIPEDADEAKNSLLGDVIMLAYCVGGRERTEKEYRLLANKSGFSGFNIACCLHNFSIMEFCK >DRNTG_07128.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4777534:4779502:-1 gene:DRNTG_07128 transcript:DRNTG_07128.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol N-acetylglucosaminyltransferase subunit C [Source:Projected from Arabidopsis thaliana (AT2G34980) UniProtKB/Swiss-Prot;Acc:O64761] METTEADTSTYHPPWRKVAYGGMQPGYDDNYTDETFLEELVMNANVVKRDILKVMQDSVSISQYLCIVALVVIVWIHTLDSVIGEGSLLLLDAFLLGLGFLILLLTTSQLSFKVLSKYLLNIVFFTCGLFVLAPVYHTLTRSISSDSIVALTISLLILHLFLHDYSGYTIRPLGCAKNPNLASNISLNASIVASVLVASRLPSRLHVFAIVLFSLQVFLFSPLITFCIKKYSFKLHLCFSFLLISMTLSIIYFLHTMSFVIFSSLLVFISAVCPYMLIKIQVYKFEINGPWDEAKLCFDITD >DRNTG_18780.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000972.1:73739:74064:-1 gene:DRNTG_18780 transcript:DRNTG_18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENIASGSSGDLFRGSYMGEEVAIKVLRSEHLNEAIGVEF >DRNTG_01479.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13590637:13592290:1 gene:DRNTG_01479 transcript:DRNTG_01479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRYYSEIDPRSEWVTTEESDIILVYVPGFTKEQLKVQLDTSGKILVSGECVVDGDQWCRFLKEFKLPMKSKVKSIEAKFDEGTLYVILPKHLPTSTITNTSVHIPQQKQQHNQSAIGEQSNNIISVKSCLKELWKHKFMALSGIVVIMLFAGLGIYLKNRSTLPN >DRNTG_31260.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4386204:4389272:-1 gene:DRNTG_31260 transcript:DRNTG_31260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAP domain-containing protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31890) UniProtKB/Swiss-Prot;Acc:Q8VZE7] MDWCVKARKVALRAIESKGLSESMEKIVVSKKKKKKNSKKKSDIKLKKSIKDGILGDSDEEFENIEDLVALDMEDDGDDLKQRVSSFADGMFVENKERSRALFIERLSKFSGPSDRKKEVGLNRAIVDAQTAEDVLELVTETMSAVAKGLNPSPLTPLNVATALHRIAKNMEKVSMIRTQRLGLARQREMSMLVGIAMTALPECSAQGVSNIAWALSKIGGELLYMSEMDRVAEVAITKVAEFNSQNVANVAGAFASMQHSAPELFSRLCGRASDIVSTFREQELAQLLWAFASLNECADALLDSLDDVFKDADCIECYREKESSGSQDSLDVEVEVDLNVQSSQGIDMGESTDVPMLNFNRDQLGNIAWSYAVLGRMDRPFFAHTWNALSLFEEQRISEHYREDIMFASQVYLANQCLKLEYPHLGLSLKSELEAKIAKAGKTKRFNQKMTSSFQKEVARLLVSTGLEWIREYKVDGYSLDSVIVDRKLAFEIDGPTHFSRNLGTPLGHTVLKRRYIAAAGWKLVSLSHQEWEELQGGFEQLEYLRKILGINASDDDQLESK >DRNTG_12521.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:13546023:13547503:-1 gene:DRNTG_12521 transcript:DRNTG_12521.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVVQSWEGVPGLARNPRTPAAGAGP >DRNTG_05282.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16731234:16731922:-1 gene:DRNTG_05282 transcript:DRNTG_05282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRLERYIHALITRSIGGRKDSTGVLTQSDLFTMYDILEEYPIHLGHLVAESFIHQGQFVCLGAIFKKSGTCRLASHLTTGESSQHDHGESDSESDGAPTQDPPGTSFPSNFDSRFKEHSHQFVISHRGSSSQATTASCSIPPPSAAPFDDIFHF >DRNTG_05570.9.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000255.1:24044:24995:1 gene:DRNTG_05570 transcript:DRNTG_05570.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEFPGVIHRSRRHPNLLSPGDRYTLLKSNVDSLNLIQIGLTLSNANGELPDLGTDDGARFIWEFNFNDFDPLRDRHAPESIDLLRSNGIDFEMNREKGISSVRFAELMASSGLICNDSAVAWVTFHSAYDFGYLIKVLTGRTLPKGLPEFMALVRVFFGERVFDVKHMMRYCEGLYGGLERMAKELKVERVVGKCHQAGSDSLLTWHAFLKIKDRFFSDDVGADHHRHHAGVLYGLELQVY >DRNTG_05570.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000255.1:23939:25218:1 gene:DRNTG_05570 transcript:DRNTG_05570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEFPGVIHRSRRHPNLLSPGDRYTLLKSNVDSLNLIQIGLTLSNANGELPDLGTDDGARFIWEFNFNDFDPLRDRHAPESIDLLRSNGIDFEMNREKGISSVRFAELMASSGLICNDSAVAWVTFHSAYDFGYLIKVLTGRTLPKGLPEFMALVRVFFGERVFDVKHMMRYCEGLYGGLERMAKELKVERVVGKCHQAGSDSLLTWHAFLKIKDRFFSDDVGADHHRHHAGVLYGLELQVY >DRNTG_05570.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000255.1:24044:25966:1 gene:DRNTG_05570 transcript:DRNTG_05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEFPGVIHRSRRHPNLLSPGDRYTLLKSNVDSLNLIQIGLTLSNANGELPDLGTDDGARFIWEFNFNDFDPLRDRHAPESIDLLRSNGIDFEMNREKGISSVRFAELMASSGLICNDSAVAWVTFHSAYDFGYLIKVLTGRTLPKGLPEFMALVRVFFGERVFDVKHMMRYCEGLYGGLERMAKELKVERVVGKCHQAGSDSLLTWHAFLKIKDRFFSDDVGADHHRHHAGVLYGLELQVY >DRNTG_05570.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000255.1:23939:25141:1 gene:DRNTG_05570 transcript:DRNTG_05570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEFPGVIHRSRRHPNLLSPGDRYTLLKSNVDSLNLIQIGLTLSNANGELPDLGTDDGARFIWEFNFNDFDPLRDRHAPESIDLLRSNGIDFEMNREKGISSVRFAELMASSGLICNDSAVAWVTFHSAYDFGYLIKVLTGRTLPKGLPEFMALVRVFFGERVFDVKHMMRYCEGLYGGLERMAKELKVERVVGKCHQAGSDSLLTWHAFLKIKDRFFSDDVGADHHRHHAGVLYGLELQVY >DRNTG_05570.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000255.1:24044:25218:1 gene:DRNTG_05570 transcript:DRNTG_05570.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEFPGVIHRSRRHPNLLSPGDRYTLLKSNVDSLNLIQIGLTLSNANGELPDLGTDDGARFIWEFNFNDFDPLRDRHAPESIDLLRSNGIDFEMNREKGISSVRFAELMASSGLICNDSAVAWVTFHSAYDFGYLIKVLTGRTLPKGLPEFMALVRVFFGERVFDVKHMMRYCEGLYGGLERMAKELKVERVVGKCHQAGSDSLLTWHAFLKIKDRFFSDDVGADHHRHHAGVLYGLELQVY >DRNTG_05570.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000255.1:24014:25218:1 gene:DRNTG_05570 transcript:DRNTG_05570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEFPGVIHRSRRHPNLLSPGDRYTLLKSNVDSLNLIQIGLTLSNANGELPDLGTDDGARFIWEFNFNDFDPLRDRHAPESIDLLRSNGIDFEMNREKGISSVRFAELMASSGLICNDSAVAWVTFHSAYDFGYLIKVLTGRTLPKGLPEFMALVRVFFGERVFDVKHMMRYCEGLYGGLERMAKELKVERVVGKCHQAGSDSLLTWHAFLKIKDRFFSDDVGADHHRHHAGVLYGLELQVY >DRNTG_05570.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000255.1:23939:24995:1 gene:DRNTG_05570 transcript:DRNTG_05570.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEFPGVIHRSRRHPNLLSPGDRYTLLKSNVDSLNLIQIGLTLSNANGELPDLGTDDGARFIWEFNFNDFDPLRDRHAPESIDLLRSNGIDFEMNREKGISSVRFAELMASSGLICNDSAVAWVTFHSAYDFGYLIKVLTGRTLPKGLPEFMALVRVFFGERVFDVKHMMRYCEGLYGGLERMAKELKVERVVGKCHQAGSDSLLTWHAFLKIKDRFFSDDVGADHHRHHAGVLYGLELQVY >DRNTG_05570.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000255.1:24014:25141:1 gene:DRNTG_05570 transcript:DRNTG_05570.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEFPGVIHRSRRHPNLLSPGDRYTLLKSNVDSLNLIQIGLTLSNANGELPDLGTDDGARFIWEFNFNDFDPLRDRHAPESIDLLRSNGIDFEMNREKGISSVRFAELMASSGLICNDSAVAWVTFHSAYDFGYLIKVLTGRTLPKGLPEFMALVRVFFGERVFDVKHMMRYCEGLYGGLERMAKELKVERVVGKCHQAGSDSLLTWHAFLKIKDRFFSDDVGADHHRHHAGVLYGLELQVY >DRNTG_05570.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000255.1:24044:25141:1 gene:DRNTG_05570 transcript:DRNTG_05570.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEFPGVIHRSRRHPNLLSPGDRYTLLKSNVDSLNLIQIGLTLSNANGELPDLGTDDGARFIWEFNFNDFDPLRDRHAPESIDLLRSNGIDFEMNREKGISSVRFAELMASSGLICNDSAVAWVTFHSAYDFGYLIKVLTGRTLPKGLPEFMALVRVFFGERVFDVKHMMRYCEGLYGGLERMAKELKVERVVGKCHQAGSDSLLTWHAFLKIKDRFFSDDVGADHHRHHAGVLYGLELQVY >DRNTG_22263.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:956749:958494:-1 gene:DRNTG_22263 transcript:DRNTG_22263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNENLGTNSFISTKNSRNSGTDDGPFHTKFISTMNCNKNYEKQTGAKTLQENNQTKSGKRNALMDKTNVFEGEADTVPEITGKWQCPRMNKPYMGPPLKQLRMEQWFHRVNKDHS >DRNTG_22263.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:956749:957491:-1 gene:DRNTG_22263 transcript:DRNTG_22263.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNENLGTNSFISTKNSRNSGTDDGPFHTKFISTMNCNKNYEKQTGAKTLQENNQTKSGKRNALMDKTNVFEGEADTVPEITGKWQCPRMNKPYMGPPLKQLRMEQWFHRVNKDHS >DRNTG_34613.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:14826:16506:1 gene:DRNTG_34613 transcript:DRNTG_34613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLKKSVMFLALVLLLALMLNNGAGALAKNHDVGGDQGWDVSTDFGSWASSTTFRVGDQLVFTYTPGLHTVVELAGEKEYNKCDLSGALKSMSDGKSTVKLSKAGYRYFACGTMGHCQEGMKLKIKTLAANEVSDSNTSSSSPSSTAVTFNHPPHFISYVIFFMIFVVLLVL >DRNTG_10065.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4551298:4552227:-1 gene:DRNTG_10065 transcript:DRNTG_10065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGEIHRDRAVPRTNSLEKCAMDLLLIPTSADAYPDAEFAWDNKGEEHGRAQTVLLL >DRNTG_14717.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20926549:20961062:1 gene:DRNTG_14717 transcript:DRNTG_14717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNSIDCLTSHYLCLSFLFLSFLFTSHQTNTIIIHMCHLAET >DRNTG_22631.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001246.1:43166:45354:-1 gene:DRNTG_22631 transcript:DRNTG_22631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLELFPCDEGARDITKLLPGLIYLCLDGLQSLQIVLQPFQCLPNLTVLAIKNCGVRYVVSSEMETMAILADPFPALEQCTIKNCREMSDIIYPLASLQSPFFFQGLSILSIVSCPKLMHLFSYNQAISMQHLTFLHIEDCAALEAVVISTENKEEAFASTSTHVVDRESYNSPFPNLNRLWLNGLPQLTTFQHPAALPIKWLYLKSPCLWNCPKLQQRLLGSGTPGHEQDLYTSRWNETTDIAKRRTLFYI >DRNTG_29298.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:19076147:19082596:-1 gene:DRNTG_29298 transcript:DRNTG_29298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSVGSSKEMADPAGKVVGIIHTMRTNQDVDISNISRLKAYRAKCIAIWLIDGDEHTQMERLYDYSTTQGGLLGWLQIVGIDANDCIYPIAWATGMRQQQSQIGQPSQNNPMDQIDPQVL >DRNTG_16824.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1424782:1430654:-1 gene:DRNTG_16824 transcript:DRNTG_16824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIDIEGILKELPSDGRVPKTKIVCTLGPASRSVPMLEKLLRAGMNVARFNFSHGTHEYHQETLDNLRTAMHNTQILCAVMLDTKGPEIRTGFLKDGKPIQLKEGQEITVSTDYSLKGDEKTITMSYKKLPVDLKPGNTILCADGTITLTVLSCDAEGGTVRCRCQNTAMLGERKNVNLPGVVVDLPTLTEKDKEDILGWGVPNNIDMIALSFVRKGSDLVNVRQVLGPHAKRIKLMSKVENQEGVINFDEILRETDSFMVARGDLGMEIPVEKIFLAQKMMIYKCNLAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPEIAVKIMAKICIEAESSLDYGAIFKEMIRCTPLPMSPLESLASSAVRTANKARAALIVVLTRGGTTAKLVAKYRPAVPILSVVVPVLTTDTFNWMVSDESPARHSLIYRGLIPLLAEGSAKATDSESTEEILEAALKSAVKRKLCKAGDAVVVLHRIGMASVIKICIVK >DRNTG_18830.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2687409:2690429:-1 gene:DRNTG_18830 transcript:DRNTG_18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGSSSSASQTNVPFFNGEHFNLWTLMMKTMFRSRDLWNLIEIKFSEEEDGNRLNESMKKDTKALYLIQQTFDPKIFIRISEVKTAKETWQTLKIEFQVMEAEDVVAASIEEEATDEAEVLRSIVEFRGHLRSRSDRVSIPFIIEDDGVDSIGGCGEGGGVDAGGAKTRGGTSLERISKAHPRSVVNALKGEKEAKIGYKDTIWEFLFNSRTKTQEEFMNVEVCANFQEDSSQFASWKGTKAVTSPCSFSL >DRNTG_14028.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27583408:27585283:-1 gene:DRNTG_14028 transcript:DRNTG_14028.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP17-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19830) UniProtKB/Swiss-Prot;Acc:O81864] MPPFPISSNSPPPAASRPWTYASATVIYPLMAIRLAAKQGWRFDSTYDHKDEAGDPVPFVFVLGSGKVISGIEAAVRSMRVGGVRRVIIPPSEGYQNTMQEPIPPNFFDRQRLFTTIFNPTRLANGEGSSLGTLVFDIELVSLNHPQQ >DRNTG_14028.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27583408:27585283:-1 gene:DRNTG_14028 transcript:DRNTG_14028.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP17-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19830) UniProtKB/Swiss-Prot;Acc:O81864] MSASVCALSSCSPSHHLPIAHRSLPKTLTIIPTFISSRRSALISIAILLPSSFLASSSSHASLSDFVQLPASGGVKALDLRIGHGDLPIDGDQIAIHYYGRLAAKQGWRFDSTYDHKDEAGDPVPFVFVLGSGKVISGIEAAVRSMRVGGVRRVIIPPSEGYQNTMQEPIPPNFFDRQRLFTTIFNPTRLANGEGSSLGTLVFDIELVSLNHPQQ >DRNTG_11526.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5660:6441:-1 gene:DRNTG_11526 transcript:DRNTG_11526.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKFGDMMIITAECYYYYYFIFYFLFFGGC >DRNTG_18641.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6602491:6603022:1 gene:DRNTG_18641 transcript:DRNTG_18641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMNYKHAMGTISMWNSSTTATSQTTSACNSTFLYIQSMLVHILYSCHPIIVALLHLFVNSLNVLNLELIHLAVTILR >DRNTG_25767.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21189512:21190024:1 gene:DRNTG_25767 transcript:DRNTG_25767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSREFRTRKREGRRTSRTMRTVPEKEQAARSSRL >DRNTG_32302.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001817.1:93634:96659:1 gene:DRNTG_32302 transcript:DRNTG_32302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKPHFSWKIAIRGAFIGTDPARSGSMVFDIDSLWRRAIGGAFVSIDSVRCALRLTKESLEKTRWLLKTIDMDNKGGERPLVTIKPWVLKSLQHFTPLPLQLSPSGGHPLAHSLYYDCKELLECGGRIDDIGGERGRSATSRLTL >DRNTG_13467.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:50709:51932:-1 gene:DRNTG_13467 transcript:DRNTG_13467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLHLPHHQQTTQPFCALLTLSLDQRVGNVHVISKANMNTFGGPMMVANTLHACTILLKMSKEWDWFINLSASDYPLVAQDDMLYTFSSLPRNLNFVEHTRKLEWKEYIDRLPLPLLALLLIDGHSSAWMILPREFRVLYMGWNDLPRTLLMYYTNFVSSPEGYFQTVICNTPEFISTIVNHDLHYITWDNTPKQHTRKLSANDGSKKITANVSFARKFKYDDALLDGIDAELLQWSNEDFVPGGWCSASPPSSEVVDSSLLKPGQGAERLGKLMDKMVHFEVFTKHH >DRNTG_28914.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22001930:22003437:-1 gene:DRNTG_28914 transcript:DRNTG_28914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKHASKILGSASCQAWLSSPAPCVDDPAPPHGELCELNQSPWDVLPSPQLPDFHLSDRPHLKIKQDDDDEEEEEDDDDDDDDNNDNDRIILSVLPRMKKKKKKKKKSMIKKNKKEFKKRESNDMCNKSDGKGWRCKKPVQPPHTLCHYHLAQLRSYNAAYRAPSSAGAVANQRRKPGIGSLIDNNGGDFYYYYSGFGPWRGKRRSGGKVVDDDEDDDEDDKDYNEEEEDNGVKGVEIDSLEGDGSDDDDEEEEEEDELEEKKRKSSLVCRKRGRRRIKARSLKSLL >DRNTG_30041.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5634593:5637653:1 gene:DRNTG_30041 transcript:DRNTG_30041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLILLYTTIFIPLFFHLSLALNSDGTLLLSLKYSILSDPLSVLADWNYDDITPCAWNGVMCMGFPVQDGNTTTESRVISLVLPNVQLLGSIPPDLGLIEHLRHVDLSKNLLNGTLPASLFNASELRVVSLSNNEISGEIPGGENVSMPSLQMLNLSDNALTGKLPGNLSVFPNLTVLSLANNYLCGAIPERGFDRLAVLDLSSNLINGTLPAGFAGGDGVRYLNLSYNRLMGEIPKGFGSGLVENATVDLSFNNLTGEIPVAGVLASQKAAAFAGNPGLCGAPLKELCPIPSSLTKPPPNGSTTGTSTSPPAFAAIPKTPQGGTAAAAAGGGGGDGGLRPAVIAAITAGDLVGIGLLLVVFLYVYHSKKQKQSQQGSMSKNLTNEIPASRETRGFPWCWRSRGGEESEETSEETTSSDETEAEEQDKAVTGKSSSSSIANAATLVMVDGEMELELENLLKASAYILGATGASIVYKAVLADGTALAVRRIGERSSAEKLKDFEAQVRSIAKHRHANLLRLRGFYWGADEKLLIHDYASNGSLANITFTKKLGSSPYNLSFDARLRITRGLARGLSYLHEKKYVHGNVKPSNVLLSSDLEPMLGDFGIDRLLYGDYANKGGGSARHFGSKRSTLSQSSLPDVSPVAGASPSPTSSSSVLLSQLPYQAPEYLKNLKPSPKWDVYSFGVILLELISGRLFSDAELSEWNAGFIVEERNRVLRMVDSTLRGEVQGKEEAVLSLLKLGFACASASPQKRPSMKDALQILDKIPSNSSSSTSSTI >DRNTG_30041.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5634593:5637266:1 gene:DRNTG_30041 transcript:DRNTG_30041.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLILLYTTIFIPLFFHLSLALNSDGTLLLSLKYSILSDPLSVLADWNYDDITPCAWNGVMCMGFPVQDGNTTTESRVISLVLPNVQLLGSIPPDLGLIEHLRHVDLSKNLLNGTLPASLFNASELRVVSLSNNEISGEIPGGENVSMPSLQMLNLSDNALTGKLPGNLSVFPNLTVLSLANNYLCGAIPERGFDRLAVLDLSSNLINGTLPAGFAGGDGVRYLNLSYNRLMGEIPKGFGSGLVENATVDLSFNNLTGEIPVAGVLASQKAAAFAGNPGLCGAPLKELCPIPSSLTKPPPNGSTTGTSTSPPAFAAIPKTPQGGTAAAAAGGGGGDGGLRPAVIAAITAGDLVGIGLLLVVFLYVYHSKKQKQSQQGSMSKNLTNEIPASRETRGFPWCWRSRGGEESEETSEETTSSDETEAEEQDKAVTGKSSSSSIANAATLVMVDGEMELELENLLKASAYILGATGASIVYKAVLADGTALAVRRIGERSSAEKLKDFEAQVRSIAKHRHANLLRLRGFYWGADEKLLIHDYASNGSLANITFTKKLGSSPYNLSFDARLRITRGLARGLSYLHEKKYVHGNVKPSNVLLSSDLEPMLGDFGIDRLLYGDYANKGGGSARHFGSKRSTLSQSSLPDVSPVAGASPSPTSSSSVLLSQLPYQAPEYLKNLKPSPKWDVYSFGVILLELISGRLFSDAELSEWNAGFIVEERNRVLRMVDSTLRGEVQGKEEAVLSLLKLGFACASASPQKRPSMKDALQILDKIPSNSSSSTSSTI >DRNTG_30041.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5634593:5637455:1 gene:DRNTG_30041 transcript:DRNTG_30041.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLILLYTTIFIPLFFHLSLALNSDGTLLLSLKYSILSDPLSVLADWNYDDITPCAWNGVMCMGFPVQDGNTTTESRVISLVLPNVQLLGSIPPDLGLIEHLRHVDLSKNLLNGTLPASLFNASELRVVSLSNNEISGEIPGGENVSMPSLQMLNLSDNALTGKLPGNLSVFPNLTVLSLANNYLCGAIPERGFDRLAVLDLSSNLINGTLPAGFAGGDGVRYLNLSYNRLMGEIPKGFGSGLVENATVDLSFNNLTGEIPVAGVLASQKAAAFAGNPGLCGAPLKELCPIPSSLTKPPPNGSTTGTSTSPPAFAAIPKTPQGGTAAAAAGGGGGDGGLRPAVIAAITAGDLVGIGLLLVVFLYVYHSKKQKQSQQGSMSKNLTNEIPASRETRGFPWCWRSRGGEESEETSEETTSSDETEAEEQDKAVTGKSSSSSIANAATLVMVDGEMELELENLLKASAYILGATGASIVYKAVLADGTALAVRRIGERSSAEKLKDFEAQVRSIAKHRHANLLRLRGFYWGADEKLLIHDYASNGSLANITFTKKLGSSPYNLSFDARLRITRGLARGLSYLHEKKYVHGNVKPSNVLLSSDLEPMLGDFGIDRLLYGDYANKGGGSARHFGSKRSTLSQSSLPDVSPVAGASPSPTSSSSVLLSQLPYQAPEYLKNLKPSPKWDVYSFGVILLELISGRLFSDAELSEWNAGFIVEERNRVLRMVDSTLRGEVQGKEEAVLSLLKLGFACASASPQKRPSMKDALQILDKIPSNSSSSTSSTI >DRNTG_30041.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5634724:5637266:1 gene:DRNTG_30041 transcript:DRNTG_30041.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLILLYTTIFIPLFFHLSLALNSDGTLLLSLKYSILSDPLSVLADWNYDDITPCAWNGVMCMGFPVQDGNTTTESRVISLVLPNVQLLGSIPPDLGLIEHLRHVDLSKNLLNGTLPASLFNASELRVVSLSNNEISGEIPGGENVSMPSLQMLNLSDNALTGKLPGNLSVFPNLTVLSLANNYLCGAIPERGFDRLAVLDLSSNLINGTLPAGFAGGDGVRYLNLSYNRLMGEIPKGFGSGLVENATVDLSFNNLTGEIPVAGVLASQKAAAFAGNPGLCGAPLKELCPIPSSLTKPPPNGSTTGTSTSPPAFAAIPKTPQGGTAAAAAGGGGGDGGLRPAVIAAITAGDLVGIGLLLVVFLYVYHSKKQKQSQQGSMSKNLTNEIPASRETRGFPWCWRSRGGEESEETSEETTSSDETEAEEQDKAVTGKSSSSSIANAATLVMVDGEMELELENLLKASAYILGATGASIVYKAVLADGTALAVRRIGERSSAEKLKDFEAQVRSIAKHRHANLLRLRGFYWGADEKLLIHDYASNGSLANITFTKKLGSSPYNLSFDARLRITRGLARGLSYLHEKKYVHGNVKPSNVLLSSDLEPMLGDFGIDRLLYGDYANKGGGSARHFGSKRSTLSQSSLPDVSPVAGASPSPTSSSSVLLSQLPYQAPEYLKNLKPSPKWDVYSFGVILLELISGRLFSDAELSEWNAGFIVEERNRVLRMVDSTLRGEVQGKEEAVLSLLKLGFACASASPQKRPSMKDALQILDKIPSNSSSSTSSTI >DRNTG_30041.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5634724:5637653:1 gene:DRNTG_30041 transcript:DRNTG_30041.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLILLYTTIFIPLFFHLSLALNSDGTLLLSLKYSILSDPLSVLADWNYDDITPCAWNGVMCMGFPVQDGNTTTESRVISLVLPNVQLLGSIPPDLGLIEHLRHVDLSKNLLNGTLPASLFNASELRVVSLSNNEISGEIPGGENVSMPSLQMLNLSDNALTGKLPGNLSVFPNLTVLSLANNYLCGAIPERGFDRLAVLDLSSNLINGTLPAGFAGGDGVRYLNLSYNRLMGEIPKGFGSGLVENATVDLSFNNLTGEIPVAGVLASQKAAAFAGNPGLCGAPLKELCPIPSSLTKPPPNGSTTGTSTSPPAFAAIPKTPQGGTAAAAAGGGGGDGGLRPAVIAAITAGDLVGIGLLLVVFLYVYHSKKQKQSQQGSMSKNLTNEIPASRETRGFPWCWRSRGGEESEETSEETTSSDETEAEEQDKAVTGKSSSSSIANAATLVMVDGEMELELENLLKASAYILGATGASIVYKAVLADGTALAVRRIGERSSAEKLKDFEAQVRSIAKHRHANLLRLRGFYWGADEKLLIHDYASNGSLANITFTKKLGSSPYNLSFDARLRITRGLARGLSYLHEKKYVHGNVKPSNVLLSSDLEPMLGDFGIDRLLYGDYANKGGGSARHFGSKRSTLSQSSLPDVSPVAGASPSPTSSSSVLLSQLPYQAPEYLKNLKPSPKWDVYSFGVILLELISGRLFSDAELSEWNAGFIVEERNRVLRMVDSTLRGEVQGKEEAVLSLLKLGFACASASPQKRPSMKDALQILDKIPSNSSSSTSSTI >DRNTG_30041.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5634593:5637381:1 gene:DRNTG_30041 transcript:DRNTG_30041.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLILLYTTIFIPLFFHLSLALNSDGTLLLSLKYSILSDPLSVLADWNYDDITPCAWNGVMCMGFPVQDGNTTTESRVISLVLPNVQLLGSIPPDLGLIEHLRHVDLSKNLLNGTLPASLFNASELRVVSLSNNEISGEIPGGENVSMPSLQMLNLSDNALTGKLPGNLSVFPNLTVLSLANNYLCGAIPERGFDRLAVLDLSSNLINGTLPAGFAGGDGVRYLNLSYNRLMGEIPKGFGSGLVENATVDLSFNNLTGEIPVAGVLASQKAAAFAGNPGLCGAPLKELCPIPSSLTKPPPNGSTTGTSTSPPAFAAIPKTPQGGTAAAAAGGGGGDGGLRPAVIAAITAGDLVGIGLLLVVFLYVYHSKKQKQSQQGSMSKNLTNEIPASRETRGFPWCWRSRGGEESEETSEETTSSDETEAEEQDKAVTGKSSSSSIANAATLVMVDGEMELELENLLKASAYILGATGASIVYKAVLADGTALAVRRIGERSSAEKLKDFEAQVRSIAKHRHANLLRLRGFYWGADEKLLIHDYASNGSLANITFTKKLGSSPYNLSFDARLRITRGLARGLSYLHEKKYVHGNVKPSNVLLSSDLEPMLGDFGIDRLLYGDYANKGGGSARHFGSKRSTLSQSSLPDVSPVAGASPSPTSSSSVLLSQLPYQAPEYLKNLKPSPKWDVYSFGVILLELISGRLFSDAELSEWNAGFIVEERNRVLRMVDSTLRGEVQGKEEAVLSLLKLGFACASASPQKRPSMKDALQILDKIPSNSSSSTSSTI >DRNTG_30041.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5634724:5637455:1 gene:DRNTG_30041 transcript:DRNTG_30041.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLILLYTTIFIPLFFHLSLALNSDGTLLLSLKYSILSDPLSVLADWNYDDITPCAWNGVMCMGFPVQDGNTTTESRVISLVLPNVQLLGSIPPDLGLIEHLRHVDLSKNLLNGTLPASLFNASELRVVSLSNNEISGEIPGGENVSMPSLQMLNLSDNALTGKLPGNLSVFPNLTVLSLANNYLCGAIPERGFDRLAVLDLSSNLINGTLPAGFAGGDGVRYLNLSYNRLMGEIPKGFGSGLVENATVDLSFNNLTGEIPVAGVLASQKAAAFAGNPGLCGAPLKELCPIPSSLTKPPPNGSTTGTSTSPPAFAAIPKTPQGGTAAAAAGGGGGDGGLRPAVIAAITAGDLVGIGLLLVVFLYVYHSKKQKQSQQGSMSKNLTNEIPASRETRGFPWCWRSRGGEESEETSEETTSSDETEAEEQDKAVTGKSSSSSIANAATLVMVDGEMELELENLLKASAYILGATGASIVYKAVLADGTALAVRRIGERSSAEKLKDFEAQVRSIAKHRHANLLRLRGFYWGADEKLLIHDYASNGSLANITFTKKLGSSPYNLSFDARLRITRGLARGLSYLHEKKYVHGNVKPSNVLLSSDLEPMLGDFGIDRLLYGDYANKGGGSARHFGSKRSTLSQSSLPDVSPVAGASPSPTSSSSVLLSQLPYQAPEYLKNLKPSPKWDVYSFGVILLELISGRLFSDAELSEWNAGFIVEERNRVLRMVDSTLRGEVQGKEEAVLSLLKLGFACASASPQKRPSMKDALQILDKIPSNSSSSTSSTI >DRNTG_27286.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22524316:22526692:-1 gene:DRNTG_27286 transcript:DRNTG_27286.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L18a-3 [Source:Projected from Arabidopsis thaliana (AT3G14600) UniProtKB/Swiss-Prot;Acc:Q9LUD4] MGGFRFHQFQVVGRALPTPSEEHPKIFRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFERYPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYNEMASRHRVRFPCIQIIKTATIPAKLCKRENTKQFHDSKIKFPLVYRKVRPPTRKLKTTFKASRPNLFM >DRNTG_19035.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22513748:22519767:-1 gene:DRNTG_19035 transcript:DRNTG_19035.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSISSCLKQTTISREGNTNLQTLIKLEELHDKELEEAQELRRRCEVEERRTLIAYRDAQRALIEANEKCTILYRKRDLFSCQARALMMEASSSMWPSSWQNSRGTRMDLPKTAPSASCDLLQHVEHEMAVNCQAMCELGYESSIQCPDVALVDLSSNPINVFNYGSEPCHEPNRDSSEPKDGIGIIDENASANSTEENLLCDNSIPRSGLPCDITDGNNAKKLMNKPSNENVRDFELEASLRSQLVARLGKKSSLCKSSDGSKTSIFDKEAISTDAHKKPCTPSILQSLEGEKNQIPSFQGIEKPQSCFDDSSSQAFALPHENNNSSNEDFVRNDYTVDEPHKISNPGNSNSISKESCWQVAIPVLSLPSLGLHIVSRHAKFLLSEIDHEFPEKDSVSNSPLVVVVECMPGREDNSSRVYGERYGGNIEKDSQSSILSIDSFWPFCMFELRGRCNDEECPWQHFKNLKPTKDSVALASVKLVIH >DRNTG_19035.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22513748:22524348:-1 gene:DRNTG_19035 transcript:DRNTG_19035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLEDATYKDELERGQQTIPTVDVGMPLSTSTNALEKYSVSVPMSNLVNDETTISREGNTNLQTLIKLEELHDKELEEAQELRRRCEVEERRTLIAYRDAQRALIEANEKCTILYRKRDLFSCQARALMMEASSSMWPSSWQNSRGTRMDLPKTAPSASCDLLQHVEHEMAVNCQAMCELGYESSIQCPDVALVDLSSNPINVFNYGSEPCHEPNRDSSEPKDGIGIIDENASANSTEENLLCDNSIPRSGLPCDITDGNNAKKLMNKPSNENVRDFELEASLRSQLVARLGKKSSLCKSSDGSKTSIFDKEAISTDAHKKPCTPSILQSLEGEKNQIPSFQGIEKPQSCFDDSSSQAFALPHENNNSSNEDFVRNDYTVDEPHKISNPGNSNSISKESCWQVAIPVLSLPSLGLHIVSRHAKFLLSEIDHEFPEKDSVSNSPLVVVVECMPGREDNSSRVYGERYGGNIEKDSQSSILSIDSFWPFCMFELRGRCNDEECPWQHFKNLKPTKDSVALASVKLVIH >DRNTG_19035.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22513748:22520048:-1 gene:DRNTG_19035 transcript:DRNTG_19035.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLEDATYKDELERGQQTIPTVDVGMPLSTSTNALEKYSVSVPMSNLVNDETTISREGNTNLQTLIKLEELHDKELEEAQELRRRCEVEERRTLIAYRDAQRALIEANEKCTILYRKRDLFSCQARALMMEASSSMWPSSWQNSRGTRMDLPKTAPSASCDLLQHVEHEMAVNCQAMCELGYESSIQCPDVALVDLSSNPINVFNYGSEPCHEPNRDSSEPKDGIGIIDENASANSTEENLLCDNSIPRSGLPCDITDGNNAKKLMNKPSNENVRDFELEASLRSQLVARLGKKSSLCKSSDGSKTSIFDKEAISTDAHKKPCTPSILQSLEGEKNQIPSFQGIEKPQSCFDDSSSQAFALPHENNNSSNEDFVRNDYTVDEPHKISNPGNSNSISKESCWQVAIPVLSLPSLGLHIVSRHAKFLLSEIDHEFPEKDSVSNSPLVVVVECMPGREDNSSRVYGERYGGNIEKDSQSSILSIDSFWPFCMFELRGRCNDEECPWQHFKNLKPTKDSVALASVKLVIH >DRNTG_19035.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22513748:22521891:-1 gene:DRNTG_19035 transcript:DRNTG_19035.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHMQQHQGSVAQNYNQCFKKKKTTFKSENNRNLSWHGKGSNDNLVISFSDDDSESGPEECRQENTLGEKVDMARAKMSSTPPQLQTEVLQHTLSTRAKQVWKKEYACMPSISTNFKKPGTKDRGPLASLVDKELNIQRNSSIAKGSSSLENESQLSANLANKQLASLRRQIAVRENELRVQRENELRVQRENELRVQRENEPRVQQKSTFQSKEMVSGLYSNQRQAYFMKRDAQAAGINVLTSANTIGLPPKEQALKRAKLDENAHNKLISGDQVQGQVLFGTSSDDMGHHGNNRGTKDASLLSHSVHVPVPSEAPVNTRKGNESLGPTQEAASFMVGNLGMPLQLQSKIKFSSGISCSLGESHDGNLQGDSNTLTNRPPVDQMILSFESLPDNRHLNISRKSNASPMFLEDATYKDELERGQQTIPTVDVGMPLSTSTNALEKYSVSVPMSNLVNDETTISREGNTNLQTLIKLEELHDKELEEAQELRRRCEVEERRTLIAYRDAQRALIEANEKCTILYRKRDLFSCQARALMMEASSSMWPSSWQNSRGTRMDLPKTAPSASCDLLQHVEHEMAVNCQAMCELGYESSIQCPDVALVDLSSNPINVFNYGSEPCHEPNRDSSEPKDGIGIIDENASANSTEENLLCDNSIPRSGLPCDITDGNNAKKLMNKPSNENVRDFELEASLRSQLVARLGKKSSLCKSSDGSKTSIFDKEAISTDAHKKPCTPSILQSLEGEKNQIPSFQGIEKPQSCFDDSSSQAFALPHENNNSSNEDFVRNDYTVDEPHKISNPGNSNSISKESCWQVAIPVLSLPSLGLHIVSRHAKFLLSEIDHEFPEKDSVSNSPLVVVVECMPGREDNSSRVYGERYGGNIEKDSQSSILSIDSFWPFCMFELRGRCNDEECPWQHFKNLKPTKDSVALASVKLVIH >DRNTG_32718.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18153656:18157223:-1 gene:DRNTG_32718 transcript:DRNTG_32718.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGSLSFLIIMPTLMSRMLLISNSSLRPRCFTFDIGENKRGRFLKISEASVNRNRSTIIVPAGSSSGEGWAAFRNILLEINEKGSQLFMVPDQQHMEPSERLPGLSDDVGAGFIPGRSTQLASGSAELSVDRLIELPPQDEVGGLGTSKVMRADQKRFFFDLGSNNRGDFLRISEVAGADRSSIILPLSGLRQFHEVIGHFVEITKDRLEGLTSVNVRNVDQR >DRNTG_32718.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18153656:18157223:-1 gene:DRNTG_32718 transcript:DRNTG_32718.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGGSDAELLSRTLQFEHKLFYFDLKENPRGQYLKISEKTSATRCTIIVPADGIAWFLELFDYYANTDEQDAVNKQLKLETKVFTFDIGENKRGRFLKISEASVNRNRSTIIVPAGSSSGEGWAAFRNILLEINEKGSQLFMVPDQQQHMEPSERLPGLSDDVGAGFIPGRSTQLASGSAELSVDRLIELPPQDEVGGLGTSKVMRADQKRFFFDLGSNNRGDFLRISEVAGADRSSIILPLSGLRQFHEVIGHFVEITKDRLEGLTSVNVRNVDQR >DRNTG_32718.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18153656:18157223:-1 gene:DRNTG_32718 transcript:DRNTG_32718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDQQQHMEPSERLPGLSDDVGAGFIPGRSTQLASGSAELSVDRLIELPPQDEVGGLGTSKVMRADQKRFFFDLGSNNRGDFLRISEVAGADRSSIILPLSGLRQFHEVIGHFVEITKDRLEGLTSVNVRNVDQR >DRNTG_22175.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:902407:905127:-1 gene:DRNTG_22175 transcript:DRNTG_22175.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyanate hydratase [Source:Projected from Arabidopsis thaliana (AT3G23490) UniProtKB/TrEMBL;Acc:A0A178VD28] MEGLGGDGAQKAAVISRLMAAKRLSGKTHSQIAAETGLTNVYVAQLLRRQAQLKPGPTTAALRAALPALDDDLVAEMMAPPFRSFRPDLIQEPAVYRLNEAVMHFGESIKEIINEDFGDGIMSAIDFYCSVDKIQGVDGKDRVVVTFDGKYLPHSEQKTDHMVSRLNRQ >DRNTG_30617.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1856841:1857819:-1 gene:DRNTG_30617 transcript:DRNTG_30617.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAPREKGRGAARAGVDGAVKEVHFRGVRKRPWGRYAAEIRDPSKKSRVWLGTFDTAEEAAKAYDAAAREFRGSKAKTNFPYPETYQIPSAAAPGGAVSPSSQSSTVESSSRETPVIAKPQLPPPLDLDHFPHSGVARFPFQPFASAPQPFFLFNAIVRSEKPGAGVNHQRLKLDRPSFLTDFHVPVVASVQSDSDSSSVVDHHPPPSPRKPLRFDLDLNLPPPDLA >DRNTG_30617.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1856841:1858767:-1 gene:DRNTG_30617 transcript:DRNTG_30617.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPREKGRGAARAGVDGAVKEVHFRGVRKRPWGRYAAEIRDPSKKSRVWLGTFDTAEEAAKAYDAAAREFRGSKAKTNFPYPETYQIPSAAAPGGAVSPSSQSSTVESSSRETPVIAKPQLPPPLDLDHFPHSGVARFPFQPFASAPQPFFLFNAIVRSEKPGAGVNHQRLKLDRPSFLTDFHVPVVASVQSDSDSSSVVDHHPPPSPRKPLRFDLDLNLPPPDLA >DRNTG_30617.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1856841:1859982:-1 gene:DRNTG_30617 transcript:DRNTG_30617.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFSREKGRGAARAGVDGAVKEVHFRGVRKRPWGRYAAEIRDPSKKSRVWLGTFDTAEEAAKAYDAAAREFRGSKAKTNFPYPETYQIPSAAAPGGAVSPSSQSSTVESSSRETPVIAKPQLPPPLDLDHFPHSGVARFPFQPFASAPQPFFLFNAIVRSEKPGAGVNHQRLKLDRPSFLTDFHVPVVASVQSDSDSSSVVDHHPPPSPRKPLRFDLDLNLPPPDLA >DRNTG_30617.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1856656:1858767:-1 gene:DRNTG_30617 transcript:DRNTG_30617.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPREKGRGAARAGVDGAVKEVHFRGVRKRPWGRYAAEIRDPSKKSRVWLGTFDTAEEAAKAYDAAAREFRGSKAKTNFPYPETYQIPSAAAPGGAVSPSSQSSTVESSSRETPVIAKPQLPPPLDLDHFPHSGVARFPFQPFASAPQPFFLFNAIVRSEKPGAGVNHQRLKLDRPSFLTDFHVPVVASVQSDSDSSSVVDHHPPPSPRKPLRFDLDLNLPPPDLA >DRNTG_30617.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1856656:1857819:-1 gene:DRNTG_30617 transcript:DRNTG_30617.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPREKGRGAARAGVDGAVKEVHFRGVRKRPWGRYAAEIRDPSKKSRVWLGTFDTAEEAAKAYDAAAREFRGSKAKTNFPYPETYQIPSAAAPGGAVSPSSQSSTVESSSRETPVIAKPQLPPPLDLDHFPHSGVARFPFQPFASAPQPFFLFNAIVRSEKPGAGVNHQRLKLDRPSFLTDFHVPVVASVQSDSDSSSVVDHHPPPSPRKPLRFDLDLNLPPPDLA >DRNTG_30617.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1856841:1857930:-1 gene:DRNTG_30617 transcript:DRNTG_30617.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAPREKGRGAARAGVDGAVKEVHFRGVRKRPWGRYAAEIRDPSKKSRVWLGTFDTAEEAAKAYDAAAREFRGSKAKTNFPYPETYQIPSAAAPGGAVSPSSQSSTVESSSRETPVIAKPQLPPPLDLDHFPHSGVARFPFQPFASAPQPFFLFNAIVRSEKPGAGVNHQRLKLDRPSFLTDFHVPVVASVQSDSDSSSVVDHHPPPSPRKPLRFDLDLNLPPPDLA >DRNTG_30617.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1856656:1857930:-1 gene:DRNTG_30617 transcript:DRNTG_30617.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPREKGRGAARAGVDGAVKEVHFRGVRKRPWGRYAAEIRDPSKKSRVWLGTFDTAEEAAKAYDAAAREFRGSKAKTNFPYPETYQIPSAAAPGGAVSPSSQSSTVESSSRETPVIAKPQLPPPLDLDHFPHSGVARFPFQPFASAPQPFFLFNAIVRSEKPGAGVNHQRLKLDRPSFLTDFHVPVVASVQSDSDSSSVVDHHPPPSPRKPLRFDLDLNLPPPDLA >DRNTG_10616.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:151472:156200:-1 gene:DRNTG_10616 transcript:DRNTG_10616.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRMFSELVASSQRERWSFDRENPNSNSIKVAAQSNNQPSTSLSTELQSCGVCLKLLKDRSPWTVQKLVASNEIAVVAVLVCGHVYHAECLESLTTDADRYDPPCPVCTLGEKCGSKLLAKAESKARSKISKTAVADADVDRISERQKSGKFPRLGASSSMKNAFSRPFLRRHFSLGSRPPVRSVSETESSSRKKGFWAKARYWRE >DRNTG_10616.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:151472:156200:-1 gene:DRNTG_10616 transcript:DRNTG_10616.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMFSELVASSQRERWSFDRENPNSNSIKVAAQSNNQPSTSLSTELQSCGVCLKLLKDRSPWTVQKLVASNEIAVVAVLVCGHVYHAECLESLTTDADRYDPPCPVCTLGEKCGSKLLAKAESKARSKISKTAVADADVDRISERQKSGKFPRLGASSSMKNAFSRPFLRRHFSLGSRPPVRSVSETESSSRKKGFWAKARYWRE >DRNTG_10616.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:151472:156200:-1 gene:DRNTG_10616 transcript:DRNTG_10616.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANCCVAARDKPLPYQTRFEAPIRRNVRHSPSWSFRWDNRTHIEDIMDNSTHFSHHNSGNIGSEIKSGEETETEGLSDGGSPLDVFRASKWRKAAVKTETSGHVKVGGADLSTESNLSTEDKYSPKSSGVASASDSKPSLYIPSTPSPSAHRPDPSSSRSRSLPSEATSSRKVRGSPGCQLARQVSDSRIPNLKSLNENSSPEDRQSFVLSVCSNDLSAGGSQGGSSDGWSMRMFSELVASSQRERWSFDRENPNSNSIKVAAQSNNQPSTSLSTELQSCGVCLKLLKDRSPWTVQKLVASNEIAVVAVLVCGHVYHAECLESLTTDADRYDPPCPVCTLGEKCGSKLLAKAESKARSKISKTAVADADVDRISERQKSGKFPRLGASSSMKNAFSRPFLRRHFSLGSRPPVRSVSETESSSRKKGFWAKARYWRE >DRNTG_03769.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18153089:18156226:1 gene:DRNTG_03769 transcript:DRNTG_03769.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPVDPHRRVKIPHDLMASQSNKQAYKRPHESSPEPKSIRFSIPEHQVYFEQLSRLRSGQSRLLDICILRNRQQGDELADEVEDLVSVGGWRLISACWVVKSIMKERSQCGSQCTDFGRNLAKVQTRRYESGPCGCPILALLKPISAFFSPSFPQLERGFRLGFLEVLARVSERFYGSNIIIPFEEGWETFLERTFWGVGADFYQFRGDDKGSRPDPGVRGSFPPNLACHLTSIV >DRNTG_03701.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8153576:8155155:1 gene:DRNTG_03701 transcript:DRNTG_03701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPPSAQEMSYYEHVQKRHEEKGCLYACLFAACCCFCCFETCECCLDVLCCCC >DRNTG_11898.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21488089:21493530:-1 gene:DRNTG_11898 transcript:DRNTG_11898.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor A-8 [Source:Projected from Arabidopsis thaliana (AT1G67970) UniProtKB/Swiss-Prot;Acc:Q9S7U5] MGGVRMVNDKGELLAMAPPFLSKCYDIVDDSATDGTVSWGKNGDSFVIWDSHTFSRELLPKYFKHSNLSSFVRQLNTYGFHKADHDRLEFANKSFIKDQKHLLKMIIRRKPGHDHPQLQQSEAKGANVNACVEVGKFGLAEEVERLKRDKDLLRQELIKLRQHQQTTENQVHDLRQSLHGMEQNQQQMLSFLAMAVQSPGFLSQLTMQQNPNNRQRAEISKKRRFPALEHGGLKGVDAPTGQIVRYQPPPPPPPPPPPPPVDESTKTLLMPVPSPDELTNSESMRIDFDHLLPYADDMSTGSNSSLPVEEDGFDMDFFSSYLENLLSDQRPVDDKQVDAHNMRTTNSDIDFDFLMDGSLNLEPKR >DRNTG_11898.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21488023:21493530:-1 gene:DRNTG_11898 transcript:DRNTG_11898.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor A-8 [Source:Projected from Arabidopsis thaliana (AT1G67970) UniProtKB/Swiss-Prot;Acc:Q9S7U5] MGGVRMVNDKGELLAMAPPFLSKCYDIVDDSATDGTVSWGKNGDSFVIWDSHTFSRELLPKYFKHSNLSSFVRQLNTYTGFSQSRP >DRNTG_11898.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21488023:21493530:-1 gene:DRNTG_11898 transcript:DRNTG_11898.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor A-8 [Source:Projected from Arabidopsis thaliana (AT1G67970) UniProtKB/Swiss-Prot;Acc:Q9S7U5] MGGVRMVNDKGELLAMAPPFLSKCYDIVDDSATDGTVSWGKNGDSFVIWDSHTFSRELLPKYFKHSNLSSFVRQLNTYGFHKADHDRLEFANKSFIKDQKHLLKMIIRRKPGHDHPQLQQSEAKGANVNACVEVGKFGLAEEVERLKRDKDLLRQELIKLRQHQQTTENQVHDLRQSLHGMEQNQQQMLSFLAMAVQSPGFLSQLTMQQNPNNRQRAEISKKRRFPALEHGGLKGVDAPTGQIVRYQPPPPPPPPPPPPPVDESTKTLLMPVPSPDELTNSESMRIDFDHLLPYADDMSTGSNSSLPVEEDGFDMDFFSSYLENLLSDQRPVDDKQVDAHNMRTTNSDIDFDFLMDGSLNLEPKR >DRNTG_11898.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21487826:21493530:-1 gene:DRNTG_11898 transcript:DRNTG_11898.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor A-8 [Source:Projected from Arabidopsis thaliana (AT1G67970) UniProtKB/Swiss-Prot;Acc:Q9S7U5] MGGVRMVNDKGELLAMAPPFLSKCYDIVDDSATDGTVSWGKNGDSFVIWDSHTFSRELLPKYFKHSNLSSFVRQLNTYGFHKADHDRLEFANKSFIKDQKHLLKMIIRRKPGHDHPQLQQSEAKGANVNACVEVGKFGLAEEVERLKRDKDLLRQELIKLRQHQQTTENQVHDLRQSLHGMEQNQQQMLSFLAMAVQSPGFLSQLTMQQNPNNRQRAEISKKRRFPALEHGGLKGVDAPTGQIVRYQPPPPPPPPPPPPPVDESTKTLLMPVPSPDELTNSESMRIDFDHLLPYADDMSTGSNSSLPVEEDGFDMDFFSSYLENLLSDQRPVDDKQVDAHNMRTTNSDIDFDFLMDGSLNLEPKR >DRNTG_11898.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21488147:21493530:-1 gene:DRNTG_11898 transcript:DRNTG_11898.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor A-8 [Source:Projected from Arabidopsis thaliana (AT1G67970) UniProtKB/Swiss-Prot;Acc:Q9S7U5] MGGVRMVNDKGELLAMAPPFLSKCYDIVDDSATDGTVSWGKNGDSFVIWDSHTFSRELLPKYFKHSNLSSFVRQLNTYGFHKADHDRLEFANKSFIKDQKHLLKMIIRRKPGHDHPQLQQSEAKGANVNACVEVGKFGLAEEVERLKRDKDLLRQELIKLRQHQQTTENQVHDLRQSLHGMEQNQQQMLSFLAMAVQSPGFLSQLTMQQNPNNRQRAEISKKRRFPALEHGGLKGVDAPTGQIVRYQPPPPPPPPPPPPPVDESTKTLLMPVPSPDELTNSESMRIDFDHLLPYADDMSTGSNSSLPVEEDGFDMDFFSSYLENLLSDQRPVDDKQVDAHNMRTTNSDIDFDFLMDGSLNLEPKR >DRNTG_12280.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24754414:24757807:1 gene:DRNTG_12280 transcript:DRNTG_12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVPARNPKDFLYKNKLQEYAQKSLIPLPIYQTINEGKQHAPKFRSFVMIDGVGFVSSSTFSNRKEAEQDAARIALEGILQKTKQEGMHLIHQDKIFCKSILNEYAMKATVDKPVYTTAQSGSVIPVFVSTLVFGGKSYIGAHGKTKKEAEQSAARVAIESILSGSDTKALMSQIIKSKSRFYAAVHGTDALAVNLNTNAGLVGSAEDQPGPWIQFGIGFHSAIENGKPLTGHDSEVFPSCEHVNAEVPHLVSSVLKETK >DRNTG_12280.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24754414:24757807:1 gene:DRNTG_12280 transcript:DRNTG_12280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVPARNPKDFLYKNKLQEYAQKSLIPLPIYQTINEGKQHAPKFRSFVMIDGVGFVSSSTFSNRKEAEQDAARIALEGILQKTKQEGMHLIHQDKIFCKSILNEYAMKATVDKPVYTTAQSGSVIPVFVSTLVFGGKSYIGAHGKTKKEAEQSAARVAIESILSMSVN >DRNTG_31624.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:787976:791578:1 gene:DRNTG_31624 transcript:DRNTG_31624.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKEGIESIALYSSSIGRFPNAMGAFIYPMYGQGELPQAFCRCAAVKGALYVLRMPVVSLLMDEQNKLCKGVRLTSGQEILSSQLVMNPSFVVQNSESHMQSAREGLNSSNLLGKVARGICITNCSVQPELSNILLVFPPRSLHSEQLASVRALQLSSNVSVCPQGYFVVYLSTLCDDAILGKECLHAAINALFSSSSSETSTSSNNGDPEPKPTLLWSCVYIQEISQASCDAALCSCPTPDGNLDYRDILETTKKLYHSMYPDEEFFPESAASVNAEDDGALSD >DRNTG_23966.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30842727:30843409:1 gene:DRNTG_23966 transcript:DRNTG_23966.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fe(3+)-Zn(2+) purple acid phosphatase 12 [Source:Projected from Arabidopsis thaliana (AT2G27190) UniProtKB/Swiss-Prot;Acc:Q38924] MDFAPEIGETRLFKPYMHRYPVPYKASGSTSPLWYAIKRASTHIIVLSSYSAFGNILKL >DRNTG_23966.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30843774:30845529:1 gene:DRNTG_23966 transcript:DRNTG_23966.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fe(3+)-Zn(2+) purple acid phosphatase 12 [Source:Projected from Arabidopsis thaliana (AT2G27190) UniProtKB/Swiss-Prot;Acc:Q38924] NVYRTLLYNISNKKCTPVKSEDAPVYLNLGDGGNIEGLAAEYIQPQPSYSAFRESSFGHGTLEIKNRTHAFYSWHRNDDGVRTVADSVWFYNRYHRPSE >DRNTG_23966.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30843463:30843685:1 gene:DRNTG_23966 transcript:DRNTG_23966.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fe(3+)-Zn(2+) purple acid phosphatase 12 [Source:Projected from Arabidopsis thaliana (AT2G27190) UniProtKB/Swiss-Prot;Acc:Q38924] MEGEGMRVMFESWFVENKVDIVLSGHVHSYERM >DRNTG_23966.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30842078:30845529:1 gene:DRNTG_23966 transcript:DRNTG_23966.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fe(3+)-Zn(2+) purple acid phosphatase 12 [Source:Projected from Arabidopsis thaliana (AT2G27190) UniProtKB/Swiss-Prot;Acc:Q38924] MNSMKAQLMALALILIFTLRCNGGITSSFTRKPHPSIDMPFGSDVFKAPPGFNAPQQVHITQGDYEGKSVIVSWVTPKKTGSNLVLYGKANDKHKLISALAKVTTYKYYNYTSGFIHHCTLNDLEYNTKYFYKIGSEDSSRQFSFITPPEVGPDVPYTFGLIGDLGQTYDSNQTLEHYHSNPKGQAVLFIGDLSYADHYPLHDNRRWDSWGRFTERSAAYQTWIWCAGNHEMDFAPEIGETRLFKPYMHRYPVPYKASGSTSPLWDIHTTV >DRNTG_15148.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18707144:18709781:1 gene:DRNTG_15148 transcript:DRNTG_15148.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQLMKLQPPHRCRTQSAVTPRSSSRLISSVRNSKEGCYLEEEDEEGGGGGEEGCLSHQPRQDRSSRVR >DRNTG_09874.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:326298:327804:1 gene:DRNTG_09874 transcript:DRNTG_09874.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFRPDFRILFSIFSKLVRGFRLLFGEVLARVLERFYSSDIVIPLEEGWYGSFHRGKMINMFESFDTIMRMVENVEQKVQTVALRDIRCYSHHE >DRNTG_03995.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25758532:25761162:1 gene:DRNTG_03995 transcript:DRNTG_03995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSSILQITSRLVAACRGSPSSSSSPSDNQKVIEDELKGLEMILWNIDSVLEDARQREIRDASVRLWLKELKGITYDAEDILDEYEYELLQCQVDSRNVAAAASRISRKRKDMDSQKEQVCFWQTSVISKVPSFPHYMLDRIRKIRERFSAIKKDRSTLSLRDLGPRRYDYDDDDSIKPLSPSSFVDESRVFGRDGDKEKLIELLFSDMNSKFSVIPIVGMGGLGKTTLAQLIYKDRRVQGYFDLKGWVYVSVHFSVLRLTKLIIETLSGQQSCAFLELNKLQSVLSESVAGKKVLLVLDDVWNEEQSPWQLLQAPFANANIVRIIVTTRNSSVAQVMQTGTSPYQLGLLSEEQSWLLFKLYASASQEPLLQFIDIGKQIIKKCKGLPLALKALGGILQYKTEESSWWDVLQSDLWELDEAQAEILPALKLSYSRMPSY >DRNTG_03995.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25758532:25761162:1 gene:DRNTG_03995 transcript:DRNTG_03995.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRIRKIRERFSAIKKDRSTLSLRDLGPRRYDYDDDDSIKPLSPSSFVDESRVFGRDGDKEKLIELLFSDMNSKFSVIPIVGMGGLGKTTLAQLIYKDRRVQGYFDLKGWVYVSVHFSVLRLTKLIIETLSGQQSCAFLELNKLQSVLSESVAGKKVLLVLDDVWNEEQSPWQLLQAPFANANIVRIIVTTRNSSVAQVMQTGTSPYQLGLLSEEQSWLLFKLYASASQEPLLQFIDIGKQIIKKCKGLPLALKALGGILQYKTEESSWWDVLQSDLWELDEAQAEILPALKLSYSRMPSY >DRNTG_27962.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3515617:3519326:1 gene:DRNTG_27962 transcript:DRNTG_27962.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLGRIATKRRHRPFPPKTLTLNLAPNPNPTTVLAPSSAPGLPADLTPETIISTLRQCFQSSNPQSAQSFIHILLQSPHPKLCPSSLAAMIHVSIVNRRSSDAQSLILRLVRRRGISRPEIVDALLASYREFNSNPLVFDLLVRTYVQARKLREAAEAFRLLKKKGFFVSINACNSLLSGLVRADWAQMAMEIYGEVVQMGIGLNVFTLNIMVNCLGKEGRFQEIDGLLLEMDKRAIFADLVTYNTLIDSQCRWGHLEKAMEVLDLMVEKGLKPDVVTFNALLNGFCRKGRIERAKELLHEMEGVGVVPNGSTFNILLMGYCKKGSSNEAVSVYYEMLSRGITPDLLSFSSLIGLFTRREDMNTALMYFRDMKGRGLVPDNVIYTMIIGGYCRIGLMSEAVKMRDEMVDHGCIVDVVTYNTLLNGLCKERRLAEANELFTEMSERGVAPDFCTFTTLIHGYCKDGGVDKALNLFETMLGRNVKPDIITYNTLIDALSKEGNVEKATEMWNDMICRGIKPNHVTYVILIDSHCSIGQVAKAFRLWDEMKERGLSPSVVTHNCIVKGYCRSGNSSKAEQFMLKMIEDGIFPDRITYNTLIQGFIKEEKMQEAFALVNKMDKEGVPPDVVTYNLLISGFCGEGKMQQADWVYRRMANSGILPDRATFMTLINGYVEAENLKEAFRLHEEMLQRGFVPDDKF >DRNTG_27962.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3515617:3519326:1 gene:DRNTG_27962 transcript:DRNTG_27962.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLGRIATKRRHRPFPPKTLTLNLAPNPNPTTVLAPSSAPGLPADLTPETIISTLRQCFQSSNPQSAQSFIHILLQSPHPKLCPSSLAAMIHVSIVNRRSSDAQSLILRLVRRRGISRPEIVDALLASYREFNSNPLVFDLLVRTYVQARKLREAAEAFRLLKKKGFFVSINACNSLLSGLVRADWAQMAMEIYGEVVQMGIGLNVFTLNIMVNCLGKEGRFQEIDGLLLEMDKRAIFADLVTYNTLIDSQCRWGHLEKAMEVLDLMVEKGLKPDVVTFNALLNGFCRKGRIERAKELLHEMEGVGVVPNGSTFNILLMGYCKKGSSNEAVSVYYEMLSRGITPDLLSFSSLIGLFTRREDMNTALMYFRDMKGRGLVPDNVIYTMIIGGYCRIGLMSEAVKMRDEMVDHGCIVDVVTYNTLLNGLCKERRLAEANELFTEMSERGVAPDFCTFTTLIHGYCKDGGVDKALNLFETMLGRNVKPDIITYNTLIDALSKEGNVEKATEMWNDMICRGIKPNHVTYVILIDSHCSIGQVAKAFRLWDEMKERGLSPSVVTHNCIVKGYCRSGNSSKAEQFMLKMIEDGIFPDRITYNTLIQGFIKEEKMQEAFALVNKMDKEGVPPDVVTYNLLISGFCGEGKMQQADWVYRRMANSGILPDRATFMTLINGYVEAENLKEAFRLHEEMLQRGFVPDDKF >DRNTG_34375.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21685804:21692150:-1 gene:DRNTG_34375 transcript:DRNTG_34375.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLSKLRTCCCGSYNNATVLEAPDVESEVKSEGDGLPVFQEYSFEQLRLATSGFAVENIVSEHGEKAPNVVYKGKLDAQRRIAVKRFNRAAWPDPRQFLEEARSVGQLRSQRLANLLGCCAEGEERLLVAEYMPNDTLAKHLFHWEAQPMKWPMRLRVVLYLAQALEYCTTKGRALYHDLNAYRVLFDDECNPRLSCFGLMKNSHDGKSYSTNLAFTPPEYLRTGRVTPESVIYSFGTLLVDVLSGKHIPPSHALDLIRDRNFHMLTDSCLEGQFSNEDGTELVRLASRCLQYEQRERPNVKSLVQALTPLQKENDVPSYVLMDIPRGGASSLELLSLSPLGDACSRMDLTAIHEILEKVGYKDDEGTTNELSFQMWTNQMQETLNTKKKGDNAFRHKDFNTAIECYTQFIDVGTMVSPTVFGRRCLSYLMSDMPQQALNDAMQALVISPTWPTAFYLQAAALSALGMENEAREALKDGSSLESKKN >DRNTG_34375.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21685804:21692150:-1 gene:DRNTG_34375 transcript:DRNTG_34375.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLSKLRTCCCGSYNNATVLEAPDVESEVKSEGDGLPVFQEYSFEQLRLATSGFAVENIVSEHGEKAPNVVYKGKLDAQRRIAVKRFNRAAWPDPRQFLEEARSVGQLRSQRLANLLGCCAEGEERLLVAEYMPNDTLAKHLFHWEAQPMKWPMRLRVVLYLAQALEYCTTKGRALYHDLNAYRVLFDDECNPRLSCFGLMKNSHDGKSYSTNLAFTPPEYLRTGRVTPESVIYSFGTLLVDVLSGKHIPPSHALDLIRDRNFHMLTDSCLEGQFSNEDGTELVRLASRCLQYEQRERPNVKSLVQALTPLQKENDVPSYVLMDIPRGGASSLELLSLSPLGDACSRMDLTAIHEILEKVGYKDDEGTTNELSFQMWTNQMQETLNTKKKGDNAFRHKDFNTAIECYTQFIDVGTMVSPTVFGRRCLSYLMSDMPQQALNDAMQALVISPTWPTAFYLQAAALSALGMENEAREALKDGSSLESKKN >DRNTG_34375.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21685804:21692150:-1 gene:DRNTG_34375 transcript:DRNTG_34375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLSKLRTCCCGSYNNATVLEAPDVESEVKSEGDGLPVFQEYSFEQLRLATSGFAVENIVSEHGEKAPNVVYKGKLDAQRRIAVKRFNRAAWPDPRQFLEEARSVGQLRSQRLANLLGCCAEGEERLLVAEYMPNDTLAKHLFHWEAQPMKWPMRLRVVLYLAQALEYCTTKGRALYHDLNAYRVLFDDECNPRLSCFGLMKNSHDGKSYSTNLAFTPPEYLRTGRVTPESVIYSFGTLLVDVLSGKHIPPSHALDLIRDRNFHMLTDSCLEGQFSNEDGTELVRLASRCLQYEQRERPNVKSLVQALTPLQKENDVPSYVLMDIPRGGASSLELLSLSPLGDACSRMDLTAIHEILEKVGYKDDEGTTNELSFQMWTNQMQETLNTKKKGDNAFRHKDFNTAIECYTQFIDVGTMVSPTVFGRRCLSYLMSDMPQQALNDAMQALVISPTWPTAFYLQAAALSALGMENEAREALKDGSSLESKKN >DRNTG_06962.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4661014:4661507:-1 gene:DRNTG_06962 transcript:DRNTG_06962.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPNNLLDVEHQRRSREKQKQNTNEKKQASSQTPPQDETPNTCPDTAPQLPSDHHLTQESKALRTPDCVG >DRNTG_32950.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30922065:30924008:1 gene:DRNTG_32950 transcript:DRNTG_32950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASVEPVGVERNIRAASVPVIDLSWRRERATKLIVRACEEFGFFKVVNHGVSDDLVSSMEAEAMNFFSLPAREKQQAGPPNPLGYGVRNIGFTGDIGDLEYLLLNTNPSFISQKALSICKTDPTKFCEVVNEYASSMRELASEILEMLGEGLGLEDVHGLSKFIRDTENDSLLRFNHYTVIQQADEPYNNHNHNHNHNNNNPSSKSTTRNSKIGFGDHSDPQIITVLHSNDVPGLQILASSRRRPCLGPCTT >DRNTG_32950.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30923041:30924008:1 gene:DRNTG_32950 transcript:DRNTG_32950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIIIIIIIIIIITIILHQSPPPEIPRLASVTIPIPRSSPYSIPTMSPACRSWHHPADGPVWVPVPPDPTAFYLIVGDALRALTNGRLMSVRHRAMAISRSSSSRLSMIYFGGPPLHAQICAFPETVTPQAPRRYKSFTWAEFKKAMYSLRLGYNRLDLYRIG >DRNTG_05657.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18187413:18188811:-1 gene:DRNTG_05657 transcript:DRNTG_05657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHHVQDSERKKVEVLIRRKSSSTQFFSKDLVLGRRRKTKKEERNERNG >DRNTG_25481.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1646444:1646736:-1 gene:DRNTG_25481 transcript:DRNTG_25481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGLCTRRVVVDARQHMLGRLASIIAKELLNGQRIVVVRCEEICMSGGLVRQKMKFLRFLRKRMNTKPSHGPIHFRSPAKILWRTIRG >DRNTG_08979.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10452924:10455013:1 gene:DRNTG_08979 transcript:DRNTG_08979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHKSRSRNENVCQPPGIQVSTMMWRGTEVVTFKYPDLCIDGEILTNKAVYGRSDAIYEVNGLERYWQGFCGGSMTSTPHFTWKKVIGRAFICIDQVSVSMSGKESGEMKELITVEDSSEAHAQVEEVENDFE >DRNTG_00334.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18801161:18801764:-1 gene:DRNTG_00334 transcript:DRNTG_00334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMSLIKVPKLRALSLRGRRAQHEDLHAALLLGKLDASGDRRNMEVPKGCLAVYVGEDLRRFVIPASYLCQPEFRALMEKVAEEFGFEQTGGLRIPCNEEAFEEILHVL >DRNTG_29301.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29596701:29600468:-1 gene:DRNTG_29301 transcript:DRNTG_29301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYAREMMDLKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRAVENDDGVAPALLGSCNDRAKQLHASPSGQLLTALVCEYLDWAQLGHTLKVYLPECNLQKDSWKAELKDFSNKNGFDPNRSPDSRPLLLDVLEGYLKLENLSQSRVPGRRFLASETDALTNMESRNVRRPSSSTVAGGLPPLGRPGSVSQSADRRMGSSVPNYRKDEFNWRYDDDVSEEVTRASNAFENIQLDRKARNLTSSWRHGSDGTMEDDGKGNHL >DRNTG_23353.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5976251:5978284:1 gene:DRNTG_23353 transcript:DRNTG_23353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKILQWHAVASWTWDAQDETCGICRMAFDGCCPDCKFPGDDCPLIWGACNHSFHLHCILKWVNSQTPQPHCPMCRREWQFKG >DRNTG_25411.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24582976:24585310:1 gene:DRNTG_25411 transcript:DRNTG_25411.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRDGPLMRGAASTPSRRSRLLVAIAVGLLVGCVCAYLYPDGLFRSSSSSLHQTIASINSLQDNSVQCESSERVNMLKSELASLSDKTAELKKQVRDLNMKLQLTERKRDQAQEQFLSLGPQGKAGPFGTVKGLRTNPTVLPDESVNPRLAKILEDIAVQKELIVALANSNVKEMLEVWFENIKRVGIRNYLVVALDDSIEQFCKSKDVPVYRRNPDQDVDSIGKLGGNHAVSGLKFRILREFLQLGYSVLLSDVDIVYLQNPFGHLFRDSDVESMSDGHNNMTAYGYNDVFDEPSMGWARYAHTMRIWVYNSGFFYIRPTSPSIELLDRVATRLSTQKNVWDQAVFNEELFFPSHPGYDGLHASKRTMDMYLFMNSKVLFKTVRKDANLRKLKPVIVHVNYHPDKLPRMKAVVEFYVNGKQTALDPFPDGSEW >DRNTG_25411.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24577372:24581930:1 gene:DRNTG_25411 transcript:DRNTG_25411.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGAAIPSEVGLRLLLCPFGSNIVIRTACCTVGIGLPVYSTFKAIENRDCSEQHKWLVYWAAYGSFSLAEVFLDKFLCWCPFYYHMKFAFLVWLQLPTTNGSRMIYRRYLRPFLLRHQARLDQLLGATSNEIAKFVVTHQNEIQVLKSVIEKCATTASQIVKDIIQPGQTEGRNLNDDPNNPAPNRLQIQNGSNAPQSTSSQSQDQDPDPDSDN >DRNTG_25411.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24583021:24585310:1 gene:DRNTG_25411 transcript:DRNTG_25411.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRDGPLMRGAASTPSRRSRLLVAIAVGLLVGCVCAYLYPDGLFRSSSSSLHQTIASINSLQDNSVQCESSERVNMLKSELASLSDKTAELKKQVRDLNMKLQLTERKRDQAQEQFLSLGPQGKAGPFGTVKGLRTNPTVLPDESVNPRLAKILEDIAVQKELIVALANSNVKEMLEVWFENIKRVGIRNYLVVALDDSIEQFCKSKDVPVYRRNPDQDVDSIGKLGGNHAVSGLKFRILREFLQLGYSVLLSDVDIVYLQNPFGHLFRDSDVESMSDGHNNMTAYGYNDVFDEPSMGWARYAHTMRIWVYNSGFFYIRPTSPSIELLDRVATRLSTQKNVWDQAVFNEELFFPSHPGYDGLHASKRTMDMYLFMNSKVLFKTVRKDANLRKLKPVIVHVNYHPDKLPRMKAVVEFYVNGKQTALDPFPDGSEW >DRNTG_25411.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24577372:24585310:1 gene:DRNTG_25411 transcript:DRNTG_25411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRDGPLMRGAASTPSRRSRLLVAIAVGLLVGCVCAYLYPDGLFRSSSSSLHQTIASINSLQDNSVQCESSERVNMLKSELASLSDKTAELKKQVRDLNMKLQLTERKRDQAQEQFLSLGPQGKAGPFGTVKGLRTNPTVLPDESVNPRLAKILEDIAVQKELIVALANSNVKEMLEVWFENIKRVGIRNYLVVALDDSIEQFCKSKDVPVYRRNPDQDVDSIGKLGGNHAVSGLKFRILREFLQLGYSVLLSDVDIVYLQNPFGHLFRDSDVESMSDGHNNMTAYGYNDVFDEPSMGWARYAHTMRIWVYNSGFFYIRPTSPSIELLDRVATRLSTQKNVWDQAVFNEELFFPSHPGYDGLHASKRTMDMYLFMNSKVLFKTVRKDANLRKLKPVIVHVNYHPDKLPRMKAVVEFYVNGKQTALDPFPDGSEW >DRNTG_18357.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:17105191:17117546:1 gene:DRNTG_18357 transcript:DRNTG_18357.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGFGPSEIQQKENDPMSLSFSTLKVAP >DRNTG_02323.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:651306:653947:1 gene:DRNTG_02323 transcript:DRNTG_02323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQTSGGWGRIDRDDAVEAMLRHADGGLTTFDMADHYGPAEDLYGIFINRVRRERPPELLEEIKGLTKWVPPPVKMTSGFVRENINISRKRMDVAALDMLQFHWWDYNNSGYLDALKHLTDMKEEGKIKTVALTNFDTERLHTILENGIPVVSNQVQHSIVDMRPQQRMAELCQLTGVKLITYGTLMGGLLSEKFLDANITIPFAGPPLNTPSLQKYKRMVDAWGGWNLFQVLLQILNKIASKHGVSIPTVAVRYILDQPSVAGSMVGVRLGLSEHIQDTNAVFSLTLDEEDVDNIDEVRKKGRNLMELIGDCGDEYRRA >DRNTG_00391.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17895268:17898310:1 gene:DRNTG_00391 transcript:DRNTG_00391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIRFFRNMDNDEVFADAKKVTAQAGVPYAIKNPNSYVRIKFLIASAGVSAPSPVAQSFPNTWLNSSK >DRNTG_25498.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1761096:1761521:-1 gene:DRNTG_25498 transcript:DRNTG_25498.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRSSEEGNDGLEHDRSMLTIGVNIKEGERGRKEAGKNIEEA >DRNTG_25498.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1760428:1761521:-1 gene:DRNTG_25498 transcript:DRNTG_25498.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAGLPKEPTQPKMSQSIRQGPVNPCIKLVSSNNAILNPSFTDTI >DRNTG_24402.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2662548:2664212:-1 gene:DRNTG_24402 transcript:DRNTG_24402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIITIAYVTMIITVFGGNMEIITAKNLSFRMPIVEKLSQTPAHSNISLMANFHAGTQEQLKSGFIGRVEHIHSTYQVTMSIGIHETPVRLSIDTGSDAIWLQCKPCTNCFKKSDPPFDPKESVTFKYTMCENEHCMIFANRLSPACDDHRRCQFSFAFGDNSTVSCNMASDYFQLEGLYANRKAFNHPLYFGCAFLATGLFHEGEDGMLGLGQGPFSIISQLNISKFSHCLQLPGTGETSYILFGR >DRNTG_11490.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19475086:19478886:1 gene:DRNTG_11490 transcript:DRNTG_11490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFMFSTPMIISPVNVEAHLGDVEEMIENSVSREYFVPDREPILEPYEGMEFESEEAARTFYTTYAAQTGFKARVSSFIRSKRDKTIISRQLVCSREGFRSTKDPCDESRTKRPRMITRVGCRAMIMVKKQSSGKWVVSKCEKVHNHVLGTRGNVVMLDYDPYAREDEEMIENPLGRDSILHEGVGNGLEMVVIPPEGEPGLEPQVGMEFESEKDAQSFYKEYARHVGFRARVSSYYRSKRDNSIISRLMVCSKEGFRAKKEEGVEERLQRPRAITRVGCKAMLMVKKRNSGKWVVSKLVKNHNHMLTPRTASDDERSEAEDEDVVEIEKALDVHEGDAVSEPHEGMEFESEETAKIFYFAYSRRVGFNMRVSTYYRSKRDRSIISRLFVCSKEGFYVKKDAGDEGKIKRPREATRVGCRAMLMVKKNNSGKWVVSKFEKEHNHPLGFLRKSRKLRKRNHLGANANTQKDQIEMQKIGEESPISRYNNLFREAIKYAEVGATSEDVYNVAMRALRDAVKKVTAIKKNVAVPKKGMLANADNLEVGDHTDDQVQGASPLIQNSQMRTQPSNPLPKLSQDKLTQRLRKCNTCKQPNDNTSRNCPTKQRVNVVQNAPINISSDEPVGQLLKDVDQ >DRNTG_11490.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19475086:19478886:1 gene:DRNTG_11490 transcript:DRNTG_11490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFMFSTPMIISPVNVEAHLGDVEEMIENSVSREYFVPDREPILEPYEGMEFESEEAARTFYTTYAAQTGFKARVSSFIRSKRDKTIISRQLVCSREGFRSTKDPCDESRTKRPRMITRVGCRAMIMVKKQSSGKWVVSKCEKVHNHVLGTRGNVVMLDYDPYAREDEEMIENPLGRDSILHEGVGNGLEMVVIPPEGEPGLEPQVGMEFESEKDAQSFYKEYARHVGFRARVSSYYRSKRDNSIISRLMVCSKEGFRAKKEEGVEERLQRPRAITRVGCKAMLMVKKRNSGKWVVSKLVKNHNHMLTPRTASDDERSEAEDEDVVEIEKALDVHEGDAVSEPHEGMEFESEETAKIFYFAYSRRVGFNMRVSTYYRSKRDRSIISRLFVCSKEGFYVKKDAGDEGKIKRPREATRVGCRAMLMVKKNNSGKWVVSKFEKEHNHPLGFLRKSRKLRKRNHLGANANTQKDQIEMQKIGEESPISRYNNLFREAIKYAEVGATSEDVYNVAMRALRDAVKKVTAIKKNVAVPKKGMLANADNLEVGDHTDDQVQGASPLIQNSQMRTQPSNPLPKLSQDKLTQRLRKCNTCKQPNDNTSRNCPTKQRVNVVQNAPINISSDEPVGQLLKDVDQ >DRNTG_11490.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19475086:19484881:1 gene:DRNTG_11490 transcript:DRNTG_11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFMFSTPMIISPVNVEAHLGDVEEMIENSVSREYFVPDREPILEPYEGMEFESEEAARTFYTTYAAQTGFKARVSSFIRSKRDKTIISRQLVCSREGFRSTKDPCDESRTKRPRMITRVGCRAMIMVKKQSSGKWVVSKCEKVHNHVLGTRGNVVMLDYDPYAREDEEMIENPLGRDSILHEGVGNGLEMVVIPPEGEPGLEPQVGMEFESEKDAQSFYKEYARHVGFRARVSSYYRSKRDNSIISRLMVCSKEGFRAKKEEGVEERLQRPRAITRVGCKAMLMVKKRNSGKWVVSKLVKNHNHMLTPRTASDDERSEAEDEDVVEIEKALDVHEGDAVSEPHEGMEFESEETAKIFYFAYSRRVGFNMRVSTYYRSKRDRSIISRLFVCSKEGFYVKKDAGDEGKIKRPREATRVGCRAMLMVKKNNSGKWVVSKFEKEHNHPLGFLRKSRKLRKRNHLGANANTQKDQIEMQKIGEESPISRYNNLFREAIKYAEVGATSEDVYNVAMRALRDAVKKVTAIKKNVAVPKKGMLANADNLEVGDHTDDQVQGASPLIQNSQMRTQPSNPLPKLSQDKLTQRLRKCNTCKQPNDNTSRNCPTKQRVNVVQNAPINISSDEPVGQLLKDVDQ >DRNTG_33334.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3438762:3439594:1 gene:DRNTG_33334 transcript:DRNTG_33334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPSRWKGTCQQGEEFNYTNCNKKLIGARWFVKGAEAETKRSINSIKEEEYISARDFIGHGTHTASIVAGFPVRKASYKGLAAGTARGGAPHSRIAAYKACWAVVIDQGCTDADILKAFDEAVNDGVDIISVSLGSNIPLFGYIEDSISIGAFHAVAKGITVICSAGNDGPFSQTISNTAPWIITVAASTIDRAFPTAITLGNNLTLM >DRNTG_18896.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2171592:2171873:1 gene:DRNTG_18896 transcript:DRNTG_18896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHPNLHDLEIPSPNSSSGSSSNNNCSPVLAPTTPPTSPPKPATPRSVNANSYSIIFIQADTSSFKRVVQMLIASAETAAKHDEMAVARCWG >DRNTG_05377.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13499246:13500156:1 gene:DRNTG_05377 transcript:DRNTG_05377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSDNASPRMIASIPNLRSTMPNSIHVPEEKSNNLNTSHSHRVAMSSRDSKWIRLDRSSPTNLLPNGITMSEP >DRNTG_08158.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16167341:16172203:1 gene:DRNTG_08158 transcript:DRNTG_08158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPKDPKTKNKGKGQAIETSNNRPTRNSAYCPPLKVNFHNSIYHGTCHGPPDHTNDHHAALVSTFYGYSRQSTINK >DRNTG_08158.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16167341:16172203:1 gene:DRNTG_08158 transcript:DRNTG_08158.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPKDPKTKNKGKGQAIETSNNRPTRNSAYCPPLKVNFHNSIYHGTCHGPPDHTNDHHAALVSTFYGYSRQSTINK >DRNTG_13697.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5314024:5315053:1 gene:DRNTG_13697 transcript:DRNTG_13697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQETQAKIPSCDSYFEAIQSRKKLPFPLQQVLTSAFSQIPVSSFPDVPSGKVVEIEEDTSIIDAVRVLSENKIMAAPVRNSGARDDLDWKQRYLGIIDYSAVILWVMENAELAAMALSAGTATAAGVGLGAVGAMGAVALGATGPAAVAGLTAAAVTAAMAGGFAAEKGMGRDAPSAVDHLGEDFYKILQNEEPFKSTTVSKF >DRNTG_13697.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5314024:5314936:1 gene:DRNTG_13697 transcript:DRNTG_13697.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQETQAKIPSCDSYFEAIQSRKKLPFPLQQVLTSAFSQIPVSSFPDVPSGKVVEIEEDTSIIDAVRVLSENKIMAAPVRNSGARDDLDWKQRYLGIIDYSAVILWVMENAELAAMALSAGTATAAGVGLGAVGAMGAVALGATGPAAVAGLTAAAVTAAMAGGFAAEKGMGRDAPSAVDHLGEDFYKILQNEEPFKSTTVSKF >DRNTG_12246.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24967354:24968230:-1 gene:DRNTG_12246 transcript:DRNTG_12246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSLSRSSGSSWTTKQNKMFERALAVFDKDTPDRWQNVARAVEGKSAEEVKRHYELLIEDLNHIESGQVPFPTYKSPASSRVTNEEQRLRYMKLQ >DRNTG_02760.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11658049:11660025:1 gene:DRNTG_02760 transcript:DRNTG_02760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYDKATELVVVAFRGTDPFDAVQWSTDIDFSWYEMPNVGKVHGGFMKALGLQKATGWPKEININNKNPAFAYYIIREKLRGILSDKNKAKFIVTGHSLGGALAILFPAILVFHEEKEMMERLEGVYTFGQPRVGDEKLGKFMEENLDRKKTRYFRFVYCNDLVPRVPYDDSTLLFKHFGTCIYYNSFYKGKVVDEEPNKNYFSLWTALPKCMNSSWEFIRSFFIGFVKGPEYKEEWPMRFLRFFGIIVPGLPPHSPRDYVNSIRLGIPRIPSREEQMNKLD >DRNTG_02760.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11650581:11660025:1 gene:DRNTG_02760 transcript:DRNTG_02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTDFSDYLILRADKAGILDLLNVLYSSKVSENESVDCPEKKEIAEMRRRWVIFISLFLQKLLLLFRKPLASFGKGFEFFLNLLIQNGGMISLLSNLLRGNVIIPDNRSRTYRSAIGLLDERIDLDKNIKPNNPNYYASLSIMAAKLSYENESAIKTTVENNWNMEFLGFYNFWNDFQQQFSTQAYMFYDKATELVVVAFRGTDPFDAVQWSTDIDFSWYEMPNVGKVHGGFMKALGLQKATGWPKEININNKNPAFAYYIIREKLRGILSDKNKAKFIVTGHSLGGALAILFPAILVFHEEKEMMERLEGVYTFGQPRVGDEKLGKFMEENLDRKKTRYFRFVYCNDLVPRVPYDDSTLLFKHFGTCIYYNSFYKGKVVDEEPNKNYFSLWTALPKCMNSSWEFIRSFFIGFVKGPEYKEEWPMRFLRFFGIIVPGLPPHSPRDYVNSIRLGIPRIPSREEQMNKLD >DRNTG_02760.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11658049:11658730:1 gene:DRNTG_02760 transcript:DRNTG_02760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFYDKATELVVVAFRGTDPFDAVQWSTDIDFSWYEMPNVGKVHGGFMKALGLQKATGWPKEININNKNPAFAYYIIREKLRGILSDKNKAKFIVTGHSLGGALAILFPAILVFHEEKEMMERLEGVYTFGQPRVGDEKLGKFMEENLDRKKTRYFRFVYCNDLVPRVPYDDSTLLFKHFGTCIYYNSFYKGKVRFLN >DRNTG_28582.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23019062:23019892:1 gene:DRNTG_28582 transcript:DRNTG_28582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLWRAAMGSGAAEEAADGVEFWVDPERSGWLTKQGEYIKTWRRRWFVLKQGKLFWFKDSYVTRSSVPRGVIPVSTCLTVKGAEDVLNRQFAFELSTRQETMYFIADSEKEKEEWINSIGRSIVQHSRSVTHEEVLDYDSKNPTVLTSTPDPQR >DRNTG_33972.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2337660:2341888:1 gene:DRNTG_33972 transcript:DRNTG_33972.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase small subunit, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G48300) UniProtKB/Swiss-Prot;Acc:P55228] MAMASSIGVSRFSTSKTLLQSSSSPNRSSKAQTLLFRSSASSLSSSSSSCFAGDKPIAAVVPRPQKTVVQERTPLVVSPKAVSDSKNSQTCLDPDASRSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYGSNMGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVLEFLVLAGDHLYRMDYERFIQAHRETNADITVAALPMDEKRATAFGLMKIDDEGRIIEFAEKPKGDLLKTMKVDTTILGLDDERAKEMPFIASMGIYVVSKNAMMELLREKFPGANDFGSEVIPGATNIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRYLPPSKMLDADVTDSVIGEGCVIKNCKIHHSVIGLRSCISEGAIIEDTLLMGADYYETETDKRSLAARGSVPIGIGKDSHIKRSIIDKNARIGDNVKIINRDDVQEAARETDGYFIKSGIVTIIKDALIPSGTVI >DRNTG_14237.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:926277:927308:1 gene:DRNTG_14237 transcript:DRNTG_14237.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGGTFSGFGNGSQVDNRVMQSFQNSFVQVQSILDQNRLLINEINQNHESRIPDNLNRNVGLIRELNNNIRRVVNLYADLSSSFTKSVEASSEGESIATRRSDGKHGQKRIRPE >DRNTG_14237.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:926277:927961:1 gene:DRNTG_14237 transcript:DRNTG_14237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGGTFSGFGNGSQVDNRVMQSFQNSFVQVQSILDQNRLLINEINQNHESRIPDNLNRNVGLIRELNNNIRRVVNLYADLSSSFTKSVEASSEGESIATRRSDGKHGQKRIRPE >DRNTG_14237.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:926277:927647:1 gene:DRNTG_14237 transcript:DRNTG_14237.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGGTFSGFGNGSQVDNRVMQSFQNSFVQVQSILDQNRLLINEINQNHESRIPDNLNRNVGLIRELNNNIRRVVNLYADLSSSFTKSVEASSEGESIATRRSDGKHGQKRIRPE >DRNTG_25277.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31533716:31541386:-1 gene:DRNTG_25277 transcript:DRNTG_25277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSTNPFGQSSSSPFGSSVFGQTSSPNNNPFAPKPFGSPNPFGSQTGSSLFGGTSTGVFGQPSTPAFGASSSPAFGSSTPAFGASSTPAFGSSSSSFGGSSLFGQKPPFGGFGSTPGQTNPFGGGFQQTQPAFGSNPFGSTPTFGASSQPAFGATSTLTFGSSTTPAFGASSTPAFGATNAPAFGATSAPSFGSSTTPAFGSAASPLFGSTGTAFGASSTPAFGASSTTAFGAPSTSLFGSSSTPAFGAASTPTFGSSSAPAFGASSTPSFSFGSTPSFGQSTSTFGSTPFGSTPSPFGAQSSPFGAQATTPTFGSPGFGQQAFGAQRGGTRFASFTPTPEVDGGTGTQPAGKLESISAMPVYKDKSHEELRWEDYQLGDKGGPNAAGQTAPGVNFSTTQPNPFGSTSTFGQTASNPFSSSSASNPFAPKTPSFGSSGFGSSSTIFNPPFSAASSSPFGSTTTSTSLFGGSSVPGFGSSTSPSILGGTTTPAFGSSPSIFGTPASGSSSSFGSSLNFGNTQSSGLFQSTPSLAQTPSPFGQPTAGFQQSTPAFGSSLLSTPSTGFGGILFGSSTPSLLPMSNPSIFGQTTPSLSSAFQPVAPASSSTFSFGNFAQPQPAPSSGFGSMSNMFSQGAFGQSGSATHSSMVMQPAPVTNPFGTLPAMPQMSIGRAGSSPSVQYGISTMPVAEKPLQTRLSSLVVPRHLSQRRIRLPARKYHPKNDGPKVPFFMDDEETPSTPKADALFIPRENPRALVIRPIEQWPPRTISERENVVKDSSAPVNENGSSSGMPSAPVFNGSRNDKHVENSTENTYMGNHDKVPSTQPKPSLKSNGVHENHAQKDSSYITLSGHRAGEAAIVYEHGADIEALMPKLRHADYYTEPRIQELAAKERAEPGFCRHVKDFVVGRHGYGSIKFRWGDRCEEARS >DRNTG_09163.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7096201:7097059:-1 gene:DRNTG_09163 transcript:DRNTG_09163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKHNCSAAQLSLAWVLHQGDDVAPIPGTTKIKNLDSNIGALQVKLTKEDMKEISDLVSEEEAAGPRSYHGNSEKFNWKHADTPLPKSA >DRNTG_17769.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000910.1:13481:13834:-1 gene:DRNTG_17769 transcript:DRNTG_17769.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLKKAFPHEDVEANFTNELLDIGLRLMDKCGGLSLALVVLGGLLSIKDKTPVVWRRVLETMDWAAEGRQCQEI >DRNTG_19842.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001073.1:37144:41199:1 gene:DRNTG_19842 transcript:DRNTG_19842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGSNEDPLEKEMAKGWGRLSLGSRRREEQMGGSVEVMGGVSLGQ >DRNTG_00731.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8333293:8339500:1 gene:DRNTG_00731 transcript:DRNTG_00731.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGASQPTRTSTPARGSMRPQGGPQGPGTCHKRPYGPYTARMDIRMILSYFPMKNQFPHQFDELVCQRHQNCSSLSHE >DRNTG_30746.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31753465:31755851:1 gene:DRNTG_30746 transcript:DRNTG_30746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALRDVGVTEVVLAINYQPEVMLNFLKDFEAKLGIKITCSQETEPLGTAGPLALARDKLIDGSGEPFFVLNSDVISEYPFDELIKFHKSHGGEATIMVTKVDEPSKYGVVVMEEETGKVDRFVEKPKIFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPQIAADKELFAMVLPGFWMDVGQPKDYITGLRLYLNSLRKRSPSKLASGAHIVGNVLVHETAVIGEGCLIGPDVAIGPGCVVESGVRLSRCSVMRGARVKKHSCISSSIIGWHSTVGQWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >DRNTG_20499.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16369715:16374095:-1 gene:DRNTG_20499 transcript:DRNTG_20499.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSIDVSDLAENLFPDSPPDRSTPLPPPVEDTILSVPGAILHLIDRQRSVELATGDLSILRLRQGDSEVAAFASVGSVQWPLARDSPSVKLDSSHYFFSLPLPADKDDPESTILNYGLTFASKGQEKLLGELDRLLETYTSFSMQEVKTPTKGGEVLDGSVAREVAPAEMVAGPKKEMMEERSAAYWTTIAPNVEDYSGSMAKVIAKGSGMVIKGILWCGDVTVDRLRWGDEFLKKRMGPNDKPSEISKDAMKRIKRVKKVTRMSEKVANGVLSGVVKVSGYFTGSIVNSKAGKKFFSLLPGEVVLASLDGFGKICDAVEVAGKNVLSTSSVVTTGLVSHRYLLLQLIIFRCFFFI >DRNTG_20499.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16369715:16374095:-1 gene:DRNTG_20499 transcript:DRNTG_20499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSIDVSDLAENLFPDSPPDRSTPLPPPVEDTILSVPGAILHLIDRQRSVELATGDLSILRLRQGDSEVAAFASVGSVQWPLARDSPSVKLDSSHYFFSLPLPADKDDPESTILNYGLTFASKGQEKLLGELDRLLETYTSFSMQEVKTPTKGGEVLDGSVAREVAPAEMVAGPKKEMMEERSAAYWTTIAPNVEDYSGSMAKVIAKGSGMVIKGILWCGDVTVDRLRWGDEFLKKRMGPNDKPSEISKDAMKRIKRVKKVTRMSEKVANGVLSGVVKVSGYFTGSIVNSKAGKKFFSLLPGEVVLASLDGFGKICDAVEVAGKNVLSTSSVVTTGLVSHRYGEQAGAMTKESLDAGGHAIGTAWAVFKIRKALNPKSAMKPTTLATSAVKAAAADLRAKQSK >DRNTG_14927.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27166943:27168725:1 gene:DRNTG_14927 transcript:DRNTG_14927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWMKPSVDMEKLSYEIFSILETKFLFGCDDAKLIPISGTSPETPSKPSGGSGKVRILSIDGGGASDGLFAAVSLARLETFLCRLSGDPSARIADFFDLAAGSGPGGVLTALLFSRGSDGRPLFSADEALEFLAENQRKISNTSKKGIFGKRSGIFGRIFEDRTVREALKPMLIPCHDLETGAGMMFSRADAVEMDGYDFFMRDVCAATCAGDQAIGMRSVDGRSKISAVGAGVENPTAAAITHVLNNKQEFPRANGVEDLLVLSLGGGRRASPPSKAKLAKIAADGAADM >DRNTG_02288.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:828783:829900:-1 gene:DRNTG_02288 transcript:DRNTG_02288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVKRSFVLFLLVLFLSSCISVWWKKER >DRNTG_19713.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13169075:13169385:1 gene:DRNTG_19713 transcript:DRNTG_19713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETCNPRRLPWLIKSCIPDPRREAAKLPSGTRPPPTVTSTAASPSISSLPDDLLLEILSRVPQPSIPSLSLVCRRFALLLDSPS >DRNTG_21748.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13449077:13451560:-1 gene:DRNTG_21748 transcript:DRNTG_21748.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g20230 [Source:Projected from Arabidopsis thaliana (AT1G20230) UniProtKB/Swiss-Prot;Acc:Q9LNU6] MSLLPTPSTTITTTLAYTLLRHPFPTLLETQQSHAHLLKTGLLVLSLHTSTKLLSLYSSSFHLFSDAISLLSSLPSPDSFSFSTLISSLSHSQLFSSSLLLFRRMLSSGLPPDPFVLPTAVKASSSLSSLPLGRQLHALSISSGHSSHPFVHSSLIHMYLTCNRIPDARLLFDAMPHRNLVSWSSMISGYAKLGRVHDARHMFDQMRHSGLEPNSITWNGMITGFNHSSCFLDSLFVFSDMHSQGFGPDGTSFSSVLSAAGDVENVCFGKQIHCYVIKAGFVIDECVVSAMIDMYGKCGYAKEMVQVLDEFDGMDVASCNAVVAGLSRNGLVEDALREFRRFEGMGIKLNVVSWTSIIACCSQNGKDMEALELFREMQMAGVEANSVTIPCLLPACANIAALMHGKSAHCFTLRRAISEDVYVASALVDMYAKCGRINNARSIFDVMPSRNLVSWNTMLGGYSMHGKAKDAMELFHLMQRSGQKPDFISFTCVLAACSQAGLVEMGWYYFNKMSREHGIGARMEHYACMVSLLGRAGKLEEAYELIKEMAFEPDACVWGALLSSSRVHGNVMLGEIAAEKLFELEPGNAGNYVLLSNIYANKGIWDEVDRVRDTMKGMGLKKNPGCSWIEIKNKVHMLLAGDKTHPQLSKILEKLEQLSAEMKKLGCNPSTDFVLHNVEEQDKEQMLCGHSEKLAMALGLINTSPRTPLRVIKNLRICGDCHAVMKFVSKFEGRELFVRDTNRFHHFRDGECSCRDYCKDSRSDLFSKAKPWHLANNSQESA >DRNTG_12857.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18515235:18518165:1 gene:DRNTG_12857 transcript:DRNTG_12857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRQHGMVITHIVISPPFNSNPKSRILNKLEAPVIAGVYAKVSSKPTNHSKFTGRCSKTMCSARHLRPACKSKAKAKGHRKFRSLDFSGVLDYHDHDHHDDNDDIDDDDGMIGGEGDHKGYQVYDHVDDSITPLSIDDTRELFDCRIALGDDVSNRDLIMQIVMGLGLEYNPITSVLITRQPLPTFEECRYLLLMEESKLQKQQSPSSVSQQVILAQTRSLPNGGGRIGNRNGRGGRVGYRGGRGNFKPFRPRTNNTSPSSSTDILGPQPPASNTIGAQHF >DRNTG_15226.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23341978:23346597:1 gene:DRNTG_15226 transcript:DRNTG_15226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGDCQVLSSLVGNSATGNPSVVSPDSFFSSSIPSGFMSSLPFHAFPPLVPKEEGMMGRREEEMESGSGSGHVDGLSCGEEQDTDTQQQQQQTQPSGSKKKRYHRHTARQIQEMEALFKECPHPDDKQRLKLSQDLGLKPRQVKFWFQNRRTQLKAQQDRADNVMLRAENDSLKNENFRLQAAIRNVICPSCGGPAMLGEMSFDEQHLRLENARLKEELERLSCIASRYGNRGMQAMGPAPPLLLPSLDLDMGIYSRNFHEPVAASAISSCSDIIPGPSMPDNLQPFTGGMIIMDQDKAMALDLAMTARDHLYQMCQTNEPLWVRHSDGLEVMDVEEHAKMLPWPVDVKHEQTCDFRTEATRGSALVIMNSITLVDAFLDAGKWMELFPSIVAKAKTIQIITPGISGTHGNGSLHLMYAELQVLSPLVPTRETYFLRYCQHNAEEGTWTIVDFPADCFQNNLQLPIPHYRRRPSGCVIQDMPNGYSRVMWVEHGEVEDKPVHQIFQQFVNSGAAFGSTQWLSVLQRQCERLASLMARNISDLGVIPSPEARKNMMKLSQRMIRTFCGNISSSGAQSWTALSDSSDDTIRVTTRKNTEPGQPNGVILSAVSTTWLPFSHDQVFDLLTDEQKRSQLDVLSNGNTLHEVAHIANGSHPRNCISLLRINASSNSSQNVELLLQESSTHQAGGSVVVYATVDVDAVQVAMSGEDPSYIPLLPMGFVMLPAVPPTSNSAMAGNTGCLLTVGLQVLASAVPSAKLNLSSVTAINNHLCNAVHQITSALGGAGEAQAEPSATLPEN >DRNTG_22837.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4999560:5009669:1 gene:DRNTG_22837 transcript:DRNTG_22837.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPLERTKVVLRHLPPAISQSALMDQIDARFAGRYTWVSFRPGKASHKNTRYSQAYINFNRPEDVVEFAEYFDGHTFVNEKGAQFKAIVEYAPSQRVPKSWPKKDGREGTIFKDPEYLEFLERLAKPAENLPSAEIQLERREAERAGGAKEAVIVTPLMDFVRQKRASKSSSQRASVNGKPSRRVTGASVHCSTPSKRGSEKRRASASMYVIRDGTKATSGKDKSAYILMPRREGQQLPERIGSTTLASGTGVTEDENANGSSGTSSITSGSVESGKRIMLLKAKEQDTSHVSGGLTQQQNATSSRNSPGSSSSKHSQRHDGSGKVIRSILSSKEGRQSQSFISASMSEQAPNSEDRRPPRPPNNRLTSKNSNFTTSHAFAVDNDGKRLSEDRVAGGDLHNSVFTNEKHDKRMKNKDRPDRGVWRRSDISHGSDGMSVSSELLADSLEGISISQQATVVRVGDGDKGTQIVRGGRGSSDMTLGSGEMKSEPNLIRIMETKSTGTRLSPVENGSQRHVGRRGPTHGSKESDGVQNLADGKPSKRSPSGYGYHERQVWVQKSGSAS >DRNTG_22837.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4999560:5009669:1 gene:DRNTG_22837 transcript:DRNTG_22837.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPLERTKVVLRHLPPAISQSALMDQIDARFAGRYTWVSFRPGKASHKNTRYSQAYINFNRPEDVVEFAEYFDGHTFVNEKGAQFKAIVEYAPSQRVPKSWPKKDGREGTIFKDPEYLEFLERLAKPAENLPSAEIQLERREAERAGGAKEAVIVTPLMDFVRQKRASKSSSQRASVNGKPSRRVTGASVHCSTPSKRGSEKRRASASMYVIRDGTKATSGKDKSAYILMPRREGQQLPERIGSTTLASGTGVTEDENANGSSGTSSITSGSVESGKRIMLLKAKEQDTSHVSGGLTQQQNATSSRNSPGSSSSKHSQRHDGSGKVIRSILSSKEGRQSQSFISASMSEQAPNSEDRRPPRPPNNRLTSKNSNFTTSHAFAVDNDGKRLSEDRVAGGDLHNSVFTNEKHDKRMKNKDRPDRGVWRRSDISHGSDGMSVSSELLADSLEGISISQQATVVRVGDGDKGTQIVRGGRGSSDMTLGSGEMKSEPNLIRIMETKSTGTRLSPVENGSQRHVGRRGPTHGSKESDGVQNLADGKPSKRSPSGYGYHERQVWVQKSGSAS >DRNTG_15967.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23467151:23480813:1 gene:DRNTG_15967 transcript:DRNTG_15967.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKSVEDFLESSAGAHFSGLLLDESGLGKSELDQPTTSNAESFHRQPFIIGVAGGASSGKTSVCNMIIEQLHDQRVVLVNQDSFYYDLTEEELTRVQDYNFDHPDAFDTEKLLTCMENLKLGEAVDIPNYDLKTYKKILPARKVNPSHVIILEGILIFHDPRVRELMNMKIFVDTDADVRLARRIRLDTADKGRDIGTVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIHTKLGQHDLCKIYSNLYVIQTTFQIRGMHTIIRDAETTTHDFIFYADRLIRLVVEHGLGHLPFKEKQVTTPTGSVYIGVDFCKRLCGISVIRSGESMENALRACCKGIKIGKILIHREGDNVNISKFAKRHF >DRNTG_15967.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23467151:23480813:1 gene:DRNTG_15967 transcript:DRNTG_15967.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKSVEDFLESSAGAHFSGLLLDESGLGKSELDQPTTSNAESFHRQPFIIGVAGGASSGKTSVCNMIIEQLHDQRVVLVNQDSFYYDLTEEELTRVQDYNFDHPDAFDTEKLLTCMENLKLGEAVDIPNYDLKTYKKILPARKVNPSHVIILEGILIFHDPRVRELMNMKIFVDTDADVRLARRIRLDTADKGRDIGTVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIHTKLGQHDLCKIYSNLYVIQTTFQIRGMHTIIRDAETTTHDFIFYADRLIRLVVEHGLGHLPFKEKQVTTPTGSVYIGVDFCKRLCGISVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYQNLPKDISDRHVLLLDPILGTGNSAVQAINLLLQKGVQESNIIFLNLISAPQGVHTVCKKFPRIKIVTSEIEFGLNEDFRVIPGMGEFGDRYFGTDDC >DRNTG_15967.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23467151:23480813:1 gene:DRNTG_15967 transcript:DRNTG_15967.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKSVEDFLESSAGAHFSGLLLDESGLGKSELDQPTTSNAESFHRQPFIIGVAGGASSGKTSVCNMIIEQLHDQRVVLVNQDSFYYDLTEEELTRVQDYNFDHPDAFDTEKLLTCMENLKLGEAVDIPNYDLKTYKKILPARKVNPSHVIILEGILIFHDPRVRELMNMKIFVDTDADVRLARRIRLDTADKGRDIGTVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIHTKLGQHDLCKIYSNLYVIQTTFQIRGMHTIIRDAETTTHDFIFYADRLIRLVVEHGLGHLPFKEKQVTTPTGSVYIGVDFCKRLCGISVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYQNLPKDISDRHVLLLDPILGTGNSAVQAINLLLQKGVQESNIIFLNLISAPQGVHTVCKKFPRIKIVTSEIEFGLNEDFRVIPGMGEFGDRYFGTDDC >DRNTG_15967.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23467151:23480813:1 gene:DRNTG_15967 transcript:DRNTG_15967.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKSVEDFLESSAGAHFSGLLLDESGLGKSELDQPTTSNAESFHRQPFIIGVAGGASSGKTSVCNMIIEQLHDQRVVLVNQDSFYYDLTEEELTRVQDYNFDHPDAFDTEKLLTCMENLKLGEAVDIPNYDLKTYKKILPARKVNPSHVIILEGILIFHDPRVRELMNMKIFVDTDADVRLARRIRLDTADKGRDIGTVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIHTKLGQHDLCKIYSNLYVIQTTFQIRGMHTIIRDAETTTHDFIFYADRLIRLVVEHGLGHLPFKEKQVTTPTGSVYIGVDFCKRLCGISVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYQNLPKDISDRHVLLLDPILGTGNSAVQAINLLLQKGVQESNIIFLNLISAPQGVHTVCKKFPRIKIVTSEIEFGLNEDFRVIPGMGEFGDRYFGTDDC >DRNTG_15967.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23467151:23480813:1 gene:DRNTG_15967 transcript:DRNTG_15967.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKSVEDFLESSAGAHFSGLLLDESGLGKSELDQPTTSNAESFHRQPFIIGVAGGASSGKTSVCNMIIEQLHDQRVVLVNQDSFYYDLTEEELTRVQDYNFDHPDAFDTEKLLTCMENLKLGEAVDIPNYDLKTYKKILPARKVNPSHVIILEGILIFHDPRVRELMNMKIFVDTDADVRLARRIRLDTADKGRDIGTVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIHTKLGQHDLCKIYSNLYVIQTTFQIRGMHTIIRDAETTTHDFIFYADRLIRLVVEHGLGHLPFKEKQVTTPTGSVYIGVDFCKRLCGISVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYQNLPKDISDRHVLLLDPILGTGNSAVQAINLLLQKGVQESNIIFLNLISAPQGVHTVCKKFPRIKIVTSEIEFGLNEDFRVIPGMGEFGDRYFGTDDC >DRNTG_06555.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22668086:22671757:-1 gene:DRNTG_06555 transcript:DRNTG_06555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALLCESEMKLSDDIIEMILDKTFSEADINHDEKIDKLEWQELVSRSPSLLKIMTIPCLRELTTSFPSFVFNSKVDDDDAT >DRNTG_05598.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:299466:299891:1 gene:DRNTG_05598 transcript:DRNTG_05598.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPKHRRLINLANKISSSSGQSDVQTSEIEPD >DRNTG_05598.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:299466:300211:1 gene:DRNTG_05598 transcript:DRNTG_05598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPKHRRLINLANKISSSSGQSDVQTSEIEPDLM >DRNTG_00300.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2067553:2069262:-1 gene:DRNTG_00300 transcript:DRNTG_00300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSAEMEIISELENQELMKPLCNVSEPRSEICDMNGDIRIHGNSSSVLFIRKALKRRELYRIRPYARKGDEAAMSSVKQVTVRSSEAAPQCDINHSVPGIIFSVNGYTGNLFHDFTDVLIPLFVTAREFDKEVQFLVTDFNPWWIRKFQLVINQLSKYNVIDMDKDKTVHCFKHVIVGLNCHKELSIDPSKVPKGYSMVDFTRFIRNSYTLNRETPIRIGGDEQGKKPKLLIIARKRTRIFMNLNEIVQMAEELNYNVVIGEASATSNLTQFAEIVNSCDVLMGVHGAGLTNLLFLPTNAVFIQIVPWGGLQWLAMYDFGNPAKDTKLKYLQYEINPEESSLIDEYPRDHLIFKNPISFHKQGWNSIQDTFMNKQNIKLDLRRFRAVLLEALKQLHQ >DRNTG_00300.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2067553:2069262:-1 gene:DRNTG_00300 transcript:DRNTG_00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRSIMGHKKKLVMSFRYTLLVGCLLATMTAITLFVPNSVPFNALSFRVMIHSAEMEIISELENQELMKPLCNVSEPRSEICDMNGDIRIHGNSSSVLFIRKALKRRELYRIRPYARKGDEAAMSSVKQVTVRSSEAAPQCDINHSVPGIIFSVNGYTGNLFHDFTDVLIPLFVTAREFDKEVQFLVTDFNPWWIRKFQLVINQLSKYNVIDMDKDKTVHCFKHVIVGLNCHKELSIDPSKVPKGYSMVDFTRFIRNSYTLNRETPIRIGGDEQGKKPKLLIIARKRTRIFMNLNEIVQMAEELNYNVVIGEASATSNLTQFAEIVNSCDVLMGVHGAGLTNLLFLPTNAVFIQIVPWGGLQWLAMYDFGNPAKDTKLKYLQYEINPEESSLIDEYPRDHLIFKNPISFHKQGWNSIQDTFMNKQNIKLDLRRFRAVLLEALKQLHQ >DRNTG_11020.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:768700:772495:1 gene:DRNTG_11020 transcript:DRNTG_11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNPPMTFKSFLPIVAQSEFSFLAAAEAAPVMKVLSLSNVEAVGLMVVGPEGDFTEEEVNLMKGAGAKPVGLGPCRLRVETATIALLSTLMLWSDAHNKHATEGNLDLGKCTR >DRNTG_11020.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:769005:772495:1 gene:DRNTG_11020 transcript:DRNTG_11020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNPPMTFKSFLPIVAQSEFSFLAAAEAAPVMKVLSLSNVEAVGLMVVGPEGDFTEEEVNLMKGAGAKPVGLGPCRLRVETATIALLSTLMLWSDAHNKHATEGNLDLGKCTR >DRNTG_01387.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28232675:28233259:1 gene:DRNTG_01387 transcript:DRNTG_01387.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARNTHQLSSSQSPLFDAEPSLKDADRLLPIANVSRLMKRSLPTNAKISKEAKEAVQECVSEFISFITGEASDKCRREKRKTVNGEDLLWAMTTLGFENYVYPLELYLNKYKDCVHEGEVVEEKKKSFIGKQGEASASSERSFLCFNAGVDAPAPVKFMEFGVERTVEGGHAANGSGSGGGDAWSLPSHSHGV >DRNTG_14166.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10044710:10047185:-1 gene:DRNTG_14166 transcript:DRNTG_14166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFSPSRARDHFEKFKSTVGGLHVDLAEVRTIKAANDTKDLESLLLGFRSGSKAFHIEFLWRRTVGRDFVTIDLARCALELTREPLENSRLLLKTIDMENKWVFSMDCLHLLSISLL >DRNTG_28054.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16163066:16163943:-1 gene:DRNTG_28054 transcript:DRNTG_28054.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF003 [Source:Projected from Arabidopsis thaliana (AT5G25190) UniProtKB/Swiss-Prot;Acc:Q94AW5] MARPQQRFRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGPKARTNFTYNPNSPSSSPTKFLSATLTAKLHRCHLQSLQIAQTSSKELPGKSAVPPVRNIGEKSVSVIDNTVRKEIEDDKEIEFMSCLEDHHIDQMIEELLDSGFSMEFCSNTSP >DRNTG_32940.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30953062:30955504:1 gene:DRNTG_32940 transcript:DRNTG_32940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQTALPPELADNVIRLYRECLRRAKFIGHQQHNTALVVDMVRQQFKKHMHETDPEKIQKLKDDAARGLINHILYESEKMTGRKFSNPH >DRNTG_19454.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000998.1:273841:274566:1 gene:DRNTG_19454 transcript:DRNTG_19454.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYFHAFIIWGPVSMWLEAILDIGYESSKPNKEFFWYHINGQLSSAITAEIGDIGFLTKRKSYSYEGDILNYLYGLDLSENQFDGKIPEEVGEMTLLRALNFSSNHLTGPIPATLSRLTNIESLDLSHNMLIGRIPLQLIELHFLQVFSVAYNNLSGPTLGMVSQFGTFDERSYEGNPYLCGPPLVKKCTFMVPSPELSQVANDHDDEEEVMDHIIFFASFAFGFIIGFWGWMALLYFRK >DRNTG_21342.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20459025:20468626:-1 gene:DRNTG_21342 transcript:DRNTG_21342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVMLILFLSSVVVVVHGQALVPAIITFGDSVVDVGNNDYLHTIFKADYPPYGRDFVNHEPTGRFCNGKLATDITAETLGFTSYPPAYLSPQASGKNLLIGANFASAASGYYDKTAYFYHAIPLTQQVEYFKEYQSKLAQVAGSKKASSIISEALYLVSAGSSDFVQNYYINPYLNKVYSPDEFSSFLVSIFSTFIQTIYGLGARKIGVTSLPPLGCLPAAITLFGYGSNECVSRLNYDAQGFNKKLNAAATSLMKKYPKLKIVIFDIYQPLLDLATKPSDHGFFEARRGCCGTGTVETTVVLCNPKSVGTCPNATGYVFWDSVHPTESANQVLADALILQGIDLIS >DRNTG_29337.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29773471:29774954:1 gene:DRNTG_29337 transcript:DRNTG_29337.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHKRGNIPSMIRHKNGGVEEMPHDAVELGIIRKAPILPVMAENEKSPKHGTLSDPIDRPESPAIEIRRSRRKAKDDEDVPDNIAHRTPSVFNPAVLRYGRTDLSQPKRRRRTRIEPLLSTHLLLLHPNIPTTILLNSRHSQRSRNSKSPVPEQCATSNPE >DRNTG_21046.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18308418:18309906:1 gene:DRNTG_21046 transcript:DRNTG_21046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLEEDPQLLLDYANAVQQQEEVVYCFLQYTTSYGEKDKDVSVAFGFVETGTVMKKNEHEIDHQRTISMIYRVCVYADALNAGSIDESLQIIRERNPRAFIYSITISRPMLFVSQ >DRNTG_11163.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:2448601:2449442:1 gene:DRNTG_11163 transcript:DRNTG_11163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKLSHGNPNIIGSGLPITDTSPLRGPGGELTQSQHLTPHITAKHSRNSKRTHSKARFTDGFLDAMTEEELIKVVDEAPIKPQRPPLQTLAVSPLKRRT >DRNTG_29462.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10198272:10206293:1 gene:DRNTG_29462 transcript:DRNTG_29462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYVQPPKSNFQQMLRLTRDELNGSLPIRETLSKKACLFDHRTLEINEDDYQCVCAIPKRKVPDYFMSFIKGKSTK >DRNTG_22249.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1185249:1190798:1 gene:DRNTG_22249 transcript:DRNTG_22249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHFSSFLLILLVFALFHGLTAGSEIEVGQKVRTAPHRNSGSAVIDGSGKEASFDGSKDSFQGFNEGRIANGKVSVSAVLWLTLAMAAATGLGAVPFFFVELEPQWAGVCNGMAAGVMLAASFDLIQEGQTYGSGNWVVLGILTGGIFIILCKKFLEQYGDISMLDIKGADASKVVLVIGIMTLHSFGEGSGVGVSFAGPKGLSQGLLVTIAIAVHNIPEGLAVSMVLSSRGVSPQNAMLWSVITSLPQPIVAVPAFLCAGTFHKFLPFCTGFAAGCMIWMVIAEVLPDAFKEATPSQVASAGTVAVAFMETLSTVFENLGHGYGSDDASGFFVSLLFGLGPLFGGIILVVFALAFNFQHSFLTGVASGISFLLAAWRPLQLVSSSKMGFFTLVGLLTAGSALYHFTTSNILKLSHRKKASLNALAHAPDLPSALTLQSFFACGAIALHAMAEGLALGVAAPKAYGLGRHMVLPVSLHGLPRGFAVASCILGATDSWRAALAAATLTGFAGPVSAIGAILTGIDYSGLDYWLVLACGTLIPSFGNVFRRSLKLQMRKSLYGLLMGLVLATVCLTSTRLVCLHTPYCNSAPEAVK >DRNTG_01332.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:120944:124808:-1 gene:DRNTG_01332 transcript:DRNTG_01332.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NPGR1 [Source:Projected from Arabidopsis thaliana (AT1G27460) UniProtKB/Swiss-Prot;Acc:Q9CB03] MAMLCACSGEQFQLEEAPQSPESLATRDFSVSGLSTRTGGDWESRFDDSQVDDVESTLKETLSLNYEEARALLGRLEYQRGNFDAALQVFQGIDIRGLRAKMAKAIAERTRPRRARSKGQHLQTNLMSMHSVTLILEAMLLKSKSLEGLGRARDAAIECRNILDIVESAWPHGLPEGIGHDSKLKEMFHKALELLPKLWKQAGFLEEAIAAYRCALVTPWNLDPQRCAILQKDLAATLLYGGVEVTLPPQLQLLWGSAAPTSNVEEAILLLFILMKKVAFQEISWDPEIMDHLIYALAVSEQYEILASHIEQLLPGIYSRAERWYILALCYAAAGLDDVAINILRKTLGKSEKKHKPHLSTVLLGAKLCNKNPIHAYEGIHFGMKAFQLTKNEENHLMGVASHLLGVSYGLCARSSISDSQRLFFQKESLKLLQLALTIERDNPEVLYSLGMENAIQRNIHAAVENAAEYLDKITGSSTDGWKLLCLVVSAQQNLKDSEAIVDLAVQETGEVDGMALLRLKALLQAAQQQPKHSIETYRTLLAMIQAQREVGSHISNNEVKPERKVEMEAWLDLSAIYMKLGSWADSHVCLDKAKSIGLFSPESWHATGVLFEAQSLHKEALTAFLISLSLEPEHVPSMVSTASVLRVLGGDAMVIAKSFLMNALRLDPANHDAWMNLGFISKIEGELEQAADCFQAAYELSQSSPVHKFV >DRNTG_01332.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:120944:125534:-1 gene:DRNTG_01332 transcript:DRNTG_01332.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NPGR1 [Source:Projected from Arabidopsis thaliana (AT1G27460) UniProtKB/Swiss-Prot;Acc:Q9CB03] MAMLCACSGEQFQLEEAPQSPESLATRDFSVSGLSTRTGGDWESRFDDSQVDDVESTLKETLSLNYEEARALLGRLEYQRGNFDAALQVFQGIDIRGLRAKMAKAIAERTRPRRARSKGQHLQTNLMSMHSVTLILEAMLLKSKSLEGLGRARDAAIECRNILDIVESAWPHGLPEGIGHDSKLKEMFHKALELLPKLWKQAGFLEEAIAAYRCALVTPWNLDPQRCAILQKDLAATLLYGGVEVTLPPQLQLLWGSAAPTSNVEEAILLLFILMKKVAFQEISWDPEIMDHLIYALAVSEQYEILASHIEQLLPGIYSRAERWYILALCYAAAGLDDVAINILRKTLGKSEKKHKPHLSTVLLGAKLCNKNPIHAYEGIHFGMKAFQLTKNEENHLMGVASHLLGVSYGLCARSSISDSQRLFFQKESLKLLQLALTIERDNPEVLYSLGMENAIQRNIHAAVENAAEYLDKITGSSTDGWKLLCLVVSAQQNLKDSEAIVDLAVQETGEVDGMALLRLKALLQAAQQQPKHSIETYRTLLAMIQAQREVGSHISNNEVKPERKVEMEAWLDLSAIYMKLGSWADSHVCLDKAKSIGLFSPESWHATGVLFEAQSLHKEALTAFLISLSLEPEHVPSMVSTASVLRVLGGDAMVIAKSFLMNALRLDPANHDAWMNLGFISKIEGELEQAADCFQAAYELSQSSPVHKFV >DRNTG_09055.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31419016:31423444:-1 gene:DRNTG_09055 transcript:DRNTG_09055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRCCSSGDVGSLDRGSVAMAYEEALNCLSSLITRRTRADGTNKGDEFDLLYDYLKMLELDESLSQLKVIHVAGTKGKGSTCAFTESILLSCGLRTGLFTSPHLIDVRERFRLDGVDICEEKFLAYFWWCWERLQEKTNDDVPMPTYFRFLALLAFKIFTAEQVDVAILEVGLGGKFDATNVVEAPIVCGISSLGYDHMEILGNTLGEIAGEKAGIFKRGVPAYTVPQPDEAMSVLKDKASQLNISLQIVSPMNIELLKGQQLGLHGEHQNINAGLAVALSSTWLKKTGHAEGMNLDETACLPEQFVRGLAMATLQGRAQIVPDPLLQFQNESLSKGCLVFYLDGAHSPESLETCATWFSHAVKEDSQQSGSFREQTARNDYQQSDSLEEQAARKILLFNCMSVRDPQLLLPPLINTCARNGVYFHKALFVPNHSVFNKVGSHATPPTDPQHVDLSWQLTLQRAWENLTTDKGVGNDKVSREIRHADLSNTRDTMNSSVFPSLQLAIKWLRESAQSNRSTRFQVLVTGSLHLVGDVLRLLKK >DRNTG_09055.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31419016:31423444:-1 gene:DRNTG_09055 transcript:DRNTG_09055.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLKDKASQLNISLQIVSPMNIELLKGQQLGLHGEHQNINAGLAVALSSTWLKKTGHAEGMNLDETACLPEQFVRGLAMATLQGRAQIVPDPLLQFQNESLSKGCLVFYLDGAHSPESLETCATWFSHAVKEDSQQSGSFREQTARNDYQQSDSLEEQAARKILLFNCMSVRDPQLLLPPLINTCARNGVYFHKALFVPNHSVFNKVGSHATPPTDPQHVDLSWQLTLQRAWENLTTDKGVGNDKVSREIRHADLSNTRDTMNSSVFPSLQLAIKWLRESAQSNRSTRFQVLVTGSLHLVGDVLRLLKK >DRNTG_09055.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31419016:31423444:-1 gene:DRNTG_09055 transcript:DRNTG_09055.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLTIAHPFARPFLQTSVSPSPSPSPSPRPNSIPFPSLSLGDRSLVFRRRLLSSSLPASSVQSPFMAGGDVGSLDRGSVAMAYEEALNCLSSLITRRTRADGTNKGDEFDLLYDYLKMLELDESLSQLKVIHVAGTKGKGSTCAFTESILLSCGLRTGLFTSPHLIDVRERFRLDGVDICEEKFLAYFWWCWERLQEKTNDDVPMPTYFRFLALLAFKIFTAEQVDVAILEVGLGGKFDATNVVEAPIVCGISSLGYDHMEILGNTLGEIAGEKAGIFKRGVPAYTVPQPDEAMSVLKDKASQLNISLQIVSPMNIELLKGQQLGLHGEHQNINAGLAVALSSTWLKKTGHAEGMNLDETACLPEQFVRGLAMATLQGRAQIVPDPLLQFQNESLSKGCLVFYLDGAHSPESLETCATWFSHAVKEDSQQSGSFREQTARNDYQQSDSLEEQAARKILLFNCMSVRDPQLLLPPLINTCARNGVYFHKALFVPNHSVFNKVGSHATPPTDPQHVDLSWQLTLQRAWENLTTDKGVGNDKVSREIRHADLSNTRDTMNSSVFPSLQLAIKWLRESAQSNRSTRFQVLVTGSLHLVGDVLRLLKK >DRNTG_32455.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2382526:2388793:1 gene:DRNTG_32455 transcript:DRNTG_32455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAFRGAVQSHVPFLLGRPKILVKSSSMRLRSCNRRLNRVSVFARYSQAQNISTRIQDSIDNLPKLVEDIVQTSISTGPRGAFRLAQGIQAVLAVGSEWLTDVSKSENASSGLPREMRLGILSPLYLRKLFERLGATYIKLGQFIASAPTLFPPEYVEEFQSCFDRAPPVPYKEIETILREELGRPLDSVYEYIDPVPIASASIAQVHGARLKNSQQEVVIKVLKPGIEDILVADLNFVYIVARLLEFLNPELRRSSLVGIVKDIKESMLEEVDFQKEAANIESFRRYLEDMGLSRQAKAPQVYRHCSTRRILTMERLYGVPLTDLDSIRALVPDPETALVTALNVWFGSLIGCESFHADVHAGNLWLLRDGRIGFLDFGIVGRISPKTWAAMEIFLASFANEDYESMASALVEMGATEKNVDTKAFAQDLEKIFSSIQDLDTEIVVATARSPNANAAAISANVIVDERQMNALFLDVVRVSESYGLRFPREFALLMKQLLYFDRYTRLLAPNLNMLQDQRISISSSRRIRRMQQ >DRNTG_35129.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1158021:1160427:1 gene:DRNTG_35129 transcript:DRNTG_35129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIYIVYYSMYGHVEKLAEEIKKGASSVEGVDVKLWQVPEILPEEVLGKMGAPPKSDVPIITPNELADADGILFGFPTRFGMMAAQFKSFLDATGGLWKTQQLAGKPAGIFYSTGSQGGGQETTPLTSITQLAHHGMIFVPIGYTFGAGMFEMEKVKGGSPYGAGTFAGDGSRFPSDLELEQAFHQGKYFAGIAKKLKSSA >DRNTG_35129.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1158021:1160427:1 gene:DRNTG_35129 transcript:DRNTG_35129.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHVEKLAEEIKKGASSVEGVDVKLWQVPEILPEEVLGKMGAPPKSDVPIITPNELADADGILFGFPTRFGMMAAQFKSFLDATGGLWKTQQLAGKPAGIFYSTGSQGGGQETTPLTSITQLAHHGMIFVPIGYTFGAGMFEMEKVKGGSPYGAGTFAGDGSRFPSDLELEQAFHQGKYFAGIAKKLKSSA >DRNTG_09229.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:917345:917972:1 gene:DRNTG_09229 transcript:DRNTG_09229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSSSPGVHVYGIVGERGIGKTTLARKIFNHQTIKDKFHSPPPIWVDVHMNSTFHTIMNSINKFDGDLIQDKEILVVLNDVNDSKVLKDMTDYMHLITNANVLVTTRYESVITHEGIYKT >DRNTG_25142.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:9090544:9092005:-1 gene:DRNTG_25142 transcript:DRNTG_25142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYAWAQATHKWLMEDIPQAAARVQDRCAGKKTNTLYIKGCSVALNVWFYELT >DRNTG_28052.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17712629:17712977:-1 gene:DRNTG_28052 transcript:DRNTG_28052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVDDVAVPALKPDTIPQQQQPCKDMFAVDAVAVPLSKEDAAGAEYRQEGSTTVPHEDPDRATRDMIKANQKWDETTRKVFVPKKKKWVGQSRFNKYEQELMRIFLNPPMDV >DRNTG_12529.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18751254:18753339:-1 gene:DRNTG_12529 transcript:DRNTG_12529.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKGSTIIIQNHRSQMSHKKKKYKCLI >DRNTG_12529.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18750839:18753719:-1 gene:DRNTG_12529 transcript:DRNTG_12529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKGSTIIIQNHRSQMSHKKKKYKCLI >DRNTG_12529.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18751254:18753719:-1 gene:DRNTG_12529 transcript:DRNTG_12529.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKGSTIIIQNHRSQMSHKKKKYKCLI >DRNTG_15096.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1793929:1797988:-1 gene:DRNTG_15096 transcript:DRNTG_15096.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator-like APRR2 [Source:Projected from Arabidopsis thaliana (AT4G18020) UniProtKB/Swiss-Prot;Acc:Q6LA43] MVCLADDFVVWKDFPKGLRVLLLDKDAPSAEQTKLKLEAMDYVVSLFFNENDALDAISRKVESFHVAIVEVTSENNNDSIFRFLEMARDLPTIVVSNVQCLSTMMKCIALGAAEFLEKPLSDDKIRNLWQHVVHKAFNGWGGVLSKSLKPIKETVVSMLHLESETTDVKNEIPSEVDNSEKEQQLSDHDDMEASDKFPAPSTPQLEQGARLTDDGDFQDKPNCLSEKHSKDDMNNLLGKSTCSESKSVDIASNNINGAVSTKEASVSAAEDEVNSPPDSKSDVSSALIKEDSLPSQNAEKANSSGEDSKKRKSFDYNNPNSHSNKSNKKKMKVDWTPELHKQFVQAVEQLGIDQAIPSKILELMKVDGLTRHNVASHLQKYRMHKRHILPKDDDRRWQHHADPMRRGNVPRSLVPIPPYRYNYGYPTGQAYPAWGHPGYHPSGVQMWNHAAIQPWPRPPESWTWKHYSGIHADAWGCPVVPPYGQYSLPSQYTPLNKSLDLTWDQNKELRAFAGFNLAEEVIDRAVKEAMNKPWLPLPLGLKAPCTESVLAELHRQGILSIPPLRR >DRNTG_15096.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1793929:1797988:-1 gene:DRNTG_15096 transcript:DRNTG_15096.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator-like APRR2 [Source:Projected from Arabidopsis thaliana (AT4G18020) UniProtKB/Swiss-Prot;Acc:Q6LA43] MVCLADDFVVWKDFPKGLRVLLLDKDAPSAEQTKLKLEAMDYVVSLFFNENDALDAISRKVESFHVAIVEVTSENNNDSIFRFLEMARDLPTIVVSNVQCLSTMMKCIALGAAEFLEKPLSDDKIRNLWQHVVHKAFNGWGGVLSKSLKPIKETVVSMLHLESETTDVKNEIPSEVDNSEKEQQLSDHDDMEASDKFPAPSTPQLEQGARLTDDGDFQDKPNCLSEKHSKDDMNNLLGKSTCSESKSVDIASNNINGAVSTKEASVSAAEDEVNSPPDSKSDVSSALIKEDSLPSQNAEKANSSGEDSKKRKSFDYNNPNSHSNKSNKKKMKVDWTPELHKQFVQAVEQLGIDQAIPSKILELMKVDGLTRHNVASHLQKYRMHKRHILPKDDDRRWQHHADPMRRGNVPRSLVPIPPYRYNYGYPTGQAYPAWGHPGYHPSGVQMWNHAAIQPWPRPPESWTWKHYSGIHADAWGCPVVPPYGQYSLPSQYTPLNKSLDLTWDQNKELRAFAGFNLAEEVIDRAVKEAMNKPWLPLPLGLKAPCTESVLAELHRQGILSIPPLRR >DRNTG_15096.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1793929:1797988:-1 gene:DRNTG_15096 transcript:DRNTG_15096.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator-like APRR2 [Source:Projected from Arabidopsis thaliana (AT4G18020) UniProtKB/Swiss-Prot;Acc:Q6LA43] MVCLADDFVVWKDFPKGLRVLLLDKDAPSAEQTKLKLEAMDYVVSLFFNENDALDAISRKVESFHVAIVEVTSENNNDSIFRFLEMARDLPTIVVSNVQCLSTMMKCIALGAAEFLEKPLSDDKIRNLWQHVVHKAFNGWGGVLSKSLKPIKETVVSMLHLESETTDVKNEIPSEVDNSEKEQQLSDHDDMEASDKFPAPSTPQLEQGARLTDDGDFQDKPNCLSEKHSKDDMNNLLGKSTCSESKSVDIASNNINGAVSTKEASVSAAEDEVNSPPDSKSDVSSALIKEDSLPSQNAEKANSSGEDSKKRKSFDYNNPNSHSNKSNKKKMKVDWTPELHKQFVQAVEQLGIDQAIPSKILELMKVDGLTRHNVASHLQKYRMHKRHILPKDDDRRWQHHADPMRRGNVPRSLVPIPPYRYNYGYPTGQAYPAWGHPGYHPSGVQMWNHAAIQPWPRPPESWTWKHYSGIHADAWGCPVVPPYGQYSLPSQYTPLNKSLDLTWDQNKELRAFAGFNLAEEVIDRAVKEAMNKPWLPLPLGLKAPCTESVLAELHRQGILSIPPLRR >DRNTG_28948.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2178504:2181467:1 gene:DRNTG_28948 transcript:DRNTG_28948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNVFKPVLTEESEQVISRYYQLQRRSGTQNAARITVRMLESLIRLAQAHARLMFRDEVTRLDAIAAILCIESSMTTSAIVDTVGNALHSNFTDNPDQEYARQEQLILEKLRSINE >DRNTG_01676.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23836165:23839068:-1 gene:DRNTG_01676 transcript:DRNTG_01676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLTSAIIVTFIPNHMLKNLIDNMSARIHLQPIFDPMEDLSLLYHYSIHAPAYPFPDITFNFDKPPWNKAPIVLPATNTFIFVTDNIICMAIQSSARLEANVTVFDNLAQQKYHIGYDLPNNSLSFAPANCSTIF >DRNTG_01676.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23836165:23839068:-1 gene:DRNTG_01676 transcript:DRNTG_01676.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINLTSAIIVTFIPNHMLKNLIDNMSARIHLQPIFDPMEDLSLLYHYSIHAPAYPFPDITFNFDKPPWNKAPIVLPATNTFIFVTDNIICMAIQSSARLEANVTVFDNLAQQKYHIGYDLPNNSLSFAPANCSTIF >DRNTG_26653.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:246265:257218:1 gene:DRNTG_26653 transcript:DRNTG_26653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFTASDFLEESIPAKSLLEESIPVIKRNLSSPYHFYSIVVAESRGSPGPDCQTRIQGATKILILIDFLIKKQRLSPAPPTLISSCILCKPEIIASTSAILVLKLFLISLDICVAHASDSITSNQSLSGDQKLFSVGGNFVLGFFTKDESSSKFYIGIWYKKVSELTPVWVANRATPVSDITKSLLHISPDGNLALLDQSKSLIWSTNTTIPSNSSTVAVLQDNGNLVLRDADNSANIFWQSFDNPTHINLPGSKSIFNKVTKVSKRLISWKNSQDPAPGLFSSGLQENNQSVLLWNMSKPYWTSGPWNGRTFSKEVPVRSSATMVNVTCDANSEEFYCSFNLNNNSRSHVILDFVMDVTGQLKAVLWEESTQRWNPFFAVPEAQCDVTALCGPFGRLHERKSPSSHGASRVFDQQVTNSLGFE >DRNTG_00536.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30289536:30298748:1 gene:DRNTG_00536 transcript:DRNTG_00536.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLPGDSSHHDMDLDDFGSRWYFSRKEIEENSSSRKDGIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIVFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDASAVQRIKQKEVYEQQKELILLGERVVLATLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKFWWQEFDVTPRQLEDVSNQMLELYEQNRPLQSSHANEVEGSTSGGANHPAMNSSTLNDNPVSSNGRSHASEMVNVKQGTQVPQTGRPGVPQASSDHRTLPQRSSQNQSNEDGKIDTKNGAWDRTIGNEVKDSMHDEVEPHFRSRENTTDASTRAERHFRDTATNEASEALESREQKDEASASKTQSPLDALSKIDKDKYKAALEKRRKLRNDVSVKSDLMNEDDLIEREIENGIELAVEDEKKQEKRQGWPKPLNSQQSKNQNFGMEQGSTRDKRNLSEQQSLNGKNSSKYSDSEDRRATPNRYIEQEHLNAEEGELSSLDEHELPSSLKSLNNSERAANAGKPFEGKRPHDMPHQLREPAGRTWMENTDRDHKRFRRESHV >DRNTG_00536.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30288368:30298748:1 gene:DRNTG_00536 transcript:DRNTG_00536.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLPGDSSHHDMDLDDFGSRWYFSRKEIEENSSSRKDGIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIVFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDASAVQRIKQKEVYEQQKELILLGERVVLATLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKFWWQEFDVTPRQLEDVSNQMLELYEQNRPLQSSHANEVEGSTSGGANHPAMNSSTLNDNPVSSNGRSHASEMVNVKQGTQVPQTGRPGVPQASSDHRTLPQRSSQNQSNEDGKIDTKNGAWDRTIGNEVKDSMHDEVEPHFRSRENTTDASTRAERHFRDTATNEASEALESREQKDEASASKTQSPLDALSKIDKDKYKAALEKRRKLRNDVSVKSDLMNEDDLIEREIENGIELAVEDEKKQEKRQGWPKPLNSQQSKNQNFGMEQGSTRDKRNLSEQQSLNGKNSSKYSDSEDRRATPNRYIEQEHLNAEEGELSSLDEHELPSSLKSLNNSERAANAGKPFEGKRPHDMPHQLREPAGRTWMENTDRDHKRFRRESHV >DRNTG_17456.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7988387:8001828:-1 gene:DRNTG_17456 transcript:DRNTG_17456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILELAKQTGVTIGSLQEIVLNVGEKVDSEFDPISIDVAELVAMEVGFNVRRLHSDEGAQLKTRPAVVTVMGHVDHGKTSLLDALRQTSVAAKEAGGITQHLGAFVVGMSSGASLTFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMNHAKVANVPIVVAINKCDKPGADPERVRIQLGSEGLLLEDLGGDVQVVEVSAIKKSGLDRLEEALLLQAEMMDLKARVDGTAQAYVVEARLDRGRGPLATAIVKSGTLLSGQHIVVGAEWGRIRAIRNMVGKVIESAGPAIPVEIEGLKGLPMAGDDVVVVDSEERARMLSNGRKKKLEKDRLHKASEERAESPDTSEEEFERVELPIIVQADVQGTVQAVTDALRSLNSSQVHVNIVHVGVGPISQSDVDLAQACGACIVGFNIRSPPSTITLAANKANIKICQHRVIYHLLEEMGNLIVDRAPGTYETQVAGEGEILDIFELKGRSKSKGPDVKIAGCKVLDGRFTKSSTMRLLRSGEVVFEGSCVSLKRAKQDVEAVGKGNDCGLVIEHCDDFQIGDVIQCLEQVKRKPKFVSSESGAVRIVC >DRNTG_13861.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20592330:20593214:1 gene:DRNTG_13861 transcript:DRNTG_13861.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFSSSAETRSFAEEIFAKVPHKQSGTSGSQNQEKEASLFEAKNYKLLDDDDDDDDDEGAGSVTATSATSQPEKKESHRKRFRMKNETQDDADDGVFLHSTFPFIW >DRNTG_33560.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28564712:28567172:1 gene:DRNTG_33560 transcript:DRNTG_33560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFKLAEMWPELVSHLVVSGATIAFTDSINEAMLGRLGFASLAEFLLPESVEGVKDLFTVATYKKLWLPRCLYRHYLQIMFGNRKERAELLEGLVMRNKDAAVPAVLPMRILLLWGENDNICNIEMANNLKEQLGDKATLQVIKKAGHLVHLERPCAYNRHLKEFLEQFVIDNTINK >DRNTG_12156.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12052168:12056309:-1 gene:DRNTG_12156 transcript:DRNTG_12156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTNIIISSVKCLHVDSKAWSSCFLVFVLMLVVSFFLVYVDLSSFSSSSSSFVVFGGGGGREKHEFVNSGGGEDRVNGSSFRDGDTLFFSLSPSFSPSPSPLPEPGKISVEISRSKEVISLAQGTWRTDVKLERLELGLARARAAIREVLRNQSQKFDLVDSDYMPQGPVYRNARAFYQSYREMEKLFKVYVYEEGEPPLFHDGPCRSIYSTEGRFINNMEMENQFRTRDPDLAHVHFLPFSVVKMVKFIYQPQSFNSTAALKRTIVDYVHTISRKYPHWNRSLGADHFMLSCHDWGPDLSHAISHLYSNSIRVLCNANTSEGFHPSKDVSLPEINLITGLNKGIIGGPSPSRRPTLAFFAGGLHGPIRPILLNHWKDKDESMQIHEYLPKGISYYEEMKRSKFCICPSGYEVASPRIVEAIYLECVPVIICDHYVLPFSDMLNWKAFSVSVTLEDIPKLKDILMSISSRQYIRMQRRVKFVQRHFVMNTPPKRFDVYHMILHSIWLRRLNIRVHPQD >DRNTG_11815.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:332562:333155:-1 gene:DRNTG_11815 transcript:DRNTG_11815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVHKNVQERDLELGRSIGSRILRWLDRMKPSAQIRPPKSILDTSTNSDKAKHMMNSAEPPQQTSRLDIKTKEQESNNRLNFTPLINMQKKITPMTTTMIQQPIRPASMSDQYRRISYKMPGVFRKDIALWMMHNQ >DRNTG_21052.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001198.1:6575:9149:-1 gene:DRNTG_21052 transcript:DRNTG_21052.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAE1 [Source:Projected from Arabidopsis thaliana (AT4G30440) UniProtKB/TrEMBL;Acc:A0A384LBP5] MEKKMRDLEDELFPSTPGKVKIERAHAMNRQFHRCFASTSTMFLWALFLIALTASYLSFQSFVDTSSKYFTASWGGLHWERQVRASALPRRSNGISVLVTGAAGFVGSHVSIALHKRGDGVLGLDNFNSYYDPSLKKARKTLLASHGIFVVEGDVNDARLLAKLFDIVPFSHVMHLAAQAGVRYAIENPNSYVHSNIAGLVTLLEACKAADPQPAIVWASSSSVYGLNEKVPFSESDTTDRPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITIYRGKDRVDLARDFTFIDDIVKGCIASLDTAEKSTGSGGKKRGQAQYRIYNLGNTSPVTVPTLVSLLERHLKMKAKKNMVEMPGNGDVPFTHANISLARRELGYKPSTNLEAGLKKFVKWYLSYYGYGRSNAGKNL >DRNTG_21052.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001198.1:6998:11586:-1 gene:DRNTG_21052 transcript:DRNTG_21052.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAE1 [Source:Projected from Arabidopsis thaliana (AT4G30440) UniProtKB/TrEMBL;Acc:A0A384LBP5] MNRQFHRCFASTSTMFLWALFLIALTASYLSFQSFVDTSSKYFTASWGGLHWERQVRASALPRRSNGISVLVTGAAGFVGSHVSIALHKRGDGVLGLDNFNSYYDPSLKKARKTLLASHGIFVVEGDVNDARLLAKLFDIVPFSHVMHLAAQAGVRYAIENPNSYVHSNIAGLVTLLEACKAADPQPAIVWASSSSVYGLNEKVPFSESDTTDRPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITIYRGKDRVDLARDFTFIDDIVKGCIASLDTAEKSTGSGGKKRGQAQYRIYNLGNTSPVTVPTLVSLLERHLKMKAKKNMVEMPGNGDVPFTHANISLARRELGYKPSTNLEAGLKKFVKWYLSYYGYGRSNAGKNL >DRNTG_21052.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001198.1:6911:9278:-1 gene:DRNTG_21052 transcript:DRNTG_21052.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAE1 [Source:Projected from Arabidopsis thaliana (AT4G30440) UniProtKB/TrEMBL;Acc:A0A384LBP5] MEKKMRDLEDELFPSTPGKVKIERAHAMNRQFHRCFASTSTMFLWALFLIALTASYLSFQSFVDTSSKYFTASWGGLHWERQVRASALPRRSNGISVLVTGAAGFVGSHVSIALHKRGDGVLGLDNFNSYYDPSLKKARKTLLASHGIFVVEGDVNDARLLAKLFDIVPFSHVMHLAAQAGVRYAIENPNSYVHSNIAGLVTLLEACKAADPQPAIVWASSSSVYGLNEKVPFSESDTTDRPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITIYRGKDRVDLARDFTFIDDIVKGCIASLDTAEKSTGSGGKKRGQAQYRIYNLGNTSPVTVPTLVSLLERHLKMKAKKNMVEMPGNGDVPFTHANISLARRELGYKPSTNLEAGLKKFVKWYLSYYGYGRSNAGKNL >DRNTG_21052.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001198.1:6998:9149:-1 gene:DRNTG_21052 transcript:DRNTG_21052.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAE1 [Source:Projected from Arabidopsis thaliana (AT4G30440) UniProtKB/TrEMBL;Acc:A0A384LBP5] MEKKMRDLEDELFPSTPGKVKIERAHAMNRQFHRCFASTSTMFLWALFLIALTASYLSFQSFVDTSSKYFTASWGGLHWERQVRASALPRRSNGISVLVTGAAGFVGSHVSIALHKRGDGVLGLDNFNSYYDPSLKKARKTLLASHGIFVVEGDVNDARLLAKLFDIVPFSHVMHLAAQAGVRYAIENPNSYVHSNIAGLVTLLEACKAADPQPAIVWASSSSVYGLNEKVPFSESDTTDRPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITIYRGKDRVDLARDFTFIDDIVKGCIASLDTAEKSTGSGGKKRGQAQYRIYNLGNTSPVTVPTLVSLLERHLKMKAKKNMVEMPGNGDVPFTHANISLARRELGYKPSTNLEAGLKKFVKWYLSYYGYGRSNAGKNL >DRNTG_21052.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001198.1:6911:9531:-1 gene:DRNTG_21052 transcript:DRNTG_21052.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAE1 [Source:Projected from Arabidopsis thaliana (AT4G30440) UniProtKB/TrEMBL;Acc:A0A384LBP5] MEKKMRDLEDELFPSTPGKVKIERAHAMNRQFHRCFASTSTMFLWALFLIALTASYLSFQSFVDTSSKYFTASWGGLHWERQVRASALPRRSNGISVLVTGAAGFVGSHVSIALHKRGDGVLGLDNFNSYYDPSLKKARKTLLASHGIFVVEGDVNDARLLAKLFDIVPFSHVMHLAAQAGVRYAIENPNSYVHSNIAGLVTLLEACKAADPQPAIVWASSSSVYGLNEKVPFSESDTTDRPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITIYRGKDRVDLARDFTFIDDIVKGCIASLDTAEKSTGSGGKKRGQAQYRIYNLGNTSPVTVPTLVSLLERHLKMKAKKNMVEMPGNGDVPFTHANISLARRELGYKPSTNLEAGLKKFVKWYLSYYGYGRSNAGKNL >DRNTG_21052.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001198.1:6575:9531:-1 gene:DRNTG_21052 transcript:DRNTG_21052.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAE1 [Source:Projected from Arabidopsis thaliana (AT4G30440) UniProtKB/TrEMBL;Acc:A0A384LBP5] MEKKMRDLEDELFPSTPGKVKIERAHAMNRQFHRCFASTSTMFLWALFLIALTASYLSFQSFVDTSSKYFTASWGGLHWERQVRASALPRRSNGISVLVTGAAGFVGSHVSIALHKRGDGVLGLDNFNSYYDPSLKKARKTLLASHGIFVVEGDVNDARLLAKLFDIVPFSHVMHLAAQAGVRYAIENPNSYVHSNIAGLVTLLEACKAADPQPAIVWASSSSVYGLNEKVPFSESDTTDRPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITIYRGKDRVDLARDFTFIDDIVKGCIASLDTAEKSTGSGGKKRGQAQYRIYNLGNTSPVTVPTLVSLLERHLKMKAKKNMVEMPGNGDVPFTHANISLARRELGYKPSTNLEAGLKKFVKWYLSYYGYGRSNAGKNL >DRNTG_21052.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001198.1:6575:9278:-1 gene:DRNTG_21052 transcript:DRNTG_21052.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAE1 [Source:Projected from Arabidopsis thaliana (AT4G30440) UniProtKB/TrEMBL;Acc:A0A384LBP5] MEKKMRDLEDELFPSTPGKVKIERAHAMNRQFHRCFASTSTMFLWALFLIALTASYLSFQSFVDTSSKYFTASWGGLHWERQVRASALPRRSNGISVLVTGAAGFVGSHVSIALHKRGDGVLGLDNFNSYYDPSLKKARKTLLASHGIFVVEGDVNDARLLAKLFDIVPFSHVMHLAAQAGVRYAIENPNSYVHSNIAGLVTLLEACKAADPQPAIVWASSSSVYGLNEKVPFSESDTTDRPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITIYRGKDRVDLARDFTFIDDIVKGCIASLDTAEKSTGSGGKKRGQAQYRIYNLGNTSPVTVPTLVSLLERHLKMKAKKNMVEMPGNGDVPFTHANISLARRELGYKPSTNLEAGLKKFVKWYLSYYGYGRSNAGKNL >DRNTG_21052.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001198.1:6911:9149:-1 gene:DRNTG_21052 transcript:DRNTG_21052.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAE1 [Source:Projected from Arabidopsis thaliana (AT4G30440) UniProtKB/TrEMBL;Acc:A0A384LBP5] MEKKMRDLEDELFPSTPGKVKIERAHAMNRQFHRCFASTSTMFLWALFLIALTASYLSFQSFVDTSSKYFTASWGGLHWERQVRASALPRRSNGISVLVTGAAGFVGSHVSIALHKRGDGVLGLDNFNSYYDPSLKKARKTLLASHGIFVVEGDVNDARLLAKLFDIVPFSHVMHLAAQAGVRYAIENPNSYVHSNIAGLVTLLEACKAADPQPAIVWASSSSVYGLNEKVPFSESDTTDRPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITIYRGKDRVDLARDFTFIDDIVKGCIASLDTAEKSTGSGGKKRGQAQYRIYNLGNTSPVTVPTLVSLLERHLKMKAKKNMVEMPGNGDVPFTHANISLARRELGYKPSTNLEAGLKKFVKWYLSYYGYGRSNAGKNL >DRNTG_05541.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16673353:16677750:-1 gene:DRNTG_05541 transcript:DRNTG_05541.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SUPPRESSOR OF GENE SILENCING 3 [Source:Projected from Arabidopsis thaliana (AT5G23570) UniProtKB/Swiss-Prot;Acc:Q9LDX1] MNSRKGEGKTSVGGSEYSSQKGKMLDGSGPGKVDQLSHAVDGISIDASQEGEWEVKVRKSKNRGYATSSKTSVPSNAAPRAWGHPEGVSRQGWQSIGSGGRAGNNCSQGFDSRKPGGRGNPKPQPQQRSWESAYMAPPPPAPQIRPPLQNGWQWAARGGSNSHPRPGLETIPNLRPLEASPEQYDSDPEDTAHKADNGSDEDELVEDSDDDCSDGYDSDASQKSHETRKKNPWFKGFFEELDNLRAEEISEQTRQWHCPACHNGPGAIDWYKGLQPLMTHAKTKGSKRVKLHRELATLLEEELRRKGTSVIPASEAYGQWKGLRETTDHEIVWPPMVVVMNTLLEQDENNKASFHSFCIPDFSIMSTVY >DRNTG_05541.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16670880:16677750:-1 gene:DRNTG_05541 transcript:DRNTG_05541.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SUPPRESSOR OF GENE SILENCING 3 [Source:Projected from Arabidopsis thaliana (AT5G23570) UniProtKB/Swiss-Prot;Acc:Q9LDX1] MNSRKGEGKTSVGGSEYSSQKGKMLDGSGPGKVDQLSHAVDGISIDASQEGEWEVKVRKSKNRGYATSSKTSVPSNAAPRAWGHPEGVSRQGWQSIGSGGRAGNNCSQGFDSRKPGGRGNPKPQPQQRSWESAYMAPPPPAPQIRPPLQNGWQWAARGGSNSHPRPGLETIPNLRPLEASPEQYDSDPEDTAHKADNGSDEDELVEDSDDDCSDGYDSDASQKSHETRKKNPWFKGFFEELDNLRAEEISEQTRQWHCPACHNGPGAIDWYKGLQPLMTHAKTKGSKRVKLHRELATLLEEELRRKGTSVIPASEAYGQWKGLRETTDHEIVWPPMVVVMNTLLEQDENNKWIGMGNQELVDYFPSYAAVRARHSYGPYGHRGMSVLIFESSAVGYMEAERLHKHFAEQGTDRDAWERRRVLFYPGGKRQLYGYLALKEDMEVFNQHCHGKTRLKYDMRSYQEVVVSQMKQMSEENQQLVYFRNKNVEHKQYSKALEELNGMLSQKLRDAMNENGIVRLRTKIQFEENKEEMDYQESFFKDQIAKIRNVIEEKEKMFEQRLQAEQAKAKQSDTDSGTNEERIHRKEEIARFINKQVRGIEEFEEEREKLIRAHKDKKVELKKKQMMQEVELEKDFDAALTKLMQKFTPIEFQVSGIS >DRNTG_05541.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16670880:16673295:-1 gene:DRNTG_05541 transcript:DRNTG_05541.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SUPPRESSOR OF GENE SILENCING 3 [Source:Projected from Arabidopsis thaliana (AT5G23570) UniProtKB/Swiss-Prot;Acc:Q9LDX1] MGNQELVDYFPSYAAVRARHSYGPYGHRGMSVLIFESSAVGYMEAERLHKHFAEQGTDRDAWERRRVLFYPGGKRQLYGYLALKEDMEVFNQHCHGKTRLKYDMRSYQEVVVSQMKQMSEENQQLVYFRNKNVEHKQYSKALEELNGMLSQKLRDAMNENGIVRLRTKIQFEENKEEMDYQESFFKDQIAKIRNVIEEKEKMFEQRLQAEQAKAKQSDTDSGTNEERIHRKEEIARFINKQVRGIEEFEEEREKLIRAHKDKKVELKKKQMMQEVELEKDFDAALTKLMQKFTPIEFQVSGIS >DRNTG_30662.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8623935:8628834:1 gene:DRNTG_30662 transcript:DRNTG_30662.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRASSQLHTLAAAPWRRSAVQLPSGYALRSPLPALASSPSLFHTTSHLFSTESDKPKRVEDVMPIATGHEREEIEAELQGKKRFDMDAPVGPFGTKEAPAVIQSYYDKRLVGCPGGEGA >DRNTG_17608.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:9078615:9082827:1 gene:DRNTG_17608 transcript:DRNTG_17608.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRQLYRRSRALLRDGDLLQAVRAELAYELSSPSSSPPQSIEVGDTVDGFVLECDDPKTKDVFLRKSDEEEVAVSAKLGRLFFGEENAMDWNVRMKVCVKRPKAEPLLHFDCSVFAGEGFGSSDFRIRRVAYHSSQDSLEAFKYRGPKFRSLDPNLQGALKEYLIARGVGAELTDFLLKRLHSKDQNQYVTWLRTMESLLANQP >DRNTG_32740.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:9504441:9510010:-1 gene:DRNTG_32740 transcript:DRNTG_32740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLLEVTRYVERLERIVVRELQRGPMNNCERLFQNHRIRNMIDFIISTTHKLTEIYGDKDNARRDEIAVLGGQTMTGINLFIVFYDRLKEIREYHRRHPAAHVVDATEEFEEVLIEELLKLTIFFGEGSEVQLPNCVFNTGAAGAEMRFSTISESVQFVKRTSYPEENLTRLSCPKKIVSAYDEDDEPTFTVTAKGKESGIFQSEEAKPRKSVFSTLTPK >DRNTG_33066.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26974838:26976113:-1 gene:DRNTG_33066 transcript:DRNTG_33066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSEGGGCLLLELGVWDPRPREARSGGFLLPDSPTGAGMRNPRPRWGSEWGDTPRFRPARCPSLLANA >DRNTG_12893.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000584.1:22816:25644:-1 gene:DRNTG_12893 transcript:DRNTG_12893.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGAAIWKHGCSLISFNGWDKGMKNRSLMSGVVRAKNTVLSVRNEEPPPAVASMSATYALGDGISGLRKRLAFLGCSPRSHVSVTRDPSTTASTATPARNNKPWSKIRFRPLALPEKFFSVPKRGR >DRNTG_03951.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17614466:17621081:1 gene:DRNTG_03951 transcript:DRNTG_03951.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRQLRSMLCVLEPDLVRRCAQSRRSARVVCLSALGEVIGEVNERAAERKKRVEVVAAAAMTVALGTGNRVLYKLALVPLKHYPFFLAQLATFGYVLVYFSILYCRYQAGIVTDEMLSLPKTPFLAVGLLEALGAACGMAAGAVLSGASIPILSQTFLVWQLLLSVTFLGRRYRANQLLGCVLITAGILITVASGSGGLSLKEAGIFWTLLMITSFFFQAADTVLKEVIFLDTSRQLKAGSVDLFVVNSFGSAFQALFICLLLPFLSKLWGVPFSQLPMYIKDGTACFLNMGSLASGMPWNKL >DRNTG_03951.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17614466:17623267:1 gene:DRNTG_03951 transcript:DRNTG_03951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRQLRSMLCVLEPDLVRRCAQSRRSARVVCLSALGEVIGEVNERAAERKKRVEVVAAAAMTVALGTGNRVLYKLALVPLKHYPFFLAQLATFGYVLVYFSILYCRYQAGIVTDEMLSLPKTPFLAVGLLEALGAACGMAAGAVLSGASIPILSQTFLVWQLLLSVTFLGRRYRANQLLGCVLITAGILITVASGSGGLSLKEAGIFWTLLMITSFFFQAADTVLKEVIFLDTSRQLKAGSVDLFVVNSFGSAFQALFICLLLPFLSKLWGVPFSQLPMYIKDGTACFLNMGSLASECAGAPLLPLLFVMVNMAYNISLLHLLKISSAVVSCLASTFSVPLSIYAFTLPLPYIGVASSLPAGFVSGAAILLAGLLVYTWTPTSYLASQEARSTPSPLTQS >DRNTG_20185.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1399181:1399730:1 gene:DRNTG_20185 transcript:DRNTG_20185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELIRHPDILAAAQKELDSIIGLFRLVSELDLNNVPLLQPIIKETFRLHPPVPLLIPHTASEACGENANVDVKGSHFELIPFGPGRRICLRMRLGLRMTTLMLANLVHGFDWALLYGFTPETLNLDIKFGLTLEQSVPLVARPIPRLAHDAYSMEILI >DRNTG_32088.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22338961:22341281:1 gene:DRNTG_32088 transcript:DRNTG_32088.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative kinase-like protein TMKL1 [Source:Projected from Arabidopsis thaliana (AT3G24660) UniProtKB/Swiss-Prot;Acc:P33543] MASPSHLLLLLLLFTFSFSSISSISDPLLLLSKIKPALQGPSPNLQLSTWNSSTPLCLWRGLSWSFSGAELRCNDSTVRANLTLYSDPSLQLLSIRLPAVALSGYLPAELSGFSSLGSLLLPSNSLSGPLPLDLFNSPSLSDLDLSSNSINGSLPASLWNLCDRLVSLRFHHNSISGAVPDPAIPNTPCSLLQTVDFGSNNLDGGFPQFLTGFQNLQELDLSANNFSGQIPSLASLKNLQSLNLSHNNFSGVLPDSFRTSSFSGEDFTGNSPELCGAPLKQCGSGSRLSSGAIAGIVIGLMAGAVLLASVSIGWVQGRKRRNRTKRDDEEMDMEMEMEEGENGAEGKLAVFQGGEHLTLEDVLNATGQVMEKTSYGTVYKAKLSDGGAIALRLLREGSCKDPSSCSPVIRQLGRARHENLVPLRAYYQGKRGEKLLIYDYLPSRTLHDLLHESRAGRPLLNWSRRHKIALGIARGLAYLHTGQETPIIHGNVRSKNVLVDEFFVPRLTEFGIDKLMVPAVADEMVSVAKVDGYKAPELQKMKKCSPRTDVYAFGILLLEILMGKKPGKDGRDLPALVKVAVLEETTMDVFDVEVLKGIRSPAEDGLVHALRLAMGCCAPVASVRPDMHEVVKQLEENRPRNRSALYSPVDTRSEIGTPF >DRNTG_01770.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:2827022:3009853:-1 gene:DRNTG_01770 transcript:DRNTG_01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQLEESVERIFAQFDSSYQDQRQELFSVGVPISKAELCGMDTLISIADCKEIARFTGGFLDAMTEEESIKVGDEAPPKPQRPPLQTLAVSPLKSRTKDEKE >DRNTG_04366.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000204.1:14584:17086:-1 gene:DRNTG_04366 transcript:DRNTG_04366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSMTSPVASQMSNMSNSNKLIKTIANRDRGRKHKETKMTTGQSGSRNPWAAFDWAQIGELVSNAINRTLQFKLHYFFRKPKESKERHKALMDRSAGDGADSAEDSGSSQPYYLAFQRVAPDSCFNAFKGQWK >DRNTG_00681.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30118124:30126455:-1 gene:DRNTG_00681 transcript:DRNTG_00681.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtACDO1 [Source:Projected from Arabidopsis thaliana (AT4G31390) UniProtKB/TrEMBL;Acc:A0A178V5V0] MEVCVATIGMIHSDTGGLRLNREWNRRQRHQVRTLSTFRISNSASTTPSTSSALLLGKPSLGSSAMEQLDIERGVCIPFRKYTPETVRNKVLESRGAILSLLARGAQIVWNLGFYWSALTYDCLVGRDEEVVPYRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPFPNQVAFAIIEDELGQPLEKVFSKISSQTIAAASLGQVYRATLRETGEDVAIKVQRPGIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFIENFKNDPTVKIPRVYKKLSGSRVLVMEWIDGIRCTDPQAIKEAGIDVNGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYVEMANDFTRLGFLASGTDVSPIIPALEAIWQNSSGKGLSDFNFRSVTGKFNQLVYQYPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGVFQWKRLENLIVLAKENVAKMNNNPALQVKNRSMNWQVQKKLDLTDTIRDGARMFLVDAGIRRQLLMAFTEDSKLHIQELFDVYRLVQDEIDVSSVALEVLRDLPEVTREFMLSWSESVLSDR >DRNTG_00681.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30118124:30125723:-1 gene:DRNTG_00681 transcript:DRNTG_00681.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtACDO1 [Source:Projected from Arabidopsis thaliana (AT4G31390) UniProtKB/TrEMBL;Acc:A0A178V5V0] MNELCILQDDVPPFPNQVAFAIIEDELGQPLEKVFSKISSQTIAAASLGQVYRATLRETGEDVAIKVQRPGIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFIENFKNDPTVKIPRVYKKLSGSRVLVMEWIDGIRCTDPQAIKEAGIDVNGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYVEMANDFTRLGFLASGTDVSPIIPALEAIWQNSSGKGLSDFNFRSVTGKFNQLVYQYPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGVFQWKRLENLIVLAKENVAKMNNNPALQVKNRSMNWQVQKKLDLTDTIRDGARMFLVDAGIRRQLLMAFTEDSKLHIQELFDVYRLVQDEIDVSSVALEVLRDLPEVTREFMLSWSESVLSDR >DRNTG_00681.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30118124:30126455:-1 gene:DRNTG_00681 transcript:DRNTG_00681.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtACDO1 [Source:Projected from Arabidopsis thaliana (AT4G31390) UniProtKB/TrEMBL;Acc:A0A178V5V0] MEVCVATIGMIHSDTGGLRLNREWNRRQRHQVRTLSTFRISNSASTTPSTSSALLLGKPSLGSSAMEQLDIERGVCIPFRKYTPETVRNKVLESRGAILSLLARGAQIVWNLGFYWSALTYDCLVGRDEEVVPYRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPFPNQVAFAIIEDELGQPLEKVFSKISSQTIAAASLGQVYRATLRETGEDVAIKVVDLNSYHCL >DRNTG_00681.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30118124:30126455:-1 gene:DRNTG_00681 transcript:DRNTG_00681.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtACDO1 [Source:Projected from Arabidopsis thaliana (AT4G31390) UniProtKB/TrEMBL;Acc:A0A178V5V0] MNELCILQDDVPPFPNQVAFAIIEDELGQPLEKVFSKISSQTIAAASLGQVYRATLRETGEDVAIKVQRPGIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFIENFKNDPTVKIPRVYKKLSGSRVLVMEWIDGIRCTDPQAIKEAGIDVNGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYVEMANDFTRLGFLASGTDVSPIIPALEAIWQNSSGKGLSDFNFRSVTGKFNQLVYQYPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGVFQWKRLENLIVLAKENVAKMNNNPALQVKNRSMNWQVQKKLDLTDTIRDGARMFLVDAGIRRQLLMAFTEDSKLHIQELFDVYRLVQDEIDVSSVALEVLRDLPEVTREFMLSWSESVLSDR >DRNTG_00681.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30118124:30125539:-1 gene:DRNTG_00681 transcript:DRNTG_00681.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtACDO1 [Source:Projected from Arabidopsis thaliana (AT4G31390) UniProtKB/TrEMBL;Acc:A0A178V5V0] MEWIDGIRCTDPQAIKEAGIDVNGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYVEMANDFTRLGFLASGTDVSPIIPALEAIWQNSSGKGLSDFNFRSVTGKFNQLVYQYPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGVFQWKRLENLIVLAKENVAKMNNNPALQVKNRSMNWQVQKKLDLTDTIRDGARMFLVDAGIRRQLLMAFTEDSKLHIQELFDVYRLVQDEIDVSSVALEVLRDLPEVTREFMLSWSESVLSDR >DRNTG_32313.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001822.1:1489:2946:-1 gene:DRNTG_32313 transcript:DRNTG_32313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAFICIDSARCALGWVVKPIMKERCQCGSQCTDFGGNLAKVQTRRYESGARC >DRNTG_08288.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23857541:23858016:-1 gene:DRNTG_08288 transcript:DRNTG_08288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLSPYNFPTNPNKSSHCSPFSSPSLIYI >DRNTG_08288.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23857560:23858016:-1 gene:DRNTG_08288 transcript:DRNTG_08288.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLSPYNFPTNPNKSSHCSPFSSPSLIYI >DRNTG_23980.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30901024:30902559:1 gene:DRNTG_23980 transcript:DRNTG_23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERARLAKIPQPETSLKCPRCDSTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSKSTGGGTSSKSTSTTTTTADRHSGASSSSSTATSASGGVIPSNLVAPNHLPPFMASLHSLTDYTATPNNISLNFPGIQPVDHHNHHQHIIIIIIIIKVMLSIK >DRNTG_32474.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12386033:12388671:1 gene:DRNTG_32474 transcript:DRNTG_32474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVYIKLQKFFVWDESMSTAIKMAWQHKATERYRALMCSLRKGKEKSMHVFDSTWKTWTEAWNSPEFKTRCEKATANRLTEIAGPGSGIPPHTGGSISHSSHADRLRSRLGRDPRPFELFEVTHTKKGTSMLVDARAQSIKDRYLELVEQASQTQEGHDELPIVDETALYYEAIGGGKKNRVYGIGSQACIFYPQLSSSFSTGSLSETLQAEVRDLRQTLTQVQDREERLQQSLLDMKEEWDQYREEMMRQMKDMIMSFQKRILQQSQFTTQESQPLTDDHDVDLIGNINSGNLPKEVSYDLLAWICLLIDDLVN >DRNTG_27601.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16604793:16605344:1 gene:DRNTG_27601 transcript:DRNTG_27601.11 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAMRLAGTALKRSFVSLNRPPSLQALAMAFTVLPQHRRQPEVPRVPPAFTISRTITRMRRRIPPAPPKKDENEPSSQSEDDEEVELDFNADDDYDEGVESEELEGFFLDFGADGKEEKNEGSEQE >DRNTG_27601.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16604793:16605397:1 gene:DRNTG_27601 transcript:DRNTG_27601.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAMRLAGTALKRSFVSLNRPPSLQALAMAFTVLPQHRRQPEVPRVPPAFTISRTITRMRRRIPPAPPKKDENEPSSQSEDDEEVELDFNADDDYDEGVESEELEGFFLDFGADGKEEKNEGSEQE >DRNTG_27601.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16604793:16605631:1 gene:DRNTG_27601 transcript:DRNTG_27601.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCHCCFALFEVFWVVLLSIFSSNVHESQKLNEKLKEKSQKFKQKS >DRNTG_27601.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16604836:16605631:1 gene:DRNTG_27601 transcript:DRNTG_27601.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHCHCCFALFEVFWVVLLSIFSSNVHESQKLNEKLKEKSQKFKQKS >DRNTG_27601.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16604719:16605428:1 gene:DRNTG_27601 transcript:DRNTG_27601.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAMRLAGTALKRSFVSLNRPPSLQALAMAFTVLPQHRRQPEVPRVPPAFTISRTITRMRRRIPPAPPKKDENEPSSQSEDDEEVELDFNADDDYDEGVESEELEGFFLDFGADGKEEKNEGSEQE >DRNTG_27601.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16604836:16605428:1 gene:DRNTG_27601 transcript:DRNTG_27601.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTVLPQHRRQPEVPRVPPAFTISRTITRMRRRIPPAPPKKDENEPSSQSEDDEEVELDFNADDDYDEGVESEELEGFFLDFGADGKEEKNEGSEQE >DRNTG_27601.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16604719:16605397:1 gene:DRNTG_27601 transcript:DRNTG_27601.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAMRLAGTALKRSFVSLNRPPSLQALAMAFTVLPQHRRQPEVPRVPPAFTISRTITRMRRRIPPAPPKKDENEPSSQSEDDEEVELDFNADDDYDEGVESEELEGFFLDFGADGKEEKNEGSEQE >DRNTG_27601.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16604793:16605428:1 gene:DRNTG_27601 transcript:DRNTG_27601.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAMRLAGTALKRSFVSLNRPPSLQALAMAFTVLPQHRRQPEVPRVPPAFTISRTITRMRRRIPPAPPKKDENEPSSQSEDDEEVELDFNADDDYDEGVESEELEGFFLDFGADGKEEKNEGSEQE >DRNTG_27601.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16604836:16605397:1 gene:DRNTG_27601 transcript:DRNTG_27601.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTVLPQHRRQPEVPRVPPAFTISRTITRMRRRIPPAPPKKDENEPSSQSEDDEEVELDFNADDDYDEGVESEELEGFFLDFGADGKEEKNEGSEQE >DRNTG_27601.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16604836:16605344:1 gene:DRNTG_27601 transcript:DRNTG_27601.12 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTVLPQHRRQPEVPRVPPAFTISRTITRMRRRIPPAPPKKDENEPSSQSEDDEEVELDFNADDDYDEGVESEELEGFFLDFGADGKEEKNEGSEQE >DRNTG_27601.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16604719:16605631:1 gene:DRNTG_27601 transcript:DRNTG_27601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCHCCFALFEVFWVVLLSIFSSNVHESQKLNEKLKEKSQKFKQKS >DRNTG_27601.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16604719:16605344:1 gene:DRNTG_27601 transcript:DRNTG_27601.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAMRLAGTALKRSFVSLNRPPSLQALAMAFTVLPQHRRQPEVPRVPPAFTISRTITRMRRRIPPAPPKKDENEPSSQSEDDEEVELDFNADDDYDEGVESEELEGFFLDFGADGKEEKNEGSEQE >DRNTG_29664.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001493.1:21658:23308:1 gene:DRNTG_29664 transcript:DRNTG_29664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVPLSKKTAGKRPREPSPEHMEFAIAEHQARFERLSKLKFAESRFSDLSALREILLGDEMADEVLASLEFDRSYSSFNNIDAIQFRSFGQYHRTVRACGFQGDMPFLTSLPFIHPVLSRLVNGRGNNTGVLSQKKLLYLYSIVQSGPLHLGHILAVYLRRHGRYITRLTMGMCMIEIANGEGDTEEGSQHVPEP >DRNTG_01515.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:13784283:13788118:-1 gene:DRNTG_01515 transcript:DRNTG_01515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAFTRVSPYPSIASKQNRTNRSVGRWSQSRS >DRNTG_09650.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16691276:16697673:1 gene:DRNTG_09650 transcript:DRNTG_09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMNGTVRNLEHQMAQMSKLIKERLLSFLPSHTEVNPRSCLFIYDGGGESA >DRNTG_34625.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:83516:88779:-1 gene:DRNTG_34625 transcript:DRNTG_34625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAALTRPSPSLPASYSSIFQNYPLISAFLAFAIAQSTKCLTTWYKERRWDAKELIGSGGMPSSHSATVTALAIAVGFKDGFGSSSFAIAMILASVVMYDASGVRLHAGRQAEVLNQIVFELPEEHPLADTRPLRELLGHTPPQVVAGAVLGFIIASIGCLIDKAVNV >DRNTG_07966.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:18265654:18266995:1 gene:DRNTG_07966 transcript:DRNTG_07966.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFYGSDITRRLEEGYWESFCRYRSGEVYPRPVKGSLAMSRGLSTRPSTRLLRGFLYGFIAFTFYFFDSQGYDLRESINID >DRNTG_05071.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:14028318:14049256:1 gene:DRNTG_05071 transcript:DRNTG_05071.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKERTRLRGGRSGGRTSHAHSNIEGSSNTDSVPFSDANIPSVEETVNGIGSQQPNQNVVAVSDTQANYGSIQDVSTIVGRLRITVVNGLKGFKANSMSMTNQAHFERLAKLNFGQTHFQDVGALREVHLANNMADEVEELLTVANYATNGYDQEVSRRDAMNQTEVMARLDILQQILDPDAQVHDETPYSSNITSTTITTSNSTGHKEAMENKKISFGRKEKKTGISYYPYTTQYGGHMHCSSRMIWRVCPDFHTTRAELEPFVRPFFGHILGRHWRGLWRPWGGEEGQGS >DRNTG_08184.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000369.1:57755:59919:-1 gene:DRNTG_08184 transcript:DRNTG_08184.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLQHDRPKGRRNYIAGKKTTYTLGPRILDDEDPPEFVDDRMSSEDEDNYNDDITYTSHLVKGQTMADLFQEAFSTAASDEPVVERSCRQPRVEFHQRLQQVMQTEKKK >DRNTG_12478.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:22545077:22546519:1 gene:DRNTG_12478 transcript:DRNTG_12478.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLANVTFIPEETMVSDMTLPRSFSLYEYPLLDQLPKQLETGIVIRTDHDYALHVLGLDT >DRNTG_09531.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000400.1:12105:14564:1 gene:DRNTG_09531 transcript:DRNTG_09531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFNGLQTLATSLFIFFPLMVIGTKMVVQIKVQGDGKVEIEFRKDIENITDDEVKDFGVTIRRQLVNVKDMRASTALNLAVTMVDHSSAL >DRNTG_33404.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1531689:1537452:1 gene:DRNTG_33404 transcript:DRNTG_33404.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyltransferase and sulfurtransferase MOCS3 [Source:Projected from Arabidopsis thaliana (AT5G55130) UniProtKB/Swiss-Prot;Acc:Q9ZNW0] MYIVFACCEYLPTYLRQGLTKWILTIFLTPLMGLFLRLRSSRLRKKHLRIAYVFFRPDWMRLVPTLSKGMLLLLMVRVALRFLWAMLVTDNGLTPEMIYRYSRHLLLPNFGVEGQSKLSKSSILVVGAGGLGSPVALYLAACGAGCIGIVDSDIVEINNLHRQIIHKEEFVGQAKVKSAADACCSINSLVKLVEHNEALSPTNALEIVRKYDIVVDATDNLPSRYMISDCCVLLDKPLVSGAALGLEGQLTVYHHNGGPCYRCLFPTPPPTAACQRCSDSGVLGVVPGVIGCLQALEAIKIASAIGEPLAERMLIFDALSSRIRTVKIRGKSAQCIACGENARFTKETFQCFDYEEFTQSPMSDKARPKLSLIPESARISSHDYKEILDKGQPHILIDVRPAHHFKITALPNSMNIPLSILENKLPMIDSALKEVELASNEPACLYVVCRRGNDSQRAVQFLADNGFSSAKDIIGGLESWANNVDPKFPAY >DRNTG_33404.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1531427:1537452:1 gene:DRNTG_33404 transcript:DRNTG_33404.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyltransferase and sulfurtransferase MOCS3 [Source:Projected from Arabidopsis thaliana (AT5G55130) UniProtKB/Swiss-Prot;Acc:Q9ZNW0] MGLFLRLRSSRLRKKHLRIAYVFFRPDWMRLVPTLSKGMLLLLMVRVALRFLWAMLVTDNGLTPEMIYRYSRHLLLPNFGVEGQSKLSKSSILVVGAGGLGSPVALYLAACGAGCIGIVDSDIVEINNLHRQIIHKEEFVGQAKVKSAADACCSINSLVKLVEHNEALSPTNALEIVRKYDIVVDATDNLPSRYMISDCCVLLDKPLVSGAALGLEGQLTVYHHNGGPCYRCLFPTPPPTAACQRCSDSGVLGVVPGVIGCLQALEAIKIASAIGEPLAERMLIFDALSSRIRTVKIRGKSAQCIACGENARFTKETFQCFDYEEFTQSPMSDKARPKLSLIPESARISSHDYKEILDKGQPHILIDVRPAHHFKITALPNSMNIPLSILENKLPMIDSALKEVELASNEPACLYVVCRRGNDSQRAVQFLADNGFSSAKDIIGGLESWANNVDPKFPAY >DRNTG_28805.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28740056:28741721:-1 gene:DRNTG_28805 transcript:DRNTG_28805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADEDEGVPIIRFGIMGCADIAKKVSRAIALAPNASIVAVASRDAGKARRFIAENALPVGTKAYGSYDALIEDEDIEAVYMPLPTSLHVRWAVAAAVKRKHVLLEKPTALVVSDLDKILEACEHNRVQFMDGTMWMHYPRTARMKQVLSDPDLIGRIQMIHSTRAFSATPEFLETNIRIKPDLDSLGALGDLGWYCIGAILWAVDYQLPRSATALPAVHSNKSGIILACAASLHWDDGKVATFHCSFLCNTAMDIRIQGTSGSLHVTDFVIPYQEDSASFKLITGAHFAELHIGWSKMPDEVLTLTNLPQETLMVQEFARLVGLILSSGGRPDPKWPDTSRTTQLVMDAVKKSIDLGFKPVTL >DRNTG_31715.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:245747:247708:-1 gene:DRNTG_31715 transcript:DRNTG_31715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICCQFNLHPSSVNGPLRRAPLVPLQAVIVALDCNPRWLVKHIPSLSSSRQVPVISIKDSKRGSLRLGELVNLKTAMVIGVKTKGSKINEAIDTLLEDISKTP >DRNTG_31715.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:245747:247281:-1 gene:DRNTG_31715 transcript:DRNTG_31715.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICCQFNLHPSSVNGPLRRAPLVPLQAVIVALDCNPRWLVKHIPSLSSSRQVPVISIKDSKRGSLRLGELVNLKTAMVIGVKTKGSKINEAIDTLLEDISKTP >DRNTG_30118.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31045548:31047668:1 gene:DRNTG_30118 transcript:DRNTG_30118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPPFPILAILYTVIASLVFNLHLCNGIYFPLVHQKHKYYSKHDEIYAKVNTLTSIASTLSFDYYSLPCCKPKEEIIQSREENLGMLLMGKRIRNSPYRFRMNTSESLYLCTWHPLSKEEANMLVQMSHDLYQTNMILDGLPVLRIIGVGDVQIKFMGFPLGYYSTLDFNYYIVNHLKFRILIHSIDVDGALKMEVVGFEVVPCSIKHDHRAISQLQMHDRINHSICESPEHEPQVILENETVSFTYQVEFVEKNELRWSSRWDAYLQDEPEQLRWFSILNSLLTISLLAGFLLFKFSRTLWKELSRGLPLMSQGVTRWWHKEPSCYSILFCVMVANGIQLSCTAAATIMFTLIGLVSPISQGDFLITASIVFYFFSGIPAGYVSVWLWRRFKGRENCEGWRSVTWSTSYLFNAIIFTIFVTMNMIHVANGSTRAVPASVYWTLLSLWLFISLPCTFIGGFIAASIPSSSSSSSVSSDLYSNIIQNNNHARSIKTWLTVLMAGLIPFSTIFIELFFFLSSIWLERRFNDYGLLLLMALLMLAIACSAVSAGIAYRCVCTEDWGWCWTSFFASGSTGLYVFIYSVYYLAIDLRWLNGPASTSIYIGYSLILALCVMLSTGAIGSLAAFSFLQYLSTYAKF >DRNTG_30118.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31045548:31047668:1 gene:DRNTG_30118 transcript:DRNTG_30118.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSESLYLCTWHPLSKEEANMLVQMSHDLYQTNMILDGLPVLRIIGVGDVQIKFMGFPLGYYSTLDFNYYIVNHLKFRILIHSIDVDGALKMEVVGFEVVPCSIKHDHRAISQLQMHDRINHSICESPEHEPQVILENETVSFTYQVEFVEKNELRWSSRWDAYLQDEPEQLRWFSILNSLLTISLLAGFLLFKFSRTLWKELSRGLPLMSQGVTRWWHKEPSCYSILFCVMVANGIQLSCTAAATIMFTLIGLVSPISQGDFLITASIVFYFFSGIPASVYWTLLSLWLFISLPCTFIGGFIAASIPSSSSSSSVSSDLYSNIIQNNNHARSIKTWLTVLMAGLIPFSTIFIELFFFLSSIWLERRFNDYGLLLLMALLMLAIACSAVSAGIAYRCVCTEDWGWCWTSFFASGSTGLYVFIYSVYYLAIDLRWLNGPASTSIYIGYSLILALCVMLSTGAIGSLAAFSFLQYLSTYAKF >DRNTG_30118.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31045548:31047668:1 gene:DRNTG_30118 transcript:DRNTG_30118.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSESLYLCTWHPLSKEEANMLVQMSHDLYQTNMILDGLPVLRIIGVGDVQIKFMGFPLGYYSTLDFNYYIVNHLKFRILIHSIDVDGALKMEVVGFEVVPCSIKHDHRAISQLQMHDRINHSICESPEHEPQVILENETVSFTYQVEFVEKNELRWSSRWDAYLQDEPEQLRWFSILNSLLTISLLAGFLLFKFSRTLWKELSRGLPLMSQGVTRWWHKEPSCYSILFCVMVANGIQLSCTAAATIMFTLIGLVSPISQGDFLITASIVFYFFSGIPAGYVSVWLWRRFKGRENCEGWRSVTWSTSYLFNAIIFTIFVTMNMIHVANGSTRAVPASVYWTLLSLWLFISLPCTFIGGFIAASIPSSSSSSSVSSDLYSNIIQNNNHARSIKTWLTVLMAGLIPFSTIFIELFFFLSSIWLERRFNDYGLLLLMALLMLAIACSAVSAGIAYRCVCTEDWGWCWTSFFASGSTGLYVFIYSVYYLAIDLRWLNGPASTSIYIGYSLILALCVMLSTGAIGSLAAFSFLQYLSTYAKF >DRNTG_30118.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31045548:31047668:1 gene:DRNTG_30118 transcript:DRNTG_30118.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSESLYLCTWHPLSKEEANMLVQMSHDLYQTNMILDGLPVLRIIGVGDVQIKFMGFPLGYYSTLDFNYYIVNHLKFRILIHSIDVDGALKMEVVGFEVVPCSIKHDHRAISQQVEFVEKNELRWSSRWDAYLQDEPEQLRWFSILNSLLTISLLAGFLLFKFSRTLWKELSRGLPLMSQGVTRWWHKEPSCYSILFCVMVANGIQLSCTAAATIMFTLIGLVSPISQGDFLITASIVFYFFSGIPAGYVSVWLWRRFKGRENCEGWRSVTWSTSYLFNAIIFTIFVTMNMIHVANGSTRAVPASVYWTLLSLWLFISLPCTFIGGFIAASIPSSSSSSSVSSDLYSNIIQNNNHARSIKTWLTVLMAGLIPFSTIFIELFFFLSSIWLERRFNDYGLLLLMALLMLAIACSAVSAGIAYRCVCTEDWGWCWTSFFASGSTGLYVFIYSVYYLAIDLRWLNGPASTSIYIGYSLILALCVMLSTGAIGSLAAFSFLQYLSTYAKF >DRNTG_13509.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21942539:21943031:1 gene:DRNTG_13509 transcript:DRNTG_13509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTVSITIQNLVKRDYSVINIQCRDRPKLLFDIVCVFTDMNYVVFHGTVNTEGDKSHQFYVRSSDGKIIGIEEEKEIVVKNLRTWIEKSVEKGLRLEICPEDRPGLLAYVTRVLREHFIKQLPEPAGVMARH >DRNTG_16982.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000861.1:27538:30552:1 gene:DRNTG_16982 transcript:DRNTG_16982.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAFTHLLSRTRANLLSWSNAGLTPLDITIKDTEADICILEAIPLLLVTLLDSWIVIQGGSIVSAQSVIQSSFVNFYTNLCWITISRFSLRLKSIIALTAWYLWKARCDAIFRDVKPNFTNIAHKAVNHVRDIYGVNNFHCKKLLLSSFCSSKGPFLFSASVWNGINQVCKGGFYIAHSNYKIALADRFAAATESHIAAELQTLIFALQSALDHTLQIHTILIGDSSILDVLRSTNFVCS >DRNTG_25791.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2896254:2898583:1 gene:DRNTG_25791 transcript:DRNTG_25791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVDAFAGKLVERLANVIEEKAIMVLGVKDDLQRLRRRMERMARVLKDAERRRIQDEAVQGWVDELKDLMYDAEDIIDLCMIQGMRLLQDDDQHSQPAESSAAASTRVRCCNFPLFSCVRSVPFRYEIADQIKNLNVKLEEISADKDKFNFITSSSSNSSDAYVVNHQPSSRQSSSLPEPDIVGWDIRDSTKSLVELLISPHEQKCRLFAIVGMGGIGKTTLARQIYNDSKINDHFLLHSWIWVSKSSTSTTDLLKEIIRNVGDSYGESMTIAELQKILSKVLHEKSLFLVLDDVWDANVWIELIESLLQIATKKCRVLVTTRDRNTAMKMRAAHIHNVNKLSLDFGWELLCKKVFTNNEVSDMQRLKDIGMQIVEKCDGLPVAIKAIAGVLLTKDRNKREWENVLNSDAWTITGLPEELRGALYLSYDTLPSALKQCFLYCSLQRHEFQREEVIHEWIAEGFIKPSGNASMEDIAKDYYMELIRRSFLQPNLDYVDMSECTMHDLLRGLAQALAGNENFLGDPQDARNTNSIKKVRRLTVSSERDNVIIEHLDSLRRLSLLTPPNLNTSVIGSLKHLRLLILNGDRIENIPDSIGDLVHLRLLDLYNTRICELPEFLGNLINLQSLVLSYCESLHILPRSITKLCSLRRFVLHHTPLNYVPKGIGKLEHLNSLSGFIIGNNGINEGVGCDLEELQMLENLSFLDIKNLEKARGKSALVLSNKPSLRELHLCCTRNISGHVQQQEMDKIV >DRNTG_13062.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:903258:908344:-1 gene:DRNTG_13062 transcript:DRNTG_13062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRTRQNATDSPKAEVGEIDTRAPFESVKAAVSLFGEVAFSTDKLAAKKAKTPPTERTLAKETELHLAQKELNKLKEQLSTAETTKSQALVELDIAKRTVDELTQKLNIINESKESALKSTEEAKNQTKQLELVSSGERTGQDGSWKQELNSSREQYAVANAELDAAKQELRLMRKDFDMSIDAKMFALNQETEAKHLIEANKEKVAQFSKEIADAQESLVHVKLATEQAQQEEFKIISEKDSSRQSQKQSLEETLQKLTSLKKEFDPELYNRLEAKLTETTAEIEGVQKELESGKASDLEHISDVTMELDGAKEMLQKIAEEESSLQNLVDSLKLELEAVQKDHSELKQKDAEVESIIGSLHVKLRKCKAELEAAMATESKTTLASDELVSALQQLSIESENAQREGEAMLKNAEELRNEADAAHIALEDTERKLQLALKEAEEAKAAEVKALDQIKVLSERTNAARASTSDSGANITISAEEYESLSRKVEESEKLAEMKVAAAVAQVEAVRASENEAVTRLEAIRKETKEVETATEEALKRAEMSEAAKKAVEGELRRWREKEQKRAAETASRILTETQMSTEASPPRPRDIPIQEKTDETRKAHKSHASKKILLPSLSGIFHRKKSHSDVGSPSYLPNEKHGVEVKKGKKAMWTGSSGASTVPDGHQQSLSTIITDEERGARNPQVITRAKVSSLNLKKDS >DRNTG_01772.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10919200:10955382:1 gene:DRNTG_01772 transcript:DRNTG_01772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISPIFSSNLPDAGTLQVPCLPYSTTPFLLTFSRSSLPFLRYQKPRSGRHSLVLHRESLITGGIKEPSTVSVEDMPLGEDTADMEDYATLSKLLQEFASISSIEKAWIAKSENGSASHAMFCIGQPNFLANKKRTLFLSSHISKTAEHSVKFKWPPFPVEMIGVSKVVPSPSASKLLIVRNKENDSLVWLEIWGQSQLEKEIQIPQSVHGPLYTDGWFEGISWNQEETLIAYVAEEPAPPKPVFTDAGYKKDGSSDKDGTSWKGQGDWEEDWGETYSKKKRPTLFVLDINSGEVRPVEGIPKSLSAGQVVWAPSASIGHLKCLVFVGWSTEYGSLKTSRKLGIKYCYNRPCALYLVQSPFRETIADKLPCKTDEKEDFGSAFHLTRGISSAMFPRFSPDGKVLVFLSSKSAVDSGAHSATDSLHKIDWPADGKPNTSPTIYDVVPVVACSEDNCFPGIYVANILDSPWLSDGSTMILSSVWRCTQVILAVNVKSCTLSRITPSDSTYSWNFLALDGDNILALSSSPIDPPQVKYGYHIPQEQELTWNWLDVPSPLEGSSNKIRSLLSSHGFSILKIPVNDSSDKLSEGAKKPIESIFVSRINSCCEDSCKEGLNDGASNPLILILHGGPHSVSVSGYSKSIAFLSSLGYNLLIVNYRGSLGFGEEALQSLPGKIGCQDVNDVLAALDYVLERGLADSSKVAILGGSHGGFLTTHLIGQAPDRFAAVAVRNPVCNLALMVGTTDIPDWCYFESYGMQGKKLFSEAASLEHLELFFNKSPIAHISKVKTPVLFLLGAQDLRVPVSNGLQYARALKEQGVEVKIIMFPDDVHGIERQQSDFESFLNIGVWFKKYLK >DRNTG_13447.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19242305:19245012:-1 gene:DRNTG_13447 transcript:DRNTG_13447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSRIVWKKVSGRAFIGTNPARTHSKARFTGCFLDAMTEEESIKVGDKAPPKPQRPPPSRLTPQEPHRRRERIWQNGYL >DRNTG_28522.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4612536:4613604:1 gene:DRNTG_28522 transcript:DRNTG_28522.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSEIVKYLDAKSILVTGFISVYGGSTQCEETFLMVRANDAISARQRVENEIASMEVFKVLKKEHGESFGSYFGTRFTL >DRNTG_10845.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25038494:25040165:1 gene:DRNTG_10845 transcript:DRNTG_10845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVLWRSLDPAKVLLSSLGYTSLDRTFKRHGGHEYTILPKKEKKTRSQYCSVFTVAYYYSGEYCSSELGLRLGGDQELELQGESFIIKRGGTLCIPWARGSIIRLALFFFVIIRTRECLLCI >DRNTG_34178.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7039786:7040407:1 gene:DRNTG_34178 transcript:DRNTG_34178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLVGAVFWFVKKRRRPAIGGNSVNSAIPASSLGSLPQPLDSLYSRPPTGPLVKHGSGTGSHMFFYSPSHQSLGSLTLCFTYEELLECTNGFSHDSLLGKGGFGCVYKGCLPDKREVAVKQLKVGGAQGEREFRAEVEIISRVHHRHLVSLVGYCISENQRLLVYDYVPN >DRNTG_06246.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000285.1:40680:44560:-1 gene:DRNTG_06246 transcript:DRNTG_06246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQPPYPQAITWFGGAQRQSHLRILTFAMIPYIKLPLVPIWEYFFPSFLHLFPNFRETCG >DRNTG_07916.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000350.1:13682:18216:1 gene:DRNTG_07916 transcript:DRNTG_07916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGSSSSASQANVPFFNGEHFNLWTLMMKTMFRSRDLWNLVEKGFGEEEDGNRLNESMKKDAKALYLIQQALEPKILVRISEAKTAKEAWEILKTEFQGDSDTNTVKLHSLHREYDAAKLKQGESIQDFISRVLDVVFRIQVMGDQLPDKNVVAKILRSLTPRFSHVVHSIIEAKDLNTLTVEALGSSLKSHESILNLAGEEEEKALHAKSSPFGEHSHRGGRGRGRSSFRGRGRGRGRSYEHGRGIDAGKQYKGMQCFICKKFGHLKAHCWYKNKEANVTEEAKEADEGLLFMASNEHMSEGGGTWLVDSGCSNHMSGDKKLFQNIKETTQQTIRLGDGKALQVAGVGSVVLRSSTGKLSTLTNVQYVPHLAHNLLSVGQLMTAGYNVEFADGECSIREAASNTKVAGVQMTSHRLFPLDADDVGAANVVQGNETLMNLWHKRYGHLNLKSLKLLSEKQLVHGLPTIKQTNPCETHCEACSLGKQTRVEFPKGQARRATIPLELIHGDLVGPMQMTSLGGNKYFFLLTDDLSRHSWVFFLQRKSDSLQQFKTFKAMVEKQLSSSVKILRTDRGGEFTSKEFKSFCELSGIRQQLTAPRSPQQNGVAERKNRTVTEMARTMLKEKKMPLEYWAEAVSTAVYVLNRAPTKALENLTPYEALTGLKPAVDHLRVFGCLTFTMVDSQHRKKFDAKSERRVFIGYCDKSKAYKVINPVTKRIEVSRDIEFVENEGWDWSNASESTTQNFAPTVDVEKVIETDYENISQPLMAPDERRGSNQHPNNSSLVNQISLIEEDATSVRYRELTDIYNTCSFALTASDPILFEEAAKSKDWIVAMQEEMEAIHKNQTWKLTALPEGKRAIGLKWIFKSKFNPDGTLLRKKARVVAKGYVQQEGVDFEDAYSPVARMETIRMFFAIGAQRMWCIHQLDVKTAFLNGEIKEEVYVVQPEGFIVREKEEQVYKLEKALYGLRQAPRAWYSHIDRYFHQQGYVRSLNEPTVYKRDKGGSNILMLCLYVDDIIYMSSSSEMMTEFRKNMMSTFEMSDLGPLRYFLGLEVKQKPGSLFVSQLRYAEDLLKKTGMLHSKPVASPMNSNEKLSLKDNSGNADPTRYRKIVGGLLYLTHTRPDLAFAVGVVSRFMQAPTTHHLGAVKRILHYVAGTVSYGLHFSHNNNFKLIGYTDSDWGGSPDDRKSTSGWVFSLGSAAIAWSSKKQLITALSSTEAEYISVTSAACEAVWLRRLLEELNEKQEGASVIHCDNKSAISITRNPILHGRTKHIDTRYHFIRDLVKDGIIEVIHCSTHDQVADILTKALPTHKHEYFRDALGVWSSEQGGNVVKLAQNPC >DRNTG_09543.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18973781:18976835:1 gene:DRNTG_09543 transcript:DRNTG_09543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARNRNSGEGMRSYNSGYRGFGRGGFSRGHSKPYAPPPPPPPPPPPRKTDILLEAGRLAAEYLVFKGLLPPNSLPARWQDRSFQEPKDREPPPPPQQSAFSRLGSLQPDHHGRRRFDDEYNRPGMKRGRRRGPYNRSYSSDWGRENGRNGQWNERSGRHWDGPEGDDDFAPGYQRERRTGFDDVGSSVSRTSRDERNSRSESGSEIENHEVVDDTGSKVSSSSTRKEQLAEVDVEMSKNKELDDDVKADNLENGDVNIDAEKKIQQEEDVNLHANNAADNDSAVKLSSNLLKLCSFAKVPTRPRSSLMQRNDKHDDKPMEGSSSNSHADQDDNLEGEARNVTSVLTDQPMEETVDVHNEANDNPPGFEAFSSAIAEEEDASFEQHIQKNDEIDTNTEMNLTSSEIPQEEDYPNLHDSGETQAKPYIENIPSDDDMVEEIELGKSSSPVLFSKEEPFEEDKQLEPTSFKTCDLNLMEAPEMTDIPDGSVLGSLQTSASTIKTEEETAVGFGLSIGHNVNGSDVYNRASGDNKMVPIINLEDDSPMEDAACGSSKPKSETLYSSLENFLSQADHQDDLHSIQDGYSLAISELLGNDISGCPSVSSDLNNLQTGMGLSGPEGVSGVDDSIYVTLGELPIAFMEAWDQPPQEFGKFF >DRNTG_27835.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19665176:19668023:1 gene:DRNTG_27835 transcript:DRNTG_27835.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGCQNTKSTKGQIRYLVYCMENAILNLPPDQEQMVWLIDFDGFHLSNISIKVTKETAHVLQEHYPERLGVAILYNPPKFFEPFWTVAKPFLEPKTCNKVKFVYADNDASKMIMQGLFNMDELESSFGGNNQTVFNVDNYASMMREDDKRMPLFWTQGSVSSEGAQSSSSIPAALIDSNNPESNCPDSNQKFEGNLSSEHNHALECSSHNTDLGETNGNDNVKVDER >DRNTG_27835.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19663856:19668023:1 gene:DRNTG_27835 transcript:DRNTG_27835.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKNSDSNVSGKQPLSEEQHAKISEIRKSLGQLPEKLLHYCTDASIARYLTARNWNVKKATKMLKDTLKWRLEYKPEEIRWEDIAHEAETGKIYRTNYFDKYGRSVLVMRPGCQNTKSTKGQIRYLVYCMENAILNLPPDQEQMVWLIDFDGFHLSNISIKVTKETAHVLQEHYPERLGVAILYNPPKFFEPFWTVAKPFLEPKTCNKVKFVYADNDASKMIMQGLFNMDELESSFGGNNQTVFNVDNYASMMREDDKRMPLFWTQGSVSSEGAQSSSSIPAALIDSNNPESNCPDSNQKFEGNLSSEHNHALECSSHNTDLGETNGNDNVKVDER >DRNTG_27835.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19663856:19668023:1 gene:DRNTG_27835 transcript:DRNTG_27835.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKNSDSNVSGKQPLSEEQHAKISEIRKSLGQLPEKLLHYCTDASIARYLTARNWNVKKATKMLKDTLKWRLEYKPEEIRWEDIAHEAETGKIYRTNYFDKYGRSVLVMRPGCQNTKSTKGQIRYLVYCMENAILNLPPDQEQMVWLIDFDGFHLSNISIKVTKETAHVLQEHYPERLGVAILYNPPKFFEPFWTVAKPFLEPKTCNKVKFVYADNDASKMIMQGLFNMDELESSFGGNNQTVFNVDNYASMMREDDKRMPLFWTQGSVSSEGAQSSSSIPAALIDSNNPESNCPDSNQKFEGNLSSEHNHALECSSHNTDLGETNGNDNVKVDER >DRNTG_27835.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19663856:19668106:1 gene:DRNTG_27835 transcript:DRNTG_27835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKNSDSNVSGKQPLSEEQHAKISEIRKSLGQLPEKLLHYCTDASIARYLTARNWNVKKATKMLKDTLKWRLEYKPEEIRWEDIAHEAETGKIYRTNYFDKYGRSVLVMRPGCQNTKSTKGQIRYLVYCMENAILNLPPDQEQMVWLIDFDGFHLSNISIKVTKETAHVLQEHYPERLGVAILYNPPKFFEPFWTVAKPFLEPKTCNKVKFVYADNDASKMIMQGLFNMDELESSFGGNNQTVFNVDNYASMMREDDKRMPLFWTQGSVSSEGAQSSSSIPAALIDSNNPESNCPDSNQKFEGETNGNDNVKVDER >DRNTG_27835.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19665176:19668023:1 gene:DRNTG_27835 transcript:DRNTG_27835.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKNSDSNVSGKQPLSEEQHAKISEIRKSLGQLPEKLLHYCTDASIARYLTARNWNVKKATKMLKDTLKWRLEYKPEEIRWEDIAHEAETGKIYRTNYFDKYGRSVLVMRPGCQNTKSTKGQIRYLVYCMENAILNLPPDQEQMVWLIDFDGFHLSNISIKVTKETAHVLQEHYPERLGVAILYNPPKFFEPFWTVAKPFLEPKTCNKVKFVYADNDASKMIMQGLFNMDELESSFGGNNQTVFNVDNYASMMREDDKRMPLFWTQGSVSSEGAQSSSSIPAALIDSNNPESNCPDSNQKFEGNLSSEHNHALECSSHNTDLGETNGNDNVKVDER >DRNTG_03389.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17964831:17971340:-1 gene:DRNTG_03389 transcript:DRNTG_03389.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGVEKKRKEEEELEALDDHRSRVAATEACRDVDVVTDLGECSTSIDSGRDHQLGSSIASESVQSSAFEFHRTDQRALHHRPALMSPFSKPAPSKWDDAQKWIASPTASRPGKGGGGGGGGGGGGGVPHKRLGVLGGGARSSAAKVVVECNDGVDIKGVDLSIGRKEGDLQKAVNWVPELYSVAETPVKPPLIAENSISDSAINLSRHDSSASVQSATTFVTPPPTSTSVSMRDVGTEMTPIASQEPSRTATPVKATTPMRSPNSSQPSTPRRAAQNSDPIESIDCHGGSSNTELSEKDLQMRTRKEIMILGTKLGKTNIAAWASKEEEEIDESSSLKIAPVSQTSKSVIEARASAWEEAEKAKYLARFKREEIKIQAWENHQKAKTEAEMRKIEVDVEKMRAQAHDKLMNKLAATRLKAEEKRMAAEAKRNQQAAKTAQEVEYIRRTGRIPSSFSCWGLCS >DRNTG_03389.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17964831:17971340:-1 gene:DRNTG_03389 transcript:DRNTG_03389.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFSKPAPSKWDDAQKWIASPTASRPGKGGGGGGGGGGGGGVPHKRLGVLGGGARSSAAKVVVECNDGVDIKGVDLSIGRKEGDLQKAVNWVPELYSVAETPVKPPLIAENSISDSATVNLSRHDSSASVQSATTFVTPPPTSTSVSMRDVGTEMTPIASQEPSRTATPVKATTPMRSPNSSQPSTPRRAAQNSDPIESIDCHGGSSNTELSEKDLQMRTRKEIMILGTKLGKTNIAAWASKEEEEIDESSSLKIAPVSQTSKSVIEARASAWEEAEKAKYLARFKREEIKIQAWENHQKAKTEAEMRKIEVDVEKMRAQAHDKLMNKLAATRLKAEEKRMAAEAKRNQQAAKTAQEVEYIRRTGRIPSSFSCWGLCS >DRNTG_03389.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17964831:17971340:-1 gene:DRNTG_03389 transcript:DRNTG_03389.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFSKPAPSKWDDAQKWIASPTASRPGKGGGGGGGGGGGGGVPHKRLGVLGGGARSSAAKVVVECNDGVDIKGVDLSIGRKEGDLQKAVNWVPELYSVAETPVKPPLIAENSISDSAINLSRHDSSASVQSATTFVTPPPTSTSVSMRDVGTEMTPIASQEPSRTATPVKATTPMRSPNSSQPSTPRRAAQNSDPIESIDCHGGSSNTELSEKDLQMRTRKEIMILGTKLGKTNIAAWASKEEEEIDESSSLKIAPVSQTSKSVIEARASAWEEAEKAKYLARFKREEIKIQAWENHQKAKTEAEMRKIEVDVEKMRAQAHDKLMNKLAATRLKAEEKRMAAEAKRNQQAAKTAQEVEYIRRTGRIPSSFSCWGLCS >DRNTG_03389.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17964831:17971340:-1 gene:DRNTG_03389 transcript:DRNTG_03389.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFSKPAPSKWDDAQKWIASPTASRPGKGGGGGGGGGGGGGVPHKRLGVLGGGARSSAAKVVVECNDGVDIKGVDLSIGRKEGDLQKAVNWVPELYSVAETPVKPPLIAENSISDSAINLSRHDSSASVQSATTFVTPPPTSTSVSMRDVGTEMTPIASQEPSRTATPVKATTPMRSPNSSQPSTPRRAAQNSDPIESIDCHGGSSNTELSEKDLQMRTRKEIMILGTKLGKTNIAAWASKEEEEIDESSSLKIAPVSQTSKSVIEARASAWEEAEKAKYLARFKREEIKIQAWENHQKAKTEAEMRKIEVDVEKMRAQAHDKLMNKLAATRLKAEEKRMAAEAKRNQQAAKTAQEVEYIRRTGRIPSSFSCWGLCS >DRNTG_03389.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17964831:17969812:-1 gene:DRNTG_03389 transcript:DRNTG_03389.10 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVGTEMTPIASQEPSRTATPVKATTPMRSPNSSQPSTPRRAAQNSDPIESIDCHGGSSNTELSEKDLQMRTRKEIMILGTKLGKTNIAAWASKEEEEIDESSSLKIAPVSQTSKSVIEARASAWEEAEKAKYLARFKREEIKIQAWENHQKAKTEAEMRKIEVDVEKMRAQAHDKLMNKLAATRLKAEEKRMAAEAKRNQQAAKTAQEVEYIRRTGRIPSSFSCWGLCS >DRNTG_03389.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17964831:17965156:-1 gene:DRNTG_03389 transcript:DRNTG_03389.12 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQAHDKLMNKLAATRLKAEEKRMAAEAKRNQQAAKTAQEVEYIRRTGRIPSSFSCWGLCS >DRNTG_03389.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17964831:17971340:-1 gene:DRNTG_03389 transcript:DRNTG_03389.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDYARIDKPFSHPSGGGFSPGKLRAMLLGVEKKRKEEEELEALDDHRSTGVAATEACRDVDVVTDLGECSTSIDSGRDHQLGSSIASESVQSSAFEFHRTDQRALHHRPALMSPFSKPAPSKWDDAQKWIASPTASRPGKGGGGGGGGGGGGGVPHKRLGVLGGGARSSAAKVVVECNDGVDIKGVDLSIGRKEGDLQKAVNWVPELYSVAETPVKPPLIAENSISDSATVNLSRHDSSASVQSATTFVTPPPTSTSVSMRDVGTEMTPIASQEPSRTATPVKATTPMRSPNSSQPSTPRRAAQNSDPIESIDCHGGSSNTELSEKDLQMRTRKEIMILGTKLGKTNIAAWASKEEEEIDESSSLKIAPVSQTSKSVIEARASAWEEAEKAKYLARFKREEIKIQAWENHQKAKTEAEMRKIEVDVEKMRAQAHDKLMNKLAATRLKAEEKRMAAEAKRNQQAAKTAQEVEYIRRTGRIPSSFSCWGLCS >DRNTG_03389.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17964831:17971340:-1 gene:DRNTG_03389 transcript:DRNTG_03389.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGVEKKRKEEEELEALDDHRSRVAATEACRDVDVVTDLGECSTSIDSGRDHQLGSSIASESVQSSAFEFHRTDQRALHHRPALMSPFSKPAPSKWDDAQKWIASPTASRPGKGGGGGGGGGGGGGVPHKRLGVLGGGARSSAAKVVVECNDGVDIKGVDLSIGRKEGDLQKAVNWVPELYSVAETPVKPPLIAENSISDSATVNLSRHDSSASVQSATTFVTPPPTSTSVSMRDVGTEMTPIASQEPSRTATPVKATTPMRSPNSSQPSTPRRAAQNSDPIESIDCHGGSSNTELSEKDLQMRTRKEIMILGTKLGKTNIAAWASKEEEEIDESSSLKIAPVSQTSKSVIEARASAWEEAEKAKYLARFKREEIKIQAWENHQKAKTEAEMRKIEVDVEKMRAQAHDKLMNKLAATRLKAEEKRMAAEAKRNQQAAKTAQEVEYIRRTGRIPSSFSCWGLCS >DRNTG_03389.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17964798:17965156:-1 gene:DRNTG_03389 transcript:DRNTG_03389.11 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQAHDKLMNKLAATRLKAEEKRMAAEAKRNQQAAKTAQEVEYIRRTGRIPSSFSCWGLCS >DRNTG_03389.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17964831:17971340:-1 gene:DRNTG_03389 transcript:DRNTG_03389.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYARIDKPFSHPSGGGFSPGKLRAMLLGVEKKRKEEEELEALDDHRSRVAATEACRDVDVVTDLGECSTSIDSGRDHQLGSSIASESVQSSAFEFHRTDQRALHHRPALMSPFSKPAPSKWDDAQKWIASPTASRPGKGGGGGGGGGGGGGVPHKRLGVLGGGARSSAAKVVVECNDGVDIKGVDLSIGRKEGDLQKAVNWVPELYSVAETPVKPPLIAENSISDSAINLSRHDSSASVQSATTFVTPPPTSTSVSMRDVGTEMTPIASQEPSRTATPVKATTPMRSPNSSQPSTPRRAAQNSDPIESIDCHGGSSNTELSEKDLQMRTRKEIMILGTKLGKTNIAAWASKEEEEIDESSSLKIAPVSQTSKSVIEARASAWEEAEKAKYLARFKREEIKIQAWENHQKAKTEAEMRKIEVDVEKMRAQAHDKLMNKLAATRLKAEEKRMAAEAKRNQQAAKTAQEVEYIRRTGRIPSSFSCWGLCS >DRNTG_03389.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17964831:17971340:-1 gene:DRNTG_03389 transcript:DRNTG_03389.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDYARIDKPFSHPSGGGFSPGKLRAMLLGVEKKRKEEEELEALDDHRSRVAATEACRDVDVVTDLGECSTSIDSGRDHQLGSSIASESVQSSAFEFHRTDQRALHHRPALMSPFSKPAPSKWDDAQKWIASPTASRPGKGGGGGGGGGGGGGVPHKRLGVLGGGARSSAAKVVVECNDGVDIKGVDLSIGRKEGDLQKAVNWVPELYSVAETPVKPPLIAENSISDSATVNLSRHDSSASVQSATTFVTPPPTSTSVSMRDVGTEMTPIASQEPSRTATPVKATTPMRSPNSSQPSTPRRAAQNSDPIESIDCHGGSSNTELSEKDLQMRTRKEIMILGTKLGKTNIAAWASKEEEEIDESSSLKIAPVSQTSKSVIEARASAWEEAEKAKYLARFKREEIKIQAWENHQKAKTEAEMRKIEVDVEKMRAQAHDKLMNKLAATRLKAEEKRMAAEAKRNQQAAKTAQEVEYIRRTGRIPSSFSCWGLCS >DRNTG_03389.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17964831:17971340:-1 gene:DRNTG_03389 transcript:DRNTG_03389.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDYARIDKPFSHPSGGGFSPGKLRAMLLGVEKKRKEEEELEALDDHRSTGVAATEACRDVDVVTDLGECSTSIDSGRDHQLGSSIASESVQSSAFEFHRTDQRALHHRPALMSPFSKPAPSKWDDAQKWIASPTASRPGKGGGGGGGGGGGGGVPHKRLGVLGGGARSSAAKVVVECNDGVDIKGVDLSIGRKEGDLQKAVNWVPELYSVAETPVKPPLIAENSISDSAINLSRHDSSASVQSATTFVTPPPTSTSVSMRDVGTEMTPIASQEPSRTATPVKATTPMRSPNSSQPSTPRRAAQNSDPIESIDCHGGSSNTELSEKDLQMRTRKEIMILGTKLGKTNIAAWASKEEEEIDESSSLKIAPVSQTSKSVIEARASAWEEAEKAKYLARFKREEIKIQAWENHQKAKTEAEMRKIEVDVEKMRAQAHDKLMNKLAATRLKAEEKRMAAEAKRNQQAAKTAQEVEYIRRTGRIPSSFSCWGLCS >DRNTG_01378.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28593963:28595034:-1 gene:DRNTG_01378 transcript:DRNTG_01378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHANPNPHLSHQPLKTSKTRTMAKLMLVISLISLFIISTLAAAPPTPDCTPVLYQMADCVSFVQDGSNETFPDKKCCAAVTSAVAISPDCLCFALEQAANLGYKINMTQAALLPKDCKVKQQINCNSTNPPSPSPKPSPTPPKHSPPSPSPTPTPTPSPSPSPPSTTPPSPPAPPLTPTLAPSPATQTPAPSPKKSDAVNVHLSIVSLLVGVAVLAVSFL >DRNTG_05964.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20365625:20368030:1 gene:DRNTG_05964 transcript:DRNTG_05964.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQYNSGTKTHICHLVTIDSKFHLKNNLSQSTARHSKPTQKNNLRGFQNLID >DRNTG_05964.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20365700:20368030:1 gene:DRNTG_05964 transcript:DRNTG_05964.2 gene_biotype:protein_coding transcript_biotype:protein_coding IDSKFHLKNNLSQSTARHSKPTQKNNLRGFQNLID >DRNTG_10933.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:87832:88872:1 gene:DRNTG_10933 transcript:DRNTG_10933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQESQKRDVEETEFQAPSMPISCANKCGFFGNPATNNLCSKCYKDAFLTKTMASIETLMASPAAEPEKTDEIPDTDDKVESFEGSSVGEASGSAKKPGNRCGFCSKKVGLMGFKCRCGDLFCSSHRYSDKHNCVFDYRGAGREAIAKANPVVKADKVEKI >DRNTG_05968.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20484007:20484539:1 gene:DRNTG_05968 transcript:DRNTG_05968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLTQIHIFSHKNNYYLSFILSINLSSKPSSSSSTNHQPTSHTNTFFSINQHFLH >DRNTG_34057.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26835445:26846627:1 gene:DRNTG_34057 transcript:DRNTG_34057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAEDKGGDSTEDFSASLDKVLDCMTSSIQIAYPKDILKHQKNLLHVLSSVLSHGFSWTVKMSAFSTIKALCSKLSPVSEDKNEFSHDVISLIQEMFHSLTSKVVECIHTIKISQVHIGASECLLEISKLYSKSPTLDKGHEIKSELIHLLEVEKSEEAKSLLRNCIQILEDLEQQI >DRNTG_11423.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:917044:918147:1 gene:DRNTG_11423 transcript:DRNTG_11423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSRTGPGAGAGAIEIGHDRLVIRLPDHRLISLVTRSVMLVIVMISLPWLRFMAGGNVYSDGGGRGEDSALLTMVVRDLSRQGLLKPGDKAVFSGGPVGWLLPLLKGSQIDLVAEGRESGVDFVFAGNGFGESIDKTLKVGGIAAFRVITHPAEPFRVPANYRMVYIRRIESTVVGLRKISSVDPDDGKKMGARRLLTVSEAKKVVLDGLEDVLLEPPPSRKTKPGKYSYLPDLTGDSLDGYPRRVFVDVGLPSQAATTAEWFKKRYPTKNHEFEMISVEEVGKGPVAVVKWVKNNVKEEEYVVMKAEADVVEEMLKRQAVKLVDELFLECKNTQWRKGRRAYWECLALYGKLRDQGVAVHQWWG >DRNTG_25911.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19919669:19922099:-1 gene:DRNTG_25911 transcript:DRNTG_25911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGTPALGKSPAAVGTPSPVVRVLLREKEAGGEKELSFRSTTKFMAEEQDGEHQEMPQPLVMLKLILTVVCRKLTRNPNTYSSILGLLWSLISFKWQVSMPSLVMNSIKIISDAGLGMAMFSLGLFMALQPKIIACGARMACIGMVIRFISGPMIMSAASIAVGLRGVHLHTAIVQAALPQGIVPFVFAREYGLHPDILSTGVIFGMLVSLPVTLLYYIFLGL >DRNTG_27008.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26903521:26904245:-1 gene:DRNTG_27008 transcript:DRNTG_27008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVLRPRDCLPPVTPAGTLFHRRNPNPKSSRKPSWSPRPDPKKQREGSPKPSKSTSSSHIVAGHVTILQRGQPLDAFRRADDTVFGIGRLGPEPDLIPRTVWKEDRTAGEIYAGAGFLVSAPAPSALPLPNFSTRRVVAVDQTATRDLRRLLRLD >DRNTG_01287.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1984363:1984861:-1 gene:DRNTG_01287 transcript:DRNTG_01287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAAFITPPRHRASLQPRISQNQQDTSNHAHKQGD >DRNTG_34756.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002187.1:48101:49304:-1 gene:DRNTG_34756 transcript:DRNTG_34756.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGTHPVSLEDHPCGRKDAPQDSSRGIPIEGFAPKRARREPSPPAQEPRFSKEELKTRYALLSRMVFGTVRKFDWKALNTLGLDGMVLELISHDSWDKLFSIKEVTFKELCLEVLSSMKMVKEYPFIHQSNHITYRAFGKKRRVSEDDIGVHLGLYMEAYLRSSAFKQSVDEASQLKANASYWSSISASSRTRKASQLTNLTHRYIHPLLTRSIGAEMTLLG >DRNTG_06770.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21644600:21647664:-1 gene:DRNTG_06770 transcript:DRNTG_06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLSHPAASTRPTPFHRHLFNSHSETPSSSRFALTAISDPLLRSTRRRFLIFRKIRGFSKLSLKYVTMNWINMGVVNNAVYASYCQHGRHELLEKIGLSADAVARTGESLALSELSLKFISPLRSHDKFVVKVRISGTSAARIFFDHLIFKLPDQKPILEAKGTAVWLDQNYRPIRIPAEFKTKLLQFSAADDPN >DRNTG_06770.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21644600:21647664:-1 gene:DRNTG_06770 transcript:DRNTG_06770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLSHPAASTRPTPFHRHLFNSHSETPSSSRFALTAISDPLLRSTRRRFLIFRKIRGFSKLSLKYVTMNWINMGVVNNAVYASYCQHGRHELLEKIGLSADAVARTGESLALSELSLKFISPLRSHDKFVVKVRISGTSAARIFFDHLIFKLPDQKPILEAKGTAVWLDQNYRPIRIPAEFKTKLLQFSAADDPN >DRNTG_06770.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21647366:21647664:-1 gene:DRNTG_06770 transcript:DRNTG_06770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSHTFFTLTARTRRRAPFPCRCTASSPTRSSHSAHHSPTDAGPFSSRRLHSANPVPPPSLQLPLRNPIFFSLRPHRHLRSAATINSQAFFDLSQDPR >DRNTG_21895.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19615026:19619860:1 gene:DRNTG_21895 transcript:DRNTG_21895.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLAVALLALVLCSPVLASQADPILKLPSDRYGVYFNGEDDEGSASTRWAVLIAGSSGFSNYRHQADVCHAYQTLKKGGLKDENIIVFMYDDIANSEDNPRPGIVINHPEGEDVYAGVPKDYVGEDVTVDNLFAVLLGNRSALSGGSGKVVDSGPTDHIFIYYSDHGGPGVLGMPTLPYLYAIDLIEVLKKKHASQSYKSMVFYLEACESGSIFEGLLPEDLNIYATTASNADESSWGTYCPGDLLGPPPEYCTCLGDLYSVSWMEDSDVHNLRTETLKQQYNLVKTRTAAHGLDTYGSHVMQYGDPNLGAEFLYSYMGSNPVNDNVSFVDGNSLPSFSRAVNQRDADLVYFWNKFQRSPEGSRKKHESQKQMFDVISHRVHVDNSMEAIGKLLFGSDQSLETLKTVRPSGQPLVDDWDCLKSMVRTFETYCGSLSQYGMRHMRSLANICNAGINTERMHEVSAQACVRIPPNPWSSIHSGFSA >DRNTG_34065.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002082.1:25548:28363:-1 gene:DRNTG_34065 transcript:DRNTG_34065.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-arabinosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G25265) UniProtKB/Swiss-Prot;Acc:Q8W4E6] MANGAWCAPLLVSISVALITYNIIISSHATLNPIRSSTSSSFPRDPVIRMPIDLPKPRRLFHTAVTASDSVYNTWQCRVMYYWFKKARAAGGPYSDMGGFTRILHSGHPDRFMDEIPTFVADPLPAGTDQGYIVLNRPWAFVQWLQKANIEEEYIFMSEPDHIIVKPIPNLAKQDLAAAFPFFYIEPNKYESVLRKYFPEDKGKITDIDPIGNSPVIIEKASLAKIAPTWMNISLAMKKDPETDKAFGWVLEMYAYAVASALHGVGNILHKDFMIQPPFDVEVGDKYIIHFTYGCDYDLEGHLTYGKIGQWRFDKRSYDLHPPPRNLALPPKGTTQSVATLVKMVNEATENIPDWDAYVSGSNS >DRNTG_32003.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13020969:13024950:1 gene:DRNTG_32003 transcript:DRNTG_32003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSDEDHNSTRLNDATVRTGAKSADQNLNSNIIASIRAIGILPKPYHCQPTRRSPTSAKWMPILPLRALSKNRRQHT >DRNTG_13423.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16827150:16834247:1 gene:DRNTG_13423 transcript:DRNTG_13423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTCLGLVAKTFGDEIVPFVMSFVQEISMKEDWLYFRRTFCSLGPRRIFEVLRFSKVVAQSMIAATTLPRIISAGKYQRCS >DRNTG_29734.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:612181:616316:-1 gene:DRNTG_29734 transcript:DRNTG_29734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLLLSLVAAALAAAGAGAGESSFDFSNPIRSVTDRVNSIEAVLGSTRDALRFARFAARYGKSYESVAELRRRFGMFVESLELIRSTNRKGLSYKLGINRFADMSWEEFRATRLGAAQNCSATSGVNHLVTDAVLPETKDWREDGIVSPVKNQGHCGSCWTFSTTGALEAAYTQATGKSISLSEQQLVDCASAFNNFGCNGGLPSQAFEYIKYNGGLDTEESYPYAGVNGVCNYKPENVGVKVHNSINITLGAEDELKVAVGVVRPVSVAFEVVSPFRLYKSGVFTSDTCGSTPMDVNHAVLAVGYGVENGIPYWLIKNSWGSDWGDNGYFKMELGKNMCGVATCASYPIVAA >DRNTG_12223.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25087047:25092645:1 gene:DRNTG_12223 transcript:DRNTG_12223.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNPSREIGNPGEIGREMAHGEKTLQVFCIGTADTKLDELRFLYDRLRSDLDVFSKGSSIKVKVTIVDVSTGQKAIAGVKDIPFVSRDAVLSCYPEAEGHLFYKLPDDRGKAVAIMSMGLECFLKKAYEDEILVGAIGLGGSGGTSLIASALRSLPLGVPKIIVSTVASGQTGPYIGTSDLVLFPSVVDICGINSVSQVVLSNAGAAAAGMIIGRLLIKADAYGEMAEKPTVGITMFGVTTPCVTAVKEKLMNEGYETLVFHATGVGGKAMEDLVRGGFIQGVLDITTTEVADYIVGGVMACDSSRFDVMIEKKVPLVLSVGALDMVNLGAKHTIPPAFENRKIYIHNDQNGVSALDAPGKPFYDLQATCSLIDELDKLVDKNEERQVRSYPYHINDPAFADILVDSFLEISTKFSSMASPQLRAPHGQKKGLDNEVDISEVKFLDDKALWKAPLDFPDANPETLLRTLGILNQLKQQINNGVPIIGAGAGTGISAKFEEVGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANGVVLEMANEVLPVVKGVPVLAGVCATDPFRQMDQFLKQLEAIGFCGVQNFPTVGLFDGNFRQNLEETGMGYSLEVEMIHKAHRLGLLTTPYAFNINEAIAMAKAGASIIVAHMGLTTSGSIGAQTAITLDDSVALVQAIADAALSINPNVIVLCHGGPISGPREAEFVLKSTKGVHGFYGASSLERLPVEQAITNTVKEYKSISIKRD >DRNTG_12223.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25087047:25092645:1 gene:DRNTG_12223 transcript:DRNTG_12223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNPSREIGNPGEIGREMAHGEKTLQVFCIGTADTKLDELRFLYDRLRSDLDVFSKGSSIKVKVTIVDVSTGQKAIAGVKDIPFVSRDAVLSCYPEAEGHLFYKLPDDRGKAVAIMSMGLECFLKKAYEDEILVGAIGLGGSGGTSLIASALRSLPLGVPKIIVSTVASGQTGPYIGTSDLVLFPSVVDICGINSVSQVVLSNAGAAAAGMIIGRLLIKADAYGEMAEKPTVGITMFGVTTPCVTAVKEKLMNEGYETLVFHATGVGGKAMEDLVRGGFIQGVLDITTTEVADYIVGGVMACDSSRFDVMIEKKVPLVLSVGALDMVNLGAKHTIPPAFENRKIYIHNDQVSLVRTTVVENKKIARFIADKVNKSSSKIRICLPQNGVSALDAPGKPFYDLQATCSLIDELDKLVDKNEERQVRSYPYHINDPAFADILVDSFLEISTKFSSMASPQLRAPHGQKKGLDNEVDISEVKFLDDKALWKAPLDFPDANPETLLRTLGILNQLKQQINNGVPIIGAGAGTGISAKFEEVGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANGVVLEMANEVLPVVKGVPVLAGVCATDPFRQMDQFLKQLEAIGFCGVQNFPTVGLFDGNFRQNLEETGMGYSLEVEMIHKAHRLGLLTTPYAFNINEAIAMAKAGASIIVAHMGLTTSGSIGAQTAITLDDSVALVQAIADAALSINPNVIVLCHGGPISGPREAEFVLKSTKGVHGFYGASSLERLPVEQAITNTVKEYKSISIKRD >DRNTG_07877.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18883676:18885717:1 gene:DRNTG_07877 transcript:DRNTG_07877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIKAEEVVHESNKKECLQFSHKMSQQQQASDNHKHWDCLGAICISTKGLDIVWGEDSRYWKQVQIPKDDGTELNYGEGMELLRVCWLEIRGTLNLEETHLLPNMTYKLFYIIKFKVGAFGWDEGPVPLHLVTPDGHQIKRNDNFGIYLKNKWHKVFGGEFTVGSTRKGNVRFAINGFNTSCWKGGMVLHGVLIEPKK >DRNTG_30373.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:102711:105175:1 gene:DRNTG_30373 transcript:DRNTG_30373.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYCIMNYLATSLLVLLLFANSCRAAPVTSEAACKGSFYPKLCRAMLQPLRFPSADPYEFGRYSVKQALKQARKTSLLLDHYLYQGRGGSRRMVVGSALEDCRELASLNSDYLEVVQAELGPGQSVLTQDGVERVKALMSALVTNQQTCYDGLEASRSFPELQGAFSNETRLYGVSLELVTSALARRQPSRTGKRFDYNSASSGGVHSAGFQAVGRNLIEENTGQVVPLKPVGIGGKRRKRQLHAHRRRH >DRNTG_20406.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29121471:29125069:1 gene:DRNTG_20406 transcript:DRNTG_20406.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERQMEASQTPDSFEYMLFEGDPDHLKPVVSTPGKVSPWIDPKMLKLMHRIGRGPFGDVWIATHHLCSEGFERYHEVAVKMLFPLKDDQMPAFLARFDEIFYRCYGLDNICFLHGITNINGRVCIVMKFYEGSIGDKMARVKGGKLSLSDVLRYGTDLAQGIMDVHLRGVLVLNLKPFNFLLDEHDRVILGDLGIPSLLVSLSLPSSELVLRFGTPNYMAPEQWQPNERGPFSFETDSWGFGCSIVEMLSGIQPWAWHVTR >DRNTG_20406.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29121471:29125069:1 gene:DRNTG_20406 transcript:DRNTG_20406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQMEASQTPDSFEYMLFEGDPDHLKPVVSTPGKVSPWIDPKMLKLMHRIGRGPFGDVWIATHHLCSEGFERYHEVAVKMLFPLKDDQMPAFLARFDEIFYRCYGLDNICFLHGITNINGRVCIVMKFYEGSIGDKMARVKGGKLSLSDVLRYGTDLAQGIMDVHLRGVLVLNLKPFNFLLDEHDRVILGDLGIPSLLVSLSLPSSELVLRFGTPNYMAPEQWQPNERGPFSFETDSWGFGCSIVEMLSGIQPWAWHVTR >DRNTG_02337.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1531993:1537241:1 gene:DRNTG_02337 transcript:DRNTG_02337.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVPKHGPRKYVVVLACISLLGVALVADFLWASSSSSSSLNWSNSLDVSLNSPRTKPKAAGKNNKDIKAFISEGPNATFADIPAPQLEWEEMAEAPVPRLDGAAIQIKNLLYVFAGYGTIDYVHSHVDIYNFTDNTWGGKFDMPKEMAHSHLGMVTDGRYIYVVTGQYGPQCRGPTARNFVLDTETKEWHDLPPLPFPRYAPATQLWRGRLHVMGGSKEDRHEPALDHWSLAVKDGKALEKEWKSEIPIPRGGPHRACVVVNDQLLVIGGQEGDFMAKPGSPIFKCARRNEVVFSNVYMLDDGSKWKELPPMPKPDSHIEFAWVIVNNSIIIAGGTTEKHPVTKKMVLVGEVFRFNLNNLEWSVVGKMPFRIKTTLVGFWNGWLYFTSGQRDRGPLDPAPKKVIGGMWRTKLIL >DRNTG_08727.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4984371:4985352:-1 gene:DRNTG_08727 transcript:DRNTG_08727.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVFVTSTSIAICFHNTGHGDPSVLSIEILQVDDNAYSFDPTHEKGTVLRTVKRLTCGIGKPAFDEDYDKDHWGGDRFWLGVKTFDQGSDMEISTESKIIQASLPPNFYLERLYQSAIVSNDRQPEISFQMEVDPNKNYSIWLHFAEIDPRITKEGQRVFDILFNGDLKFNDVDVIQMAGKQFAALVLNRTIAVTGRTLTVTLRPANGSHAIINAIEVSLR >DRNTG_13549.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:202508:205458:1 gene:DRNTG_13549 transcript:DRNTG_13549.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER membrane protein complex subunit 8/9 homolog [Source:Projected from Arabidopsis thaliana (AT5G55940) UniProtKB/Swiss-Prot;Acc:Q9FG71] MAADCRYELSQAAYIKLVLHSLKHRTYSVNGLLLGRVREDSPTAVVQIDDAVPLSHSHIGLLPTLELALIQVEEHFGAVGMSIVGYYHANERHDDAELSNAAKKIGDHIFRYFPRAAVLLLDNKKLAGLPKSKARDPALLLYTRDSSKSWRQAGSDGNNQLILKEPTANIVLLDYITSEKWQAIVDFDEHLDDISKDWLNPNLFK >DRNTG_22204.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1403387:1406064:1 gene:DRNTG_22204 transcript:DRNTG_22204.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP complex subunit sigma [Source:Projected from Arabidopsis thaliana (AT1G47830) UniProtKB/TrEMBL;Acc:A0A178W398] MIRFILLQNRQGKTRLAKYYVPLEESEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSLCVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKRAIIERMGELEKQE >DRNTG_12847.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21030054:21035038:1 gene:DRNTG_12847 transcript:DRNTG_12847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALLRQNHHFQNIGSSCDDKQLSERFVCLHDLFLLRKTLYHFQSAVSKAEMKPSSE >DRNTG_01551.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:8319680:8322207:-1 gene:DRNTG_01551 transcript:DRNTG_01551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAGIDNAMEFKTVDKCSSIGRDKHTVVADLDGTLLCGRSSFPYFASVAFEVGGVLRLLFLLLLSPIAGLLYYFVSESAGIKVLIFATMTGMKVSEIESVARAVLPKFYSMDLNPETWRVFSSCGKRFVLTANPRMMVEPFLKEYLGVDTVIGTEIDSYKGKATGFVTYPGVLVGAGKAAALRKVFGDASPEIGLGDRKTDFAFMSLCQEGYVVPQKQDLKPVSREKLPKPIIFHDGRLVQKPTPLLALFIILWIPIGFMLACLRIAAGALLPMNMVYYAFRALGVRLSVHGTPPPPAKKSVGQSGVLFICSHRTLLDPIMLSAALGRPIAAVTYSVSRLSEILSPIKTVRLTRDRAKDAAMIKQLLSEGDLVICPEGTTCREPFLLRFSALFAELTDEIAPVAMANRMSMFHGTTARGWKGMDPFYYFMNPSPAYEVTFLNKLPMDLTCSAGKPSVDVANYIQRLIAASLSYECTSFTRKDKYKALAGNDGTVVEKPKSKSNKIMGC >DRNTG_20408.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29143236:29147041:-1 gene:DRNTG_20408 transcript:DRNTG_20408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIVLGKRSNSIFEELHHHSPSQSPFDTPVSKRIRCSSSPTHIRFSPPRPSSQSPRGLNGGGVVVDSAYSAHLDHLRSLFPDMDQKFLERALEASNNDLDSAIKSLHDLCLESAELNLASALSKSVNESELNIQSSGVGVLDESSRDEDPVHPSPAVTLPRDGSEWVDLFVMEMMNASNVDDARARASRALEVLEKSIMSRVDAEAMQNFQKENMLLKEQVETLLRENNVLKRAIAIQHERQKESDEQNQEVQHLKQLVSQYQEQLRTLEVNNYALAMHLKQAQQSNSMPGRFHPDIF >DRNTG_28383.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20525542:20531060:-1 gene:DRNTG_28383 transcript:DRNTG_28383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFTPNPPPNILIPLFRHALLILSYVSLLRIYGAFAIVSCVAAGTNYLNISGKPKFMECLEVEFHERGLWLFQLTAPNRVEAYLSLESEKKIMGNVKTYESAMHGVANADKLQGLRHSRQRKAMALVVSTASLLKWRDRLADYYKGKFRWECRILTGYSSGSLSHHTVNQWVNIKGMMSSSVGGERAIPNCRSQEGNLS >DRNTG_01583.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16437878:16438588:1 gene:DRNTG_01583 transcript:DRNTG_01583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVALPEVVISPPFVFLPLVKSLLCSDFHVAAQNCWVKKGGGFTGEISGELLVNLVIPWVILGHSERRALLGESNEVIY >DRNTG_12908.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26633308:26637013:1 gene:DRNTG_12908 transcript:DRNTG_12908.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLVLKGIMEGHSDMVTAIAAPIDNSDMIVSSSRDKSILVWHLSKNSQASGEEPMAHYGVPRRRLTGHSHFVEDVVLSSDGQFALSGSWDGELRLWDLATGVTTRRFVGHTKDVLSVAFSIDNRQIVSASRDRTIKLWNTLGECKYTIQDADSHTGWVSCVRFSPNTFQPSIVSGSWDRTIKVWNLTNCKLKSTLAGHAGYVNAVAVSPDGSLCASGGKDGVTLLWDLAEGKRLYSLDAGAIIHSLCFSPNRYWLCAATQDCVKIWDLESKSIVQDLKPEVTTGKSKLLYCTSLSWSSDGSTLFTGFTDGIIRVYGISRGY >DRNTG_34254.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28129491:28133550:-1 gene:DRNTG_34254 transcript:DRNTG_34254.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G37560) UniProtKB/Swiss-Prot;Acc:Q38899] MDPKDGGGDEEEEEEFGFSRNYFLAREIGGGSGKKSGRKLSDIDLADEQVLRAETSRIKEKHEKEIALLLKSYKDLYPKWLFELRCGFGLLMYGFGSKKVLLEDFASTSLTDYGALVLNGYLPSINLKQVIIAICEMLQDQLKTSRKGSVRKKAKTQQPLSFQSMEDLLMFLNEQPSDDNESFVCLIIHNIDGPALRDFETQQYLARLASCSPVRVIASTDHVNAPLLWDKKMVHTQFNWCWYHVPTFAPYKAEGVFFPLILAGSSAAQSTKTALVVLQSLTPNAQSVFKVLAEHQLANVKEEGMPVNTLYTKCREKFLVSSQVTLNSHLTEFKDHELVKVRKHSDGQDCLYIPLTTESLERLLQELV >DRNTG_34254.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28129491:28131501:-1 gene:DRNTG_34254 transcript:DRNTG_34254.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G37560) UniProtKB/Swiss-Prot;Acc:Q38899] MQVIIAICEMLQDQLKTSRKGSVRKKAKTQQPLSFQSMEDLLMFLNEQPSDDNESFVCLIIHNIDGPALRDFETQQYLARLASCSPVRVIASTDHVNAPLLWDKKMVHTQFNWCWYHVPTFAPYKAEGVFFPLILAGSSAAQSTKTALVVLQSLTPNAQSVFKVLAEHQLANVKEEGMPVNTLYTKCREKFLVSSQVTLNSHLTEFKDHELVKVRKHSDGQDCLYIPLTTESLERLLQELV >DRNTG_06731.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000296.1:5929:7668:-1 gene:DRNTG_06731 transcript:DRNTG_06731.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEMANNAYQWSLKRNKPVKTTGIYQVDVITTLALQVEAITKRLDTMQSTSSSSEPIHDHSGNLFDPRYSSFIDVRIIQIFLESARTGIVGSVAASIQASTSDCTIIVVLILGRTFQQPHSLLAKFIKSTETRFADNDEMIRNSNATMRNLEHLVAQMSKLLEERLSGTLLRNTVVNPKESLKVEEAQGFDLVANKDTKPKPPTKRISKVRKWSKKVTYRKKNPPTSPPMPHNAEHSAT >DRNTG_31974.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:648474:653315:-1 gene:DRNTG_31974 transcript:DRNTG_31974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLPAIRLLCTVPPKLKNLSYRYRSRALSEARRAVTEYLHSTRALPFALADHIASNSPFSLSVLVSQIPFHDPSPLHIPRTLRRFLSYHPINEFDFFFESIGLPRASYPSPSCRLLFLSDDEPLLAAVNSLVRFGFPWTRLGILYREAACIFNESSELLVKRLRTVEALGLRRICVIGICLAFPSVLTADCDPGGEIDLLLRDLKKVFVDFGMDDCAGDDVDVFFEICCRIRVFYHAGSVKGTMGEIMGRNQRVFLDLEESFLAQRLDFFRKLGMHKEKVGTFVLDHVEILDFDLENTKISLREYLRKVGLSEEEVLRVSQECPFVMGRNKLSNLPGIMRATNLHEWFLDKIMNDNGRCISPDFASNIGYDVKIDGEFIEDLERLKSVKMHEFLSIKLDFICSIGFGENRITAKAVGLLNGTMNQLQERFDCLLELGIEYPMLCRIISAAPKVLNQGKDNILQKVNYLCNDLNYSLEYLENFPAFLCFDLENRIRPRYRILNWLQEIGLLKKPFSPATVLANSEKRFIINLWSIHPAAPKQWLECFSSRGDTDGRPKNIFSA >DRNTG_31974.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:648474:653315:-1 gene:DRNTG_31974 transcript:DRNTG_31974.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLPAIRLLCTVPPKLKNLSYRYRSRALSEARRAVTEYLHSTRALPFALADHIASNSPFSLSVLVSQIPFHDPSPLHIPRTLRRFLSYHPINEFDFFFESIGLPRASYPSPSCRLLFLSDDEPLLAAVNSLVRFGFPWTRLGILYREAACIFNESSELLVKRLRTVEALGLRRICVIGICLAFPSVLTADCDPGGEIDLLLRDLKKVFVDFGMDDCAGDDVDVFFEICCRIRVFYHAGSVKGTMGEIMGRNQRVFLDLEESFLAQRLDFFRKLGMHKEKVGTFVLDHVEILDFDLENTKISLREYLRKVGLSEEEVLRVSQECPFVMGRNKLSNLPGIMRATNLHEWFLDKIMNDNGRCISPDFASNIGYDVKIDGEFIEDLERLKSVKMHEFLSIKLDFICSIGFGENRITAKAVGLLNGTMNQLQERFDCLLELGIEYPMLCRIISAAPKVLNQGKDNILQKVNYLCNDLNYSLEYLENFPAFLCFDLENRIRPRYRILNWLQEIGLLKKPFSPATVLANSEKRFIINLWSIHPAAPKQWLECFSSRGDTDGRPKNIFSA >DRNTG_12247.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24962818:24965253:-1 gene:DRNTG_12247 transcript:DRNTG_12247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMFTVKFFSFLLILLFILSSTFQVCEARKGDLHSSSTTKPKPKPKPKPEPEPKPSPPPEPSPQPSKKFNVLDFGAKGNGISDDTKAFQSAWAAACKVQSSTVLVPSDSKFLVGPISFAGPDCQPNIVFQLDGTIIAPTSSKAWNSGILQWLEFTKLKDITIQGSGTIEGQGSTWWSSSYLNDNLAGKETHSKPTALRFYGSYNVKVTGIRIQNSPQCHLKFDNCEILEVSNITLSSPGDSPNTDGIHLQNSRDAVIHHSDLACGDDCISIQTGCSNIMVHDVNCGPGHGISIGGLGKDKTKACVSNVTVQDVHFANTLTGVRIKSWQGGSGLAKNIKFTNVQVSQVEMPIVIDQYYCDGKSSCKNQTSAVALSGITYEKIKGTYTVKPVHLACSDSSPCLAISLTDIELEPINKGLEMSGPFCWQAYGATHRPTVPPIDCLLESKTASYKMNTDQDSC >DRNTG_16427.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7559629:7561011:1 gene:DRNTG_16427 transcript:DRNTG_16427.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGDQPPPPPPPHIALLPSSGMGHLTPFTRLAATLASHGCHVTILVIHPMVSSAESHHISDLLSTFSTIHSLHLTITPLDIPGDPFYLQCEAIRRSPHLIVPLLRAASPPLSGIVIDISLASAFLPATAGIALTNYIFFTSSATMLSLYSYLPIYITNKTTSTIGDVEVPGVRLVQKASVPMALHDPEELFTVQVLENARALVQANGVLVNTFEALEPKALMALNDGVVVPGFPPVIAVGPLKQLLLKKKLVFPWLDEQPERSVLYVSFGSRTAMSVEQIRELGIGLELSGVKFLWVVKTKKVDKEEEEVELEEVLGKEMVERIKERGSMVVHGWVEQEEILKHGAVGGFVSHCGWNSVMEAAMHGVPVLAWPQHADQRVNSELVRRSGLGIWVEDWSWGGEEKVVKGEEIGERVKELMDNSVVRSSAVKVTAEAVKAVGDGGSSEKNLAEFIAKLKV >DRNTG_29196.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3121984:3122606:1 gene:DRNTG_29196 transcript:DRNTG_29196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRLVRHHQQKHHHKRSHTPRRAPRHVSTLLLRPKPPELKPSINEHVPCQD >DRNTG_11296.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1482493:1483673:-1 gene:DRNTG_11296 transcript:DRNTG_11296.2 gene_biotype:protein_coding transcript_biotype:protein_coding VVSLHKQLRSKNKRNQQAPTEMSCSLRQRNHVVTRERCGSRSHFS >DRNTG_11296.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1484787:1485353:-1 gene:DRNTG_11296 transcript:DRNTG_11296.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMKKKMVELEQQNQNLIQQNQTMFRQMRCE >DRNTG_11296.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1482493:1485353:-1 gene:DRNTG_11296 transcript:DRNTG_11296.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMKKKMVELEQQNQNLIQQNQTMFRQMRCE >DRNTG_27625.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3571817:3574083:1 gene:DRNTG_27625 transcript:DRNTG_27625.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHI RELATED SEQUENCE 8 [Source:Projected from Arabidopsis thaliana (AT5G33210) UniProtKB/Swiss-Prot;Acc:F4KH89] MAGFSLGGDRQAAGGDDEQQPPPVIPPESLFLYGGSSTSRGFELWHQHQIQQQRLFSSSAILSFSSSAEPASAPGLSTCSGRMRGSSSSGMSCQDCGNQAKKDCSHMRCRTCCKSRGFQCSTHVKSTWVPASKRRERQQQLTVLQHQRQPEPSKRPRELPITTTNTNTTTSSGIHLLLLPIFLSIYIYVIL >DRNTG_11773.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:621848:625276:1 gene:DRNTG_11773 transcript:DRNTG_11773.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEQSQLPFGVKDHKLEACMVSMEVDPSTHDINKKLVVSNGRELIASESDEILEPCEGIEFDFEEAARTFYSAYTRRIGFHTHISKYSRSRHDNSVESRRFVCSKEGFREVRVKKDVYGEHRQQQRAVTRVGCKKWVITRFTKEHNRKRLN >DRNTG_11773.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:621848:625276:1 gene:DRNTG_11773 transcript:DRNTG_11773.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEQSQLPFGVKDHKLEACMVSMEVDPSTHDINKKLVVSNGRELIASESDEILEPCEGIEFDFEEAARTFYSAYTRRIGFHTHISKYSRSRHDNSVESRRFVCSKEGFREVRVKKDVYGEHRQQQRAVTRVGCKKWVITRFTKEHNRKRLN >DRNTG_11773.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:621848:625276:1 gene:DRNTG_11773 transcript:DRNTG_11773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEQSQLPFGVKDHKLEACMVSMEVDPSTHDINKKLVVSNGRELIASESDEILEPCEGIEFDFEEAARTFYSAYTRRIGFHTHISKYSRSRHDNSVESRRFVCSKEGFREVRVKKDVYGEHRQQQRAVTRVGCKKWVITRFTKEHNRKRLN >DRNTG_11773.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:621848:625276:1 gene:DRNTG_11773 transcript:DRNTG_11773.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEQSQLPFGVKDHKLEACMVSMEVDPSTHDINKKLVVSNGRELIASESDEILEPCEGIEFDFEEAARTFYSAYTRRIGFHTHISKYSRSRHDNSVESRRFVCSKEGFREVRVKKDVYGEHRQQQRAVTRVGCKKWVITRFTKEHNRKRLN >DRNTG_08772.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18435744:18440314:1 gene:DRNTG_08772 transcript:DRNTG_08772.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEISFYYMTFICRHYPHNSAVRAHLFFKEEEWDSFKQIVDDYMFEASQEWALANSGAFLLETMSKAIDEVVKLGECEIYSYNPDSEEDPYFEKGAIWSSNFFFYNRKLKRMVSFRCSCVSNVVTDDFLGDEVASHNDEDDIFIDMDM >DRNTG_08772.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18435744:18440314:1 gene:DRNTG_08772 transcript:DRNTG_08772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLEYSSLDSINLFLSNLNLGESTIKGCLEAYSCKHTGTDRKLSLSLEQEMLDYLGQSAESNSASPVEYLSCRSSRKTLIYLVLTLSHMYPDYDFSAVRAHLFFKEEEWDSFKQIVDDYMFEASQEWALANSGAFLLETMSKAIDEVVKLGECEIYSYNPDSEEDPYFEKGAIWSSNFFFYNRKLKRMVSFRCSCVSNVVTDDFLGDEVASHNDEDDIFIDMDM >DRNTG_21968.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2233256:2233519:-1 gene:DRNTG_21968 transcript:DRNTG_21968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKMGKGDESRKKRGSFFSSISIIFKHADTTDRWLMGFGLIGALGDGLSGPVMLYITSKLMNNLHNVISSSSYSIFNHEMVEVRDL >DRNTG_21761.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23149192:23151932:-1 gene:DRNTG_21761 transcript:DRNTG_21761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLKPTPEFTKPCIDAQCPLLQVLPLTQALQKFKQGDTVLAMDPRMRRNPAAIMAVEKMMALGRECLAPTRKARPSMKQCGEILWRIRKDYREMQMKASPSPSPSATASASASASHWATPQQSTHPPQSPLISSINKKNQTINLS >DRNTG_21761.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23150972:23151932:-1 gene:DRNTG_21761 transcript:DRNTG_21761.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSDPRFFTSSSRKHDFRGSPGLTSQSDISQSSNYNKRSLSTSGKKFPSTKAFQNSVRGAARTFIMWLVPACGKSGEEAALHDLLI >DRNTG_21761.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23149192:23149913:-1 gene:DRNTG_21761 transcript:DRNTG_21761.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLKPTPEFTKPCIDAQCPLLQVLPLTQALQKFKQGDTVLAMDPRMRRNPAAIMAVEKMMALGRECLAPTRKARPSMKQCGEILWRIRKDYREMQMKASPSPSPSATASASASASHWATPQQSTHPPQSPLISSINKKNQTINLS >DRNTG_34255.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28134804:28137202:1 gene:DRNTG_34255 transcript:DRNTG_34255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMGMKYEELRQRQVEENKKKMDLLKLNQLSITLRESTSPKPSPSKQSKRKARSQEGEKLVLRRSSRVANLPGTSYKEIAVNEDVDKRPRRLGRAYSQRRDLSGRVYASPEARAYAENRADAIQAELDPKFPSFVKPMTQSHVTGGFWLVYIIRASEYTEDDQ >DRNTG_15417.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17799467:17799958:1 gene:DRNTG_15417 transcript:DRNTG_15417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLQRAMSDLSFELSKEVIDVKLPPISEVEDAKCECCGMSEECTPEYIHRVREKFKGKWICGLCSEAVKEEMEKNGGKQDEALNAHMNVCVKFNRIGRTHPVLYQAEAMKEILKKCSRLDGARSKSISPRDYKKDGKKGGIARSSSCIPAITKEINERTMVT >DRNTG_28840.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001449.1:26821:29109:1 gene:DRNTG_28840 transcript:DRNTG_28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDTSTVTTAIHRPTHDGVQISVGRSGKARGLGHPRLVLTLTT >DRNTG_06299.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20851195:20852334:1 gene:DRNTG_06299 transcript:DRNTG_06299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILDHVSELFSFEHNHHSKKRKQLQTVEIKVKMDCEGCERRVKKAVKDMKGVNQVEVNPKQNKLTVTGYVEPKKVLKRVRNKTGKHAEFWPYVPYDVVYHPYVAGAYDKKAPPGYVRNVLDDPKVSNLARASSMEERYSTAFSDENPNACTVM >DRNTG_10466.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3972149:3974046:-1 gene:DRNTG_10466 transcript:DRNTG_10466.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQALKYHVFPDNWTNFYRSLWLLSSIINSFYSFYWDATRDWDLSVLSRIFKFITQHFLTTLLYGIQWVYYWVIGSNLIFRFTFTCKLSAHLRHNYLTVLTISALEILQQFQYIFFRVENKWNKVTSKPIIELSSEETLKEEDMLLGNESHNV >DRNTG_22335.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:566162:567137:1 gene:DRNTG_22335 transcript:DRNTG_22335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAGKTTAANKDKDKKKPPVSRSSRAGLQFPVGRIHRQLKSRVSANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >DRNTG_03382.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17670828:17671147:-1 gene:DRNTG_03382 transcript:DRNTG_03382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQPSETQQIQVTTISQVIDTQQPALQNLPIKTERRPMKSKIETGGGKKNNTVVGAKQHDVTNPVEPTTNRESSKKAPA >DRNTG_33724.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1263610:1270331:-1 gene:DRNTG_33724 transcript:DRNTG_33724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPPKMPMLLLARSIAELSRPADPPADFPGEAGVGPFFLSCVICGHPETRGNTMVCDGCERGFHLNCVRIRMRQFPDDWLCPECQRRGVPKKRWTLGVSRLLNINELPPCDAEVEVITVASALLRTNNHSGDPFGGFGRQFPQININLEGNSFDASVARTLTMSAEDPEQSGLSTIRNSPAPGFGSLLRERTTAYNSPIWASSQLQEDTLIQALRDFIKERGGFLGEGWHVDFKQKANRSDSHIIYCAPDGKRFGSVFDVAHYLGLKSNVRDERNEKSLRPRRRRKENTGTTATNVLADNQDKSRSGYFAKRTSDMGVVEPQSSNIPSLSQAMESFTGKDLRCETQASVVDLPVQYEDFFILSLGKIDLHVGYHDCTHIWPIGYLSCWHDRITGSFFECEVSDGGDSGPVFKVRRYPCSLSPIPNAATVLFHDKVKKVDITESVASSSMVIDANSNKDDEILHLLSDPNPEDQDVLSCFSSDHCQAPPGSFMQIDIQNPEASATQFHPHTEKPGDSRDEIGEFYVEGRSSSSVWKLLSQTLIDACHEVYKQSGHVKFVCQHNNGTISHSDDAKVFDGSGSLARFSSASGPIDCPRVIMTDKELDASCNSLAKWLDQDRFGLDMAFVQEILEKLPGSRACVHYQFLNDRNDSSTSLTVGNGLLSSMQKNGDCARDEGASFGMNSKHTNVRLQEAAGHHPLPGHPLKSKLPADLIGDVFQIWEMLWRFHEILGLKEPLSFEELEDELIDPWSSGSDHLEKFEREIKDSNGVISQRTEKSNSPAMFRTGESESPVHGESDYKFIPIETGVRKETELAKFASHTYGRCTGVVLAKAHSSLLKVLVGELLSKVAVFADPSVDTREQKSRRGRRKELEHTLPGKDKIDLLPVNGLTWPELARRYVLAVSAINGFVDSPEVCSREGLKLFRCLHGDGGVLCGSLVGVAGIEADALVRGEQD >DRNTG_34563.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1429871:1430509:-1 gene:DRNTG_34563 transcript:DRNTG_34563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGACREVMEEAGVQGDVEYLLGKWGYESKRHDIFHEAFMFSLKVTEELVQWPEMVSRNRKWATIEEARQGCQHAWMKEALETLVLLSSIMKKW >DRNTG_09979.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22126624:22129657:1 gene:DRNTG_09979 transcript:DRNTG_09979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDASLFGRAYLPVEQIINGTEVERWLEICDQKHNPIGSSKIHVKVQYFDVSKDRSWSRGIQSPKFPGVPYTFFQQRQGCKVSLYQDVHVSDNFIPKIPLADGKYYEPHRCWEDIFDAITNAQHLIYIAGWSVYTEITLIRDSRRPRPGGDATLGELLKKKATEGVRVLMLVWNDKTSGGLFGKEGHMETHDEDTADYFRGTDVHCVLCERNADGGDIVENLEKSFIFTHHQKIVVVDHEMPKKTSLRRRIVSFVGGIDLCDGRYDTQFHSLFRTLDTAHHDDFHQINFAGASIKKGGPESHGMTFIQDLKVQLHGMFCSTLNRDGGNRVVVRICLFTSGIWRTSSFLLLLFCFQKIGKHGMFSYLDQLMQVLPMVFLRHQKVQLEQG >DRNTG_28613.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28484692:28488277:-1 gene:DRNTG_28613 transcript:DRNTG_28613.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGSKLEKALGDQFPEGERFFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYANNKKQADIEENLLTCLADLFSQISSQKKKTGVIAPKRFVQRVKKENELFRSYMHQDAHEFLIFLLNELVDILEKETNTANGSLEPPPPLENVANGRLHPQPNGTHRKPLITWVHKNFQVKQIQHLRLAMFCSFTCIWCNPMTCNISEFLSPNDLCFHFVIIFLQLTLSLIN >DRNTG_28613.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28484692:28488277:-1 gene:DRNTG_28613 transcript:DRNTG_28613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGSKLEKALGDQFPEGERFFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYANNKKQADIEENLLTCLADLFSQISSQKKKTGVIAPKRFVQRVKKENELFRSYMHQDAHEFLIFLLNELVDILEKETNTANGSLEPPPPLENVANGRLHPQPNGTHRKPLITWVHKNFQGILTNETRCLRCETITAREETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKRPPSILVIHLKRFKYIEQLGRNKKLSYRVVFPMELKLNTVDGPETEYSLFAVVVHVGSGTNHGHYVSLVKSHNHWLFFDDENVEMIDESSVQTFFGSAQEFTSNTDHGYILFYESIGARS >DRNTG_28613.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28484692:28488277:-1 gene:DRNTG_28613 transcript:DRNTG_28613.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGSKLEKALGDQFPEGERFFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYANNKKQADIEENLLTCLADLFSQISSQKKKTGVIAPKRFVQRVKKENELFRSYMHQDAHEFLIFLLNELVDILEKETNTANGSLEPPPPLENVANGRLHPQPNGTHRKPLITWVHKNFQVKQIQHLRLAMFCSFTCIWCNPMTCNISEFLSPNDLCFHFVIIFLQLTLSLIN >DRNTG_28613.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28484692:28488277:-1 gene:DRNTG_28613 transcript:DRNTG_28613.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGSKLEKALGDQFPEGERFFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYANNKKQADIEENLLTCLADLFSQISSQKKKTGVIAPKRFVQRVKKENELFRSYMHQDAHEFLIFLLNELVDILEKETNTANGSLEPPPPLENVANGRLHPQPNGTHRKPLITWVHKNFQGILTNETRCLRCETITAREETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCRFFLSPFMFLLVLWWQMLTTLHVHCMHHLVMIQN >DRNTG_07346.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:7566046:7566670:1 gene:DRNTG_07346 transcript:DRNTG_07346.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTGAAPTSMVTCEKLDRMASWVGASVASAFFASLERCSCINLSTTDFEDEEDEEAKDRPLMLTKPIIHDAPDDDAPKPQKLSV >DRNTG_19044.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22479415:22480630:1 gene:DRNTG_19044 transcript:DRNTG_19044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVMKEETRKGPWTEQEDMQLVCYVSLFGERRWDFLAKVSGLRRWRG >DRNTG_12955.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14731025:14731541:1 gene:DRNTG_12955 transcript:DRNTG_12955.1 gene_biotype:protein_coding transcript_biotype:protein_coding CEINKRKTSHKNQDKENRDKSITLTGPPTTSKQHTLKKKRRKKTSARVGNPLHLSQPSLLILPRGSIFAVVFI >DRNTG_19347.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5210545:5212346:1 gene:DRNTG_19347 transcript:DRNTG_19347.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34050) TAIR;Acc:AT4G34050] MASNTQAQNGEQGRHQEVGHKSLLQSDALYQYILDTSVYPREPEPMKELREITAKHPWNLMTTSADEGQFLTMLLKLINAKNTMEIGVYTGYSLLATALALPDDGKILAMDINRENYELGLPVIQKAGLAHKIDFREGPALPVLDQMIEDGKYHGTFDFVFVDADKDNYLNYHKRLVELVKVGGVIGYDNTLWNGSVVAPPDAPLRKYVRYYRDFVLELNKALAADPRIEICQLPVGDGITLCRRII >DRNTG_19527.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:125517:127225:-1 gene:DRNTG_19527 transcript:DRNTG_19527.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALRSPIVRPSSLQLEHRAVRGGLPVVSRSCVLYSSDASFRTSFCGLKLWMFNMLKDPNPKCKKVEIQTKDQVYDRLKFDASYHVQNDIKETRGGPSLCIAVVGATGELARNKVFPALFALYYSGCLPENVGIFGYSRKELSDENLRSIIAETLTCRVDHRENCGDKLNNFLKRTYHQFGGYDNKIGMAELNSRMEQIEDCHEANRIFYLSVPQEALLDVVLSIADHAQSKRGWNRIIIEK >DRNTG_19527.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:125517:126263:-1 gene:DRNTG_19527 transcript:DRNTG_19527.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELNSRMEQIEDCHEANRIFYLSVPQEALLDVVLSIADHAQSKRGWNRIIIEK >DRNTG_32697.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001892.1:37678:41718:-1 gene:DRNTG_32697 transcript:DRNTG_32697.7 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLPFPCLSRLLYQPPLIFRPISTCSNPISSLSSKNLSFFHCSSCSSTSSFQTSRATSSGGEGLMTDDSGSSLLNEELLRRVSSAKDADQVLGIVAEANSNVRSGVISASDCFLIIAAALERNNVELALSVFSAMRSVFSAGIREEDSAVGLWAWARPDVQTYALLVRGLAACLQVPDAIRIIKYVTNVGVPSEEEVLFGKIVRCPTCIVAIAVAQPQHGIQVVSCSKCRYQYELVSGDIVSIVSEEIRICSCRTQHGYFCMEKSFEVLPNN >DRNTG_32697.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001892.1:33974:41718:-1 gene:DRNTG_32697 transcript:DRNTG_32697.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLPFPCLSRLLYQPPLIFRPISTCSNPISSLSSKNLSFFHCSSCSSTSSFQTSRATSSGGEGLMTDDSGSSLLNEELLRRVSSAKDADQVLGIVAEANSNVRSGVISASDCFLIIAAALERNNVELALSVFSAMRSVFSAGIREEDSAVGLWAWARPDVQTYALLVRGLAACLQVPDAIRIIKYVTNVGVPSEEEVLFGKIVRCPTCIVAIAVAQPQHGIQVVSCSKCRYQYELVSGDIVSIVSEEISTDISVWRKALRFFQIIKGDAPAALHSIVVRTPSGIARTHKFATKTVELPAQEGERVTISSAAPSYVYQEIGPLRVSAKAPGFSPGEPMCLTNHTTGQVSPLLRAPDKDGNSFLLNPSILFPTLALLATGDAASGIIDPSLPRLISIAAVASVAVGTTIDRVVIPQLSKLPQRSVEVVALKQQLLSQYDSLQSRIKDLQEAAEKEVWMLARMCQLENKILAVGEVSYSARRARVKRVRESLENSLLSRIELIESYAKISSMIEIELEMDSDVPVAEAVRNAKSIAEQIEQITEIENLKEGWRIQAEANDEVERLLSSQPGMTEQEETHGHASVSKHL >DRNTG_32697.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001892.1:33974:41718:-1 gene:DRNTG_32697 transcript:DRNTG_32697.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLPFPCLSRLLYQPPLIFRPISTCSNPISSLSSKNLSFFHCSSCSSTSSFQTSRATSSGGEGLMTDDSGSSLLNEELLRRVSSAKDADQVLGIVAEANSNVRSGVISASDCFLIIAAALERNNVELALSVFSAMRSVFSAGIREEDSAVGLWAWARPDVQTYALLVRGLAACLQVPDAIRIIKYVTNVGVPSEEEVLFGKIVRCPTCIVAIAVAQPQHGIQVVSCSKCRYQYELVSGDIVSIVSEEIRICSCRTQHGYFCMEKSFEVLPNN >DRNTG_32697.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001892.1:40844:41770:-1 gene:DRNTG_32697 transcript:DRNTG_32697.8 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLPFPCLSRLLYQPPLIFRPISTCSNPISSLSSKNLSFFHCSSCSSTSSFQTSRATSSGGEGLMTDDSGSSLLNEELLRRVSSAKDADQVLGIVAEANSNVRSGVISASDCFLIIAAALERNNVELALSVFSAMRSVFSAGIREEDSAVGLWAWARPDVQTYALLVRGLAACLQVPDAIRIIKYVTNVGVPSEEEVVFSLLCSCNSTFVL >DRNTG_32697.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001892.1:33974:41718:-1 gene:DRNTG_32697 transcript:DRNTG_32697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLPFPCLSRLLYQPPLIFRPISTCSNPISSLSSKNLSFFHCSSCSSTSSFQTSRATSSGGEGLMTDDSGSSLLNEELLRRVSSAKDADQVLGIVAEANSNVRSGVISASDCFLIIAAALERNNVELALSVFSAMRSVFSAGIREEDSAVGLWAWARPDVQTYALLVRGLAACLQVPDAIRIIKYVTNVGVPSEEEVLFGKIVRCPTCIVAIAVAQPQHGIQVVSCSKCRYQYELVSGDIVSIVSEEIRICSCRTQHGYFCMEKSFEVLPNN >DRNTG_32697.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001892.1:33974:41718:-1 gene:DRNTG_32697 transcript:DRNTG_32697.6 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLPFPCLSRLLYQPPLIFRPISTCSNPISSLSSKNLSFFHCSSCSSTSSFQTSRATSSGGEGLMTDDSGSSLLNEELLRRVSSAKDADQVLGIVAEANSNVRSGVISASDCFLIIAAALERNNVELALSVFSAMRSVFSAGIREEDSAVGLWAWARPDVQTYALLVRGLAACLQVPDAIRIIKYVTNVGVPSEEEVLFGKIVRCPTCIVAIAVAQPQHGIQVVSCSKCRYQYELVSGDIVSIVSEEISTDISVWRKALRFFQIIKGDAPAALHSIVVRTPSGIARTHKFATKTVELPAQEGERVTISSAAPSYVYQEIGPLRVSAKAPGFSPGEPMCLTNHTTGQVSPLLRAPDKDGNSFLLNPSILFPTLALLATGDAASGIIDPSLPRLISIAAVASVAVGTTIDRVVIPQLSKLPQRSVEVVALKQQLLSQYDSLQSRIKDLQEAAEKEVWMLARMCQLENKILAVGEVSYSARRARVKRVRESLENSLLSRIELIESYAKISSMIEIELEMDSDVPVAEAVRNAKSIAEQIEQITEIENLKEGWRIQAEANDEVERLLSSQPGMTEQEETHGHASVSKHL >DRNTG_32697.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001892.1:33974:41718:-1 gene:DRNTG_32697 transcript:DRNTG_32697.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLPFPCLSRLLYQPPLIFRPISTCSNPISSLSSKNLSFFHCSSCSSTSSFQTSRATSSGGEGLMTDDSGSSLLNEELLRRVSSAKDADQVLGIVAEANSNVRSGVISASDCFLIIAAALERNNVELALSVFSAMRSVFSAGIREEDSAVGLWAWARPDVQTYALLVRGLAACLQVPDAIRIIKYVTNVGVPSEEEVLFGKIVRCPTCIVAIAVAQPQHGIQVVSCSKCRYQYELVSGDIVSIVSEEIRICSCRTQHGYFCMEKSFEVLPNN >DRNTG_32697.9.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001892.1:41267:41770:-1 gene:DRNTG_32697 transcript:DRNTG_32697.9 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLPFPCLSRLLYQPPLIFRPISTCSNPISSLSSKNLSFFHCSSCSSTSSFQTSRATSSGGEGLMTDDSGSSLLNEELLRRVSSAKDADQVLGIVAEANSNVRSGVISASDCFLIIAAALERNNVELALSVFSAMRSVFSAG >DRNTG_32697.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001892.1:33974:41718:-1 gene:DRNTG_32697 transcript:DRNTG_32697.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLPFPCLSRLLYQPPLIFRPISTCSNPISSLSSKNLSFFHCSSCSSTSSFQTSRATSSGGEGLMTDDSGSSLLNEELLRRVSSAKDADQVLGIVAEANSNVRSGVISASDCFLIIAAALERNNVELALSVFSAMRSVFSAGIREEDSAVGLWAWARPDVQTYALLVRGLAACLQVPDAIRIIKYVTNVGVPSEEEVLFGKIVRCPTCIVAIAVAQPQHGIQVVSCSKCRYQYELVSGDIVSIVSEEISTDISVWRKALRFFQIIKGDAPAALHSIVVRTPSGIARTHKFATKTVELPAQEGERVTISSAAPSYVYQEIGPLRVSAKAPGFSPGEPMCLTNHTTGQVSPLLRAPDKDGNSFLLNPSILFPTLALLATGDAASGIIDPSLPRLISIAAVASVAVGTTIDRVVIPQLSKLPQRSVEVVALKQQLLSQYDSLQSRIKDLQEAAEKEVWMLARMCQLENKILAVGEVSYSARRARVKRVRESLENSLLSRIELIESYAKISSMIEIELEMDSDVPVAEAVRNAKSIAEQIEQITEIENLKEASSVIVLVMLKIHKCLNYNYRHVSIQGWRIQAEANDEVERLLSSQPGMTEQEETHGHASVSKHL >DRNTG_28249.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2702606:2706377:1 gene:DRNTG_28249 transcript:DRNTG_28249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWSETEQGVAEAIIRSVVDFRRDPWPIVSDNAKDLVRKMLNPDPKQRLTAQEVLDHPWLQNAKKAPNVPLGETVRARLQQFSVMNKFKKKALRVIAEHLSTEEVAEMKEMFRTMDVNNNGKITLEELKHGLHKMGYQLPDADVKILMDAADVDHNGTLDYGEFVAVSIHVQKMGK >DRNTG_28249.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2703475:2706377:1 gene:DRNTG_28249 transcript:DRNTG_28249.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWSETEQGVAEAIIRSVVDFRRDPWPIVSDNAKDLVRKMLNPDPKQRLTAQEVLDHPWLQNAKKAPNVPLGETVRARLQQFSVMNKFKKKALRVIAEHLSTEEVAEMKEMFRTMDVNNNGKITLEELKHGLHKMGYQLPDADVKILMDAADVDHNGTLDYGEFVAVSIHVQKMGK >DRNTG_28249.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2703689:2706377:1 gene:DRNTG_28249 transcript:DRNTG_28249.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPDPKQRLTAQEVLDHPWLQNAKKAPNVPLGETVRARLQQFSVMNKFKKKALRVIAEHLSTEEVAEMKEMFRTMDVNNNGKITLEELKHGLHKMGYQLPDADVKILMDAADVDHNGTLDYGEFVAVSIHVQKMGK >DRNTG_28249.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2706139:2706377:1 gene:DRNTG_28249 transcript:DRNTG_28249.5 gene_biotype:protein_coding transcript_biotype:protein_coding DGRISYEEFAAMMKAGTDWRKASRQYSRERFNSISLKLMKDGSLQLKGR >DRNTG_28249.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2705046:2706377:1 gene:DRNTG_28249 transcript:DRNTG_28249.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFKKKALRVIAEHLSTEEVAEMKEMFRTMDVNNNGKITLEELKHGLHKMGYQLPDADVKILMDAADVDHNGTLDYGEFVAVSIHVQKMGK >DRNTG_24883.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17028162:17031516:-1 gene:DRNTG_24883 transcript:DRNTG_24883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDSFKESFSNNTAIVSKKSEDMGDDQYALPLPLPSP >DRNTG_09217.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4537068:4540451:1 gene:DRNTG_09217 transcript:DRNTG_09217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLGEFKLPAFFNYPPYFTLQPVRETREKQVQLWKELILDYCRNQKVFVVGLEEDFPLFSNPVIERSLSHEAKVLFLSALVNEGRAEWMDKGHKKCLILWMRIQDWADYIVNFVKENGFEDSVMTVEDIRSGFESRGTDLAGIDRTVLMRALRLLEQKGKAAIFRGTSTDDEGVKFSV >DRNTG_09217.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4537068:4540451:1 gene:DRNTG_09217 transcript:DRNTG_09217.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLGEFKLPAFFNYPPYFTLQPVRETREKQVQLWKELILDYCRNQKVFVVGLEEDFPLFSNPVIERSLSHEAKVLFLSALVNEGRAEWMDKGHKKCLILWMRIQDWADYIVNFVKENGFEDSVMTVEDIRSGFESRGTDLAGIDRTVLMRALRLLEQKGKAAIFRGTSTDDEGVKFSV >DRNTG_04105.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3494420:3495161:1 gene:DRNTG_04105 transcript:DRNTG_04105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVTTGDSESEPLARTVSLEDGRGGALIVRSFGVGRGSQKYQDMGGRPSLVGVITGNADAFPFFLWHIGEDGGARAVSLGGDDGTLRGQEGRSKYRSFSRSGLKALSSGHTGDPEFNFSVQIT >DRNTG_08986.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28955779:28956743:1 gene:DRNTG_08986 transcript:DRNTG_08986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRSWEGVPGLARNPRTPGPTTAVVGPHGPAFRGGVVDLGVDYYEGQFRWECRALTGYSSGSRGHRPVNRWVNVKDMSSLTALNLAAATAKV >DRNTG_14846.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23013922:23015091:-1 gene:DRNTG_14846 transcript:DRNTG_14846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQLKAFYLSYPISPYPDHLGTPPNVPPDVSAEMRAHQFQEQ >DRNTG_28133.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24057412:24058204:1 gene:DRNTG_28133 transcript:DRNTG_28133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCLSTYIYTQARTHTHTHKHKENTREEEKPGNGEKSDSSSNHITCLHGIHNKE >DRNTG_06720.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21115859:21119244:1 gene:DRNTG_06720 transcript:DRNTG_06720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALDPSCVLYDMDSEDEEWISEFRLSLDDSGNNGSTEVTDDMFEGIMDMFEKFAYAHDCDEFTEDDIQEFMADGGPLNITKSYL >DRNTG_06720.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21115859:21120362:1 gene:DRNTG_06720 transcript:DRNTG_06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDPSCVLYDMDSEDEEWISEFRLSLDDSGNNGSTEVTDDMFEGIMDMFEKFAYAHDCDEFTEDDIQEFMADGGPLNITKSYL >DRNTG_04076.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2255069:2256978:-1 gene:DRNTG_04076 transcript:DRNTG_04076.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPESDSPIIPNPESGFHPLCHLLLHAALSSSAYLLAALLRLPSLLLHGLHTYIHPDSPSASSLRAAIRRPDAPPEPQPRRPRSKPHLRSDDFDESKAQLLRLRLSDSDLPSRLHFPLFRSAFLFSAAALPNLALPLFPPIPFLAAVLAFSYLLFALVKLSFDRSSSKQSEKQLSLLSGFIGFLFSFFILFFLAPSLLDFDLGQSEIARLAVTTIAGALSVLIFSPALRFSRAVLAGDGPAPVEPLRRLLPCPHSVPLVPCHSNKHSCAIALV >DRNTG_04076.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2252711:2256978:-1 gene:DRNTG_04076 transcript:DRNTG_04076.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESDSPIIPNPESGFHPLCHLLLHAALSSSAYLLAALLRLPSLLLHGLHTYIHPDSPSASSLRAAIRRPDAPPEPQPRRPRSKPHLRSDDFDESKAQLLRLRLSDSDLPSRLHFPLFRSAFLFSAAALPNLALPLFPPIPFLAAVLAFSYLLFALVKLSFDRSSSKQSEKQLSLLSGFIGFLFSFFILFFLAPSLLDFDLGQSEIARLAVTTIAGALSVLIFSPALRFSRAVLAGDGPAPVEPLRRLLPCPHSVPLVPCHSNKHSCAIALV >DRNTG_27163.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:697384:703780:-1 gene:DRNTG_27163 transcript:DRNTG_27163.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYVGHVPPHVRRDDIERVFQRFGRCKVQLKSGYGFVVYDAIANAEKALRMMRGKIICGEQLSLGWSNRQPKPLKRADRSIRTFEPYSRRQFKEIHDRAGPGHAQGQRDFETGSSHARAFDHSGNRSDYASEREAGNIGNNIDDIEDGKGFSSKEGLANEINPVENDRWGEPVIGPLTGHDGENHNDFDRYEPYHGLDRSYEDKTQIDSFFSSPEHAIPHEKVQRERSIEKTDMRLIKQKTQQVCYRCGVVGHIARECPEGDARRERFGKYKHKREEVNFRSGTEVKRPRYNSLGKPVGTKDPSMLEKHGRDREKSQLPITKKLVSDDERSSESKGNYRNKHRAESRIKIEKKDNHGTTKKALKKRRKRRSQTSSLSSDSSTDSSRSDSQSVRSISDARQRSSSRSRSPAPESANSLSRLASKSSDSKSMSSTKSRPRSRVGRSPNLSISLNQDSPLSMKTEPVDVPPETSPNNKFDYVANAEKSELKGSFLTSKDENALAFTDVDSKSYGHHPTPDGVAAESFEQKEWNPSIGILKDAASPGKLSENNLQGSSTRELDNCMTIPSSRVTAQELFLALRHYGLATPDEDESGISTEKYFGAARLWPWEIIYLRRVKKGAISTENYARRLEQNRQFGIVDKYIRSSSSWGQYDQTNADQV >DRNTG_27163.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:697384:703780:-1 gene:DRNTG_27163 transcript:DRNTG_27163.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYVGHVPPHVRRDDIERVFQRFGRCKVQLKSGYGFVVYDAIANAEKALRMMRGKIICGEQLSLGWSNRQPKPLKRADRSIRTFEPYSRRQFKEIHDRAGPGHAQGQRDFETGSSHARAFDHSGNRSDYASEREAGNIGNNIDDIEDGKGFSSKEGLANEINPVENDRWGEPVIGPLTGHDGENHNDFDRYEPYHGLDRSYEDKTQIDSFFSSPEHAIPHEKVQRERSIEKTDMRLIKQKTQQVCYRCGVVGHIARECPEGDARRERFGKYKHKREEVNFRSGTEVKRPRYNSLGKPVGTKDPSMLEKHGRDREKSQLPITKKLVSDDERSSESKGNYRNKHRAESRIKIEKKDNHGTTKKALKKRRKRRSQTSSLSSDSSTDSSRSDSQSVRSISDARQRSSSRSRSPAPESANSLSRLASKSSDSKSMSSTKSRPRSRVGRSPNLSISLNQDSPLSMKTEPVDVPPETSPNNKFDYVANAEKSELKGSFLTSKDENALAFTDVDSKSYGHHPTPDGVAAESFEQKEWNPSIGILKDAASPGKLSENNLQGSSTRELDNCMTIPSSRVTAQELFLALRHYGLATPDEDESGISTEKYFGAARLWPWEIIYLRRVKKGAISTENYARRLEQNRQFGIVDKYIRSSSSWGQYDQTNADQV >DRNTG_27163.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:697384:703780:-1 gene:DRNTG_27163 transcript:DRNTG_27163.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYVGHVPPHVRRDDIERVFQRFGRCKVQLKSGYGFVVYDAIANAEKALRMMRGKIICGEQLSLGWSNRQPKPLKRADRSIRTFEPYSRRQFKEIHDRAGPGHAQGQRDFETGSSHARAFDHSGNRSDYASEREAGNIGNNIDDIEDGKGFSSKEGLANEINPVENDRWGEPVIGPLTGHDGENHNDFDRYEPYHGLDRSYEDKTQIDSFFSSPEHAIPHEKVQRERSIEKTDMRLIKQKTQQVCYRCGVVGHIARECPEGDARRERFGKYKHKREEVNFRSGTEVKRPRYNSLGKPVGTKDPSMLEKHGRDREKSQLPITKKLVSDDERSSESKGNYRNKHRAESRIKIEKKDNHGTTKKALKKRRKRRSQTSSLSSDSSTDSSRSDSQSVRSISDARQRSSSRSRSPAPESANSLSRLASKSSDSKSMSSTKSRPRSRVGRSPNLSISLNQDSPLSMKTEPVDVPPETSPNNKFDYVANAEKSELKGSFLTSKDENALAFTDVDSKSYGHHPTPDGVAAESFEQKEWNPSIGILKDAASPGKLSENNLQGSSTRELDNCMTIPSSRVTAQELFLALRHYGLATPDEDESGISTEKYFGAARLWPWEIIYLRRVKKGAISTENYARRLEQNRQFGIVDKYIRSSSSWGQYDQTNADQV >DRNTG_27163.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:697384:703780:-1 gene:DRNTG_27163 transcript:DRNTG_27163.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYVGHVPPHVRRDDIERVFQRFGRCKVQLKSGYGFVVYDAIANAEKALRMMRGKIICGEQLSLGWSNRQPKPLKRADRSIRTFEPYSRRQFKEIHDRAGPGHAQGQRDFETGSSHARAFDHSGNRSDYASEREAGNIGNNIDDIEDGKGFSSKEGLANEINPVENDRWGEPVIGPLTGHDGENHNDFDRYEPYHGLDRSYEDKTQIDSFFSSPEHAIPHEKVQRERSIEKTDMRLIKQKTQQVCYRCGVVGHIARECPEGDARRERFGKYKHKREEVNFRSGTEVKRPRYNSLGKPVGTKDPSMLEKHGRDREKSQLPITKKLVSDDERSSESKGNYRNKHRAESRIKIEKKDNHGTTKKALKKRRKRRSQTSSLSSDSSTDSSRSDSQSVRSISDARQRSSSRSRSPAPESANSLSRLASKSSDSKSMSSTKSRPRSRVGRSPNLSISLNQDSPLSMKTEPVDVPPETSPNNKFDYVANAEKSELKGSFLTSKDENALAFTDVDSKSYGHHPTPDGVAAESFEQKEWNPSIGILKDAASPGKLSENNLQGSSTRELDNCMTIPSSRVTAQELFLALRHYGLATPDEDESGISTEKYFGAARLWPWEIIYLRRVKKGAISTENYARRLEQNRQFGIVDKYIRSSSSWGQYDQTNADQV >DRNTG_27163.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:697384:703780:-1 gene:DRNTG_27163 transcript:DRNTG_27163.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYVGHVPPHVRRDDIERVFQRFGRCKVQLKSGYGFVVYDAIANAEKALRMMRGKIICGEQLSLGWSNRQPKPLKRADRSIRTFEPYSRRQFKEIHDRAGPGHAQGQRDFETGSSHARAFDHSGNRSDYASEREAGNIGNNIDDIEDGKGFSSKEGLANEINPVENDRWGEPVIGPLTGHDGENHNDFDRYEPYHGLDRSYEDKTQIDSFFSSPEHAIPHEKVQRERSIEKTDMRLIKQKTQQVCYRCGVVGHIARECPEGDARRERFGKYKHKREEVNFRSGTEVKRPRYNSLGKPVGTKDPSMLEKHGRDREKSQLPITKKLVSDDERSSESKGNYRNKHRAESRIKIEKKDNHGTTKKALKKRRKRRSQTSSLSSDSSTDSSRSDSQSVRSISDARQRSSSRSRSPAPESANSLSRLASKSSDSKSMSSTKSRPRSRVGRSPNLSISLNQDSPLSMKTEPVDVPPETSPNNKFDYVANAEKSELKGSFLTSKDENALAFTDVDSKSYGHHPTPDGVAAESFEQKEWNPSIGILKDAASPGKLSENNLQGSSTRELDNCMTIPSSRVTAQELFLALRHYGLATPDEDESGISTEKYFGAARLWPWEIIYLRRVKKGAISTENYARRLEQNRQFGIVDKYIRSSSSWGQYDQTNADQV >DRNTG_27163.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:697384:703780:-1 gene:DRNTG_27163 transcript:DRNTG_27163.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYVGHVPPHVRRDDIERVFQRFGRCKVQLKSGYGFVVYDAIANAEKALRMMRGKIICGEQLSLGWSNRQPKPLKRADRSIRTFEPYSRRQFKEIHDRAGPGHAQGQRDFETGSSHARAFDHSGNRSDYASEREAGNIGNNIDDIEDGKGFSSKEGLANEINPVENDRWGEPVIGPLTGHDGENHNDFDRYEPYHGLDRSYEDKTQIDSFFSSPEHAIPHEKVQRERSIEKTDMRLIKQKTQQVCYRCGVVGHIARECPEGDARRERFGKYKHKREEVNFRSGTEVKRPRYNSLGKPVGTKDPSMLEKHGRDREKSQLPITKKLVSDDERSSESKGNYRNKHRAESRIKIEKKDNHGTTKKALKKRRKRRSQTSSLSSDSSTDSSRSDSQSVRSISDARQRSSSRSRSPAPESANSLSRLASKSSDSKSMSSTKSRPRSRVGRSPNLSISLNQDSPLSMKTEPVDVPPETSPNNKFDYVANAEKSELKGSFLTSKDENALAFTDVDSKSYGHHPTPDGVAAESFEQKEWNPSIGILKDAASPGKLSENNLQGSSTRELDNCMTIPSSRVTAQELFLALRHYGLATPDEDESGISTEKYFGAARLWPWEIIYLRRVKKGAISTENYARRLEQNRQFGIVDKYIRSSSSWGQYDQTNADQV >DRNTG_27163.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:697277:703780:-1 gene:DRNTG_27163 transcript:DRNTG_27163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYVGHVPPHVRRDDIERVFQRFGRCKVQLKSGYGFVVYDAIANAEKALRMMRGKIICGEQLSLGWSNRQPKPLKRADRSIRTFEPYSRRQFKEIHDRAGPGHAQGQRDFETGSSHARAFDHSGNRSDYASEREAGNIGNNIDDIEDGKGFSSKEGLANEINPVENDRWGEPVIGPLTGHDGENHNDFDRYEPYHGLDRSYEDKTQIDSFFSSPEHAIPHEKVQRERSIEKTDMRLIKQKTQQVCYRCGVVGHIARECPEGDARRERFGKYKHKREEVNFRSGTEVKRPRYNSLGKPVGTKDPSMLEKHGRDREKSQLPITKKLVSDDERSSESKGNYRNKHRAESRIKIEKKDNHGTTKKALKKRRKRRSQTSSLSSDSSTDSSRSDSQSVRSISDARQRSSSRSRSPAPESANSLSRLASKSSDSKSMSSTKSRPRSRVGRSPNLSISLNQDSPLSMKTEPVDVPPETSPNNKFDYVANAEKSELKGSFLTSKDENALAFTDVDSKSYGHHPTPDGVAAESFEQKEWNPSIGILKDAASPGKLSENNLQGSSTRELDNCMTIPSSRVTAQELFLALRHYGLATPDEDESGISTEKYFGAARLWPWEIIYLRRVKKGAISTENYARRLEQNRQFGIVDKYIRSSSSWGQYDQTNADQV >DRNTG_27163.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:697384:703780:-1 gene:DRNTG_27163 transcript:DRNTG_27163.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYVGHVPPHVRRDDIERVFQRFGRCKVQLKSGYGFVVYDAIANAEKALRMMRGKIICGEQLSLGWSNRQPKPLKRADRSIRTFEPYSRRQFKEIHDRAGPGHAQGQRDFETGSSHARAFDHSGNRSDYASEREAGNIGNNIDDIEDGKGFSSKEGLANEINPVENDRWGEPVIGPLTGHDGENHNDFDRYEPYHGLDRSYEDKTQIDSFFSSPEHAIPHEKVQRERSIEKTDMRLIKQKTQQVCYRCGVVGHIARECPEGDARRERFGKYKHKREEVNFRSGTEVKRPRYNSLGKPVGTKDPSMLEKHGRDREKSQLPITKKLVSDDERSSESKGNYRNKHRAESRIKIEKKDNHGTTKKALKKRRKRRSQTSSLSSDSSTDSSRSDSQSVRSISDARQRSSSRSRSPAPESANSLSRLASKSSDSKSMSSTKSRPRSRVGRSPNLSISLNQDSPLSMKTEPVDVPPETSPNNKFDYVANAEKSELKGSFLTSKDENALAFTDVDSKSYGHHPTPDGVAAESFEQKEWNPSIGILKDAASPGKLSENNLQGSSTRELDNCMTIPSSRVTAQELFLALRHYGLATPDEDESGISTEKYFGAARLWPWEIIYLRRVKKGAISTENYARRLEQNRQFGIVDKYIRSSSSWGQYDQTNADQV >DRNTG_27163.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:697384:703780:-1 gene:DRNTG_27163 transcript:DRNTG_27163.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYVGHVPPHVRRDDIERVFQRFGRCKVQLKSGYGFVVYDAIANAEKALRMMRGKIICGEQLSLGWSNRQPKPLKRADRSIRTFEPYSRRQFKEIHDRAGPGHAQGQRDFETGSSHARAFDHSGNRSDYASEREAGNIGNNIDDIEDGKGFSSKEGLANEINPVENDRWGEPVIGPLTGHDGENHNDFDRYEPYHGLDRSYEDKTQIDSFFSSPEHAIPHEKVQRERSIEKTDMRLIKQKTQQVCYRCGVVGHIARECPEGDARRERFGKYKHKREEVNFRSGTEVKRPRYNSLGKPVGTKDPSMLEKHGRDREKSQLPITKKLVSDDERSSESKGNYRNKHRAESRIKIEKKDNHGTTKKALKKRRKRRSQTSSLSSDSSTDSSRSDSQSVRSISDARQRSSSRSRSPAPESANSLSRLASKSSDSKSMSSTKSRPRSRVGRSPNLSISLNQDSPLSMKTEPVDVPPETSPNNKFDYVANAEKSELKGSFLTSKDENALAFTDVDSKSYGHHPTPDGVAAESFEQKEWNPSIGILKDAASPGKLSENNLQGSSTRELDNCMTIPSSRVTAQELFLALRHYGLATPDEDESGISTEKYFGAARLWPWEIIYLRRVKKGAISTENYARRLEQNRQFGIVDKYIRSSSSWGQYDQTNADQV >DRNTG_12210.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10450678:10453624:-1 gene:DRNTG_12210 transcript:DRNTG_12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TGA10 [Source:Projected from Arabidopsis thaliana (AT5G06839) UniProtKB/Swiss-Prot;Acc:E3VNM4] MAQKQGEHQISFGMAQPSSSSIHPNFMISKESNGAYDLGELDQALFLYLDAQEQRASGELMPETLNIFPSQPMHEEPSMKSSKSASDPQASSEIANDVKPLVKRKNITSSSDHEGPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLECSRIKLAQIEQELQRSRAQGLFFGAEGLIGEHGLHPGFGNLSSDPAMFDMEYARWLEEHHRRMCELRAAVDEHMQENELQIYVGHCLAHYDEVMNLKNIAIKTDVFHLLSGVWMAPAERCFMWLGGFRPSKIIKIIMSNLEPLTEQQLIQIYNLQQMAQENEEALSQGLESLQQSLSNTILSEALSFPSNMADYMDQMAMAMNKLSSLETFVKQADSLRQQTLHRLNQILTSRQMARCLLIIAEYFHRLRALSSLWLSRPRQE >DRNTG_12210.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10450678:10453006:-1 gene:DRNTG_12210 transcript:DRNTG_12210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TGA10 [Source:Projected from Arabidopsis thaliana (AT5G06839) UniProtKB/Swiss-Prot;Acc:E3VNM4] MPETLNIFPSQPMHEEPSMKSSKSASDPQASSEIANDVKPLVKRKNITSSSDHEGPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLECSRIKLAQIEQELQRSRAQGLFFGAEGLIGEHGLHPGFGNLSSDPAMFDMEYARWLEEHHRRMCELRAAVDEHMQENELQIYVGHCLAHYDEVMNLKNIAIKTDVFHLLSGVWMAPAERCFMWLGGFRPSKIIKIIMSNLEPLTEQQLIQIYNLQQMAQENEEALSQGLESLQQSLSNTILSEALSFPSNMADYMDQMAMAMNKLSSLETFVKQADSLRQQTLHRLNQILTSRQMARCLLIIAEYFHRLRALSSLWLSRPRQE >DRNTG_12210.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10450678:10452014:-1 gene:DRNTG_12210 transcript:DRNTG_12210.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TGA10 [Source:Projected from Arabidopsis thaliana (AT5G06839) UniProtKB/Swiss-Prot;Acc:E3VNM4] MFDMEYARWLEEHHRRMCELRAAVDEHMQENELQIYVGHCLAHYDEVMNLKNIAIKTDVFHLLSGVWMAPAERCFMWLGGFRPSKIIKIIMSNLEPLTEQQLIQIYNLQQMAQENEEALSQGLESLQQSLSNTILSEALSFPSNMADYMDQMAMAMNKLSSLETFVKQADSLRQQTLHRLNQILTSRQMARCLLIIAEYFHRLRALSSLWLSRPRQE >DRNTG_18733.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1157120:1160471:-1 gene:DRNTG_18733 transcript:DRNTG_18733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWERSLIQSFPLSELMTKINIFSSASPPSQKRKSAIIKLSYKRKSYGGDETTEFCASKRYLFHPRAGLSVPYSPGEEQTPGCWSIIEPSTFKLRGESYFRDKKKTPAPNHVAYHPIGVDLFTCPRKIHHIAQHIELPFVKAHEKLPSILIVNIQLPTYPAAMFLGDSDGEGMSLVLYFKLSEDYENEVSAHFQDSIAKFINDETERIKGFAMDSTIPYRERLKIIAGIVNPEDLHLSSAEKKLVHAYNEKPVLSRPQHSFYRGPNYFEIDLDVHRFSYISRKGLEAFRERLKNGIIDWGLTIQAQKQEELPEQVLCCLRLNKIDFVDHGQIPTIVTLED >DRNTG_18733.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1157470:1159041:-1 gene:DRNTG_18733 transcript:DRNTG_18733.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGDSDGEGMSLVLYFKLSEDYENEVSAHFQDSIAKFINDETERIKGFAMDSTIPYRERLKIIAGIVNPEDLHLSSAEKKLVHAYNEKPVLSRPQHSFYRGPNYFEIDLDVHRFSYISRKGLEAFRERLKNGIIDWGLTIQ >DRNTG_18733.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1157120:1159836:-1 gene:DRNTG_18733 transcript:DRNTG_18733.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGDSDGEGMSLVLYFKLSEDYENEVSAHFQDSIAKFINDETERIKGFAMDSTIPYRERLKIIAGIVNPEDLHLSSAEKKLVHAYNEKPVLSRPQHSFYRGPNYFEIDLDVHRFSYISRKGLEAFRERLKNGIIDWGLTIQAQKQEELPEQVLCCLRLNKIDFVDHGQIPTIVTLED >DRNTG_18733.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1157120:1159836:-1 gene:DRNTG_18733 transcript:DRNTG_18733.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRWERSLIQSFPLSELMTKINIFSSASPPSQKRKSAIIKLSYKRKSYGGDETTEFCASKRYLFHPRAGLSVPYSPGEEQTPGCWSIIEPSTFKLRGESYFRDKKKTPAPNHVAYHPIGVDLFTCPRKIHHIAQHIELPFVKAHEKLPSILIVNIQLPTYPAAMFLGDSDGEGMSLVLYFKLSEDYENEVSAHFQDSIAKFINDETERIKGFAMDSTIPYRERLKIIAGIVNPEDLHLSSAEKKLVHAYNEKPVLSRPQHSFYRGPNYFEIDLDVHRFSYISRKGLEAFRERLKNGIIDWGLTIQAQKQEELPEQVLCCLRLNKIDFVDHGQIPTIVTLED >DRNTG_09150.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6977499:6980452:1 gene:DRNTG_09150 transcript:DRNTG_09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNVEYRRTAPLTKQKPLQTLAALSPEYGQKIGRGSPNKTLKVRRLPQSPYPWITIIIATVLEVRRNEDVLVIMGTTNTGKSKLAIDIDITSIFSGVVVNSDKIKVYEGLTSP >DRNTG_00652.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16494644:16499003:-1 gene:DRNTG_00652 transcript:DRNTG_00652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIDWEGGWQVFGYEPHSVLPIGVCALADHTGFMPLPKVKVLASSAVFYTPFLRQIWTWLGLTPASRKNFYKYLEAGYSCIIVPGGVQEMLHMDYDTEVAFLKSRKGFVRIAMETGRPLVPVFCFGQSYVYKWWRPGGKLLVNIARTIKFTPIIFWGRYGTPIPFQHPMHVVVGKPIELKKNPKPTVDEVNEVHEQFLVALQDLFEKYKARVGHPDLRLRVL >DRNTG_10533.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3464394:3466588:-1 gene:DRNTG_10533 transcript:DRNTG_10533.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITNIRVVIGKLNCINDDLSSVISMARVFMELGKKDDDNFGVVLQHSNQNPRVTTSSVNVSKICGRDRELQILTKLLKEQGTSVECNVNVIPIVGMGGIGKTTLTQHAFNDEQIAKFFDEKVWICVSDDFNRLKILQDMVCSLTVSKSEKFAHNRNLDLLEGELKRNLQGKSLLLVLDDVWSSEWEKLLIPLQFSQMRLAKIVVTTRESKLLRKQDEKNKIVLQGLEDDDFWEFFVCCAFGGSEADERQSLQIIGRQIVKKLKGSPLAAKTVGRLLQQNMSNEHWMDVLRSNLWELGTSADDIMPGLALSYNHLPEHLQQCFVFCSTFPKDYKFMAVDLIEMWIAQGYVVESETSSKTVEEIGHAYFNELLSRSFFEKSYFSMYTIHDLLHDLAQSVCLGECVVYHGQTIKEKASIRHLCLQSIVNLRSICNIESLRTLVVSKGELRQEDYETLKSIRVLIFLDSQAQNCSYSTGHLRHLRYLEISEPSINLFDESLCKLYHLRVLPDLDVFPENLHNLINLRTLNSDTYRMYTKFDQHILMRFARWTKRQYMISQLRNMKELRGVLCLNDLEKIENREEAEKANIKEKCHLEVLILSWNDSNSCNSFATAHEVLEALEPNPNLKHLYVRGYKGYTSPSWFMPFTVHNLRILELMNCRSKLYL >DRNTG_08796.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4302927:4306047:-1 gene:DRNTG_08796 transcript:DRNTG_08796.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLSEQELVECDTESNRGCFGGIMDDAFNFIIDNGGIDTEEDYPYTGQDGQCDANRRNANVVTIDSFEDVPENDEKALKNAVAHQPVSVAIEAGGKNFQLYESGIFTGNCTTALDHGVTAVGYGTENGTDYWIVKNSWGKMWGEDGYIRMERNVNDTTGKCGIAMMASYPIKEGQNPPKPSPSPPTPVKPPTVCDNSFSCSRGTTCCCVYEDYDNECLAWGCCPFESAICCEDHFSCCPHDYPICDVYHGTCLMSKDNPLGVKAFTRTPAMLNFRPNYEGRSDA >DRNTG_28342.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:193349:197530:-1 gene:DRNTG_28342 transcript:DRNTG_28342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNETLDDKSSGAWHNPLSTITSSSSMNSTVVQCRASNNSQAEEKMVEQKTVEHERPEQNALADESEAAGEEVVKKQYTEVHAAGGSCRRKR >DRNTG_08218.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1117746:1119811:1 gene:DRNTG_08218 transcript:DRNTG_08218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVTPQSISYVFRTKEKIDDLKNTMKNLMATKEDVQRKLDYPQHNGKLLDNQHQVKDWLRDVGEKEDKVERLLDEYGKGNCVPAGSCSLNCFSRYKIGRNAFKLKEEITQLTAKQPEIKFTDIPPPKLVPESYKTVGKEIRSNVDIARSYLADERVGIIGIWGMGGVGKTTLLKRIYKSLLDDANMGFNHVLFIEASKGIKLEELRKRISESLQLQRAGKEDIFNVLKISNFVLLLDNIWEEVDLINFGIPHPYSDDNSTKQYKHKVIFTTRSEDVCAQMGASKRIKVECLGSNEAWDLFKDNVNLDIIELNEEFKKLARQVMNECSGLPLALKVIGKAMSNKKSIPEWNCVLRSLKSSSTRVVQGMEESLFPILKFSYDNLPDNIKECFLFGSMLRRISKYELSECWMGLGLIGDFVDMPEAYDKAEYILKILEESCLLYVSDDGRVHFHDVIHEMAKWIASDYGMNRNKWIVKDYHVSTEISTNDTENWRFAKLVIMGRIKLLPILSHQCSDLMCLFIKSSFDLERIPEGFFLQMPNLICLDLSLSDIKELPKDIKCLVNLQYLNVSSTAISSLPKELVYLNKLQYLLCGDTKLSKVKDGLMSRLHKLRVIDLHPTGWLEPKEL >DRNTG_09465.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21339775:21342155:-1 gene:DRNTG_09465 transcript:DRNTG_09465.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGFAKLTPCCSSAVPRRRRSRHVFAAVTSEPFDETLGHSFCYVPEDSSVYRSISGAALSANASTPPSTSDALALASHLTWPSSAATAAAFESSTSFSSGPLNLDRPFLSGPIDRPVFHSGPLPRSLSLRPRHTAASLLKSLSRSLSSKPSPKSDSFGSSLTSDLSDSSDPQDGSSGNLQWAQGKAGEDRVHVVISDEHGWVFVGIYDGFSGPDATDYILANLYYSVQRELKGLLWDEDKPETRHLSDDSHRLKPKTSEDPRREGIRMWDKRRSWKTPGRRWEENQRKWKCEWDRERLELDRRLEEQLRKSSSLSSVNHHEVLKALSMALKKTEDAYLDIADKMLVENPELALMGSCVLVMLMKGEDVYLMNVGDSRAILARKAEPDVWGSIGKPTQDLERIKEEMDCDDLVDSLPSLVARQLTLEHSTSVEEEVRRIKNEHPDDTCAIVNDRVKGSLKVTRAFGAGFLKQPKWNNALLEMFRIDYVGSSPYITCKPFVCHHRLGPKDRFLILSSDGLYQYLTNEEAIAQVEQFLANSPEGDPAQHLVEEVLFRAAEKAGMDFHELLEIPQGDRRRYHDDVSIIVISLEGRIWRSSCM >DRNTG_01991.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13360363:13360581:-1 gene:DRNTG_01991 transcript:DRNTG_01991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVGEKYRNGAEVYNGDALCKKKSIELLEELGLPNRLFPLEDIEEFGFNRSEGFIWLLQKKKKHYTIFYL >DRNTG_29123.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1263187:1268130:-1 gene:DRNTG_29123 transcript:DRNTG_29123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKLAIIGGSVILLVAIVTVIVITLTDKNPNAPSPTASTNSSGQIKTSVKAIQNICHPTDYKQTCEETLTAAAGNITDPKELVKLAFQVTSDQIKQALNHSTLLLNAEKDPLSVGALKDCREVFGYAVQDLQDTIDRFSNFDASTIDNMVDDIKVWLSAVVTYQESCLDGFLNVTSDAGQSMAAALNISKQMSSNALAMVDGLGALIGLINIPQFNRRLLAEAKTGDFPSWLSPGSRSLLGMSPLQMKPAVTVAKDGSGDFKTISEALQGVPRKTNTSYYVIYVKEGVYNEYVEISKDMLNVFMIGDGQTKTRITGHKNYIDGINTFRTASMAVIGDGFLAKDIGMENTAGPEKHQAVALRVSSDRAVFYQCQMDAYQDTLYAHVKRQFYRDCTISGTIDFIFGDSPSIFQNCKMVVRRPMENQQNIVTAQGRKDLRQPSGIILHKCKIVADPALYPLRHTIKSYLGRPWKQYSRTIIIQTEIDDLIAPEGWLPWVGDFGLNTCTYAELENTGPGSVTDKRVKWKGIKKINYTKASRYSVERFIQGHLWLPATGVPYMANFVQK >DRNTG_16093.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25503046:25504238:-1 gene:DRNTG_16093 transcript:DRNTG_16093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIAVGRAIDLTNLEGYNKLIMELEEMFDIKGELRNRDKWEVVFTDIEDDMMLVGDDPWQEFCKIVKKIFIYGSEEVKKMRPGSKLPTAITAEGG >DRNTG_11532.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:12584385:12587949:1 gene:DRNTG_11532 transcript:DRNTG_11532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSPLVKRFTTEDVLTKFMINTEQTFRDVNTKFDALTTELHALDAKCNARFEAIDATFLLVQASLHKLENRVEQLFQERAIELPTTSNNEIEEKYDESEVDENFGVLEVKKVEEVAQISLELALSMEVTQITKHKRELFGTIEDMGRRARKRHGGHEDMIWAKKRRKPGYGTVAYSL >DRNTG_29510.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2230116:2230757:-1 gene:DRNTG_29510 transcript:DRNTG_29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQQSKTKVRGNTKLFPYVRVSSSPPPSQSQPLLASDEHLKTSNSNLTCRMCSEDSKKEIETMRKSFTDLAKKVDEL >DRNTG_18114.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:440150:441162:-1 gene:DRNTG_18114 transcript:DRNTG_18114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAGTRRPTPPATTVHEIDFAGEVIVTTVTSSGSSTAVWLRSLTPSLRNLQTPIVGLDCEWRPNFTAGSSNLVATLQLCFSNQCLILQLQHMDSIPRILRDFLSDPSISFVGVGIMADVSKLFDDYDLQCGNPVDLDPLCWSYLGLDNRRSLGLKGYAKEILGLTMVKPRRVTLSNWESRVLSYAQIEYACIDACASYYLGCRLLSE >DRNTG_18114.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:440507:441162:-1 gene:DRNTG_18114 transcript:DRNTG_18114.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAGTRRPTPPATTVHEIDFAGEVIVTTVTSSGSSTAVWLRSLTPSLRNLQTPIVGLDCEWRPNFTAGSSNLVATLQLCFSNQCLILQLQHMDSIPRILRDFLSDPSISFVGVGIMADVSKLFDDYDLQCGNPVDLDPLCWSYLGLDNRRSLGLKGYAKEILGLTMVKPRRVTLSNWESRVLSYAQIEYACIDACASYYLGCRLLSE >DRNTG_34159.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5376638:5379118:-1 gene:DRNTG_34159 transcript:DRNTG_34159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAQTSWELVLAEIYSASAPVHPHGDPDGVGCLPSSSDHSEVLSLDIGQRFDGVEHFRDVLRNHAIKRNFDFKFIKNEKHRVTVECLCRWLSRR >DRNTG_09653.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16708416:16711082:1 gene:DRNTG_09653 transcript:DRNTG_09653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFAEHLGVQLSPEPTSPICPTRHVAVDTADLVESDVST >DRNTG_03184.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21886425:21888013:1 gene:DRNTG_03184 transcript:DRNTG_03184.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVIKQNHENAVACLDTNYYGCKRVTQALLPFLKLSSSASIVNVSSLRSELKRLPNEKTIGELADIDNLSEEVIENVLDRFLEDLEKGNMESGGWPLMLPSYSMSKVALNA >DRNTG_03184.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21885911:21888013:1 gene:DRNTG_03184 transcript:DRNTG_03184.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSTLQLIAVVTGANKGIGLETVRQLATQGVTVILTARDPKLGNDAVSSLQLSNVLFHPLDVRDDHSVAGLADFIGAEFGKLDILVNNAGVSGLIVDVEGLKALNIDPESWLSGKATNAVMDTVIKQNHENAVACLDTNYYGCKRVTQALLPFLKLSSSASIVNVSSLRSELKRLPNEKTIGELADIDNLSEEVIENVLDRFLEDLEKGNMESGGWPLMLPSYSMSKVALNA >DRNTG_30017.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7912734:7913197:1 gene:DRNTG_30017 transcript:DRNTG_30017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSECSSVAAFVPFQMDVPTQIRGAKTLITRIFGAAPSLHYSGVGAAVKIMECEQYELKAIILFGGQGA >DRNTG_14568.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17699032:17751490:1 gene:DRNTG_14568 transcript:DRNTG_14568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEPYSVFSYTNTTSRQPKDLTKEEMTPT >DRNTG_14568.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17699032:17699462:1 gene:DRNTG_14568 transcript:DRNTG_14568.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEPYSVFSYTNTTSRQPKDLTKEEMTPT >DRNTG_13796.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000641.1:64604:69286:1 gene:DRNTG_13796 transcript:DRNTG_13796.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLPVGELTSGASGRIIPVCRSMLSRRSLFRLFLFFHSLALWLLLFLRRSGGIARSPFLVRNSGASVSSPRKRGGGRWRVGAEEEDARRRRALAEMVDMDGCEGCRWETSVFLGTRKNALFCRSWVPVSGEMKGILVIIHGLNEHSGRYAHFARQLTSCNFGVYAMDWTGHGGSDGLHGYVPSLDNVAADTGIFLEKINSENPNIPCFLFGHSTGGAVVLKAAMHPHIKSMLEGVVLTSPALRVKPAHPIVGAVAPLFSMVAPKFQFKGANKRGIPVSRDPAAMLAKYSDPLVYTGPIRVRTGHEILRISSYLMQNMESVTVPFLVLHGTADRVTDPLASQDLYNVASSSYKDIKLYDGFLHDLLFEPERDEIGTDIIHWMEKKLQHQCL >DRNTG_13796.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000641.1:64604:69286:1 gene:DRNTG_13796 transcript:DRNTG_13796.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLPVGELTSGASGRIIPVCRSMLSRRSLFRLFLFFHSLALWLLLFLRRSGGIARSPFLVRNSGASVSSPRKRGGGRWRVGAEEEDARRRRALAEMVDMDGCEGCRWETSVFLGTRKNALFCRSWVPVSGEMKGILVIIHGLNEHSGRYAHFARQLTSCNFGVYAMDWTGHGGSDGLHGYVPSLDNVAADTIVI >DRNTG_01901.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20971223:20971940:1 gene:DRNTG_01901 transcript:DRNTG_01901.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTLHHWIGMTRLKIVKGVGRGLAYLYDELPMIDVPHGHLKSSNVLLDENYEPVLTDYALVPVMNKSHATQVMVAFKSPEFTEYGRTSRKSDVWSFGILILEILTGKFPANYLRPDKSGADLASWVNSVVREEWTGEVFDPEMKGTEHCEGEMLKLLKIGLDCCERDVEKRLELKQVLAKIEELRVMEGDEEAGSYVSEEGVSSKTVTENEFSFSRTH >DRNTG_01901.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20968836:20971940:1 gene:DRNTG_01901 transcript:DRNTG_01901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSGTLDFDPLGALGGLRTLSFADNDLVGLMPSLDKVGALKALYLTRNKLSGVIPDQAFSVTMSLKKVHLSENRFSGPIPSSLVDVPKLLEVVLDGNEFSGAIPDLRQPGLKLFNVSDNNLEGPIPVSLSQMDASFFAGNKGLCGAPLNNDPCNTTKLTPAEASHKSKKVSTSLVVAIVVIAIIVALGLIVIFCIKRRRHRSVSSKQLHRVEPTDADKLEQGVSQTQHSSVAMKSPKDAEHGKLVFVREVGVRFQLQDLLKASAEVLGSGNFGSSYKAVLVNNHAVVVKRFREMNGVGREDFQEHMRRLGRLSHPNLLPLLSYYYRREEKLLVTDYFPNGSLAHLLHHGMHGSNITSLDWDDKVEDSQGSRKRTCLSL >DRNTG_15456.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:313240:315511:1 gene:DRNTG_15456 transcript:DRNTG_15456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >DRNTG_03031.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000138.1:67733:70980:1 gene:DRNTG_03031 transcript:DRNTG_03031.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRRGGFTCRGLLDQFRRISSPEDQETPSTHDARHDDLPSMAQRTPAHVTSTPALPRPLCTPTGYSFGGSSSSSVSTPCAGGAATHDGSFLIAPASGNSTSSMDGVAHATNLGRRHIQKHFIWDESISSIIKLAWQRKAAERYRSLMCVFRKGKGKTLYVSDTAWQKWNEAWNSSDFKAKSLKFSANRLTEAEGPGSGISRHSGGSISHICHAEKLRSKLGRDPLPYELFEATHTKKGTSELIDTRAQAIKDRYLNLLDQASQTQEESNELSIVDKANIFLQAAGGGKNAGFMEWAPKHLFFIPSYCNAHLQVHHPKSCK >DRNTG_21784.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1991226:1993335:1 gene:DRNTG_21784 transcript:DRNTG_21784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIWLAFDDEKKRIVQDLVDINNTNRSVISIVGIGATPSTDTIQDLSVAIYEKLKKGKYLIILDDAWKEDVWNALLTVFPNVNNRSRVVITTRNTNVAKIANPTTKPHVLRCLDEKEREDMNIPTETVNRLWSAEGFLPIQNGKTIEEFGFDFLVELGQRCLIQVTMQEYNDSAKYCRIHDLLRDMCISKAKENKFLEICKNNDVHCATIPNAARRLIISYEIETLNYSNSKLRVYSTGMNILEILKFSEL >DRNTG_07242.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9318754:9319633:1 gene:DRNTG_07242 transcript:DRNTG_07242.1 gene_biotype:protein_coding transcript_biotype:protein_coding QDQVGVEVQIKSGEWVRPSLSSFVVFPSESYEAWTNGRLEATKHRVVNSGNGQIRYSAILASTPVDGFDIQTPQELIDEEHPALYKPFEFSKLFHFRFTEAGKNADSVVKAFCGIQESKCE >DRNTG_21018.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:83225:85774:1 gene:DRNTG_21018 transcript:DRNTG_21018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKILNWMQWKMKPNTVYSRVSHKHPPDVFADGKEMETNDQEALLLHNVLLDGILTIGTLGLQDIHHHHSPLIKHQQEYDNDKLEDEKNVSQEAVEQVEIKVAMVPLSVSKSLRSSFREKENEKVVVKVMKEQDQHCDQKELMDEPLLKEDKERSEKGRTTLADLFAASDASPKKVKSITSPLKEKINKEPTEEDEKKKKKMLMIMNKNKENSLVEGKTKPTIKATKKMQRLITRMMKKKKVHPEMVVNGMTETVSLMSKEMEKISLINQDIEEHPWRDQAVHQIG >DRNTG_11722.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:589956:591825:1 gene:DRNTG_11722 transcript:DRNTG_11722.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cinnamyl alcohol dehydrogenase 6 [Source:Projected from Arabidopsis thaliana (AT4G37970) UniProtKB/Swiss-Prot;Acc:O65621] MEISAEKEHPREAFGWAARDPSGVLSPFHFYRRNPTAKDVCIKIIYCGICHSDLHFCRNEWKFSVYPLVPGHEIVGIVTEIGNEVQKFKVGDKVGVGCVVGGCDTCHQCTNDLENYCDKTILTYNYIYHDGTRTYGGFSDEIVVEEHYVLRFPDRLPMDGAAPLFCAGITVYSPLKYYGLDKPGLHIGVVGLGGLGHLAVKFAKALGVRVTVISTSPRKQKEAIDSLGADAFLVSTDELQMKDAMGSMDGIIDTVSATHALMPLIDLLKKHGKLVMVGAPEKPLEIEMFPLLTGRKQVVGSCTGGIKETQEMLDFAAEHGITADIEVIPMSYVNTAMERLLKGDIKYRFVIDIANTLNSN >DRNTG_27693.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21719364:21723163:-1 gene:DRNTG_27693 transcript:DRNTG_27693.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKVHLPRGFSNGSRISGQGCPFYQNYTKGSLENGFGAPRTPLGITHFFPKLGVLLIVVLALSGSLYWAASISATSGGNVFRGYRRLQENLVAELSVISDMSLGGTKLRELEFCSPEYEDYVPCYCNVSEKSDVVDPDRLVQYDRTCIQGPNRDHNCLVLPPRNYRIPLRWPTGRDFIWKENVKITGHEFSSGSLMKRMMVEEEQISFRSDSLMVDGVEDYAHQIAEMIGLMNESNFNEAGVRTVLDIGCGFGSFGAYLFTKQVLTLCIANYESSGSQVQITLERGIPAILASFTSKQLPFPYLSFDMLHCTRCGIEWEMNDGIFLVEVDRLLRPGGYFVWTSAASSHRTARDKDSEKWAFIREFAESLCWDMLSQQDETIVWKKTSRKKCYNYRKFGPTFCEKSHDIESPFYQPLQHCIRGTRSQRWIPIEDRRPWPLQANLNSTELNLYGVHPEDFAEDTVYWNAVIRNYWSLLSPLIFSDHPKRPGDEDPSPPFNMLRNVLDMNARFGGLNAALLDAGKSVWVMNVVPTSGPDHLPLILDRGFIGVQHDWYVSPTVFYACEFVGL >DRNTG_27693.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21719364:21723163:-1 gene:DRNTG_27693 transcript:DRNTG_27693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKVHLPRGFSNGSRISGQGCPFYQNYTKGSLENGFGAPRTPLGITHFFPKLGVLLIVVLALSGSLYWAASISATSGGNVFRGYRRLQENLVAELSVISDMSLGGTKLRELEFCSPEYEDYVPCYCNVSEKSDVVDPDRLVQYDRTCIQGPNRDHNCLVLPPRNYRIPLRWPTGRDFIWKENVKITGHEFSSGSLMKRMMVEEEQISFRSDSLMVDGVEDYAHQIAEMIGLMNESNFNEAGVRTVLDIGCGFGSFGAYLFTKQVLTLCIANYESSGSQVQITLERGIPAILASFTSKQLPFPYLSFDMLHCTRCGIEWEMNDGIFLVEVDRLLRPGGYFVWTSAASSHRTARDKDSEKWAFIREFAESLCWDMLSQQDETIVWKKTSRKKCYNYRKFGPTFCEKSHDIESPFYQPLQHCIRGTRSQRWIPIEDRRPWPLQANLNSTELNLYGVHPEDFAEDTVYWNAVIRNYWSLLSPLIFSDHPKRPGDEDPSPPFNMLRNVLDMNARFGGLNAALLDAGKSVWVMNVVPTSGPDHLPLILDRGFIGVQHDWCEAFPTYPRTYDMVHAEGLLSLEAHPRRRCSITDILLEVDRILRPEGWLILHDTVPLIEEARTMTTQLKWDARLIDLESNSDAKLLICQKPFFRRQQY >DRNTG_27693.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21719364:21723163:-1 gene:DRNTG_27693 transcript:DRNTG_27693.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKVHLPRGFSNGSRISGQGCPFYQNYTKGSLENGFGAPRTPLGITHFFPKLGVLLIVVLALSGSLYWAASISATSGGNVFRGYRRLQENLVAELSVISDMSLGGTKLRELEFCSPEYEDYVPCYCNVSEKSDVVDPDRLVQYDRTCIQGPNRDHNCLVLPPRNYRIPLRWPTGRDFIWKENVKITGHEFSSGSLMKRMMVEEEQISFRSDSLMVDGVEDYAHQIAEMIGLMNESNFNEAGVRTVLDIGCGFGSFGAYLFTKQVLTLCIANYESSGSQVQITLERGIPAILASFTSKQLPFPYLSFDMLHCTRCGIEWEMNDGIFLVEVDRLLRPGGYFVWTSAASSHRTARDKDSEKWAFIREFAESLCWDMLSQQDETIVWKKTSRKKCYNYRKFGPTFCEKSHDIESPFYQPLQHCIRGTRSQRWIPIEDRRPWPLQANLNSTELNLYGVHPEDFAEDTVYWNAVIRNYWSLLSPLIFSDHPKRPGDEDPSPPFNMLRNVLDMNARFGGLNAALLDAGKSVWVMNVVPTSGPDHLPLILDRGFIGVQHDWCEAFPTYPRTYDMVHAEGLLSLEAHPRRRCSITDILLEVDRILRPEGWLILHDTVPLIEEARTMTTQLKWDARLIDLESNSDAKLLICQKPFFRRQQY >DRNTG_15065.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13240097:13242219:1 gene:DRNTG_15065 transcript:DRNTG_15065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEGDAIEGSQPIPEPQPEHAETEAPTTTQEPPPAMQHAEVMARLGTFQQ >DRNTG_10188.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2170246:2171216:-1 gene:DRNTG_10188 transcript:DRNTG_10188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHKLPHECKQDAAGKKTNIKGCSVTLNICCRKQESIETMLLSLEGKEFPELVAANADEDIFVRATCRGMLLLQNHLLEGKMRGQPLPCALDVVPLLLAQRAHAFLGAGDAPSMLPDANTPSHDDNSPPNRHSPLTATVPPRTSINEDVTTRLLQPCQILITDCPRLIARVEALEGRSQSNAPSYKQMKYLARMLLGIARMQSR >DRNTG_25015.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19484423:19487048:1 gene:DRNTG_25015 transcript:DRNTG_25015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGEMEMGRDITLGRLSNHVAFETDIKNENNNDQNQCEEARWRKFLAYVGPGFLVSLAYLDPGNLETDLQAGANHRYELLWVILVGLVFALIIQSLAANLGVTTGRHLAELCKAEYPWMVKICLWLLAEMAVIAADIPEVIGTAFALNILFHIPVWTGVLITGLSTLLLLGLQRYGVRKLELLVSSLVFVMAACYFGEMSYVKPPAGEVLKGLFIPKLKGKEATGDAIALMGALVMPHNLFLHSALVLSRKTPPSKRGINDACRYFLMESGFALFVALLINIAVVSVSGTVCSYKNLSQDDADKCNNLTLNSASFLLKNVLGKSSSIVYAIALLASGQSSTITGTYAGQYIMQGFLDIKMRKWLRNLMTRCIAITPSLIVSIIGGSSGAGRLIIIASMILSFELPFALIPLLKFSSSKTKMGPHKNSIYIIVVSWILGLCIIGINIYYLITGFVDWLIHNSLPKVANVFIGLIVFPVMAIYIFSIIYLTFRKDTVVTFIDTSEAKQSEMEKGDCAIDGAKESCYVTYREDLADIPLPQ >DRNTG_03898.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18948033:18949379:1 gene:DRNTG_03898 transcript:DRNTG_03898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNLGKKDAELDTRPAHHDDRPHHDLCQDG >DRNTG_26148.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29362537:29366559:-1 gene:DRNTG_26148 transcript:DRNTG_26148.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQERSAPKRPSSPDHPHHHHRKPLDLLSWASDNVYKIIFAVFLLATAAAAFLLPSISDTAALLCFERSRSTPSSSDPSLRIPYPEIRFSSISPIPPLPDSFSSFRADRWIVVTAPSSSNSPSLLRLTRLKGWQLLVVGTSQTPSDWSLKGAIFLSLDYQARLGFHVTGYLPYHTHVRKSVGYLFAIQHGAKKIFDADDRAQVLDDDLSKHFDVDLARETTEILLQYSHHDPNRTVINPYIHFGQRSVWPRGLPLENVGEVGHEEFYTEVYGGRQFIQQGLSNGLPDVDSVFYFTRKSSGLEAFDIHFDGDAPKVALPQGTMVPVNSFNTLFHTQAFWGLMLPVSVSSMASDVLRGYWAQRILWEIGGFVAVYPPTIHRVDHSESYPFAEEKDLHVNVGRLIKFLISWRSKKPTLFERILELSYAMAEEGFWTEQDVKFTAAWLQDLLAVGYQQPRLMSLELDRPRATIGHGDRREFVPKKLPSVHLGVEEIGTVNYEIGNLIRWRKNFGNVVLIMYSSGPIDRTALEWRLLYGRIFKTVIILSEQNSTDLAVEYGQLQSTYKYLPKLFNRYTGADGFLFLQDDMILNYWNLMQSDKNKLWITNKVADSWVNVTIDGNSTSWFVTQNNMVKKVVSDLPVHFQVSYKESSSDGQLTICGSEIFYIPRRFVGDFIDLVGLVGDLDIHQKVAIPLFFMSMDTPQNFDSDALASSIYKKDLSVNNSSLSYYTAQVPAVYPVNVRNEIDFIKLIRVMSAGDPLLMELV >DRNTG_34021.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31564433:31568471:-1 gene:DRNTG_34021 transcript:DRNTG_34021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGLIHRQEASEEIHGPSLVLTADPKPRLRWTADLHERFVDAVAQLGGPEKATPKTIMRTMGVKGLTLFHLKSHLQKYRLGKQSGKEMTEQPKDASYLLEAPSSSISSPRVPTPDVNEGHEVKEALRAQMEVQRRLHEQVEVQKHVQIRMDAYQKYIDTLLEKACKIATEQIAASGFNSAASDLTDMVTRVMIPADPLSPSSFNQLSMGGISVHSPGHSATDGRYFHQKFPELRRNAC >DRNTG_34021.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31564433:31568471:-1 gene:DRNTG_34021 transcript:DRNTG_34021.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGLIHRQEASEEIHGPSLVLTADPKPRLRWTADLHERFVDAVAQLGGPEKATPKTIMRTMGVKGLTLFHLKSHLQKYRLGKQSGKEMTEQPKDEAPSSSISSPRVPTPDVNEGHEVKEALRAQMEVQRRLHEQVEVQKHVQIRMDAYQKYIDTLLEKACKIATEQIAASGFNSAASDLTDMVTRVMIPADPLSPSSFNQLSMGGISVHSPGHSATDGRYFHQKFPELRRNAC >DRNTG_15464.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16337706:16350396:-1 gene:DRNTG_15464 transcript:DRNTG_15464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGFGDGGSWTDGLSEDNVKGLVLALSSSLFIGASFIVKKKGLKKAGASGVRAGAGGYSYLYEPLWWAGMITMIGGEVANFAAYAFAPAILVTPLGALSIIISAVLAHIILRERLHIFGILGCVLCVVGSTTIVLHAPQEREIESVAEVWDLATEPAFLFYTAIVMITVFILIYHFIPRYGQTHIMVYIGVCSLVGSLSVMSVQALGIALKLTFSGMNQLIYPQTWAFTMIVITCVVTQINYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTILAGTFLLHKTKDMVDGSVHSLSVGLPKYADEDGFSSEGIPLRHHESFRSP >DRNTG_04092.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5412819:5416106:-1 gene:DRNTG_04092 transcript:DRNTG_04092.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGKDFHGPQQAPAAQPHRDGHSRATIVDKEGKTKFEPQMQVKPIINMKKLRSLVTNSIVVDAKYCSRMELKMQSTLFRKFLGKAILLDQLRDTLIWLWGSSGTFTTADMPNGYYLIQGQTPEMVD >DRNTG_04092.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5402565:5415266:-1 gene:DRNTG_04092 transcript:DRNTG_04092.3 gene_biotype:protein_coding transcript_biotype:protein_coding KLDLATVWVPLHHLPMEFWDFEMLKHIGFYLGRLVKINEHTEQLTQAKFTRICVEVDLTQPLKQGLWVEAKGKKVLVPFLYWKNYLCSATGVAVLGMKK >DRNTG_04092.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5412819:5415107:-1 gene:DRNTG_04092 transcript:DRNTG_04092.8 gene_biotype:protein_coding transcript_biotype:protein_coding HNRCGGAGTILEVFGQGEVEPPLQIVGGSPELQDEITKNQPDEDPLLGLWMVVQRGWGRDGATHRQPFPGSKLCAQSLTCAERMAPLNNTRQGSS >DRNTG_04092.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5402488:5415107:-1 gene:DRNTG_04092 transcript:DRNTG_04092.4 gene_biotype:protein_coding transcript_biotype:protein_coding HNRCGGAGTILEVFGQGEVEPPLQIVGGSPELQDEITKNQPDEDPLLGLWMVVQRGWGRDGATHRQPFPGSKLCAQSLTCAERMAPLNNTRQGSS >DRNTG_04092.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5412819:5415266:-1 gene:DRNTG_04092 transcript:DRNTG_04092.7 gene_biotype:protein_coding transcript_biotype:protein_coding KLDLATVWVPLHHLPMEFWDFEMLKHIGFYLGRLVKINEHTEQLTQAKFTRICVEVDLTQPLKQGLWVEAKGKKVLVPFLYWKNYLCSATGVAVLGMKK >DRNTG_04092.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5402565:5416106:-1 gene:DRNTG_04092 transcript:DRNTG_04092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGKDFHGPQQAPAAQPHRDGHSRATIVDKEGKTKFEPQMQVKPIINMKKLRSLVTNSIVVDAKYCSRMELKMQSTLFRKFLGKAILLDQLRDTLIWLWGSSGTFTTADMPNGYYLIQGQTPEMVD >DRNTG_04092.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5402488:5415266:-1 gene:DRNTG_04092 transcript:DRNTG_04092.2 gene_biotype:protein_coding transcript_biotype:protein_coding KLDLATVWVPLHHLPMEFWDFEMLKHIGFYLGRLVKINEHTEQLTQAKFTRICVEVDLTQPLKQGLWVEAKGKKVLVPFLYWKNYLCSATGVAVLGMKK >DRNTG_04092.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5402565:5415107:-1 gene:DRNTG_04092 transcript:DRNTG_04092.5 gene_biotype:protein_coding transcript_biotype:protein_coding HNRCGGAGTILEVFGQGEVEPPLQIVGGSPELQDEITKNQPDEDPLLGLWMVVQRGWGRDGATHRQPFPGSKLCAQSLTCAERMAPLNNTRQGSS >DRNTG_06911.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1550977:1553346:1 gene:DRNTG_06911 transcript:DRNTG_06911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGYSTSLRSMTQGKGEFTMEYLEHAAVSQDVQTQLVNTYKASKGSE >DRNTG_03352.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18107662:18117092:-1 gene:DRNTG_03352 transcript:DRNTG_03352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKLDLFGDLSSSKPNKDDVVGGKGMDKVLVGFLDDSKDRVTSENSIPLSPQWLHSKPVDSKDSRFLNSSVHGSNLESVQKDAWRLDGSHEKWKRNVADVDLSRRWREEERDTGLLGLRERRKEGDRENEYRKIERRSENVMRDSESRTLSSSDWRHENRNSGIESRRDNKWSLRWGPEDKEKDSKTEKKIDAEKDDTHVEKHSSVRPLSESDSRDKWRPRHRQEVHSVGSAQFRAAPGFGLERGRGDGSNPGFAPGRGRSKIIGGLALGRSLAAGPIGAANVNIDDLLHGKPGLSACVFRYPRGKLLDIYKKQKILSTLDSAPDDLAEVPMITKSSYIEPFAFAAPDADEEAILQDIWKGKIIDSEEYHESFTERIAGANDCSIGTDPSSGNYLEKVGSLVERADPGEMNPKGSKNDSHHHFGLAGGILMDSELKVSEEDLVNDTAGHGGHLDFINDVKQGSNPAASFDVRTELPEDSNSLFDTSYIHELSDSDKQHLAYIQEIQGSDRQQHQSSDVEPKLVDHGAPPEDFSMFYRDPQGEIQGPFLSVDIITWLEQGFFGTDLPVCLSDAPEGTPFKPLGELIAHLGLKSFSAPILDASEKSESLDATQSSRVRAFFL >DRNTG_03352.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18107662:18117092:-1 gene:DRNTG_03352 transcript:DRNTG_03352.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKLDLFGDLSSSKPNKDDVVGGKGMDKVLVGFLDDSKDRVTSENSIPLSPQWLHSKPVDSKDSRFLNSSVHGSNLESVQKDAWRLDGSHEKWKRNVADVDLSRRWREEERDTGLLGLRERRKEGDRENEYRKIERRSENVMRDSESRTLSSSDWRHENRNSGIESRRDNKWSLRWGPEDKEKDSKTEKKIDAEKDDTHVEKHSSVRPLSESDSRDKWRPRHRQEVHSVGSAQFRAAPGFGLERGRGDGSNPGFAPGRGRSKIIGGLALGRSLAAGPIGAANVNIDDLLHGKPGLSACVFRYPRGKLLDIYKKQKILSTLDSAPDDLAEVPMITKSSYIEPFAFAAPDADEEAILQDIWKGKIIDSEEYHESFTERIAGANDCSIGTDPSSGNYLEKVGSLVERADPGEMNPKGSKNDSHHHFGLAGGILMDSELKVSEEDLVNDTAGHGGHLDFINDVKQGSNPAASFDVRTELPEDSNSLFDTSYIHELSDSDKQHLAYIQEIQGSDRQQHQSSDVEPKLVDHGAPPEDFSMFYRDPQGEIQGPFLSVDIITWLEQGFFGTDLPVCLSDAPEGTPFKPLGELIAHLGLKSFSAPILDASEKSESLDATQSSRVRAFFL >DRNTG_03035.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20631836:20633954:1 gene:DRNTG_03035 transcript:DRNTG_03035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFPRLVALVEALEGRSQSTASSLPKNESPGMDAASDFDDDDIIREAIQKRSHSKRLAKKGKTIMPLSSPPFDDETIAALSTADGITVDDMAVTVEEIADDVTIAAVDKINDSIMNPVERAADSAASKMDTIPEEQDPANIVSPIDVVAVATVEKVVDYIVNKIIVTMEPTADIAASKADTIPQQQEACKDMSPLDAVVVLASK >DRNTG_17434.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6172209:6180986:-1 gene:DRNTG_17434 transcript:DRNTG_17434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRSYSLPLILLSLVRLRSKVVKHPPRGRKLLTSECVSRRDDWFSEWFNSDSFYQPILDILDIRGAISTRSPVSMVQQPYPHGFDFVTSLTSFGKGGEVPLEKRWGGRGARQRDYEEAETMRLSQWRGACSGAVKRKMDKVAVMVPVPVASGETVRPYRWLGSVKMMMKGKS >DRNTG_24789.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31958660:31959459:-1 gene:DRNTG_24789 transcript:DRNTG_24789.2 gene_biotype:protein_coding transcript_biotype:protein_coding GLANCCVISVEIQGDKLVVIGDGVDPVKMTSRLRKKMKGHVNIESVAGVEEKKEEKKEEKKVEVQPVINCPCFNQHPCFVPPPYVLDYPHDNYPSCSMM >DRNTG_24789.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31958660:31959459:-1 gene:DRNTG_24789 transcript:DRNTG_24789.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKMALKLTVEDEKKRSKALQTAVGFCGVISVEIQGDKLVVIGDGVDPVKMTSRLRKKMKGHVNIESVAGVEEKKEEKKEEKKVEVQPVINCPCFNQHPCFVPPPYVLDYPHDNYPSCSMM >DRNTG_02717.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19538376:19539675:1 gene:DRNTG_02717 transcript:DRNTG_02717.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNSKQAIPDNIHWSQSLLKNINPVGNQVKKQKQQQEQQGLACPRCQSTNTKFCYFNNYNKSQPRHFCKACRRHWTNGGTLRNVPVGGGRKNKRSKTTTTSSTNTSNTNSKDERLVPSFQQQQQQQQQQQLGTENFFGSIYSNVLAHNLQPLESNGMAMGFDNFTVDPFLGVSLYDCTGLGGEWQALQMRSGMDHSACVFPGGCWSNGGTTSACLDDDPLLAGFVPSADH >DRNTG_02717.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19538376:19540792:1 gene:DRNTG_02717 transcript:DRNTG_02717.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNSKQAIPDNIHWSQSLLKNINPVGNQVKKQKQQQEQQGLACPRCQSTNTKFCYFNNYNKSQPRHFCKACRRHWTNGGTLRNVPVGGGRKNKRSKTTTTSSTNTSNTNSKDERLVPSFQQQQQQQQQQQLGTENFFGSIYSNVLAHNLQPLESNGMAMGFDNFTVDPFLGVSLYDCTGLGGEWQALQMRSGMDHSACVFPGGCWSNGGTTSACLDDDPLLAGFVPSADH >DRNTG_02717.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19538376:19541955:1 gene:DRNTG_02717 transcript:DRNTG_02717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNSKQAIPDNIHWSQSLLKNINPVGNQVKKQKQQQEQQGLACPRCQSTNTKFCYFNNYNKSQPRHFCKACRRHWTNGGTLRNVPVGGGRKNKRSKTTTTSSTNTSNTNSKDERLVPSFQQQQQQQQQQQLGTENFFGSIYSNVLAHNLQPLESNGMAMGFDNFTVDPFLGVSLYDCTGLGGEWQALQMRSGMDHSACVFPGGCWSNGGTTSACLDDDPLLAGFVPSADH >DRNTG_01474.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13493454:13494153:-1 gene:DRNTG_01474 transcript:DRNTG_01474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDVWRHWKASWDKPEFKMKREKNSNNRRSIAGPSSHTGGSISNVEHGKRLASSLGRMPTPHELFLFTHTKKHDGQTFIDE >DRNTG_23104.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9764638:9765551:1 gene:DRNTG_23104 transcript:DRNTG_23104.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEMYKEETGDAEMDSNSSSENASKGRNDARSPENREDLQSSTAERCQTLPDTDINRAAAGFQNKANAEEAYVNFKLHEQRPSGGDFGLLQDAFGHSDASARFMSYQMGDLGRYGNNGVSLTLGLHHCNVGLGQNNQDSYLAVRGDDMYTTAPLSSDAADYDCINLMDRRQAFGPASHNLHDFVA >DRNTG_21730.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:696533:696940:-1 gene:DRNTG_21730 transcript:DRNTG_21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEVEKHLREEEEKNGNGATGKETSLVAKRWKDYVWSVEANKVQVFSVKV >DRNTG_33934.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22039400:22042805:-1 gene:DRNTG_33934 transcript:DRNTG_33934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESYYEKMLAVSKKLISLMALSLGLDDLFFEKIGALHEPLACVRLLHYPGKCFEESCGRLGVSAHSDFGMVTLLLTDGVRGLQICRDKDSSPQLWEDVPHVHGALVVNVGDMLERWTNCLFRSTLHRVLTTEQERYSVAFFLDGDPACMIECLESCCSKENPPRFSAIQCADYLQGHISAELYNLGLN >DRNTG_33934.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22039400:22041221:-1 gene:DRNTG_33934 transcript:DRNTG_33934.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLLTDGVRGLQICRDKDSSPQLWEDVPHVHGALVVNVGDMLERWTNCLFRSTLHRVLTTEQERYSVAFFLDGDPACMIECLESCCSKENPPRFSAIQCADYLQGHISAELYNLGLN >DRNTG_15652.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3944175:3946327:1 gene:DRNTG_15652 transcript:DRNTG_15652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHSLGFDLDLACGGGDHGGWTTSEMGEADAVIVEISPDLFRPAAPHRYPGLEAQQPKKQSISLRFMPEKRYLAEISMEGLDQDVVDLGVERQRRRSQRMNYGRERRGDAAIIEISPDPVRPAAPHIYLGERPNSFSLSISHRHPRSRKRSKSLFPLRRGIIDDAPNWLLTDKSPTSLAPSAALIPPSTDSLRAVELLYTKFGSLVKSDPIIHALLSLSPKGKICDINGVCLDVAEDELFCLTTKEGKFIVERKTLRTPTLAFSPILQFEQDSVQILDALLLLYLNS >DRNTG_17800.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000913.1:17212:17679:-1 gene:DRNTG_17800 transcript:DRNTG_17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRDSFDEKVAVVSTVGMGGLGKTTLAQLVYRDEEVQKHFQLHLWVCVSDDFDVPKLAGKIIRTASGKKCDDTDIEVLQQRLRKELEQKRYLLVLEDVWNEDFRKWDALRNMLLDGGERSRILVTTRNETCSRVMGTETLYSQTFIRRKLLGFI >DRNTG_27893.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20154521:20155333:-1 gene:DRNTG_27893 transcript:DRNTG_27893.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSNDHEKAIESLLVGKEEDMVELDK >DRNTG_04169.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:489883:490583:1 gene:DRNTG_04169 transcript:DRNTG_04169.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASSCQEDEEEGPSSSSGCNYMREEFGLVTSNHHPSVGGSTLSQVLIAFGLHGK >DRNTG_07239.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14682779:14686935:1 gene:DRNTG_07239 transcript:DRNTG_07239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYFNHEASEWLKKNLYLAHNLYKALLPWMAPAVWEGLQRHWELEEFKRISENNKQNRAEIGSSSIVIYHGGSASIVVHSLRLDMSSINYKPRLGFPESTEQLHNRFKEMEDKLARSRAEANDRLQAELACRELFGSSLLAALCGQGIDLSRKPIVARMPYAPRALTGKSQTHANEHSPMLKRSRISPSTHNSFEDLEDDVGAF >DRNTG_16499.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29790005:29790573:1 gene:DRNTG_16499 transcript:DRNTG_16499.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSNKYKINKNKNDTDKKITQVISQG >DRNTG_24116.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31316440:31318523:-1 gene:DRNTG_24116 transcript:DRNTG_24116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTVNRWLRPEVYPLFGAVGVAIGICGYQLFRNLRINPEVRINKEGRAAGVLENFAEGHKYAEHSLRKFVRNKTPEIMPSINKFFTDPK >DRNTG_07305.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3426393:3431021:1 gene:DRNTG_07305 transcript:DRNTG_07305.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAMSTGFQLKLRLKLELRMIVLLFGFFLKLSVSEIIFEERFDDDWESRWVVSDWKKSEGKAGNFKHSAGRWAADVDDKGIQTYPDARHFAISAKVPEFSNENRTLVLQYSVKFEQDIECGGGYIKLLSGYVNQKKFSGDTPYSLMFGPDICGTDTKKLHVILSYQGQNYPIKKNLECETDKLTHVYTFILRPDASYSILVDNREKDSGSIYTDWDILPPRRIKEVTAKKPEDWDDREHIDDPNGAKPEGYDSIPAEIPDPNAKKPDSWDDDEDGTWKPPMVPNPAYKGPWKRKRIKNPNYQGKWKTPWIDNPEFEDDPNIYVMKPINYVGFEIWQVKAGAVFDNVLICDDPEYAKQVAEETWGKNREAENEAFEEAEKVRRAQEEEDARRAREEGERRRRERGHDWRSRHRDRYKDKYRRRIHREYFDDYHDEL >DRNTG_29396.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9099674:9101474:1 gene:DRNTG_29396 transcript:DRNTG_29396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYICLAAIKEGFIAGCRRLVGLDGCFLKGLMKGQLLVAVGRDGNNQMFPIAWAIVDKETTETWSWFIEHLQVDLCIGDGLGWAVVSDMQKGLIHAVSTLMPLIEHRMCARHIYARWGEKFQGKELQLNFWNIARATSQPEMQKYLGIMRNFKGGVLAVEELLEKWPVTGWCQAFISDIIKCENVDNNMCETFNGVLLEARSKPIIGMLEEIRQYVMNRLVAKRDYAIKWRLDCGPNIAAKIEKERNKSVKWRVQWNGGASHEVFFDDMVQHVRHGHVVRLENHSCSCGRWDKTGIPCEHALAVIIFCGADPVSFLSTWLMKDTYLKAYKFNVNPVRGRMYWPTSVEGPMLPPLVKRMPGRPAKKRKREPLEGKSKGTSRLSKAGRVMTCRVCHKQGHNKLRCPNRAITATSDAPTSRPSEVDSSGHEKHRQNATKEPKGKNITNTSKGNRRGISAALRENVRVAGGPSISILRGAHTGELLVGRENPHAFNFIT >DRNTG_00006.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21484625:21486235:-1 gene:DRNTG_00006 transcript:DRNTG_00006.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein PSF2 [Source:Projected from Arabidopsis thaliana (AT3G12530) UniProtKB/Swiss-Prot;Acc:Q9C7A8] MVRSLIEDIRDVRFHKVESGLESISGRTHAVKLKNLSAMEVNIVRPFIIRTLQAFYKHDSPQMILQPEAVGNRRPQVADRGPRRDLRPR >DRNTG_00006.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21486182:21486406:-1 gene:DRNTG_00006 transcript:DRNTG_00006.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein PSF2 [Source:Projected from Arabidopsis thaliana (AT3G12530) UniProtKB/Swiss-Prot;Acc:Q9C7A8] ARLTQVLEAERESPREFQPLPFHYVEISRLLFDHAHDDIPDEYMV >DRNTG_00006.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21484625:21487668:-1 gene:DRNTG_00006 transcript:DRNTG_00006.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein PSF2 [Source:Projected from Arabidopsis thaliana (AT3G12530) UniProtKB/Swiss-Prot;Acc:Q9C7A8] MAGQSDPQLSIFTAPEVEFLAEDETIEIVPNIRMEALNMICGDFGPFFPQIAAQVPLWLAVALKRRGKCAIRHPEWMSVARLTQVLEAERESPREFQPLPFHYVEISRLLFDHAHDDIPDEYMVRSLIEDIRDVRFHKVESGLESISGRTHAVKLKNLSAMEVNIVRPFIIRTLQAFYKHDSPQMILQPEAVGNRRPQVADRGPRRDLRPR >DRNTG_00006.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21484625:21486105:-1 gene:DRNTG_00006 transcript:DRNTG_00006.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein PSF2 [Source:Projected from Arabidopsis thaliana (AT3G12530) UniProtKB/Swiss-Prot;Acc:Q9C7A8] MEVNIVRPFIIRTLQAFYKHDSPQMILQPEAVGNRRPQVADRGPRRDLRPR >DRNTG_00006.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21484625:21485376:-1 gene:DRNTG_00006 transcript:DRNTG_00006.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein PSF2 [Source:Projected from Arabidopsis thaliana (AT3G12530) UniProtKB/Swiss-Prot;Acc:Q9C7A8] MYCLQLKNLSAMEVNIVRPFIIRTLQAFYKHDSPQMILQPEAVGNRRPQVADRGPRRDLRPR >DRNTG_30331.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19890967:19892154:-1 gene:DRNTG_30331 transcript:DRNTG_30331.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLTGIMSRLLCHNAAREAIREAKQVSRELCNEAEKKPAPSPGLVARLMGLDSMPVFPYTPPSSMGRSRSTSSLESWPLFLSGERCNEKVKMRTSVSFREAPTFLRQENEDFLLLTFTSEPSEEKDMKELKQVKEMRREKVNRREEKKNKHKGRQINEELLSSKHKQRVRRKAVESVRTVKKMEVEVDFNSQNSSPDSVLDNAFGIDNQCYGNILLSLMSFVSCHSFGSIS >DRNTG_30331.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19890967:19892154:-1 gene:DRNTG_30331 transcript:DRNTG_30331.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLTGIMSRLLCHNAAREAIREAKQVSRELCNEAEKKPAPSPGLVARLMGLDSMPVFPYTPPSSMGRSRSTSSLESWPLFLSGERCNEKVKMRTSVSFREAPTFLRQENEDFLLLTFTSEPSEEKDMKELKQVKEMRREKVNRREEKKNKHKGRQINEELLSSKHKQRVRRKAVESVRTVKKMEVEVDFNSQNSSPDSVLDNAFGIDNQCYEDKKLVKRRSRKKLSSELDCLQLSTPKIKFNVVVDDGGLISQSEDRDRNYRMKKHEKPRNGSPELWELVCRFADEDVKRSNWFSTEKCKSEDAEEIVFITALHILDCLLHEVVNELYLN >DRNTG_04849.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19505195:19505851:-1 gene:DRNTG_04849 transcript:DRNTG_04849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESQMKDSRPKTVNLEPSRHHGIKDKANFRRPCGHAYFHKDDSENLTSKAYAHKDACMVYPEELTTIAYASPLQPHPYAGKQPIRSRDKSSVLNGYLERDLDRYSWGMKIVAVETILALCVEAFHGTPPGILYSKY >DRNTG_34037.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002078.1:29892:34986:-1 gene:DRNTG_34037 transcript:DRNTG_34037.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAVRYAVIDAFTDTAFKGNPAAICFLEDGHQVDDEWMQSVAKEFNISETAFLTRAVSDDNPRFNLRWFTPVDEVDLCGHATLAAAHFLLSYGLVKCDVIEFATKSGILTATKVYGIKQSTLFNVKDENFIKSNGEKESFSIELNFPVDPEVVESDPGEIPSIPETLNGASVINVTKRSSSDDHIVLPCPSINLLIID >DRNTG_34037.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002078.1:29892:34986:-1 gene:DRNTG_34037 transcript:DRNTG_34037.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAVRYAVIDAFTDTAFKGNPAAICFLEDGHQVDDEWMQSVAKEFNISETAFLTRAVSDDNPRFNLRWFTPVDEVDLCGHATLAAAHFLLSYGLVKCDVIEFATKSGILTATKVYGIKQSTLFNVKDENFIKSNGEKESFSIELNFPVDPEVVESDPGEIPSIPETLNGASVINVTKRSSSDDHIVEVASGLDVVNLKPKFDEIRNCAGIGVIVTGPAPPGSGYDIFSRYFCPKLGLDEDPVCGSAHCALAPYWSKKLGKNNLIAYMASSRGGRLDLTLDENAERVYIRGKAVVVMEGSLLA >DRNTG_28728.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2370856:2382122:1 gene:DRNTG_28728 transcript:DRNTG_28728.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CELLULOSE SYNTHASE INTERACTIVE 1 [Source:Projected from Arabidopsis thaliana (AT2G22125) UniProtKB/Swiss-Prot;Acc:F4IIM1] MEPPTPHSVMKANSRDRGTVEDSDGTLSSVAQCIEQLRRSASTAQEKENSLKQLLDLVETRENAFGAVGSHSQAVPILVSLLRSGSFGVKMLAATVLGSLCKEDELRVKVLLGGCIPPLLSLLKSSSADGQIAAAKTIYAVSQGGAKDHVGSKIFSTEGVVPVLWEQLKIGIKNGSVVDNLLTGALKNLSNSTEGFWSATIASGGVDILIKLLAAVQTSTQANACYLLGCVMMEDASVCSKVLAAETTKLLLKLLGSGNEAPIRAEAAGALKSLSSQCKEARREIANSNGIPALINATIAPSKEFMQGESAQALQENAMCALANISGGLAYVISSLGESLESCTSSAQIADTLGALASALMIYDANAESIRASDPIVIEKVLVKQFKPKAPFLVQERTIEALASLYGNTILSRTLAHSEAKRLLVGLITMATNEVQDELMKSLLRLCSKEGRLWHAMQGREGVQLLISLLGLASEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSSKAKEDSAAILGNLCNHSEDIRACVESADAVPALLWLLKNGSENGKETAAKTLNHLIHKSDTGTISQLSALLTSDQPESKVYVLDALRSLLSVAPLTDILHEGSAANDAIETMIKILSSTKEETQAKSVSALAGLFHCRKDLRESHLAVKTLWSAMKLLNAESEKILMEASSCLAAIFLSIKQNKEVASVARDALAPLVLLANSAALAVAEQAIRALANLFLDTEASLQAFPEEFMFSITHVLRDGTLDGRTHAAAAIARLLHCRAVDDALSDSVNRAGTVLALVALLESASIEAAATSEVLDALALLSRSRATGNIKPPWAILAEYPHTIAPLVSCIADGTPLLQDKAIEVLSRLCCDQHAILGEAISSTTGCTSSIARRVIGSNLFKVKVGGAALLICASKEYSQKLVDALRESNVCNQLIHSLVAMLSSTNPYPEGESKICIYRHHKEQLRNDEIECSTAVISGHIIPIWLLSVLACHDDKSKIAILEAGAVEILTDKISHCSFLASQSDSREDSSAWVSALLLAVLFQDRDIIRSNATMRTIPVLSNLLRSEESADRYFAAQALASLVCNGSRGTLLAVSNSGAASGLISLLGCADSDISDLLSLAEGFHLVRNPDQIALERLFRVDDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALGLLTQLAVDCPSNMQVMVEAGALEGLTKYLSLGPQDATEEATTDLLGILFSSAEIRRHDSVFGAVNQLVAVLRLGGRNSRYSAAKALESLFSSEHIRNADSARQAIQPLVEILNTGLEREQHAAIAALVRVLRDNPSRALAVADVEMNAVDVLCRILSSNCSVDLKGDAAELCCVLFGNTRIRSTLAAARCVEPLVSLLMTDSSPAQISVVCALDRLLEDEQLAELVAAHGAVSPLVGLLSGKNYTLHEAVSRALVKLGKDRPACKMEMVKAGVIESLLSILREAPDFLCGAIVDLFRILTNNANIAKGPAAAKIVEPLFGLLSRPEIGPDGQHSALQVLINILEYPECRAEYSLTPQLALEPVIFLLDSPTQAVQQLAAELLSYLLLVEHLQKDAIVQQAITPLIQVLGSGVHILQHRAIKALVNIASVWPNAVAKEGGVYELSKVIMQADPPLPHALWESAASILSSVLQNSSEFFLEVPVAVLVQLLRSGTEGTVVGALNALLVLESDDSTSAEAMAESGAIEALLDLLRNHHCEETAARLLETLLNNVKIRETKSAKAAISPLSLYLLDPQTQSQQGRLLTALALGDLLQNEGLSRSTDAVSACRALVNLLEDQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDLISSSDP >DRNTG_05338.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18652950:18655239:-1 gene:DRNTG_05338 transcript:DRNTG_05338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQNEQQRTLFDYARPSVLGTQSSIKILNLDPYAGLLDQEMENEEVMMLGLEEKVPSTPEIVKKMLRKMKRVRRHYKKCPKAIGEAQ >DRNTG_26963.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30221879:30226927:-1 gene:DRNTG_26963 transcript:DRNTG_26963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFNQAYSQSEYGYLNVDCGGDGGSIDDNNIRWSADYGFAKQGYKKTIDKSINDNYPMKTLREFPYMNKNCYILPAFIQQKYLLRAGFFYGNYDGLSRPPIFDLQFDANSWTTVFTSNDQPVFYEAVIIAKWHNISVCLQRTRQDDVPFISTLQIMQLLPGMYTNMKNDHALFKEYRLNFGSNQTVSYPDDKYGRIWEPAPKNNYKDITADFQTLLSTVNDDPPNAAMRTAIQSESPSDPILLSFNLTEFARPLYIALYFTEVSKLNPRQNRTFDIYISGQDFNLQLSPVYQKCNEVHGYVVPTPGMSKIILSLVPSENSNMPPILNAMELFTVSETVSSGTAPQDVFGLGLITHFSSRLSQWSGDPCLPVPFDWIACNQDNPSRITALYLANSDILFISNISDMQALQIIDLQNNSLLSVPSFIWDLPNLKSINLAYNDIRGTVPESIVEREVSLNITGTNLIVIEPKKKSHKGLIIGLSVAAGIALIGIAAFVFICICRNGERKFLRQRN >DRNTG_26963.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30224505:30226927:-1 gene:DRNTG_26963 transcript:DRNTG_26963.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFNQAYSQSEYGYLNVDCGGDGGSIDDNNIRWSADYGFAKQGYKKTIDKSINDNYPMKTLREFPYMNKNCYILPAFIQQKYLLRAGFFYGNYDGLSRPPIFDLQFDANSWTTVFTSNDQPVFYEAVIIAKWHNISVCLQRTRQDDVPFISTLQIMQLLPGMYTNMKNDHALFKEYRLNFGSNQTVSYPDDKYGRIWEPAPKNNYKDITADFQTLLSTVNDDPPNAAMRTAIQSESPSDPILLSFNLTEFARPLYIALYFTEVSKLNPRQNRTFDIYISGQDFNLQLSPVYQKCNEVHGYVVPTPGMSKIILSLVPSENSNMPPILNAMELFTVSETVSSGTAPQDVFGLGLITHFSSRLSQWSGDPCLPVPFDWIACNQDNPSRITALYLANSDILFISNISDMQALQIIDLQNNSLLSVPSFIWDLPNLKSINLAYNDIRGTVPESIVEREVSLNITGTNLIVIEPKKKSHKGLIIGLSVAAGIALIGIAAFVFICICRNGERKVSTVEISNQTVTDTVNGSEEQLNNNVNDTTGEAGIRA >DRNTG_15113.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7392283:7395876:1 gene:DRNTG_15113 transcript:DRNTG_15113.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTKALSYHCLKTNNTNSEQQQSNELRSHKFTS >DRNTG_21999.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5524779:5527592:-1 gene:DRNTG_21999 transcript:DRNTG_21999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQPEVLWAQRSDKVYLTISLPDAKNISAESKPQGLFTFSATGKQGEPFSFSLELYGSILPEKSKINVGLRNILCTIQKDNKGWWKRLLKSEEKPAPYIKVDWNKWCDEDDEETLDDQSGADDEDDDDDDNDDDDDVGNNNDDESSDEDGGLLYLPDLEKARRNTHC >DRNTG_21999.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5524779:5525806:-1 gene:DRNTG_21999 transcript:DRNTG_21999.2 gene_biotype:protein_coding transcript_biotype:protein_coding KSKINVGLRNILCTIQKDNKGWWKRLLKSEEKPAPYIKVDWNKWCDEDDEETLDDQSGADDEDDDDDDNDDDDDVGNNNDDESSDEDGGLLYLPDLEKARRNTHC >DRNTG_27370.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001391.1:63101:63454:-1 gene:DRNTG_27370 transcript:DRNTG_27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTMKPTKAGPNRSSAGEICLAKGRETRGATRVVRMASRTRLRASEAPARVMFWDGVEGRLSEMGA >DRNTG_16672.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30863451:30864521:-1 gene:DRNTG_16672 transcript:DRNTG_16672.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNMITTTTAASMEASGAASSTQVLKRKRNLPGNPDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRPTNKEVKKKVYICPEVSCIHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCSKKYAVQSDWKAHTKICGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARSIPSSSSTAASASATHTHTTTSASASASAANACQQVGFGNQVEYFHEYSYENNKHLGKAAGSGHLSATALLQKAAEMGAGSGRTQESTLMAGHTSCS >DRNTG_16672.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30863451:30865087:-1 gene:DRNTG_16672 transcript:DRNTG_16672.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNMITTTTAASMEASGAASSTQVLKRKRNLPGNPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRPTNKEVKKKVYICPEVSCIHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCSKKYAVQSDWKAHTKICGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARSIPSSSSTAASASATHTHTTTSASASASAANACQQVGFGNQVEYFHEYSYENNKHLGKAAGSGHLSATALLQKAAEMGAGSGRTQESTLMAGHTSCS >DRNTG_16672.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30863451:30865087:-1 gene:DRNTG_16672 transcript:DRNTG_16672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNMITTTTAASMEASGAASSTQVLKRKRNLPGNPDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRPTNKEVKKKVYICPEVSCIHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCSKKYAVQSDWKAHTKICGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARSIPSSSSTAASASATHTHTTTSASASASAANACQQVGFGNQVEYFHEYSYENNKHLGKAAGSGHLSATALLQKAAEMGAGSGRTQESTLMAGHTSCS >DRNTG_16672.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30863451:30864886:-1 gene:DRNTG_16672 transcript:DRNTG_16672.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNMITTTTAASMEASGAASSTQVLKRKRNLPGNPDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRPTNKEVKKKVYICPEVSCIHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCSKKYAVQSDWKAHTKICGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARSIPSSSSTAASASATHTHTTTSASASASAANACQQVGFGNQVEYFHEYSYENNKHLGKAAGSGHLSATALLQKAAEMGAGSGRTQESTLMAGHTSCS >DRNTG_16672.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30863451:30864958:-1 gene:DRNTG_16672 transcript:DRNTG_16672.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNMITTTTAASMEASGAASSTQVLKRKRNLPGNPDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRPTNKEVKKKVYICPEVSCIHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCSKKYAVQSDWKAHTKICGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARSIPSSSSTAASASATHTHTTTSASASASAANACQQVGFGNQVEYFHEYSYENNKHLGKAAGSGHLSATALLQKAAEMGAGSGRTQESTLMAGHTSCS >DRNTG_17982.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:8060922:8062673:-1 gene:DRNTG_17982 transcript:DRNTG_17982.3 gene_biotype:protein_coding transcript_biotype:protein_coding HLASSDNPSIRTVECFPASHSRAADSP >DRNTG_17982.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:8060631:8065245:-1 gene:DRNTG_17982 transcript:DRNTG_17982.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIKDTRLGPYSINPTFLSSPNSLIVQSCFIHNRKLVKPFLRLRCLLHKLQIAILVEVDMV >DRNTG_08123.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11798057:11800659:1 gene:DRNTG_08123 transcript:DRNTG_08123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQARKVMIKIRSSDGMEYQVEEQTMVQQSAFVQELLKSPNARENGITIPNVNTNILAKVLNYCEKHAETADKVELESWDAKFIDVENHILYDLIMAAETFLISSLLDLCSRKFAELIKGLTADEIRNNFHIQNDFTPEEMESVRKENMWEF >DRNTG_13640.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11936897:11939279:1 gene:DRNTG_13640 transcript:DRNTG_13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGVSADGISTQLSPWASALYQSLPPFIKKQLLLSPDSDESAQLSQIETEKLLAQLVEAEMDARSKSGKYKGKKFNSICHFFGYQARGS >DRNTG_33442.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3005137:3006418:-1 gene:DRNTG_33442 transcript:DRNTG_33442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGITPFLALLLLLVAAPALATNYTVGDSQQWNLGVNYSTWLSGKTFKVGDNLVFIYSSASHDVAEVNKADYGSCSKNNIIKTYNDGNTIIALNTTGSRYFICSFSDHCSKGMKLEVSVSGSTSTPPSGSPSPPSSSSPPPPSGGSPANGPSSQSPPPPPPPPSNGAINMSPCKISTLFFGLLVLCVGLIA >DRNTG_19014.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22606355:22608385:1 gene:DRNTG_19014 transcript:DRNTG_19014.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILGMPNMGSPFLVQLKKQASFFLKEKIKTARLALTDVTPAQLLTEEVTNGSSWAPDAKTMGFISRAAFEIDDYWRIVEILHKRKQWREPYHAIIVLEHLLTHGPESVADEFQADGGAIEQLGNFQYIDERGFNWGLTVRKKSERVLKLLEKGPLLKEERDRARKVTRGIQGFGSFNHRWSSNPSSCEDHYAKRCNSHYEDFIVQEDFSDSLKTVVESKPLLVNHEDKPKVELQKEEEHPFSSVEHKKKMESLLLLN >DRNTG_21348.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20500556:20500829:-1 gene:DRNTG_21348 transcript:DRNTG_21348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYGRQFIQQKLQTASTKEKNKIFPEILPQAQSLMIDVFENYVI >DRNTG_09065.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1265451:1266032:-1 gene:DRNTG_09065 transcript:DRNTG_09065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIIFTFILSFVLSTKAVILDTDGNELKPNTEYYILPSATVYAGGLTLGNKNSSCAENVAEGNSPTENGLPVNFTTVNPNSTTIALNESINIAFSGPTTCNSSTVWTLVSDTDTGKRFVKIGGVLGNPGNDTLANWFNIQEYMNGLYKLVYCPTVCETCKPACGYLGIANDDDGGLWLAITDEPFACAFTKA >DRNTG_08999.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18627578:18631174:1 gene:DRNTG_08999 transcript:DRNTG_08999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTKTFRSRLKEWQLMPYATPYDPNPIGGEGDGGRARMARMEKRAVGVVHEFLSLTVEKMVEVEKVSQFRKWLGMEVNIRDLFLDHPGMFYLSTKGKRHTVFLREGYEKGRLIHPNPIYDARMQLFHLLLLGKRPFNNNIIAAQ >DRNTG_08999.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18627578:18628815:1 gene:DRNTG_08999 transcript:DRNTG_08999.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTKTFRSRLKEWQLMPYATPYDPNPIGGEGDGGRARMARMEKRAVGVVHEFLSLTVEKMVEVEKVSQFRKWLGMEVNIRDLFLDHPGMFYLSTKGKRHTVFLREGYEKGRLIHPNPIYDARMQLFHLLLLGKRPFNNNIIAAQ >DRNTG_08999.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18627578:18629828:1 gene:DRNTG_08999 transcript:DRNTG_08999.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTKTFRSRLKEWQLMPYATPYDPNPIGGEGDGGRARMARMEKRAVGVVHEFLSLTVEKMVEVEKVSQFRKWLGMEVNIRDLFLDHPGMFYLSTKGKRHTVFLREGYEKGRLIHPNPIYDARMQLFHLLLLGKRPFNNNIIAAQ >DRNTG_26832.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1958714:1965983:1 gene:DRNTG_26832 transcript:DRNTG_26832.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 19 [Source:Projected from Arabidopsis thaliana (AT3G28860) UniProtKB/Swiss-Prot;Acc:Q9LJX0] MGDVGDVKPAAIAGEGEKKGKEQSVGFHELFSFADRLDLALMAAGTIGAILHGAAMPVFFLFLGDLFNGFGKNQHDLHAMTAEVSKYALYFVYLGIVVCLASYAEIACWMYTGERQVGTLRKRYLEAVLMQDVGFFDTDARTGDIVFGVSTDTLLVQDAISEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSVAVIPGIAFAGGLYAYTITGLTSKSRESYANAGIIAEQAITQVRTVYSYVGESKALNAYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGLSLGQAFSNLGAFSKGKAAGYKLLEIIRQKPSIIQDPSDGKCLDEVHGNIELKDVTFSYPSRPGAIIFRDFSLFFPAGKTVAVVGGSGSGKSTVVALIERFYDPNQGQVLLDNVDIRTLQLKWLRDQIGLVNQEPALFATTILENILYGKPDATMAEVENAASAANAHSFIALLPNGYNTQVGERGVQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSASIVQEALDRLMVGRTTVVVAHQLSTIRNVDTIAVIQQGQVVETGTHEELLAKGSTGAYASLMRFQEMARNRDFGGMSTRRSRSSRLSHSLSTKSLSLRSGSLRNLSYQYSTGADGRIEMVSNADNDRKYPAPDGYFIRLLKLNAPEWPYAILGAIGSVLSGFIGPTFAIVMSNMIEVFYYRDTNLMESKIKEFVFIYIGAGLYAVVAYLVQHYFFSIMGENLTTRVRRMMLAAILKNEVGWYDEEENNSTLVAARLATDAADVKSAIAERISVILQNMTSLLTSFVVGFIVEWRVSLLILGTFPLLVLANFAQQLSLKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQDKILTLFRHELRIPQLRSFRRSQTSGILFGLAQLSLYASEALILWYGAHLVRSGMSTFSKVVKVFVVLVITANSVAETVSMAPEIIRGGESIRSVFSILNRGTRIDADDPDSESVDSIRGEIELRHVDFTYPSRPEVSIFKDLNLRIRAGQSQALVGASGSGKSSVIALIERFYDPTAGKVMIDGKDIRRLHLKSLRMKIGLVQQEPVLFAANIFDNIAYGKDNATEAEVIEAAKAANVHGFVSALPDGYKTAVGERGVQLSGGQKQRIAIARAVLKDPAVLLLDEATSALDAESEAMLQEALERLMRGRTTVIVAHRLSTIRGVDSIAVVQDGRITEQGSHGELIARGPEGAYSKLLQLQHHHI >DRNTG_26832.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1958714:1965983:1 gene:DRNTG_26832 transcript:DRNTG_26832.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 19 [Source:Projected from Arabidopsis thaliana (AT3G28860) UniProtKB/Swiss-Prot;Acc:Q9LJX0] MYTGERQVGTLRKRYLEAVLMQDVGFFDTDARTGDIVFGVSTDTLLVQDAISEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSVAVIPGIAFAGGLYAYTITGLTSKSRESYANAGIIAEQAITQVRTVYSYVGESKALNAYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGLSLGQAFSNLGAFSKGKAAGYKLLEIIRQKPSIIQDPSDGKCLDEVHGNIELKDVTFSYPSRPGAIIFRDFSLFFPAGKTVAVVGGSGSGKSTVVALIERFYDPNQGQVLLDNVDIRTLQLKWLRDQIGLVNQEPALFATTILENILYGKPDATMAEVENAASAANAHSFIALLPNGYNTQVGERGVQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSASIVQEALDRLMVGRTTVVVAHQLSTIRNVDTIAVIQQGQVVETGTHEELLAKGSTGAYASLMRFQEMARNRDFGGMSTRRSRSSRLSHSLSTKSLSLRSGSLRNLSYQYSTGADGRIEMVSNADNDRKYPAPDGYFIRLLKLNAPEWPYAILGAIGSVLSGFIGPTFAIVMSNMIEVFYYRDTNLMESKIKEFVFIYIGAGLYAVVAYLVQHYFFSIMGENLTTRVRRMMLAAILKNEVGWYDEEENNSTLVAARLATDAADVKSAIAERISVILQNMTSLLTSFVVGFIVEWRVSLLILGTFPLLVLANFAQQLSLKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQDKILTLFRHELRIPQLRSFRRSQTSGILFGLAQLSLYASEALILWYGAHLVRSGMSTFSKVVKVFVVLVITANSVAETVSMAPEIIRGGESIRSVFSILNRGTRIDADDPDSESVDSIRGEIELRHVDFTYPSRPEVSIFKDLNLRIRAGQSQALVGASGSGKSSVIALIERFYDPTAGKVMIDGKDIRRLHLKSLRMKIGLVQQEPVLFAANIFDNIAYGKDNATEAEVIEAAKAANVHGFVSALPDGYKTAVGERGVQLSGGQKQRIAIARAVLKDPAVLLLDEATSALDAESEAMLQEALERLMRGRTTVIVAHRLSTIRGVDSIAVVQDGRITEQGSHGELIARGPEGAYSKLLQLQHHHI >DRNTG_19338.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5275507:5278508:1 gene:DRNTG_19338 transcript:DRNTG_19338.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPSPPPPPPPRPITVGSKNPAAPPPPPPGAGAGPSSRLPPTPNRTTSGRAHPRLKPLHWDKMSPINPEHSMVWDKISGGSFRVDDEMMEALFGYVATNRKSPRGGDKTASDSANSSFSSTTPPTQITLLDPRKSQNIAIVLRSLAISRQEILDALLEGRGLSSDTLEKLCRTAPTKDEEKLILAFTGHPSKLADAESFLYHILRAIPSPFERLNSMLFKYNYEPEILATKQSLQTLELACKEMKTKGIFLKLLEAILKAGNRMNAGTARGNAQAFNLTALCKLSDVKSTDGRTTLLHFVVQEVVRSEGKRCVINRNQQSMRRTTSINSGSNNPDPTRREEREREYMMLGLPVVGGLSVEFSNVKKAAGIDYELLVSTCSSLGMKVKEIGDFLNTCGSDGFVMEMKGFLEVADEELRVVREEQGRVLELVNRTTEYYQVGASKEKGANPLKLFVIVRDFLGMVDNVCVDITRNVQQKKKQVGGVGTSSSSSSVSDGKRVHARFPNLPAHFMSDNSKSSDSDSDDGF >DRNTG_19338.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5275063:5278306:1 gene:DRNTG_19338 transcript:DRNTG_19338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPSPPPPPPPRPITVGSKNPAAPPPPPPGAGAGPSSRLPPTPNRTTSGRAHPRLKPLHWDKMSPINPEHSMVWDKISGGSFRVDDEMMEALFGYVATNRKSPRGGDKTASDSANSSFSSTTPPTQITLLDPRKSQNIAIVLRSLAISRQEILDALLEGRGLSSDTLEKLCRTAPTKDEEKLILAFTGHPSKLADAESFLYHILRAIPSPFERLNSMLFKYNYEPEILATKQSLQTLELACKEMKTKGIFLKLLEAILKAGNRMNAGTARGNAQAFNLTALCKLSDVKSTDGRTTLLHFVVQEVVRSEGKRCVINRNQQSMRRTTSINSGSNNPDPTRREEREREYMMLGLPVVGGLSVEFSNVKKAAGIDYELLVSTCSSLGMKVKEIGDFLNTCGSDGFVMEMKGFLEVADEELRVVREEQGRVLELVNRTTEYYQVGASKEKGANPLKLFVIVRDFLGMVDNVCVDITRNVQQKKKQVGGVGTSSSSSSVSDGKRVHARFPNLPAHFMSDNSKSSDSDSDDGF >DRNTG_14044.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4913756:4914826:-1 gene:DRNTG_14044 transcript:DRNTG_14044.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCCRRKTNHQKVMEVQKITQTIQELEESLLATSAATYTLCEVSQLNVGYQSIHLTINWRSAILVLWKYQSIDHYMQIILITTQIKQQIHSNSK >DRNTG_14044.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4912829:4913669:-1 gene:DRNTG_14044 transcript:DRNTG_14044.4 gene_biotype:protein_coding transcript_biotype:protein_coding LRSLLVSDSTQNADPPRYPSSTRHRPCLSGMIHRNRHRHRHRSQHGI >DRNTG_14044.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4912829:4914826:-1 gene:DRNTG_14044 transcript:DRNTG_14044.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCEMERIQIGLDGGWRRRGPKP >DRNTG_14044.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4912829:4914826:-1 gene:DRNTG_14044 transcript:DRNTG_14044.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSQGHGIGRKQKKILCSTLEQVLIVENMRNQNLELKRQTAILQAKFEIVYRIKTISFIS >DRNTG_23752.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21081909:21087059:1 gene:DRNTG_23752 transcript:DRNTG_23752.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated protein 1-3 [Source:Projected from Arabidopsis thaliana (AT4G00170) UniProtKB/Swiss-Prot;Acc:Q84WW5] MSGGDLLGVQPSELKFPFELRKQSSCSMQLTNKTDQYVAFKVKTTNPKKYCVRPNTGVVLPGTTCDVTVTMQAQREAPPDMQCKDKFLLQAVIVEQGVTTKDITAEMFNKESGKVVEEIKLRVVYIPANPPSPVPEESEEGSPPRSINLENGSQSSSVFDAAAQSFEEQQKEKPSEALAVISKLTQEKNRAIQQNQKLMQELEVLKRESGRHGGGFSVMFVVLVAILGIIIGYIIKKT >DRNTG_02386.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7967867:7981139:-1 gene:DRNTG_02386 transcript:DRNTG_02386.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHREDKFVRFQDWRSERSVSSERTYSKGERRIFCSVANIARAFVEWGSKAIENVFHYKPPSESSVGELKSRRKVLDPQGPFLQRWNKIFVLSCVIAVSVDPLFFYIPVVNDNGACLDLDMKLAIVASVLRSITDLFYLLHIVFQFRTGFIAPSSRVFGRGVLVEDPSIIARRYLSSHFLIDILAVLPLPQVVILSIVPELKSAPLNAKNLLMFIVVFQYIPRLTRIIPLYLEVTRTAGIITETAWAGAAFNLLLYMLASHVLGAFWYLISIEREYSCWKAACQTNCSVSSLYCRDGQDNGQNVIIADACPVATPNATLFNFGIFLPALQNIVQTKAFFPKLFYCFWWGLQNLSSLGQNLGTSTYVWEILFAVFISISGLVLFSLLIGNMQTYLQSTTMRIEEMRVKRRDAEQWMSHRLLPENLRERIRRHEQYRWQETRGVDEEHLIMNLPKDLRRDIKRHLCLALLMRVPMFEKMDDQLMDAMCDRLKPVLYTEDSCIIREGDPVDEMLFIMRGKLESVTTNGGRTGFFNSDFLKAGDFCGEELLTWALDPHSSSSLPSSTRTVKALSEVEAFALMADDLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRYMKKKVEDSLHEHENRLQAALVTGGASSPSLGATIYASRFAVNALRALRRNGTRKTRLQERLPVMLLQKPAEPDFTVDEH >DRNTG_02386.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7967867:7981139:-1 gene:DRNTG_02386 transcript:DRNTG_02386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHREDKFVRFQDWRSERSVSSERTYSKGERRIFCSVANIARAFVEWGSKAIENVFHYKPPSESSVGELKSRRKVLDPQGPFLQRWNKIFVLSCVIAVSVDPLFFYIPVVNDNGACLDLDMKLAIVASVLRSITDLFYLLHIVFQFRTGFIAPSSRVFGRGVLVEDPSIIARRYLSSHFLIDILAVLPLPQVVILSIVPELKSAPLNAKNLLMFIVVFQYIPRLTRIIPLYLEVTRTAGIITETAWAGAAFNLLLYMLASHVLGAFWYLISIEREYSCWKAACQTNCSVSSLYCRDGQDNGQNVIIADACPVATPNATLFNFGIFLPALQNIVQTKAFFPKLFYCFWWGLQNLSSLGQNLGTSTYVWEILFAVFISISGLVLFSLLIGNMQTYLQSTTMRIEEMRVKRRDAEQWMSHRLLPENLRERIRRHEQYRWQETRGVDEEHLIMNLPKDLRRDIKRHLCLALLMRVPMFEKMDDQLMDAMCDRLKPVLYTEDSCIIREGDPVDEMLFIMRGKLESVTTNGGRTGFFNSDFLKAGDFCGEELLTWALDPHSSSSLPSSTRTVKALSEVEAFALMADDLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRYMKKKVEDSLHEHENRLQAALVTGGASSPSLGATIYASRFAVNALRALRRNGTRKTRLQERLPVMLLQKPAEPDFTVDEH >DRNTG_30602.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001601.1:2393:16897:1 gene:DRNTG_30602 transcript:DRNTG_30602.1 gene_biotype:protein_coding transcript_biotype:protein_coding YSKYTDYSDYTDYSDYSDYTDYSNYSDYSDYTDYSNYSDHPNSSIAIPTKAYNTRISYSNNANGYIASYSIYSFANHAYYSKESSSIAFFSQCYS >DRNTG_30602.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001601.1:2393:3135:1 gene:DRNTG_30602 transcript:DRNTG_30602.4 gene_biotype:protein_coding transcript_biotype:protein_coding YSKYTDYSDYTDYSDYSDYTDYSNYSDYSDYTDYSNYSDHPNSSIAIPTKAYNTRISYSNNANGYIASYSIYSFANHAYYSKESSSIAFFSQCYS >DRNTG_01371.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17794994:17797588:-1 gene:DRNTG_01371 transcript:DRNTG_01371.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNLKEPTLYSTNLSSSTPECYGETPRLRLIMVTVPSNPTF >DRNTG_00483.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30663577:30664651:1 gene:DRNTG_00483 transcript:DRNTG_00483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDNGKKEVEDGSSVSGGSGFCDPCSWMNFFITSFLSCFGLLDHHNDSKDLEIGARNINAMMVTRKRPTKPPPSPGSGGKINKVL >DRNTG_00483.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30663622:30664465:1 gene:DRNTG_00483 transcript:DRNTG_00483.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDNGKKEVEDGSSVSGGSGFCDPCSWMNFFITSFLSCFGLLDHHNDSKDLEIGARNINAMMVTRKRPTKPPPSPGSGGKINKVL >DRNTG_00483.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30663622:30664465:1 gene:DRNTG_00483 transcript:DRNTG_00483.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDNGKKEVEDGSSVSGGSGFCDPCSWMNFFITSFLSCFGLLDHHNDSKDLEIGARNINAMMVTRKRPTKPPPSPGSGGKINKVL >DRNTG_00483.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30663622:30664572:1 gene:DRNTG_00483 transcript:DRNTG_00483.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDNGKKEVEDGSSVSGGSGFCDPCSWMNFFITSFLSCFGLLDHHNDSKDLEIGARNINAMMVTRKRPTKPPPSPGSGGKINKVL >DRNTG_00483.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30663622:30664572:1 gene:DRNTG_00483 transcript:DRNTG_00483.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDNGKKEVEDGSSVSGGSGFCDPCSWMNFFITSFLSCFGLLDHHNDSKDLEIGARNINAMMVTRKRPTKPPPSPGSGGKINKVL >DRNTG_00483.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30663577:30664651:1 gene:DRNTG_00483 transcript:DRNTG_00483.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDNGKKEVEDGSSVSGGSGFCDPCSWMNFFITSFLSCFGLLDHHNDSKDLEIGARNINAMMVTRKRPTKPPPSPGSGGKINKVL >DRNTG_16939.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:64152:66409:-1 gene:DRNTG_16939 transcript:DRNTG_16939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLLGDEDESETLNVKAKMESKRRHKGENPGLKN >DRNTG_11858.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:46616:58054:1 gene:DRNTG_11858 transcript:DRNTG_11858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPMSIQERRRLLLHGMGLTSSKNLRHNTSIHQSSCIATSTKDAPQQSSPKMPFPSTLLLHRSRSCSYIASPPSPSTFHSSLLRSRSEPSLLWEGGREEYGNGSSERYNGVNGIKRALMAQPSLCRIKNLDTGKEFMVTEVAKDGTWGKLNDLQTGHQLTMDEFEKCLGHSTIIKEVMRRAHLGSGGDSKQKQSKCSSKSRSNSYRKKGGWLKNIMFVAGSVTGLMSDKSSSSSASSPSCSNSPNSSEVMKVRQHGKPYKELTGLCMCQEIQAHQGSIWTIKFSPNARYLASAGEDRVIHIWQALGRDTSASSSSSVRREPSQMSMAACGSADSQAALLGTQTLKRATRKGLGPFASGRRSLPENIVMPETVFSLFDKPFCSFHGHLDDVLDLSWSQSQYLLSSSMDKTVRLWDMETKACLKLFAHNDYVTCIQFNPIDDGYFISGSLDAKVRIWSISDRQVVDWCDLHEMVTAACYTPDGQLVRTRGAAGFSTYLMVGCVKKGR >DRNTG_11858.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:57398:58054:1 gene:DRNTG_11858 transcript:DRNTG_11858.4 gene_biotype:protein_coding transcript_biotype:protein_coding GFRNTSSQIAASYTSDGKYVVCASEDSHVYIWKREGARGPGFGGKSKGWATTRSHEHFPCRDVSVAIPWPGSGSCCRPQVSNSGLEDNFHSSRSHGHPPLPKKSFSEHSLVCSDDLSCPSHSLSGVGSSSLAPLQPRTSVSATSSSSPSTSWGRYGGSGSKGSSCIEEGSAWGLVIVTAGLGGDIRIYQNFGLPVRLGRQTNLF >DRNTG_11858.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:56426:58054:1 gene:DRNTG_11858 transcript:DRNTG_11858.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKFRGFRNTSSQIAASYTSDGKYVVCASEDSHVYIWKREGARGPGFGGKSKGWATTRSHEHFPCRDVSVAIPWPGSGSCCRPQVSNSGLEDNFHSSRSHGHPPLPKKSFSEHSLVCSDDLSCPSHSLSGVGSSSLAPLQPRTSVSATSSSSPSTSWGRYGGSGSKGSSCIEEGSAWGLVIVTAGLGGDIRIYQNFGLPVRLGRQTNLF >DRNTG_11858.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:56098:58054:1 gene:DRNTG_11858 transcript:DRNTG_11858.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVYFLLTDGRLCQKGQIEFQNKKKKSHAKKVTGFQFAPGNASEVLITSADSQIRVFDGLDMVHKFRGFRNTSSQIAASYTSDGKYVVCASEDSHVYIWKREGARGPGFGGKSKGWATTRSHEHFPCRDVSVAIPWPGSGSCCRPQVSNSGLEDNFHSSRSHGHPPLPKKSFSEHSLVCSDDLSCPSHSLSGVGSSSLAPLQPRTSVSATSSSSPSTSWGRYGGSGSKGSSCIEEGSAWGLVIVTAGLGGDIRIYQNFGLPVRLGRQTNLF >DRNTG_22547.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3721173:3724039:-1 gene:DRNTG_22547 transcript:DRNTG_22547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVVIRFGHDWDETCMQMDEVLASVAETIKNFAVLYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIIETVYRGARKGRGLVIAPKDYSTKYRY >DRNTG_16847.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4837976:4841165:-1 gene:DRNTG_16847 transcript:DRNTG_16847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIIPTRSADDLLQLDSVQKSYSAWPLDGRRHRSPDRLIGVSRGPTNHDGLRHVSSRPVDTSRPSTYPSKDLFDASRPVPFLTKPSVAIEAPKQVLRVPPPSGIIQKSSHMSEEPITVAGLLRSLGLEKYAILFQAEEVDMPALKQMSDHDLKELGIPMGPRKKILLAVLSRQKRHP >DRNTG_11800.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:431745:432775:1 gene:DRNTG_11800 transcript:DRNTG_11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDTREQKSKSRRTKPLSLSDPMGFFKRISRMLKSVKDDLHGGSDEASKVEEVGRMANDVGRRVVKGIVVSSRRR >DRNTG_35338.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2742865:2743532:1 gene:DRNTG_35338 transcript:DRNTG_35338.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSNITKSIQANLIPCKSNNSSRTSSSRRW >DRNTG_27042.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2580467:2581956:1 gene:DRNTG_27042 transcript:DRNTG_27042.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGVRKVELQSWMYGVTLACMIHTC >DRNTG_28960.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27529565:27534588:-1 gene:DRNTG_28960 transcript:DRNTG_28960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVLSLSPSEEYRVSIRSNEQPLPVQIRTAYLSVFGGSHFLLPQIFKHLNKVLILDDDVVVQRDLSFLWKLDLEGKVNGAMEFCGVRLDQLKSYLGSTAYNGNGCAWMSGLNIVDLNVWREQKVSDTYEHLLRKVQNRNEVSAKAAALPITLIAFQELIYALDDSTVLSGLGHSYGVSTDALRNAPVLHYNGNMKPWLELGIPSYKKFWRKYLTKEQFMDECNVSV >DRNTG_28960.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27529565:27534588:-1 gene:DRNTG_28960 transcript:DRNTG_28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVLSLSPSEEYRVSIRSNEQPLPVQIRTAYLSVFGGSHFLLPQIFKHLNKVLILDDDVVVQRDLSFLWKLDLEGKVNGAMEFCGVRLDQLKSYLGSTAYNGNGCAWMSGLNIVDLNVWREQKVSDTYEHLLRKVQNRNEVSAKAAALPITLIAFQELIYALDDSTVLSGLGHSYGVSTDALRNAPVLHYNGNMKPWLELGIPSYKKFWRKYLTKEQFMDECNVSV >DRNTG_01340.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:179098:181463:1 gene:DRNTG_01340 transcript:DRNTG_01340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFDLSPDTITFNTILDGFCKKGMLKEARDLLADMKSRGLTPDRSTYNTLISGYCRLGWIKEATAAIELMTRSNFLPDVRTYNMLVAGLCREGQLDEALRLKSEMEKLQVLPDIVTYNTLINGCFHCDCGSKAGNLLEEMKEKGMKLSLITHNIMVKGLCKDGRMDDAVEHLRRMEEEEIAPDLVTYNTLISASCKVGEMSRAFELMDEMVGRGLKMDTFTLNTVLLNLCKEKRFGEALDLLRSPPKRGFVPDEVSYGTVIAAFFKEDDVDQAMELWDELKSKKILPNVATYNTMINGLGRHGKMKEAIKMLNELVGRGLVPDETTYNTLIHAYCRQGDLEKAFQFHNKMVENSFKPDVVTCNILMYGLCNHGMVEKALKLFETWMSKGKKVDVITYNTLMQGLCKEGKIDIALELFTDMERKELVPDVFTYNVIFRGLFESGRLEEAQNMLSELVEAGKLPEQFVIPLPGQTSSSDNVTDTKEATTLDDVHKEDTEANPSTIYCQNINELCSNGQFKEAKHVLDEMVQKGLTISRSTYITLMDGFIKRQKRLTKAA >DRNTG_01340.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:179098:182295:1 gene:DRNTG_01340 transcript:DRNTG_01340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFDLSPDTITFNTILDGFCKKGMLKEARDLLADMKSRGLTPDRSTYNTLISGYCRLGWIKEATAAIELMTRSNFLPDVRTYNMLVAGLCREGQLDEALRLKSEMEKLQVLPDIVTYNTLINGCFHCDCGSKAGNLLEEMKEKGMKLSLITHNIMVKGLCKDGRMDDAVEHLRRMEEEEIAPDLVTYNTLISASCKVGEMSRAFELMDEMVGRGLKMDTFTLNTVLLNLCKEKRFGEALDLLRSPPKRGFVPDEVSYGTVIAAFFKEDDVDQAMELWDELKSKKILPNVATYNTMINGLGRHGKMKEAIKMLNELVGRGLVPDETTYNTLIHAYCRQGDLEKAFQFHNKMVENSFKPDVVTCNILMYGLCNHGMVEKALKLFETWMSKGKKVDVITYNTLMQGLCKEGKIDIALELFTDMERKELVPDVFTYNVIFRGLFESGRLEEAQNMLSELVEAGKLPEQFVIPLPGQTSSSDNVTDTKEATTLDDVHKEDTEANPSTIYCQNINELCSNGQFKEAKHVLDEMVQKGLTISRSTYITLMDGFIKRQKRLTKAA >DRNTG_01340.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:179098:182295:1 gene:DRNTG_01340 transcript:DRNTG_01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFDLSPDTITFNTILDGFCKKGMLKEARDLLADMKSRGLTPDRSTYNTLISGYCRLGWIKEATAAIELMTRSNFLPDVRTYNMLVAGLCREGQLDEALRLKSEMEKLQVLPDIVTYNTLINGCFHCDCGSKAGNLLEEMKEKGMKLSLITHNIMVKGLCKDGRMDDAVEHLRRMEEEEIAPDLVTYNTLISASCKVGEMSRAFELMDEMVGRGLKMDTFTLNTVLLNLCKEKRFGEALDLLRSPPKRGFVPDEVSYGTVIAAFFKEDDVDQAMELWDELKSKKILPNVATYNTMINGLGRHGKMKEAIKMLNELVGRGLVPDETTYNTLIHAYCRQGDLEKAFQFHNKMVENSFKPDVVTCNILMYGLCNHGMVEKALKLFETWMSKGKKVDVITYNTLMQGLCKEGKIDIALELFTDMERKELVPDVFTYNVIFRGLFESGRLEEAQNMLSELVEAGKLPEQFVIPLPGQTSSSDNVTDTKEATTLDDVHKEDTEANPSTIYCQNINELCSNGQFKEAKHVLDEMVQKGLTISRSTYITLMDGFIKRQKRLTKAA >DRNTG_14425.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:18373180:18374200:-1 gene:DRNTG_14425 transcript:DRNTG_14425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGIISAPQPQRQPPRQAVTASSGKSIKRRQA >DRNTG_29217.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3234771:3235863:1 gene:DRNTG_29217 transcript:DRNTG_29217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPAESRKLFEEILAVDPLSFEALFENAVLMDRCGEGEAVIERLERALDLARSEQKEKAARDVRLIMAQIQFLQKNVDAALASYEELTKEDPKDYRPYFCQGVIYSMLNRNKEAREKFAKYHELSPKKFEVNGYLQTPLSRVKLFGTEQSEL >DRNTG_14747.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:172653:173384:-1 gene:DRNTG_14747 transcript:DRNTG_14747.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPEQSTPRSHMIISSFPHYIYAIPSTPLSTTDHHHTTTTTDDDDDDDDDDGDQYNDFQYFKENIALSSPSTLMNGTSLLPLPPRLRSLTSSPISCSRGSTGNSPANKYRKLLSSVAASLPSSLMSFSNKGAFDPFTAAVENIRKQDVHDEFMQQQKQQQQQLKNALSKNKCSSNKLIKSKTKSFDQLLDSKMIGIKNKVVLTITRNRSSTAGLRWESLARCVRSTHPTSLTAWFGSAKKP >DRNTG_18932.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12384711:12386733:-1 gene:DRNTG_18932 transcript:DRNTG_18932.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFAFNRFTRKIQWHVLDLTHFSWHTIPIMPGCKHAGPNGFGCIAIPQDGILIVCGSMMSDLGCPLHVVLKYEMQKNRWTVMRQMLVPRSFFASGVIDGKVYVAGGYSTDQFELNSAEVLNPADGKWQQITSMGMNMAMYDSAALDERLFVTEGWAWPFLFSPRGQVYDPKTNAWESMTVGMREGWTGLSVVLDGHLFVISEHEDMRVKVYDMKTDSWDIVEGSPLPKRMISKPLSVSSYGSRLFVVGQGLHVAVGHIEKKSCCVLDDRKNWSFSIQWQQIETPSEFSDLTPSSSQILFA >DRNTG_18932.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12384711:12386733:-1 gene:DRNTG_18932 transcript:DRNTG_18932.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFAFNRFTRKIQWHVLDLTHFSWHTIPIMPGCKHAGPNGFGCIAIPQDGILIVCGSMMSDLGCPLHVVLKYEMQKNRWTVMRQMLVPRSFFASGVIDGKVYVAGGYSTDQFELNSAEVLNPADGKWQQITSMGMNMAMYDSAALDERLFVTEGWAWPFLFSPRGQVYDPKTNAWESMTVGMREGWTGLSVVLDGHLFVISEHEDMRVKVYDMKTDSWDIVEGSPLPKRMISKPLSVSSYGSRLFVVGQGLHVAVGHIEKKSCCVLDDRKNWSFSIQWQQIETPSEFSDLTPSSSQILFA >DRNTG_18932.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12384711:12386733:-1 gene:DRNTG_18932 transcript:DRNTG_18932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFFWWLIGAYKKSFGGEIEKGIMQHVRISSYQSPVHKLGDSQMTLSPKFRLTATPATSSSGLFSSSLPLEIEMEPSLGLQPFIPGLPDDLALNCLLRLPVSTHQKFRVVCRRWHDLLRTKDRFFTERKLVGICSAWMFVFAFNRFTRKIQWHVLDLTHFSWHTIPIMPGCKHAGPNGFGCIAIPQDGILIVCGSMMSDLGCPLHVVLKYEMQKNRWTVMRQMLVPRSFFASGVIDGKVYVAGGYSTDQFELNSAEVLNPADGKWQQITSMGMNMAMYDSAALDERLFVTEGWAWPFLFSPRGQVYDPKTNAWESMTVGMREGWTGLSVVLDGHLFVISEHEDMRVKVYDMKTDSWDIVEGSPLPKRMISKPLSVSSYGSRLFVVGQGLHVAVGHIEKKSCCVLDDRKNWSFSIQWQQIETPSEFSDLTPSSSQILFA >DRNTG_18932.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12384711:12386733:-1 gene:DRNTG_18932 transcript:DRNTG_18932.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFFWWLIGAYKKSFGGEIEKGIMQHVRISSYQSPVHKLGDSQMTLSPKFRLTATPATSSSGLFSSSLPLEIEMEPSLGLQPFIPGLPDDLALNCLLRLPVSTHQKFRVVCRRWHDLLRTKDRFFTERKLVGICSAWMFVFAFNRFTRKIQWHVLDLTHFSWHTIPIMPGCKHAGPNGFGCIAIPQDGILIVCGSMMSDLGCPLHVVLKYEMQKNRWTVMRQMLVPRSFFASGVIDGKVYVAGGYSTDQFELNSAEVLNPADGKWQQITSMGMNMAMYDSAALDERLFVTEGWAWPFLFSPRGQVYDPKTNAWESMTVGMREGWTGLSVVLDGHLFVISEHEDMRVKVYDMKTDSWDIVEGSPLPKRMISKPLSVSSYGSRLFVVGQGLHVAVGHIEKKSCCVLDDRKNWSFSIQWQQIETPSEFSDLTPSSSQILFA >DRNTG_22352.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29855653:29858137:1 gene:DRNTG_22352 transcript:DRNTG_22352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSLLRLSKQPLMASLLHPSLLLSKPSPFSPPSLHRSFIYPVTRELHLPGISPSHKHGKLLICGATLEEPPVFEPPPLPSPPAELVASLKLNLLSAVSGLNRGLAASEEDLKRADSAAKELEAVGGPVDLYKDLDKLQGRWKLIYSSAFSSRTLGGSRPGPPTGRLLPITLGQVFQRIDIFSKDFDNIVELQLGAPWPLPPLDLTATLAHKFEITGTASVKIIFEKTTVKTIGKSLTASAI >DRNTG_09702.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:759510:759996:1 gene:DRNTG_09702 transcript:DRNTG_09702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPGNFSGDELLSWCLRRPFIERLPPSSSTLVTLETTEAFGLEASDVKYVTQHFRYTFVNEKVKRSARYYSPSWRTWAAVAIQLAWRRFKHRSALASLSFSLPRRPASRCSSPGEDRLRHYTALLTSPKPQLDDFPL >DRNTG_10834.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4994785:5001727:1 gene:DRNTG_10834 transcript:DRNTG_10834.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ABCI12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21580) UniProtKB/Swiss-Prot;Acc:Q944H2] MSYSTLLYPSFFSSPNPNPNALRFSHSSIFHSSRLIFSGLPKLGANVVKKRTPLNCFAAQQPHNEGTPSKGWESWILHGAISPERILRVIAGATSSPICQFIESPRTFLHSVDPRIKLIWLLALVILPARSNIYIRFGLVGYLSILSISVLPTQIWMDQLGRVALLSGILFVMLGFGTDSVPTFLQSRTPPPSLIGLPGIPSSLSGYSYLIMKLGPLQLTRKGLSLASTSACLSFTIFQSASLCLTTTTPEQLASALRWFMVPFTVLRVPVAEIILTLLLSLRFINLVFDEVRNAALGIVARRINWKQLTVMESLDVFFMYAHRIFKNIFNHAEQISKAMIARGFRGDSDKHKVYFFHDSSNGFINILSLFCLFGLICAAVLLERTFI >DRNTG_10834.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4994785:5001727:1 gene:DRNTG_10834 transcript:DRNTG_10834.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ABCI12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21580) UniProtKB/Swiss-Prot;Acc:Q944H2] MDQLGRVALLSGILFVMLGFGTDSVPTFLQSRTPPPSLIGLPGIPSSLSGYSYLIMKLGPLQLTRKGLSLASTSACLSFTIFQSASLCLTTTTPEQLASALRWFMVPFTVLRVPVAEIILTLLLSLRFINLVFDEVRNAALGIVARRINWKQLTVMESLDVFFMYAHRIFKNIFNHAEQISKAMIARGFRGDSDKHKVYFFHDSSNGFINILSLFCLFGLICAAVLLERTFI >DRNTG_10834.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4994785:5001727:1 gene:DRNTG_10834 transcript:DRNTG_10834.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ABCI12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21580) UniProtKB/Swiss-Prot;Acc:Q944H2] MLGFGTDSVPTFLQSRTPPPSLIGLPGIPSSLSGYSYLIMKLGPLQLTRKGLSLASTSACLSFTIFQSASLCLTTTTPEQLASALRWFMVPFTVLRVPVAEIILTLLLSLRFINLVFDEVRNAALGIVARRINWKQLTVMESLDVFFMYAHRIFKNIFNHAEQISKAMIARGFRGDSDKHKVYFFHDSSNGFINILSLFCLFGLICAAVLLERTFI >DRNTG_10834.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4994785:5001727:1 gene:DRNTG_10834 transcript:DRNTG_10834.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ABCI12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21580) UniProtKB/Swiss-Prot;Acc:Q944H2] MDQLGRVALLSGILFVMLGFGTDSVPTFLQSRTPPPSLIGLPGIPSSLSGYSYLIMKLGPLQLTRKGLSLASTSACLSFTIFQSASLCLTTTTPEQLASALRWFMVPFTVLRVPVAEIILTLLLSLRFINLVFDEVRNAALGIVARRINWKQLTVMESLDVFFMYAHRIFKNIFNHAEQISKAMIARGFRGDSDKHKVYFFHDSSNGFINILSLFCLFGLICAAVLLERTFI >DRNTG_10792.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000463.1:4774:8104:1 gene:DRNTG_10792 transcript:DRNTG_10792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPLEIDPESFANPNPSFSSILSRLRDPEKSSS >DRNTG_12344.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20777862:20780872:-1 gene:DRNTG_12344 transcript:DRNTG_12344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLERSGGHSRREVDQDHRLTFAVAVAVAVAVDEGEQRDRLRGRMKISLLITMERVHSEIGDTSYKLENEVYYFSVAKRKSRQERNNILERTAGNGYWHMNGQNIPIKYNGEVFGHKTALKYYHYDKNKKKVQTKWLMNEYRLKALSDDFQKATELVLCRIQRTRTGGDVEEEFAYNYKNQSSDFYNIADHQSNIARSSSRSS >DRNTG_19733.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001049.1:87117:93411:1 gene:DRNTG_19733 transcript:DRNTG_19733.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKGTLSLLVQPVLGAVDPISDGSSTNKGIILVASNASYAYSNRDRAWIRAVASKFQGDTSGCAEKRI >DRNTG_19733.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001049.1:91027:93411:1 gene:DRNTG_19733 transcript:DRNTG_19733.8 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDLDALSGKLDLNLRDCALLVKTGVLGDATLPSAIARSGELEPARWNAQELLARLQLGHAEAKHQALDGLLDAMRDDEKNVLAVLGRSNVSSIVQLLAATSPKIKEKAVTIVSLLVESGSCEHLLVIEGVVPALIRITESGSLVGREKAVISLQRLSLCVDTARMIASHGGIHPLIEICAAGDSISQSAAACALKNLSAVPELRQALADEGVIRAMISMLDCGIVLGSKEHAAECLQNLTASNDNLRRSVVSDGGVKSLLSYLDGPSPPESAISALRNLIGSVSTDTLVSLGLLPHLIIVLKDGSISAQQTAASVVL >DRNTG_19733.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001049.1:86309:93411:1 gene:DRNTG_19733 transcript:DRNTG_19733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSVGASPGYSWPLLQFRGRRRRSITDPRPPSKLLTPRRLLIGRERRFRSPTAITNEDSDQEPQQQLNLSVLRFTLGIPGLDESYLPRWIGIACGSLIILNHLSSPSSPTPAQLRTEALGVFLAAFSATLPYLGKFLKGENSVERAPLPEGNKQIFVLSENLTDFLKEDLAWTSYVLLRNTNTILSW >DRNTG_19733.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001049.1:86309:93411:1 gene:DRNTG_19733 transcript:DRNTG_19733.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSVGASPGYSWPLLQFRGRRRRSITDPRPPSKLLTPRRLLIGRERRFRSPTAITNEDSDQEPQQQLNLSVLRFTLGIPGLDESYLPRWIGIACGSLIILNHLSSPSSPTPAQLRTEALGVFLAAFSATLPYLGKFLKGENSVERAPLPEGNKQIFVLSENLTDFLKEDLAWTSYVLLRNTNTILSW >DRNTG_19733.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001049.1:86309:88801:1 gene:DRNTG_19733 transcript:DRNTG_19733.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKGTLSLLVQPVLGAVDPISDGSSTNKGIILVASNASYAYSNRDRAWIRAVASKFQGIRVHV >DRNTG_19733.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001049.1:89538:93411:1 gene:DRNTG_19733 transcript:DRNTG_19733.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDLDALSGKLDLNLRDCALLVKTGVLGDATLPSAIARSGELEPARWNAQELLARLQLGHAEAKHQALDGLLDAMRDDEKNVLAVLGRSNVSSIVQLLAATSPKIKEKAVTIVSLLVESGSCEHLLVIEGVVPALIRITESGSLVGREKAVISLQRLSLCVDTARMIASHGGIHPLIEICAAGDSISQSAAACALKNLSAVPELRQALADEGVIRAMISMLDCGIVLGSKEHAAECLQNLTASNDNLRRSVVSDGGVKSLLSYLDGPSPPESAISALRNLIGSVSTDTLVSLGLLPHLIIVLKDGSISAQQTAASVVL >DRNTG_19733.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001049.1:91027:93454:1 gene:DRNTG_19733 transcript:DRNTG_19733.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDLDALSGKLDLNLRDCALLVKTGVLGDATLPSAIARSGELEPARWNAQELLARLQLGHAEAKHQALDGLLDAMRDDEKNVLAVLGRSNVSSIVQLLAATSPKIKEKAVTIVSLLVESGSCEHLLVIEGVVPALIRITESGSLVGREKAVISLQRLSLCVDTARMIASHGGIHPLIEICAAGDSISQSAAACALKNLSAVPELRQALADEGVIRAMISMLDCGIVLGSKEHAAECLQNLTASNDNLRRSVVSDGGVKSLLSYLDGPSPPESAISALRNLIGSVSTDTLVSLGLLPHLIIVLKDGSISAQQTAASVVL >DRNTG_19733.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001049.1:86309:88801:1 gene:DRNTG_19733 transcript:DRNTG_19733.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWSVGASPGYSWPLLQFRGRRRRSITDPRPPSKLLTPRRLLIGRERRFRSPTAITNEDSDQEPQQQLNLSVLRFTLGIPGLDESYLPRWIGIACGSLIILNHLSSPSSPTPAQLRTEALGVFLAAFSATLPYLGKFLKGENSVERAPLPEGNKQIFVLSENLTDFLKEDLAWTSYVLLRNTNTMSVLIVVEDALCVRGYWDVPEDFSKAYILDQLKGQIEQIGFLDLKETVYFPQSPDLQLQNMLPKGTLSLLVQPVLGAVDPISDGSSTNKGIILVASNASYAYSNRDRAWIRAVASKFQGIRVHV >DRNTG_03264.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2320949:2321509:-1 gene:DRNTG_03264 transcript:DRNTG_03264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKIVLMILMVTLVMQSRLVFSQNCSANLGALTTCAAYVLPGGPQGPPSAECCGALRAVDRTCLCSTVDIINRIPRDCNLPVVTCV >DRNTG_25674.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21569994:21574539:1 gene:DRNTG_25674 transcript:DRNTG_25674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVVLGNLNLALLIDATAPRNAVGERGRSWSPEMVISWAKRELATSPVACHGRSFQDYDVAGGEAPRMVNHRRRGVNSSSKVGAVGSDGGNGGGDEDDLEMKLRSRLKDLEEMKELERRAEELQRSVSGEGSEEDGDGPESEEEKRERVKRELEKLAKEQAERRETAELMFELGQKAYGKGMYARAIEFLEAALTIIPRPTAFGGEIQIWLAMAYEANNRHRDCIALYQQLEKKHPSISIRRQAAELRYILEAPKLKISKDEMVTIPLIGSSYDWYAGSWSDKYKNRGQRRKVTTTDELPSSRDLLGDFLVWRPPTGWEKNRAFWITLTLWLGLLGTALLLQQ >DRNTG_14362.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:312877:316219:-1 gene:DRNTG_14362 transcript:DRNTG_14362.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKLSARGGQAWFCTTGLASDVVIEVDEMSFHLHKFPLMSKSKKLHLLIAQREQKQENGGEENEEEIREEELHRITLPDFPGSAETFEVAAKFCYGVKVELNAWTVAPLRCAAEYLEMTEEMMEENLISRTERYLTQSVLRNIKESIRALKSCEDLMPLAEDLGIPQRCVDAIAARACSSDPTSLFGWPISNGSDPKDPETASVLWNGIDTGARRKISSRSAAAAAADSWFEDLTILSLPIYKRVIAAMKSRDPNPSAIESSLISYAKSSIPGLSRSNRKPSSAPLTSETEQRELLETVITNLPLDKGSGLVSGRVTPRFLFGLLRTVNILRASDASRSAIEMMIGSQLEKATLDDLLIPSYSYLVDTLYDVECVERIVRHFVERHVERSTVEDDRAGIESPAGNDTAVMTVGRLVDGYLAEIASDANLKVEKFCDLALVLPDNARVYDDGLYRAVDLYLKAHPMLTEAEREKVCAVLDYHKLTLEACTHAAQNERLPLRAVVQVLFFEQLQLRRAVAGTVLASDTIVLRTPERAGASSSAQPEDSAVAREGGVAWRETVRENQVLKLDMDSMRARVRELERECARMKRALERMGRGARVKGLGLITKRFGCRFGAQACDSRDLTVVASERKPGTPRTP >DRNTG_14362.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:312643:316043:-1 gene:DRNTG_14362 transcript:DRNTG_14362.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKLSARGGQAWFCTTGLASDVVIEVDEMSFHLHKFPLMSKSKKLHLLIAQREQKQENGGEENEEEIREEELHRITLPDFPGSAETFEVAAKFCYGVKVELNAWTVAPLRCAAEYLEMTEEMMEENLISRTERYLTQSVLRNIKESIRALKSCEDLMPLAEDLGIPQRCVDAIAARACSSDPTSLFGWPISNGSDPKDPETASVLWNGIDTGARRKISSRSAAAAAADSWFEDLTILSLPIYKRVIAAMKSRDPNPSAIESSLISYAKSSIPGLSRSNRKPSSAPLTSETEQRELLETVITNLPLDKGSGLVSGRVTPRFLFGLLRTVNILRASDASRSAIEMMIGSQLEKATLDDLLIPSYSYLVDTLYDVECVERIVRHFVERHVERSTVEDDRAGIESPAGNDTAVMTVGRLVDGYLAEIASDANLKVEKFCDLALVLPDNARVYDDGLYRAVDLYLKAHPMLTEAEREKVCAVLDYHKLTLEACTHAAQNERLPLRAVVQVLFFEQLQLRRAVAGTVLASDTIVLRTPERAGASSSAQPEDSAVAREGGVAWRETVRENQVLKLDMDSMRARVRELERECARMKRALERMGRGARVKGLGLITKRFGCRFGAQACDSRDLTVVASERKPGTPRTP >DRNTG_14362.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:312772:316219:-1 gene:DRNTG_14362 transcript:DRNTG_14362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKLSARGGQAWFCTTGLASDVVIEVDEMSFHLHKFPLMSKSKKLHLLIAQREQKQENGGEENEEEIREEELHRITLPDFPGSAETFEVAAKFCYGVKVELNAWTVAPLRCAAEYLEMTEEMMEENLISRTERYLTQSVLRNIKESIRALKSCEDLMPLAEDLGIPQRCVDAIAARACSSDPTSLFGWPISNGSDPKDPETASVLWNGIDTGARRKISSRSAAAAAADSWFEDLTILSLPIYKRVIAAMKSRDPNPSAIESSLISYAKSSIPGLSRSNRKPSSAPLTSETEQRELLETVITNLPLDKGSGLVSGRVTPRFLFGLLRTVNILRASDASRSAIEMMIGSQLEKATLDDLLIPSYSYLVDTLYDVECVERIVRHFVERHVERSTVEDDRAGIESPAGNDTAVMTVGRLVDGYLAEIASDANLKVEKFCDLALVLPDNARVYDDGLYRAVDLYLKAHPMLTEAEREKVCAVLDYHKLTLEACTHAAQNERLPLRAVVQVLFFEQLQLRRAVAGTVLASDTIVLRTPERAGASSSAQPEDSAVAREGGVAWRETVRENQVLKLDMDSMRARVRELERECARMKRALERMGRGARVKGLGLITKRFGCRFGAQACDSRDLTVVASERKPGTPRTP >DRNTG_16118.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1487958:1492409:-1 gene:DRNTG_16118 transcript:DRNTG_16118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCLWFGVDCSDDGRVVALNLRDLCLQGTLAPELGKLNHMKYIILHNNSFSGIIPREIANLQKLEVLDLGFNNLNGPLPSELESISSLEILILRSNRFLYNVSPKLSELIMLSDLQVEEEFHSNRGSFSRYIGKGPARKLIQVINKHKGSHRRHSQRSRRDHRGIQLIHALLSPSPSPFPVPSVTHSRFSSEAPAPSLFYPPAVSPAPAPSSFAMTKPQVNKQPNKTISPSTFTLIPSPAKYPSLGATSDSGAKHTIYWTIYAPAAAGVSFLLAVAAVYILCCRGSKVVTVRPWATGLSGQLQKAFVTGVPSLRRSEIETACEDFSNIIGTLSDCMLYKGTLSSGVEIAVISSMVTSSKDWSKQCEAQFRKKISTLSKVNHKNFVNLLGYCEESEPFTRMMVFEYAPNGTLFEHLHIKEAEHLDWAARLRIAMGIAYCLEHMHQLNPPVLLRNLDSSSIYLTDDYAAKVSDIRFWCEAKESKLASEELAPLDTQGSEPENIIYKFGILLLEIISGRLPFCEDNGLLVLWASSYLTGKRPLKEIIDPTLESVREEDVNALCDVIRSCIHSDITMRPTISQVVSKLREITAMPPDGAVPKLSPLWWAELEIISTEAN >DRNTG_12767.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:16829856:16835718:-1 gene:DRNTG_12767 transcript:DRNTG_12767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTFAVSTGEPQGQKHVPTHAPVDSLSNRENLLSVSHACVEIPHGRGSLLGNSQGKTHAPVEITHGRADITRPLTGASPRPYAFSGQARNAISTRPCIFSGCLKNSAGSVDNSAQLFHTEKTQGRVNAPMNNLVMVTHPLVISTRACVSLQSSELYPEKTQGRVSAPVDDLVNYTRAWVISTRPCETWQRVIIHPEKA >DRNTG_15592.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1584398:1584779:-1 gene:DRNTG_15592 transcript:DRNTG_15592.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFSSSLLPSILTQKCDNAIDLSSCPPSQLQVIAFFVSLYLVAFAHGGHKPCTQAFGADQFDQNDPFESSQEAPSSIGGTLG >DRNTG_15592.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1584398:1588841:-1 gene:DRNTG_15592 transcript:DRNTG_15592.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEALIQSSAVIAGVVDYSGRPITAGSGKGRWISAFFIIGVEMAERFAYYGIGFNLITYLTGPLRQPTGSAAAAVNMWSGMAMMLPLLGAFHR >DRNTG_12358.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20857512:20860768:-1 gene:DRNTG_12358 transcript:DRNTG_12358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLSSRTLRILPNLRSFSHAAAALLNGDPPIGDLSAPLKYLPGFPRPDPKHDETILAIPRAKSGKNIAEKERKVGRVPSIVFEQENGQQGGNKRLISVRTNQIRKLVNHLGRSFFLSRLFELEVRSEFSDAVEIIEKVRVLPRKLHLHSGTDAVLNVTFLRAPSQASLKVDVPLVFLGEDVCPGLKKGAYLNTIKRTVKYLCPADIIPPYIEVDLSELDVGQKLLMEDLKVHPALRLLHSPDQPVCNIIGSRGVEQKKSK >DRNTG_12335.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000542.1:72093:72894:-1 gene:DRNTG_12335 transcript:DRNTG_12335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLEYSNVTAFVPLQIIVLTEYKEVGTHSRILNPTYIFAFDPSQDFLEMAKKEEEESSDSRERGAVKGYGYQC >DRNTG_06453.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29955181:29956369:1 gene:DRNTG_06453 transcript:DRNTG_06453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPNKKLNEFISSSDQKPLHWSRKNSIISKDQTDTTPSNTKFHVDPLGICIGLAIGAVLMLAGFIIFKFCFKSRKNNIQVSPNTNNVTLFSIDIQHAEDLSFLINAKDEDLQLEIIGKGGCGEVYKTELPRRGGGKLSVAIKKIALSSTVDVSRLCRGTSNALGHRTRQVRAEILTVGRVGHPNLLRLLAHVSNQEKHFLISEFMQNGSLQDALRQRQLKWPVRYKIALGIATGLEYLHFLHKPCIIHRDLKPGNILLDRDMNPRIADFGLAKAAPGGSVGMTRVVGTLGYIAPEYYNRMPCTDRCDVYSFGVILAVLVSGRFPSDSRLAEMGMVKWLRKVMSSSDGDGANEGIDEILLGTGYEKQMLLALKIAYFCTRDNPEDRPCSRDVR >DRNTG_07876.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18892985:18903054:-1 gene:DRNTG_07876 transcript:DRNTG_07876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSKQNIGPLFDSLKMERVRNLRTILTHKYPYPHEHTRHLMTAVVVGCLFFISSDNLHTLVQKLDNNIKWWSMYICLFGFFYFFSSPFIGKTIKPSYSNFSRWYVAWIFIAALYHLPSFQSMGVDMRMNLSLFLTIYVSSVLFLIAFHIVFLGLWYVGIVARVAGRRPAILKIIQNCTVISIACCVFYSHCGNRAILREKSFDRRNSGWFSFSPFKKQDRNAWISKFLRMHELKDQICSSWFAPVGSASDYPFLSKWVIYGELACAGSCGPSDEISPIYSLWATFIGLYMANYVIERSSGWALTHPLSISEYEALKKQMKPDFLDMVPWYSGTSADLFKTVFDLLVSVTLFVGRFDMRMMQAAMNEVQDESKDGDLLYDHFSSKDGLWFDFMADTGDGGNSSYTVARLLAQPSLKVKSGGSTRTLPRGDLLLIGGDLAYPNPSEFTYERRLFCPFEAALQPPSWYKIEHIAVDKPELPYGISRLKDYDGPQCFIIPGNHDWFDGLHTFMRYICHKSWLGGWFLPQKKSYFALQLPKGWWIFGLDQALHGDIDVYQFKFFAKLCESKVGENDSVIIMTHEPNWLLDWYWNDVSGKNVSHLIHEYLKGRCKLRLAGDLHHYTRHSVVPSDKPVHVQHLLVNGCGGAFLHPTHVFKNFNKFCGASYESKAAYPSYDDSSRIALGNILKFRKKNWQFDFIGGIIYFVLVFSMLPQCNLFQILHQDSWSGRLSSFFSTMWDALVYMLESSHVSLIGTLVLIMASIFFVPPKLSRKKRITVGVLHVLAHLTAAVILMLLLELSIEICIRNRLLATSGYHTLYEWYRSMEREHFPDPTGLRARIEQWTFGLYPACIKYLMSAFDVPEVMAVTRSRICKKGMESLSRGGATIYYGSVFLYFWVLSTPVVSLIFGSYLYICINWFHIHFDEAFSSLRIANYKSFTRFHITENGNLEIFTLAVDKVPKDWKLDPDWDEELKRPLQLSHYRKYPSKWRAASASDPVNTVRIVDHFTIELTTKNAISENGS >DRNTG_07876.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18892985:18900623:-1 gene:DRNTG_07876 transcript:DRNTG_07876.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRYICHKSWLGGWFLPQKKSYFALQLPKGWWIFGLDQALHGDIDVYQFKFFAKLCESKVGENDSVIIMTHEPNWLLDWYWNDVSGKNVSHLIHEYLKGRCKLRLAGDLHHYTRHSVVPSDKPVHVQHLLVNGCGGAFLHPTHVFKNFNKFCGASYESKAAYPSYDDSSRIALGNILKFRKKNWQFDFIGGIIYFVLVFSMLPQCNLFQILHQDSWSGRLSSFFSTMWDALVYMLESSHVSLIGTLVLIMASIFFVPPKLSRKKRITVGVLHVLAHLTAAVILMLLLELSIEICIRNRLLATSGYHTLYEWYRSMEREHFPDPTGLRARIEQWTFGLYPACIKYLMSAFDVPEVMAVTRSRICKKGMESLSRGGATIYYGSVFLYFWVLSTPVVSLIFGSYLYICINWFHIHFDEAFSSLRIANYKSFTRFHITENGNLEIFTLAVDKVPKDWKLDPDWDEELKRPLQLSHYRKYPSKWRAASASDPVNTVRIVDHFTIELTTKNAISENGS >DRNTG_07876.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18892985:18903054:-1 gene:DRNTG_07876 transcript:DRNTG_07876.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHELKDQICSSWFAPVGSASDYPFLSKWVIYGELACAGSCGPSDEISPIYSLWATFIGLYMANYVIERSSGWALTHPLSISEYEALKKQMKPDFLDMVPWYSGTSADLFKTVFDLLVSVTLFVGRFDMRMMQAAMNEVQDESKDGDLLYDHFSSKDGLWFDFMADTGDGGNSSYTVARLLAQPSLKVKSGGSTRTLPRGDLLLIGGDLAYPNPSEFTYERRLFCPFEAALQPPSWYKIEHIAVDKPELPYGISRLKDYDGPQCFIIPGNHDWFDGLHTFMRYICHKSWLGGWFLPQKKSYFALQLPKGWWIFGLDQALHGDIDVYQFKFFAKLCESKV >DRNTG_13844.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9779007:9786998:-1 gene:DRNTG_13844 transcript:DRNTG_13844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSHQERFTSARLEFSPPSLFTTGWCPRPLFWCRPCFSLSFKCCLREHGTLLPQGTHRSNLLIDLPLGIDCFSFRISR >DRNTG_00062.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21760803:21761761:-1 gene:DRNTG_00062 transcript:DRNTG_00062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENSCIDRCVSKYLQVTNLIGQLLGSNRPPM >DRNTG_17060.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:34828:39026:1 gene:DRNTG_17060 transcript:DRNTG_17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin interactor EPSIN 1 [Source:Projected from Arabidopsis thaliana (AT5G11710) UniProtKB/Swiss-Prot;Acc:Q8VY07] MDFMKVFDQTVRDIKREVNLKVLKVPEIEQKVLDATSNEPWGPHGSDLLEIARNSKKYTECQMIMNVLWTRLNDTGANWRHVYKALAVIEYLIANGSDRAVDDILEHSSKIASVSSFEYMEPNGKDSGINVRKKAETILGLLRDKDKIQQARTKASANRDKYVGLSSTGITYKSSASSYGGGGFESGGYGSFGNRKEGDSFRDGYKDEEYAGDGADVSRGSKGGFSKSTGSSSNGSEGYKSKKAFNHGVSAAKSLSKPSADASKSSAAELENVHDDFDDFDPRGSSTTVSAAAKANQVDLFGESLLVDLMDAPTSVSTESTTTNHAVPSETDLFADAAFVSASPQKEATQRSSPLASETDLFADAAFVSASSQTETATNSHSQGNVDLFAGQPAFPSNFDFFAASVPPSHSETNSSKPDSTNNNIFDPFAAVPLNNFEGSDPFGDFASHSDPVSKESSDKSMNNSNTLDKLSSVASSQLAPKKDTFQVKSGIWADSLSRGLIDLNITAPKKTSLADVGVVGGLDFDEKEKGPAASSYNYMGRAMGVGSSVGWTPGFSSSTMGVSGNVPAFNQQQFGNFK >DRNTG_02052.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18773547:18785599:-1 gene:DRNTG_02052 transcript:DRNTG_02052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPTSTATMTMISAKEALHRVLGVARPLSLVTVPIHGALGLILAEDIRAPDPFPPYRSSIKDGYAVVASDGPGEYPVIAESRAGSDGIGVSVTPGTVAYMTTGGPVPDGADAVVQIEDTEQVTSSSDGLKVGTDIDWSI >DRNTG_06414.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29727715:29729535:-1 gene:DRNTG_06414 transcript:DRNTG_06414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVTSTTILPSPTSHRSDIPLTIFDRFAANIHISVIYAFTPPTPSNADLITALSKTLVHFPTLTANLSTDSHGRPCVSVGGPNEDGGALVVEATVLSKLEDHLPLTPSPDFRLLHPDAENPKNLLQVQLNRFQCGGLVIGLTSHHRVADGRSMCAFTIAWGKILRGVPIDPLPFYDQPWLKPRDPPLVQFDHWGSEFIPLTPQPNEFIITRTDVDPSEITNLLLHFSPEFIMKLKAQTNKLSTDKHTTFETLLGYLWRKMTIARQLDDEECTTLSVSVNGRRRLQPTVPPEFFGNLVLNAYPKAKAKALIEGGAATAAGIVREGLRFIGEDYFRSFIDFGEVFGDRDLVPCFEKDGNVLSPKIEVDGWLGLGFDEVNFGGGGKLCAFLPTWVPLEGLLIFSPSLSQVGGVDVFVSLLEKHAATMREISHSLD >DRNTG_06489.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000288.1:95924:98470:-1 gene:DRNTG_06489 transcript:DRNTG_06489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQSPIDPRGKHPNLAKEVKSGVQINCSDLE >DRNTG_29391.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:511495:514049:-1 gene:DRNTG_29391 transcript:DRNTG_29391.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIKKVSFDSIIYGVLSVLREYCLSRELDFDGLLIEFMQFAIDKRSILPVGDITEIIPVEEAEIAVLEEPEHLTWYHHGQRWKTKFQRVIGVVHTNYLEYVRREKNGVVQAFLLKHVNNWVIYITCHKVIRLSGATQDLHRSIICNVHGVNPKFLEVGLVKRDQQQRHEPAFTKGAYYIGKMVWSKGYKELLQLLSKNQNELSGLEVDLYGNGEDSDEVRQSASKLKLAVRVHAGRDHADPLFHDYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNDFFKRFPNCHMYNNSKEFVELTQRALTEEPVSLTDEQRHQLSWESATERFVQAAELDLFMPEKTLPSPSPFMSLSYTDLSKTVEDTSAFLHNTISGIEVARRAFGAIPKSLQPDEQMCKELGLAGKI >DRNTG_29391.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:511495:514049:-1 gene:DRNTG_29391 transcript:DRNTG_29391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARKQKIAIFTTASLPWMTGTAVNPLFRAAYLAKDGARDVVLVIPWLSEKDQELVYPNKIVFTSPSQQENFVRQWLEERINFASNFSIRFYPGKFAIDKRSILPVGDITEIIPVEEAEIAVLEEPEHLTWYHHGQRWKTKFQRVIGVVHTNYLEYVRREKNGVVQAFLLKHVNNWVIYITCHKVIRLSGATQDLHRSIICNVHGVNPKFLEVGLVKRDQQQRHEPAFTKGAYYIGKMVWSKGYKELLQLLSKNQNELSGLEVDLYGNGEDSDEVRQSASKLKLAVRVHAGRDHADPLFHDYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNDFFKRFPNCHMYNNSKEFVELTQRALTEEPVSLTDEQRHQLSWESATERFVQAAELDLFMPEKTLPSPSPFMSLSYTDLSKTVEDTSAFLHNTISGIEVARRAFGAIPKSLQPDEQMCKELGLAGKI >DRNTG_24911.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:505493:507501:-1 gene:DRNTG_24911 transcript:DRNTG_24911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYTGQALAVHMAAGNNGKSSTSARLIRSLPFDSVQALSATLTTSDHIPTRYIRPEALSEPVIIPGDAEDDIPVIDFHKLLDPELSEAESSKLDLACQNWGFFQLINHGVPEEVIQRMISVVEEFFKLPLDEKMLFKQPPGQLEGYGQLFLFSEEQKLDWADLLFFYTSPLHLRKVGLWPTNPSTFRDAFDEYSMEVKKLANCLLGFLVKNLGLDPIEMTGMLENGAQFVRINCYPPCPEDKKVLGVSPHSDSSFLTLLLQVNSVQGLQIRRNDKWLPVKPLPGAFVANIADAFEILSNGKYKSIEHRAVTNTEKERFSIAAFHGPNTNATVGPHPELVLKGEPLYKSMDYESYMKLRFESKLDGKSFLDRMKLSK >DRNTG_29359.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1562035:1562994:1 gene:DRNTG_29359 transcript:DRNTG_29359.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKRHPYEAGSGVCASCLRERLLDVLAAQTARPDPILFPSSLSPHRRSDDSAAPRRHLLFFSTPQVGPSRSRLKRSFLSSLLFSHHQSEEPDSNPPIAKRSGAIYWISTLIPRRRRTLRTKTTKPVEASIRVCEGDTVSENGYSTETSGISREAMPTPMRIRNQNRHSRGLSMCLSPLFREAPSGRKFQAEAAAAVEVGLSDELRRETRREDLGLKQRSRLARFGTFR >DRNTG_29359.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1562035:1562924:1 gene:DRNTG_29359 transcript:DRNTG_29359.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKRHPYEAGSGVCASCLRERLLDVLAAQTARPDPILFPSSLSPHRRSDDSAAPRRHLLFFSTPQVGPSRSRLKRSFLSSLLFSHHQSEEPDSNPPIAKRSGAIYWISTLIPRRRRTLRTKTTKPVEASIRVCEGDTVSENGYSTETSGISREAMPTPMRIRNQNRHSRGLSMCLSPLFREAPSGRKFQAEAAAAVEVGLSDELRRETRREDLGLKQRSRLARFGTFR >DRNTG_29359.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1561885:1562967:1 gene:DRNTG_29359 transcript:DRNTG_29359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKRHPYEAGSGVCASCLRERLLDVLAAQTARPDPILFPSSLSPHRRSDDSAAPRRHLLFFSTPQVGPSRSRLKRSFLSSLLFSHHQSEEPDSNPPIAKRSGAIYWISTLIPRRRRTLRTKTTKPVEASIRVCEGDTVSENGYSTETSGISREAMPTPMRIRNQNRHSRGLSMCLSPLFREAPSGRKFQAEAAAAVEVGLSDELRRETRREDLGLKQRSRLARFGTFR >DRNTG_29359.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1562035:1562967:1 gene:DRNTG_29359 transcript:DRNTG_29359.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKRHPYEAGSGVCASCLRERLLDVLAAQTARPDPILFPSSLSPHRRSDDSAAPRRHLLFFSTPQVGPSRSRLKRSFLSSLLFSHHQSEEPDSNPPIAKRSGAIYWISTLIPRRRRTLRTKTTKPVEASIRVCEGDTVSENGYSTETSGISREAMPTPMRIRNQNRHSRGLSMCLSPLFREAPSGRKFQAEAAAAVEVGLSDELRRETRREDLGLKQRSRLARFGTFR >DRNTG_29359.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1561885:1562924:1 gene:DRNTG_29359 transcript:DRNTG_29359.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKRHPYEAGSGVCASCLRERLLDVLAAQTARPDPILFPSSLSPHRRSDDSAAPRRHLLFFSTPQVGPSRSRLKRSFLSSLLFSHHQSEEPDSNPPIAKRSGAIYWISTLIPRRRRTLRTKTTKPVEASIRVCEGDTVSENGYSTETSGISREAMPTPMRIRNQNRHSRGLSMCLSPLFREAPSGRKFQAEAAAAVEVGLSDELRRETRREDLGLKQRSRLARFGTFR >DRNTG_08827.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27978328:27980190:1 gene:DRNTG_08827 transcript:DRNTG_08827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVSLSKLSSSHCFCFSFSFWHITYLSSIFWIAAYLHHSLFHFSTSTTLLLPSADDPCSGRYIYIHNLPSLFNSDILSDYLNVNLSYTNMCRFISNSGLGPPLTNHSIISNHFMVAGRTTWDFRRFKDEDNLWGNKLLLLPEMHNISTLVFESDPWDSNDIAIPYPTYFHPSNHTELVSWQDRVRGLERQWLFAFAGAPRLSSDSIREQVINQCRASAKCNLLECGSGLSECHSPVSIMALFESASFCLQPPGDTPTRKSVFDAMVSGCVPVFFDRRTAYDQYTWYLPREHEKYSVFIEEEEVRRGEVSIEKVLSEYSEKQVRAMREEVVRLIPRLIYGDPRSRPEGFKDAVDVAVDGVLRRVGRLT >DRNTG_17517.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28904286:28905953:1 gene:DRNTG_17517 transcript:DRNTG_17517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPRTTTTTTKPSHLTRLNAFMAKSFIGRRFKLTQRQTTFTTELRAGTTTFLTMAYILAINASILSDSGATCSISDCTHPSPTCKFPPVDPGYSSCISTARRDLIVATAASSAIGSLLMALFANLPLALAPGMGTNAYFAYSVVGFHGSGNLPYRTALAVIFLEGLIFLLISAIGLRGKLTSLIPNPIRISSSAAIGLFLAFIGLQPNQGIGLIGFSPSTILGLAACPVSDRASLSPVLTFSNGTTVLLPNSTVSSPIFCLHHRMLSPTFWLAAVGFLIISLCLIKHIKGAIIYGVLFVTFISWFRNTSVTTFPNTPSGDDAFNYFKKVVDVHKIQSTAGALRFSGITKPFFWEALLSFLYVDILDTTGTLYSMANFAGFVADDGSFEGQYFAFMSDATAIIIGSLLGTSPVTTFLESSTGIREGGRTGLTAMTVAGYFMLAFFFTPLLASIPPWAVGPPLVLVGVMMMKSVKEIEWGDMKEAIPAFMTLILTPLTYSIAYGLIAGIGTYVVLHAWDWAIVAWRWLVMKRKMKKRVENTVASGANNHSDKTLDI >DRNTG_33752.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32393382:32394195:1 gene:DRNTG_33752 transcript:DRNTG_33752.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSMAKPSFFLLLLLLLLCHPSSSFSSGKLVGSMNGEGVAQTQSVELVNIKINERKFLMEISLDYENGKANDDNDPIKKPGNGKKNP >DRNTG_23038.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3278769:3280799:-1 gene:DRNTG_23038 transcript:DRNTG_23038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIVTLVLVCVCAVIIGVLWRVLYLVWLKPKMLEMQLRRQGIPGNKYQLLTGDRNDEKAAFKEAWTKPMELTNRIAPRVIPYHDHMVKSYGKIWFKWNGTTPRVNIWDPDMMREILLNRSGHFIKPEDNPLMKLLTMGLSTLEGQAWAQRRKLVNPAFHLDKLKEMVPAFRISCIGLAERWEKLLSAEGSCELDIWPEFQNLTGDVISRSAFGSSFEEGKQIFKLQKEQAVLVMEAARSLYLPGFRFLPTAKNKRRMFIDKEIKRMLRDIIRKKLDSMEIRESANDDLLSLLLQSHNPNAASKDQNKNNGITIDDIIEECKLFYFAGQETTSILLTWTLILLSIYPNWQQKAREEVLDTCGKNLPDFESISHLKIVNMILHEVLRLYPPAINLVRLVNGKTKLGDVTLPEGAEVLIPILQVHHDPEIWGEDAEEFNPQRFSDGVSKASKGQNAFFPFGWGPRICVGQTFAMIEAKVALAIILQRFSFELSPSYAHAPFTVITLQPQYGAHLILHHL >DRNTG_03357.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18085300:18089204:1 gene:DRNTG_03357 transcript:DRNTG_03357.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRHKIEGFINNRWLVFVAAMWMQAVGGIGYLYGSISPVIKSSMGYNQRQVASLGVAKDLGDSIGILAATLCEILPLWAALLVGALQNVVGYGWVWLIVTKRVPALPLWAMCILIFVGNNGETYFNTAALVSCVQNFPKSRGPVVGILKGFAGLSGAILTQIYAIIETPDHAAIIFMVAVGPSMVVIALMFIVRPVGGHRQVRQSDGSSFVFVYSVCLILAAYLMGVMLLEDQVDLSYSLRILCTVILLALLIIPIVIPLLLTFYSDDLPTMQESLLPSSHKDEVGKSGISDNQDVIIFSEVEDEKPKEVDLLPAQERQRRIAQLQTKLLQAAAEGAVRVKRRRGPRRGEDFTLTQALIKADFWLLFMSLLLGSGIWIDCH >DRNTG_02006.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000099.1:58957:60712:1 gene:DRNTG_02006 transcript:DRNTG_02006.6 gene_biotype:protein_coding transcript_biotype:protein_coding MCRILAKSNNLRILWRFLRSNQTLVTTTTITSIIKVLGDEGLAKEALAAFYRMKQLHCKPDVIAYNTLISALCRIGDFKNARSLLEQMELPGARCSPDTCTYTILIGYYCRRSMETGCRKAIRRRIWEANHMFRRMLFKGFVPDVVTYNCLINGLCKSYRIERALEVFDEMLQRGCVPNRVTYNSFIRYYSVVNEVDKGVEMMRAMVARKHGVPMSSSYTPIIHSLCEAGRVEEARDFLVEMVQFGSVPREYTYKLVCDALSRLGVDGFGADLRRRIEDGIDARFRSVMRVKPIMQRPK >DRNTG_02006.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000099.1:58772:60712:1 gene:DRNTG_02006 transcript:DRNTG_02006.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTPSSPGHGRHASTPPLLHFPFPSPRFPGWTTNSILSILAAIPSFFFLATRSIGRQPTTRHRSPLKPRPLRLHTLAVHRDPSRIHLGVSQALAFYSWVESHCGFIHNESTCRAMCRILAKSNNLRILWRFLRSNQTLVTTTTITSIIKVLGDEGLAKEALAAFYRMKQLHCKPDVIAYNTLISALCRIGDFKNARSLLEQMELPGARCSPDTCTYTILIGYYCRRSMETGCRKAIRRRIWEANHMFRRMLFKGFVPDVVTYNCLINGLCKSYRIERALEVFDEMLQRGCVPNRVTYNSFIRYYSVVNEVDKGVEMMRAMVARKHGVPMSSSYTPIIHSLCEAGRVEEARDFLVEMVQFGSVPREYTYKLVCDALSRLGVDGFGADLRRRIEDGIDARFRSVMRVKPIMQRPK >DRNTG_02006.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000099.1:59016:61975:1 gene:DRNTG_02006 transcript:DRNTG_02006.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRILAKSNNLRILWRFLRSNQTLVTTTTITSIIKVLGDEGLAKEALAAFYRMKQLHCKPDVIAYNTLISALCRIGDFKNARSLLEQMELPGARCSPDTCTYTILIGYYCRRSMETGCRKAIRRRIWEANHMFRRMLFKGFVPDVVTYNCLINGLCKSYRIERALEVFDEMLQRGCVPNRVTYNSFIRYYSVVNEVDKGVEMMRAMVARKHGVPMSSSYTPIIHSLCEAGRVEEARDFLVEMVQFGSVPREYTYKLVCDALSRLGVDGFGADLRRRIEDGIDARFRSVMRVKPIMQRPK >DRNTG_02006.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000099.1:59016:61881:1 gene:DRNTG_02006 transcript:DRNTG_02006.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCRILAKSNNLRILWRFLRSNQTLVTTTTITSIIKVLGDEGLAKEALAAFYRMKQLHCKPDVIAYNTLISALCRIGDFKNARSLLEQMELPGARCSPDTCTYTILIGYYCRRSMETGCRKAIRRRIWEANHMFRRMLFKGFVPDVVTYNCLINGLCKSYRIERALEVFDEMLQRGCVPNRVTYNSFIRYYSVVNEVDKGVEMMRAMVARKHGVPMSSSYTPIIHSLCEAGRVEEARDFLVEMVQFGSVPREYTYKLVCDALSRLGVDGFGADLRRRIEDGIDARFRSVMRVKPIMQRPK >DRNTG_02006.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000099.1:58957:61881:1 gene:DRNTG_02006 transcript:DRNTG_02006.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCRILAKSNNLRILWRFLRSNQTLVTTTTITSIIKVLGDEGLAKEALAAFYRMKQLHCKPDVIAYNTLISALCRIGDFKNARSLLEQMELPGARCSPDTCTYTILIGYYCRRSMETGCRKAIRRRIWEANHMFRRMLFKGFVPDVVTYNCLINGLCKSYRIERALEVFDEMLQRGCVPNRVTYNSFIRYYSVVNEVDKGVEMMRAMVARKHGVPMSSSYTPIIHSLCEAGRVEEARDFLVEMVQFGSVPREYTYKLVCDALSRLGVDGFGADLRRRIEDGIDARFRSVMRVKPIMQRPK >DRNTG_02006.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000099.1:59016:60712:1 gene:DRNTG_02006 transcript:DRNTG_02006.7 gene_biotype:protein_coding transcript_biotype:protein_coding MCRILAKSNNLRILWRFLRSNQTLVTTTTITSIIKVLGDEGLAKEALAAFYRMKQLHCKPDVIAYNTLISALCRIGDFKNARSLLEQMELPGARCSPDTCTYTILIGYYCRRSMETGCRKAIRRRIWEANHMFRRMLFKGFVPDVVTYNCLINGLCKSYRIERALEVFDEMLQRGCVPNRVTYNSFIRYYSVVNEVDKGVEMMRAMVARKHGVPMSSSYTPIIHSLCEAGRVEEARDFLVEMVQFGSVPREYTYKLVCDALSRLGVDGFGADLRRRIEDGIDARFRSVMRVKPIMQRPK >DRNTG_02006.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000099.1:58813:61881:1 gene:DRNTG_02006 transcript:DRNTG_02006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTPSSPGHGRHASTPPLLHFPFPSPRFPGWTTNSILSILAAIPSFFFLATRSIGRQPTTRHRSPLKPRPLRLHTLAVHRDPSRIHLGVSQALAFYSWVESHCGFIHNESTCRAMCRILAKSNNLRILWRFLRSNQTLVTTTTITSIIKVLGDEGLAKEALAAFYRMKQLHCKPDVIAYNTLISALCRIGDFKNARSLLEQMELPGARCSPDTCTYTILIGYYCRRSMETGCRKAIRRRIWEANHMFRRMLFKGFVPDVVTYNCLINGLCKSYRIERALEVFDEMLQRGCVPNRVTYNSFIRYYSVVNEVDKGVEMMRAMVARKHGVPMSSSYTPIIHSLCEAGRVEEARDFLVEMVQFGSVPREYTYKLVCDALSRLGVDGFGADLRRRIEDGIDARFRSVMRVKPIMQRPK >DRNTG_05207.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22719101:22721892:1 gene:DRNTG_05207 transcript:DRNTG_05207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGFLGITYSFKMESLLPTKFTTFPILMMMVVFSLLLLLFSNLCFPVFNMAAASKIESQGRALLQWKAAIETQELLNTWTSKTSPCNWTGITCRNDGHLMPTITKVQLEQLGLEGKLEILNFSALPSLKVLDLSDNHLHGYIPATISALSKLAILDLSNNNLTGVIPSELGNLTRLKTLWLFENQISGSIPPSFGKLLNLNWLTISRNFLVGPIPLVFGNLTKLNFLYLWRNNLTDSIPCTIGNLVNLIEFDISDNHITGPIPHGIANLTKLETFHIFNNSINGSIPSEIGNLVNLRDFSIYKNQITGPIPHSIRTLTKLETLYLYNNNINSSIPYEIENLVNLTDFDKSDNQITGPIPHSIRNLTNLETFHLFNNSINGSIPYEIGILVNLRDFSIYKNQITGPIPCSIGTLTKLETLYLYNNNINSSIPYEIGNLVNLTDFDISDNQITGPIPHSIRNLTKLETFHLFNNSINGSIPYEIGNLVNLTDFDISDNQITGPIPHSIRNLTKLETFHLFNNSINGSIPYEIGNLVNLRDFDTSDNQITGPIPHSIRNLIKLETFHLFSNSINGSIPYEIGNLVNLRDFDTSDNQITGPIPHNIRNLTKLETFHLFNNSINGSIPSEIGDFVNLRDFSIYKNQIIGPIPHGIGNLTKLQTFYLYKNNINGFIPCEIGNLVNLIDFDISENQIIGPIPHSIGNLTKLEIFDLSSNKITGIIPPSLGNLKGLTELKLFDNHLFGIVPNEFENLTNLINLQLFNNSLSGILPPNLAKGGLLQNLTLGYNNFQGPIPISLKNSTNLVRVRLERNQFTGDVSESFGVHLHLDYIDLSFN >DRNTG_05207.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22719101:22720693:1 gene:DRNTG_05207 transcript:DRNTG_05207.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGFLGITYSFKMESLLPTKFTTFPILMMMVVFSLLLLLFSNLCFPVFNMAAASKIESQGRALLQWKAAIETQELLNTWTSKTSPCNWTGITCRNDGHLMPTITKVQLEQLGLEGKLEILNFSALPSLKVLDLSDNHLHGYIPATISALSKLAILDLSNNNLTGVIPSELGNLTRLKTLWLFENQISGSIPPSFGKLLNLNWLTISRNFLVGPIPLVFGNLTKLNFLYLWRNNLTDSIPCTIGNLVNLIEFDISDNHITGPIPHGIANLTKLETFHIFNNSINGSIPSEIGNLVNLRDFSIYKNQITGPIPHSIRTLTKLETLYLYNNNINSSIPYEIENLVNLTDFDKSDNQITGPIPHSIRNLTNLETFHLFNNSINGSIPYEIGILVNLRDFSIYKNQITGPIPCSIGTLTKLETLYLYNNNINSSIPYEIGNLVNLTDFDISDNQITGPIPHSIRNLTKLETFHLFNNSINGSIPY >DRNTG_19496.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15863918:15865272:1 gene:DRNTG_19496 transcript:DRNTG_19496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTWYVKGCLIAQNIWFYELTRTGKKVRFGKTLRMLCYGGNSYRKQVSIEAMLSSLEGKAATTAVSKEIAPEEATVVVDMMAKEIPISVEPADNSAASKVDTIPQQLKPAKIVSPVYAVVTAVIDMIVDSIVNEIPVSVELIYGTAASKGETIPHLSPTTPNDEPKDDVN >DRNTG_26464.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:522346:527265:1 gene:DRNTG_26464 transcript:DRNTG_26464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYESNPFDEEEVNPFADQAVRRTAEQSNYGGGPFYTINPTSVPPASNSRLSPLPPEPADFYNDLSAAVDIPLDSAKDLKKKERELQAKEAELNKREQELKRREDAAARAGILIEEKNWPPFFPIIHHDIAKEIPIHLQRLQYFAFASLLGLTLCLSWNIIAVTAAWIKGKGVKIWFLAVIYFIAGVPGAYVLWYRPLYRAMRNESALKFGWFFLFYLVHICFCIYAAVAPSIFSVGKSLTGILPAIDLIDETVVVGIFYFIGFGFFCIESLLSIWVVQRVFRYFRGTGKAAEMKREAARGAMRAAI >DRNTG_03504.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000164.1:37742:41968:1 gene:DRNTG_03504 transcript:DRNTG_03504.1 gene_biotype:protein_coding transcript_biotype:protein_coding FREPFLVLDQIGCLFENEAVVTPSDKRSSGEQVIEKDGERGGGGGSSCVDNNEPIKEREK >DRNTG_03504.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000164.1:39470:41968:1 gene:DRNTG_03504 transcript:DRNTG_03504.2 gene_biotype:protein_coding transcript_biotype:protein_coding FREPFLVLDQIGCLFENEAVVTPSDKRSSGEQVIEKDGERGGGGGSSCVDNNEPIKEREK >DRNTG_03128.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21535579:21536300:1 gene:DRNTG_03128 transcript:DRNTG_03128.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGGPTWQVALGRRDSKTASQSDANSQIPQPSFNLSELISSFFSKGLTAGDLTALSGAHTIGQAQCRSFRAHIYNDTNINPSFSAFRQRSCPLSGGDSNLAPLDLQTPNRFGNNYYQNLVSSRGLLHSDQELFNGGSQDELVRRYSRNGGDFASDFAAAMVKMAGIGPLTGNSGEIRLNCGKVN >DRNTG_03128.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21534169:21536300:1 gene:DRNTG_03128 transcript:DRNTG_03128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRLGLVFGIVMCVVVCSSGVHGQLSTSFYDQSCPNLQSIVRSGMAQAVNREPRMGASILRLFFHDCFVNGCDGSILLDDTATFTGEQNAGPNRNSARGFEVIDAIKAKVEAACQATVSCADILALAARDGVVLLGGPTWQVALGRRDSKTASQSDANSQIPQPSFNLSELISSFFSKGLTAGDLTALSGAHTIGQAQCRSFRAHIYNDTNINPSFSAFRQRSCPLSGGDSNLAPLDLQTPNRFGNNYYQNLVSSRGLLHSDQELFNGGSQDELVRRYSRNGGDFASDFAAAMVKMAGIGPLTGNSGEIRLNCGKVN >DRNTG_23790.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6903167:6926428:-1 gene:DRNTG_23790 transcript:DRNTG_23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPSRCSQISLFRLPATSLAPQFLITQSPRKGRTSMCVFMKLAKLSLQSSKFGLQRFSKLNLQFTQSLDHNTFDGHGDGVVDVINSSGGRFVVMKLDWHSSSDRRRKGNLAMQVGWCQTWGSERVRSGERSAAVCSGGRFVVMKLDRHSSSDRRRKGNLAMQVGRCQAWGSESVRSSERSAVAWLMHLDWLSKMTAPTYDFSLTILRCLAPVNLLLDNSDLDVFVRSHARIKRQSPILLQIAAKVREEERV >DRNTG_23256.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:329068:332846:1 gene:DRNTG_23256 transcript:DRNTG_23256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKGEKLLRLIQPRHCRWFLRINRGFMALNKNAVTCFSCFQGFSHLSNASDNHKLISTRHWVNMGTASRPGFETALSVKCSMDHHNALPRKFEDDDRDRDHELARAYAAAPYSLLLSDSDVIPRRDLGSKVVNEHGASRHGRPLGFLEHTMPKKMVVAVDVDEVLGSFLSALNKFIADRYSSNHSLSEYHVYEFFKIWNCTRAEADIRVHEFFKTPYFKKGIHPIPGARLTLEKLSTFCDLSVVTSRQNAIKDLTLEWIGEHYPGLFQEVHFGNHFALDGQSRSKSEICRSLGAQVLIDDNPRYALECAEVGLKVLLFDYNNSYPWSKSDSAVSHPLVTKVHNWQEVEQTLASWILS >DRNTG_23256.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:329068:332846:1 gene:DRNTG_23256 transcript:DRNTG_23256.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKGEKLLRLIQPRHCRWFLRINRGFMALNKNAVTCFSCFQGFSHLSNASDNHKLISTRHWVNMGTASRPGFETALSVKCSMDHHNALPRKFEDDDRDRDHELARAYAAAPYSLLLSDSDVIPRRDLGSKVVNEHGASRHGRPLGFLEHTMPKKMVVAVDVDEVLGSFLSALNKFIADRYSSNHSLSEYHVYEFFKIWNCTRAEGMKHLLHVTLSNESYACTDFTLNGGVFFFLHGNASFVVYIYKWSCFLPADIRVHEFFKTPYFKKGIHPIPGARLTLEKLSTFCDLSVVTSRQNAIKDLTLEWIGEHYPGLFQEVHFGNHFALDGQSRSKSEICRSLGAQVLIDDNPRYALECAEVGLKVLLFDYNNSYPWSKSDSAVSHPLVTKVHNWQEVEQTLASWILS >DRNTG_18744.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1238728:1242307:1 gene:DRNTG_18744 transcript:DRNTG_18744.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RBL [Source:Projected from Arabidopsis thaliana (AT3G21060) UniProtKB/Swiss-Prot;Acc:Q5E915] MNVPIIDPLQGDFPEVIEEFLQHGNMKCIAFNRRGTLLAAGCVDGSCVIWDFETRGVAKELRDKDCVAPITSVCWSKFGHHILASATDKSLTLWSVLSGEKIARVTLQQTTLLARLHPGSCTPSVCLACPLSSAPILVDLNTGSSTVLPVTMSDVGTGTTHPRNKFSDGSPPFTPTAATFNKCGDLIYVGNSKGEILIVDSKNIRVQAIIPIPGGSVIKNIVFSRNGQYLLTNSNDRVIRVYENLLSQKGAANELEEMSNASPVNEFQGIEKLKAVGSKCLILFREFQDAVTKMQWKAPCFSGDGEWVVGASANKGEHKLYIWDRVGHLVKILEGPKEALTDLAWHPVRPLVVSVSVAGLVYIWAKDYTENWSAFAPDFKELEENEEYIEREDEFDLVPDTEKVKESEINEDDEVDIMTVEKDSTFSDSEASQEELCFLPAIPSPDVPEQQDKYPASLSKLEESTHSGSPFSVEAGQNGQAHPASSPLEVVANSAAEDAAVNTGVKRKRKPSAKGLELEAEKGRKPPLSKLIKSMGKPSKAKVKLENAQDANDSVLDDAVTDEYI >DRNTG_18744.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1238728:1242307:1 gene:DRNTG_18744 transcript:DRNTG_18744.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RBL [Source:Projected from Arabidopsis thaliana (AT3G21060) UniProtKB/Swiss-Prot;Acc:Q5E915] MNVPIIDPLQGDFPEVIEEFLQHGNMKCIAFNRRGTLLAAGCVDGSCVIWDFETRGVAKELRDKDCVAPITSVCWSKFGHHILASATDKSLTLWSVLSGEKIARVTLQQTTLLARLHPGSCTPSVCLACPLSSAPILVDLNTGSSTVLPVTMSDVGTGTTHPRNKFSDGSPPFTPTAATFNKCGDLIYVGNSKGEILIVDSKNIRVQAIIPIPGGSVIKNIVFSRNGQYLLTNSNDRVIRVYENLLSQKGAANELEEMSNASPVNEFQGIEKLKAVGSKCLILFREFQDAVTKMQWKAPCFSGDGEWVVGASANKGEHKLYIWDRVGHLVKILEGPKEALTDLAWHPVRPLVVSVSVAGLVYIWAKDYTENWSAFAPDFKELEENEEYIEREDEFDLVPDTEKVKESEINEDDEVDIMTVEKDSTFSDSEASQEELCFLPAIPSPDVPEQQDKYPASLSKLEESTHSGSPFSVEAGQNGQAHPASSPLEVANSAAEDAAVNTGVKRKRKPSAKGLELEAEKGRKPPLSKLIKSMGKPSKAKVKLENAQDANDSVLDDAVTDEYI >DRNTG_32694.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001892.1:21325:21889:-1 gene:DRNTG_32694 transcript:DRNTG_32694.2 gene_biotype:protein_coding transcript_biotype:protein_coding RTQNQELPSPSCRRYRESQRKGLSDREDEEESLRKKIVSKAKPPRKKYRPLQAFSHQLRQDRGSHA >DRNTG_32694.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001892.1:21325:23359:-1 gene:DRNTG_32694 transcript:DRNTG_32694.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYISLANNRNQQHEVGSSTVKSEQNRQNINSRSRIFDRSRGVALLQKTCAHG >DRNTG_18993.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22688528:22691163:1 gene:DRNTG_18993 transcript:DRNTG_18993.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFKHDELDFSFDQSSMNNGFSLRDEAQSLLNSSSLDDKLSGRESPDRYPDVFNDIVLNYINRMLMEEDMDEKLDIYHEPSALQAAEKSLYDVLGEKYPPSPDQPPLYTDSESPGTDNSGNPYSSSHSSFQSSSSSSFSSSNSFSNVTQGLEESLLNMGWVPDISMDSFRKGVEEARKFLPSDDQLVITLENSTGFVSSSSSSSSPPSVNQVKAEEKYGSRGRKNPHSDDSDPEDQRSNKQSAVFYEEETVRTPMFDDVLLCKFNCVAQTQALRAAVEREASKGVVQDEKQSKKTRGKKQTKKEVVDLRTLLIHCAQAVSADDRRNAGELLKQIRQHSSPHGDGTQRLAHCFANGLEARMAGTGSKIYNNFMSQRRSASDVLRAYQLYLSACPFKRISHFMANRTILDLAENQQRLHIVDFGIYYGFQWPCFMQTLANRPGGPPKLRITGIELPRPGFRPAELVEETGHRLTDYARSFKHSL >DRNTG_18993.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22688568:22691093:1 gene:DRNTG_18993 transcript:DRNTG_18993.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFKHDELDFSFDQSSMNNGFSLRDEAQSLLNSSSLDDKLSGRESPDRYPDVFNDIVLNYINRMLMEEDMDEKLDIYHEPSALQAAEKSLYDVLGEKYPPSPDQPPLYTDSESPGTDNSGNPYSSSHSSFQSSSSSSFSSSNSFSNVTQGLEESLLNMGWVPDISMDSFRKGVEEARKFLPSDDQLVITLENSTGFVSSSSSSSSPPSVNQVKAEEKYGSRGRKNPHSDDSDPEDQRSNKQSAVFYEEETVRTPMFDDVLLCKFNCVAQTQALRAAVEREASKGVVQDEKQSKKTRGKKQTKKEVVDLRTLLIHCAQAVSADDRRNAGELLKQIRQHSSPHGDGTQRLAHCFANGLEARMAGTGSKIYNNFMSQRRSASDVLRAYQLYLSACPFKRISHFMANRTILDLAENQQRLHIVDFGIYYGFQWPCFMQTLANRPGGPPKLRITGIELPRPGFRPAELVEETGHRLTDYARSFKHSL >DRNTG_18993.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22688528:22691093:1 gene:DRNTG_18993 transcript:DRNTG_18993.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFKHDELDFSFDQSSMNNGFSLRDEAQSLLNSSSLDDKLSGRESPDRYPDVFNDIVLNYINRMLMEEDMDEKLDIYHEPSALQAAEKSLYDVLGEKYPPSPDQPPLYTDSESPGTDNSGNPYSSSHSSFQSSSSSSFSSSNSFSNVTQGLEESLLNMGWVPDISMDSFRKGVEEARKFLPSDDQLVITLENSTGFVSSSSSSSSPPSVNQVKAEEKYGSRGRKNPHSDDSDPEDQRSNKQSAVFYEEETVRTPMFDDVLLCKFNCVAQTQALRAAVEREASKGVVQDEKQSKKTRGKKQTKKEVVDLRTLLIHCAQAVSADDRRNAGELLKQIRQHSSPHGDGTQRLAHCFANGLEARMAGTGSKIYNNFMSQRRSASDVLRAYQLYLSACPFKRISHFMANRTILDLAENQQRLHIVDFGIYYGFQWPCFMQTLANRPGGPPKLRITGIELPRPGFRPAELVEETGHRLTDYARSFKHSL >DRNTG_18993.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22688568:22691218:1 gene:DRNTG_18993 transcript:DRNTG_18993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFKHDELDFSFDQSSMNNGFSLRDEAQSLLNSSSLDDKLSGRESPDRYPDVFNDIVLNYINRMLMEEDMDEKLDIYHEPSALQAAEKSLYDVLGEKYPPSPDQPPLYTDSESPGTDNSGNPYSSSHSSFQSSSSSSFSSSNSFSNVTQGLEESLLNMGWVPDISMDSFRKGVEEARKFLPSDDQLVITLENSTGFVSSSSSSSSPPSVNQVKAEEKYGSRGRKNPHSDDSDPEDQRSNKQSAVFYEEETVRTPMFDDVLLCKFNCVAQTQALRAAVEREASKGVVQDEKQSKKTRGKKQTKKEVVDLRTLLIHCAQAVSADDRRNAGELLKQIRQHSSPHGDGTQRLAHCFANGLEARMAGTGSKIYNNFMSQRRSASDVLRAYQLYLSACPFKRISHFMANRTILDLAENQQRLHIVDFGIYYGFQWPCFMQTLANRPGGPPKLRITGIELPRPGFRPAELVEETGHRLTDYARSFKHSL >DRNTG_18993.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22688568:22691163:1 gene:DRNTG_18993 transcript:DRNTG_18993.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFKHDELDFSFDQSSMNNGFSLRDEAQSLLNSSSLDDKLSGRESPDRYPDVFNDIVLNYINRMLMEEDMDEKLDIYHEPSALQAAEKSLYDVLGEKYPPSPDQPPLYTDSESPGTDNSGNPYSSSHSSFQSSSSSSFSSSNSFSNVTQGLEESLLNMGWVPDISMDSFRKGVEEARKFLPSDDQLVITLENSTGFVSSSSSSSSPPSVNQVKAEEKYGSRGRKNPHSDDSDPEDQRSNKQSAVFYEEETVRTPMFDDVLLCKFNCVAQTQALRAAVEREASKGVVQDEKQSKKTRGKKQTKKEVVDLRTLLIHCAQAVSADDRRNAGELLKQIRQHSSPHGDGTQRLAHCFANGLEARMAGTGSKIYNNFMSQRRSASDVLRAYQLYLSACPFKRISHFMANRTILDLAENQQRLHIVDFGIYYGFQWPCFMQTLANRPGGPPKLRITGIELPRPGFRPAELVEETGHRLTDYARSFKHSL >DRNTG_31818.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3254748:3269465:-1 gene:DRNTG_31818 transcript:DRNTG_31818.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMASNGGLPFKVGQQAELITFEEGFRGAWFRCKIHDIRFQGDQTECLLEFFDFPDEEITWTKLYQDFPDGYIDAQRESRTMLMLRPSFPPFYHQSQLPGSFPESDVVAIVHDTWKVGDLVDWSFDGCYWSGAITDVLEHGKVKVKLHEPPAGEGKSYDAFVKDLRPSLRWFPEQGWTEPITVSSFIDFFVSFLNHLRY >DRNTG_31818.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3254748:3262240:-1 gene:DRNTG_31818 transcript:DRNTG_31818.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTMASNGGLPFKVGQQAELITFEEGFRGAWFRCKIHDIRFQGDQTECLLEFFDFPDEEITWTKLYQDFPDGYIDAQRESRTMLMLRPSFPPFYHQSQLPGSFPESDVVAIVHDTWKVGDLVDWSFDGCYWSGAITDVLEHGKVKVKLHEPPAGEGKSYDAFVKDLRPSLRWFPEQGWTEPITVSSFIDFFVSFLNHLRY >DRNTG_31818.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3254748:3269465:-1 gene:DRNTG_31818 transcript:DRNTG_31818.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMASNGGLPFKVGQQAELITFEEGFRGAWFRCKIHDIRFQGDQTECLLEFFDFPDEDGYIDAQRESRTMLMLRPSFPPFYHQSQLPGSFPESDVVAIVHDTWKVGDLVDWSFDGCYWSGAITDVLEHGKVKVKLHEPPAGEGKSYDAFVKDLRPSLRWFPEQGWTEPITVSSFIDFFVSFLNHLRY >DRNTG_31818.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3254748:3269465:-1 gene:DRNTG_31818 transcript:DRNTG_31818.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTMASNGGLPFKVGQQAELITFEEGFRGAWFRCKIHDIRFQGDQTECLLEFFDFPDEEITWTKLYQDFPDGYIDAQRESRTMLMLRPSFPPFYHQSQLPGSFPESDVVAIVHDTWKVGDLVDWSFDGCYWSGAITDVLEHGKVKVKLHEPPAGEGKSYDAFVKDLRPSLRWFPEQGWTEPITVSSFIDFFVSFLNHLRY >DRNTG_01627.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1039131:1042086:1 gene:DRNTG_01627 transcript:DRNTG_01627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSAAARTEAPASYAAAAVHPYHYSAPPEYHHNPTLIQQQFYYPYPAPNPCPNGTAMVALPSEPPPVQVEAYVTEQQAPAPLQPPPPGTAGVGFSHYYPPMMMMGATDAKMEALKQAVLQYGVDPGGYGSSLTSSSYVAVPTVQFPVQHTHLINKVKHPPMKRVKQKSSKPKAKLTQSTYCGVCKVECNSADVLESHRQGKKHKKNMQKLQEAITPKPAKPPQSNSKKKGETPAVADSERKEPIQEQKGKTTAPAPPENIEMKKQKVLQAGAKESEVRVCTICNIVVNNESVFNYHIAGQKHASMVKKWQESVKAGQAMGN >DRNTG_01191.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29433292:29435269:-1 gene:DRNTG_01191 transcript:DRNTG_01191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYITLSDNHLNGSIPKEIFSIPSITIGIELFNNSLTGPIPVEIGTLQNLGKFDVSLNKLSGEIPTSLGQCKSLEYLYMNGNSFTGAIPSTLSSLKGLQELDLSHNNFSGPVPSFLEEFKGLSSLNLSFNGFEGELPKHGVFANVNKISVLGNFRLCGGIQELKLPPCPFHAQASRKKHQFPTLAVILPITIGALICFIVFCCLIARKKLSMSSLLKEQHMKISCFLMRVSYAELFKATDGFAVANLVGTGSFGSVYRGLLAIDDENKVVAVKVLDLNQRGASKSFMYECEALKNIRHRNLVRIITACASVDIRGNDFKAIVYEFMPNGNLDQWMHHEDVEQDQPKKLNLMQRLNVAVDVASALEYLHDHQGQTPIVHCDLKPSNVLLDSDLVAHLSDFGLSRFSITSVSKSSEKSSSSFGLRGSIGYVAPEYGVANKVSIHGDVYSYGILLLEMFTGKRPTDDDFKDGRSLHKFVEMAFPNKVMDIIDSCLIEEAIENDERNMRNRGSALDCMVSVIRIGLLCSKESPLERMPMRDVSKEMHAIRDAFLRSCRII >DRNTG_33997.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5234753:5238869:1 gene:DRNTG_33997 transcript:DRNTG_33997.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGISYQRFPKIRVREMKDDYLKFDLTDTDASMANALRRVMIAEVPTIAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSDFAMGMRFSRDCDSCDGDGQCEYCSVEFHLNVRCDSDQTLDVTSADLRSTDPHVVPVDVAARALSTDPASFDSDQRGIIIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPEIHINEDLMETLTLEEKRNWVESSPTKVFDIDPNTQQVMVVDPEAYTYDEEVIKKAEAMGKPGLVEIYAKEDGFIFTVESTGAIKASQLVINAIEVLRQKLDAVRMQDAEADFKEFSEHLGV >DRNTG_14012.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27660442:27663484:1 gene:DRNTG_14012 transcript:DRNTG_14012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERHSSATTIPTSNPRSKPKPIPFKPQSMVTAIAHLLPRRPFLFPFLFSSLFLLSILALLHSPSSSSSSSSSYAVLLSGPSLRPKIYVYDLPSRFTTGVVRSYHLARSAAGGDASLKYPGHQHSAEWHLFTDLRNAGRRSDSPLSVTSDPNLADLFYVPFFSSLSLIVNPIRPANSNPATPSDYSDEEMQEQLVEWLEAQEYWKRNNGRDHVFICQDPNALAKVIDRVRNGVLLLSDFGRLRGDQASLVKDVVLPYDHRINSYQGDIGIKKRTSLLFFMGNRYRKEGGKIRDTLFQILEAEKDVIIKHGAQSRESRRMATQGMHSSKFCLHPAGDTPSACRLFDAIVSLCIPVIVSDYIELPFEDVIDYKKIAIFVDTESATKPAYLTKMLRRISTERILQYQRELKSVKHYFEYEDPNGTVNEIWRQVSLKVPLIKLMINRDKRLLKRETEEPDCSCICSRQNETTTNQW >DRNTG_35364.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18219365:18222317:-1 gene:DRNTG_35364 transcript:DRNTG_35364.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRIPSRRMIRSCSFDLEDKQLEIDWDDVICPICLDCPHNSVMLQCSSYAKGCRPFMCNTDVTHSNCLDRFKNAYGMTNVAKCSLGTNEVSEQSNQSIPPCSEDRPTCPLCRGDVTGWVVIDGARAQLNLKKRCCEEKQCSFVGNYVELQKHITLKHPYSRPSEVDPAHRLDWENFQQSSEIVDVLSVIHSEVPHGVVLGDYVIEYGGVETGDEYEDFPRSKGSWWTSCIMCQLFDKKASRNRRRSTTSEERRSSHRSSSTSSSVDDVYRTSIDIANYQYNGMDDEFVGGISSTPSRGSEIRRRHRRHRSHVRYR >DRNTG_35364.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18219114:18222267:-1 gene:DRNTG_35364 transcript:DRNTG_35364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRIPSRRMIRSCSFDLEDKQLEIDWDDVICPICLDCPHNSVMLQCSSYAKGCRPFMCNTDVTHSNCLDRFKNAYGMTNVAKCSLGTNEVSEQSNQSIPPCSEDRPTCPLCRGDVTGWVVIDGARAQLNLKKRCCEEKQCSFVGNYVELQKHITLKHPYSRPSEVDPAHRLDWENFQQSSEIVDVLSVIHSEVPHGVVLGDYVIEYGGVETGDEYEDFPRSKGSWWTSCIMCQLFDKKASRNRRRSTTSEERRSSHRSSSTSSSVDDVYRTSIDIANYQYNGMDDEFVGGISSTPSRGSEIRRRHRRHRSHVRYR >DRNTG_35364.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18219396:18222267:-1 gene:DRNTG_35364 transcript:DRNTG_35364.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRIPSRRMIRSCSFDLEDKQLEIDWDDVICPICLDCPHNSVMLQCSSYAKGCRPFMCNTDVTHSNCLDRFKNAYGMTNVAKCSLGTNEVSEQSNQSIPPCSEDRPTCPLCRGDVTGWVVIDGARAQLNLKKRCCEEKQCSFVGNYVELQKHITLKHPYSRPSEVDPAHRLDWENFQQSSEIVDVLSVIHSEVPHGVVLGDYVIEYGGVETGDEYEDFPRSKGSWWTSCIMCQLFDKKASRNRRRSTTSEERRSSHRSSSTSSSVDDVYRTSIDIANYQYNGMDDEFVGGISSTPSRGSEIRRRFA >DRNTG_35364.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18219396:18222317:-1 gene:DRNTG_35364 transcript:DRNTG_35364.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRIPSRRMIRSCSFDLEDKQLEIDWDDVICPICLDCPHNSVMLQCSSYAKGCRPFMCNTDVTHSNCLDRFKNAYGMTNVAKCSLGTNEVSEQSNQSIPPCSEDRPTCPLCRGDVTGWVVIDGARAQLNLKKRCCEEKQCSFVGNYVELQKHITLKHPYSRPSEVDPAHRLDWENFQQSSEIVDVLSVIHSEVPHGVVLGDYVIEYGGVETGDEYEDFPRSKGSWWTSCIMCQLFDKKASRNRRRSTTSEERRSSHRSSSTSSSVDDVYRTSIDIANYQYNGMDDEFVGGISSTPSRGSEIRRRHRRHRSHVRYR >DRNTG_30796.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001629.1:16066:18728:-1 gene:DRNTG_30796 transcript:DRNTG_30796.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPDRPPFPLLQPLRSRSHPLRQIPIRWQGFLHRLAIPVPRRGDEATPCVQVVIMKSAIGARCHDVKTLAEKEKRDGSDTRFDQIMVFLMEICSISKQGRHFQLVPSHLQPAFDHPKLKGQKPLIHQRGQKDIITQQQQLIMS >DRNTG_07055.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3821281:3823209:1 gene:DRNTG_07055 transcript:DRNTG_07055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPEMQQQQRTAGALGSKTARACDGCMRRRARWYCAADDAFLCQACDSSVHSANPLARRHQRVRLKTSAPSVLKLDDGPSWHQAITRKARTPRPKPGVITSVKSEPVVPDLEAVSADENNVGEEDQLVYHRVPEFDPLLAEFRSPDPVIGGLDDVHGFGSKTEERELPESGSLAGFLPADDDVDLAEFAADMETLLGRGLEEDAFCMESLGIGFSGEEDKTMTMMMKMEMKEEMNEEEDDDERMDLDGREMVLELNFDSGSTAPEEVEVEVEEKIVDDKRMKLRLNYEAVIDAWSSSCQGSSPWTDGDRPKLNPDECLPEFKGGMWPVSGQVTGPISGGGGGGDGGREARVTRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRSSFPVVGAGVGPSFGF >DRNTG_19614.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001032.1:32220:37610:-1 gene:DRNTG_19614 transcript:DRNTG_19614.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQNKSLAKELKECQENINNAEKTINYMKKGKAKIDELPFQTSVTQHHIEETSGDKKGKVEAGCVLSNAHEYKQVKYNKEKRRHIRQVPTCFHCGRREHIRPKCHQLKADMRNRRHGKKEFKTGS >DRNTG_04778.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15462089:15462679:1 gene:DRNTG_04778 transcript:DRNTG_04778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEECMTYLMSHANEEPYINKPIEDYDLLEVVCGNDHATRHFARDTIETPPTDVGVPNFLQDNFNIGLTPKDLRYGQFDNNYEFCMKLTGVGYSTCDVTKVYSYYADSETKTFKFLGAPDILCQYMAEELVGPSRQIF >DRNTG_24992.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27140216:27142733:1 gene:DRNTG_24992 transcript:DRNTG_24992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGSKSSSGGVSLGFTNGGCSGGNLVREKRRCL >DRNTG_25477.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1627449:1630094:-1 gene:DRNTG_25477 transcript:DRNTG_25477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSNKIGNFLKKSISSSPSLYQAIRCMSSSKLFVGGIPYGMDDQSLRESFSSHGEVVEARIIVDRETGRSRGFGFVTFTSTEDASNALTAMDGKDLHGRIVRVNYATDRTSGPRGGYGGGYGGGYGGGGGNYGGGNYGGGGYGGGNYGGGGYGGDGGGYGGGAGGGYGGSAGGGGYGVAGGGGGNDSYVSGAAHDNFASSGAPASYGGNADAGFGTGGDQYRSNLGNSAFDADNQNELDDDVKDDDDEPDDYADKRS >DRNTG_23070.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2380163:2381629:-1 gene:DRNTG_23070 transcript:DRNTG_23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSFLKTLLSIIQDSVDEEEKASALSIISNLRSGYPQIHQWLVDAEAIPIIIGCLKDTRPYVSSKNQLLENAVGALCHFTLSTNLDFQKRAAELGVIPLLVQLLGYGTALTKKYAATSLAQFSESSPGLSRRIRKHTGFLCCSGPQETGCPVHMGICSVVSSFCLLEADAVGPLVRLLTEMDPKVCEASLRALSTLIEGERLQSGFKILSDSKAILPMIKLLSLDSPDLQLSVLHILERIFTLEDCRRMHGMAAQMPLVDITQRGTGPIRPLAARVLAHLNVLHDQSSYF >DRNTG_02106.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10679863:10688839:-1 gene:DRNTG_02106 transcript:DRNTG_02106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSSTSRAILRRLSSNRYALTPGRALSSSAVDTSGYHVSGGPSFMRGTVFWEPNKPLTIEDFHMPRPKSGEVLIKTKACGVCHSDLHVMKGELPFSSPCVVGHEITGEVVEHGAHTDSGIVKRFPIGGHVVGAFIMPCGNCFFCVKGQEDLCEAFFAYNRARGTLYDGETRLFLRSNGKPVYMYSMGGLAEYCVVPANALAVLPNSLPYSESAILGCAVFTAYGAMRHAAELRAGDSVAVIGVGGVGSSCLQIARAFGASEIIAVDVLDEKLHSAKLLGATHTINAMKEDVVDQIKEITGGMGVDVAIEALGKASTFAQCTKSVRDGGKAVIIGLAATNVVGEVDITRLVRRQVKIIGSYGARARQDLPQVVKLAEAGTFDLQNTVSRKCQFEEANQAFEDLNHGKIVGRAVVEIM >DRNTG_02106.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10686167:10688839:-1 gene:DRNTG_02106 transcript:DRNTG_02106.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSSTSRAILRRLSSNRYALTPGRALSSSAVDTSGYHVSGGPSFMRGTVFWEPNKPLTIEDFHMPRPKSGEVLIKTKACGVCHSDLHVMKGELPFSSPCVVGHEITGEVVEHGAHTDSGIVKRFPIGGHVVGAFIMPCGNCFFCVKGQEDLCEAFFAYNRARGTLYDGETRLFLRSNGKPVYMYSMGGLAEYCV >DRNTG_22477.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2657510:2662377:-1 gene:DRNTG_22477 transcript:DRNTG_22477.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT3G21640) UniProtKB/TrEMBL;Acc:A0A178V6X3] MMEDTQFEHAQSNANESSSQQSQTVDDNDIKIEGSAFVYNEHPSDDNGPPKVDSEVEVLHEKVTKQIIKDGHGHKPTKFSTCFLHYRAWVENTSQKFEDTWQEQRPTELVLGKEKPQMVGLGIGVSGMKSGERALLHVGWELGYGKEGSFSFPNVPPMADLLYEVELIGFDEAKEGKARSDMTVEERIEAADRRKLEGNAYFKDEKLEEAMQQYEMAIAYMGDEFMFQLFGKYRDMALAVKNPCHLNMAACLIKLRRYEEAIAQCSIVLSEDENNVKALFRRGKAKAELGQTDGAREDFQKAKKIVPEDKTIAKELRLLAEHDKAVYQKQKELYKGIFGPPPEAKPQRRNWLVVFWQWLVSLFCRVFKLQKHKAD >DRNTG_22477.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2657510:2662377:-1 gene:DRNTG_22477 transcript:DRNTG_22477.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT3G21640) UniProtKB/TrEMBL;Acc:A0A178V6X3] MMEDTQFEHAQSNVANESSSQQSQTVDDNDIKIEGSAFVYNEHPSDDNGPPKVDSEVEVLHEKVTKQIIKDGHGHKPTKFSTCFLHYRAWVENTSQKFEDTWQEQRPTELVLGKEKPQMVGLGIGVSGMKSGERALLHVGWELGYGKEGSFSFPNVPPMADLLYEVELIGFDEAKEGKARSDMTVEERIEAADRRKLEGNAYFKDEKLEEAMQQYEMAIAYMGDEFMFQLFGKYRDMALAVKNPCHLNMAACLIKLRRYEEAIAQCSIVLSEDENNVKALFRRGKAKAELGQTDGAREDFQKAKKIVPEDKTIAKELRLLAEHDKAVYQKQKELYKGIFGPPPEAKPQRRNWLVVFWQWLVSLFCRVFKLQKHKAD >DRNTG_03611.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:139048:142757:1 gene:DRNTG_03611 transcript:DRNTG_03611.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKGEKKKENKIEPINYNDADDLKKSLQELKELRSQLHNAADHCETLFLKAKQKKIVMENTKSYLCQAIVTVIDHLGTVSSKLEQR >DRNTG_21425.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3453008:3456366:1 gene:DRNTG_21425 transcript:DRNTG_21425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDEPAWGKDTVAGVLAIVSTRLSLRDLCSLLLVSSSCYRDLLAQSTLWEVLDLREMSRAGERLISALSLARYQNVKRINLEFSRDIEDEHFVLLRNKVMKSLHGLESLNLNGCQKITDKGVEAVTSCCPNLKAFSIYWNVRVTDLGIKQLIANCRQMVDLNLSGCKNISDQSLQMIAESYQELKVLNLTRCIKLTDVGLQQILLKCSYLESLNLYALSSLTDKAYKSIASLANLRFLDLCGAQNLSDEGLSCIAKCKNLVSLNLTWCVRITDTGVLALAQGCPSLEFLSLFGIVGVTDASLEALSKSCSNTLTTLDVNGCIGIKRRSREDLLLLFPYLRCFKVHS >DRNTG_23804.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29638018:29640115:1 gene:DRNTG_23804 transcript:DRNTG_23804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFLVLGHQWRPIPFLHCPPCSSPSPSPPSSYHLPFSSSFSPFSLTSDAPPFPPSASIRCASSARTPGPASDENENKAVLDAFFLGKAFAEALNERIGSTVGEILSVVGQWQAEQQKQVLDFQDEVLERAKRAKERAALEVTEPKGDVSKSFSGRGDDGVGSAVLPLTPNRSNPANDPFRDMFKD >DRNTG_31806.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:593013:595523:-1 gene:DRNTG_31806 transcript:DRNTG_31806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKESTSTAAHRRSERWSLRGMTALVTGGTRGIGHAVVEELAGLGATVYTCSRNEAELNDRLKEWEALGLRVTGSVCDLASREQRVELIQKVSSVFDGKLNILINNAGTNIRKPTSDYTSEEFSFLMNTNFESVYHLCQLAHPLLKASGAGSIVLISSVAGVVAISSGSVYAATKAAMNQLARNLACEWAKDNIRTNAIAPWYIKTSLTEPVLNQELTQKIVARTPLRRVGEPDEVSSIVAFLCLPSASYISGQIISVDGGMSVNGFYPTHN >DRNTG_04589.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000220.1:78911:80781:-1 gene:DRNTG_04589 transcript:DRNTG_04589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASCSGDKTVRIWQQGSSKSFDCTAVLEDTHTRTVRSCAWSPSGKLLATASFDATTGIWQQIGSDFECVATLEGHENEVKSVAWNASGSLIATCGRDKSVWIWEMQSGNEFECISVLQGHTQDVKMVLW >DRNTG_24816.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31816650:31817052:-1 gene:DRNTG_24816 transcript:DRNTG_24816.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 8 [Source:Projected from Arabidopsis thaliana (AT2G04350) UniProtKB/Swiss-Prot;Acc:Q9SJD4] MVSHGNIVATAAAVRTIIPGIGRNDVYLAYLPLAHVLELAAETVMLSAGSAIGYGSALTLTDTSNKIKKGTKGDASVLKPTLMATVPAILDRVRDGVLKKV >DRNTG_24816.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31814371:31814967:-1 gene:DRNTG_24816 transcript:DRNTG_24816.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 8 [Source:Projected from Arabidopsis thaliana (AT2G04350) UniProtKB/Swiss-Prot;Acc:Q9SJD4] MVYADPFHSYCVALVVPSRHAIENWARSVGIEYQNYGELCNQDEAVKEVQQSLLKAAKTAKLDKFEIPAKIMLLPDAWTPESGLVTAALKLKREQLKAKYKAELDKLYQ >DRNTG_24816.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31816650:31817643:-1 gene:DRNTG_24816 transcript:DRNTG_24816.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 8 [Source:Projected from Arabidopsis thaliana (AT2G04350) UniProtKB/Swiss-Prot;Acc:Q9SJD4] MYTSGSTGLPKGVMVSHGNIVATAAAVRTIIPGIGRNDVYLAYLPLAHVLELAAETVMLSAGSAIGYGSALTLTDTSNKIKKGTKGDASVLKPTLMATVPAILDRVRDGVLKKV >DRNTG_24816.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31815048:31815395:-1 gene:DRNTG_24816 transcript:DRNTG_24816.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 8 [Source:Projected from Arabidopsis thaliana (AT2G04350) UniProtKB/Swiss-Prot;Acc:Q9SJD4] MPRGEVVVGGHNVTLGYFKNEAKTNEVYKVDENGVRWFYTGDIGQFHHDGCLEIIDRKKDIVKLQHGEYISLGK >DRNTG_24816.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31814371:31816546:-1 gene:DRNTG_24816 transcript:DRNTG_24816.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 8 [Source:Projected from Arabidopsis thaliana (AT2G04350) UniProtKB/Swiss-Prot;Acc:Q9SJD4] MLDINAGYWQLKEVGMEHGALKDFIWENLVFKKIRTILGGKIRFVLCGGAPLSKDTQRFTNICLGAPVGQGYGLTETCAGATFSEADDTSIGRVGPPIPSCYIKLVSWEEGGYTISDAPMPRGEVVVGGHNVTLGYFKNEAKTNEVYKVDENGVRWFYTGDIGQFHHDGCLEIIDRKKDIVKLQHGEYISLGKVEAALAASDYVDNIMVYADPFHSYCVALVVPSRHAIENWARSVGIEYQNYGELCNQDEAVKEVQQSLLKAAKTAKLDKFEIPAKIMLLPDAWTPESGLVTAALKLKREQLKAKYKAELDKLYQ >DRNTG_24816.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31814371:31818751:-1 gene:DRNTG_24816 transcript:DRNTG_24816.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 8 [Source:Projected from Arabidopsis thaliana (AT2G04350) UniProtKB/Swiss-Prot;Acc:Q9SJD4] MPFLDKFSTNHMFIGKGYGMYGIIAAVIVGLVVPLLLSSIFINKRKNRQRAVAVEVGGEPGITMKNSRFSALVEVPWEGATTMAVLFEQSCRKHAKHPCLGTRKLIKKEVVESSDGRKFEKLQLGEYQWLSYGVAFEHACNFASGLVKLGHDIKDRAAIFADTRAEWLLAFQGCFRQNITIVTIYSSLGEEALVHSLNETEVSTLICDFKQLKRLSAISSRLETLKHVIYFEDEGEAGSLSNNGNWTVLSFNEVQKLGKENPVTPRLPSRTDIAVIMYTSGSTGLPKGVMVSHGNIVATAAAVRTIIPGIGRNDVYLAYLPLAHVLELAAETVMLSAGSAIGYGSALTLTDTSNKIKKGTKGDASVLKPTLMATVPAILDRVRDGVLKKVEEQGGVSKRLFNVGYKRRLLAIEGSWYGAWGLERLHLGEPCF >DRNTG_24816.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31814371:31817867:-1 gene:DRNTG_24816 transcript:DRNTG_24816.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 8 [Source:Projected from Arabidopsis thaliana (AT2G04350) UniProtKB/Swiss-Prot;Acc:Q9SJD4] MLDINAGYWQLKEVGMEHGALKDFIWENLVFKKIRTILGGKIRFVLCGGAPLSKDTQRFTNICLGAPVGQGYGLTETCAGATFSEADDTSIGRVGPPIPSCYIKLVSWEEGGYTISDAPMPRGEVVVGGHNVTLGYFKNEAKTNEVYKVDENGVRWFYTGDIGQFHHDGCLEIIDRKKDIVKLQHGEYISLGKVEAALAASDYVDNIMVYADPFHSYCVALVVPSRHAIENWARSVGIEYQNYGELCNQDEAVKEVQQSLLKAAKTAKLDKFEIPAKIMLLPDAWTPESGLVTAALKLKREQLKAKYKAELDKLYQ >DRNTG_26684.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9121060:9121842:1 gene:DRNTG_26684 transcript:DRNTG_26684.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIQQTNNNITSQNICRNTSMKKSKPHALKCKEDHQPK >DRNTG_28336.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:136955:140663:1 gene:DRNTG_28336 transcript:DRNTG_28336.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NRT1.1 [Source:Projected from Arabidopsis thaliana (AT1G12110) UniProtKB/TrEMBL;Acc:A0A178W8F7] MASLEDNEAANGKILSDAWDFKGRPSVRTQSGGWTSAAMILGVELCERLTTLGIAVNLVTYLTGTMHLGNAASANDVTNFLGTSFMLCLLGGFIGDTFLGRYLTIAIFAAVQATGVTVLTISTVAPGLRPPKCGDPAGGGCERANGKQLGVLYLGLYLTALGTGGLKSSVSGFGSDQFDESDPKEKKAMAKFFSWFFFFISLGSLLAVTVLVYIQDNIGRVWGYGICAVAILAGLVVFLAGTWRYRFKKLVGSPLTQIAVVLWGAWRKRALDLPSDPSLLYDDVAAHHDGLTSNIKPKQKLPHTKHFRFLDRAAIIVEEQSKWKVCTLTDVEEVKMVVGLLPVWATTIVFWTVYAQMTTFSVSQASIMDRHIGHSFQIPAGSLTVFFVGSILLTVPVYERLIVPVARRLTGHPQGLSPLQRIGVGLTLSILAMGAAAFTEQKRLRSAWSNPETIQKGAAVPLSVFWLVPQFLLVGSGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFVSSVLVTIVHKVTGESGHGAWLPDDLNRGRLYDFYWLLAMISVVNLGVFLVCAKWYVYKDCRAGTAVGDDSINGSVELAEAEACYH >DRNTG_11470.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6156596:6162670:1 gene:DRNTG_11470 transcript:DRNTG_11470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1/munc18-like (SM) proteins superfamily [Source:Projected from Arabidopsis thaliana (AT3G54860) UniProtKB/TrEMBL;Acc:F4JE40] MQQDYADIKSTSQSVSELKDFVKKMNSIPEITRHINLAQHLQTFTCKPSFHGRLDIEQTILEAQNYEICLEYIEEMIHKQESLINVLRLLVLFSITNSGLPKKNFDYLRREILHSYGFEHMVTLYNLEKAGLFKKQESKSNWSTIAKALQLIVEDVANPNDIAYIFSGYAPLSIRIVQHAIRSGWRSVEEIIKLLPGPQLDIKRGGYSNSSTSETMHGGGQSNADRLAVGRRSLTLVVFIGGVTFAEIAALRFLSSQVGLGYDFIVAATKIVSGTSLLETMVSNGS >DRNTG_11470.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6152391:6162670:1 gene:DRNTG_11470 transcript:DRNTG_11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1/munc18-like (SM) proteins superfamily [Source:Projected from Arabidopsis thaliana (AT3G54860) UniProtKB/TrEMBL;Acc:F4JE40] MAQIPNLDNSPLNFGSLREQSQRELLSILKRIRGSKCMVIDPKLGGSLSLLIQTSLLRENGVELRHISADPVQTDCSKVIYLVRPQLNLTKLISSNIQNDISKGVQREYFVYFVPRRTVACEKIFEDEKVHHLLTFGEYPLYVMPLDEDVLSFELDLAYKECQVEGDTSSLWHVAKGIHKMEFSFGVIPNIKAKGKASTKVAEILNTLHVEEPVSTSDMVVPEINTLILLDREVDMVTPMCSPLTYEGLLDEILQINNGAVEVDAGVMVAQQEGKKIKVPLNSSDKLYKEIRDLHFEVVVQVLRQKTTSMQQDYADIKSTSQSVSELKDFVKKMNSIPEITRHINLAQHLQTFTCKPSFHGRLDIEQTILEAQNYEICLEYIEEMIHKQESLINVLRLLVLFSITNSGLPKKNFDYLRREILHSYGFEHMVTLYNLEKAGLFKKQESKSNWSTIAKALQLIVEDVANPNDIAYIFSGYAPLSIRIVQHAIRSGWRSVEEIIKLLPGPQLDIKRGGYSNSSTSETMHGGGQSNADRLAVGRRSLTLVVFIGGVTFAEIAALRFLSSQVGLGYDFIVAATKIVSGTSLLETMVSNGS >DRNTG_11470.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6152391:6155869:1 gene:DRNTG_11470 transcript:DRNTG_11470.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1/munc18-like (SM) proteins superfamily [Source:Projected from Arabidopsis thaliana (AT3G54860) UniProtKB/TrEMBL;Acc:F4JE40] MAQIPNLDNSPLNFGSLREQSQRELLSILKRIRGSKCMVIDPKLGGSLSLLIQTSLLRENGVELRHISADPVQTDCSKVIYLVRPQLNLTKLISSNIQNDISKGVQREYFVYFVPRRTVACEKIFEDEKVHHLLTFGEYPLYVMPLDEDVLSFELDLAYKECQVEGDTSSLWHVAKGIHKMEFSFGVIPNIKAKGKASTKVAEILNTLHVEEPVSTSDMVVPEINTLILLDREVDMVTPMCSPLTYEGLLDEILQINNGAVEVDAGVMVAQQEGKKIKVPLNSRQVDVCTYFIYSHI >DRNTG_16528.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12127700:12145727:-1 gene:DRNTG_16528 transcript:DRNTG_16528.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEYFAIDSQGFITDHEMALEELFAENAENSQKYNICLNTMATRIATAFASLREFPNVRYRAAKSSLDPLTLTTLRDLVPTKLAAGVWNCLSKYKATIPDFPQKETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLNMEGNKYVHEVPSRTGSAPEKKEVLLEDHDPIWLELRHAHIADASERLHDKMTNFISKNKAAQIHHASRGGGELSTRDLQKMVQALPQYSEQIDKLSLHVEIAGKLNRIIREMDLREIGQLEQDLVFGDAGAKEVINFFRTKQDISPENRLRLLMIYASIFPEKFEGDIGEKLMQLARLSQDDMHAVKNMKYLGGSDIKKPSGGVFSLKFDSHKKHAARKERASDGETWQLSRFYPMIEELIEKLTKGELPPDEYPSMNDPSMSVHGTSTSNGLSVRSTQSQPAHSMRSRRTGTWAKAHNSDDGYSSDSVLRHASSDSKKMGQRIFVFIIGGATRSELRVAHKLTAKLRREVILGSTSIDDPPQFITKLKLLTAQEFSIDDLHI >DRNTG_16528.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12127700:12145727:-1 gene:DRNTG_16528 transcript:DRNTG_16528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTDSSHGAGDYKLFRQISRDRLLYEMLRSAKVKETKTTWKVLIMDKFTVKVMSYSCKMADITDEGVSLVEDLYKRRQPLPSMDAIYFIQPTKENVVMFLSDMSGRSPLYRKAFVFFSSPMPKELVAYIKKDTSVLPRIGALSEMNLEYFAIDSQGFITDHEMALEELFAENAENSQKYNICLNTMATRIATAFASLREFPNVRYRAAKSSLDPLTLTTLRDLVPTKLAAGVWNCLSKYKATIPDFPQKETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLNMEGNKYVHEVPSRTGSAPEKKEVLLEDHDPIWLELRHAHIADASERLHDKMTNFISKNKAAQIHHASRGGGELSTRDLQKMVQALPQYSEQIDKLSLHVEIAGKLNRIIREMDLREIGQLEQDLVFGDAGAKEVINFFRTKQDISPENRLRLLMIYASIFPEKFEGDIGEKLMQLARLSQDDMHAVKNMKYLGGSDIKKPSGGVFSLKFDSHKKHAARKERASDGETWQLSRFYPMIEELIEKLTKGELPPDEYPSMNDPSMSVHGTSTSNGLSVRSTQSQPAHSMRSRRTGTWAKAHNSDDGYSSDSVLRHASSDSKKMGQRIFVFIIGGATRSELRVAHKLTAKLRREVILGSTSIDDPPQFITKLKLLTAQEFSIDDLHI >DRNTG_16528.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12127700:12139534:-1 gene:DRNTG_16528 transcript:DRNTG_16528.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFISKNKAAQIHHASRGGGELSTRDLQKMVQALPQYSEQIDKLSLHVEIAGKLNRIIREMDLREIGQLEQDLVFGDAGAKEVINFFRTKQDISPENRLRLLMIYASIFPEKFEGDIGEKLMQLARLSQDDMHAVKNMKYLGGSDIKKPSGGVFSLKFDSHKKHAARKERASDGETWQLSRFYPMIEELIEKLTKGELPPDEYPSMNDPSMSVHGTSTSNGLSVRSTQSQPAHSMRSRRTGTWAKAHNSDDGYSSDSVLRHASSDSKKMGQRIFVFIIGGATRSELRVAHKLTAKLRREVILGSTSIDDPPQFITKLKLLTAQEFSIDDLHI >DRNTG_16528.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12127700:12129476:-1 gene:DRNTG_16528 transcript:DRNTG_16528.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVKNMKYLGGSDIKKPSGGVFSLKFDSHKKHAARKERASDGETWQLSRFYPMIEELIEKLTKGELPPDEYPSMNDPSMSVHGTSTSNGLSVRSTQSQPAHSMRSRRTGTWAKAHNSDDGYSSDSVLRHASSDSKKMGQRIFVFIIGGATRSELRVAHKLTAKLRREVILGSTSIDDPPQFITKLKLLTAQEFSIDDLHI >DRNTG_00588.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29910868:29918357:-1 gene:DRNTG_00588 transcript:DRNTG_00588.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G78770) UniProtKB/Swiss-Prot;Acc:B3DNN5] MMFGRTREEAVERLRGIVRDCVTKHLYSSAIFFADKVAAATSDPADIYMQAQALFLGRHFRRALHLLTSSSSSASLLHDLRFRYLAAKCLEELKEWHQCLAMLGDATVDEHGNVQDQNDSSAMSLDKDGEDHEINIIAAICFLRGKAYEALENRVQARQWYKAAVKADPLCYEALECLVDNYMLSCEEESSLLSSLQFGKDDGWLSTFYSCLIKKHDKESIVEAKFKDLERDGIGASDSPFGYSLKSNTDLLSGKAEYYHQCGEYQKCFELTSMLLGRDPFHLKCTLIHIVAAMELGHSNDLYLMACNLVRDYPQKALSWFAVGCYYYCIKKYDQARRYFGKATSIDGSFPPAWIGSGNAYAAQEESDQAMAAFRTG >DRNTG_00588.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29910868:29918357:-1 gene:DRNTG_00588 transcript:DRNTG_00588.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G78770) UniProtKB/Swiss-Prot;Acc:B3DNN5] MMFGRTREEAVERLRGIVRDCVTKHLYSSAIFFADKVAAATSDPADIYMQAQALFLGRHFRRALHLLTSSSSSASLLHDLRFRYLAAKCLEELKEWHQCLAMLGDATVDEHGNVQDQNDSSAMSLDKDGEDHEINIIAAICFLRGKAYEALENRVQARQWYKAAVKADPLCYEALECLVDNYMLSCEEESSLLSSLQFGKDDGWLSTFYSCLIKKHDKESIVEAKFKDLERDGIGASDSPFGYSLKSNTDLLSGKAEYYHQCGEYQKCFELTSMLLGRDPFHLKCTLIHIVAAMELGHSNDLYLMACNLVRDYPQKALSWFAVGCYYYCIKKYDQARRYFGKATSIDGSFPPAWIGSGNAYAAQEESDQAMAAFRTGARLFPGCHLPMLYIGMEYMRTHNFKLAEQYFLQAKTICPSDPLVYNELGVVAYHMKEYPKAVQWFEKTLARVASSLNEMWEPTLVNLAHAQRKLKMYHKAVSYYEKALTFSTQSLSTFAGLAYTYHLQGNFDAAIAHYHKALWLKPDDLFCTEMLSLALEDDCRGNARRWE >DRNTG_00588.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29916565:29918357:-1 gene:DRNTG_00588 transcript:DRNTG_00588.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G78770) UniProtKB/Swiss-Prot;Acc:B3DNN5] MMFGRTREEAVERLRGIVRDCVTKHLYSSAIFFADKVAAATSDPADIYMQAQALFLGRHFRRALHLLTSSSSSASLLHDLRFRYLAAKCLEELKEWHQCLAMLGDATVDEHGNVQDQNDSSAMSLDKDGEDHEINIIAAICFLRGKAYEALENRVQARQWYKAAVKADPLCYE >DRNTG_00588.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29916910:29918357:-1 gene:DRNTG_00588 transcript:DRNTG_00588.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G78770) UniProtKB/Swiss-Prot;Acc:B3DNN5] MMFGRTREEAVERLRGIVRDCVTKHLYSSAIFFADKVAAATSDPADIYMQAQALFLGRHFRRALHLLTSSSSSASLLHDLRFRYLAAKCLEELKEWHQCLAMLGDATVDEHGNVQDQNDSSAMSLDKDGEDHEINIIAAICFLRGKAYEALENRVQARQW >DRNTG_18885.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2245618:2246409:1 gene:DRNTG_18885 transcript:DRNTG_18885.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRYCAYCIEALNGCINSDIQTPEHTKKHLSAVCMKVSSEASKVLKEMVNSVMTMTKSPCIDHLVGEMNIAVEELHSAMRTLSDSITRSSAVSVSFVETLPLITIASLVIEVCTRVEAIVDSVEELASLAGFKPVAISNETQEQDAMKAVHVQIV >DRNTG_18885.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2241108:2246409:1 gene:DRNTG_18885 transcript:DRNTG_18885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYRVEKVVTMAQQRLSTIAIGVTICFLVCIFICPVWAGGDLHLLIIRNMEKLADSFEGCVGNYFDGSEKNSAGYKCVLNSKASEDSLANLATWEPAHGAFNFRHPWKQYLKVGSAMRYCAYCIEALNGCINSDIQTPEHTKKHLSAVCMKVSSEASKVLKEMVNSVMTMTKSPCIDHLVGEMNIAVEELHSAMRTLSDSITRSSAVSVSFVETLPLITIASLVIEVCTRVEAIVDSVEELASLAGFKPVAISNETQEQDAMKAVHVQIV >DRNTG_18885.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2241108:2242519:1 gene:DRNTG_18885 transcript:DRNTG_18885.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDIRMLTPIAKHDSTSCQKSTKQIP >DRNTG_18885.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2244352:2246409:1 gene:DRNTG_18885 transcript:DRNTG_18885.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEAGNKSVEWRVNTTEGSTVKLEPESNVIGRAWQGLKVKVFEFAKKVWKIGVDDPRKAMHGIKVGLALSLVSLFYYTRPLYDGVGGTAMWAIMTVVVIFDYSVGGSLYKGFNRTIATSTGGALALGIHFFADKSGKTFEPLVLGASLFLLASAATFSRFIPIVKARFDYGITIFILTFSLVSMSGYRVEKVVTMAQQRLSTIAIGVTICFLVCIFICPVWAGGDLHLLIIRNMEKLADSFEGCVGNYFDGSEKNSAGYKCVLNSKASEDSLANLATWEPAHGAFNFRHPWKQYLKVGSAMRYCAYCIEALNGCINSDIQTPEHTKKHLSAVCMKVSSEASKVLKEMVNSVMTMTKSPCIDHLVGEMNIAVEELHSAMRTLSDSITRSSAVSVSFVETLPLITIASLVIEVCTRVEAIVDSVEELASLAGFKPVAISNETQEQDAMKAVHVQIV >DRNTG_18885.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2245084:2245547:1 gene:DRNTG_18885 transcript:DRNTG_18885.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYRVEKVVTMAQQRLSTIAIGVTICFLVCIFICPVWAGGDLHLLIIRNMEKLADSFEGCVGNYFDGSEKNSAGYKCVLNSKASEDSL >DRNTG_30557.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:794177:806030:1 gene:DRNTG_30557 transcript:DRNTG_30557.11 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFLVKIFARTKYFVYMLWIFNIAILLLNRVYEGYSFTLFGANLAFLDSYRGTFRWHICFNLVILRMISFGLDYHWFSDQDSRFDQKERSVPIDKYSFNIYLSYLIYAPLYIAGPITSFNAFAMQLDIPQKNHSVGQIAWYGVRWAISLFLMEILTHFFYYNSYASSDIWKNLSPLEIFIIGYGVINFMWLKFSLIWRFFRFWSLIGGVETPENMPRCVNDCYDLESFWKSWHASFNKWLVRYMYIPLGGSQRKLLNVWVIFTFVALWHDLEWKLICWAWLTCIFLIPEIVIKSAAKTFQVRSALGGFIFRELSAISGAVTITCLMVANLAGYVIGPNGINWLISRLLQKDGLHVLCGIFTSFYIGTKLMFHIRDAKQKCW >DRNTG_30557.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:794177:806030:1 gene:DRNTG_30557 transcript:DRNTG_30557.13 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFLVKIFARTKYFVYMLWIFNIAILLLNRVYEGYSFTLFGANLAFLDSYRGTFRWHICFNLVILRMISFGLDYHWFSDQDSRFDQKERSVPIDKYSFNIYLSYLIYAPLYIAGPITSFNAFAMQLDIPQKNHSVGQIAWYGVRWAISLFLMEILTHFFYYNSYASSDIWKNLSPLEIFIIGYGVINFMWLKFSLIWRFFRFWSLIGGVETPENMPRCVNDCYDLESFWKSWHASFNKWLVRYMYIPLGGSQRKLLNVWVIFTFVALWHDLEWKLICWAWLTCIFLIPEIVIKSAAKTFQVRSALGGFIFRELSAISGAVTITCLMVANLAGYVIGPNGINWLISRLLQKDGLHVLCGIFTSFYIGTKLMFHIRDAKQKCW >DRNTG_30557.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:794177:806030:1 gene:DRNTG_30557 transcript:DRNTG_30557.15 gene_biotype:protein_coding transcript_biotype:protein_coding MISFGLDYHWFSDQDSRFDQKERSVPIDKYSFNIYLSYLIYAPLYIAGPITSFNAFAMQLDIPQKNHSVGQIAWYGVRWAISLFLMEILTHFFYYNSYASSDIWKNLSPLEIFIIGYGVINFMWLKFSLIWRFFRFWSLIGGVETPENMPRCVNDCYDLESFWKSWHASFNKWLVRYMYIPLGGSQRKLLNVWVIFTFVALWHDLEWKLICWAWLTCIFLIPEIVIKSAAKTFQVRSALGGFIFRELSAISGAVTITCLMVANLAGYVIGPNGINWLISRLLQKDGLHVLCGIFTSFYIGTKLMFHIRDAKQKCW >DRNTG_30557.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:794177:806030:1 gene:DRNTG_30557 transcript:DRNTG_30557.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIFNIAILLLNRVYEGYSFTLFGANLAFLDSYRGTFRWHICFNLVILRMISFGLDYHWFSDQDSRFDQKERSVPIDKYSFNIYLSYLIYAPLYIAGPITSFNAFAMQLDIPQKNHSVGQIAWYGVRWAISLFLMEILTHFFYYNSYASSDIWKNLSPLEIFIIGYGVINFMWLKFSLIWRFFRFWSLIGGVETPENMPRCVNDCYDLESFWKSWHASFNKWLVRYMYIPLGGSQRKLLNVWVIFTFVALWHDLEWKLICWAWLTCIFLIPEIVIKSAAKTFQVRSALGGFIFRELSAISGAVTITCLMVANLAGYVIGPNGINWLISRLLQKDGLHVLCGIFTSFYIGTKLMFHIRDAKQKCW >DRNTG_30557.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:798100:806030:1 gene:DRNTG_30557 transcript:DRNTG_30557.18 gene_biotype:protein_coding transcript_biotype:protein_coding MISFGLDYHWFSDQDSRFDQKERSVPIDKYSFNIYLSYLIYAPLYIAGPITSFNAFAMQLDIPQKNHSVGQIAWYGVRWAISLFLMEILTHFFYYNSYASSDIWKNLSPLEIFIIGYGVINFMWLKFSLIWRFFRFWSLIGGVETPENMPRCVNDCYDLESFWKSWHASFNKWLVRYMYIPLGGSQRKLLNVWVIFTFVALWHDLEWKLICWAWLTCIFLIPEIVIKSAAKTFQVRSALGGFIFRELSAISGAVTITCLMVANLAGYVIGPNGINWLISRLLQKDGLHVLCGIFTSFYIGTKLMFHIRDAKQKCW >DRNTG_30557.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:794177:806030:1 gene:DRNTG_30557 transcript:DRNTG_30557.16 gene_biotype:protein_coding transcript_biotype:protein_coding MISFGLDYHWFSDQDSRFDQKERSVPIDKYSFNIYLSYLIYAPLYIAGPITSFNAFAMQLDIPQKNHSVGQIAWYGVRWAISLFLMEILTHFFYYNSYASSDIWKNLSPLEIFIIGYGVINFMWLKFSLIWRFFRFWSLIGGVETPENMPRCVNDCYDLESFWKSWHASFNKWLVRYMYIPLGGSQRKLLNVWVIFTFVALWHDLEWKLICWAWLTCIFLIPEIVIKSAAKTFQVRSALGGFIFRELSAISGAVTITCLMVANLAGYVIGPNGINWLISRLLQKDGLHVLCGIFTSFYIGTKLMFHIRDAKQKCW >DRNTG_30557.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:794177:806030:1 gene:DRNTG_30557 transcript:DRNTG_30557.14 gene_biotype:protein_coding transcript_biotype:protein_coding MISFGLDYHWFSDQDSRFDQKERSVPIDKYSFNIYLSYLIYAPLYIAGPITSFNAFAMQLDIPQKNHSVGQIAWYGVRWAISLFLMEILTHFFYYNSYASSDIWKNLSPLEIFIIGYGVINFMWLKFSLIWRFFRFWSLIGGVETPENMPRCVNDCYDLESFWKSWHASFNKWLVRYMYIPLGGSQRKLLNVWVIFTFVALWHDLEWKLICWAWLTCIFLIPEIVIKSAAKTFQVRSALGGFIFRELSAISGAVTITCLMVANLAGYVIGPNGINWLISRLLQKDGLHVLCGIFTSFYIGTKLMFHIRDAKQKCW >DRNTG_30557.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:794177:806030:1 gene:DRNTG_30557 transcript:DRNTG_30557.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIFNIAILLLNRVYEGYSFTLFGANLAFLDSYRGTFRWHICFNLVILRMISFGLDYHWFSDQDSRFDQKERSVPIDKYSFNIYLSYLIYAPLYIAGPITSFNAFAMQLDIPQKNHSVGQIAWYGVRWAISLFLMEILTHFFYYNSYASSDIWKNLSPLEIFIIGYGVINFMWLKFSLIWRFFRFWSLIGGVETPENMPRCVNDCYDLESFWKSWHASFNKWLVRYMYIPLGGSQRKLLNVWVIFTFVALWHDLEWKLICWAWLTCIFLIPEIVIKSAAKTFQVRSALGGFIFRELSAISGAVTITCLMVANLAGYVIGPNGINWLISRLLQKDGLHVLCGIFTSFYIGTKLMFHIRDAKQKCW >DRNTG_30557.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:794177:806030:1 gene:DRNTG_30557 transcript:DRNTG_30557.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPCSREKQAMALQEFQNAAHDDFFDQMLSSLPPSWPDHENPKSPWDLAGKPQDDPAGEYPPYDESSLISGDGGSGGSPEEKSMGLHLAQHQQMMLSAGMGRSSPVGAGDGGFIPMPLSLGNGGFSDSRLLPDRSRDEVGAAFKPPNSTGSEGIYNGFSSGSAQRMAQAANQQHFQGSPVPSQSFGAAPPSSGNATTQAAAAPPRQRVRARRGQATDPHSIAERNTGRILALIRNPNSSESKKIQEVDKKRRITMMAMGFSWKLWELAFIVLYALAFYALVIYRSLQLSHDNSHKLFGLRPGWILGRLNDLSDPQWRNFRGNLPILMLVFGIFTLVANTIRRFYHLRARGMSLIWSFLSLCYLSYLHGACIVFILLISSMNFFLVKIFARTKYFVYMLWIFNIAILLLNRVYEGYSFTLFGANLAFLDSYRGTFRWHICFNLVILRMISFGLDYHWFSDQDSRFDQKERSVPIDKYSFNIYLSYLIYAPLYIAGPITSFNAFAMQLDIPQKNHSVGQIAWYGVRWAISLFLMEILTHFFYYNSYASSDIWKNLSPLEIFIIGYGVINFMWLKFSLIWRFFRFWSLIGGVETPENMPRCVNDCYDLESFWKSWHASFNKWLVRYMYIPLGGSQRKLLNVWVIFTFVALWHDLEWKLICWAWLTCIFLIPEIVIKSAAKTFQVRSALGGFIFRELSAISGAVTITCLMVANLAGYVIGPNGINWLISRLLQKDGLHVLCGIFTSFYIGTKLMFHIRDAKQKCW >DRNTG_30557.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:794177:806030:1 gene:DRNTG_30557 transcript:DRNTG_30557.12 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIFNIAILLLNRVYEGYSFTLFGANLAFLDSYRGTFRWHICFNLVILRMISFGLDYHWFSDQDSRFDQKERSVPIDKYSFNIYLSYLIYAPLYIAGPITSFNAFAMQLDIPQKNHSVGQIAWYGVRWAISLFLMEILTHFFYYNSYASSDIWKNLSPLEIFIIGYGVINFMWLKFSLIWRFFRFWSLIGGVETPENMPRCVNDCYDLESFWKSWHASFNKWLVRYMYIPLGGSQRKLLNVWVIFTFVALWHDLEWKLICWAWLTCIFLIPEIVIKSAAKTFQVRSALGGFIFRELSAISGAVTITCLMVANLAGYVIGPNGINWLISRLLQKDGLHVLCGIFTSFYIGTKLMFHIRDAKQKCW >DRNTG_30557.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:794177:806030:1 gene:DRNTG_30557 transcript:DRNTG_30557.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFGIFTLVANTIRRFYHLRARGMSLIWSFLSLCYLSYLHGACIVFILLISSMNFFLVKIFARTKYFVYMLWIFNIAILLLNRVYEGYSFTLFGANLAFLDSYRGTFRWHICFNLVILRMISFGLDYHWFSDQDSRFDQKERSVPIDKYSFNIYLSYLIYAPLYIAGPITSFNAFAMQLDIPQKNHSVGQIAWYGVRWAISLFLMEILTHFFYYNSYASSDIWKNLSPLEIFIIGYGVINFMWLKFSLIWRFFRFWSLIGGVETPENMPRCVNDCYDLESFWKSWHASFNKWLVRYMYIPLGGSQRKLLNVWVIFTFVALWHDLEWKLICWAWLTCIFLIPEIVIKSAAKTFQVRSALGGFIFRELSAISGAVTITCLMVANLAGYVIGPNGINWLISRLLQKDGLHVLCGIFTSFYIGTKLMFHIRDAKQKCW >DRNTG_30557.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:794177:806030:1 gene:DRNTG_30557 transcript:DRNTG_30557.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIFNIAILLLNRVYEGYSFTLFGANLAFLDSYRGTFRWHICFNLVILRMISFGLDYHWFSDQDSRFDQKERSVPIDKYSFNIYLSYLIYAPLYIAGPITSFNAFAMQLDIPQKNHSVGQIAWYGVRWAISLFLMEILTHFFYYNSYASSDIWKNLSPLEIFIIGYGVINFMWLKFSLIWRFFRFWSLIGGVETPENMPRCVNDCYDLESFWKSWHASFNKWLVRYMYIPLGGSQRKLLNVWVIFTFVALWHDLEWKLICWAWLTCIFLIPEIVIKSAAKTFQVRSALGGFIFRELSAISGAVTITCLMVANLAGYVIGPNGINWLISRLLQKDGLHVLCGIFTSFYIGTKLMFHIRDAKQKCW >DRNTG_30557.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:794177:806030:1 gene:DRNTG_30557 transcript:DRNTG_30557.9 gene_biotype:protein_coding transcript_biotype:protein_coding MISFGLDYHWFSDQDSRFDQKERSVPIDKYSFNIYLSYLIYAPLYIAGPITSFNAFAMQLDIPQKNHSVGQIAWYGVRWAISLFLMEILTHFFYYNSYASSDIWKNLSPLEIFIIGYGVINFMWLKFSLIWRFFRFWSLIGGVETPENMPRCVNDCYDLESFWKSWHASFNKWLVRYMYIPLGGSQRKLLNVWVIFTFVALWHDLEWKLICWAWLTCIFLIPEIVIKSAAKTFQVRSALGGFIFRELSAISGAVTITCLMVANLAGYVIGPNGINWLISRLLQKDGLHVLCGIFTSFYIGTKLMFHIRDAKQKCW >DRNTG_30557.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:794177:806030:1 gene:DRNTG_30557 transcript:DRNTG_30557.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIFNIAILLLNRVYEGYSFTLFGANLAFLDSYRGTFRWHICFNLVILRMISFGLDYHWFSDQDSRFDQKERSVPIDKYSFNIYLSYLIYAPLYIAGPITSFNAFAMQLDIPQKNHSVGQIAWYGVRWAISLFLMEILTHFFYYNSYASSDIWKNLSPLEIFIIGYGVINFMWLKFSLIWRFFRFWSLIGGVETPENMPRCVNDCYDLESFWKSWHASFNKWLVRYMYIPLGGSQRKLLNVWVIFTFVALWHDLEWKLICWAWLTCIFLIPEIVIKSAAKTFQVRSALGGFIFRELSAISGAVTITCLMVANLAGYVIGPNGINWLISRLLQKDGLHVLCGIFTSFYIGTKLMFHIRDAKQKCW >DRNTG_30557.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:794177:806030:1 gene:DRNTG_30557 transcript:DRNTG_30557.17 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFLVKIFARTKYFVYMLWIFNIAILLLNRVYEGYSFTLFGANLAFLDSYRGTFRWHICFNLVILRMISFGLDYHWFSDQDSRFDQKERSVPIDKYSFNIYLSYLIYAPLYIAGPITSFNAFAMQLDIPQKNHSVGQIAWYGVRWAISLFLMEILTHFFYYNSYASSDIWKNLSPLEIFIIGYGVINFMWLKFSLIWRFFRFWSLIGGVETPENMPRCVNDCYDLESFWKSWHASFNKWLVRYMYIPLGGSQRKLLNVWVIFTFVALWHDLEWKLICWAWLTCIFLIPEIVIKSAAKTFQVRSALGGFIFRELSAISGAVTITCLMVANLAGYVIGPNGINWLISRLLQKDGLHVLCGIFTSFYIGTKLMFHIRDAKQKCW >DRNTG_30557.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:794177:806030:1 gene:DRNTG_30557 transcript:DRNTG_30557.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFGIFTLVANTIRRFYHLRARGMSLIWSFLSLCYLSYLHGACIVFILLISSMNFFLVKIFARTKYFVYMLWIFNIAILLLNRVYEGYSFTLFGANLAFLDSYRGTFRWHICFNLVILRMISFGLDYHWFSDQDSRFDQKERSVPIDKYSFNIYLSYLIYAPLYIAGPITSFNAFAMQLDIPQKNHSVGQIAWYGVRWAISLFLMEILTHFFYYNSYASSDIWKNLSPLEIFIIGYGVINFMWLKFSLIWRFFRFWSLIGGVETPENMPRCVNDCYDLESFWKSWHASFNKWLVRYMYIPLGGSQRKLLNVWVIFTFVALWHDLEWKLICWAWLTCIFLIPEIVIKSAAKTFQVRSALGGFIFRELSAISGAVTITCLMVANLAGYVIGPNGINWLISRLLQKDGLHVLCGIFTSFYIGTKLMFHIRDAKQKCW >DRNTG_30557.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:794177:806030:1 gene:DRNTG_30557 transcript:DRNTG_30557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAANQQHFQGSPVPSQSFGAAPPSSGNATTQAAAAPPRQRVRARRGQATDPHSIAERNTGRILALIRNPNSSESKKIQEVDKKRRITMMAMGFSWKLWELAFIVLYALAFYALVIYRSLQLSHDNSHKLFGLRPGWILGRLNDLSDPQWRNFRGNLPILMLVFGIFTLVANTIRRFYHLRARGMSLIWSFLSLCYLSYLHGACIVFILLISSMNFFLVKIFARTKYFVYMLWIFNIAILLLNRVYEGYSFTLFGANLAFLDSYRGTFRWHICFNLVILRMISFGLDYHWFSDQDSRFDQKERSVPIDKYSFNIYLSYLIYAPLYIAGPITSFNAFAMQLDIPQKNHSVGQIAWYGVRWAISLFLMEILTHFFYYNSYASSDIWKNLSPLEIFIIGYGVINFMWLKFSLIWRFFRFWSLIGGVETPENMPRCVNDCYDLESFWKSWHASFNKWLVRYMYIPLGGSQRKLLNVWVIFTFVALWHDLEWKLICWAWLTCIFLIPEIVIKSAAKTFQVRSALGGFIFRELSAISGAVTITCLMVANLAGYVIGPNGINWLISRLLQKDGLHVLCGIFTSFYIGTKLMFHIRDAKQKCW >DRNTG_30557.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:794177:806030:1 gene:DRNTG_30557 transcript:DRNTG_30557.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIFNIAILLLNRVYEGYSFTLFGANLAFLDSYRGTFRWHICFNLVILRMISFGLDYHWFSDQDSRFDQKERSVPIDKYSFNIYLSYLIYAPLYIAGPITSFNAFAMQLDIPQKNHSVGQIAWYGVRWAISLFLMEILTHFFYYNSYASSDIWKNLSPLEIFIIGYGVINFMWLKFSLIWRFFRFWSLIGGVETPENMPRCVNDCYDLESFWKSWHASFNKWLVRYMYIPLGGSQRKLLNVWVIFTFVALWHDLEWKLICWAWLTCIFLIPEIVIKSAAKTFQVRSALGGFIFRELSAISGAVTITCLMVANLAGYVIGPNGINWLISRLLQKDGLHVLCGIFTSFYIGTKLMFHIRDAKQKCW >DRNTG_30067.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22697688:22701931:-1 gene:DRNTG_30067 transcript:DRNTG_30067.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRLSPSMRSITILAGSGGGGGGGGYGLLDLMKVKVAARHVSYRTMLQTVLILAFLLPFVFILTAVVTLEGVNSCSSFDCLGRRFGPSFLVRSDDQSRRLVRNLYKTLDQVNAEGIPDGMKALSSFEEFLSEIKKGQFDARTFAISLKATMENMDKEIKKAKLLEQLHKHFAATSIPKGIHCLSLRLTDEYSSNAHARKQLPAPELLPFLSDNSLHHFVVASDNILAASVVVTSAVRSSLHPEKVVFHVITDKKTYPGMHSWFALNPLSPAIVEVKGVHQFDWLTRENVPVLEAIENNLGVRNHYHGNHLMGTNLSDPPRVVASKLQARSPKYISLLNHLRIYLPELFPNLSKVIFLDDDVVIQRDLSPLWDIDLSGKVNGAVETCKGEDKWVMSKRLKTYLNFSHPRIASKFDPDECAWAYGMNIFDLSVWRKTDIRDTYHHWIKENLKSNLTLWKLGTLPPALIAFRGHIHPIDPSWHMLGLGYQEKSDIDSVRKAAVIHYNGQCKPWLEIGYKHLQPFWTKFVNYSNEFIRNCHILEP >DRNTG_31798.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:542999:545310:-1 gene:DRNTG_31798 transcript:DRNTG_31798.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNCTQRLLLSPLNCSSTSPCHAFINSNAEAASCRRSSICCTFRAGGSSTSYSVRTSGTGCSAYRSFVNLDTGSTPVANWEKSAGVELQWASPREPVCKSQSDCEAGVNATCKVDPATNGGATRRCFCVAGLHWDPFSGTCATDPQPDSDNSTNHAALIAGLVSGLVVAILIIISGILLYRRQQRIREAKERLQKEREDILNANNTSGRSAKNFTGKEIKKATSNFSRDNLLGIGGFGEVFKGVLEDSTPVAVKCAKLGNTKSTDQVLNEVRILSQVNHKGLVRLLGCCVELEQPLMVYEFIPNGTLYEHLHGLRGGAGKLSWRHRLVIARQTAEGLAYLHSSAVPPIYHRDVKSSNILLDEKMNAKVSDFGLSRLAETDVSHVSTCAQGTLGYLDPEYYRNYQLTDKSDVYSYGVVLLELLTSQKAIDFCRGAEDVNLAVYVGRMVEEERLMEVVEEGLKNGASQVELDTMKALGFLAMGCLEEKRQNRPSMKEVAEEIEYIMNIEAGAGAGAGV >DRNTG_31798.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:542944:545513:-1 gene:DRNTG_31798 transcript:DRNTG_31798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELHHLHLLLLLLLSLFSPIPSSAQPCGNITVPYPLSTETNCGDPSYKVRCDNSSNTLLFDSRNGSSYTITSINAESQRLIIRPAAFQSPTTCATTDLADQGIQLDPSLPFNVTSSNTIMLLNCTQRLLLSPLNCSSTSPCHAFINSNAEAASCRRSSICCTFRAGGSSTSYSVRTSGTGCSAYRSFVNLDTGSTPVANWEKSAGVELQWASPREPVCKSQSDCEAGVNATCKVDPATNGGATRRCFCVAGLHWDPFSGTCATDPQPDSDNSTNHAALIAGLVSGLVVAILIIISGILLYRRQQRIREAKERLQKEREDILNANNTSGRSAKNFTGKEIKKATSNFSRDNLLGIGGFGEVFKGVLEDSTPVAVKCAKLGNTKSTDQVLNEVRILSQVNHKGLVRLLGCCVELEQPLMVYEFIPNGTLYEHLHGLRGGAGKLSWRHRLVIARQTAEGLAYLHSSAVPPIYHRDVKSSNILLDEKMNAKVSDFGLSRLAETDVSHVSTCAQGTLGYLDPEYYRNYQLTDKSDVYSYGVVLLELLTSQKAIDFCRGAEDVNLAVYVGRMVEEERLMEVVEEGLKNGASQVELDTMKALGFLAMGCLEEKRQNRPSMKEVAEEIEYIMNIEAGAGAGAGV >DRNTG_31798.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:542944:545310:-1 gene:DRNTG_31798 transcript:DRNTG_31798.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNCTQRLLLSPLNCSSTSPCHAFINSNAEAASCRRSSICCTFRAGGSSTSYSVRTSGTGCSAYRSFVNLDTGSTPVANWEKSAGVELQWASPREPVCKSQSDCEAGVNATCKVDPATNGGATRRCFCVAGLHWDPFSGTCATDPQPDSDNSTNHAALIAGLVSGLVVAILIIISGILLYRRQQRIREAKERLQKEREDILNANNTSGRSAKNFTGKEIKKATSNFSRDNLLGIGGFGEVFKGVLEDSTPVAVKCAKLGNTKSTDQVLNEVRILSQVNHKGLVRLLGCCVELEQPLMVYEFIPNGTLYEHLHGLRGGAGKLSWRHRLVIARQTAEGLAYLHSSAVPPIYHRDVKSSNILLDEKMNAKVSDFGLSRLAETDVSHVSTCAQGTLGYLDPEYYRNYQLTDKSDVYSYGVVLLELLTSQKAIDFCRGAEDVNLAVYVGRMVEEERLMEVVEEGLKNGASQVELDTMKALGFLAMGCLEEKRQNRPSMKEVAEEIEYIMNIEAGAGAGAGV >DRNTG_31798.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:542999:545513:-1 gene:DRNTG_31798 transcript:DRNTG_31798.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELHHLHLLLLLLLSLFSPIPSSAQPCGNITVPYPLSTETNCGDPSYKVRCDNSSNTLLFDSRNGSSYTITSINAESQRLIIRPAAFQSPTTCATTDLADQGIQLDPSLPFNVTSSNTIMLLNCTQRLLLSPLNCSSTSPCHAFINSNAEAASCRRSSICCTFRAGGSSTSYSVRTSGTGCSAYRSFVNLDTGSTPVANWEKSAGVELQWASPREPVCKSQSDCEAGVNATCKVDPATNGGATRRCFCVAGLHWDPFSGTCATDPQPDSDNSTNHAALIAGLVSGLVVAILIIISGILLYRRQQRIREAKERLQKEREDILNANNTSGRSAKNFTGKEIKKATSNFSRDNLLGIGGFGEVFKGVLEDSTPVAVKCAKLGNTKSTDQVLNEVRILSQVNHKGLVRLLGCCVELEQPLMVYEFIPNGTLYEHLHGLRGGAGKLSWRHRLVIARQTAEGLAYLHSSAVPPIYHRDVKSSNILLDEKMNAKVSDFGLSRLAETDVSHVSTCAQGTLGYLDPEYYRNYQLTDKSDVYSYGVVLLELLTSQKAIDFCRGAEDVNLAVYVGRMVEEERLMEVVEEGLKNGASQVELDTMKALGFLAMGCLEEKRQNRPSMKEVAEEIEYIMNIEAGAGAGAGV >DRNTG_31798.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:542836:545310:-1 gene:DRNTG_31798 transcript:DRNTG_31798.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNCTQRLLLSPLNCSSTSPCHAFINSNAEAASCRRSSICCTFRAGGSSTSYSVRTSGTGCSAYRSFVNLDTGSTPVANWEKSAGVELQWASPREPVCKSQSDCEAGVNATCKVDPATNGGATRRCFCVAGLHWDPFSGTCATDPQPDSDNSTNHAALIAGLVSGLVVAILIIISGILLYRRQQRIREAKERLQKEREDILNANNTSGRSAKNFTGKEIKKATSNFSRDNLLGIGGFGEVFKGVLEDSTPVAVKCAKLGNTKSTDQVLNEVRILSQVNHKGLVRLLGCCVELEQPLMVYEFIPNGTLYEHLHGLRGGAGKLSWRHRLVIARQTAEGLAYLHSSAVPPIYHRDVKSSNILLDEKMNAKVSDFGLSRLAETDVSHVSTCAQGTLGYLDPEYYRNYQLTDKSDVYSYGVVLLELLTSQKAIDFCRGAEDVNLAVYVGRMVEEERLMEVVEEGLKNGASQVELDTMKALGFLAMGCLEEKRQNRPSMKEVAEEIEYIMNIEAGAGAGAGV >DRNTG_31798.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:542999:545391:-1 gene:DRNTG_31798 transcript:DRNTG_31798.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAELHHLHLLLLLLLSLFSPIPSSAQPCGNITVPYPLSTETNCGDPSYKVRCDNSSNTLLFDSRNGSSYTITSINAESQRLIIRPAAFQSPTTCATTDLADQGIQLDPSLPFNVTSSNTIMLLNCTQRLLLSPLNCSSTSPCHAFINSNAEAASCRRSSICCTFRAGGSSTSYSVRTSGTGCSAYRSFVNLDTGSTPVANWEKSAGVELQWASPREPVCKSQSDCEAGVNATCKVDPATNGGATRRCFCVAGLHWDPFSGTCATDPQPDSDNSTNHAALIAGLVSGLVVAILIIISGILLYRRQQRIREAKERLQKEREDILNANNTSGRSAKNFTGKEIKKATSNFSRDNLLGIGGFGEVFKGVLEDSTPVAVKCAKLGNTKSTDQVLNEVRILSQVNHKGLVRLLGCCVELEQPLMVYEFIPNGTLYEHLHGLRGGAGKLSWRHRLVIARQTAEGLAYLHSSAVPPIYHRDVKSSNILLDEKMNAKVSDFGLSRLAETDVSHVSTCAQGTLGYLDPEYYRNYQLTDKSDVYSYGVVLLELLTSQKAIDFCRGAEDVNLAVYVGRMVEEERLMEVVEEGLKNGASQVELDTMKALGFLAMGCLEEKRQNRPSMKEVAEEIEYIMNIEAGAGAGAGV >DRNTG_31798.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:542944:545391:-1 gene:DRNTG_31798 transcript:DRNTG_31798.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAELHHLHLLLLLLLSLFSPIPSSAQPCGNITVPYPLSTETNCGDPSYKVRCDNSSNTLLFDSRNGSSYTITSINAESQRLIIRPAAFQSPTTCATTDLADQGIQLDPSLPFNVTSSNTIMLLNCTQRLLLSPLNCSSTSPCHAFINSNAEAASCRRSSICCTFRAGGSSTSYSVRTSGTGCSAYRSFVNLDTGSTPVANWEKSAGVELQWASPREPVCKSQSDCEAGVNATCKVDPATNGGATRRCFCVAGLHWDPFSGTCATDPQPDSDNSTNHAALIAGLVSGLVVAILIIISGILLYRRQQRIREAKERLQKEREDILNANNTSGRSAKNFTGKEIKKATSNFSRDNLLGIGGFGEVFKGVLEDSTPVAVKCAKLGNTKSTDQVLNEVRILSQVNHKGLVRLLGCCVELEQPLMVYEFIPNGTLYEHLHGLRGGAGKLSWRHRLVIARQTAEGLAYLHSSAVPPIYHRDVKSSNILLDEKMNAKVSDFGLSRLAETDVSHVSTCAQGTLGYLDPEYYRNYQLTDKSDVYSYGVVLLELLTSQKAIDFCRGAEDVNLAVYVGRMVEEERLMEVVEEGLKNGASQVELDTMKALGFLAMGCLEEKRQNRPSMKEVAEEIEYIMNIEAGAGAGAGV >DRNTG_25174.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21175340:21182099:-1 gene:DRNTG_25174 transcript:DRNTG_25174.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin assembly factor 1 subunit FAS1 [Source:Projected from Arabidopsis thaliana (AT1G65470) UniProtKB/Swiss-Prot;Acc:Q9SXY0] MAMADAAATLVENLEGTDVEVVKVRNPKNSKSMVKDQENVAKKALICRPDVKADVVMLDSSSGETCGASRSDINVENMVVDGSSAQSLKQTPESAKMVKGLLKRKRVSIEGNLAAEDMESLGRQCRCELDDLFEYYKEFSAIQMNLEAEVSSNNHMIACLLEENNLSFSKLVEEIYVKLKGREGITLASVKTSILYVGQRMIYGISKNEADVLEDTSDSCLWCWETRDMKLLPMNMRKIINIRRTARKKISERITALSGTLSLLSAPKNCDDYRIHVLKSSEKLGRTLNEAAIRSLVERLKQKNDANIAAKEGKLREKDIIKQVERNKQTAEKERKKLDRELQKEKIRSEKELKRLKEESEKVERRREKEEADLKRQLKRQQEEAERDQKRRQKEETESKKQLSIQKQATIMERFLKSKKNDSKGDNTEKVTSTTNMMAGSPVKSEIFVNATTSMMDATLSQQDCLSLEEIFRAHVAGWHKLSQHNRTCRWGVRHNPKMELVKELKIGPLEKPTTPNKLDCSIELGIVNLVDGCDESVSNDISCFTGRNFTRTTSKLSNKKLLQFDKSHRPAYYGTWSQKSTVVGPRHPLQKEPNLDYDVDSDEEWEEEDPGESLSDIDKDDEEETLDEGNMMNDDDEAEDGFFVPDGYLSENEGIQSEEDKSKCSPCCEPEAETEEFRILLRHQKYLCSWTDQALKKCQPLIISNLMHEKTELLMTEDLAGTAKLEQICLQAICMRACPGSSAVEIPANHDLLNSDHEISHSQKESFSSPMTSMAAIQDADLPKFVESIRSCSEGMNKVLESLQRKFPTTPKSQLRNKVREIASFVDNRWQVKQEILDKLGLSSSPAKPTRPRGISAFFSKRCLPPSGEPARVSVSPLKPCGKPEPMPEGNQCHTGTE >DRNTG_25174.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21175340:21182099:-1 gene:DRNTG_25174 transcript:DRNTG_25174.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin assembly factor 1 subunit FAS1 [Source:Projected from Arabidopsis thaliana (AT1G65470) UniProtKB/Swiss-Prot;Acc:Q9SXY0] MAMADAAATLVENLEGTDVEVVKVRNPKNSKSMVKDQENVAKKALICRPDVKADVVMLDSSSGETCGASRSDINVENMVVDGSSAQSLKQTPESAKMVKGLLKRKRVSIEGNLAAEDMESLGRQCRCELDDLFEYYKEFSAIQMNLEAEVSSNNHMIACLLEENNLSFSKLVEEIYVKLKGREGITLASVKTSILYVGQRMIYGISKNEADVLEDTSDSCLWCWETRDMKLLPMNMRKIINIRRTARKKISERITALSGTLSLLSAPKNCDDYRIHVLKSSEKLGRTLNEAAIRSLVERLKQKNDANIAAKEGKLREKDIIKQVERNKQTAEKERKKLDRELQKEKIRSEKELKRLKEESEKVERRREKEEADLKRQLKRQQEEAERDQKRRQKEETESKKQLSIQKQATIMERFLKSKKNDSKGDNTEKVTSTTNMMAGSPVKSEIFVNATTSMMDATLSQQDCLSLEEIFRAHVAGWHKLSQHNRTCRWGVRHNPKMELVKELKIGPLEKPTTPNKLDCSIELGIVNLVDGCDESVSNDISCFTGRNFTRTTSKLSNKKLLQFDKSHRPAYYGTWSQKSTVVGPRHPLQKEPNLDYDVDSDEEWEEEDPGESLSDIDKDDEEETLDEGNMMNDDDEAEDGFFVPDGYLSENEGIQSEEDKSKCSPCCEPEAETEEFRILLRHQKYLCSWTDQALKKCQPLIISNLMHEKTELLMTEDLAGTAKLEQICLQAICMRACPGSSAVEIPANHDLLNSDHEISHSQKESFSSPMTSMAAIQDADLPKFVESIRSCSEGMNKVLESLQRKFPTTPKSQLRNKVREIASFVDNRWQVKQEILDKLGLSSSPAKPTRPRGISAFFSKRCLPPSGEPARVSVSPLKPCGKPEPMPEGNQCHTGTE >DRNTG_02804.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1000963:1006541:1 gene:DRNTG_02804 transcript:DRNTG_02804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKIFIYTLLFKLASLTAGDFTYNGFKGANLSLDGLAALTPNGLLRLTNISKHDQGHAFSSVPLQFKRSSMDTVISFSTVFVFSVVPEYPMYGGHGFAFVLSPSMRLLQTLPSYYLGLFNATNNRDPSNYIFAVEFDTLNNAEVADIDDNHVGIDINSLISNKSASAGFTSDDDGQFKNLRLWSGEPMQVWIEYDGLNMQLNVTLSSLGKPKPKIPLLSSTINLSSIIMDHMYVGFSASTGIAYSYHYILGWSFMMNGKVPELNISSLPQLPQTMTSSKDKTKAISIWLPVSLSVLVLMTVAAARMIMVRKKRFSELLEDWELDFELHRFSYKQLYKATRGFKDEYLLGVGGFGRVYKGVLKDTKVEVAIKRVCHESRQGVREFVAEIVSLGQLQHRNLVPLLGYCRREGELILVYEYMPNRSLDKFLFCEGKSTLSWSRRFWIIKGVASGLLYLHEDWDRVVIHRDVKASNVLLDGDMNGRLGDFGLARLYDHGGVPQTTHLAGTVGYLAPELFRTCRVTTSSDVFAFGVFLLEVACGRRPIDREKADNQEVLIDWVLANWRKGTIMETRDERLGEEYVAEELELVLKLGLLCSHPLPTARPRMRRVTHLLHGDIPLADPLLNQLITKDSTFVKYEGSNAYAMSFTSMSSESLLFSGR >DRNTG_28831.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001448.1:60933:62321:-1 gene:DRNTG_28831 transcript:DRNTG_28831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIPTKTKMTNIRCTQQAGSNRITTLTDWQSSCAILTGKVNHSISSLPFPPALTPMDLVPFPKHGCKLRVAYRGVPGAYTEAAAGKAYPDCEPIPCDQFDVVFQAVEQWVADRAILPVENSSGGTVHRNYDLLLRHRLHIVGEIQMSVHHCLLALPGVRKENLTRVISHPQALSQCEHTLTSLGLDVSREAFDDTAGAAEHVAAEMLRDTGVIASVRAAELYGMEILAEGIEDDKSGNVTRFVMLAREPVIPRRDGDFKTSIVFAGEEGPSFLFKVLSALAFRDIRVTKLESRPHKGCPLRLVNDGLRFDYMYYVDFEASMAETRVQNALSEIHEYTSFLRLLGSYPMVLQTDPSSF >DRNTG_04491.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9082276:9088601:1 gene:DRNTG_04491 transcript:DRNTG_04491.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVSNGVVGSPAFAAFDQGYDVFLGNLRGLVSREHVDKNISSRKYWKYSINEHGTQDIPAMIEKIHQIKTAELNNSSEAESGEMQEQPYKLCVVCHSLGGAVMLMYVITRRLENKPHRLSRLILLSPAGFHEDSTFVFTLVEKVFLLVGPVLAPIVPGLYIPTRFFRMLLNKLARDFHNYPALGGLVQTLMSYIVGGDSSNWVGVLGLPHYNVYDMPGVSLYVALHLAQMKRARKFIMYDFGSAAANMEAYGSAEPLDLGQHYNLIDIPVDLVAGRKDKVIRPSMVRKHYRLMRRAGVEVSYNEFQYAHLDFTFSHREELLSYVMSCLLLVAYPQHNSVGQNCTVRLRKVNKVRINEEEISTEDYTGVSEGKDVDS >DRNTG_04491.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9075345:9088601:1 gene:DRNTG_04491 transcript:DRNTG_04491.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRFVDHLLAVTKESVKTFTNESLNNIVRFINGVSALVLAVLPGKATILEGIHGWELRPTLRGPRLPRWMENGVSSFNKLIHELSVESDSSAIDSPSGDEDDADMFPASPSSQVSHLSRASSFARYDRRLIHLIWYMLSWILWPVIIIMRIPFIIRGRSVPDRGGAITTDGTRHPTRRTVSLKDHVVHRTTDRRRGVIELAIEIFIEAVFDIFHKATSLLLSPSEIWRVLFGWFSSHGSDGGDDHTYDLDVPVQTATLGDIDPATSTRQATFHQSMNTDARTCQDVITELGYPYEAIRVVTSDGYVLLLERIPRRDSQKVVYLQHGILDSSMGWVSNGVVGSPAFAAFDQGYDVFLGNLRGLVSREHVDKNISSRKYWKYSINEHGTQDIPAMIEKIHQIKTAELNNSSEAESGEMQEQPYKLCVVCHSLGGAVMLMYVITRRLENKPHRLSRLILLSPAGFHEDSTFVFTLVEKVFLLVGPVLAPIVPGLYIPTRFFRMLLNKLARDFHNYPALGGLVQTLMSYIVGGDSSNWVGVLGLPHYNVYDMPGVSLYVALHLAQMKRARKFIMYDFGSAAANMEAYGSAEPLDLGQHYNLIDIPVDLVAGRKDKVIRPSMVRKHYRLMRRAGVEVSYNEFQYAHLDFTFSHREELLSYVMSCLLLVAYPQHNSVGQNCTVRLRKVNKVRINEEEISTEDYTGVSEGKDVDS >DRNTG_14612.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15972082:15972837:-1 gene:DRNTG_14612 transcript:DRNTG_14612.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRGLEHVATNHGVGGSNPSSPTTGQKGKDLSFTSGGRKIMIGIADAKLLNYGSFVGPYFFFLFIVNASIITYSNRVGISIFVFYSP >DRNTG_18151.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:647826:654418:1 gene:DRNTG_18151 transcript:DRNTG_18151.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:associated molecule with the SH3 domain of STAM 3 [Source:Projected from Arabidopsis thaliana (AT4G16144) TAIR;Acc:AT4G16144] MISTMARDGAMRPGVRAIDLNACAPPVEVDNRIPLHHYYRIATNLLKQANIYREERNLIDLYIILLRFSSLMCETIPSHRDYHVLLPKERATFRKKLLDVIGELETLKPDVQRQVDEVNQGHMYQLDDQDNSYGFNSTRKQVSPSTYIEQPASRSTSQSVRKFNNERNHILPSDNLQSRQTQKLSVIAHPKEETLSRHSLLGPNGLRSNWTGPTSGIRVQYPNDIDLSQIEISGLNLNEQHGPPAVKESKQVMSDLDSVLSLDDGRWSASVDEPCTTDTRQQDFLQLSIRQPSPPPVLAQIQSEVHSIPPSRVADPRPGPPKASQEGLSTNKTYQNLHIPVNMMECFLRLAEMNTAKNLETCGVLAGSLKNRTFYVTTLIVPKQESTSDSCQTTDEEEIFNVQDKRSLFPLGWIHTHPTQTCFMSSIDLHTHYSYQVMLPEAIAIVMAPTDTSRTHGIFHLSDPSGVSVIRNCQERGFHPHEEPLDGNPIYEHCSHVFLNPSLKFDTVDLRNS >DRNTG_08346.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3981968:3984227:1 gene:DRNTG_08346 transcript:DRNTG_08346.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQAEVENLEKPHQNEKARLEYQRKTQIQKEREVARITLEKMEQTVKLNQSLEKEVEDMMKIMSYGSFLRRGYLR >DRNTG_08346.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3982736:3984844:1 gene:DRNTG_08346 transcript:DRNTG_08346.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPFALVHADLWGPCNVTNVKGYKYFLVLVDDCTRVTWVYMLRQ >DRNTG_08346.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3981968:3984227:1 gene:DRNTG_08346 transcript:DRNTG_08346.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQAEVENLEKPHQNEKARLEYQRKTQIQKEREVARITLEKMEQTVKLNQSLEKEVEDMMKIMSYGSFLRRGYLR >DRNTG_05904.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18592331:18592766:1 gene:DRNTG_05904 transcript:DRNTG_05904.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIEKYPQAKEWWTKPIPNYDKLVILYGNDRATGDQSETASEMKRKQQSFEITNDDLRENIEEIDH >DRNTG_05172.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:15426599:15435427:-1 gene:DRNTG_05172 transcript:DRNTG_05172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSQFPRRQDHVLGVAPLPLEVPQSPSLLAEARGLAEMLRLHHPHPRLSLELVVLKQKPLSEPCGPCPSTTSSLDSGEQVHSSSRSRESNPRDPCPALIS >DRNTG_21424.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3446824:3451948:1 gene:DRNTG_21424 transcript:DRNTG_21424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKLPGSKFSVPTRTVAKLRHANGGVGKRVSLLDTLQIFNSENFDSEAYVRSKCGRMSEEEIGRFRSYLLDLKEGSVEEQRRSFYTNYDAYLRTSKELSNIEGDLLSMRNLLSTQVALICELTEGNPVESLCADSGGFVEDDLSNFEYREPSYVDKLCTELADKLEILVAERRVDEALDALDEAELVAAEAKANQTPRPAELLSLQITITENRRKLADQLAEVACKSSARGIKFHGALLALRRLGDGPRAHKLLLNGHHERLQLNMKSIQPTSTSYEAYTNALSQLVFSAIAQAMHDSQAFFADESAYASELVQWSTKRAEAFAELVKNHVLDSCADDGGLRTATECIQTALGHCSLLEAQGFSLSWLLLQHFGPIVEEALDANLKIIEGHTAELAAADDWVLIHPPSLLSSYKTSSTAAGSQPKLSKSAHCFHSMVQDLLEDARPLLSTQFAGSTLDGFFRVFDSYINLLINALPCSVEDEANIEVSGNKMVSVAETEEQQLALLVNASVLAEGLLPQAATKLAPIFQDSNSRQTTSDRSNFLSEIRKWKRKLQCSVDRLRVSFCNQQMFALILTECGDCLFNAEMYLNIDGRIDDPEWSPSPVFQDLFRKLRRMASIAADMFVGRERFASLLMMKVLQTFISLISDDHEFWAVIEKGPRPLGPLGLQQFYLDMQFVLAFGQSRFLSRHACQLIKDIIERAMVSFSTTGMDPDSVLPDDDWFVAIVRETVNLITGRSRTGTSDRDVSSPTASLSALSLSSFRSLGSSNF >DRNTG_17959.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20422494:20424804:-1 gene:DRNTG_17959 transcript:DRNTG_17959.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDIQDLSMKSTLDSIFKVGFGVELGTLSGSNKEGRNFAKAFDDVNRTILKRFLDVSWKIKRFLSIGEEADMKKNIRYIDDFVHMLMKEKMEQSANHKDDVMIKEDILSRFLMERKKDPSTMSDQYLRDIILNFVIAGRDTTAGTLSWFFYMLCKHPDVQEKVAQEIRETTNTKDKIPFSEFSLALTEEALNNMQYLHAALSETLRLYPAVPLDAKECSSDDTLPDGFAVKKGDMVNYQPYAMGRMKFLWGDDADNFRPERWFNDEGVFQPENPFKFTAFQAGPRICLGKDFAYRQMKILAATLLQFFRFKLWDENKLVNYRTMLTLQIDGGLQLCAMQR >DRNTG_17959.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20422494:20424804:-1 gene:DRNTG_17959 transcript:DRNTG_17959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLTKLQPICVAISIALLLPIILVLKLILRPLFAKKWRRRNYPPVAGTVFQQLKNFHRLQDFQTDISHKYKTFRMLTPSCNYVYTIDPVNVEYILKTNFANYGKGKAFHDVTKDLLGDGIFAVDGEKWRQQRKIASYEFSARVLRDYSSAVFRNTAAKLVEIISVAVNSEQMIDIQDLSMKSTLDSIFKVGFGVELGTLSGSNKEGRNFAKAFDDVNRTILKRFLDVSWKIKRFLSIGEEADMKKNIRYIDDFVHMLMKEKMEQSANHKDDVMIKEDILSRFLMERKKDPSTMSDQYLRDIILNFVIAGRDTTAGTLSWFFYMLCKHPDVQEKVAQEIRETTNTKDKIPFSEFSLALTEEALNNMQYLHAALSETLRLYPAVPLDAKECSSDDTLPDGFAVKKGDMVNYQPYAMGRMKFLWGDDADNFRPERWFNDEGVFQPENPFKFTAFQAGPRICLGKDFAYRQMKILAATLLQFFRFKLWDENKLVNYRTMLTLQIDGGLQLCAMQR >DRNTG_34569.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1457715:1460906:1 gene:DRNTG_34569 transcript:DRNTG_34569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRDSGLHDGADDGVDLTGGYYDAGDNVKFGFPMAFTATLLSWSIIDFGRSMGPHLNDAIAAVRWATDYLLKATAVPSVVYVQVGDAFRDHSCWERPEDMDTPRTVYKVDRDHPGSDVAGESAAALAAASIVFRSRDPDYSRRLLDRAITVFEYADKYRGAYSTSLRPAVCPFYCDFDGYQDELLWGAAWLHKASRRREYREYIMRNQDVLGAGDSVNEFGWDNKHAGINVLISKEVLMGRDDYLQSFRLRADNFICSVFPGGGPSHPPQIQYSPGGLLFKQGGSNMQHVTALSFLVLSYANYLSHANHHVTCQGYTATSSQLKMLAKRQVDYILGTNPLGMSYMVGYGNRYPKRIHHRASSLPSVRAHPGRIRCKEGTRYYLNPGPNPNVLVGAVVGGPANLSDAFSDTRQQFQVSEPTTYINAPLLGLLAYFYSHPNLNN >DRNTG_33138.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19062187:19063843:-1 gene:DRNTG_33138 transcript:DRNTG_33138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRNPSILIEGDPELERTLRKKRKEPVEEPSNLVDLEVEGSDNMVSEWSRQQHESSQLGVIFSCSYVMGMGLQDIIGWAKKVTVPAPLGLEIMRSMGMIRKYLDGVYVLNMLPPEPFVSEKASVEGSQPTLEPRLERHQTEAPAQDLPQCACFHHLEPMIISRGLRELWGNMGRDCRGTGRDCRDTGRDSRERDVRSSLVLRPCISLTPSVPSSLIHVSTDPHIPPSSAAAIAGSEHYTDA >DRNTG_27939.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6483691:6503618:-1 gene:DRNTG_27939 transcript:DRNTG_27939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTPRESMATRPKKEVAKRPRGPAPEPEVMEFTLPEHRARFERLAKLKFGQTRIPDVGSLRKVQLADDMADEVEKLLSVDSWHKISNIHDHAICSLTLEVLASF >DRNTG_18613.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22290097:22294388:1 gene:DRNTG_18613 transcript:DRNTG_18613.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNGVQSQRSLSSISASTQTMDLGSGSSHNPPEFVNHGLLLWNQIRQQWIGSKKPENQPQVKESGLGWNATYDSMLSTNKPFPQHIPLPEMVDFLVDVWEQEGMYD >DRNTG_18613.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22290097:22291765:1 gene:DRNTG_18613 transcript:DRNTG_18613.11 gene_biotype:protein_coding transcript_biotype:protein_coding MECSHKGASLQSVHQHKLWILAQEAPTTLQNL >DRNTG_18613.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22290097:22294302:1 gene:DRNTG_18613 transcript:DRNTG_18613.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPPFLCTWITQLLACMGGCLGCSTKRANNNVSDEPSKGLHIQGPTVKKHSISEDFWSTSTFEMENNGVQSQRSLSSISASTQTMDLGSGSSHNPPEFVNHGLLLWNQIRQQWIGSKKPENQPQVKESGLGWNATYDSMLSTNKPFPQHIPLPEMVDFLVDVWEQEGMYD >DRNTG_18613.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22290097:22292015:1 gene:DRNTG_18613 transcript:DRNTG_18613.8 gene_biotype:protein_coding transcript_biotype:protein_coding MENNGVQSQRSLSSISASTQTMDLGSGSSHNPPEFVNHGLSDFGIILIASESALLMSTLLGGTHRSQFAGILMFQAHEIMSLGYTWAF >DRNTG_18613.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22290097:22294302:1 gene:DRNTG_18613 transcript:DRNTG_18613.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENNGVQSQRSLSSISASTQTMDLGSGSSHNPPEFVNHGLLLWNQIRQQWIGSKKPENQPQVKESGLGWNATYDSMLSTNKPFPQHIPLPEMVDFLVDVWEQEGMYD >DRNTG_18613.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22290097:22291879:1 gene:DRNTG_18613 transcript:DRNTG_18613.9 gene_biotype:protein_coding transcript_biotype:protein_coding MENNGVQSQRSLSSISASTQTMDLGSGSSHNPPEFVNHGLSDFGIILIASESALLMSTLLGGTHRSQFAGILMFQAHEIMSLGYTWAF >DRNTG_18613.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22290033:22294302:1 gene:DRNTG_18613 transcript:DRNTG_18613.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENNGVQSQRSLSSISASTQTMDLGSGSSHNPPEFVNHGLLLWNQIRQQWIGSKKPENQPQVKESGLGWNATYDSMLSTNKPFPQHIPLPEMVDFLVDVWEQEGMYD >DRNTG_18613.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22290097:22294775:1 gene:DRNTG_18613 transcript:DRNTG_18613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPPFLCTWITQLLACMGGCLGCSTKRANNNVSDEPSKGLHIQGPTVKKHSISEDFWSTSTFEMENNGVQSQRSLSSISASTQTMDLGSGSSHNPPEFVNHGLLLWNQIRQQWIGSKKPENQPQVKESGLGWNATYDSMLSTNKPFPQHIPLPEMVDFLVDVWEQEGMYD >DRNTG_18613.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22290097:22294302:1 gene:DRNTG_18613 transcript:DRNTG_18613.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTNKPFPQHIPLPEMVDFLVDVWEQEGMYD >DRNTG_13964.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000651.1:134469:167716:1 gene:DRNTG_13964 transcript:DRNTG_13964.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPLAAGLLPSNREKPQGPVVNPVGRVYGGGYFLHTRVVAFRSTFNSGFELYFGELLWNRVVLTPRRSTRALIISTGPCGILHEVFLSLVDCFLVQFCRYGISISSI >DRNTG_29536.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001483.1:13047:17173:1 gene:DRNTG_29536 transcript:DRNTG_29536.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPAGKAMLGRVVDALGVPIDGRGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDTILNQKQMNSRGTSQSETLYCVYVAIGQKRSTVAQLVQILPEANALEYSILVAATASDPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQTGAGSSTALPVIETQAGDVSAYIPTNVIPITDGQICSETELFYRGIRPAINVGLSVSRVGSAAQLRAMKQVRGSSKLELAQYREVAAFAQFGSDLDAATQALLNRAVKGFCDRMPLDRISQYERAIPSSIDPELLKSFLEKEKRERSLVLEKLSFRLRKTGHRATFEVHDSP >DRNTG_04302.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14453075:14453424:1 gene:DRNTG_04302 transcript:DRNTG_04302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMGVMKKHFQLALLLFCLLCLELAAFCNCGGGGSICREIERKALADFKNGLEDPNGCLSSWIGFDCCSWTGVQCHNYTGHVIRLDVHNLGGEIRPSLLVL >DRNTG_33016.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4815968:4817968:1 gene:DRNTG_33016 transcript:DRNTG_33016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPETTSTSSSSSSDDTGADGSPNSSSNAPNPRSGSASTIVGAGPDNLISFSKLFITEAKYFPFGVNGMHAESTTVFFGYIGFDSAASTAEKESSVRFTTGYWNCTDHLLHTVYDGVYCHCWPGAYFTMDPHTPISSAFARNGMDWAVYVVTSGGVLTL >DRNTG_06777.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17557956:17558442:-1 gene:DRNTG_06777 transcript:DRNTG_06777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHPSLTSPFARAFPSGESRDPGK >DRNTG_31001.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30382883:30383685:-1 gene:DRNTG_31001 transcript:DRNTG_31001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFNVPSPAVIPSSLLFTIFFMFFFFFHSSTTFVFAVGDHCGPSSCGNLTNITYPFRLKDDTPNCGDPNYELTCDHLNHTVLTLFSHSYYVTNITYQDYYPYLKIQLKYVGMEKYNNIDNGSCSHLPLPASLHTFSDLIHTSYHWSHTMDGYWWCSKK >DRNTG_13228.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2789633:2792477:1 gene:DRNTG_13228 transcript:DRNTG_13228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSPLGIPAPPPSSALSPAINASTNPNFLWTSGASSNSRGRVGSLRIPFSQPKWHKEIWRNGVGDGAFVFGSDEEVKVPTQSQSLVEGSDTVFVSEYKPSPDLDYLQELLAIQQQGPRAIGFFGTRNMGFMHQQLIEVLSYAMVITKNHIYTSGASGTNAAVIRGALRAEQPELLTVILPQSLKMQPPESQELLSKVKNVIEKPQNDHLSLSEASRLCNMDILSHVQQVICFAFHDSRLLMETCQEARNLSKIVTLFYLD >DRNTG_13228.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2790403:2792477:1 gene:DRNTG_13228 transcript:DRNTG_13228.2 gene_biotype:protein_coding transcript_biotype:protein_coding SHCLQELLAIQQQGPRAIGFFGTRNMGFMHQQLIEVLSYAMVITKNHIYTSGASGTNAAVIRGALRAEQPELLTVILPQSLKMQPPESQELLSKVKNVIEKPQNDHLSLSEASRLCNMDILSHVQQVICFAFHDSRLLMETCQEARNLSKIVTLFYLD >DRNTG_29639.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2967313:2972414:-1 gene:DRNTG_29639 transcript:DRNTG_29639.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMVNPEFTLVKKCAEPLTRSLLWLASPHLASTTASLILRSFHPSSWASWFIISFCPVVILVRNLLRGAQGWDRARFCHWGERQGAGGLRRERRWKFRWHPQAPQIREVGFAGSAVLQARNARQSSTARIKLLRNKREVQVRQIRHGIAMFLESRQEDTAIIPPKWVVSRAGPTLPHLLAVPARHDKAMGQCLARHAWQGSPRASSCAGPFDTTTIMSIKSVSPTRFLLSN >DRNTG_02538.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8261054:8263543:-1 gene:DRNTG_02538 transcript:DRNTG_02538.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVHPYSAASILKSFCMTHPIEVSKKSVGEQTSSQADSLATLDMTVNQAREEAKEVRIVKDAVESMKEEGIANCLLILACFQSATRNFLIAKEKPRHRDQPIAFSDLRSTISSNRLFLSLSLSWRFSSLSLNLALVHALFSPSQVLIVDYAFVFRLLRLWPSRYLILRGIIVI >DRNTG_16429.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7581892:7598142:1 gene:DRNTG_16429 transcript:DRNTG_16429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSGEQPRPHIAIIPSAGMGHLTPSCRLATTLAAQGCHVSLIVFHPMVSSAESKSMTNFFSSFPSIHPIHFNVVPLPGSGDPFFLQFEAIRQSPHLLLPLLTSSSSPPITSIIVDISLASTFLPAISPTGISTFIFFTASASMLALCAYFPTHIDIDQNKRSKDHVDVPGVGVIPMASIPPRLHNPSDLFTTQFVANGRALMQANGVLINTFEALEPETLTAFNNGVVLPGFPPVMAVGPVKQLPLMETSAALPWLDAQMERSVVYVSFGSRTGMSVEQIRELGVGLERSGAKFLWVIKTKMVDKEEAQVELKELLGEELVERIKEIGSMVVHGWVEQEEILKHGAVGGFVSHCGWNSVMEAALHGVPVLAWPQIGDQKVNAEVVRRSGLGIWVEEWSWGGHEGKLVKGEEITEKVKELMESPVVRSSAARVAAEAVKAVGEGGSSEKNLAEFIAKLKV >DRNTG_16429.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7581892:7583424:1 gene:DRNTG_16429 transcript:DRNTG_16429.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSGEQPRPHIAIIPSAGMGHLTPSCRLATTLAAQGCHVSLIVFHPMVSSAESKSMTNFFSSFPSIHPIHFNVVPLPGSGDPFFLQFEAIRQSPHLLLPLLTSSSSPPITSIIVDISLASTFLPAISPTGISTFIFFTASASMLALCAYFPTHIDIDQNKRSKDHVDVPGVGVIPMASIPPRLHNPSDLFTTQFVANGRALMQANGVLINTFEALEPETLTAFNNGVVLPGFPPVMAVGPVKQLPLMETSAALPWLDAQMERSVVYVSFGSRTGMSVEQIRELGVGLERSGAKFLWVIKTKMVDKEEAQVELKELLGEELVERIKEIGSMVVHGWVEQEEILKHGAVGGFVSHCGWNSVMEAALHGVPVLAWPQIGDQKVNAEVVRRSGLGIWVEEWSWGGQEGKVVKGEEIAERVKELMDSPVVCSSAARVAAEAVKAVGDGGSSEKSLAEFIAKLEGVKYMGLIN >DRNTG_06214.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8428126:8431913:-1 gene:DRNTG_06214 transcript:DRNTG_06214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSAAAAAAGDEVHGGLTGAGIGDGGTRDTGGVLGHRTNDDSPSRSKICL >DRNTG_33436.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2975223:2976391:-1 gene:DRNTG_33436 transcript:DRNTG_33436.1 gene_biotype:protein_coding transcript_biotype:protein_coding NNCRHNPEEEHSATQPSGCRKAHKLQIKQNLRRRREGSHWNEE >DRNTG_33436.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2975875:2976391:-1 gene:DRNTG_33436 transcript:DRNTG_33436.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISNTSKMISVMLRPAGPRDETIAATIPRRNTPPLNPRGAVRQLRRV >DRNTG_27665.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001403.1:61:4675:1 gene:DRNTG_27665 transcript:DRNTG_27665.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLWIARLAAAKRNLALQHQHQQLQQHSSQADRLSIDDFEVEEEFRPDFPCPYCYEEHDISSLCSHLEDEHPFESKAAVCPICSAKVTRDMLNHITVQHGHLFRLQRRRKLQRVAIPSSQALSLLGRDLREAHIQVLLGSGGYRSRNNNASSGAADSFLSSLVLNFPTSEAEESLKSLTSKDSSIKRVTPSQTWKSSSDSFMSYEEREKQRKQAIVRANFIQDLLLSTLFGD >DRNTG_29378.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:451599:452248:-1 gene:DRNTG_29378 transcript:DRNTG_29378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTKEMEEGAKKRGCMVEWVPQEEVLAHRAVGCFLTHCGWNSTLEGMVAGVPMICWPYFSDQMINSRFVSDVWRIGLDMKDTCDRNTVERMVREVMEGENALELRSSAARMADFGEKEHRGKMGLLVLILRAWFLTSSLQSCRAVHEIFNDIGCCVSLIICKHFNNQFQTCKTICRSLLFEFYLTQSEGSHCDLCKIKFFFFCTCCFSNF >DRNTG_17966.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20367423:20368754:-1 gene:DRNTG_17966 transcript:DRNTG_17966.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSFTVLEQSAVSPPTGADIGDPLPLTFFDIMWMTSGAVRRLFFYRFPHPTVYFIDSVLPALKSSLSTTLHRFYPLAGKFRCSDEDEGKLELRYKEGDSVPFIVVEYDGDFDDVSGDHGRAVSKIRPLVSELRTDFYEDMPLLAVQVTVFPNKGFVIGLKISHIACDGRSFIHFVRSWAAVCRAESSAESLPSFDRTMFEFPEKLGTATPGDVKDFFESNKQSPKITTSNDDASLFVLKSFKLGKEDIEKLKRRVLQLSAETVRCSSFMVTCAWAWVSLVKTRAYNAERKVQLIFQADARRRLNPPVSADYFGNCIRPCFAEAVVSDLIGENGLVFACEAIRNGIKELEVGVFNGCDEWGNRFLKAEQPMAVTSSPLFRVYDADFGWGRPVKVDVASLGGSPGLVSLAESRDGEGGIEFAISLEEKEMAAFHSFLLLALDG >DRNTG_29577.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18208908:18210046:-1 gene:DRNTG_29577 transcript:DRNTG_29577.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin 60 subunit alpha 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G18820) UniProtKB/Swiss-Prot;Acc:Q56XV8] MKIDKGYMSPHFVTNQDKSIVEFQNAKVLVTDQKVTSVNYIIPLLEKTIQLSVPLLIIAEDISREVLATLVMNKLNGVINVAAIKCPGFGEGKKALLQDIALMTGADFLASDLGLTLEDVTSDQLGIAEKITITSDSTTIVADPSTRAEIQARISQIKKDLAEADGAYLTRKLSERIAKLSSGVAVIKVGAHTEAELEDRKLRIEDAKHATYAAIEEGIAPGGGATYVQLSKNIPAIMDQIEDPDEKIGADIVRK >DRNTG_29577.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18205699:18210046:-1 gene:DRNTG_29577 transcript:DRNTG_29577.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin 60 subunit alpha 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G18820) UniProtKB/Swiss-Prot;Acc:Q56XV8] MKIDKGYMSPHFVTNQDKSIVEFQNAKVLVTDQKVTSVNYIIPLLEKTIQLSVPLLIIAEDISREVLATLVMNKLNGVINVAAIKCPGFGEGKKALLQDIALMTGADFLASDLGLTLEDVTSDQLGIAEKITITSDSTTIVADPSTRAEIQARISQIKKDLAEADGAYLTRKLSERIAKLSSGVAVIKVG >DRNTG_29577.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18205699:18211562:-1 gene:DRNTG_29577 transcript:DRNTG_29577.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin 60 subunit alpha 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G18820) UniProtKB/Swiss-Prot;Acc:Q56XV8] MLLQEVASKTNDSAGDGTTTAIILAREMINSGMLAVASGANPVSLKKGIERAVSEVVLILKSKCCPVSGKCDIQAVASISSGNDEFVGNLIAEAIDRIGSDGVISVESSSSFDTTIEVQEGMKIDKGYMSPHFVTNQDKSIVEFQNAKVLVTDQKVTSVNYIIPLLEKTIQLSVPLLIIAEDISREVLATLVMNKLNGVINVAAIKCPGFGEGKKALLQDIALMTGADFLASDLGLTLEDVTSDQLGIAEKITITSDSTTIVADPSTRAEIQARISQIKKDLAEADGAYLTRKLSERIAKLSSGVAVIKVGAHTEAELEDRKLRIEDAKHATYAAIEEGIAPGGGATYVQLSKNIPAIMDQIEDPDEKIGADIVRKALLVPASSIAANAGVDGSVVVEKLLANEWKFGYNAMSGKYEDLLTSGVIDPCKVTRCALQNAASIAGAVLMTQAVLVDKIKKPKPAVPLVPGINP >DRNTG_29577.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18205699:18211562:-1 gene:DRNTG_29577 transcript:DRNTG_29577.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin 60 subunit alpha 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G18820) UniProtKB/Swiss-Prot;Acc:Q56XV8] MLLQEVASKTNDSAGDGTTTAIILAREMINSGMLAVASGANPVSLKKGIERAVSEVVLILKSKCCPVSGKCDIQAVASISSGNDEFVGNLIAEAIDRIGSDGVISVESSSSFDTTIEVQEGMKIDKGYMSPHFVTNQDKSIVEFQNAKVLVTDQKVTSVNYIIPLLEKTIQLSVPLLIIAEDISREVLATLVMNKLNGVINVAAIKCPGFGEGKKALLQDIALMTGADFLASDLGLTLEDVTSDQLGIAEKITITSDSTTIVADPSTRAEIQARISQIKKDLAEADGAYLTRKLSERIAKLSSGVAVIKVGAHTEAELEDRKLRIEDAKHATYAAIEEGIAPGGGATYVQLSKNIPAIMDQIEDPDEKIGADIVRKALLVPASSIAANAGVDGSVVVEKLLANEWKFGYNAMSGKYEDLLTSGVIDPCKVTRCALQNAASIAGAVLMTQAVLVDKIKKPKPAVPLVPGINP >DRNTG_03187.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21904878:21919796:1 gene:DRNTG_03187 transcript:DRNTG_03187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVIELKRAADPSIVLNNLFRLTALQSSFSCNMVGILNGQPKLMGLKELLQAFLDFRCSVIERRARFKLSQAQERKHIVEGIIVGLDNLDAIINLIKKTSSNASAVASLVNEYNLSEKQAEALLDITLRKLTSFERKRFVDEHNSLMEQISKLNELLSSKKLIFELIEQEAIELKNKFGTPRRSVLEDSNEGHLDDIDVIPNEEMLLAFSEKGYVKRMKPNTFNLQHRGTIGKSVGKMRVNDLMTDFLVCHAHDHILYFSDRGIVYSARAYRIPECTRTAAGTPLVQFLSLSDGERITSVIPVSEFAGDQYLLMLTVNGFIKKVPLNVFSAIRTTGIIAIQLVPGDELRWVRRCADDDLVAIASQNGMVIVNSCNM >DRNTG_03187.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21905102:21919796:1 gene:DRNTG_03187 transcript:DRNTG_03187.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVIELKRAADPSIVLNNLFRLTALQSSFSCNMVGILNGQPKLMGLKELLQAFLDFRCSVIERRARFKLSQAQERKHIVEGIIVGLDNLDAIINLIKKTSSNASAVASLVNEYNLSEKQAEALLDITLRKLTSFERKRFVDEHNSLMEQISKLNELLSSKKLIFELIEQEAIELKNKFGTPRRSVLEDSNEGHLDDIDVIPNEEMLLAFSEKGYVKRMKPNTFNLQHRGTIGKSVGKMRVNDLMTDFLVCHAHDHILYFSDRGIVYSARAYRIPECTRTAAGTPLVQFLSLSDGERITSVIPVSEFAGDQYLLMLTVNGFIKKVPLNVFSAIRTTGIIAIQLVPGDELRWVRRCADDDLVAIASQNGMVIVNSCNM >DRNTG_16480.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28502998:28505711:-1 gene:DRNTG_16480 transcript:DRNTG_16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNW/SKI-interacting protein [Source:Projected from Arabidopsis thaliana (AT1G77180) UniProtKB/Swiss-Prot;Acc:O80653] MAALKDLLPSVKSSTSSFYDHSKDPWFKERFSSSESDQSTVIKPNLVPPYGKRSGFVPRKPEDFGDGGAFPEIHVAQYPLGMGRKDEKPGSKILPLTVDSHGKVAFDAIVKQNENASKIVYSQHKDLVPKIVSEEEQEAEEMDKEIEETTARTKAALEKIVNVRLSAAQPKNVPTQSSDSKFIKYKPSQQSAAFNSGAKERIIRMVEMPVDPLEPPKFKHKRVPKASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQDVQINDNFAKLSEALYVAEQKAREAVAMRSKVHKELQLKDKDRKEQGLRALAQKARLERTGIAPASGPAPTPSEKSVVDDGEMRIEQHEQRKETREEREERLQRDKIREERRRERERERRLEAKDAAMGKKSKLTRDRDRDVSEKVALGMANTGAGRAGEVMYDQRLFNQEKGMESGFAADDQYNIYDKGLFTTQPTLSTLYHPKKDVDSDMYGGADEQLEKVLKTDRFKPDKAFTGASERPSKRDRPVEFDKEEKESDPFGLDQFFTEVKRGKKALDKIGGGGTMKASAGSSMRDDYEGGGSGRSRIAFERGGR >DRNTG_09058.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31430159:31433049:1 gene:DRNTG_09058 transcript:DRNTG_09058.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein A1 [Source:Projected from Arabidopsis thaliana (AT5G02040) UniProtKB/Swiss-Prot;Acc:Q9LZM7] MDWGNVTTEDLIDALREVDWSSPPRPVSEFFSRFTFPRSYSKWNSRLKCNLYYYRTNYFIMIIFVLGIAFVTKPLAIVAALLTGLSIAFLNDSFAVTFNEKVTRTVRQFSPHLAAKLRPPITPVLRGRPSTKRAIHICGTASMGVCIGFFSS >DRNTG_09058.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31430159:31433049:1 gene:DRNTG_09058 transcript:DRNTG_09058.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein A1 [Source:Projected from Arabidopsis thaliana (AT5G02040) UniProtKB/Swiss-Prot;Acc:Q9LZM7] MDWGNVTTEDLIDALREVDWSSPPRPVSEFFSRFTFPRSYSKWNSRLKCNLY >DRNTG_09058.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31430159:31433049:1 gene:DRNTG_09058 transcript:DRNTG_09058.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein A1 [Source:Projected from Arabidopsis thaliana (AT5G02040) UniProtKB/Swiss-Prot;Acc:Q9LZM7] MDWGNVTTEDLIDALREVDWSSPPRPVSEFFSRFTFPRSYSKWNSRLKCNLY >DRNTG_27554.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3003997:3005933:1 gene:DRNTG_27554 transcript:DRNTG_27554.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALKPHAVCMPCPAQGHINSMLKLAQLLHFNGFHITFVHSEFNYNRIIKANGTSSLKGLDDFRFETIPDGLPPSDESVNQDVESLANSVQTTCVIPFRNLLMRLYDHPLSGVPPVSCIISDSFTSYTLEVAKELNIPDFFFCSISACSYMGCIYYKELIDRGITPFKSESDLSNGYLDTPVEWIPGLKNIRLRDLPSFIRTTDPADKLLNFVNKEAQKAFEATAIILNTFDELEDEVLIAMASILPPLYTVGPLTLLNSQFPVTNATSIGSSFLKEDENCLEWLDKRETGSVLYVNFGSLAVVSHEQMIELAWGLANSKHHFLWIIRPDLLKGEAAVLPEEWLDEIKERGLLAIWCPQERVLSHPSVGGFFTHSGWNSTMESVSVGKPMICWPYFGDQQTNCKYVCNEWGMGMEIDSEVKREQVEELIVELMDGEKGKEMKKKVVEWKEKAMRATEEGGSSFMNFKRVIDLLLLPGKKSIL >DRNTG_27554.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3003997:3039252:1 gene:DRNTG_27554 transcript:DRNTG_27554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKPHAVCMPCPAQGHINSMLKLAQLLHFNGFHITFVHSEFNYNRIIKANGTSSLKGLDDFRFETIPDGLPPSDESVNQDVESLANSVQTTCVIPFRNLLMRLYDHPLSGVPPVSCIISDSFTSYTLEVAKELNIPDFFFCSISACSYMGCIYYKELIDRGITPFKSESDLSNGYLDTPVEWIPGLKNIRLRDLPSFIRTTDPADKLLNFVNKEAQKAFEATAIILNTFDELEDEVLIAMASILPPLYTVGPLTLLNSQFPVTNATSIGSSFLKEDENCLEWLDKRETGSVLYVNFGSLAVVSHEQMIELAWGLANSKHHFLWIIRPDLLKGEAAVLPEEWLDEIKERGLLAIWCPQERVLSHPSVGGFFTHSGWNSTMESVSVGKPMICWPYFGDQQTNCKYVCNEWGMGMEIDSEVKREQVEELIVELMDGEKGKEMKKKVVEWKQKAMRATKEGGSSFMNYKRVVDELLLFGRKSI >DRNTG_27554.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3035504:3039252:1 gene:DRNTG_27554 transcript:DRNTG_27554.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIFSRDERLPTKKKTLRGDTCMALKPHAVMMPCPAQGHINSMLKLAKLLHFNGFHITFVHSEFNYNRIIKANGASSLKGLDDFRFEAIPDGLPPSDESVNQDLESLANSVQTTCVIPFRNLLMRLNDHPLSGVPPVSCIISDSFTSYTLEVAKELNIPDFFFCSISACSYMGFIHYKELIARGITPLKSESDLSNGYLDTPVEWIPGLKNIRLRDLPSFIRTTDPADKLLNFVNIEAQKAFEATAIIINTFDELEDEVLSAMASILPPLYTVGPLSLLYSQFPITNATSIVSNFLKEDENCLEWLNKRETGSVVYVNFGSLAVVSHEQMIEFAWGLANSKHHFLWIIRPDLLKGEAAVLPEEWLDEIKERGLLAIWCPQERVLSHPSVGGFFTHSGWNSTMESVSTGKPMICWPYFGDQQTNCKYVCNEWGMGMEIDSEVKREQVEELIVELMDGEKGKEMKKKVVEWKQKAMRATKEGGSSFMNYKRVVDELLLFGRKSI >DRNTG_32769.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3796363:3798676:-1 gene:DRNTG_32769 transcript:DRNTG_32769.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALNEISGDRSYFYRGYKGFNTVDSLNQHYIVTPKNVKEVYLAYLLSKMKEKSIRSSIIFVSTCRSCHLLNLLLEELEFSVVALHSYKSQSLRLAALSRFKSGQILSCLPPM >DRNTG_32769.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3796258:3798676:-1 gene:DRNTG_32769 transcript:DRNTG_32769.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALNEISGDRSYFYRGYKGFNTVDSLNQHYIVTPKNVKEVYLAYLLSKMKEKSIRSSIIFVSTCRSCHLLNLLLEELEFSVVALHSYKSQSLRLAALSRFKSGQILSCLPPM >DRNTG_32769.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3796986:3797793:-1 gene:DRNTG_32769 transcript:DRNTG_32769.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEALNEISGDRSYFYRGYKGFNTVDSLNQHYIVTPKNVKEVYLAYLLSKMKEKSIRSSIIFVSTCRYADNFYE >DRNTG_12100.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4942913:4945009:1 gene:DRNTG_12100 transcript:DRNTG_12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPEAHDPLRLAGDLMASLAGTIPAVRCLRGRWFAVSATLNRLRAAVSGLSALPTDSLSHPVFSDLLETLPETLALTLTLSLHCASPEPPSGHLQTLSDLSSAAAELSRLASDADLLLRSNPVLDSLSDTAGVSRRDAVRALVTRVQIGGVASRAASLEELVGVMEKGDKEVVIAATEGAVPAVVRLLDSPCRATRDRAVEAIARFSAVESCRAVLAGEGVLLLNHLARALESDASGPARESACVGLQALTLTRDAAMAVGSRGGIGSLLTICNSGTPSSQAAAVGVLRNLAGVMELREIFLEDNAVPILIRLFSSGTVLAKENAAACLCNLTTGEDAHGLKLSILKEDGLGYLKDYLESAADGGKDRSINPALGLLRNLVSFRYIGEIIATMPEFVPLVLGALDSRTSNIRTEAAKVVFELAYWVKVRKEMGHLGCISRLVSMLEAKGHEEKEVAVMALAVLMECSANRRLFRKEDKGIVNVVLLLDPLLKNVNKKYAISVLISVSQSRKCRKQMVVAGACGYLQRLVDAEVEGAKALLDSLEKGKLWGVFTK >DRNTG_12100.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4943032:4945115:1 gene:DRNTG_12100 transcript:DRNTG_12100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPEAHDPLRLAGDLMASLAGTIPAVRCLRGRWFAVSATLNRLRAAVSGLSALPTDSLSHPVFSDLLETLPETLALTLTLSLHCASPEPPSGHLQTLSDLSSAAAELSRLASDADLLLRSNPVLDSLSDTAGVSRRDAVRALVTRVQIGGVASRAASLEELVGVMEKGDKEVVIAATEGAVPAVVRLLDSPCRATRDRAVEAIARFSAVESCRAVLAGEGVLLLNHLARALESDASGPARESACVGLQALTLTRDAAMAVGSRGGIGSLLTICNSGTPSSQAAAVGVLRNLAGVMELREIFLEDNAVPILIRLFSSGTVLAKENAAACLCNLTTGEDAHGLKLSILKEDGLGYLKDYLESAADGGKDRSINPALGLLRNLVSFRYIGEIIATMPEFVPLVLGALDSRTSNIRTEAAKVVFELAYWVKVRKEMGHLGCISRLVSMLEAKGHEEKEVAVMALAVLMECSANRRLFRKEDKGIVNVVLLLDPLLKNVNKKYAISVLISVSQSRKCRKQMVVAGACGYLQRLVDAEVEGAKALLDSLEKGKLWGVFTK >DRNTG_12100.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4943032:4945009:1 gene:DRNTG_12100 transcript:DRNTG_12100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPEAHDPLRLAGDLMASLAGTIPAVRCLRGRWFAVSATLNRLRAAVSGLSALPTDSLSHPVFSDLLETLPETLALTLTLSLHCASPEPPSGHLQTLSDLSSAAAELSRLASDADLLLRSNPVLDSLSDTAGVSRRDAVRALVTRVQIGGVASRAASLEELVGVMEKGDKEVVIAATEGAVPAVVRLLDSPCRATRDRAVEAIARFSAVESCRAVLAGEGVLLLNHLARALESDASGPARESACVGLQALTLTRDAAMAVGSRGGIGSLLTICNSGTPSSQAAAVGVLRNLAGVMELREIFLEDNAVPILIRLFSSGTVLAKENAAACLCNLTTGEDAHGLKLSILKEDGLGYLKDYLESAADGGKDRSINPALGLLRNLVSFRYIGEIIATMPEFVPLVLGALDSRTSNIRTEAAKVVFELAYWVKVRKEMGHLGCISRLVSMLEAKGHEEKEVAVMALAVLMECSANRRLFRKEDKGIVNVVLLLDPLLKNVNKKYAISVLISVSQSRKCRKQMVVAGACGYLQRLVDAEVEGAKALLDSLEKGKLWGVFTK >DRNTG_12100.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4943032:4944966:1 gene:DRNTG_12100 transcript:DRNTG_12100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPEAHDPLRLAGDLMASLAGTIPAVRCLRGRWFAVSATLNRLRAAVSGLSALPTDSLSHPVFSDLLETLPETLALTLTLSLHCASPEPPSGHLQTLSDLSSAAAELSRLASDADLLLRSNPVLDSLSDTAGVSRRDAVRALVTRVQIGGVASRAASLEELVGVMEKGDKEVVIAATEGAVPAVVRLLDSPCRATRDRAVEAIARFSAVESCRAVLAGEGVLLLNHLARALESDASGPARESACVGLQALTLTRDAAMAVGSRGGIGSLLTICNSGTPSSQAAAVGVLRNLAGVMELREIFLEDNAVPILIRLFSSGTVLAKENAAACLCNLTTGEDAHGLKLSILKEDGLGYLKDYLESAADGGKDRSINPALGLLRNLVSFRYIGEIIATMPEFVPLVLGALDSRTSNIRTEAAKVVFELAYWVKVRKEMGHLGCISRLVSMLEAKGHEEKEVAVMALAVLMECSANRRLFRKEDKGIVNVVLLLDPLLKNVNKKYAISVLISVSQSRKCRKQMVVAGACGYLQRLVDAEVEGAKALLDSLEKGKLWGVFTK >DRNTG_24311.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1358048:1359366:1 gene:DRNTG_24311 transcript:DRNTG_24311.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNAQPYSSMKNARPLRATNSRPIKLYSPN >DRNTG_33894.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11683943:11684541:1 gene:DRNTG_33894 transcript:DRNTG_33894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADDVMDAFICIIQNSLTIVPYRYKKRASITQPLALFMSMQEDAHDTTMAMIGDAARNLHDVEIVILPIIMNGHFHVVVLDNDKQEYRHYSSCQSKEYDKDELDIRNLFDLCIDMEFGESAIAKYPLVHDTETPRQKQGSVDCAVYVMRFIKQLLADEKLRLPQMGVPYL >DRNTG_32051.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3854879:3862523:-1 gene:DRNTG_32051 transcript:DRNTG_32051.9 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVENSDTIDNVKAKIQDKEGIPLDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAC >DRNTG_32051.17.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3863702:3867084:-1 gene:DRNTG_32051 transcript:DRNTG_32051.17 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLDDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLDDGRTLADYNIQKESTLHLVLRLRGGAN >DRNTG_32051.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3854879:3862523:-1 gene:DRNTG_32051 transcript:DRNTG_32051.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLTRRHADLC >DRNTG_32051.25 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3854879:3857431:-1 gene:DRNTG_32051 transcript:DRNTG_32051.25 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLTRRHADLC >DRNTG_32051.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3854879:3862523:-1 gene:DRNTG_32051 transcript:DRNTG_32051.10 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLTRRHADLC >DRNTG_32051.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3859816:3862523:-1 gene:DRNTG_32051 transcript:DRNTG_32051.19 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAC >DRNTG_32051.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3859816:3867084:-1 gene:DRNTG_32051 transcript:DRNTG_32051.14 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAC >DRNTG_32051.22 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3859816:3862523:-1 gene:DRNTG_32051 transcript:DRNTG_32051.22 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAC >DRNTG_32051.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3854879:3867084:-1 gene:DRNTG_32051 transcript:DRNTG_32051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVENSDTIDNVKAKIQDKEGIPLDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAC >DRNTG_32051.20 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3859816:3862523:-1 gene:DRNTG_32051 transcript:DRNTG_32051.20 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAC >DRNTG_32051.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3854879:3862523:-1 gene:DRNTG_32051 transcript:DRNTG_32051.11 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVENSDTIDNVKAKIQDKEGIPLDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAC >DRNTG_32051.23.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3854879:3857431:-1 gene:DRNTG_32051 transcript:DRNTG_32051.23 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLTRRHADLC >DRNTG_32051.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3854879:3862523:-1 gene:DRNTG_32051 transcript:DRNTG_32051.8 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLKWRARTP >DRNTG_32051.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3854879:3862523:-1 gene:DRNTG_32051 transcript:DRNTG_32051.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVENSDTIDNVKAKIQDKEGIPLDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAC >DRNTG_32051.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3854879:3862523:-1 gene:DRNTG_32051 transcript:DRNTG_32051.12 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLTRRHADLC >DRNTG_32051.21 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3859816:3862523:-1 gene:DRNTG_32051 transcript:DRNTG_32051.21 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAC >DRNTG_32051.16.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3863640:3867084:-1 gene:DRNTG_32051 transcript:DRNTG_32051.16 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLDDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLDDGRTLADYNIQKESTLHLVLRLRGGAN >DRNTG_32051.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3854879:3862523:-1 gene:DRNTG_32051 transcript:DRNTG_32051.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLTRRHADLC >DRNTG_32051.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3859816:3867084:-1 gene:DRNTG_32051 transcript:DRNTG_32051.13 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAC >DRNTG_32051.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3859816:3867084:-1 gene:DRNTG_32051 transcript:DRNTG_32051.15 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAC >DRNTG_32051.26.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3854879:3857431:-1 gene:DRNTG_32051 transcript:DRNTG_32051.26 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLTRRHADLC >DRNTG_32051.18.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3863763:3867084:-1 gene:DRNTG_32051 transcript:DRNTG_32051.18 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLDDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLDDGRTLADYNIQKESTLHLVLRLRGGAN >DRNTG_32051.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3854879:3862523:-1 gene:DRNTG_32051 transcript:DRNTG_32051.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLTRRHADLC >DRNTG_32051.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3854879:3862523:-1 gene:DRNTG_32051 transcript:DRNTG_32051.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLTRRHADLC >DRNTG_32051.24 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3854879:3857431:-1 gene:DRNTG_32051 transcript:DRNTG_32051.24 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLTRRHADLC >DRNTG_32051.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3854879:3862523:-1 gene:DRNTG_32051 transcript:DRNTG_32051.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLTRRHADLC >DRNTG_01849.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21321339:21322019:-1 gene:DRNTG_01849 transcript:DRNTG_01849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSMRIKIIIAYAAWFLWKARHLILSNFVSSDGLFLFIATSWNDQTQAALLAAAAIPLQIRNIFTGNSSVSTILRSSEHVCSWRLTPLIISVTRLILDAGSPRLNVIPRIWLNLALEFAMVDRNLHTLSLFHSGRDLPQWLMRSIVDAGFVFH >DRNTG_24267.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18528308:18529768:-1 gene:DRNTG_24267 transcript:DRNTG_24267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEMRHVYQQMMQDVTFPNIFTYNTMINACCKDGSLLEAELYFAHLSRAGLNPDTFTFNSLISDYYKVKDSHGGSRVLVSMMRIGCSRDEFSYTILIQGLCASCRLDEPFELLGYATKRGLQMRRCCSMSPLGVIKIDIPRIPKKKLPIAAMEAVDVKNKWVRASLNNFDRFKVMLVKIKKGGAMEAKQK >DRNTG_30553.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:716720:717658:-1 gene:DRNTG_30553 transcript:DRNTG_30553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNEVDWEVPGYFRVYKDGHIERLIASDFIQATYDPLNEVSSKHITIDSRTGVSARLYLPKQVENQPEKKFPVLVYYHGGGFCSGSAFSPQYHNYLNSLSSKGSIIIVSVEYRLAPEHPLPAGYEDSWQALQWVVSESNDDAWLQNHADFGRVFVGGDSAGGNIAHNMVMKINGSSEGMKLVKGMVLVHPYFWGVERLECEIIGADIVDKLWPFVCPGTSGNDDVRVNPFVEGSAPSLVGLGCEKVMVCVTGKDILRGRGRLYYEKLKASGWKGKVELLESDGEDHVFHLFNPGCDKALEMMNHLVNFFN >DRNTG_24573.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001310.1:49641:59231:1 gene:DRNTG_24573 transcript:DRNTG_24573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNRSILIEGDPELERTLRRKEKEPVQESSNRANLEIEESENMEEQNEQ >DRNTG_33203.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:358295:360752:1 gene:DRNTG_33203 transcript:DRNTG_33203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDLLALEASVTAKKVQEITTPGSILKQAAVPAAELTAAEVVCLILAKHLPAFDPIKNEVAHGVSFTQTPPPGNLLFQNAVTDETNWTPPSTDYIDKSLNCQICKTTINDVESLLVCDACERGTHMKCLPPSDNAEFSQDEWYCPKCLTMNSGKPFVPKYGRVRRFVGAPKASPPAPGHSRTSSKRRAENPDPA >DRNTG_16810.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1570783:1571079:-1 gene:DRNTG_16810 transcript:DRNTG_16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDHKDDVDRLFACFKCGTSTPESALKEKKSRHASSSEKSSRIDRNPVDSDK >DRNTG_16283.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3482861:3484231:-1 gene:DRNTG_16283 transcript:DRNTG_16283.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVAIVEEKKSDEGPQIPIIDIKGLDSNDEAVRKRCVKQMHDAAVNWGVMHIVGHGIPAKLDKLREVGTQFFDLLVKEKEKYAKDQPSGMIQGCGSKLANSASEMVCHLMFPENQTDLSIWPRKPSNYVDVTKEFGQNLRVMATKIFTLLSLGLGLPAEKLETEAGGMENILFQMKINHYPKCPQPKQALGVEADTDVNYLTFILHNNVPGLQVYYLGKWVTAKNVPDSIIVRIGDSLEILSNGLFKSVLHRGLVNKEKVRISWTISAEPHKDKVLLRPLPKLVTKASPPKFGLCTFAQLVRKKDSKIKDKESPAPAAAAK >DRNTG_29762.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15982703:15988802:-1 gene:DRNTG_29762 transcript:DRNTG_29762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAASTQFVPRAYSAGLVKDSPTFLGFSRSSWNEYGFEASVKFISKKKEDKWGNMRGLKVRCETGVAAVVEKNEKNELKRELDDNAKQFSIVMKFGGSSVASAERMKEVANLILSFPEEMPVIVLSAMGKTTNKLLLAGEKAVCCGVSNVSDLEELSFIKELHLKTIEELGIDKSVLSGLLDQLEQLLKGIAMMKELTLRTRDYLVSFGESMSTRIFTAYLNKIGAKARQYDAFDIGFITTDDFTNADILEATYPAVAKRLYSDWNNDPAIPVVTGFLGKGWKSCAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPKAQPVPHLTFEEAAELAYFGAQVLHPQSMRPARESDIPVRVKNSYNPRAPGTLITKERDMTEAVLTSIVLKSNITMLDIVSTRMLGQYGFLAKVFSTFEDLGISVDCVATSEVSISLTLDPSKLWSRELIQQELDHVVEELEKIAVVRLLQNISIISLIGNVQRSSSILEKAFNVLRKNGVNVQMISQGASKVNISLVVHDREAKQCVRALHSAFFETEVETDPQNGVAAVRPTTVNGCGGEHVL >DRNTG_29762.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15983015:15988802:-1 gene:DRNTG_29762 transcript:DRNTG_29762.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAASTQFVPRAYSAGLVKDSPTFLGFSRSSWNEYGFEASVKFISKKKEDKWGNMRGLKVRCETGVAAVVEKNEKNELKRELDDNAKQFSIVMKFGGSSVASAERMKEVANLILSFPEEMPVIVLSAMGKTTNKLLLAGEKAVCCGVSNVSDLEELSFIKELHLKTIEELGIDKSVLSGLLDQLEQLLKGIAMMKELTLRTRDYLVSFGESMSTRIFTAYLNKIGAKARQYDAFDIGFITTDDFTNADILEATYPAVAKRLYSDWNNDPAIPVVTGFLGKGWKSCAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPKAQPVPHLTFEEAAELAYFGAQVLHPQSMRPARESDIPVRVKNSYNPRAPGTLITKERDMTEAVLTSIVLKSNITMLDIVSTRMLGQYGFLAKVFSTFEDLGISVDCVATSEVSISLTLDPSKLWSRELIQQELDHVVEELEKIAVVRLLQNISIISLIGNVQRSSSILEKAFNVLRKNGVNVQMISQGASKVNISLVVHDREAKQCVRALHSAFFETEVETDPQNGVAAVRPTTVNGCGGEHVL >DRNTG_26323.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21455675:21457097:1 gene:DRNTG_26323 transcript:DRNTG_26323.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein POLLEN DEFECTIVE IN GUIDANCE 1 [Source:Projected from Arabidopsis thaliana (AT1G67960) UniProtKB/Swiss-Prot;Acc:F4HVJ3] MTDIVERFHITAFMLFVLAQNLLEAEGPWFESFLTNALEVFMCEVFIDMIKHSFLTKFNEIKPDTYSEFLEDLSKQTLNENPEDHRKNLTFIPLAPACVVFRVMAPIYATLLPSGPLLWRLFWIISWSGLTYIMLAIWKVLVSLSLRSLATWYLNQRLERKQHKD >DRNTG_26323.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21451191:21457097:1 gene:DRNTG_26323 transcript:DRNTG_26323.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein POLLEN DEFECTIVE IN GUIDANCE 1 [Source:Projected from Arabidopsis thaliana (AT1G67960) UniProtKB/Swiss-Prot;Acc:F4HVJ3] MGKVVPESRLTVETICESTVVEKLEHEISQTSHVSYVELRQRNLANGGPGDEAVKEEPSSSESSTGQWRPEANVRITRLETEGSFDWNKLLAKDPILLGEVSYAERSPLRYFIREIYSGNSLRSTISVGNEKKRQRVYNTMFHVPLRCERLILAGFFVCLDSFLSLLTLMPARIIMTIWSFLKTRQFQRPNAAELSDFGCFIILILGVTTLQLTDISLIYHFIRGQGTIKLYVVYNVLEIFDKLCQSFGEDVLQVLFSSAEGLANSSSDNMTFELMRFILDETIAIIAFIVHSFILLAQAVTLSTCIVAHNNALLALLVSNNFAEIKSNVFKRVSKDNLRSLVYHDIVERFHITAFMLFVLAQNLLEAEGPWFESFLTNALEVFMCEVFIDMIKHSFLTKFNEIKPDTYSEFLEDLSKQTLNENPEDHRKNLTFIPLAPACVVFRVMAPIYATLLPSGPLLWRLFWIISWSGLTYIMLAIWKVLVSLSLRSLATWYLNQRLERKQHKD >DRNTG_14595.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000712.1:2955:6723:-1 gene:DRNTG_14595 transcript:DRNTG_14595.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIERLQARGSTRAHVRPSYLFLLRARVMEEGTEKEVSATTGFYYGTAHDVHIDLLCASASSIGSDFFR >DRNTG_13372.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2343043:2347254:1 gene:DRNTG_13372 transcript:DRNTG_13372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIRIGINGFGRIGRLVARVALQSDDIELVAVNDPFITTDYMTYMFKYDSVHGQWKNHEIKVKDSKTLLFDEKAVTVYGIRNPEEIPWGEAGAEYVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVIGVNEHEYKSDIDIVSNASCTTNCLAPLAKVIHDHFGIVEGLMTTVHSITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTARLEKSATYDEVKAVIKQESEGKLKGILGYTEDDLVSTDFVGDSRSSIFDAKAGIALNENFIKFVSWYDNEWGYSNRVVDLIRHMFKCQ >DRNTG_05137.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30649593:30651860:-1 gene:DRNTG_05137 transcript:DRNTG_05137.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTINFFALLYPYYFYSLQIRSSFNILKVNQNCKAYNIHLCFSLISFLFVTQHKIACHLLVYLMHHIFVAIANRMHRNKGQFTSSKTKHEDAAVSITNMDTPQHWADVEGRPQSAPICHHCGISSKSTPMMRRGPDGPRTLCNACGLIWANKGTMRDLSKNPMTPIHNAPSEQKELNNTTIESGTEHATNGHVSIIS >DRNTG_05137.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30649593:30651860:-1 gene:DRNTG_05137 transcript:DRNTG_05137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQVGSNQLTLSFQGEVYVFDSVSPDKVQAVLLLLGGKEITGGLSPPGSSSHQNNKRSNFPHRVASLMRFREKRKERNFDKKIRYTVRKEVALRMHRNKGQFTSSKTKHEDAAVSITNMDTPQHWADVEGRPQSAPICHHCGISSKSTPMMRRGPDGPRTLCNACGLIWANKGTMRDLSKNPMTPIHNAPSEQKELNNTTIESGTEHATNGHVSIIS >DRNTG_09620.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:22022585:22027421:-1 gene:DRNTG_09620 transcript:DRNTG_09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein-sorting-associated protein 11 homolog [Source:Projected from Arabidopsis thaliana (AT2G05170) UniProtKB/Swiss-Prot;Acc:Q9SJ40] MYQWRKFEFFEEKSGGKSSISAEITGTIQCCSSGRGRIAVGCGDGTVSLIDRGFKLLYGFQAHAASVLFLQQLKQRNYLVTVGEEEQTSPQLSSICLKVFDLDKMEPEGSSMTSPVCLQILRIFTNQFPESKIASFLVLEEAPPILLIAIGLENGSIYCIKGDIARERISRFTLQVEVSSDNSLSSINGLGFRVEGRALQLFAVTPTSVSLFNLHDQPPKRQTLDQIGCDTKAVIMSDRLDLILGRPEAVYFYEVDGRGPCWAFEGEKKFLGWFRGYLLCVIADQRSNKNTFNVYDLKNRLIAHSMVLGEVSHMLCEWGYIIFIMNDKKILCIGEKDMESKLDMLFKKNLYTVAINLVQSQQADAAATAEVLRKYGDHLYGKLDYDEAMSQYIHTIGHLEPSYVIQKFLDAQRIYNLTNYLEKLHERGLASKDHTTLLLNCYTKLKDVEKLNKFIKGDDSVGDHKFDVETAIRVCRTAGYHEHAMYVAKKAERHEWYLKILLEDLGRYQEALEYISSLEPNQAGVTVKEYGKILVEHRPVETINILMRLCTENEESRKRRVSNGVHMSLLPSPVDFINIFVHSPQSLMDFLEKYTAKVKDSPAQAEIHNTLLELYLSSTLTFPSISQENGGDDCDKSVRSTKYLANGSSAESKGKSTVDIKDVKKDKDRLERFKKGLALLKSAWTSEMEYPLYDVDLAVILCEMNAFKDGLLFLYEKMKLYKEVIACYMQVHDHEGLIACCRKLGDSTQGGDPSLWGDLLKYFGELGEDCSKEVREVLTYIERDDILPPIIVLQTLAKNPCLTLSVVKDYIARKLEEESKLIEDDRKAIEKYQDETALMKKEIQDLRTNARIFQLSKCTACTFTLDLPAVHFMCMHSFHLRCLGDNEKECPECAPEIRSVLETKRNLEQNAKDHELFFQQVKNSKDGFSVIAEYFGKGILSKTAIKPPEVLQSGDS >DRNTG_11062.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000483.1:40994:43150:1 gene:DRNTG_11062 transcript:DRNTG_11062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRCGNMCQPPRIRTFSIGDIENFFEVSEMLKINGVTDDAIKLRAFQFFLKGRVISACAIRRQSVLSLS >DRNTG_27632.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18593258:18594867:1 gene:DRNTG_27632 transcript:DRNTG_27632.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPQPRMELSPLGTRQFLKAIGEIARGYNQSSIPPIWCRAEMPIKQQDSNPNNSPIPPPSSSSALMTLQRKFIDIPPHQITKMMNKLINQKCSTFDVLVAKLWRSKLRAIKTNPDVPAQLAFVVNARKYLSLEGCASSSNLFVHEDAEVAEWCSISYKLCQRRIHGGVHQPIEQFR >DRNTG_26811.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20262827:20263585:1 gene:DRNTG_26811 transcript:DRNTG_26811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSHCLLKLTKYIILLAHSSPIFYKYNPVKTAKTNVMGTLNMLGLTKRVGARILLASTSEVYGDPLEHPKLRNIGAM >DRNTG_15781.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17198423:17199766:-1 gene:DRNTG_15781 transcript:DRNTG_15781.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFMDFNVDPSQLPSFPFTSNNISKFISNSLAINKAISPTNFGRHRRLLSSDFPTWLSISDRKLLQSSKVKANLIVAKDGSGNYKTISEAIAASSKLRKDTSSRFIIHVKAGVYKENVEIKTSMKNIMIIGDGIDKTIVTGSKNVQDGSTTFRSATFAVTGDKFIAQDITFENTAGPQKHQSVALRSGADLSVFYRCSFKGYQDTLYVYSQRQFYQNCDIYGTVDFIFGDAAAVLQNCNIYVRKPMSQQKNTVTAQGRTDPNENTGIIVHNSVVSATSELQSVQGSIKTYLGRPWQKYSRTVFMKTSLGGLIDPAGWLAWDGDFALSTLYYGEYMNTGSGASTSGRVKWAGYHVITSASEAGKFTVGNFLAGNSWIPATGVPFTSGL >DRNTG_31856.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001756.1:7545:9432:-1 gene:DRNTG_31856 transcript:DRNTG_31856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVDNMLDMGSWHQLLVIHDPAIRIPTLDFSIRLGLYEEEFTTIEEYEQLPKDYPGSLTPQCAYRVLRGSGQYEPGVSKGQYARIGALFAGPYIMRLIMGMGLMSAIRGAEKAIIPTSLAIEMIRQMGMLRRYGPGVHVLIVPDPERPERVFSC >DRNTG_34476.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24509535:24510443:-1 gene:DRNTG_34476 transcript:DRNTG_34476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMDRMVEGIMLDKRDGEKATGEDRGGRKRWREGHHHRSGWMREMEKRAERIGVDERDGEKV >DRNTG_34938.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002212.1:3837:7175:-1 gene:DRNTG_34938 transcript:DRNTG_34938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNSRLQPFLRHILYKIQLFLFPPVSRRRSAAQVFGISSICNLRLMIIAVNLINGRCYLTPVVETVAELKVHMIPRYWEIIQRTPFAAFTELEAVFQEWTLLDSLLQKYNSRTNKFRIGESMLTFRLEDMTLILGLCCDGDAVVFQKKKTRSAFEERYLSKTYERHRDSIRSTLQQLVGQRGEEENFVKLLMVYLMGTILFPNTSCSVPKWIVDYVDDLHGMGRYTWAQATHNWLVKDIPQAAARVQARCTGKNTNSGYIKGCTVALNIWFYELTETGKKFLKVWFGES >DRNTG_18424.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4214464:4217056:1 gene:DRNTG_18424 transcript:DRNTG_18424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFSNTGILTASTPRRRHRHKLISRRAMASVTEEVEIRVCVNRSCGRQGSREILEAISGLAPHDLAVASCGCLGRCGAGPNLVVLPPGSIVSHCGTATRAAQLLADLLGPKFDAERNLEALAMRKMGEKELEMKNFSEAVVLFSQAIDLEPSGGCHLIYRSRSVARLAMGDNAGALDDAEEAANIAPKYPQVMLTWRWRNGLQRRRHI >DRNTG_00360.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18613706:18616307:1 gene:DRNTG_00360 transcript:DRNTG_00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDDVEIEDMEWSDELQAFTYPCPCGDLFQITKEDLRAGEEIARCPSCSLYITVIYNAEDFSFDDHKNNMPPPQKPITVA >DRNTG_14838.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000744.1:39127:40095:1 gene:DRNTG_14838 transcript:DRNTG_14838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVNNGPPPNVIHTPTMERCFKVAKNEDVVVRPIANFHPSLWGDYFITNVTLSSTHQEEQMKQRMQVLVKDVKILLKDAKGSMREEMQLIDALQRLGVAYHFEQEISEALWFINTSSSSGHHSYSDDDLHFVALRFRLLRERHYYVPPYVFNQFMDGKGKFKEEVSNDLNGFVKACMKQLTLESLERICWMKP >DRNTG_14838.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000744.1:39127:40095:1 gene:DRNTG_14838 transcript:DRNTG_14838.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRMQVLVKDVKILLKDAKGSMREEMQLIDALQRLGVAYHFEQEISEALWFINTSSSSGHHSYSDDDLHFVALRFRLLRERHYYVPPYVFNQFMDGKGKFKEEVSNDLNGFVKACMKQLTLESLERICWMKP >DRNTG_14838.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000744.1:39127:40095:1 gene:DRNTG_14838 transcript:DRNTG_14838.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRMQVLVKDVKILLKDAKGSMREEMQLIDALQRLGVAYHFEQEISEALWFINTSSSSGHHSYSDDDLHFVALRFRLLRERHYYVPPCIYIFMYIILA >DRNTG_13455.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19299928:19300970:1 gene:DRNTG_13455 transcript:DRNTG_13455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSKKIVAKRPRKPAPESEVMEFTLPAH >DRNTG_33727.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1283217:1288147:-1 gene:DRNTG_33727 transcript:DRNTG_33727.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLADSFLADLDELSDNEEPILDNEDNDAENMEEDGDGDMADLEALNYDDLDSVSKLQKTQRYNDIMQKVEDALQKGTDISSQGMVLEDDPEYQLIVDCNALSVDIENEIVIIHNFIRDNYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTSGKPLPEENLKKTIDACDRALALDVAKKKVLDFVESRMGYIAPNLSAIVGSAVAAKLMGTAGGLSALAKMPACNVQLLGAKKKNLAGFSTATSQFRVGYLDQTEIFQSTPPSLRVRACRLLAAKSTLAARVDSTRGDPTGKTGRDLREEIRKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAVTDMRKLANRMQFGVPEESSLGDGLGEGYGMLGQAGSGKLRVSVAQSKLATKAAKRFKDKHYGSSGGATSGLTSSLAFTPVQGIELSNPQAHGNLLGSGTQSTYFSEIGTFSKIKRT >DRNTG_16082.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25590963:25591987:1 gene:DRNTG_16082 transcript:DRNTG_16082.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHRFKLANMMPNAWFYKLKDMSMIKRSSQYKAPKPFPQPTNYSTFQPSNNQSLTPSRASYYFSTKRRDLVKLPSSPIHPKASDTHFPLDPPRKSKRKTKRRTSVQPPTSVLTSSISSTCSCSTNSPTRFQELNLKPCLTKPKLEEKCSKSPRPPSRLKLHVNSPRLRIMNRKNQAVQHQRKSISQSFAVVKASSNPQKDFRDSMVEMIIENNIRASKDLEDLLACYLSLNSDEYHDVIVKVFEQIWFDLTDIGL >DRNTG_16082.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25590774:25592204:1 gene:DRNTG_16082 transcript:DRNTG_16082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHRFKLANMMPNAWFYKLKDMSMIKRSSQYKAPKPFPQPTNYSTFQPSNNQSLTPSRASYYFSTKRRDLVKLPSSPIHPKASDTHFPLDPPRKSKRKTKRRTSVQPPTSVLTSSISSTCSCSTNSPTRFQELNLKPCLTKPKLEEKCSKSPRPPSRLKLHVNSPRLRIMNRKNQAVQHQRKSISQSFAVVKASSNPQKDFRDSMVEMIIENNIRASKDLEDLLACYLSLNSDEYHDVIVKVFEQIWFDLTDIGL >DRNTG_16082.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25590963:25592204:1 gene:DRNTG_16082 transcript:DRNTG_16082.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHRFKLANMMPNAWFYKLKDMSMIKRSSQYKAPKPFPQPTNYSTFQPSNNQSLTPSRASYYFSTKRRDLVKLPSSPIHPKASDTHFPLDPPRKSKRKTKRRTSVQPPTSVLTSSISSTCSCSTNSPTRFQELNLKPCLTKPKLEEKCSKSPRPPSRLKLHVNSPRLRIMNRKNQAVQHQRKSISQSFAVVKASSNPQKDFRDSMVEMIIENNIRASKDLEDLLACYLSLNSDEYHDVIVKVFEQIWFDLTDIGL >DRNTG_16082.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25590774:25591987:1 gene:DRNTG_16082 transcript:DRNTG_16082.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHRFKLANMMPNAWFYKLKDMSMIKRSSQYKAPKPFPQPTNYSTFQPSNNQSLTPSRASYYFSTKRRDLVKLPSSPIHPKASDTHFPLDPPRKSKRKTKRRTSVQPPTSVLTSSISSTCSCSTNSPTRFQELNLKPCLTKPKLEEKCSKSPRPPSRLKLHVNSPRLRIMNRKNQAVQHQRKSISQSFAVVKASSNPQKDFRDSMVEMIIENNIRASKDLEDLLACYLSLNSDEYHDVIVKVFEQIWFDLTDIGL >DRNTG_16082.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25590963:25591932:1 gene:DRNTG_16082 transcript:DRNTG_16082.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHRFKLANMMPNAWFYKLKDMSMIKRSSQYKAPKPFPQPTNYSTFQPSNNQSLTPSRASYYFSTKRRDLVKLPSSPIHPKASDTHFPLDPPRKSKRKTKRRTSVQPPTSVLTSSISSTCSCSTNSPTRFQELNLKPCLTKPKLEEKCSKSPRPPSRLKLHVNSPRLRIMNRKNQAVQHQRKSISQSFAVVKASSNPQKDFRDSMVEMIIENNIRASKDLEDLLACYLSLNSDEYHDVIVKVFEQIWFDLTDIGL >DRNTG_27583.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6736091:6739408:-1 gene:DRNTG_27583 transcript:DRNTG_27583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPMTLNVGIVLLMFPSRKYKGLRRLHIQTRGAWSLLSPGGERLAAPDRHGSVGGALKGRATSSPCSSPFPWELASLWLKARAWAWRRFLSLARFEEKIKSRIGVSRESYYALHRLLKAHTYNIRFRVSYSCFYIHLLISLH >DRNTG_30485.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:635328:639025:1 gene:DRNTG_30485 transcript:DRNTG_30485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCFDLWEKDPFFSAAEEVQESTDRMESVYRQWIHEVKHASDPNVSSPSCSAEVRRELRTTLGTAKWQLEEFEKAVTSYDEACSAGKETRTRHSQFIVAIGSRISMVENALKEASFEGNSTMSWVRLDEGERDELALFLSCPSVEQEKAQSAPQVSKVVIKDSPLLLGMTAEGIPDLSKKEGRVHGHRRTASASADIEAWKISVTRTEDERPSRPPPKIPSFSCLNKVAESTLKMKWPKNGFRKWKGGDQLQPEESIPLRNHQLSRGLDACYERTKSYLSDCTEDTYDKQLYGWVGSLHRQLQRSQYQIQYGRPMQMVFWAVLVVLLIVLFAFRAI >DRNTG_22314.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:426985:429802:1 gene:DRNTG_22314 transcript:DRNTG_22314.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEFQDGKEEVLQAWYMDDSEEDQRLPHHREPKEFVSLEKLKELGVVSWRLNNPDNYEVDEDLKKIREARGYSYTDICEVCPEKLPNYEAKIKSFFEEHLHTDEEIRYCLEGSGYFDLRDKNDQWIRVAVKKGGMIVLPAGIYHRFTLDSNNYIKAMRLFVGEPVWTPYNRPHDHLPARQEYLAAFGNEEVASHAVEAN >DRNTG_22195.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1454931:1459404:-1 gene:DRNTG_22195 transcript:DRNTG_22195.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase inhibitor 2 [Source:Projected from Arabidopsis thaliana (AT5G52200) UniProtKB/Swiss-Prot;Acc:Q9LTK0] MSKTRGRVRWNEANLDEIESNKPVRQKITEPKTPYHPMIDEDGSLSPVRVFDECLDNIEHADAIRNALNDVASSSKGNLGQTGGWTSSEDEPDTMDQDEDSETDRSMSFKEHRRVHYDEYRKVKELLRSGSFMDDEVDEDVVAQGNAIERCNSTSSLSGGVSGIDLSSEDNRSQVTQDHQDQTASTKLQN >DRNTG_28078.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21430394:21430951:-1 gene:DRNTG_28078 transcript:DRNTG_28078.1 gene_biotype:protein_coding transcript_biotype:protein_coding YQKPNCTARVKSRHTTSNSPKSFCFRDPLQENPCLNNSDILTTNCK >DRNTG_22638.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20743108:20746411:-1 gene:DRNTG_22638 transcript:DRNTG_22638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCVPSKKRQPAGAPENPSRAARAAAAPVPVEEKSPSSAASQAVAPMEEIKLKVFIVFYSMYGHVESLARRMKKGVDGIDGVEGVLYRVRETLPAEVLEQMKAPEKDEGIPLISAEELVAADGVLFGFPTRYGAMAAQMKAFFDSTGQLWREQKLAGKPAGFFVSTGTQGGGQETTAWTAITQLAHHGMLFVPIGYTFGAAMFKMDDIRGGSPYGAGVFAGDGTREASEVELALAEHQGKHMALVVKRLLRP >DRNTG_15486.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20925132:20928742:1 gene:DRNTG_15486 transcript:DRNTG_15486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYIALDYEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >DRNTG_07439.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000338.1:3536:12255:1 gene:DRNTG_07439 transcript:DRNTG_07439.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flowering time control protein FPA [Source:Projected from Arabidopsis thaliana (AT2G43410) UniProtKB/Swiss-Prot;Acc:Q8LPQ9] MRKSTVKDSTGDGEPMDTLWVGNIPSNTASPDLKALFANHGALDCAAMHGSRSYGFVFFRNASEARAARDALQGAMFHGNPIKIEFARPAKPCRYLWVGGIGSSVTKEHLKEEFLKFGKIEDYTFLRDRNSAVIVFVKMDDAVAAKKNLDRKRISEEQIRVDFLRPQPSKVEWPEHLDSRDGRLSSRSLGASEAWVSSDGMRNSDSYLGLKKNPLYSGRRDGQPSNVLWVGYPPSVPMDEERLHNAMILFGEIERLKCFPSRHYCFVEFRSVDEARRAKEGLQGRLFGEPRIQILFSSSELAPGKDSMPLISGHRGHREDMFIKEPAFGSLELSGPGHAPAPNNFPGLLPLPNSIPSPSIASRSRISQGFDTLYEGSDFKDFNGASLKFSDDNRNSPIPQTRRYHSPPGPVTCPPTPGRKSLVRSLPGGWDGSDIRNTKRSRVDDYPSSLDTVLHDRMMKEDIRDPYNMSDSGRNGVVRGRNSSVVPSTDELHSPHRDQYWRGIVAKGGIHVCHARCVPIRNGIMSPFPEVINCSARTGLDMLTKYYGEADGFEIVFFLPDSEEDFASYTEFLRYLGSKSRAGVAKLEDGTTLFLVPPSEFLTQVLNVSGPERLYGVVLKLPQQSTSATVQQSHIGISDSSSHYTSRIPLPILPKGPIKDVHTEDQAGEMDHRRPSYEEPMTHAVVSRPQLSHANELQLTQSAAHMDYAPNPAATSREVSLTPELLATLAALIPSNTHSKANGTPQLPSASSVTPVPISAFCNARCFDASTRVGSGVSTVCWYCHGATETSPPIFRASIASKLHLSIICQHRKWTRAFCTACARQSAISGPSLYFAAKFFYFIYSIQQLFNSISSWSVGYPKQSTVLHWFILWLSSELWDGATYYFT >DRNTG_07439.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000338.1:3536:10542:1 gene:DRNTG_07439 transcript:DRNTG_07439.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flowering time control protein FPA [Source:Projected from Arabidopsis thaliana (AT2G43410) UniProtKB/Swiss-Prot;Acc:Q8LPQ9] MRKSTVKDSTGDGEPMDTLWVGNIPSNTASPDLKALFANHGALDCAAMHGSRSYGFVFFRNASEARAARDALQGAMFHGNPIKIEFARPAKPCRYLWVGGIGSSVTKEHLKEEFLKFGKIEDYTFLRDRNSAVIVFVKMDDAVAAKKNLDRKRISEEQIRVDFLRPQPSKVEWPEHLDSRDGRLSSRSLGASEAWVSSDGMRNSDSYLGLKKNPLYSGRRDGQPSNVLWVGYPPSVPMDEERLHNAMILFGEIERLKCFPSRHYCFVEFRSVDEARRAKEGLQGRLFGEPRIQILFSSSELAPGKDSMPLISGHRGHREDMFIKEPAFGSLELSGPGHAPAPNNFPGLLPLPNSIPSPSIASRSRISQGFDTLYEGSDFKDFNGASLKFSDDNRNSPIPQTRRYHSPPGPVTCPPTPGRKSLVRSLPGGWDGSDIRNTKRSRVDDYPSSLDTVLHDRMMKEDIRDPYNMSDSGRNGVVRGRNSSVVPSTDELHSPHRDQYWRGIVAKGGIHVCHARCVPIRNGIMSPFPEVINCSARTGLDMLTKYYGEADGFEIVFFLPDSEEDFASYTEFLRYLGSKSRAGVAKLEDGTTLFLVPPSEFLTQVLNVSGPERLYGVVLKLPQQSTSATVQQSHIGISDSSSHYTSRIPLPILPKGPIKDVHTEDQAGEMDHRRPSYEEPMTHAVVSRPQLSHANELQLTQSAAHMDYAPNPAATSREVSLTPELLATLAALIPSNTHSKANGTPQLPSASSVTPVPISAFCNARCFDASTRVGSGVSTVCWYCHGATETSPPIFRASIASKLHLSIICQHRKWTRAFCTACARQSAISGPSLYFAAKFFYFIYSIQQLFNSISSWSVGYPKQSTVLHWFILWLSSELWDGATYYFT >DRNTG_07439.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000338.1:3536:4138:1 gene:DRNTG_07439 transcript:DRNTG_07439.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flowering time control protein FPA [Source:Projected from Arabidopsis thaliana (AT2G43410) UniProtKB/Swiss-Prot;Acc:Q8LPQ9] MRKSTVKDSTGDGEPMDTLWVGNIPSNTASPDLKALFANHGALDCAAMHGSRSYGFVFFRNASEARAARDALQGAMFHGNPIKIEFARPVCPSPFV >DRNTG_24331.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:331244:331698:1 gene:DRNTG_24331 transcript:DRNTG_24331.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDNLRQQTLHRLNQILTTRQAARCFLAIAEYFHRLRALSSLWLARPRQE >DRNTG_21556.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:27128040:27130483:-1 gene:DRNTG_21556 transcript:DRNTG_21556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEGFTLTGMLKKAATDFPSRRAIAVPTKFDLTHARLQALIDSAATRLTAAGILPSDVVALTFPNTVELVIMFLAVIRARAVAAPLNAAYTQEEFEFYLSDSESKLLITNSEGNAAAQAAAKTLGIRHATACLSNPNEPVEISLDVGTSGADFEFLNDPSDVALFLHTSGTTSRPKGVPLSQLNLAASVQNIRLVYRLTESDSTVIVLPLFHVHGLLAALLSSLASGASVTLPASGRFSASTFWSAMRASSATWYTAVPTVHQILLDRHAAQPEDHYPNLRFIRSCSASLAPSVLTRLEEAFGAPVLEAYAMTEASHLMASNPLPEDGPHKAGSVGKGVGQEMAILGEEGELLPPHMPGEVCVRGPNVTRGYKNNPEANKAAFEFGWFHTGDLGYFDSDGYLHLVGRIKELINRGGEKISPIEVDAVLLAHPDVAQGVSFGVPDPKYGEEINCAVIAREGAELDEAEVLRHCKKNLASFKVPKKVFLTDSLPKTATGKIQRRMVAEHFLKPAQASRAGA >DRNTG_26070.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:14468561:14470068:-1 gene:DRNTG_26070 transcript:DRNTG_26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSPWKPSTSYHSKYWGSSSKLLKNPKEKHFSREEEEIFEYLLDSRQRMMGLRSGDEGSRGGRKWRRKGLKE >DRNTG_22678.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:13685153:13685617:1 gene:DRNTG_22678 transcript:DRNTG_22678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVWIREAIQAGTVAALFTAGRENRSSENPHGRVEIIHARVVARFQPYLKPNQPRFYGSKATTSSFLRKKVGMGASVEAYPIPDEGILGQRVVDFPKDHRHDYRGGFFMDSLLLHSISMIVLSSMES >DRNTG_25597.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12419480:12421335:1 gene:DRNTG_25597 transcript:DRNTG_25597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLKLKGIKMVQYDHAAKNASGGDAGATKSVPSPEITPHSSFPLVNVDSTRSAPLPDVTPHSSTPSSSDDSNLNDEIGTNNTCTRLDNLHPINEGSDLNSVDNEGQQRKRSRTTIKVLWTLPPQERILMSSNQLGQPIGPEAQLLSAFLGMLARSGQHIGLQYESWHKVPKTLKDELLKFIEDNPSAIP >DRNTG_10901.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000477.1:1936:2659:1 gene:DRNTG_10901 transcript:DRNTG_10901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKNSRNGDRIYHTGGEMGRSPSIVVSMVLWKVLYSSCKDSLVQYRISLDGSSPTNLLPKE >DRNTG_10584.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8686769:8693704:-1 gene:DRNTG_10584 transcript:DRNTG_10584.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIKVKSRPTMEGVGQSLHSCCKSGKTSKGSRLQVKATQTEAVLDTFIQDSFDVTSNVKEFDRVVNSNEACDYQDLFAGDSIELSKLPPSLLCDSMLNGRTGLETITSPSILQTIFSPILMPEDSEFKMIGINNSVRDAEQPALPLLVADESDDGSCHSRDLQTCSVSDFFICEGANALTFDGELTFADVMDVTCPYYEQINDDILLDTSEKYMKLPFLESTIETTNTHDGTCIEDAVVDSDDAYLYLAIHQLKSSDQEGLAYHSGDLAETECFDPQLIFKNLPDLPEAVSSIRPILLPNETQKKKSITLVLDLDETLVHSTLEPCEDADFSFPVFFNLKQHMVYVKRRPYLQIFLERVAQMFEIIVFTASQSIYAEQLLDILDPDKMLIGRRIYRESCIFSDGSYTKDLTVLGIDLAKVAIIDNSPQVFRLQVNNGIPIMSWFDDPSDHALLSLLPFLETLVDADDVRPIIA >DRNTG_10584.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8686769:8693704:-1 gene:DRNTG_10584 transcript:DRNTG_10584.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIKVKSRPTMEGVGQSLHSCCKSGKTSKGSRLQVKATQTEAVLDTFIQDSFDVTSNVKEFDRVVNSNEACDYQDLFAGDSIELSKLPPSLLCDSMLNGRTGLETITSPSILQTIFSPILMPEDSEFKMIGINNSVRDAEQPALPLLVADESDDGSCHSRDLQTCSVSDFFICEGANALTFDGELTFADVMDVTCPYYEQINDDILLDTSEKYMKLPFLESTIETTNTHDGTCIEDAVVDSDDAYLYLAIHQLKSSDQEGLAYHSGDLAETECFDPQLIFKNLPDLPEAVSSIRPILLPNETQKKKSITLVLDLDETLVHSTLEPCEDADFSFPVFFNLKQHMVYVKRRPYLQIFLERVAQMFEIIVFTASQSIYAEQLLDILDPDKMLIGRRIYRESCIFSDGSYTKDLTVLGIDLAKVAIIDNSPQVFRLQVNNGIPIMSWFDDPSDHALLSLLPFLETLVDADDVRPIIA >DRNTG_10584.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8686769:8693704:-1 gene:DRNTG_10584 transcript:DRNTG_10584.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIKVKSRPTMEGVGQSLHSCCKSGKTSKGSRLQVKATQTEAVLDTFIQDSFDVTSNVKEFDRVVNSNEACDYQDLFAGDSIELSKLPPSLLCDSMLNGRTGLETITSPSILQTIFSPILMPEDSEFKMIGINNSVRDAEQPALPLLVADESDDGSCHSRDLQTCSVSDFFICEGANALTFDGELTFADVMDVTCPYYEQINDDILLDTSEKYMKLPFLESTIETTNTHDGTCIEDAVVDSDDAYLYLAIHQLKSSDQEGLAYHSGDLAETECFDPQLIFKNLPDLPEAVSSIRPILLPNETQKKKSITLVLDLDETLVHSTLEPCEDADFSFPVFFNLKQHMVYVKRRPYLQIFLERVAQMFEIIVFTASQSIYAEQLLDILDPDKMLIGRRIYRESCIFSDGSYTKDLTVLGIDLAKVAIIDNSPQVFRLQVNNGIPIMSWFDDPSDHALLSLLPFLETLVDADDVRPIIA >DRNTG_16542.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8713441:8725885:-1 gene:DRNTG_16542 transcript:DRNTG_16542.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flowering locus K homology domain [Source:Projected from Arabidopsis thaliana (AT3G04610) UniProtKB/Swiss-Prot;Acc:Q9SR13] MISDSLIRAEHMDETVENFTEQDVIEEAVDASSDKHGRDDDQAVVGSEKRWPGWPGESVFRILIPAQKVGGIIGRKGEYIKKMCEESRARIKILDGPPGAPERAVMISAKEELEASISPSMDGLLRVHKRVVDGLEGDTPPSSGHVVTRLLVPAAQAGSLIGKQGATIKAIQEASNSAVRVLDDLPPFALQDDRVVEIQGEPTGVHKAVELITGHLRKFLVDRSVLPLFEMHMSAPHMEPNMPPPQPWGHHQGLPPNAGGPGYGGNPQFLPPRPHDNFYPPSDLGPMEKQPHHGISAFGRDVPPMGVNQQPPPMISQVTQHMQIPLSYADAVIGGAGANISYIRRASGATITIQETRGVPGEMTVEIIGSAAQVQAAQQLIQNFMAEAAAPTSAPAGGAIPNAMAPGDQSYNPYAAHGSMYTSPASNAAPPSHSAGGYGSAYGTNYGY >DRNTG_16542.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8713441:8725885:-1 gene:DRNTG_16542 transcript:DRNTG_16542.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flowering locus K homology domain [Source:Projected from Arabidopsis thaliana (AT3G04610) UniProtKB/Swiss-Prot;Acc:Q9SR13] MDETVENFTEQDVIEEAVDASSDKHGRDDDQAVVGSEKRWPGWPGESVFRILIPAQKVGGIIGRKGEYIKKMCEESRARIKILDGPPGAPERAVMISAKEELEASISPSMDGLLRVHKRVVDGLEGDTPPSSGHVVTRLLVPAAQAGSLIGKQGATIKAIQEASNSAVRVLDDLPPFALQDDRVVEIQGEPTGVHKAVELITGHLRKFLVDRSVLPLFEMHMSAPHMEPNMPPPQPWGHHQGLPPNAGGPGYGGNPQFLPPRPHDNFYPPSDLGPMEKQPHHGISAFGRDVPPMGVNQQPPPMISQVTQHMQIPLSYADAVIGGAGANISYIRRASGATITIQETRGVPGEMTVEIIGSAAQVQAAQQLIQNFMAEAAAPTSAPAGGAIPNAMAPGDQSYNPYAAHGSMYTSPASNAAPPSHSAGGYGSAYGTNYGY >DRNTG_16542.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8713441:8725885:-1 gene:DRNTG_16542 transcript:DRNTG_16542.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flowering locus K homology domain [Source:Projected from Arabidopsis thaliana (AT3G04610) UniProtKB/Swiss-Prot;Acc:Q9SR13] MISDSLIRAEHMDETVENFTEQDVIEEAVDASSDKHGRDDDQAVVGSEKRWPGWPGESVFRILIPAQKVGGIIGRKGEYIKKMCEESRARIKILDGPPGAPERAVMISAKEELEASISPSMDGLLRVHKRVVDGLEGDTPPSSGHVVTRLLVPAAQAGSLIGKQGATIKAIQEASNSAVRVLDDLPPFALQDDRVVEIQGEPTGVHKAVELITGHLRKFLVDRSVLPLFEMHMSAPHMEPNMPPPQPWGHHQGLPPNAGGPGYGGNPQFLPPRPHDNFYPPSDLGPMEKQPHHGISAFGRDVPPMGVNQQPPPMISQQVTQHMQIPLSYADAVIGGAGANISYIRRASGATITIQETRGVPGEMTVEIIGSAAQVQAAQQLIQNFMAEAAAPTSAPAGGAIPNAMAPGDQSYNPYAAHGSMYTSPASNAAPPSHSAGGYGSAYGTNYGY >DRNTG_17263.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5393212:5401910:-1 gene:DRNTG_17263 transcript:DRNTG_17263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKACPAPRPTPLPSRAGDGKSMSRPIAILVLFPNTSCSVPNWIVDYVDDLPAMGRYAWAQATHKWHMEDIPQAAARVQDRCAGKKTNTGYIKGCSVTLNVWFYELTGTGKKVRFGKIPRMMCYGESSYWKQATVETSLSSPEGKKFPELVLANAEEEIFVGANRRMDAIAPEPLARRQDERAASIAPNCGSPPTIAAPPTVAAPPTTLSEDVTATLMQACQILMTKFPRLVAHGPRQPHSKRLAKKRRTILPLSPSPADDETIATPSAADAVTESVAINDMVMTVEDIVDDVAVAAVEKIVYSLVNEIPDPVEPAAESATSKMDTIPEEQEQAKGNVDCAVYVMRFIEQLLWGEKLRLPQTDVPYLRLMYVSRILKEGRAASFHEKGGGCNVTRGHQTFATRSSFVWDAQAVFSSQAVANEAHDFRLKACHRRVWGI >DRNTG_28431.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18498130:18500354:-1 gene:DRNTG_28431 transcript:DRNTG_28431.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIRSQSTPSNEVESTEQTSSGVHI >DRNTG_28431.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18498130:18500354:-1 gene:DRNTG_28431 transcript:DRNTG_28431.3 gene_biotype:protein_coding transcript_biotype:protein_coding METIRSQSTPSNEVESTEQTSSGVHI >DRNTG_28431.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18498130:18500354:-1 gene:DRNTG_28431 transcript:DRNTG_28431.2 gene_biotype:protein_coding transcript_biotype:protein_coding METIRSQSTPSNEVESTEQTSSGVHI >DRNTG_28431.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18498130:18499534:-1 gene:DRNTG_28431 transcript:DRNTG_28431.4 gene_biotype:protein_coding transcript_biotype:protein_coding METIRSQSTPSNEVESTEQTSSGVHI >DRNTG_06034.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32680931:32690527:-1 gene:DRNTG_06034 transcript:DRNTG_06034.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT3G16170) TAIR;Acc:AT3G16170] MISINSNATLHGLQLHRIHLTPVRAANPFARSGFLGFPVLQSLFHSVQSGGSLSSTFMDVAKVAITRAATHDSVAIRAEDKSYSFAHLVSSAWSISNLLHAKFSKTAEDGRKSENALGQVIDANRFLNGARVGIVAKPSAEFVAGLLGTWLSGGVAVPLALSYPEAELLHVMNDSDVTMILSTPEHQEIMKNVAAKCSAHFSLIPAVTSISSEAGPHEHSENGVTDVVSKLMGKFVDSKSSEGDDPALILYTSGTTGKPKGVVHTHRGIISQVQILTEAWEYTPSDQFLHCLPLHHVHGLFNALLAPLYAGSGVEFLPKFSVRGVWQRWRESYPREGSKSNDAITLFTGVPTMYTRLLQGYDAMDPDMKASSAFAANKLRLMMCGSSALPYPVMKQWEEITGHRLLERYGMTEFVMALANPFTW >DRNTG_28291.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14727323:14732083:-1 gene:DRNTG_28291 transcript:DRNTG_28291.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWVIRSMVDGSWPDPSGSNTNNGTRLVRAIQNFQIKLNSKLQELRKGLVMKVLFFLLGFYCAAAFATVIGQTGDWDILFAGIAVVVVKAIGALMYRASFHILDKLKSLIAFFNYWKADLSLGLFLDAFKYIFQPISNPI >DRNTG_28291.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14728708:14732083:-1 gene:DRNTG_28291 transcript:DRNTG_28291.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRWVIRSMVDGSWPDPSGSNTNNGTRLVRAIQNFQIKLNSKLQELRKGLVMKVLFFLLGFYCAAAFATVIGQTGDWDILFAGIAVVVVKAIGALMYRASFHILDKLKSLIAFFNYWKADLSLGLFLDAFKYIFQPISNPI >DRNTG_28291.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14727323:14732083:-1 gene:DRNTG_28291 transcript:DRNTG_28291.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRWVIRSMVDGSWPDPSGSNTNNGTRLVRAIQNFQIKLNSKLQELRKGLVMKVLFFLLGFYCAAAFATVIGQTGDWDILFAGIAVVVVKAIGALMYRASFHILDKLKSLIAFFNYWKADLSLGLFLDAFKYIFQPISNPI >DRNTG_28291.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14727323:14732083:-1 gene:DRNTG_28291 transcript:DRNTG_28291.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRWVIRSMVDGSWPDPSGSNTNNGTRLVRAIQNFQIKLNSKLQELRKGLVMKVLFFLLGFYCAAAFATVIGQTGDWDILFAGIAVVVVKAIGALMYRASFHILDKLKSLIAFFNYWKADLSLGLFLDAFKYIFQPISNPI >DRNTG_28291.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14727323:14732083:-1 gene:DRNTG_28291 transcript:DRNTG_28291.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWVIRSMVDGSWPDPSGSNTNNGTRLVRAIQNFQIKLNSKLQELRKGLVMKVLFFLLGFYCAAAFATVIGQTGDWDILFAGIAVVVVKAIGALMYRASFHILDKLKSLIAFFNYWKADLSLGLFLDAFKYIFQPISNPI >DRNTG_14504.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000696.1:16007:17438:1 gene:DRNTG_14504 transcript:DRNTG_14504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEVKDCSFGPTRARFTGVFLDAMMEEESIKVGDEAPPKPQRPPLQTPSRLTPQEPHKRSERI >DRNTG_15820.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24952166:24952902:-1 gene:DRNTG_15820 transcript:DRNTG_15820.3 gene_biotype:protein_coding transcript_biotype:protein_coding KVEVQYFHDHGSLASVVGFKQNPIVDLSATVGAHGFAFGAAVGFDTAVGNFNKYSAAIGLTKPDYNVSFILGDKGDTLRVLYVHYLDEKQKSAVGGEISRRFSTNENTVTVGGAYALDELTRVKTRLNNAGKLGALLQHELNPGSVLTISGEFDTKNLDRTPKFGLALALKP >DRNTG_15820.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24952166:24955405:-1 gene:DRNTG_15820 transcript:DRNTG_15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGPGLFSDIGKKAKDLLTNDYINDQKITISSETATGVAVTSSALKKGGLYALHVGSCFKYKKSIIDVKVDTDSNISSTITFTELLPSTKAITTLTLPDYNSAKVEVQYFHDHGSLASVVGFKQNPIVDLSATVGAHGFAFGAAVGFDTAVGNFNKYSAAIGLTKPDYNVSFILGDKGDTLRVLYVHYLDEKQKSAVGGEISRRFSTNENTVTVGGAYALDELTRVKTRLNNAGKLGALLQHELNPGSVLTISGEFDTKNLDRTPKFGLALALKP >DRNTG_15820.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24952166:24955162:-1 gene:DRNTG_15820 transcript:DRNTG_15820.2 gene_biotype:protein_coding transcript_biotype:protein_coding DLLTNDYINDQKITISSETATGVAVTSSALKKGGLYALHVGSCFKYKKSIIDVKVDTDSNISSTITFTELLPSTKAITTLTLPDYNSAKVEVQYFHDHGSLASVVGFKQNPIVDLSATVGAHGFAFGAAVGFDTAVGNFNKYSAAIGLTKPDYNVSFILGDKGDTLRVLYVHYLDEKQKSAVGGEISRRFSTNENTVTVGGAYALDELTRVKTRLNNAGKLGALLQHELNPGSVLTISGEFDTKNLDRTPKFGLALALKP >DRNTG_12296.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29760720:29761273:1 gene:DRNTG_12296 transcript:DRNTG_12296.14 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional protein FolD 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G38660) UniProtKB/Swiss-Prot;Acc:A2RVV7] QRHHATVSIIHAFTENPEDITREADIVISAAGVPGLVRGNWLKKGAVVIDVGTNAIEDRGSRHGYYLTGDVCYLEALSVVSAITPVPGGVGPVTIAMLLSNTLDAAKLAYRLS >DRNTG_12296.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29757466:29761273:1 gene:DRNTG_12296 transcript:DRNTG_12296.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional protein FolD 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G38660) UniProtKB/Swiss-Prot;Acc:A2RVV7] MRALLSKLAWTPSSMVLRGAFSSNRAAKNGGKDDILGPCLPDLWPTPSSLSPPPLPPSPSPIRDEELTPTIINGELIAKEIRSSIAEEVRQMKQAIDKVPGLAVILVGQRRDSQSYVRCKIKACEEVGISSRVAEFPVDCNDNEIVNAVSSFNEDPSVHGILVQLPLPQHMNEEKILSALSLEKDVDGFHPLNVGNLAMRDREPLFIPCSARACIELLLRSNVKIMGKNAVIIGRSRVVGLPTSLLLQRHHATVSIIHAFTENPEDITREADIVISAAGVPGLVRGNWLKKGAVVIDVGTNAIEDRGSRHGYYLTGDVCYLEALSVVSAITPVPGGVGPVTIAMLLSNTLDAAKLAYRLS >DRNTG_12296.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29757512:29761324:1 gene:DRNTG_12296 transcript:DRNTG_12296.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional protein FolD 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G38660) UniProtKB/Swiss-Prot;Acc:A2RVV7] MKQAIDKVPGLAVILVGQRRDSQSYVRCKIKACEEVGISSRVAEFPVDCNDNEIVNAVSSFNEDPSVHGILVQLPLPQHMNEEKILSALSLEKDVDGFHPLNVGNLAMRDREPLFIPCSARACIELLLRSNVKIMGKNAVIIGRSRVVGLPTSLLLQRHHATVSIIHAFTENPEDITREADIVISAAGVPGLVRGNWLKKGAVVIDVGTNAIEDRGSRHGYYLTGDVCYLEALSVVSAITPVPGGVGPVTIAMLLSNTLDAAKLAYRLS >DRNTG_12296.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29757466:29761273:1 gene:DRNTG_12296 transcript:DRNTG_12296.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional protein FolD 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G38660) UniProtKB/Swiss-Prot;Acc:A2RVV7] MKQAIDKVPGLAVILVGQRRDSQSYVRCKIKACEEVGISSRVAEFPVDCNDNEIVNAVSSFNEDPSVHGILVQLPLPQHMNEEKILSALSLEKDVDGFHPLNVGNLAMRDREPLFIPCSARACIELLLRSNVKIMGKNAVIIGRSRVVGLPTSLLLQRHHATVSIIHAFTENPEDITREADIVISAAGVPGLVRGNWLKKGAVVIDVGTNAIEDRGSRHGYYLTGDVCYLEALSVVSAITPVPGGVGPVTIAMLLSNTLDAAKLAYRLS >DRNTG_12296.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29757512:29761273:1 gene:DRNTG_12296 transcript:DRNTG_12296.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional protein FolD 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G38660) UniProtKB/Swiss-Prot;Acc:A2RVV7] MRALLSKLAWTPSSMVLRGAFSSNRAAKNGGKDDILGPCLPDLWPTPSSLSPPPLPPSPSPIRDEELTPTIINGELIAKEIRSSIAEEVRQMKQAIDKVPGLAVILVGQRRDSQSYVRCKIKACEEVGISSRVAEFPVDCNDNEIVNAVSSFNEDPSVHGILVQLPLPQHMNEEKILSALSLEKDVDGFHPLNVGNLAMRDREPLFIPCSARACIELLLRSNVKIMGKNAVIIGRSRVVGLPTSLLLQRHHATVSIIHAFTENPEDITREADIVISAAGVPGLVRGNWLKKGAVVIDVGTNAIEDRGSRHGYYLTGDVCYLEALSVVSAITPVPGGVGPVTIAMLLSNTLDAAKLAYRLS >DRNTG_12296.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29757512:29761273:1 gene:DRNTG_12296 transcript:DRNTG_12296.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional protein FolD 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G38660) UniProtKB/Swiss-Prot;Acc:A2RVV7] MKQAIDKVPGLAVILVGQRRDSQSYVRCKIKACEEVGISSRVAEFPVDCNDNEIVNAVSSFNEDPSVHGILVQLPLPQHMNEEKILSALSLEKDVDGFHPLNVGNLAMRDREPLFIPCSARACIELLLRSNVKIMGKNAVIIGRSRVVGLPTSLLLQRHHATVSIIHAFTENPEDITREADIVISAAGVPGLVRGNWLKKGAVVIDVGTNAIEDRGSRHGYYLTGDVCYLEALSVVSAITPVPGGVGPVTIAMLLSNTLDAAKLAYRLS >DRNTG_12296.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29757512:29761324:1 gene:DRNTG_12296 transcript:DRNTG_12296.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional protein FolD 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G38660) UniProtKB/Swiss-Prot;Acc:A2RVV7] MRALLSKLAWTPSSMVLRGAFSSNRAAKNGGKDDILGPCLPDLWPTPSSLSPPPLPPSPSPIRDEELTPTIINGELIAKEIRSSIAEEVRQMKQAIDKVPGLAVILVGQRRDSQSYVRCKIKACEEVGISSRVAEFPVDCNDNEIVNAVSSFNEDPSVHGILVQLPLPQHMNEEKILSALSLEKDVDGFHPLNVGNLAMRDREPLFIPCSARACIELLLRSNVKIMGKNAVIIGRSRVVGLPTSLLLQRHHATVSIIHAFTENPEDITREADIVISAAGVPGLVRGNWLKKGAVVIDVGTNAIEDRGSRHGYYLTGDVCYLEALSVVSAITPVPGGVGPVTIAMLLSNTLDAAKLAYRLS >DRNTG_12296.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29757466:29761212:1 gene:DRNTG_12296 transcript:DRNTG_12296.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional protein FolD 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G38660) UniProtKB/Swiss-Prot;Acc:A2RVV7] MRALLSKLAWTPSSMVLRGAFSSNRAAKNGGKDDILGPCLPDLWPTPSSLSPPPLPPSPSPIRDEELTPTIINGELIAKEIRSSIAEEVRQMKQAIDKVPGLAVILVGQRRDSQSYVRCKIKACEEVGISSRVAEFPVDCNDNEIVNAVSSFNEDPSVHGILVQLPLPQHMNEEKILSALSLEKDVDGFHPLNVGNLAMRDREPLFIPCSARACIELLLRSNVKIMGKNAVIIGRSRVVGLPTSLLLQRHHATVSIIHAFTENPEDITREADIVISAAGVPGLVRGNWLKKGAVVIDVGTNAIEDRGSRHGYYLTGDVCYLEALSVVSAITPVPGGVGPVTIAMLLSNTLDAAKLAYRLS >DRNTG_12296.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29757512:29761212:1 gene:DRNTG_12296 transcript:DRNTG_12296.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional protein FolD 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G38660) UniProtKB/Swiss-Prot;Acc:A2RVV7] MRALLSKLAWTPSSMVLRGAFSSNRAAKNGGKDDILGPCLPDLWPTPSSLSPPPLPPSPSPIRDEELTPTIINGELIAKEIRSSIAEEVRQMKQAIDKVPGLAVILVGQRRDSQSYVRCKIKACEEVGISSRVAEFPVDCNDNEIVNAVSSFNEDPSVHGILVQLPLPQHMNEEKILSALSLEKDVDGFHPLNVGNLAMRDREPLFIPCSARACIELLLRSNVKIMGKNAVIIGRSRVVGLPTSLLLQRHHATVSIIHAFTENPEDITREADIVISAAGVPGLVRGNWLKKGAVVIDVGTNAIEDRGSRHGYYLTGDVCYLEALSVVSAITPVPGGVGPVTIAMLLSNTLDAAKLAYRLS >DRNTG_12296.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29757276:29761273:1 gene:DRNTG_12296 transcript:DRNTG_12296.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional protein FolD 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G38660) UniProtKB/Swiss-Prot;Acc:A2RVV7] MRALLSKLAWTPSSMVLRGAFSSNRAAKNGGKDDILGPCLPDLWPTPSSLSPPPLPPSPSPIRDEELTPTIINGELIAKEIRSSIAEEVRQMKQAIDKVPGLAVILVGQRRDSQSYVRCKIKACEEVGISSRVAEFPVDCNDNEIVNAVSSFNEDPSVHGILVQLPLPQHMNEEKILSALSLEKDVDGFHPLNVGNLAMRDREPLFIPCSARACIELLLRSNVKIMGKNAVIIGRSRVVGLPTSLLLQRHHATVSIIHAFTENPEDITREADIVISAAGVPGLVRGNWLKKGAVVIDVGTNAIEDRGSRHGYYLTGDVCYLEALSVVSAITPVPGGVGPVTIAMLLSNTLDAAKLAYRLS >DRNTG_12296.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29760720:29761324:1 gene:DRNTG_12296 transcript:DRNTG_12296.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional protein FolD 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G38660) UniProtKB/Swiss-Prot;Acc:A2RVV7] QRHHATVSIIHAFTENPEDITREADIVISAAGVPGLVRGNWLKKGAVVIDVGTNAIEDRGSRHGYYLTGDVCYLEALSVVSAITPVPGGVGPVTIAMLLSNTLDAAKLAYRLS >DRNTG_12296.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29759179:29761273:1 gene:DRNTG_12296 transcript:DRNTG_12296.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional protein FolD 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G38660) UniProtKB/Swiss-Prot;Acc:A2RVV7] MKQAIDKVPGLAVILVGQRRDSQSYVRCKIKACEEVGISSRVAEFPVDCNDNEIVNAVSSFNEDPSVHGILVQLPLPQHMNEEKILSALSLEKDVDGFHPLNVGNLAMRDREPLFIPCSARACIELLLRSNVKIMGKNAVIIGRSRVVGLPTSLLLQRHHATVSIIHAFTENPEDITREADIVISAAGVPGLVRGNWLKKGAVVIDVGTNAIEDRGSRHGYYLTGDVCYLEALSVVSAITPVPGGVGPVTIAMLLSNTLDAAKLAYRLS >DRNTG_12296.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29757276:29761212:1 gene:DRNTG_12296 transcript:DRNTG_12296.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional protein FolD 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G38660) UniProtKB/Swiss-Prot;Acc:A2RVV7] MRALLSKLAWTPSSMVLRGAFSSNRAAKNGGKDDILGPCLPDLWPTPSSLSPPPLPPSPSPIRDEELTPTIINGELIAKEIRSSIAEEVRQMKQAIDKVPGLAVILVGQRRDSQSYVRCKIKACEEVGISSRVAEFPVDCNDNEIVNAVSSFNEDPSVHGILVQLPLPQHMNEEKILSALSLEKDVDGFHPLNVGNLAMRDREPLFIPCSARACIELLLRSNVKIMGKNAVIIGRSRVVGLPTSLLLQRHHATVSIIHAFTENPEDITREADIVISAAGVPGLVRGNWLKKGAVVIDVGTNAIEDRGSRHGYYLTGDVCYLEALSVVSAITPVPGGVGPVTIAMLLSNTLDAAKLAYRLS >DRNTG_12296.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29757466:29761324:1 gene:DRNTG_12296 transcript:DRNTG_12296.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional protein FolD 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G38660) UniProtKB/Swiss-Prot;Acc:A2RVV7] MRALLSKLAWTPSSMVLRGAFSSNRAAKNGGKDDILGPCLPDLWPTPSSLSPPPLPPSPSPIRDEELTPTIINGELIAKEIRSSIAEEVRQMKQAIDKVPGLAVILVGQRRDSQSYVRCKIKACEEVGISSRVAEFPVDCNDNEIVNAVSSFNEDPSVHGILVQLPLPQHMNEEKILSALSLEKDVDGFHPLNVGNLAMRDREPLFIPCSARACIELLLRSNVKIMGKNAVIIGRSRVVGLPTSLLLQRHHATVSIIHAFTENPEDITREADIVISAAGVPGLVRGNWLKKGAVVIDVGTNAIEDRGSRHGYYLTGDVCYLEALSVVSAITPVPGGVGPVTIAMLLSNTLDAAKLAYRLS >DRNTG_29308.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29626241:29632118:-1 gene:DRNTG_29308 transcript:DRNTG_29308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNMHCFTNHLHLLLLLLLQLKNTCVISGEPPAESLPLPPQPSIAVQNASVEYMDPTLPPLLPTQNPKCSLHLLQEDFADTIGAPPTYVAFSPPPDCPAPWSRVILDFSGVASDIQQDRIAAIWLDGSEILRTSTPMPMSPGVFWRVRKDVTRYSALLRQPPGGTATENILSMMLENSNTTFPGVYSVNITLHFYRGVIHEEELVENEPFKLSAHPTSKGLYKKPADKIIPISNIAGNGSTGFWFQLTNETEPPTVSVMVPNNAYRAVIEIYVSHHGNDEYWYANPLRSNGPELQEVGIGLVAPQANGGFRQVVALIDGRYAGGAIPFPVIQPGSLNPFFWAPVSAIGAYDYPSYDLDITPFVGTLLDGQPHEFGLTVKDCQPYWLISANLHLWLDAWSDEVEGKLVRYKVPPLRLSRQADWKEMEGKSEMEGAAIIRFSGWVSSSLGNVSTSIRHKVKFKSHVEMQDKGATKQVEIESKSRINTRIERDDMVVGRVLVDTEAPLQLEIISSNGGGGTRFHKTKLTHGLAELMSMTAGKAAAFSAISDRQDSEGSVLMQDGIGVWGKGDTKSVYKFKDDKTCYLRTVNMVGGKVKEDEASASCAAAGLTGSTAVSTCIVGASNGITRKSPGSSSCIL >DRNTG_29308.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29629790:29632118:-1 gene:DRNTG_29308 transcript:DRNTG_29308.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNMHCFTNHLHLLLLLLLQLKNTCVISGEPPAESLPLPPQPSIAVQNASVEYMDPTLPPLLPTQNPKCSLHLLQEDFADTIGAPPTYVAFSPPPDCPAPWSRVILDFSGVASDIQQDRIAAIWLDGSEILRTSTPMPMSPGVFWRVRKDVTRYSALLRQPPGGTATENILSMMLENSNTTFPGVYSVNITLHFYRGVIHEEELVENEPFKLSAHPTSKGLYKKPADKIIPISNIAGNGSTGFWFQLTNETEPPTVSVMVPNNAYRAVIEIYVSHHGNDEYWYANPLRSNGPELQEVGIGLVAPQANGGFRQVVALIDGRYAGGAIPFPVIQPGSLNPFFWAPVSAIGAYDYPSYDLDITPFVGTLLDGQPHEFGLTVKDCQPYWLISANLHLWLDAWSDEVEGKLVRYKVPPLRLSRQADWKEMEGKSEMEGAAIIRFSGWVSSSLGNVSTSIRHKVKFKSHVEMQDKGATKQVEIESKSRINTRIERDDMVVGRVLVDTEAPLQLEIISSNGGGGTRFHKTKLTHGLAELMSMTAGKAAAFSAISDRQDSEGSVLMQDGIGVWGKGDTKSVYKFKDDKTCYLRTVNMVGGKVKEDEASASCAAAGLTGSTAVSTL >DRNTG_29308.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29626241:29629155:-1 gene:DRNTG_29308 transcript:DRNTG_29308.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIMHPKHWYIIFVVVAIYSATSVSASSPDIFPIRRGLTLPNLTLEHIDPTLPPVLPTQAPKCSVIALQQDFADTVGAPPASANYTQPPDCPAPWTRVVLELSVSASDLQKDRIAAVWVDGVEVLRTTTPLPMAPGAFWKVTKDITRYTAAIRRLSENNGTVSMMLENSNTVLPGVYSANVTLHFYRGAIATAAKPSAATGHATIQELYKEPADLIIPVTSNNGFYGSGFWSRIESDSQIATSLIKIPQNTYRAVLEIFVSYHADDEFWFGNPLRSSYLEGAANLSTPRSNGGFRQVYATIDDKFVGGHIPFMVLYPGSINPYFWSPVAAIGAFNMPSYDLDMTPFLGMLLDGQPHKFGLGVHDSQRYWLVGANLHIWVDRWSDATQAGLINYNAPPPKMNRNAEWRNQDGQSEIDAEGLVRFIGWVSSSKGNLTTVVQQKIKFKSQIEVQNRGAVKQIEVNNKQRMMVALMKGNQALSRVQLMMDAPMQIQTSTVNAVSGAVFQKTRLYHQLVEMVNLSEGQAVSIATLTDRQDAEGSALMHDGVPVWGGGATRSAYRFKDDSTCYLRTVNTAGGIVRLDTTTASCVSVADQ >DRNTG_21090.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18890332:18895442:1 gene:DRNTG_21090 transcript:DRNTG_21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHVEQKVQTITWHDMPCYSHHEQCTTQHPVEESVEEYIARIQGQNGELDNVIKQFEESTSASMSDQLEESVERIHAQFDSSYQGQREELFSVGVAISKVELCGMDTLISIADCKEIGMATRSKKAVAKCPRGPAPEPEVMEFTLPEHRAQFERLMKLKFGSWHKILNIHNLVIRSLALEVLASSEFDRSYAYFDNVGAIQFRAFGQHHSMSVTQFSTRLGIYDEQYIETEEYESL >DRNTG_14858.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2809436:2812983:-1 gene:DRNTG_14858 transcript:DRNTG_14858.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRVFYSDFETGLIPEPMDPIARCETNRKGYEEVRELGWEEVLSRGTRHYSEGLSRFCDRRMGEIVGMLGWGGSSGGGGSGPGQRAWPEGLLQAFFSAAKGVWLVHLLARSVHPPVPLIRVNPGARFEPDFMEDAAGSSRVVGPVSVRMMVAPGFYVYSTSCGVVKCKVLYNNNNNNNNNNNNNQGNGDINVSGRNNKKNSGKGPKGG >DRNTG_14858.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2809436:2812983:-1 gene:DRNTG_14858 transcript:DRNTG_14858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRVFYSDFETGLIPEPMDPIARCETNRKGYEEVRELGWEEVLSRGTRHYSEGLSRFCDRRMGEIVGMLGWGGSSGGGGSGPGQRAWPEGLLQAFFSAAKGVWLVHLLARSVHPPVPLIRVNPGARFEPDFMEDAAGSSRVVGPVSVRMMVAPGFYVYSTSCGVVKCKVLYNNNNNNNNNNNNNQGNGDINVSGRNNKKNSGKGPKGG >DRNTG_14858.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2809436:2812983:-1 gene:DRNTG_14858 transcript:DRNTG_14858.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQKYPAKVLKCYSAPQIPEEEKEDERHTPTPLHPSFVPPKKTTRSTTAVSDDDDIAVSCNKCRPTSRDKISVVPLDPTASSPSRLFRSIFHSLTRRTPVPSSDSDEWRLAAAELSRKLLHATRRRDEALLEASRLKTSLSHLSRKLDRLESHCLDLQSSLDRCSQASQSPTQIGSLPIDPFLRAVSDSRSAVRLLSRSISAHLRPSTPKPLLLSLESMLNRVFYSDFETGLIPEPMDPIARCETNRKGYEEVRELGWEEVLSRGTRHYSEGLSRFCDRRMGEIVGMLGWGGSSGGGGSGPGQRAWPEGLLQAFFSAAKGVWLVHLLARSVHPPVPLIRVNPGARFEPDFMEDAAGSSRVVGPVSVRMMVAPGFYVYSTSCGVVKCKVLYNNNNNNNNNNNNNQGNGDINVSGRNNKKNSGKGPKGG >DRNTG_14858.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2809436:2812983:-1 gene:DRNTG_14858 transcript:DRNTG_14858.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRVFYSDFETGLIPEPMDPIARCETNRKGYEEVRELGWEEVLSRGTRHYSEGLSRFCDRRMGEIVGMLGWGGSSGGGGSGPGQRAWPEGLLQAFFSAAKGVWLVHLLARSVHPPVPLIRVNPGARFEPDFMEDAAGSSRVVGPVSVRMMVAPGFYVYSTSCGVVKCKVLYNNNNNNNNNNNNNQGNGDINVSGRNNKKNSGKGPKGG >DRNTG_14858.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2809436:2812983:-1 gene:DRNTG_14858 transcript:DRNTG_14858.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKYPAKVLKCYSAPQIPEEEKEDERHTPTPLHPSFVPPKKTTRSTTAVSDDDDIAVSCNKCRPTSRDKISVVPLDPTASSPSRLFRSIFHSLTRRTPVPSSDSDEWRLAAAELSRKLLHATRRRDEALLEASRLKTSLSHLSRKLDRLESHCLDLQSSLDRCSQASQSPTQIGSLPIDPFLRAVSDSRSAVRLLSRSISAHLRPSTPKPLLLSLESMLNRVFYSDFETGLIPEPMDPIARCETNRKGYEEVRELGWEEVLSRGTRHYSEGLSRFCDRRMGEIVGMLGWGGSSGGGGSGPGQRAWPEGLLQAFFSAAKGVWLVHLLARSVHPPVPLIRVNPGARFEPDFMEDAAGSSRVVGPVSVRMMVAPGFYVYSTSCGVVKCKVLYNNNNNNNNNNNNNQGNGDINVSGRNNKKNSGKGPKGG >DRNTG_17786.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18178896:18186035:1 gene:DRNTG_17786 transcript:DRNTG_17786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGKFQLPDSSNNIVTHTVSQSGSSMVSKTAPSSKRMSNVPFNTSPQTSVAFPSSCTTNFKTTLPSEIMHEKTSGQLNQQAGKDAPTKLSVRSLDNFGVSEKGLFSLSDEPTHSMRSVAQSVDGNRIAQVDVLTGHSASPEASSTTPFNFLASASTPVFSIKQSSTPLMSSHSSASISPIFPSLSINSSASISSSYLPSSAMASIGKPSFDTKPIDDNNKAIPVSSSVLTPSTPPRLPSSNPLTLQSHESPVPQPSAIPFDSAVSHSPPLQANMVKSNSESAPLLASKSEGLLQPTEINLLSEPVVSQALAKKVSAGLSEGEPSVIPTAGFSTFPLTSGPSQIQSTTISPLSTIPQEKDEGIDLSSSQEDEMEEEAPSMANEFLGGLGGFGLGAASPHVPKSNPFGVSFNTTPASAPFSLTTSPGELFRPASFSIPPPKPIELSQPTPSGATSSAFGGGFSGFGQPANIGAGQQALGSVLGAFGQSRQIGAGVQGAAGGFAGVAPGSGFASAATGGGLAGAPAGGGFASAPTGGGFAGAANGGGFAGAASGGFAAAAAGGGFAAVGSQGGGFAAAASGGSGGFGGANPGGGFAGGGFGAFSGNKVGGFSGFGGNNAAGAGGPPSQLFTQMRK >DRNTG_17786.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18179127:18186035:1 gene:DRNTG_17786 transcript:DRNTG_17786.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGKFQLPDSSNNIVTHTVSQSGSSMVSKTAPSSKRMSNVPFNTSPQTSVAFPSSCTTNFKTTLPSEIMHEKTSGQLNQQAGKDAPTKLSVRSLDNFGVSEKGLFSLSDEPTHSMRSVAQSVDGNRIAQVDVLTGHSASPEASSTTPFNFLASASTPVFSIKQSSTPLMSSHSSASISPIFPSLSINSSASISSSYLPSSAMASIGKPSFDTKPIDDNNKAIPVSSSVLTPSTPPRLPSSNPLTLQSHESPVPQPSAIPFDSAVSHSPPLQANMVKSNSESAPLLASKSEGLLQPTEINLLSEPVVSQALAKKVSAGLSEGEPSVIPTAGFSTFPLTSGPSQIQSTTISPLSTIPQEKDEGIDLSSSQEDEMEEEAPSMANEFLGGLGGFGLGAASPHVPKSNPFGVSFNTTPASAPFSLTTSPGELFRPASFSIPPPKPIELSQPTPSGATSSAFGGGFSGFGQPANIGAGQQALGSVLGAFGQSRQIGAGVQGAAGGFAGVAPGSGFASAATGGGLAGAPAGGGFASAPTGGGFAGAANGGGFAGAASGGFAAAAAGGGFAAVGSQGGGFAAAASGGSGGFGGANPGGGFAGGGFGAFSGNKVGGFSGFGGNNAAGAGGPPSQLFTQMRK >DRNTG_30949.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2449360:2452353:1 gene:DRNTG_30949 transcript:DRNTG_30949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWWSSALPLLLIASAVFINEWITNPTCTTSGHPSNGDMLRSGDLRVMMVSDLLLGDSDADLAQRLARHTFLSKALRKSVEMVRPDMIVVLGDLSAGGSDLNVGKWLDELQEFQWMLGPYVGLPMHVVLGERDMGRCGELNEEFIGGIAGYLPGMDSAGCGAFVMKKVTFVSLNGVALQCKDGQLRFGVERVIERESMELRSTGAGD >DRNTG_22048.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28456452:28459368:1 gene:DRNTG_22048 transcript:DRNTG_22048.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELCSSRTLSPCRMESSDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEAPTGNEEDDDDFGCENSFCSSSDMGEKDIDYSSREFPRKSTKPRARLARPWTSSMKSINRNGYRDIQSHVLKPRSRVNLSKLGTAALWRYWRHFNLVSINPNPSKEQLIHGVQNHFLSQQLDELQVMISFIRTSKRSKAL >DRNTG_13538.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21078979:21084098:-1 gene:DRNTG_13538 transcript:DRNTG_13538.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMWKGGKDWTLEFTDRNQWSLFKELHEECYNWNIRSASVKNIPTPGVRLIEDAYEGSSDVPFVRSSPKYVRQVGTEIDMALDPSCVLYDMDSEDEEWISEFRLSLDDSGNNGSTEVTDDMFEGIMDMFEKFAYAHDCDEFTEDDIQEFMADGGPLNITKAIYEHWQHKRRKKGLPLIRQFQPPLWERYQQQLKQWESALSIMHNSLDGSQDRGCSIEKPAMFAFFVLRPRGLEVPNKFSKQRSHKKFMYSGHHNSFAKEQDGLHVYDRKVNGTSAGGEKDLVIAIPTYESSDYFSFTACFYPVSPQWIPERWDF >DRNTG_13538.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21078218:21084098:-1 gene:DRNTG_13538 transcript:DRNTG_13538.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWKGGKDWTLEFTDRNQWSLFKELHEECYNWNIRSASVKNIPTPGVRLIEDAYEGSSDVPFVRSSPKYVRQVGTEIDMALDPSCVLYDMDSEDEEWISEFRLSLDDSGNNGSTEVTDDMFEGIMDMFEKFAYAHDCDEFTEDDIQEFMADGGPLNITKAIYEHWQHKRRKKGLPLIRQFQPPLWERYQQQLKQWESALSIMHNSLDGSQDRGCSIEKPAMFAFFVLRPRGLEVPNKFSKQRSHKKFMYSGHHNSFAKEQDGLHVYDRKVNGTSAGGEKDLVIAIPTYESSDYFSFTACFYPVSPQWIPERWDF >DRNTG_13538.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21078218:21084098:-1 gene:DRNTG_13538 transcript:DRNTG_13538.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMWKGGKDWTLEFTDRNQWSLFKELHEECYNWNIRSASVKNIPTPGVRLIEDAYEGSSDVPFVRSSPKYVRQVGTEIDMALDPSCVLYDMDSEDEEWISEFRLSLDDSGNNGSTEVTDDMFEGIMDMFEKFAYAHDCDEFTEDDIQEFMADGGPLNITKAIYEHWQHKRRKKGLPLIRQFQPPLWERYQQQLKQWESALSIMHNSLDGSQDRGCSIEKPAMFAFFVLRPRGLEVPNKFSKQRSHKKFMYSGHHNSFAKEQDGLHVQKSEWNISWRREGFGYCYPNL >DRNTG_12870.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18321746:18326756:-1 gene:DRNTG_12870 transcript:DRNTG_12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQNAMTSKEPIKVGLELPLNRRRPSPQTLAASLSMSISKLRQKSL >DRNTG_12870.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18325859:18326756:-1 gene:DRNTG_12870 transcript:DRNTG_12870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQNAMTSKEPIKVGLELPLNRRRPSPQTLAASLSMSISKLRQKSL >DRNTG_05065.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3829005:3954807:1 gene:DRNTG_05065 transcript:DRNTG_05065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGCLGSKPLDSSSQPSSTSLSLTLMKLSPLYYSERTFLVQMVL >DRNTG_25287.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31602531:31608308:-1 gene:DRNTG_25287 transcript:DRNTG_25287.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENPSPPPPVGFPVTLSSPGTRPEQRLAAPTFSSPVPTRFSPQRPQQDQLPKSVSRTPSSLSSGDGVQASSPIPLFSTPPGPPIFSSPVRPAAVPFCTSPATPQPLAFSPASSLPTSSPPHFSNGSTELPLHHSANVDESKFESPYVFFSAHKVLKRNKLANVSSLGFGALVSPGKEITPGPQVVQRNPHRCQNCGAYANLYCEILIGSGQWQCVICKKLNGSDGEYIATNKEDVWRLPELSSSAIDYIQTGNRKPGYIPVSDSRIPAPIFLVIDECLDEAHLQHLQGSLHAFVDSLPAATRIGIITYGRTVSVYDFSEGSMASADVLPGGSSPTQDSLKALIYGTGIYLSPIHASLPVAHTIFSSLRPYKLNLPEASRDRCLGTAVKVALAIIQGPSAEMARGIIKRSGGNCRILVCAGGPNTYGPGSVPHSFSHPNYPYMEKSAMKWMENLGREAQRHDTVVDILCAGTCPVRVPVLQPLAKSSGGVLVLHDDFGEAFGVNLQRASLRAAGSHGLFEIRCSDDILVTQIIGPGEEASADSHETFKNDSSFCILMHSVEETQSFALSMETKGDIKNDFVYFQFAVRYSNVFQAEISRVITVRLPTVDSVSKYLASIQEDVAAVIIAKRTILHAKTSSDAIDMRQTIDERVKDIALKFGAQVEKSKLYRFPKEISSLPENLFHLKRGPLLGSIVGHEDERSVLRHLFLNASFDLSLRMLAPRCLMHREGGTFEELPAYDLAMQSNAAVVLDHGTDVFIWLGAELAAQEGKSAAALAACRTLAEELTELRFPAPRILAFKEGSSQARYFVSRLVPAHKDPPYEQEARFPQLRSLTTDQRARLKSSFLHFDDLSFCEWMRSLKLVPPEPR >DRNTG_25287.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31602531:31608308:-1 gene:DRNTG_25287 transcript:DRNTG_25287.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENPSPPPPVGFPVTLSSPGTRPEQRLAAPTFSSPVPTRFSPQRPQQDQLPKSVSRTPSSLSSGDGVQASSPIPLFSTPPGPPIFSSPVRPAAVPFCTSPATPQPLAFSPASSLPTSSPPHFSNGSTELPLHHSANVDESKFESPYVFFSAHKVLKRNKLANVSSLGFGALVSPGKEITPGPQVVQRNPHRCQNCGAYANLYCEILIGSGQWQCVICKKLNGSDGEYIATNKEDVWRLPELSSSAIDYIQTGNRKPGYIPVSDSRIPAPIFLVIDECLDEAHLQHLQGSLHAFVDSLPAATRIGIITYGRTVSVYDFSEGSMASADVLPGGSSPTQDSLKALIYGTGIYLSPIHASLPVAHTIFSSLRPYKLNLPEASRDRCLGTAVKVALAIIQGPSAEMARGIIKRSGGNCRILVCAGGPNTYGPGSVPHSFSHPNYPYMEKSAMKWMENLGREAQRHDTVVDILCAGTCPVRVPVLQPLAKSSGGVLVLHDDFGEAFGVNLQRASLRAAGSHGLFEIRCSDDILVTQIIGPGEEASADSHETFKNDSSFCILMHSVEETQSFALSMETKGDIKNDFVYFQFAVRYSNVFQAEISRVITVRLPTVDSVSKYLASIQEDVAAVIIAKRTILHAKTSSDAIDMRQTIDERVKDIALKFGAQVEKSKLYRFPKEISSLPENLFHLKRGPLLGSIVGHEDERSVLRHLFLNASFDLSLRMLAPRCLMHREGGTFEELPAYDLAMQSNAAVVLDHGTDVFIWLGAELAAQEGKSAAALAACRTLAEELTELRFPAPRILAFKEGSSQARYFVSRLVPAHKDPPYEQEARFPQLRSLTTDQRARLKSSFLHFDDLSFCEWMRSLKLVPPEPR >DRNTG_25287.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31602531:31608308:-1 gene:DRNTG_25287 transcript:DRNTG_25287.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENPSPPPPVGFPVTLSSPGTRPEQRLAAPTFSSPVPTRFSPQRPQQDQLPKSVSRTPSSLSSGDGVQASSPIPLFSTPPGPPIFSSPVRPAAVPFCTSPATPQPLAFSPASSLPTSSPPHFSNGSTELPLHHSANVDESKFESPYVFFSAHKVLKRNKLANVSSLGFGALVSPGKEITPGPQVVQRNPHRCQNCGAYANLYCEILIGSGQWQCVICKKLNGSDGEYIATNKEDVWRLPELSSSAIDYIQTGNRKPGYIPVSDSRIPAPIFLVIDECLDEAHLQHLQGSLHAFVDSLPAATRIGIITYGRTVSVYDFSEGSMASADVLPGGSSPTQDSLKALIYGTGIYLSPIHASLPVAHTIFSSLRPYKLNLPEASRDRCLGTAVKVALAIIQGPSAEMARGIIKRSGGNCRILVCAGGPNTYGPGSVPHSFSHPNYPYMEKSAMKWMENLGREAQRHDTVVDILCAGTCPVRVPVLQPLAKSSGGVLVLHDDFGEAFGVNLQRASLRAAGSHGLFEIRCSDDILVTQIIGPGEEASADSHETFKNDSSFCILMHSVEETQSFALSMETKGDIKNDFVYFQFAVRYSNVFQAEISRVITVRLPTVDSVSKYLASIQEDVAAVIIAKRTILHAKTSSDAIDMRQTIDERVKDIALKFGAQVEKSKLYRFPKEISSLPENLFHLKRGPLLGSIVGHEDERSVLRHLFLNASFDLSLRMLAPRCLMHREGGTFEELPAYDLAMQSNAAVVLDHGTDVFIWLGAELAAQEGKSAAALAACRTLAEELTELRFPAPRILAFKEGSSQARYFVSRLVPAHKDPPYEQEARFPQLRSLTTDQRARLKSSFLHFDDLSFCEWMRSLKLVPPEPR >DRNTG_25287.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31602531:31608308:-1 gene:DRNTG_25287 transcript:DRNTG_25287.6 gene_biotype:protein_coding transcript_biotype:protein_coding MENPSPPPPVGFPVTLSSPGTRPEQRLAAPTFSSPVPTRFSPQRPQQDQLPKSVSRTPSSLSSGDGVQASSPIPLFSTPPGPPIFSSPVRPAAVPFCTSPATPQPLAFSPASSLPTSSPPHFSNGSTELPLHHSANVDESKFESPYVFFSAHKVLKRNKLANVSSLGFGALVSPGKEITPGPQVVQRNPHRCQNCGAYANLYCEILIGSGQWQCVICKKLNGSDGEYIATNKEDVWRLPELSSSAIDYIQTGNRKPGYIPVSDSRIPAPIFLVIDECLDEAHLQHLQGSLHAFVDSLPAATRIGIITYGRTVSVYDFSEGSMASADVLPGGSSPTQDSLKALIYGTGIYLSPIHASLPVAHTIFSSLRPYKLNLPEASRDRCLGTAVKVALAIIQGPSAEMARGIIKRSGGNCRILVCAGGPNTYGPGSVPHSFSHPNYPYMEKSAMKWMENLGREAQRHDTVVDILCAGTCPVRVPVLQPLAKSSGGVLVLHDDFGEAFGVNLQRASLRAAGSHGLFEIRCSDDILVTQIIGPGEEASADSHETFKNDSSFCILMHSVEETQSFALSMETKGDIKNDFVYFQFAVRYSNVFQAEISRVITVRLPTVDSVSKYLASIQEDVAAVIIAKRTILHAKTSSDAIDMRQTIDERVKDIALKFGAQVEKSKLYRFPKEISSLPENLFHLKRGPLLGSIVGHEDERSVLRHLFLNASFDLSLRMLAPRCLMHREGGTFEELPAYDLAMQSNAAVVLDHGTDVFIWLGAELAAQEGKSAAALAACRTLAEELTELRFPAPRILAFKEGSSQARYFVSRLVPAHKDPPYEQEARFPQLRSLTTDQRARLKSSFLHFDDLSFCEWMRSLKLVPPEPR >DRNTG_25287.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31602531:31608308:-1 gene:DRNTG_25287 transcript:DRNTG_25287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPSPPPPVGFPVTLSSPGTRPEQRLAAPTFSSPVPTRFSPQRPQQDQLPKSVSRTPSSLSSGDGVQASSPIPLFSTPPGPPIFSSPVRPAAVPFCTSPATPQPLAFSPASSLPTSSPPHFSNGSTELPLHHSANVDESKFESPYVFFSAHKVLKRNKLANVSSLGFGALVSPGKEITPGPQVVQRNPHRCQNCGAYANLYCEILIGSGQWQCVICKKLNGSDGEYIATNKEDVWRLPELSSSAIDYIQTGNRKPGYIPVSDSRIPAPIFLVIDECLDEAHLQHLQGSLHAFVDSLPAATRIGIITYGRTVSVYDFSEGSMASADVLPGGSSPTQDSLKALIYGTGIYLSPIHASLPVAHTIFSSLRPYKLNLPEASRDRCLGTAVKVALAIIQGPSAEMARGIIKRSGGNCRILVCAGGPNTYGPGSVPHSFSHPNYPYMEKSAMKWMENLGREAQRHDTVVDILCAGTCPVRVPVLQPLAKSSGGVLVLHDDFGEAFGVNLQRASLRAAGSHGLFEIRCSDDILVTQIIGPGEEASADSHETFKNDSSFCILMHSVEETQSFALSMETKGDIKNDFVYFQFAVRYSNVFQAEISRVITVRLPTVDSVSKYLASIQEDVAAVIIAKRTILHAKTSSDAIDMRQTIDERVKDIALKFGAQVEKSKLYRFPKEISSLPENLFHLKRGPLLGSIVGHEDERSVLRHLFLNASFDLSLRMLAPRCLMHREGGTFEELPAYDLAMQSNAAVVLDHGTDVFIWLGAELAAQEGKSAAALAACRTLAEELTELRFPAPRILAFKEGSSQARYFVSRLVPAHKDPPYEQEARFPQLRSLTTDQRARLKSSFLHFDDLSFCEWMRSLKLVPPEPR >DRNTG_25287.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31602531:31608308:-1 gene:DRNTG_25287 transcript:DRNTG_25287.5 gene_biotype:protein_coding transcript_biotype:protein_coding MENPSPPPPVGFPVTLSSPGTRPEQRLAAPTFSSPVPTRFSPQRPQQDQLPKSVSRTPSSLSSGDGVQASSPIPLFSTPPGPPIFSSPVRPAAVPFCTSPATPQPLAFSPASSLPTSSPPHFSNGSTELPLHHSANVDESKFESPYVFFSAHKVLKRNKLANVSSLGFGALVSPGKEITPGPQVVQRNPHRCQNCGAYANLYCEILIGSGQWQCVICKKLNGSDGEYIATNKEDVWRLPELSSSAIDYIQTGNRKPGYIPVSDSRIPAPIFLVIDECLDEAHLQHLQGSLHAFVDSLPAATRIGIITYGRTVSVYDFSEGSMASADVLPGGSSPTQDSLKALIYGTGIYLSPIHASLPVAHTIFSSLRPYKLNLPEASRDRCLGTAVKVALAIIQGPSAEMARGIIKRSGGNCRILVCAGGPNTYGPGSVPHSFSHPNYPYMEKSAMKWMENLGREAQRHDTVVDILCAGTCPVRVPVLQPLAKSSGGVLVLHDDFGEAFGVNLQRASLRAAGSHGLFEIRCSDDILVTQIIGPGEEASADSHETFKNDSSFCILMHSVEETQSFALSMETKGDIKNDFVYFQFAVRYSNVFQAEISRVITVRLPTVDSVSKYLASIQEDVAAVIIAKRTILHAKTSSDAIDMRQTIDERVKDIALKFGAQVEKSKLYRFPKEISSLPENLFHLKRGPLLGSIVGHEDERSVLRHLFLNASFDLSLRMLAPRCLMHREGGTFEELPAYDLAMQSNAAVVLDHGTDVFIWLGAELAAQEGKSAAALAACRTLAEELTELRFPAPRILAFKEGSSQARYFVSRLVPAHKDPPYEQEARFPQLRSLTTDQRARLKSSFLHFDDLSFCEWMRSLKLVPPEPR >DRNTG_11943.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:19032017:19033624:1 gene:DRNTG_11943 transcript:DRNTG_11943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRQWMYNRNLLGRQGLTNEFILGVDEFIQFAINQDDSYKNGENIRCPCFKCKNRRFLHPNDITLHLYRRWFRECYWNWTCHGEEVFPISEELKEVDDQTLDHIAQEDEQMTWDQRMIYDCLRSHVPPMHESYCYDEAGPSVQPPLDESVLGLQSDEISQLSNRFFDILKAADQPLYVGC >DRNTG_11943.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:19033019:19033624:1 gene:DRNTG_11943 transcript:DRNTG_11943.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGTKMLTRQWMYNRNLLGRQGLTNEFILGVDEFIQFAINQDDSYKNGENIRCPCFKCKNRRFLHPNDITLHLYRRWFRECYWNWTCHGEEVFPISEELKEVDDQTLDHIAQEDEQMTWDQRMIYDCLRSHVPPMHESYCYDEAGPSVQPPLDESVLGLQSDEISQLSNRFFDILKAADQPLYVGC >DRNTG_33213.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:422022:424291:-1 gene:DRNTG_33213 transcript:DRNTG_33213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFVVNLMILLQNMEISLDHPKLSRETTVQGAITEVAAMVGENVKLRRGFALSTSSSSHGVISTYLHTCPKPGLGRIAGILTLEAKDNNASVDALQRVGSSLAMHIVAAKPLFLTKENVSSAALESERDILKTQAESSGKSQIAIEKMVEGRLRKYFEEVVLLEQKFVMNDSVNIKLVLKDLSKEVGTEVVIGNFLRMEVGEGIQRIEAEESDSVAQAT >DRNTG_13714.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5396379:5400755:1 gene:DRNTG_13714 transcript:DRNTG_13714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTCPPPLSGNKSSHIPFSTTDMVGNSVLDNSFPSLS >DRNTG_13714.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5396379:5400755:1 gene:DRNTG_13714 transcript:DRNTG_13714.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTCPPPLSGNKSSHIPFSTTDMVGNSVLDNSFPSLS >DRNTG_09495.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21602103:21604108:1 gene:DRNTG_09495 transcript:DRNTG_09495.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPIIGHSSHGRPASASGSSTQNERPELPCGDVNADRDSRNVDMQLTDSYPYGHGDIPLDIEKRKRDSQQGWAYPIADMPHPQFGNWHGPEVNTPDEVWHKGTAGGPFRPVGSAVTYPIEHFAYFRPQIQGLLPNPQSIPKPGGGLGCYYPENRDMHFPRQPPDSYMISSQQIITLTPGVQQTPQPIDGCHDSRANYFNSINSEVPCIGSPPDVGYLYDEKSNAHFRKFHMSYSSRAPNTDKEQAKSDQVDEIHEGQYVVLLKQDDDGGDHIVHEKREQSILSNCPHFEGSNQQEATSCQGNWRANSRNGEASSVSPTPGTGRSSEPVSDWEGHSSDDAYLTKSLGDLNDVTDESWMKKESNGTAPMHDEEQYSAIKRDSSLMEKIEVLNNKARIADHHIEGGQLSSKDAVKTLKDVNLQAKAPEKNTCIGDTLDGSCLASGIAQPASHKKRTSNEDTECRKVVLQPSESQVFKSTKSGGLENCRKTHHQIRRKGHFVQSGLDCRAKSGFTGSQGDDELVKRIAGRNSTKSSSPRDKDNYTVSEAPDFSMSQDIIEKQVLPNATNAEDGSLATKFAHSKDHHEIQASNFIMVGFCASL >DRNTG_09495.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21604791:21607672:1 gene:DRNTG_09495 transcript:DRNTG_09495.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFKSDSTPKTVGSVDESQRGNSAGNNSGSTSDPSLRSQYQSLSWEQDANNSGDVTRKSSRHFHESKFPKHKNVGYRRKQSTIQEKIQGQQPFSVMNVGRRSSVDVSMHHLECDSVLKIEDLPTQPKKKTNRTSKSKLKADEVSSRSSIQSSANNEENLENGPLNIGKTQSESLVEIKPDSAQSVIETLKNQCSRDEVGTPSTEELLQETDHYFRGSNHWKQQSSRPMRNQRGIKTGYKFHGSEAVMWAPVRPQNKTIQSDGTTLNHNNQIGDNFLEKDEHDTQNVAKTKRAEMERYVPKPAVKERLYQNSQQNLPCINQTAPCEVMVEPDFDGKSSVKQEFGSDATNGEVNKSNRRGKMHASWCQRHSAGLPPVSQSFCNEGSSSADVAGHLQPQQHHQSRGDSRAGSQLVYDDVRDKKLINDTGSAFPLTDINGLNCGTNVEKDETPALESAMLGNENARFSHEQKRWQPKFHSYPHSTGRGKRGMRSQRVASQGQRFDKEFRSQGTGNSLENVDANYSARITGGIEMRIAENQEADHAVPLNSVKTDPAELLDKLYNEELLRQDNQQEQPVSSAMCQHGTNNGHYGRGQGATYRAQYGGQDATMQNPNFSRGRKIGSQFEYQQIGSSDKPNDYSQQNFTDLEKHDSPRVHQTKNRGRGRNYYRHHGGGNRQFYVQTSRTAVCVTDQKNLAE >DRNTG_09495.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21601010:21607672:1 gene:DRNTG_09495 transcript:DRNTG_09495.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGHSSHGRPASASGSSTQNERPELPCGDVNADRDSRNVDMQLTDSYPYGHGDIPLDIEKRKRDSQQGWAYPIADMPHPQFGNWHGPEVNTPDEVWHKGTAGGPFRPVGSAVTYPIEHFAYFRPQIQGLLPNPQSIPKPGGGLGCYYPENRDMHFPRQPPDSYMISSQQIITLTPGVQQTPQPIDGCHDSRANYFNSINSEVPCIGSPPDVGYLYDEKSNAHFRKFHMSYSSRAPNTDKEQAKSDQVDEIHEGQYVVLLKQDDDGGDHIVHEKREQSILSNCPHFEGSNQQEATSCQGNWRANSRNGEASSVSPTPGTGRSSEPVSDWEGHSSDDAYLTKSLGDLNDVTDESWMKKESNGTAPMHDEEQYSAIKRDSSLMEKIEVLNNKARIADHHIEGGQLSSKDAVKTLKDVNLQAKAPEKNTCIGDTLDGSCLASGIAQPASHKKRTSNEDTECRKVVLQPSESQVFKSTKSGGLENCRKTHHQIRRKGHFVQSGLDCRAKSGFTGSQGDDELVKRIAGRNSTKSSSPRDKDNYTVSEAPDFSMSQDIIEKQVLPNATNAEDGSLATKFAHSKDHHEIQKAIATQHSKQLEERQVKELNTKSLTELEGLNRHIAHGFNQNFNNTTTTSKDFDHMQAFKSDSTPKTVGSVDESQRGNSAGNNSGSTSDPSLRSQYQSLSWEQDANNSGDVTRKSSRHFHESKFPKHKNVGYRRKQSTIQEKIQGQQPFSVMNVGRRSSVDVSMHHLECDSVLKIEDLPTQPKKKTNRTSKSKLKADEVSSRSSIQSSANNEENLENGPLNIGKTQSESLVEIKPDSAQSVIETLKNQCSRDEVGTPSTEELLQETDHYFRGSNHWKQQSSRPMRNQRGIKTGYKFHGSEAVMWAPVRPQNKTIQSDGTTLNHNNQIGDNFLEKDEHDTQNVAKTKRAEMERYVPKPAVKERLYQNSQQNLPCINQTAPCEVMVEPDFDGKSSVKQEFGSDATNGEVNKSNRRGKMHASWCQRHSAGLPPVSQSFCNEGSSSADVAGHLQPQQHHQSRGDSRAGSQLVYDDVRDKKLINDTGSAFPLTDINGLNCGTNVEKDETPALESAMLGNENARFSHEQKRWQPKFHSYPHSTGRGKRGMRSQRVASQGQRFDKEFRSQGTGNSLENVDANYSARITGGIEMRIAENQEADHAVPLNSVKTDPAELLDKLYNEELLRQDNQQEQPVSSAMCQHGTNNGHYGRGQGATYRAQYGGQDATMQNPNFSRGRKIGSQFEYQQIGSSDKPNDYSQQNFTDLEKHDSPRVHQTKNRGRGRNYYRHHGGGNRQFYVQTSRTAVCVTDQKNLAE >DRNTG_09495.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21600724:21607672:1 gene:DRNTG_09495 transcript:DRNTG_09495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILTGDRRYGTVRGKFTVLGKVPKPINLPSQKLENRGLDPNVEIVPRGTLTWGSRAATPNAWASSASSSPHADENANSPVRGRPSSSGSGPRPSTSDSDKSNELNSKAWGQNSRPSSASGSFASNQLSLAPSRPRSAESRPVCSQLSRFAENSTENTVAWVGIYGREFNCLYGLFYSMLKFVFQ >DRNTG_09495.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21599050:21604108:1 gene:DRNTG_09495 transcript:DRNTG_09495.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILTGDRRYGTVRGKFTVLGKVPKPINLPSQKLENRGLDPNVEIVPRGTLTWGSRAATPNAWASSASSSPHADENANSPVRGRPSSSGSGPRPSTSDSDKSNELNSKAWGQNSRPSSASGSFASNQLSLAPSRPRSAESRPVCSQLSRFAENSTENTVAWGSRTNSSGYAPSSGDFPPLGSENNSESHMQRGHSSHGRPASASGSSTQNERPELPCGDVNADRDSRNVDMQLTDSYPYGHGDIPLDIEKRKRDSQQGWAYPIADMPHPQFGNWHGPEVNTPDEVWHKGTAGGPFRPVGSAVTYPIEHFAYFRPQIQGLLPNPQSIPKPGGGLGCYYPENRDMHFPRQPPDSYMISSQQIITLTPGVQQTPQPIDGCHDSRANYFNSINSEVPCIGSPPDVGYLYDEKSNAHFRKFHMSYSSRAPNTDKEQAKSDQVDEIHEGQYVVLLKQDDDGGDHIVHEKREQSILSNCPHFEGSNQQEATSCQGNWRANSRNGEASSVSPTPGTGRSSEPVSDWEGHSSDDAYLTKSLGDLNDVTDESWMKKESNGTAPMHDEEQYSAIKRDSSLMEKIEVLNNKARIADHHIEGGQLSSKDAVKTLKDVNLQAKAPEKNTCIGDTLDGSCLASGIAQPASHKKRTSNEDTECRKVVLQPSESQVFKSTKSGGLENCRKTHHQIRRKGHFVQSGLDCRAKSGFTGSQGDDELVKRIAGRNSTKSSSPRDKDNYTVSEAPDFSMSQDIIEKQVLPNATNAEDGSLATKFAHSKDHHEIQASNFIMVGFCASL >DRNTG_04679.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30397854:30399464:-1 gene:DRNTG_04679 transcript:DRNTG_04679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPDERNIADKFSVKPLGGSDDALELESITSRKGSKVGLSTGSSETASETSPISVG >DRNTG_21791.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:16936387:16936794:1 gene:DRNTG_21791 transcript:DRNTG_21791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFERFLHHLKKKVQNQACVEGSICEAYIIQEISSFCSMYFESTVETRLNRVPRNDDGGDVESVG >DRNTG_17291.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000889.1:23985:24771:1 gene:DRNTG_17291 transcript:DRNTG_17291.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQAVLSDIKSGGFPWWWSWLERQVGTTQTPTSRPTTGQATTPKISTRSLAEAYPRPSSRSKQAITGNANIDASTPRSSKPIKQKYTPNSSNRWQVQGFKDDDSLTSCPAFTVPNYMVPTVSAKAKVRDGPPATPEGKRRFSFGLTQSIGSIRWSKGSSVLSTKDSESKRMSGRHRPMHSIGNLSMDSTISLPVGVGGRSFR >DRNTG_20367.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:268514:268850:-1 gene:DRNTG_20367 transcript:DRNTG_20367.1 gene_biotype:protein_coding transcript_biotype:protein_coding NTKHQQQLGKVIQGLRVRTSSPRKYPENTKIIAIKWLRNSKNNSAPHYSQSCERNQGLKSPI >DRNTG_34683.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28765319:28768273:-1 gene:DRNTG_34683 transcript:DRNTG_34683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPARPAMARRLLARLLLFGVIALIVRFAYVVSVRGASCIGSADFCFFSSPDSVAVAAAGVTSGAAFVRVSGDVSGSSPALRDLWSSRDWRKAVDFYSAAFQRLETEGFVAKFSKTLCVGSAVGHEVLALKEIGVSDSIGISRSRAPPLVVSGNLFRQPFKNGIFDFVFAGSVLESSQRPVDLASELARTMKPEGFLVIHTASAADVYSLHSLLDLFPSFRLMRSHEVDGPDASKKLREIVLQKQDGSEMENSHLNSDGGSVSKCLVPEHKQRILNEAEPLIQEEPLKPWLTLKKNLKNVKYLPTMADISFKRRYLYIDVGARSYGSSIGSWFKKQYPKQNRTFEVYAIEADRSFHEEYATKKGVNLLPYAAWVRNETLTFEINHNPDQKADEKGGRGMGRIKPAEGSSDNLGSGDVHSIHGFDFAEWLKSTATERDFVVVKMDIEGTEFDLVPRLFETGAICLIDELFLECHYNRWQRCCPGQRSPKYKNTYGQCMELFSTLRDSGVLVHQWW >DRNTG_34683.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28766175:28768450:-1 gene:DRNTG_34683 transcript:DRNTG_34683.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPARPAMARRLLARLLLFGVIALIVRFAYVVSVRGASCIGSADFCFFSSPDSVAVAAAGVTSGAAFVRVSGDVSGSSPALRDLWSSRDWRKAVDFYSAAFQRLETEGFVAKFSKTLCVGSAVGHEVLALKEIGVSDSIGISRSRAPPLVVSGNLFRQPFKNGIFDFVFAGSVLESSQRPVDLASELARTMKPEGFLVIHTASAADVYSLHSLLDLFPSFRLMRSHEVDGPDASKKLREIVLQKQDGSEMENSHLNSDGGSVSKCLVPEHKQRILNEAEPLIQEEPLKPWLTLKKNLKNVKYLPTMADISFKRRYLYIDVGARSYGSSIGSWFKKQYPKQNRTFEVYAIEADRSFHEEYATKKGVNLLPYAAWVRNETLTFEINHNPDQKADEKGGRGMGRIKPAEGSSDNLGSGDVHSIHGFDFAEWLKSTATERDFVVVKMDIEGTEFDLVPRLFETGAICLIDELFLECHYNRWQRCCPGQRSPKYKNTYGQCMELFSTLRDSGVLVHQWW >DRNTG_34683.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28766175:28768273:-1 gene:DRNTG_34683 transcript:DRNTG_34683.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPARPAMARRLLARLLLFGVIALIVRFAYVVSVRGASCIGSADFCFFSSPDSVAVAAAGVTSGAAFVRVSGDVSGSSPALRDLWSSRDWRKAVDFYSAAFQRLETEGFVAKFSKTLCVGSAVGHEVLALKEIGVSDSIGISRSRAPPLVVSGNLFRQPFKNGIFDFVFAGSVLESSQRPVDLASELARTMKPEGFLVIHTASAADVYSLHSLLDLFPSFRLMRSHEVDGPDASKKLREIVLQKQDGSEMENSHLNSDGGSVSKCLVPEHKQRILNEAEPLIQEEPLKPWLTLKKNLKNVKYLPTMADISFKRRYLYIDVGARSYGSSIGSWFKKQYPKQNRTFEVYAIEADRSFHEEYATKKGVNLLPYAAWVRNETLTFEINHNPDQKADEKGGRGMGRIKPAEGSSDNLGSGDVHSIHGFDFAEWLKSTATERDFVVVKMDIEGTEFDLVPRLFETGAICLIDELFLECHYNRWQRCCPGQRSPKYKNTYGQCMELFSTLRDSGVLVHQWW >DRNTG_34683.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28766057:28768273:-1 gene:DRNTG_34683 transcript:DRNTG_34683.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPARPAMARRLLARLLLFGVIALIVRFAYVVSVRGASCIGSADFCFFSSPDSVAVAAAGVTSGAAFVRVSGDVSGSSPALRDLWSSRDWRKAVDFYSAAFQRLETEGFVAKFSKTLCVGSAVGHEVLALKEIGVSDSIGISRSRAPPLVVSGNLFRQPFKNGIFDFVFAGSVLESSQRPVDLASELARTMKPEGFLVIHTASAADVYSLHSLLDLFPSFRLMRSHEVDGPDASKKLREIVLQKQDGSEMENSHLNSDGGSVSKCLVPEHKQRILNEAEPLIQEEPLKPWLTLKKNLKNVKYLPTMADISFKRRYLYIDVGARSYGSSIGSWFKKQYPKQNRTFEVYAIEADRSFHEEYATKKGVNLLPYAAWVRNETLTFEINHNPDQKADEKGGRGMGRIKPAEGSSDNLGSGDVHSIHGFDFAEWLKSTATERDFVVVKMDIEGTEFDLVPRLFETGAICLIDELFLECHYNRWQRCCPGQRSPKYKNTYGQCMELFSTLRDSGVLVHQWW >DRNTG_34683.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28766143:28768450:-1 gene:DRNTG_34683 transcript:DRNTG_34683.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPARPAMARRLLARLLLFGVIALIVRFAYVVSVRGASCIGSADFCFFSSPDSVAVAAAGVTSGAAFVRVSGDVSGSSPALRDLWSSRDWRKAVDFYSAAFQRLETEGFVAKFSKTLCVGSAVGHEVLALKEIGVSDSIGISRSRAPPLVVSGNLFRQPFKNGIFDFVFAGSVLESSQRPVDLASELARTMKPEGFLVIHTASAADVYSLHSLLDLFPSFRLMRSHEVDGPDASKKLREIVLQKQDGSEMENSHLNSDGGSVSKCLVPEHKQRILNEAEPLIQEEPLKPWLTLKKNLKNVKYLPTMADISFKRRYLYIDVGARSYGSSIGSWFKKQYPKQNRTFEVYAIEADRSFHEEYATKKGVNLLPYAAWVRNETLTFEINHNPDQKADEKGGRGMGRIKPAEGSSDNLGSGDVHSIHGFDFAEWLKSTATERDFVVVKMDIEGTEFDLVPRLFETGAICLIDELFLECHYNRWQRCCPGQRSPKYKNTYGQCMELFSTLRDSGVLVHQWW >DRNTG_34683.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28766143:28768273:-1 gene:DRNTG_34683 transcript:DRNTG_34683.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPARPAMARRLLARLLLFGVIALIVRFAYVVSVRGASCIGSADFCFFSSPDSVAVAAAGVTSGAAFVRVSGDVSGSSPALRDLWSSRDWRKAVDFYSAAFQRLETEGFVAKFSKTLCVGSAVGHEVLALKEIGVSDSIGISRSRAPPLVVSGNLFRQPFKNGIFDFVFAGSVLESSQRPVDLASELARTMKPEGFLVIHTASAADVYSLHSLLDLFPSFRLMRSHEVDGPDASKKLREIVLQKQDGSEMENSHLNSDGGSVSKCLVPEHKQRILNEAEPLIQEEPLKPWLTLKKNLKNVKYLPTMADISFKRRYLYIDVGARSYGSSIGSWFKKQYPKQNRTFEVYAIEADRSFHEEYATKKGVNLLPYAAWVRNETLTFEINHNPDQKADEKGGRGMGRIKPAEGSSDNLGSGDVHSIHGFDFAEWLKSTATERDFVVVKMDIEGTEFDLVPRLFETGAICLIDELFLECHYNRWQRCCPGQRSPKYKNTYGQCMELFSTLRDSGVLVHQWW >DRNTG_19988.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:857060:858589:-1 gene:DRNTG_19988 transcript:DRNTG_19988.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFIMTRSSQAMVLPSKPTPNGDLPLSLVDKALYMRSMMELFQVFHTGHHPAKLIRQALAMALVPYYPVAGRLAENHVACTGEGVLFSEATANCSLKDLSIDLSQPFLIPVNDLLPIIDAPQDLILMIQVTEFTCGGFVVCFGYNHAVFDGFGIMNFFRAVGEIARGLEKPVIEPIWCREIIPGPPPTTKPAAQPSFSSAIPNLHLEFSTLDVQLDIMKEKFLKETGRTCSEFDVAVAALLQCRTRAINPEHAETLDFHLVCTSDARPLLHELIPGYEGYYGNCTSHALVTAPASKIMQATVTDVVGWILDAKERVSDEFWKWLRGEHSDKRITSVCNYETIVVTDMENLGSKDVNYGWGAPVQSGKLSYSDHMVFCMVDGSLKIEGGVRITGRLVREEHLQAFHDEMDKACNY >DRNTG_06379.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16675240:16679429:-1 gene:DRNTG_06379 transcript:DRNTG_06379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSSVAARFAFFPPEPPTYEVFREGGEDGRLCLSGLGVDRNVDVHLVETRAGNRVVATFWRHPSARFTLLYSHGNAADLGQMLDLFLELRAHLRVNIMSYDYSGYGASTGKPSEFNTYYDIEAVYDCLKREYGIKQEDLILYGQSVGSGPTLHLAARLQKLRGVVLHSAILSGIRVLYPVKMTFWFDIFKNIDKIRQVNCHVLVIHGTADDIVDFSHGKRLWELSNEKYDPLWIKGGGHCNLETYPEYIKHLRKFIVSMEKLSLVKSRKQNNPPTSTITEVKHNKCLRFGKR >DRNTG_27142.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001384.1:39874:41069:1 gene:DRNTG_27142 transcript:DRNTG_27142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTLLDAGITNIQILEATDHIGGRMHKVPFAGINVELGANWVEGVNGNKVNPIWALANDLNLRNFRSDYNNISANFYKHRGGLYDISAVEEEIEKAEKVEAAGEEIVPELAENGRDDISILTLQRLLDHVPTDPIGMAVDYYTFDYEFAEPPRVTSMRNTIPLPTFSNFGEDVYFVADQRGYGSIVE >DRNTG_31227.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001676.1:11161:11738:-1 gene:DRNTG_31227 transcript:DRNTG_31227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMGYPGERSLAIIKPWMLKSLQRFTLLHSQLSPNGRSSLSPFTLL >DRNTG_27675.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001403.1:66278:68865:-1 gene:DRNTG_27675 transcript:DRNTG_27675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRKKSRKSLPNPNPSTMLQDAQEETISIQPVGEIEVDGEDEDRPMIEAPEASLEEIPPSSPVSDAVVVPDVPLPNPPPTSRKPNAGGKRKKPFHCGKKRLAEIEEKLELLRGNFRPIPFSPPAKVPDFAKHERLFRALGLWDFAHLDLDREIRSDLLILLIAGYDPPNRRSFVGKMRVSVSRADLARALGLPVKKDKAELDPEVVSGEEPAAVVLDFISSWMLFQDEDNPAWILPKEVVAATQMVKEGQPHKVDWAGLMWILVEKEMLEAPKSGKCFYASHLQCLMKHQKRSLFEEAELEPEPDMEVEVDMEPEQEQEPSLEAVALEGGDDDDVGDGGIMKTRSLDEFQDNGAEGQGPGLSLGLGATGGDGMDGVESCKEEQEEQEVQEEQWIDKEKSSGLEHCLQPCSMSVERNIECENLIKDGSDGLLREEDRYDNELTEKFSSLERYASTDLLQSMDTVNISYSPPRHHLDQSSGDFLAMRSDSDKNMAMAHDPSSSLLFGNGCKRGIADIADGGVDEEDSLQEFPQGNQRKRMCSVDPWEHTQSPYDACMEQVQCWMGKAKMLHAEKEQGYKSAQLELQYLNAVLQQKDQIIQSLEKTRMEEQQKRHLEFCRFEHELKLMAHLLVGYKKALREIRAAFADFRKQVSGDDESLYKDVPGSGGLVLSSRELDRQRHEKEEEMRHLAVGMINDFQKEWFAKFDEFADWVVKSASKLVHLADEVKLLKERFEESKGLSN >DRNTG_25876.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9062272:9066808:1 gene:DRNTG_25876 transcript:DRNTG_25876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPQMPKRIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLREQEQKYFDDMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELTPEKLGRAGLVREKSFGTTKDRMLYIEQCANSKAVTIFIRGGNKMMIEETKRSLHDALCVARNLIRNNSIVYGGGSAEISCSIAVEAAADRYPGVEQYAIRSFADALDSIPLALAENSGLQPIDTLTAVKSQQVKENNPYCGIDCNDVGTTDMQEQNVFETLIGKQQQILLATQVVKMILKIDDVIAPSEY >DRNTG_23188.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1781148:1784099:1 gene:DRNTG_23188 transcript:DRNTG_23188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPSWLVDSKRIASKIKNATEIVDPSKFKWKSNPCKACPSCNHVIDNSDVVQDWPGLPKGVKFDPSDQELVWHLLAKVGQGDRKPHPFINEFIPTVDEDDGICYTHPQKLPGVKQDGSMSHFFHRTFKAYNTGTRKRRKINYDDGDVRWHKTGKTKPVVIDGEHLGCKKIMVLYMSTTKGGKCEKTNWVMHQYHLGTGEDEKDGEYVVSKIYYQQQSKQIEKSGQDLQPEIVEAEFVEVESVPCPKSVNLQAVDKESPTLTASNISDAEVYCHETRDADNCRRIQCENTEKINDQENPPLAKENEWWEGESQFLLDSQQLAEGIAICEEFLQSQSSCGGDEPKISKPRISDYAHMGVENLKKDLEECQNLPQVDRSNIEFDTPPDMRLSQLEFGSQDSFLAWAGTKLAD >DRNTG_06378.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16695162:16695242:1 gene:DRNTG_06378 transcript:DRNTG_06378.4 gene_biotype:protein_coding transcript_biotype:protein_coding EANKSDNGKAPHSSWCNYDDLNEYFW >DRNTG_06378.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16693417:16695242:1 gene:DRNTG_06378 transcript:DRNTG_06378.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLFKNYKTWCKFLGRKHSLRLPQGQQEVQQRKILYMGLYLLIWGEAANVRFMPECLCYIFHNMAYELHGLLAGNVSVVTGENIRPSYGGDDEAFLKKVITPIYHVIAKEANKSDNGKAPHSSWCNYDDLNEYFW >DRNTG_06378.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16692452:16698467:1 gene:DRNTG_06378 transcript:DRNTG_06378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEEVKAAVSALRSTRGLSWPTSFEQQRQKTGDLDLLDWLRAMFGFQRDNVRNQREHLILLLANVHIRLKPKPEPLNKLDERAVDAVMNKLFKNYKTWCKFLGRKHSLRLPQGQQEVQQRKILYMGLYLLIWGEAANVRFMPECLCYIFHNMAYELHGLLAGNVSVVTGENIRPSYGGDDEAFLKKVITPIYHVIAKEANKSDNGKAPHSSWCNYDDLNEYFWSSDCFSLGWPMRDDGDFFKSTLETRPLAKAGNSSQKSSGPRTGKSNFIETRTFWHIFRSFDRLWTFYILALQAMTIIAWSGYSPLEIFQKDILYSISSIFITAAFLRFLQSFLDLVLNFPGYHRWRFTDVLRNILKIIVSLAWVIILPLLYFQSKSPINFPFKDLMKWLKQIKGVPELYILAVILYLLPNLLTVVLFLFPMLRRWIENSDWHIIRLLLWWSQPRIYVGRGMHESQFALFKYTLFWVLLLCSKIAFSYYFQVKPMIQPTRDIMKVHNIHYAWHEFFPNAPNNIGALISLWVPVVLV >DRNTG_03542.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:283169:285613:1 gene:DRNTG_03542 transcript:DRNTG_03542.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSQPPNPIPTKHWHPVCLPVSKHKP >DRNTG_03542.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:284722:285613:1 gene:DRNTG_03542 transcript:DRNTG_03542.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENSESRNKLSRHSQAIRTHSKCSMKCRGERNPNPIDL >DRNTG_03542.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:283016:285613:1 gene:DRNTG_03542 transcript:DRNTG_03542.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSQPPNPIPTKHWHPVCLPVSKHKP >DRNTG_33645.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1982949:1983706:-1 gene:DRNTG_33645 transcript:DRNTG_33645.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYLFASQKRSTKRRKMMKLESSNALLKPSPYNSENQDLLQNQL >DRNTG_33645.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1982949:2006827:-1 gene:DRNTG_33645 transcript:DRNTG_33645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYLFASQKRSTKRRKMMKLESSNALLKPSPYNSENQDLLQNQL >DRNTG_11627.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000513.1:50863:51564:-1 gene:DRNTG_11627 transcript:DRNTG_11627.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNERMNNVIILHLLLLFVLTIVSQASHAHYSLGKEKVTHFHFFFRERPSGDHPTTVLVAKPKDTIMNASNPLPFGAVYVLDVPLTEGLDPNSKVVGQAQGLAVSVGQDKLMVAFMVDCGFTSGEFNGSSISAFSRNPILETNHREIAIVGGRGKFRMARGFAELHTVTATANVVVVEYNVTIFHYE >DRNTG_11627.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000513.1:50863:53157:-1 gene:DRNTG_11627 transcript:DRNTG_11627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNERINNVIFLHLLLLFVLTIVSRGHAHYSLGKEKVTHLHFFFQERLNGDHPTTVLVAKPKDTVISASNTLPFGAVYVLDVPLTEGLDPNSKVVGQAQGLAVSVGQDKLMVAFMVDCGFTSGEFNGSSISAFSRNPILETNHREIAIVGGRGKFRMARGFAELHTVTATANVVVVEYNVTIFHYE >DRNTG_28701.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:435955:440947:1 gene:DRNTG_28701 transcript:DRNTG_28701.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKMGADRMFMPSPSLDQFIHAVKLTVLANKRWVPPHGKGSLYVRPLLIGSGPILGLAPSPEYMFLVYASPVGNYFKEGLAPINLLVADKTHRAMPGGTGGVKTICNYAPVLKAQMQAKSKGFSDVLFLDAVNNKYLEEASSCNIFIVKGNVISTPATNGTILPGITRKSIIEIASDLGYQVEERLVPVEELSDADEVFCTGTAVVVAPVASISYNDKRYTFKTGKETVSKRLYNTLTAIQMGLVQDNKGWTLEI >DRNTG_28701.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:435955:440947:1 gene:DRNTG_28701 transcript:DRNTG_28701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRRVVSTTSFPKLFGCSYSSFSKIGSFASYTSSPASSLSPKHEEYSYRNENELAEINWDELRFGLVPTDCMYVMKSSQDGEFTHGELRNFDNIKLNPSSGVLNYGQGLYEGLKASRKREEGGLLLFRVEENAMRMKMGADRMFMPSPSLDQFIHAVKLTVLANKRWVPPHGKGSLYVRPLLIGSGPILGLAPSPEYMFLVYASPVGNYFKEGLAPINLLVADKTHRAMPGGTGGVKTICNYAPVLKAQMQAKSKGFSDVLFLDAVNNKYLEEASSCNIFIVKGNVISTPATNGTILPGITRKSIIEIASDLGYQVEERLVPVEELSDADEVFCTGTAVVVAPVASISYNDKRYTFKTGKETVSKRLYNTLTAIQMGLVQDNKGWTLEI >DRNTG_06263.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:139766:142833:-1 gene:DRNTG_06263 transcript:DRNTG_06263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPFAGGVFLVSIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >DRNTG_29910.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001516.1:19243:21987:1 gene:DRNTG_29910 transcript:DRNTG_29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRIHVTCALVYGPTPTGRIHKGVCSTSMKHATPLVEDQDCSWAPVFVRQSNFKLPADTSVPIIMIGPGTGLAPFRGFLQERLALKHSGAELGQAILFFGCRNRKMDFIYEDELNNFVEAGALSELIVAFSREGPTKDYVQHKMTEKASELWHIISNGGYVYVCGDAKGMARDVHRVLHTIVQEQGSLDSSKAESMVKSLQMEGRYLRDVW >DRNTG_35040.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4304662:4305807:1 gene:DRNTG_35040 transcript:DRNTG_35040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGVPLHVEYVVADYFHHHSEYLQLGALFAEPYITHLMFKMGLIHSVRGEERDSSPAPLSLATLRLMGIVRRTGSGEYALVELSSGDYEEPAEATEAPPAAEPIVNEAARAASADLESSSSRVHKRLARLEAVIATIIENQARILERLDHIQQTLDEEVSSASVPAPATALDTDA >DRNTG_26059.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17712624:17718136:-1 gene:DRNTG_26059 transcript:DRNTG_26059.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSSNIANKG >DRNTG_29153.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5287436:5288472:1 gene:DRNTG_29153 transcript:DRNTG_29153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKTTVPLEHPADMFLYKSITNFKLVMTNCHSVHPPSPNTRKRNLNENSTSHNQKQKGGPDTNYTLYTSLTQNSYVVCTKITWEVKKTNSLTRKSA >DRNTG_10001.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21639981:21640817:-1 gene:DRNTG_10001 transcript:DRNTG_10001.10 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIYHVFNIDLSWFSAGNKCSPFFQLLIHLIVLSSTVFSATPLLLSPAGCWYMRWVIRSMVDGSWPDPSGSNTNNGTRLVRAIQNFQIKLNSKLQELRKGLVMKVLFFLLGFYCAAAFATVIGQTGDWDILFAGIAVVVVKAIGALMYRASFHILDKLKSLIAF >DRNTG_10001.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21639988:21641084:-1 gene:DRNTG_10001 transcript:DRNTG_10001.8 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMMGCWYMRWVIRSMVDGSWPDPSGSNTNNGTRLVRAIQNFQIKLNSKLQELRKGLVMKVLFFLLGFYCAAAFATVIGQTGDWDILFAGIAVVVVKAIGALMYRASFHILDKLKSLI >DRNTG_10001.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21639988:21641084:-1 gene:DRNTG_10001 transcript:DRNTG_10001.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRWVIRSMVDGSWPDPSGSNTNNGTRLVRAIQNFQIKLNSKLQELRKGLVMKVLFFLLGFYCAAAFATVIGQTGDWDILFAGIAVVVVKAIGALMYRASFHILDKLKSLI >DRNTG_10001.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21639988:21640817:-1 gene:DRNTG_10001 transcript:DRNTG_10001.12 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIYHVFNIDLSWFSAGNKCSPFFQLLIHLIVLSSTVFSATPLLLSPAGCWYMRWVIRSMVDGSWPDPSGSNTNNGTRLVRAIQNFQIKLNSKLQELRKGLVMKVLFFLLGFYCAAAFATVIGQTGDWDILFAGIAVVVVKAIGALMYRASFHILDKLKSLI >DRNTG_10001.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21639981:21641084:-1 gene:DRNTG_10001 transcript:DRNTG_10001.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMMGCWYMRWVIRSMVDGSWPDPSGSNTNNGTRLVRAIQNFQIKLNSKLQELRKGLVMKVLFFLLGFYCAAAFATVIGQTGDWDILFAGIAVVVVKAIGALMYRASFHILDKLKSLIAF >DRNTG_10001.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21639988:21642220:-1 gene:DRNTG_10001 transcript:DRNTG_10001.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMMGCWYMRWVIRSMVDGSWPDPSGSNTNNGTRLVRAIQNFQIKLNSKLQELRKGLVMKVLFFLLGFYCAAAFATVIGQTGDWDILFAGIAVVVVKAIGALMYRASFHILDKLKSLI >DRNTG_10001.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21639981:21641084:-1 gene:DRNTG_10001 transcript:DRNTG_10001.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRWVIRSMVDGSWPDPSGSNTNNGTRLVRAIQNFQIKLNSKLQELRKGLVMKVLFFLLGFYCAAAFATVIGQTGDWDILFAGIAVVVVKAIGALMYRASFHILDKLKSLIAF >DRNTG_10001.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21639988:21642220:-1 gene:DRNTG_10001 transcript:DRNTG_10001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILGHALAAFLSNKCSPFFQLLIHLIVLSSTVFSATPLLLSPAGCWYMRWVIRSMVDGSWPDPSGSNTNNGTRLVRAIQNFQIKLNSKLQELRKGLVMKVLFFLLGFYCAAAFATVIGQTGDWDILFAGIAVVVVKAIGALMYRASFHILDKLKSLI >DRNTG_10001.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21639988:21642220:-1 gene:DRNTG_10001 transcript:DRNTG_10001.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMMGCWYMRWVIRSMVDGSWPDPSGSNTNNGTRLVRAIQNFQIKLNSKLQELRKGLVMKVLFFLLGFYCAAAFATVIGQTGDWDILFAGIAVVVVKAIGALMYRASFHILDKLKSLI >DRNTG_10001.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21639988:21640817:-1 gene:DRNTG_10001 transcript:DRNTG_10001.11 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMMGCWYMRWVIRSMVDGSWPDPSGSNTNNGTRLVRAIQNFQIKLNSKLQELRKGLVMKVLFFLLGFYCAAAFATVIGQTGDWDILFAGIAVVVVKAIGALMYRASFHILDKLKSLI >DRNTG_29388.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:497568:499008:1 gene:DRNTG_29388 transcript:DRNTG_29388.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g46790, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G46790) UniProtKB/Swiss-Prot;Acc:Q9STF3] MLARNVVSWSAMIACYAKSNNPFDALKLFEEMMVLEPDIVPNSVTMVSVLQACAGLAALGQGKIVHAYVLRRRLDSILSVVNALITMYVKCGTLVSARRVFDGMNGRRDVVSWNSMISGYGIHGFGTEAIQLYQEMLHDGIAPSTLTFLSILGACSHVKFVQQGKTLFESMIKEHGITPRAEHYACMVDLLGRAGQLDEAIKIIEEMRIEPGPTVWGSLLGACRIHGHVELAERACSHLFELEPMNAGNYVLLADIYAGAKMWEEMINVKKLVETRGLQKVPGCSWIEVNRKMYSFVSVDFMDTHTEELHALLVQIVREMKGKGYIPNTDVVLYDLDQDEKEQILLGHSEKLAVAFGLINCRRGEVIRITKNLRLCEDCHSVTKFISKFARREIIVRDVNRFHHFRDGFCSCSDYW >DRNTG_33943.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:928576:931697:-1 gene:DRNTG_33943 transcript:DRNTG_33943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSIINLIWSPMKEYVYKESKYVLAWESNMSALEKEMLELNSKIEDLQSKVDAADRQGKNLTSESKSLLDRAKKLQEQSKKMEERCKTMSKCLAGIPLDVFSGYQLSKKAEDLLPEVKESVTKISTVVNNVARAESMPQRFQERLVNQALGVDSVLEQLQRHVQDVNVRVVGVYGMAAVGKTVLLGELNNQLREMDLDCIIWVDMPKDSSVDKVQDVVGQWLGITWQDTTPQKERARLITRQLNKSKFMLILDEVWKPLDLAKVGIPIPRRPSQSKIILATRIEGMCGELNADVTVRVECMDWKEAWTLFEETVGKQLINSNKEIRKHAKQLFLKCGGLPLALTKLGRAMATRKSVYEWQLALTTIDIAPWDLLGKEIFSDILRPSYDNLANDKLRTCLLYCSLYPEGFSIYKEWIIDYCIGEGIIDDIYIDVQEIYNKGHELLGILKAASLLEEGEDEDSVKMHPVVRGLALWIACDYGEKPNKWLVRPQADLHEAPMAEKWKAAERVSLMRNNITEISEIPESPNVQTLMLQHNRRLVKICDGFFTFMTKLRVLDISHTGITELPAGVGVMTELRYLDLCGTGIKQLPSEIGKLKQLSYGDWKVGSIGNGVDFDELNALKQLTAMEITIQSVHALQRLAQSHRLVTRTSKLHIRACQGLTTIDMPYCTILGRVMRRLRELRVSDSDELKEVIIVDCDSEYGETDALPKLEILGLYRLSKAKIFCYGGCLRSLTHLNINGCGEMEHLIYLGDDDDDDDEVSEEDEKEDGEQVSGTVIDAFPNLKMLLLKKMPKLKSLSEGRITLAFPSLEYLGVFNCPNLKKLKLKAEKLKMVLGTMQWWDGLEWEDDSMKSLLQPLFRKKAFL >DRNTG_04880.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000230.1:173266:175482:-1 gene:DRNTG_04880 transcript:DRNTG_04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKETIKVGEEHPTNHQRPSLQTLAISPLKSRTKYVKE >DRNTG_00737.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000054.1:2911:5791:1 gene:DRNTG_00737 transcript:DRNTG_00737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRTIYFLGILGSCIAKMFFNPINLKQVKHKPHAGAPYYWILSNLSIQSFFPCVVCPTSLNILSENPLHSVILHNDTRNQPCSGSRSLLPRCITCFPTQLLLHRRWRLNLHHH >DRNTG_03511.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:15672515:15673036:-1 gene:DRNTG_03511 transcript:DRNTG_03511.1 gene_biotype:protein_coding transcript_biotype:protein_coding TNSSDTNKIVTPRPAGPRDATIAAAIPRRDTPPLNPQDAARLINTPGIQQYTYRRYRTEKNNVTQRQ >DRNTG_10033.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2909029:2911435:1 gene:DRNTG_10033 transcript:DRNTG_10033.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGGWYLKIAAVSALIGGCMEVFMIHTGFYDKVTVLESEKRAWESSAEAQAIRDALNPWKDPDKNTKKPS >DRNTG_10033.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2909029:2911487:1 gene:DRNTG_10033 transcript:DRNTG_10033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGGWYLKIAAVSALIGGCMEVFMIHTGFYDKVTVLESEKRAWESSAEAQAIRDALNPWKDPDKNTKKPS >DRNTG_10033.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2909029:2911435:1 gene:DRNTG_10033 transcript:DRNTG_10033.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGGWYLKIAAVSALIGGCMEVFMIHTGFCV >DRNTG_20573.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8453296:8456932:-1 gene:DRNTG_20573 transcript:DRNTG_20573.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIQLDKHKREELYNERNSFSVGFDNGTSSISTTRSSTAHLKMKYT >DRNTG_27884.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001414.1:53429:54676:1 gene:DRNTG_27884 transcript:DRNTG_27884.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVKEEEVMGLENYSSCVSEMKDASEEIEVEAPKRENEFPGEPFHFYMLDASEQSFGANAGTLYLFGKVKEGNAFQSCCVVVKNMQRCVYAVPNGAVFPGGKIVELEENIANIANSKASPSDFRTALLDMAVGLKSEITKRLPHLNGSNFSIKPVKRSYAFERPDIRRGEQYVLKINYPFK >DRNTG_27884.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001414.1:53429:56582:1 gene:DRNTG_27884 transcript:DRNTG_27884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVKEEEVMGLENYSSCVSEMKDASEEIEVEAPKRENEFPGEPFHFYMLDASEQSFGANAGTLYLFGKVKEGNAFQSCCVVVKNMQRCVYAVPNGAVFPGGKIVELEENIANIANSKASPSDFRTALLDMAVGLKSEITKRLPHLNGSNFSIKPVKRSYAFERPDIRRGEQYVLKINYPFKDPPLPTDLKQEHFLPLLGTNSSALELFLIKRKIKGPSWLGISKFACCPASQKVSWCKYEVVVDCPKDLHVSASKATPEIPPVVVAAISLKTIIGEKRTTNEVVSASIICCHK >DRNTG_11856.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:64163:72808:1 gene:DRNTG_11856 transcript:DRNTG_11856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFRVFRSCDVLMAAARTGAGATQVKPKTTGILKPLPVSPAMRKFVGVPEISRAEAVKKIWEHIKLNQLQDPTNKREIHCDEKLKSIFDGKDKVGMMEIAKLLSPHFLKSS >DRNTG_31375.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001691.1:6142:6528:-1 gene:DRNTG_31375 transcript:DRNTG_31375.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFFTGGKERTEQEYQFLAKESGFSKVKFVCNIYSFSVMEFYK >DRNTG_31375.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001691.1:6142:6960:-1 gene:DRNTG_31375 transcript:DRNTG_31375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFESVPSGDAILLKEVLHNWSDADCMKVLKNCLKALPDD >DRNTG_21890.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19595453:19595864:1 gene:DRNTG_21890 transcript:DRNTG_21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNKVMKWSPWSPAPTSPETKKKKKKKKKKCNVIMKVVKVEGLVLPASGAGDTRVAAVEIRWKDSLQRKEQKFSYFRGMKRSKKMVKNVSGDRVVDGGGAAVWDENLSFPFNPDSSSAWEVSFSIL >DRNTG_21476.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2699524:2702501:-1 gene:DRNTG_21476 transcript:DRNTG_21476.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome-1 [Source:Projected from Arabidopsis thaliana (AT4G08920) UniProtKB/Swiss-Prot;Acc:Q43125] MTGGSSPAVAVHGQQSSSSRSSSNISNISSSTSSSRSIVWFRRDLRVEDNPALAAGVRSGDVVAVFIWAPEEEGPFYPGRVSRWWLSQSLHHLDSSLRSLGTPLITKRSFDTASTLIDIVHSTGASNLFFNHLYDPLSLVRDHRLKESLSAQGVTVRSFNADLLYEPWEVNDENQCPFTTFAPFWNKCLSMPYDPAAPLLPPKRISSGDVSKCPSDTLVFEDELEKASNALLARAWSPGWRNADKALMAFVNGPLIEYSVNRKKADSATTSLLSPHLHFGEVSVRKVFHLVRMKQLVWSNEGNKAGEESVNLFLKSIGLREYSRYLSFNHPCSHERPLLTHLRFFPWVVDENYFKSWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGTLPDGRDFDRIDNPQFEGYKFDPNGEYVRRWLPELARLPTEWIHHPWNAPESVLQAAGIELGSNYPLPIVEISAAKTRLQEALAEMWQLEAASRAVMENGTEEGLGDSSDVPPIDFPQETDMEIDRERELPRINNTAVRRHADQMVPSMTTISSLIRVEEEVSVGAGNVAEDSRPEVPSNINFEADTHREVAQALPQFNPDILRNALHSTAESASTWTERDGGLVPVWSPPPTSSRSEHYPDDDDPGIGNSGYLQRHSQSHQMLNWRQLSPSMRRSWEMESTVQPNAIG >DRNTG_21476.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2699524:2702501:-1 gene:DRNTG_21476 transcript:DRNTG_21476.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome-1 [Source:Projected from Arabidopsis thaliana (AT4G08920) UniProtKB/Swiss-Prot;Acc:Q43125] MAFVNGPLIEYSVNRKKADSATTSLLSPHLHFGEVSVRKVFHLVRMKQLVWSNEGNKAGEESVNLFLKSIGLREYSRYLSFNHPCSHERPLLTHLRFFPWVVDENYFKSWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGTLPDGRDFDRIDNPQFEGYKFDPNGEYVRRWLPELARLPTEWIHHPWNAPESVLQAAGIELGSNYPLPIVEISAAKTRLQEALAEMWQLEAASRAVMENGTEEGLGDSSDVPPIDFPQETDMEIDRERELPRINNTAVRRHADQMVPSMTTISSLIRVEEEVSVGAGNVAEDSRPEVPSNINFEADTHREVAQALPQFNPDILRNALHSTAESASTWTERDGGLVPVWSPPPTSSRSEHYPDDDDPGIGNSGYLQRHSQSHQMLNWRQLSPSMRRSWEMESTVQPNAIG >DRNTG_21010.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:14444:20393:1 gene:DRNTG_21010 transcript:DRNTG_21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSNMKKSSFWLLLALNLQCIITISAAVTTCDSGDKAALLAFKAGITNDHIGHLSNWNASTDCCSAWNGISCDIATGRVVNISLPGVFDANDTIFDSFMVGTISPAIGNLTSLINLDLGGLKQLSGEIPPSLGNLSNLTFLNLYSNELTGPIPKTFSNLKRLQILRLNSNHLSGEIPPSLFPPFSPMTYLTLYENQLSGVIPSSIGHLTSLQTLLIQRNNISGEIPMSIGNLEKLVEFDLSQNQITGAIPASIGRMVKLYSINLRDNKLTGSIPSTISGMTSLQYIILYNNQITGEIPASIGELKKLLQIYCSNNKLEGELPSSLGNLTKLVDIYLSDNQLTGGIPWSFGDLADLQNLDLSRNKLTGPISPSLGKLKNLQQLHLSFNPLQLGILPDWLFSMQITSLMLAGTGITGQFPDKLQSPQGSVIDSLDLSSNELTGELPHWIGELSGLSFLNLSNNGFHSPIPEEFMKLAALTQLDLHGNAFSGELRPVLARRAGISIGDIIQYTYLDLSSNQFTGGIDEDIGFLPVMGTVEFLGLADNQLGGSIPESMVKMEKLRAVTMARNGLTDRIPEGVLDIAKLEEFDVSDNMLEGEIPMHKAKIPAENFQGNPGLCGDPLPPCQRGLPFLTKSLCYTYHLEYEVHREYSSVSR >DRNTG_30580.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:290055:291593:-1 gene:DRNTG_30580 transcript:DRNTG_30580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEALHVLFFPFLTRSHMIPMLETARLFSENGIKTSIVTTPANADLIKPLLHHHPSISLHLIPFPSTTFNLPSGCENLTAVPVHLTTDFFSAAFNLRDPFSHLLSSLRPDAIISDAIYTWTTELAGEFHIPRVIFQVTGLFPLSATNDLDLHLHHPSYKSISDDSELFSIPGFPHTVHLTRSQLPEVFAFPPMLGWQREAELSSHAVIVNSFSALEPDYAVHYSNVAPREVFLLGPVAIAGQPEKKEKKAEPCLEWLDEKDDGSVVYVGFGTLSRFTAEQIKELAFGLENSGEGFVWAVGSGEAVEWIPDGFEKRVQGRGLVVKGWAPQTEILNHRAVGGSVCHCGWNSVMEAVVAGLPVITWPLYYEQFLVEKWICEGGEDGDSGVGKGLRV >DRNTG_30580.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:289942:291593:-1 gene:DRNTG_30580 transcript:DRNTG_30580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEALHVLFFPFLTRSHMIPMLETARLFSENGIKTSIVTTPANADLIKPLLHHHPSISLHLIPFPSTTFNLPSGCENLTAVPVHLTTDFFSAAFNLRDPFSHLLSSLRPDAIISDAIYTWTTELAGEFHIPRVIFQVTGLFPLSATNDLDLHLHHPSYKSISDDSELFSIPGFPHTVHLTRSQLPEVFAFPPMLGWQREAELSSHAVIVNSFSALEPDYAVHYSNVAPREVFLLGPVAIAGQPEKKEKKAEPCLEWLDEKDDGSVVYVGFGTLSRFTAEQIKELAFGLENSGEGFVWAVGSGEAVEWIPDGFEKRVQGRGLVVKGWAPQTEILNHRAVGGSVCHCGWNSVMEAVVAGLPVITWPLYYEQFLVEKWICEGGEDGDSGVGKGLRV >DRNTG_30580.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:289814:291593:-1 gene:DRNTG_30580 transcript:DRNTG_30580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEALHVLFFPFLTRSHMIPMLETARLFSENGIKTSIVTTPANADLIKPLLHHHPSISLHLIPFPSTTFNLPSGCENLTAVPVHLTTDFFSAAFNLRDPFSHLLSSLRPDAIISDAIYTWTTELAGEFHIPRVIFQVTGLFPLSATNDLDLHLHHPSYKSISDDSELFSIPGFPHTVHLTRSQLPEVFAFPPMLGWQREAELSSHAVIVNSFSALEPDYAVHYSNVAPREVFLLGPVAIAGQPEKKEKKAEPCLEWLDEKDDGSVVYVGFGTLSRFTAEQIKELAFGLENSGEGFVWAVGSGEAVEWIPDGFEKRVQGRGLVVKGWAPQTEILNHRAVGGSVCHCGWNSVMEAVVAGLPVITWPLYYEQFLVEKWICEGGEDGDSGVGKGLRV >DRNTG_21028.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:153041:153498:-1 gene:DRNTG_21028 transcript:DRNTG_21028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIIGALYSIICMKLNLTTGLVPTLNVSAALLAFIFIRTWTKL >DRNTG_34411.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1166062:1166593:1 gene:DRNTG_34411 transcript:DRNTG_34411.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVLAILLCTLLFALIIVAFFRIILSNRRNRLIISDDVHHNTTTNNNNNNNKEVIISDIVVQDDAIPTLRFSAGTKLAGVGAECAICLNEFAEGDGVRVLPACNHGFHVKCIEGWFVSHSSCPTCRRSCRAAPVDLTAENGLMEMV >DRNTG_34411.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1166023:1166807:1 gene:DRNTG_34411 transcript:DRNTG_34411.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPAHDEFPTTRNSTNKWGPYSGAGDFSTSMVIVLAILLCTLLFALIIVAFFRIILSNRRNRLIISDDVHHNTTTNNNNNNNKEVIISDIVVQDDAIPTLRFSAGTKLAGVGAECAICLNEFAEGDGVRVLPACNHGFHVKCIEGWFVSHSSCPTCRRSCRAAPVDLTAENGLMEMV >DRNTG_34411.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1166023:1166593:1 gene:DRNTG_34411 transcript:DRNTG_34411.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPAHDEFPTTRNSTNKWGPYSGAGDFSTSMVIVLAILLCTLLFALIIVAFFRIILSNRRNRLIISDDVHHNTTTNNNNNNNKEVIISDIVVQDDAIPTLRFSAGTKLAGVGAECAICLNEFAEGDGVRVLPACNHGFHVKCIEGWFVSHSSCPTCRRSCRAAPVDLTAENGLMEMV >DRNTG_34411.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1165979:1166807:1 gene:DRNTG_34411 transcript:DRNTG_34411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPAHDEFPTTRNSTNKWGPYSGAGDFSTSMVIVLAILLCTLLFALIIVAFFRIILSNRRNRLIISDDVHHNTTTNNNNNNNKEVIISDIVVQDDAIPTLRFSAGTKLAGVGAECAICLNEFAEGDGVRVLPACNHGFHVKCIEGWFVSHSSCPTCRRSCRAAPVDLTAENGLMEMV >DRNTG_34411.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1165979:1166660:1 gene:DRNTG_34411 transcript:DRNTG_34411.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPAHDEFPTTRNSTNKWGPYSGAGDFSTSMVIVLAILLCTLLFALIIVAFFRIILSNRRNRLIISDDVHHNTTTNNNNNNNKEVIISDIVVQDDAIPTLRFSAGTKLAGVGAECAICLNEFAEGDGVRVLPACNHGFHVKCIEGWFVSHSSCPTCRRSCRAAPVDLTAENGLMEMV >DRNTG_34411.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1165979:1166593:1 gene:DRNTG_34411 transcript:DRNTG_34411.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPAHDEFPTTRNSTNKWGPYSGAGDFSTSMVIVLAILLCTLLFALIIVAFFRIILSNRRNRLIISDDVHHNTTTNNNNNNNKEVIISDIVVQDDAIPTLRFSAGTKLAGVGAECAICLNEFAEGDGVRVLPACNHGFHVKCIEGWFVSHSSCPTCRRSCRAAPVDLTAENGLMEMV >DRNTG_21296.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27378254:27383152:1 gene:DRNTG_21296 transcript:DRNTG_21296.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIISVSGNLKSPLVTDNRSVTSPAPKRTQQEQLLHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLIGYPPFYSDEPMSTCRKIVNWRNHLRFPEEVKISPEAKDLISRLLCNVEQRIGTKGAHEIKAHPWFKGVQWDKLYQMEAAFIPEVNDELDTQNFEKFDEPASPMETSSKCGPWRKMLPSKDVNFVGYTYKNFEIVNDPNVPGIVDLRKKSSKAKRPSIKSLFENETSPAQPVFGSFLNMLPPQPEGSESPEASPQQPPSTSLYQSPQPQSTTISRSSQPQTQLQSQSRLPHQMSQPQSKSPYRLSQPQSKSLHQSLQPQPRSPYQLNSRQR >DRNTG_21296.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27378254:27383152:1 gene:DRNTG_21296 transcript:DRNTG_21296.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIISVSGNLKSPLVTDNRSVTSPAPKRTQQEQLLHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLIGYPPFYSDEPMSTCRKIVNWRNHLRFPEEVKISPEAKDLISRLLCNVEQRIGTKGAHEIKAHPWFKGVQWDKLYQMEAAFIPEVNDELDTQNFEKFDEPASPMETSSKCGPWRKMLPSKDVNFVGYTYKNFEIVNDPNVPGIVDLRKKSSKAKRPSIKSLFENETSPAQPVFGSFLNMLPPQPEGSESPEASPQQPPSTSLYQSPQPQSTTISRSSQPQTQLQSQSRLPHQMSQPQSKSPYRLSQPQSKSLHQSLQPQPRSPYQLNSRQR >DRNTG_21296.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27378254:27383152:1 gene:DRNTG_21296 transcript:DRNTG_21296.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIISVSGNLKSPLVTDNRSVTSPAPKRTQQEQLLHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLIGYPPFYSDEPMSTCRKIVNWRNHLRFPEEVKISPEAKDLISRLLCNVEQRIGTKGAHEIKAHPWFKGVQWDKLYQMEAAFIPEVNDELDTQNFEKFDEPASPMETSSKCGPWRKMLPSKDVNFVGYTYKNFEIVNDPNVPGIVDLRKKSSKAKRPSIKSLFENETSPAQPVFGSFLNMLPPQPEGSESPEASPQQPPSTSLYQSPQPQSTTISRSSQPQTQLQSQSRLPHQMSQPQSKSPYRLSQPQSKSLHQSLQPQPRSPYQLNSRQR >DRNTG_17489.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6611913:6614056:-1 gene:DRNTG_17489 transcript:DRNTG_17489.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDPNPRCHDHWPSIRRQTIHHVSTVADPHHRVLGHTELLHCRGEAPGLQADGEILAIGSGSAEVDEIGNVEVEEAGKGADLAVPLLFGVGVEVVDAEEGMARFSGDPDVDDGGGSVGGGREIKRDGNEAKALEEAGEEKILEEDNPTLVTGGKQRPRRGGEQWR >DRNTG_17489.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6611913:6618134:-1 gene:DRNTG_17489 transcript:DRNTG_17489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDPNPRCHDHWPSIRRQTIHHVSTVADPHHRVLGHTELLHCRGEAPGLQADGEILAIGSGSAEVDEIGNVEVEEAGKGADLAVPLLFGVGVEVVDAEEGMARFSGDPDVDDGGGSVGGGREIKRDGNEAKALEEAGEEKILEEDNPTLVTGGKQRPRRGGEQWR >DRNTG_17489.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6611913:6613420:-1 gene:DRNTG_17489 transcript:DRNTG_17489.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPCIAHVSFLLLGQCLRIMTSDPNPRCHDHWPSIRRQTIHHVSTVADPHHRVLGHTELLHCRGEAPGLQADGEILAIGSGSAEVDEIGNVEVEEAGKGADLAVPLLFGVGVEVVDAEEGMARFSGDPDVDDGGGSVGGGREIKRDGNEAKALEEAGEEKILEEDNPTLVTGGKQRPRRGGEQWR >DRNTG_20763.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001151.1:12022:13184:-1 gene:DRNTG_20763 transcript:DRNTG_20763.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLSESVFSWGGILGVGDTIPVTSKGKQGEYSEHLLPRLKIIDLSDNELTGGIPEELASLYGLQSLDVSHNLLQGEIPDKLGRLQQLESLDLSRNKLFGSIPSTFSNLTSLSDFNVSYNNLSGSIPSGNQFNTLTDPSIYIGNHLCGFPLTDNCTKGGGPNQGKPSDNGNEEDDDNEMVWMYIGSLSGFAVGFWTIWGVLIFKKKWRYAYFRYTDTTCDNIHVWVVVNFARMKSEIMSKSNH >DRNTG_20763.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001151.1:12256:13250:-1 gene:DRNTG_20763 transcript:DRNTG_20763.8 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLSESVFSWGGILGVGDTIPVTSKGKQGEYSEHLLPRLKIIDLSDNELTGGIPEELASLYGLQSLDVSHNLLQGEIPDKLGRLQQLESLDLSRNKLFGSIPSTFSNLTSLSDFNVSYNNLSGSIPSGNQFNTLTDPSIYIGNHLCGFPLTDNCTKGGGPNQGKPSDNGNEEDDDNEMVWMYIGSLSGFAVGFWTIWGVLIFKKKWRYAYFRYTDTTCDNIHVWVVVNFARMKSEIMSK >DRNTG_20763.9.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001151.1:12256:13184:-1 gene:DRNTG_20763 transcript:DRNTG_20763.9 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLSESVFSWGGILGVGDTIPVTSKGKQGEYSEHLLPRLKIIDLSDNELTGGIPEELASLYGLQSLDVSHNLLQGEIPDKLGRLQQLESLDLSRNKLFGSIPSTFSNLTSLSDFNVSYNNLSGSIPSGNQFNTLTDPSIYIGNHLCGFPLTDNCTKGGGPNQGKPSDNGNEEDDDNEMVWMYIGSLSGFAVGFWTIWGVLIFKKKWRYAYFRYTDTTCDNIHVWVVVNFARMKSEIMSK >DRNTG_20763.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001151.1:599:13184:-1 gene:DRNTG_20763 transcript:DRNTG_20763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLSESVFSWGGILGVGDTIPVTSKGKQGEYSEHLLPRLKIIDLSDNELTGGIPEELASLYGLQSLDVSHNLLQGEIPDKLGRLQQLESLDLSRNKLFGSIPSTFSNLTSLSDFNVSYNNLSGSIPSGNQFNTLTDPSIYIGNHLCGFPLTDNCTKGGGPNQGKPSDNGNEEDDDNEMVWMYIGSLSGFAVGFWTIWGVLIFKKKWRYAYFRYTDTTCDNIHVWVVVNFARMKSKIMSKSNH >DRNTG_20763.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001151.1:12201:13250:-1 gene:DRNTG_20763 transcript:DRNTG_20763.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLSESVFSWGGILGVGDTIPVTSKGKQGEYSEHLLPRLKIIDLSDNELTGGIPEELASLYGLQSLDVSHNLLQGEIPDKLGRLQQLESLDLSRNKLFGSIPSTFSNLTSLSDFNVSYNNLSGSIPSGNQFNTLTDPSIYIGNHLCGFPLTDNCTKGGGPNQGKPSDNGNEEDDDNEMVWMYIGSLSGFAVGFWTIWGVLIFKKKWRYAYFRYTDTTCDNIHVWVVVNFARMKSEIMSKSNH >DRNTG_20763.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001151.1:12022:13250:-1 gene:DRNTG_20763 transcript:DRNTG_20763.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLSESVFSWGGILGVGDTIPVTSKGKQGEYSEHLLPRLKIIDLSDNELTGGIPEELASLYGLQSLDVSHNLLQGEIPDKLGRLQQLESLDLSRNKLFGSIPSTFSNLTSLSDFNVSYNNLSGSIPSGNQFNTLTDPSIYIGNHLCGFPLTDNCTKGGGPNQGKPSDNGNEEDDDNEMVWMYIGSLSGFAVGFWTIWGVLIFKKKWRYAYFRYTDTTCDNIHVWVVVNFARMKSEIMSKSNH >DRNTG_20763.12.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001151.1:12256:13018:-1 gene:DRNTG_20763 transcript:DRNTG_20763.12 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLSESVFSWGGILGVGDTIPVTSKGKQGEYSEHLLPRLKIIDLSDNELTGGIPEELASLYGLQSLDVSHNLLQGEIPDKLGRLQQLESLDLSRNKLFGSIPSTFSNLTSLSDFNVSYNNLSGSIPSGNQFNTLTDPSIYIGNHLCGFPLTDNCTKGGGPNQGKPSDNGNEEDDDNEMVWMYIGSLSGFAVGFWTIWGVLIFKKKWRYAYFRYTDTTCDNIHVWVVVNFARMKSEIMSK >DRNTG_20763.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001151.1:12022:13093:-1 gene:DRNTG_20763 transcript:DRNTG_20763.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLSESVFSWGGILGVGDTIPVTSKGKQGEYSEHLLPRLKIIDLSDNELTGGIPEELASLYGLQSLDVSHNLLQGEIPDKLGRLQQLESLDLSRNKLFGSIPSTFSNLTSLSDFNVSYNNLSGSIPSGNQFNTLTDPSIYIGNHLCGFPLTDNCTKGGGPNQGKPSDNGNEEDDDNEMVWMYIGSLSGFAVGFWTIWGVLIFKKKWRYAYFRYTDTTCDNIHVWVVVNFARMKSEIMSKSNH >DRNTG_20763.10.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001151.1:12256:13093:-1 gene:DRNTG_20763 transcript:DRNTG_20763.10 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLSESVFSWGGILGVGDTIPVTSKGKQGEYSEHLLPRLKIIDLSDNELTGGIPEELASLYGLQSLDVSHNLLQGEIPDKLGRLQQLESLDLSRNKLFGSIPSTFSNLTSLSDFNVSYNNLSGSIPSGNQFNTLTDPSIYIGNHLCGFPLTDNCTKGGGPNQGKPSDNGNEEDDDNEMVWMYIGSLSGFAVGFWTIWGVLIFKKKWRYAYFRYTDTTCDNIHVWVVVNFARMKSEIMSK >DRNTG_20763.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001151.1:599:3519:-1 gene:DRNTG_20763 transcript:DRNTG_20763.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSYFIATLVYEWCHLWEYSSSSKSLFLALNKLPAIEEIGLSRCQFESIPLSIPHLNFSSLSVLDLSNNYIDFSVSLWVFDIKSLEHLDLSNNHIRSSNSSATGIAKSISSLCNLKRLDLSDWHMSMRLAELIFTGCLKDSLTHLHLSDANLDGDIPDWMGDIKNLKVLDLSQNSLSGSVPSSLASLSFMEELHLSSNKLNGTLSVEIGKLAELEVLDLDENQLRGIVTEAHFSNLKKLEKLYMSFNSFVFNVSSNWIPPFLLQELRIRSCSVGPEFPTWLRTQHKLNVLDISNTGISSTIPDWFWNLISVNLAELYMSENQIEGMLPKFSTSMQLNTIDLSSNRFHGPLPGFLGSSISSINLSNNSFSVTQSIFQCLPLNEQIKWHRSQLIVSNQGTSKSWYLG >DRNTG_20763.11.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001151.1:12201:13018:-1 gene:DRNTG_20763 transcript:DRNTG_20763.11 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLSESVFSWGGILGVGDTIPVTSKGKQGEYSEHLLPRLKIIDLSDNELTGGIPEELASLYGLQSLDVSHNLLQGEIPDKLGRLQQLESLDLSRNKLFGSIPSTFSNLTSLSDFNVSYNNLSGSIPSGNQFNTLTDPSIYIGNHLCGFPLTDNCTKGGGPNQGKPSDNGNEEDDDNEMVWMYIGSLSGFAVGFWTIWGVLIFKKKWRYAYFRYTDTTCDNIHVWVVVNFARMKSEIMSKSNH >DRNTG_20763.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001151.1:12022:13018:-1 gene:DRNTG_20763 transcript:DRNTG_20763.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLSESVFSWGGILGVGDTIPVTSKGKQGEYSEHLLPRLKIIDLSDNELTGGIPEELASLYGLQSLDVSHNLLQGEIPDKLGRLQQLESLDLSRNKLFGSIPSTFSNLTSLSDFNVSYNNLSGSIPSGNQFNTLTDPSIYIGNHLCGFPLTDNCTKGGGPNQGKPSDNGNEEDDDNEMVWMYIGSLSGFAVGFWTIWGVLIFKKKWRYAYFRYTDTTCDNIHVWVVVNFARMKSEIMSKSNH >DRNTG_35177.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26054714:26069963:-1 gene:DRNTG_35177 transcript:DRNTG_35177.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALSRHLGDARRAVHHGVEMRTLFRSFGSRDPRLLEATRLDPCLGTSGTGYLRESSSFGAFRACGDRDRLVSGDRTGLLKHLSGFLLNSNSSSLIGSHQSNLYKGHAFFSTSPSNLNTAKPAEVTVKHLQNNTAKKESQDIPDIKILRTLGKYLWLKDNAEFRLRVIFALGLLVGAKVINVQVPFLFKLAVDWLSTIVGSGNTMASFTDANSTLLALFVSPAAVLIGYGVARAGASACNELRNAMFSKVALRAIRTVSRKVFCHLHELDLRYHLSRQTGALNRIIDRGSRAINFILSSMVFNVVPTILEITMVSGILAYNFGALFAWITSLSVVAYIAFTLIVTQWRTKFRQAMNKADNDASSRSIDSLLNYETVKYFNNEPFEAEKYDALLKKFEDAALKTQTSLAYLNFGQNAIFSAALSTAMVLCSYGIMNNTMTVGDLVMVNGLLFQLSLPLNFLGTVYREARQSLIDMKAMFQLLEERPEIRDEQNAQPLKFKGGRIEFDNVIFGYLPERRILDGASFVVPAGHSVAIVGTSGSGKSTILRLLYRFFDSDSGVIKIDGQDIRKVTLESLRKCIGVVPQDTVLFNDTIFHNIQYGRLSATEDEVYDAAQRAAIHDTIMTFPEKYSTVVGERGLKLSGGEKQRVSLARAFLKEPSILLCDEATSALDSTTEAEILGALKSLSNNKTSIFIAHRLTTAMQCDEIVVLENGKVVEQGPHEVLLSKAGRYAQLWGQHNNDDMAIKLEA >DRNTG_35177.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26054714:26060125:-1 gene:DRNTG_35177 transcript:DRNTG_35177.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPEKYSTVVGERGLKLSGGEKQRVSLARAFLKEPSILLCDEATSALDSTTEAEILGALKSLSNNKTSIFIAHRLTTAMQCDEIVVLENGKVVEQGPHEVLLSKAGRYAQLWGQHNNDDMAIKLEA >DRNTG_35177.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26054714:26057474:-1 gene:DRNTG_35177 transcript:DRNTG_35177.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPEKYSTVVGERGLKLSGGEKQRVSLARAFLKEPSILLCDEATSALDSTTEAEILGALKSLSNNKTSIFIAHRLTTAMQCDEIVVLENGKVVEQGPHEVLLSKAGRYAQLWGQHNNDDMAIKLEA >DRNTG_35177.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26054714:26070104:-1 gene:DRNTG_35177 transcript:DRNTG_35177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTDANSTLLALFVSPAAVLIGYGVARAGASACNELRNAMFSKVALRAIRTVSRKVFCHLHELDLRYHLSRQTGALNRIIDRGSRAINFILSSMVFNVVPTILEITMVSGILAYNFGALFAWITSLSVVAYIAFTLIVTQWRTKFRQAMNKADNDASSRSIDSLLNYETVKYFNNEPFEAEKYDALLKKFEDAALKTQTSLAYLNFGQNAIFSAALSTAMVLCSYGIMNNTMTVGDLVMVNGLLFQLSLPLNFLGTVYREARQSLIDMKAMFQLLEERPEIRDEQNAQPLKFKGGRIEFDNVIFGYLPERRILDGASFVVPAGHSVAIVGTSGSGKSTILRLLYRFFDSDSGVIKIDGQDIRKVTLESLRKCIGVVPQDTVLFNDTIFHNIQYGRLSATEDEVYDAAQRAAIHDTIMTFPEKYSTVVGERGLKLSGGEKQRVSLARAFLKEPSILLCDEATSALDSTTEAEILGALKSLSNNKTSIFIAHRLTTAMQCDEIVVLENGKVVEQGPHEVLLSKAGRYAQLWGQHNNDDMAIKLEA >DRNTG_35177.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26054714:26068731:-1 gene:DRNTG_35177 transcript:DRNTG_35177.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTDANSTLLALFVSPAAVLIGYGVARAGASACNELRNAMFSKVALRAIRTVSRKVFCHLHELDLRYHLSRQTGALNRIIDRGSRAINFILSSMVFNVVPTILEITMVSGILAYNFGALFAWITSLSVVAYIAFTLIVTQWRTKFRQAMNKADNDASSRSIDSLLNYETVKYFNNEPFEAEKYDALLKKFEDAALKTQTSLAYLNFGQNAIFSAALSTAMVLCSYGIMNNTMTVGDLVMVNGLLFQLSLPLNFLGTVYREARQSLIDMKAMFQLLEERPEIRDEQNAQPLKFKGGRIEFDNVIFG >DRNTG_35177.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26054714:26066652:-1 gene:DRNTG_35177 transcript:DRNTG_35177.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNVVPTILEITMVSGILAYNFGALFAWITSLSVVAYIAFTLIVTQWRTKFRQAMNKADNDASSRSIDSLLNYETVKYFNNEPFEAEKYDALLKKFEDAALKTQTSLAYLNFGQNAIFSAALSTAMVLCSYGIMNNTMTVGDLVMVNGLLFQLSLPLNFLGTVYREARQSLIDMKAMFQLLEERPEIRDEQNAQPLKFKGGRIEFDNVIFGYLPERRILDGASFVVPAGHSVAIVGTSGSGKSTILRLLYRFFDSDSGVIKIDGQDIRKVTLESLRKCIGVVPQDTVLFNDTIFHNIQYGRLSATEDEVYDAAQRAAIHDTIMTFPEKYSTVVGERGLKLSGGEKQRVSLARAFLKEPSILLCDEATSALDSTTEAEILGALKSLSNNKTSIFIAHRLTTAMQCDEIVVLENGKVVEQGPHEVLLSKAGRYAQLWGQHNNDDMAIKLEA >DRNTG_06894.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14037941:14042261:-1 gene:DRNTG_06894 transcript:DRNTG_06894.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLVGFRPPLLSFVRRAFISSSALKWEGGVSMVQGASRGIGLEFAAATSVREHYGSLNLLINASGVLSIPDVLEPERTLSKLEKSSLLLAYEVNAVGPILVIKHMWPLLKLGGGSGTKREFAIVASLSARVGSIGDNGLGGWHSYRASKAALNQLTKTISVEFTHKKDPIACVLLHPGTVDTDLSQPFQRNVPENKLFTKEFSVQKLLNIIDNAKSSDNGKFFAWDGQEIPW >DRNTG_06894.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14037941:14042261:-1 gene:DRNTG_06894 transcript:DRNTG_06894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLVGFRPPLLSFVRRAFISSSALKWEGGVSMVQGASRGIGLEFVRQLLEGNENGHVIATCRNPSGATGLHDLKKKFLDRLRILPLDITKENTIEAAATSVREHYGSLNLLINASGVLSIPDVLEPERTLSKLEKSSLLLAYEVNAVGPILVIKHMWPLLKLGGGSGTKREFAIVASLSARVGSIGDNGLGGWHSYRASKAALNQLTKTISVEFTHKKDPIACVLLHPGTVDTDLSQPFQRNVPENKLFTKEFSVQKLLNIIDNAKSSDNGKFFAWDGQEIPW >DRNTG_31468.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1907706:1910595:-1 gene:DRNTG_31468 transcript:DRNTG_31468.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALGFSTRVIAAIVVLSWAAVAAPLPATKGFNVKTLSFDEGYAPLFGDGNLVRSSDGRSVRLHLNQYSGSGFISSDLYQYGLFSASIKLPSDYTAGVVVAFYTSNGDMFEKTHDELDLEFLGNIKGKEWRLQTNVYGNGSTSRGREERYLLPFDPTAEAHRYSILWTSDTIIFYVDDTPIREVKRSEAMGADYPTKPMMLYATIWDGSSWATSGGKYKVNYKYSPFISEFSSLVLQGCRMDPIQQLPVDEQCADAEAELAAADYSRMTPDKRQAMRKFRERYMTYSFCYDTLRYPITFPDCDIVPAEQRRFTETGDIKNMPRRRRSKHRSKFFSGSVDSERQADI >DRNTG_10575.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1591343:1592784:-1 gene:DRNTG_10575 transcript:DRNTG_10575.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWFQLMCCADLEMDYTNTYDFASEINSMVLPEFALQGILISCFLFSQNWVLFLLCVPLLYYHFRRYMHRQHIVYATEVFYQLGREKKVRLVKLGYYMFLFFLSSFLMVVKMMNENY >DRNTG_10575.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1591343:1594422:-1 gene:DRNTG_10575 transcript:DRNTG_10575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLLTVYQLMCCADLEMDYTNTYDFASEINSMVLPEFALQGILISCFLFSQNWVLFLLCVPLLYYHFRRYMHRQHIVYATEVFYQLGREKKVRLVKLGYYMFLFFLSSFLMVVKMMNENY >DRNTG_01619.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:968626:973936:1 gene:DRNTG_01619 transcript:DRNTG_01619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDVGVRSFKVRLEDDSVPVIRSKMGSRSSGEQATVVPQIPPISHERNEEGDTLLMHSNYVMADHVTAVDVHRDHDSVDYLDLSRGTQIQTLVSIEKIVPRDMIPTEITSSSSTELILPRDKVNPINSQVDSTQPTSVKPNSNSVNLDPNMDSNIEIIHDPLISDSLPKSKGKEISIPMDSAKSNTDELPVHLKSLPPSISTPEGYKWIFIHGGWSLVPSINSDKFYSQDPSPPNTPLNVHSDEELVDWGADDDFHIDVIADDDHILSEENLSQLEAGIHKEDLFADQLTASGISLLSGKESSSDMMVKTAPAISLSNKQPANPSTQHQNQKSSKKKVPEDPRDASANDFAIILNAILNCANDQEDYYTWSLDRNGTFTVKSFYNFLIDLGIGSQLYSKFWKTKSPSKITLFCWLAWENKILTLENLLRKDSESLQQEPSDVFQRIKRSLNFLSSRPTNLIGNMAHTSGQE >DRNTG_04616.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3128038:3131968:1 gene:DRNTG_04616 transcript:DRNTG_04616.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTLVRGKKRKVMRKRAKTSSPSGPSPTSPLVAPPRRFLLSSPSPSSYSSLNSPSIDCLGLSFDAVGGGGGKIVARRYVSMKASGSLESGYGVDDAFMLAQVGGVDEEVRFVGEDYPDIGVSDL >DRNTG_29689.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3869092:3871097:-1 gene:DRNTG_29689 transcript:DRNTG_29689.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLWASSAANVLKISCNGARAPTFFRCFSSVLDGLKYSPSHEWVKHEGSVATVGITDHAQVSRI >DRNTG_29689.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3869092:3871097:-1 gene:DRNTG_29689 transcript:DRNTG_29689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLWASSAANVLKISCNGARAPTFFRCFSSVLDGLKYSPSHEWVKHEGSVATVGITDHAQGHLGDVVFVDLPESGSKVTKGGSFGAVESVKATSDVNSPISGEIIEVNTKLSEAPILLNSSPYEDGWMIKVQPSNVSELDSLMGSKEYTKFCEEEDAHH >DRNTG_15320.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4876713:4877197:-1 gene:DRNTG_15320 transcript:DRNTG_15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLPLFVDSESSISESGLKPSSSV >DRNTG_28588.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7882472:7885811:1 gene:DRNTG_28588 transcript:DRNTG_28588.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino-acid permease BAT1 [Source:Projected from Arabidopsis thaliana (AT2G01170) UniProtKB/Swiss-Prot;Acc:Q9ZU50] MGVETSESMEGGAPYLQLSNEIVDNHSEEFRLNQLGYKQELSRNLSALSNFAVSFSIISILTGITTLYNTGITFGGPMSMIYGWLVAGAFTLMVGLSMAEICSAFPTSGGLYYWSAKLCGEQWGSFASWITGWFNIVGQWAITTSIDFSLAQLIQVIILLSTGGKNGGGYQASKYEVLGIHGGVLLIHAILNNASVACLSFFGMFAASWNVIGVFVLMILIPTVATQRASAEFVFTNFNMENDVGIHNKFYIFVLGLLMGQYTLVGYDASANMTEETKDADMNGPKGIISAIGISIIVGWGYLLGITFAVTDIPYLLSEDNDAGGYAIAEVFYLVFKSRYGSGTGGIICLGIIAVAIFFCGMSSVTSNSRTTYAFSRDGAMPFSSVWNKVNKKEVPMNAVWLSVFISFCMALTSLGSMVAFQAMVSIATVGLYIAYALPILFRVTVARISFTSGPFNLGRCSILIGWISVIWVAFITVLFSLPVAYPASWNTFNYTPVAVGGLLLLVLSSWLLSARHWFNGPPLSNCEHYFLNYMFLLIYFSYIDQC >DRNTG_28588.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7882472:7885811:1 gene:DRNTG_28588 transcript:DRNTG_28588.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino-acid permease BAT1 [Source:Projected from Arabidopsis thaliana (AT2G01170) UniProtKB/Swiss-Prot;Acc:Q9ZU50] MFAASWNVIGVFVLMILIPTVATQRASAEFVFTNFNMENDVGIHNKFYIFVLGLLMGQYTLVGYDASANMTEETKDADMNGPKGIISAIGISIIVGWGYLLGITFAVTDIPYLLSEDNDAGGYAIAEVFYLVFKSRYGSGTGGIICLGIIAVAIFFCGMSSVTSNSRTTYAFSRDGAMPFSSVWNKVNKKEVPMNAVWLSVFISFCMALTSLGSMVAFQAMVSIATVGLYIAYALPILFRVTVARISFTSGPFNLGRCSILIGWISVIWVAFITVLFSLPVAYPASWNTFNYTPVAVGGLLLLVLSSWLLSARHWFNGPPLSNCEHYFLNYMFLLIYFSYIDQC >DRNTG_28588.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7882472:7885811:1 gene:DRNTG_28588 transcript:DRNTG_28588.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino-acid permease BAT1 [Source:Projected from Arabidopsis thaliana (AT2G01170) UniProtKB/Swiss-Prot;Acc:Q9ZU50] MSMIYGWLVAGAFTLMVGLSMAEICSAFPTSGGLYYWSAKLCGEQWGSFASWITGWFNIVGQWAITTSIDFSLAQLIQVIILLSTGGKNGGGYQASKYEVLGIHGGVLLIHAILNNASVACLSFFGMFAASWNVIGVFVLMILIPTVATQRASAEFVFTNFNMENDVGIHNKFYIFVLGLLMGQYTLVGYDASANMTEETKDADMNGPKGIISAIGISIIVGWGYLLGITFAVTDIPYLLSEDNDAGGYAIAEVFYLVFKSRYGSGTGGIICLGIIAVAIFFCGMSSVTSNSRTTYAFSRDGAMPFSSVWNKVNKKEVPMNAVWLSVFISFCMALTSLGSMVAFQAMVSIATVGLYIAYALPILFRVTVARISFTSGPFNLGRCSILIGWISVIWVAFITVLFSLPVAYPASWNTFNYTPVAVGGLLLLVLSSWLLSARHWFNGPPLSNCEHYFLNYMFLLIYFSYIDQC >DRNTG_05670.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:68674:73301:1 gene:DRNTG_05670 transcript:DRNTG_05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLCNPETGECNVLYDRPSEDLPLLEQKVVAVLGCMLVLLNRGREDVLSGRSSFKNSFEMADTSIPDGKLPPLAIFRGEMKRCCESLHVALANYLMLDDDRGATIWRRLHRLKNVCYDAGFSRGDGYPCPAVLANCGPVYFSISKDDESLEDSEVAFWRGGQVTDEGLSWLVEKGFKTIVDLRDEAEQDVYYQSAIDQAVALGKIEVINLPVEVGTSPSVEQVEQFASLVSDSSRRPIYLHSQEGVGRTSAMVSRWKQYVTRNARHIETYNSLNGKPSKNTMDEESDLDFQNIICSNLQGDNLSEDKFFSEFPNPRFSKEGISAIQYEQQDCEAGDKNDSMQNTTPNGNANGAYIVKEESFSSVSIVSNPLKAQFPTCGVFSRKEMTQFFKQRKISPLTYLNSQRKKVEIIPDSRKKQNYPAQGNVVPLGTTLSDLIKPQTSNGTSTDGVICLRTNAPNISNAKQMRNQSSPSLGASLNGFPPVGLSKLATEPVASSNSSNNSTETLSPPIGEKRKTVNGSIDSMADGLDLVQGNMCASTTGVVRVQSRKKAEMFLVRTDGFSCIREKVTESSLAFTHPTTQQQMLMWKSTPKTVLLLKKLGDELMEETKEVASFLHSQEKMNVLVEPDVHDTLARIPGFGFVQTFYCQDTSDLHERVDFVACLGGDGVILHASNLFRGAVPPVVSFNLGSLGFLTSHTFDEYRKDLGAIIHGNNMLDGVYITLRMRLRCEILRNGNAMPGKIFDVLNEVVVDRGSNPYLSKIECYEHNRLITKVQGDGVIIATPTGSTAYSTSAGGSMVHPNVPCVLFTPICPHSLSFRPVILPDSAQLELKIPEDARSNAWVSFDGKRRQQLSKGDSVRISMSEHPLPTVNKSDQTGDWLLSLVRCLNWNERLDQKAL >DRNTG_32810.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:9653129:9655531:-1 gene:DRNTG_32810 transcript:DRNTG_32810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPIGQQSFHQKKMTKQLTGKRDDMPLHFAARSGNLATFKEALSGKCLEELAELLSKQNHAGEKPLYVAAEYGYVDVVAEIIKFHDVAIASMRAKNGYDALHIAAREGDVGTYRIRAPLSNLLPFFFLSTCFCSFMELILYTLYATIFGVRREIERFLHRDLIVKKLLSLKEVNVKVINRSGETTLDTSEKTRNLDIVPILVEHGVQSARRIIPVNPACKLKQTVSDIKHEVHSQLEHSRHTRKRVQGIAKRINKLHTEGLNNAINSITMVVVLIAIVAFAAIFTVPGEYVEDSNNLALGTSIGEANIA >DRNTG_25792.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2884159:2884508:1 gene:DRNTG_25792 transcript:DRNTG_25792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASPSFGVPGLANLSNLQIYRLEAAISNGHGLEQMQGTLESYSSFLKLKIVKKIVIRIHHKLKALPQDLVIPMSKKWKFVVFVFTVF >DRNTG_25765.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21248719:21249332:1 gene:DRNTG_25765 transcript:DRNTG_25765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSGGESGPQKIGLIWKVAPTYMHTRTPSHSRYETKGVLLHYPTDQRLKPSLVRIIWALDQQYFTQGTSLRAPALVRILPGQNPLWSAHSSELTWTSSNRAPHQLSVKAPMGSITLPQASMSKPRKLAIEETIRDFIIILFKTSFINGLPTLLPFSNICQISQV >DRNTG_16110.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1554868:1555478:-1 gene:DRNTG_16110 transcript:DRNTG_16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPNWPSDVVIDEECWTDLEHYKQNGRWYAASKTMAEKAAWEFAGENGLDMVVINPGTVMGSIIPP >DRNTG_31400.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2682223:2682818:1 gene:DRNTG_31400 transcript:DRNTG_31400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQVSHLRRLVEFMGCPFSREEERDGVVKDIVKLCSFDNLRDLEVNKDPKGSLEGKSMKPPPSYFFQEGKGGDWVNYMSMEMAEKLDAITKEKLHGSGSGLSFESSTVLP >DRNTG_05956.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20173475:20174633:1 gene:DRNTG_05956 transcript:DRNTG_05956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDWWYGLTIDSLERSFVVGIRKASTGGSLGPVTSSPDKILGKRVGYSEGGCSFGLGDLVSRELDSDLGGLRPDHGPARYATGHQYRSERRCCDRLGQGCWSILMSSSSLRIEGRDWSPSPPEGRLQPENGAHNNLVTRILGAL >DRNTG_06207.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26170441:26174182:-1 gene:DRNTG_06207 transcript:DRNTG_06207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAVTQSFRWRPIHRGEHPETLALAVPDPLLPNLDVFICTADPSKEPPICVASAALSALAFDYPSDKLSVFVSDDGCSDLTLFAFMEAARFARHWLPFCKEMCVLERCPETFFNSTDDPRSDEIKLLYQRMKKKIESVMEKGQVSDDLFISEEDRAIFKKWKNFTRSNHPAVIQVLLQSSRDMDIMGNPLPNLVYVSREKSSTSFHHFKAGALNALLRVSGVMSNAPVILTMDCDMHCNDPGAPRRAMCYFLDPELSPKLAYVQFPQRFPGVNKNDIYGGEIKRLYQINPRGMDGLKGPNYVGTGCFHSRRSFYGPPSSPPSLLNDLNEQTSDTPLSSEAILRKAHEVASCKYEDGTKWGSTIGMRYGSMSEDYHTGYRLHCEGWESVFCDPERPAFFCKIPITLNDVLSQNKRWCLGVLEVFFSKHSPMIFGVRKVSFLMGLCYSYDGFWTSWCIPILIYGLLPQLALTNQIPLFPKVSDPWFYLYAYLFLSAYCQDLIDFLASKGTFNRWWSDQRMWLIRGITSYPFGATQFALKQIGIPAPGFNVTSKIMPDEVSKRYKDGIFEFGIVSPFFVSLGTVAIINMFSLIIGMANAVRKERSFDEMFVQLFLSAFVVVNSWPIYEAMFLRKDGGRIPRRVTGISILLAGILYSISYFLFLVY >DRNTG_06207.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26170441:26172562:-1 gene:DRNTG_06207 transcript:DRNTG_06207.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKIESVMEKGQVSDDLFISEEDRAIFKKWKNFTRSNHPAVIQVLLQSSRDMDIMGNPLPNLVYVSREKSSTSFHHFKAGALNALLRVSGVMSNAPVILTMDCDMHCNDPGAPRRAMCYFLDPELSPKLAYVQFPQRFPGVNKNDIYGGEIKRLYQINPRGMDGLKGPNYVGTGCFHSRRSFYGPPSSPPSLLNDLNEQTSDTPLSSEAILRKAHEVASCKYEDGTKWGSTIGMRYGSMSEDYHTGYRLHCEGWESVFCDPERPAFFCKIPITLNDVLSQNKRWCLGVLEVFFSKHSPMIFGVRKVSFLMGLCYSYDGFWTSWCIPILIYGLLPQLALTNQIPLFPKVQFHLGLK >DRNTG_20909.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25395564:25397921:-1 gene:DRNTG_20909 transcript:DRNTG_20909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFPQWEVVGGRITKDADEMIKLLFAAVKPGVKSLVFGITGKGGIGKTMLARRIYDDRLVQAWFQVKVWLSISDEDLLYAQMLREIIHQAGGWCCGDETMSELEEMLVAVVQGKRLFLVFDGVFNLDLCNQLLKLVTSSCGDGSRVLVTSRFDDLARELGAVHLHKMSLLSETESWSLACKMFDFQTNIELVDIAKDGIDKCHGHPLAVKLLGGIFAEHKRSEWKKVTQGLDDMKSEKNGFFLSVYEALPSHLKQCFLFCALFTGNRTRERRDIIQLWIAEGLIKPEKNRALEDLAEVYYSEIVSRNLLQPELGNHEQELRCTMHQLLRELARQLTQHCHYINGKVSSLILLEKPSVTSNHVRVLDLRGTEIDEIPDSIGFLRYLRYLNLSQTKVRNLPDSIRNLSNLQFLSLNSCKKLESIPPGITQLYNLRSLDILHTKVARLPYGIKNMKHLNSLIGGCCYLLDELRTLSELRELHIENLNMAKTGGDVQMLSNKKFLQLLVLSWSHEPKPMITGNIIQELRPSPSTKRLTITSFPGTEYPKWFSSSNNLCNLTLLVLEHCSFCVQLPSLGDLPELKYLRITGCKGIVTIDIKFFGEKAFPRLERLIMEEMNMWEKWVGPKSAFPSLRSLFLRNCPMLMSLPQCLQRAKVLTELVIQGADRLRALENFQSIMDLQIESSTNIEKVCNFPALKRLKIVKCPALDVVKNMPCLEILHLVDVSMDSLPVWFGSDMNVKLKLLDITGNDRLLNRVKKGGEEWEKIARIPRFIACNANKSSLISYY >DRNTG_01223.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4995024:4997776:-1 gene:DRNTG_01223 transcript:DRNTG_01223.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPIDFLALQEIHSSLEDTPGSNFLLAWDFSSDPCSFPGVLCNPTTNHVVSLSLGDPRAGAPGLTGKLHPSLSLLSELTDLSLVPGRVYGLIPPSLSLLRRLRFLALSENFLSGPIPQSLSSLPSLQTLDLSFNLLSGSIPPPPPSLSFLILSHNRLSGTIPSAIGGNPRLLRLDLKHNHFTAIGGIVREFVRLFLWTGSGRENLKFNVRWTHRNLAIGLGDC >DRNTG_01223.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4995024:4997776:-1 gene:DRNTG_01223 transcript:DRNTG_01223.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPIDFLALQEIHSSLEDTPGSNFLLAWDFSSDPCSFPGVLCNPTTNHVVSLSLGDPRAGAPGLTGKLHPSLSLLSELTDLSLVPGRVYGLIPPSLSLLRRLRFLALSENFLSGPIPQSLSSLPSLQTLDLSFNLLSGSIPPPPPSLSFLILSHNRLSGTIPSAIGGNPRLLRLDLKHNHFTAIGGIVREFVRLFLWTGSGRENLKFNVRWTHRNLAIVSSLASRSMVDFAYDDNGSPILTVSSLAVHSKVLSSICYSLFYSGQGLGDC >DRNTG_01223.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4995369:4997776:-1 gene:DRNTG_01223 transcript:DRNTG_01223.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPIDFLALQEIHSSLEDTPGSNFLLAWDFSSDPCSFPGVLCNPTTNHVVSLSLGDPRAGAPGLTGKLHPSLSLLSELTDLSLVPGRVYGLIPPSLSLLRRLRFLALSENFLSGPIPQSLSSLPSLQTLDLSFNLLSGSIPPPPPSLSFLILSHNRLSGTIPSAIGGNPRLLRLDLKHNHFTAIGGIVREFVRLFLWTGSGRENLKFNVRWTHRNLAIVSSLASRSMVDFAYDDNGSPILTVSSLAVHSKVLSSICYSLFYSGQGLGDC >DRNTG_01223.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4995024:4997776:-1 gene:DRNTG_01223 transcript:DRNTG_01223.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPIDFLALQEIHSSLEDTPGSNFLLAWDFSSDPCSFPGVLCNPTTNHVVSLSLGDPRAGAPGLTGKLHPSLSLLSELTDLSLVPGRVYGLIPPSLSLLRRLRFLALSENFLSGPIPQSLSSLPSLQTLDLSFNLLSGSIPPPPPSLSFLILSHNRLSGTIPSAIGGNPRLLRLDLKHNHFTAIGGIVREFVRLFLWTGSGRENLKFNVRWTHRNLAIGLGDC >DRNTG_01223.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4995024:4997776:-1 gene:DRNTG_01223 transcript:DRNTG_01223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPIDFLALQEIHSSLEDTPGSNFLLAWDFSSDPCSFPGVLCNPTTNHVVSLSLGDPRAGAPGLTGKLHPSLSLLSELTDLSLVPGRVYGLIPPSLSLLRRLRFLALSENFLSGPIPQSLSSLPSLQTLDLSFNLLSGSIPPPPPSLSFLILSHNRLSGTIPSAIGGNPRLLRLDLKHNHFTAIGGIVREFVRLFLWTGSGRENLKFNVRWTHRNLAIVSSLASRSMVDFAYDDNGSPILTGLGDC >DRNTG_18202.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1191559:1200942:1 gene:DRNTG_18202 transcript:DRNTG_18202.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EMBRYO SAC DEVELOPMENT ARREST 30 [Source:Projected from Arabidopsis thaliana (AT3G03810) UniProtKB/Swiss-Prot;Acc:F4J2C8] MVFKNKIKLAAFVGIALSVASLLVHLLLADYSTGDLIRHKVDDFYAVGPKFRNQNLWGPVKSLDTLQPFATPRNSYPGPNGENGFIYAKIFGGFDSIRSAICDLVTIARLLNATLVIPEIQQSLRSKSISTKFKSFSYIYNEEQFIAALSNDVVVVKSLPDDLKDARKQNKLPVVSPRYSTATSYYIGKILPRLKESKAVGLMISYGGCLESILPPNMAEYQRLRCRVAFHALQFRPEILALGNRMVERLRGSGRPYMVFHPGLVRDTLAFRGCAELFQDVHTELIQYRRSKMIEHNFLHENLNVDSMARKRNGSCPIMPEEVGLILRAMGYHPNTIIYLAGSEIFGGQRVLIPLRAMYLNLVDRTSLCSKKELTDLLGPETSLPLDLPQSPPEKSKKQLIKEWNKAGPRPRPLPPPPARPFYRHEKEGWYGWVAETDTEPEPSRMDLRLQAHRLIWDALDYLVSVEADAFIPGFHNDGSGWPDFSSLVMGHRLYQMAYATTYRPDRKTIAELFESIRDHLYHPKRNWTATVREHLNNSLGVEGLTAAAKMLKPRSFISHPLPECSCRLSKPKNIIRDTTKGGDGELLYGGEPICPDWLVNGMVSLKPPTPSQKDDVPEDNELPEDDPVPEGPPESDSGSRNEVSSRSSEQDEEMDPDD >DRNTG_18202.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1190779:1200942:1 gene:DRNTG_18202 transcript:DRNTG_18202.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EMBRYO SAC DEVELOPMENT ARREST 30 [Source:Projected from Arabidopsis thaliana (AT3G03810) UniProtKB/Swiss-Prot;Acc:F4J2C8] MVFKNKIKLAAFVGIALSVASLLVHLLLADYSTGDLIRHKVDDFYAVGPKFRNQNLWGPVKSLDTLQPFATPRNSYPGPNGENGFIYAKIFGGFDSIRSAICDLVTIARLLNATLVIPEIQQSLRSKSISTKFKSFSYIYNEEQFIAALSNDVVVVKSLPDDLKDARKQNKLPVVSPRYSTATSYYIGKILPRLKESKAVGLMISYGGCLESILPPNMAEYQRLRCRVAFHALQFRPEILALGNRMVERLRGSGRPYMVFHPGLVRDTLAFRGCAELFQDVHTELIQYRRSKMIEHNFLHENLNVDSMARKRNGSCPIMPEEVGLILRAMGYHPNTIIYLAGSEIFGGQRVLIPLRAMYLNLVDRTSLCSKKELTDLLGPETSLPLDLPQSPPEKSKKQLIKEWNKAGPRPRPLPPPPARPFYRHEKEGWYGWVAETDTEPEPSRMDLRLQAHRLIWDALDYLVSVEADAFIPGFHNDGSGWPDFSSLVMGHRLYQMAYATTYRPDRKTIAELFESIRDHLYHPKRNWTATVREHLNNSLGVEGLTAAAKMLKPRSFISHPLPECSCRLSKPKNIIRDTTKGGDGELLYGGEPICPDWLVNGMVSLKPPTPSQKDDVPEDNELPEDDPVPEGPPESDSGSRNEVSSRSSEQDEEMDPDD >DRNTG_21151.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2306924:2311091:-1 gene:DRNTG_21151 transcript:DRNTG_21151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRDSFLSTSASFPRLEVRTKLEKGEPMLAALEEEKGWEERWEEKPRPFLNLEAPSPLRESSS >DRNTG_34401.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25959668:25962917:1 gene:DRNTG_34401 transcript:DRNTG_34401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTDVKLFNRWSFDDVEVSDMSLNDYVAVVPTKHATYLPHTAGRYSVKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIVKHAMEIIHLLTDLNPIQVIVDAVINRQDLFSSWSVILLNNFWAGMYLYTALTSQTKMWCYLMVKLIVDQDDFLNRNICKP >DRNTG_34401.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25959668:25962917:1 gene:DRNTG_34401 transcript:DRNTG_34401.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTDVKLFNRWSFDDVEVSDMSLNDYVAVVPTKHATYLPHTAGRYSVKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIVKHAMEIIHLLTDLNPIQVIVDAVINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >DRNTG_25965.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22417196:22421205:-1 gene:DRNTG_25965 transcript:DRNTG_25965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLPVSNAHEMEKSNGKPQSSRICFLAALSAMFWFLIFYFHFTVLNADPPAYQSKVPVSFDPIPSNIQHLDKNQNQNQNQNKNKPLPAFHSLTSTPPLKQLVTRHEPKDYPFFRALKTAENKSDPCGGRYIYVHDLPPQFNENMLKECKSLNLWTNFCKFTTNNGLGPPLENVDGVFSNTGWYATNQFTVDVIFSNRMKQYECLTKDSSIAAAVFVPFYAGFDIARYLWGYNISVRDSASLALQDWLMKRPEWNVMGGKDHFLVGGRITWDFRRLTEFESDWGNKLLFLPASKNMTMLVVESSPWNANDYAIPYPTYFHPDKDADVFLWQDRMRKLERKWLFSFAGAPRPDNPKSIRGQLIEQCKKSSVCKLLECDFGESKCHSPSSIMQMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYTQYTWHLPKDYMKYSVFIPEDDIRKKNLSIEERLKQIPPETVKAMREVVISLIPRLIYADPRSKLETLKDAFDVSVQAIIDKVTNLRKDIIAGREDKDFIEENSWKYALLEEGQRTVGAHEWDPFFSKPKDGQNGESGSSSAAAAKNSWKNEQRDHS >DRNTG_25965.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22418586:22421205:-1 gene:DRNTG_25965 transcript:DRNTG_25965.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLPVSNAHEMEKSNGKPQSSRICFLAALSAMFWFLIFYFHFTVLNADPPAYQSKVPVSFDPIPSNIQHLDKNQNQNQNQNKNKPLPAFHSLTSTPPLKQLVTRHEPKDYPFFRALKTAENKSDPCGGRYIYVHDLPPQFNENMLKECKSLNLWTNFCKFTTNNGLGPPLENVDGVFSNTGWYATNQFTVDVIFSNRMKQYECLTKDSSIAAAVFVPFYAGFDIARYLWGYNISVRDSASLALQDWLMKRPEWNVMGGKDHFLVGGRITWDFRRLTEFESDWGNKLLFLPASKNMTMLVVESSPWNANDYAIPYPTYFHPDKDADVFLWQDRMRKLERKWLFSFAGAPRPDNPKSIRGQLIEQCKKSSVCKLLECDFGESKCHSPSSIMQMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYTQYTWHLPKDYMKYSVFIPEDDIRKKNLSIEERLKQIPPETVKAMREVVISLIPRLIYADPRSKLETLKDAFDVSVQAIIDKVTNLRKDIIAGREDKDFIEENSWKYALLEEGQRTVGAHEWDPFFSKPKDGQNGESGSSSAAAAKNSWKNEQRDHS >DRNTG_25965.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22418586:22421205:-1 gene:DRNTG_25965 transcript:DRNTG_25965.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLPVSNAHEMEKSNGKPQSSRICFLAALSAMFWFLIFYFHFTVLNADPPAYQSKVPVSFDPIPSNIQHLDKNQNQNQNQNKNKPLPAFHSLTSTPPLKQLVTRHEPKDYPFFRALKTAENKSDPCGGRYIYVHDLPPQFNENMLKECKSLNLWTNFCKFTTNNGLGPPLENVDGVFSNTGWYATNQFTVDVIFSNRMKQYECLTKDSSIAAAVFVPFYAGFDIARYLWGYNISVRDSASLALQDWLMKRPEWNVMGGKDHFLVGGRITWDFRRLTEFESDWGNKLLFLPASKNMTMLVVESSPWNANDYAIPYPTYFHPDKDADVFLWQDRMRKLERKWLFSFAGAPRPDNPKSIRGQLIEQCKKSSVCKLLECDFGESKCHSPSSIMQMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYTQYTWHLPKDYMKYSVFIPEDDIRKKNLSIEERLKQIPPETVKAMREVVISLIPRLIYADPRSKLETLKDAFDVSVQAIIDKVTNLRKDIIAGREDKDFIEENSWKYALLEEGQRTVGAHEWDPFFSKPKDGQNGESGSSSAAAAKNSWKNEQRDHS >DRNTG_09228.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:916641:930447:1 gene:DRNTG_09228 transcript:DRNTG_09228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVVDMMLRVVLSVVLWVVLSVVIPMFGFVFMIYLVMLWVGLFMLWVVGLFMLRVAPMISEVNLPDPQFVDAPIIIIIYSFDNIAPHVVLKMLRIISRMLRYQMKKKMERVRDDLKKLQERLEKAYRNLEYADEEMMIVPYGTTNTLFHNLRAVCNDLQDISDDLFMHEDKLKTMARMRTYEVHWYSPFVYVYLHLIYFPRQINSQNKTLKNIDSRLEEILKQELNNGLTLFNLNTTVEHEIDLVGGIEQDTENLVEKLMITSSSPGVHVYGIVGERGIGKTTLARKIFNHQTIKDKFHSPPPIWVDVHMNSTFHTIMNSINKFDGDLIQDKEILVVLNDVNDSKVLKDMTDYMHLITNANVLVTTRYESVITHEGIYKT >DRNTG_29725.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:561924:567235:-1 gene:DRNTG_29725 transcript:DRNTG_29725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDALEESLPFRQVISRFLGGKSKSFTYLADAVSPASSAQSHTKPENACTHKREKYIHLH >DRNTG_21962.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2106490:2112395:-1 gene:DRNTG_21962 transcript:DRNTG_21962.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKYLKAILRQDVEYFDLKVSSTSEVITSVSSDSLIIQDVISEKVPNFIMCCTIFVGSYIVAFIIMWRLALVAFPTVLLLIIPGILYGKTLMNLARKIKEESDKTTCVIEQAISSIRTVYSFVGESKTMADFSVTLNSCVKLGLRQGLIKGLAIGSSGISFAIWAFVAWYGSKLIMYSGAHGGNIYAVGIEVTYGGLTLGSALSNMKYFSEAIAAGERIMEVMDRVPKIDIDTTEGEILRSVDGEVQFIGVDFAYPSRPENVILNEFSLKVPAGMTVALVGSSGSGKSTVIALLERFYDPLNGEVLLDGVNIKKLKLKWLRSQMGLVSQEPALFATSIKENILFGKEDASMDEVVAAAKASNAHNFISQLPQGYDTQVGERGVQMSGGQKQRIAIARALIKSPKILLLDEATSALDSESERIVQEALDNASLGRTTIVIAHRLSTIRNADLIVVVQAGQVMEIGSHIELIEKDDSLYSSLVHLQQSPNEDNKDKDRPPASSSMHSNKGNNKDQKSHTINRSFSTEHELDFTKSVSNNELIEKDDSLYSSLVHLEQSPKEDNKDKDRPSASSSMHSNKGNNKDQKSHTINRSFSTEYELDFAKSVSNNKKPKDKSKTKLPAPSFSRLLLMSSPEWKQVLLGILSSILSGGVQPLYSYLLGSVISAFFLNDHKEIKNKLKTYSLIFLGLSIFSLFISILQHYNIGAMGEYITKRLREKMLSKILTFEVGWFDRNENSTGAICSRLSNDANAVRSLVGDGMALLIQTFSTIVIACAMGLIIAWRLALVMIAVQPLVIMSCWARKMLLKNMSTKTIKAQAESSKLASEAVSNFSTVTTFSSQDRILHLFEKAQEEPLRESVKQSWYAGFALGVARSLTICIWALDFWYGGKLVSSGYITTKAVLQTFFVLFRTGYVIADASSTTSDIAKCGDAVDSVYALLDQCTRIEPDDPKGHRPEKLIGNVDICNVDFAYPTRPDIVIFKNFSLSIQAQKSTAFVGQSGSGKSTIIGLIERFYDPLRGAIEIDGKDIKAYHLRELRQHIALVGQEPTLFAGTIKENIKYGSEKATEAEVEAAARVANAHDFISCLKDGYLTWCGDRGVQLSGGQKQRIAIARAVLKNPTILLLDEATSALDSQSEKMVQEALERVMIGRTSVVVAHRLSTIQNCDLIAVLEKGMVVEKGNHASLIAKGPSGSYFSLVNLQQGSKPENKEQF >DRNTG_25247.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19275892:19276821:-1 gene:DRNTG_25247 transcript:DRNTG_25247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPTKASLSDPFANAPATSWPSSLASSLASAVAPSPPPSSTASGPSSPTASTSASQPAMISRRTRCRVPRRWGTLRSLLLSRSLQSKKGMRENIRFRVLIDSQIYRLSDVVSE >DRNTG_06275.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8225061:8228385:1 gene:DRNTG_06275 transcript:DRNTG_06275.5 gene_biotype:protein_coding transcript_biotype:protein_coding MACQKELGICMKLLHETTTIIGLSFSCNHDQLGIWNFARSIILPLH >DRNTG_06275.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8222074:8228282:1 gene:DRNTG_06275 transcript:DRNTG_06275.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQEETLGLRSSSSLKMKSLHAPEETGTDPFCCNTLRSQLVIEFLDANFVSWGAVANRGEGFEMAFALRASSFPFCAVIAPASCKTIAVLQQVLILVLHD >DRNTG_06275.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8222074:8228282:1 gene:DRNTG_06275 transcript:DRNTG_06275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQEETLGLRSSSSLKMKSLHAPEETGTDPFCCNTLRSQLVIEFLDANFVSWGAVANRGEGFEMAFALRASSFPFCAVIAPASCKTIAVLQQVLILVLHDFA >DRNTG_06275.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8225189:8228282:1 gene:DRNTG_06275 transcript:DRNTG_06275.9 gene_biotype:protein_coding transcript_biotype:protein_coding MACQKELGICMKLLHETTTIIGLSFSCNHDQLGIWNFARSIILPLH >DRNTG_06275.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8225189:8228385:1 gene:DRNTG_06275 transcript:DRNTG_06275.7 gene_biotype:protein_coding transcript_biotype:protein_coding MACQKELGICMKLLHETTTIIGLSFSCNHDQLGIWNFARSIILPLH >DRNTG_06275.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8225138:8228385:1 gene:DRNTG_06275 transcript:DRNTG_06275.6 gene_biotype:protein_coding transcript_biotype:protein_coding MACQKELGICMKLLHETTTIIGLSFSCNHDQLGIWNFARSIILPLH >DRNTG_06275.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8225138:8228282:1 gene:DRNTG_06275 transcript:DRNTG_06275.8 gene_biotype:protein_coding transcript_biotype:protein_coding MACQKELGICMKLLHETTTIIGLSFSCNHDQLGIWNFARSIILPLH >DRNTG_06275.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8222074:8228282:1 gene:DRNTG_06275 transcript:DRNTG_06275.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQEETLGLRSSSSLKMKSLHAPEETGTDPFCCNTLRSQLVIEFLDANFVSWGAVANRGEGFEMAFALRASSFPFCAVIAPASCKTIAVLQQVLILVLHDFA >DRNTG_06275.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8225189:8228551:1 gene:DRNTG_06275 transcript:DRNTG_06275.4 gene_biotype:protein_coding transcript_biotype:protein_coding MACQKELGICMKLLHETTTIIGLSFSCNHDQLGIWNFARSIILPLH >DRNTG_02741.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6629485:6630230:-1 gene:DRNTG_02741 transcript:DRNTG_02741.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHYLSCYPKELCHEDSWSLFKECACLNLGTTQVSRKFEQVGRKIVKKLEGLPLAARMVGCLLCSNTDLTDWKIILNADVWKAKADDLYGIPAALWLSYKHLPSHIKRSLAYCSVFPRGHIFNREDLIHMW >DRNTG_22149.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13741984:13760513:1 gene:DRNTG_22149 transcript:DRNTG_22149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMHHNTSTPAVGLISPRIPKFPKPYTPFLLRFNQSQSLSSRSGRHRIVLRVENHCSAMAQQIGASVDASNEAQGLNRNLYATIEPYSSGYLKVSDLHTLYWEQSGNPSGHPVVFLHGGPGAGTSANNRRFFDPEFYRIILFDQRGAGKSTPHACLEENTTWDLVDDIEKLREHLGISEWQVFGGSWGSTLALAYSQSHPDKVTGIVLRGIFLLRKKELDWFYEGGAAAIFPDAWEPFRDFIPENERGSLIKAYHKRLNSDDMQTKLMAAKIWTTWELMTAHLIPNEANIKKGEDDTFNLAFARIENHYFVNKGFLPADSYLVSNIDKIRHIKTVIVQGRYDVCCPMMSAWDLHKEWPEAEFKVVPDAGHSANEVGIAAELVAANEKLKNILKSGGI >DRNTG_22149.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13741984:13760513:1 gene:DRNTG_22149 transcript:DRNTG_22149.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRMHHNTSTPAVGLISPRIPKFPKPYTPFLLRFNQSQSLSSRSGRHRIVLRVENHCSAMAQQIGASVDASNEAQGLNRNLYATIEPYSSGYLKVSDLHTLYWEQSGNPSGHPVVFLHGGPGAGTSANNRRFFDPEFYRIILFDQRGAGKSTPHACLEENTTWDLVDDIEKLREHLGISEWQVFGGSWGSTLALAYSQSHPDKVTGIVLRGIFLLRKKELDWFYEGGAAAIFPDGIFLIFLNWPNNI >DRNTG_22149.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13741984:13760513:1 gene:DRNTG_22149 transcript:DRNTG_22149.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLWLFWLSVSYVWQPVVFLHGGPGAGTSANNRRFFDPEFYRIILFDQRGAGKSTPHACLEENTTWDLVDDIEKLREHLGISEWQVFGGSWGSTLALAYSQSHPDKVTGIVLRGIFLLRKKELDWFYEGGAAAIFPDGIFLIFLNWPNNI >DRNTG_25698.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30365540:30367521:1 gene:DRNTG_25698 transcript:DRNTG_25698.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional dethiobiotin synthetase/7,8-diamino-pelargonic acid aminotransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G57590) UniProtKB/Swiss-Prot;Acc:B0F481] MTGGVLPLAVTLTTEAVFEVFKGGSKLMALLHGHSYSAHAMGCTAASKAIQWFKNPCTNINIEPEGRKMKELWDMQLVLQLSSLNGVSRVIAIGTLCAIELRASGSDVGYASLYASSLVQQLRKDGIYMRPLGNVLYLMCGPCTSPDICNQHLRNVYQRISEFKQSSGKGIR >DRNTG_25698.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30359394:30367521:1 gene:DRNTG_25698 transcript:DRNTG_25698.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional dethiobiotin synthetase/7,8-diamino-pelargonic acid aminotransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G57590) UniProtKB/Swiss-Prot;Acc:B0F481] MIVPQFDACASWWTQGPDATFQIELAREMGYSAARYGHVMFPENVYEPALRCAELLLEGVGEGWASRAYYSDNGSTAVEIALKMAFRKFSFDHGIAVDSDNGIVDDRCYDFKVLALNGSYHGDTLGAMEAQAPSSYTSFHQQPWYSGRGLFLDPPMIFISDGVWNLSFPDAFLSHQPKDEKIRFHLRDELFCQTRDCSALAKCYSAYISRQLSQFSDNCPSTYIAALIIEPVVQGAGGMQMIDPLFQRTLVSECRARRIPVIFDEVFTGFWRLGRESAAELLGCSPDVACFAKLMTGGVLPLAVTLTTEAVFEVFKGGSKLMALLHGHSYSAHAMGCTAASKAIQWFKNPCTNINIEPEGRKMKELWDMQLVLQLSSLNGVSRVIAIGTLCAIELRASGSDVGYASLYASSLVQQLRKDGIYMRPLGNVLYLMCGPCTSPDICNQHLRNVYQRISEFKQSSGKGIR >DRNTG_25698.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30365796:30367521:1 gene:DRNTG_25698 transcript:DRNTG_25698.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional dethiobiotin synthetase/7,8-diamino-pelargonic acid aminotransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G57590) UniProtKB/Swiss-Prot;Acc:B0F481] MALLHGHSYSAHAMGCTAASKAIQWFKNPCTNINIEPEGRKMKELWDMQLVLQLSSLNGVSRVIAIGTLCAIELRASGSDVGYASLYASSLVQQLRKDGIYMRPLGNVLYLMCGPCTSPDICNQHLRNVYQRISEFKQSSGKGIR >DRNTG_25698.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30365148:30367521:1 gene:DRNTG_25698 transcript:DRNTG_25698.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional dethiobiotin synthetase/7,8-diamino-pelargonic acid aminotransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G57590) UniProtKB/Swiss-Prot;Acc:B0F481] MQINNANMDTVLIVSCQLCFVVVQGAGGMQMIDPLFQRTLVSECRARRIPVIFDEVFTGFWRLGRESAAELLGCSPDVACFAKLMTGGVLPLAVTLTTEAVFEVFKGGSKLMALLHGHSYSAHAMGCTAASKAIQWFKNPCTNINIEPEGRKMKELWDMQLVLQLSSLNGVSRVIAIGTLCAIELRASGSDVGYASLYASSLVQQLRKDGIYMRPLGNVLYLMCGPCTSPDICNQHLRNVYQRISEFKQSSGKGIR >DRNTG_25698.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30361360:30363204:1 gene:DRNTG_25698 transcript:DRNTG_25698.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional dethiobiotin synthetase/7,8-diamino-pelargonic acid aminotransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G57590) UniProtKB/Swiss-Prot;Acc:B0F481] MIVPQFDACASWWTQGPDATFQIELAREMGYSAARYGHVMFPENVYEPALRCAELLLEGVGEGWASRAYYSDNGSTAVEIALKMAFRKFSFDHGIAVDSDNGIVDDRCYDFKVLALNGSYHGDTLGAMEAQAPSSYTSFHQQPW >DRNTG_25698.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30359394:30364405:1 gene:DRNTG_25698 transcript:DRNTG_25698.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional dethiobiotin synthetase/7,8-diamino-pelargonic acid aminotransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G57590) UniProtKB/Swiss-Prot;Acc:B0F481] MIVPQFDACASWWTQGPDATFQIELAREMGYSAARYGHVMFPENVYEPALRCAELLLEGVGEGWASRAYYSDNGSTAVEIALKMAFRKFSFDHGIAVDSDNGIVDDRCYDFKVLALNGSYHGDTLGAMEAQAPSSYTSFHQQPWYSGRGLFLDPPMIFISDGVWNLSFPDAFLSHQPKDEKIRFHLRDELFCQTRDCSALAKCYSAYISRQLSQFSDNCPSTYIAALIIEPGKRNLQLYSL >DRNTG_07610.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000340.1:14938:17227:-1 gene:DRNTG_07610 transcript:DRNTG_07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESCNLDKDEAEILKPSSSSPPSPPSPAAAAAPSSSPPSLFLKQSSQEFQSPRPERPEVEATAAESIAGSSSEKPEVATSVKFANRCSSCRKRVGLTGFRCRCGDLFCARHRYSELHDCSFDYKAAGREEISKANPLIRAAKIIKI >DRNTG_14182.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:6745078:6746218:1 gene:DRNTG_14182 transcript:DRNTG_14182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQATITFFFSSAGPQLDLLLLLNLTFSDHSKKGLASLEDVNSCPNPFDTSSGGSSIGVRGPMDRFIVNLQDGIE >DRNTG_32397.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30244760:30246965:1 gene:DRNTG_32397 transcript:DRNTG_32397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKQKKAQKEEAEKHLQLQDQLRSLKKEHFLWQLLNIERDMEKIDGELEEENKHLNDVLKLKGESDLELDARKKEQASYLKEIAQCEKKISKKKTELDKKQPELLKLKEEISRINSKIKSSKKELEKKKEDQRKHAREIVKLQAALRDVEGAIKDLNEKGKDGAGKLQLSGDKLEEYHRIKEIAGRETAYLRDEKEVLDRRLHTVEEAYKNLDENFQQLTIREAELKSQREQMLTRLKKLADGIAKHKEELSRAKKELVEVSKKHQMSRTKYQNLKQKVDETENQLRELKADKHESERDARFAETVQSLKRLFPGVYGRMTELCRPSQKRFNLAVTVAMGRFMDAVVVEDENTGKECIKYLKEQHLPPQTFIPLQTVRVKPISERLRTLGGTAQLVFDVI >DRNTG_25070.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:599428:600062:1 gene:DRNTG_25070 transcript:DRNTG_25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFDYFTCHRCSLCRCRLRRTCTSRRSQQRRGGLRQPPRRKSSRSRSPFHSCFPSSHTGSCQHNHSCHRST >DRNTG_30028.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19281278:19284330:1 gene:DRNTG_30028 transcript:DRNTG_30028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPGFLTHWPWQSLGNFKYLVLAPWVVAGAYKTMKQGWDVDISYLAIFPSLLFRMVHNQLWISLSRFQNARSKHRILDRSLDFDQVDRERNWDDQIIFNGLLFYLGHMYIPGANNLPIWRMDGWIIIMLLHAGPVEFLYYWFHRALHHHFLYSRYHSHHHASIVTEPITSVIHPFAEHIVYYFLFAIPMYASIYTRTSSILGLFFYVVYLDFMNNMGHCNFELVPNWLFTVFPPLKYLMYTPSYHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDCLYENSLKGKEEKCDVVHLTHHTTLQSIYHLRFGFASFRFETL >DRNTG_04061.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5814161:5815349:1 gene:DRNTG_04061 transcript:DRNTG_04061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKATILSRKSYHLESVKATREASWERATLEYCSKLLFTRPPGNSTRPRGNFNSPRGQVENPQGRCFREHFEGKAARVLEEVFGDIWGRPSPTLINLFSVIAKSEPSAT >DRNTG_26275.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15588155:15590900:1 gene:DRNTG_26275 transcript:DRNTG_26275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCANLHALKQLHGLDGHKGSHIRADMATRSKRAVGKRPRKLTPEPEVLEFTPPVHRALFKLLAKLKFGQT >DRNTG_11867.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15455323:15464643:-1 gene:DRNTG_11867 transcript:DRNTG_11867.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLPKNLANTSQNPSRNPLSSWGKDGERNPEIGAESALNKAGIGRLHGPVDFSHAHVEFQHGRG >DRNTG_25512.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10567879:10569015:-1 gene:DRNTG_25512 transcript:DRNTG_25512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQLDGLDQRLHDMEQRQEKIISFIQRAIQNPTFMETFVKIAVAGSMDFSVIHKKRRLPNDNSYYDDHSTASKNEAVGHVFLAGKVLDGLYKNGCNVNVSSCSYNASVSSSSMSGAEGCVTTDSLDEDDLSCSSGKDALRSSSSF >DRNTG_20265.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2956072:2957867:1 gene:DRNTG_20265 transcript:DRNTG_20265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKNDNFESKRYIAKYTINPAIANGFSNYVGSVEKW >DRNTG_23242.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21489644:21500146:1 gene:DRNTG_23242 transcript:DRNTG_23242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCDLEPKQELNHHGHHNTRGGAVAEPITINALVFTKFGEILLLNRRPVVEADSDSDSAESATMVEPRRTLRLCLYEVKEGFIKEGRVGLITLEKTQGAKITPVVAPITKVEGIILTSRRVKKDLEEASLATLKQIQERSSRPSHSVMETIEEDEQAVEEEPKESSPARPIVQEYKARVPYPSRLKADKEDELMMEVMSSFELDRTIISLGHWELISFQIFGEEYMMSYMDFSMQMGLVDVEYTRIASYSEVHVYLLIHLSPDQETTTIAVLSILTTALAVAADVGLLSTLIAAPTPSAPPALEDRLACLEECQDPLTTPAPTSPTVTVPVVLKSPPASPTSPANFHRSAFLFYIPLLHYEGHNARDRVTQRPKTVQGCDLEPKQQLTHHEHHKACGGAVAEQITINTLVFSKFREILLPIRQVGQISGDLSCGRWVFFHKPVDFGRFLKSTTMSIIGDDRA >DRNTG_03492.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:761779:785366:1 gene:DRNTG_03492 transcript:DRNTG_03492.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTQNQLHILFFPLMAPGHMLPMLNMAKLFACHDHRVRATILTTPANVTTLVKTITINSNSKIELALIPFPSTAAGLPLGCENLTTISSHDDLTPNFIQAVSMLTQPFEQILRELKPNVTITDAFIPWTIDITTKLGIPQIISHGTGFFPLCLSDIIANYKPHETLPAETMSFLVPGIPHRVELLKTQVLDIMKAGKPMLDLLLKIKDTESRSYGVVVNSFYELEPDYVQHYRTVIGRRAWHVGPVSLCNEDVINGASATDDKDHDECLRWLDEKQPGSVLYVCFGSLCAFSGDQLREIALGLEASNHAFIWVVPKVLKRDEDMDWMPEGFEGRINIEGKKGFIIRGWAPQLLILNHKAVGGFMTHCGWNSTLEGVCAGLPMITWPLFAEQFYNERLVLDVLKIGVAVGMKEYVMKHEDRPLIYGIDIERAVNCVMGGDEEAEAMRKRARELGVMAKSAVMEDGSSYTELTRLINELLLLVENID >DRNTG_09773.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20144186:20148692:-1 gene:DRNTG_09773 transcript:DRNTG_09773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEELTAQETALYDRQIRVWGADAQRRLSKSHILVSGLSGTSVEFCKNIVLAGVGSLTLMDDRSVTEDALQANFLIPALESEYGGRSLAELCCESLKDFNPMVRVSVVKGDLAQFDVEFFDKFDAVVVSGCAYNTKKAVNEKCRKRSKRVAFYAVECRGSCGEIFVDLQNHSYVQKKSNAAAECILNYPSLEEAIMIPWKGLPRKVTKLYFALRVIEKFELFKERNPGEVSISDLSDVLKLSKELCEAQSLSESHIPVALLERLLAAGSREYPPVCAILGGILGQEVIKALSGKGDPLKNFFYFDTADGKGVIEDISNQSANSADGKAVRVDVANISEC >DRNTG_05090.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:6023795:6024852:-1 gene:DRNTG_05090 transcript:DRNTG_05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding EAAAEAPLEAPEPFTKQAMSDKTIKASPFRGGQCFPMSK >DRNTG_02164.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29218715:29225555:-1 gene:DRNTG_02164 transcript:DRNTG_02164.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFNPFSLSSIESQIGVFGSWSGKFSKDTMIGNRPLLTYKRRRFSSSLQLAHDIRSSDSCLKSPTKISSSQSSPKSEPSSKNKKLNGDCVHCFKCGTFDVNENLLDCCSCSATYHLRCIDPSLKFIPQDKWVCSACLEKGDFEEPMQLTTQDNMRKGKKLKESGSGHLKLHSDMVLPSTEVAEKSSSSGVPSCIEPSANNIAQSLQMNNPCMNSSSECGITCNGEPSWFHSTNVDIVRELLGHSKSSAKRSTSECPNGSVLESKNSGNRVQESAECAKENCRAPAPLLTFYRTRKKKKQVEGNIVAESNSKVAALRDGSKYQSSSQNCVFSSQSTTSAVVIELNPLHMIQRDEATNLEKGSGPSSLSGAVFSGPKITNDLGEKLDIWCPKGSNSSDHSHLPSQPIEHILEDQLNKLKAATTSGITGNPSLLDVNNQPTSTNSNASFREELGNKLLVAICNSEKSGIHCDVLAESENLGLSAAPPISTCLNNVVPSRPSCAPHKDILESQSSSTPNCSAMLLDEGSHRKGKGLEWLESIDKAFHNSKKDMMGSSDQVLEEERGGNRNLIVGLGGNIGRQASNWVDYPLTPVSLLTEGPHNKGSSQEFASQPAASGLRFSLAKSDEHSSWKQHQEEAPKSFVCADFLDLSLPLNSKVSLSYIKDPQIGPSSSTCSIRHNAHMHNKMAQCLAIDENSSLSRQKQIVESVITGTQILQERQDYLLEKFRRYSIDWSEEELDFLWIGVRRHGLDNWNALLRDPRLCFLESRTAMDLAERWEFEQRKLFNCSFSQPLNLPRPGISHIPLSKDALLTKPAAGKQYSSWSYGSSDFQRPTTETKLSLGDVYLQKESMPKRNLYSGMDLTGALTNGAPCTGSSLIGGFSKSSLYSWAGNINQRPVRPQNTRYAWEQTLNSQHNMGEESGNEQQLAGLPANSGSLPHWLKEILDMPPRLSETTLPPGVSSNAASGSFLNGEPQNNAPFPSMNDLSFSSKDLRERGILKIRSMTSNSNSGNLRMSDILKSSVSEPQMGAKFNLPTLGQTSIPPPTDNAALDQPKIFDLNKKTPPPIAPGELVIIESDASSEETISDNQNSRL >DRNTG_02164.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29218682:29220438:-1 gene:DRNTG_02164 transcript:DRNTG_02164.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHNKMAQCLAIDENSSLSRQKQIVESVITGTQILQERQDYLLEKFRRYSIDWSEEELDFLWIGVRRHGLDNWNALLRDPRLCFLESRTAMDLAERWEFEQRKLFNCSFSQPLNLPRPGISHIPLSKDALLTKPAAGKQYSSWSYGSSDFQRPTTETKLSLGDVYLQKESMPKRNLYSGMDLTGALTNGAPCTGSSLIGGFSKSSLYSWAGNINQRPVRPQNTRYAWEQTLNSQHNMGEESGNEQQLAGLPANSGSLPHWLKEILDMPPRLSETTLPPGVSSNAASGSFLNGEPQNNAPFPSMNDLSFSSKDLRERGILKIRSMTSNSNSGNLRMSDILKSSVSEPQMGAKFNLPTLGQTSIPPPTDNAALDQPKIFDLNKKTPPPIAPGELVIIESDASSEETISDNQNSRL >DRNTG_02164.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29216592:29223765:-1 gene:DRNTG_02164 transcript:DRNTG_02164.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTTQDNMRKGKKLKESGSGHLKLHSDMVLPSTEVAEKSSSSGVPSCIEPSANNIAQSLQMNNPCMNSSSECGITCNGEPSWFHSTNVDIVRELLGHSKSSAKRSTSECPNGSVLESKNSGNRVQESAECAKENCRAPAPLLTFYRTRKKKKQVEGNIVAESNSKVAALRDGSKYQSSSQNCVFSSQSTTSAVVIELNPLHMIQRDEATNLEKGSGPSSLSGAVFSGPKITNDLGEKLDIWCPKGSNSSDHSHLPSQPIEHILEDQLNKLKAATTSGITGNPSLLDVNNQPTSTNSNASFREELGNKLLVAICNSEKSGIHCDVLAESENLGLSAAPPISTCLNNVVPSRPSCAPHKDILESQSSSTPNCSAMLLDEGSHRKGKGLEWLESIDKAFHNSKKDMMGSSDQVLEEERGGNRNLIVGLGGNIGRQASNWVDYPLTPVSLLTEGPHNKGSSQEFASQPAASGLRFSLAKSDEHSSWKQHQEEAPKSFVCADFLDLSLPLNSKVSLSYIKDPQIGPSSSTCSIRHNAHMHNKMAQCLAIDENSSLSRQKQIVESVITGTQILQERQDYLLEKFRRYSIDWSEEELDFLWIGVRRHGLDNWNALLRDPRLCFLESRTAMDLAERWEFEQRKLFNCSFSQPLNLPRPGISHIPLSKDALLTKPAAGKQYSSWSYGSSDFQRPTTETKLSLGDVYLQKESMPKRNLYSGMDLTGALTNGAPCTGSSLIGGFSKSSLYSWAGNINQRPVRPQNTRYAWEQTLNSQHNMGEESGNEQQLAGLPANSGSLPHWLKEILDMPPRLSETTLPPGVSSNAASGSFLNGEPQNNAPFPSMNDLSFSSKDLRERGILKIRSMTSNSNSGNLRMSDILKSSVSEPQMGAKFNLPTLGQTSIPPPTDNAALDQPKIFDLNKKTPPPIAPGELVIIESDASSEETISDNQNSRL >DRNTG_02164.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29222805:29225555:-1 gene:DRNTG_02164 transcript:DRNTG_02164.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFNPFSLSSIESQIGVFGSWSGKFSKDTMIGNRPLLTYKRRRFSSSLQLAHDIRSSDSCLKSPTKISSSQSSPKSEPSSKNKKLNGDCVHCFKCGTFDVNENLLDCCSCSATYHLRCIDPSLKFIPQDKWVCSACLEKGDFEEPMQLTTQDNMRKGKKLKESGSGHLKLHSDMVLPSTEVAEKSSSSGVPSCIEPSANNIAQSLQMNNPCMNSSSECGITCNGEPSWFHSTNVDIVRELLGHSKSSAKRSTSECPNGSVLESKNSGNRVQESAECAKENCRAPAPLLTFYRTRKKKKQVEGNIVAESNSKVAALRDGSKYQSSSQNCVFSSQSTTSAVVIELNPLHMIQRDEVYFDLSCCNSFRVTLPCISYA >DRNTG_02164.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29216592:29225555:-1 gene:DRNTG_02164 transcript:DRNTG_02164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTTQDNMRKGKKLKESGSGHLKLHSDMVLPSTEVAEKSSSSGVPSCIEPSANNIAQSLQMNNPCMNSSSECGITCNGEPSWFHSTNVDIVRELLGHSKSSAKRSTSECPNGSVLESKNSGNRVQESAECAKENCRAPAPLLTFYRTRKKKKQVEGNIVAESNSKVAALRDGSKYQSSSQNCVFSSQSTTSAVVIELNPLHMIQRDEATNLEKGSGPSSLSGAVFSGPKITNDLGEKLDIWCPKGSNSSDHSHLPSQPIEHILEDQLNKLKAATTSGITGNPSLLDVNNQPTSTNSNASFREELGNKLLVAICNSEKSGIHCDVLAESENLGLSAAPPISTCLNNVVPSRPSCAPHKDILESQSSSTPNCSAMLLDEGSHRKGKGLEWLESIDKAFHNSKKDMMGSSDQVLEEERGGNRNLIVGLGGNIGRQASNWVDYPLTPVSLLTEGPHNKGSSQEFASQPAASGLRFSLAKSDEHSSWKQHQEEAPKSFVCADFLDLSLPLNSKVSLSYIKDPQIGPSSSTCSIRHNAHMHNKMAQCLAIDENSSLSRQKQIVESVITGTQILQERQDYLLEKFRRYSIDWSEEELDFLWIGVRRHGLDNWNALLRDPRLCFLESRTAMDLAERWEFEQRKLFNCSFSQPLNLPRPGISHIPLSKDALLTKPAAGKQYSSWSYGSSDFQRPTTETKLSLGDVYLQKESMPKRNLYSGMDLTGALTNGAPCTGSSLIGGFSKSSLYSWAGNINQRPVRPQNTRYAWEQTLNSQHNMGEESGNEQQLAGLPANSGSLPHWLKEILDMPPRLSETTLPPGVSSNAASGSFLNGEPQNNAPFPSMNDLSFSSKDLRERGILKIRSMTSNSNSGNLRMSDILKSSVSEPQMGAKFNLPTLGQTSIPPPTDNAALDQPKIFDLNKKTPPPIAPGELVIIESDASSEETISDNQNSRL >DRNTG_31508.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14197582:14199393:1 gene:DRNTG_31508 transcript:DRNTG_31508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIGVHLVYTSVFFSIEVIGRLLNVPWPKCTWLGVNGGGLVGVRGRLWSLIIFSKSSSSKSSSNSSFIANGGADKGRGGVDEDRAADVVGGGAAKAGGGREDDVGAEKDSCCSCSDSDSAQTRIYRCPLGIC >DRNTG_02556.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5163310:5165048:-1 gene:DRNTG_02556 transcript:DRNTG_02556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLYFVVAVLALVSVGCSNCTVPISAELLASHGGIPTISKWPISKRPTDGGDRLH >DRNTG_15799.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000786.1:4679:6447:1 gene:DRNTG_15799 transcript:DRNTG_15799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGRGLAAMSNLMMVHARAEFLHGCLFPYRDLEIFPKKTEGRGLAHVDDFVNKHTGVEK >DRNTG_00905.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21609746:21613187:1 gene:DRNTG_00905 transcript:DRNTG_00905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQVDPAFIQAAEHRPKPTISDAGSIPLIDLSPLLHHQIPSDPSDPAIADEVSNLIAQIGEACRDWGFFQVVNHGVEVELLERIQAAASEFFALPAEEKRRVQRGVLNPLGYYDTEHTKNVRDWKEVFDFIVIESETDSLLLKNQWPEYPPEMREACEEYVEGVEKLAYKLLELIAMSLNLPAKILNSFFEGSTSFSRLNHYNPCPSPDLVLGVGRHKDGGALTVLFQDEVGGLDVKRKTDGEWVRVKPIHNSFIVNVGDIIQVWSNDKYESVEHRVSVNSEKDRLSIPFFFNPAACTNVKPLEELVSEDNPPKYQEYNWGDFFKSRRDSNFQKSEKENLQIYHFKRV >DRNTG_06038.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32666818:32670868:1 gene:DRNTG_06038 transcript:DRNTG_06038.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 2 [Source:Projected from Arabidopsis thaliana (AT1G79810) UniProtKB/Swiss-Prot;Acc:Q9CA86] MNLRYRDERAMVTRGKEVRTGVEGQGLSVSQKIWYCLGTVGGQYLWARLQAFSAFRRWGDTEQRSFARYLWILMQHVEGIYKAASFSNLLLFLYNGRYRTIIERVLQARLVYGSPNMNRSVSFEYMNRQLVLNEFSEMLLLLLPLLKSSSIKKLLLPFSKEKTPGSSNDDEMCPICLKSPSTPFVALPCQHRYCYYCISTRATAANSYRCARCNETVGAIQRHGLTNNQK >DRNTG_06038.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32666818:32670868:1 gene:DRNTG_06038 transcript:DRNTG_06038.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 2 [Source:Projected from Arabidopsis thaliana (AT1G79810) UniProtKB/Swiss-Prot;Acc:Q9CA86] MANETLASSSPSTPNVPPEHAWIDAHRALLPRWNSSSSSSSSSSSSSSFQTAIPISISRVNQFDAARLDVEMAAMLKEQLVKVFSVMKPGFLFQYEAELDAFLDFLIWRFSIWVDKPTPGNALMNLRYRDERAMVTRGKEVRTGVEGQGLSVSQKIWYCLGTVGGQYLWARLQAFSAFRRWGDTEQRSFARYLWILMQHVEGIYKAASFSNLLLFLYNGRYRTIIERVLQARLVYGSPNMNRSVSFEYMNRQLVLNEFSEMLLLLLPLLKSSSIKKLLLPFSKEKTPGSSNDDEMCPICLKSPSTPFVALPCQHRYCYYCISTRATAANSYRCARCNETVGAIQRHGLTNNQK >DRNTG_01987.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13297272:13298489:1 gene:DRNTG_01987 transcript:DRNTG_01987.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRHWEIIRRTPFVAFTELEAIYQEGALLDSLLQRYDGRTNKFRIEESLLSFRPQDVALVLGLRCDGDAVVFKKNKTRSAFEGRYLSKTYERHRDSIKSTLVQLVQQRREEENFVKLLMVYLMGTVLFPNTSCSVPNWIVDYVDDLPVMGRYAWAQATHKWLMEDIPQAAARVQDRCAGKKTNTGYVKGCSVALNVWFYKLTGMGKKVRFGKIPRMMCYGESSYRKQAAVETSLSSLEGKEFLKWFP >DRNTG_24180.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3401751:3402186:1 gene:DRNTG_24180 transcript:DRNTG_24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding YIWFVLLNQVGFGQTVCTPVRPRCGVCSINELCPSAFKEASSPGSRAKKSGARIKKQ >DRNTG_15775.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17345451:17347013:-1 gene:DRNTG_15775 transcript:DRNTG_15775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTIKDSLGFLNSALQATLDHAVHTHKLASSIDLSLFDERTKSAWNDCLDLCEDTISHLNKCMSPNSVSFDDSQTWLSAAIANQQTCMNGFMDFNVDPSQLPSFPLTSNNISKFISNSLAINKAISPTNFGRHRRLLSGDFPTWLSISDRKLLQSSNVKANLIVAKDGSGNYKTISEAIAASLKLRKDTSSRFIIHIKAGVYKENVEIKTSMKNIMIIGEGIDKTIVTGSKNVQDGSTTFRSATFAVTGDKFIAQDITFENTAGPQKHQAVALRSGADHSLFYRCSFKGYQDTLYVYSQRQFYRNCDIYGTVDFIFGDAAAILQNCNIYVRKSMSQQKNTVTAQGRTDPNENTGIIVHNSLVSATSELQSVQGSFKTSLGRPWQKYSRTVFMKTSLGSLIDPAGWLAWDGDLSTLYYGEYMNTGAGASTSGRVKWPGYHVITSASEAGKFTVGNFLAGDSWISATGVPFTSGL >DRNTG_14154.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17970234:17974479:1 gene:DRNTG_14154 transcript:DRNTG_14154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAMNRQRVLLKHLLPLSPAEASQLSANSCAAGDSAAYQRSSCFGDDVVIVAAYRTAICKAKRGGFKDTHPEDLLAPVLKAVIDKTKLNPNEVGDIVVGTVLAPGSQRAIECRMAAFYAGFPDTVPLRTVNRQCSSGLQAVADVAASIKAGFYDIGIGAGLESMSTNSVGWEGPVNPRAHNFPQAEDCLLPMGMTSEIVAERYGVTRQDQDAAAVDSHKKAAAAAASGKFKDEIVPVTTKFVDPKTREEKQITVSMDDGIRPETSISVLAKLKPAFKKDGSTTAGNASQVSDGAGAVLLMRRDVAMRKGLPILGVFRSFAAVGVDPAVMGIGPAVAIPAAVRSAGLQIEDIDLFEINEAFASQYVYCCKKLELDPAKVNVNGGAIALGHPLGATGARCVSTLLNEMKRRGKDCRFGIISMCIGSGMGAAAVIERGSVVDELCNARCASFNN >DRNTG_06054.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11424186:11425402:1 gene:DRNTG_06054 transcript:DRNTG_06054.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHSDCSKEYSKSRPDKNFEANQSNIARHVDI >DRNTG_27068.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2767879:2771700:1 gene:DRNTG_27068 transcript:DRNTG_27068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMAMVEKRSGQQQQRRVGGCVGIFFQLLDWNRRLAKKKLFSRKLLPPVGGVKRVSKRFGGDEKMPTSKLKLIADENCGGFPNSNKKSDAKQSFGLCDDDDGGDVGNGMKTAGLVARLMGLETMPVVYQEKPRKALDSELYFDRDESWDCSRLDQDLCTEMGGNGKIDSRPQKIQKTGGFLEKQTVNASRFSSDAFRFNKSMLAGSKKQHHKLPTPVKSPRTLPRRSTVRLMEAATKILDPGSHARNRALTYIGSARASGGVQGSNVGLLSRNVKDSVVGSCRSCGGLVEVPGLRLGECGSSTSDFSNASCSDVGYEDIGIKPLDKVASLVDQVKTNAKNRASDNVEKKYNIVEVREQRKCEEDAIPKPGPKVKNLRQNPVPSIKGKVNGKQDGRRYPNEVNRAKDFISSSKNLNKTTVTRPTKAVATSHKMVGMERSVGGKHLIRKRRPAGGLQNENLCLSNSKLPQERIAKGITTERSISRNRIKSEVRKRADGDSTSGSKGSDAFSFTFTAPMRHGSSSSLYKGMAEKSRSEDKHSGEFLNPKASSPERKNGDSTSQRATPLRGDALSSLLEKKIRELSSLDMDELAKGDANLGRSTASILEELISALTTGAPLPQKNSDCCMDEHSVTSNSCHDSDELQNQVVAITKSLQEKEQSVFAAASPACENDQCSPISILRVSFSNEGSLSESPNGSSGCKPEVRLTESFNNNTLQLDLDSDLLDSATSVISEKFETQKSQQSMNKFHPTGNVYSVSKLIDVHKPISDIELLLDNIFFDQSNGNTFLLNILESILEAFGSCFREYDEPNAVRNFLYDCIMECLHSKTGCKALMRSCQFFSRNRLKTEIFEEIRSWQSLSGKNTDDIIAKEMSRSIERWTTCEVDAFETGIEIQTDILQLLVDEVVVDLCHGS >DRNTG_10532.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3510587:3511392:-1 gene:DRNTG_10532 transcript:DRNTG_10532.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAESAIRSDDQLSGTGVGRDQEFQSHVKKLVDLLSKLNPSAKEFVPSSRAGVLAADGRQAGGHRLSADAPVFVSSVVGGVSR >DRNTG_10532.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3510587:3510960:-1 gene:DRNTG_10532 transcript:DRNTG_10532.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAESAIRSDDQLSGTGVGRDQEFQSHVKKLVDLLSKLNPSAKEFVPSSRAGVLAADGRQAGGHRLSADAPVFVSSVVGGVSR >DRNTG_10532.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3510255:3511392:-1 gene:DRNTG_10532 transcript:DRNTG_10532.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAESAIRSDDQLSGTGVGRDQEFQSHVKKLVDLLSKLNPSAKEFVPSSRAGVLAADGRQAGGHRLSADAPVFVSSVVGGVSR >DRNTG_10532.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3507691:3511392:-1 gene:DRNTG_10532 transcript:DRNTG_10532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAESAIRSDDQLSGTGVGRDQEFQSHVKKLVDLLSKLNPSAKEFVPSSRAGVLAADGRQAGGHRLSADAPVFVSSVVGGVSR >DRNTG_15950.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000791.1:45051:45295:1 gene:DRNTG_15950 transcript:DRNTG_15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKRVERRELNLGSEFNGGRRRGEGAESGSH >DRNTG_24525.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6030811:6033389:-1 gene:DRNTG_24525 transcript:DRNTG_24525.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Downstream target of AGL15-4 [Source:Projected from Arabidopsis thaliana (AT1G79760) UniProtKB/TrEMBL;Acc:Q9MA02] MDTSPRSRAPPPSPAARERPESSEDDDLDRFMKHALRVPNLILPDRIVQREIVVRNPPEIDWGSLISPLSEKSVARELRSSAAAIGCVQLVNHGIPRDLIEEAAVAAADAFGTTPEEKKKAARSPERRWGFDVEDDDEESFWWDGSSLEEMAGISKWNSNGFRDKMERLWLDMEKICNKIMEILKDKNMHNIEKEVNEQVSYFCVHKHCEVGDKNYENKNYYKEKHEMMMMLRVLMRSWGCSHGLALHVPSNATTFQVYFKERWLSFTPNKDALVLTLGDQLQKCSGGFYKHAIGKQVIFHQSDNNVPSSSSSIISMAFFYSLNASSTSSSSSSSSITNSHKEKTISLSQQLIVATFLTLLFHLFVYLFV >DRNTG_31714.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:9723767:9725637:-1 gene:DRNTG_31714 transcript:DRNTG_31714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKLHVILLLLLFFTISTSSTITTTKSKKKITTSIPISSGRILLQSSTYILQTSLGSPPQPLLLSLSTTSSFSWIPCSSSSSPSFSPSSSTTFLPLLCNSPSCPLLSTSSCPSPSSPCSSFSQDTISLSSSLIFPNFTFSCITTSTSPSQGLLSLSLSPSSFISQTNSIFSSTFSYCLPFFLSTNFSGSLLLGPSSQPKHLKTMPLLINPRRPSFYYVNLTGIRLGRKLIPVHSFDPSSGAGTVLDPGSMYTRLSAPDYAAVRDAFREYVNGTVTSLGGFDTCYDGPVNPPVVTLVFDRLQVKLPPENVMIHSSSGSLSCLAMYGAAENDTSGLNIIGSMQHQNHRILFDVPNRRVGIAREICTVS >DRNTG_23234.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21663607:21667117:1 gene:DRNTG_23234 transcript:DRNTG_23234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRQGKISGLLNNRWLVFVAAMWVQACAGIGYLFGSISPVIKASLGYNQRQLASLGVAKDLGDSVGFLAGALCEILPLWAAMLVGALQNFVGYGWVWLIVTGRLPALPLWAMCVLIFVGTNGETYFNTAALVSCVQNFPKNRGPIVGILKGFAGLSGAILTQVYAMIHIPNHAALIFMVAVGPSMVVIALMFIVRPVGGHRQVRPSDKSSFMFVYSVCLVLASYLMAIMLLEDLVDLSHSLIVVLTIVLFCLLLVPIVIPLLLTFYLDGTSPVEECLLPETHQAEPSKLAQNAEQNEVILSEVEDEKPKDVDLLPALERQKRIARLQAKLFQAAAVGAVRVKRIKGPHRGEDFTLMQALIKADFWLIFISLLLGAGSGLTVIDNLGQMSQSLGYEETHIFVSMISIWNFLGRIGGGYFSEIIVRDHTYPRPVALAGAQVLMAIGHFLFAMAWPGTMYIGTLLIGLGYGAHWAIVPAAASELFGLKNFGVLYNFLTIANPAGSLIFSGLIASSIYDYEAEKQSHEHHIGLKLTGKLLYDSPLKCEGAICFFLTSLIMSGLCLIAVVLSMILVYRTKIVYANLYGKSRT >DRNTG_18274.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1855289:1858301:1 gene:DRNTG_18274 transcript:DRNTG_18274.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYYCFEYKWNLSQLSLEKRLDFFESNWAFFAGFGSPCVLAIFFFSPLVSYGVMAILFPLFVLTAAGTQAEKVIDSQKRSWEGEELRKLRIFYIANALSMSILQSFPEGHKDD >DRNTG_18274.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1852821:1854105:1 gene:DRNTG_18274 transcript:DRNTG_18274.6 gene_biotype:protein_coding transcript_biotype:protein_coding SFPTEVSFSCDYHQSKPKQSSSPRSSHRDRHEVHGAVWEACGFYVARGLQRSRIDSQGRCSLSPVEDADDQDGAVLSSQWAHLLRKFVDFKVSCHPHSTVDIAWEM >DRNTG_18274.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1852821:1858301:1 gene:DRNTG_18274 transcript:DRNTG_18274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMALYGKRAGSMWLAGFREAASIHRVAVLCLRSRMLTIRMGQCFLLNGLIFLGSLLTLKSVVIPILLWILPGKCEQESEHLCEHGTALAIYSALRFILIHFFYVFWFYPLYVFSIVLSALWYNDIAKHGFDAVRRGPAIAQSLGISDVSDSQNVSNLSKPSGFEGVIVGIGEQVYSLLLLSVFFIEVFATGFIPYIGKGINFLLLSWMYSYYCFEYKWNLSQLSLEKRLDFFESNWAFFAGFGSPCVLAIFFFSPLVSYGVMAILFPLFVLTAAGTQAEKVIDSQKRSWEGEELRKLRIFYIANALSMSILQSFPEGHKDD >DRNTG_18274.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1857286:1858301:1 gene:DRNTG_18274 transcript:DRNTG_18274.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYWRPEMQDLLDISNCGIQNNNLIFLLGSCILHYSMNCIHLRAS >DRNTG_18274.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1852821:1855640:1 gene:DRNTG_18274 transcript:DRNTG_18274.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMALYGKRAGSMWLAGFREAASIHRVAVLCLRSRMLTIRMGQCFLLNGLIFLGSLLTLKSVVIPILLWILPGKCEQESEHLCEHGTALAIYSALRFILIHFFYVFWFYPLYVFSIVLSALWYNDIAKHGFDAVRRGPAIAQSLGISDVSDSQNVSNLSKPSGFEGVIVGIGEQVYSLLLLSVFFIEVQWCASF >DRNTG_18274.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1852821:1858301:1 gene:DRNTG_18274 transcript:DRNTG_18274.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMALYGKRAGSMWLAGFREAASIHRVAVLCLRSRMLTIRMGQCFLLNGLIFLGSLLTLKSVVIPILLWILPGKCEQESEHLCEHGTALAIYSALRFILIHFFYVFWFYPLYVFSIVLSALWYNDIAKHGFDAVRRGPAIAQSLGISDVSDSQNVSNLSKPSGFEGVIVGIGEQVYSLLLLSVFFIEVQWFLQQGLYLTSARESIFCSSPGCIRITVLSTNGIFLN >DRNTG_25440.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4407115:4409672:1 gene:DRNTG_25440 transcript:DRNTG_25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVLLIGEVRVFFLLDLKVWKGNGCCGVAFACECCGEALGPRWIW >DRNTG_08216.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1122284:1122633:1 gene:DRNTG_08216 transcript:DRNTG_08216.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEILSFKRPRFSSSSSSSSSCSNFGELDWLEGGERALEWSGFFSIAIWVRKLLFCF >DRNTG_08216.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1122284:1123181:1 gene:DRNTG_08216 transcript:DRNTG_08216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEILSFKRPRFSSSSSSSSSCSNFGELDWLEGGERALEWSGFFSIAIWEISRRVALALGVGLSGSYSK >DRNTG_12140.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11606660:11615047:-1 gene:DRNTG_12140 transcript:DRNTG_12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ornithine aminotransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G46180) UniProtKB/Swiss-Prot;Acc:Q9FNK4] MASSKRPLQCLLKRALGTRGFCALPKKSSASPSSSSEELMRMEHEYSAHNYHPIPMVFSQAKGTCIWDPEGHKYIDFLSAYSAVNQGHCHPKVMKALVEQAERLTLSSRAFYNDKFPVLAEYLTHLFGYDMVLPMNTGAEGVETALKLARKWGYEKKKIPKNEAIIVSCCGCFHGRTLGAISMSCDNDATRGFGPLVPGHLKVDFGDVDALEKIFKDHGDQICGFLFEPIQGEAGVIVPPDGYLKAVRDLCSKHNILMIADEIQTGIARTGKMLACEWENIRPDVVILGKALGAGVLPVSAVLADKDIMLCIKPGEHGSTFGGNPLASAVAIAALNVVKDEGLIERAATMGQEFRDQLRNVQMRFPEIIKEVRGRGLLNAVEMNNKALFPASAYDVCLKLKERGILAKPTHDTIIRLAPPLCISLEELKEASKALSDVLETDLPKMQKQIPDSKLAAASEACDRCGRVLHD >DRNTG_00226.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15504792:15509472:-1 gene:DRNTG_00226 transcript:DRNTG_00226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASDRFNINSQLEHLQAKYVGTGHADLNRFEWAVNIQRDSYASYIGHYPMLAYFAIAENESIGRERYNFMQRMLLPCGPPPERDEE >DRNTG_21719.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:632547:634864:-1 gene:DRNTG_21719 transcript:DRNTG_21719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSSEAAMPSPAMAIADVEAAEQLIHRWDPVASPSHDRMLFDGSDRADAELYLRAIDHLRQSLGPSSPAPASPSRSPSNTIQIAMARLEDEFRNLLLSRSAEPDLESLDLGSLSISSLSSDHDHDHDHDRNLSDAEDDPGGGSTRVASRSASSIREIDLVPPDAVQDLRSIAERMVAAGYARECAQAYSSARKSAVDTGLRHLGVERLSIGDVQRLEWEALEAKIRRWIRAARACVRVLFASERRLCDQVFGTPSSADAPFAETVKGAAIQLLSFPEAISIGRRSPEKLFKILDLHDALSDLLPDIAAIFRSGPAESIHTQAAEILARLAEAARGTLSEFENAVLREASRIPVPGGTLHPLTRYVMNYISLISDYQPTLNELIVTTPSARFSGEETTDHPEINFPDLDNRTPLASHLVWIIVVLQHNLENKASLYKDAALSHLFLMNNLHYIVNKVKDSPELRGMIGDEYLRRLTGKYRQLATSYQRTAWVKILHCLRDEGIHVSGSFSSGVSKSTLRERFKAFNAAFDEAYRTQAAWFVPDPQLREELRISISERLLPAYRAFLGRFRHHIESGRHPEVYIKYSVEDLEMALMDFFEGCPPSVHGRKRSH >DRNTG_06658.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18809937:18811152:-1 gene:DRNTG_06658 transcript:DRNTG_06658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMADVCSAMHAKMLPVKGLGFNHSGSRIFSNLSFGNNAPTSLPCLSLRKEKQQYSNYNHNVIRMSGRRATKLNAAVIPLEAAEVRSEVPVKVEKIYTTTVVSVETLVGPKGEIGEVCHIVLDHGGSFSFKEGQYLLVHFQSIKRYFSIASGSSGDTFDGKTLSLCVRRAELSPDSVSNFLCNVKAGDTVDISGLKINYSKLTLYLITRL >DRNTG_28238.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4561820:4564993:-1 gene:DRNTG_28238 transcript:DRNTG_28238.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMKSTKGSVQRIKQCANDLMVLMEEEIVVHKEEEEEEEEEEVKEEDGEICWDLMGRDLILKSTFLFCDLTNVLSNAPLHHKANLTLLANNFLFYIDELGQTVKKRSITGMKICHQDAALALNQLMDALMLLP >DRNTG_28238.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4561820:4564352:-1 gene:DRNTG_28238 transcript:DRNTG_28238.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMKSTKGSVQRIKQCANDLMVLMEEEIVVHKEEEEEEEEEEVKEEDGEICWDLMGRDLILKSTFLFCDLTNVLSNAPLHHKANLTLLANNFLFYIDELGQTVKKRSITGMKICHQDAALALNQLMDALMLLP >DRNTG_28238.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4561820:4565282:-1 gene:DRNTG_28238 transcript:DRNTG_28238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMKSTKGSVQRIKQCANDLMVLMEEEIVVHKEEEEEEEEEEVKEEDGEICWDLMGRDLILKSTFLFCDLTNVLSNAPLHHKANLTLLANNFLFYIDELGQTVKKRSITGMKICHQDAALALNQLMDALMLLP >DRNTG_19381.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22257394:22263478:-1 gene:DRNTG_19381 transcript:DRNTG_19381.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAGKMKQYGNVLEKPLSKGRHEVSLSAFAFLFSELVQYNQTQVDNIAELERRLEDAGYAVGARVLELLCHREKGNRRETRLLGILSFVHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISIPKDMGTFNCGAFVAGIVRGVLDSAGFPAVVTAHFVPMEGLQRPRTTILIKFAEEVIKREARLG >DRNTG_06300.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20862138:20871993:-1 gene:DRNTG_06300 transcript:DRNTG_06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFYMMRLGTRDVIGMLNNGNCKAQGGIEGELWHEDEGLHVRLLYLENASHKGMHNHGESWCPVFYRYSATKTQAHDNPNTALFFLERDLSPGSKVILGFTKITSGSLFICHSQRCSGRGSLSWAKRSWLGAMRNCASMQCLTTMGRTDANGAQVKLPEKVRSSKRFAQARSQEDRNLKHLAFQVLKVKLGRDLICHFLPEHHAMWSVSN >DRNTG_24855.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29445690:29446025:-1 gene:DRNTG_24855 transcript:DRNTG_24855.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVMMQQVRKMVPEVNMEFESEDKAYEFYNKYAGHIGFSVRKSSADKSSENVIRSRTFVCSREGFRKDKKGAKEVKRPKPET >DRNTG_24855.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29445690:29447554:-1 gene:DRNTG_24855 transcript:DRNTG_24855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVMMQQVRKMVPEVNMEFESEDKAYEFYNKYAGHIGFSVRKSSADKSSENVIRSRTFVCSREGFRKDKKGAKEVKRPKPET >DRNTG_05230.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000236.1:86460:88672:-1 gene:DRNTG_05230 transcript:DRNTG_05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKLMEILFYVHKSECLSVTALVPLQMVVLTQIREAVNSAATSLFYSVTTVLCYSIRPEYLPESILSSGLILGMGFRAAMRYADQIVLPSLLGLDTLWMMGVVRKYGPGAYILATPTTETTEGGGDAAGDSAPVVAETPPQPGTLSGAYDYIEKLESTIGILHSEIIEIRVIQSAQYTDLMSHFDFLQQLLRCRPSAPPASSPAPIPAPVDLPCTSSPPSAAEDLERDTDI >DRNTG_01971.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13123462:13126967:-1 gene:DRNTG_01971 transcript:DRNTG_01971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGHHVPATCPFQNDLMRKECIPHRQDRHGVDRALSQNPNSTRKTCFQ >DRNTG_11954.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000523.1:5808:6167:-1 gene:DRNTG_11954 transcript:DRNTG_11954.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEAPPAAEEPPPVRMFSPSRANDRFERLENAIGVVRAEILERDIALSFVLQPRTLQAPSVSPVPPSSTPAPEDPLYASTSAAAAAEPESDFKT >DRNTG_09464.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21338581:21338946:1 gene:DRNTG_09464 transcript:DRNTG_09464.3 gene_biotype:protein_coding transcript_biotype:protein_coding IETREKQSYGNNKIKLESKVSHNPTKEMPQDPNLFNKQKPKASYIN >DRNTG_09464.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21338581:21339211:1 gene:DRNTG_09464 transcript:DRNTG_09464.2 gene_biotype:protein_coding transcript_biotype:protein_coding IETREKQSYGNNKIKLESKVSHNPTKEMPQDPNLFNKQKPKASYIN >DRNTG_09464.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21338581:21339290:1 gene:DRNTG_09464 transcript:DRNTG_09464.1 gene_biotype:protein_coding transcript_biotype:protein_coding IETREKQSYGNNKIKLESKVSHNPTKEMPQDPNLFNKQKPKASYIN >DRNTG_19259.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:337662:341144:-1 gene:DRNTG_19259 transcript:DRNTG_19259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARAGGRGLELRLGSINLLLLIFFITSLFFNVYFFFFFFSYSSIFSLWPEPRWSQSAAEEAEAVAAISCSGHGRAFVDGIMINGRPSCECNTCYHGSDCSIPSLDCSADADSGNPLFLEPYWYRHAKGSAVVVAGWHRMGYDATGENFISVELEQHIRKLHRIVGNAVVDDRFIIFGAGSTQLLYALVHALSPHNSSSPASVVASIPYYPVYKMQVDLFNSKLAEWRGVTSSWVNESISSSSSSSSDGSMNNFIEFVTSPNNPDGLLKQSILGGSSVIYDYAYYWPHFTAIPAPSDHNVMIFSSSKLSGHASSRFGWALIKDEQVYKKAIYYMKLNTMGVSRDTQLRMLKIIKVILAEHGRKGDIFSFGYQTMRERWTKLNKVVSKSKRFSLQTIPSQYCNYFKTIRDPSPAYAWLKCERDEDQECDVVLKNAGIICREGSLFEADDRYVRLSLVKTQDDFDQLVQKMDALVSDHSSISSI >DRNTG_05948.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19893019:19917030:-1 gene:DRNTG_05948 transcript:DRNTG_05948.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G18390) UniProtKB/TrEMBL;Acc:Q9LS51] MALSTARISELPFHTPIPLCSHPSFSISFSLSLRRRFRFLFSSSASLRTTHSDVPTNPRGDETPRKRSDTALRPKPPSAPWLRQWSPPDTQISEQKISKEDRLCLDRSDGGSTSIERIVHRLRNLGLGVEDEEEEKEYADDQAPLDGEERLSELLQRRWNRPDLLEIDNVVLPWERDENDVRLLDEEDGKGLKMRRVRAPTMAELTIGDSELRRLRRLGATLRERTTVPKAGVTQAVLEKIHEAWRKSELVRLKFHEDLAHDMKTAHEIVERRTGGLVIWRSGSVMIVYRGSNYKRPSSFQLLDAQAISINERDKLFIPDASGADKLPGDNQDSFITVEKIKPSPLSIEAGENMTDEEIEFNRLLDDLGPRFVEWWGTGILPVDADLLPQNIPGYKTPFRLLPNGMRSRLTNSEMTNLRKLARKLPTHFALGRNRNHHGLATVILKLWEKSLVVKIAVKRGIQNTNNKIMAEELKKLTGGILLLRNKFYIVIYRGKDFLPPSVAAALAEREELTKDNQDVEEKTRNRISLDPCTEGIEGHALAGTLAEFQEAQARWGRSISVEEQEELREAASRFKADRQLKRIQHKLSLAEAKKLRAERLLAKIETSMIPVHPSDDIETITDEERSVYRRMGLRMKAYLPLGIRGVFDGVIENMHLHWKHRELVKLISKQKTLSFVEDTARLLEYESGGILVAIERIPKGFAIVYYRGKNYQRPISIRPRNLLTKAKALKRSVALQRHEALSQHITELEKTIKQMRLDLGYPEVEGENSCESDQIESGYESFENHVGDIESSIVSENDDANNENFGEFENMEYLDKF >DRNTG_24654.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8092741:8098369:1 gene:DRNTG_24654 transcript:DRNTG_24654.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYCRRMQGLEHPTRWPERFGRPSCPRPPGQLLWFHAVSLGEGLAALPLITHCFQHHPDYHVLLTTTTTASFQVIKDQLPEGVIYQDTGVHSH >DRNTG_24654.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8094345:8098369:1 gene:DRNTG_24654 transcript:DRNTG_24654.10 gene_biotype:protein_coding transcript_biotype:protein_coding MRVYPDLLTIIVPRHPQHGQQMIQELKSQGINVALRSKSETIFPSTSFYVVDTLGELRSMYRATPIAVIGGSFAPSLGGHNISEAAAAGCAVLTGPHVGHFSHMVMKLLQSDSFSVLQVEGKRELTEAVSLLLGDAETLKARQNAARRAFSLVSEGVIHGVWNLMDAHVLKKGCC >DRNTG_24654.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8094345:8098369:1 gene:DRNTG_24654 transcript:DRNTG_24654.9 gene_biotype:protein_coding transcript_biotype:protein_coding MRVYPDLLTIIVPRHPQHGQQMIQELKSQGINVALRSKSETIFPSTSFYVVDTLGELRSMYRATPIAVIGGSFAPSLGGHNISEAAAAGCAVLTGPHVGHFSHMVMKLLQSDSFSVLQVEGKRELTEAVSLLLGDAETLKARQNAARRAFSLVSEGVIHGVWNLMDAHVLKKGCC >DRNTG_24654.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8092741:8098369:1 gene:DRNTG_24654 transcript:DRNTG_24654.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYCRRMQGLEHPTRWPERFGRPSCPRPPGQLLWFHAVSLGEGLAALPLITHCFQHHPDYHVLLTTTTTASFQVIKDQLPEGVIYQDTGVHSH >DRNTG_24654.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8092741:8098369:1 gene:DRNTG_24654 transcript:DRNTG_24654.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYCRRMQGLEHPTRWPERFGRPSCPRPPGQLLWFHAVSLGEGLAALPLITHCFQHHPDYHVLLTTTTTASFQVIKDQLPEGVIYQFFPLDIPYVIDRFLGYWSPFALVLMESELWPNLIISASAKGIAVTMVNARMSVRSFNNWLRPLARPLISFMLSNLALIAPLSTAEAVRFQLLQASPYIINFAGDLKYGML >DRNTG_24654.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8092741:8098369:1 gene:DRNTG_24654 transcript:DRNTG_24654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYCRRMQGLEHPTRWPERFGRPSCPRPPGQLLWFHAVSLGEGLAALPLITHCFQHHPDYHVLLTTTTTASFQVIKDQLPEGVIYQFFPLDIPYVIDRFLGYWSPFALVLMESELWPNLIISASAKGIAVTMVNARMSVRSFNNWLRPLARPLISFMLSNLALIAPLSTAEAVRFQLLQASPYIINFAGDLKYGML >DRNTG_24654.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8092741:8098369:1 gene:DRNTG_24654 transcript:DRNTG_24654.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYCRRMQGLEHPTRWPERFGRPSCPRPPGQLLWFHAVSLGEGLAALPLITHCFQHHPDYHVLLTTTTTASFQVIKDQLPEGVIYQFFPLDIPYVIDRFLGYWSPFALVLMESELWPNLIISASAKGIAVTMVNARMSVRSFNNWLRPLARPLISFMLSNLALIAPLSTAEAVRFQLLQASPYIINFAGDLKYGML >DRNTG_24654.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8092741:8098369:1 gene:DRNTG_24654 transcript:DRNTG_24654.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYCRRMQGLEHPTRWPERFGRPSCPRPPGQLLWFHAVSLGEGLAALPLITHCFQHHPDYHVLLTTTTTASFQVIKDQLPEGVIYQVYDVGQILIQTLDVFLRLYRSYTHIAFIS >DRNTG_24654.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8096571:8098369:1 gene:DRNTG_24654 transcript:DRNTG_24654.11 gene_biotype:protein_coding transcript_biotype:protein_coding MYRATPIAVIGGSFAPSLGGHNISEAAAAGCAVLTGPHVGHFSHMVMKLLQSDSFSVLQVEGKRELTEAVSLLLGDAETLKARQNAARRAFSLVSEGVIHGVWNLMDAHVLKKGCC >DRNTG_24654.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8092741:8098369:1 gene:DRNTG_24654 transcript:DRNTG_24654.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYCRRMQGLEHPTRWPERFGRPSCPRPPGQLLWFHAVSLGEGLAALPLITHCFQHHPDYHVLLTTTTTASFQVIKDQLPEGVIYQDTGVHSH >DRNTG_24654.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8092741:8098369:1 gene:DRNTG_24654 transcript:DRNTG_24654.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYCRRMQGLEHPTRWPERFGRPSCPRPPGQLLWFHAVSLAGEGLAALPLITHCFQHHPDYHVLLTTTTTASFQVIKDQLPEGVIYQFFPLDIPYVIDRFLGYWSPFALVLMESELWPNLIISASAKGIAVTMVNARMSVRSFNNWLRPLARPLISFMLSNLALIAPLSTAEAVRFQLLQASPYIINFAGDLKYGML >DRNTG_06410.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29703299:29705244:-1 gene:DRNTG_06410 transcript:DRNTG_06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIFGDVHTPNSEIMLCNSILNSVNRALLANPTMEVKVTSSTILTSPISHASDVPLTIFDRFALNLHVAILFAFTPPTSTNTDIISGLSNILVNFPTLTANFSTNSHGRPCLTVGGSDGGVLVVEATVSSKLEDHLPLTPSPDFRLLHPEVNDAKHLLQVQLNRFQCGGLVIGITSHHRVADGQSMGSFIVAWGKMVRGIPVDPLPVYDQKWLKPRDPPLVQFDHLGTEFIPLSPQPNEFKATPVYADPSEITNMLLHYTPEFIMRLKAHTNKLNTEKQTTFETLTGYIWRKVTIARQLDDEEGTMLIVGVNGRRRLQPPVPSEFFGNLVLHAYPKTKARALIEGGVVMAAEIVREAVRATGNDYFRSFIDLAEVYRDSDLVACHEKRGNVLSPNLEVNSWLGLGLDVVDFGGGGKLCGISMTWEHYEGLSILIPSLSPDGDVDVFVSLFEKDAMRFREISHSLD >DRNTG_19432.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13262106:13274707:1 gene:DRNTG_19432 transcript:DRNTG_19432.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFNSTVETMENVETMQATQTMQTMQTVQPKKEVSYETEPFTGNVIQNGREILLQAFNWESHKYDWWRNLENKVPDIAKSGFTSVWLPPPSHSIAPEGYLPQNLYSLNSAYGSEHQLKSLLQKLRSYKVRAMADIVINHRVGTHQGHGGMYNRFDGMPLPWDEHAVTSCSGGLGNRSTGDNFNGVPNIDHTQNHVRKDIIGWLTWLRKSIGFQDFRFDFAKGYAAKYVKEYVEESKPLFSIGEYWDSCSYSSGLDHNQDNHRQRIINWIDETGGLCAAFDFTTKGVLQEAIKGQLWRLRDSQGKPSGVMGWWPSRAVTFIENHDTGSTQRHWPFPSSHVIQGYAYILTHPGLPMVFYDHFYDCGQSMHDQIVKLMEIRKRSDIHSRSSIRILEAKSNLYAAIIGEKLCMKIGDGAWCPNGEEWKLATSGHGYAIWNK >DRNTG_19432.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13262106:13274707:1 gene:DRNTG_19432 transcript:DRNTG_19432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFNSTVETMENVETMQATQTMQTMQTVQPKKEVSYETEPFTGNVIQNGREILLQAFNWESHKYDWWRNLENKVPDIAKSGFTSVWLPPPSHSIAPEGYLPQNLYSLNSAYGSEHQLKSLLQKLRSYKVRAMADIVINHRVGTHQGHGGMYNRFDGMPLPWDEHAVTSCSGGLGNRSTGDNFNGVPNIDHTQNHVRKDIIGWLTWLRKSIGFQDFRFDFAKGYAAKYVKEYVEESKPLFSIGEYWDSCSYSSGLDHNQDNHRQRIINWIDETGGLCAAFDFTTKGVLQEAIKGQLWRLRDSQGKPSGVMGWWPSRAVTFIENHDTGSTQRHWPFPSSHVIQGYAYILTHPGLPMVFYDHFYDCGQSMHDQIVKLMEIRKRSDIHSRSSIRILEAKSNLYAAIIGEKLCMKIGDGAWCPNGEEWKLATSGHGYAIWNK >DRNTG_29014.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22259201:22261710:-1 gene:DRNTG_29014 transcript:DRNTG_29014.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHHLKLASFLLLLLNFTTLINAQLSRNFYSKTCPNVESIVKQAVTAKFQQTFVTVPATLRLFFHDCMVQGCDASVMIASTGNNKAEKDHPDNLSLAGDGFDTVIKAKAAVDAVAQCKNKVSCADILALAARDVVSLAGGPHYEVELGRLDGLSSTASSVTGKLPQPTFNLDQLNSLFSQNKLSQTDMIALSGAHTLGFSHCSRFANRIYGFSKANPIDPSLNQAYAKQLQGMCPRNVDPTIAINMDPVTPRTFDNQYYKNLQQGKGLFTSDQSLFTDSRSQGTVNAWAQSSATFNQAFIDAMGRLGRVGVKTGSNGNIRRDCSVFN >DRNTG_15450.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1525127:1527434:1 gene:DRNTG_15450 transcript:DRNTG_15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNSSRLRRTVVSIPNGPSALAVKEAAWGLARYAAIAQDNGLVPIVEPEILLDGEHGIDTTFEVYTKGVGRGVLLLGRKQCDVCRHTVEAKHGYSRSRMQTEGDTRTSCKIYSPTPPQADSACCSQNHVFVGRGIGGRGDIELECNEPEPEPVACIVFVCKSIAEHLLEKHGEGRPENVKAAQDELLVRAKGNSLAQLGKYTGKDESAEAKEGMYSKNYTLSLHNFKLYMYLLMLFGVLFQKCLIGNSL >DRNTG_15450.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1525127:1527434:1 gene:DRNTG_15450 transcript:DRNTG_15450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRWLVFISQNIVPGIKVDKGLIPLAGSNDESWCQGLDGLASRCAAYYQQGARLAKWRTVVSIPNGPSALAVKEAAWGLARYAAIAQDNGLVPIVEPEILLDGEHGIDTTFEVYTKGVGRGVLLLGRKQCDVCRHTVEAKHGYSRSRMQTEGDTRTSCKIYSPTPPQADSACCSQNHVFVGRGIGGRGDIELECNEPEPEPVACIVFVCKSIAEHLLEKHGEGRPENVKAAQDELLVRAKGNSLAQLGKYTGKDESAEAKEGMYSKNYTLSLHNFKLYMYLLMLFGVLFQKCLIGNSL >DRNTG_15450.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1525127:1527434:1 gene:DRNTG_15450 transcript:DRNTG_15450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRWLVFISQNIVPGIKVDKGLIPLAGSNDESWCQGLDGLASRCAAYYQQGARLAKWRTVVSIPNGPSALAVKEAAWGLARYAAIAQDNGLVPIVEPEILLDGEHGIDTTFEVYTKGVGRGVLLLGRKQCDVCRHTVEAKHGYSRSRMQTEGDTRTSCKIYSPTPPQADSACCSQNHVFVGRGIGGRGDIELECNEPEPEPVACIVFVCKSIAEHLLEKHGEGRPENVKAAQDELLVRAKGNSLAQLGKYTGKDESAEAKEGMYSKNYTLSLHNFKLYMYLLMLFGVLFQKCLIGNSL >DRNTG_14980.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24035009:24037804:1 gene:DRNTG_14980 transcript:DRNTG_14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHLLGLLRVKVVRGVNLAIRDLRSSDPYVVLRMGKQKLKTKVIRKNVNPEWNEELTLSVEDCSLPVRLEVYDKDTFSLDDPMGNAEFDIQPFVEVLKMNLANVPNGTVITKVSPTRQNCLAEESPIYWSDGKVIQDLVLRLRNVECGEIELQLRWVNVPGSKGL >DRNTG_28421.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4450853:4454856:-1 gene:DRNTG_28421 transcript:DRNTG_28421.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial phosphate carrier protein 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G48850) UniProtKB/Swiss-Prot;Acc:Q9M2Z8] MEAVKVRVQTQPGFAKGLSDGLPKLLRTEGVSGLYKGLVPLWGRQIPYTMMKFASFENIVELLYKHAIPTPKEECSKPFQLSVSFAGGYLAGILCAVISHPADNLVSFLNNAKGATVNDAVKNMGLWGLCTRGLPLRIVMVGTLTGLQWGIYDSFKVFVGLPTTGGVAPPAIVPNVVPNN >DRNTG_26894.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:16884697:16886542:1 gene:DRNTG_26894 transcript:DRNTG_26894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGNFRVWDARLNSVFILVLIYMGALRFQKLLVELAPISIHAGPIDIPIIKSPVNWWNILHQPGSISRS >DRNTG_07457.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26758283:26764655:-1 gene:DRNTG_07457 transcript:DRNTG_07457.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPELQIFNTMSKKKELFKPRVEGEVGMYVCGVTPYDFSHIGHARAYVAFDVLHRYLIYLGYRVKYVRNFTDIDDKIIKRANDSGEDPLSLSARFSQFFLEDMAELQCAPPTDEPHVSDHIDQIKDMVTKIIENGCGYVIDGDVYFSVDNFPDYGRLSGRKLDDNRAGGGGRVSVDLRKRNPADFALWKSAKPGEPWWESPWGPGRPGWHIECSAMSSHYLGHAFDIHGGGKDLAFPHHENELAQSCAACPESHVSYWMHNGFVNKDNQKMSKSDNNFFTIRDIIAKYHPLALRFFLMRTHYRADVNYSDRQLDTASDRVFYIYQTLYDCEVALSNFREGSLGDKIPADVKELINNFHTGFLASMSDDLHTTAVLDDLMELLKAINNNLKKFKGKKQQQALIYALIALEKEVKDALSTLGLLSSTPAKVLNQLKAKALERAGLTEEEVQQQIEGRALARKNKEFEKSDQIREELYVKGIALMDEPKGTIWRPCEPPEKQSEEVPNSDTSKPESSASAPTTPV >DRNTG_31155.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001668.1:90720:101173:-1 gene:DRNTG_31155 transcript:DRNTG_31155.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-arabinosyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT5G13500) UniProtKB/Swiss-Prot;Acc:Q9FY51] MWEVKGLLKPGSRKEFAVVEDLVDESEMIGRKSIGRASPLLLILVALASFLVTYNLVVLVIKNWPREEQTPVVDDPVIQMPTDLNRPGRTRRPFHVAVTATDAPYSKWQCRIMYYWYKNMKDKEGSEMGGFTRILHSGKPDNLMDEIPTFVVDPLPAGMDQGYIVLNRPWAFVQWLEKATIKEDYILMAEPDHVFVKPLPNLARGSYPTGFPFFYIKPSEHEKLLRKFFPEEKGPVTNIDPIGNSPVIIQKSQLEKIAPTWMNVSLMMKDDKETDKAFGWVLEMYGYAVASALHGVQHILRERFYDTASLGFEIGEHIYHSLYIWM >DRNTG_13512.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21960237:21962907:1 gene:DRNTG_13512 transcript:DRNTG_13512.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Farnesol kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G58560) UniProtKB/Swiss-Prot;Acc:Q67ZM7] MASQPLLFSRSFLLLPLRFARRTTLARFPTTPTGFLAPTRVLLGVGVRPVRRLRAVMLFAEDPLVHDVCASALTAGVALALLRFWEEMAKRQVFEQKLNRKLVHISIGLAFMLFWPLFSSGSLAPFLAALAPGINIFRMLFLGLGIWKNEAMVKSVSRHGDYRELLKGPLYYACTITLATSIFWRTSPIAIAAICNLCAGDGIADIVGRRFGSQKLPYNKNKSFAGSIAMVVAGFISSVAYMHYYSMFGFMDVNWSLIIGFLVVSLTASLIESLPISSELDDNLTVPLTSLLVGSLVL >DRNTG_08200.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:39868:40941:1 gene:DRNTG_08200 transcript:DRNTG_08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLEDVAETRLGAGNDAGRDNRASICENRILNANVNADIGVGNRAGRDNVMEVYRNTVRGGRDGANVRVGAGNDACGDNRMRVFCNNVEDAEDDVDIGTGNSAGRDNFMEVYNNDVRGARGGVRIGVGNQAGRDNRGRVSGNIVRR >DRNTG_06920.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:21973505:21977383:-1 gene:DRNTG_06920 transcript:DRNTG_06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNESHWASRGSTQKTAGLYEVSSNDALASKVDVLTRKLDLLMGSSSRFNSLTSSMDAQFGKVNAQLTQHAEQFSEIGSVFRNLQASVKSLEHRVGELAKAHSERPLGCLPSNTEDNPREHLKAIALRSGRQVETRVEVLSINPLDEYLGELENEEQGKPHQHPQIHNFKQPKERVSCTNA >DRNTG_01049.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17671247:17675890:-1 gene:DRNTG_01049 transcript:DRNTG_01049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKASCPGGKRGRREARGNRTQIIDSTESSSRTLSYNYIRGFHLTRTVLIKPAFSHSVTSAVTNKRNRAHYQLCSTVYIQVMATLEGHYIQITGLYFQPTDIDLVIRYLVKKILKQDFPRDVIIDHDIYSTEPWNLPENCSSPHDRRSYYFTLAKKSSLHGTRLVRQAGNGYWHMNGINKKIYYNGLFVAYTTALTYMHGMHGEKKKTKWVMHEYRIDPSYLRLPPQENELVLCHIQQSGRTTEPDNSKCETQQNAVIKKRLTDQEAAKARENDNKYKAQQLALTAILDIHQESRNSFKTSNNCEQIRPLPLTKKYEIDEKSRERVDAIRCYEDTQQHALTKKRPREDDVNEFNCEEDRRQVKHVRPLSDAENIDLEDYKCSKNGTIINCNTLNVFLSN >DRNTG_03404.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20878831:20884359:1 gene:DRNTG_03404 transcript:DRNTG_03404.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVPGVLLKLLQNMNTDVKVASEHRSSLLQVISIVPALAGGDLYSNQGFYLKVSDSSHATYVSLPDEHVDLIMSDKIQLGQFLHVECLEASSPVPLLRGVKPVPGRHQCVGDPEDLVATHSLGFLDTDNMKVTNDIKGASTLASEEAEKKKTKVSKQDEVHNVKVAEKSKALLCRSNSLVLKQAVNGTQKVEQLKKSKDLVNRSSSLPLKRVVNGTCKVEELEKNKTSFSRPSSLVLKQAVNGKVEKKCPAGTKAQSGNSRSIPSSPRSCYSLPVSFEKFSKATKQQTIFKGSEKTTSSKMDLLDKATTVLKSTTARRKPATGNPVGNLLTAIEPGPKALRKSWEGNMDMKRRDKSTAIGAKTEKKLETRSSSVPHRSVSNERLQPKEDLKLQSMQKKGNSTASSEDAVKSNKLHSPVVKKISEKTINLSLGGLVKVLPSSRRWTDGSVSWASLPPSLSKHGKEVMKNRDAAQLAAIEAMQEASVAECLLRCLSMFAELISAAREDNPQPAVEQFLNLHNTLNHAGLAATSFPKALHPYNTSPNQSPSRNDPVISEKALKISSDRRKRATSWVTAAIATDLSSFSLYEHKSSNQTPTPISTSSTPALLAVLNSTAKSSLSKVKPRTTSASVPSKPAVIPRIKTRVALESPPRHWTQGGGLEEGSELTKALGEESRNWFLSFVERFLDAEVNNPRPSDRERVAGMLSQLKKVNDWLDEVGKEEEGTDRESVPVETIERLRKKIYEYLLTHVESAAVALSGSSCSNMTGVSSTAGAPQSRKR >DRNTG_10940.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:136292:137257:1 gene:DRNTG_10940 transcript:DRNTG_10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEREERELGQGDRTAKHHRISLKLWEEIPCKKLYLLISLGKIFEASRKLYKIGSKARKAHTGRYCFVINSDCGEACKVRCSKSWKPKMCKRACGTCCARCNCVPLGTSVYTRGMCPCYAKMTTHGGRLKCP >DRNTG_02028.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13086172:13087022:-1 gene:DRNTG_02028 transcript:DRNTG_02028.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQASKTKKNLIFKLFSKHPSFLVLGKSPHTSFNRNKASFNKKMNNNKNNKNNKKPKITATNKEASDKHSQNPTSPKISCFGGLLTPKNKKKKKKKMTTMTKKPSTKPLVYSRVRTVERLYSGVGNGRNTHVLSRIPNSLEVRRLASRHETFKKFDRRLYYENLEKDDDDGKQEEEVVIDVSSINLDGGDVSLEPRKEVNLWNRRSKLPPPPLQLN >DRNTG_02028.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13086172:13087167:-1 gene:DRNTG_02028 transcript:DRNTG_02028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQASKTKKNLIFKLFSKHPSFLVLGKSPHTSFNRNKASFNKKMNNNKNNKNNKKPKITATNKEASDKHSQNPTSPKISCFGGLLTPKNKKKKKKKMTTMTKKPSTKPLVYSRVRTVERLYSGVGNGRNTHVLSRIPNSLEVRRLASRHETFKKFDRRLYYENLEKDDDDGKQEEEVVIDVSSINLDGGDVSLEPRKEVNLWNRRSKLPPPPLQLN >DRNTG_02028.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13086331:13087022:-1 gene:DRNTG_02028 transcript:DRNTG_02028.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQASKTKKNLIFKLFSKHPSFLVLGKSPHTSFNRNKASFNKKMNNNKNNKNNKKPKITATNKEASDKHSQNPTSPKISCFGGLLTPKNKKKKKKKMTTMTKKPSTKPLVYSRVRTVERLYSGVGNGRNTHVLSRIPNSLEVRRLASRHETFKKFDRRLYYENLEKDDDDGKQEEEVVIDVSSINLDGGDVSLEPRKEVNLWNRRSKLPPPPLQLN >DRNTG_02028.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13086331:13087167:-1 gene:DRNTG_02028 transcript:DRNTG_02028.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQASKTKKNLIFKLFSKHPSFLVLGKSPHTSFNRNKASFNKKMNNNKNNKNNKKPKITATNKEASDKHSQNPTSPKISCFGGLLTPKNKKKKKKKMTTMTKKPSTKPLVYSRVRTVERLYSGVGNGRNTHVLSRIPNSLEVRRLASRHETFKKFDRRLYYENLEKDDDDGKQEEEVVIDVSSINLDGGDVSLEPRKEVNLWNRRSKLPPPPLQLN >DRNTG_05196.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22648608:22650870:1 gene:DRNTG_05196 transcript:DRNTG_05196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISCLKNFDSSLHAMPNMEQIHALIHHYGPTLIFHPKEIYLPSSLPWFFNNGATLYKKGETIGEYIDADGSNLPKGGRNDGQYWIDLPNDDRKNYVKYGRLENAELYAHVKPSLGGTFTDIAMWVFCPFNGPATIKIGVINISMSKIGQHVGDWEHFTLRISNFTGELWSIYFSQHSGGEWVDASSLEYIAGNRAIIYSSKSGHASYPHPGNYLQGCEKLGIGVRNDAAQSMFSVDSSRKYKVVAAEYLGDIVTEPSWLQFMREWGPKITYSSRSELDKILSILPMNVKFSVENIFKRLPMELYGEEGPTGPKEKNNWEADERS >DRNTG_09351.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:599951:606340:1 gene:DRNTG_09351 transcript:DRNTG_09351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKVCSSISKEAAHELTNINENIGTGMEATDDLGTISDSVPEEQNNESIFSALNIKTENIPDVDTVDNKISEKVDLHEHAENAEINFEANFSKNHADTSDIHIPMSQPMVDNVSGHNEESSQKYEEEKHENTNTGLARDADFHEDEVECIEKHEIMSELIHEEQNQEATGEPETPEVEKRAYDEKTGPVSNSENLIEASVQKGETSILNVEETENAHIMSEEIPEKQDQKTNETETTEVEKRVCDEISESLSSSENLTADNMQKNESPMLDVDEHDNESSEANDILENKLSDDDKTTNVSISGPSAEEKVVSSVFEEEGTTKLGICLNQSEVETETISLDDNKDEIIPKDEETLYQTSDSSTVAENLGTPINAESRNLTINEGGGSDATDIVNVEPKMVDEGCEDNEEKKFEEAATKSDDRDQYSEINKSTVTTKDADSHEQIKDSNFPNDGCDVARKADTETEKISSAEAETEPVLEEDKIVITPHGDIEDTTINDEEETETKLDTFHPLSIMVNQEDFRNQKGNQLELEKESNVSTRDMITNTEGKYYLASKEQSLETIGEIDITKTKAAEDESKELEKSSSNVADDSLAEEETKQEVEKQDMSPDLSDKTQVPESTDRDVGMDLDLLKGNNESLGQLENLATLESEDQSDKHITEIEIIKSDIAEGVLPAAQDSTKDEIGERYTLPEENNKGHDMSETEFAPLEEAETVEEAVFAASMQPQDILDDKINEDNGLESEEHVEDAETDKNQEKAMAEDEVCLRIENDNQESTPVSVSDAAETAKEEDAETVITKEIDNKDATHKESPGSKIDDLSINHDVEEEYLKGEASSPHSKVDNMESVTLEESEETSDSITKEIGEIESLEDVSIKNAKEHIQLEEQLDKLSERSEAANSIGEIEKEMIKKGDSNEVHDIACQKDERAIKHMETGTATQDTSLVKNPESEVKEPDTVSVSSTSKEKPENDLAISSSKEESAVETVVPEPDFATEKTNRAKGSIESAAVSETIIDNVSTDGNNVADEGIANGGIPGIPELAQASTEDTIDTEIKIKVAEKEAIAEKGSTSANDTEDKDSPVSSSFTQETQVALPRDANEVVEDNAKRSHGVEESDNSQHEESLQSDPADLPVSRFLMDHILHQGDVPDEVGNVESKNNIEEETTSDKLMTISIQEQETFMDQLSAELVPNENKDMEKLEDTILQQDNMPVDVCDVEADNEINQKHTTDEQMGTDIQEKEAIMDSVSAQQMPEEKSTSEDRDQEKPGDHILEERIAPDDVCDLKAENIITEENNNGKNEGIIIKEEEVNMSPLSAKEIPKDEYTDQVEVVSDVTNEEQNHETKADDEKPIAKDDESLHSNICLSSTTTTSEPVEIEVPGSLAGESASVVMDDYKGVTPMDQGDLGRDAGAEEFTGRTSVQDEKITASPTETEIRENLTQKDNYSPSTNVSNVSIPRDGENTRTFDNVEQIEKDAHSISEERITSAISELLGSSTTVVRREEPQTHTKYEETKLETTKITETSQAQVTENQIRNNDSLEEPEESTLEKVQLFDLLQLSRNQTTQTTTPAVTKEREQAEEATYTKVKEEKTNEEKDEKVEGVIEEAEEEHTTDNNAAVIVEAREAELKPAHKKSHNILSGVGSKVKHSIAKVKKAITGKSSHQKTISPKKATT >DRNTG_33958.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1007746:1009713:-1 gene:DRNTG_33958 transcript:DRNTG_33958.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDECRVEDYSELKDLRLVLDQEAINLENGFALCFWIYFSGSTRPSSLILRQMCFGREGEAPFLALSEENKLMAFPLMVLHKEGPPTENSFPWTDLVQISSETECPLQKWVHIGCKITADYMHLYIDGNLVGEKLLYPLMKDGHDQDDLKKISLSGNDGNDEKLQGYVYNVQVLPVSSSIVDHFMKNPPVKLSIDGMCPHDEVEEARDGVWSIVGGKASVFPVPKKCC >DRNTG_33958.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1000964:1002849:-1 gene:DRNTG_33958 transcript:DRNTG_33958.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWCWLYPVAWALSKDQLNGMWKCMSPKWIEGLITREEAEDSLRVPSGLQKTGMFILRFPTSRSWPHSDSGSLVVTYVGADYSIHHRLLSLDHSNREMDSGLLQELLLQEQELSQLGRVFRRN >DRNTG_33958.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1000964:1009713:-1 gene:DRNTG_33958 transcript:DRNTG_33958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDECRVEDYSELKDLRLVLDQEAINLENGFALCFWIYFSGSTRPSSLILRQMCFGREGEAPFLALSEENKLMAFPLMVLHKEGPPTENSFPWTDLVQISSETECPLQKWVHIGCKITADYMHLYIDGNLVGEKLLYPLMKDGHDQDDLKKISLSGNDGNDEKLQGYVYNVQVLPVSSSIVDHFMKNPPVKLSIDGMCPHDEVEEARDGVWSIVGGKEFLP >DRNTG_32885.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23506916:23507882:-1 gene:DRNTG_32885 transcript:DRNTG_32885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSPPTSSPGPTSPPVTPSTPSPPPPSTPTAVPPTTPVSSPPPPPTTSTPSGSSPPPPPTTSTPSGSSPPPPPATSSGGSVPVTPTVPSGNTTGGGTSSSTSNSTGTVVGVAVGAVVIFLVLCGPLLLLLPEKEAPAASAATTTSTSATS >DRNTG_22641.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20771964:20776552:1 gene:DRNTG_22641 transcript:DRNTG_22641.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAPGSSSYDADFTDFESKIHLLETVAYDAVLRAFRVQADDLSWSKESLLTDLRKELRISDANHREILGQINNDQSIKSLRNWHKAKCFQQQKTENHPCIDVNSKVRVSRKKLKPSNIASPSLQYLPSLQPSQTNCRDGIFSPQVGIARPMVHLARNRQASNASRGKGSMVVSVSRNGLMQSGNENIKAGSDIIQIRSTNELLFEVQKICGSDNPDLKRLQQAKHMLREHEKALMDAIEKIAYVPDRSESTEEPDHDIGHWQVERVTQSASGNAAGHGIPCIDLQDSEYD >DRNTG_22641.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20771964:20776552:1 gene:DRNTG_22641 transcript:DRNTG_22641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAPGSSSYDADFTDFESKIHLLETVAYDAVLRAFRVQADDLSWSKESLLTDLRKELRISDANHREILGQINNDQSIKSLRNWHKAKCFQQQKTENHPCIDVNSKVRVSRKKLKPSNIASPSLQYLPSLQPSQTNCRDGIFSPQVGIARPMVHLARNRQASNASRGKGSMVVSVSRNGLMQSGNENIKAGSDIIQIRSTNELLFEVQKICGSDNPDLKRLQQAKHMLREHEKALMDAIEKIAYVPDRSESTEEPDHDIGHWQVERVTQSASGNAAGHGIPCIDLQDSEYD >DRNTG_22641.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20772992:20776552:1 gene:DRNTG_22641 transcript:DRNTG_22641.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAPGSSSYDADFTDFESKIHLLETVAYDAVLRAFRVQADDLSWSKESLLTDLRKELRISDANHREILGQINNDQSIKSLRNWHKAKCFQQQKTENHPCIDVNSKVRVSRKKLKPSNIASPSLQYLPSLQPSQTNCRDGIFSPQVGIARPMVHLARNRQASNASRGKGSMVVSVSRNGLMQSGNENIKAGSDIIQIRSTNELLFEVQKICGSDNPDLKRLQQAKHMLREHEKALMDAIEKIAYVPDRSESTEEPDHDIGHWQVERVTQSASGNAAGHGIPCIDLQDSEYD >DRNTG_13572.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:989171:1005351:1 gene:DRNTG_13572 transcript:DRNTG_13572.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 14 [Source:Projected from Arabidopsis thaliana (AT3G04740) UniProtKB/Swiss-Prot;Acc:Q9SR02] MAAELGQQTVEFSALVRRATEDSFLALKELVERSKAPEEQSDSEKKIELLKYIVKTRQRMLRLHVLAKWCQQVPLVQYCQQLSSTLSSHDTCFVQTADSLFYMHEGLQQARAPVFDVPSALEVLLTGNYWRLPKCIEDLGMQSVLAEDQQGPTLQKLNALLRSKLLEVSIPKEISEISVSDGTAVIRVDGEFKVILSLGYRGHLSLWRILHLELLVGEKKGPVKLEETRRYALGDDLERRMAASDNPFMILYTILHEFCVALVMDTVIRQVQVLRQGRWKDAIRFELISDGSSGQGGNSNVMQLSQDGEVDSSTLKTPGLKIFYWLDSEKNVAGSDLTSSPFIKIEPGKDLQIKCLHGSFVIDPYTDKEAEFSLDQSFIDIEKLLLRAISCNRHTRLLEVQRELSKNVQICQTSGDVVLKRGGEFDVGFWKDAKPPDEFYCGDEVLRVRAYGALYITLGINIRNGRFLLQSPKNNLEPSMLRDCEEALSQGSMTAAEVFTSMRGKSILHLFASTGKFLGLKVYEQCLSTMKIPKSILHGPDFLLMGFPQCGNSYYLLMQLDKDFKPLFKMLETQPDPEGHSHSIGDAIHVIRCSIIDIAQMPMAEDEMNLSLLNWDKLTSIPNLSSNQHSDHVLSKLGLDPVLQLTGCSPSSFSSLVDEVFEFEKGPSGTFPIQNHIPALPAAPLPQFNSLPPNNHTIKAGISSTKWEGGLQTTQIISSGKVSAGVSGSNSALYTSSSIKSLLSNSPNLLPTSSPARNSPIQRLSMPKPDQDPSSHKSPHPSELGPCPANEEGQSNLMLECPKEIGVMTASRQSRLLSPLLTTGVQSSALVTLPSAKAITGPRSSLTDDSLGTIRNAGSNSLSTTPLCQTALSDSYSSSHTFSRNERTSRKRSLSDVLKMIPSVQSLEGRTDMRKRRKIVDSSGSRQGDVQSFSSVLSAKHDEYVYGNLLSEANRGAVLSNIYVSALLHVIRHCSLCIKHARLTSQMDALDIPYVEEVGLRTPSSNLWFRLPFAMDYSWQNICLRLGKPGSMCWDVKINDKHFRELWELQKGSTTTPWGSCVRIANASDVDSHIRYDPEGVVLSYKTVEANSIEKLVSDLRRLSNARLFACGMRKLLGVRSDDKLDDSNNSSDNKLQIVVKSEVVSEQVKRTFKIEAVGLMSLWFTYGTMPVTAHFVVEWESGKEGCTIHVSPDQLWPHTKFLEDFINGAEVASFLDCIRLTAGPLLALNHAIRPARMPGPVNPGPTPGLKQNTQGGLPSASSSVTTQPSASMATAVVPPLGNQNLHASTMLSAAGRGGPGIVPSSLLPIDVSVVLRGPYWIRIIYRKKFAVDMRCFAGDQVWLQPATPPKGGPSAGGSLPCPQFRPFIMEHVAQGLNALEPNFSAGAHTGGHLPAGNANPSSTTQNLPQNSNRSMTNAGPMTRPTSGAGGQVTNGLSRISNAMLASSGISTGLSGVPLRIPPGAGVPVHVRGELNTAFIGLGDDGGYGGGWVPLAALKKVLRGILKYLGVLWLFAQLPDLLKEILGSILKENEGALLNLDQEQPALRFFVGGYVFAVSVHRVQLLLQVLSVKRFHHQQQQQQQTQSNTQEELTQTEITEICEYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLIAWKKGLPQAHAGDIAPAQRARIELCLENHSGSISGDNSSSTKSNIHHDRAHNSVDFALTFVLDPAHIPHINAAGGAAWLPYCVSVRLKYSFGENTHISLLGVEGSHGGRSCWSRLEDWEKCKHRVQRSVEFTNGNSAADITSQGRLRLVAENLQRSLQISLQQLRDGALSSSSTAT >DRNTG_13572.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:996869:1005351:1 gene:DRNTG_13572 transcript:DRNTG_13572.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 14 [Source:Projected from Arabidopsis thaliana (AT3G04740) UniProtKB/Swiss-Prot;Acc:Q9SR02] MLRDCEEALSQGSMTAAEVFTSMRGKSILHLFASTGKFLGLKVYEQCLSTMKIPKSILHGPDFLLMGFPQCGNSYYLLMQLDKDFKPLFKMLETQPDPEGHSHSIGDAIHVIRCSIIDIAQMPMAEDEMNLSLLNWDKLTSIPNLSSNQHSDHVLSKLGLDPVLQLTGCSPSSFSSLVDEVFEFEKGPSGTFPIQNHIPALPAAPLPQFNSLPPNNHTIKAGISSTKWEGGLQTTQIISSGKVSAGVSGSNSALYTSSSIKSLLSNSPNLLPTSSPARNSPIQRLSMPKPDQDPSSHKSPHPSELGPCPANEEGQSNLMLECPKEIGVMTASRQSRLLSPLLTTGVQSSALVTLPSAKAITGPRSSLTDDSLGTIRNAGSNSLSTTPLCQTALSDSYSSSHTFSRNERTSRKRSLSDVLKMIPSVQSLEGRTDMRKRRKIVDSSGSRQGDVQSFSSVLSAKHDEYVYGNLLSEANRGAVLSNIYVSALLHVIRHCSLCIKHARLTSQMDALDIPYVEEVGLRTPSSNLWFRLPFAMDYSWQNICLRLGKPGSMCWDVKINDKHFRELWELQKGSTTTPWGSCVRIANASDVDSHIRYDPEGVVLSYKTVEANSIEKLVSDLRRLSNARLFACGMRKLLGVRSDDKLDDSNNSSDNKLQIVVKSEVVSEQVKRTFKIEAVGLMSLWFTYGTMPVTAHFVVEWESGKEGCTIHVSPDQLWPHTKFLEDFINGAEVASFLDCIRLTAGPLLALNHAIRPARMPGPVNPGPTPGLKQNTQGGLPSASSSVTTQPSASMATAVVPPLGNQNLHASTMLSAAGRGGPGIVPSSLLPIDVSVVLRGPYWIRIIYRKKFAVDMRCFAGDQVWLQPATPPKGGPSAGGSLPCPQFRPFIMEHVAQGLNALEPNFSAGAHTGGHLPAGNANPSSTTQNLPQNSNRSMTNAGPMTRPTSGAGGQVTNGLSRISNAMLASSGISTGLSGVPLRIPPGAGVPVHVRGELNTAFIGLGDDGGYGGGWVPLAALKKVLRGILKYLGVLWLFAQLPDLLKEILGSILKENEGALLNLDQEQPALRFFVGGYVFAVSVHRVQLLLQVLSVKRFHHQQQQQQQTQSNTQEELTQTEITEICEYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLIAWKKGLPQAHAGDIAPAQRARIELCLENHSGSISGDNSSSTKSNIHHDRAHNSVDFALTFVLDPAHIPHINAAGGAAWLPYCVSVRLKYSFGENTHISLLGVEGSHGGRSCWSRLEDWEKCKHRVQRSVEFTNGNSAADITSQGRLRLVAENLQRSLQISLQQLRDGALSSSSTAT >DRNTG_20591.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3214178:3215269:1 gene:DRNTG_20591 transcript:DRNTG_20591.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNKKKSKSKSKSKSEPRYERVRTEVSQGTLFVIPPDHATVLISSDENLEVICFETRAERNQRIYLTGPNNPYRRMEDAVKELTFGISSRKVDERLAQQKERGILPGPEERERQDPLFSITDSFEV >DRNTG_23376.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1163349:1164033:1 gene:DRNTG_23376 transcript:DRNTG_23376.2 gene_biotype:protein_coding transcript_biotype:protein_coding NAKHAKLSKTFKSKKTKKSQRKNLKLLIHHHQNLKKTLQNLKKSLLNLKLHQ >DRNTG_23376.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1163349:1163973:1 gene:DRNTG_23376 transcript:DRNTG_23376.3 gene_biotype:protein_coding transcript_biotype:protein_coding NAKHAKLSKTFKSKKTKKSQRKNLKLLIHHHQNLKKTLQNLKKSLLNLKLHQ >DRNTG_23376.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1162140:1163973:1 gene:DRNTG_23376 transcript:DRNTG_23376.1 gene_biotype:protein_coding transcript_biotype:protein_coding NAKHAKLSKTFKSKKTKKSQRKNLKLLIHHHQNLKKTLQNLKKSLLNLKLHQ >DRNTG_22764.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4048604:4056962:1 gene:DRNTG_22764 transcript:DRNTG_22764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHLLFIIFFFPYFPLSKSHPLDPLTPSELTIIQQTIKTSNLFSPTPLTFHYIGLADIDKHVLLSWLSNTSNSPPPRRAFVIARSGHQTHELHVDISTKSIISNTIHTGSSFPLLSLEEQIAASNLPFNYTPFMNSIKKRGLKSSEVVCASSTVGWFGEVKKSKRLVKILCFATGDTVNLYARPLEGISIVVDLDLMEILDYTDRFVVPVPVARGTDYRSKKQKRSFGPRGKPVTVVQPEGKGFSIDGHSISWANWKFHLSYDVRAGAVISLASVQENDEELHRLVLYKGFISELFVPYQDPVKEWYFRTFFDVGEYGLGLFAASLQPLTDCPVNAKFLDGYYATQDGPPMRIKNVFCVFERYSGDSAWRHTEFGIPGKVITEVRPEISLIVRMVSAVGNYDYVIDWEFKTSGSIKFMVTLTGVLEMKGTSYTHVKQVEKDIDLCGSLLAKNTIGVNHDHFITYYLDLDIDGYNNSFVKAKMKTIKVTDGSNPRKSYWTLVKKIAETEMDARIKFEAEPAEYLVVNTNKKTKIGNDVGYRFINHGATAISLLSDDDYPQIRAGYTKKQLWVTAYNVSEKWAAGLYADESRGDDTINIWSQRNRVIKNKDIVAWYTVGFHHAPYQEDFPLMPSLSGGFELRPSNFFENNPLIKTIPFNETHWPKSTDKP >DRNTG_09109.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:38963:40036:1 gene:DRNTG_09109 transcript:DRNTG_09109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPQSKEDRTARGSQWGHDEIKQQPPAQRSASFHGRTSGASPKPRLSRRPKTQPDLFSGARTTTKLSEDGGPRNPNYSNRGSWGQRVPAKVLLNVTCQGSMATLLVMSSTEWTVADLVAHVLHLYVKEARRPPLSTTDPSAFGLHYSQFSLDCLNPNEKLIELGSRHFFLCPKPAAPRSPSTITGTSASTSSSCSNESQATPKIGVPWLKFIESFF >DRNTG_22239.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1239555:1242355:-1 gene:DRNTG_22239 transcript:DRNTG_22239.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGFDPVDSFLRAVKDVISPIESGFLHAAKSFESKNFEKFDRVGRSGKEKPGCDSGFVLVGNEEKRKEAFVVRVPIVPIFESLFPNSRKNELEKRTEKEVEEDRDALPAAWSFHINRFFQALPTPLMSAGRCFNNHSVKDDDLKSGRRGSDRKDSTRSSPEEFRKSGLGVGEALSIELLLSCLFFNVVKNLQFFHQEGSVEGGEQLSHLDRVKIITGIIKGKKADVDGFFSSLMFARVGGTPPSLVGAASTVKEVGEERGPSGDREEVENTPQKLASGLLNIPLSNVERLRSTLSTVSLTELIEFIPQLGRSSADHPDKKKLFSVQDFFRYAEAEGRRFFEELDRDGDGQVTLEDLEIAMRKRRLPRRYAKDFLRRTRSHLFSKSIGWRQFLTLMEQKEPTILRAYTTLCLSKSGTLQKNQILTSLKSAGLPASEDNASAMMRYLNADTGGSISYTHFRNFMLLLPSERLQDDPRSIWFEAATVVAVPPPVEISAENVLKSALAGGLASALSTSLLHPIDSMKARISFSLSFSLDKTGLLLF >DRNTG_22239.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1236678:1238531:-1 gene:DRNTG_22239 transcript:DRNTG_22239.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCLYAEAKKAAQNLLNRELEPWETIAVGALSGGLAAVVTTPFDVMKTRTMTAPQGVPVSMEMIAFSILRQEGPLGLFKGAVPRFFWIAPLGAMNFAGYELARKAMDKSEAASADQFQEKRTTTSR >DRNTG_22239.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1236678:1242355:-1 gene:DRNTG_22239 transcript:DRNTG_22239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGFDPVDSFLRAVKDVISPIESGFLHAAKSFESKNFEKFDRVGRSGKEKPGCDSGFVLVGNEEKRKEAFVVRVPIVPIFESLFPNSRKNELEKRTEKEVEEDRDALPAAWSFHINRFFQALPTPLMSAGRCFNNHSVKDDDLKSGRRGSDRKDSTRSSPEEFRKSGLGVGEALSIELLLSCLFFNVVKNLQFFHQEGSVEGGEQLSHLDRVKIITGIIKGKKADVDGFFSSLMFARVGGTPPSLVGAASTVKEVGEERGPSGDREEVENTPQKLASGLLNIPLSNVERLRSTLSTVSLTELIEFIPQLGRSSADHPDKKKLFSVQDFFRYAEAEGRRFFEELDRDGDGQVTLEDLEIAMRKRRLPRRYAKDFLRRTRSHLFSKSIGWRQFLTLMEQKEPTILRAYTTLCLSKSGTLQKNQILTSLKSAGLPASEDNASAMMRYLNADTGGSISYTHFRNFMLLLPSERLQDDPRSIWFEAATVVAVPPPVEISAENVLKSALAGGLASALSTSLLHPIDSMKTRVQASTLSFPELVSRLPQIGIRGLYRGSIPAILGQFSSHGVRTGIFEASKLVLVNVAPTLPDIQVQSLASFCSTILGTAVRIPCEVLKQRLQAGLFDNVGEAIIGTLRQDGLKGFFRGTGATLCREVPFYVAGMCLYAEAKKAAQNLLNRELEPWETIAVGALSGGLAAVVTTPFDVMKTRTMTAPQGVPVSMEMIAFSILRQEGPLGLFKGAVPRFFWIAPLGAMNFAGYELARKAMDKSEAASADQFQEKRTTTSR >DRNTG_20920.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25511647:25518421:1 gene:DRNTG_20920 transcript:DRNTG_20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFLWSPRQWRVSDSSEASRHLDFKKGDLVWAKAFPYRWWPGSITRIISSSALVSFFGCDKARCFDFPEIRGFEEAYPWISKMVCVKLSDEIGLALEELSRKSTLGMICSCRSLDLKLNQCVEEKKGFEPKEILGFLLDVAIDVSSSVCNLATAVRLSAQLVAHRHYVSSCRHGELSGGFDPDGVLDFVLGAAVSSSNAAEEILDLTEALRQVNAFRNFVLIHPDWTYQHSLDLEVDSLGNEFDGLEETSTSDISELSEDKASDICEGSEKEMLSYSSPDSACGVSGQKDGDFSWPIYDKDFMEVQEQVPEGQEICVLNTVDCSALEQSEDNQSMLDNESEVVELFGSVVSTFENNDWLTNSQSETVASICASVLDLNANNESLLGHQYEKFDELSRFLPDQRKDNGCVLGKMPETFRSSGSSAGTDFCMEEVSVENSEFNKNSPASYAKEISCINADLSPEKNSDVQTGRMPLIGSKGEHAKEQHENATDAGHKSQCLMLLPLSSDHITFQKNPPCLERFPASLAHQSDGLSSDGMLTCSVKSHSCDYTTLTINCKIEDQANVTDTIPGSSAFIETETQGAFERMKFDESVFSADSCKLQRTLFPCAKDIAEDNSVTDNQSFASFKTPSGNDRFQIDENGPTLDGDTSVALKLHSGDVIPSRNITKSDKMKGSCSFSPVVSKSIPCLNKGLELPQFPRFSDWRRYYVSPLTSDVSKPVSGAKKPFVGNRQNSFSKSLHMKFPKDFKLPSAKDLEKKFGRFGPLDRSRTKIFFYTGAGQVVFVHIADAKAAYKYVTRKNIFGGADVRFWFDKYEKFRKERITECSAPTMGCTSSHANAVMSSPIGSECSAPTVGHISSHPSPVISSRIGTECSAPTTQHATSHPSPVISSPIVGNSFWKPIPAISTVGNSSQNLKSCLKRPITPEGSDKKRKAKVRFVIETG >DRNTG_20920.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25511647:25515872:1 gene:DRNTG_20920 transcript:DRNTG_20920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFLWSPRQWRVSDSSEASRHLDFKKGDLVWAKAFPYRWWPGSITRIISSSALVSFFGCDKARCFDFPEIRGFEEAYPWISKMVCVKLSDEIGLALEELSRKSTLGMICSCRSLDLKLNQCVEEKKGFEPKEILGFLLDVAIDVSSSVCNLATAVRLSAQLVAHRHYVSSCRHGELSGGFDPDGVLDFVLGAAVSSSNAAEEILDLTEALRQVNAFRNFVLIHPDWTYQHSLDLEVDSLGNEFDGLEETSTSDISELSEDKASDICEGSEKEMLSYSSPDSACGVSGQKDGDFSWPIYDKDFMEVQEQVPEGQEICVLNTVDCSALEQSEDNQSMLDNESEVVELFGSVVSTFENNDWLTNSQSETVASICASVLDLNANNESLLGHQYEKFDELSRFLPDQRKDNGCVLGKMPETFRSSGSSAGTDFCMEEVSVENSEFNKNSPASYAKEISCINADLSPEKNSDVQTGRMPLIGSKGEHAKEQHENATDAGHKSQCLMLLPLSSDHITFQKNPPCLERFPASLAHQSDGLSSDGMLTCSVKSHSCDYTTLTINCKIEDQANVTDTIPGSSAFIETETQGAFERMKFDESVFSADSCKLQRTLFPCAKDIAEDNSVTDNQSFASFKTPSGNDRFQIDENGPTLDGDTSVALKLHSGDVIPSRNITKSDKMKGSCSFSPVVSKSIPCLNKGLELPQFPRFSDWRRYYVSPLTSDVSKPVSGAKKPFVGNRQNSFSKSLHMKFPKDFKLPSAKDLEKKFGRFGPLDRSRTKIFFYTGAGQVVFVHIADAKAAYKYVTRKNIFGGADVRFWFDKYEKFRKERITECSAPTMGCTSSHANAVMSSPIGSECSAPTVGHISSHPSPVISSRIGTECSAPTTQHATSHPSPVISSPIVGNSFWKPIPAISTVGNSSQNLKSCLKRPITPEGSDKKRKAKVRFVIETG >DRNTG_30905.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10098153:10140989:-1 gene:DRNTG_30905 transcript:DRNTG_30905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSKKHADKHPREPSLEPENVGFAVLERQQEDEFADEVEDLILVGGWRQLLLIREPAICTLTLECKPIHLGHIMADYLRHHGQYARVSVLFSGPYITKLIMGRRCGPGL >DRNTG_16178.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000798.1:15333:18549:-1 gene:DRNTG_16178 transcript:DRNTG_16178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVVFMHGQDKEGHPVCYNVYGEFQEKDLYSKAFGDEEKRRKFLRWRIQFLEKGIRQHLDFSPGGVCTMVQVTDLKNSPGPGKRELRQATNQALALLQDNYPEFVAKQVFINVPWWYLAFNRMISPFLTQRTKSKFVFAGPSKSSETLFKYVAPEQVPVQYGGLSKENDPDFTTADAVTEVIIKPSAKHPIEIPVTESCNLVWELRVLGWDVTYGAEFVPGAEDGYTVIVQKARKLIATDEPVVKNSFKTGDTGKIVLTIDNTTSKKKKLLYRYKIKTSTESILRVPLKNIKLNLKRRETRKCSS >DRNTG_04444.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10464980:10466356:1 gene:DRNTG_04444 transcript:DRNTG_04444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEMFNPDPYECLFDQEEDYEEVMMLGLTEEVPSTTGILKKVPRKMKRARRRHRKHSKVVGDVREMKKLDVSLLEKIHGRVEFLHARRIALRAYPEKAQGRVAAPMNDHAQSHARGEATRGVRLHLWIGRTGVCIFHTPVGEQSESKECFPESA >DRNTG_20492.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2635476:2639523:1 gene:DRNTG_20492 transcript:DRNTG_20492.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PEPKR2 [Source:Projected from Arabidopsis thaliana (AT1G12680) UniProtKB/Swiss-Prot;Acc:Q8W490] MESLPRKRKGGKSTSPFESHVSDGFDQPRRIKKKCRGANPRSDLEKLGSGGGDSTAAVVMTAPPAGRGSADTPGRGLKRKLGCIEPAARIGRKKKLELEYVLGLEIGQGRFGSVRLCRSRAGGEEFACKSLPKNGEETVHREVEIMQHLSGHPGIVTLKGVYEDKESFHLVMELCSGGRLLDQMVKEGRYSEQRAANLIKELVLVIRYCHEMGVVHRDIKPENVLMTSSGKMKLADFGLSVRVSSGQKLSGIAGSPAYVAPEVLSGNYSEKVDIWGAGVLLHALLVGILPFQGDSLEAVFDAIKNVNLNFHGGVWEQISELARDLVSKMLTRDVSLRLTADEILVHPWILFYTECPSKALASNRSRVQSSVVEKRINFGFNMSSNLSASSASSSQKSEEQEDCGFVDALAAAISRVRISEPKRSRLCGPASPIQQQCSSNVKANLCTAF >DRNTG_21702.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:925623:929224:1 gene:DRNTG_21702 transcript:DRNTG_21702.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSDKFQTQSMAPNKSMPTKGITASSRGTILPKAFNHIAPLKSLRLGQGPSSEVVWFLIKVAALEGIRRLSTPRFPFVWRAVQAVQFLCYPPLKWIQRWAPFKILVHGAQKLCRPLLFLSVTSALSELSESDYRTNNAVDDSPTESESTEEPSTIDIGNSDEPLEDMTSENWSIQLRVELAKDGITLPERINQDELQRFYTAANGYFPCLLASIKKTIRWRETYSLLSTKELEPWSHLVFWHGFDMMHRPCLVIRLGLACSSLLPQDRPRFAQAVVSQLDHGILDLVNIEDPRITVLMDCEGLSPLRFPMNMMRSCSTLVQDHFPNRLATLFLLRLPPVVRVVAQTFIQVLKPPTRQKLRIEGEGYLKALSEYLQQAVPAFLGGSCMCPHCRILLGEIKQERRESRRADLLRDTPDGEVGLLSHPIPELRSNGNFEHILRVGIVAFLIVWIFIAFLAGMNDPDSLSYLP >DRNTG_21702.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:924760:929224:1 gene:DRNTG_21702 transcript:DRNTG_21702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSDKFQTQSMAPNKSMPTKGITASSRGTILPKAFNHIAPLKSLRLGQGPSSEVVWFLIKVAALEGIRRLSTPRFPFVWRAVQAVQFLCYPPLKWIQRWAPFKILVHGAQKLCRPLLFLSVTSALSELSESDYRTNNAVDDSPTESESTEEPSTIDIGNSDEPLEDMTSENWSIQLRVELAKDGITLPERINQDELQRFYTAANGYFPCLLASIKKTIRWRETYSLLSTKELEPWSHLVFWHGFDMMHRPCLVIRLGLACSSLLPQDRPRFAQAVVSQLDHGILDLVNIEDPRITVLMDCEGLSPLRFPMNMMRSCSTLVQDHFPNRLATLFLLRLPPVVRVVAQTFIQVLKPPTRQKLRIEGEGYLKALSEYLQQAVPAFLGGSCMCPHCRILLGEIKQERRESRRADLLRDTPDGEVGLLSHPIPELRSNGNFEHILRVGIVAFLIVWIFIAFLAGMNDPDSLSYLP >DRNTG_34232.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1394739:1397301:-1 gene:DRNTG_34232 transcript:DRNTG_34232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHRGPPPSVHGRKRAVICGISYRFSRHELKGCINDAKCMKYLLINKFRFPESSIIMLTEEETDPNKIPTKHNIRMALFWLVQGCQPGDSLVFHYSGHGSQQRNYNGDEADGYDETLCPLDFETQGMIVDDEINATIVRPLPHGAKLHAIIDACHSGTVLDLPYLCRMDRNGQYWWEDHRPPSGVWKGTHGGEAISFSGCDDHQTSADTSALSKITSTGAMTFCFIQAIERGHGATYGSILNSMRTTIRSTGDATGGGPVTSLITMLLTGGSLSSGGLRQEPQLTAGDMFDVYAKPFSL >DRNTG_14410.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:17407051:17409962:-1 gene:DRNTG_14410 transcript:DRNTG_14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSPLQFPEQLLQDPRGRWYFFHRTKHHYFFIVLLLVEQAFDNRFKWKNPLLYFLIDATMNHGNPERDMRSSFAMRPQAPHASSTPVDPTHRPALLRCGEPKRDIG >DRNTG_22619.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17841266:17843150:1 gene:DRNTG_22619 transcript:DRNTG_22619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENWSSRYWCHMCSQMVSPIMGMEIKCPYCDSGFVEEMQSRGNISDANTELGSDRDRALSLWAPILFRLMNNQYRHRRARREGGEEEEGSHENEEEELQPVLRRRRRSLAIIQVLQGLRDGVRPESDMPESENERDGQRVILLNPFNQAIVLQGSIDGNQSNEHELRNNGIGASIGDYFIGSGLDVLLQHLAENDPSQYGTPPAQKKAVEAMPTVKVEECMSCSVCLEDLEVGMEAKEMPCKHKFHSRCILPWLELHSSCPVCRFQIPAEESKNVNEAENARRVESGTGSGGNGRRFWIPVPWPFSGLFSTSGQQGSGNSSSASSSSTTNGSINNNSSNVDEN >DRNTG_22619.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17841266:17843055:1 gene:DRNTG_22619 transcript:DRNTG_22619.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDENWSSRYWCHMCSQMVSPIMGMEIKCPYCDSGFVEEMQSRGNISDANTELGSDRDRALSLWAPILFRLMNNQYRHRRARREGGEEEEGSHENEEEELQPVLRRRRRSLAIIQVLQGLRDGVRPESDMPESENERDGQRVILLNPFNQAIVLQGSIDGNQSNEHELRNNGIGASIGDYFIGSGLDVLLQHLAENDPSQYGTPPAQKKAVEAMPTVKVEECMSCSVCLEDLEVGMEAKEMPCKHKFHSRCILPWLELHSSCPVCRFQIPAEESKNVNEAENARRVESGTGSGGNGRRFWIPVPWPFSGLFSTSGQQGSGNSSSASSSSTTNGSINNNSSNVDEN >DRNTG_22619.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17841635:17843012:1 gene:DRNTG_22619 transcript:DRNTG_22619.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDENWSSRYWCHMCSQMVSPIMGMEIKCPYCDSGFVEEMQSRGNISDANTELGSDRDRALSLWAPILFRLMNNQYRHRRARREGGEEEEGSHENEEEELQPVLRRRRRSLAIIQVLQGLRDGVRPESDMPESENERDGQRVILLNPFNQAIVLQGSIDGNQSNEHELRNNGIGASIGDYFIGSGLDVLLQHLAENDPSQYGTPPAQKKAVEAMPTVKVEECMSCSVCLEDLEVGMEAKEMPCKHKFHSRCILPWLELHSSCPVCRFQIPAEESKNVNEAENARRVESGTGSGGNGRRFWIPVPWPFSGLFSTSGQQGSGNSSSASSSSTTNGSINNNSSNVDEN >DRNTG_22619.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17841334:17843012:1 gene:DRNTG_22619 transcript:DRNTG_22619.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDENWSSRYWCHMCSQMVSPIMGMEIKCPYCDSGFVEEMQSRGNISDANTELGSDRDRALSLWAPILFRLMNNQYRHRRARREGGEEEEGSHENEEEELQPVLRRRRRSLAIIQVLQGLRDGVRPESDMPESENERDGQRVILLNPFNQAIVLQGSIDGNQSNEHELRNNGIGASIGDYFIGSGLDVLLQHLAENDPSQYGTPPAQKKAVEAMPTVKVEECMSCSVCLEDLEVGMEAKEMPCKHKFHSRCILPWLELHSSCPVCRFQIPAEESKNVNEAENARRVESGTGSGGNGRRFWIPVPWPFSGLFSTSGQQGSGNSSSASSSSTTNGSINNNSSNVDEN >DRNTG_22619.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17841334:17843055:1 gene:DRNTG_22619 transcript:DRNTG_22619.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDENWSSRYWCHMCSQMVSPIMGMEIKCPYCDSGFVEEMQSRGNISDANTELGSDRDRALSLWAPILFRLMNNQYRHRRARREGGEEEEGSHENEEEELQPVLRRRRRSLAIIQVLQGLRDGVRPESDMPESENERDGQRVILLNPFNQAIVLQGSIDGNQSNEHELRNNGIGASIGDYFIGSGLDVLLQHLAENDPSQYGTPPAQKKAVEAMPTVKVEECMSCSVCLEDLEVGMEAKEMPCKHKFHSRCILPWLELHSSCPVCRFQIPAEESKNVNEAENARRVESGTGSGGNGRRFWIPVPWPFSGLFSTSGQQGSGNSSSASSSSTTNGSINNNSSNVDEN >DRNTG_22619.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17841334:17843150:1 gene:DRNTG_22619 transcript:DRNTG_22619.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDENWSSRYWCHMCSQMVSPIMGMEIKCPYCDSGFVEEMQSRGNISDANTELGSDRDRALSLWAPILFRLMNNQYRHRRARREGGEEEEGSHENEEEELQPVLRRRRRSLAIIQVLQGLRDGVRPESDMPESENERDGQRVILLNPFNQAIVLQGSIDGNQSNEHELRNNGIGASIGDYFIGSGLDVLLQHLAENDPSQYGTPPAQKKAVEAMPTVKVEECMSCSVCLEDLEVGMEAKEMPCKHKFHSRCILPWLELHSSCPVCRFQIPAEESKNVNEAENARRVESGTGSGGNGRRFWIPVPWPFSGLFSTSGQQGSGNSSSASSSSTTNGSINNNSSNVDEN >DRNTG_22619.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17841334:17843150:1 gene:DRNTG_22619 transcript:DRNTG_22619.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDENWSSRYWCHMCSQMVSPIMGMEIKCPYCDSGFVEEMQSRGNISDANTELGSDRDRALSLWAPILFRLMNNQYRHRRARREGGEEEEGSHENEEEELQPVLRRRRRSLAIIQVLQGLRDGVRPESDMPESENERDGQRVILLNPFNQAIVLQGSIDGNQSNEHELRNNGIGASIGDYFIGSGLDVLLQHLAENDPSQYGTPPAQKKAVEAMPTVKVEECMSCSVCLEDLEVGMEAKEMPCKHKFHSRCILPWLELHSSCPVCRFQIPAEESKNVNEAENARRVESGTGSGGNGRRFWIPVPWPFSGLFSTSGQQGSGNSSSASSSSTTNGSINNNSSNVDEN >DRNTG_22619.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17841635:17843150:1 gene:DRNTG_22619 transcript:DRNTG_22619.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDENWSSRYWCHMCSQMVSPIMGMEIKCPYCDSGFVEEMQSRGNISDANTELGSDRDRALSLWAPILFRLMNNQYRHRRARREGGEEEEGSHENEEEELQPVLRRRRRSLAIIQVLQGLRDGVRPESDMPESENERDGQRVILLNPFNQAIVLQGSIDGNQSNEHELRNNGIGASIGDYFIGSGLDVLLQHLAENDPSQYGTPPAQKKAVEAMPTVKVEECMSCSVCLEDLEVGMEAKEMPCKHKFHSRCILPWLELHSSCPVCRFQIPAEESKNVNEAENARRVESGTGSGGNGRRFWIPVPWPFSGLFSTSGQQGSGNSSSASSSSTTNGSINNNSSNVDEN >DRNTG_22619.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17841635:17843055:1 gene:DRNTG_22619 transcript:DRNTG_22619.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDENWSSRYWCHMCSQMVSPIMGMEIKCPYCDSGFVEEMQSRGNISDANTELGSDRDRALSLWAPILFRLMNNQYRHRRARREGGEEEEGSHENEEEELQPVLRRRRRSLAIIQVLQGLRDGVRPESDMPESENERDGQRVILLNPFNQAIVLQGSIDGNQSNEHELRNNGIGASIGDYFIGSGLDVLLQHLAENDPSQYGTPPAQKKAVEAMPTVKVEECMSCSVCLEDLEVGMEAKEMPCKHKFHSRCILPWLELHSSCPVCRFQIPAEESKNVNEAENARRVESGTGSGGNGRRFWIPVPWPFSGLFSTSGQQGSGNSSSASSSSTTNGSINNNSSNVDEN >DRNTG_13725.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5429497:5432090:1 gene:DRNTG_13725 transcript:DRNTG_13725.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ent-kaurene oxidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G25900) UniProtKB/Swiss-Prot;Acc:Q93ZB2] MFISKPLFTIVYQKRNRIHRDIMVENTLNTLFAEIKEDPNRAVNLREAFKPELFRVAIKQAIGKDIDSIYVEELGKEISKKEMFEISVVDPMMGAIEVDWRDFFPYMKWVPNKSMEMKIQNMATRRRALTKALIMEQKKRISRGENIECYLDYLLSEESTLSEEQLIILVWESIIETSDTTLVTTEWALYELAKNPLCQVSFNLVFTCIYICLTLCLLNMNIHRIVFIKKSKRYVDLRRSLKNICH >DRNTG_13725.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5428349:5432090:1 gene:DRNTG_13725 transcript:DRNTG_13725.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ent-kaurene oxidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G25900) UniProtKB/Swiss-Prot;Acc:Q93ZB2] MDVILYLQSVPAGALAALAVGLVAAPAVGKLLIDRKQPSNLPPAVPGWPLIGNLLQLKAKKPHQTFAKWAEVYGPIYSIKLGSNMMVVLNSIEVVKEAMVTKFSSISTRKLSKALQVLTSNKSLVAMSDYDEYHKMVKRYVLASVLGTAAQKRNRIHRDIMVENTLNTLFAEIKEDPNRAVNLREAFKPELFRVAIKQAIGKDIDSIYVEELGKEISKKEMFEISVVDPMMGAIEVDWRDFFPYMKWVPNKSMEMKIQNMATRRRALTKALIMEQKKRISRGENIECYLDYLLSEESTLSEEQLIILVWESIIETSDTTLVTTEWALYELAKNPLCQDRLYQEIQEICGSEKITEEHLSLMPYLNSVFHETLRYHTPVPLIPPRITHEDTQLGGYDIPSGTEIAINLYACNMSKNVWDEPNKWKPERFLSGNFEQMDMYKTMAFGAGKRVCAGSLQAMLIACRVIGRLVQEFHWRLKEGEEESMDTVQLTTHKLHPMQAYITPRETNVSAHQSTQLA >DRNTG_13725.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5428877:5432090:1 gene:DRNTG_13725 transcript:DRNTG_13725.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ent-kaurene oxidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G25900) UniProtKB/Swiss-Prot;Acc:Q93ZB2] MMVVLNSIEVVKEAMVTKFSSISTRKLSKALQVLTSNKSLVAMSDYDEYHKMVKRYVLASVLGTAAQKRNRIHRDIMVENTLNTLFAEIKEDPNRAVNLREAFKPELFRVAIKQAIGKDIDSIYVEELGKEISKKEMFEISVVDPMMGAIEVDWRDFFPYMKWVPNKSMEMKIQNMATRRRALTKALIMEQKKRISRGENIECYLDYLLSEESTLSEEQLIILVWESIIETSDTTLVTTEWALYELAKNPLCQDRLYQEIQEICGSEKITEEHLSLMPYLNSVFHETLRYHTPVPLIPPRITHEDTQLGGYDIPSGTEIAINLYACNMSKNVWDEPNKWKPERFLSGNFEQMDMYKTMAFGAGKRVCAGSLQAMLIACRVIGRLVQEFHWRLKEGEEESMDTVQLTTHKLHPMQAYITPRETNVSAHQSTQLA >DRNTG_08501.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000381.1:27778:29315:1 gene:DRNTG_08501 transcript:DRNTG_08501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGESSLFSSALEVGAVVAGAVLILRALSLGSQSEELEPDHDFPRVSTGARYESDSSPVATRGRRNINISQTSMIKIMSYNVWSNEDVEVKVRMAAIGNLVQKHSPDIILFQEVTPRIYKLFQSSSWWQLYKHSSVSPEEAAQGQYFCMLLSKVRVKKFISIPFKNPPSEKGLFLAVIEIGLNKPIIVATSHLKSPNPPKMHSEERVSQAKTALGFLQEFPNVIFGGDMNWDENIDGAFPLHGVWKDAWPELKGRKNGWTFDTKSNPMLQCSYPLQKRLDRFICKLEDCSMKNVEMIGKKAIPGIFCYNKGKVLPVLPSDHYGLILTISLDA >DRNTG_12207.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10506531:10508681:-1 gene:DRNTG_12207 transcript:DRNTG_12207.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFAYRTNPLIITQITVQLASLPVGRFLASPLPTTIFKIPGFGDREFSLNPGPFSMKAHVLITIFAPPTPLASWISSPSSSSRSSLLPLRSLRFGLGFFFSLVDRCWAMVG >DRNTG_12207.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10506608:10508681:-1 gene:DRNTG_12207 transcript:DRNTG_12207.3 gene_biotype:protein_coding transcript_biotype:protein_coding FFAYRTNPLIITQITVQLASLPVGRFLASPLPTTIFKIPGFGDREFSLNPGPFSMKAHVLITIFAPPTPLASWISSPSSSSRSS >DRNTG_12207.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10506608:10508681:-1 gene:DRNTG_12207 transcript:DRNTG_12207.2 gene_biotype:protein_coding transcript_biotype:protein_coding FFAYRTNPLIITQITVQLASLPVGRFLASPLPTTIFKIPGFGDREFSLNPGPFSMKAHVLITIFAPPTPLASWISSPSSSSRSS >DRNTG_12207.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10506531:10507070:-1 gene:DRNTG_12207 transcript:DRNTG_12207.5 gene_biotype:protein_coding transcript_biotype:protein_coding FFAYRTNPLIITQITVQLASLPVGRFLASPLPTTIFKIPGFGDREFSLNPGPFSMKAHVLITIFAPPTPLASWISSPSSSSRSSLLPLRSLRFGLGFFFSLVDRCWAMVG >DRNTG_12207.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10506608:10508681:-1 gene:DRNTG_12207 transcript:DRNTG_12207.4 gene_biotype:protein_coding transcript_biotype:protein_coding FFAYRTNPLIITQITVQLASLPVGRFLASPLPTTIFKIPGFGDREFSLNPGPFSMKAHVLITIFAPPTPLASWISSPSSSSRSS >DRNTG_27801.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27173215:27175270:1 gene:DRNTG_27801 transcript:DRNTG_27801.11 gene_biotype:protein_coding transcript_biotype:protein_coding MYHRQGNNILSTRPVFPPERHLFLQGANVQGDSGLVLSTDAKPRLKWTPELHERFIDAVNQLGGADKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQDNNGSTKNVISRMLPPDRTPEVSGSTMNNVTNGPQANNTMQIGEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLGKQNLGATGLETTKLQLSELATRVSNECLGNSTHSLPEYPNLHIIQAETTQLAGCSTDSCLTSCNINTKDQEIEKFSIGMRTKLREGTISGTADDWKPFCFVEDSDTQLLLAQTNKNSILSMNVNSESKQRERDEKSCLEQPKCKRPVTSHEIEKQSNRFGAACLATQLDLNAHNNDNNVAQSCKEFDLNGLGWG >DRNTG_27801.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27173215:27176617:1 gene:DRNTG_27801 transcript:DRNTG_27801.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHRQGNNILSTRPVFPPERHLFLQGANVQGDSGLVLSTDAKPRLKWTPELHERFIDAVNQLGGADKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQDNNGSTKNVISRMLPPDRTPEVSGSTMNNVTNGPQANNTMQIGEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLGKQNLGATGLETTKLQLSELATRVSNECLGNSTHSLPEYPNLHIIQAETTQLAGCSTDSCLTSCNINTKDQEIEKFSIGMRTKLREGTISGTADDWKPFCFVEDSDTQLLLAQTNKNSILSMNVNSESKQRERDEKSCLEQPKCKRPVTSHEIEKQSNRFGAACLATQLDLNAHNNDNNVAQSCKEFDLNGLGWG >DRNTG_27801.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27173215:27175365:1 gene:DRNTG_27801 transcript:DRNTG_27801.10 gene_biotype:protein_coding transcript_biotype:protein_coding MYHRQGNNILSTRPVFPPERHLFLQGANVQGDSGLVLSTDAKPRLKWTPELHERFIDAVNQLGGADKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQDNNGSTKNVISRMLPPDRTPEVSGSTMNNVTNGPQANNTMQIGEALQMQIEVQRRLHEQLENSLYRRQVQRHLQLRIEAQGKYLQSVLEKAQETLGKQNLGATGLETTKLQLSELATRVSNECLGNSTHSLPEYPNLHIIQAETTQLAGCSTDSCLTSCNINTKDQEIEKFSIGMRTKLREGTISGTADDWKPFCFVEDSDTQLLLAQTNKNSILSMNVNSESKQRERDEKSCLEQPKCKRPVTSHEIEKQSNRFGAACLATQLDLNAHNNDNNVAQSCKEFDLNGLGWG >DRNTG_27801.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27173902:27175270:1 gene:DRNTG_27801 transcript:DRNTG_27801.14 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPDRTPEVSGSTMNNVTNGPQANNTMQIGEALQMQIEVQRRLHEQLENSLYRRQVQRHLQLRIEAQGKYLQSVLEKAQETLGKQNLGATGLETTKLQLSELATRVSNECLGNSTHSLPEYPNLHIIQAETTQLAGCSTDSCLTSCNINTKDQEIEKFSIGMRTKLREGTISGTADDWKPFCFVEDSDTQLLLAQTNKNSILSMNVNSESKQRERDEKSCLEQPKCKRPVTSHEIEKQSNRFGAACLATQLDLNAHNNDNNVAQSCKEFDLNGLGWG >DRNTG_27801.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27173215:27176617:1 gene:DRNTG_27801 transcript:DRNTG_27801.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYHRQGNNILSTRPVFPPERHLFLQGANVQGDSGLVLSTDAKPRLKWTPELHERFIDAVNQLGGADKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQDNNGSTKNVISRMLPPDRTPEVSGSTMNNVTNGPQANNTMQIGEALQMQIEVQRRLHEQLENSLYRRQVQRHLQLRIEAQGKYLQSVLEKAQETLGKQNLGATGLETTKLQLSELATRVSNECLGNSTHSLPEYPNLHIIQAETTQLAGCSTDSCLTSCNINTKDQEIEKFSIGMRTKLREGTISGTADDWKPFCFVEDSDTQLLLAQTNKNSILSMNVNSESKQRERDEKSCLEQPKCKRPVTSHEIEKQSNRFGAACLATQLDLNAHNNDNNVAQSCKEFDLNGLGWG >DRNTG_27801.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27172882:27175365:1 gene:DRNTG_27801 transcript:DRNTG_27801.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYHRQGNNILSTRPVFPPERHLFLQGANVQGDSGLVLSTDAKPRLKWTPELHERFIDAVNQLGGADKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQDNNGSTKNVISRMLPPDRTPEVSGSTMNNVTNGPQANNTMQIGEALQMQIEVQRRLHEQLENSLYRRQVQRHLQLRIEAQGKYLQSVLEKAQETLGKQNLGATGLETTKLQLSELATRVSNECLGNSTHSLPEYPNLHIIQAETTQLAGCSTDSCLTSCNINTKDQEIEKFSIGMRTKLREGTISGTADDWKPFCFVEDSDTQLLLAQTNKNSILSMNVNSESKQRERDEKSCLEQPKCKRPVTSHEIEKQSNRFGAACLATQLDLNAHNNDNNVAQSCKEFDLNGLGWG >DRNTG_27801.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27173215:27175365:1 gene:DRNTG_27801 transcript:DRNTG_27801.8 gene_biotype:protein_coding transcript_biotype:protein_coding MYHRQGNNILSTRPVFPPERHLFLQGANVQGDSGLVLSTDAKPRLKWTPELHERFIDAVNQLGGADKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQDNNGSTKNVISRMLPPDRTPEVSGSTMNNVTNGPQANNTMQIGEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLGKQNLGATGLETTKLQLSELATRVSNECLGNSTHSLPEYPNLHIIQAETTQLAGCSTDSCLTSCNINTKDQEIEKFSIGMRTKLREGTISGTADDWKPFCFVEDSDTQLLLAQTNKNSILSMNVNSESKQRERDEKSCLEQPKCKRPVTSHEIEKQSNRFGAACLATQLDLNAHNNDNNVAQSCKEFDLNGLGWG >DRNTG_27801.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27173215:27175270:1 gene:DRNTG_27801 transcript:DRNTG_27801.13 gene_biotype:protein_coding transcript_biotype:protein_coding MYHRQGNNILSTRPVFPPERHLFLQGANVQGDSGLVLSTDAKPRLKWTPELHERFIDAVNQLGGADKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQDNNGSTKNVISRMLPPDRTPEVSGSTMNNVTNGPQANNTMQIGEALQMQIEVQRRLHEQLENSLYRRQVQRHLQLRIEAQGKYLQSVLEKAQETLGKQNLGATGLETTKLQLSELATRVSNECLGNSTHSLPEYPNLHIIQAETTQLAGCSTDSCLTSCNINTKDQEIEKFSIGMRTKLREGTISGTADDWKPFCFVEDSDTQLLLAQTNKNSILSMNVNSESKQRERDEKSCLEQPKCKRPVTSHEIEKQSNRFGAACLATQLDLNAHNNDNNVAQSCKEFDLNGLGWG >DRNTG_27801.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27172882:27175270:1 gene:DRNTG_27801 transcript:DRNTG_27801.7 gene_biotype:protein_coding transcript_biotype:protein_coding MYHRQGNNILSTRPVFPPERHLFLQGANVQGDSGLVLSTDAKPRLKWTPELHERFIDAVNQLGGADKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQDNNGSTKNVISRMLPPDRTPEVSGSTMNNVTNGPQANNTMQIGEALQMQIEVQRRLHEQLENSLYRRQVQRHLQLRIEAQGKYLQSVLEKAQETLGKQNLGATGLETTKLQLSELATRVSNECLGNSTHSLPEYPNLHIIQAETTQLAGCSTDSCLTSCNINTKDQEIEKFSIGMRTKLREGTISGTADDWKPFCFVEDSDTQLLLAQTNKNSILSMNVNSESKQRERDEKSCLEQPKCKRPVTSHEIEKQSNRFGAACLATQLDLNAHNNDNNVAQSCKEFDLNGLGWG >DRNTG_27801.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27172882:27175365:1 gene:DRNTG_27801 transcript:DRNTG_27801.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYHRQGNNILSTRPVFPPERHLFLQGANVQGDSGLVLSTDAKPRLKWTPELHERFIDAVNQLGGADKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQDNNGSTKNVISRMLPPDRTPEVSGSTMNNVTNGPQANNTMQIGEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLGKQNLGATGLETTKLQLSELATRVSNECLGNSTHSLPEYPNLHIIQAETTQLAGCSTDSCLTSCNINTKDQEIEKFSIGMRTKLREGTISGTADDWKPFCFVEDSDTQLLLAQTNKNSILSMNVNSESKQRERDEKSCLEQPKCKRPVTSHEIEKQSNRFGAACLATQLDLNAHNNDNNVAQSCKEFDLNGLGWG >DRNTG_27801.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27172882:27175270:1 gene:DRNTG_27801 transcript:DRNTG_27801.6 gene_biotype:protein_coding transcript_biotype:protein_coding MYHRQGNNILSTRPVFPPERHLFLQGANVQGDSGLVLSTDAKPRLKWTPELHERFIDAVNQLGGADKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQDNNGSTKNVISRMLPPDRTPEVSGSTMNNVTNGPQANNTMQIGEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLGKQNLGATGLETTKLQLSELATRVSNECLGNSTHSLPEYPNLHIIQAETTQLAGCSTDSCLTSCNINTKDQEIEKFSIGMRTKLREGTISGTADDWKPFCFVEDSDTQLLLAQTNKNSILSMNVNSESKQRERDEKSCLEQPKCKRPVTSHEIEKQSNRFGAACLATQLDLNAHNNDNNVAQSCKEFDLNGLGWG >DRNTG_27801.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27172882:27176617:1 gene:DRNTG_27801 transcript:DRNTG_27801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHRQGNNILSTRPVFPPERHLFLQGANVQGDSGLVLSTDAKPRLKWTPELHERFIDAVNQLGGADKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQDNNGSTKNVISRMLPPDRTPEVSGSTMNNVTNGPQANNTMQIGEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLGKQNLGATGLETTKLQLSELATRVSNECLGNSTHSLPEYPNLHIIQAETTQLAGCSTDSCLTSCNINTKDQEIEKFSIGMRTKLREGTISGTADDWKPFCFVEDSDTQLLLAQTNKNSILSMNVNSESKQRERDEKSCLEQPKCKRPVTSHEIEKQSNRFGAACLATQLDLNAHNNDNNVAQSCKEFDLNGLGWG >DRNTG_27801.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27173215:27175270:1 gene:DRNTG_27801 transcript:DRNTG_27801.12 gene_biotype:protein_coding transcript_biotype:protein_coding MYHRQGNNILSTRPVFPPERHLFLQGANVQGDSGLVLSTDAKPRLKWTPELHERFIDAVNQLGGADKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQDNNGSTKNVISRMLPPDRTPEVSGSTMNNVTNGPQANNTMQIGEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLGKQNLGATGLETTKLQLSELATRVSNECLGNSTHSLPEYPNLHIIQAETTQLAGCSTDSCLTSCNINTKDQEIEKFSIGMRTKLREGTISGTADDWKPFCFVEDSDTQLLLAQTNKNSILSMNVNSESKQRERDEKSCLEQPKCKRPVTSHEIEKQSNRFGAACLATQLDLNAHNNDNNVAQSCKEFDLNGLGWG >DRNTG_27801.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27173215:27174322:1 gene:DRNTG_27801 transcript:DRNTG_27801.15 gene_biotype:protein_coding transcript_biotype:protein_coding MYHRQGNNILSTRPVFPPERHLFLQGANVQGDSGLVLSTDAKPRLKWTPELHERFIDAVNQLGGADKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQDNNGSTKNVISRMLPPDRTPEVSGSTMNNVTNGPQANNTMQIGEALQMQIEVQRRLHEQLEVCRRINFDK >DRNTG_27801.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27173215:27175365:1 gene:DRNTG_27801 transcript:DRNTG_27801.9 gene_biotype:protein_coding transcript_biotype:protein_coding MYHRQGNNILSTRPVFPPERHLFLQGANVQGDSGLVLSTDAKPRLKWTPELHERFIDAVNQLGGADKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQDNNGSTKNVISRMLPPDRTPEVSGSTMNNVTNGPQANNTMQIGEALQMQIEVQRRLHEQLENSLYRRQVQRHLQLRIEAQGKYLQSVLEKAQETLGKQNLGATGLETTKLQLSELATRVSNECLGNSTHSLPEYPNLHIIQAETTQLAGCSTDSCLTSCNINTKDQEIEKFSIGMRTKLREGTISGTADDWKPFCFVEDSDTQLLLAQTNKNSILSMNVNSESKQRERDEKSCLEQPKCKRPVTSHEIEKQSNRFGAACLATQLDLNAHNNDNNVAQSCKEFDLNGLGWG >DRNTG_05208.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22722530:22726963:1 gene:DRNTG_05208 transcript:DRNTG_05208.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLFMIVGIFTLLSYIRKRSTTNDIVEEFGGHFSSIWRVNHGKEAYKKIIQATNNFDERYQIGVGASSVVYKAVLLSGEILAIKKIHEGHMDEQFQNEIQMLNKIRHRNIVGFYGFCSTNEFSFLAYEFMERGCLGASLRSEREAMELYWIKRVNIVRDIAQALSYLHHDCTPPIIHRDITSNNILLDEEYKACVSDFGISRLLKPNSSHWSLLAGTYGYMAPEHAYVMRLTEKSDVYSFGIVALEVIHGTHPGDLLSNLSLSMLVKDMLDPRIPLRLADQVTTNQVLLMILIAMQCINIDPQTRPTMQQVSQRLSFSKSLAASDINSFQVLTLDHLINIVQTHVDDQEHE >DRNTG_05208.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22722530:22729185:1 gene:DRNTG_05208 transcript:DRNTG_05208.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLFMIVGIFTLLSYIRKRSTTNDIVEEFGGHFSSIWRVNHGKEAYKKIIQATNNFDERYQIGVGASSVVYKAVLLSGEILAIKKIHEGHMDEQFQNEIQMLNKIRHRNIVGFYGFCSTNEFSFLAYEFMERGCLGASLRSEREAMELYWIKRVNIVRDIAQALSYLHHDCTPPIIHRDITSNNILLDEEYKACVSDFGISRLLKPNSSHWSLLAGTYGYMAPEHAYVMRLTEKSDVYSFGIVALEVIHGTHPGDLLSNLSLSMLVKDMLDPRIPLRLADQVTTNQVLLMILIAMQCINIDPQTRPTMQQVSQRLSFSKSLAASDINSFQVLTLDHLINIVQTHVDDQEHE >DRNTG_05208.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22722530:22729185:1 gene:DRNTG_05208 transcript:DRNTG_05208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLFMIVGIFTLLSYIRKRSTTNDIVEEFGGHFSSIWRVNHGKEAYKKIIQATNNFDERYQIGVGASSVVYKAVLLSGEILAIKKIHEGHMDEQFQNEIQMLNKIRHRNIVGFYGFCSTNEFSFLAYEFMERGCLGASLRSEREAMELYWIKRVNIVRDIAQALSYLHHDCTPPIIHRDITSNNILLDEEYKACVSDFGISRLLKPNSSHWSLLAGTYGYMAPEHAYVMRLTEKSDVYSFGIVALEVIHGTHPGDLLSNLSLSMLVKDMLDPRIPLRLADQVTTNQVLLMILIAMQCINIDPQTRPTMQQVSQRLSFSKSLAASDINSFQVLTLDHLINIVQTHVDDQEHE >DRNTG_23303.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1195037:1200356:-1 gene:DRNTG_23303 transcript:DRNTG_23303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRELEAELSRDGSHYSLSSGMLPSLGARSNRRVKLRRFILSPYDRRYRAWETFLIILVIYSAWVSPFEFGFLERPKGGLAIADNVVNGFFAIDIVLTFFVAYLDRATYLLVDKPKKIAWRYTTSWFILDVASTIPSEVARKILPHKLRSYGFFNMLRLWRLRRVSSLFARLEKDRNFNYFWVRCAKLICVTLFAVHCAGCFYYLLAARYHDPSMTWIGASMPDFRERSLWIRYVTSMYWSITTLTTVGYGDLHAQNTREMIFDTFYMLFNLGLTAYLIGNMTNLVVHGTSRTRKFRDTIQAASGFAKRNQLPGRLQDQMLAHLCLKFRTDSEGLQQQETLDALPKAIRSSISHFLFYSLVLKVYLFRGVSDDLLFQLVSEMKAEYFPPREDVILQNEAPTDFYIVVTGSVDIIEHTNATEKIVREARTGDLVGEIGVLCYRPQPFTVRTKKLCQLLRLNRTTFLSIIQSNVGDGTIIMNNLLQFLKGQKDPLMEGVLRETENMLARGRMDLPLTLCFAAIRGDDLLLHQLLKRGLDPNESDNNRRSALHIACSKGSENCVLLLLDYGADPNIKDSEGSVPLWEAILGKHEAIIHLLVDNGANLSAGDIGQFACTAAEQNSLELLEDIVRYGGDVTVTRMDGTTALHLAVCEGNVEMAKFLVEHGADIDKADMNGWTARDLADQQGHDEIKAIFEAKNARDNKSSTTADSLQAPQLVGKFSSEPSIRHANFEATPSPSPSPSPSPSPSPGDAIFSRKGHRWQKASNFHNSLFGIMSAAHANNVSAPLSSMVPTRCAGVSAVHHHPPKRVTVSCPEKSGMADKLVLLPSSLNELRQVGARKFGFLPSMVFTRDGAEIDDINVIRDGDQLVLVSDSWLRGDGNNTSQV >DRNTG_28013.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20745089:20748077:1 gene:DRNTG_28013 transcript:DRNTG_28013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFARMVEMGIYVLMMFMAVLYVCNAFTPIDNYLIDCGSLSNTTVGQRTFMADTSLSWTLTTSTNSMANTSSNSVSSLYQKARIFTNPSSYSFPIKQQGRHFIRLYFFAFSSQSFKLSTANFSVSTQDSVLLHSFPANSASTPLFKEYSVNITHSTLILSFVPTANKSLAFINAIEVVSVPDNLISDTAQTVNPLGNYQGLSVEPLETVFRINNGGPLVTPANDTLWRTWQPDQSFLLDKNFSKPVSYSGNINYAASSGVTREIAPDVVYSTATELVSTISTTQNARFNVTWQFDVDAGSSYLIRMHFCDIVSTTLNELYFSVYISSYSAVSNLDLSAASANVLATPYYMDFVVKMDDGLSKLNISIGPSSLDNVLPDGILNGLEIMKMNSSGTAHVVSPQGSKANVGVIVGAVLGAVAALAIAVCIVFTLLKRRRRSRMGRKPNTKAWLPFSINGTISQSLGSRFSNGTTATLGQNVSLGYQFSFAVLQEATNNFDEAWVIGVGGFGKVYKGVLRDETRVAVKRGNPKSQQGLNEFRTEIELLSRLRHRHLVSLIGYCDERNEMILVYEYMEKGTLKNHLYGSDLPNLSWKQRLEICIGSARGLHYLHTGQAKAIIHRDVKSANILLDENLMAKVADFGLSKTGPELDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEVLCARPVIDPTLPREMVNLAEWAMKFQRRGELDQIIDPRIAGTIRPGSLRKFGETVEKCLADCGVDRPGMGDVLWNLEYVLQLQDAESGFTDVDSITRIDELPTQVQNINTTESVTVGVLDQSANDLSDVSMSRVFSQLVKSEGR >DRNTG_20858.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15963118:15965228:-1 gene:DRNTG_20858 transcript:DRNTG_20858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQPNGYLACIKEERIALLDIKFSITAEGHPFFQTWNISYSSDCCRWDRIRCSRITKRITNLDLSWDLQSGARFSYYTLNISLFLPFKELRSLVLFNNGNNACLPVDCFQHLVPLKRLEYLDISANYFDGKTLASLAALRSLKGLALRGNGMESDSFISALGDWLRMNRLEYLDVSSNSLNATIVPFLAGLTSLQTLFLGDNQMQGRLPFKELSRLNKLEVLDLSGNGFMGDIPSMDNEWNSLKVLSLSRNNLNGTSLEGKR >DRNTG_26399.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18122872:18127076:1 gene:DRNTG_26399 transcript:DRNTG_26399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGRQGLPGERKQDGDKKEKKFEPAAPPSRVGRRQRKQKGPEAAARLPTVTPLTKCKLRLLKLERIKDYLLMEEEFVASQERLKPHEDKNEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVSILSFVDKDQLEPGCAILMHNKVLSVVGLLQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKQTQPRLLS >DRNTG_17413.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14833869:14841285:-1 gene:DRNTG_17413 transcript:DRNTG_17413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFDIESTLKEWVLLSFNKKWKDFKCQLKRTHYNPFKGDKVGAKRNRPVELEQVQWDWLVDFWESDAGKMREEKSIINRSKPNLPNTFATKGLPMECNVVAALSGEELNFTMPATKASIDSDSNIPNANSPINETCQINGTTTYRYDEIQSIASMLTLTDAPEAFYIAVKHVVEGIGEIMQSKGMGNLPRATSDIWAALLLLLICKCTADPTASFGSRR >DRNTG_09412.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21032773:21044374:-1 gene:DRNTG_09412 transcript:DRNTG_09412.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLLYDNEFTQIQNDNINHFRYQRALNNSVEDSIYESGNEIFTLLKAGAYIYIAGSSTMLPGIKETFVKIAQERGVDWPKMLDQLQKTNHWRVEVY >DRNTG_09412.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21039439:21040284:-1 gene:DRNTG_09412 transcript:DRNTG_09412.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHAQMLPGKSLGFNHSASLITSNLSFENKVPTSLPSLSLRNEKQHSKYNHKVLGMSARQATKLNAAVVPLEAWETREIPSSADKTYKTTVVSVETLVGPKGGLGEVCHIVLDHCGCFSFMEGQYLAVCFQSNKRYFSIASWRRGDSCDGNTLSLCVRRAELSSDSVSNYLCNVKAGDVVEISG >DRNTG_09412.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21032773:21040284:-1 gene:DRNTG_09412 transcript:DRNTG_09412.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAQMLPGKSLGFNHSASLITSNLSFENKVPTSLPSLSLRNEKQHSKYNHKVLGMSARQATKLNAAVVPLEAWETREIPSSADKTYKTTVVSVETLVGPKGGLGEVCHIVLDHCGCFSFMEGQYLAVCFQSNKRYFSIASWRRGDSCDGNTLSLCVRRAELSSDSVSNYLCNVKAGDVVEISGPAGGKMVFPPELIPLSPPKPEIEPISTLEPMPIWPTREVKHIMVATTTGIAPFRSNIQRLFLHHQYLFDTQQQQKILTFNKHVWLISGADNYNSLLYDNEFTQIQNDNINHFRYQRALNNSVEDSIYESGNEIFTLLKAGAYIYIAGSSTMLPGIKETFVKIAQERGVDWPKMLDQLQKTNHWRVEVY >DRNTG_11871.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15361768:15362877:-1 gene:DRNTG_11871 transcript:DRNTG_11871.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSINGHDFRKEDILRFPMSFGKPTRPKEIHETREKLKLLQWKKDRLAEDILREKALLKKAKDSFHAKREEYEKFLNDAHQHISKVLPN >DRNTG_11871.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15361768:15367940:-1 gene:DRNTG_11871 transcript:DRNTG_11871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTIKHIVEKEKTAAGIICQLQIRHGFQASKLPLTKDVVGVVATLGSVKDENLSRLLSEFLGPETMLGVVCKTFEGIKALEKYDKEGMIDKNFGLHGLGPSIGRLLEGRFLAISLENLRAYPGGFLAGDPQKRLDFPKARLPDGKCPPGFLGFAVNMIDLDDDHLSCLTVNGHGLRETLFYNLFSYLQVYRSRAEMELAMPCISDGAISLDGGMIKTNGIFYLGARKEDILRFPMSFGKPTRPKEIHETREKLKLLQWKKDRLAEDILREKALLKKAKDSFHAKREEYEKFLNDAHQHISKVLPN >DRNTG_03100.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:535090:539239:-1 gene:DRNTG_03100 transcript:DRNTG_03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAWQSFDYPTDTFLSGMKLGWDLRTGLNRNLTAWRSNDNPSAGTYVVSMDLKGSPQLTVWSGSTKKWLSGPWNGIEFSNIPEMSSYTGFYFDFVNNKDEVYYMYSTTGTTIQTLLMVNQSGMVERFVWIESAGMWSLFWYVPKSQCDGNAALPDTSSALVDMNISSLDECRAKCLMNCSCTAYASADIRAGGTGCIFWVTELVNLGRYPEGGQDLFVRLAATDLASMSSKASKKNHVKVVVLITVLSVATLFLLLIGFCSCRKKMRKASVAHNHEEEEIRKDDELELPQLQWSTLMAATANFTDENILGEDGFGPVYKGKLAEGHEVVVKRLSRNSSQGLIEFKNKVILMAKLQHRNLVKLLGYCIRGEEKMLVYEYMPKGSLDLFLFDEEQRRHLDWQTRLQIIEGIARGLLYLHQDSRFRIIPRDLKASNVLLDSEMNPKISDFGMVRIFGENDALTKTLKVVGT >DRNTG_04788.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2467678:2470490:-1 gene:DRNTG_04788 transcript:DRNTG_04788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCSCFGPSKAERKEAERLESQEARAKAADAAQKRQEQFEKSAVGRAARSQVVAASKQSNSNNAGEPVLKWRIG >DRNTG_26639.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18276431:18276975:1 gene:DRNTG_26639 transcript:DRNTG_26639.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIRSNMRPCTQRGPVPLDANDLSVDPTCGAGA >DRNTG_11366.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3629718:3631669:1 gene:DRNTG_11366 transcript:DRNTG_11366.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDLRSLVHHQQRRKHRKQEIQNKAHNTSPKMKTRRW >DRNTG_11366.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3629718:3632394:1 gene:DRNTG_11366 transcript:DRNTG_11366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDLRSLVHHQQRRKHRKQEIQNKAHNTSPKMKTRRW >DRNTG_13594.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:723728:728787:-1 gene:DRNTG_13594 transcript:DRNTG_13594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYVHGSPLLESSAAFDSFEAARITAAALGRVLMLDLILRNEDRLPCRQLGWRGNNANLLYVDKIMSVNMEEVLDEAYKSAARRYRPHIIKILQKERRVNSVNGRLDSPNPELSPQVSDTKTCDDFHIVAIDSGVPRRPPAGKRAKDHEVYPKVVELILNSSEFAANILSEISGGKLGTPAVSKISNADMAAIVHEFRGGFRAALRDLQSFHIFLLTLYQKLDGLFRVFLSIINKSSGEVEKDDVDSPQHSLGIGFSTPCPENKERVANEVQVDLSDSDLQRNTSKPSPFASRDSPESTSPVSRENWSAKYSKGKGEQSHCLRLTMKLRDFNKFAKIDAEMNKELEQWNEMLRSDVVKLCQENNFNSGFFAGTDNNTVIDAYELKVRLEHILERIALISDVANTERPSLVTGNVFIGGALAARSMHTLQHLGITHILCLCSHEIGQSDSQYPDHFEYKNFSISDNEDAEISDLFDDVSDYIDYVEQQGGKVLVHCFEGKSRSATVVLAYLMLRKGLTLLDAWNMLKKAHRRAQPNDGFAKALLQLDKWLFGKVSMEWQHRKPAMKVCPICGKNAGLSTSSLKLHLQKSHKKISLGSVDSAMTMEIQKALEGLRISRSSSISPKKKHCRSFNDIKL >DRNTG_13594.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:723728:728652:-1 gene:DRNTG_13594 transcript:DRNTG_13594.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRRRREIPSLCTGERVREKRREEGTHPRRRREISSLRTRASERERGRERESRGFGFIFNCSLFELQAKEEREREREREREVKVRYCLEWESSYFGSLLRFMELEERREKKPYSAPFITTPQGREGDKEPGVSSRVAWLLLGDATSPGQAFERWVALVRKRCRQLRDSGFPHRAPKNVAIPFDLSPSSSLERESGRLDLGKGDGDSDTVLSTDQIADMSLWERLGSAATLDIESNDFSWDALSSLHHTEHNSSNEHAEDEMNRALEVTVNSGGVVFFALFIAPESDDLMLKEAAAVVKIASSRMATQSERLGYEFAKLLRVQTPQGRVIHNSSPEWQQIKEATEKARDAAFTTGDEVGEVTCTELLEALELSRCLFLMNYVHGSPLLESSAAFDSFEAARITAAALGRVLMLDLILRNEDRLPCRQLGWRGNNANLLYVDKIMSVNMEEVLDEAYKSAARRYRPHIIKILQKERRVNSVNGRLDSPNPELSPQVSDTKTCDDFHIVAIDSGVPRRPPAGKRAKDHEVYPKVVELILNSSEFAANILSEISGGKLGTPAVSKISNADMAAIVHEFRGGFRAALRDLQSFHIFLLTLYQKLDGLFRVFLSIINKSSGEVEKDDVDSPQHSLGIGFSTPCPENKERVANEVQVDLSDSDLQRNTSKPSPFASRDSPESTSPVSRENWSAKYSKGKGEQSHCLRLTMKLRDFNKFAKIDAEMNKELEQWNEMLRSDVVKLCQENNFNSGFFAGTDNNTVIDAYELKVRLEHILERIALISDVANTERPSLVTGNVFIGGALAARSMHTLQHLGITHILCLCSHEIGQSDSQYPDHFEYKNFSISDNEDAEISDLFDDVSDYIDYVEQQGGKVLVHCFEGKSRSATVVLAYLMLRKGLTLLDAWNMLKKAHRRAQPNDGFAKALLQLDKWLFGKVSMEWQHRKPAMKVCPICGKNAGLSTSSLKLHLQKSHKKISLGSVDSAMTMEIQKALEGLRISRSSSISPKKKHCRSFNDIKL >DRNTG_25929.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001339.1:59625:65331:-1 gene:DRNTG_25929 transcript:DRNTG_25929.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 31 protein [Source:Projected from Arabidopsis thaliana (AT5G63840) TAIR;Acc:AT5G63840] MVASPPVSYPLRSPDPSSSTSPSTMAASSASRSTRIPPQIHPPVNDFRFPTFSSPILTIGALWLSRLSSSSPGFSSFYLADGFEAVLRHDPFELSIRRTGSGSDPTQDRILSLNSHGLFDFEQLRSKNDGEEWEERFRSHTDSRPYGPQSITLDVSFYDADFVYGIPEHASTSLALRPTRGPGVDDSEPYRLFNLDVFEYIHESPFGLYGSIPFMISHGERSSAGFFWLNSAEMQIDVLAPGWDDPESPVNGRIDTLWMSEAGIVDAFFFVGPGPKDVIRQYTSLTGTPSMPPEFAIAYHQCRWNYRDEEDVAAVDAGFDEHDIPYDVLWLDIEHTDGKRYFTWDRTLFPTPEEMQKKLADKGRKMVTIVDPHIKRDDSYFIHKEATEKGYYVKDASGKDYDGWCWPGSSSYLDMLNPEIREWWAEKFSLENYKGSTPTLYIWNDMNEPSVFNGPEVTMPRDAVHYGGVEHREVHNANGYFFHMATANGLLKRGGGKVRPFVLSRAFFAGSQRYGAVWTGDNSADWDQLRVSVPMTVTLGLTGIAFSGADVGGFFGNPETELLVRWYQVGAYYPFFRGHAHHDTKRREPWLFGERNTALMREAIRTRYSLLPYYYTLFREASVSGVPVMRPLWLEFPDDKEAYNNADAFMIGSSLLVQGIYEEKQKTVSVYLPGKKQSWYNLKSGVAFAAGMTHKLEVSEDGIPSFQRAGTIIPRRDRFRRSSSQMVNDPYTLVIALNSSLAAEGDLYVDDGKSYDFEQGAYIHRRFIFYNGKLSSSSIRPSNAGEKKFSSDCVIERIIFLGLPNGPKRALIETENRETDIEPGPLVLRSGSSPRALVVRKPNLRIADDWTLKIF >DRNTG_08314.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:11210475:11213275:-1 gene:DRNTG_08314 transcript:DRNTG_08314.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UGT78D3 [Source:Projected from Arabidopsis thaliana (AT5G17030) UniProtKB/TrEMBL;Acc:A0A384LMC1] MVSAKSIPHVAFLAFPFGTHASPLFSLARALAADDPSTAFTFFNSARSNSSLLQSLPSGLPPNLRTFDVPDNVPEGAWLPPEEVRLFLEVTPENFLETMAAAEREFGRVTCVVGDAFFWFAGDVAAERGASWVALWTGGPCSLAAHLYTDLLRDTFGVEDQALARANDLLDIIPGLQSLRVGDLPEGVVFGNIKSAFADLLHQMGQKLPHATCVVINTPDGLNPELDLDFQAKFKKCLNVGPLSHLFPQPLDPEKHGCLAWLDTQIEASVVYISFGTVIMPSSQDLIEMAAGLEASGVPFLWSLKDMARKHLPEGFLDRVAGRGLVVPWAPQTQVLGHLAVGAFLTHCGWNSILESISSGVPLICRPFFGDQCLNAKTVSCVWKIGVAFESRAIEKEDMVRVLNVVLKTEEGKKMREKADKLKTTALQATKPGGNSIKNFDTLVKMIVGNHGS >DRNTG_06230.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5376150:5378361:-1 gene:DRNTG_06230 transcript:DRNTG_06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEFGVAVHQPECEQKEQQEPYSLSQKP >DRNTG_34923.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002205.1:6181:8222:1 gene:DRNTG_34923 transcript:DRNTG_34923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTPKRHFWGSSTVAGSLFMLRAFVWQRGRGFWRRLLGEFLEPTSTSSEEARSHVLEKGNQREKL >DRNTG_14422.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:18032606:18040451:-1 gene:DRNTG_14422 transcript:DRNTG_14422.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g09850 [Source:Projected from Arabidopsis thaliana (AT1G09850) UniProtKB/TrEMBL;Acc:Q0WVJ5] MASWTLLTPLLLLLSSLASALYSDLFESWCREFNRSYASEEEKLARFKVFEDNLAFVNRHNSAGNSTYELGLNAFSDLAHHEFRAARFGLSFGLLEPSGDRIIFRGSAGGVPDSVDWRKSGAVTAVKDQGSCGACWAFSATGAIEGINKIVTGSLVSLSEQELCDCDRTYNSGCGGGLMDYAFKWVIQNHGIDSEDDYPFKGAERTCLKNKLNRRVVSIDGYTDVPANNEDLLLQAVAKQPVSVGICGSERAFQSYAKGIFNGPCSTNLDHAVLIVGYGSQNGEDYWIVKNSWGTSWGMDGYMHMQRNSGSSQGVCGINMLASFPTKTSPNPPPSPGPSPTKCSLLTYCPAGNTCCCTWRILGLCLSWSCCELDSAVCCKDHRYCCPSDYPVCDDKSKQCFKGSRNSTGVNGFKRKSSFMNFNGLKPFLEALAA >DRNTG_14422.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:18032606:18040451:-1 gene:DRNTG_14422 transcript:DRNTG_14422.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g09850 [Source:Projected from Arabidopsis thaliana (AT1G09850) UniProtKB/TrEMBL;Acc:Q0WVJ5] MASWTLLTPLLLLLSSLASALYSDLFESWCREFNRSYASEEEKLARFKVFEDNLAFVNRHNSAGNSTYELGLNAFSDLAHHEFRAARFGLSFGLLEPSGDRIIFRGSAGGVPDSVDWRKSGAVTAVKDQGSCGACWAFSATGAIEGINKIVTGSLVSLSEQELCDCDRTYNSGCGGGLMDYAFKWVIQNHGIDSEDDYPFKGAERTCLKNKLNRRVVSIDGYTDVPANNEDLLLQAVAKQPVSVGICGSERAFQSYAKGIFNGPCSTNLDHAVLIVGYGSQNGEDYWIVKNSWGTSWGMDGYMHMQRNSGSSQGVCGINMLASFPTKTSPNPPPSPGPSPTKCSLLTYCPAGNTCCCTWRILGLCLSWSCCELDSAVCCKDHRYCCPSDYPVCDDKSKQCFKGSRNSTGVNGFKRKSSFMNFNGLKPFLEALAA >DRNTG_18755.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1286522:1288430:1 gene:DRNTG_18755 transcript:DRNTG_18755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFSAIHGEDDGVEKELYEPCDLEELLHCFLSLNSHHHHRSIISAFSEIWDALFLATTAGEQHPRDEFDGSLRCVSLDFIAVCSYALEMELKLVVSQFILQVLQNTELADMNSSKDRVVHATTKYFLFNILSNFLLCRISKLMKTGGKFSLQMHQERTHSCINPKL >DRNTG_16492.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6168816:6169616:1 gene:DRNTG_16492 transcript:DRNTG_16492.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVNKKRRERYTHLFSTGKPDPSLFASSNSKLSTLTSVADGSIPITPLLKDELDIIMPTIRNLDFLEMWRAFFQSYHFIIVQDGDPLRTIKSLEGFDYELYNRSDIHQILGPKAFCILFKDSTCRSCTVSMEETTVFTPSLEDMKHVSSEDGKMLTKPFLDVCKLVLPVIEKFGVAVSLIILIHQSLSSSTA >DRNTG_28692.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21276952:21278659:1 gene:DRNTG_28692 transcript:DRNTG_28692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKVYHNIRMFHFLHILLVSYLVACNALDRHFLRPISDSDLHSADGVSCESWQFGVETNNVRDWKHVPNECEGYVGHYMLGGHYRADSAVVVTEAIKFAEAFELVGDGKDVWIFDVDETTLSNLPYYAANGFGVEPYNSTAFNEWVYQSAAPALPESLKLYKKLLSLEIKGHLLDW >DRNTG_27995.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:10833249:10834286:1 gene:DRNTG_27995 transcript:DRNTG_27995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFFAPPIIMNFYTSLTFITNPPNLLQRINSPLVHPYLRWSFAHPKKAKIIFKQADVINPLSDTLESSSSPKRHSLQCNQSLCQIYFNRFPSNHPFQISDSHSGPPQKGTAHPQAPGVIRTLTKSVRKSKEEQIANVISQCSKASKELLN >DRNTG_09907.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25540086:25543851:1 gene:DRNTG_09907 transcript:DRNTG_09907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSHYVWKKVSGRAFASTDPARRSYKNLEHQLGGILDTLSKEQQVFEQEIQVPYRDDVVVNDNEEVEQNKYDVVEIEGMQEEPFIQCEHCLNGQYVCKQEMMQAGCAKIDCFQVEIEEETNPKVMEEASLFGIDQIINCKKEILGLEEDVEPTEFCKCPEKTHARVEFLHGRAFLFRAHPKTAQGRELAPMNSHKMVYAHVAFLHERVFPCRDSKIFPEKTQGCGLAPVDDSSTNARAWVISTGPCGSLQRGSPPFREDTGVCVCPYELAGNDPCPYGIYARACETLREFFRIGQSSYKGVRLPLWVCGFSVSLISRPAVNSAAIVLLQCSAAVFRLNNFPIPYFHRECSSVTAFVPLQMDVLTRMRGAQASSSSAAAAELEHDTDT >DRNTG_28491.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8405964:8410188:1 gene:DRNTG_28491 transcript:DRNTG_28491.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDEKALMGNTSRTRSYHTGFWWVNVKGMKILTALNLVATAVLTRRSFQAIMLENGNVALLWKEFGHLDISRYGWPYLGSLLEKLLHHLLLFLLLLLHLLLLVQKRTREILSQSASCNINPFVPVRACRGAIASSNSSRNSENRITMGCRPMLFLDRNHLMGRYGGIILGDALYGDEDYEKNITLISDQSKDLLMLLLSQLGKALKNECWRLITKVAYAYMSMEDKEVVNGLSITSSQVRLWLFHKSEVAHWCNYLFRG >DRNTG_25101.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:813747:817167:1 gene:DRNTG_25101 transcript:DRNTG_25101.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFLLLSALLLSSVISPAKSHGDHGDGGDGSCEADPNIRLTAEFRPGLVTVDGQPDEWAEVEGSELSLLPALDPDADKAYPSGKITVKALHDGSNVFFLMQVDGNYAYSKGENTKCPSVALMFQVGENASYHNMGGCPDMPGKCTSKSCRGHEVDIMHFSIGSAIPGRLYGGNPLDNRDGIGSDRFGHLVDVYSWNPHCRFLDGKGPSANTSNAQNDWQGAWWHSSITSRSGLIEEDSPYSMNGQKGTYYFEFSRPLRTMDHFQQDVQFVIGQPSKVAIALWYPTGGKPWSGSQHYSASCQWLPLEIMSSSLQSLSEAQSQNSWDAATAFSLLLSAVSLCLSIFIGYWVSKSKAVPFTPIDRM >DRNTG_26172.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29209879:29212217:1 gene:DRNTG_26172 transcript:DRNTG_26172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQNDIKLLPGDYALHLDLIAKVRGLASAEKFFEDLPERMKGQSTSSALLHTYAQNNLPMKAESLMKEMSVNGLLRCSLPYNHMMSLYLSRGELEKIPKVVEELKRNTSPNEVTYNLWLRSYAVKDDAEGAEKVFLEMRTRKIAADWVTYSTMASIYIKANLLEKAKEALKKMEMKVTRKERSGYCSLLSLHASLSNRDEVDRIWNKMKSLFRKMSDFEYKCMLSSLTKLDDIKEAENIYSEWESMSGTGDSRVPNILLAFYTKNGMMEKAESFHEHTIQVGIKPCYTTWEILAMGYLNKKNMGKVLDCLEKGFSSLEKWEPNKVLVQAVFTKLEKLADIEGAERFLVMLRNAGYVTTEIYNSLLRTYAKAGKMPLIISERMETDNVQPNEETQRLIKLTSRYCVGSVSTLIS >DRNTG_02310.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:728012:729142:-1 gene:DRNTG_02310 transcript:DRNTG_02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITIFAILLLGFLPFTPADPDPVQDFCVPEATPVQLNRLRSIPCKSPSNVTADDFVFSGIQSRGNFSSSTGFAGVAVNPVQFPALNTLGMSFARADFEPGGVNPPHYHPRATETALVVEGSVYSGFVDSTGRVYAKVVEKGEVMVFPRGMVHFQMNGGDSVATIFGSFNSENPGLVKIPGTGAVVGALV >DRNTG_26081.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4112555:4120447:-1 gene:DRNTG_26081 transcript:DRNTG_26081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKVINKQVLLKDYVTGFPKEEDMVLTSSTIDLKLSQGSTAVLVKNLYLSCDPYMRPRMSRPLTQSYTDALVPGKVCCFSSFLFRS >DRNTG_06160.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25370267:25372394:-1 gene:DRNTG_06160 transcript:DRNTG_06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESGGYYNFKKTDDICEDVCGPASKAARLRCILRGFNLRALLLLVIGIPLCIFVIYLHGQKITYFLRPLWESPPKPFNYIPHYYHENVSMENLCRLHGWGIRETPRRVFDAVLFNNELDILSIRWNELHPYVSEFVLLESNSTFTGNLKPFLFAQNRDRFDFVKSRLTYGTFPGRFVKGENPFVEESYQRVALDQLIRIAGIADDDLLIMSDTDEIPSGHTINLLRWCDDIPEKLHLQLRNYLYSFEFFLDDKSWRASVHRYRSGKTRYAHYRQSDELLADSGWHCSFCFRRIDEFIFKMKAYSHVDRVRFKYYLKPSRIQNVICHGADLFDMLPEEYTFKEIIGKMGPIPSSYSAVHLPAYLLQNVDKYKYLLPGNCMRESG >DRNTG_04496.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7476652:7484811:-1 gene:DRNTG_04496 transcript:DRNTG_04496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKEKPTLGGTRIKTRKRNIAAPLDPATFADAVVQIYLDNVGDLELVAKNIESSDLNFSRYGDTFFEVVFTGGRTQPGTIKPDEGERHTYSVLDCEPKREAILPFVLYIQKILRRRPFLIKNLENVMRRFLQSLELFEENERKKLAIFTALTFSQKLSGLPPETVFQPLLKDNLVAKGIVLSFITDFFKEYLKENSVDDLIALLKRGKMEDNLLDFLPTAKRSAEAFSEHFTKEGLTVLVEYNEKKIFEVKLKEMKSALTTQIAEEADISEVIETVKQRVKDAKLPDIEIVRILWDVLMDAVQWSGKNQQQNANAALRQVKTWAQLLNAFCTNGKLELELMYKVQIQCYEDAKLMKLFPEIVRSLYEQDVLAEDTILLWFRKGANPKGRQTFVKGLEPFVNWLEEAEEED >DRNTG_25339.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22263490:22264138:1 gene:DRNTG_25339 transcript:DRNTG_25339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGGAHRQSHSCVPTCAILARLSSNVILLKMKRDQPHGCVPVHVASIKMWIREYFGRILQQITVAVTVYRFLEWFYGIQHRVPLEDSYWESFHRHRFGEVRPRLDEGTFGEDAATPQDHRHGHQGGFIHGLHIFTLNSIIDCILLYGELNS >DRNTG_24002.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001285.1:44613:45867:1 gene:DRNTG_24002 transcript:DRNTG_24002.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine thiazole synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G54770) UniProtKB/TrEMBL;Acc:A0A178UNL2] MAAMATSTLTSKPQTRFLHHSFNGIPLLCRVPRSLSYSPYSPNLSISASASSDLNSFRFEPIKESIVAREMTRRYMTDMISHADTDVVIVGAGSAGLSCAYELSKDPSVRVAIVEQSVSPGGGAWLGGQLFSAMIVRKPAHLFLDELQIPYDEQENYVVIKHAALFTSTILSQILARPNVKLFNAVAAEDLIVKEGRVAGVVTNWALVSMNHDMQSCMDPNVMEAKGCGELLRA >DRNTG_19734.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001049.1:100269:101914:-1 gene:DRNTG_19734 transcript:DRNTG_19734.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 49 [Source:Projected from Arabidopsis thaliana (AT4G23030) UniProtKB/Swiss-Prot;Acc:O82752] MRRILLLCGQDQLISAAAQSYILFSIPDLICQSFIHPLRIYLRSQSINMPLTYCAAAAILLHLPITYLLVIILNLGIKGVALAGVCTNFNLVLFLLSYIYFSGLHESTGVLDLSLECFKEWKPLLSLAIPSCVSVCLEWWWYELMIMLCGLLLDPKSTVASMGILIQTTSLIYIFPSSLSFGVSTRVSNELGANQPNKAKRAATVGLSCSVFLGLIAFAFAFSVRNVWSWMFTNDEGIAMVTASVLPVLGLCELGNCPQTTGCGVLRGSARPKAGANINLGAFYMVGMPVAVGLAFWGGLDFKGLWLGLLAAQAMCVVLMLWVVLRTDWVFQAERAQKLTGVDDDVVIVVADEDDEEKVLQSIAVDDHVDDDDEKPLIC >DRNTG_08064.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18253074:18255229:1 gene:DRNTG_08064 transcript:DRNTG_08064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEACEALGQEFVCMHGLSSLYVQANCCLGKLGLDLHGIILEDLRGGGIVVLGTDGLFDNVFNHGIEYLVSTEPKKTGH >DRNTG_07534.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14285226:14299235:1 gene:DRNTG_07534 transcript:DRNTG_07534.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT1G80410) UniProtKB/TrEMBL;Acc:F4HS68] MGSSLPPKEANLFKVIVKSYETKQYKKGLKAADAILKKFPDHGETLSMKGLTLNCMDRKSEAYELVRRGLKNDLKSHVCWHVYGLLYRSDRDYKEAIKCYRNALKIDPDNIEILRDLSLLQAQMRYLTGFVETRQQLLTLKPNHRTNWIGFAVAHHLNSNCSKAVEILEAYEGTLEDDYPPENERYEHGEMLLYKISLLEECGLLDRALEEMQKKESKIVDKLAFKEQMVSILVKLGHLKEGEKIYRSLLFMNSDNYKYFVGLQKCLGLYSEKGQYTADEIVQLESLYKSLREEYTWSSAVKRIPLDFLEGEKFQEAADSYVRPLLLKGVPSLFSDLSPLYDQPEKANILEKLFLQLENSVRSTGSFPGRSEKEPPSTLMWTLFLLAQHYDRRGQHDVALAKIDEAIEHTPTVIDLYSAKARILEHAGDLIAAAAIADEARSMDLADRYLNSECVIRMLHADQVGLAEKTAVLFTKDGEQHNNLHDMQCMWYELASGESFFRQGDLGRALKKFLAVEKHYSDITEDQFDFHSYCLRKMTLRSYVSMLKFQDKLHSHPYFEKAAAGAIRCYMKLHDSPLKSGAEEENAMSKLDPSQKRKLRQKQRKAEARAKKEAEEKNGEAPTGASKSGKRQNARPVDLDPNGDKLLQVEDPLLEATKYLNLLQNNSSKSLETHILSFELHMRKQKILLAFQAVKQLLKLDANNPDSHRCLIRFFHKIANSAAPVTDSEKLVWRVLDAERPDISQLNGKSLVEANDFFLENNKASLMHRAAAAEMLFVLEPEKKVEAIKIIEDTTNNFAPRNGALGPVREWKLDDCIAVHKLLETVFIDHDAASRWKTQCAEYFPYSTHFGGCYSSVVSCSSSCNTKTSPENGSVSFQQPGSGIANSLNGNLHMLQELKI >DRNTG_01453.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8191241:8197800:-1 gene:DRNTG_01453 transcript:DRNTG_01453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHSGRKSYGLESGKATLVASLARATLEDEMLNPDSYAALLGQEMENEEVMMLGLEENVPSTPRIMKMMLRKMKQMRRHHKKCPKAIGDAQERNKSDEPLSGNKLDNSPSTFKRNFLQSLQSFLKHPEKMHGCVEFPHGRGSLLRANPEITQGCGLAPMNDLGRRLALVDDPVTNRRAWRSHRGVRLPLRVGHMGVGNFRTPVWLHLEALSVILRAHKKKQAEKYLRESSPEPENMGFAIPGAPSPF >DRNTG_26220.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:375478:378005:1 gene:DRNTG_26220 transcript:DRNTG_26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTVLSRAISQTQATQIHAYLTTTNQLQNPLLHTQLINIYARCDLFSQAILVFKSINEHSNIITWTSLITHLSHNHQPLHAIQLLIKLFGSEFSLRPNHFTLSAVFPACAQTGSVAHGEQVHTLARKLGLECDVFVASSLLDMYAKCGDMDSSRKVFDEMPLRNLVSWNSLIVGFTRNKSCDMAMETFKEMHAECSSVCFGEVNVSSVLSACAGGGLSFGRGVHGSVVKVGMESLVYVNNSLIDMYGKCGCFQYAVEVFDRMRERDVVTWNVLMMGLVHSDRMEEACKYFWAMRRDGIVPDEASFSAALHACANMAAWCYGAAVHNQIIKAGFESNQCVASSLITMYAKCGCLIDAHRVFEESREYVNVVSWTAIIAAFQQHGQACSHNGLIEQGFKYFRFNVQSSWDGTRK >DRNTG_28444.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3407839:3461013:1 gene:DRNTG_28444 transcript:DRNTG_28444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLERSGARFVWCVRDTSAVPDEEFEMRVRGRGVVIRGWAPQVELLSHRAVGSFLTHCGWNSVLEAVASGVVMLTWPFGADQFVGARLMSEAAGPIL >DRNTG_28444.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3407839:3409993:1 gene:DRNTG_28444 transcript:DRNTG_28444.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLERSGARFVWCVRDTSAVPDEEFEMRVRGRGVVIRGWAPQVELLSHRAVGSFLTHCGWNSVLEAVASGVVMLTWPFGADQFVGARLMSEAGVAVRVCEGSDSVPDPDELGRIFG >DRNTG_06166.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25323336:25325203:1 gene:DRNTG_06166 transcript:DRNTG_06166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLTNSCSITTYMHPFIITRVPEIHHPLRLTSKTKTLSSQTSRGSIRCNGMREQKAGPMDYYELLGVSLDSTAQEIKEAYRKLQKKHHPDIAGQKGHEYTLLLNEAYHVLIREEQQGRRCMVSNNKRRGGFASDFSGSGYSSWNGPLRSQALFVDENKCIGCRECVHCANKTFVMDETGGSARVKVQFGDDDKNIKVSVDACPVNCIHWVDSGELPLLEFLIRPQPKESYGVYGGGWERPGDVFSAAKRLKKHLEREENQCTHGHSYYEEGDDAAEEETPAQVKARQEASMKLRLEKYFGIWGWLGEVFISK >DRNTG_06166.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25323336:25325203:1 gene:DRNTG_06166 transcript:DRNTG_06166.3 gene_biotype:protein_coding transcript_biotype:protein_coding MREQKAGPMDYYELLGVSLDSTAQEIKEAYRKLQKKHHPDIAGQKGHEYTLLLNEAYHVLIREEQQGRRCMVSNNKRRGGFASDFSGSGYSSWNGPLRSQALFVDENKCIGCRECVHCANKTFVMDETGGSARVKVQFGDDDKNIKVSVDACPVNCIHWVDSGELPLLEFLIRPQPKESYGVYGGGWERPGDVFSAAKRLKKHLEREENQCTHGHSYYEEGDDAAEEETPAQVKARQEASMKLRLEKYFGIWGWLGEVFISK >DRNTG_06166.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25323336:25325203:1 gene:DRNTG_06166 transcript:DRNTG_06166.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLTNSCSITTYMHPFIITRVPEIHHPLRLTSKTKTLQTSRGSIRCNGMREQKAGPMDYYELLGVSLDSTAQEIKEAYRKLQKKHHPDIAGQKGHEYTLLLNEAYHVLIREEQQGRRCMVSNNKRRGGFASDFSGSGYSSWNGPLRSQALFVDENKCIGCRECVHCANKTFVMDETGGSARVKVQFGDDDKNIKVSVDACPVNCIHWVDSGELPLLEFLIRPQPKESYGVYGGGWERPGDVFSAAKRLKKHLEREENQCTHGHSYYEEGDDAAEEETPAQVKARQEASMKLRLEKYFGIWGWLGEVFISK >DRNTG_00442.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:8172755:8183595:1 gene:DRNTG_00442 transcript:DRNTG_00442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHGRVEFPHAHGILLRAHPEKAQGRAAAPVNVHATVTRFWNFCGVFRPVLHFLIPSRFRPMSKKQADKRPRESSPELEGMSFTIPEHQGDEFPDEVDDLVSAGMTRSPCLYPASERDCRSNNPGESSTRHPKIPSSCIGYSSIKAPLPTFFLMESRCRSRRTSPKHWPIPLETLVEVLSQVGENMEKRIPKLK >DRNTG_31036.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30534724:30536933:-1 gene:DRNTG_31036 transcript:DRNTG_31036.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAISHRTVEINGIAMHIVEKGMGGLVVLMLHGFPELWYSWRHQIIALADKGYHAVAPDLRGYGDTDSPSDISSYSIFHLVGDIVELINALGQEQVFVVGHDWGALLAWQLCLFRPDKVKALVNLSVAYMPRNPAIKPVDYYRSIYGDNYYISRFQEPGVIEAEFDRVSTKQVIKKFYTFRDPAGIFIPKEGWISPNDEFILPNWLSEEDVNYISKKFEKSGWSGGVNYYRCLNSNWELTEPWTGAQIKVPTKFIVGDLDLTYHYPGIQDYIHKGGFKHDVPLLEEVVVMKGVAHFIQQEKAHEITQHILDFIKKF >DRNTG_31036.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30534698:30541404:-1 gene:DRNTG_31036 transcript:DRNTG_31036.2 gene_biotype:protein_coding transcript_biotype:protein_coding CSNWELTEPWTGAQIKVPTKFIVGDLDLTYHYPGIQDYIHKGGFKHDVPLLEEVVVMKGVAHFIQQEKAHEITQHILDFIKKF >DRNTG_31036.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30534724:30542960:-1 gene:DRNTG_31036 transcript:DRNTG_31036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGISHRTMEVNGIAMHIAEKGMGGPIVLMLHGFPELWYSWRHQINGLADKGYHAVAPDLRGYGDTDSPSAISSYSIFHLVGDIIALIAILGQAQVFVVGHDWGALVAWHLCLFRPDKVKALVNLSVAYMPRNPAIKPVDYYRSIYGDDYFVCRFQEPGVIEAEFGRVSTKRVIKKFYTLRDPAGIFIPKEGWISPNDEFSLPNWISEEDIDYFGNKFEKSGWSGGLNYYRCLNSNWELTEPWTGAQIKVPTKFIVGDLDLTYHYPGIQDYIHKGGFKHDVPLLEEVVVMKGVAHFIQQEKAHEITQHILDFIKKF >DRNTG_31036.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30534724:30536933:-1 gene:DRNTG_31036 transcript:DRNTG_31036.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAISHRTVEINGIAMHIVEKGMGGLVVLMLHGFPELWYSWRHQIIALADKGYHAVAPDLRGYGDTDSPSDISSYSIFHLVGDIVELINALGQEQVFVVGHDWGALLAWQLCLFRPDKVKALVNLSVAYMPRNPAIKPVDYYRSIYGDNYYISRFQEPGVIEAEFDRVSTKQVIKKFYTFRDPAGIFIPKEGWISPNDEFILPNWLSEEDVNYISKKFEKSGWSGGVNYYRCLNSNWELTEPWTGAQIKVPTKFIVGDLDLTYHYPGIQDYIHKGGFKHDVPLLEEVVVMKGVAHFIQQEKAHEITQHILDFIKKF >DRNTG_31036.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30540819:30542960:-1 gene:DRNTG_31036 transcript:DRNTG_31036.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGISHRTMEVNGIAMHIAEKGMGGPIVLMLHGFPELWYSWRHQINGLADKGYHAVAPDLRGYGDTDSPSAISSYSIFHLVGDIIALIAILGQAQVFVVGHDWGALVAWHLCLFRPDKVKALVNLSVAYMPRNPAIKPVDYYRSIYGDDYFVCRFQEPGVIEAEFGRVSTKRVIKKFYTLRDPAGIFIPKEGWISPNDEFSLPNWISEEDIDYFGNKFEKSGWSGGLNYYRCLNSNWELTEPWTGAQIKVPTKFIVGDLDLTYHYPGIQDYIHKGGFKHDVPLLEEVVVMKGVAHFIQQEKAHEITQHILDFIKKF >DRNTG_35009.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24149342:24150214:1 gene:DRNTG_35009 transcript:DRNTG_35009.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPASAVEYQLLKGQLAKEQSRAETLSAEVMKLTAELRRSVQSYNNLARLYRPVFRNIENELMKMKQESFVSIQL >DRNTG_35009.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24149116:24150214:1 gene:DRNTG_35009 transcript:DRNTG_35009.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPASAVEYQLLKGQLAKEQSRAETLSAEVMKLTAELRRSVQSYNNLARLYRPVFRNIENELMKMKQESFVSIQL >DRNTG_35009.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24149116:24150214:1 gene:DRNTG_35009 transcript:DRNTG_35009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPASAVEYQLLKGQLAKEQSRAETLSAEVMKLTAELRRSVQSYNNLARLYRPVFRNIENELMKMKQESFVSIQL >DRNTG_35162.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28824406:28829747:-1 gene:DRNTG_35162 transcript:DRNTG_35162.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSIRNHRKITSRWARPTPYPLPSHHGASGNRTDLKNSPLGLEKKDWQDATCSVCMEFPHNAVLLLCSSHDKGCRPYMCGTSYRHSNCLEMFKKAYTKAMPSNETNAENPSLSLMTAGWSTCKKSEGIELACPLCRGQVKGWTVVEPAREYLNKKRRSCMHDDCSFVGTYKQLQKHVRAEHPRAKPRKVDPILEQKWRMMEHQREREDIISTIRASNPRSVVLGDYVIDFDGGSDTDGEDLGEGERNYGARGGFNTNYFYFLLQEGAGSLLSRFTRDDETFDSLEDGGGTVLSIDDDAPAVDAAAGNLLEGDDEDDDQGRQAAMSVNLLRSGRRRRRRSRGRLSSGVS >DRNTG_35162.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28824343:28829699:-1 gene:DRNTG_35162 transcript:DRNTG_35162.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSIRNHRKITSRWARPTPYPLPSHHGASGNRTDLKNSPLGLEKKDWQDATCSVCMEFPHNAVLLLCSSHDKGCRPYMCGTSYRHSNCLEMFKKAYTKAMPSNETNAENPSLSLMTAGWSTCKKSEGIELACPLCRGQVKGWTVVEPAREYLNKKRRSCMHDDCSFVGTYKQLQKHVRAEHPRAKPRKVDPILEQKWRMMEHQREREDIISTIRASNPRSVVLGDYVIDFDGGSDTDGEDLGEGERNYGARGGFNTNYFYFLLQEGAGSLLSRFTRDDETFDSLEDGGGTVLSIDDDAPAVDAAAGNLLEGDDEDDDQGRQAAMSVNLLRSGRRRRRRSRGRLSSGVS >DRNTG_35162.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28824528:28829699:-1 gene:DRNTG_35162 transcript:DRNTG_35162.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSIRNHRKITSRWARPTPYPLPSHHGASGNRTDLKNSPLGLEKKDWQDATCSVCMEFPHNAVLLLCSSHDKGCRPYMCGTSYRHSNCLEMFKKAYTKAMPSNETNAENPSLSLMTAGWSTCKKSEGIELACPLCRGQVKGWTVVEPAREYLNKKRRSCMHDDCSFVGTYKQLQKHVRAEHPRAKPRKVDPILEQKWRMMEHQREREDIISTIRASNPRSVVLGDYVIDFDGGSDTDGEDLGEGERNYGARGGFNTNYFYFLLQEGAGSLLSRFTRDDETFDSLEDGGGTVLSIDDDAPAVDAAAGNLLEGDDEDDDQGRQAAMSVNLLRSGRRRRRRSRGRLSSGVS >DRNTG_35162.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28824343:28829699:-1 gene:DRNTG_35162 transcript:DRNTG_35162.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSIRNHRKITSRWARPTPYPLPSHHGASGNRTDLKNSPLGLEKKDWQDATCSVCMEFPHNAVLLLCSSHDKGCRPYMCGTSYRHSNCLEMFKKAYTKAMPSNETNAENPSLSLMTAGWSTCKKSEGIELACPLCRGQVKGWTVVEPAREYLNKKRRSCMHDDCSFVGTYKQLQKHVRAEHPRAKPRKVDPILEQKWRMMEHQREREDIISTIRASNPRSVVLGDYVIDFDGGSDTDGEDLGEGERNYGARGGFNTNYFYFLLQEGAGSLLSRFTRDDETFDSLEDGGGTVLSIDDDAPAVDAAAGNLLEGDDEDDDQGRQAAMSVNLLRSGRRRRRRSRGRLSSGVS >DRNTG_35162.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28824264:28829699:-1 gene:DRNTG_35162 transcript:DRNTG_35162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSIRNHRKITSRWARPTPYPLPSHHGASGNRTDLKNSPLGLEKKDWQDATCSVCMEFPHNAVLLLCSSHDKGCRPYMCGTSYRHSNCLEMFKKAYTKAMPSNETNAENPSLSLMTAGWSTCKKSEGIELACPLCRGQVKGWTVVEPAREYLNKKRRSCMHDDCSFVGTYKQLQKHVRAEHPRAKPRKVDPILEQKWRMMEHQREREDIISTIRASNPRSVVLGDYVIDFDGGSDTDGEDLGEGERNYGARGGFNTNYFYFLLQEGAGSLLSRFTRDDETFDSLEDGGGTVLSIDDDAPAVDAAAGNLLEGDDEDDDQGRQAAMSVNLLRSGRRRRRRSRGRLSSGVS >DRNTG_35162.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28824406:28829699:-1 gene:DRNTG_35162 transcript:DRNTG_35162.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSIRNHRKITSRWARPTPYPLPSHHGASGNRTDLKNSPLGLEKKDWQDATCSVCMEFPHNAVLLLCSSHDKGCRPYMCGTSYRHSNCLEMFKKAYTKAMPSNETNAENPSLSLMTAGWSTCKKSEGIELACPLCRGQVKGWTVVEPAREYLNKKRRSCMHDDCSFVGTYKQLQKHVRAEHPRAKPRKVDPILEQKWRMMEHQREREDIISTIRASNPRSVVLGDYVIDFDGGSDTDGEDLGEGERNYGARGGFNTNYFYFLLQEGAGSLLSRFTRDDETFDSLEDGGGTVLSIDDDAPAVDAAAGNLLEGDDEDDDQGRQAAMSVNLLRSGRRRRRRSRGRLSSGVS >DRNTG_07012.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3224313:3224780:-1 gene:DRNTG_07012 transcript:DRNTG_07012.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNKDGRISMKELHHALKELGHSFGWLKTFGAFWFSDTNWNGAIDTDSEIDKLITYAQHMWGIKVTN >DRNTG_07012.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3224313:3225598:-1 gene:DRNTG_07012 transcript:DRNTG_07012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNKDGRISMKELHHALKELGHSFGWLKTFGAFWFSDTNWNGAIDTDSEIDKLITYAQHMWGIKVTN >DRNTG_33593.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:489267:492601:1 gene:DRNTG_33593 transcript:DRNTG_33593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFASGCSTTSFVGASVRPFLHKGSGDTLRPRSLVANAFQNRTVVRGPRSELEITHSQDTVPSRASSVSVLEQLKISSADRYMKERSSIAVIGLSVHTAPVEMREKLAVPEAQWPRAIGELCSLNHIEEAAVLSTCNRMEIYVVALSWHRGIREVTEWMSKTSGIPVLELRQHLFLLRDSDATRHLFQVSAGLDSLVLGEGQILAQVKQVVKVGQGGRGLGKNIDRLFKDAITAGKRVRTETNIASGAVSVSSAAVELALMKIPNSQSLAARMLVIGAGKMGKLVIKHLAAKGCKKVVVVNRSVERVDAIREELKEIEIIYRPFTEMLSAAAEADVVFTSTASETLLFMKEDVETLPQVSKLVGGLRLFLDISVPRNVGSCVSGVESAQVYNVDDLKEVVEANKEDRLRKAMEAESIITEELKRFEAWRDSLETVPTIKKLRSYADRIRAAELEKCFQKIGDDALTKKIRRAVDDLSNGIVNKLLHGPLQHLRCDGSDSRTLDETLENMHALNRMFSLDTEKSIIEQKIKAKVEKAQN >DRNTG_09378.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15312955:15317966:-1 gene:DRNTG_09378 transcript:DRNTG_09378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKSGAVSDAGVWAMNIISSVGIIMANKQVMSPAGYAFSFATFLTGLHFLVTSLVGYVPKALGISSSSQNVPLQDLIFFSIVANVSITAMNLSLMLNTVGFYQIAKLSIAPVVCVMEMIFRDKHFSREVKMSVVIVVLGVGICTVTDVRVNAQGFICACVAVAATAWQQIRIEYFQEKYSISSFELLSKTAPIQAISLLIFGPFSDYYLNHANLLNYKASVGAVLFILLSCTLAVFCNVSQYMCIGRFSAVSFQVLGHMKTVCVLILGWVLFDSQLTFKNILGMAMAVIGMVLYSWARHLEKQVKESVTKTSMTEEEIRLLMESMESGPLKDVELAQPKVQP >DRNTG_09378.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15312955:15317966:-1 gene:DRNTG_09378 transcript:DRNTG_09378.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIFRDKHFSREVKMSVVIVVLGVGICTVTDVRVNAQGFICACVAVAATAWQQIRIEYFQEKYSISSFELLSKTAPIQAISLLIFGPFSDYYLNHANLLNYKASVGAVLFILLSCTLAVFCNVSQYMCIGRFSAVSFQVLGHMKTVCVLILGWVLFDSQLTFKNILGMAMAVIGMVLYSWARHLEKQVKESVTKTSMTEEEIRLLMESMESGPLKDVELAQPKVQP >DRNTG_33906.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15178899:15179261:1 gene:DRNTG_33906 transcript:DRNTG_33906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHGCPCWEFSSLGYCSTGRFLGIWLARGGVFGRVLQADFYQSLRGKITCFGEGKSKGEARIWLDLHRSLVWGVCRASEGSRPHCGVRGSLST >DRNTG_03886.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3914349:3915167:-1 gene:DRNTG_03886 transcript:DRNTG_03886.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDILALLVSWVVFNLGFQRLACRLGGVMSAVRWIVGAIGKTVKCAMIYNNKEIALNCGNMLRECIKYPTLAK >DRNTG_03886.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3901601:3915167:-1 gene:DRNTG_03886 transcript:DRNTG_03886.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDILALLVSWVVFNLGFQRLACRLGGVMSAVRWIVGAIGKTVKCAMIYNNKEIALNCGNMLRECIKYPTLAKTVGRKL >DRNTG_03886.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3891146:3915167:-1 gene:DRNTG_03886 transcript:DRNTG_03886.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDILALLVSWVVFNLGFQRLACRLGGVMSAVRWIVGAIGKTVKCAMIYNNKEIALNCGNMLRECIKYPTLAKYILESTSFELFFTYVELPNFDIASDALATFK >DRNTG_03886.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3890750:3915167:-1 gene:DRNTG_03886 transcript:DRNTG_03886.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILALLVSWVVFNLGFQRLACRLGGVMSAVRWIVGAIGKTVKCAMIYNNKEIALNCGNMLRECIKYPTLAKYILESTSFELFFTYVELPNFDIASDALATFKDLLTRHENAVSQFLSSNFEQFFDRYEKLLTSANYVTRRQSLK >DRNTG_03886.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3911432:3915167:-1 gene:DRNTG_03886 transcript:DRNTG_03886.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDILALLVSWVVFNLGFQRLACRLGGVMSAVRWIVGAIGKTVKCAMIYNNKEIALNCGNMLRECIKYPTLAKIKEKKMQKTYYK >DRNTG_01317.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:6973:8406:1 gene:DRNTG_01317 transcript:DRNTG_01317.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIWSWLTNLPFSTDWPPSEPSLTLTLANSSTKSILLKADRTAGSNTETLVTFSIILHGFHPSNPNKTLWLSNPCPLSAPIPPLLLQLLKEVIFLSPSPPLPSPPNLHHQTISSALSIPNEAPAFLNLILLCRLFWLCARDAPAEAGFLLFQNLDALTLDAALACKQAAGAFLSALGPDWELRFMRSLGYVLAKWLVLLELQRGGAPPLPRHLRGLSYAADSHGLLVLKAYVPVSAMQRLGSAVHAEDSAIRYTLAHQQLEAVVQLEYAICTRDPRFIRIEVRVDNIRVHVVRLGYKEDDDVEIEARHVPTRVRVLVGPELGLGYVTGPSLGRSTSNEEREIQSTRTAKGRVGKGGPELRVKARTCERERVRRWKWEQEADGCAGVYEGVACDRDDVACIGGSRKGLVVGRDEVAEGVTWRVGREMEGRVMTWRVGLKICVSYFGGKRNNHKGVCFESRFGEWMEEVELALVPTVN >DRNTG_30597.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8189207:8190281:1 gene:DRNTG_30597 transcript:DRNTG_30597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRCRSRRTSPKPWPKPSKPFGEKMEKRILKSGLKSALNRARIGRLCLR >DRNTG_18102.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:375777:380635:1 gene:DRNTG_18102 transcript:DRNTG_18102.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSMVPSSGFKNTGGSSVGVDRLPGEMNDMRIRDDKEVVATVIDGNGTEAGHVIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLENGETVAIKKVLQDKRYKNRELQTMRLLDHPNVLSLKHCFFSTTEKDELYLNLVLEYVPETVHRVVKQYNKMNQRMPLIHVKLYSYQIFRALAYIHGSIGVCHRDIKPQNLLVNPHTHQLKICDFGSSKVLVKGEPNISYICSRYYRGPELIFGATEYTTTIDIWSAGCVLAELMIGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTDFKLPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCSAMEALIHPFFDDLRDPNTRLPNGRPLPPLFDFKPQELKGVPLEILAKLIPEHARRQCSFLGL >DRNTG_11056.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20036817:20041469:-1 gene:DRNTG_11056 transcript:DRNTG_11056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRASPLKAAQIAIDSIGLGYDISADLRLKYCKKECADPCLIELDHDQVQDLVLPGGISVANVPKSIKCDKGERMRFRSDVLSFQQMSEQFNQELSLSGKIPSGLFNHMFEFSGSWQKDAANTKSLAFDGWFITLYTIALAKSQIVLRGHVKQAVPSAWDPAALARFIERFGTHVIVGIKMGGKDVIYIRQQHSSNLQPVEVQKRLKEMADRRFLDASGQYGVNSEEAHGNDKFDAREQRLRFVESSPASSCTTKEDIRRIFKRRGGNDNKDLLHHEWLNTVQLEPDVISMSFVPITSLLNGVPGSGFLSHAINLYLRYKPPIEELHLFLEFQLPRQWAPVFSDLPLGPQRKQHSSASLQFSPMGPKLFVNTAVVDVGKRPVTGLRLYLEGRKSNKLAIHLQHLSTLPRVFQLCDDPSSSYSRESYDRKYYEPIQWRHFSHICTAPVESDEDLSIVTGAQLHVSHHGLKKILFLRLHFSSVSNAALVKNPEWDGSPGLARKSGLISTLISTHFTAAIQKPPPRPADVNINSAVYPGGPPVPVQAPKLLKFIDTTEMVRGPQDLPGYWVVSGAKLHLDRGKISLRVKYSLLTVLLPDDEL >DRNTG_23977.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30889035:30891653:-1 gene:DRNTG_23977 transcript:DRNTG_23977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIKMREIAEAYLGSSVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSAGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEDHKKKVESKNTLENYAYNMRNTINDDKIASKIPAADKKKIEDAIEQAIQWLDGNQLAEADEFEDKMKELESICNPIIAKMYQGAGADMAGGMDEDGPSAGASGAGPKIEEVD >DRNTG_05590.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11158137:11162003:-1 gene:DRNTG_05590 transcript:DRNTG_05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHGRVENTHKCAPNPQGLPHAPVASRGTYVPRVFSGWRELFCTDPHGH >DRNTG_25037.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8759094:8763860:-1 gene:DRNTG_25037 transcript:DRNTG_25037.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWELILWLFSFVVVISLVALTAYQLICLSDLEFDYINPYDTSSRINAVVIPEFVVQGTLCTIFLLTWHWFPFLMMAPVTYYHFKLFTSGRHLIDVTEVFRQLNGEKKYRMIKLGFYFCLFLIVIYRLVMTAIVVLIDEDDHPLDSGSF >DRNTG_32836.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:38005:40324:-1 gene:DRNTG_32836 transcript:DRNTG_32836.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRVNDDVDLRPMRKKTKKTKKTKKDEKTPQSQPDRRGLAGVETSPALGSQGLKIRRRMRGKMGREKNVKK >DRNTG_17390.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000893.1:37919:39559:-1 gene:DRNTG_17390 transcript:DRNTG_17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRKRVPGVQYFLEHHYGFFYILTNAPSENITFTAEGCHLVRCRAEKSSLSTWQDIVLPGPDVTFQAMDMFHGHLVLSLEKEGLPMLCSINMPINVDNEVPLIISLFFCSRYTNVSTKV >DRNTG_09189.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7579328:7584088:-1 gene:DRNTG_09189 transcript:DRNTG_09189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRRHWDILRRTPFSHLMDIEPIVQERSVLDALMQIKGVDRNKDCLVRSLMNMVVRRESKKEESFVKLLLVYILGFLLFPTTSCSSPAWLPFYVDNLSTIGQYAWAQAIHKWMMDDVPLAAARVKERCAGKQSRIGYVRGCTMALIIWFYEVTGNGKKIHFGRTPRILCYGVGSYKKQAAASALIDSLEGKKFVPLMADRESEIELLRYGKVQRNNSLMVLETSDAMKAPKYVRTRRRKLDGKMKGEPSQTKGRKMSSTGMRSNHPMMIRLNPRPKKFKKVASKPRVSKPPLAGT >DRNTG_14260.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:991266:993748:1 gene:DRNTG_14260 transcript:DRNTG_14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDIDHHRTKKKIIMEKALPEITYKEVIALETSHVSQGCCTVCLDEFEKMDKVRWLTGCRHVFHLRCIDRWIDSGHLTCPICRAPLFSGEVEEALKEGVTEANLEYYFVVSNSSLNSGYSIQVPSFYDS >DRNTG_14260.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:992904:993748:1 gene:DRNTG_14260 transcript:DRNTG_14260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDIDHHRTKKKIIMEKALPEITYKEVIALETSHVSQGCCTVCLDEFEKMDKVRWLTGCRHVFHLRCIDRWIDSGHLTCPICRAPLFSGEVEEALKEGVTEANLEYYFVVSNSSLNSGYSIQVPSFYDS >DRNTG_03563.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5291867:5295096:-1 gene:DRNTG_03563 transcript:DRNTG_03563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHIGGKKKASKVMKIDGTTLRFPPPAQANDVLRLHPSYSLLDSDEVRLLGIRARPLHPDHPLKPGKVYFLVELPRLPEEHAPRRAWSGALHVTAKDRLENLMLSRRAISDLTPGKPSMEIMAEGSVRVKLRLPKSQVAELVQRSASPADAAEKIMELYVAGNEASPSSLPAHRTPKRTRFLPMPEEIIT >DRNTG_03563.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5291823:5295023:-1 gene:DRNTG_03563 transcript:DRNTG_03563.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHIGGKKKASKVMKIDGTTLRFPPPAQANDVLRLHPSYSLLDSDEVRLLGIRARPLHPDHPLKPGKVYFLVELPRLPEEHAPRRAWSGALHVTAKDRLENLMLSRRAISDLTPGKPSMEIMAEGSVRVKLRLPKSQVAELVQRSASPADAAEKIMELYVAGNEASPSSLPAHRTPKRTRFLPMPEEIIT >DRNTG_03563.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5291917:5295023:-1 gene:DRNTG_03563 transcript:DRNTG_03563.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHIGGKKKASKVMKIDGTTLRFPPPAQANDVLRLHPSYSLLDSDEVRLLGIRARPLHPDHPLKPGKVYFLVELPRLPEEHAPRRAWSGALHVTAKDRLENLMLSRRAISDLTPGKPSMEIMAEGSVRVKLRLPKSQVAELVQRSASPADAAEKIMELYVAGNEASPSSLPAHRTPKRTRFLPMPEEIIT >DRNTG_03563.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5291917:5295096:-1 gene:DRNTG_03563 transcript:DRNTG_03563.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHIGGKKKASKVMKIDGTTLRFPPPAQANDVLRLHPSYSLLDSDEVRLLGIRARPLHPDHPLKPGKVYFLVELPRLPEEHAPRRAWSGALHVTAKDRLENLMLSRRAISDLTPGKPSMEIMAEGSVRVKLRLPKSQVAELVQRSASPADAAEKIMELYVAGNEASPSSLPAHRTPKRTRFLPMPEEIIT >DRNTG_03563.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5291867:5295023:-1 gene:DRNTG_03563 transcript:DRNTG_03563.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHIGGKKKASKVMKIDGTTLRFPPPAQANDVLRLHPSYSLLDSDEVRLLGIRARPLHPDHPLKPGKVYFLVELPRLPEEHAPRRAWSGALHVTAKDRLENLMLSRRAISDLTPGKPSMEIMAEGSVRVKLRLPKSQVAELVQRSASPADAAEKIMELYVAGNEASPSSLPAHRTPKRTRFLPMPEEIIT >DRNTG_18752.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1274745:1277013:-1 gene:DRNTG_18752 transcript:DRNTG_18752.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNVIDHLSDWPKPLTDFVGFGYKDGLKSNPMDVKCSQLYGCGKISMYEGVLTLEKPLVNETPLSEPMLKTSSLLYWNDEEGDEEGNRDTTG >DRNTG_24199.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22474118:22477212:-1 gene:DRNTG_24199 transcript:DRNTG_24199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNLLHLFIISIALILITKRYLQIHFSKPLALPILGHLHLLQSPIHQSLTKLSQNHGHVLHLLFGSRPVLLISSPSATKQCFTNNDTIFANRPLMLAGKHFGYNHTAVVFSPYNQRWRELRRFMALHALSPSRFPSFSSDLHSLILKLYSGAGEGCKYFKKVEVRDILFELMMNVISGLIAEKKYNGEGCGVPEEGRKFRKVVEEAFLLSGASTMADFIPVVRWMGIGGAEKRMKKVGKELDEFYQKIIEDRRRVGRWKEYADGGDQEKKSNIIDVMLAMQEKDKDNYSDVAIKGVMTSMLAAGTETTAGTMEWTMALLLNHPNALKKAKAEIKEQVGHNHLINDSDISKLHYLNNVIKETLRLFPAGPLLVPHESSEDCTVSGVHIPKGTMLLVNIYAMQRGNQLWDNPLEFKPERFDSDELVHGDEGYKYIPFGIGRRRCPGESLAWKVMLLTLGALIQCFEWERVGKELVDLSEGTGITIPMAKPLQAMYKPCIDMHAVLV >DRNTG_24043.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26590248:26594187:1 gene:DRNTG_24043 transcript:DRNTG_24043.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDLVSRLSCKRVLEFDSSPNAAADLISCHVKTVEERDETKGRSDDNSIMKSFKEVTPEEEQTIGGNLHAGLTYVHSQEPESQSQANALDVVDNFLLINEIGLSQDVNTENAHKVQLTPISCVKGTRSLAQKVDLRSPVGKRGIFEWVDSLEDDGGGDFFRRKKDLFFKKKDKRKKSQSVPAKARRLCLGTTEDAMHRVVENKDSIPRNNLETRIFASDSKLILNDSLINDKALVSIKVKKNLDKYLDELSSPKHLEQQLEATGGGEDAIMNAVGPDTQMAAEAMEALGQNLSIIGSKDDALPLFGIAEKSSHDTVIEVPPKRVLRQRRVSENVGVGSECKRVPLKRHSKVSRVVHNLSPEGQSNTGSLANGNESSASLNVENRVLDRDASRALKIIDNPVVNGPGSMIRDLTSHCNRLDVNKLREEDSNIRSPAKDVFHCPKRRRTCHSISGSGALKDNPCLKNQSALVIDMLATIVNPPTGQRKKIFIKSVCGIRDLAIQKRRAAFMHKKSYFDTTPPNTEKSSRVSGVKTRSSMNSSLFKHHPEKKVTGRQREAIFNEAGLADAVHDDSIVATSDRANNTEATLGMQDEVSICHTKDGGECSKSAHTPKGKVSLSALNCTSPLKDADAVSPVCMAQDPSRISSKKGFSMLSLTRELTRLDATSSTPSQISKHSRRRKDMASVHVLFSHHLDGDIIKQQKKILARLGAHLASSMSDATHFVTDKFVRTRNMLEAIALGKPVVTYMWLESCGQASCFIDEKNYILRDFKKEKEIGFIMPVSLARSCQSPLLQGKRVFITPNVKPSREVVASLVKAAQGLPIERIGRNTIKDDKLLDDLLVLSCEEDYPTCIPLLEKGMEVFSSELVLNGIVIQKLEYERLVVLTNSSDQTELLFLESKRTRSTVWLRSGSQFIPVTKCS >DRNTG_24043.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26589016:26594187:1 gene:DRNTG_24043 transcript:DRNTG_24043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPADFEETQPLDSPRSVDGGRLMDRHLFGETQALDDPDFDDLGICDWGKTQLIDDGDQDDIGTERTVVQSDSEGLLDDGTSHCGGETKLGVDGMISEKKEPPVDSDASTDDEGCKGTHISSSLLLNGGSCKSNGPVRRNFTSVRAASLRASALAARIAAPKTTGSNPNSSCSDSESSQMQEDLVSRLSCKRVLEFDSSPNAAADLISCHVKTVEERDETKGRSDDNSIMKSFKEVTPEEEQTIGGNLHAGLTYVHSQEPESQSQANALDVVDNFLLINEIGLSQDVNTENAHKVQLTPISCVKGTRSLAQKVDLRSPVGKRGIFEWVDSLEDDGGGDFFRRKKDLFFKKKDKRKKSQSVPAKARRLCLGTTEDAMHRVVENKDSIPRNNLETRIFASDSKLILNDSLINDKALVSIKVKKNLDKYLDELSSPKHLEQQLEATGGGEDAIMNAVGPDTQMAAEAMEALGQNLSIIGSKDDALPLFGIAEKSSHDTVIEVPPKRVLRQRRVSENVGVGSECKRVPLKRHSKVSRVVHNLSPEGQSNTGSLANGNESSASLNVENRVLDRDASRALKIIDNPVVNGPGSMIRDLTSHCNRLDVNKLREEDSNIRSPAKDVFHCPKRRRTCHSISGSGALKDNPCLKNQSALVIDMLATIVNPPTGQRKKIFIKSVCGIRDLAIQKRRAAFMHKKSYFDTTPPNTEKSSRVSGVKTRSSMNSSLFKHHPEKKVTGRQREAIFNEAGLADAVHDDSIVATSDRANNTEATLGMQDEVSICHTKDGGECSKSAHTPKGKVSLSALNCTSPLKDADAVSPVCMAQDPSRISSKKGFSMLSLTRELTRLDATSSTPSQISKHSRRRKDMASVHVLFSHHLDGDIIKQQKKILARLGAHLASSMSDATHFVTDKFVRTRNMLEAIALGKPVVTYMWLESCGQASCFIDEKNYILRDFKKEKEIGFIMPVSLARSCQSPLLQGKRVFITPNVKPSREVVASLVKAAQGLPIERIGRNTIKDDKLLDDLLVLSCEEDYPTCIPLLEKGMEVFSSELVLNGIVIQKLEYERLVVLTNSSDQTELLFLESKRTRSTVWLRSGSQFIPVTKCS >DRNTG_34907.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002202.1:32954:34021:-1 gene:DRNTG_34907 transcript:DRNTG_34907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCEKAHTNKGAWTKEEDEKLISHIKVHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFSGEEDELIIKLHSLLGNKWSIIASRLPGRTDNEIKNYWNTHIKRKLLSRGLDPKTHRPLTDITTFRAEYPKEANDDSSDEGNSSTLSTEDDNSSLINLELSISLPEISPTMPMNSSVEKTSALNALCLCYNLGFQRSQACACQYHL >DRNTG_15302.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4685099:4685705:-1 gene:DRNTG_15302 transcript:DRNTG_15302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPERKLLRRLSLVRCVAKRTSGGMGPERELKPRRRLVSEGQWKREGGIGPEKLL >DRNTG_32304.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001817.1:111047:127008:1 gene:DRNTG_32304 transcript:DRNTG_32304.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSRKGVGNTKKNFSVGQRGHRGVHLLLWAHGHGEFPHDHVDVFRDKVCYFENTQRCVSAPVKLSCGVAKAWWRRLLTIRDPAIRMPTLEVLASFEFDRSYSSFDSGIYGTEEYEQLPTIYQGSLTPQCTYRALCGPGQYELRVSKATCLSWPSYRYMNAVLSRIGDLISGPYITRFIIGMGLWDAIRGTEKKIIPAPLEPMETNAPPAAQEPPLLHMFSPSRAYDHFEILENAVGVLQTEITEVRVTQAIQHTEVMSHLNILQQLLERDVASPVIMRPLTPPALPASPSLDPPTSFNLAVVVNALKSKKKAKMGYKDTMLVVLIQFKDQDTRGVHKRGDVCQLPRRFKSIYELEGHKGSHIFMFLLFVEIARPLKDTLMRKSFIAYHMDVCPDMWPQEKSVWTVVCEKYCSKITVHTKFLQPTRRRGKSTRARRARNRRDLRPINSRFALFFLIVCAALEGKIDQFINVHQQHNPYRINYHPNQRSYPNLLWDIDGQQWEAPQQEPQWDEKVEEDISIKNIEHQLGEILDMLAKEKEEFEQARQVSPGHDEAMNNMEEIGQTEYIGADNEKQEVEYHLEILDCVTEDCACEQENFQGDLLVSCSSQVENTLEEANTKVMEQASFFRIDQLLQCKKETLGKEEDVGRRLKPSNDPPMLSLDNSQPKLFPWRPKVVNALKSKKEAKMGYKDTMLGVLIQFKDQDTRGVHKRVDMCQLLKRFKSIYELEGHKGSHIFMFLLFVEIARPLKDTSMKKSFIAYHMDVCPDMWPQEKSVWTAFCEKYCSNFTVTISAAHPAAWKIHTGAGETGSVWREGLCGFGGASSPTLIDSSSVIASRKPPVNLASEWVLQDVEALHQGDQFIYNGLYFYGFNKVFGLFERFLRRDLVGG >DRNTG_23322.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1073895:1077618:-1 gene:DRNTG_23322 transcript:DRNTG_23322.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQFLLFTLLSQMAFGLFRLLAVLGREMVSASIFGAFAQLVLVALGGFLLSRDNISGWWIWGYWSSPLMYATNAIAVNEFLGKRWRHVVDLSRPAETVGVQVLKSRGLFPQKYWYWIGVGALLGHILVFNGLFALALSYLEPLGRGQTQAIVSEDKLEDGVDKTDNTASSVKSDSEVTQTKRVVEDNKNEKRGMVLPFAPHSITFTDIKYAVDMPAEMKAQGVKENRLQLLNGLSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSIAISGYPKKQDTFARISGYCEQNDIHSPQVTVYESLLYSAWLRLDPEIDFATRKMFIEEVLELVELANLRFAMVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARAAAIVMRTVRNTVDTGRAVVCTIHQPSIDIFEAFDELFLLKRGGEEIYVGPLGRNSCHLIKYFEGINGVAKIKDGYNPATWMLEATSIGQEEKLGINFTDIYKKSELYRRNKALVNELSKPTPDSKDLYFPSQYAQSFLMQCVICLWKQHMSYWRNPKYTAMRMFFTTITALLYGTMFWRVGSKMTTRQELFNAMGSMFSTVMFIGVQNCQMVQPIVDIERTVLYREKGAGMYSALPYAFAQVVIEIPYVLLQTVIYCLIVYRMIDFEWTAVKFFWFLFFMFFSFLYFTFYGMMAIALTPNSDIAAVVAAAFYGIWNIFAGFVTPLARIPIWWKWYYWACPVGWSLYGLFVSQFSDRGDVMETGETVKAFLRRYFGFRHDFLGVAAGAVIGFNVIFIFIFAYSIKSINFQKR >DRNTG_23322.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1073895:1079486:-1 gene:DRNTG_23322 transcript:DRNTG_23322.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGPAKALFMDEISTGLDSSTTFQIINSIRQSVHILGGTAVISLLQPAPETYELFDDIILLSDGRIVYQGPRENVIEFFESMGFRCPERKGIADFLQEVTSKKDQQQYWCRDEAYSFISVREFAEAYKSFHVGQSLQEELSTPFDKSKNHPAALTTSKYGVSKMELLKACMWREFLLMKRNAFVYIFKLMQLVTLAFVTMTVYLRTKMPHETVEDGFVYLGVLFIGLLTHFFNGIAELPMSIKKLPIFFKQRDLHLYPAWAYALPAWLLKIPISFVECAVWTAMTYYVVGFDPSTERMLRQFLLFTLLSQMAFGLFRLLAVLGREMVSASIFGAFAQLVLVALGGFLLSRDNISGWWIWGYWSSPLMYATNAIAVNEFLGKRWRHVVDLSRPAETVGVQVLKSRGLFPQKYWYWIGVGALLGHILVFNGLFALALSYLEPLGRGQTQAIVSEDKLEDGVDKTDNTASSVKSDSEVTQTKRVVEDNKNEKRGMVLPFAPHSITFTDIKYAVDMPAEMKAQGVKENRLQLLNGLSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSIAISGYPKKQDTFARISGYCEQNDIHSPQVTVYESLLYSAWLRLDPEIDFATRKMFIEEVLELVELANLRFAMVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARAAAIVMRTVRNTVDTGRAVVCTIHQPSIDIFEAFDELFLLKRGGEEIYVGPLGRNSCHLIKYFEGINGVAKIKDGYNPATWMLEATSIGQEEKLGINFTDIYKKSELYRRNKALVNELSKPTPDSKDLYFPSQYAQSFLMQCVICLWKQHMSYWRNPKYTAMRMFFTTITALLYGTMFWRVGSKMTTRQELFNAMGSMFSTVMFIGVQNCQMVQPIVDIERTVLYREKGAGMYSALPYAFAQVVIEIPYVLLQTVIYCLIVYRMIDFEWTAVKFFWFLFFMFFSFLYFTFYGMMAIALTPNSDIAAVVAAAFYGIWNIFAGFVTPLARIPIWWKWYYWACPVGWSLYGLFVSQFSDRGDVMETGETVKAFLRRYFGFRHDFLGVAAGAVIGFNVIFIFIFAYSIKSINFQKR >DRNTG_23322.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1075486:1076454:-1 gene:DRNTG_23322 transcript:DRNTG_23322.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQGVKENRLQLLNGLSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSIAISGYPKKQDTFARISGYCEQNDIHSPQVTVYESLLYSAWLRLDPEIDFATRKMFIEEVLELVELANLRFAMVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARAAAIVMRTVRNTVDTGRAVVCTIHQPSIDIFEAFDELFLLKRGGEEIYVGPLGRNSCHLIKYFE >DRNTG_23322.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1073895:1074540:-1 gene:DRNTG_23322 transcript:DRNTG_23322.9 gene_biotype:protein_coding transcript_biotype:protein_coding MIDFEWTAVKFFWFLFFMFFSFLYFTFYGMMAIALTPNSDIAAVVAAAFYGIWNIFAGFVTPLARIPIWWKWYYWACPVGWSLYGLFVSQFSDRGDVMETGETVKAFLRRYFGFRHDFLGVAAGAVIGFNVIFIFIFAYSIKSINFQKR >DRNTG_23322.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1075486:1078499:-1 gene:DRNTG_23322 transcript:DRNTG_23322.6 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKACMWREFLLMKRNAFVYIFKLMQLVTLAFVTMTVYLRTKMPHETVEDGFVYLGVLFIGLLTHFFNGIAELPMSIKKLPIFFKQRDLHLYPAWAYALPAWLLKIPISFVECAVWTAMTYYVVGFDPSTERMLRQFLLFTLLSQMAFGLFRLLAVLGREMVSASIFGAFAQLVLVALGGFLLSRDNISGWWIWGYWSSPLMYATNAIAVNEFLGKRWRHVVDLSRPAETVGVQVLKSRGLFPQKYWYWIGVGALLGHILVFNGLFALALSYLEPLGRGQTQAIVSEDKLEDGVDKTDNTASSVKSDSEVTQTKRVVEDNKNEKRGMVLPFAPHSITFTDIKYAVDMPAEMKAQGVKENRLQLLNGLSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSIAISGYPKKQDTFARISGYCEQNDIHSPQVTVYESLLYSAWLRLDPEIDFATRKMFIEEVLELVELANLRFAMVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARAAAIVMRTVRNTVDTGRAVVCTIHQPSIDIFEAFDELFLLKRGGEEIYVGPLGRNSCHLIKYFE >DRNTG_23322.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1073895:1075163:-1 gene:DRNTG_23322 transcript:DRNTG_23322.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQCVICLWKQHMSYWRNPKYTAMRMFFTTITALLYGTMFWRVGSKMTTRQELFNAMGSMFSTVMFIGVQNCQMVQPIVDIERTVLYREKGAGMYSALPYAFAQVVIEIPYVLLQTVIYCLIVYRMIDFEWTAVKFFWFLFFMFFSFLYFTFYGMMAIALTPNSDIAAVVAAAFYGIWNIFAGFVTPLARIPIWWKWYYWACPVGWSLYGLFVSQFSDRGDVMETGETVKAFLRRYFGFRHDFLGVAAGAVIGFNVIFIFIFAYSIKSINFQKR >DRNTG_23322.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1073895:1077314:-1 gene:DRNTG_23322 transcript:DRNTG_23322.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYATNAIAVNEFLGKRWRHVVDLSRPAETVGVQVLKSRGLFPQKYWYWIGVGALLGHILVFNGLFALALSYLEPLGRGQTQAIVSEDKLEDGVDKTDNTASSVKSDSEVTQTKRVVEDNKNEKRGMVLPFAPHSITFTDIKYAVDMPAEMKAQGVKENRLQLLNGLSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSIAISGYPKKQDTFARISGYCEQNDIHSPQVTVYESLLYSAWLRLDPEIDFATRKMFIEEVLELVELANLRFAMVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARAAAIVMRTVRNTVDTGRAVVCTIHQPSIDIFEAFDELFLLKRGGEEIYVGPLGRNSCHLIKYFEGINGVAKIKDGYNPATWMLEATSIGQEEKLGINFTDIYKKSELYRRNKALVNELSKPTPDSKDLYFPSQYAQSFLMQCVICLWKQHMSYWRNPKYTAMRMFFTTITALLYGTMFWRVGSKMTTRQELFNAMGSMFSTVMFIGVQNCQMVQPIVDIERTVLYREKGAGMYSALPYAFAQVVIEIPYVLLQTVIYCLIVYRMIDFEWTAVKFFWFLFFMFFSFLYFTFYGMMAIALTPNSDIAAVVAAAFYGIWNIFAGFVTPLARIPIWWKWYYWACPVGWSLYGLFVSQFSDRGDVMETGETVKAFLRRYFGFRHDFLGVAAGAVIGFNVIFIFIFAYSIKSINFQKR >DRNTG_23322.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1073895:1079405:-1 gene:DRNTG_23322 transcript:DRNTG_23322.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDAMVRGISGGQRKRVTTGEMLVGPAKALFMDEISTGLDSSTTFQIINSIRQSVHILGGTAVISLLQPAPETYELFDDIILLSDGRIVYQGPRENVIEFFESMGFRCPERKGIADFLQEVTSKKDQQQYWCRDEAYSFISVREFAEAYKSFHVGQSLQEELSTPFDKSKNHPAALTTSKYGVSKMELLKACMWREFLLMKRNAFVYIFKLMQLVTLAFVTMTVYLRTKMPHETVEDGFVYLGVLFIGLLTHFFNGIAELPMSIKKLPIFFKQRDLHLYPAWAYALPAWLLKIPISFVECAVWTAMTYYVVGFDPSTERMLRQFLLFTLLSQMAFGLFRLLAVLGREMVSASIFGAFAQLVLVALGGFLLSRDNISGWWIWGYWSSPLMYATNAIAVNEFLGKRWRHVVDLSRPAETVGVQVLKSRGLFPQKYWYWIGVGALLGHILVFNGLFALALSYLEPLGRGQTQAIVSEDKLEDGVDKTDNTASSVKSDSEVTQTKRVVEDNKNEKRGMVLPFAPHSITFTDIKYAVDMPAEMKAQGVKENRLQLLNGLSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSIAISGYPKKQDTFARISGYCEQNDIHSPQVTVYESLLYSAWLRLDPEIDFATRKMFIEEVLELVELANLRFAMVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARAAAIVMRTVRNTVDTGRAVVCTIHQPSIDIFEAFDELFLLKRGGEEIYVGPLGRNSCHLIKYFEGINGVAKIKDGYNPATWMLEATSIGQEEKLGINFTDIYKKSELYRRNKALVNELSKPTPDSKDLYFPSQYAQSFLMQCVICLWKQHMSYWRNPKYTAMRMFFTTITALLYGTMFWRVGSKMTTRQELFNAMGSMFSTVMFIGVQNCQMVQPIVDIERTVLYREKGAGMYSALPYAFAQVVIEIPYVLLQTVIYCLIVYRMIDFEWTAVKFFWFLFFMFFSFLYFTFYGMMAIALTPNSDIAAVVAAAFYGIWNIFAGFVTPLARIPIWWKWYYWACPVGWSLYGLFVSQFSDRGDVMETGETVKAFLRRYFGFRHDFLGVAAGAVIGFNVIFIFIFAYSIKSINFQKR >DRNTG_23322.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1074675:1074849:-1 gene:DRNTG_23322 transcript:DRNTG_23322.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMFSTVMFIGVQNCQMVQPIVDIERTVLYREKGAGMYSALPYAFAQ >DRNTG_23322.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1073895:1078933:-1 gene:DRNTG_23322 transcript:DRNTG_23322.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGPAKALFMDEISTGLDSSTTFQIINSIRQSVHILGGTAVISLLQPAPETYELFDDIILLSDGRIVYQGPRENVIEFFESMGFRCPERKGIADFLQEVTSKKDQQQYWCRDEAYSFISVREFAEAYKSFHVGQSLQEELSTPFDKSKNHPAALTTSKYGVSKMELLKACMWREFLLMKRNAFVYIFKLMQLVTLAFVTMTVYLRTKMPHETVEDGFVYLGVLFIGLLTHFFNGIAELPMSIKKLPIFFKQRDLHLYPAWAYALPAWLLKIPISFVECAVWTAMTYYVVGFDPSTERMLRQFLLFTLLSQMAFGLFRLLAVLGREMVSASIFGAFAQLVLVALGGFLLSRDNISGWWIWGYWSSPLMYATNAIAVNEFLGKRWRHVVDLSRPAETVGVQVLKSRGLFPQKYWYWIGVGALLGHILVFNGLFALALSYLEPLGRGQTQAIVSEDKLEDGVDKTDNTASSVKSDSEVTQTKRVVEDNKNEKRGMVLPFAPHSITFTDIKYAVDMPAEMKAQGVKENRLQLLNGLSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSIAISGYPKKQDTFARISGYCEQNDIHSPQVTVYESLLYSAWLRLDPEIDFATRKMFIEEVLELVELANLRFAMVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARAAAIVMRTVRNTVDTGRAVVCTIHQPSIDIFEAFDELFLLKRGGEEIYVGPLGRNSCHLIKYFEGINGVAKIKDGYNPATWMLEATSIGQEEKLGINFTDIYKKSELYRRNKALVNELSKPTPDSKDLYFPSQYAQSFLMQCVICLWKQHMSYWRNPKYTAMRMFFTTITALLYGTMFWRVGSKMTTRQELFNAMGSMFSTVMFIGVQNCQMVQPIVDIERTVLYREKGAGMYSALPYAFAQVVIEIPYVLLQTVIYCLIVYRMIDFEWTAVKFFWFLFFMFFSFLYFTFYGMMAIALTPNSDIAAVVAAAFYGIWNIFAGFVTPLARIPIWWKWYYWACPVGWSLYGLFVSQFSDRGDVMETGETVKAFLRRYFGFRHDFLGVAAGAVIGFNVIFIFIFAYSIKSINFQKR >DRNTG_29701.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3690412:3691299:1 gene:DRNTG_29701 transcript:DRNTG_29701.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein 23 [Source:Projected from Arabidopsis thaliana (AT4G17800) UniProtKB/Swiss-Prot;Acc:O23620] MAGLDLSTASRYVHQLHRSDLHLQHPNPEEDEESHGPHFTGDHTGGAAANAGADHETSGQGGLLGSGVGFGDIVARRPRGRPPGSKNKPKPPVIITRESANTLRAHILEVGSGCDVFDCVATYARRRQRGVCILSGSGTVTNVSLRQPASAGAIVTLHGRFEILSLSGSFLPPPAPPGATSLTVFLAGGQGQVVGGSVVGALIAAGPVIVIAASFTNVAYERLPLEEEEAPPLQMQAQGEDGGASSGGGGGGSGGGGFPDPSSGLPFFNLPLNMPQMPVDGHGWPGAGSGVRPAF >DRNTG_30122.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31012078:31017126:-1 gene:DRNTG_30122 transcript:DRNTG_30122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQPQFRYTQTPSKVLHLRNLPWECAEEELVELCKPFGRIVNTMCNVGANRNQAFVEFADLNQAISMVSYYASSSEPAQVRGKTVYIQYSNRQEIVNNKSSGDVVGNVLLVTIEGVEAGDVSIDVIHLVFSAFGFVHKIATFEKAAGFQALIQYSDADTALNARNALDGRSIPSYLLPAHISSCHLRISFSAHKDLNIKFQSHRSRDYTNPYLPVNSSAIEGTLQPTVGVDGKKKEPESNVLLASIENMQYAVTVDVLHTVFSAFGYVQKIAIFEKNGGTQALIQYPDITTAAVAKESLEGHCIYDGGYCKLHLSYSRHTDLNVKAYSDRSRDYTIPEAGSFGVPQASGLPTSATGWQVSPHSGPMYSGGAAVAPTGEMQAWDATMQANGHTFAAAPSNMGAQPYLPPSSGPQYTRDPMAAPSALQQMPQYGARPGVGSPSAGQPPVYYS >DRNTG_30122.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31012078:31017126:-1 gene:DRNTG_30122 transcript:DRNTG_30122.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQPQFRYTQTPSKVLHLRNLPWECAEEELVELCKPFGRIVNTMCNVGANRNQAFVEFADLNQAISMVSYYASSSEPAQVRGKTVYIQYSNRQEIVNNKSSGDVVGNVLLVTIEGVEAGDVSIDVIHLVFSAFGFVHKIATFEKAAGFQALIQYSDADTALNARNALDGRSIPSYLLPAHISSCHLRISFSAHKDLNIKFQSHRSRD >DRNTG_04958.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3942014:3942583:1 gene:DRNTG_04958 transcript:DRNTG_04958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKWLKVAVMARKISSPRANDRADSACNTSSVAEKGHFNVYTLEGKRFMIPLAYLNNNVFKKLLKISEEEFGLPGDGPITLTCDAVSMEYVLSMLKRGVSQEVERALLSSIFINTSPSTCSTFSVQNSQQLTICSF >DRNTG_03814.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14699222:14701211:-1 gene:DRNTG_03814 transcript:DRNTG_03814.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor JUNGBRUNNEN 1 [Source:Projected from Arabidopsis thaliana (AT2G43000) UniProtKB/Swiss-Prot;Acc:Q9SK55] MELLVMEKKKKIEEEKKVEKEEQEDVEDVEAEKEKEEEEDVVLPGFRFHPTDEELVGFYLRRKVDNKPISIEIIKQIDIYKYDPWDLPKVSNVGEKEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPIYSPNDIGKCIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPATKNDANNPPSLQEAEIWTICRIFKRNTTYKRYHPQDWSKESPRKRILASDASSKTNSFESDTGEDQFKCFGISYVDHQGHDNKIPTTNYFDQRNHFYTTQWNQVATQTPLTTLHSSTLNPNELIKETNWDELGRMTEFMTDPAFVYDGRYSSVTYNSM >DRNTG_03814.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14699222:14700352:-1 gene:DRNTG_03814 transcript:DRNTG_03814.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor JUNGBRUNNEN 1 [Source:Projected from Arabidopsis thaliana (AT2G43000) UniProtKB/Swiss-Prot;Acc:Q9SK55] MMHEFRLPPATKNDANNPPSLQEAEIWTICRIFKRNTTYKRYHPQDWSKESPRKRILASDASSKTNSFESDTGEDQFKCFGISYVDHQGHDNKIPTTNYFDQRNHFYTTQWNQVATQTPLTTLHSSTLNPNELIKETNWDELGRMTEFMTDPAFVYDGRYSSVTYNSM >DRNTG_33584.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20081319:20082085:-1 gene:DRNTG_33584 transcript:DRNTG_33584.1 gene_biotype:protein_coding transcript_biotype:protein_coding DYVGVPNAYFPLHKGGSVTLYQDAHVPDNLLPKIPLDEGKIFEQQKCWEDICHAILEAHHLIYIVGWSIYHPVKLVREPTKPLPAGGELSLGDLLKYKSQEGVRVLMLIWDDKTSHDKFFLKTDGVMHTHDEEARKFFRRSSVQCVLAPRYASN >DRNTG_12331.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4230693:4234725:-1 gene:DRNTG_12331 transcript:DRNTG_12331.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVSSMVGPEGDGGGHQTGLGDIPESCVAEVMLRLDPPEICALARLGRVFRGAATADFVWEAKLPDNYRYMMKVASEERKDGSWDKMSKKEIFAKLCRPNPFDGGAKEFWLEKWKGQVCMSISSKGLAITGIDDRRYWNHIPSDESRFHSVAYLQQIWWFEVDGNIEFQFPPGTYSLYFRLYLGRPTKRLGLRSCSPEHIHGWDIKPVRFHVSTSDGQQAVSKQFLRGAGTWIHYHAGDFTVQDSSSITKVKFSMTQIDCTHTKGGLCVDCVYIYPKGFRKQLFPVHT >DRNTG_12375.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1825358:1829685:1 gene:DRNTG_12375 transcript:DRNTG_12375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFRTPMQSSANAFSSSQEDTRAAGRPQKPQQAGDRLVGWAHGRG >DRNTG_24612.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:21871676:21873940:-1 gene:DRNTG_24612 transcript:DRNTG_24612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWFNFLLENSPGATVAATAILLKCRRYGTTTTVLQLRYLRVLHGVSVPHVRAPPQESPRGRH >DRNTG_16775.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22216727:22217050:-1 gene:DRNTG_16775 transcript:DRNTG_16775.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRFPRLPLTCLSHAGSRNGWAGETDRGPYQGLHQNRHLR >DRNTG_30253.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:288314:294769:-1 gene:DRNTG_30253 transcript:DRNTG_30253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMINATWVLFALLPLLAFGQGDVYLATVEGEPVVSYSGGIEGFSATAVDPAEEFDITSESITSYSLHLEKQHDALLDSLFEVGTYKKLYSYRHLINGFAIHMSEEQAETLARAPGVKFVEKDMKVRKLTTHTPQFLGLPTGVWPTGGGFDRAGEDIVIGFIDSGIHPKHPSFATYNTEPYGPLPRFRGKCEIDVDTKKDFCNGKIIGAQHFAKAAIAAGAFNPGADFASPVDGDGHGTHTAAIAAGNNGIPVRMHGHEFGKASGMAPRARIAVYKVLYRLFGGYVSDVVAAIEQAVRDGVDILNLSVGPNSPPTNTRTTFLNPFDAALLSAVKAGVFVAQAAGNGGPFPKTLVSFSPWIASVAAAVDDRRYRNHITLGNGKMLTGLGLSPATRGNMSFNLIAANDALVDLSVMKYSPLDCQRPELLNKKMIEGKILLCGYSFNFVSGTASIKKVSETARILGAAGFVVAVENTYPGAKLDPVPVSTPGILITDLTESQDLIDYYNCSTKRDWAGRPLSFQGTGSIADGMAPTLHNSAPQVALFSSRGPGIKDFSFQDADVLKPDILAPGSLIWAAWAPNGTDEANYVGEGFAMMSGTSMAAPHIAGIAALIKQKHPKWSPAAIKSALMTTSTTMDRADKPIQAQQYSGSEIVTLAPATPFDYGSGAVDPKAALDPGLIFDASYGDYIGFLCSVPGVNPQEIRNITGSGCNATHGRPADLNSPSITISHLKGTQTIKRTVTNVADTETYVITSRMPPEIALEASPPAMTILAGGSRDISVSLTVRSVTGGYSFGEILMKGSLGHKVRIPVIAMGYYN >DRNTG_10300.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000433.1:40450:42330:-1 gene:DRNTG_10300 transcript:DRNTG_10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEMKAIERNSTWYLTKLPEGKKAIGLKWVYRTKLHSDGTIVKRKARLVVKGYAQTQGIDFEETFAPVVRMETVRVFLCVAAQRQWPVFQLDVKSAFLNGELNEEGFERSINEPTLYKKLVGGSEVLLVCLYVDDIIYMGTSMQLSRKFKEIMMQRFEMSDLGLLHYFLGLEINQGMKGIHISQNKYAGDLLKKFGMSSCNPAPTPMNSNEKLMLNDGSPSCDEFRYRSMVGGLMYLTHTRPDIMYAASMVSRFLSKPSIQHHGAVKRILRYIAGTQALGVFYAQSESFNLVGFTDSDWVGSTDDRRSTSGNIFKLGSCVITWASKKQESTALSSTEAEYVAAATAACQAVWLGRLIQDFGITSIGTMILKCDNQSAIFIAKNPGMHGRTKHMDIKYHYLRELVTSGFITLEFCPTNEQLADICTKALSIQKHLSLRMELGMQCFKSQEGVECDLKLSNKEASGCNDAWPMECKEGI >DRNTG_19256.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:322243:322824:-1 gene:DRNTG_19256 transcript:DRNTG_19256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIPCLKGCGFFGDPEQRNLCSQCYKDEILASVRASIQDQINREMAMMNLKAEPLVPLPPIKTKIVTKTTKRCESCKKKIGLTGFICKCGGEFCSTHRLPEVHHCSFDFKGFGRKAIAKDNPVVKADKVYKI >DRNTG_14794.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29914321:29915460:-1 gene:DRNTG_14794 transcript:DRNTG_14794.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKRGPWSPEEDALLKSYLHKHGTGGNWIALPLKAGLKRCGKSCRLRWLNYLRPDIKHGGFTEQEDQIIYSLYNNIGSRWSVIASHLPGRTDNDVKNYWNTKLKKKLMIPSCITTAPPPPPPPPPPPPPPPPTTTAAATATATATATTYSFMKTDQTHDNYDHYNELLMGSSSYGFVPSELMQGFSPAEDFSAASSSSVITMDSNSTNSGYLNWSSNSAGVCVDDVLLTEFGFGSSFSEFLCGYNSYSERFEDMTLSYCHTTKERPFNHLH >DRNTG_25258.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19330758:19333680:1 gene:DRNTG_25258 transcript:DRNTG_25258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVSSINLSNMSLKSSITDSFAGLHHLASLDLSSNSFTGPVPPSLFHLPSLLSLNLSVNFLSGHVPLDISLPLLTVFNISGNLFNGSHPVLTSSPNLQVFDVGYNFFSGRINSSVCSGHNSSDNVNLRVLHFTMNLFSGEFPVGFSNCSRLEELALDMNSLSGNLPEDLFKMKSLKVLQLQENQFSGLLSPKISNLSQLVLFDISFNGFFGSIPNVFDKLGKLESFLAHSNGFGGALPASLSDLSSVQVLSLKNNSFVGEINLDFTKMLKLSTLDLGSNEFSGVIPNNISLCKQLKNLNLARNNLSGSIPASFRNLVSLSYVSLSNNSFVDVFSALEVLQDCPNLTALVLTMNFLGGETLPLSGINGFKKLEVLVIANCDLFGVVPAWLAGCSSLKVLDLSWNRLGGTIPSWLGNFDSMFYMDLSNNSIGGEIPTSLTHMKALISRNASVERSGIQDFPFFVKKNKAGKGLQYNQIGNFPPSLILCNNLLVGPVLLGFRKLKNLHVLDLGKNELSGSIPGDLSEMSSLETLDLSHNNLSGIIPSSLTKLNFLSNFNVAYNKLVGQIPVGGQFSTFPNSSFEGNVDLCGYNIPSCLSRDPPQAIAKSRKNKGVIVGMALGIGLGTIIVLAIIYIAVSRTRYSRPVDCVTEVADDNGHSESAEHGLVLLFQNKDFKDMSINAILKSTNNFDQANIIGCGGFGLVYKATLPDGRKVAIKRLSGEIGQIDREFQAEVEALSRAQHRHLVLLQGYCRLENDRLLIYSYMENGSLDYWLHEKLEGSSSLDWNTRLRIAQGAAKGLAYLHLSCQPHILHRDIKSSNILLDENFEAHLADFGLARLVLRPYDTHVTTDLVGTLGYIPPEYGQASIATFKGDIYSFRSSSFGVAHQSATCRHL >DRNTG_10831.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5023347:5025443:1 gene:DRNTG_10831 transcript:DRNTG_10831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHRRSVVDPLSSPLKPKLLYGKVVNLAVNIGPAPLSSSLATLPEPQQQQQQQKQVSNGWSGFLEVTDGHVRPY >DRNTG_27738.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4428467:4429099:-1 gene:DRNTG_27738 transcript:DRNTG_27738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGSVAVRVGLEEDEGEFKRFLIPISYLHHPLFKDLLDKSQEVYGFYSSGPQTLPCSVDEFILLRRHIEHESKPSHHQQNHLHLASFSCPTPCLDLSHM >DRNTG_18108.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:396378:399121:1 gene:DRNTG_18108 transcript:DRNTG_18108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCAFSIGTKFFVVCEPGAQQMESLLKVIYELYTDYVLKNPFYEMEMPIRCELFDLNLSQAIQKDRVALLGR >DRNTG_18108.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:398286:399121:1 gene:DRNTG_18108 transcript:DRNTG_18108.2 gene_biotype:protein_coding transcript_biotype:protein_coding IIYIYIYTHLISGISRRGQETPTKEANAKPFLVPSKKNHASSARTNSV >DRNTG_26398.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18113500:18118437:-1 gene:DRNTG_26398 transcript:DRNTG_26398.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSSRHLDRCGTMTREKRGLDPADGEAIHPEPKRPKVPALASVIVEALKVDSLQKLCSSLEPVLRRVVSEEVERALAKLGPAKLPGRSSPKQIEGPDGRNLQLQFKSRLSQPLFTGGKVEGEQGAAIHVVLLDTSSGRVITTGPESSAKLDVVVLEGDFNNEDDDDWTEEEFESHVVKEREGKRPLLTGDLQISLKEGVGTLGELTFTDNSSWIRSRKFRLGLKIASGFCESIRVREAKTEAFMVKDHRGELYKKHFPPALKDEVWRLEKIGKDGSFHKRLNKAGIHTVEDFLRIVVRDPQRLRKILGSSMSNKMWEVLVDHAKTCVLSGKHYVYYADNTRSVGAIFNNIYEFTGLIAGGQYYSAESLADGQKVFADSLVKRAYDDWMQVIEYDGKVLLNFKEKKKATASPKESLSGQANYPTSYDQQVYRQLPAITAVDQPTVDAGVLGGVSTEYNGNQLIKYSTHNQHMPSNGHTQFEITSLAPQNQLVSSSPQTQTNKINSMGLVLGPPPQTTIEFQALNPPVLSTNLNSNEDWTPHQRDNQAVDDFFSEEEIRMRSHEILENEDMQHLLRVFSMGGASVIHEDGLGYSSYSPFPNFNFDEDRSRSSGRACAGWLKIKAAMRWGIFIRKKAAERRAQLVEIDD >DRNTG_25251.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19291479:19295423:1 gene:DRNTG_25251 transcript:DRNTG_25251.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAKATSKKPDGKTQALKVAKAVKSGASTLKKKSKKIRTSVTFHRPKTLKKERNPKYPRISAAPRNKLDHYQILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKGAVKKMYDIQAKKVNTLIRSVALKFLYLFTIG >DRNTG_25251.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19291479:19295423:1 gene:DRNTG_25251 transcript:DRNTG_25251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAKATSKKPDGKTQALKVAKAVKSGASTLKKKSKKIRTSVTFHRPKTLKKERNPKYPRISAAPRNKLDHYQILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKGAVKKMYDIQAKKVNTLIRSVALKFLYLFTIG >DRNTG_32448.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18956946:18958586:1 gene:DRNTG_32448 transcript:DRNTG_32448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKKGIYSRMADSPIKLPRGAFPLGGMKEAEILDSA >DRNTG_22648.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20987120:20999028:-1 gene:DRNTG_22648 transcript:DRNTG_22648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYMDLNGADKEHHTLPDHSTPCLTQNLRCDDGVDARMNNSNPSISIDPTRSVPLVDGAPHVSIPSSLEGFKANSKDLKGKDYAHKDACKDHPEELWLKLTPALEVAGLLRKCLRIFLPFLSSLSSQKILPKLSVKSFIPNPLRASEQGYKELEMLGPTPYGHF >DRNTG_06765.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21596051:21596669:-1 gene:DRNTG_06765 transcript:DRNTG_06765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAPFRAMDEFDVFMDAVSRKISLDTLVDFAVAQGSQWIFITPHDISMVKSHERVRKQQMAAPRS >DRNTG_21336.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17018848:17019599:-1 gene:DRNTG_21336 transcript:DRNTG_21336.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFMEGSCVQILDPNLAPSPRTNLATEKILELALQCLAPTRQSRPRMQRCAEILWNIRKDYRELLSSEPLQHQHSKDSQV >DRNTG_21336.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17018848:17022196:-1 gene:DRNTG_21336 transcript:DRNTG_21336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAGRKTPERWEAASSATRAPNRWDFKGTASTNSVDSNWSAASGWNPIQIAARAIGSCFSPAMPPRSPTGDFSEDLHLRSRVHGKPLDLAARLDIAIDVAHAVTYLHMYTDHPIIHRDIKSSNILLTETLRAKVADFGFARLGATESGVSHVETQVKGTAGYLDPEYLRTYQLTEKSDVFSFGVLLVELVTGRRPIEPKRELQERVTPKWAMKKFMEGSCVQILDPNLAPSPRTNLATEKILELALQCLAPTRQSRPRMQRCAEILWNIRKDYRELLSSEPLQHQHSKDSQV >DRNTG_04303.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14450313:14451725:-1 gene:DRNTG_04303 transcript:DRNTG_04303.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFNHNDRPIVYGTIQAYLRDAKERLMLAVEAAEKERISIGFKLVRGAYLTKETELASSLGAASPIHSSIEKTHACYNDCTSFMLGKVVSGSGSVVLATHNFNSGN >DRNTG_04303.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14451269:14451725:-1 gene:DRNTG_04303 transcript:DRNTG_04303.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFNHNDRPIVYGTIQAYLRDAKERLMLAVEAAEKERISIGFKLVR >DRNTG_04303.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14450313:14451725:-1 gene:DRNTG_04303 transcript:DRNTG_04303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFNHNDRPIVYGTIQAYLRDAKERLMLAVEAAEKERISIGFKLVRGAYLTKETELASSLGAASPIHSSIEKTHACYNDCTSFMLGKVVSGSGSVVLATHNFNSGKFAAAKAEELGIDKGDQKLQFAQLKGMAEALSIGLSNAGFQVSKYLPFGPVDQVIPYLLRRAEENRGFLSASTLDRQLMRKEIKRRIKTMLSGRG >DRNTG_03646.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3383129:3383539:-1 gene:DRNTG_03646 transcript:DRNTG_03646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSSHVWSPWLRTSKETPFEFYLGNRLGDVADEKLEFNKMFNDGMASDLVLVGDVVMMTCRDVFKGLKSFVEVGGGTKTMARAIAHVFPEVECTILDLPHKINTVKEDISLAEYFGGDMFVSVPLANATLSMDNF >DRNTG_24505.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19040800:19048396:1 gene:DRNTG_24505 transcript:DRNTG_24505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAEISMEFSEEEAALGLEEDAVKACQSSPSPSSPETLVEQIENLKFDEEEKQRDEEKSEGEFLDRESKICDEGNEKSEGFRYPLRPGEPDCAYFLRTGLCGYGSKCKFNHPTTRNSLAQGIMWGPSETIQAGEGKETKAWLQGEGQLKCKYYSMPSGCKYGKSCKYGHRRENSGAEQVELNFLGLPIRPGEKECPFYLRTGCCKYSASCRFHHPEPVSVPANYLTARFEKDGYCQGLASGKSKSPKASFPLQRASVELSSSLDASSPSYIPRILLPHQVFHTSKLSTEYGEYQAPAEAPFTPDSDWSKQETPATDLDCHPPKADDLVHQHAQTELYPERAGQPECQYFMKHGECKFGLSCKFSHPKTRCSKASVAILSPLGLPLRKDQPICAHYDMYGICKYGPACKFDHPMKFSHSPSTTAKSCTHSSSVDVPGAVKLSSGSALLQQS >DRNTG_24505.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19040800:19048253:1 gene:DRNTG_24505 transcript:DRNTG_24505.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAEISMEFSEEEAALGLEEDAVKACQSSPSPSSPETLVEQIENLKFDEEEKQRDEEKSEGEFLDRESKICDEGNEKSEGFRYPLRPGEPDCAYFLRTGLCGYGSKCKFNHPTTRNSLAQGIMWGPSETIQAGEGKETKAWLQGEGQLKCKYYSMPSGCKYGKSCKYGHRRENSGAEQVELNFLGLPIRPGEKECPFYLRTGCCKYSASCRFHHPEPVSVPANYLTARFEKDGYCQGLASGKSKSPKASFPLQRASVELSSSLDASSPSYIPRILLPHQVFHTSKLSTEYGEYQAPAEAPFTPDSDWSKQETPATDLDCHPPKADDLVHQHAQTELYPERAGQPECQYFMKHGECKFGLSCKFSHPKTRCSKASVAILSPLGLPLRKDQPICAHYDMYGICKYGPACKFDHPMKFSHSPSTTAKSCTHSSSVDVPGAVKLSSGSALLQQS >DRNTG_34565.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1439069:1443726:1 gene:DRNTG_34565 transcript:DRNTG_34565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGLAGIETGTKLYISNLDYRVSNEDIKELFSEVGDLKRCAVHYDRNGRPTGSAEVVYVRRSDALAAFKRYNNVQLDGKPMKIEEIGPNIGLPVTPRVNIVDVINGRGRRTVVMMPKAGGGEGGYGPFNRASGWNRGGFRGRGRGRGRGTWRAWPRPWEEPTCGEISS >DRNTG_34565.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1439069:1443726:1 gene:DRNTG_34565 transcript:DRNTG_34565.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGLAGIETGTKLYISNLDYRVSNEDIKELFSEVGDLKRCAVHYDRNGRPTGSAEVVYVRRSDALAAFKRYNNVQLDGKPMKIEEIGPNIGLPVTPRVNIVDVINGRGRRTVVMMPKAGGGEGGYGPFNRASGWNRGGFRGRGRGRGRGTWRAWPRPWEEPTCGEISS >DRNTG_34565.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1439069:1443726:1 gene:DRNTG_34565 transcript:DRNTG_34565.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGLAGIETGTKLYISNLDYRVSNEDIKELFSEVGDLKRCAVHYDRNGRPTGSAEVVYVRRSDALAAFKRYNNVQLDGKPMKIEEIGPNIGLPVTPRVNIVDVINGRGRRTVVMMPKAGGGEGGYGPFNRASGWNRGGFRGRGRGRGRGTWRAWPRPWEEPTCGEISS >DRNTG_34565.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1439069:1443726:1 gene:DRNTG_34565 transcript:DRNTG_34565.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLDLSLDDIIKNKNASERGRGRGRGRGRGLGRGRGRGLGQGRGGNFSGRTRGVPRQGPLGVNARPSPYKIAKSFSRAKDAIWRHDLFNDSMVAAGLAGIETGTKLYISNLDYRVSNEDIKELFSEVGDLKRCAVHYDRNGRPTGSAEVVYVRRSDALAAFKRYNNVQLDGKPMKIEEIGPNIGLPVTPRVNIVDVINGRGRRTVVMMPKAGGGEGGYGPFNRASGWNRGGFRGRGRGRGRGTWRAWPRPWEEPTCGEISS >DRNTG_17080.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17234295:17238624:-1 gene:DRNTG_17080 transcript:DRNTG_17080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:U6 small nuclear RNA (adenine-(43)-N(6))-methyltransferase [Source:Projected from Arabidopsis thaliana (AT2G21070) UniProtKB/TrEMBL;Acc:F4IGH3] MLSKKRRRVDPPSIHPRNRYSENPPDFALLGSLCPSFQSFLLPPRRPGGRPTIDWSDFNATRELTRVLLLHDHGVHWWIPDGQLCPTVPNRSNYIHWLQDLLSSDVIPPIQISEEKVRVFDIGTGANCIYPLLGASLLGWSFVGSDVTKIALEWARKNVQSNPHLSELIEIRNACDPSSSGKIENDVVRGEEALEGSTHNPNMWSGCCSELPVLVGVIKDGEKFDFCMCNPPFFESVEEAGLNPKTSCGGTFEEMVFPGGEQAFITRIIDDSLRLKHSFRWFTSMVGRKANLKFLVSKLHEVGVSVVKTTEFVQGHTTRWGLAWSFLTPAKKFIALQTPKRSHNSFMLEPCLCCITGTSASSWCLPSIEISGIIFPSSWSLM >DRNTG_17080.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17234295:17238624:-1 gene:DRNTG_17080 transcript:DRNTG_17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U6 small nuclear RNA (adenine-(43)-N(6))-methyltransferase [Source:Projected from Arabidopsis thaliana (AT2G21070) UniProtKB/TrEMBL;Acc:F4IGH3] MLSKKRRRVDPPSIHPRNRYSENPPDFALLGSLCPSFQSFLLPPRRPGGRPTIDWSDFNATRELTRVLLLHDHGVHWWIPDGQLCPTVPNRSNYIHWLQDLLSSDVIPPIQISEEKVRVFDIGTGANCIYPLLGASLLGWSFVGSDVTKIALEWARKNVQSNPHLSELIEIRNACDPSSSGKIENDVVRGEEALEGSTHNPNMWSGCCSELPVLVGVIKDGEKFDFCMCNPPFFESVEEAGLNPKTSCGGTFEEMVFPGGEQAFITRIIDDSLRLKHSFRWFTSMVGRKANLKFLVSKLHEVGVSVVKTTEFVQGHTTRWGLAWSFLTPAKKFIALQTPKRSHNSFMLEGLQRQVGAFQVLKSVESFFLAAGASCKSDLSSFCVNVTLLEHIGELQKNDSDTSEITSSPAIESNNFQHSLKGLPFRISVFHQVPGTVLVRASSLDKESSSGSFFVFFQQLEDALKAQFLKPNTKN >DRNTG_29505.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21104191:21104661:-1 gene:DRNTG_29505 transcript:DRNTG_29505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFFLTARGFLLSQPFKVKVDGWIQRSPLPTPTPTPPPAPAGQKPIPPPPKKTFFEACVEAMTLISSVHDAISGSDDNNIDSDIDSE >DRNTG_29505.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21104191:21104546:-1 gene:DRNTG_29505 transcript:DRNTG_29505.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFFLTARGFLLSQPFKVKVDGWIQRSPLPTPTPTPPPAPAGQKPIPPPPKKTFFEACVEAMTLISSVHDAISGSDDNNIDSDIDSE >DRNTG_29401.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1929902:1931955:-1 gene:DRNTG_29401 transcript:DRNTG_29401.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAATDVLQPFQCLPNLNCLEIYACGVRYVVSSEMETVAILADPFPALENLHIRNCQEMSEVISPPASLQAPCFFHGLNYLSIASCPRLTHLFSYKQAISMQHLSSLSIQECAKLEAVVVSTENKEEASASTSTQVVDRESYNNLSPNLRHLNLENLPQLDHCLPSPRSAAH >DRNTG_29401.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1929902:1933395:-1 gene:DRNTG_29401 transcript:DRNTG_29401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAATDVLQPFQCLPNLNCLEIYACGVRYVVSSEMETVAILADPFPALENLHIRNCQEMSEVISPPASLQAPCFFHGLNYLSIASCPRLTHLFSYKQAISMQHLSSLSIQECAKLEAVVVSTENKEEASASTSTQVVDRESYNNLSPNLRHLNLENLPQLDHCLPSPRSAAH >DRNTG_24551.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001308.1:106821:110091:-1 gene:DRNTG_24551 transcript:DRNTG_24551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTSGQFYFMELNTRLQVEHPVAEMIVGQDLVEWQIRVANGEPLPLTQSEIPVGGHAFEARIYAENVPRGFLPATGKLHHYHPVSVTPSGSFHQKK >DRNTG_24551.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001308.1:106821:108115:-1 gene:DRNTG_24551 transcript:DRNTG_24551.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGQDLVEWQIRVANGEPLPLTQSEIPVGGHAFEARIYAENVPRGFLPATGKLHHYHPVSVTPSGSFHQKK >DRNTG_06218.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8386603:8387353:-1 gene:DRNTG_06218 transcript:DRNTG_06218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRMPKSGMKSALNRTRIGHPHTHVDSPHGPR >DRNTG_12697.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4308352:4313355:-1 gene:DRNTG_12697 transcript:DRNTG_12697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMILVDDGGGAYEKMDHSPWNGCTLADFVMPFFLFIVGVAIALALKRVPRAVDAIRKITIRTLKLIFWGILLQGGYSHAPDDLSYGVDMKHIRWMGILQRIALVYFVVAIIETFTTKLRKTAVLGSGKFAICRCISMAVAWRVCCINHLHYYNLFALCS >DRNTG_34289.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28204623:28205324:1 gene:DRNTG_34289 transcript:DRNTG_34289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIESVECIEAALSSAARLGALIHGQRAYLLIVLSGMPAPSPALGTAPCLAWRQVEEAWKMVHSMPMEADEYVLKSLLFTCCNHMFLEYAEWAAEKLMSLNSGQASSYVLLSNTYASLDQWDGVEKLRKLMRVRGGPKT >DRNTG_14042.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27494407:27496422:-1 gene:DRNTG_14042 transcript:DRNTG_14042.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEPAPVHDNKSSSSAEEFKLVGFTRFVRVNPRSDRFPVIGFHHVEFWCADVASAAGRFSFSLGAPLSAFSGLSTANPFHTSHLIRSHDLRFLFTSPLPNPNPNPNPPPIPSFNRDLCLRFSADHGLAVRAIAIRVASASSAFDIAVHHGARPSFPPTDLGHGFSLAELELYGDVVLRLISHPDDHPLFLPGFENVATPPAFDYGIRRLDHAVGNVPELVPAVAYVAGFTGFHEFAEFTAEDVGTAESGLNSMVLADNEERVLIPMNEPVKGTVRRSQIQTYLDYNGGPGVQHLALSSDDVLKTLREMRAHTAFGGFDFMPPPPPTYYRNLVKRAGDVLTAEQIKECEDLGVLVDRDDQGVLLQIFTKPVGDRPTIFLEIIQRIGCMTKDAKGKEYQKGGCGGFGKGNFSELFKSIEEYEKSLEAKIPGTAAAAAAAAAAF >DRNTG_16979.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000861.1:1989:4143:-1 gene:DRNTG_16979 transcript:DRNTG_16979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSQHAKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLRDQTEA >DRNTG_16979.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000861.1:1989:2958:-1 gene:DRNTG_16979 transcript:DRNTG_16979.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSQHAKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLRDQTEA >DRNTG_22520.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3466295:3477014:1 gene:DRNTG_22520 transcript:DRNTG_22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIDLGLTSGVLLFDKKKREELQGFGSRSMATAKRAYKLQEFVAHSSNVNCLKIGRRTSRVLVTGGEDHKVNLWAIGKPNAVLSLSGHTSAVESVSFDSSEVMVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCMSVDFHPFGEFFASGSLDTNLKIWDIRRKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNVVKLWDLTAGKLLHEFKYHEGQIQCMDFHPHEFLLATGSADKTVKFWDLETFELIGSSGPETSGVRSMTFNPDGRTLLCGLHESLKVCSWEPVRCYDTVDVGWSRLSDLNIHEGKLLGCSYNQSCVGVWVVDLSRVEPYAIANVVRLNGHSDPKSTSGNSSVQTESGMKASMGRLSISQNSETVVKETKSTESLEIAPGTPQRIGLGTAQRPMAANSTITATTLKRSSSKGHGTANIQNFNKSDVTPVIVPRNSFRSEVVPDTKRETGGRISVCNVQSKLSDSRKSANTRDDPSREGVSVPPVSIGNKNIEVGNQDSIFAASVGSQPVSSTERNFVDGRCLGVGKPEGNLLMQRSPTYRHENFDVRVHKVRNTSSAEVSRGGRTRTLPSGWESSERSSSHESQTPSNSSDTMGPSNGIKYTSRGRMVSTQKEAVSASDEDAIADLMEQHEQFLNTTQSHLTKVQVVHRLWERNDIKGVINALAKMSDHAVSADVISVFMGKVDIFTLELCTFLFPLLTSLLESKMDRHLLVSMEMLLKLVKIFGPVIHSTLSAGPSVGVDVQAEQRLERCNLCFIELEKVKQVLPSLTRRGGSLTKTAQELKLTLQDVL >DRNTG_15168.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:449607:454405:1 gene:DRNTG_15168 transcript:DRNTG_15168.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFYVNTSFYPRPIIGIVRKLSFIFGREKGGLPGAITQFAFVELEQATNRFSNTNLVGLGGSSNVYRGELNGVGAVAIKKLKAIGDDYEFLTEIELLSRLNHCHVVPLLGYCLESQGKQSERLLVFEYMCNGSLRDCLDVQATKPMDWGTRVIIAIGAAKGLEYLHEAAAPRILHRDIKSTNILLDDKFRAKITDLGMAKRLMSDDAVASCTNSPSRMLGTFGYFAPEYAIVGKASLKSDVFSFGVVVLELITGRQPIQKTDNGDDESLVMWAAARLRNSRLVVTELPDPLLKGKFPEEEMQIMAHLARECLQWDPESRPTMSEVVQILSVIAPDKTKKKNLRDDIFMTSSTEIMKKVAEIESSEGCRVETIKRSSVVSVGCNACCSTASLLPLPSTAEDDDTQNEEDMIVCQEYLEGLMMIHSSRPVSDAEIVDSYEPRFELFLQPL >DRNTG_15168.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:449367:454405:1 gene:DRNTG_15168 transcript:DRNTG_15168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPLKLTLLCFSVLILFRCASSDELQKFRWTCICHPGSVEAPKKSSNCSAPCNCTLDIRGQERESWNCSCVSEGSSRVPSLIQDGNCFTSCKCTPDFAEIPPEGKKFISEKGLVAVLLLCVVFTITVLFTSVACYFYHKDKCSARPALPSSVKGTNWNSVANSTSNQSASMQGFYVNTSFYPRPIIGIVRKLSFIFGREKGGLPGAITQFAFVELEQATNRFSNTNLVGLGGSSNVYRGELNGVGAVAIKKLKAIGDDYEFLTEIELLSRLNHCHVVPLLGYCLESQGKQSERLLVFEYMCNGSLRDCLDVQATKPMDWGTRVIIAIGAAKGLEYLHEAAAPRILHRDIKSTNILLDDKFRAKITDLGMAKRLMSDDAVASCTNSPSRMLGTFGYFAPEYAIVGKASLKSDVFSFGVVVLELITGRQPIQKTDNGDDESLVMWAAARLRNSRLVVTELPDPLLKGKFPEEEMQIMAHLARECLQWDPESRPTMSEVVQILSVIAPDKTKKKNLRDDIFMTSSTEIMKKVAEIESSEGCRVETIKRSSVVSVGCNACCSTASLLPLPSTAEDDDTQNEEDMIVCQEYLEGLMMIHSSRPVSDAEIVDSYEPRFELFLQPL >DRNTG_15168.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:449367:454405:1 gene:DRNTG_15168 transcript:DRNTG_15168.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFSSSLLMVSRACALGLPSKFLIVSDYRNLKVSLYLELERTLMIPPLKLTLLCFSVLILFRCASSDELQKFRWTCICHPGSVEAPKKSSNCSAPCNCTLDIRGQERESWNCSCVSEGSSRVPSLIQDGNCFTSCKCTPDFAEIPPEGKKFISEKGLVAVLLLCVVFTITVLFTSVACYFYHKDKCSARPALPSSVKGTNWNSVANSTSNQSASMQGFYVNTSFYPRPIIGIVRKLSFIFGREKGGLPGAITQFAFVELEQATNRFSNTNLVGLGGSSNVYRGELNGVGAVAIKKLKAIGDDYEFLTEIELLSRLNHCHVVPLLGYCLESQGKQSERLLVFEYMCNGSLRDCLDVQATKPMDWGTRVIIAIGAAKGLEYLHEAAAPRILHRDIKSTNILLDDKFRAKITDLGMAKRLMSDDAVASCTNSPSRMLGTFGYFAPEYAIVGKASLKSDVFSFGVVVLELITGRQPIQKTDNGDDESLVMWAAARLRNSRLVVTELPDPLLKGKFPEEEMQIMAHLARECLQWDPESRPTMSEVVQILSVIAPDKTKKKNLRDDIFMTSSTEIMKKVAEIESSEGCRVETIKRSSVVSVGCNACCSTASLLPLPSTAEDDDTQNEEDMIVCQEYLEGLMMIHSSRPVSDAEIVDSYEPRFELFLQPL >DRNTG_15168.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:453138:454405:1 gene:DRNTG_15168 transcript:DRNTG_15168.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLMSDDAVASCTNSPSRMLGTFGYFAPEYAIVGKASLKSDVFSFGVVVLELITGRQPIQKTDNGDDESLVMWQLGCETVGWLSQNCLTLC >DRNTG_15168.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:451169:453500:1 gene:DRNTG_15168 transcript:DRNTG_15168.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFYVNTSFYPRPIIGIVRKLSFIFGREKGGLPGAITQFAFVELEQATNRFSNTNLVGLGGSSNVYRGELNGVGAVAIKKLKAIGDDYEFLTEIELLSRLNHCHVVPLLGYCLESQGKQSERLLVFEYMCNGSLRDCLDVQATKPMDWGTRVIIAIGAAKGLEYLHEAAAPRILHRDIKSTNILLDDKFRAKITDLGMAKRLMSDDAVASCTNSPSRMLGTFGYFAPEYAIVGKASLKSDVFSFGVVVLELITGRQPIQKTDNGDDESLVMWVSFTLNFVYTVYHEYR >DRNTG_15168.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:451494:454405:1 gene:DRNTG_15168 transcript:DRNTG_15168.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFYVNTSFYPRPIIGIVRKLSFIFGREKGGLPGAITQFAFVELEQATNRFSNTNLVGLGGSSNVYRGELNGVGAVAIKKLKAIGDDYEFLTEIELLSRLNHCHVVPLLGYCLESQGKQSERLLVFEYMCNGSLRDCLDVQATKPMDWGTRVIIAIGAAKGLEYLHEAAAPRILHRDIKSTNILLDDKFRAKITDLGMAKRLMSDDAVASCTNSPSRMLGTFGYFAPEYAIVGKASLKSDVFSFGVVVLELITGRQPIQKTDNGDDESLVMWAAARLRNSRLVVTELPDPLLKGKFPEEEMQIMAHLARECLQWDPESRPTMSEVVQILSVIAPDKTKKKNLRDDIFMTSSTEIMKKVAEIESSEGCRVETIKRSSVVSVGCNACCSTASLLPLPSTAEDDDTQNEEDMIVCQEYLEGLMMIHSSRPVSDAEIVDSYEPRFELFLQPL >DRNTG_15168.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:452666:454405:1 gene:DRNTG_15168 transcript:DRNTG_15168.8 gene_biotype:protein_coding transcript_biotype:protein_coding MCNGSLRDCLDVQATKPMDWGTRVIIAIGAAKGLEYLHEAAAPRILHRDIKSTNILLDDKFRAKITDLGMAKRLMSDDAVASCTNSPSRMLGTFGYFAPEYAIVGKASLKSDVFSFGVVVLELITGRQPIQKTDNGDDESLVMWAAARLRNSRLVVTELPDPLLKGKFPEEEMQIMAHLARECLQWDPESRPTMSEVVQILSVIAPDKTKKKNLRDDIFMTSSTEIMKKVAEIESSEGCRVETIKRSSVVSVGCNACCSTASLLPLPSTAEDDDTQNEEDMIVCQEYLEGLMMIHSSRPVSDAEIVDSYEPRFELFLQPL >DRNTG_15168.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:449607:454405:1 gene:DRNTG_15168 transcript:DRNTG_15168.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCIKRWTCICHPGSVEAPKKSSNCSAPCNCTLDIRGQERESWNCSCVSEGSSRVPSLIQDGNCFTSCKCTPDFAEIPPEGKKFISEKGLVAVLLLCVVFTITVLFTSVACYFYHKDKCSARPALPSSVKGTNWNSVANSTSNQSASMQGFYVNTSFYPRPIIGIVRKLSFIFGREKGGLPGAITQFAFVELEQATNRFSNTNLVGLGGSSNVYRGELNGVGAVAIKKLKAIGDDYEFLTEIELLSRLNHCHVVPLLGYCLESQGKQSERLLVFEYMCNGSLRDCLDVQATKPMDWGTRVIIAIGAAKGLEYLHEAAAPRILHRDIKSTNILLDDKFRAKITDLGMAKRLMSDDAVASCTNSPSRMLGTFGYFAPEYAIVGKASLKSDVFSFGVVVLELITGRQPIQKTDNGDDESLVMWAAARLRNSRLVVTELPDPLLKGKFPEEEMQIMAHLARECLQWDPESRPTMSEVVQILSVIAPDKTKKKNLRDDIFMTSSTEIMKKVAEIESSEGCRVETIKRSSVVSVGCNACCSTASLLPLPSTAEDDDTQNEEDMIVCQEYLEGLMMIHSSRPVSDAEIVDSYEPRFELFLQPL >DRNTG_15168.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:449649:454405:1 gene:DRNTG_15168 transcript:DRNTG_15168.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFSSSLLMVSRACALGLPSKFLIVSDYRNLKVSLYLELERTLMIPPLKLTLLCFSVLILFRCASSDELQKFRWTCICHPGSVEAPKKSSNCSAPCNCTLDIRGQERESWNCSCVSEGSSRVPSLIQDGNCFTSCKCTPDFAEIPPEGKKFISEKGLVAVLLLCVVFTITVLFTSVACYFYHKDKCSARPALPSSVKGTNWNSVANSTSNQSASMQGFYVNTSFYPRPIIGIVRKLSFIFGREKGGLPGAITQFAFVELEQATNRFSNTNLVGLGGSSNVYRGELNGVGAVAIKKLKAIGDDYEFLTEIELLSRLNHCHVVPLLGYCLESQGKQSERLLVFEYMCNGSLRDCLDVQATKPMDWGTRVIIAIGAAKGLEYLHEAAAPRILHRDIKSTNILLDDKFRAKITDLGMAKRLMSDDAVASCTNSPSRMLGTFGYFAPEYAIVGKASLKSDVFSFGVVVLELITGRQPIQKTDNGDDESLVMWAAARLRNSRLVVTELPDPLLKGKFPEEEMQIMAHLARECLQWDPESRPTMSEVVQILSVIAPDKTKKKNLRDDIFMTSSTEIMKKVAEIESSEGCRVETIKRSSVVSVGCNACCSTASLLPLPSTAEDDDTQNEEDMIVCQEYLEGLMMIHSSRPVSDAEIVDSYEPRFELFLQPL >DRNTG_33893.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11685509:11686737:-1 gene:DRNTG_33893 transcript:DRNTG_33893.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSHTCGGGVGSASHPKVSKKWVSTRVIQKLKDRPLYKAIDIQKDMLREYGIHIPYKQAWLGKEHARVVLDGSDISSYDLLLWYVDKVVETNPSSIAIVERDDRSKGFVNAIARIFPSSPHAYCFRHLEANFMKANIRLGKALREECWSIYFRIAWASTDKDFDDTVNELHATSPKAHHWLINKSDMTHWSNYLFRGERWGEMYSNVAESFNAWIKVARHLPVTKMVDSIRFKLMCMLCNHREQVSK >DRNTG_14809.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29822301:29825473:1 gene:DRNTG_14809 transcript:DRNTG_14809.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEEHSVFVSEGFGQLKNLKKLLLYWNRFSGEIPRSIALLPNLYDVRLFNNSLTGTLPSELGKHSPLWNLEVDDNQLSGELPDGLCALGGFSSLVVFNNNFNGSLPTTLGECSTLNNLQIYNNHFTGLFPQGIWSAVNLTTLIMRGNGLSGELPSDLPWNITRLEIQDNRFSGKIPSSAGKLLVFRAGNNQLSGELPTDITGISNLQILSLSGNQITGVIPSGISTLTSLTDLDLSNNQLSGEIPASIAKLPVLTSLDLSMNQLSGEIPAVIGELKLNFLNLSSNRLSGQIPISMDNTANAHSFSANPDLCSYDPMFNIGSCGHGSDGSSRISTTGIVIVTVAGLALVILITFLFLMIRDKRRRKDGKDLAAWKVTSFQPLDFTETTILRGIKEENQIGCGGSGRVYRVAIGSCAREIVAVKSIWTGTKLDAKLEKEFQSEVKILGSIKHANIVKLLCCISSPESKLLVYEYMANMSLYCWLHGDHRRARVGSQPLDWPIRLRIAIGVAQGLCYMHNDCTPAIIHRDIKSSNILLDSEFKAKIADFGLAQMLVEAGQSNSVSEFAGSIGYM >DRNTG_31750.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8263350:8265377:1 gene:DRNTG_31750 transcript:DRNTG_31750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLERFYGSDIVISLEEVNGLRDNLLLRHSCGCNGVKHRSDLNIYGLIVVARCLEESFSEARSPKDPSML >DRNTG_16267.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000805.1:22086:24122:-1 gene:DRNTG_16267 transcript:DRNTG_16267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFKSDAGSQFINQVRYQLKRIHFHSPSEHALNGERYDLEIQMVHESQDQRRAVTAIMFRFGRSDPFLSDLEDFIKQISRSENFEVDAGVVDPRQLLQFDDPSYYRYMGSFTAPPCTEDITWTVIKKLGNVSPKQVLMLKQAVNENAINNARPLQPLKFRTVFFYPHQKPNHDAI >DRNTG_20263.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2949117:2953282:1 gene:DRNTG_20263 transcript:DRNTG_20263.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAPREVEKLALHQAGFLAQKRLARGLRLNYTEAVALIATQILEFVRDGDKSVAELMDIGKWLLGRRQVLPAVPHLLDCVQVEGTFLDGTKLITIPDPIACDNGNLELALHGSFFPVPSLDKFVVDGADCVPGKFICRCDEKIILNVGRKIVRLKVVNKADRPIQIGSHYHFIEVNPYLVFDRRKAYGMRLNICAGTTTRFEPGDAKYVTVVSIGGDKIIRGGNGIVDGPVDYSKLDEVMEYVKVKGFGNEEE >DRNTG_20263.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2949117:2953282:1 gene:DRNTG_20263 transcript:DRNTG_20263.9 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAPREVEKLALHQAGFLAQKRLARGLRLNYTEAVALIATQILEFVRDGDKSVAELMDIGKWLLGRRQVLPAVPHLLDCVQVEGTFLDGTKLITIPDPIACDNGNLELALHGSFFPVPSLDKFVVDGADCVPGKFICRCDEKIILNVGRKIVRLKVVNKADRPIQIGSHYHFIEVNPYLVFDRRKAYGMRLNICAGTTTRFEPGDAKYVTVVSIGGDKIIRGGNGIVDGPVDYSKLDEVMEYVKVKGFGNEEE >DRNTG_20263.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2949117:2952917:1 gene:DRNTG_20263 transcript:DRNTG_20263.14 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAPREVEKLALHQAGFLAQKRLARGLRLNYTEAVALIATQILEFVRDGDKSVAELMDIGKWLLGRRQVLPAVPHLLDCVQVEGTFLDGTKLITIPDPIACDNGNLELALHGSFFPVPSLDKFVVDGADCVPGKFICRCDEKIILNVGRKIVRLKVVNKADRPIQIGSHYHFIEVNPYLVFDRRKAYGMRLNICAGTTTRFEVMGILNCFINKFIYYNRVY >DRNTG_20263.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2949117:2953455:1 gene:DRNTG_20263 transcript:DRNTG_20263.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAPREVEKLALHQAGFLAQKRLARGLRLNYTEAVALIATQILEFVRDGDKSVAELMDIGKWLLGRRQVLPAVPHLLDCVQVEGTFLDGTKLITIPDPIACDNGNLELALHGSFFPVPSLDKFVVDGADCVPGKFICRCDEKIILNVGRKIVRLKVVNKADRPIQIGSHYHFIEVNPYLVFDRRKAYGMRLNICAGTTTRFEPGDAKYVTVVSIGGDKIIRGGNGIVDGPVDYSKLDEVMEYVKVKGFGNEEEPDARCRYPRHSVFSYFSQLFFF >DRNTG_20263.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2949117:2953455:1 gene:DRNTG_20263 transcript:DRNTG_20263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAPREVEKLALHQAGFLAQKRLARGLRLNYTEAVALIATQILEFVRDGDKSVAELMDIGKWLLGRRQVLPAVPHLLDCVQVEGTFLDGTKLITIPDPIACDNGNLELALHGSFFPVPSLDKFVVDGADCVPGKFICRCDEKIILNVGRKIVRLKVVNKADRPIQIGSHYHFIEVNPYLVFDRRKAYGMRLNICAGTTTRFEPGDAKYVTVVSIGGDKIIRGGNGIVDGPVDYSKLDEVMEYVKVKGFGNEEEPDARCRYPRHSVFSYFSQLFFF >DRNTG_20263.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2949117:2953227:1 gene:DRNTG_20263 transcript:DRNTG_20263.10 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAPREVEKLALHQAGFLAQKRLARGLRLNYTEAVALIATQILEFVRDGDKSVAELMDIGKWLLGRRQVLPAVPHLLDCVQVEGTFLDGTKLITIPDPIACDNGNLELALHGSFFPVPSLDKFVVDGADCVPGKFICRCDEKIILNVGRKIVRLKVVNKADRPIQIGSHYHFIEVNPYLVFDRRKAYGMRLNICAGTTTRFEPGDAKYVTVVSIGGDKIIRGGNGIVDGPVDYSK >DRNTG_20263.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2949117:2953282:1 gene:DRNTG_20263 transcript:DRNTG_20263.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAPREVEKLALHQAGFLAQKRLARGLRLNYTEAVALIATQILEFVRDGDKSVAELMDIGKWLLGRRQVLPAVPHLLDCVQVEGTFLDGTKLITIPDPIACDNGNLELALHGSFFPVPSLDKFVVDGADCVPGKFICRCDEKIILNVGRKIVRLKVVNKADRPIQIGSHYHFIEVNPYLVFDRRKAYGMRLNICAGTTTRFEPGDAKYVTVVSIGGDKIIRGGNGIVDGPVDYSKLDEVMEYVKVKGFGNEEE >DRNTG_20263.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2949117:2952917:1 gene:DRNTG_20263 transcript:DRNTG_20263.13 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAPREVEKLALHQAGFLAQKRLARGLRLNYTEAVALIATQILEFVRDGDKSVAELMDIGKWLLGRRQVLPAVPHLLDCVQVEGTFLDGTKLITIPDPIACDNGNLELALHGSFFPVPSLDKFVVDGADCVPGKFICRCDEKIILNVGRKIVRLKVVNKADRPIQIGSHYHFIEVNPYLVFDRRKAYGMRLNICAGTTTRFEVMGILNCFINKFIYYNRVY >DRNTG_20263.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2949117:2953227:1 gene:DRNTG_20263 transcript:DRNTG_20263.12 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAPREVEKLALHQAGFLAQKRLARGLRLNYTEAVALIATQILEFVRDGDKSVAELMDIGKWLLGRRQVLPAVPHLLDCVQVEGTFLDGTKLITIPDPIACDNGNLELALHGSFFPVPSLDKFVVDGADCVPGKFICRCDEKIILNVGRKIVRLKVVNKADRPIQIGSHYHFIEVNPYLVFDRRKAYGMRLNICAGTTTRFEPGDAKYVTVVSIGGDKIIRGGNGIVDGPVDYSK >DRNTG_20263.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2949117:2953455:1 gene:DRNTG_20263 transcript:DRNTG_20263.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAPREVEKLALHQAGFLAQKRLARGLRLNYTEAVALIATQILEFVRDGDKSVAELMDIGKWLLGRRQVLPAVPHLLDCVQVEGTFLDGTKLITIPDPIACDNGNLELALHGSFFPVPSLDKFVVDGADCVPGKFICRCDEKIILNVGRKIVRLKVVNKADRPIQIGSHYHFIEVNPYLVFDRRKAYGMRLNICAGTTTRFEVMGILNCFINKFIYYNRVY >DRNTG_20263.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2949044:2953227:1 gene:DRNTG_20263 transcript:DRNTG_20263.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAPREVEKLALHQAGFLAQKRLARGLRLNYTEAVALIATQILEFVRDGDKSVAELMDIGKWLLGRRQVLPAVPHLLDCVQVEGTFLDGTKLITIPDPIACDNGNLELALHGSFFPVPSLDKFVVDGADCVPGKFICRCDEKIILNVGRKIVRLKVVNKADRPIQIGSHYHFIEVNPYLVFDRRKAYGMRLNICAGTTTRFEPGDAKYVTVVSIGGDKIIRGGNGIVDGPVDYSK >DRNTG_20263.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2949117:2953455:1 gene:DRNTG_20263 transcript:DRNTG_20263.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAPREVEKLALHQAGFLAQKRLARGLRLNYTEAVALIATQILEFVRDGDKSVAELMDIGKWLLGRRQVLPAVPHLLDCVQVEGTFLDGTKLITIPDPIACDNGNLELALHGSFFPVPSLDKFVVDGADCVPGKFICRCDEKIILNVGRKIVRLKVVNKADRPIQIGSHYHFIEVNPYLVFDRRKAYGMRLNICAGTTTRFEPGDAKYVTVVSIGGDKIIRGGNGIVDGPVDYSKLDEVMEYVKVKGFGNEEEPDARCRYPRHSVFSYFSQLFFF >DRNTG_20263.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2949117:2953282:1 gene:DRNTG_20263 transcript:DRNTG_20263.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAPREVEKLALHQAGFLAQKRLARGLRLNYTEAVALIATQILEFVRDGDKSVAELMDIGKWLLGRRQVLPAVPHLLDCVQVEGTFLDGTKLITIPDPIACDNGNLELALHGSFFPVPSLDKFVVDGADCVPGKFICRCDEKIILNVGRKIVRLKVVNKADRPIQIGSHYHFIEVNPYLVFDRRKAYGMRLNICAGTTTRFEPGDAKYVTVVSIGGDKIIRGGNGIVDGPVDYSKLDEVMEYVKVKGFGNEEE >DRNTG_20263.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2949117:2953227:1 gene:DRNTG_20263 transcript:DRNTG_20263.11 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAPREVEKLALHQAGFLAQKRLARGLRLNYTEAVALIATQILEFVRDGDKSVAELMDIGKWLLGRRQVLPAVPHLLDCVQVEGTFLDGTKLITIPDPIACDNGNLELALHGSFFPVPSLDKFVVDGADCVPGKFICRCDEKIILNVGRKIVRLKVVNKADRPIQIGSHYHFIEVNPYLVFDRRKAYGMRLNICAGTTTRFEPGDAKYVTVVSIGGDKIIRGGNGIVDGPVDYSK >DRNTG_05534.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16839965:16844750:-1 gene:DRNTG_05534 transcript:DRNTG_05534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGKSGLLSWFNKKVIDPLLLIVRRGAEPKQLAFSTALGFTLGVFPICGVTVILCGMAIALIGNRCHTPSVMLANFVATPIEFSLVIPFLRLGEIISGGPHFPLTSDALRKVFTGQATRDVLLSIFHALLGWFVAAPFILGILYVFFLPCFKFLIQKFSSLPPSPKKPVYPQAEIKVKMRNV >DRNTG_15562.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000768.1:697:7442:-1 gene:DRNTG_15562 transcript:DRNTG_15562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDLDHIFINLSFRNMKVKLMATYPDVFPNDSASKILDQRPDLERPL >DRNTG_32543.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20115530:20116186:1 gene:DRNTG_32543 transcript:DRNTG_32543.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLKLTFSSLIFILTPLLFILLDHLHTHKYYNCSSSASPSPSLHHHITKLTGISTNSTTIASVSTDFGRVIKASPAGVFHPLSVTDITNLVRFSYEGPQRFTIAARGCGHSIRGQALAPGGVVVEMRSLMRVGVERIRVCSTEMYVDAGGEQLWIDVLHETLKYGLAPRSWTDYLYLTVGGTLSNAGVSGQAFLHGPQISNVHELDVIT >DRNTG_32543.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20115530:20118036:1 gene:DRNTG_32543 transcript:DRNTG_32543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLKLTFSSLIFILTPLLFILLDHLHTHKYYNCSSSASPSPSLHHHITKLTGISTNSTTIASVSTDFGRVIKASPAGVFHPLSVTDITNLVRFSYEGPQRFTIAARGCGHSIRGQALAPGGVVVEMRSLMRVGVERIRVCSTEMYVDAGGEQLWIDVLHETLKYGLAPRSWTDYLYLTVGGTLSNAGVSGQAFLHGPQISNVHELDVITGKGEVVTCSENYNSELFFAVLGGLGQFGIITRARIALETAPERVRWVRLIYNDFSAFIRDQEWLISMDQEKKKKGFQYIEGSVIMEQGIKSGWRSSFFSGGDMEKIGGLGGEKQQGPIYCLEGSMYYNNVTASKVDQEVELLLQDLSFLPGFSFTHDVSYLHFLDRVHHGELKLRAKGLWDVPHPWLNFFVPKSRIQDINLGVFNAILKNNNSMGPILIYPVNKNKWDERMSAVIPEEEIFYSIGLLRSGLHDLEYLEKQNKEILHFCDQQGIRFKQYLPRYNTQAEWMNHFGSKWDAFVQMKMKYDPRAILSPGQGIFTSSLVDHHPNE >DRNTG_32543.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20117217:20117487:1 gene:DRNTG_32543 transcript:DRNTG_32543.3 gene_biotype:protein_coding transcript_biotype:protein_coding QEVELLLQDLSFLPGFSFTHDVSYLHFLDRVHHGELKLRAKGLWDVPHPWLNFFVPKSRIQDINLGVFNAILKNNNSMGPILIYPVNKNK >DRNTG_08212.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16922818:16923597:-1 gene:DRNTG_08212 transcript:DRNTG_08212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITLASSLTSNPQLMIFSSTPKPRRTMPQVLASKSMASSFNQKPINNQEMKRPR >DRNTG_10118.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30462100:30464565:1 gene:DRNTG_10118 transcript:DRNTG_10118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFESLNLQELQLNVNWEDVVCPVCLEFPHNAVLLHCSSYDKGCRPFMCDTDHSHSNCLDRFKTTHGLPTAFEPSSSPSDSLEEIVQLNPTTVGSFPICPLCRGEVKGWVVVNEARVYLNMKERCCQEKECSFVGNYMELQVHTKQNHPNARPSEIDPARKLEWENFQQSTELIDVLSTIHSEVPHGLVLGDYVVEYLNDSGDDYDDFGDDGNWWTSCIFYHMFENFRVCGNRQRRSRTSDNRNHHIQRSRYDASITDEGSTSSVEVVESRFDEIDGDEEVVVGSSGGSAVSGGSANHRSYRRRRSQM >DRNTG_01787.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:135073:142861:-1 gene:DRNTG_01787 transcript:DRNTG_01787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEMFNPDPYEGLFDQEEDYEEVMMLDATEKVPSTPGILKKVLRKMKRARRRHQKHSKSVGDVHEPKKLDESLLGGISAWACRTLGSFLRCSRKPQGRAAAPVGRAHGRGYFLHARAQAFRVKSL >DRNTG_11060.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000483.1:22969:33537:1 gene:DRNTG_11060 transcript:DRNTG_11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYYPGRKRYHLESVKATLTATSESATLEDAAGKLIPVDSHGRAEIPHARVDARFHPIGLWKSFWSGSMAFNTAFPWKRVIGELSSSSIREYGLSLGVPRALSNFAQCELLRLSDFSTEDVLQSLSKSSLGSEPGAATKERKQIRTMIGKEDVK >DRNTG_06513.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4300014:4306064:-1 gene:DRNTG_06513 transcript:DRNTG_06513.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEQKKLFELPFEKKATSKLLNDFYRWGTPSATSLNQFSWSEAFHCPLSDISLKNHSATSSSHFLREVMEKVAGAMLELARTLARVLAEDLGYPGDGFTENCNKSTCFLRLNRYPRCPFSPEVFGLQPHTDSDYLTILYQDEVGGLQLMKDNKWVAVKPNSDALIVNIGDLFQAWSNDIYKSVEHKVMANGKMERYSMAFFLCPSYDSTIGSCKQPSIYNSFTFGDFRKQVQQDVLKTGKKIGLPRFLIT >DRNTG_06513.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4300014:4308405:-1 gene:DRNTG_06513 transcript:DRNTG_06513.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEARALLDAIKSSEFSVDLVTYNIWLCGLVRNGRLLEAHSLLEEMAREGLEPNLYSYNIVIDGLCKEGMLFVARSVMSLMRSKGILPDIVTYSTLLHGYCTKWKVLRANMILEEMVKDGCFPNNVTCNILLQSLWKKGRTLEAEGLMQKMNEKGYGLDIVSCNIVLDGLCKNGELDKAMDIISGMWLHGSAALGELGNAFRGLVDNQNGGQKCVPDRITYSILINNLCKAGRMDEAKKKFIEMLAKKLAPDSVIYDTFVHAYCKCGKMTSAFKVLRDMEKKGCKPSTRTYNLLIWGLVNKHQMDQIHNLMNEMQIKGILPDVCTYNNLIQSLCEEGKMKEAALLLEEMSGKDIVANVYSFILLIKAFCKSGDFDSAEDLFQRALTTCGQKPSLYSLMCNEFCMSGKLSEAKELLQTAIDIGISVERFSYKFLIEELCKGDRLDDARSLLSLMIDRGCVFNPATFMPVIDALVERRDKTEADRLSELMMNMASRPEESNIGLAHPDNQSYAKIVSGSRYNENESHLKHLKQDSAPGSDWHNLLHRDDGGGIALKVLKRVQKGWGHGSVRHPQRWQLDLYDHSDDAG >DRNTG_05057.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3572180:3576905:1 gene:DRNTG_05057 transcript:DRNTG_05057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHGHDVQTSVEVFVTDHANVSVSINPHQLLENNMIPQPEQSESVCVPSLTSCETNMSIVNVSETGSAGMGLQQSHAPISNEIEVVINTESLLEQGDEQDVEMGDGEATRGSHKRKTTEQERGQSSESETQFVQSSRTFVPVSADDSSLIIMFDSSNVSDDTLEEERPNQRLRIEAENLPFDAYPADNVAGDAENEMLNSMIGIHHTDQEDQSAIVASDQTLEAPPMDLDAANTNLNGRLVCQWLSFLPQSISALILPEAFAATIEDLINSIPAEDLQAASSEIAFMVNQQSEGSSEDANGDNTENLIVGLQNEDLTNESSIIPSDRTGITETSVAIGSRQSTSHLNHHQVRLLKLIHQYLLPYVHAQRGHTPSNTQSEAPAGSFSSLSNQNQMNQIERDQRSHSNVDPQPFYGLAGFLDRDSDDDEETDFPPLMQASWQGRARGAYGISELQFLFGQDYVRRRGSFRSESSRYYDRHRDMRLDVDNMSYEELLALEERIGVVSTGLNEQEKSKCMKLWTFACAPSPEKEPEPCCICQEEFIDGAEMGSLICGHNFHAECIKKWLDDKKICPYCRESAVDEKSQP >DRNTG_16858.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21890910:21901118:1 gene:DRNTG_16858 transcript:DRNTG_16858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLIVQGSELTNEVGKVSASIPVEASLIRFVNGKGESTRRQIEEETGVKLILPFASSEDTCVVIEGNSIESVNKAAAKIKSVIEEAIKSPSLDYSHFISLPLAIHPELVDKLHSFQNTILGYSGLTFHGNSDNDPEGNSSDDKDDVDEQLENQNVEVKLEVQDNREHVRVQIDAPLANYDATEKSRLQILSDLGIDSSIFIKPKTFHLTVLMLKLWNKERIAAATEVLQNISSKVYDALENRPVSVRLKGLECMRGSPAKARVVYAPVEEIGGEGRLLRACQVIIKAYKEAGLVLDKDAQQPPKLHATLMNARHRKWSLKKKKKYKGSDSFDARGVFLRFGSENWGDYLIREAHLSQRFKFSETGYYHCCTSIPFPETMQVD >DRNTG_16858.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21890910:21901118:1 gene:DRNTG_16858 transcript:DRNTG_16858.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLIVQGSELTNEVGKVSASIPVEASLIRFVNGKGESTRRQIEEETGVKLILPFASSEDTCVVIEGNSIESVNKAAAKIKSVIEEAIKSPSLDYSHFISLPLAIHPELVDKLHSFQNTILGYSGLTFHGNSDNDPEGNSSDDKDDVDEQLENQNVEVKLEVQDNREHVRVQIDAPLANYDATEKSRLQILSDLGIDSSIFIKPKTFHLTVLMLKLWNKERIAAATEVLQNISSKVYDALENRPVSVRLKGLECMRGSPAKARVVYAPVEEIGGEGRLLRACQVIIKAYKEAGLVLDKDAQQPPKLHATLMNARHRKWSLKKKKKYKGSDSFDARGVFLRFGSENWGDYLIREAHLSQRFKFSETGYYHCCTSIPFPETMQVD >DRNTG_14406.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:17439679:17440499:-1 gene:DRNTG_14406 transcript:DRNTG_14406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSNYLSLWQAMNHIMHQEDLHIKTARIYEVSSNDAMAAKVDVLTRKLNLLMGSSSRSESVMSGSTCGEVLAKFMLSTNTKFNSITSSIDAQFGKVNAQLTQHAKQFSEIGSILRNFQASIKSLEYQVGELAKANSEWPLGCLPSNTEDNPRNT >DRNTG_10114.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30440029:30445026:-1 gene:DRNTG_10114 transcript:DRNTG_10114.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCHLLRPLSSSHHYFFSPASRVTGCITQRHCSNFQTHKKINGDNIQRFKGCFMDPHTKHSNQNTAQHRRSYQCIPLNASSGHPLESEPQIYESKSPWSLILASLNALYKFSRPHTVIGTAMGIISVSLLAVENMSDLSPLFFTGLMEAVVAALFMNIYIVGLNQLFDIEIDKVNKPYLPLASGEYSVRTGVAVVLVSVIMSFGIGWVVGSWPLFWALFISFILGTAYSINLPLLRWKRFAVVAAICILAVRAVIVQLAFFLHIQTFVFRRPAIFSRPLIFATAFMSFFSVVIALFKDIPDIDGDRIFGIRSFTVRLGQQKVFWICVYLLEMAYAVAMVIGGTSSCFWSKCVTVIGHAILASALWNHARSLDLKSKTAITSFYMFIWKLFYAEYLLIPLVR >DRNTG_10114.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30440029:30445026:-1 gene:DRNTG_10114 transcript:DRNTG_10114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCHLLRPLSSSHHYFFSPASRGCITQRHCSNFQTHKKINGDNIQRFKGCFMDPHTKHSNQNTAQHRRSYQCIPLNASSGHPLESEPQIYESKSPWSLILASLNALYKFSRPHTVIGTAMGIISVSLLAVENMSDLSPLFFTGLMEAVVAALFMNIYIVGLNQLFDIEIDKVNKPYLPLASGEYSVRTGVAVVLVSVIMSFGIGWVVGSWPLFWALFISFILGTAYSINLPLLRWKRFAVVAAICILAVRAVIVQLAFFLHIQTFVFRRPAIFSRPLIFATAFMSFFSVVIALFKDIPDIDGDRIFGIRSFTVRLGQQKVFWICVYLLEMAYAVAMVIGGTSSCFWSKCVTVIGHAILASALWNHARSLDLKSKTAITSFYMFIWKLFYAEYLLIPLVR >DRNTG_03020.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:16722668:16735483:1 gene:DRNTG_03020 transcript:DRNTG_03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNTIKEIECKSNESIKKPPRWSCRWSCGEPSTRRPKIPSSGIGYASIEAPLPTFFLMESRCRSHRTSPKPWPIPLETLAEVLSQVGENMEKRIAKLGLKSALNRARIGRLQGRV >DRNTG_29405.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1902490:1904611:-1 gene:DRNTG_29405 transcript:DRNTG_29405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKKPELFELSNGKITAKLTNVGATITSLLIPDAKGDLADVALGFDSVDTYLDENPVYLGCIVGRVANRIKKGKFKLNGVEYSLAINNPPNIHSLHGGNKGFNRVLWDVTEHKTGENPSITFKYDSKDGEEGYPGDVAVTATYSLPSSSSLKVDMEAVPHNKATPISLAQHTYWNLAGHSSGDILDHSIQIWATQFTPVDETEIPTGEIKAVTGTPFDFLKENKVGSRINEVRGGYDHNYVLDCGEEKLGLKHVAKVKDPKSSRVLNLWADAPGVQFYTGNYLDGITGKHGAKYEKHAGLCLETQGFPNAINQQNFPSVVVKPGETYKHSMLFEFSTE >DRNTG_01632.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1062828:1070398:1 gene:DRNTG_01632 transcript:DRNTG_01632.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGETGDDQGSGWFEVKKKNRTSSKLATQKVSGGSSSKANTYFARNQVSDNDEAGKFHGRKPAQPFKSGFVSADGPGLPLVKDDESGKSVDKVAANQENEPPRKDASMTMKVTNLEGGVKDFQGVSIKKSADDVAVPKIKWGNLEDECLLVPGNSVVSSMSVKPKHNDVDVNLQVSPSTPLVVDETINGYQITEQFPSGVESSVGETSMEDVELLNSNSEGVLKNVTNPGESDPIVLVEAIGNRLSKIDEEAESMAVERTHNASMIGSSDITKEFNVPENVGSQADPERSTLLEHQKNSFEDSVSASGGAAVKEGNVLQNSSVDGTELGENESAESKERFRQRLWCFLFENLNRAVDELYLLCELECDVEQMDEAILVLEEAASDFRELKSRVEHFDSIKRSPSQSPKTGTSLSSKTDHRRPHALSWEVRRMTNSPHRAEILSSSLEAFKKIQMERAGMLARDDVKASSAHTSSLEIPIGPYRTSPKASDEVATEHQMQSEKQAGVLDSDQGLIITKKQNVYMSNQSRANTAVKECMTPESLLASVSGKSKREPLEPISETQKELYKRDKLPVENRLHKQSKTTDVVKRPSSLTDKEKEKEKEKRNSAPWKSMDAWKEKRNWEDILKSPMRTSSRVSHSPGMSRKGQERARVLRDKLMSPEKRKKTALDMKREAEEKHARAMRIRNQLENERMQRLQRTSEKVNRVNEWQAVRSLKLREGMYARHQRSESRHEAYLAQVAKRAGDESSKVNEVRFITSLNEENKKLMLRQKLHDSEMRRAEKLQVIRTKQKEDTAREEAVLERRKLLEAEKLQRLAETQRKKEEAQVRREEERKASSAAREARVIEQLRRKEVRAKAQQEEAELLALRLAERLRESEQRRKFYLEQIREKASMDFRDQSSPLLRRSVNKDGYNRSAPTNSVEDFHTCLAAPGLITTTQQQSLKRRIKKIRQRLMALKHEFVEPSLGPENTGVGYRALVGGARAKIGRWLQDLQRHRQARKEGAASIGLIVGDMVKFLEGREPELHASRQAGLIDFIASALPASHTSKPEACQVTVYLLRLLRVVLSLSANRSYFLVQNLLPPIIPMLSASLENYIKIAASSSPGATNNMPSKASIENLVSIAEILDGFLWTVTTIIGHAHVDERQLQMQDGLVELIVAYQVIHRLRDLFALYDRPQVEGAPFPSSILLSLNLLTVLTCRPASASSIDWESCVAKPAIAYEILEASPGKKSLDLSNSSTMNNPSGDSITVMNQVTGESDHKDGGDKLASRTDDPESMEVDVQSMKKPSDNSVYSNNADGGPEGSTGIALNEPQNIMSEEKAKLRLPQKDGKNPMDDSSEKKGVAENMVHEDIESKNEVNLKQPVVFLLSAVVETSLVSLPSLLTAVLLQANNRMSSDQASYVFPMNFEEVATGVLKVLNNLAILDITLLQSMLARSDLKMEFFHLMSFLLSHCTSKWRTTNDRVGLLLLESLLLLGYFALFHPENQAVLRWGKSPTILHKVCDLPFVFFSDPELTPILAGTLIAACYGCDQNRGVVQQELSIDMLLSLLKSCRQGLLSLHSDSSPPDNSVPNDQSDVNSQLVLEAKKTQQVDLSIRSNRRNNRVLGRGGVSSGSTRGVKVKTQRDNNRGSKTCDEWAQKHSLPASEASSTFMLHRRLPSSFLDKAEEFFSAAISPL >DRNTG_01293.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1929726:1932263:1 gene:DRNTG_01293 transcript:DRNTG_01293.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGGDEAFPFAGAAFSAFVAGHEPGALPPPAREEHGKGWELVPSAIYDDCSIFPPSHHEGLHLRQDPDAHPSLPSDRDTEPSSPVVEKEEWARRARRVSESARRLVAWGVELFDDKIGFSGTVWPYAAAVVAVAAVVLARRRHRKEKNNLLLLLLQEKDQRINLLLNQIELMREIIAANNQVYHPAESLTVLWLLEVQIYIRKDELNQAPWHPG >DRNTG_04210.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20806949:20820132:-1 gene:DRNTG_04210 transcript:DRNTG_04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRPWRHGLLGSRLLISFVCGLLLGSSLVIAGDAERGNGTDSFADMFDRALQKEFFESEQNETESGSFNNSVTENQAVLETVARVTTKKNDTKEEKSFQFHDVFNLDSENRAEDMPTLIDRKDNVFIISNPKSKYPVLKLDLRLISDLVVVIVSATCGGIAFACVGQPVITGYLLAGSVIGPGGFSFVSEMVQVETVAQFGVIFLLFALGLEFSMTKLRVVRAVAVLGGLLQIFLFMCLCGITASLCGGKPSEGVFVGAFLSMSSTAVVLKFLMERNSINSLHGQVTVGTLILQDCAVGLLFALLPVLGGTSGILQGVISMTKSLVVLCTFLTILSILSRTCVPWFLKLMISLSSQTNELYQLAAVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLSQHTLEQIEPIRNFFAALFLASIGMLIHVHFLWNHVDILLACNFGDHNKDSSCGNCNKGIWLHK >DRNTG_24145.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26274438:26279362:1 gene:DRNTG_24145 transcript:DRNTG_24145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRGARDPVNILFGWVRRQSVRVQVFLGAVAALVALVGLKIFVRDYEHFYIASEAVHAAGILLLIYKLTRHKTCSGLSLKSQELTAIFLAVRLYCSFIFEKDIHTILDFATLAPTLWVIYMIRYKLNSSYDKELDNMPRYYLVLSSVVIAVFVHPISSRLGFNSILWAFCMYLESISVLPQLRVMQNAKMVEPFTAHYVFALGVARFLGCAHWILRLIESRGAFLYHVGTGFYWTIANLTAEGVQTFILADFCYYYIKSAMYDQLLRSLSSPV >DRNTG_13914.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19715470:19719051:-1 gene:DRNTG_13914 transcript:DRNTG_13914.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSFHFHFLILVFLSLVIELSGEAQCHQEQASALLRLKNGFSSMKNLTRPWIRGTNCCSWEGVTCDHVSGLVIFLDLGNRDISGNISAALFELTSLQRLNLSYNLFNGSVIPVSGFEMLGNLTHLNLSNSGFAGQVPSGISRLKKLVSLDLSTYFFNGGELETPDLGELIGGLSNLQKLYLDGVNLSSNSMDWCQVIAKSAPRLQALSLSSCSLSGPIHESLSKLRNLSVIHLDQNNFSSQVPEFFGNFSYLNELSLVDCELHGLFPPSVFQLMNLKALDVSLNPMLSGYLPEFPKESSLEKLIVEYTNFSGSLPESLGNLKSLTKLALTSCNFSGPIPLSIGKLNQLVHLDLSLNGFIGKIPPHVGGERISQIILSHNSFTGDIPQYFGRLQNLTKLDLKNNSLNGSIPVTLFTLPALQVLQLNQNRLAGKLEEFSNASSTLNTVDLSDNELQGDIPKSIIKLSNLKYLALASNNFSGTLELDPIGRMRNLSYLDLSSNKLSILNGNGDFSLLFPSITTLKLVSCNLTIIPPFLEHKLDMTFLDLSNNQIGGAIPKWIWSIYESLLLEFVLQPFHFC >DRNTG_13914.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19715344:19719260:-1 gene:DRNTG_13914 transcript:DRNTG_13914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSFHFHFLILVFLSLVIELSGEAQCHQEQASALLRLKNGFSSMKNLTRPWIRGTNCCSWEGVTCDHVSGLVIFLDLGNRDISGNISAALFELTSLQRLNLSYNLFNGSVIPVSGFEMLGNLTHLNLSNSGFAGQVPSGISRLKKLVSLDLSTYFFNGGELETPDLGELIGGLSNLQKLYLDGVNLSSNSMDWCQVIAKSAPRLQALSLSSCSLSGPIHESLSKLRNLSVIHLDQNNFSSQVPEFFGNFSYLNELSLVDCELHGLFPPSVFQLMNLKALDVSLNPMLSGYLPEFPKESSLEKLIVEYTNFSGSLPESLGNLKSLTKLALTSCNFSGPIPLSIGKLNQLVHLDLSLNGFIGKIPPHVGGERISQIILSHNSFTGDIPQYFGRLQNLTKLDLKNNSLNGSIPVTLFTLPALQVLQLNQNRLAGKLEEFSNASSTLNTVDLSDNELQGDIPKSIIKLSNLKYLALASNNFSGTLELDPIGRMRNLSYLDLSSNKLSILNGNGDFSLLFPSITTLKLVSCNLTIIPPFLEHKLDMTFLDLSNNQIGGAIPKWIWSIYESLLLEFVLQPFHFC >DRNTG_13914.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19715470:19719260:-1 gene:DRNTG_13914 transcript:DRNTG_13914.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSFHFHFLILVFLSLVIELSGEAQCHQEQASALLRLKNGFSSMKNLTRPWIRGTNCCSWEGVTCDHVSGLVIFLDLGNRDISGNISAALFELTSLQRLNLSYNLFNGSVIPVSGFEMLGNLTHLNLSNSGFAGQVPSGISRLKKLVSLDLSTYFFNGGELETPDLGELIGGLSNLQKLYLDGVNLSSNSMDWCQVIAKSAPRLQALSLSSCSLSGPIHESLSKLRNLSVIHLDQNNFSSQVPEFFGNFSYLNELSLVDCELHGLFPPSVFQLMNLKALDVSLNPMLSGYLPEFPKESSLEKLIVEYTNFSGSLPESLGNLKSLTKLALTSCNFSGPIPLSIGKLNQLVHLDLSLNGFIGKIPPHVGGERISQIILSHNSFTGDIPQYFGRLQNLTKLDLKNNSLNGSIPVTLFTLPALQVLQLNQNRLAGKLEEFSNASSTLNTVDLSDNELQGDIPKSIIKLSNLKYLALASNNFSGTLELDPIGRMRNLSYLDLSSNKLSILNGNGDFSLLFPSITTLKLVSCNLTIIPPFLEHKLDMTFLDLSNNQIGGAIPKWIWSIYESLLLEFVLQPFHFC >DRNTG_13914.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19715470:19719107:-1 gene:DRNTG_13914 transcript:DRNTG_13914.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSFHFHFLILVFLSLVIELSGEAQCHQEQASALLRLKNGFSSMKNLTRPWIRGTNCCSWEGVTCDHVSGLVIFLDLGNRDISGNISAALFELTSLQRLNLSYNLFNGSVIPVSGFEMLGNLTHLNLSNSGFAGQVPSGISRLKKLVSLDLSTYFFNGGELETPDLGELIGGLSNLQKLYLDGVNLSSNSMDWCQVIAKSAPRLQALSLSSCSLSGPIHESLSKLRNLSVIHLDQNNFSSQVPEFFGNFSYLNELSLVDCELHGLFPPSVFQLMNLKALDVSLNPMLSGYLPEFPKESSLEKLIVEYTNFSGSLPESLGNLKSLTKLALTSCNFSGPIPLSIGKLNQLVHLDLSLNGFIGKIPPHVGGERISQIILSHNSFTGDIPQYFGRLQNLTKLDLKNNSLNGSIPVTLFTLPALQVLQLNQNRLAGKLEEFSNASSTLNTVDLSDNELQGDIPKSIIKLSNLKYLALASNNFSGTLELDPIGRMRNLSYLDLSSNKLSILNGNGDFSLLFPSITTLKLVSCNLTIIPPFLEHKLDMTFLDLSNNQIGGAIPKWIWSIYESLLLEFVLQPFHFC >DRNTG_13914.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19715344:19719051:-1 gene:DRNTG_13914 transcript:DRNTG_13914.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSFHFHFLILVFLSLVIELSGEAQCHQEQASALLRLKNGFSSMKNLTRPWIRGTNCCSWEGVTCDHVSGLVIFLDLGNRDISGNISAALFELTSLQRLNLSYNLFNGSVIPVSGFEMLGNLTHLNLSNSGFAGQVPSGISRLKKLVSLDLSTYFFNGGELETPDLGELIGGLSNLQKLYLDGVNLSSNSMDWCQVIAKSAPRLQALSLSSCSLSGPIHESLSKLRNLSVIHLDQNNFSSQVPEFFGNFSYLNELSLVDCELHGLFPPSVFQLMNLKALDVSLNPMLSGYLPEFPKESSLEKLIVEYTNFSGSLPESLGNLKSLTKLALTSCNFSGPIPLSIGKLNQLVHLDLSLNGFIGKIPPHVGGERISQIILSHNSFTGDIPQYFGRLQNLTKLDLKNNSLNGSIPVTLFTLPALQVLQLNQNRLAGKLEEFSNASSTLNTVDLSDNELQGDIPKSIIKLSNLKYLALASNNFSGTLELDPIGRMRNLSYLDLSSNKLSILNGNGDFSLLFPSITTLKLVSCNLTIIPPFLEHKLDMTFLDLSNNQIGGAIPKWIWSIYESLLLEFVLQPFHFC >DRNTG_13914.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19715344:19719107:-1 gene:DRNTG_13914 transcript:DRNTG_13914.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSFHFHFLILVFLSLVIELSGEAQCHQEQASALLRLKNGFSSMKNLTRPWIRGTNCCSWEGVTCDHVSGLVIFLDLGNRDISGNISAALFELTSLQRLNLSYNLFNGSVIPVSGFEMLGNLTHLNLSNSGFAGQVPSGISRLKKLVSLDLSTYFFNGGELETPDLGELIGGLSNLQKLYLDGVNLSSNSMDWCQVIAKSAPRLQALSLSSCSLSGPIHESLSKLRNLSVIHLDQNNFSSQVPEFFGNFSYLNELSLVDCELHGLFPPSVFQLMNLKALDVSLNPMLSGYLPEFPKESSLEKLIVEYTNFSGSLPESLGNLKSLTKLALTSCNFSGPIPLSIGKLNQLVHLDLSLNGFIGKIPPHVGGERISQIILSHNSFTGDIPQYFGRLQNLTKLDLKNNSLNGSIPVTLFTLPALQVLQLNQNRLAGKLEEFSNASSTLNTVDLSDNELQGDIPKSIIKLSNLKYLALASNNFSGTLELDPIGRMRNLSYLDLSSNKLSILNGNGDFSLLFPSITTLKLVSCNLTIIPPFLEHKLDMTFLDLSNNQIGGAIPKWIWSIYESLLLEFVLQPFHFC >DRNTG_17179.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10539878:10544238:-1 gene:DRNTG_17179 transcript:DRNTG_17179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPFASPRLKYGLGKYFRTGTIAEYCRKRASPFRSGVIDSTSVPRVLCGHNRVNGLRDEPPLGLSCVITRGNPSILIEGDPELERTLRRKGKELVQE >DRNTG_32766.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20134567:20138933:-1 gene:DRNTG_32766 transcript:DRNTG_32766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAHADQALSLIRRLADRLNRRVSEIFALLLNHKNAGSLGALAGLAITVVFAWKFLRPPAGGRRRNVPKRRDSQAASDRRAPSNSVPSVDESPSTEASVKEFDAVELTPGQILKKKLSGCRKITIQLLGVVLEERTPEELQEHATVRQSVVEVLLEMAKYTDVYLMETVLDDESEERVLSALDSAGLFQTGGLVKDKVLFCSTEIGRSSFVRQLESDCHIDTNLEIISQLSRFIRLLVHISPEPLGQMASNIFSSMTLEQYFSTIG >DRNTG_11656.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:171087:175093:1 gene:DRNTG_11656 transcript:DRNTG_11656.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAGLYLLSLPIHPLPCPKTSSSRPPTPTLVTELSIPRQRRVAALRARRSGTDLKSDPLDLSDSSRESSSIGFHKATSLESSAPNSEQLRWMAGLEALPKRWVIVIMCFSSFLLCNMDRVNMSIAILPMSAEFNWSPSTVGLIQSSFFWGYLLTQIAGGIWADTVGGKRVLGFGVVWWSIATALTPIAAKIGLPFLLVVRAFMGIGEGVAMPAMNNILSKWVPVAERSRSLALVYSGMYLGSVAGLAFSPLLIHKYGWPSVFYSFGSLGTVWFAIWLTKAYSSPLEDPEVSAEEKSLISSNSVLKEPVTEIPWRMILSKPPVWALIGSHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWFTMAVSANVGGWIADTLVSKGVSVTVVRKIMQSIGFLGPAFFLTQLSHVNSPTMAVLCMTCSQGTDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVLGTAATGYILQHGTWDDVFKVSVALYLTGTVVWNLFSTGEKILD >DRNTG_00133.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2320312:2320793:1 gene:DRNTG_00133 transcript:DRNTG_00133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTATDTGGNPYGDFGKNHDPSGIPEGGLEQLTDNEYDENPFHNVGAVNKAV >DRNTG_25163.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:9806026:9809474:-1 gene:DRNTG_25163 transcript:DRNTG_25163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVEFFECWNMNINAYETEAFICCDKKEDPNLIIVAFRGTTDLNDWKCDFNLSLIKMSDMGMVHMGFMTSLGLDTKKACSGNNWDINKGFPKDYTGNKPLAYYSIRKVVKNLVRKHKNAKILLTGHSLGGALAILYTSLLAMHEENDLMKEISCVITFGQPRVGDSIFGDTMLKIIGKKYIRMVYRYDIVPRIPFKLPFVLKFDHFGACISYHGWYFGKMVKRLPNMNYFNVFYCQTMFWDAVVDLLRAIWGIKNGEWEGVMATLFRGFWIIFPGVAFHNTRDYLNSVRMAKIAQ >DRNTG_16087.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25558197:25561540:-1 gene:DRNTG_16087 transcript:DRNTG_16087.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDNKGTVLMERYELGRQLGQGTFAKVYYARNIKTTQSVAIKVIDKEKVLKVGLMDQIKREISVMRLVRHPNIVQLYEVMASKTKVYFVIEYVKGGELFNKVAKGRLKEDAARKYFQQLIDAVDFCHSRGVYHRDLKPENLLLDENGNLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVISRKGYDGARADIWSCGVILFVLLAGYLPFHDSNLMEMYRKIGRGEYKCPNWFPYEARKLLSKILDPNPSTRISITKIKENSWFKKGFVDKSMDIESETTEAVPGDVNEALESSNGNIVDKKQESVKPANLNAFDIISLSAGFDLSGLFEEQQHHRKEARFTSMQSATTIILKLEDLAKRLRLKIMKKDGGLLKMIRPREGRKGVLAIDAEIFEITSQCHLVEIKKSNGDTLEYQKLWKEQMRPALKDIVWAWQGDQLLQQQQQQQQQQQQQPQPEQQPQSEQQQQQS >DRNTG_12368.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7489313:7498068:-1 gene:DRNTG_12368 transcript:DRNTG_12368.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM REPEAT PROTEIN INTERACTING WITH ABF2 [Source:Projected from Arabidopsis thaliana (AT5G19330) UniProtKB/Swiss-Prot;Acc:B9DHT4] MRLRKGALFALGLLAVKPEHQQLIVDAGALPLLVSLLGRHKKGSISRSVNSVIRRAADAITNLAHENSNIKSFVRIEGGIPPLVELLEFTDVKVQRAAAGALRTLAFKNDENKSQIVEFNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPSIKKEVLLAGALQPVIGLLSSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVRPLIEMLQSPDIQLKEMSAFALGRLAQDSHNQAGIAYNGGLVPLLKLLDSKNGSLQHNAAFALYGIADNEDNISDFIKVGGIQKLQEGEFIVQATKDCVAKTLKRLEE >DRNTG_12368.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7489313:7496397:-1 gene:DRNTG_12368 transcript:DRNTG_12368.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM REPEAT PROTEIN INTERACTING WITH ABF2 [Source:Projected from Arabidopsis thaliana (AT5G19330) UniProtKB/Swiss-Prot;Acc:B9DHT4] MLRSEDAAIHYEAVGVIGNLVHSSPSIKKEVLLAGALQPVIGLLSSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVRPLIEMLQSPDIQLKEMSAFALGRLAQDSHNQAGIAYNGGLVPLLKLLDSKNGSLQHNAAFALYGIADNEDNISDFIKVGGIQKLQEGEFIVQATKDCVAKTLKRLEE >DRNTG_11191.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2096097:2099644:1 gene:DRNTG_11191 transcript:DRNTG_11191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRRALSSKALFSLRDQRHFRTLSHFPKLLTGEIVREAPLRDNCPADRLGFNFFVGNRRGLAKGRKSKDEDESDTVRAVPDMGPVKTTAQSQMDAAVVALARELSKLRTGRASAGMLDHVIVETGGVKLSLNRVAVVSVIDAQTLSVMPYDPNTLKALEQAIVSSPLGINPTPDSQRLIAAIPPLTKENVQALCKVVAKSAEDVKQSIRRARQKALDSIKKAASSLPKDDIKRLEKEVDEMTKRFVKTADDMCKAKEKEISGS >DRNTG_16059.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25708821:25715984:1 gene:DRNTG_16059 transcript:DRNTG_16059.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQMLQDGIIEQSTSPFSSRVLLVKKKDGSWRFCIDYRALNAITIKDAFPIPAVDELLDELHGARIFSKLDLRSGYHQVLLHSEDRHKTAFRTHHGHFQWLVMPFGLSNAPATFQALMNGIFSFAMRKFVLIFFDDILVYSVDWESHIKHLEIVLLALLDNHLYAKYSKCCFGMYQIDYLGHLVSAEGVKMDTSKVESILNWPVPATVKQLRGFLGLSGYYRRFIHRYAAIAGPLTDLLKKDNFQWSDSAQSAFLELKTSISSAPVLKLPDFSLPFVLETDASGTGIGAVLSQNNHPIAFFSRKLTPNMQKQSAYVRELFAVTEAVGKFRHYLIGQRFIIRTDQESLKHLCQQTIQTPEQQRWLPKLLGYNFSIEYKPGKENLAADALSRQFMAFSSVQSDILNQIIQCQLNDPFCADMIHQLQQHKTVDSHYSWKHNILWYDHKIFIPDNGSLKNLLLYEFHATPVGGHAGSLRTFTRLANQYMWKGMRQDVLHFIRNCLVCQRAKESHTHPGGLLQPLPIPHQIWEDIAMDFILGLPVSKGFSVIMVIVDRLSKFGHFIPLKSDFTSVSVAEAFIQNIVKLHGVPNSIVTDRDRRFLSKFWQTLFKAMGTTLSMSSSYHPQTDGQSEALNKCLELYLRCFVSENPRNWVNLLPWAQFWYNTSFHYSAAMSPFKIVFGRDPPQLLTYYSNDQDPPEVALLLQQRDRVLQQLKQNLLKAQVRMKKMADKKRTEISFEVGDFVLVKLQPYRQHSLALRRNQKLGMRYFGPFRVLQRIGTVAYKLQLPDNAKIHPVFHVSLLKKCHTSDNLVSSSIPLSFLTDEHGPLLQPQAILQNRDILHNGRVIRQVLVKWEDDYHTWEDAAHVAKNYPDLDLEDKIFENGGGIVTGSIEQMAPICDKGGEKNFKDRHVLGRSLVESVEQLYSSTAADEATEKMVGRRSGRVRRENQRLKGFVTGDSWSYGSKGRGTTYH >DRNTG_16059.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25705307:25715984:1 gene:DRNTG_16059 transcript:DRNTG_16059.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARMGVLAAILLISFVSTLSDASAGLRLRDLLADGPVKDKKPDSQKDSSVPPPGIQPKGGDEKPPPPSHPKKLTDPSSPPPQAGKSNPVNHAVPPPPPAGSGTIPKGSSSPPPSKGSSSPPPPSPSHVPHKETNLSPPPPPAPVEQDNEANPGSNGKGNGKNNEDEKGSGDKVQEDVCKGLPIRCSAPDLVGCLRYPGTDPKGSILVQNTGTSNLTVQIVAPSSVKPVTNLLNLNKQQNQEINFSTNAMESFQIILKTKNGSCFLKTTTSFGFDIEKFPAYATNAAQIYGIYILIFTILVAGGTWACCKFVRKRRRTDTGVPYQQLEMGALTHISSAEMHGSTADGWEQGWGDDWDDEEVVVPSSAKHPTGNASSNGLTSRSTTKDGWEDWDD >DRNTG_16059.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25705307:25707123:1 gene:DRNTG_16059 transcript:DRNTG_16059.6 gene_biotype:protein_coding transcript_biotype:protein_coding MADHTRQKDLNAKLDLILSTMDQREERLLMAMDQRDEKVKQLEQAL >DRNTG_16059.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25705307:25716085:1 gene:DRNTG_16059 transcript:DRNTG_16059.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMGVLAAILLISFVSTLSDASAGLRLRDLLADGPVKDKKPDSQKDSSVPPPGIQPKGGDEKPPPPSHPKKLTDPSSPPPQAGKSNPVNHAVPPPPPAGSGTIPKGSSSPPPSKGSSSPPPPSPSHVPHKETNLSPPPPPAPVEQDNEANPGSNGKGNGKNNEDEKGSGDKVQEDVCKGLPIRCSAPDLVGCLRYPGTDPKGSILVQNTGTSNLTVQIVAPSSVKPVTNLLNLNKQQNQEINFSTNAMESFQIILKTKNGSCFLKTTTSFGFDIEKFPAYATNAAQIYGIYILIFTILVAGGTWACCKFVRKRRRTDTGVPYQQLEMGALTHISSAEMHGSTADGWEQGWGDDWDDEEVVVPSSAKHPTGNASSNGLTSRSTTKDGWEDWDD >DRNTG_16059.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25705307:25715984:1 gene:DRNTG_16059 transcript:DRNTG_16059.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARMGVLAAILLISFVSTLSDASAGLRLRDLLADGPVKDKKPDSQKDSSVPPPGIQPKGGDEKPPPPSHPKKLTDPSSPPPQAGKSNPVNHAVPPPPPAGSGTIPKGSSSPPPSKGSSSPPPPSPSHVPHKETNLSPPPPPAPVEQDNEANPGSNGKGNGKNNEDEKGSGDKVQEDVCKGLPIRCSAPDLVGCLRYPGTG >DRNTG_16059.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25705307:25715984:1 gene:DRNTG_16059 transcript:DRNTG_16059.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARMGVLAAILLISFVSTLSDASAGLRLRDLLADGPVKDKKPDSQKDSSVPPPGIQPKGGDEKPPPPSHPKKLTDPSSPPPQAGKSNPVNHAVPPPPPAGSGTIPKGSSSPPPSKGSSSPPPPSPSHVPHKETNLSPPPPPAPVEQDNEANPGSNGKGNGKNNEDEKGSGDKVQEDVCKGLPIRCSAPDLVGCLRYPGTG >DRNTG_19419.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16842982:16845425:-1 gene:DRNTG_19419 transcript:DRNTG_19419.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCCRRKTNHQKVMEVQKITQTIQELEESLLATSAATYTLCEVSQLNVGYQSIHLTINWRSAILVLWKYQSIDHYMQIILITTQIKQQIHSNSKT >DRNTG_19419.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16842049:16842842:-1 gene:DRNTG_19419 transcript:DRNTG_19419.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYRGVRKRPWGKYAAEIRDPNRKGSHVWLGTFDTAVEAAKAYDRAALQMRGCKAIWQRCK >DRNTG_19419.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16842049:16845463:-1 gene:DRNTG_19419 transcript:DRNTG_19419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYRGVRKRPWGKYAAEIRDPNRKGSHVWLGTFDTAVEAAKAYDRAALQMRGCKAIWQRCK >DRNTG_19419.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16842049:16845425:-1 gene:DRNTG_19419 transcript:DRNTG_19419.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYRGVRKRPWGKYAAEIRDPNRKGSHVWLGTFDTAVEAAKAYDRAALQMRGCKAIWQRCK >DRNTG_19419.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16842011:16845425:-1 gene:DRNTG_19419 transcript:DRNTG_19419.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYRGVRKRPWGKYAAEIRDPNRKGSHVWLGTFDTAVEAAKAYDRAALQMRGCKAIWQRCK >DRNTG_19419.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16842011:16842842:-1 gene:DRNTG_19419 transcript:DRNTG_19419.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYRGVRKRPWGKYAAEIRDPNRKGSHVWLGTFDTAVEAAKAYDRAALQMRGCKAIWQRCK >DRNTG_19529.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:119527:123057:1 gene:DRNTG_19529 transcript:DRNTG_19529.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVPFLYILGAFIPATMIAVLYYFDHSVASQLAQQKEFNLQKPASFHYDLLLLGFLTLLCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLVETARESLRQNSSLGQLYGSMQQAYQHMQTPLIYQDQSARGLRELKESTIQMASSMGNIDAPVDETVFDIEKEIDDLLPVEVKEQRVSNLLQAMMVGGCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRFKVLEEYHATFVETVPFKTITTFTLFQTVYLLVCFGITWIPIAGVLFPLMIMLLVPVRQYFLPKLFKGAHLTDLDAAEYEELPALQFNLALETDLGARRSHAEAAEILDAVVTRSRGEVKHINSPKVTSSTSTPASNIRSIQSPRLSEKAYSPRLNELRQEPSPHSGARGYSPRTSKLGDSSAKTSSN >DRNTG_19529.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:119527:123057:1 gene:DRNTG_19529 transcript:DRNTG_19529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTFMFNFAKDRSDLGPKLFLAWTAWVCVWTAGLLFLLSILGACSIINRFTRVAGELFGMLIAMLFMQQAIEGVIDEFRIPKREDPRALEFVPSWRFGNGMFALVLSFGLLITALRSRKARSWRYGTGWLRGFIADYGVPLMVLVWTGVSYIPSSSVPKGIPRRLFSPNPWSPGAYENWTVIKEMLHVPFLYILGAFIPATMIAVLYYFDHSVASQLAQQKEFNLQKPASFHYDLLLLGFLTLLCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLVETARESLRQNSSLGQLYGSMQQAYQHMQTPLIYQDQSARGLRELKESTIQMASSMGNIDAPVDETVFDIEKEIDDLLPVEVKEQRVSNLLQAMMVGGCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRFKVLEEYHATFVETVPFKTITTFTLFQTVYLLVCFGITWIPIAGVLFPLMIMLLVPVRQYFLPKLFKGAHLTDLDAAEYEELPALQFNLALETDLGARRSHAEAAEILDAVVTRSRGEVKHINSPKVTSSTSTPASNIRSIQSPRLSEKAYSPRLNELRQEPSPHSGARGYSPRTSKLGDSSAKTSSN >DRNTG_19529.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:120118:123057:1 gene:DRNTG_19529 transcript:DRNTG_19529.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYTFMFNFAKDRSDLGPKLFLAWTAWVCVWTAGLLFLLSILGACSIINRFTRVAGELFGMLIAMLFMQQAIEGVIDEFRIPKREDPRALEFVPSWRFGNGMFALVLSFGLLITALRSRKARSWRYGTGWLRGFIADYGVPLMVLVWTGVSYIPSSSVPKGIPRRLFSPNPWSPGAYENWTVIKEMLHVPFLYILGAFIPATMIAVLYYFDHSVASQLAQQKEFNLQKPASFHYDLLLLGFLTLLCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLVETARESLRQNSSLGQLYGSMQQAYQHMQTPLIYQDQSARGLRELKESTIQMASSMGNIDAPVDETVFDIEKEIDDLLPVEVKEQRVSNLLQAMMVGGCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRFKVLEEYHATFVETVPFKTITTFTLFQTVYLLVCFGITWIPIAGVLFPLMIMLLVPVRQYFLPKLFKGAHLTDLDAAEYEELPALQFNLALETDLGARRSHAEAAEILDAVVTRSRGEVKHINSPKVTSSTSTPASNIRSIQSPRLSEKAYSPRLNELRQEPSPHSGARGYSPRTSKLGDSSAKTSSN >DRNTG_19529.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:119527:123057:1 gene:DRNTG_19529 transcript:DRNTG_19529.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDLCRVCVWTAGLLFLLSILGACSIINRFTRVAGELFGMLIAMLFMQQAIEGVIDEFRIPKREDPRALEFVPSWRFGNGMFALVLSFGLLITALRSRKARSWRYGTGWLRGFIADYGVPLMVLVWTGVSYIPSSSVPKGIPRRLFSPNPWSPGAYENWTVIKEMLHVPFLYILGAFIPATMIAVLYYFDHSVASQLAQQKEFNLQKPASFHYDLLLLGFLTLLCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLVETARESLRQNSSLGQLYGSMQQAYQHMQTPLIYQDQSARGLRELKESTIQMASSMGNIDAPVDETVFDIEKEIDDLLPVEVKEQRVSNLLQAMMVGGCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRFKVLEEYHATFVETVPFKTITTFTLFQTVYLLVCFGITWIPIAGVLFPLMIMLLVPVRQYFLPKLFKGAHLTDLDAAEYEELPALQFNLALETDLGARRSHAEAAEILDAVVTRSRGEVKHINSPKVTSSTSTPASNIRSIQSPRLSEKAYSPRLNELRQEPSPHSGARGYSPRTSKLGDSSAKTSSN >DRNTG_25048.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8948107:8957554:-1 gene:DRNTG_25048 transcript:DRNTG_25048.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNKQIEDQFLKLHPCLPIETRIAIIGAGPSGLSAAYALAKLGYLNVTIFEKYHAVAGMCESVDIEGRIYDLGGQVTAANSAPTISYLAEELGIEFEEMGSHKLALIDSQTGTYQNMEVADDYVSIMPLTLKLQDEASKSGCIGVHAVSEAASDPTLDFLKLHGLPFVPKSVAYGYTSSGYGFVQDMPYAYVQEFTRTSMAGKIRRIKGGYKSMWQKLSDSLPYEVLCNTEVLKIGRNDNGANVTIQNTAGEQKVLEFDKIIISGALPFKNGRIYRGPETIATLESEVLELNDLERELFGVVRTIDYYTTVLKIKGLRHLPIGFYYFAEFLEDPATIGHPVAMQRFYEDTDIFLFWSYGNSGHIRGTTVAKNVVDVVKTMGGIVEKVVLQRRFKYFPHVNSEVVKSGFYEKLECELQGFQNTYYVGGLMAFELTERNSSYAMAMVCKHFTTDNSMPIFPYVKGLFPLVSSQKAQFHRELGELPGIEFPELPTLDSYLKFWGTHSITENKMLYSWINEEGQVVSQRSYCELYANASVVAHNLIACTKPALKPGDRVLLVHPPGLEFIDAFFGCMRAKLIPVPVLPPDPFQRGGQALLKIENISKACNAVAILSTSSYHAAVRTGFVKQWVTFSKSTPNSSARWPDLPWIHTDSWVKNCNLLDFSGRDVVYGELKPDDLCFLQFTSGSTGDAKGVMITHDGLIHNIKTMRKRYKSTSNTILVSWLPQYHDMGLIGGLFTALVSGGTAILFSPMTFIKNPLLWLQTMSKYKATHSAGPNFAFELVIRRLEANKNSAQAFDLSSMIFLMVAAEPVRQKTIKRFIELCHPFGLSQEVMAPGYGLAENCVFVSCAFGEGKPVFVDWQGRICCGYVDSNDADVKIHIVDPETGREHTEEGKEGEIWISSSSAGIGYWGKQELTQKTFYNKLEGHPGKRFTTTGDLGRVIDRKLFITGRIKDLIIVAGRNIYPADLEKTVENASELLRPGCCAVIGVPEEILSAKGISVPEASDQVGVLVIAELREGKTVAEEIVDNIKTRVAEEHGVNVASVKLIKPKTICKTSSGKIQRIECLKQFVEGTLSLATEAKSARRKPLLRSLTTGTADGQRQRSVSLLGKSTSLLQSSVAGKGIDDIIIFLKGLVSEQTGIHIDKIDAVDSLVSYGIDSIGVVRAAQKLSDFLGIPVGAVDVFSASCISDLAKFSMDLLSKSRPQSTSISTCVLENNSDLLHIGMEGSRFGQIGIALLQLLALIYVSFLLILPAYLSSLASNNLLTLTSSEKAGPFQFLLSLILAPLTWIFYIIFTCFSISLFGNSFLQPNYVLTPEVSIWSLDFVKWWALSKVQETAGKVLAVHLRGTVFLRYWFEMLGARIASSVLLDTVDITDPSLVSIGEGAVIAEGVLIQSHEVRNEVLSFLPIWIGRNASISPYAVIQKGSILGEDAIVPPLQKTEGGKPVLKPGKALDSPKVKVQVVSNKHLSRELLPIFHFLGIYTVGFLSSLSGAILYLLYISLTKSSLRLDYFAFVCIAGAFHWLPAVITAYATFIGSIKCHPVTFAFFVTIAYLGHGVILSILSSIVKILLGNSKTEQTNWTIWLSNRITIACHHRFAKLLSGTEAFCIYLRMMGAKVGHHCSIRAINPVTNPELISIGDGVHLGDFSRIVPGSYSSCGYDCAKIEVHENSVIGSQSLLLSGSIIQEGVILGALSIAPMDSVLQKGGVYVGAQTPTMVKNHLHALDERIEEMDMKYKRIVGNLAGNLAITTMKVKSRYFHRIGVSGKGVLKMYQDLPRLPKHKAFHAGKSFPVIIRHSNSLSADDDARIDARGAAIRILLDKGNEQQTLLDLTLKSGKAFYARAIEDFANWLVCGLPAREQQVKRAPHIREAVWGSLRNTNSYTELHYYSNICRLLRFDDGREMYVKFKIRPFDSHIGEDSGQVEPKGILPPETGAIPREENDKRPLLFLADDFQTRVNSPNHVRYIFQLQLRPVPSNEAECEVALDCTKPWDETMFPYLDVGEITIDQNLTAEDSEKLEFNPFYRCHEVDVIRATSSSQSASLDHGRSLIYEICQYLRNGHPLPGSWRSFIEQSDAKVDLSGCPMAAPVAANNGGVTLARPWYKTLWAASLQPLLQIFMPYFTLGLIIYAPLQWMMLLKEEKKLMLHWLMPFFYVVSGIMASLFCAFAKWVLVGRKLEGDTVMLWSWRVFMDTVWQALRTVIGDYFMEMTCGSFLFGVWMRLMGSKVEQGVYVDSMAAVLNPEMLEIERGGAVGRDAVLFGHIYEGEGGKVKYGKVKICEGGFVGSRAVAMPGVVVDIGGTLSSLSLAMKDEIVKAS >DRNTG_25048.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8938129:8954101:-1 gene:DRNTG_25048 transcript:DRNTG_25048.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSWINEEGQVVSQRSYCELYANASVVAHNLIACTKPALKPGDRVLLVHPPGLEFIDAFFGCMRAKLIPVPVLPPDPFQRGGQALLKIENISKACNAVAILSTSSYHAAVRTGFVKQWVTFSKSTPNSSARWPDLPWIHTDSWVKNCNLLDFSGRDVVYGELKPDDLCFLQFTSGSTGDAKGVMITHDGLIHNIKTMRKRYKSTSNTILVSWLPQYHDMGLIGGLFTALVSGGTAILFSPMTFIKNPLLWLQTMSKYKATHSAGPNFAFELVIRRLEANKNSAQAFDLSSMIFLMVAAEPVRQKTIKRFIELCHPFGLSQEVMAPGYGLAENCVFVSCAFGEGKPVFVDWQGRICCGYVDSNDADVKIHIVDPETGREHTEEGKEGEIWISSSSAGIGYWGKQELTQKTFYNKLEGHPGKRFTTTGDLGRVIDRKLFITGRIKDLIIVAGRNIYPADLEKTVENASELLRPGCCAVIGVPEEILSAKGISVPEASDQVGVLVIAELREGKTVAEEIVDNIKTRVAEEHGVNVASVKLIKPKTICKTSSGKIQRIECLKQFVEGTLSLATEAKSARRKPLLRSLTTGTADGQRQRSVSLLGKSTSLLQSSVAGKGIDDIIIFLKGLVSEQTGIHIDKIDAVDSLVSYGIDSIGVVRAAQKLSDFLGIPVGAVDVFSASCISDLAKFSMDLLSKSRPQSTSISTCVLENNSDLLHIGMEGSRFGQIGIALLQLLALIYVSFLLILPAYLSSLASNNLLTLTSSEKAGPFQFLLSLILAPLTWIFYIIFTCFSISLFGNSFLQPNYVLTPEVSIWSLDFVKWWALSKVQETAGKVLAVHLRGTVFLRYWFEMLGARIASSVLLDTVDITDPSLVSIGEGAVIAEGVLIQSHEVRNEVLSFLPIWIGRNASISPYAVIQKGSILGEDAIVPPLQKTEGGKPVLKPGKALDSPKVKVQVVSNKHLSRELLPIFHFLGIYTVGFLSSLSGAILYLLYISLTKSSLRLDYFAFVCIAGAFHWLPAVITAYATFIGSIKCHPVTFAFFVTIAYLGHGVILSILSSIVKILLGNSKTEQTNWTIWLSNRITIACHHRFAKLLSGTEAFCIYLRMMGAKVGHHCSIRAINPVTNPELISIGDGVHLGDFSRIVPGSYSSCGYDCAKIEVHENSVIGSQSLLLSGSIIQEGVILGALSIAPMDSVLQKGGVYVGAQTPTMVKNHLHALDERIEEMDMKYKRIVGNLAGNLAITTMKVKSRYFHRIGVSGKGVLKMYQDLPRLPKHKAFHAGKSFPVIIRHSNSLSADDDARIDARGAAIRILLDKGNEQQTLLDLTLKSGKAFYARAIEDFANWLVCGLPAREQQVKRAPHIREAVWGSLRNTNSYTELHYYSNICRLLRFDDGREMYVKFKIRPFDSHIGEDSGQVEPKGILPPETGAIPREENDKRPLLFLADDFQTRVNSPNHVRYIFQLQLRPVPSNEAECEVALDCTKPWDETMFPYLDVGEITIDQNLTAEDSEKLEFNPFYRCHEVDVIRATSSSQSASLDHGRSLIYEICQYLRNGHPLPGSWRSFIEQSDAKVDLSGCPMAAPVAANNGGVTLARPWYKTLWAASLQPLLQIFMPYFTLGLIIYAPLQWMMLLKEEKKLMLHWLMPFFYVVSGIMASLFCAFAKWVLVGRKLEGDTVMLWSWRVFMDTVWQALRTVIGDYFMEMTCGSFLFGVWMRLMGSKVEQGVYVDSMAAVLNPEMLEIERGGAVGRDAVLFGHIYEGEGGKVKYGKVKICEGGFVGSRAVAMPGVVVDIGGTLSSLSLAMKDEIVKAS >DRNTG_25048.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8951105:8957554:-1 gene:DRNTG_25048 transcript:DRNTG_25048.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFYEDTDIFLFWSYGNSGHIRGTTVAKNVVDVVKTMGGIVEKVVLQRRFKYFPHVNSEVVKSGFYEKLECELQGFQNTYYVGGLMAFELTERNSSYAMAMVCKHFTTDNSMPIFPYVKGLFPLVSSQKAQFHRELGELPGIEFPELPTLDSYLKFWGTHSITENKMLYSWINEEGQVVSQRSYCELYANASVVAHNLIACTKPALKPGDRVLLVHPPGLEFIDAFFGCMRAKLIPVPVLPPDPFQRGGQALLKIENISKACNAVAILSTSSYHAAVRTGFVKQWVTFSKSTPNSSARWPDLPWIHTDSWVKNCNLLDFSGRDVVYGELKPDDLCFLQFTSGSTGDAKGVMITHDGLIHNIKTMRKRYKSTSNTILVSWLPQYHDMGLIGGLFTALVSGGTAILFSPMTFIKNPLLWLQTMSKYKATHSAGPNFAFELVIRRLEANKNSAQAFDLSSMIFLMVAAEPVRQKTIKRFIELCHPFGLSQEVMAPGYGLAENCVFVSCAFGEGKPVFVDWQGRICCGYVDSNDADVKIHIVDPETGREHTEEGKEGEIWISSSSAGIGYWGKQELTQKTFYNKLEGHPGKRFTTTGDLGRVIDRKLFITGRIKDLIIVAGRNIYPADLEKTVENASELLRPGCCAVIGVPEEILSAKGISVPEASDQVGVLVIAELREGKTVAEEIVDNIKTRVAEEHGVNVASVKLIKPKTICKTSSGKIQRIECLKQFVEGTLSLATEAKSARRKPLLRSLTTGTADGQRQRSVSLLGKSTSLLQSSVAGKGIDDIIIFLKGLVSEQTGIHIDKIDAVDSLVSYGIDSIGVVRAAQKLSDFLGIPVGAVDVFSASCISDLAKFSMDLLSKSRPQSTSISTCVLENNSDLLHIGMEGSRFGQIGIALLQLLALIYVSFLLILPAYLSSLASNNLLTLTSSEKAGPFQFLLSLILAPLTWIFYIIFTCFSISLFGNSFLQPNYVLTPEVSIWSLDFVKWWALSKVQETAGKVLAVHLRGTVFLRYWFEMLGARIASSVLLDTVDITDPSLVSIGEGAVIAEGVLIQSHEVRNEVLSFLPIWIGRNASISPYAVIQKGSILGEDAIVPPLQKTEGGKPVLKPGKALDSPKV >DRNTG_25048.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8938129:8951023:-1 gene:DRNTG_25048 transcript:DRNTG_25048.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAKVGHHCSIRAINPVTNPELISIGDGVHLGDFSRIVPGSYSSCGYDCAKIEVHENSVIGSQSLLLSGSIIQEGVILGALSIAPMDSVLQKGGVYVGAQTPTMVKNHLHALDERIEEMDMKYKRIVGNLAGNLAITTMKVKSRYFHRIGVSGKGVLKMYQDLPRLPKHKAFHAGKSFPVIIRHSNSLSADDDARIDARGAAIRILLDKGNEQQTLLDLTLKSGKAFYARAIEDFANWLVCGLPAREQQVKRAPHIREAVWGSLRNTNSYTELHYYSNICRLLRFDDGREMYVKFKIRPFDSHIGEDSGQVEPKGILPPETGAIPREENDKRPLLFLADDFQTRVNSPNHVRYIFQLQLRPVPSNEAECEVALDCTKPWDETMFPYLDVGEITIDQNLTAEDSEKLEFNPFYRCHEVDVIRATSSSQSASLDHGRSLIYEICQYLRNGHPLPGSWRSFIEQSDAKVDLSGCPMAAPVAANNGGVTLARPWYKTLWAASLQPLLQIFMPYFTLGLIIYAPLQWMMLLKEEKKLMLHWLMPFFYVVSGIMASLFCAFAKWVLVGRKLEGDTVMLWSWRVFMDTVWQALRTVIGDYFMEMTCGSFLFGVWMRLMGSKVEQGVYVDSMAAVLNPEMLEIERGGAVGRDAVLFGHIYEGEGGKVKYGKVKICEGGFVGSRAVAMPGVVVDIGGTLSSLSLAMKDEIVKAS >DRNTG_25048.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8947340:8957554:-1 gene:DRNTG_25048 transcript:DRNTG_25048.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVKVCSVVYWLYLFVYLSNLFSYWNSIKYSSVVKSGFYEKLECELQGFQNTYYVGGLMAFELTERNSSYAMAMVCKHFTTDNSMPIFPYVKGLFPLVSSQKAQFHRELGELPGIEFPELPTLDSYLKFWGTHSITENKMLYSWINEEGQVVSQRSYCELYANASVVAHNLIACTKPALKPGDRVLLVHPPGLEFIDAFFGCMRAKLIPVPVLPPDPFQRGGQALLKIENISKACNAVAILSTSSYHAAVRTGFVKQWVTFSKSTPNSSARWPDLPWIHTDSWVKNCNLLDFSGRDVVYGELKPDDLCFLQFTSGSTGDAKGVMITHDGLIHNIKTMRKRYKSTSNTILVSWLPQYHDMGLIGGLFTALVSGGTAILFSPMTFIKNPLLWLQTMSKYKATHSAGPNFAFELVIRRLEANKNSAQAFDLSSMIFLMVAAEPVRQKTIKRFIELCHPFGLSQEVMAPGYGLAENCVFVSCAFGEGKPVFVDWQGRICCGYVDSNDADVKIHIVDPETGREHTEEGKEGEIWISSSSAGIGYWGKQELTQKTFYNKLEGHPGKRFTTTGDLGRVIDRKLFITGRIKDLIIVAGRNIYPADLEKTVENASELLRPGCCAVIGVPEEILSAKGISVPEASDQVGVLVIAELREGKTVAEEIVDNIKTRVAEEHGVNVASVKLIKPKTICKTSSGKIQRIECLKQFVEGTLSLATEAKSARRKPLLRSLTTGTADGQRQRSVSLLGKSTSLLQSSVAGKGIDDIIIFLKGLVSEQTGIHIDKIDAVDSLVSYGIDSIGVVRAAQKLSDFLGIPVGAVDVFSASCISDLAKFSMDLLSKSRPQSTSISTCVLENNSDLLHIGMEGSRFGQIGIALLQLLALIYVSFLLILPAYLSSLASNNLLTLTSSEKAGPFQFLLSLILAPLTWIFYIIFTCFSISLFGNSFLQPNYVLTPEVSIWSLDFVKWWALSKVQETAGKVLAVHLRGTVFLRYWFEMLGARIASSVLLDTVDITDPSLVSIGEGAVIAEGVLIQSHEVRNEVLSFLPIWIGRNASISPYAVIQKGSILGEDAIVPPLQKTEGGKPVLKPGKALDSPKVKVQVVSNKHLSRELLPIFHFLGIYTVGFLSSLSGAILYLLYISLTKSSLRLDYFAFVCIAGAFHWLPAVITAYATFIGSIKCHPVTFAFFVTIAYLGHGVILSILSSIVKILLGNSKTEQTNWTIWLSNRITIACHHRFAKLLSGTEAFCIYLRMMGAKVGHHCSIRAINPVTNPELISIGDGVHLGDFSRIVPGSYSSCGYDCAKIEVHENSVIGSQSLLLSGSIIQEGVILGALSIAPMDSVLQKGGVYVGAQTPTMVKNHLHALDERIEEMDMKYKRIVGNLAGNLAITTMKVKSRYFHRIGVSGKGVLKMYQDLPRLPKHKAFHAGKSFPVIIRHSNSLSADDDARIDARGAAIRILLDKGNEQQTLLDLTLKSGKAFYARAIEDFANWLVCGLPAREQQVKRAPHIREAVWGSLRNTNSYTELHYYSNICRLLRFDDGREMYVKFKIRPFDSHIGEDSGQVEPKGILPPETGAIPREENDKRPLLFLADDFQTRVNSPNHVRYIFQLQLRPVPSNEAECEVALDCTKPWDETMFPYLDVGEITIDQNLTAEDSEKLEFNPFYRCHEVDVIRATSSSQSASLDHGRSLIYEICQYLRNGHPLPGSWRSFIEQSDAKVDLSGCPMAAPVAANNGGVTLARPWYKTLWAASLQPLLQIFMPYFTLGLIIYAPLQWMMLLKEEKKLMLHWLMPFFYVVSGIMASLFCAFAKWVLVGRKLEGDTVMLWSWRVFMDTVWQALRTVIGDYFMEMTCGSFLFGVWMRLMGSKVEQGVYVDSMAAVLNPEMLEIERGGAVGRDAVLFGHIYEGEGGKVKYGKVKICEGGFVGSRAVAMPGVVVDIGGTLSSLSLAMKDEIVKAS >DRNTG_25048.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8948107:8957554:-1 gene:DRNTG_25048 transcript:DRNTG_25048.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVKVCSVVYWLYLFVYLSNLFSYWNSIKYSSVVKSGFYEKLECELQGFQNTYYVGGLMAFELTERNSSYAMAMVCKHFTTDNSMPIFPYVKGLFPLVSSQKAQFHRELGELPGIEFPELPTLDSYLKFWGTHSITENKMLYSWINEEGQVVSQRSYCELYANASVVAHNLIACTKPALKPGDRVLLVHPPGLEFIDAFFGCMRAKLIPVPVLPPDPFQRGGQALLKIENISKACNAVAILSTSSYHAAVRTGFVKQWVTFSKSTPNSSARWPDLPWIHTDSWVKNCNLLDFSGRDVVYGELKPDDLCFLQFTSGSTGDAKGVMITHDGLIHNIKTMRKRYKSTSNTILVSWLPQYHDMGLIGGLFTALVSGGTAILFSPMTFIKNPLLWLQTMSKYKATHSAGPNFAFELVIRRLEANKNSAQAFDLSSMIFLMVAAEPVRQKTIKRFIELCHPFGLSQEVMAPGYGLAENCVFVSCAFGEGKPVFVDWQGRICCGYVDSNDADVKIHIVDPETGREHTEEGKEGEIWISSSSAGIGYWGKQELTQKTFYNKLEGHPGKRFTTTGDLGRVIDRKLFITGRIKDLIIVAGRNIYPADLEKTVENASELLRPGCCAVIGVPEEILSAKGISVPEASDQVGVLVIAELREGKTVAEEIVDNIKTRVAEEHGVNVASVKLIKPKTICKTSSGKIQRIECLKQFVEGTLSLATEAKSARRKPLLRSLTTGTADGQRQRSVSLLGKSTSLLQSSVAGKGIDDIIIFLKGLVSEQTGIHIDKIDAVDSLVSYGIDSIGVVRAAQKLSDFLGIPVGAVDVFSASCISDLAKFSMDLLSKSRPQSTSISTCVLENNSDLLHIGMEGSRFGQIGIALLQLLALIYVSFLLILPAYLSSLASNNLLTLTSSEKAGPFQFLLSLILAPLTWIFYIIFTCFSISLFGNSFLQPNYVLTPEVSIWSLDFVKWWALSKVQETAGKVLAVHLRGTVFLRYWFEMLGARIASSVLLDTVDITDPSLVSIGEGAVIAEGVLIQSHEVRNEVLSFLPIWIGRNASISPYAVIQKGSILGEDAIVPPLQKTEGGKPVLKPGKALDSPKVKVQVVSNKHLSRELLPIFHFLGIYTVGFLSSLSGAILYLLYISLTKSSLRLDYFAFVCIAGAFHWLPAVITAYATFIGSIKCHPVTFAFFVTIAYLGHGVILSILSSIVKILLGNSKTEQTNWTIWLSNRITIACHHRFAKLLSGTEAFCIYLRMMGAKVGHHCSIRAINPVTNPELISIGDGVHLGDFSRIVPGSYSSCGYDCAKIEVHENSVIGSQSLLLSGSIIQEGVILGALSIAPMDSVLQKGGVYVGAQTPTMVKNHLHALDERIEEMDMKYKRIVGNLAGNLAITTMKVKSRYFHRIGVSGKGVLKMYQDLPRLPKHKAFHAGKSFPVIIRHSNSLSADDDARIDARGAAIRILLDKGNEQQTLLDLTLKSGKAFYARAIEDFANWLVCGLPAREQQVKRAPHIREAVWGSLRNTNSYTELHYYSNICRLLRFDDGREMYVKFKIRPFDSHIGEDSGQVEPKGILPPETGAIPREENDKRPLLFLADDFQTRVNSPNHVRYIFQLQLRPVPSNEAECEVALDCTKPWDETMFPYLDVGEITIDQNLTAEDSEKLEFNPFYRCHEVDVIRATSSSQSASLDHGRSLIYEICQYLRNGHPLPGSWRSFIEQSDAKVDLSGCPMAAPVAANNGGVTLARPWYKTLWAASLQPLLQIFMPYFTLGLIIYAPLQWMMLLKEEKKLMLHWLMPFFYVVSGIMASLFCAFAKWVLVGRKLEGDTVMLWSWRVFMDTVWQALRTVIGDYFMEMTCGSFLFGVWMRLMGSKVEQGVYVDSMAAVLNPEMLEIERGGAVGRDAVLFGHIYEGEGGKVKYGKVKICEGGFVGSRAVAMPGVVVDIGGTLSSLSLAMKDEIVKAS >DRNTG_25048.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8947340:8951023:-1 gene:DRNTG_25048 transcript:DRNTG_25048.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAKVGHHCSIRAINPVTNPELISIGDGVHLGDFSRIVPGSYSSCGYDCAKIEVHENSVIGSQSLLLSGSIIQEGVILGALSIAPMDSVLQKGGVYVGAQTPTMVKNHLHALDERIEEMDMKYKRIVGNLAGNLAITTMKVKSRYFHRIGVSGKGVLKMYQDLPRLPKHKAFHAGKSFPVIIRHSNSLSADDDARIDARGAAIRILLDKGNEQQTLLDLTLKSGKAFYARAIEDFANWLVCGLPAREQQVKRAPHIREAVWGSLRNTNSYTELHYYSNICRLLRFDDGREMYVKFKIRPFDSHIGEDSGQVEPKGILPPETGAIPREENDKRPLLFLADDFQTRVNSPNHVRYIFQLQLRPVPSNEAECEVALDCTKPWDETMFPYLDVGEITIDQNLTAEDSEKLEFNPFYRCHEVDVIRATSSSQSASLDHGRSLIYEICQYLRNGHPLPGSWRSFIEQSDAKVDLSGCPMAAPVAANNGGVTLARPWYKTLWAASLQPLLQIFMPYFTLGLIIYAPLQWMMLLKEEKKLMLHWLMPFFYVVSGIMASLFCAFAKWVLVGRKLEGDTVMLWSWRVFMDTVWQALRTVIGDYFMEMTCGSFLFGVWMRLMGSKVEQGVYVDSMAAVLNPEMLEIERGGAVGRDAVLFGHIYEGEGGKVKYGKVKICEGGFVGSRAVAMPGVVVDIGGTLSSLSLAMKDEIVKAS >DRNTG_10883.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14151185:14159608:1 gene:DRNTG_10883 transcript:DRNTG_10883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAARGGAGEDGALKECGGSGGGED >DRNTG_10883.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14159043:14159608:1 gene:DRNTG_10883 transcript:DRNTG_10883.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAARGGAGEDGALKECGGSGGGED >DRNTG_10883.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14151285:14159608:1 gene:DRNTG_10883 transcript:DRNTG_10883.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAARGGAGEDGALKECGGSGGGED >DRNTG_10883.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14151285:14159608:1 gene:DRNTG_10883 transcript:DRNTG_10883.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAARGGAGEDGALKECGGSGGGED >DRNTG_10883.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14158223:14159608:1 gene:DRNTG_10883 transcript:DRNTG_10883.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAARGGAGEDGALKECGGSGGGED >DRNTG_10883.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14158223:14159608:1 gene:DRNTG_10883 transcript:DRNTG_10883.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAARGGAGEDGALKECGGSGGGED >DRNTG_10883.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14157374:14159608:1 gene:DRNTG_10883 transcript:DRNTG_10883.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAARGGAGEDGALKECGGSGGGED >DRNTG_25495.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1711157:1712950:-1 gene:DRNTG_25495 transcript:DRNTG_25495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLLIITTLLISFLFFIIIFSIANNNKNKNKAHQHPLPPGPRAWPILGNLLQLGSKPHQTLHNLSKTYGPLFRLRLGSVTAIVASSADVASHFLRTHDANFSSRPPNSGAEHVAYNYQDLVFAPYGPHWRMFRRLCSLHLFSPKVLDELRHVRENEVTLLVTGLRRAEKVDVEVGKEINICVTNALSKAMLGRKVFDDEDNGEFKDLVVELMKLSGSFNVGDFVPWLKPLDVHGVVSRMKKIHKWYDDFLNKIIEDHNKEGGHGDLLSVLLRLKEDHDNNDEEVKLTDTNIKALLMDLFQAGTDTTSSTVEWGLAELLRHPDILAAAQKELDSVVGRDRLVSELDLANLPLMQAIIKETFRLHPSTPLSLPHVGSEPCEVGGFHIPQGSTILVNIWSISRDPVVWSNPLEFEPSRFLPGGNHADVDLKGSHFEFIPFGAGRRICAGMRLGLRMVPLVVASLVHGFNWALPDGLTPETLNMDEDFGLTLQRAVPLLARPIPRLSPEAYFA >DRNTG_17237.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16695402:16696147:1 gene:DRNTG_17237 transcript:DRNTG_17237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLASIGPRLFTLLDGKEMVTNDVMDAFRRLFNCIIDMEFSDTVTVRTSLI >DRNTG_19668.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001037.1:30684:31484:-1 gene:DRNTG_19668 transcript:DRNTG_19668.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYNESSYRKQATIETSLSSLEGKEACQILMTDFPRLVARVEVLEERSQSTTPSIQTNEAPEMDEASEFDDEENNNASLSTTS >DRNTG_24239.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19875023:19878610:-1 gene:DRNTG_24239 transcript:DRNTG_24239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSALPPLVPFLPDEISIQILARLSRSSHTTLSLVSRSWCSILRSQLLVALRSSLHLSSPLLLLNVRTPSGSSLWFPVDPLPNPNPNLNPSLPSLPVSGAATATLGHELYLLGGSLNGIPSAVVQIFDAASRRWSLGPRMSTGREFSAAAALNGRIYAAGGCTPSASTWAEVLHPGGGGWDMVPSPSEVRERWMHGCAVIGGRILVVVDRGGVVFDAEAGKWGPVPKKLDLGWRGRAAVVEGLLFTYDYLGKIRGYDLEADQWLTVGGVEKGLPRFLHGATLANYGGLLCLVWESRAQRKDMEIMCAGMRISRTEAKGLVGEILWKEKLVLGVPKRSYIAHCVTVDL >DRNTG_24239.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19875023:19878610:-1 gene:DRNTG_24239 transcript:DRNTG_24239.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSALPPLVPFLPDEISIQILARLSRSSHTTLSLVSRSWCSILRSQLLVALRSSLHLSSPLLLLNVRTPSGSSLWFPVDPLPNPNPNLNPSLPSLPVSGAATATLGHELYLLGGSLNGIPSAVVQIFDAASRRWSLGPRMSTGREFSAAAALNGRIYAAGGCTPSASTWAEVLHPGGGGWDMVPSPSEVRERWMHGCAVIGGRILVVVDRGGVVFDAEAGKWGPVPKKLDLGWRGRAAVVEGLLFTYDYLGKIRGYDLEADQWLTVGGVEKGLPRFLHGATLANYGGLLCLVWESRAQRKDMEIMCAGMRISRTEAKGLVGEILWKEKLVLGVPKRSYIAHCVTVDL >DRNTG_06662.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000295.1:55313:55798:-1 gene:DRNTG_06662 transcript:DRNTG_06662.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRAFASLSLALTLLLVVAPLCSMADDILYPGEALGPGRSLINGNYTLVMQTDCNLVLYNVCDPIWSSNTSGIATNCYVTFATNGNLVIHNSDGNALWSNNKSGGQGNHVLVLHDDGNVVVYGRGRWDARTNLPAFRLPSADQTTNEAEAAGVAMVINK >DRNTG_13392.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22927729:22928049:1 gene:DRNTG_13392 transcript:DRNTG_13392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSNNKWHKDKQQVKKAQLQHSSSIKTKHSQSFKRSSNGNVLEIYQLKQLNNPKKERFGWCF >DRNTG_34536.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:996542:999261:1 gene:DRNTG_34536 transcript:DRNTG_34536.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGGELDDEIRAQFPLSFGKKSGRPPPNSSSVHSSTRRAVGPVSVDRNPNPSSSGSKSRDVQEEEEEDAMIGPPPPPPQPEVSQDEDDQMMIGPPRAPPPSDQLGSDDEDEMDSDDEDGPEEFRRIPLSNEIVLRGHSKVVSALAIDHSGSRVLTGSYDYTVRMYDFQGMNSKLQSFRQLEPFEGHQVRSLSWSPTADRFLCVTGSAQAKIYDRDGLTLGEFIKGDMYIRDLKNTKGHISGLSGGEWSPKAKDEILTSSEDGSLRIWDVNEFNSQKQVIKPKLARPARVPVTACAWDNTGKRIVGGIGDGSIQMWSIKPGWGSRPDIYVDKGHADDITGLKFSVDGLLLLSRSLDGTLKVWDLRQMKSSLKVFEDLPNHYAQTNAAFSPDEQLIFTGTSIEKEGTNGGMLCFF >DRNTG_16441.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7723412:7727077:1 gene:DRNTG_16441 transcript:DRNTG_16441.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 32 homolog 2 [Source:Projected from Arabidopsis thaliana (AT4G29160) UniProtKB/Swiss-Prot;Acc:Q9SZE4] MFSKIFGKPKEQSNALATLDKLNETLEMLEKKEKVLLKKASQEVEKAKEFTRAKNKRAAIQCLKRKRLYEQQVEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSAPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAATVPAVPAGRQPVRPVPQKSTAEDDELAALQAEMAL >DRNTG_24376.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17123716:17124255:1 gene:DRNTG_24376 transcript:DRNTG_24376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHGDSQWRTPSLTGRNPIRTQTNSFINRPDCFEIGFPMRRWFTISKQNGWSSCLPQ >DRNTG_07119.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4814234:4822621:1 gene:DRNTG_07119 transcript:DRNTG_07119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVRRRPSPSIDAGEPLIPLSISDDDQETLRVSSAADFQRNWASLPDDTVINLFSYLNYRDRASLSSTCRAWRQLGASPWLWTSLDLRSHRCSPATATVLEGRCAALRSIRVRGVESAAAAIRLRARDLREIVADGCRDITDSTLSVLAARHEFLESLQIGPEPCERVTSDAVRHVALCCSSLRCLQLSGVREIDAEAVNALSRHCPLLEEIAFVDCASVDETALENLASLRFLSLAGTRNIKWASAALAWAKLPKLVGLDISRTDASPAAVSRLLSLSKCLKVLCVLNCASVQEERNHCDMAFNNTKGKLLLAPFTDIFKGIASLFVDIDESQERAVFGEWRSWKKKDKDTNDIMRWLEWVLSRGLLRIAEENPAGMDEFWLRQGAALLLSLLKCLEEDVKEKAASGLATFVVIDDENVAVDPRRAEAVMRDGGIPLLLGLAQSCHECLQSEAAKAIANLSVNSKVAKAVADEGGIRIIAHLARSLNKLVAEEAAGGLWNLSVGEEHKAAIAETGGIKALVDLIFRWPACSDGVLERAAGALANLAADDRCSMEIAVAGGVHALVMLAQSCKVEGVQEQAARALANMAAHGDNNNNNAVVGQESGALEALVQLTCSRSEGVRQEAAGALWNLSFDDGNREAIAAAGGVEALVALAQACSNASPGLQERAAGALWGLSVTEANSIAIGREGGVAPLIALARSNAEDVHETAAGALWNLAFNPGNALRIVEEGGVPALVHLCSLSRSKMARFMSALALAYMFDGRMERVALAGSSSEGASKVNLDGTRRIALKHIESFVASFSDPQLFSTVSSSSAPGSLAQIGEAARIQEAGHLRCSGAEIGRFVVMLIHPSPILRSCAAFALLQFTIPGSRHSIHHTKLLQKAGAGKVLRKSGAATLAPIEAKIYSKVVGSYLEHSEDTK >DRNTG_07119.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4814234:4815659:1 gene:DRNTG_07119 transcript:DRNTG_07119.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVRRRPSPSIDAGEPLIPLSISDDDQETLRVSSAADFQRNWASLPDDTVINLFSYLNYRDRASLSSTCRAWRQLGASPWLWTSLDLRSHRCSPATATVLEGRCAALRSIRVRGVESAAAAIRLRARDLREIVADGCRDITDSTLSVLAARHEFLESLQIGPEPCERVTSDAVRHVALCCSSLRCLQLSGVREIDAEAVNALSRHCPLLEEIAFVDCASVDETALENLASLRFLSLAGTRNIKWASAALAWAKLPKLVGLDISRTDASPAAVSRLLSLSKCLKVLCVLNCASVQEERNHCDMAFNNTKGKLLLAPFTDIFKGIASLFVDIDESQERAVFGEWRSWKKKDKDTNDIMRWLEWVLSRGLLRIAEENPAGMDEFWLRQGAALLLSLLKCLEEDVKEKAASGLATFVVIDDENVAVDPRRAEAVMRDGGIPLLLGLAQSCHECLQSEAAK >DRNTG_07119.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4819253:4822621:1 gene:DRNTG_07119 transcript:DRNTG_07119.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYATTNCQIMISTLTFWLLLSFCVLFCAQGLVVNLDFGNSIAIGREGGVAPLIALARSNAEDVHETAAGALWNLAFNPGNALRIVEEGGVPALVHLCSLSRSKMARFMSALALAYMFDGRMERVALAGSSSEGASKVNLDGTRRIALKHIESFVASFSDPQLFSTVSSSSAPGSLAQIGEAARIQEAGHLRCSGAEIGRFVVMLIHPSPILRSCAAFALLQFTIPGSRHSIHHTKLLQKAGAGKVLRKSGAATLAPIEAKIYSKVVGSYLEHSEDTK >DRNTG_12357.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20847544:20849726:-1 gene:DRNTG_12357 transcript:DRNTG_12357.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVCQCQKYNVGGRERQVPESRNCSDRDAQWQ >DRNTG_12357.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20846964:20849726:-1 gene:DRNTG_12357 transcript:DRNTG_12357.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVCQCQKYNVGGRERQVPESRNCSDRDAQWQ >DRNTG_12357.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20846964:20848515:-1 gene:DRNTG_12357 transcript:DRNTG_12357.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVCQCQKYNVGGRERQVPESRNCSDRDAQWQ >DRNTG_00316.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2198554:2202170:-1 gene:DRNTG_00316 transcript:DRNTG_00316.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLRGLWRRHRRKVFVAVGVFGGSYALYKLYQAHSARVLYLEDERRVDELIKTQLQQHFESIQRISDTTTLPYAMHYLRSRMLEELDLSAITDRLMQGKEQPSSLTAKEKLELWEQLKNLSFTKTVSSLWAMTMLCLYVRVQVNILGRHLYVETARGLGSSQLLDKEDSLNSHGQQEFLGTADFLSTYGVTSLISSMQNAVVDVMKEKQLKDLFRVAQLRETITRILESFMGFDDSNHWLSYLLPEDATTYRQLMAVSSNGSGNSSFFMDISKLEKLMGETRAVLSSPDFRNIAMLSLRKMVDMLMEDIASSFNSTSSSEVPLARLLPRVAQIGSPMLEDPTNNKFIHAIQSSPEVELFYTLLYSNMPPGF >DRNTG_31627.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:794842:803644:-1 gene:DRNTG_31627 transcript:DRNTG_31627.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropin-2 [Source:Projected from Arabidopsis thaliana (AT5G58140) UniProtKB/Swiss-Prot;Acc:P93025] MKHPRSQSLPGDLEMAAHLEEHEKFVVDSPLHKFSDLKDLKSPARQSPLLDAKSDLLRRSGRKSARSSLMGFIVRKQSSVEIRESAIEPEMLMTKEIERVESFDRAGREKDIRQGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPDTDPATVAKIRDAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQTGELQYFIGVQLDGSDHVEPLRNRLSETTEMKSAKVVKATAENVDEAVRELPDANSRPEDLWAVHSRPVFPKPHKRSSSCWIAIQKIMESGEQIGLKHFKPIKPLGCGDTGSVHLVELQGTGELFAMKAMDKSVMLNRNKVHRACVEREIYSLLDHPFLPTLYTSFKTSTHVCLITDFCPGGELFALLDKQPLKIFKEDSARFYAAEVVIGLEYLHCLGIIYRDLKPENILLQKDGHLVLTDFDLSFLTSSKPQVIKETIETKKRRSKHQQPPTLVAEPSTQSNSFVGTEEYIAPEIITGAGHSSAIDWWALGILLYEMLYGRTPFRGKNRQRTFGNILHKDLTFPSSIPVSLTARQLIHSLLQRDPANRLGSNTGANEIKQHPFFRDINWPLIRCMTPPELDTPLQLIGSEPDPRVMELQWNNEQMITDSLENF >DRNTG_31627.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:794842:803564:-1 gene:DRNTG_31627 transcript:DRNTG_31627.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropin-2 [Source:Projected from Arabidopsis thaliana (AT5G58140) UniProtKB/Swiss-Prot;Acc:P93025] MESGEQIGLKHFKPIKPLGCGDTGSVHLVELQGTGELFAMKAMDKSVMLNRNKVHRACVEREIYSLLDHPFLPTLYTSFKTSTHVCLITDFCPGGELFALLDKQPLKIFKEDSARFYAAEVVIGLEYLHCLGIIYRDLKPENILLQKDGHLVLTDFDLSFLTSSKPQVIKETIETKKRRSKHQQPPTLVAEPSTQSNSFVGTEEYIAPEIITGAGHSSAIDWWALGILLYEMLYGRTPFRGKNRQRTFGNILHKDLTFPSSIPVSLTARQLIHSLLQRDPANRLGSNTGANEIKQHPFFRDINWPLIRCMTPPELDTPLQLIGSEPDPRVMELQWNNEQMITDSLENF >DRNTG_31627.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:794842:803564:-1 gene:DRNTG_31627 transcript:DRNTG_31627.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropin-2 [Source:Projected from Arabidopsis thaliana (AT5G58140) UniProtKB/Swiss-Prot;Acc:P93025] MKHPRSQSLPGDLEMAAHLEEHEKFVVDSPLHKFSDLKDLKSPARQSPLLDAKSDLLRRSGRKSARSSLMGFIVRKQSSVEIRESAIEPEMLMTKEIERVESFDRAGREKDIRQGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPDTDPATVAKIRDAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQTGELQYFIGVQLDGSDHVEPLRNRLSETTEMKSAKVVKATAENVDEAVRELPDANSRPEDLWAVHSRPVFPKPHKRSSSCWIAIQKIMESGEQIGLKHFKPIKPLGCGDTGSVHLVELQGTGELFAMKAMDKSVMLNRNKVHRACVEREIYSLLDHPFLPTLYTSFKTSTHVCLITDFCPGGELFALLDKQPLKIFKEDSARFYAAEVVIGLEYLHCLGIIYRDLKPENILLQKDGHLVLTDFDLSFLTSSKPQVIKETIETKKRRSKHQQPPTLVAEPSTQSNSFVGTEEYIAPEIITGAGHSSAIDWWALGILLYEMLYGRTPFRGKNRQRTFGNILHKDLTFPSSIPVSLTARQLIHSLLQRDPANRLGSNTGANEIKQHPFFRDINWPLIRCMTPPELDTPLQLIGSEPDPRVMELQWNNEQMITDSLENF >DRNTG_31627.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:794842:803564:-1 gene:DRNTG_31627 transcript:DRNTG_31627.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropin-2 [Source:Projected from Arabidopsis thaliana (AT5G58140) UniProtKB/Swiss-Prot;Acc:P93025] MESGEQIGLKHFKPIKPLGCGDTGSVHLVELQGTGELFAMKAMDKSVMLNRNKVHRACVEREIYSLLDHPFLPTLYTSFKTSTHVCLITDFCPGGELFALLDKQPLKIFKEDSARFYAAEVVIGLEYLHCLGIIYRDLKPENILLQKDGHLVLTDFDLSFLTSSKPQVIKETIETKKRRSKHQQPPTLVAEPSTQSNSFVGTEEYIAPEIITGAGHSSAIDWWALGILLYEMLYGRTPFRGKNRQRTFGNILHKDLTFPSSIPVSLTARQLIHSLLQRDPANRLGSNTGANEIKQHPFFRDINWPLIRCMTPPELDTPLQLIGSEPDPRVMELQWNNEQMITDSLENF >DRNTG_34670.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002176.1:65448:69642:1 gene:DRNTG_34670 transcript:DRNTG_34670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELTQINSCRKNPSHGQPLLVIPIIHPPPSSSSSPPSHGQPLYLERMFQIPPNAGSTESN >DRNTG_16537.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:24392909:24395604:-1 gene:DRNTG_16537 transcript:DRNTG_16537.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTSLMSALVQSMADEEPRNMPMYPLDAKSYHIMQEVGSGVSGVVYKAACIPMNSAVVAIKAIDLEKSRANLDDVRREAKVMALFSHPNILRAHCSFTVDSHLWVVMPFMAAGSLHSIISSSFPDGLPEPSIAVVLKEILSALSYLHDQGHIHRDIKAGNILVDSDGSVKLADFGVSASIYESYHWSCSSSSFCNDMAGTPYWMAPEVIHSHMGYGVKADIWSFGITALELAHGRPPLSHLPLSKSLMMRITNRFRIEDSHDKNDKEKKKKKFSKAFKEMVAACLSQDPSKRPPAGRLLRHPFFKNCKSPEYLVKNVLQVVPPVEERFKDISINSNSASPIVKVRRVSGWNFNEDVLEMDPVFPTDNDDKCTITCVQHHGEQIEDKACCPDSIVMEVNKINAKEEQELPKDVCTSPPNSTVVPKQLLIPNLISLLNSLDVQRVMVKNVLACCGGMAVDEEKFRDQREQQLLVVVQRLQQTVDELNIQLQQELRRNAYLEAALDSIRKKGSAEDGNKAEPNCS >DRNTG_01137.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5214553:5218439:1 gene:DRNTG_01137 transcript:DRNTG_01137.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 16 [Source:Projected from Arabidopsis thaliana (AT5G50230) UniProtKB/Swiss-Prot;Acc:Q6NNP0] MDPEEIGRAAIKRAIKALRRRHLLEEGAHSAAILALSKPFAAQGLEWKEKAESLELELQQCYKAQSRLSEQLVVEVAESRTMKSIVQEKETSMTNLQNDMTQTREENSQLKADLDEKTKTVALLISENQSLKAQLEEGLLKLKDTEAENKKLIDRMMLEKMKDAEKLNEVNALYEDLMQRFKVSSIEQLARLQVDGVVRRSEAGYENFVATTVPSVCKHTIHAHEGGCGSILFEHNSDKLYSGGQDRTVKIWDTKTGTQSGTLRGCLGLVLDLAVTHDNRSVIAASSSNNLYVWDVSTGRIRHTLTGHADKVCAVDASKVSTRHVVSSAYDHTIKIWDLQKGYCINTIISPSNCNSLAYSLDGLTICSGHIDGNLRLWESNTGKLISEVAAHSQAISSISISRCGNIVLTSGRDNVHNLFDMRSLEVCGTFRSNGSRLASNWSRSCISADENYVASGSVDGSVYIWSRIKADTLSVLEGHSSPVLTCAWSGLGKTLASADKNGNLCIWA >DRNTG_34867.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21953387:21956281:1 gene:DRNTG_34867 transcript:DRNTG_34867.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OCP3 [Source:Projected from Arabidopsis thaliana (AT5G11270) UniProtKB/TrEMBL;Acc:A0A178UCN6] MVLMVASTSLLPLRPALSSYSNPNGRKPSESRIFFSVALKPSLLMLQAPPCGFSAMVFARRSKTSSRTFETECSKSKAKVEVDEGDGDGEEGELDEDAFEALFSQLEEDLKNDGMLDDDFDDEITEEDLAKLEKEFADALGIGGGDDDDDDASSEVSPTDADRMDEQEEEETRPKLKNWQVRRLAHALKIGRRKTSIKNLSAELGLDRAFVLELLRDPPANILLVSSSLPDKITETQNEPEPEPEIKMETVEVSPAAEMHVAKREPEIKEPVHVMQTRWFMQKRLKKVQVETLERVYLRTKRPTNSMINSIVHMTNLPWKRVVKWFEDKRLQDGIPEQRVPYRRSRA >DRNTG_34856.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22010093:22012865:-1 gene:DRNTG_34856 transcript:DRNTG_34856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKFQIDLGNLMAYDPSHHFPSLPDSREELTRKCLERGTELVQAVANALFSLPSSEDPDGPIVTLPEPTTKLPREKHLPKPKPPTKWEQFAKMKGIKNHKKDKRVFDEQTSTWKRRHGYDRVNDDKDIPIIEAKPTDEPGEDPFAKRKAEKKQRVEKQEKNRLQNLKQAMKAGALPSHVQLAATALPITGTKTEVPEKANKQELENVAGMAAAATASGGKFDKKLPGEKPAKHPGKYRKFLPVVEGKGLGSQEKQQTDKILNKLMSQSSHDILDVNKAVSMYNVKAEKRRKHEKEKGASSSNSGKLKPKKKSFKKSATKKSSKKSAPKKH >DRNTG_03275.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8603956:8605887:-1 gene:DRNTG_03275 transcript:DRNTG_03275.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQRLTLIIFLCFSCFQMTMSKSQYYYTSIFSFGDSLADTGNMLLSGALPFPSISNLPYGMTYFHHPTGRCSDGRLIADFIAATMGLPFLPPYLENGRSFKQGANFAFSGATALEPEFFRNKGLGPILWTNISLSAQLKWFEELKPSLCGSIKECSEYFSKSLFLVGEIGGNDYNYAFFLGKSMTEVKSYVPKVIKAIIASAEGTSRLDALPRT >DRNTG_03275.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8603540:8605887:-1 gene:DRNTG_03275 transcript:DRNTG_03275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQRLTLIIFLCFSCFQMTMSKSQYYYTSIFSFGDSLADTGNMLLSGALPFPSISNLPYGMTYFHHPTGRCSDGRLIADFIAATMGLPFLPPYLENGRSFKQGANFAFSGATALEPEFFRNKGLGPILWTNISLSAQLKWFEELKPSLCGSIKECSEYFSKSLFLVGEIGGNDYNYAFFLGKSMTEVKSYVPKVIKAIIASAEGTSRLDALPRT >DRNTG_08879.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27672400:27673582:-1 gene:DRNTG_08879 transcript:DRNTG_08879.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPDKCGSTNKVHFILNHKNPKTGKFVEHHLKYPPSVPSDKLTHVYTAILKPNNELQILIDGEEKKKANFLSADDFEPALIPPETIPDPDDKKPEDWDDDEDGEWEAPKIDNPKCEEAPGCGEWKRPTKRNPAYKGKWYAPMIDNPSYKGIWKPQQIPNPDYFELDKPDFEPIAAIGIEIWTMQDGILFDNILIASDEKKAESYRDEKWKPKYTSEKEKQKADDAASGSDGLSSFQ >DRNTG_08879.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27672400:27673582:-1 gene:DRNTG_08879 transcript:DRNTG_08879.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPDKCGSTNKVHFILNHKNPKTGKFVEHHLKYPPSVPSDKLTHVYTAILKPNNELQILIDGEEKKKANFLSADDFEPALIPPETIPDPDDKKPEDWDERAKIPDPDAVKPEDWDEDAPMEIEDEDAVKPEGWLDDEPEDIDDPEATKPEDWDDDEDGEWEAPKIDNPKCEEAPGCGEWKRPTKRNPAYKGKWYAPMIDNPSYKGIWKPQQIPNPDYFELDKPDFEPIAAIGIEIWTMQDGILFDNILIASDEKKAESYRDEKWKPKYTSEKEKQKADDAASGSDGLSSFQ >DRNTG_08879.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27672400:27673582:-1 gene:DRNTG_08879 transcript:DRNTG_08879.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPDKCGSTNKVHFILNHKNPKTGKFVEHHLKYPPSVPSDKLTHVYTAILKPNNELQILIDGEEKKKANFLSADDFEPALIPPETIPDPDDKKPEDWDEDAPMEIEDEDAVKPEGWLDDEPEDIDDPEATKPEDWDDDEDGEWEAPKIDNPKCEEAPGCGEWKRPTKRNPAYKGKWYAPMIDNPSYKGIWKPQQIPNPDYFELDKPDFEPIAAIGIEIWTMQDGILFDNILIASDEKKAESYRDEKWKPKYTSEKEKQKADDAASGSDGLSSFQ >DRNTG_27906.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10298454:10308448:-1 gene:DRNTG_27906 transcript:DRNTG_27906.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGEKPETLGTRIPETPLTNEPPRQPPPPFLAGEPSNPGTASSPVPPTTHPRSLSRLILFPNVDILGDPTSDQLNVLIFRYLEEKGFAHTAFNFATEAGIKNVNNIDKSAIPKGALFSFVQDGLRYTQLKANLHSSDSGSLIECCRLDPLDIITNSVHSLSQIIKHRKENAKKRTIDHGEGIDYGAADQEPVSKRQNKRKDKEHSKDMRMQQGSLDLKDQSKVDVHKGPLLMGQTPSMPSVAHQVSESDVFVLEGHSLEVSICAWSPTDSLLVVGSSNSMSRIWEISDDFSMRSSISRVHFINHSDAKTYGLGGPITTLAWNGEGELLATSSVNGRASIWNKNGKLLKTLDEHGHSISSMAWSRKGDFLLTGSYNNTVIIWDTRTWKSKQELTFDSELLRSVEWRNNTSFTTCLRDKRIYVWNVGDSQPIITFAGHQDEIGCIKWDPTGTLLASNSNDGAIKIWTLTQDKSLHNLMHCEGINSIRWRPTGPGTSSPNDQLLLASAGDDATVKIWDGAQGQLLYSFNGHGTPVFEIEFSPDGDYIASGSVEQRLLIWKVIDGMIVKSIVGCDPSIYNLSWNREGNKIAAGYQNSTLCVIPLW >DRNTG_27906.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10298454:10308448:-1 gene:DRNTG_27906 transcript:DRNTG_27906.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIWEISDDFSMRSSISRVHFINHSDAKTYGLGGPITTLAWNGEGELLATSSVNGRASIWNKNGKLLKTLDEHGHSISSMAWSRKGDFLLTGSYNNTVIIWDTRTWKSKQELTFDSELLRSVEWRNNTSFTTCLRDKRIYVWNVGDSQPIITFAGHQDEIGCIKWDPTGTLLASNSNDGAIKIWTLTQDKSLHNLMHCEGINSIRWRPTGPGTSSPNDQLLLASAGDDATVKIWDGAQGQLLYSFNGHGTPVFEIEFSPDGDYIASGSVEQRLLIWKVIDGMIVKSIVGCDPSIYNLSWNREGNKIAAGYQNSTLCVIPLW >DRNTG_27906.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10307333:10308448:-1 gene:DRNTG_27906 transcript:DRNTG_27906.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGEKPETLGTRIPETPLTNEPPRQPPPPFLAGEPSNPGTASSPVPPTTHPRSLSRLILFPNVDILGDPTSDQLNVLIFRYLEEKGFAHTAFNFATEAGIKNVNNIDKSAIPKGALFSFVQDGLRYTQLKANLHSSDSGSLIECCRLDPLDIITNSVHSLSQIIKHRKENAKKRTIDHGEGIDYGAADQEPVSKRQNKRKDKEHSKDMRMQQGSLDLKDQSKVDVHKG >DRNTG_27906.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10298454:10308448:-1 gene:DRNTG_27906 transcript:DRNTG_27906.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGEKPETLGTRIPETPLTNEPPRQPPPPFLAGEPSNPGTASSPVPPTTHPRSLSRLILFPNVDILGDPTSDQLNVLIFRYLEEKGFAHTAFNFATEAGIKNVNNIDKSAIPKGALFSFVQDGLRYTQLKANLHSSDSGSLIECCRLDPLDIITNSVHSLSQIIKHRKENAKKRTIDHGEGIDYGAADQEPVSKRQNKRKDKEHSKDMRMQQGSLDLKDQSKVDVHKGPLLMGQTPSMPSVAHQVSESDVFVLEGHSLEVSICAWSPTDSLLVVGSSNSMSRIWEISDDFSMRSSISRVHFINHSDAKTYGLGGPITTLAWNGEGELLATSSVNGRASIWNKNGKLLKTLDEHGHSISSMAWSRKGDFLLTGSYNNTVIIWDTRTWKSKQELTFDSGDSVLEDRFFAFLLEVSKNSHACYIYFLQNYCVVLNGETILHLQLV >DRNTG_27906.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10298454:10308448:-1 gene:DRNTG_27906 transcript:DRNTG_27906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGEKPETLGTRIPETPLTNEPPRQPPPPFLAGEPSNPGTASSPVPPTTHPRSLSRLILFPNVDILGDPTSDQLNVLIFRYLEEKGFAHTAFNFATEAGIKNVNNIDKSAIPKGALFSFVQDGLRYTQLKANLHSSDSGSLIECCRLDPLDIITNSVHSLSQIIKHRKENAKKRTIDHGEGIDYGAADQEPVSKRQNKRKDKEHSKDMRMQQGSLDLKDQSKVDVHKGLQIQCHEYGKFQMTSPCVVQFQGCILLIILMLKPMD >DRNTG_27906.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10298454:10307014:-1 gene:DRNTG_27906 transcript:DRNTG_27906.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTPSMPSVAHQVSESDVFVLEGHSLEVSICAWSPTDSLLVVGSSNSMSRIWEISDDFSMRSSISRVHFINHSDAKTYGLGGPITTLAWNGEGELLATSSVNGRASIWNKNGKLLKTLDEHGHSISSMAWSRKGDFLLTGSYNNTVIIWDTRTWKSKQELTFDSGDSVLEDRFFAFLLEVSKNSHACYIYFLQNYCVVLNGETILHLQLV >DRNTG_27906.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10298454:10308448:-1 gene:DRNTG_27906 transcript:DRNTG_27906.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIWEISDDFSMRSSISRVHFINHSDAKTYGLGGPITTLAWNGEGELLATSSVNGRASIWNKNGKLLKTLDEHGHSISSMAWSRKGDFLLTGSYNNTVIIWDTRTWKSKQELTFDSGDSVLEDRFFAFLLEVSKNSHACYIYFLQNYCVVLNGETILHLQLV >DRNTG_25649.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21760661:21763838:-1 gene:DRNTG_25649 transcript:DRNTG_25649.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthoxin dehydrogenase [Source:Projected from Arabidopsis thaliana (AT1G52340) UniProtKB/Swiss-Prot;Acc:Q9C826] MSSGGEASSTSSQRLEGKVALVTGGASGIGEGICKLFRQHGAKICIADVKDDLGQALSKSLGGDNYAAFIHCDVTIEEDVSRAVDFTAEKYGTLDIMINNAGITGNKVIDIRNVDFNEFKRVLDVNLSGVFLGMKHAARIMIPQKKGSIISMASVSSVIGGAGPHGYTTSKHAVVGLTKSVATELGKHGIRVNCVSPYAVPTGLSMPHLPESERSEDALEGFLTFISSHANLKGVDLMPNDVAQAALYLASDESRYISALNLIVDGGFTCVNHSLKAFE >DRNTG_25649.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21760661:21764202:-1 gene:DRNTG_25649 transcript:DRNTG_25649.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthoxin dehydrogenase [Source:Projected from Arabidopsis thaliana (AT1G52340) UniProtKB/Swiss-Prot;Acc:Q9C826] MSSGGEASSTSSQRLEGKVALVTGGASGIGEGICKLFRQHGAKICIADVKDDLGQALSKSLGGDNYAAFIHCDVTIEEDVSRAVDFTAEKYGTLDIMINNAGITGNKVIDIRNVDFNEFKRVLDVNLSGVFLGMKHAARIMIPQKKGSIISMASVSSVIGGAGPHGYTTSKHAVVGLTKSVATELGKHGIRVNCVSPYAVPTGLSMPHLPESERSEDALEGFLTFISSHANLKGVDLMPNDVAQAALYLASDESRYISALNLIVDGGFTCVNHSLKAFE >DRNTG_18577.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000964.1:45716:46058:-1 gene:DRNTG_18577 transcript:DRNTG_18577.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLTTDFEDRLIAIHREQIEKWQEEIKQLRMIDASNEATRARLQNAQLHILQSVHED >DRNTG_29494.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:6004505:6040933:-1 gene:DRNTG_29494 transcript:DRNTG_29494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKFSTENHTGVRKLPTPVHLLTELSGKISMSQHGNTRPCGIPGRAWTITRSLTKVSPCPSAVSG >DRNTG_03918.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14978386:14979027:1 gene:DRNTG_03918 transcript:DRNTG_03918.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKEAVQNSESEDQTLPCPSQSDFSNHGVVSASAPSSEAPLFSGTCSLLSGRVRVYSRKPDMLLPEGAVMLPFSDDAWVAVSLDISGQ >DRNTG_03918.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14978386:14979027:1 gene:DRNTG_03918 transcript:DRNTG_03918.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKEAVQNSESEDQTLPCPSQSDFSNHGVVSASAPSSEAPLFSGTCSLLSGRVRVYSRKPDMLLPEGAVMLPFSDDAWVAVSLDISGQ >DRNTG_03918.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14978386:14979027:1 gene:DRNTG_03918 transcript:DRNTG_03918.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKEAVQNSESEDQTLPCPSQSDFSNHGVVSASAPSSEAPLFSGTCSLLSGRVRVYSRKPDMLLPEGAVMLPFSDDAWVAVSLDISGQ >DRNTG_03918.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14978386:14979027:1 gene:DRNTG_03918 transcript:DRNTG_03918.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKEAVQNSESEDQTLPCPSQSDFSNHGVVSASAPSSEAPLFSGTCSLLSGRVRVYSRKPDMLLPEGAVMLPFSDDAWVAVSLDISGQ >DRNTG_29732.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:600725:604052:-1 gene:DRNTG_29732 transcript:DRNTG_29732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPAIALYAGPPSHHPCQLASAAASHGSPDNDFNPRPPPPSSCSKPVATGGLSCLFSSSSPSPSARHFSGAVDEIGSLWHDRPDDLASSFSFKSRDPSPVSVLHGPSSRSPPSLWISRDWIGCDLRSGRERLINGFVTNALGSCLDYDSPSFPMPGGSDLDEEKEEEELAFNLEDGLDVATPMNLLARAQERHKIFFDELVIKAFYEAEKAHRGQMRASGDPYLQHCVETAVLLAKIGANATVVSAGLLHDTIDDSFIDYDYILRVFGAEVAGLVEGVSKLSQLSKLARENNTACKTVEADRLHTMYLAMEDARAVLIKLADRLHNMMTLDALPMVKQQRFAKETLEIFAPLANRLGVSTWKEQLENLCFKYLYPEQHKELSSKLVKSFNEALIALATEKLERALKDESVSYHVSGRHKSLYSIYSKMLRKRLTMDEIHDIHGLRLIVESKEDCYKALNIVHRLWPEALGRLKDYIVHPKFNGYQSLHTVVLSEDMLPLEVQIRTEEMHLQAEFGFAAHWRYKEGEHKHSSFVPQMVEWARWVLTWQCEAMNTDRIRPPCPFPSHAEDCPYSYTRQCNHDGPVFIIMLENDKMSVQEFPADSTVMDLLEKAGRGSPRLGPNSFPVKEELRPRLNHKMVSDPKMKLRMGDVVELTPAIPDKSLTQYREEIKRMYNRGLAVPIEGGWKS >DRNTG_32774.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18985373:18986342:-1 gene:DRNTG_32774 transcript:DRNTG_32774.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMERRWEDMEMDCLVSIFRRLGLDDMVLSVPFVCKSWHKASLDPHCWQVLNFSTLDFMPLSHFAYRFTSLYSLKSFSVFNFMKLVLHRSAGFAVELFFPPWLDAPLAQLTSFSNECSRLKIIVLPRLLSQDEMMIPEFVAGFKELEYLEMQCKPRCFLEVVKEINLKCRNLVGLSMTGYVKHEDALAMVNLIPKLKYLNLSKSYMEKESLMVIVNGCRYLERLEVKGCFALEVDDEILRRTSYIKTFKYEGCRTAQEYFDDSEDDDDFTSSW >DRNTG_32774.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18985281:18986499:-1 gene:DRNTG_32774 transcript:DRNTG_32774.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMERRWEDMEMDCLVSIFRRLGLDDMVLSVPFVCKSWHKASLDPHCWQVLNFSTLDFMPLSHFAYRFTSLYSLKSFSVFNFMKLVLHRSAGFAVELFFPPWLDAPLAQLTSFSNECSRLKIIVLPRLLSQDEMMIPEFVAGFKELEYLEMQCKPRCFLEVVKEINLKCRNLVGLSMTGYVKHEDALAMVNLIPKLKYLNLSKSYMEKESLMVIVNGCRYLERLEVKGCFALEVDDEILRRTSYIKTFKYEGCRTAQEYFDDSEDDDDFTSSW >DRNTG_32774.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18985281:18986342:-1 gene:DRNTG_32774 transcript:DRNTG_32774.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMERRWEDMEMDCLVSIFRRLGLDDMVLSVPFVCKSWHKASLDPHCWQVLNFSTLDFMPLSHFAYRFTSLYSLKSFSVFNFMKLVLHRSAGFAVELFFPPWLDAPLAQLTSFSNECSRLKIIVLPRLLSQDEMMIPEFVAGFKELEYLEMQCKPRCFLEVVKEINLKCRNLVGLSMTGYVKHEDALAMVNLIPKLKYLNLSKSYMEKESLMVIVNGCRYLERLEVKGCFALEVDDEILRRTSYIKTFKYEGCRTAQEYFDDSEDDDDFTSSW >DRNTG_32774.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18985231:18986342:-1 gene:DRNTG_32774 transcript:DRNTG_32774.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMERRWEDMEMDCLVSIFRRLGLDDMVLSVPFVCKSWHKASLDPHCWQVLNFSTLDFMPLSHFAYRFTSLYSLKSFSVFNFMKLVLHRSAGFAVELFFPPWLDAPLAQLTSFSNECSRLKIIVLPRLLSQDEMMIPEFVAGFKELEYLEMQCKPRCFLEVVKEINLKCRNLVGLSMTGYVKHEDALAMVNLIPKLKYLNLSKSYMEKESLMVIVNGCRYLERLEVKGCFALEVDDEILRRTSYIKTFKYEGCRTAQEYFDDSEDDDDFTSSW >DRNTG_30879.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21322437:21326574:-1 gene:DRNTG_30879 transcript:DRNTG_30879.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGYNAGAEDITGFMVKKLVRVHNFILNRKDIVLPVYHGIINMPNQIANLLNGRCYLTPLVDTIQKLMENMTRKHWELIRRTPFTYFLEMKAVVQERIVLDLLMQVYDERTNTFRLGDCYIQFRPRDMAIVLGLHCSRGRPNQRLPCEDVIYIGDQERWQQRGKLREVVVSVYPGIHALPTMSCSAPGWLAQALQDSLVESSTHPQTSKFVKKRHIRGHDKAREISSSKRHIREVIPASIGHSGCRRPPPFSSSLDENKFAHLWDEIRSLQARVSVLEGTFQRKHPPCYRSREKTPTKENPDENQYKRPLTRAVRATTASHSATPAMLKKSASPVKEKKKAALPLSQLTAVGEEIMDDISKLVDSVQVGKAQVEVDTREPNVADIGSDNMQVSNYDIIQQETTAAHIDSDSDIPQPPKNFVPLKKRLQDFKDDKHMVPEDVVNTFVLMKLDSLKTNPGQFKRRATITRPMALALSKREHSSKNLHKMLAFALHDYSSVEVVTLGLRVTVSYPLTHVKQYPQQRPGSLDCSIYTMRFMKQILNSEDLRVPPGDIEFLRLEYAVRILADGILRKPLLKEDNNSGTIVDATSQDNTDNSNLAQADENPPQTIESAEPPETTTTT >DRNTG_17112.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000875.1:31732:40495:-1 gene:DRNTG_17112 transcript:DRNTG_17112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLQDAFNMHHVDSDSLPSGSDEFNVEVNDGGETLRGVVDEQPSKKAAKFYKLLEDMNEKLYEGSKHSRLYFCIRLFHLKCKQGKNMPILKLKGMKMVLSKTAANNGDECDVGVGVRKCNTCSSNPSVPVGSSRSAPITDVAPHCSIPSSSAGSNHKEGDPNQNNSTSLENVPPVNEATNLNAVDRNGQQKKRGRTTLKELWALPPEGRILVNANDLGQPIGPEVQILAGFLGMLARTGQQIGLHYESWHKVPKTLKDELFNFIELRFALEISREYVLKSLGKKWRDYKHDLKKRHFKREDGLQANKDRHPDATIRWQWEQLVDFWYSRKGEDSEKLGVASRKQQKYTHTYGSKSFARKEKEMVSNYILSIKLLVQEVSCGRKVGQLEFFKATHCKKDGSHMNVETEQIMDKANEKLAECETIDEDMQIVETEIFDEIIGKRKMWLISWSYYPWG >DRNTG_04837.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30962863:30965134:1 gene:DRNTG_04837 transcript:DRNTG_04837.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Glc:Glc(2)Man(9)GlcNAc(2)-PP-Dol alpha-1,2-glucosyltransferase [Source:Projected from Arabidopsis thaliana (AT5G02410) UniProtKB/Swiss-Prot;Acc:Q8L638] MLYFGLASAAALAPVHFTLKQVGTLCQWLMKKRILGLLQMLVALTIGLMVVHFFSIAHPYLLADNRHYPFYVWRKVIQAHWLMKYLLVLVYVYSWVSIIIILARNQKRFWVLLFIAATAMVLIPAPLIEFRYFTIPFYLMLLHSRVSDNLSWLVMGILYIFVNIFTMAVFLFRPFHWDHEPGTQRFIW >DRNTG_04837.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30963554:30965134:1 gene:DRNTG_04837 transcript:DRNTG_04837.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Glc:Glc(2)Man(9)GlcNAc(2)-PP-Dol alpha-1,2-glucosyltransferase [Source:Projected from Arabidopsis thaliana (AT5G02410) UniProtKB/Swiss-Prot;Acc:Q8L638] MLYFGLASAAALAPVHFTLKQVGTLCQWLMKKRILGLLQMLVALTIGLMVVHFFSIAHPYLLADNRHYPFYVWRKVIQAHWLMKYLLVLVYVYSWVSIIIILARNQKRFWVLLFIAATAMVLIPAPLIEFRYFTIPFYLMLLHSRVSDNLSWLVMGILYIFVNIFTMAVFLFRPFHWDHEPGTQRFIW >DRNTG_04837.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30962228:30963140:1 gene:DRNTG_04837 transcript:DRNTG_04837.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Glc:Glc(2)Man(9)GlcNAc(2)-PP-Dol alpha-1,2-glucosyltransferase [Source:Projected from Arabidopsis thaliana (AT5G02410) UniProtKB/Swiss-Prot;Acc:Q8L638] MITTPPGLYYLSLGYIASLFPVMWFAKVVQSFPDLCSVAVLRSTNGVMAILCSVILYDLIRFLRPGIGEKKTMVYAMVMALYPLHWFFTFLYYTDVASLAAVLAMHLACLKRHYWVSAMLGSLSILFRQTNVVWMMFVAADGAMNYIEDFNRSDGVQKDQRPFVEESDAVTNNRSGTGTSTMRRRRIKGSSKDASLSNSETDNNVTYQTQG >DRNTG_04837.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30961999:30965134:1 gene:DRNTG_04837 transcript:DRNTG_04837.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Glc:Glc(2)Man(9)GlcNAc(2)-PP-Dol alpha-1,2-glucosyltransferase [Source:Projected from Arabidopsis thaliana (AT5G02410) UniProtKB/Swiss-Prot;Acc:Q8L638] MWFAKVVQSFPDLCSVAVLRSTNGVMAILCSVILYDLIRFLRPGIGEKKTMVYAMVMALYPLHWFFTFLYYTDVASLAAVLAMHLACLKRHYWVSAMLGSLSILFRQTNVVWMMFVAADGAMNYIEDFNRSDGVQKDQRPFVEESDAVTNNRSGTGTSTMRRRRIKGSSKDASLSNSETDNNVTYQTQGFFDEIRDIVLRLWWLKREVLAAFAPFIVVVVAFLAFVIWNGSIVLGDGRNISLLTIFYLAFLYATYS >DRNTG_04837.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30961999:30965134:1 gene:DRNTG_04837 transcript:DRNTG_04837.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Glc:Glc(2)Man(9)GlcNAc(2)-PP-Dol alpha-1,2-glucosyltransferase [Source:Projected from Arabidopsis thaliana (AT5G02410) UniProtKB/Swiss-Prot;Acc:Q8L638] MARVALAAMVSLWIIPISILVNRIVPEPYMDEIFHIPQAQCYCRGGFSTWDPMITTPPGLYYLSLGYIASLFPVMWFAKVVQSFPDLCSVAVLRSTNGVMAILCSVILYDLIRFLRPGIGEKKTMVYAMVMALYPLHWFFTFLYYTDVASLAAVLAMHLACLKRHYWVSAMLGSLSILFRQTNVVWMMFVAADGAMNYIEDFNRSDGVQKDQRPFVEESDAVTNNRSGTGTSTMRRRRIKGSSKDASLSNSETDNNVTYQTQGFFDEIRDIVLRLWWLKREVLAAFAPFIVVVVAFLAFVIWNGSIVLGAKEAHTVSPHLAQMLYFGLASAAALAPVHFTLKQVGTLCQWLMKKRILGLLQMLVALTIGLMVVHFFSIAHPYLLADNRHYPFYVWRKVIQAHWLMKYLLVLVYVYSWVSIIIILARNQKRFWVLLFIAATAMVLIPAPLIEFRYFTIPFYLMLLHSRVSDNLSWLVMGILYIFVNIFTMAVFLFRPFHWDHEPGTQRFIW >DRNTG_20861.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15950239:15951807:1 gene:DRNTG_20861 transcript:DRNTG_20861.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDLPLKGLCKLMNLEELDIGYNDLSGDIPLCFGHLSSLSYFDISYNQIQMPFPSIIFKNLTKLKYAFFSNNYFSGALSIDEFANNTELKILDFSNNNQLEVQNEHVRLTPSFQLDAIFLSNCICNSVPMFLSTQYQIKHIDLSNSNLKGNIPMWLFQK >DRNTG_30443.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4826253:4827231:1 gene:DRNTG_30443 transcript:DRNTG_30443.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAENCLGLESWAWIPNSFAFETEVVTKALQVSLSDDSSGSSPPPPLHLLPRPDPLLHGSGSETPQRTRNPPGLQTGRITKRKSRASRRPTTTFITADPANFRAMVQQVTGARFGPDDGPVFCKPEPVRAGLDRVGFGSTAAQLTCLPTLDTSSAFLLDRVGVVGPDGSNGPVCGFEYEPVLSFPTLDSWAAM >DRNTG_30443.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4826424:4827231:1 gene:DRNTG_30443 transcript:DRNTG_30443.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAENCLGLESWAWIPNSFAFETEVVTKALQVSLSDDSSGSSPPPPLHLLPRPDPLLHGSGSETPQRTRNPPGLQTGRITKRKSRASRRPTTTFITADPANFRAMVQQVTGARFGPDDGPVFCKPEPVRAGLDRVGFGSTAAQLTCLPTLDTSSAFLLDRVGVVGPDGSNGPVCGFEYEPVLSFPTLDSWAAM >DRNTG_30443.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4826424:4827519:1 gene:DRNTG_30443 transcript:DRNTG_30443.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENCLGLESWAWIPNSFAFETEVVTKALQVSLSDDSSGSSPPPPLHLLPRPDPLLHGSGSETPQRTRNPPGLQTGRITKRKSRASRRPTTTFITADPANFRAMVQQVTGARFGPDDGPVFCKPEPVRAGLDRVGFGSTAAQLTCLPTLDTSSAFLLDRVGVVGPDGSNGPVCGFEYEPVLSFPTLDSWAAM >DRNTG_30443.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4826424:4827408:1 gene:DRNTG_30443 transcript:DRNTG_30443.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENCLGLESWAWIPNSFAFETEVVTKALQVSLSDDSSGSSPPPPLHLLPRPDPLLHGSGSETPQRTRNPPGLQTGRITKRKSRASRRPTTTFITADPANFRAMVQQVTGARFGPDDGPVFCKPEPVRAGLDRVGFGSTAAQLTCLPTLDTSSAFLLDRVGVVGPDGSNGPVCGFEYEPVLSFPTLDSWAAM >DRNTG_02917.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000132.1:1250:2593:1 gene:DRNTG_02917 transcript:DRNTG_02917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDEVHILSRCLVQPPHKDRKKEQQIIHLTPWDLKSISIGYIQKGILFSKPCSSKSINSIVDSLKSSFSLTLNHFFPLAGRLTVTAHESTPPSFSVCISCNDEGAEFIHAVANEVTISDIVNSTSVPPILQLFFHLNGAVNFEGQSLPLLSAQVTELKDGGIFIGCSFNHIVADGFSFWHFMNSWSEISRTSSHSITLPPSHERWFIDSCTPPIRLPFQQPQDLIKSYSSPQLDECALHFSAEVVAKLKAKANKEMQTNKISSLQALLAHVWRSVTRARCLKPDQPTGYFLAMGNRSRLDLPLPSAYMGNSLQIADAVRILAGELVGGSLGWAANLLNEAVASVTHDKIIEFLDSWPKCPSFNDISQFAPCDLFTGSSPRFDVYGNDFGWGKPIAVRSGGANKFDGKITVYPGPEKGSIALEICLLPHVLKRLMEDSEFMKMVSAL >DRNTG_30272.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5144599:5156348:-1 gene:DRNTG_30272 transcript:DRNTG_30272.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGGVSSSEQCNGDGSEGSELLLVIEDRKDAADEMREEEVDGNHEYHEGALGTVDYGGGREVGVPMLENENGGVNLLSEDHSEEIGSASQEVTQSTALNSLFQGVDVNASDEQLRLYSYEQNPEGASSSQDMIVADGGDGVRTDGKLKGEVNDEVAVAVDREPLRGVLEGNETSVEEKIERAEEEHGEEKIERAEEEHGEEPTSLQDVLSAQSKDGVVVEADLEKDVDPGSMGQAFIFKDFTIQNKIEGVVGEFDGANVDVLEEPSTSEEITSDKSKNGVAADASLKGEGHVEERKQQPYAVEDDASRGGPTEEDLLEDRTLSIEDKGDSSRDSFFPAKLEVSSLTVDDSLAAGLELLKNHLYQACIVKDFLNLQLDEQMEGKTEFDQHSSEQISKLWDLLKDTEKSKVMVTEELANYQSEFQRLVIKNVEVESQVLSLLKEVENIKAHLYMTFVANDLIQLQLDEQTQAGVEFHQHSPEEMSSSLLKETQDSMAIVSEELVNCKGELQALTKRNEELENQCFSAKKEVEELNSLVSELQSKLDVSQMERAHVSAELAECRGSLDSLKKENLNLAADYNLESGIRKKLEEENEFVSRENLKLASELSEYKERLAVALDQEMLLKGNLRAMELSFEQLIDENLYLSSSSDVYKAMLKDLIGKCYDLPLQSQQTGNHASSSHGKGSTPDITIEDQKTGDSTLFKKVDVNDSSTYVTLGVLKGHIEEAEIALQNLEKSTQAMHSHSMSASKSGGRAVSKLIQAFESKTHNDDSVSDEVPLAVEERTGSLYEITKEQTCNLRAVLKKLELDLGKAGEELCKKFEVDNETRRQWSDNLQAQVNELVEREVKYKSLIDDLQNQLNEVEQSANSEAERLLSLVETLQKEGNDRASLIKQEWNSIEAVILGAMRKLNACTGEPHIVNSDVDSYVSASINVAVEVIEDLHMKIEGAYLDYNKASTSYEELNKLFMDMQERNKLAADALHGIYSNLSELVDFSCKNIGPADLDINDENMLQLLPEKCEVLIRKLQKRMDDLLPVHSAYVVLESELLIESEKIKEYEQQCSESAIKLEELHHAKDELEAELLHKNEEIEEHKHRCNELATDLDQLQHAKDGLELVLMNKSKELEELGRRCLSLTRRLEFNKWKKDSDALDELAGVDQVAVESNNIELSKSVLMRLETLVDSLAEKYDEAMEQITLSRNCLYEINTMADTSVGSWSLPLPNLLKQELIPKVLQLDLLQEKVHSLSASSHQLENELLILKERYSKMQAALEDSRSDLQLKLSELEQSEQRLYSVREKLSVAVAKGKSLIVQRDSLKQSLMEKSSGLEKCEQELLSKETLLREVQSKLKSCSEAERVEALESELSYIRNSATALRDSFLLKDSVLLRIEEILEDLNLSEHFHSKDIVEKVEMLAGLVSGDSSFPLNDCDQKSSVEGSQSGAGFMVMDAAQDDFQQSRDSGHDELRRKYEELQSKFYGVAEHNDMLEQSLLERNSLVHKWEGVLDAIDMPPQLRILEPEDKIEWLGRTLGEVQCERDTLQLKIENLEASSGMLIADLEESHKKISELTAEIVCINSEKEVFSESLEKLRSECLNLSEKIVQDEVEKENLQKDVADLKDDLVERISDKDRQFEGEIHKLLELVCSVLPDADSHSALSGACNVENLDGLLRKLIDKIMSLSAEKTVPEFSERELVIDKSGMPLDIKTSEDVQGGKDQDLMAVRLELDEVKHNLNLVTEEKEATAEKCCSLMAELDTIRKQMDSLLAERTVEIERYQSLVLESEAVVKQRDALQEQLSLEEQKSTSAREKLNIAVRKGKGLVQQRDSLKQSIDEMNAMLEHLNTEHNQQIQTLESEKSSLMSQLAVTEQNLQESRQVLSGLLNALHAINLGNEITILDPVQKMEQIGQFIHNLKSSLLSSEHELKKSKQAAELLLAELNEVQERGDDLQEELMKSEATLLEYSKQRKAAEFARVDALSHLNQVTAMHSEERKKQTEHMWEIASAIDQLRKSHLGFSSLLADVFSKNMDIFCHVDTFVEFVLKQMIVENVAGLSTLSSDSLLSSNLISKEKTLDISSTSNLNVQGQLDDSSVTKLLAFAERDLRECLGQYDQLKENVRKHYISINQQASHLSKTMGIIQRELTSQKELSESLRRDIGGLELILEEKETTIQSLSRNLALLYDACSISISEIENTKAQKSGNSLHPMQHVYEKSQIILKSLGHVGGEVPAGRLGFPFTEDSIRSLADSLLSVVKNTANVEELDGSKERELKATILELQQELQEKDIQMSRICDELVSQIKEAESVAKRNSLDLDSAMAQVNALKSQVEVMGRDKESLELRINELKGLEASSEELNEQIKSLTVLLSAKDQEIEALMQALDEEESQMEVLENRKGELENTIQEKDMDLHNLEVSREKALAKLSTTVSKFDELHDLSESLLAEVENLQAQLQGRDSEISFLRQEVTRCTNDVLAAEEINKKYSSEVHELLQWMDMMASRFGVNPVHLDKTNFSQTHVYTEILGKHILSMMAELDDIRLTAQSKDSLLQIERAKVEQLVQKVHASEASLREKEVQVELFQRGKDSSQLANIDSPGHLEREQKRSTAASVVAPHVRAVRKVNGDQVAIAIDNEQDNNALNDEDDDKAHGFKALTMSRMFPRATRPIADRIDAIWVSGDRLLMRQPTLRFGVILYWIMLHALLASII >DRNTG_30272.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5144599:5156532:-1 gene:DRNTG_30272 transcript:DRNTG_30272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGKNRSDLLAAGRKKLQQFRKKKDNKGGGKSSVKAGKTEADPGVDPDGPGADPMALLESDMKEGGVSSSEQCNGDGSEGSELLLVIEDRKDAADEMREEEVDGNHEYHEGALGTVDYGGGREVGVPMLENENGGVNLLSEDHSEEIGSASQEVTQSTALNSLFQGVDVNASDEQLRLYSYEQNPEGASSSQDMIVADGGDGVRTDGKLKGEVNDEVAVAVDREPLRGVLEGNETSVEEKIERAEEEHGEEKIERAEEEHGEEPTSLQDVLSAQSKDGVVVEADLEKDVDPGSMGQAFIFKDFTIQNKIEGVVGEFDGANVDVLEEPSTSEEITSDKSKNGVAADASLKGEGHVEERKQQPYAVEDDASRGGPTEEDLLEDRTLSIEDKGDSSRDSFFPAKLEVSSLTVDDSLAAGLELLKNHLYQACIVKDFLNLQLDEQMEGKTEFDQHSSEQISKLWDLLKDTEKSKVMVTEELANYQSEFQRLVIKNVEVESQVLSLLKEVENIKAHLYMTFVANDLIQLQLDEQTQAGVEFHQHSPEEMSSSLLKETQDSMAIVSEELVNCKGELQALTKRNEELENQCFSAKKEVEELNSLVSELQSKLDVSQMERAHVSAELAECRGSLDSLKKENLNLAADYNLESGIRKKLEEENEFVSRENLKLASELSEYKERLAVALDQEMLLKGNLRAMELSFEQLIDENLYLSSSSDVYKAMLKDLIGKCYDLPLQSQQTGNHASSSHGKGSTPDITIEDQKTGDSTLFKKVDVNDSSTYVTLGVLKGHIEEAEIALQNLEKSTQAMHSHSMSASKSGGRAVSKLIQAFESKTHNDDSVSDEVPLAVEERTGSLYEITKEQTCNLRAVLKKLELDLGKAGEELCKKFEVDNETRRQWSDNLQAQVNELVEREVKYKSLIDDLQNQLNEVEQSANSEAERLLSLVETLQKEGNDRASLIKQEWNSIEAVILGAMRKLNACTGEPHIVNSDVDSYVSASINVAVEVIEDLHMKIEGAYLDYNKASTSYEELNKLFMDMQERNKLAADALHGIYSNLSELVDFSCKNIGPADLDINDENMLQLLPEKCEVLIRKLQKRMDDLLPVHSAYVVLESELLIESEKIKEYEQQCSESAIKLEELHHAKDELEAELLHKNEEIEEHKHRCNELATDLDQLQHAKDGLELVLMNKSKELEELGRRCLSLTRRLEFNKWKKDSDALDELAGVDQVAVESNNIELSKSVLMRLETLVDSLAEKYDEAMEQITLSRNCLYEINTMADTSVGSWSLPLPNLLKQELIPKVLQLDLLQEKVHSLSASSHQLENELLILKERYSKMQAALEDSRSDLQLKLSELEQSEQRLYSVREKLSVAVAKGKSLIVQRDSLKQSLMEKSSGLEKCEQELLSKETLLREVQSKLKSCSEAERVEALESELSYIRNSATALRDSFLLKDSVLLRIEEILEDLNLSEHFHSKDIVEKVEMLAGLVSGDSSFPLNDCDQKSSVEGSQSGAGFMVMDAAQDDFQQSRDSGHDELRRKYEELQSKFYGVAEHNDMLEQSLLERNSLVHKWEGVLDAIDMPPQLRILEPEDKIEWLGRTLGEVQCERDTLQLKIENLEASSGMLIADLEESHKKISELTAEIVCINSEKEVFSESLEKLRSECLNLSEKIVQDEVEKENLQKDVADLKDDLVERISDKDRQFEGEIHKLLELVCSVLPDADSHSALSGACNVENLDGLLRKLIDKIMSLSAEKTVPEFSERELVIDKSGMPLDIKTSEDVQGGKDQDLMAVRLELDEVKHNLNLVTEEKEATAEKCCSLMAELDTIRKQMDSLLAERTVEIERYQSLVLESEAVVKQRDALQEQLSLEEQKSTSAREKLNIAVRKGKGLVQQRDSLKQSIDEMNAMLEHLNTEHNQQIQTLESEKSSLMSQLAVTEQNLQESRQVLSGLLNALHAINLGNEITILDPVQKMEQIGQFIHNLKSSLLSSEHELKKSKQAAELLLAELNEVQERGDDLQEELMKSEATLLEYSKQRKAAEFARVDALSHLNQVTAMHSEERKKQTEHMWEIASAIDQLRKSHLGFSSLLADVFSKNMDIFCHVDTFVEFVLKQMIVENVAGLSTLSSDSLLSSNLISKEKTLDISSTSNLNVQGQLDDSSVTKLLAFAERDLRECLGQYDQLKENVRKHYISINQQASHLSKTMGIIQRELTSQKELSESLRRDIGGLELILEEKETTIQSLSRNLALLYDACSISISEIENTKAQKSGNSLHPMQHVYEKSQIILKSLGHVGGEVPAGRLGFPFTEDSIRSLADSLLSVVKNTANVEELDGSKERELKATILELQQELQEKDIQMSRICDELVSQIKEAESVAKRNSLDLDSAMAQVNALKSQVEVMGRDKESLELRINELKGLEASSEELNEQIKSLTVLLSAKDQEIEALMQALDEEESQMEVLENRKGELENTIQEKDMDLHNLEVSREKALAKLSTTVSKFDELHDLSESLLAEVENLQAQLQGRDSEISFLRQEVTRCTNDVLAAEEINKKYSSEVHELLQWMDMMASRFGVNPVHLDKTNFSQTHVYTEILGKHILSMMAELDDIRLTAQSKDSLLQIERAKVEQLVQKVHASEASLREKEVQVELFQRGKDSSQLANIDSPGHLEREQKRSTAASVVAPHVRAVRKVNGDQVAIAIDNEQDNNALNDEDDDKAHGFKALTMSRMFPRATRPIADRIDAIWVSGDRLLMRQPTLRFGVILYWIMLHALLASII >DRNTG_30272.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5144599:5156532:-1 gene:DRNTG_30272 transcript:DRNTG_30272.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGGVSSSEQCNGDGSEGSELLLVIEDRKDAADEMREEEVDGNHEYHEGALGTVDYGGGREVGVPMLENENGGVNLLSEDHSEEIGSASQEVTQSTALNSLFQGVDVNASDEQLRLYSYEQNPEGASSSQDMIVADGGDGVRTDGKLKGEVNDEVAVAVDREPLRGVLEGNETSVEEKIERAEEEHGEEKIERAEEEHGEEPTSLQDVLSAQSKDGVVVEADLEKDVDPGSMGQAFIFKDFTIQNKIEGVVGEFDGANVDVLEEPSTSEEITSDKSKNGVAADASLKGEGHVEERKQQPYAVEDDASRGGPTEEDLLEDRTLSIEDKGDSSRDSFFPAKLEVSSLTVDDSLAAGLELLKNHLYQACIVKDFLNLQLDEQMEGKTEFDQHSSEQISKLWDLLKDTEKSKVMVTEELANYQSEFQRLVIKNVEVESQVLSLLKEVENIKAHLYMTFVANDLIQLQLDEQTQAGVEFHQHSPEEMSSSLLKETQDSMAIVSEELVNCKGELQALTKRNEELENQCFSAKKEVEELNSLVSELQSKLDVSQMERAHVSAELAECRGSLDSLKKENLNLAADYNLESGIRKKLEEENEFVSRENLKLASELSEYKERLAVALDQEMLLKGNLRAMELSFEQLIDENLYLSSSSDVYKAMLKDLIGKCYDLPLQSQQTGNHASSSHGKGSTPDITIEDQKTGDSTLFKKVDVNDSSTYVTLGVLKGHIEEAEIALQNLEKSTQAMHSHSMSASKSGGRAVSKLIQAFESKTHNDDSVSDEVPLAVEERTGSLYEITKEQTCNLRAVLKKLELDLGKAGEELCKKFEVDNETRRQWSDNLQAQVNELVEREVKYKSLIDDLQNQLNEVEQSANSEAERLLSLVETLQKEGNDRASLIKQEWNSIEAVILGAMRKLNACTGEPHIVNSDVDSYVSASINVAVEVIEDLHMKIEGAYLDYNKASTSYEELNKLFMDMQERNKLAADALHGIYSNLSELVDFSCKNIGPADLDINDENMLQLLPEKCEVLIRKLQKRMDDLLPVHSAYVVLESELLIESEKIKEYEQQCSESAIKLEELHHAKDELEAELLHKNEEIEEHKHRCNELATDLDQLQHAKDGLELVLMNKSKELEELGRRCLSLTRRLEFNKWKKDSDALDELAGVDQVAVESNNIELSKSVLMRLETLVDSLAEKYDEAMEQITLSRNCLYEINTMADTSVGSWSLPLPNLLKQELIPKVLQLDLLQEKVHSLSASSHQLENELLILKERYSKMQAALEDSRSDLQLKLSELEQSEQRLYSVREKLSVAVAKGKSLIVQRDSLKQSLMEKSSGLEKCEQELLSKETLLREVQSKLKSCSEAERVEALESELSYIRNSATALRDSFLLKDSVLLRIEEILEDLNLSEHFHSKDIVEKVEMLAGLVSGDSSFPLNDCDQKSSVEGSQSGAGFMVMDAAQDDFQQSRDSGHDELRRKYEELQSKFYGVAEHNDMLEQSLLERNSLVHKWEGVLDAIDMPPQLRILEPEDKIEWLGRTLGEVQCERDTLQLKIENLEASSGMLIADLEESHKKISELTAEIVCINSEKEVFSESLEKLRSECLNLSEKIVQDEVEKENLQKDVADLKDDLVERISDKDRQFEGEIHKLLELVCSVLPDADSHSALSGACNVENLDGLLRKLIDKIMSLSAEKTVPEFSERELVIDKSGMPLDIKTSEDVQGGKDQDLMAVRLELDEVKHNLNLVTEEKEATAEKCCSLMAELDTIRKQMDSLLAERTVEIERYQSLVLESEAVVKQRDALQEQLSLEEQKSTSAREKLNIAVRKGKGLVQQRDSLKQSIDEMNAMLEHLNTEHNQQIQTLESEKSSLMSQLAVTEQNLQESRQVLSGLLNALHAINLGNEITILDPVQKMEQIGQFIHNLKSSLLSSEHELKKSKQAAELLLAELNEVQERGDDLQEELMKSEATLLEYSKQRKAAEFARVDALSHLNQVTAMHSEERKKQTEHMWEIASAIDQLRKSHLGFSSLLADVFSKNMDIFCHVDTFVEFVLKQMIVENVAGLSTLSSDSLLSSNLISKEKTLDISSTSNLNVQGQLDDSSVTKLLAFAERDLRECLGQYDQLKENVRKHYISINQQASHLSKTMGIIQRELTSQKELSESLRRDIGGLELILEEKETTIQSLSRNLALLYDACSISISEIENTKAQKSGNSLHPMQHVYEKSQIILKSLGHVGGEVPAGRLGFPFTEDSIRSLADSLLSVVKNTANVEELDGSKERELKATILELQQELQEKDIQMSRICDELVSQIKEAESVAKRNSLDLDSAMAQVNALKSQVEVMGRDKESLELRINELKGLEASSEELNEQIKSLTVLLSAKDQEIEALMQALDEEESQMEVLENRKGELENTIQEKDMDLHNLEVSREKALAKLSTTVSKFDELHDLSESLLAEVENLQAQLQGRDSEISFLRQEVTRCTNDVLAAEEINKKYSSEVHELLQWMDMMASRFGVNPVHLDKTNFSQTHVYTEILGKHILSMMAELDDIRLTAQSKDSLLQIERAKVEQLVQKVHASEASLREKEVQVELFQRGKDSSQLANIDSPGHLEREQKRSTAASVVAPHVRAVRKVNGDQVAIAIDNEQDNNALNDEDDDKAHGFKALTMSRMFPRATRPIADRIDAIWVSGDRLLMRQPTLRFGVILYWIMLHALLASII >DRNTG_17788.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11495467:11495878:1 gene:DRNTG_17788 transcript:DRNTG_17788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARSISQDFSTTVDTKSRKAETGGRRSKSEIRRELAMRSGDEATIESSFRTRRRRRGGDRRHRRHCRHR >DRNTG_17788.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11495467:11495746:1 gene:DRNTG_17788 transcript:DRNTG_17788.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSARSISQDFSTTVDTKSRKAETGGRRSKSEIRRELAMRSGDEATIESSFRTRRRRRGGDRRHRRHCRHR >DRNTG_00184.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:93532:97518:1 gene:DRNTG_00184 transcript:DRNTG_00184.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine biosynthetic bifunctional enzyme TH1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G22940) UniProtKB/Swiss-Prot;Acc:Q5M731] MKLKNHVSGLAQKPLFNPDDLLLYAVTDSGMNKKWGRSITDAVKAAIEGGATIIQLREKEADTQDFVEAARSCLAICRPCNVPLLINDRVDVALACDADGVHVGQSDMPAHLVRSLLGPRKIVGVSCKNPAQAEQAWADGANYIGCGGVFPTSTKANNPTVGLDGLRTVCMASKLPVVAIGGISVGNARSVLEISVPNLKGVAVVSALFDQECIATETRRLRSILSDVLRT >DRNTG_00184.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:93532:97518:1 gene:DRNTG_00184 transcript:DRNTG_00184.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine biosynthetic bifunctional enzyme TH1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G22940) UniProtKB/Swiss-Prot;Acc:Q5M731] MAAEARRKVSHVLTVAGSDSGAGAGIQADIKACAAAGVYCSSVVTAVTAQNTVGVQGVHPVPEDFVAEQLRSVLSDMEVDVVKTGMLHSGSVIEVLCSSLKEFPVRALVVDPVMVSTSGDMLSAPSTLAVYCNKLLPLADIVTPNLKEASALLGGCSLKSVADMYSAAKLIHSLGPRNVLVKGGDLPDSSDAVDVFYDGEKCHELRGSRVVTRNTHGTGCTLASYIAAELAKGSPVMQAVQRAKSYVASALCYSKDLVIGNGPQGPFDHLMKLKNHVSGLAQKPLFNPDDLLLYAVTDSGMNKKWGRSITDAVKAAIEGGATIIQLREKEADTQDFVEAARSCLAICRPCNVPLLINDRVDVALACDADGVHVGQSDMPAHLVRSLLGPRKIVGVSCKNPAQAEQAWADGANYIGCGGVFPTSTKANNPTVGLDGLRTVCMASKLPVVAIGGISVGNARSVLEISVPNLKGVAVVSALFDQECIATETRRLRSILSDVLRT >DRNTG_25053.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6318095:6319571:1 gene:DRNTG_25053 transcript:DRNTG_25053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHTVIVDNNTWNNTHIATVGTAMSSPEKPAWEIFNSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGQYRIDSHATPTMLNCLMYKLSYYRFVETDGKGFDRVRRTEIGKKYFKLTHFEEVFTTHHWMVRIYKLKPPKNRIEGKPRNLNQHPKLLQAVKEQVGNPRKIHGNYDFSRTRLGF >DRNTG_03305.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29024322:29027985:-1 gene:DRNTG_03305 transcript:DRNTG_03305.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPVHPVPFTDCTGEGEEATGSTPATYSKSSPPSDFKSIQAFTELHSPGEFEFGGSSRRGTGQWSSASSIELADVSETVEAECSSLSYSNIYEGAKCCLCERFLSQRSPWGSRRIVRNGDMPVVSVLSCWHVFHAECLERTTSKTQKHDPPCPLCEKSEENVWEQWAACRLKNGVPRLKPLGEEGPSSKVWTCGQVGDCVERALQTPKRANMLLLNRNRLKRQLSLKGSSG >DRNTG_15895.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5911598:5915289:1 gene:DRNTG_15895 transcript:DRNTG_15895.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLKDIIPAATNTVNTKFIILDKGNKSQEGKETTCVALVADETASVYFQMWGGECEAFEPGDIVQLTNGIFSYHKNNLLLRAGKRGSVEKVGEFTMMFVETPNLSEIRWARDANNPKNFVQEGVISVHSRIFSPLT >DRNTG_15895.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5911598:5915859:1 gene:DRNTG_15895 transcript:DRNTG_15895.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLKDIIPAATNTVNTKFIILDKGNKSQEGKETTCVALVADETASVYFQMWGGECEAFEPGDIVQLTNGIFSYHKNNLLLRAGKRGSVEKVGEFTMMFVETPNLSEIRWARDANNPKNFVQEGVISVHSRIFSPLT >DRNTG_15895.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5911598:5915859:1 gene:DRNTG_15895 transcript:DRNTG_15895.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCRIQCPTVSMAYPCRTPARHRESWRMVFLKDIIPAATNTVNTKFIILDKGNKSQEGKETTCVALVADETASVYFQMWGGECEAFEPGDIVQLTNGIFSYHKNNLLLRAGKRGSVEKVGEFTMMFVETPNLSEIRWARDANNPKNFVQEGVISVHSRIFSPLT >DRNTG_31949.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2272905:2274764:1 gene:DRNTG_31949 transcript:DRNTG_31949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSLNLGLPQSYLNGVTGLGTTLTISSSPTAEGDSDPMKPPEQEDLYAAANLLINVGSVPVAEEQGYAVDPLVPVEAVPLRSFVIRDVLLVPDDAVPLVAVQPSASDIDASPLTTTRCHDENSQVVRLFFVSDPNFRFERPTETENQPVRQEGSGQGSAVKDDDNAEFECNVCFDTAKEPVVTSCGHLFCWSCLYRWLHRNLENKECPTCKGALLGCNITPIYGRGGPERSMRGEVGEEGKQGGFVIPPRPRGSRFEGFNQWFEPVFDVADEDVISSWRSIVEEGMRNMFERIVEPSMKEMFNRCYQTLMETQADQWDPVFGETIGEVLSQRRCPRVPQSNAVVSASTYRREDLLWQWFTLCGLAKTQKLTAMANMEIMFDRITAGMGGITSVGPSAVAGPSSTMAVIQGDSAFASDSPEANSSETSGSLRRTWSSVSGSSYGDDGDDGDDGDVHENIRRRLN >DRNTG_22344.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29912222:29914242:1 gene:DRNTG_22344 transcript:DRNTG_22344.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:H/ACA ribonucleoprotein complex subunit 3-like protein [Source:Projected from Arabidopsis thaliana (AT2G20490) UniProtKB/Swiss-Prot;Acc:Q93XX8] MYLQFYINENGDKVYTTKKESPLGLATQSAHPARFSPDDKYSRQRVLLKKRFGLLPTQQPPQKY >DRNTG_22344.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29912222:29914242:1 gene:DRNTG_22344 transcript:DRNTG_22344.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:H/ACA ribonucleoprotein complex subunit 3-like protein [Source:Projected from Arabidopsis thaliana (AT2G20490) UniProtKB/Swiss-Prot;Acc:Q93XX8] MYLQFYINENGDKVYTTKKESPLGLATQSAHPARFSPDDKYSRQRVLLKKRFGLLPTQQPPQKY >DRNTG_30676.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14493449:14496737:1 gene:DRNTG_30676 transcript:DRNTG_30676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEHSPVPSALRSLPQRHDPHRRAMDMSMTHHQYHLPDFTQLLTRPISDVQTHFSGAPPDVV >DRNTG_30676.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14496087:14496737:1 gene:DRNTG_30676 transcript:DRNTG_30676.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFFFVQCICEFPVNSKLIFHSRSSSNVKKNRKHSRSSSNEGIQNKKNLGEIDHLITLMGIMIKSTARLARPAKQAQHRKVHKEPKIQMTAPTSEWK >DRNTG_30676.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14494884:14496737:1 gene:DRNTG_30676 transcript:DRNTG_30676.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEHSPVPSALRSLPQRHDPHRRAMDMSMTHHQYHLPDFTQLLTRPISDVQTHFSGAPPDVV >DRNTG_22539.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3646022:3646809:1 gene:DRNTG_22539 transcript:DRNTG_22539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSNNGQVIGIPISYSSSSLLKKTRKDSLFTWMNKFSKTIKDHVAVGEVERAFRKSFSTGKREKLVKTMQCYLSTTSGPISGMLFISTDKIAFHSERSITVTSPTGNLIKVPYKVLIPLNRIKRVNPSENSEKPGQKYVQIVTVDDFEFWFMGFVSYQKSTKNLRVQDVVVG >DRNTG_02145.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28951010:28960983:1 gene:DRNTG_02145 transcript:DRNTG_02145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAKSVKKLKDAMQALQKSLSFSRGRSGAQPDECMSEDEIVVVPEDVKEGHFAVLAVHGERPPKRYVVSLRCLSHPVFLRLLEMASEEFGFSQAGAIAVPCRPCELERIISDL >DRNTG_21961.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2099247:2102490:-1 gene:DRNTG_21961 transcript:DRNTG_21961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRAPYSPLTTITCSRKPQTENLAGILMLVSSGTAAKQKGLWARLTTVSIGVKSWYAGFGLGAAQRLTICIWALNFWYGEKLVTRGDITAKAVLQTILVLFRTGNVIADAGSMTSDIAKDSDAVDSMYGLLDQCTRIEPNDPKGPPTRKAHCIQAEKSTVLVGQNGSRKSTIIGLIKRFNDPLRGTIEIDGKDLKAYHLQALRQHIALVGQEPTLFAGTIKENIKYGSKKATEAERVEAAARMTNAHDFINCLKDGYET >DRNTG_09909.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7240709:7246192:-1 gene:DRNTG_09909 transcript:DRNTG_09909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIGESSGIDGSGGGEKIEEKATSIVKEENTMEYCVSYDGEELKAKTIRVHDNRSEAKRSSESPVLQQVLVGLHGCILSKSAIRTPVRSSASSSIAGFALSHPPAPTQGTSPSFFSSFFDFILSLLMDFVIDNGWAFYWGTSEWSAQQIMEAWGIVNQLDLISPIVEQPEYDLLSRHKI >DRNTG_32462.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2457111:2462389:-1 gene:DRNTG_32462 transcript:DRNTG_32462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSPRSPFSSSMERTNQWVFSQEIPSDILVQVNDVQFPLHKVMLVAKSGYIRRKILELNGAELNHIDLSAVPGGAETFEKAAKFCYGINFEISVHNIAGLWCTAEYLQMTNDCCCTGNLAGRAEEFLVHVAFKTLSGSVIVLNSCESLLPFAEDVALFKRCVDVVAMKACNESIHPTRATTEWWATELTSLSPNSLQKVLTAMKSFGASPKSLSTAITTFADSSLSDILLRPTSTSTPVSLPDDALAHHRAALESLAAILPSSRDAPLPFTFLSSLLRAATSLSASLSSRREIERRISAALDQASASDLISLSLDATGHRFGDLESVRRIIAGFVEKEAAAAGGGGLLFGGGAVTCSPAMQKVARTLDAFAAEISTDEDLTVSKFAAVAGALPKASRRFDDDVYRAVDLYLKAHPGLDELEREKVCSVMDPLRLSYEARLHASQNKRLPLQIVLHALYHDQLKLRSGESEATATSAQTAAASEAALARENALLRMELAKMKMYVSDMQKEHASGSKGSSAKKGSSSFFSSVSKTLGKLNPFKMQGSKDTLNLDDGVGEVITKPRRRRFSIS >DRNTG_30292.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5611117:5612112:1 gene:DRNTG_30292 transcript:DRNTG_30292.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSKQLEKLRTNHILSLYIFLSLVSCDLILVTS >DRNTG_30292.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5611166:5613820:1 gene:DRNTG_30292 transcript:DRNTG_30292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDEIDSELMRPSQNDGCNGLEFNEMQLNE >DRNTG_30292.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5611117:5612963:1 gene:DRNTG_30292 transcript:DRNTG_30292.2 gene_biotype:protein_coding transcript_biotype:protein_coding DKLRTKLQCILINNKFSNQATVCKQGFPYLRKNLTRVVSIGNI >DRNTG_30292.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5611166:5612112:1 gene:DRNTG_30292 transcript:DRNTG_30292.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSKQLEKLRTNHILSLYIFLSLVSCDLILVTS >DRNTG_22206.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1392215:1398411:-1 gene:DRNTG_22206 transcript:DRNTG_22206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELVGGHHTSSVNPRITWEGCSVLLDINDGDRLVFARLSPAATLKIGDKKCSLRPLIGCPFGSLFRVETGPNGPHLERCVHSPSGANDMGVQEGGDRQLESESKDNRALIDNNSAQNLSSEDIDAMRRDGATGDEIVEALIANSSTYENKTVFSQEKYKRRKQKKYAPKVLLRRPFTRSICEAYFKKYPNRIGFLRIDTLSLLLSFANISAYSDVLLVDMVGGLLTGSVAERLGGTGYVCNTYFGGTSHSLDIARIFNFSSEFDSRIVQASYSHLCSVESLDEERSKMHEIDHPAGIQSIIGRESSTKCDPSTGSTQIQEVQSLRNDNSSLDEELGNTKENLSSGQEGLHENNATITYKAVNPGKQASPDAIKMWKEKGFNSLIVAAPELEVDSIVQKLVPLLSYSAPFAIYHQYLQPLATCMHKLQSSKMAIGLQISEPWLREYQVLPSRTHPQMQMSGFGGYILSGTKISNNSEDCNGNQ >DRNTG_22206.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1392215:1398411:-1 gene:DRNTG_22206 transcript:DRNTG_22206.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCIFFCCGRFLRIDTLSLLLSFANISAYSDVLLVDMVGGLLTGSVAERLGGTGYVCNTYFGGTSHSLDIARIFNFSSEFDSRIVQASYSHLCSVESLDEERSKMHEIDHPAGIQSIIGRESSTKCDPSTGSTQIQEVQSLRNDNSSLDEELGNTKENLSSGQEGLHENNATITYKAVNPGKQASPDAIKMWKEKGFNSLIVAAPELEVDSIVQKLVPLLSYSAPFAIYHQYLQPLATCMHKLQSSKMAIGLQISEPWLREYQVLPSRTHPQMQMSGFGGYILSGTKISNNSEDCNGNQ >DRNTG_31493.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2041580:2043156:-1 gene:DRNTG_31493 transcript:DRNTG_31493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAVAVKIQEGVGVDAVVPAAPETSAQRKILLKSAEGEEFLVDELLARGSKMISNMIDDGCTEGGIPLPNVTAPVLSKVLEYWKHHVGKSETDKDAGEFDRDFVKDTSSSVLFDVIMVANYLDCKPLLDLACQAVADAIRDMNVEQVRGFFGITNDFTPDEEAAVREENRWAFD >DRNTG_02916.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23654401:23659939:1 gene:DRNTG_02916 transcript:DRNTG_02916.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotide 3'-phosphatase ZDP [Source:Projected from Arabidopsis thaliana (AT3G14890) UniProtKB/Swiss-Prot;Acc:Q84JE8] MVPSPSLVAEYAKSGRSSCKGCGKSIPSGSLRLGLSIKDPRGFNSTKWHHVGCFSSQSHPIVAKEIKGFSSLKGPDQDALRTLEAGGKSGPSSAKALQGGVRDNDGVDGSESDSEELKEKSLKKRKFDSEQEERKEKDINEPKMIGDGNKNDLAVAFSVSDIKNTYKDAKLPPKWKAFQTVIFHEQEDGLHSSEKIAAFDFDGCLANTTVYRVDPDDWSLMHPSIPEKLQSLYDSGYKLVIFTNEANIERWKNKRQVAVNFKIRMLENFMKLVKLPIQVSMKFMFSYYDSIRTCN >DRNTG_02916.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23654401:23659616:1 gene:DRNTG_02916 transcript:DRNTG_02916.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotide 3'-phosphatase ZDP [Source:Projected from Arabidopsis thaliana (AT3G14890) UniProtKB/Swiss-Prot;Acc:Q84JE8] MVPSPSLVAEYAKSGRSSCKGCGKSIPSGSLRLGLSIKDPRGFNSTKWHHVGCFSSQSHPIVAKEIKGFSSLKGPDQDALRTLEAGGKSGPSSAKGGVRDNDGVDGSESDSEELKEKSLKKRKFDSEQEERKEKDINEPKMIGDGNKNDLAVAFSVSDIKNTYKDAKLPPKWKAFQTVIFHEQEDGLHSSEKIAAFDFDGCLANTTVYRVDPDDWSLMHPSIPEKLQSLYDSGYKLVIFTNEANIERWKNKRQVAVNFKIRMLENFMKLVKLPIQVFIACGLPLNKRNLTEDPFRKPKPGMWRLMEENFNSGIAIDRNQSFYVGDAAGRPNDHSDADIKFAQV >DRNTG_02916.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23654936:23658474:1 gene:DRNTG_02916 transcript:DRNTG_02916.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotide 3'-phosphatase ZDP [Source:Projected from Arabidopsis thaliana (AT3G14890) UniProtKB/Swiss-Prot;Acc:Q84JE8] MIGDGNKNDLAVAFSVSDIKNTYKDAKLPPKWKAFQTVIFHEQEDGLHSSEKIAAFDFDGCLANTTVYRVDPDDWSLMHPSIPEKLQSLYDSGYKLVCLLYCLMLLLNH >DRNTG_20752.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:21914977:21917459:1 gene:DRNTG_20752 transcript:DRNTG_20752.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVELMKLAGVFYIGDFVPWLRPFDLQGVVGRMKRLHRWYDGFLSKIMEEHKMEDGNKGGDLLSVLLGLKEEAKLTDTNIKALLQNLFTAGTDTSATTVEWAMAELIHHPDILAAVQAEIDSVTGRSRLVSEPDLAKLPRTAAEPSSKRRSGSTHQLHSHSLAPPPSHAKSAASTSPAAPPSWSTCGP >DRNTG_26623.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:358947:359612:-1 gene:DRNTG_26623 transcript:DRNTG_26623.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKRAGNLQTLPSNGNFSSYNSKSWWPSSFAPSTNL >DRNTG_02199.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1316623:1319520:-1 gene:DRNTG_02199 transcript:DRNTG_02199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKDIMSVIRSADSSSTTYGNANIYARRSSGDNSGLNSQMLNSDKRMFNHYGLDTSHPEIYSHQYFNSSLSPAQHNLFHGSNSGVPGTMIRSQVTPAQYQLLAASQSPIVSENPYSACFSAVRQQDSPLTSNISHQSTQSVSDSQTVENDLDFDEDVIRLKLQELERALLADNDDELVAIDQEMSIDDDWSEPIKNAISPNSPKESSSDSNLSSTDSSREARTPKQLLFDCAAAISEGHMEEASSMITELRQMVSIQGDPPQRLAAYMVEGLAARMASSGQGLYRALKCKEPPTSDRLSAMQILFEVCPCFKFGFMAANGAIAEAFKDEERVHIIDFDINQGSQYITLIQALSNPRSKAPRLRITGVDDPESVQRAVGGLKVIGKRLEELAKEHGVQFEFRAIAAKTGDVTPSMLDCRPGEALVVNFAFQLHHMPDESVSTVNQRDRLLRMVKGLNPKLVTVVEQDVNTNTAPF >DRNTG_29697.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3777093:3779353:-1 gene:DRNTG_29697 transcript:DRNTG_29697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVILVGGFGTRLRPLTLSIPKPLVEFANKPMILHQIEALKAVGVKEVILAINYRPQVMMSFLEEYESKLGIKITCSQETEPLGTAGPLALAKENLTQDNDEPIFVLNSDIICEYPLKEMLEFHNVHGGEASIMVTKVAEPSKYGVVVMDEDTGKVKKFVEKPVTFVGNKINAGIYLLNTSVVDRIPLQPTSIERQVFPAIAEEGLLYAMESKGFWMDVGQPKDYLAGLRLYLEHLRRNFANSLVAGPNIIGNVLIDESAKIGEGCLIGPDVSVGPGCVIECGVRLSSCTLMKGVRVKSSAYVSGSIIGGHSTIGQSVHISSMAVLGEDVHVNDTMFLNGVVVLPHKEIKTHFVKPEIVM >DRNTG_30970.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8459148:8465882:-1 gene:DRNTG_30970 transcript:DRNTG_30970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSLVINFNYKVKHTLALAYEITSEGTHGISESVEDPPALNSGSLYAPERITYVSQSTTVPFTSLKWTSKSTSSTPPLQTGREDHLFKQEELSPSHHPNHTVKNIKAVASIPCICLRPIGIESWHTASMLPWMEPEMITVAIEEDDFA >DRNTG_24777.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7765332:7767960:-1 gene:DRNTG_24777 transcript:DRNTG_24777.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQSCNTTTSSQPLKPQNCEPTHPQSTTAAFSWEIRGIGVAIKGS >DRNTG_01305.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1862732:1865197:1 gene:DRNTG_01305 transcript:DRNTG_01305.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 58 [Source:Projected from Arabidopsis thaliana (AT3G01080) UniProtKB/TrEMBL;Acc:A0A1I9LLS8] METKEYLISKFILILTKIFTFQCTLKNICLILFDCCFLIILNVQFGMLDQQDLQSNTDIGVPRAEYQSLTTSQTSSSAINMMPIQQNLFPDQRSQVVVDKPADDGYNWRKYGQKQVKGSEYPRSYYKCTHQNCPVKKKVERAMDGQITEIIYKGQHNHPKIAAYKRVKEGGGVNELNENYENDNSGVSMSKRDREYGNGTSEQLSGSSDDEDEVDETEGKTDVGTDCEPNAKRMNVDGKVIESNSSHRTVTEPRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTNAGCNVRKHVERASSDAKAVITTYEGKHNHEVPAARNSSHVTNSANGNVLQPKAQRMTSTNPTAYFSRPNFNDNDQRSVALLQMKQEHEVA >DRNTG_01305.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1862732:1865197:1 gene:DRNTG_01305 transcript:DRNTG_01305.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 58 [Source:Projected from Arabidopsis thaliana (AT3G01080) UniProtKB/TrEMBL;Acc:A0A1I9LLS8] MPGSDDAAAAPSFSSIESLFRGATLDFSPGPMTLLSSFFGEDSELDCRSFSQLLAGAVDSSSLAQPSMEAGSRLDLRRPPEFPLGHEESPVFTLPQGISPTSLLLDSRGFFSSSGTFGMLDQQDLQSNTDIGVPRAEYQSLTTSQTSSSAINMMPIQQNLFPDQRSQVVVDKPADDGYNWRKYGQKQVKGSEYPRSYYKCTHQNCPVKKKVERAMDGQITEIIYKGQHNHPKIAAYKRVKEGGGVNELNENYENDNSGVSMSKRDREYGNGTSEQLSGSSDDEDEVDETEGKTDVGTDCEPNAKRMNVDGKVIESNSSHRTVTEPRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTNAGCNVRKHVERASSDAKAVITTYEGKHNHEVPAARNSSHVTNSANGNVLQPKAQRMTSTNPTAYFSRPNFNDNDQRSVALLQMKQEHEVA >DRNTG_01305.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1862732:1865197:1 gene:DRNTG_01305 transcript:DRNTG_01305.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 58 [Source:Projected from Arabidopsis thaliana (AT3G01080) UniProtKB/TrEMBL;Acc:A0A1I9LLS8] MPGSDDAAAAPSFSSIESLFRGATLDFSPGPMTLLSSFFGEDSELDCRSFSQLLAGAVDSSSLAQPSMEAGSRLDLRRPPEFPLGHEESPVFTLPQGISPTSLLLDSRGFFSSSGTDLQSNTDIGVPRAEYQSLTTSQTSSSAINMMPIQQNLFPDQRSQVVVDKPADDGYNWRKYGQKQVKGSEYPRSYYKCTHQNCPVKKKVERAMDGQITEIIYKGQHNHPKIAAYKRVKEGGGVNELNENYENDNSGVSMSKRDREYGNGTSEQLSGSSDDEDEVDETEGKTDVGTDCEPNAKRMNVDGKVIESNSSHRTVTEPRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTNAGCNVRKHVERASSDAKAVITTYEGKHNHEVPAARNSSHVTNSANGNVLQPKAQRMTSTNPTAYFSRPNFNDNDQRSVALLQMKQEHEVA >DRNTG_00873.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21842389:21843273:1 gene:DRNTG_00873 transcript:DRNTG_00873.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIQSYRNSAEIYNGDAFCKKKSIQLLEEIGLPNGLFPLDDIEEFGYNREAGFVWLIQKKKKDHTFKKIKRAVSYAPEVTAFVENGKMKKMTGVKTKELMLWLSVVEMYIEDPSSKKITFKTGTGLSDSFPVSAFELEE >DRNTG_31569.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001723.1:21581:22050:-1 gene:DRNTG_31569 transcript:DRNTG_31569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFKGGFVSFLVIEFVLSFNIFLLVHGQLLPIVSDHGENTSQIQTYIVHVLKPEGSNFLGAEDLENWHKSFLPNTTLDTGEPRLRFSYKEAISGFAARLTPEEVRDMEKMDGFLRANPSRTLHLHTTYTHEFLNL >DRNTG_33903.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26721808:26722616:1 gene:DRNTG_33903 transcript:DRNTG_33903.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGFSGLLRRRERKAQEEQDHRRRSEIERGTPKVAIRSSQFESHGVRNGLMDERIQ >DRNTG_10264.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20066028:20071478:-1 gene:DRNTG_10264 transcript:DRNTG_10264.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soluble inorganic pyrophosphatase 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G09650) UniProtKB/Swiss-Prot;Acc:Q9LXC9] MAAAAAATAASAARFTPIGGAFHGFHPHSGLRKIPLSFSARPRTVLSLRPRRHPFSCLALQKPGDVQIKEEGAPETLDYRVFFIDSSGRKISPWHDIPLSLGDGVFNFIVEIPKETSAKMEVATEEPHTPIKQDTKKGKLRYYPYNINWNYGLLPQTWEDPSFANIEVEGAFGDNDPVDVVEIGDRKAKIGEVLKVKPLAALAMIDEGELDWKVVAISLDDPRASLVNDVNDVEKHFPGTLTAIRDWFRDYKIPDGKPANKFGLGNKAASKDYALKVIMETNESWAKLVRRSVPAGELSLV >DRNTG_12195.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10678915:10683848:1 gene:DRNTG_12195 transcript:DRNTG_12195.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKQFMPKEPAGLEGRVFDVGNVKIQVRNAIAEGGFSCVYLARDAVNPSKQYALKHMICNDSESLDLVMKEISVMRLLKGHPNVVTLVAHAILDMGRVKEALLVMEFCERSLVGVLENRGAGYFDEKQVLLIFRDVCNAVFAMHSQSPPIAHRDLKAENVLLGSDGAWKLCDFGSTSTNHKCFDRPEEMGIEEDNIRKHTTPAYRAPEMWDLFRREVISEKVDIWALGCLLYRICYLKSAFDGESKLQILNGNYRIPDLPNFSTSITSLIKDMLEGSPDARPDITQARALLDWTFISMNLGLVSC >DRNTG_12195.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10678915:10683848:1 gene:DRNTG_12195 transcript:DRNTG_12195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKQFMPKEPAGLEGRVFDVGNVKIQVRNAIAEGGFSCVYLARDAVNPSKQYALKHMICNDSESLDLVMKEISVMRLLKGHPNVVTLVAHAILDMGRVKEALLVMEFCERSLVGVLENRGAGYFDEKQVLLIFRDVCNAVFAMHSQSPPIAHRDLKAENVLLGSDGAWKLCDFGSTSTNHKCFDRPEEMGIEEDNIRKHTTPAYRAPEMWDLFRREVISEKVDIWALGCLLYRICYLKSAFDGESKLQILNGNYRIPDLPNFSTSITSLIKDMLEGSPDARPDITQVWFRVNQQLPMELQKHLPDGSTSAADMRHPTSDANSEGAKRSPLMPRRSPPPPPTSREQVRNISPQPEKDMHHSKSSQGTSKSVGGSIGGPMGSFWASQFAQDAQIVEEKGPVFDEPSTDAISKHNRNNLDSRVSPSREQHTRPRQSTRSAEGNPRKSVDAKPSEDFEISFFPENPGHGSEKTKPSQTEIKSNFQNEAFNSFVADFDTSKISSGSNTYHVKNNDSGKEDLEAEVNRLKEQLKQTNLEKSEITSKYEKLSAICRSQRQEIQDLKRAIGAASLVATNKDSTKSHPSPASVQSSTPPREKIEGTVWELQQAMFTGSSASPSPDPKPWQAFADEPKTQPTTNLSQPKSVRTTNGRQNPTKQPASAPANNAWGFDQDSFTAVPAAGSQISSSSIQGSISQRFAAGETKKVTATSQPAGWSGF >DRNTG_26380.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:449021:452672:-1 gene:DRNTG_26380 transcript:DRNTG_26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQGQWLLMATARSPTNIAVIKYWGKRDEALILPINESISITLDPDHLSATTTVAVSPSFDRDRMWLNGKEISLDGGRFQSCLREIRRRAEDVEDEKKGIHIRKEDWERLHVHIASYNNFPTAAGLASSAAGFACLAFSLAKLMNVKEDCGELSAIARRGSGSACRSLFGGFVKWTMGNVADGSDSTAVQLASEAHWDDLVVIIAVVSSRQKETSSTSGMRESVETSSLLHYRAKEVVPSRILQMEKAISCRDFATFAKLTCADSNQFHAVCLDTSPPIFYMNDTSHRIINLVEKLNQFEGEPQVAYTFDAGPNAVLIAKNRKTASLLLQRLLFYFPPPTGSELTSYLLGDKSILQESGVQSMKDVEDLQPPLETKDKTMVQKYVGDVSYFICTKIGRGPTLLADEGQALLSSETGLPK >DRNTG_05846.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31583295:31585812:1 gene:DRNTG_05846 transcript:DRNTG_05846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVVLYPSSGIGHLAPMTEFAKLLVAQGLSVVMPILPPMFPSVSTSAIDDYITRISSSHPSISFHRLPTFTIDYSSTNFAIRAFSYVRAANPLLRDLLQTISQTSNIRAFLTDFFCIDALDVAADLQLPAYVFFTCSAFVLAYFLYLPTLHSEMACGPSEFGETPIHIPGLPPIPPSQLPDHTRDRDEGLQTIVDVFSRFPEAKGIIVNSFEFMESRTLKTIREGHCLPNRETPPVYCVGPLITVSKRGGERHECLTWLDKQPKGSVVFLCFGSKGRFSKEQVMEIATGLERSEQRFVWVVRSPPDPENRFANFNEVDLDTLLPVGFLERTEGRGVVVKDWAPQVEVLNHEATGGFVTHCGWNSVLEGLCAGVGMIAWPLHAEQKMNKVMLVDEMKLAVAILGYHDKGKVVAEEVENRVRWLMESDGGMELRNRAKAMKDRATAAVSDGGSSYAAVLEIASQWKLLS >DRNTG_15771.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17533403:17534657:-1 gene:DRNTG_15771 transcript:DRNTG_15771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANVRLGKALKDECWSICFRIAWASTAKDFDDTVNELQATSPEAHHWLINKSDMAHWSNYLFRGDRWGEMYSNVSESFNAWIKEARHLPVTKMVDSIRYKLMRILCNRRKQANKWETYLCPDIHSKVEIIVEDSQNLRVGRCVDDRYEVIDQCSNSVDLAIRTCSCRRLQVYGIPCKHACAAIMQTDTNAHRFISGYFTVDNYKLAYKEAIFPIPDDDRPSDGNRELRL >DRNTG_07824.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10036950:10043003:-1 gene:DRNTG_07824 transcript:DRNTG_07824.16 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi-associated nuclease 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G48360) UniProtKB/Swiss-Prot;Acc:Q5XVJ4] MVPMLSGRESLIRLIGKRRRILPPNLWLLLESVASDSSSGKNKASLEACSAAAHSEKPGDLPYDVEWVSCPVCGCSIRGTDCIINSHLDSCLATGKKRKLTQSTLLQFNFCPKSSITTPLTNSSYQANYEKANESNCANKSSNNHVLVEFASVQNCGGNQFSSNSLGHVHCDIDTSDAASIENIVNERTIPHHGVDLPSLNMHCQSLKIPRLGSNEAEDSDFVETLETFIVGRRFHQNIELRHGASLSVLRDSENSNDIHAIKVYYAYAESEQTLGYLPRELARCLSPLIDKNKIKCQVEAHDITIQFMHLMKQVD >DRNTG_07824.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10018169:10029319:-1 gene:DRNTG_07824 transcript:DRNTG_07824.14 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi-associated nuclease 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G48360) UniProtKB/Swiss-Prot;Acc:Q5XVJ4] MAEMLLWRVQRLFFLNGDQDLSAFLLVNLGLVRFPDYTCSVSLPIFPDRNDLLAYDEALGIAQIMDQSLDEYNLEMIVRCINLCNSRIPKSFEKEIQLSVPDSPPKFFSHFSAAWVYSKVLFLGVSVFEHERRYEDAVGLLRVLLSRFTCDSRRGHWLLRLSVDLEHLGHLDESLSVAEEGALDPWVRAGSKMALQRRIVRLAKPPRRWRVPNCAHFLKRKIKEVNINGRPLNCGTGAKNIFYGYDGDLCGVEQLALQYYAGDGGNWQGIHSESGIWMTIFGLLMWDIIFSDVPNVFRSKFQMAPLDLDTDDFYIARKFHIEALLKLIQDGKAEEILTSSWKSHLGTSCRGVNWERHSLSELQTVVACIGGHCLASFCRHLAQDYRSWSSGMPDLLLWRFHGDRDVGESKLVEVKGPRDRLSEQQRAWMLLLMDCGFDTEVCKVSPVPLS >DRNTG_07824.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10018098:10029319:-1 gene:DRNTG_07824 transcript:DRNTG_07824.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi-associated nuclease 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G48360) UniProtKB/Swiss-Prot;Acc:Q5XVJ4] MAEMLLWRVQRLFFLNGDQDLSAFLLVNLGLVRFPDYTCSVSLPIFPDRNDLLAYDEALGIAQIMDQSLDEYNLEMIVRCINLCNSRIPKSFEKEIQLSVPDSPPKFFSHFSAAWVYSKVLFLGVSVFEHERRYEDAVGLLRVLLSRFTCDSRRGHWLLRLSVDLEHLGHLDESLSVAEEGALDPWVRAGSKMALQRRIVRLAKPPRRWRVPNCAHFLKRKIKEVNINGRPLNCGTGAKNIFYGYDGDLCGVEQLALQYYAGDGGNWQGIHSESGIWMTIFGLLMWDIIFSDVPNVFRSKFQMAPLDLDTDDFYIARKFHIEALLKLIQDGKAEEILTSSWKSHLGTSCRGVNWERHSLSELQTVVACIGGHCLASFCRHLAQDYRSWSSGMPDLLLWRFHGDRDVGESKLVEVKGPRDRLSEQQRAWMLLLMDCGFDTEVCKVSPVPLS >DRNTG_07824.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10018266:10036111:-1 gene:DRNTG_07824 transcript:DRNTG_07824.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi-associated nuclease 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G48360) UniProtKB/Swiss-Prot;Acc:Q5XVJ4] MVIKDNSMESVDRETFKLLWRDVVRVVDHAKTPGYQRNFRLLVQYVLHNHLHLFVDEETSFLGSFNLLSDDAQRLFVRLYTRKGPWFRMANISYPEISDPKKAILELQLAGYFDSFPLSEAPSINDIRDVLDVLSASEIREISKLELCKKGVNSARRKDICNRVYHAYINGRCPYLPKRVLEWAGTCVKISSMAEMLLWRVQRLFFLNGDQDLSAFLLVNLGLVRFPDYTCSVSLPIFPDRNDLLAYDEALGIAQIMDQSLDEYNLEMIVRCINLCNSRIPKSFEKEIQLSVPDSPPKFFSHFSAAWVYSKVLFLGVSVFEHERRYEDAVGLLRVLLSRFTCDSRRGHWLLRLSVDLEHLGHLDESLSVAEEGALDPWVRAGSKMALQRRIVRLAKPPRRWRVPNCAHFLKRKIKEVNINGRPLNCGTGAKNIFYGYDGDLCGVEQLALQYYAGDGGNWQGIHSESGIWMTIFGLLMWDIIFSDVPNVFRSKFQMAPLDLDTDDFYIARKFHIEALLKLIQDGKAEEILTSSWKSHLGTSCRGVNWERHSLSELQTVVACIGGHCLASFCRHLAQDYRSWSSGMPDLLLWRFHGDRDVGESKLVEVKGPRDRLSEQQRAWMLLLMDCGFDTEVCKVSPVPLS >DRNTG_07824.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10018387:10036111:-1 gene:DRNTG_07824 transcript:DRNTG_07824.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi-associated nuclease 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G48360) UniProtKB/Swiss-Prot;Acc:Q5XVJ4] MMPKGFLFVSILVKVHGFGWLIFLTLKYQILRKQFWNCSWLVTLILSLSARPPRLMILEMFLMCCLLLKYERFQNWNFKGVNSARRKDICNRVYHAYINGRCPYLPKRVLEWAGTCVKISSMAEMLLWRVQRLFFLNGDQDLSAFLLVNLGLVRFPDYTCSVSLPIFPDRNDLLAYDEALGIAQIMDQSLDEYNLEMIVRCINLCNSRIPKSFEKEIQLSVPDSPPKFFSHFSAAWVYSKVLFLGVSVFEHERRYEDAVGLLRVLLSRFTCDSRRGHWLLRLSVDLEHLGHLDESLSVAEEGALDPWVRAGSKMALQRRIVRLAKPPRRWRVPNCAHFLKRKIKEVNINGRPLNCGTGAKNIFYGYDGDLCGVEQLALQYYAGDGGNWQGIHSESGIWMTIFGLLMWDIIFSDVPNVFRSKFQMAPLDLDTDDFYIARKFHIEALLKLIQDGKAEEILTSSWKSHLGTSCRGVNWERHSLSELQTVVACIGGHCLASFCRHLAQDYRSWSSGMPDLLLWRFHGDRDVGESKLVEVKGPRDRLSEQQRAWMLLLMDCGFDTEVCKVSPVPLS >DRNTG_07824.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10018266:10038729:-1 gene:DRNTG_07824 transcript:DRNTG_07824.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi-associated nuclease 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G48360) UniProtKB/Swiss-Prot;Acc:Q5XVJ4] MVIKDNSMESVDRETFKLLWRDVVRVVDHAKTPGYQRNFRLLVQYVLHNHLHLFVDEETSFLGSFNLLSDDAQRLFVRLYTRKGPWFRMANISYPEISDPKKAILELQLAGYFDSFPLSEAPSINDIRDVLDVLSASEIREISKLELCKKGVNSARRKDICNRVYHAYINGRCPYLPKRVLEWAGTCVKISSMAEMLLWRVQRLFFLNGDQDLSAFLLVNLGLVRFPDYTCSVSLPIFPDRNDLLAYDEALGIAQIMDQSLDEYNLEMIVRCINLCNSRIPKSFEKEIQLSVPDSPPKFFSHFSAAWVYSKVLFLGVSVFEHERRYEDAVGLLRVLLSRFTCDSRRGHWLLRLSVDLEHLGHLDESLSVAEEGALDPWVRAGSKMALQRRIVRLAKPPRRWRVPNCAHFLKRKIKEVNINGRPLNCGTGAKNIFYGYDGDLCGVEQLALQYYAGDGGNWQGIHSESGIWMTIFGLLMWDIIFSDVPNVFRSKFQMAPLDLDTDDFYIARKFHIEALLKLIQDGKAEEILTSSWKSHLGTSCRGVNWERHSLSELQTVVACIGGHCLASFCRHLAQDYRSWSSGMPDLLLWRFHGDRDVGESKLVEVKGPRDRLSEQQRAWMLLLMDCGFDTEVCKVSPVPLS >DRNTG_07824.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10018098:10043003:-1 gene:DRNTG_07824 transcript:DRNTG_07824.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi-associated nuclease 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G48360) UniProtKB/Swiss-Prot;Acc:Q5XVJ4] MMPKGFLFVSILVKVHGFGWLIFLTLKYQILRKQFWNCSWLVTLILSLSARPPRLMILEMFLMCCLLLKYERFQNWNFQKGVNSARRKDICNRVYHAYINGRCPYLPKRVLEWAGTCVKISSMAEMLLWRVQRLFFLNGDQDLSAFLLVNLGLVRFPDYTCSVSLPIFPDRNDLLAYDEALGIAQIMDQSLDEYNLEMIVRCINLCNSRIPKSFEKEIQLSVPDSPPKFFSHFSAAWVYSKVLFLGVSVFEHERRYEDAVGLLRVLLSRFTCDSRRGHWLLRLSVDLEHLGHLDESLSVAEEGALDPWVRAGSKMALQRRIVRLAKPPRRWRVPNCAHFLKRKIKEVNINGRPLNCGTGAKNIFYGYDGDLCGVEQLALQYYAGDGGNWQGIHSESGIWMTIFGLLMWDIIFSDVPNVFRSKFQMAPLDLDTDDFYIARKFHIEALLKLIQDGKAEEILTSSWKSHLGTSCRGVNWERHSLSELQTVVACIGGHCLASFCRHLAQDYRSWSSGMPDLLLWRFHGDRDVGESKLVEVKGPRDRLSEQQRAWMLLLMDCGFDTEVCKVSPVPLS >DRNTG_07824.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10018266:10043058:-1 gene:DRNTG_07824 transcript:DRNTG_07824.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi-associated nuclease 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G48360) UniProtKB/Swiss-Prot;Acc:Q5XVJ4] MVPMLSGRESLIRLIGKRRRILPPNLWLLLESVASDSSSGKNKASLEACSAAAHSEKPGDLPYDVEWVSCPVCGCSIRGTDCIINSHLDSCLATGKKRKLTQSTLLQFNFCPKSSITTPLTNSSYQANYEKANESNCANKSSNNHVLVEFASVQNCGGNQFSSNSLGHVHCDIDTSDAASIENIVNERTIPHHGVDLPSLNMHCQSLKIPRLGSNEAEDSDFVETLETFIVGRRFHQNIELRHGASLSVLRDSENSNDIHAIKGSITSLPKHPHGAVPIRLVCQMVIKDNSMESVDRETFKLLWRDVVRVVDHAKTPGYQRNFRLLVQYVLHNHLHLFVDEETSFLGSFNLLSDDAQRLFVRLYTRKGPWFRMANISYPEISDPKKAILELQLAGYFDSFPLSEAPSINDIRDVLDVLSASEIREISKLELCKKGVNSARRKDICNRVYHAYINGRCPYLPKRVLEWAGTCVKISSMAEMLLWRVQRLFFLNGDQDLSAFLLVNLGLVRFPDYTCSVSLPIFPDRNDLLAYDEALGIAQIMDQSLDEYNLEMIVRCINLCNSRIPKSFEKEIQLSVPDSPPKFFSHFSAAWVYSKVLFLGVSVFEHERRYEDAVGLLRVLLSRFTCDSRRGHWLLRLSVDLEHLGHLDESLSVAEEGALDPWVRAGSKMALQRRIVRLAKPPRRWRVPNCAHFLKRKIKEVNINGRPLNCGTGAKNIFYGYDGDLCGVEQLALQYYAGDGGNWQGIHSESGIWMTIFGLLMWDIIFSDVPNVFRSKFQMAPLDLDTDDFYIARKFHIEALLKLIQDGKAEEILTSSWKSHLGTSCRGVNWERHSLSELQTVVACIGGHCLASFCRHLAQDYRSWSSGMPDLLLWRFHGDRDVGESKLVEVKGPRDRLSEQQRAWMLLLMDCGFDTEVCKVSPVPLS >DRNTG_07824.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10036950:10043058:-1 gene:DRNTG_07824 transcript:DRNTG_07824.15 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi-associated nuclease 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G48360) UniProtKB/Swiss-Prot;Acc:Q5XVJ4] MVPMLSGRESLIRLIGKRRRILPPNLWLLLESVASDSSSGKNKASLEACSAAAHSEKPGDLPYDVEWVSCPVCGCSIRGTDCIINSHLDSCLATGKKRKLTQSTLLQFNFCPKSSITTPLTNSSYQANYEKANESNCANKSSNNHVLVEFASVQNCGGNQFSSNSLGHVHCDIDTSDAASIENIVNERTIPHHGVDLPSLNMHCQSLKIPRLGSNEAEDSDFVETLETFIVGRRFHQNIELRHGASLSVLRDSENSNDIHAIKVYYAYAESEQTLGYLPRELARCLSPLIDKNKIKCQVEAHDITIQFMHLMKQVD >DRNTG_07824.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10018266:10036111:-1 gene:DRNTG_07824 transcript:DRNTG_07824.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi-associated nuclease 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G48360) UniProtKB/Swiss-Prot;Acc:Q5XVJ4] MVIKDNSMESVDRETFKLLWRDVVRVVDHAKTPGYQRNFRLLVQYVLHNHLHLFVDEETSFLGSFNLLSDDAQRLFVRLYTRKGPWFRMANISYPEISDPKKAILELQLAGYFDSFPLSEAPSINDIRDVLDVLSASEIREISKLELCKQKGVNSARRKDICNRVYHAYINGRCPYLPKRVLEWAGTCVKISSMAEMLLWRVQRLFFLNGDQDLSAFLLVNLGLVRFPDYTCSVSLPIFPDRNDLLAYDEALGIAQIMDQSLDEYNLEMIVRCINLCNSRIPKSFEKEIQLSVPDSPPKFFSHFSAAWVYSKVLFLGVSVFEHERRYEDAVGLLRVLLSRFTCDSRRGHWLLRLSVDLEHLGHLDESLSVAEEGALDPWVRAGSKMALQRRIVRLAKPPRRWRVPNCAHFLKRKIKEVNINGRPLNCGTGAKNIFYGYDGDLCGVEQLALQYYAGDGGNWQGIHSESGIWMTIFGLLMWDIIFSDVPNVFRSKFQMAPLDLDTDDFYIARKFHIEALLKLIQDGKAEEILTSSWKSHLGTSCRGVNWERHSLSELQTVVACIGGHCLASFCRHLAQDYRSWSSGMPDLLLWRFHGDRDVGESKLVEVKGPRDRLSEQQRAWMLLLMDCGFDTEVCKVSPVPLS >DRNTG_07824.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10018266:10043003:-1 gene:DRNTG_07824 transcript:DRNTG_07824.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi-associated nuclease 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G48360) UniProtKB/Swiss-Prot;Acc:Q5XVJ4] MVPMLSGRESLIRLIGKRRRILPPNLWLLLESVASDSSSGKNKASLEACSAAAHSEKPGDLPYDVEWVSCPVCGCSIRGTDCIINSHLDSCLATGKKRKLTQSTLLQFNFCPKSSITTPLTNSSYQANYEKANESNCANKSSNNHVLVEFASVQNCGGNQFSSNSLGHVHCDIDTSDAASIENIVNERTIPHHGVDLPSLNMHCQSLKIPRLGSNEAEDSDFVETLETFIVGRRFHQNIELRHGASLSVLRDSENSNDIHAIKVYYAYAESEQTLGYLPRELARCLSPLIDKNKIKCQGSITSLPKHPHGAVPIRLVCQMVIKDNSMESVDRETFKLLWRDVVRVVDHAKTPGYQRNFRLLVQYVLHNHLHLFVDEETSFLGSFNLLSDDAQRLFVRLYTRKGPWFRMANISYPEISDPKKAILELQLAGYFDSFPLSEAPSINDIRDVLDVLSASEIREISKLELCKQKGVNSARRKDICNRVYHAYINGRCPYLPKRVLEWAGTCVKISSMAEMLLWRVQRLFFLNGDQDLSAFLLVNLGLVRFPDYTCSVSLPIFPDRNDLLAYDEALGIAQIMDQSLDEYNLEMIVRCINLCNSRIPKSFEKEIQLSVPDSPPKFFSHFSAAWVYSKVLFLGVSVFEHERRYEDAVGLLRVLLSRFTCDSRRGHWLLRLSVDLEHLGHLDESLSVAEEGALDPWVRAGSKMALQRRIVRLAKPPRRWRVPNCAHFLKRKIKEVNINGRPLNCGTGAKNIFYGYDGDLCGVEQLALQYYAGDGGNWQGIHSESGIWMTIFGLLMWDIIFSDVPNVFRSKFQMAPLDLDTDDFYIARKFHIEALLKLIQDGKAEEILTSSWKSHLGTSCRGVNWERHSLSELQTVVACIGGHCLASFCRHLAQDYRSWSSGMPDLLLWRFHGDRDVGESKLVEVKGPRDRLSEQQRAWMLLLMDCGFDTEVCKVSPVPLS >DRNTG_07824.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10018266:10034609:-1 gene:DRNTG_07824 transcript:DRNTG_07824.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi-associated nuclease 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G48360) UniProtKB/Swiss-Prot;Acc:Q5XVJ4] MANISYPEISDPKKAILELQLAGYFDSFPLSEAPSINDIRDVLDVLSASEIREISKLELCKKGVNSARRKDICNRVYHAYINGRCPYLPKRVLEWAGTCVKISSMAEMLLWRVQRLFFLNGDQDLSAFLLVNLGLVRFPDYTCSVSLPIFPDRNDLLAYDEALGIAQIMDQSLDEYNLEMIVRCINLCNSRIPKSFEKEIQLSVPDSPPKFFSHFSAAWVYSKVLFLGVSVFEHERRYEDAVGLLRVLLSRFTCDSRRGHWLLRLSVDLEHLGHLDESLSVAEEGALDPWVRAGSKMALQRRIVRLAKPPRRWRVPNCAHFLKRKIKEVNINGRPLNCGTGAKNIFYGYDGDLCGVEQLALQYYAGDGGNWQGIHSESGIWMTIFGLLMWDIIFSDVPNVFRSKFQMAPLDLDTDDFYIARKFHIEALLKLIQDGKAEEILTSSWKSHLGTSCRGVNWERHSLSELQTVVACIGGHCLASFCRHLAQDYRSWSSGMPDLLLWRFHGDRDVGESKLVEVKGPRDRLSEQQRAWMLLLMDCGFDTEVCKVSPVPLS >DRNTG_07824.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10018266:10039329:-1 gene:DRNTG_07824 transcript:DRNTG_07824.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi-associated nuclease 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G48360) UniProtKB/Swiss-Prot;Acc:Q5XVJ4] MHCQSLKIPRLGSNEAEDSDFVETLETFIVGRRFHQNIELRHGASLSVLRDSENSNDIHAIKVYYAYAESEQTLGYLPRELARCLSPLIDKNKIKCQGSITSLPKHPHGAVPIRLVCQMVIKDNSMESVDRETFKLLWRDVVRVVDHAKTPGYQRNFRLLVQYVLHNHLHLFVDEETSFLGSFNLLSDDAQRLFVRLYTRKGPWFRMANISYPEISDPKKAILELQLAGYFDSFPLSEAPSINDIRDVLDVLSASEIREISKLELCKKGVNSARRKDICNRVYHAYINGRCPYLPKRVLEWAGTCVKISSMAEMLLWRVQRLFFLNGDQDLSAFLLVNLGLVRFPDYTCSVSLPIFPDRNDLLAYDEALGIAQIMDQSLDEYNLEMIVRCINLCNSRIPKSFEKEIQLSVPDSPPKFFSHFSAAWVYSKVLFLGVSVFEHERRYEDAVGLLRVLLSRFTCDSRRGHWLLRLSVDLEHLGHLDESLSVAEEGALDPWVRAGSKMALQRRIVRLAKPPRRWRVPNCAHFLKRKIKEVNINGRPLNCGTGAKNIFYGYDGDLCGVEQLALQYYAGDGGNWQGIHSESGIWMTIFGLLMWDIIFSDVPNVFRSKFQMAPLDLDTDDFYIARKFHIEALLKLIQDGKAEEILTSSWKSHLGTSCRGVNWERHSLSELQTVVACIGGHCLASFCRHLAQDYRSWSSGMPDLLLWRFHGDRDVGESKLVEVKGPRDRLSEQQRAWMLLLMDCGFDTEVCKVSPVPLS >DRNTG_07824.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10018387:10043003:-1 gene:DRNTG_07824 transcript:DRNTG_07824.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi-associated nuclease 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G48360) UniProtKB/Swiss-Prot;Acc:Q5XVJ4] MMPKGFLFVSILVKVHGFGWLIFLTLKYQILRKQFWNCSWLVTLILSLSARPPRLMILEMFLMCCLLLKYERFQNWNFKGVNSARRKDICNRVYHAYINGRCPYLPKRVLEWAGTCVKISSMAEMLLWRVQRLFFLNGDQDLSAFLLVNLGLVRFPDYTCSVSLPIFPDRNDLLAYDEALGIAQIMDQSLDEYNLEMIVRCINLCNSRIPKSFEKEIQLSVPDSPPKFFSHFSAAWVYSKVLFLGVSVFEHERRYEDAVGLLRVLLSRFTCDSRRGHWLLRLSVDLEHLGHLDESLSVAEEGALDPWVRAGSKMALQRRIVRLAKPPRRWRVPNCAHFLKRKIKEVNINGRPLNCGTGAKNIFYGYDGDLCGVEQLALQYYAGDGGNWQGIHSESGIWMTIFGLLMWDIIFSDVPNVFRSKFQMAPLDLDTDDFYIARKFHIEALLKLIQDGKAEEILTSSWKSHLGTSCRGVNWERHSLSELQTVVACIGGHCLASFCRHLAQDYRSWSSGMPDLLLWRFHGDRDVGESKLVEVKGPRDRLSEQQRAWMLLLMDCGFDTEVCKVSPVPLS >DRNTG_07824.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10018266:10043003:-1 gene:DRNTG_07824 transcript:DRNTG_07824.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi-associated nuclease 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G48360) UniProtKB/Swiss-Prot;Acc:Q5XVJ4] MVPMLSGRESLIRLIGKRRRILPPNLWLLLESVASDSSSGKNKASLEACSAAAHSEKPGDLPYDVEWVSCPVCGCSIRGTDCIINSHLDSCLATGKKRKLTQSTLLQFNFCPKSSITTPLTNSSYQANYEKANESNCANKSSNNHVLVEFASVQNCGGNQFSSNSLGHVHCDIDTSDAASIENIVNERTIPHHGVDLPSLNMHCQSLKIPRLGSNEAEDSDFVETLETFIVGRRFHQNIELRHGASLSVLRDSENSNDIHAIKVYYAYAESEQTLGYLPRELARCLSPLIDKNKIKCQGSITSLPKHPHGAVPIRLVCQMVIKDNSMESVDRETFKLLWRDVVRVVDHAKTPGYQRNFRLLVQYVLHNHLHLFVDEETSFLGSFNLLSDDAQRLFVRLYTRKGPWFRMANISYPEISDPKKAILELQLAGYFDSFPLSEAPSINDIRDVLDVLSASEIREISKLELCKKGVNSARRKDICNRVYHAYINGRCPYLPKRVLEWAGTCVKISSMAEMLLWRVQRLFFLNGDQDLSAFLLVNLGLVRFPDYTCSVSLPIFPDRNDLLAYDEALGIAQIMDQSLDEYNLEMIVRCINLCNSRIPKSFEKEIQLSVPDSPPKFFSHFSAAWVYSKVLFLGVSVFEHERRYEDAVGLLRVLLSRFTCDSRRGHWLLRLSVDLEHLGHLDESLSVAEEGALDPWVRAGSKMALQRRIVRLAKPPRRWRVPNCAHFLKRKIKEVNINGRPLNCGTGAKNIFYGYDGDLCGVEQLALQYYAGDGGNWQGIHSESGIWMTIFGLLMWDIIFSDVPNVFRSKFQMAPLDLDTDDFYIARKFHIEALLKLIQDGKAEEILTSSWKSHLGTSCRGVNWERHSLSELQTVVACIGGHCLASFCRHLAQDYRSWSSGMPDLLLWRFHGDRDVGESKLVEVKGPRDRLSEQQRAWMLLLMDCGFDTEVCKVSPVPLS >DRNTG_03143.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21399408:21402200:1 gene:DRNTG_03143 transcript:DRNTG_03143.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGTSVPEPDEYEGHYTKVSKPNPWTYTKPITRTELMRMRDEFWDTAPHYGGHKEIWDALRAAAEAELSLAQSIVDSAGIVVTSADMTTCYDERG >DRNTG_03143.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21401130:21402200:1 gene:DRNTG_03143 transcript:DRNTG_03143.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRDEFWDTAPHYGGHKEIWDALRAAAEAELSLAQSIVDSAGIVVTSADMTTCYDERG >DRNTG_03143.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21399408:21402489:1 gene:DRNTG_03143 transcript:DRNTG_03143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGTSVPEPDEYEGHYTKVSKPNPWTYTKPITRTELMRMRDEFWDTAPHYGGHKEIWDALRAAAEAELSLAQSIVDSAGIVVTSADMTTCYDERGAKYELPKYVLSEPTNLIDDD >DRNTG_34739.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002186.1:55399:57060:-1 gene:DRNTG_34739 transcript:DRNTG_34739.1 gene_biotype:protein_coding transcript_biotype:protein_coding PIISFSSVCTVPRTIGEENEPTLSPDKISSSKMSFAPKLSPP >DRNTG_34739.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002186.1:55399:57060:-1 gene:DRNTG_34739 transcript:DRNTG_34739.2 gene_biotype:protein_coding transcript_biotype:protein_coding PIISFSSVCTVPRTIGEENEPTLSPDKISSSKMSFAPKLSPP >DRNTG_34739.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002186.1:55399:56445:-1 gene:DRNTG_34739 transcript:DRNTG_34739.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNSMSPQTHTESKKSMIAAATPTKGDYRNEKEARQLCVKQGYEPHSLLYVPFQEP >DRNTG_08628.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20405464:20405838:1 gene:DRNTG_08628 transcript:DRNTG_08628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIADPLDCIFGDLESGKEISHSNNVNKRSRRRLRGHKRAHRHYHHHREANQM >DRNTG_09884.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:231177:233978:1 gene:DRNTG_09884 transcript:DRNTG_09884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGVPRPPYARTEAREPFMTPQLRHLHPRQSLGQAKDTLPRTPLARTVPGRIKCYTLAEESMYRGSQ >DRNTG_30837.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:560410:563948:1 gene:DRNTG_30837 transcript:DRNTG_30837.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein At2g22870 [Source:Projected from Arabidopsis thaliana (AT2G22870) UniProtKB/Swiss-Prot;Acc:O81004] MLLRARLSNPHLLSIPFSSTKPRSPPPLAAASSSRRRPLSLSATPPPPVSDDLVRTALFVPPGIDRAEVTPSMVLPGSNIVIGPYAGHSQIKQVEFVKSSARARDCPKDHRPEFAILGRSNVGKSSLINALVRKKDVALTSKTPGVSFIAFLFCWFSYGMVSIWWI >DRNTG_30837.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:560410:563948:1 gene:DRNTG_30837 transcript:DRNTG_30837.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein At2g22870 [Source:Projected from Arabidopsis thaliana (AT2G22870) UniProtKB/Swiss-Prot;Acc:O81004] MLLRARLSNPHLLSIPFSSTKPRSPPPLAAASSSRRRPLSLSATPPPPVSDDLVRTALFVPPGIDRAEVTPSMVLPGSNIVIGPYAGHSQIKQVEFVKSSARARDCPKDHRPEFAILGRSNVGKSSLINALVRKKDVALTSKTPGKTQLINHFLVNKSWYLVDLPGYGFANAPESARMDWSSFTKGYFLNRGTLVGVLLLVDASSPPQQIDLDCANWLGRNNIGMTFVFTKCDKTKGGKKTRPAENIEQFQALIRKHYQEPPPWIMTSSVTGMGRAELLLHMSQLRNYWDN >DRNTG_26109.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20832319:20833921:1 gene:DRNTG_26109 transcript:DRNTG_26109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECFSCVGAHPRKKNFIGESSDTGSITRLVDSIDLETEISLGDVEKKSNAHSFAFKELAAATKNFKSVLGEGGFGKVVAIKQLNREGLQGNQEFMVEVLMLITLRHPNLVTLIGYCAEKEERLLVYEFMAHGSLENHLFDLTNEQQALNWNTRMKIALGAAKGLAYLHNVAKPPVIYRDLKSANILLDEDFNPKLSDFGLAKLGPVGDDTHVSTRVMGTYGYCAPDYAQSGKLNVKSDVYSFGVVLLELITGRKAFDYEQKSGARSLIVWVYSHRLNICFQSRPYLNNRRRFTELADPLLQGQYPLRAFYQLVSVTSLCLLDHPERRPLMHEVVSALEYVASQPFSVAKS >DRNTG_00249.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25946886:25948920:1 gene:DRNTG_00249 transcript:DRNTG_00249.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYAAMAETIHAYTGLSLTAFFTILALMFGAYRLVSSMFVSDEPEPEPEPMQPPPPPPEPVQLGDVTLEELKAYDGTDPKKPLLMAIKGQIYDVSRSRMFYGPGGPYALFAGRDASRALALMSFDHNDLTGNLEGLSSAELEVFAGLGIQVHGEIC >DRNTG_00249.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25946570:25948920:1 gene:DRNTG_00249 transcript:DRNTG_00249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYAAMAETIHAYTGLSLTAFFTILALMFGAYRLVSSMFVSDEPEPEPEPMQPPPPPPEPVQLGDVTLEELKAYDGTDPKKPLLMAIKGQIYDVSRSRMFYGPGGPYALFAGRDASRALALMSFDHNDLTGNLEGLSSAELEVFAGLGIQVHGEIC >DRNTG_00249.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25946886:25948731:1 gene:DRNTG_00249 transcript:DRNTG_00249.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYAAMAETIHAYTGLSLTAFFTILALMFGAYRLVSSMFVSDEPEPEPEPMQPPPPPPEPVQLGDVTLEELKAYDGTDPKKPLLMAIKGQIYDVSRSRMFYGPGGPYALFAGRDASRALALMSFDHNDLTGNLEGLSSAELEVFAGLGIQVHGEIC >DRNTG_00249.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25946837:25948731:1 gene:DRNTG_00249 transcript:DRNTG_00249.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYAAMAETIHAYTGLSLTAFFTILALMFGAYRLVSSMFVSDEPEPEPEPMQPPPPPPEPVQLGDVTLEELKAYDGTDPKKPLLMAIKGQIYDVSRSRMFYGPGGPYALFAGRDASRALALMSFDHNDLTGNLEGLSSAELEVFAGLGIQVHGEIC >DRNTG_00249.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25946570:25948731:1 gene:DRNTG_00249 transcript:DRNTG_00249.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYAAMAETIHAYTGLSLTAFFTILALMFGAYRLVSSMFVSDEPEPEPEPMQPPPPPPEPVQLGDVTLEELKAYDGTDPKKPLLMAIKGQIYDVSRSRMFYGPGGPYALFAGRDASRALALMSFDHNDLTGNLEGLSSAELEVFAGLGIQVHGEIC >DRNTG_23357.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5950284:5956445:-1 gene:DRNTG_23357 transcript:DRNTG_23357.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFWTQGGSDSEEEEESQYEGSDVEAGPAESATGPPENRYIKGNASDSEDSDGGRRREVRSARDKRFDEMVATVDQMRNAMKINDWVSLQESFEKINKQLEKVLRVTESEKVPNLYIKALVMLEDFLAQALANKDARKKMSSSNAKALNSMKQKLKKNNKQYEELIIKYREKPESEDEGDEDDEEDGEEDDDSGSEVEEDPSKITLSDGDEDEDDDAQAESGGWEKKMSKKDKLMDKQFMKDPSEITWDIVDKKLKEIVAARGRKGTGRIEQVEQLTFLTRVAKTPAQKLEILFSVISAQFDVNPSLLGHMPVNVWKKCVDNMLLVLDILEQYPNIVVDDTVEPEENETQKGVDHKGTIRVWGNLVAFLERLDSEFFKSLQCTDPHTRDYVERLRDEPLFLVVAQNVQEYLERVGDFKAAAKVALKRVELIYYKPQGVYDAMRKLAESDRTEIGGEDGDEEASEERQAAEEIRGPPAFVVIPELVRRRPTFPESSRELMDLLVSLIYKYGDERTKARAMLCDIYHHAIFDEFSIARDLLLMSHLQEGIQLLDISSQILFNRAMAQLGLCAFRAGLIIEAHGCLSELYTGGRVKELIAQGVSQNRYHEKTPEQEKLERRRQMPYHMHINLELLEATHLICAMLLEVPNMASNIHDAKRKVISKTFRRLLEVSERQTFVGPPENVRDHVMAATRALSKGDYQKAFDVIDSLEIWKLLTNRVSVMEMLKAKIKDEALRTYLFAYSSCYESLSLDQLMIMFDLSESQAHSIVSKMMITEELHASWDQPTKCIVFHNVEHNRLQNLLFQMADKLAILTESNERAFEVRTGGGVDGLPPRRRGDAQDYTGASGRWQENFLSNSQGRLGSGYGSRTGYGGRSGGPGQVGDRSAQGARGPGGYQSMRFQDGYRSGGRNYQSGSGTRGSQMDPSARMVNLNKAVKV >DRNTG_25564.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29688813:29695268:-1 gene:DRNTG_25564 transcript:DRNTG_25564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIREQKSKKRARTRKEEKNSSIFTTFSIHPAVSYIHSRGQLRLQVIMDPII >DRNTG_25721.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17114405:17143445:-1 gene:DRNTG_25721 transcript:DRNTG_25721.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFQLYHKLVQTNIPYLLPLMVTAISIPGPDKVPPHLKTQYMELKGAQVKTLSFLTYLLKSCTDYIRPHEENICKSIVNLLVTCPDAVSIRKELLIALKHVLSTDFKQGLFPLIDTLLDERVLIGTGRVCIETLRPLAYSLLAEIVHYVRGELSLSQLSRIIYLFSRNMHDSSLTLVIHTTCARLMLNLVEPIYEKGTDQPSMDEARVLLGRILDTFVGKFGTFKRIIPQLLEEGEEGKERPLLRLKLEVPIQAALNLQPPLEYSKEVNDYKNLIKTLVMGMKTIIWSITNAHVPRSQVSPSAHGAHQQMQVSPSSNSAMPQVFKGMREDEVRKASGVLKSGVHCLALFKEKDEEREMLQYFSLILAIMEARDLMDMFSLCMPELFECMITNTQLLHIFSALLQNQKVLRPFTDVLVNYLVSNKLDTLKQPDTAAAKLVLQLFRFVFVAVAKAPSECERVLQPHVHVIMDVCMKSATEVDKPLGYMHLLRNMFRSLNGAKFDNLLRDLIPSLQPCLNLLLAMIEGPTSEDMKDLLIELCLTLPARLSSLLPHLPRLMKPLVLALKGSDDLVSLGLRTLEFWIDSLNPDFLEPSMANVMSEVILALWSHLRPPPYPWGTKALQLLGKLGGRNRRFLKDPLTLECKENPEHGLRLILTFEPATPFLVPMDRCIHLAVASVMQTNVGMDDFYRKQALKFLRICLMSVLNFRGNVDENGMSPDLLGTLLVSTVDPSLRCIETSDMKVDLGVKTKTQLMAEKSIFKTLLATIIATSMDPELQEPKDEFVVDVCRHFAMLFHVDYLSCTSSIGMGQHSVSMYSSSGSMSSRSRSNTSNLKELDPLIFLDALVDVLADENRHHAKAALMALNSFSEALLLLAQTKQTGVIASRSGSGTPMVVSSPSLNPVYSPPPGIRIPVFEQLLPRLLHCCYGSTWQAQMGGVMGLGALVGKISVETLCIFQVRIVRGLIFVLKRLPMHAHKEQEETSQVLTQVLRVVNNIDEANNEPRRQSFQGVVEFLATELFNSNASIIVRKNVQSCLALLASRTGSEVSELLESHLQLLQPLIVRPLRLKNVEQQVGTVSALNFCLALRPPLLKLTTELVNFLQDALQIAEADETVWVSKLMNNKVVTTLNKLRTACIELLCTAMAWADLKTPNHTELRAKIISMFFKSLTCRTPEIVAVAKEGLRQVIQQQRMPKDLLQSSLRPILVNLAHTKSLNMPLLQGLARLLELLSNWFNVTLGMKLLDHLKKWLEPEKLAQCQKSWKNGDEPKVAAAMIELFHLLPPAAGKFLDDLVTIIIDLEGALPQGQFYSEINSPYRLPLTKFLNRYATDSVDYFLARLNHSKYFRRFMYIICSDSGQPLREELAKSPQKILANAFPQFFPQSDGSNGQPSSSIIDEGHSGLASESFTGSQANLAACSDAYFHGLYLIRTLVKLMPEWLHGNHTVLDTLLLVWKSPARIARLQNEQELSLLQVKESKWLVKCFLNYLRHDKSEVGALFDMLSIFLFQSRIDYTFLREFYVIEVAEGYDPNLKKQILLHFLNIFQTKQFGQAHLVIAMQILILPMLAHSFQNGQSWEVVDPSIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQNDLVHHRKELIKFGWNHLKREDNSSKQWAFVNVCHFLEAYQAPEKIILQVFIALLRTYQPENKLLVRQALDILMPALPRRLPPGDTRIPIWIRYTKKILVEEGHSIPNMIHIFQLIVRHSDLFYSCRAQFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVAWERQRQNEMKVVQDTDGHSQIGDVFSQNSTGGDLKRPPDSSAFPDELNKRVKVEPGLQSLCVMSPGGASIPNIETPGSVGQPDEEYKPNAAMEEMIITFLIRVALVIEPKDKETNSMYKQALELLTQALEVWPNANVKFNYLEKLLSNIQPSQSKDPATALAQGLDVMNKVLEKQAQLFIRNNINHISQILEPCFNSKMLDAGKSLCSLLKMVFVAFPREAPSTPHDVKILYQRVEDLIQKHLAAVTASQISLELSSANSVISFALFVVKTLTEVHKNFIDPFIVPLVRVLQRLREMASSSSQIRQGQRSDIESSVNARAVPDTSSIISNMKCVLKLISEKVLQSSDCKRLMGQILHTLLSEKGTDPSVLLCILDTVKSWIEDDLRHPASGTSSAALSPKEIVAYLQKLSLVDRKNFSPSTLEEWDSKYLLLLYGTCADSSRYPLPVRQEVFQKVERLYMLGLRAKDPEIRRRFFSLYHESLGKSLFARLQYIIQIQDWEAVSDVFWLKQCLDLLLALLVENEPIALAPNSARVFPIKPKEIPENSGVLQPVVDASEGSEGVPLTFDQLVTRHAQFLTEMNKLQVSDLVRSLSELAYTDANVAYHMWVLVFPIVWVTLQKEEQVALAKPMIALLSKDYHKKQQGSRPNVVQAILEGLHLSHPQPRMPSELIKYIGKTYNAWHISLALLESHVMLFMNEAKCSESLAELYRLLNEEDMRCGLLKKRSMTAETRAGLSLAQHGYWQRAQSLFYQAMIKTTQGTYSNAVPKAEMYLWEEQWLHCASQLSQWEILADYGKSVENYEILLDCLWKVPDWAYMKDNVIPKAQVEETPKLRVVQAYFALHDRNASGVGDADNLVVKGVELALEQWWQLPEMSVQSRTPLLQLFQQLVEVQESARILTDIANGNKQTSGNSAAVQNAYVELKDILETWRLRTPNEWDNLSVWYDLIQWRNEIYTVVIDAFKDFVSTSPQLHHLGYRDKAWNVNKLAHIARKQGLHDVCVTILEKMYGHCTMEVQEAFVKIREQAMAYLEMRGELTTGLNLINSTNLEYFPAKHKAEIFRLKGEFLLKLHDCENANLAYSNAINLFKHLPKGWISWGNYCDVIYKETRDELWLEYAVSCFFQGIKYGVSNSRSHLARILYLLSFDTPDKPVGRTFDKYLDQIPHWVWLSWIPQLLLSLQRNEAPHCKLVLLKIATVYPQALYYWLRTFLMERRDVASKSELGRNIALAQQRMQQNISGAAPSTHNMVDGSARPSNHQGISSEGQIHQGPQSGGVGGSHDVGNSHANESERATTVEGNGNNGHDQPPQSSSAMAENAQIGLRRSAALSWVASAASGFDAAKDIMEALRSKHPNLASELEVFLSEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTAEVPQSLKKELSGVCRACFSADAVNKHVDFVREYKQDFERDLDPESTTTFPATLSDLTERLKHWKNVLQSNVEDRFPAVLKLEEESKVLRDFHAVDVEIPGQYFTDQEVAPDHTVKLDRVGADIPTVRRHGTTSRRLTLIGSDGSQRHFNVQTSLTPNARSDERVLQLFRVLNKMFDKHKESRRRHLTIHTPIIIPVWSQVRMVEDDLMYSTFLEVYEINCARHNREADTPITHFKEQLNQAISGQVSPEAVVELRMQAYNDIIKTVNDNIFSQYMYKTLPSGNLLWTFKKQFAIQLALSCFMSYMLQIGGRSPNKTLFAKNTGKVFQIDFHPAYDANGMIEFNEPVPFRLTRNMQAFFSHFGVEGLIVSAMCAAAQSVVSPKQTHHIWYQLAMFFRDELLSWSWRRPLGMPSSTVAAGGIGQMDFEQKVTTNVDHVICRIKGIAPQSFTEEEESTTDPPQSVQRGVTDLVEAALSPRNLCMMDPTWHPWF >DRNTG_20828.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19769519:19775736:-1 gene:DRNTG_20828 transcript:DRNTG_20828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTSGKDLGKGGIDVGKYVRYTPEQVEALERVYSECPKPSSMRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSQLVYENGYMRQQLHTASVATTDPSCESVVTSGQHHQQQNPTPQHPQRDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRPSWFRDCRSLDVLTVIPTGNGGNIELIYMQTYAPTTLASARDFWTLRYTTGLEDGSLVICERSLTPTTGGPAGPPAPNFVRADMLPSGYLIRPCEGGGSMIHIVDHVDLDAWSVPEVLRPLYESPKILAQKMTIAALRHIRQIALETSGEVVYGGGRQPAVLRNFSQRLSRGFNDAVNGFADDGWSLLGNDGVEDVTIVINSSPSKLLGTNVNSSGMFSSFGGGILCAKASMLLQNVPPALLVRFLREHRSEWADCGVDAYSAASLRASPYAVPGGRVNGGFFGGQVILPLAHTVEHEEFLEVIRLEGHGFGHDDVVLGRDMYLLQLCSGVDENAVGACAQLVFAPIDESFADDAPLLPSGFRVIPLDPKTETPAATRTLDLASTLEVGSGAASRPNSDSASNAYNQRSVLTIAFQFTYENHLRENVAAMARQYVRSVVASVQRVAMAITPSRLGAHIGTKNPPGSPEALTLARWISRSYRFHTGVELLRADTQAGDSLLKLLWHHTDAIMCCSLKASPVFTFANQAGLDMLETTLVALQDINLEKILDDNGRKVLCSEFSKIMQQGFAYLPSGVCLSSMGRPVSYEQALAWKVMNEEDSPHCLAFMFMNWSFV >DRNTG_14274.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:908684:911662:-1 gene:DRNTG_14274 transcript:DRNTG_14274.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G16520) TAIR;Acc:AT4G16520] MARSSFKEEHDLEKRRAEAARIREKYPDRIPVIVEKAERSDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGAIMSTIYDEKKDEDGFLYVTYSGENTFG >DRNTG_14324.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17054041:17054343:1 gene:DRNTG_14324 transcript:DRNTG_14324.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKEWEDRFKQMESTIDKLQQQVPVMVQAVLQSLGLSNIQLATQ >DRNTG_14324.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17054041:17054762:1 gene:DRNTG_14324 transcript:DRNTG_14324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKEWEDRFKQMESTIDKLQQQVPVMVQAVLQSLGLSNIQLATQGGGNDLRDVIANSQENVRDVHHGNVNEKDGN >DRNTG_00558.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30123796:30136804:-1 gene:DRNTG_00558 transcript:DRNTG_00558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRARRTKRKTKTKKRRKSGKLCEERFIKQELRPERHSSSITTAGGATSIPRVADRDVSKRRKR >DRNTG_00558.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30123796:30124053:-1 gene:DRNTG_00558 transcript:DRNTG_00558.2 gene_biotype:protein_coding transcript_biotype:protein_coding VEERVEERERSNNSNGGSDADPGYGFIETGGVEIRQCLPGF >DRNTG_35140.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1222659:1227209:-1 gene:DRNTG_35140 transcript:DRNTG_35140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSRNFRKRSGTDDVNGDEKPAAPPQSSAEPKLRAPKPPDRPKLLSFADNEEDDDGVALVRSSAPSTRPAAIHKLSSAKERSGPRVPVPSNVQPQTGQYTKEKIRELQKNARSLGTRTSKPKATSSPPLSDSTKSQKSQASAEPVIVLKGLVKPASPPSVSGRKEENDEQEDEEQDEDDGSGDQMRFSGLSIAMKAQDLSSGIPDQATIDAIRAKRERLRQSRGPLPDFISLDGGGVVGSRPPDGGSSDDEESDFRGRISLFADNKDGGTKKGVFEDIDERMVVSEPMASDRGYRDVVNDKEDDEDEEERRWEEEQFRKGLGKRIDEATGHLVSNSVLPGPAMHPPSSHLLAGATLGTPSGIGINISRSAEVISIPQQAEVAKRAFHENFSKLKETHNRTLNSLMRTDENLSEALSDITSLEKSLEAAGERFIFMQQLRDFISVISDFLQDKAPYIEELEEQMRKLHEVRASAALERRAADSADESSEVEAAVNAALSVLSKGSGATFLSAATSAAQAAAAAVRESSSLQVQLDEFGRDINLQNRMDSARRAEKRKRRKSRSEAKRMAQIERDTTYHRIEGESSTDESESEGDAYISSRDELLQTAEQVFSDAANDYSKLSNVKEKLEEWKRQYSLNYRDAYMSLSAPAIFSPYVRLELLHWDPLYKKTDFNDMDWHELLFDYGLPGKNSDYGPDDADANLIPVLVEKVALPILHHEIAHCWDMLSTRRTENAVFATSLVINYIPASSEGLRELLSVVRSRLDEAIAGLSVPTWSALVMKVVPGAAQLAAYRFGVSVRLLRNICLWKDILALPILEKLALDDLLGKKLLPHVRSIMPNIHDAVTRVERIIASLSGVWSGSSVTADHSHKLQPLVECVVELGKRLENRHTSGVSKEDTLGLARRVKKMLVDLNDYDRARAILKTFQLKEAL >DRNTG_35140.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1222659:1227209:-1 gene:DRNTG_35140 transcript:DRNTG_35140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSRNFRKRSGTDDVNGDEKPAAPPQSSAEPKLRAPKPPDRPKLLSFADNEEDDDGVALVRSSAPSTRPAAIHKLSSAKERSGPRVPVPSNVQPQTGQYTKEKIRELQKNARSLGTRTSKPKATSSPPLSDSTKSQKSQASAEPVIVLKGLVKPASPPSVSGRKEENDEQEDEEQDEDDGSGDQMRFSGLSIAMKAQDLSSGIPDQATIDAIRAKRERLRQSRGPLPDFISLDGGGVVGSRPPDGGSSDDEESDFRGRISLFADNKDGGTKKGVFEDIDERMVVSEPMASDRGYRDVVNDKEDDEDEEERRWEEEQFRKGLGKRIDEATGHLVSNSVLPGPAMHPPSSHLLAGATLGTPSGIGINISRSAEVISIPQQAEVAKRAFHENFSKLKETHNRTLNSLMRTDENLSEALSDITSLEKSLEAAGERFIFMQQLRDFISVISDFLQDKAPYIEELEEQMRKLHEVRASAALERRAADSADESSEVEAAVNAALSVLSKGSGATFLSAATSAAQAAAAAVRESSSLQVQLDEFGRDINLQNRMDSARRAEKRKRRKSRSEAKRMAQIERDTTYHRIEGESSTDESESEGDAYISSRDELLQTAEQVFSDAANDYSKLSNVKEKLEEWKRQYSLNYRDAYMSLSAPAIFSPYVRLELLHWDPLYKKTDFNDMDWHELLFDYGLPGKNSDYGPDDADANLIPVLVEKVALPILHHEIAHCWDMLSTRRTENAVFATSLVINYIPASSEGLRELLSVVRSRLDEAIAGLSVPTWSALVMKVVPGAAQLAAYRFGVSVRLLRNICLWKDILALPILEKLALDDLLGKKLLPHVRSIMPNIHDAVTRVERIIASLSGVWSGSSVTADHSHKLQPLVECVVELGKRLENRHTSGVSKEDTLGLARRVKKMLVDLNDYDRARAILKTFQLKEAL >DRNTG_31396.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21942334:21947439:-1 gene:DRNTG_31396 transcript:DRNTG_31396.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGMGVSSAVKCVLIQLNTTLGELIKRRWKELWTRTNAKLRSMGQSLVARSLSVVEEVGHHNIALPGCNQTEPEQAVCQMSSIYADGHVADPSLIKDAKFEDMNSDLPIDDASILPDDSKCLLDTSFILKTSQSDLHHQNINNETKQSRQHTPHELSLFYRDPQGDIQGPFLGVDIVSWFEQGFFDADLLVCLSDSPEGSPFMRLGEVMPYLTPSALTGNASEDSINLGSSTPSGFIDAGVTKGQHRISIVSPEPEVQPGICKPDDLVNPQYEMLPLSLSETTDIVSTGKQNFHESADQDVEVVLYPGRSLARPESSTEKLADNLPNLPTSSKANYIQGNDLDESSLNVIADGDLHPLGLLWSELTGAISKGPLSSNLSDLSDRENIFCPATGGEGYFAGYRQESLDPACDFSVHDAWSGKFSAGSGSNAAYNALHESRLQNRELQANQFSFKERFLSQLFQNTQLPQCGGLMFPHEGLHLTGTYADEVHGSLQDNLFKNQGHVDGEHLLQFRSQPKQQIQQLQYLKQQSDQEQHIQQLQQLQQPCHQQMHTQQFHLQQLCDQQQVRHYKFQLLQRLQHQQREEQKQIVLKQLMDQQLMDQQSCIPEFQASHINPLKEGNMLNFLSRQPHLHEMQQCYPPVMRQHDPSIDRFIQAKLGHNLDEHIEDLFQAVPHANHGEMHSMEHLRFDPQQEQRQRQQFTKTLLSWPDVEEDRRSGGVCSVNEHGQFVGAASYPQHIRSAGPSPLDFLQHQGAQQGPYRLNSESFERINPSENILRSNTDLVNILPQLDRQGIHGLHDETLSSAKMARFPSAVPFHQKLIDRQWSDSNEGMPQNTVGLQLNQLSLEDKHQNRGMNFKFNHHVEDAHSWAPFTGNDGISRPSSNNLPQQNLGLQSSRYPGFINSAALPSYETNEASWPLSGSAIDHSRRLQDRVFTRNSQHQGIGHSPKGQLMNSNLEDHTNRIETHGGFAFSPVPESFIKYQGSMFTRNGSDEVIDDSKVLNYEPLSGINGKKHDYELVKVAAFESDPPDSRVEPVRNSYANHGVLEDNASIRHASVSKSGGSLSFSNNGVGADNSFARDRVIKRVPAIPVEEGGDSLMKLSQEPQILASRVSPSELSFNQQTRQINLSKFSSTEDGRQQLRRSSPSPVPETPAAGKDGAKQASFVDKLKSTRKTAASEYNTNTDTQDTGSAQNTKKKGKKGKQIDPSLLGFKVQSNRRLKGEIHRLND >DRNTG_31396.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21942334:21951025:-1 gene:DRNTG_31396 transcript:DRNTG_31396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGMGVSSAVKCVLIQLNTTLGELIKRRWKELWTRTNAKLRSMGQSLVARSLSVVEEVGHHNIALPGCNQTEPEQAVCQMSSIYADGHVADPSLIKDAKFEDMNSDLPIDDASILPDDSKCLLDTSFILKTSQSDLHHQNINNETKQSRQHTPHELSLFYRDPQGDIQGPFLGVDIVSWFEQGFFDADLLVCLSDSPEGSPFMRLGEVMPYLTPSALTGNASEDSINLGSSTPSGFIDAGVTKGQHRISIVSPEPEVQPGICKPDDLVNPQYEMLPLSLSETTDIVSTGKQNFHESADQDVEVVLYPGRSLARPESSTEKLADNLPNLPTSSKANYIQGNDLDESSLNVIADGDLHPLGLLWSELTGAISKGPLSSNLSDLSDRENIFCPATGGEGYFAGYRQESLDPACDFSVHDAWSGKFSAGSGSNAAYNALHESRLQNRELQANQFSFKERFLSQLFQNTQLPQCGGLMFPHEGLHLTGTYADEVHGSLQDNLFKNQGHVDGEHLLQFRSQPKQQIQQLQYLKQQSDQEQHIQQLQQLQQPCHQQMHTQQFHLQQLCDQQQVRHYKFQLLQRLQHQQREEQKQIVLKQLMDQQLMDQQSCIPEFQASHINPLKEGNMLNFLSRQPHLHEMQQCYPPVMRQHDPSIDRFIQAKLGHNLDEHIEDLFQAVPHANHGEMHSMEHLRFDPQQEQRQRQQFTKTLLSWPDVEEDRRSGGVCSVNEHGQFVGAASYPQHIRSAGPSPLDFLQHQGAQQGPYRLNSESFERINPSENILRSNTDLVNILPQLDRQGIHGLHDETLSSAKMARFPSAVPFHQKLIDRQWSDSNEGMPQNTVGLQLNQLSLEDKHQNRGMNFKFNHHVEDAHSWAPFTGNDGISRPSSNNLPQQNLGLQSSRYPGFINSAALPSYETNEASWPLSGSAIDHSRRLQDRVFTRNSQHQGIGHSPKGQLMNSNLEDHTNRIETHGGFAFSPVPESFIKYQGSMFTRNGSDEVIDDSKVLNYEPLSGINGKKHDYELVKVAAFESDPPDSRVEPVRNSYANHGVLEDNASIRHASVSKSGGSLSFSNNGVGADNSFARDRVIKRVPAIPVEEGGDSLMKLSQEPQILASRVSPSELSFNQQTRQINLSKFSSTEDGRQQLRRSSPSPVPETPAAGKDGAKQASFVDKLKSTRKTAASEYNTNTDTQDTGSAQNTKKKGKKGKQIDPSLLGFKVQSNRRLKGEIHRLND >DRNTG_07523.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19224260:19226077:1 gene:DRNTG_07523 transcript:DRNTG_07523.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVIIRGMGEQVEMEVSFACVKITAICPITLLDRVSDLLRWEQKKKTTDLPWKSHSLPILSPSSPLYHTPSSPSPLSETEEQDLRLAKERLTTLSQRCSDFNVPLLIDAEYTSVQPAIDYLTYSAILRFNHIDRPIVYGTIQAYLRDAKERLMLAVEAADKERISIGFKLVRGAYLTRETELASSLGAGSPIHSSIEKTHACYNDCTSFMLGKVVSGSGSVVLATHNFNSGKFAAAKAEELGIDKGDQKLQFAQLKGMAEALSIGLSNAGYLVSKYLPFGPVDQKLQFAQLKGMAEALSSLTFSAGLRRTEVSSLLPLWTGNS >DRNTG_29239.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21451462:21452673:1 gene:DRNTG_29239 transcript:DRNTG_29239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANESHHLLFFSNNEFMSVIENYNSMQTSFMAPPVNSLGGGDGESAVRKRQRDDDSDVLSFLAGDDDVALRLHQQWLDIDYLLVNYEEKMRKEMEEKRKTAMREVMEGMEEMVMRRMRAKEQEMEMINKFNWALEEKIRSVAMENQVWRDVAQSNETAAIILQRNLELVLAKEEDVESCWLGGSGEEEGKWRRRCRRCGVGEVGVVVLPCRHLCVCLACAAGVVGCPVCRAEIDDKMFVNMSL >DRNTG_09704.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:768674:770040:1 gene:DRNTG_09704 transcript:DRNTG_09704.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRIRGTDDITLEYNDLVVASEESKAVKHPWRNILQRKYRAQLTMVIFIPFFQQFTGINVITFYAPVLFKTFGFGADASLMSAVITGLVNVFATLVSIFTVDKYGRRLLFLFGGVQMIICQIVVGTLIAAKFGTSGEGHLPKAYAGFVVLFICLYVAGFAWSWGPLGWLVPSEILPLEIRSAGQSINVSVNMLFTFLIAQLFLTMLCHMKFGLFYFFSGWVVIMTIFIAYLLPETKNVPIEEMILVWKNHWFWSKFIADSDVHVGSLEMGNGKSVSV >DRNTG_09704.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:768674:769974:1 gene:DRNTG_09704 transcript:DRNTG_09704.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRIRGTDDITLEYNDLVVASEESKAVKHPWRNILQRKYRAQLTMVIFIPFFQQFTGINVITFYAPVLFKTFGFGADASLMSAVITGLVNVFATLVSIFTVDKYGRRLLFLFGGVQMIICQIVVGTLIAAKFGTSGEGHLPKAYAGFVVLFICLYVAGFAWSWGPLGWLVPSEILPLEIRSAGQSINVSVNMLFTFLIAQLFLTMLCHMKFGLFYFFSGWVVIMTIFIAYLLPETKNVPIEEMILVWKNHWFWSKFIADSDVHVGSLEMGNGKSVSV >DRNTG_09704.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:768424:769974:1 gene:DRNTG_09704 transcript:DRNTG_09704.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRIRGTDDITLEYNDLVVASEESKAVKHPWRNILQRKYRAQLTMVIFIPFFQQFTGINVITFYAPVLFKTFGFGADASLMSAVITGLVNVFATLVSIFTVDKYGRRLLFLFGGVQMIICQIVVGTLIAAKFGTSGEGHLPKAYAGFVVLFICLYVAGFAWSWGPLGWLVPSEILPLEIRSAGQSINVSVNMLFTFLIAQLFLTMLCHMKFGLFYFFSGWVVIMTIFIAYLLPETKNVPIEEMILVWKNHWFWSKFIADSDVHVGSLEMGNGKSVSV >DRNTG_09704.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:768611:769974:1 gene:DRNTG_09704 transcript:DRNTG_09704.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRIRGTDDITLEYNDLVVASEESKAVKHPWRNILQRKYRAQLTMVIFIPFFQQFTGINVITFYAPVLFKTFGFGADASLMSAVITGLVNVFATLVSIFTVDKYGRRLLFLFGGVQMIICQIVVGTLIAAKFGTSGEGHLPKAYAGFVVLFICLYVAGFAWSWGPLGWLVPSEILPLEIRSAGQSINVSVNMLFTFLIAQLFLTMLCHMKFGLFYFFSGWVVIMTIFIAYLLPETKNVPIEEMILVWKNHWFWSKFIADSDVHVGSLEMGNGKSVSV >DRNTG_09704.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:768424:770040:1 gene:DRNTG_09704 transcript:DRNTG_09704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRIRGTDDITLEYNDLVVASEESKAVKHPWRNILQRKYRAQLTMVIFIPFFQQFTGINVITFYAPVLFKTFGFGADASLMSAVITGLVNVFATLVSIFTVDKYGRRLLFLFGGVQMIICQIVVGTLIAAKFGTSGEGHLPKAYAGFVVLFICLYVAGFAWSWGPLGWLVPSEILPLEIRSAGQSINVSVNMLFTFLIAQLFLTMLCHMKFGLFYFFSGWVVIMTIFIAYLLPETKNVPIEEMILVWKNHWFWSKFIADSDVHVGSLEMGNGKSVSV >DRNTG_09704.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:769397:769974:1 gene:DRNTG_09704 transcript:DRNTG_09704.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTFLIAQLFLTMLCHMKFGLFYFFSGWVVIMTIFIAYLLPETKNVPIEEMILVWKNHWFWSKFIADSDVHVGSLEMGNGKSVSV >DRNTG_09704.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:768611:770040:1 gene:DRNTG_09704 transcript:DRNTG_09704.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRIRGTDDITLEYNDLVVASEESKAVKHPWRNILQRKYRAQLTMVIFIPFFQQFTGINVITFYAPVLFKTFGFGADASLMSAVITGLVNVFATLVSIFTVDKYGRRLLFLFGGVQMIICQIVVGTLIAAKFGTSGEGHLPKAYAGFVVLFICLYVAGFAWSWGPLGWLVPSEILPLEIRSAGQSINVSVNMLFTFLIAQLFLTMLCHMKFGLFYFFSGWVVIMTIFIAYLLPETKNVPIEEMILVWKNHWFWSKFIADSDVHVGSLEMGNGKSVSV >DRNTG_27202.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:770033:772463:1 gene:DRNTG_27202 transcript:DRNTG_27202.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPAIVHRKCF >DRNTG_27202.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:769001:772463:1 gene:DRNTG_27202 transcript:DRNTG_27202.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPAIVHRKCF >DRNTG_27202.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:769001:772463:1 gene:DRNTG_27202 transcript:DRNTG_27202.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPAIVHRKCF >DRNTG_23141.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14634465:14635096:1 gene:DRNTG_23141 transcript:DRNTG_23141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKVLYSSSKDSLVRYRIRLDGSSPTNLIPKERRCQSHRTSTKPSPIFLETLAEALSQVGEKMCGFSVSLLSRLPMSSVSTVYAIMLLQCSATVLDLDSFPIPYFYRGNATGTRSRRGSLSSSMVYTLVELLFYVHKSECSNVTAFVPLQMDVTTRIRGGWHTL >DRNTG_02443.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10452283:10457750:1 gene:DRNTG_02443 transcript:DRNTG_02443.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKTPPIALFLKKRSIEGRQTSPKSCQSLSKP >DRNTG_22814.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14902484:14905888:-1 gene:DRNTG_22814 transcript:DRNTG_22814.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGWQIFGSVGAQPWLFGHGWASTRSRGWPTRPRGLARAAARPRGSVAGPCGRVAPCQAQNTPTWPRGLSTCPHGLEEYPIRPEKHLACPPRPCGTLYSSNSCLT >DRNTG_33494.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002008.1:39171:39443:1 gene:DRNTG_33494 transcript:DRNTG_33494.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLKLKGFRIDRGGEFNSKEFQEYCDEQGIKRFLTAPFTPQQNGVVERRNRTVMSMARSLLRIMDVPQVLWGEAVNTSVYLLNRAQTQSLKG >DRNTG_31292.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1177776:1178739:1 gene:DRNTG_31292 transcript:DRNTG_31292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELAAGLEASGKAFIWVIRPPFGVDIAGEFKLYQWLPEGFEERMRAKKQGLLMHAWAPQVEILAHESTTSAFLSHCGWNSVLESLSHGVPIIGWPLGAYQFYNSMFF >DRNTG_31184.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10110316:10113267:1 gene:DRNTG_31184 transcript:DRNTG_31184.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFADLGHFSVRSIQIAFTGLVYPCLICAYLGQAAYLSLNPNNVSDAFYKSTPESVYWPMFVIAVLASIIASQAMISATFAIVKQSMALGCFPRVRIVHTSHKHEGQVYIPEINFVLMFACVLVTASFKDTTNIGNAYGIAVVAVMLVTSSLLVLIMLMIWQTNLVLIAGFVLVFGSFELLYFSSVLYKFTNGGYLPLSLAAVLYFVMYVWHYVQTKRHEFEVEHKVSAQYLSSLGSDLGISRVPGVGLLYTELTQGIPAIFRHFLTNLPAIHSVLVFVSVKYLPVNKVPTEERFLLRRVGSKDYKMYRCIVRYGYKDRRIASSEFEQLLKQNLDAFILNESWEEGEGAEIEASVEERNFLDKSWSSGVVYLLGHSEVKASEESGLLKRVVVNYAYDFLRRNFRQGFVDLQIPNKSLLQVGMNYQI >DRNTG_31184.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10110316:10113267:1 gene:DRNTG_31184 transcript:DRNTG_31184.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFVIAVLASIIASQAMISATFAIVKQSMALGCFPRVRIVHTSHKHEGQVYIPEINFVLMFACVLVTASFKDTTNIGNAYGIAVVAVMLVTSSLLVLIMLMIWQTNLVLIAGFVLVFGSFELLYFSSVLYKFTNGGYLPLSLAAVLYFVMYVWHYVQTKRHEFEVEHKVSAQYLSSLGSDLGISRVPGVGLLYTELTQGIPAIFRHFLTNLPAIHSVLVFVSVKYLPVNKVPTEERFLLRRVGSKDYKMYRCIVRYGYKDRRIASSEFEQLLKQNLDAFILNESWEEGEGAEIEASVEERNFLDKSWSSGVVYLLGHSEVKASEESGLLKRVVVNYAYDFLRRNFRQGFVDLQIPNKSLLQVGMNYQI >DRNTG_31184.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10111857:10113349:1 gene:DRNTG_31184 transcript:DRNTG_31184.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFVIAVLASIIASQAMISATFAIVKQSMALGCFPRVRIVHTSHKHEGQVYIPEINFVLMFACVLVTASFKDTTNIGNAYGIAVVAVMLVTSSLLVLIMLMIWQTNLVLIAGFVLVFGSFELLYFSSVLYKFTNGGYLPLSLAAVLYFVMYVWHYVQTKRHEFEVEHKVSAQYLSSLGSDLGISRVPGVGLLYTELTQGIPAIFRHFLTNLPAIHSVLVFVSVKYLPVNKVPTEERFLLRRVGSKDYKMYRCIVRYGYKDRRIASSEFEQLLKQNLDAFILNESWEEGEGAEIEASVEERNFLDKSWSSGVVYLLGHSEVKASEESGLLKRVVVNYAYDFLRRNFRQGFVDLQIPNKSLLQVGMNYQI >DRNTG_31184.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10111857:10113349:1 gene:DRNTG_31184 transcript:DRNTG_31184.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFVIAVLASIIASQAMISATFAIVKQSMALGCFPRVRIVHTSHKHEGQVYIPEINFVLMFACVLVTASFKDTTNIGNAYGIAVVAVMLVTSSLLVLIMLMIWQTNLVLIAGFVLVFGSFELLYFSSVLYKFTNGGYLPLSLAAVLYFVMYVWHYVQTKRHEFEVEHKVSAQYLSSLGSDLGISRVPGVGLLYTELTQGIPAIFRHFLTNLPAIHSVLVFVSVKYLPVNKVPTEERFLLRRVGSKDYKMYRCIVRYGYKDRRIASSEFEQLLKQNLDAFILNESWEEGEGAEIEASVEERNFLDKSWSSGVVYLLGHSEVKASEESGLLKRVVVNYAYDFLRRNFRQGFVDLQIPNKSLLQVGMNYQI >DRNTG_31184.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10110316:10113267:1 gene:DRNTG_31184 transcript:DRNTG_31184.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVCSRLSIQCTLCITFRVIPKRHGISLGGVVLCMTGTEAMFADLGHFSVRSIQIAFTGLVYPCLICAYLGQAAYLSLNPNNVSDAFYKSTPESVYWPMFVIAVLASIIASQAMISATFAIVKQSMALGCFPRVRIVHTSHKHEGQVYIPEINFVLMFACVLVTASFKDTTNIGNAYGIAVVAVMLVTSSLLVLIMLMIWQTNLVLIAGFVLVFGSFELLYFSSVLYKFTNGGYLPLSLAAVLYFVMYVWHYVQTKRHEFEVEHKVSAQYLSSLGSDLGISRVPGVGLLYTELTQGIPAIFRHFLTNLPAIHSVLVFVSVKYLPVNKVPTEERFLLRRVGSKDYKMYRCIVRYGYKDRRIASSEFEQLLKQNLDAFILNESWEEGEGAEIEASVEERNFLDKSWSSGVVYLLGHSEVKASEESGLLKRVVVNYAYDFLRRNFRQGFVDLQIPNKSLLQVGMNYQI >DRNTG_10177.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23011415:23012115:-1 gene:DRNTG_10177 transcript:DRNTG_10177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIYCSILCILLSSILQRHLTADVASVEGTCKAAAARNRAVNYDFCVTHFLRHPWSGDVDERGLANIAGTLAIDAAYNGQSTIQSLLQKKPVDSATVSGLQHCKALYNKMLFTLADAVDNINSRQDEVAKNCLTMAISQAKSCEQGFIEARKKSPLTQENTEAIGLSTVAMTILDLLKHN >DRNTG_14145.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17867463:17869313:-1 gene:DRNTG_14145 transcript:DRNTG_14145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRWTRDAKYGKVFDENGQYIEEDRQAHLTLRYSSFSHEASESATEGSCPIEVYKVVMHWLRRTREEVEKAIKMQNIEISNSNNGVMQEEDRQAHLTLIYSSLSHEASESATKGSCPIEVYKVAMHWLRRTRGEVEKAIKMQNIEISNSNNGEGSSQQTITSTEVTIHDPPLAKCKGNDKRKKAFWEKNRKKRKPKVVVDGLKG >DRNTG_05430.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:4656983:4842600:1 gene:DRNTG_05430 transcript:DRNTG_05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRGIRSSCLCTRYYLAIPYTCGEAIKFLASTWARKARQIGVDESFPNNYLPRETQCQSRRTTPKALPKPL >DRNTG_31315.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3982658:3985909:-1 gene:DRNTG_31315 transcript:DRNTG_31315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVSYACGSEVEHEETDPTGRYIRYKEVLGKGAFKTVYKAFDEVDGIEVAWNQVRIDELLQSPANLERLYSEVHLLKSLKHENIIKFYGSWVDEKMKTINIITELFTSGSLRQYCRKHKSVDIKAVKNWARQILRGLEFLHGHSPPILHRDLKCDNIFVNGNHGEVKIGDLGLAIVMQQPTARSVIGTPEFMAPELYEEEYNELVDVYSFGMCMLEMVTLEYPYNECKNTAQIYKKVTSGIKPASLAKVTDCQVKEFIEKCLAPASERLSAKELLKDPFLQQNIPKENVHDIVQLSNAMTSSIDLTSPDSLLSMDLDSNYKQLPLINLPGNQGAPRAQCLEFSRTNQNKEYRLKGEKVDEKSIYLVLRITDSCGRAKNIHFQFFLDTDTAVSVASEMVEELNLSHDDVIFIADFIDYLIMKLVPGWRPSTDCSSCDTMSPYKGQSACANSEFSVPCNDSTHSAFEVMSDLNLNFSVEESVQSLGVDSFEKGDIFSQANEEDQGSHGSALSFLFAESCKSASGYASDIDMGVVDSFGYKAEDDNSSTAGNQFLDGVDDNLKQELDMIEAQYERWFYELSKMRQEALENTKKRWLAKKRNV >DRNTG_29729.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:590420:591706:-1 gene:DRNTG_29729 transcript:DRNTG_29729.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin-like protein subfamily 1 member 1 [Source:Projected from Arabidopsis thaliana (AT1G10460) UniProtKB/Swiss-Prot;Acc:P92998] MQDPDLLMDYCVADVSRTPSFFFNGELCIDTSLVISNHFFTSSLSKPGMTGNIFGSNTTTTTTKNLPGSNAQGLTMARIDLAPGGIVPLHSHPRGAEVVILIKGTQVMVGFVETKENKLYVQQLRPGDVFVFPKGLDHFLLNLDGATSATAIAGLSSQNPGEQVVPWATFQSMPNIPDEVLEKTFKVSAQDIKRIRKNLGG >DRNTG_22900.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1339778:1341970:-1 gene:DRNTG_22900 transcript:DRNTG_22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVAANGGCESTGCAVDLNNRCPAELRVGEGCRSACEAFGKEEYCCSGVYANPSVCKPTVYSEMFKAACPRSYSYAFDDPSSTFTCDAPADYSIIFCPNPLDPSSSSSFGEDDGSSSPSQKSSRDGTTEPTTKGVVLEGGESWLANLAMGDDAPSSRKNFSIFHQFLLIFFLIYLLF >DRNTG_02634.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18872814:18875358:-1 gene:DRNTG_02634 transcript:DRNTG_02634.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRMMLSPPKECQMILPLATGLHPLNRASLPFFSFPRSPKISQVISPKSAKKRSSQNRR >DRNTG_34686.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28750066:28750678:1 gene:DRNTG_34686 transcript:DRNTG_34686.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPWADGPSYVTQCPIMPGGSYTYRFTIQDQEGTLWWHAHASWLRATVYGALIILPKEGSSYPFLKPHKEVPIIIGEWWNTNPLNV >DRNTG_14715.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3576991:3582394:1 gene:DRNTG_14715 transcript:DRNTG_14715.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEGLIGEAAYLQPIITILPSITAGDTKFSIVFKWDESYGIPGAFIIKNYHTSEFFLKSLIINDFLGKGSIHFVCNSWVYPTNKYKYDRIFFTNDTYLPEKMPGPLIPYRDEELLHLKGDNVTGKFQEWDRIYNYAYYNDLGSPDLGSKLVRPILGGSEEFPYPRRGKTGRGPTLTDPNSESRLLLINSLDIYVPRDEKFGHLKKSDFLGYAINTLVNSVFPVLNSVFAGNEFNSFQDVLDLYEGGFKIPHIALIDILKEQIPFELIKDIISVDGDALLKFPVPQVIREDKWAWRTDEEFCREMLAGTNPVIIQLLKEFPPASKLDRDIYGDQSSKITASHIEKNLNGLSVDEALSNKRLFILDHHDMLMPYVKKINLIVGTKIYATRTLLFLQDDGTLKPLAIELSLPESPSNVYTPAQNGIEGAIWQLAKAYVLVNDSGVHQIISHWLNTHAVMEPFVIATNRQLSVVHPIYKLLYPHYRDTMNINALARQSLINAGGVLESTSFAGKYTMEMSAVVYKSWNFMEQSLPADLIKRGVAVEDPSNPNKVQLLIKDYPYAVDGLAIWSAIHTWVTEYCKIYYPDDATLQADVQVEAWWKEVREVGHGDKKHETWWPSMRLVSELIHTCTTIIWIGSALHASINFGQYPYAGYLPNRPTISRQFMPEPGSPEYEMLKTNPDKVFLKTITSQLQTLEGISVLEILSKHASDEVYLGQRETEEWTSDEEALEAFRRFGKRLEEIGKEIVARNEDPNLKNRNGPVKMPYSLLYPTSDPGLTAKGVPNSISI >DRNTG_16890.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29952024:29953714:-1 gene:DRNTG_16890 transcript:DRNTG_16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELKIGTLWAPKISLIPSSSKTNPEKVTSVTRESELIYKPEKELVDGLFVPPRDPRKMNKILRKSIKDTTGQGWFDMPAPTITPELKKDLEILKLRNVIDPKQHFLRRGASLKVFPNISRWAP >DRNTG_02523.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8050976:8057799:-1 gene:DRNTG_02523 transcript:DRNTG_02523.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPA [Source:Projected from Arabidopsis thaliana (AT2G35210) UniProtKB/TrEMBL;Acc:A0A178VQN0] MASMASEGFTDKNAVFRKLKSRSENKICFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHVSFVRSTNLDSWTPEQLRMMAFGGNNRAHTFFKQHGWTDGGKIEAKYTSRAADLYRQVLSKEVARSSAEDAILPSSPVSAPPSQDGADSVPELKLIETSKGNLIDTHDTIEINHSPKAPIHSAVTNPVKKPIGAKKIGTKTGGLGARKLTSKVISHVSPPKSSNFFAEFEMESGFPKKSSSTSSKVQVDESNEARQKFSNAKSISSAQFFGDQDKATNEAKISLENFTSSSSISSADLFGRAKDDSQLDLTAADLINRISFQASQDISSIKNMAGETGKKLTSFASSLLSDLQDRML >DRNTG_14537.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28235766:28241516:1 gene:DRNTG_14537 transcript:DRNTG_14537.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDPK-related kinase [Source:Projected from Arabidopsis thaliana (AT3G50530) TAIR;Acc:AT3G50530] MGLCYSGPARLATPEEECPPSPHTAAGPPAAAMASPAVAVEEKESKPWASPFFPFYSPSPAHSLFSKKSPAAGGGGTATGTPKRLFKKPFPPPSPAKHIRAVLARRHGVVKPNEASIPEDGGEAGAVRDLDKSFGFSKGFAGKYELGQEVGRGHFGYTCAATCKKGENKGQQVAVKVIPKAKMTTPIAIEDVRREVKILRALTGHSNLVKFYDSYEDNENVYIIMELCEGGELLDRILSRGGKYSEDDAKAVMVQILNVVAFCHLQGVVHRDLKPENFLFTSKDENSQLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYTTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFSEPPWPSLSSEAKDFVKRLLSKDPRRRMTAAQALSHPWFRNYADMSVPLDILIFRMIKAYLRSSSLRKSALRALSKTLTMDELFYLKAQFDLLEPNKNGCITLENVKMALLKNATDAMKESRVHDLIVSLSALQYRRMDFDEFCAATLSVHQLEGLDRWEQHARCAYELFEKDGNRAIVIEELASELGLGPNLPVHAVLHDWIRHTDGKLSFLGFVKLLHGVSSRALAKAH >DRNTG_14954.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1052925:1056044:-1 gene:DRNTG_14954 transcript:DRNTG_14954.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSKSKSGLSDASINKSSPATPRASKIGRPGTAKTEPDSASPLQNARPSTERSPRSAESKTPVEQRRSPKISTLQDKQPRAPTKGSELQTQLSLVQEELKKAKERVLFVEKEKIKVLEELKDVKRSAEEENEKLQEALAALKRAEENSEIEKFRADELEQASIEAAQKREEEWQKEVENVRDQHALDVSALLATTQELQRVKHELAMTTDAKNTALSHADDAMKIAEINAEKVELLSGEVSRLKTLLDSKLESKSLEVAELVKKLDSEIVALKEELEKAKAAEGKLVDMEALIEGLKIEVTDAKQAESDAAKNVDEWKKKAELLETQLDEARVSERSLLDSLASVRKELEESNDSLQDAESEISVLKGKLESLEIELATYKQSLDDSNQSLDSAQKEAIELRNTVEVLKSNFQKAEAEKMQALNDEKTATTNLQSLSEEKKILLDELEMAREDGEKVKKAMDDLTSALHEVSAEARDAQEKLLVKQAEVENANNQIEELQLTLRNTQEKYEVVLDEAKYEAICLKNSIEKLETEAKNSKAEWDEKESEFITAVKKSVEEITAIKVEMSNLADSLKGAENEAQAAKEDGGQLMNKLKQVESELTIVNKAVEEAEGKSLELKERLLDKENEVQSITQENDELRVREAAAQEKIKELSALLAEATAKKPDENDEVDTPQSEKEYEVLPKKSFETVKENAEDDTEPTENTKIEESVKEDAGMAKEENGNGNVQEEAAVAAAEDTELKTYESCKITDKDLQSERDQEAESVEDENDAAKTDNASVEQTNGLSSENADNGATSPAKHLQQKKKKALLQKFGNLLKKKNNHK >DRNTG_14954.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1052925:1056044:-1 gene:DRNTG_14954 transcript:DRNTG_14954.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSKSNRSGLSDASINKSSPATPRASKIGRPGTAKTEPDSASPLQNARPSTERSPRSAESKTPVEQRRSPKISTLQDKQPRAPTKGSELQTQLSLVQEELKKAKERVLFVEKEKIKVLEELKDVKRSAEEENEKLQEALAALKRAEENSEIEKFRADELEQASIEAAQKREEEWQKEVENVRDQHALDVSALLATTQELQRVKHELAMTTDAKNTALSHADDAMKIAEINAEKVELLSGEVSRLKTLLDSKLESKSLEVAELVKKLDSEIVALKEELEKAKAAEGKLVDMEALIEGLKIEVTDAKQAESDAAKNVDEWKKKAELLETQLDEARVSERSLLDSLASVRKELEESNDSLQDAESEISVLKGKLESLEIELATYKQSLDDSNQSLDSAQKEAIELRNTVEVLKSNFQKAEAEKMQALNDEKTATTNLQSLSEEKKILLDELEMAREDGEKVKKAMDDLTSALHEVSAEARDAQEKLLVKQAEVENANNQIEELQLTLRNTQEKYEVVLDEAKYEAICLKNSIEKLETEAKNSKAEWDEKESEFITAVKKSVEEITAIKVEMSNLADSLKGAENEAQAAKEDGGQLMNKLKQVESELTIVNKAVEEAEGKSLELKERLLDKENEVQSITQENDELRVREAAAQEKIKELSALLAEATAKKPDENDEVDTPQSEKEYEVLPKKSFETVKENAEDDTEPTENTKIEESVKEDAGMAKEENGNGNVQEEAAVAAAEDTELKTYESCKITDKDLQSERDQEAESVEDENDAAKTDNASVEQTNGLSSENADNGATSPAKHLQQKKKKALLQKFGNLLKKKNNHK >DRNTG_15682.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000783.1:20931:24590:-1 gene:DRNTG_15682 transcript:DRNTG_15682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIRRAANPLRSHFYRTVGTHACCGNPGVPISKSECVDGLSVVLKPFSHGSSFRLMMSSWGSRNLSSHAGMKSSDSENDLEDGFSDLETPPSTDRIEDIGHKEDDCDLVSESDASEEDFAEDGENLVGLSGTNTDANGEKAAKEKNSSPLFKIIMDAPHSSVDSALDKWVEEGNALGRGEIAVVMLNLRNRRLYVKALEFVTWLKANNRLEFAERDYASYLDLIAKVRGLHNAEKYIEKIPTSFRGEIIYRTLLANCVASTNVKKAEEVYNKIRELGLPITTFTCNQLLLLYKRVNRKKIANVLLMMEKENIKLTRFTYKLLIDSKGRSNDISDMEQIVDAMKAEGIDPDMTIQAMIAKHYMFAGLNEKAESVVKEIEGSEINKNRNSCKVLLPLYAALGKTEDVTRIWKVCEADASPYEHLAAIEAWGELGHVENAEKIFDDIMKTSKKLSAKYYNAFVEYLCKPEASVEGKGTGEEDVG >DRNTG_07874.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18918835:18920048:1 gene:DRNTG_07874 transcript:DRNTG_07874.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAHQIGMLAGNYTMWEAGGKQGLTLNTKAVNVIWGGEACKGRYWKNSLEGSAQVLELLAVYWLEVTGKIPLSLLSPNTKYKLLFNIKVTSDASGWNSPVILKVSLPGNRIHPVYVNLYEYAGHGWVDVPEGGLEFTVPPDDSGKLSFSMYENECDEWKKGLIIREVKFQPETAVRRII >DRNTG_21115.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2614914:2615323:1 gene:DRNTG_21115 transcript:DRNTG_21115.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRNVSAGNEIKRSIIEEVPGAQIDVFELDLSSMSSVARFTSKFKSLNVPLNILINNAGIFGTPFSLSHDGIEMQFATNHI >DRNTG_21115.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2613388:2616570:1 gene:DRNTG_21115 transcript:DRNTG_21115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLKWKGASGFSAWSTAEEVTEGVDGAGLTAIVTGATSGIGTETARVLALRGVRVIMGVRNVSAGNEIKRSIIEEVPGAQIDVFELDLSSMSSVARFTSKFKSLNVPLNILINNAGIFGTPFSLSHDGIEMQFATNHIGHFLLTYLLLENMKKTSIETGIEGRIVNVSSMAHRITYSEGIRFDKINDESTYNGWLAYAQSKFANILHANELSRCFKEDGVKITANSLHPGLILTNLPRHRSFLNVINNTLGKLLLQNVQQGAATTCYVALHPQVEGLTGRYFSNSNLSKSSSKCDNSELAKKLWDFSLSLIMDRLPNFDDLLKSNL >DRNTG_21115.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2615401:2616570:1 gene:DRNTG_21115 transcript:DRNTG_21115.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTSIETGIEGRIVNVSSMAHRITYSEGIRFDKINDESTYNGWLAYAQSKFANILHANELSRCFKEDGVKITANSLHPGLILTNLPRHRSFLNVINNTLGKLLLQNVQQGAATTCYVALHPQVEGLTGRYFSNSNLSKSSSKCDNSELAKKLWDFSLSLIMDRLPNFDDLLKSNL >DRNTG_02750.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000126.1:13838:16633:1 gene:DRNTG_02750 transcript:DRNTG_02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPPIVPPMHSLTSSQPNLFPWKPKQLFRMIQGNFPRVEEENVGMPNTKRLASKRPRTTGPSSTPDEPVFKLSHHRERYHRLKTNHLEHCATLSGDLWKTLGLQVKKIITIHFQAFGRKSTMHHLDFAKYLGIYDDEFINSMPCRRLKLDFPSGVGRSNYWATLAGDDQTRKASRMIDPAHRFIHALIARSIWGQCR >DRNTG_30883.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21298171:21299580:1 gene:DRNTG_30883 transcript:DRNTG_30883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIRWLRQILAALRWWKERMYCGIQEGLQVSLLSRWQPGRPRRKRIESQEFDVRELYCSRCHGSGHNRRSCNETFAD >DRNTG_06567.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:463573:466409:1 gene:DRNTG_06567 transcript:DRNTG_06567.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSELEAIRQRRMQELMAQHGMTNQQNSGSQKAQEDAKMEAEERRQLMLSQILSAQARERLARIALVKADKAKGVEDVVLRAAQMGQITEKVSEEKLISLLKQINDQTSKPTKVTIQRRRNVLEDDD >DRNTG_06567.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:463573:466409:1 gene:DRNTG_06567 transcript:DRNTG_06567.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSELEAIRQRRMQELMAQHGMTNQQNSGSQKAQEDAKMEAEERRQLMLSQILSAQARERLARIALVKADKAKGVEDVVLRAAQMGQITEKVSEEKLISLLKQINDQTSKPTKVTIQRRRNVLEDDD >DRNTG_06567.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:463573:466409:1 gene:DRNTG_06567 transcript:DRNTG_06567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSELEAIRQRRMQELMAQHGMTNQQNSGSQKAQEDAKMEAEERRQLMLSQILSAQARERLARIALVKADKAKGVEDVVLRAAQMGQITEKVSEEKLISLLKQINDQTSKPTKVTIQRRRNVLEDDD >DRNTG_00677.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15952243:15955942:1 gene:DRNTG_00677 transcript:DRNTG_00677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSEVSADHDARRPGTDHGDCWICSDPSVADADQREGYLERVCVSWWRRHKAGWAAAGGDGHGCAEAEAAVSEAGWASSVCVQGVLRCVWFLRRCRSCCRLLPR >DRNTG_32808.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:9660218:9663938:-1 gene:DRNTG_32808 transcript:DRNTG_32808.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 9 [Source:Projected from Arabidopsis thaliana (AT3G46200) UniProtKB/Swiss-Prot;Acc:Q8VYR2] MPWAISPGPRPFPILRRSFHAIMDIKPSSSPATDPGSAFKLLLACPAGLPRSRLVVDFNASYDRVPHPDAKLEDSINEIWNQRIGKNSSLYNGQKFRYGASILHMGNGVDRESSVCLHLGLTDYRTFVGTNLNPSWEMFLIPSEDDTIHCQHTSSPLGNGAVVETSDKKILVLQRSNNVGEFPGYFVFPGGHSEPLEVGISAHQAENSEVINRKVSQEMFDGIIREVVEEIGVPSHSLTEPVFIGISRRDLNVRPTAFFFVNCNLESSEIHQLYSKAQDGYESTQLYAVLKDDLAEMSLKMPGCHRGGHALYELMMNRKDIG >DRNTG_20616.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20589015:20672486:-1 gene:DRNTG_20616 transcript:DRNTG_20616.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLAFDHNNFFAEIPSWVGETMTSLMILTLKENNFSGNLPLLSNLTSLHFLDLSHNSFVGNIPQSYGNLTGMINISMNGGAMFY >DRNTG_10642.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:295197:296372:1 gene:DRNTG_10642 transcript:DRNTG_10642.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G21620) UniProtKB/TrEMBL;Acc:Q94II5] METLNEEVEEYSWREVLLPSLIPKVPEPELERETGERRRGRDIIIAVDHGPNSKHAFDWALVHLCRLADTLHLVHSVSDVNNQVVYDTSQVLMENLAVEAFQVALVRTKARIVEGDAGKAICKEADRLKPAAVVMGTRGRSLIQSVLQGSVSEYCFHNCKSAPVIIVPGKDAGDESII >DRNTG_10642.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:295197:296372:1 gene:DRNTG_10642 transcript:DRNTG_10642.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G21620) UniProtKB/TrEMBL;Acc:Q94II5] MENLAVEAFQVALVRTKARIVEGDAGKAICKEADRLKPAAVVMGTRGRSLIQSVLQGSVSEYCFHNCKSAPVIIVPGKDAGDESII >DRNTG_22739.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31599274:31603411:1 gene:DRNTG_22739 transcript:DRNTG_22739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFSSSLMNGLGSRRRRGSPTPFCSPSWQLWLLLPTGSLSFVTPGRVPFSYMPDIEDSESPIHEIKRKGGDLRYCQKCSTYKPPRAHHCRVCKRCVLRMDHHCVWINNCVGHANYKIFFVFVLYAVTACIHSMALLVGSATDLLHKDQQSGASSKTSYIICGVLLFPITVALGILLGWHVYLILQNKTTIEYHEGVRAMWLAEKAGNIYRHPYDIGAFENLSMVLGPNIFSWVCPSSKHIGSGLRFRTSYDPHAASPK >DRNTG_22739.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31599274:31603411:1 gene:DRNTG_22739 transcript:DRNTG_22739.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFSSSLMNGLGSRRRRGSPTPFCSPSWQLWLLLPTGSLSFVTPGRVPFSYMPDIEDSESPIHEIKRKVSSKGGDLRYCQKCSTYKPPRAHHCRVCKRCVLRMDHHCVWINNCVGHANYKIFFVFVLYAVTACIHSMALLVGSATDLLHKDQQSGASSKTSYIICGVLLFPITVALGILLGWHVYLILQNKTTIEYHEGVRAMWLAEKAGNIYRHPYDIGAFENLSMVLGPNIFSWVCPSSKHIGSGLRFRTSYDPHAASPK >DRNTG_33105.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001953.1:8790:9597:-1 gene:DRNTG_33105 transcript:DRNTG_33105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMYPEANIPVCQLSVQTKKDGTYHYNMGKALAPLRDEGVLVLGSGSATHNLRTMGPGGSPIASWALEFDTWLTESLINGRHEDVNHYEDKAPHG >DRNTG_11941.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18993163:18997809:-1 gene:DRNTG_11941 transcript:DRNTG_11941.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSVAGDAVMAFRSVLTRVQQAAERSGRAAEQVRLVAVSKTKPVSLIRQVYEAGHRSFGENYVQEIIEKAPQLPEDIQWHFIGNLQSNKVKALLAGVPNLDTFESVDDQKIANLLDRVVANLGRKPLKVLVQVNTSGEESKSGVDPAGCVELAKHVKLDCPNLVFSGLMTIGMLDYSSTPENFKTLVNCRTEVCKCPWDSRGAMRALNGHVQ >DRNTG_11941.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18993163:18997809:-1 gene:DRNTG_11941 transcript:DRNTG_11941.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSVAGDAVMAFRSVLTRVQQAAERSGRAAEQVRLVAVSKTKPVSLIRQVYEAGHRSFGENYVQEIIEKAPQLPEDIQWHFIGNLQSNKVKALLAGVPNLDTFESVDDQKIANLLDRVVANLGRKPLKVLVQVNTSGEESKSGVDPAGCVELAKHVKLDCPNLVFSGLMTIGMLDYSSTPENFKTLVNCRTEVCKCPWDSRGAMRALNGHVQ >DRNTG_11941.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18993163:18997809:-1 gene:DRNTG_11941 transcript:DRNTG_11941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSVAGDAVMAFRSVLTRVQQAAERSGRAAEQVRLVAVSKTKPVSLIRQVYEAGHRSFGENYVQEIIEKAPQLPEDIQWHFIGNLQSNKVKALLAGVPNLDTFESVDDQKIANLLDRVVANLGRKPLKVLVQVNTSGEESKSGVDPAGCVELAKHVKLDCPNLVFSGLMTIGMLDYSSTPENFKTLVNCRTEVCKCPWDSRGAMRALNGHVQ >DRNTG_35449.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002248.1:53416:53981:1 gene:DRNTG_35449 transcript:DRNTG_35449.5 gene_biotype:protein_coding transcript_biotype:protein_coding MINLLFSFSNPPKSHSMATKAMTKLLAIADMVSLSCSLLMVALILVTAMNESLKMETMIGDAVVSKGRLINDRVCDEIYVVGEGETLQTISDKCGDPFIVERNPHVHDPDDVFPGLVIALRPTKLWP >DRNTG_35449.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002248.1:53551:54460:1 gene:DRNTG_35449 transcript:DRNTG_35449.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKAMTKLLAIADMVSLSCSLLMVALILVTAMNESLKMETMIGDAVVSKGRLINDRVCDEIYVVGEGETLQTISDKCGDPFIVERNPHVHDPDDVFPGLVIALRPTKLWP >DRNTG_35449.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002248.1:53551:54168:1 gene:DRNTG_35449 transcript:DRNTG_35449.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATKAMTKLLAIADMVSLSCSLLMVALILVTAMNESLKMETMIGDAVVSKGRLINDRVCDEIYVVGEGETLQTISDKCGDPFIVERNPHVHDPDDVFPGLVIALRPTKLWP >DRNTG_35449.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002248.1:53551:53981:1 gene:DRNTG_35449 transcript:DRNTG_35449.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATKAMTKLLAIADMVSLSCSLLMVALILVTAMNESLKMETMIGDAVVSKGRLINDRVCDEIYVVGEGETLQTISDKCGDPFIVERNPHVHDPDDVFPGLVIALRPTKLWP >DRNTG_35449.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002248.1:53416:54460:1 gene:DRNTG_35449 transcript:DRNTG_35449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLLFSFSNPPKSHSMATKAMTKLLAIADMVSLSCSLLMVALILVTAMNESLKMETMIGDAVVSKGRLINDRVCDEIYVVGEGETLQTISDKCGDPFIVERNPHVHDPDDVFPGLVIALRPTKLWP >DRNTG_35449.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002248.1:53416:54168:1 gene:DRNTG_35449 transcript:DRNTG_35449.3 gene_biotype:protein_coding transcript_biotype:protein_coding MINLLFSFSNPPKSHSMATKAMTKLLAIADMVSLSCSLLMVALILVTAMNESLKMETMIGDAVVSKGRLINDRVCDEIYVVGEGETLQTISDKCGDPFIVERNPHVHDPDDVFPGLVIALRPTKLWP >DRNTG_19120.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29662626:29670238:1 gene:DRNTG_19120 transcript:DRNTG_19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDFTAAVQRGLKLSKRVYFGKPQVGPHSQLMARSSPEVSELVPTAPMVYAVILHPEIVDNPDLPSYQPYVHGRCDPPALIPLQMKEVGMEIESYMDVALVTLRGRWRVHCVMGSKSCDCRVVIPMGEQGSILGVEADVGGRSYSTQVIQPEGDHNEEKVSKLEDGGFLKPQFFSFTVPLVDGGSEIYLNVSWSQKLSYMHGQFSITIPFKFPEYVTPITKILPKREKILFNVNTAADKEVLCQDPNHPLKEKARHMGKLSFLYEADVDHWSRKNFSFSYSVYSSDIFGGVHTQSPSMHDSDQREMFCLYLFPGNFLNTKVFKKEVIFVVDISGSMRENPLEMVKKVLYKALLELTPGDYFDIIAFNEDMHLFSSSLELATKEAVEEANLWISKNLVSEDEAHITKLLLSESLNKAISLLSNSRELLPHIFLITDGCVEDERNICNSMRVHVTTHLHISPRISTFGIGSYCNHYFLRLLASISRGLYGAAHDPDSIEVEMQRWLRRASLPITANIEVEVFDRLDAFEMYPQRIPDLSIGCPQIVFGRYQGKLPDSIKVKGILANLNDIDIDLKVQKTDIPLERIFAKQHIDQLTAQAWFFESKQLEEKVIKLSLQCRIPSEHTYLVLRQTRPTIQEMMAKKPKKANVEKLEAAKDRYLSRGTRIGFGDLLATAENYSVMFGNPKSPEASSVYMVTDCCSDLCDCACCRCCINFCSKLNDQFVVLMAQLCAGIACLACFQCCSLCCNGSD >DRNTG_19120.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29662626:29664699:1 gene:DRNTG_19120 transcript:DRNTG_19120.6 gene_biotype:protein_coding transcript_biotype:protein_coding RLRRAWCSTSRRRDPGLTSENGRGLHCGGSAGLEALQAGLLRQAAGWTAQPAHGSKLAGGFRASSHGADGLCGDLAS >DRNTG_19120.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29662626:29670238:1 gene:DRNTG_19120 transcript:DRNTG_19120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDFTAAVQRGLKLSKRVYFGKPQVGPHSQLMARSSPEVSELVPTAPMVYAVILHPEIVDNPDLPSYQPYVHGRCDPPALIPLQMKEVGMEIESYMDVALVTLRGRWRVHCVMGSKSCDCRVVIPMGEQGSILGVEADVGGRSYSTQVIQPEGDHNEEKVSKLEDGGFLKPQFFSFTVPLVDGGSEIYLNVSWSQKLSYMHGQFSITIPFKFPEYVTPITKILPKREKILFNVNTAADKEVLCQDPNHPLKVFKKEVIFVVDISGSMRENPLEMVKKVLYKALLELTPGDYFDIIAFNEDMHLFSSSLELATKEAVEEANLWISKNLVSEDEAHITKLLLSESLNKAISLLSNSRELLPHIFLITDGCVEDERNICNSMRVHVTTHLHISPRISTFGIGSYCNHYFLRLLASISRGLYGAAHDPDSIEVEMQRWLRRASLPITANIEVEVFDRLDAFEMYPQRIPDLSIGCPQIVFGRYQGKLPDSIKVKGILANLNDIDIDLKVQKTDIPLERIFAKQHIDQLTAQAWFFESKQLEEKVIKLSLQCRIPSEHTYLVLRQTRPTIQEMMAKKPKKANVEKLEAAKDRYLSRGTRIGFGDLLATAENYSVMFGNPKSPEASSVYMVTDCCSDLCDCACCRCCINFCSKLNDQFVVLMAQLCAGIACLACFQCCSLCCNGSD >DRNTG_19120.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29664752:29670238:1 gene:DRNTG_19120 transcript:DRNTG_19120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRENPLEMVKKVLYKALLELTPGDYFDIIAFNEDMHLFSSSLELATKEAVEEANLWISKNLVSEDEAHITKLLLSESLNKAISLLSNSRELLPHIFLITDGCVEDERNICNSMRVHVTTHLHISPRISTFGIGSYCNHYFLRLLASISRGLYGAAHDPDSIEVEMQRWLRRASLPITANIEVEVFDRLDAFEMYPQRIPDLSIGCPQIVFGRYQGKLPDSIKVKGILANLNDIDIDLKVQKTDIPLERIFAKQHIDQLTAQAWFFESKQLEEKVIKLSLQCRIPSEHTYLVLRQTRPTIQEMMAKKPKKANVEKLEAAKDRYLSRGTRIGFGDLLATAENYSVMFGNPKSPEASSVYMVTDCCSDLCDCACCRCCINFCSKLNDQFVVLMAQLCAGIACLACFQCCSLCCNGSD >DRNTG_19120.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29662626:29663845:1 gene:DRNTG_19120 transcript:DRNTG_19120.7 gene_biotype:protein_coding transcript_biotype:protein_coding RLRRAWCSTSRRRDPGLTSENGRGLHCGGSAGLEALQAGLLRQAAGWTAQPAHGSKLAGGFRASSHGADGLCGDLAS >DRNTG_19120.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29664752:29670238:1 gene:DRNTG_19120 transcript:DRNTG_19120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRENPLEMVKKVLYKALLELTPGDYFDIIAFNEDMHLFSSSLELATKEAVEEANLWISKNLVSEDEAHITKLLLSESLNKAISLLSNSRELLPHIFLITDGCVEDERNICNSMRVHVTTHLHISPRISTFGIGKNLVHDIFFFQS >DRNTG_34379.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18024111:18031421:1 gene:DRNTG_34379 transcript:DRNTG_34379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRLFWKTCLPTRVLPMVAQVAMIVPGENDRKRVRRPYHTKTFKVELSFAAKIPMQSIALALKGQESENSQEAFRVLDIVLRQHAAKQGCLLVRQSYFQNNPSNFTDLGGGVLGCRGFHSSFRTTQGGLSLNIDVSTTMIVRPGPVIDFLIANQNVRDPYQIDWSKAKRMLKNLRIKAAPSNTEYKIVGLSELPCNQQLFTLKQRSGRNGNSDCDVQSTEITVFDYFVKIRGVELRYSADLPCINVGKPKRPTFIPIELCSLVSLQRYTKALSTLQRSSLVEKSRQKPQERMSVLTEALKYNNYDADPMLRACGVSIATQFTQIDGRVLSAPRLKVGNGEDFFPRNGRWNFNNKKLIEPSTVDRWAVVNFSARCDVRGLIRDLIKCGNMKGITIEQPFDVFDENPSMRRGPPIARVEDMFEQVKSKLPGAPRFLLCLLAERKNSDIYGPWKRKCLADFGIVTQCMAPTRVNDQYITNVLLKINAKLGGMNSFLQVEQSPSMPLISKVPTIILGMDVSHGSPGQSDVPSIAAVVSSRAWPLISRYRASVRTQSPKVEMIDSLFKPISDTEDSGIMRELLIDFYTSSGKRKPDQIIIFRDGVSESQFNQVLNIELDQIIEACKFLDEKWCPKFTVIVAQKNHHTKFFQPNSPDNVPPGTVIDSKVCHPRNYDFYMCSHAGMIGTTRPTHYHVLLDEIGFSTDDLQELVHSLSYVYQRSTTAISVVAPVCYAHLAATQMGQFMKFDEMSETSSSHGGASAAGNVVVPELPRLHEKVSSSMFFC >DRNTG_19124.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29637027:29640178:1 gene:DRNTG_19124 transcript:DRNTG_19124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTSQKRSMIITCCFSKQEEEINLKTAKPKLGITKSSFRRLSFSDISNSTSPEDLSLSLVGSNLYVFTLHELKLATQSFSTANFLGEGGFGPVFKGFVDEKVRPGLKAQTVAVKVLDLDGAQGHKEWLLRHPHLVKLIGYCCEDEQRLLVYEFMAKGSLENHLFKRFFVSLPWATRLKIAVGAAKGLAFLHETEKPVIYRDFKASNILLDSEYKAKLSDFGLAKDGPEGDETHVSTRVMGTQGYAAPEYIMTGHLTTKSDVYSFGVVLMELLTGRKSTDKTRPAKEQNLVEFGRPYLKDERKLTRIMDPSMDGQYSTRIAMKVASIAYQCLSQSPKSRPQMSAIVDALEPLEKLEDINNEPFVYTITSTTITNNNNNNNNNNNNNNNSWNELDDKEDGNEVRFNQQYNGHIHKLRSPKTPSFFYGLERRNSQKNHQSG >DRNTG_13156.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:15515834:15518015:1 gene:DRNTG_13156 transcript:DRNTG_13156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVKLIGAVMSPFVMRPRVSLNLKGVEYEFLEETFGSKSELLLKSNPVYKKIPVLIHSGKPICESLIIVQYIDETWSGHSILPSDAYDRAIARFWAHYIDDKWFPALASIARAQTEEAKNEAIEQVFTGLKLLEEACEKCSGGKPFFGGETIGYVDIALGCYLGWLRATEKLIGITFLDETKTPLLCHWAEKFSSHEAVASVMPETDKLVEFAKMIQAKFKAPANK >DRNTG_26716.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4906836:4907752:1 gene:DRNTG_26716 transcript:DRNTG_26716.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLNTQKNPTNNQTTSITPQITTPWPNHQSQTRLLPQFKR >DRNTG_11516.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3737897:3738381:1 gene:DRNTG_11516 transcript:DRNTG_11516.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPTSFDNSYYKNLINLKGLLHSDQQLFNNGSTDSQVNTYSSNGAKFNSDFTSAMVKMGNISPLTGSNGEIRTNCRKIN >DRNTG_11516.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3736558:3738381:1 gene:DRNTG_11516 transcript:DRNTG_11516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFTYSLALCFLATISLSSAQLSSTFYSTSCPKALSTIQSAVNAAVNKEARMGASLLRLHFHDCFVNGCDGSVLLDDTSNFTGEKTAGPNQNSLRGFDVIDNIKTQVESVCKQTVSCADILAVAARDSVVALGGPTWTVQLGRRDAKTASLSTANSDIPSPASSLSTLTSAFSKKGLSTTDMVALSGAHTIGQARCTTFRTRIYNETNINSSFKTSLQGKCPSSGGDNNLSPLDMSTPTSFDNSYYKNLINLKGLLHSDQQLFNNGSTDSQVNTYSSNGAKFNSDFTSAMVKMGNISPLTGSNGEIRTNCRKIN >DRNTG_29275.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28630850:28631550:-1 gene:DRNTG_29275 transcript:DRNTG_29275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTPPKTTTQHHRLTNIIITTTNNNNNNKVADRCTAHAVPEPVAKYHEHTASSGQCCSAVVQTVAAPVNTVWSVVRRFDNPQAYKHFVKSCHLIVGNGDDVGSLREVRVVSGLPAVTSMERLEILDDEKHVFGFRVVGGEHRLNNYRSVTTVHAAAAPAGGTVVVESYVVDVPAGNTMEDTRVFVDTIVKCNLQSLARTVESLVKSGGAGEGGFRRGGGVLAA >DRNTG_27030.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2545474:2547156:-1 gene:DRNTG_27030 transcript:DRNTG_27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATLPPGFRFHPTDEELITYYLTHKVSDFGFIARAIAEVDLNKCEPWDLSMCMNWFGRDALSSSPFPWSSNLLGSNFSSSPTVMKPWPSIATLSSFMAQDHATSSKASDCAAQQAFDHQDSIWRGGY >DRNTG_17841.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000916.1:82696:87379:1 gene:DRNTG_17841 transcript:DRNTG_17841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRLPLEGNVPACIHNMGRDLASYIVLRDIQSSNSGLIIPNIVNHISDMPYDEQILSFPIQYIDFATKERSYSYKGDIINYLSGLDLSSNKLVGWIPMEIGNMTWLRALNLSNNMFYGPIPNTLSKLTEIESLDLSHNMVEGSIPSQLAKLHFIESFLVAYNNLSGPALGMVGQFITFDEKSYEGNPYLCGPPLVKSCNNISSPQQNQVKDDHRNEETMERLITVRE >DRNTG_11379.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:17630926:17631716:-1 gene:DRNTG_11379 transcript:DRNTG_11379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTIRCCISCILPCGSLDVIRIVHANGRVEEITHSICAAEVMQSYPKHVLRRSPSAPGEDSGTVPPNAELQRGKIYFLTPVSVRQEKPKRMRRKRREVVTQGVTSDRASLLMSNQYLLEILSEKASTHIDRRRGRVGIWRPHLESISELLQKIRCVLLLCKEKMLCSFARIIS >DRNTG_00424.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21951492:21954883:1 gene:DRNTG_00424 transcript:DRNTG_00424.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGFISLLLTVGQGVISKICVPKSVGDSWHPCKMKNDTKSITQEHKIGRRLMQDADYNPGIYRRSLAGGGGSDKCIAKNKVPLISTDGIHQLHIFIFVLAITHVLYCITTMALGRLKMRRWKVWEEETKSAEYQFSNNPDRFRFARETSFGRRHLSFWSKNPILIWIVCFFRQFIRSVFKVDYMTLRHGFIMAHLAPHSSTKFDFQKYIKRSLENDFKVVVGISPTIWFSAVVFLLFNTHGWNSYLWMPFIPLVIILLVGTKLQVIITKMALQIMERGDVVKGMPVVQPADHLFWFNRPHLLLYLIHFVLFQNAFQIAFFAWSWYEFGYPSCFHQRIEDMIIRISMGILIQVLCSYVTLPLYALVTQMGSKMKATIFTERVAVALRKWHQTAKKHLKENQRSGSNTPMSISRPITPSHGSSPMHLLRYYRSEVDSPQASPMRHYADDNHFDIERSPSPAQHTVSGSNAQHLKANLQQMMMREMEEISSNSRTLPSKTDEIQIHVDNNGSSESREFSFERR >DRNTG_00424.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21952802:21954883:1 gene:DRNTG_00424 transcript:DRNTG_00424.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPFIPLVIILLVGTKLQVIITKMALQIMERGDVVKGMPVVQPADHLFWFNRPHLLLYLIHFVLFQNAFQIAFFAWSWYEFGYPSCFHQRIEDMIIRISMGILIQVLCSYVTLPLYALVTQMGSKMKATIFTERVAVALRKWHQTAKKHLKENQRSGSNTPMSISRPITPSHGSSPMHLLRYYRSEVDSPQASPMRHYADDNHFDIERSPSPAQHTVSGSNAQHLKANLQQMMMREMEEISSNSRTLPSKTDEIQIHVDNNGSSESREFSFERR >DRNTG_00424.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21951165:21954883:1 gene:DRNTG_00424 transcript:DRNTG_00424.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGVGSRSLEETPTWAVAVVCFVLVVISIIIEHALHLLGKLLTKHNKRALYEALEKVKSELMLLGFISLLLTVGQGVISKICVPKSVGDSWHPCKMKNDTKSITQEHKIGRRLMQDADYNPGIYRRSLAGGGGSDKCIAKNKVPLISTDGIHQLHIFIFVLAITHVLYCITTMALGRLKMRRWKVWEEETKSAEYQFSNNPDRFRFARETSFGRRHLSFWSKNPILIWIVCFFRQFIRSVFKVDYMTLRHGFIMAHLAPHSSTKFDFQKYIKRSLENDFKVVVGISPTIWFSAVVFLLFNTHGWNSYLWMPFIPLVIILLVGTKLQVIITKMALQIMERGDVVKGMPVVQPADHLFWFNRPHLLLYLIHFVLFQNAFQIAFFAWSWYEFGYPSCFHQRIEDMIIRISMGILIQVLCSYVTLPLYALVTQMGSKMKATIFTERVAVALRKWHQTAKKHLKENQRSGSNTPMSISRPITPSHGSSPMHLLRYYRSEVDSPQASPMRHYADDNHFDIERSPSPAQHTVSGSNAQHLKANLQQMMMREMEEISSNSRTLPSKTDEIQIHVDNNGSSESREFSFERR >DRNTG_00424.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21948471:21954883:1 gene:DRNTG_00424 transcript:DRNTG_00424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGVGSRSLEETPTWAVAVVCFVLVVISIIIEHALHLLGKLLTKHNKRALYEALEKVKSELMLLGFISLLLTVGQGVISKICVPKSVGDSWHPCKMKNDTKSITQEHKIGRRLMQDADYNPGIYRRSLAGGGGSDKCIAKNKVPLISTDGIHQLHIFIFVLAITHVLYCITTMALGRLKMRRWKVWEEETKSAEYQFSNNPDRFRFARETSFGRRHLSFWSKNPILIWIVCFFRQFIRSVFKVDYMTLRHGFIMAHLAPHSSTKFDFQKYIKRSLENDFKVVVGISPTIWFSAVVFLLFNTHGWNSYLWMPFIPLVIILLVGTKLQVIITKMALQIMERGDVVKGMPVVQPADHLFWFNRPHLLLYLIHFVLFQNAFQIAFFAWSWYEFGYPSCFHQRIEDMIIRISMGILIQVLCSYVTLPLYALVTQMGSKMKATIFTERVAVALRKWHQTAKKHLKENQRSGSNTPMSISRPITPSHGSSPMHLLRYYRSEVDSPQASPMRHYADDNHFDIERSPSPAQHTVSGSNAQHLKANLQQMMMREMEEISSNSRTLPSKTDEIQIHVDNNGSSESREFSFERR >DRNTG_00424.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21948471:21952725:1 gene:DRNTG_00424 transcript:DRNTG_00424.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGVGSRSLEETPTWAVAVVCFVLVVISIIIEHALHLLGKLLTKHNKRALYEALEKVKSELMLLGFISLLLTVGQGVISKICVPKSVGDSWHPCKMKNDTKSITQEHKIGRRLMQDADYNPGIYRRSLAGGGGSDKCIAKNKVPLISTDGIHQLHIFIFVLAITHVLYCITTMALGRLKMRRWKVWEEETKSAEYQFSNNPDRFRFARETSFGRRHLSFWSKNPILIWIVCFFRQFIRSVFKVDYMTLRHGFIM >DRNTG_25972.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001342.1:19342:21628:1 gene:DRNTG_25972 transcript:DRNTG_25972.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCDGQRDLLKGTIEEEMVTLDPNIDQKEPWEASLYEEMEKFKPEVQEQLWNKRSIYHLPAFSKLSKASTVLTPQVVSFGPYHHGEPNLKLVEHYKRKTLLYFLHDAKLRPGNVINAMKGVVEELQAHYEYLEDKWKNKMEFVKLMVTDGCFMLELLRGDFSKFPTNDPIFGDHAASHIIPHIKKDMLMLENQLPLLVLKTLLLEERSRRNESPDLLLERSRRNESPESSDSPSEIILTDDQVINNLVFKFFEMKGMLNNTTLHLGLHVLDLYRKSLCETQEAFGKFWEVEQKRPYICTNAYRSGAARGRSSIGDIQELYNQSHRFPRRRPHGPKF >DRNTG_04630.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8514350:8515257:1 gene:DRNTG_04630 transcript:DRNTG_04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNSRNGGRIPSMVVSMVLWKVLYSSSKDSNRIALMEAPLPTFFLMESRCRSRRTSPKPWPIPLETTRPCAISTRAWIISTRPCGFSVSLISRSAVNSVATVISTFLLQSST >DRNTG_30777.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:249108:252770:1 gene:DRNTG_30777 transcript:DRNTG_30777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYEGSSVLPSSTRQSSLFFRANKKVCEEWFSRMCDPMMNAGIALDCHDATFHHCVLRLQDLRNLVASTMRDNARGTQTSENVHTLRFRLAGDVLKVLRHAALALSKSHEPEALAGLQKWVVTAFPTLFTEEMQAGPERSGGMGFFSWMTGLIYQARGQYEKAAAHFSHLLQSEDALSYMGADGIQFVIARVIESYSSLSDWRSLNIWLAELQTLRSMHAGKDYSGALTAAGNEINLIHALACFDEGDIQAACGYLDLTPKSSCELSLDPRLSLERSEQMLLRSMLERNDKPDIILGQLEKAKLMLDEALSIAPLTGLAEVAACATQLHCIIGFESKYQCSNEAEARQMPPVLESLQQMLHSPISMIHQDCSLWIKIFRVHCTVLPTSLTTLLLCQKLHRLARKQNNFLLANRMSQYFKDHLMACSEEDTDMLALNLRYDGILLKYAEGKHEEALISLWSFVQADMLSSTSHALETGTFLKAKACLKLSSWLRQGGLGTNMRTFI >DRNTG_22024.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2555897:2557149:1 gene:DRNTG_22024 transcript:DRNTG_22024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFHGGATAGGGAGEMRKLNLVYFLCRDGQLEHPHLIRVHHFSRHGVHLYDVKRWLADLRGKDMPDSYTWSYKRKYKNGYVWQDLMDDDLITPIADNEYVIKGSLLPPSLNTSLDKSKEVGEVAEVAAAVKSPPEILQIEHGNHSISPKPSPQLDQDSAGHTSILVDRREKPATVFRPEFTDLIEETKEGQEPFLCAENHAKKKMTLWSFLSCREVETDVPSAKPVNRRVAGGELWEEHDNGGR >DRNTG_05226.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000236.1:64637:65088:-1 gene:DRNTG_05226 transcript:DRNTG_05226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKKISFGRKEKKTGISYYPHTTQYGGRMHCSSGMIWSVCPDFHTTQAEQGTFWLDLFLADFGEITWEILGDLGKEKKGKEARRSFKPKVQDSQGKKATSFKGTFTTI >DRNTG_07507.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27079041:27084123:1 gene:DRNTG_07507 transcript:DRNTG_07507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQINAQVEEVGPQINAQVEEVGPQINAQVQEGGPVHQSAVERDEPQLFRGCKRSTRTWDVQIRDGNGELRRKKMRAIDVYSLPPGEKVVVEWNSRNQPIELSGANIARKPAEIPLEQWVTFVDNRARPDTKAKAEQNTINRTHQTMPHTLANSSSDILSLLKRIFNLEKHELLISRCSLFHAVDARASHGYRKEMAARMVLLIIPFRWSRIKHNSQLYMPDLCFLEESRQLMRLPPRQSTAKADLMVPFQLASLKTIGVKTNTFQESHLGLCCRLHCRTESKTCTSREMHRRAINFSRNYIDHDLPRRAERIPFTPRYIIPIAGMMVGNAMAVTGVTMKRLREELKTQDPNEPGFYPIL >DRNTG_30704.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1764155:1765756:1 gene:DRNTG_30704 transcript:DRNTG_30704.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPSPAPSGDENVVGILSFEVAAAMSRAVHLHRSLSDADISRLRADTLSSPGVRTLVSSDENYLLSLSLAEKIDALNRIAAVTARLGRRCRLPTLSGFEHIYSDLLSGRIHPSRLAFLSKDIDAAFRKMERFVSSTAKLYTELSDNAHQVLDQMPQWQLRNASLWNQTFDKVVLLLARAVCTIHARIQVVFESRQLYHCPPCSTSRKAVSFPGPCASSPGRFLMECLSLSSSNSPSLNDVFDEENFDKESNVSREEKCGKNESLWFGPKSSLTMLASSSTVGGSALALHYSNVIIIIEKLMQYPHLIGEEARDDLYLMLPSSLRITLRKSLKSYVKNLAIYDAPLAHGWKEKMKSILSWLAPMAHNMVQWQMERNFEQQQIVLRSNVLLLETLYFADREKTESAICELLVGLNYMCRYEHQKNALFDCSSSLNFDNFIGWKGQC >DRNTG_30704.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1764155:1767290:1 gene:DRNTG_30704 transcript:DRNTG_30704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPSPAPSGDENVVGILSFEVAAAMSRAVHLHRSLSDADISRLRADTLSSPGVRTLVSSDENYLLSLSLAEKIDALNRIAAVTARLGRRCRLPTLSGFEHIYSDLLSGRIHPSRLAFLSKDIDAAFRKMERFVSSTAKLYTELSDNAHQVLDQMPQWQLRNASLWNQTFDKVVLLLARAVCTIHARIQVVFESRQLYHCPPCSTSRKAVSFPGPCASSPGRFLMECLSLSSSNSPSLNDVFDEENFDKESNVSREEKCGKNESLWFGPKSSLTMLASSSTVGGSALALHYSNVIIIIEKLMQYPHLIGEEARDDLYLMLPSSLRITLRKSLKSYVKNLAIYDAPLAHGWKEKMKSILSWLAPMAHNMVQWQMERNFEQQQIVLRSNVLLLETLYFADREKTESAICELLVGLNYMCRYEHQKNALFDCSSSLNFDNFIGWKGQC >DRNTG_30704.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1764155:1765685:1 gene:DRNTG_30704 transcript:DRNTG_30704.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPSPAPSGDENVVGILSFEVAAAMSRAVHLHRSLSDADISRLRADTLSSPGVRTLVSSDENYLLSLSLAEKIDALNRIAAVTARLGRRCRLPTLSGFEHIYSDLLSGRIHPSRLAFLSKDIDAAFRKMERFVSSTAKLYTELSDNAHQVLDQMPQWQLRNASLWNQTFDKVVLLLARAVCTIHARIQVVFESRQLYHCPPCSTSRKAVSFPGPCASSPGRFLMECLSLSSSNSPSLNDVFDEENFDKESNVSREEKCGKNESLWFGPKSSLTMLASSSTVGGSALALHYSNVIIIIEKLMQYPHLIGEEARDDLYLMLPSSLRITLRKSLKSYVKNLAIYDAPLAHGWKEKMKSILSWLAPMAHNMVQWQMERNFEQQQIVLRSNVLLLETLYFADREKTESAICELLVGLNYMCRYEHQKNALFDCSSSLNFDNFIGWKGQC >DRNTG_30704.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1763986:1765685:1 gene:DRNTG_30704 transcript:DRNTG_30704.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPSPAPSGDENVVGILSFEVAAAMSRAVHLHRSLSDADISRLRADTLSSPGVRTLVSSDENYLLSLSLAEKIDALNRIAAVTARLGRRCRLPTLSGFEHIYSDLLSGRIHPSRLAFLSKDIDAAFRKMERFVSSTAKLYTELSDNAHQVLDQMPQWQLRNASLWNQTFDKVVLLLARAVCTIHARIQVVFESRQLYHCPPCSTSRKAVSFPGPCASSPGRFLMECLSLSSSNSPSLNDVFDEENFDKESNVSREEKCGKNESLWFGPKSSLTMLASSSTVGGSALALHYSNVIIIIEKLMQYPHLIGEEARDDLYLMLPSSLRITLRKSLKSYVKNLAIYDAPLAHGWKEKMKSILSWLAPMAHNMVQWQMERNFEQQQIVLRSNVLLLETLYFADREKTESAICELLVGLNYMCRYEHQKNALFDCSSSLNFDNFIGWKGQC >DRNTG_30704.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1763986:1765756:1 gene:DRNTG_30704 transcript:DRNTG_30704.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPSPAPSGDENVVGILSFEVAAAMSRAVHLHRSLSDADISRLRADTLSSPGVRTLVSSDENYLLSLSLAEKIDALNRIAAVTARLGRRCRLPTLSGFEHIYSDLLSGRIHPSRLAFLSKDIDAAFRKMERFVSSTAKLYTELSDNAHQVLDQMPQWQLRNASLWNQTFDKVVLLLARAVCTIHARIQVVFESRQLYHCPPCSTSRKAVSFPGPCASSPGRFLMECLSLSSSNSPSLNDVFDEENFDKESNVSREEKCGKNESLWFGPKSSLTMLASSSTVGGSALALHYSNVIIIIEKLMQYPHLIGEEARDDLYLMLPSSLRITLRKSLKSYVKNLAIYDAPLAHGWKEKMKSILSWLAPMAHNMVQWQMERNFEQQQIVLRSNVLLLETLYFADREKTESAICELLVGLNYMCRYEHQKNALFDCSSSLNFDNFIGWKGQC >DRNTG_20259.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10712687:10715417:1 gene:DRNTG_20259 transcript:DRNTG_20259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHALIPFIPLRLLTASTLCPVPPDLITRRRYRWRQTPSKNQCANSSHPSSSSQTNGKVSL >DRNTG_31291.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1168057:1173965:1 gene:DRNTG_31291 transcript:DRNTG_31291.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLERHLPIPASEGLNELKKIAVRFEEKIYTAAMSQIDYLRKISLKMLSMEIKTQNPAAINQPSLNSAGGNQSNVDPEMDGGSWRPVGQGDAGGDPPAGDWRTQLLPEAYLEQDNENFGEAFTDALI >DRNTG_30208.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:17938:19337:1 gene:DRNTG_30208 transcript:DRNTG_30208.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to ATCAX5; calcium:cation antiporter/ cation:cation antiporter [Source: Projected from Oryza sativa (Os02g0138900)] MFAMKDKLDISLGVAIGSSTQIAMFGIPFCVIIGWIMGIPMDLNFQLFETATLFITVIVVAFMLQDGTSNYFKGLMLILCYLIVAASFYVHVDPQSIGEPPGV >DRNTG_04532.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3791338:3796252:-1 gene:DRNTG_04532 transcript:DRNTG_04532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLSLHRLSKAHGPIIHLTLGEIPTIVVSSSRLAREVLRTHDLPMSSRPQMYSAFQLFYGCTDIAFSPYGPYWRQIRKLSIVELLSAKRVESYSIFRSAEVERLVQRIESSSGSNLNLSKALGLYANGVLCRAAFGKDFLEGGSMRGMGFRGCWRSTRNCLEGFSLRDFFPSLEWINSVNGMKRRLKHTFMRFDVLFDEIIRDHLERKERIKGNSNIDDDEQDDKQDKMEAKDLVDVLLDVQNGTGLEMPLTMDNIKAVILDMFAAGTDTTFITLDWGMTELIMNPRVMKKAQEEVRSRVGNRKFVLESDLPHLPYLKAVIKEIFRLHPPAPLLVPRESMEQVTIEGYQIPAKNKSVHQCMGNWKDPESWENPQVFEPERFMNTSIDFKGQDFELIPFGAGRRGCPAITFGVVTIEIALAQLLHGFDWELPPGIVAEDLDMKEAFGITMHRIQELVVVAKSYFI >DRNTG_10438.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5738266:5745741:1 gene:DRNTG_10438 transcript:DRNTG_10438.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAKPGRRKPNESMRLVITTVIGVVFGFLVGFSFPTDRITKFMNSGITSQVGLNNTQNGKINHDGLDVNDSLKFNAPKNPRGAESLPHGIVVSESDFYMRRLWGAPSEDLTIQQKYLVAFAVGYDQKNNINAAIKKFSENFTIVLFHYDGRVSEWDEFEWSKRAIHVSAMKQTKWWYAKRFLHPDIVAPYEYIFIWDEDLGVEDFNAEEYIKLVKKHNLEISQPGLEQNHYITWEMTKKKNGHEIHKLTNEKPGWCKDPHLPPCAAFVEIMAPVFSRDAWRCVWHLIQNDLVHGWGLDFALHKCIAPPAHDKIGVVDAQWIVHQVLPSLGNQGHSENGRAPWEGVRERCHREWGMFRNRMEDAEKAMRTSPLNTTAA >DRNTG_10438.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5740801:5744877:1 gene:DRNTG_10438 transcript:DRNTG_10438.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLWGAPSEDLTIQQKYLVAFAVGYDQKNNINAAIKKFSENFTIVLFHYDGRVSEWDEFEWSKRAIHVSAMKQTKWWYAKRFLHPDIVAPYEYIFIWDEDLGVEDFNAEEYIKLVKKHNLEISQPGLEQNHYITWEMTKKKNGHEIHKLTNEKPGWCKDPHLPPCAAFVEIMAPVFSRDAWRCVWHLIQNDLVHGWGLDFALHKCIAPPAHDKIGVVDAQWIVHQVLPSLGNQ >DRNTG_10438.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5738266:5745741:1 gene:DRNTG_10438 transcript:DRNTG_10438.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAKPGRRKPNESMRLVITTVIGVVFGFLVGFSFPTDRITKFMNSGITSQVGLNNTQNGKINHDGLDVNDSLKFNAPKNPRGAESLPHGIVVSESDFYMRRLWGAPSEDLTIQQKYLVAFAVGYDQKNNINAAIKKFSENFTIVLFHYDGRVSEWDEFEWSKRAIHVSAMKQTKWWYAKRFLHPDIVAPYEYIFIWDEDLGVEDFNAEEYIKLVKKHNLEISQPGLEQNHYITWEMTKKKNGHEIHKLTNEKPGWCKDPHLPPCAAFVEIMAPVFSRDAWRCVWHLIQNDLVHGWGLDFALHKCIAPPAHDKIGVVDAQWIVHQVLPSLGNQGHSENGRAPWEGVRERCHREWGMFRNRMEDAEKAMRTSPLNTTAA >DRNTG_10438.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5742129:5745741:1 gene:DRNTG_10438 transcript:DRNTG_10438.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTKWWYAKRFLHPDIVAPYEYIFIWDEDLGVEDFNAEEYIKLVKKHNLEISQPGLEQNHYITWEMTKKKNGHEIHKLTNEKPGWCKDPHLPPCAAFVEIMAPVFSRDAWRCVWHLIQNDLVHGWGLDFALHKCIAPPAHDKIGVVDAQWIVHQVLPSLGNQGHSENGRAPWEGVRERCHREWGMFRNRMEDAEKAMRTSPLNTTAA >DRNTG_10438.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5739584:5745741:1 gene:DRNTG_10438 transcript:DRNTG_10438.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVITTVIGVVFGFLVGFSFPTDRITKFMNSGITSQVGLNNTQNGKINHDGLDVNDSLKFNAPKNPRGAESLPHGIVVSESDFYMRRLWGAPSEDLTIQQKYLVAFAVGYDQKNNINAAIKKFSENFTIVLFHYDGRVSEWDEFEWSKRAIHVSAMKQTKWWYAKRFLHPDIVAPYEYIFIWDEDLGVEDFNAEEYIKLVKKHNLEISQPGLEQNHYITWEMTKKKNGHEIHKLTNEKPGWCKDPHLPPCAAFVEIMAPVFSRDAWRCVWHLIQNDLVHGWGLDFALHKCIAPPAHDKIGVVDAQWIVHQVLPSLGNQGHSENGRAPWEGVRERCHREWGMFRNRMEDAEKAMRTSPLNTTAA >DRNTG_10438.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5738266:5745741:1 gene:DRNTG_10438 transcript:DRNTG_10438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVITTVIGVVFGFLVGFSFPTDRITKFMNSGITSQVGLNNTQNGKINHDGLDVNDSLKFNAPKNPRGAESLPHGIVVSESDFYMRRLWGAPSEDLTIQQKYLVAFAVGYDQKNNINAAIKKFSENFTIVLFHYDGRVSEWDEFEWSKRAIHVSAMKQTKWWYAKRFLHPDIVAPYEYIFIWDEDLGVEDFNAEEYIKLVKKHNLEISQPGLEQNHYITWEMTKKKNGHEIHKLTNEKPGWCKDPHLPPCAAFVEIMAPVFSRDAWRCVWHLIQNDLVHGWGLDFALHKCIAPPAHDKIGVVDAQWIVHQVLPSLGNQGHSENGRAPWEGVRERCHREWGMFRNRMEDAEKAMRTSPLNTTAA >DRNTG_27108.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14533294:14535611:1 gene:DRNTG_27108 transcript:DRNTG_27108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGTMPPKPPKWKGSCRFRNKTLCNNKLIGAIAFRGSRRPSPKDSRKDGHGTHVAGIAAGSFVDNADVLGLAKGTASGTAPKAHLAIYRACTSKTCDDADIVAAIEEAIKNGVDILSLSLGQESIPFYDDDTLIAALSAVRAKIFVCMAAGNLGPRLKSVENGAPWILTVGASTHDRRASATVRLGNGVEVEGESGYQPSTFNAAGNIIFPGFRGQNGTLGCKKDSFNNIDIKGKIVLCSIEIGKFRDMSINVKEAGGVGMIVLDTFVQGSTTFSDDYVLPTAHVNYTEAWKIVSYFKSANSIATAIIAFNGTKFGARPSPIPCFFVLKRSTCI >DRNTG_29307.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29617126:29621121:-1 gene:DRNTG_29307 transcript:DRNTG_29307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSLLFFIWWLCLRWGPCAGLSDEGIALMRFRERVELDPYGALSGWGGDGGGGENPCEWVGVGCSDGRVVDLNLSNLRLKGTLTPELGKLIQLTSLVLHNNSFYGVIPREIAELQNLKVLDLGHNNLSGLIPSELSSVMSLEILILRDNMFVGDLPTKLQQLNIMSELEVDREMLLSNKAYITRNARKGTMRKLLHRGGKAVAPPAPSPSPSPAPAPSPNHKNRHSLAKKHHKNRHSSPLVSPSLSPSLSPNLSQSPVNKSLAPSPVNEQPVYSLQKHPDSHASSSSPSPTSHSGKSHSSSLVIYMPIAGGFSFLLAISAIYFLCFRTNKVGTVRPWSTGLSGQLQKAFMADGVPALRRSELEAACEDFSNVIGTLSDWKVYKGTLSNGVEIAVASSMITSAKDWSKDSESQLRNKISTLSRLNHKNFMNLLGYCEEEVPFTRIMVFEYAPNGTLFEHLHIKEAEHLDWAARLRIAMGIAYCLEHMLQLEPPFVPRNLNSSSIYLTEDYAAKVSDCVCLNQTKEANSAQDNSDLTNIVYKFGILLLEILSGRRPFSEDDDLLVCWASCYFTGKRPLKDMLDTTLTSFRENDLGAFSEVLRSCINPDPSKRPTMSEVTKSLRQITSISPDAASPRLSPLWWAELEILAT >DRNTG_28355.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001433.1:48801:51395:-1 gene:DRNTG_28355 transcript:DRNTG_28355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKLVLLLVFLSAVGSGGVSISRHIKRLNKPAVKSIKSPDGDVIDCVHISLQPAFDHPFLKDHTIQMIPSFHPDGLYDVNKVSSESISQLWHANGRCPENTVPIRRTKREDVLRASSVKRFGRKKQLNLKHPMSAEPDLVNQSGHQHAIAYVEGGKYYGTKATINVWNPQIQQHNEFSLSQLWILGGTFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLFCSGFIQISSEIAMGASISPISNSGGSQYDISLLVWKDPKEGHWWLQFGDKYVLGYWPSFLFSYLADSASMIEWGGEVVNSDAGGQHTTTQMGSGLFPEDGFGKASYFRNIQVVDESNNLKPPKGMGTFTEQSNCYDVQIGISGDWGHYFYYGGPGRNPNCP >DRNTG_23861.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20879095:20880461:1 gene:DRNTG_23861 transcript:DRNTG_23861.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREATLYIYSCCFICFSTACGGCFLYRLVHDKRQQGMGYIVQYLFEKLTWMQ >DRNTG_02726.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19485204:19490420:-1 gene:DRNTG_02726 transcript:DRNTG_02726.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVEFEPAPQWSATILKADEHSLERNSKTRDIFKPPVLILAGGGIYNYLYQLKHASHESGHTRIDGSNILGKLQITWRTNLGESGRLQTQQILGTPVAHKDIDLRIVEVPAVVILERPFLLHLVLTSQTDKTVGPFEVFISERDSGEEKFVMVNGIQKLVLPPLESFGSTNFDMNMIATRLGVQRITGITIFDTKEKKAYDPLPDLEIFVDAV >DRNTG_02726.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19485204:19490420:-1 gene:DRNTG_02726 transcript:DRNTG_02726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQSLAFRVMRLCRPSFQAEDALLRLHPADLLAGEDLLDVSSLPPSLLDPRGGADFSFRDRFQLENPTDAMALSGLLVLPQSFGAIYLGETFCSYISINNSSSFEARDIIIKAEIQTEKQRILLLDTSKTPVESIRAGGRYDFIVEHDVKELGPHTLVCTALYNDGDSERKYLPQFFKFVVANPLSVRTKVQFTIFSKQMTGKMYLQPMALFLKHDTEFKSNSLKM >DRNTG_02726.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19485204:19490420:-1 gene:DRNTG_02726 transcript:DRNTG_02726.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSCNLSLTSYYFFFFVAPSNTYSFFFVQVRTVKENTFLEACIENHTKSNLYMDQVEFEPAPQWSATILKADEHSLERNSKTRDIFKPPVLILAGGGIYNYLYQLKHASHESGHTRIDGSNILGKLQITWRTNLGESGRLQTQQILGTPVAHKDIDLRIVEVPAVVILERPFLLHLVLTSQTDKTVGPFEVFISERDSGEEKFVMVNGIQKLVLPPLESFGSTNFDMNMIATRLGVQRITGITIFDTKEKKAYDPLPDLEIFVDAV >DRNTG_02726.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19489251:19490420:-1 gene:DRNTG_02726 transcript:DRNTG_02726.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQSLAFRVMRLCRPSFQAEDALLRLHPADLLAGEDLLDVSSLPPSLLDPRGGADFSFRDRFQLENPTDAMALSGLLVLPQSFGAIYLGETFCSYISINNSSSFEARDIIIKAEIQTEKQRILLLDTSKTPVESIRAGGRYDFIVEHDVKELGPHTLVCTALYNDGDSERKYLPQFFKFVVANPLSVRTKVQFTIFSKQMTGKMYLQPMALFLKHDTEF >DRNTG_02726.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19489228:19490420:-1 gene:DRNTG_02726 transcript:DRNTG_02726.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQSLAFRVMRLCRPSFQAEDALLRLHPADLLAGEDLLDVSSLPPSLLDPRGGADFSFRDRFQLENPTDAMALSGLLVLPQSFGAIYLGETFCSYISINNSSSFEARDIIIKAEIQTEKQRILLLDTSKTPVESIRAGGRYDFIVEHDVKELGPHTLVCTALYNDGDSERKYLPQFFKFVVANPLSVRTKVQFTIFSKQMTGKMYLQPMALFLKHDTEFKSNSLKM >DRNTG_02726.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19485204:19490420:-1 gene:DRNTG_02726 transcript:DRNTG_02726.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQSLAFRVMRLCRPSFQAEDALLRLHPADLLAGEDLLDVSSLPPSLLDPRGGADFSFRDRFQLENPTDAMALSGLLVLPQSFGAIYLGETFCSYISINNSSSFEARDIIIKAEIQTEKQRILLLDTSKTPVESIRAGGRYDFIVEHDVKELGPHTLVCTALYNDGDSERKYLPQFFKFVVANPLSVRTKVRTVKENTFLEACIENHTKSNLYMDQVEFEPAPQWSATILKADEHSLERNSKTRDIFKPPVLILAGGGIYNYLYQLKHASHESGHTRIDGSNILGKLQITWRTNLGESGRLQTQQILGTPVAHKDIDLRIVEVPAVVILERPFLLHLVLTSQTDKTVGPFEVFISERDSGEEKFVMVNGIQKLVLPPLESFGSTNFDMNMIATRLGVQRITGITIFDTKEKKAYDPLPDLEIFVDAV >DRNTG_08444.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21349145:21353204:-1 gene:DRNTG_08444 transcript:DRNTG_08444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDDENSIYVGGIPYECTDDDLRAAFDIYGAIVAVKIINDRDVGGKCYGFVTFTNPRSAVDAINDMDGRAIGGRTVRVNEVRTRGAGRPGFHRDRFRREGEWVRDRGREHNRYRDRNAERSRDRDREFVRGGREHPLDRVRERDEHRERDWDVDWDRDREADRGKDRDVSRDGNGEPQPKNRFNASHVDGHRSRETSSNSSDEYDDQLKEQLEMSRCKQEELQKELTLIEEKVEEKEKLVSDLQKRSEKLEGALASVKKLSSQRQSLLTNFVRCYMQSKEFKERLKGCEEELQSLFDTAMAEVNGVESFGGRDDSSYPNGKL >DRNTG_33253.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3556273:3558073:-1 gene:DRNTG_33253 transcript:DRNTG_33253.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSTIRYAIKKLLGLREKNDQCFSEAISQQKEILRTQSEQLIKVLESCGWDTIACNGGVSMVAKPTAYLGNILKIEGFEAKLDDFNFREAIFRATGLCINSGSWTGIPGYCRFSIALESS >DRNTG_33735.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32315902:32318018:-1 gene:DRNTG_33735 transcript:DRNTG_33735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSLKPNPVNKKLLFCIWASLLSGCVFFFLYLDKGRMTGSTFFFLASFSIVDHSNTSLSSFSPSSLAMSPQYSSTTSTGTAIMPLVSAAPSPMKEGPIALPPQRSEAKSSMVTLDGNQEEHCDIFEGRWVYDKKRYPLYHARQCPFLSDQVSCRRNGRPDSDYEHWRWVPRGCEIPRFNGSDMLERLRGKRVIIVGDSLNRNQWESLSCLLYTSTRPSRVLVKAKGSEYKIFRALDYGCTVEFFWSPFLVKLEEIKGGNKKVLKIDRLHGFAKRWRGADIMVFNTGHWWTHTGKTRAWDYFEKKGKLVEEMNGDEAFSTALRVWARWVDQVVDSTKTTVFFRSVSPEHKLENNHWCYNQTTPITNETYIQRFPRSMVSIVEETIQKMKTPVKYLNITRLSEYRRDAHTVIYTSRQGNVLTMDQQMHPERFADCSHWCLPGLPDIWNELIYVSIVGLN >DRNTG_33735.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32316900:32318018:-1 gene:DRNTG_33735 transcript:DRNTG_33735.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSLKPNPVNKKLLFCIWASLLSGCVFFFLYLDKGRMTGSTFFFLASFSIVDHSNTSLSSFSPSSLAMSPQYSSTTSTGTAIMPLVSAAPSPMKEGPIALPPQRSEAKSSMVTLDGNQEEHCDIFEGRWVYDKKRYPLYHARQCPFLSDQVSCRRNGRPDSDYEHWRWVPRGCEIPRFNGSDMLERLRGKRVIIVGDSLNRNQWESLSCLLYTSTRPSRVLVKAKGSEYKIFRAL >DRNTG_33735.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32315902:32316803:-1 gene:DRNTG_33735 transcript:DRNTG_33735.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNTGHWWTHTGKTRAWDYFEKKGKLVEEMNGDEAFSTALRVWARWVDQVVDSTKTTVFFRSVSPEHKLENNHWCYNQTTPITNETYIQRFPRSMVSIVEETIQKMKTPVKYLNITRLSEYRRDAHTVIYTSRQGNVLTMDQQMHPERFADCSHWCLPGLPDIWNELIYVSIVGLN >DRNTG_03371.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30144626:30147844:1 gene:DRNTG_03371 transcript:DRNTG_03371.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFSADIALFVLFLSLILLGSHSDGSQSSVKLPWNLRDDSTDCSDEDKAGTKWAVLVAGSRGYLNYRHQADVCHAYQILKKGGLKDENIIVFMYDDIAHNKENPRRGIIINKPEGDDVYAGVPKDYTGDHVNAGNFYAVLLGNKSALTGGSGKVVDSGPDDHIFVYYTDHGGLGSLEMPTSRSVYADELMAVLKEKHASGSFKSLVFYLEACESGSIFEGLLPKNIGIYATTASNALEDSFATYCDVGGYNTCLGDLYSVSWMEDSDEHNLNSETLEQQYKVVKKRTLPKNGSDDGSHVMRYGDLHLSKDVLSLYFGSKRANDSETSIHNNPFPLISKSVNQRDADLNQLWHKFQMSPEGSTEKLEVQKQLVDIMSYRLHIDNSIELIGRLLFGSEKGLKMLKIVQPSGQPLVDDWDCLKSMVRTFETHCGSLSQYGMKHMRAFANICNAGIRTETMTEVAAQACIAFLDNPWSSIHRGFSA >DRNTG_29773.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1937807:1938242:1 gene:DRNTG_29773 transcript:DRNTG_29773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTCSSPVELRNSKLRLMVVECSSIFALDLSLKSFLASQRFHTIAFITKTPNSLQIGLLCQGHYKKR >DRNTG_02771.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1261890:1264340:-1 gene:DRNTG_02771 transcript:DRNTG_02771.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPTPMPTPTQTPRPIPTPTLTPALNHESSPSSSKYSALE >DRNTG_02771.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1261890:1264340:-1 gene:DRNTG_02771 transcript:DRNTG_02771.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPTPMPTPTQTPRPIPTPTLTPALNHESSPSSSKYSALE >DRNTG_02771.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1261890:1264340:-1 gene:DRNTG_02771 transcript:DRNTG_02771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPTPMPTPTQTPRPIPTPTLTPALNHESSPSSSKYSALE >DRNTG_33799.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2534435:2543792:1 gene:DRNTG_33799 transcript:DRNTG_33799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQPTRSATRPTTSVEASASSGSLPIRVPTFHASAQGPWHCVVAYDACVRLCLHSWARGCMEAPIFLENECALLRSAFGLKQILLQSEEELLAKQSSELTSEGAAPKPKKTIGKLKVQVRKVRMSLDMPSGCSLSSCKSPSVNLESLRYRMSNFQSTLSSGWESLRKIRVLHRLPANSSFSRHSLAYVRASTQYIKQVSALLKTGVTALRNSSSYEIVQETYSCLLRLKSLTEEGAVKMQPGSGESHLFFPDSLGDDLIIEVYDSKGKLHGRVIAQLASIAENPNDKLRWWSIYREPDHELIGRIQLYANYTTCLDENNLKCGSVAETVAYDIALEVAMKVQQFQQRHLLLHGEWKWLLTEFASYFGVSDAYTKLRYLSYVMDVATPTADCLILVHDLLLPVIMKSRGKNTLSHQENRILGEIEEQIEQILALVFENYKSLDESLPSGMVEVFRPASGSPAPALAPAVKLYTLLHDILSPEAQLKLCGYFQSAVKKRSRRHLVETDEFVASSNTEGSLMDVVTLSTAYQKMKTLCFNIRNEIFTDIEIHNQHVLPSFIDLPNLAASIYSVELCSRLRAFLVSCPPSGPSPPVAELVIATADFQKDLTNWNISPVKGCVDAKELFHLYIVLWIQDKRLSLLESCRLDKVKWSGVRTQHLTTPFVDEMYDRLKETLSEYEVIICRWPEYTFVLENAIADIEKAVVETLEKQYADVLTPLKDSMTPKKFGLKYVQKLAKRNSLCPYTASDELGIVLNSMKRLLDVLWPKIDMQLKSWGSCIPDGGSNVAGERLSEVTVTLRAKFRNYLQAVVEKLAENTRLQNGTKLKKIIQDSKDVIAESDIRSRMQPLRELLTQTINQLHTVFEVHVFVMVCRGYWDRMGQDVLNFLENRKENRSWYKASRVTVAVLDDTFASQMQQLLGNALQEKDLEPPRSIMEVRSVLCKDAPSHKDSSFYY >DRNTG_32771.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3781685:3789533:-1 gene:DRNTG_32771 transcript:DRNTG_32771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDRAEDYLIDDSGMGSDGELHRAIEILCELPPTSGVQMGDVYIGDGAIQQMDSSNRLDIGIIYGGPCNLEQTNSGNMGLAYRDACAVEHTNAGTRAMDEDCSRSKSKACREKLRRDKLNDRFLELSSALEPGRPPKSDKTSILSDAACVLEQLKAEAQELKTANEKLQETINDLKAEKNELRDEKTRLKEEKEKLEQQVKSMSMPPVGYMPHPVVIHPYAMAPGFAPGAPSPANKPGSFAAFPSMPMWQWMPSAFVDTTQDAKLWPPNA >DRNTG_32771.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3781685:3782589:-1 gene:DRNTG_32771 transcript:DRNTG_32771.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDCSRSKSKACREKLRRDKLNDRFLELSSALEPGRPPKSDKTSILSDAACVLEQLKAEAQELKTANEKLQETINDLKAEKNELRDEKTRLKEEKEKLEQQVKSMSMPPVGYMPHPVVIHPYAMAPGFAPGAPSPANKPGSFAAFPSMPMWQWMPSAFVDTTQDAKLWPPNA >DRNTG_32771.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3781685:3782409:-1 gene:DRNTG_32771 transcript:DRNTG_32771.3 gene_biotype:protein_coding transcript_biotype:protein_coding RFLELSSALEPGRPPKSDKTSILSDAACVLEQLKAEAQELKTANEKLQETINDLKAEKNELRDEKTRLKEEKEKLEQQVKSMSMPPVGYMPHPVVIHPYAMAPGFAPGAPSPANKPGSFAAFPSMPMWQWMPSAFVDTTQDAKLWPPNA >DRNTG_10025.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2973020:2980192:1 gene:DRNTG_10025 transcript:DRNTG_10025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCDFGLARRLSDIEKNSLSMLPQSKRGTPCYMAPELFQDGGVHSYASDFWALGCVLYECYAGIPPFVGNEFTQLVKSILSDPTPPLPDKPTSSFGNLINRLLEKDPAERMQWPELCEHSFWRTKFSSVPLPPQPAFVNMLQPVKPCLSERNGDRSVQQRTPPKKRENNINGTSKHDENSNSLRKVYETPAKNVQSGRKTHAKSSGRVEDKQKEAPNTAKGVNLLRLSRMAKLNLQRENEKENYRRVLPKASENEAEVKIVNNDMELDFSENPEDDAPDESDGSDNPGCGLGENLSNQNVSEKIEEADPAMNQLDTSENISFVPDSLKKLEQDQCLEHIEVAATPPSANVQRKALRGKAANGSAHDAEPGSSNNLQEFWHLSDLSVKPVMPSRKGDKALDATHSLPFEILLASDYVKLPPEQLNVYSSRIIHSLSGTSHVTDKQNVLRYLEMLSCSADAANIITNGPIMLLLIKMFRLSKTSSLRAQLASVMALLIRHSTYIEADVANSGIVNALTDGLRDKQDKVRRFSMAALGELLFYISTQSDQDLKDSNALESPSKDSRSSTGWQVSSSVFALVSSILRKGEDDVTQLYALRTIENVCSQGAEWSSRFATQDVIANLCYIYKAIGKQESTKLIAGSCLVRIARFNPPSIQMVFEKLSFKDTVSAIIKGSPREQQISLNLLNMAMLMSHMITNMGRHLLSLVEEKNLVPGLISLIEQGSEVLRGKALIFVALLCKNSRRWLPHFLCNAKLFSAVDRLGKEKDGFIQQCMESFLQLVSTTVPGILDTVSGDIQQMMGGKRHGPLAGLAGRGNPKNSTAHLFPVILHLLGSSAFKHRVVNNQVLLQLANLIRLLESPFQGRDDYQLTLLRILEAVTEDPPVIVNDLNVFTSRILPSLVSLYKGNKDDDARFLCLKILFDVMVVILNDKPNTSADDDEQIMKDLRSITQAHFLPLYPILIEDEDPIPMYAQKLLLMLIEFNYVKVSDILHMKTVSQCFEFLLGDLSNANVNSVKLCLAMTSAPEMDTKILSHLRVVRKIGNLLEFVYAKDMEDLLEPTLGLCKAFILRGIGSNKGIAYSKDPALLGNIAFDMTIAVDQQHCINDISDFGSNVAVFLDLIGKLETEISDLASECVVLLLKAAPREATIGLLTNLPKIYTLLESMHQHLSGIQLLRLLYGFAFSCRQYLSQAMIISLAVSTIKKIEVLISGLQNSKIPGVAEAARSLSLELQRLPRV >DRNTG_10025.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2975339:2980192:1 gene:DRNTG_10025 transcript:DRNTG_10025.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQSKRGTPCYMAPELFQDGGVHSYASDFWALGCVLYECYAGIPPFVGNEFTQLVKSILSDPTPPLPDKPTSSFGNLINRLLEKDPAERMQWPELCEHSFWRTKFSSVPLPPQPAFVNMLQPVKPCLSERNGDRSVQQRTPPKKRENNINGTSKHDENSNSLRKVYETPAKNVQSGRKTHAKSSGRVEDKQKEAPNTAKGVNLLRLSRMAKLNLQRENEKENYRRVLPKASENEAEVKIVNNDMELDFSENPEDDAPDESDGSDNPGCGLGENLSNQNVSEKIEEADPAMNQLDTSENISFVPDSLKKLEQDQCLEHIEVAATPPSANVQRKALRGKAANGSAHDAEPGSSNNLQEFWHLSDLSVKPVMPSRKGDKALDATHSLPFEILLASDYVKLPPEQLNVYSSRIIHSLSGTSHVTDKQNVLRYLEMLSCSADAANIITNGPIMLLLIKMFRLSKTSSLRAQLASVMALLIRHSTYIEADVANSGIVNALTDGLRDKQDKVRRFSMAALGELLFYISTQSDQDLKDSNALESPSKDSRSSTGWQVSSSVFALVSSILRKGEDDVTQLYALRTIENVCSQGAEWSSRFATQDVIANLCYIYKAIGKQESTKLIAGSCLVRIARFNPPSIQMVFEKLSFKDTVSAIIKGSPREQQISLNLLNMAMLMSHMITNMGRHLLSLVEEKNLVPGLISLIEQGSEVLRGKALIFVALLCKNSRRWLPHFLCNAKLFSAVDRLGKEKDGFIQQCMESFLQLVSTTVPGILDTVSGDIQQMMGGKRHGPLAGLAGRGNPKNSTAHLFPVILHLLGSSAFKHRVVNNQVLLQLANLIRLLESPFQGRDDYQLTLLRILEAVTEDPPVIVNDLNVFTSRILPSLVSLYKGNKDDDARFLCLKILFDVMVVILNDKPNTSADDDEQIMKDLRSITQAHFLPLYPILIEDEDPIPMYAQKLLLMLIEFNYVKVSDILHMKTVSQCFEFLLGDLSNANVNSVKLCLAMTSAPEMDTKILSHLRVVRKIGNLLEFVYAKDMEDLLEPTLGLCKAFILRGIGSNKGIAYSKDPALLGNIAFDMTIAVDQQHCINDISDFGSNVAVFLDLIGKLETEISDLASECVVLLLKAAPREATIGLLTNLPKIYTLLESMHQHLSGIQLLRLLYGFAFSCRQYLSQAMIISLAVSTIKKIEVLISGLQNSKIPGVAEAARSLSLELQRLPRV >DRNTG_10025.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2973020:2973409:1 gene:DRNTG_10025 transcript:DRNTG_10025.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFHVYEAIGRGKHSTVYKGRKKKTIEYFAIKSVDKSQRAKVLQE >DRNTG_34780.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002195.1:18644:22932:1 gene:DRNTG_34780 transcript:DRNTG_34780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPRNPKPSYRHWWGRSALKLDGRISSRYLHETSQLILDSYSEVITKRMSTSQQFETPSVDVGTSEASQVAAFQHPTTSLERELQTLLFQLQQSVGSLAEDIQKLKVKNFQVYISYKLYAHSN >DRNTG_33594.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:490704:492610:1 gene:DRNTG_33594 transcript:DRNTG_33594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPNSQSLAARMLVIGAGKMGKLVIKHLAFTEMLSAAAEADVVFTSTASETLLFMKEDVETLPQVSKLVGGLRLFLDISVPRNVGSCVSGVESAQVYNVDDLKEVVEANKEDRLRKAMEAESIITEELKRFEAWRDSLETVPTIKKLRSYADRIRAAELEKCFQKIGDDALTKKIRRAVDDLSNGIVNKLLHGPLQHLRCDGSDSRTLDETLENMHALNRMFSLDTEKSIIEQKIKAKVEKAQN >DRNTG_08627.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20421838:20422706:-1 gene:DRNTG_08627 transcript:DRNTG_08627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVLRKMKRARRRHRKHPKAVGDACELNKLDEPLLGSWTRPCGRFCDKRTGVGNFHARVDLCRRALLHQKNTQGCASAPV >DRNTG_27519.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21027816:21028685:1 gene:DRNTG_27519 transcript:DRNTG_27519.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAALRERQRILVKLLCNLSHSRAMGGGPRTFPGGLNKWQYKRMHEKMAREKERHLLHQEKQLYFARLRSEIRAKIGGKQPPPSDDASGTGPMSSKDHIKALADRFMKAGAEDLWNKDDGPLFSSSQRPPTRQSDRDGPARFEADRF >DRNTG_27519.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21027816:21028434:1 gene:DRNTG_27519 transcript:DRNTG_27519.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAALRERQRILVKLLCNLSHSRAMGGGPRTFPGGLNKWQYKRMHEKMAREKERHLLHQEKQLYFARLRSEIRAKIGGKQPPPSDDASGTGPMSSKDHIKALADRFMKAGAEDLWNKDDGPLFSSSQRPPTRQSDRDGPARFEADRF >DRNTG_27519.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21027685:21028685:1 gene:DRNTG_27519 transcript:DRNTG_27519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAALRERQRILVKLLCNLSHSRAMGGGPRTFPGGLNKWQYKRMHEKMAREKERHLLHQEKQLYFARLRSEIRAKIGGKQPPPSDDASGTGPMSSKDHIKALADRFMKAGAEDLWNKDDGPLFSSSQRPPTRQSDRDGPARFEADRF >DRNTG_11715.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:539813:542983:-1 gene:DRNTG_11715 transcript:DRNTG_11715.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLRRKSILPMYEELATKYNVDYIAGGATQNTIRVAQWMLQIPGATSYMGSIGKDKFGEEMKKNSKAGGVNVQYYEDETAPTGTCAVCVVGGERSLIANLSAANCYKSEHLKRPENWALVEKAKYIYIAGFFLTVSPESIQLVAEHAAAHNK >DRNTG_11715.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:538878:542983:-1 gene:DRNTG_11715 transcript:DRNTG_11715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLRRKSILPMYEELATKYNVDYIAGGATQNTIRVAQWMLQIPGATSYMGSIGKDKFGEEMKKNSKAGGVNVQYYEDETAPTGTCAVCVVGGERSLIANLSAANCYKSEHLKRPENWALVEKAKYIYIAGFFLTVSPESIQLVAEHAAAHNKVFSMNLSAPFICEFFRDAQEKALPYVDYIFGNETEARTFSKVRGWETENVEEIAVKISALPKASGTHKRITVITQGSDPVVVAEDGKVKLFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKSIEECVRAGCYAANVIIQRSGCTYPEKPDFN >DRNTG_11715.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:538878:541308:-1 gene:DRNTG_11715 transcript:DRNTG_11715.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIPGATSYMGSIGKDKFGEEMKKNSKAGGVNVQYYEDETAPTGTCAVCVVGGERSLIANLSAANCYKSEHLKRPENWALVEKAKYIYIAGFFLTVSPESIQLVAEHAAAHNKVFSMNLSAPFICEFFRDAQEKALPYVDYIFGNETEARTFSKVRGWETENVEEIAVKISALPKASGTHKRITVITQGSDPVVVAEDGKVKLFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKSIEECVRAGCYAANVIIQRSGCTYPEKPDFN >DRNTG_29364.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15053517:15054057:-1 gene:DRNTG_29364 transcript:DRNTG_29364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALADASFDAAYSIEATCCAPKLEDKNYGNDIFKKQDRCLMQSDQLQQQEEPSPVEGANDSISSDRDAHGDETGEISNLVKVEHKQPSICKDGFFMYLSALSACLATVICSFKQPALRPLGSSADDGA >DRNTG_32150.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15454526:15454938:-1 gene:DRNTG_32150 transcript:DRNTG_32150.1 gene_biotype:protein_coding transcript_biotype:protein_coding NVYAPKCNNAHASSSLYSKRTRTPAGYDPCYSVYAEEYFNRVDVQRAMHASFINNGKWKVCNESILDIYNNTVSSVLPIYSK >DRNTG_11650.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:123254:123477:-1 gene:DRNTG_11650 transcript:DRNTG_11650.5 gene_biotype:protein_coding transcript_biotype:protein_coding DPTQIQAVKEALHVASAPYNIVCREDEQKSILDFCKTCIEQDKSGSLYVCGCPGTGKSLSVKKVKELLVLWAKE >DRNTG_11650.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:122264:123025:-1 gene:DRNTG_11650 transcript:DRNTG_11650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIIVDEMDYLRTRDHQVLHDLFMLSTLPYSQCILIGMFL >DRNTG_11650.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:120136:123202:-1 gene:DRNTG_11650 transcript:DRNTG_11650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIIVDEMDYLRTRDHQVLHDLFMLSTLPYSQCILIGIANAIDLADRFLPRLESLNCKPNVVAYCAYSKDQILKIIQQRLIALGYDIFEPIALEFCARKVAAASGDMRKALDVCRSAIEVFEAELRLATNKEELKIVRFDHMDIALSRAFKPLIVDTIQSLPQHQQIILCSVVKFFWQCKKNATTLGELNRSYSEICKDSHIPPVGMLEFTNMCRALSDQGLLNVGQSKEIKQKRVTLQIDGSDVRFALKVLTNAYLVTNLLIFIPVKFS >DRNTG_11650.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:119486:123477:-1 gene:DRNTG_11650 transcript:DRNTG_11650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIIVDEMDYLRTRDHQVLHDLFMLSTLPYSQCILIGIANAIDLADRFLPRLESLNCKPNVVAYCAYSKDQILKIIQQRLIALGYDIFEPIALEFCARKVAAASGDMRKALDVCRSAIEVFEAELRLATNKEELKIVRFDHMDIALSRAFKPLIVDTIQSLPQHQQIILCSVVKFFWQCKKNATTLGELNRSYSEICKDSHIPPVGMLEFTNMCRALSDQGLLNVGQSKEIKQKRVTLQIDGSDVRFALKEIRFFNNCLESYNK >DRNTG_11650.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:119486:124352:-1 gene:DRNTG_11650 transcript:DRNTG_11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSKIPSFSSASELGSAVVDDLEIAGRCQGSSTSRKRSRSQSALRSSIKNVPSPAKRIPPRRSSNAIVDSKGEIKLDLQQCCDDSNGEPMVVSSPKILREERSSDPCFQRSTWNPRDPTQIQAVKEALHVASAPYNIVCREDEQKSILDFCKTCIEQDKSGSLYVCGCPGTGKSLSVKKVKELLVLWAKETPEVLSINCTLLTKASEIFSKVIEKFHPHLRNNSCSPLQDLQKLYSQKRQLSSGKMMMIIVDEMDYLRTRDHQVLHDLFMLSTLPYSQCILIGIANAIDLADRFLPRLESLNCKPNVVAYCAYSKDQILKIIQQRLIALGYDIFEPIALEFCARKVAAASGDMRKALDVCRSAIEVFEAELRLATNKEELKIVRFDHMDIALSRAFKPLIVDTIQSLPQHQQIILCSVVKFFWQCKKNATTLGELNRSYSEICKDSHIPPVGMLEFTNMCRALSDQGLLNVGQSKEIKQKRVTLQIDGSDVRFALKEIRFFNNCLESYNK >DRNTG_22427.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23332582:23334609:1 gene:DRNTG_22427 transcript:DRNTG_22427.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDVESGREHGSEYSGKDYRDPPPAPLIDMEELRKWSFYRAVIAEFIATLLFLYITVATVIGYKHQTDTKLGNPDALCSGVGVLGISWSFGGMIFILVYCTAGISGGHLNPAVTFGLFLSRKVSLIRALLYMVAQCLGAICGVGLVKGFQKAFYMRYGGGANELSTGYSRGTGLAAEIIGTFVLVYTVLSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVVYNQDKAWDDQILLALIIIIIIIIIMLLILFFIH >DRNTG_12474.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000553.1:8331:9262:1 gene:DRNTG_12474 transcript:DRNTG_12474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRSEDTSSLQHQVQAVAPFVLQQYTADAVQSMLSVISSAISMLTNQKTRDLIM >DRNTG_22151.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13761972:13762567:1 gene:DRNTG_22151 transcript:DRNTG_22151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPRSAPKQTRIPTPRNVYMNHGLGIAKDGKPT >DRNTG_09291.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:862168:865043:-1 gene:DRNTG_09291 transcript:DRNTG_09291.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCFAPKSLKLIHQSTAIIREALKNHNFLTSLQCGHLLQALTASKCFKKALQLHAHMITCGVLIQNTYLNTKLCAMYAASGNMPAARVIFDGIVLKSSFLWNVMVRGYACNNCSLDSLVLYLDMLSFGRRADNFTYPFVLMACGHLLLVEVGERIHGEVVVSGFESDVYVANSLVSMYSKFGQMGIARNLFDRMPQRDLTSWKTMLSGYVKNGYPDVALSLLSLLSVSDVRMDRATLVGVLPACAALGAVKQGKEIHAYILRSSLEFDGFLANALIDVYVNSNFVIGARRLFERMSERDIISWNALISGCARHGDPMECLSLFCLMNSEGLLVADVITLIAVLGACDRTSALQFGRITHAYLIKRGFDKEIIVGTALIDMYAKCGSLACSCHAFDEMESKNLISWSAMVSGYGLHGKGKEAISCFTEMKLKGLRPDRVAFTSVLSACSHCGLVNEGKELFNQMSDENSIKPSIEHYSCMVDLLGRAGQLDEAYKLIMDMDIRPNADVWAALLSACHTHRNVELAEIAALHVCHLNPKRISPYVTLSNIYAVENRWTDVDRVRKAARRNGLRKPPGYSFVELNTEIHRFMVGDKSHPQSKSIYTMLNEIRRQLKETGYTPDTSSVFYDVADDAKEDLLWDHSERPAAPSSSFPASLLSCNAGNMSRLLAFVIVLLAVAASGGAQEPAASPELSTTTSSPTSIPISSPAPISSTESSPTPSSSPVSSPSPSTSPSSSPSIAPTTSSPPPEVSSPVEAPGPSR >DRNTG_30336.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:16631904:16634797:1 gene:DRNTG_30336 transcript:DRNTG_30336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRCRSRRTSPKPKSGLNRLLNRVESGNSTGVDGTRTRRNFHTGVDNFHTPRGFSRLSTSRSCEQACYMKSFALFLQSLLQSLASPDRS >DRNTG_24034.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26658798:26666390:1 gene:DRNTG_24034 transcript:DRNTG_24034.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin homeobox [Source:Projected from Arabidopsis thaliana (AT4G03090) UniProtKB/Swiss-Prot;Acc:F4JI44] MRHAKEESSRTADQAINLNLAVQELSGLASRELNKLLKDSENFSIQLGTENGPPNQFDVEKLASSLPLHLIAVLLSGSDSAHLTHMLRGVRLLHSFSDLASRHSRLEQILLDDVRLTEQMLDLVFYTLIVLGSYDQVKHLGSSSLPLLHSALVACTLHLLTGYISTQWQDLVHILLAHPKVDIFMDVAFDAVHVDIMLLHIMFSMLNNDILCNKSSLHASEGIIHYFCQQCEASLQFLLSLCQQKLFRDRLLKNKELCKTGGIFSLARTILKLNAPDCFKDSNSILAAVSRLKARILSILLQLCEAESISYLDEVASSAKSMSLAKSVALEILDLLKSAFRKNANQLGSSLDKSNPRGLVLLNSMRLADIFSDDSNFRSFFMINIVQVLVGILAIPHEDFLSSWCSVNVPMIEEDASVDYEPFTAAGVALASLADGTGSALPTPAILNETNSSCANNFHGVPTVSYVQQRTSYLVKIIANLHCFVPNICEEEERDLFLNKFYECLLKENDSFSLYPSASDSWKASVICKNLGSLSNYAGSLIPNMLNADDVSLLSLFSKKLQALFPQIEGSLIQEPCVKEESTAESKLEDSYPVQDTLGNWGTNVKNPQDSQGDRTDAPPGSGGLDTGGLEETQHAKNDINMKVGNHQNLSCQEADQVTVTSKPRELPENDESDKKKMKSTVPATWNTSRSLRGTEKETQNPETNDMEVEFTKGDPDRASDSGEVFKTADSAKEGNCQDDEKVESTHGEEKQPKKRKRNIMNDSQIMLIEKALLDEPEMQRNAALLQTWADKLSAHGSEVTSSQLKNWLNNRKAKLARAARETRGTLEGESASYQEKPSGSGVSHFDDSPESPVEEIYHMPTRGSSRRSGNAMAGNVQENEKASRGEFLSFTQHCSPMNYPCVRPSSFMPGQLVALSDREGKEIGKGKVFQVEGRWLGRSLEDTGLCIVDITELKIEKWKEVQHPSEAGGMTFEKAAARNGGVMRVAWDAIRISLLPP >DRNTG_24034.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26658798:26666390:1 gene:DRNTG_24034 transcript:DRNTG_24034.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin homeobox [Source:Projected from Arabidopsis thaliana (AT4G03090) UniProtKB/Swiss-Prot;Acc:F4JI44] MSLAKSVALEILDLLKSAFRKNANQLGSSLDKSNPRGLVLLNSMRLADIFSDDSNFRSFFMINIVQVLVGILAIPHEDFLSSWCSVNVPMIEEDASVDYEPFTAAGVALASLADGTGSALPTPAILNETNSSCANNFHGVPTVSYVQQRTSYLVKIIANLHCFVPNICEEEERDLFLNKFYECLLKENDSFSLYPSASDSWKASVICKNLGSLSNYAGSLIPNMLNADDVSLLSLFSKKLQALFPQIEGSLIQEPCVKEESTAESKLEDSYPVQDTLGNWGTNVKNPQDSQGDRTDAPPGSGGLDTGGLEETQHAKNDINMKVGNHQNLSCQEADQVTVTSKPRELPENDESDKKKMKSTVPATWNTSRSLRGTEKETQNPETNDMEVEFTKGDPDRASDSGEVFKTADSAKEGNCQDDEKVESTHGEEKQPKKRKRNIMNDSQIMLIEKALLDEPEMQRNAALLQTWADKLSAHGSEVTSSQLKNWLNNRKAKLARAARETRGTLEGESASYQEKPSGSGVSHFDDSPESPVEEIYHMPTRGSSRRSGNAMAGNVQENEKASRGEFLSFTQHCSPMNYPCVRPSSFMPGQLVALSDREGKEIGKGKVFQVEGRWLGRSLEDTGLCIVDITELKIEKWKEVQHPSEAGGMTFEKAAARNGGVMRVAWDAIRISLLPP >DRNTG_03981.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25558620:25559648:1 gene:DRNTG_03981 transcript:DRNTG_03981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYSYEDIEEATKGFVLDRLIGKGSHGCVYKGTLKGGKHVAVKKPLEKLQEVEQDSKLDHEIDILASLHSKHLVNLLGVTHNKHKLLVMEFMPNGSLHDLLHSSSSSSPSWSRRLIIALQIAQAVLSLHEAAPAIIHRDIKAANILFDRHWNARLADFSLAVRDNTLLTLEPTMPAGTLGYLDPMYTTPEKLSPKNDVFSFGVVLLEILSSRRVMDVASHPASIVAWAVPLIRAQREVEVIDGRRKLPSYMEGVIKHLLCISERCVSSKEERRPEMAEVAAELQGLASKLWCPIWACHRLNSFFYPWRRWKNRKVSTTKIVCKDHLLDENGEDEDDSDDTY >DRNTG_29744.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3891064:3892069:-1 gene:DRNTG_29744 transcript:DRNTG_29744.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVQGNKAVAEYEADLKELANFVPEIVGGEEALCSKFEAGLNLSIREKMAVTGNQSFKEVVQLALRAEKLVLEGKRLRENLAKRRNSDFSRPSKRSKSEGTSSGFSGSSSVRPPSGQAGSQKGATSASGSYGGKSTGNVPRCQNCNRFHPGPCREPRRCYQCGQTGHLKSACPELGRTTPGSAPPPAGRQSQSKGVPPTTSTPVAPTRSVAASNSPQGGTARPQTRSQTRVFAMTNEEAEDRPNVITGTVSIFQHDAYVLIDSGSERSFVSTAFSCHADRIASPLDC >DRNTG_29744.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3891064:3891892:-1 gene:DRNTG_29744 transcript:DRNTG_29744.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGNQSFKEVVQLALRAEKLVLEGKRLRENLAKRRNSDFSRPSKRSKSEGTSSGFSGSSSVRPPSGQAGSQKGATSASGSYGGKSTGNVPRCQNCNRFHPGPCREPRRCYQCGQTGHLKSACPELGRTTPGSAPPPAGRQSQSKGVPPTTSTPVAPTRSVAASNSPQGGTARPQTRSQTRVFAMTNEEAEDRPNVITGTVSIFQHDAYVLIDSGSERSFVSTAFSCHADRIASPLDC >DRNTG_29744.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3891198:3891892:-1 gene:DRNTG_29744 transcript:DRNTG_29744.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGNQSFKEVVQLALRAEKLVLEGKRLRENLAKRRNSDFSRPSKRSKSEGTSSGFSGSSSVRPPSGQAGSQKGATSASGSYGGKSTGNVPRCQNCNRFHPGPCREPRRCYQCGQTGHLKSACPELGRTTPGSAPPPAGRQSQSKGVPPTTSTPVAPTRSVAASNSPQGGTARPQTRSQTRVFAMTNEEAEDR >DRNTG_29744.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3891198:3892069:-1 gene:DRNTG_29744 transcript:DRNTG_29744.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVQGNKAVAEYEADLKELANFVPEIVGGEEALCSKFEAGLNLSIREKMAVTGNQSFKEVVQLALRAEKLVLEGKRLRENLAKRRNSDFSRPSKRSKSEGTSSGFSGSSSVRPPSGQAGSQKGATSASGSYGGKSTGNVPRCQNCNRFHPGPCREPRRCYQCGQTGHLKSACPELGRTTPGSAPPPAGRQSQSKGVPPTTSTPVAPTRSVAASNSPQGGTARPQTRSQTRVFAMTNEEAEDR >DRNTG_29744.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3891064:3892227:-1 gene:DRNTG_29744 transcript:DRNTG_29744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKEWLKRLLATFEDMGIEDELKLKVAVRLLENRARIWWETLKGRSEIPLTWSDFLHEFDEEYYTRFHRDQKRQEYMKLVQGNKAVAEYEADLKELANFVPEIVGGEEALCSKFEAGLNLSIREKMAVTGNQSFKEVVQLALRAEKLVLEGKRLRENLAKRRNSDFSRPSKRSKSEGTSSGFSGSSSVRPPSGQAGSQKGATSASGSYGGKSTGNVPRCQNCNRFHPGPCREPRRCYQCGQTGHLKSACPELGRTTPGSAPPPAGRQSQSKGVPPTTSTPVAPTRSVAASNSPQGGTARPQTRSQTRVFAMTNEEAEDRPNVITGTVSIFQHDAYVLIDSGSERSFVSTAFSCHADRIASPLDC >DRNTG_29744.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3891198:3892227:-1 gene:DRNTG_29744 transcript:DRNTG_29744.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKEWLKRLLATFEDMGIEDELKLKVAVRLLENRARIWWETLKGRSEIPLTWSDFLHEFDEEYYTRFHRDQKRQEYMKLVQGNKAVAEYEADLKELANFVPEIVGGEEALCSKFEAGLNLSIREKMAVTGNQSFKEVVQLALRAEKLVLEGKRLRENLAKRRNSDFSRPSKRSKSEGTSSGFSGSSSVRPPSGQAGSQKGATSASGSYGGKSTGNVPRCQNCNRFHPGPCREPRRCYQCGQTGHLKSACPELGRTTPGSAPPPAGRQSQSKGVPPTTSTPVAPTRSVAASNSPQGGTARPQTRSQTRVFAMTNEEAEDR >DRNTG_12070.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000532.1:2806:40000:1 gene:DRNTG_12070 transcript:DRNTG_12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSTDSSNGSRRINAEESSPNNLLPNDARCQSRRTSPKPEMGAEIGFK >DRNTG_31593.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18568563:18576204:1 gene:DRNTG_31593 transcript:DRNTG_31593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLGFSPLASHLTLRPRPLLPLLRTFVSSRSSPHRRSLGRCLAHFHGHRRHRVVLLRRPRVADQPGQVQQDDVVDDKILPYCSLDKKAEKRTIGEMEQEFLQALQSFYYDKEPIMSNEEFDNLKEELMWEGSSVVMLSPDEQKLLEASMAYASGNPIMTDAEFDELKMRLRKEGSDIVQEGPRCSLRSRKVYSDLSVDYFKMFLLNVPAAVVALGLFFFLDDLTGFEITYLLELPEPFSFIFTWFAAVPLIFWLSQAFTNAILKDFLILKGPCPNCSTENVSFFGTILSISSGGSTNTVKCSNCATTMVYDSRARLITLPEPSEA >DRNTG_01410.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6785353:6785695:-1 gene:DRNTG_01410 transcript:DRNTG_01410.2 gene_biotype:protein_coding transcript_biotype:protein_coding VTATGSSVGLISFTTSIFNSSTSATTTTTSSSVSLVPSTTSASTSNTLSSTRIDCGPSSCVVFTSASKMVSSCLSINLTHK >DRNTG_01410.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6785353:6791826:-1 gene:DRNTG_01410 transcript:DRNTG_01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESYKEYTERGPTIEQLAYCSNSYREATLSKDPKFDHFEIAEKHKVINECSKAEAWLREKKQQQDALPKFVAPVLLSANIKRKAEALDSYSHWFFCGFDLLHNFNL >DRNTG_04789.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2462144:2467607:1 gene:DRNTG_04789 transcript:DRNTG_04789.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g67570, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G67570) UniProtKB/Swiss-Prot;Acc:Q9FJW6] MLNSRKYDLVHYFFEKMQKNGLATKALTYRVLVRAFWEEGKVDEAIKAVRDMEKRGVVGVASVYYELACCLCNKGRCQEAIMEVEKLGRLPYAKPLEVTFTGLILSAMNGGYISDCISIFERMNHLCTPNIGTINAMLKAYGRGDMFTEAKELFESIEKNVSGLETAGYVSFKPDEYTYSSMLEISASAHQWEYFEYVYREMALYGYQLDLKKNAGLLVGASRAGKWHLLEHAFDTVLESGEIPHISFFIELICQTIAHQNFQKTVVLINSMAHASMQVDENQWFNLFQINKDRLSTETLSNLLDNLNESDLVMEDPVPNFLKALQSITEPPLENTSRNSNSSYDSAYNLLADPPEENLKSFEKKPPNFSQRATEKNDDLESCLITLHEESDNKIDSSLPPGTDDKILESILCDSSDESDTEIDRNLLSHKHSHFSDLPTGSEILEIWREDSER >DRNTG_04789.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2462144:2467607:1 gene:DRNTG_04789 transcript:DRNTG_04789.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g67570, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G67570) UniProtKB/Swiss-Prot;Acc:Q9FJW6] MALAPPCLRLPRCEAKPLDPKTEVIRQRLLRNGVEPTPKILHTLRKKELQKSRRKAKKQALNAESPRLSDVQKQMLEDEARFRAARSEYGAFKTDMEKRREGAMVMSGRPWERLKAVDLRGIWRFGEEDLGDGRLKGEHLVELREMLEKRNGDEYEWLLDDDVEEASGDERVMEWKKSSPNGLVGDAEKIKWLIERLTKMDLNMKDWKFPRLMKQSGLYFSEDNLLRLLEGFEVLGNWRHALSVVKWVYNENKYRYQKSRYVYTKLLAILGKGRSPSEALRVFNIMRDDSYLYPDMAAFHAIAVSLGQAGLVKELISIIECMRQKPSKKIKIMKFKDWGPCLEPDIVIFNALLNACVPTHQWKGVFWIFKQIRSMGLKPNGATYGLAMEVMLNSRKYDLVHYFFEKMQKNGLATKALTYRVLVRAFWEEGKVDEAIKAVRDMEKRGVVGVASVYYELACCLCNKGRCQEAIMEVEKLGRLPYAKPLEVTFTGLILSAMNGGYISDCISIFERMNHLCTPNIGTINAMLKAYGRGDMFTEAKELFESIEKNVSGLETAGYVSFKPDEYTYSSMLEISASAHQWEYFEYVYREMALYGYQLDLKKNAGLLVGASRAGKWHLLEHAFDTVLESGEIPHISFFIELICQTIAHQNFQKTVVLINSMAHASMQVDENQWFNLFQINKDRLSTETLSNLLDNLNESDLVMEDPVPNFLKALQSITEPPLENTSRNSNSSYDSAYNLLADPPEENLKSFEKKPPNFSQRATEKNDDLESCLITLHEESDNKIDSSLPPGTDDKILESILCDSSDESDTEIDRNLLSHKHSHFSDLPTGSEILEIWREDSER >DRNTG_04789.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2462144:2467607:1 gene:DRNTG_04789 transcript:DRNTG_04789.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g67570, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G67570) UniProtKB/Swiss-Prot;Acc:Q9FJW6] MNGGYISDCISIFERMNHLCTPNIGTINAMLKAYGRGDMFTEAKELFESIEKNVSGLETAGYVSFKPDEYTYSSMLEISASAHQWEYFEYVYREMALYGYQLDLKKNAGLLVGASRAGKWHLLEHAFDTVLESGEIPHISFFIELICQTIAHQNFQKTVVLINSMAHASMQVDENQWFNLFQINKDRLSTETLSNLLDNLNESDLVMEDPVPNFLKALQSITEPPLENTSRNSNSSYDSAYNLLADPPEENLKSFEKKPPNFSQRATEKNDDLESCLITLHEESDNKIDSSLPPGTDDKILESILCDSSDESDTEIDRNLLSHKHSHFSDLPTGSEILEIWREDSER >DRNTG_04789.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2462144:2467607:1 gene:DRNTG_04789 transcript:DRNTG_04789.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g67570, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G67570) UniProtKB/Swiss-Prot;Acc:Q9FJW6] MLNSRKYDLVHYFFEKMQKNGLATKALTYRVLVRAFWEEGKVDEAIKAVRDMEKRGVVGVASVYYELACCLCNKGRCQEAIMEVEKLGRLPYAKPLEVTFTGLILSAMNGGYISDCISIFERMNHLCTPNIGTINAMLKAYGRGDMFTEAKELFESIEKNVSGLETAGYVSFKPDEYTYSSMLEISASAHQWEYFEYVYREMALYGYQLDLKKNAGLLVGASRAGKWHLLEHAFDTVLESGEIPHISFFIELICQTIAHQNFQKTVVLINSMAHASMQVDENQWFNLFQINKDRLSTETLSNLLDNLNESDLVMEDPVPNFLKALQSITEPPLENTSRNSNSSYDSAYNLLADPPEENLKSFEKKPPNFSQRATEKNDDLESCLITLHEESDNKIDSSLPPGTDDKILESILCDSSDESDTEIDRNLLSHKHSHFSDLPTGSEILEIWREDSER >DRNTG_04789.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2462144:2467607:1 gene:DRNTG_04789 transcript:DRNTG_04789.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g67570, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G67570) UniProtKB/Swiss-Prot;Acc:Q9FJW6] MLNSRKYDLVHYFFEKMQKNGLATKALTYRVLVRAFWEEGKVDEAIKAVRDMEKRGVVGVASVYYELACCLCNKGRCQEAIMEVEKLGRLPYAKPLEVTFTGLILSAMNGGYISDCISIFERMNHLCTPNIGTINAMLKAYGRGDMFTEAKELFESIEKNVSGLETAGYVSFKPDEYTYSSMLEISASAHQWEYFEYVYREMALYGYQLDLKKNAGLLVGASRAGKWHLLEHAFDTVLESGEIPHISFFIELICQTIAHQNFQKTVVLINSMAHASMQVDENQWFNLFQINKDRLSTETLSNLLDNLNESDLVMEDPVPNFLKALQSITEPPLENTSRNSNSSYDSAYNLLADPPEENLKSFEKKPPNFSQRATEKNDDLESCLITLHEESDNKIDSSLPPGTDDKILESILCDSSDESDTEIDRNLLSHKHSHFSDLPTGSEILEIWREDSER >DRNTG_04789.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2465246:2467607:1 gene:DRNTG_04789 transcript:DRNTG_04789.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g67570, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G67570) UniProtKB/Swiss-Prot;Acc:Q9FJW6] MEKRGVVGVASVYYELACCLCNKGRCQEAIMEVEKLGRLPYAKPLEVTFTGLILSAMNGGYISDCISIFERMNHLCTPNIGTINAMLKAYGRGDMFTEAKELFESIEKNVSGLETAGYVSFKPDEYTYSSMLEISASAHQWEYFEYVYREMALYGYQLDLKKNAGLLVGASRAGKWHLLEHAFDTVLESGEIPHISFFIELICQTIAHQNFQKTVVLINSMAHASMQVDENQWFNLFQINKDRLSTETLSNLLDNLNESDLVMEDPVPNFLKALQSITEPPLENTSRNSNSSYDSAYNLLADPPEENLKSFEKKPPNFSQRATEKNDDLESCLITLHEESDNKIDSSLPPGTDDKILESILCDSSDESDTEIDRNLLSHKHSHFSDLPTGSEILEIWREDSER >DRNTG_04789.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2462144:2467607:1 gene:DRNTG_04789 transcript:DRNTG_04789.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g67570, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G67570) UniProtKB/Swiss-Prot;Acc:Q9FJW6] MLNSRKYDLVHYFFEKMQKNGLATKALTYRVLVRAFWEEGKVDEAIKAVRDMEKRGVVGVASVYYELACCLCNKGRCQEAIMEVEKLGRLPYAKPLEVTFTGLILSAMNGGYISDCISIFERMNHLCTPNIGTINAMLKAYGRGDMFTEAKELFESIEKNVSGLETAGYVSFKPDEYTYSSMLEISASAHQWEYFEYVYREMALYGYQLDLKKNAGLLVGASRAGKWHLLEHAFDTVLESGEIPHISFFIELICQTIAHQNFQKTVVLINSMAHASMQVDENQWFNLFQINKDRLSTETLSNLLDNLNESDLVMEDPVPNFLKALQSITEPPLENTSRNSNSSYDSAYNLLADPPEENLKSFEKKPPNFSQRATEKNDDLESCLITLHEESDNKIDSSLPPGTDDKILESILCDSSDESDTEIDRNLLSHKHSHFSDLPTGSEILEIWREDSER >DRNTG_13598.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23702851:23708914:-1 gene:DRNTG_13598 transcript:DRNTG_13598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAVAGNVLSAAIYPDPKVLLARFAAAAAAAAAVAVAEAGSCSRGSASSSIHPSIHLPLINLAQERNLLSLLLLLLQLLLLFFLLVFLSLCSISSTVISIFFKGIMANRWWAGSIAMGGINPVASSSSPPPSLHLRNPAGDDLRNPQQFHHLSPLGSIRRDQDPIPTATQSSGSNNNPNDDDDSGGNADDQTAAGGAGSVEMAETGGSAGSNNGRRPRGRPPGSKNKPKPPIIITRDSPNALRSHVLEIANGTDIMEAMNNFARKRQRGVCILSASGVVTNVSLRQPGAPPGAVVTLHGRFEILSLSGAFLPAPSPPDATGLKVYLAGGQGQVVGGSVMGELLASGPVMVIAATFF >DRNTG_13598.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23702851:23708286:-1 gene:DRNTG_13598 transcript:DRNTG_13598.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAVAGNVLSAAIYPDPKVLLARFAAAAAAAAAVAVAEAGSCSRGSASSSIHPSIHLPLINLAQERNLLSLLLLLLQLLLLFFLLVFLSLCSISSTVISIFFKGIMANRWWAGSIAMGGINPVASSSSPPPSLHLRNPAGDDLRNPQQFHHLSPLGSIRRDQDPIPTATQSSGSNNNPNDDDDSGGNADDQTAAGGAGSVEMAETGGSAGSNNGRRPRGRPPGSKNKPKPPIIITRDSPNALRSHVLEIANGTDIMEAMNNFARKRQRGVCILSASGVVTNVSLRQPGAPPGAVVTLHGRFEILSLSGAFLPAPSPPDATGLKVYLAGGQGQVVGGSVMGELLASGPVMVIAATFF >DRNTG_13598.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23702676:23708286:-1 gene:DRNTG_13598 transcript:DRNTG_13598.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAVAGNVLSAAIYPDPKVLLARFAAAAAAAAAVAVAEAGSCSRGSASSSIHPSIHLPLINLAQERNLLSLLLLLLQLLLLFFLLVFLSLCSISSTVISIFFKGIMANRWWAGSIAMGGINPVASSSSPPPSLHLRNPAGDDLRNPQQFHHLSPLGSIRRDQDPIPTATQSSGSNNNPNDDDDSGGNADDQTAAGGAGSVEMAETGGSAGSNNGRRPRGRPPGSKNKPKPPIIITRDSPNALRSHVLEIANGTDIMEAMNNFARKRQRGVCILSASGVVTNVSLRQPGAPPGAVVTLHGRFEILSLSGAFLPAPSPPDATGLKVYLAGGQGQVVGGSVMGELLASGPVMVIAATFF >DRNTG_13598.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23702851:23708654:-1 gene:DRNTG_13598 transcript:DRNTG_13598.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAVAGNVLSAAIYPDPKVLLARFAAAAAAAAAVAVAEAGSCSRGSASSSIHPSIHLPLINLAQERNLLSLLLLLLQLLLLFFLLVFLSLCSISSTVISIFFKGIMANRWWAGSIAMGGINPVASSSSPPPSLHLRNPAGDDLRNPQQFHHLSPLGSIRRDQDPIPTATQSSGSNNNPNDDDDSGGNADDQTAAGGAGSVEMAETGGSAGSNNGRRPRGRPPGSKNKPKPPIIITRDSPNALRSHVLEIANGTDIMEAMNNFARKRQRGVCILSASGVVTNVSLRQPGAPPGAVVTLHGRFEILSLSGAFLPAPSPPDATGLKVYLAGGQGQVVGGSVMGELLASGPVMVIAATFF >DRNTG_31891.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4551684:4557059:1 gene:DRNTG_31891 transcript:DRNTG_31891.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g71460, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G71460) UniProtKB/Swiss-Prot;Acc:Q9C9I3] MKVHALLIKNGYVGDSLLLQTSLIDMYFKCGKVRMAMKVFDEIPERDIVLFGAVIAGFAHNSLKREALEYLRWMGSEGIEPNSVIVTMILPVIGELADRKLGREVHGYVLKRFRNYHKLVFVHSGLIDMYCKCGDMVSGRHVFYRSRERNVVSWTALMSGYASNGRFEQALRSLVWMQQERVTPDVVSIATALPVCAQLKALKQGKEIHAYALKHRFLPNISLSTSLMTLYAECGKLDYSSRVFGAVEKKSIIAWTALIDSNLKNQRPCDALDVFRTMNLANCRPDAVIIARILSAAGMSRDDKDRERDSWAGAEAET >DRNTG_31891.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4551684:4553284:1 gene:DRNTG_31891 transcript:DRNTG_31891.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g71460, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G71460) UniProtKB/Swiss-Prot;Acc:Q9C9I3] MKVHALLIKNGYVGDSLLLQTSLIDMYFKCGKVRMAMKVFDEIPERDIVLFGAVIAGFAHNSLKREALEYLRWMGSEGIEPNSVIVTMILPVIGELADRKLGREVHGYVLKRFRNYHKLVFVHSGLIDMYCKCGDMVSGRHVFYRSRERNVVSWTALMSGYASNGRFEQALRSLVWMQQERVTPDVVSIATALPVCAQLKALKQGKEIHAYALKHRFLPNISLSTSLMTLYAECGKLDYSSRVFGAVEKKSIIAWTALIDSNLKNQRPCDALDVFRTMNLANCRPDAVIIARILSAAGMSRDDKDRERDSWAGAEAET >DRNTG_34808.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1412488:1416105:1 gene:DRNTG_34808 transcript:DRNTG_34808.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase 6 [Source:Projected from Arabidopsis thaliana (AT1G22020) UniProtKB/Swiss-Prot;Acc:Q9LM59] MVGMNTLIRLSAYVMTVLWQLLTLIRRAGVSTCSPTRARLPTLQFSLVCCFPRIESWDSIRHLEAMLAMVTTRRVGRRYLLLRYSLRICPISSYPREWDYARLRQVADKCGAVLMCDMAHISGLVAAKECLSPFDYCDIVTSTTHKSLRGPRGGIIFFKRGKKPRKRGFSLYQTDESDSYDFEERINFAVFPSLQGGPHNNHIAALAIALKQVATPEYKAYIQQVKKNAQALASALLRRKCRLVTGGTDNHLLLWDLRTFGLTGRNFEKVCEACHITLNKTPIFGENGAISPGGIRIGTPAMTTRGCLEGDFESIADFLLRAVQITSTLQREHGKLQKEFLNGLNNNRDVIELRSRVESFASQFAMPGFDV >DRNTG_28854.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22248409:22259966:-1 gene:DRNTG_28854 transcript:DRNTG_28854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGWRGTGQGEAVVDSAAPDWRSQLQHQARQRIVNKIMDTLKKHLVVSAPEGCNELKKIAMRFEEKIYTAALSQSDYLRKISLKMLSMETKSQNAAPSNPSSTNPVGNQITADSASRGIQSQISNQGQSIPMVNQPLAQHTLLPQNISKNAPSTTIQNSTSLPPPMQGVTQNAMSHIGANSNLQNVSSMPQNSINSIAGQGATPNIYNSQRQMQGQRQPPSIVTQQHQQPPQNTRIYQQQLHQQLLKQKSTHPSLVQPHPQQQQQQQQQQQSLLQQNQLQPSQQSLVQSNIPAGQSNIQQTQPTMMQTVQKSGLQNQQNSMQQSSLLQQHPQSIARQQQSQPALHQQSTSFQQQPSAVPQQSLLSSQQQQLMGQQSNMLAMQHNQLLGQQNNASDLQQQQQRLPGQQNSLLSVQQRQQILNQQSISLQQQQHLDTENNLSGQQQQQQQLLGQQQQQLLLGSQPGISSMQPHQMLQQNKIAIQRSQQPSLAMLQSQNQQSQHPAAQQQLMPQISSQPGQLQQQLGTQQQASSIQREMQQRLQTSGVLLQSQNAIEQQKQFIQPQRGLSEVPSSASLDSAVPTSNTGAVDWQEEIYQKITSMRDLYFVELNELFQKISLKFQQHESLLSGSKQSEHFEKMKHYKTMLERTLHFLQISKANIQPGFKEKLPLYEKQILSFLASSRGQKIAPSQSSSQPPSQPSGGHTQSTFQQRSSQVPQLQQIDNVNQLQQKNLQGSATSMQPSATPSMPHGSLPLSTASINPAQQSMVASSQNTMNGPQQTNNIHTLPQSSINTLQPNVSSMQLSTNILQQQHLKQHQEQQQQPHLMQSQQFTQLQQRHTQQQQKQQVMQQQLQPPAMQQQLLQQQKQQQTSQFSVNQMAQINQVNEEMKLRQGAGMKSGLYQQHFQAGQRHNLYHQQKSGSSLPSSSPQHIHISSPQISQQPSPQIDQQALSSSFPKTGTPLQATSSPVVVVPSPTTAIAPSPVPVDSEKPLPHVTLLTNAVSNGPQQTNLNPSQSSIAVGTPGISASPLLAEFVSPDAHQPDGPVFSSKPGVMEKPLERLIKVLQSISPEVLCSSVSDIGTVISMTDRIAGSAPCNGSRAAVGEDLVAMTKCRLQARNFMSQDGSAIAKKMKRRLSALPLTVWKCRK >DRNTG_28854.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22248409:22258474:-1 gene:DRNTG_28854 transcript:DRNTG_28854.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMETKSQNAAPSNPSSTNPVGNQITADSASRGIQSQISNQGQSIPMVNQPLAQHTLLPQNISKNAPSTTIQNSTSLPPPMQGVTQNAMSHIGANSNLQNVSSMPQNSINSIAGQGATPNIYNSQRQMQGQRQPPSIVTQQHQQPPQNTRIYQQQLHQQLLKQKSTHPSLVQPHPQQQQQQQQQQQSLLQQNQLQPSQQSLVQSNIPAGQSNIQQTQPTMMQTVQKSGLQNQQNSMQQSSLLQQHPQSIARQQQSQPALHQQSTSFQQQPSAVPQQSLLSSQQQQLMGQQSNMLAMQHNQLLGQQNNASDLQQQQQRLPGQQNSLLSVQQRQQILNQQSISLQQQQHLDTENNLSGQQQQQQQLLGQQQQQLLLGSQPGISSMQPHQMLQQNKIAIQRSQQPSLAMLQSQNQQSQHPAAQQQLMPQISSQPGQLQQQLGTQQQASSIQREMQQRLQTSGVLLQSQNAIEQQKQFIQPQRGLSEVPSSASLDSAVPTSNTGAVDWQEEIYQKITSMRDLYFVELNELFQKISLKFQQHESLLSGSKQSEHFEKMKHYKTMLERTLHFLQISKANIQPGFKEKLPLYEKQILSFLASSRGQKIAPSQSSSQPPSQPSGGHTQSTFQQRSSQVPQLQQIDNVNQLQQKNLQGSATSMQPSATPSMPHGSLPLSTASINPAQQSMVASSQNTMNGPQQTNNIHTLPQSSINTLQPNVSSMQLSTNILQQQHLKQHQEQQQQPHLMQSQQFTQLQQRHTQQQQKQQVMQQQLQPPAMQQQLLQQQKQQQTSQFSVNQMAQINQVNEEMKLRQGAGMKSGLYQQHFQAGQRHNLYHQQKSGSSLPSSSPQHIHISSPQISQQPSPQIDQQALSSSFPKTGTPLQATSSPVVVVPSPTTAIAPSPVPVDSEKPLPHVTLLTNAVSNGPQQTNLNPSQSSIAVGTPGISASPLLAEFVSPDAHQPDGPVFSSKPGVMEKPLERLIKVLQSISPEVLCSSVSDIGTVISMTDRIAGSAPCNGSRAAVGEDLVAMTKCRLQARNFMSQDGSAIAKKMKRRLSALPLTVWKCRK >DRNTG_01294.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1919406:1927323:-1 gene:DRNTG_01294 transcript:DRNTG_01294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLVSRSGWEAFDVEEFQLVDGEENGQGAMAFDLVRTGNRSFRMRRFEDAITCYSKAHLLKPGDPVILSNRSAAFCRISQVLRDRTASESEYQPLNGLDPTTHAELALKDAEKLISLGAGVPKSYFLKAGALILLERYEEAHETLLLGLQVDPFCHLLQACLQDLERITAGSAKRAKYWKPQRTDDFECTLCLKLLYEPVTTPCGHSLCRTCLLQSMDHGNKCPMCRTVLFISPRTYPISVTLNNIIQKNFPEEYAERKSEQESLTYLGVDLMPLFVMDVVLPCQKLSLNIFEPRYRLMVRRIMEGNHRMGMVGIDSSTGSIADYACEVEISECEPLPDGRFYLEVEGCRRFHTLRSWDQDGYRVAEVEWVQDIQPSGGTQEKEDLQQLARSAADLAQSWIRRAREAARIGRRSRHLELLQAEGMPGPQDPERFSFWLINLLNLRPAERLELLSLRDTRERISRGLVFLRAEEESCRVQ >DRNTG_06963.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4651098:4652773:1 gene:DRNTG_06963 transcript:DRNTG_06963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQLGQVPTLVVSSSQMVKEILKTHDLVFASRPTLRATEILLYGSLDMAFSPYGQDFEYIPFGSGSRVHPGMQFAVSTLELALANLIYRILPVQLTPLAKELKATPDSRHGIFYFPFGVIFRSLI >DRNTG_33993.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4158326:4159551:-1 gene:DRNTG_33993 transcript:DRNTG_33993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSQSQSQSQCCDNPPTLNPSAGHGSVVENLGGLKAYTAGSPESKLAVLLVSDVYGFEAPNLRYVLS >DRNTG_27399.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001396.1:163152:164206:-1 gene:DRNTG_27399 transcript:DRNTG_27399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKSLFVLTTLLGIILIVSLEVVSARELAQQTQEKVDKTKYEEEKIYGGPGLFPGGYYGYGYRPGNGYYNGFRPGYIPGNRYYGGYYNGYPYIPGYVGHP >DRNTG_12237.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25011854:25012672:1 gene:DRNTG_12237 transcript:DRNTG_12237.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENKSKILIIGGTGHMGKFIVQASANSGHPTFILLWPTTVSDPVKMNLINDFKSSGVTSLY >DRNTG_12237.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25013356:25013960:1 gene:DRNTG_12237 transcript:DRNTG_12237.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYLKPPANFYSQNELVALWEKKTGKTLEKIYVPEDQIFKRIQETEYPVNLLLAINHSVFVNGDCTNFEIEPRFGVEASKLYFDVKYTTVDEYLNGLSHLN >DRNTG_12237.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25011854:25013960:1 gene:DRNTG_12237 transcript:DRNTG_12237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYLKPPANFYSQNELVALWEKKTGKTLEKIYVPEDQIFKRIQETEYPVNLLLAINHSVFVNGDCTNFEIEPRFGVEASKLYFDVKYTTVDEYLNGLSHLN >DRNTG_03394.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20928815:20930217:-1 gene:DRNTG_03394 transcript:DRNTG_03394.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSHFILVHGAGHGAWCWYKLASLLESAGHKNYRRTSDGSTLLDSQLYFDEGPENLPTAVLFGEQLLTEKMYQLCPSEDVKLASKLMRPSKLFVSELHDSSLFTKERYGSVPKVYIKCTKDKILTENFQQWMINRASVTEVIEIDSDHMVMLSKPRELFDCLLEVTEKYI >DRNTG_12021.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:763855:765411:-1 gene:DRNTG_12021 transcript:DRNTG_12021.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGSGPKHLYDSGIAVSSFYAITQGIVPCVAAGNDGPTASVISNDAPWIFTIGASSTDRRIKVTVTLGNGMEIDGESAYQPDTHNAIDLELVFPGASGRDSDLQCSSLNPTDVKGKIVLCVVGTFSSIVKGRIVKAAGGEGMIMMNTVKYGFTTSAEPHVLPAAHISNVDARKIVAYVQTTRNPTASIVFKGTQFGASPAPSIAYFSSRGPSQYNGGFIKPDIVAPGVNILAAWPVEVGPNPTGNTTSTFNFLSGTSMATPHVAGIAADLKKNHPDWSPAMIKSAIMTTAFTEDLDGNPIADDAFLYRPASYFAMGAGHVNPEGANDPGLVYDIQPLDYIPYLCGMYSTRIVKAIVRQQWIDCYTIQSITAAELNYPSISMTMPVSAGSAFVITRTLTNVGPAEVYNLRIQLPDGVDIRADTYSLTFLALNEQQSFRLQFTSNGYAQSGQVSEGYLIWASASHVVKSPISVTYY >DRNTG_12021.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:763855:766346:-1 gene:DRNTG_12021 transcript:DRNTG_12021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKKRATFFAMLVVLFSSNSYLRLIQCQLMPIVSDDQIKTYIVFVEEPIGGANLLNDEELANFHRSFLPNNSLGSGKERMIYSYRHAISGFAALLTADEVLAMETKPGFLFARLPEEVRAQTTYTPQFMGLSEGGGLWWSSQYGEGIIIGVIDTGITPTHPSFQSFNAVPAPPVNWFGNCAFGPNVCNNKLIGAMAFQSGKNPSPLDDTGHGTHCASIAAGSPVYDAGVLNQARGMAVGTAPRAHISAYKVLFGGSGWDDDILAGIDQAIRDGVNVLSMSLGSGPKHLYDSGIAVSSFYAITQGIVPCVAAGNDGPTASVISNDAPWIFTIGASSTDRRIKVTVTLGNGMEIDGESAYQPDTHNAIDLELVFPGASGRDSDLQCSSLNPTDVKGKIVLCVVGTFSSIVKGRIVKAAGGEGMIMMNTVKYGFTTSAEPHVLPAAHISNVDARKIVAYVQTTRNPTASIVFKGTQFGASPAPSIAYFSSRGPSQYNGGFIKPDIVAPGVNILAAWPVEVGPNPTGNTTSTFNFLSGTSMATPHVAGIAADLKKNHPDWSPAMIKSAIMTTAFTEDLDGNPIADDAFLYRPASYFAMGAGHVNPEGANDPGLVYDIQPLDYIPYLCGMYSTRIVKAIVRQQWIDCYTIQSITAAELNYPSISMTMPVSAGSAFVITRTLTNVGPAEVYNLRIQLPDGVDIRADTYSLTFLALNEQQSFRLQFTSNGYAQSGQVSEGYLIWASASHVVKSPISVTYY >DRNTG_11764.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:669573:670759:1 gene:DRNTG_11764 transcript:DRNTG_11764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMKKIVLKLDLHDDKDKQKAMKAVSTLHGIDLIEMDMKNQKLTVVGGVDPVHLVAKLRQKKWHAELVSVGPAKEPEKKKDDTKKEDKKEDDKKKDEAKKNNEGGGGGGGGGDNKKIKDPNEHIQELIKAYQAYNPHMTTHYYVQSAEENPNACVIC >DRNTG_07522.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19216683:19217877:-1 gene:DRNTG_07522 transcript:DRNTG_07522.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSHWLTNLSSLQCLNLTGVNLSEAKNVLKSLNTLPLISEINFYDCELHIPLSLGVEINFTNLRFLDLSTNGINSTMPLWLFKLSGLEYLNLAGNNFQDLIPFDIGELTSLRVLGLAYNGVLSVGLPTTLGKLCNLSKLYLSGNEYLAGDLNRLGEIFSGCLENSLEELYWSEAALSGPLPSWLGNLKSLKALDLSDNSFYGSLLPLRLPSLQALYLYSNNFNGTIPKYLGQLLPELDTLELSYNNI >DRNTG_03862.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3669487:3670355:1 gene:DRNTG_03862 transcript:DRNTG_03862.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DMP1 [Source:Projected from Arabidopsis thaliana (AT3G21520) UniProtKB/Swiss-Prot;Acc:Q9LVF4] MGSSTSTTTTTTTTLFQLLSNIIKLLPTGTTFFFQFLNPLLTNNGKCNTTNKTFTAILLSLSALFCFFSTFTDSTTTSDGKLQYGLLTTNGLWTGSSSTSSKSKLKLKDFIHAFLSLMVFAVLVLLDTNTISCFYPSLLSKEKALLKALPPALGALSSLVFMFLPSTRHGIGYSSSSSTSSSSST >DRNTG_11018.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:761680:762132:1 gene:DRNTG_11018 transcript:DRNTG_11018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQKELQKPGRKRKLREDEVLDPSSRPVYKWRAERKR >DRNTG_33310.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23698259:23700521:1 gene:DRNTG_33310 transcript:DRNTG_33310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVSGRRLASLSCCPASAASFLSSRNPMDGVSSRHDSRSITPRFSMASALLGSIRGFASESLAPGHQELGLADLPATVEAMKNPSTKIVYDEYNHERYPPGDPSKRAFAYFVLTGGRFVYASLLRLLILKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEDDVKLANNVDVASLRDPQEDSVRVKNPEWLIVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDVSGRIRKGPAPFNLEVPTYTFLEENKLQIG >DRNTG_33310.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23698259:23698882:1 gene:DRNTG_33310 transcript:DRNTG_33310.6 gene_biotype:protein_coding transcript_biotype:protein_coding SPAPRSRRKKSLEQERNPEESFRIRSLKEKKMLRVSGRRLASLSCCPASAASFLSSRNPMDGVSSRHDSRSITPRFSMASALLGSIRGQGPFRFSSANFQFRMIIPQLFFIWQNILNLLGC >DRNTG_33310.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23697705:23700964:1 gene:DRNTG_33310 transcript:DRNTG_33310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVSGRRLASLSCCPASAASFLSSRNPMDGVSSRHDSRSITPRFSMASALLGSIRGFASESLAPGHQELGLADLPATVEAMKNPSTKIVYDEYNHERYPPGDPSKRAFAYFVLTGGRFVYASLLRLLILKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEDDVKLANNVDVASLRDPQEDSVRVKNPEWLIVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDVSGRIRKGPAPFNLEVPTYTFLEENKLQIG >DRNTG_33310.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23698259:23700964:1 gene:DRNTG_33310 transcript:DRNTG_33310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVSGRRLASLSCCPASAASFLSSRNPMDGVSSRHDSRSITPRFSMASALLGSIRGFASESLAPGHQELGLADLPATVEAMKNPSTKIVYDEYNHERYPPGDPSKRAFAYFVLTGGRFVYASLLRLLILKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEDDVKLANNVDVASLRDPQEDSVRVKNPEWLIVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDVSGRIRKGPAPFNLEVPTYTFLEENKLQIG >DRNTG_33310.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23697705:23698882:1 gene:DRNTG_33310 transcript:DRNTG_33310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGHHTIQAHSILLRRRDLEERKA >DRNTG_33310.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23697705:23700521:1 gene:DRNTG_33310 transcript:DRNTG_33310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVSGRRLASLSCCPASAASFLSSRNPMDGVSSRHDSRSITPRFSMASALLGSIRGFASESLAPGHQELGLADLPATVEAMKNPSTKIVYDEYNHERYPPGDPSKRAFAYFVLTGGRFVYASLLRLLILKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEDDVKLANNVDVASLRDPQEDSVRVKNPEWLIVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDVSGRIRKGPAPFNLEVPTYTFLEENKLQIG >DRNTG_13927.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19648416:19651565:1 gene:DRNTG_13927 transcript:DRNTG_13927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEGLFVVHELKRLGSGPRLERFMQSHVSRLLRTDLLAVLAEFQRQDLVFLSLKIYDAVRKEIWYRPDMYFYRDMLMMLARNKKEEEARQVWADLRGEEVRFDQHTYGDIVRAFSDGGLPSLAMEFYEEMRSSPDPPLSLPFRVILKGLIPYPELREKIKDDFLELFPDMIVYDPPEDLFDEHK >DRNTG_16348.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7801603:7804020:1 gene:DRNTG_16348 transcript:DRNTG_16348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPDPYEILFDQEEYNEEVMMLGSTGEETSTPGILKKVLRKMKRARRRHRKCPKTVGDIMAPRTKKQTNKRPRESSFEPEGMRFAIPEDQARYERLSRLRFGQTRFLDTTILRDLQQGDEFADEFALEVLSSFEFDRAYASYNSLGTIRVRVFGHHHSLSITQFSILLGLYEEVFTDSEEYACDYPTDYPGTLTPAEAYECYVVGVDLSGVSKATCLSRPAYRYLHAIMSRSVNGHGDSTGVLSCQDLLYLYSMVEHVLIHLGHILAEYIKHQG >DRNTG_16452.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17208672:17209611:-1 gene:DRNTG_16452 transcript:DRNTG_16452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRGGRIVESIGEGVTELAPGDHILPVFIRECKDCAHCKSEESKMSTLLRINTDIGVMIGDGLSRSMSQSLPKVH >DRNTG_14872.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2865431:2866011:-1 gene:DRNTG_14872 transcript:DRNTG_14872.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKATKPQGANPTTPATAAKVQQAKPSATKAAAKKVEQKPKDTAK >DRNTG_29549.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11370317:11371350:-1 gene:DRNTG_29549 transcript:DRNTG_29549.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSTREIVLISRIGGVEKLRFEVVNILFETPCHTIVKVLQQASNSWTITINFIRKPVFGNTLV >DRNTG_27818.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27305002:27306369:-1 gene:DRNTG_27818 transcript:DRNTG_27818.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQQESINLPPGFRFHPTDEEIITHYLSPKVLNNSYTTRAIGEVDLNKCEPWDLPSKAKMGEKEWYFYCQKDRKYPTGMRTNRATESGYWKATGKDKEILRGKASLLVGMKKTLVFYRGRAPKGEKTNWVMHEFRLHAKSSSKDEWAVCKVFHKNNGVKKNTLMVNSRGEDQLLDYTSLSPTLPPLTDDQGFIPCSSNNNQSMMNTVYNCYYPQVAPQNSYFQPSGLDQEAMLRACGFGKECKMEQVSLSQDTGLSTDRNIDDFDDPCSSAQVLDFESLWKY >DRNTG_32949.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30924233:30927577:-1 gene:DRNTG_32949 transcript:DRNTG_32949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGESEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVPLDENNEAFEETLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYALQERVDVQTGIKLILNLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMITVNDGSKNLVRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDAINKFNIYPEQIPSWLVDWIPEKGGYLIGNLQPAHMDFRFFSLGNLWAIVSSLTTPRQAEGILNLIEEKWDDLIANMPLKICYPALEYEEWRIITGCDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRPELARKAVAVAEKRLAEDKWPEYYDTKTGRFIGKQARLYQTWTIAGFLTSKMLLENPELASMLTCEEDLELLEGCACSLSKSARTKCSRSAAKSQVLV >DRNTG_31281.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1131476:1135885:1 gene:DRNTG_31281 transcript:DRNTG_31281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEYQKRRQMQSQQQFPGCMGRMINMFDLSSGMYRTKLLTDRAHRDDSPTHTNKSSLVKKSLEPVGIQIRDKPMIKEMKKSFSDKKSCGTPMKMLIAQEMSKEIESNRKPPNVVAKLMGLDTMPVPTRQRSIRESSSYKDVYEVRQQPSQANCVNDQRQQRGKLNEHLNQKKVALGRQKLTETKRLATDESFLHSKQFQEALEVLSSNRDLFLKFLDEPNSLLSKHLNQINTTTSSDQVRHITVLKPSNAVEPKVQRRAFEENIRNKDNHRRCSSLDHFETRNLSSPTRIVVLKPSTEKPCEFKTLAANRIFSQKLLKEKGLDNDLNSMNSNRRDDSLLSSMLSNGYIGDESSFNRSEIEYVEEASGDSEIVTPTSRYSWDYANRLGSPYSLGRASCSSESSVICEARKRISERWVASKGVSQEHMQVRSSSTLGEMLAIAEAKKEGETSRELDLSSNQSFGEDDDPRTSTTCVCIAETKDGANADTSSRNFSRSKSVPVTSSTYDKIELNAAASKPRIIKPNAHEEEMKPKSGKMSLKGKVSSFFFSKNKKPSQQKLIPDITAKKDHGPEETNSSLPSATVTGGSKNSIVSAKAVPSLEKFSVSETPTETLEQPSPVSVLEAPFDDESGISILQSSQSLDTGNLQTLSRSAPIESIARSLPWDDTYMERSSGKRLKLTEAAFKADEEEQEHFNFVQKLLSSAGLDDQSSKIIFSKWHSLDCPLDRVSLDGFFDEKEEGIKYKVNRSNQRLLFDCVNAALLEIGQAAIYNAYPHARSFAETRKEAPSGTSVAEVWKLVRDKFSAQEEYSSEMDNNNVLIDQVVKKEVGGSEWTELLWWELNEFSKEVSKKVLEELIRETLSDLTDPCLC >DRNTG_31281.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1131476:1135885:1 gene:DRNTG_31281 transcript:DRNTG_31281.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNEYQKRRQMQSQQQFPGCMGRMINMFDLSSGMYRTKLLTDRAHRDDSPTHTNKSSLVKKSLEPVGIQIRDKPMIKEMKKSFSDKKSCGTPMKMLIAQEMSKEIESNRKPPNVVAKLMGLDTMPVPTRQRSIRESSSYKDVYEVRQQPSQANCVNDQRQQRGKLNEHLNQKKVALGRQKLTETKRLATDESFLHSKQFQEALEVLSSNRDLFLKFLDEPNSLLSKHLNQINTTTSSDQVRHITVLKPSNAVEPKVQRRAFEENIRNKDNHRRCSSLDHFETRNLSSPTRIVVLKPSTEKPCEFKTLAANRIFSQKLLKEKGLDNDLNSMNSNRRDDSLLSSMLSNGYIGDESSFNRSEIEYVEEASGDSEIVTPTSRYSWDYANRLGSPYSLGRASCSSESSVICEARKRISERWVASKGVSQEHMQVRSSSTLGEMLAIAEAKKEGETSRELDLSSNQSFGEDDDPRTSTTCVCIAETKDGANADTSSRNFSRSKSVPVTSSTYDKIELNAAASKPRIIKPNAHEEEMKPKSGKMSLKGKVSSFFFSKNKKPSQQKLIPDITAKKDHGPEETNSSLPSATVTGGSKNSIVSAKAVPSLEKFSVSETPTETLEQPSPVSVLEAPFDDESGISILQSSQSLDTGNLQTLSRSAPIESIARSLPWDDTYMERSSGKRLKLTEAAFKADEEEQEHFNFVQKLLSSAGLDDQSSKIIFSKWHSLDCPLDRVSLDGFFDEKEEGIKYKVNRSNQRLLFDCVNAALLEIGQAAIYNAYPHARSFAETRKEAPSGTSVAEVWKLVRDKFSAQEEYSSEMDNNNVLIDQVVKKEVGGSEWTELLWWELNEFSKEVSKKVLEELIRETLSDLTDPCLC >DRNTG_31281.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1131476:1135885:1 gene:DRNTG_31281 transcript:DRNTG_31281.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNEYQKRRQMQSQQQFPGCMGRMINMFDLSSGMYRTKLLTDRAHRDDSPTHTNKSSLVKKSLEPVGIQIRDKPMIKEMKKSFSDKKSCGTPMKMLIAQEMSKEIESNRKPPNVVAKLMGLDTMPVPTRQRSIRESSSYKDVYEVRQQPSQANCVNDQRQQRGKLNEHLNQKKVALGRQKLTETKRLATDESFLHSKQFQEALEVLSSNRDLFLKFLDEPNSLLSKHLNQINTTTSSDQVRHITVLKPSNAVEPKVQRRAFEENIRNKDNHRRCSSLDHFETRNLSSPTRIVVLKPSTEKPCEFKTLAANRIFSQKLLKEKGLDNDLNSMNSNRRDDSLLSSMLSNGYIGDESSFNRSEIEYVEEASGDSEIVTPTSRYSWDYANRLGSPYSLGRASCSSESSVICEARKRISERWVASKGVSQEHMQVRSSSTLGEMLAIAEAKKEGETSRELDLSSNQSFGEDDDPRTSTTCVCIAETKDGANADTSSRNFSRSKSVPVTSSTYDKIELNAAASKPRIIKPNAHEEEMKPKSGKMSLKGKVSSFFFSKNKKPSQQKLIPDITAKKDHGPEETNSSLPSATVTGGSKNSIVSAKAVPSLEKFSVSETPTETLEQPSPVSVLEAPFDDESGISILQSSQSLDTGNLQTLSRSAPIESIARSLPWDDTYMERSSGKRLKLTEAAFKADEEEQEHFNFVQKLLSSAGLDDQSSKIIFSKWHSLDCPLDRVSLDGFFDEKEEGIKYKVNRSNQRLLFDCVNAALLEIGQAAIYNAYPHARSFAETRKEAPSGTSVAEVWKLVRDKFSAQEEYSSEMDNNNVLIDQVVKKEVGGSEWTELLWWELNEFSKEVSKKVLEELIRETLSDLTDPCLC >DRNTG_31281.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1131476:1135885:1 gene:DRNTG_31281 transcript:DRNTG_31281.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLGVEMNEYQKRRQMQSQQQFPGCMGRMINMFDLSSGMYRTKLLTDRAHRDDSPTHTNKSSLVKKSLEPVGIQIRDKPMIKEMKKSFSDKKSCGTPMKMLIAQEMSKEIESNRKPPNVVAKLMGLDTMPVPTRQRSIRESSSYKDVYEVRQQPSQANCVNDQRQQRGKLNEHLNQKKVALGRQKLTETKRLATDESFLHSKQFQEALEVLSSNRDLFLKFLDEPNSLLSKHLNQINTTTSSDQVRHITVLKPSNAVEPKVQRRAFEENIRNKDNHRRCSSLDHFETRNLSSPTRIVVLKPSTEKPCEFKTLAANRIFSQKLLKEKGLDNDLNSMNSNRRDDSLLSSMLSNGYIGDESSFNRSEIEYVEEASGDSEIVTPTSRYSWDYANRLGSPYSLGRASCSSESSVICEARKRISERWVASKGVSQEHMQVRSSSTLGEMLAIAEAKKEGETSRELDLSSNQSFGEDDDPRTSTTCVCIAETKDGANADTSSRNFSRSKSVPVTSSTYDKIELNAAASKPRIIKPNAHEEEMKPKSGKMSLKGKVSSFFFSKNKKPSQQKLIPDITAKKDHGPEETNSSLPSATVTGGSKNSIVSAKAVPSLEKFSVSETPTETLEQPSPVSVLEAPFDDESGISILQSSQSLDTGNLQTLSRSAPIESIARSLPWDDTYMERSSGKRLKLTEAAFKADEEEQEHFNFVQKLLSSAGLDDQSSKIIFSKWHSLDCPLDRVSLDGFFDEKEEGIKYKVNRSNQRLLFDCVNAALLEIGQAAIYNAYPHARSFAETRKEAPSGTSVAEVWKLVRDKFSAQEEYSSEMDNNNVLIDQVVKKEVGGSEWTELLWWELNEFSKEVSKKVLEELIRETLSDLTDPCLC >DRNTG_31281.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1131476:1135885:1 gene:DRNTG_31281 transcript:DRNTG_31281.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNEYQKRRQMQSQQQFPGCMGRMINMFDLSSGMYRTKLLTDRAHRDDSPTHTNKSSLVKKSLEPVGIQIRDKPMIKEMKKSFSDKKSCGTPMKMLIAQEMSKEIESNRKPPNVVAKLMGLDTMPVPTRQRSIRESSSYKDVYEVRQQPSQANCVNDQRQQRGKLNEHLNQKKVALGRQKLTETKRLATDESFLHSKQFQEALEVLSSNRDLFLKFLDEPNSLLSKHLNQINTTTSSDQVRHITVLKPSNAVEPKVQRRAFEENIRNKDNHRRCSSLDHFETRNLSSPTRIVVLKPSTEKPCEFKTLAANRIFSQKLLKEKGLDNDLNSMNSNRRDDSLLSSMLSNGYIGDESSFNRSEIEYVEEASGDSEIVTPTSRYSWDYANRLGSPYSLGRASCSSESSVICEARKRISERWVASKGVSQEHMQVRSSSTLGEMLAIAEAKKEGETSRELDLSSNQSFGEDDDPRTSTTCVCIAETKDGANADTSSRNFSRSKSVPVTSSTYDKIELNAAASKPRIIKPNAHEEEMKPKSGKMSLKGKVSSFFFSKNKKPSQQKLIPDITAKKDHGPEETNSSLPSATVTGGSKNSIVSAKAVPSLEKFSVSETPTETLEQPSPVSVLEAPFDDESGISILQSSQSLDTGNLQTLSRSAPIESIARSLPWDDTYMERSSGKRLKLTEAAFKADEEEQEHFNFVQKLLSSAGLDDQSSKIIFSKWHSLDCPLDRVSLDGFFDEKEEGIKYKVNRSNQRLLFDCVNAALLEIGQAAIYNAYPHARSFAETRKEAPSGTSVAEVWKLVRDKFSAQEEYSSEMDNNNVLIDQVVKKEVGGSEWTELLWWELNEFSKEVSKKVLEELIRETLSDLTDPCLC >DRNTG_08982.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10433525:10437920:-1 gene:DRNTG_08982 transcript:DRNTG_08982.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVNRKKLDKPLGALSIPRRHRDVRLALATTVVCDCNYGIAFRGSECFPETAQGHASDPKQADKQPRESSPKSESMRFTIPEHQAREPAIWELTMEVLSTFEFDRFYARFDNLDTILFRALGHDYSLSFTPFSVQFSLYEEAFTDTEEYSQLLTDYPGAPLNGRGDSTGVLNRQELLYLYSMMQRIPIHIGHIVTEYIRHQGQYAKLGQIFSGPDKCLVVRPSMKEIGQCGSQCTNFGGYLTKSTEEQCAIFEYVERRSRRSKPEKQNFHAWKLSLPVEIRASGASTPVESLDSSPYLKSRFSPDFGILFSIYSLTCERASSRVSRGIG >DRNTG_21326.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9178818:9181380:-1 gene:DRNTG_21326 transcript:DRNTG_21326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSIFRPDTVAKYCSSTVHSRPRKSIHVPEEKSNNLNTSHSH >DRNTG_26166.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29243447:29249566:-1 gene:DRNTG_26166 transcript:DRNTG_26166.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEDD8-activating enzyme E1 catalytic subunit [Source:Projected from Arabidopsis thaliana (AT5G19180) UniProtKB/Swiss-Prot;Acc:O65041] MAEAVAPEMRWRDVNKLLARPGNIVAPTFEHSPQLRDDLGKYVKVLVVGAGGLGCELLKDLALMGFQNIDVIDMDTIEVSNLNRQFLFRLQDVGKSKAEVAAKRVMQRVSGVTIVPHFCRIEDKDIKFYNNFQIIVLGLDSIEARSYINSVACSFLEYDENDNPLEETVKPMVDGGTEGFKGHARVIMPGTTPCFECNIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIRWDEVHSGKTFDADNPEHMQWVYSEAVKRADLFGITGVTYSLTQGVVKNIIPAIASTNAIISAACALEVFKLVSGCSKTLSNYLTFNGLDGIHIKATDFVREKDCLVCGPGTLIELDTSVTLQQFIESLAEHPKLLISKPSVTYRGNNLYMQAPPILEEMTRANLNLPLFDLMDKVPKGTVHVSGTTNKEGKKTSCLRKLCVVFKGVDGIVDMEVAGAS >DRNTG_05725.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9111718:9115239:-1 gene:DRNTG_05725 transcript:DRNTG_05725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDVHKIHSEGHPHVPMSSRDGRELSPCGISCDVSLHASSSRASSS >DRNTG_31542.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001718.1:45227:46324:-1 gene:DRNTG_31542 transcript:DRNTG_31542.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIQVSMVSRLKHDNFVELLGYSVEGNMRLLAYEFATMGSLHDVLHGRKGVQGAQPGPVLSWMQRVRVAIDAAKG >DRNTG_25292.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001327.1:27870:29644:-1 gene:DRNTG_25292 transcript:DRNTG_25292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTIYDRFSEIKDFDEAKAGVKGLVDAGITEVPRFFIHPTEKISTSPTTELQIPVIDMKDVNMRKKEIVEKVKEASETIGFFKVVNHGVPNKAMDEMLDAIKRFMEDDEEVKKKYYTRDYKRKVVYNCNFDLYSSPAANWRDSLFLNMAPDPPEKEEVPHAFREIVFEYSFHMKKLGEVLLELISEALGLKPDYLKEMECGKGIGIACHYYPPCPEPHLALGTSKHSDRGFLTILLHDKTIGGLQILYKNKWVDVPPSPGCLIINIADLLQLISNDKLKSVEHRVLASKEGPRLSVACFLVTQYSPSSMVYGPIMELLANGSAPIYREVVIDEFNKYYYSKGLDGKSALDHFKL >DRNTG_04554.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22612674:22614595:-1 gene:DRNTG_04554 transcript:DRNTG_04554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEEEPSWFARWEEQLPSPDELMPLSQTLITPDLALAFDIPTTHQHPNPSPNPLPPVPHQSDFESPDLTGGGGGATANGGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLSSSGGGPASGVSHSAEDQLFASAPVPHHFLTRGPATAADPFMSYAPAVAALQHHQMAAAAVAAHQHQYYHQQQQQQQQQRHLGHFGSPPANGFADHGFLTRAVGQPGLHRMVGPGGVGIVQPPAPAPAPPSTAGSYVEDLESGTGGGNRRVLTLFPTNED >DRNTG_27543.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1663408:1667190:-1 gene:DRNTG_27543 transcript:DRNTG_27543.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVILLSFSIYFSLFTFWFSVEFSSSSSSFFVLLVAGYGMECSGFMRGCGMRLAVAGYGVFGIDYEGHGRSMGARCYIKKFDHIVADCDRFFKSICGHEEFRDKCRFLYGESMGGAVALRLHRKDPTFWDGAVLVAPMCKISEKVKPHPVVVNLLTRVEEIIPKWKIVPDERCN >DRNTG_27543.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1663408:1667190:-1 gene:DRNTG_27543 transcript:DRNTG_27543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEVDYQEEYIRNQRGVELFTCRWLPLLSPPKALVFLCHGYGMECSGFMRGCGMRLAVAGYGVFGIDYEGHGRSMGARCYIKKFDHIVADCDRFFKSICGHEEFRDKCRFLYGESMGGAVALRLHRKDPTFWDGAVLVAPMCKISEKVKPHPVVVNLLTRVEEIIPKWKIVPDERCN >DRNTG_27543.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1665156:1666915:-1 gene:DRNTG_27543 transcript:DRNTG_27543.4 gene_biotype:protein_coding transcript_biotype:protein_coding MECSGFMRGCGMRLAVAGYGVFGIDYEGHGRSMGARCYIKKFDHIVADCDRFFKSIC >DRNTG_27543.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1663408:1665543:-1 gene:DRNTG_27543 transcript:DRNTG_27543.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAVAGYGVFGIDYEGHGRSMGARCYIKKFDHIVADCDRFFKSICGHEEFRDKCRFLYGESMGGAVALRLHRKDPTFWDGAVLVAPMCKISEKVKPHPVVVNLLTRVEEIIPKWKIVPDERCN >DRNTG_02935.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4100774:4105800:1 gene:DRNTG_02935 transcript:DRNTG_02935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPKIKLSRIDLEWVHVLSEGWASPLSGFMRESEFLQTLHFNSIRLQDGSVVNMSVPIVLAIDDAQKRDIGDRRRVALVDASDKIVAVLSDIEIYKHNKEERIARTWGTTAPGLPYVEEAITNAGNWLIGGDLEVIEPIKYNDGLDHYRLSPTQLREEFSRRNADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYQNPVLLLHPLGGFTKADDVPLKWRIKQHEKVLEEGVLNPETTVIAIFPSPMHYAGPTEVQWHAKSRINAGANFYIVGRDPAGMSHPIEKRDLYDADHGKKVLSMAPGLEKLNILPFKVAAYDKKQMKMDFFDPSRAQDFLFISGTKMRNLAKNRENPPDGFMCPGGWKVLCEYYGSLAAPLEGSKLRAAVPA >DRNTG_29962.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11554926:11564102:-1 gene:DRNTG_29962 transcript:DRNTG_29962.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPRHPTAALERQLLDEVLHLKSLWRRGPLGNHPPQPSSPPQQPCAHPADTAVSQNPENKINKRKGRGRRMEQSRKRLKEDHQQQKKVSSLAPDPPVVEGKCPCLPSPTSKPEFSKRRKPLPKAVRVQETAIRASCKFFGKSNFIDDDDDDDDDDDDENEEDMMEEDEDKEDNAESRAFSFFLGLFDQNEELRRLYEKNQKKRRLYEKNQKKREFVCLVCQAMGKKVEKRFLNCVGLVHHSKSISNMRESHQGFANAVCRVMGWDMERRKSLLLSADDQATADEVRVQESGIRASCEFFRKSSSSDDGDEEDEEDVMEEDEDEEDDAESRASRFFLGLFQKDGELKRYYEKNWEKGEFFCLVCQGMGKKVGKRFLNCVGLVQHSKSIFKTRESHRGFANAVCRVMSWDIERLPSIVLDLKDSLGQSLAKADKPQIQERMCEEASFDMSKRVVEDIAANVETNKEVVGSSSRGIEFLVEGQGMHEQPSSDMKEVVNTELSKQVSVSSSPLRDMEGFPEEGKHKYGPSYMREEVPKEVVNEEGNKEDLTSPSPTGNMDALPDVSSVPQGLSTAVISVTVCPLRYACKLLLVLLVLVPLEWLVVLPLLACSKLNSNYTL >DRNTG_29962.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11554926:11564102:-1 gene:DRNTG_29962 transcript:DRNTG_29962.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLYPMFGSARAINRCYISDCVPSKIRLQASAGFVSASALGMACGPALAGLLQTKFKLYFVTFNQDTLPGWVMALAWLYI >DRNTG_29962.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11554926:11564102:-1 gene:DRNTG_29962 transcript:DRNTG_29962.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLTLVNRFLYMVNLCIIGPTADDYTINLGGAATVCGVIIGAMVVAQIFSSVYFSAWSNKSYMSPLVFSTILLLVGNTLYELAFDLQSITVLLIGRLLCGKHSIYFKHGNKFFD >DRNTG_29962.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11554926:11564102:-1 gene:DRNTG_29962 transcript:DRNTG_29962.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYPMFGSARAINRCYISDCVPSKIRLQASAGFVSASALGMACGPALAGLLQTKFKLYFVTFNQDTLPGWVMALAWLYI >DRNTG_29962.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11559214:11564102:-1 gene:DRNTG_29962 transcript:DRNTG_29962.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLTLVNRFLYMVNLCIIGPTADDYTINLGGAATVCGVIIGAMVVAQIFSSVYFSAWSNKSYMSPLVFSTILLLVGNTLYELAFDLQSITVLLIGRLLCGKHSIYFKHGNKFFD >DRNTG_04955.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3938318:3938860:1 gene:DRNTG_04955 transcript:DRNTG_04955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTWQKMAAMARKISSPRANEHSDFSACSTSSVAEKGHFNVYTLEGKRFMIPLVYLNNVVFKELLKISEEEFGLPGDGPITLTCDAVSMEYVLSMLRRGVSQEVERALLSSIFINTSPSTCSTFSVQNTQQLTICSF >DRNTG_23280.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1350993:1386837:-1 gene:DRNTG_23280 transcript:DRNTG_23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRSQEAWDCMLPGPSSRNNGGCADCSAGGLLAYGAGSSIVVADPRSMQLISVLPMPAPSQSSPSPLLVTSGHALAPFVTALRWIPHSISRDLSTQDEPSNSHLRLAVGDRQGRIAIWDFRSRLVVQWLDLDSGGAERSRLGIQDLCWILSDTWLLAAIHGPSLLALWNPIAGRCIWKYDASPEFLSCIRRDPFDCRHLCVLGLRGFLLSAIALSGGMEGDVSIKEHQISGVLDHAELQKLDKESSSASPNSPALAVFPLFCAKFSFSPLWRHILLITFPKELIVFDLQYGASLSSTSLPRGMGKFMDVMPDSGMDQLYCAHLDGKLSTWKRKEGEQVHALSKMGELMPSIGTAVPSPSVLAVILCQSESFLQKVEKLSVPSLSANLSSAACFSLPSDLCTRTDLVSNSYLVSISDDGKIWSWLLASDNSTGVQDTSSKMTKAADICEETVLQLQTEAKESEPVESANIRAISSSPQGSNFFTKMSLIGQLQLLSSTVSTLAVPAPSLIATVARGGNVPAPAVPLVALGTQSGTIDVVDVSANAVAMSFSVHNSTVRGLRWLGNSRLVSFSYNQVNEKVGGFNNRLVVTCLRSGLNRPFRVLQKSERAPIRAIRASSSGRYLLIVFRDAPVEVWAMTKNPIMLRSLALPFTVLEWTLPSAPRPLQNGSSRQSSISSKEQSSNNISSGDASPTNLKTTPEGSGDETSESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFVSSDGLVTAMAYRLPHVVMGDRLGNIRWWDVTTGLSSSFNTHKEGIRRIKFSPVVSGDCSRGRIAVLFYDNTFSIFDLDTSDPLANALLQPQSPGTLVLELDWLPMRTNKDEPLVLCIAGADSSFRLIEVNINGAKTSSGFNPRMFKERFRPIPLCLPILFPTAHASALRMVLQMGVKPSWFSSCNTTMAGVTSHIPENGLASVGDLRSYMIKSDLPDVGDSVVPELLLKVLEPYRKEGCIFDDERARLYASVVNKGFAARLAFAAACFGEFSEALFWMQLPHALLHFVDKSSSKSSKDASQSDSLSVAANISIPNIMPLAKNSMPERRMHYSMNNGQLKVMAFAQEELWGAATERIPWHERLEGEEAIQKRVHELISIGNLESAVSLLLSTPPEGSHFYPNALRAVALSSAVSRSLHELAVKVVAANMVRTDKTLSGIHLLCAVGKYQEACSQLQDAGCWTDAATLAATHLHGSDYARVLQRWADYVLHSEHNIWRALILYVASGALHEALAALRNAQQPDSAAMFLLACHEINADSFLKSQDSDKAVGEKLKFFLPGKSMRDEDLIAVSEYYGQYQRKLVHLCMDTAPLLY >DRNTG_23280.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1350993:1386746:-1 gene:DRNTG_23280 transcript:DRNTG_23280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRSQEAWDCMLPGPSSRNNGGCADCSAGGLLAYGAGSSIVVADPRSMQLISVLPMPAPSQSSPSPLLVTSGHALAPFVTALRWIPHSISRDLSTQDEPSNSHLRLAVGDRQGRIAIWDFRSRLVVQWLDLDSGGAERSRLGIQDLCWILSDTWLLAAIHGPSLLALWNPIAGRCIWKYDASPEFLSCIRRDPFDCRHLCVLGLRGFLLSAIALSGGMEGDVSIKEHQISGVLDHAELQKLDKESSSASPNSPALAVFPLFCAKFSFSPLWRHILLITFPKELIVFDLQYGASLSSTSLPRGMGKFMDVMPDSGMDQLYCAHLDGKLSTWKRKEGEQVHALSKMGELMPSIGTAVPSPSVLAVILCQSESFLQKVEKLSVPSLSANLSSAACFSLPSDLCTRTDLVSNSYLVSISDDGKIWSWLLASDNSTGVQDTSSKMTKAADICEETVLQLQTEAKESEPVESANIRAISSSPQGSNFFTKMSLIGQLQLLSSTVSTLAVPAPSLIATVARGGNVPAPAVPLVALGTQSGTIDVVDVSANAVAMSFSVHNSTVRGLRWLGNSRLVSFSYNQVNEKVGGFNNRLVVTCLRSGLNRPFRVLQKSERAPIRAIRASSSGRYLLIVFRDAPVEVWAMTKNPIMLRSLALPFTVLEWTLPSAPRPLQNGSSRQSSISSKEQSSNNISSGDASPTNLKTTPEGSGDETSESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFVSSDGLVTAMAYRLPHVVMGDRLGNIRWWDVTTGLSSSFNTHKEGIRRIKFSPVVSGDCSRGRIAVLFYDNTFSIFDLDTSDPLANALLQPQSPGTLVLELDWLPMRTNKDEPLVLCIAGADSSFRLIEVNINGAKTSSGFNPRMFKERFRPIPLCLPILFPTAHASALRMVLQMGVKPSWFSSCNTTMAGVTSHIPENGLASVGDLRSYMIKSDLPDVGDSVVPELLLKVLEPYRKEGCIFDDERARLYASVVNKGFAARLAFAAACFGEFSEALFWMQLPHALLHFVDKSSSKSSKDASQSDSLSVAANISIPNIMPLAKNSMPERRMHYSMNNGQLKVMAFAQEELWGAATERIPWHERLEGEEAIQKRVHELISIGNLESAVSLLLSTPPEGSHFYPNALRAVALSSAVSRSLHELAVKVVAANMVRTDKTLSGIHLLCAVGKYQEACSQLQDAGCWTDAATLAATHLHGSDYARVLQRWADYVLHSEHNIWRALILYVASGALHEALAALRNAQQPDSAAMFLLACHEINADSFLKSQDSDKAVGEKLKFFLPGKSMRDEDLIAVSEYYGQYQRKLVHLCMDTAPLLY >DRNTG_16199.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12729304:12739240:-1 gene:DRNTG_16199 transcript:DRNTG_16199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFRSELLDVIDDEPSTPTAAAVDVTAGSPSFVGSDLSTGNATEEGDQSGLVFERVAPDEFLKVRDKALELVGEIGSGKGRRSDSNINGCGGEGTEGSQAGWHGCRSRGHGGGGGRRRGGLSEGRVSERAESDELSTSARIVTSNKSGTLQREGITGRARSCSTHAQLEYALERSMQTVLLLKALGTPILK >DRNTG_16735.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000845.1:68071:72676:1 gene:DRNTG_16735 transcript:DRNTG_16735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFHRPVWILCFYGFSAGCERCCYSTCCSVATFLCYSLRPTFNLMTTSQSDRLHMLSRISLGITRQASLWPFR >DRNTG_28371.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2349828:2352034:-1 gene:DRNTG_28371 transcript:DRNTG_28371.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWLSGVIDNALYPVLFLDYLKSSVPTLGGGLPRTLAVIVLTALLTYMNYRGLSIVGWVAVLLGVFSILPFFIMGLVSIPKQRPSQWLISYVHNVDWNLYLNTLFWNLNYWDSISTLAGEVENPGKTLPKALFYALILVVISYLYPLLTGTGAVPLDRKLWTDGYFSDIAKILGGDWLKWWIQAASAVSNMGMFVAEMSSDSYQLLGMAERGMLPEFFSKRSRHGTPLVGILFSACGVLLLSWMSFQEIVAAENFLYCFGMLLEFVAFIRLRVKYPCASRPYRIPLGTIGSMLMLIPPTILIFIVLAFASHKVMVVSMGAMLAGVVLHPFLAFLDRNQWLKFSVRSELPDFSSFKPEDATESESLLN >DRNTG_27423.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28545253:28548188:-1 gene:DRNTG_27423 transcript:DRNTG_27423.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSLPNAAKSGVLPEGSGVRASADYDLEEPVKADDVSDSFLVGNTVSKLMKLTVTSESLVPDVKWEDVGGLEDVKKALLEAIQLPLLHKDQFSTGLQKRSGVLLYGPPGTGKTLLANAVAGECSLNLLTVNWSELIDRVSGESEKNVRAIFQKARSLRPCVIFFDYLDFLARRRSDRNASGDSDGLACEMNKVFTQVLAEIDALHVSTEDIFIIGASNMPDFIDPAFLCPGRFDKLIYVGVNPDASFRESVLRVVTRGINLHESVSLLSVAEQCPPYFTGWCVSGLCETAWDFAAMRQVGACRGNDDSLSVDEDAVIVEMDDFMEALELVK >DRNTG_27423.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28545253:28548188:-1 gene:DRNTG_27423 transcript:DRNTG_27423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSLPNAAKSGVLPEGSGVRASADYDLEEPVKADDVSDSFLVGNTVSKLMKLTVTSESLVPDVKWEDVGGLEDVKKALLEAIQLPLLHKDQFSTGLQKRSGVLLYGPPGTGKTLLANAVAGECSLNLLTVNWSELIDRVSGESEKNVRAIFQKARSLRPCVIFFDYLDFLARRRSDRNASGDSDGLACEMNKVFTQVLAEIDALHVSTEDIFIIGASNMPDFIDPAFLCPGRFDKLIYVGVNPDASFRESVLRVVTRGINLHESVSLLSVAEQCPPYFTGWCVSGLCETAWDFAAMRQVVVFLIR >DRNTG_27423.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28545253:28548188:-1 gene:DRNTG_27423 transcript:DRNTG_27423.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSLPNAAKSGVLPEGSGVRASADYDLEEPVKADDVSDSFLVGNTVSKLMKLTVTSESLVPDVKWEDVGGLEDVKKALLEAIQLPLLHKDQFSTGLQKRSGVLLYGPPGTGKTLLANAVAGECSLNLLTVNWSELIDRVSGESEKNVRAIFQKARSLRPCVIFFDYLDFLARRRSDRNASGDSDGLACEMNKVFTQVLAEIDALHVSTEDIFIIGASNMPDFIDPAFLCPGRFDKLIYVGVNPDASFRESVLRVVTRGINLHESVSLLSVAEQCPPYFTGWGLVEGMMIL >DRNTG_27423.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28545253:28548188:-1 gene:DRNTG_27423 transcript:DRNTG_27423.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSLPNAAKSGVLPEGSGVRASADYDLEEPVKADDVSDSFLVGNTVSKLMKLTVTSESLVPDVKWEDVGGLEDVKKALLEAIQLPLLHKDQFSTGLQKRSGVLLYGPPGTGKTLLANAVAGECSLNLLTVNWSELIDRVSGESEKNVRAIFQKARSLRPCVIFFDYLDFLARRRSDRNASGDSDGLACEMNKVFTQVLAEIDALHVSTEDIFIIGASNMPDFIDPAFLCPGRFDKLIYVGVNPDASFRESVLRVVTRGINLHESVSLLSVAEQCPPYFTGWCVSGLCETAWDFAAMRQGACRGNDDSLSVDEDAVIVEMDDFMEALELVK >DRNTG_27423.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28545253:28548188:-1 gene:DRNTG_27423 transcript:DRNTG_27423.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSLPNAAKSGVLPEGSGVRASADYDLEEPVKADDVSDSFLVGNTVSKLMKLTVTSESLVPDVKWEDVGGLEDVKKALLEAIQLPLLHKDQFSTGLQKRSGVLLYGPPGTGKTLLANAVAGECSLNLLTVNWSELIDRVSGESEKNVRAIFQKARSLRPCVIFFDYLDFLARRRSDRNASGDSDGLACEMNKVFTQVLAEIDALHVSTEDIFIIGASNMPDFIDPAFLCPGRFDKLIYVGVNPDASFRESVLRVVTRGINLHESVSLLSVAEQCPPYFTGWCVSGLCETAWDFAAMRQVVVFLIR >DRNTG_15552.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18032488:18033933:1 gene:DRNTG_15552 transcript:DRNTG_15552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLRRSVLFGLHCEIFGLRSLFHSSDWTISNPPVHVDASLPPPSSSNGHREREDVFQPDSPRCSSSFQLHRSHPLSITPFTVASPPPRSSSNGGREREREREKERERERSNE >DRNTG_15552.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18033175:18033933:1 gene:DRNTG_15552 transcript:DRNTG_15552.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLRRSVLFGLHCEIFGLRSLFHSSDWTISNPPVHVDASLPPPSSSNGHREREDVFQPDSPRCSSSFQLLQWQQRDI >DRNTG_15552.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18032488:18033933:1 gene:DRNTG_15552 transcript:DRNTG_15552.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLRRSVLFGLHCEIFGLRSLFHSSDWTISNPPVHVDASLPPPSSSNGHREREDVFQPTDSPRCSSSFQLLQWQQRDI >DRNTG_11842.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:174669:175491:-1 gene:DRNTG_11842 transcript:DRNTG_11842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQHEQPQTTEGRTCRCALSKPQVEH >DRNTG_18585.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14656278:14669332:-1 gene:DRNTG_18585 transcript:DRNTG_18585.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 14 [Source:Projected from Arabidopsis thaliana (AT3G20630) UniProtKB/Swiss-Prot;Acc:Q8L6Y1] MELLRAHLSRVRIPEPTNRVYKNECCISFETPRSEGGLFVDLSSFLAFGKEYVMWNYEKTGNPVYLHIQQRKKPVSEDRPLKKPTLLAIGVEGGFDGQEPEYEETYNIIILPDYISLPFPSVELPEKVRFAVDAILLAEGAERKQQLAAWTAEKKKTSAYALDLPQINNGVIIPPSGWKCCKCDKTDNLWLNLTDGMILCGRSNWDGTGGNNHAIEHYLEKNYPLAVKLGTITADLEAADVFSYPEDDSVEDPLLAQHLAFFGIDFSSLQKTEMTTAERELDQNTNFDWNRIQESGKDMVLLFGPGYTGLDNLGNSCYMASVMQVVFSTHSFISRYYRENSLKAAFDLAPADPTLDLNTQLTKLGHGLLSGKYSVPAVEVDVKGNCSDDTLNVKQVGISPRMFKSVIAASHPEFSSMRQQDALEFFLHFLDQVEQANAGRSDYDPSRCFKFFIEERLECPSGKVAYNKRADYILSLNIPLHEAINKEQLDAFNKLKNDKMLEGQEIGSEEIVRPRVPLEACLATFSAPEEVHGFYSTALKGKTTAIKTAGLTTFPDYLVLHMRKFVMEEGWVPKKLDVYIDVPDVIDITNMRSKGLQSGEELLPDTAAETSETTIFTANEDIVSKLVDMGFNSLHCKKAAINTSNAGVEEAMTWLLSHIDDPDINDPISHESLDADFPSVDESSVDTLISFGFQEHVARKALKISGGNIEKATDWIFTHPEEMDPAPTEATSSTPMAVDPGVPDGSGRYKLMAIVSHMGTSTHCGHYVAHVLKDGRWAIFNDRKVAVSLNPPKDMGYLYFFERISS >DRNTG_18585.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14656278:14669332:-1 gene:DRNTG_18585 transcript:DRNTG_18585.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 14 [Source:Projected from Arabidopsis thaliana (AT3G20630) UniProtKB/Swiss-Prot;Acc:Q8L6Y1] MELLRAHLSRVRIPEPTNRVYKNECCISFETPRSEGGLFVDLSSFLAFGKEYVMWNYEKTGNPVYLHIQQRKKPVSEDRPLKKPTLLAIGVEGGFDGQEPEYEETYNIIILPDYISLPFPSVELPEKVRFAVDAILLAEGAERKQQLAAWTAEKKKTSAYALDLPQINNGVIIPPSGWKCCKCDKTDNLWLNLTDGMILCGRSNWDGTGGNNHAIEHYLEKNYPLAVKLGTITADLEAADVFSYPEDDSVEDPLLAQHLAFFGIDFSSLQKTEMTTAERELDQNTNFDWNRIQESGKDMVLLFGPGYTGLDNLGNSCYMASVMQVVFSTHSFISRYYRENSLKAAFDLAPADPTLDLNTQLTKLGHGLLSGKYSVPAVEVDVKGNCSDDTLNVKQVGISPRMFKSVIAASHPEFSSMRQQDALEFFLHFLDQVEQANAGRSDYDPSRCFKFFIEERLECPSGKVAYNKRADYILSLNIPLHEAINKEQLDAFNKLKNDKMLEGQEIGSEEIVRPRVPLEACLATFSAPEEVHGFYSTALKGKTTAIKTAGLTTFPDYLVLHMRKFVMEEGWVPKKLDVYIDVPDVIDITNMRSKGLQSGEELLPDTAAAETSETTIFTANEDIVSKLVDMGFNSLHCKKAAINTSNAGVEEAMTWLLSHIDDPDINDPISHESLDADFPSVDESSVDTLISFGFQEHVARKALKISGGNIEKATDWIFTHPEEMDPAPTEATSSTPMAVDPGVPDGSGRYKLMAIVSHMGTSTHCGHYVAHVLKDGRWAIFNDRKVAVSLNPPKDMGYLYFFERISS >DRNTG_18585.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14656278:14669332:-1 gene:DRNTG_18585 transcript:DRNTG_18585.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 14 [Source:Projected from Arabidopsis thaliana (AT3G20630) UniProtKB/Swiss-Prot;Acc:Q8L6Y1] MWNYEKTGNPVYLHIQQRKKPVSEDRPLKKPTLLAIGVEGGFDGQEPEYEETYNIIILPDYISLPFPSVELPEKVRFAVDAILLAEGAERKQQLAAWTAEKKKTSAYALDLPQINNGVIIPPSGWKCCKCDKTDNLWLNLTDGMILCGRSNWDGTGGNNHAIEHYLEKNYPLAVKLGTITADLEAADVFSYPEDDSVEDPLLAQHLAFFGIDFSSLQKTEMTTAERELDQNTNFDWNRIQESGKDMVLLFGPGYTGLDNLGNSCYMASVMQVVFSTHSFISRYYRENSLKAAFDLAPADPTLDLNTQLTKLGHGLLSGKYSVPAVEVDVKGNCSDDTLNVKQVGISPRMFKSVIAASHPEFSSMRQQDALEFFLHFLDQVEQANAGRSDYDPSRCFKFFIEERLECPSGKVAYNKRADYILSLNIPLHEAINKEQLDAFNKLKNDKMLEGQEIGSEEIVRPRVPLEACLATFSAPEEVHGFYSTALKGKTTAIKTAGLTTFPDYLVLHMRKFVMEEGWVPKKLDVYIDVPDVIDITNMRSKGLQSGEELLPDTAAETSETTIFTANEDIVSKLVDMGFNSLHCKKAAINTSNAGVEEAMTWLLSHIDDPDINDPISHESLDADFPSVDESSVDTLISFGFQEHVARKALKISGGNIEKATDWIFTHPEEMDPAPTEATSSTPMAVDPGVPDGSGRYKLMAIVSHMGTSTHCGHYVAHVLKDGRWAIFNDRKVAVSLNPPKDMGYLYFFERISS >DRNTG_09901.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29237132:29240163:-1 gene:DRNTG_09901 transcript:DRNTG_09901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASMHVKHQVRIFTIQDTTNMITYSQKLDKQKNTKKNRLRRGRSWVYTHTNAPYLQ >DRNTG_30184.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5544024:5546423:1 gene:DRNTG_30184 transcript:DRNTG_30184.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRASETAMEAVAVAGVLSLKKLITMLTSKQSKHKASLEKEKEKMMDLDTQAMANKAMSEFHKLINLLGQTCSGHARFRRAPFMFKSSLSCSNMVYAPQPLQCLPPRALDNGFVKRRKGKGRMRRMVRVPAVNMKMVNVPSDHFSWRKYGQKPIKGSPYPRGYYRCSSMSGCPARKHVERAVDEPEMLMITYEGEHNHVHLPNINVAHEELCPHDEDQLVLGLR >DRNTG_06002.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19544385:19545592:-1 gene:DRNTG_06002 transcript:DRNTG_06002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSDALRDTDQTVNPSSLGLETLRMMGVVRRYGPGAYILTTTTTESAGGGMDAAESSAPTATETSGGPCTLSGAYDHIERLESTVSVLRFEIIKMWSIQSAQYFDMMARFDFLRRLLRGRPPAPPASPSPPPPAPFDLVPTAAEDPECDIDT >DRNTG_09447.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21256870:21257093:-1 gene:DRNTG_09447 transcript:DRNTG_09447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIRMNLGDPDFVDATKYESLMLSSSFAEKIRHKILDNTTFDPS >DRNTG_05074.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4578706:4584219:-1 gene:DRNTG_05074 transcript:DRNTG_05074.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-dioxygenase 1 [Source:Projected from Arabidopsis thaliana (AT3G01420) UniProtKB/Swiss-Prot;Acc:Q9SGH6] MSLCLVKPFIHPSFHEVLKHMSFGDRLSFLAVHTIDKLNLWHRLPVLLGLLYLQARRTLHEKYNLIMVGERDNTPFNPDQYPYRTSNGEYNNPESVKEGSQLTFFGRNMPPMDQKDELMSPDPVMVAAKFLARRTFKDTGKQFNVIAASWIQFMIHDWIDHLEDTQQVELVAPPEIASECPLKSFKFFKTKEVPTNAQGIKSGHLNIRTPWWDASAIYGSDSNKSSKVRSFVDGKLKIGDNNLLRHMHNGIALSGDIRNSWAGVSVLQALFVKEHNAVCDALKSEYPKLTDDELYHYARLVTSAVIAKIHTIDWTVELLKTDTMNAGMHANWYGLLGKRFKSIFGHVGGTALGGLVGLDKPNNHGVPYSLTEDFTSVYRMHSLLPDTLTLRNINANPGPNKSPAILEDIKMEELIGIKGEESLASIGFERQLVSMGHQASGALELWNYPLFFRDLVAQNVDGTPRPDHVDLAALEVYRDRERNVPRYNQFRRGMLMIPISKWEDLTDDKEAIETLREVYGDDVEKLDLIVGLMAEKKIKGFAISETAFFIFIIMASRRLEADRFFTSYFNEKTYTKKGFAWVNNTESLRDVITRHFPEITTKWLNSTSAFSVWDSPPNSFNFIPLLLRIP >DRNTG_28095.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1584243:1585721:-1 gene:DRNTG_28095 transcript:DRNTG_28095.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSNCNSSFGPPMQVMEKHQTPTQSIIKELLKLKVETK >DRNTG_28095.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1584243:1585721:-1 gene:DRNTG_28095 transcript:DRNTG_28095.3 gene_biotype:protein_coding transcript_biotype:protein_coding IRNYHIKSTKVSIVTLRYGETPNSHPKHHQRTPQVES >DRNTG_28095.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1584243:1585721:-1 gene:DRNTG_28095 transcript:DRNTG_28095.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPSFDALIVIQALVLLCRLWRNTKLPPKASSKNSHKILKPSPQVES >DRNTG_15375.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26922962:26923512:1 gene:DRNTG_15375 transcript:DRNTG_15375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILAGAHTIGRSHCSSFSNRLYNFNSTVSQDPSLDPTYAKQLKKQCPNGSTNASLVVPMDPDTPTKFDSNYYKLILANRGLFTSDQTLISTPQTKKQVQVNAYVPLYFQLKFRDAFVKMGKIGVLTGKQGEIRINCRVINS >DRNTG_01680.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23801907:23803265:-1 gene:DRNTG_01680 transcript:DRNTG_01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLSLLILFSIFSFNIAYSDSSAGMSMNLIHRDSSRSPYYNPSLTSKDRARAIIDRSLYRAHYLSSLISSSTSSPSSIEAKIIPNSFEYLMEFEIGTPPFKVLAIADTGSDLIWLQCKPCIQCYHQIPPIFDPRNSSTFSTVSCKTDSCEAIPTAGCGKGSLCQYQYSYGDQSFTIGDLAQETLTFSSNASGGSSSLPKLFFGCSHKSNGTFDKHGGGLVGLGGGSLSLVSQLGSSIGKKFSYCLVPYGENATSTLNFGKDATVNGPGVVSTPIIPGSQDTFYFLSLEEITVGKDDNNSVVATTTTTPSGSRKKDDVEGNIIIDSGTTITFIPSTMLKPLVTTLLDKIKLTRVDDPDGFFSLCFKDDGSSVSDDAFPDITFKFTDAPVVLSPLQAFVHISDDVVCLGMVSSDDMGISIYGNVAQQNFHIGYDLINKRLSIAPAQCSNYL >DRNTG_26458.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:479777:480618:1 gene:DRNTG_26458 transcript:DRNTG_26458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSMQPYLKKLRHYAGELPLVCADYGSTESWIGVNLDPYAPPQTVTFTVIPTLAYFEFIPLVNSQHKRPTDDVIDLDYQEDEPLPLSQVKLGQQYELVLTTYTGTAT >DRNTG_27549.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1690068:1697730:-1 gene:DRNTG_27549 transcript:DRNTG_27549.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTAVAREPVEPQSLKKLSFKSLKRSLDLFSPSRGHHPPPDAQSKHIRISFKINAEYGLVKDLVGDEARANGGGAAQNQGDQPSNSLELALTGDQTSRDTQKDRNESAIIAGPTLPPKGYDAGVPSRNVPGAIVSIPGSSERPYSTSALMERIPSRWPRPAWHPPWKNYRVISGHLGWVRSIAFDPSNEWFCTGSADRTIKIWDVASGKLKLTLTGHIEQIRGLAVSERHTYLFSAGDDKQVKCWDLEQNKVVRSYHGHLSGVYCLALHPTIDVLLTGGRDSVCRVWDIRTKAMVCALSGHDNTVCSVFARPTDPQVVTGSHDTTIKFWDLVAGKTMATLTHHKKSVRAMALHPKEQTFASASADNIKKFNLPKGEFLHNMLSQQKTIINSMAVNEDGVLATGGDNGSLWFWDWKSGHNFQQGQTIVQPGSLDSEACIYALSYDRSGSRLVTCEADKTIKMWKEDPTATPETHPINFKPPKDFRRY >DRNTG_27549.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1690068:1697730:-1 gene:DRNTG_27549 transcript:DRNTG_27549.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTAVAREPVEPQSLKKLSFKSLKRSLDLFSPSRGHHPPPDAQSKHIRISFKINAEYGLVKDLVGDEARANGGGAAQNQGDQPSNSLELALTGDQTSRDTQKDRNESAIIAGPTLPPKGYDAGVPSRNVPGAIVSIPGSSERPYSTSALMERIPSRWPRPAWHPPWKNYRVISGHLGWVRSIAFDPSNEWFCTGSADRTIKIWDVASGKLKLTLTGHIEQIRGLAVSERHTYLFSAGDDKQVKCWDLEQNKVVRSYHGHLSGVYCLALHPTIDVLLTGGRDSVCRVWDIRTKAMVCALSGHDNTVCSVFARPTDPQVVTGSHDTTIKFWDLVAGKTMATLTHHKKSVRAMALHPKEQTFASASADNIKKFNLPKGEFLHNMLSQQKTIINSMAVNEDGVLATGGDNGSLWFWDWKSGHNFQQGQTIVQPGSLDSEACIYALSYDRSGSRLVTCEADKTIKMWKEDPTATPETHPINFKPPKDFRRY >DRNTG_27549.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1690068:1697730:-1 gene:DRNTG_27549 transcript:DRNTG_27549.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTAVAREPVEPQSLKKLSFKSLKRSLDLFSPSRGHHPPPDAQSKHIRISFKINAEYGLVKDLVGDEARANGGGAAQNQGDQPSNSLELALTGDQTSRDTQKDRNESAIIAGPTLPPKGYDAGVPSRNVPGAIVSIPGSSERPYSTSALMERIPSRWPRPAWHPPWKNYRVISGHLGWVRSIAFDPSNEWFCTGSADRTIKIWDVASGKLKLTLTGHIEQIRGLAVSERHTYLFSAGDDKQVKCWDLEQNKVVRSYHGHLSGVYCLALHPTIDVLLTGGRDSVCRVWDIRTKAMVCALSGHDNTVCSVFARPTDPQVVTGSHDTTIKFWDLVAGKTMATLTHHKKSVRAMALHPKEQTFASASADNIKKFNLPKGEFLHNMLSQQKTIINSMAVNEDGVLATGGDNGSLWFWDWKSGHNFQQGQTIVQPGSLDSEACIYALSYDRSGSRLVTCEADKTIKMWKEDPTATPETHPINFKPPKDFRRY >DRNTG_24697.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19151283:19155750:-1 gene:DRNTG_24697 transcript:DRNTG_24697.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTESNPYFAVSSSGISDGHEAVGSKRSRKLDSYLYPGMGSGGVNYYQSYSSSGSGSGSMLQPFPVVRLRGLPFNCDDLDILKFFVGLDIVDCLLVNKNGRFSGEAFVVFSSPMQAEFALQRDRQNMGRRYIEVFRCNKHDYYQAVAAEVSPGGSFHEGGDYYHSAPPRPKKISEGKDQMDYTEVLKLRGLPYSVSKSEIVEFFGHEFCLNEESVHIVSRSDGKATGEAYVEFTSVEMAKKAMCKDKMTIGSRYVELFPSTHDEASRSHSRSRFDV >DRNTG_24697.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19150740:19155750:-1 gene:DRNTG_24697 transcript:DRNTG_24697.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGVNYYQSYSSSGSGSGSMLQPFPVVRLRGLPFNCDDLDILKFFVGLDIVDCLLVNKNGRFSGEAFVVFSSPMQAEFALQRDRQNMGRRYIEVFRCNKHDYYQAVAAEVSPGGSFHEGGDYYHSAPPRPKKISEGKDQMDYTEVLKLRGLPYSVSKSEIVEFFGHEFCLNEESVHIVSRSDGKATGEAYVEFTSVEMAKKAMCKDKMTIGSRYVELFPSTHDEASRSHSRSRFDV >DRNTG_24697.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19151283:19155750:-1 gene:DRNTG_24697 transcript:DRNTG_24697.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSKGAILGSGGVSDGYEGTKKTTRMTESNPYFAVSSSGISDGHEAVGSKRSRKLDSYLYPGMGSGGVNYYQSYSSSGSGSGSMLQPFPVVRLRGLPFNCDDLDILKFFVGLDIVDCLLVNKNGRFSGEAFVVFSSPMQAEFALQRDRQNMGRRYIEVFRCNKHDYYQAVAAEVSPGGSFHEGGDYYHSAPPRPKKISEGKDQMDYTEVLKLRGLPYSVSKSEIVEFFGHEFCLNEESVHIVSRSDGKATGEAYVEFTSVEMAKKAMCKDKMTIGSRYVELFPSTHDEASRSHSRSRFDV >DRNTG_24697.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19150740:19155750:-1 gene:DRNTG_24697 transcript:DRNTG_24697.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGLDFGSAVLCSQPFLFAGEAMYGSKGLCIAGQAFNQYMAKHSVEEHYKVGIDGFLLR >DRNTG_24697.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19151283:19154054:-1 gene:DRNTG_24697 transcript:DRNTG_24697.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTESNPYFAVSSSGISDGHEAVGSKRSRKLDSYLYPGMGSGGVNYYQSYSSSGSGSGSMLQPFPVVRLRGLPFNCDDLDILKFFVGLDIVDCLLVNKNGRFSGEAFVVFSSPMQAEFALQRDRQNMGRRYIEVFRCNKHDYYQAVAAEVSPGGSFHEGGDYYHSAPPRPKKISEGKDQMDYTEVLKLRGLPYSVSKSEIVEFFGHEFCLNEESVHIVSRSDGKATGEAYVEFTSVEMAKKAMCKDKMTIGSRYVELFPSTHDEASRSHSRSRFDV >DRNTG_24697.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19151283:19155750:-1 gene:DRNTG_24697 transcript:DRNTG_24697.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTESNPYFAVSSSGISDGHEAVGSKRSRKLDSYLYPGMGSGGVNYYQSYSSSGSGSGSMLQPFPVVRLRGLPFNCDDLDILKFFVGLDIVDCLLVNKNGRFSGEAFVVFSSPMQAEFALQRDRQNMGRRYIEVFRCNKHDYYQAVAAEVSPGGSFHEGGDYYHSAPPRPKKISEGKDQMDYTEVLKLRGLPYSVSKSEIVEFFGHEFCLNEESVHIVSRSDGKATGEAYVEFTSVEMAKKAMCKDKMTIGSRYVELFPSTHDEASRSHSRSRFDV >DRNTG_10859.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32114427:32117534:1 gene:DRNTG_10859 transcript:DRNTG_10859.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 80, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19620) UniProtKB/Swiss-Prot;Acc:Q9C5J8] MNLVERPSGGFSAGGGISSGITNGPLSGLIGSFAYSHRNVFGKNQKLNLSLERGQIDSIFRINYTDPWIEGDNKRTSRTIMIQNSRTPGTLVHGGHQSGHGGVTIARVTAGIEYSRPFRPKWTGTTGIIYQHAGARDDKGDPIIRDVYNSPLTASGNSSDDMLLAKLETVYNGSSDHGSSIFVFNMEQGLPILPEWLYFNRVSARARQGVEIGPAQFLLSLSGGHVVGNFSPHEAFAIGGTNSVRGYEEGAVGSGRSYAVGSGEVSFPLYGPLEGVLFADYGSDLGSGGTVPGDPAGARGKPGSGYGYGLGIRVGSPLGPLRLEYALNDRHARRFHFGVGYRN >DRNTG_10859.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32111201:32117567:1 gene:DRNTG_10859 transcript:DRNTG_10859.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 80, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19620) UniProtKB/Swiss-Prot;Acc:Q9C5J8] MLSGGILKLQVSEAEVNNITIRFLDRRTGEPTTGKTRPETILRQLSTKKGQVYSMLQGKRDVETILTMGIMEDVTIIPQPAGDTGKVDLVMNLVERPSGGFSAGGGISSGITNGPLSGLIGSFAYSHRNVFGKNQKLNLSLERGQIDSIFRINYTDPWIEGDNKRTSRTIMIQDSWHTCTWRPSIWSWWCYNSKSYSWN >DRNTG_10859.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32111201:32117534:1 gene:DRNTG_10859 transcript:DRNTG_10859.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 80, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19620) UniProtKB/Swiss-Prot;Acc:Q9C5J8] MLSGGILKLQVSEAEVNNITIRFLDRRTGEPTTGKTRPETILRQLSTKKGQVYSMLQGKRDVETILTMGIMEDVTIIPQPAGDTGKVDLVMNLVERPSGGFSAGGGISSGITNGPLSGLIGSFAYSHRNVFGKNQKLNLSLERGQIDSIFRINYTDPWIEGDNKRTSRTIMIQNSRTPGTLVHGGHQSGHGGVTIARVTAGIEYSRPFRPKWTGTTGIIYQHAGARDDKGDPIIRDVYNSPLTASGNSSDDMLLAKLETVYNGSSDHGSSIFVFNMEQGLPILPEWLYFNRVSARARQGVEIGPAQFLLSLSGGHVVGNFSPHEAFAIGGTNSVRGYEEGAVGSGRSYAVGSGEVSFPLYGPLEGVLFADYGSDLGSGGTVPGDPAGARGKPGSGYGYGLGIRVGSPLGPLRLEYALNDRHARRFHFGVGYRN >DRNTG_10859.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32111201:32117534:1 gene:DRNTG_10859 transcript:DRNTG_10859.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 80, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19620) UniProtKB/Swiss-Prot;Acc:Q9C5J8] MLQGKRDVETILTMGIMEDVTIIPQPAGDTGKVDLVMNLVERPSGGFSAGGGISSGITNGPLSGLIGSFAYSHRNVFGKNQKLNLSLERGQIDSIFRINYTDPWIEGDNKRTSRTIMIQNSRTPGTLVHGGHQSGHGGVTIARVTAGIEYSRPFRPKWTGTTGIIYQHAGARDDKGDPIIRDVYNSPLTASGNSSDDMLLAKLETVYNGSSDHGSSIFVFNMEQGLPILPEWLYFNRVSARARQGVEIGPAQFLLSLSGGHVVGNFSPHEAFAIGGTNSVRGYEEGAVGSGRSYAVGSGEVSFPLYGPLEGVLFADYGSDLGSGGTVPGDPAGARGKPGSGYGYGLGIRVGSPLGPLRLEYALNDRHARRFHFGVGYRN >DRNTG_10859.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32111201:32117534:1 gene:DRNTG_10859 transcript:DRNTG_10859.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 80, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19620) UniProtKB/Swiss-Prot;Acc:Q9C5J8] MLQGKRDVETILTMGIMEDVTIIPQPAGDTGKVDLVMNLVERPSGGFSAGGGISSGITNGPLSGLIGSFAYSHRNVFGKNQKLNLSLERGQIDSIFRINYTDPWIEGDNKRTSRTIMIQNSRTPGTLVHGGHQSGHGGVTIARVTAGIEYSRPFRPKWTGTTGIIYQHAGARDDKGDPIIRDVYNSPLTASGNSSDDMLLAKLETVYNGSSDHGSSIFVFNMEQGLPILPEWLYFNRVSARARQGVEIGPAQFLLSLSGGHVVGNFSPHEAFAIGGTNSVRGYEEGAVGSGRSYAVGSGEVSFPLYGPLEGVLFADYGSDLGSGGTVPGDPAGARGKPGSGYGYGLGIRVGSPLGPLRLEYALNDRHARRFHFGVGYRN >DRNTG_10859.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32111201:32117534:1 gene:DRNTG_10859 transcript:DRNTG_10859.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 80, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19620) UniProtKB/Swiss-Prot;Acc:Q9C5J8] MPSPASPPSKMVKNEGIRFVSSSIKIPRPPISSPNPILSTLPFARQSFAIHLDRAREAAQRLLCSISGFRGRGVLCSASLAATRPEHESGVSRRQHGGREDEERVLISEVLIRNKDGETLERADLEAAATGALKSCRPNAALTGREVQEDVHRIIESGFFCSCMPVAVDTRDGIQLVFQVEPNQDFQGLICEGANVLPTKFVEDAFRDGYGKIVNIRRLDEVINSINGWYIERGLFGLVSSIEMLSGGILKLQVSEAEVNNITIRFLDRRTGEPTTGKTRPETILRQLSTKKGQVYSMLQGKRDVETILTMGIMEDVTIIPQPAGDTGKVDLVMNLVERPSGGFSAGGGISSGITNGPLSGLIGSFAYSHRNVFGKNQKLNLSLERGQIDSIFRINYTDPWIEGDNKRTSRTIMIQNSRTPGTLVHGGHQSGHGGVTIARVTAGIEYSRPFRPKWTGTTGIIYQHAGARDDKGDPIIRDVYNSPLTASGNSSDDMLLAKLETVYNGSSDHGSSIFVFNMEQGLPILPEWLYFNRVSARARQGVEIGPAQFLLSLSGGHVVGNFSPHEAFAIGGTNSVRGYEEGAVGSGRSYAVGSGEVSFPLYGPLEGVLFADYGSDLGSGGTVPGDPAGARGKPGSGYGYGLGIRVGSPLGPLRLEYALNDRHARRFHFGVGYRN >DRNTG_25598.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12469306:12471814:1 gene:DRNTG_25598 transcript:DRNTG_25598.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSTLRTRTTTIVRVPARNRMPEDQPGNGRFNLRPEVRQRIVNKIVETLRRHFPIPYSEIFYFASRFEQKIYTSAMSRQDYLRKISLKMFSVESRIRNDSAANA >DRNTG_25598.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12469347:12472174:1 gene:DRNTG_25598 transcript:DRNTG_25598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSTLRTRTTTIVRVPARNRMPEDQPGNGRFNLRPEVRQRIVNKIVETLRRHFPIPYSEIFYFASRFEQKIYTSAMSRQDYLRKISLKMFSVESRIRNDSAANA >DRNTG_25598.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12469347:12471995:1 gene:DRNTG_25598 transcript:DRNTG_25598.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSTLRTRTTTIVRVPARNRMPEDQPGNGRFNLRPEVRQRIVNKIVETLRRHFPIPYSEIFYFASRFEQKIYTSAMSRQDYLRKISLKMFSVESRIRNDSAANA >DRNTG_25598.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12469347:12471814:1 gene:DRNTG_25598 transcript:DRNTG_25598.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSTLRTRTTTIVRVPARNRMPEDQPGNGRFNLRPEVRQRIVNKIVETLRRHFPIPYSEIFYFASRFEQKIYTSAMSRVHSSSN >DRNTG_25598.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12469347:12471814:1 gene:DRNTG_25598 transcript:DRNTG_25598.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSTLRTRTTTIVRVPARNRMPEDQPGNGRFNLRPEVRQRIVNKIVETLRRHFPIPYSEIFYFASRFEQKIYTSAMSRQDYLRKISLKMFSVESRIRNDSAANA >DRNTG_25598.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12469347:12471814:1 gene:DRNTG_25598 transcript:DRNTG_25598.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSTLRTRTTTIVRVPARNRMPEDQPGNGRFNLRPEVRQRIVNKIVETLRRHFPIPYSEIFYFASRFEQKIYTSAMSRQDYLRKISLKMFSVESRIRNDSAANA >DRNTG_25598.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12469347:12471855:1 gene:DRNTG_25598 transcript:DRNTG_25598.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSTLRTRTTTIVRVPARNRMPEDQPGNGRFNLRPEVRQRIVNKIVETLRRHFPIPYSEIFYFASRFEQKIYTSAMSRVHSSSN >DRNTG_25598.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12469347:12471855:1 gene:DRNTG_25598 transcript:DRNTG_25598.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSTLRTRTTTIVRVPARNRMPEDQPGNGRFNLRPEVRQRIVNKIVETLRRHFPIPYSEIFYFASRFEQKIYTSAMSRQDYLRKISLKMFSVESRIRNDSAANA >DRNTG_25598.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12469306:12471995:1 gene:DRNTG_25598 transcript:DRNTG_25598.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSTLRTRTTTIVRVPARNRMPEDQPGNGRFNLRPEVRQRIVNKIVETLRRHFPIPYSEIFYFASRFEQKIYTSAMSRQDYLRKISLKMFSVESRIRNDSAANA >DRNTG_25598.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12469347:12471814:1 gene:DRNTG_25598 transcript:DRNTG_25598.10 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSTLRTRTTTIVRVPARNRMPEDQPGNGRFNLRPEVRQRIVNKIVETLRRHFPIPYSEIFYFASRFEQKIYTSAMSRVHSSSN >DRNTG_27157.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:667827:668763:-1 gene:DRNTG_27157 transcript:DRNTG_27157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIKEAMFEKPLTPSDVGKLNRLVIPKQHAEKYFPLANNDSSAEEKGLLLNFEDELGKPWRFRYSYWTSSQSYVLTKGWSRYVKEKKLDAGDVVLFERTVAGDRFFIGWRRRRTSPDNIISRAWTHDTSPYFFQRVPKRLRLFGVNLECMPEQQTEPEMIHSSACFMRENPHL >DRNTG_17419.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8494894:8496379:-1 gene:DRNTG_17419 transcript:DRNTG_17419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGYGLPADPLGQLNRQVWASRAKRRPVPPSSYNTEGKKKCGGADLISTILRTNFVELVT >DRNTG_33055.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001942.1:21928:26509:-1 gene:DRNTG_33055 transcript:DRNTG_33055.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIELEARRERVKLNAALLKKEEEMKSTSSRPKPQSIGKVESPDLESFIQQFRRGSIDHNKGMAHDDVADIVDGNKDDKDKER >DRNTG_33055.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001942.1:11425:29003:-1 gene:DRNTG_33055 transcript:DRNTG_33055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLFSKFLGVFRYRNLVGVDKAGNRYFTRTEKLDGVVKEKRWVIFKGEEDPTSIPVEWICWLNGQRKKAPTPQEMIELEARRERVKLNAALLKKEEEMKSTSSRPKPQSIGKVESPDLESFIQQFRRGSIDHNKGMAHDDVADIVDGNKDDKDKERSSEPTGSGESFKPGTWQPPM >DRNTG_33055.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001942.1:21928:23607:-1 gene:DRNTG_33055 transcript:DRNTG_33055.4 gene_biotype:protein_coding transcript_biotype:protein_coding GKVESPDLESFIQQFRRGSIDHNKGMAHDDVADIVDGNKDDKDKER >DRNTG_33055.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001942.1:21928:29003:-1 gene:DRNTG_33055 transcript:DRNTG_33055.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLFSKFLGVFRYRNLVGVDKAGNRYFTRTEKLDGVVKEKRWVIFKGEEDPTSIPVEWICWLNGQRKKAPTPQEMIELEARRERVKLNAALLKKEEEMKSTSSRPKPQSIGKVESPDLESFIQQFRRGSIDHNKGMAHDDVADIVDGNKDDKDKER >DRNTG_12096.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27320360:27322650:-1 gene:DRNTG_12096 transcript:DRNTG_12096.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEEKGRVREKKAQEKVSKQVLKGQNC >DRNTG_12096.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27320360:27322650:-1 gene:DRNTG_12096 transcript:DRNTG_12096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEEKGRVREKKAQEKVSKQVLKGQNC >DRNTG_12096.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27320360:27322650:-1 gene:DRNTG_12096 transcript:DRNTG_12096.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEEKGRVREKKAQEKVSKQVLKGQNC >DRNTG_12096.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27321416:27322650:-1 gene:DRNTG_12096 transcript:DRNTG_12096.5 gene_biotype:protein_coding transcript_biotype:protein_coding PAIIINQQHLNHLQEEMIKAQAKLKLFNTNRFTNSNTRNNMEIFSFQHFKMSFKS >DRNTG_12096.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27320360:27322650:-1 gene:DRNTG_12096 transcript:DRNTG_12096.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEEKGRVREKKAQEKVSKQVLKGQNC >DRNTG_23945.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12600550:12600981:-1 gene:DRNTG_23945 transcript:DRNTG_23945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLCRRISEEEYVQAISEEISKVVELQEELDIDVLVHGEPERNDMVEYFGE >DRNTG_27644.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18787842:18790982:-1 gene:DRNTG_27644 transcript:DRNTG_27644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLVGKHPLSTSFSKIPLVVAKVIRFGCGGCVVGVGTSHSLFDGPASYNFLRAWTCKMKGSRVDYEGE >DRNTG_30366.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28532786:28542833:-1 gene:DRNTG_30366 transcript:DRNTG_30366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLKCIQLMLTLQSLNLSGAVKEIQEHGTCKLKPKLEVNDGGHKDYIFKSLAKKWRDHRFHLYSYAQCDPDGPHEANIAKKPP >DRNTG_03058.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12368650:12369825:1 gene:DRNTG_03058 transcript:DRNTG_03058.1 gene_biotype:protein_coding transcript_biotype:protein_coding TILPQGTTSKVKKTGSIAGCSDSPQKGYH >DRNTG_03058.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12369408:12369825:1 gene:DRNTG_03058 transcript:DRNTG_03058.2 gene_biotype:protein_coding transcript_biotype:protein_coding TILPQGTTSKVKKTGSIAGCSDSPQKGYH >DRNTG_21277.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4795100:4798175:-1 gene:DRNTG_21277 transcript:DRNTG_21277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSPSPFFGLREDEFNNQMKQQQQQQPDSSQPMTAPAPAPAPPKKKRNLPGNPNPDAEVIALSPKTLMATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLRQKSNKEVQRRKVYLCPEPTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPSLYGSSNMGLSLSQMNSSATDILRNTGGGPPQFDHHQLITPSINPSAFRSPPPPPLFLGANQEFSQQIHGLMHHSELQSNTTGNSSSSNNLFSFSFLSNTSNNNNNNNNTSSTMNSSINGGGGGGPSSLFAGNLMSDHHHHHHHQQQQQQQQQQQQQQHLFNTSTATMHNEPVLPQMSATALLQKAAQMGATSTSNASSLLRGFATSSSNSSGVKARTSFINENNHDQSHNENERHLQDLMNSLTNEGAAMFGRFNSGRDTMTRDFLGVGSMVRGMAAGGGGGGGGGGGIDMGSISMDSDIKSASSSRSFAGGGLH >DRNTG_32626.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19024719:19025036:-1 gene:DRNTG_32626 transcript:DRNTG_32626.3 gene_biotype:protein_coding transcript_biotype:protein_coding LTTNTFIHDHHYFTSISSPLISRFYNPTLSRDEKNSIIVGEEYCKPEPMELAFSTTINGVKYKEFVITDLHGKALFWLSSDIAIKKKWFILDAATGLPLL >DRNTG_32626.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19023890:19024979:-1 gene:DRNTG_32626 transcript:DRNTG_32626.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHTEWDVLLAANTEEVEWDFKITGEFSKRSIKVFHKQNPSAAIAEMSQHDKVVKVRLANDAFRMTISSNIDFAFVASLISIFHQSQQRKNARKEGMQTAANEIGQVAVDLGTSIAGAATSQSQ >DRNTG_32626.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19023890:19024979:-1 gene:DRNTG_32626 transcript:DRNTG_32626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHTEWDVLLAANTEEVEWDFKITGEFSKRSIKVFHKQNPSAAIAEMSQHDKVVKVRLANDAFRMTISSNIDFAFVASLISIFHQSQQRKNARKEGMQTAANEIGQVAVDLGTSIAGAATSQSQ >DRNTG_32626.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19024719:19024979:-1 gene:DRNTG_32626 transcript:DRNTG_32626.4 gene_biotype:protein_coding transcript_biotype:protein_coding SISSPLISRFYNPTLSRDEKNSIIVGEEYCKPEPMELAFSTTINGVKYKEFVITDLHGKALFWLSSDIAIKKKWFILDAATGLPLL >DRNTG_10573.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1605266:1608969:1 gene:DRNTG_10573 transcript:DRNTG_10573.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase 2 subunit KU80 [Source:Projected from Arabidopsis thaliana (AT1G48050) UniProtKB/Swiss-Prot;Acc:Q9FQ09] MMARRDNPKWIMKAIQGMRAYINDLLENSYRGDTFPKAIECLAALRKGCILEQEPKEFNRFLFHLYDKWKTSDLLEFFKILPSKNVTLITKTEAADSDVTEEEAKNFPLKPEPSS >DRNTG_10573.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1605266:1608969:1 gene:DRNTG_10573 transcript:DRNTG_10573.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase 2 subunit KU80 [Source:Projected from Arabidopsis thaliana (AT1G48050) UniProtKB/Swiss-Prot;Acc:Q9FQ09] MMARRDNPKWIMKAIQGMRAYINDLLENSYRGDTFPKAIECLAALRKGCILEQEPKEFNRFLFHLYDKWKTSDLLEFFKILPSKNVTLITKTEAADSDVTEEEAKNFPLKPEPSS >DRNTG_10573.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1601947:1604871:1 gene:DRNTG_10573 transcript:DRNTG_10573.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase 2 subunit KU80 [Source:Projected from Arabidopsis thaliana (AT1G48050) UniProtKB/Swiss-Prot;Acc:Q9FQ09] MARSKEALVLLLDVGPSMHSILPEVEKLCTMLVQKKLMFNKNHEVGVVLFGTEETKNELEKEVGGYENVFVLRDIKVVDADVVDILHNIPRGKFPGDFMDAIVVGIDMLIKKFGDTNKGKQCLCLVTNAQYPIKDPYEGTKEDQVDKISSNMKAHGIKFDCVVIRENPVRSAGKSVIDENDHLLNQFSKNTISKIVHVDNPLALVGALRTRSISPVTVFRGDLELGSTMKIKAS >DRNTG_10573.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1601947:1608969:1 gene:DRNTG_10573 transcript:DRNTG_10573.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase 2 subunit KU80 [Source:Projected from Arabidopsis thaliana (AT1G48050) UniProtKB/Swiss-Prot;Acc:Q9FQ09] MFNKNHEVGVVLFGTEETKNELEKEVGGYENVFVLRDIKVVDADVVDILHNIPRGKFPGDFMDAIVVGIDMLIKKFGDTNKGKQCLCLVTNAQYPIKDPYEGTKEDQVDKISSNMKAHGIKFDCVVIRENPVRSAGKSVIDENDHLLNQFSKNTISKIVHVDNPLALVGALRTRSISPVTVFRGDLELGSTMKIKVWVYKKTSEEKFPALKKYSDKAPSSDKYATHEVKVDFEYKSKEDPDKVVPPEQRIKGYRYGPQVIPISSAEWDSVKLKPDKGVKLLGFTDSSNIKRHYYMKDVYTFIPEPGNRKAIVAVSAIARAMKELNKVAILRCVWRQGQGSVVIGNTESQCLLHG >DRNTG_09238.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13796002:13809977:-1 gene:DRNTG_09238 transcript:DRNTG_09238.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEEYTKEEINWSYIEFIDNQDILDLIEKKPGGIIALLDEACVLPRSTHETFAQKLYQTFKTHKRFSKPKLARSDFTIHHYAGDVTYQTELFLDKNKDYVVAEHQALLCDSKCSFVSGLFPPLPEDTSKSSKFSSIGSRFKQQLQALLETLSATEPHYIRCVKPNNLLKPAIFENSNVLQQLRCGGVMEAIRISCAGYPTRRTFYEFIDRFGILAPEVLDGSCDEVTASKRLLEKVDLQGFQIGKTKVFLRAGQMAELDARRSEVLGRSAKIIQWKFRSYLARRSFILQRKSAILLQAICRGHVARQVYEALRQEAAALRIQTNLRMYIARKDYKELLSSSVTIQAGLRGMAARKELHFRRQTRAATVIQSYCRQYLARLHYTRIKKAAITTQCAWRGKVARRELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADIEEAKTQENAKLQSAFQELQLQFKEAKDMLVKEREASKKAAEAVPVIREVPVVDTALMEKLTTENNKLKALVTSLEKRIDDADKKCAETIRVSEERLKNAVEAESKINNMNKEMQRLQEKLLNMESENQILRQQTLLNSPVKRMSEHLSIPTTPTKQNLDNGHIDFEELKEPHSAPPAIKDYANSDPKLTRSYVERQHENVDALINCVVRNIGFSNNKPVAALTIYKCLLNWKSFESEKTSVFDRLIQMIGYAIENEESSDHLAYWLSNSSALLFLLQKSLKAAGTGGAIPRRKPPPPTSLFGRMTQGFRSSPSSANLNLDGLDAVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNTKKDLTSLLSLCIQAPRTARGGMVRGSGRSFGNHTQSSHWQNIIETLNNLLKTLQENYVPPVLIQKMFLQIFSFINVQLFNSLLLRRECCSFSNGEYVKSGLAELELWCAQAKSEYVGASWDELKHIRQAVGFLVIFQKYRISYDEIVNDLCPVLSVQQLYRICTQYWDDKYNTKSVSSSVLSSMRILMTEDSNNAVSSAFLLDDNSSIPFSVDDISSSGQEKEFSDVKPAEELLENPAFQFLKE >DRNTG_09238.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13796002:13809977:-1 gene:DRNTG_09238 transcript:DRNTG_09238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAANIVVGSQVWVGDPEVAWIDGEVLEINGDVIKISCTSGKTVVTKVSNIYPKDAEASPCGVDDMTKLAYLHEPGVLQNLKSRYDMNEIYTYTGNILIAVNPFRRLPHLYDSHMMQQYKGAAFGELSPHPFAVADAAYRLMINEGVSQSILVSGESGAGKTESTKLLMRYLAHMGGRSAAEGRSVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVELQFDERGRISGAAVRTYLLERSRVCQISTPERNYHCFYMLCAAPPEDVKRLKLGNPRSFHYLNQSDCYELEGVDDSKEYLETRRAMDIVGISADDQDAIFRVVAAILHLGNIEFKEGAETDSSQPKDEKSLFHLRTAAELLMCDVKALEDSLCKRIIVTRDENITKSLDPEAAVLSRDALAKVVYSQLFDWLVNKINSSIGQDPNSKFLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFIDNQDILDLIEKKPGGIIALLDEACVLPRSTHETFAQKLYQTFKTHKRFSKPKLARSDFTIHHYAGDVTYQTELFLDKNKDYVVAEHQALLCDSKCSFVSGLFPPLPEDTSKSSKFSSIGSRFKQQLQALLETLSATEPHYIRCVKPNNLLKPAIFENSNVLQQLRCGGVMEAIRISCAGYPTRRTFYEFIDRFGILAPEVLDGSCDEVTASKRLLEKVDLQGFQIGKTKVFLRAGQMAELDARRSEVLGRSAKIIQWKFRSYLARRSFILQRKSAILLQAICRGHVARQVYEALRQEAAALRIQTNLRMYIARKDYKELLSSSVTIQAGLRGMAARKELHFRRQTRAATVIQSYCRQYLARLHYTRIKKAAITTQCAWRGKVARRELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADIEEAKTQENAKLQSAFQELQLQFKEAKDMLVKEREASKKAAEAVPVIREVPVVDTALMEKLTTENNKLKALVTSLEKRIDDADKKCAETIRVSEERLKNAVEAESKINNMNKEMQRLQEKLLNMESENQILRQQTLLNSPVKRMSEHLSIPTTPTKQNLDNGHIDFEELKEPHSAPPAIKDYANSDPKLTRSYVERQHENVDALINCVVRNIGFSNNKPVAALTIYKCLLNWKSFESEKTSVFDRLIQMIGYAIENEESSDHLAYWLSNSSALLFLLQKSLKAAGTGGAIPRRKPPPPTSLFGRMTQGFRSSPSSANLNLDGLDAVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNTKKDLTSLLSLCIQAPRTARGGMVRGSGRSFGNHTQSSHWQNIIETLNNLLKTLQENYVPPVLIQKMFLQIFSFINVQLFNSLLLRRECCSFSNGEYVKSGLAELELWCAQAKSEYVGASWDELKHIRQAVGFLVIFQKYRISYDEIVNDLCPVLSVQQLYRICTQYWDDKYNTKSVSSSVLSSMRILMTEDSNNAVSSAFLLDDNSSIPFSVDDISSSGQEKEFSDVKPAEELLENPAFQFLKE >DRNTG_34810.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:234790:241038:-1 gene:DRNTG_34810 transcript:DRNTG_34810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3C [Source:Projected from Arabidopsis thaliana (AT1G21700) UniProtKB/Swiss-Prot;Acc:Q9XI07] MAPASPSLPSSDLRSKWKKRKRESLLKRHKPQDDDEDEEDDDEDPAVAPAADDEENDDDPAANHNHDPVLDLRESEILSDGGGVRISDFPLAVRRLVNRPHPSVLALAAADRACQSSRPWTRPVLENISHGQLQTLSAVLPDNPSLYPPSDVEKPSAYVCTPPPLMEGKGVAKQLPDGRHLLVPMHGNWFSLNTVHRLERQVVPHYFSGKSNDHTPEKYIALRNKIVLKYAEVPGKRLSFADCQGLVSNGNASEMYDLSRIVRFLDHWGIINYLTASSVHRGLRLAGSLLREDTSGELLVQTAPLKSIDSLILFDRPKASLRAEDVAVASSSSSSSLLSLDSEACDLDGRIRERLSEFSCSYCSRPLPSLHYQSQKEADVILCSDCFHDAKFITGHSSIDFLRMDSKKDSADPDGDNWTDQETFLLLEGLEKYKDNWNEIAEHVGTKSKAQCILHFIRLPMEDGLLENIEIPHVADTSLVSNGHNNAFSYANSNGDVVGLHTQDLISANQLPFANSANPVMSLVAFLASAIGPRVAASCASASLAVLTKDDSRSGHEGMHNSQGTQANLGYQKDEDRVPNVKMEAASPLAPEHVKFAAMCGLSAAAMKAKLFADQEEREIQRLAATIITHQLKRLELKLKQFADVETLLLKECEQVERTRQRFSAERVRMMSNRFGQAGTNLPAASAGLAQSAGVSANIRHPTMPTSVGQPNISAPYASNPAMQPNIPMMQRQPMFGFGPRLPLSAIHPSSSASSQNVMLNSGMPNASNPNHHPLLRSTSGNTSNIG >DRNTG_10199.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20079107:20080598:-1 gene:DRNTG_10199 transcript:DRNTG_10199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYPPPADDEIITTPSAAYAVIESIAVDDMAIMVEEIVDDVVIAATDKIVDSVVNEISVTVEPAVDSGASKVDTIPKQQEPAKGVSLIDVVAIAAVEKIVDSLVNEITVTVKLAAYSIASKTHTIPQQQKALPQDDPKTAVDERQGNATEKTRDMIIANQQYEEFRKDFVLKKKKCPGQSQLNQYEQETAVWRNDSVGTTRKRLFTLLGRKEMLSVDVIDSFVCIIQKSLTIVPYPYKKCASITRPLVLFMSKQEDAIDTTFAMIGDATHNVHDVDIVILPIIMNGHFHLVVLDNNKQEYRHYSSCQSEEYDKDVLKMRTLFNTCIDMEFGKMVTRKYPLVHDHETPRQKRGSPNCAVYVMRFIEQLLDDEKL >DRNTG_24671.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6884326:6890376:1 gene:DRNTG_24671 transcript:DRNTG_24671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGEEQDYESDPEDALLPSAMRRREASDDEDGDRSEGGEKPRRDRLVGIGPEGELDDEGAAPEYEDEEELDGDEYEEYEEDEEFEEEGGVEEGGSQEVVASRSQVVLVPEMDGDGQKPPGEAVGHDTAEAEVLAEEEFEEKKENAPYAVPTVGAFYMHDDRVGQNGRGRQRRLPGGRKLWESKDDQAWVHDRFEELNLHDAGFGEDRRASRGRFRGRGRGRGRGRGVDHGFVRENRSRCYDDSNYQVHVPKIVRGRGPRRYQPLAKNNRDISEPQHKQYTKNQELRPITIGGRQSSDTPNVQPDPSLSIPHKNIFASSLNSASPPFYPSGSSNQDVSLSQKRDVQAGSANKTIKSSMQMLSKTSAPESSSFVRGKANVDSAGRDKIYINDPICPAVAKTLATSPSSASSFTPTLATKNSQTKGQTRGMSVVGLDAPMNSSFNQVARASPPNQTPIAQQRMLPSVGHPPRISTQQMGQRRVIASTTSSPPEAPSRNSSEIGEGESPPGSSKSRNPLDGKEKTSNAVTGMGSFLYNSSQVLGATGTMGLTHVDQGFAATPAFLPVMQFGGQHPGGIPAVGMALPGYVAQQQLGFGNSEMTWLPVLAGAAGALGGSYCSPYIALDNGYFARSSGQTSSSICSKETSINKSADSSKSPQKPETVNDEFGQRQNKPRRYSEMNFGQ >DRNTG_24671.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6884326:6890205:1 gene:DRNTG_24671 transcript:DRNTG_24671.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGEEQDYESDPEDALLPSAMRRREASDDEDGDRSEGGEKPRRDRLVGIGPEGELDDEGAAPEYEDEEELDGDEYEEYEEDEEFEEEGGVEEGGSQEVVASRSQVVLVPEMDGDGQKPPGEAVGHDTAEAEVLAEEEFEEKKENAPYAVPTVGAFYMHDDRVGQNGRGRQRRLPGGRKLWESKDDQAWVHDRFEELNLHDAGFGEDRRASRGRFRGRGRGRGRGRGVDHGFVRENRSRCYDDSNYQVHVPKIVRGRGPRRYQPLAKNNRDISEPQHKQYTKNQELRPITIGGRQSSDTPNVQPDPSLSIPHKNIFASSLNSASPPFYPSGSSNQDVSLSQKRDVQAGSANKTIKSSMQMLSKTSAPESSSFVRGKANVDSAGRDKIYINDPICPAVAKTLATSPSSASSFTPTLATKNSQTKGQTRGMSVVGLDAPMNSSFNQVARASPPNQTPIAQQRMLPSVGHPPRISTQQMGQRRVIASTTSSPPEAPSRNSSEIGEGESPPGSSKSRNPLDGKEKTSNAVTGMGSFLYNSSQVLGATGTMGLTHVDQGFAATPAFLPVMQFGGQHPGGIPAVGMALPGYVAQQQLGFGNSEMTWLPVLAGAAGALGGSYCSPYIALDNGYFARSSGQTSSSICSKETSINKSADSSKSPQKPETVNDEFGQRQNKPRSRYSEMNFGQ >DRNTG_24671.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6884326:6890205:1 gene:DRNTG_24671 transcript:DRNTG_24671.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGEEQDYESDPEDALLPSAMRRREASDDEDGDRSEGGEKPRRDRLVGIGPEGELDDEGAAPEYEDEEELDGDEYEEYEEDEEFEEEGGVEEGGSQEVVASRSQVVLVPEMDGDGQKPPGEAVGHDTAEAEVLAEEEFEEKKENAPYAVPTVGAFYMHDDRVGQNGRGRQRRLPGGRKLWESKDDQAWVHDRFEELNLHDAGFGEDRRASRGRFRGRGRGRGRGRGVDHGFVRENRSRCYDDSNYQVHVPKIVRGRGPRRYQPLAKNNRDISEPQHKQYTKNQELRPITIGGRQSSDTPNVQPDPSLSIPHKNIFASSLNSASPPFYPSGSSNQDVSLSQKRDVQAGSANKTIKSSMQMLSKTSAPESSSFVRGKANVDSAGRDKIYINDPICPAVAKTLATSPSSASSFTPTLATKNSQTKGQTRGMSVVGLDAPMNSSFNQVARASPPNQTPIAQQRMLPSVGHPPRISTQQMGQRRVIASTTSSPPEAPSRNSSEIGEGESPPGSSKSRNPLDGKEKTSNAVTGMGSFLYNSSQVLGATGTMGLTHVDQGFAATPAFLPVMQFGGQHPGGIPAVGMALPGYVAQQQLGFGNSEMTWLPVLAGAAGALGGSYCSPYIALDNGYFARSSGQTSSSICSKETSINKSADSSKSPQKPETVNDEFGQRQNKPRRYSEMNFGQ >DRNTG_24671.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6884326:6890205:1 gene:DRNTG_24671 transcript:DRNTG_24671.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGEEQDYESDPEDALLPSAMRRREASDDEDGDRSEGGEKPRRDRLVGIGPEGELDDEGAAPEYEDEEELDGDEYEEYEEDEEFEEEGGVEEGGSQEVVASRSQVVLVPEMDGDGQKPPGEAVGHDTAEAEVLAEEEFEEKKENAPYAVPTVGAFYMHDDRVGQNGRGRQRRLPGGRKLWESKDDQAWVHDRFEELNLHDAGFGEDRRASRGRFRGRGRGRGRGRGVDHGFVRENRSRCYDDSNYQVHVPKIVRGRGPRRYQPLAKNNRDISEPQHKQYTKNQELRPITIGGRQSSDTPNVQPDPSLSIPHKNIFASSLNSASPPFYPSGSSNQDVSLSQKRDVQAGSANKTIKSSMQMLSKTSAPESSSFVRGKANVDSAGRDKIYINDPICPAVAKTLATSPSSASSFTPTLATKNSQTKGQTRGMSVVGLDAPMNSSFNQVARASPPNQTPIAQQRMLPSVGHPPRISTQQMGQRRVIASTTSSPPEAPSRNSSEIGEGESPPGSSKSRNPLDGKEKTSNAVTGMGSFLYNSSQVLGATGTMGLTHVDQGFAATPAFLPVMQFGGQHPGGIPAVGMALPGYVAQQQLGFGNSEMTWLPVLAGAAGALGGSYCSPYIALDNGYFARSSGQTSSSICSKETSINKSADSSKSPQKPETVNDEFGQRQNKPRRYSEMNFGQ >DRNTG_31284.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1139428:1142932:1 gene:DRNTG_31284 transcript:DRNTG_31284.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKQSCIFLMDQHVQVVEFIDDEEILKKKRKKQSLRARYVYGFVFFATNILAWVLRDYGNIVSDELHYLRICRKKEEDCSHSKGVLRVSLGCFVNRGLLSSAIMSSYIVFLCWSTIQSEPSNDKCKTRKWISDNDNWTTILGFLIAIFAIVMATLSTGTDSKFFQFREVEVESDDEIPYQYEVFHFILSIGAMHFAMLFISWELDHTTKRWSIDVGWISTWVKFINETFAASLFRKQFSKKIKGKMNAIVEEIHSLSSMDHDHDHDVHVPTNTGTTIELIMRDPATRLNMKQLPIQCSMNEKMKFVEPSLSSDQNPTRAPSESEA >DRNTG_23992.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5967416:5979226:1 gene:DRNTG_23992 transcript:DRNTG_23992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSLRPFTLLWPQRTRGTEVESITPKGKGDAPVPLDSPSELSAT >DRNTG_01518.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:12906462:12908854:1 gene:DRNTG_01518 transcript:DRNTG_01518.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKKKSTSKTQYYDHPSRQYCEREATRRDARVHPFISIVRVSFEGRKPSSWSSPPPHQIGDRSKEMFNPDPYEGLFGQEEDNEEVMMLGSTKKVPSTPGILKKVLQKMKRARRHHQKCSNAV >DRNTG_30988.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18818005:18824843:1 gene:DRNTG_30988 transcript:DRNTG_30988.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIDQASSAEPSHALSIGPSAIAAASSPRVSMFVAKSGFVIPKNKLSGSLVPIYKSGGKADAGDTGKEETAKQVQRKTKWGADLTQDAAVRKGRALAYQTRVEQITKQLKTKSLEGVDDHNSSPNKASEIEPVSQQKHESKKFEQLESEKREIIGELLRLNPSYKAPSDYKPLLKEAKVPIPNKAYLPYNFISLLLGPQSNTQKRLEQETGATIHVCGTKSATGEKHLITQSEIKEAQDSFEDLHVSISADTYEKIDAAVALIELLLTPVSGSLAAASTNPSSSAGNTVDISGPNHIVSTSGYGMMPIGAQGMPQPTPVRMLSVSPQFQSYPGSWFRPTLLSAQLNPSSGFVAPPIATTSISFPASSNNPFNLSTPFQCPPIGPVPRIPSTLSGPQIAAQVMRQPPNLPVPPQGQPIHGQQPPQPAYSTLPQPAFPATQPMSAGIPSSWSSVAVNSQSRPITPSGPPPNMPLTAPSPALPTMPRPQQPVYPSAITSLPSQVNLVNHMASVVASRPPSVNFPPSATIPSRSSVAPPFSSITPLQHTSSTAQATMLSQSIPAPVPHASPLIGAPAFNSSQMRPPSQSFASQTPLPSVLNPVLVPGQASRPTQPPLPSLQSSTLGPVSDSSKPPMVTNSILPSVVTPQRHPSSIDFTFQPLQGQVSASPNIHLPNVQSVAQNPNALPPAGPRAPLLRPSMHNMAPSVGTQGFGRPFAVNQAVPPTFGPTVSSQLSLPSMAPAARMTPPSFSPAPLAPNLHNPLLTRPLNLLNPHPQQNQPLPLNRPSNLQTPNYQFGNNQLGHAFGKPFPRTPGSQFYDPFSPTSVSSAPQQLANDLTKEKKPETDAEYEDLMASVGVR >DRNTG_30988.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18818005:18824843:1 gene:DRNTG_30988 transcript:DRNTG_30988.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMPIGAQGMPQPTPVRMLSVSPQFQSYPGSWFRPTLLSAQLNPSSGFVAPPIATTSISFPASSNNPFNLSTPFQCPPIGPVPRIPSTLSGPQIAAQVMRQPPNLPVPPQGQPIHGQQPPQPAYSTLPQPAFPATQPMSAGIPSSWSSVAVNSQSRPITPSGPPPNMPLTAPSPALPTMPRPQQPVYPSAITSLPSQVNLVNHMASVVASRPPSVNFPPSATIPSRSSVAPPFSSITPLQHTSSTAQATMLSQSIPAPVPHASPLIGAPAFNSSQMRPPSQSFASQTPLPSVLNPVLVPGQASRPTQPPLPSLQSSTLGPVSDSSKPPMVTNSILPSVVTPQRHPSSIDFTFQPLQGQVSASPNIHLPNVQSVAQNPNALPPAGPRAPLLRPSMHNMAPSVGTQGFGRPFAVNQAVPPTFGPTVSSQLSLPSMAPAARMTPPSFSPAPLAPNLHNPLLTRPLNLLNPHPQQNQPLPLNRPSNLQTPNYQFGNNQLGHAFGKPFPRTPGSQFYDPFSPTSVSSAPQQLANDLTKEKKPETDAEYEDLMASVGVR >DRNTG_30988.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18818005:18824843:1 gene:DRNTG_30988 transcript:DRNTG_30988.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMPIGAQGMPQPTPVRMLSVSPQFQSYPGSWFRPTLLSAQLNPSSGFVAPPIATTSISFPASSNNPFNLSTPFQCPPIGPVPRIPSTLSGPQIAAQVMRQPPNLPVPPQGQPIHGQQPPQPAYSTLPQPAFPATQPMSAGIPSSWSSVAVNSQSRPITPSGPPPNMPLTAPSPALPTMPRPQQPVYPSAITSLPSQVNLVNHMASVVASRPPSVNFPPSATIPSRSSVAPPFSSITPLQHTSSTAQATMLSQSIPAPVPHASPLIGAPAFNSSQMRPPSQSFASQTPLPSVLNPVLVPGQASRPTQPPLPSLQSSTLGPVSDSSKPPMVTNSILPSVVTPQRHPSSIDFTFQPLQGQVSASPNIHLPNVQSVAQNPNALPPAGPRAPLLRPSMHNMAPSVGTQGFGRPFAVNQAVPPTFGPTVSSQLSLPSMAPAARMTPPSFSPAPLAPNLHNPLLTRPLNLLNPHPQQNQPLPLNRPSNLQTPNYQFGNNQLGHAFGKPFPRTPGSQFYDPFSPTSVSSAPQQLANDLTKEKKPETDAEYEDLMASVGVR >DRNTG_30988.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18818005:18824843:1 gene:DRNTG_30988 transcript:DRNTG_30988.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIDQASSAEPSHALSIGPSAIAAASSPRVSMFVAKSGFVIPKNKLSGSLVPIYKSGGKADAGDTGKEETAKQVQRKTKWGADLTQDAAVRKGRALAYQTRVEQITKQLKTKSLEGVDDHNSSPNKASEIEPVSQQKHECSQLDLQSKKFEQLESEKREIIGELLRLNPSYKAPSDYKPLLKEAKVPIPNKAYLPYNFISLLLGPQSNTQKRLEQETGATIHVCGTKSATGEKHLITQSEIKEAQDSFEDLHVSISADTYEKIDAAVALIELLLTPVSGSLAAASTNPSSSAGNTVDISGPNHIVSTSGYGMMPIGAQGMPQPTPVRMLSVSPQFQSYPGSWFRPTLLSAQLNPSSGFVAPPIATTSISFPASSNNPFNLSTPFQCPPIGPVPRIPSTLSGPQIAAQVMRQPPNLPVPPQGQPIHGQQPPQPAYSTLPQPAFPATQPMSAGIPSSWSSVAVNSQSRPITPSGPPPNMPLTAPSPALPTMPRPQQPVYPSAITSLPSQVNLVNHMASVVASRPPSVNFPPSATIPSRSSVAPPFSSITPLQHTSSTAQATMLSQSIPAPVPHASPLIGAPAFNSSQMRPPSQSFASQTPLPSVLNPVLVPGQASRPTQPPLPSLQSSTLGPVSDSSKPPMVTNSILPSVVTPQRHPSSIDFTFQPLQGQVSASPNIHLPNVQSVAQNPNALPPAGPRAPLLRPSMHNMAPSVGTQGFGRPFAVNQAVPPTFGPTVSSQLSLPSMAPAARMTPPSFSPAPLAPNLHNPLLTRPLNLLNPHPQQNQPLPLNRPSNLQTPNYQFGNNQLGHAFGKPFPRTPGSQFYDPFSPTSVSSAPQQLANDLTKEKKPETDAEYEDLMASVGVR >DRNTG_27124.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1807832:1810016:1 gene:DRNTG_27124 transcript:DRNTG_27124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARDVGDPEAEPLAVIGEYELWEKLGGEGPGSTVWRAVHRPSSSVVALKQVRLAGLSRALLDSLRCEIDFLAGVCHPNIVRLLDFFQMDGSIYMVLEFCQGGTLATYIQSKGRLNEYFAKKFMKQLGAGLKVLHAHHIVHRDLKPENILLSDSTSDPVLKIADFGLSRVVSPGEYADFVCGTPLYMAPEVLQFQKYDNKADMWSVGTILFELLNGYPPFRGRNNVQLLQCIRSSSSVPFSQLILPGLDPDLVDMCTRLLSANPVHRLSLDEFYHHQFFQR >DRNTG_22603.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001245.1:68760:81744:1 gene:DRNTG_22603 transcript:DRNTG_22603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGRRGGPVTREAAAAAREPPPPVVRVRRDLVAACMMCPLCHKLLRDATTISECLHTFCRKCILKKLNEEEADYCPICNIDLGCIPVEKLRPDHNLQDIRAKIFPFKRRKVNAPEVTPSFSFPVIRKERSLSSLVVNTPRIATQNSLTGRRSKAVGRRAAAMRGPSPTVTEVNKKENDSDGRAENSSSSETLSKMTQNRRQNFAKAESSGQTNHQDSESGRDPFIDRAELWKPLNCLVEAANRTKALKSSSPQCTVVKAEQINFPDSEAYFNKLKVREHMNKSNVQDDKNASVSTTQTLARSRRLNGASRRKKELETSVQALVDAAGKRERRRSPVWFSLVASTDKEGDCPLPQISASYLRIRDGNLPVSFIQKYLVKKLGLASEAEVEITCRGQSIIPTMALHNLIDLWLRSGSSIKVPAFLGTSAKEFVMVLSYRRKV >DRNTG_32275.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001815.1:6755:7149:1 gene:DRNTG_32275 transcript:DRNTG_32275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAGSSTDLTPAFHSQVHQDRYTFLSRRHFGELREMDWDVVHEIGLEDELALEVLATFELSRGTVTFYHGDSIQFQVNGTLRWMSLTEFSIRLGIFEAKFTRTPAYD >DRNTG_29568.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2244260:2250951:-1 gene:DRNTG_29568 transcript:DRNTG_29568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSHHAPYAPGRHTCYNGRDKGSRSREGELTPKTRPQFGLQAATRLHEVGIASNRRSAIRR >DRNTG_29568.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2244260:2250951:-1 gene:DRNTG_29568 transcript:DRNTG_29568.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGHARSRYLNRKEGDAEGGASDWSEVVTR >DRNTG_29568.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2244260:2250951:-1 gene:DRNTG_29568 transcript:DRNTG_29568.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSHHAPYAPGRHTCYNGRDKGSRSREGELTPKTRPQFGLQAATRLHEVGIASNRRSAIRR >DRNTG_31773.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18969336:19000279:-1 gene:DRNTG_31773 transcript:DRNTG_31773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAVANINLNSVLKDMSRSSSSGESSAIKQ >DRNTG_03706.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:12946020:12956167:1 gene:DRNTG_03706 transcript:DRNTG_03706.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGLTEEVTSTPGILKKVLRKMKRARRRHRKHSKAVGDVHEPKMLDEPLIDPADFCKSCRENTRACGISTPPWGLQVGQIFTRAHRGVDSPLGVLSIPKRHRGMRLPLEAIGGMRLPLWVGGMGVGIFCTPVREKSKSKERFPKRAQGCAYAPVLLLKLWHLGQRSKLIRDHTRFLDTTILRDLQLGDEFTDEIKELISEGGWRQLLREFALEVISLFEFDRSYASFDSVDTIQFRVFGHHHSLSIMQFLVLLGLYEEAFTDTEEYAQLSTD >DRNTG_12169.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12354366:12359726:-1 gene:DRNTG_12169 transcript:DRNTG_12169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIVGLGLGDEKDITLRGLEAVKASTKVYVEAYTSLLSFGLTSDGLSKLEKLYGKPVIVADREMVEERADQILSEASESDVAFLVVGDPFGATTHTDLVVRAKQLGIDVKVIHNASVMNAIGVCGLQLYRYGETVSIPFFTETWRPDSFYEKIQRNRELGLHTLCLLDIRVKEPSLESLCRGKKCYEPPKYMTIHTAINQLLEVEALRKGSAYSEKSKCVGIARLGNEDQLIAAGSMTDLLDVDFGAPLHCLVIVGETHPVEEEMLEFYKVK >DRNTG_07454.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20010334:20011345:-1 gene:DRNTG_07454 transcript:DRNTG_07454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMLNEIPASSRKYEFMLAMADKMLNENMQQGHPALIDVNRAVLSSAFSHTCELLRRSLRRSELCPVTWPTRVIRMLPMGARLAKMYDGIRVCLAGIVPAMDTWRSGSKFERQVAVTAPTVSTSEWLEAEKLAHELVWITNKLIANDAIGEAIVRWAFASGLAGLSLTSHPRVQSSLIKVTAMLVREMAKGEWERMGRQVRFGIVAIWLPLLCYARNGVTAPVLVGLERWELERAIEELIGTLPLDDQEIILGNWLEDFAASDSNWPNLQGSFERWCCQSRKLLQ >DRNTG_09700.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:751070:756031:1 gene:DRNTG_09700 transcript:DRNTG_09700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTTFVLDRTSQALGRGPSISKLVVLFAVSSGGLVAYADSRLDNGVEIPQGPPKKKVVVLGTGWAGTSFLKNLDTSLYDVQVVSPRNYFAFTPLLPSVTCGTVEARSIVEPIRNIVKKKDGQVKFWEAECFKIDPANKKVLCRSNIGTNLDGNGEFAVEYDYLVVALGAKSNTFNTPGVEENCHFLKEIEDAQKIRRSVMDCFERACLPNLSDEEREKNLHFVVVGGGPTGVEFAAELHDYVSEDLAKLYPNVKDLVKISVIEAGEHILTMFDKRITKFAEDKFQRDGINVKTNFKVVKVSNKAITMTNPSTGEVSVPYGMAVWSTGIGTRPVMMDLMKEVGQAGRRVLGTDEWLRVLGTNNVYALGDCATISQRKVMEDVSAIFKVADKDNSGTLTVKEIKEVLDDICVRYPQVELYLKTKQMTDFSYLLKDSTGNVNEDSIELNIEEFKKALANVDSQVKNLPATAQVKLCLRVIAMFASNWCNLLQFKISLLGKLMRN >DRNTG_09700.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:752007:756031:1 gene:DRNTG_09700 transcript:DRNTG_09700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFERACLPNLSDEEREKNLHFVVVGGGPTGVEFAAELHDYVSEDLAKLYPNVKDLVKISVIEAGEHILTMFDKRITKFAEDKFQRDGINVKTNFKVVKVSNKAITMTNPSTGEVSVPYGMAVWSTGIGTRPVMMDLMKEVGQAGRRVLGTDEWLRVLGTNNVYALGDCATISQRKVMEDVSAIFKVADKDNSGTLTVKEIKEVLDDICVRYPQVELYLKTKQMTDFSYLLKDSTGNVNEDSIELNIEEFKKALANVDSQVKNLPATAQVKLCLRVIAMFASNWCNLLQFKISLLGKLMRN >DRNTG_09700.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:751070:756031:1 gene:DRNTG_09700 transcript:DRNTG_09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTTFVLDRTSQALGRGPSISKLVVLFAVSSGGLVAYADSRLDNGVEIPQGPPKKKVVVLGTGWAGTSFLKNLDTSLYDVQVVSPRNYFAFTPLLPSVTCGTVEARSIVEPIRNIVKKKDGQVKFWEAECFKIDPANKKVLCRSNIGTNLDGNGEFAVEYDYLVVALGAKSNTFNTPGVEENCHFLKEIEDAQKIRRSVMDCFERACLPNLSDEEREKNLHFVVVGGGPTGVEFAAELHDYVSEDLAKLYPNVKDLVKISVIEAGEHILTMFDKRITKFAEDKFQRDGINVKTNFKVVKVSNKAITMTNPSTGEVSVPYGMAVWSTGIGTRPVMMDLMKEVGQAGRRVLGTDEWLRVLGTNNVYALGDCATISQRKVMEDVSAIFKVADKDNSGTLTVKEIKEVLDDICVRYPQVELYLKTKQMTDFSYLLKDSTGNVNEDSIELNIEEFKKALANVDSQVKNLPATAQVAAQQGEYLARCFNRMKQCEEKS >DRNTG_08146.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5468368:5471167:-1 gene:DRNTG_08146 transcript:DRNTG_08146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCALGLTREPLKKMRRFHKTIDMDYEGVFRLNQRVRSILGNMVYHLESPELLATPHSVRRREYPFPPGALYWLQLISDVACMILSLMRLVQQDYGEVQPKTKNPKLALDLFYGLALGEALMFLLEKAYWEWKISICKLLNKLTRNVILETPIYV >DRNTG_23031.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3245889:3251156:-1 gene:DRNTG_23031 transcript:DRNTG_23031.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYSSSMASSPTTPATTTTPSTTLRRDHHRSLFPPRRTIRFPSASQFETISISTDNTEDGDRIRRLQNGSDVRGVALEGEKGRKVDLTPHAVEVIAESFGEWLIKDNVNVNSNINSNEESLKVVKVSLGRDPRISGERLSKAVFTGLMRAGCEVFDMGLATTPACFMSTVLPPFSYDASIMMTASHLPYTRNGLKFFTKKGGLTSLDVEKICDRAANKYVNRNMGINGAIVSTTNLTRVDFMSAYAKHLRGIIMERINHPLHFDTPLKGFKVIVNAGNGSGGFFTKDVLDLLGADTSGSLYLEPDGTFPNHIPNPEDPIAMSLTQAAVLGHKADLGIVFDTDVDRSGVVDATGTAINGDKLIALMSAIVLREHPNTTIVTDARTSVALTKFITDLGGNHCLYRVGYRNVIDKGVQLNKDSIETHLMMETTGHGALKENYFLDDGAYMVVKILIEMVRMKLSGNEEGIGSHIKGLAEPLESVELRINIITQPKYAKEKGAQAVDALKKYIEDGQLEGWELDGCGDCWVSEGCLVDTNDTPAAVDAHMYRARVIDEKIGEYGWVHLRQSVHNPNVALNLQSSIDGGCQAMAKALLDKFFIPSGLAEVLDFSQVNDFALKQCRD >DRNTG_04916.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:9335452:9339587:1 gene:DRNTG_04916 transcript:DRNTG_04916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSLPKKSSKSLICPPQSLSKMGSMRTSLRWYTGSMRTSLSPSLTLFGGRRTPVCILEMERTSSVEIHTGMRKLPTPVCLSQDHPQIF >DRNTG_34496.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1521964:1522974:-1 gene:DRNTG_34496 transcript:DRNTG_34496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAELRQLVFPIEFHNLQELQLLVDSMTDENLDAVYGFFHLCPCPSIERLFIQMRGKKEEDPVHANHAIKAMETPQDIDFSHLRVIKMTNFKGWRNEIRLLKFLLEKALCLESLIILVAPLVENGMDLVSQNKQLSESQRLAILSGQISMLPKASSQAQIILCDSSQDDKRIQPVHQGVNHEYNHSEDRYDLISSIRSGVDIQVLI >DRNTG_00339.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18775169:18781665:-1 gene:DRNTG_00339 transcript:DRNTG_00339.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDVEIKKTQEERRKLEEQRASLTSLTFDADLYGGEGVDRFQGYELSIPVTEEEEEADGDHSGRDLARRMASFTGPSALSEVPRASEEDSGFRKPQRIIDREDEYRRKRLQQMISPDRNDPFASGEATPDPSVRTYADVMREQALKRQKDEILREIAKKKEEEKNKVAAEVAAAPQKRRNRWDQSQEPEPEAKKPKSSSDWDAPDSTPGIGRWDATPTPGRVAADATPSVARRNRWDETPTPGRLADADATPAGGVTPGATPAGMAWDATPKLGAIATPTPKRQRSRWDETPAAVGAATPLPGAATPAAAFTPGVTPIGGVDLATPTPSAIKFSGAITPEQYNLLRWERDIEERNRPLTDEELDAMFPQEGYKVLDPPASYVPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKEAPGGLPFMKPEDYQYFGALLNEEEEEQLNPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAVYASYYTKEVMFILIREFQSPDEEMKKIVLKVVKQCVSTEGVESDYIRNDILPEFFRNFWVRRMALDRRNYRQLVDTTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPILEDESENVFSRPELMMFV >DRNTG_00339.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18775350:18781700:-1 gene:DRNTG_00339 transcript:DRNTG_00339.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDVEIKKTQEERRKLEEQRASLTSLTFDADLYGGEGVDRFQGYELSIPVTEEEEEADGDHSGRDLARRMASFTGPSALSEVPRASEEDSGFRKPQRIIDREDEYRRKRLQQMISPDRNDPFASGEATPDPSVRTYADVMREQALKRQKDEILREIAKKKEEEKNKVAAEVAAAPQKRRNRWDQSQEPEPEAKKPKSSSDWDAPDSTPGIGRWDATPTPGRVAADATPSVARRNRWDETPTPGRLADADATPAGGVTPGATPAGMAWDATPKLGAIATPTPKRQRSRWDETPAAVGAATPLPGAATPAAAFTPGVTPIGGVDLATPTPSAIKFSGAITPEQYNLLRWERDIEERNRPLTDEELDAMFPQEGYKVLDPPASYVPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKEAPGGLPFMKPEDYQYFGALLNEEEEEQLNPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAVYASYYTKEVMFILIREFQSPDEEMKKIVLKVVKQCVSTEGVESDYIRNDILPEFFRNFWVRRMALDRRNYRQLVDTTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPILEDESENVFSRPELMMFV >DRNTG_00339.23.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18775936:18781633:-1 gene:DRNTG_00339 transcript:DRNTG_00339.23 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDVEIKKTQEERRKLEEQRASLTSLTFDADLYGGEGVDRFQGYELSIPVTEEEEEADGDHSGRDLARRMASFTGPSALSEVPRASEEDSGFRKPQRIIDREDEYRRKRLQQMISPDRNDPFASGEATPDPSVRTYADVMREQALKRQKDEILREIAKKKEEEKNKVAAEVAAAPQKRRNRWDQSQEPEPEAKKPKSSSDWDAPDSTPGIGRWDATPTPGRVAADATPSVARRNRWDETPTPGRLADADATPAGGVTPGATPAGMAWDATPKLGAIATPTPKRQRSRWDETPAAVGAATPLPGAATPAAAFTPGVTPIGGVDLATPTPSAIKFSGAITPEQYNLLRWERDIEERNRPLTDEELDAMFPQEGYKVLDPPASYVPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKEAPGGLPFMKPEDYQYFGALLNEEEEEQLNPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAVYASYYTKEVMFILIREFQSPDEEMKKIVLKVVKQCVSTEGVESDYIRNDILPEFFRNFWVRRMALDRRNYRQLVDTTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPILEDESENVFSRPELMMFV >DRNTG_00339.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18775169:18781665:-1 gene:DRNTG_00339 transcript:DRNTG_00339.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDVEIKKTQEERRKLEEQRASLTSLTFDADLYGGEGVDRFQGYELSIPVTEEEEEADGDHSGRDLARRMASFTGPSALSEVPRASEEDSGFRKPQRIIDREDEYRRKRLQQMISPDRNDPFASGEATPDPSVRTYADVMREQALKRQKDEILREIAKKKEEEKNKVAAEVAAAPQKRRNRWDQSQEPEPEAKKPKSSSDWDAPDSTPGIGRWDATPTPGRVAADATPSVARRNRWDETPTPGRLADADATPAGGVTPGATPAGMAWDATPKLGAIATPTPKRQRSRWDETPAAVGAATPLPGAATPAAAFTPGVTPIGGVDLATPTPSAIKFSGAITPEQYNLLRWERDIEERNRPLTDEELDAMFPQEGYKVLDPPASYVPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKEAPGGLPFMKPEDYQYFGALLNEEEEEQLNPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAVYASYYTKEVMFILIREFQSPDEEMKKIVLKVVKQCVSTEGVESDYIRNDILPEFFRNFWVRRMALDRRNYRQLVDTTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPILEDESENVFSRPELMMFV >DRNTG_00339.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18775482:18781633:-1 gene:DRNTG_00339 transcript:DRNTG_00339.13 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDVEIKKTQEERRKLEEQRASLTSLTFDADLYGGEGVDRFQGYELSIPVTEEEEEADGDHSGRDLARRMASFTGPSALSEVPRASEEDSGFRKPQRIIDREDEYRRKRLQQMISPDRNDPFASGEATPDPSVRTYADVMREQALKRQKDEILREIAKKKEEEKNKVAAEVAAAPQKRRNRWDQSQEPEPEAKKPKSSSDWDAPDSTPGIGRWDATPTPGRVAADATPSVARRNRWDETPTPGRLADADATPAGGVTPGATPAGMAWDATPKLGAIATPTPKRQRSRWDETPAAVGAATPLPGAATPAAAFTPGVTPIGGVDLATPTPSAIKFSGAITPEQYNLLRWERDIEERNRPLTDEELDAMFPQEGYKVLDPPASYVPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKEAPGGLPFMKPEDYQYFGALLNEEEEEQLNPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAVYASYYTKEVMFILIREFQSPDEEMKKIVLKVVKQCVSTEGVESDYIRNDILPEFFRNFWVRRMALDRRNYRQLVDTTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPILEDESENVFSRPELMMFV >DRNTG_00339.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18775169:18781665:-1 gene:DRNTG_00339 transcript:DRNTG_00339.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDVEIKKTQEERRKLEEQRASLTSLTFDADLYGGEGVDRFQGYELSIPVTEEEEEADGDHSGRDLARRMASFTGPSALSEVPRASEEDSGFRKPQRIIDREDEYRRKRLQQMISPDRNDPFASGEATPDPSVRTYADVMREQALKRQKDEILREIAKKKEEEKNKVAAEVAAAPQKRRNRWDQSQEPEPEAKKPKSSSDWDAPDSTPGIGRWDATPTPGRVAADATPSVARRNRWDETPTPGRLADADATPAGGVTPGATPAGMAWDATPKLGAIATPTPKRQRSRWDETPAAVGAATPLPGAATPAAAFTPGVTPIGGVDLATPTPSAIKFSGAITPEQYNLLRWERDIEERNRPLTDEELDAMFPQEGYKVLDPPASYVPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKEAPGGLPFMKPEDYQYFGALLNEEEEEQLNPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAVYASYYTKEVMFILIREFQSPDEEMKKIVLKVVKQCVSTEGVESDYIRNDILPEFFRNFWVRRMALDRRNYRQLVDTTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPILEDESENVFSRPELMMFV >DRNTG_00339.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18775482:18781633:-1 gene:DRNTG_00339 transcript:DRNTG_00339.14 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDVEIKKTQEERRKLEEQRASLTSLTFDADLYGGEGVDRFQGYELSIPVTEEEEEADGDHSGRDLARRMASFTGPSALSEVPRASEEDSGFRKPQRIIDREDEYRRKRLQQMISPDRNDPFASGEATPDPSVRTYADVMREQALKRQKDEILREIAKKKEEEKNKVAAEVAAAPQKRRNRWDQSQEPEPEAKKPKSSSDWDAPDSTPGIGRWDATPTPGRVAADATPSVARRNRWDETPTPGRLADADATPAGGVTPGATPAGMAWDATPKLGAIATPTPKRQRSRWDETPAAVGAATPLPGAATPAAAFTPGVTPIGGVDLATPTPSAIKFSGAITPEQYNLLRWERDIEERNRPLTDEELDAMFPQEGYKVLDPPASYVPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKEAPGGLPFMKPEDYQYFGALLNEEEEEQLNPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAVYASYYTKEVMFILIREFQSPDEEMKKIVLKVVKQCVSTEGVESDYIRNDILPEFFRNFWVRRMALDRRNYRQLVDTTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPILEDESENVFSRPELMMFV >DRNTG_00339.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18775482:18781665:-1 gene:DRNTG_00339 transcript:DRNTG_00339.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDVEIKKTQEERRKLEEQRASLTSLTFDADLYGGEGVDRFQGYELSIPVTEEEEEADGDHSGRDLARRMASFTGPSALSEVPRASEEDSGFRKPQRIIDREDEYRRKRLQQMISPDRNDPFASGEATPDPSVRTYADVMREQALKRQKDEILREIAKKKEEEKNKVAAEVAAAPQKRRNRWDQSQEPEPEAKKPKSSSDWDAPDSTPGIGRWDATPTPGRVAADATPSVARRNRWDETPTPGRLADADATPAGGVTPGATPAGMAWDATPKLGAIATPTPKRQRSRWDETPAAVGAATPLPGAATPAAAFTPGVTPIGGVDLATPTPSAIKFSGAITPEQYNLLRWERDIEERNRPLTDEELDAMFPQEGYKVLDPPASYVPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKEAPGGLPFMKPEDYQYFGALLNEEEEEQLNPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAVYASYYTKEVMFILIREFQSPDEEMKKIVLKVVKQCVSTEGVESDYIRNDILPEFFRNFWVRRMALDRRNYRQLVDTTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPILEDESENVFSRPELMMFV >DRNTG_00339.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18775482:18781633:-1 gene:DRNTG_00339 transcript:DRNTG_00339.12 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDVEIKKTQEERRKLEEQRASLTSLTFDADLYGGEGVDRFQGYELSIPVTEEEEEADGDHSGRDLARRMASFTGPSALSEVPRASEEDSGFRKPQRIIDREDEYRRKRLQQMISPDRNDPFASGEATPDPSVRTYADVMREQALKRQKDEILREIAKKKEEEKNKVAAEVAAAPQKRRNRWDQSQEPEPEAKKPKSSSDWDAPDSTPGIGRWDATPTPGRVAADATPSVARRNRWDETPTPGRLADADATPAGGVTPGATPAGMAWDATPKLGAIATPTPKRQRSRWDETPAAVGAATPLPGAATPAAAFTPGVTPIGGVDLATPTPSAIKFSGAITPEQYNLLRWERDIEERNRPLTDEELDAMFPQEGYKVLDPPASYVPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKEAPGGLPFMKPEDYQYFGALLNEEEEEQLNPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAVYASYYTKEVMFILIREFQSPDEEMKKIVLKVVKQCVSTEGVESDYIRNDILPEFFRNFWVRRMALDRRNYRQLVDTTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPILEDESENVFSRPELMMFV >DRNTG_00339.22.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18775936:18781633:-1 gene:DRNTG_00339 transcript:DRNTG_00339.22 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDVEIKKTQEERRKLEEQRASLTSLTFDADLYGGEGVDRFQGYELSIPVTEEEEEADGDHSGRDLARRMASFTGPSALSEVPRASEEDSGFRKPQRIIDREDEYRRKRLQQMISPDRNDPFASGEATPDPSVRTYADVMREQALKRQKDEILREIAKKKEEEKNKVAAEVAAAPQKRRNRWDQSQEPEPEAKKPKSSSDWDAPDSTPGIGRWDATPTPGRVAADATPSVARRNRWDETPTPGRLADADATPAGGVTPGATPAGMAWDATPKLGAIATPTPKRQRSRWDETPAAVGAATPLPGAATPAAAFTPGVTPIGGVDLATPTPSAIKFSGAITPEQYNLLRWERDIEERNRPLTDEELDAMFPQEGYKVLDPPASYVPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKEAPGGLPFMKPEDYQYFGALLNEEEEEQLNPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAVYASYYTKEVMFILIREFQSPDEEMKKIVLKVVKQCVSTEGVESDYIRNDILPEFFRNFWVRRMALDRRNYRQLVDTTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPILEDESENVFSRPELMMFV >DRNTG_00339.18.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18775936:18781665:-1 gene:DRNTG_00339 transcript:DRNTG_00339.18 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDVEIKKTQEERRKLEEQRASLTSLTFDADLYGGEGVDRFQGYELSIPVTEEEEEADGDHSGRDLARRMASFTGPSALSEVPRASEEDSGFRKPQRIIDREDEYRRKRLQQMISPDRNDPFASGEATPDPSVRTYADVMREQALKRQKDEILREIAKKKEEEKNKVAAEVAAAPQKRRNRWDQSQEPEPEAKKPKSSSDWDAPDSTPGIGRWDATPTPGRVAADATPSVARRNRWDETPTPGRLADADATPAGGVTPGATPAGMAWDATPKLGAIATPTPKRQRSRWDETPAAVGAATPLPGAATPAAAFTPGVTPIGGVDLATPTPSAIKFSGAITPEQYNLLRWERDIEERNRPLTDEELDAMFPQEGYKVLDPPASYVPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKEAPGGLPFMKPEDYQYFGALLNEEEEEQLNPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAVYASYYTKEVMFILIREFQSPDEEMKKIVLKVVKQCVSTEGVESDYIRNDILPEFFRNFWVRRMALDRRNYRQLVDTTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPILEDESENVFSRPELMMFV >DRNTG_00339.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18775350:18781665:-1 gene:DRNTG_00339 transcript:DRNTG_00339.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDVEIKKTQEERRKLEEQRASLTSLTFDADLYGGEGVDRFQGYELSIPVTEEEEEADGDHSGRDLARRMASFTGPSALSEVPRASEEDSGFRKPQRIIDREDEYRRKRLQQMISPDRNDPFASGEATPDPSVRTYADVMREQALKRQKDEILREIAKKKEEEKNKVAAEVAAAPQKRRNRWDQSQEPEPEAKKPKSSSDWDAPDSTPGIGRWDATPTPGRVAADATPSVARRNRWDETPTPGRLADADATPAGGVTPGATPAGMAWDATPKLGAIATPTPKRQRSRWDETPAAVGAATPLPGAATPAAAFTPGVTPIGGVDLATPTPSAIKFSGAITPEQYNLLRWERDIEERNRPLTDEELDAMFPQEGYKVLDPPASYVPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKEAPGGLPFMKPEDYQYFGALLNEEEEEQLNPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAVYASYYTKEVMFILIREFQSPDEEMKKIVLKVVKQCVSTEGVESDYIRNDILPEFFRNFWVRRMALDRRNYRQLVDTTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPILEDESENVFSRPELMMFV >DRNTG_00339.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18775482:18781633:-1 gene:DRNTG_00339 transcript:DRNTG_00339.11 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDVEIKKTQEERRKLEEQRASLTSLTFDADLYGGEGVDRFQGYELSIPVTEEEEEADGDHSGRDLARRMASFTGPSALSEVPRASEEDSGFRKPQRIIDREDEYRRKRLQQMISPDRNDPFASGEATPDPSVRTYADVMREQALKRQKDEILREIAKKKEEEKNKVAAEVAAAPQKRRNRWDQSQEPEPEAKKPKSSSDWDAPDSTPGIGRWDATPTPGRVAADATPSVARRNRWDETPTPGRLADADATPAGGVTPGATPAGMAWDATPKLGAIATPTPKRQRSRWDETPAAVGAATPLPGAATPAAAFTPGVTPIGGVDLATPTPSAIKFSGAITPEQYNLLRWERDIEERNRPLTDEELDAMFPQEGYKVLDPPASYVPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKEAPGGLPFMKPEDYQYFGALLNEEEEEQLNPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAVYASYYTKEVMFILIREFQSPDEEMKKIVLKVVKQCVSTEGVESDYIRNDILPEFFRNFWVRRMALDRRNYRQLVDTTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPILEDESENVFSRPELMMFV >DRNTG_00339.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18775169:18781700:-1 gene:DRNTG_00339 transcript:DRNTG_00339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDVEIKKTQEERRKLEEQRASLTSLTFDADLYGGEGVDRFQGYELSIPVTEEEEEADGDHSGRDLARRMASFTGPSALSEVPRASEEDSGFRKPQRIIDREDEYRRKRLQQMISPDRNDPFASGEATPDPSVRTYADVMREQALKRQKDEILREIAKKKEEEKNKVAAEVAAAPQKRRNRWDQSQEPEPEAKKPKSSSDWDAPDSTPGIGRWDATPTPGRVAADATPSVARRNRWDETPTPGRLADADATPAGGVTPGATPAGMAWDATPKLGAIATPTPKRQRSRWDETPAAVGAATPLPGAATPAAAFTPGVTPIGGVDLATPTPSAIKFSGAITPEQYNLLRWERDIEERNRPLTDEELDAMFPQEGYKVLDPPASYVPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKEAPGGLPFMKPEDYQYFGALLNEEEEEQLNPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAVYASYYTKEVMFILIREFQSPDEEMKKIVLKVVKQCVSTEGVESDYIRNDILPEFFRNFWVRRMALDRRNYRQLVDTTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPILEDESENVFSRPELMMFV >DRNTG_00339.21.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18775936:18781633:-1 gene:DRNTG_00339 transcript:DRNTG_00339.21 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDVEIKKTQEERRKLEEQRASLTSLTFDADLYGGEGVDRFQGYELSIPVTEEEEEADGDHSGRDLARRMASFTGPSALSEVPRASEEDSGFRKPQRIIDREDEYRRKRLQQMISPDRNDPFASGEATPDPSVRTYADVMREQALKRQKDEILREIAKKKEEEKNKVAAEVAAAPQKRRNRWDQSQEPEPEAKKPKSSSDWDAPDSTPGIGRWDATPTPGRVAADATPSVARRNRWDETPTPGRLADADATPAGGVTPGATPAGMAWDATPKLGAIATPTPKRQRSRWDETPAAVGAATPLPGAATPAAAFTPGVTPIGGVDLATPTPSAIKFSGAITPEQYNLLRWERDIEERNRPLTDEELDAMFPQEGYKVLDPPASYVPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKEAPGGLPFMKPEDYQYFGALLNEEEEEQLNPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAVYASYYTKEVMFILIREFQSPDEEMKKIVLKVVKQCVSTEGVESDYIRNDILPEFFRNFWVRRMALDRRNYRQLVDTTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPILEDESENVFSRPELMMFV >DRNTG_00339.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18775936:18781700:-1 gene:DRNTG_00339 transcript:DRNTG_00339.15 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDVEIKKTQEERRKLEEQRASLTSLTFDADLYGGEGVDRFQGYELSIPVTEEEEEADGDHSGRDLARRMASFTGPSALSEVPRASEEDSGFRKPQRIIDREDEYRRKRLQQMISPDRNDPFASGEATPDPSVRTYADVMREQALKRQKDEILREIAKKKEEEKNKVAAEVAAAPQKRRNRWDQSQEPEPEAKKPKSSSDWDAPDSTPGIGRWDATPTPGRVAADATPSVARRNRWDETPTPGRLADADATPAGGVTPGATPAGMAWDATPKLGAIATPTPKRQRSRWDETPAAVGAATPLPGAATPAAAFTPGVTPIGGVDLATPTPSAIKFSGAITPEQYNLLRWERDIEERNRPLTDEELDAMFPQEGYKVLDPPASYVPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKEAPGGLPFMKPEDYQYFGALLNEEEEEQLNPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAVYASYYTKEVMFILIREFQSPDEEMKKIVLKVVKQCVSTEGVESDYIRNDILPEFFRNFWVRRMALDRRNYRQLVDTTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPILEDESENVFSRPELMMFV >DRNTG_00339.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18775350:18781665:-1 gene:DRNTG_00339 transcript:DRNTG_00339.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDVEIKKTQEERRKLEEQRASLTSLTFDADLYGGEGVDRFQGYELSIPVTEEEEEADGDHSGRDLARRMASFTGPSALSEVPRASEEDSGFRKPQRIIDREDEYRRKRLQQMISPDRNDPFASGEATPDPSVRTYADVMREQALKRQKDEILREIAKKKEEEKNKVAAEVAAAPQKRRNRWDQSQEPEPEAKKPKSSSDWDAPDSTPGIGRWDATPTPGRVAADATPSVARRNRWDETPTPGRLADADATPAGGVTPGATPAGMAWDATPKLGAIATPTPKRQRSRWDETPAAVGAATPLPGAATPAAAFTPGVTPIGGVDLATPTPSAIKFSGAITPEQYNLLRWERDIEERNRPLTDEELDAMFPQEGYKVLDPPASYVPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKEAPGGLPFMKPEDYQYFGALLNEEEEEQLNPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAVYASYYTKEVMFILIREFQSPDEEMKKIVLKVVKQCVSTEGVESDYIRNDILPEFFRNFWVRRMALDRRNYRQLVDTTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPILEDESENVFSRPELMMFV >DRNTG_00339.16.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18775936:18781700:-1 gene:DRNTG_00339 transcript:DRNTG_00339.16 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDVEIKKTQEERRKLEEQRASLTSLTFDADLYGGEGVDRFQGYELSIPVTEEEEEADGDHSGRDLARRMASFTGPSALSEVPRASEEDSGFRKPQRIIDREDEYRRKRLQQMISPDRNDPFASGEATPDPSVRTYADVMREQALKRQKDEILREIAKKKEEEKNKVAAEVAAAPQKRRNRWDQSQEPEPEAKKPKSSSDWDAPDSTPGIGRWDATPTPGRVAADATPSVARRNRWDETPTPGRLADADATPAGGVTPGATPAGMAWDATPKLGAIATPTPKRQRSRWDETPAAVGAATPLPGAATPAAAFTPGVTPIGGVDLATPTPSAIKFSGAITPEQYNLLRWERDIEERNRPLTDEELDAMFPQEGYKVLDPPASYVPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKEAPGGLPFMKPEDYQYFGALLNEEEEEQLNPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAVYASYYTKEVMFILIREFQSPDEEMKKIVLKVVKQCVSTEGVESDYIRNDILPEFFRNFWVRRMALDRRNYRQLVDTTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPILEDESENVFSRPELMMFV >DRNTG_00339.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18775482:18781665:-1 gene:DRNTG_00339 transcript:DRNTG_00339.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDVEIKKTQEERRKLEEQRASLTSLTFDADLYGGEGVDRFQGYELSIPVTEEEEEADGDHSGRDLARRMASFTGPSALSEVPRASEEDSGFRKPQRIIDREDEYRRKRLQQMISPDRNDPFASGEATPDPSVRTYADVMREQALKRQKDEILREIAKKKEEEKNKVAAEVAAAPQKRRNRWDQSQEPEPEAKKPKSSSDWDAPDSTPGIGRWDATPTPGRVAADATPSVARRNRWDETPTPGRLADADATPAGGVTPGATPAGMAWDATPKLGAIATPTPKRQRSRWDETPAAVGAATPLPGAATPAAAFTPGVTPIGGVDLATPTPSAIKFSGAITPEQYNLLRWERDIEERNRPLTDEELDAMFPQEGYKVLDPPASYVPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKEAPGGLPFMKPEDYQYFGALLNEEEEEQLNPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAVYASYYTKEVMFILIREFQSPDEEMKKIVLKVVKQCVSTEGVESDYIRNDILPEFFRNFWVRRMALDRRNYRQLVDTTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPILEDESENVFSRPELMMFV >DRNTG_00339.20.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18775936:18781633:-1 gene:DRNTG_00339 transcript:DRNTG_00339.20 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDVEIKKTQEERRKLEEQRASLTSLTFDADLYGGEGVDRFQGYELSIPVTEEEEEADGDHSGRDLARRMASFTGPSALSEVPRASEEDSGFRKPQRIIDREDEYRRKRLQQMISPDRNDPFASGEATPDPSVRTYADVMREQALKRQKDEILREIAKKKEEEKNKVAAEVAAAPQKRRNRWDQSQEPEPEAKKPKSSSDWDAPDSTPGIGRWDATPTPGRVAADATPSVARRNRWDETPTPGRLADADATPAGGVTPGATPAGMAWDATPKLGAIATPTPKRQRSRWDETPAAVGAATPLPGAATPAAAFTPGVTPIGGVDLATPTPSAIKFSGAITPEQYNLLRWERDIEERNRPLTDEELDAMFPQEGYKVLDPPASYVPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKEAPGGLPFMKPEDYQYFGALLNEEEEEQLNPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAVYASYYTKEVMFILIREFQSPDEEMKKIVLKVVKQCVSTEGVESDYIRNDILPEFFRNFWVRRMALDRRNYRQLVDTTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPILEDESENVFSRPELMMFV >DRNTG_00339.19.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18775936:18781665:-1 gene:DRNTG_00339 transcript:DRNTG_00339.19 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDVEIKKTQEERRKLEEQRASLTSLTFDADLYGGEGVDRFQGYELSIPVTEEEEEADGDHSGRDLARRMASFTGPSALSEVPRASEEDSGFRKPQRIIDREDEYRRKRLQQMISPDRNDPFASGEATPDPSVRTYADVMREQALKRQKDEILREIAKKKEEEKNKVAAEVAAAPQKRRNRWDQSQEPEPEAKKPKSSSDWDAPDSTPGIGRWDATPTPGRVAADATPSVARRNRWDETPTPGRLADADATPAGGVTPGATPAGMAWDATPKLGAIATPTPKRQRSRWDETPAAVGAATPLPGAATPAAAFTPGVTPIGGVDLATPTPSAIKFSGAITPEQYNLLRWERDIEERNRPLTDEELDAMFPQEGYKVLDPPASYVPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKEAPGGLPFMKPEDYQYFGALLNEEEEEQLNPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAVYASYYTKEVMFILIREFQSPDEEMKKIVLKVVKQCVSTEGVESDYIRNDILPEFFRNFWVRRMALDRRNYRQLVDTTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPILEDESENVFSRPELMMFV >DRNTG_00339.17.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18775936:18781665:-1 gene:DRNTG_00339 transcript:DRNTG_00339.17 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDVEIKKTQEERRKLEEQRASLTSLTFDADLYGGEGVDRFQGYELSIPVTEEEEEADGDHSGRDLARRMASFTGPSALSEVPRASEEDSGFRKPQRIIDREDEYRRKRLQQMISPDRNDPFASGEATPDPSVRTYADVMREQALKRQKDEILREIAKKKEEEKNKVAAEVAAAPQKRRNRWDQSQEPEPEAKKPKSSSDWDAPDSTPGIGRWDATPTPGRVAADATPSVARRNRWDETPTPGRLADADATPAGGVTPGATPAGMAWDATPKLGAIATPTPKRQRSRWDETPAAVGAATPLPGAATPAAAFTPGVTPIGGVDLATPTPSAIKFSGAITPEQYNLLRWERDIEERNRPLTDEELDAMFPQEGYKVLDPPASYVPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKEAPGGLPFMKPEDYQYFGALLNEEEEEQLNPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAVYASYYTKEVMFILIREFQSPDEEMKKIVLKVVKQCVSTEGVESDYIRNDILPEFFRNFWVRRMALDRRNYRQLVDTTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPILEDESENVFSRPELMMFV >DRNTG_00339.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18775482:18781665:-1 gene:DRNTG_00339 transcript:DRNTG_00339.10 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDVEIKKTQEERRKLEEQRASLTSLTFDADLYGGEGVDRFQGYELSIPVTEEEEEADGDHSGRDLARRMASFTGPSALSEVPRASEEDSGFRKPQRIIDREDEYRRKRLQQMISPDRNDPFASGEATPDPSVRTYADVMREQALKRQKDEILREIAKKKEEEKNKVAAEVAAAPQKRRNRWDQSQEPEPEAKKPKSSSDWDAPDSTPGIGRWDATPTPGRVAADATPSVARRNRWDETPTPGRLADADATPAGGVTPGATPAGMAWDATPKLGAIATPTPKRQRSRWDETPAAVGAATPLPGAATPAAAFTPGVTPIGGVDLATPTPSAIKFSGAITPEQYNLLRWERDIEERNRPLTDEELDAMFPQEGYKVLDPPASYVPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKEAPGGLPFMKPEDYQYFGALLNEEEEEQLNPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAVYASYYTKEVMFILIREFQSPDEEMKKIVLKVVKQCVSTEGVESDYIRNDILPEFFRNFWVRRMALDRRNYRQLVDTTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPILEDESENVFSRPELMMFV >DRNTG_06951.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:805086:811052:1 gene:DRNTG_06951 transcript:DRNTG_06951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNANEVIANRAAEILGHKRGGKFVHPNDHVNKAQSSNDTFPTVMHIAAAVEINSRFVPSLKQLHTALHSKSVEFKDIVKIGRTHTQDATPLTLGQEFSGYTTQVKYGIDRVLDTLPRMYQLAQGGTAVGTGLNTKKGFDVKVAAAVAEETKLPFVTAENKFEALAAHDAFVETSGALNTVSVSLHKIANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHTAITVGGLNGHFELNVYKPVIASNLLRSLRLLGDASISFEKNCVRGIQANQERISKLLHESLMLVTSLNTKIGYDKAAAVAKKAHKEGTTLKNAALGLGVLTGEEFDVLVVPHKMIGPSD >DRNTG_19424.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27050139:27063490:-1 gene:DRNTG_19424 transcript:DRNTG_19424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLTPAMTFILAIIFGLESLHIKTMSGQAKVLGTLIGIGGAMLLTFYKGVQINIWPKSYNIMEGHQGTSHAIPHQDHDNLVKGLMLALASCISYSIWLIIQAKAIKLFPCQYSLTALMCLMAAVQSACFALAMDSEWVQWRMGFDVRLLTAVCSGIVASGLSVTVMAWCIRKKGPLYASVFNPLMLVIVALLGSLLLDEKLHLGSVLGAVLIVLGLYIVLWGKGREAANIKEASFSDHDSIHPYHIDRNPCPSAPISPPDLRLPLHAISPSRPARFSLLVIILLRNDAAVDSRTNTGYSIEEVPNSGVLDGHPIEEDDYIDSVYDIPSGDEDFQQGEIQWESTKRFFMECCEGNVCSKV >DRNTG_18446.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:11657651:11660839:-1 gene:DRNTG_18446 transcript:DRNTG_18446.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPALVNCGGDRDIRPGGPLYSNLMESSSLFLSLTPNVDVYCPPRKRSRITAPFILTAPSEPKLALKKPCSIDVLPDECLFEIFRRLPADKDRSASACVSKRWLFLLSSIRSSEIAGEACSKVSPAQQDVSLLNSVKKPLPDLNGEATLDEEQEIKGGHLSRCLEGKEATDVRLASMAVGLVGRGGLGKLSIRGSNTARGVTDVGLAAIAHGCPTLTALSMWDVPFVTDEGLSEIADGCPMLEKLDLCQCPLISDKGLIAVAQKCHNLKSLSIQSCSKVGNEGLQAIGRRCSQLLSISINGCRLVGDQGIAGLVSSASTTLSKIKLHNLTISDVALAVIGHYGKAVTDLVISGLMNVSERGFWVMGNARGMQNLKHLTIISCRGVTDLGLEAIAKGSPSLKQLCLRKCFTLSDNGLNAFSGAAGSLESLQLEECSRVTLFGVLGVLLNCTSKLKTLTLSKCFGIRDINAFPTQLPCCMSLRSLTIRDCPGFSSSSLAVVGRICPKLQQVDLSGLVAATDAGLLPLIDSSESRLVKVNLSGCVNLTDAVVSPLVRAHGETLQLLHLDGCKKVTDASLLAIAENCSVLTDLDMSSCSITDYGVAALASARQFNLQVLSFSGCSKVTRGSLPFLGNMGRSLLGLNLQQCNLIGSQGIASLEEKLWWCDILS >DRNTG_18446.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:11657632:11660839:-1 gene:DRNTG_18446 transcript:DRNTG_18446.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPALVNCGGDRDIRPGGPLYSNLMESSSLFLSLTPNVDVYCPPRKRSRITAPFILTAPSEPKLALKKPCSIDVLPDECLFEIFRRLPADKDRSASACVSKRWLFLLSSIRSSEIAGEACSKVSPAQQDVSLLNSVKKPLPDLNGEATLDEEQEIKGGHLSRCLEGKEATDVRLASMAVGLVGRGGLGKLSIRGSNTARGVTDVGLAAIAHGCPTLTALSMWDVPFVTDEGLSEIADGCPMLEKLDLCQCPLISDKGLIAVAQKCHNLKSLSIQSCSKVGNEGLQAIGRRCSQLLSISINGCRLVGDQGIAGLVSSASTTLSKIKLHNLTISDVALAVIGHYGKAVTDLVISGLMNVSERGFWVMGNARGMQNLKHLTIISCRGVTDLGLEAIAKGSPSLKQLCLRKCFTLSDNGLNAFSGAAGSLESLQLEECSRVTLFGVLGVLLNCTSKLKTLTLSKCFGIRDINAFPTQLPCCMSLRSLTIRDCPGFSSSSLAVVGRICPKLQQVDLSGLVAATDAGLLPLIDSSESRLVKVNLSGCVNLTDAVVSPLVRAHGETLQLLHLDGCKKVTDASLLAIAENCSVLTDLDMSSCSITDYGVAALASARQFNLQVLSFSGCSKVTRGSLPFLGNMGRSLLGLNLQQCNLIGSQGIASLEEKLWWCDILS >DRNTG_18446.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:11657651:11660900:-1 gene:DRNTG_18446 transcript:DRNTG_18446.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPALVNCGGDRDIRPGGPLYSNLMESSSLFLSLTPNVDVYCPPRKRSRITAPFILTAPSEPKLALKKPCSIDVLPDECLFEIFRRLPADKDRSASACVSKRWLFLLSSIRSSEIAGEACSKVSPAQQDVSLLNSVKKPLPDLNGEATLDEEQEIKGGHLSRCLEGKEATDVRLASMAVGLVGRGGLGKLSIRGSNTARGVTDVGLAAIAHGCPTLTALSMWDVPFVTDEGLSEIADGCPMLEKLDLCQCPLISDKGLIAVAQKCHNLKSLSIQSCSKVGNEGLQAIGRRCSQLLSISINGCRLVGDQGIAGLVSSASTTLSKIKLHNLTISDVALAVIGHYGKAVTDLVISGLMNVSERGFWVMGNARGMQNLKHLTIISCRGVTDLGLEAIAKGSPSLKQLCLRKCFTLSDNGLNAFSGAAGSLESLQLEECSRVTLFGVLGVLLNCTSKLKTLTLSKCFGIRDINAFPTQLPCCMSLRSLTIRDCPGFSSSSLAVVGRICPKLQQVDLSGLVAATDAGLLPLIDSSESRLVKVNLSGCVNLTDAVVSPLVRAHGETLQLLHLDGCKKVTDASLLAIAENCSVLTDLDMSSCSITDYGVAALASARQFNLQVLSFSGCSKVTRGSLPFLGNMGRSLLGLNLQQCNLIGSQGIASLEEKLWWCDILS >DRNTG_18446.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:11657561:11660839:-1 gene:DRNTG_18446 transcript:DRNTG_18446.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPALVNCGGDRDIRPGGPLYSNLMESSSLFLSLTPNVDVYCPPRKRSRITAPFILTAPSEPKLALKKPCSIDVLPDECLFEIFRRLPADKDRSASACVSKRWLFLLSSIRSSEIAGEACSKVSPAQQDVSLLNSVKKPLPDLNGEATLDEEQEIKGGHLSRCLEGKEATDVRLASMAVGLVGRGGLGKLSIRGSNTARGVTDVGLAAIAHGCPTLTALSMWDVPFVTDEGLSEIADGCPMLEKLDLCQCPLISDKGLIAVAQKCHNLKSLSIQSCSKVGNEGLQAIGRRCSQLLSISINGCRLVGDQGIAGLVSSASTTLSKIKLHNLTISDVALAVIGHYGKAVTDLVISGLMNVSERGFWVMGNARGMQNLKHLTIISCRGVTDLGLEAIAKGSPSLKQLCLRKCFTLSDNGLNAFSGAAGSLESLQLEECSRVTLFGVLGVLLNCTSKLKTLTLSKCFGIRDINAFPTQLPCCMSLRSLTIRDCPGFSSSSLAVVGRICPKLQQVDLSGLVAATDAGLLPLIDSSESRLVKVNLSGCVNLTDAVVSPLVRAHGETLQLLHLDGCKKVTDASLLAIAENCSVLTDLDMSSCSITDYGVAALASARQFNLQVLSFSGCSKVTRGSLPFLGNMGRSLLGLNLQQCNLIGSQGIASLEEKLWWCDILS >DRNTG_18446.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:11657651:11661172:-1 gene:DRNTG_18446 transcript:DRNTG_18446.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALVNCGGDRDIRPGGPLYSNLMESSSLFLSLTPNVDVYCPPRKRSRITAPFILTAPSEPKLALKKPCSIDVLPDECLFEIFRRLPADKDRSASACVSKRWLFLLSSIRSSEIAGEACSKVSPAQQDVSLLNSVKKPLPDLNGEATLDEEQEIKGGHLSRCLEGKEATDVRLASMAVGLVGRGGLGKLSIRGSNTARGVTDVGLAAIAHGCPTLTALSMWDVPFVTDEGLSEIADGCPMLEKLDLCQCPLISDKGLIAVAQKCHNLKSLSIQSCSKVGNEGLQAIGRRCSQLLSISINGCRLVGDQGIAGLVSSASTTLSKIKLHNLTISDVALAVIGHYGKAVTDLVISGLMNVSERGFWVMGNARGMQNLKHLTIISCRGVTDLGLEAIAKGSPSLKQLCLRKCFTLSDNGLNAFSGAAGSLESLQLEECSRVTLFGVLGVLLNCTSKLKTLTLSKCFGIRDINAFPTQLPCCMSLRSLTIRDCPGFSSSSLAVVGRICPKLQQVDLSGLVAATDAGLLPLIDSSESRLVKVNLSGCVNLTDAVVSPLVRAHGETLQLLHLDGCKKVTDASLLAIAENCSVLTDLDMSSCSITDYGVAALASARQFNLQVLSFSGCSKVTRGSLPFLGNMGRSLLGLNLQQCNLIGSQGIASLEEKLWWCDILS >DRNTG_18446.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:11657632:11661172:-1 gene:DRNTG_18446 transcript:DRNTG_18446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALVNCGGDRDIRPGGPLYSNLMESSSLFLSLTPNVDVYCPPRKRSRITAPFILTAPSEPKLALKKPCSIDVLPDECLFEIFRRLPADKDRSASACVSKRWLFLLSSIRSSEIAGEACSKVSPAQQDVSLLNSVKKPLPDLNGEATLDEEQEIKGGHLSRCLEGKEATDVRLASMAVGLVGRGGLGKLSIRGSNTARGVTDVGLAAIAHGCPTLTALSMWDVPFVTDEGLSEIADGCPMLEKLDLCQCPLISDKGLIAVAQKCHNLKSLSIQSCSKVGNEGLQAIGRRCSQLLSISINGCRLVGDQGIAGLVSSASTTLSKIKLHNLTISDVALAVIGHYGKAVTDLVISGLMNVSERGFWVMGNARGMQNLKHLTIISCRGVTDLGLEAIAKGSPSLKQLCLRKCFTLSDNGLNAFSGAAGSLESLQLEECSRVTLFGVLGVLLNCTSKLKTLTLSKCFGIRDINAFPTQLPCCMSLRSLTIRDCPGFSSSSLAVVGRICPKLQQVDLSGLVAATDAGLLPLIDSSESRLVKVNLSGCVNLTDAVVSPLVRAHGETLQLLHLDGCKKVTDASLLAIAENCSVLTDLDMSSCSITDYGVAALASARQFNLQVLSFSGCSKVTRGSLPFLGNMGRSLLGLNLQQCNLIGSQGIASLEEKLWWCDILS >DRNTG_18446.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:11657632:11660900:-1 gene:DRNTG_18446 transcript:DRNTG_18446.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPALVNCGGDRDIRPGGPLYSNLMESSSLFLSLTPNVDVYCPPRKRSRITAPFILTAPSEPKLALKKPCSIDVLPDECLFEIFRRLPADKDRSASACVSKRWLFLLSSIRSSEIAGEACSKVSPAQQDVSLLNSVKKPLPDLNGEATLDEEQEIKGGHLSRCLEGKEATDVRLASMAVGLVGRGGLGKLSIRGSNTARGVTDVGLAAIAHGCPTLTALSMWDVPFVTDEGLSEIADGCPMLEKLDLCQCPLISDKGLIAVAQKCHNLKSLSIQSCSKVGNEGLQAIGRRCSQLLSISINGCRLVGDQGIAGLVSSASTTLSKIKLHNLTISDVALAVIGHYGKAVTDLVISGLMNVSERGFWVMGNARGMQNLKHLTIISCRGVTDLGLEAIAKGSPSLKQLCLRKCFTLSDNGLNAFSGAAGSLESLQLEECSRVTLFGVLGVLLNCTSKLKTLTLSKCFGIRDINAFPTQLPCCMSLRSLTIRDCPGFSSSSLAVVGRICPKLQQVDLSGLVAATDAGLLPLIDSSESRLVKVNLSGCVNLTDAVVSPLVRAHGETLQLLHLDGCKKVTDASLLAIAENCSVLTDLDMSSCSITDYGVAALASARQFNLQVLSFSGCSKVTRGSLPFLGNMGRSLLGLNLQQCNLIGSQGIASLEEKLWWCDILS >DRNTG_26557.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22843926:22848500:1 gene:DRNTG_26557 transcript:DRNTG_26557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGKRGKSGSSISSLWTILKQADVSDKWLMVIGFIGATVNGLTTPIMLLITSKIMNSLAGVSSSTPLFIHDVNKNAIYMLYMACVSFVACFLEGYCWTRTGEQQVVRLRARYLKAVLRQDIEYFDMKVASTTEVVTSVSSDSIVIQDFLSEKMPNFIMNCSTFVGGYLIGFFMMWRLALVVFPTVVLLIIPGIIYGRILISITRKIREQYNKAGAIAEQAISSIRTVYSFVGEQRTIKEFGVALEGSFKLGVRQGLIKGITTGSNGVTFAIWAFMIWYGSKQVMFHGAKGGTIYGVGVAIITSGLAFGSAISNLRYFSEAISAGERILEVIERVPKIDSESEEGEILESFVGELEFKDLKFSYPSRPESLVLNDFNLKIPAGKIVALVGSSGSGKSTVIGLLERFYDPEFGSIHVDGVDIKKLKLKWLRSQMGLVSQEPALFATSVKENILFGKEDASMEEVVAAAKIANAHEFISNLPDGYDTQVGERGVQMSGGQKQRIAIARAVLKSPKILLLDEATSALDTESERIVQEALDMASVGRTSIVIAHRLSTIRNADIIAVAQDGRVTETGTHDELMRDEFGLYSSLVQLQQTKGGSDECSSIDSLTAVILSEDQSLNHKIEEGMPNSSLKSEEKPTKAFPAPSFQRLLLLNEPEWKQGTVGCFGAMAFGAIQPLYALAMGSMISVFFLKNHDEIKAKTRTHSLLFISLSFLSFFSNIAQHFSFGVMGEHLTKRVRERILSKILTFEVAWFDKDENSTGAICSRLAKDAVVVRSLVGDRMSLLVQTATAIVIACTLGLVTAWRLALVMMTVQPLIIVCFYSRKMLLKSMSTKAVKAQLESSKLAAEAVSNIRTVTAFSAQEKILRLFELTQEGPQKENLRQSWIAGLVLGISHSLTKCAFALSFWYGGRLVSHHLLTPKSLFQTFLILVGTGRVIANAGSMTSDLAKGAEAVSSVFTILDRQTCIEPDDSKGDSPKKLIGRVDIVDVDFAYPSRPDVLIFNNFSLSIGADKSTAFVGRSGSGKSTIIGLIERFYDPLMGSIMIDNKDIKTYHLRCLRRHIAMVGQEPVLFAGTIEENIAYGVDKTTEAEIESAARAANAHEFVSSLKDGYKTWCGDKGIQLSGGQRQRIAIARAILKNPSILLLDEATSALDTRSEKVVQDALEKVMIGRTCVVVAHRLSTIQNCDIIVVLEKGVVVEKGTHAALLEKGPSGSYFSLVSLQQRNLNV >DRNTG_19612.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001032.1:13357:14230:1 gene:DRNTG_19612 transcript:DRNTG_19612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPHIAHHHLQPSPVMPTFSCDKSHLNTNFTRNDFPSDFVFGAGTSAYQVEGAAAEDGRSPCIWDTYTHAGKMPDKSTGDIASDQYHKYKEDVKLMSDTGLEAYKFSISWSRLIPNGRGEINP >DRNTG_01262.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:9730619:9732915:1 gene:DRNTG_01262 transcript:DRNTG_01262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPGHFIIPKKNIAGGPSKRPRFDASSSSGEYRPTLHAQPHIKRYAHLSRRRFVGARGIVPFYAFEGMIAFHHADYIQFQVFGVLQHMSLTEFSIHLVLYNAEFTHTPAYNDLLTSILAGESLYNAWRWLSSAPIYYPRRTKATTLHSPALWYIYLQLSHTLTGYEVAVSISHQGTSPHVSAFFIDPYITSLVRHMGIVQGTDRMHVFGGVAPMTLETLRSMRLVHRVTTVRGIEYRITLSEDQPATDAVAPTSTSSPEPPPTTASLSHSQPPPAASGLIFYLSAEFQWLQQHVVRIIEHLGV >DRNTG_13025.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1752051:1752917:1 gene:DRNTG_13025 transcript:DRNTG_13025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIAFPADISPSSTPTSSSSDEIAGWIRETVFVEAESFRWADQSRRRPERSDRAMDHRFSWELPRGSFRAGGSVAGVSSGRQEIAVGLVRVWG >DRNTG_25030.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8692644:8693865:1 gene:DRNTG_25030 transcript:DRNTG_25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEIHTEPRPKPISLGISGDRLLLLLLEAFKSLLVRPRLNGEIRLSVEADAKDHNSEERGYIAGKLPVLPLARLARRWWSSVEEVPVGPFLRAVARPPALPATMATTYARHGRPGSGPCHPHRRRRKRSRRSHREIEESRVVNWGFDQFDE >DRNTG_08185.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6910780:6912112:1 gene:DRNTG_08185 transcript:DRNTG_08185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRAALKADIIPQQQEACKEMSLVDAAIVPPSKEGNAAEMETRKKNNANKKLALSREVCIPRKKKYVGQSRLNKYEQELIRIFLNCRIDDTVVWKNDSVSTTRSSLFDLLEGKEMVPDDVMDAFVCILQKSMSVVPQRYKKRVSITRPLALYMSKQGDDAHDSTMAMIGDAARNLHEVDIVILPIIMSGHFHVVIFDNDKQEYRHYSSCQSEEYDKNALEMRNLFDLCVDMELGESATAKYPLVHDTETPRQKKGSVDCSVYVMRFIEQLLAGEKLRLPQTDVPYLRLKYVSRIIKEGRAAGITAKRECSQAGS >DRNTG_31674.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20162908:20164699:-1 gene:DRNTG_31674 transcript:DRNTG_31674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATRWLKALLTGRKPISAAENQIPAKQKKRWGFVKSIPEINPKPPPASPPPMKLPAVSITKREEWSAVKIQASFRGYLARKALKALKGLVKLQALVRGHIVRKQTAETLRCMQALVHAQARLRVRARRANKCSSPLSNQESLTPEKTEAYKQRISNSWIDGWIKSKNECRRRPFSDEFEHCPSYMGNTVSFQAKVRAQSVPKQRPKELVKLRSQSSQRTCSSVTSSYCSNSSALHAKFGNEACYQGSGRLDRFGMPIRI >DRNTG_15339.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:18410948:18411332:1 gene:DRNTG_15339 transcript:DRNTG_15339.1 gene_biotype:protein_coding transcript_biotype:protein_coding GPREDATRIGSAGVVRRQAVDISPLRRVNQAVYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >DRNTG_15701.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4450541:4453032:1 gene:DRNTG_15701 transcript:DRNTG_15701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSWEIRRNGDKSPEYLPNAELFTIHMQYMVSGVQALAGYVDYVCADQISRLELLSMAREFNMEVEGCSIWYMDAHSANCLKEIVTDLDALAMANSVDSRREIKVCIKTTRLLVVHEDAAGGSKGKTLKESYFESDASEEEDLEDILIRDSSKGKNLEEEVEISDSEYSFGGESDDGGKNEYDSSHVLVTVEGEAPPVDFDG >DRNTG_30206.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001547.1:6126:7321:1 gene:DRNTG_30206 transcript:DRNTG_30206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTNHINEENLTNPVNAIHLNDTATEAPNPTNTSCDVANNDQNHTISTPTISQASNDPFDATVNGGRLRIGVVNDCIFIRPYAYTT >DRNTG_13731.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5466454:5470366:-1 gene:DRNTG_13731 transcript:DRNTG_13731.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 9 [Source:Projected from Arabidopsis thaliana (AT1G55080) UniProtKB/Swiss-Prot;Acc:Q8RWA2] MLFLTSAFLCINKGISVRVLCFQRYKSNHEVFSGESMELWKSILIFSMLILETSYLSCFGATEHQTVEGQKRKLEETAQQLNQRRDLINKYRSSVEDLVKPDGSK >DRNTG_13731.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5466454:5470366:-1 gene:DRNTG_13731 transcript:DRNTG_13731.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 9 [Source:Projected from Arabidopsis thaliana (AT1G55080) UniProtKB/Swiss-Prot;Acc:Q8RWA2] MEHQLGGGSWMMVPPPMPLSQDLLALQQQQQQQQQQQQQQHHHHPSLASHFHLLHLVESLAEAIDSGTRDQHSEALVNELTNHFEKCQQLLNSIAGSISAKGITVEGQKRKLEETAQQLNQRRDLINKYRSSVEDLVKPDGSK >DRNTG_10640.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:287310:290323:1 gene:DRNTG_10640 transcript:DRNTG_10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSIVRELKEMRDGLGSMSRRSNSTMDSRAGPSRSGARLHWQPLLQHRHQGRWASLPPELLLDVIQRVEASEVSWPARRNVVACASVCRSWREIVKEIVLTPEQCGRITFPISLKQPGPRDSPIQCFIRRERATSTYRLYLGLSPALQEENDKLLLAARRVRRATCTEFVISLSPDDFSRASSTYIGKLRSNFLGTKFTIYDSQPPPDTPVVSSSRSSRRIHSKQVSPRVPAGNYNVATITYELNVLRTRGPRRMQCIMHSIPFSVIQEGGTAPTPTAFINSIDEHFSSLSVSKGKDSIIEFSSTSLTETPTPVRISGEPLILKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVAAVDPSHDISPVEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >DRNTG_25330.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6481736:6490806:1 gene:DRNTG_25330 transcript:DRNTG_25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKALADYFEAKLLLLDVTDFSLKIQSKYGSASKASFKRSISETTLETMSSLLGSFTFLRQREEPKGSLRRQSSGVDLRSRGLDGNSNLTKLRRNASASTDMGSLTSHCSPSNPVTLKRSSSWPFDEKFLIQALYKVLLCFSKSNPIILYIRDVESFLLRSERIYSLFQKMLRKLTGSVLILGSRMLESGSDYRDVDEKLALLFPYNIHIKPPEDETNMVSWRSQLEEDMKMIQFQDNRNHITEVLTANDIECDDLGTICLADTVVLSNYIEEIVMSAVSYHLMNNKDPEYRNGKLVISSNSLSHGLGIFQESNFGGKDTLKLEANESAKEGVNEDVSATKLEISAEGGAPENRIDPEKSGPTVTKDGDNASSPSKPEVPPDNEFEKRIRPEVIPASEIGVTFEDIGALDDIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLLTKTWGEDPCSCCNQQTI >DRNTG_21294.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27369273:27370522:1 gene:DRNTG_21294 transcript:DRNTG_21294.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSSEPNMDNSSRPSRYESQKRRDWNTFGQYLKNHRPPLALSRCSGAHVLEFLRYLDQFGKTKVHNLGCPFFGHPHPPAPCPCPLRQAWGSLDALVGRLRAAFEENGGHPDANPFGARAVRLYLREVRDSQAKARGIAYEKKKRKRPPPPPPSLSPPHPPPSHPHPHPNYPPYPLSTSLHLPSHPSSDFTVHPHLLMMLPASAASDDLMLPLPVLH >DRNTG_21294.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27369273:27370432:1 gene:DRNTG_21294 transcript:DRNTG_21294.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSSEPNMDNSSRPSRYESQKRRDWNTFGQYLKNHRPPLALSRCSGAHVLEFLRYLDQFGKTKVHNLGCPFFGHPHPPAPCPCPLRQAWGSLDALVGRLRAAFEENGGHPDANPFGARAVRLYLREVRDSQAKARGIAYEKKKRKRPPPPPPSLSPPHPPPSHPHPHPNYPPYPLSTSLHLPSHPSSDFTVHPHLLMMLPASAASDDLMLPLPVLH >DRNTG_21294.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27369228:27370432:1 gene:DRNTG_21294 transcript:DRNTG_21294.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSSEPNMDNSSRPSRYESQKRRDWNTFGQYLKNHRPPLALSRCSGAHVLEFLRYLDQFGKTKVHNLGCPFFGHPHPPAPCPCPLRQAWGSLDALVGRLRAAFEENGGHPDANPFGARAVRLYLREVRDSQAKARGIAYEKKKRKRPPPPPPSLSPPHPPPSHPHPHPNYPPYPLSTSLHLPSHPSSDFTVHPHLLMMLPASAASDDLMLPLPVLH >DRNTG_21294.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27369228:27370522:1 gene:DRNTG_21294 transcript:DRNTG_21294.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSSEPNMDNSSRPSRYESQKRRDWNTFGQYLKNHRPPLALSRCSGAHVLEFLRYLDQFGKTKVHNLGCPFFGHPHPPAPCPCPLRQAWGSLDALVGRLRAAFEENGGHPDANPFGARAVRLYLREVRDSQAKARGIAYEKKKRKRPPPPPPSLSPPHPPPSHPHPHPNYPPYPLSTSLHLPSHPSSDFTVHPHLLMMLPASAASDDLMLPLPVLH >DRNTG_21294.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27369085:27370432:1 gene:DRNTG_21294 transcript:DRNTG_21294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSSEPNMDNSSRPSRYESQKRRDWNTFGQYLKNHRPPLALSRCSGAHVLEFLRYLDQFGKTKVHNLGCPFFGHPHPPAPCPCPLRQAWGSLDALVGRLRAAFEENGGHPDANPFGARAVRLYLREVRDSQAKARGIAYEKKKRKRPPPPPPSLSPPHPPPSHPHPHPNYPPYPLSTSLHLPSHPSSDFTVHPHLLMMLPASAASDDLMLPLPVLH >DRNTG_05177.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:15734946:15742415:-1 gene:DRNTG_05177 transcript:DRNTG_05177.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRVRQYAQQVEAGRKDRRQILKEFSRMLDDQGIGAVVGALSRNLADLQDRQGSYLSIYDQPSVSVKDPIIDQIQASVDKLTRSTNFLQFLGQHALIVHEDIPSSAEDQADADKYHFMSLLLNLVNTFLYMVNTYIIVPTADDYSMNLGAAATVCGVIIGAMAVAQIFSSVYFSAWSNKSYMSPLVFSTILLLVGNTLYALAFDLQSITVLLIGRLLCGLGSARAINRRYISDCVPSKIRLQASAGFVSASALGMACGPALAGFLQTKFKIYFLTFNQDTLPGWVMTLAWLLYLFWLWISFREPTREAAESHPPQDINAGHLKNDLENGLVKPLLLNSEENHDEDGEQDYDESEEASEDSRGPATSVASAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITSYYFGWTTSKVAIFLAVLGLTVLPVNAVVGTYISNMFEDRQILLASQIMLCVGILMSFNFVGSYTVPQYVSSALITFVSAEVLEGVNLSLLSQVMSSRLARGTYNGGLLSTEAGTLARVVADGTITLAGYLGVGRLLNVTLIPSLLICLTCILSTFLTFPTLY >DRNTG_05177.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:15734946:15741236:-1 gene:DRNTG_05177 transcript:DRNTG_05177.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLNLVNTFLYMVNTYIIVPTADDYSMNLGAAATVCGVIIGAMAVAQIFSSVYFSAWSNKSYMSPLVFSTILLLVGNTLYALAFDLQSITVLLIGRLLCGLGSARAINRRYISDCVPSKIRLQASAGFVSASALGMACGPALAGFLQTKFKIYFLTFNQDTLPGWVMTLAWLLYLFWLWISFREPTREAAESHPPQDINAGHLKNDLENGLVKPLLLNSEENHDEDGEQDYDESEEASEDSRGPATSVASAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITSYYFGWTTSKVAIFLAVLGLTVLPVNAVVGTYISNMFEDRQILLASQIMLCVGILMSFNFVGSYTVPQYVSSALITFVSAEVLEGVNLSLLSQVMSSRLARGTYNGGLLSTEAGTLARVVADGTITLAGYLGVGRLLNVTLIPSLLICLTCILSTFLTFPTLY >DRNTG_05177.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:15739981:15745044:-1 gene:DRNTG_05177 transcript:DRNTG_05177.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKKLVANQVEEWKSYYINYKLMKKRVRQYAQQVEAGRKDRRQILKEFSRMLDDQGIGAVVGALSRNLADLQDRQGSYLSIYDQPSVSVKDPIIDQIQASVDKLTRSTNFLQFLGQHALIVHEDIPSSAEDQADADKYHFMSLLLNLVNTFLYMVNTYIIVPTADDYSMNLGAAATVCGVIIGAMAVAQIFSSVYFSAWSNKSYMSPLVFSTILLLVGNTLYALAFDLQSITVLLIGRLLCGLGSARAINRRYISDCVPSKIRLQASAGFVSASALGMACGPALAGFLQTKFKIYFLTFNQDTLPGWVMTLAWLLYLFWLWISFREPTREAAESHPPQDINA >DRNTG_05177.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:15739981:15741236:-1 gene:DRNTG_05177 transcript:DRNTG_05177.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLNLVNTFLYMVNTYIIVPTADDYSMNLGAAATVCGVIIGAMAVAQIFSSVYFSAWSNKSYMSPLVFSTILLLVGNTLYALAFDLQSITVLLIGRLLCGLGSARAINRRYISDCVPSKIRLQASAGFVSASALGMACGPALAGFLQTKFKIYFLTFNQDTLPGWVMTLAWLLYLFWLWISFREPTREAAESHPPQDINA >DRNTG_05177.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:15734946:15743432:-1 gene:DRNTG_05177 transcript:DRNTG_05177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKKLVANQVEEWKSYYINYKLMKKRVRQYAQQVEAGRKDRRQILKEFSRMLDDQGIGAVVGALSRNLADLQDRQGSYLSIYDQPSVSVKDPIIDQIQASVDKLTRSTNFLQFLGQHALIVHEDIPSSAEDQADADKYHFMSLLLNLVNTFLYMVNTYIIVPTADDYSMNLGAAATVCGVIIGAMAVAQIFSSVYFSAWSNKSYMSPLVFSTILLLVGNTLYALAFDLQSITVLLIGRLLCGLGSARAINRRYISDCVPSKIRLQASAGFVSASALGMACGPALAGFLQTKFKIYFLTFNQDTLPGWVMTLAWLLYLFWLWISFREPTREAAESHPPQDINAGHLKNDLENGLVKPLLLNSEENHDEDGEQDYDESEEASEDSRGPATSVASAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITSYYFGWTTSKVAIFLAVLGLTVLPVNAVVGTYISNMFEDRQILLASQIMLCVGILMSFNFVGSYTVPQYVSSALITFVSAEVLEGVNLSLLSQVMSSRLARGTYNGGLLSTEAGTLARVVADGTITLAGYLGVGRLLNVTLIPSLLICLTCILSTFLTFPTLY >DRNTG_31957.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18588330:18588816:1 gene:DRNTG_31957 transcript:DRNTG_31957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLVLPLLLLLLLLLFPLVLVGEVVSLPINQEILALGAFKRSIFEDPLSVLSDWNSFDENPCGWSAVTCLKPQNRV >DRNTG_27826.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20160979:20166178:1 gene:DRNTG_27826 transcript:DRNTG_27826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVAVREPMDATPPAGEQAISDHELPAEKPIPGKQLSLPSYLPRPEAPPGLTRVPSDGPHPIERALSDSSSAIDVSALGKYIRDRGNSFSSAIVKRISSLREADSPAAVPPEVTEFHLSGLKVIVQLKEEKQKMNGGDQLDLKGQISFFSRSNCRDCSAVRSFFRERGLPYVEINVDVFPEREKELVQRTGSAAVPMIFLNEKLLGGLVAMNSLRNSGEFDRRVLKMAGERCPETAPRIPVYGLDDEDGVGGLDSMVGIVRVLRQRVPIRDRITRMKIAKNCFSGGEMVEAIIHHLDSGRKRAIEIGRELAKKHFIHHVFRENDFEDDNHQFYRFLEHDPIIPKCFNFRGSTNDDEPKPASLVGQKLTKLMFAILEAYASNDRHQLDYTRIGASEEFRRYVNLVQDLQRVDIFALTTDEKMAFFLNLYNAMVIHAVIRLGPPGLIDRRAFFSEFHYIIGGYPYSPSTIKNGILRSNRRQPYSLAKPFGTGDKRLELAPPKVNPLIHFGLCDGTRSSPAVRFFSAQGVEAELRFAAREYFLGDGVDVDLEKRTVHLTRIIKWHSADFGQEKDILKWILNYLDANKAGLLTHLLNDGGPVNIVYKNFDWSLNSSSHSSHTTKVLP >DRNTG_04223.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20300703:20302582:-1 gene:DRNTG_04223 transcript:DRNTG_04223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLHDLRLTGEIPATLGQCRFLLKMNLSGNKLEGSIPDVFFNITALEVLDLHRNKLNGSIPVSIGALKGLEFLDLSENSIGGEIPSSLLNITMLSHFNVSYNNLIGTIPSTLVKFGVDAFSHNPGLCGPPLNNNCQSNAGSKRTRFLSNTAIVAIVAAALILAGVCVITVMNIIAQRRRSGRREEEILVSESTTPPASNGSNVIIGKLVLFSKSLPTRYEDWEAGTKALLDKDCIIGGGSIGTVYKASVEGGISIAVKKLETLGTIRNQEEFEHEIGRLGGLSHPNLVQVQGYYWSSTMQLILSDYIPNGNLYQHLHGIRGSGSSSGGVRGDLFWSRRFSIALGTARALAYLHHDCQPPILHLNIKSTNILLDEKYEAKLSDYGLGKLLPILGRNYALSKFHSAVGYVAPELASQSMSYSDKCDVYSFGVVLLEIVTGRKLVDSPGAAEVVVLHDFVRRVLEDGSASDCFDRSLTGGFQEAELVQVLKLGLICTADAPSRRPSMAEVVQFLESIKNNS >DRNTG_19883.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18307155:18307304:-1 gene:DRNTG_19883 transcript:DRNTG_19883.4 gene_biotype:protein_coding transcript_biotype:protein_coding YLAPEYASSGKLTEKSDVFSFGVMLLELITGRRPVDNGHKFMDEGLVDW >DRNTG_19883.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18305748:18307063:-1 gene:DRNTG_19883 transcript:DRNTG_19883.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAMVDGNYDELVDSRLEGKYNPVELARMVGCAAASIRHSAKRRPKMSQILRALEGDVSLEDLNEGVRPGQSMLFSSGSDYESSPKTSNMNRFRKASLTSPEFSGEFNSPKEGRNVMNQYQAAIDYFQKPKK >DRNTG_19883.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18308051:18309705:-1 gene:DRNTG_19883 transcript:DRNTG_19883.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYYADSGTKGEESGYYSSTIEPQWKQGKSEDLSSSSISINPPPRWQPQPPPLIPESDSISSTSSPHGPPLPPPYPTITLGFNKISFTYEELSVATNGFSKSNLLGKGGFGYVHKGVLPSGKEIAVKQLKSGSGQGEREFQAEVDIISRVHHRHLVSLVGFCIAGSQRMLIYEFVPNKTLAYHLH >DRNTG_19883.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18305748:18309705:-1 gene:DRNTG_19883 transcript:DRNTG_19883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYYADSGTKGEESGYYSSTIEPQWKQGKSEDLSSSSISINPPPRWQPQPPPLIPESDSISSTSSPHGPPLPPPYPTITLGFNKISFTYEELSVATNGFSKSNLLGKGGFGYVHKGVLPSGKEIAVKQLKSGSGQGEREFQAEVDIISRVHHRHLVSLVGFCIAGSQRMLIYEFVPNKTLAYHLHGKGLPTMDWSTRLKVALGTAKGLAYLHEDCHPRIIHRDIKSANILLDANFEAMVADFGLAKLTSDNNTHVSTRVMGTLGYLAPEYASSGKLTEKSDVFSFGVMLLELITGRRPVDNGHKFMDEGLVDWARQVMSRAMVDGNYDELVDSRLEGKYNPVELARMVGCAAASIRHSAKRRPKMSQILRALEGDVSLEDLNEGVRPGQSMLFSSGSDYESSPKTSNMNRFRKASLTSPEFSGEFNSPKEGRNVMNQYQAAIDYFQKPKK >DRNTG_23395.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001263.1:51120:61650:1 gene:DRNTG_23395 transcript:DRNTG_23395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWRVLYSFKGPFVWPTIQLAGSVPMKALALTFFQTERDEMFNPYQYEGLLDQEVENEEVLMLGLKEEVPSTLGIMKKVLRKIKRARRWHKKRFKAVRDVQEPDKRSVPFPLRCDLSYHHIPRVLCVHSRVDGLRDDLPLGLS >DRNTG_16674.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16445428:16451447:-1 gene:DRNTG_16674 transcript:DRNTG_16674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETNEAALDLREEPSFAAVSARERFESRLNKEAPRAMNSMETELPYPPHMNSKDMNNDEVDDNPIEEVRLTVPITDDPTLPVLTFRTWVLGISSCILLAFLNQFFSYRTNQLGISSVCAQIISLPIGRLMAATLPTRKFHVPFTKWSFSLNPGPFNLKEHVLITIFASAGSGGVYAVYIITALKAFYHRNINGFAAFLLAITTQMLGYGWAGLFRKFLVESPYMWWPSNLVQVSLFRALHEEEKRPKGGLSRLQFFLLVLVSSFAYYIVPGYLFPTLSALSFVCWIWKGSVTAQQIGSGLNGLGIGSFGLDWSTASFIGSPLATPAFAVFNVMAGYLLVLYVLTPITYWTNAYNAKSFPIFSSHIFTSEGAHYNITRILDEKTFTLDLQEYEQYSSINLSVFFAYAYGLGFATLAATLSHVALFHGKSIWSLWKRAAVTAHDKFADVHTRIMKRNYEAVPQWWFISILVIFFGLSIWTCEGFGGQLQLPYWGVILACALAFVFTLPIGVISATTNQQPGLNIITELVIGYLYPGRPLANVAFKTYGYISMSQALFLVQDFKLGHYMKIPPKSMFLVQLVGTMVASTAYYATAWWLLTSVDHICDVANLPEGSPWTCPGSDVFYSASIIWGVIGPRRMFGNLGIYSNMNYFFLIGMLAPVPVWLLTKAFPEKKWIRLINMPIIIGATANMPPAKAVHYMTWFVVAFVFNFVVYRKYKNWWARYTYVLSAALDAGVAFMGVVIYFALQSNDVYGMDWWGGASNDHCPLATCPTAPGIKVAGCPVF >DRNTG_06752.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1485247:1486715:-1 gene:DRNTG_06752 transcript:DRNTG_06752.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYISKPSAKPASRSSPTSKPSATATSAAPTTNPSLSSHAAMVELKGRILSSLSKLSDRDTHQIAIDDLEKIIRTIPPDGVPTLLHSLLHDPSAGATDSKPAPTVARREPLRLLALLCAAHPDAASSHLPKIVSHIARRLKDPSSDSSVRDACRDAAGSLAALYIRPSSGGDDAAGSPVVAMFVRPLLEAMGEQNKAVQAGAAACLAKIVESAGAAAGGAAFQKLCPRICKSLSGQSFLAKGALLSVVSSLAQIGTVTPQNIQPILQSIRDCLDNSDWATRKAAADTLCVLASQPNHLIADGTAATIAALEA >DRNTG_05075.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4602268:4604152:-1 gene:DRNTG_05075 transcript:DRNTG_05075.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 23 [Source:Projected from Arabidopsis thaliana (AT5G41920) UniProtKB/Swiss-Prot;Acc:Q9FHZ1] MLQSLLPHSPPINANSDPSPASSPMSAKRSASGELHGDDDDQGGARKKRYLHDGEEDEDGTVPEMERGRRGAESRGLRLLGLLLQCAEAIAMDRLTEARDILPEISELASPFGSSPERVAAYFAEALNARIVSSFLGTYSPLALKSLTMEQSRRISQAFQSYNSISPLVKFSHFTANQAIFEALEGEDRVHIIDLDVMQGLQWPGLFHILASRPAKIRSLRITGLGSSIELLEATGRRLTDFAASLGLPFEFQPLEGKIGHITDPAALAPRDCREVTVVHWMHHCLYDVTGSDLGTIRLLKALRPKLITVVEQDLSHAGDFLGRFVEALHYYSALFDALGDGADGDNADRHAVERQLLGSEIRNIVAVGGPKRTGEVKVERWGDELRRAGFRWVSLSGSPAVQANLLLGMFPWKGYTLIEDGGCLKLGWKDLSLLTASAWHPGPSTAAADEEL >DRNTG_05075.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4602226:4603978:-1 gene:DRNTG_05075 transcript:DRNTG_05075.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 23 [Source:Projected from Arabidopsis thaliana (AT5G41920) UniProtKB/Swiss-Prot;Acc:Q9FHZ1] MLQSLLPHSPPINANSDPSPASSPMSAKRSASGELHGDDDDQGGARKKRYLHDGEEDEDGTVPEMERGRRGAESRGLRLLGLLLQCAEAIAMDRLTEARDILPEISELASPFGSSPERVAAYFAEALNARIVSSFLGTYSPLALKSLTMEQSRRISQAFQSYNSISPLVKFSHFTANQAIFEALEGEDRVHIIDLDVMQGLQWPGLFHILASRPAKIRSLRITGLGSSIELLEATGRRLTDFAASLGLPFEFQPLEGKIGHITDPAALAPRDCREVTVVHWMHHCLYDVTGSDLGTIRLLKALRPKLITVVEQDLSHAGDFLGRFVEALHYYSALFDALGDGADGDNADRHAVERQLLGSEIRNIVAVGGPKRTGEVKVERWGDELRRAGFRWVSLSGSPAVQANLLLGMFPWKGYTLIEDGGCLKLGWKDLSLLTASAWHPGPSTAAADEEL >DRNTG_11087.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30754830:30757010:1 gene:DRNTG_11087 transcript:DRNTG_11087.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDPLVRGRVIGDVVDSFNKSVPIRITYNGRTVVNGAEFRPSAIASRPRVDIGGDDFSIFYTLVMVDPDAPNPSHPTQREYLHWLVTDIPAADGLDFGRELICYERPSPTFGIHRIVFILFRQLGRDTVFAPVMRHNFITRNFAQQYNLGSPIAAMYFNCQRETGSGGRRFRPEYE >DRNTG_07204.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12906664:12914525:-1 gene:DRNTG_07204 transcript:DRNTG_07204.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRETCISWCTCVWNTGVRSAPGFGSPRLGFLAGGPIMVYFLSMIGVEEKRTKLRRKMKKGQQATAKLSRKWAKEEKVREIKEDQ >DRNTG_25397.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24458125:24460400:-1 gene:DRNTG_25397 transcript:DRNTG_25397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGYVKSGEIDIAKRLFDEMPERDLFSWTSLVSGYSRCGKVEIAREVFDLMPEKSVVSWNAMVDGYMKNGKVEAARELFDQMPEKNVVSWNSMITGYEKAGFFKEATEVYDEMLTEGVNPSFVTFVSVLSAVSGLALLERGRLIHEHICRNGLSLNGVLGICLIEMYSKCGSIESAISLFEEISRKKLGHWTAMIVGLGLHGMADSAFKFFSKMVRIGMKPNAITFIGVLNACSHAGMVDEGHWYFKLMRERYEIEPTMEHYGCFIDLLCRVGNLDEARDVINEMPMRPNKVIWMTLLSGCRKHENSINIAEFAVQNIMELDPGATGCYVLLSNIYAASGLWDNVSKLRQKMKEQGVRKDPGCSSMEFRGKVHEFLVADRSHPQTEEIYNKLNEMGLLLRHAGYIPDKTQVLLCVNEKEKETELSLHSERLAIAFGLINIEQGRPIRIVKNLRVCNDCHNVTKLISRIYDCEIVVRDNSRFHHFSNGKCSCMDFW >DRNTG_27673.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001403.1:54212:55685:1 gene:DRNTG_27673 transcript:DRNTG_27673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPFLVCAIALLLLYEQLSYLRKKGPLPGPTLVFPFFGNVLPMILNPTLFWRNQALAAFSSGLSSNYLLGRFILFIRSTDLSHLIFSNVRPDAFHLIGHPFGKKLFGDDNLIYMFGDAHKSLRRRIAPNFTHRALSTYLHLQQSVILSHFSLWLSQSNPQIPIKLRLLCRDLNLATSQTVFAGPYLSDDSRRRFNTDYNLFNIGLMALPFDLPGFAFRRARLAVRRLVSTLSSCVSASKTRMLAGAEPSCLVDFWMQDEIHEPAPATEDEIGGYLFDFLFAAQDASTSSLLWAVVLLDSHPDVLGRVRAEVSSIWDPLTREPISPDMIREFKYTESVAREVVRFRPPATMVPHIAGKDFKLTENYVVPKGTIVFPSVFESSFQGFTEPDRFDPDRFGEGREEDQVFKRNFLAFGAGPHQCVGQRYALNHLVLFIALFVSLVDFRRHRTDGCDDIAYVPTIVPKDDCQVYISPRFPGFSFSSSSSS >DRNTG_07013.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3231833:3237167:1 gene:DRNTG_07013 transcript:DRNTG_07013.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRVIIQDTNNGGKHESHETPLLNHVDEESPEKPYVSFHACCQNKLDCYDLESP >DRNTG_18574.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000964.1:18875:25180:-1 gene:DRNTG_18574 transcript:DRNTG_18574.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNPSILIEGDHDLERTLRRKGKEPVQEQSNLDDLEVEESENMEIFNPDQYEGLFDQEEDNEEVMMLGSMEEVLSTPGILKKVFQKMKRARRRHQKCSKAIGDVRERKKLDEPLLSEKAHGRVFAPVSDLALFARSRELPHGRAFFCRNLAIYLESTQARGLAPMGELVNNARVWVFSACPCGSLQMNSLHPEKIQGRAVAPPRGRAAAPVGPAHGRGYFPHARVFAFRGMTPHSRRQERKRPREPSPELAHIEFLNPEHRDRFEWLLGLRHKGSLGELNDGMQYYDHPRGQYYELEATCREARCHPFISIVRFRAFGHQFAMSVTEFLVRMGLYDEIYTETEEYSHLPTDYLGTLTPQQAYKGQNGRVGVLFVGPYITRLILGMVLGDTLRDTDQTVIPSPLRLNTLRMMGVVRRYGPGAYILATSTTESAEGGSDAVEGSALMVTEIVERVITPF >DRNTG_27934.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14017476:14024547:1 gene:DRNTG_27934 transcript:DRNTG_27934.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NSL1 [Source:Projected from Arabidopsis thaliana (AT1G28380) UniProtKB/TrEMBL;Acc:A0A178WFB2] MGPANKGFMPPDMAAERAVAAVGCGYDLAADIRLSYCKEGRLIEIETVGARDLVLPGGIVVPDVPKSIRCDKGERTRFRSDVLSFHQMSEQFNQDLSLPGKIPSGLFNSMFDFNGCWQKDASTTKSLSFDGWFITLYSIVLERSHIVLSDTVKQDVPSSWDPSALAGFIEKYGTHIIVGVKMGGKDVIYIKQQQESPLQQTEVQTYLKRIADDRFSDEFCENFLLASDNFPGKLKERGTTLLKSLRSSVVSHSKSDDIVTIHIRRGGINTNQSHKQWLPTISQTPNVISMSFVPITSLLNGVRGSGFLSHAVNLYLRYKPPIEELHHFLEFQLPRLWAPEFGELPLGPHRKKHSFPSLQFTLMGPKLYVNTDPVDSGNRPVTGLRLYLEGKKNNRLAIHLQHLASIPNIIQLSEETDTTTDDELCNQRDYYEPIKWTLLSHICTAPIQYSSSRIDDSASIVTKAWLEVKDIGVRKVLFLRLGFSNIASMKIRRSEWVGAGSVTRKSGSISSLISSRFSASQTPTPTPKVEVNSAVFPGGPPVPIRVSKMSKFVDALEMTRGPDDLPGYWVVTGAKLCVDSGKIYLKVKFSLLTVMPEEDM >DRNTG_33810.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2862345:2862729:-1 gene:DRNTG_33810 transcript:DRNTG_33810.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIIYNNKTKPQPQTPLQTSPRCGVLTPPNRLHHRVRRLRPLPLPTTTTTTIPLHHQPILQPHHTFQHFQHFLYR >DRNTG_03340.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5938943:5941489:-1 gene:DRNTG_03340 transcript:DRNTG_03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFTFLTLPMSTTYPRDYEEDPEDKVSKEKSQEMHQG >DRNTG_20737.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21043005:21046102:-1 gene:DRNTG_20737 transcript:DRNTG_20737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISILMKQAGLKLQDHAIYLNVVSGFKLTETAGDVAIAAAICSSFLEFPIPNNVSFIGEIGLGGELRAVPRIDKRVMSLAKLGYKKCIVPKAVEKLLADLNLDIEIVGCRNLKEVINTIFQAR >DRNTG_24529.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6082664:6083041:-1 gene:DRNTG_24529 transcript:DRNTG_24529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRCSTKRHTLHRSMAPTPATSRP >DRNTG_17149.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17491777:17498360:1 gene:DRNTG_17149 transcript:DRNTG_17149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEFLRRYFVPGKAAILKEEISAFCQRESKTLFKAHERFKDILRWCPHHSFATWMRIQILYNGKNYQTRQLIDGAAGGSLCIKCPDEAEELIENMARNESHWGHMEAMENKKISFWQ >DRNTG_32463.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2459065:2459797:-1 gene:DRNTG_32463 transcript:DRNTG_32463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSPTTAPPSNPSPPSSLSASLSSRREIERRISAALDQASASDLISLSLDATGHRFGDLESVRRIIAGFVEKEAAAAGGGGLLFGGGAVTCSPAMQKVARTLDAFAAEISTDEDLTVSKFAAVAGALPKASRRFDDDVYRAVDLYL >DRNTG_08692.20 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17991717:17998337:1 gene:DRNTG_08692 transcript:DRNTG_08692.20 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWSLRSRSLKRLLSISIGRRSTRAEEESMGEDNGFKSMEDSPQKPTWRCFSFEEIHKATNGFHQDNLVGRGGYAEVYRGVMEDGGVIAVKRLTRASTEEQKEKEFLTEIGTIGHVKHPNVTALLGCCIEKDLYLIFEFSSNGSVSSHLHDESSMPMTWNLRYKIGIGTAHGLHYLHKGCQRRIIHRDIKASNILLTSDFEPQISDFGLARWLPSEWSHRAVAPIEGTFGCLAPEYFMHGIIDEKTDVFAFGVFLLELISGRKPVDGSHKSLLSWVTFNDSLVFFVCSSGDPHERRYQSQKRLDSLSSPLPRRKIDSCRNPNPSPDPASPPAIVAGSLAMMRFTLISSTKPLLSPLTIAMMMRPKP >DRNTG_08692.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17991617:17998337:1 gene:DRNTG_08692 transcript:DRNTG_08692.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWSLRSRSLKRLLSISIGRRSTRAEEESMGEDNGFKSMEDSPQKPTWRCFSFEEIHKATNGFHQDNLVGRGGYAEVYRGVMEDGGVIAVKRLTRASTEEQKEKEFLTEIGTIGHVKHPNVTALLGCCIEKDLYLIFEFSSNGSVSSHLHDESSMPMTWNLRYKIGIGTAHGLHYLHKGCQRRIIHRDIKASNILLTSDFEPQISDFGLARWLPSEWSHRAVAPIEGTFGCLAPEYFMHGIIDEKTDVFAFGVFLLELISGRKPVDGSHKSLLRFFGLLRLLERRPP >DRNTG_08692.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17991717:17998337:1 gene:DRNTG_08692 transcript:DRNTG_08692.13 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWSLRSRSLKRLLSISIGRRSTRAEEESMGEDNGFKSMEDSPQKPTWRCFSFEEIHKATNGFHQDNLVGRGGYAEVYRGVMEDGGVIAVKRLTRASTEEQKEKEFLTEIGTIGHVKHPNVTALLGCCIEKDLYLIFEFSSNGSVSSHLHDESSMPMTWNLRYKIGIGTAHGLHYLHKGCQRRIIHRDIKASNILLTSDFEPQISDFGLARWLPSEWSHRAVAPIEGTFGCLAPEYFMHGIIDEKTDVFAFGVFLLELISGRKPVDGSHKSLLSWDSLVFFVCSSGDPHERRYQSQKRLDSLSSPLPRRKIDSCRNPNPSPDPASPPAIVAGSLAMMRFTLISSTKPLLSPLTIAMMMRPKP >DRNTG_08692.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17991717:18001106:1 gene:DRNTG_08692 transcript:DRNTG_08692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWSLRSRSLKRLLSISIGRRSTRAEEESMGEDNGFKSMEDSPQKPTWRCFSFEEIHKATNGFHQDNLVGRGGYAEVYRGVMEDGGVIAVKRLTRASTEEQKEKEFLTEIGTIGHVKHPNVTALLGCCIEKDLYLIFEFSSNGSVSSHLHDESSMPMTWNLRYKIGIGTAHGLHYLHKGCQRRIIHRDIKASNILLTSDFEPQISDFGLARWLPSEWSHRAVAPIEGTFGCLAPEYFMHGIIDEKTDVFAFGVFLLELISGRKPVDGSHKSLLSWAKPYLSDRMAEMLVDRRLGNDYDIVQLKRLAFAASLCIRPTAILRPSMNEVLELVEDGEIPSSCWTRPEDEEEEEFCGFDDLEEFDTPSSPSSMASC >DRNTG_08692.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17991717:17998337:1 gene:DRNTG_08692 transcript:DRNTG_08692.16 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWSLRSRSLKRLLSISIGRRSTRAEEESMGEDNGFKSMEDSPQKPTWRCFSFEEIHKATNGFHQDNLVGRGGYAEVYRGVMEDGGVIAVKRLTRASTEEQKEKEFLTEIGTIGHVKHPNVTALLGCCIEKDLYLIFEFSSNGSVSSHLHDESSMPMTWNLRYKIGIGTAHGLHYLHKGCQRRIIHRDIKASNILLTSDFEPQISDFGLARWLPSEWSHRAVAPIEGTFGCLAPEYFMHGIIDEKTDVFAFGVFLLELISGRKPVDGSHKSLLSWDSLVFFVCSSGDPHERRYQSQKRLDSLSSPLPRRKIDSCRNPNPSPDPASPPAIVAGSLAMMRFTLISSTKPLLSPLTIAMMMRPKP >DRNTG_08692.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17991717:17998337:1 gene:DRNTG_08692 transcript:DRNTG_08692.14 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWSLRSRSLKRLLSISIGRRSTRAEEESMGEDNGFKSMEDSPQKPTWRCFSFEEIHKATNGFHQDNLVGRGGYAEVYRGVMEDGGVIAVKRLTRASTEEQKEKEFLTEIGTIGHVKHPNVTALLGCCIEKDLYLIFEFSSNGSVSSHLHDESSMPMTWNLRYKIGIGTAHGLHYLHKGCQRRIIHRDIKASNILLTSDFEPQISDFGLARWLPSEWSHRAVAPIEGTFG >DRNTG_08692.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17991717:17998337:1 gene:DRNTG_08692 transcript:DRNTG_08692.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWSLRSRSLKRLLSISIGRRSTRAEEESMGEDNGFKSMEDSPQKPTWRCFSFEEIHKATNGFHQDNLVGRGGYAEVYRGVMEDGGVIAVKRLTRASTEEQKEKEFLTEIGTIGHVKHPNVTALLGCCIEKDLYLIFEFSSNGSVSSHLHDESSMPMTWNLRYKIGIGTAHGLHYLHKGCQRRIIHRDIKASNILLTSDFEPQISDFGLARWLPSEWSHRAVAPIEGTFGCLAPEYFMHGIIDEKTDVFAFGVFLLELISGRKPVDGSHKSLLSWDSLVFFVCSSGDPHERRYQSQKRLDSLSSPLPRRKIDSCRNPNPSPDPASPPAIVAGSLAMMRFTLISSTKPLLSPLTIAMMMRPKP >DRNTG_08692.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17991717:17998337:1 gene:DRNTG_08692 transcript:DRNTG_08692.10 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWSLRSRSLKRLLSISIGRRSTRAEEESMGEDNGFKSMEDSPQKPTWRCFSFEEIHKATNGFHQDNLVGRGGYAEVYRGVMEDGGVIAVKRLTRASTEEQKEKEFLTEIGTIGHVKHPNVTALLGCCIEKDLYLIFEFSSNGSVSSHLHDESSMPMTWNLRYKIGIGTAHGLHYLHKGCQRRIIHRDIKASNILLTSDFEPQISDFGLARWLPSEWSHRAVAPIEGTFGCLAPEYFMHGIIDEKTDVFAFGVFLLELISGRKPVDGSHKSLLSWDSLVFFVCSSGDPHERRYQSQKRLDSLSSPLPRRKIDSCRNPNPSPDPASPPAIVAGSLAMMRFTLISSTKPLLSPLTIAMMMRPKP >DRNTG_08692.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17991717:17998337:1 gene:DRNTG_08692 transcript:DRNTG_08692.19 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWSLRSRSLKRLLSISIGRRSTRAEEESMGEDNGFKSMEDSPQKPTWRCFSFEEIHKATNGFHQDNLVGRGGYAEVYRGVMEDGGVIAVKRLTRASTEEQKEKEFLTEIGTIGHVKHPNVTALLGCCIEKDLYLIFEFSSNGSVSSHLHDESSMPMTWNLRYKIGIGTAHGLHYLHKGCQRRIIHRDIKASNILLTSDFEPQISDFGLARWLPSEWSHRAVAPIEGTFG >DRNTG_08692.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17991717:17998337:1 gene:DRNTG_08692 transcript:DRNTG_08692.18 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWSLRSRSLKRLLSISIGRRSTRAEEESMGEDNGFKSMEDSPQKPTWRCFSFEEIHKATNGFHQDNLVGRGGYAEVYRGVMEDGGVIAVKRLTRASTEEQKEKEFLTEIGTIGHVKHPNVTALLGCCIEKDLYLIFEFSSNGSVSSHLHDESSMPMTWNLRYKIGIGTAHGLHYLHKGCQRRIIHRDIKASNILLTSDFEPQISDFGLARWLPSEWSHRAVAPIEGTFGCLAPEYFMHGIIDEKTDVFAFGVFLLELISGRKPVDGSHKSLLSWDSLVFFVCSSGDPHERRYQSQKRLDSLSSPLPRRKIDSCRNPNPSPDPASPPAIVAGSLAMMRFTLISSTKPLLSPLTIAMMMRPKP >DRNTG_08692.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17991617:17998337:1 gene:DRNTG_08692 transcript:DRNTG_08692.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWSLRSRSLKRLLSISIGRRSTRAEEESMGEDNGFKSMEDSPQKPTWRCFSFEEIHKATNGFHQDNLVGRGGYAEVYRGVMEDGGVIAVKRLTRASTEEQKEKEFLTEIGTIGHVKHPNVTALLGCCIEKDLYLIFEFSSNGSVSSHLHDESSMPMTWNLRYKIGIGTAHGLHYLHKGCQRRIIHRDIKASNILLTSDFEPQISDFGLARWLPSEWSHRAVAPIEGTFG >DRNTG_08692.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17991717:18000666:1 gene:DRNTG_08692 transcript:DRNTG_08692.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWSLRSRSLKRLLSISIGRRSTRAEEESMGEDNGFKSMEDSPQKPTWRCFSFEEIHKATNGFHQDNLVGRGGYAEVYRGVMEDGGVIAVKRLTRASTEEQKEKEFLTEIGTIGHVKHPNVTALLGCCIEKDLYLIFEFSSNGSVSSHLHDESSMPMTWNLRYKIGIGTAHGLHYLHKGCQRRIIHRDIKASNILLTSDFEPQISDFGLARWLPSEWSHRAVAPIEGTFGCLAPEYFMHGIIDEKTDVFAFGVFLLELISGRKPVDGSHKSLLSWAKPYLSDRMAEMLVDRRLGNDYDIVQLKRLAFAASLCIRPTAILRPSMNEVLELVEDGEIPSSCWTRPEDEEEEEFCGFDDLEEFDTPSSPSSMASC >DRNTG_08692.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17991717:17998337:1 gene:DRNTG_08692 transcript:DRNTG_08692.11 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWSLRSRSLKRLLSISIGRRSTRAEEESMGEDNGFKSMEDSPQKPTWRCFSFEEIHKATNGFHQDNLVGRGGYAEVYRGVMEDGGVIAVKRLTRASTEEQKEKEFLTEIGTIGHVKHPNVTALLGCCIEKDLYLIFEFSSNGSVSSHLHDESSMPMTWNLRYKIGIGTAHGLHYLHKGCQRRIIHRDIKASNILLTSDFEPQISDFGLARWLPSEWSHRAVAPIEGTFG >DRNTG_08692.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17991717:17998337:1 gene:DRNTG_08692 transcript:DRNTG_08692.15 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWSLRSRSLKRLLSISIGRRSTRAEEESMGEDNGFKSMEDSPQKPTWRCFSFEEIHKATNGFHQDNLVGRGGYAEVYRGVMEDGGVIAVKRLTRASTEEQKEKEFLTEIGTIGHVKHPNVTALLGCCIEKDLYLIFEFSSNGSVSSHLHDESSMPMTWNLRYKIGIGTAHGLHYLHKGCQRRIIHRDIKASNILLTSDFEPQISDFGLARWLPSEWSHRAVAPIEGTFG >DRNTG_08692.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17991717:17998337:1 gene:DRNTG_08692 transcript:DRNTG_08692.9 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWSLRSRSLKRLLSISIGRRSTRAEEESMGEDNGFKSMEDSPQKPTWRCFSFEEIHKATNGFHQDNLVGRGGYAEVYRGVMEDGGVIAVKRLTRASTEEQKEKEFLTEIGTIGHVKHPNVTALLGCCIEKDLYLIFEFSSNGSVSSHLHDESSMPMTWNLRYKIGIGTAHGLHYLHKGCQRRIIHRDIKASNILLTSDFEPQISDFGLARWLPSEWSHRAVAPIEGTFGCLAPEYFMHGIIDEKTDVFAFGVFLLELISGRKPVDGSHKSLLSWDSLVFFVCSSGDPHERRYQSQKRLDSLSSPLPRRKIDSCRNPNPSPDPASPPAIVAGSLAMMRFTLISSTKPLLSPLTIAMMMRPKP >DRNTG_08692.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17991717:17998337:1 gene:DRNTG_08692 transcript:DRNTG_08692.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWSLRSRSLKRLLSISIGRRSTRAEEESMGEDNGFKSMEDSPQKPTWRCFSFEEIHKATNGFHQDNLVGRGGYAEVYRGVMEDGGVIAVKRLTRASTEEQKEKEFLTEIGTIGHVKHPNVTALLGCCIEKDLYLIFEFSSNGSVSSHLHDESSMPMTWNLRYKIGIGTAHGLHYLHKGCQRRIIHRDIKASNILLTSDFEPQISDFGLARWLPSEWSHRAVAPIEGTFGCLAPEYFMHGIIDEKTDVFAFGVFLLELISGRKPVDGSHKSLLSWDSLVFFVCSSGDPHERRYQSQKRLDSLSSPLPRRKIDSCRNPNPSPDPASPPAIVAGSLAMMRFTLISSTKPLLSPLTIAMMMRPKP >DRNTG_08692.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17991717:17998485:1 gene:DRNTG_08692 transcript:DRNTG_08692.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWSLRSRSLKRLLSISIGRRSTRAEEESMGEDNGFKSMEDSPQKPTWRCFSFEEIHKATNGFHQDNLVGRGGYAEVYRGVMEDGGVIAVKRLTRASTEEQKEKEFLTEIGTIGHVKHPNVTALLGCCIEKDLYLIFEFSSNGSVSSHLHDESSMPMTWNLRYKIGIGTAHGLHYLHKGCQRRIIHRDIKASNILLTSDFEPQISDFGLARWLPSEWSHRAVAPIEGTFG >DRNTG_08692.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17991617:17998337:1 gene:DRNTG_08692 transcript:DRNTG_08692.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWSLRSRSLKRLLSISIGRRSTRAEEESMGEDNGFKSMEDSPQKPTWRCFSFEEIHKATNGFHQDNLVGRGGYAEVYRGVMEDGGVIAVKRLTRASTEEQKEKEFLTEIGTIGHVKHPNVTALLGCCIEKDLYLIFEFSSNGSVSSHLHDESSMPMTWNLRYKIGIGTAHGLHYLHKGCQRRIIHRDIKASNILLTSDFEPQISDFGLARWLPSEWSHRAVAPIEGTFGCLAPEYFMHGIIDEKTDVFAFGVFLLELISGRKPVDGSHKSLLSWDSLVFFVCSSGDPHERRYQSQKRLDSLSSPLPRRKIDSCRNPNPSPDPASPPAIVAGSLAMMRFTLISSTKPLLSPLTIAMMMRPKP >DRNTG_08692.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17991717:17998337:1 gene:DRNTG_08692 transcript:DRNTG_08692.12 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWSLRSRSLKRLLSISIGRRSTRAEEESMGEDNGFKSMEDSPQKPTWRCFSFEEIHKATNGFHQDNLVGRGGYAEVYRGVMEDGGVIAVKRLTRASTEEQKEKEFLTEIGTIGHVKHPNVTALLGCCIEKDLYLIFEFSSNGSVSSHLHDESSMPMTWNLRYKIGIGTAHGLHYLHKGCQRRIIHRDIKASNILLTSDFEPQISDFGLARWLPSEWSHRAVAPIEGTFGCLAPEYFMHGIIDEKTDVFAFGVFLLELISGRKPVDGSHKSLLSWDSLVFFVCSSGDPHERRYQSQKRLDSLSSPLPRRKIDSCRNPNPSPDPASPPAIVAGSLAMMRFTLISSTKPLLSPLTIAMMMRPKP >DRNTG_08692.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17991717:17998337:1 gene:DRNTG_08692 transcript:DRNTG_08692.17 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWSLRSRSLKRLLSISIGRRSTRAEEESMGEDNGFKSMEDSPQKPTWRCFSFEEIHKATNGFHQDNLVGRGGYAEVYRGVMEDGGVIAVKRLTRASTEEQKEKEFLTEIGTIGHVKHPNVTALLGCCIEKDLYLIFEFSSNGSVSSHLHDESSMPMTWNLRYKIGIGTAHGLHYLHKGCQRRIIHRDIKASNILLTSDFEPQISDFGLARWLPSEWSHRAVAPIEGTFGCLAPEYFMHGIIDEKTDVFAFGVFLLELISGRKPVDGSHKSLLSWVTFNVSLFILRCTCSLILISYLPHYTTPI >DRNTG_16292.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000806.1:605:1884:-1 gene:DRNTG_16292 transcript:DRNTG_16292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKLVKLPGIKWRRAKDPDEKPKQKVKKNRKIKNKKKKQKQIKPAEFHHKKSIIEEYIDSLEDYQQKEKALFTLGDYFLDEVRELLSDLDESQDEEPMVETCRVITIYEEEAMDDADDEDELELYYPDEDGSLPKQLYDRHGRSYMGHALENCNGFKSWMHKVLKSGAINLLKEYFEMSGGKLSAAKDDALMKVTKTTKLTQKKFHFCL >DRNTG_24443.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10116662:10123336:-1 gene:DRNTG_24443 transcript:DRNTG_24443.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVKAFSRSVIQFSNPSTNVEGFPLVITWRCTIVMFPN >DRNTG_18377.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000946.1:59625:62123:-1 gene:DRNTG_18377 transcript:DRNTG_18377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAIAALPKPGPLQKSLICDGFSKRFNFEQVSERKKRNFNSLVVASGSKSSSKGGSERFYLNFTGFPFPLGPFLNRITIRTEVVKNSIWLFEQEQALGFSSVSTNIRMTVIKLKSGGLWVHAPIAPTKECIQLVKELNAPVEYIVLPTFAYEHKIFVGPFSRKFPKAQIWVAQRQWSWPINLPLEFFGIFRAKALVDEDESTPWFDEIEQKVLSAPEVGIGPYVEVAFYHKRSQTLMVTDAVIFVPRQPPECISKESLLASAKNGLAVKLLSKGKEVPEEPVVDNKSNRQKGWERMVLQILFLGPSNLLEPTASFAQMSQKLIVSPIVKTLVFSKVPEKVQDWIDRITSDWRFKRIIPAHFAAPINASRSEFLAAFGFLDELLGEQYITRPSLSLLFASLMGKAASYFPPDDMKTLSSLDEFLVSAGVVKKTVSGRKR >DRNTG_06374.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19462667:19463893:1 gene:DRNTG_06374 transcript:DRNTG_06374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLRFASPRFVLSLTKPPLPFRKPHLLLSSPISFQRINSSTPSSPARSLPVAHDAPDLDPSTLPPNIQEIIALFRSVSDPRAKYQQLLHYGSKLPPLDPDFKTEENRVRGCVSRVWVRAFLDPDDPSSVRFEADSDAALTRGLAALLVLGLSGSSPEVIASVPAEFVLLLGIRQSLSESRNNGFLNMLKLMQRKALELGSGSAILVNEKASMLEEKGSRDSDFSDDRVHSWGKDVSFGWNGNGNVEETMINGKDEAAWSLESGNGGREERIRGRLQRELSPSELEVENISHMHAGHAAVRGSGDGETHFNVKVVSEMFEGKSLVKRHRLVYDLLQEELQSGLHALSIDAKAPSEVQTR >DRNTG_04692.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30465193:30466279:-1 gene:DRNTG_04692 transcript:DRNTG_04692.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEGEGERERERDRKDRDKRYLTLFGSEVPKHNLALPNRRRSLHI >DRNTG_04692.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30465368:30466279:-1 gene:DRNTG_04692 transcript:DRNTG_04692.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEGEGERERERDRKDRDKRYLTLFGSEVPKHNLALPNRRRSLHI >DRNTG_04692.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30465320:30466279:-1 gene:DRNTG_04692 transcript:DRNTG_04692.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEGEGERERERDRKDRDKRYLTLFGSEVPKHNLALPNRRRSLHI >DRNTG_04692.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30465368:30466321:-1 gene:DRNTG_04692 transcript:DRNTG_04692.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRPVSPTLLPKLQAITTAVSTNKVKRSEEQQKKKNPKDGLACFSSTHEKEECLSYLEI >DRNTG_04692.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30465193:30466279:-1 gene:DRNTG_04692 transcript:DRNTG_04692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRPVSPTLLPKLQAITTAVSTNKVKRSEEQQKKKNPKDGLACFSSTHEKEECLSYLEI >DRNTG_04692.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30465320:30466279:-1 gene:DRNTG_04692 transcript:DRNTG_04692.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRPVSPTLLPKLQAITTAVSTNKVKRSEEQQKKKNPKDGLACFSSTHEKEECLSYLEI >DRNTG_04692.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30465368:30466321:-1 gene:DRNTG_04692 transcript:DRNTG_04692.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEGEGERERERDRKDRDKRYLTLFGSEVPKHNLALPNRRRSLHI >DRNTG_04692.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30465368:30466279:-1 gene:DRNTG_04692 transcript:DRNTG_04692.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRPVSPTLLPKLQAITTAVSTNKVKRSEEQQKKKNPKDGLACFSSTHEKEECLSYLEI >DRNTG_01254.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1826371:1827934:1 gene:DRNTG_01254 transcript:DRNTG_01254.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRFADEEVFTHTQREREIERAASSSSDPAIARLIERLGGGEEKTSSQSETVLREDSSE >DRNTG_01254.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1827498:1827934:1 gene:DRNTG_01254 transcript:DRNTG_01254.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKIPKSIVNSSKSQPPKWDSNKVVMKIKDQEIRWGLGLTGERHEGLQQREAIKEEIQRSGFQRSMAMRFADEEVFTHTQREREIERAASSSSDPAIARLIERLGGGEEKTSSQSETVLREDSSE >DRNTG_01254.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1822623:1827934:1 gene:DRNTG_01254 transcript:DRNTG_01254.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRFADEEVFTHTQREREIERAASSSSDPAIARLIERLGGGEEKTSSQSETVLREDSSE >DRNTG_01254.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1826233:1827934:1 gene:DRNTG_01254 transcript:DRNTG_01254.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRFADEEVFTHTQREREIERAASSSSDPAIARLIERLGGGEEKTSSQSETVLREDSSE >DRNTG_01254.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1822623:1826642:1 gene:DRNTG_01254 transcript:DRNTG_01254.3 gene_biotype:protein_coding transcript_biotype:protein_coding KNFMYPPHFQPSQFLTMNSPKSRTKL >DRNTG_01254.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1822623:1827934:1 gene:DRNTG_01254 transcript:DRNTG_01254.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRFADEEVFTHTQREREIERAASSSSDPAIARLIERLGGGEEKTSSQSETVLREDSSE >DRNTG_15213.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23273868:23279152:-1 gene:DRNTG_15213 transcript:DRNTG_15213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSQNANEEAPNSTTTKEEAVPEMATNTTQKVPFAKLFAFADGWDYLLMTIGSLGACAHGASVPVFFIFFGKLINIIGIAYLFPTTVSHRVAMYSLDFVYLATVILFSSWAEVACWMHTGERQAAKMRLAYLRSMLDQDIALFDTEASTGEVIAAITGDIIVVQDAISEKVGNVMHYLSRFLAGFAIGFVRVWQISLVTLSIVPVIAIAGGVYAYTATGLIARVRKSYVRAGEIAEEVIGNVRTVQAFVGEEKSVKMYKDALLKTYEYGKKAGLAKGLGLGTVHCVLFLSWSLLVWFTSIIIHKGISNGGECFTTMLNVVIAGLSLGQAAPSISTFVRARAAAYPIFEMIERNTVSKSSAKSGQILENVNGHIQFRNVKFSYPSRPDVIIFNGLNLEIPSGKIVALVGGSGSGKSTVISLIERFYEPLFGSILLDGHNIKDLELKWLRQQVGLVNQEPALFATTIRENILYGKDDATLDEITRAAKLSEAITFINNLPDRYETQVGERGVQLSGGQKQRIAIARAILKNPTILLLDEATSALDAESEKSVQEALDRVMVGRTTVMIAHRLSTIRNADMIAVVQSGKIIETGTHEQLMSNPRSSYASLVQLQEAASVHRAAPSDSISMSRPLSMKYSRELSSRAGSFGASFRSDKDSVSRYIAETSEEVKMKYVSLKRLYSMVGPDWIFGLFGTLGAFVAGSQMPLFALGITQALVSYYMDWETTQREIKKIAILFCGGAVVAVMFYTIEHLNFGIMGERLTLRVRERMFAAILKNEIGFFDDMNNASGILSSRLETDATLLKTIVADRSTILLQNVGMIATSFIISFILNWRLTLVMLATYPLMVSGHISEKLFMQGYGGNLSKTYLKANMLATEAVSNIRTVAAFCSEKKVIDLYAGELEEPSKHSFRRGQAAGIFYGVSQFFLFSSYALALWYGSVLMAKELASFKVSYEDIHGDDCDCIGHGRDLGNGS >DRNTG_05873.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31408457:31412048:-1 gene:DRNTG_05873 transcript:DRNTG_05873.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYFKSRPESGGYTIFMGLDKHENEELIKYGFPEDIWFHVDKMSSAHVYLRLNKGQTIDDISEGLLEDCAQLVKANSIQGNKVNNVDVVYTPWYNLKKTPSMDVGQVGFHNSKMVRTVRVEKRINEIVNRLNKTKVERHPDLKAEREAVNAAEKAERKLQLRDKKRREDLERLEKEKQAEIRSYKGLMVSDKMTSNKQIASANKSLQELEDDFM >DRNTG_05873.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31408457:31412048:-1 gene:DRNTG_05873 transcript:DRNTG_05873.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAHVYLRLNKGQTIDDISEGLLEDCAQLVKANSIQGNKVNNVDVVYTPWYNLKKTPSMDVGQVGFHNSKMVRTVRVEKRINEIVNRLNKTKVERHPDLKAEREAVNAAEKAERKLQLRDKKRREDLERLEKEKQAEIRSYKGLMVSDKMTSNKQIASANKSLQELEDDFM >DRNTG_10444.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000437.1:1872:4107:-1 gene:DRNTG_10444 transcript:DRNTG_10444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFNLQESMRRGSTETYKKLLTEEFIGEWPPVTCVIADGVLDFAIEVAGEVGIPTLVFRTSSPCCAWTYATIPSLINNGIIPFPEECDMDEIVKGVEGMEGIMRRRDLPSFMRMVKSTEDNHIKLMNGFNSNLVSGKVIIFNSFDALDPTLLPIMSSYWPPIFTIGPLHLLTKFFNITNEEEQEQEQEQLGENSCSSSLRQEDRTCMTWLDNQPDKSVIYVSFGTVAVMSPEQYIEFWHGLVNSGHRFLWAVREDMVKGKQEMEVTKEMEEETKKRGCMVEWVPQEEVLAHRAVGCFLTHCGWNSTLEGMVAGVPMICWPYFSDQMINSRFVSDVWRIGLDMKDV >DRNTG_01731.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4071126:4106059:1 gene:DRNTG_01731 transcript:DRNTG_01731.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G67140) TAIR;Acc:AT1G67140] MLDEETDTEIGNLVRATIIRLLYASCPSVPYRWLTILNGLVLATSIRRNTSEKFTTREKDHMNTSSEGDSRLDFGEDDENMITSSTREQMQESGSVSNANLKRVKHLRYRTRIFAAECLSHVPTAVGTEPAHFDLALARQLSKAHAGSGDFLVLHLQELISLSYQISTGQIEGMQHIGVRLLCIIMDKYDHLPDPELPGHFLMEQYQAQLVSAVRSAISPSSGPLLLEAGLQLATKILTSSIVSGDRVALNRMFSLISRPLDEIKDLYYPSFAEWVACKIKVRLLAAHASIKCYVYSFLKEQKEIPDEYLPLVPLFSSKSGILGKYWTDALKDYCYICFSLQSNLKYKPFLDGIQSLVVSSKVKGCLDEAWPAILQATVLDSVPSKFELETFSKSSVEDPSREMFASGCSMAKLEFGEVQFLWGLALLVLFQGQQLELEKQVKMPLVNIDKEYDQGYSVKESHLLTYSEIALVVFQSLSKEYFLSQGFLSVDLCREIFQILAHTHQWTYLKNRAALHVLLQIVQYCPEGFFEKEDFTSAATELYIKHLHVTFESEDAILKDSYDGKDVLSALSFAAETITCRIKHKNQWKFVAAVLSICYECYTGASTDFCISKVFAFLMNMVPFLTTKFCESHEDRYDRNIILGAWVTMLTFLSQDCTKRIHNLKTGINDSFKLMVKIINSCLEEVVALARLVYEIKGLGEDKDSTILHLSVYKHCVKCFQDTFHDVDIQVQTIGLHVLKTIAQREVANGTDMEMRSFFCTFAGELFGDIFLIIQNSHKGGMKKETTAALEECLRLFFLTHTLSQGSEFQQAVTTLLVEALVMVFSIADESHSQEANVIKANARKLVSHLVQTPSFAIQIKDVMVSMQIAQRQKLQDIIRTSVMPGQMPTSTQVQVPSVSHGSVAAMQSNPIIPPPFSKFNKQSEIDTTEHSHVKAPDVEVMDASIHGGEAAGADAEDDDDDDDDDDDDWDTFQSLPANDTVVSTSELHVEVGSDRDIDEFGSVKVTDGGATNTLLGDAKHVNTDVDEGETNYSDANQVNQDIDEAVVNTSSGEAKHVNAEVDEVVANTLASDTEHINPDIHNEAENGKGVIDATDLQQKDNKDTDAMKDSPSGFEIFEEVSHANDVMTGQEITTASSDQDMEKPVPKHDDEGTIERTELQQKENKDVGESIGISFTEEA >DRNTG_01731.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4058730:4106059:1 gene:DRNTG_01731 transcript:DRNTG_01731.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G67140) TAIR;Acc:AT1G67140] MLQGNAYEDSHALACVLYILRVGVADQMTEPTQRIFLVLLGKKLGSADYSPSVGVVILRILSYLLTTLGEVPLEFKEVLDDTIVAALSHSSLHVRIEAALTLRALAEVDPTCVGGLISYGVTTLHALRETLSPEKGSHLHPDLDSLHGQATILAALVSISPRLLLGYPARLPKLVFEVSKKMLIEYSRNSVVATVEREAGWLLLASLLASLPKEELEDQVFDVLLLWAGPLLGSEFHIRQTQDLTSEIRVLSAAMEALTAFIRSFVHTTAVATNGGILLQPVLAYLSGALSYISILSSKQMQSMIRPSLDLFTTRTILAYESLSNPMAYKSEHPQLLRICTSPFADASQYGESSCLRFLLDKRDACLGPWIPGRDWFEDELRAFDGCKDGIVPCVWDYQLSSFPQLESVSKMLVNQILLFFGTIFASQDSGGKLVLLNMIDQCLKTGKKQPWRRASITNACVGLLSGLKAALALRSQGWEIEILTSIQSIFQGILLEGEISSEQRRASAEGLGLLTRLGNDVFTAKMARSLLGELIVATDPNFIGSIALSLGCIHRSAGGMALSTLVPTTVTSISNLAKSSNPGLQLWPLHALLLTIEAAGLSYVPQVQATLFLAIEILLSEENGLVDLREEIGHLINAIVAVLGPELAPGSTFFSRCKSVIAEISSCHETSTLLESVRFTQQLVLFAPQAVSVHSHVQSLLPTLFSKQPSLRHLAASTLRHLIEKDPVAIIDEKIEENLFIMLDEETDTEIGNLVRATIIRLLYASCPSVPYRWLTILNGLVLATSIRRNTSEKFTTREKDHMNTSSEGDSRLDFGEDDENMITSSTREQMQESGSVSNANLKRVKHLRYRTRIFAAECLSHVPTAVGTEPAHFDLALARQLSKAHAGSGDFLVLHLQELISLSYQISTGQIEGMQHIGVRLLCIIMDKYDHLPDPELPGHFLMEQYQAQLVSAVRSAISPSSGPLLLEAGLQLATKILTSSIVSGDRVALNRMFSLISRPLDEIKDLYYPSFAEWVACKIKVRLLAAHASIKCYVYSFLKEQKEIPDEYLPLVPLFSSKSGILGKYWTDALKDYCYICFSLQSNLKYKPFLDGIQSLVVSSKVKGCLDEAWPAILQATVLDSVPSKFELETFSKSSVEDPSREMFASGCSMAKLEFGEVQFLWGLALLVLFQGQQLELEKQVKMPLVNIDKEYDQGYSVKESHLLTYSEIALVVFQSLSKEYFLSQGFLSVDLCREIFQILAHTHQWTYLKNRAALHVLLQIVQYCPEGFFEKEDFTSAATELYIKHLHVTFESEDAILKDSYDGKDVLSALSFAAETITCRIKHKNQWKFVAAVLSICYECYTGASTDFCISKVFAFLMNMVPFLTTKFCESHEDRYDRNIILGAWVTMLTFLSQDCTKRIHNLKTGINDSFKLMVKIINSCLEEVVALARLVYEIKGLGEDKDSTILHLSVYKHCVKCFQDTFHDVDIQVQTIGLHVLKTIAQREVANGTDMEMRSFFCTFAGELFGDIFLIIQNSHKGGMKKETTAALEECLRLFFLTHTLSQGSEFQQAVTTLLVEALVMVFSIADESHSQEANVIKANARKLVSHLVQTPSFAIQIKDVMVSMQIAQRQKLQDIIRTSVMPGQMPTSTQVQVPSVSHGSVAAMQSNPIIPPPFSKFNKQSEIDTTEHSHVKAPDVEVMDASIHGGEAAGADAEDDDDDDDDDDDDWDTFQSLPANDTVVSTSELHVEVGSDRDIDEFGSVKVTDGGATNTLLGDAKHVNTDVDEGETNYSDANQVNQDIDEAVVNTSSGEAKHVNAEVDEVVANTLASDTEHINPDIHNEAENGKGVIDATDLQQKDNKDTDAMKDSPSGFEIFEEVSHANDVMTGQEITTASSDQDMEKPVPKHDDEGTIERTELQQKENKDVGESIGISFTEEA >DRNTG_01731.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4062283:4106059:1 gene:DRNTG_01731 transcript:DRNTG_01731.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G67140) TAIR;Acc:AT1G67140] MLIEYSRNSVVATVEREAGWLLLASLLASLPKEELEDQVFDVLLLWAGPLLGSEFHIRQTQDLTSEIRVLSAAMEALTAFIRSFVHTTAVATNGGILLQPVLAYLSGALSYISILSSKQMQSMIRPSLDLFTTRTILAYESLSNPMAYKSEHPQLLRICTSPFADASQYGESSCLRFLLDKRDACLGPWIPGRDWFEDELRAFDGCKDGIVPCVWDYQLSSFPQLESVSKMLVNQILLFFGTIFASQDSGGKLVLLNMIDQCLKTGKKQPWRRASITNACVGLLSGLKAALALRSQGWEIEILTSIQSIFQGILLEGEISSEQRRASAEGLGLLTRLGNDVFTAKMARSLLGELIVATDPNFIGSIALSLGCIHRSAGGMALSTLVPTTVTSISNLAKSSNPGLQLWPLHALLLTIEAAGLSYVPQVQATLFLAIEILLSEENGLVDLREEIGHLINAIVAVLGPELAPGSTFFSRCKSVIAEISSCHETSTLLESVRFTQQLVLFAPQAVSVHSHVQSLLPTLFSKQPSLRHLAASTLRHLIEKDPVAIIDEKIEENLFIMLDEETDTEIGNLVRATIIRLLYASCPSVPYRWLTILNGLVLATSIRRNTSEKFTTREKDHMNTSSEGDSRLDFGEDDENMITSSTREQMQESGSVSNANLKRVKHLRYRTRIFAAECLSHVPTAVGTEPAHFDLALARQLSKAHAGSGDFLVLHLQELISLSYQISTGQIEGMQHIGVRLLCIIMDKYDHLPDPELPGHFLMEQYQAQLVSAVRSAISPSSGPLLLEAGLQLATKILTSSIVSGDRVALNRMFSLISRPLDEIKDLYYPSFAEWVACKIKVRLLAAHASIKCYVYSFLKEQKEIPDEYLPLVPLFSSKSGILGKYWTDALKDYCYICFSLQSNLKYKPFLDGIQSLVVSSKVKGCLDEAWPAILQATVLDSVPSKFELETFSKSSVEDPSREMFASGCSMAKLEFGEVQFLWGLALLVLFQGQQLELEKQVKMPLVNIDKEYDQGYSVKESHLLTYSEIALVVFQSLSKEYFLSQGFLSVDLCREIFQILAHTHQWTYLKNRAALHVLLQIVQYCPEGFFEKEDFTSAATELYIKHLHVTFESEDAILKDSYDGKDVLSALSFAAETITCRIKHKNQWKFVAAVLSICYECYTGASTDFCISKVFAFLMNMVPFLTTKFCESHEDRYDRNIILGAWVTMLTFLSQDCTKRIHNLKTGINDSFKLMVKIINSCLEEVVALARLVYEIKGLGEDKDSTILHLSVYKHCVKCFQDTFHDVDIQVQTIGLHVLKTIAQREVANGTDMEMRSFFCTFAGELFGDIFLIIQNSHKGGMKKETTAALEECLRLFFLTHTLSQGSEFQQAVTTLLVEALVMVFSIADESHSQEANVIKANARKLVSHLVQTPSFAIQIKDVMVSMQIAQRQKLQDIIRTSVMPGQMPTSTQVQVPSVSHGSVAAMQSNPIIPPPFSKFNKQSEIDTTEHSHVKAPDVEVMDASIHGGEAAGADAEDDDDDDDDDDDDWDTFQSLPANDTVVSTSELHVEVGSDRDIDEFGSVKVTDGGATNTLLGDAKHVNTDVDEGETNYSDANQVNQDIDEAVVNTSSGEAKHVNAEVDEVVANTLASDTEHINPDIHNEAENGKGVIDATDLQQKDNKDTDAMKDSPSGFEIFEEVSHANDVMTGQEITTASSDQDMEKPVPKHDDEGTIERTELQQKENKDVGESIGISFTEEA >DRNTG_01731.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4056743:4106059:1 gene:DRNTG_01731 transcript:DRNTG_01731.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G67140) TAIR;Acc:AT1G67140] MRIGLALSFVFFLQVVRLKYGASDSDLQNFAFQAIDMLQGNAYEDSHALACVLYILRVGVADQMTEPTQRIFLVLLGKKLGSADYSPSVGVVILRILSYLLTTLGEVPLEFKEVLDDTIVAALSHSSLHVRIEAALTLRALAEVDPTCVGGLISYGVTTLHALRETLSPEKGSHLHPDLDSLHGQATILAALVSISPRLLLGYPARLPKLVFEVSKKMLIEYSRNSVVATVEREAGWLLLASLLASLPKEELEDQVFDVLLLWAGPLLGSEFHIRQTQDLTSEIRVLSAAMEALTAFIRSFVHTTAVATNGGILLQPVLAYLSGALSYISILSSKQMQSMIRPSLDLFTTRTILAYESLSNPMAYKSEHPQLLRICTSPFADASQYGESSCLRFLLDKRDACLGPWIPGRDWFEDELRAFDGCKDGIVPCVWDYQLSSFPQLESVSKMLVNQILLFFGTIFASQDSGGKLVLLNMIDQCLKTGKKQPWRRASITNACVGLLSGLKAALALRSQGWEIEILTSIQSIFQGILLEGEISSEQRRASAEGLGLLTRLGNDVFTAKMARSLLGELIVATDPNFIGSIALSLGCIHRSAGGMALSTLVPTTVTSISNLAKSSNPGLQLWPLHALLLTIEAAGLSYVPQVQATLFLAIEILLSEENGLVDLREEIGHLINAIVAVLGPELAPGSTFFSRCKSVIAEISSCHETSTLLESVRFTQQLVLFAPQAVSVHSHVQSLLPTLFSKQPSLRHLAASTLRHLIEKDPVAIIDEKIEENLFIMLDEETDTEIGNLVRATIIRLLYASCPSVPYRWLTILNGLVLATSIRRNTSEKFTTREKDHMNTSSEGDSRLDFGEDDENMITSSTREQMQESGSVSNANLKRVKHLRYRTRIFAAECLSHVPTAVGTEPAHFDLALARQLSKAHAGSGDFLVLHLQELISLSYQISTGQIEGMQHIGVRLLCIIMDKYDHLPDPELPGHFLMEQYQAQLVSAVRSAISPSSGPLLLEAGLQLATKILTSSIVSGDRVALNRMFSLISRPLDEIKDLYYPSFAEWVACKIKVRLLAAHASIKCYVYSFLKEQKEIPDEYLPLVPLFSSKSGILGKYWTDALKDYCYICFSLQSNLKYKPFLDGIQSLVVSSKVKGCLDEAWPAILQATVLDSVPSKFELETFSKSSVEDPSREMFASGCSMAKLEFGEVQFLWGLALLVLFQGQQLELEKQVKMPLVNIDKEYDQGYSVKESHLLTYSEIALVVFQSLSKEYFLSQGFLSVDLCREIFQILAHTHQWTYLKNRAALHVLLQIVQYCPEGFFEKEDFTSAATELYIKHLHVTFESEDAILKDSYDGKDVLSALSFAAETITCRIKHKNQWKFVAAVLSICYECYTGASTDFCISKVFAFLMNMVPFLTTKFCESHEDRYDRNIILGAWVTMLTFLSQDCTKRIHNLKTGINDSFKLMVKIINSCLEEVVALARLVYEIKGLGEDKDSTILHLSVYKHCVKCFQDTFHDVDIQVQTIGLHVLKTIAQREVANGTDMEMRSFFCTFAGELFGDIFLIIQNSHKGGMKKETTAALEECLRLFFLTHTLSQGSEFQQAVTTLLVEALVMVFSIADESHSQEANVIKANARKLVSHLVQTPSFAIQIKDVMVSMQIAQRQKLQDIIRTSVMPGQMPTSTQVQVPSVSHGSVAAMQSNPIIPPPFSKFNKQSEIDTTEHSHVKAPDVEVMDASIHGGEAAGADAEDDDDDDDDDDDDWDTFQSLPANDTVVSTSELHVEVGSDRDIDEFGSVKVTDGGATNTLLGDAKHVNTDVDEGETNYSDANQVNQDIDEAVVNTSSGEAKHVNAEVDEVVANTLASDTEHINPDIHNEAENGKGVIDATDLQQKDNKDTDAMKDSPSGFEIFEEVSHANDVMTGQEITTASSDQDMEKPVPKHDDEGTIERTELQQKENKDVGESIGISFTEEA >DRNTG_01731.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4091084:4106059:1 gene:DRNTG_01731 transcript:DRNTG_01731.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G67140) TAIR;Acc:AT1G67140] MFSLISRPLDEIKDLYYPSFAEWVACKIKVRLLAAHASIKCYVYSFLKEQKEIPDEYLPLVPLFSSKSGILGKYWTDALKDYCYICFSLQSNLKYKPFLDGIQSLVVSSKVKGCLDEAWPAILQATVLDSVPSKFELETFSKSSVEDPSREMFASGCSMAKLEFGEVQFLWGLALLVLFQGQQLELEKQVKMPLVNIDKEYDQGYSVKESHLLTYSEIALVVFQSLSKEYFLSQGFLSVDLCREIFQILAHTHQWTYLKNRAALHVLLQIVQYCPEGFFEKEDFTSAATELYIKHLHVTFESEDAILKDSYDGKDVLSALSFAAETITCRIKHKNQWKFVAAVLSICYECYTGASTDFCISKVFAFLMNMVPFLTTKFCESHEDRYDRNIILGAWVTMLTFLSQDCTKRIHNLKTGINDSFKLMVKIINSCLEEVVALARLVYEIKGLGEDKDSTILHLSVYKHCVKCFQDTFHDVDIQVQTIGLHVLKTIAQREVANGTDMEMRSFFCTFAGELFGDIFLIIQNSHKGGMKKETTAALEECLRLFFLTHTLSQGSEFQQAVTTLLVEALVMVFSIADESHSQEANVIKANARKLVSHLVQTPSFAIQIKDVMVSMQIAQRQKLQDIIRTSVMPGQMPTSTQVQVPSVSHGSVAAMQSNPIIPPPFSKFNKQSEIDTTEHSHVKAPDVEVMDASIHGGEAAGADAEDDDDDDDDDDDDWDTFQSLPANDTVVSTSELHVEVGSDRDIDEFGSVKVTDGGATNTLLGDAKHVNTDVDEGETNYSDANQVNQDIDEAVVNTSSGEAKHVNAEVDEVVANTLASDTEHINPDIHNEAENGKGVIDATDLQQKDNKDTDAMKDSPSGFEIFEEVSHANDVMTGQEITTASSDQDMEKPVPKHDDEGTIERTELQQKENKDVGESIGISFTEEA >DRNTG_01731.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4067592:4106059:1 gene:DRNTG_01731 transcript:DRNTG_01731.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G67140) TAIR;Acc:AT1G67140] MIDQCLKTGKKQPWRRASITNACVGLLSGLKAALALRSQGWEIEILTSIQSIFQGILLEGEISSEQRRASAEGLGLLTRLGNDVFTAKMARSLLGELIVATDPNFIGSIALSLGCIHRSAGGMALSTLVPTTVTSISNLAKSSNPGLQLWPLHALLLTIEAAGLSYVPQVQATLFLAIEILLSEENGLVDLREEIGHLINAIVAVLGPELAPGSTFFSRCKSVIAEISSCHETSTLLESVRFTQQLVLFAPQAVSVHSHVQSLLPTLFSKQPSLRHLAASTLRHLIEKDPVAIIDEKIEENLFIMLDEETDTEIGNLVRATIIRLLYASCPSVPYRWLTILNGLVLATSIRRNTSEKFTTREKDHMNTSSEGDSRLDFGEDDENMITSSTREQMQESGSVSNANLKRVKHLRYRTRIFAAECLSHVPTAVGTEPAHFDLALARQLSKAHAGSGDFLVLHLQELISLSYQISTGQIEGMQHIGVRLLCIIMDKYDHLPDPELPGHFLMEQYQAQLVSAVRSAISPSSGPLLLEAGLQLATKILTSSIVSGDRVALNRMFSLISRPLDEIKDLYYPSFAEWVACKIKVRLLAAHASIKCYVYSFLKEQKEIPDEYLPLVPLFSSKSGILGKYWTDALKDYCYICFSLQSNLKYKPFLDGIQSLVVSSKVKGCLDEAWPAILQATVLDSVPSKFELETFSKSSVEDPSREMFASGCSMAKLEFGEVQFLWGLALLVLFQGQQLELEKQVKMPLVNIDKEYDQGYSVKESHLLTYSEIALVVFQSLSKEYFLSQGFLSVDLCREIFQILAHTHQWTYLKNRAALHVLLQIVQYCPEGFFEKEDFTSAATELYIKHLHVTFESEDAILKDSYDGKDVLSALSFAAETITCRIKHKNQWKFVAAVLSICYECYTGASTDFCISKVFAFLMNMVPFLTTKFCESHEDRYDRNIILGAWVTMLTFLSQDCTKRIHNLKTGINDSFKLMVKIINSCLEEVVALARLVYEIKGLGEDKDSTILHLSVYKHCVKCFQDTFHDVDIQVQTIGLHVLKTIAQREVANGTDMEMRSFFCTFAGELFGDIFLIIQNSHKGGMKKETTAALEECLRLFFLTHTLSQGSEFQQAVTTLLVEALVMVFSIADESHSQEANVIKANARKLVSHLVQTPSFAIQIKDVMVSMQIAQRQKLQDIIRTSVMPGQMPTSTQVQVPSVSHGSVAAMQSNPIIPPPFSKFNKQSEIDTTEHSHVKAPDVEVMDASIHGGEAAGADAEDDDDDDDDDDDDWDTFQSLPANDTVVSTSELHVEVGSDRDIDEFGSVKVTDGGATNTLLGDAKHVNTDVDEGETNYSDANQVNQDIDEAVVNTSSGEAKHVNAEVDEVVANTLASDTEHINPDIHNEAENGKGVIDATDLQQKDNKDTDAMKDSPSGFEIFEEVSHANDVMTGQEITTASSDQDMEKPVPKHDDEGTIERTELQQKENKDVGESIGISFTEEA >DRNTG_01731.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4091499:4106059:1 gene:DRNTG_01731 transcript:DRNTG_01731.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G67140) TAIR;Acc:AT1G67140] MFSLISRPLDEIKDLYYPSFAEWVACKIKVRLLAAHASIKCYVYSFLKEQKEIPDEYLPLVPLFSSKSGILGKYWTDALKDYCYICFSLQSNLKYKPFLDGIQSLVVSSKVKGCLDEAWPAILQATVLDSVPSKFELETFSKSSVEDPSREMFASGCSMAKLEFGEVQFLWGLALLVLFQGQQLELEKQVKMPLVNIDKEYDQGYSVKESHLLTYSEIALVVFQSLSKEYFLSQGFLSVDLCREIFQILAHTHQWTYLKNRAALHVLLQIVQYCPEGFFEKEDFTSAATELYIKHLHVTFESEDAILKDSYDGKDVLSALSFAAETITCRIKHKNQWKFVAAVLSICYECYTGASTDFCISKVFAFLMNMVPFLTTKFCESHEDRYDRNIILGAWVTMLTFLSQDCTKRIHNLKTGINDSFKLMVKIINSCLEEVVALARLVYEIKGLGEDKDSTILHLSVYKHCVKCFQDTFHDVDIQVQTIGLHVLKTIAQREVANGTDMEMRSFFCTFAGELFGDIFLIIQNSHKGGMKKETTAALEECLRLFFLTHTLSQGSEFQQAVTTLLVEALVMVFSIADESHSQEANVIKANARKLVSHLVQTPSFAIQIKDVMVSMQIAQRQKLQDIIRTSVMPGQMPTSTQVQVPSVSHGSVAAMQSNPIIPPPFSKFNKQSEIDTTEHSHVKAPDVEVMDASIHGGEAAGADAEDDDDDDDDDDDDWDTFQSLPANDTVVSTSELHVEVGSDRDIDEFGSVKVTDGGATNTLLGDAKHVNTDVDEGETNYSDANQVNQDIDEAVVNTSSGEAKHVNAEVDEVVANTLASDTEHINPDIHNEAENGKGVIDATDLQQKDNKDTDAMKDSPSGFEIFEEVSHANDVMTGQEITTASSDQDMEKPVPKHDDEGTIERTELQQKENKDVGESIGISFTEEA >DRNTG_01731.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4053722:4106059:1 gene:DRNTG_01731 transcript:DRNTG_01731.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G67140) TAIR;Acc:AT1G67140] MARREIEIPLSRFGVLVAQLESIVASAHQQPPDGLLCFDLLSELVSAIEEEPKESILQWQRKCEDALHSLLVLGARRPVRRLASMAMGKVIAKGDGISIYSRASSLQGWLADGKRSEPHSCAGVAQCLGELYRLFGRRITSGLLETMHIAAKLMRFNEDFVRKDALQMLANALDGSGGHGASTAYLEAFRIITRVGVSDKSFIVKLAAAGCLKTFASIGGPGLGISELESSIAYCLKALEDPVSAIRDAFSEALGALLALAMNPESQVRHRVKAHTLPAKKLDDGLVKHLILPFNKASGVRAKEMRIGLALSFVFFLQVVRLKYGASDSDLQNFAFQAIDMLQGNAYEDSHALACVLYILRVGVADQMTEPTQRIFLVLLGKKLGSADYSPSVGVVILRILSYLLTTLGEVPLEFKEVLDDTIVAALSHSSLHVRIEAALTLRALAEVDPTCVGGLISYGVTTLHALRETLSPEKGSHLHPDLDSLHGQATILAALVSISPRLLLGYPARLPKLVFEVSKKMLIEYSRNSVVATVEREAGWLLLASLLASLPKEELEDQVFDVLLLWAGPLLGSEFHIRQTQDLTSEIRVLSAAMEALTAFIRSFVHTTAVATNGGILLQPVLAYLSGALSYISILSSKQMQSMIRPSLDLFTTRTILAYESLSNPMAYKSEHPQLLRICTSPFADASQYGESSCLRFLLDKRDACLGPWIPGRDWFEDELRAFDGCKDGIVPCVWDYQLSSFPQLESVSKMLVNQILLFFGTIFASQDSGGKLVLLNMIDQCLKTGKKQPWRRASITNACVGLLSGLKAALALRSQGWEIEILTSIQSIFQGILLEGEISSEQRRASAEGLGLLTRLGNDVFTAKMARSLLGELIVATDPNFIGSIALSLGCIHRSAGGMALSTLVPTTVTSISNLAKSSNPGLQLWPLHALLLTIEAAGLSYVPQVQATLFLAIEILLSEENGLVDLREEIGHLINAIVAVLGPELAPGSTFFSRCKSVIAEISSCHETSTLLESVRFTQQLVLFAPQAVSVHSHVQSLLPTLFSKQPSLRHLAASTLRHLIEKDPVAIIDEKIEENLFIMLDEETDTEIGNLVRATIIRLLYASCPSVPYRWLTILNGLVLATSIRRNTSEKFTTREKDHMNTSSEGDSRLDFGEDDENMITSSTREQMQESGSVSNANLKRVKHLRYRTRIFAAECLSHVPTAVGTEPAHFDLALARQLSKAHAGSGDFLVLHLQELISLSYQISTGQIEGMQHIGVRLLCIIMDKYDHLPDPELPGHFLMEQYQAQLVSAVRSAISPSSGPLLLEAGLQLATKILTSSIVSGDRVALNRMFSLISRPLDEIKDLYYPSFAEWVACKIKVRLLAAHASIKCYVYSFLKEQKEIPDEYLPLVPLFSSKSGILGKYWTDALKDYCYICFSLQSNLKYKPFLDGIQSLVVSSKVKGCLDEAWPAILQATVLDSVPSKFELETFSKSSVEDPSREMFASGCSMAKLEFGEVQFLWGLALLVLFQGQQLELEKQVKMPLVNIDKEYDQGYSVKESHLLTYSEIALVVFQSLSKEYFLSQGFLSVDLCREIFQILAHTHQWTYLKNRAALHVLLQIVQYCPEGFFEKEDFTSAATELYIKHLHVTFESEDAILKDSYDGKDVLSALSFAAETITCRIKHKNQWKFVAAVLSICYECYTGASTDFCISKVFAFLMNMVPFLTTKFCESHEDRYDRNIILGAWVTMLTFLSQDCTKRIHNLKTGINDSFKLMVKIINSCLEEVVALARLVYEIKGLGEDKDSTILHLSVYKHCVKCFQDTFHDVDIQVQTIGLHVLKTIAQREVANGTDMEMRSFFCTFAGELFGDIFLIIQNSHKGGMKKETTAALEECLRLFFLTHTLSQGSEFQQAVTTLLVEALVMVFSIADESHSQEANVIKANARKLVSHLVQTPSFAIQIKDVMVSMQIAQRQKLQDIIRTSVMPGQMPTSTQVQVPSVSHGSVAAMQSNPIIPPPFSKFNKQSEIDTTEHSHVKAPDVEVMDASIHGGEAAGADAEDDDDDDDDDDDDWDTFQSLPANDTVVSTSELHVEVGSDRDIDEFGSVKVTDGGATNTLLGDAKHVNTDVDEGETNYSDANQVNQDIDEAVVNTSSGEAKHVNAEVDEVVANTLASDTEHINPDIHNEAENGKGVIDATDLQQKDNKDTDAMKDSPSGFEIFEEVSHANDVMTGQEITTASSDQDMEKPVPKHDDEGTIERTELQQKENKDVGESIGISFTEEA >DRNTG_01731.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4054638:4060649:1 gene:DRNTG_01731 transcript:DRNTG_01731.14 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G67140) TAIR;Acc:AT1G67140] MAMGKVIAKGDGISIYSRASSLQGWLADGKRSEPHSCAGVAQCLGELYRLFGRRITSGLLETMHIAAKLMRFNEDFVRKDALQMLANALDGSGGHGASTAYLEAFRIITRVGVSDKSFIVKLAAAGCLKTFASIGGPGLGISELESSIAYCLKALEDPVSAIRDAFSEALGALLALAMNPESQVRHRVKAHTLPAKKLDDGLVKHLILPFNKASGVRAKEMRIGLALSFVFFLQVVRLKYGASDSDLQNFAFQAIDMLQGNAYEDSHALACVLYILRVGVADQMTEPTQRIFLVLLGKKLGSADYSPSVGVVILRILSYLLTTLGEVPLEFKEVLDDTIVAALSHSSLHV >DRNTG_01731.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4056357:4106059:1 gene:DRNTG_01731 transcript:DRNTG_01731.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G67140) TAIR;Acc:AT1G67140] MALSTLVPTTVTSISNLAKSSNPGLQLWPLHALLLTIEAAGLSYVPQVQATLFLAIEILLSEENGLVDLREEIGHLINAIVAVLGPELAPGSTFFSRCKSVIAEISSCHETSTLLESVRFTQQLVLFAPQAVSVHSHVQSLLPTLFSKQPSLRHLAASTLRHLIEKDPVAIIDEKIEENLFIMLDEETDTEIGNLVRATIIRLLYASCPSVPYRWLTILNGLVLATSIRRNTSEKFTTREKDHMNTSSEGDSRLDFGEDDENMITSSTREQMQESGSVSNANLKRVKHLRYRTRIFAAECLSHVPTAVGTEPAHFDLALARQLSKAHAGSGDFLVLHLQELISLSYQISTGQIEGMQHIGVRLLCIIMDKYDHLPDPELPGHFLMEQYQAQLVSAVRSAISPSSGPLLLEAGLQLATKILTSSIVSGDRVALNRMFSLISRPLDEIKDLYYPSFAEWVACKIKVRLLAAHASIKCYVYSFLKEQKEIPDEYLPLVPLFSSKSGILGKYWTDALKDYCYICFSLQSNLKYKPFLDGIQSLVVSSKVKGCLDEAWPAILQATVLDSVPSKFELETFSKSSVEDPSREMFASGCSMAKLEFGEVQFLWGLALLVLFQGQQLELEKQVKMPLVNIDKEYDQGYSVKESHLLTYSEIALVVFQSLSKEYFLSQGFLSVDLCREIFQILAHTHQWTYLKNRAALHVLLQIVQYCPEGFFEKEDFTSAATELYIKHLHVTFESEDAILKDSYDGKDVLSALSFAAETITCRIKHKNQWKFVAAVLSICYECYTGASTDFCISKVFAFLMNMVPFLTTKFCESHEDRYDRNIILGAWVTMLTFLSQDCTKRIHNLKTGINDSFKLMVKIINSCLEEVVALARLVYEIKGLGEDKDSTILHLSVYKHCVKCFQDTFHDVDIQVQTIGLHVLKTIAQREVANGTDMEMRSFFCTFAGELFGDIFLIIQNSHKGGMKKETTAALEECLRLFFLTHTLSQGSEFQQAVTTLLVEALVMVFSIADESHSQEANVIKANARKLVSHLVQTPSFAIQIKDVMVSMQIAQRQKLQDIIRTSVMPGQMPTSTQVQVPSVSHGSVAAMQSNPIIPPPFSKFNKQSEIDTTEHSHVKAPDVEVMDASIHGGEAAGADAEDDDDDDDDDDDDWDTFQSLPANDTVVSTSELHVEVGSDRDIDEFGSVKVTDGGATNTLLGDAKHVNTDVDEGETNYSDANQVNQDIDEAVVNTSSGEAKHVNAEVDEVVANTLASDTEHINPDIHNEAENGKGVIDATDLQQKDNKDTDAMKDSPSGFEIFEEVSHANDVMTGQEITTASSDQDMEKPVPKHDDEGTIERTELQQKENKDVGESIGISFTEEA >DRNTG_01731.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4053722:4056596:1 gene:DRNTG_01731 transcript:DRNTG_01731.18 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G67140) TAIR;Acc:AT1G67140] MARREIEIPLSRFGVLVAQLESIVASAHQQPPDGLLCFDLLSELVSAIEEEPKESILQWQRKCEDALHSLLVLGARRPVRRLASMAMGKVIAKGDGISIYSRASSLQGWLADGKRSEPHSCAGVAQCLGELYRLFGRRITSGLLETMHIAAKLMRFNEDFVRKDALQMLANALDGSGGHGASTAYLEAFRIITRVGVSDKSFIVKLAAAGCLKTFASIGGPGLGISELESSIAYCLK >DRNTG_01731.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4091922:4106059:1 gene:DRNTG_01731 transcript:DRNTG_01731.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G67140) TAIR;Acc:AT1G67140] MFASGCSMAKLEFGEVQFLWGLALLVLFQGQQLELEKQVKMPLVNIDKEYDQGYSVKESHLLTYSEIALVVFQSLSKEYFLSQGFLSVDLCREIFQILAHTHQWTYLKNRAALHVLLQIVQYCPEGFFEKEDFTSAATELYIKHLHVTFESEDAILKDSYDGKDVLSALSFAAETITCRIKHKNQWKFVAAVLSICYECYTGASTDFCISKVFAFLMNMVPFLTTKFCESHEDRYDRNIILGAWVTMLTFLSQDCTKRIHNLKTGINDSFKLMVKIINSCLEEVVALARLVYEIKGLGEDKDSTILHLSVYKHCVKCFQDTFHDVDIQVQTIGLHVLKTIAQREVANGTDMEMRSFFCTFAGELFGDIFLIIQNSHKGGMKKETTAALEECLRLFFLTHTLSQGSEFQQAVTTLLVEALVMVFSIADESHSQEANVIKANARKLVSHLVQTPSFAIQIKDVMVSMQIAQRQKLQDIIRTSVMPGQMPTSTQVQVPSVSHGSVAAMQSNPIIPPPFSKFNKQSEIDTTEHSHVKAPDVEVMDASIHGGEAAGADAEDDDDDDDDDDDDWDTFQSLPANDTVVSTSELHVEVGSDRDIDEFGSVKVTDGGATNTLLGDAKHVNTDVDEGETNYSDANQVNQDIDEAVVNTSSGEAKHVNAEVDEVVANTLASDTEHINPDIHNEAENGKGVIDATDLQQKDNKDTDAMKDSPSGFEIFEEVSHANDVMTGQEITTASSDQDMEKPVPKHDDEGTIERTELQQKENKDVGESIGISFTEEA >DRNTG_01731.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4075283:4106059:1 gene:DRNTG_01731 transcript:DRNTG_01731.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G67140) TAIR;Acc:AT1G67140] MNTSSEGDSRLDFGEDDENMITSSTREQMQESGSVSNANLKRVKHLRYRTRIFAAECLSHVPTAVGTEPAHFDLALARQLSKAHAGSGDFLVLHLQELISLSYQISTGQIEGMQHIGVRLLCIIMDKYDHLPDPELPGHFLMEQYQAQLVSAVRSAISPSSGPLLLEAGLQLATKILTSSIVSGDRVALNRMFSLISRPLDEIKDLYYPSFAEWVACKIKVRLLAAHASIKCYVYSFLKEQKEIPDEYLPLVPLFSSKSGILGKYWTDALKDYCYICFSLQSNLKYKPFLDGIQSLVVSSKVKGCLDEAWPAILQATVLDSVPSKFELETFSKSSVEDPSREMFASGCSMAKLEFGEVQFLWGLALLVLFQGQQLELEKQVKMPLVNIDKEYDQGYSVKESHLLTYSEIALVVFQSLSKEYFLSQGFLSVDLCREIFQILAHTHQWTYLKNRAALHVLLQIVQYCPEGFFEKEDFTSAATELYIKHLHVTFESEDAILKDSYDGKDVLSALSFAAETITCRIKHKNQWKFVAAVLSICYECYTGASTDFCISKVFAFLMNMVPFLTTKFCESHEDRYDRNIILGAWVTMLTFLSQDCTKRIHNLKTGINDSFKLMVKIINSCLEEVVALARLVYEIKGLGEDKDSTILHLSVYKHCVKCFQDTFHDVDIQVQTIGLHVLKTIAQREVANGTDMEMRSFFCTFAGELFGDIFLIIQNSHKGGMKKETTAALEECLRLFFLTHTLSQGSEFQQAVTTLLVEALVMVFSIADESHSQEANVIKANARKLVSHLVQTPSFAIQIKDVMVSMQIAQRQKLQDIIRTSVMPGQMPTSTQVQVPSVSHGSVAAMQSNPIIPPPFSKFNKQSEIDTTEHSHVKAPDVEVMDASIHGGEAAGADAEDDDDDDDDDDDDWDTFQSLPANDTVVSTSELHVEVGSDRDIDEFGSVKVTDGGATNTLLGDAKHVNTDVDEGETNYSDANQVNQDIDEAVVNTSSGEAKHVNAEVDEVVANTLASDTEHINPDIHNEAENGKGVIDATDLQQKDNKDTDAMKDSPSGFEIFEEVSHANDVMTGQEITTASSDQDMEKPVPKHDDEGTIERTELQQKENKDVGESIGISFTEEA >DRNTG_01731.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4065685:4069831:1 gene:DRNTG_01731 transcript:DRNTG_01731.16 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G67140) TAIR;Acc:AT1G67140] MQSMIRPSLDLFTTRTILAYESLSNPMAYKSEHPQLLRICTSPFADASQYGESSCLRFLLDKRDACLGPWIPGRDWFEDELRAFDGCKDGIVPCVWDYQLSSFPQLESVSKMLVNQILLFFGTIFASQDSGGKLVLLNMIDQCLKTGKKQPWRRASITNACVGLLSGLKAALALRSQGWEIEILTSIQSIFQGILLEGEISSEQRRASAEGLGLLTRLGNDVFTAKMARSLLGELIVATDPNFIGSIALSLGCIHRSAGGMALSTLVPTTVTSISNLAKSSNPGLQLWPLHALLLTIEAAGLSYVPQVQ >DRNTG_01731.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4067802:4106059:1 gene:DRNTG_01731 transcript:DRNTG_01731.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G67140) TAIR;Acc:AT1G67140] MARSLLGELIVATDPNFIGSIALSLGCIHRSAGGMALSTLVPTTVTSISNLAKSSNPGLQLWPLHALLLTIEAAGLSYVPQVQATLFLAIEILLSEENGLVDLREEIGHLINAIVAVLGPELAPGSTFFSRCKSVIAEISSCHETSTLLESVRFTQQLVLFAPQAVSVHSHVQSLLPTLFSKQPSLRHLAASTLRHLIEKDPVAIIDEKIEENLFIMLDEETDTEIGNLVRATIIRLLYASCPSVPYRWLTILNGLVLATSIRRNTSEKFTTREKDHMNTSSEGDSRLDFGEDDENMITSSTREQMQESGSVSNANLKRVKHLRYRTRIFAAECLSHVPTAVGTEPAHFDLALARQLSKAHAGSGDFLVLHLQELISLSYQISTGQIEGMQHIGVRLLCIIMDKYDHLPDPELPGHFLMEQYQAQLVSAVRSAISPSSGPLLLEAGLQLATKILTSSIVSGDRVALNRMFSLISRPLDEIKDLYYPSFAEWVACKIKVRLLAAHASIKCYVYSFLKEQKEIPDEYLPLVPLFSSKSGILGKYWTDALKDYCYICFSLQSNLKYKPFLDGIQSLVVSSKVKGCLDEAWPAILQATVLDSVPSKFELETFSKSSVEDPSREMFASGCSMAKLEFGEVQFLWGLALLVLFQGQQLELEKQVKMPLVNIDKEYDQGYSVKESHLLTYSEIALVVFQSLSKEYFLSQGFLSVDLCREIFQILAHTHQWTYLKNRAALHVLLQIVQYCPEGFFEKEDFTSAATELYIKHLHVTFESEDAILKDSYDGKDVLSALSFAAETITCRIKHKNQWKFVAAVLSICYECYTGASTDFCISKVFAFLMNMVPFLTTKFCESHEDRYDRNIILGAWVTMLTFLSQDCTKRIHNLKTGINDSFKLMVKIINSCLEEVVALARLVYEIKGLGEDKDSTILHLSVYKHCVKCFQDTFHDVDIQVQTIGLHVLKTIAQREVANGTDMEMRSFFCTFAGELFGDIFLIIQNSHKGGMKKETTAALEECLRLFFLTHTLSQGSEFQQAVTTLLVEALVMVFSIADESHSQEANVIKANARKLVSHLVQTPSFAIQIKDVMVSMQIAQRQKLQDIIRTSVMPGQMPTSTQVQVPSVSHGSVAAMQSNPIIPPPFSKFNKQSEIDTTEHSHVKAPDVEVMDASIHGGEAAGADAEDDDDDDDDDDDDWDTFQSLPANDTVVSTSELHVEVGSDRDIDEFGSVKVTDGGATNTLLGDAKHVNTDVDEGETNYSDANQVNQDIDEAVVNTSSGEAKHVNAEVDEVVANTLASDTEHINPDIHNEAENGKGVIDATDLQQKDNKDTDAMKDSPSGFEIFEEVSHANDVMTGQEITTASSDQDMEKPVPKHDDEGTIERTELQQKENKDVGESIGISFTEEA >DRNTG_01731.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4069566:4106059:1 gene:DRNTG_01731 transcript:DRNTG_01731.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G67140) TAIR;Acc:AT1G67140] MFSLISRPLDEIKDLYYPSFAEWVACKIKVRLLAAHASIKCYVYSFLKEQKEIPDEYLPLVPLFSSKSGILGKYWTDALKDYCYICFSLQSNLKYKPFLDGIQSLVVSSKVKGCLDEAWPAILQATVLDSVPSKFELETFSKSSVEDPSREMFASGCSMAKLEFGEVQFLWGLALLVLFQGQQLELEKQVKMPLVNIDKEYDQGYSVKESHLLTYSEIALVVFQSLSKEYFLSQGFLSVDLCREIFQILAHTHQWTYLKNRAALHVLLQIVQYCPEGFFEKEDFTSAATELYIKHLHVTFESEDAILKDSYDGKDVLSALSFAAETITCRIKHKNQWKFVAAVLSICYECYTGASTDFCISKVFAFLMNMVPFLTTKFCESHEDRYDRNIILGAWVTMLTFLSQDCTKRIHNLKTGINDSFKLMVKIINSCLEEVVALARLVYEIKGLGEDKDSTILHLSVYKHCVKCFQDTFHDVDIQVQTIGLHVLKTIAQREVANGTDMEMRSFFCTFAGELFGDIFLIIQNSHKGGMKKETTAALEECLRLFFLTHTLSQGSEFQQAVTTLLVEALVMVFSIADESHSQEANVIKANARKLVSHLVQTPSFAIQIKDVMVSMQIAQRQKLQDIIRTSVMPGQMPTSTQVQVPSVSHGSVAAMQSNPIIPPPFSKFNKQSEIDTTEHSHVKAPDVEVMDASIHGGEAAGADAEDDDDDDDDDDDDWDTFQSLPANDTVVSTSELHVEVGSDRDIDEFGSVKVTDGGATNTLLGDAKHVNTDVDEGETNYSDANQVNQDIDEAVVNTSSGEAKHVNAEVDEVVANTLASDTEHINPDIHNEAENGKGVIDATDLQQKDNKDTDAMKDSPSGFEIFEEVSHANDVMTGQEITTASSDQDMEKPVPKHDDEGTIERTELQQKENKDVGESIGISFTEEA >DRNTG_19825.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25060635:25062181:1 gene:DRNTG_19825 transcript:DRNTG_19825.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNCPKLVSLPDGMQALTSFKSLRIIGCPALKSFPEGLQQLLPTLKALIIKGMPWS >DRNTG_24158.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001288.1:19645:20894:-1 gene:DRNTG_24158 transcript:DRNTG_24158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMKLGSKAEVFRLDGHSWMCTNELESDVIVEIGEMSFHLHKFPLLNKSGLLQKMISEFHSEEGKGCVLQLHDIPGGAKAFELVAKFCYDVRMELNAYNVVYLRCAAEHLKMNEEYVGGNLIVQTENFLDEVFGSWKDSIKALEKCEDVLPYAEDLHIVNRCINSLAMKACADPSLFSWPMAGRCSLKSPGGIALWNGICTEDRPTSVGADWWYEDVSFLSLPLYKRLILAMESKGMNPENIAGSVMFYAKRYL >DRNTG_24158.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001288.1:19645:20284:-1 gene:DRNTG_24158 transcript:DRNTG_24158.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISEFHSEEGKGCVLQLHDIPGGAKAFELVAKFCYDVRMELNAYNVVYLRCAAEHLKMNEEYVGGNLIVQTENFLDEVFGSWKDSIKALEKCEDVLPYAEDLHIVNRCINSLAMKACADPSLFSWPMAGRCSLKSPGGIALWNGICTEDRPTSVGADWWYEDVSFLSLPLYKRLILAMESKGMNPENIAGSVMFYAKRYL >DRNTG_21324.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9255090:9256920:1 gene:DRNTG_21324 transcript:DRNTG_21324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGHRFENAKDFKDALCDLAVKRNFNFRFIKNDKDRVTVTCADEDCQWRVHASRDGNLHTFRIKTSQHKHTYVDIQRDILRDHGVRLPYKQAWMGKELAKGILHGSDIASYDLLVWYAAKVSETNPGIVIIIDTDGERFKHGFFCFRPSLDGFKRGYRPMLFLDETHLLGKYGGILLGSTAKDGNEGFFHLTFAIVDNETDDNWTWFTSTLGDAIYGDDDYTNIITFISDRSKGLVNAIAKVFPSSPHAYCLRHLHANFLKSNGQLGKSLKDECWSLIMKIAYACTSFEYDEAVRSLLATSGQAHHWMFQKSDMSHWCNYLFRCQQWGEMYSNVAESFNAWIREARHLPVCKMVDAIRFKMMNMMYNRRNCCLKWESYLCPVIHKKIEVIVEESRCLLIGCSYGEQFEVVDHQSNSVNLCARTCSCRRWQVYGIPCKHACAAIMQTDKNVHPFIEPYYTVKSYKLTYKES >DRNTG_11050.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3328584:3334713:-1 gene:DRNTG_11050 transcript:DRNTG_11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTATIFKPLECRVESPLKLLPRKVTMSIMFTTTMLLGFFLILLFHANHVNGLLMQGRGIDPLKIGEEKYKYMDVSETRSKVVGGRKMGLEYYVEEKESLMKTGANPNVGNYCGYGMKGRLNIEWTVKNTLEKPFGSSNSNTNGKGASSTIMNTRPVPTRQDRESSFQQKKPIKPKFFNAYHISKTSSVNKQDPLEAATQDIFNMMNKDYHPKGRRKPPVNNHLPIRKP >DRNTG_06302.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20873524:20875700:-1 gene:DRNTG_06302 transcript:DRNTG_06302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQSKLCAISFESMVEFSMISLEMRDVQECSRMVKEKNSDDEVKKSYSIAIGSEALGKRKEFQEIRLSKRQGKEANTIPFSSNKILEILTHFQVVPSSITAEAMNKTLVKFWKMRDMQVLSRTVKEKNSEACDEQLFQYVLFYYYAMRNNKVYNVVLKENDVTKMEDVAVCHLDMTKWN >DRNTG_26457.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:473296:481618:1 gene:DRNTG_26457 transcript:DRNTG_26457.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKRGVAGLNEEQQDIIGWFDGVAERAASAQTETLRRIIEMNHRTEYLSKWLGSDTEIQQAEGEELERMYTRRVPLVNHADLEPYIQRIADGDDSPVLTQEPITTLSLSSGTTDGRPKYVPFTHYSSQSTLQIFKLAAAHRSRVFPLRAGGRILELIYSSKQFKTKGGLTAGTATTHYYASEEFKTKQKCTESFTCSPLEVISEGDYKQSTYCHLLLGLYFCDQVDFVTSAFAYSMVHVFTAFEGLWEEICHDIRQGSLSNSRVTSPRMRRAVLEHLSPNPSLALKIESKCRELQRLDWCCTIPELWPNAKYIYSIMTGSMQPYLKKLRHYAGELPLVCADYGSTESWIGVNLDPYAPPQTVTFTVIPTLAYFEFIPLVNSQHKRPTDDVIDLDYQEDEPLPLSQVKLGQQYELVLTTYTGLYRYRLGDVVEVASFYKGAPQLTFVCRRKLILTINIDKNTEKDLQLAVERSSQLLVQCRAELVDFTSHADTSKHPGHYVIYWEIKVKDGDEIRDRVLQECCREMDAAFADYGYVVSRRTNSIGPLELRLVKDGTFGNILEHYISNGATMSQYKTPRCTNNPVLLRILDHNTVKHFWSTAYG >DRNTG_26457.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:473246:481618:1 gene:DRNTG_26457 transcript:DRNTG_26457.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHTHTQAILYCICFLVFIGIGICMGDRVFPLRAGGRILELIYSSKQFKTKGGLTAGTATTHYYASEEFKTKQKCTESFTCSPLEVISEGDYKQSTYCHLLLGLYFCDQVDFVTSAFAYSMVHVFTAFEGLWEEICHDIRQGSLSNSRVTSPRMRRAVLEHLSPNPSLALKIESKCRELQRLDWCCTIPELWPNAKYIYSIMTGSMQPYLKKLRHYAGELPLVCADYGSTESWIGVNLDPYAPPQTVTFTVIPTLAYFEFIPLVNSQHKRPTDDVIDLDYQEDEPLPLSQVKLGQQYELVLTTYTGLYRYRLGDVVEVASFYKGAPQLTFVCRRKLILTINIDKNTEKDLQLAVERSSQLLVQCRAELVDFTSHADTSKHPGHYVIYWEIKVKDGDEIRDRVLQECCREMDAAFADYGYVVSRRTNSIGPLELRLVKDGTFGNILEHYISNGATMSQYKTPRCTNNPVLLRILDHNTVKHFWSTAYG >DRNTG_18326.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1746432:1748166:1 gene:DRNTG_18326 transcript:DRNTG_18326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRYAMVCSSNQNRSMEAHSLLKRQGFEVASYGTGAHVKLPGPSLREPNVYDFGTPYKVMLDDLRRKDPDLYKRNGILPMLKRNSSVKTAPQRWQDNATDGVFNVVLTFEEKVFDMVLQDLNNREQVLMKTVLIINLEVKDNHEEAAVGAKLALDLCQEIEAVECWEDSIDNIVMAFENKHKRKLLYSISFY >DRNTG_08531.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000383.1:5065:16726:1 gene:DRNTG_08531 transcript:DRNTG_08531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREHGQGVHPPSMGLSCGVVRAWIALSGHRHFERDPDGV >DRNTG_28743.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31122973:31128223:1 gene:DRNTG_28743 transcript:DRNTG_28743.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSPPYHSPPRRGYGGRGRSPPRRGYGGYGGGKDQNSRSLLVRNIPLNARAEDLRVPFERYGPVRDVYMPKDYYTGEPRGFAFVEFVDPYDASEAQYRMNGQRFGGRVITVVVAAETRKKPDEMRHRERPRYPICYSQVPNFLIVSRLTDGFYLMYRGTIRP >DRNTG_28743.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31122973:31128223:1 gene:DRNTG_28743 transcript:DRNTG_28743.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSPPYHSPPRRGYGGRGRSPPRRGYGGYGGGKDQNSRSLLVRNIPLNARAEDLRVPFERYGPVRDVYMPKDYYTGEPRGFAFVEFVDPYDASEAQYRMNGQRFGGRVITVVVAAETRKKPDEMRHRERPRGPSGHDVRRSYYGRSRSRSRSPRYPSSRNRDRSRSHSPAPRRRDDCSVSPRRRRKEHTRSPRDHPQGREEDRDRRSYSPSHSDANQNKTDNGYDDSKAYDAEGARTEWRSPRRGSRSPSGSRSRSADLSPRGGSR >DRNTG_28743.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31122973:31128223:1 gene:DRNTG_28743 transcript:DRNTG_28743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSPPYHSPPRRGYGGRGRSPPRRGYGGYGGGKDQNSRSLLVRNIPLNARAEDLRVPFERYGPVRDVYMPKDYYTGEPRGFAFVEFVDPYDASEAQYRMNGQRFGGRVITVVVAAETRKKPDEMRHRERPRGPSGHDVRRSYYGRSRSRSRSPRYPSSRNRDRSRSHSPAPRRRDDCSVSPRRRRKEHTRSPRDHPQGREEDRDRRSYSPSHSDANQNKTDNGYDDKAYDAEGARTEWRSPRRGSRSPSGSRSRSADLSPRGGSR >DRNTG_18784.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:289485:290669:-1 gene:DRNTG_18784 transcript:DRNTG_18784.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPAMEQQHIQRVKNLGQSYRESPAQEDREDEEMTRSALSAFRAKEEQIERKKMEVRDKVFAHLGRVEEETKRLAEIKEELEAMADPTRKEVAAIRKRIDVVNREIKPLGQSCLKKEKEYKEALEAFNEKNKEKAQLINKLVELVGESERLRMKKLEELSKTIDSLH >DRNTG_18784.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:289427:290669:-1 gene:DRNTG_18784 transcript:DRNTG_18784.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPAMEQQHIQRVKNLGQSYRESPAQEDREDEEMTRSALSAFRAKEEQIERKKMEVRDKVFAHLGRVEEETKRLAEIKEELEAMADPTRKEVAAIRKRIDVVNREIKPLGQSCLKKEKEYKEALEAFNEKNKEKAQLINKLVELVGESERLRMKKLEELSKTIDSLH >DRNTG_18784.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:289427:290551:-1 gene:DRNTG_18784 transcript:DRNTG_18784.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPAMEQQHIQRVKNLGQSYRESPAQEDREDEEMTRSALSAFRAKEEQIERKKMEVRDKVFAHLGRVEEETKRLAEIKEELEAMADPTRKEVAAIRKRIDVVNREIKPLGQSCLKKEKEYKEALEAFNEKNKEKAQLINKLVELVGESERLRMKKLEELSKTIDSLH >DRNTG_18784.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:288668:290551:-1 gene:DRNTG_18784 transcript:DRNTG_18784.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPAMEQQHIQRVKNLGQSYRESPAQEDREDEEMTRSALSAFRAKEEQIERKKMEVRDKVFAHLGRVEEETKRLAEIKEELEAMADPTRKEVAAIRKRIDVVNREIKPLGQSCLKKEKEYKEALEAFNEKNKEKAQLINKLVELVGESERLRMKKLEELSKTIDSLH >DRNTG_18784.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:289427:290593:-1 gene:DRNTG_18784 transcript:DRNTG_18784.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPAMEQQHIQRVKNLGQSYRESPAQEDREDEEMTRSALSAFRAKEEQIERKKMEVRDKVFAHLGRVEEETKRLAEIKEELEAMADPTRKEVAAIRKRIDVVNREIKPLGQSCLKKEKEYKEALEAFNEKNKEKAQLINKLVELVGESERLRMKKLEELSKTIDSLH >DRNTG_18784.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:288668:290669:-1 gene:DRNTG_18784 transcript:DRNTG_18784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPAMEQQHIQRVKNLGQSYRESPAQEDREDEEMTRSALSAFRAKEEQIERKKMEVRDKVFAHLGRVEEETKRLAEIKEELEAMADPTRKEVAAIRKRIDVVNREIKPLGQSCLKKEKEYKEALEAFNEKNKEKAQLINKLVELVGESERLRMKKLEELSKTIDSLH >DRNTG_18784.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:289485:290551:-1 gene:DRNTG_18784 transcript:DRNTG_18784.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPAMEQQHIQRVKNLGQSYRESPAQEDREDEEMTRSALSAFRAKEEQIERKKMEVRDKVFAHLGRVEEETKRLAEIKEELEAMADPTRKEVAAIRKRIDVVNREIKPLGQSCLKKEKEYKEALEAFNEKNKEKAQLINKLVELVGESERLRMKKLEELSKTIDSLH >DRNTG_32638.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:12696563:12697702:-1 gene:DRNTG_32638 transcript:DRNTG_32638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKIQTFRDAERDSQQAQDADESKDRSKNKFDPKKMKKNGQNLFFLVIRSKNEREFYASLEFIAIFCE >DRNTG_32638.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:12696563:12696781:-1 gene:DRNTG_32638 transcript:DRNTG_32638.2 gene_biotype:protein_coding transcript_biotype:protein_coding TFRDAERDSQQAQDADESKDRSKNKFDPKKMKKNGQNLFFLVIRSKNEREFYASLEFIAIFCE >DRNTG_32457.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2416129:2423863:1 gene:DRNTG_32457 transcript:DRNTG_32457.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSASQHHSLCKLIVSPFSLPNRNPHLIKNPNPSPNPVRGRFRRFACSSIAASTPAAPSEEKNSPSLGDSTRSDFPILNQVINGKRLVYLDNAATSQKPTAVIKVLKEYYESYNSNVHRGIHFLSAKATDEYEQARRKVAAFVNALEPREIVFTRNATEAINLVAYSWGLSNLKSGDEVLLTIAEHHSAIVPWQLVAQQTGASLKYIGLTKEQVPDVDQLKKLLSKKTKLVVTHHVSNTLASVLPIDQIVHWSHDVGAKVLVDACQSVPHMSVDVQKLNADFLVASSHKALVSYMEKVSFYLQCLHFWVVGK >DRNTG_34975.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:2556981:2560468:1 gene:DRNTG_34975 transcript:DRNTG_34975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRKSRGHSQGRVHSPVPSLDELAVQIHGRAEISHARAFSLDALKNLQALARSVPTKALPLTFFQTERDVRA >DRNTG_24028.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18035258:18036542:1 gene:DRNTG_24028 transcript:DRNTG_24028.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g03580 [Source:Projected from Arabidopsis thaliana (AT3G03580) UniProtKB/Swiss-Prot;Acc:Q9SS60] MTMRDTISWNTMISGCVQNGDCDLGFTLLREMNISRTTIDMATLLSILPACSFLVAKRKGKEIHGTVFRLGLELHIPVGNAMIEMYSKCGNLDYAVKVFELMNMKDIVTWTSLVSAYGMYGQGENALRAFTKMEEEGIALDHVAFVAVLFACSHAGRVEEGKLLFKKMEQDYMIIPRMEHYACMVDLFSRSGKLDEAEVFIKSMPFEPDVTIWGALLSACRTTGENQIAERVSKQISALNFDNAGYHVLVSNMYASMGKWDAVCNIRTSLKNRNLMKNPGLSWIEIKNKTYVFGTGDQPIQQSQEIYKLLEVLGELMVKEGYVPDAKFVHQNVEEDDKGYMLCTHSERLAIAFGLLNTPPGTPLQIMKNLRVCGDCHVATKYISKIVQREFLVRDANRFHLFKDGSCSCGDYW >DRNTG_12225.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25074905:25076687:-1 gene:DRNTG_12225 transcript:DRNTG_12225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCDLMIKELVLSSRHGSRLSGEAEENLTRVGVVEKEKIDFFNAPYYAPSPEEVKRVIETEGSFSINSLKSFEASWDAVKEHNDMVKENACIAVKIRPLLN >DRNTG_20083.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001095.1:16554:18988:-1 gene:DRNTG_20083 transcript:DRNTG_20083.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLRTWLAQRPLFQGFEEQKEVPKSFLLQILGKDRVTKFLIEEIVSITVGDFVKKENLKVKSQFKTTQTADELESAFTPGSEFSFNATMELLKTDAEAANSSST >DRNTG_20083.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001095.1:16554:18508:-1 gene:DRNTG_20083 transcript:DRNTG_20083.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLRTWLAQRPLFQGFEEQKEEKLQM >DRNTG_20083.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001095.1:16554:18988:-1 gene:DRNTG_20083 transcript:DRNTG_20083.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVMTTLCSHVSFHWNQPLFPQVMFERQGDNQFPFKRTAHHLTLHAASGVDFGRLSTPSGRTCRHMPWPVFAVGSGYEASISDQKKKDDISVGDVKIVIESRDDDKIQVRVDLTGEQTQKAFDDVLTNMARTAPPIPGFRRTKGGKPEIPV >DRNTG_20083.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001095.1:16554:18988:-1 gene:DRNTG_20083 transcript:DRNTG_20083.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVMTTLCSHVSFHWNQPLFPQVMFERQGDNQFPFKRTAHHLTLHAASGVDFGRLSTPSGRTCRHMPWPVFAVGSGYEASISDQKKKDDISVGDVKIVIESRDDDKIQVRVDLTGEQTQKAFDDVLTNMARTAPPIPGFRRTKGGKTSNVPKSFLLQILGKDRVTKFLIEEIVSITVGDFVKKENLKVKSQFKTTQTADELESAFTPGSEFSFNATMELLKTDAEAANSSST >DRNTG_20083.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001095.1:16486:18988:-1 gene:DRNTG_20083 transcript:DRNTG_20083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGKTSNVPKSFLLQILGKDRVTKFLIEEIVSITVGDFVKKENLKVKSQFKTTQTADELESAFTPGSEFSFNATMELLKTDAEAANSSST >DRNTG_21059.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:4216266:4221010:-1 gene:DRNTG_21059 transcript:DRNTG_21059.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPAHHYYRLQKQWPVHHLQTPPMKWMEKQQNLHTSLEIDCQLHDLGRLHGQLIW >DRNTG_02190.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1352161:1356499:-1 gene:DRNTG_02190 transcript:DRNTG_02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLALRAPLTRLHSLRPKLLFFYSTSADDPPHRRRPESYHSSSVRRQHEEESRSVRVTVWWDFENCSIPNGVNVFRVAQRITSVLRSNGIKGPVTINAFGDVAQLSRATQEALTSTGVCLTHVPHSGKNSSDRFFMADLIYWVSHNPPPMHFFLISGDRDFANILHRLRMSNYNILLASSDCASSVLWSSATIMWPWNGLVRGEDVVVKHFNHPPDGFYGSWYGHYKGILDDPFENMDQTTISQPKESMEQIADTKPRPIPKALVNGISRILNFYPEGITLSELRAELMRNNLNMEKDFFGYKNFSHLLSSLTNILRFKPHPSGEGQPLVVSTRKKLVEPVEATPKPVMEKMVSNEDKERTSTKSIKQPSPATGPIISPSSKSKETDVATSAASISTRKDVTDRQPASIDADANLRETSSGIVATNTLSSQQKPDTAGEGLFRKFWRALTGNKAVQSSEECAVVLDSDKEASPKMEPSKQRGGSSRKAHSEDKKIHTKNGPSHSVVNSQSSNMNKSPSTDKLSRQPENCIDTPNIDSSYVNGVARWWKFLFHGSESPDDRSVSIDTSAKENDSGTPGKLVEGLAQASCRLEAHDIFSKSHFWNSLELFLHDSKGTDLILKSRTREELVHGLQNESPSFLKGLEENHLHYLVDLLVSEKKWVEEYSFQTFPFKLVMPARDSVPPNSQSPNGLSSLFTNGPSQSKPEKGHNFAKKKTRSREEILCDCHKLLVELLKENPDGFNMCTFKPAFIQKYGYILDHQMLGYPKLASLLNIMPGVRLESSFILPAGKFCLDSGGKKLAADETICQSPEVDRGETINLNSKGPSSGDESAWEELGPVTETGNKVSFSEASLSDEDFTDSEDDDVSRFTESESQHKTGEEESSALLQVLDSWYSSKESEKDQMKTADGLVDCSGNNAQNSSNQKASNFSKLKTKHHRTYSFVSDSVDEKEKLVDSILESLKKGGPSKVHS >DRNTG_02190.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1352161:1353588:-1 gene:DRNTG_02190 transcript:DRNTG_02190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPARDSVPPNSQSPNGLSSLFTNGPSQSKPEKGHNFAKKKTRSREEILCDCHKLLVELLKENPDGFNMCTFKPAFIQKYGYILDHQMLGYPKLASLLNIMPGVRLESSFILPAGKFCLDSGGKKLAADETICQSPEVDRGETINLNSKGPSSGDESAWEELGPVTETGNKVSFSEASLSDEDFTDSEDDDVSRFTESESQHKTGEEESSALLQVLDSWYSSKESEKDQMKTADGLVDCSGNNAQNSSNQKASNFSKLKTKHHRTYSFVSDSVDEKEKLVDSILESLKKGGPSKVHS >DRNTG_25039.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8812067:8814958:1 gene:DRNTG_25039 transcript:DRNTG_25039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDIAGADRSPAAQSQPPWTVSLAPFAPCVSPSPRRLSSCFKERGRPVLSARRQLAWVSLQGRLIGGEEATSAKAIGGGLDPDETVAWELFSPLHRVLIVAVMGVATAESKRSKKISQLQRSVDLRDQVLLGMQQKLDDLCMQMSTAVDWPMKSNNKMHFENDAPSCLKLPELNPESGITPLFKNSLDKPKEECVREFRKDEMFKSAHVNSGEQEERRLSDLSDFCASVTSSVDIQLSTLAAEQDYFNLRRECEEKDSTIRELSAAIHASGVVSSKRIMELEEIIRRKNMVISKMKKDMLALEQQVIQLTRLRRKSSPTLSNSNDPPQLPFMSSNILYDMSSTSPSSSDSDSPSGGILHCSGVEEKRTQSQPSMKMSSFRKSIDKPMKQQCLSPLKENHMNQNIELNETSRPGQLGPSSGDLKRIRRRAMPESRTPILQRKWV >DRNTG_34799.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:259192:259736:-1 gene:DRNTG_34799 transcript:DRNTG_34799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIMDDLLTTGPELNSTVIGRAQGFYAAAAQSELGFLQAMNLHFSSGKYNGSVLTVLGRNAPLHNVREMPVVGGSGLFRFARGYALAHTHWIDLTSGDAIVEYNVYVLHF >DRNTG_04226.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:815973:816573:-1 gene:DRNTG_04226 transcript:DRNTG_04226.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSEFSFPDFQMEEGFIFDEQTIHRMELLVLGALDWRVRSITPFSFLRFFLSLFSPAHPPLIHALKDRALRILLKAQIEIKMLEFKPSLIAASALISAAGELFPIQSPAFSTAIFSSDFVNQVPFFF >DRNTG_04226.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:815548:816573:-1 gene:DRNTG_04226 transcript:DRNTG_04226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSEFSFPDFQMEEGFIFDEQTIHRMELLVLGALDWRVRSITPFSFLRFFLSLFSPAHPPLIHALKDRALRILLKAQIEIKMLEFKPSLIAASALISAAGELFPIQSPAFSTAIFSSDFVNQEKLKDCCLVMREMLAMMTMDGCDRALKMVSSCSTPATVLGGACPSSDSERTVGSSMNGRDVYKDPIEETPPPSSFFCP >DRNTG_18336.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1649842:1652009:1 gene:DRNTG_18336 transcript:DRNTG_18336.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LAX3 [Source:Projected from Arabidopsis thaliana (AT1G77690) UniProtKB/TrEMBL;Acc:A0A178W1L1] MALEKVETIVAGNYIEMEPDEGPKNSKSKLSKLFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMASGILFQLFYGLMGSWTAYLISLLYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNVGLFFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLVMTSYTAWYLTIASLIHGQVEGVQHSGPAKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKLIYLMATLYVLTLTLPSAAAVYWAFGDMLLNHSNALALLPRTGFRDTAVILMLIHQFITFGFACTPLYFVWEKAIGIHETKSIFKRAMARLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHMITFAPASARENAVERPPSMVGGWAGMYSVNCFVVVWVLVVGFGFGGWASMLNFIQQVNTFGLFTRCYQCPRKT >DRNTG_18336.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1649842:1652009:1 gene:DRNTG_18336 transcript:DRNTG_18336.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:LAX3 [Source:Projected from Arabidopsis thaliana (AT1G77690) UniProtKB/TrEMBL;Acc:A0A178W1L1] MTSYTAWYLTIASLIHGQVEGVQHSGPAKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKLIYLMATLYVLTLTLPSAAAVYWAFGDMLLNHSNALALLPRTGFRDTAVILMLIHQFITFGFACTPLYFVWEKAIGIHETKSIFKRAMARLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHMITFAPASARENAVERPPSMVGGWAGMYSVNCFVVVWVLVVGFGFGGWASMLNFIQQVNTFGLFTRCYQCPRKT >DRNTG_18563.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7443808:7447588:-1 gene:DRNTG_18563 transcript:DRNTG_18563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKVSVPLVCHGHSRPVVDLFYSPVTPDGFFLISASKDSSPMLRNGETGDWIGTFEGHKGAVWSCCLDTNALRAASGSADFSAKVWDALSGDELHSFEHKHIVRACAFSEDTSMFLTGGLEKVLRIFDLNRPEAPPRELDKSPGSIRTVAWLHSDQTILSSCTDIGGVRLWDVRSGKIVQSLETKSPVTSAEVSQDGRYITTADGSTVKFWDAYHFGLVKSYSMPCTVESASLEPKYGLKFIAGGEDMWVHVFDFHTGEEIACNKGHHGPVHCVRFSPGGESYASGSEDGTIRIWQTGHTTLDEKEPSTANGPTSKVKVAVNDVARKIEGFNISKDVQTETKGERSTDS >DRNTG_30369.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:140923:141928:1 gene:DRNTG_30369 transcript:DRNTG_30369.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETSSNNRSDLFIDLVDDMYFSALYDEEEVFPISDEKYAGELQLQEVLISGTMSTPYPSQQTTTRRLLPVKRQRDCTATTSTTTSTACWSSAQTFCKVCMEVAPSSNMFQNSNCAHVFCRACLSQHIAAKLQENITVIKCPEVHCKGVLEPEICQDIVPKDVFERWESVLCESMAIGACKFYCPFSDCSAMMLDDADEMVVQAECPVCRRLFCAQCKVAWHAGISCQKFQSLDVNERGKDDLILLELARRNRWMRCPKCNFFVEKIEGCLHITCRCKFEFCYRCGQKWSSSHGGCSSS >DRNTG_04264.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9395208:9397547:-1 gene:DRNTG_04264 transcript:DRNTG_04264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMSEEQLDYGEEDYGGSQKYPFAGGGGGGGGGGGGTGGAIPALADEELMGEDDEYDDLYNDVNVGDGFMQTIQQKQAPPARSEPTPAPAPAVAFGNSNQNPENHSSGGIEVKDAKPEQKPAQMGGIGFQGGSLGPIPPKMVIDPSFGGGGPPSVPAVAAPPPPIPPSIPLNPVGSGVVGSRPSMVNDNVRQVIPAVDNSGPTMLFVGELHWWTTDAELESVMSQYGRVKEIKFFDERASGKSKGYCQVEFYESAAAAACKEGMNGYLFNGRPCVVTFASPQTLKQMASGYVNKNQGQQQSQMQGRRGMNDGRGRGVMGAKGMVGAAGVVGGAAGGPYGQGLAGPGLAGPAGGLMHPQGMMGAGFDPTFMGRGGPYAGFAAPGFPGMMPSFQAVNAVGLPGVAPHVNPAFFGRGMSANGMGMMGGGTGMDGQSVGMWGDASMGGWTGEEHGRRTRESSYDGDDVASDYGYGEVNHERGGRSNTSREKERGSERDWSGNSERRNRDEREQDWDRSDRDRYKEEKDGYRDHRHRDRDRDNDDDWDRGQSSSRSRSKSRMMQEEDYRSRSRDADYGKRRRGSE >DRNTG_00492.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30587678:30590680:1 gene:DRNTG_00492 transcript:DRNTG_00492.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 29 [Source:Projected from Arabidopsis thaliana (AT3G47810) UniProtKB/TrEMBL;Acc:A0A178V791] MVLVLAIGDLHIPQRASDLPAKFKTMLVPGKIQHTICTGNLCIKEVYDYLKSVCPDLHVTHGEYDEDARYPETKTLTIGQFKLGLCHGHQVVPWGDLDSLAMLQRQLDVDILVTGHTHQFKAYKHEGGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVIVYVYEMIDGEVKVDKIDFKKTTNTHSAR >DRNTG_04391.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1183503:1184270:-1 gene:DRNTG_04391 transcript:DRNTG_04391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQGSGLVTLLLLMLLLLLIAMQDDILFSGVSANKAEAPDEDIHGGHGSGKSGNGEWEYNWGWGAGPGSGWGYGSGSGESPGGSGHGFGFGSGSGSSFGSGSGSGGGGGGSGDQGGGFGFGTGQGGGSGFGGGYGYPAPEFEGSGSGYGYPGYGGGYGGSGGGYGNPGGEYGNQGSGYEGGYGYPGSRKNLGKKGGGKK >DRNTG_21066.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4753153:4764886:1 gene:DRNTG_21066 transcript:DRNTG_21066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLMAKKHGIERELNLPQNRGKQPTDKLQHYVRKVGETDEMVTKLLDEYSKSCCVQGPCCLNCFSSYLADEAVGIIGIWGMGSSQNTQLEELQKEIAKKLQLSSDAGQQDIFNALKTKNIVLLLDNIWEPVNLVRLGIINPYMSDNGSSKAYKYKVMFTTRSEDVCARMEASKRIKVECMEPDEAWVLFKHNVNLAVIESDEKFKKIARQVMNKCGGLPLALQVVGKAMSNRNTIQEWEDILSSLKNSGTEVVQGVQESLLPILKFSYDNLPRNIHECFLCASILQWLRKDDLLELWMGLSLIGDFVNLQQAYGKARHIFKNLEESCLLQYSSDDDNVRLHDVIYEMAVWIASDCGINMNKWIVKEYDKFPDEIASINAENWRFANRVIISGKVELLPILTHQCSDLLCLMITDIHNLENIPGGFFIQMPNLTYLDLSGTPIEELPKSIKLTPSSSFLYLLFLSRPSCPPSYGKRGTENRSLAAGTS >DRNTG_22125.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17355127:17358315:-1 gene:DRNTG_22125 transcript:DRNTG_22125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPFKVDILKGKVALLTGGGSGIGFEITTQFGKHGAAVAIMGRRRQVLDAAVLALQSQGIRAIGIEGDVRKREDAAKAVDTTVQHFGRLDILVNGAAGNFLASPEDLSPNGFRTVIDIDSVGTYTMCHEALKYLKKGGPGRDSSTGGLILNISATLHYTASWYQIHVSAAKASVDSITKSLALEWGTDYDIRVNGIAPGPIEGTPGLRKLAPEEMKVKLREAMPLFKMGERWDIAMAAVYLASDAGKYVNGATMVVDGGLWLSQPRHIPKEEVKQLSRVVEKKSRTSPTGVPTSKL >DRNTG_28938.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22158581:22161317:1 gene:DRNTG_28938 transcript:DRNTG_28938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQNGDDQEGVRVMGFEVPRSPDSSYCNPIPGNEDEARDPPLVPPHLQHSLLSFPANQDASNSLPLPNNVTMNHLYIENRERPSSVVALGITHRFRSKFVTVVLYKPIQRRGA >DRNTG_06933.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16832610:16835056:-1 gene:DRNTG_06933 transcript:DRNTG_06933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLIWKDRCNYIFKNLAPRFDILISRACSICSDYFIASGKIYREFSNPLSPLPTLPSITLFTDASWHSETTGKILLAGSKGAVHSSPISAEIDAICWALELCKDHDLIHLKICCDCAGISNSMVKHHPCIAWRINSELQKLKILLKSFPHIFFDNIP >DRNTG_04078.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2219874:2224319:-1 gene:DRNTG_04078 transcript:DRNTG_04078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGVFERGTGARVVSEIPFSSLGMPGGPISQTRLVSGLSLGLQPDGRSEMNRMTAIGGAAAAGGGVGSDSVNKNNNNNNKDDENESRSGSDNLEGGSGDDLEHENPRKKKRYHRHTPQQIQELEALFKECPHPDEKQRMELSRRLCLESRQVKFWFQNRRTQMKTQIERHENSILRQENDKLRAENMSIRDAMRNPICNSCGGPAMLGEVSLEEQHLRIENARLKDELDRVCALAGKFLGRPMPSLQPNHHTNTPTNINASLELGVGSNGFNGLSSVAPTLPVVPDFLPVVSSPLGSVVSASVSARRIDRSLERSMFLELALSAMDELVKMAQMDEPLWFRSLDGGKDSLNYDEYQQLFPRCIGVKPAGFISEATRETGIVIINSLALVETLMDPTRWADMFPCVVARATATDVISTGMAGTRNGALQLMNAELQILSPLVPIREVNFLRFCKQHAEGIWAVVDVSIDAISENSTPTSCRRLPSGCLVQDMPNGYSKVTWVEHAEYDDSAVHHLYRPLLRSGSALGSPRWLATLQRQSECLAILLSSSVPGRDHTAITASGRRSMLKLAQRMTGSFCTGVCASTAHKWSKLCAPGIGEDVRVMTRQSVDDPGEPPGVVLSAATSVWLPVSPQRVFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHGNAVSLLRASAGNSNQSSMLILQETCTDASGALVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGQGNVSNAATASGSPRIVDGGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALQCES >DRNTG_28079.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21439110:21439446:1 gene:DRNTG_28079 transcript:DRNTG_28079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQDSAGTTLMDLITSHPSEVAATSISSNSPAPSSTLGKPTTTERKPKRASLMQIQNDTIAVAKALNPVKAIP >DRNTG_08406.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4800493:4801231:1 gene:DRNTG_08406 transcript:DRNTG_08406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTYAQNCYDDPQTSEEKLRYNKLCSHFTKAAELGAESNDKYIFLMKYVNEAIEKLMNNTTCKEKFTPMLLEATNVPHQKFLTPSKVRSKGRPQSKRKKSKVEEIMIRNKKKKPQTKGDASAQKFTQHDHCTQESVVILL >DRNTG_00112.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000030.1:11045:12072:1 gene:DRNTG_00112 transcript:DRNTG_00112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLKKPFSMPPSPLQVWLGLVLLPSPADRIGSASLASQRFFLYRFLYRARR >DRNTG_04456.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:24003903:24005111:-1 gene:DRNTG_04456 transcript:DRNTG_04456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILRDLQQRDEFAAEIEDLVSTGGWRQLLMVREPAIRVLALEVLSTFDFDRSYASFDNVDAIQFRAVGHHNCLSVTQFSILLGLYKEAFTDTDGYSQLPRDYPGALTPQRAYRILCGQGQYEPGVSKATCLSRPVYRYLHALMSRSVSGRGDSTGVQSRQELLYLPLHHETSAGHGSLGYSARGREDEHTCAPEPRDDEPTSEPQPTPMETEVPLVAEDAPIVQVSSPSRAHDRFERLDSAVGLVQTEIAGARTEIAEMRATQATQYTELMTRFDILQQILERDVASSFVLRPRTPQPPSASSPSPPSPAPAPMDPPHTSSSPAAAPAEEPERGTDT >DRNTG_21079.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20057340:20059670:-1 gene:DRNTG_21079 transcript:DRNTG_21079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRDGGRKSAGAGAGTGSPRRSRPAGESIASSGPLSSTRLPASLVEDPVFLADDEDSELFVGVGGRSAANPRSFPYSVKQQCWDKAEKVKGRDPDRWRRDALGNIVFRKLVGCPGCLCHDYDHIVPYSKGGKSTLENCQVLQATVNRSKGNKTELTKAELIQKSAYCRVSGRDMDLLELSAFGNVRRAQDSGGCSIQ >DRNTG_08000.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30020232:30022890:1 gene:DRNTG_08000 transcript:DRNTG_08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vesicle-associated membrane protein 724 [Source:Projected from Arabidopsis thaliana (AT4G15780) TAIR;Acc:AT4G15780] MDEERSGWFIYSFVARGTMVLAEYTEFTGNFPAIAAHCLQKLPSSNSKFAYSCDQHIFNFLVHDGYAYCVVAKESAPKHVSVAFLERLKADFKKRYGGGKADTAAAKSLNKEFGPVIKEHMQYIIDHAEEIEKLVKVKAQVSEIKSIMLDNIDKAIDRGEKINDLQEKTTDLHNQAQDFKKKGQRVRKKMWLQNMKVKLVVLGILLILVLIIWVSVCHGFNCTKDHN >DRNTG_20249.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20899572:20899874:-1 gene:DRNTG_20249 transcript:DRNTG_20249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRGWAPQLDILAHGSTAAFMSHCGWNSCME >DRNTG_28347.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:227785:229608:1 gene:DRNTG_28347 transcript:DRNTG_28347.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYISLANNRNQQHEAGSSTMKSEQNRTEYQQP >DRNTG_28347.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:227720:229676:1 gene:DRNTG_28347 transcript:DRNTG_28347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYISLANNRNQQHEAGSSTMKSEQNRTEYQQP >DRNTG_31649.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:882316:884496:1 gene:DRNTG_31649 transcript:DRNTG_31649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKVQRIMTQPINLIFRFLQSKSRIQIWLFEQKDVRIEGRIIGFDEYMNLVLEDAEELNVKKKTRKQLGRILLKGDNITLMMNTGR >DRNTG_19186.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21375259:21377103:-1 gene:DRNTG_19186 transcript:DRNTG_19186.1 gene_biotype:protein_coding transcript_biotype:protein_coding QPLHTCQVLPPARTQMKLSYLFTNLSIQMTREDNNHIQFNPQTKTSLESNKATSQL >DRNTG_07875.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18914929:18916449:-1 gene:DRNTG_07875 transcript:DRNTG_07875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQEQTSEYHGTPWKANQNKSEVLGGGEVCICSKDLKIVWGDDWRFWKQVKIPNGDNKWLKCEDGMELVQVCYLEVTGTLDLDKQEQLSAGNTYELFYIIKFKIDAFGWQDCPVLLHLVTPNGDKIKKSVNFEDYRKKGEGWYPVLGGEFTVGSPLKGKISFGIHETETPFWKGGIILHGVLIQPKK >DRNTG_19334.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5305022:5308080:-1 gene:DRNTG_19334 transcript:DRNTG_19334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHALAGEEHTLVKGFIITEVKKQLWLAGPLIVSGVLEKLIQLGMGTALDTLCGQAYGAKQYHLLGIYLQRTMLLNAIVSIPLSFIVAFAGKILHATGQDKEISMAAELYARCMIPVLFSYGILQCYYRFLQAQNIVIPMILSSGFTILVHIFACWILMVKIKIGYVGAAIANSISYSTSLVLIATYVWLSPRFKNTWLGFSREALHDFSSLIKLAVPSGLMLCLEIWAFEAIVILSGLLPNPKLETSLLSICLVTSLLGYMIPYGIGASVSTRISNELGAGNSRRARLAVYIAGIMSIIQGSILASTLILVRNIWGKFYSKDMEVVKHIASMMPLLALSGFFDATQCVLLGAARGCGWQRLVVGINLGAYYMVGLPSGILFAFVFHLKEKGLWLGIICGLFTQVVFLLIITLVTNWDKEAKKAENRINNSINSIDMATRRNEEAGVLDGGP >DRNTG_19334.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5305022:5306141:-1 gene:DRNTG_19334 transcript:DRNTG_19334.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIQGSILASTLILVRNIWGKFYSKDMEVVKHIASMMPLLALSGFFDATQCVLLGAARGCGWQRLVVGINLGAYYMVGLPSGILFAFVFHLKEKGLWLGIICGLFTQVVFLLIITLVTNWDKEAKKAENRINNSINSIDMATRRNEEAGVLDGGP >DRNTG_24661.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6995153:6997711:-1 gene:DRNTG_24661 transcript:DRNTG_24661.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREMLRKRSSSFFSVPGLFVGFSTKGQSDCDSAKSPTSPLDYKLFSNLRSSRSSYLDTHYPKSWDCSKVGLGLVDSLSDDCGIPSGGSENRNIVFGSQMKINIPNPMKESSALDDAPKSLPKDYGIPSQTEFKPVELGKICSCSLVRGGINSSSNFSGSLPINFGSSHGLIASLSASEIELSEDYTCIISHGPNPKTTHIFGDCILESHPSVSPRLMNKDLGVGGRSSSLLKCSEDLPPHSPDDFLSYCFSCNKKLEGKDIYIYRGEKAFCSCDCREQEILIEQEMEKPVTDSSDSLSSSFHDEIFPEGMMTT >DRNTG_24661.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6995299:6997711:-1 gene:DRNTG_24661 transcript:DRNTG_24661.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRREMLRKRSSSFFSVPGLFVGFSTKGQSDCDSAKSPTSPLDYKLFSNLRSSRSSYLDTHYPKSWDCSKVGLGLVDSLSDDCGIPSGGSENRNIVFGSQMKINIPNPMKESSALDDAPKSLPKDYGIPSQTEFKPVELGKICSCSLVRGGINSSSNFSGSLPINFGSSHGLIASLSASEIELSEDYTCIISHGPNPKTTHIFGDCILESHPSVSPRLMNKDLGVGGRSSSLLKCSEDLPPHSPDDFLSYCFSCNKKLEGKDIYIYRGEKAFCSCDCREQEILIEQEMEKPVTDSSDSLSSSFHDEIFPEGMMTT >DRNTG_01280.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:10314398:10316753:-1 gene:DRNTG_01280 transcript:DRNTG_01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFHLYAPHHIKAIPKITIIPSTKSTTLSYPTTTTTTTTTTIIKNKAHTFGVGALRSNNGVKPLEPSSEVNETPLKIAIIGFGNFGQFIAKGIQRQGHAVLAFSRSDYSEYCDQNGIKFFKTLEGLCNEEPDIVLVCSSILSTESVMLGIPFHKLKPDTIFADVLSVKQYPRNLFLEVLPPEFGIVCTHPMFGPESGRHGWGTLPFVYDEVRILKGSTQAQKCAQFLSIFQKEGCRMVEMSCEEHDRHAAGTQFITHTIGRILSQLNLESTPINTKGYETLLQLTENTVSDSFDLYYGLFMYNVNATEQIDNLDKAFEIVKQKLFGRLHGILRKQIVERVPMQRFQRSIPDGKPASYFLPDNKKMNGFSSFAMPPEPAEKLSKEQEDEKDKATISSRFPF >DRNTG_03076.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28309340:28310361:-1 gene:DRNTG_03076 transcript:DRNTG_03076.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVNLIIGMRFVPRDDELLLLLYNKATSMLEIPQQFNVIIDANVYDTEPWNLRKDTLYKLENEVYYFSVVKRKSRQRKNNILERTAGNGYW >DRNTG_28768.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24231732:24237918:-1 gene:DRNTG_28768 transcript:DRNTG_28768.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTSIQFGRVHGDDRFYNAAKARRNPHHYPFRPRSSASSSSGAGSSAASTVSNGREPENRTGSEPQSKATAVLSSPSGPVAPSRCNLDRFLESTVPSVPAQYLSKTRMRGRRTCDVEFPPYFALADLWESFKEWSAYGAGVPLLLNGSDSVVQYYVPYLSGIQLYTESSSPAASSSRPGEESDGDNCRDSSSDGSSDYEHQRCMKYSRNWNQKDFGSAFAFRMDRVSIRDNNGASHEEFSSDDGETGNFQGHLLFEYLEHNPPFTREPLADKISDLACRCPELKTLKSSDLSPASWMSVAWYPIYRIPTGPTLQDLDACFLTFHYLSTPMKAVGNAPGPIVTHPQGVDGVPKISLPSFGLSCYKLKGSIWTATGVYESQFPDSLFQAADDWLRRRQVNHPDYQFFASRGVIPR >DRNTG_28768.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24232009:24237918:-1 gene:DRNTG_28768 transcript:DRNTG_28768.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTSIQFGRVHGDDRFYNAAKARRNPHHYPFRPRSSASSSSGAGSSAASTVSNGREPENRTGSEPQSKATAVLSSPSGPVAPSRCNLDRFLESTVPSVPAQYLSKTRMRGRRTCDVEFPPYFALADLWESFKEWSAYGAGVPLLLNGSDSVVQYYVPYLSGIQLYTESSSPAASSSRPGEESDGDNCRDSSSDGSSDYEHQRCMKYSRNWNQKDFGSAFAFRMDRVSIRDNNGASHEEFSSDDGETGNFQGHLLFEYLEHNPPFTREPLADKISDLACRCPELKTLKSSDLSPASWMSVAWYPIYRIPTGPTLQDLDACFLTFHYLSTPMKAVGNAPGPIVTHPQGVDGVPKISLPSFGLSCYKLKGSIWTATGVYESQFPDSLFQAADDWLRRRQVNHPDYQFFASRGVIPR >DRNTG_13868.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4767924:4768637:-1 gene:DRNTG_13868 transcript:DRNTG_13868.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 24 [Source:Projected from Arabidopsis thaliana (AT5G63950) UniProtKB/Swiss-Prot;Acc:Q8W103] MKELTVVGLAEKIRDYSGASQKLREYELQHILKEGGVLLTTYDIVRNNYKSIRADWYAHEESDEGILWDYTILDE >DRNTG_13868.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4753279:4768637:-1 gene:DRNTG_13868 transcript:DRNTG_13868.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 24 [Source:Projected from Arabidopsis thaliana (AT5G63950) UniProtKB/Swiss-Prot;Acc:Q8W103] MKELTVVGLAEKIRDYSGASQKLREYELQHILKEGGVLLTTYDIVRNNYKSIRADWYAHEESDEGILWDYTILDEGHIIKNPNTQRAKSLLEVPSPHRIVISGTPIQNNLKELWALFNFCCPEILGDKNEFKIRYELPILRGNDKNASDREKHVGSTVAKELRNRIKPHFLRRLKTEVFPEDDTAKGAKLSKKNEIIVWLKLTSCQRQLYEAFLKSEMVHSSISGSPLAALTILKKICDHPLLLTKKGAEGILEGMDSMLNREELGMVEEMATSLANMNDNKALQLDHNVSCKINFILSLLKNLVEEGHTMLIFSQTRKMLNLIQEAIILEGYKFIRIDGTTKVSERERIVKDFQEGLGAPIFLLTSQVGGLGLTLTKADRVIVVDPAWNPSMDNQSVDRAYRIGQLKDVIVYRLMTCGTIEEKIYKMQVFKGSLFKTATEHKEQTRYFSQKDIRELFSLPEQGFDVSLTQQQLNEEHDRQHIMDETFTNHLKFLQRQGIAGVSHHSLLFSKAATTPFVQENLEVESKPRNRVVRSSSRAYVEPDIKGAQYAFNPKDERSFSRPNFTASLNEGRDSPELIEERIKRLSQTLGNKALVLKLPDRGDKIRKQMSELNLQLIKIRDSPPVHDTEKTQTVEVIDLDSLSEDLQRVVSV >DRNTG_18449.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11285364:11298338:1 gene:DRNTG_18449 transcript:DRNTG_18449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGLLDTIRGAEKASIPPPLVIEMLSDCSRASTDTSGDRGTSCGRGATPSMHVFSISSL >DRNTG_03046.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5563115:5563901:1 gene:DRNTG_03046 transcript:DRNTG_03046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHSDCSKEYSKSRPDKNFEANQSNIARHVDI >DRNTG_16510.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14395146:14397160:1 gene:DRNTG_16510 transcript:DRNTG_16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRCRNSTVMAELQNDNLISKPTRHCIVLDIEGTTTPISFVTDVLFPYARDNVQKHLMLTYGSQETKDDINLLRAQVRIQFLPFFLPTNNMASTTHKIMSRKLSFRVARHRYKC >DRNTG_16510.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14395352:14397160:1 gene:DRNTG_16510 transcript:DRNTG_16510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRCRNSTVMAELQNDNLISKPTRHCIVLDIEGTTTPISFVTDVLFPYARDNVQKHLMLTYGSQETKDDINLLRAQVRIQFLPFFLPTNNMASTTHKIMSRKLSFRVARHRYKC >DRNTG_16510.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14396368:14397160:1 gene:DRNTG_16510 transcript:DRNTG_16510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQNDNLISKPTRHCIVLDIEGTTTPISFVTDVLFPYARDNVQKHLMLTYGSQETKDDINLLRAQVRIQFLPFFLPTNNMASTTHKIMSRKLSFRVARHRYKC >DRNTG_06294.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20776925:20777600:1 gene:DRNTG_06294 transcript:DRNTG_06294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILDHVSELFSFEHNHHSKKRKQLQTVEIKVKMDCEGCERRVKKAVKDMKGVNQVEVNPKQNKLTVTGYVEPKKVLKRVRNKTGKHAEVWPYVPYDVVYHPYVAGAYDKKAPPGYVRNVLDDPKVSNLARASSMEERYSTAFSDENPNACTVM >DRNTG_02158.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29087734:29093790:1 gene:DRNTG_02158 transcript:DRNTG_02158.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVNHISLICTIRADLKNACSGHTHVAEKMNIPIHIIFTMPWTPTSEFPHPLSRVKQPAAYRFSYQIVDSMIWLGIRDIINDFRKKKLKLRPVTYLSGSQGSASDKPHAYIWSPNLVPKPEDWGPKIDVVGFCFLDLASNYEPPESLVRWIEDGEKPIYIGFGSLPVQEPEQMTQIIVDALKWTGQRGIINKGWGGLGNLKEPKEFVYLLDNVPHDWLFLHCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGVGPPPIPVDQFTLPKLINAIKFMLDPEVKERAVELAKAIESEDGVTGAVKAFLKHLPPQLPPHPELPIPKSRRRPHSVFHSLTHCLRFS >DRNTG_02158.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29087734:29093790:1 gene:DRNTG_02158 transcript:DRNTG_02158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGTGAGETLVVDVPSSSSSSVSDFKGLPRSNTAPVKAYNTARLEASTSNSNLERSITERQRLAKALPNPLSKLFNDKISTKKKHRMLTRIATVKNDGTVEVELPSSVEPPFEPRSPYGNGDVVDEEELVDFRYMRPLQIAILIVGTRGDVQPFVAIGKLLQHYGHRVRLATHANFKEFVLTAGLEFYPLGGDPKVLAEYMVKNKGFLPSAPSEIPIQRKQIKEIIYSLLPACEDPDLDSGIAFKAEAIIANPPAYGHTHVAEKMNIPIHIIFTMPWTPTSEFPHPLSRVKQPAAYRFSYQIVDSMIWLGIRDIINDFRKKKLKLRPVTYLSGSQGSASDKPHAYIWSPNLVPKPEDWGPKIDVVGFCFLDLASNYEPPESLVRWIEDGEKPIYIGFGSLPVQEPEQMTQIIVDALKWTGQRGIINKGWGGLGNLKEPKEFVYLLDNVPHDWLFLHCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGVGPPPIPVDQFTLPKLINAIKFMLDPEVKERAVELAKAIESEDGVTGAVKAFLKHLPPQLPPHPELPIPKSRRRPHSVFHSLTHCLRFS >DRNTG_02158.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29087734:29093790:1 gene:DRNTG_02158 transcript:DRNTG_02158.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNKGFLPSAPSEIPIQRKQIKEIIYSLLPACEDPDLDSGIAFKAEAIIANPPAYGHTHVAEKMNIPIHIIFTMPWTPTSEFPHPLSRVKQPAAYRFSYQIVDSMIWLGIRDIINDFRKKKLKLRPVTYLSGSQGSASDKPHAYIWSPNLVPKPEDWGPKIDVVGFCFLDLASNYEPPESLVRWIEDGEKPIYIGFGSLPVQEPEQMTQIIVDALKWTGQRGIINKGWGGLGNLKEPKEFVYLLDNVPHDWLFLHCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGVGPPPIPVDQFTLPKLINAIKFMLDPEVKERAVELAKAIESEDGVTGAVKAFLKHLPPQLPPHPELPIPKSRRRPHSVFHSLTHCLRFS >DRNTG_07062.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3879106:3879875:1 gene:DRNTG_07062 transcript:DRNTG_07062.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homologous-pairing protein 2 homolog [Source:Projected from Arabidopsis thaliana (AT1G13330) UniProtKB/Swiss-Prot;Acc:Q9FX64] MEEKLEKLRTGIVLVKPEDKKATEEAYREKINQWRKRKRIFKELWDTLTDNSPKDLKEFKEELGIEFDEDVGVSLQLYGDLMTSRKRKRAS >DRNTG_07062.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3877620:3879875:1 gene:DRNTG_07062 transcript:DRNTG_07062.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homologous-pairing protein 2 homolog [Source:Projected from Arabidopsis thaliana (AT1G13330) UniProtKB/Swiss-Prot;Acc:Q9FX64] MAPKSESVEGIVLSYVNEQNRPLNSQNVADALQKFKLKKTAIQKALDTLSDSGQISFKEYGKQKIYLARQDQFNILNSEELDQMKKANSKLQAELEVQKKAIVEVEAENRALQSNLTLEEIRTKESKLKAEVSLMEEKLEKLRTGIVLVKPEDKKATEEAYREKINQWRKRKRIFKELWDTLTDNSPKDLKEFKEELGIEFDEDVGVSLQLYGDLMTSRKRKRAS >DRNTG_07062.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3879106:3879545:1 gene:DRNTG_07062 transcript:DRNTG_07062.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homologous-pairing protein 2 homolog [Source:Projected from Arabidopsis thaliana (AT1G13330) UniProtKB/Swiss-Prot;Acc:Q9FX64] MEEKLEKLRTGIVLVKPEDKKATEEAYREKINQWRKRKRIFKELWDTLTDNSPKDLKEFK >DRNTG_11387.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000505.1:43925:48521:1 gene:DRNTG_11387 transcript:DRNTG_11387.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGRPISQEAFDALVAENISDLFMDPEEALEDAVQTLTLQGVHLSGIVKCVPGVNRVEDNPVMRSLGGLKKMSGRLECSVLESSDIDEMVEFFDEICRLCSSGADNASILMKNGGIELLVSFCGACCREDRDTCHDGSERILISALRAMSSVLRDFQSREAFWRGDGPKITMDILRSSDDPNLLDCGFSVVVAAATGNEIVKEAFVELTIEELFLDILRGQPKGAVPNLYDAIRVLLTPDDNRVAASQVYGYARRFAKIGIADALLDAFHEGIGSSGLLSSCIALKAIAVNDEICRSISSKGGIDVILQCIDDSSVYNNKAVAKACCSLLSKLAGSDANKNAIVQKNGLDRLIKLSSKFYDDPSVLQEIMSIISVLSLRSPENASRALEAGAGDLAIQAMQKFPAAYQMQRQACYMIRNLVARNPENRTILLSNGIEKIIRRVKGSHESCKEAATDALRDIGLDDYNA >DRNTG_12311.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29608818:29609714:1 gene:DRNTG_12311 transcript:DRNTG_12311.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKYSEGQYFRRRTHRLLDSRDNELISMLDDSEILVLSTSMLSVLLFYTTSIYHICFCLSIVTLLLFMSCNLKSSVDSNRERD >DRNTG_04676.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30376160:30380457:-1 gene:DRNTG_04676 transcript:DRNTG_04676.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3G53570 protein [Source:Projected from Arabidopsis thaliana (AT3G53570) UniProtKB/TrEMBL;Acc:B9DH08] METQWVTEPPHAAMDRRPRKRPRLTWDMPPAIPPPKGPGSLYSGQKFVIGAGSNYVFPTYYYPPAPRYASPPWRGDDKDGHYVFAIGENLTSRYKILSKMGEGTFGQVLECWDMERRELVAIKIVRSIHKYREAAMIEIDVLQKLARHDIGGKRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNSYRSFPIDLVRDLGRQLLESVAFMHNLRLIHTDLKPENILLVSSECIKIPDYRFLSRPAKDGSIFKNLPKCSAIKLIDFGSTTFEHQDHSYVVSTRHYRAPEIILGLGWNYPCDMWSIGCILVELCSGEALFQTHENLEHLAMMERVLGPLPHHMVSRADRHAEKYFRRGMRLDWPGGATSRESMRAVWKLPRLQNLVMQHVDHSAGELIDLLQGLLRYDPAERLKAHEALQHPFFIRGVRRYV >DRNTG_09545.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22733517:22735194:1 gene:DRNTG_09545 transcript:DRNTG_09545.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAKEKEMVEIQKRKRNDGGGTPRKRLQVGEAGPPPLVQRPRKTESEKAFRKVQTRTRRLTIASCTSPGASTTNFLAPHSSFIWYDVDTWTEVAKYLDGKSLVSLGLSNRWFHHLIMQESIWRYAYLRDLQVPLSLRPLPFHWSNLYASAFDGSHSYKFRQRERHIDWMRIGAFFFDSPAALLMETLALPRKVPEAGHDPQKSVMASGAFVLTNIRTGIWIADLQLVRCPSLQP >DRNTG_09545.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22733517:22735803:1 gene:DRNTG_09545 transcript:DRNTG_09545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAKEKEMVEIQKRKRNDGGGTPRKRLQVGEAGPPPLVQRPRKTESEKAFRKVQTRTRRLTIASCTSPGASTTNFLAPHSSFIWYDVDTWTEVAKYLDGKSLVSLGLSNRWFHHLIMQESIWRYAYLRDLQVPLSLRPLPFHWSNLYASAFDGSHSYKFRQRERHIDWMRIGAFFFDSPAALLMETLALPRKVPEAGHDPQKSVMASGAFVLTNIRTGIWIADLQLVRCPSLQP >DRNTG_16569.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19482921:19484044:-1 gene:DRNTG_16569 transcript:DRNTG_16569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRLVNMRPELRRSSPFHPIVQPKERGGPIPSDGD >DRNTG_12613.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20439896:20443485:1 gene:DRNTG_12613 transcript:DRNTG_12613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNITGGSFSSSGNIINGDQDDDQQHPKTLINNGTSSSQQSQQTPPPAPPPPSPAVKKKRNLPGTPDPTAEVIALSPTTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRTSTEIKKRVYICPEVTCVHHNPNRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKTCGTKEYKCDCGTIFSRRDSFITHRAFCDALTEENNNNNNKGLLVPLPLQIPIPTENANNNTNKKQHHHATLMAEFSPDLKNHLMSMPLIKPLTMAAAGPSSMIFSPHTDSSPPSSASLQLGGSHSSAHMSATVLLQKAAQMGAMAASENIIRSPPPHMLQNRSSSGMGMAGMAGLDMRNLGVFHDQTNMKAGGEGGHRAVVLWW >DRNTG_18069.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:213620:215024:1 gene:DRNTG_18069 transcript:DRNTG_18069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMQQGLTADHYTYPLVLKACSALFDIEQGREIRNSIQFHAVHDGAKPNIYVQCALIDMFAKCGSLDDARTVFEEMPERDLVSWSSMIGGTVQQGEWSEALSLFRRMRLEDFGLDSVILAIVIPACGRLADVRLGMGMHCCAIKCGFNDYLCVSNALIDMYCKCGHSDMGRYLFRLLDCKDIVSWSSIIAGHSQNFEYVESLALFLEMLSLHVRPSPVTIASVLPGFSEFKLINKGKEIHSYAIRHGYEFDHFVASALIDLYCQCGLMREAESIFEIMSDSDIAIVNSLITGYALKEDIESAFETLRVIRRANLRPNAVTIITLLPLCNRLTMLSHGKELHGYVIRGGLQSAVSVSNSLID >DRNTG_19923.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5907075:5910750:-1 gene:DRNTG_19923 transcript:DRNTG_19923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPFLKLPSTPLHHPIPTPPLLPLHPYPSLLSFPLLPKPHQLHLSTTATTKDDSSLTSLLSDLQDDPLIDTDEFTTRSLSPSHHHHLPSRWPELHGEQDWSGLLDPIDPLLRSELIRYGEFSQACYDAFDFDPFSRYCGSSKYPRRKFFSSLAMDSYGYEVSRFLYATSNINLPNFFSRSRWDRTWSERANWIGYIAVSSDATTALLGRRDIVIAWRGTVTKLEWIADLMDFLRPISSVGIPCPDPSVKVESGFVDLYTDKDPSCRFCKYSAREQVLAEVKKLIDHYAVKNGEEVSISVTGHSLGSALAMLSAYDLVETGVSGGKTVCVFSFSGPRVGNRAFKERFEGLGLKALRVVNVHDTVPKVPGILFNERVPALVQRLAEGLPWSYCHVGVELALDHKNSPFLKDTGDPSCFHNLEAHLHLLDGYHGKGQRFVLASGRDPALINKACDFLKDHHMVPPYWRQDLNKGMVRSGDGRWVQPERQKMDEHPPDMYHRLMQLGLNLDH >DRNTG_24076.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26423464:26428209:-1 gene:DRNTG_24076 transcript:DRNTG_24076.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLREHLILWEASRISQIVIKTTKMSYDSHRGNYFEEKSVETPLLLDNPNRNVPDYGALILHTALNTPHVLVEDKQDDHPATTTQPREEALPPLQIPQNEQEEEDQQLEEEEEEEEEEEEAVAPDAINFDANGVMFMFAQRDMGMSMLGRTKEMGKIKASEVAEASNQAQRQEEAQKKMLSRAQDTILKMMIKIMDECNAKGFVYGIITEDGKAINACSESLREWWKEKVRFERNASLAINKYNERICAIDKQVDGHEEDQLVKEHDLQQLQDSTLGSILSALIQHCDPPQRKFPLDKGIAPPWWPTGRETWWPPMNLPENMESPPFKKPHDLKKMFKVAVLTAVIKHLMPDIEKINILVWHSKCLQDRMSYKESTIWHEILNQELNLYKQQNPNAFIPTPLETISDALSQDQYDVYMDKSDEELDDGVIIRVGRQQGEKWRQSLPAACEAGEGSGTKYVYTCENWQCLHHDPNNGFITLNARNNHQMVCTYRKFTSPSIPITSFLHNTMNGNNVFTNKPFHHSQDQHVQQQVMQQDQQQLQLHIHPRSAMANGPHFHSISNMGFNFSGHQVPVEVSPPHYHHQQQRQLLQQHHLQIGSSFEVGNSSNSIQEQELGVQPFTADFAEMIEENLDMDLLSQHDPLSSNFGFRLESHDFHQSSNIEKKHDTQLDLFDFTGDFGVSFDLPTVSSPIPLLSNQTEN >DRNTG_17308.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31874211:31876532:1 gene:DRNTG_17308 transcript:DRNTG_17308.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exosome complex component RRP41 homolog [Source:Projected from Arabidopsis thaliana (AT3G61620) UniProtKB/Swiss-Prot;Acc:Q9SP08] MEFVNPLTGFRLDGRRPNEMRHIRGEVGVVANADGSAMFEMGNTKVIAAVYGPREVQNKSQQLNNQALVRCEYSMANFSTGDRTRRPKGDRRSTEISLVIRQSLEASILTHLMPRSQIDIFVQVLQADGGTRSACINAATIALADAGIPMRDLVTSCSAGYLCTTPLLDLNYVEDSAGGPDVTVGILAKTEKVTLLQMDAKLPMDIFENVMQLAVEGCKAVAQYIREVLLENTKQLESRRGM >DRNTG_17308.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31874361:31876532:1 gene:DRNTG_17308 transcript:DRNTG_17308.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exosome complex component RRP41 homolog [Source:Projected from Arabidopsis thaliana (AT3G61620) UniProtKB/Swiss-Prot;Acc:Q9SP08] MRHIRGEVGVVANADGSAMFEMGNTKVIAAVYGPREVQNKSQQLNNQALVRCEYSMANFSTGDRTRRPKGDRRSTEISLVIRQSLEASILTHLMPRSQIDIFVQVLQADGGTRSACINAATIALADAGIPMRDLVTSCSAGYLCTTPLLDLNYVEDSAGGPDVTVGILAKTEKVTLLQMDAKLPMDIFENVMQLAVEGCKAVAQYIREVLLENTKQLESRRGM >DRNTG_22576.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14464545:14467286:1 gene:DRNTG_22576 transcript:DRNTG_22576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAIEGGAKRMIVLKSSDGELFEVEEAVAMESQTIKHMIEDDCAENGIPLPNVTSKILSKVIEYCKRHVESVVKGAAEDKTVDEDLKLWDADFVKVDQATLFDLILAANYLNIKSLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEIRRENQWAFE >DRNTG_31939.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19464400:19468260:1 gene:DRNTG_31939 transcript:DRNTG_31939.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNCEVCQLKELVVEPFEIREVLRCILHTIVFHRALGLVRPKDVDSELFEITYVQCGDAELEKKIEEKIDHFIGWVDKHPNRKSQVCLSFYEVKSKQPTWFSNKIERLHWEEWYVNLHVVNSKGHGKFRQNKAAVDHGENSVEERSSRRAVLETSLRDVLFQIIKFVNERKDHIPQVSNSEVISFPYEITIPRLNCIFPIKNK >DRNTG_31939.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19464400:19468260:1 gene:DRNTG_31939 transcript:DRNTG_31939.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNCEVCQLKELVVEPFEIREVLRCILHTIVFHRALGLVRPKDVDSELFEITYVQCGDAELEKKIEEKIDHFIGWVDKHPNRKSQVCLSFYEVKSKQPTWFSNKIERLHWEEWYVNLHVVNSKGHGKFRQNKAAVDHGENSVEERSSRRAVLETSLRDVLFQIIKFVNERKDHIPQVSNSEVISFPYEITIPRLNCIFPIKNK >DRNTG_31939.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19464400:19468260:1 gene:DRNTG_31939 transcript:DRNTG_31939.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCEVCQLKELVVEPFEIREVLRCILHTIVFHRALGLVRPKDVDSELFEITYVQCGDAELEKKIEEKIDHFIGWVDKHPNRKSQVCLSFYEVKSKQPTWFSNKIERLHWEEWYVNLHVVNSKGHGKFRQNKAAVDHGENSVEERSSRRAVLETSLRDVLFQIIKFVNERKDHIPQVSNSEVISFPYEITIPRLNCIFPIKNK >DRNTG_31939.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19464400:19468260:1 gene:DRNTG_31939 transcript:DRNTG_31939.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNCEVCQLKELVVEPFEIREVLRCILHTIVFHRALGLVRPKDVDSELFEITYVQCGDAELEKKIEEKIDHFIGWVDKHPNRKSQVCLSFYEVKSKQPTWFSNKIERLHWEEWYVNLHVVNSKGHGKFRQNKAAVDHGENSVEERSSRRAVLETSLRDVLFQIIKFVNERKDHIPQVSNSEVISFPYEITIPSSSDSSFGWHTDMFKRMLQTGHPNMLS >DRNTG_31939.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19464400:19468260:1 gene:DRNTG_31939 transcript:DRNTG_31939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCEVCQLKELVVEPFEIREVLRCILHTIVFHRALGLVRPKDVDSELFEITYVQCGDAELEKKIEEKIDHFIGWVDKHPNRKSQVCLSFYEVKSKQPTWFSNKIERLHWEEWYVNLHVVNSKGHGKFRQNKAAVDHGENSVEERSSRRAVLETSLRDVLFQIIKFVNERKDHIPQVSNSEVISFPYEITIPSSSDSSFGWHTDMFKRMLQTGHPNMLS >DRNTG_31939.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19464400:19468260:1 gene:DRNTG_31939 transcript:DRNTG_31939.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNCEVCQLKELVVEPFEIREVLRCILHTIVFHRALGLVRPKDVDSELFEITYVQCGDAELEKKIEEKIDHFIGWVDKHPNRKSQVCLSFYEVKSKQPTWFSNKIERLHWEEWYVNLHVVNSKGHGKFRQNKAAVDHGENSVEERSSRRAVLETSLRDVLFQIIKFVNERKDHIPQVSNSEVISFPYEITIPRLNCIFPIKNK >DRNTG_32495.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20990394:20992457:-1 gene:DRNTG_32495 transcript:DRNTG_32495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWFDMDLSSQPYKTLKIHPKDITNVAFHRSYPLFASCSDDCTAYVFHGMVYSDLNQNPLIVPLEILRGHTSSNGRGVLDCKFHPRQPWLFTAGADSVIKLYCH >DRNTG_24023.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8686810:8687438:-1 gene:DRNTG_24023 transcript:DRNTG_24023.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:SS3 [Source:Projected from Arabidopsis thaliana (AT1G11720) UniProtKB/TrEMBL;Acc:A0A178W4M9] MLADVLICDWILRAISAWYNGREWFNSLCKRVMEQDWSWNRPALDYIELYRSTKE >DRNTG_24023.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8686810:8687315:-1 gene:DRNTG_24023 transcript:DRNTG_24023.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:SS3 [Source:Projected from Arabidopsis thaliana (AT1G11720) UniProtKB/TrEMBL;Acc:A0A178W4M9] MLADVLICDWILRAISAWYNGREWFNSLCKRVMEQDWSWNRPALDYIELYRSTKE >DRNTG_24023.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8686750:8687438:-1 gene:DRNTG_24023 transcript:DRNTG_24023.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:SS3 [Source:Projected from Arabidopsis thaliana (AT1G11720) UniProtKB/TrEMBL;Acc:A0A178W4M9] MLADVLICDWILRAISAWYNGREWFNSLCKRVMEQDWSWNRPALDYIELYRSTKE >DRNTG_24023.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8694648:8737963:-1 gene:DRNTG_24023 transcript:DRNTG_24023.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SS3 [Source:Projected from Arabidopsis thaliana (AT1G11720) UniProtKB/TrEMBL;Acc:A0A178W4M9] MIKDGDWWCAEVFIPDRALVLDWVFADGPPQKANMYDNNDRLDFHAVVPKSISEELFWVEEEHKIFKKLQVERKLKEEAVLHKAQKTARMKAETKERTRKMFLLSQKHIVYTEPLIIQAGTEVSVFYNPLNTVLSGKSEVWFRCSFNRWTHHFGPLPPKKMLPSDVGSYLKVTVKVPLDAYMIDFVFSEKEDGGLFDNKHGVDYHFPVYGGVIKEPPMHVVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLGHTVDIIMPKYDCMNLSNVKDFHHSRNFSWGGTEIKVWFGRVEGLSVYFLEPQNGMFWVGCIYGRNNDADRFGFFCHAALEYLLQTGSHPDILHCHDWSSAPVAWLFKEHYMHYGLNSARVVFTIHNLEFGAPFIGKAMAHADKATTVSHTYAKEVSGNALISPHLHKFHGILNGIDPDIWDPYNDNFIPVSYTSENVVDGKKAAKVALQQRLGLSQSDLPLVGVISRLTFQKGIHLIKHAVWRTLEQHGQLVLLGSAPDPRIQNEFVDFANQLHSSHAGSVKLCLTYDEPLSHLIYAGSDFILVPSIFEPCGLTQLIAMRYGAIPIVRKTGGLYDTVFDVDNDKDRAQTQGLEPNGFNFEGTDSGGLDYALNRQALDIVSLSFTVEVHLIYLLLHYAIITILFCLVFKILNLHIWFVKIMIRFLINPIKVDSRI >DRNTG_24023.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8686750:8687315:-1 gene:DRNTG_24023 transcript:DRNTG_24023.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:SS3 [Source:Projected from Arabidopsis thaliana (AT1G11720) UniProtKB/TrEMBL;Acc:A0A178W4M9] MLADVLICDWILRAISAWYNGREWFNSLCKRVMEQDWSWNRPALDYIELYRSTKE >DRNTG_24023.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8686750:8689084:-1 gene:DRNTG_24023 transcript:DRNTG_24023.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:SS3 [Source:Projected from Arabidopsis thaliana (AT1G11720) UniProtKB/TrEMBL;Acc:A0A178W4M9] MLADVLICDWILRAISAWYNGREWFNSLCKRVMEQDWSWNRPALDYIELYRSTKE >DRNTG_24023.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8694648:8737963:-1 gene:DRNTG_24023 transcript:DRNTG_24023.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SS3 [Source:Projected from Arabidopsis thaliana (AT1G11720) UniProtKB/TrEMBL;Acc:A0A178W4M9] MIKDGDWWCAEVFIPDRALVLDWVFADGPPQKANMYDNNDRLDFHAVVPKSISEELFWVEEEHKIFKKLQVERKLKEEAVLHKAQKTARMKAETKERTRKMFLLSQKHIVYTEPLIIQAGTEVSVFYNPLNTVLSGKSEVWFRCSFNRWTHHFGPLPPKKMLPSDVGSYLKVTVKVPLDAYMIDFVFSEKEDGGLFDNKHGVDYHFPVYGGVIKEPPMHVVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLGHTVDIIMPKYDCMNLSNVKDFHHSRNFSWGGTEIKVWFGRVEGLSVYFLEPQNGMFWVGCIYGRNNDADRFGFFCHAALEYLLQTGSHPDILHCHDWSSAPVAWLFKEHYMHYGLNSARVVFTIHNLEFGAPFIGKAMAHADKATTVSHTYAKEVSGNALISPHLHKFHGILNGIDPDIWDPYNDNFIPVSYTSENVVDGKKAAKVALQQRLGLSQSDLPLVGVISRLTFQKGIHLIKHAVWRTLEQHGQLVLLGSAPDPRIQNEFVDFANQLHSSHAGSVKLCLTYDEPLSHLIYAGSDFILVPSIFEPCGLTQLIAMRYGAIPIVRKTGGLYDTVFDVDNDKDRAQTQGLEPNGFNFEGTDSGGLDYALNRQALDIVSLSFTVEVHLIYLLLHYAIITILFCLVFKILNLHIWFVKIMIRFLINPIKVDSRI >DRNTG_24023.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8686810:8737963:-1 gene:DRNTG_24023 transcript:DRNTG_24023.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SS3 [Source:Projected from Arabidopsis thaliana (AT1G11720) UniProtKB/TrEMBL;Acc:A0A178W4M9] MIKDGDWWCAEVFIPDRALVLDWVFADGPPQKANMYDNNDRLDFHAVVPKSISEELFWVEEEHKIFKKLQVERKLKEEAVLHKAQKTARMKAETKERTRKMFLLSQKHIVYTEPLIIQAGTEVSVFYNPLNTVLSGKSEVWFRCSFNRWTHHFGPLPPKKMLPSDVGSYLKVTVKVPLDAYMIDFVFSEKEDGGLFDNKHGVDYHFPVYGGVIKEPPMHVVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLGHTVDIIMPKYDCMNLSNVKDFHHSRNFSWGGTEIKVWFGRVEGLSVYFLEPQNGMFWVGCIYGRNNDADRFGFFCHAALEYLLQTGSHPDILHCHDWSSAPVAWLFKEHYMHYGLNSARVVFTIHNLEFGAPFIGKAMAHADKATTVSHTYAKEVSGNALISPHLHKFHGILNGIDPDIWDPYNDNFIPVSYTSENVVDGKKAAKVALQQRLGLSQSDLPLVGVISRLTFQKGIHLIKHAVWRTLEQHGQLVLLGSAPDPRIQNEFVDFANQLHSSHAGSVKLCLTYDEPLSHLIYAGSDFILVPSIFEPCGLTQLIAMRYGAIPIVRKTGGLYDTVFDVDNDKDRAQTQGLEPNGFNFEGTDSGGLDYALNRAISAWYNGREWFNSLCKRVMEQDWSWNRPALDYIELYRSTKE >DRNTG_24023.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8694648:8737963:-1 gene:DRNTG_24023 transcript:DRNTG_24023.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SS3 [Source:Projected from Arabidopsis thaliana (AT1G11720) UniProtKB/TrEMBL;Acc:A0A178W4M9] MIKDGDWWCAEVFIPDRALVLDWVFADGPPQKANMYDNNDRLDFHAVVPKSISEELFWVEEEHKIFKKLQVERKLKEEAVLHKAQKTARMKAETKERTRKMFLLSQKHIVYTEPLIIQAGTEVSVFYNPLNTVLSGKSEVWFRCSFNRWTHHFGPLPPKKMLPSDVGSYLKVTVKVPLDAYMIDFVFSEKEDGGLFDNKHGVDYHFPVYGGVIKEPPMHVVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLGHTVDIIMPKYDCMNLSNVKDFHHSRNFSWGGTEIKVWFGRVEGLSVYFLEPQNGMFWVGCIYGRNNDADRFGFFCHAALEYLLQTGSHPDILHCHDWSSAPVAWLFKEHYMHYGLNSARVVFTIHNLEFGAPFIGKAMAHADKATTVSHTYAKEVSGNALISPHLHKFHGILNGIDPDIWDPYNDNFIPVSYTSENVVDGKKAAKVALQQRLGLSQSDLPLVGVISRLTFQKGIHLIKHAVWRTLEQHGQLVLLGSAPDPRIQNEFVDFANQLHSSHAGSVKLCLTYDEPLSHLIYAGSDFILVPSIFEPCGLTQLIAMRYGAIPIVRKTGGLYDTVFDVDNDKDRAQTQGLEPNGFNFEGTDSGGLDYALNRQALDIVSLSFTVEVHLIYLLLHYAIITILFCLVFKILNLHIWFVKIMIRFLINPIKVDSRI >DRNTG_15622.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20912569:20915968:-1 gene:DRNTG_15622 transcript:DRNTG_15622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFDIVKAKEALLSMLKWRKEFGVDAIAKDFKLNEYEKVKKYYPHGFHGVDRNGRPVYIERTGMVELMALLNENSIDDYIKYHISQQEKTLNLRFPACSLAAKKHIASSTTILDVKGVGLNSFSKPARELFTGIQKIDSNYYPDTLNQLFIVNAGSSFVVLWKMLKAFLDARTLSKIHVLGNKFQNKLFEAVDPSNLPEFLGGTCTCSEIGGCLMKDKGPWTNPEISEILKNVERNGEYRDESSSNGLSIGECSGLQEFTDEELNSISKIMLEEVSALERCLDDSKTILQDLKSKQEEIESHIEQLKRLTISVNKSPSD >DRNTG_13887.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2482366:2485712:1 gene:DRNTG_13887 transcript:DRNTG_13887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSAVDLEDVPSVELMTELLRRFKCSSKPDKRLILIGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTPLGIKAKEAMDKGDLVSDDLVVGIIDEAIKKPSCQKGFILDGFPRTVVQAEKLDEMLAKQDTKIDKVLNFAIDDAILEERITGRWIHQASGRTYHTKFAPPKVPGIDDVTGDPLMQRKDDTAEVLKSRLEAFHRQTEPVVDYYTKKGVVAELHAEKPPKEVTAEVQKALA >DRNTG_19870.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001078.1:45183:47035:1 gene:DRNTG_19870 transcript:DRNTG_19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLETANAPPPYCWANVRAAKSSNSIIRAGLDPNKPSIPIEGHATESLLRTRDDVGGDWGTSYGAVIFSPLATSDEAMARQDEERRAMESLERRGEKKERKRRRVEAGEAQRAVATMIVWESQRVTWPSPRTSS >DRNTG_01470.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13373877:13375549:-1 gene:DRNTG_01470 transcript:DRNTG_01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAATVTAAGTQHVLLRPSFNPNPLRSVIIPRSPFKIPSLSISCTAVRSPPASIATKDRLFNFAAGPATLPESVILKAQADLFNYQGCGMSVMEMSHRGKDFDFIIKKAESDLRSLLSISSDYAVIFLQGGATTLFAALPLNLCSPDDSADYVVTGSWSDKACKEAQKFCKAKTIWSGKPDKYTKIPSFDSLEQNSNAKYLHICSNETIHGVEFKDYPTPLNENSILVADMSSNFCSKPVDVSKFGVIYAGAQKNVGPSGVTIAIVRKDLMGSSQPITPVMLDFKTQADNASLYNTPPCFAIYICGLVFEDLLQQGGLVEVEKKNVKKASILYDAIDGSDGFYVCPVEKSVRSMMNVPFTLKKSELEKKFIEEASLEGMVQLKGHRSVGGVRASIYNAMPLAGVEKLVAFMMDFQARHP >DRNTG_34586.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:909283:910706:-1 gene:DRNTG_34586 transcript:DRNTG_34586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALEACLERGWSPSRICCDCPGIINLIENHHPCVAWKFNTEAQKLKCRLLRAFPDISMIAIPREDNNIADELAYFGKLNPLRSLFSRDLICPIWLLELCRARDLFF >DRNTG_24574.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7591367:7592201:1 gene:DRNTG_24574 transcript:DRNTG_24574.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSGDAHHLQAIECERIQATHKSHASHASEETHVSNKTGDK >DRNTG_11458.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18488326:18489452:-1 gene:DRNTG_11458 transcript:DRNTG_11458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVDCLVVFNLGQEPTKIIREALSKALVPYYPVAGEFTFSDQGQLSVSCTRKGVWFIEASVNFPLKDLNMFINHQEFLPQPPLCVDPTDMFIMIQVYFMHGEGYFVVKLIQEAKRSISSEFNAWLSGECETKDKLMSYEKLIVNDWTKIGLRDVDYKWGEPQIVFSVGEIPI >DRNTG_24552.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001308.1:112785:113213:-1 gene:DRNTG_24552 transcript:DRNTG_24552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTARRLGIRTVGVYNDADRESLHVRSADEAVRIGPPPARESYLNASAIVKAAPRTGAQVGDFFFPLCTRSFQCFL >DRNTG_01392.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28279714:28280027:-1 gene:DRNTG_01392 transcript:DRNTG_01392.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSTFGVEISQAHPQGSGKEPQNENRIIGFSRHVKNTPGSRHAKKHTRKKENMREEISKCVFLVHGLGMYL >DRNTG_01831.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13096958:13097215:1 gene:DRNTG_01831 transcript:DRNTG_01831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRKLATTTTRFAGSSPEEITALSKK >DRNTG_21053.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001198.1:15701:21779:-1 gene:DRNTG_21053 transcript:DRNTG_21053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIQRRLLFIVSPCSRALKSSLSVSSFIFGSREGLGMGPFHRSFSTNVMAESGAVDQLRSADVDDKVEMIRRQFEEAKRSFLDIPAALKEMPKMNPEGIYVNKNLKLGDIQVYGFDYDYTLAHYSKNVQSLIYDIAKEYLVNELKYPESCLRFQYDSSFPIRGLSYDRLKGCLLKLDFFGSIEPDGCFFGRHKLSMKKIKEIYGTRHIGRDQAGGLVGLMDLFCFSEACLIADIVQHFVDDQLNFDASNVYQDVNRAIQHVHQSGLVHKKILSDPQKYFMKNGQMLHFLKMLKEKGKKLFLLTNSPFNFVDGGMRFMLQDYGDIRDSWRDLFDVVIARANKPCFYTSEHPFRCYDIQKDTLAFTAVDKFLPDQVYYHGCLKSFLQITKWNGPEVIYFGDHLFSDLRGPSKAGWRTAAIIHELESEIRIQNDENYRFQQAKFHIIQELLGKFHAIVSKCQRGEAHQTLLDELNKERQDARAAMRSMFNSSFGATFLTDTGQESAFSYHIQQYADVYTSKPGNFLLYPPEAWLHVPFDIKIMPHHVKVPSSLLKTREHSQAHCQVSSG >DRNTG_21053.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001198.1:15701:21101:-1 gene:DRNTG_21053 transcript:DRNTG_21053.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIKEIYGTRHIGRDQAGGLVGLMDLFCFSEACLIADIVQHFVDDQLNFDASNVYQDVNRAIQHVHQSGLVHKKILSDPQKYFMKNGQMLHFLKMLKEKGKKLFLLTNSPFNFVDGGMRFMLQDYGDIRDSWRDLFDVVIARANKPCFYTSEHPFRCYDIQKDTLAFTAVDKFLPDQVYYHGCLKSFLQITKWNGPEVIYFGDHLFSDLRGPSKAGWRTAAIIHELESEIRIQNDENYRFQQAKFHIIQELLGKFHAIVSKCQRGEAHQTLLDELNKERQDARAAMRSMFNSSFGATFLTDTGQESAFSYHIQQYADVYTSKPGNFLLYPPEAWLHVPFDIKIMPHHVKVPSSLLKTREHSQAHCQVSSG >DRNTG_22731.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31576853:31578723:-1 gene:DRNTG_22731 transcript:DRNTG_22731.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEADHIDCDLLMDGCGDGDEGKVLEWEIGLPSADDLTPLSQGLITPELASAFSITPEPCRTILDVIRASQSTMSSLQRNSTSSSSSTSVLKSFAPFPSSDAHDQMVLDGDDPVNSKDGETEPSQKARRMDSTVMEDGDSSAIRQDNSIDEHSARTLKRPRLVWTPQLHKRFVDVVAHLGIKSAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSNEGPSSSDHLFASTPVPQSLREQQPVPVPVPMPMPYAVPTMFPMPYGMQHAHHQGHNPVAMVPVNNHHGGGAYQGFDHYGAFGDRGKDCSGGNNFGNIVSYPHATTNDK >DRNTG_29801.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:8173278:8182352:1 gene:DRNTG_29801 transcript:DRNTG_29801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVWIREAIQAGYSSDTVARVLERFYVYDIAHRLEEGYWESFRRHRSGEGYPRPDKGSLATSRGLSTRPSTRPSRKKVGRRASVEAYPIPDEGILGRRVEDFLQDNQHDYRGGFSMDSLLLHSISLILTSVRPRPQPIQFGACGMLYVCKPYLCALLLAPYHLVYGRKPCLAGIGRPHGRGYFTRPCAISTRAWIISTHPCGFSEVPFSRQAVNSAATILFVVLLQCPATISGLNSFPNPYFYRGNTNGHTFTSWITCFFNDRQVGGALVLCA >DRNTG_08611.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22236535:22238238:-1 gene:DRNTG_08611 transcript:DRNTG_08611.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYRGVRKRPWGKYAAEIRDPNRKGSHV >DRNTG_08611.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22236535:22238238:-1 gene:DRNTG_08611 transcript:DRNTG_08611.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYRGVRKRPWGKYAAEIRDPNRKGSHV >DRNTG_08611.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22236535:22238238:-1 gene:DRNTG_08611 transcript:DRNTG_08611.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCCRRKTNHQKVMEVQKITQTIQELEESLLATSAATYTLCEVSQLNVGYQSIHLTINWRSAILVLWKYQSIDHYMQIILITTQIKQQIHSNSKPKI >DRNTG_07593.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14969839:14970253:-1 gene:DRNTG_07593 transcript:DRNTG_07593.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRNKAKVEGSICNAYLVEEASAFCAHYFEPHVKTRHRKVPCNYESGEDPTEQDGILSIFTCPGRPLGKAKTKYLTEEEYSATQMYILLNYPEVQPFINIFVDELQSRNL >DRNTG_07047.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3756194:3769434:-1 gene:DRNTG_07047 transcript:DRNTG_07047.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKTRFFSSSKKSDSSGSSPRTPTRSEKKKSKPSPTAVSAEAASSGSGGGGCRQTFVRDPAKKQPQQQQDQKKDKGFKGEEPAAVVAAAAARSRTAPAKLKKGTVIKDGAEAGSLSPIMASSLGLNRIKTRSGPLPQEGHRSEHRIAVLGTSNLGRSSADGCSTSGSSASKGLGLGSAAGKSSGKKKDGWGLEKVPESHAKEWGGTEVRLGQQLRNGESSAAQMGKIASSWSHSGELRSSAGGKLEAELSYDGCEIPKESESPRFKAIMQATSAPRKRHPTDIKSFSHELNSKGVKPYPFWKPRGLYNLKEVLEVIQVRFEKEKEAVNSELAVFAGELIGIMEKNAEGHPEWKETLEDLLLLARSCVVMTPNEFWLQCEGIVQDLDDRRQEAPMGVLKKLHTRMLFILTRCTRLIQFHKESGFAEDELISDLPEPKIAYSADKKILSRSERDNKDAVSSKGTTDAAASRKSYSQEQHSLKWKTSHEIKPLNFFSPLEVENAKDVDSSPTRDRIASWKPLPSPAVKPQKESSPVEDVLSDRKSEPLQHSTNRGITGELTTNNLPELPSSEDSSVHTSAPSKHQHKISWGYWADQQNISEDDSIMCRICEEYVLTSFVEDHLKICAVADRCDQKGLSVDERLIRIAETLEKMIETYSQKDLYNAGGSPDVATKISNSSVTEESDVLSPKLSDWSRRGSADMLDCLPEAEHTNFLDDLKSLPSMTCKTRFGPKSDQGMATSSAGSMTPRSPLMTPRTNHIDMLLAGRSALSESEDIPQIIELADIARCIARTAVDEELSLSYLVSCVEDLQEVISRRKHEALTVQTFGARIEKLHREKYLQLCDAVDAEKVDATSTVMDEDDDVVRSLRTSPVHPINKERTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARIYIAEVVLALEYLHSLRVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLIDSTDDLAGPAVSGASLLGEDEPQFSETEQLHQRERRKKRSAVGTPDYLAPEILLGTGHGATADWWSVGVVLFELIVGIPPFNAEHPQIIFENILNRKIPWPQVPEEMSFDAQDLIDKLLTEDPNQRLGAKGASEVKKHPFFKDINWDTLARQKAAFVPSSESALDTSYFTSRYSWNPSDQNIYEASEFEDSSDNGSISRSSSCVSNRHDELGDECLGLTEFESSPAVNYSFSNFSFKNLSQLASINYDLLSNKGSKDVLPTNPGS >DRNTG_07047.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3756194:3769434:-1 gene:DRNTG_07047 transcript:DRNTG_07047.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKTRFFSSSKKSDSSGSSPRTPTRSEKKKSKPSPTAVSAEAASSGSGGGGCRQTFVRDPAKKQPQQQQDQKKDKGFKGEEPAAVVAAAAARSRTAPAKLKKGTVIKDGAEAGSLSPIMASSLGLNRIKTRSGPLPQEGHRSEHRIAVLGTSNLGRSSADGCSTSGSSASKGLGLGSAAGKSSGKKKDGWGLEKVPESHAKEWGGTEVRLGQQLRNGESSAAQMGKIASSWSHSGELRSSAGGKLEAEESESPRFKAIMQATSAPRKRHPTDIKSFSHELNSKGVKPYPFWKPRGLYNLKEVLEVIQVRFEKEKEAVNSELAVFAGELIGIMEKNAEGHPEWKETLEDLLLLARSCVVMTPNEFWLQCEGIVQDLDDRRQEAPMGVLKKLHTRMLFILTRCTRLIQFHKESGFAEDELISDLPEPKIAYSADKKILSRSERDNKDAVSSKGTTDAAASRKSYSQEQHSLKWKTSHEIKPLNFFSPLEVENAKDVDSSPTRDRIASWKPLPSPAVKPQKESSPVEDVLSDRKSEPLQHSTNRGITGELTTNNLPELPSSEDSSVHTSAPSKHQHKISWGYWADQQNISEDDSIMCRICEEYVLTSFVEDHLKICAVADRCDQKGLSVDERLIRIAETLEKMIETYSQKDLYNAGGSPDVATKISNSSVTEESDVLSPKLSDWSRRGSADMLDCLPEAEHTNFLDDLKSLPSMTCKTRFGPKSDQGMATSSAGSMTPRSPLMTPRTNHIDMLLAGRSALSESEDIPQIIELADIARCIARTAVDEELSLSYLVSCVEDLQEVISRRKHEALTVQTFGARIEKLHREKYLQLCDAVDAEKVDATSTVMDEDDDVVRSLRTSPVHPINKERTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARIYIAEVVLALEYLHSLRVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLIDSTDDLAGPAVSGASLLGEDEPQFSETEQLHQRERRKKRSAVGTPDYLAPEILLGTGHGATADWWSVGVVLFELIVGIPPFNAEHPQIIFENILNRKIPWPQVPEEMSFDAQDLIDKLLTEDPNQRLGAKGASEVKKHPFFKDINWDTLARQKAAFVPSSESALDTSYFTSRYSWNPSDQNIYEASEFEDSSDNGSISRSSSCVSNRHDELGDECLGLTEFESSPAVNYSFSNFSFKNLSQLASINYDLLSNKGSKDVLPTNPGS >DRNTG_07047.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3756194:3766635:-1 gene:DRNTG_07047 transcript:DRNTG_07047.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQATSAPRKRHPTDIKSFSHELNSKGVKPYPFWKPRGLYNLKEVLEVIQVRFEKEKEAVNSELAVFAGELIGIMEKNAEGHPEWKETLEDLLLLARSCVVMTPNEFWLQCEGIVQDLDDRRQEAPMGVLKKLHTRMLFILTRCTRLIQFHKESGFAEDELISDLPEPKIAYSADKKILSRSERDNKDAVSSKGTTDAAASRKSYSQEQHSLKWKTSHEIKPLNFFSPLEVENAKDVDSSPTRDRIASWKPLPSPAVKPQKESSPVEDVLSDRKSEPLQHSTNRGITGELTTNNLPELPSSEDSSVHTSAPSKHQHKISWGYWADQQNISEDDSIMCRICEEYVLTSFVEDHLKICAVADRCDQKGLSVDERLIRIAETLEKMIETYSQKDLYNAGGSPDVATKISNSSVTEESDVLSPKLSDWSRRGSADMLDCLPEAEHTNFLDDLKSLPSMTCKTRFGPKSDQGMATSSAGSMTPRSPLMTPRTNHIDMLLAGRSALSESEDIPQIIELADIARCIARTAVDEELSLSYLVSCVEDLQEVISRRKHEALTVQTFGARIEKLHREKYLQLCDAVDAEKVDATSTVMDEDDDVVRSLRTSPVHPINKERTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARIYIAEVVLALEYLHSLRVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLIDSTDDLAGPAVSGASLLGEDEPQFSETEQLHQRERRKKRSAVGTPDYLAPEILLGTGHGATADWWSVGVVLFELIVGIPPFNAEHPQIIFENILNRKIPWPQVPEEMSFDAQDLIDKLLTEDPNQRLGAKGASEVKKHPFFKDINWDTLARQKAAFVPSSESALDTSYFTSRYSWNPSDQNIYEASEFEDSSDNGSISRSSSCVSNRHDELGDECLGLTEFESSPAVNYSFSNFSFKNLSQLASINYDLLSNKGSKDVLPTNPGS >DRNTG_01374.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17901241:17902353:1 gene:DRNTG_01374 transcript:DRNTG_01374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYSLHEHSLHKQALYTPHTSGFTSTSHTLMGKHSLHKHSLHTQALCTPHT >DRNTG_14074.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8354562:8359329:1 gene:DRNTG_14074 transcript:DRNTG_14074.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIKATDPNELVHEDKIVAEEQVEGDASQEGSEMVEPNEGNAMPSSEQEEEVIKKKYGGIIPKKPPLISKDHERAFFDSADWALGKQGVAKPKGPLEALRPKLQPTQQQVRSRRSAYASTETDEAENAAPEDAANKE >DRNTG_21242.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32761748:32764123:-1 gene:DRNTG_21242 transcript:DRNTG_21242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAIAATTHLHDLPDAVLTNIFSLVLHLRSRNSMSLVCHKWFSLERSTRTSLSLRGYSPHLFLLPTSFSAVTHLDLSLLYPWGHPPSPDLSLVALRLRQAFPNLNSLTLYARTPSAVEALAPQWATQLRAVRLVRWHQRPHLPHGGDLTPLLSSCPGLHSLDLSQFYCWIEDIPAALQAYPVAAASLIHLNLLSAASSDGYRAAELLSISLSCPNLREFLAPCVFNPRYIDFVGDETLLSLATNCSRLKLLHLVDPAAFSPATAPVINLDSEGFAPEDARITCDGLGRLFTALPLLEDLALDLSQNVRDSGPAFEELCYKCPKIKSLKLGMFHSVCRAAGLHLDGVSVCGGLKSLCISNSADLSDASLSTIARGCRRLSKFEIHACRNVTEIGIKKLSALLRMSLVQVRISGCPQFDVASVLRALEPIRDRIEHLHIDCSRWVLSPGKQDVDGGDTSQQEEEEEDDDDDLETPIESKKKKCKCSVEIEDDEFWLKTWRRLKYLSLWFPAGEILTPLAEAGMEFCPELEEMCIKVEGDCRLCPMPAQREFGLNSLVRYPKLSKMKLDCGEAIGYALTAPSGQMDLSWWERFYLHGIGALNLYELNYWPPQDKDVNQRTLSLPAAGLLQGCTTLRKLFIHGTAHEHFMGFFLQMPNLRDVQLREDYYPAPENDMSTEMRVDSCSRFKAALNERYIPD >DRNTG_25255.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19308185:19308707:-1 gene:DRNTG_25255 transcript:DRNTG_25255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMKSKGSLCRIRKCVFDLLSMEEELVDENDDNFEFIRKDLRLKSTFLYFDLSQIISIAGDEHKKASLTHLANTLFSCIEKLGNALKSRRVSLIQIHYHDAALALQEVMTALQLLHGRNERK >DRNTG_06271.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:26885:27466:1 gene:DRNTG_06271 transcript:DRNTG_06271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIHKGRSLASTSDFFTANNHANREHSLLTLSNHLKIIIATDASWDPLTNQSGFGFLIFTNAQKILFAGASSGFCTSSMEAELNAILLSLDCCNSNHLTPDLLLSDCLAAINLIKDFNHHIGWRHSDTIHCIHQLLLLWPDLIIDHINRDSNAIADHLAHLGRLNPQLSLFFQGRDRPRWLEDACSNSNFFF >DRNTG_22135.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17497568:17500905:1 gene:DRNTG_22135 transcript:DRNTG_22135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSSPGLALIPDTEAAYMGGIVENGVGSDTKTSPRRAAIEKAQEELRQEYDVREERRRELEFLEKGGNPLDFKFGHGASISLQSTSLTDQLAEHYVISEAKGSFALAPSPHGDSVESSGRPGGSVSREPNTADNLLLLDGESLDGLRNVKPPGKRANAVAFEQSSPLDGGYALKESDDSAVFRLGVKGQAYARRNRSRSVRDGSNAGSTDLIPSANRSSVVPSSCSNAKNVKGLLREKQVDDHAAFISKLQTS >DRNTG_14815.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:10087244:10088971:1 gene:DRNTG_14815 transcript:DRNTG_14815.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRSTTSNDPFPSPFFPFAGLPSNNTTTWDPNPNPNPNLSMPSFNYSYPSSFIPNTPPYLDSQAGLLPINSFPIASDQMYTVKAEEVGLNLGHRTYFSSGDAAAIDRLFARSRGVYSLAHQPPRCQAEGCKADLSGAKHYHRRHKVCEFHSKATVVIASGLQQRFCQQCSRLVELFC >DRNTG_14815.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:10087244:10088971:1 gene:DRNTG_14815 transcript:DRNTG_14815.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRSTTSNDPFPSPFFPFAGLPSNNTTTWDPNPNPNPNLSMPSFNYSYPSSFIPNTPPYLDSQAGLLPINSFPIASDQMYTVKAEEVGLNLGHRTYFSSGDAAAIDRLFARSRGVYSLAHQPPRCQAEGCKADLSGAKHYHRRHKVCEFHSKATVVIASGLQQRFCQQCSRFHVLSEFDEAKRSCRKRLADHNRRRRKPQSQSTNSSENNNSNTTTTTTTTTTTTTSSNNTKLQAPNSCFIVSYKLNLLLNEQQKQLARQQMDQAYL >DRNTG_14815.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:10087244:10088971:1 gene:DRNTG_14815 transcript:DRNTG_14815.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRSTTSNDPFPSPFFPFAGLPSNNTTTWDPNPNPNPNLSMPSFNYSYPSSFIPNTPPYLDSQAGLLPINSFPIASDQMYTVKAEEVGLNLGHRTYFSSGDAAAIDRLFARSRGVYSLAHQPPRCQAEGCKADLSGAKHYHRRHKVCEFHSKATVVIASGLQQRFCQQCSRLVELFC >DRNTG_14815.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:10087244:10088971:1 gene:DRNTG_14815 transcript:DRNTG_14815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRSTTSNDPFPSPFFPFAGLPSNNTTTWDPNPNPNPNLSMPSFNYSYPSSFIPNTPPYLDSQAGLLPINSFPIASDQMYTVKAEEVGLNLGHRTYFSSGDAAAIDRLFARSRGVYSLAHQPPRCQAEGCKADLSGAKHYHRRHKVCEFHSKATVVIASGLQQRFCQQCSRFHVLSEFDEAKRSCRKRLADHNRRRRKPQSQSTNSSENNNSNTTTTTTTTTTTTTSTETISKTTNGPGLSLGAGGVGGMMNQNQCSTFVSQQQTSSSNTHMYHNSSLFWPSSNEASQSNGGDSEQQQQQKQQQQQQQQQSNNLLHLGQAMFELDFL >DRNTG_04487.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8950092:8952265:-1 gene:DRNTG_04487 transcript:DRNTG_04487.4 gene_biotype:protein_coding transcript_biotype:protein_coding VQLWNELILDYCRYQKVFVLGLEEDFPLFSNPMIESKFCSYVILYFIIDYFM >DRNTG_04487.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8946904:8952265:-1 gene:DRNTG_04487 transcript:DRNTG_04487.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQLWNELILDYCRYQKVFVLGLEEDFPLFSNPMIEIVQDLSAMKQRSHFFLH >DRNTG_04487.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8946904:8952265:-1 gene:DRNTG_04487 transcript:DRNTG_04487.3 gene_biotype:protein_coding transcript_biotype:protein_coding VQLWNELILDYCRYQKVFVLGLEEDFPLFSNPMIERSLSHEAKEPFLSALVNEGQTNIFMDNIGKRSMYWRHLLGI >DRNTG_04487.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8946904:8952265:-1 gene:DRNTG_04487 transcript:DRNTG_04487.2 gene_biotype:protein_coding transcript_biotype:protein_coding VQLWNELILDYCRYQKVFVLGLEEDFPLFSNPMIEIVQDLSAMKQRSHFFLH >DRNTG_30695.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1807958:1810069:1 gene:DRNTG_30695 transcript:DRNTG_30695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >DRNTG_12283.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24735975:24736479:-1 gene:DRNTG_12283 transcript:DRNTG_12283.1 gene_biotype:protein_coding transcript_biotype:protein_coding DNNVLSNFLHTSGVTKGKRNNDHEAERKRQ >DRNTG_26751.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7994450:7997195:-1 gene:DRNTG_26751 transcript:DRNTG_26751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEGGKVAVEMPRSSSRKGRRRKKEAGAPPPAVQRLFETCREVFFGCAAGVVPSPEDVGQLKAVLDDMKPADVGLAQTLPYFLKDNAKGAPPVAYLHLYECDKFSIGIFCLPPSAVIPLHNHPGMTVFSKILFGSMHIKSYDWVDVPQNSVETETTSHSCEIPPKVKLAKLKKNSVFSAPCETSVLYPMAGGNLHCFTAITSCAVLDVLGPPYSDAEGRHCTYYKDFPYSSFSVDKISMAGEEGEHAWLEEIDKPDDFVVVGAKYFGPKIVVQ >DRNTG_26751.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7994450:7997195:-1 gene:DRNTG_26751 transcript:DRNTG_26751.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPKELHLWHTYIFTSVINFRYRIIPWAITWPRLFLKMFYKIKNTYTYQLLLILVTFLQIGIFCLPPSAVIPLHNHPGMTVFSKILFGSMHIKSYDWVDVPQNSVETETTSHSCEIPPKVKLAKLKKNSVFSAPCETSVLYPMAGGNLHCFTAITSCAVLDVLGPPYSDAEGRHCTYYKDFPYSSFSVDKISMAGEEGEHAWLEEIDKPDDFVVVGAKYFGPKIVVQ >DRNTG_26751.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7994450:7997195:-1 gene:DRNTG_26751 transcript:DRNTG_26751.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKELHLWHTYIFTSVINFRYRIIPWAITWPRLFLKMFYKIKNTYTYQLLLILVTFLQIGIFCLPPSAVIPLHNHPGMTVFSKILFGSMHIKSYDWVDVPQNSVETETTSHSCEIPPKVKLAKLKKNSVFSAPCETSVLYPMAGGNLHCFTAITSCAVLDVLGPPYSDAEGRHCTYYKDFPYSSFSGTIRVYSF >DRNTG_32433.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10866763:10878206:-1 gene:DRNTG_32433 transcript:DRNTG_32433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNSLAVRAVVGVLTMMGGIGFMGIAEGGTWCVAVSSATAKALQVALDYACGPGGADCVPIQIDGLCYLPNSLAAHASYAFNSCFQRSGQAPGSCDFAGTATTSITDPSYGSCTYPSSRRNAGGSMPSSPNESNNTNTPENSPLTPSIGGANGGFAPGFGPPSPFTDDTSWSPPLIHSIRLYAASLCFFLLVF >DRNTG_07235.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14979355:14980242:1 gene:DRNTG_07235 transcript:DRNTG_07235.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo-specific protein ATS3A [Source:Projected from Arabidopsis thaliana (AT2G41475) UniProtKB/Swiss-Prot;Acc:Q681K2] MARSTPFFLLFLVFCISSPSSSSSSAVNPKPEELATFKPQDTSKVGRLNNVRGGCSYTVKIKTSCSSPRYTRDMISIAFGDAYRNEVYAPRLDDPSSGAFERCSTDTFKMQGPCGYGVCYLYVRRDGWDGWTPEWVQILETSYHRFVNFYYGSPIPNGVWFGFNNCPRLVTRPTGGAQLV >DRNTG_21632.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:51083:59540:-1 gene:DRNTG_21632 transcript:DRNTG_21632.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRMVPNSAANSSGPSVGASSLVTDANSALSGGPQLQRSASINTESYTRLPASPMSFSSNNISGSPMDGSSLVQQSSHQEPMPKQGASSVTSQLTGQEHLGNLLHAQKKPRIDMRQDDVHQHVIQQLLQRQDSMQLQGHPSPQLQAMIQQQRLAQRQQQQQQLLQFLPQMQRAQIQQHQQQMRNTFQQQAFLEKQPIESGICARRLMQYIYHQRHCPQDDSILYWRKVVVQYFAPRARKRWCLSMYDNVGNHAALGAFPRSSTEAWQCGICGSKSGKGFEATFEVLPRLNQIKFDRGVLDELLFVDMPHEYRLPSGAMVLEYAKAVQETVYEQLRVVREGQLRIIFSQELKILLWEFCARRHEEFLPRRLVAPQVNQLLQVAQKIQSTVSETGSTGVSSQEMQKASCDMFVTAGRQLARSIELQSLNDLGFSKRYVRCLQIAEVVSSMKDLIEFSQEHKTGPIESLKNYARQASAKHQSQKMQEIEQLVNAQCLPADQNALNKILANHPGLTTHLNNCHSGNRILNNNAQAAVSLNSYQNLLRNSINPNQNTIQTDASCSMGGPTQAPQVQFPGPMTSILSNPSVNSLSGPQQQQQPQPQPPQLTGLPQQNNMPSSQANQNLQQHVIQQMLQDIMNNNRGMPQQSLGGPNVIGNVAADVIGAPGNLTPRMNNTGPARSGSMLGNVTAGMPNHPLGAGPTRSNSFKSVTNTSAISGNSFNSRQDLPQNLHLPELDHDIAQEFADNGIFNGEPTDMGYDWKM >DRNTG_21632.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:51083:59540:-1 gene:DRNTG_21632 transcript:DRNTG_21632.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNRMVPNSAANSSGPSVGASSLVTDANSALSGGPQLQRSASINTESYTRLPASPMSFSSNNISGSPMDGSSLVQQSSHQEPMPKQGASSVTSQLTGQEHLGNLLHAQKKPRIDMRQDDVHQHVIQQLLQRQDSMQLQGHPSPQLQAMIQQQRLAQRQQQQQQLLQFLPQMQRAQIQQHQQQMRNTFQQQAFLEKQPIESGICARRLMQYIYHQRHCPQDDSILYWRKVVVQYFAPRARKRWCLSMYDNVGNHAALGAFPRSSTEAWQCGICGSKSGKGFEATFEVLPRLNQIKFDRGVLDELLFVDMPHEYRLPSGAMVLEYAKAVQETVYEQLRVVREGQLRIIFSQELKILLWEFCARRHEEFLPRRLVAPQVNQLLQVAQKIQSTVSETGSTGVSSQEMQKASCDMFVTAGRQLARSIELQSLNDLGFSKRYVRCLQIAEVVSSMKDLIEFSQEHKTGPIESLKNYARQASAKHQSQKMQEIEQLVNAQCLPADQNALNKILANHPGLTTHLNNCHSGNRILNNNAQAAVSLNSYQNLLRNSINPNQNTIQTDASCSMGGPTQAPQVQFPGPMTSILSNPSVNSLSGPQQQQQPQPQPPQLTGLPQQNNMPSSQANQNLQQHVIQQMLQDIMNNNRGMPQQSLGGPNVIGNVAADVIGAPGNLTPRMNNTGPARSGSMLGNVTAGMPNHPLGAGPTRSNSFKSVTNTSAISGNSFNSRQDLPQNLHLPELDHDIAQEFADNGIFNGEPTDMGYDWKM >DRNTG_21632.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:51083:59540:-1 gene:DRNTG_21632 transcript:DRNTG_21632.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRMVPNSAANSSGPSVGASSLVTDANSALSGGPQLQRSASINTESYTRLPASPMSFSSNNISGSPMDGSSLVQQSSHQEPMPKQGASSVTSQLTGQEHLGNLLHAQKKPRIDMRQDDVHQHVIQQLLQRQDSMQLQGHPSPQLQAMIQQQRLAQRQQQQQQLLQFLPQMQRAQIQQHQQQMRNTFQQQAFLEKQPIESGICARRLMQYIYHQRHCPQDDSILYWRKVVVQYFAPRARKRWCLSMYDNVGNHAALGAFPRSSTEAWQCGICGSKSGKGFEATFEVLPRLNQIKFDRGVLDELLFVDMPHEYRLPSGAMVLEYAKAVQETVYEQLRVVREGQLRIIFSQELKILLWEFCARRHEEFLPRRLVAPQVNQLLQVAQKIQSTVSETGSTGVSSQEMQKASCDMFVTAGRQLARSIELQSLNDLGFSKRYVRCLQIAEVVSSMKDLIEFSQEHKTGPIESLKNYARQASAKHQSQKMQEIEQLVNAQCLPADQNALNKILANHPGLTTHLNNCHSGNRILNNNAQAAVSLNSYQNLLRNSINPNQNTIQTDASCSMGGPTQAPQVQFPGPMTSILSNPSVNSLSGPQQQQQPQPQPPQLTGLPQQNNMPSSQANQNLQQHVIQQMLQDIMNNNRGMPQQSLGGPNVIGNVAADVIGAPGNLTPRMNNTGPARSGSMLGNVTAGMPNHPLGAGPTRSNSFKSVTNTSAISGNSFNSRQDLPQNLHLPELDHDIAQEFADNGIFNGEPTDMGYDWKM >DRNTG_08903.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27508030:27509347:1 gene:DRNTG_08903 transcript:DRNTG_08903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMGRSRKKRTWRWGSCSRIVSGSPSSPLRNQRSRSNPWRFRRPWWRVSLIWLLSSQLAKDVEMFAQHAGRKSVNMEDVILSAHRNAHLMTLLRNFSHELKGKDPQSERKRKKCSKKNDKLLQT >DRNTG_32546.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20093492:20096946:1 gene:DRNTG_32546 transcript:DRNTG_32546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDKKQEGINLADLGSVLPANAPELSAEDRANLVNSLKNKLQSLVGQQTDVLESLSPIVRKRVEVLREIQSQHDEFEAKFFEERAALEAKYQKLYEPLYGKRYEIVNGEVEVECVESETTEGTVAADNAIEVKGVPDFWLTAMKNHELLAEEIQERDEAALKYLKDIKWYRIENPKGFKLEFFFDTNPFFKNSILTKIYHMIDDDDEPILEKAIGTEIDWYPEKCLTQKILRKKPKKGSKNAKPITKTEQCESFFNFFNPPEVPEDDHDIDVDTADQLQSQMEQDYDIGSTIRDKIIPHAVSWFTGEAVEGVELENMDDDDEEDDDDEDEVIEEDDEESEDEDEEVEEQPKAGKKKTGGTRAGRSHQADQPAECKQQ >DRNTG_18136.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:537243:540671:-1 gene:DRNTG_18136 transcript:DRNTG_18136.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATOEP16-S [Source:Projected from Arabidopsis thaliana (AT4G16160) UniProtKB/TrEMBL;Acc:A0A178V0T8] MSNGMLETRTLLDELRDLEKGWPFDLGHPLLNRIAHTFLKAAGIGATQAVAREAYLTAVEGAGLETGPVPDINSAVKRPRFPDLRGENSRKSLEALVKNTGKESFQWGLAAGMYSGLTYGLKEARGTHDWKNSAVAGAITGAALALTAEDASHEQIVQCAITGAALSTAANVLAGMF >DRNTG_14613.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15972082:15972837:-1 gene:DRNTG_14613 transcript:DRNTG_14613.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRGLEHVATNHGVGGSNPSSPTTGQKGKDLSFTSGGRKIMIGIADAKLLNYGSFVGPYFFFLFIVNASIITYSNRVGISIFVFYSP >DRNTG_02216.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1220086:1221203:1 gene:DRNTG_02216 transcript:DRNTG_02216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFSFPTIVGEPENPHNPPFPHFATLPVWFVTGAQRPHQSSPETQTDSHARNEEEEEDESECLVDEEERMDMLWEDFNEELHKDVTREEMSSSSDAESGMAQHGAVELCCVPKTRNMLRHQRPSLVIMLKVLKKLILIQKTSASKKASIYQV >DRNTG_08996.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28993683:28994221:1 gene:DRNTG_08996 transcript:DRNTG_08996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPDKILACPRCTSSDTKFCYFNNYNVNQPRHFCRQCQRYWTAGGAIRNVPVGAGRRQRKDKNFFNIRKAHKRWRREVFMDSQKH >DRNTG_22977.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7736092:7736713:-1 gene:DRNTG_22977 transcript:DRNTG_22977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECVPTSSYSSEHIHLEGHKGACDIIHARVVARFQPYLKPISAPISLFFSPSFLQLVRGLRLGFQGVLAKVLEKFYGSDIVIPLRRRLAGELRSRRILYRMKESLDDE >DRNTG_10761.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29617532:29620319:1 gene:DRNTG_10761 transcript:DRNTG_10761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >DRNTG_20850.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16011812:16012855:-1 gene:DRNTG_20850 transcript:DRNTG_20850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCNLKYVRILDLSHNNFFGHIPSCLDNMGHVESVRSFSVEINTGITWEFHPSYRGIIEVKDYGGYLTIMEVEDIDFETKSRLYIYKGSIINYFSGLDLSCNQLVGKIPMEMGNMSWLRALNLSNNQLSGPIPDTLSRLTDIESLDLSCNMLTGNIPTQLAELYFIEVFSVAYNNLSGPTLGRVSQFSTFDESSYKGNPYLCGPPLVRNCTPLPSPRQGEVEDDFGNEETIDNLFFFASFTLAFIIGFWGWMALLYFKISWRHYLFVVIDSYGEEVFLRFSNLVAKTKSCFLVCI >DRNTG_20850.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16011932:16018154:-1 gene:DRNTG_20850 transcript:DRNTG_20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRITSLDLSWDSDGGSRFSYYTLNISLFLPFKKLRSLVLFNNGNTACLPIDCFQHLVPLERLEYLDISANYFDGKTLASLASLRSLKGLALIGNGMESDSFISALGDWLRMNRLEYLDVSSNSLNATIVPFLAGLTSLKTLSLSDNQMQGSLPFKELSGLNKLEVLDLSWNEFSGDIPSMENEWSSLKVLSLSRNKLNGTSLEGLCKTKKLQELDLSSNKLIGDLPSCLGYLSSLKFFAISNNQFKTDFPSAMIKNLTMLEYVFFNDNNFEGTFPISSLVNHTELKLLDLSNNNWLEVQTEYPSLLPSFQLDGIILTNCIVNSSILTFLSSQHYIRYIDLSNSNMNGDISTWSFENKTNLSFLDFHNNSLTGQLILPSHVKINLPWLDLSNNKLIGEIPASFGFSLPNLTYLNMSRNLFQGAIPPSFSNIHQLRYLDLSNNNFSGQPSDSIGGLHQLDILDLSKNKFHGKPLPENSNLASLSSLLLNDNQFIGKIPSYLCQSRLMFVDISENQLSGNLPSCLSNLFLIILNVGGNNLEGQLPNDLCNCSSLQYLDLSRNHFFGKIPSCFNLSNLEYLNLNDNLLTGLIPIALSGTPLKILDIGNNEFFGDISSWIRGAILNLEILSLKGNNFTGPISEKMCNLKYVRILDLSHNNFFGHIPSCLDNMGHVESVRSFSVEINTGITWEFHPSYRGIIEVKDYGGYLTIMEVEDIDFETKSRLYIYKGSIINYFSGLDLSCNQLVGKIPMEMGNMSWLRALNLSNNQLSGPIPDTLSRLTDIESLDLSCNMLTGNIPTQLAELYFIEVFSVAYNNLSGPTLGRVSQFSTFDESSYKGNPYLCGPPLVRNCTPLPSPRQGEVEDDFGNEETIDNLFFFASFTLAFIIGFWGWMALLYFKISWRHYLFVVIDSYGEEVFLRFSNLVAKTKSCFLVCI >DRNTG_13583.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30387084:30390830:1 gene:DRNTG_13583 transcript:DRNTG_13583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKAMGLLSMLIIQNQMVLPRRRRAGLVGVIKRTSKSSGVEDSEDPRILKKFSKLAPENGNQKSEHFKEEMDVRKGKEKSSVKKKKSVVAGDSNKHESEYKKGLRPVLWLTPDFPLQTDELLPLLDILANKVKAVRRLRELLTTKMPQGTFPVKVAIPIVPTIRVLVTFTKFEELQPQDEFATPLSSPTHFQDSKSKESETSSSWYSWVRGSRGGQSSDSTDGRNWKDEIDPFHIPSDYTWVNADEKKRRIKAKKVKSRKGASKKQTPKNSDDQQLIDGFE >DRNTG_27889.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20130311:20133778:-1 gene:DRNTG_27889 transcript:DRNTG_27889.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCSEMVDLNLADNSFSGSIPQRLDLLTSLNSLNLSQNKLSGSIPDGLQSLKLSALDLSRNQLSGRIPPELLVIAGDEAFVGNAGLCINDNGDLRNQRDSLLGVCNMNHEHKKKMSGKRLVYMSIMSAMIVLLFGLVFVSYKSFKLEESRRQKDSEDGMEDDSNWKVETFHPTELDPEEICNLEEDNLIGSGGAGKVYRLELNKNRGTVAVKQLWKGKGAKVIMPEIVILGKIKHRNILKLYACMTKGPVSFLVFEYMPNGNLYQALRHEFKGGKPELDWNKRYKIAVGAAKGLMYLHHDCSPAIVHRDIKSTNILLDEDYEAKISDFGIAKIAEESELSTFAGTHGYIAPELAYSVKLTEKSDIYSFGVVLLELLTGRSPTDPIFGEGKDIVYWVSTHLDGMKFFQVLDPKISASAEDDMIKVLKIAILCTKKLPSARPTMREVLNMLIDANPCNAVKAKHPTKNG >DRNTG_27889.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20130311:20133778:-1 gene:DRNTG_27889 transcript:DRNTG_27889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSEMVDLNLADNSFSGSIPQRLDLLTSLNSLNLSQNKLSGSIPDGLQSLKLSALDLSRNQLSGRIPPELLVIAGDEAFVGNAGLCINDNGDLRNQRDSLLGVCNMNHEHKKKMSGKRLVYMSIMSAMIVLLFGLVFVSYKSFKLEESRRQKDSEDGMEDDSNWKVETFHPTELDPEEICNLEEDNLIGSGGAGKVYRLELNKNRGTVAVKQLWKGKGAKVIMPEIVILGKIKHRNILKLYACMTKGPVSFLVFEYMPNGNLYQALRHEFKGGKPELDWNKRYKIAVGAAKGLMYLHHDCSPAIVHRDIKSTNILLDEDYEAKISDFGIAKIAEESELSTFAGTHGYIAPELAYSVKLTEKSDIYSFGVVLLELLTGRSPTDPIFGEGKDIVYWVSTHLDGMKFFQVLDPKISASAEDDMIKVLKIAILCTKKLPSARPTMREVLNMLIDANPCNAVKAKHPTKNG >DRNTG_27889.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20130311:20133778:-1 gene:DRNTG_27889 transcript:DRNTG_27889.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSEMVDLNLADNSFSGSIPQRLDLLTSLNSLNLSQNKLSGSIPDGLQSLKLSALDLSRNQLSGRIPPELLVIAGDEAFVGNAGLCINDNGDLRNQRDSLLGVCNMNHEHKKKMSGKRLVYMSIMSAMIVLLFGLVFVSYKSFKLEESRRQKDSEDGMEDDSNWKVETFHPTELDPEEICNLEEDNLIGSGGAGKVYRLELNKNRGTVAVKQLWKGKGAKVIMPEIVILGKIKHRNILKLYACMTKGPVSFLVFEYMPNGNLYQALRHEFKGGKPELDWNKRYKIAVGAAKGLMYLHHDCSPAIVHRDIKSTNILLDEDYEAKISDFGIAKIAEESELSTFAGTHGYIAPELAYSVKLTEKSDIYSFGVVLLELLTGRSPTDPIFGEGKDIVYWVSTHLDGMKFFQVLDPKISASAEDDMIKVLKIAILCTKKLPSARPTMREVLNMLIDANPCNAVKAKHPTKNG >DRNTG_08647.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2080226:2085437:1 gene:DRNTG_08647 transcript:DRNTG_08647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVIGGKFKLGKKLGSGSFGELFLGVNIQTGEEVAVKLESVKAKHPQLLYESKLYMLLQGGTGIPHLKWFGVEGEHNVMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRRANQVYIIDYGLGKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKANTKKQKYDKISEKKMLTPVEVLCKSYPSEFISYFHYCRSLRFDDKPDYSYLKRLFRDLFIREGCQFDYVFDWTVLKFPQPSANPRVRPSGRTNGAIGPSTERVERPTVGQDIRDRFSGAVEVFSRRNGSSSAHYADHSRRKAVENGPMPSKETVLESEKSRPSSRNGSSSKRAVISSGRPSSSGELIDQQYGRANRFASSSSNNRISSLQRSHQSGIESRASSRSKAAVGRSSRDDLLHRSFDLLSIGAEKRK >DRNTG_08647.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2080226:2085437:1 gene:DRNTG_08647 transcript:DRNTG_08647.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVIGGKFKLGKKLGSGSFGELFLGVNIQTGEEVAVKLESVKAKHPQLLYESKLYMLLQGGTGIPHLKWFGVEGEHNVMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRRANQVYIIDYGLGKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKANTKKQKYDKISEKKMLTPVEVLCKSYPSEFISYFHYCRSLRFDDKPDYSYLKRLFRDLFIREGCQFDYVFDWTVLKFPQPSANPRVRQPSGRTNGAIGPSTERVERPTVGQDIRDRFSGAVEVFSRRNGSSSAHYADHSRRKAVENGPMPSKETVLESEKSRPSSRNGSSSKRAVISSGRPSSSGELIDQQYGRANRFASSSSNNRISSLQRSHQSGIESRASSRSKAAVGRSSRDDLLHRSFDLLSIGAEKRK >DRNTG_34192.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002095.1:9987:13102:1 gene:DRNTG_34192 transcript:DRNTG_34192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEEEDNTTDLGPPVFEEGNLDEEVVKDGISTTLVVDSGKELSSPIMKEPEVENVVPLMTLHINLEVSSVTLIVQFTFMKDELFEQLEGDPLEDEVMEEVVEESQCQEVAMVVVPVPLELSKKKVSQATQWWKKETTKRKKPPTSPSCPKASNHSSF >DRNTG_19960.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:445063:454658:-1 gene:DRNTG_19960 transcript:DRNTG_19960.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable manganese-transporting ATPase PDR2 [Source:Projected from Arabidopsis thaliana (AT5G23630) UniProtKB/Swiss-Prot;Acc:Q9LT02] MSRFHAEGKVVEWVDLLRRRHWPWRVDAWPFAILYAAWGFAVVPSIDFTDALIVLGVISASHVLVFLFTAWSVDFRCFVQFSKVNDIRRAVACKITPTKFSGSKEIVPLHFRKPVMSVITSTSSDGNAEEIYFDFRKQTFTFSAEKNAFCKLPYPTKESFGYYFKCTGHGTEAKVKTATDKWGRNVFEYPQPTFQKLMKEHCMEPFFVFQVFCVGLWCLDEYWYYSLFTLAMLFLFESTMAKSRLKTLTELRRVSVDAQMLMVYRCGRWVKLSGTDLLPGDVVSVGRASGPNGEEKSIPADMLLLAGSAIVNEAILTGESTPQWKVSISGRAIEDTLSAKRDKNHILFGGTKILQHTPDKSYHLRTPDGGCLAVVLRTGFQTSQGKLMRTILFSTERVTANSWESGLFILFLVFFAIIAAGYVLKKGLEDPTRSKYKLFLSCSLIVTSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFRGIVDLSKNADLQSDMSTLPARTVEVLASCHALVFVENKLVKSFYKQKFWHCR >DRNTG_19960.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:445063:454658:-1 gene:DRNTG_19960 transcript:DRNTG_19960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable manganese-transporting ATPase PDR2 [Source:Projected from Arabidopsis thaliana (AT5G23630) UniProtKB/Swiss-Prot;Acc:Q9LT02] MSRFHAEGKVVEWVDLLRRRHWPWRVDAWPFAILYAAWGFAVVPSIDFTDALIVLGVISASHVLVFLFTAWSVDFRCFVQFSKVNDIRRAVACKITPTKFSGSKEIVPLHFRKPVMSVITSTSSDGNAEEIYFDFRKQTFTFSAEKNAFCKLPYPTKESFGYYFKCTGHGTEAKVKTATDKWGRNVFEYPQPTFQKLMKEHCMEPFFVFQVFCVGLWCLDEYWYYSLFTLAMLFLFESTMAKSRLKTLTELRRVSVDAQMLMVYRCGRWVKLSGTDLLPGDVVSVGRASGPNGEEKSIPADMLLLAGSAIVNEAILTGESTPQWKVSISGRAIEDTLSAKRDKNHILFGGTKILQHTPDKSYHLRTPDGGCLAVVLRTGFQTSQGKLMRTILFSTERVTANSWESGLFILFLVFFAIIAAGYVLKKGLEDPTRSKYKLFLSCSLIVTSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFRGIVDLSKNADLQSDMSTLPARTVEVLASCHALVFVENKLVGDPLEKAALKGIDWIYTSDEKALPKRPGGQAVQIVQRHHFASHLKRMAVVVRINEEFFAFVKGAPETIQERLVDVPSTYVETYKKYTRQGSRVLALAYRPLPDMTVGEARSLDRDVVESELHFAGFAVFDCPIRPDSASILYELKGSSHDLVMITGDQALTACHVASQVHIITKPALILVPMKTGGGFEWVSPDENEKTSYKAKDVESLSESHDLCMGGDCFEMLQRTEAVLQVIPYVKVFARVAPEQKELILMTFKSVGRMTLMCGDGTNDVGALKQAHVGVALLNAVPPAQGNSSSQDSKSDSKSVKPKKPKATSELSRHSKSASSSTEAPSNRHLKAAEKQREKLQKLIDEMNEEGDGRSAPIVKLGDASMASPFTAKHASVAPTLDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMQLDGVKLGDIQATISGVFTAAFFLFISHARPLQTLSPSRPHPNIFCAYVFLSLLGQFAIHLFFLISAVNEASKYMPEECIEPDSDFHPNLVNTVSYMVNMMIQVATFAVNYMGRPFNQSISENKPFKYALYLAVVFFTVITSDMFRDLNDWLKLVPLPHAMRSKLMLWATLMFAGCYGWERLLRWAFPGKMPSWKRRQRQAVTALEKKHQ >DRNTG_19960.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:445063:454658:-1 gene:DRNTG_19960 transcript:DRNTG_19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable manganese-transporting ATPase PDR2 [Source:Projected from Arabidopsis thaliana (AT5G23630) UniProtKB/Swiss-Prot;Acc:Q9LT02] MSRFHAEGKVVEWVDLLRRRHWPWRVDAWPFAILYAAWGFAVVPSIDFTDALIVLGVISASHVLVFLFTAWSVDFRCFVQFSKVNDIRRAVACKITPTKFSGSKEIVPLHFRKPSVITSTSSDGNAEEIYFDFRKQTFTFSAEKNAFCKLPYPTKESFGYYFKCTGHGTEAKVKTATDKWGRNVFEYPQPTFQKLMKEHCMEPFFVFQVFCVGLWCLDEYWYYSLFTLAMLFLFESTMAKSRLKTLTELRRVSVDAQMLMVYRCGRWVKLSGTDLLPGDVVSVGRASGPNGEEKSIPADMLLLAGSAIVNEAILTGESTPQWKVSISGRAIEDTLSAKRDKNHILFGGTKILQHTPDKSYHLRTPDGGCLAVVLRTGFQTSQGKLMRTILFSTERVTANSWESGLFILFLVFFAIIAAGYVLKKGLEDPTRSKYKLFLSCSLIVTSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFRGIVDLSKNADLQSDMSTLPARTVEVLASCHALVFVENKLVGDPLEKAALKGIDWIYTSDEKALPKRPGGQAVQIVQRHHFASHLKRMAVVVRINEEFFAFVKGAPETIQERLVDVPSTYVETYKKYTRQGSRVLALAYRPLPDMTVGEARSLDRDVVESELHFAGFAVFDCPIRPDSASILYELKGSSHDLVMITGDQALTACHVASQVHIITKPALILVPMKTGGGFEWVSPDENEKTSYKAKDVESLSESHDLCMGGDCFEMLQRTEAVLQVIPYVKVFARVAPEQKELILMTFKSVGRMTLMCGDGTNDVGALKQAHVGVALLNAVPPAQGNSSSQDSKSDSKSVKPKKPKATSELSRHSKSASSSTEAPSNRHLKAAEKQREKLQKLIDEMNEEGDGRSAPIVKLGDASMASPFTAKHASVAPTLDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMQLDGVKLGDIQATISGVFTAAFFLFISHARPLQTLSPSRPHPNIFCAYVFLSLLGQFAIHLFFLISAVNEASKYMPEECIEPDSDFHPNLVNTVSYMVNMMIQVATFAVNYMGRPFNQSISENKPFKYALYLAVVFFTVITSDMFRDLNDWLKLVPLPHAMRSKLMLWATLMFAGCYGWERLLRWAFPGKMPSWKRRQRQAVTALEKKHQ >DRNTG_19960.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:445063:454658:-1 gene:DRNTG_19960 transcript:DRNTG_19960.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable manganese-transporting ATPase PDR2 [Source:Projected from Arabidopsis thaliana (AT5G23630) UniProtKB/Swiss-Prot;Acc:Q9LT02] MSRFHAEGKVVEWVDLLRRRHWPWRVDAWPFAILYAAWGFAVVPSIDFTDALIVLGVISASHVLVFLFTAWSVDFRCFVQFSKVNDIRRAVACKITPTKFSGSKEIVPLHFRKPSVITSTSSDGNAEEIYFDFRKQTFTFSAEKNAFCKLPYPTKESFGYYFKCTGHGTEAKVKTATDKWGRNVFEYPQPTFQKLMKEHCMEPFFVFQVFCVGLWCLDEYWYYSLFTLAMLFLFESTMAKSRLKTLTELRRVSVDAQMLMVYRCGRWVKLSGTDLLPGDVVSVGRASGPNGEEKSIPADMLLLAGSAIVNEAILTGESTPQWKVSISGRAIEDTLSAKRDKNHILFGGTKILQHTPDKSYHLRTPDGGCLAVVLRTGFQTSQGKLMRTILFSTERVTANSWESGLFILFLVFFAIIAAGYVLKKGLEDPTRSKYKLFLSCSLIVTSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFRGIVDLSKNADLQSDMSTLPARTVEVLASCHALVFVENKLVGDPLEKAALKGIDWIYTSDEKALPKRPGGQAVQIVQRHHFASHLKRMAVVVRINEEFFAFVKGAPETIQERLVDVPSTYVETYKKYTRQGSRVLALAYRPLPDMTVGEARSLDRDVVESELHFAGFAVFDCPIRPDSASILYELKGSSHDLVMITGDQALTACHVASQVHIITKPALILVPMKTGGGFEWVSPDENEKTSYKAKDVESLSESHDLCMGGDCFEMLQRTEAVLQVIPYVKVFARVAPEQKELILMTFKSVGRMTLMCGDGTNDVGALKQAHVGVALLNAVPPAQGNSSSQDSKSDSKSVKPKKPKATSELSRHSKSASSSTEAPSNRHLKAAEKQREKLQKLIDEMNEEGDGRSAPIVKLGDASMASPFTAKHASVAPTLDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMQLDGVKLGDIQATISGVFTAAFFLFISHARPLQTLSPSRPHPNIFCAYVFLSLLGQFAIHLFFLISAVNEASKYMPEECIEPDSDFHPNLVNTVSYMVNMMIQVATFAVNYMGRPFNQSISENKPFKYALYLAVVFFTVITSDMFRDLNDWLKLVPLPHAMRSKLMLWATLMFAGCYGWERLLRWAFPGKMPSWKRRQRQAVTALEKKHQ >DRNTG_21697.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:952488:955190:-1 gene:DRNTG_21697 transcript:DRNTG_21697.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g53700, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53700) UniProtKB/Swiss-Prot;Acc:Q9LFF1] MAFSPSLLHHPRIHPPKPAHSFLNSARKTLHARAVSFASQVPDSTLIHQVPPDFTPKDLLSILRRQKDPSSAVHLLNWASKQENFSPNPSFYEEILQILGKAGFFDDMKLVLKEMQTSGCKLNQGSFLILIESYSEFQLFDLAIDVVLELMPEFGVKPDCHSYNHLLNILVDENKLKLVESLYSSMSSRVVQPDVSTFNILIKALCKTHQIKPAIAMIREMSRYGLSPDEITFTTIMQGYIEQGDMEGAMKMKSRMLSMGCCPTTVTVNVLLHGFCKLGRIEEALIFIQEELSKGFSPDKFTFNALVNGLCRAGHVEHALETMDVMLQEGYDPDVITYNSLISGLCKLGEIEEAMAVLKQMIQRDCLPNMVTYNALISTLCTKNKFDEAMELARGFTLKGLLPDVYTFNSLISSLCKAGDLDIAMELFEEMKKNECTPNVFTYNILIDHLCAIKRLNKALGLLKEMEANGCARTVVTYNTLIAGLCKNMRIEDAEDMFDQMEEQGISRNLVTYNTLIDGLCKSKRLDEATELMDQMIMEGLKPNKLTYNSLLTYYCKEGNIQKAADIVQMMSSNGCEADLVTYGTLISGLCKAGRVQVACKLLRSIQMKGMIPTPKAYNPVIQALFKQRKTREAVRLFREMIAKGEPPDAITYKIVFRGLCLGGGPIREAIDFLFEMTEHGFVPEFSSFSMLAEGLLALGMEDTLPRVMDPIMKNAGFADSEVAMVVGFLKIQKFHDALTTFGNLLNSKRPGKFHR >DRNTG_21697.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:952626:955190:-1 gene:DRNTG_21697 transcript:DRNTG_21697.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g53700, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53700) UniProtKB/Swiss-Prot;Acc:Q9LFF1] MAFSPSLLHHPRIHPPKPAHSFLNSARKTLHARAVSFASQVPDSTLIHQVPPDFTPKDLLSILRRQKDPSSAVHLLNWASKQENFSPNPSFYEEILQILGKAGFFDDMKLVLKEMQTSGCKLNQGSFLILIESYSEFQLFDLAIDVVLELMPEFGVKPDCHSYNHLLNILVDENKLKLVESLYSSMSSRVVQPDVSTFNILIKALCKTHQIKPAIAMIREMSRYGLSPDEITFTTIMQGYIEQGDMEGAMKMKSRMLSMGCCPTTVTVNVLLHGFCKLGRIEEALIFIQEELSKGFSPDKFTFNALVNGLCRAGHVEHALETMDVMLQEGYDPDVITYNSLISGLCKLGEIEEAMAVLKQMIQRDCLPNMVTYNALISTLCTKNKFDEAMELARGFTLKGLLPDVYTFNSLISSLCKAGDLDIAMELFEEMKKNECTPNVFTYNILIDHLCAIKRLNKALGLLKEMEANGCARTVVTYNTLIAGLCKNMRIEDAEDMFDQMEEQGISRNLVTYNTLIDGLCKSKRLDEATELMDQMIMEGLKPNKLTYNSLLTYYCKEGNIQKAADIVQMMSSNGCEADLVTYGTLISGLCKAGRVQVACKLLRSIQMKGMIPTPKAYNPVIQALFKQRKTREAVRLFREMIAKGEPPDAITYKIVFRGLCLGGGPIREAIDFLFEMTEHGFVPEFSSFSMLAEGLLALGMEDTLPRVMDPIMKNAGFADSEVAMVVGFLKIQKFHDALTTFGNLLNSKRPGKFHR >DRNTG_21697.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:952626:955343:-1 gene:DRNTG_21697 transcript:DRNTG_21697.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g53700, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53700) UniProtKB/Swiss-Prot;Acc:Q9LFF1] MAFSPSLLHHPRIHPPKPAHSFLNSARKTLHARAVSFASQVPDSTLIHQVPPDFTPKDLLSILRRQKDPSSAVHLLNWASKQENFSPNPSFYEEILQILGKAGFFDDMKLVLKEMQTSGCKLNQGSFLILIESYSEFQLFDLAIDVVLELMPEFGVKPDCHSYNHLLNILVDENKLKLVESLYSSMSSRVVQPDVSTFNILIKALCKTHQIKPAIAMIREMSRYGLSPDEITFTTIMQGYIEQGDMEGAMKMKSRMLSMGCCPTTVTVNVLLHGFCKLGRIEEALIFIQEELSKGFSPDKFTFNALVNGLCRAGHVEHALETMDVMLQEGYDPDVITYNSLISGLCKLGEIEEAMAVLKQMIQRDCLPNMVTYNALISTLCTKNKFDEAMELARGFTLKGLLPDVYTFNSLISSLCKAGDLDIAMELFEEMKKNECTPNVFTYNILIDHLCAIKRLNKALGLLKEMEANGCARTVVTYNTLIAGLCKNMRIEDAEDMFDQMEEQGISRNLVTYNTLIDGLCKSKRLDEATELMDQMIMEGLKPNKLTYNSLLTYYCKEGNIQKAADIVQMMSSNGCEADLVTYGTLISGLCKAGRVQVACKLLRSIQMKGMIPTPKAYNPVIQALFKQRKTREAVRLFREMIAKGEPPDAITYKIVFRGLCLGGGPIREAIDFLFEMTEHGFVPEFSSFSMLAEGLLALGMEDTLPRVMDPIMKNAGFADSEVAMVVGFLKIQKFHDALTTFGNLLNSKRPGKFHR >DRNTG_27557.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3038173:3039253:1 gene:DRNTG_27557 transcript:DRNTG_27557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILPPLYTVGPLSLLYSQFPITNATSIVSNFLKEDENCLEWLNKRETGSVVYVNFGSLAVVSHEQMIEFAWGLANSKHHFLWIIRPDLLKGEAAVLPEEWLDEIKERGLLAIWCPQERVLSHPSVGGFFTHSGWNSTMESVSTGKPMICWPYFGDQQTNCKYVCNEWGMGMEIDSEVKREQVEELIVELMDGEKGKEMKKKVVEWKQKAMRATKEGGSSFMNYKRVVDELLLFGRKSI >DRNTG_09473.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1437211:1438295:1 gene:DRNTG_09473 transcript:DRNTG_09473.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEEESEDGGRGAMRKKSSTGSTNLQGGVPISTSLDVSIPTKDELDRNQNAIVDKQSPNSQDLLMNDRSFITTLHKESSNKKSIGESCLNNKIVEDLDHKNDAGMEQAVGGHVTVYAQSNKDVSIQGDTVLKNEGEVLPFNKEKHKDFSKSCCN >DRNTG_09473.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1434711:1438295:1 gene:DRNTG_09473 transcript:DRNTG_09473.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGARFSKPLIANQVTHLICYKFEGEKFELAKKVGIKLVNHRWLEDCLRAWEILPIDGYSKSGWELEILEAQAMDTEEESEDGGRGAMRKKSSTGSTNLQGGVPISTSLDVSIPTKDELDRNQNAIVDKQSPNSQDLLMNDRSFITTLHKESSNKKSIGESCLNNKIVEDLDHKNDAGMEQAVGGHVTVYAQSNKDVSIQGDTVLKNEGEVLPFNKEKHKDFSKSCCN >DRNTG_09473.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1432669:1438295:1 gene:DRNTG_09473 transcript:DRNTG_09473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAGQGSDKIFAGVRFVLCGFDSVSEVQYRSEIVRRGGVDVGRYDSNCTHVIVSGRVSDDPVCIAARKDGITLVSELWVDDCIDFGTLADPNRVLYKPVKDLNGIPGSESLTICLTGYQRQDRDDIMKLVSLMGARFSKPLIANQVTHLICYKFEGEKFELAKKVGIKLVNHRWLEDCLRAWEILPIDGYSKSGWELEILEAQAMDTEEESEDGGRGAMRKKSSTGSTNLQGGVPISTSLDVSIPTKDELDRNQNAIVDKQSPNSQDLLMNDRSFITTLHKESSNKKSIGESCLNNKIVEDLDHKNDAGMEQAVGGHVTVYAQSNKDVSIQGDTVLKNEGEVLPFNKEKHKDFSKSCCN >DRNTG_15104.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1743710:1745869:1 gene:DRNTG_15104 transcript:DRNTG_15104.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRAGRQFSLVEQLAHEMLDLGIELDNVTYSTIITTAKRCHEFDKAILWFERMYETSVMPDEVTYSAVLDVYAKLGKKEEVIGLYERARAAGWIPDQVAFSVLAKMFGHAGDYDGIRYVLQEMDNLGVKPNLIVYNTLLEALGNAGKPGLARSLFEDMVSAGVSPDEKTLTALIKIYGKARWSRDALQLWERMRSNKWPMDFILYNTLLSMCADVGLVDEAERLFGEMKRPDRWSYTAMIKIYGSVGKVERALQLFDEMLHRGVEPNVMGVTCLIQCLGKGKRIGDAVKVFDIALQRGIKPDERLCCCLLSLVSLCEDGEVDAVLSCLEKSNARLVEFVKMLGSEEVGFAIVKEEFQGLLNEASVDVRRPFCNCLIDVCRNRSYPSKRARELLYLGTVYGLYAGLHEKGSDEWSLNLRSLSVGAAKTAFEEWMKALSSSSENEKVFPQVFAVHTGSGIHKFSQGLTSAFAEHLKDLAAPFQQDEGRTGWFVASKDDLFSWLESRTASTAVAA >DRNTG_18916.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1514857:1516224:-1 gene:DRNTG_18916 transcript:DRNTG_18916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDRFQDSGTTSVFFNLIKVLLYHGFSRDHEVKRTIHELVKGHYKEAWTGWGKVPKDVRQRIFTAFRGIYMWEAQHESSILRHLNHEASEWLKKNLYLAHNLYKAPFPWMAPAVWEGLRRYWESDEFKTKSEKNKLNRTEIGSSSTVIYRGGSVSTAVHRLRLAEELGREPTMKECFIRTHKKKDGTLEVGRATQIV >DRNTG_34033.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16319091:16320835:-1 gene:DRNTG_34033 transcript:DRNTG_34033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPMPSVQQAPVEDSKISQSLILSKLLKEARQLGCNAFDGSGDAMAAKEWLKRLLATFEDMGIEDELKLKVAVRLLENRARIWWETLKGRSEIPLTWSDFLHEFDEEYYTRFHRDQKRQEYMKLVQGNKAVAEYEADLKELANFVPEIVGGEEALCSKFEAGLNLSIREKMAVTGNQSFKEVVQLALRAEKLVLEGKRLRENLAKRRNSDFSRPSKRSKSEGTSSGFSGSSSVRPPSGQAGNQKGATSASGSYGGKSTGNAPRCQNCNRFHPGPCREPRKCYQCGQTGHLRSACPELGHGTPGSAPPPAGRQSQSKGIPPTASTPVAPTRSIAASNSPQGGTARPQTRSQTRVFAMTNEEAEDRPNVITGTVSIFQHDAYVLIDSGSERSFVSTAFSCHADRIASPLDCELLIQTPLGEEIIREVVFQGCPINVKGVDFEADLIPLEMRDFDAILGMDWLNRHKASIDCFRKEVTLQSSHGLSVVFEGERKVLSRCVISSVEARKLVRKGCEVYLAHIVDTRIV >DRNTG_26060.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17710370:17711848:1 gene:DRNTG_26060 transcript:DRNTG_26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKEGDKMASMDIVPAAMFKDLQNSSGNSEIQGRDLRPPWLLFISESGHGKRVPLSNFRQSRFNRVGLRGYKLPEDYRLAAVFVVGFSLAEDGESDEHVVLVSQSGTVNRIKIQDVSIQSRFARGVILMRLEHAGKIQSASLISAATDDVINDDIDV >DRNTG_20374.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:134843:138242:-1 gene:DRNTG_20374 transcript:DRNTG_20374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRKHSANEENDVEHQQAKIKELKAAIGPLSGRSSQFCSDACFRRYLEARNWNVDKAHKMLEDTLKWRSTYKPEEIRWLEVAIEGETGKVYRANFKDKEGRTVLVLRPGRQNTSSHGNQLRHLVYLLENAILNLPDDQEQMMWLIDFSGWSITNSVPVKTARETVNILQSHYPERLGAAFLYNPPRIFEAFWKIVKYFLDPKTFQKVKFRVPEE >DRNTG_29027.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18332498:18339789:-1 gene:DRNTG_29027 transcript:DRNTG_29027.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNSTLGGSTSNLPDSTGRSFATSFSAQSANAPGFHHSGALQGLHNIHGGFSIPNMSASLTSLTSRNAAMNGVPSSGVQQPGGNISNGRFTSNNMPVPIPQMSHGSSHGHSGLTNRGGINVAGGPAFSSSMNGSIPGISSSPGTAGSRNSVPGLGISPIFGNVGPRITNSIGNIVGGNMGRSISSGGLSIPALASRVNLGANGGSVSLSVQGSNRFLGGMLQQAPQIIGMIGSSYPSSGGPFSQSQLQSGNNPFNSLGMLKDGNSNDTSPYDMSDFPLLSGQPNSAGGPQAQYGSLRKQGVGVSSIVQQNQEFSMQNEDFPALPGYKGGSAEFAIDLQQKEQLHENASMVQSPHFPMARSAGFNLGGSYSSNRQQQQPHSASVSTGSISFSPGNNQDLLHLHGTDMFPSSHGTYHTQVQNSGHHGIGLRPLGLPISSGMGDYEQILQQYQQQQNQSQLRLQQMSAASQSYRDKGLKSTQGAQANFDRFGLLGLTSVMSMSDPDLSSLALGIDLTTLGLNLSSNNSLYKAFASPFYDESTKGEPEYSIPNCYYSKQPPALMQGHFARFQLCTLFYIFYSMPKDEAQLYAANELSSRGWLYHKVHKIWFMKVPDKDLGKTLTDGRGPCRCFDPNSWAIVLKDDFVYSNDDIEKKPILLPAPYRH >DRNTG_29027.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18332498:18339789:-1 gene:DRNTG_29027 transcript:DRNTG_29027.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNSTLGGSTSNLPDSTGRSFATSFSAQSANAPGFHHSGALQGLHNIHGGFSIPNMSASLTSLTSRNAAMNGVPSSGVQQPGGNISNGRFTSNNMPVPIPQMSHGSSHGHSGLTNRGGINVAGGPAFSSSMNGSIPGISSSPGTAGSRNSVPGLGISPIFGNVGPRITNSIGNIVGGNMGRSISSGGLSIPALASRVNLGANGGSVSLSVQGSNRFLGGMLQQAPQIIGMIGSSYPSSGGPFSQSQLQSGNNPFNSLGMLKDGNSNDTSPYDMSDFPLLSGQPNSAGGPQAQYGSLRKQGVGVSSIVQQNQEFSMQNEDFPALPGYKGGSAEFAIDLQQKEQLHENASMVQSPHFPMARSAGFNLGGSYSSNRQQQQPHSASVSTGSISFSPGNNQDLLHLHGTDMFPSSHGTYHTQVQNSGHHGIGLRPLGLPISSGMGDYEQILQQYQQQQNQSQLRLQQMSAASQSYRDKGLKSTQGAQANFDRFGLLGLTSVMSMSDPDLSSLALGIDLTTLGLNLSSNNSLYKAFASPFYDESTKGEPEYSIPNCYYSKQPPALMQGHFARFQLCTLFYIFYSMPKDEAQLYAANELSSRGWLYHKVHKIWFMKVPDKDLGKTLTDGRGPCRCFDPNSWAIVLKDDFVYSNDDIEKKPILLPAPYRH >DRNTG_29027.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18332498:18339789:-1 gene:DRNTG_29027 transcript:DRNTG_29027.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNSTLGGSTSNLPDSTGRSFATSFSAQSANAPGFHHSGALQGLHNIHGGFSIPNMSASLTSLTSRNAAMNGVPSSGVQQPGGNISNGRFTSNNMPVPIPQMSHGSSHGHSGLTNRGGINVAGGPAFSSSMNGSIPGISSSPGTAGSRNSVPGLGISPIFGNVGPRITNSIGNIVGGNMGRSISSGGLSIPALASRVNLGANGGSVSLSVQGSNRFLGGMLQQAPQIIGMIGSSYPSSGGPFSQSQLQSGNNPFNSLGMLKDGNSNDTSPYDMSDFPLLSGQPNSAGGPQAQYGSLRKQGVGVSSIVQQNQEFSMQNEDFPALPGYKGGSAEFAIDLQQKEQLHENASMVQSPHFPMARSAGFNLGGSYSSNRQQQQPHSASVSTGSISFSPGNNQDLLHLHGTDMFPSSHGTYHTQVQNSGHHGIGLRPLGLPISSGMGDYEQILQQYQQQQNQSQLRLQQMSAASQSYRDKGLKSTQGAQANFDRFGLLGLTSVMSMSDPDLSSLALGIDLTTLGLNLSSNNSLYKAFASPFYDESTKGEPEYSIPNCYYSKQPPALMQGHFARFQLCTLFYIFYSMPKDEAQLYAANELSSRGWLYHKVHKIWFMKVPDKDLGKTLTDGRGPCRCFDPNSWAIVLKDDFVYSNDDIEKKPILLPAPYRH >DRNTG_29027.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18332498:18339789:-1 gene:DRNTG_29027 transcript:DRNTG_29027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNSTLGGSTSNLPDSTGRSFATSFSAQSANAPGFHHSGALQGLHNIHGGFSIPNMSASLTSLTSRNAAMNGVPSSGVQQPGGNISNGRFTSNNMPVPIPQMSHGSSHGHSGLTNRGGINVAGGPAFSSSMNGSIPGISSSPGTAGSRNSVPGLGISPIFGNVGPRITNSIGNIVGGNMGRSISSGGLSIPALASRVNLGANGGSVSLSVQGSNRFLGGMLQQAAPQIIGMIGSSYPSSGGPFSQSQLQSGNNPFNSLGMLKDGNSNDTSPYDMSDFPLLSGQPNSAGGPQAQYGSLRKQGVGVSSIVQQNQEFSMQNEDFPALPGYKGGSAEFAIDLQQKEQLHENASMVQSPHFPMARSAGFNLGGSYSSNRQQQQPHSASVSTGSISFSPGNNQDLLHLHGTDMFPSSHGTYHTQVQNSGHHGIGLRPLGLPISSGMGDYEQILQQYQQQQNQSQLRLQQMSAASQSYRDKGLKSTQGAQANFDRFGLLGLTSVMSMSDPDLSSLALGIDLTTLGLNLSSNNSLYKAFASPFYDESTKGEPEYSIPNCYYSKQPPALMQGHFARFQLCTLFYIFYSMPKDEAQLYAANELSSRGWLYHKVHKIWFMKVPDKDLGKTLTDGRGPCRCFDPNSWAIVLKDDFVYSNDDIEKKPILLPAPYRH >DRNTG_04727.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8223033:8224985:1 gene:DRNTG_04727 transcript:DRNTG_04727.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSFLVYVDMETQSCFRRRKHAQLSKRGIYQKPTRDTETPSRTLLSNSLDRGEKKKILPNS >DRNTG_09236.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:940531:941449:1 gene:DRNTG_09236 transcript:DRNTG_09236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRFGKLKSLELLKCKYCTELPSLDGLPLLEHIRVEDAWSIKHIDLDARPWSNLPSLKSLILKDMPVWEEWTWETHQSHSVMPVLKLLEIINCPKLRSLPQDLAYHAKSLATLTIYKAHSLEKVEGFASLKTAAFFSNHNLSIISEFPATCNFEIDDCPKLDVGLLPQTFL >DRNTG_00123.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000031.1:79:1700:-1 gene:DRNTG_00123 transcript:DRNTG_00123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEEVMMLGSTEKVPSTPGILKKVLLKMKRARKCHRKCSIAIGDGREPNKLDVPLPGGPKPDNSPLPSREATRACDCPVGRAHVRGYFPHGRVIVFKDSEGFNESAQGRALDPVKLFCGGARAWLMAPRSKKQAEKQLCESSP >DRNTG_14376.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:419817:422798:-1 gene:DRNTG_14376 transcript:DRNTG_14376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVLVLFFFFFFSPFNGVLFVSASQSLLQFNLSELTRQPSRFSSSALGFLQDVVKAIAAKEKWDPDADVRVSELDRASTRIGDSRRYEVRMQSVFALKFGDELEDVKWRRWEGEFGVDLMNGEDGRRASVGDLELEGPVELRAGNGGDDGIVLLLPNNVTYKALNRVLVGDGITIRLEGAQEISLVHPSGIFLSLNGSLVTQSETKHHFWPLGYTSCAPLLSIQVMGSTSLHAFRTHNHKVSVKAAFQSHDIVELLSDKCYNHENFKQVASFYIPGLSSRLAHAEKTLRSFLGSRIFQSRSMKILKIKVMTSTLVKFQFELERDITENDEKWQKVEKWRTKPTVERRRFEVVARVEGKRLKPVTVRKLKRPLVAYDSMSWSNLMSNISFAELFSFVVPSEALTLDVKW >DRNTG_23331.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6159014:6161162:-1 gene:DRNTG_23331 transcript:DRNTG_23331.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYCKVIIQRNIGLFLFSLFLLLSVILVLQTLYSSNSFNITFSQFEYDHEEEACDLFVGKWIRDFREPIYNNRTCPTLPIVKNCVKHGKDPDYIYWRWKPDGCDLPRFAPSMFLNIVRGKKLAFIGDSLARNQMESLLCLLSQVESPLNTNISTEGKYQTWYFPSHDFTLMVMWTQFLVQATQRTVNGTASDVFDIHLDKINSEWTNQLPGINFAVISTGNWFIRKTYLHKDDKLLGCTLCSDAKDLGYVFAIKNALSTTLEFLLSRCKDCEGMMTVLRTYTPSHFEHGSWFSGGNCNRTQPLSESEVMSFNKNAWRIKESQVEVFEKIVQRVEREEKMKKEKKKLVLLDVSKAMMYRADAHPDSHWQRWHNVNDCLHWCLPGPVDLWNELLMVILRKHSRN >DRNTG_23331.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6159014:6160838:-1 gene:DRNTG_23331 transcript:DRNTG_23331.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNIVRGKKLAFIGDSLARNQMESLLCLLSQVESPLNTNISTEGKYQTWYFPSHDFTLMVMWTQFLVQATQRTVNGTASDVFDIHLDKINSEWTNQLPGINFAVISTGNWFIRKTYLHKDDKLLGCTLCSDAKDLGYVFAIKNALSTTLEFLLSRCKDCEGMMTVLRTYTPSHFEHGSWFSGGNCNRTQPLSESEVMSFNKNAWRIKESQVEVFEKIVQRVEREEKMKKEKKKLVLLDVSKAMMYRADAHPDSHWQRWHNVNDCLHWCLPGPVDLWNELLMVILRKHSRN >DRNTG_18001.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24185061:24186099:-1 gene:DRNTG_18001 transcript:DRNTG_18001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVKVLQTLLTLFSIQLAVLISSGLASNFMITGVYLTEGQNLTYKEYNLTMQSDCNLVLKNGSTVIWETMTGGYGRGCYLTLSQNGKLYLYTRYGYAIWNSRTESQYGTYALVLRYDGTLRIYGPKIWTANSSSAQPLSTTGTGLVNWAKVTDSVLYSGDVAPIGTTIVNGGSVLTLQNDCNLVLTNDGVTKWQTGVIDKTLHDCFVNLEANGEFRVKRWGGDLLWTNGVAATVYAEFVLVLQSNADLGVYGPEIWSSVSASGIGKPSADHGIEMVTDK >DRNTG_08316.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000377.1:10190:10648:1 gene:DRNTG_08316 transcript:DRNTG_08316.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPKTKIQSSLSSQTKENMDRVFKQSDKKKRYTRS >DRNTG_32742.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:2536992:2538511:-1 gene:DRNTG_32742 transcript:DRNTG_32742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPITCVWPFGFSAFARNRRSSGEAAQPKEARTKHGSRNERKRNTKYSSEGSCSFSGEALHAKKKKSDEELEWFLGAQE >DRNTG_04563.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:7736403:7738474:-1 gene:DRNTG_04563 transcript:DRNTG_04563.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPVRPPRIIKYLKPYVLKMHFTNKYVSAQVIHSPTATVASSASSQEKLLRPTMESTRDVAAAAMIGKILGERLVLKNIPAVSVFLKREQKYHGKVKAVIDSLRDAGVKLL >DRNTG_04563.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:7736403:7738474:-1 gene:DRNTG_04563 transcript:DRNTG_04563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPVRPPRIIKYLKPYVLKMHFTNKYVSAQVIHSPTATVASSASSQEKLLRPTMESTRDVAAAAMIGKILGERLVLKNIPAVSVFLKREQKYHGKVKAVIDSLRDAGVKLL >DRNTG_11180.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2035319:2039857:-1 gene:DRNTG_11180 transcript:DRNTG_11180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQTYAGFSFLAAITVIYHAFSSREQFYPAMVYLSTSKICLVILLNKGLVLMCMVWQLVKRIFLGSLREAEVERLNEQAWREVMEILFAITIFRQDFSVSFLAMVTALLLIKALHWLAQKRVEYIETTPSVTTLSHIRIVSFMGFLLTLDCLFFYSSLKPLIQTWQASVALFFAFEYMILATTTVATFIKYVFYVSDMLMDGQWEKKAVYTFYLELVRDLLHLSMYIIFFFVIFVNYGVPLHLIRELYETFRNFRIRVADYIRYRKLTSNMNERFPDATPAEINASDATCIICREEMITAKKLLCGHLFHVHCLRSWLERQHTCPTCRSLVVPPENGPAGVRRQHGNPQEAHQPGAATPGALTQGSADDATTENISQHQARLRAAAMAASLYDKSFVYPPRNTLLWSPGYPPVTTQAVGQTAQFAGNSDEEKLLKAQMINCIELQIKLLQSQLQAIQQEADRHATRTGDE >DRNTG_11180.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2035319:2039857:-1 gene:DRNTG_11180 transcript:DRNTG_11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQTYAGFSFLAAITVIYHAFSSREQFYPAMVYLSTSKICLVILLNKGLVLMCMVWQLVKRIFLGSLREAEVERLNEQAWREVMEILFAITIFRQDFSVSFLAMVTALLLIKALHWLAQKRVEYIETTPSVTTLSHIRIVSFMGFLLTLDCLFFYSSLKPLIQTWQASVALFFAFEYMILATTTVATFIKYVFYVSDMLMDGQWEKKAVYTFYLELVRDLLHLSMYIIFFFVIFVNYGVPLHLIRELYETFRNFRIRVADYIRYRKLTSNMNERFPDATPAEINASDATCIICREEMITAKKLLCGHLFHVHCLRSWLERQHTCPTCRSLVVPPENGPAGVRRQHGNPQEAHQPGAATPGALTQGSADDATTENISQHQARLRAAAMAASLYDKSFVYPPRNTLLWSPGYPPVTTQAVGQTAQFAGNSDEEKLLKAQMINCIELQIKLLQSQLQAIQQEADRHATRTGDE >DRNTG_20581.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3300141:3306682:1 gene:DRNTG_20581 transcript:DRNTG_20581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKASAGGGRRKTVRKTVRRTTPRSNSRAKAQAPAESPPLSPAPLTADESHAIEPISEETPEITPIADTTPEITPIAEPSSSSPSMPLTSETTASEPVAEVAAAAEEAPEIEDPTVVEAPTPAAQVPEPTPKKTVTRVRKVVKKKIVVKKVPKASVTVKDDVQTEEEAVVPPIVAVEGCNPNSDSIPQNTKAVAVEHLEPPLMAQETEVKVEKIEAAEPSAQRPRAEVETENVASEEVKQEPMQEDEVKQVLIQEDQVQQDEMQEEEEEVKQEQMQEEEVKQEQLQEEEMKQEEIESGAAEEADALPDQDQKDGIEAQVSAGDVEKGISERQRRRKTEIFIGGLDRDAKEEDIRKVFETVGEIVEVRMMMDALTGKNKGYAFLRYAEATQAKKAVTVFKKVEVCGKLCGAAALEGNDTIFLGSIDKTWKKEDIIKLLHEIGVEKIDAVTVMTDPKNADLNRGFAFLELESNRDAQKAFKLLQKKDVFGKGRNIKVAWAEPLNDPDEEEMQKVKSVYVEGIPFSWGEEQLKERFEKFGNIERVVLARNIRSAKRKDFAFVNYKTREDALSCIELFEKEELMDNGSKINVKVSLAKPISKSKQNKGGFKFNKKDDNKDKTTFIQRDMKINGPSSKGNLYKGGQSSSAGDRKSTSTHELLDTLRHQTPWKQGQPGYSRGPNLQDYSHASQGGKRAFAALGDEVHYSDLRGYPRPRLDTFPPAGPSYGVMSHAIPNSSVPYHQRPTAGYTTGGLYGASDHPSTYQMRQGGPPYYGGNLYPRY >DRNTG_00954.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21120582:21123080:-1 gene:DRNTG_00954 transcript:DRNTG_00954.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEAMSMVLARASELRFKIIHCIDRCCKDAQGAGESGGGERGMDDDYEDEEQAESLAGIRDALGSLEHQLSAFQSLQQQQSYERESTLSQINRSRMILLKKLKEYKGEDLEIIQEALTFAGESIEYDEDLILPPYPSHLPDLFVLDDLYQSSHSNSKNILSENALTGKLKKLDESDDSRERKHGFGFRHFVGLVARSAVTLVGIISVLTLAGVKPTLGKRCTQFKVQELFEQPSVAEEPASEVSFQCPPGKFLVIEDGKPRCLVKERFEIPFVSNVSSPNISYGFG >DRNTG_30426.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001573.1:206630:211511:1 gene:DRNTG_30426 transcript:DRNTG_30426.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLNPTIICLVETKTDSDRCFRFCSSFARTWEWAAIPAQGFSGGIIALWSRSLGQVTPIAATRMSLNLVISSSGGSWVLSIVYNSQILAAQKLLWNTLAGISNTRLPWILAGDFNAIMSDLDFKGGSSRFTWYNNQSGLACRWARLDRFLASHDWVASFATIVNQHLPRACSDHSPLLLTANPYTPSKNFVFRFDNVWLDYHSCHDNIIKAFHSIDISSPLHSFHHCIVNAKRNILSWKTAGLRPIDSEIANLELEIKEVEERDILSPDPWHSVWLRALRNRLSALLRQNTIFWAQRAKMLWLCHGDTNSAFFHRSVKIRQVKS >DRNTG_00638.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000048.1:15257:15634:1 gene:DRNTG_00638 transcript:DRNTG_00638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFVIATNRQLSVVHPIYKLLYPHYRDTMNINALARQTLIN >DRNTG_12451.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20969066:20972643:1 gene:DRNTG_12451 transcript:DRNTG_12451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPERLVAAIDMGSNSFKLLLARSLPSGRLLPVIRLKEPLPLLRGAPFSEETQIHAISALKTLTLALKPHPVQSLRLVATAAFRDSPNRSELVAAITAELGLRVHVISGEEEARLTYLGVLQFLPVYERLVLLVDIGGGSTELLIGKQGRVLFATSLELGHLSLTENFVKKGDLIGLRSFVREVIGKSDFAEKSRELGRIEMVIGSSGTVRWIGRAIARDLIKGEFRTEWGFSRDELGSMVEKLGIGEEEKVRAFGFSRRRAGVIFAGAVLLLEIFDALGIKEMEISEYGLVEGVIVEMIAKENVDYDWSANARWRSVVSLAARFDGGNRMKSAFQRVGVAKDIFYGLKKCYDLVGISGAPISFDEKEFECLEAALLLCNIGKVIGKKGYHKHSYCIIKNCGHLPGYSSEEIKLIALLARYHRKKFPRKEHDSVKELHAEMRHKFRVLCVIIRIALVLQKCQFMAFKELEVFHSPEGFNVVLSGVNDGLSVAGDLPPVTLIQSELNPELDHFEEIFHQKLLVSFPQKALGGEQNTVHT >DRNTG_06741.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11027053:11028008:1 gene:DRNTG_06741 transcript:DRNTG_06741.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALQIGRSLIRTDTQYLGLILIVGGVVNALKSKKEAKIGYKDTMLGVLVQFKDQDTRAVHKRGDVCQLPRRFKSIHYLEGHKGSHIFIFLLFVKIAGPLKDTSMKKSFIAYHMDVCLDMWPQEKSVWTAFHEKYYRNLTVVTSLQNIHYSKITVHAPAWKFHAPAWKFLQPTRPRGKSTRAREGT >DRNTG_01948.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32547755:32548819:-1 gene:DRNTG_01948 transcript:DRNTG_01948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLKLQELVVPPILTSLGKVKNPWPNVDILSGVPLNHFGLSEDR >DRNTG_15617.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20876166:20877010:1 gene:DRNTG_15617 transcript:DRNTG_15617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMPFNLQTKGVGEEEVGGAIGGGGGRGGARGALLLTGVKRSRGELEPRSVLDHRRSPSPPTSTSTLSSSLGGGVASSDHPPDVFPSEISEKVTILHTTTSAAAASATDDWDCLLADPSSTTQEQTFLRWIMSDDPSSSSLLEPSFSFD >DRNTG_26634.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10258497:10259221:1 gene:DRNTG_26634 transcript:DRNTG_26634.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDHQLQRLVFLLLFTACFSIASSTTEKHEEETPVVPGDMKCTCNPCGCGGEVIPPPPPPPSPPPPSPPKGTSCSPPPPPPSPPPPSPPKGTSCSPPPPPPPPAQTWYVIGTPGVLYPLDPQYYPSQACRSSHAWLPHVFLLIVSFIFLEEFNLLLL >DRNTG_26634.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10258497:10259221:1 gene:DRNTG_26634 transcript:DRNTG_26634.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDHQLQRLVFLLLFTACFSIASSTTEKHEEETPVVPGDMKCTCNPCGCGGEVIPPPPPPPSPPPPSPPKGTSCSPPPPPPPPAQTWYVIGTPGVLYPLDPQYYPSQACRSSHAWLPHVFLLIVSFIFLEEFNLLLL >DRNTG_26634.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10258497:10259221:1 gene:DRNTG_26634 transcript:DRNTG_26634.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDHQLQRLVFLLLFTACFSIASSTTEKHEEETPVVPGDMKCTCNPCGCGGEVIPPPPPPPSPPPPSPPKGTSCSPPPPPPPPPAQTWYVIGTPGVLYPLDPQYYPSQACRSSHAWLPHVFLLIVSFIFLEEFNLLLL >DRNTG_26634.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10258497:10259221:1 gene:DRNTG_26634 transcript:DRNTG_26634.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDHQLQRLVFLLLFTACFSIASSTTEKHEEETPVVPGDMKCTCNPCGCGGEVIPPPPPPPSPPPPSPPKGTSCSPPPPPPPPAQTWYVIGTPGVLYPLDPQYYPSQACRSSHAWLPHVFLLIVSFIFLEEFNLLLL >DRNTG_34338.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9494646:9495446:1 gene:DRNTG_34338 transcript:DRNTG_34338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAANFLLWHVYAGKKEYKAKPEDLTGRTYTGKEARKNHPKEFMSKVYASKGCHKGNTKRLIAQRLRLGKARNQKKRISKALESTFKGDLNPSSKGGRS >DRNTG_33549.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16012705:16013392:1 gene:DRNTG_33549 transcript:DRNTG_33549.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAPKPKRSSPPPRRSSSPVPATMTFQLFGSESCPIAARIRISLSTSTPPSSSSPRNPQSSATPVLRCGSQSISGSADMILRYIDSTFHGPPSPAENGTDRSSAAAELRNAVALQHRSIERHLEGVSRWAEEIASGGGGGRIAAGRRYAELVEIMLEHAQMEERFLFPLLERAAEDR >DRNTG_33549.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16012705:16013803:1 gene:DRNTG_33549 transcript:DRNTG_33549.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAPKPKRSSPPPRRSSSPVPATMTFQLFGSESCPIAARIRISLSTSTPPSSSSPRNPQSSATPVLRCGSQSISGSADMILRYIDSTFHGPPSPAENGTDRSSAAAELRNAVALQHRSIERHLEGVSRWAEEIASGGGGGRIAAGRRYAELVEIMLEHAQMEERFLFPLLERAAEDRGLCGVAYGKHAKELPMMNGIKEDMKSVMAMGPKALCYLEAMLNLSQRLKTLQVGR >DRNTG_26566.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20242161:20243488:-1 gene:DRNTG_26566 transcript:DRNTG_26566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLLFYAKSSPVRRECKQSRRNPDSQAQIGRSPFSDQEILTSEKR >DRNTG_33124.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20929829:20931003:-1 gene:DRNTG_33124 transcript:DRNTG_33124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETYQDCEPICICCSLCFLVADFGHWFTSVCIFFRVTAFIQPSYETDDTHKLN >DRNTG_00883.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21789559:21790294:1 gene:DRNTG_00883 transcript:DRNTG_00883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMTGVKTKELMLWLSVVEMYIEDPSSKKITFKTGTGLSDSFPVSAFELEE >DRNTG_06579.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:540858:541873:-1 gene:DRNTG_06579 transcript:DRNTG_06579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEIERALSRSHGYSRDLLQQYRSGVCFEEPPEMTGGEFEIALSLGLFSLGLAHADGGAGGAEEEEGDVEPEEVGSEEEEVGEEELEIGWRCRRLRSVKGWIRIWRSLEAELGEVMNGIAQALARRSGGATTPMWCRDRVRQEDLMLLS >DRNTG_29653.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001491.1:47976:48711:-1 gene:DRNTG_29653 transcript:DRNTG_29653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDWVKRVSIVRDIAQALSYLHHDCNPSIVHRDITSNNILLDKEYKACVADFGISRLLKSNSSHWSLLAGTYGYMALALEVIHGTHHGDLLTNLSLSMLVKDMLDSRLPLHNTDQVTTNQVLLVILIAMQCFDTVPQARPTMLEVSQRLSSPKSLPASDNHSYQALTLNHLINIV >DRNTG_35313.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22796233:22806938:-1 gene:DRNTG_35313 transcript:DRNTG_35313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALGFLLPFMAFLAFRPSHGSVCNAGIIPVAPTFDTIGWTLVPSINSKKFYDLDPIPHISPEDNPSDEELLDWGEDENFLDQDMADPEFLPEDNCIDQEDHISPHIDTAPVIPESPKYFSKHLHTSPKQLRRSERPKKPSGRWNEDVGFVPHPSRSSKKKTPEDPREDFSTRMIGLPYFPELINLTFLDSAQITLLSVSNLETTLSVPGCSNSRSSGWFANNPHVLYRVGREILQTSPVARAEISQTRRTFCS >DRNTG_23409.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001264.1:33917:35375:-1 gene:DRNTG_23409 transcript:DRNTG_23409.1 gene_biotype:protein_coding transcript_biotype:protein_coding EPYWTEGSPQHSSNMQPQQKTASNCSKKVDSLCVGYEYCSGGAITSGGGAPC >DRNTG_01289.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1967836:1969770:-1 gene:DRNTG_01289 transcript:DRNTG_01289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLANKRYYGDADESSSEAGKEFRELTKETFILSGTSNAADFLPVARWFDIGGHERRLKRLMRRKNKFFQGLIEEHRMKKESRSQGMDSSPAGRSTVIDLLLSMQERDPEHYDDDMIKGFIDLMLVAGTDTSASTMEWTMSLLLNNPQTLEKLRAEIDANVSQGSLLQEADFPKLPYLHAVINESMRMYPASPFLFPHESSQDCTVGGFNVPSGTMILVNVWKIHRDPELWEEPDKFKPERFLRTNSSDQKISNEVMKEGLKMMLFGMGRRRCPGEGLAMKMVLLVIGTLVQCFEWERVGDEEVDMSEGIGLTMPKARPLEAMFKPRESLNTLLMNKL >DRNTG_24834.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31703730:31705864:-1 gene:DRNTG_24834 transcript:DRNTG_24834.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAAPAPKQDELQPHPVKDQLSDVSYCITSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGGNDEKARVVQTILFVSGLNTLLQTFFGTRLPAVIGASYTFVMPTISIILAGRYSDIVDPHEKFLHIMRGTQGALIVASALQIVVGFSGLWRNVVRFLSPLAAVPLVALAGFGLYELGFPG >DRNTG_24834.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31701044:31705520:-1 gene:DRNTG_24834 transcript:DRNTG_24834.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAAPAPKQDELQPHPVKDQLSDVSYCITSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGGNDEKARVVQTILFVSGLNTLLQTFFGTRLPAVIGASYTFVMPTISIILAGRYSDIVDPHEKFLHIMRGTQGALIVASALQIVVGFSGLWRNVVRFLSPLAAVPLVALAGFGLYELGFPGVAKCIEIGLPQLVLLIILSQYIPHAMHSEKAVVDRFAVLFSVTIVWVYAFLLTVGGAYKHAAPKTQLHCRTDRSGLVGAAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGSFIAVSRYASATPVPPSVLSRGIGWQGLGILLDGLFGTATGSSVSVENAGLLGLTHVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIVAALYCLLFAYVGSVGLSFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTSVAGYGPVHTGARWFNDIINVIFSSKPFVAGFVAFFLDNTLHKHDNATRKDRGHHWWDRFRSFKGDNRNEEFYSLPFNLNKFFPSV >DRNTG_24834.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31701044:31702286:-1 gene:DRNTG_24834 transcript:DRNTG_24834.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRYSTIISQVYEAQVLNRVLAEIVIDYIFKCSSVIDLGKFGAVFASIPAPIVAALYCLLFAYVGSVGLSFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTSVAGYGPVHTGARWFNDIINVIFSSKPFVAGFVAFFLDNTLHKHDNATRKDRGHHWWDRFRSFKGDNRNEEFYSLPFNLNKFFPSV >DRNTG_24834.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31701044:31705864:-1 gene:DRNTG_24834 transcript:DRNTG_24834.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAAPAPKQDELQPHPVKDQLSDVSYCITSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGGNDEKARVVQTILFVSGLNTLLQTFFGTRLPAVIGASYTFVMPTISIILAGRYSDIVDPHEKFLHIMRGTQGALIVASALQIVVGFSGLWRNVVRFLSPLAAVPLVALAGFGLYELGFPGVAKCIEIGLPQLVLLIILSQYIPHAMHSEKAVVDRFAVLFSVTIVWVYAFLLTVGGAYKHAAPKTQLHCRTDRSGLVGAAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGSFIAVSRYASATPVPPSVLSRGIGWQGLGILLDGLFGTATGSSVSVENAGLLGLTHVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIVAALYCLLFAYVGSVGLSFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTSVAGYGPVHTGARWFNDIINVIFSSKPFVAGFVAFFLDNTLHKHDNATRKDRGHHWWDRFRSFKGDNRNEEFYSLPFNLNKFFPSV >DRNTG_24834.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31701044:31702839:-1 gene:DRNTG_24834 transcript:DRNTG_24834.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFSILGKFGAVFASIPAPIVAALYCLLFAYVGSVGLSFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTSVAGYGPVHTGARWFNDIINVIFSSKPFVAGFVAFFLDNTLHKHDNATRKDRGHHWWDRFRSFKGDNRNEEFYSLPFNLNKFFPSV >DRNTG_24834.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31702290:31703059:-1 gene:DRNTG_24834 transcript:DRNTG_24834.7 gene_biotype:protein_coding transcript_biotype:protein_coding IRVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGSFIAVSRYASATPVPPSVLSRGIGWQVLDMTNL >DRNTG_24834.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31701044:31703620:-1 gene:DRNTG_24834 transcript:DRNTG_24834.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSEKAVVDRFAVLFSVTIVWVYAFLLTVGGAYKHAAPKTQLHCRTDRSGLVGAAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGSFIAVSRYASATPVPPSVLSRGIGWQGLGILLDGLFGTATGSSVSVENAGLLGLTHVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIVAALYCLLFAYVGSVGLSFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTSVAGYGPVHTGARWFNDIINVIFSSKPFVAGFVAFFLDNTLHKHDNATRKDRGHHWWDRFRSFKGDNRNEEFYSLPFNLNKFFPSV >DRNTG_29688.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3891411:3892485:1 gene:DRNTG_29688 transcript:DRNTG_29688.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVEEESRPRFLFQSRTSPLPTSDLQLTKLSKLHAFLCVFAGSLLFAAAISLSSSQPLLSSLLFWVSLSLFVGPFAPPSLTGGDVRVGVGEPLPDPEPIPDPLPDPKAQPRHATRRTRAPVPDPAPIVVPAVAPEKKPRAQAAVPNGGQMEEADWTDLDLDLLKKQISKHPAGEPGRWERIQEAFQGRHGVESVIRMAKSLAERRPGDSDSYQRFLKQRKPVDRRVEEEEAISGGWSSGEDLALLNALKAFPKDAAMRWEKVAAAVPGKSKAECVKRIAELKKDFRNSKASQA >DRNTG_12111.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5017466:5019991:-1 gene:DRNTG_12111 transcript:DRNTG_12111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSFDHPWAFAFGILGNIISFMVYLAPLPTFYRVYKKKSTEGFQCVPYVVALFSAMLWIYYAFVKTGEYLLITINSVGCVIETIYIVMFFVYAPRNARIYTAKIFFLLNVGLFGLILLTTLLLSEGENRVKILGWICVAFSVSVFVAPLSIIRLVIRTKSVEFMPFFLSFFLTLSAIAWFSYGLFTRDKYVALPNVLGFTFGVIQMVIYVVYKDAKKVVQEPQLPEHVIEITKPSPAVNPEIVQAKVMTEEEMKANEIMNNTV >DRNTG_03681.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000170.1:41632:43087:-1 gene:DRNTG_03681 transcript:DRNTG_03681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQINNKRQQLPMASNCSTSSAMSLANQISLIAITSGK >DRNTG_22789.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3926246:3927181:-1 gene:DRNTG_22789 transcript:DRNTG_22789.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGENGVQIADSSSNGSKTAQSLVTCLYQTNLCGHSCIITVTWSKNLMGQALSVSIDDSSNQCISKVDIKPWLFSKRKGSKSLEADNCKVDIFWDLSTAKFGASPEPLEAFFVAVTFDLEMVLLIGDLIKEAYRKTNASPPPSNAVLVAKKEHIFGKKFYCTKAQFCDNGQVHDIAIECETIGLKEPSLEIRIDKKRVMQVKRLSWKFRGNQTILIDGLPVEVIWDVHNWLFGTPTGNAVFMFQTCMSTDKLLPWSSSQILGDSQLRGLGFSLILHAWRNE >DRNTG_22789.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3926246:3927706:-1 gene:DRNTG_22789 transcript:DRNTG_22789.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALSVSIDDSSNQCISKVDIKPWLFSKRKGSKSLEADNCKVDIFWDLSTAKFGASPEPLEAFFVAVTFDLEMVLLIGDLIKEAYRKTNASPPPSNAVLVAKKEHIFGKKFYCTKAQFCDNGQVHDIAIECETIGLKEPSLEIRIDKKRVMQVKRLSWKFRGNQTILIDGLPVEVIWDVHNWLFGTPTGNAVFMFQTCMSTDKLLPWSSSQILGDSQLRGLGFSLILHAWRNE >DRNTG_26176.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29193535:29195646:-1 gene:DRNTG_26176 transcript:DRNTG_26176.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQPLFAVSPPAPPPLHHHMFHEYNNNNNHHENQKETLAFKSVLLIIAPIVVIFLIVIALLLLLLLRRASSAKNNTVHEPSCSCNVSTRNSSLNKAQFSKFIFTTEASNTLGYSPEIGRCIYSGRLGFSPQVMMRCKRGQVFTYKELELATDGFIEANVLGRGGFGVVFRGILSDGTVAAIKVLHREGRHGEREFRSEVDLLSRLNSTYLVGLLGYCADQHHRMLVFEFMPNGSLQHHLHPNSGHRNPALNWSIRLRIALDCARGLEFLHEHSVPSVIHRDFKSSNILLDHNYRAKVSDFGMARTGSDRINGQVLTRVLGTTGYLAPEYASTGKLTTKSDVYSYGVVLLELLTGRRPIDLKRPPEEDILVSWALPRLTNRVKLKEMVDPALQGQYSQKDLIQVAAIAAVCVQSEAEYRPLMTDVVQSLIPLVKNQSCSSVPTTPSKLQPLHVPCS >DRNTG_26176.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29193535:29195159:-1 gene:DRNTG_26176 transcript:DRNTG_26176.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCKRGQVFTYKELELATDGFIEANVLGRGGFGVVFRGILSDGTVAAIKVLHREGRHGEREFRSEVDLLSRLNSTYLVGLLGYCADQHHRMLVFEFMPNGSLQHHLHPNSGHRNPALNWSIRLRIALDCARGLEFLHEHSVPSVIHRDFKSSNILLDHNYRAKVSDFGMARTGSDRINGQVLTRVLGTTGYLAPEYASTGKLTTKSDVYSYGVVLLELLTGRRPIDLKRPPEEDILVSWALPRLTNRVKLKEMVDPALQGQYSQKDLIQVAAIAAVCVQSEAEYRPLMTDVVQSLIPLVKNQSCSSVPTTPSKLQPLHVPCS >DRNTG_08081.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8801429:8808668:-1 gene:DRNTG_08081 transcript:DRNTG_08081.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGFPLEYIVDIPLDPYLFEVVSSSGVEVDLLQKRQMHYFLKVVVALAPGLLILWLIKESVILLNITSQRYLYKRYNQLFDMAYAENFILPEEHTEESKSMLKEVVLGGDVWDLLDEIMIYMNNPMQYYEKQVQFVRGILLSGPPGTGKTLFARTLAKQSGLPFVFASGAEFTDSEKSGAARINQIFSIARRNAPSFVFVDEIDAIAGRHARKDPRRRATFEALLTQLDGE >DRNTG_08081.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8779435:8820283:-1 gene:DRNTG_08081 transcript:DRNTG_08081.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALIVRSGSCPYHFHASQSPLLSRPSFSLPLPRRRTRCRRRIAVRASSSDGSDDFSWRKFSLSVQRGSERALSNLREILKKETGFDVEGVGQQSTKVFNIVQDVARKSGDALVRFRMGKVPSLVDWNKWENWKDIQKWEPNRIWVLLLYISIVAIFCQRIFGTIRSHLYQRSKKELTEAYMEALIPEPSRANIRKFKKSIWRKKLPKGLIVKKFIEGPGGTLVQDKAYVGEDAWEDDPEPSFQVTSRIDKDAMLSLEEKKELKETLGITDDRENGMKWRERLAAWKEILSNDNLAENIDSMKAKYVVDFDMQEVEKSLREQVMNKAPDTLSNRALWISKRWWRYRPKLPYTYFLNKLNSSEVAAVVFSEDLKKLYVTMKEGFPLEYIVDIPLDPYLFEVVSSSGVEVDLLQKRQMHYFLKVVVALAPGLLILWLIKESVILLNITSQRYLYKRYNQLFDMAYAENFILPEEHTEESKSMLKEVVLGGDVWDLLDEIMIYMNNPMQYYEKQVQFVRGILLSGPPGTGKTLFARTLAKQSGLPFVFASGAEFTDSEKSGAARINQIFSIARRNAPSFVFVDEIDAIAGRHARKDPRRRATFEALLTQLDGEKEKTGVDRFSLRQAVIFLCATNRPDELDPSFVQPGRIDRRLYIGLPDAKQRVQIFGVHSVGKKFSDDVDFEKLVFRTVGYSGADIRNLVNEAAIMSVRKGHSMITQKDIIDVLDKQLLEGMGVLLTEEEQLKCEESVSIETKRLLAVHEAGHILLAHLFTRFDWHAFSQLLPGGKETAISVFYPREDMVDQGYTTFGYMKMQMVVAHGGRCAERILFGDDISDGGRDDLEKITKIAREMVISPRNSRLGLTTLVRRVGMMDRPDSSDGELIKYKWDDPYVIPADMTVEVSELFSRELTRYIDETEEIAMNGLMQNKHILHMLAEELVEKSRVTGLEVEERMKQYSPVMLEDLAVPFQVNIEEEGPLPVNDHLSYQPLDVYPAQLHRC >DRNTG_08081.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8779435:8820283:-1 gene:DRNTG_08081 transcript:DRNTG_08081.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALIVRSGSCPYHFHASQSPLLSRPSFSLPLPRRRTRCRRRIAVRASSSDGSDDFSWRKFSLSVQRGSERALSNLREILKKETGFDVEGVGQQSTKVFNIVQDVARKSGDALVRFRMGKVPSLVDWNKWENWKDIQKWEPNRIWVLLLYISIVAIFCQRIFGTIRSHLYQRSKKELTEAYMEALIPEPSRANIRKFKKSIWRKKLPKGLIVKKFIEGPGGTLVQDKAYVGEDAWEDDPEPSFQVTSRIDKDAMLSLEEKKELKETLGITDDRENGMKWRERLAAWKEILSNDNLAENIDSMKAKYVVDFDMQEVEKSLREQVMNKAPDTLSNRALWISKRWWRYRPKLPYTYFLNKLNSSEVAAVVFSEDLKKLYVTMKEGFPLEYIVDIPLDPYLFEVVSSSGVEVDLLQKRQMHYFLKVVVALAPGLLILWLIKESVILLNITSQRYLYKRYNQLFDMAYAENFILPEEHTEESKSMLKEVVLGGDVWDLLDEIMIYMNNPMQYYEKQVQFVRGILLSGPPGTGKTLFARTLAKQSGLPFVFASGAEFTDSEKSGAARINQIFSIARRNAPSFVFVDEIDAIAGRHARKDPRRRATFEALLTQLDGEKEKTGVDRFSLRQAVIFLCATNRPDELDPSFVQPGRIDRRLYIGLPDAKQRVQIFGVHSVGKKFSDDVDFEKLVFRTVGYSGADIRNLVNEAAIMSVRKGHSMITQKDIIDVLDKQLLEGMGVLLTEEEQLKCEESVSIETKRLLAVHEAGHILLAHLFTRFDWHAFSQLLPGGKETAISVFYPREDMVDQGYTTFGYMKMQMVVAHGGRCAERILFGDDISDGGRDDLEKITKIAREMVISPRNSRLGLTTLVRRVGMMDRPDSSDGELIKYKWDDPYVIPADMTVEVSELFSRELTRYIDETEEIAMNGLMQNKHILHMLAEELVEKSRVTGLEVEERMKQYSPVMLEDLAVPFQVNIEEEGPLPVNDHLSYQPLDVYPAQLHRC >DRNTG_08081.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8781724:8796996:-1 gene:DRNTG_08081 transcript:DRNTG_08081.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRKGHSMITQKDIIDVLDKQLLEGMGVLLTEEEQLKCEESVSIETKRLLAVHEAGHILLAHLFTRFDWHAFSQLLPGGKETAISVFYPREDMVDQGYTTFGYMKMQMVVAHGGRCAERILFGDDISDGGRDDLEKITKIAREMVISPRNSRLGLTTLVRRVGMMDRPDSSDGELIKYKWDDPYVIPADMTVEVSELFSRELTRYIDETEEIAMNGLMQNKHILHMLAEELVEKSRVTGLEVEERMKQYSPVMLEDLAVPFQVNIEE >DRNTG_08081.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8779435:8808109:-1 gene:DRNTG_08081 transcript:DRNTG_08081.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEVVLGGDVWDLLDEIMIYMNNPMQYYEKQVQFVRGILLSGPPGTGKTLFARTLAKQSGLPFVFASGAEFTDSEKSGAARINQIFSIARRNAPSFVFVDEIDAIAGRHARKDPRRRATFEALLTQLDGEKEKTGVDRFSLRQAVIFLCATNRPDELDPSFVQPGRIDRRLYIGLPDAKQRVQIFGVHSVGKKFSDDVDFEKLVFRTVGYSGADIRNLVNEAAIMSVRKGHSMITQKDIIDVLDKQLLEGMGVLLTEEEQLKCEESVSIETKRLLAVHEAGHILLAHLFTRFDWHAFSQLLPGGKETAISVFYPREDMVDQGYTTFGYMKMQMVVAHGGRCAERILFGDDISDGGRDDLEKITKIAREMVISPRNSRLGLTTLVRRVGMMDRPDSSDGELIKYKWDDPYVIPADMTVEVSELFSRELTRYIDETEEIAMNGLMQNKHILHMLAEELVEKSRVTGLEVEERMKQYSPVMLEDLAVPFQVNIEEEGPLPVNDHLSYQPLDVYPAQLHRC >DRNTG_08081.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8779435:8820283:-1 gene:DRNTG_08081 transcript:DRNTG_08081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIVRSGSCPYHFHASQSPLLSRPSFSLPLPRRRTRCRRRIAVRASSSDGSDDFSWRKFSLSVQRGSERALSNLREILKKETGFDVEGVGQQSTKVFNIVQDVARKSGDALVRFRMGKVPSLVDWNKWENWKDIQKWEPNRIWVLLLYISIVAIFCQRIFGTIRSHLYQRSKKELTEAYMEALIPEPSRANIRKFKKSIWRKKLPKGLIVKKFIEGPGGTLVQDKAYVGEDAWEDDPEPSFQVTSRIDKDAMLSLEEKKELKETLGITDDRENGMKWRERLAAWKEILSNDNLAENIDSMKAKYVVDFDMQEVEKSLREQVMNKAPDTLSNRALWISKRWWRYRPKLPYTYFLNKLNSSEVAAVVFSEDLKKLYVTMKEGFPLEYIVDIPLDPYLFEVVSSSGVEVDLLQKRQMHYFLKVVVALAPGLLILWLIKESVILLNITSQRYLYKRYNQLFDMAYAENFILPEEHTEESKSMLKEVVLGGDVWDLLDEIMIYMNNPMQYYEKQVQFVRGILLSGPPGTGKTLFARTLAKQSGLPFVFASGAEFTDSEKSGAARINQIFSIARRNAPSFVFVDEIDAIAGRHARKDPRRRATFEALLTQLDGEKEKTGVDRFSLRQAVIFLCATNRPDELDPSFVQPGRIDRRLYIGLPDAKQRVQIFGVHSVGKKFSDDVDFEKLVFRTVGYSGADIRNLVNEAAIMSVRKGHSMITQKDIIDVLDKQLLEGMGVLLTEEEQLKCEESVSIETKRLLAVHEAGHILLAHLFTRFDWHAFSQLLPGGKETAISVFYPREDMVDQGYTTFGYMKMQMVVAHGGRCAERILFGDDISDGGRDDLEKITKIAREMVISPRNSRLGLTTLVRRVGMMDRPDSSDGELIKYKWDDPYVIPADMTVEVSELFSRELTRYIDETEEIAMNGLMQNKHILHMLAEELVEKSRVTGLEVEERMKQYSPVMLEDLAVPFQVNIEEEGPLPVNDHLSYQPLDVYPAQLHRC >DRNTG_08081.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8781724:8808476:-1 gene:DRNTG_08081 transcript:DRNTG_08081.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHYFLKVVVALAPGLLILWLIKESVILLNITSQRYLYKRYNQLFDMAYAENFILPEEHTEESKSMLKEVVLGGDVWDLLDEIMIYMNNPMQYYEKQVQFVRGILLSGPPGTGKTLFARTLAKQSGLPFVFASGAEFTDSEKSGAARINQIFSIARRNAPSFVFVDEIDAIAGRHARKDPRRRATFEALLTQLDGEKEKTGVDRFSLRQAVIFLCATNRPDELDPSFVQPGRIDRRLYIGLPDAKQRVQIFGVHSVGKKFSDDVDFEKLVFRTVGYSGADIRNLVNEAAIMSVRKGHSMITQKDIIDVLDKQLLEGMGVLLTEEEQLKCEESVSIETKRLLAVHEAGHILLAHLFTRFDWHAFSQLLPGGKETAISVFYPREDMVDQGYTTFGYMKMQMVVAHGGRCAERILFGDDISDGGRDDLEKITKIAREMVISPRNSRLGLTTLVRRVGMMDRPDSSDGELIKYKWDDPYVIPADMTVEVSELFSRELTRYIDETEEIAMNGLMQNKHILHMLAEELVEKSRVTGLEVEERMKQYSPVMLEDLAVPFQVNIEE >DRNTG_08081.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8779435:8818944:-1 gene:DRNTG_08081 transcript:DRNTG_08081.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHYFLKVVVALAPGLLILWLIKESVILLNITSQRYLYKRYNQLFDMAYAENFILPEEHTEESKSMLKEVVLGGDVWDLLDEIMIYMNNPMQYYEKQVQFVRGILLSGPPGTGKTLFARTLAKQSGLPFVFASGAEFTDSEKSGAARINQIFSIARRNAPSFVFVDEIDAIAGRHARKDPRRRATFEALLTQLDGEKEKTGVDRFSLRQAVIFLCATNRPDELDPSFVQPGRIDRRLYIGLPDAKQRVQIFGVHSVGKKFSDDVDFEKLVFRTVGYSGADIRNLVNEAAIMSVRKGHSMITQKDIIDVLDKQLLEGMGVLLTEEEQLKCEESVSIETKRLLAVHEAGHILLAHLFTRFDWHAFSQLLPGGKETAISVFYPREDMVDQGYTTFGYMKMQMVVAHGGRCAERILFGDDISDGGRDDLEKITKIAREMVISPRNSRLGLTTLVRRVGMMDRPDSSDGELIKYKWDDPYVIPADMTVEVSELFSRELTRYIDETEEIAMNGLMQNKHILHMLAEELVEKSRVTGLEVEERMKQYSPVMLEDLAVPFQVNIEEEGPLPVNDHLSYQPLDVYPAQLHRC >DRNTG_13194.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2454585:2456848:-1 gene:DRNTG_13194 transcript:DRNTG_13194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDRTREQPQQRKQQSTSTSTSTTFTASVITSGPTAVTITTTTIGTTHATTITVANPP >DRNTG_31446.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:742344:744979:-1 gene:DRNTG_31446 transcript:DRNTG_31446.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSDQGPSQEFILYAGEILMRCFVVGVKDKDIPQTEEEHNSLCVGVGSPIEENVKSMNKGAGKTRIRIPIFKKKRREQDHKRKISASATRLLRTVSIHHMQCNDYVLPDDLATIKDASTVELHPHDIVSSLSGVHLPLMLQGPDGSIPGKQCEVCGSANSSHFVDNNQLEELTNFFLKKELLLREELKEVKEALSKQDKSLKESGDDVTIRSKDFLHMVELLNANKELFIKVVQDPRLGLTKYIQDQSNSQVSAGLWKSCSFPVTAVNSGGLPQLTHKRMESEPSTSSEGKLQVECSPSDHSILDEVAHSVKLEDGGAEASREKETNILKKQNNNATVLSRYKYIKHRIMDVIKDNSKELHRISMDSIMHKIPCGRKVSNNMKKGVLGSWKRCSSESFVRDSKYASKRIRRSRSLTESLDKYSGLLKSISSREPERPPERSQTMRTDNSLDRIFSLPDFDSYISNEDDESRGHNASLSLDLESTYILDGCFLDGTDNSDELKMVGDYAESDLLLERIIVVDDQLTVHRDMEINYSTNEQDRDKHSITPHDFTVQEDLENVERIEADIDNDEASMELTVLSSTLNIGVQRKDEAEFNYVQAILRKSGFIGEDYLRELYSPDHPTNSESDKVFDEELLFDLVNEVLFEIYNNLFTYCPLLSHLVSRTRPVPSGSHLLQEVWANISWHLSSQMQEDEAVESLVARHYARNDGWMNLQHEIEIVGLELEDIILNDLLDEVVYQFHKFYRQ >DRNTG_15317.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4866445:4869087:1 gene:DRNTG_15317 transcript:DRNTG_15317.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSLLLNNSLCLKDSALAFGLDRRRPFDADTHRRSGRWRSPEAAVVPNHHLPMRSLEVKNRTSVDDIRSLRLITAIKTPYLPDGRFDLEAYDYLVRMQIVSGAKGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGSSIKVIGNTGSNSTREAIHASEQGFAVGMHAALHINPYYGKTSMPGMLSHFETVLSMGPTIIYNVPSRTGQDIPPAVIHAVSQNPNMAGVKECAGNDRIKGYADEGIVTWSGNDDECHDARWMYGATGVISVASNLVPSLMHSLMFDSENSSLNSNIMPLIKWLFHEPNPIALNTALAQLGVVRPVFRLPYVPLPLAKRMEFVRIVQDIGRENFIGEKDVQVLEDDDFVLVDRY >DRNTG_03811.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000180.1:38817:41304:1 gene:DRNTG_03811 transcript:DRNTG_03811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARRRHRKHSKTVGGVREPNGEPFLEPTEFFKSSRENTRACGISTPPWGCIASSSREGIRACTRPWACTRPCGQPCDQRTGVGIFHMPVRISVDDPFSIPKGHRGVHSPLIYQLGQRSKQRNVPRSHPPSPKGVESVIDDQRASHSAFGHHYYMSITQFSVSLGLYEEAFTDTEEYSQLPTDYPGALTPQRAYRVLCGQGQYEPGVSKATCLSRPAYRYLHAIMSRSVNGRGDNTGVLSCQELLYLYSMVQCISIHLGHIFAEYIHHQGHYARLGAIFSGPYIMRLVMGMGLLDAIRGAERRSTLAPLSLETMRLIGMIRRVQTGVYALVLPTPEMAEDEGDDVEASQPSPDVDGD >DRNTG_12887.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20733949:20737418:1 gene:DRNTG_12887 transcript:DRNTG_12887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPVLFIVPEILVQLIVRSLYLFFGSPLIVSYILLNSWEFTILLSNIHPRKLFIFLAEYVMQEVTKAGFVEPTPIQAQGWPMALKGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILAPGDGPIVLVLAPTRELAVQIQQEATKFGASSKIKNTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMLESHHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVSQIRSDRQTLYWSATWPKEVEQLARQFLYNPYKVIIGSVDLKANHAIQQRVEIVSENQKYNKLVKLLEDIMDGSRILIFMDTKKGCDQTTRQLRMDGWPALSIHGDKSQAERDWVLSEFKAGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKDLIHILEEAGQKVPPELAAMGHGPPPPPPAHGGFRDRGKGFGGGRSWS >DRNTG_16437.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7662886:7667754:1 gene:DRNTG_16437 transcript:DRNTG_16437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSISADDILEQPPPGKVAKLEEMMNDNPIEQVRLTVPITDDPTLPALTFRTWSLGLISCAFLAFLNQFFGYRQNALYISSVSAQIAVLPVGKLMAKWLPATVVKVPLVGWSFSLNPGPFNLKEHVLITIFANSGSNSVYAVNIITIVKAFYKVSLNPLAAMLLTQTTQMMGYGWAGVFRKFLVDSPYMWWPANLVQVSLFRALHEAERRPKGGLTRLQFFLMVFITSFAYYIIPNYLFPSITALSFICWFWTSSITAQQLGSGLYGLGLGSFALDWSTVAGFLGSPLATPGFAIINVMVGFVIVLYVITPISYWTNTYHAKNFPIFSSHVYQANGSTYNFNAVLDPKTFSFSQHGYDQIGQVNLSTFFVFTYGLSFATLAATLSHVALFHGKSIWRQTKAAFSDQFGDVHTRIMKKNYEVVPQWWFYTILVCMLALAIFSVEGFGKQLQLPFWGVLLAGALALVYTLPIGIITATTNQQPGLNVITELIIGYLYPGKPLANVAFKTYGYISMSQAIMFLQDFKLGHYMKIPPKSMFIVQLVGTVVASSVYFGTAWWLLESVDHICDPANLPEGSPWTCPGDAVFFSASIIWGVVAPKRMFGSLGLYENINYFFLIGLLLPFPIWLLSVAFPEKKWIKLINMPVLLSATSMMPPARAVNYIMWGAVGIFFNFVVYRRYKGWWAKHNYVLSAGLDAGVAFMAILSYFALQVKNIQGVQWWGLVLDDHCPLASCPTAPGVVVDGCPVF >DRNTG_04193.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7044870:7050934:1 gene:DRNTG_04193 transcript:DRNTG_04193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCKAAHSATHLSTPWLRPQDFPSAPSPTSVVVVLHQDPTTFPPPLFNLGSFQPLSLFRSSPSTVVPRYGPLIPGSGGNDDGGGGNYGGGGGGGGDDDFGRRNRTEAVLALAEIGRSLESLPADLAAAIDAGRIPGLVVHRFAELEKSHAFLRWLLQFGGFKERFLADDLFLAKVAMECGVGIFTKTAAEWERRREKLVKELDFAFADVVMAFIADFMLVWLPAPTVPLKPPLAFGAGPISKFFYGCPDNAFQVVLSGTSYSFLQRIGAIVQNGAKLFVVGTSASLFGTGITNVLINARKAFDKDFAEEAKDVPVLSTSAGYGVYMAVSSNLRYQLVAGVIEQRILEPLLQNQKLLLSALCFAVRTGNTFLGSLMWVDYARWTGIQKVRD >DRNTG_22360.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20819249:20824537:1 gene:DRNTG_22360 transcript:DRNTG_22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSRELHKKIARYKKRSCLPNDKLVRGRAKCGIYAGRHIQSGNKDSKDYVNKSRRTWEPSVQEKHLFSYIHDRYIRVKVITHAISQFGAKNILQVMLQMVKLVDLENLFKSDLQALFTKKMMRIFDPTNSGTPGNEEQMALKNSTNSGSPKLTIFLREGTEVQLPNCTFNTGATEAELTFGSISESVQFIRRPAPSKENLEIPLLPSKGRHPNVIVHESTRISCRGNTKSICI >DRNTG_02163.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29206921:29212293:1 gene:DRNTG_02163 transcript:DRNTG_02163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEGSNQRIRRDPAMKPSNLAFSKNPSSSFSSLDYSSFSLKNHE >DRNTG_11985.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4334472:4338959:-1 gene:DRNTG_11985 transcript:DRNTG_11985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSARAWSSNSRSPRKMKARRLFGFSLSLILINMAAIMERADENLLPSVYKEVSETFNAGPTDLGYLTFIRNFVQALSSPLAGILVLHYDRPSVLAMGTTFWAFSTAAVGASQHFRQVACWRALNGFGLAIVIPALQSFIADSYKDSVRGTGFGLLSLVGSVGGIGGGVLATIMAGQEYWGIPGWRCAFIMMAVLSLLIGVLVYSFVDDPGRSKRITSVTDGDSERANLVGKGNTVSPSIWRDSWTAMRSVIKVQTFQVIVLQGIVGSLPWTAMVFFTMWFELIACKQVI >DRNTG_11985.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4334570:4338959:-1 gene:DRNTG_11985 transcript:DRNTG_11985.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSARAWSSNSRSPRKMKARRLFGFSLSLILINMAAIMERADENLLPSVYKEVSETFNAGPTDLGYLTFIRNFVQALSSPLAGILVLHYDRPSVLAMGTTFWAFSTAAVGASQHFRQVACWRALNGFGLAIVIPALQSFIADSYKDSVRGTGFGLLSLVGSVGGIGGGVLATIMAGQEYWGIPGWRCAFIMMAVLSLLIGVLVYSFVDDPGRSKRITSVTDGDSERANLVGKGNTVSPSIWRDSWTAMRSVIKVQTFQVIVLQGIVGSLPWTAMVFFTMWFELIGFDNNSSAALISLFAIGCATGSFLGGLIADRISQFYPDTGRVMCAQFSAFMGIPFSWFLLTVIPQTINSWFIFATTLFFMGLTISWCATCANNPMFAEVVPLKHRTMIYAFDRAFEGSFSSFAAPAVGILTEKIYGYDSKSVSLVSGSVNQAFALSRGLLSMMVVPFGLCCLFYSPLYLIFKRDRNNARTATLKEQEIT >DRNTG_10839.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000471.1:2843:4360:-1 gene:DRNTG_10839 transcript:DRNTG_10839.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAPLDQSFRASPSRVVSRQDAEASSETSSVYSADPSDRRDAEILAMSTRSEEYRLLFRLPPDEVLVQDFNCALQENILLQ >DRNTG_10839.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000471.1:2563:4360:-1 gene:DRNTG_10839 transcript:DRNTG_10839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAPLDQSFRASPSRVVSRQDAEASSETSSVYSADPSDRRDAEILAMSTRSEEYRLLFRLPPDEVLVQDFNCALQENILLQGHMYLFLHHICFYSNIFG >DRNTG_10839.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000471.1:4005:4360:-1 gene:DRNTG_10839 transcript:DRNTG_10839.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAPLDQSFRASPSRVVSRQDAEASSETSSVYSADPSDRRDAEILAMSTRSEEYRLLFRLPPDE >DRNTG_07027.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3439275:3441971:-1 gene:DRNTG_07027 transcript:DRNTG_07027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSFLLIFILLAFLPGGAPDLAGDAAALLAFRAAVGPFVLNWNATASPCSWRGVSCSNGRSGRVTALRLPGVGLIGEIPAGTFANLTELNTLSLRFNALSGSLSPDIATMKNLRGLFLNGNRFSGELPNALFSLPVLSRLDLSTNQFSGEISPGINNLTSLKALLLENNNFSGEIPDINLRRLVLFNVSYNNFTGSVPRGLSSASPTAFLGMSLCGAPLKPCATSPSPSPSPSPSPSPFSSPSPVPATPTGNHKISDKGAKQSSKLSGGAIAGIAVGSAACLLILIAIFIVLCRKGGGERQARSVPAAAAAPVNGAGAEKAGRRLVFTGGSPEFELEDLLRASAEVLGKGTYGTAYKAVVDGGLTVAVKRLRDVNLEEREFKGRILSVGSVDHRNVLQLMAYYYSKDEKLLVYEYLPEGSLSSLLHGNRGSGLNTLDWRKRCRIALAAARGIEYIHSTSSTAVHGNIKSSNILLHKQDDARVADYGIAQLVSLNTINHTAGYRAPEVTDARKVSQMADVYSFGVLLLELLTGKAPAQMVQNAEGLDLPRWVRSVTPEEWKAELFDLELLKQQNTEECMIQLLHLALECTAQYPADRPSMSEVVVGIERIYNLSLESSSSGQVHDDSYSSRRTESIDESRF >DRNTG_15656.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:117522:125983:1 gene:DRNTG_15656 transcript:DRNTG_15656.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQELMEDSEALNSRLCNSVDGAMLASSSFKESDILGNDSALYEKFLLDDLDSYWDDLSARLTVSRMVGDSVIKGMVNAVSEEAAQRIALKEAEVAVLSDRLKCYEAGAVQCNRMGPFMMMPKLPRIMETEGLDKSSSELRLELDYNEQLIGLRIAVEKQLDRLTDDLGSLKCLSSGKANEVVTEIDDRVDALKRTIETVFKQVSCGFGSLMVSVLDSHWENEFQNEVNAMLVQDYIKDIYDKFETKLWEQSRVINNLNKKWQEKLGELALMREELDGISRSLLSSETGSLFSQNSLDVFEEWNNGKRKDHIHWKVLGNHHYANCEENGLILMERSEEYEKTMSEVADSPQIKGMTKEEVIVYYKTEMIKMRRQHDSALQEKTEELFSLKREFLKERGSLHLRKDKEFELLRKKIPDIILKLDGILQVEEKSPLVHDDQFHSLKERIDALYSENQHLRGLLGDKRKEVSCLSSQVSETASQMTLHSSAEASLLKQIKKLKCDIKDVNFETSIRDELSKVILRDFITQHERNQDDIEIEAKIVQEIDSIIFRGLADDCVSAMKAIVVRHQTESISLQQVISQKEKAICLLNDENGKLKQVVSSLTVLMEEKKRIEVETDSTLNQQKVRFDLLCQELCILKDKVGKQEVLISEKTIELDSTKNRLNEALRQIDRYEMDVSNLNQKVKNTSDVLEEAQKQNNVLNSIIKEKQKLLSSSEMQAERMDSVIVSLKQLSEQVADVENKMEAKIEQNKSRLKVLTHQCNSTMRQYGTLKKKELWYKKMLDIRYSNLQKAEAEVDLLGDEVDALLSLLGKIYIALDHYSPVLRHYPGVMEILKLVQRELKGENTKLM >DRNTG_15656.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:117522:125983:1 gene:DRNTG_15656 transcript:DRNTG_15656.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQELMEDSEALNSRLCNSVDGAMLASSSFKESDILGNDSALYEKFLLDDLDSYWDDLSARLTVSRMVGDSVIKGMVNAVSEEAAQRIALKEAEVAVLSDRLKCYEAGAVQCNRMGPFMMMPKLPRIMETEGLDKSSSELRLELDYNEQLIGLRIAVEKQLDRLTDDLGSLKCLSSGKANEVVTEIDDRVDALKRTIETVFKQVSCGFGSLMVSVLDSHWENEFQNEVNAMLVQDYIKDIYDKFETKLWEQSRVINNLNKKWQEKLGELALMREELDGISRSLLSSETGSLFSQNSLDVFEEWNNGKRKDHIHWKVLGNHHYANCEENGLILMERSEEYEKTMSEVADSPQIKGMTKEEVIVYYKTEMIKMRRQHDSALQEKTEELFSLKREFLKERGSLHLRKDKEFELLRKKIPDIILKLDGILQVEEKSPLVHDDQFHSLKERIDALYSENQHLRGLLGDKRKEVSCLSSQVSETASQMTLHSSAEASLLKQIKKLKCDIKDVNFETSIRDELSKVILRDFITQHERNQDDIEIEAKIVQEIDSIIFRGLADDCVSAMKAIVVRHQTESISLQQVISQKEKAICLLNDENGKLKQVVSSLTVLMEEKKRIEVETDSTLNQQKVRFDLLCQELCILKDKVGKQEVLISEKTIELDSTKNRLNEALRQIDRYEMDVSNLNQKVKNTSDVLEEAQKQNNVLNSIIKEKQKLLSSSEMQAERMDSVIVSLKQLSEQVADVENKMEAKIEQNKSRLKVLTHQCNSTMRQYGTLKKKELWYKKMLDIRYSNLQKAEAEVDLLGDEVDALLSLLGKIYIALDHYSPVLRHYPGVMEILKLVQRELKGENTKLM >DRNTG_15656.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:119089:125983:1 gene:DRNTG_15656 transcript:DRNTG_15656.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQELMEDSEALNSRLCNSVDGAMLASSSFKESDILGNDSALYEKFLLDDLDSYWDDLSARLTVSRMVGDSVIKGMVNAVSEEAAQRIALKEAEVAVLSDRLKCYEAGAVQCNRMGPFMMMPKLPRIMETEGLDKSSSELRLELDYNEQLIGLRIAVEKQLDRLTDDLGSLKCLSSGKANEVVTEIDDRVDALKRTIETVFKQVSCGFGSLMVSVLDSHWENEFQNEVNAMLVQDYIKDIYDKFETKLWEQSRVINNLNKKWQEKLGELALMREELDGISRSLLSSETGSLFSQNSLDVFEEWNNGKRKDHIHWKVLGNHHYANCEENGLILMERSEEYEKTMSEVADSPQIKGMTKEEVIVYYKTEMIKMRRQHDSALQEKTEELFSLKREFLKERGSLHLRKDKEFELLRKKIPDIILKLDGILQVEEKSPLVHDDQFHSLKERIDALYSENQHLRGLLGDKRKEVSCLSSQVSETASQMTLHSSAEASLLKQIKKLKCDIKDVNFETSIRDELSKVILRDFITQHERNQDDIEIEAKIVQEIDSIIFRGLADDCVSAMKAIVVRHQTESISLQQVISQKEKAICLLNDENGKLKQVVSSLTVLMEEKKRIEVETDSTLNQQKVRFDLLCQELCILKDKVGKQEVLISEKTIELDSTKNRLNEALRQIDRYEMDVSNLNQKVKNTSDVLEEAQKQNNVLNSIIKEKQKLLSSSEMQAERMDSVIVSLKQLSEQVADVENKMEAKIEQNKSRLKVLTHQCNSTMRQYGTLKKKELWYKKMLDIRYSNLQKAEAEVDLLGDEVDALLSLLGKIYIALDHYSPVLRHYPGVMEILKLVQRELKGENTKLM >DRNTG_15656.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:117522:125983:1 gene:DRNTG_15656 transcript:DRNTG_15656.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELMEDSEALNSRLCNSVDGAMLASSSFKESDILGNDSALYEKFLLDDLDSYWDDLSARLTVSRMVGDSVIKGMVNAVSEEAAQRIALKEAEVAVLSDRLKCYEAGAVQCNRMGPFMMMPKLPRIMETEGLDKSSSELRLELDYNEQLIGLRIAVEKQLDRLTDDLGSLKCLSSGKANEVVTEIDDRVDALKRTIETVFKQVSCGFGSLMVSVLDSHWENEFQNEVNAMLVQDYIKDIYDKFETKLWEQSRVINNLNKKWQEKLGELALMREELDGISRSLLSSETGSLFSQNSLDVFEEWNNGKRKDHIHWKVLGNHHYANCEENGLILMERSEEYEKTMSEVADSPQIKGMTKEEVIVYYKTEMIKMRRQHDSALQEKTEELFSLKREFLKERGSLHLRKDKEFELLRKKIPDIILKLDGILQVEEKSPLVHDDQFHSLKERIDALYSENQHLRGLLGDKRKEVSCLSSQVSETASQMTLHSSAEASLLKQIKKLKCDIKDVNFETSIRDELSKVILRDFITQHERNQDDIEIEAKIVQEIDSIIFRGLADDCVSAMKAIVVRHQTESISLQQVISQKEKAICLLNDENGKLKQVVSSLTVLMEEKKRIEVETDSTLNQQKVRFDLLCQELCILKDKVGKQEVLISEKTIELDSTKNRLNEALRQIDRYEMDVSNLNQKVKNTSDVLEEAQKQNNVLNSIIKEKQKLLSSSEMQAERMDSVIVSLKQLSEQVADVENKMEAKIEQNKSRLKVLTHQCNSTMRQYGTLKKKELWYKKMLDIRYSNLQKAEAEVDLLGDEVDALLSLLGKIYIALDHYSPVLRHYPGVMEILKLVQRELKGENTKLM >DRNTG_11812.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:339437:343142:-1 gene:DRNTG_11812 transcript:DRNTG_11812.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGAAVGGPNAGLVAGGPPPFLSKTYDMVDDPATDAVVSWGPGNNSFVVWNTPEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTINRRKPSHLHSQPQQPQTQNTPVTSCVEVGKFGLEGEIERLKRDKAVLMKELVMLRQQQQTTDHQLQTLGQRLQGMEQRQQQMMSFLAKAIQSPGFLAQFVQQNDSNRRISEGNKKRRLPKQEEKMDGERSSPSGQIIKYQPLMNEAAKAMLMQILKMDSTPLMETMGDSSGFLIKNYSPSMDSMDASPSNRNSGVTLSEMPTSTGVPYMPVSSGFSVPAQIQGSAGMSGMVATTEMPDTSMLPPMPEGVAPGRTDMNIPEFSPLQGIVSGSNISNVPGTNSLYMNPASIGLDGEEDIDDSGIDIFDEEQKLPDINDSFWEQFLSVSPISRDTAEIDSDMLQAKDALPEVENVWDSARNMAHLTEQLGLLTSESKV >DRNTG_01275.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:10465364:10469273:1 gene:DRNTG_01275 transcript:DRNTG_01275.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSTFQERLHQMEETKNRRLLLLQFEKELQAKKSYILSQTLAKIRRIEQRCLLLEKQNAELGFQILARKSEIDALDARYHDAVHQFRVLNLEIDELEMREKENDRFYEIKLVEIRDFEDCARKYLMETQQKVQDLRNSIAEAIEEALMNGQGIFIPIGLRFGFFILEFKLCQFLLLGMRLNCKSAI >DRNTG_01275.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:10469319:10470131:1 gene:DRNTG_01275 transcript:DRNTG_01275.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLILQQKESKTQPAMVNQETITPTVQRER >DRNTG_01275.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:10465364:10470131:1 gene:DRNTG_01275 transcript:DRNTG_01275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSTFQERLHQMEETKNRRLLLLQFEKELQAKKSYILSQTLAKIRRIEQRCLLLEKQNAELGFQILARKSEIDALDARYHDAVHQFRVLNLEIDELEMREKENDRFYEIKLVEIRDFEDCARKYLMETQQKVQDLRNSIAELKSTLKDSQNNAKFTENVEVTAAEARKVELLDIKDKLDRTLASNYQLRALLQKQLQKMLILQQKESKTQPAMVNQETITPTVQRER >DRNTG_01275.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:10465364:10466126:1 gene:DRNTG_01275 transcript:DRNTG_01275.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSTFQERLHQMEETKNRRLLLLQFEKELQAKKSYILSQTLAKIRRIEQRCLLLEKQNAELGFQILARKSEIDALDARYHDAVHQFRVLNLEIDELEMREKENDRFYEIKLVEIRDFEDCARKYLMETQQKVQDLRNSIAEVRL >DRNTG_06439.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29897456:29900459:-1 gene:DRNTG_06439 transcript:DRNTG_06439.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITFSDLHTEAGLKSLEEFLSGKNYISGDQITKDDVKVFASVSKNPGEGFPSVGRWYETVSSALASRFPGKPLGVKVVGQGALVASEPVVEAKKEAAAEGDDDDDLDLFADETEEEKEAAQEREAAVKASSKKKESGKSSVLLDVKPWDDETDMKKLEEAVRSLQMEGLLWGASKLVAVGYGIKKLQIMLTIVDDLVSVDDLIEEHLTVEPANEYIQSCDIVAFNKI >DRNTG_04863.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:6854021:6854431:-1 gene:DRNTG_04863 transcript:DRNTG_04863.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTELSNICAGILRLLDLSSVPFASRSDSEVFYLKMKGDYHRYLTEFKTGSNRKDAAENTLSTHKAVQVCDQIYQIGYGLMLGFGIDIMSRYDMMMSVA >DRNTG_20940.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28155882:28158746:1 gene:DRNTG_20940 transcript:DRNTG_20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRSRFTINPDKAYRIAMRKLNTNAGILEVMGAPLSGTDLRAYVMSGGGPKLKKFKLRFGGKRCFLIFPIRGSERKGLVSVEVKKKKGQYDMKLLAVDIPMSNGPDQRLFLIGNEEEYRIGGGLISELRDPIVKAMAAEKEFEVLDDKEEEEDEARELAEAERKQREEERRVEEAEKKQREVENLEKATT >DRNTG_20439.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4513095:4514989:-1 gene:DRNTG_20439 transcript:DRNTG_20439.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCWYDGGKAILAMVVVQSIYAAMTILTKQAFREGFNVMVFVAYKQGLAFLVLFPTSILLNRGKIKNLALGLKGFLWVFVTAVFCTTVTQFCYYQGLNLTSSSMATAMLNLIPGVTFLLSLLLGFEKLKWRSLRSMAKIFGTLICIGGAMCMALYKGSSILLSSEQNLMLGSILLFGTVIGLSFCLILQVILCKHYLDPLSLSVWLSFLAAISSAILTGFIYQNLNIWKIRTQTQLIACLFGGIIETAVPYYLLAWTLATRGPLFAVLFNPLGTVITTTFTFLVLGEILYVGRLVGAIAAIVGLYIVLWGKVEDYGTKTELDQKDDSIEQVDGGSDLHEPLVSGIRGVDV >DRNTG_00453.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27269727:27273986:1 gene:DRNTG_00453 transcript:DRNTG_00453.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 2 homolog 2 [Source:Projected from Arabidopsis thaliana (AT5G44560) UniProtKB/Swiss-Prot;Acc:Q0WTY4] MGFFKDLILRKKTSAKDALRESKREMSIATRGVEREIAALQLEEKKLVAEIKQTAKTGNEAATRILARQLVRLRQQITNLQGTRAQIRGVATHTQAMYASTSISTGMKGASKAMKAMNKQMEPAKQAKVIREFQKQSSQMDMTIEMMSESIDETLDKDEAEEETEELTNQVLDEIGVGVASQLSSAPKGRIATTRKVESVSRNTAPETPGVDDLEKRFASLRRN >DRNTG_06734.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000296.1:58407:59147:-1 gene:DRNTG_06734 transcript:DRNTG_06734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTHARASDPQEKTYAPMTSLVIREKYQVLYTPGWKNPHRRGHSYAQFTRGNRSPCVFLRWRELLREFSQGRMELPTPVRGSQSRSQGRVHAPVCSREDLPNSVGIHTPVRKLPTGV >DRNTG_10536.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000444.1:1:1984:-1 gene:DRNTG_10536 transcript:DRNTG_10536.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAIRSRTAKKIEFQRIHTGVWKFHTPVWKFHRGMWNIHTPVWMPDSSPLKATI >DRNTG_18619.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22335321:22342807:-1 gene:DRNTG_18619 transcript:DRNTG_18619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAFAELPANEKTSPLPAPLVPKVNAGIPSVPKKFLFSAAKGNNVGVGGGSEKATVSTGTGKVKPEPLSDIFSFPSSIGLLDSPDSFASLLGMPSVKDFKIESWSEKLGQFLAVKCTAAAAP >DRNTG_18619.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22340468:22342807:-1 gene:DRNTG_18619 transcript:DRNTG_18619.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAFAELPANEKTSPLPAPLVPKVNAGIPSVPKKFLFSAAKGNNVGVGGGSEKATVSTGTGKVKPEPLSDIFSFPSSIGLLDSPDSFASLLGMPSVKDFKIESWSEKLGQFLAVKCTAAAAP >DRNTG_04588.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000220.1:76303:78812:-1 gene:DRNTG_04588 transcript:DRNTG_04588.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCSDDLTLKIWDTSGNPSQTSGDGYVPWQHICTLSGYHGRTIFSVSWSSEGVIASGAGDDALRLFVANKDNLVDGPSYSMVLKKEKAHAMDVNSVQWNPKDPQVLASASDDGSVKIWELVDVSQ >DRNTG_04588.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000220.1:76303:78296:-1 gene:DRNTG_04588 transcript:DRNTG_04588.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGISRKHAYITTLMLIVDLCLTANSYITLGIYFTLKVNTSMDKCGILCFFIYIYILMKHLPLLFSGHTSTVWASSFSECGNRMVTCSDDLTLKIWDTSGNPSQTSGDGYVPWQHICTLSGYHGRTIFSVSWSSEGVIASGAGDDALRLFVANKDNLVDGPSYSMVLKKEKAHAMDVNSVQWNPKDPQVLASASDDGSVKIWELVDVSQ >DRNTG_27613.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28455447:28459491:-1 gene:DRNTG_27613 transcript:DRNTG_27613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMEREVTKNEEKHLLMPKIKLEENINEIDDAMVDQISAVDEDCSRGMKKWLIEHKQRRPGLKILQQRIDEFIIAHEEEQEQARKEQEARAAEGGWTVVVHHKGRKKTTEAETGVTVGSVAQAAVMDKMAKKKAQEPVSNFYRFQRREAQRNEVMMLQSKFEQDRKRIQQLRAARKFRPY >DRNTG_29853.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23118488:23124884:1 gene:DRNTG_29853 transcript:DRNTG_29853.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARDKSKPSAPSKKKGKKRGVNGPAVAAMKSSKASKPNPFETIWSRSKFSIIGRRGKGEERRVGRARSQAIEKRNKSLLKEYEESGKSSKFIDRRIGEKDDTLQDFDKAILRMQRQRQLKLKRSSKFNLPDEEEDDDDDSAFNQSHSLSAKDDFEDNVSLDDEDEAEEYIRLSNVADSSKHFPSHDMNDSLETSSQDGNENTHKTKKQVMMEIIQKSKFFKEQKAKDKEEDRHLMEKLDEDFRSLAQSEALRSLTQPTKMNALKALLNKNPTGKTSKEEKTTVDKELFKKEQPDAYDKLVKEMLHDIRARPSDRTKTPEEIALEEKERLELLEEERKNRMLAADDSDEGSEGSDNDELPDIKKRKTLSGDDLGDSFSVGAETANKKGWVDDIYEREDAAGDKDKDVTSEGSDSEEDDQGADSDEDDSSGNESDAIPSVKDWEQSDDDALGTNDEAELEEKELNDNDAKGMKVRKMNSDNQKADVARRLLSKQETLPYVIEVPKNLVELCSLLDNRSDAEVVEAINRIRACNSIKLTAENRWKMQGFYGVLLQYFATLASRKPLNIGRINSLVRPLMEMSGETPFYAAICARERLSHIRTRFCTDIKLQDKSSWPSLKTLLLLRLWSLVFPCSDFRHAVMTPAALLMCEYLMRCSIVSGQDIVVGSFLCSMMLNVCKQSHKFCPEALNFLQVLLISAIDLKPGRKNLSQMYHTIELKTTKPWLRICSQESEVHALDFFEVMNMEAESTYFSSDDFRASVLAFMAEILKGFISIYEGLSSFTEIFSPISGLLLAVLQNDNIPQLLRNNLEDIVEFIKKKTDEHQKLRQPLQMRKQKPEPIKLLNPKFEEDFVKGRDYDPDRERAEMKKMKKRLKSEKKGAMRELRKDNHYLFQVKQKDRMREEEERTEKYGKAMAFLQEQEHAFKSGQLGKGRKRRR >DRNTG_13593.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:721172:721589:1 gene:DRNTG_13593 transcript:DRNTG_13593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRREWGRRWWRIRWMRRWSGRRGCSERRRREEIRRRRNRRRLRE >DRNTG_33406.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1546273:1548708:1 gene:DRNTG_33406 transcript:DRNTG_33406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSWVLSSPALTPKPFSLAFKPCISISIRDSSLFSSIPLRIRLQIQRNLPFSPCSAVQDIPVVENEAKYDVKKEEGILEKRRKLYVANIPWSYSAPDIVKLFSECGTVKDVEIIKQKNGKSRGFAFVTMASGEEACAVVQKFDAYELTGRVIRVEFSKSFRKPAPPPPPGSPRAETRYKVYVGNLAWKVRSVNLKEFFSQKFNPVGARVVFDSPSGKSTGYGFVSFATMEEAQAAVKELDGKELMERPLRLRIGQKNEETGSSEPEEEDNSEEQIEES >DRNTG_35112.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8761021:8765906:1 gene:DRNTG_35112 transcript:DRNTG_35112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCANFHSFASQFINGRAQMQPCLHIPPLCKDFKTFQDALMKEKPSIALPYDRCARSCGLKRRMFIIALGNHRSQ >DRNTG_02361.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12863863:12864520:-1 gene:DRNTG_02361 transcript:DRNTG_02361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQCFHSLCQLLTITGGLRGTRNVLVEEMIAIFLNIVAHHVKNRVIKFDFVRSAKTVSRHFHAILKAITLCHKVLLKKPEPVLEDSIDFRWKWFKNCLGALDGTHIKVNVPKADRPRYRTRKSEITTNVLAVCSQDMQFIYVLSGWEGSAHDGRVLRDVVTK >DRNTG_06314.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2149722:2150931:1 gene:DRNTG_06314 transcript:DRNTG_06314.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSTAAQHNSVQSRSIKEPPVNGSAYLLTRVNHAASH >DRNTG_06877.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14260866:14262798:-1 gene:DRNTG_06877 transcript:DRNTG_06877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSASSIMDLDDMKKSLDYMKANEIFELIERAINIAASQHPKELLQQRDRIVDMLLNSDTHDEKRIINDGDDLWGDFPTIDEAESMLTEVASLDPLKLFNKIISLDDVIEDNDYKLLNQNEHEITSSLIDDNSEICSKPQSNGTNAKLKVSQLCKVTSSSSTKQDQNQQKDCLASLDDASLQAKVENSERKFIERQQQQQQQQQQQQQQQKKRRKLLIEDDDAEDNDHKSMNQNEQKIETQMQEDSICPCTSSVTENNSEKCSKPQKSQSCEVTSSNTTKQDQNQQKDCLASLDDVSLQAKLENSKRKFIERQQEQEKKRRKIQLIKPQALSKQEQQQIRKQSLFKPKRRLGVMIKF >DRNTG_06522.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29393560:29403389:-1 gene:DRNTG_06522 transcript:DRNTG_06522.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVIVSSDEEGIVMKGPIKSKNEIEDLPPVPPVDVCLEPHHQTLPVGVISSIIGSRVIVEGSEKHNPLSEGSILWITETRSPLGVVDEIFGPVKNPYYIVRYNSDTEIADGVKEGMSVSFVLEFANHVLNDKDIYKKGYDASGENDEEMTDEVEFSDDEKEAEYRRSLRQAKRATGHSMHSNRECGVNKKRNDRKVAGTKKNARAPNLHARAATDQSLPFGPGTHASSGTSSDGNPAFSSGGGAFPMPPTILPAERTGACLNHPLDQSLQHPPNSVWAHSLPPPPPPQQQLNASGPGMLLQQQPNVWPNDMLPQQQLGAWALGVLLQQQQQQQQQQNALAQGFSPLHQLIGLQGGLGGASYPHPQNPALNTYSNAMASQRPFLPQSSPPLGGPWNGGGLLNLPVNPMVTRPFGQTGFGLAQIGPSNAQDPRVFEGQNPAAIRQAGQQPQPFSPGRSSPHGRRPHGRGGRHSFGRGNRARGR >DRNTG_06522.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29393560:29396444:-1 gene:DRNTG_06522 transcript:DRNTG_06522.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWRIPAIREAPGQPFLDSVLDFDPVVEWLVDPDLSPMSISNARDAKIEPKEDDVPALHESRVEESELPKEDDVPAVHESRADESEILGSPIDEKMDKVSLNPVINGGSESVASPDEKIKKMEHFEDVLGSEQANGTQGVGLVSKGEEEEVGSESESDEESSSEESSSSSSGEEEDDDGGDEVEEAEEGEIMEDVIVSSDEEGIVMKGPIKSKNEIEDLPPVPPVDVCLEPHHQTLPVGVISSIIGSRVIVEGSEKHNPLSEGSILWITETRSPLGVVDEIFGPVKNPYYIVRYNSDTEIADGVKEGMSVSFVLEFANHVLNDKDIYKKGYDASGENDEEMTDEVEFSDDEKEAEYRRSLRQAKRATGHSMHSNRECGVNKKRNDRKVAGTKKNARAPNLHARAATDQSLPFGPGTHASSGTSSDGNPAFSSGGGAFPMPPTILPAERTGACLNHPLDQSLQHPPNSVWAHSLPPPPPPQQQLNASGPGMLLQQQPNVWPNDMLPQQQLGAWALGVLLQQQQQQQQQQNALAQGFSPLHQLIGLQGGLGGASYPHPQNPALNTYSNAMASQRPFLPQSSPPLGGPWNGGGLLNLPVNPMVTRPFGQTGFGLAQIGPSNAQDPRVFEGQNPAAIRQAGQQPQPFSPGRSSPHGRRPHGRGGRHSFGRGNRARGR >DRNTG_32267.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:7148859:7158333:-1 gene:DRNTG_32267 transcript:DRNTG_32267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEITLRSGREVECRLPSEMSKEHAPEEMFNLDTYEGLFDQEEDYEEVMMLGSIEEVPSTPGILKKVLRKMKRSRRRHRKHSKAVGDVRELKNLDVSLLEDFSPSRENTGRAAAPVSWACECPRPWRISARTCRTLGILLGCPEKAQEGAAAPMGWAHGRGRSFRVSESFSGERPGECTCPYDALLIDMAPRLKKNETCFADLQVLRDIQRGDELANEIDEMLAIGSWRRLLPISEPAYRALTLEVLASFEFQFMRGRFDTTDAIQFQAFGHRFSISVTEFLIRTDLILCGHGEYEPGLPKTTRLSQSSYRYLHIVISRSMSDRADNTSALTKLILGMGLGDALGGAERIVAPTPLVFDMIRMMGLVRRCGPGVYILTTATPETARSEGVTAKGSPQIPRPPAAHGPRAYDRIERLESIVREIQTEIVELRAIQSA >DRNTG_22992.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1771684:1771996:-1 gene:DRNTG_22992 transcript:DRNTG_22992.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGMLLFFLTATVSLLRPSSCSSIGPCESPTSLQLVVLYTAITLWIIGVGGTRLNPATMGSQPV >DRNTG_22992.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1771684:1772734:-1 gene:DRNTG_22992 transcript:DRNTG_22992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDQLLSPPCPPPPHRRRLGGWITFPFITVAMIGTGIATGSMANNLTVYLIDMFNMGKLNAAQISSFVDGCLNIAPLLMAIVADSCFGSFLVISTSIISSSLGMLLFFLTATVSLLRPSSCSSIGPCESPTSLQLVVLYTAITLWIIGVGGTRLNPATMGSQPV >DRNTG_30152.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3321803:3329321:1 gene:DRNTG_30152 transcript:DRNTG_30152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDAGAEDEEKWLAEGIAGIQHNAFFMHRALDSNNLKDALKFSAQMLSELRTSRLSPHKYYELYMRAFDELRKVEMFFKEETKRGCSVIDLYELVQHAGNILPRLYLLCTVGSVYIKSKEAPSKDVLKDLVEMCRGIQHPVRGLFLRSYLSQISRDQLLEIGLQDEGDAENVNDAVEFVLQNFTEMNKLWVRMQHQGPAREREKREKERSELRDLVGKNLHVLSQIDGVDLDMYKDIVLPRVLEQIVNCKDELAQYYLMDCIIQVFPDEYHLQTLETLLGACPQLQPTVDIKTVLSQLMDRLSNYAASSPEVLPEFLQVEAFAKLSNAIGKVIEANADMPVVGAITLYVSLLTFTLRVHPDRLDYVDQVLGACVRKLSGKAKLEDSRATKQIVALLSAPLEKYNDIVTALNLSNYPRVMDHLDNATNKVMAVVIIQSIMKNATCISTSDKVEALFELIKGLIKDVDGSAEDELDEEDFKEEQNSVARLIHMLYNDDPEEMLQIICTVRKHILLGGPKRLPFTVPSLAFSALKLVRRLQGQDGDVASEEEPATPKKIFQILHQIIEALSSVPSPELALRLYLQCAEAANDCDLEPVAYEFFTQAFILYEEEVADSKAQVTAIHLIIGTLQRMNIFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVEDQDGIKDGERVLLCLKRALRIANAAQQMANVTRGSSGPVTLFVEILNKYLYFFEKGNPQITTAAIQGLIELITTEMQSENATTDPAADAFFASTLRYIQLQKEKGGTIGEKYEPIKV >DRNTG_13087.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000591.1:21545:22528:1 gene:DRNTG_13087 transcript:DRNTG_13087.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIERTTERSSSKASGNKRAFVFAAVVVNARFNTEFSAENVENHYRTLKSQYAEIKKVRELSGAGWDDATKTITLDPVVALTYIEAHPAAKAFINKPIEHYESLRVICGEDNATGVYATSVFADLGENSKHEGNNNNTFDEEPIEHPSDDDADANSASPAVSSPATSSTPRSQRSSRGSKNPSMMGDLIVVVGEMASAIKNPTYWTESLYAKVMEVDGFEKKELVQVFDFLQFREIEARGFIVKDMDLRKDWIENFLSRIV >DRNTG_08252.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:214184:218046:1 gene:DRNTG_08252 transcript:DRNTG_08252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAELIPSSAVRESFETLEKQRELITSCTALWKELSDHFSTLERGLQLRSDSLRSRRESLDASTKRTLDSLNRREHSIDTSVRIALAKLEDRRLAALHALSSTDSAEADDLSAKLRSLSTKMDTEGFFDLLASKKKEVDVLRSEVPVALADCIDPAKFVIDAISMVFPVDRRVVKTSNDYGWVCVLILESLVPVLADPQLGESRSLVTVAVKERAKEMADEWKGGLEKLGGIDGTKPSSAHAFLQHVVTFGIAVKEDKELYRMLILAFAWRRQMPKLALLLGFEDSMTDMIEELINKGQHLDAINFAYEAGLQDKFPPIPLLKSFLKDSKKTASSISEERSSPVRSTTYNGGRREQSAIRAVMKCIEDRNLEAEFPVQNLQKRLEQLEKVKAEKKKSPSPNSPANKRTRANNGGPMPPAKAGRLTNNAYVSSFPAAPTYVRSPSHHTYPAAASPYGQVGNGAYGSRSPPAIRDPYGYPAEEMSPHARGAPYLPAPLQYPPVAPASFAPAPTSYPSPPMTYPTYGGYSNGLAPAYQQGYYR >DRNTG_24847.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29391277:29394131:-1 gene:DRNTG_24847 transcript:DRNTG_24847.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGPDARIAGAGVEGFYLDKFKLYQTQSKFYIFGREKSKLLWKVLKIDRLEPFELNIHEDLTTYSESQCRDLLQRLHEGNRSTGGLVFVTGFYGIIGFVKFLGPYYMLLITERRKLGSICGHEVYAVAKSEMIPLSNYTVWSNMVNSKVENRFLFDLLVYKSVVFTAFPTICTDRKTLMWKLYSWSLHVFEMWSGSNFTSTFPSVPLTHSRVVIRNKLHMIYFGCYPLVNELTVLSCLVSL >DRNTG_24847.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29386342:29394131:-1 gene:DRNTG_24847 transcript:DRNTG_24847.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLQRNVCDYQTGPALYETMFVWNEFLTRRIRNHLKNTLWTVALVFGFFHQAVLSASGKDCELTLIARRSRHFAGTRYLKRGVNEKGRVANDVETEQVVSEYTPKEFPARISSVVQNRGSIPLFWSQEASWRNFKPDIILLKKDQNYEATRLHFENLVNRYGNPIVISNLIKTCERKPREAKLRVEFAEAINQINNDLPEADRLRFLHWDIQKYCRKRGTKVLELLGEVAVHALNLTGFFYCQLTPTLSFHGGTMCPIFGKDDAGDFSCNSEDDSSRESRDKTEDGGCFVEPLMLQKGVLRTNCIDCLDRTNVAQYAYGLVALGYQLQALGILDTPKIDLKDPLAESLMMLYEQMGDTLALQYGGSAAHNKIFSERRGQWKAATQSQELFRIFQRYFTNTYMDTEKQDAINLFLGHFQPQLGKPELWELDSDQHYNVGRHDHDFIDERARLNIKRSLSDGSMICENEIPISMHQTGETETFADTAPKLSNCDDSLSFSRNDPMIPVRQFFAENMCGAYLNKQGSDVSDCSNFLNFEWLSSTGNSREDLFERTSLGDDIEQIPEAEDEPHTNGEEAEGAQISCDVSNHSTDVVNIFSESFVQWVAEGDNCFTLI >DRNTG_24847.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29386342:29394131:-1 gene:DRNTG_24847 transcript:DRNTG_24847.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLITERRKLGSICGHEVYAVAKSEMIPLSNYTVWSNMVNSKVENRYKKLLGTVDLTKDFFFSYSYNIMHSLQRNVCDYQTGPALYETMFVWNEFLTRRIRNHLKNTLWTVALVFGFFHQAVLSASGKDCELTLIARRSRHFAGTRYLKRGVNEKGRVANDVETEQVVSEYTPKEFPARISSVVQNRGSIPLFWSQEASWRNFKPDIILLKKDQNYEATRLHFENLVNRYGNPIVISNLIKTCERKPREAKLRVEFAEAINQINNDLPEADRLRFLHWDIQKYCRKRGTKVLELLGEVAVHALNLTGFFYCQLTPTLSFHGGTMCPIFGKDDAGDFSCNSEDDSSRESRGNPSTSGYRSPEDDVGIAESESPTDKTEDGGCFVEPLMLQKGVLRTNCIDCLDRTNVAQYAYGLVALGYQLQALGILDTPKIDLKDPLAESLMMLYEQMGDTLALQYGGSAAHNKIFSERRGQWKAATQSQELFRIFQRYFTNTYMDTEKQDAINLYACNFRKLLSCELT >DRNTG_24847.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29386283:29388780:-1 gene:DRNTG_24847 transcript:DRNTG_24847.9 gene_biotype:protein_coding transcript_biotype:protein_coding MCCWFCRFLGHFQPQLGKPELWELDSDQHYNVGRHDHDFIDERARLNIKRSLSDGSMICENEIPISMHQTGETETFADTAPKLSNCDDSLSFSRNDPMIPVRQFFAENMCGAYLNKQGSDVSDCSNFLNFEWLSSTGNSREDLFERTSLGDDIEQIPEAEDEPHTNGEEAEGAQISCDVSNHSTDVVNIFSESFVQWVAEGDNCFTLI >DRNTG_24847.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29393331:29394209:-1 gene:DRNTG_24847 transcript:DRNTG_24847.10 gene_biotype:protein_coding transcript_biotype:protein_coding LNPALIHKQTQPPFERRGLYSSSSPSLSPGKSSEFWRGREWDRGLRALSERSRAFGLCFDGGRRRSGRSDRRCRC >DRNTG_24847.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29386342:29391160:-1 gene:DRNTG_24847 transcript:DRNTG_24847.6 gene_biotype:protein_coding transcript_biotype:protein_coding MCCWFCRFLGHFQPQLGKPELWELDSDQHYNVGRHDHDFIDERARLNIKRSLSDGSMICENEIPISMHQTGETETFADTAPKLSNCDDSLSFSRNDPMIPVRQFFAENMCGAYLNKQGSDVSDCSNFLNFEWLSSTGNSREDLFERTSLGDDIEQIPEAEDEPHTNGEEAEGAQISCDVSNHSTDVVNIFSESFVQWVAEGDNCFTLI >DRNTG_24847.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29386342:29394131:-1 gene:DRNTG_24847 transcript:DRNTG_24847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGPDARIAGAGVEGFYLDKFKLYQTQSKFYIFGREKSKLLWKVLKIDRLEPFELNIHEDLTTYSESQCRDLLQRLHEGNRSTGGLVFVTGFYGIIGFVKFLGPYYMLLITERRKLGSICGHEVYAVAKSEMIPLSNYTVWSNMVNSKVENRYKKLLGTVDLTKDFFFSYSYNIMHSLQRNVCDYQTGPALYETMFVWNEFLTRRIRNHLKNTLWTVALVFGFFHQAVLSASGKDCELTLIARRSRHFAGTRYLKRGVNEKGRVANDVETEQVVSEYTPKEFPARISSVVQNRGSIPLFWSQEASWRNFKPDIILLKKDQNYEATRLHFENLVNRYGNPIVISNLIKTCERKPREAKLRVEFAEAINQINNDLPEADRLRFLHWDIQKYCRKRGTKVLELLGEVAVHALNLTGFFYCQLTPTLSFHGGTMCPIFGKDDAGDFSCNSEDDSSRESRGNPSTSGYRSPEDDVGIAESESPTDKTEDGGCFVEPLMLQKGVLRTNCIDCLDRTNVAQYAYGLVALGYQLQALGILDTPKIDLKDPLAESLMMLYEQMGDTLALQYGGSAAHNKIFSERRGQWKAATQSQELFRIFQRYFTNTYMDTEKQDAINLFLGHFQPQLGKPELWELDSDQHYNVGRHDHDFIDERARLNIKRSLSDGSMICENEIPISMHQTGETETFADTAPKLSNCDDSLSFSRNDPMIPVRQFFAENMCGAYLNKQGSDVSDCSNFLNFEWLSSTGNSREDLFERTSLGDDIEQIPEAEDEPHTNGEEAEGAQISCDVSNHSTDVVNIFSESFVQWVAEGDNCFTLI >DRNTG_24847.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29386342:29394131:-1 gene:DRNTG_24847 transcript:DRNTG_24847.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGPDARIAGAGVEGFYLDKFKLYQTQSKFYIFGREKSKLLWKVLKIDRLEPFELNIHEDLTTYSESQCRDLLQRLHEGNRSTGGLVFVTGFYGIIGFVKFLGPYYMLLITERRKLGSICGHEVYAVAKSEMIPLSNYTVWSNMVNSKVENRFLFDLLVYKSVVFTAFPTICTDRKTLMWKLYSWSLHVFEMWSGSNFTSTFPSVPLTHSRVVIRNKLHMIYFGCYPLVNELTVLSCLVSL >DRNTG_24847.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29386342:29389581:-1 gene:DRNTG_24847 transcript:DRNTG_24847.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKGVLRTNCIDCLDRTNVAQYAYGLVALGYQLQALGILDTPKIDLKDPLAESLMMLYEQMGDTLALQYGGSAAHNKIFSERRGQWKAATQSQELFRIFQRYFTNTYMDTEKQDAINLFLGHFQPQLGKPELWELDSDQHYNVGRHDHDFIDERARLNIKRSLSDGSMICENEIPISMHQTGETETFADTAPKLSNCDDSLSFSRNDPMIPVRQFFAENMCGAYLNKQGSDVSDCSNFLNFEWLSSTGNSREDLFERTSLGDDIEQIPEAEDEPHTNGEEAEGAQISCDVSNHSTDVVNIFSESFVQWVAEGDNCFTLI >DRNTG_24847.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29386342:29394131:-1 gene:DRNTG_24847 transcript:DRNTG_24847.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLQRNVCDYQTGPALYETMFVWNEFLTRRIRNHLKNTLWTVALVFGFFHQAVLSASGKDCELTLIARRSRHFAGTRYLKRGVNEKGRVANDVETEQVVSEYTPKEFPARISSVVQNRGSIPLFWSQEASWRNFKPDIILLKKDQNYEATRLHFENLVNRYGNPIVISNLIKTCERKPREAKLRVEFAEAINQINNDLPEADRLRFLHWDIQKYCRKRGTKVLELLGEVAVHALNLTGFFYCQLTPTLSFHGGTMCPIFGKDDAGDFSCNSEDDSSRESRGNPSTSGYRSPEDDVGIAESESPTDKTEDGGCFVEPLMLQKGVLRTNCIDCLDRTNVAQYAYGLVALGYQLQALGILDTPKIDLKDPLAESLMMLYEQMGDTLALQYGGSAAHNKIFSERRGQWKAATQSQELFRIFQRYFTNTYMDTEKQDAINLFLGHFQPQLGKPELWELDSDQHYNVGRHDHDFIDERARLNIKRSLSDGSMICENEIPISMHQTGETETFADTAPKLSNCDDSLSFSRNDPMIPVRQFFAENMCGAYLNKQGSDVSDCSNFLNFEWLSSTGNSREDLFERTSLGDDIEQIPEAEDEPHTNGEEAEGAQISCDVSNHSTDVVNIFSESFVQWVAEGDNCFTLI >DRNTG_29292.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11645112:11648747:-1 gene:DRNTG_29292 transcript:DRNTG_29292.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSHNPGGPEYDWGIKFNYSSGQPAPESITDKIFGNTLTFCVAMDHLHVEHQMGKQRRRKECLEQRGLGSLPKQCPRKKQRRRLGWTNLHQTRSQIL >DRNTG_29292.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11647103:11650300:-1 gene:DRNTG_29292 transcript:DRNTG_29292.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVRTLCNLERIFPPSFFDVMIHLVVHLASEAKLAGPVQYRWMYPIERYLLTLKSYVRNRSRPEGSIAEGYIAEECITFCSRYFEGINIRFCKLPRNDNFGDPVNGHSIGKSTTFTLDLIEWKQAHRYMLFNSESLDCYIREHKAEINRQNRRISAYELDKTHYQKFDEWVFHKIQNVQNASLPIEVAYLAKGPDVVARSYNAYTVNGFRFHVKSRARNRKTQNSGVVVVASTMSFASVRDKTPIESDITYFGVLNNIISKYRRMSFLDLLLHAKLKQMLFRHGSIS >DRNTG_29292.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11645112:11650300:-1 gene:DRNTG_29292 transcript:DRNTG_29292.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVRTLCNLERIFPPSFFDVMIHLVVHLASEAKLAGPVQYRWMYPIERYLLTLKSYVRNRSRPEGSIAEGYIAEECITFCSRYFEGINIRFCKLPRNDNFGDPVNGHSIGKSTTFTLDLIEWKQAHRYMLFNSESLDCYIREHKAEINRQNRRISAYELDKTHYQKFDEWVFHKIQNVQNASLPIEVAYLAKGPDVVARSYNAYTVNGFRFHVKSRARNRKTQNSGVVVVASTMSFASEDELSRSVASCKVEANVVQTWINFLDDTWNLQSSNLELKKKQIDDELERNESHFLNLTKQHLAAYKEDLGPSISRIRTFVDNLMTLNERRSEKMQPAVGIEVETNPQKFLEEEYLETETKGWHNVNSCCFCCNP >DRNTG_29292.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11645112:11650300:-1 gene:DRNTG_29292 transcript:DRNTG_29292.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVRTLCNLERIFPPSFFDVMIHLVVHLASEAKLAGPVQYRWMYPIERYLLTLKSYVRNRSRPEGSIAEGYIAEECITFCSRYFEGINIRFCKLPRNDNFGDPVNGHSIGKSTTFTLDLIEWKQAHRYMLFNSESLDCYIREHKAEINRQNRRISAYELDKTHYQKFDEWVFHKIQNVQNASLPIEVAYLAKGPDVVARSYNAYTVNGFRFHVKSRARNRKTQNSGVVVVASTMSFASVRDKTPIESDITYFGVLNNIISKYRRMSFLDLLLHAKLKQMLFRHGSIS >DRNTG_29292.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11645112:11650300:-1 gene:DRNTG_29292 transcript:DRNTG_29292.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVRTLCNLERIFPPSFFDVMIHLVVHLASEAKLAGPVQYRWMYPIERYLLTLKSYVRNRSRPEGSIAEGYIAEECITFCSRYFEGINIRFCKLPRNDNFGDPVNGHSIGKSTTFTLDLIEWKQAHRYMLFNSESLDCYIREHKAEINRQNRRISAYELDKTHYQKFDEWVFHKIQNVQNASLPIEVAYLAKGPDVVARSYNAYTVNGFRFHVKSRARNRKTQNSGVVVVASTMSFASEDELSRSVASCKVEANVVQTWINFLDDTWNLQSSNLELKKKQIDDELERNESHFLNLTKQHLAAYKEDLGPSISRIRTFVDNLMTLNERRSEKMQPAVGIEVETNPQKFLEEEYLETETKGWHNVNSCCFCCNP >DRNTG_29292.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11645112:11649147:-1 gene:DRNTG_29292 transcript:DRNTG_29292.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAVFAVIRKRKANGGFIMSVSHNPGGPEYDWGIKFNYSSGQPAPESITDKIFGNTLTFCVAMDHLHVEHQMGKQRRRKECLEQRGLGSLPKQCPRKKQRRRLGWTNLHQTRSQIL >DRNTG_29292.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11645112:11650300:-1 gene:DRNTG_29292 transcript:DRNTG_29292.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVRTLCNLERIFPPSFFDVMIHLVVHLASEAKLAGPVQYRWMYPIERYLLTLKSYVRNRSRPEGSIAEGYIAEECITFCSRYFEGINIRFCKLPRNDNFGDPVNGHSIGKSTTFTLDLIEWKQAHRYMLFNSESLDCYIREHKAEINRQNRRISAYELDKTHYQKFDEWVFHKIQNVQNASLPIEVAYLAKGPDVVARSYNAYTVNGFRFHVKSRARNRKTQNSGVVVVASTMSFASVRDKTPIESDITYFGVLNNIISKYRRMSFLDLLLHAKLKQMLFRHGSIS >DRNTG_29292.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11645112:11650300:-1 gene:DRNTG_29292 transcript:DRNTG_29292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVRTLCNLERIFPPSFFDVMIHLVVHLASEAKLAGPVQYRWMYPIERYLLTLKSYVRNRSRPEGSIAEGYIAEECITFCSRYFEGINIRFCKLPRNDNFGDPVNGHSIGKSTTFTLDLIEWKQAHRYMLFNSESLDCYIREHKAEINRQNRRISAYELDKTHYQKFDEWVFHKIQNVQNASLPIEVAYLAKGPDVVARSYNAYTVNGFRFHVKSRARNRKTQNSGVVVVASTMSFASVRDKTPIESDITYFGVLNNIISKYRRMSFLDLLLHAKLKQMLFRHGSIS >DRNTG_21763.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23125647:23139293:-1 gene:DRNTG_21763 transcript:DRNTG_21763.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH11 [Source:Projected from Arabidopsis thaliana (AT3G46960) UniProtKB/Swiss-Prot;Acc:F4JAA5] MDRITAANEVAFKIGFSGHGGHLRLEPLPPVDRSNSLKSLPDFILPPAFQPETPESVKKYLEERYLLPELDQDEFSAEKSGKLWDFDWFDKAKVHAEPSLPRYVVAPTWELPFRRSKNTTSAGIWHPTSVEVELAELLEGAQDSGTLPRVPGPAKDFVRGSLNNRPFRPGGLDGSQPTVRILPEGAQTGDWVHEIITGGVAQNVPPSFKKGLELGYLKEYPKDWKLTHGGASTVQSTPEQNLDGFSVQFDDLFRKAWEDDVTEELGTDGPELSVDPELSLPEVLQEESDDKSATSDAKSSALDEILLTDLGMEKRSQSGPSSNNKHRDAGAWAVAGGNVEIANRFHELVPEMALEFPFELDTFQKEAIYYLEQGESVFVAAHTSAGKTVVAEYAIALASKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSLKPEASCLIMTTEILRSMLYRGADIIRDIEWVVFDEVHYVNDVERGVVWEEVIIMLPKHVNVVLLSATVPNTIEFADWIGRTKQKKIRVTGTSKRPVPLEHCLFYSGELYKVCEQELFVPQGWRGAKDAYKRKNASKAGGSSGANVGAAAPHGTTQNRQREFSNKGKAQKHSGPQATSNQTGTGGAQQNNWVSRRQESTLWLLLVNRLQKKSLLPVVIFCFSKNRCDKSADNMSGTDLTTNSEKSEIRVFCDKAFSRLKGSDRDLPQVVRVQNLLRRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDTLRKFDGKEFRRLLPGEYIQMAGRAGRRGLDNIGTVIVMCRDEIPEESDLKQVIVGKPTRLESQFRLTYNMILHLLRVEELKVEDMLKRSFAEFHAQKNLPEKERLLMLKLAQRAKSIECIKGEPAIEEYLDMATEAEALRQHVSEAVMQSHTAQQFLLPGRVVVVRSQSADDNLLGVVLKSPSSTFKQYIVLVVTTDAVSSMQASSVSLNKQGNESGNFPQGYVLVPKGKRGMDEEYFTPINSRKGSGIVNIKLPYYGSASGVNYGVIGIEAKDFLSICNCKIKIDQVRLLEDPSDVAYSKTVQQLLEQKAAGSKFPPVLDAVKDLKLKEVVLVEKYRKYNSILQRMSENKCHGCMKLKEHTALQMEQRKHMEEVNALKFQMSDEALQQMPDFQGRIDVLKEIHCIDSDLVVQIKGRVACEMNSGEELICTECLFDNQLDDLEPEEAVALMSAFVFQQKNTSEPSLTPKLAHAKKRLYETAIRLGELQARLKVAVDPEEYAKENLKFGLVEVVYEWAKGTPFAEICELTDVPEGLIVRTIVRLDETCREFKNAASIMGNSALNKKMEAASNAIKRDIVFAASLYVTGM >DRNTG_21763.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23129985:23136667:-1 gene:DRNTG_21763 transcript:DRNTG_21763.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH11 [Source:Projected from Arabidopsis thaliana (AT3G46960) UniProtKB/Swiss-Prot;Acc:F4JAA5] MALEFPFELDTFQKEAIYYLEQGESVFVAAHTSAGKTVVAEYAIALASKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSLKPEASCLIMTTEILRSMLYRGADIIRDIEWVVFDEVHYVNDVERGVVWEEVIIMLPKHVNVVLLSATVPNTIEFADWIGRTKQKKIRVTGTSKRPVPLEHCLFYSGELYKVCEQELFVPQGWRGAKDAYKRKNASKAGGSSGANVGAAAPHGTTQNRQREFSNKGKAQKHSGPQATSNQTGTGGAQQNNWVSRRQESTLWLLLVNRLQKKSLLPVVIFCFSKNRCDKSADNMSGTDLTTNSEKSEIRVFCDKAFSRLKGSDRDLPQVVRVQNLLRRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDTLRKFDGKEFRRLLPGEYIQMAGRAGRRGLDNIGTVIVMCRDEIPEESDLKQVIVGKPTRLESQFRLTYNMILHLLRVEELK >DRNTG_06332.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20246612:20247290:1 gene:DRNTG_06332 transcript:DRNTG_06332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNTFSYLQQVMDELWFSQNILLGKTRTASSTPLPAHLPTNSSSESLPGNSSTSQVFNTS >DRNTG_07394.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7422250:7423082:-1 gene:DRNTG_07394 transcript:DRNTG_07394.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIKVMAKFLQCFWGSSAVQPSSGKQKVVLKVEMEDPKKRSKVMKNIAGWLGVYSVALEGNENNKIAAIGEGIDPVSLVHKLRDEMGDVQLISVTPVEEKKK >DRNTG_24858.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001316.1:57871:63754:1 gene:DRNTG_24858 transcript:DRNTG_24858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHENLSYLHHSKRRETSPQLAQRLAEEAVAPALDVFSISSPILQS >DRNTG_02932.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4152104:4154145:-1 gene:DRNTG_02932 transcript:DRNTG_02932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGHFLELLNWFCKYNEEANKAMLSNAPKNNQLTSSTIQRHIIEACGKETRKVILNEIGDKFFSLLLDEARDSSMKEQMAVVLRFVNDVGEIVERFVGVVHVIETSAQCLKNAVDEFFAINGLSLSRLRGQGYDGASNMRGELNGLKALILNENKHAHYIHCFAHQLQLVVVSAAEENHDVSQFFDYVSMIVNMVGSSCKRHDALRQAHHDKVVQKLQSGEISKGQGQNKEISLARPGATRWGSHYKTLLRLFDMWDSLKEMLFAIQQDGEIRKNRGTARGLLDKMGSFEFVFLGKLMLYILGVTDPLSKILQAKDQNIATAVRMVEALKCKLHAYRENGWENLLKETTEFCLKHKIEVPSMDEIIESRRITLVDGEPMTYIHHFRVEIFAMVIDEVAEELNNHFNEENTNLLKGVLSLDPSNNFAHFDHHEILQLARLYFEDFSTAELAELHYQLELYIDVVRGDPYFYNLVDVGALAIKMVKHKYHICFPLVYRLIELALVLLVATATFERCF >DRNTG_02932.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4152770:4154145:-1 gene:DRNTG_02932 transcript:DRNTG_02932.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGHFLELLNWFCKYNEEANKAMLSNAPKNNQLTSSTIQRHIIEACGKETRKVILNEIGDKFFSLLLDEARDSSMKEQMAVVLRFVNDVGEIVERFVGVVHVIETSAQCLKNAVDEFFAINGLSLSRLRGQGYDGASNMRGELNGLKALILNENKHAHYIHCFAHQLQLVVVSAAEENHDVSQFFDYVSMIVNMVGSSCKRHDALRQAHHDKVVQKLQSGEISKGQGQNKEISLARPGATRWGSHYKTLLRLFDMWDSLKEMLFAIQQDGEIRKNRGTARGLLDKMGSFEFVFLGKLMLYILGVTDPLSKILQAKDQNIATAVRMVEALKCKLHAYRENGWENLLKETTEFCLKHKIEVPSMDEIIESRRITLVDGEPMTYIHHFRVEIFAMV >DRNTG_28853.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001451.1:95778:97218:-1 gene:DRNTG_28853 transcript:DRNTG_28853.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTPHLAWKKVIREAFVSIDPAICALGHKKAMEKKKISFRRKGKKIAISNYPHTTQYGGRMHCSSEMELKLSTQIFIPPPYHMIDTEHEHPQGHRIIK >DRNTG_20267.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23601843:23602259:1 gene:DRNTG_20267 transcript:DRNTG_20267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQGGSTKSLLGGLQHHAPNPAQLVGFLTRIFSGGVLLMLSGLTISGLIMGLIVFAPVILVTGPLWFPAAVVLFIAAAVIVSTCGVGVAVLAAVTWLYRYFTGKHPLGTDRLDYARSRIAMVICRAVLRMLHLGLN >DRNTG_12461.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20930763:20932113:1 gene:DRNTG_12461 transcript:DRNTG_12461.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTVKKIFYFNDGLPSKYIKEKVEELDKENFICVYSVSEGNLIGSVFSSIIYRLKLVPSIDGGCIFTLTGEYTVNPGVEGAEVYINGDMELNVGVFMAVDAFLTAHPNTYNA >DRNTG_07511.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27128237:27131537:-1 gene:DRNTG_07511 transcript:DRNTG_07511.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGALAGIEKELKKLDNTLLTIQDMLEDAEARQMKDKALKRWLRKLKDLASDMCDILDEFMAEAEKRKMDKDMKAKLLNLVAGPKFGYKMADKMKEIMKRLDEIVDKRSKFDSREGGVKEEIWLFKGSAFGRKIYFRPKPMFLAEIEVCSARADRGHDDGDLNNLDLAFQVTSRPLEISPAIDFNPRKLHLGCFAWGMDSNSQASADQEDPISTVQVTTQSDEFNEDLEEDSLMDLDGTGDSSSNQAPKISEDQSALSPTDAQALPRFVEVIEQKNLILNGMKRQASSPYSLDPTRRKFLNIPEKEQFL >DRNTG_22756.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4100578:4116633:1 gene:DRNTG_22756 transcript:DRNTG_22756.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVISPETVILKPRTDKREYRRIVLPNSLEALLISDPETDKAAAAMNVSVGYFSDPEGLEGLAHFLEHMLFYASEKYPLEDSYSKFITEHGGSTNAYTTAEHTNFFFDINADYLDEALDRFGQFFIRPLMSSDATQREIKAVDSENQKNLLSDAWRMGQLQKHICSKDHPYHKFSTGNWDTLEVVPKAKGLDTRLELIKFYKDNYSANIMHLVVYGKESLDKLQNLVESNFKDVLNIERGKICFPGQPCSSEHLQILVKAVPIKEGHMLRIIWPVTPNIRYYKESPSRYLSHLIGHEGVGSLFYVLKSLGWAMSLTAGEGDSSYDFAFFCVNIELTDSGHEHVEDVVGLLFKYIALLRNSGIHKWIFDELVAICETGFHYKDKIPPINYVANIALNMQLFPPEDWLCGSSLPSRFDPSTINRMLDELTQNNVRIFWESQKFKGCTDSLEPWYKTSYTIERITFASIQQWVEKAPNECLHLPEHNIFIPTDLTLRQVEEKVKFPVLLRKSSLSKLWYKSDTTFFTPKAYVRIDFNCPESKFSPEAVVLTDIFTRLVADYLNEYAYDAQVAGLYYSIQCSDTGFQVVVVGYNHKLRILLEMIISKIKQFEVKLDRFSVIKETTMKDYQNFKFQQPYHQALYYCSLLLEEQMWPLTEQLEILPFLQADHLMKFYPCLLSRAFCECYIAGNVGSTEAISIIDHVEDVLFKSSHATSKPLLPSQHLTNRIVNLERGLGYYYPVEGLNQTDENSTLVHYIQIHQDNIKLNVKLQLFALIAKQPAFHQLRSVEQLGYITVLRQRNDSGVWGLQFIIQSTVKDPAQLDNRVEAFLKMFESELYEMTDDQFKSNVNALIDVKLEKFKNLREESAVYWREIFDGTLRFDRRESEVAALRELTKQELFEFFDSYIKVGAPQRKTLSIQVYGSRHSTEFQSTIRGSSQPQNCQIRDIFSFKRSRPLYGSFRGGLGQMKL >DRNTG_10244.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19855662:19862203:-1 gene:DRNTG_10244 transcript:DRNTG_10244.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELK4 [Source:Projected from Arabidopsis thaliana (AT4G34460) UniProtKB/TrEMBL;Acc:A0A178V0Y1] MSVAELKERHVAATEQVNALRERLKQGRQSLLDTDVAEYAKSHGRTAISFGSTDLVCCRTLQGHTGKVYSLDWTPERNRIVSASQDGRLIVWNSLTSQKTHAIKLHCAWVLTCAFSPNGQSVACGGLDSACSIYNLNSQVERDGNIPVARVLTGHKGYVSACQYVPDQDTRLITSSGDQTCVLWDVTTGQRISVFGGEFPSGHTADVLSVSINTSNSNMFVSGSCDATARLWDARIASRAVKIYHGHERDVNTVKFFPDGQRFGTGSDDGTCRLFDMRTGHQLQVYNNQPSNNDNTVPSVTSIAFSISGRLLFAGYANGDCYVWDTLVAEMVLNLGSLQNSHEGRITCLGLSADGSALCTGSWDRNLKIWSFGGHRRVI >DRNTG_20224.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13059840:13063689:1 gene:DRNTG_20224 transcript:DRNTG_20224.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASCWSGASYLQVPYSDPPLESLTAFELEGMTSTHIFDIWRRSECYNVTRAVPLGEHGVQDLFTHYLKSDSVLPVVELVPPNPSPIPIHEKVRNNSDAFGIPVHQYDEGIDLLSGSNSGSSEGASRVPCAL >DRNTG_17943.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17577598:17585819:1 gene:DRNTG_17943 transcript:DRNTG_17943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSVGRDRLFQLLGNDYTQEEFEALCFDFGIELDDVTTETAVIRKQNHQDNEEVGEDEEVIYKIEVPANRYDLLCLEGLARALRIYLGKDGIPVYRLSNIKRENLTKIHVKPETALVRPFVVCAILRGITFDEARYDSFIDLQDKLHQNICRKRSLVAIGTHDLDTIQGPFSYEAQSPEQIKFVPLKQVRSFTADELMEYYKTDNKLKAFLHIIKNSPVYPVIYDSNRTVLSLPPIINGAHSAISLQTRNVFIECTATDLTKAKIVLNMMVTMFSEYCQEKFEVEPVAVVHSDGSTSIYPDLSITNMKVDLSDISGSIGVSLPEQEVIHLLKKMQLQTEESLSQSGKSSIIVSIPPTRSDILHPRDVMEDVAIAYGYNNIPKSKPKCLTTGGWQPLNRFSDKIRAEVSRAGYLEVLTWILCSHEENFSMLKRVDAGNAVIIANPRSSEFQVVRNSLMSGLLKTLRHNKDHAKPIKIFEVGDVVIIDESNDVGAANNRRLAALYCNVYSGFEEIMGLVERIMTIVAKPHARSTEHYYEPSNLAEFFPNRQCSIGFKGKKIGNFGIVHPEVLSEFKIQDPCSFLEIDIQSLL >DRNTG_15468.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16408999:16409531:-1 gene:DRNTG_15468 transcript:DRNTG_15468.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISGYAASGMVAKSWAFLRRMQEDGVRASAFTFSIAASFVTSEWHCKQVHAAVVRNCFISLNTVVGNSLIDMYGRIGFVDYACHVFQGIEKPDILSWNSIIAVCGRSGHEDRALAWFKLMLVSVFLPDEFTMSALINACGDLGDIAKGEQLLARCFSLGCIANSIVCSAVIDMYSKC >DRNTG_15468.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16407561:16409531:-1 gene:DRNTG_15468 transcript:DRNTG_15468.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVWDSALCNSMISGYARNGLVLEALKLFVLALKKEIRPTEFTFASILGSSSCFGLMEQGMQIHCYALKSGFEAEMVVASALLDMYMKSGSLDSAIRVFSSMDAKDLVSWNAMIMGLAQHGQGVEALKMFRQLQVHGLQPDRITLLGALSACRYEALITEGNALFSSMVEKYGIVRDIEHYALMVDMFGQAGMLEKAINIIETMPFKPDESVWGLLLETCRIHGDLVFAEMVAKKALELEPRFALPYVVLAKIYETRGKWESMVRLRRSMKDRCVIKVRGHSWISIKNEIFVAKSRQILHFEGETIYTILNLLLLDMKRQGYVPEQHEIFENGEE >DRNTG_34280.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28129361:28130539:1 gene:DRNTG_34280 transcript:DRNTG_34280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSLASALFGPEKTKLTLDWQTRRRICLCIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLARLFEEDESHINTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVMLEIVSGMSNGGRREEEDCVCLLDWAAILQERGALLAIVDKSLGPYYLEEEALLLLNLALRCTNNAPALRPTMSTVVSILDGENSESVSPVKLTTSNACDDRFIAFETFSDNSQPAATLSTSERRFHSSVSVQSN >DRNTG_24696.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19169245:19170907:1 gene:DRNTG_24696 transcript:DRNTG_24696.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKSKAEAKKADSKLSVKKKEVKPKAKPVKKEKAGKDPNKPKRPPSAFFVFMEEFRKTYKEKNPHVNKVAVIGKAGGEKWKSLSTAEKAPYEARAAKLKSEYGKKMDAYNKQAESGNNAADEEEETSDKSKSEINDDEDDESGEEDDDEE >DRNTG_14732.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:101455:105668:-1 gene:DRNTG_14732 transcript:DRNTG_14732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQIQFLHPSAIKDLSFSSSLSPHPIHFHPSNSKPPDSQIPSSPSLFTVLAVAVNPPQESPANSPQRLLKELAERKKTAFPRKKIPPKRFILKPPLDDARLTERFLRSPQLSLKSFPLLSSCLPSSKLSNADRTWIDEYLLEAKQALGYPLEPSDSYDDDNPAKQFDTLLYLAFQHPQEGCERTKTRHVRNGHSRLWFLGQYVLEMALAEFFLQRYPRESPGPMRERVYALIGKRFLPKWIKAASLHNLVFPYDDMDKMIRKDREPPVKCVFWALFGAIYLCFGMPEVYRVLFEVFGMDPEDESCQPKLRRQLEDVDHVSVEFEQRQLTWQDVAAYKPPQDALFAHPRLFRACVPPGMHRFRGNIWDYDSRPQVMQVLGYPLQMNDRIPEITEARNIELGLGLQLCFLHPSKYKFEHPRFCLERLEYVGQKIQDLVMAERVLMKHLDAPGKWVQEKHRRLLMNKYCGRYLRDKHLHRFIIYSESVQEKYEHNRRLKNPITSAVQQAIHGLSYTVYGKPDVRRLMFEVFDFEQVQPKAV >DRNTG_33979.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2250919:2254185:1 gene:DRNTG_33979 transcript:DRNTG_33979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPLPLIASPIPFRIGLWNWHLTVERNKHLLVKLYPEVSNLTREQPPIASFVIKLVSTSSPNRKTIVHPVIFDKQLKSNDDFVWAVENFFSGRFIVDVEFLDLKIASPSGGEPSSIWNSYNIEKQSAATALTCFSRMLSEGIHTDIIINATDGSIGAHRAVLATRSPVFQSMFSHNLKEKELSAVNISDMSFEACQAFLNYIYGDFKADEFLTHRLALLRAADKYDISDLKEACHESLSEDIDTKNVLERLQTAHLYRLPRLKSSCMRYLVSFSKIYEIHDDFNAFLQTADRELIAEIFQEVLAAWKT >DRNTG_35297.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22625319:22626604:1 gene:DRNTG_35297 transcript:DRNTG_35297.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSIDMIAELLKTCSLFKPNHSHRNPNAPPLPLPPPPPQSPPPDDSDPTDENSDITLDLNSDISLPFNWEQCLDVRTGEVYYIDWKTGMRTSEDPRNFNALDSSIFYSSGSSISSSSGDDEDDTQDTACSSSVITSLSSNSSSDATSAAEPTVLIAAGCKACFMYFMVPKWLHVCPKCGAAGLLHLAGDSFT >DRNTG_35297.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22625319:22626553:1 gene:DRNTG_35297 transcript:DRNTG_35297.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSIDMIAELLKTCSLFKPNHSHRNPNAPPLPLPPPPPQSPPPDDSDPTDENSDITLDLNSDISLPFNWEQCLDVRTGEVYYIDWKTGMRTSEDPRNFNALDSSIFYSSGSSISSSSGDDEDDTQDTACSSSVITSLSSNSSSDATSAAEPTVLIAAGCKACFMYFMVPKWLHVCPKCGAAGLLHLAGDSFT >DRNTG_35297.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22625319:22626510:1 gene:DRNTG_35297 transcript:DRNTG_35297.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSIDMIAELLKTCSLFKPNHSHRNPNAPPLPLPPPPPQSPPPDDSDPTDENSDITLDLNSDISLPFNWEQCLDVRTGEVYYIDWKTGMRTSEDPRNFNALDSSIFYSSGSSISSSSGDDEDDTQDTACSSSVITSLSSNSSSDATSAAEPTVLIAAGCKACFMYFMVPKWLHVCPKCGAAGLLHLAGDSFT >DRNTG_35297.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22625241:22626553:1 gene:DRNTG_35297 transcript:DRNTG_35297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSIDMIAELLKTCSLFKPNHSHRNPNAPPLPLPPPPPQSPPPDDSDPTDENSDITLDLNSDISLPFNWEQCLDVRTGEVYYIDWKTGMRTSEDPRNFNALDSSIFYSSGSSISSSSGDDEDDTQDTACSSSVITSLSSNSSSDATSAAEPTVLIAAGCKACFMYFMVPKWLHVCPKCGAAGLLHLAGDSFT >DRNTG_07987.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27348044:27349940:1 gene:DRNTG_07987 transcript:DRNTG_07987.4 gene_biotype:protein_coding transcript_biotype:protein_coding RIIGDKSSENLDKSSFQVAASWQANKNFLLKGKFGSLGSSIALAFKSWWNPSVTFSFSAANDSNGRKYGLGLRIEALRQASYERADPNYVMLKPNKEHLAEGVLRNFGKRPMFQSEIESGNYDRLPRELRPLGKIL >DRNTG_07987.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27332467:27349940:1 gene:DRNTG_07987 transcript:DRNTG_07987.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPAYDLLFGKLALRCLFEDYFEQAGKLNTRIMLKPIEDPHVDLVATFSGPIDKKSGHDINGNAVLRWQRDLNEPNTFMDLSVSDSEPILCMRSSAYYPKFRLGAFGIFPLVVSNRVYPEDYGVMGLRYGSECLSAGTTFMPFPLSSETPFCAWLVGKTGRLTTGVKFKPLGEGTYRMRLKDLKNWSYTIGYGFGSGSPLNPSFNFSLEVDRNSQLIASFYQHLVVQRRVKNPLEESHVVGITNYVDFGFEFGTRIIGDKSSENLDKSSFQVAASWQANKNFLLKGKFGSLGSSIALAFKSWWNPSVTFSFSAANDSNGRKYGLGLRIEALRQASYERADPNYVMLKPNKEHLAEGVLRNFGKRPMFQSEIESGNYDRLPRELRPLGKIL >DRNTG_07987.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27334503:27349940:1 gene:DRNTG_07987 transcript:DRNTG_07987.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSVSDSEPILCMRSSAYYPKFRLGAFGIFPLVVSNRVYPEDYGVMGLRYGSECLSAGTTFMPFPLSSETPFCAWLVGKTGRLTTGVKFKPLGEGTYRMRLKDLKNWSYTIGYGFGSGSPLNPSFNFSLEVDRNSQLIASFYQHLVVQRRVKNPLEESHVVGITNYVDFGFEFGTRIIGDKSSENLDKSSFQVAASWQANKNFLLKGKFGSLGSSIALAFKSWWNPSVTFSFSAANDSNGRKYGLGLRIEALRQASYERADPNYVMLKPNKEHLAEGVLRNFGKRPMFQSEIESGNYDRLPRELRPLGKIL >DRNTG_27960.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3524662:3528138:-1 gene:DRNTG_27960 transcript:DRNTG_27960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S1P [Source:Projected from Arabidopsis thaliana (AT5G19660) UniProtKB/TrEMBL;Acc:A0A178UNR0] MGSKISTGCKSLSGTSVASPVVAGVVCLLVSVIPESSRKYLLNPGSMKQALVEGATKLSGPNIYEQGAGRLNLLASYEILKTYQPRASIFPNSLDYTDCPYFWPFCRQPLYAGAMPVIFNATIINGMGVVGFVESPPTWHPVDEVGNLLSIHFTYSDVIWPWTGFLALHMQIREEGTQFSGVIEGNVTVKVYSPALGAEKDRRSSTCVLHLKLKVIPTPHRSKRILWDQFHNIKYPPGYIPRDSLDVRNDILDWHGDHLHTNFHVMYNMLRDAGYYIETLGSPFTCFDASRYGTLLMVDLEDEYFKEEIEKLRDDVINVGLGLAVFADWYNVDSMVKMRFFDDNTRSWWTPVTGGANVPALNDLLAPLGIAFGDKILTGDFSINGEQSHYASGTDIVKFPGGGFVHSFQFQDNSESGATQNILQSSGMIKESAILGFVDAGRGRVAVYGDSNCLDSSHMVTNCYWLLRKILDFTSEGNKDPVLFHPSKMTAPLHKDKNQLPSRRNDVNFSTYSAVVGKDLLCHKDSRFEVWRTKGYGIQLMGRNRKLPGYPAMDVDSGLNSKANESKRQLEETLRETKWIYSREVARNKLNKTMDFLGLLNHDETDLSMLVLSQWLVPAFVAMI >DRNTG_27960.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3524234:3531933:-1 gene:DRNTG_27960 transcript:DRNTG_27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S1P [Source:Projected from Arabidopsis thaliana (AT5G19660) UniProtKB/TrEMBL;Acc:A0A178UNR0] MAIFDTGIRANHPHFRNIKERTNWTNEDTLNDNIGHGTFVAGVIAGEDPECLGFAPDTEIYAFRVFTDAQVSYTSWFLDAFNYAMATNMDVLNLSIGGPDYLDLPFVEKVWELTANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYNNHIASFSSRGMSTWEIPHGYGRVKPDVVAYGREIMGSKISTGCKSLSGTSVASPVVAGVVCLLVSVIPESSRKYLLNPGSMKQALVEGATKLSGPNIYEQGAGRLNLLASYEILKTYQPRASIFPNSLDYTDCPYFWPFCRQPLYAGAMPVIFNATIINGMGVVGFVESPPTWHPVDEVGNLLSIHFTYSDVIWPWTGFLALHMQIREEGTQFSGVIEGNVTVKVYSPALGAEKDRRSSTCVLHLKLKVIPTPHRSKRILWDQFHNIKYPPGYIPRDSLDVRNDILDWHGDHLHTNFHVMYNMLRDAGYYIETLGSPFTCFDASRYGTLLMVDLEDEYFKEEIEKLRDDVINVGLGLAVFADWYNVDSMVKMRFFDDNTRSWWTPVTGGANVPALNDLLAPLGIAFGDKILTGDFSINGEQSHYASGTDIVKFPGGGFVHSFQFQDNSESGATQNILQSSGMIKESAILGFVDAGRGRVAVYGDSNCLDSSHMVTNCYWLLRKILDFTSEGNKDPVLFHPSKMTAPLHKDKNQLPSRRNDVNFSTYSAVVGKDLLCHKDSRFEVWRTKGYGIQLMGRNRKLPGYPAMDVDSGLNSKANESKRQLEETLRETKWIYSREVARNKLNKTMDFLGLLNHDETDLSMLVLSQWLVPAFVAMICLLLFLSWRMRQKRRRRRKGSGSGSARMTNLV >DRNTG_13810.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28435663:28436695:1 gene:DRNTG_13810 transcript:DRNTG_13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKVCLQHMLLVHLLFCFTQITTASVSAIIVFGDSTVDAGNNNQLQTMAKSNFEPYGRDFIGGKPTGRFCNGRLATDFISEAFGLPPIIPAYLDPTFTIQNFSTGVSFASAASGYDNVTADVLVPMIF >DRNTG_28229.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11913200:11913965:-1 gene:DRNTG_28229 transcript:DRNTG_28229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQPACGLHTINNKEEPIPWTTTPRRPHHPPPLPPRHLLPVYDIRWSQTKVRRSTLSERMSQDSPALDDGQAIKRAFRLGFKLMRMLCNRREHSNV >DRNTG_19709.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001045.1:22124:24914:1 gene:DRNTG_19709 transcript:DRNTG_19709.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTPVETRAPAKDTLFFNLPRRARWSGRMERKDEDGRKEEDNDHRRIKLRVGGFSMGAASTLGLKAKVEVSSEATRQAAVLPVLLCHGGGQDDGIRAKNGMAHKQS >DRNTG_26009.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23103099:23107593:1 gene:DRNTG_26009 transcript:DRNTG_26009.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase A complex catalytic subunit NAA10 [Source:Projected from Arabidopsis thaliana (AT5G13780) UniProtKB/Swiss-Prot;Acc:Q9FKI4] MVCIRQATVEDLMEMQACNLFCLPENYQMKYYLYHILSWPQLLYVAEDYDGHIVGYVLAKMEEESSEPCHGHITSLAVLRTHRKLGLATKLMTAAQAAMESVFGAEYVSLHVRRSNRAAFNLYTSTLGYKIHDVEAKYYADGEDAYDMRKQLKGRQHHHHGHGHHHHHHHHGGGCCSGDSKAVVDAGNAGAGASTSGE >DRNTG_26009.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23103099:23103992:1 gene:DRNTG_26009 transcript:DRNTG_26009.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase A complex catalytic subunit NAA10 [Source:Projected from Arabidopsis thaliana (AT5G13780) UniProtKB/Swiss-Prot;Acc:Q9FKI4] MVCIRQATVEDLMEMQACNLFCLPENYQMKYYLYHILSWPQLLYVAEDYDGHIVGYVLAKMEEESSEPCHGHITSLAVLRTHRKLGLATKLMTAAQAAMESVFGAEYVSLHVRRSNRAAFNLYTSTLGYKIHDVEAKYYADGEDAYDMRKQLKGRQHHHHGHGHHHHHHHHGGGCCSGDSKAVVDAGNAGAGASTSGE >DRNTG_19308.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:629889:630635:1 gene:DRNTG_19308 transcript:DRNTG_19308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEQSNGVKDHTAKYFLLKDEDDLKYGMFAKPLPCFGCGIGWFSFLSGFACPLMWYYATILYFTRHKDPRERGGLAASAIAALICTVAALVSFFILL >DRNTG_27637.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18642010:18645881:1 gene:DRNTG_27637 transcript:DRNTG_27637.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDKKIKIGINGFGRIGRLVARVALQRNDVELVAVNDPFISTDYMTYMFKYDSVHGQWKHSDIKVKDSKTLLFGEKAVAVFGFRNPEEIPWGEAGAEYVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEHEYKTNIDIVSNASCTTNCLAPLAKVINDKFGIVEGLMTTVHSITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPSLNGKLTGMSFRVPTVDVSVVDLTVRLEQAATYDQIKDAIKEASQGKLKGILGYVDEDLVSTDFLGDNRSSIFDAKAGIALNDHFVKLVAWYDNEWGYSTRVVDLICHMAST >DRNTG_21801.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17469141:17471662:-1 gene:DRNTG_21801 transcript:DRNTG_21801.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDSISSPHRRPQNSSFLSTSFKKQTASRDTWSTLFERHRFLLTMLALLAFLCTIYLYFAITLGATDSCSGLSGSEKALCQTRSLMSHKGKLKLF >DRNTG_21801.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17469052:17471662:-1 gene:DRNTG_21801 transcript:DRNTG_21801.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDSISSPHRRPQNSSFLSTSFKKQTASRDTWSTLFERHRFLLTMLALLAFLCTIYLYFAITLGATDSCSGLSGSEKALCQTRSLMSHKGKLKLF >DRNTG_21801.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17469141:17472058:-1 gene:DRNTG_21801 transcript:DRNTG_21801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDSISSPHRRPQNSSFLSTSFKKQTASRDTWSTLFERHRFLLTMLALLAFLCTIYLYFAITLGATDSCSGLSGSEKALCQTRSLMSHKGKLKLF >DRNTG_21801.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17469052:17471703:-1 gene:DRNTG_21801 transcript:DRNTG_21801.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDSISSPHRRPQNSSFLSTSFKKQTASRDTWSTLFERHRFLLTMLALLAFLCTIYLYFAITLGATDSCSGLSGSEKALCQTRSLMSHKGKLKLF >DRNTG_21801.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17469141:17471703:-1 gene:DRNTG_21801 transcript:DRNTG_21801.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDSISSPHRRPQNSSFLSTSFKKQTASRDTWSTLFERHRFLLTMLALLAFLCTIYLYFAITLGATDSCSGLSGSEKALCQTRSLMSHKGKLKLF >DRNTG_02589.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000119.1:19783:21654:-1 gene:DRNTG_02589 transcript:DRNTG_02589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELNGTIPFQLGNLNFMEVLELSDNLFKGDIPPQLSKPTELQELNLSHNELVGHIPSSFQLMTSLTLLDLSYNSLEGPVPKNHFFQTAPIKWFTHNKGLCGQVQGLPLCSQSHSTSIDDARKQHKVIILIVVLVLGALSILFLVSGIFTICCYKRKGSTINDIREDFDGHFFSVWGVNYEKEAYKEIVRATENFSEKYQIGTGASSIVYKATLSSGLTLAIKKIQEEEAQVNEQAFQNEIQTLTEIRHRNIVKFYGFCFTHRFSFLAYEYMERGSLGATLRSEEGVMDLNWIKRVSIIRDIAQALSYLHHDCAPPIIHRDITSNNILLDEEYKACVFGLLVFSRLLKT >DRNTG_23770.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14320108:14324095:1 gene:DRNTG_23770 transcript:DRNTG_23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVEKTASGREFKVKDLSQADFGRLEIELAEVEMPGLMSCRAEFGPSRPFAGARITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERCLDWGPGGGPDLIVDDGGDATLLIHEGVKAEEEYEKTGTMPDPNSTDNAEFQIVLGLIRDGLKVDPKKYRKMRDRLVGVSEETTTGVKRLYQMQANGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVSVVCGYGDVGKGCAAALKQAGSRVIVTEIDPICALQALMEGIQVLTLEDVLSEADIFVTTTGNKDILMVNHMRKMKNNVIVCNIGHFDNEIDMHGLETYPGIKRITIKPQTDRWVFPDTNSGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKERASGKYEKKVYVLPKHLDEKVAALHLAKLGAKLTKLTLDQANYISVPVEGPYKPPHYRY >DRNTG_18468.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7911812:7912957:1 gene:DRNTG_18468 transcript:DRNTG_18468.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSPEPTFPVRPARDVGVDTTDLWDLDTSTTPSDDDSSFESVAF >DRNTG_13670.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000630.1:1315:2662:1 gene:DRNTG_13670 transcript:DRNTG_13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding QYHQLEKRKETPQKNEDHGDHGQASTPSSTTTSSSSLTRTLPPTSSSSAAQRREEESTEPNSCYFPGCRIDTNCNCDICLASINATLDLIPHSYYSSLTKLSSSSSVCRHPLFGPKKTPPVKPKPISTPQSPPIQSTAKSRPIKERVVAEKATTIRTKVVVVLMVFSLFFIVDQGIPKMVHWRGFEPKLKGDTLSKGIVGELRERIGLVQDMIEEMINEKCVILVGSSMKLGWRFHQEGQNFFHWKCVIYKSWVEEVSIWGSPLRSSGLLPASFSPRLLSVVSVV >DRNTG_00446.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27305751:27310273:1 gene:DRNTG_00446 transcript:DRNTG_00446.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma-soluble NSF attachment protein [Source:Projected from Arabidopsis thaliana (AT4G20410) TAIR;Acc:AT4G20410] MNKQFDIPWDAAKHMESAGALAKEIGHWNEVIDLYRKASELYIECGRSQPASDALAKGACALEDVAPDDAVKLYNDACEILEEDGKEQMTFDLYRAAAAIYVKLEKYTDAASVLLRLALAANKCNAVHSQCKAYLSAVIVYLFANDFQQASKCYNDCSQIETFLNSDQSRCATKLLSAYEDGDAEEIKRVGQSSTISNLDHVIIRLARKLPTGDLKDIKIESSREEEPLDENDLT >DRNTG_00446.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27305751:27310273:1 gene:DRNTG_00446 transcript:DRNTG_00446.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma-soluble NSF attachment protein [Source:Projected from Arabidopsis thaliana (AT4G20410) TAIR;Acc:AT4G20410] MNKQFDIPWDAAKHMESAGALAKEIGHWNEVIDLYRKASELYIECGRSQPASDALAKGACALEDVAPDDAVKLYNDACEILEEDGKEQMTFDLYRAAAAIYVKLEKYTDAASVLLRLALAANKCNAVHSQCKAYLSAVIVYLFANDFQQASKCYNDCSQIETFLNSDQSRCATKLLSAYEDGDAEEIKRVGQSSTISNLDHVVKV >DRNTG_00446.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27305751:27310273:1 gene:DRNTG_00446 transcript:DRNTG_00446.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma-soluble NSF attachment protein [Source:Projected from Arabidopsis thaliana (AT4G20410) TAIR;Acc:AT4G20410] MESAGALAKEIGHWNEVIDLYRKASELYIECGRSQPASDALAKGACALEDVAPDDAVKLYNDACEILEEDGKEQMTFDLYRAAAAIYVKLEKYTDAASVLLRLALAANKCNAVHSQCKAYLSAVIVYLFANDFQQASKCYNDCSQIETFLNSDQSRCATKLLSAYEDGDAEEIKRVGQSSTISNLDHVIIRLARKLPTGDLKDIKIESSREEEPLDENDLT >DRNTG_00446.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27305751:27306817:1 gene:DRNTG_00446 transcript:DRNTG_00446.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma-soluble NSF attachment protein [Source:Projected from Arabidopsis thaliana (AT4G20410) TAIR;Acc:AT4G20410] MANSDPDKLISKADKLTKLSFTRWSADWKSATVLYEQAAIAYRLKKDYEKAKEAFEKASKGQEMIS >DRNTG_31177.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11584006:11604614:-1 gene:DRNTG_31177 transcript:DRNTG_31177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGAVSFRIQGAAALQGIAITEIFGQALVLAIHPSVGRRRSSIAGVWVSTGSLGIRRRGEEEQVAADVLQRQERPGLVVECWITLSYNLGFLLNLGDHQVLRPCRFTGPRTMGLQPFVSAPGSGRDKGEEEIWSPNEQYATLSVGGLNPDLVDKKFWKNETSVSHRVLDFKAVVLKTSDVQPVVMSPCRLMGFMPCGSVAVCPRARSSEPDGISFEVKVNDDCERCLLDHSDAIYSDSPIRHASNGHIDELYIHSMNWLNLIMHLILFLRQGLVEISPCSRESDDKVSSVTEATANSTCFGTNQLEYASRNLTKWQIHDSQPTVKLFDNLAASCSFSQGGEMHHDNNDDILDISSGLLHLTGSTLVPDSISRECLENARVLQVDKKCHAPNPAHQTRCADKRPQTHKANPGLSWLLQMNEDKEAGAHKKFIRPGDTLINIDQLSSRVSLCKRRLRIALNNNLVDLVIEGDAKNVIDHLKMKAVIKAKEDSKQAKEIDVIASKFTNLQKTHVCRRYNRVADTFAKLGYSLKDGKIWQYPPKCWDGEVEGYPYRGLGRCWGLKQIDAESSHSNLDLRSNARVRSSSAEWEGCRRDGGGHQRARLRDDLPGGGDESLGGGKDFLASGGEDLLFGSEEQLPLITLRSCEDEEEKDLYLDRDARPGFAMVSMEEMEEEEES >DRNTG_18393.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12788187:12788583:-1 gene:DRNTG_18393 transcript:DRNTG_18393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERELMEARARLEKKNNEEREKGPSSHAKQGELIEAVRGREKLLDGLEDLNEELIEAVRGSVNFLEFLEDLNEQLIEAVWLLKSSVKVILASPNTWPWLQYVLKSSPT >DRNTG_11361.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000504.1:1:15156:1 gene:DRNTG_11361 transcript:DRNTG_11361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCTFLNKPSAHGATGNDTGREGIAIAMEVNNITRDATEDDTGGAFPVSRHEPPRRERPLGLIERGVKKQAQAIERIVPRAFARTKLLENGEILSERRTNDDPILKDKDKE >DRNTG_06972.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:60750:63104:-1 gene:DRNTG_06972 transcript:DRNTG_06972.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFMLLGEGLKINYMSPYLESSAPNFTHGVNFAVTGGATDPTVTFPLSTQVLQFLHFKNRTRELWPQGISPLVLSHKHSMFHSLELISGAGSLIDENGFKEAVYSFDIGQNDISIAFTANLSYTQVIERIPSILSRIKDALKTIYENGGTKLWVYNTGPLGCLPQTLALRKQNDSKIDENGCLADYNDAAKVFNAGLLSLCDQLNSEFKNATIVYTDLFAIKYDLIANHTQY >DRNTG_06972.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:59163:63104:-1 gene:DRNTG_06972 transcript:DRNTG_06972.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNMPALHLFLRLHTFAQVLLLFFSFIAAESRCILFNFGDSNSDTGGFSAGLGFYLGPPSGRLFFHRTTGRFSDGRLYIDFLCEGLKINYMSPYLESSAPNFTHGVNFAVTGGATDPTVTFPLSTQVLQFLHFKNRTRELWPQGAGSLIDENGFKEAVYSFDIGQNDISIAFTANLSYTQVIERIPSILSRIKDALKTIYENGGTKLWVYNTGPLGCLPQTLALRKQNDSKIDENGCLADYNDAAKVFNAGLLSLCDQLNSEFKNATIVYTDLFAIKYDLIANHTQYGFERPLMACCGRGGPPYNYVNRMTCGQPTASPCPLGSRYVSWDGVHHTEAANQLIAAKILSCKILKTRRLC >DRNTG_06972.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:61880:62824:-1 gene:DRNTG_06972 transcript:DRNTG_06972.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKNFFHGREDLFLLQVDTAKLGDGLIYEAVDENNFFPHFYGPSRSFSPLSLDTVRKAEKLQLVNGEFSCNILTESLN >DRNTG_06972.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:61880:63274:-1 gene:DRNTG_06972 transcript:DRNTG_06972.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKEEFVYRVSTAAEWDELQANGNTLGGDLDRRTGCIHLSTINQVKMVLKNFFHGREDLFLLQVDTAKLGDGLIYEAVDENNFFPHFYGPSRSFSPLSLDTVRKAEKLQLVNGEFSCNILTESLN >DRNTG_06972.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:61880:63104:-1 gene:DRNTG_06972 transcript:DRNTG_06972.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKEEFVYRVSTAAEWDELQANGNTLGGDLDRRTGCIHLSTINQVKMVLKNFFHGREDLFLLQVDTAKLGDGLIYEAVDENNFFPHFYGPSRSFSPLSLDTVRKAEKLQLVNGEFSCNILTESLN >DRNTG_06972.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:60750:63104:-1 gene:DRNTG_06972 transcript:DRNTG_06972.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNMPALHLFLRLHTFAQVLLLFFSFIAAESRCILFNFGDSNSDTGGFSAGLGFYLGPPSGRLFFHRTTGRFSDGRLYIDFLCEGLKINYMSPYLESSAPNFTHGVNFAVTGGATDPTVTFPLSTQVLQFLHFKNRTRELWPQGAGSLIDENGFKEAVYSFDIGQNDISIAFTANLSYTQVIERIPSILSRIKDALKTIYENGGTKLWVYNTGPLGCLPQTLALRKQNDSKIDENGCLADYNDAAKVFNAGLLSLCDQLNSEFKNATIVYTDLFAIKYDLIANHTQY >DRNTG_21270.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4903956:4907423:-1 gene:DRNTG_21270 transcript:DRNTG_21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISAQRSVLILLGDYAEDYEVMVPFQALQAFGIAVDAVCPGKASGEVCRTAVQQLEPHYQTYTESRGHNFVLNATFDEINAKDYDGLLIPGGRAPEYLAMDEKVLSLVREFVEANKPIIAVCHGQLILAAAGVVGGRKCTAYPAVKSALVAAGAHWVEPGTLAGFVVDGNLITASSYMGHPWFIRSFVEALGGSISGADKKILFLCGDFMEDYEVMVPFQSLEVLGCKVDAVCPKKSEGDTCATAIHDFEGDQTYSEKPGHYFTLNKSFESVDASCYDGLVIPGGRAPEYLALDENVLNLVKHFMDAGKPVASICHGQQVLSAAGVLKGRKCTAYPAVKLNVVLAGATWLEPQPIHRCFTDGNLVTGAAWPGHPEFISQFMLLLGIKVLF >DRNTG_22916.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8935131:8938467:1 gene:DRNTG_22916 transcript:DRNTG_22916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVWEGLQRYWESDEFKRKSEKNKLNRAEIGSSSTIIYHGGSVSTAVHRLRLEEIGREPTSKECFIRTHKKKDGTLEVGRATQIV >DRNTG_25896.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19809890:19813760:1 gene:DRNTG_25896 transcript:DRNTG_25896.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLVLSLAPRFTKLQSLSLRQNMPQLEDDAVETIANYCHDLHDLDLSKSLRLTDRALYALACGCPRLTKLNISGCSSFSDSALAYLTSFCRNLKCLNLCGCVKAATNRALQAIACNCNQLQYLNLGWCEGVGDKGVISLALGCPNLSALDLCGCVLITDESVIALATGCPHLRSLGLYYCQNITDRAMYSLANSCVKSKRGLWDSVRNNDVKGLMNLNISQCTALTPPAVQAVCDSFPSLHTCPERHSLIISGCLSLTSVHCACAVQAHRSGRSAITHHAY >DRNTG_25896.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19809890:19813760:1 gene:DRNTG_25896 transcript:DRNTG_25896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRPTTGHLDVYFRSLMVSGGGGRMDGTPAMTGWKDLPMELLLRIVSLVDDRMVIVASGVCTGWRDAICLGLTHLSLSWCKNNMNNLVLSLAPRFTKLQSLSLRQNMPQLEDDAVETIANYCHDLHDLDLSKSLRLTDRALYALACGCPRLTKLNISGCSSFSDSALAYLTSFCRNLKCLNLCGCVKAATNRALQAIACNCNQLQYLNLGWCEGVGDKGVISLALGCPNLSALDLCGCVLITDESVIALATGCPHLRSLGLYYCQNITDRAMYSLANSCVKSKRGLWDSVRNNDVKGLMNLNISQCTALTPPAVQAVCDSFPSLHTCPERHSLIISGCLSLTSVHCACAVQAHRSGRSAITHHAY >DRNTG_10719.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29583788:29584146:-1 gene:DRNTG_10719 transcript:DRNTG_10719.2 gene_biotype:protein_coding transcript_biotype:protein_coding EHITKHNSSCHQTRSSSCGFFSRRLKDDPKWLIEQRENEIKIIKQWIDQYHKDLKD >DRNTG_10719.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29583788:29585872:-1 gene:DRNTG_10719 transcript:DRNTG_10719.1 gene_biotype:protein_coding transcript_biotype:protein_coding VYYNHSGDVKCFDFEGSSDPHGLSGWNWQRIEKVLKRFGSNIIFSNGLRDPWSRGGVLKNISPSIIALVTKQGAHHVDFSVGDSKMIQNG >DRNTG_35017.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6845822:6848154:1 gene:DRNTG_35017 transcript:DRNTG_35017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGTLEVLLVGAKGLEDTDFFSNMDAYAILICRTQEQRSSIASGQGSNPEWNETFMFTLSDHVSELTIKLMDSDTFSSDDFVGKAKIPLEPLFIERILRPTVYNVVKDQEYRGEIKVGLTFTPTCEEYSDESYGGRKESE >DRNTG_08980.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10450357:10451450:1 gene:DRNTG_08980 transcript:DRNTG_08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCTRFPQGSSLEYGWKASRRYGGGDPNGQEEVSPNGLQDTLIYIPTSLTNWPLHRWYTGSMRSSLRLFGAQDHFKRFENAVGVLQVDVAEIRAIQRAQHTEFMARFDIIQQLLERDTKPPFVMRTQTPPALPAPSLPTYVQFDRLSSSSSPVAAAFALANLIIEDTDT >DRNTG_15369.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26853176:26856368:1 gene:DRNTG_15369 transcript:DRNTG_15369.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRKVINVFLAFVAIILSSCATSNAQLQVGFYNRSCPLAESIVKEEVLKALYDDPGLAAGLVRLHFHDAFVRGADGSVLIDSTSNNTAEKDAPPNNPSLRGFEIIDAAKSRLETECKGIVSCADILAFAARDSVLYSMGLPYAVPSGRRDGRVSLASEAMSNLPPPFFNITQLTQIFNGKGLSQEEMITLSGAHTIGRSHCSSFSNRLYNFNSIVSQDPSLDPSYAEQLKTQCPNGSTNASIVVPMDPNTPTKFDSNYYKLILANRGLFTSDQTLMSTPETKKQVQVNAYVPLYFQLKFRDAFVKLGKIGVLTGNQGEIRINCRSRPSFLPSSSVTSGAIEENTAATPFSNEITLVEHLLPHSNVILFDSSDFTEFGDDKQVTREILETLDHHKRRFYTLTTVVNERRWEKMKDKLHTDIDSFKTFVV >DRNTG_02345.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1472045:1473595:1 gene:DRNTG_02345 transcript:DRNTG_02345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLIVKVKRASHSLHPTRVHPLLSLLFSSQSLQTLNQTQDLLPFIHGNHQASDDARRIRNTIKSAQSRTVDNIVLSLQSDPSCSTINLSTTLVDALIHGFGDDWKSALGFFTWAATRPGFSHSQFACDKIVDMLGRSRQFNRMWDFSHEIRARGSFTLGTVAKIMRRLVGAGRWRDAVKVFDDLPSLGFEQDVDSMNLLLDALCKDGKVEVAREVFAVLKSSIFPNAHTFNIIVHGWCCLKRLDEAKWTLKEFEGYGFQPSVITYSTLIKAYCGRLNFDRVYELLDEMESTGCPPNVVSYTIIMKSIARSSRLEEAAKIFERMRSSGCKPDTYFYNCLINTLGKAGQVQDAIRVFEIEMPADGVVRSVSTYNTMISVLCQHDQERDALKVLKEMENSSSSCSYSLKPDLQTFTPLLKMCFKTTVKEELLSDLLNEIVNKNQLSLDVSTYSLLIHGLCKEGKIEWACNLFEEMIGFDISPRFETCRLLLDEAGQRGLYDIAERIKSLLTKIEGLIR >DRNTG_28565.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9932909:9939389:1 gene:DRNTG_28565 transcript:DRNTG_28565.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPASVAGDEGLNCQDEEDQAAGGIEVCCFDNRGMGCSSIPTKKSDYSTTIMARDALALLDHLGWKKAHVFGHSMGGMISCKLAAMAPERLSSLALLNVTGGGFECFPKSGITHG >DRNTG_28565.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9932909:9939389:1 gene:DRNTG_28565 transcript:DRNTG_28565.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPASVAGDEGLNCQDEEDQAAGGIEVCCFDNRGMGCSSIPTKKSDYSTTIMARDALALLDHLGWKKAHVFGHSMGGMISCKLAAMAPERLSSLALLNVTGGGFECFPKSGITHG >DRNTG_28565.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9932572:9939389:1 gene:DRNTG_28565 transcript:DRNTG_28565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCFDSSKYHGNGASIFYRTYGHGPVKVLLIIGLAGTHESWGPQIKGLTGICQPNEEGEVPMPASVAGDEGLNCQDEEDQAAGGIEVCCFDNRGMGCSSIPTKKSDYSTTIMARDALALLDHLGWKKAHVFGHSMGGMISCKLAAMAPERLSSLALLNVTGGGFECFPKIDRQMLSLAFRFLKARTPEQRAVC >DRNTG_34372.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10892645:10895689:1 gene:DRNTG_34372 transcript:DRNTG_34372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDGRRRRAEMLPSPGRPRWRPVAASPRQRERRSDECHGPHVRTRRNFDQSPDHASTESVIAVIIALRGRHPGGGATNSGSRRESGPTLVAPRPAPREGDCRSLARRAAGGQSPRPTVCARAGVTRSSDAQVGAPSARWPRAQLAFKDSMVHVIVQFTPSIAFRYVLH >DRNTG_12432.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000549.1:2145:5047:1 gene:DRNTG_12432 transcript:DRNTG_12432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKYNQKRSNVKSNESIKKPPRWLCRWSCGESSTHRKVSFVRPRIHLTGSMPTKALPITFFKTTRDVGAIEPLQKPSQYTSKPWLQNTKIVAESALNRAGIGQLHGHGRYKRPCGISTRAWIISTRPCGFSVSLISRKAVNNAAIVLATVLCYSSGLNNVPIPYIHRDGMLECDCLCAPTNGCAHSNARRLAHTLASHTCPMSSRLNLSKISSEIAISGAGRTKAKTPVRTLQTMPVNRIVSRPRGAAKMCPKWIGTRSTIEYKYSSS >DRNTG_29314.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29656021:29660185:-1 gene:DRNTG_29314 transcript:DRNTG_29314.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isovaleryl-CoA dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G45300) UniProtKB/Swiss-Prot;Acc:Q9SWG0] MQRISSVRRLATSLWNQSLRRACFSTSLLFDDTQKQFKDSVAQFAQETIAPHAAEVDRTNNFPKDVNLWKLMGDFNLHGITAPEEYGGLGLGYLYHCIAMEEISRASGSVGLSYGAHSNLCINQLVRNGNPIQKQKYLPKLISGEHVGALAMSEPNAGSDVVSMRCKADRVDGGYVLNGNKMWCTNGPTAQTLVVYVKTDITAGSKGITAFIIEKGMQGFSTAQKLDKLGMRGSDTCELVFENCFVPDENILGEEGKGVYVMMSGLDLERLVLAAGPLGLMQACLDAVIPYVRQREQFGRPIGEFQLIQGKLADMYTSLQSSRAFVYSVARDCDNGKIDRKDCAGVILFSAEKATQVAIQAVQCLGGNGYVNEYPTGRLLRDAKLYEIGAGTSEIRRMIIGRELFKQD >DRNTG_30075.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22776904:22779101:-1 gene:DRNTG_30075 transcript:DRNTG_30075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAKTMGLHAVAFWPAAAASLSLLLSTSKLAEDGVIDGKTGLAKIEEKVQLSPGMPSILPREFAWNGLFCDLKSQEAIFNKIMDINKGLDFADMLICNSFHEIEAPTFKFMPKVLPIGPLLSGRRTGKAVGNFWPEDSSCISWLDKQNLSSTIYVAFGSFTVFDELQFKELALGLELTGRPFLWVVRPDLTDQTCNAYPEGFRERIRSRGLIVGWSPQQRVLAHPSIACFVSHCGWNSTMEGVTNGVPFLCWPYFTDQFMNKTYICDVWKNGLEVSYGEDRVVSREEICGKIEKLLGDEKIKTKALALKDLAFKSISHGGSSFKNFNSLIETIKQ >DRNTG_30075.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22776904:22778942:-1 gene:DRNTG_30075 transcript:DRNTG_30075.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHVILLPYPAQGHVIPFLELAYCLADSGFKVTFVNTEHIHGRVTEAIAAVEHDTGIINLVSIPDGLESIEERSDLVKLSVRLSEVVPRSLEELIDKVNKSGDGSKITSLIADENLSWIMPVAKTMGLHAVAFWPAAAASLSLLLSTSKLAEDGVIDGKTGLAKIEEKVQLSPGMPSILPREFAWNGLFCDLKSQEAIFNKIMDINKGLDFADMLICNSFHEIEAPTFKFMPKVLPIGPLLSGRRTGKAVGNFWPEDSSCISWLDKQNLSSTIYVAFGSFTVFDELQFKELALGLELTGRPFLWVVRPDLTDQTCNAYPEGFRERIRSRGLIVGWSPQQRVLAHPSIACFVSHCGWNSTMEGVTNGVPFLCWPYFTDQFMNKTYICDVWKNGLEVSYGEDRVVSREEICGKIEKLLGDEKIKTKALALKDLAFKSISHGGSSFKNFNSLIETIKQ >DRNTG_07953.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:988814:989942:1 gene:DRNTG_07953 transcript:DRNTG_07953.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGEKSMIRKRHFLLTEEKLKENPNLCSFMDHASLNTRHDIMVEEVPKLGAKAATKALEEWGRPRSEITHIIFCSRGGVDLPGADYRIIKLLGLSPSTKRVMLYMQGCFAGGSVLRIAKDMAENNENARVLIVCAELTVISFRGPCKDNENFSNMIGQAIFADGAAAAVVGAKPIPEVETPFFEIVSTHQYILPETEEQITAHLREEGFTFLLNNQVPTSVSMHIEKTLVKAFSPLGISDWNSLFFVTHPGGRAILDQIEEKLDLKPEKLRATRHMFSEFGNMSSASVFFIMDEIRKRSIADGLRTFGEGLDYGVLHGFGPGITVETVVLFALPLHT >DRNTG_07953.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:987152:989942:1 gene:DRNTG_07953 transcript:DRNTG_07953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSINGTVHKKNKAGGPASLLAIGTANPPNVVYQDTFADYFFRVTNSDGKVELKEKFKRVCEKSMIRKRHFLLTEEKLKENPNLCSFMDHASLNTRHDIMVEEVPKLGAKAATKALEEWGRPRSEITHIIFCSRGGVDLPGADYRIIKLLGLSPSTKRVMLYMQGCFAGGSVLRIAKDMAENNENARVLIVCAELTVISFRGPCKDNENFSNMIGQAIFADGAAAAVVGAKPIPEVETPFFEIVSTHQYILPETEEQITAHLREEGFTFLLNNQVPTSVSMHIEKTLVKAFSPLGISDWNSLFFVTHPGGRAILDQIEEKLDLKPEKLRATRHMFSEFGNMSSASVFFIMDEIRKRSIADGLRTFGEGLDYGVLHGFGPGITVETVVLFALPLHT >DRNTG_29934.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2310838:2322410:-1 gene:DRNTG_29934 transcript:DRNTG_29934.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLNGKVWPRLHVQRRRYRFRILNASNARFFRFFFSNALPFFHIGSDSTYLSKPVKTTGFLLAPSEIADVIVDFSNSPTDSAILLNDAPYPFPSGETVDATNRKVMKFVIERQRSPDGSRIPRQLFVFPKPSLQSAVKTRYITMYEYTSLSDGPTHLYLNGKAFEEPVTETPKSGTSEIWHVINLTDDNHPLHIHLAHLAALEQRKIHKLEKFKDCMTRLNDAIKCHVDDHAVGKKTKVAKNERGWKNVFKVKPGFMTTMLVRFELLGPGNTTYPFDATIEPGYVY >DRNTG_29934.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2310838:2322410:-1 gene:DRNTG_29934 transcript:DRNTG_29934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLNGKVWPRLHVQRRRYRFRILNASNARFFRFFFSNALPFFHIGSDSTYLSKPVKTTGFLLAPSEIADVIVDFSNSPTDSAILLNDAPYPFPSGETVDATNRKVMKFVIERQRSPDGSRIPRQLFVFPKPSLQSAVKTRYITMYEYTSLSDGPTHLYLNGKAFEEPVTETPKSGTSEIWHVINLTDDNHPLHIHLAHLAALEQRKIHKLEKFKDCMTRLNDAIKCHVDDHAVGKKTKVAKNERGWKNVFKVKPGFMTTMLVRFELLGPGNTTYPFDATIEPGYVY >DRNTG_29934.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2310838:2312415:-1 gene:DRNTG_29934 transcript:DRNTG_29934.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLNGKVWPRLHVQRRRYRFRILNASNARFFRFFFSNALPFFHIGSDSTYLSKPVKTTGFLLAPSEIADVIVDFSNSPTDSAILLNDAPYPFPSGETVDATNRKVMKFVIERQRSPDGSRIPRQLFVFPKPSLQSAVKTRYITMYEYTSLSDGPTHLYLNGKAFEEPVTETPKSGTSEIWHVINLTDDNHPLHIHLAHLAALEQRKIHKLEKFKDCMTRLNDAIKCHVDDHAVGKKTKVAKNERGWKNVFKVKPGFMTTMLVRFELLGPGNTTYPFDATIEPGYVY >DRNTG_29934.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2320940:2322410:-1 gene:DRNTG_29934 transcript:DRNTG_29934.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTRVNLLAGLIGAYILRDPSLESPLPLPSSPFDLNLIVFDRSFRTDGSIYMNSTGNNPSIHPEWQPEYFGDAIIVNGKAWPRLHVQRRRYRFRILNASNARFFRFSFSNALPFFHIGSDSTYLPKPVKTTGFLLAPSEIADVIVDFSDSPTDSAILLNHAKYPFPSGDPVDEINSKVMKFVIERQRSPDPSRIPRHLLRFPKPSMQSAVKTRYITMYEYTTPSDEPTHLYLNGKAYEEPATETPKSGTSEIWHVINLTDDNHPLHIHLAHLSVLEQREILELEKFKDCMTRLNDAIKCHVDDHAVGKKDKVAKHERGWKNVFKAQPGFVTTMLVRFKLLGPGNTTYPFDATAEPGYVYHCH >DRNTG_29934.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2310838:2322410:-1 gene:DRNTG_29934 transcript:DRNTG_29934.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLNGKVWPRLHVQRRRYRFRILNASNARFFRFFFSNALPFFHIGSDSTYLSKPVKTTGFLLAPSEIADVIVDFSNSPTDSAILLNDAPYPFPSGETVDATNRKVMKFVIERQRSPDGSRIPRQLFVFPKPSLQSAVKTRYITMYEYTSLSDGPTHLYLNGKAFEEPVTETPKSGTSEIWHVINLTDDNHPLHIHLAHLAALEQRKIHKLEKFKDCMTRLNDAIKCHVDDHAVGKKTKVAKNERGWKNVFKVKPGFMTTMLVRFELLGPGNTTYPFDATIEPGYVY >DRNTG_15230.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23352728:23353150:-1 gene:DRNTG_15230 transcript:DRNTG_15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding WFAGSGFISSDSYRYGLFSASVKLPFDYTAGVVVAFYV >DRNTG_31764.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18350768:18351952:1 gene:DRNTG_31764 transcript:DRNTG_31764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLPQCGKQTSSLAILDLSNNNLMGSIPNGIVSLPSLRSLHLERNGFSGNLPLSLKKVNQLVVLDIGENKLSGIIPSWIGSLVSLVVLRLRSNLFEGSIPEQLIKLASLRVLDLAQNNLSGVIFPHSFGGFKAMVESHTERPKVLISKYNQVGIFSNASYFGGFSSTYNYLESLVISAKGQQTEYTKVLFLVTSIDLSSNRLSGKFPDELTSLHGLIFLNLSDNLFNGKLPKNIGDMNQLESLDLSINNFSGIIPPSISSLTFLGHLNLSHNKLSGKIPSGNQLQTLDASAFYYNDGLCGFPLRNCTSETPAQGPLHGGNQDGNQDWFDNLWFYIGLASGFIVGFWMIILFIMMKKSRRISYFQSIDKVYDWIYVKLVIYSRRLKSILTRRN >DRNTG_22392.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16859876:16860696:-1 gene:DRNTG_22392 transcript:DRNTG_22392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQMIDKIQKIEPDHFQKIVGLVFFLCRAEEMMQCALDSDMLHTKITEIKEILCIPTTNADQDQLLMPESNKLPVQNSNSFGYALGQKQSHRFGANRERLSYSSTGTDHQIYITFQPDNTFTELDLACYFSQFGTIMDVRIPSREIPLFGFVTFANSESVNSILSNSRTHYICGSKVIVKQCKDKSTLEKEHAERVDNSTLNDPHHVQLINKLPP >DRNTG_12076.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000533.1:51361:59008:-1 gene:DRNTG_12076 transcript:DRNTG_12076.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G59440) UniProtKB/TrEMBL;Acc:F4KJ62] MDNSQRLSSRGSLIVLEGLDRSGKTSQSTKLVTHLKSEGISVEAWRFPDRSTSVGQMISAYLANDTQLDDRTIHLLFSANRWEKRSLMESRLRSGTSLVVDRYSYSGVAFSAAKGLDIEWCKAPEVGLIAPDQVIFLGISPERAAERGGYGTERYEHLDFQRKVAQHYAKLQDVSWKVIDGGLSMEDVENQIRELALDCIAKCKAGKPLANLWLNCG >DRNTG_34344.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21157371:21158896:-1 gene:DRNTG_34344 transcript:DRNTG_34344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLFLYITLLTVMGVKNSNSQCSTVGIQGIAWAFGGMIFALVYCTAGISGLCFYFFLFHSCIFFLPPSSSYSDDDECLYAGGHINPAVTFGLFLARKLSLTRAVFYMVMQCLGAICGAGVVKGFKKGLYDSQGGGANVVNPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPLLAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKHHAWSDHWSCSCCYLPPDCYQSHSIQEQTLSLFYSMKKISHVMFLLP >DRNTG_15066.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4943235:4943934:-1 gene:DRNTG_15066 transcript:DRNTG_15066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPFLSIHLLLHLLLFTSTALSSFICNSTTTATCSSLIGYVPDNETSLSALQSLFQTTLLSLVGANSLPLSTPSSYTVKANDTLDNIAINKFGRFVTYQQIATANGIPNPNLITVGQVLWIPLPCSCDPVDGDERVHLAHKVAPQSSLDQIAAEFGVNKSTVMRLNGINDSATLQASQILDVPL >DRNTG_00018.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21554621:21556957:-1 gene:DRNTG_00018 transcript:DRNTG_00018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLGLARFPLTISTSHSLRLLPNPRTGSRRSSNNGQLSVSAMAGTVLVTGAGGRTGQIVYKKLKERSEQYVARGLVRTKESKENIGGADDVFTGDIRDTESILPAFQSIDALIILTSAVPKMKPGFDPSKGERPEFYFEEGSFPEQVDWIGQKNQIDAAKDVGVKHIVLVGSMGGTDVNNPLNNIGNGKILVWKRKAEQYLADSGIPYTIIRAGGLQDKDGGLRELLIGKDDELLKTETRTIARADVAEVCIQALQFEEAKFKAFDLASKPEGSGTPTKDFKALFAQITTRF >DRNTG_16912.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4992068:4995350:-1 gene:DRNTG_16912 transcript:DRNTG_16912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISAAGKTTAGDQTGRRRTKRRANQGRSRHNRRTSSHGRRV >DRNTG_01485.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13886419:13889264:1 gene:DRNTG_01485 transcript:DRNTG_01485.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIIPGLQGDVPANYQKSYPYVAGKNNVAACAKHFVGDGGTHNGINENNTIIDYHGLMGIHMPAYYDAVYKGVSTVMVSYSSWNGVKMHADRKLVTGFLKNKLQFKGFVISDWQGIDRITSPPGANYTYSVQAGVSAGIDMIMVPENYVEFINDLTLLVNKKVIPMSRINDAVRRILRVKFIMGLFEKPLADLSLADQLGKKEHRELAREAVRKSLVLLKNGKSKNMPLLPLSKKVSKILVAGIHANNLGYQCGGWTIEWQGASGNITIGMFLCSITHFYLFHSCFFRSFNIKLSNNIASMIMISSRIEFI >DRNTG_01485.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13884854:13889264:1 gene:DRNTG_01485 transcript:DRNTG_01485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQAYLLGLLILICSTSIGTAKHMKYKNSTLPVEIRVNDLLKRMTLAEKIGQMTQIERKDASADVMKNYFIGSLLSGGGSVPKPQASAEDWVDMINDFQNGCLATRLGIPMIYGIDAVHGHNNVYGSTIFPQNIGLGATRDPDLVKRIGAATALEVRATGIPYTFAPCIAVCRDPRWGRCYESYSEDQKIVQAMTEIIPGLQGDVPANYQKSYPYVAGKNNVAACAKHFVGDGGTHNGINENNTIIDYHGLMGIHMPAYYDAVYKGVSTVMVSYSSWNGVKMHADRKLVTGFLKNKLQFKGFVISDWQGIDRITSPPGANYTYSVQAGVSAGIDMIMVPENYVEFINDLTLLVNKKVIPMSRINDAVRRILRVKFIMGLFEKPLADLSLADQLGKKEHRELAREAVRKSLVLLKNGKSKNMPLLPLSKKVSKILVAGIHANNLGYQCGGWTIEWQGASGNITIGTTILDAIKATINPTTNVVYSENPDANFIKGNNFSYAVVVVGEPPYAETNGDSSNLKISDPGASTIQTVCGAVKCVVVIVSGRPVVIQPYISSIDALIAAWLPGTEGQGVADVLFGDYGFTGKLPRTWFKSVDQLPMNIGDKHYDPLFPLGFGLTTKPASKS >DRNTG_05564.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000255.1:10360:10975:1 gene:DRNTG_05564 transcript:DRNTG_05564.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITREVQKIKPPTKNQDQHTLQNSPTTPHFPTDLFRINKLCKHSIRVLPATTHKQSKIYISFNTNQRQILTPHSVAYQGPFPISYDPATENPFTSHYKHLKKRH >DRNTG_05564.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000255.1:10360:11207:1 gene:DRNTG_05564 transcript:DRNTG_05564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITREVQKIKPPTKNQDQHTLQNSPTTPHFPTDLFRINKLCKHSIRVLPIRSIVGPSSTVTSVIE >DRNTG_21859.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19360035:19361279:1 gene:DRNTG_21859 transcript:DRNTG_21859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTVLVFDFDKTIIDCDSDNWVIDHLGATKLFDHLLLSLPWNSAMDRVMEELHRQGYTIEDIAEVLRTAPLHPSTISTIKSVYALGCELRIVSDANKFFIETILEHNGLLNYFSEINTNPGFVDDEGKFKNTPLP >DRNTG_16765.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22132607:22133475:1 gene:DRNTG_16765 transcript:DRNTG_16765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKISDFGLARNFGEHETMTKTRKIVGTYGYMAPEYALNGVFSVKSDVFSFGVLILEIISGQRNRIFLSEPHLYLLGNAWSLWNEGKVLDLLDPLVSNTFSMTQVMRCVNIGLLCVQEKPEDRPVMSSVVIMLGNDNAPLLEPKAPGFKTIFPTKHDSGSNRNALHSFNTITLTEQQ >DRNTG_00672.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16018869:16020526:-1 gene:DRNTG_00672 transcript:DRNTG_00672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLISKCLVKASPPPKHPAGQKCHLATWDLAKLSSNYIQKGHLYAYVPATISIDNIIHNLKTSLSTTLHHFYPLAGRFSTQYELDSDGKVTGTFVFIDCNNEGAEFVHAEAKSISVEDVLAPNSDVPTFIQSFFQLDGASNYDGHSFSLVAVQLTVLADGIFLACSFNHAAADGTSFWHFMNTWAEISRTKTTVPSRPPVLGRYFMDGIKPPLKLPVSYESDFIDRFSPPPLREKIFHLTSEAIAKLKAKANEESGTNNISSYQSISALIWRCISRARRLPADKLTTCRVSIQNRTRLQPPLSPNYFGNSYNVLSMTATAGELLGNGIGWAAGRIHEAVVAHKDDTIRGIMRNWMESPVFSKLSLVDESTVAISSSPRFDMYGCEFGWGKAVALRSGSANKVDGKVMYYPGWEGGGSVDLEVCLLPKFMTALESDPEFKDVVSPAVPLHLP >DRNTG_06987.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19338322:19340252:-1 gene:DRNTG_06987 transcript:DRNTG_06987.5 gene_biotype:protein_coding transcript_biotype:protein_coding ILEKAASKPDRESSFRKEGGLGGPAAVARRGSGGLRHVLVSIEKEG >DRNTG_06987.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19338173:19340430:-1 gene:DRNTG_06987 transcript:DRNTG_06987.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILEKAASKPDRESSFRKEGGLGGPAAVARRGSGGLRHVLVSIEKEG >DRNTG_06987.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19338322:19340430:-1 gene:DRNTG_06987 transcript:DRNTG_06987.2 gene_biotype:protein_coding transcript_biotype:protein_coding ILEKAASKPDRESSFRKEGGLGGPAAVARRGSGGLRHVLVSIEKEG >DRNTG_06987.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19338322:19340173:-1 gene:DRNTG_06987 transcript:DRNTG_06987.6 gene_biotype:protein_coding transcript_biotype:protein_coding ILEKAASKPDRESSFRKEGGLGGPAAVARRGSGGLRHVLVSIEKEG >DRNTG_06987.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19338173:19340252:-1 gene:DRNTG_06987 transcript:DRNTG_06987.3 gene_biotype:protein_coding transcript_biotype:protein_coding ILEKAASKPDRESSFRKEGGLGGPAAVARRGSGGLRHVLVSIEKEG >DRNTG_06987.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19338173:19340173:-1 gene:DRNTG_06987 transcript:DRNTG_06987.4 gene_biotype:protein_coding transcript_biotype:protein_coding ILEKAASKPDRESSFRKEGGLGGPAAVARRGSGGLRHVLVSIEKEG >DRNTG_01444.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8241172:8241595:-1 gene:DRNTG_01444 transcript:DRNTG_01444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITEFSVHLGLYDETYTDIEEYDRLPTDYPGSLRERTGHYVGRVKRADSLRTYRGRVSEISGTIHPDQRPLLLCLHYETHHGYGSPGCD >DRNTG_24916.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19327366:19328463:-1 gene:DRNTG_24916 transcript:DRNTG_24916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNQTLIFLAFFTITSSLCFAFDPSPLQDFCVADLNSQVFVNGFVCKNPTTVTAEDFFLSGFDKPGNTMNLLGSNVTPATIGQMPGLNTLGISFVRIDYAPGGINPPHTHPRASEILVVVEGTLYVGFVTSNLVTSNPNNTFYSKVLHPGDVFVFPQGLVHFQFNYGHSNAVAFAALNSQNPGVAIIANNAFGPKPPISDIVLAKAFQLSKEAVDILQARPWPTN >DRNTG_29900.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001514.1:25470:28182:1 gene:DRNTG_29900 transcript:DRNTG_29900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASDEEVKKGQIVEARARNISHNVRCTECGSQSIEDSQADVAILLRKLIRDEIRAGKSDKEIYKKLEEDFGETVLYAPHFDLQTAALWLSPVIVAGAAAGVWAYQRHRQKTNVHILALNLVRGVPLTPKEKQTMLELLTPPPTPGNKWWWRQ >DRNTG_29900.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001514.1:26065:28182:1 gene:DRNTG_29900 transcript:DRNTG_29900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASDEEVKKGQIVEARARNISHNVRCTECGSQSIEDSQADVAILLRKLIRDEIRAGKSDKEIYKKLEEDFGETVLYAPHFDLQTAALWLSPVIVAGAAAGVWAYQRHRQKTNVHILALNLVRGVPLTPKEKQTMLELLTPPPTPGNKWWWRQ >DRNTG_29900.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001514.1:27315:28182:1 gene:DRNTG_29900 transcript:DRNTG_29900.5 gene_biotype:protein_coding transcript_biotype:protein_coding QLIRDEIRAGKSDKEIYKKLEEDFGETVLYAPHFDLQTAALWLSPVIVAGAAAGVWAYQRHRQKTNVHILALNLVRGVPLTPKEKQTMLELLTPPPTPGNKWWWRQ >DRNTG_29900.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001514.1:25470:28182:1 gene:DRNTG_29900 transcript:DRNTG_29900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDEEVKKGQIVEARARNISHNVRCTECGSQSIEDSQADVAILLRKLIRDEIRAGKSDKEIYKKLEEDFGETVLYAPHFDLQTAALWLSPVIVAGAAAGVWAYQRHRQKTNVHILALNLVRGVPLTPKEKQTMLELLTPPPTPGNKWWWRQ >DRNTG_29900.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001514.1:25328:28182:1 gene:DRNTG_29900 transcript:DRNTG_29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDEEVKKGQIVEARARNISHNVRCTECGSQSIEDSQADVAILLRKLIRDEIRAGKSDKEIYKKLEEDFGETVLYAPHFDLQTAALWLSPVIVAGAAAGVWAYQRHRQKTNVHILALNLVRGVPLTPKEKQTMLELLTPPPTPGNKWWWRQ >DRNTG_18811.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000974.1:33733:35673:1 gene:DRNTG_18811 transcript:DRNTG_18811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHNGRKVGRLEFFKATHTKKDGSHMNVETEQIMVKANEKLAECETVDEDMQIVETEILTQVIGKERCGRVRGLGLGPTLKTYYGGTTSVTSHASSTQSSEFVERFNQMEQQMQQLKEEREQERAQREEERAQECARYNALLGFLQTRFPGVDTAGSISQSQNQASGDE >DRNTG_22023.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2558128:2558515:-1 gene:DRNTG_22023 transcript:DRNTG_22023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDVLTLLESEREARRLR >DRNTG_32390.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30266595:30270275:-1 gene:DRNTG_32390 transcript:DRNTG_32390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g29990 [Source:Projected from Arabidopsis thaliana (AT1G29990) UniProtKB/TrEMBL;Acc:Q2HIK4] MASASAIKELQRDLETQANALSKIQKDISKNHQVRKQYTIQLGENELVLKELDLLKEDANVYKLIGPVLVKQDLAEARGNVGKRIEYISAELKRLEGTLQDLEDKQNSKKESIFKLRQKMETIQAGKS >DRNTG_32390.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30266595:30269870:-1 gene:DRNTG_32390 transcript:DRNTG_32390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g29990 [Source:Projected from Arabidopsis thaliana (AT1G29990) UniProtKB/TrEMBL;Acc:Q2HIK4] ELDLLKEDANVYKLIGPVLVKQDLAEARGNVGKRIEYISAELKRLEGTLQDLEDKQNSKKESIFKLRQKMETIQAGKS >DRNTG_21548.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:299376:302594:-1 gene:DRNTG_21548 transcript:DRNTG_21548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIKDNKTTTMPLMYLLLLFFAILGMMKSIKYSIPSDTPNPMARRNPKNNFSDELTG >DRNTG_28235.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20424931:20428551:1 gene:DRNTG_28235 transcript:DRNTG_28235.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoyl synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G08415) UniProtKB/TrEMBL;Acc:A0A178UNA7] MLHNSLINSSNSRIPIGFRSSRCQNRGFSLFVRSSVATGPQKGGLGPHTGRDPNVKKPEWLRQRAPAGERYEKLKDSLKELKLHTVCEEAQCPNIGECWNGGGDGIATATIMLLGDTCTRGCRFCAVKTSINPAPPDPMEPENTAKAIASWGVDYIVLTSVDRDDIPDGGSGHFAQTVKAVKRLKPEMLIECLTSDFRGDLVAVSTLVNSGLEVFAHNIETVRRLQRIVRDPRAGYEQSLTVLKHAKLCKEGMITKSSIMLGLGESDEEVKETMGDLRAIGVDILTLGQYLQPTPLHLTVKEYVHPEKFAFWKEYGESIGFRYVASGSMVRSSYRAGELFVQNLVKQNKRDASHES >DRNTG_13912.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19730155:19733455:-1 gene:DRNTG_13912 transcript:DRNTG_13912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMEEGDVCFAHLRSKLQACLDRNCHLEKENKALRQEILHLKGQITKLQAQDADKSMIWKKQQNHINKNSPSQEKQLVQIDNVEEGLGVDNSLTRREIFCSAIKARSPRVPRPPPRPTTCLQLAPKLTGKESQLPSPLMPPPPPPLPSNLQRRSSNSLRKVPEVAESKLPPSPPPPPPPLPSKLQVRASSSVRRAPEVVEFYHSITRRDGKPETKTGIAGTQVTSNAREMIGEIENRSAYLLAIKSDVETQGEFINFLIKEVQNATYTEISDVEAFVKWLDEELSYLVDERAVLKHFPQWPEQKADAMREAAFGYRDLKNLGSEVSSFSDDMRQPTGVALKRIRALQEKLECSVHNLERVRDNASKRYKEFQIPWEWMLDTGIIAQLKSGSMRLAKKYMKRVITALQSYASSEDEELMLQGVRFAFRVHQFVGGFDEESRNAFQELRKLASSIYS >DRNTG_13912.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19730155:19731777:-1 gene:DRNTG_13912 transcript:DRNTG_13912.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREAAFGYRDLKNLGSEVSSFSDDMRQPTGVALKRIRALQEKLECSVHNLERVRDNASKRYKEFQIPWEWMLDTGIIAQLKSGSMRLAKKYMKRVITALQSYASSEDEELMLQGVRFAFRVHQFVGGFDEESRNAFQELRKLASSIYS >DRNTG_24575.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7596564:7606506:-1 gene:DRNTG_24575 transcript:DRNTG_24575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVLSRSVNGHGDSTGVLSRQELLISALFAGPYITRLIMGMGLIGAIRGAEKVIIPTPLYASQEPQPEQRKSKVPHAPQEPPPACIVSPPRSMRYRLHTAQSSWHGLTFYSRS >DRNTG_21533.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1446066:1447128:-1 gene:DRNTG_21533 transcript:DRNTG_21533.1 gene_biotype:protein_coding transcript_biotype:protein_coding YRAINDSSRSPNTKPELIDRDGKAQWASSSTMAPTRCDLTLELFVLNLLLLFS >DRNTG_21145.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2359497:2359896:1 gene:DRNTG_21145 transcript:DRNTG_21145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRDWVPQLEILAHKAIGAFMSHCGWNSCMESLSFGVPILAWPMHSDQPRNAMCVSEYLKVGFMVRDWEHRMEVVSSMVIVEVVKRLMVSDEGMEVKNRARELGEQI >DRNTG_03875.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3802052:3802573:-1 gene:DRNTG_03875 transcript:DRNTG_03875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDAAAPPTAEMASASLTPSPERRRREYIASIVGRGAVPDELIGKRIRVGGWVKTGREQGKGAFAFLELNDGSCPACAYWHVGAC >DRNTG_31062.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30687591:30688344:-1 gene:DRNTG_31062 transcript:DRNTG_31062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWQFNLPKVTGTPNGVGTRIKKHQVKLMNS >DRNTG_15249.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:16848014:16851091:-1 gene:DRNTG_15249 transcript:DRNTG_15249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRPAATPVNDHAIFARPWVITARACEILQSWADYPENTHGRGLTPVADLVNHTRAWVISTRPCETLHRSSPHREKTQGRVAAPRSHRGVRLPLWVGRTDMVPRVKKNEVKHLIVTPPKPLHLDFSNPEHQTQFERLSVLGFGSWRRLLTISEPAYRALTLEVLASFEFRLMHGRFDTTDAIQFRAFGHPFSMSVTEFLIRTGLILCGHGEYEPGLSKATSLSWSSYRYLHAVISRSVSGRADNTFALTKQDLLFLYSMARNVPIHLGCILVDILRYQGQSVRVGLLFAGPYITRLILGMGLGNALRGAERTVASTPLEFDTVRMMGLVWRCGPGVYILATSTPETGRSEGDTTEGSPQIPRPPAAQGPRAYDRIERLESVVREIQTEMVELRAIQ >DRNTG_35432.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1747134:1748452:-1 gene:DRNTG_35432 transcript:DRNTG_35432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFHEVLGFNSSQNSTQEKHSKFIESILRTTR >DRNTG_35082.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19525774:19529568:1 gene:DRNTG_35082 transcript:DRNTG_35082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVLRRMTASIYFSIGQNHRLLSIGAVESSSSLDAEEAVHMTENCIRRLKELHAKGEFDKMLRLSVETGGCSGFQYAFLLDNKKNSDDRIFEKDGAKLVVDNISYDFVKGATVDYVEELIRSAFLVTTNPSAVGGCSCKSSFMVK >DRNTG_20505.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16537037:16538165:1 gene:DRNTG_20505 transcript:DRNTG_20505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSCNIINGAPSEKQKGTPNKRWTKEMDNVLIPFLVGMAKSGLKVDKSFKRQAFIEAANVVNNRFPNGCMDADNVENHMHTLKQKYSDVKKLMNLSAVGWIDLEEMLVLEDETYYTYVEVL >DRNTG_22873.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4263666:4270103:1 gene:DRNTG_22873 transcript:DRNTG_22873.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSASSKSSLLVLVVVLLLLTLLYDHNSCNASLIDPTKSKQISWKPRAFVYRGFLTDQECDHLISLAKSELKRSQVADNLSGKSTLSEVRTSSGMFIAKGKDSIVSGIEDKLAAWTFLPKENGEDIQVLRYEHGQKYDPHYDYFTDKVNIARGGHRIATVLMYLTDVVKGGETVFPAAEETSHRGLNIMDEDLSDCGRRGIAVKPRRGDALLFFSLHPDATTDPNSLHAGCPVIEGEKWSATKWIHVAHFDKIYGNDSDCKDQNDSCERWAALGECTKNSEYMVGTTDLPGYCRRSCNVC >DRNTG_09022.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31241707:31242654:-1 gene:DRNTG_09022 transcript:DRNTG_09022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIINAALNEELFFKVAGHQLTVVEVDAVYTKPFKTDTILIAPGQTTNVLLTADQGAGRYLVTTSPFMDSPIAVDNKTATATVHYTNTVATSTITTTNPPPQNATPTTPLLQAHYFNISGVFTKDFPGNPPIAFNFTSSGPANRQTMSGTRLYPLAYNSTVQIVLQDTGIIVPENHPLHLHGFNFFAVGRGLGNYDPKTSPKTFNLIDPIERNTIGVPSGGWTAIRFRAD >DRNTG_04357.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31002924:31003439:1 gene:DRNTG_04357 transcript:DRNTG_04357.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGEAVFLCFMVWSSMGSVHGQGQKPLDPLEGIQMGQPTHTRLRFPKVSPQSGGGKECSSCPAPLSDPHGERRICVPCRDSSTTKINHMF >DRNTG_04357.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31002924:31010012:1 gene:DRNTG_04357 transcript:DRNTG_04357.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGEAVFLCFMVWSSMGSVHGQGQKPLDPLEVEALRKIGSELGKRWNFYVDPCSGTSGWIDPVDHNKNYANNVTCGACTAVHCHVIGITLKAQNLSGSLPDAFSILPFLRIIDLTRNYLNGTIPTSWASLNLTQLVNADLRPASRPWPMRPALRRPRPTRQVASLATRAPSLVTRA >DRNTG_21633.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:62112:66550:-1 gene:DRNTG_21633 transcript:DRNTG_21633.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKKPQSSNVQRENTTANAMEDDDLMASPKEEEEIERVLADIPLGELQKARADGSHAPRSKAHIKRQQKPGRAHKNMPMEMSSKVPVAKFREVIQTPKKVTRDPRFESLCGTLDTNGFHKRYDFLFQVELPAEKEKLHKLIKKERDPTVMKELKEHLCWIDKQLQSAQQKKVGSRVLSEHIKKEKEAAKQGKRPYYLKKSEICHGELVKKYEGLKAAGKLDSFIEKRRKRNALKDIRYMPYRRTNKDV >DRNTG_21633.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:62112:66550:-1 gene:DRNTG_21633 transcript:DRNTG_21633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKKPQSSNVQRENTTANAMEDDDLMASPKEEEEIERVLADIPLGELQKARADGSHAPRSKAHIKRQQKPGRAHKNMPMEMSSKVPVAKFREVIQTPKKVTRDPRFESLCGTLDTNGFHKRYDFLFQVELPAEKEKLHKLIKKERDPTVMKELKEHLCWIDKQLQSAQQKKVGSRVLSEHIKKEKEAAKQGKRPYYLKKSEICHGELVKKYEGLKAAGKLDSFIEKRRKRNALKDIRYMPYRRTNKDV >DRNTG_33400.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1505115:1507912:1 gene:DRNTG_33400 transcript:DRNTG_33400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWEESCKDYYKVLEVDFDATDELIRLNYRKLALKWHPDKHKGNSAVTAKFQEINEAYKVLSDPAKRLDYDMSGSYIINRFSLREYLARFKGMILTCNGLGIDHSSAWTNQLVETKARDQ >DRNTG_16773.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22226325:22227403:1 gene:DRNTG_16773 transcript:DRNTG_16773.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRILRKGCSEGCVLRTCLQWIEGAEAQGHATVFVAKFFGRAGLMSFISAVPESQRPALFQSLLYEACGRAVNPVGGAVGLLWGGNWHLCEAAVEAVLCGAAPRPAPGIEAAGDLYGRSSYSTAKRRKRFENPQSGTAACDLDLYLTPSSDGGRRRRPGSPSMNSEESVTTTACGGIEVDRRAPRLLNLFV >DRNTG_16773.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22215627:22227403:1 gene:DRNTG_16773 transcript:DRNTG_16773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRILRKGCSEGCVLRTCLQWIEGAEAQGHATVFVAKFFGRAGLMSFISAVPESQRPALFQSLLYEACGRAVNPVGGAVGLLWGGNWHLCEAAVEAVLCGAAPRPAPGIEAAGDLYGRSSYSTAKRRKRFENPQSGTAACDLDLYLTPSSDGGRRRRPGSPSMNSEESVTTTACGGIEVDRRAPRLLNLFV >DRNTG_24589.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:55028:56529:-1 gene:DRNTG_24589 transcript:DRNTG_24589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREHGVHIPYKQAWLRKEHSWVILDDSDIANYDLLHWYMDKVLKTNPGSIAIIARDGERFKRALFSLSKFYESQCQTWEGIEGESNDTVNELQATSPEAHHWLINKSDMAHWSNYLFRGECWYEMYSNFNKSFNAWIKEARHLPVKKMADSIRFKLMRILCNRSEQKNKWETYLRPGHIIEGRDTC >DRNTG_11126.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30472792:30474243:-1 gene:DRNTG_11126 transcript:DRNTG_11126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESECVSGGLSSPEKLVKEHFIVDELLDFPNDDNDDKVLFTVDDENVFDAEPVNSSESSTVATANAGGPNSKLTSDVNAWPNFLCGDLCEPYEELAELEWLSNFVEESFSSEDLEKLHLISGTKVQTEAQTNGMNTNHGTPEPGIFLPEPSVPGKARSKRSRAAASTAWSSSLLVISPSTSTSCESELLVPNNNGNATKKQGKKRRETPEKPSLAASDGRKCLHCSTDRTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLSKHSNSHRKVIELRRQKEMRQQQNLISGAAAMTAAGGGDEFLIDRRIGLEF >DRNTG_24774.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001315.1:52335:53299:1 gene:DRNTG_24774 transcript:DRNTG_24774.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKLLPLFLLPLILPLSYAATFEIVNQCQDTVWAAAVPGGGQQLNNSQSWTITVNAGTTGGRIWARTGCNFDSSGHGSCETGDCNGLLQCQGYGQAPNTLAEFALDQFNGMDFIDISLVDGYNVPMDFSPTGGCDLRIQCTADINGQCPAELKATGGCNNPCTVFHTDEYCCNSGSCQPTDYSKVF >DRNTG_26675.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1062339:1066352:-1 gene:DRNTG_26675 transcript:DRNTG_26675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSPASITRPRLLHLLLFIHYCHSRSRRLVLLKWLALQPESINNHNADRSIGLILIETIPSFAFALATEAT >DRNTG_18592.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14512601:14522739:-1 gene:DRNTG_18592 transcript:DRNTG_18592.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVGGRLKLKMGKWEREISFVKLSDRLDFTNSKASLVVVGNSWIASSSAFTLCLSLPFFLNWLVVGMGLAVPAQFWINGNPNPSNYALKCPLGSQWPQSLPFDFSRVARTMNEIDGEEMSNLKNLQECKKRCRHLWKTLTKEKRRSSMCLDSLWFSMDKRKVTMEKMRKDDETREQYECDRIELYKSTHYKEGK >DRNTG_17597.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7446297:7447411:1 gene:DRNTG_17597 transcript:DRNTG_17597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQSLIREANDLQRSARHLMGQISAVEISEIYFNAGLKYLHGAYLLEIDQPKGSSQSEKLKIIQIYKSATTLFKLCGEIGEKHKRAELSALAFKCMEVSQFRIVYNMQEFKSMKIREERKMTSSVEHLKYINSNPFALKDLLNTVEAMDVAMDASRRSQSALKEVSQKRKRSEINEILDLIKKVLDFSFNDVPHFVSLVRVSLNKVYI >DRNTG_28003.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001422.1:55924:56197:1 gene:DRNTG_28003 transcript:DRNTG_28003.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQAHDLLDEMRFSGVRVGSSVYSSLLKAYCKENRQGDVMALLRDA >DRNTG_28003.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001422.1:55041:56197:1 gene:DRNTG_28003 transcript:DRNTG_28003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARCESPILAANVLRKLVQMQAFPPLAAWSGVIGHMSRTATGAFLAAELIAEIGYLFNDHRVDPSKKSNKPLLSMKPNSDVFNIALTGCLLFGTTRKAEQLLDMMPRMGVKADANLLIVMAHIYEQNGRRDELKKLKRHIDEACGLSSFQFQQFYNCLLSCHLKFGDLSSAADMVLDMLRKAKEAKMSLASAKSVLAAVETSKASVTDEDRKFKKLDVVEKFRLVKSSAPSYNEFLWDKKFSRLEAEAQESLRLLSDILLGQVDLVKMERGILHPTDKNIYKNLLELS >DRNTG_28003.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001422.1:55041:55860:1 gene:DRNTG_28003 transcript:DRNTG_28003.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLARCESPILAANVLRKLVQMQAFPPLAAWSGVIGHMSRTATGAFLAAELIAEIGYLFNDHRVDPSKKSNKPLLSMKPNSDVFNIALTGCLLFGTTRKAEQLLDMMPRMGVKADANLLIVMAHIYEQNGRRDELKKLKRHIDEACGLSSFQFQQFYNCLLSCHLKFGDLSSAADMVLDMLRKAKEAKMSLASAKSVLAAVETSKASVTDEDRKFKKLDVVEKFRLVKSSAPSYNEFLWDKKFSRLEAEAQESLRLLSDILLGQV >DRNTG_26516.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2349063:2350666:1 gene:DRNTG_26516 transcript:DRNTG_26516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAVCKELDELKHAMEVLRDDCQVKSKLLESLRRAHDEQNSKLQEAKATVEKQTQELAAKSEEINLSRIMYEDVNNTLLEKELLLKNLSLANDNIRMSSRERVMKLEEENKTLISALDEANSRREDGERKLSSCKKEIEELRIQLVQSQKKCSDAEEKAHVLGEVRRREEMIQRVERENEKLEDKLKWKNEQFRHLEEAHCKLQDEFQSSKKVWELEKCGLHDEISSLQMNLDLQKRAVEDFRSRLEMCNQALAHEESRRKLLEIQMSEFETRYENVVMEYEEVRSSIDVLTAKRDEEIATLRNSLATKEACFKEMEFRNDQLEQDNIELRSSLKEFQEAQINAVEATASLKTLRQKFRVLEQKHRSCSEEF >DRNTG_29488.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12131931:12132839:-1 gene:DRNTG_29488 transcript:DRNTG_29488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDVQVVQGKASHQKFAPHPNPAKLRSHHHDFQRRRHSSHKIPKGQ >DRNTG_32105.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13868383:13875847:1 gene:DRNTG_32105 transcript:DRNTG_32105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIEAQYLAPGPARGHGRQRPVQQCRGGGKLQTCGRDAQRFSGTRMLRFQTLRWLLSRRPSGPRRRPPLREAFEVGEKRGVYDRQAEASVQEASNRGLVPSAMEALIVATQLGFHCQENGMLFLDRVSREEMD >DRNTG_32105.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13868383:13875186:1 gene:DRNTG_32105 transcript:DRNTG_32105.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIEAQYLAPGPARGHGRQRPVQQCRGGGKLQTCGRDAQRFSGTRMLRFQTLRWLLSRRPSGPRRRPPLREAFEVGEKRGVYDRQAEASVQEASNRGLVPSAMEALIVATQLGFHCQENGMLFLDRVSREEMD >DRNTG_02418.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000114.1:19147:19953:-1 gene:DRNTG_02418 transcript:DRNTG_02418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLSTPVWKFRTGACSVHARGVARFSPYLSPIQARFWVLERFYGSDIARRLEEASPFPSDVIDSTSIPRVLRGHKRVNGLRDEPPLGLSCACNGVKR >DRNTG_12068.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:8172436:8174504:-1 gene:DRNTG_12068 transcript:DRNTG_12068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPTPVSALIHAATMVTAGVFMIARCSPLFEYPPTALIVITFAGAMTSFLAATTGILQNDLKRVIAYSTCSQLGYMIFACGISNYSVSVFHLMNHAFFKALLFLSAGSVIHAMSDEQDMRKMGACLLVPFDLCHDAHGQLISNWISFFQLDFIPKM >DRNTG_05188.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22614559:22618280:-1 gene:DRNTG_05188 transcript:DRNTG_05188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLHRLKAMNTRSSLESLKISSLVNGSGFGSKQGSDPNPISTHLATKAWPLCVECGSSGHDRHGSSSTLATPPICMLFAQYFSDAWFDKYKFCMLLVSEMEEQLLVGHAYIQRKKSVPALFLIQTSCSSMFSGLFSRDEHNSKEGRCVLRLVHLSSSVAPVRGSLPLGRLCCLSFLCVLIECFSTSCGHVCVFCGSLVVSWVFVDFVNHFM >DRNTG_25200.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28238440:28238827:1 gene:DRNTG_25200 transcript:DRNTG_25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKLKGSNYKEWFTTVSIILLGVELLGHVDGTSSHLSEPEASWTLADRRAMTLICQSCEIDVRMETRHFTTAYDITHVQQRERFVKEYVSDLRSLWLQIDMQML >DRNTG_23239.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21546627:21549005:1 gene:DRNTG_23239 transcript:DRNTG_23239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSSDELIFIDTPVFATIAVILVMMLTVQGNKHFLESRTCGVGWNDLEKMLVLKDETYRTYVEGHPKAKEYLNKPIPLFEELHLVAGDDHTTGDYARSIYDQFGGTIHEDDHDTIPDNVLAPNELMDYEAFEANS >DRNTG_06213.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8433904:8435124:1 gene:DRNTG_06213 transcript:DRNTG_06213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSRAVWKMVSGRAFFDADPIRCPLIWDYYFDTHALAVYTHIWTCQSSKIALSKVAAKVVFTLSRW >DRNTG_27099.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19137648:19140105:-1 gene:DRNTG_27099 transcript:DRNTG_27099.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFTVSLCRTSQSLSKDPNAWNSILKNHAKLKNDRAILTSYLQMESLGIQPTVATLPLVLKACSRLHDVDFGKKIHSDIIMFDFTEDVRIRTALIDFYCKCGFLDVALELFEEMPKRDVVSWNAMICGYVGNQKYDDALFLFLRLSMECLKPSSVTLVSLITVCAELLEFRLGRAVHCYCLRNGFFHSEVHLGTALIGFYSRFDVRLSRHVFDLMLMRNVVSWNAMISEYFDAGADFEALQLFVQMILADVTLDSVTFLTVLQSCGEFECLEMGKQVHQLAIKHQVSNDKYVENAMITMYGKCGNLESTCDVFDKMISRDLASWNAMFFAYKNCKCYDEAFDLFKTMNSAAIEVNTITISTMLSLCAESGDLEKGKQLHAYVMKTGKEKDVGNALLSMYADLDHVLSALKVFSKIETLDIVSWNLLIMGLMRNQFQSQALDVFKQMQQSGTESNSYTMVSLLCGCKDLSHLIAGKSIHGYTLRYNLKFNLSLCTALTDMYMDCGQEVSAMTLFWSSSDRDVISWNAMIASYDRNGRPGEALQVFYKMRSEVQPNSITMINVLPSCAEIADLQQGKCLHAYIIRRGLDFTSEISVENALLTMYAKCGCIRSAVKIFDTLTRRDIVSWNAMIAAYGMHGYGEDALRIFYQMLKSGEKPTSVTFTSILSACSHSSLTDEGLEIFKIMHVVYGIVPDMLHYSCMVDLLSRAGFLDKAGDFIQSMPLEPD >DRNTG_27099.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19137648:19139866:-1 gene:DRNTG_27099 transcript:DRNTG_27099.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFTVSLCRTSQSLSKDPNAWNSILKNHAKLKNDRAILTSYLQMESLGIQPTVATLPLVLKACSRLHDVDFGKKIHSDIIMFDFTEDVRIRTALIDFYCKCGFLDVALELFEEMPKRDVVSWNAMICGYVGNQKYDDALFLFLRLSMECLKPSSVTLVSLITVCAELLEFRLGRAVHCYCLRNGFFHSEVHLGTALIGFYSRFDVRLSRHVFDLMLMRNVVSWNAMISEYFDAGADFEALQLFVQMILADVTLDSVTFLTVLQSCGEFECLEMGKQVHQLAIKHQVSNDKYVENAMITMYGKCGNLESTCDVFDKMISRDLASWNAMFFAYKNCKCYDEAFDLFKTMNSAAIEVNTITISTMLSLCAESGDLEKGKQLHAYVMKTGKEKDVGNALLSMYADLDHVLSALKVFSKIETLDIVSWNLLIMGLMRNQFQSQALDVFKQMQQSGTESNSYTMVSLLCGCKDLSHLIAGKSIHGYTLRYNLKFNLSLCTALTDMYMDCGQEVSAMTLFWSSSDRDVISWNAMIASYDRNGRPGEALQVFYKMRSEVQPNSITMINVLPSCAEIADLQQGKCLHAYIIRRGLDFTSEISVENALLTMYAKCGCIRSAVKIFDTLTRRDIVSWNAMIAAYGMHGYGEDALRIFYQMLKSGEKPTSVTFTSILSACSHSSLTDEGLEIFKIMHVVYGIVPDMLHYSCMVDLLSRAGFLDKAGDFIQSMPLEPD >DRNTG_27099.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19137648:19142151:-1 gene:DRNTG_27099 transcript:DRNTG_27099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFTVSLCRTSQSLSKDPNAWNSILKNHAKLKNDRAILTSYLQMESLGIQPTVATLPLVLKACSRLHDVDFGKKIHSDIIMFDFTEDVRIRTALIDFYCKCGFLDVALELFEEMPKRDVVSWNAMICGYVGNQKYDDALFLFLRLSMECLKPSSVTLVSLITVCAELLEFRLGRAVHCYCLRNGFFHSEVHLGTALIGFYSRFDVRLSRHVFDLMLMRNVVSWNAMISEYFDAGADFEALQLFVQMILADVTLDSVTFLTVLQSCGEFECLEMGKQVHQLAIKHQVSNDKYVENAMITMYGKCGNLESTCDVFDKMISRDLASWNAMFFAYKNCKCYDEAFDLFKTMNSAAIEVNTITISTMLSLCAESGDLEKGKQLHAYVMKTGKEKDVGNALLSMYADLDHVLSALKVFSKIETLDIVSWNLLIMGLMRNQFQSQALDVFKQMQQSGTESNSYTMVSLLCGCKDLSHLIAGKSIHGYTLRYNLKFNLSLCTALTDMYMDCGQEVSAMTLFWSSSDRDVISWNAMIASYDRNGRPGEALQVFYKMRSEVQPNSITMINVLPSCAEIADLQQGKCLHAYIIRRGLDFTSEISVENALLTMYAKCGCIRSAVKIFDTLTRRDIVSWNAMIAAYGMHGYGEDALRIFYQMLKSGEKPTSVTFTSILSACSHSSLTDEGLEIFKIMHVVYGIVPDMLHYSCMVDLLSRAGFLDKAGDFIQSMPLEPD >DRNTG_34317.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8282830:8291143:1 gene:DRNTG_34317 transcript:DRNTG_34317.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRIPFTAFWSPLPPNPNPNPNPNPNPNPIPVPDPTSSSDLPTTISLLKSLISFANSTLTSISDLLPISVLPSLIPCPFNSSHRMPPESLFRHSLICSSAAGSSLLDLAFLDSLRYPSSSQSPAKTTFHRSNPDDDLALSLDEPLDCSTSSFFYKDCHGVVAFPQSDVSRRTFVLPAFLSSECSDFVAESSVDEEEKKFIVFSILPSDFFSLRREVSAWIHCPVSYSCTVLRVASGLRKVDDLRLKRWVIMNSAVSGVVIDAAMRDHIFLLLKLCLKVIEREALCNLELHFRKDEFLGRGDHNFECPKMVGSLNWLASQLSVLYGDRNGNYFAVAMVKESLLCAGSCLMLFTTETDKFRQDGCGSGDGDDVNVDKCENVGSQRIGDLELRKHCQAGSEVYVSVSQVIAAVSALHERSLLEEQIKFLRFGRSITKAQLITEHSFISTRSSEERIKRPEYKAVLEHDGLLWQRPQDQGANKEKTKEELLAEERDYKRRRMSYRGKKVKRTHTQVIRDIIDEHMEEIKQAGGIGCSGEATQEMARVTGFHEPERRSRTIETEADPSFRRKPLHGEYNANSGVHGDVCGKDVSNTEHIMQKPYNEWRHQEDYRKRNNERMQHCGSRNSSHSNDSYHHEKYAYRKAVDYDEEHSSKYDRRDQDYSVKSKDRQARSTLTSTSAKILAEEEHHHISRGSKKPRDRPWSCRSVSVRPNGFEDRYDPHSSHDEYDTAYTDVSAGSNCVRSGRYLGLPDDDKYENEPLRGENAAKRHKWDHHAK >DRNTG_34317.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8282830:8291113:1 gene:DRNTG_34317 transcript:DRNTG_34317.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRIPFTAFWSPLPPNPNPNPNPNPNPNPIPVPDPTSSSDLPTTISLLKSLISFANSTLTSISDLLPISVLPSLIPCPFNSSHRMPPESLFRHSLICSSAAGSSLLDLAFLDSLRYPSSSQSPAKTTFHRSNPDDDLALSLDEPLDCSTSSFFYKDCHGVVAFPQSDVSRRTFVLPAFLSSECSDFVAESSVDEEEKKFIVFSILPSDFFSLRREVSAWIHCPVSYSCTVLRVASGLRKVDDLRLKRWVIMNSAVSGVVIDAAMRDHIFLLLKLCLKVIEREALCNLELHFRKDEFLGRGDHNFECPKMVGSLNWLASQLSVLYGDRNGNYFAVAMVKESLLCAGSCLMLFTTETDKFRQDGCGSGDGDDVNVDKCENVGSQRIGDLELRKHCQAGSEVYVSVSQVIAAVSALHERSLLEEQIKFLRFGRSITKAQLITEHSFISTRSSEERIKRPEYKAVLEHDGLLWQRPQDQGANKEKTKEELLAEERDYKRRRMSYRGKKVKRTHTQVIRDIIDEHMEEIKQAGGIGCSGEATQEMARVTGFHEPERRSRTIETEADPSFRRKPLHGEYNANSGVHGDVCGKDVSNTEHIMQKPYNEWRHQEDYRKRNNERMQHCGSRNSSHSNDSYHHEKYAYRKAVDYDEEHSSKYDRRDQDYSVKSKDRQARSTLTSTSAKILAEEEHHHISRGSKKPRDRPWSCRSVSVRPNGFEDRYDPHSSHDEYDTAYTDVSAGSNCVRSGRYLGLPDDDKYENEPLRGENAAKRHKWDHHAK >DRNTG_34317.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8282830:8291113:1 gene:DRNTG_34317 transcript:DRNTG_34317.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRIPFTAFWSPLPPNPNPNPNPNPNPNPIPVPDPTSSSDLPTTISLLKSLISFANSTLTSISDLLPISVLPSLIPCPFNSSHRMPPESLFRHSLICSSAAGSSLLDLAFLDSLRYPSSSQSPAKTTFHRSNPDDDLALSLDEPLDCSTSSFFYKDCHGVVAFPQSDVSRRTFVLPAFLSSECSDFVAESSVDEEEKKFIVFSILPSDFFSLRREVSAWIHCPVSYSCTVLRVASGLRKVDDLRLKRWVIMNSAVSGVVIDAAMRDHIFLLLKLCLKVIEREALCNLELHFRKDEFLGRGDHNFECPKMVGSLNWLASQLSVLYGDRNGNYFAVAMVKESLLCAGSCLMLFTTETDKFRQDGCGSGDGDDVNVDKCENVGSQRIGDLELRKHCQAGSEVYVSVSQVIAAVSALHERSLLEEQIKFLRFGRSITKAQLITEHSFISTRSSEERIKRPEYKAVLEHDGLLWQRPQDQGANKEKTKEELLAEERDYKRRRMSYRGKKVKRTHTQVIRDIIDEHMEEIKQAGGIGCSGEATQEMARVTGFHEPERRSRTIETEADPSFRRKPLHGEYNANSGVHGDVCGKDVSNTEHIMQKPYNEWRHQEDYRKRNNERMQHCGSRNSSHSNDSYHHEKYAYRKAVDYDEEHSSKYDRRDQDYSVKSKDRQARSTLTSTSAKILAEEEHHHISRGSKKPRDRPWSCRSVSVRPNGFEDRYDPHSSHDEYDTAYTDVSAGSNCVRSGRYLGLPDDDKYENEPLRGENAAKRHKWDHHAK >DRNTG_08783.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7346346:7348995:1 gene:DRNTG_08783 transcript:DRNTG_08783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALINDAPNSPLFFRAGAHLSNSYVLVQCTRDLSKDGCGQCLQNGMSSVSGQCNQTNGWRYLSGSCTLRYEVNPFFDVSFISIPSPDSPQEKDGASKKRSSGVIIAAIVAPILGVILLASILYLWWKLSHKNDNKGEHIHELRPLTTQELPYMNLATILAATSNFAVENKLGEGGFGPVYKGVLNNGTEIAVKRLSTKSKQGAIEFENEVKLIAKLQHRNLVRMLGWCAEREEKLLIYEYLPNKSLDALLFDSEKLVQLDWNTRLQIIKGIARGLLYLHEDSLLKVIHRDLKASNVLLDNKMTPKISDFGMARIFRGDESEANTNRVVGTYGYMAPEFAMGGLFSEKSDVYSYGVLLLEIVTGQRNGRAHFEEHGKTLIRNMWHLWVEGRAQELLDPLLADSCPINEAKKCIKIGLLCVQENTEERPIMSTVVHMLRSSDETLFPEPSQPPSFMGQRTFVPDESSSSIRSDATSAHSVNHVTNSDVQAR >DRNTG_09915.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1635056:1635750:-1 gene:DRNTG_09915 transcript:DRNTG_09915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALQRLVVRNEKLFIDGEEPKLGPEPEGKRRGLAAFRGARAPSISIATYLERIYRYTSCSPSCFVVGFIYVDRMVHKDPGSLVVSLNVHRVVLTSVMVASKVLDDVHYNNSFFARVGGVSNAELNRMELELLSLLDFGVMVSSRVFESYCFHLEKEMAWSGASQKIERTIIDSLNVEIETPEND >DRNTG_04149.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000198.1:934:2517:1 gene:DRNTG_04149 transcript:DRNTG_04149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECPTIAKIREKMPKLKLKCMKMVVSEPAPNNAPNNSTGCDDGVGGRMSNTNNSNSSASIGSTRSAPSLHVAPHSSIPSSSDDSNHNKQVPNQNNSTRLENVPAVTEAENLNAVDRNGQQKKRGRTTLKDLWSLPPEERIVVSANHLGQPIWPEAQLVAGFLGMLARTGQQIGIHYESWHKVPKTLNDELIKFIELRFALEISKEYVLKSLGKKWRDYKHGLKKRHFKREDGLQVNKDKHPNATIRWQWEQLVDYWIQKDLGLLAENNKNILIPLDQIALLERKRKW >DRNTG_25309.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20230968:20255238:1 gene:DRNTG_25309 transcript:DRNTG_25309.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKCCRYQRALNNSVDDVIYQSGDEIFKLLETGAYIYIAGSNTMLPGIKATFAKIAQERGVDWDEMLRELQSTNHWRVEVY >DRNTG_25309.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20254887:20255238:1 gene:DRNTG_25309 transcript:DRNTG_25309.2 gene_biotype:protein_coding transcript_biotype:protein_coding NFQTFGNWCIYIYIAGSNTMLPGIKATFAKIAQERGVDWDEMLRELQSTNHWRVEVY >DRNTG_35279.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002236.1:41927:43326:1 gene:DRNTG_35279 transcript:DRNTG_35279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQVGNFLPREEAPPPHSRAWDEGF >DRNTG_12199.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10642057:10644611:1 gene:DRNTG_12199 transcript:DRNTG_12199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQISVPLISYVLCGRIPVSQPKNQFFHRKIGTCVVSECVHRCSYFDSQKIGLFSGASIAKLQKNVTLFAERCFDCSSFCVKIRNVAVSGTLMNMHRKRYFSSTSIAQINGHAVILDANLAIDGQSVSRISRSDRIDAQNALFDYLHSTRSLNFTDAEHMSKNSPVFLQKLTAKLENEQDMGRSLSKFLCFNPINEFEPFFESLGLKPSEFHPLLPRNLIFLTDDGALLENYHVLCNYGVPRSKIGKMYWEAMEIFRYDSGVMSLKLQAYEELGLSRPTIIKLVTCCPTLLIGDVNVEFLCVVDKLKTFGIELDWLRGCLSDKSLYNWNRILEMLDFLDRMCCGKKELASLMRENPRFVFDDSGKKIYVLVAMLLKLGLSSNMILNLFVQYPRILAGKFTKYLLQSVQFLSEIGMENKDIARIISTHPQVFGTCTCRKSKVVLESSNLTAERLCEIIIEDPSQFSNLACRKKVTDANKPRVEGSYLQEKTNFLLKLGFVENSDEMAKALSKFRGRGDQLQERFDCLVNVGLDCHTVSEMVKLAPPLLNQSTDVIEKKINCLLNDLGYPLEALTAFPTFLCYNLEKIKLRFSMYRWLKKHGIVRPTKNRKIVNSKVALSTILACSDTRFLKYFVDLHPEGLQEWERLKKLSSDKMK >DRNTG_20824.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2765067:2767810:-1 gene:DRNTG_20824 transcript:DRNTG_20824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSSSPLNLLLLLSPLSFLLSMAQSPIPAHYDGFLYGAVSPWEASVLVEAFMDPMCPDSRDAWPSLKQAFQHYSPLVSLIVHPFPLPYHDNSYLTCRALHIANMLNISTTFPLLEFFFDHQKKWSNSATQYMSKQSIINDITKHVSLVIGNSSISAFQSGFADSHTDKAARVSFRYGCSRGVAGTPYFFVNGFLLPGGGSALDYKQWRKIIDPLLTRAEGQIESTII >DRNTG_20206.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14497728:14499214:-1 gene:DRNTG_20206 transcript:DRNTG_20206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATDPYRQNCTPPPADQYKPGYAPPLQYQAGQGYAFPPSLYEHHQYYAGPPSNQYRQDHAPRTSYQCAQVYVPPRFDQFTEGYIPPSLNQYRHDYASLPPPSDQYSASEYNLGVSNEPQYVSMDTATNANYPYPPSYSAPTVSAENGFYAQATDQLPSNYPPPANGAPTQVTTDYPLASTGAPLQVNPLYYHAT >DRNTG_33098.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:9619709:9620563:1 gene:DRNTG_33098 transcript:DRNTG_33098.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIZ1 [Source:Projected from Arabidopsis thaliana (AT2G41660) UniProtKB/TrEMBL;Acc:A0A178VY97] MEVVAPKMDHSLSSSSSSYCFDSDDSSLPLELPLMRSRRLGKHTSLSLFAAVTSIFRSFIPSLQTIFLPSCKWLSMPVTVPMSPSPSRKKITGTFFGNKRGRVSFAVQEDPRSEPMLLLELAMPTSHLVKEMASGMVRILLECELASNNNINMHKKKRALSRCLWDEPTWTMYCNGHRRGFAVSRQCTVSDLHVLGAVKTVSVGAGVLPAVMGNALEMGKGSGNYSGAVFDGSLGGEVMYMRAKFERVVGSKDSEALYMISPDCGRGKRFDNGGPELSLFLLRI >DRNTG_23211.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10464258:10465979:-1 gene:DRNTG_23211 transcript:DRNTG_23211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGGMLSSPELTRTGKETTSTDVFTFGALLLDLVSGWRPIELKAFPEELVFVDRVSGLWSAARWT >DRNTG_28264.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2784216:2784643:-1 gene:DRNTG_28264 transcript:DRNTG_28264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIQRIKTNQQRNNHIENKKYQNKRSS >DRNTG_31489.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2019741:2024548:-1 gene:DRNTG_31489 transcript:DRNTG_31489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKELYFNKDGSAMKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELENPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVQITRGIEKTAKALVAELKNMSKEVEDSELADVAAVSAGNNDEIGNMIAEAMSKVGRKGVVTLEEGKSAENSLYVVEGMQFDRGYISPYFVTDSEKMSVEYENCKLLLVDKKITNARDLISVLEDAIRGGYPVVIIAEDIEQEALATLVVNKLRGALKIAALKAPGFGERKSQYLDDIAILTGGTVIRDEVGLSLDKADKEVLGTAAKVVLTKDSTTIVGDGSTQEEVTKRVAQIRNLIEAAEQEYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLAAKVDAIRDNLENDEQKVGADIVKRALSYPLKLIAKNAGVNGSVVTEKVLSSDNPKFGYNAATGNYEDLMAAGIIDPTKVVRCCLEHAASVAKTFLTSDVVVVEIKEPEPVPATNPMDNSGIILIYIVIFES >DRNTG_23591.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3161711:3166556:-1 gene:DRNTG_23591 transcript:DRNTG_23591.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWVHDLGFSDCSRVVVLCGDRELSSEKDLMGISRQHHRKLGLSQSLKQGFLLPVSECEFNITTAIEELILFNALPGHRPQRATGAAISAAVAIIEGCAPNSGGRIMVFTSGPATVGPGMIVETDLSHSIRTHQDLLSGHAPFAEQARSFYRQLSQRLSDRSLVLDLFACSLDQVGAAEMRFPIETSGGLVMLMETFESEQFKKCLRHIFKREGTDHLNMHFDATVEVVTTKEVKICGALGPCMSLKKKNSSVSEKEIGQGGTNTWKMSTLASKTCLAFIFQVSTAQKAEPSKVFFIQFMTRYRHGNGGLRLRVTTAARRWAATRSPEIVAGFDQEAASTIMARLAIHRTEEYHARDVIRWLDKMLVRFSSKFGEYIPEDPSSFRLSSNFSLFPQFIYYLRRSQFIDVFNCAPDETAFFRLMLNREGVVGSLIMIQPTLFQYSLDGPPIPVLLDVSSIRPDVILLFDSYFHVVIHYGSKIAQWKQLGYDKDPSHENLRKLLEAPELDAKALVEDRIPMPKLIRCNQHGSQARFLLARLNPSITQKSQLVNGSEIVFTDDVSLQVFIEHLQALAVQG >DRNTG_12574.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:805370:807191:-1 gene:DRNTG_12574 transcript:DRNTG_12574.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTQPWEKLEGKVVMVTGASSGIGRELCLDLARAGCLIVAAARRTDRLRTLCDQINGSGSKEVDRETKAVRSVAVELDVCGKSTEIEAAVKKAWDAFGRIDALVNNAGIRGWVYSPLDWSEEDWNTNIKTNLTGLWLVSKHVCTRMRDAKQKGSVINISSITALDRGQLPGALAYCASKAGVNMVTKVMALELGVYNIRVNALAPGLFKSEITQGLMGKEWVNKVTEKIVPLKTFGTSDPALTRVVRYLIHDLSEYVTGNIFIVDAGATLPGFPLFSSL >DRNTG_29300.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29593453:29595970:1 gene:DRNTG_29300 transcript:DRNTG_29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELP6 [Source:Projected from Arabidopsis thaliana (AT4G10090) UniProtKB/TrEMBL;Acc:A0A178V2M9] MSSNASNFLEEALEIGGGRTIGGRVVLVEDCVETSGAFVLHHLMKRVLSPESRGAVLLLAFAHPFSHYDRILRKMGCNLSSQRESNRLQFLDMLRMELPDQIDGEIKEVGFQELFSKLQTAIEVIGERGNNDGGITIMIDDISMLETAAHGCLDQILDFLHYCITLTSEYVRKDFMLCFYK >DRNTG_29300.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29593351:29594837:1 gene:DRNTG_29300 transcript:DRNTG_29300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELP6 [Source:Projected from Arabidopsis thaliana (AT4G10090) UniProtKB/TrEMBL;Acc:A0A178V2M9] MSSNASNFLEEALEIGGGRTIGGRVVLVEDCVETSGAFVLHHLMKRVLSPESRGAVLLLAFAHPFSHYDRILRKMGCNLSSQRESNRLQFLDMLRMELPDQIDGEIKEVGFQELFSKLQTAIEVIGERGNNDGGITIMIDDISMLETAAHGCLDQILDFLHYCITLTSEY >DRNTG_29300.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29593453:29594837:1 gene:DRNTG_29300 transcript:DRNTG_29300.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELP6 [Source:Projected from Arabidopsis thaliana (AT4G10090) UniProtKB/TrEMBL;Acc:A0A178V2M9] MSSNASNFLEEALEIGGGRTIGGRVVLVEDCVETSGAFVLHHLMKRVLSPESRGAVLLLAFAHPFSHYDRILRKMGCNLSSQRESNRLQFLDMLRMELPDQIDGEIKEVGFQELFSKLQTAIEVIGERGNNDGGITIMIDDISMLETAAHGCLDQILDFLHYCITLTSEY >DRNTG_27114.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18935312:18936203:-1 gene:DRNTG_27114 transcript:DRNTG_27114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQERLCAVPHHPPHFVPSQLSKTMHSRGPIRCSRDTS >DRNTG_10791.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000463.1:1104:4325:-1 gene:DRNTG_10791 transcript:DRNTG_10791.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQLQDHDQKEKETQTTQKRLICYTKRRSSLFDKEINSNLNPTPQSQMRFKIAYQRRCKAKPRYHTRRRGMRNTMLEGKPKLTLQLISDTSLAKCQSSSKILPERTTLGDKCQSAADIGESRIMARRRRRKRKKNNVVRDEASHLRRRARYLLIKMKQEQNLIDAYTGDGWKGRSREKLKPVMELQRARKEILKCKLGIRDAIRQLDLLKCEEIVEDSVVDADENVSREHIFCAKCKSREAFPDNDIMLCDGRCNRRFHQRCLDPQSGKIPGDQGWFCKFCECKRGILDVINAHLGTCFSVNSGWE >DRNTG_10791.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000463.1:1104:4325:-1 gene:DRNTG_10791 transcript:DRNTG_10791.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQLQDHDQKEKETQTTQKRLICYTKRRSSLFDKEINSNLNPTPQSQMRFKIAYQRRCKAKPRYHTRRRGMRNTMLEGKPKLTLQLISDTSLAKCQSSSKILPERTTLGDKCQSAADIGESRIMARRRRRKRKKNNVVRDEASHLRRRARYLLIKMKQEQNLIDAYTGDGWKGRSREKLKPVMELQRARKEILKCKLGIRDAIRQLDLLKCEEIVEDSVVDADENVSREHIFCAKCKSREAFPDNDIMLCDGRCNRRFHQRCLDPQSGKIPGDQGWFCKFCECKRGILDVINAHLGTCFSVNSGWE >DRNTG_10791.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000463.1:38:1001:-1 gene:DRNTG_10791 transcript:DRNTG_10791.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFGKERSDKEQSEEDEDWGPHRRKRRRDAG >DRNTG_10791.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000463.1:642:3311:-1 gene:DRNTG_10791 transcript:DRNTG_10791.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQLQDHDQKEKETQTTQKRLICYTKRRSSLFDKEINSNLNPTPQSQMRFKIAYQRRCKAKPRYHTRRRGMRNTMLEGKPKLTLQLISDTSLAKCQSSSKILPERTTLGDKCQSAADIGESRIMARRRRRKRKKNNVVRDEASHLRRRARYLLIKMKQEQNLIDAYTGDGWKGRSREKLKPVMELQRARKEILKCKLGIRDAIRQLDLLKCEEIVEDSVVDADENVSREHIFCAKCKSREAFPDNDIMLCDGRCNRRFHQRCLDPQSGKIPGDQGWFCKFCECKRGILDVINAHLGTCFSVNSGWEDIFKEATNPDVDNACLNSTGEWPSEDSADEDYDPETNCNNHSRTVTDESESSDACSSSGVYYTSDEASSYSNRPRNHYLDGTFGHIINEHFVDSGEAGDCDITNYRRQRRDVDYKKLHD >DRNTG_10791.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000463.1:38:4325:-1 gene:DRNTG_10791 transcript:DRNTG_10791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQLQDHDQKEKETQTTQKRLICYTKRRSSLFDKEINSNLNPTPQSQMRFKIAYQRRCKAKPRYHTRRRGMRNTMLEGKPKLTLQLISDTSLAKCQSSSKILPERTTLGDKCQSAADIGESRIMARRRRRKRKKNNVVRDEASHLRRRARYLLIKMKQEQNLIDAYTGDGWKGRSREKLKPVMELQRARKEILKCKLGIRDAIRQLDLLKCEEIVEDSVVDADENVSREHIFCAKCKSREAFPDNDIMLCDGRCNRRFHQRCLDPQSGKIPGDQGWFCKFCECKRGILDVINAHLGTCFSVNSGWEDIFKEATNPDVDNACLNSTGEWPSEDSADEDYDPETNCNNHSRTVTDESESSDACSSSGVYYTSDEASSYSNRPRNHYLDGTFGHIINEHFVDSGEAGDCDITNYRRQRRDVDYKKLHDEMFGKERSDKEQSEEDEDWGPHRRKRRRDAG >DRNTG_09116.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:82712:84424:1 gene:DRNTG_09116 transcript:DRNTG_09116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVRSMVIMAPSNLHTRIRLCLQLLLLLLQQLLITSLPQALPQLNRKPSDTDALIIFRYRADAHGNLDVNWSTPDACKGSWRGVQCSRAGRIISLSLPSLDLRGPLDALSHLDQLRLLDLHDNRLNGTLLTLLPSLPNLKHLYLAHNDLSGPIPPSVGLLKRLLRLDLSDNDLSGPIPANSIANLTRLVSLRLQNNLLSGLVPDLSKVLPRLDEFNASNNELYGRVPDGMRNKFGLTSLNGNAGLCGPSPPLPLCSFRPQEPPSSSSSQAVVPSNPSSMPDSSTANGGQVRGRVMDKEGRREGLSTGTIVGIVVGNALFLLVVVSFSVAYCCSRRFGGGRDEDKRSNGEGHDGGSHYTDERGKGNGRDSGDSGAALATQTKLVFFEGDEGDEEEEETGSDGSRRRGRHRRFELEDLLRASAEMVGKGTLGTVYRAVLEDGCMVAVKRLRDANPCPRKEFDSYMGIIGRLRHTNLVNLRAYYYAKQEKLLIYDYLPNGSLYTLLHGNIYSQH >DRNTG_11820.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:301732:312585:-1 gene:DRNTG_11820 transcript:DRNTG_11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGLFLAWRITHKNKDAVWLWGMSVVCELWFAFSWILDQLPKLFPVNRATDLSVLKEKFESPGPNNPAGKSDLPGIDVFVSTADPEKEPPLVTSNTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCRKHDIEPRNPESYFNLKRDPESIRRRSDAFHAREEIKAMKLQRDQNAGAGVHGNGEEPPMEPVKIPKATWMADGTHWPGTWLNSSPDHTRGDHAGIIQVMLKPPSEEPLTGNEEGKMIDLTDVDIRLPMLVYLSREKRPGYDHNKKAGAMNALVRASAIMSNGPFMLNLDCDHYIYNSQAIREGMCFMLDRGGDRISYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRLKNRSSAVVVAASSEETMALRMGDSDDGLMNMSTFPKRFGNSNFFIDSIPVAEFQGRPLADHPSVKNGRPPGALTIPRDLLDASTVAEAISTISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASHRMKLLQRLAYLNVGVYPFTSVFLIVYCFLPALSLFSGQFIVQNLNVAFLTYLLVITITLCMLAVLEVKWSGIALEEWWRNEQFWLIGGTSAHLAAVLQGLLKVVAGIEISFTLTSKSAGDDMDDEFAELYVVKWTSLMIPPITIMMVNLIAIAVGISRTIYSVVPQWSKLLGGVFFSFWVLAHMYPFAKGLMGRRGRTPTIVFVWSGILAIGISLLWEAINPSDPNNSQIGGSFTFP >DRNTG_11820.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:303747:312585:-1 gene:DRNTG_11820 transcript:DRNTG_11820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGLFLAWRITHKNKDAVWLWGMSVVCELWFAFSWILDQLPKLFPVNRATDLSVLKEKFESPGPNNPAGKSDLPGIDVFVSTADPEKEPPLVTSNTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCRKHDIEPRNPESYFNLKRDPESIRRRSDAFHAREEIKAMKLQRDQNAGAGVHGNGEEPPMEPVKIPKATWMADGTHWPGTWLNSSPDHTRGDHAGIIQVMLKPPSEEPLTGNEEGKMIDLTDVDIRLPMLVYLSREKRPGYDHNKKAGAMNALVRASAIMSNGPFMLNLDCDHYIYNSQAIREGMCFMLDRGGDRISYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRLKNRSSAVVVAASSEETMALRMGDSDDGLMNMSTFPKRFGNSNFFIDSIPVAEFQGRPLADHPSVKNGRPPGALTIPRDLLDASTVAEAISTISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASHRMKLLQRLAYLNVGVYPFTSVFLIVYCFLPALSLFSGQFIVQNLNVAFLTYLLVITITLCMLAVLEVKWSGIALEEWWRNEQFWLIGGTSAHLAAVLQGLLKVVAGIEISFTLTSKSAGDDMDDEFAELYVVKWTSLMIPPITIMMVNLIAIAVGISRTIYSVVPQWSKLLGGVFFSFWVLAHMYPFAKGLMGRRGRTPTIVFVWSGILAIGISLLWEAINPSDPNNSQIGGSFTFP >DRNTG_11820.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:306991:312585:-1 gene:DRNTG_11820 transcript:DRNTG_11820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGLFLAWRITHKNKDAVWLWGMSVVCELWFAFSWILDQLPKLFPVNRATDLSVLKEKFESPGPNNPAGKSDLPGIDVFVSTADPEKEPPLVTSNTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCRKHDIEPRNPESYFNLKRDPESIRRRSDAFHAREEIKAMKLQRDQNAGAGVHGNGEEPPMEPVKIPKATWMADGTHWPGTWLNSSPDHTRGDHAGIIQVMLKPPSEEPLTGNEEGKMIDLTDVDIRLPMLVYLSREKRPGYDHNKKAGAMNALVRASAIMSNGPFMLNLDCDHYIYNSQAIREGMCFMLDRGGDRISYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRLKNRSSAVVVAASSEETMALRMGDSDDGLMNMSTFPKRFGNSNFFIDSIPVAEFQGRPLADHPSVKNGRPPGALTIPRDLLDASTVAEAISTISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASHRMKLLQRLAYLNVGVYPFTSVFLIVYCFLPALSLFSGQFIVQNLNVAFLTYLLVITITLCMLAVLEVKWSGIALEEWWRNEQFWLIGGTSAHLAAVLQGLLKVVAGIEISFTLTSKSAGDDMDDEFAELYVVKWTSLMIPPITIMMVNLIAIAVGISRTIYSVVPQWSKLLGGVFFSFWVLAHMYPFAKGLMGRRGRTPTIVFVWSGILAIGISLLWEAINPSDPNNSQIGGSFTFP >DRNTG_11820.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:308330:312585:-1 gene:DRNTG_11820 transcript:DRNTG_11820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGLFLAWRITHKNKDAVWLWGMSVVCELWFAFSWILDQLPKLFPVNRATDLSVLKEKFESPGPNNPAGKSDLPGIDVFVSTADPEKEPPLVTSNTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCRKHDIEPRNPESYFNLKRDPESIRRRSDAFHAREEIKAMKLQRDQNAGAGVHGNGEEPPMEPVKIPKATWMADGTHWPGTWLNSSPDHTRGDHAGIIQVMLKPPSEEPLTGNEEGKMIDLTDVDIRLPMLVYLSREKRPGYDHNKKAGAMNALVRASAIMSNGPFMLNLDCDHYIYNSQAIREGMCFMLDRGGDRISYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRLKNRSSAVVVAASSEETMALRMGDSDDGLMNMSTFPKRFGNSNFFIDSIPVAEFQGRPLADHPSVKNGRPPGALTIPRDLLDASTVAEAISTISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASHRMKLLQRLAYLNVGVYPFTSVFLIVYCFLPALSLFSGQFIVQNLNVAFLTYLLVITITLCMLAVLEVKWSGIALEEWWRNEQFWLIGGTSAHLAAVLQGLLKVVAGIEISFTLTSKSAGDDMDDEFAELYVVKWTSLMIPPITIMMVNLIAIAVGISRTIYSVVPQWSKLLGGVFFSFWVLAHMYPFAKGLMGRRGRTPTIVFVWSGILAIGISLLWEAINPSDPNNSQIGGSFTFP >DRNTG_12006.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7795436:7796390:1 gene:DRNTG_12006 transcript:DRNTG_12006.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLLCFSCFCGADVYPWEKQFFNYECPITWWQLTHANRFMSYKKVQEWDDSAGLEAFQNANFCQWAKINNCQCNIPLPDPDMYIDDVDYDVFIDPELVADLDYCEQKQAAARRGLTNQQLSAKSDVLDIGNTIKPSGCKVAESSYSYGVNGKSSNAWNSASWGRNGAYGGRWRTSNSWGDACVKYSYKGNELDNAWNAGLPETSGCHGNTNNQAGRKYGRGRFDSRTMTSVFKTDHCLLNGGRKNFRGKQSRSDHNETTIYPGRRTTLQWRPKSSVPGKNQAPNDSEKLVSQ >DRNTG_12006.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7795113:7796528:1 gene:DRNTG_12006 transcript:DRNTG_12006.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLLCFSCFCGADVYPWEKQFFNYECPITWWQLTHANRFMSYKKVQEWDDSAGLEAFQNANFCQWAKINNCQCNIPLPDPDMYIDDVDYDVFIDPELVADLDYCEQKQAAARRGLTNQQLSAKSDVLDIGNTIKPSGCKVAESSYSYGVNGKSSNAWNSASWGRNGAYGGRWRTSNSWGDACVKYSYKGNELDNAWNAGLPETSGCHGNTNNQAGRKYGRGRFDSRTMTSVFKTDHCLLNGGRKNFRGKQSRSDHNETTIYPGRRTTLQWRPKSSVPGKNQAPNDSEKLVSQ >DRNTG_12006.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7791570:7796675:1 gene:DRNTG_12006 transcript:DRNTG_12006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKKVQEWDDSAGLEAFQNANFCQWAKINNCQCNIPLPDPDMYIDDVDYDVFIDPELVADLDYCEQKQAAARRGLTNQQLSAKSDVLDIGNTIKPSGCKVAESSYSYGVNGKSSNAWNSASWGRNGAYGGRWRTSNSWGDACVKYSYKGNELDNAWNAGLPETSGCHGNTNNQAGRKYGRGRFDSRTMTSVFKTDHCLLNGGRKNFRGKQSRSDHNETTIYPGRRTTLQWRPKSSVPGKNQAPNDSEKLVSQ >DRNTG_12006.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7795113:7796390:1 gene:DRNTG_12006 transcript:DRNTG_12006.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLLCFSCFCGADVYPWEKQFFNYECPITWWQLTHANRFMSYKKVQEWDDSAGLEAFQNANFCQWAKINNCQCNIPLPDPDMYIDDVDYDVFIDPELVADLDYCEQKQAAARRGLTNQQLSAKSDVLDIGNTIKPSGCKVAESSYSYGVNGKSSNAWNSASWGRNGAYGGRWRTSNSWGDACVKYSYKGNELDNAWNAGLPETSGCHGNTNNQAGRKYGRGRFDSRTMTSVFKTDHCLLNGGRKNFRGKQSRSDHNETTIYPGRRTTLQWRPKSSVPGKNQAPNDSEKLVSQ >DRNTG_12006.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7795436:7796675:1 gene:DRNTG_12006 transcript:DRNTG_12006.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLLCFSCFCGADVYPWEKQFFNYECPITWWQLTHANRFMSYKKVQEWDDSAGLEAFQNANFCQWAKINNCQCNIPLPDPDMYIDDVDYDVFIDPELVADLDYCEQKQAAARRGLTNQQLSAKSDVLDIGNTIKPSGCKVAESSYSYGVNGKSSNAWNSASWGRNGAYGGRWRTSNSWGDACVKYSYKGNELDNAWNAGLPETSGCHGNTNNQAGRKYGRGRFDSRTMTSVFKTDHCLLNGGRKNFRGKQSRSDHNETTIYPGRRTTLQWRPKSSVPGKNQAPNDSEKLVSQ >DRNTG_12006.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7795113:7796675:1 gene:DRNTG_12006 transcript:DRNTG_12006.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLLCFSCFCGADVYPWEKQFFNYECPITWWQLTHANRFMSYKKVQEWDDSAGLEAFQNANFCQWAKINNCQCNIPLPDPDMYIDDVDYDVFIDPELVADLDYCEQKQAAARRGLTNQQLSAKSDVLDIGNTIKPSGCKVAESSYSYGVNGKSSNAWNSASWGRNGAYGGRWRTSNSWGDACVKYSYKGNELDNAWNAGLPETSGCHGNTNNQAGRKYGRGRFDSRTMTSVFKTDHCLLNGGRKNFRGKQSRSDHNETTIYPGRRTTLQWRPKSSVPGKNQAPNDSEKLVSQ >DRNTG_12006.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7794090:7796528:1 gene:DRNTG_12006 transcript:DRNTG_12006.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKKVQEWDDSAGLEAFQNANFCQWAKINNCQCNIPLPDPDMYIDDVDYDVFIDPELVADLDYCEQKQAAARRGLTNQQLSAKSDVLDIGNTIKPSGCKVAESSYSYGVNGKSSNAWNSASWGRNGAYGGRWRTSNSWGDACVKYSYKGNELDNAWNAGLPETSGCHGNTNNQAGRKYGRGRFDSRTMTSVFKTDHCLLNGGRKNFRGKQSRSDHNETTIYPGRRTTLQWRPKSSVPGKNQAPNDSEKLVSQ >DRNTG_12006.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7791570:7796390:1 gene:DRNTG_12006 transcript:DRNTG_12006.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKKVQEWDDSAGLEAFQNANFCQWAKINNCQCNIPLPDPDMYIDDVDYDVFIDPELVADLDYCEQKQAAARRGLTNQQLSAKSDVLDIGNTIKPSGCKVAESSYSYGVNGKSSNAWNSASWGRNGAYGGRWRTSNSWGDACVKYSYKGNELDNAWNAGLPETSGCHGNTNNQAGRKYGRGRFDSRTMTSVFKTDHCLLNGGRKNFRGKQSRSDHNETTIYPGRRTTLQWRPKSSVPGKNQAPNDSEKLVSQ >DRNTG_12006.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7795436:7796528:1 gene:DRNTG_12006 transcript:DRNTG_12006.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLLCFSCFCGADVYPWEKQFFNYECPITWWQLTHANRFMSYKKVQEWDDSAGLEAFQNANFCQWAKINNCQCNIPLPDPDMYIDDVDYDVFIDPELVADLDYCEQKQAAARRGLTNQQLSAKSDVLDIGNTIKPSGCKVAESSYSYGVNGKSSNAWNSASWGRNGAYGGRWRTSNSWGDACVKYSYKGNELDNAWNAGLPETSGCHGNTNNQAGRKYGRGRFDSRTMTSVFKTDHCLLNGGRKNFRGKQSRSDHNETTIYPGRRTTLQWRPKSSVPGKNQAPNDSEKLVSQ >DRNTG_12006.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7791570:7796528:1 gene:DRNTG_12006 transcript:DRNTG_12006.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKKVQEWDDSAGLEAFQNANFCQWAKINNCQCNIPLPDPDMYIDDVDYDVFIDPELVADLDYCEQKQAAARRGLTNQQLSAKSDVLDIGNTIKPSGCKVAESSYSYGVNGKSSNAWNSASWGRNGAYGGRWRTSNSWGDACVKYSYKGNELDNAWNAGLPETSGCHGNTNNQAGRKYGRGRFDSRTMTSVFKTDHCLLNGGRKNFRGKQSRSDHNETTIYPGRRTTLQWRPKSSVPGKNQAPNDSEKLVSQ >DRNTG_26428.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23939130:23941550:1 gene:DRNTG_26428 transcript:DRNTG_26428.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNKKEEKAHNKTKQEKSQAKQKRSTLTIQQSKALKHEDLYIYIYIYIYSLNP >DRNTG_20300.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5407956:5408429:1 gene:DRNTG_20300 transcript:DRNTG_20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIIENKKDGTSFTLEIWFFSTVLKIKEKIHKHHGVPLDKQMLYISRVAMEDDRDTLYYKVGGGDEVMETEEDNVVNQYEEEIQEGLVRGHEVIEDGVVGQDEQDIQEGLVGGHEVVSMEDVLGQQ >DRNTG_19275.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:463609:470251:1 gene:DRNTG_19275 transcript:DRNTG_19275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGRDAVEVWGDGGKLKGSKGKKKSKKESGEGKEESGCWVTFRLMSSCMSSRSKVDTSISSAITHCESKSANDGSRDQPTVPIASGSTTASNTESSSSISRVGEELKVASQLRKFTFNELKSATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNFLGDLLHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWAIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDAEYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMTGRRSMDKNRPNGEHNLVEWARPHLGERRRFYKLIDPRLEGNFSIKGAQKAAQLAYHCLNRDPKARPLMSEVVDALKPLLNLKDMASSSYLFQNMQAERATAGTNNPSSKNGLKMQGAFARNVQQPVRSLSNGAYASPNHKNQKLNSFPAKGSKPG >DRNTG_32182.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4726037:4726342:-1 gene:DRNTG_32182 transcript:DRNTG_32182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLESILKAGEAEKNMGIANVNPYAQMIKGFDKIENLHNHDNNEIYERVVKILETYWSQEEDTAAILPNGLPNGLPNGLQNSIPHGGFNFNLRYGQYQTI >DRNTG_18176.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29129843:29133905:1 gene:DRNTG_18176 transcript:DRNTG_18176.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIIHLASQHLLAGNLPSSFPSVAQYGVPNTGPPVIGMGPVMGHYGGYKGDVAGDWPFYSGPRDESAAREFGLRLLCPSVNIGAVIGKGGAIIKQIRQESGATIKVDSSSGEDDCIITISAKEFFEEAISPTLEAALRLQPRCSEKTERESGEFSFTTRLLVPTSRIGCLIGKGGSIISEMRRSTRANIRILSKENLPKVASEDDEMVQISGDLELAKNALVQITMRLKANFFEREGALSAFPPSAPYHLMASDDGTKYGGRDSKAHSRGYSSYSGGYGSSGDLPSETYGGYSGSQGGSGGNYGSYGGYSGRSGSAG >DRNTG_18176.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29127404:29133905:1 gene:DRNTG_18176 transcript:DRNTG_18176.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIIHLASQHLLAGNLPSSFPSVAQYGVPNTGPPVIGMGPVMGHYGGYKGDVAGDWPFYSGPRDESAAREFGLRLLCPSVNIGAVIGKGGAIIKQIRQESGATIKVDSSSGEDDCIITISAKEFFEEAISPTLEAALRLQPRCSEKTERESGEFSFTTRLLVPTSRIGCLIGKGGSIISEMRRSTRANIRILSKENLPKVASEDDEMVQISGDLELAKNALVQITMRLKANFFEREGALSAFPPSAPYHLMASDDGTKYGGRDSKAHSRGYSSYSGGYGSSGDLPSETYGGYSGSQGGSGGNYGSYGGYSGRSGSAGLSGPNPVSHGKHHGY >DRNTG_18176.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29127404:29129687:1 gene:DRNTG_18176 transcript:DRNTG_18176.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRNNYGKRYHSQPDYTDNSGSKRRNPGDERDPFAPTSDDTVYRYLCPGKKIGSIIGRGGEIVKQLRADTQAKIRIGESTPGCDERVITIYSSSRETSTFEDTGDEICPAQDALFKVHEKLIADESLNDEYIDTDAPQVMVRLLVPSDQIGCIIGKGGQIIQSIRSETGAQVRIMKNEHLPPCAINNDELLQVLVFFYFCFLFWVFCYEKLYLLNYSCSVNFFFLG >DRNTG_18176.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29127404:29133905:1 gene:DRNTG_18176 transcript:DRNTG_18176.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIHLASQHLLAGNLPSSFPSVAQYGVPNTGPPVIGMGPVMGHYGGYKGDVAGDWPFYSGPRDESAAREFGLRLLCPSVNIGAVIGKGGAIIKQIRQESGATIKVDSSSGEDDCIITISAKEFFEEAISPTLEAALRLQPRCSEKTERESGEFSFTTRLLVPTSRIGCLIGKGGSIISEMRRSTRANIRILSKENLPKVASEDDEMVQISGDLELAKNALVQITMRLKANFFEREGALSAFPPSAPYHLMASDDGTKYGGRDSKAHSRGYSSYSGGYGSSGDLPSETYGGYSGSQGGSGGNYGSYGGYSGRSGSAG >DRNTG_18176.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29127404:29129687:1 gene:DRNTG_18176 transcript:DRNTG_18176.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRNNYGKRYHSQPDYTDNSGSKRRNPGDERDPFAPTSDDTVYRYLCPGKKIGSIIGRGGEIVKQLRADTQAKIRIGESTPGCDERVITIYSSSRETSTFEDTGDEICPAQDALFKVHEKLIADESLNDEYIDTDAPQVMVRLLVPSDQIGCIIGKGGQIIQSIRSETGAQVRIMKNEHLPPCAINNDELLQVLVFFYFCFLFWVFCYEKLYLLNYSCSVNFFFLG >DRNTG_18176.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29129843:29133905:1 gene:DRNTG_18176 transcript:DRNTG_18176.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIIHLASQHLLAGNLPSSFPSVAQYGVPNTGPPVIGMGPVMGHYGGYKGDVAGDWPFYSGPRDESAAREFGLRLLCPSVNIGAVIGKGGAIIKQIRQESGATIKVDSSSGEDDCIITISAKEFFEEAISPTLEAALRLQPRCSEKTERESGEFSFTTRLLVPTSRIGCLIGKGGSIISEMRRSTRANIRILSKENLPKVASEDDEMVQISGDLELAKNALVQITMRLKANFFEREGALSAFPPSAPYHLMASDDGTKYGGRDSKAHSRGYSSYSGGYGSSGDLPSETYGGYSGSQGGSGGNYGSYGGYSGRSGSAGLSGPNPVSHGKHHGY >DRNTG_18176.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29129843:29133905:1 gene:DRNTG_18176 transcript:DRNTG_18176.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIIHLASQHLLAGNLPSSFPSVAQYGVPNTGPPVIGMGPVMGHYGGYKGDVAGDWPFYSGPRDESAAREFGLRLLCPSVNIGAVIGKGGAIIKQIRQESGATIKVDSSSGEDDCIITISAKEFFEEAISPTLEAALRLQPRCSEKTERESGEFSFTTRLLVPTSRIGCLIGKGGSIISEMRRSTRANIRILSKENLPKVASEDDEMVQISGDLELAKNALVQITMRLKANFFEREGALSAFPPSAPYHLMASDDGTKYGGRDSKAHSRGYSSYSGGYGSSGDLPSETYGGYSGSQGGSGGNYGSYGGYSGRSGSAGLSGPNPVSHGKHHGY >DRNTG_18176.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29127404:29133905:1 gene:DRNTG_18176 transcript:DRNTG_18176.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIHLASQHLLAGNLPSSFPSVAQYGVPNTGPPVIGMGPVMGHYGGYKGDVAGDWPFYSGPRDESAAREFGLRLLCPSVNIGAVIGKGGAIIKQIRQESGATIKVDSSSGEDDCIITISAKEFFEEAISPTLEAALRLQPRCSEKTERESGEFSFTTRLLVPTSRIGCLIGKGGSIISEMRRSTRANIRILSKENLPKVASEDDEMVQISGDLELAKNALVQITMRLKANFFEREGALSAFPPSAPYHLMASDDGTKYGGRDSKAHSRGYSSYSGGYGSSGDLPSETYGGYSGSQGGSGGNYGSYGGYSGRSGSAGLSGPNPVSHGKHHGY >DRNTG_05130.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30585439:30586245:1 gene:DRNTG_05130 transcript:DRNTG_05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSTAAVEGGREGEGCGEEVKEEVVQYVVLRRDLVDTWPMGSVVAQGCHAAVAAVWAHRDHPDTVAYCSDANLDSMHKVTLEVKGEAQIRNLSEKLKVNGIDHKLWIEQPENIPTCIATRPYPRSQVVSFFKKLKLCK >DRNTG_29685.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3905612:3910870:1 gene:DRNTG_29685 transcript:DRNTG_29685.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I inositol polyphosphate 5-phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT4G18010) UniProtKB/Swiss-Prot;Acc:Q9FUR2] MKTRKGKRSEPFWPSIVMRKWLNIKPKVHEFSEDEVDTESEDDETKNVRREWVIQAVGSENAVSTQTSEFQRKGASSRLRRRKSETLRIQYINKKDVRVMIGSWNVAGRVPSDDLEIDQWLCTGDLADLYILGFQEVVPLNAGNVLGAEDSRPIPKWEAIIRRALNKSSEPKPACKSHSAPPSPVSNNMIPNRELLNNSVVPIVTITSNIVEEDEDEHINLRKRFQEENGVLNIDHVTKLDWPEYSLDTPPQPLQSGTKLRRVLSNVPSSGFDLMEKSQFADSFNVQFNIGLKRVYHSSGNLGMLWHQEQKVSDLDTFDDFSDKLSKEGNSIPEYVTDKCEDGASDEYAKPRARYVRIVSKQMVGIYISIWVSRRLRRHINNLKVSPVGVGIMGYMGNKGSVSVSMSLFQTRFCFVCSHLTSGHKEGDQHKRNSDVYDILQRTRFSGISDVDQPKTIPCHDRIFWFGDLNYRLNLPDAEVREMVAKKQWDELINSDQVGLLHCFQLR >DRNTG_29685.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3905612:3910870:1 gene:DRNTG_29685 transcript:DRNTG_29685.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I inositol polyphosphate 5-phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT4G18010) UniProtKB/Swiss-Prot;Acc:Q9FUR2] MKTRKGKRSEPFWPSIVMRKWLNIKPKVHEFSEDEVDTESEDDETKNVRREWVIQAVGSENAVSTQTSEFQRKGASSRLRRRKSETLRIQYINKKDVRVMIGSWNVAGRVPSDDLEIDQWLCTGDLADLYILGFQEVVPLNAGNVLGAEDSRPIPKWEAIIRRALNKSSEPKPACKSHSAPPSPVSNNMIPNRELLNNSVVPIVTITSNIVEEDEDEHINLRKRFQEENGVLNIDHVTKLDWPEYSLDTPPQPLQSGTKLRRVLSNVPSSGFDLMEKSQFADSFNVQFNIGLKRVYHSSGNLGMLWHQEQKVSDLDTFDDFSDKLSKEGNSIPEYVTDKCEDGASDEYAKPRARYVRIVSKQMVGIYISIWVSRRLRRHINNLKVSPVGVGIMGYMGNKGSVSVSMSLFQTRFCFVCSHLTSGHKEGDQHKRNSDVYDILQRTRFSGISDVDQPKTIPCHE >DRNTG_29685.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3904439:3910870:1 gene:DRNTG_29685 transcript:DRNTG_29685.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I inositol polyphosphate 5-phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT4G18010) UniProtKB/Swiss-Prot;Acc:Q9FUR2] MKTRKGKRSEPFWPSIVMRKWLNIKPKVHEFSEDEVDTESEDDETKNVRREWVIQAVGSENAVSTQTSEFQRKGASSRLRRRKSETLRIQYINKKDVRVMIGSWNVAGRVPSDDLEIDQWLCTGDLADLYILGFQEVVPLNAGNVLGAEDSRPIPKWEAIIRRALNKSSEPKPACKSHSAPPSPVSNNMIPNRELLNNSVVPIVTITSNIVEEDEDEHINLRKRFQEENGVLNIDHVTKLDWPEYSLDTPPQPLQSGTKLRRVLSNVPSSGFDLMEKSQFADSFNVQFNIGLKRVYHSSGNLGMLWHQEQKVSDLDTFDDFSDKLSKEGNSIPEYVTDKCEDGASDEYAKPRARYVRIVSKQMVGIYISIWVSRRLRRHINNLKVSPVGVGIMGYMGNKGSVSVSMSLFQTRFCFVCSHLTSGHKEGDQHKRNSDVYDILQRTRFSGISDVDQPKTIPCHE >DRNTG_29685.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3905612:3910870:1 gene:DRNTG_29685 transcript:DRNTG_29685.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I inositol polyphosphate 5-phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT4G18010) UniProtKB/Swiss-Prot;Acc:Q9FUR2] MKTRKGKRSEPFWPSIVMRKWLNIKPKVHEFSEDEVDTESEDDETKNVRREWVIQAVGSENAVSTQTSEFQRKGASSRLRRRKSETLRIQYINKKDVRVMIGSWNVAGRVPSDDLEIDQWLCTGDLADLYILGFQEVVPLNAGNVLGAEDSRPIPKWEAIIRRALNKSSEPKPACKSHSAPPSPVSNNMIPNRELLNNSVVPIVTITSNIVEEDEDEHINLRKRFQEENGVLNIDHVTKLDWPEYSLDTPPQPLQSGTKLRRVLSNVPSSGFDLMEKSQFADSFNVQFNIGLKRVYHSSGNLGMLWHQEQKVSDLDTFDDFSDKLSKEGNSIPEYVTDKCEDGASDEYAKPRARYVRIVSKQMVGIYISIWVSRRLRRHINNLKVSPVGVGIMGYMGNKGSVSVSMSLFQTRFCFVCSHLTSGHKEGDQHKRNSDVYDILQRTRFSGISDVDQPKTIPCHDRIFWFGDLNYRLNLPDAEVREMVAKKQWDELINSDQLAKELRYGRIFDGWKEGPIDFPPTYKYVINSNRYVGENAREGEKKRSPAWCDRILWSGKGIKQLSYQRSELDISDHRPVSSIFSVEVEVFDQRKLQRVLNVTNAGLLPEVFCCEEKG >DRNTG_29685.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3904439:3910870:1 gene:DRNTG_29685 transcript:DRNTG_29685.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I inositol polyphosphate 5-phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT4G18010) UniProtKB/Swiss-Prot;Acc:Q9FUR2] MKTRKGKRSEPFWPSIVMRKWLNIKPKVHEFSEDEVDTESEDDETKNVRREWVIQAVGSENAVSTQTSEFQRKGASSRLRRRKSETLRIQYINKKDVRVMIGSWNVAGRVPSDDLEIDQWLCTGDLADLYILGFQEVVPLNAGNVLGAEDSRPIPKWEAIIRRALNKSSEPKPACKSHSAPPSPVSNNMIPNRELLNNSVVPIVTITSNIVEEDEDEHINLRKRFQEENGVLNIDHVTKLDWPEYSLDTPPQPLQSGTKLRRVLSNVPSSGFDLMEKSQFADSFNVQFNIGLKRVYHSSGNLGMLWHQEQKVSDLDTFDDFSDKLSKEGNSIPEYVTDKCEDGASDEYAKPRARYVRIVSKQMVGIYISIWVSRRLRRHINNLKVSPVGVGIMGYMGNKGSVSVSMSLFQTRFCFVCSHLTSGHKEGDQHKRNSDVYDILQRTRFSGISDVDQPKTIPCHD >DRNTG_30172.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18499117:18500159:1 gene:DRNTG_30172 transcript:DRNTG_30172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDLLDPRTRGNTLPAPLRAFPAVGVDVPPPSPPVRRRHRYSSRAEHDEAHIPRQPHQEGYQSFYSSDDINVTRSSHLHGFPPVEPSRYLVDFGMDFMRNLFDYQPHPPMEHGQSSRSTSFRQQDWESPPNPSYFAANLNTPALVIHDSDESFDDDPLAEQARRPRPRGLPDRDIHPPQCFTERWQRRR >DRNTG_13018.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26737608:26738712:-1 gene:DRNTG_13018 transcript:DRNTG_13018.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECSLEEEEEERQNTGRKSKEYCSSPEFEFWMVNNPSMPEPNLLTADELFSDGVLLPLHLLSSHPPPPSPQPPPPSTDHSPSILSMPTSSSSSSSPSSSKRWKDIFRVSDKKPDDKKDKKSSSGAGGGGTTELNIHIWPFSRSRSAGTASSGRAKPASTTRKSSSAPCSRSNSAGRKWTASPGRAAGVPVGRTSPVWQFRRPPGKVTEQKIKSGIRGLNLNVNTCIGYSRTQVSCRGDGKRRNTSGDGIKGDVSNTGSLFSLRTLFSKKVY >DRNTG_13018.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26734271:26738712:-1 gene:DRNTG_13018 transcript:DRNTG_13018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSLEEEEEERQNTGRKSKEYCSSPEFEFWMVNNPSMPEPNLLTADELFSDGVLLPLHLLSSHPPPPSPQPPPPSTDHSPSILSMPTSSSSSSSPSSSKRWKDIFRVSDKKPDDKKDKKSSSGAGGGGTTELNIHIWPFSRSRSAGTASSGRAKPASTTRKSSSAPCSRSNSAGRKWTASPGRAAGVPVGRTSPVWQFRRPPGKVTEQKIKSGIRGLNLNVNTCIGYSRTQVSCRGDGKRRNTSGDGIKGDVSNTGSLFSLRTLFSKKVY >DRNTG_14173.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22908416:22913584:1 gene:DRNTG_14173 transcript:DRNTG_14173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFPGQVTAVQVGSYFVGQYYQILQQRPDFAHQFYSDASAMIRVDGDNAESVSGMMQIHSLLTNLNFTGIEIKTAHSLDSWNGAVVVVVSGFVQTKNYSARRKFMQTFLLAPQEKGYFILNDLFHFLEEEQVQHYPSSLLAHSNFDPHSNFDHTNFESKLSTPNLSPKPVTNYVLGGEVESDDLIDSDLANTVQAEESEIVDKYSFSEAQPPVPEPEDVIEEIPLEEPVDSFSNVVETVRDLPPVLPEEPVREPQKQTYASVLRIAKGQPAHSTSHPPALNKVPPVTAEWQNVSQSVPQPVFSAGNEKFNFDATEEAFIPEDEGDAKSVYVGNLPASISVADLEQEFKNFGRIKPDGVTIRNRKEAGVYYAFVEFEDVFGVQNALKASPIEINGRQIHVEARRPNSGAIRGRRGRGRGGYQSDVPRGRFGGRTFARVGGGIQHNNDRDYNNRSRGNGYPQRGGAFQERGILGSQYESNY >DRNTG_31578.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28286227:28288924:1 gene:DRNTG_31578 transcript:DRNTG_31578.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLNLRYFSLSSLSFLFSLLCYLSSAFADLSSDEQSLLAFAAAIHHGKKLNWRKDTPVCMSWIGVTCAADGSRVVAVRLPGVGLIGSIPANTIGKLDALQVLSLRSNRLLGILPPDVASLPSLHYLYLQNNSLSGNLPTSLSPGLNTLDFAYNSFTGEIPESIRNLSQLSTLNVQNNSLSGPIPDLRLPGLKHLNLSFNNLNGSIPFSLQRFPNDSFLGNSHLCGPPLSNCSAVLPSPAPSPVPPPSTPPTSPPQHKKSFWKRLSTKEIIAIAVGGGGLLFIAAFIVLVCIVRGRASEGGGESKGKGVLGGRNEKPVEEYSSGVQEAEKNKLVFFEGCSFNFDLEDLLRASAEVLGKGSYGTAYKAVLEDGTIVVVKRLKEVLVGKREFEQQMEIIGKVKQHPNVMQLRAYYYSKDEKLLVFDYAPAGSFSLLLHGNRTAGRTALDWNTRVKIALGTARGIAHIHLEGTGKFVHGNIKASNILLTQGMDACVSDFGLAPLMNNPATPSRVIVGYRAPETIEARKYTQKSDVYSFGVLLLEMLTGKAPIQSPGRDDVVDLPRWVQSVVREEWTAEVFDVELMRYENIEEEMVQMLQIAMACIAKSPEQRPKIEEVVRMIEEIRQSGSDPQPSSEKS >DRNTG_31578.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28285227:28288924:1 gene:DRNTG_31578 transcript:DRNTG_31578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLNLRYFSLSSLSFLFSLLCYLSSAFADLSSDEQSLLAFAAAIHHGKKLNWRKDTPVCMSWIGVTCAADGSRVVAVRLPGVGLIGSIPANTIGKLDALQVLSLRSNRLLGILPPDVASLPSLHYLYLQNNSLSGNLPTSLSPGLNTLDFAYNSFTGEIPESIRNLSQLSTLNVQNNSLSGPIPDLRLPGLKHLNLSFNNLNGSIPFSLQRFPNDSFLGNSHLCGPPLSNCSAVLPSPAPSPVPPPSTPPTSPPQHKKSFWKRLSTKEIIAIAVGGGGLLFIAAFIVLVCIVRGRASEGGGESKGKGVLGGRNEKPVEEYSSGVQEAEKNKLVFFEGCSFNFDLEDLLRASAEVLGKGSYGTAYKAVLEDGTIVVVKRLKEVLVGKREFEQQMEIIGKVKQHPNVMQLRAYYYSKDEKLLVFDYAPAGSFSLLLHGNRTAGRTALDWNTRVKIALGTARGIAHIHLEGTGKFVHGNIKASNILLTQGMDACVSDFGLAPLMNNPATPSRVIVGYRAPETIEARKYTQKSDVYSFGVLLLEMLTGKAPIQSPGRDDVVDLPRWVQSVVREEWTAEVFDVELMRYENIEEEMVQMLQIAMACIAKSPEQRPKIEEVVRMIEEIRQSGSDPQPSSEKS >DRNTG_22753.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4142121:4146320:1 gene:DRNTG_22753 transcript:DRNTG_22753.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like tyrosine-protein kinase PXC3 [Source:Projected from Arabidopsis thaliana (AT2G41820) UniProtKB/Swiss-Prot;Acc:O22938] MLNLHSNQLQGVIPESIFQSGKLEVLILTVNKLNGSLPDSVGKCRGLSNLRIGNNRLVGGIPKSIGNISSLTYFEADNNHLSGEIVPEFAQCANLTLLNLAYNGFTGMVPLQFGELKNLQEFIVSGNSLTGEVPRSLLKCRNLSKLDLSNNRFNGSLPADLCNTTRLQFLLLGQNSISGEIPKEIGNCVRLLELQLGSNYLTGDIPPEIGKIKNLQIALNLSFNHLRGVLPRELGKLDKLVSLDVSNNQLSGSIPLELKGMQSLIEVNFSNNELSGQVPIFAPFQKSTLSSFSGNEGLCGDPVNVTCNTYYELNHHKVSYKIILTVVGSGLTVFATVSVIVALFMLKEKQEMAMKAKMAGGDALVTPPPVVAANVFVESMKQAVDFDSVVKTTLNDSNKMSNGTFSIVYKVIMPSGLVLSVKKLKSVERTVVHHQNKMIRELERLGNINHINLMKPIGYVIYDDVALLLHHHMPNGTLAELIHNTDKSESEPDWPRRLSIAIGVAEGLTFLHHVATIHLDISSSNIFLDAHYNALVGEVEISKLLDPSKGTASISAVAGSFGYIPPEYAYTMQVTAPGNVYSFGVVLLEILTSRLPVDEVFGEGIDLVKWVHSAPIRGETPEQIMDARLSTVSFAWRKQMLAALKVAMLCTDSTPAKRPKMKKVVEMLLEVKQT >DRNTG_07684.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23242099:23243153:1 gene:DRNTG_07684 transcript:DRNTG_07684.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPPEHQVAGHRANEGQLGPLIDGTGRFYKPLQSDSRGEHEVAFYSSFSSDPRVPSHVRSFFPGFHGTQLLPASDGSGPRPHLVLDDIFSSIPRPSVIDLKIGACTWPPNSPDNYLMKCLRKDQETTSLVLGFRISGLRVDSASGLYKPGKKDVKNYTAGDVKRVLKKFVSSNPDSSSDPDCAFAAVVYGGSEGVLAQLLELKAWFEDQTLYHFFSVSVLVGYRRDEVGSMRPLVRLVDFSHVVEGDGVIDHNFLGGLCSLIKFISEILIEPDEKFSSGGCLEESKRTPQLGVENGVLEE >DRNTG_32709.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001896.1:19140:25011:-1 gene:DRNTG_32709 transcript:DRNTG_32709.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of histone chaperone HIRA [Source:Projected from Arabidopsis thaliana (AT3G44530) TAIR;Acc:AT3G44530] MIAEKPNWIRHEGLQIFSIDVQAGGLRFATGGGDHKVRIWNMKSVNMDANNDSSNQRLLATLRDHFGSVNCVRWAKHGRYLASGSDDQVIFIHERKPGSGTTEFGSGEPPDVENWKVIMTLRGHTADVVDLNWSPDDLILASGSLDNTVHIWNMTNGICTAVLRGHSSLVKGVAWDPIGSFIASQSDDKTVIIWRTTDWSLAHRTEGHWSKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPVIVVKFNHSMFRKSNSAGQEANVAPTGWTNGTSKNSTKETLPYNVIAIGSQDRTITVWTTASARPLFVAKHFFTQSVVDLSWSPDGYALFACSLDGTVATFHFDVKELGQRLSDVELDELKRSRYGDVRGRQANLAESPAQLLLEAVSAKQSASKKGLPDAQQNEIPEKGSADVVNPIASQPISKASTAQVEDDKKIGGAGNDGTNKIVPARISSPVKQREYRRPDGRKRIIPEAVGVPAHAENISDPTHAQVVDFSSLAPEQQKDDRGLFLADSGIRESSLKRPFSEISDVNNIAGRGNNYGSKERSGVTGRVNIIESLVIEKAPALTNTDGRVNVEHAGLVGMPGSLTSSSVLSIRIFDKKNSDGGLPVCLEARPVERSVNDIVGVRNTFLTKETEVRCTRGTETLWSDRISGKVTVMAGNSNFWAVGCEDGCLQVYTKCGRRAMPAMMMGSAGVFIDCDDCWKLLLVTKRGLLYVWDLLKRSCILHDSLASLVTTREDLSGKDSGTVRVISARFSRSGSPLVVLATRHAFLFDMSLMCWLRIADDCFPASNFASSFSLSSVHSGELGSLQVDIGKFLARKPSWSRVTDDGVQTRAHLEVQLASSLALMSPNEYRQCLLSYIRFLAREADESRLREVCESFLGPPTGMAQASSSDSNNSSWDPCVLGMKKHKLLREDILPAMASNRKVQRLLNEFSNLLSEYETIDMNTDQPDPSPPTKDTVS >DRNTG_32709.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001896.1:19140:25011:-1 gene:DRNTG_32709 transcript:DRNTG_32709.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of histone chaperone HIRA [Source:Projected from Arabidopsis thaliana (AT3G44530) TAIR;Acc:AT3G44530] MTNGICTAVLRGHSSLVKGVAWDPIGSFIASQSDDKTVIIWRTTDWSLAHRTEGHWSKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPVIVVKFNHSMFRKSNSAGQEANVAPTGWTNGTSKNSTKETLPYNVIAIGSQDRTITVWTTASARPLFVAKHFFTQSVVDLSWSPDGYALFACSLDGTVATFHFDVKELGQRLSDVELDELKRSRYGDVRGRQANLAESPAQLLLEAVSAKQSASKKGLPDAQQNEIPEKGSADVVNPIASQPISKASTAQVEDDKKIGGAGNDGTNKIVPARISSPVKQREYRRPDGRKRIIPEAVGVPAHAENISDPTHAQVVDFSSLAPEQQKDDRGLFLADSGIRESSLKRPFSEISDVNNIAGRGNNYGSKERSGVTGRVNIIESLVIEKAPALTNTDGRVNVEHAGLVGMPGSLTSSSVLSIRIFDKKNSDGGLPVCLEARPVERSVNDIVGVRNTFLTKETEVRCTRGTETLWSDRISGKVTVMAGNSNFWAVGCEDGCLQVYTKCGRRAMPAMMMGSAGVFIDCDDCWKLLLVTKRGLLYVWDLLKRSCILHDSLASLVTTREDLSGKDSGTVRVISARFSRSGSPLVVLATRHAFLFDMSLMCWLRIADDCFPASNFASSFSLSSVHSGELGSLQVDIGKFLARKPSWSRVTDDGVQTRAHLEVQLASSLALMSPNEYRQCLLSYIRFLAREADESRLREVCESFLGPPTGMAQASSSDSNNSSWDPCVLGMKKHKLLREDILPAMASNRKVQRLLNEFSNLLSEYETIDMNTDQPDPSPPTKDTVS >DRNTG_28700.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:441768:443934:-1 gene:DRNTG_28700 transcript:DRNTG_28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding NVNQVNNVEKFYFSDSCRPPPSTEAPKKGMRGGQWRPAPQPL >DRNTG_11266.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21741043:21742273:1 gene:DRNTG_11266 transcript:DRNTG_11266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETLQLPQLDISLPLLSSSLQSLSHACKEWGFFLITNHGISKELHQRLHSLCNEVFSLPLDIKLKLGPFSSIRTYTPCFIASPFFESLRVSGPNYLASAKSSIDVLFDLSNTELCNALEEYGAKMMELSKRIMVVLLKCLGDDFDTKYYEKEFSKCHGYMRVNNYCPPDNMINSNNNVEDHVVEGLGMHTDMSCITILYQDEIGGLQVKSRGGQWMDIVPNEGTLVVNIGDMLQAWSNGQLRSSEHRVVLRKPVNRFSLAFFWCFEDEKVILAPEDVVEEGKQRIYQPFKCFDYVKFRENNEKGKFEKVGYTVDDFAACKTPKLEQ >DRNTG_28549.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3852762:3855780:-1 gene:DRNTG_28549 transcript:DRNTG_28549.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLFMRNPLLMGVESSLENSPESAEILIEIASSYTAIRTSVLFYVISHYYALTTFVTEVNNIHGEMSPHPFLPMLGGSLDSSHKHIKYESPPVRWLVSLVRCFSRPGQHGFDRARITSAGRDQCLLGEGRGPHFAEIAQEASSTVL >DRNTG_32181.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4709248:4715508:-1 gene:DRNTG_32181 transcript:DRNTG_32181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSFDGDDDRNANKSTNPTEKDCDVVDLGEGEEEESHEKKRAKTSSVWLEFKEIDLPDGSKKGECIHYKRKLAINDSKSITQFKRHLSTCMKRKIYQNQQQRISFQPVQGGNGDVEMQPALTNGKFDMAKMREAAAHWILMYEHIFSIMEEEESNLTGAEGGLKPPLAPPLVPPLVAAVLDPRCKMRVVDFTFLRMYSNREARENIAKVQEALHEIYEEYVHEYQHGNEHSGETPMHNNDDIGNNGKGSSGWSEFSSYVKSIEKASPQQSDLDAYLAEGCFIFEGDPNQFNALEWWKGNTLKCRILSRMAHGILAILITTVASEVTFSAGDRVIDTYLASLAPDTVQALFCGGDWCQNFHGVKKKNKAFGLPTLPRSLHELWVSELPNSLRKMGELLTRPLS >DRNTG_06297.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20805735:20808377:-1 gene:DRNTG_06297 transcript:DRNTG_06297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIAILVCSVLLPCNKEQNACCGHGESLCPFFYRYSAPETQVHDDPNVLLFFWEKDLSPGSKVNLGFIKTTSRSLLILPCDEEQHSATEPQVHDNHNVALFFLEKDFSPGSKVDLRFTKMTYSLMSLGTRDVKPCSIMVKEKNGDAKVKDSHNIASGGECVPS >DRNTG_14048.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4902045:4905584:1 gene:DRNTG_14048 transcript:DRNTG_14048.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGALRAIASTSALIPRGSSFSFQHSHKHLTGTAPSPSRACFHGNRCIPIAPVSSTGAFMDVEIVIGDPESLRKKIDAIRIAGTGKLQVIADFDCTLTRYWIDGVRGQSSHGLLRQGNPEFDEKRKALYEYYHPLEISPTIPIAEKAKIMEEWWGKTHGLLVEGGLTFQAIKDSVLDANIAFRDGVLELFEFLEERGVPLLVFSAGLADIIEEVFRQRLHRTFKNIKVVSNRMVFDGNGQLIGFKGKTIHVLNKNEHALDMAAPVHEKLGDPNGLDYDFASVKARKNVLLLGDHIGDLGMSDGLNYENRIAVGFLNENVADSLENYREAFDIVYLNDAPMKGVVQLVSQLCSSPK >DRNTG_29414.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1859278:1859951:-1 gene:DRNTG_29414 transcript:DRNTG_29414.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISEAEKRRFFLSESVTDKILKDIISEPTLLTQGSLRVVRYSKKDSLALVNINEQYLQFKINVEDQTNSEDEATKMDNFAVLQLGRWESEGEKPPHTEMESIEFLRNLGDENKSGYISSVSSHFLKKQNMLGYLSLHGISRITELPDTIGKLGSLIILDLRACHNLERLPKDMASLKKLTHLDVSNCYLLDQLPKSIASLPSLQ >DRNTG_29414.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1858492:1859951:-1 gene:DRNTG_29414 transcript:DRNTG_29414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEAEKRRFFLSESVTDKILKDIISEPTLLTQGSLRVVRYSKKDSLALVNINEQYLQFKINVEDQTNSEDEATKMDNFAVLQLGRWESEGEKPPHTEMESIEFLRNLGDENKSGYISSVSSHFLKKQNMLGYLSLHGISRITELPDTIGKLGSLIILDLRACHNLERLPKDMASLKKLTHLDVSNCYLLDQLPKSIASLPSLQVLKGFVMGNSRSKDPCKLRDLPAKLTKMRKLSFTVSAGQEHMKSEFEKLKEFTALRSLTITWAVEDDQSKDDGSDNQKKESSPADKEQGFADMTIPVQLEKLDIRAFPKKETPQWLLSPDLKKLERLYIRGGKLVSFGEHMSSQTVQVLRLRFLKDLSVEWKTLHEKFPSLKYLEVYECSKLEENFKEFLDVDGLWKKK >DRNTG_21750.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13425357:13425915:-1 gene:DRNTG_21750 transcript:DRNTG_21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKRFVSCVTCTPSSNVMLLILLLRQMMWHRILLKTSSARLSSTRKPMMWHHILMEITVFSTSPSVSSTTRPMPVTRRQPGRP >DRNTG_13674.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7055188:7060454:-1 gene:DRNTG_13674 transcript:DRNTG_13674.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGKRRARRGKRKRHSLNNASPSLQKEEHPSISPPLHSSRPPKRSRVEPSAPKSTASSSFLDKIRGRLSGAHFRMINEKLYTCSGNEALDLFKKDPELFDVYHAGYQEQMSHWPEQPVNIIIKWLKNHSSSMVVADFGCGSATLAKNVKNKVFSIDLVANDPSVIACDMSHTPLDSSSVDVTVFCLSLMGTDFPSYLQEANRVLKPCGWLLIAEVRSRFDPNNGGADPDKFCEAVCKLGYTSVSKVIFL >DRNTG_13674.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7055188:7060454:-1 gene:DRNTG_13674 transcript:DRNTG_13674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGKRRARRGKRKRHSLNNASPSLQKEEHPSISPPLHSSRPPKRSRVEPSAPKSTASSSFLDKIRGRLSGAHFRMINEKLYTCSGNEALDLFKKDPELFDVYHAGYQEQMSHWPEQPVNIIIKWLKNHSSSMVVADFGCGSATLAKNVKNKVFSIDLVANDPSVIACDMSHTPLDSSSVDVTVFCLSLMGTDFPSYLQEANRVLKPCGWLLIAEVRSRFDPNNGGADPDKFCEAVCKLGYTSVSKDLKNKMFLLFYFKKKEKVAPVKYIEWPELKACLYKRR >DRNTG_13674.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7056698:7060454:-1 gene:DRNTG_13674 transcript:DRNTG_13674.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGKRRARRGKRKRHSLNNASPSLQKEEHPSISPPLHSSRPPKRSRVEPSAPKSTASSSFLDKIRGRLSGAHFRMINEKLYTCSGNEALDLFKKDPELFDVYHAGYQEQMSHWPEQPVNIIIKWLKNHSSSMVVADFGCGE >DRNTG_13674.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7055188:7056621:-1 gene:DRNTG_13674 transcript:DRNTG_13674.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTPLDSSSVDVTVFCLSLMGTDFPSYLQEANRVLKPCGWLLIAEVRSRFDPNNGGADPDKFCEAVCKLGYTSVSKVIFL >DRNTG_24664.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6966929:6970112:-1 gene:DRNTG_24664 transcript:DRNTG_24664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTILLLIAIFFFSFSISAAAFSTHGLTAAEAARIRRRQLLAVGDGGHVVPPSFVFANDHQRNAYIALQAWKQAIFSDPQNLTGDWQGPNVCSYSNVFCAPLPSNKSLIVVAGIDLNHGDIAGYLPDELGLLTDLALFHINSNRFCGIVPRTFDKLKLLFELDLSSNRFVGKFPDVVLRLPSLKFLDLRFNEFEGTVPSELFDRDLDAIFINDNRFVFDIPNNLGNSPVSVIVLANNKFHGCVPASIGNMTRLNEILLLNNGLKSCLPPEIGRLKNLTVFDVSFNELVGPLPDTIGGMVSLEQLDVAHNQFSGAIPKAICELPRLKNFTYSFNYFTGEPPQCLRVPSFDDRVNCLPGRPAQRSQAQCSSFLSHPVDCNSFKCAPFVPSLPPPPPPSPPPPSPVFSPPSPPVVLPPPVYSHPPPPPPPPSPPPPSPSPPPPPYSSPPLPPTPSLPQPSPSPSPSPCIRSPPPPPPSPSPPPPPLFSPPPPVLSSPPPHYSPPPPSPSPPPPSSSPPPSPIYPYLSPPPPPSPSPPPPNSPPPPPPCIEPPPPPPPCVEPPPPPPSPSPSTFRL >DRNTG_14619.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20883278:20883753:-1 gene:DRNTG_14619 transcript:DRNTG_14619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYPARFEEHLYVVCAGEWKPPPQERRGSSQAPSPLTLFIIIVYYSTFSKKDIHSFQKYLKRRRTGGGHLGKSGRSGVFIQAMVQRKNKTK >DRNTG_33846.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002047.1:34402:38090:-1 gene:DRNTG_33846 transcript:DRNTG_33846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSREVAERLLPPLIRYPLIWDFYFDTRALAIYTHIRTCQVFDAVVGEEKKKVAGLHEIDAVTSLAAQVESLSKKLDLLTSNRVAAMTTCTGCGEGHAPSDYPISIDTTDEIVDEYMQEMFNPDPYEGLFDQEEDNDEVMMLASTEEVPSTLGILKKNFLSHPEKTHRRVEFPHTCEFALRAHPEKAQGRGLTPVNDQRSSLHPKKIQGDATRAYGCPVGRAHGRGYFPHARVFAFRGSECFLDSAQGCASAPVRLSCRDTQAWIMAPRSKKQVDKRPRESSPEFESMRFTIPEH >DRNTG_17986.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18515719:18521228:1 gene:DRNTG_17986 transcript:DRNTG_17986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYNYNTNITKITGVIIGEVKPVTDMHQRKAEMARNYDAFIAMPGWVFFNIDGYYNSLLSFIDHAVEEGFISPSDRHIIVSAANAKELMEKLKVMSKC >DRNTG_12934.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1570734:1571433:-1 gene:DRNTG_12934 transcript:DRNTG_12934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCSSIPIGRCNGKRRREIGMVASASPLHIIHNTHTVRFDKAVRKSVSFNNTPIIVPPPEEPQEASPPPTNHQNHPESPITPSQHVHDNDTILETIDTRPAPNGQGHHHAHGGNLYVSSPLPPAWDEKERRRDYFSREYHYYPTPLREGIYSIATDANRLTTIFSEENPNACSIV >DRNTG_23223.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6755267:6768427:-1 gene:DRNTG_23223 transcript:DRNTG_23223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPLDQEEDEILVPHSEFVEGPQPMEVTQAENATTAENHQVEDPPTSRFTWTIENFSRLNVKKHYSEVFFVGGFKWRILIFPKGNNVDHLSMYLDVADSASLPYGWSRYAQFSLSVINQIHNKYTIRKETQHQFNARESDWGFTSFMPLSELYDPTRGYLVNDTCIVEAEVAVRRVVDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLLYKLQYSDSSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSSRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQYGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDNGKYLSPDADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDMKRALEEQYGGEEELPPANPGFNNTPFKFTKYSNAYMLVYIRESDKEKIICDVDEKDIAEHLRIRLKKEQEEKEHKKKEKAEAHLYTIIKVARQEDLTEQIGRVIYFDLVDHDKVRSFRIQKQLPFTIFKEEVAKEFGIPVQFQRFWLWAKRQNHTYRPNRPLTAQEEAQSVGQLREVSNKAHNAELKLFLEVETGADLRLLPPPDKTKEDILLFLKLYDPAKEELRYVGRLFVKALGKPVEILTKLNEMAGFPPNEEIEIYEEIKFEPNVMCEHIDKKLTFRSSQLEDGDIICYQKSPLSENGNQFRYPDVPSFLEYVHNRQVVHFRALEKPKEDDFCLELSKLFTYDDVVERVAHHLGVDDPSKIRLTSHNCYSQQPKPQPIKYRGAEHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHSIRLPKNSTVGDVINDLKTKVELSHPNAELRLIEVFYHKIYKIFPHHEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFMKDANQNQMVQNFGEPFFLVIHEGETLAEVKVRIQKKLQVPDEEFAKWKFAFLSLGRPEYLHDTDIVSSRFQRRDVYGAWEQYLGLEHADTAPKRSYTANQNRHTFEKPVKIYN >DRNTG_24926.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001317.1:18896:22040:-1 gene:DRNTG_24926 transcript:DRNTG_24926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSMRSSLSQYTTSMRSSLSLGFYPGLRDLGVDDEEYKRHFGEKKRGTLWWRLWGVLGSHHLGGRRETKTSFSWEIWLEPWEDQGLELQGEASSSREEKHSTPLGLEEVS >DRNTG_01946.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32530286:32534247:1 gene:DRNTG_01946 transcript:DRNTG_01946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSPSVPFPLLQTPIESKYRACTIPYRFPEDNPRKATPIEIQWIDLFLNSVPSFRQRAETDSSVVDAPEKAEKFAQRYTAILEDMKKNPESHGGPPDCILLCRLREQILRELGFKDIFKKVKDEENAKAISLFESVVKLNDAIEDDSKRVENLVRGILAGNIFDLGSAQLAEVFARDGMSFLASCQNLVSRPWVIDDLDAFKVKWSKKSWKKAVIFVDNSGADIILGILPFARELLRRGTQVILAANDFPSINDVTYPELIEIIAKLKDENGQLVGVDVSGLLIANSGNDLPVIDLSSVSPELAYLASDADLVMLEGMGRAIETNLYAQLKCDSMKIGMVKHPEVAQFLGGRLYDCVFKYNEVLNY >DRNTG_08210.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5868:6478:1 gene:DRNTG_08210 transcript:DRNTG_08210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKQLQDRFLLPHSLPHSCHPPGHPHSEGLSCTNGRYLKGKQALIFMHT >DRNTG_08210.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6195:7011:1 gene:DRNTG_08210 transcript:DRNTG_08210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKQLQDRFLLPHSLPHSCHPPGHPHSEGLSCTNGRYLKGKQALIFMHTLDFWLMILMLCLQEAEMGELKMTEEFPLSNLMELENCETTGDDECMKRRMMDEAHLDYIYTQHHKP >DRNTG_08210.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6195:7011:1 gene:DRNTG_08210 transcript:DRNTG_08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLMELENCETTGDDECMKRRMMDEAHLDYIYTQHHKP >DRNTG_06964.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:85875:86417:1 gene:DRNTG_06964 transcript:DRNTG_06964.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLNQFCGLRCPPASSSPLSKPAPSLSLSTGKKVSTVVSAYYALTSEQKERQKLKEVFEEAYERCRTAPMEGVAFTVEDFTAALDKYDFDSEIGTKVRAFCPSSFLLFCLEIESICGICCCFGEFGELWTQDEIMEWFVNVFRI >DRNTG_06964.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:85875:86363:1 gene:DRNTG_06964 transcript:DRNTG_06964.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLNQFCGLRCPPASSSPLSKPAPSLSLSTGKKVSTVVSAYYALTSEQKERQKLKEVFEEAYERCRTAPMEGVAFTVEDFTAALDKYDFDSEIGTKVRAFCPSSFLLFCLEIESICGICCCFGEFGELWTQDEIMEWFVNVFRI >DRNTG_11515.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000508.1:1:2503:1 gene:DRNTG_11515 transcript:DRNTG_11515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLLEEFSTLPLDTYEVTLAERVDEDMMKASLEAHCDLECMATTFVEEVSTLPLDTYRGEWVDDNPWRPLLKLDVMFNA >DRNTG_22173.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:883570:888992:1 gene:DRNTG_22173 transcript:DRNTG_22173.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:no pollen germination related 2 [Source:Projected from Arabidopsis thaliana (AT4G28600) TAIR;Acc:AT4G28600] MRGRKRAKLRKFFARLGVRNTKNWLCSAEQFKANEMVPATGSQTSLASGYISQAGLGDQRIDTGNIEEAESSLRDGVCLNYEEARALLGRLEYQRGNVEAALRVFDGIDIAAIAPKMKVSITRKIGRHKPQSPWDVPHMSIHAVSLLIEATYLKAKALQDLGRFKEAAQTCSIILDTIEPALTDGLPENLGLGTDCKFLETLCKAVELLPELWKLAGFPNEAILSYRRALLGCWNLDASTCTRIQKEFAIFLLYGGCDASPPNLRSQMEGSFIPRNNIEEAILLLMILLRKFNLKRVDWDRSIIDHLTFALSVSGELKTLASQKEELLPGILERKERYYTLALCYFGEGDSLVALNLLKILLSARGDPNCLKALLLASKICGESGGAYVEEGVSFARRAIFNLNSGCDAMASVGNCLLGISLSTQARLPSSDSERLSRQCEALEALEKANKMVEGKDYQVLFSLSLENSEQRKLEPALRFAKQLLKLEAGSNIKTWILLARILSAQKRYVDAETVVNAALDQTGKWNQGGLLRTKAKIQIANEQLKNAVETYTQLLAVLQLRTKSFAVGMKSLKGGQDDRSLEMETWQDLANVYMSMSQWRDAEICISKLTAISPQSASRWHATGKLFEAKGLYKEALGAYSKALDREPTHIPSLVSTATVLRELDGRPLAVVRSFLTDALRLDKTNHIAWFNLGLLNKAEGGKSILEAVECFQAAALLEESVPAEPFR >DRNTG_22173.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:883570:888992:1 gene:DRNTG_22173 transcript:DRNTG_22173.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:no pollen germination related 2 [Source:Projected from Arabidopsis thaliana (AT4G28600) TAIR;Acc:AT4G28600] MRGRKRAKLRKFFARLGVRNTKNWLCSAEQFKANEMVPATGSQTSLASGYISQAGLGDQRIDTGNIEEAESSLRDGVCLNYEEARALLGRLEYQRGNVEAALRVFDGIDIAAIAPKMKVSITRKIGRHKPQSPWDVPHMSIHAVSLLIEATYLKAKALQDLGRFKEAAQTCSIILDTIEPALTDGLPENLGLGTDCKFLETLCKAVELLPELWKLAGFPNEAILSYRRALLGCWNLDASTCTRIQKEFAIFLLYGGCDASPPNLRSQMEGSFIPRNNIEEAILLLMILLRKFNLKRVDWDRSIIDHLTFALSVSGELKTLASQKEELLPGILERKERYYTLALCYFGEGDSLVALNLLKILLSARGDPNCLKALLLASKICGESGGAYVEEGVSFARRAIFNLNSGCDAMASVGNCLLGISLSTQARLPSSDSERLSRQCEALEALEKANKMVEGKDYQVLFSLSLENSEQRKLEPALRFAKQLLKLEAGSNIKTWILLARILSAQKRYVDAETVVNAALDQTGKWNQGGLLRTKAKIQIANEQLKNAVETYTQLLAVLQLRTKSFAVGMKSLKGGQDDRSLEMETWQDLANVYMSMSQWRDAEICISKLTAISPQSASRWHATGKLFEAKGLYKEALGAYSKALDREPTHIPSLVSTATVLRELDGRPLAVVRSFLTDALRLDKTNHIAWFNLGLLNKAEGGKSILEAVECFQAAALLEESVPAEPFR >DRNTG_22173.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:883570:888992:1 gene:DRNTG_22173 transcript:DRNTG_22173.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:no pollen germination related 2 [Source:Projected from Arabidopsis thaliana (AT4G28600) TAIR;Acc:AT4G28600] MELVCMRGRKRAKLRKFFARLGVRNTKNWLCSAEQFKANEMVPATGSQTSLASGYISQAGLGDQRIDTGNIEEAESSLRDGVCLNYEEARALLGRLEYQRGNVEAALRVFDGIDIAAIAPKMKVSITRKIGRHKPQSPWDVPHMSIHAVSLLIEATYLKAKALQDLGRFKEAAQTCSIILDTIEPALTDGLPENLGLGTDCKFLETLCKAVELLPELWKLAGFPNEAILSYRRALLGCWNLDASTCTRIQKEFAIFLLYGGCDASPPNLRSQMEGSFIPRNNIEEAILLLMILLRKFNLKRVDWDRSIIDHLTFALSVSGELKTLASQKEELLPGILERKERYYTLALCYFGEGDSLVALNLLKILLSARGDPNCLKALLLASKICGESGGAYVEEGVSFARRAIFNLNSGCDAMASVGNCLLGISLSTQARLPSSDSERLSRQCEALEALEKANKMVEGKDYQVLFSLSLENSEQRKLEPALRFAKQLLKLEAGSNIKTWILLARILSAQKRYVDAETVVNAALDQTGKWNQGGLLRTKAKIQIANEQLKNAVETYTQLLAVLQLRTKSFAVGMKSLKGGQDDRSLEMETWQDLANVYMSMSQWRDAEICISKLTAISPQSASRWHATGKLFEAKGLYKEALGAYSKALDREPTHIPSLVSTATVLRELDGRPLAVVRSFLTDALRLDKTNHIAWFNLGLLNKAEGGKSILEAVECFQAAALLEESVPAEPFR >DRNTG_02521.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8043278:8044268:1 gene:DRNTG_02521 transcript:DRNTG_02521.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGLSGVCPSSGSSRRLSRGGPAGRDVTFTQACWRLVANCLQISLHFLNNPTLGDWLLNQFNCVHGDDSRKLSLLLVFGRSGKTGAIASLDILDWMQVKFSKLGYSRL >DRNTG_02521.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8040118:8044268:1 gene:DRNTG_02521 transcript:DRNTG_02521.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGLSGVCPSSGSSRRLSRGGPAGRDVTFTQACWRLVANCLQISLHFLNNPTLGDWLLNQFNCVHGDDSRKLSLLLVFGRSGKTGAIASLDILDWMQVKFSKLGYSRL >DRNTG_13125.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19240370:19247316:1 gene:DRNTG_13125 transcript:DRNTG_13125.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar-sorting protein BRO1 [Source:Projected from Arabidopsis thaliana (AT1G15130) UniProtKB/Swiss-Prot;Acc:F4HXZ1] MASSSPAPPGVMLAIHEKKTSTVDLYRPLRNYIVVHYSEREAQLLEDDLETVRQLRCDIEKPPESSPPDIRRDTLQAYARALAVMEPRFPISPDRSHINAITFTWFDAFKPNKKAAQQNIHLEKAAVFFNLGAVYSQMGLAADRSSPAGLKQACNCFQSASGAFAFLRDNVSMKAAIGGATVDVSAECAGMLDRLMLAQAQECFFEKVISDAKPPGLCSKVGLYYEETYAALSVPPLSQHFDRSWISHVQLKAAQFYAEACYRAALELHGKEEIAEEIARLKIGLGVLTDAKKSAKGVAAVLLDAISRLESNMNVNLERAVKENDRVYLMRVPAAGSLTSLPAASLVKPVPMADLLDASKDKLFATLVPDSSAKVLSKYTEMVDDIIRTQAEKLQQGSEITRVKLKDMDLPDSILALEGNFSLPMDLKEDVEAVQISGGPSGLEAELQQLRDLRRVNQELLVQTEELLQKEEREDAQFRTQFGTRWTRPQSSTLTKNLLERLNRFAGNLKQAADSDARIERAVRDNMELMSILDHRPIESAVPSLARPIMSLDGNEDAIVGALKQSLRQLENLGAQRAGLEDMLKEMKRKDDILPKLMTSTGSSEDLFKKEISKYDHICGEISQNIEAQEQLLLQIQAQNDDFAAVFNLEDYKVSRERCYKQIAAAIAKYREIKDNINEGLKFYVTLQDAITNVKQQCSDFVMTRNIQCREMIEDVQRQIAGLNFSTNAKTGYNYPSAGQSNPQRSTPQQPDPQSSPLPSHPQSPYGHPSGEQARPAYSQPYPPYTSSQQSPYHAPPGAPTSYQRPPHEYGQPAYPGWRGPYYNAPPQQQNPYPPPPYSLPGQYPQQGNYYKHQ >DRNTG_31194.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26942614:26945880:1 gene:DRNTG_31194 transcript:DRNTG_31194.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSNTELHEQQHLTTFLFFFTIIIIPHCSHAQPHPPINTIVVLVLENRSFDHMLGWMKESLNPSIDGLTGAESNPRSTKDPNSPVIFVSDDARYVDPDPGHSFQAVQQQMFGDGGGDTIPTMLGFVEQALSMSSDMSEAVMKGFRPSNVPVYATLVKEFAVFDKWHSSLPGPTQPNRLFVYSGTSHGATNHDILKILRGYPQKTIFDSLHEDGFDFAIYFQSFPSTLLYKNLRRLKYIVSKFHLFESFKDHARKGKLRNLNVIEPRYFDVLGAPANDDHPSHDVAEGQRLVKEVYEALRSSPHWNQSLLIITYDEHGGFYDHVPPPMTGVPNPDGIIGAEPFFFNFDRLGVRVPTIMVSPWIKRGTVMTRPTGPYESSEFEHSSIPATIKKMFNLTSDFLSHRDAWAGTFERVVQGLSSPRTDCPEVLPEVRPTEKSRAGWKQTNVGVPERTSFLGGCRKWRILLE >DRNTG_31194.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26942614:26945880:1 gene:DRNTG_31194 transcript:DRNTG_31194.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSNTELHEQQHLTTFLFFFTIIIIPHCSHAQPHPPINTIVVLVLENRSFDHMLGWMKESLNPSIDGLTGAESNPRSTKDPNSPVIFVSDDARYVDPDPGHSFQAVQQQMFGDGGGDTIPTMLGFVEQALSMSSDMSEAVMKGFRPSNVPVYATLVKEFAVFDKWHSSLPGPTQPNRLFVYSGTSHGATNHDILKILRGYPQKTIFDSLHEDGFDFAIYFQSFPSTLLYKNLRRLKYIVSKFHLFESFKDHARKGKLRNLNVIEPRYFDVLGAPANDDHPSHDVAEGQRLVKEVYEALRSSPHWNQSLLIITYDEHGGFYDHVPPPMTGVPNPDGIIGAEPFFFNFDRLGVRVPTIMVSPWIKRGTGK >DRNTG_31194.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26942614:26945880:1 gene:DRNTG_31194 transcript:DRNTG_31194.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSNTELHEQQHLTTFLFFFTIIIIPHCSHAQPHPPINTIVVLVLENRSFDHMLGWMKESLNPSIDGLTGAESNPRSTKDPNSPVIFVSDDARYVDPDPGHSFQAVQQQMFGDGGGDTIPTMLGFVEQALSMSSDMSEAVMKGFRPSNVPVYATLVKEFAVFDKWHSSLPGPTQPNRLFVYSGTSHGATNHDILKILRGYPQKTIFDSLHEDGFDFAIYFQSFPSTLLYKNLRRLKYIVSKFHLFESFKDHARKGKLRNLNVIEPRYFDVLGAPANDDHPSHDVAEGQRLVKEVYEALRSSPHWNQSLLIITYDEHGGFYDHVPPPMTGVPNPDGIIGAEPFFFNFDRLGVRVPTIMVSPWIKRGTVMTRPTGPYESSEFEHSSIPATIKKMFNLTSDFLSHRDAWAGTFERVVQGLSSPRTDCPEVLPEVRPTEKSRAGWKQTNVGVPERTSFLGGCRKWRILLE >DRNTG_31194.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26942614:26945880:1 gene:DRNTG_31194 transcript:DRNTG_31194.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSNTELHEQQHLTTFLFFFTIIIIPHCSHAQPHPPINTIVVLVLENRSFDHMLGWMKESLNPSIDGLTGAESNPRSTKDPNSPVIFVSDDARYVDPDPGHSFQAVQQQMFGDGGGDTIPTMLGFVEQALSMSSDMSEAVMKGFRPSNVPVYATLVKEFAVFDKWHSSLPGPTQPNRLFVYSGTSHGATNHDILKILRGYPQKTIFDSLHEDGFDFAIYFQSFPSTLLYKNLRRLKYIVSKFHLFESFKDHARKGKLRNLNVIEPRYFDVLGAPANDDHPSHDVAEGQRLVKEVYEALRSSPHWNQSLLIITYDEHGGFYDHVPPPMTGVPNPDGIIGAEPFFFNFDRLGVRVPTIMVSPWIKRGTVMTRPTGPYESSEFEHSSIPATIKKMFNLTSDFLSHRDAWAGTFERVVQGLSSPRTDCPEVLPEVRPTEKSRAGWKQTNVGVPERTSFLGGCRKWRILLE >DRNTG_31194.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26942614:26945880:1 gene:DRNTG_31194 transcript:DRNTG_31194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSNTELHEQQHLTTFLFFFTIIIIPHCSHAQPHPPINTIVVLVLENRSFDHMLGWMKESLNPSIDGLTGAESNPRSTKDPNSPVIFVSDDARYVDPDPGHSFQAVQQQMFGDGGGDTIPTMLGFVEQALSMSSDMSEAVMKGFRPSNVPVYATLVKEFAVFDKWHSSLPGPTQPNRLFVYSGTSHGATNHDILKILRGYPQKTIFDSLHEDGFDFAIYFQSFPSTLLYKNLRRLKYIVSKFHLFESFKDHARKGKLRNLNVIEPRYFDVLGAPANDDHPSHDVAEGQRLVKEVYEALRSSPHWNQSLLIITYDEHGGFYDHVPPPMTGVPNPDGIIGAEPFFFNFDRLGVRVPTIMVSPWIKRGTVMTRPTGPYESSEFEHSSIPATIKKMFNLTSDFLSHRDAWAGTFERVVQGLSSPRTDCPEVLPEVRPTEKSRAGWKQTNVGVPERTSFLGGCRKWRILLE >DRNTG_21101.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23316495:23322327:1 gene:DRNTG_21101 transcript:DRNTG_21101.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWILSTPVWKFLTGACSIHARGVARFQPCLKPTQPRFRILERFYGSNIVRHLEEGYLESFRRHRSGEVYPRLNKGSLATSRGLSTRSSTRPSRGEKKKVAGLHEIDAVTSLAAQVESLNTTDEIVDEYIQEMFNPDQYEGLFDQEESNEEVMMLGTTGEETSTPGISKKVLRKMKRARKHHQKCSKIIGDVHEPRKLDEPLLERTQGGGSPRGTTMRLAHARG >DRNTG_19894.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18183562:18186102:1 gene:DRNTG_19894 transcript:DRNTG_19894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVVGMVVSNKMQKSVVVAVDRLFHHKLYNRYVKRTSKFMAHDENNECSIGDRAGSFKTIEQTKALGCCRDPPKSQSLCPTIIDTCKSK >DRNTG_09389.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000398.1:1752:3749:1 gene:DRNTG_09389 transcript:DRNTG_09389.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTQQQWSSSPTSRRPVDELQWVIQIRESIEQETGEPMNVSVSVFSVPNSLVLSKPEAYIPQLFAVGPYHHWDPHLYEMERYKLATARRTQRKLHGINLQQLVDYFTINEFMIRAYYHRYLEFRGETLAWMMAIDASFLLEFLRCFSSTIEDKSFTKVPSMSHMVDSKKIKLAYNLILKDVVMLENQVPLFLLRKIIHFQFSSEEEADAELSNMLIGFVKEISPFKVSEESSPKDVLGHAHLLELLYYVIVPRINEHQDSTKEIIDNDQSGKADMCDSDKKSNGCVNNFFGVVWSSVAKKKGLTYNFVKKVLIGKPIKFLIKGPWSIISKLPVVSVFKGSIESMFCGKSVEKEKDDDDSTSHNKPPLVEEILVPSVKELVRAGVKFARTNQDITSIRFDAKTGIFYLPEVTLDCNTEVLLRNLVTYESSVVSEHLVFTRYTELINGIIDTEEDVKILRECKVIRNRMKSDAEVADLWNEMRRSVRLTKVAFIDKALEDVNKYYGRSSNVKMNKFMETYVLGSWKMLTLLAAMFLLFLSCVEAFCSVYTCRSHLLSYAGVNGN >DRNTG_20332.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001114.1:21167:21925:1 gene:DRNTG_20332 transcript:DRNTG_20332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVQESCHRYWTAGGTLRNVPKGAGPEKSPVSSPGYFPGSAPDLI >DRNTG_25180.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3950403:3953192:1 gene:DRNTG_25180 transcript:DRNTG_25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDSCTFNDTISVAPASMDWRDWGAINPIRNQGGCFSCWAFAVLTTVEALNQIVTGVLVSLSEQQLVDCFNKGCQASYMDDAYQYIIDNGGIDTEQDYPYKSKYGYCDTFKQNTKIVSIDGYRMVRRNNEDALMLAVADQPVAAAVEGYGQNFQLYGNGIFNEYCGTAVDHAVAIVGYDTEGGQDYWIVRNSWGETWGEFGYMKLQRNTQSRSGKCGIASWPYYPLKYSNMNKPNPFRGKGKEDKARRSSEI >DRNTG_02050.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1426283:1427399:1 gene:DRNTG_02050 transcript:DRNTG_02050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGSVSGANCKELAAKLNIDGFLVGGASLKPEFIDIIKSGTVKSST >DRNTG_02050.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1425584:1427399:1 gene:DRNTG_02050 transcript:DRNTG_02050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGSVSGANCKELAAKLNIDGFLVGGASLKPEFIDIIKSGTVKSST >DRNTG_02050.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1423373:1427399:1 gene:DRNTG_02050 transcript:DRNTG_02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNLGIPWVILGHSERRALLGESNEFVGDKVAYVLSQGLKVIACVGETLEQREAGSTWT >DRNTG_16276.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4438715:4443207:1 gene:DRNTG_16276 transcript:DRNTG_16276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGKGFVVGNDEEEDDDQKQEEEEEEEEKDRKEFLGVKLRKRSSVSKKAGPCTPVPNWKLDPGPSALCADDQRPPIAGASARKLGANLWEIQDALRLPRMSRKSGRVHRRKDGLLLDDVDRPRSASSPRRRASVSVAQHHKLIERGSRAMQAVSPASYSSSMEVTPFNQVVTPGGSLDFKGRFQDSRYSLKTSAELLKVLNRIWSLEEQHTSNLSLVKALKMELQHARSRIEELMEEQQAYRHEMDDLMKQITEDKLVRKSREHDRFKAAIQSIRDELDDERRLRRRSESLHRKLGKELSEVKTAFAKAVKDLERQRKANVLLEDLCDEFAKGIGEYEHEVRQLKQKSEKGSDKKFDRLVLHMSEAWLDERLQMKIADEHGELDDKDSILDRLSGEIKSFIQARRSNSSKNEIAFPEDGRKESALRRHSLESVYLNGAGSAPQEAEDDDSMASDLHCFELDMTVNDNESHRHLKQNGRNLEDHEFSRTSNFSGKKPDCSEKVKGQKSSCSCSCSCLQIRPEEEKDMTKLCNNGSKTQLVDPEIGADPGQIESIVSEKLDICQVREGVQEMKPNLVDNMMKNPSESSEGCKIHPYNDHGEESHNYLSRRGHFGPVSLDDVSGDFCISSPVQQWNYRPKSPEFEIAECPSSLPQGVKENTLKAKLLEARLEGKHARLKALKGSSLGVKRRDT >DRNTG_24025.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18056122:18057226:-1 gene:DRNTG_24025 transcript:DRNTG_24025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPTNTGLMRCSKSCRLRWTNYLRPGIKRGNFTPHEEGMIIHLQASLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKINKFQTAVESYVTSSDSSTSTYHEMSKINQSASTIYASSTENISRLLEGWMRSPPKTSQHKHQQEQQDQDQDQGEINVLQPYQAMADHEEVVEPVMSSFEIHQADQPLLVDHHQEDMKPPFSVIEKWLFDEGAVQTDNLMELTADCCSQSLF >DRNTG_03406.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20866293:20868547:-1 gene:DRNTG_03406 transcript:DRNTG_03406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFRKGSFGLLMLLLLLSILATLVVVDAGISVPINGLMIHYYEKNTTCKSAEYLVKLEVKKMWDLDHSITPALLRLAYSDCLVTGCDASILLDGKGSEKTAPQNSGLRGFAVIDRIKKILEDKCPGIVSCADILQLATKEAAALAGAPKYPVYTGRKDGMKSNASTVDLPPPSISWNKALAYFKLKGLDVLDLGTLLGAHTTGVTHCRYIVDRLYNFKNTKKPDPSMNSTLLNQLRKSCPRTTKPGQVQDPVVFLNPETNSNYSFTNSYYQRVLDHNAVLGIDQSFLSSTDGFRIAYEFANGFQDFKLSFSLAIDRMASLGVLTGDKGEIRRNCRFINADNPGNFSN >DRNTG_24107.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27234618:27235954:-1 gene:DRNTG_24107 transcript:DRNTG_24107.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPFHNIENIIKAASIMVDEKGLQFSPRKVTVSTSGLVPQLKRFLRESKCALAVSLNATTDEVRNWIMPINRKYNLGLLLETLREELRFKHRYKVLFEYVMLAGINDSFEDAKRLIELVRGIPCKINLISFNPHSGSLFKPTPEEKMIEFRNILAEAGLVVFLRLSRGDDKMAACGQLGEPGNIQAPMLRVPEQFRMAV >DRNTG_24107.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27234618:27240598:-1 gene:DRNTG_24107 transcript:DRNTG_24107.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQMELERGLQILFTLEDEMVVETVVIPFSQGRTTVCVSSQVGCAMNCQFCYTGRMGLRRHLSTAEIVEQAVFARRLFTSEFGSITNVVFMGMGEPFHNIENIIKAASIMVDEKGLQFSPRKVTVSTSGLVPQLKRFLRESKCALAVSLNATTDEVRNWIMPINRKYNLGLLLETLREELRFKHRYKVLFEYVMLAGINDSFEDAKRLIELVRGIPCKINLISFNPHSGSLFKPTPEEKMIEFRNILAEAGLVVFLRLSRGDDKMAACGQLGEPGNIQAPMLRVPEQFRMAV >DRNTG_24107.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27234618:27240598:-1 gene:DRNTG_24107 transcript:DRNTG_24107.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRWTLPSSPASLWLHRRSFLSILRRPLLMTARPCGSGSTGKSKVLLTEMDFSELERWVQSQGYRPGQALMLWKCLYGNNIWAHSDDELAGLNKEFRKMLSENASFKSLMIKDIHEASDGTRKILFTLEDEMVVETVVIPFSQGRTTVCVSSQVGCAMNCQFCYTGRMGLRRHLSTAEIVEQAVFARRLFTSEFGSITNVVFMGMGEPFHNIENIIKAASIMVDEKGLQFSPRKVTVSTSGLVPQLKRFLRESKCALAVSLNATTDEVRNWIMPINRKYNLGLLLETLREELRFKHRYKVLFEYVMLAGINDSFEDAKRLIELVRGIPCKINLISFNPHSGSLFKPTPEEKMIEFRNILAEAGLVVFLRLSRGDDKMAACGQLGEPGNIQAPMLRVPEQFRMAV >DRNTG_04100.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3390908:3393160:1 gene:DRNTG_04100 transcript:DRNTG_04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKFINKRNSATFRLLARDSSTVYTEGGAPALPDRVFVRVDNNSYLSHGFLDDEVERQDVYHDCGGNSDSIFADASDDTGAGDFVGSLHPWIVDCANSAGSNGALPDHVRKEILELGFPDDGYNYLNHLREIRNSGGGYAYYHNSKARLDLVGADVKAYDASRLRVSGEEASSSGMYTVAAKTVAVTVKKALDPDVLRLLNDDNDDLSRFVSDVEDLEEDFVVRANCIEGEDQEEVEQFSFISDKQKNVIQKGDDVSGEVSFANEFIHEDRLGGVEKPRAPRLLDEQFDLLTLREYDTDGESDNAVIEDEPLANKLNCVLQGRAIDDLELEGSYKAPGDFVNENQGSGADKQPDDSAELIRKCVEYAQMYCDENHVDEEMVLVPESSDESEVWDCETIVSTYSNLDNHPGKIHTPSNQKKLPATGDGISKSNIIALRGKEKLPVDFLPYNKPSVEKPKRTVSAEANKPRGRQHCSESKEEKKE >DRNTG_29737.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:629236:632051:1 gene:DRNTG_29737 transcript:DRNTG_29737.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin-T [Source:Projected from Arabidopsis thaliana (AT1G72560) UniProtKB/Swiss-Prot;Acc:Q7PC79] MATHDLSSVLLTPTCREYLNSIIELLLITSCNHKDILVRKQCVQIFVRLIKDWCKKYSGEDKLPGFRNFIIETFATRCCLNSVLDKSFEFRDANTLLLFVEIVGAQKVMYDMFGDEFAVHFVSKGLPAAHCPQDLAEQYYRKLQDNDTKALRSFYQSLIEKLRQQQNGSFVFR >DRNTG_29737.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:624873:626905:1 gene:DRNTG_29737 transcript:DRNTG_29737.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin-T [Source:Projected from Arabidopsis thaliana (AT1G72560) UniProtKB/Swiss-Prot;Acc:Q7PC79] MADDLERAILLAYDPDAAVDPAVKSQAIAFCDCARREPPSSLLCLCLERLPRVPLPDPVRFWLLSALHEAVLSLPPSELPFFLSSLPALASCLSSSPPFIKNKLSQCLSTVITLHYPHSWPSPFVDLLPRLADGPHAVDMFLRLLSSLDDDLLSQDYPRDAAATAAASRVKDAMRMQCVPQIARAWFDVITLYRASEPSLSASALDVARRYIPWIDIGLVANDVFLQLLFELVESSEEQLRSAAAACLLAIVAKRMDPRPKLALLRSLQFNRLFSRQDSGCSLAHLITGYAVEALECYKRLGSDNVDGSSALELLDEALPSVFYVMQTCQEVDSGNIVEFLSSYVSAMKTPTQKQLVYIGQVLEVVKDQMLYDPAYRSNLDLPDKIGKEEEDEMAESRKDLFALFRSVSRVAPDVTQLFMQNLLARAVQSAEMNVEEVEAALSLFYRFGETVSDEAMRTGSGLLGELVPMLLSARFSCHSHRIVALVYLDTITRYMKFVHENTQYIPFALSAFLDERGIHHPHLSVSQRASYLFMRAVKLLKSKLVPFVEQILQSLQDTVARFTTLDWTSKQLKTSGSEDGSHTFE >DRNTG_29737.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:624873:632051:1 gene:DRNTG_29737 transcript:DRNTG_29737.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin-T [Source:Projected from Arabidopsis thaliana (AT1G72560) UniProtKB/Swiss-Prot;Acc:Q7PC79] MADDLERAILLAYDPDAAVDPAVKSQAIAFCDCARREPPSSLLCLCLERLPRVPLPDPVRFWLLSALHEAVLSLPPSELPFFLSSLPALASCLSSSPPFIKNKLSQCLSTVITLHYPHSWPSPFVDLLPRLADGPHAVDMFLRLLSSLDDDLLSQDYPRDAAATAAASRVKDAMRMQCVPQIARAWFDVITLYRASEPSLSASALDVARRYIPWIDIGLVANDVFLQLLFELVESSEEQLRSAAAACLLAIVAKRMDPRPKLALLRSLQFNRLFSRQDSGCSLAHLITGYAVEALECYKRLGSDNVDGSSALELLDEALPSVFYVMQTCQEVDSGNIVEFLSSYVSAMKTPTQKQLVYIGQVLEVVKDQMLYDPAYRSNLDLPDKIGKEEEDEMAESRKDLFALFRSVSRVAPDVTQLFMQNLLARAVQSAEMNVEEVEAALSLFYRFGETVSDEAMRTGSGLLGELVPMLLSARFSCHSHRIVALVYLDTITRYMKFVHENTQYIPFALSAFLDERGIHHPHLSVSQRASYLFMRAVKLLKSKLVPFVEQILQSLQDTVARFTTLDWTSKQLKTSGSEDGSHTFEAIGLLIGMEDISPEKQSEYLVALLTPLLQQVDSLIADSKLQKIEESSPRIASVQQTIMAINALSKGFSERLVTTGRPAIGNMFKQTLGVLLQILVVFPNNVPLRNKVTSFLHRMVDILGASILPFLPMALKQLLMDSEPKEMADFLVLINQLISKFNISIAGILGDIFPTIVSRVFQLLPSDAFSFDPGYNTEEVRELQELQRVLYTFLHVMATHDLSSVLLTPTCREYLNSIIELLLITSCNHKDILVRKQCVQIFVRLIKDWCKKYSGEDKLPGFRNFIIETFATRCCLNSVLDKSFEFRDANTLLLFVEIVGAQKVMYDMFGDEFAVHFVSKGLPAAHCPQDLAEQYYRKLQDNDTKALRSFYQSLIEKLRQQQNGSFVFR >DRNTG_08434.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21294096:21298537:1 gene:DRNTG_08434 transcript:DRNTG_08434.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic aminotransferase ISS1 [Source:Projected from Arabidopsis thaliana (AT1G80360) UniProtKB/Swiss-Prot;Acc:Q9C969] MGNYRMLARRCVETEMPVMVKIQELMRGAENLMSLAQGVVYWQPPKQALEKVKEIVWDPLTSRYGNDEGLPELRQALTEKLQQENNLTKSSIMVTAGANQAFVNLVLTLCDPGDSVVMFAPYYFNAYMSFQMTGITDIIVGPGDSKTLQPDADWLEKILSEKGDKPVPKLVTVVNPGNPSGVFVPKPLLQRISDLCKKADAWLVVDNTYEYFMYDGLEHFCLEDNHIVNIFSFSKAHGMMGWRVGYIAYPTEVDGLAEQLLKVQDNIPICASIIGQRLALYSLEVGPEWIRERVKDLVKNRELVREALSPLGDDAVKGGEGAIYLWAKLPDKYSDDFEVVRWLVNRHGVVVIPGSASGGRGHIRISFGGLTQADCEIAAQRLQKGLKELIEVGMVQ >DRNTG_07277.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000331.1:17183:17796:-1 gene:DRNTG_07277 transcript:DRNTG_07277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQYALYGKLFGKSPPFEQVKSLLLAKWSDFGETFISDLPNGFLLIRCSSELAMQRLLLEGPWSVNGIIL >DRNTG_10728.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1952177:1953656:1 gene:DRNTG_10728 transcript:DRNTG_10728.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKILHLFNFFFFFISFFINGLCNSYIHFPNKFSIPIPPSFDPNFHGRAFILVSGMKHPPTFRAGLSLEPIPNNLYSCSLSVFLGPLKVWSSDHFSPFSPSTTCVLDLTSNGVLQVHDGFGLVGWQTSTFSQQVQRLLLEKTGNLVLKDENNCTIWQSFDYPTDTMLRGQQLNAPFSLTSLNYSFEVLQDMLRISLNWRGQRYSYWELEPKRNISFARLGSIGLKLFDRNSTKVALIKGSFHSGKEPNKLLVLNKDGNLGLYYYSAETGELQASYQSSNNNTCQLPLPCGNYGICTSSNSCSCVAFVFI >DRNTG_10728.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1952177:1953656:1 gene:DRNTG_10728 transcript:DRNTG_10728.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEILGFTTTQLKQGNYKHHIKVVTTTLVNFHCLVETMGYAHPLIAAHVLHLCSFNGDVYDFCENDNEEKAKMVALHGVDTVLMSSNSSLKLMNVSEEECVSSCMDECSCVAARHNEHYSVCMHYGLVGGVREVERGGGHSYWVKVLEEKEKEKDGSGLERRVVVGAGVFNGLVLCLILGLVFYCFFQRFYYYKRTSDLPAV >DRNTG_02581.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19352426:19353498:1 gene:DRNTG_02581 transcript:DRNTG_02581.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEPVSPTGQYMSSSVLNVAFLVVFETLMPIHDSQAITALQSIFLPINPRFSSIMVRDEHGVQKWRKVEVKLEEHLKVPVFPQGLEQYDECLQDYMSSISMEPLTFSKPLWDLSIIKYPTSSAAGTFVFRLHHALGDGYSLMAALFACLKRTDDPSLPLTFLLLLRRKGCHQEEDGGVYFMSF >DRNTG_02581.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19355799:19356155:1 gene:DRNTG_02581 transcript:DRNTG_02581.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVSSYILKTLTNSSLAISNMIGPMEQVEIIGHPITGFHFMLTCLSLVFILC >DRNTG_02581.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19352742:19353498:1 gene:DRNTG_02581 transcript:DRNTG_02581.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRDEHGVQKWRKVEVKLEEHLKVPVFPQGLEQYDECLQDYMSSISMEPLTFSKPLWDLSIIKYPTSSAAGTFVFRLHHALGDGYSLMAALFACLKRTDDPSLPLTFLLLLRRKGCHQEEDGGVYFMSF >DRNTG_02581.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19352426:19354461:1 gene:DRNTG_02581 transcript:DRNTG_02581.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEPVSPTGQYMSSSVLNVAFLVVFETLMPIHDSQAITALQSIFLPINPRFSSIMVRDEHGVQKWRKVEVKLEEHLKVPVFPQGLEQYDECLQDYMSSISMEPLTFSKPLWDLSIIKYPTSSAAGTFVFRLHHALGDGYSLMAALFACLKRTDDPSLPLTFLLLLRRKGCHQEEDGGVYFMSF >DRNTG_02581.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19353831:19356155:1 gene:DRNTG_02581 transcript:DRNTG_02581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRPDKNNTSNMTALVLLNTRVIRTYKSMEEMRNLNSKAKWGNYFAFVHVPMPKCDKKDGKIKPLDFVLKAKKIIKSKRSSFGVHLSGALLEMIRKLKGPEAVSSYILKTLTNSSLAISNMIGPMEQVEIIGHPITGFHFMLTCLSLVFILC >DRNTG_01535.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5007156:5010226:1 gene:DRNTG_01535 transcript:DRNTG_01535.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLLRVVLSIKTSAELGFADSDRYAGRVLSSKFVGKNSRKKVRWSFCSLHDNPKQHGKLPVLSSLVANTAGEAVMYSEQKVYDVVLRQAALVKDHKMSEIVVGVKPDMVIPGATYSLKEAYDQCREVCAENSKTFYLGTLLMTPERQRAISAISVWCRRTDELVDGHNASHITPAALDRWELRLEDLFAGRPYDMLDAALSDTVSRFPVDIQLFKDMIEGMRMDLKKSRYENFDELYLYCYYVAGTVALMTVPVMGIAPESKATTESVYNAALALGIANQLTNILRDVGEDARRGRIYLPLDELAEAGLTDEDIFNGKVTDKWRNFMKIQIKRARMFFRQAEQGVTELSQASRWPIWASLLLYRQILDEIEANDYNNFTKKAYVSKAKKLLSLPMAYGKSLISPSTHAQPEPQSMTL >DRNTG_30871.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2280225:2283705:1 gene:DRNTG_30871 transcript:DRNTG_30871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAMASQGVAGEMWQYGMLGGARIGGRDVASVGDSTVTEQSTGGGGGGRSRRKWTDITAAGSEGDSSKLVSSSSGNELADCEGKRIKIMKSIEDNSNSRSEAEASSGNCNKSVDQNGQPSEPSKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKASVLDEIINYIQALQHQFLSMKLEAVTSRVNSGMEGFTLKDFGAQPFGTAPSLAFGSQAREFEQEPTSEWLHMQVGGAFERVT >DRNTG_28919.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22036863:22037656:-1 gene:DRNTG_28919 transcript:DRNTG_28919.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIMARYEKDGKAVVPFHCTRFVVACAYIWTCLIRSRSWPEERKAHLGFSVDARPRLTPSIPAGYFGNCLGFCLAEVKVSDVVGDDNIDGVLKTIESLGKAIEYWVREVGVLKNVELLPRMFIELVDKRPLSIAGSPRFRVYEVDFGWGKPVKVEVTTIKETGAMSIAESGKEEGGLEFGLAFPKHEIDAFQACFTSGLKFLIP >DRNTG_28919.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22044043:22045772:-1 gene:DRNTG_28919 transcript:DRNTG_28919.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPSSPPLHPIKTLENSTVSPPKGSVPDTSIPLNSFDILWLTYGAVQRLFFFKLPSFSTSHFISHHLPTFKSSLSLTLQHFFPLCGHVRPSSSSPDHYEIHYTDGDSVSLILSESTGDFQELSGHQPKDFKKLHPLIPSLTKESTSLMALQLTGFPNHGGLCLGVSIKHVVSDGTGFINFVKSWAATNLAGVPKFTPPLLHRNIISDSEKLYSVNLEAIRLMEAKLKVFSANVDSPDDELVCATFSLNKEDIKKIKDSIMSKYGSGFAAPFHCSTFVVACAYMWTCLIRSRKWPSERTAHFVFAVDARPRVTPAIPPSYFGNYLGCCFTEVKVGDVMDGDGVHVAAEAIGKAIDELMKHGVLREAEEWPRKLMALSSERPLSIAGSPKLGVYEIDFGWGKPVKVEVTTIKETGAMSMAEIGGGGGGGGGGGIEFGLAFPKHEIDAFQTCFTAGLQLL >DRNTG_28919.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22036863:22045772:-1 gene:DRNTG_28919 transcript:DRNTG_28919.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPSSPPLHPIKTLENSTVSPPKGSVPDTSIPLNSFDILWLTYGAVQRLFFFKLPSFSTSHFISHHLPTFKSSLSLTLQHFFPLCGHVRPSSSSPDHYEIHYTDGDSVSLILSESTGDFQELSGHQPKDFKKLHPLIPSLTKESTSLMALQLTGFPNHGGLCLGVSIKHVVSDGTGFINFVKSWAATNLAGVPKFTPPLLHRNIISDSEKLYSVNLEAIRLMEAKLKVFSANVDSPDDELVCATFSLNKEDIKKIKDSIMSKYGSGFAAPFHCSTFVVACAYMWTCLIRSRKWPSERTAHFVFAVDARPRVTPAIPPSYFGNYLGCCFTEVKVGDVMDGDGVHVAAEAIGKAIDELMKHGVLREAEEWPRKLMALSSERPLSIAGSPKLGVYEIDFGWGKPVKVEVTTIKETGAMSIAESGKEEGGLEFGLAFPKHEIDAFQACFTSGLKFLIP >DRNTG_30451.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:349791:355072:1 gene:DRNTG_30451 transcript:DRNTG_30451.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAETPVVEAVSALKNLQLDSRPKFVTPANSYTLPAKKARPRRLLSLCLGIIGQHLEDIIGDLTEIAAGFPPEIKLALVAIARRRRLLNDDVLIALADISWDLLDVSGSDVTDFGLAKVAEECPYLKSVDISRCECITTAGVSALTCQCRSLEILRCGI >DRNTG_30451.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:349791:355072:1 gene:DRNTG_30451 transcript:DRNTG_30451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAETPVVEAVSALKNLQLDSRPKFVTPANSYTLPAKKARPRRLLSLCLGIIGQHLEDIIGDLTEIAAGFPPEIKLALVAIARRRRLLNDDVLIALADISWDLLDVSGSDVTDFGLAKVAEECPYLKSVDISRCECITTAGVSALTCQCRSLEILRCGGCPRSDSTARRSISILKPKLNDVEEECWEELDSIDIGNGAQSLRWLVWPRIDEDSIMCLSTECPRIIVNPQPSPIGIRRVQVPDEALASVTLDHSIIEDIDPQAWAVSVAPRRPALVPSDSNSSPELHIAERFRLAFLERDARLARKRAKSARQHKRRAWREWLMSSDDAKSVALASQANKFLQN >DRNTG_06399.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7556852:7558832:1 gene:DRNTG_06399 transcript:DRNTG_06399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMQEERSALDDVPGLEDSSGGVSLEFTNGVLWRKPSEREAPVSMRYRLEHRGRWRSMVTVCLRR >DRNTG_17679.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5244380:5248454:1 gene:DRNTG_17679 transcript:DRNTG_17679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFKMKFSVRFYIAKLTDRSGVAIRPLRNYYRLKNAFWMKSAETGHFEAVVLRIREKEERSKAYEGWNSREKHRFGEILHRHHFRELGNDKENTFNALTMESFGEDPSSHLITNL >DRNTG_17367.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3053114:3054386:1 gene:DRNTG_17367 transcript:DRNTG_17367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCSSTVHNRPRNQRNRESTWRVEIMYGRVEIMYGRVEIPHGHVEHPRPWSRLIPALFKADSAPILVFFSPSFPQLAIELRLGFRRYWLGFWRGSTASTSGVIWKKVIGRAFVGTDPARCILGRTKDPWRRIEDSPEDHRYNYRGGFFMDSLLLHSISLIVL >DRNTG_10329.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3067607:3068023:-1 gene:DRNTG_10329 transcript:DRNTG_10329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTWQKVASLKRKMSSPRADDVCSDFNACSTSYIAEKGHFNVYTWEGKRFMLPLAYLDISIFKELLRISEEEFGLLPNGPITLPCDAASMEYVLSLLRRGLSKEMEMALLSSIFSSCQSTISMFAVEQPQQVAVCSF >DRNTG_10225.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:50697:51935:1 gene:DRNTG_10225 transcript:DRNTG_10225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFYPSGCFLPAVSPSCKKMSSSSSSSNSTSSIPMTCTTTTTTTTSVRALRTSSPSTSSPDLFSKSPMRTAEELASLLGLEMAVAGLERLFRESDPPVSPGHHGRPVRVAYQGVRGSYCQEAATMAFPPSFLASEAFPCVNMEDAFSALEDRTADRAVVPAENSLDGPIDRNLDLLLRHPAVRIVGELILPVNHCLLSLPGVQRSGLRRVVSHPQALSHCAEGLRALGVEVEEVSNAAEAAQFVAENRIADTAVIGSEIAASEFGLQVLERNFQDRRAGNYNRFLQLGMGLGSKTCSPPPRSSGGAHKTTVAFSLERGVSDLFQAMWAFESRGVRVTRVQHRPNRSNPVRLVQRDGVVGATRYFDYVFIVDVEGSDSHVGVQAAINQLRAIAGFVRVLGSYVSACVGTSS >DRNTG_25081.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:667036:668862:1 gene:DRNTG_25081 transcript:DRNTG_25081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSLSWRRLIAGAGGTSGTSPMISRISSAGAHHQPRYIEKVGIPEFLKGIGNGVETHMAKLETEIGDIEKLLVTRTLRLKKLGIPCKHRKLILNYAQKYRLGLWRPRADLPKPQAA >DRNTG_10202.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20103620:20106692:1 gene:DRNTG_10202 transcript:DRNTG_10202.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein knotted-1-like 7 [Source:Projected from Arabidopsis thaliana (AT1G62990) UniProtKB/Swiss-Prot;Acc:Q9FPQ8] MEIGGEGECSGTADEQQRQLVKAEMAVHPLFEQLVSAHVSCLRVATPIDHLPIIDAQLAQSHHLLRSYAAQHRHVLSPQDKQELDAFLTQYLLLLCSFKDQLQQHVRVHAVEAVMSCREIEQSFQDLTGVTLDEGTGATMSDDEDELLQLESPMDIGMDGHDMMGFGPLLPTESERSLMERVRQELKIELKQGFRSRIEDVREEILRKRRAGKLPGDTTSVLKQWWQQHSKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHNNSQSATTLKSKRKR >DRNTG_08079.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1843659:1845563:-1 gene:DRNTG_08079 transcript:DRNTG_08079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKTGVKKGPWTPEEDIILVSYIQEHGPGNWRGVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIIHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLKKLQNGDTDESLSSGNSSYQSISKGQWERRLQTDIHMAKRALCEALSLEKPCDSFLDLKPFNGCQSLTSPTQTQTPTPTPTSSTSTCTYASSTENISKLLQGWMRNSSNSSNNDTSSSEGSASGTTTTVKNSNFNNFSTLEPLSGIQSSPEGSDDSGSAQQMPLSFLETWLLDETIEQGDDEGLLDLSLDDNTAGLF >DRNTG_08079.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1843659:1845369:-1 gene:DRNTG_08079 transcript:DRNTG_08079.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKTGVKKGPWTPEEDIILVSYIQEHGPGNWRGVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIIHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLKKLQNGDTDESLSSGNSSYQSISKGQWERRLQTDIHMAKRALCEALSLEKPCDSFLDLKPFNGCQSLTSPTQTQTPTPTPTSSTSTCTYASSTENISKLLQGWMRNSSNSSNNDTSSSEGSASGTTTTVKNSNFNNFSTLEPLSGIQSSPEGSDDSGSAQQMPLSFLETWLLDETIEQGDDEGLLDLSLDDNTAGLF >DRNTG_08079.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1843550:1845369:-1 gene:DRNTG_08079 transcript:DRNTG_08079.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKTGVKKGPWTPEEDIILVSYIQEHGPGNWRGVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIIHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLKKLQNGDTDESLSSGNSSYQSISKGQWERRLQTDIHMAKRALCEALSLEKPCDSFLDLKPFNGCQSLTSPTQTQTPTPTPTSSTSTCTYASSTENISKLLQGWMRNSSNSSNNDTSSSEGSASGTTTTVKNSNFNNFSTLEPLSGIQSSPEGSDDSGSAQQMPLSFLETWLLDETIEQGDDEGLLDLSLDDNTAGLF >DRNTG_08079.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1843550:1845298:-1 gene:DRNTG_08079 transcript:DRNTG_08079.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKTGVKKGPWTPEEDIILVSYIQEHGPGNWRGVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIIHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLKKLQNGDTDESLSSGNSSYQSISKGQWERRLQTDIHMAKRALCEALSLEKPCDSFLDLKPFNGCQSLTSPTQTQTPTPTPTSSTSTCTYASSTENISKLLQGWMRNSSNSSNNDTSSSEGSASGTTTTVKNSNFNNFSTLEPLSGIQSSPEGSDDSGSAQQMPLSFLETWLLDETIEQGDDEGLLDLSLDDNTAGLF >DRNTG_08079.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1843659:1845298:-1 gene:DRNTG_08079 transcript:DRNTG_08079.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKTGVKKGPWTPEEDIILVSYIQEHGPGNWRGVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIIHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLKKLQNGDTDESLSSGNSSYQSISKGQWERRLQTDIHMAKRALCEALSLEKPCDSFLDLKPFNGCQSLTSPTQTQTPTPTPTSSTSTCTYASSTENISKLLQGWMRNSSNSSNNDTSSSEGSASGTTTTVKNSNFNNFSTLEPLSGIQSSPEGSDDSGSAQQMPLSFLETWLLDETIEQGDDEGLLDLSLDDNTAGLF >DRNTG_08079.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1843550:1845298:-1 gene:DRNTG_08079 transcript:DRNTG_08079.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKTGVKKGPWTPEEDIILVSYIQEHGPGNWRGVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIIHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLKKLQNGDTDESLSSGNSSYQSISKGQWERRLQTDIHMAKRALCEALSLEKPCDSFLDLKPFNGCQSLTSPTQTQTPTPTPTSSTSTCTYASSTENISKLLQGWMRNSSNSSNNDTSSSEGSASGTTTTVKNSNFNNFSTLEPLSGIQSSPEGSDDSGSAQQMPLSFLETWLLDETIEQGDDEGLLDLSLDDNTAGLF >DRNTG_08079.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1843659:1845298:-1 gene:DRNTG_08079 transcript:DRNTG_08079.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKTGVKKGPWTPEEDIILVSYIQEHGPGNWRGVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIIHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLKKLQNGDTDESLSSGNSSYQSISKGQWERRLQTDIHMAKRALCEALSLEKPCDSFLDLKPFNGCQSLTSPTQTQTPTPTPTSSTSTCTYASSTENISKLLQGWMRNSSNSSNNDTSSSEGSASGTTTTVKNSNFNNFSTLEPLSGIQSSPEGSDDSGSAQQMPLSFLETWLLDETIEQGDDEGLLDLSLDDNTAGLF >DRNTG_10115.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30448429:30450837:-1 gene:DRNTG_10115 transcript:DRNTG_10115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVFPNRPAMPAKPLKKDSNSKSNQLKFSTSLPPPPPAPPSHALPLDSLLHQLHHLTTTHKNPTFPFLHPSSTRTRKGNHFLSRKGKSLLKSMVEHPLHGLHSFLDSMEDEISGLDSVSLLKGLELAGEWEKALVLFQWLSSNQNAEKMRLNEQSIEAIIRVLGKESQHSVACKVFDSIPLKDYCLDIRSYTTLLHAYARSGNYVRAIDVFEQVKEKGLSPTLVTYNVMLDVYGKMGRSWDKILNLLDEMRNNGLEFDEFTISTVLSACGREGLIKEAMEFFECSKSEGYVPGVVTYNALLQVFGKAGNYAQALRILKEMEENGCSPDSVTYNELAATYARAGFYEEAAAVFDEMATKGIMPNSVTYSTVINAYGKVGKEDEALDLFDQMKKSGCVPNTCTYNTIIGLLGKKSRSNEMLDILCDMKSNGCVPNRITWNIMLAMCGKRGMGNYVSQVFSEMKNSGIEPGRDTFNTLIAAYGRCGSGKHALKFYEELIRAGFSPTLTTYNALLNAIARRGDWQAAKSVMIDMKSKGFKPNELSYSMLLQCYAQAKNVRGIEAIEEDVYAGRIFPSWVVLRTLVIANFKCRVLQGMEKAFVELEKNGYKSDLVVLNSMLSIYAKNRMYDRAHEILDLIHRSGFQPDLITQNSLMDMYARSGECWKAEEILRELHNSGPKPDIVSYNTVINGFCKQGLMQDALRILNEMMAIGIAPCLVTYNTFISGYSSMEMFKEARDVISYMIQHNFKPTELTYKIVVDGYCKARRYNEAREFVTGIIETDLTFDERLLPKLSLRIEEYEDS >DRNTG_34547.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18325168:18326841:1 gene:DRNTG_34547 transcript:DRNTG_34547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTNHTQWWSLFSILSVAEPLFPPGSDGGHNSFAYSESLLISAKGLQMEYSKVLSLVTSIDLSNNKLSCELPEELTKLHGLHFLNLAYNHFDGKISENISEMKQLESLDLSWNNLIGTIPSSISTLNFLAHLNLSHNNLSGKIPSGSQLQTFDSSAYNWNHNLCGSPLQDCANETHYSQGANEEEGKGEWLEMLWLYVGLAMGFITGFWVIIGTIIIKQTIRIAYFRSIDKAYDFLYVKMVMYSRRFKSTFSKRN >DRNTG_34547.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18325168:18326198:1 gene:DRNTG_34547 transcript:DRNTG_34547.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTNHTQWWSLFSILSVAEPLFPPGSDGGHNSFAYSESLLISAKGLQMEYSKVLSLVTSIDLSNNKLSCELPEELTKLHGLHFLNLAYNHFDGKISENISEMKQLESLDLSWNNLIGTIPSSISTLNFLAHLNLSHNNLSGKIPSGSQLQTFDSSAYNWNHNLCGSPLQDCANETHYSQGANEEEGKGEWLEMLWLYVGLAMGFITGFWVIIGTIIIKQTIRIAYFRSIDKAYDFLYVKMVMYSRRFKSTFSKRN >DRNTG_34218.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2037472:2039504:1 gene:DRNTG_34218 transcript:DRNTG_34218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINGFEDWNGNPINKERYGGLKAAYFIYFMVVMCAMAYTTNMLNMVTYLHGTMHTSIASSSTIVTTFYGVTCAFTLLGGFLSDSYVSRFKTILIFAPFEFLGFAMLAMESYFPSLKPKACNVEAMQGIIKCEQVHGGNEVLLCVALFTIALGEGCLRANLASFGGDQFDSDDPVESQLKSSFFNWFGFVTSLGLLTGLLLIVWIENNKGWDYGFGLSSVLVSIGIAVIAKEDEGEIQEESDHRDGDKQYMEFKFLDKALIMTDGRNTGVWSHCSKAQVEETKIVLRMLPIFIGSVFGYIPIPLLLTFSVQQGTAMNTKLGKIKISPASLVAIGVILMMILIIIYDRFFVPLARRFTGYTRGITPLQRVGAGFIVLALATSVSALIERRRKHALEMNGVHISVLWLSLQFLILGITEVAAFVGLLEFFNTEISKGMKSLGTAIFWCIIGFSSLLGSALIQVVNRASNGWLHGADLNKSHLDKFYWLLSCIASLSFFNFLYWSKKYTYIHDPHK >DRNTG_02692.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19714415:19716362:-1 gene:DRNTG_02692 transcript:DRNTG_02692.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 8 [Source:Projected from Arabidopsis thaliana (AT5G52510) UniProtKB/Swiss-Prot;Acc:Q9FYR7] MEMPELEPEPESEKKTVIIKNRLQELERQLLLDDDEDEESAITNNEWNDTIQQLISPLSPSPTSSSSSSSSSSSSSSIASSSSSSSSSSSSSSRQLLLDTANAISDGNLDTAAANLSKLKQATNYLRGDPDQRLTAIMVSALMSRINPSPLASHPFADLGSAEHLAGTAMLHEVSPVFKLSLLAANLAILDATRDHLKIHIIDFDVGQGVQYATFIHALTDQYRHNHSSRLPAVKITAVADPSLPFHASHLKNNNSNLRQVGERLARLAEKAGVGLRFNIVCRRPSELDRSTLGCEPGEALAVNFAFLLSRVADESVTPANPRDELLRRVKALSPLIVILVEQDMNTNTAPFAPRFAEVCAHYGALLDSIDLSNRTRVETCIVRKATNAVARDGADRIERCEVFGKWRARMGMAGFTPSPLTASVTESVQARLGSIRPNPGFTLKQDAGGLCLGWHGRNLTVTSAWR >DRNTG_16749.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10992168:10993466:1 gene:DRNTG_16749 transcript:DRNTG_16749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVQRRKGPDVVGSFGLLQPLADGSKLILKEPISPSSANLSLFRMAPVATFMLSLVAWAVVPFDYCMVLSDPNIGLLYLFAISSLGVYGIIIAGWSSN >DRNTG_03166.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19555575:19557902:1 gene:DRNTG_03166 transcript:DRNTG_03166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKSLVLPEISPNSSLPYPLPRPASVGATASFAIKKRFLTHLEVGTNIVSIPNALVESMQASSPTHIKAGNAAVTSHFAGDCYNAWLAKHPSALDMFEEIVVASKGKQIVMFLDYDGTLSPIVDDPDSAFMSDAMRGAVRDAARYFPTAIVSGRCRDKVYDFVRLAELYYAGSHGMDIKGPVKGPKHTKTKAKPVLFQPASEFLPMISEVFNALSERTRSTPGVIVENNKFCVSVHFRCVEEKRWSSLAEEVGSIVKEYPKLTLTQGRKVFEVRPTIKWDKGKALEFLLESLGFADCENVLPVYIGDDRTDEDAFKVLRERGQGIGILVSKFAKETSASYSLQEPTEVMDFLHRLVGWKRQKTLKNKVIRK >DRNTG_33004.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26223222:26225620:1 gene:DRNTG_33004 transcript:DRNTG_33004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCKLIRQSILNNLSSTGPRPVTHLLNATRGFSSSSKLFIGGLSFNTDDKTLKEAFSSFGNVLEARVITDRESGRSRGFGFVLFESNEDANEAMSSMDGQELNGRAIRVSYASDDRRQPRFSGGGGHGNTGF >DRNTG_10648.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:321115:324150:1 gene:DRNTG_10648 transcript:DRNTG_10648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFQSGISKSRGRMDSEGQKVSNPSAMLAGLVSKRKKLQEELRNIENQVYELETSYLQDSNQCGSVLKGFEGFLSSSKSTINLKRSRKFQPEDRLFSLSSVTSPAVEEHIAGREDGRADYGPGRSKGGTTPANGQGKPKKGGRIAIKRPRPANDLDMEDEDDLI >DRNTG_29846.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23147364:23148830:-1 gene:DRNTG_29846 transcript:DRNTG_29846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDNESGGTNAVGNSEFSSPREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEEYVEPLKVYLQKFREMEGEKGTPSSQSQQKDGSSTTSGGGGGNGSGSVTVSMGNNVASYGGAGGMYGGAGGGMMMMGHQMYGSSPSSSSSYQHHQLGLGGIGRQGRV >DRNTG_28093.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20526477:20535996:-1 gene:DRNTG_28093 transcript:DRNTG_28093.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSWRFRPPPPPPPAPFGDLHHPHPPFPPAPYHNPAFRAPDTWTAIPHPPLERPPFFHPPHPPPMFLDDEREAYRKRMRVDDSSHQFNPFVNSASSEDERRLSLIRDHGHQGFLPFDRSFTASGHGFPERNRPPLPIDYAFPPGISNSQRFGGFAPHEGERRDPYSRDGQFEDYLPPNQIYRQGIPVGVSGNYEARPFEQQFHNSLLQDQRQLHLNENSYISPNQAQYPQTNGYQAEFGAVNAEKESSIPESGSQHSGQSKLEYHCQQADMINNHYDYKHSDLDNVQRQHQYQSYAGSKSINGESGFIRPPGTRENGYMGATLGNHVHIPQNCAPLPPPLLAVHHEPSPSFQGTPSLAPSPVPSSLMGSTVTNVPLPSAARTFPETHPWSHATAFETKTLPFPHQVPSTHYLDEGRPVFPSKHPTQEKPTVIDACHLFRQPHRVSRPDHFVIILRGLPGSGKSYLAKALRDIEVEHGGSAPRIHAMDDYFMIEVEKAEDNGGSRTSTSVRGKKQNMKKVIEYCYEPEMEEAYRSSMLKAFKKTLDEGIFTFIIGMVFVMDSCFVLLSSLLDSPLIILSFRFFSHLLSILSMFFSYHFICAQMFTALQCSIYS >DRNTG_28093.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20526477:20535996:-1 gene:DRNTG_28093 transcript:DRNTG_28093.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSWRFRPPPPPPPAPFGDLHHPHPPFPPAPYHNPAFRAPDTWTAIPHPPLERPPFFHPPHPPPMFLDDEREAYRKRMRVDDSSHQFNPFVNSASSEDERRLSLIRDHGHQGFLPFDRSFTASGHGFPERNRPPLPIDYAFPPGISNSQRFGGFAPHEGERRDPYSRDGQFEDYLPPNQIYRQGIPVGVSGNYEARPFEQQFHNSLLQDQRQLHLNENSYISPNQAQYPQTNGYQAEFGAVNAEKESSIPESGSQHSGQSKLEYHCQQADMINNHYDYKHSDLDNVQRQHQYQSYAGSKSINGESGFIRPPGTRENGYMGATLGNHVHIPQNCAPLPPPLLAVHHEPSPSFQGTPSLAPSPVPSSLMGSTVTNVPLPSAARTFPETHPWSHATAFETKTLPFPHQVPSTHYLDEGRPVFPSKHPTQEKPTVIDACHLFRQPHRVSRPDHFVIILRGLPGSGKSYLAKALRDIEVEHGGSAPRIHAMDDYFMIEVEKAEDNGGSRTSTSVRGKKQNMKKVIEYCYEPEMEEAYRSSMLKAFKKTLDEGIFTFIIEVRL >DRNTG_28093.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20526477:20535996:-1 gene:DRNTG_28093 transcript:DRNTG_28093.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSWRFRPPPPPPPAPFGDLHHPHPPFPPAPYHNPAFRAPDTWTAIPHPPLERPPFFHPPHPPPMFLDDEREAYRKRMRVDDSSHQFNPFVNSASSEDERRLSLIRDHGHQGFLPFDRSFTASGHGFPERNRPPLPIDYAFPPGISNSQRFGGFAPHEGERRDPYSRDGQFEDYLPPNQIYRQGIPVGVSGNYEARPFEQQFHNSLLQDQRQLHLNENSYISPNQAQYPQTNGYQAEFGAVNAEKESSIPESGSQHSGQSKLEYHCQQADMINNHYDYKHSDLDNVQRQHQYQSYAGSKSINGESGFIRPPGTRENGYMGATLGNHVHIPQNCAPLPPPLLAVHHEPSPSFQGTPSLAPSPVPSSLMGSTVTNVPLPSAARTFPETHPWSHATAFETKTLPFPHQVPSTHYLDEGRPVFPSKHPTQEKPTVIDACHLFRQPHRVSRPDHFVIILRGLPGSGKSYLAKALRDIEVEHGGSAPRIHAMDDYFMIEVEKAEDNGGSRTSTSVRGKKQNMKKVIEYCYEPEMEEAYRSSMLKAFKKTLDEGIFTFIIVDDRNLRVADFAQFWAIAKRSGYEVYLLEATYKDPMGCSARNVHGFKLDDIKKMADQWEEAPALYLQLDVQSLIHGDDLDEHCIQEVDMDSDDASHELHAVPELKDKEDFKMPGTSSSSSYHAPDGLVNVGESWDGGNDDEEELTTVKKLEKSKWSKDIYEDLEDSDSPKEKQNALSGLLQAYGKSDKSVRWGDQVKESGFSISAVKKKNASSLIIGPGSGYNLGTNPLRDEDSLEVAARNSGSELKKRIHEQLRAERDSFKAVFDRRRQRIGGLHDADDE >DRNTG_28093.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20526477:20535996:-1 gene:DRNTG_28093 transcript:DRNTG_28093.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSWRFRPPPPPPPAPFGDLHHPHPPFPPAPYHNPAFRAPDTWTAIPHPPLERPPFFHPPHPPPMFLDDEREAYRKRMRVDDSSHQFNPFVNSASSEDERRLSLIRDHGHQGFLPFDRSFTASGHGFPERNRPPLPIDYAFPPGISNSQRFGGFAPHEGERRDPYSRDGQFEDYLPPNQIYRQGIPVGVSGNYEARPFEQQFHNSLLQDQRQLHLNENSYISPNQAQYPQTNGYQAEFGAVNAEKESSIPESGSQHSGQSKLEYHCQQADMINNHYDYKHSDLDNVQRQHQYQSYAGSKSINGESGFIRPPGTRENGYMGATLGNHVHIPQNCAPLPPPLLAVHHEPSPSFQGTPSLAPSPVPSSLMGSTVTNVPLPSAARTFPETHPWSHATAFETKTLPFPHQVPSTHYLDEGRPVFPSKHPTQEKPTVIDACHLFRQPHRVSRPDHFVIILRGLPGSGKSYLAKALRDIEVEHGGSAPRIHAMDDYFMIEVEKAEDNGGSRTSTSVRGKKQNMKKVIEYCYEPEMEEAYRSSMLKAFKKTLDEGIFTFIIVLFDN >DRNTG_28093.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20526415:20535996:-1 gene:DRNTG_28093 transcript:DRNTG_28093.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSWRFRPPPPPPPAPFGDLHHPHPPFPPAPYHNPAFRAPDTWTAIPHPPLERPPFFHPPHPPPMFLDDEREAYRKRMRVDDSSHQFNPFVNSASSEDERRLSLIRDHGHQGFLPFDRSFTASGHGFPERNRPPLPIDYAFPPGISNSQRFGGFAPHEGERRDPYSRDGQFEDYLPPNQIYRQGIPVGVSGNYEARPFEQQFHNSLLQDQRQLHLNENSYISPNQAQYPQTNGYQAEFGAVNAEKESSIPESGSQHSGQSKLEYHCQQADMINNHYDYKHSDLDNVQRQHQYQSYAGSKSINGESGFIRPPGTRENGYMGATLGNHVHIPQNCAPLPPPLLAVHHEPSPSFQGTPSLAPSPVPSSLMGSTVTNVPLPSAARTFPETHPWSHATAFETKTLPFPHQVPSTHYLDEGRPVFPSKHPTQEKPTVIDACHLFRQPHRVSRPDHFVIILRGLPGSGKSYLAKALRDIEVEHGGSAPRIHAMDDYFMIEVEKAEDNGGSRTSTSVRGKKQNMKKVIEYCYEPEMEEAYRSSMLKAFKKTLDEGIFTFIIGMVFVMDSCFVLLSSLLDSPLIILSFRFFSHLLSILSMFFSYHFICAQMFTALQCSIYS >DRNTG_28093.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20525765:20533247:-1 gene:DRNTG_28093 transcript:DRNTG_28093.11 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYLIILGSYSALLALCSMCSFLYSTFLHHAFFFVCMQRSGYEVYLLEATYKDPMGCSARNVHGFKLDDIKKMADQWEEAPALYLQLDVQSLIHGDDLDEHCIQEVDMDSDDASHELHAVPELKDKEDFKMPGTSSSSSYHAPDGLVNVGESWDGGNDDEEELTTVKKLEKSKWSKDIYEDLEDSDSPKEKQNALSGLLQAYGKSDKSVRWGDQVKESGFSISAVKKKNASSLIIGPGSGYNLGTNPLRDEDSLEVAARNSGSELKKRIHEQLRAERDSFKAVFDRRRQRIGGLHDADDE >DRNTG_28093.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20534376:20535996:-1 gene:DRNTG_28093 transcript:DRNTG_28093.19 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSWRFRPPPPPPPAPFGDLHHPHPPFPPAPYHNPAFRAPDTWTAIPHPPLERPPFFHPPHPPPMFLDDEREAYRKRMRVDDSSHQFNPFVNSASSEDERRLSLIRDHGHQGFLPFDRSFTASGHGFPERNRPPLPIDYAFPPGISNSQRFGGFAPHEGERRDPYSRDGQFEDYLPPNQIYRQGIPVGVSGNYEARPFEQQFHNSLLQDQRQLHLNENSYISPNQAQYPQTNGYQAEFGAVNAEKESSIPESGSQHSGQSKLEYHCQQADMINNHYDYKHSDLDNVQRQHQYQSYAGSKSINGESGFIRPPGTRENGYMGATLGNHVHIPQNCAPLPPPLLAVHHEPSPSFQGTPSLAPSPVPSSLMGSTVTNVPLPSAARTFPETHPWSHATAFETKTLPFPHQVPSTHYLDEGRPVFPSKHPTQEKPTVIDACHLFRQPHRVSRPDHFVIILRGLPG >DRNTG_28093.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20526415:20531116:-1 gene:DRNTG_28093 transcript:DRNTG_28093.14 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYLIILGSYSALLALCSMCSFLYSTFLHHAFFFVCMQRSGYEVYLLEATYKDPMGCSARNVHGFKLDDIKKMADQWEEAPALYLQLDVQSLIHGDDLDEHCIQEVDMDSDDASHELHAVPELKDKEDFKMPGTSSSSSYHAPDGLVNVGESWDGGNDDEEELTTVKKLEKSKWSKDIYEDLEDSDSPKEKQNALSGLLQAYGKSDKSVRWGDQVKESGFSISAVKKKNASSLIIGPGSGYNLGTNPLRDEDSLEVAARNSGSELKKRIHEQLRAERDSFKAVFDRRRQRIGGLHDADDE >DRNTG_28093.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20525765:20531116:-1 gene:DRNTG_28093 transcript:DRNTG_28093.12 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYLIILGSYSALLALCSMCSFLYSTFLHHAFFFVCMQRSGYEVYLLEATYKDPMGCSARNVHGFKLDDIKKMADQWEEAPALYLQLDVQSLIHGDDLDEHCIQEVDMDSDDASHELHAVPELKDKEDFKMPGTSSSSSYHAPDGLVNVGESWDGGNDDEEELTTVKKLEKSKWSKDIYEDLEDSDSPKEKQNALSGLLQAYGKSDKSVRWGDQVKESGFSISAVKKKNASSLIIGPGSGYNLGTNPLRDEDSLEVAARNSGSELKKRIHEQLRAERDSFKAVFDRRRQRIGGLHDADDE >DRNTG_28093.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20525765:20535996:-1 gene:DRNTG_28093 transcript:DRNTG_28093.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSWRFRPPPPPPPAPFGDLHHPHPPFPPAPYHNPAFRAPDTWTAIPHPPLERPPFFHPPHPPPMFLDDEREAYRKRMRVDDSSHQFNPFVNSASSEDERRLSLIRDHGHQGFLPFDRSFTASGHGFPERNRPPLPIDYAFPPGISNSQRFGGFAPHEGERRDPYSRDGQFEDYLPPNQIYRQGIPVGVSGNYEARPFEQQFHNSLLQDQRQLHLNENSYISPNQAQYPQTNGYQAEFGAVNAEKESSIPESGSQHSGQSKLEYHCQQADMINNHYDYKHSDLDNVQRQHQYQSYAGSKSINGESGFIRPPGTRENGYMGATLGNHVHIPQNCAPLPPPLLAVHHEPSPSFQGTPSLAPSPVPSSLMGSTVTNVPLPSAARTFPETHPWSHATAFETKTLPFPHQVPSTHYLDEGRPVFPSKHPTQEKPTVIDACHLFRQPHRVSRPDHFVIILRGLPGSGKSYLAKALRDIEVEHGGSAPRIHAMDDYFMIEVEKAEDNGGSRTSTSVRGKKQNMKKVIEYCYEPEMEEAYRSSMLKAFKKTLDEGIFTFIIEVRL >DRNTG_28093.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20526477:20531116:-1 gene:DRNTG_28093 transcript:DRNTG_28093.15 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYLIILGSYSALLALCSMCSFLYSTFLHHAFFFVCMQRSGYEVYLLEATYKDPMGCSARNVHGFKLDDIKKMADQWEEAPALYLQLDVQSLIHGDDLDEHCIQEVDMDSDDASHELHAVPELKDKEDFKMPGTSSSSSYHAPDGLVNVGESWDGGNDDEEELTTVKKLEKSKWSKDIYEDLEDSDSPKEKQNALSGLLQAYGKSDKSVRWGDQVKESGFSISAVKKKNASSLIIGPGSGYNLGTNPLRDEDSLEVAARNSGSELKKRIHEQLRAERDSFKAVFDRRRQRIGGLHDADDE >DRNTG_28093.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20525765:20535996:-1 gene:DRNTG_28093 transcript:DRNTG_28093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSWRFRPPPPPPPAPFGDLHHPHPPFPPAPYHNPAFRAPDTWTAIPHPPLERPPFFHPPHPPPMFLDDEREAYRKRMRVDDSSHQFNPFVNSASSEDERRLSLIRDHGHQGFLPFDRSFTASGHGFPERNRPPLPIDYAFPPGISNSQRFGGFAPHEGERRDPYSRDGQFEDYLPPNQIYRQGIPVGVSGNYEARPFEQQFHNSLLQDQRQLHLNENSYISPNQAQYPQTNGYQAEFGAVNAEKESSIPESGSQHSGQSKLEYHCQQADMINNHYDYKHSDLDNVQRQHQYQSYAGSKSINGESGFIRPPGTRENGYMGATLGNHVHIPQNCAPLPPPLLAVHHEPSPSFQGTPSLAPSPVPSSLMGSTVTNVPLPSAARTFPETHPWSHATAFETKTLPFPHQVPSTHYLDEGRPVFPSKHPTQEKPTVIDACHLFRQPHRVSRPDHFVIILRGLPGSGKSYLAKALRDIEVEHGGSAPRIHAMDDYFMIEVEKAEDNGGSRTSTSVRGKKQNMKKVIEYCYEPEMEEAYRSSMLKAFKKTLDEGIFTFIIVDDRNLRVADFAQFWAIAKRSGYEVYLLEATYKDPMGCSARNVHGFKLDDIKKMADQWEEAPALYLQLDVQSLIHGDDLDEHCIQEVDMDSDDASHELHAVPELKDKEDFKMPGTSSSSSYHAPDGLVNVGESWDGGNDDEEELTTVKKLEKSKWSKDIYEDLEDSDSPKEKQNALSGLLQAYGKSDKSVRWGDQVKESGFSISAVKKKNASSLIIGPGSGYNLGTNPLRDEDSLEVAARNSGSELKKRIHEQLRAERDSFKAVFDRRRQRIGGLHDADDE >DRNTG_28093.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20533842:20535996:-1 gene:DRNTG_28093 transcript:DRNTG_28093.17 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSWRFRPPPPPPPAPFGDLHHPHPPFPPAPYHNPAFRAPDTWTAIPHPPLERPPFFHPPHPPPMFLDDEREAYRKRMRVDDSSHQFNPFVNSASSEDERRLSLIRDHGHQGFLPFDRSFTASGHGFPERNRPPLPIDYAFPPGISNSQRFGGFAPHEGERRDPYSRDGQFEDYLPPNQIYRQGIPVGVSGNYEARPFEQQFHNSLLQDQRQLHLNENSYISPNQAQYPQTNGYQAEFGAVNAEKESSIPESGSQHSGQSKLEYHCQQADMINNHYDYKHSDLDNVQRQHQYQSYAGSKSINGESGFIRPPGTRENGYMGATLGNHVHIPQNCAPLPPPLLAVHHEPSPSFQGTPSLAPSPVPSSLMGSTVTNVPLPSAARTFPETHPWSHATAFETKTLPFPHQVPSTHYLDEGRPVFPSKHPTQEKPTVIDACHLFRQPHRVSRPDHFVIILRGLPGSGKSYLAKALRDIEVEHGGSAPRIHAMDDYFMIEVEKAEDNGGSRTSTSVRGKKQNMKKVIEYCYEPEMEEVIAAFPLISFRYFPYKLIKILFVL >DRNTG_28093.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20534152:20535996:-1 gene:DRNTG_28093 transcript:DRNTG_28093.18 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSWRFRPPPPPPPAPFGDLHHPHPPFPPAPYHNPAFRAPDTWTAIPHPPLERPPFFHPPHPPPMFLDDEREAYRKRMRVDDSSHQFNPFVNSASSEDERRLSLIRDHGHQGFLPFDRSFTASGHGFPERNRPPLPIDYAFPPGISNSQRFGGFAPHEGERRDPYSRDGQFEDYLPPNQIYRQGIPVGVSGNYEARPFEQQFHNSLLQDQRQLHLNENSYISPNQAQYPQTNGYQAEFGAVNAEKESSIPESGSQHSGQSKLEYHCQQADMINNHYDYKHSDLDNVQRQHQYQSYAGSKSINGESGFIRPPGTRENGYMGATLGNHVHIPQNCAPLPPPLLAVHHEPSPSFQGTPSLAPSPVPSSLMGSTVTNVPLPSAARTFPETHPWSHATAFETKTLPFPHQVPSTHYLDEGRPVFPSKHPTQEKPTVIDACHLFRQPHRVSRPDHFVIILRGLPGSGKSYLAKALRDIEVEHGGSAPRIHAMDDYFMIEVEK >DRNTG_28093.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20525765:20535996:-1 gene:DRNTG_28093 transcript:DRNTG_28093.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSWRFRPPPPPPPAPFGDLHHPHPPFPPAPYHNPAFRAPDTWTAIPHPPLERPPFFHPPHPPPMFLDDEREAYRKRMRVDDSSHQFNPFVNSASSEDERRLSLIRDHGHQGFLPFDRSFTASGHGFPERNRPPLPIDYAFPPGISNSQRFGGFAPHEGERRDPYSRDGQFEDYLPPNQIYRQGIPVGVSGNYEARPFEQQFHNSLLQDQRQLHLNENSYISPNQAQYPQTNGYQAEFGAVNAEKESSIPESGSQHSGQSKLEYHCQQADMINNHYDYKHSDLDNVQRQHQYQSYAGSKSINGESGFIRPPGTRENGYMGATLGNHVHIPQNCAPLPPPLLAVHHEPSPSFQGTPSLAPSPVPSSLMGSTVTNVPLPSAARTFPETHPWSHATAFETKTLPFPHQVPSTHYLDEGRPVFPSKHPTQEKPTVIDACHLFRQPHRVSRPDHFVIILRGLPGSGKSYLAKALRDIEVEHGGSAPRIHAMDDYFMIEVEKAEDNGGSRTSTSVRGKKQNMKKVIEYCYEPEMEEAYRSSMLKAFKKTLDEGIFTFIIGMVFVMDSCFVLLSSLLDSPLIILSFRFFSHLLSILSMFFSYHFICAQMFTALQCSIYS >DRNTG_28093.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20525765:20535996:-1 gene:DRNTG_28093 transcript:DRNTG_28093.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSWRFRPPPPPPPAPFGDLHHPHPPFPPAPYHNPAFRAPDTWTAIPHPPLERPPFFHPPHPPPMFLDDEREAYRKRMRVDDSSHQFNPFVNSASSEDERRLSLIRDHGHQGFLPFDRSFTASGHGFPERNRPPLPIDYAFPPGISNSQRFGGFAPHEGERRDPYSRDGQFEDYLPPNQIYRQGIPVGVSGNYEARPFEQQFHNSLLQDQRQLHLNENSYISPNQAQYPQTNGYQAEFGAVNAEKESSIPESGSQHSGQSKLEYHCQQADMINNHYDYKHSDLDNVQRQHQYQSYAGSKSINGESGFIRPPGTRENGYMGATLGNHVHIPQNCAPLPPPLLAVHHEPSPSFQGTPSLAPSPVPSSLMGSTVTNVPLPSAARTFPETHPWSHATAFETKTLPFPHQVPSTHYLDEGRPVFPSKHPTQEKPTVIDACHLFRQPHRVSRPDHFVIILRGLPGSGKSYLAKALRDIEVEHGGSAPRIHAMDDYFMIEVEKAEDNGGSRTSTSVRGKKQNMKKVIEYCYEPEMEEAYRSSMLKAFKKTLDEGIFTFIIGMVFVMDSCFVLLSSLLDSPLIILSFRFFSHLLSILSMFFSYHFICAQMFTALQCSIYS >DRNTG_28093.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20526477:20530252:-1 gene:DRNTG_28093 transcript:DRNTG_28093.16 gene_biotype:protein_coding transcript_biotype:protein_coding MADQWEEAPALYLQLDVQSLIHGDDLDEHCIQEVDMDSDDASHELHAVPELKDKEDFKMPGTSSSSSYHAPDGLVNVGESWDGGNDDEEELTTVKKLEKSKWSKDIYEDLEDSDSPKEKQNALSGLLQAYGKSDKSVRWGDQVKESGFSISAVKKKNASSLIIGPGSGYNLGTNPLRDEDSLEVAARNSGSELKKRIHEQLRAERDSFKAVFDRRRQRIGGLHDADDE >DRNTG_28093.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20526415:20534017:-1 gene:DRNTG_28093 transcript:DRNTG_28093.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSARNVHGFKLDDIKKMADQWEEAPALYLQLDVQSLIHGDDLDEHCIQEVDMDSDDASHELHAVPELKDKEDFKMPGTSSSSSYHAPDGLVNVGESWDGGNDDEEELTTVKKLEKSKWSKDIYEDLEDSDSPKEKQNALSGLLQAYGKSDKSVRWGDQVKESGFSISAVKKKNASSLIIGPGSGYNLGTNPLRDEDSLEVAARNSGSELKKRIHEQLRAERDSFKAVFDRRRQRIGGLHDADDE >DRNTG_28093.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20526061:20531116:-1 gene:DRNTG_28093 transcript:DRNTG_28093.13 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYLIILGSYSALLALCSMCSFLYSTFLHHAFFFVCMQRSGYEVYLLEATYKDPMGCSARNVHGFKLDDIKKMADQWEEAPALYLQLDVQSLIHGDDLDEHCIQEVDMDSDDASHELHAVPELKDKEDFKMPGTSSSSSYHAPDGLVNVGESWDGGNDDEEELTTVKKLEKSKWSKDIYEDLEDSDSPKEKQNALSGLLQAYGKSDKSVRWGDQVKESGFSISAVKKKNASSLIIGPGSGYNLGTNPLRDEDSLEVAARNSGSELKKRIHEQLRAERDSFKAVFDRRRQRIGGLHDADDE >DRNTG_15626.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20935202:20938834:-1 gene:DRNTG_15626 transcript:DRNTG_15626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDLDELLDSALDDFKKIDLAPEWRSNASSSTPVQGLGLGLPDLKAKKKVKQRAAAATMKTGSSHASEALEKLTQQTREAVRGLESATASAGTRGTDADGLQEDETAMVEEFIKKFEELTGSQDMESIMDTVMQQLLSKDILHEPMKEIGERYPKWLEEHKDGLSKEEYGRYHQQYELILKLNEAFEHEPDNFNNIVDLMQKMQECGQPPDDIVQELAPELDLRNLGGQLSPDMEPTKCCIM >DRNTG_15626.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20935202:20938834:-1 gene:DRNTG_15626 transcript:DRNTG_15626.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGSSHASEALEKLTQQTREAVRGLESATASAGTRGTDADGLQEDETAMVEEFIKKFEELTGSQDMESIMDTVMQQLLSKDILHEPMKEIGERYPKWLEEHKDGLSKEEYGRYHQQYELILKLNEAFEHEPDNFNNIVDLMQKMQECGQPPDDIVQELAPELDLRNLGGQLSPDMEPTKCCIM >DRNTG_00370.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18564084:18568027:-1 gene:DRNTG_00370 transcript:DRNTG_00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSSSIVPSRIVEPSLHGRHSALSDRLKVPAFRLVSCKSVEKFKPLILSRCERGFRALGKEVSGDGGMEEGPVTSEAGITPDEGLSLFEHDLSHHNSHGGRAAEQTEFLIPVNTASGASGSKPGLFRTPISGGVHSATSAHDLPRPALAVRNLMEQARFAHLCTVMSRMHHRRAFYPFGSLVDFAPDAMGHPIFSFSPLAIHTRNVLADPRCTLVVQIPGWSGLSNARVTIFGDITPLPAELQEWAHKQFIAKHQQWASQQWGNFHYFRMETISDVYFIGGFGTVAWLDVKEYEALQPDKIAADGGEQSLKELNAIFSKPLKTILSTEGEGEVDDAALISIDSKGTDVRVRQGAQFNIQRLSFEVDHSVETLEEAKKALQDLIDKNHKSKTHKRS >DRNTG_07952.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1024960:1033249:1 gene:DRNTG_07952 transcript:DRNTG_07952.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKRHFFLNEEKLKEHPNLCSFMDHTSLNTRHDIVVEEVPKLGEKAAIKALEEWGRPRSEITHIIFCSTGGVDLPGADYRIIKLLGLSPSTKRVMLYSQGCFAGGTVLRIAKDLAENNENARVLIVCAELTVISFRGPDEAKENFDNLVGQAIFADGAAAVVVGAKPIPEVETPYFEIVSTDQYILPESEGYIGGHLREVGLTFYLHNQVPSTVGNNIEKTLIKAFSPLGISDWNSLFFITHPGGRAILDKIEEKLELKPEKMRATRHVLSEYGNMSSPSVLFIMDEMRKRSMADSLRTAGEGLDYGVLHGLGPGITVETVVLHALPLANFINQN >DRNTG_20444.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4534985:4535865:1 gene:DRNTG_20444 transcript:DRNTG_20444.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKKWMKEMRQKRKEEDRLQKAEVHAAISVAGVAAALAAIAAENAAPGQQNASKETAVATAAALVAAQCAQVAEAVGAKREQLESAISAAITATDKSNIITLTAAAATSLRGAATLRGRPGHRERIKGSSTPTLQFNEHYFDFGRCRASLAKGEELLVRTED >DRNTG_20444.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4533859:4536791:1 gene:DRNTG_20444 transcript:DRNTG_20444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLSDAWCSSAIQVFQPTMAFEENAIMEFRNADNKTVSTKNDKNVKVEDNDFKKIPQWNVDDVKSWLWLQQAIHPELDYDLNFRKKWFSTRNAPWKGMSIKKWMKEMRQKRKEEDRLQKAEVHAAISVAGVAAALAAIAAENAAPGQQNASKETAVATAAALVAAQCAQVAEAVGAKREQLESAISAAITATDKSNIITLTAAAATSLRGAATLRGRPGHRERIKGSSTPTLQFNEHYFDFGRCRASLAKGEELLVRTEDGKCRLRMVSVSLNKDAKVILTTRKVSLLIGLSSAQESIVYNLQTSQIEEPAKHPDPSCYSVSMTTTKGRIELEIDDYIQYKKWTMTINQMLILSTTFGTYEFQV >DRNTG_20444.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4533859:4535385:1 gene:DRNTG_20444 transcript:DRNTG_20444.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLSDAWCSSAIQVFQPTMAFEENAIMEFRNADNKTVSTKNDKNVKVEDNDFKKIPQWNVDDVKSWLWLQQAIHPELDYDLNFRKKWFSTRNAPWKGMSIKKWMKEMRQKRKEEDRLQKAEVHAAISVAGVAAALAAIAAENAAPGQQNASKETAVATAAALVAAQCAQVAEAVGAKREQLESAISAAITATDKSNIITLTAAAATCIFHFDLLITSK >DRNTG_00922.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21428118:21432168:-1 gene:DRNTG_00922 transcript:DRNTG_00922.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMFDERSNTFHLGDSFMQFKAEDVSLILGLQCDGTAIDFKRKKDHSVFEEEYFSKGVDRNRDCLVRSLMNMVVKRESKKEESFVKLLLVYILGFVLFPTTSCSSPAWLPHYVDNLSTIGQYAWAQATHKWMMDDIPLHDRSCEREMSGKQSRIGYVRGLYYDINHLVFMKSR >DRNTG_18098.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:355111:355613:-1 gene:DRNTG_18098 transcript:DRNTG_18098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMEKNTKMVLVLVALVVFTNLVEGRNIKKTEVKERKEEKYEPETFGGLGNFFGTPGGVGDAPGLGFGSQPGFGSFPGVGNMPGMPGAGVGGQDGAMIP >DRNTG_22295.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:899458:904089:1 gene:DRNTG_22295 transcript:DRNTG_22295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMMNAMPIAAVAAFEEYNNASEGIGPDDENWLLASATVINPAIVLQPDHQSCGGARGMSYGTCNLPANQRERPCNTYYHCPNQ >DRNTG_22295.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:903400:904089:1 gene:DRNTG_22295 transcript:DRNTG_22295.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKANCWYCIVLLVFLQVMMMMMMNAMPIAAVAAFEEYNNASEGIGPDDENWLLASATVINPAIVLQPDHQSCGGARGMSYGTCNLPANQRERPCNTYYHCPNQ >DRNTG_25923.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1829148:1829698:1 gene:DRNTG_25923 transcript:DRNTG_25923.1 gene_biotype:protein_coding transcript_biotype:protein_coding CTKGDSWSQIPSIIQRHGPPTGTSVAVLCHVSFPLSCPRGLQDQ >DRNTG_20271.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23677037:23677267:1 gene:DRNTG_20271 transcript:DRNTG_20271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGYFPVCIQCGTRSNPCRCKVVGPTVGFLAFAAAAVVEWPVGAFVYLFRHVKGRRIMAHPAAVVYPSVTNAIPI >DRNTG_20171.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4845161:4863452:-1 gene:DRNTG_20171 transcript:DRNTG_20171.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRADYSPKNDLLEDEFMLKGRWFHRKDLVIVNSRGHKLQCSHYMPVTIPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGLSDGEHVTLGWYEKDDLRAVVNHLRSDGNVSYIGLWGRSMGAVTSLMYAAEDPSVAGMVLDSPFSILVDLMMELVDTYKYPLPKFTVKLAIQHMRKAIKKKANFDIMDLDTIQVAKCCFVPVLLGHATDDDFIRPHHSDRIFESYAGDKNVIKFDGDHNSPRPQFYFDSITIFFHNVLNPPSDVFDEHDYHAMHEFFDKDSWATVHEVEYSSCNGTGPSSARTEDAIRQLRSKRPMSRTEVPPDISQGKQFGEPSHQDGTKTDDAYAEYSFDGLDGLSDVPSSIEDEERMLMEAIMQSIKEMEALHPQEEMQPKVEQSLLVQSSTPAEASTAGSSISPSTDSESSADLSKSVPISYSDDPAAKKNSSGHESQSNSNSSSVDHNSSAQDADTEAGTQARLVVEKGPSLNVLDGLAQRWRLSFFKNNR >DRNTG_23390.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001263.1:31464:34147:1 gene:DRNTG_23390 transcript:DRNTG_23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGMPKSALKSAFKGWNRDFTRPCERPCGFFTRACGISSRPCGFSIFRFSRPAMNSAGTVFLLHYPTTESMLSSRVLERFYGSDIVIPLEVGMAPHVKKNEVKCLRVTPPEPIQMEFSNPEHQARFERLSALGFGQTCFVDLKGLRNIQRCDELADEIDNMLAVGSWRRLLTIREPAYRALTLEVLVSFELRYGRIDTMDAIKF >DRNTG_21624.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:14290744:14291764:-1 gene:DRNTG_21624 transcript:DRNTG_21624.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTTPPCSENVTWTIIKKIKTVSQEQVDLLREAVHDDAMNNARPLQPINDRYIKLYKSFSSKLEAM >DRNTG_21624.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:14291655:14292211:-1 gene:DRNTG_21624 transcript:DRNTG_21624.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSPIDLTYQRVRLDPSLGMLNRNYKATNATLKNRGHDIMLEWVGDAGFLSIKGTVYFLKQVHWHSPSEHAINGIR >DRNTG_21624.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:14290744:14292454:-1 gene:DRNTG_21624 transcript:DRNTG_21624.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKITMISSSTLFHLLLLFSLFFSWFPNAKPQEIEDEKEFSYIPGSPNGPERWGELHPEWEKCSNGMMQSPIDLTYQRVRLDPSLGMLNRNYKATNATLKNRGHDIMLEWVGDAGFLSIKGTVYFLKQVHWHSPSEHAINGIRYALEAHMVHQSQDLKIAVIGIIYTIGYPDQFLKKLENNIRQLTNTGVLQVNAGVVDPKDVRIEGNQY >DRNTG_03801.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7543166:7544878:-1 gene:DRNTG_03801 transcript:DRNTG_03801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSGDAHLLQATECERIQATHKSHAIHTSEETHTSNKTGDKWTSKNHVLTSVNGLMYVMEFWQRDVTSGAVLACKGTTQ >DRNTG_05321.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2025506:2028998:-1 gene:DRNTG_05321 transcript:DRNTG_05321.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLSFPLLLLLLSAVAVFIAGDGAGAAILGLDLHHRFSPTVRHWFDSRGFGGASGWPENGTAEYYAALAAHDRALHGRSLSSSPPDLTFSEGNVTIRITSLSFLHYAMVTVGTPGATFLVALDTGSDLFWLPCDCYGCASSSSLNSMPDFQVSLYSPNASVTSHAVPCNSNFCEQQKTGCSGVTSSCPYKVVYVSNDTSSSGIFVEDVMFFRTEDTQSEIVEARIIFGCGQDQTGAFLEHAAPNGLLGLGMEKVSVPSILSSAGFTSNSFSMCFGHDDIGRISFGDKGSLDQDETPFNVDQLHPKYNINIIGMLVGNSAIDADFSALVDTGTSFTYFIEPIYSQLSKAFHEQVQDKQYTTDSKIPFEYCYIMRSIFSQGLEY >DRNTG_05321.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2025506:2028998:-1 gene:DRNTG_05321 transcript:DRNTG_05321.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLSFPLLLLLLSAVAVFIAGDGAGAAILGLDLHHRFSPTVRHWFDSRGFGGASGWPENGTAEYYAALAAHDRALHGRSLSSSPPDLTFSEGNVTIRITSLSFLHYAMVTVGTPGATFLVALDTGSDLFWLPCDCYGCASSSSLNSMPDFQVSLYSPNASVTSHAVPCNSNFCEQQKTGCSGVTSSCPYKVVYVSNDTSSSGIFVEDVMFFRTEDTQSEIVEARIIFGCGQDQTGAFLEHAAPNGLLGLGMEKVSVPSILSSAGFTSNSFSMCFGHDDIGRISFGDKGSLDQDETPFNVDQLHPKYNINIIGMLVGNSAIDADFSALVDTGTSFTYFIEPIYSQLSKAFHEQVQDKQYTTDSKIPFEYCYIMSSGTSNVPTVTLTTSSGSPFPVNYPIIAFPVQANELAYCFAVAKGDKLNIIGQNFLTGLQVVFDRERMILGWKKVSCYDVKNSGSLPTTPRNSSSSHPGAAGPNINRPEARKETGSNNQVTVATSPANYSTNLMNNLILLLFLLHLINI >DRNTG_05321.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2025506:2028998:-1 gene:DRNTG_05321 transcript:DRNTG_05321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLSFPLLLLLLSAVAVFIAGDGAGAAILGLDLHHRFSPTVRHWFDSRGFGGASGWPENGTAEYYAALAAHDRALHGRSLSSSPPDLTFSEGNVTIRITSLSFLHYAMVTVGTPGATFLVALDTGSDLFWLPCDCYGCASSSSLNSMPDFQVSLYSPNASVTSHAVPCNSNFCEQQKTGCSGVTSSCPYKVVYVSNDTSSSGIFVEDVMFFRTEDTQSEIVEARIIFGCGQDQTGAFLEHAAPNGLLGLGMEKVSVPSILSSAGFTSNSFSMCFGHDDIGRISFGDKGSLDQDETPFNVDQLHPKYNINIIGMLVGNSAIDADFSALVDTGTSFTYFIEPIYSQLSKAFHEQVQDKQYTTDSKIPFEYCYIMRSIFSQGLEY >DRNTG_05321.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2025506:2028998:-1 gene:DRNTG_05321 transcript:DRNTG_05321.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVGTPGATFLVALDTGSDLFWLPCDCYGCASSSSLNSMPDFQVSLYSPNASVTSHAVPCNSNFCEQQKTGCSGVTSSCPYKVVYVSNDTSSSGIFVEDVMFFRTEDTQSEIVEARIIFGCGQDQTGAFLEHAAPNGLLGLGMEKVSVPSILSSAGFTSNSFSMCFGHDDIGRISFGDKGSLDQDETPFNVDQLHPKYNINIIGMLVGNSAIDADFSALVDTGTSFTYFIEPIYSQLSKAFHEQVQDKQYTTDSKIPFEYCYIMSSGTSNVPTVTLTTSSGSPFPVNYPIIAFPVQV >DRNTG_23547.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22400875:22403400:1 gene:DRNTG_23547 transcript:DRNTG_23547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRGKSKADLLSQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKAALREAYKKKKLLPLDLRPKKTRAIRRRLTKHQESLKTEREKKREMYFPMRKYAIKA >DRNTG_10428.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:16008874:16013918:1 gene:DRNTG_10428 transcript:DRNTG_10428.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISVDEHSPSREDTWACGFPCQCPRPCGISTRVCETLSDSSQLDREATGACSSLCGFGTRAWNKSSTNLPIIEEASDPRREHVPFCVTPMKVWIREAIQARVLYSSSKDSLIRYRIRLDGSSPTNLLPKE >DRNTG_03136.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21453291:21463114:-1 gene:DRNTG_03136 transcript:DRNTG_03136.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGERDGDARTFRANFSGEGAAMLRERVKEKLKEFMGDYTDDTLVEYVIVLLKNGRRKDEAMKELNVFLGDDSVSFVSWLWDHLSSNLHVYVQPKESIPEKATKAKPTLDVLSGKRSQEENAAGNKLADSENEREKLNKESRSRRNREWKGLVQEISSVPIQKSFTGSTQHEGKNHQRKTIGRRSRSPRLHSNRKRVRQEEELSVKRESASVPVIDAPRRLLQFAVRDAVKPIQRPSSRAEPAIKRLRSVVSTSNTDSVLDERPQRKRSVGRVAGPVATALKAAAEAAEDVSKVRHSGSVFDRLDRSASTKRIINRTSDLEEHVPEVEYDDYDQIPGSNYSDFQGRREYFGEFNGDTAMLDRDNEVATDSASDDDGYDCLGVSRSRDFDFSQSVSSASRDNKSLMVQYSVAQDVDEVLRKTKPAIEDLPTSAASKGTSKIVNISVNVNTWKPPHYKECRDATKGENQMAVDRSEASAAKPSAQVLKENVTAKTENENAKTQKDTLKEPQKVAGSVPGSYTSGRTEDVDSRTVFVSNVHFAATKDTLSRHFNKFGEVLKVIILTDAATSQPTGSAYVEFLRKESAELALSLNGTSFMSRILKVVRRSSAHPEAAAMMIGWPRSARGSPYTSRLSRGIPYSRGVLPSVFRGRLPLKSGARSLQWRREASAQKGSQTASAAAGNTVLSPTGRNLTYVRPDTKTDASSAAV >DRNTG_19793.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15890629:15890994:1 gene:DRNTG_19793 transcript:DRNTG_19793.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRCQSRRTSPKPWPIPLETLAEALSQVGEKMEKRILKSGLKSALNGAAIGRLHGRV >DRNTG_26195.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29055214:29056519:-1 gene:DRNTG_26195 transcript:DRNTG_26195.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFTESSELVEIRDVWEENLEEEFMLIRQVVDSYPYAAMDTEFPGMVTRPVGNFPSTSDYNYASLKGNVDMLKLIQLGLTLFNESGHLPTIDGGRGIVWQFNFRDFDPSRDMCAQDSIELLIQCGIDFKKNLEKGVSSFRFAELLMSSGVVLNDSVRWVTFHSAYDFGYLLKLLSGRHLPSTQDGFFYTHSNFSFLWSMTSSI >DRNTG_26195.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29055820:29056530:-1 gene:DRNTG_26195 transcript:DRNTG_26195.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFTESSELVEIRDVWEENLEEEFMLIRQVVDSYPYAAMDTEFPGMVTRPVGNFPSTSDYNYASLKGNVDMLKLIQLGLTLFNESGHLPTIDGGRGIVWQFNFRDFDPSRDMCAQDSIELLIQCGIDFKKNLEKGVSSFRFAELLMSSGVVLNDSVRWVTFHSAYDFGYLLKLLSGRHLPSTQDG >DRNTG_26195.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29055214:29056530:-1 gene:DRNTG_26195 transcript:DRNTG_26195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFTESSELVEIRDVWEENLEEEFMLIRQVVDSYPYAAMDTEFPGMVTRPVGNFPSTSDYNYASLKGNVDMLKLIQLGLTLFNESGHLPTIDGGRGIVWQFNFRDFDPSRDMCAQDSIELLIQCGIDFKKNLEKGVSSFRFAELLMSSGVVLNDSVRWVTFHSAYDFGYLLKLLSGRHLPSTQDGFFYTHSNFSFLWSMTSSI >DRNTG_26195.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29055820:29056519:-1 gene:DRNTG_26195 transcript:DRNTG_26195.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFTESSELVEIRDVWEENLEEEFMLIRQVVDSYPYAAMDTEFPGMVTRPVGNFPSTSDYNYASLKGNVDMLKLIQLGLTLFNESGHLPTIDGGRGIVWQFNFRDFDPSRDMCAQDSIELLIQCGIDFKKNLEKGVSSFRFAELLMSSGVVLNDSVRWVTFHSAYDFGYLLKLLSGRHLPSTQDG >DRNTG_19000.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22656085:22658351:-1 gene:DRNTG_19000 transcript:DRNTG_19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g29760, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G29760) UniProtKB/Swiss-Prot;Acc:O82380] MECGDLRPNDVTMVSVLSACGKKEDLELGKWIHSYIEMNDIPKDLILINAILDMYVKCGSIEDAKQLFDKMTERDSVSWTTMLAGYAKAGELDAARRVFDSMPQHDIASWNALISGYEQNGQPKEALALFNESQLSDVRPDQVTLVATLSACSQLGALELGCWIHAYMEKNNFNLNFHLTTSLIDMYSKCGDLEKALHVFKSVEKKDVFVWSAMIAGLAMHGKGKAALDLFSQMQDAQIPPNHVTFTNILRACSHAGLVYQGRLFFSQMQPIYGIEPRVEHYGCMVDILGRAGLLEEAEEFIEKMPIQSGASTWGALLAACVLHKNVDLGEYACKRLLELEPGNDGAYVLLSNLYAKN >DRNTG_24155.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001288.1:13411:14844:1 gene:DRNTG_24155 transcript:DRNTG_24155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDRSSEKTRQPESGQLSFNPDVPGYEISPVQSPTQTLDFDFSTRFELPAHEPSSADELFSEGKILPLPIKSPVVTPTKTLHHHNQTKQHSVRESITDTNHELNSTQQLKLSGRSTSRGSFWLLGRSGSDGFKSKVSSIYSFRRSKSAGPHDRVNTKHNKNMNISSGGGNQNSNGNTSPFRHKGSTDSSSKRTYYYSGIKPRSQGTGVRIDPVLNVPYLSRASNSPGNISCRSSSNSSIFGYLICKCSN >DRNTG_31749.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8260740:8261314:1 gene:DRNTG_31749 transcript:DRNTG_31749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGLRDTIRGTEKVTILVCLVMETLRLMGMVWRVRTGVYTLVLPTPETAADEDDEAGASQPAPVPQQAPIETEAPPVADDTEVVETRAEIAEIKATQAAQYTEFMACFDALQQILEQDVTSSIFLRPSTPQAPSAFPASSPSPIPV >DRNTG_28961.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27537618:27538304:-1 gene:DRNTG_28961 transcript:DRNTG_28961.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIDNSSVKKLHKCSETEEHNDQLEATESAILDFIASQDGVSLSNITEHFKGSRRELIIEIVDHLEGEFLIFKKNNIYRSI >DRNTG_06988.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19338396:19340120:1 gene:DRNTG_06988 transcript:DRNTG_06988.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALASLHALPFGSWQALPSWRINMPFHHPSFSMLTRTCRRPPEPLRATAAGPPKPPSFRNDDSLSGFEAAFSKIQDRVRIFLAVLFWMSLFFWSSTWDGRDGIRKNKGPKFPK >DRNTG_30630.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1101363:1101721:-1 gene:DRNTG_30630 transcript:DRNTG_30630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSISKQTSKKLQQRQATLNGSCLMMQESITTHQKPPDFLEQTERTLQRKGSSS >DRNTG_30630.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1101107:1101721:-1 gene:DRNTG_30630 transcript:DRNTG_30630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRHYAILNFTCIEMKDSEQPATAKS >DRNTG_08435.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21296973:21298545:1 gene:DRNTG_08435 transcript:DRNTG_08435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDGLEHFCLEDNHIVNIFSFSKAHGMMGWRVGYVSKLTLPLLRFLCYIMKLSLFLTSIL >DRNTG_21565.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8911207:8911907:1 gene:DRNTG_21565 transcript:DRNTG_21565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQPACGLQTINNKEEPFPWTTTPRRPHHPPPPPPLPPRHLLPVYDIHWSQTKVRRSTLSERMSQDSPAVDDGQAIKRAFRLGFKLMRMLCNRREHSNVQTLVSFYEGRV >DRNTG_10776.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000460.1:67584:70040:-1 gene:DRNTG_10776 transcript:DRNTG_10776.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHGAKLTSVHALSMQYYTIQCPLAEMFVKNTVNQALQNDPTLAAGLLRMHFHDCFVEGCDGSVLIDSTKNNTAEKDSPANLSLRGYEVIDKAKELIENQCPGVVSCADIVAMAARDAVFWAGGPVYDIPMGRKDGRRSRIEDTINLPAPILNATSLIKMFSKHGFTVQDLVALSGAHTLGVARCSSFKNRLSNFDAKHNIDPSMNSRMATALIKQCQNGDDVTVALDQTKNSFDNAYFDAVESSTGLLTSDQTLYMNPQTKGWIDAYAMNQARFFLDFQSAMVKMGLIDVKEGNDGEIRLNCRVVN >DRNTG_03599.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:242028:251032:1 gene:DRNTG_03599 transcript:DRNTG_03599.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEVVARDAASIDPELLQLPEVSPLALKENPHIAEQLYSQWLSMPETLRLVRSLINDAKAGVPLNTPGSSSSGNATATSALPSMFPAGSAPPLSPRSSSGSPRVMKRGGVGPSSLGSPLKLVSEPMREVIPQFYFQNGRPPPRELKEMCLSKIDELFSGHLNGLQVQEFRTVTKDICKLPSFFSSSLFRKIDVNCIGYVTRDEFINYWVNSNMMTMDIATQIFMILKQPDRKYLTQEDFKPILRELLAFHPGLEFLQGTPEFQDRYAETVIYRIFYYVNRSGNGHLTLRELKRGNLIAAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDADHDFLIDKENLMRYGNHALTYRIVDRIFSQVPRKFTSKVEGKMGYEDFVYFMLSEEDKSSEPSLEYWFKCIDLDGNGILTSNEMQFFYEEQLHRMECMAQEPVLFEDILCQMVDMIGPENESYFTLRDLKGCKLSGNVFNILFNLNKFMAFETRDPFLIRQEREDPTLTEWDRFAHREYIRLSMEEDGEDASNGSGDVWDESLEAPF >DRNTG_23956.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:14557803:14561084:-1 gene:DRNTG_23956 transcript:DRNTG_23956.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVEAIISGVLSCFCQTCRPFCQQLNYIRKVKENEQTMGRELDSLRSQKRDLSAKLNTGEVQHGKRPKEEVTNWLKNLEEIENDVNSLSSFDAHHMCFKRSCPNYYSRWKRSKKTVKILHRVKTLQEIGKSFHQSESIFIDSLPETSSSLPTTALHGSSAERKKGEILQCIMNPEVRKIGIFGMGGVGKTTIMRHIYNQLKEKKDDFAIVMWVDVSSSFNLAKIQEKIAEKLGCDLSSSTDETSRALVLHEAFKRRRNFVIFLDDVWESVSLQDVGIPEADGCNGSKIAWTTRFVNVCHSMESQREIKVECLAGEESWALFKEKVGGEDVIMSPEIEPIARKVACECGGLPLALITVGRALRKEYQLEVWRNALQELKTSSSDQIDGMGKDVFVSLKFSYKRLRNDKIRACFLYCVLYPEGVYIFVHHLIEYWMAEGLIDEEGSVETEKDRGHAYLKELKDACMIESIEDYNGYVRMHDLIRDLAINITREQPLFMVKAGLWLKESPKEEEWDENLERVSLTMNNIETFQGQPNCPHLSTLLLQHNSCSVSFSETFFKHMHNLRVLNLFDTGIESLPGSLSDLINLHALILAKCPCLQYIPSLAKLQKLRKLTLSGLSSLKELPYGLENLVKLRHLDISRGGWGSFPS >DRNTG_23956.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:14557753:14559294:-1 gene:DRNTG_23956 transcript:DRNTG_23956.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEVRKIGIFGMGGVGKTTIMRHIYNQLKEKKDDFAIVMWVDVSSSFNLAKIQEKIAEKLGCDLSSSTDETSRALVLHEAFKRRRNFVIFLDDVWESVSLQDVGIPEADGCNGSKIAWTTRFVNVCHSMESQREIKVECLAGEESWALFKEKVGGEDVIMSPEIEPIARKVACECGGLPLALITVGRALRKEYQLEVWRNALQELKTSSSDQIDGMGKDVFVSLKFSYKRLRNDKIRACFLYCVLYPEGVYIFVHHLIEYWMAEGLIDEEGSVETEKDRGHAYLKELKDACMIESIEDYNGYVRMHDLIRDLAINITREQPLFMVKAGLWLKESPKEEEWDENLERVSLTMNNIETFQGQPNCPHLSTLLLQHNSCSVSFSETFFKHMHNLRVLNLFDTGIESLPGSLSDLINLHALILAKCPCLQYIPSLAKLQKLRKLTLSGLSSLKELPYGLENLVKLRHLDISRGGWGSFPSGALLKKSCLENLFMDSS >DRNTG_23956.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:14557803:14559294:-1 gene:DRNTG_23956 transcript:DRNTG_23956.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEVRKIGIFGMGGVGKTTIMRHIYNQLKEKKDDFAIVMWVDVSSSFNLAKIQEKIAEKLGCDLSSSTDETSRALVLHEAFKRRRNFVIFLDDVWESVSLQDVGIPEADGCNGSKIAWTTRFVNVCHSMESQREIKVECLAGEESWALFKEKVGGEDVIMSPEIEPIARKVACECGGLPLALITVGRALRKEYQLEVWRNALQELKTSSSDQIDGMGKDVFVSLKFSYKRLRNDKIRACFLYCVLYPEGVYIFVHHLIEYWMAEGLIDEEGSVETEKDRGHAYLKELKDACMIESIEDYNGYVRMHDLIRDLAINITREQPLFMVKAGLWLKESPKEEEWDENLERVSLTMNNIETFQGQPNCPHLSTLLLQHNSCSVSFSETFFKHMHNLRVLNLFDTGIESLPGSLSDLINLHALILAKCPCLQYIPSLAKLQKLRKLTLSGLSSLKELPYGLENLVKLRHLDISRGGWGSFPS >DRNTG_23956.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:14557753:14559786:-1 gene:DRNTG_23956 transcript:DRNTG_23956.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVEAIISGVLSCFCQTCRPFCQQLNYIRKVKENEQTMGRELDSLRSQKRDLSAKLNTGEVQHGKRPKEEVTNWLKNLEEIENDVNSLSSFDAHHMCFKRSCPNYYSRWKRSKKTVKILHRVKTLQEIGKSFHQSESIFIDSLPETSSSLPTTALHGSSAERKKGEILQCIMNPEVRKIGIFGMGGVGKTTIMRHIYNQLKEKKDDFAIVMWVDVSSSFNLAKIQEKIAEKLGCDLSSSTDETSRALVLHEAFKRRRNFVIFLDDVWESVSLQDVGIPEADGCNGSKIAWTTRFVNVCHSMESQREIKVECLAGEESWALFKEKVGGEDVIMSPEIEPIARKVACECGGLPLALITVGRALRKEYQLEVWRNALQELKTSSSDQIDGMGKDVFVSLKFSYKRLRNDKIRACFLYCVLYPEGVYIFVHHLIEYWMAEGLIDEEGSVETEKDRGHAYLKELKDACMIESIEDYNGYVRMHDLIRDLAINITREQPLFMVKAGLWLKESPKEEEWDENLERVSLTMNNIETFQGQPNCPHLSTLLLQHNSCSVSFSETFFKHMHNLRVLNLFDTGIESLPGSLSDLINLHALILAKCPCLQYIPSLAKLQKLRKLTLSGLSSLKELPYGLENLVKLRHLDISRGGWGSFPSGALLKKSCLENLFMDSS >DRNTG_23956.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:14557803:14561084:-1 gene:DRNTG_23956 transcript:DRNTG_23956.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVEAIISGVLSCFCQTCRPFCQQLNYIRKVKENEQTMGRELDSLRSQKRDLSAKLNTGEVQHGKRPKEEVTNWLKNLEEIENDVNSLSSFDAHHMCFKRSCPNYYSRWKRSKKTVKILHRVKTLQEIGKSFHQSESIFIDSLPETSSSLPTTALHGSSAERKKGEILQCIMNPEVRKIGIFGMGGVGKTTIMRHIYNQLKEKKDDFAIVMWVDVSSSFNLAKIQEKIAEKLGCDLSSSTDETSRALVLHEAFKRRRNFVIFLDDVWESVSLQDVGIPEADGCNGSKIAWTTRFVNVCHSMESQREIKVECLAGEESWALFKEKVGGEDVIMSPEIEPIARKVACECGGLPLALITVGRALRKEYQLEVWRNALQELKTSSSDQIDGMGKDVFVSLKFSYKRLRNDKIRACFLYCVLYPEGVYIFVHHLIEYWMAEGLIDEEGSVETEKDRGHAYLKELKDACMIESIEDYNGYVRMHDLIRDLAINITREQPLFMVKAGLWLKESPKEEEWDENLERVSLTMNNIETFQGQPNCPHLSTLLLQHNSCSVSFSETFFKHMHNLRVLNLFDTGIESLPGSLSDLINLHALILAKCPCLQYIPSLAKLQKLRKLTLSGLSSLKELPYGLENLVKLRHLDISRGGWGSFPS >DRNTG_23956.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:14557803:14561084:-1 gene:DRNTG_23956 transcript:DRNTG_23956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVEAIISGVLSCFCQTCRPFCQQLNYIRKVKENEQTMGRELDSLRSQKRDLSAKLNTGEVQHGKRPKEEVTNWLKNLEEIENDVNSLSSFDAHHMCFKRSCPNYYSRWKRSKKTVKILHRVKTLQEIGKSFHQSESIFIDSLPETSSSLPTTALHGSSAERKKGEILQCIMNPEVRKIGIFGMGGVGKTTIMRHIYNQLKEKKDDFAIVMWVDVSSSFNLAKIQEKIAEKLGCDLSSSTDETSRALVLHEAFKRRRNFVIFLDDVWESVSLQDVGIPEADGCNGSKIAWTTRFVNVCHSMESQREIKVECLAGEESWALFKEKVGGEDVIMSPEIEPIARKVACECGGLPLALITVGRALRKEYQLEVWRNALQELKTSSSDQIDGMGKDVFVSLKFSYKRLRNDKIRACFLYCVLYPEGVYIFVHHLIEYWMAEGLIDEEGSVETEKDRGHAYLKELKDACMIESIEDYNGYVRMHDLIRDLAINITREQPLFMVKAGLWLKESPKEEEWDENLERVSLTMNNIETFQGQPNCPHLSTLLLQHNSCSVSFSETFFKHMHNLRVLNLFDTGIESLPGSLSDLINLHALILAKCPCLQYIPSLAKLQKLRKLTLSGLSSLKELPYGLENLVKLRHLDISRGGWGSFPS >DRNTG_23956.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:14557803:14560678:-1 gene:DRNTG_23956 transcript:DRNTG_23956.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVEAIISGVLSCFCQTCRPFCQQLNYIRKVKENEQTMGRELDSLRSQKRDLSAKLNTGEVQHGKRPKEEVTNWLKNLEEIENDVNSLSSFDAHHMCFKRSCPNYYSRWKRSKKTVKILHRVKTLQEIGKSFHQSESIFIDSLPETSSSLPTTALHGSSAERKKGEILQCIMNPEVRKIGIFGMGGVGKTTIMRHIYNQLKEKKDDFAIVMWVDVSSSFNLAKIQEKIAEKLGCDLSSSTDETSRALVLHEAFKRRRNFVIFLDDVWESVSLQDVGIPEADGCNGSKIAWTTRFVNVCHSMESQREIKVECLAGEESWALFKEKVGGEDVIMSPEIEPIARKVACECGGLPLALITVGRALRKEYQLEVWRNALQELKTSSSDQIDGMGKDVFVSLKFSYKRLRNDKIRACFLYCVLYPEGVYIFVHHLIEYWMAEGLIDEEGSVETEKDRGHAYLKELKDACMIESIEDYNGYVRMHDLIRDLAINITREQPLFMVKAGLWLKESPKEEEWDENLERVSLTMNNIETFQGQPNCPHLSTLLLQHNSCSVSFSETFFKHMHNLRVLNLFDTGIESLPGSLSDLINLHALILAKCPCLQYIPSLAKLQKLRKLTLSGLSSLKELPYGLENLVKLRHLDISRGGWGSFPS >DRNTG_07531.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14328523:14329848:-1 gene:DRNTG_07531 transcript:DRNTG_07531.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTPRQNPNNSPSKEINVDPGGNAPKLGENLLQTLAAVSPRDRKKTFKITLRGGIYNAKIGISHALMDFPHGCVDSQVF >DRNTG_07453.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20012441:20013132:-1 gene:DRNTG_07453 transcript:DRNTG_07453.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDMMTRVYGIRPIEEHYGCMVDLLSRAGLLQEAVDFVANMPVEPHPGLWGALAGACRIHDNVELGVEVGKRLIELEPHHGGRYVLLSNLYAAARRWDDMEIVRSLLKERRVVKVPGNSAVEMKPLLLSDHMTVNMLKLIEGDESVASNMEHGT >DRNTG_07453.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20012497:20014354:-1 gene:DRNTG_07453 transcript:DRNTG_07453.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGSLAGKLGFLLHQSLSHSFAAATLTISDLKPIHAAIITSGLSTDHFTISRLIAYCAVSNSGDLFSYGQCLFSATPNPSLFTFNSMIRGFSLSSDPIQSIHLYTRMLRTGISPDNFTFPFLIRSCSSSPSSFLGRGIHGHVLKLRFDSDIFVVNNLLSLYSGFKDMGAAQKVFDESPCTLDVVSWTTMITGHSNCGQMDRARWFFDRMPSRNLISWNAMIAGYARSGSVIHARCLFDEMPERDVMSWSSLVSGFSQRGLCNEALAVFDKMILNGFTPNEATLVSAASACAQLRDLDRGRRLHCCALDRELRKMSVILGTALVDMYGKCGSIEDAYKVFREMSARNVYSWNSMITGLALNGSGKQALTLFWKMKLAGLQPNAITFIGVLSACSHAGLVDEGEMLFDMMTRVYGIRPIEEHYGCMVDLLSRAGLLQEAVDFVANMPVEPHPGLWGALAGACRIHDNVELGVEVGKRLIELEPHHGGRYVLLSNLYAAARRWDDMEIVRSLLKERRVVKVPGNSAVEMKPLLLSDHMTVNMLKLIEGDESVASNMEHGT >DRNTG_07453.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20012542:20014354:-1 gene:DRNTG_07453 transcript:DRNTG_07453.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGSLAGKLGFLLHQSLSHSFAAATLTISDLKPIHAAIITSGLSTDHFTISRLIAYCAVSNSGDLFSYGQCLFSATPNPSLFTFNSMIRGFSLSSDPIQSIHLYTRMLRTGISPDNFTFPFLIRSCSSSPSSFLGRGIHGHVLKLRFDSDIFVVNNLLSLYSGFKDMGAAQKVFDESPCTLDVVSWTTMITGHSNCGQMDRARWFFDRMPSRNLISWNAMIAGYARSGSVIHARCLFDEMPERDVMSWSSLVSGFSQRGLCNEALAVFDKMILNGFTPNEATLVSAASACAQLRDLDRGRRLHCCALDRELRKMSVILGTALVDMYGKCGSIEDAYKVFREMSARNVYSWNSMITGLALNGSGKQALTLFWKMKLAGLQPNAITFIGVLSACSHAGLVDEGEMLFDMMTRVYGIRPIEEHYGCMVDLLSRAGLLQEAVDFVANMPVEPHPGLWGALAGACRIHDNVELGVEVGKRLIELEPHHGGRYVLLSNLYAAARRWDDMEIVRSLLKERRVVKVPGNSAVEMKPLLLSDHMTVNMLKLIEGDESVASNMEHGT >DRNTG_07453.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20012542:20014074:-1 gene:DRNTG_07453 transcript:DRNTG_07453.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGFSLSSDPIQSIHLYTRMLRTGISPDNFTFPFLIRSCSSSPSSFLGRGIHGHVLKLRFDSDIFVVNNLLSLYSGFKDMGAAQKVFDESPCTLDVVSWTTMITGHSNCGQMDRARWFFDRMPSRNLISWNAMIAGYARSGSVIHARCLFDEMPERDVMSWSSLVSGFSQRGLCNEALAVFDKMILNGFTPNEATLVSAASACAQLRDLDRGRRLHCCALDRELRKMSVILGTALVDMYGKCGSIEDAYKVFREMSARNVYSWNSMITGLALNGSGKQALTLFWKMKLAGLQPNAITFIGVLSACSHAGLVDEGEMLFDMMTRVYGIRPIEEHYGCMVDLLSRAGLLQEAVDFVANMPVEPHPGLWGALAGACRIHDNVELGVEVGKRLIELEPHHGGRYVLLSNLYAAARRWDDMEIVRSLLKERRVVKVPGNSAVEMKPLLLSDHMTVNMLKLIEGDESVASNMEHGT >DRNTG_07453.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20012542:20013132:-1 gene:DRNTG_07453 transcript:DRNTG_07453.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDMMTRVYGIRPIEEHYGCMVDLLSRAGLLQEAVDFVANMPVEPHPGLWGALAGACRIHDNVELGVEVGKRLIELEPHHGGRYVLLSNLYAAARRWDDMEIVRSLLKERRVVKVPGNSAVEMKPLLLSDHMTVNMLKLIEGDESVASNMEHGT >DRNTG_07453.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20012497:20014074:-1 gene:DRNTG_07453 transcript:DRNTG_07453.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGFSLSSDPIQSIHLYTRMLRTGISPDNFTFPFLIRSCSSSPSSFLGRGIHGHVLKLRFDSDIFVVNNLLSLYSGFKDMGAAQKVFDESPCTLDVVSWTTMITGHSNCGQMDRARWFFDRMPSRNLISWNAMIAGYARSGSVIHARCLFDEMPERDVMSWSSLVSGFSQRGLCNEALAVFDKMILNGFTPNEATLVSAASACAQLRDLDRGRRLHCCALDRELRKMSVILGTALVDMYGKCGSIEDAYKVFREMSARNVYSWNSMITGLALNGSGKQALTLFWKMKLAGLQPNAITFIGVLSACSHAGLVDEGEMLFDMMTRVYGIRPIEEHYGCMVDLLSRAGLLQEAVDFVANMPVEPHPGLWGALAGACRIHDNVELGVEVGKRLIELEPHHGGRYVLLSNLYAAARRWDDMEIVRSLLKERRVVKVPGNSAVEMKPLLLSDHMTVNMLKLIEGDESVASNMEHGT >DRNTG_07453.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20012441:20014354:-1 gene:DRNTG_07453 transcript:DRNTG_07453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGSLAGKLGFLLHQSLSHSFAAATLTISDLKPIHAAIITSGLSTDHFTISRLIAYCAVSNSGDLFSYGQCLFSATPNPSLFTFNSMIRGFSLSSDPIQSIHLYTRMLRTGISPDNFTFPFLIRSCSSSPSSFLGRGIHGHVLKLRFDSDIFVVNNLLSLYSGFKDMGAAQKVFDESPCTLDVVSWTTMITGHSNCGQMDRARWFFDRMPSRNLISWNAMIAGYARSGSVIHARCLFDEMPERDVMSWSSLVSGFSQRGLCNEALAVFDKMILNGFTPNEATLVSAASACAQLRDLDRGRRLHCCALDRELRKMSVILGTALVDMYGKCGSIEDAYKVFREMSARNVYSWNSMITGLALNGSGKQALTLFWKMKLAGLQPNAITFIGVLSACSHAGLVDEGEMLFDMMTRVYGIRPIEEHYGCMVDLLSRAGLLQEAVDFVANMPVEPHPGLWGALAGACRIHDNVELGVEVGKRLIELEPHHGGRYVLLSNLYAAARRWDDMEIVRSLLKERRVVKVPGNSAVEMKPLLLSDHMTVNMLKLIEGDESVASNMEHGT >DRNTG_07453.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20012497:20013132:-1 gene:DRNTG_07453 transcript:DRNTG_07453.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDMMTRVYGIRPIEEHYGCMVDLLSRAGLLQEAVDFVANMPVEPHPGLWGALAGACRIHDNVELGVEVGKRLIELEPHHGGRYVLLSNLYAAARRWDDMEIVRSLLKERRVVKVPGNSAVEMKPLLLSDHMTVNMLKLIEGDESVASNMEHGT >DRNTG_07453.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20012441:20014074:-1 gene:DRNTG_07453 transcript:DRNTG_07453.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGFSLSSDPIQSIHLYTRMLRTGISPDNFTFPFLIRSCSSSPSSFLGRGIHGHVLKLRFDSDIFVVNNLLSLYSGFKDMGAAQKVFDESPCTLDVVSWTTMITGHSNCGQMDRARWFFDRMPSRNLISWNAMIAGYARSGSVIHARCLFDEMPERDVMSWSSLVSGFSQRGLCNEALAVFDKMILNGFTPNEATLVSAASACAQLRDLDRGRRLHCCALDRELRKMSVILGTALVDMYGKCGSIEDAYKVFREMSARNVYSWNSMITGLALNGSGKQALTLFWKMKLAGLQPNAITFIGVLSACSHAGLVDEGEMLFDMMTRVYGIRPIEEHYGCMVDLLSRAGLLQEAVDFVANMPVEPHPGLWGALAGACRIHDNVELGVEVGKRLIELEPHHGGRYVLLSNLYAAARRWDDMEIVRSLLKERRVVKVPGNSAVEMKPLLLSDHMTVNMLKLIEGDESVASNMEHGT >DRNTG_05403.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:626027:628883:1 gene:DRNTG_05403 transcript:DRNTG_05403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSISCAYTFLPELLYKPLLSNFQLVSSFACMKTMGTLQEKGNKEEAKSLPFHRLLSYADTLDWLFMLLGTLGSVVHGMAQPVGYLLLGKALDAYGNNAGNEKEMVPALLNIIEN >DRNTG_17265.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5380596:5381966:-1 gene:DRNTG_17265 transcript:DRNTG_17265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNMRFRLKKGVYAFTLLFAILALILSILTLSRFYPHSSLFTTTISEDQTQDATKIIDNIMDKIITELKNMDKDEEKHTAFLTEILFQLGSLRISVLNIKSQKESLSVSGSGSAHPLSRSGESYNEAMEFFLIEEIRKYVKLKPNRLGKQNFMGANSTFTSIGHACFSMKEELEEYMDYDVGDFCKDDWKVAQKLMVHGCDPLPRRRCFARAPKLYTKPSPINESIWKLPEDHNVRWSHYRCKNFACLARNATGKGFFKCSDCFNLTHHEKPRWMQVIYFDPSSNSTPDFLIEDVLKIKPGEIRIGLDFSAGTGTFAARMREFNVSIVTATINLGAPFSEMIALRGLLPLYLTINQRLPFFDNTLDIIHSTRFLDGWIDFILLDFVLYDWDRVLRPGGLLWIDSFFCAKDDLEDYLEAFRMLRYKKHKWVVVPKVDKDESEVFFSAVLEKPPRPF >DRNTG_02584.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2599178:2609558:-1 gene:DRNTG_02584 transcript:DRNTG_02584.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLLQPLVDSHLSGQQRSCEVEIDLTAKILNEACFIFHRVNSARVLVEELQDEMGLLAKCGEFLAVAGEDEDGVVSREMDKIKCKAGELVDKIENLEKEVDCSRVDLRRAALTLLQTVTDQVGNSQQVSIEIPA >DRNTG_02584.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2608078:2609558:-1 gene:DRNTG_02584 transcript:DRNTG_02584.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLLQPLVDSHLSGQQRSCEVEIDLTAKILNEACFIFHRVNSARVLVEELQDEMGLLAKCGEFLAVAGEDEDGVVSREMDKIKCKAGELVDKIENLEKEVDCSRVDLRRAALTLLQTVTDQVGNSQQVSIEIPA >DRNTG_26521.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2371172:2371416:-1 gene:DRNTG_26521 transcript:DRNTG_26521.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSTQGSQPQRTGTDKLQLQKKPQNNPLNLSLIFSCERKIHRVHEPQGPSRIAENNRIINNS >DRNTG_22459.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7344379:7344810:-1 gene:DRNTG_22459 transcript:DRNTG_22459.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLSISPFVSIFPQPSPLSPLLALICPSRTPLGGLLFRKPSSCPDSPPLPQSLLSIQAPVWLPALLSVVRRLPDFYLEHSLLCVQEAQTRSENKRGSKLLNRFSSLIF >DRNTG_00441.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:8245162:8251157:1 gene:DRNTG_00441 transcript:DRNTG_00441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLMRSRNSFQWVVGGSYYRLESQPSGSLYVVQFRAFGHHYSLITDRLSLSSDPTENLQSIMWSGPVYPGAVQGHVPFPTCVPISTWHHEQVGPVCQIGSDLLGSIHYEISTGYGSLGRASRGREDEYTCAPKPGDDEIHGHGL >DRNTG_12713.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:12810658:12815961:1 gene:DRNTG_12713 transcript:DRNTG_12713.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCYAVESYVSLVNPSISVDCGILRDSYEAFAMYCFGRYLVACMGGEERTIEFMKRQGGSSYHASLLPNASEKGVIKHPFPMNYILKPWKLGEWFYQVIKFGIVQYMIIKTLTAILAVFLEAFGLYCEGEFKWSCGYPYMAVVLNFSQSWALYCLIQFYAATKDELEHIKPLAKFLVFKSIVFLTWWQGVAIALLYSLGLFKSPIAQGLQFKTSIQDFIICIEMGIASIVHLYVFPAKPYELMGDRIPGSVSVLGDYASVDSPLDPDEVRDSERPTKLRLPQPVTKAKSGMTIKESVRDVVIGAGEYIVNDLKFTVNQAVEPVEKGFTKFNEKLHKISQNIKKHEKKRTKDDSCIGSTSPARRVIRGIDDPLLNGSVSDSGVAKGKRHRRRSGYTSAGSGGESSDQGRSCGFEIHGRRWLTKD >DRNTG_12713.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:12810658:12815961:1 gene:DRNTG_12713 transcript:DRNTG_12713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVELVYEMFGAYPTPSWALVAAGIFVLTSLSLSMFLIFEHLSSYKNPEEQKFLIGVILMVPCYAVESYVSLVNPSISVDCGILRDSYEAFAMYCFGRYLVACMGGEERTIEFMKRQGGSSYHASLLPNASEKGVIKHPFPMNYILKPWKLGEWFYQVIKFGIVQYMIIKTLTAILAVFLEAFGLYCEGEFKWSCGYPYMAVVLNFSQSWALYCLIQFYAATKDELEHIKPLAKFLVFKSIVFLTWWQGVAIALLYSLGLFKSPIAQGLQFKTSIQDFIICIEMGIASIVHLYVFPAKPYELMGDRIPGSVSVLGDYASVDSPLDPDEVRDSERPTKLRLPQPVTKAKSGMTIKESVRDVVIGAGEYIVNDLKFTVNQAVEPVEKGFTKFNEKLHKISQNIKKHEKKRTKDDSCIGSTSPARRVIRGIDDPLLNGSVSDSGVAKGKRHRRRSGYTSAGSGGESSDQGRSCGFEIHGRRWLTKD >DRNTG_12713.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:12810658:12815961:1 gene:DRNTG_12713 transcript:DRNTG_12713.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVELVYEMFGAYPTPSWALVAAGIFVLTSLSLSMFLIFEHLSSYKNPEEQKFLIGVILMVPCYAVESYVSLVNPSISVDCGILRDSYEAFAMYCFGRYLVACMGGEERTIEFMKRQGGSSYHASLLPNASEKGVIKHPFPMNYILKPWKLGEWFYQVIKFGIVQYVWQTLSMRNFFFLLTHRTSSCNFPLFFGTDDNKDSHCYFSCFS >DRNTG_12713.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:12810658:12815961:1 gene:DRNTG_12713 transcript:DRNTG_12713.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCYAVESYVSLVNPSISVDCGILRDSYEAFAMYCFGRYLVACMGGEERTIEFMKRQGGSSYHASLLPNASEKGVIKHPFPMNYILKPWKLGEWFYQVIKFGIVQYMIIKTLTAILAVFLEAFGLYCEGEFKWSCGYPYMAVVLNFSQSWALYCLIQFYAATKDELEHIKPLAKFLVFKSIVFLTWWQGVAIALLYSLGLFKSPIAQGLQFKTSIQDFIICIEHRWALLPLFTCTYSLQSHMN >DRNTG_12713.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:12810658:12815961:1 gene:DRNTG_12713 transcript:DRNTG_12713.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCYAVESYVSLVNPSISVDCGILRDSYEAFAMYCFGRYLVACMGGEERTIEFMKRQGGSSYHASLLPNASEKGVIKHPFPMNYILKPWKLGEWFYQVIKFGIVQYVWQTLSMRNFFFLLTHRTSSCNFPLFFGTDDNKDSHCYFSCFS >DRNTG_35149.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1260941:1261583:-1 gene:DRNTG_35149 transcript:DRNTG_35149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMQQFFGSEFTDADISYNHSSVITPAYSEWPVDSIKFNLGISPNSPLSSQFDCETLSARSNSQEQYSPSYYSGNSFRQAEISFQDGIQGHHSSAYISSGQSIRHALQELETVLMAPDSDEATTSTNTELDETKIAQVPKSRSRTSSQVSCVQVSNPHHQYPLTRYQGSYVNRPEKRLK >DRNTG_01748.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4320306:4321647:-1 gene:DRNTG_01748 transcript:DRNTG_01748.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTVEDIVDDVAVAAVEKVVDSLLDEIVDPVEPAAEIAASKMDTIPEDQEQVKGVSPNDAVVMATVEKIVESVAVAVAVADSTAAKPDTIPQQEQPCKDVSAVDAVAIVPASKEDADEDPDRATREMIKANQKLDQTARKAFIPKKKKWVGLSRLNKYEQELMRIFLNCSMDGTVVWKNDAVSTTRDRLYTLLEGKEMVTDDVMDAFVCIIQKSLSKEPYPYKRRASITRPLALFMSKQDDAHDTIMAMIGDAVRNLHEVQIVILPIIMNGHFHVVVLDNDKQEYRHYSSCPGYDKDALDMVSSLIMSD >DRNTG_14344.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:365363:369566:1 gene:DRNTG_14344 transcript:DRNTG_14344.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAFSASSDQSPAPPDSSLIAQENKDGPATSKEAPAPSEDKSLLADEPSEKTLELAAELFDQGSKAIEDGDFVEAVDCLSRALEIRVAHYGELAPECANAYYKYGYALLFKAQEEADPLGDVPKNTAKNAESTESIISAEESAYLKVSTTDNKKDCASTNNVESGEGSGERDEENNEQSDGEECVDADEDESDLDLSWKMLDVARAIVEKRPEDTIEKVNILAALGEVSMEREDIETSLNDYLKALSILRRLVEVDNRRVIELYLNCQHIQP >DRNTG_14344.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:365363:369566:1 gene:DRNTG_14344 transcript:DRNTG_14344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAFSASSDQSPAPPDSSLIAQENKDGPATSKEAPAPSEDKSLLADEPSEKTLELAAELFDQGSKAIEDGDFVEAVDCLSRALEIRVAHYGELAPECANAYYKYGYALLFKAQEEADPLGDVPKNTAKNAESTESIISAEESAYLKVSTTDNKKDCASTNNVESGEGSGERDEENNEQSDGEECVDADEDESDLDLSWKMLDVARAIVEKRPEDTIEKVNILAALGEVSMEREDIETSLNDYLKALSILRRLVEVDNRRVIELNFRICLVLEVGSKVQEAIPYCREAIKLCHSRLGRLKEEGMNTDDSLVNVFKAHPESSKSGDQSQGVCHHAEEIKVLSGILSELEKKLEDLQQAVVNPKSIFSEVMKMVASKSTSSSVDFPDRESESLSLNSSQKGVVSGDFDSPTISSAGTNGSVTHLGVVGRGVKRAVVHPIVGESSHKKPSLDLPAEKTDSGNISELVDSSGCSNHESSIN >DRNTG_32172.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30889692:30892847:1 gene:DRNTG_32172 transcript:DRNTG_32172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEWLEKALQNICGKLSGLDLDADLISGLVSFCELAPPQDAADYLANIVGREAGQDIIQEYLLRRGYVDSSNANTNTQTSGLQAYMKPAANEDSRNATKKQTRAPKGTGISNVQDKVNVSNVPDAKTLTEHQEAKSISKGHSSTNSSKKKKSGKVISLAEAAKGSIVFQQGKPCSCQARRHKLVSNCLSCGKIVCEQEGEGPCSFCGVLVLREGSTYAGLDELVVPHSDAEAAAEAYAKRLVEYDRNSAARTTVIDDQSDYYEIEGNSWLSAEEKDLLMKKQKEIEEANEAQRKKVIVTFDLLGRKVLVNRDEAPELESEHRILRPVEERELNRMKPNPTVKIQPVLVNTGPSRKPVKGKQNKRAANGICLEISGRVQHDDNELRRRITDGSVDSSSSNEGIWQGPLTTGLSYEGDNHDCSLDYD >DRNTG_11976.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20243289:20253298:-1 gene:DRNTG_11976 transcript:DRNTG_11976.3 gene_biotype:protein_coding transcript_biotype:protein_coding FVFTVVRKIFEFSAIKLFVHIYIYIYIYNLRLKIHILFFVRRDASLGEVRASSGDLTRLVSNNESLDPLGGQDREKDLNWKPLPNNGPRFQSGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMSLTDIPKLNQESSIATALMEMYLSMGDALAQQYGGSAAHNTVFPERQGRWKATTQSREFLKSIKRYYSNTYTDGEKQDAINLFLGYFQPQDGKPALWELDSDYYLHVLGIGDEPVPDTDRSFIVDPLNVVGTRCTLSPTPGYKQDFQRMKLTSFDALIDRTCSSIKNVRLCCESDVKVSGAGNTGMAPDAAEVQLKKPKLVIWSKEKYEETAPPQKGYSK >DRNTG_11976.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20243289:20253298:-1 gene:DRNTG_11976 transcript:DRNTG_11976.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVFTVVRKIFEFSAIKLFVHIYIYIYIYNLRLKIHILFFVRRDASLGEVRASSGDLTRLVSNNESLDPLGGQDREKDLNWKPLPNNGPRFQSGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMSLTDIPKLNQESSIATALMEMYLSMGDALAQQYGGSAAHNTVFPERQGRWKATTQSREFLKSIKRYYSNTYTDGEKQDAINLFLGYFQPQDGKPALWELDSDYYLHVLGIGDEPVPDTDRSFIVDPLNVVGTRCTLSPTPGYKQDFQRMKLTSFDALIDRTCSSIKNVRLCCESDVKVSGAGNTGMAPDAAEVQLKKPKLVIWSKEKYEETAPPQKGYSK >DRNTG_11976.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20243289:20253298:-1 gene:DRNTG_11976 transcript:DRNTG_11976.2 gene_biotype:protein_coding transcript_biotype:protein_coding FVFTVVRKIFEFSAIKLFVHIYIYIYIYNLRLKIHILFFVRRDASLGEVRASSGDLTRLVSNNESLDPLGGQDREKDLNWKPLPNNGPRFQSGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMSLTDIPKLNQESSIATALMEMYLSMGDALAQQYGGSAAHNTVFPERQGRWKATTQSREFLKSIKRYYSNTYTDGEKQDAINLFLGYFQPQDGKPALWELDSDYYLHVLGIGDEPVPDTDRSFIVDPLNVVGTRCTLSPTPGYKQDFQRMKLTSFDALIDRTCSSIKNVRLCCESDVKVSGAGNTGMAPDAAEVQLKKPKLVIWSKEKYEETAPPQKGYSK >DRNTG_11976.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20243792:20253298:-1 gene:DRNTG_11976 transcript:DRNTG_11976.4 gene_biotype:protein_coding transcript_biotype:protein_coding FVFTVVRKIFEFSAIKLFVHIYIYIYIYNLRLKIHILFFVRRDASLGEVRASSGDLTRLVSNNESLDPLGGQDREKDLNWKPLPNNGPRFQSGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMSLTDIPKLNQESSIATALMEMYLSMGDALAQQYGGSAAHNTVFPERQGRWKATTQSREFLKSIKRYYSNTYTDGEKQDAINLFLGYFQPQDGKPALWELDSDYYLHVLGIGDEPVPDTDRSFIVDPLNVVGTRCTLSPTPGYKQDFQRMKLTSFDALIDRTCSSIKNVRLCCESDVKVSGAGNTGMAPDAAEVQLKKPKLVIWSKEKYEETAPPQKGYSK >DRNTG_14627.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000726.1:2005:2326:1 gene:DRNTG_14627 transcript:DRNTG_14627.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTRQNSPCNFGRRGASSKGGRSDQARATVYQKHRSPQSRKTMYGG >DRNTG_05626.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19661136:19663178:1 gene:DRNTG_05626 transcript:DRNTG_05626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLDPYEGFLDQEVENEEVMMLGLEEEVPSTSGIMKKVLQKMKRARRRHKKLHKAVRDAQEWSKSDEPSSGNKPNNSPYTFKRLCSSCFQVMGKKETFVYEPPGMHLPLLTGPVKFHARVGITHGRGKHLENSLGWIEEPEGRGDAPVGRAHCALGISTSPYDPHIKEA >DRNTG_01433.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23447299:23448121:-1 gene:DRNTG_01433 transcript:DRNTG_01433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARAFASHSLALALLLVVTPLCTAKIILYSSETLKTGKSLIWGKYEFKMQEDCNAVLYDSNEPIWASQTWGRGCSCYITLQPDGNLVIYDDGNAPVWASNTNFGGKEHYVLILQKDRNLVIYGPARWATNTNIGVSGGMFIESKATIFGTLPANKTTEEAKTSGTIAMVVDNI >DRNTG_22492.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2589960:2592281:1 gene:DRNTG_22492 transcript:DRNTG_22492.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQIKHFAVEQSSAMPKVFSRYGVHSVPSVLLANRTSTVHFHGSKNLSSLAHFYKEITGLDPVTHFTVDEPNESTNSKPFKPWNGSLNDMTTKEPYLLPAFFYICLKMLTPVLTPIFSCFKAFWLSHAWRLNMGILGESSQLLERMHHVIDVKKLWSKVRLCNKNRNFQKGAKNARVWASSLASVSLGESSSTRLVPSDS >DRNTG_25133.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3981355:3984344:-1 gene:DRNTG_25133 transcript:DRNTG_25133.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFMMMLWQDEPERAIHMLAKMKHLNVKPDIKTYELLYSLFGNVNVPYEKGNILSQSHVEKRIKAIEKDMMKNGIQHSYTSMRNLIRALGAEGMIEEMLRYLNFAENMLWHIDSDQITDIYNIVLHALVKAKQCRAAIDVFKNMRLCNLPTNVAIYNIMIECCSILQCYRSASVLVSMMLRDGYYPQTLTYTALVKVLLENEDFDGALSLLNQMTSANIQPDIQLFNTILRQAYSKGQIDVIEFINNRIQKLKMQPDPSTCWLTFSTYVERGFHSTAMEALQVLSMQMISTDDASLVEKSSAFEELIYNEDSDAEAKILELFKDSQEFLATALLNLRLCAFTGFSISWCSEDNPWLRRISSSYSSRTRVKSSV >DRNTG_25133.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3981355:3991198:-1 gene:DRNTG_25133 transcript:DRNTG_25133.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMCWFTDYNQFGLCSLSESRSLQKQIIDALHCGERERASEMLLKLSQEGGCLGADSFTFILEYCARTPDPMFVMETWQVMKQKAIDVNKRSCRYITQAFIRGGYFKEALKWLTFLGENDHVNVLPVHNMYLKACGNAKRLADADCCLKLMESRHLGKSEITYWELLKLAVLQKNLSAVHEIWRDCIRYYSPSIIILRKFIWSFTRLHDLQSAHHVLQRMVALVNQGISSIKTSTTGRYQSSRLDIPIPLMDDIPIERLQLKGSGHSLSSSTGGCLEETKGGFQQQNMNTPYMENPLRQNISQNLNLGLIAGFPFDSSKVKDNIFPRTVGRRLTNGEDMSSSNSHKFPTVVGTTVIDDDCTIDTFKRCTQLPWEISKAKVAPSTPVMRILRWSFNDLMQLCAQLDCFEMAEQLFLQMQALGLEPSLHTYDGFVKAAIHRNGAAYGMRLVIFSMNL >DRNTG_25133.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3981355:3982334:-1 gene:DRNTG_25133 transcript:DRNTG_25133.6 gene_biotype:protein_coding transcript_biotype:protein_coding QVLLENEDFDGALSLLNQMTSANIQPDIQLFNTILRQAYSKGQIDVIEFINNRIQKLKMQPDPSTCWLTFSTYVERGFHSTAMEALQVLSMQMISTDDASLVEKSSAFEELIYNEDSDAEAKILELFKDSQEFLATALLNLRLCAFTGFSISWCSEDNPWLRRISSSYSSRTRVKSSV >DRNTG_25133.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3981355:3986540:-1 gene:DRNTG_25133 transcript:DRNTG_25133.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQALGLEPSLHTYDGFVKAAIHRNGAAYGMRLIEALEMKNIKPYDETLAVLSIAHSKDLELELAESLLGTISHGLPKYMHAFHIFLAACNIVDEPERAIHMLAKMKHLNVKPDIKTYELLYSLFGNVNVPYEKGNILSQSHVEKRIKAIEKDMMKNGIQHSYTSMRNLIRALGAEGMIEEMLRYLNFAENMLWHIDSDQITDIYNIVLHALVKAKQCRAAIDVFKNMRLCNLPTNVAIYNIMIECCSILQCYRSASVLVSMMLRDGYYPQTLTYTALVKVLLENEDFDGALSLLNQMTSANIQPDIQLFNTILRQAYSKGQIDVIEFINNRIQKLKMQPDPSTCWLTFSTYVERGFHSTAMEALQVLSMQMISTDDASLVEKSSAFEELIYNEDSDAEAKILELFKDSQEFLATALLNLRLCAFTGFSISWCSEDNPWLRRISSSYSSRTRVKSSV >DRNTG_25133.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3981355:3993074:-1 gene:DRNTG_25133 transcript:DRNTG_25133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRHLFRAARPSLSNTYKFAREVQRVWKNNDSERTHSSHSLWSSQDYNQFGLCSLSESRSLQKQIIDALHCGERERASEMLLKLSQEGGCLGADSFTFILEYCARTPDPMFVMETWQVMKQKAIDVNKRSCRYITQAFIRGGYFKEALKWLTFLGENDHVNVLPVHNMYLKACGNAKRLADADCCLKLMESRHLGKSEITYWELLKLAVLQKNLSAVHEIWRDCIRYYSPSIIILRKFIWSFTRLHDLQSAHHVLQRMVALVNQGISSIKTSTTGRYQSSRLDIPIPLMDDIPIERLQLKGSGHSLSSSTGGCLEETKGGFQQQNMNTPYMENPLRQNISQNLNLGLIAGFPFDSSKVKDNIFPRTVGRRLTNGEDMSSSNSHKFPTVVGTTVIDDDCTIDTFKRCTQLPWEISKAKVAPSTPVMRILRWSFNDLMQLCAQLDCFEMAEQLFLQMQALGLEPSLHTYDGFVKAAIHRNGAAYGMRLIEALEMKNIKPYDETLAVLSIAHSKDLELELAESLLGTISHGLPKYMHAFHIFLAACNIVDEPERAIHMLAKMKHLNVKPDIKTYELLYSLFGNVNVPYEKGNILSQSHVEKRIKAIEKDMMKNGIQHSYTSMRNLIRALGAEGMIEEMLRYLNFAENMLWHIDSDQITDIYNIVLHALVKAKQCRAAIDVFKNMRLCNLPTNVAIYNIMIECCSILQCYRSASVLVSMMLRDGYYPQTLTYTALVKVLLENEDFDGALSLLNQMTSANIQPDIQLFNTILRQAYSKGQIDVIEFINNRIQKLKMQPDPSTCWLTFSTYVERGFHSTAMEALQVLSMQMISTDDASLVEKSSAFEELIYNEDSDAEAKILELFKDSQEFLATALLNLRLCAFTGFSISWCSEDNPWLRRISSSYSSRTRVKSSV >DRNTG_25133.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3987656:3993074:-1 gene:DRNTG_25133 transcript:DRNTG_25133.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKLSQEGGCLGADSFTFILEYCARTPDPMFVMETWQVMKQKAIDVNKRSCRYITQAFIRGGYFKEALKWLTFLGENDHVNVLPVHNMYLKACGNAKRLADADCCLKLMESRHLGKSEITYWELLKLAVLQKNLSAVHEIWRDCIRYYSPSIIILRKFIWSFTRLHDLQSAHHVLQRMVALVNQGISSIKTSTTGRYQSSRLDIPIPLMDDIPIERLQLKGSGHSLSSSTGGCLEETKGGFQQQNMNTPYMENPLRQNISQNLNLGLIAGFPFDSSKVKDNIFPRTVGRRLTNGEDMSSSNSHKFPTVVGTTVIDDDCTIDTFKRCTQLPWEISKAKVAPSTPVMRILRWSFNDLMQLCAQLDCFEMAEQLFLQVNHFCLQVFNYGLTCQFIDCN >DRNTG_24214.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22604917:22610411:1 gene:DRNTG_24214 transcript:DRNTG_24214.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAENLYRRLAHLKSRPHTSEHSRRSGFLGIFRQNGDLVRQYSKKLEDLETNLRIEQSDASLRRVEVPAAFVCFRSRYGAATALHIQQSVNPTQWVTEQAPEPRDIYWPFFSASFMQRWVSKLVVFVASFILTIIFLLPVAIVQALTNLDQLETTFPPLVGILEITVVSQVITGYLPSLILHLFLSFVPPIMKLFSTMQGFIALSEIEKSACNKMLWFTIWNIFFANVLAGTISSRLEIFYDPKTIPSKLAVLVPAQASFFIAYVVTSWASLSSELTFLLTLVGNFIQRHCLKSHDSEFHAPSITYHSEIPRILLFGLLGLTYFILAPLILPFILVFLCFGYIIYRNQLLNVYMPKYETGGKFWPIVHNSTIFSLILMQAIAFGIFSLKKLPVASSLILPLPVLTLLFNDYCRKRFLPVFHAYPAETLIKKDREDQNDPSLNEFLDGVVNTYRDPALMPRNHSQDRHERNSPLLSHAYS >DRNTG_24214.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22604076:22610411:1 gene:DRNTG_24214 transcript:DRNTG_24214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSALLTSVGINLALCILFFTLYSVLRKQPGNIEVYAPRLVAEGKARRRGGEFNIEDLLPSPGWVQRAWHPSEDDLLASCGLDAVVFMRIFIFSLRVFTVAGIVGVFVLVPVNYLGDQLSGIDFADIPNKSLDLFSISNVKDGSNRLWVHFSAAYLISAVVCYLLYAEFKYISLKRLAYYSASSPQPHQFTILVRGIPAHDGSSVGDAVENFFTEYHPSTYLSHVVVRRTRKLRDLMTDAENLYRRLAHLKSRPHTSEHSRRSGFLGIFRQNGDLVRQYSKKLEDLETNLRIEQSDASLRRVEVPAAFVCFRSRYGAATALHIQQSVNPTQWVTEQAPEPRDIYWPFFSASFMQRWVSKLVVFVASFILTIIFLLPVAIVQALTNLDQLETTFPPLVGILEITVVSQVITGYLPSLILHLFLSFVPPIMKLFSTMQGFIALSEIEKSACNKMLWFTIWNIFFANVLAGTISSRLEIFYDPKTIPSKLAVLVPAQASFFIAYVVTSWASLSSELTFLLTLVGNFIQRHCLKSHDSEFHAPSITYHSEIPRILLFGLLGLTYFILAPLILPFILVFLCFGYIIYRNQLLNVYMPKYETGGKFWPIVHNSTIFSLILMQAIAFGIFSLKKLPVASSLILPLPVLTLLFNDYCRKRFLPVFHAYPAETLIKKDREDQNDPSLNEFLDGVVNTYRDPALMPRNHSQDRHERNSPLLSHAYS >DRNTG_24214.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22604076:22604755:1 gene:DRNTG_24214 transcript:DRNTG_24214.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSALLTSVGINLALCILFFTLYSVLRKQPGNIEVYAPRLVAEGKARRRGGEFNIEDLLPSPGWVQRAWHPSEDDLLASCGLDAVVFMRIFIFSLRVFTVAGIVGVFVLVPVNYLGDQLSGIDFADIPNKSLDLFSISNVKDGSNRSLFIACLSSFLCRRESYCPELL >DRNTG_24214.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22604076:22610411:1 gene:DRNTG_24214 transcript:DRNTG_24214.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSALLTSVGINLALCILFFTLYSVLRKQPGNIEVYAPRLVAEGKARRRGGEFNIEDLLPSPGWVQRAWHPSEDDLLASCGLDAVVFMRIFIFSLRVFTVAGIVGVFVLVPVNYLGDQLSGIDFADIPNKSLDLFSISNVKDGSNRLWVHFSAAYLISAVVCYLLYAEFKYISLKRLAYYSASSPQPHQFTILVRGIPAHDGSSVGDAVENFFTEYHPSTYLSHVVVRRTRKLRDLMTDAENLYRRLAHLKSRPHTSEHSRRSGFLGIFRQNGDLVRQYSKKLEDLETNLRIEQSDASLRRVEVPAAFVCFRSRYGAATALHIQQSVNPTQWVTEQAPEPRDIYWPFFSASFMQRWVSKLVVFVASFILTIIFLLPVAIVQALTNLDQLETTFPPLVGILEITVVSQVITGYLPSLILHLFLSFVPPIMKLFSTMQGFIALSEIEKSACNKMLWFTIWNIFFANVLAGTISSRLEIFYDPKTIPSKLAVLVPAQASFFIAYVVTSWASLSSELTFLLTLVGNFIQRHCLKSHDSEFHAPSITYHSEIPRILLFGLLGLTYFILAPLILPFILVFLCFGYIIYRNQLLNVYMPKYETGGKFWPIVHNSTIFSLILMQAIAFGIFSLKKLPVASSLILPLPVLTLLFNDYCRKRFLPVFHAYPAETLIKKDREDQNDPSLNEFLDGVVNTYRDPALMPRNHSQDRHERNSPLLSHAYS >DRNTG_24214.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22609343:22610411:1 gene:DRNTG_24214 transcript:DRNTG_24214.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCNCAKHGLIHLGLLCHCFLQLLNVYMPKYETGGKFWPIVHNSTIFSLILMQAIAFGIFSLKKLPVASSLILPLPVLTLLFNDYCRKRFLPVFHAYPAETLIKKDREDQNDPSLNEFLDGVVNTYRDPALMPRNHSQDRHERNSPLLSHAYS >DRNTG_29748.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1661944:1663648:-1 gene:DRNTG_29748 transcript:DRNTG_29748.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVITPTPPSPKPLSLPRSLSLSLSLGL >DRNTG_29748.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1662487:1663648:-1 gene:DRNTG_29748 transcript:DRNTG_29748.2 gene_biotype:protein_coding transcript_biotype:protein_coding TPAPRGSPSSHIAVTSYRNRPRLIRSSHCPINRVLRKKSAGGFSSSGTEPALRVSLPNAKRGNFE >DRNTG_31150.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:368613:374151:1 gene:DRNTG_31150 transcript:DRNTG_31150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAVASPIIPSFLHPSRRFCLRLSLSMPRSTQRCFSSRSCVPCRRLTTVAASAKGDIEGVEERFDVIVVGGGHAGCEAALASARLGARTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEIGKIADRCYLQKRVLNLSKGPAVRALRAQTDKREYAMEMKKVVESTPNLFVREAMVTEILVGNNDKVEGVHSFFGVDFYAPSVVLTTGTFMSGKIWVGRASMAAGRAGESASQGLTENLQYLGFETDRLKTGTPARVDRRTVDFSGLEPQHGDEQVSWFSFDPDFHIEREQMCCYLTRTTKDTHKLIKDNLHETPTYGGWVEAKGPRYCPSIEDKGLILFTDCEISR >DRNTG_31150.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:368613:374195:1 gene:DRNTG_31150 transcript:DRNTG_31150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAVASPIIPSFLHPSRRFCLRLSLSMPRSTQRCFSSRSCVPCRRLTTVAASAKGDIEGVEERFDVIVVGGGHAGCEAALASARLGARTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEIGKIADRCYLQKRVLNLSKGPAVRALRAQTDKREYAMEMKKVVESTPNLFVREAMVTEILVGNNDKVEGVHSFFGVDFYAPSVVLTTGTFMSGKIWVGRASMAAGRAGESASQGLTENLQYLGFETDRLKTGTPARVDRRTVDFSGLEPQHGDEQVSWFSFDPDFHIEREQMCCYLTRTTKDTHKLIKDNLHETPTYGGWVEAKGPRYCPSIEDKIVRFQDKESHQIFLEPEGRNVPELYVQGFSTGLPERLQLALLRTLPGLENCLMLRPAYAVEYDYLPAHQCSRSLMTKKIEGLFFSGQINGTTGYEEAAAQGLVAGVNAARHSCGSPLIVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGREVGLIDDRRWDLYQSKQAHILEEKQRLKSVRVSAGGDLAAEVTLLSGQPVKDSVSLESILKKPHVQYNVLDKHGYGNNLLTRMEKECVEIDIKYEGFILRQQSQLQQIVNQQHRPLPDDLDYYSMTTLSLEAREKLSKVRPQTIGQASRVGGVSPADITALLICLETNRRKASERKRLKSQRSFITDVNDLNSSPVLTSHAVNSN >DRNTG_31150.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:368613:374151:1 gene:DRNTG_31150 transcript:DRNTG_31150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAVASPIIPSFLHPSRRFCLRLSLSMPRSTQRCFSSRSCVPCRRLTTVAASAKGDIEGVEERFDVIVVGGGHAGCEAALASARLGARTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEIGKIADRCYLQKRVLNLSKGPAVRALRAQTDKREYAMEMKKVVESTPNLFVREAMVTEILVGNNDKVEGVHSFFGVDFYAPSVVLTTGTFMSGKIWVGRASMAAGRAGESASQGLTENLQYLGFETDRLKTGTPARVDRRTVDFSGLEPQHGDEQVSWFSFDPDFHIEREQMCCYLTRTTKDTHKLIKDNLHETPTYGGWVEAKGPRYCPSIEDKIVRFQDKESHQIFLEPEGRNVPELYVQGFSTGLPERLQLALLRTLPGLENCLMLRPAYAVEYDYLPAHQCSRSLMTKKIEGLFFSGQINGTTGYEEAAAQGLVAGVNAARHSCGSPLIVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGREVGLIDDRRWDLYQSKQAHILEEKQRLKSVRVSGGDLAAEVTLLSGQPVKDSVSLESILKKPHVQYNVLDKHGYGNNLLTRMEKECVEIDIKYEGFILRQQSQLQQIVNQQHRPLPDDLDYYSMTTLSLEAREKLSKVRPQTIGQASRVGGVSPADITALLICLETNRRKASERKRLKSQRSFITDVNDLNSSPVLTSHAVNSN >DRNTG_24587.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28882:33068:1 gene:DRNTG_24587 transcript:DRNTG_24587.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTNSLELKSSLFGFRVWTLQGPSLGVFPANMARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPMPHPTLPLQITTTTISLTTSSSLSLQVTPTSISNASSSLPLQISTSTITLTTTRLLLLLSTSSPSLLEVHVTSPRLLLLAPCY >DRNTG_24587.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28882:30527:1 gene:DRNTG_24587 transcript:DRNTG_24587.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTNSLELKSSLFGFRVWTLQGPSLGVFPANMARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPMPHPTLPLQITTTTISLTTSSSLSLQVTPTSISNASSSLPLQISTSTITLTTTRLLLLLSTSSPSLLEVHVTSPRLLLLAPCY >DRNTG_24587.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28922:37169:1 gene:DRNTG_24587 transcript:DRNTG_24587.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPMPHPTLPLQITTTTISLTTSSSLSLQVTPTSISNASSSLPLQISTSTITLTTTRLLLLLSTSSPSLLEVHVTSPRLLLLAPCY >DRNTG_24587.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28922:33068:1 gene:DRNTG_24587 transcript:DRNTG_24587.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPMPHPTLPLQITTTTISLTTSSSLSLQVTPTSISNASSSLPLQISTSTITLTTTRLLLLLSTSSPSLLEVHVTSPRLLLLAPCY >DRNTG_24587.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28922:37169:1 gene:DRNTG_24587 transcript:DRNTG_24587.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPMPHPTLPLQITTTTISLTTSSSLSLQVTPTSISNASSSLPLQISTSTITLTTTRLLLLLSTSSPSLLEVHVTSPRLLLLAPCY >DRNTG_24587.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:28922:30527:1 gene:DRNTG_24587 transcript:DRNTG_24587.6 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMPQVILAAVICVIAIAVAEADHKPYIYSSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYHYKSPPPPSPMPHPPYHYKSPPPPSPMPHPTLPLQITTTTISLTTSSSLSLQVTPTSISNASSSLPLQISTSTITLTTTRLLLLLSTSSPSLLEVHVTSPRLLLLAPCY >DRNTG_33900.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26697964:26698838:-1 gene:DRNTG_33900 transcript:DRNTG_33900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGNIFEVDLASNGSSSSLIFLGTGCSSAVPNARCLIQPSDPPCEVCSQALSVPPERNPNYRCNTSLLIDYCHGDVHKYILIDVGKTFREQVLRWFTYHKIPCVDSV >DRNTG_33900.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26697660:26698838:-1 gene:DRNTG_33900 transcript:DRNTG_33900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGNIFEVDLASNGSSSSLIFLGTGCSSAVPNARCLIQPSDPPCEVCSQALSVPPERNPNYRCNTSLLIDYCHGDVHKYILIDVGKTFREQVLRWFTYHKIPCVDSIILTHEHADAVLGLDDIRVVQPFSPTNDIEP >DRNTG_19272.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:442221:449008:-1 gene:DRNTG_19272 transcript:DRNTG_19272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQTGAQLLWQQYRALTMKNAILTWRHKRSAFLKLFSSLFFIFLIFCIDKAARSRAGSAYDNVDNPEALIAPPIPPCEEKFFVKVPCFDFVWSGNGSNRVGQIVKGIMENNPGRVIPPEKVISFTTPDEVDAWLGSNQLRCPGALHFVERKPTIISYGIQTNSTPVAKRGKYEDPTFKFQVPLQIAAEREIARSLIGDPKFSWVVGLKEFAHPATEIFSVIGTAGPTFFLAIAMFGFVFQISSLVTEKELKLRQAMSIMGLYESAFWLSWLMWEALLTLLSALFTVLFGMMFQFDFFLHNSFPILFLVFFLFQLNMLGFAFMISTFISKSSSATTFGFSIFIIGFLTQLVTTFGFPYEKNFSRTYRIIWSFFPPNLLAKALDLLGKATATSQDKGISWQRRGECTADDTDCVITIDNIYKWLISTFFLWILLAIYLDNIIPNSNGVRKSIFYFLKPSYWTGNGGDKEEEGSLCSCIDPIPTPDDVTPDDEDVVAEETVVKQQTAQDATDLNVAVQMRGLTKTYPGAMNIGCCKCRKTPPYHAVKGIWLNLEKNQLFCLLGPNGAGKTTAINCLTGITPVTSGDALIYGHSIRNCVGMSNIRKMIGVCPQFDVLWDALSAREHLHLFASIKGLPPSTIKSVTEKSLAEVKLETAAQVRAGSYSGGMKRRLSVAIALIGDPKLVFLDEPTTGMDPINRRHVWDIIEDAKRGRAIVLTTHSMEEADILSDRIAIMAKGRLRCIGTSIRLKSKFGSGYITNVSFAGNTPGQSPNTNEASSETQIRLVKQFFRDRLQVEPKEENQAFLTYVIPHEKEGLLADFFAELQDREEEFRISDIQLGLTTLEEVFLNIARQAELESSTAEGNLVTLRLTSGAAIQVPKGARFIGIPGTQTSENPRGLMVEVYWEQDDIGDLCISGHSMETPIPTNVQPMVNASATRRGILGGTATPVGLVLDPNQILNAH >DRNTG_00720.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18374258:18378999:-1 gene:DRNTG_00720 transcript:DRNTG_00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREELWFVIWVLLLWDSSLGKFLVEKNSLKVTSPESLKGVYECAIGNFGVPQYGGTMVGIAVYPKANKKACKSFDEFDISFKSKPGGFPTFLLVDRGDCFFTAKAWNAQNAGAAAILVADDKVEPLLTMDTPEEDKGEPDYLQSITIPSVLISKALGDSLKKALTEGEMVNVNLDWRESLPHPDDRVEYEFWTNSNDECGPKCDSQREFVKNFKGAAQILEKKGYTQFTPHYITWYCPEAFILSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQVCVFKVANESRKPWLWWDYVTDFSIRCPMKEKKYTKECADHVIKSLGLDLKEIDKCIGDPNVDVENPVLKAEQDAQIGKNSRGDVTILPTLVINNRQYRGKLDKGAVLKAICAGFKETTEPGVCLSEEIETNECLERNGGCWEDKVANATACKDTFRGRVCECPIVRGVKFVGDGYTHCEASGTGRCEINNGGCWKHTKHGSTFSACLENHVQGCKCPPGFKGDGIDSCEDIDECKERTACQCSGCKCKNTWGSYECNCRGDLLYMKEHDTCISKKATTEVGWSFLWVIFLGLCIAGVGAYAIYKYRIRSYMDSEIRAIMAQYMPLDNQEIPNHHSHHGEI >DRNTG_07175.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17260219:17261331:-1 gene:DRNTG_07175 transcript:DRNTG_07175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPYHRKLLSPSQRDLKIKNRLGSRRLSLVSRSPSSYYSPSPLSALAIPPSEFGRSLLAVPPLSSPYSRRLSPRSRSRCLGSCRPSLKSRPMLPTLTVKLVGIKAGRRATRGRTLREGGQREPRTGTTTSERRNYERRDKGGKSRDADGERRDRGLKRRDGRWFKVSFSF >DRNTG_15159.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25630389:25634648:1 gene:DRNTG_15159 transcript:DRNTG_15159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPTLEVIGSTLRSYLKQKDNNEFLNFGSPNEQAKVDDKEVVDAPVLVDEPKEKDSAPCRRSFVTMAVNLVNGRCYLTLVVETLVELKDNMTPRLIGRSFKDTALCDSSSWKLYTKRGPFLILSSQRSRWSHQ >DRNTG_32323.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18273106:18275337:-1 gene:DRNTG_32323 transcript:DRNTG_32323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIAQRHGLEGETIDCANLLNYGLDAYLKQLISSSVYLLRARTGKEDICTYKLMFGPL >DRNTG_04318.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22095044:22095332:1 gene:DRNTG_04318 transcript:DRNTG_04318.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEKKQQPSTNHKQRKQEQTTPNHNKWKYNPKEKAQWKKLQQKTENINKKPALGDMPPQATRKDP >DRNTG_29566.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2243774:2244131:1 gene:DRNTG_29566 transcript:DRNTG_29566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKARETPSLSFFFTSPCRHTGGTR >DRNTG_02014.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:15308062:15354733:-1 gene:DRNTG_02014 transcript:DRNTG_02014.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRKLPYQPSTSSYSSGHIHLEGHKGSHT >DRNTG_06382.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16662466:16663585:1 gene:DRNTG_06382 transcript:DRNTG_06382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKARQETEKQKQKQQQQWNGMEKGKRRAWRHEMRRNHKELEDKREEKAAKKKRAELWDYQPFFPQRSLLLFISSFESPHSANGQR >DRNTG_05510.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2383349:2390980:1 gene:DRNTG_05510 transcript:DRNTG_05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEYTSPSDQPTHLYLNGKAFEEPVTETPKSGTSEIWYVINLTGDNHPLHIHLAHLAALEQREILELEKFKDCMTRLNDAIKCHVDDHAVGKKDKVAKYERGWKNVFKVKPGFMTTMLVRFELLGPGNTTYPFDATAEPGYVYHCHIVDHEDNAMMRPLKLQP >DRNTG_05510.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2383349:2384261:1 gene:DRNTG_05510 transcript:DRNTG_05510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEYTSPSDQPTHLYLNGKAFEEPVTETPKSGTSEIWYVINLTGDNHPLHIHLAHLAALEQREILELEKFKDCMTRLNDAIKCHVDDHAVGKKDKVAKYERGWKNVFKVKPGFMTTMLVRFELLGPGNTTYPFDATAEPGYVYHCHMVDHEDNAMMRPLKLQP >DRNTG_11275.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:2062514:2071910:-1 gene:DRNTG_11275 transcript:DRNTG_11275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKRMNDVKHLLLLLLFFVLALVSQGHAHYSLGKEKVTHLHFFYQEILSGDHPTAVLVAKPNDTPINASNFASFGNVYVLDTPLTETQDPKLKGGRTSTRTFSVCRAGHDHACVHGGLWVHFR >DRNTG_13021.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1733158:1734842:1 gene:DRNTG_13021 transcript:DRNTG_13021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLTFIFFLLLQLLNNTFSLEIHFYNQSCPRAELIVNSVVRKHVLQDPSIPAGLLRLHFHDCLNGCDASILIDSTEDNIAEKEAPPNLTLRGFEVIDDIKASLEDECKGVVSCADILAMATRDSVALSGGAAYAIPTGRRDSTVSTIANVHIPSPSFPFSAALSVFQSIGLDLVDMTTLLGAHSVGFCHCGFFIDRLYDFEGTGLPDPAMDTGLLDTLRQQCPPHVVTLNNISKDPTVFMDQQTKTPFRLDGSFYHGVVDKRAVLQLDQELDFTDLTSKLALKYANNPKSFIKQFSKSMIKLGSTNVLTGEQGEIRQNCRRVNG >DRNTG_32531.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20212900:20217141:-1 gene:DRNTG_32531 transcript:DRNTG_32531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASHGDLDRQIERLRECKFLPEAEVKALCEQARAILVEEWNVQPVRCPVTVCGDIHGQFHDLIELFRIGGDAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQHFNHTNGLSLVARAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAIMEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >DRNTG_13348.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1124473:1125577:-1 gene:DRNTG_13348 transcript:DRNTG_13348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEVLEKARVMELQVRMDCNGCVQKIKKAMHNIDGVYDVYIDFPQQKLTVVGRADPEKILKAIKKTRKIATICSHTEPPAEPAPPNPENEPAPAEPAPPPAEPEPPKEAPPAAPAEEKKEEVKPSAEAEATSAEPKDVEEVHMVHYYPQNGPYYVSHGYNSTYRAPVYVSGYGFGRPVGRDFIRVMSGSEAYAAAAADDHYYYARNYHSGDHGNNITSVFSDENPNACAIV >DRNTG_13348.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1124473:1125130:-1 gene:DRNTG_13348 transcript:DRNTG_13348.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLRPNLLHLRQSQSLRKKLLRQHRPKRRKKKLNLQQKLKQPQLNLKM >DRNTG_01490.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13969022:13970508:1 gene:DRNTG_01490 transcript:DRNTG_01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWQGEEVLARGKDLAEESLRGRQTADPPESPTGELMGQRQGHEYLGGSEPSHGHGEGLESFLDHVMLGELISLAAS >DRNTG_00259.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5215444:5216292:1 gene:DRNTG_00259 transcript:DRNTG_00259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAGRGKEMERIKGPWSPEEDEELKRQVARHGARNWSLISSSIAGRSGKSCRLRWCNQLSPDVEHRPFTPDEDAIIVRAHARFGNRWATIARLLSGRTDNAIKNHWNSTLKRILSNSAFAGAGADSVLDRYEEEAGRPAKRMKSGEIPGSPSGSELSDSSNKTSGSEIHRPVLLPEPIVSSPPVDDPETSLTLSLPGTNRSFPSDKHQTAEIVDDAAFTREFFAMMQEIIRKEVNEYMQEAKQRGAGEMMMRMSPPPLEQTSPESVKNAAVKRVGVTKM >DRNTG_33670.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2196227:2200469:-1 gene:DRNTG_33670 transcript:DRNTG_33670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDSHVNDETTQPTFPLLSAARGVNPFSVLDPNFGRNFFDRATDFPGLSPIVSHPREVREIPIEFKNENNDSSSSAHGPVIEDVTGTVSIPGSETHGTVIIDDDEDEDIPNASADHRTSYNEIHNVPGDVPFGAHPRPGATPSVDLIDYNNDIEEEMIQAAIEASKHDAGDYPSQHSDVPNPSVSHFERRSPPFEDSDLARAVSLSLKTAEQERALREQGGQANEAQPSYASDIKQEEVHGTTLENGSHVNSVEENLFVHEEDEEDEQPLVRHRSRRLGTGNAGTGQVIDSSSSSPEQHDVSVHPQNNGVAFQSDEWGGISNEEHDEAVMLEAAMFGGVPDNTALSLSIPISSRLAKQFI >DRNTG_33670.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2196227:2200469:-1 gene:DRNTG_33670 transcript:DRNTG_33670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDSHVNDETTQPTFPLLSAARGVNPFSVLDPNFGRNFFDRATDFPGLSPIVSHPREVREIPIEFKNENNDSSSSAHGPVIEDVTGTVSIPGSETHGTVIIDDDEDEDIPNASADHRTSYNEIHNVPGDVPFGAHPRPGATPSVDLIDYNNDIEEEMIQAAIEASKHDAGDYPSQHSDVPNQPSVSHFERRSPPFEDSDLARAVSLSLKTAEQERALREQGGQANEAQPSYASDIKQEEVHGTTLENGSHVNSVEENLFVHEEDEEDEQPLVRHRSRRLGTGNAGTGQVIDSSSSSPEQHDVSVHPQNNGVAFQSDEWGGISNEEHDEAVMLEAAMFGGVPDNTALSLSIPISSRLAKQFI >DRNTG_29312.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29654828:29655203:1 gene:DRNTG_29312 transcript:DRNTG_29312.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAHGAAKGLEYLHDKANPPVIYRDLKSPNILLDRDFNPKLSDFGLAKLSPVGDNVHVSSRVMGTYGYCAPEYARTG >DRNTG_21334.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:16556000:16556810:1 gene:DRNTG_21334 transcript:DRNTG_21334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMPMTLSQSHGSFAFVSACPLRHHTNRPYSLHRRTSSTKTQVSCQLNNAGQDDKSSSRLIMDRRDALVALGGLYGVTGGMPALADPLLPPDLSNNACKRSTDSNMDPNSDLLKCCPPYQGTPVKITDYKFPKTSLRVRRPAHLVADDPEYVNNYKKAIQMMKDLDENDPWNFYQQAKIHCAYCNDAYDQIGHSGVPLQVHHTWIFLPWHRYYLHFYERILGKLIGDETFALPYWNFDNPDGMAMPYIFLEEKS >DRNTG_28365.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2381865:2384659:1 gene:DRNTG_28365 transcript:DRNTG_28365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFESPDQRTDSQIPVPQYEKLSSSAPF >DRNTG_14411.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:13587038:13641368:1 gene:DRNTG_14411 transcript:DRNTG_14411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVKSCGYLGRGAAHSVPPSDPRMPNTKRLASKRPRTTEPSPTPDEPVFKLSHHRERYDRLKTKPFGTLCYLDWELVDNLGFASQVQGLPLTQLLG >DRNTG_17742.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4183013:4183627:1 gene:DRNTG_17742 transcript:DRNTG_17742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFIIKKKLAKKMRQNRPIPHWIRMRTDNTIRYNAKRRHWRRTKLGF >DRNTG_16706.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000839.1:10672:12996:-1 gene:DRNTG_16706 transcript:DRNTG_16706.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHIPCGLGVRINKEDQELLRILSPIWQYGVGPPDNGSTVWYASKTACSLGLFLVLRLTPSLLLFSLFLFLICFLFASPLPSLGVRVPLRPVQGQEVPPPLPSLCARILQELHGKD >DRNTG_22751.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4150661:4152864:-1 gene:DRNTG_22751 transcript:DRNTG_22751.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRSYSKHLCPDANHKDATLLICPLCANGVRLVPGQDPNITWESHVNTDCDPSNHQKETKKKRCPVPDCIETLTFSNMIRCRECARDHCLKHRFAPDHMCAGPKKQDTSFPFIGLLRRSQKFDLVASQTSNNSSVPNQNSSKPSRWNSGLLNAVSAVRASAEASMHRLSSMTAQAMQKAKDGISRSGNGAELVEQCPQCLQRFSSISVLIEHVERYHDHERNAHACMNCNTTHIGS >DRNTG_22751.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4150661:4152864:-1 gene:DRNTG_22751 transcript:DRNTG_22751.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTEFEDLGKHCSVVECKLFDFMPFTCDRCSQAFCMQHRSYSKHLCPDANHKDATLLICPLCANGVRLVPGQDPNITWESHVNTDCDPSNHQKETKKKRCPVPDCIETLTFSNMIRCRECARDHCLKHRFAPDHMCAGPKKQDTSFPFIGLLRRSQKFDLVASQTSNNSSVPNQNSSKPSRWNSGLLNAVSAVRASAEASMHRLSSMTAQAMQKAKDGISRSGNGAELVEQCPQCLQRFSSISVLIEHVERYHDHERNAHACMNCNTTHIGS >DRNTG_06222.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15078807:15080171:-1 gene:DRNTG_06222 transcript:DRNTG_06222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTVLFLLFSSLLLSFACACDRCVHQSKASHSTSPLPIAAGACGYGPIALDFNGGYVAAANPALYKNGVGCGGCFQIRCKNSKLCRSRGVKVVLTDVNQKDNQTDFILSPKAFMALGRPGMAPQLKKLTTVDIEYKRIPCEYKKHNLTVRVEETSKKPSHLSISLLYQGGQTEISTVDVSQVGSGNFRFMRRRGISAIWDMSDAPTGNLQLRVAVTGGYDPVWIITDEVLPADWKIGSVYDSGSQINEIAQELCSPCDTKQWK >DRNTG_12131.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4446369:4450877:-1 gene:DRNTG_12131 transcript:DRNTG_12131.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSFKLLGLLITLTTLLQALTVLASDASSNASKVLPIHSDFVSVDLRNKFILQGSPFLFNGFNSYWMMSVADNPSDMHKISDVFSQAASAGLTVCRTWAFSDGGPGALQISPGVYDEKVFQALDFVIAEARRNKIYLILSLVNNYKDYGGRAQYVQWARDAGEAVNGEDDFYINPVVKQYYKNHVMKILTRKNTISKVVYKDDPTIMAWELINEPRCQIDPSGKTLDAWVREMAAYAKSIDEGHLLEIGMEGFYGDSRPEKKTFNHGIQVGTDFISSHLVPQIDFATIHAYPDLWFPEQNDTAQIAFAREWMRSHWDDARTILNKPLVLAEFGKSKKDPGYTVHGRDMYMSSIYEDIYGFAMNGGTFGGGLVWQVFGEGMESYYDGYEIVFSQNLSTNVVIIKQSQVMAVLSHHLRTNQEEEKNGDEEMKNKKNDEVKT >DRNTG_26372.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:743383:743915:-1 gene:DRNTG_26372 transcript:DRNTG_26372.2 gene_biotype:protein_coding transcript_biotype:protein_coding TPHLLLTLSYDLSIIFQCQHHLKYCSTVFLVPSESGLVTDHDVKIREAKLHEAIKNDTQFQLKEGALVEIAQFNPPFTLPFTRRNEIALEVERKIK >DRNTG_26372.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:743383:745711:-1 gene:DRNTG_26372 transcript:DRNTG_26372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSQTSSLSRRLLSELAAETAKYAFPKRFEARNLEEAFMAVPDLETIKFRVLKRTEQYEIRETEPYFVAETTMPGKSGFDFNGASQSFNVLAAYLFGKNTGSEKMEMTTPVVTRKVQSDGEKMEMTTPVITKQSDDQGSWQMSFVMPSKYGANLPLPKDSSVRIKEVPRKIVAVTAFSGLVTDHDVKIREAKLHEAIKNDTQFQLKEGALVEIAQFNPPFTLPFTRRNEIALEVERKIK >DRNTG_33656.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2092488:2099089:1 gene:DRNTG_33656 transcript:DRNTG_33656.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flap endonuclease GEN-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01880) UniProtKB/Swiss-Prot;Acc:Q9LPD2] MGVGGRFWDLLKPYARTEGPDFLREKRVAVDLSFWIVQHDTAIRARRPFARSPHIRTTFFRTIGLFSKMGAHPVFVVDGEPSPLKTQSRMERFFRCSGIELAELPKNEPGSPVKQRNQHFAKCISDCVELLELLGMPILRANGEAEALCAQLNYEGHVDACITADSDAFLFGAKCVIKCFRSNSSDPYECYNISDVEAGLGLRRKQLVAIALLVGNDHDLKGVPGFGVDTAVRFVQMFNEDEILDRLHEVGKGVIPVVAGSVSSAIHLDEPNVNNALSKARSPHCSQCGHPGSKKAHSTSSCEHCINNGFESCMVKPAGFKCECSSCEKDRKSKERRKYENWQIKVCKMISAENNFPNNEIISIYLRNSNGSYDDGPSLRWDYPKVEHLVDFLTYNMQWEPSYIRQRLLPMLSTIYLREMASAQNRDMLLYDQYEFHSIQRVKIRYGHRYYLVKWKRTTDSVGYVNYGTQSEHTEFEPEALGATESTDLSDETDVPHILIDDGCWFLLTDENIELVKAAFPDKVDKFTQEKKLKGSPSKQRKTNSRSGKSKTGAGDESPKSTHVQLSITEFYRSTKVTFQPKPAEEDPVQNSQNAGPVRERRKISAAGLDNTTSKSAKRRLTFG >DRNTG_33656.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2092849:2099089:1 gene:DRNTG_33656 transcript:DRNTG_33656.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flap endonuclease GEN-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01880) UniProtKB/Swiss-Prot;Acc:Q9LPD2] MGAHPVFVVDGEPSPLKTQSRMERFFRCSGIELAELPKNEPGSPVKQRNQHFAKCISDCVELLELLGMPILRANGEAEALCAQLNYEGHVDACITADSDAFLFGAKCVIKCFRSNSSDPYECYNISDVEAGLGLRRKQLVAIALLVGNDHDLKGVPGFGVDTAVRFVQMFNEDEILDRLHEVGKGVIPVVAGSVSSAIHLDEPNVNNALSKARSPHCSQCGHPGSKKAHSTSSCEHCINNGFESCMVKPAGFKCECSSCEKDRKSKERRKYENWQIKVCKMISAENNFPNNEIISIYLRNSNGSYDDGPSLRWDYPKVEHLVDFLTYNMQWEPSYIRQRLLPMLSTIYLREMASAQNRDMLLYDQYEFHSIQRVKIRYGHRYYLVKWKRTTDSVGYVNYGTQSEHTEFEPEALGATESTDLSDETDVPHILIDDGCWFLLTDENIELVKAAFPDKVDKFTQEKKLKGSPSKQRKTNSRSGKSKTGAGDESPKSTHVQLSITEFYRSTKVTFQPKPAEEDPVQNSQNAGPVRERRKISAAGLDNTTSKSAKRRLTFG >DRNTG_02549.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:7971281:7971639:-1 gene:DRNTG_02549 transcript:DRNTG_02549.6 gene_biotype:protein_coding transcript_biotype:protein_coding ASPHRRAAEHSLTRSPPDANLQCTEAQPPVNPEPLLSPPFIPSGLRPTLRRRNWFFPFALRFMNG >DRNTG_02549.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:7969398:7971639:-1 gene:DRNTG_02549 transcript:DRNTG_02549.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASPHRRAAEHSLTRSPPDANLQCTEAQPPVNPEPLLSPPFIPSGLRPTLRRRNWLIYGEWIDAPYQL >DRNTG_02549.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:7969398:7971603:-1 gene:DRNTG_02549 transcript:DRNTG_02549.3 gene_biotype:protein_coding transcript_biotype:protein_coding ASPHRRAAEHSLTRSPPDANLQCTEAQPPVNPEPLLSPPFIPSGLRPTLRRRNWLIYGEWIDAPYQL >DRNTG_02549.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:7970574:7971603:-1 gene:DRNTG_02549 transcript:DRNTG_02549.5 gene_biotype:protein_coding transcript_biotype:protein_coding ASPHRRAAEHSLTRSPPDANLQCTEAQPPVNPEPLLSPPFIPSGLRPTLRRRNWLIYGEWIDAPYQL >DRNTG_02430.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000115.1:32844:42056:-1 gene:DRNTG_02430 transcript:DRNTG_02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFALCLERISWIFSGNLLLSDDVAMNLLCCSPFCPCSSPKGEGGTAHNLSAKGLNSNESGSSKLSTSSDAPLRIAPSPPRFSLSPKPSVIGTIQLSINDIREITQNFSSSCKIGEGGVGSVYRAKLADGRIVAIKRAKKENFAALKAEFSNEVALLTKIEHRSLVRLLGYHDQENEYLIFTEYVPNGTLREHLDGRRGKILNFSQRLEIAIDVAHALTYLHLYAEKTIIHRDVKSSNILLTENLRAKVADFGFAKSGSTQPDKTHISTKVKGTAGYLDPEYLKTYKLTPKSDVYSFGIMLIELISVRRPVEVKKPPNERITVRWAFDKHSKGNDRDILDPALNDEIIDDEVLQKLLGLAFQCAAPTRADRPDMKEAVEQLWDIRKDYRRKSHRTVP >DRNTG_00233.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12980688:12983343:-1 gene:DRNTG_00233 transcript:DRNTG_00233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCMSDSELKDRPFYKAIDIQKDMLREHGVHIPYKQAWLGKEHARSNVAELFNVWIKDARHLPVTKMVDSIRLKLMRMLCNRCEQTNKWETYLCPDIHSKGSNSEDLANRTCSCRRWQVYGIPCKHACAEIMQTNTNVHRFISGYFTIDNDKLAYKEVIFSIPDNDKPTDGNHKLRLRPPVTRRQPGRPRRKRIESQVSEIHELHCSRRPPFLSDAGSAPFHNRIVSAIEDHDMDMTIYDYREDDNVNIVQVARASPIIAKVVSAAFTCFECPMVV >DRNTG_13472.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6000788:6002626:1 gene:DRNTG_13472 transcript:DRNTG_13472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIGSWRRLLTISEPAYRALTLEAFGHPFSMSITEFLIRTDLYDIAYTGFCVDMGSMNRGCRRPLAYPSRATRSSLFILHVPQCADSLGVHISRYSEVTRSVCGAERIVAPNPLAFDMIRMMGLVRRCGPGVYILTTATPETARSERVTAEGSPQIPRPQAAHGPRACDRIERLESIVREIQTEMVDLHSIQSAQYTDLMAQFDFLRDLLRSRPSVPPTSSSPTPHRWAHHLYLHQQ >DRNTG_03846.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4374361:4376450:-1 gene:DRNTG_03846 transcript:DRNTG_03846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLCECVVESFSNFSFGTTKLQVMTRGNPSILIEGDLELERTLRRKGKEPVQEESNLADLEVEGSENMAE >DRNTG_26638.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18288489:18288856:1 gene:DRNTG_26638 transcript:DRNTG_26638.3 gene_biotype:protein_coding transcript_biotype:protein_coding VNLKVRHQSFNFGGHIVYSRTVPEVEQSARELLQKIKGMEKNMEPVSLGFDIEWKPAFGRGMFPVQPSYFSFYNGAVIMKFTHF >DRNTG_26638.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18288918:18289772:1 gene:DRNTG_26638 transcript:DRNTG_26638.2 gene_biotype:protein_coding transcript_biotype:protein_coding NLFWRILSSTKVGVCVANDATKIWRDYNVRVECLQDLSVLANLKLGGAPRNWSLSSLTETLTCKELEKPKKIRLGNWQTNILSQAQLQYAATDAYVSWYLYQ >DRNTG_26638.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18287358:18290216:1 gene:DRNTG_26638 transcript:DRNTG_26638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGSEAAANPMPEWDLAAEKELVAAEKSYLAKRKKRFQIDPASASGSPSHCPKARRLPAWTSLSPAHRSGDHNALAPTHAIPNSRNGVWNLAPHVRPVNLKVRHQSFNFGGHIVYSRTVPEVEQSARELLQKIKGMEKNMEPVSLGFDIEWKPAFGRGETQNKAAVMQICMDSTHCYVMHIIHTGIPPILKSLLEDTFIYQGRSMCRQ >DRNTG_07662.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23158211:23158486:-1 gene:DRNTG_07662 transcript:DRNTG_07662.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTRDAVQLSGTSFSFPSVLAGCKERISTFKSRSTILCISFIKS >DRNTG_20407.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29141338:29142271:-1 gene:DRNTG_20407 transcript:DRNTG_20407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRCFSCQGEVYHTRIPDGYKTIFASHHKGCLMIILFWRINQAQSCNKFNYIFLPKSECPRNCLNAEDYPAEGDRQAIKRST >DRNTG_06154.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25399078:25401243:1 gene:DRNTG_06154 transcript:DRNTG_06154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKPDSSLWGSLLGACRIHGDIDTGEKVADKIFELETTHSGYYVLLSNIYAAKSRWKEVERVRKLMVGNKVSKVQGFSLIEFGNQVHKFGVDDRSHPQAKEIYSYLEELTGKLKQHGYVPLIDLALHDIEDETKEASLLYHSEKLAIAFGLINLSPEAPIRVTKNLRICADCHDAIKIISKVVSREIVVRDMNRFHHFENGYCSCGDFW >DRNTG_26929.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2415276:2417697:-1 gene:DRNTG_26929 transcript:DRNTG_26929.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKLLLEKLSWSCKSGSSSVDEKIGVVVLDDGMQHWSLFRDVEIVMVNGLMPWGNNHLIPRGPLREPLTALSRADVVVVHHADLLGPLHVDRLDFSDHHLMESNDIALVTEKVQMLENEFKVKAVIVMTEKDYYRDPVILKQLHDSKVFILCSSLQFLPSNEQSTRDFTRKLRKLLQNKIEFQ >DRNTG_00333.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18803714:18804127:1 gene:DRNTG_00333 transcript:DRNTG_00333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRWRSLSRRRWPSQSSSGNGSSRSVRAGFVAVYVGADRLRFEIPTRFLNLPVFASLLERAEEEYGFQPAGGLALPCDPGFFGWLVEALDRDETRFARLGLDAFSELFAAVAVESSCRDEAACAAGSSPLLSLARM >DRNTG_06486.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23109164:23109636:-1 gene:DRNTG_06486 transcript:DRNTG_06486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLFSYPSSLAARIKVHELRGKSKADLLTQLKDLKVELALLLVAKVTGGAPNKLSKIKVVRLSIVQVLIVILQKQKAALWEVYKKKKLLPLDLRPKMTRAIRRYLTKHQSCICAFKLSKYARRRCRGSI >DRNTG_26371.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:736758:737863:1 gene:DRNTG_26371 transcript:DRNTG_26371.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVDLETLVCGGDGRVACETVVAAEPPDPVPDDPDLPAESFEVRIGDEIDWVDLNAVYDRDDSTKGNTNPKSQHSSSAPTCDRRRSNSQRFSGNLKPKAPIIGLPNKLQYSGYLGRSTRRAPNSRIFPKKGRKGSMPEHEPGSPKVSCIGKVLSEREKERRRIRRRESREERPGGGKGFWASLLCCFSADRGTAVECIEEESPVPSGNIPEPARRSSAANAADAPSLGAMKRFSSGRRAASWGGDEEEHVALSGPFSGRRSVGSLEDASAR >DRNTG_08354.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4064379:4067195:-1 gene:DRNTG_08354 transcript:DRNTG_08354.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFLKKFFPKVYRQEEADKSTNQYCEFDSPLLQTFTSSLYLAALVSSFFASTVTRKCGRKISMLGGGLTFLVGAIVNGFAKNVAMLIIGRILLGFGVGFANQSVPLYLSEMAPAKLRGMLNVAFQLMITIGILAANLINYGTAQIKDGSGWRISLGLAAVPAIIISIGSLLLSDTPNSLIERGHAAKARAMLKKIRGIDDIEDEYNDLVAASEESKLVEHPWSNIFQRKYRPQLTMAFAIPFFQQITGINVIMFYAPVLFKTIGLGDKASLMSSVISGLVNVVATFVSIFSVDRAGRRALFLEGGIQMFICQILIGGLIYKEFGLTGTGDFSKGIATLVLILVCVYVAGFAWSWGPLGWLVPSEIFPLEIRSAGQSITVAVNMLFTFVIGQAFLSMLCHLKFGLFFFFGGWVVIMTIFIYYFLPETKNVPIEEMVLVWKKHWYWGKYISDEDIHIGKNLEMGQARKPKGTT >DRNTG_08354.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4064379:4067195:-1 gene:DRNTG_08354 transcript:DRNTG_08354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSMVNTVGAKVYNGKMTIHVFLTCIVAATGGLIFGYDIGISGGVTSMDSFLKKFFPKVYRQEEADKSTNQYCEFDSPLLQTFTSSLYLAALVSSFFASTVTRKCGRKISMLGGGLTFLVGAIVNGFAKNVAMLIIGRILLGFGVGFANQSVPLYLSEMAPAKLRGMLNVAFQLMITIGILAANLINYGTAQIKDGSGWRISLGLAAVPAIIISIGSLLLSDTPNSLIERGHAAKARAMLKKIRGIDDIEDEYNDLVAASEESKLVEHPWSNIFQRKYRPQLTMAFAIPFFQQITGINVIMFYAPVLFKTIGLGDKASLMSSVISGLVNVVATFVSIFSVDRAGRRALFLEGGIQMFICQILIGGLIYKEFGLTGTGDFSKGIATLVLILVCVYVAGFAWSWGPLGWLVPSEIFPLEIRSAGQSITVAVNMLFTFVIGQAFLSMLCHLKFGLFFFFGGWVVIMTIFIYYFLPETKNVPIEEMVLVWKKHWYWGKYISDEDIHIGKNLEMGQARKPKGTT >DRNTG_08354.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4064379:4067195:-1 gene:DRNTG_08354 transcript:DRNTG_08354.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFLKKFFPKVYRQEEADKSTNQYCEFDSPLLQTFTSSLYLAALVSSFFASTVTRKCGRKISMLGGGLTFLVGAIVNGFAKNVAMLIIGRILLGFGVGFANQSVPLYLSEMAPAKLRGMLNVAFQLMITIGILAANLINYGTAQIKDGSGWRISLGLAAVPAIIISIGSLLLSDTPNSLIERGHAAKARAMLKKIRGIDDIEDEYNDLVAASEESKLVEHPWSNIFQRKYRPQLTMAFAIPFFQQITGINVIMFYAPVLFKTIGLGDKASLMSSVISGLVNVVATFVSIFSVDRAGRRALFLEGGIQMFICQVHFYPSSILILLLTVNFSNICKLNLSGSLDHRS >DRNTG_08354.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4064379:4067195:-1 gene:DRNTG_08354 transcript:DRNTG_08354.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKLRGMLNVAFQLMITIGILAANLINYGTAQIKDGSGWRISLGLAAVPAIIISIGSLLLSDTPNSLIERGHAAKARAMLKKIRGIDDIEDEYNDLVAASEESKLVEHPWSNIFQRKYRPQLTMAFAIPFFQQITGINVIMFYAPVLFKTIGLGDKASLMSSVISGLVNVVATFVSIFSVDRAGRRALFLEGGIQMFICQILIGGLIYKEFGLTGTGDFSKGIATLVLILVCVYVAGFAWSWGPLGWLVPSEIFPLEIRSAGQSITVAVNMLFTFVIGQAFLSMLCHLKFGLFFFFGGWVVIMTIFIYYFLPETKNVPIEEMVLVWKKHWYWGKYISDEDIHIGKNLEMGQARKPKGTT >DRNTG_15476.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16478791:16479696:1 gene:DRNTG_15476 transcript:DRNTG_15476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEACTIASSLHAHHLHYSSKEKLKLQSKSPFSTLSTPLPSPPLLSFQLPKRSNSKPRFICLAKNAVDAVVAVTDESWNNLVIGNETPVLVEFWAPWCGPCRMIAPVIDELAKEYSGKLACCKVNTDDCPNIASKYGIRSIPTVLVFKNGERKECIVGAVPKSTLCTTIDKYVEG >DRNTG_01574.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5347767:5349553:-1 gene:DRNTG_01574 transcript:DRNTG_01574.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFEGVVEIAGVLHKWRKSRLSQEYEDGVEQFLNFAFANSSEDDRIICPCIKCVNIHWQTRETVLEHLVC >DRNTG_26432.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23951367:23953362:-1 gene:DRNTG_26432 transcript:DRNTG_26432.1 gene_biotype:protein_coding transcript_biotype:protein_coding DFSSSRSSLKSQTKRSPQQSHCAMEQALLSEEVEQKGEEKWRVYQSLGRSASVHVPTSLSGRGEEVSVDEIRSASIHSHPYYPPSLHGALVSSPEPDAPPYAYDQAIVHQGGFGQYPSGNMSREFGRKILDEVEIRQLLIDHVGHRCCWGSRPARRWKIAAVEDCNVYVGTLETFMEEREIVKEREPHLKGEIDEKDKGPELGVWELDLRSEFPLLFVSHKETKVKVPHSESIQKCTGKNYVNNFFS >DRNTG_10303.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7659878:7662769:-1 gene:DRNTG_10303 transcript:DRNTG_10303.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSFSSSSSSSPSSSITQRRSANFEPTIWSNDYLQSLRDDHFMDEKFKSRIEKLKESIKHLLYETKDIIHQLKLIDTLSQLGVAHHFVREIKDAFGTIYSVMNININILKDDLFSTSLLFRLLREHGFKVSQGKLCNISQ >DRNTG_10303.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7659529:7662769:-1 gene:DRNTG_10303 transcript:DRNTG_10303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSFSSSSSSSPSSSITQRRSANFEPTIWSNDYLQSLRDDHFMDEKFKSRIEKLKESIKHLLYETKDIIHQLKLIDTLSQLGVAHHFVREIKDAFGTIYSVMNININILKDDLFSTSLLFRLLREHGFKVSQGVFDGFKDENGNFQLS >DRNTG_05996.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19596975:19604279:-1 gene:DRNTG_05996 transcript:DRNTG_05996.1 gene_biotype:protein_coding transcript_biotype:protein_coding LYQLTFATTHLFCPICSKIISAYVLCFSISYGTKWKEFFPDKELRKLPSFDGRVIYMLYKCKNSP >DRNTG_05996.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19596975:19597721:-1 gene:DRNTG_05996 transcript:DRNTG_05996.2 gene_biotype:protein_coding transcript_biotype:protein_coding LYQLTFATTHLFCPICSKIISAYVLCFSISYGTKWKEFFPDKELRKLPSFDGRVIYMLYKCKNSP >DRNTG_00141.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2372553:2376534:1 gene:DRNTG_00141 transcript:DRNTG_00141.9 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDWHSCLDNEAGFKGFAVPKDSQNKVAEFSFHGTPAQIKHGDVVIAAITSCTNTSNPSVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVKKYLEKSGLQKYLNQLGFHIVGYGCTTCIGNSGDLDESVSSAISENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFNTEPIGTSKDGKKVYFRDIWPSNEEIAEVVQSSVLPDMFRGTYESITKGNPMWNELPVPSGSLYSWDPTSTYIHEPPYFKNMTLSPPGPHPVKNAYCLLNFGDSITTDHISPAGSIHKDSPAARYLMERGVERKDFNSYGSRRGNDEVMARGTFANIRLVNKLLKGEVGPKTIHIPSGEKLSVFDAAMRYKTEGCDTVILAGAEYGSGSSRYWAAKGPMLLGVKAVITKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGHERYTVNLPSSVSDIKPGQDVTVTTDSGKSFTCTLRFDTEVELAYYNHGGILPYVIRNLTGSKQ >DRNTG_00141.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2371054:2376534:1 gene:DRNTG_00141 transcript:DRNTG_00141.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSGYNHNFLISYQMMSFICFSGLQKYLNQLGFHIVGYGCTTCIGNSGDLDESVSSAISENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFNTEPIGTSKDGKKVYFRDIWPSNEEIAEVVQSSVLPDMFRGTYESITKGNPMWNELPVPSGSLYSWDPTSTYIHEPPYFKNMTLSPPGPHPVKNAYCLLNFGDSITTDHISPAGSIHKDSPAARYLMERGVERKDFNSYGSRRGNDEVMARGTFANIRLVNKLLKGEVGPKTIHIPSGEKLSVFDAAMRYKTEGCDTVILAGAEYGSGSSRYWAAKGPMLLGVKAVITKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGHERYTVNLPSSVSDIKPGQDVTVTTDSGKSFTCTLRFDTEVELAYYNHGGILPYVIRNLTGSKQ >DRNTG_00141.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2367607:2376534:1 gene:DRNTG_00141 transcript:DRNTG_00141.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVPPGSGIVHQVNLEYLGRVVFNNGGMLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLFGVVGFKLSGKMKNGVTATDLVLTVTHMLRKHGVVGKFVEFYGEGMSGLSLADRATIANMSPEYGATMGFFPVDHVTRQYLKLTGRRDDTVAMIESYLRANKMFVDYNLPQIERVYSSYLELNLEDVEPCVSGPKRPHDRVPLKEMKVDWHSCLDNEAGFKGFAVPKDSQNKVAEFSFHGTPAQIKHGDVVIAAITSCTNTSNPSVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVKKYLEKSGLQKYLNQLGFHIVGYGCTTCIGNSGDLDESVSSAISENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFNTEPIGTSKDGKKVYFRDIWPSNEEIAEVVQSSVLPDMFRGTYESITKGNPMWNELPVPSGSLYSWDPTSTYIHEPPYFKNMTLSPPGPHPVKNAYCLLNFGDSITTDHISPAGSIHKDSPAARYLMERGVERKDFNSYGSRRGNDEVMARGTFANIRLVNKLLKGEVGPKTIHIPSGEKLSVFDAAMRYKTEGCDTVILAGAEYGSGSSRYWAAKGPMLLGVKAVITKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGHERYTVNLPSSVSDIKPGQDVTVTTDSGKSFTCTLRFDTEVELAYYNHGGILPYVIRNLTGSKQ >DRNTG_00141.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2367019:2376534:1 gene:DRNTG_00141 transcript:DRNTG_00141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVPPGSGIVHQVNLEYLGRVVFNNGGMLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLFGVVGFKLSGKMKNGVTATDLVLTVTHMLRKHGVVGKFVEFYGEGMSGLSLADRATIANMSPEYGATMGFFPVDHVTRQYLKLTGRRDDTVAMIESYLRANKMFVDYNLPQIERVYSSYLELNLEDVEPCVSGPKRPHDRVPLKEMKVDWHSCLDNEAGFKGFAVPKDSQNKVAEFSFHGTPAQIKHGDVVIAAITSCTNTSNPSVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVKKYLEKSGLQKYLNQLGFHIVGYGCTTCIGNSGDLDESVSSAISENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFNTEPIGTSKDGKKVYFRDIWPSNEEIAEVVQSSVLPDMFRGTYESITKGNPMWNELPVPSGSLYSWDPTSTYIHEPPYFKNMTLSPPGPHPVKNAYCLLNFGDSITTDHISPAGSIHKDSPAARYLMERGVERKDFNSYGSRRGNDEVMARGTFANIRLVNKLLKGEVGPKTIHIPSGEKLSVFDAAMRYKTEGCDTVILAGAEYGSGSSRYWAAKGPMLLGVKAVITKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGHERYTVNLPSSVSDIKPGQDVTVTTDSGKSFTCTLRFDTEVELAYYNHGGILPYVIRNLTGSKQ >DRNTG_00141.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2374967:2376534:1 gene:DRNTG_00141 transcript:DRNTG_00141.10 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGTYESITKGNPMWNELPVPSGSLYSWDPTSTYIHEPPYFKNMTLSPPGPHPVKNAYCLLNFGDSITTDHISPAGSIHKDSPAARYLMERGVERKDFNSYGSRRGNDEVMARGTFANIRLVNKLLKGEVGPKTIHIPSGEKLSVFDAAMRYKTEGCDTVILAGAEYGSGSSRYWAAKGPMLLGVKAVITKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGHERYTVNLPSSVSDIKPGQDVTVTTDSGKSFTCTLRFDTEVELAYYNHGGILPYVIRNLTGSKQ >DRNTG_00141.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2371811:2376534:1 gene:DRNTG_00141 transcript:DRNTG_00141.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLSLADRATIANMSPEYGATMGFFPVDHVTRQYLKLTGRRDDTVAMIESYLRANKMFVDYNLPQIERVYSSYLELNLEDVEPCVSGPKRPHDRVPLKEMKVDWHSCLDNEAGFKGFAVPKDSQNKVAEFSFHGTPAQIKHGDVVIAAITSCTNTSNPSVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVKKYLEKSGLQKYLNQLGFHIVGYGCTTCIGNSGDLDESVSSAISENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFNTEPIGTSKDGKKVYFRDIWPSNEEIAEVVQSSVLPDMFRGTYESITKGNPMWNELPVPSGSLYSWDPTSTYIHEPPYFKNMTLSPPGPHPVKNAYCLLNFGDSITTDHISPAGSIHKDSPAARYLMERGVERKDFNSYGSRRGNDEVMARGTFANIRLVNKLLKGEVGPKTIHIPSGEKLSVFDAAMRYKTEGCDTVILAGAEYGSGSSRYWAAKGPMLLGVKAVITKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGHERYTVNLPSSVSDIKPGQDVTVTTDSGKSFTCTLRFDTEVELAYYNHGGILPYVIRNLTGSKQ >DRNTG_00141.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2375141:2376534:1 gene:DRNTG_00141 transcript:DRNTG_00141.11 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGTYESITKGNPMWNELPVPSGSLYSWDPTSTYIHEPPYFKNMTLSPPGPHPVKNAYCLLNFGDSITTDHISPAGSIHKDSPAARYLMERGVERKDFNSYGSRRGNDEVMARGTFANIRLVNKLLKGEVGPKTIHIPSGEKLSVFDAAMRYKTEGCDTVILAGAEYGSGSSRYWAAKGPMLLGVKAVITKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGHERYTVNLPSSVSDIKPGQDVTVTTDSGKSFTCTLRFDTEVELAYYNHGGILPYVIRNLTGSKQ >DRNTG_00141.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2371811:2376534:1 gene:DRNTG_00141 transcript:DRNTG_00141.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSGYNHNFLISYQMMSFICFSGLQKYLNQLGFHIVGYGCTTCIGNSGDLDESVSSAISENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFNTEPIGTSKDGKKVYFRDIWPSNEEIAEVVQSSVLPDMFRGTYESITKGNPMWNELPVPSGSLYSWDPTSTYIHEPPYFKNMTLSPPGPHPVKNAYCLLNFGDSITTDHISPAGSIHKDSPAARYLMERGVERKDFNSYGSRRGNDEVMARGTFANIRLVNKLLKGEVGPKTIHIPSGEKLSVFDAAMRYKTEGCDTVILAGAEYGSGSSRYWAAKGPMLLGVKAVITKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGHERYTVNLPSSVSDIKPGQDVTVTTDSGKSFTCTLRFDTEVELAYYNHGGILPYVIRNLTGSKQ >DRNTG_00141.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2371054:2376534:1 gene:DRNTG_00141 transcript:DRNTG_00141.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQPMSMVLFGVVGFKLSGKMKNGVTATDLVLTVTHMLRKHGVVGKFVEFYGEGMSGLSLADRATIANMSPEYGATMGFFPVDHVTRQYLKLTGRRDDTVAMIESYLRANKMFVDYNLPQIERVYSSYLELNLEDVEPCVSGPKRPHDRVPLKEMKVDWHSCLDNEAGFKGFAVPKDSQNKVAEFSFHGTPAQIKHGDVVIAAITSCTNTSNPSVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVKKYLEKSGLQKYLNQLGFHIVGYGCTTCIGNSGDLDESVSSAISENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFNTEPIGTSKDGKKVYFRDIWPSNEEIAEVVQSSVLPDMFRGTYESITKGNPMWNELPVPSGSLYSWDPTSTYIHEPPYFKNMTLSPPGPHPVKNAYCLLNFGDSITTDHISPAGSIHKDSPAARYLMERGVERKDFNSYGSRRGNDEVMARGTFANIRLVNKLLKGEVGPKTIHIPSGEKLSVFDAAMRYKTEGCDTVILAGAEYGSGSSRYWAAKGPMLLGVKAVITKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGHERYTVNLPSSVSDIKPGQDVTVTTDSGKSFTCTLRFDTEVELAYYNHGGILPYVIRNLTGSKQ >DRNTG_00141.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2375910:2376534:1 gene:DRNTG_00141 transcript:DRNTG_00141.12 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGVKAVITKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGHERYTVNLPSSVSDIKPGQDVTVTTDSGKSFTCTLRFDTEVELAYYNHGGILPYVIRNLTGSKQ >DRNTG_00141.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2367019:2376534:1 gene:DRNTG_00141 transcript:DRNTG_00141.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQPMSMVLFGVVGFKLSGKMKNGVTATDLVLTVTHMLRKHGVVGKFVEFYGEGMSGLSLADRATIANMSPEYGATMGFFPVDHVTRQYLKLTGRRDDTVAMIESYLRANKMFVDYNLPQIERVYSSYLELNLEDVEPCVSGPKRPHDRVPLKEMKVDWHSCLDNEAGFKGFAVPKDSQNKVAEFSFHGTPAQIKHGDVVIAAITSCTNTSNPSVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVKKYLEKSGLQKYLNQLGFHIVGYGCTTCIGNSGDLDESVSSAISENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFNTEPIGTSKDGKKVYFRDIWPSNEEIAEVVQSSVLPDMFRGTYESITKGNPMWNELPVPSGSLYSWDPTSTYIHEPPYFKNMTLSPPGPHPVKNAYCLLNFGDSITTDHISPAGSIHKDSPAARYLMERGVERKDFNSYGSRRGNDEVMARGTFANIRLVNKLLKGEVGPKTIHIPSGEKLSVFDAAMRYKTEGCDTVILAGAEYGSGSSRYWAAKGPMLLGVKAVITKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGHERYTVNLPSSVSDIKPGQDVTVTTDSGKSFTCTLRFDTEVELAYYNHGGILPYVIRNLTGSKQ >DRNTG_00141.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2367019:2375833:1 gene:DRNTG_00141 transcript:DRNTG_00141.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQPMSMVLFGVVGFKLSGKMKNGVTATDLVLTVTHMLRKHGVVGKFVEFYGEGMSGLSLADRATIANMSPEYGATMGFFPVDHVTRQYLKLTGRRDDTVAMIESYLRANKMFVDYNLPQIERVYSSYLELNLEDVEPCVSGPKRPHDRVPLKEMKVDWHSCLDNEAGFKGFAVPKDSQNKVAEFSFHGTPAQIKHGDVVIAAITSCTNTSNPSVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVKKYLEKSGLQKYLNQLGFHIVGYGCTTCIGNSGDLDESVSSAISENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFNTEPIGTSKDGKKVYFRDIWPSNEEIAEVVQSSVLPDMFRGTYESITKGNPMWNELPVPSGSLYSWDPTSTYIHEPPYFKNMTLSPPGPHPVKNAYCLLNFGDSITTDHISPAGSIHKDSPAARYLMERGVERKDFNSYGSRRGNDEVMARGTFANIRLVNKLLKGEVGPKTIHIPSGEKLSVFDAAMRYKTEGCDTVILAGAEYGSGSSRYWAAKGPMLL >DRNTG_34535.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1000568:1003090:-1 gene:DRNTG_34535 transcript:DRNTG_34535.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSDCLGWWCGAASQKEFHEPKPFSLPCALPQWPKGGGFAEGSICIGELEVVQIKKFQKIWSCVQLDENSNGATFYRPIDIPDGFFSLGHYGQTNDKPLHGFVLVAREIEVCGTRARALEKPIDYELVWCSDDGNGNFDVSCGYFWLPLPPEGYKAVGYVVTKSPDKPSLEEVRCVQSDLTETCETKDLIFKSEAGLFEFQVWKSRPCCRGMWAKGLPVGTFCCSSYNFSGEMVDVSCLKNLDSSLYAMPNLEQINALISHYGPTVFFSILRKCIYPRRSHGFSRTGLHYTGTARLSGSLLT >DRNTG_26858.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14009693:14015217:1 gene:DRNTG_26858 transcript:DRNTG_26858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSKLTTTTTTTRWLPPKLLIAALSTTLLLIWVIDTSTLHFPPRPHPPHYLGTFSPLNTTITTATITTTSAHTWLSIPFPPNYTSTLISRWLSSDDSRTTSIFIPGLDDDTVPNIKLLAGKVHEFLILALDDSGQPRSFGGDYFETDLSSHSWKSRPPVTDLGNGTYSFQLQIHPNFSGTFNFTVVLLFRSFEGLKFSPQRFKFHKQLRNIRIDFYREEEETSSLSPSMELCKGSDFFRELWTGRWTRHGKRMNSSSPSCDVDDSGRYRCLELDFPCETPWCNGPIGALESDGWVYSAHCSFRIFTQDLAWNCLDRKWLFFWGDSNHVDTIRNLLNFVLGLPEIKSVPRRFDLRFANPKNLSQTVRITSIFNGHWNDSMNYLGTAISEE >DRNTG_23062.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23087155:23089207:-1 gene:DRNTG_23062 transcript:DRNTG_23062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNKVERSEIKAGDHIYTWRAVYTYSHHGGSKVVHFTRKKDASSNIDSFDSSSNISPKAPSVCPTFPDCGFQRPNSGVILSCLDCFLGNGSLYLFQYGVTPSVFLAKVRGGTCSTAESDSPEMVIHRAMYLLQNGFGNYDVFENNCEDFALYCKTGLLTLEELGRGRSGQTSSAIGIPLAAIISSPLKLFLAGPVGVATVTAGMYCAGRYITDIGVRKDVVKVAVEDIAANLGWVDSSQDILPENEEQTPR >DRNTG_10256.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19971731:19974461:1 gene:DRNTG_10256 transcript:DRNTG_10256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKMNLAAFLFCSFNHCFGPGIPIEIAAAEEAGRTGTERRRRRAARRVIPERMGRDSGWEEGGRRGGGDAAENRMAPPEHPTAAAENTIFACFAVIEFD >DRNTG_33916.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8810874:8813101:-1 gene:DRNTG_33916 transcript:DRNTG_33916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGSTGCKASNLTWSESTRNRQQEAGSSTLKSGENRTKYQQPKLDLRP >DRNTG_15744.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20390863:20391414:1 gene:DRNTG_15744 transcript:DRNTG_15744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGEQQQQQQQQQPPGSYEEPYRHRSSGGSIGPVIAVLAVIAVLGVIAGVIGRLCSGRRVWGCRQYDFEGWIERKCASCIDGRLDHHHHHHPRPPPPSLVPGSTNGPPASTAETSSEMKPPEPAPPAPV >DRNTG_24825.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31778018:31781026:1 gene:DRNTG_24825 transcript:DRNTG_24825.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGFVRPSPNPSGGGGNRTIDLGNGSEVFYVPRFLSPDRSWEWFHYLDKEIPWTRPLIHVFGRSCVQPRDVCYVASKGLSDLQYSGYQPHAYSWDDYPVLKDILEAVHEALPGSKFNSLLLNRYKTGSDYVSWHSDDEKLYGPAPEIASVSFGCEREFLLKKKPTKKSVWISSKNARCCTFRTTTGKQETQEQSHRAACFCSKTRFNVGNEGIHTEGLATLCAEAFKGELC >DRNTG_24825.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31778018:31781026:1 gene:DRNTG_24825 transcript:DRNTG_24825.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGFVRPSPNPSGGGGNRTIDLGNGSEVFYVPRFLSPDRSWEWFHYLDKEIPWTRPLIHVFGRSCVQPRDVCYVASKGLSDLQYSGYQPHAYSWDDYPVLKDILEAVHEALPGSKFNSLLLNRYKTGSDYVSWHSDDEKLYGPAPEIASVSFGCEREFLLKKKPTKKSAKMQDAAHSEPPPASKRHKSSHTEQHAFVLKHGSMLVMRGYTQRDWLHSVPKRSKANSVRINLTFRQVMV >DRNTG_20107.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:296505:298555:-1 gene:DRNTG_20107 transcript:DRNTG_20107.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRYVEIGRVALVNYGKDYGKLVVIVDVVDQNRALVDAPDMVRCQMNFKRLSLTDIKIDIPRIPKKKSLVAAMEAADVKNKWDNSSWGRKLIVQKRRASLNDFDRFKVMLAKIKRGGAIRQELAKLKKQSA >DRNTG_09946.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6476238:6479488:1 gene:DRNTG_09946 transcript:DRNTG_09946.1 gene_biotype:protein_coding transcript_biotype:protein_coding GHPSHRRRANAGALKISCELLRLFVTEAVQRAAIIAEAEGTEKIEPTHLERILPQLLLDF >DRNTG_09946.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6477740:6479488:1 gene:DRNTG_09946 transcript:DRNTG_09946.2 gene_biotype:protein_coding transcript_biotype:protein_coding SQSKPAEEAYLTVEYFPYAIAANAGALKISCELLRLFVTEAVQRAAIIAEAEGTEKIEPTHLERILPQLLLDF >DRNTG_21948.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2075238:2079856:-1 gene:DRNTG_21948 transcript:DRNTG_21948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTKGKQDTEVMVENNVGTLQINTAREFVLGASGVATNENLNYDKCTPKINETEVITEKNVETLQINMAREFVLGASGVATNENPSYDKCTPKTNETEVITEKNGETLQINTAREFVLGVSGVATNENPSYDPNTPKISEIEVIVEKNVETLEINTAREFVLGASGVATNENPSYDPNTPKISETEVFAEKKVGTLQINMATNFVLKASKSDDDHVCKTPSGGDHGPGTDVISNST >DRNTG_21948.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2075238:2079856:-1 gene:DRNTG_21948 transcript:DRNTG_21948.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTKGKQDTEVMVENNVGTLQINTAREFVLGASGVATNENPSYDKCTPKTNETEVITEKNGETLQINTAREFVLGVSGVATNENPSYDPNTPKISEIEVIVEKNVETLEINTAREFVLGASGVATNENPSYDPNTPKISETEVFAEKKVGTLQINMATNFVLKASKSDDDHVCKTPSGGDHGPGTDVISNST >DRNTG_21948.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2075238:2079856:-1 gene:DRNTG_21948 transcript:DRNTG_21948.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTKGKQDTEVMVENNVGTLQINTAREFVLGASGVATNENPSYDKCTPKTNETEVITEKNGETLQINTAREFVLGVSGVATNENPSYDPNTPKISETEVFAEKKVGTLQINMATNFVLKASKSDDDHVCKTPSGGDHGPGTDVISNST >DRNTG_21948.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2075238:2079856:-1 gene:DRNTG_21948 transcript:DRNTG_21948.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTKGKQDTEVMVENNVGTLQINTAREFVLGASGVATNENLNYDKCTPKINETEVITEKNVETLQINMAREFVLGASGVATNENPSYDKCTPKTNETEVITEKNGETLQINTAREFVLGVSGVATNENPSYDPNTPKISETEVFAEKKVGTLQINMATNFVLKASKSDDDHVCKTPSGGDHGPGTDVISNST >DRNTG_33901.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26703101:26704885:-1 gene:DRNTG_33901 transcript:DRNTG_33901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVSLESICRSSSSSSSSSSSSLDSWWLLKVSFAHAIASSTAASPAASLNPVPVRLSSSMK >DRNTG_11944.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17910593:17911801:-1 gene:DRNTG_11944 transcript:DRNTG_11944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGVASEGRHESRAKWNEIHKAHLVKLLGEYNTPAYRSQNGWTKEAWNKMVRDMITKFSNPIITISQIKALEQELKKNLQASKRVF >DRNTG_06226.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5444800:5447165:-1 gene:DRNTG_06226 transcript:DRNTG_06226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENRKRSSTKFIQARTHIWNHMLRYLDSLSLKCAVELGVPGAIHNHGNPMTLSELIQALHIPTSRAPFLQRIMRILVNSGFFFSFKRNEEEVYELTTSSKLLIKGSINSLAPLVVYISGLETEMAGQAMSAWIKGASDGGGDDENKTPFHLAYGKSVFEFASERPEFNALFNEAMASDGKVLMGEVVKEWGDVLFGKLRSLVDVGGGNGSAASVIAGAFPAVKCSVLDLSHVVDIQPDNGLVEFVRGDMFVHVPAADAVLLKWVLHDWSNEDCVKILKTCKKAISNEVGKVIIIEAIVEHDADSDETTKTQYLLDIHMMTVTTGKERDENEWKTIFVQAGFPSYKIVCDFGVHCVIEVYP >DRNTG_07910.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2563244:2563847:-1 gene:DRNTG_07910 transcript:DRNTG_07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTTDQTTVDISPKNSPLPSQDQQHQQQQQQQAEEQQQRQHHRGWEGNESSTLLVVATLITALTYQIGSNPPGGFWQDDNGHEAGAPIMRDKHRKRYWLFMTAAWVGFGDSMLLTLWLLTGAPVRSRRVRWSFVVAYSSLQLTYITAMHGTSLVMDLLTWDWDHVRFVSCYWD >DRNTG_23004.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1703939:1706029:-1 gene:DRNTG_23004 transcript:DRNTG_23004.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCAYIYIYYSSNLIFSMYLIYICMYCRSINNKCGTHQIIKSEGLSMPSNYSNPNTLKQRRSEDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERALDGQITEIVYKGTHNHPKPQPARRDSLSSQALQASNSQHEASDHSFGGTPDNSSASFGDDDVDPCKPDGDELDREEPDAKRWKSEGDNESVSVSGNRTVREPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPR >DRNTG_23004.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1703939:1706029:-1 gene:DRNTG_23004 transcript:DRNTG_23004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPNPTQTSLFMSKNLPTCNPFNLLLLLRSINNKCGTHQIIKSEGLSMPSNYSNPNTLKQRRSEDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERALDGQITEIVYKGTHNHPKPQPARRDSLSSQALQASNSQHEASDHSFGGTPDNSSASFGDDDVDPCKPDGDELDREEPDAKRWKSEGDNESVSVSGNRTVREPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSNGCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGSAGNLNNNNITMAIRPSAMASHTTNNAMNARPSSSSQSQAPFTLQMVQNQVYENSSSMAYYMSQQQQHQHQEQRVQMENMLSRTKDEPREDFFSWLN >DRNTG_26002.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23072938:23074118:1 gene:DRNTG_26002 transcript:DRNTG_26002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRDIESTLPPGFRFYPSDEELVCHYLYKKVADHHQSASEGTMVEVDLHTCEPWELPEMAKLTANEWYFFSFRDRKYSTGSRTNRATKSGYWKATGKDRMIYNTSSNVIVGMRKTLVFYSGRAPNGIKTSWVMHEFRLENPLTLPKEDWVLCRVFKKKKGDESHASTSADEQAMQEYNNNISEKMSTSLIYVPDEQDEDMYNKESSSNSILNLAMFQCCHFLDEVDYSTSIGMRMINSRTDHGDDVDDYGLLLDVGLINNSIGM >DRNTG_08438.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21313445:21317931:-1 gene:DRNTG_08438 transcript:DRNTG_08438.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:BASS2 [Source:Projected from Arabidopsis thaliana (AT2G26900) UniProtKB/TrEMBL;Acc:A0A178VXV9] MLSKKFYDLEYHLMGRTTQVTWLETDLFTVGLGLLMLSMGLTLTFEDFRRCMRNPWTVGVGFLAQYMIKPLLGYVIAKTLRLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMSICSTIGAIVMTPLLTMLLAGQLVPVNAVGLAISTFQVVLVPTITGVLLHEYFPKFTKKIITLMPLIGVVLTTLLCASPIGLVSEVLKTQGTQVIIPVAILHIGAFALGYWLSRVSSFGESTSRTISIECGMQSSALGFLLAKRHFTNPLVAVPSAVSVVCMALGGSVLAVFWRNKPIPADDKDDFEE >DRNTG_08438.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21313445:21317931:-1 gene:DRNTG_08438 transcript:DRNTG_08438.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BASS2 [Source:Projected from Arabidopsis thaliana (AT2G26900) UniProtKB/TrEMBL;Acc:A0A178VXV9] MLSKKFYDLEYHLMGRTTQVTWLETDLFTVGLGLLMLSMGLTLTFEDFRRCMRNPWTVGVGFLAQYMIKPLLGYVIAKTLRLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMSICSTIGAIVMTPLLTMLLAGQLVPVNAVGLAISTFQVVLVPTITGVLLHEYFPKFTKKIITLMPLIGVVLTTLLCASPVSSETENLVYNIVLLTGN >DRNTG_08438.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21313445:21317931:-1 gene:DRNTG_08438 transcript:DRNTG_08438.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:BASS2 [Source:Projected from Arabidopsis thaliana (AT2G26900) UniProtKB/TrEMBL;Acc:A0A178VXV9] MTPLLTMLLAGQLVPVNAVGLAISTFQVVLVPTITGVLLHEYFPKFTKKIITLMPLIGVVLTTLLCASPIGLVSEVLKTQGTQVIIPVAILHIGAFALGYWLSRVSSFGESTSRTISIECGMQSSALGFLLAKRHFTNPLVAVPSAVSVVCMALGGSVLAVFWRNKPIPADDKDDFEE >DRNTG_08438.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21313445:21317931:-1 gene:DRNTG_08438 transcript:DRNTG_08438.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:BASS2 [Source:Projected from Arabidopsis thaliana (AT2G26900) UniProtKB/TrEMBL;Acc:A0A178VXV9] MLSKKFYDLEYHLMGRTTQVTWLETDLFTVGLGLLMLSMGLTLTFEDFRRCMRNPWTVGVGFLAQYMIKPLLGYVIAKTLRLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMSM >DRNTG_08438.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21313445:21317931:-1 gene:DRNTG_08438 transcript:DRNTG_08438.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:BASS2 [Source:Projected from Arabidopsis thaliana (AT2G26900) UniProtKB/TrEMBL;Acc:A0A178VXV9] MLSKKFYDLEYHLMGRTTQVTWLETDLFTVGLGLLMLSMGLTLTFEDFRRCMRNPWTVGVGFLAQYMIKPLLGYVIAKTLRLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMSICSTIGAIVMTPLLTMLLAGQLVPVNAVGLAISTFQVVLVPTITGGN >DRNTG_26041.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9877248:9881329:-1 gene:DRNTG_26041 transcript:DRNTG_26041.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKDDALKCLRIGKVALESGDKGRALKFLSKAHRLDPSLPIDELLSAALGGESQDESSSSNGVNPSDAPPAEEAGNTDSAFASTASSSTTARTRISSNGSAARGCTQEQIAIVREIKKQKDFYKILDLERNCTIEDVRKAYRKISLKVHPDKNSAPGSDEAFKAVSKAFQCLSNEESRKRYDLVGSEELNYGVGRPAARNNYHGFNGFYESDFDADEIFRNFFFGGGPMQTTPFGTFHFRTGGMGRHTANEMHGGGGGSNLRILIQILPVILLLLLNFLPSSEPVYSLSQSYQHDYKVETPRGVPYYVKRDKFEKDYPYQSSERLALEKRIEREYIGILSQNCRVELQRQRWGLSYETPYCDMLQKFD >DRNTG_26041.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9876766:9881329:-1 gene:DRNTG_26041 transcript:DRNTG_26041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKDDALKCLRIGKVALESGDKGRALKFLSKAHRLDPSLPIDELLSAALGGESQDESSSSNGVNPSDAPPAEEAGNTDSAFASTASSSTTARTRISSNGSAARGCTQEQIAIVREIKKQKDFYKILDLERNCTIEDVRKAYRKISLKVHPDKNSAPGSDEAFKAVSKAFQCLSNEESRKRYDLVGSEELNYGVGRPAARNNYHGFNGFYESDFDADEIFRNFFFGGGPMQTTPFGTFHFRTGGMGRHTANEMHGGGGGSNLRILIQILPVILLLLLNFLPSSEPVYSLSQSYQHDYKVETPRGVPYYVKRDKFEKDYPYQSSERLALEKRIEREYIGILSQNCRVELQRQRWGLSYETPYCDMLQKFD >DRNTG_26041.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9877248:9881329:-1 gene:DRNTG_26041 transcript:DRNTG_26041.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKDDALKCLRIGKVALESGDKGRALKFLSKAHRLDPSLPIDELLSAALGGESQDESSSSNGVNPSDAPPAEEAGNTDSAFASTASSSTTARTRISSNGSAARGCTQEQIAIVREIKKQKDFYKILDLERNCTIEDVRKAYRKISLKVHPDKNSAPGSDEAFKAVSKAFQCLSNEESRKRYDLVGSEELNYGVGRPAARNNYHGFNGFYESDFDADEIFRNFFFGGGPMQTTPFGTFHFRTGGMGRHTANEMHGGGGGSNLRILIQILPVILLLLLNFLPSSEPVYSLSQSYQHDYKVETPRGVPYYVKRDKFEKDYPYQSSERLALEKRIEREYIGILSQNCRVELQRQRWGLSYETPYCDMLQKFD >DRNTG_01124.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:15068761:15073205:-1 gene:DRNTG_01124 transcript:DRNTG_01124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGFGSIFCRPKIAETENPHGRVEIIHGRVEISHGRVFHTRPWSRPIPPLFKANSAPILVFFSPSFPQLVRGLRLGFRGVLARVLGKFYGSDIVIPLGRRLVGELRSRRILYWTKESLDDE >DRNTG_11893.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21532150:21536825:1 gene:DRNTG_11893 transcript:DRNTG_11893.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 35 [Source:Projected from Arabidopsis thaliana (AT5G35570) UniProtKB/Swiss-Prot;Acc:Q94BY4] MGFQQHQGNDVVSQNPRLPASVTRRTHSFKRGSGEVELQIGSPKSTGPSPSDSPNSEAAGSPPTTPGRWQGHHQHQNLRSRLGTSLLDRELFNKHSEVVVLGFRGRKRIGNLVFLVFCGICLLLGILKIWDGGFRLGMLERGGRYEDLQESVITDHAVVFSHNHIYREGSDGERTLMTISVSSGVNQKSIDENSGIWAKPNSENYTQCIGHPNLRKKPDMKTNGYILINANGGLNQMRFGICDMAAIAKIMKATLVLPSLDHTSYWADESGFKDLFDWEHFIKTLKDEVAIVETLPDAYANIEPFSKTPISWSKVNYYKSDVLPLLKQHKVIYFTHTDSRLANNGLPNSIQKLRCRVNYRALKYSAPIEELGSILVSRMREGGSPYIALHLRYEKDMLAFTGCSHNLTSEEGEELRRMRYEVSHWKEKEIDGSERRKLGGCPLTPRETSLLLKGLGFPSSARIYLVAGEAYGNGSMQYLLNDFPNIYSHSTLATDEELSPFKNHQNMLAGLDYVVALQSNVFVYTYDGNMAKAVQGHRRFENFRKTISPDKLNFVKLVDEFDEGKLSWKKFSLKVQKLHKDRAGAPYIREPGEFPKLEESFYANPLPGCICEAREGS >DRNTG_11893.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21532150:21536825:1 gene:DRNTG_11893 transcript:DRNTG_11893.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 35 [Source:Projected from Arabidopsis thaliana (AT5G35570) UniProtKB/Swiss-Prot;Acc:Q94BY4] MGFQQHQGNDVVSQNPRLPASVTRRTHSFKRGSGEVELQIGSPKSTGPSPSDSPNSEAAGSPPTTPGRWQGHHQHQNLRSRLGTSLLDRELFNKHSEVVVLGFRGRKRIGNLVFLVFCGICLLLGILKIWDGGFRLGMLERGGRYEDLQESVITDHAVVFSHNHIYREGSDGERTLMTISVSSGVNQKSIDENSGIWAKPNSENYTQCIGHPNLRKKPDMKTNGYILINANGGLNQMRFGICDMAAIAKIMKATLVLPSLDHTSYWADESGFKDLFDWEHFIKTLKDEVAIVETLPDAYANIEPFSKTPISWSKVNYYKSDVLPLLKQHKVIYFTHTDSRLANNGLPNSIQKLRCRVNYRALKYSAPIEELGSILVSRMREGGSPYIALHLRYEKDMLAFTGCSHNLTSEEGEELRRMRYEVSHWKEKEIDGSERRKLGGCPLTPRETSLLLKGLGFPSSARIYLVAGEAYGNGSMQYLLNDFPNIYSHSTLATDEELSPFKNHQNMLAGLDYVVALQSNVFVYTYDGNMAKAVQGHRRFENFRKTISPDKLNFVKLVDEFDEGKLSWKKFSLKVQKLHKDRAGAPYIREPGEFPKLEESFYANPLPGCICEAREGS >DRNTG_11239.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21901822:21905239:-1 gene:DRNTG_11239 transcript:DRNTG_11239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNPPVAGAESSSRLAPGFRFHPTDEELVGYYLKRYILGRKIPVDAIAEVDIYKHEPWDLPPLSRLQSRDMEWYFFSPLDRKYSNRSRTNRGTAGGYWKTTGKDRPIRNGTRCVGMKKTLVFHSGRAPHGERTNWVMHEYRLEDDNLKLSGVPQDGYAVCRIFQKRGTGPQNGAQYGAPFVEEEWEEADDLAMETVNGDGVFVGGGIQDFFEENDLLQEPENLPLSHENPDEQDAGSHLEDVLQYPIFGDNANGVTNVPGLLEDPTLTNIVGASAVNLEQFEIFPSQNDGFVELNDFVRTLGLDEDTNESAEFFSGFPADQNILIDEEECGNPLEVNIEEFFDIINENLDVPQQPLQIPSALQDVHLQPNDSQLAENVPMFYDAPNHGPSYGQDSFSCSNEFCPLEAEPWGFDRVDELMEYFDATDNSLYYNSLVPPGNLGNFDSSNFSQANLFVEFDGSSDPPNDALPQASDTDAGLGASSSGTLLTANELINKDKSFICEQGFDKNNASDKTFSKSLVHMLGSIPSAPAFAAEYPADPVKSLASSSADLSVSSLHLTAGMIHISSMAVVDGANRWSLQKNGDMSFLLSYGMYGDAKLGQIVCLEPITKMSSGFLSRMIRCGFYCIFLSTLILAANCKIGVLIYTR >DRNTG_27506.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17062200:17066408:-1 gene:DRNTG_27506 transcript:DRNTG_27506.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKDEQSETERPVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCNDEMTHQYKGRTVMTEAERYESLRHCKWVDEVIPDAPWVITKEFLDKHKIDFVAHDALP >DRNTG_27506.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17062312:17066408:-1 gene:DRNTG_27506 transcript:DRNTG_27506.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKDEQSETERPVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCNDEMTHQYKGRTVMTEAERYESLRHCKWVDEVIPDAPWVITKEFLDKHKIDFVAHDALPYADASGAGNDVYEFVKALGKFKETKRTEGISTSDIIMRILKDYNQYVMRNLARGYTRKDLGVSYVKEKRLRVNMGLKKLQEKVKQQQEKVGEKLHTVDEWVENADRWVAGFLEKFEEGCHQMGTAIKERIKESIQDGLKRQQSKNFSLLQYEED >DRNTG_27506.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17062312:17066468:-1 gene:DRNTG_27506 transcript:DRNTG_27506.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKDEQSETERPVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCNDEMTHQYKGRTVMTEAERYESLRHCKWVDEVIPDAPWVITKEFLDKHKIDFVAHDALPYADASGAGNDVYEFVKALGKFKETKRTEGISTSDIIMRILKDYNQYVMRNLARGYTRKDLGVSYVKEKRLRVNMGLKKLQEKVKQQQEKVGEKLHTVDEWVENADRWVAGFLEKFEEGCHQMGTAIKERIKESIQDGLKRQQSKNFSLLQYEED >DRNTG_27506.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17062254:17066408:-1 gene:DRNTG_27506 transcript:DRNTG_27506.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKDEQSETERPVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCNDEMTHQYKGRTVMTEAERYESLRHCKWVDEVIPDAPWVITKEFLDKHKIDFVAHDALPYADASGAGNDVYEFVKALGKFKETKRTEGISTSDIIMRILKDYNQYVMRNLARGYTRKDLGVSYVKEKRLRVNMGLKKLQEKVKQQQEKVGEKLHTVDEWVENADRWVAGFLEKFEEGCHQMGTAIKERIKESIQDGLKRQQSKNFSLLQYEED >DRNTG_27506.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17062254:17066468:-1 gene:DRNTG_27506 transcript:DRNTG_27506.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKDEQSETERPVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCNDEMTHQYKGRTVMTEAERYESLRHCKWVDEVIPDAPWVITKEFLDKHKIDFVAHDALPYADASGAGNDVYEFVKALGKFKETKRTEGISTSDIIMRILKDYNQYVMRNLARGYTRKDLGVSYVKEKRLRVNMGLKKLQEKVKQQQEKVGEKLHTVDEWVENADRWVAGFLEKFEEGCHQMGTAIKERIKESIQDGLKRQQSKNFSLLQYEED >DRNTG_27506.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17062200:17066468:-1 gene:DRNTG_27506 transcript:DRNTG_27506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKDEQSETERPVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCNDEMTHQYKGRTVMTEAERYESLRHCKWVDEVIPDAPWVITKEFLDKHKIDFVAHDALPYADASGAGNDVYEFVKALGKFKETKRTEGISTSDIIMRILKDYNQYVMRNLARGYTRKDLGVSYVKEKRLRVNMGLKKLQEKVKQQQEKVGEKLHTVDEWVENADRWVAGFLEKFEEGCHQMGTAIKERIKESIQDGLKRQQSKNFSLLQYEED >DRNTG_27506.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17062154:17066408:-1 gene:DRNTG_27506 transcript:DRNTG_27506.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKDEQSETERPVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCNDEMTHQYKGRTVMTEAERYESLRHCKWVDEVIPDAPWVITKEFLDKHKIDFVAHDALPYADASGAGNDVYEFVKALGKFKETKRTEGISTSDIIMRILKDYNQYVMRNLARGYTRKDLGVSYVKEKRLRVNMGLKKLQEKVKQQQEKVGEKLHTVDEWVENADRWVAGFLEKFEEGCHQMGTAIKERIKESIQDGLKRQQSKNFSLLQYEED >DRNTG_27506.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17062200:17066408:-1 gene:DRNTG_27506 transcript:DRNTG_27506.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKDEQSETERPVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCNDEMTHQYKGRTVMTEAERYESLRHCKWVDEVIPDAPWVITKEFLDKHKIDFVAHDALPYADASGAGNDVYEFVKALGKFKETKRTEGISTSDIIMRILKDYNQYVMRNLARGYTRKDLGVSYVKEKRLRVNMGLKKLQEKVKQQQEKVGEKLHTVDEWVENADRWVAGFLEKFEEGCHQMGTAIKERIKESIQDGLKRQQSKNFSLLQYEED >DRNTG_20594.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001135.1:11:3354:-1 gene:DRNTG_20594 transcript:DRNTG_20594.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAEAMAKLEGMEALDRERLWPQLVQGFKDLSDRLKLQDEVIVSDTERLRQTQSQCQ >DRNTG_20594.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001135.1:11:3354:-1 gene:DRNTG_20594 transcript:DRNTG_20594.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWAEAMAKLEGMEALDRERLWPQLVQGFKDLSDRLKLQDEVIVSDTERLRQTQSQCQ >DRNTG_20594.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001135.1:11:3354:-1 gene:DRNTG_20594 transcript:DRNTG_20594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAEAMAKLEGMEALDRERLWPQLVQGFKDLSDRLKLQDEVIVSDTERLRQTQSQCQ >DRNTG_09469.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21357215:21361096:-1 gene:DRNTG_09469 transcript:DRNTG_09469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPSVILATAGYDHTIRFWEAKSGRCYRTLQYPDSQVNRLEITPDKQYLAAAGNPHIRLFDVNSLSPQPVMSYDSHTSNVMAVGFQCDGNWMYSGSEDGTVKIWDLRAPGAQREYESRAAVNTVVLHPNQTELISGDQNGNIRVWDLTANSCSCELVPEVDTAVRSLTVMWDGSMVVAANNRGTCYVWRLLRGVQTMTYFEPLHKLQAHDGYILKCLLSPEFCDPHRYLATASADHTVKIWNVDGFTLERTLTGHQRWVWDCVFSVDGAFLITASSDSTARLWTMATGEAIRVYQGHHKATVCCALHDGSTESSPS >DRNTG_08766.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18473349:18481918:-1 gene:DRNTG_08766 transcript:DRNTG_08766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKEGLVPITRAFLARYYDKYPPPPLSEDIDRLTTELRRMADRLLEQCPLKPGEELLAHEANLEPPHKVDENLWKNREHIEEILFLLEKSHWPTSLQKKTTTEEEDIGGMIEGIEISLRKTLKKLEMFQLTNAENVFNTVMTYMPQDFRGSLIRQQRERSERNKQAEVDALVNSGGSIRDRYALLWKQQMERRRLLAQLGSATGVYKTLVKYLVGVPQVLLDFVRQINDDDGPMEEQRQRYGPCVYKLTTFALTIRLFLFLSWGRFEVVKLQKDEALVVEQAVHVYTSEFERVIMFIGEVFANSPFFISAEDAGAAECRKVDDYKEIVIAAGRTYEVTLTVDSVNSFIAWDFALIQGTLNLDVGFRVEYVSPSGATTLILPNQRYERDQGNFSTILAGTYKLIWDNSYSSFFKKNLRYKVDAIPAVEPAPAQPAAETE >DRNTG_08766.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18473812:18481918:-1 gene:DRNTG_08766 transcript:DRNTG_08766.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKEGLVPITRAFLARYYDKYPPPPLSEDIDRLTTELRRMADRLLEQCPLKPGEELLAHEANLEPPHKVDENLWKNREHIEEILFLLEKSHWPTSLQKKTTTEEEDIGGMIEGIEISLRKTLKKLEMFQLTNAENVFNTVMTYMPQDFRGSLIRQQRERSERNKQAEVDALVNSGGSIRDRYALLWKQQMERRRLLAQLGSATGVYKTLVKYLVGVPQVLLDFVRQINDDDGPMEEQRQRYGPCVYKLTTFALTIRLFLFLSWGRFEVVKLQKDEALVVEQAVHVYTSEFERVIMFIGEVFANSPFFISAEDAGAAECRKVDDYKEIVIAAGRTYEVTLTVDSVNSFIAWDFALIQGTLNLDVGFRVEYVSPSGATTLILPNQRYERDQGNFSTILAGTYKLIWDNSYSSFFKKNLRYKVDAIPAVEPAPAQPAAETE >DRNTG_16155.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23995688:24023067:1 gene:DRNTG_16155 transcript:DRNTG_16155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAILILSLCATLGILAPACKATEFVLYSNPATVLVPGQNFSYDMTPIGLPYGPADLVMQKDCNLVSHYKGEFSWASNTSGAADYCYLTVSNYGAAVIKGNYHYPVWTSPITSNISGDYVLILQWNGGLATYGPSIWSSTNKAEFGSIEIKNETKDYVVYSYSVLPIGPIATYKDFHLVLEDSCNLVLRRTDSGKVLWQTNKYSDCHDCFVELDGNGELFVKHRRREILWRSNSRSTPGLYVFVLRYDARLVIYGSQIWTTKPFW >DRNTG_16155.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23995688:23996516:1 gene:DRNTG_16155 transcript:DRNTG_16155.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAILILSLCATLGILAPACKATEFVLYSNPATVLVPGQNFSYDMTPIGLPYGPADLVMQKDCNLVSHYKGEFSWASNTSGAADYCYLTVSNYGAAVIKGNYHYPVWTSPITSNISGDYVLILQWNGGLATYGPSIWSSTNKAEFGSIEIKNETKDYVVYSYSVLPIGPIATYKDFHLVLEDSCNLVLRRTDSGKVLWQTNKYSDCP >DRNTG_21311.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1482119:1482808:1 gene:DRNTG_21311 transcript:DRNTG_21311.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMHHMIRLLLERSLWNKRDVGIPYLTGFHPTTPGEVRDWQSFVLSKNQSTLFSFAGSSHVRQCIGGGGSTLSLFLNSTFCLQPRDDSFTRRSMFDCIVVGAILVMFWRRSAHEQYEWYLPMGEEKEKEWLVFADKRNVRRGVVRMKEVLEGIEEKKVRMMRKKVVELIPKLVYLAEKWLYGGRRRCGAQWVFPEGGEA >DRNTG_27848.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001412.1:87074:88092:-1 gene:DRNTG_27848 transcript:DRNTG_27848.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLPLLLLFLLFLTITTTTSSSSSSSSSSSSEKLKKMKKKKITHFTVYWHDIVSGPNPSGADVARAPITNTSTTLFGLVRIIDNPLTKGPTMSSDLVGRAQGFYASTSLEYVAFMMAMNFAFTSGKYNGSTVTILGRNEASADVREMPVIGGSGLFRWAQGYAQARTSMANLTTNDVVVKYDVHVMHY >DRNTG_27848.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001412.1:6571:87914:-1 gene:DRNTG_27848 transcript:DRNTG_27848.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLPLLLLFLLFLTITTTTTSSSSSSSSEKLKRMKKKKITHFTVYWHDIMSGPNPSGAMVAQAPTTKTSTTGFGLVRIIDNPLTKGPTMSSDLVGRAQGFYASTSMEYVGLMMAMNFAFTSGKYNGSTVTILGRNEVFTEVREMPVIGGSGLFRWAQGYAQARTSMLNMTTGDAVVKYDVHVMHY >DRNTG_27848.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001412.1:6571:7342:-1 gene:DRNTG_27848 transcript:DRNTG_27848.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLPLLLLFLLFLTITTTTTSSSSSSSSEKLKRMKKKKITHFTVYWHDIMSGPNPSGAMVAQAPTTKTSTTGFGLVRIIDNPLTKGPTMSSDLVGRAQGFYASTSMEYVGLMMAMNFAFTSGKYNGSTVTILGRNEVFTEVREMPVIGGSGLFRWAQGYAQARTSMLNMTTGDAVVKYDVHVMHY >DRNTG_27848.10.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001412.1:87255:87914:-1 gene:DRNTG_27848 transcript:DRNTG_27848.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLPLLLLFLLFLTITTTTSSSSSSSSSSSSEKLKKMKKKKITHFTVYWHDIVSGPNPSGADVARAPITNTSTTLFGLVRIIDNPLTKGPTMSSDLVGRAQGFYASTSLEYVAFMMAMNFAFTSGKYNGSTVTILGRNEASADVREMPVIGGSGLFRWAQGYAQARTSMANLTTNDVVVKYDVHVMHY >DRNTG_27848.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001412.1:6487:7425:-1 gene:DRNTG_27848 transcript:DRNTG_27848.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLPLLLLFLLFLTITTTTTSSSSSSSSEKLKRMKKKKITHFTVYWHDIMSGPNPSGAMVAQAPTTKTSTTGFGLVRIIDNPLTKGPTMSSDLVGRAQGFYASTSMEYVGLMMAMNFAFTSGKYNGSTVTILGRNEVFTEVREMPVIGGSGLFRWAQGYAQARTSMLNMTTGDAVVKYDVHVMHY >DRNTG_27848.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001412.1:6487:7342:-1 gene:DRNTG_27848 transcript:DRNTG_27848.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLPLLLLFLLFLTITTTTTSSSSSSSSEKLKRMKKKKITHFTVYWHDIMSGPNPSGAMVAQAPTTKTSTTGFGLVRIIDNPLTKGPTMSSDLVGRAQGFYASTSMEYVGLMMAMNFAFTSGKYNGSTVTILGRNEVFTEVREMPVIGGSGLFRWAQGYAQARTSMLNMTTGDAVVKYDVHVMHY >DRNTG_27848.9.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001412.1:87146:87914:-1 gene:DRNTG_27848 transcript:DRNTG_27848.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLPLLLLFLLFLTITTTTSSSSSSSSSSSSEKLKKMKKKKITHFTVYWHDIVSGPNPSGADVARAPITNTSTTLFGLVRIIDNPLTKGPTMSSDLVGRAQGFYASTSLEYVAFMMAMNFAFTSGKYNGSTVTILGRNEASADVREMPVIGGSGLFRWAQGYAQARTSMANLTTNDVVVKYDVHVMHY >DRNTG_27848.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001412.1:6571:7425:-1 gene:DRNTG_27848 transcript:DRNTG_27848.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLPLLLLFLLFLTITTTTTSSSSSSSSEKLKRMKKKKITHFTVYWHDIMSGPNPSGAMVAQAPTTKTSTTGFGLVRIIDNPLTKGPTMSSDLVGRAQGFYASTSMEYVGLMMAMNFAFTSGKYNGSTVTILGRNEVFTEVREMPVIGGSGLFRWAQGYAQARTSMLNMTTGDAVVKYDVHVMHY >DRNTG_27848.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001412.1:87146:88092:-1 gene:DRNTG_27848 transcript:DRNTG_27848.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLPLLLLFLLFLTITTTTSSSSSSSSSSSSEKLKKMKKKKITHFTVYWHDIVSGPNPSGADVARAPITNTSTTLFGLVRIIDNPLTKGPTMSSDLVGRAQGFYASTSLEYVAFMMAMNFAFTSGKYNGSTVTILGRNEASADVREMPVIGGSGLFRWAQGYAQARTSMANLTTNDVVVKYDVHVMHY >DRNTG_27848.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001412.1:6571:7537:-1 gene:DRNTG_27848 transcript:DRNTG_27848.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLPLLLLFLLFLTITTTTTSSSSSSSSEKLKRMKKKKITHFTVYWHDIMSGPNPSGAMVAQAPTTKTSTTGFGLVRIIDNPLTKGPTMSSDLVGRAQGFYASTSMEYVGLMMAMNFAFTSGKYNGSTVTILGRNEVFTEVREMPVIGGSGLFRWAQGYAQARTSMLNMTTGDAVVKYDVHVMHY >DRNTG_14916.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20455112:20462317:1 gene:DRNTG_14916 transcript:DRNTG_14916.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ACCUMULATION AND REPLICATION OF CHLOROPLASTS 3 [Source:Projected from Arabidopsis thaliana (AT1G75010) UniProtKB/Swiss-Prot;Acc:Q6F6B5] MAVILVASAGHGMDLITATELLSAVKSAGGLSVAILLKPFTFEGQRRLEEVDDIASKLRECSHFYIVVEADSLLKVEVETLAEALESANNAVFVALITISFLISEMHMKLLDTSAALPKLLKSLDVLKLLESYGEAKVSFGAGYNIKTTIAQAISRCPSLCDGLKNSNGLVIFTLASASPLEENDLLAHVQIFRQITSCTCEIIFSRIHEPDLEPNLIILTLLIVGCDEKTVPNKKSFFGGLAVRFPFLSSFLGKSIPEQRNNYASSRTSDVFNLSDNMNLSDGAVDKEAESFSKELSFDNDRSCIESSDIPNQSTSGNEFQTDLVQSTEEADMETEQPGSWDVSPGYQIAQVWAKERAILSGTEKIDKLDTFTFSSHIGVKSSDQISDGSSYSDSKLPKSFGGTSRISLVPPSIPYMELKSGTGFEAIKDMYSSAVTRLKGKQEIRPRKRGLLSDRAASVLEAERDSLKSWTPILEMQYRGGTYRGRCQEGLPEGKGRLTFTDGSFYDGMWRYGKRSGTGTFCYSNGDVFQGSWRDDLIHGKGWFYFHDGGRWFANFWKNKANGEGRLYSKDGSIFFGHFSNGWRDGQSLCIDADGTRWTELWDDGVLVTRTRLDKDDETGG >DRNTG_14916.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20455112:20462317:1 gene:DRNTG_14916 transcript:DRNTG_14916.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ACCUMULATION AND REPLICATION OF CHLOROPLASTS 3 [Source:Projected from Arabidopsis thaliana (AT1G75010) UniProtKB/Swiss-Prot;Acc:Q6F6B5] MLFVIDRCDEKTVPNKKSFFGGLAVRFPFLSSFLGKSIPEQRNNYASSRTSDVFNLSDNMNLSDGAVDKEAESFSKELSFDNDRSCIESSDIPNQSTSGNEFQTDLVQSTEEADMETEQPGSWDVSPGYQIAQVWAKERAILSGTEKIDKLDTFTFSSHIGVKSSDQISDGSSYSDSKLPKSFGGTSRISLVPPSIPYMELKSGTGFEAIKDMYSSAVTRLKGKQEIRPRKRGLLSDRAASVLEAERDSLKSWTPILEMQYRGGTYRGRCQEGLPEGKGRLTFTDGSFYDGMWRYGKRSGTGTFCYSNGDVFQGSWRDDLIHGKGWFYFHDGGRWFANFWKNKANGEGRLYSKDGSIFFGHFSNGWRDGQSLCIDADGTRWTELWDDGVLVTRTRLDKDDETGG >DRNTG_25248.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19275963:19276809:-1 gene:DRNTG_25248 transcript:DRNTG_25248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPTKASLSDPFANAPATSWPSSLASSLASAVAPSPPPSSTASGPSSPTASTSASQPAMISRRTRCRVPRRWGTLRSLLLSRSLQSKKGMRENIRFRVLIDSQIYRLSDVVSE >DRNTG_14110.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7797347:7799691:1 gene:DRNTG_14110 transcript:DRNTG_14110.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPLLLLALLALAVAEPPPSERSALLSFLSKTPHESRIQWNSSTPTCSWVGVTCDPNATTVIALRLPGTGLVGPIPSSTLSNLPSLRILSLHSNRLSGPLPSDFSNLTLLRSLYLHNNLLSGPFPPAIPLFPHLTRLDLSGNNFSGEIPFSLNNLTHLSRLFLQHNHFSGSLPSIDLVSLTSFDVSYNALNGSVPRSLDRFPESSFTGNLDLCGSPLPPCTPFFPAPAPAPEVPTKSSSGGLSKKAIIGISVAGGLIVIILLTVLLVCCFFRCCHRRSRDKPKPDVPPPPPPPVVSGGGESSRATAEVDDGETNRLVFVGKRGYGFDLEDLLRASAEVLGKGSVGTSYKAVLEDGTTVVVKRLKDVSAPKPDFEAHVEIIGRLDEHPNVLPLRAFYYSKDEKLLVYDYLPSGSLSALLHGSRGSGRTPLDWESRARIAMAAGKGLAHLHSAGIVNGNIKASNVILRPEPDSAAISDFGLTPIFPPSTVSRASAGYRAPEVTATLKVTFKSDIYSLGVLILELLTGRAPNQAVTVGGGEDEGVVDLPRWVQSVVREEWTAEVFDAELMRYPGVEEEMVGLLQVAMACVSTMPDSRPDAAEVVRMIKNVVGRTDDEDGNVPSRGGSGAPSPPPASTP >DRNTG_14110.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7797202:7799691:1 gene:DRNTG_14110 transcript:DRNTG_14110.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPLLLLALLALAVAEPPPSERSALLSFLSKTPHESRIQWNSSTPTCSWVGVTCDPNATTVIALRLPGTGLVGPIPSSTLSNLPSLRILSLHSNRLSGPLPSDFSNLTLLRSLYLHNNLLSGPFPPAIPLFPHLTRLDLSGNNFSGEIPFSLNNLTHLSRLFLQHNHFSGSLPSIDLVSLTSFDVSYNALNGSVPRSLDRFPESSFTGNLDLCGSPLPPCTPFFPAPAPAPEVPTKSSSGGLSKKAIIGISVAGGLIVIILLTVLLVCCFFRCCHRRSRDKPKPDVPPPPPPPVVSGGGESSRATAEVDDGETNRLVFVGKRGYGFDLEDLLRASAEVLGKGSVGTSYKAVLEDGTTVVVKRLKDVSAPKPDFEAHVEIIGRLDEHPNVLPLRAFYYSKDEKLLVYDYLPSGSLSALLHGSRGSGRTPLDWESRARIAMAAGKGLAHLHSAGIVNGNIKASNVILRPEPDSAAISDFGLTPIFPPSTVSRASAGYRAPEVTATLKVTFKSDIYSLGVLILELLTGRAPNQAVTVGGGEDEGVVDLPRWVQSVVREEWTAEVFDAELMRYPGVEEEMVGLLQVAMACVSTMPDSRPDAAEVVRMIKNVVGRTDDEDGNVPSRGGSGAPSPPPASTP >DRNTG_14110.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7797202:7799895:1 gene:DRNTG_14110 transcript:DRNTG_14110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPLLLLALLALAVAEPPPSERSALLSFLSKTPHESRIQWNSSTPTCSWVGVTCDPNATTVIALRLPGTGLVGPIPSSTLSNLPSLRILSLHSNRLSGPLPSDFSNLTLLRSLYLHNNLLSGPFPPAIPLFPHLTRLDLSGNNFSGEIPFSLNNLTHLSRLFLQHNHFSGSLPSIDLVSLTSFDVSYNALNGSVPRSLDRFPESSFTGNLDLCGSPLPPCTPFFPAPAPAPEVPTKSSSGGLSKKAIIGISVAGGLIVIILLTVLLVCCFFRCCHRRSRDKPKPDVPPPPPPPVVSGGGESSRATAEVDDGETNRLVFVGKRGYGFDLEDLLRASAEVLGKGSVGTSYKAVLEDGTTVVVKRLKDVSAPKPDFEAHVEIIGRLDEHPNVLPLRAFYYSKDEKLLVYDYLPSGSLSALLHGSRGSGRTPLDWESRARIAMAAGKGLAHLHSAGIVNGNIKASNVILRPEPDSAAISDFGLTPIFPPSTVSRASAGYRAPEVTATLKVTFKSDIYSLGVLILELLTGRAPNQAVTVGGGEDEGVVDLPRWVQSVVREEWTAEVFDAELMRYPGVEEEMVGLLQVAMACVSTMPDSRPDAAEVVRMIKNVVGRTDDEDGNVPSRGGSGAPSPPPASTP >DRNTG_14110.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7797347:7799833:1 gene:DRNTG_14110 transcript:DRNTG_14110.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPLLLLALLALAVAEPPPSERSALLSFLSKTPHESRIQWNSSTPTCSWVGVTCDPNATTVIALRLPGTGLVGPIPSSTLSNLPSLRILSLHSNRLSGPLPSDFSNLTLLRSLYLHNNLLSGPFPPAIPLFPHLTRLDLSGNNFSGEIPFSLNNLTHLSRLFLQHNHFSGSLPSIDLVSLTSFDVSYNALNGSVPRSLDRFPESSFTGNLDLCGSPLPPCTPFFPAPAPAPEVPTKSSSGGLSKKAIIGISVAGGLIVIILLTVLLVCCFFRCCHRRSRDKPKPDVPPPPPPPVVSGGGESSRATAEVDDGETNRLVFVGKRGYGFDLEDLLRASAEVLGKGSVGTSYKAVLEDGTTVVVKRLKDVSAPKPDFEAHVEIIGRLDEHPNVLPLRAFYYSKDEKLLVYDYLPSGSLSALLHGSRGSGRTPLDWESRARIAMAAGKGLAHLHSAGIVNGNIKASNVILRPEPDSAAISDFGLTPIFPPSTVSRASAGYRAPEVTATLKVTFKSDIYSLGVLILELLTGRAPNQAVTVGGGEDEGVVDLPRWVQSVVREEWTAEVFDAELMRYPGVEEEMVGLLQVAMACVSTMPDSRPDAAEVVRMIKNVVGRTDDEDGNVPSRGGSGAPSPPPASTP >DRNTG_14110.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7797081:7799691:1 gene:DRNTG_14110 transcript:DRNTG_14110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPLLLLALLALAVAEPPPSERSALLSFLSKTPHESRIQWNSSTPTCSWVGVTCDPNATTVIALRLPGTGLVGPIPSSTLSNLPSLRILSLHSNRLSGPLPSDFSNLTLLRSLYLHNNLLSGPFPPAIPLFPHLTRLDLSGNNFSGEIPFSLNNLTHLSRLFLQHNHFSGSLPSIDLVSLTSFDVSYNALNGSVPRSLDRFPESSFTGNLDLCGSPLPPCTPFFPAPAPAPEVPTKSSSGGLSKKAIIGISVAGGLIVIILLTVLLVCCFFRCCHRRSRDKPKPDVPPPPPPPVVSGGGESSRATAEVDDGETNRLVFVGKRGYGFDLEDLLRASAEVLGKGSVGTSYKAVLEDGTTVVVKRLKDVSAPKPDFEAHVEIIGRLDEHPNVLPLRAFYYSKDEKLLVYDYLPSGSLSALLHGSRGSGRTPLDWESRARIAMAAGKGLAHLHSAGIVNGNIKASNVILRPEPDSAAISDFGLTPIFPPSTVSRASAGYRAPEVTATLKVTFKSDIYSLGVLILELLTGRAPNQAVTVGGGEDEGVVDLPRWVQSVVREEWTAEVFDAELMRYPGVEEEMVGLLQVAMACVSTMPDSRPDAAEVVRMIKNVVGRTDDEDGNVPSRGGSGAPSPPPASTP >DRNTG_14110.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7797081:7799833:1 gene:DRNTG_14110 transcript:DRNTG_14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPLLLLALLALAVAEPPPSERSALLSFLSKTPHESRIQWNSSTPTCSWVGVTCDPNATTVIALRLPGTGLVGPIPSSTLSNLPSLRILSLHSNRLSGPLPSDFSNLTLLRSLYLHNNLLSGPFPPAIPLFPHLTRLDLSGNNFSGEIPFSLNNLTHLSRLFLQHNHFSGSLPSIDLVSLTSFDVSYNALNGSVPRSLDRFPESSFTGNLDLCGSPLPPCTPFFPAPAPAPEVPTKSSSGGLSKKAIIGISVAGGLIVIILLTVLLVCCFFRCCHRRSRDKPKPDVPPPPPPPVVSGGGESSRATAEVDDGETNRLVFVGKRGYGFDLEDLLRASAEVLGKGSVGTSYKAVLEDGTTVVVKRLKDVSAPKPDFEAHVEIIGRLDEHPNVLPLRAFYYSKDEKLLVYDYLPSGSLSALLHGSRGSGRTPLDWESRARIAMAAGKGLAHLHSAGIVNGNIKASNVILRPEPDSAAISDFGLTPIFPPSTVSRASAGYRAPEVTATLKVTFKSDIYSLGVLILELLTGRAPNQAVTVGGGEDEGVVDLPRWVQSVVREEWTAEVFDAELMRYPGVEEEMVGLLQVAMACVSTMPDSRPDAAEVVRMIKNVVGRTDDEDGNVPSRGGSGAPSPPPASTP >DRNTG_14110.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7797202:7799833:1 gene:DRNTG_14110 transcript:DRNTG_14110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPLLLLALLALAVAEPPPSERSALLSFLSKTPHESRIQWNSSTPTCSWVGVTCDPNATTVIALRLPGTGLVGPIPSSTLSNLPSLRILSLHSNRLSGPLPSDFSNLTLLRSLYLHNNLLSGPFPPAIPLFPHLTRLDLSGNNFSGEIPFSLNNLTHLSRLFLQHNHFSGSLPSIDLVSLTSFDVSYNALNGSVPRSLDRFPESSFTGNLDLCGSPLPPCTPFFPAPAPAPEVPTKSSSGGLSKKAIIGISVAGGLIVIILLTVLLVCCFFRCCHRRSRDKPKPDVPPPPPPPVVSGGGESSRATAEVDDGETNRLVFVGKRGYGFDLEDLLRASAEVLGKGSVGTSYKAVLEDGTTVVVKRLKDVSAPKPDFEAHVEIIGRLDEHPNVLPLRAFYYSKDEKLLVYDYLPSGSLSALLHGSRGSGRTPLDWESRARIAMAAGKGLAHLHSAGIVNGNIKASNVILRPEPDSAAISDFGLTPIFPPSTVSRASAGYRAPEVTATLKVTFKSDIYSLGVLILELLTGRAPNQAVTVGGGEDEGVVDLPRWVQSVVREEWTAEVFDAELMRYPGVEEEMVGLLQVAMACVSTMPDSRPDAAEVVRMIKNVVGRTDDEDGNVPSRGGSGAPSPPPASTP >DRNTG_30406.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21925301:21932179:-1 gene:DRNTG_30406 transcript:DRNTG_30406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICVLSHFVDDDIVGAVTSRLHVCLCRNNLPGVRQYLEIFAMQIYLKFPALVEQQLLPIFYNYNMRPQALSSYVFIATNVILHAGDLPMQLKHLHGLLPSIIPFLTSHHHSLRGFTQLLVYHVLCKLWPALGPGTSEVAPLEKKCFEDLKLYLAENPDCVRLRASMEGFLDAFDPVKSATPTGVFNARDEGLEFECVPVSLMEHVITFLNDVRNDLRDSIAKDAMAIKNESITHADSCKDSAELLKANEDGAFPLNRIDFSLDFQKKITVQEYGSADFLGDSEPPKLLLEMEKEDQLLRPVIQSRNQAVQRIKESQQQFIFVASLLDRIPNIAGLARTCEVFKAAGLAVSDASIIHDKQFQLISVTAEKWVPIIEVPVFSLKVFLEKKKREGFSILGLEQTANSVPLDQYSFPRKTVLVLGREKEGIPVDIIHALDACVEIPQLGIIRSLNVHVSGAIALWEYTRQQRSKQL >DRNTG_18482.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11959177:11959800:1 gene:DRNTG_18482 transcript:DRNTG_18482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLREWNLLDSMPYSSYTATKLKIWSDNGLKKLQYMSMEMKQRLKVQRFLAIVLLDGTKKE >DRNTG_33974.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2290188:2309492:1 gene:DRNTG_33974 transcript:DRNTG_33974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGAGISVSDGNLNVLGTKILSDVHENIVLSSACGDALINGAFIGVSTDRSGCHNVFPVGKLQGLRFMCTFRFKLWWMTQRMGSYGKDIPYETQFLIVEGRDGSHFEDEHEVGQSSLYVVFLPIVEGAFRAVLQGNENDELEICLESGCPAVQGFEGTHLVFMAAGNDPFDVITNAVKMVERHLQTFSHREKKKMPDMLNWFGWCTWDAFYTQVTAEGVCQGLESFEKGGIPTKFVIIDDGWQSVGMDASGVGFEADNSANFANRLTNIKENHKFQKNGKEGHREEDPALGLAHIVSEIKEKHELKYVYVWHAITGYWGGVRPGVTGMEHYESKMAYPVSSPGVKSNEDCEAFNSIASNGLGLVNPEKVFNFYDELHSYLASTGIDGVKVDVQNILETLGAGHGGRVQLARKYHQALEASIARNFPENGIISCMSHNTDNLLELIILT >DRNTG_33974.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2308698:2309492:1 gene:DRNTG_33974 transcript:DRNTG_33974.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDWDMFHSLHPMAEYHGAARAVGGCAIYVSDKPGNHDFDLLKKLVLPDGSILRAKLPGRPTRDCLFADPARDGESLLKIWNLNDHCGVIGVFNCQGAGWCRVGKKNLIHDEQPGTITGIIRSKDVDYLSRVADDDWKGNTI >DRNTG_33974.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2290188:2293709:1 gene:DRNTG_33974 transcript:DRNTG_33974.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGAGISVSDGNLNVLGTKILSDVHENIVLSSACGDALINGAFIGVSTDRSGCHNVFPVGKLQGLRFMCTFRFKLWWMTQRMGSYGKDIPYETQFLIVEGRDGSHFEDEHEVGQSSLYVVFLPIVEGAFRAVLQGNENDELEICLESGCPAVQGFEGTHLVFMAAGNDPFDVITNAVKMVERHLQTFSHREKKKMPDMLNWFGWCTWDAFYTQVTAEGVCQGLESFEKGGIPTKFVIIDDGWQSVGMDASGVGFEADNSANFANRLTNIKENHKFQKNGKEGHREEDPALGLAHIVSEIKEKHEYVYVWHAITGYWGGVRPGVTGMEHYESKMAYPVSSPGVKSNEDCEAFNSIASNGLGLVNPEKVFNFYDELHSYLASTGVDGVKVDVQNILETLGAGHGGRVQLARKYHQALEASIARNFPDNGIISCMSHNTDNLYSSKRTAVVRASDDFWPKDPASHTIHIASVAYNTVFLGEFMQPDWDMFHSLHPMAEYHGAARAVGGCAIYVSDKPGNHDFDLLKKLVLPDGSILRAKLPGRPTRDCLFADPARDGESLLKIWNLNDHCGVIGVFNCQGAGWCRVGKKNLIHDEQPGTITGIIRSKDVDYLSRVADDDWKGNTILYSHVRGELTYLPKDASLPVTLKSREYEVFTVVPVKEFSNGASFAPIGLIKMFNSGGAVKELEYETEKNGTIEIKVRGPGVFGAYSSIKPKRITVDAEEVEFIYNEESGLLTLELGFGEKTELQKWSVSVELW >DRNTG_33974.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2306910:2309492:1 gene:DRNTG_33974 transcript:DRNTG_33974.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDWDMFHSLHPMAEYHGAARAVGGCAIYVSDKPGNHDFDLLKKLVLPDGSILRAKLPGRPTRDCLFADPARDGESLLKIWNLNDHCGVIGVFNCQGAGWCRVGKKNLIHDEQPGTITGIIRSKDVDYLSRVADDDWKGNTI >DRNTG_33974.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2291484:2309492:1 gene:DRNTG_33974 transcript:DRNTG_33974.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASGVGFEADNSANFANRLTNIKENHKFQKNGKEGHREEDPALGLAHIVSEIKEKHELKYVYVWHAITGYWGGVRPGVTGMEHYESKMAYPVSSPGVKSNEDCEAFNSIASNGLGLVNPEKVFNFYDELHSYLASTGIDGVKVDVQNILETLGAGHGGRVQLARKYHQALEASIARNFPENGIISCMSHNTDNLLELIILT >DRNTG_25779.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3689623:3691865:1 gene:DRNTG_25779 transcript:DRNTG_25779.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFDDPALSNFKIAERLRECRENNGIKQHYMAMYTSIFGGITTDPAAKVNRKEGQFADKECDTSHTASVINGFPYELEHRYFDRLSFELSTMTNTLIKFSLPLLTGCTMPANVIMSLIWMKLMEFAMMKTILTALFKMETEENRAFVGIWSEGEQYVDKQIKTNSQSHIFMARELLSSHFENILSSCTTKMSALVAQLGRIKLVNTSLETWNNEGEVMLMGNRIPRDPVLPSENDDNISEGEDIAMARSEYDVEYDDDDDCEDDDDDDEEDDCEDEDDDDDEDDDDCEDEDDDDDDDDDECECEDEDDDDDDDEEDCVSLVPESLYKPNWSQSESSQVHSVAPSK >DRNTG_25779.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3688710:3691865:1 gene:DRNTG_25779 transcript:DRNTG_25779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPFMRDAIVQRNAFLHEAFPSVPFPPGDARKRLGFGLAMDHCKRFLGLRAVRILDWNDGIMPFDDPALSNFKIAERLRECRENNGIKQHYMAMYTSIFGGITTDPAAKVNRKEGQFADKECDTSHTASVINGFPYELEHRYFDRLSFELSTMTNTLIKFSLPLLTGCTMPANVIMSLIWMKLMEFAMMKTILTALFKMETEENRAFVGIWSEGEQYVDKQIKTNSQSHIFMARELLSSHFENILSSCTTKMSALVAQLGRIKLVNTSLETWNNEGEVMLMGNRIPRDPVLPSENDDNISEGEDIAMARSEYDVEYDDDDDCEDDDDDDEEDDCEDEDDDDDEDDDDCEDEDDDDDDDDDECECEDEDDDDDDDEEDCVSLVPESLYKPNWSQSESSQVHSVAPSK >DRNTG_25779.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3690637:3691865:1 gene:DRNTG_25779 transcript:DRNTG_25779.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTLIKFSLPLLTGCTMPANVIMSLIWMKLMEFAMMKTILTALFKMETEENRAFVGIWSEGEQYVDKQIKTNSQSHIFMARELLSSHFENILSSCTTKMSALVAQLGRIKLVNTSLETWNNEGEVMLMGNRIPRDPVLPSENDDNISEGEDIAMARSEYDVEYDDDDDCEDDDDDDEEDDCEDEDDDDDEDDDDCEDEDDDDDDDDDECECEDEDDDDDDDEEDCVSLVPESLYKPNWSQSESSQVHSVAPSK >DRNTG_19851.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001076.1:17346:18988:-1 gene:DRNTG_19851 transcript:DRNTG_19851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLDSLYQANSERLKPNIGRFVLDICRIEAGDGNKVTISKIPLLVPNMNLDVIDIETNPRIADCVVAISKNIIRDHRQSLKKYYLSFSDYEDARKKKPNEFITQVHWEDLCDYWDNDKPKKRAKKSESKQIVYENTP >DRNTG_18029.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6260751:6266082:-1 gene:DRNTG_18029 transcript:DRNTG_18029.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAACNSFDQWQKDVFFSAAEEVQESSDVMESLYRLWMRECSDGCKSEALDELRRELHTALSTAKWQLEEFERAVRLSHENCSSEENTITRHKQFIAAIGDRISHVEKALSDSLIQDGKQPLRWVQLDDEERDDLAAFLAVVPKSLQKIKDDKDVNCDAVRGFKETLRINKDANYVVQVEANECFKVNEETCAQEDLLNGLQRRSASSDLGAWKIVIADENVETKTTEVMPETLSRPSGHLVFPKSVKSTVKSKWLRNSFPRIKSKEHFFWKRGLIGVKGVSRFAQGINRLNERGKHCLSNCATNSKVSNVHQRSLHSSQFHTSLGRSVRVALIFIMAILLIVPIVLYST >DRNTG_18029.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6260751:6266082:-1 gene:DRNTG_18029 transcript:DRNTG_18029.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACNSFDQWQKDVFFSAAEEVQESSDVMESLYRLWMRECSDGCKSEALDELRRELHTALSTAKWQLEEFERAVRLSHENCSSEENTITRHKQFIAAIGDRISHVEKALSDSLIQDGKQPLRWVQLDDEERDDLAAFLAVVPKSLQKIKDDKDVNCDAVRGFKETLRINKDANYVVQVEANECFKVNEETCAQEDLLNGLQRRSASSDLGAWKIVIADENVETKTTEVMPETLSRPSGHLVFPKSVKSTVKSKWLRNSFPRIKSKEHFFWKRGLIGVKGVSRFAQGINRLNERGKHCLSNCATNSKVSNVHQRSLHSSQFHTSLGRSVRVALIFIMAILLIVPIVLYST >DRNTG_13739.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5535965:5538355:-1 gene:DRNTG_13739 transcript:DRNTG_13739.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKPKPVKYCEFYLKGRCQQGNLCKFSRDTTPLTKSQCSHAGFLHVIYA >DRNTG_13739.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5535965:5537871:-1 gene:DRNTG_13739 transcript:DRNTG_13739.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKENLMEKFLKCPLKIHSGMRFLSFEKEPLDGADKHQNTSVDESSTGIPICNQ >DRNTG_13739.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5535965:5538355:-1 gene:DRNTG_13739 transcript:DRNTG_13739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKPKPVKYCEFYLKGRCQQGNLCKFSRDTTPLTKSQPCGFFACDICLKGDDCPFDHQLSKYPCHNFMSKGMCIR >DRNTG_21374.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19363811:19370743:-1 gene:DRNTG_21374 transcript:DRNTG_21374.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLAVNVSFDKVTKQAGEANASESYLPQSSEGNQRTINITTSQVESMNENNSRTNSATLLSTISLSSSCPVHVPSDSEASKTIGTIRCEAGVMCVQGHTSAHLPSTSSNKPFSRRLLGKDKFSSSGFSVHFASLYKNNKPFQRHASEPITSGLIVHRTSSRSHYTGKPSQQSMGPYKATQVWRPRSGQKPVKTNGVMAAASSASSTSCVDDNSCSNDVVLTGLSEKLTQINVSGDERVIIPQHLRVPESLMNVLTFGSFAAETLSHQPLENAKLDEKSTRSLTSTQVTTRKDNSQAEQGDPVDCEAGPCQSDPPSPVEEPENSSPQNVESYNSQNIESYADIGLVESNSPLYDLPTDQQVHHSTMANFMAYDPHTDYDAAFLGTSLEDSPHDQGFVLTSEVLSSHDIGSNPLSVSTMIQQPIQQQPVTQVYPPVQVSHYPNFIPYRHVLSPVYMPSMQNFSSNSAFPHPSSCNGYMLMPGAGSHLTSGGMKYAASHYKLVPSGSATGFGNYTNTAGFTISTSGTVGTAIGLDDMSRIKYKDNSIYIPNPQADLSDIWVQTQREITGLQSTPFYNVQGQAPPSFMATHAGHASYNAPAQSPHLQFQGLYSPQAHPHHLVHPQGLGGNIGVGVAAPAPQLGSYQQSQQDYTAFP >DRNTG_21374.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19363811:19372316:-1 gene:DRNTG_21374 transcript:DRNTG_21374.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKWSTPQTSQGQNVQRNNYVRTGLSGISQKFRVVRDNRVSQSGSGNNTSESVQHLAPDIKKVALNDQRNAGGNMPPLAVNVSFDKVTKQAGEANASESYLPQSSEGNQRTINITTSQVESMNENNSRTNSATLLSTISLSSSCPVHVPSDSEASKTIGTIRCEAGVMCVQGHTSAHLPSTSSNKPFSRRLLGKDKFSSSGFSVHFASLYKNNKPFQRHASEPITSGLIVHRTSSRSHYTGKPSQQSMGPYKATQVWRPRSGQKPVKTNGVMAAASSASSTSCVDDNSCSNDVVLTGLSEKLTQINVSGDERVIIPQHLRVPESLMNVLTFGSFAAETLSHQPLENAKLDEKSTRSLTSTQVTTRKDNSQAEQGDPVDCEAGPCQSDPPSPVEEPENSSPQNVESYNSQNIESYADIGLVESNSPLYDLPTDQQVHHSTMANFMAYDPHTDYDAAFLGTSLEDSPHDQGFVLTSEVLSSHDIGSNPLSVSTMIQQPIQQQPVTQVYPPVQVSHYPNFIPYRHVLSPVYMPSMQNFSSNSAFPHPSSCNGYMLMPGAGSHLTSGGMKYAASHYKLVPSGSATGFGNYTNTAGFTISTSGTVGTAIGLDDMSRIKYKDNSIYIPNPQADLSDIWVQTQREITGLQSTPFYNVQGQAPPSFMATHAGHASYNAPAQSPHLQFQGLYSPQAHPHHLVHPQGLGGNIGVGVAAPAPQLGSYQQSQQDYTAFP >DRNTG_21374.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19363811:19373903:-1 gene:DRNTG_21374 transcript:DRNTG_21374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGPSVDGDSRPISLRVRRAIQSIREIVGDHSESDIYQALRESDMDPNETAQKLLNQDPFLEVRRKRDKKREIIGDIRRQERA >DRNTG_09231.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:930539:931671:1 gene:DRNTG_09231 transcript:DRNTG_09231.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLESNILQPAAAECFYYDDKAMCRMHGSMRLFGQHLVQNYGYFQGEVKALEEAATSPSSSSVPKLHHLVITNHAPLNIFPKIVKKHTSVRTLVFTSKLEITKLPKDLFQKLKLLRILDISGSDCRVLPKSLFNLVHLHHLNLSCLPIKTLPYAIGNLINLQHLILRYCGSLLYLPQSILRLHKLRSIDIHQTPLTSMPFDISEISQLTSLVGIMARQLDRIKYLKKLRTLHIANLEILNYLPREGLQQHINLTDLTLSCQGEGQPYEEAEKEKMQECFEALDPSSTLIQHIKINGYFGLKFPRWIMDLPF >DRNTG_09231.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:918140:931671:1 gene:DRNTG_09231 transcript:DRNTG_09231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEEENGEMQLLEDVANDYYMELLESNILQPAAAECFYYDDKAMCRMHGSMRLFGQHLVQNYGYFQGEVKALEEAATSPSSSSVPKLHHLVITNHAPLNIFPKIVKKHTSVRTLVFTSKLEITKLPKDLFQKLKLLRILDISGSDCRVLPKSLFNLVHLHHLNLSCLPIKTLPYAIGNLINLQHLILRYCGSLLYLPQSILRLHKLRSIDIHQTPLTSMPFDISEISQLTSLVGIMARQLDRIKYLKKLRTLHIANLEILNYLPREGLQQHINLTDLTLSCQGEGQPYEEAEKEKMQECFEALDPSSTLIQHIKINGYFGLKFPRWIMDLPF >DRNTG_14517.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5761117:5762536:1 gene:DRNTG_14517 transcript:DRNTG_14517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHSKKSRGESSSSGAPSVGFFMVPSAQNSGQKSLVPSGSVSGTRSVGTMRQCWNCHKFHEERCREQRRCYQCGQTDHIRTQCPLLTRGDLAPGLNPGHPTLSRGSQPVAQAQTTATRSVAASNTPQSVGSRPQTRSQTRIFALTNEEPKCEADMITGEEVLAQGKGLAEE >DRNTG_18791.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:312840:313468:1 gene:DRNTG_18791 transcript:DRNTG_18791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVMDKKELEGRNKEGRARTEKEILELLDHPFLPRLYGCAENERWSCLLTEFCPGGDLHVLRQRQPAKRFDESAVRCVLIMLAWLVPSFVL >DRNTG_30732.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:27266490:27271512:1 gene:DRNTG_30732 transcript:DRNTG_30732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVSSHEYPDEAEELLESMASNKSHWASRGSSQRTAGLYEVISNDALAAKVDVLTRKLDLHMGSSPRSESMMNCSTCGDGHGELAKANSECPLGCLPSNTEDNPREHLKAISLRSGRQVETRVEVDPSVKESAIIILFISFIFGEVFELAIAFLHHLMQDFKVLNGPKMARIQGRLRRAESLSRECLSSHTAPIWGHMGAIDPWHGFIRPDSRYDHCRRCNTPWEGPHTGDRLGGKELASGIPTRPRATSEYSEQETEHTESEPEDTPVPTPGTISLPDFRHETEGD >DRNTG_31322.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4023287:4027913:1 gene:DRNTG_31322 transcript:DRNTG_31322.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYKQTVPPPDMNKNTEWFMYPGVWTIYILILFFSWLIVLSIFGCNAGMAWTLVNLFHSVITYYFFHWKKGTPFAEDQGMYNNLTWWEQIDNGKQLTRNRKFLTVVPVVLYLIASHTTDYQNPWLVLNTFAVFVLVIAKLPNMHKVRIFGINAD >DRNTG_20400.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19414766:19422169:1 gene:DRNTG_20400 transcript:DRNTG_20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLTSMATTTATMVSMVDGEDEGERIDASPLPWSG >DRNTG_12009.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7844299:7846791:1 gene:DRNTG_12009 transcript:DRNTG_12009.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYKIVQEWDDSGGLEAFQNAKFRYWAKINNRQCDIPLPDPVLYIDEVDYNAVIDPEVVVDLFDEAQQPAPNNHSPKTSRPQGDSNNEAGRPCGRNKDGVGCFGSRKRSSRFKTNFYPTNSSWRNFRGNQNRIDHSEQTVYAGRPTSQQWKPTKYIEYGNNQASNDCGALIN >DRNTG_12009.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7844299:7846791:1 gene:DRNTG_12009 transcript:DRNTG_12009.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYKIVQEWDDSGGLEAFQNAKFRYWAKINNRQCDIPLPDPVLYIDEVDYNAVIDPEVVVDLFDEAQQPAPNNHSPKTSRPQGDSNNEAGRPCGRNKDGVGCFGSRKRSSRFKTNFYPTNSSWRNFRGNQNRIDHSEQTVYAGRPTSQQWKPTKYIEYGNNQASNDCGALIN >DRNTG_12009.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7844299:7846791:1 gene:DRNTG_12009 transcript:DRNTG_12009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYKIVQEWDDSGGLEAFQNAKFRYWAKINNRQCDIPLPDPVLYIDEVDYNAVIDPEVVVDLFDEAQQPAPNNHSPKTSRPQGDSNNEAGRPCGRNKDGVGCFGSRKRSSRFKTNFYPTNSSWRNFRGNQNRIDHSEQTVYAGRPTSQQWKPTKYIEYGNNQASNDCGALIN >DRNTG_01498.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:14114098:14116243:-1 gene:DRNTG_01498 transcript:DRNTG_01498.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPDPELSQSPSPAVPSQSPPVSPLPNLSIPFEEARFIAVGYRIEDLDQSQLEIMQRLQGLKLSAHHLNHLSTGQLGAKLHWDEEFEAVDGEIWPG >DRNTG_34710.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:716283:718501:-1 gene:DRNTG_34710 transcript:DRNTG_34710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGMAGIGSSSGARARRGTPNKRWKAEYDDFLIPVLVEQVNKGLKCDKTFKKPAFVYAANAVNAKFKTDFTAENVENHYKT >DRNTG_03057.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12376145:12379006:1 gene:DRNTG_03057 transcript:DRNTG_03057.2 gene_biotype:protein_coding transcript_biotype:protein_coding NTFLPNFILCNTTRWFVHSPKGANHITQKAFLPNSKPYYYCGNQDLNLAYQNLAELYHEFFGMFAIVQLIGSWSLALIICALLDHISNK >DRNTG_03057.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12376145:12380620:1 gene:DRNTG_03057 transcript:DRNTG_03057.1 gene_biotype:protein_coding transcript_biotype:protein_coding NTFLPNFILCNTTRWFVHSPKGANHITQKAFLPNSKPYYYCGNQDLNLAYQNLAELYHEFFGMFAIVQLIGSWSLALIICALLDHISNKAATEVFMNS >DRNTG_05758.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:4209334:4211843:1 gene:DRNTG_05758 transcript:DRNTG_05758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQREPIHLGHILAKYMRYQSQYVRAGVIFSGSYITRLVIGMGFLEPIQVTEKASIPAPLGIETLRLMGMIRRVRSGVYTLAAPAPEIDDGEGDDAEASQHAPEPQPTPMETAAPPVAEDPSPVCMLSPS >DRNTG_07766.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1015661:1021084:1 gene:DRNTG_07766 transcript:DRNTG_07766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQGTRHRKGSSAGGKTVNSPSSSTTSSSRQVMEVASVDEQSSPASSSAQYFCADGIGLDADPCKENVSVTVRFRPLSPREIRQGEEIAWYADGETIVRSEQNTSLAYAYDRVFGPTTTTRHVYDIAAQHVVSGAMEGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPSREFLLRLSYIEIYNEVVNDLLNPAGQNLRIREDLQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLQSSRSHTIFTLTIESSPCGESSEGGAVNFSQLNLIDLAGSESSRVETTGVRRKEGSYINKSLLTLGTVISKLTDGKATHIPYRDSKLTRLLQSSLSGQGRVSLICTVTPSSSNLEETHNTLKFAHRAKHIEIQASQNKIIDEKSLIKKYQTEIRSLKEELEQLKRGIVSYPPLKDIGENDIVLLKQKLEDGHVKLQSRLEQEEEAKAALLGRIQRLTKLILVSTKTAQSSRFSPRPGLRRRHSFGRRGGFSFFFFF >DRNTG_16271.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7751407:7751761:1 gene:DRNTG_16271 transcript:DRNTG_16271.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTQKYYIFSKGSYKVGRRECDITVQTDTAVSRLHAEIIFDEVDQSCVRVVDRSKFGTWINKELGAKAVRLRENQEAMINDGDLVSFGTGN >DRNTG_16271.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7751241:7751761:1 gene:DRNTG_16271 transcript:DRNTG_16271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWGLFPSESLRGTQKYYIFSKGSYKVGRRECDITVQTDTAVSRLHAEIIFDEVDQSCVRVVDRSKFGTWINKELGAKAVRLRENQEAMINDGDLVSFGTGN >DRNTG_22655.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20531005:20533100:-1 gene:DRNTG_22655 transcript:DRNTG_22655.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:Projected from Arabidopsis thaliana (AT2G26250) UniProtKB/TrEMBL;Acc:A0A178VYA3] MKEGRTEVSEVMFGALDELFQKTRVRPKDVGVIIVNCSQFNPTPSLSAMIINHYKMRGNVLSYNLGGMGCSASIIALDLAQDMLQANANNYAVVVSTEAVSFTWYTGRNRSMLIPNCFFRMGCSAVLLSNRRRDFSRAKYRLEHIVRTHKGGDDRSFRCVYQEEDEQRIKGLSISRELMEIGGQALKTNITTLGPLVLPFSEQLLFFATLLYRHFIR >DRNTG_33013.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001937.1:15065:15893:-1 gene:DRNTG_33013 transcript:DRNTG_33013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGVEMGEQIHTFQDYLSAIEKCGTVYNESHIVSILLNKLPPSWSGFANGLRHKIDSLDLVGVYNTIRIEEANRIFSKGVDPHKGRVYLAEHSDSPNSSKSSRNTHSSDRGRQFSPKGKSFKAKGKPTHSRSQTSQQPKSSASKPYEKSPKHCFVCGRTNHVARDCFFRKTEPVSKTPVHPNRK >DRNTG_11710.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000515.1:6440:7313:-1 gene:DRNTG_11710 transcript:DRNTG_11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVKTDLHNKMGDEWMNDSMIVNIEKEVFATIDNEAILQCFQKMQTRRIQLPPLSSMRRTDGNSSSSPPSPPSINPGSVPVPRSASISRNSILDAYVRAGKLNSALESLNGIGITRQLLDLVSWNLMMNGYVKQGMMDEALELFDKVPERDVIAWASVIKGHMDARNVSSGGVCLMKCRRGM >DRNTG_31814.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001749.1:12294:12993:-1 gene:DRNTG_31814 transcript:DRNTG_31814.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLEETPVPVPLSKVLPPGNAEATSEHHCPKET >DRNTG_32500.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21012637:21014145:-1 gene:DRNTG_32500 transcript:DRNTG_32500.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFRINYCQLWKALILQDPQKIRQLGEQLGVGKYSKYLPVIFTGRTMESKSVLGTQMSNEEKKHLKQELRSLKMDDISSFMESLPPDFLSILRTDGLLRSTLSKLSTPRHIRLLAYAKYAIYGLTVNSNTGFSVEKVLSRITADITYLQLRLLLGILELVSKIKDMRQYLNNKMETISEMVTSLYFSLYLFIKRAFTVL >DRNTG_32500.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21012637:21014576:-1 gene:DRNTG_32500 transcript:DRNTG_32500.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFSEMIFVHGFVHGDPHPGNILVSPVSHGGFSLVLLDHGIYTELDEMFRINYCQLWKALILQDPQKIRQLGEQLGVGKYSKYLPVIFTGRTMESKSVLGTQMSNEEKKHLKQELRSLKMDDISSFMESLPPDFLSILRTDGLLRSTLSKLSTPRHIRLLAYAKYAIYGLTVNSNTGFSVEKVLSRITADITYLQLRLLLGILELVSKIKDMRQYLNNKMETISEMVTSLYFSLYLFIKRAFTVL >DRNTG_32500.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21012637:21013890:-1 gene:DRNTG_32500 transcript:DRNTG_32500.8 gene_biotype:protein_coding transcript_biotype:protein_coding IYVLHLFNIYNPCDNVSTINNSFDNLFSLHTSKSVLGTQMSNEEKKHLKQELRSLKMDDISSFMESLPPDFLSILRTDGLLRSTLSKLSTPRHIRLLAYAKYAIYGLTVNSNTGFSVEKVLSRITADITYLQLRLLLGILELVSKIKDMRQYLNNKMETISEMVTSLYFSLYLFIKRAFTVL >DRNTG_32500.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21012637:21017374:-1 gene:DRNTG_32500 transcript:DRNTG_32500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDITTMSILSTSVSWIFPDYRFGQIVSEFERAMNLELDFIQEGNNSERTARNFKKNNVIKIPHVYWILEI >DRNTG_32500.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21013308:21014808:-1 gene:DRNTG_32500 transcript:DRNTG_32500.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFSEMIFVHGFVHGDPHPGNILVSPVSHGGFSLVLLDHGIYTELDEMFRINYCQLWKALILQDPQKIRQLGEQLGVGKYSKYLPVIFTGRTMESKSVLGTQMSNEEKKHLKQELRSLKMDDISSFMESLPPDFLSILRTDGLLRSTLSKLSTPRHIRLLAYAKYAIYGLTVNSNTG >DRNTG_32500.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21012637:21018756:-1 gene:DRNTG_32500 transcript:DRNTG_32500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRWVSKLPIGLGLGLGFGIAWKSSYDGDSSPVEKLTLAADGVVRSSRAVYTIAFIVADYKLSLRRFSSDSPEYRTKLSEVHLRSAKKLLSLCEFNKGFYVKAGQFVSALRQVPKEYSTTLSSLQDQANPYPFKDIREVIVKNLGKDLTQIFLSFDEQPIAAASIAQVHHGLLKDNQEVAVKVQYPGLKQRMKIDITTMSILSTSVSWIFPDYRFGQIVSEFERAMNLELDFIQEGNNSERTARNFKKNNVIKIPHVYWDLTTSQVLTMEFCRGQKVDDLDFLKQSGINPLKVAKALMDVFSEMIFVHGFVHGDPHPGNILVSPVSHGGFSLVLLDHGIYTELDEMFRINYCQLWKALILQDPQKIRQLGEQLGVGKYSKYLPVIFTGRTMESKSVLGTQMSNEEKKHLKQELRSLKMDDISSFMESLPPDFLSILRTDGLLRSTLSKLSTPRHIRLLAYAKYAIYGLTVNSNTGFSVEKVLSRITADITYLQLRLLLGILELVSKIKDMRQYLNNKMETISEMVTSLYFSLYLFIKRAFTVL >DRNTG_32500.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21012637:21018756:-1 gene:DRNTG_32500 transcript:DRNTG_32500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRWVSKLPIGLGLGLGFGIAWKSSYDGDSSPVEKLTLAADGVVRSSRAVYTIAFIVADYKLSLRRFSSDSPEYRTKLSEVHLRSAKKLLSLCEFNKGFYVKAGQFVSALRQVPKEYSTTLSSLQDQANPYPFKDIREVIVKNLGKDLTQIFLSFDEQPIAAASIAQVHHGLLKDNQEVAVKVQYPGLKQRMKIDITTMSILSTSVSWIFPDYRFGQIVSEFERAMNLELDFIQEGNNSERTARNFKKNNVIKIPHVYWILEI >DRNTG_32500.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21013308:21017031:-1 gene:DRNTG_32500 transcript:DRNTG_32500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDITTMSILSTSVSWIFPDYRFGQIVSEFERAMNLELDFIQEGNNSERTARNFKKNNVIKIPHVYWILEI >DRNTG_30123.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31008612:31011888:1 gene:DRNTG_30123 transcript:DRNTG_30123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWNLSQRGGVYVALLVLVLPVLCPSLFSPLGHASPSVFSEWNAPKPRHLSLLKGAVQRQISDEQKSELWSPLAPNGLKSCVSPANGPVPKNTQGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHLEVNPVWKDSSSFEDIFDVDHFIDVLKDEIPIVRDLPEEYAWSTREYYAVAIRATRVKTAPVHASANWYLENVLPVLQSYGIAAIAPFSHRLAFDNVPEDIQRLRCKVNFQALIFVPHIRELGEALIKRLRYPVQPQSGADDEYIQTTVNKNINRGPGKFVALHLRFDKDMAAHSACDFGGGKAERLALAKYRQIIWQGRVLNSQFTDEELRSQGRCPLTPEEIGLLLASLGFDSQTRLYLASHKVYGGEARISSLRKLFPLMEDKKSLASEEELRKVEGKASLLAAVDYYVSMQSDVFISASPGNMHNAMVGHRTYENLKTIRPNMALLGQLFLNKSMDWYDFQQAVQDGHQNRQGQIRLRKTKQSIYTYPAPDCMCSR >DRNTG_02099.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10603436:10609777:-1 gene:DRNTG_02099 transcript:DRNTG_02099.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNEKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTGSVRSKMVLLMEKIWW >DRNTG_02099.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10587664:10604099:-1 gene:DRNTG_02099 transcript:DRNTG_02099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERRRRIPSKPEPSERMATSSSRTVLARSWRSLLPRLASMDMQNATLLP >DRNTG_02099.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10587664:10588428:-1 gene:DRNTG_02099 transcript:DRNTG_02099.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNEKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTGSVRSKMVLLMEKIWW >DRNTG_02099.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10603436:10604099:-1 gene:DRNTG_02099 transcript:DRNTG_02099.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRERRRRIPSKPEPSERMATSSSRTVLARSWRSLLPRLASMDMQNATLLP >DRNTG_25136.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3474416:3479961:1 gene:DRNTG_25136 transcript:DRNTG_25136.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGFMAGIPFWGCLWRMLRVSCAVVLLVLLFFCSIGVAFSFRCEDEVGFDGGCVRYGGFGGLDNGSEGFPGCLWMEYVCGDSDSFCFPSTLLVSEEDDRCQAQDSVGASSDGLAEVKEPRSEESIVSCAFVDSVSEVHEEVDTEKKNFDGDGVSSCKGSVFTDAWMEGSTGLIGSFDEHSVSSSLSPHVEISPSSLDFGMRDRYSPSLEFLTVRNLHNEIVLDVYDLFSTDPQFYPFGFDKLCLAPGEAASIGFVFLPRNLGSSSAHIVLQTSFGGFIIQAKGVAIESPYKAEAFVGLDINSGVKLNRNLSLHNPFDDLLYVQEVTAWISFYSGNLTNLRHVACSTDASEWFPEDFGSSSSVKDFLTGKGGDEGFHWFEIKPHRPFKLSPHADETIIELNMWPSIQGKISGAICMKLHASTLDKPDVVIVPLEAEVHGKVTYNALSGLASAYFESLAPCNEAGMVFTLYVRNNAPYVLSVVGIREVLEGSELFRIKYMSGLIVYPGTVTQVALIGFTPTGNTDVLPPKIPTVDPNCMLVIMTNDSANPEITIPCQDLVSVCSRHEPKSSSFPSDGSYIGLGLHQDKDKLTNAKTRPLGSIVEDSLLKKSKNLELLEADELILTNWRSHGTMSDLSVLKDDELLFPVVQIGTSFSKWIAVHNPSQKPVVMQLLLNSGEIIDQCKSSNVASKVNSSGCNVIDSTVTRYGFSVSNSAITEAFVHPFGSALFGPIIFHPSSRCMWRSSALIRNNLSGVEWLPLRAFGGSHSLTLLERFQPVGKLEFRLELPMKRNLSSPDTVYHLDMKNASCNHIVFKELYAKNTGELPLEVIKLQVSEADCVLDGFRIETCKGFNLAPGETRRLLISYEADFTATEVQRDLKIAMATGLLVIPMKASLPVFMVNVCRKSWKFMSLPIIVMLVTVSVILLVLFILPLTFPSGIEDYLVKDNNVISTITCDEKPSRVHHYTGKSRSVKENVKNEVGRVDRSSPCRNGVLGNIKKMQGKKDLDHQKKPTLTQPSPTETHPRDSDTSELPQNGHLTVHVARERGRRRKRRGGLAAKFEVSSSQSGNSTPSSPLSPSASTPKEIWSSSRDSPETIFSRESAGRNENKEGLSQREISIECYESNCLLSALEKSSPEKSHVTVKSNTKPTLLPSATFPSASWRAPGVGTSTFLASTSPIAPHARAPGSNLSKGKMIKRVEDDVLGSEFTYDIWGNHFSGPLDGKPKCLLSRVSDASEGDSQSFFATDPQSLMMLSSVHSESPGQKSPPSVSQGCKPPLNDVSCLNQME >DRNTG_29840.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23172771:23174637:-1 gene:DRNTG_29840 transcript:DRNTG_29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKGVKFEIEALSASSLSFLSTYIPQKIHEGLYI >DRNTG_29840.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23172771:23174008:-1 gene:DRNTG_29840 transcript:DRNTG_29840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKGVKFEIEALSASSLSFLSTYIPQKIHEGLYI >DRNTG_29833.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23205611:23207036:-1 gene:DRNTG_29833 transcript:DRNTG_29833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKVTISGPFDPDTLTRTLYCKACKIIKNITTPPPPTPKPTPKPDPKPTKPELPPGPPCCAKPTFEWLYGVLKCGSCGMVYAWTNQCQPPSDKKCHPTPDNKLCLPGPGCCKGMKCSSSCSTCGSGTNYQCLPPPVLDPSCKPVCRPEPVCCPRPCYVGMYGGTMCASCGMKCPWINHPVSVPQPHHVYGGGYGEPKPCYFICEDSTPLCSIM >DRNTG_03931.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000185.1:42604:43214:1 gene:DRNTG_03931 transcript:DRNTG_03931.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSSLWKKVARGASVEAYPIPDKGIFGRRVEDFPQDHQHDYRGGASPFPSDVLDSTSVPRVLCGHNRVNGLRDNLPLELSCGCNGVKRRSDLSI >DRNTG_14828.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:16421789:16422326:-1 gene:DRNTG_14828 transcript:DRNTG_14828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLSNNLVGLLNFIVFLLSIPILAGGIWLSTRASTDCEKFLEKPIIAIGAFLLIVSLAGLIGACCRVSWLLWIYLLVMFLLIVLLFCFTIFAFVVTNKGAGEVVSQRGYKEYRLGDYSNWLQKRVNNEGNWAKIRSCIQDSKVCTSLSEKKQTFDQ >DRNTG_26805.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20323247:20325733:1 gene:DRNTG_26805 transcript:DRNTG_26805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSRSIWKKVSGRALVGTNSAIVYPRPNKGTLGRLEDSPQDHHHDYRGSFFMDSLLLHLISLIVLWNGRVFFKGPLVKPRAHLAGSVPTKAPPIAVFRRKRCVKGRQTSPKPCQNLSEP >DRNTG_32192.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001796.1:6457:7694:1 gene:DRNTG_32192 transcript:DRNTG_32192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQNKILKVIRKNLVKKCIELFFEIAENKEDYNKFYDSFSKNLKLGIHEDSQNKAKLAELLRYHSTKSGEEMTSLKDYVTRMKDGQNDIYYITGESKKAVENSPFLEKLKRKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKKKETLAQKFESLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLVLLLFETSLLTSGFSLDDPNTFGNRIHRMLKLGLSIDEDDSTADADTDMPPLEEAEAEESKMEEVD >DRNTG_01439.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8257712:8258617:1 gene:DRNTG_01439 transcript:DRNTG_01439.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQTLSAVLSQGKNTLHSYHTSTYQPVIHMLTLELLASFEFDRSYNSFFSIDAIKFRELGQLHSMSVTQFTILLGLYDEPYIGTEEYEQLSTDY >DRNTG_25925.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1814160:1814464:-1 gene:DRNTG_25925 transcript:DRNTG_25925.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGSVSPRSPSVLMIPTTSLGPIDDTELGIKTQTPPKNFQLKPNEGSKTGTIDMSRKSIPAKTGKKTCEKNNHRTLN >DRNTG_26441.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2985153:2986524:-1 gene:DRNTG_26441 transcript:DRNTG_26441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLWLTNGGYGSIVEHLARQFLKTDENGNIIDSRISFNKVVREINYTDNGVTVFTEDGETYEADYVMVSVSLGVLQTHLIRFN >DRNTG_25023.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19574750:19576039:-1 gene:DRNTG_25023 transcript:DRNTG_25023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGDGGSFLRQLSHGGGGGGGGGGGGWEHSSSLTSSYYLSSSNGHGGSKRWGRGKKAKRMEEGGRGGSLEGGGGMMAVKKRVMVVIDQSSRAKHAMMWALTHVANKGDLLTLLHVVPSHSNASSTHRTDDIPQLANSLGSLCKACKSEVEVEALVIQGPKLGTVLSQVKKLEASVLVLSQGKPSPICCLFRSNSEEFVEQCINQAECLTLAVKKQSNGVGGYLVSTRWQKNFWLLA >DRNTG_26709.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31237156:31239119:1 gene:DRNTG_26709 transcript:DRNTG_26709.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRILNEALRTIVNAERRGKATANLQPVSTVMASFLQIMKFRGYIKDFQVFDPHRVGRITVQLQGRVNDCKALTYRQDIKAKDIEEYRIRTLPTRQWGYVVITTPNGVLDHEEAIKQNVGGQVLGYFH >DRNTG_24067.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26468680:26469629:1 gene:DRNTG_24067 transcript:DRNTG_24067.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated protein 6 [Source:Projected from Arabidopsis thaliana (AT1G74670) UniProtKB/Swiss-Prot;Acc:Q6NMQ7] MAKLLSCLLLLLLLLISVSLISCHVDHDMNQMLAKHTQKGKHYGAGSLKSYQCPRECTRRCSRTQYHKPCMFFCQKCCRKCLCVPPGYYGNKQVCGCYNNWKTKRGGPKCP >DRNTG_13404.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:7484103:7484738:-1 gene:DRNTG_13404 transcript:DRNTG_13404.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFELDWMVMNLSQPPHRLSTPLESRSSMDPNPLRPRDICIGYLEGIYLRMACIPLYPCHSIKDTDWLMRQHEGHEYP >DRNTG_13638.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11897683:11907800:1 gene:DRNTG_13638 transcript:DRNTG_13638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDDVMDAFVCIIQQSLSKVPYPYKKRTSITRPLALFMSKQDDAHETTMAMIGDRNLFNTAVDMEFGESATAKYPLVYDMETPRQKQESVDCTVYVMRFIE >DRNTG_10852.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000473.1:11077:12841:1 gene:DRNTG_10852 transcript:DRNTG_10852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMNCDWSKPSKSGFLRPAQNAHTYLRFQDLVRTANKGRVEGGSQLAASWPRPPAYRYEILDLNSQVGNCIPLANIRMGTWVHDIECHPGQGAKLARAAGTYAKIIKEPAPQCLVRLPSGLEKLIDSRCRATIGIVSNPNHGARKLRKAGQSRWLGRRPIVRGVAMNPVDHPHGGGEGRTKGGRPSVSPWGKPTKAGFRAVVGVGKGRI >DRNTG_10343.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:12816484:12819252:-1 gene:DRNTG_10343 transcript:DRNTG_10343.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGSDIARRLEEGYWESFHRHRSDEGPSTWTKGLGLQLGRDLSLGIPRAHCNSMRVRERYWQGFWSGSTASTSCFSWKIAIGGDFVGTDSARCILSLTRGPLERTRQLYKTIDMTTEGFFLWITCFYFRFYYYLYLAQWRAKPLVGAFHLDQRVGFVYRNRSHAKGVLTFKALIDLDGGELALRVGDGKLTYRLTEAM >DRNTG_07304.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3435095:3436247:-1 gene:DRNTG_07304 transcript:DRNTG_07304.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGFPAHGVWTTEEDKILREGLERHRMKATLAACKEIAAALPRKTIVDVAMRCEWLLVA >DRNTG_07304.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3433350:3435484:-1 gene:DRNTG_07304 transcript:DRNTG_07304.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGFPAHGVWTTEEDKILREGLERHRMKATLAACKEIAAALPRKTIVDVAMRCEWLLVAGKITSLLEYHVSRPVGHMSSDGINTDRGLMVFFLPSICYISV >DRNTG_07304.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3433670:3436247:-1 gene:DRNTG_07304 transcript:DRNTG_07304.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGFPAHGVWTTEEDKILREGLERHRMKATLAACKEIAAALPRKTIVDVAMRCEWLLVALGSPI >DRNTG_07304.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3432207:3436247:-1 gene:DRNTG_07304 transcript:DRNTG_07304.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGFPAHGVWTTEEDKILREGLERHRMKATLAACKEIAAALPRKTIVDVAMRCEWLLVAGKITSLLEYHVSRPVGHMSSDGINTDRDIGEVRRNLLDSTSNILKGVGENPQLWCKDGRPYCRGAVGLVRGNSKYDPLCASPLTY >DRNTG_08975.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000392.1:97478:107367:-1 gene:DRNTG_08975 transcript:DRNTG_08975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKLIKVPVGMSMLEAAHENDIELEGACEGSIACSTCHVIVMDVNYYNKLEDPTDEENDMLDLAFGLTQTSRLGCQVIAKPELDGMRLALPAATRNFAVDGYIPKPH >DRNTG_20218.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21008910:21009588:-1 gene:DRNTG_20218 transcript:DRNTG_20218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCRGLTPRLAMYVSQGAIFFASYEFLKALFSLEARRNASPVREIRNIQDDNSELQSTQKLPA >DRNTG_26077.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4149401:4152921:1 gene:DRNTG_26077 transcript:DRNTG_26077.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMEKQQSFRRGALEKLQSFRLGAMERQKSVKMGMMEKQKSIRLGTGDKQQGFREKKNRDSFGKRGDTPLHLAARAGNATQVTKLISECDESLLKEFVSKQNQDGETALYVAAENGHVEVVQEILKVSDVLSAGIKATNSFDAFHIAAKQGHLDVLKEMLRAFPALDMTTSTSNSTALDTASTQGHIDIVNLLLETGANLVKIARSNGKTALHSAARMGHVEVVKSILRKDPSIGLRIDKKGQTALHMAVKGHNNQMVLELLNPDPSVISLEDNKGNTALHIATRKGRPDMVQTLISVEGINVNVVNKAGETALGIAEKAHNEEIIDILKKVGAVATKEPPNPPNPTKQLKQTVSDIKHDVQSQLVQTRQTERKVQNIKKRLHKLHIGGLNNAINSNTVVAVLIATVAFAAIFQLPGQYVESTTDDSITPGQAYIANNAAFIIFLVFDSLALFISLAVVVVQTSLIVTEQKAKRLMVFVMNKLMWLACLFHIGFVHFPHLCCGRKAGLVARICHNGHWCHYHAGDIWIDVLLHYHSSHRGKEHKEFKAELR >DRNTG_26077.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4149401:4152921:1 gene:DRNTG_26077 transcript:DRNTG_26077.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMEKQQSFRRGALEKLQSFRLGAMERQKSVKMGMMEKQKSIRLGTGDKQQGFREKKNRDSFGKRGDTPLHLAARAGNATQVTKLISECDESLLKEFVSKQNQDGETALYVAAENGHVEVVQEILKVSDVLSAGIKATNSFDAFHIAAKQGHLDVLKEMLRAFPALDMTTSTSNSTALDTASTQGHIDIVNLLLETGANLVKIARSNGKTALHSAARMGHVEVVKSILRKDPSIGLRIDKKGQTALHMAVKGHNNQMVLELLNPDPSVISLEDNKGNTALHIATRKGRPDMVQTLISVEGINVNVVNKAGETALGIAEKAHNEEIIDILKKVGAVATKEPPNPPNPTKQLKQTVSDIKHDVQSQLVQTRQTERKVQNIKKRLHKLHIGGLNNAINSNTVVAVLIATVAFAAIFQLPGQYVESTTDDSITPGQAYIANNAAFIIFLVFDSLALFISLAVVVVQTSLIVTEQKAKRLMVFVMNKLMWLACLFHIGFVHFPHLCCGRKAGLVARICHNGHWCHYHAGDIWIDVLLHYHSSHRGKEHKEFKAELR >DRNTG_26077.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4149401:4152921:1 gene:DRNTG_26077 transcript:DRNTG_26077.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMEKQQSFRRGALEKLQSFRLGAMERQKSVKMGMMEKQKSIRLGTGDKQQGFREKKNRDSFGKRGDTPLHLAARAGNATQVTKLISECDESLLKEFVSKQNQDGETALYVAAENGHVEVVQEILKVSDVLSAGIKATNSFDAFHIAAKQGHLDVLKEMLRAFPALDMTTSTSNSTALDTASTQGHIDIVNLLLETGANLVKIARSNGKTALHSAARMGHVEVVKSILRKDPSIGLRIDKKGQTALHMAVKGHNNQMVLELLNPDPSVISLEDNKGNTALHIATRKGRPDMVQTLISVEGINVNVVNKAGETALGIAEKAHNEEIIDILKKVGAVATKEPPNPPNPTKQLKQTVSDIKHDVQSQLVQTRQTERKVQNIKKRLHKLHIGGLNNAINSNTVVAVLIATVAFAAIFQLPGQYVESTTDDSITPGQAYIANNAAFIIFLVFDSLALFISLAVVVVQTSLIVTEQKAKRLMVFVMNKLMWLACLFHIGFVHFPHLCCGRKAGLVARICHNGHWCHYHAGDIWIDVLLHYHSSHRGKEHKEFKAELR >DRNTG_26077.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4149401:4152921:1 gene:DRNTG_26077 transcript:DRNTG_26077.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMEKQQSFRRGALEKLQSFRLGAMERQKSVKMGMMEKQKSIRLGTGDKQQGFREKKNRDSFGKRGDTPLHLAARAGNATQVTKLISECDESLLKEFVSKQNQDGETALYVAAENGHVEVVQEILKVSDVLSAGIKATNSFDAFHIAAKQGHLDVLKEMLRAFPALDMTTSTSNSTALDTASTQGHIDIVNLLLETGANLVKIARSNGKTALHSAARMGHVEVVKSILRKDPSIGLRIDKKGQTALHMAVKGHNNQMVLELLNPDPSVISLEDNKGNTALHIATRKGRPDMVQTLISVEGINVNVVNKAGETALGIAEKAHNEEIIDILKKVGAVATKEPPNPPNPTKQLKQTVSDIKHDVQSQLVQTRQTERKVQNIKKRLHKLHIGGLNNAINSNTVVAVLIATVAFAAIFQLPGQYVESTTDDSITPGQAYIANNAAFIIFLVFDSLALFISLAVVVVQTSLIVTEQKAKRLMVFVMNKLMWLACLFHIGFVHFPHLCCGRKAGLVARICHNGHWCHYHAGDIWIDVLLHYHSSHRGKEHKEFKAELR >DRNTG_26077.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4149401:4152921:1 gene:DRNTG_26077 transcript:DRNTG_26077.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMEKQQSFRRGALEKLQSFRLGAMERQKSVKMGMMEKQKSIRLGTGDKQQGFREKKNRDSFGKRGDTPLHLAARAGNATQVTKLISECDESLLKEFVSKQNQDGETALYVAAENGHVEVVQEILKVSDVLSAGIKATNSFDAFHIAAKQGHLDVLKEMLRAFPALDMTTSTSNSTALDTASTQGHIDIVNLLLETGANLVKIARSNGKTALHSAARMGHVEVVKSILRKDPSIGLRIDKKGQTALHMAVKGHNNQMVLELLNPDPSVISLEDNKGNTALHIATRKGRPDMVQTLISVEGINVNVVNKAGETALGIAEKAHNEEIIDILKKVGAVATKEPPNPPNPTKQLKQTVSDIKHDVQSQLVQTRQTERKVQNIKKRLHKLHIGGLNNAINSNTVVAVLIATVAFAAIFQLPGQYVESTTDDSITPGQAYIANNAAFIIFLVFDSLALFISLAVVVVQTSLIVTEQKAKRLMVFVMNKLMWLACLFHIGFVHFPHLCCGRKAGLVARICHNGHWCHYHAGDIWIDVLLHYHSSHRGKEHKEFKAELR >DRNTG_29138.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1353956:1357491:1 gene:DRNTG_29138 transcript:DRNTG_29138.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRLVLLSSLKIDILVINGDFGGDGQEEWTEEEFADGIVREREGKRPLLTGDLVVSLNNGTGFLGDLTFTDNSSWIRSRKFRLGAKSRCSEGRVKEAISEAFLVKDHRGELYKKHHPPSLNDEIWRLEKISKDGAFCKRLTDRGIKTVKDFLRYQKMDPDELRNLLGGGMSNRMWDAIVEHASECLQDGKYYSYRNNEHGNGLIFNSVFDVLQAIFP >DRNTG_29138.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1353956:1357491:1 gene:DRNTG_29138 transcript:DRNTG_29138.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRLVLLSSLKIDILVINGDFGGDGQEEWTEEEFADGIVREREGKRPLLTGDLVVSLNNGTGFLGDLTFTDNSSWIRSRKFRLGAKSRCSEGRVKEAISEAFLVKDHRGELYKKHHPPSLNDEIWRLEKISKDGAFCKRLTDRGIKTVKDFLRYQKMDPDELRNLLGGGMSNRMWDAIVEHASECLQDGKYYSYRNNEHGNGLIFNSVFDVLQAIFP >DRNTG_29138.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1353956:1357491:1 gene:DRNTG_29138 transcript:DRNTG_29138.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRLVLLSSLKIDILVINGDFGGDGQEEWTEEEFADGIVREREGKRPLLTGDLVVSLNNGTGFLGDLTFTDNSSWIRSRKFRLGAKSRCSEGRVKEAISEAFLVKDHRGELYKKHHPPSLNDEIWRLEKISKDGAFCKRLTDRGIKTVKDFLRYQKMDPDELRNLLGGGMSNRMWDAIVEHASECLQDGKYYSYRNNEHGNGLIFNSVFDVLQAIFP >DRNTG_29138.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1353956:1357491:1 gene:DRNTG_29138 transcript:DRNTG_29138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRLVLLSSLKIDILVINGDFGGDGQEEWTEEEFADGIVREREGKRPLLTGDLVVSLNNGTGFLGDLTFTDNSSWIRSRKFRLGAKSRCSEGRVKEAISEAFLVKDHRGELYKKHHPPSLNDEIWRLEKISKDGAFCKRLTDRGIKTVKDFLRYQKMDPDELRNLLGGGMSNRMWDAIVEHASECLQDGKYYSYRNNEHGNGLIFNSVFDVLQAIFP >DRNTG_35173.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26101936:26104030:1 gene:DRNTG_35173 transcript:DRNTG_35173.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKVINERKVAGNIENDLLSTLIDANDLNGSKASVKFVAESCKTTYFAGHETSSITLKWVLLLLALNPEWQARVRAEITAVCRQHIPDANALRQMKLLTMVIQETMRLYSITPLILRETLQEDIKFGDIWFPGGVELMIPVAALHHDPYIWGPDAGEFNPERFANGASKACKFPYLYMPFGFGPRVCLGLNFAMAELKVILSLMLGKFSFTLSPNYRHSVVYGIVSEPEFGVDLIVRKV >DRNTG_35173.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26101936:26104030:1 gene:DRNTG_35173 transcript:DRNTG_35173.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNPLQITLEEEDWLWDGKNMLSFLIALAICCLVFYVYHTLWLQPEKIRKRLLMQGINGPKPSFFYGNLLEMKRIDSRNKVKNEDRCISHEFKLYPSFNLWRNEYGPTFMFLKGNRITVYVSAPEILKEITLCTSLAFGRSTAWIKQRTSLLGDSVATLNGQAWAHHRKIIAPEFFMEKVKGMMDLMVDSTLSLLRSWEVRVETAGGFADMKVDEDLRNLTADVISRACFGSSYLKGKDLFSKIKALQTALAMQATWINLPGFRFHH >DRNTG_35173.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26101936:26104030:1 gene:DRNTG_35173 transcript:DRNTG_35173.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVINERKVAGNIENDLLSTLIDANDLNGSKASVKFVAESCKTTYFAGHETSSITLKWVLLLLALNPEWQARVRAEITAVCRQHIPDANALRQMKLLTMVIQETMRLYSITPLILRETLQEDIKFGDIWFPGGVELMIPVAALHHDPYIWGPDAGEFNPERFANGASKACKFPYLYMPFGFGPRVCLGLNFAMAELKVILSLMLGKFSFTLSPNYRHSVVYGIVSEPEFGVDLIVRKV >DRNTG_35173.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26101936:26104030:1 gene:DRNTG_35173 transcript:DRNTG_35173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVINERKVAGNIENDLLSTLIDANDLNGSKASVKFVAESCKTTYFAGHETSSITLKWVLLLLALNPEWQARVRAEITAVCRQHIPDANALRQMKLLTMVIQETMRLYSITPLILRETLQEDIKFGDIWFPGGVELMIPVAALHHDPYIWGPDAGEFNPERFANGASKACKFPYLYMPFGFGPRVCLGLNFAMAELKVILSLMLGKFSFTLSPNYRHSVVYGIVSEPEFGVDLIVRKV >DRNTG_35173.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26101936:26104030:1 gene:DRNTG_35173 transcript:DRNTG_35173.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKVINERKVAGNIENDLLSTLIDANDLNGSKASVKFVAESCKTTYFAGHETSSITLKWVLLLLALNPEWQARVRAEITAVCRQHIPDANALRQMKLLTMVIQETMRLYSITPLILRETLQEDIKFGDIWFPGGVELMIPVAALHHDPYIWGPDAGEFNPERFANGASKACKFPYLYMPFGFGPRVCLGLNFAMAELKVILSLMLGKFSFTLSPNYRHSVVYGIVSEPEFGVDLIVRKV >DRNTG_35173.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26103512:26104030:1 gene:DRNTG_35173 transcript:DRNTG_35173.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQETMRLYSITPLILRETLQEDIKFGDIWFPGGVELMIPVAALHHDPYIWGPDAGEFNPERFANGASKACKFPYLYMPFGFGPRVCLGLNFAMAELKVILSLMLGKFSFTLSPNYRHSVVYGIVSEPEFGVDLIVRKV >DRNTG_35173.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26101936:26104030:1 gene:DRNTG_35173 transcript:DRNTG_35173.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNPLQITLEEEDWLWDGKNMLSFLIALAICCLVFYVYHTLWLQPEKIRKRLLMQGINGPKPSFFYGNLLEMKRIDSRNKVKNEDRCISHEFKLYPSFNLWRNEYGPTFMFLKGNRITVYVSAPEILKEITLCTSLAFGRSTAWIKQRTSLLGDSVATLNGQAWAHHRKIIAPEFFMEKVKGMMDLMVDSTLSLLRSWEVRVETAGGFADMKVDEDLRNLTADVISRACFGSSYLKGKDLFSKIKALQTALAMQATWINLPGFRYIPNKNNREVWRMDREF >DRNTG_24117.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:263675:264939:-1 gene:DRNTG_24117 transcript:DRNTG_24117.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVDLLLFSSLSDDEYSYDAPNPSTVDPFLPFDHDFFSPVAFPSSPEPLQLGLAFGFDSDAEGDEDGPQIPNFLGDSDSRDEEFEWEEVTSRLDVLSMMVGHDRDSDRDLVENVDWEVLLAVNDELVYASEYEVLSRQHDGFSRTGTPTARSVIEMLPSVVPSEGTSCAVCKEEFLLGGEEEAKMLPCSHCYHSECILPWLKERNTCPVCRRELPTDAEECEGLSSE >DRNTG_24117.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:263675:264974:-1 gene:DRNTG_24117 transcript:DRNTG_24117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVDLLLFSSLSDDEYSYDAPNPSTVDPFLPFDHDFFSPVAFPSSPEPLQLGLAFGFDSDAEGDEDGPQIPNFLGDSDSRDEEFEWEEVTSRLDVLSMMVGHDRDSDRDLVENVDWEVLLAVNDELVYASEYEVLSRQHDGFSRTGTPTARSVIEMLPSVVPSEGTSCAVCKEEFLLGGEEEAKMLPCSHCYHSECILPWLKERNTCPVCRRELPTDAEECEGLSSE >DRNTG_24117.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:264008:264974:-1 gene:DRNTG_24117 transcript:DRNTG_24117.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVDLLLFSSLSDDEYSYDAPNPSTVDPFLPFDHDFFSPVAFPSSPEPLQLGLAFGFDSDAEGDEDGPQIPNFLGDSDSRDEEFEWEEVTSRLDVLSMMVGHDRDSDRDLVENVDWEVLLAVNDELVYASEYEVLSRQHDGFSRTGTPTARSVIEMLPSVVPSEGTSCAVCKEEFLLGGEEEAKMLPCSHCYHSECILPWLKERNTCPVCRRELPTDAEECEGLSSE >DRNTG_24117.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:263832:264974:-1 gene:DRNTG_24117 transcript:DRNTG_24117.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVDLLLFSSLSDDEYSYDAPNPSTVDPFLPFDHDFFSPVAFPSSPEPLQLGLAFGFDSDAEGDEDGPQIPNFLGDSDSRDEEFEWEEVTSRLDVLSMMVGHDRDSDRDLVENVDWEVLLAVNDELVYASEYEVLSRQHDGFSRTGTPTARSVIEMLPSVVPSEGTSCAVCKEEFLLGGEEEAKMLPCSHCYHSECILPWLKERNTCPVCRRELPTDAEECEGLSSE >DRNTG_24117.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:263938:264974:-1 gene:DRNTG_24117 transcript:DRNTG_24117.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVDLLLFSSLSDDEYSYDAPNPSTVDPFLPFDHDFFSPVAFPSSPEPLQLGLAFGFDSDAEGDEDGPQIPNFLGDSDSRDEEFEWEEVTSRLDVLSMMVGHDRDSDRDLVENVDWEVLLAVNDELVYASEYEVLSRQHDGFSRTGTPTARSVIEMLPSVVPSEGTSCAVCKEEFLLGGEEEAKMLPCSHCYHSECILPWLKERNTCPVCRRELPTDAEECEGLSSE >DRNTG_24117.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:263832:265007:-1 gene:DRNTG_24117 transcript:DRNTG_24117.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVDLLLFSSLSDDEYSYDAPNPSTVDPFLPFDHDFFSPVAFPSSPEPLQLGLAFGFDSDAEGDEDGPQIPNFLGDSDSRDEEFEWEEVTSRLDVLSMMVGHDRDSDRDLVENVDWEVLLAVNDELVYASEYEVLSRQHDGFSRTGTPTARSVIEMLPSVVPSEGTSCAVCKEEFLLGGEEEAKMLPCSHCYHSECILPWLKERNTCPVCRRELPTDAEECEGLSSE >DRNTG_24117.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:263938:264939:-1 gene:DRNTG_24117 transcript:DRNTG_24117.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVDLLLFSSLSDDEYSYDAPNPSTVDPFLPFDHDFFSPVAFPSSPEPLQLGLAFGFDSDAEGDEDGPQIPNFLGDSDSRDEEFEWEEVTSRLDVLSMMVGHDRDSDRDLVENVDWEVLLAVNDELVYASEYEVLSRQHDGFSRTGTPTARSVIEMLPSVVPSEGTSCAVCKEEFLLGGEEEAKMLPCSHCYHSECILPWLKERNTCPVCRRELPTDAEECEGLSSE >DRNTG_24117.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:264008:264939:-1 gene:DRNTG_24117 transcript:DRNTG_24117.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVDLLLFSSLSDDEYSYDAPNPSTVDPFLPFDHDFFSPVAFPSSPEPLQLGLAFGFDSDAEGDEDGPQIPNFLGDSDSRDEEFEWEEVTSRLDVLSMMVGHDRDSDRDLVENVDWEVLLAVNDELVYASEYEVLSRQHDGFSRTGTPTARSVIEMLPSVVPSEGTSCAVCKEEFLLGGEEEAKMLPCSHCYHSECILPWLKERNTCPVCRRELPTDAEECEGLSSE >DRNTG_14741.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:150211:153840:1 gene:DRNTG_14741 transcript:DRNTG_14741.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVVSSFLQILFEKLAVAALDEYRSLRNVKKEFQCLFSTLSSIQDLLEDAEEKQLKDKPVRRWLVKLKDVAVDIDDLLDKHTAAVQRSKLMVQKTKVRRHLSYHFFNRVFLDYKLAHNIKDINERLDKISRERDVLGLQVLNNGTSRLEIEEKPQTSSLVDGSRVFGREQDKENIVKLLLATGDGFSNPFNVAILPIVGMGGLGKTTLTQLVYNDHRVKEHFQLRMWLCVSENFDERKLTRETLEYTQSDYTNTNTNTTNLNLLQEDLFHKLKGKRFLLVLDDVWNENREKWSRYYVALAAGDRGSKILVTTRNENVGLIMGGLRPYYLKQLSDENCWSLFRSCAFVNGNSSGHPKLEEIGKEIVKKLKGLPLAAKTLGSLLYSKLDEDDWKNILRSEIWELPTDQNNIMPALRLSYKHLPPHVKQCFAFCAVFHKDYVFGRDDLVQMWMALGFVQPQGRKRMEDVGYSYFDELVSRSFFHAHKGNYVMHDAIHDLAQSISIDECVRLGDKLQSNTTDKALHSSFSCSHSMHTSFEPFYRFKRLRTLLLLQGYKSRTGLIPDDLFSRLKFLRVLVLNRRDIDKVPNSIDNLHQLRYLGLSGTGIKMLPSSISRLYNLQTLRLKHCNELSYLPRGITGLINLRHMEANSLLISEIAGIGKLTCLQNLGEFIVRQRMGFHITELKDMTQLRGHLCISDLQNVISGEEARKAKLHTKELLTSLELVWSAGNRVASSEECIPEEVLRCLEPQREIRELSVKGYSGFHFPDWLGSSSLSSLHTIHLSNCKNCKFLPPLGQLPFLRYLDIGGMDSVTHIGKEFLGVKGFPSLIELVIEDMPCLEEWAIAQGGVFPCITEIQVRDCPELRELPQLPPTLTKLTVSEAGVSCLPQLKSPTSSSLATAALSSMFIHDCPNLTSLRNGLLSQELSSLTELTIANCEELVSLPMYLFKPLVSLKNLHIYNCPKLTCSYQEATGLLPASLEDLRISSCSVELINPMLKCLGSLTTLRHLKISDCSELNYFPEETRLPDMLKLLVLSNCANLLCLPPLLHVSALETLVIWHCPLVMLPVDGLPAELQELHINGCPVLKNLLEQDDGREWAKIARAPKTKIDCR >DRNTG_14741.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:148093:149360:1 gene:DRNTG_14741 transcript:DRNTG_14741.14 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSPSFAKPSPPGSAKTPTSLTPTPRYLIRQNSIFCFTSLNYL >DRNTG_14741.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:150126:153634:1 gene:DRNTG_14741 transcript:DRNTG_14741.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVVSSFLQILFEKLAVAALDEYRSLRNVKKEFQCLFSTLSSIQDLLEDAEEKQLKDKPVRRWLVKLKDVAVDIDDLLDKHTAAVQRSKLMVQKTKVRRHLSYHFFNRVFLDYKLAHNIKDINERLDKISRERDVLGLQVLNNGTSRLEIEEKPQTSSLVDGSRVFGREQDKENIVKLLLATGDGFSNPFNVAILPIVGMGGLGKTTLTQLVYNDHRVKEHFQLRMWLCVSENFDERKLTRETLEYTQSDYTNTNTNTTNLNLLQEDLFHKLKGKRFLLVLDDVWNENREKWSRYYVALAAGDRGSKILVTTRNENVGLIMGGLRPYYLKQLSDENCWSLFRSCAFVNGNSSGHPKLEEIGKEIVKKLKGLPLAAKTLGSLLYSKLDEDDWKNILRSEIWELPTDQNNIMPALRLSYKHLPPHVKQCFAFCAVFHKDYVFGRDDLVQMWMALGFVQPQGRKRMEDVGYSYFDELVSRSFFHAHKGNYVMHDAIHDLAQSISIDECVRLGDKLQSNTTDKALHSSFSCSHSMHTSFEPFYRFKRLRTLLLLQGYKSRTGLIPDDLFSRLKFLRVLVLNRRDIDKVPNSIDNLHQLRYLGLSGTGIKMLPSSISRLYNLQTLRLKHCNELSYLPRGITGLINLRHMEANSLLISEIAGIGKLTCLQNLGEFIVRQRMGFHITELKDMTQLRGHLCISDLQNVISGEEARKAKLHTKELLTSLELVWSAGNRVASSEECIPEEVLRCLEPQREIRELSVKGYSGFHFPDWLGSSSLSSLHTIHLSNCKNCKFLPPLGQLPFLRYLDIGGMDSVTHIGKEFLGVKGFPSLIELVIEDMPCLEEWAIAQGGVFPCITEIQVRDCPELRELPQLPPTLTKLTVSEAGVSCLPQLKSPTSSSLATAALSSMFIHDCPNLTSLRNGLLSQELSSLTELTIANCEELVSLPMYLFKPLVSLKNLHIYNCPKLTCSYQEATGLLPASLEDLRISSCSVELINPMLKCLGSLTTLRHLKISDCSELNYFPEETRLPDMLKLLVLSNCANLLCLPPLLHVSALETLVIWHCPLVMLPVDGLPAELQELHINGCPVLKNLLEQDDGREWAKIARAPKTKIDCR >DRNTG_14741.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:150211:153634:1 gene:DRNTG_14741 transcript:DRNTG_14741.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVVSSFLQILFEKLAVAALDEYRSLRNVKKEFQCLFSTLSSIQDLLEDAEEKQLKDKPVRRWLVKLKDVAVDIDDLLDKHTAAVQRSKLMVQKTKVRRHLSYHFFNRVFLDYKLAHNIKDINERLDKISRERDVLGLQVLNNGTSRLEIEEKPQTSSLVDGSRVFGREQDKENIVKLLLATGDGFSNPFNVAILPIVGMGGLGKTTLTQLVYNDHRVKEHFQLRMWLCVSENFDERKLTRETLEYTQSDYTNTNTNTTNLNLLQEDLFHKLKGKRFLLVLDDVWNENREKWSRYYVALAAGDRGSKILVTTRNENVGLIMGGLRPYYLKQLSDENCWSLFRSCAFVNGNSSGHPKLEEIGKEIVKKLKGLPLAAKTLGSLLYSKLDEDDWKNILRSEIWELPTDQNNIMPALRLSYKHLPPHVKQCFAFCAVFHKDYVFGRDDLVQMWMALGFVQPQGRKRMEDVGYSYFDELVSRSFFHAHKGNYVMHDAIHDLAQSISIDECVRLGDKLQSNTTDKALHSSFSCSHSMHTSFEPFYRFKRLRTLLLLQGYKSRTGLIPDDLFSRLKFLRVLVLNRRDIDKVPNSIDNLHQLRYLGLSGTGIKMLPSSISRLYNLQTLRLKHCNELSYLPRGITGLINLRHMEANSLLISEIAGIGKLTCLQNLGEFIVRQRMGFHITELKDMTQLRGHLCISDLQNVISGEEARKAKLHTKELLTSLELVWSAGNRVASSEECIPEEVLRCLEPQREIRELSVKGYSGFHFPDWLGSSSLSSLHTIHLSNCKNCKFLPPLGQLPFLRYLDIGGMDSVTHIGKEFLGVKGFPSLIELVIEDMPCLEEWAIAQGGVFPCITEIQVRDCPELRELPQLPPTLTKLTVSEAGVSCLPQLKSPTSSSLATAALSSMFIHDCPNLTSLRNGLLSQELSSLTELTIANCEELVSLPMYLFKPLVSLKNLHIYNCPKLTCSYQEATGLLPASLEDLRISSCSVELINPMLKCLGSLTTLRHLKISDCSELNYFPEETRLPDMLKLLVLSNCANLLCLPPLLHVSALETLVIWHCPLVMLPVDGLPAELQELHINGCPVLKNLLEQDDGREWAKIARAPKTKIDCR >DRNTG_14741.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:149971:153840:1 gene:DRNTG_14741 transcript:DRNTG_14741.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVVSSFLQILFEKLAVAALDEYRSLRNVKKEFQCLFSTLSSIQDLLEDAEEKQLKDKPVRRWLVKLKDVAVDIDDLLDKHTAAVQRSKLMVQKTKVRRHLSYHFFNRVFLDYKLAHNIKDINERLDKISRERDVLGLQVLNNGTSRLEIEEKPQTSSLVDGSRVFGREQDKENIVKLLLATGDGFSNPFNVAILPIVGMGGLGKTTLTQLVYNDHRVKEHFQLRMWLCVSENFDERKLTRETLEYTQSDYTNTNTNTTNLNLLQEDLFHKLKGKRFLLVLDDVWNENREKWSRYYVALAAGDRGSKILVTTRNENVGLIMGGLRPYYLKQLSDENCWSLFRSCAFVNGNSSGHPKLEEIGKEIVKKLKGLPLAAKTLGSLLYSKLDEDDWKNILRSEIWELPTDQNNIMPALRLSYKHLPPHVKQCFAFCAVFHKDYVFGRDDLVQMWMALGFVQPQGRKRMEDVGYSYFDELVSRSFFHAHKGNYVMHDAIHDLAQSISIDECVRLGDKLQSNTTDKALHSSFSCSHSMHTSFEPFYRFKRLRTLLLLQGYKSRTGLIPDDLFSRLKFLRVLVLNRRDIDKVPNSIDNLHQLRYLGLSGTGIKMLPSSISRLYNLQTLRLKHCNELSYLPRGITGLINLRHMEANSLLISEIAGIGKLTCLQNLGEFIVRQRMGFHITELKDMTQLRGHLCISDLQNVISGEEARKAKLHTKELLTSLELVWSAGNRVASSEECIPEEVLRCLEPQREIRELSVKGYSGFHFPDWLGSSSLSSLHTIHLSNCKNCKFLPPLGQLPFLRYLDIGGMDSVTHIGKEFLGVKGFPSLIELVIEDMPCLEEWAIAQGGVFPCITEIQVRDCPELRELPQLPPTLTKLTVSEAGVSCLPQLKSPTSSSLATAALSSMFIHDCPNLTSLRNGLLSQELSSLTELTIANCEELVSLPMYLFKPLVSLKNLHIYNCPKLTCSYQEATGLLPASLEDLRISSCSVELINPMLKCLGSLTTLRHLKISDCSELNYFPEETRLPDMLKLLVLSNCANLLCLPPLLHVSALETLVIWHCPLVMLPVDGLPAELQELHINGCPVLKNLLEQDDGREWAKIARAPKTKIDCR >DRNTG_14741.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:148219:149574:1 gene:DRNTG_14741 transcript:DRNTG_14741.12 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSPSFAKPSPPGSAKTPTSLTPTPRYLIRQNSIFCFTSLNYL >DRNTG_14741.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:148122:149385:1 gene:DRNTG_14741 transcript:DRNTG_14741.15 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSPSFAKPSPPGSAKTPTSLTPTPRYLIRQNSIFCFTSLNYL >DRNTG_14741.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:148219:153753:1 gene:DRNTG_14741 transcript:DRNTG_14741.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVVSSFLQILFEKLAVAALDEYRSLRNVKKEFQCLFSTLSSIQDLLEDAEEKQLKDKPVRRWLVKLKDVAVDIDDLLDKHTAAVQRSKLMVQKTKVRRHLSYHFFNRVFLDYKLAHNIKDINERLDKISRERDVLGLQVLNNGTSRLEIEEKPQTSSLVDGSRVFGREQDKENIVKLLLATGDGFSNPFNVAILPIVGMGGLGKTTLTQLVYNDHRVKEHFQLRMWLCVSENFDERKLTRETLEYTQSDYTNTNTNTTNLNLLQEDLFHKLKGKRFLLVLDDVWNENREKWSRYYVALAAGDRGSKILVTTRNENVGLIMGGLRPYYLKQLSDENCWSLFRSCAFVNGNSSGHPKLEEIGKEIVKKLKGLPLAAKTLGSLLYSKLDEDDWKNILRSEIWELPTDQNNIMPALRLSYKHLPPHVKQCFAFCAVFHKDYVFGRDDLVQMWMALGFVQPQGRKRMEDVGYSYFDELVSRSFFHAHKGNYVMHDAIHDLAQSISIDECVRLGDKLQSNTTDKALHSSFSCSHSMHTSFEPFYRFKRLRTLLLLQGYKSRTGLIPDDLFSRLKFLRVLVLNRRDIDKVPNSIDNLHQLRYLGLSGTGIKMLPSSISRLYNLQTLRLKHCNELSYLPRGITGLINLRHMEANSLLISEIAGIGKLTCLQNLGEFIVRQRMGFHITELKDMTQLRGHLCISDLQNVISGEEARKAKLHTKELLTSLELVWSAGNRVASSEECIPEEVLRCLEPQREIRELSVKGYSGFHFPDWLGSSSLSSLHTIHLSNCKNCKFLPPLGQLPFLRYLDIGGMDSVTHIGKEFLGVKGFPSLIELVIEDMPCLEEWAIAQGGVFPCITEIQVRDCPELRELPQLPPTLTKLTVSEAGVSCLPQLKSPTSSSLATAALSSMFIHDCPNLTSLRNGLLSQELSSLTELTIANCEELVSLPMYLFKPLVSLKNLHIYNCPKLTCSYQEATGLLPASLEDLRISSCSVELINPMLKCLGSLTTLRHLKISDCSELNYFPEETRLPDMLKLLVLSNCANLLCLPPLLHVSALETLVIWHCPLVMLPVDGLPAELQELHINGCPVLKNLLEQDDGREWAKIARAPKTKIDCR >DRNTG_14741.20.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:148219:149360:1 gene:DRNTG_14741 transcript:DRNTG_14741.20 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSPSFAKPSPPGSAKTPTSLTPTPRYLIRQNSIFCFTSLNYL >DRNTG_14741.17.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:148122:149360:1 gene:DRNTG_14741 transcript:DRNTG_14741.17 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSPSFAKPSPPGSAKTPTSLTPTPRYLIRQNSIFCFTSLNYL >DRNTG_14741.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:150126:153753:1 gene:DRNTG_14741 transcript:DRNTG_14741.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVVSSFLQILFEKLAVAALDEYRSLRNVKKEFQCLFSTLSSIQDLLEDAEEKQLKDKPVRRWLVKLKDVAVDIDDLLDKHTAAVQRSKLMVQKTKVRRHLSYHFFNRVFLDYKLAHNIKDINERLDKISRERDVLGLQVLNNGTSRLEIEEKPQTSSLVDGSRVFGREQDKENIVKLLLATGDGFSNPFNVAILPIVGMGGLGKTTLTQLVYNDHRVKEHFQLRMWLCVSENFDERKLTRETLEYTQSDYTNTNTNTTNLNLLQEDLFHKLKGKRFLLVLDDVWNENREKWSRYYVALAAGDRGSKILVTTRNENVGLIMGGLRPYYLKQLSDENCWSLFRSCAFVNGNSSGHPKLEEIGKEIVKKLKGLPLAAKTLGSLLYSKLDEDDWKNILRSEIWELPTDQNNIMPALRLSYKHLPPHVKQCFAFCAVFHKDYVFGRDDLVQMWMALGFVQPQGRKRMEDVGYSYFDELVSRSFFHAHKGNYVMHDAIHDLAQSISIDECVRLGDKLQSNTTDKALHSSFSCSHSMHTSFEPFYRFKRLRTLLLLQGYKSRTGLIPDDLFSRLKFLRVLVLNRRDIDKVPNSIDNLHQLRYLGLSGTGIKMLPSSISRLYNLQTLRLKHCNELSYLPRGITGLINLRHMEANSLLISEIAGIGKLTCLQNLGEFIVRQRMGFHITELKDMTQLRGHLCISDLQNVISGEEARKAKLHTKELLTSLELVWSAGNRVASSEECIPEEVLRCLEPQREIRELSVKGYSGFHFPDWLGSSSLSSLHTIHLSNCKNCKFLPPLGQLPFLRYLDIGGMDSVTHIGKEFLGVKGFPSLIELVIEDMPCLEEWAIAQGGVFPCITEIQVRDCPELRELPQLPPTLTKLTVSEAGVSCLPQLKSPTSSSLATAALSSMFIHDCPNLTSLRNGLLSQELSSLTELTIANCEELVSLPMYLFKPLVSLKNLHIYNCPKLTCSYQEATGLLPASLEDLRISSCSVELINPMLKCLGSLTTLRHLKISDCSELNYFPEETRLPDMLKLLVLSNCANLLCLPPLLHVSALETLVIWHCPLVMLPVDGLPAELQELHINGCPVLKNLLEQDDGREWAKIARAPKTKIDCR >DRNTG_14741.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:148122:149385:1 gene:DRNTG_14741 transcript:DRNTG_14741.16 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSPSFAKPSPPGSAKTPTSLTPTPSFRLNLNN >DRNTG_14741.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:150126:153840:1 gene:DRNTG_14741 transcript:DRNTG_14741.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVVSSFLQILFEKLAVAALDEYRSLRNVKKEFQCLFSTLSSIQDLLEDAEEKQLKDKPVRRWLVKLKDVAVDIDDLLDKHTAAVQRSKLMVQKTKVRRHLSYHFFNRVFLDYKLAHNIKDINERLDKISRERDVLGLQVLNNGTSRLEIEEKPQTSSLVDGSRVFGREQDKENIVKLLLATGDGFSNPFNVAILPIVGMGGLGKTTLTQLVYNDHRVKEHFQLRMWLCVSENFDERKLTRETLEYTQSDYTNTNTNTTNLNLLQEDLFHKLKGKRFLLVLDDVWNENREKWSRYYVALAAGDRGSKILVTTRNENVGLIMGGLRPYYLKQLSDENCWSLFRSCAFVNGNSSGHPKLEEIGKEIVKKLKGLPLAAKTLGSLLYSKLDEDDWKNILRSEIWELPTDQNNIMPALRLSYKHLPPHVKQCFAFCAVFHKDYVFGRDDLVQMWMALGFVQPQGRKRMEDVGYSYFDELVSRSFFHAHKGNYVMHDAIHDLAQSISIDECVRLGDKLQSNTTDKALHSSFSCSHSMHTSFEPFYRFKRLRTLLLLQGYKSRTGLIPDDLFSRLKFLRVLVLNRRDIDKVPNSIDNLHQLRYLGLSGTGIKMLPSSISRLYNLQTLRLKHCNELSYLPRGITGLINLRHMEANSLLISEIAGIGKLTCLQNLGEFIVRQRMGFHITELKDMTQLRGHLCISDLQNVISGEEARKAKLHTKELLTSLELVWSAGNRVASSEECIPEEVLRCLEPQREIRELSVKGYSGFHFPDWLGSSSLSSLHTIHLSNCKNCKFLPPLGQLPFLRYLDIGGMDSVTHIGKEFLGVKGFPSLIELVIEDMPCLEEWAIAQGGVFPCITEIQVRDCPELRELPQLPPTLTKLTVSEAGVSCLPQLKSPTSSSLATAALSSMFIHDCPNLTSLRNGLLSQELSSLTELTIANCEELVSLPMYLFKPLVSLKNLHIYNCPKLTCSYQEATGLLPASLEDLRISSCSVELINPMLKCLGSLTTLRHLKISDCSELNYFPEETRLPDMLKLLVLSNCANLLCLPPLLHVSALETLVIWHCPLVMLPVDGLPAELQELHINGCPVLKNLLEQDDGREWAKIARAPKTKIDCR >DRNTG_14741.21 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:148219:149360:1 gene:DRNTG_14741 transcript:DRNTG_14741.21 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSPSFAKPSPPGSAKTPTSLTPTPSFRLNLNN >DRNTG_14741.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:149971:153753:1 gene:DRNTG_14741 transcript:DRNTG_14741.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVVSSFLQILFEKLAVAALDEYRSLRNVKKEFQCLFSTLSSIQDLLEDAEEKQLKDKPVRRWLVKLKDVAVDIDDLLDKHTAAVQRSKLMVQKTKVRRHLSYHFFNRVFLDYKLAHNIKDINERLDKISRERDVLGLQVLNNGTSRLEIEEKPQTSSLVDGSRVFGREQDKENIVKLLLATGDGFSNPFNVAILPIVGMGGLGKTTLTQLVYNDHRVKEHFQLRMWLCVSENFDERKLTRETLEYTQSDYTNTNTNTTNLNLLQEDLFHKLKGKRFLLVLDDVWNENREKWSRYYVALAAGDRGSKILVTTRNENVGLIMGGLRPYYLKQLSDENCWSLFRSCAFVNGNSSGHPKLEEIGKEIVKKLKGLPLAAKTLGSLLYSKLDEDDWKNILRSEIWELPTDQNNIMPALRLSYKHLPPHVKQCFAFCAVFHKDYVFGRDDLVQMWMALGFVQPQGRKRMEDVGYSYFDELVSRSFFHAHKGNYVMHDAIHDLAQSISIDECVRLGDKLQSNTTDKALHSSFSCSHSMHTSFEPFYRFKRLRTLLLLQGYKSRTGLIPDDLFSRLKFLRVLVLNRRDIDKVPNSIDNLHQLRYLGLSGTGIKMLPSSISRLYNLQTLRLKHCNELSYLPRGITGLINLRHMEANSLLISEIAGIGKLTCLQNLGEFIVRQRMGFHITELKDMTQLRGHLCISDLQNVISGEEARKAKLHTKELLTSLELVWSAGNRVASSEECIPEEVLRCLEPQREIRELSVKGYSGFHFPDWLGSSSLSSLHTIHLSNCKNCKFLPPLGQLPFLRYLDIGGMDSVTHIGKEFLGVKGFPSLIELVIEDMPCLEEWAIAQGGVFPCITEIQVRDCPELRELPQLPPTLTKLTVSEAGVSCLPQLKSPTSSSLATAALSSMFIHDCPNLTSLRNGLLSQELSSLTELTIANCEELVSLPMYLFKPLVSLKNLHIYNCPKLTCSYQEATGLLPASLEDLRISSCSVELINPMLKCLGSLTTLRHLKISDCSELNYFPEETRLPDMLKLLVLSNCANLLCLPPLLHVSALETLVIWHCPLVMLPVDGLPAELQELHINGCPVLKNLLEQDDGREWAKIARAPKTKIDCR >DRNTG_14741.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:148093:149574:1 gene:DRNTG_14741 transcript:DRNTG_14741.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSPSFAKPSPPGSAKTPTSLTPTPRYLIRQNSIFCFTSLNYL >DRNTG_14741.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:148122:149574:1 gene:DRNTG_14741 transcript:DRNTG_14741.11 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSPSFAKPSPPGSAKTPTSLTPTPRYLIRQNSIFCFTSLNYL >DRNTG_14741.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:148219:149385:1 gene:DRNTG_14741 transcript:DRNTG_14741.19 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSPSFAKPSPPGSAKTPTSLTPTPSFRLNLNN >DRNTG_14741.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:150211:153753:1 gene:DRNTG_14741 transcript:DRNTG_14741.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVVSSFLQILFEKLAVAALDEYRSLRNVKKEFQCLFSTLSSIQDLLEDAEEKQLKDKPVRRWLVKLKDVAVDIDDLLDKHTAAVQRSKLMVQKTKVRRHLSYHFFNRVFLDYKLAHNIKDINERLDKISRERDVLGLQVLNNGTSRLEIEEKPQTSSLVDGSRVFGREQDKENIVKLLLATGDGFSNPFNVAILPIVGMGGLGKTTLTQLVYNDHRVKEHFQLRMWLCVSENFDERKLTRETLEYTQSDYTNTNTNTTNLNLLQEDLFHKLKGKRFLLVLDDVWNENREKWSRYYVALAAGDRGSKILVTTRNENVGLIMGGLRPYYLKQLSDENCWSLFRSCAFVNGNSSGHPKLEEIGKEIVKKLKGLPLAAKTLGSLLYSKLDEDDWKNILRSEIWELPTDQNNIMPALRLSYKHLPPHVKQCFAFCAVFHKDYVFGRDDLVQMWMALGFVQPQGRKRMEDVGYSYFDELVSRSFFHAHKGNYVMHDAIHDLAQSISIDECVRLGDKLQSNTTDKALHSSFSCSHSMHTSFEPFYRFKRLRTLLLLQGYKSRTGLIPDDLFSRLKFLRVLVLNRRDIDKVPNSIDNLHQLRYLGLSGTGIKMLPSSISRLYNLQTLRLKHCNELSYLPRGITGLINLRHMEANSLLISEIAGIGKLTCLQNLGEFIVRQRMGFHITELKDMTQLRGHLCISDLQNVISGEEARKAKLHTKELLTSLELVWSAGNRVASSEECIPEEVLRCLEPQREIRELSVKGYSGFHFPDWLGSSSLSSLHTIHLSNCKNCKFLPPLGQLPFLRYLDIGGMDSVTHIGKEFLGVKGFPSLIELVIEDMPCLEEWAIAQGGVFPCITEIQVRDCPELRELPQLPPTLTKLTVSEAGVSCLPQLKSPTSSSLATAALSSMFIHDCPNLTSLRNGLLSQELSSLTELTIANCEELVSLPMYLFKPLVSLKNLHIYNCPKLTCSYQEATGLLPASLEDLRISSCSVELINPMLKCLGSLTTLRHLKISDCSELNYFPEETRLPDMLKLLVLSNCANLLCLPPLLHVSALETLVIWHCPLVMLPVDGLPAELQELHINGCPVLKNLLEQDDGREWAKIARAPKTKIDCR >DRNTG_14741.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:148093:149385:1 gene:DRNTG_14741 transcript:DRNTG_14741.13 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSPSFAKPSPPGSAKTPTSLTPTPRYLIRQNSIFCFTSLNYL >DRNTG_14741.18.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:148219:149385:1 gene:DRNTG_14741 transcript:DRNTG_14741.18 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSPSFAKPSPPGSAKTPTSLTPTPRYLIRQNSIFCFTSLNYL >DRNTG_33085.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20078235:20079985:1 gene:DRNTG_33085 transcript:DRNTG_33085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNLHSHIPPAAHTKSTKRKEQDFFPPKPPQH >DRNTG_33085.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20078235:20079739:1 gene:DRNTG_33085 transcript:DRNTG_33085.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNLHSHIPPAAHTKSTKRKEQDFFPPKPPQH >DRNTG_33085.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20078235:20078692:1 gene:DRNTG_33085 transcript:DRNTG_33085.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNLHSHIPPAAHTKSTKRKEQDFFPPKPPQH >DRNTG_13869.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4769265:4770035:-1 gene:DRNTG_13869 transcript:DRNTG_13869.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDKNKKPLSLNDRLLFGLSHSRQDDRAHKVKIEGRRRLCKLSSASDDTIADEKLFDSPPRKHSGDGGESIRDILDDLSSRLETLSVEKHHHKPLRRDAEPSFCLSGFDDDDDVEEVEKREDNKGKAVKAPAFDGDVEEDCVAFDEDDGQNEESFCDGDGDRDDVRLDGMRICKAQTYVLPGKIAKRLYPHQRDGLVWLWSLHCGGTGG >DRNTG_13869.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4769265:4770059:-1 gene:DRNTG_13869 transcript:DRNTG_13869.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDKNKKPLSLNDRLLFGLSHSRQDDRAHKVKIEGRRRLCKLSSASDDTIADEKLFDSPPRKHSGDGGESIRDILDDLSSRLETLSVEKHHHKPLRRDAEPSFCLSGFDDDDDVEEVEKREDNKGKAVKAPAFDGDVEEDCVAFDEDDGQNEESFCDGDGDRDDVRLDGMRICKAQTYVLPGKIAKRLYPHQRDGLVWLWSLHCGGTGG >DRNTG_13869.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4769265:4770191:-1 gene:DRNTG_13869 transcript:DRNTG_13869.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDKNKKPLSLNDRLLFGLSHSRQDDRAHKVKIEGRRRLCKLSSASDDTIADEKLFDSPPRKHSGDGGESIRDILDDLSSRLETLSVEKHHHKPLRRDAEPSFCLSGFDDDDDVEEVEKREDNKGKAVKAPAFDGDVEEDCVAFDEDDGQNEESFCDGDGDRDDVRLDGMRICKAQTYVLPGKIAKRLYPHQRDGLVWLWSLHCGGTGG >DRNTG_13869.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4769227:4770035:-1 gene:DRNTG_13869 transcript:DRNTG_13869.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDKNKKPLSLNDRLLFGLSHSRQDDRAHKVKIEGRRRLCKLSSASDDTIADEKLFDSPPRKHSGDGGESIRDILDDLSSRLETLSVEKHHHKPLRRDAEPSFCLSGFDDDDDVEEVEKREDNKGKAVKAPAFDGDVEEDCVAFDEDDGQNEESFCDGDGDRDDVRLDGMRICKAQTYVLPGKIAKRLYPHQRDGLVWLWSLHCGGTGGILGDDMGLGKTMQ >DRNTG_23688.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10173210:10173909:1 gene:DRNTG_23688 transcript:DRNTG_23688.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRLHDVFITPNYFYGVEDFISFTFSQHNFLHGNKIRCPCVGCQNNKCQIFGNVHKYIYLSDRATK >DRNTG_23688.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10171318:10173909:1 gene:DRNTG_23688 transcript:DRNTG_23688.1 gene_biotype:protein_coding transcript_biotype:protein_coding STQPPHRSLLTSLPSSPLRDLVTGLQSKLGKILELVEHGHPTLFSQASKSGITSRDDTTGDGREDA >DRNTG_11070.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5882825:5885415:-1 gene:DRNTG_11070 transcript:DRNTG_11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARLKSSENNSPLQDQQSHSNPWRWRWCWRSTLCLSKNELQKGFFKLVQCFITSKVSFWWVCSEFNHCYSHYNEMMTMK >DRNTG_31633.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:829999:834909:-1 gene:DRNTG_31633 transcript:DRNTG_31633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKMAMVSLPCSNSCTLYVQSNHFKQRLSCSTICKIFHSSSFSSESSEASEASLSVSHSKQKGSFYPFTMATSLSSMGRQLRHGLSRRRSLSLKFSVPTQDKASKQRWNTRFASWALGTASVGMCLSFSTSSPVCAESPSEIDDENNGESKSSSITSHGKKVYTDYSITGIPGDGRCLFRSIVHGACLRSGTKLVNESDQRKLADELRAQVADELVHRRAESEWFIEGNFDTYVAQIRRPHVWGGEPELIMASHVLQVPITVYMLNEEAGGLISIAEYGQEYGKENPIRVLYHGYGHYDSLQIPAKKAASPKNIASRM >DRNTG_12598.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20329890:20332801:-1 gene:DRNTG_12598 transcript:DRNTG_12598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKGGSIFIRLVSAAGTGFFYVKRKNPRKITEKLEFRKYDPRVNKHVLFTEAKMK >DRNTG_12598.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20332392:20332801:-1 gene:DRNTG_12598 transcript:DRNTG_12598.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKGGSIFIRLVSAAGTGFFYVKRKNPRKITEKLEFRKYDPRVNKHVLFTEAKMK >DRNTG_30425.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001573.1:196077:199723:1 gene:DRNTG_30425 transcript:DRNTG_30425.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAVSQVVTRLAGLLSQEFWLLYGLRDEVEWMERELRWIKCFLKDADAKGKRDERVKNWVNEVIQVAYLAEDAIDTFLIKVNHQSNGWLSHIKYRFKPSALIARHNVGVEIGKIKERLNEIKASREAYGIQNLSQYGDASNLISIIRRSHFSYQYSDDADVIRLFNDHKILLGRLMDQQQQRLCVISIFGIGGLGKTTLARKLYCDNAVNNHFHKRIWVTVSQENSLIGLLRKMLEEVRKIEKEKLEKMTENDLIDMLNDSLRTGRFLIVLDDIWLEDVWNQMQRSFLDVNNGSRVLITTRFLNVAKGADPRSTPYQLPLLIDDESMKLLLKKAFPHEDVEANFTNELLDIGLRLMHKCGGLPLALVVLGG >DRNTG_30425.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001573.1:196077:199723:1 gene:DRNTG_30425 transcript:DRNTG_30425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAVSQVVTRLAGLLSQEFWLLYGLRDEVEWMERELRWIKCFLKDADAKGKRDERVKNWVNEVIQVAYLAEDAIDTFLIKVNHQSNGWLSHIKYRFKPSALIARHNVGVEIGKIKERLNEIKASREAYGIQNLSQYGDASNLISIIRRSHFSYQYSDDADVIRLFNDHKILLGRLMDQQQQRLCVISIFGIGGLGKTTLARKLYCDNAVNNHFHKRIWVTVSQENSLIGLLRKMLEEVRKIEKEKLEKMTENDLIDMLNDSLRTGRFLIVLDDIWLEDVWNQMQRSFLDVNNGSRVLITTRFLNVAKGADPRSTPYQLPLLIDDESMKLLLKKAFPHEDVEANFTNELLDIGLRLMHKCGGLPLALVVLGG >DRNTG_32869.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001927.1:2957:5258:-1 gene:DRNTG_32869 transcript:DRNTG_32869.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVKEVMESCKPYLIMTFTLLLLAIYMALLQVVLDPSRGIDAIVLFVYESTVSAVVLSSLAFIVERGRRPKLSFTVVLWALLIGCLQVPLGQLMMMASLRYVTAKFQSVALNTTPVVVFVVAVVCRRENFRSLSVNGQAKLWGVLISATGALVMVIASSKESESSAESNGSLSENGLWILGCLMNGLAVLATTSGTFLVEKVSMTYPAILTLTAMINVFGTALTAIAAVFMERKPSTWKITWSPNLQLITIFYGGIAVTGGTFWANIWCVHKKGPVFTMAFSPLLIVFSFLLEAIITGSIFHLGSNLTGALLVVGGLYLLLWAKSKDEKKFRSITREQGALQDKSNIEPLLPN >DRNTG_14792.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29927224:29929644:1 gene:DRNTG_14792 transcript:DRNTG_14792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIVPEPVLVENDQEVVDGGALDLDGGFVVPDSNAFGHSFRDYEKESQRKAAVEEFYRINHLNQTYEFAKKKKEEYKKLSKAEMSIWECCELLNEFVDESDPDLDDPQIEHLLQTAEAIRRDYPDHDWLHLTALIHDLGKVLLHPSFGGEPQWCVVGDTFPLGCAFDESIVHHQFFKENPDYKNPAYKTKLGIYSEKCGLDKVTISWGHDEYMYLVMKENKTTLPAAALFIVRFHSFYSLHRSGAYTYLMDDDDKEMLKWLQIFNKYDLYSKSKVRVDVEKVKPYYMSLINKYFPEKLRW >DRNTG_13342.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1091866:1094984:1 gene:DRNTG_13342 transcript:DRNTG_13342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLYSIHPGIWRDDDTSSSLPTLPILPKLPSLHPLSQQNSKSSDLLKAQIASHPLYPSLLSSYIQCRKVGAPPEVATLLEEISSEQHHPTIISGELGADPELDEFMECYCRVLEKYKEELSKPLDEAATFLTEIETQLGDLCKSSHSSSSSTTAINSFSDEAAGSSDEELSCGDVDASESRESGTRFPDQDLKEMLLKKYSGYLSNLKKEFMKKRKKGKLPKDARLTLLDWWNSHYRWPYPTDEEKVKLAEMTGLDPKQINNWFINQRKRHWKPSDDMKFALMEGVNSGGSVTTLYFDKGATIDP >DRNTG_01739.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4195730:4196061:-1 gene:DRNTG_01739 transcript:DRNTG_01739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCLARRLFSNVPESTVYGGPKPQNPNQRVTLNSLRQKHLAGEPITMVTAYDYPSAVQVDTAGIDICLVGDSAAMVVHGYDTTLPITLDEMLSHCRAVA >DRNTG_04063.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18794472:18800487:-1 gene:DRNTG_04063 transcript:DRNTG_04063.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDITSTLEETLVVDEDEEEEEEGGEEGERGPVVLGPDLVLPQLLRQIRPGYHLSSTQDLKRDRSRSLLTTFVSYFLWIDSTERKDLSTNP >DRNTG_09940.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18330646:18330930:1 gene:DRNTG_09940 transcript:DRNTG_09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEACNVELGLAIGGNDYLRPKQVLKEVIQEDINTIKSWRWKPKIEKYEDSDHGNEKHGMRKKLKLSHDQLASLESCFRAHNILNPVYIYISP >DRNTG_18568.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6298214:6301986:-1 gene:DRNTG_18568 transcript:DRNTG_18568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKVKTYNGYYINGYKFHTEKRGSMKYTMNNGVCIKGSNLSASEMEYCGKLIEVVKLEYEGWPMKEVVLFKCVWLDPTLRTGTRIHPQYNLIDVHKNRTFNKYEPFILATQAAQVFYSPYPSLTRSSNVWLMVCALKARSIVEIAESNAISIVSAFQADEVQIHEIENGDEEVSVKSSDGTFIEIDGIDFEEEEEEEGEESEEDEHNEEDEDELDAFNDVEDAN >DRNTG_18568.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6298881:6301986:-1 gene:DRNTG_18568 transcript:DRNTG_18568.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKVKTYNGYYINGYKFHTEKRGSMKYTMNNGVCIKGSNLSASEMEYCGKLIEVVKLEYEGWPMKEVVLFKCVWLDPTLRTGTRIHPQYNLIDVHKNRTFNKYEPFILATQAAQVFYSPYPSLTRSSNVWLMVCALKARSIVEIAESNAISIVSAFQADEVQIHEIENGDEEVSVKSSDGTFIEIDGIDFEEEEEEEGEESEEDEHNEEDEDELDAFNDVEDAN >DRNTG_18568.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6301706:6301986:-1 gene:DRNTG_18568 transcript:DRNTG_18568.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQEYPGMLSIFKPSGKHLGPEKTRRLDNNEYHAARTYFLLNCEEVQPYIK >DRNTG_18568.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6299530:6301986:-1 gene:DRNTG_18568 transcript:DRNTG_18568.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHKVKTYNGYYINGYKFHTEKRGSMKYTMNNGVCIKGSNLSASEMEYCGKLIEVVKLEYEGWPMKEVVLFKCVWLDPTLRTGTRIHPQYNLIDVHKNRTFNKYEPFILATQAAQVFYSPYPSLTRSSNVWLMVCALKARSIVEIAESNAISIVSAFQADEVQIHEIENGDEEVSVKSSDGTFIEIDGIDFEEEEEEEGEESEEDEHNEEDEDELDAFNDVEDAN >DRNTG_30797.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6100632:6101188:1 gene:DRNTG_30797 transcript:DRNTG_30797.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARIYQVDVVTTLATQVETITKSKNTESCFLNHEEMMRNTNATMRNLKHHMAQMSKLIEERLLASLPSNTEVN >DRNTG_06212.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8436016:8437209:-1 gene:DRNTG_06212 transcript:DRNTG_06212.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDEPPVAEDPPPICMFSPSRAQDHFERLENIVEMIRTELILERDISSSFVLRLRTPQTPPVSPSPSLLAPFDLAPASAAEEQERGTDT >DRNTG_22978.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26943834:26944652:-1 gene:DRNTG_22978 transcript:DRNTG_22978.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSVLPVFAHAPAKKGFASFAIDFLMSGVCAAVSKTVAAPIERVNLLIQNQDEMIKASRLSEPYKGISYCFARTIKDEGFASLWRGNIANVIRYIPTQALNFAFKDYFKRLFNFKKDKDGYWKWFASNLASGGTAGASSLLFVYSLDYARTRLANDSKAAMNGGERKFNGLIDVNRKTLKFDGIAGMYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTRSLQDSFFASFTLSWLITNRVELASYPIDTVRRRMMMTSGEAVKYNSSFDAFS >DRNTG_14299.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7153028:7153585:-1 gene:DRNTG_14299 transcript:DRNTG_14299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRANFGELSIPAVLISMHLWVSMQMVSFFKPRPCMSASVPAYIELIIVFIFIIQTQPLCLA >DRNTG_03342.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5924615:5925228:1 gene:DRNTG_03342 transcript:DRNTG_03342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVWRGGLSGFGSASSPTLIDSSSVIASRKPPVNRASEWVLQDVEALHQGHQFIYKGDLFLWVSHEKVECPLSLPIECILSPFPKLYATIFGVSREIERFLRRDLVGG >DRNTG_27315.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:208513:209452:-1 gene:DRNTG_27315 transcript:DRNTG_27315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGPVGLVTHGVSRKRKDRCSSDPSEPASTNWLLAGYLAHEFLTKGTLLGRVCVSDPSKPDPVTKPNPKPELEKAKALREYADVAKLMKVDGVHIPGIVNPTQLAQWLQM >DRNTG_05072.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:14090933:14092892:-1 gene:DRNTG_05072 transcript:DRNTG_05072.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAFLARYFPPGKSAKLRNEISSFVQLELESLFETWERFKELLRKCPQHGFPEWMTVQTFYNGLNPSTRQLLDAAAVGTLR >DRNTG_06167.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25321471:25322430:-1 gene:DRNTG_06167 transcript:DRNTG_06167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTERNVGVAVDFSEGSQAALMWASDHLLRAGDKLVIIHSEVCFQKEQGAVHLWESTGSPFIPLNEFDDPGITKRYGVKPDSATINILHQLAKQKGIEVVVKIYWGDAGVKICEAGEKVPLQCLVIGSRGLGKVKRALLGSVSSYVVHHAMCPVTVVKSSPSN >DRNTG_16396.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16135589:16136458:1 gene:DRNTG_16396 transcript:DRNTG_16396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTVNSLTILMTTFSSPKAYYSKPTQSYTLSSPPLTAFPSRQTLLLRCHRPRRPKRSDSILVHAGPPSSSTLILAFVLPLSLLIGTILVSIRIADDLDEKFVKELLMNEAMAQEEEYGVEDAEDDDDDDDEEEDDENDDAPRVLELEEVAAPATPRVRNRPKKEI >DRNTG_35227.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8971136:8971755:-1 gene:DRNTG_35227 transcript:DRNTG_35227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRGGGRGGGGGRGGGRRGGGRGQGRQGYTGSDVRPHFQPSAPAPVAPQRPRPPTDLPASSSQEAGLSEELGGKLVIGETASGSRAPPVAQDAPPSKLDVVPVPVSSRPMKFPARPGFGTAGRKCLVRANHFLVDVADKD >DRNTG_12337.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20755330:20756335:1 gene:DRNTG_12337 transcript:DRNTG_12337.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVDLIIGIRFVPRDDELLVLLHNKATSMLEIPQQFKVIIDANVYNTEPWNLRRDTLYK >DRNTG_30371.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:108822:116485:-1 gene:DRNTG_30371 transcript:DRNTG_30371.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGALPKSGAISKGYNFASTWEQNAPLTEQQQAAVVALAHAVSERPFPQNLEQKSSGKDGAVLVSEKDANLEESGTVDAVLVNTHQFYKWFTDLESAMKSETEEKYRLYVNTLVERVEICDGILRQVDDTLNLFNELQSLHHNVTTKTKTLHDACDRLLLEKQRLIEFADALRSKLNYFDELENISGSFYSSNMTIGSEQFFPLLKRLDDCISYVESNPQYAESGVYLVKFRQLQSRALGIIRSHVLSVLKGASSQVQAAIRGSVSSKTTVSEGVEASIIYVRFKAAASELKNVLEEIESRSSRKEYSQLLTECHKLYCEQRLSLIKGIVQQRISEFSRKEALPSLSRSGCAYLMQVCQLEHQLFDHFFPSSSTDSSSLAPLIDPLCTYLYDTLRPKIIHEASLDPLCELVDILKVEVIGEQLSRRGESLAGLRPTLLRILADIHERLTFCARTYIRDEIANYRPGDEDLDYPAKLERAAQSATEESGDNKSDVVNSWYPPLEKTISCLSKLYRCLEPAVFTGLAQEAVEFCTTSIQNASKSIAKRSSSMDSQLFLIKHFLILREQIAPFDIEFSVTHKELDFSHLLEHLRRILRGQASLFDWSRSTSLARTFSPRVLESQIDARKELEKSLKTSCEEFIMSVTKLVVDPMLSFVTKVTAVKVALSAGNQAQKSDSLLSKPLKSQAFATPDKVAELIQKVGGAIQQDLPNVMNKMKLYLQNPSTRMILFKPIKTNIVEAHVQLQSLVKSEYSSEEMQTIGMVSIQDLQAQLDNLL >DRNTG_26472.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:548001:550989:-1 gene:DRNTG_26472 transcript:DRNTG_26472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMLGLRIVSYGMVGAVAILTHETTKAYKERLYHWAKEKFKSHDSPPQPGGPPQAENQPTTHQRGDAHLSSPQLQQQQQQQLTVIWEDNDALPFLPQLQHHQQPTTTTTITCEEDAHLSVLLQPQQQLTASKKDDDIHLSVLQQQQQLTTADKENVANLPMLQQQELTAAAAAAAAAAANDHDANYLLVQQEFTAASNIHDACIPAQQQQLTAIGKDDDVYDLNDDQAVKTSHLIGTEFELKGSSETSKDHEFDDVRTEGGAKHEQQGPRLPGGGATFFAKVFNAAKSQLHGFFCWARGLFEDKRWSTVRGIIARIARKIFLLLADDLFHYTFKGKHPVLRQLLAIIFNEVKKAL >DRNTG_06209.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26221336:26256493:1 gene:DRNTG_06209 transcript:DRNTG_06209.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 8 [Source:Projected from Arabidopsis thaliana (AT4G24400) UniProtKB/Swiss-Prot;Acc:Q9STV4] MGVRKVGKYEVGRTIGEGTFAKVKFAQNTETGESVAMKVLDRATIIKHKMVDQIKREISIMKLVRHPHVVRLHEVLASRTKIYIILEFITGGELFDKIVRHGKLSEADSRKYFQQLIDGVDYCHSKRVYHRDLKPENLLLDSLGNLKISDFGLSALPAQGVGLLRTTCGTPNYVAPEVLGHKGYDGAIADVWSCGVILYVLMAGYLPFDDVDLTTLYSKIEKAEFSCPSWFPIGAKSLIHRILDPNPASRIRIEDIRNDEWFKKNYVPVKPIENEVVNLDDINAVFEDSEDGQVNTQAGNEEFGPLSLNAFDLIILSQGLNLSALFDRKEDYVKHRTLFLSLKPARVILSTMEVVAQSMGFKTHIRNYKMRVEGLSLDKTGYLSVMLEIFEVAPSFFMVEIQRGSGDPAEYFKFNTDFRSKLDEIIWKPPGEKTKSQSTRGSKH >DRNTG_31421.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:641452:642930:1 gene:DRNTG_31421 transcript:DRNTG_31421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSVEVEGTAYWCYRCSRFVRVLNDDAIVCPNCDGGFLEESQTPSPLRHPRSRRGAAGGERSVFNPVIVLRGPSDGETLRDRTPSSRSFELYYDDGAGAGLRPLPPSVSDFLMGSGFDRLLEQLSQIELAGGGWRDFSHPPASKAAIESMPTVEIADAHIGVDSHCAVCKEAFELGAEAREMPCKHIYHQDCILPWLSIRNSCPVCRHEMPADMRQGAAPELDEAVGLTIWRLPGGGFAVGRFSGSQRAGERELPVVYTEVDGGFSGGGAPRRVLWTARASRSRERGVFGRALRRVFFFFRHLGFSSSRRIHRSHSSAWREEDES >DRNTG_19861.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20050095:20050318:-1 gene:DRNTG_19861 transcript:DRNTG_19861.1 gene_biotype:protein_coding transcript_biotype:protein_coding GPFAVLDEHFNVVLPNCYDALGLMLMIHIIHQQQVSQFLFALVNT >DRNTG_24182.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3387818:3389685:1 gene:DRNTG_24182 transcript:DRNTG_24182.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetrapyrrole-binding protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G59400) UniProtKB/Swiss-Prot;Acc:Q9LX31] MATTSFQSLHKPPLLHHLLLHHHHHHHQHQHCFSTPDTSLQFFKHSTTTTTLKLFSSLSTSSLTPSTNTSPFDTLAAHLAEANFRQADEETRRLLIELAGESAQKRGYVFFSEVQFISAADLRAIDRLWRLHSSDRFGYSVQRRIWERKARRDFTGFFIRVGWMKKLDTEVEQYNYRAFPDEFIWELLDDTPEGHLPLTNALRGTQLLANILTHPAFEELEEEETEEKEDEEESKEEVKEKPKLTQSFKPDYSF >DRNTG_02792.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1125491:1129003:1 gene:DRNTG_02792 transcript:DRNTG_02792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCVEHGIQADGQMPSDKSPGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGYYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIDRPSYTNLNRLISQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESAEGDEGDELEDYQ >DRNTG_01803.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19824320:19824906:1 gene:DRNTG_01803 transcript:DRNTG_01803.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPHKNENVEVSASPPMPPSSIPSASPSTPPS >DRNTG_01803.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19824320:19827032:1 gene:DRNTG_01803 transcript:DRNTG_01803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPHKNENVEVSASPPMPPSSIPSASPSTPPS >DRNTG_01803.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19824320:19826390:1 gene:DRNTG_01803 transcript:DRNTG_01803.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPHKNENVEVSASPPMPPSSIPSASPSTPPS >DRNTG_06701.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3556921:3562149:-1 gene:DRNTG_06701 transcript:DRNTG_06701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLVSTPEDEGGNRRKSGNIGEVAVFVPGLRIPKSVDFSQSLGDSLSKSLVERLSALRARIVVMAAQEAPKATKPKRKTATQHGGSSFSDLLQALEDYLPVLLGLVKDGNNLKDKVHFAWINQEDDAEETVMASAWYEVLSVLHLMAMLCLSEANALLLPKMASDGYQQKTSEEGKRASVDIFLKAAGYLDCAIRHVLPQIPPETRSELPIDLTERVLRALCMQALGQCVDIQLGMAIDSPKATLAVKRRLACEMVKYWKQAQDDITHFSSLSFSNGWGQKHWLFVKWKYLEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAAEEFLKEGKKTCENFNATIPISRSPYLSGSMKYLSEKIPKDTASKVRINRDLYSHERIIETAPTLPDFALALKPDDYQLPPVDPSWNKDDGNQKKD >DRNTG_06701.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3556921:3561781:-1 gene:DRNTG_06701 transcript:DRNTG_06701.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLVSTPEDEGGNRRKSGNIGEVAVFVPGLRIPKSVDFSQSLGDSLSKSLVERLSALRARIVVMAAQEAPKATKPKRKTATQHGGSSFSDLLQALEDYLPVLLGLVKDGNNLKDKVHFAWINQEDDAEETVMASAWYEVLSVLHLMAMLCLSEANALLLPKMASDGYQQKTSEEGKRASVDIFLKAAGYLDCAIRHVLPQIPPETRSELPIDLTERVLRALCMQALGQCVDIQLGMAIDSPKATLAVKRRLACEMVKYWKQAQDDITHFSSLSFSNGWGQKHWLFVKWKYLEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAAEEFLKEGKKTCENFNATIPISRSPYLSGSMKYLSEKIPKDTASKVRINRDLYSHERIIETAPTLPDFALALKPDDYQLPPVDPSWNKDDGNQKKD >DRNTG_04489.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9010273:9011331:-1 gene:DRNTG_04489 transcript:DRNTG_04489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSPNYAPPFSQCFLSPRSQQDIERDEQIYSSYEKLTSQLPIFNEWPPYSLQHYQSFWITAMFIPACMAIQSHFNPRPSDILLVTSPKSGTTWLKALSFATLHRHSFSLSDHPLLSNTPHQCVPFLEYLFSHRTIPDLNVLPSPTIFAAHLPFPLLPRSALSCRIVYLCRDPKDTFVSLWHFLEKSRISLSTEDKSEGLDLNKAFQLFSQGMAPGGPFWDRVLGYWKESLRRPGKVLFLRYEEMMEDPVCHLKKLAEFMGCPFSTEEEKNGVVEDIVKLCSFDNLRELKVNKDNKASLEDKRPPPSSFFRKGKVGDWVNYLSMEMAESLDAITKEKLHGSGLSFESSTVLP >DRNTG_03015.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000136.1:10168:14314:-1 gene:DRNTG_03015 transcript:DRNTG_03015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLFFSIVSFFLTAVIGMGEGGAEAGSPSREFFRFNGDVAWVVQVSDLHLSAYHPERGADLLRLLAPALRVIRPSLLLVTGDITDAKNERRSSSRQDESEWMQYRNGMDAIVGHNYLENRRIFDIRGNHDKHNTMNSISLVGDNRIYHFLGIDDTIGYGIRWPSNLFGHPTDRTLDAVESELQYWDSQPGGLVTKVVFGHFPTSFIASSKRRRRYEGIFARQSVSAYICGHLHAKLGKTLWRLHMIDFPSGPNGLEHVGQFWEWELGDWKEFRLMRILAIDGGAVSFLDIGLSSQNQLLDDFQTTILITHPTDSRCMNQDEMKNPVVRNDINSLVFSVQPIRNVTARVFDSARAFKVVEEIPLQPVTGSVNFKPLFHAKWNAENYKSMPASRLWLQVFVIDDQGKETASAMRPFSVEGKLAYHPSTLLVYLIFNVHWEDLYLFLLWSNIFFLILLLFLPKILNYLMERNELYQRWATSISVSSPIRQKKFIFPLLWYLLEGSRSRKFWLAMVFYLLYLLKMPWFCGHATSENGGIARMYMRGWSIQTPSAPFIKEEQGYPDIMAITLPFMYLVVTPTFLLIYGLFAKCSGCRLYYHRKMSCAYETSPRGPGQEFVRGAPPKYSLSVISSWIIKFGGYWTRRALLLACLIISLLHLKICSVLMAAYGVGPVALSPALAWAPQLFLAAAIFALKIDLDVSLHVPL >DRNTG_13172.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19974858:19982311:1 gene:DRNTG_13172 transcript:DRNTG_13172.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRWTLRSLRSSRARFHGRSHELFFSTSLCSARSSRISVSPENSTKVVSCKEGRCWGARGLHDVRYQLDLDRSSEEMDPFSLVADELSLIADRLRSMVIAEVPKLASAAEYFFKMGVEGKRFRPTVLLHMASALNMPTPWSAADNLFGSLSGDLRTRQQCIAEITEMIHVASLLHDDVLDDADTRRGIGSLNIVMGNKLSVLAGDFLLSRACIALSSLGNTEVVSLMATAVEHLVNGETMQMTTSAEKRCSMEYYLQKTFYKTASLISNSCKCVAILAGQTAEVSMLAYNYGQNLGLAFQLIDDVLDFTGTSSSLGKGSLSDIRHGIVTAPILFAMEEYPQLRKIVDRGLKEPADIDIALDYLEKSQGIKRTKELAAVHADHAIKAIDAFPESDNENVHISRKALVDLTHRVLTRTK >DRNTG_13172.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19974858:19982311:1 gene:DRNTG_13172 transcript:DRNTG_13172.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRWTLRSLRSSRARFHGRSHELFFSTSLCSARSSRISVSPENSTKVVSCKEGRCWGARGLHDVRYQLDLDRSSEEEQMDPFSLVADELSLIADRLRSMVIAEVPKLASAAEYFFKMGVEGKRFRPTVLLHMASALNMPTPWSAADNLFGSLSGDLRTRQQCIAEITEMIHVASLLHDDVLDDADTRRGIGSLNIVMGNKLSVLAGDFLLSRACIALSSLGNTEVVSLMATAVEHLVNGETMQMTTSAEKRCSMEYYLQKTFYKTASLISNSCKCVAILAGQTAEVSMLAYNYGQNLGLAFQLIDDVLDFTGTSSSLGKGSLSDIRHGIVTAPILFAMEEYPQLRKIVDRGLKEPADIDIALDYLEKSQGIKRTKELAAVHADHAIKAIDAFPESDNENVHISRKALVDLTHRVLTRTK >DRNTG_13172.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19983606:19986206:1 gene:DRNTG_13172 transcript:DRNTG_13172.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMSDGKGGSFRWEMRPGGLLVQMRSADPEAASSPHVRVRVTCGSSRYEFSVAPNATTFGDLKKLLATETGLQPAEQRLLYKGKERMNSEFLDACGVKDRSKLVLTEDPSSLERRYIAMRKNARIQSAHRAIFTVSMELDKLADQVSAIEKSISSGNKMPEIQVTTLIELLMRQAVKLDCIATEGETSLQKNVQAKRVQNYVETLDVLKIRNSRLQSFVVTSNWEIFN >DRNTG_13172.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19980988:19982311:1 gene:DRNTG_13172 transcript:DRNTG_13172.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYPQLRKIVDRGLKEPADIDIALDYLEKSQGIKRTKELAAVHADHAIKAIDAFPESDNENVHISRKALVDLTHRVLTRTK >DRNTG_13172.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19974858:19985612:1 gene:DRNTG_13172 transcript:DRNTG_13172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRWTLRSLRSSRARFHGRSHELFFSTSLCSARSSRISVSPENSTKVVSCKEGRCWGARGLHDVRYQLDLDRSSEEEQMDPFSLVADELSLIADRLRSMVIAEVPKLASAAEYFFKMGVEGKRFRPTVLLHMASALNMPTPWSAADNLFGSLSGDLRTRQQCIAEITEMIHVASLLHDDVLDDADTRRGIGSLNIVMGNKLSVLAGDFLLSRACIALSSLGNTEVVSLMATAVEHLVNGETMQMTTSAEKRCSMEYYLQKTFYKTASLISNSCKCVAILAGQTAEVSMLAYNYGQNLGLAFQLIDDVLDFTGTSSSLGKGSLSDIRHGIVTAPILFAMEEYPQLRKIVDRGLKEPADIDIALDYLEKSQGIKRTKELAAVHADHAIKAIDAFPESDNENVHISRKALVDLTHRVLTRTK >DRNTG_13172.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19983606:19985612:1 gene:DRNTG_13172 transcript:DRNTG_13172.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMSDGKGGSFRWEMRPGGLLVQMRSADPEAASSPHVRVRVTCGSSRYEFSVAPNATTFGDLKKLLATETGLQPAEQRLLYKGKERMNSEFLDACGVKDRSKLVLTEDPSSLERRYIAMRKNARIQSAHRAIFTVSMELDKLADQVSAIEKSISSGNKMPEIQVTTLIELLMRQAVKLDCIATEGETSLQKNVQVCSSIHFLYSF >DRNTG_13172.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19984949:19986274:1 gene:DRNTG_13172 transcript:DRNTG_13172.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEFLDACGVKDRSKLVLTEDPSSLERRYIAMRKNARIQSAHRAIFTVSMELDKLADQVSAIEKSISSGNKMPEIQVTTLIELLMRQAVKLDCIATEGETSLQKNVQAKRVQNYVETLDVLKIRNSRLQSFVVTSNWEIFN >DRNTG_07385.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20564845:20566408:-1 gene:DRNTG_07385 transcript:DRNTG_07385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHICKTLASFILEYPEILLSIACFSILFLINTGHRLPVTWPVVGMIPYLLHAPNLHDWLTDILRKSHCTFIFKGPWGCSMDLLLTCDPANINHVFNSNFTNYHKDQNFSEIFDILGDGIFNADHDSWMFQRRKAHALINNKRFKAFAAQASHEKVKRSLVPLLNHLAEKDELFDLQDVILRLTLDLTCFMILGIDPCSLSIGFPSVPFAKAIDDAEEALFYRHVTPLTWKWIRFTKEKKLANAWKTIDHFIMHYISQRRSSTHENTTTKAGDLLSSYLESEEEVVGLGLGLGLGFDKFLRDTTLNLMLAGRDTTSSALTWFFWLVATHPQVETKILNELKEKSIQFG >DRNTG_31429.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:662820:665647:1 gene:DRNTG_31429 transcript:DRNTG_31429.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLENPKARKDHWFCNLIDNTIRRHIKLPGLETLPKNKSPNGQVKQHDQPYSPRQNEKQRIDNPSGGMVIDIVILHLLKRRIIQDHPIIIVSIAAAQQAPLKRINLYGFITGRHRRKPMNEEGFDPKSSSWSMDFGLGVSLVCFLMVNCEFYIKK >DRNTG_31429.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:662820:665647:1 gene:DRNTG_31429 transcript:DRNTG_31429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLENPKARKDHWFCNLIDNTIRRHIKLPGLETLPKNKSPNGQVKQHDQPYSPRQNEKQRIDNPSGGMVIDIVILHLLKRRIIQDHPIIIVSIAAAQQAPLKRINLYGFITGRHRRKPIYENKHRKFSKIDALERKSSID >DRNTG_25878.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9067260:9073009:-1 gene:DRNTG_25878 transcript:DRNTG_25878.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMELKHGSVVIAAITSCTNTSNPSVVLGAGLVAKKACELGLEVKPWIKTSLAPGSGVVTKYLLKSGLQKYLNQQGFHVVGYGCTTCIGNSGDLDESVAVAISENDIVAAAVLSGNRNFEGRVHPFTRANYLASPPLVVAYALAGTVDIDFDKEPIGIGKNGKSVFFKDIWPSNEEIAEVVQSSVLPDMFKSTYQAITEGNPIWNQLAVPASTLYSWDPTSTYIHEPPYFKSMTMVPPGPHGVKNAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLMERGVDRKDFNSYGSRRGNDEVMARGTFANIRLVNKLLNGEVGPKTIHIPTGDKLYVFDAAMRYKTEGHDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGNERYTIDLPSNIKEIRRGQDVTVVTDTGKSFKCTLRFDTEMELAYFNHGGILPFVIRNLISSQR >DRNTG_09520.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:25741245:25742902:1 gene:DRNTG_09520 transcript:DRNTG_09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRGVEIVRFLLRDMFRIMTTRSKKQADKRPCGSSSESEDMSFTIPEHRAHFERLSRVRFGQARFLDTILLSLYEEAFTDTKEYS >DRNTG_21622.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1219184:1221406:1 gene:DRNTG_21622 transcript:DRNTG_21622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSAPATAAASLPVAVPTTASRRPPPPCWTHDETLALIQSYSRCYLSLRRAALRAPHWQEISIAVAARCLPSASPKTPIQCRHKVEKLRKRLRSERRRSLSLRPGAPPSSPWPYFSLLDSVDPLPAPDPISDDGGGGGGGGVTANGGGIGGLRFEIPKAVRSRRTERRRGKEGDVWGEMASALMKLGDGFLRVEQMKMEMAREAERRRMEMQLRRTEMMLDSQRRIVDALVEGMLWEKRTEAYPDS >DRNTG_19242.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18862943:18872080:1 gene:DRNTG_19242 transcript:DRNTG_19242.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAGLSSTPSEVIHDVAPDAAPGVLALPAGNIKDENSNDLGTKLAGSAGDVQSSSAEKTDDDARNKGEIIRSGKQIEVNGQNSLFPAQRDSISESFGTNTKEQAALQMREVGMTTFSNGNQGRTSHSHKSQQNDRRDAATENVISNPHETRLSISLGTSNPSNTIEAGLSVGLSSMSLPPACVVLEAREENKGLPSFQHVQRAHHLLPVPPKMDNAVALEASKNMLSHIRVARPPGEGRGKNQLLPRYWPRITDQELRQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLKIQDVNGKEWHFQFRFWPNNNSRMYVLEGVTPCIQSLQLQAGDTVTFSRMDPEGKLIMGFRKAANSLQSQHMKQDTQASVTANTGLASDIFFSSLNGNLPIISGYSGLRQSMKGPEDLNMSSLSGYMNSADFDIWHKTDKHGAGMNEGFTLQQPSLLPDKKRSRTIGTKSKRLHMDNDEALDLKINWEEAQDLLLPNPNAKPTIVIIDNTEFEEYDEPPIFGKRTIFTAGMTG >DRNTG_19242.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18861338:18872080:1 gene:DRNTG_19242 transcript:DRNTG_19242.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQRCMNSVCGVAEPGGGEWRKGWDLRSGKFAQLCEKCGMAYEQLIFCDLFHSKESGWRQCISCGKGLHCGCIASKSSVCLLDHGGVQCVSCMKNAGLSSTPSEVIHDVAPDAAPGVLALPAGNIKDENSNDLGTKLAGSAGDVQSSSAEKTDDDARNKGEIIRSGKQIEVNGQNSLFPAQRDSISESFGTNTKEQAALQMREVGMTTFSNGNQGRTSHSHKSQQNDRRDAATENVISNPHETRLSISLGTSNPSNTIEAGLSVGLSSMSLPPACVVLEAREENKGLPSFQHVQRAHHLLPVPPKMDNAVALEASKNMLSHIRVARPPGEGRGKNQLLPRYWPRITDQELRQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLKIQDVNGKEWHFQFRFWPNNNSRMYVLEGVTPCIQSLQLQAGDTVTFSRMDPEGKLIMGFRKAANSLQSQHMKQDTQASVTANTGLASDIFFSSLNGNLPIISGYSGLRQSMKGPEDLNMSSLSGYMNSADFDIWHKTDKHGAGMNEGFTLQQPSLLPDKKRSRTIGTKSKRLHMDNDEALDLKINWEEAQDLLLPNPNAKPTIVIIDNTEFEEYDEPPIFGKRTIFTAGMTGVQDQWIQCDECLKWRRIPVHVLPPSKWTCADNSWDRKRSLCSVPDELSVKDMQNLLQENAELKRRKVAINVKPNTPEPESAGLDALATAAVIGETVTHPAPSVATTTRHPRHRPGCSCIVCIQPPSGKGPKHDPSCMCNVCVTVKRRFKTLMMRKKKRQSELEEAEAQKKVAWTNKEETEGNNTLRAVQPIEPPQWENFGQLDLNSHPGRSEDRVSMMTLLQVANRPLETYLKQNGLTSLASDQQASSSSFTVAQDAAESEGRPPDESYMVPIARERESGAVEEGYCRVNEVNNDGAAA >DRNTG_19242.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18861338:18872080:1 gene:DRNTG_19242 transcript:DRNTG_19242.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQRCMNSVCGVAEPGGGEWRKGWDLRSGKFAQLCEKCGMAYEQLIFCDLFHSKESGWRQCISCGKGLHCGCIASKSSVCLLDHGGVQCVSCMKNAGLSSTPSEVIHDVAPDAAPGVLALPAGNIKDENSNDLGTKLAGSAGDVQSSSAEKTDDDARNKGEIIRSGKQIEVNGQNSLFPAQRDSISESFGTNTKEQAALQMREVGMTTFSNGNQGRTSHSHKSQQNDRRDAATENVISNPHETRLSISLGTSNPSNTIEAGLSVGLSSMSLPPACVVLEAREENKGLPSFQHVQRAHHLLPVPPKMDNAVALEASKNMLSHIRVARPPGEGRGKNQLLPRYWPRITDQELRQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLKIQDVNGKEWHFQFRFWPNNNSRMYVLEGVTPCIQSLQLQAGDTVTFSRMDPEGKLIMGFRKAANSLQSQDTQASVTANTGLASDIFFSSLNGNLPIISGYSGLRQSMKGPEDLNMSSLSGYMNSADFDIWHKTDKHGAGMNEGFTLQQPSLLPDKKRSRTIGTKSKRLHMDNDEALDLKINWEEAQDLLLPNPNAKPTIVIIDNTEFEEYDEPPIFGKRTIFTAGMTGVQDQWIQCDECLKWRRIPVHVLPPSKWTCADNSWDRKRSLCSVPDELSVKDMQNLLQENAELKRRKVAINVKPNTPEPESAGLDALATAAVIGETVTHPAPSVATTTRHPRHRPGCSCIVCIQPPSGKGPKHDPSCMCNVCVTVKRRFKTLMMRKKKRQSELEEAEAQKKVAWTNKEETEGNNTLRAVQPIEPPQWENFGQLDLNSHPGRSEDRVSMMTLLQVANRPLETYLKQNGLTSLASDQQASSSSFTVAQDAAESEGRPPDESYMVPIARERESGAVEEGYCRVNEVNNDGAAA >DRNTG_19242.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18861338:18872080:1 gene:DRNTG_19242 transcript:DRNTG_19242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQRCMNSVCGVAEPGGGEWRKGWDLRSGKFAQLCEKCGMAYEQLIFCDLFHSKESGWRQCISCGKGLHCGCIASKSSVCLLDHGGVQCVSCMKNAGLSSTPSEVIHDVAPDAAPGVLALPAGNIKDENSNDLGTKLAGSAGDVQSSSAEKTDDDARNKGEIIRSGKQIEVNGQNSLFPAQRDSISESFGTNTKEQAALQMREVGMTTFSNGNQGRTSHSHKSQQNDRRDAATENVISNPHETRLSISLGTSNPSNTIEAGLSVGLSSMSLPPACVVLEAREENKGLPSFQHVQRAHHLLPVPPKMDNAVALEASKNMLSHIRVARPPGEGRGKNQLLPRYWPRITDQELRQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLKIQDVNGKEWHFQFRFWPNNNSRMYVLEGVTPCIQSLQLQAGDTVTFSRMDPEGKLIMGFRKAANSLQSQDTQASVTANTGLASDIFFSSLNGNLPIISGYSGLRQSMKGPEDLNMSSLSGYMNSADFDIWHKTDKHGAGMNEGFTLQQPSLLPDKKRSRTIGTKSKRLHMDNDEALDLKINWEEAQDLLLPNPNAKPTIVIIDNTEFEEYDEPPIFGKRTIFTAGMTGVQDQWIQCDECLKWRRIPVHVLPPSKWTCADNSWDRKRSLCSVPDELSVKDMQNLLQENAELKRRKVAINVKPNTPEPESAGLDALATAAVIGETVTHPAPSVATTTRHPRHRPGCSCIVCIQPPSGKGPKHDPSCMCNVCVTVKRRFKTLMMRKKKRQSELEEAEAQKKVAWTNKEETEGNNTLRAVQPIEPPQWENFGQLDLNSHPGRSEDRVSMMTLLQVANRPLETYLKQNGLTSLASDQQASSSSFTVAQDAAESEGRPPDESYMVPIARERESGAVEEGYCRVNEVNNDGAAA >DRNTG_19242.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18861338:18872080:1 gene:DRNTG_19242 transcript:DRNTG_19242.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQRCMNSVCGVAEPGGGEWRKGWDLRSGKFAQLCEKCGMAYEQLIFCDLFHSKESGWRQCISCGKGLHCGCIASKSSVCLLDHGGVQCVSCMKNAGLSSTPSEVIHDVAPDAAPGVLALPAGNIKDENSNDLGTKLAGSAGDVQSSSAEKTDDDARNKGEIIRSGKQIEVNGQNSLFPAQRDSISESFGTNTKEQAALQMREVGMTTFSNGNQGRTSHSHKSQQNDRRDAATENVISNPHETRLSISLGTSNPSNTIEAGLSVGLSSMSLPPACVVLEAREENKGLPSFQHVQRAHHLLPVPPKMDNAVALEASKNMLSHIRVARPPGEGRGKNQLLPRYWPRITDQELRQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLKIQDVNGKEWHFQFRFWPNNNSRMYVLEGVTPCIQSLQLQAGDTVTFSRMDPEGKLIMGFRKAANSLQSQDTQASVTANTGLASDIFFSSLNGNLPIISGYSGLRQSMKGPEDLNMSSLSGYMNSADFDIWHKTDKHGAGMNEGFTLQQPSLLPDKKRSRTIGTKSKRLHMDNDEALDLKINWEEAQDLLLPNPNAKPTIVIIDNTEFEEYDEPPIFGKRTIFTAGMTG >DRNTG_09875.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:322583:324267:1 gene:DRNTG_09875 transcript:DRNTG_09875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSKKQADKWPRESSFESEDMSFTILEHRVHFEWLSYSIFDSIDAIQFRVFRHHHSLSVTQFSVLLGLYEEAFIDTEEYYQLPTDYPGALTPQRAYRVLCGQGQSVNGRGDCIGVLSRQELLYLYSMMQCVPIHLGHNMAEYIRHQGHYARLGAIFSGPYITRLVLGIGLLDAIRGTEKTTPEIAEGEGDHAEASQPAPQPQSASMETEAPSAADDAPPIHMFSPSRAHDHFERLESAVRRFLSTQSSWHISTYYSRS >DRNTG_29432.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001477.1:9148:11283:-1 gene:DRNTG_29432 transcript:DRNTG_29432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSVMPKAFNHHQHWYTTSLQSLSVATSNLLYVYENAMHGFSVALSDEELKALKKMPGVLDVHKDRQVIVDTTHTYEFLNLNVATGLWPASNYGEDVIIGVIDSGVWPEHESFNDRGMSEIPTRWKGACEAGEEFNSSMCNRKLLGARYFNKGVIAGNPGKNIIMSSARDIEGHGTHTSSTAAGSYAAANFFGYAPGVARGVAHRARLAMYKVLWLEGRYASDVLAAMDQAVADGVDVISISMGFSGLPLYEDPIAIASFGAMEKGIIVSSSAGNAGPAMHTLHNGIPWVLTVAAGTIDRQLSGTLVLGNGQIITGTTKYPENAFLVDVPLVYDETILACNSLSLVSSAAAKKIVICKDNGTIWEQVALLTHSTAAGAIIIANTSENIFFYTTPVIVINPSEGDKLIKYAQNYSSGTVTMKFKETYLVTKPAPAVALFSSRGPSPSFKSVLKPDIMAPGVDVLAAWTPKSPVAHVGNAPLAGDFSIASGTSMSCPHASGVAALLKGARPGWSPAAIRSAMMTTAAASDNTFQSIKDVGNNYSPATPLSIGAGHVNPNKALDPGLVYDATPQDYVSLLCGSNYTLNQIKLITRSSKDYDCSEPSSDLNYPSFISIFDGNPTSYSQTFKRIVTNVGDGPEKYTVSVTVPAWLSVVVEPNVLVFKEKYEKLSYEVHVKTSLEASGMAAYGFGDLLWVSETRKYKVRSPIMVLL >DRNTG_04261.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9480638:9486406:-1 gene:DRNTG_04261 transcript:DRNTG_04261.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNVECALKRLASHLSFEIMVWEQCHLHVHLACIRAIVYRTSSISYLCVTCVYASHSILELSGSSPSRQWWNESPILQKMSSNDNLGKGKDEEKKLETPSYRGVVGMDVMSSSRFGISCAHCNRGSVQELERVGVNVAVLSSHTCFGSGEESAARGKEPVEE >DRNTG_08973.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000392.1:79883:89579:1 gene:DRNTG_08973 transcript:DRNTG_08973.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDLAFADDGVVVSDCGAALLERYENSKREDHQHLCAVIGGTMQTLKDQGIGPTPVAYFAAIVASLESLSRDQGSASDPVAAGLIVFLSEVIYAVPNAVVISRRGFVADALLRFFGFGSLSEDAVAAGLKCLTRLITAEERVRWDSVSHMYGVLLGFVTDPRPRVRNESHRLLYLILDQFKGSPILVLACEVITTIFERFLLLAGGSKSTNSNTEEGPKGAMEVLYILNSLKHCLPLMSMKSSSIILKYCKTLLELRQSIVTRAIMEILQQLCLSPNIRVDAERLSEVLCSLATSVTEQYTSGDELATIARLLHVGFTKLYDLKREICIIKLPLIFNALGDILASEHEEAIFAATEGLKGLINYCVDESLVVEGVEQAKLKAGTGVGRAAPTVIEKLCATLDGFLGYRYNAVWDMCFQVISSAFDKIGGSSFHLMVGTVKSLADMQNLSDDDLSYRKELHECVGSAVSAMGPEAFLSLQPLNVDAEYASDANVWLLPILKQYIVGAHLSFFLQYILGKVKVIQQRSLKSAEEGRIFSARSSDGLVYSLWSLLPSFCNYAIDTSSSFKLLQSSLCATLRQEPDLRGIICSSLQILIRQNNVKCSEDSPTPDDEVNNAERKARDHYTPVVKEQNLKAIKSSSPEFLTTLTDILLTSSKENGGFLQAAIHEFASITEQKYIKNFFGKIMQKFLMVTKESIKVKQTQDSGSMQIDSSPDGASLLNKRALLLDVAVSLLPGLGKEEINLLLIAIKPAFQEEEGLIQKKAYKVLSSILREVLSTENNMNDNGQEAKDFLSGNLDSLLELMIAALPSCHLFSKTS >DRNTG_34166.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17651598:17654303:1 gene:DRNTG_34166 transcript:DRNTG_34166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRADKIRIQKWYNIYEDHITLKDYEIHDGVGLGM >DRNTG_08988.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28969957:28972086:1 gene:DRNTG_08988 transcript:DRNTG_08988.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGKLVYQYAKKRASGPKCPVTGKRIQGIPHLRPVEYRRSRLSRNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKAKEKLSSKS >DRNTG_15297.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4654235:4655197:-1 gene:DRNTG_15297 transcript:DRNTG_15297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLHSSLSSPAKTTIQASESQKKPQKRKRNKSRGPVPPPPPPPPMPMAMAMAMKEKMMLTLPLEPPLPSWKLYDNPHYSHSYSPSTNPHESPTHPSITPMACGEDSPPHLLQLAHARIEELQVELEFERRMRKRVESLNGALARDLAEERKAREEAECLRAKLQQEVNKKVEELEEERRMLRVAEVWREERVQMKLNEAKIIMEEKLQKLGGGGRGSVSKTLEPAAPGTPTAVAIDGVGHQRREVENPHIKRGIKGFVEFPKVMKGKASNGTISAGKDGKSGHVGFNLECQKAQLRILMRQTKNPVGISIVGSTDHLVM >DRNTG_28783.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21681183:21682172:1 gene:DRNTG_28783 transcript:DRNTG_28783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSNKKEIIDEVSGWLRLYSDGTVDRSFTGPQEALFLTTPVPPTTTNSSTTLQDLSGEPNLRLYLPSGDPPTTPLPILLHFHGGGFSVSHYTWFLYYHFYSRLAAAIPAAILSAELPLAPEHRLPAAIHAATAALLRLRSLPPSLKSITDSSRVFLIGDSTGGNLVHEVSSFIGKQPEEYLSPVKLAGGVLINPGFVRSARSKSELEMKSDGFFNLEMLDKFLAFGLPEGVTKDHPYTCPMGMAAPAMEELRLPPFMVAVADGDLLRDRELEYCEAMKKAGKDVEVVISEGVGHSFYLNKIAVDSDPVTARRSDELIDAIKDFVARH >DRNTG_13325.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23122964:23124518:-1 gene:DRNTG_13325 transcript:DRNTG_13325.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFRTRVLEFSNPQGNNYLPPTDIFSKSLYIFDIGQNDFSGGLASLGIQGVKQYLPQVASQIAWTIKDIYDEVGGRTFMVFNLAPIGCFPAFLTELPHNTSDLDMYGCMMSYNNAVLDYNLMLKDTLSQIRDQLPGATLVYVDTHSVKLELFQHPKDHGLVYATRACCGEGGGDYNFNPHVFCGYSKVIDGKNVSTSACADPQNYVSWDGVHATEAANKILAFGILNGSLFDPPFPLSKYCDLQPIG >DRNTG_13325.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23122964:23124518:-1 gene:DRNTG_13325 transcript:DRNTG_13325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYFIFFALLFLVPPATLTDAGCDFPAIFNLGDSNSDTGGFWAAFPAQTRPFGMTYFGKPAGRASDGRAVIDFLAQGLGLPFLSPYLQSIGSNFTHGANFATAASTVRLPSTSVFVSGVSPFSLAIQLNQMKEFRTRVLEFSNPQGNNYLPPTDIFSKSLYIFDIGQNDFSGGLASLGIQGVKQYLPQVASQIAWTIKDIYDEVGGRTFMVFNLAPIGCFPAFLTELPHNTSDLDMYGCMMSYNNAVLDYNLMLKDTLSQIRDQLPGATLVYVDTHSVKLELFQHPKDHGLVYATRACCGEGGGDYNFNPHVFCGYSKVIDGKNVSTSACADPQNYVSWDGVHATEAANKILAFGILNGSLFDPPFPLSKYCDLQPIG >DRNTG_33110.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32793083:32796942:1 gene:DRNTG_33110 transcript:DRNTG_33110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQRESFVYIAKLAEQAERYDEMVDSMKKVAKLDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEEAKGNENHVRRIREYRQKVELELSNICSDIMTVIDEHLIPSSTAGESSVFYYKMKGDYYRYLAEFKSGAERKEVADQSLKAYETASSTAEADLSPTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDIVDDADGTKDGVGRAGVGEDAE >DRNTG_29044.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2751436:2752826:-1 gene:DRNTG_29044 transcript:DRNTG_29044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVHVPASSAINGGFPDSPFSAPLFLELLPISDVLRVSFLWDSPSKEFGIPYRTMGLDEIIVADASSVEIGRVDQGFVSAKMSTAVESASQKASCLEKIQALNLSDDDNKVENSVAVANVPMSPDQENLEISSLDQTEDDLISGCQTPTGNIFDPFAPGPEEVMCCAPKKKLLKEVRAPLRRQLNFDSCVDSDDAAEEELLLDSIYRSFLEVIVANQVKDIYGEDLIVEPHLEGHQGEGFQTPTSLPLLTGIAETCPPAPVRPVLKTRKISLDICKKLEF >DRNTG_11147.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30347617:30349768:1 gene:DRNTG_11147 transcript:DRNTG_11147.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFFSRATHTLIEVVSESMAGTRCGIGDEGKETEECRNDVVALRLKCVAIVLILLAGAVGVAIPLIGSHHRMIRADGKPFALAKALAAGVILATGFVHMLHDAEEAFENPCVPRVPWREFPFAGFVAMLAALGTLVVDFVATQWYARKAEVEEPEEEEVEGIRVPLVAEGGEKMHIVGMRAHAAAHRHSNGNEIEDDGESSRHARHAVVSQILELGIVSHSVIIGLSLGVSQSPCTIRPLIAALSFHQFFEGFALGGCISQANFGKIHVMLMAFFFAITTPLGVGIGAGIASSYNANSPRALVLEGLLDSISAGILIYMALVDLIANDFLNRSMKYNVHLQVTSYACLFLGACSMSALAIWA >DRNTG_32828.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001921.1:26411:29033:-1 gene:DRNTG_32828 transcript:DRNTG_32828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINKCKCTNFHDNASRHQLKQGESCNMPITHNTLQIIQQIRWNTAKNIQLRNTCKQNRFFYISICSKQEYTCVMVVIAVGRLFSSLAASSACICDEIFAHQMCSHQQCPFDLPIAHPRTTSCHHLLPHRSHHHTQARHLLYTQAHSHTQIHSPASPWHQKPHHHPDTSPIQSSPSPNSLHSPSPPSS >DRNTG_19782.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001060.1:45515:48742:-1 gene:DRNTG_19782 transcript:DRNTG_19782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHKSGLRTECIPTLHETGLCKNLLQEYAQKMNYAIPSYICSKQPSGPTPFTCTVEIGGIQYIGGAARTKKEAEIKAARTALLAIRSAEMNMNGGSEYTVVPGKKKMKEPEKPSEATPISIKPRKGKFKRKWSKKKFAQNNTNNQGVEVEPGTFKVDTEAVEPKIDTQSQESSVLISHENEQVSEKIAGEPSVQDFVKYSMEMTGYLQNTGQQESSTLMDPVF >DRNTG_04810.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2349027:2349747:1 gene:DRNTG_04810 transcript:DRNTG_04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPTFGNSKTRLLWEMKQVRRYGNQKREEMMVMMNEVKEKEKGRGSSESGGGWWMPDPRTGIYYPKGCERVMDDIPDGAATFQQTHWFRSLEGVEHSTTATRINPSSTDHPIIHDHLFIDA >DRNTG_21253.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:74749:76117:-1 gene:DRNTG_21253 transcript:DRNTG_21253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTSDSPSPISSLELDFPSLKPPTSLSLPPTPFFYEPTSVLDPNLTSHDSHLTSSWDFPEPDWSFLLPDPQNPDYTLPPPPPLFDLSHLDLLLSAASAIDSGDLPSAHSILARLNHLFPSISGPPLHRSSLLFKDSLSSLLSSPSPPLPFPHRSRPPHLRSQIPLRPLPHPPVHQLHRQPNPPRIPSLHFLFNPSHRLRSLSRRPMVLFRPGNRRPISLLPLPSSFSPPHRHPLRRLPGLLPRRRQPP >DRNTG_29830.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23216339:23217197:-1 gene:DRNTG_29830 transcript:DRNTG_29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGKFSTLILEVDLSCCQCNRKIKKLLCKIQDRENIRQISYDEKKNKVTISGPFDPRCLQRTLYCKACKIIKNIHIPPPPPPPPPPP >DRNTG_29830.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23216339:23217072:-1 gene:DRNTG_29830 transcript:DRNTG_29830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGKFSTLILEVDLSCCQCNRKIKKLLCKIQDRENIRQISYDEKKNKVTISGPFDPRCLQRTLYCKACKIIKNIHIPPPPPPPPPPP >DRNTG_24831.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31726208:31733125:-1 gene:DRNTG_24831 transcript:DRNTG_24831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPGRKDLDSYTIKGTNKVVKVGDCVLMRPADSEKPPYVARVEKIEADHRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCVVHSFKNYTKLENVGAEDYFCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPACMGMTIEQAKKLDNFLCSDCVSEDDAKRSPNSFPVAPLSETKVESKRRKR >DRNTG_16815.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1554386:1554999:1 gene:DRNTG_16815 transcript:DRNTG_16815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRDSAHLKILNTLLSLSLFMFSCLSETCVIR >DRNTG_07685.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23211625:23218105:-1 gene:DRNTG_07685 transcript:DRNTG_07685.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPASSGWLKGKVKAVPSGDCLVIMALSKAEIPPEKSITLSSLIAPRLARRGIADEPFAWESREFLRKLCIGKEVTFRVDYTVPSIGRDFGSVFLGDKNVATLVVSEGWARVREQGQQKGEVSPFLSDLQHAEEQAKQQGVGRWSKAAGASEASVRDLPPSAIGDASSFDANGLLAANKGKPMQAIVEQVRDGSTVRVYLLPEFQYVQVFVAGIQSPSMGRRVAVAAIPDPELITEDANGETSAKGTPSLTSAQRLSASTATSTEIAPDAFGREAKHFTEIRVLNRDVRIVLEGVDKFNNLIGSVYYPDGDTAKDLAVELVENGLAKYVEWSANMLEGSAKKKLKDAELQAKKNRLRMWTNFVPAVTNSKAIHGQNFTGKVVEVVSGDCVIVVDDATSAERRVNLSSIRAPRLGRRDENLEGLKPEELRERVFAREAKDFLRSRVIGRQVNVSMEYSRKVGGVDAPNPVAASGADDSRVLDFGSVFVTSPTKADSAETLPSPSPSQSAGVNVAELMVAYGFATVTRHRDFEERSNHYDALLAAETRAINGKKGLHSKKDRPPMHIKDLTMAPTKQAKEFLPFLARNRRLPAVVEHIFSGHRFKLVIPKETCSIAFSFSGVRCPGRGEPFSDEAIAFMRRRILQRNVEVEIETVDRTGTFLGSMWESRTNAAVPLLEAGLARLQSSFGSDKIADANLLGRAEESAKRQKLKIWENQTEGHEVGNGTTSEGKQKEVLKVVVTEVLGGGKFYVQTVNDQKIATIQQQLASLNLQEPPMIGAFKPAKGDIVLAQFSLDNSWNRALIVNTPRGAVESPNDAFEVFYIDYGNQEVVPFSRLRPLDPSVSSAPGLAQLCSLAYIKVPDLDDDFGLEAAEYFSECTLNTSREFRAMVEDKDTSGGKVKGQGTGTVLIVTLVDVEAESSINAAMLKEGLARLERRKRWDTKERQSALDNLEEFQTKAKKERLKMWQYGDVQSDDEDSGPPARKAGGRA >DRNTG_07685.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23211625:23218105:-1 gene:DRNTG_07685 transcript:DRNTG_07685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPASSGWLKGKVKAVPSGDCLVIMALSKAEIPPEKSITLSSLIAPRLARRGIADEPFAWESREFLRKLCIGKEVTFRVDYTVPSIGRDFGSVFLGDKNVATLVVSEGWARVREQGQQKGEVSPFLSDLQHAEEQAKQQGVGRWSKAAGASEASVRDLPPSAIGDASSFDANGLLAANKGKPMQAIVEQVRDGSTVRVYLLPEFQYVQVFVAGIQSPSMGRRVAVAAIPDPELITEDANGETSAKGTPSLTSAQRLSASTATSTEIAPDAFGREAKHFTEIRVLNRDVRIVLEGVDKFNNLIGSVYYPDGDTAKDLAVELVENGLAKYVEWSANMLEGSAKKKLKDAELQAKKNRLRMWTNFVPAVTNSKAIHGQNFTGKVVEVVSGDCVIVVDDATSAERRVNLSSIRAPRLGRRDENLEGLKPEELRERVFAREAKDFLRSRVIGRQVNVSMEYSRKVGGVDAPNPVAASGADDSRVLDFGSVFVTSPTKADSAETLPSPSPSQSAGVNVAELMVAYGFATVTRHRDFEERSNHYDALLAAETRAINGKKGLHSKKDRPPMHIKDLTMAPTKQAKEFLPFLARNRRLPAVVEHIFSGHRFKLVIPKETCSIAFSFSGVRCPGRGEPFSDEAIAFMRRRILQRNVEVEIETVDRTGTFLGSMWESRTNAAVPLLEAGLARLQSSFGSDKIADANLLGRAEESAKRQKLKIWENQTEGHEVGNGTTSEGKQKEVLKVVVTEVLGGGKFYVQTVNDQKIATIQQQLASLNLQEPPMIGAFKPAKGDIVLAQFSLDNSWNRALIVNTPRGAVESPNDAFEVFYIDYGNQEVVPFSRLRPLDPSVSSAPGLAQLCSLAYIKVPDLDDDFGLEAAEYFSECTLNTSREFRAMVEDKDTSGGKVKGQGTGTVLIVTLVDVEAESSINAAMLKEGLARLERRKRWDTKERQSALDNLEEFQTKAKKERLKMWQYGDVQSDDEDSGPPARKAGGRA >DRNTG_00345.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18710326:18715255:1 gene:DRNTG_00345 transcript:DRNTG_00345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIERSRRRRGKREALGFPGVRSQPKGCFTSS >DRNTG_00345.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18714994:18715255:1 gene:DRNTG_00345 transcript:DRNTG_00345.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIERSRRRRGKREALGFPGVRSQPKGCFTSS >DRNTG_16221.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30787316:30793227:-1 gene:DRNTG_16221 transcript:DRNTG_16221.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GalAK [Source:Projected from Arabidopsis thaliana (AT3G10700) UniProtKB/TrEMBL;Acc:A0A178V5L4] MRMNCKTKEHNLVRLFEMEGNQRLNGHGAYKILLAFSGLKHALANNPGYNSRVSECQEAARLLLCASGDEDVEPLLCNVDPSTYEAHKGGLEPNLAKRAKHYFTENFRVKEGLKAWASGELETFGKLISASGLSSIENYECGCEPMIQLYQILVRAPGVYGARFSGAGFRGCCLALVDADRAEEAASFVKLEYPKVQPKLANQLKQDKIVLICEAGDCAQIL >DRNTG_16221.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30787316:30793227:-1 gene:DRNTG_16221 transcript:DRNTG_16221.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GalAK [Source:Projected from Arabidopsis thaliana (AT3G10700) UniProtKB/TrEMBL;Acc:A0A178V5L4] MRVSSWPSTVEVNAVKERVVQMSGGNIGDVRIVVSPYRICPLGAHIDHQGGIVSAMTINKGIILGFIPSDDGQIILQSGQFDGDVRFKVDVSQLPRSSTAAQENGASKSCNKELDWGCYARGAVYALQRRGFHLDKGIIGFICGSDGLDSSGLSSSAAVGVAYLLALENANSLIVSQTDNIELDRLIENEYLGLRNGILDQSAVLLSKYGCLMRMNCKTKEHNLVRLFEMEGNQRLNGHGAYKILLAFSGLKHALANNPGYNSRVSECQEAARLLLCASGDEDVEPLLCNVDPSTYEAHKGGLEPNLAKRAKHYFTENFRVKEGLKAWASGELETFGKLISASGLSSIENYECGCEPMIQLYQILVRAPGVYGARFSGAGFRGCCLALVDADRAEEAASFVKLEYPKVQPKLANQLKQDKIVLICEAGDCAQIL >DRNTG_22602.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001245.1:17174:25214:-1 gene:DRNTG_22602 transcript:DRNTG_22602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHRQKRSRALILALLCVSVFAPVVFISTKILDFTPSLEKEEFFDDSSGIVRSFSPPKLSADSLKVNSIKEDLGHGLKEPEGFVFKDKDFHNIGSSGNASTVNPPTLDGKLNAGVQNRNGIGRELQKQNGSASVRDRVEGLANESTVEQKNKRQPHPVIDEKVKTMEDMLIMAKAYLHFAPASSNSRLVRELKLRIKEIERVVSQANKDSDLSRSALQKMNAMEVSLSKASKAYPDCSAMASKLRAMTYNTEEQLWAQKGQVSYLTQLAARTFPKGLHCLSMKLTSEYFSLQFEEREFPRRQNVQNLNLNHYAIFSDNILACAVVVNSTVSTSKEPEKNVFHVVTDSVNFPAMMMWFLLNPPGQATIHIQNFEDLKFLPSDYSSMLKQQGLRDPRFSSPLNHLRFYLPEIFPYLNKILFLDHDVVVQRDLRGLWNLDLKGKVNGAVDICRGESSHRLETLVNFSDPIIAKNFHPKKCIWAFGMNMFDLQAWRRHGLSRVYNKWLQLGKRRQLWKAGSLPLGQLIFYNQTVALGRQWHVLGLGLDSIIGKSEIERASVIHYDGNLKPWLDIAIGKYKSYWTKFLDYDNTYFQQCNIHG >DRNTG_11795.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:439594:445252:-1 gene:DRNTG_11795 transcript:DRNTG_11795.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase BSK2 [Source:Projected from Arabidopsis thaliana (AT5G46570) UniProtKB/Swiss-Prot;Acc:Q9LS26] MGCFQSKTSNVQSPDDESLAADKLDPQSNAEVVDAGDGVPAFKEFGLAELKAATKGFSSDLIVSESGEKAPNVVYKGKLDGGRFVAVKRFSRQSWPDAQQFVAEAAGVGKVRHRRLVNMIGCCAEGDERLLVAEYMPNDTLSKHLFHWDKQPLPWEMRVRVAYYIAQALDHCNSENRRIYHDLNAYRVLFDEDGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNMLLVMDSSLEGQYANEDATKLVELASKCLQFEARDRPNSKFLLSAVAPLQTQKEVASHVLMGLSKTTVVVPTLLSPLGKACARMDLTAVHDILLKTGYKDEEGAENELSFQEWTQQVQEMLNTKKFGDIAFRDKDFKVAIDYYSKLVVLMSVPSATVFARRGFSYLMNGQPELALRDAMQAQVCMPEWPTAFYLQALALSKLGMETDAQDMLNDGAAFEAKKQNSWRG >DRNTG_14178.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22872131:22874098:1 gene:DRNTG_14178 transcript:DRNTG_14178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETCSAVALAPLLGGNSTAAAQYLCNQFEAVSLQLTDAKSAIDSTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGFAFAFGAPSNPFIGRHFFGLKEIPKIGFDYSYFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPIVSHWIWSTDGWASASRSAPHGLLFGSGVIDFAGSGVVHLVGGIAGLWGALIEGPRIGRFDHAGRAITLRGHSATLVVLGTFLLWFGWYGFNPGSFITILNSYGPIGSINGQWSAVGRTAVTTTLAGCSAALTTLFGKRLQTGHWNVTDVCNGLLGGFAAITSGCSVVDPWAAVICGFVAAWVLIGFNKLAEIFKYDDPFRSRTTPRWLRRLGHHLHRPLRQRKVRQRSLSGKRRETIRSLHGRRWPVTRRACSTNRGDHRLGELHHGAIVLRLEQDEVIEDFNRGRDGRHGSHSPWRLCLCLP >DRNTG_13029.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1757137:1758408:1 gene:DRNTG_13029 transcript:DRNTG_13029.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQRSRTKEGHPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGN >DRNTG_13029.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1757137:1758408:1 gene:DRNTG_13029 transcript:DRNTG_13029.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPSPAKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGN >DRNTG_13029.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1757137:1758408:1 gene:DRNTG_13029 transcript:DRNTG_13029.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQRSRTKEGHPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGN >DRNTG_13029.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1757137:1758408:1 gene:DRNTG_13029 transcript:DRNTG_13029.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQRSRTKEGHPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGN >DRNTG_11019.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:764248:766246:1 gene:DRNTG_11019 transcript:DRNTG_11019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKRGIRRIQPRRRGSNGGDGERNPWPPQRVAWP >DRNTG_11019.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:764248:766246:1 gene:DRNTG_11019 transcript:DRNTG_11019.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKRGIRRIQPRRRGSNGGDGERNPWPPQRVAWP >DRNTG_04454.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23995907:23999328:-1 gene:DRNTG_04454 transcript:DRNTG_04454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLPIRSIRNFWSIGGSLGDSQAEALSKLLQEARQLGCGSFGGNSDAMIPKEWIKRIIVTFHDMSIGIRERMAVTIKQSFKEVVQSTLRAEQLVREWKRIRENMVKRRSLEMGQPSKKSRRFVADHFEGVMESSECMDCAQAAQPLQGVVWPH >DRNTG_29121.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29748059:29750153:1 gene:DRNTG_29121 transcript:DRNTG_29121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRLEEACLNGDLDKLRRLLKEDNLMLHRFSSSVTAAIDNPLHMVASLGHTDLANELVIWNPKLALDLNPRGLSALHLASAHGDLEIVKLLISKVGSHLCFLKDKDGRLPIHSASMKGRIEILDQLIKVCPESARALTYQNESILHLAVQFNSFETLEFLVKKLEVDDDIDEILNLKDDKGNTILHHSIARRQLQSVKLLLSKGEKVEVNAMNHKGLTALDVLLDSPSQHGDLTLGEVIRVAGGKVAIELDPHQPSLQAKHIKGMSLVILLIILQEAGDDRAALTLGPNRRLRNNSRLKITTLLAH >DRNTG_20381.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:87282:93164:1 gene:DRNTG_20381 transcript:DRNTG_20381.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELLLIVSPLVLALIIFFSFRGSNKGGDKAAKLPPGTMGWPLIGHTIPFMQPHSSASLGLFVEKNIAKYGRVFRMNLLGKATIVSTDADFNRYILQSEGRMFENSCPTSIAEIMGRWSMLALAGDVHREMRSIAVNFMSNVKLRTYFLPDVEQQAKQILSGWRHGSTFSAQEEGKKFAFNLMVKHLMSMDPGMAETEQLRKEYITFMKGMASIPLNFPGTAYRKALQSRSIILKIMGQKLDERVEKVKKGCEGLEEDDLLASVAAQSNITRDQILDLILSMLFAGHETSSAAISLAIFFLESSPKALQQLREEHMNIAKMKKEKGESGLTWDDYKQMEFTHCVINETLRLGNIVKFLHRKAIKDVQYKGYEIPCGWEVVPIISSAHLDPSIYDDPQSYNPWRWETISTATSKNNSIMSFSGGPRLCPGAELAKMEMAVFLHHLVQKFTWELAEHDYPVSFPFLGFPKHLPIKVHAIDHNHEA >DRNTG_16203.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30885726:30888916:-1 gene:DRNTG_16203 transcript:DRNTG_16203.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAQLPCVIQALPASSTSDKCTPTKQDTSNSKYDSTPSQQDAGIIQTAASSRRTRSQTVPDWTQQEMLILVNEIASLDEDWLKSLSSYQKWKIVSDNCAASDVIRSSNQCKRRWEVLLADHRKIRKWESQSRGSSYWSLDDQRRKDSGLPLFFDHQVFDSMDAVIKVDQTGLRDSDSEDLSIANAEVEQQVDVDSGSGCEEETWSKTDEMACKLEDNAKWIHTILNGELEGTPETIIEFTRQQATELIKAFGALTGTLDEFVDLIKAGEFERIATCNSLA >DRNTG_16203.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30885726:30888916:-1 gene:DRNTG_16203 transcript:DRNTG_16203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAQLPCVIQALPASSTSDKCTPTKQDTSNSKYDSTPSQQDAGIIQTAASSRRTRSQTVPDWTQQEMLILVNEIASLDEDWLKSLSSYQKWKIVSDNCAASDVIRSSNQCKRRWEVLLADHRKIRKWESQSRGSSYWSLDDQRRKDSGLPLFFDHQVFDSMDAVIKVDQTGLRDSDSEDLSIANAEVEQQVDVDSGSGCEEETWSKTDEMACKLEDNAKWIHTILNGELEGTPETIIEFTRQQATELIKAFGALTGTLDEFVDLIKAGEFERIATCNSLA >DRNTG_02055.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000103.1:45:3379:1 gene:DRNTG_02055 transcript:DRNTG_02055.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPESPPIPVVSTFSSPFEKSPNPKSSLRKPLSLWPGMYHSPVTDALWEARSSIFERFLYGSKDGPPQAQLLTRTPSHSRVSIAYNFSSDYILREQYRDPWNEVRVGKLLEDLDALAGTIAVKHCSDDDSTTRPVMLVTASVDKIVLKKPILVDKDLEISGAVAWVGRSSLQIQMEITQLQEQSIPSDSVVLTATFTFVARDSKTGKSAPVNRLLPETDHEKKLFEEREERDKLRKRKRDDQMELERGGRKLHDDVGCLKRLLAEGRVFCDLPALADRDSILIRDTRLENSLVCQPQQRNPHGRIFGGFLMLRAFELAFSTAYAFVGQMPCFLEVDHVDFLKPVNVGDFLRFKSCVLYTKLQNPERPLINIEVEAHVTRPELRTSEVSNTFYFTFTVNGDALKKGVRIRNVVPATVEEAHRVQERMEAEKIFS >DRNTG_02055.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000103.1:45:3665:1 gene:DRNTG_02055 transcript:DRNTG_02055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPESPPIPVVSTFSSPFEKSPNPKSSLRKPLSLWPGMYHSPVTDALWEARSSIFERFLYGSKDGPPQAQLLTRTPSHSRVSIAYNFSSDYILREQYRDPWNEVRVGKLLEDLDALAGTIAVKHCSDDDSTTRPVMLVTASVDKIVLKKPILVDKDLEISGAVAWVGRSSLQIQMEITQLQEQSIPSDSVVLTATFTFVARDSKTGKSAPVNRLLPETDHEKKLFEEREERDKLRKRKRDDQMELERGGRKLHDDVGCLKRLLAEGRVFCDLPALADRDSILIRDTRLENSLVCQPQQRNPHGRIFGGFLMLRAFELAFSTAYAFVGQMPCFLEVDHVDFLKPVNVGDFLRFKSCVLYTKLQNPERPLINIEVEAHVTRPELRTSEVRL >DRNTG_02055.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000103.1:45:3379:1 gene:DRNTG_02055 transcript:DRNTG_02055.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPESPPIPVVSTFSSPFEKSPNPKSSLRKPLSLWPGMYHSPVTDALWEARSSIFERFLYGSKDGPPQAQLLTRTPSHSRVSIAYNFSSDYILREQYRDPWNEVRVGKLLEDLDALAGTIAVKHCSDDDSTTRPVMLVTASVDKIVLKKPILVDKDLEISGAVAWVGRSSLQIQMEITQLQEQSIPSDSVVLTATFTFVARDSKTGKSAPVNRLLPETDHEKKLFEEREERDKLRKRKRDDQMELERGGRKLHDDVGCLKRLLAEGRVFCDLPALADRDSILIRDTRLENSLVCQPQQRNPHGRIFGGFLMLRAFELAFSTAYAFVGQMPCFLEVDHVDFLKPVSCLLLTASIIHASINVFSVLYGKCVELLY >DRNTG_33730.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29233322:29233800:1 gene:DRNTG_33730 transcript:DRNTG_33730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLITNHMMTTFFCLSRRMSSIATQTTRSQAEVTATMKAMAADNCAGSGGDATVVKADVFWMKNPLTGYWIPENRFNHS >DRNTG_25956.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2077725:2081075:1 gene:DRNTG_25956 transcript:DRNTG_25956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDEECPPLAIEIADASKIHRPESSDRSENPPEISPETPVGVTVITGYLGAGKSTLVNYILTAEHGKKIAVILNEFGEEIGVERAMINEGENGALVEEWVELANGCVCCTVKHSLVQALEQLVQRKQRLDHILLETTGLADPAPLVSILWLDDQLESSVKLDSIITYLSFPFLSFLCFVRMDVIILNKVDLVKQEDCGSVASGVIEDLVEESP >DRNTG_20424.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3187761:3192875:-1 gene:DRNTG_20424 transcript:DRNTG_20424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECMWGRKGVEVNVWDLEKCSKAWTAKSPPSNSLGIFSPTWFTAATFLSKEDHRKIAAGTNNHQVRLYDISAQRRPVLSINFRESPVKVVTEDLDGYTVYIGTGCGDLASFDMRTGKLLGCFVGKCSGSIRSIARHPRLPIIASCGLDSYLRFWDAKTRQLLSTVFLKQHLTSVMLDTHFSAEEIESADQPSDLQANDHSEVENDEELPPKVRSKTSRKKAHNKNKADKSKREAQYDELRDEDEQTTIESADDDDELPATTKRRKPLGGNEKRPKHKKSKKSIG >DRNTG_07054.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3810869:3814872:1 gene:DRNTG_07054 transcript:DRNTG_07054.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNRLPPRHMINDQMSGFHDAPPPQLHRGRGPVPFSLLEEDVAIRREEIRRLVANNRLLIEENVTLGRDIAAGREELHNLSQIIPRIRADKETQARDLIQKGLKLEAELRAIEPIKTEVLQLQSELQKLEAFKQEMQGKIQGLSQDLKLVQAENEQIPSLKVDIDGLRQELMRTRAAYEYEKKLNTEQMEQRQILEKNLISMAREVEKLRTESMTMDAMARGPVGPYGPVKGSPDMRYPGAFTGGFGDDKGGPYGTGPWSSFNHSYPRR >DRNTG_10995.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18849617:18852434:-1 gene:DRNTG_10995 transcript:DRNTG_10995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLGQLGSLRSIYLPMNKLNGSIPDGAFKAVGALRRVYLSSNGFTGPIPSSLTGLQRLVELRLDCNQFTGQIPDLQQRGLELVNMSFNNLDGQIPAGLSRLNATLFAGNKDLCGSPLGVPCKASKKVSMPLVLSATLIGIGVVLVITGVILVGLYRRRQRDKKNQLERAPAHPKTGEVVKVAGAQGTDDNNHVNRNQHGKKKAIAAASATATTTTAAAQEFEQGRLVFVKEDRETFELQDLLKASAEILGGGSFGSSYKAVLMTGPSMVVKRFKEMNKVGREEFHEHMRRLGRLSHPNLLPYVAYYYRKEEKLLVTDFIPNGSLAALLHGL >DRNTG_10561.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000445.1:40680:41299:-1 gene:DRNTG_10561 transcript:DRNTG_10561.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFHVDLAKFLVVACRVFKALIENARACGIFTCPWICTVSSCRESTVVWTLAVNDLVTPTRSGAWVFSAPPCESVQKSSPPSREYIGVCVCPCELPSNDPRPCGLSTQACKTLREFYRLTKEPQRRAAAPVDWAHECG >DRNTG_13270.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10133750:10139094:1 gene:DRNTG_13270 transcript:DRNTG_13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENKPSASSNSNSGNNNGGKGKKRKYLPHGKPVRKGSYPLHPGVQGFFITCDGGRERQATNEALNLLDTFYEELVHGKTSSTNSRTIPSKPLNKITKFKDSDSSSDEDDSSQPNDQNVEKSAEESEESLAKKQNDQNVEKSAEKSEKSLVKEQNDQNVEKSAKETEKSLVKEQNDQNVEKSVEETEESPVKKQRVEGNSSNCKNIESDKAKDKCIDDLIEDELKELGDRNKRHFASLDSGCNGVIFIQMHKKDGVPGPSSIVQHMMTSAASTRKHMSRFILRILPAEVACYASEEEITKAIKPLVEHHFPAEPPNPQKFAVLYEARSNTGIERMPIINAVAKSVPQPHKVDLKNPDKTIIVQIVKTICLVGVVKKYKELSKYNLRQLTSPQGSENN >DRNTG_25589.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29804186:29805886:1 gene:DRNTG_25589 transcript:DRNTG_25589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPYQKHRKDEEAKKKRDEEETARLLEQFKESFEGDDPSGPRAFVRGGTIDPNLKPRNDPEGGNSKDGVSVPKKGSR >DRNTG_22529.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3557586:3561323:1 gene:DRNTG_22529 transcript:DRNTG_22529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIDIEGILKQLPSDGGVPKTKIVCTLGPASRSVPMLEKLLRAGMNVARFNFSHGTHEYHQETLDNLRIAMVNTQILCAVMLDTKGPEIRTGFLKDGKPVQLKEGQEITINTDYSLKGDGDMITMSYKKLPVDLKPGNTILCADGTITLTVLSCDVAAGTVRCRCENTALLGERKNVNLPGIVVDLPTLTDKDKEDILGWGVPNSIDMIALSFVRKGSDLVHVREVLGPYAKRIKLMSKVENQEGVVNFDEILRETDSFMVARGDLGMEIPVEKIFLAQKMMIYKCNLVGKPVVTATQMLESMIKSPRPTRAEATDVANAVIDGSDCVMLSGESAAGAYPELAVRIMAKICIEAESSLDYEAIFKERVRATPLPMSPLESLASSAVRTANTAKATLIVVLTRGGTTARLVAKYRPSVPILSVVVPVLTTDSFDWMVSDETPARHSMVYRGLIPLLAEGSAKATDAESTEVILDAALKSAVKRRLCKPGDAVVALHRIGIASVIKICIVKQ >DRNTG_06815.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:15742009:15747461:-1 gene:DRNTG_06815 transcript:DRNTG_06815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGRCQPITVPRASLAGDPLRHRRRVCPLSTLSSLIPFRLSSDTQGLVRVRRSGSFGGKRVPGIFVMASGGSNDGEWRSVGTPLEPLSPEGQFLCGILKNQPHIFRVAASEQLKELALERESAFARWEQSVGSSEHPLHGRIAQMKEQECQIAAEDVMYMLVVHNFSEIKVPMVPNLSKCTSNGRLEIWSSKDRELESIHGSEVLEMVREHLSNILRWKGKSDASANWSTMKMKRLQLGRLYAASILYGYFLKSVSLRHHLELSLALSYEDLSLNKMIQFPLPKVHKHEPEENLVPLGCSNDMTLSLCPSKNRGGKAKRLRGYMMGFDSKTLQLCAKLRSIEAANLIEKHSWALFRDQESQNLSKDELIDVSFSGLKRLVLEAVAFGTFLWDVEGYVDSVYRLKES >DRNTG_15511.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20804427:20806407:-1 gene:DRNTG_15511 transcript:DRNTG_15511.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALS3 [Source:Projected from Arabidopsis thaliana (AT2G37330) UniProtKB/TrEMBL;Acc:A0A178VRI6] MALLDPSSSAFWIEFLKGMLKPALATAVVLMAVVLSFLQKLNLEGEMVYAIVRAFIQLSIIGFVLEFIFTQKNAAWIILAYLFMVSVAGYTAGQRAKHVPRGKCIAGLSILAGTTLTMILLVLLNVFPFTPRYIIPIAGMMVGNAMAVTGVTMKRLREDLKIQMNLVETALALGATPRQATLQQVKRSLVIALSPVLDNAKTVGLISLPGAMTGMIMGGASPLEAIQLQIVVMNMLIGASTVSSILSTYLCWPSFFTKAYQLETKVFTAE >DRNTG_05178.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:15752490:15753177:1 gene:DRNTG_05178 transcript:DRNTG_05178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKRWTKEMDNVLIPLFADMARSGLKVDKSFKHQAFVEAANVMNSRFLTACMDADNVENHMCTLKQKYQDIKKHLNLSGVGWNDTEKKLVLEDETYRTYAEGQPKTMEYLNKPIPIFDNLCLVAGDDHATGDYARTIFDEFGGTPSENESAPPSNALLDCEPMDTGNQRHEALRSSRSKIIARLPVEQGPMVKMV >DRNTG_31321.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4010035:4010579:-1 gene:DRNTG_31321 transcript:DRNTG_31321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFLLLLGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLMYRRTSRMPVGRLQSLVVMVNYWKAGVCLGLFVDAFKLGS >DRNTG_22068.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20357882:20360699:1 gene:DRNTG_22068 transcript:DRNTG_22068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVESRREPKSKKRKRLQLSMEVLSETPDRIPPLLGYFPSGYNPEAEDQQPEIKVFRNQKRSNRLELVVSPGDSNMQFVGRSYAGEAATPQLCTYALGVLDKESQSLKIVPIAANKVFRLEPRVVKSLSSEKDVGEVLTEEGASRKISDLTSLYGTKKNKDQASKWKLLNEQKKDGAATELLEGPRVDDEIEEASEVAKPKAVPNIPPFDITADTPEKAYLLDEIILPGERRHLIDIFEMVQSGVAFDSSVQFWEENGYPSFVYNRIYKLRYIEDEEELKNKAAIYSYITHLVTFMSRMSRMSRGRRSSNAASYNIPRVVYQKFTGLFIDPESHALTAEKRELLIGYILVLTLFVDDFRSEPADISKDLKIPYAELKPYYKQLGCKMLHDTDLKKLVQSLPAPLKFPEQRPYRRKRE >DRNTG_08894.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27567215:27569984:1 gene:DRNTG_08894 transcript:DRNTG_08894.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 6.1 [Source:Projected from Arabidopsis thaliana (AT5G13400) UniProtKB/Swiss-Prot;Acc:Q9LYR6] MGSKEIRSPETLPEKYPACVELSSRMKLGAHFMESDERRFSAIGGAYVAGGTTPVNIKGKPIEDLSKTGGWIAAFFIFGNEMAERMAYFGLSVNMVTFLFNVMHRPFYSSANAVNNFLGISQASSVLGGFIADAYLGRYWTIAIFTTIYLLGLTGITLLASVSVFVPNQAQCDQLSILLGACEQPKSWQMLYLYTVLYITAFGAAGIRPCVSSFGADQFDEKSKDYKAHLDRFFNFFYLSVTIGVIVAFTMVVYIQMRYGWGSAFGSLAIAMGFSNAIFFLGTPLYRHRLPGGSPLTRVAQVLVAAFYKRNASFSSSSRIGLYEVPGRKSAIKGSAKIEHTDDFRCLDKAALQIKEDGSDPSPWRLCTVTQVEEVKILVKLLPIPACTIMLSVVLTEFLTLSVQQAYTLNTHMGHLKLPVTCMPVFPGLSIFLILALYYSTFAPLLRRITGHPQGASQLQRVGLGLAVSILSVAWAGVFERYRRNYAIKHGYELIFLTPMSDLSAYWLLIQYCLIGIAEVFSIVGLLEFLYQEAPDAMRSVGSAYAAVAGGLGCFVASILNNIVRAITGNEAQGKPSWLSQNINVGKFDYFYFLLTVLSFINFCIFLFFAKRYKYRARNAIIEEEVS >DRNTG_08894.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27567215:27569984:1 gene:DRNTG_08894 transcript:DRNTG_08894.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 6.1 [Source:Projected from Arabidopsis thaliana (AT5G13400) UniProtKB/Swiss-Prot;Acc:Q9LYR6] MYLFMFSGNEMAERMAYFGLSVNMVTFLFNVMHRPFYSSANAVNNFLGISQASSVLGGFIADAYLGRYWTIAIFTTIYLLGLTGITLLASVSVFVPNQAQCDQLSILLGACEQPKSWQMLYLYTVLYITAFGAAGIRPCVSSFGADQFDEKSKDYKAHLDRFFNFFYLSVTIGVIVAFTMVVYIQMRYGWGSAFGSLAIAMGFSNAIFFLGTPLYRHRLPGGSPLTRVAQVLVAAFYKRNASFSSSSRIGLYEVPGRKSAIKGSAKIEHTDDFRCLDKAALQIKEDGSDPSPWRLCTVTQVEEVKILVKLLPIPACTIMLSVVLTEFLTLSVQQAYTLNTHMGHLKLPVTCMPVFPGLSIFLILALYYSTFAPLLRRITGHPQGASQLQRVGLGLAVSILSVAWAGVFERYRRNYAIKHGYELIFLTPMSDLSAYWLLIQYCLIGIAEVFSIVGLLEFLYQEAPDAMRSVGSAYAAVAGGLGCFVASILNNIVRAITGNEAQGKPSWLSQNINVGKFDYFYFLLTVLSFINFCIFLFFAKRYKYRARNAIIEEEVS >DRNTG_16747.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10969768:10970471:1 gene:DRNTG_16747 transcript:DRNTG_16747.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGEVALSIPSGRDRMGGHERSGVRADWVFGGWGKKLASSQAFPRPLSCPSRPAAAGSPHLSSTSPGLRSELYEAETRPMYGSEAEPHPSKNDAA >DRNTG_29269.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28596769:28601073:-1 gene:DRNTG_29269 transcript:DRNTG_29269.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFLPLLMVFQVLLSLIDRWAVRNVNPALFSQELMANASCLVMDHEVNYNPQILLGKAHAATSSIGSATAIVAMLEKNGTLKLANVGDCGLRVLRKGDVIFSTTPQEHYFDCPYQLSSQIIGQTYRDAVVSNVDLLEGDMIVVGSDGLFDNVFDHEIVSTISRFNTAVEAGKALADLACNHSMDANFDSPYSLEARNKVQNKAPLFLPFRIALYLCRFPFQYQSTSMKWHSKWICASDLTFCFSNLT >DRNTG_29269.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28597622:28601073:-1 gene:DRNTG_29269 transcript:DRNTG_29269.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAMMRLSMPQCCRFLLHCSPARPPIALRRCAISKRSCSASASSHQIRSEVSISFGTHLIPHPSKADKGGEDAFFVSNYNGGVFAIADGVSGWAVRNVNPALFSQELMANASCLVMDHEVNYNPQILLGKAHAATSSIGSATAIVAMLEKNGTLKLANVGDCGLRVLRKGDVIFSTTPQEHYFDCPYQLSSQIIGQTYRDAVVSNVDLLEGDMIVVGSDGLFDNVFDHEIVSTISRFNTAVEAGKFPGY >DRNTG_29269.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28596769:28601073:-1 gene:DRNTG_29269 transcript:DRNTG_29269.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAMMRLSMPQCCRFLLHCSPARPPIALRRCAISKRSCSASASSHQIRSEVSISFGTHLIPHPSKADKGGEDAFFVSNYNGGVFAIADGVSGWAVRNVNPALFSQELMANASCLVMDHEVNYNPQILLGKAHAATSSIGSATAIVAMLEKNGTLKLANVGDCGLRVLRKGDVIFSTTPQEHYFDCPYQLSSQIIGQTYRDAVVSNVDLLEGDMIVVGSDGLFDNVFDHEIVSTISRFNTAVEAGKALADLACNHSMDANFDSPYSLEARNKVFDVPFWKKILGKKLIGGKPDDITVIVGQVVSSADVLEPSEAFSRVEIYNY >DRNTG_29269.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28596769:28601073:-1 gene:DRNTG_29269 transcript:DRNTG_29269.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAMMRLSMPQCCRFLLHCSPARPPIALRRCAISKRSCSASASSHQIRSEVSISFGTHLIPHPSKADKGGEDAFFVSNYNGGVFAIADGVSGWAVRNVNPALFSQELMANASCLVMDHEVNYNPQILLGKAHAATSSIGSATAIVAMLEKNGTLKLANVGDCGLRVLRKGDVIFSTTPQEHYFDCPYQLSSQIIGQTYRDAVVSNVDLLEGDMIVVGSDGLFDNVFDHEIVSTISRFNTAVEAGKALADLACNHSMDANFDSPYSLEARNKVQNKAPLFLPFRIALYLCRFPFQYQSTSMKWHSKWICASDLTFCFSNLT >DRNTG_29269.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28596769:28599365:-1 gene:DRNTG_29269 transcript:DRNTG_29269.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQCASWQVNYNPQILLGKAHAATSSIGSATAIVAMLEKNGTLKLANVGDCGLRVLRKGDVIFSTTPQEHYFDCPYQLSSQIIGQTYRDAVVSNVDLLEGDMIVVGSDGLFDNVFDHEIVSTISRFNTAVEAGKALADLACNHSMDANFDSPYSLEARNKVQNKAPLFLPFRIALYLCRFPFQYQSTSMKWHSKWICASDLTFCFSNLT >DRNTG_24112.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31343861:31348849:1 gene:DRNTG_24112 transcript:DRNTG_24112.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSANSSSVVLPMSNDEREEVGLLDAVDDIHGGVIVELKGPMDCKTFVSSLRASLSHWRQQGIRGVWIKLPIELSHLVQPAVQEGFCYHHAEPTYLMLVYWIPVSENTLPINATHRVCIGAFVMNEKREVLVVQEKCGILRGSGIWKFPTGVLEQGEDIFAGAIREVKEETGIETDFLEVLAFRQNHGAFFGKSDLFFVCMLLPLSSEIHKQESEIEAAAWMPVEEFAAQPFVKKHELLKYILDLGLAKADRNYSGFTPLSISSAFSEELSFLYLNSRGL >DRNTG_24112.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31343861:31348849:1 gene:DRNTG_24112 transcript:DRNTG_24112.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSRALVLFSSSGNALLRPVNRSHLRSSPFHKSHRCLGKISSMSNSANSSSVVLPMSNDEREEVGLLDAVDDIHGGVIVELKGPMDCKTFVSSLRASLSHWRQQGIRGVWIKLPIELSHLVQPAVQEGFCYHHAEPTYLMLVYWIPVSENTLPINATHRVCIGAFVMNEKREVLVVQEKCGILRGSGIWKFPTGVLEQGEDIFAGAIREVKEETGIETDFLEVLAFRQNHGAFFGKSDLFFVCMLLPLSSEIHKQESEIEAAAWMPVEEFAAQPFVKKHELLKYILDLGLAKADRNYSGFTPLSISSAFSEELSFLYLNSRGL >DRNTG_24112.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31343861:31348849:1 gene:DRNTG_24112 transcript:DRNTG_24112.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYWIPVSENTLPINATHRVCIGAFVMNEKREVLVVQEKCGILRGSGIWKFPTGVLEQGEDIFAGAIREVKEETGIETDFLEVLAFRQNHGAFFGKSDLFFVCMLLPLSSEIHKQESEIEAAAWMPVEEFAAQPFVKKHELLKYILDLGLAKADRNYSGFTPLSISSAFSEELSFLYLNSRGL >DRNTG_24112.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31343861:31348849:1 gene:DRNTG_24112 transcript:DRNTG_24112.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSANSSSVVLPMSNDEREEVGLLDAVDDIHGGVIVELKGPMDCKTFVSSLRASLSHWRQQGIRGVWIKLPIELSHLVQPAVQANFLYCHS >DRNTG_24112.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31343861:31348849:1 gene:DRNTG_24112 transcript:DRNTG_24112.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLNLKQEGFCYHHAEPTYLMLVYWIPVSENTLPINATHRVCIGAFVMNEKREVLSCNILMIKLCNSWQLKKNKMQLRFPPPIKYSYSEEDFDWKSQK >DRNTG_24112.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31343861:31348849:1 gene:DRNTG_24112 transcript:DRNTG_24112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLNLKQEGFCYHHAEPTYLMLVYWIPVSENTLPINATHRVCIGAFVMNEKREVLVVQEKCGILRGSGIWKFPTGVLEQGEDIFAGAIREVKEETGIETDFLEVLAFRQNHGAFFGKSDLFFVCMLLPLSSEIHKQESEIEAAAWMPVEEFAAQPFVKKHELLKYILDLGLAKADRNYSGFTPLSISSAFSEELSFLYLNSRGL >DRNTG_06304.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2197006:2199476:1 gene:DRNTG_06304 transcript:DRNTG_06304.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVVADKEHKDGSRRSSTGKAIPRGSASPARSDEKPIPHYLMPSTNSCHDFCKYGHKHAFEGDEKHPIRSNVLGHNRSPIHDQNPMKIPTVRERIKERGNKLKAQSKLNSKFPDKPKVGEKLAMSPTWDIGSSEEASEQESLKDTQAIGLPSIYRRTYVKSKVVEQKDVTAGNANYDSEESREINVIKKKMTPVKKLNATTRPVLVKQKSRSFVRIPSLSSRTRSFRPKAPLSSDDIDESSEAEISSKPKTSSVKSASPGNSSEEVTYQKSVEKPKMIQVKELKPLTASVSKPRQYIKRIASVKKRGVGKATIDTKKIKEKPSAPILESTSQDVEDKAKLRRTKTVHLEDDSASSYKLKFKGGKVIDLPPESSAPVKLRFSRGRVVENSNNSVGAMWRRSFSRKSSRRRGLAGVVYNDPNTGAQVVVLRHQDVQQKDDQGLFNNVIEETATKLVKSRKSKVKALVGAFETVISSIRGPK >DRNTG_06304.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2197006:2199583:1 gene:DRNTG_06304 transcript:DRNTG_06304.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVVADKEHKDGSRRSSTGKAIPRGSASPARSDEKPIPHYLMPSTNSCHDFCKYGHKHAFEGDEKHPIRSNVLGHNRSPIHDQNPMKIPTVRERIKERGNKLKAQSKLNSKFPDKPKVGEKLAMSPTWDIGSSEEASEQESLKDTQAIGLPSIYRRTYVKSKVVEQKDVTAGNANYDSEESREINVIKKKMTPVKKLNATTRPVLVKQKSRSFVRIPSLSSRTRSFRPKAPLSSDDIDESSEAEISSKPKTSSVKSASPGNSSEEVTYQKSVEKPKMIQVKELKPLTASVSKPRQYIKRIASVKKRGVGKATIDTKKIKEKPSAPILESTSQDVEDKAKLRRTKTVHLEDDSASSYKLKFKGGKVIDLPPESSAPVKLRFSRGRVVENSNNSVGAMWRRSFSRKSSRRRGLAGVVYNDPNTGAQVVVLRHQDVQQKDDQGLFNNVIEETATKLVKSRKSKVKALVGAFETVISSIRGPK >DRNTG_30061.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001541.1:16579:18957:-1 gene:DRNTG_30061 transcript:DRNTG_30061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRGLALVSDLVMGHARAEFLHGRVFFYREFLLHPEKTQGRVSAPINCQVRIHARVELSHGHVKHLENFLDWTGKLKGRASAPVGLSWGVTRTWINESLVCRTLRSIDKIPLLISRPSGGSSLSPFTLLWPQRTRGTEVESITSEGKGDAPVPLDSPFQPSPT >DRNTG_00475.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30703149:30704753:1 gene:DRNTG_00475 transcript:DRNTG_00475.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGGHLREPAPQLSGSVSPEVLAFQMEYGNWVEEQSRQMNELGLAFQTNAPDVELKILVESGMRHYDDLFRIKAIATKADVFYIMSGMWRTATERFFLWIGGFRPSELLKVLVAQLNPLTEPQLAAVCSLQQSSQQAEDALSQGLNKLQQTLSEAITPDPIGTSDMSYMMAMTNAMERLDALASFVIQADNLQQQTLRQMHNILTPRQAARGLLALGEHFHRLRTLSSLWANCPREPT >DRNTG_00475.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30700293:30704753:1 gene:DRNTG_00475 transcript:DRNTG_00475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYFGYLQDSLPHCKHSPNIMNSGSTQFATSGKMGIYEPCYHMNMRSDSFKAESSQNTSTSTVVESNVKFNNKLEDIHHETFEDAKTYEQKKHTDKVLRRLAQNREAARKSRLRKKAYVQQLESSRIRLVQLEQELDQARQQTMYLGGHLREPAPQLSGSVSPEVLAFQMEYGNWVEEQSRQMNELGLAFQTNAPDVELKILVESGMRHYDDLFRIKAIATKADVFYIMSGMWRTATERFFLWIGGFRPSELLKVLVAQLNPLTEPQLAAVCSLQQSSQQAEDALSQGLNKLQQTLSEAITPDPIGTSDMSYMMAMTNAMERLDALASFVIQADNLQQQTLRQMHNILTPRQAARGLLALGEHFHRLRTLSSLWANCPREPT >DRNTG_26681.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9105877:9108580:-1 gene:DRNTG_26681 transcript:DRNTG_26681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >DRNTG_05593.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11227391:11234836:1 gene:DRNTG_05593 transcript:DRNTG_05593.1 gene_biotype:protein_coding transcript_biotype:protein_coding IHGFESDGVNRSLEATISSIGGSAAYNWNALCTHAVVERTSPVTNALIEAVIAKRPIVLCDWLQVLREKSIRTEVPSCASYTATLVLEGTPVKIAESKTRDKCLEGYTFILGSSCNKYKFWDKLETLLEVVGARFLSVDEFCSDSQTSTDGENCHFVLVIPEEARNEFNHHKQLTSLSRVSDVKLVAAILSGRLEPSNIE >DRNTG_05593.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11227391:11231584:1 gene:DRNTG_05593 transcript:DRNTG_05593.2 gene_biotype:protein_coding transcript_biotype:protein_coding IHGFESDGVNRSLEATISSIGGSAAYNWNALCTHAVVERTSPVTNALIEAVIAKRPIVLCDWLQVLREKSIRTEVPSCAS >DRNTG_26271.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7332279:7332761:1 gene:DRNTG_26271 transcript:DRNTG_26271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFVILKADCKSYFFVVPYQLNAFDLHFLSPSTSRIRAKMLYATSKDKIKRELDGVHYEIQATDLTEMDLEVLRDRAQ >DRNTG_24874.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32723762:32724140:-1 gene:DRNTG_24874 transcript:DRNTG_24874.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSLKKREKERKKGRKGIEEARVRRLDGQISPNLT >DRNTG_17041.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22099502:22123466:1 gene:DRNTG_17041 transcript:DRNTG_17041.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLEMVFRSCEEMQRQRGLSGSTLPQGLNWLQQDVPCNQGSPIRKMHDYSFAIKCGGNQNLKASDGVLYEMDNADLTTSSYFVAEPNKWAVSSVGWFADASNFSYRISSRSQFQNTLDSELFQTARISPSSLRYYGLGHENGNYRIKLQFAEIFFPGFTYLEKCGTKSF >DRNTG_17041.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22099502:22123429:1 gene:DRNTG_17041 transcript:DRNTG_17041.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLPQGLNWLQQDVPCNQGSPIRKMHDYSFAIKCGGNQNLKASDGVLYEMDNADLTTSSYFVAEPNKWAVSSVGWFADASNFSYRISSRSQFQNTLDSELFQTARISPSSLRYYGLGHENGNYRIKLQFAEIFFPGFTYLEKCGTKSF >DRNTG_17041.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22099502:22123429:1 gene:DRNTG_17041 transcript:DRNTG_17041.12 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLPQGLNWLQQDVPCNQGSPIRKMHDYSFAIKCGGNQNLKASDGVLYEMDNADLTTSSYFVAEPNKWAVSSVGWFADASNFSYRISSRSQFQNTLDSELFQTARISPSSLRYYGLGHENGNYRIKLQFAEIFFPGFTYLEKCGTKSF >DRNTG_17041.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22099502:22123429:1 gene:DRNTG_17041 transcript:DRNTG_17041.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLEMVFRSCEEMQRQRGLSGSTLPQGLNWLQQDVPCNQGSPIRKMHDYSFAIKCGGNQNLKASDGVLYEMDNADLTTSSYFVAEPNKWAVSSVGWFADASNFSYRISSRSQFQNTLDSELFQTARISPSSLRYYGLGHENGNYRIKLQFAEIFFPGFTYLEKCGTKSF >DRNTG_17041.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22099483:22123466:1 gene:DRNTG_17041 transcript:DRNTG_17041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDYSFAIKCGGNQNLKASDGVLYEMDNADLTTSSYFVAEPNKWAVSSVGWFADASNFSYRISSRSQFQNTLDSELFQTARISPSSLRYYGLGHENGNYRIKLQFAEIFFPGFTYLEKCGTKSF >DRNTG_17041.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22099502:22123429:1 gene:DRNTG_17041 transcript:DRNTG_17041.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLEMVFRSCEEMQRQRGLSGSTLPQGLNWLQQDVPCNQGSPIRKMHDYSFAIKCGGNQNLKASDGVLYEMDNADLTTSSYFVAEPNKWAVSSVGWFADASNFSYRISSRSQFQNTLDSELFQTARISPSSLRYYGLGHENGNYRIKLQFAEIFFPGFTYLEKCGTKSF >DRNTG_17041.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22099502:22123429:1 gene:DRNTG_17041 transcript:DRNTG_17041.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLEMVFRSCEEMQRQRGLSGSTLPQGLNWLQQDVPCNQGSPIRKMHDYSFAIKCGGNQNLKASDGVLYEMDNADLTTSSYFVAEPNKWAVSSVGWFADASNFSYRISSRSQFQNTLDSELFQTARISPSSLRYYGLGHENGNYRIKLQFAEIFFPGFTYLEKCGTKSF >DRNTG_17041.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22105707:22123429:1 gene:DRNTG_17041 transcript:DRNTG_17041.15 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLEMVFRSCEEMQRQRGLSGSTLPQGLNWLQQDVPCNQGSPIRKMHDYSFAIKCGGNQNLKASDGVLYEMDNADLTTSSYFVAEPNKWAVSSVGWFADASNFSYRISSRSQFQNTLDSELFQTARISPSSLRYYGLGHENGNYRIKLQFAEIFFPGFTYLEKCGTKSF >DRNTG_17041.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22099502:22123429:1 gene:DRNTG_17041 transcript:DRNTG_17041.13 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLEMVFRSCEEMQRQRGLSGSTLPQGLNWLQQDVPCNQGSPIRKMHDYSFAIKCGGNQNLKASDGVLYEMDNADLTTSSYFVAEPNKWAVSSVGWFADASNFSYRISSRSQFQNTLDSELFQTARISPSSLRYYGLGHENGNYRIKLQFAEIFFPGFTYLEKCGTKSF >DRNTG_17041.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22099502:22123429:1 gene:DRNTG_17041 transcript:DRNTG_17041.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLPQGLNWLQQDVPCNQGSPIRKMHDYSFAIKCGGNQNLKASDGVLYEMDNADLTTSSYFVAEPNKWAVSSVGWFADASNFSYRISSRSQFQNTLDSELFQTARISPSSLRYYGLGHENGNYRIKLQFAEIFFPGFTYLEKCGTKSF >DRNTG_17041.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22099502:22123429:1 gene:DRNTG_17041 transcript:DRNTG_17041.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLEMVFRSCEEMQRQRGLSGSTLPQGLNWLQQDVPCNQGSPIRKMHDYSFAIKCGGNQNLKASDGVLYEMDNADLTTSSYFVAEPNKWAVSSVGWFADASNFSYRISSRSQFQNTLDSELFQTARISPSSLRYYGLGHENGNYRIKLQFAEIFFPGFTYLEKCGTKSF >DRNTG_17041.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22119062:22123429:1 gene:DRNTG_17041 transcript:DRNTG_17041.17 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLPQGLNWLQQDVPCNQGSPIRKMHDYSFAIKCGGNQNLKASDGVLYEMDNADLTTSSYFVAEPNKWAVSSVGWFADASNFSYRISSRSQFQNTLDSELFQTARISPSSLRYYGLGHENGNYRIKLQFAEIFFPGFTYLEKCGTKSF >DRNTG_17041.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22099502:22123429:1 gene:DRNTG_17041 transcript:DRNTG_17041.11 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLEMVFRSCEEMQRQRGLSGSTLPQGLNWLQQDVPCNQGSPIRKMHDYSFAIKCGGNQNLKASDGVLYEMDNADLTTSSYFVAEPNKWAVSSVGWFADASNFSYRISSRSQFQNTLDSELFQTARISPSSLRYYGLGHENGNYRIKLQFAEIFFPGFTYLEKCGTKSF >DRNTG_17041.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22105707:22123429:1 gene:DRNTG_17041 transcript:DRNTG_17041.16 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLEMVFRSCEEMQRQRGLSGSTLPQGLNWLQQDVPCNQGSPIRKMHDYSFAIKCGGNQNLKASDGVLYEMDNADLTTSSYFVAEPNKWAVSSVGWFADASNFSYRISSRSQFQNTLDSELFQTARISPSSLRYYGLGHENGNYRIKLQFAEIFFPGFTYLEKCGTKSF >DRNTG_17041.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22099502:22123429:1 gene:DRNTG_17041 transcript:DRNTG_17041.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLEMVFRSCEEMQRQRGLSGSTLPQGLNWLQQDVPCNQGSPIRKMHDYSFAIKCGGNQNLKASDGVLYEMDNADLTTSSYFVAEPNKWAVSSVGWFADASNFSYRISSRSQFQNTLDSELFQTARISPSSLRYYGLGHENGNYRIKLQFAEIFFPGFTYLEKCGTKSF >DRNTG_17041.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22099502:22123429:1 gene:DRNTG_17041 transcript:DRNTG_17041.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLEMVFRSCEEMQRQRGLSGSTLPQGLNWLQQDVPCNQGSPIRKMHDYSFAIKCGGNQNLKASDGVLYEMDNADLTTSSYFVAEPNKWAVSSVGWFADASNFSYRISSRSQFQNTLDSELFQTARISPSSLRYYGLGHENGNYRIKLQFAEIFFPGFTYLEKCGTKSF >DRNTG_17041.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22099502:22123429:1 gene:DRNTG_17041 transcript:DRNTG_17041.14 gene_biotype:protein_coding transcript_biotype:protein_coding MHDYSFAIKCGGNQNLKASDGVLYEMDNADLTTSSYFVAEPNKWAVSSVGWFADASNFSYRISSRSQFQNTLDSELFQTARISPSSLRYYGLGHENGNYRIKLQFAEIFFPGFTYLEKCGTKSF >DRNTG_19963.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:414013:423356:-1 gene:DRNTG_19963 transcript:DRNTG_19963.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMVPEARNDGLPDLQCQYLQKFRLYETRSNFYMIGRDKSGTFWRVLKIDRLEPSELNIQEDSTTYSESECFELLKRIHEGNKSTGGLKFVTNCYGIVGFIKFLGPYYMLLITKRRQIGAICGHTVYAVTKSEMIALPNPSVQSNIINTKNENRYKKLLCNVDLTKDFFFSYSYHVMRSLQKNLCYGETGQVLYETMFVWNEFLTRGIRNNLKNTLWTVALVYGFFKQAKLSISGKNFRLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDIPEEVPTQITSVVQNRGSIPLFWSQETSRLNLKPDIVLQKKDDNYEATRLHFENLAKRYGNPIIILNLIKTREKKPRESILRVEFANAIDTINRDLSEENRLRFLHWDLHKHSRSKAANVLSLLGKVAAYALNLTGFLYCQVTPALQLDGDLKCPVLLKDNAIGSPNEQSCHNTTDGDGSSNGSREDGLENNSSVDKTEDNDMPLVKPPKFQKGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGFIDAPRIDLDAPLADDLMSFYETMGDTLAHQYGGSAAHNKIFSERRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHFQPQQDKPALWELDSDQYFNVGRRDNAFSDENARSIIKRSLSDGNILCESNTPVSACNGGQRKLPNSSLSDRVRQSNAKGLSESTPEISTSESDISLARYTPTMPRKQLFADSDHSAFTEHGDASTCSNFLDLDWLSSSGNSCEEEMFTVVNSPTENLSTENVINGITPEATLPLSQHGSSVRGSETADLQMGYDAAENSENLSSEFSDSFVHWVLHGETLCH >DRNTG_14972.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24083339:24085042:1 gene:DRNTG_14972 transcript:DRNTG_14972.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQSLSSPQQWLHEQHQYPYWFIPIAIVTSCFFLFLFYKSSLSSKKKLNHPPCPLILPLVGNLHQLGSLPHRSLHALSQKHGPLMLLHLGKVPAIIISSAELAQEIMKTHDLIFSSRPFSSMANSLLYNSLDISFAPYGEYWRQVRRISVIHLLSLKRVESFKSIREEEVSLMLDKIHASQGLLVNFSEILVAVTNGVVCRVALGRKYDRSNRFHDMLTEFLALLGSFPLKDFIPWFGWVDRITGLDARVVNNSKEMDGFFEEVLEEHIHSKTSETSNLVDILLSLDVSLSKESIKAIILDMFSAGTDTTFTVLEWTMAELMRNPEVIEKVQEEIEGVVKGKAKVSEEDIDEMSYLKAVIKEVLRLHPPIPLLVPRESTEYVKLHGFDIPEKTRVVINAWAIGRDPKSWERPEEFMPERFLNSEVDFKGQHFEFIPFGAGRRGCPGIMFAISTIELAAAALLHHFDWKLPDGMRTEELDMSESSGLTVHKKTSLLVQATPR >DRNTG_20713.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17930782:17937960:-1 gene:DRNTG_20713 transcript:DRNTG_20713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPLDDPSVFVCNIIGHGSAAGWCFKRLRKLRLFDEMPVQTHQYPCLLPYSILLSATTAGDPSHPELQSTSTVPCWERSKPERGAEYWDGELYDNHYEVEDGRAGQSLVDAHSLMGTTVIIWAENSEALEKAFVEAITKLGRVGVETGSRGNIDGTMVSLIDSLF >DRNTG_17574.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000903.1:4714:10112:1 gene:DRNTG_17574 transcript:DRNTG_17574.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSGCANVTALVPLQIIEVLSLNPLDEYLGQLGNEDQEEPHLPLPSSNLKKPKEKVMCTNAIEKQKKDPMLKKIWREVHGRKKIGITHSHPTTQEKKLQVGTRGNPSILIEGDPELERTLRRNQKEPVQELSNLTDLEVEESENMAEQNEQQ >DRNTG_22918.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:12283096:12283491:-1 gene:DRNTG_22918 transcript:DRNTG_22918.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAPWVVAFICVYIAGFAWSWGPLGWLVPSEIFSLDIRSAGQSIMVSVNMFLTFIIWQIFLTMPYHMKFGLFYFFGGWVLIMTIFIALFLPETKNMPIEEMILVWKLHWFWGKFISDDDVHVANDMVAIA >DRNTG_30870.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2288422:2288941:1 gene:DRNTG_30870 transcript:DRNTG_30870.1 gene_biotype:protein_coding transcript_biotype:protein_coding DPRVVTPGFSHNRTPCQWLRAPLDQGKPGLTTPPPIRPDRGTPHCSVGLKSAV >DRNTG_27589.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:852962:853875:1 gene:DRNTG_27589 transcript:DRNTG_27589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKSHKMGSFRGHFFPGLWITLLGLWHTFNTIKAYKLRSTSKSNIGPTTKTWFPITMNSFPYWLKPLELHLLLVFTITTMAFTLHAYTSTLELDNLEHTVMLFFAVIYALSGIVIDLYGLSDDLSCLVGLLLASTFANELFLVHLHSSDHVGLEGHYHWLLQLVLVVSLVTTIHTSFITPSHHHHHHHHVMYQLL >DRNTG_07518.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19186493:19199054:-1 gene:DRNTG_07518 transcript:DRNTG_07518.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIDFEEVRIDLAKAQQRSPEFREINLIRQVPDIVDGRFKLFERYPADVSDRARIEAILYWHHSNLRRGLASLVFNSVLAPIFGLPLNMKAAKEAEKILDSSLSKNRKHLA >DRNTG_26642.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18241541:18251990:1 gene:DRNTG_26642 transcript:DRNTG_26642.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHR20 [Source:Projected from Arabidopsis thaliana (AT1G08600) UniProtKB/TrEMBL;Acc:A0A178VZQ9] MMLLNGLSQPLTGKPTTVNGESSDNYCNAIVQNLNADTTSKGTDNVNSKRSRDNEVLEAEMKKSRIEVIDIDDEVQVMEKKSSHISHEPNGHLQHKEMIDIIDVEILPSPNPKGPRFKEIKDSENFHCTACSNWLKASEVLKHPLLAVIICGSCKAFVEDKIQIKESFPSATYCSWCGENKDLIGCNSCKSLFCTQCIARNLGDECLSEAKSGWKCCSCSPSLLHNLISQCEEVTQDRMASSSGSGSEFSDAEIDMQLSHKKRRKRKIRRILDDTELGEETQEKIAIEKARQEHLKLMQEQSAVKSWNKNATSSNGIATEEATVGLLGDASEGYIVNVAREEDEEPVRIPPSMSARLKPHQVAGIRFMWENIIQSVRKVKSGDKGLGCILAHTMGLGKTFQVIAFLYAAMRSIDLGLRTALVVTPVNVLHNWYQEFLKWKPPELKPLRLFLLEEIPREKRAHYLVKWRAKGGVLLIGYAAFRNMSLGRHVKDRNIATQIFQALHYGPDILVCDEAHMIKNTKADITQALKQVKTQRRVALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHANSTSDDVKIMNQRSHILYEQLKGFVQRMGMNVVKKDLPTKSCFCNYRKAFSIAKKDV >DRNTG_26642.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18240517:18251990:1 gene:DRNTG_26642 transcript:DRNTG_26642.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHR20 [Source:Projected from Arabidopsis thaliana (AT1G08600) UniProtKB/TrEMBL;Acc:A0A178VZQ9] MMLLNGLSQPLTGKPTTVNGESSDNYCNAIVQNLNADTTSKGTDNVNSKRSRDNEVLEAEMKKSRIEVIDIDDEVQVMEKKSSHISHEPNGHLQHKEMIDIIDVEILPSPNPKGPRFKEIKDSENFHCTACSNWLKASEVLKHPLLAVIICGSCKAFVEDKIQIKESFPSATYCSWCGENKDLIGCNSCKSLFCTQCIARNLGDECLSEAKSGWKCCSCSPSLLHNLISQCEEVTQDRMASSSGSGSEFSDAEIDMQLSHKKRRKRKIRRILDDTELGEETQEKIAIEKARQEHLKLMQEQSAVKSWNKNATSSNGIATEEATVGLLGDASEGYIVNVAREEDEEPVRIPPSMSARLKPHQVAGIRFMWENIIQSVRKVKSGDKGLGCILAHTMGLGKTFQVIAFLYAAMRSIDLGLRTALVVTPVNVLHNWYQEFLKWKPPELKPLRLFLLEEIPREKRAHYLVKWRAKGGVLLIGYAAFRNMSLGRHVKDRNIATQIFQALHYGPDILVCDEAHMIKNTKADITQALKQVKTQRRVALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHANSTSDDVKIMNQRSHILYEQLKGFVQRMGMNVVKKDLPTKSCFCNYRKAFSIAKKDV >DRNTG_26642.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18234266:18251990:1 gene:DRNTG_26642 transcript:DRNTG_26642.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHR20 [Source:Projected from Arabidopsis thaliana (AT1G08600) UniProtKB/TrEMBL;Acc:A0A178VZQ9] MEMDTVAPVEKVKEISDPLENEGDVEVKNSEEKELAKLNMQNEATKDVDPKDHRKEDGISDVNQGEGEDVGKEEPESSEEENGSDSDDMFIDDSDSEHFPSFENDDEPNAEVPLTEEEIEELVAEFLEVESKAAEAQESLEKEKLAQVESDVRAELAECLHGDELEKAVSTEMGTLIKQWETVLDDLETQSALLLEQLDGAGIDLPSLYKWIETQAPDGCCTEAWKKRAHWVGSQVTEELNQSVKDAEEYLQSSRPVRRQHGRLLEEGASGFLARKLTIEESRGDTKENSEKDWHSFSELFQSHNCGEGTSFGGKEWASVYLASTPRQAASLGLKLPGVDEVEEIGDIECNFNDPFYADAVANEKEIDLSEEQKRNFRKVKEEDDAKDTRKLQHHLKQRRKRNSQVPKVVEGMMLLNGLSQPLTGKPTTVNGESSDNYCNAIVQNLNADTTSKGTDNVNSKRSRDNEVLEAEMKKSRIEVIDIDDEVQVMEKKSSHISHEPNGHLQHKEMIDIIDVEILPSPNPKGPRFKEIKDSENFHCTACSNWLKASEVLKHPLLAVIICGSCKAFVEDKIQIKESFPSATYCSWCGENKDLIGCNSCKSLFCTQCIARNLGDECLSEAKSGWKCCSCSPSLLHNLISQCEEVTQDRMASSSGSGSEFSDAEIDMQLSHKKRRKRKIRRILDDTELGEETQEKIAIEKARQEHLKLMQEQSAVKSWNKNATSSNGIATEEATVGLLGDASEGYIVNVAREEDEEPVRIPPSMSARLKPHQVAGIRFMWENIIQSVRKVKSGDKGLGCILAHTMGLGKTFQVIAFLYAAMRSIDLGLRTALVVTPVNVLHNWYQEFLKWKPPELKPLRLFLLEEIPREKRAHYLVKWRAKGGVLLIGYAAFRNMSLGRHVKDRNIATQIFQALHYGPDILVCDEAHMIKNTKADITQALKQVKTQRRVALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHANSTSDDVKIMNQRSHILYEQLKGFVQRMGMNVVKKDLPTKSCFCNYRKAFSIAKKDV >DRNTG_12549.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21062695:21065641:-1 gene:DRNTG_12549 transcript:DRNTG_12549.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNEGEAQEKHVLLVPYPSQGHVNPILQFAKRLAFFGLKPTLAVTRFLLKTSPPEPGLVGIEPISDGFDEGGYAQAESIPAYLARFESVGPETLDAVVRSGKYKAVIYDAFLPWVLEVGKKYGLVTAAFFTQSCAVDAVLGHVYKGRVSLPWTEPELLLDGLPGLGPNDLPSFLSDPVDGPYPAYLQLVLNQFLGLEKADEVFINSVYELEPQEAEWMKKEWGAKTIGPTIPSKYLDNQIPGDTHYGFHLFAGADRCNEWLDAQPPRSVIYVSFGSMANLDHESMRELAKGLEITGKPFLWVVRASERGKLEENFNGEGKGRVCEWSEQLEVLGHGSVGCFVTHCGWNSTVEALAAGMAMVAVPQWTDQPMNGKYVEGVWGVGVRARKDENGVVRGEEIGRCVMEVMEEGDKSGEIWENASKWKRLSVEAMMEGGSSYNNILGFVEKYYGRVRV >DRNTG_15212.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23271398:23272392:-1 gene:DRNTG_15212 transcript:DRNTG_15212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDDFGIKKGPWTPEEDKKLVEYILKNGHDNWRHLPKNAGLNRCGKSCRLRWINYLRPDIKRGKFTEDEEQLIIQLHSLLGNKWSAIARRLKGRTDNEIKNYWNTHLKKKLMLNGIDPMTHRRRSDLDILASIPNLITASANINVSNLNTLRYQLLQSLVQLMSSSSSTPNINFLEFLGFFIHSKSCPHARFELASTFQPLSNLCQSKLSSSNTRNRCK >DRNTG_10195.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2203031:2204442:1 gene:DRNTG_10195 transcript:DRNTG_10195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGRRKMHMPRVQPLTPLMEGPYLNSESEDDQWKKKKKKKNKKKEMSWVTFRSLVGIRKDKDLLPMRLNLKLMLGVLACPLAPIPLPLQPSYYHFSIKDSPTESTCARYIVHQYLAATGWMRKREKKMKSLYVSGKVKMVGCESVCTMGRNGKMVVEDERNGGSESGCFVLWKMSTAMWLVEMVVEGCKVVAGSDGKVIWRHLPWSGTHLARGPLRPLRRIVQGLDPNTTASMFAKAQCVGEKIVGNDDCFVLKVCTDREAIEERNEASAEVIRHVLYGYFSQKSGLLIYIEDSHLTRVQSPAMETVYWETTFGSSIEDYREVDGVFIAHRGTSTATVFRFGEARDIHSRTKMEETWRIDDVVFDVPGLSCDCFIPPTDVLN >DRNTG_00455.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27252274:27267015:1 gene:DRNTG_00455 transcript:DRNTG_00455.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRSSPPGTTHGVLLLNSNGMDINYAGSHITYKVIGGVLDFYFFAGPSPLLVMEQYTDLIGRPAPMPYWSFGFHQCHFGYKNVSDLESVVAGYAKAGIPLEVMWTDSDYMDASKDFTLDPVNFPQENMTKFVNQLHENRQRYVMIIDPGININKNYSTFLRGLKADIFLKRNEVNYRGSV >DRNTG_00455.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27265418:27267015:1 gene:DRNTG_00455 transcript:DRNTG_00455.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRSSPPGTTHGVLLLNSNGMDINYAGSHITYKVIGGVLDFYFFAGPSPLLVMEQYTDLIGRPAPMPYWSFGFHQCHFGYKNVSDLESVVAGYAKAGIPLEVMWTDSDYMDASKDFTLDPVNFPQENMTKFVNQLHENRQRYVMIIDPGININKNYSTFLRGLKADIFLKRNEVNYRGSV >DRNTG_00455.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27252274:27267015:1 gene:DRNTG_00455 transcript:DRNTG_00455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRSSPPGTTHGVLLLNSNGMDINYAGSHITYKVIGGVLDFYFFAGPSPLLVMEQYTDLIGRPAPMPYWSFGFHQCHFGYKNVSDLESVVAGYAKAGIPLEVMWTDSDYMDASKDFTLDPVNFPQENMTKFVNQLHENRQRYVMIIDPGININKNYSTFLRGLKADIFLKRNEVNYRGSV >DRNTG_00455.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27258328:27260125:1 gene:DRNTG_00455 transcript:DRNTG_00455.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRSSPPGTAHGVLLLNSNGMDINYAGSHITYKAIGGVLDFYFFAGPSPLSVIEQYTDLIGRPAPMPYWSFGFHQCRYGYKNVSDLESVVAGYAKAGIPLEVMWTDIDYMDGYKDFTLDPVNFPLEKMTKFVNQLHENGQRYVMIIDPGISTNKSYPTFLRGMKAGIFLKRNGVNYLGSVWPGDVYFPDFWNPKAATFWSKEIEIFRKKIPVDGLWIDMNEISNFIDPSSENKLDDPPYKTNNSGVGRPLNNKTVPASSIHYGNLTEYDVHNLYGFLESKATHDALVKDTGKRAFVLSRSTFVGSGKYTAHWTGDNAATWNDLAYSIPTMLSFGLFGIPMVGADICGFARDTNEELCRRWIQVLLLLYVNICLFSRYTNFFN >DRNTG_00455.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27252274:27260125:1 gene:DRNTG_00455 transcript:DRNTG_00455.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRSSPPGTAHGVLLLNSNGMDINYAGSHITYKAIGGVLDFYFFAGPSPLSVIEQYTDLIGRPAPMPYWSFGFHQCRYGYKNVSDLESVVAGYAKAGIPLEVMWTDIDYMDGYKDFTLDPVNFPLEKMTKFVNQLHENGQRYVMIIDPGISTNKSYPTFLRGMKAGIFLKRNGVNYLGSVWPGDVYFPDFWNPKAATFWSKEIEIFRKKIPVDGLWIDMNEISNFIDPSSENKLDDPPYKTNNSGVGRPLNNKTVPASSIHYGNLTEYDVHNLYGFLESKATHDALVKDTGKRAFVLSRSTFVGSGKYTAHWTGDNAATWNDLAYSIPTMLSFGLFGIPMVGADICGFARDTNEELCRRWIQVLLLLYVNICLFSRYTNFFN >DRNTG_00455.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27252274:27260125:1 gene:DRNTG_00455 transcript:DRNTG_00455.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRSSPPGTAHGVLLLNSNGMDINYAGSHITYKAIGGVLDFYFFAGPSPLSVIEQYTDLIGRPAPMPYWSFGFHQCRYGYKNVSDLESVVAGYAKAGIPLEVMWTDIDYMDGYKDFTLDPVNFPLEKMTKFVNQLHENGQRYVMIIDPGISTNKSYPTFLRGMKAGIFLKRNGVNYLGSVWPGDVYFPDFWNPKAATFWSKEIEIFRKKIPVDGLWIDMNEISNFIDPSSENKLDDPPYKTNNSGVGRPLNNKTVPASSIHYGNLTEYDVHNLYGFLESKATHDALVKDTGKRAFVLSRSTFVGSGKYTAHWTGDNAATWNDLAYSIPTMLSFGLFGIPMVGADICGFARDTNEELCRRWIQVLLLLYVNICLFSRYTNFFN >DRNTG_00455.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27252274:27253883:1 gene:DRNTG_00455 transcript:DRNTG_00455.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRSSPPGTTHGVLLLNSNGMDINYAGSYITYKVIGGVLDFYFFAGPTPLSVIEQYTDLIGRPAPMPYWSFGFHQCRWGYKNVSDLESVVAGYAKAGIPLEVMWTDIDYMDASKDFTLDPVNFPLEKMIKFVNQLHENGQRYVAIIDPGISTNKSYPTFLRGLKADVFLKRNGVNYLGSVWPGDVYFPDFWNPKAATFWSKEIEIFRKMIPIDGLWIDMNEISNFIDPLPENELDDPPYKINNSGVQRPLSNKTVPASSIHYGNLTEYDVHNLYGFLESKTTHDALVKDTGKRAFVLSRSTFVGSGKYTAHWTGDNAATWNDLAYSIPTMLSFGLFGIPMVGADICGFSGSTSEELCRRWIQVLMLLYINICLFS >DRNTG_27523.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21102943:21103928:1 gene:DRNTG_27523 transcript:DRNTG_27523.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMTKLSIEDYASFCENPRLRSSFTISQLNQIIFMHGFLKLHHTPKDHMLGLIETLDLVSLTRSTIQQGGASSRRSRLLVDELQRDMDAIGWGACRVGSVHTFGSSPVSVATPPITNDLSQHHRPNDVPPVAGKKRLGPGRRGRPPKTKKLPDLSPLFLIPLDSIFIENGGSF >DRNTG_12507.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:431130:431452:-1 gene:DRNTG_12507 transcript:DRNTG_12507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEICNTKAPFLSIVNLRPDEVSHPLISSVFLSSGPEAINIRPQAEWKLPKKEAIKDAIVPILIAFNAK >DRNTG_17341.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32092451:32095561:1 gene:DRNTG_17341 transcript:DRNTG_17341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLMGRRVSHQFKPPLSSWVSRGRATGRNRVFLRVCFVSKRMQENMEGCLCSVIELGLLCSKESPKERISMKDVALKLKKIRLELNTKTSMA >DRNTG_05398.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:25055650:25070775:-1 gene:DRNTG_05398 transcript:DRNTG_05398.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSLRSSRNSRKFTLPLTASMSSSLRSSRPSSNFSLPLTASMSSSLRTS >DRNTG_31362.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28409309:28412309:-1 gene:DRNTG_31362 transcript:DRNTG_31362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDQDLGFFANFLGIFIFVLVIAYHYVMADPKYEGN >DRNTG_31362.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28409309:28412185:-1 gene:DRNTG_31362 transcript:DRNTG_31362.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDQDLGFFANFLGIFIFVLVIAYHYVMADPKYEGN >DRNTG_12629.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22998566:23003037:-1 gene:DRNTG_12629 transcript:DRNTG_12629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHAKKFSTLPMVHHKSPSTGQLITVGSCGEYPVENPSNSGRGGKQRLRWTSDLHDRFVDAIAQLGGPDRATPKGVLRVMGVPGITIYHVKSHLQKYRLAKYLPESPAEGSKDDKDPVDVLGSVDSTPGVEINEALKMQMEVQKRLHEQLEVQRQLQLRIEAQGRYLQKIIEEQQKLGDTTTSCELSAANVKQKANEFPPVSHDGLGDDESPPVKKQKLIDQSLEYTEPASSQVVTKSDI >DRNTG_33808.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2835557:2840488:-1 gene:DRNTG_33808 transcript:DRNTG_33808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGPQFGRGHSDDRFYNAAKARRNPNQSFFRPRSLAASSAPVVGVKGKVVVLDGRVPENRAGSEPPCKTAAVSSSSSVPEVLPPCNLAQFIKFTSPSVPAQYPSKTRMREWKTELLPYFGLEDLWESFQEWSAYGAGVPLLLNGSDSVVQYYVPYLSGMQLYVELNRQRDSTRRQGEESDGDCCRDSSSDASSDCERERCLKYTVNSNQNHLGSASVIKMERLSLRDEHMVPQEEFSSDEGEAGNGPGHLLFEYFERSPPYSREPLADKISDLACRFSELKTLRSCDLLPTSWMSVAWYPIYRIPTGPTLRDLDACFLTFHLLSTQVKGVGSATGPSVSHPHGPNSAPKISLSTFGLSSYKFKGSIWTANFGYERQLSNSLLQKADTWLRNRQVDHPDYQFFASHGAIRR >DRNTG_23175.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1875437:1880505:-1 gene:DRNTG_23175 transcript:DRNTG_23175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDYLAQSDRRRTYYSYYITSPNSPLKIIKYSHQEFFSLVPLEARECSKKSLVLSLTLILSRKWTHNITTILFFAFGLWSMWEGFTEDGDGDELAEVEAKLDADLKADKNGAKGGPKAEDLKKQQRPFLTQFFSPILLKIATIGLAADEDPFGVILGGILGQILCTVAAVLGGKSLASQISEKMVAFSSGILFLVFGVQSLLSVVEES >DRNTG_21537.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1436959:1439369:-1 gene:DRNTG_21537 transcript:DRNTG_21537.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNGRLIVRINLIYSSILSLYGFWLSCKNKIVLIFVYF >DRNTG_21537.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1436959:1437368:-1 gene:DRNTG_21537 transcript:DRNTG_21537.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNGRLIVRINLIYSSILSLYGFWLSCKNKIVLIFVYF >DRNTG_33368.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20872103:20875820:1 gene:DRNTG_33368 transcript:DRNTG_33368.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIADEDTVTGFLLAGVGNVDLRRKTNYLIVDSKTTVKAIEDAFKEFTSKEDIAIVLISQYVANMIRFLVDSYNKPVPAILEIPSKDHPYDQLA >DRNTG_26313.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21368998:21375854:-1 gene:DRNTG_26313 transcript:DRNTG_26313.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G49680) UniProtKB/Swiss-Prot;Acc:Q9M401] MPSMVSLLPTTRCEAVEGRTIRVDLADTDWDNLGFGLVPTDYMYVMKCTQNGTFTEGKLQPYGPVELSPSSGVLNYGQGLFEGLKAYRKEDGSILLFRPEENALRMKSGAERMCMPSPTVEQFVDAVKLTVLANKHWVPPVGKGSLYIRPLLIGSGPILGLAPAPEYTFLIFVSPVGNYFKEGLAPINLIVETDFHRATPGGTGGVKTIGNYASVLKAQAIAKAKGYSDVLYLDSVHKKYVEEVSSCNIFIVKGNVISTPELLGTILPGITRKSIIQVARNQGYQVDERLVSVDDLLDADEVFCTGTAVVLSPVGSITYHGKRVEYGHRGVGVVSQKLYCALTDLQKGITEDNMGWTMELN >DRNTG_28837.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28248285:28250404:-1 gene:DRNTG_28837 transcript:DRNTG_28837.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPATLALGPCAALPPAATVAGKARRTLQLVLPGSPHLQSSASSLPKSCILRNRRALSFSPAAVAVDSDQSGSIQTEEKEKPMKYYFVVANAKFMLDEEEHFKELLFERLRLFGERDKEQDFWLVIEPKFLDKFPNITKRLNRPAVALVSTNGPWITFMKLRLDRVLADNFDANSLEEALDFNPVDLKFDKPEKWVAPYPKYEFGWWEPFLPPVNSKTSS >DRNTG_09707.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:779359:782634:-1 gene:DRNTG_09707 transcript:DRNTG_09707.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPGAVLSPGESIIATVFKFVEHPENDEKQDQKSKVKFKIVSLKVNEPMEYVPELFDEQKDQVAVEQILRVVFLDVNRPSPQLEKLKRLLAEAEAALESRKKPPEDTGPRIASEGLVIDEWKERRERYLARQQVEGVVDSV >DRNTG_09707.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:779359:782634:-1 gene:DRNTG_09707 transcript:DRNTG_09707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKGSGSSSVSSVARSLLPTRRRLRLDPATKLYFPYEPGKQVQSAVRIKNTSKSHAAFKFQTTAPKSCFMRPPGAVLSPGESIIATVFKFVEHPENDEKQDQKSKVKFKIVSLKVNEPMEYVPELFDEQKDQVAVEQILRVVFLDVNRPSPQLEKLKRLLAEAEAALESRKKPPEDTGPRIASEGLVIDEWKERRERYLARQQVEGVVDSV >DRNTG_09707.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:779359:780968:-1 gene:DRNTG_09707 transcript:DRNTG_09707.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPGAVLSPGESIIATVFKFVEHPENDEKQDQKSKVKFKIVSLKVNEPMEYVPELFDEQKDQVAVEQILRVVFLDVNRPSPQLEKLKRLLAEAEAALESRKKPPEDTGPRIASEGLVIDEWKERRERYLARQQVEGVVDSV >DRNTG_26291.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22924618:22927862:1 gene:DRNTG_26291 transcript:DRNTG_26291.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLQVLQWRPSLLPSPRASISFRTATRCISVASLPLETSAGSGGGELTARERRKLRNERRDRSSNWREEVEERLIKKPKKGKSSTSEGLNLDKLALLGPQWWIVRVARTNAHETAERISRALVRNFPGVAFKVYYPTVREQRVLKNGSCTTKLKPICPGCIFLHCVLNKEIHDCIRECDAVGGFLGFQVGNNKRKINRPKPVGINDMEAIFQQVREEQENADQAFVELQKAHNKGPAIDSREDLNSPSSKVSRNSRKGVKSIDLLVDDSNRLLTPGASICVLSGPFAEFTGYLKKLNRKNGKATVGFMLFGKESTLEVEIDQIVVETS >DRNTG_26291.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22924618:22927862:1 gene:DRNTG_26291 transcript:DRNTG_26291.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLQVLQWRPSLLPSPRASISFRTATRCISVASLPLETSAGSGGGELTARERRKLRNERRDRSSNWREEVEERLIKKPKKGKSSTSEGLNLDKLALLGPQWWIVRVARTNAHETAERISRALVRNFPGVAFKVYYPTVREQRVLKNGSCTTKLKPICPGCIFLHCVLNKEIHDCIRECDAVGGFLGFQVGNNKRKINRPKPVGINDMEAIFQQVREEQENADQAFVELQKAHNKGPAIDSREDLNSPSSKVSRNSRKGVKSIDLLVDDSNRLLTPGASICVLSGPFAEFTGYLKKLNRKNGKATVGFMLFGKESTLEVEIDQIVVETS >DRNTG_26291.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22924618:22925676:1 gene:DRNTG_26291 transcript:DRNTG_26291.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLQVLQWRPSLLPSPRASISFRTATRCISVASLPLETSAGSGGGELTARERRKLRNERRDRSSNWREEVEERLIKKPKKGKSSTSEGLNLDKLALLGPQWWIVRVARTNAHETAERISRALVRNFPGVAFKVYYPTVREQRVLKNGSCTTKLKPICPGCIFLHCVLNKEIHDCIRECDAVGGFLGFQVGNKYVL >DRNTG_26291.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22924618:22928006:1 gene:DRNTG_26291 transcript:DRNTG_26291.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLQVLQWRPSLLPSPRASISFRTATRCISVASLPLETSAGSGGGELTARERRKLRNERRDRSSNWREEVEERLIKKPKKGKSSTSEGLNLDKLALLGPQWWIVRVARTNAHETAERISRALVRNFPGVAFKVYYPTVREQRVLKNGSCTTKLKPICPGCIFLHCVLNKEIHDCIRECDAVGGFLGFQVGNNKRKINRPKPVGINDMEAIFQQVREEQENADQAFVELQKAHNKGPAIDSREDLNSPSSKVSRNSRKGVKSIDLLVDDSNRLLTPGASICVLSGPFAEFTGYLKKLNRKNGKATVGFMLFGKESTLEVEIDQIVVETS >DRNTG_26291.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22924618:22928006:1 gene:DRNTG_26291 transcript:DRNTG_26291.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLQVLQWRPSLLPSPRASISFRTATRCISVASLPLETSAGSGGGELTARERRKLRNERRDRSSNWREEVEERLIKKPKKGKSSTSEGLNLDKLALLGPQWWIVRVARTNAHETAERISRALVRNFPGVAFKVYYPTVREQRVLKNGSCTTKLKPICPGCIFLHCVLNKEIHDCIRECDAVGGFLGFQVGNNKRKINRPKPVGINDMEAIFQQVREEQENADQAFVELQKAHNKGPAIDSREDLNSPSSKVSRNSRKGVKSIDLLVDDSNRLLTPGASICVLSGPFAEFTGYLKKLNRKNGKATVGFMLFGKESTLEVEIDQIVVETS >DRNTG_26291.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22924618:22927862:1 gene:DRNTG_26291 transcript:DRNTG_26291.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLQVLQWRPSLLPSPRASISFRTATRCISVASLPLETSAGSGGGELTARERRKLRNERRDRSSNWREEVEERLIKKPKKGKSSTSEGLNLDKLALLGPQWWIVRVARTNAHETAERISRALVRNFPGVAFKVYYPTVREQRVLKNGSCTTKLKPICPGCIFLHCVLNKEIHDCIRECDAVGGFLGFQVGNNKRKINRPKPVGINDMEAIFQQVREEQENADQAFVELQKAHNKGPAIDSREDLNSPSSKVSRNSRKGVKSIDLLVDDSNRLLTPGASICVLSGPFAEFTGYLKKLNRKNGKATVGFMLFGKESTLEVEIDQIVVETS >DRNTG_07494.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3869400:3873706:1 gene:DRNTG_07494 transcript:DRNTG_07494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKCSETAMLVIDMQNDFILPGGPMHVAGGQTVVPSVIRAVSFARQRGIFVIWVVREHDPWGRDVELFRQHLYVNGEGPTAKGSKGAQLVNGLIPEEKDYKIVKTRFSAFFNTHLHHFLQTSGIKNLVIVGVQTPNCIRQTVYDAVALNYQSVTVIVDATAAATPEVHIANIMDMKNIGVETPTLEEWCTHT >DRNTG_35371.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:28:32256:32765:-1 gene:DRNTG_35371 transcript:DRNTG_35371.1 gene_biotype:protein_coding transcript_biotype:protein_coding KIQARDQLNKVSKSETHHENPTELHYDP >DRNTG_15802.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000786.1:35087:40248:1 gene:DRNTG_15802 transcript:DRNTG_15802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIRRRSPTPAISPESGTHTGVQPAHAQFAGPRAQAAPLAESTPATVSHGWSGRARGLPSRVLWLATPARALGIGHATAWPGTRSLDGIAKASTCQRGRVACPCTRVELVSLLGAPRAYCGHMGCKVLRFSDFSTRTLRKDDTVWLLRTLQWERLPLSSTSFLKVKSMEILPKELFPLASFRQSKCLVKTPPPRAQDVSKVASSKTLAEIGLNKVIPSLTGASRPHGAHEPYCLF >DRNTG_21798.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17448411:17451553:1 gene:DRNTG_21798 transcript:DRNTG_21798.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQSKEEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAATAYDMAAIEYRGLNAVTNFDLSRYIKWLRPNPENSNPNLISIPNPNPNPNFNSIIQKVSPSDHHQHADEQVQSSHPHNQQSSVETSVTPQSKTLTNTTSSALGLLLQSSKFKEMLERTSSATEVPTMLPLKFNNRSSKCSFPDDIQTYFEGGNFIEGDDAIFGDINTFTSNPIFQCELDTLANH >DRNTG_21798.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17447524:17451553:1 gene:DRNTG_21798 transcript:DRNTG_21798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQKNNLSASTYSNNNSITTTTMNNINNTNNALKVKRTRKSVPRDSPSQRSSIFRGVTRHRWTGRYEAHLWDKNCWNESQNKKGRQVYLGAYDDEEAAAHAYDLAALKYWGHDTILNFPLSTYQEELKEMEGQSKEEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAATAYDMAAIEYRGLNAVTNFDLSRYIKWLRPNPENSNPNLISIPNPNPNPNFNSIIQKVSPSDHHQHADEQVQSSHPHNQQSSVETSVTPQSKTLTNTTSSALGLLLQSSKFKEMLERTSSATEVPTMLPLKFNNRSSKCSFPDDIQTYFEGGNFIEGDDAIFGDINTFTSNPIFQCELDTLANH >DRNTG_21798.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17449044:17451553:1 gene:DRNTG_21798 transcript:DRNTG_21798.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIEYRGLNAVTNFDLSRYIKWLRPNPENSNPNLISIPNPNPNPNFNSIIQKVSPSDHHQHADEQVQSSHPHNQQSSVETSVTPQSKTLTNTTSSALGLLLQSSKFKEMLERTSSATEVPTMLPLKFNNRSSKCSFPDDIQTYFEGGNFIEGDDAIFGDINTFTSNPIFQCELDTLANH >DRNTG_06074.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8379842:8382983:-1 gene:DRNTG_06074 transcript:DRNTG_06074.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNFNFSPPINVWITNKKSDTLKQAMENVVSSKSDCTILIKDSGEPEAVVTLRDIISQFSPPSMDSRVDGGGFFRSALEQASCHVEDGMVVCGK >DRNTG_22196.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1443301:1454317:1 gene:DRNTG_22196 transcript:DRNTG_22196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMDDEKGPQEETPENVAKGELLYCGGTSWDSMGRKTSAPAGMNLVSPTRLRPLIGVDIRFVASGCTSCHCVALDVEGRCYTWGRNEKGQLGHGDTRQRSLPTIVSQLSKYQIVTAGAGRNHTVVVTDDGKSYSFGWNKHGQLGSGSLRNEIEPSPVLCQVPQVTNAVCGADFTVWLSSVEGSSILTAGLPQYGQLGHGTDNEYNVKDGSVKLAYEAQPRPRAIAAFFEKIVVKVACGTNHTVAVDSSGFVYTWGFGGYGRLGHREQKDEWVPRLVEVFQKRNVLPPNAVVSAGSVNSACTAGGGQLYMWGKLKTTGDDWMYPKPVMDLSGWNIRCMDSGNMHHVIGADDACISWGHAQYGELGYGPLGPKSSANPKKVEILDHMHVMSVACGLGLSVIVVDRAKASDRLEQLEIYECDAAVQGDEGQDEVVATEKKTSRKAAGNSSTNSKKRKNKDSSSESEEVEDEYEDSEEESNEDSDDENGDLNTASRKGGGKSSGRGRGRGAKKTATETKNTGKGRGRPKKTDKSLAQHEAANTSSGRRGRGRPRKSNR >DRNTG_21498.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001221.1:28766:29789:1 gene:DRNTG_21498 transcript:DRNTG_21498.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVLTMPGWHGPQTLSRSPTSPVGSTVVLP >DRNTG_33791.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:130145:138090:1 gene:DRNTG_33791 transcript:DRNTG_33791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPTDLAPILEAASDFASYPGLQNDASAKEFLDRFPLPLLFSILQTKADVSRVEGTIVACLERLFKTKFGASLIPHYIAFVQAGLQAKSQAIQCLACKAVHYMLVNAEGEGHVKIILTSNTYPLLLNCFLEGDELTSAASLEAINSIAQTPEGIKIIFPHGSEESVQLKVVAAHCSSLARIRILSLASKLFSISSLAATAVYDSNLLSLFEDQINQQDDMLMTLSALELLYELVESPHSARFLLKTTLLQLLTGLISNASVASIIRARAFMISGRLLSRADVYTTIDESRVAALILGINERLELVDDLDLNECESILEALGLIGTSQQGAALLLTSPSNVARHVIEAAFDQHGRGKQLAGLHALGNICGVERSADSVLLNDNAEEYLKRMIYATAAETPKLTPSGLFLSVLQQEPETRLAAYRAMSGLVARPWCLMEICSKQEIITIVTDASLETSKDGMDVRYQCCVAINKALSASNMSPATGIIEKLQEAVRRGPYLTKTRVEPQPVVMTAERF >DRNTG_06033.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32694353:32696830:1 gene:DRNTG_06033 transcript:DRNTG_06033.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rac-like GTP-binding protein ARAC7 [Source:Projected from Arabidopsis thaliana (AT4G28950) UniProtKB/Swiss-Prot;Acc:O82480] MSATKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVSVDGSIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISRASYENVLKKWMPELRRFAPNVPIVLVGTKLDLRDDRGYLADHPGAVAITPAQGEELRKQIGASAYIECSSKTQQNVKAVFDTAIKVVLQPPRRKEIAKKKRRRRSGCTLLTCLCGNTCDA >DRNTG_22422.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23302202:23310912:1 gene:DRNTG_22422 transcript:DRNTG_22422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGILLLLLLLLLSLLFWEPVLGANVTYDHRALVIDGTRRVLISGSIHYPRSTPEMWPDLIEKSKAGGLDVIETYVFWNIHEPVRNQYDFEGRKDLVRFVKTVAEAGLLVHLRIGPYVCAEWNYGGFPLWLHFIPGIKFRTDSQPFKAEMQKFTAKIVDMMKQENLYASQGGPIILSQIENEYGNVQSAYGLAAKTYINWSASMATSLDTGVPWVMCQQADAPDPIINTCNGFYCDQFTPNSNKKPKMWTENWTGWFLSFGGAVPYRPVQDIAFAVARFFQRGGTFQNYYMYHGGTNFGHTSGGPFIATSYDYDAPLDEYGIIRQPKWGHLKELHKAIKLCEKALVATDPTSSSLAPNVEAHVYNTTIGCAAFLANIGIQSDVTVIFNGKSFHLPAWSVSILPDCQNVVLNTAQINSQTTSQQIKYQTLKHKRTDESDHSSEALQSDWSFVNEPIGISESDVFAKPGLLEQINTTADMSDYLWYSISIEISGDEPFLFNGTQTNLHVESLGHVLHVFVNKNYSGRGIGNSGNAKVTLEKTIMLSRGNNTIDLLSATVGLQNYGAFFDLSGAGITGPVKLGGQSGSLDLSTKEWTYQIGLKGEKSRQFEDFEASQWVSLSTIPTNQPLIWYKTTFDAPEGNDPVAIDFTGMGKGEAWVNGQSIGRYWPKNIAPQSGCSSCNYGGAFKSDKCLKNCGKPSQQLYHVPRSFMKRDSNTLVLFEEMGGDPTKISFVTKQIGSVCAHVSELHPGPVDAWNSSGQNRGTLGPMLTLECSYPNQLISSIRFASFGTPHGTCGNFNHGKCSSANALAVVQQACIGLKYCNIGVSAKSFGDPCKGVTKSLAVEAVCS >DRNTG_32470.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2553812:2559029:-1 gene:DRNTG_32470 transcript:DRNTG_32470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFLFLEAMLPLGIIAGFLCVMGNTQYYIHKAVHGRPKHVGNDMWDVAMERRDKKIVEEYSSASN >DRNTG_32470.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2553757:2559029:-1 gene:DRNTG_32470 transcript:DRNTG_32470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFLFLEAMLPLGIIAGFLCVMGNTQYYIHKAVHGRPKHVGNDMWDVAMERRDKKIVEEYSSASN >DRNTG_32470.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2553812:2559029:-1 gene:DRNTG_32470 transcript:DRNTG_32470.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFLFLEAMLPLGIIAGFLCVMGNTQYYIHKAVHGRPKHVGNDMWDVAMERRDKKIVEEYSSASN >DRNTG_32470.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2553560:2559029:-1 gene:DRNTG_32470 transcript:DRNTG_32470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFLFLEAMLPLGIIAGFLCVMGNTQYYIHKAVHGRPKHVGNDMWDVAMERRDKKIVEEYSSASN >DRNTG_32470.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2553757:2559029:-1 gene:DRNTG_32470 transcript:DRNTG_32470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFLFLEAMLPLGIIAGFLCVMGNTQYYIHKAVHGRPKHVGNDMWDVAMERRDKKIVEEYSSASN >DRNTG_18324.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1759880:1763689:1 gene:DRNTG_18324 transcript:DRNTG_18324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLMLILVLFGATLAGFSDAQPTPVSGLSYTFYKSTCPKLESIIRNQLKKDFNSNISLAAGLLRLHFHDCFVQGCDASVLLDGSASGPSEQEAPPNLTLQQAAFKAINDLRALIDAQCGQVVSCADVVAIAARDSVALSGGPDYKVPLGRRDSLNFATQNATSASLPPPTSNVSFLITTLNKINLTVTDLVTLSGGHTIGRAHCTSFTNRLYPTQDTNMDKTFANNLKLTCPAANTTNTTVNDIRTPNTFDNKYYVDLMNRQGLFTSDQGLYSDSRTKSLVLKFALNQTQFFEQFALSMVKMGQLSVLTGSQGEIRTNCSARNSGSGLWSVLEPLVETASTF >DRNTG_05811.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19071032:19073944:1 gene:DRNTG_05811 transcript:DRNTG_05811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNGIQDPTSLHAGQILDIPLPACSSSIKTDAIDSRLLLPNGSYTLTANNCILCSCSSSTWQ >DRNTG_34240.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1453651:1454317:1 gene:DRNTG_34240 transcript:DRNTG_34240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSGDEDGDETWLVPINTIPENWKAGDSYDSGVQLN >DRNTG_34240.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1452830:1453280:1 gene:DRNTG_34240 transcript:DRNTG_34240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSSSKLLVLHFLFALYLCQSPARADTTAFIHSRAAYYPNSDQQGTSDGACGYKTFGATLNGGDVSAASNLYRNGVGCGACYQV >DRNTG_34240.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1452830:1454317:1 gene:DRNTG_34240 transcript:DRNTG_34240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTADAGASLLSLGVVDIEYRRISCSWPNKNITFKIDEASNFPGYLAFLIEYQQGAKDITAVQICETVNLTCKLLNRSYGTVWTANPPPSGPLLIKMLVSGDEDGDETWLVPINTIPENWKAGDSYDSGVQLN >DRNTG_27416.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11382850:11383850:-1 gene:DRNTG_27416 transcript:DRNTG_27416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLTIILGALLILSTPNLLIHAKTTPIAPGPTPAPLNLTGVLEKGGQYTTFLRLLKETQVGQQVQSQLNNSYDGLTIFAPTDNAFSNLKAGTLNSLNAQEQVSLILYHVLPRYYSLVTFETASNPVRTQASGSNGVYAVNITSSTNQVNVSTGIVNTPVQTVLYSDFPLAVYSVDKVLLPYDLFGPKPPASAPEPADHSPKKPTKKSPAADAPSEEAVASPSTASPSERTTGWRTLVVVMGFLVFFCMGGHL >DRNTG_28117.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22135712:22138212:-1 gene:DRNTG_28117 transcript:DRNTG_28117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRKTLAKIYSQIRAPMAATTSSDALRRRMVSESGVEEGLLRRMLQRRPIFQAAVPPDRWIPTGDRLIERIRGLNRDRIRLDCLVPPPEEKEEEEEVRGRVSMEEVKKVLRASQMETVRARLREIPRSSIAYSEFVEICCKESGSQHGMGIARALDESGAVIVLGNVVFLRPDQVVRAIESVVTPNPNPKTQKNDPRKKELEELEKKKTEIDNKAETQVKRELWFGLGLLILQTGGFMRLTFWELSWDVMEPICFFVTSIYFMAGYAFFLRTSKDPSFEGFFESRFVAKQRRLMLDGGFDAQRFYDLKKAFGRSSGREELVSCDCHYKRTSFIAAPH >DRNTG_08779.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7282866:7284168:1 gene:DRNTG_08779 transcript:DRNTG_08779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYQPGGSLASWGSTPPLATNGSGLTMPTMYWQGYYTSSGVMPHLQPPSVLRPSGLPVPPMQQSMQYPAMNTLIPSASTNLTEYASPLLPPVSSGPNVAANLPSSASSQASVLASSPSNMLATNQASVLVPNHASVLVPSQASVLAPVQANASPPNQVGVLGPIQVSIPTQASVLAPIQANNPTQASVLVPEKLSIMLPNKPPALTLAPNMPPLPPLTSSVESISNVSQTLPSLVGSKPRPIHSTSIPNQTTSQSMASTISSSISSQVASVPVVNPGQLLQPLSSSLPLSQPPLIAHKEAAIKPSEAKVKIPLPELAPVEVKEPILPLPTSIDRKVWS >DRNTG_29190.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3098786:3099063:-1 gene:DRNTG_29190 transcript:DRNTG_29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAEEQINWVLFIIPVVLLLLIRWLSSFDSFEGLFGFLPYDYRRRSYFASYQEGSSPWGVLAIVVLLLVLVSFQSDFRDMWRF >DRNTG_18107.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:395614:397978:1 gene:DRNTG_18107 transcript:DRNTG_18107.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIYSLFIISKSGGLIFYKDYGSSGRMDTNDSLRLASLWHSMHAISQQLSPTTGCTGIELLQADTFDLHCFQALTGTKFFVVCEPGAQQMESLLKVIYELYTDYVLKNPFYEMEMPIRCELFDLNLSQAIQKDRVALLGR >DRNTG_22997.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1746652:1748038:1 gene:DRNTG_22997 transcript:DRNTG_22997.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRFSLVDAAGNPIISMQQKMFSMHRRWQVFRGDSSDEKDLLFSAKKSSMIQFKTQLDVFLASNTTEHTCDFKIKGSYFERACTIYLGESSTIVAQMSRKYTVTNIVLGRDTFGVTVYPNIDYAFIVSLVIILDEINKDRED >DRNTG_30295.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5539800:5540661:1 gene:DRNTG_30295 transcript:DRNTG_30295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREEIAIKLQLINSSSKEGISNFLKTKNFELLLDDIWAIVDLVELGIPHPHSNDNSTKQYKRKVIFTIRSEELCTKMRADEKIKVECLEPQEAWDLFKENVNLDVIESDVRMNEIARQVMNECSGLSLTLILIGKAMSNKKNFEEWDYVLPL >DRNTG_10781.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000460.1:103043:103600:1 gene:DRNTG_10781 transcript:DRNTG_10781.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEAWKPIVKVVHDKGGVFFCQLWHVGRVSNYGKVQSFTHGNIYVFTLTIFEIFVKIGYQPNGQAPISSTDRPILAQTHPDGKVEEYSPPQRLRTNEIPQIVIDFRLAARNDIEA >DRNTG_03192.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18975667:18976084:-1 gene:DRNTG_03192 transcript:DRNTG_03192.2 gene_biotype:protein_coding transcript_biotype:protein_coding ECGYTRKVNEKVDVYSFGVVLLELTTGREARDGGDEGSLADWAWQHFQDGKQLIYGIIDDEIKNPAYMQEIDTVFILGILCTGKTPSMRPTMKQVLQVLELARFRPISRVGPGRDFVLYNQT >DRNTG_03192.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18975667:18977261:-1 gene:DRNTG_03192 transcript:DRNTG_03192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISSAAVAVGRCIRSSSGIVPERSWPLRRSETARSWISDGKRSSERKSRFWELSGTPTYIVKLIACISNGDSMLLVYEYMHNKSLDRWLHAKYRSDQSNKNVKLDWPTRLSIAIGAAKGLCYMHNDCKPPVVHRDVKSSNILLDDEFKPKIADFGLARMLVNAGEPESVSTMAGTFGYMAPECGYTRKVNEKVDVYSFGVVLLELTTGREARDGGDEGSLADWAWQHFQDGKQLIYGIIDDEIKNPAYMQEIDTVFILGILCTGKTPSMRPTMKQVLQVLELARFRPISRVGPGRDFVLYNQT >DRNTG_27660.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8531602:8537085:-1 gene:DRNTG_27660 transcript:DRNTG_27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISVPSSLPIASCFPTRTMPVSPQDCFITRKSSKKKRKSSSRLVVVAVSQSQLERSKSTVDREKLKEREARERKEEVNRKVASKKAISIILRKEAAKAVIEKKRGGTNSRKLLPHTILEALHERITALRWESALKVFELLRDQLWYKPNTGTYIKLIVMLGKCKQPQRAHALFQAMIDEGCIVNHESYTALASAYSRSGLLDEAFSLLNEMKNTNGCQPDIYTYSILIKSCLQVFAFDRVQELLSDMKSEGIMPNTVTYNTLVDAYGKAGRFEDMESTLREMLLDGSCKPDVWTMNATLRAFGGSGQIEMMEKCYDKFQSAGINPNIKTFNILLDSYGKSQKYEKMSSVMEYMQKYYFSWTLVTYNVVIDAFGRAGDLRQMEYIFRLMKSERIKPNCVTLCSLVRAYGRVEDVDKIKGVLRYIENSDVTLDIVFFNCLVDAYGRAGCLAEMKEVLEIMKSSGCKPDKITYSTMIKAYQGRGLRDHAQELRDVIRQEINVNSRKVSSL >DRNTG_27660.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8531602:8537085:-1 gene:DRNTG_27660 transcript:DRNTG_27660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMISVPSSLPIASCFPTRTMPVSPQDCFITRKSSKKKRKSSSRLVVVAVSQSQLERSKSTVDREKLKEREARERKEEVNRKVASKKAISIILRKEAAKAVIEKKRGGTNSRKLLPHTILEALHERITALRWESALKVFELLRDQLWYKPNTGTYIKLIVMLGKCKQPQRAHALFQAMIDEGCIVNHESYTALASAYSRSGLLDEAFSLLNEMKNTNGCQPDIYTYSILIKSCLQVFAFDRVQELLSDMKSEGIMPNTVTYNTLVDAYGKAGRFEDMESTLREMLLDGSCKPDVWTMNATLRAFGGSGQIEMMEKCYDKFQSAGINPNIKTFNILLDSYGKSQKYEKMSSVMEYMQKYYFSWTLVTYNVVIDAFGRAGDLRQMEYIFRLMKSERIKPNCVTLCSLVRAYGRVEDVDKIKGVLRYIENSDVTLDIVFFNCLVDAYGRAGCLAEMKEVLEIMKSSGCKPDKITYSTMIKAYQGRGLRDHAQELRDVIRQEINVNSRKVSSL >DRNTG_13111.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17383552:17385713:1 gene:DRNTG_13111 transcript:DRNTG_13111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFLNGLLDDVVIVEFRRRVCPGCFICSEGRRSRLLQTDEMPNTCAGLLLRDTSGKGKVEFYRGCAAKMAGDAS >DRNTG_20601.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16071281:16104907:-1 gene:DRNTG_20601 transcript:DRNTG_20601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWISFHWVTGGSYYQLGSQLFVHLLWRFCLHLSLTDLTRDSTALIQFKDAKSRS >DRNTG_26619.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:331236:333277:1 gene:DRNTG_26619 transcript:DRNTG_26619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQLLHNETDLISSVSEMNHSSGRFRLRMQKDGNLLMYPVGTDFTSENAYWSAQTFFEPYSQLNLGQDGTLFLSDNNQSQIRNLTQGDQSYNPNTVHLARIEPNGMLHVYAYDLWENTSEVLATFPDEQCKVKGICGFNSYCTLSGEKPACLCLPHFDYVNDEDTQAGCQRNFISSTCVGVGDNDTYYNTTMDDVKDVQWLTEFPFPTLKFTSKDDCRQSCLDDCYCDVAIYNGNDNSCSKQSLPLKYGNKNTSTSNPNLTFIKRTERRDTTAGGFDVVFRVKKELSGGPLIVFIAVISGLIIFILVFVFIVFNCQVGRYRMTRIRSKELALTDEIAPISFSYYELYEATEGYKEEVGKGAFGTVFRGTLPSTGKLVAVKRLEKVVEEGEREFQTEMKSIGRTHHRNLVRLLGFCN >DRNTG_21980.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:858213:883452:1 gene:DRNTG_21980 transcript:DRNTG_21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH14 [Source:Projected from Arabidopsis thaliana (AT5G61140) UniProtKB/Swiss-Prot;Acc:Q9FNQ1] MMLLPLPRLTSSLRTPFDVDQAYLHRKSILQSRQSKSAKDDLELARKLVPRWDEASSNVRQTYKQFLGALVELINGETSSEEFHGIAKTIYDFFTGPDAACGDISHKIAEKRGELQMLIGYSISDSTLQKVVSLAQRLFSLQFTDDQTDLILAKVADEGAYYHEFGNDITFQSPARFLVETPLENGYPLATDSWTALVSPHEDNDHQQVLSSHESSVSHGTVNLRWLKNVCDQIVEGGGSQLSGDELAMAICRVLQSNKAGDEIAGDLLDLVGDDAFEAVQNLLSHRKDLVDAINHGMSMLKSEKVQPNTQPRMPSYGTQVTIQTESDRQIEKLRRKEEKRHKRGGDYGNMHDFSGESFSSLLAASERKQPLDDVMGTGQGSNSSLVSSLPQGTVRLYRKNYEEVRIPPTPTAPMRPDEKLIDIKELDEFAQAAFQGYKSLNRIQSRIFHTTYHSNENILVCAPTGAGKTNIAMISVLHEIKQHFRDGILHKNEFKIVYVAPMKALAAEVTSTFSHRLSPLNLTVRELTGDMQLSKNELEETQMIVTTPEKWDVITRKSSDMSLSMLVKLLIIDEVHLLNDDRGSVIEALVARTLRQVESSQSMIRIVGLSATLPNYLEVAQFLRVNPETGLFFFDSSYRPVPLAQQYIGISEKDYAKRINLYNTICYEKVLDALKQGHQAMVFVHSRKDTGKTARILIEIAQKAGELMLFVNEEHPQFGLRKKEVVKSRNREVVELFGHGFGIHHAGMLRADRSLTERLFSDGLLKVLVCTATLAWGVNLPAHTVIIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITSHDKLAYYLRLLTSQLPIESQFINSLKDNLNAEVALGTVTNVKEACAWLGYTYLFIRMKLNPLVYGIAWDEVVADPLLSFKQRSLVVDAARALDKAKMMRFDEKSGNFYCTELGRIASHYYLQYSSVETYNEMLRRHMSDSEVINMVAHSSEFENIVIREEEQEELETLLRVSCPLEVKGGPTDKYGKISILIQVYISRGSIDSFSLISDAAYISASLARIMRALFEICLRRGLV >DRNTG_21980.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:860030:883452:1 gene:DRNTG_21980 transcript:DRNTG_21980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH14 [Source:Projected from Arabidopsis thaliana (AT5G61140) UniProtKB/Swiss-Prot;Acc:Q9FNQ1] MSSFMLQYCKAVDRQIWPHQHPLRQFDRELSADILRKLEERGADLDRLYEMEEKDIGLLIRYAPGGKVVKQYLGYFPYISLSATVSPITRTVLKVDLVISPDFVWKDRFHGTAISWWILVEDSENDHIYHSELFTLTKKLARGESQKLSFTVPIFEPHPPQYFIRAICDSWLNAEALFTVSFHNLTLPETQITHTELLDLKPLPVSSLGNETYETLYKFSHFNPIQTQIFHVLYHSDSNVLLGAPTGSGKTISAELAMLRLFDSQPDMKVIYIAPLKAIVRERMYDWRKRLVNQLGKKMVEMTGDVTPDLMSLMTADIIISTPEKWDGISRNWQNRSYVMKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERSIRFVGLSTALANARDLADWLGVEDIGLFNFKPSVRPVPLEVHIQGYPGKFYCPRMNSMNKPAYAAICTHSPSKPVLIFVSSRRQTRLTALDLIQHAASDEHPRQFLNIQEEALEMVLFQVTDNNLRHTLRFGIGLHHAGLNDKDRSLVEELFANNKIQILVCTSTLAWGVNLPAHLVIIKGTEYYDGKSRRYVDFPITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESNLREHLHDHINAEIVSGTICQKEDALHYLTWTYLFRRLVVNPAYYGLEDAESNTLNSYLSRLVQNTFEDLGDSGCIKMNDDSVEPLMLGSIASQYYLSYLTVSMFGSNISTDTSLEVFLHILSGASEYDELPVRHNEENLNAKLSKLVPYRVDENRLDDPHVKANLLFQAHFARLELPISDYVTDLKSVLDQSIRIIQAMIDISANSGWLLSSLTCMHLLQMVMQGLWYEKDSSLWMLPSMNDDHLSYLNKLGILTLRDLLVLPDMKLQSLLRQSSASELYKDLQHFPRVQVKLRIQKSDNEGSKAPVLNIKLEKTNFRRSTSRAFTPRFPKVKDEAWWLVLGNFTTSELYALKRISFSDQTTTRMELPSANINLQETKLLLVSDCYLGLEQEFSIGKLSQ >DRNTG_21980.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:864566:883452:1 gene:DRNTG_21980 transcript:DRNTG_21980.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH14 [Source:Projected from Arabidopsis thaliana (AT5G61140) UniProtKB/Swiss-Prot;Acc:Q9FNQ1] MSSFMLQYCKAVDRQIWPHQHPLRQFDRELSADILRKLEERGADLDRLYEMEEKDIGLLIRYAPGGKVVKQYLGYFPYISLSATVSPITRTVLKVDLVISPDFVWKDRFHGTAISWWILVEDSENDHIYHSELFTLTKKLARGESQKLSFTVPIFEPHPPQYFIRAICDSWLNAEALFTVSFHNLTLPETQITHTELLDLKPLPVSSLGNETYETLYKFSHFNPIQTQIFHVLYHSDSNVLLGAPTGSGKTISAELAMLRLFDSQPDMKVIYIAPLKAIVRERMYDWRKRLVNQLGKKMVEMTGDVTPDLMSLMTADIIISTPEKWDGISRNWQNRSYVMKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERSIRFVGLSTALANARDLADWLGVEDIGLFNFKPSVRPVPLEVHIQGYPGKFYCPRMNSMNKPAYAAICTHSPSKPVLIFVSSRRQTRLTALDLIQHAASDEHPRQFLNIQEEALEMVLFQVTDNNLRHTLRFGIGLHHAGLNDKDRSLVEELFANNKIQILVCTSTLAWGVNLPAHLVIIKGTEYYDGKSRRYVDFPITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESNLREHLHDHINAEIVSGTICQKEDALHYLTWTYLFRRLVVNPAYYGLEDAESNTLNSYLSRLVQNTFEDLGDSGCIKMNDDSVEPLMLGSIASQYYLSYLTVSMFGSNISTDTSLEVFLHILSGASEYDELPVRHNEENLNAKLSKLVPYRVDENRLDDPHVKANLLFQAHFARLELPISDYVTDLKSVLDQSIRIIQAMIDISANSGWLLSSLTCMHLLQMVMQGLWYEKDSSLWMLPSMNDDHLSYLNKLGILTLRDLLVLPDMKLQSLLRQSSASELYKDLQHFPRVQVKLRIQKSDNEGSKAPVLNIKLEKTNFRRSTSRAFTPRFPKVKDEAWWLVLGNFTTSELYALKRISFSDQTTTRMELPSANINLQETKLLLVSDCYLGLEQEFSIGKLSQ >DRNTG_21980.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:869666:879634:1 gene:DRNTG_21980 transcript:DRNTG_21980.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH14 [Source:Projected from Arabidopsis thaliana (AT5G61140) UniProtKB/Swiss-Prot;Acc:Q9FNQ1] MSSFMLQYCKAVDRQIWPHQHPLRQFDRELSADILRKLEERGADLDRLYEMEEKDIGLLIRYAPGGKVVKQYLGYFPYISLSATVSPITRTVLKVDLVISPDFVWKDRFHGTAISWWILVEDSENDHIYHSELFTLTKKLARGESQKLSFTVPIFEPHPPQYFIRAICDSWLNAEALFTVSFHNLTLPETQITHTELLDLKPLPVSSLGNETYETLYKFSHFNPIQTQIFHVLYHSDSNVLLGAPTGSGKTISAELAMLRLFDSQPDMKVIYIAPLKAIVRERMYDWRKRLVNQLGKKMVEMTGDVTPDLMSLMTADIIISTPEKWDGISRNWQNRSYVMKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERSIRFVGLSTALANARDLADWLGVEDIGLFNFKPSVRPVPLEVHIQGYPGKFYCPRMNSMNKPAYAAICTHSPSKPVLIFVSSRRQTRLTALDLIQHAASDEHPRQFLNIQEEALEMVLFQVTDNNLRHTLRFGIGLHHAGLNDKDRSLVEELFANNKIQILVCTSTLAWGVNLPAHLVIIKGTEYYDGKSRRYVDFPITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESNLREHLHDHINAEIVSGTICQKEDALHYLTWTYLFRRLVVNPAYYGLEDAESNTLNSYLSRLVQNTFEDLGDSGCIKMNDDSVEPLMLGSIASQYYLSYLTVSMFGSNISTDTSLEVFLHILSGASEYDELPVRHNEENLNAKLSKLVPYRVDENRLDDPHVKANLLFQAHFARLELPISDYVTDLKSVLDQSIRIIQAMIDISANSGWLLSSLTCMHLLQMVMQ >DRNTG_21980.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:877711:883452:1 gene:DRNTG_21980 transcript:DRNTG_21980.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH14 [Source:Projected from Arabidopsis thaliana (AT5G61140) UniProtKB/Swiss-Prot;Acc:Q9FNQ1] MNDDSVEPLMLGSIASQYYLSYLTVSMFGSNISTDTSLEVFLHILSGASEYDELPVRHNEENLNAKLSKLVPYRVDENRLDDPHVKANLLFQAHFARLELPISDYVTDLKSVLDQSIRIIQAMIDISANSGWLLSSLTCMHLLQMVMQGLWYEKDSSLWMLPSMNDDHLSYLNKLGILTLRDLLVLPDMKLQSLLRQSSASELYKDLQHFPRVQVKLRIQKSDNEGSKAPVLNIKLEKTNFRRSTSRAFTPRFPKVKDEAWWLVLGNFTTSELYALKRISFSDQTTTRMELPSANINLQETKLLLVSDCYLGLEQEFSIGKLSQ >DRNTG_21980.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:864322:883452:1 gene:DRNTG_21980 transcript:DRNTG_21980.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH14 [Source:Projected from Arabidopsis thaliana (AT5G61140) UniProtKB/Swiss-Prot;Acc:Q9FNQ1] MSSFMLQYCKAVDRQIWPHQHPLRQFDRELSADILRKLEERGADLDRLYEMEEKDIGLLIRYAPGGKVVKQYLGYFPYISLSATVSPITRTVLKVDLVISPDFVWKDRFHGTAISWWILVEDSENDHIYHSELFTLTKKLARGESQKLSFTVPIFEPHPPQYFIRAICDSWLNAEALFTVSFHNLTLPETQITHTELLDLKPLPVSSLGNETYETLYKFSHFNPIQTQIFHVLYHSDSNVLLGAPTGSGKTISAELAMLRLFDSQPDMKVIYIAPLKAIVRERMYDWRKRLVNQLGKKMVEMTGDVTPDLMSLMTADIIISTPEKWDGISRNWQNRSYVMKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERSIRFVGLSTALANARDLADWLGVEDIGLFNFKPSVRPVPLEVHIQGYPGKFYCPRMNSMNKPAYAAICTHSPSKPVLIFVSSRRQTRLTALDLIQHAASDEHPRQFLNIQEEALEMVLFQVTDNNLRHTLRFGIGLHHAGLNDKDRSLVEELFANNKIQILVCTSTLAWGVNLPAHLVIIKGTEYYDGKSRRYVDFPITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESNLREHLHDHINAEIVSGTICQKEDALHYLTWTYLFRRLVVNPAYYGLEDAESNTLNSYLSRLVQNTFEDLGDSGCIKMNDDSVEPLMLGSIASQYYLSYLTVSMFGSNISTDTSLEVFLHILSGASEYDELPVRHNEENLNAKLSKLVPYRVDENRLDDPHVKANLLFQAHFARLELPISDYVTDLKSVLDQSIRIIQAMIDISANSGWLLSSLTCMHLLQMVMQGLWYEKDSSLWMLPSMNDDHLSYLNKLGILTLRDLLVLPDMKLQSLLRQSSASELYKDLQHFPRVQVKLRIQKSDNEGSKAPVLNIKLEKTNFRRSTSRAFTPRFPKVKDEAWWLVLGNFTTSELYALKRISFSDQTTTRMELPSANINLQETKLLLVSDCYLGLEQEFSIGKLSQ >DRNTG_21980.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:858605:875466:1 gene:DRNTG_21980 transcript:DRNTG_21980.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH14 [Source:Projected from Arabidopsis thaliana (AT5G61140) UniProtKB/Swiss-Prot;Acc:Q9FNQ1] MLIGYSISDSTLQKVVSLAQRLFSLQFTDDQTDLILAKVADEGAYYHEFGNDITFQSPARFLVETPLENGYPLATDSWTALVSPHEDNDHQQVLSSHESSVSHGTVNLRWLKNVCDQIVEGGGSQLSGDELAMAICRVLQSNKAGDEIAGDLLDLVGDDAFEAVQNLLSHRKDLVDAINHGMSMLKSEKVQPNTQPRMPSYGTQVTIQTESDRQIEKLRRKEEKRHKRGGDYGNMHDFSGESFSSLLAASERKQPLDDVMGTGQGSNSSLVSSLPQGTVRLYRKNYEEVRIPPTPTAPMRPDEKLIDIKELDEFAQAAFQGYKSLNRIQSRIFHTTYHSNENILVCAPTGAGKTNIAMISVLHEIKQHFRDGILHKNEFKIVYVAPMKALAAEVTSTFSHRLSPLNLTVRELTGDMQLSKNELEETQMIVTTPEKWDVITRKSSDMSLSMLVKLLIIDEVHLLNDDRGSVIEALVARTLRQVESSQSMIRIVGLSATLPNYLEVLF >DRNTG_21980.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:861115:883452:1 gene:DRNTG_21980 transcript:DRNTG_21980.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH14 [Source:Projected from Arabidopsis thaliana (AT5G61140) UniProtKB/Swiss-Prot;Acc:Q9FNQ1] MSSFMLQYCKAVDRQIWPHQHPLRQFDRELSADILRKLEERGADLDRLYEMEEKDIGLLIRYAPGGKVVKQYLGYFPYISLSATVSPITRTVLKVDLVISPDFVWKDRFHGTAISWWILVEDSENDHIYHSELFTLTKKLARGESQKLSFTVPIFEPHPPQYFIRAICDSWLNAEALFTVSFHNLTLPETQITHTELLDLKPLPVSSLGNETYETLYKFSHFNPIQTQIFHVLYHSDSNVLLGAPTGSGKTISAELAMLRLFDSQPDMKVIYIAPLKAIVRERMYDWRKRLVNQLGKKMVEMTGDVTPDLMSLMTADIIISTPEKWDGISRNWQNRSYVMKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERSIRFVGLSTALANARDLADWLGVEDIGLFNFKPSVRPVPLEVHIQGYPGKFYCPRMNSMNKPAYAAICTHSPSKPVLIFVSSRRQTRLTALDLIQHAASDEHPRQFLNIQEEALEMVLFQVTDNNLRHTLRFGIGLHHAGLNDKDRSLVEELFANNKIQILVCTSTLAWGVNLPAHLVIIKGTEYYDGKSRRYVDFPITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESNLREHLHDHINAEIVSGTICQKEDALHYLTWTYLFRRLVVNPAYYGLEDAESNTLNSYLSRLVQNTFEDLGDSGCIKMNDDSVEPLMLGSIASQYYLSYLTVSMFGSNISTDTSLEVFLHILSGASEYDELPVRHNEENLNAKLSKLVPYRVDENRLDDPHVKANLLFQAHFARLELPISDYVTDLKSVLDQSIRIIQAMIDISANSGWLLSSLTCMHLLQMVMQGLWYEKDSSLWMLPSMNDDHLSYLNKLGILTLRDLLVLPDMKLQSLLRQSSASELYKDLQHFPRVQVKLRIQKSDNEGSKAPVLNIKLEKTNFRRSTSRAFTPRFPKVKDEAWWLVLGNFTTSELYALKRISFSDQTTTRMELPSANINLQETKLLLVSDCYLGLEQEFSIGKLSQ >DRNTG_21980.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:862135:883452:1 gene:DRNTG_21980 transcript:DRNTG_21980.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH14 [Source:Projected from Arabidopsis thaliana (AT5G61140) UniProtKB/Swiss-Prot;Acc:Q9FNQ1] MSSFMLQYCKAVDRQIWPHQHPLRQFDRELSADILRKLEERGADLDRLYEMEEKDIGLLIRYAPGGKVVKQYLGYFPYISLSATVSPITRTVLKVDLVISPDFVWKDRFHGTAISWWILVEDSENDHIYHSELFTLTKKLARGESQKLSFTVPIFEPHPPQYFIRAICDSWLNAEALFTVSFHNLTLPETQITHTELLDLKPLPVSSLGNETYETLYKFSHFNPIQTQIFHVLYHSDSNVLLGAPTGSGKTISAELAMLRLFDSQPDMKVIYIAPLKAIVRERMYDWRKRLVNQLGKKMVEMTGDVTPDLMSLMTADIIISTPEKWDGISRNWQNRSYVMKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERSIRFVGLSTALANARDLADWLGVEDIGLFNFKPSVRPVPLEVHIQGYPGKFYCPRMNSMNKPAYAAICTHSPSKPVLIFVSSRRQTRLTALDLIQHAASDEHPRQFLNIQEEALEMVLFQVTDNNLRHTLRFGIGLHHAGLNDKDRSLVEELFANNKIQILVCTSTLAWGVNLPAHLVIIKGTEYYDGKSRRYVDFPITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESNLREHLHDHINAEIVSGTICQKEDALHYLTWTYLFRRLVVNPAYYGLEDAESNTLNSYLSRLVQNTFEDLGDSGCIKMNDDSVEPLMLGSIASQYYLSYLTVSMFGSNISTDTSLEVFLHILSGASEYDELPVRHNEENLNAKLSKLVPYRVDENRLDDPHVKANLLFQAHFARLELPISDYVTDLKSVLDQSIRIIQAMIDISANSGWLLSSLTCMHLLQMVMQGLWYEKDSSLWMLPSMNDDHLSYLNKLGILTLRDLLVLPDMKLQSLLRQSSASELYKDLQHFPRVQVKLRIQKSDNEGSKAPVLNIKLEKTNFRRSTSRAFTPRFPKVKDEAWWLVLGNFTTSELYALKRISFSDQTTTRMELPSANINLQETKLLLVSDCYLGLEQEFSIGKLSQ >DRNTG_21980.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:875537:883452:1 gene:DRNTG_21980 transcript:DRNTG_21980.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH14 [Source:Projected from Arabidopsis thaliana (AT5G61140) UniProtKB/Swiss-Prot;Acc:Q9FNQ1] MNSMNKPAYAAICTHSPSKPVLIFVSSRRQTRLTALDLIQHAASDEHPRQFLNIQEEALEMVLFQVTDNNLRHTLRFGIGLHHAGLNDKDRSLVEELFANNKIQILVCTSTLAWGVNLPAHLVIIKGTEYYDGKSRRYVDFPITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESNLREHLHDHINAEIVSGTICQKEDALHYLTWTYLFRRLVVNPAYYGLEDAESNTLNSYLSRLVQNTFEDLGDSGCIKMNDDSVEPLMLGSIASQYYLSYLTVSMFGSNISTDTSLEVFLHILSGASEYDELPVRHNEENLNAKLSKLVPYRVDENRLDDPHVKANLLFQAHFARLELPISDYVTDLKSVLDQSIRIIQAMIDISANSGWLLSSLTCMHLLQMVMQGLWYEKDSSLWMLPSMNDDHLSYLNKLGILTLRDLLVLPDMKLQSLLRQSSASELYKDLQHFPRVQVKLRIQKSDNEGSKAPVLNIKLEKTNFRRSTSRAFTPRFPKVKDEAWWLVLGNFTTSELYALKRISFSDQTTTRMELPSANINLQETKLLLVSDCYLGLEQEFSIGKLSQ >DRNTG_21980.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:867222:883452:1 gene:DRNTG_21980 transcript:DRNTG_21980.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH14 [Source:Projected from Arabidopsis thaliana (AT5G61140) UniProtKB/Swiss-Prot;Acc:Q9FNQ1] MSSFMLQYCKAVDRQIWPHQHPLRQFDRELSADILRKLEERGADLDRLYEMEEKDIGLLIRYAPGGKVVKQYLGYFPYISLSATVSPITRTVLKVDLVISPDFVWKDRFHGTAISWWILVEDSENDHIYHSELFTLTKKLARGESQKLSFTVPIFEPHPPQYFIRAICDSWLNAEALFTVSFHNLTLPETQITHTELLDLKPLPVSSLGNETYETLYKFSHFNPIQTQIFHVLYHSDSNVLLGAPTGSGKTISAELAMLRLFDSQPDMKVIYIAPLKAIVRERMYDWRKRLVNQLGKKMVEMTGDVTPDLMSLMTADIIISTPEKWDGISRNWQNRSYVMKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERSIRFVGLSTALANARDLADWLGVEDIGLFNFKPSVRPVPLEVHIQGYPGKFYCPRMNSMNKPAYAAICTHSPSKPVLIFVSSRRQTRLTALDLIQHAASDEHPRQFLNIQEEALEMVLFQVTDNNLRHTLRFGIGLHHAGLNDKDRSLVEELFANNKIQILVCTSTLAWGVNLPAHLVIIKGTEYYDGKSRRYVDFPITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESNLREHLHDHINAEIVSGTICQKEDALHYLTWTYLFRRLVVNPAYYGLEDAESNTLNSYLSRLVQNTFEDLGDSGCIKMNDDSVEPLMLGSIASQYYLSYLTVSMFGSNISTDTSLEVFLHILSGASEYDELPVRHNEENLNAKLSKLVPYRVDENRLDDPHVKANLLFQAHFARLELPISDYVTDLKSVLDQSIRIIQAMIDISANSGWLLSSLTCMHLLQMVMQGLWYEKDSSLWMLPSMNDDHLSYLNKLGILTLRDLLVLPDMKLQSLLRQSSASELYKDLQHFPRVQVKLRIQKSDNEGSKAPVLNIKLEKTNFRRSTSRAFTPRFPKVKDEAWWLVLGNFTTSELYALKRISFSDQTTTRMELPSANINLQETKLLLVSDCYLGLEQEFSIGKLSQ >DRNTG_21980.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:863673:883452:1 gene:DRNTG_21980 transcript:DRNTG_21980.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH14 [Source:Projected from Arabidopsis thaliana (AT5G61140) UniProtKB/Swiss-Prot;Acc:Q9FNQ1] MSSFMLQYCKAVDRQIWPHQHPLRQFDRELSADILRKLEERGADLDRLYEMEEKDIGLLIRYAPGGKVVKQYLGYFPYISLSATVSPITRTVLKVDLVISPDFVWKDRFHGTAISWWILVEDSENDHIYHSELFTLTKKLARGESQKLSFTVPIFEPHPPQYFIRAICDSWLNAEALFTVSFHNLTLPETQITHTELLDLKPLPVSSLGNETYETLYKFSHFNPIQTQIFHVLYHSDSNVLLGAPTGSGKTISAELAMLRLFDSQPDMKVIYIAPLKAIVRERMYDWRKRLVNQLGKKMVEMTGDVTPDLMSLMTADIIISTPEKWDGISRNWQNRSYVMKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERSIRFVGLSTALANARDLADWLGVEDIGLFNFKPSVRPVPLEVHIQGYPGKFYCPRMNSMNKPAYAAICTHSPSKPVLIFVSSRRQTRLTALDLIQHAASDEHPRQFLNIQEEALEMVLFQVTDNNLRHTLRFGIGLHHAGLNDKDRSLVEELFANNKIQILVCTSTLAWGVNLPAHLVIIKGTEYYDGKSRRYVDFPITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESNLREHLHDHINAEIVSGTICQKEDALHYLTWTYLFRRLVVNPAYYGLEDAESNTLNSYLSRLVQNTFEDLGDSGCIKMNDDSVEPLMLGSIASQYYLSYLTVSMFGSNISTDTSLEVFLHILSGASEYDELPVRHNEENLNAKLSKLVPYRVDENRLDDPHVKANLLFQAHFARLELPISDYVTDLKSVLDQSIRIIQAMIDISANSGWLLSSLTCMHLLQMVMQGLWYEKDSSLWMLPSMNDDHLSYLNKLGILTLRDLLVLPDMKLQSLLRQSSASELYKDLQHFPRVQVKLRIQKSDNEGSKAPVLNIKLEKTNFRRSTSRAFTPRFPKVKDEAWWLVLGNFTTSELYALKRISFSDQTTTRMELPSANINLQETKLLLVSDCYLGLEQEFSIGKLSQ >DRNTG_03365.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18001085:18001427:1 gene:DRNTG_03365 transcript:DRNTG_03365.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNPTRSSIQHQKHLPLNLLVKRSSKIQASRENRS >DRNTG_03365.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18001085:18001387:1 gene:DRNTG_03365 transcript:DRNTG_03365.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNPTRSSIQHQKHLPLNLLVKRSSKIQASRENRS >DRNTG_03365.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18001050:18001387:1 gene:DRNTG_03365 transcript:DRNTG_03365.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNPTRSSIQHQKHLPLNLLVKRSSKIQASRENRS >DRNTG_03365.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18001050:18001427:1 gene:DRNTG_03365 transcript:DRNTG_03365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNPTRSSIQHQKHLPLNLLVKRSSKIQASRENRS >DRNTG_19645.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3103186:3107528:-1 gene:DRNTG_19645 transcript:DRNTG_19645.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEIEKKVLAYLKKKGFLQTELALQEELGRFSSSFHPDVPRPESGALRYHDGYSKLRSWAYSSLDLYKHELLRVLYPVFIHCFMDLVAAGYMQEARTFFHTFREDHDLMHLRDLQKLEGVLSPQHLEEMELARSLRQSKVNIKLCEYSYDLLLQYLQKTQALTMLGIVNEHINFQVSPGQPTSISEDADVVSLMGSSHEIAKQINQKEVHWGLLEDSLEERLEKAHSDSEKVEGENKEVDPDENKACSCNS >DRNTG_19645.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3097350:3107528:-1 gene:DRNTG_19645 transcript:DRNTG_19645.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRPESGALRYHDGYSKLRSWAYSSLDLYKHELLRVLYPVFIHCFMDLVAAGYMQEARTFFHTFREDHDLMHLRDLQKLEGVLSPQHLEEMELARSLRQSKVNIKLCEYSYDLLLQYLQKTQALTMLGIVNEHINFQVSPGQPTSISEDADVVSLMGSSHEIAKQINQKEVHWGLLEDSLEERLEKAHSDSEKVEGENKEVDPDENKKRSTEGGKQGVPVKKLKKDKVVGTLGKNVRSETSTVSMAPRVKAELTLPVMPTEAEQSILEDLRNRVQLSSLALPSVSFYTFVNTHNGLNCSAISHDGSLVAGGFSDSSVKVWDVSKIGQAAKTFNTQGEDEASQNELLLGSDDGKRSYTLFQGHTGPVYSATFCPLGEFLLSSSSDSTIRLWSTKLNSNLVCYKGHNYPVWDVQFSPVGHYFASCSHDRTARVWSMDKIKPLRIMAGHLSDVDCIQWHPNCNYISTGSSDKTVRLWDVQSGECVRIFIGHRGMVLSLAMSPDGRYMASGDEDGTIMVWDLSTGRCVSPLMGHSSCVWTLAFSCEGTVLASGSADNTVKLWDVTASMKPLRIDDNKTGGTINRLRLLKVLPTKSTPVYTLQFSRRNLLFAAGALLKC >DRNTG_19645.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3103186:3107528:-1 gene:DRNTG_19645 transcript:DRNTG_19645.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRPESGALRYHDGYSKLRSWAYSSLDLYKHELLRVLYPVFIHCFMDLVAAGYMQEARTFFHTFREDHDLMHLRDLQKLEGVLSPQHLEEMELARSLRQSKVNIKLCEYSYDLLLQYLQKTQALTMLGIVNEHINFQVSPGQPTSISEDADVVSLMGSSHEIAKQINQKEVHWGLLEDSLEERLEKAHSDSEKVEGENKEVDPDENKACSCNS >DRNTG_19645.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3097350:3102583:-1 gene:DRNTG_19645 transcript:DRNTG_19645.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVKAELTLPVMPTEAEQSILEDLRNRVQLSSLALPSVSFYTFVNTHNGLNCSAISHDGSLVAGGFSDSSVKVWDVSKIGQAAKTFNTQGEDEASQNELLLGSDDGKRSYTLFQGHTGPVYSATFCPLGEFLLSSSSDSTIRLWSTKLNSNLVCYKGHNYPVWDVQFSPVGHYFASCSHDRTARVWSMDKIKPLRIMAGHLSDVDCIQWHPNCNYISTGSSDKTVRLWDVQSGECVRIFIGHRGMVLSLAMSPDGRYMASGDEDGTIMVWDLSTGRCVSPLMGHSSCVWTLAFSCEGTVLASGSADNTVKLWDVTASMKPLRIDDNKTGGTINRLRLLKVLPTKSTPVYTLQFSRRNLLFAAGALLKC >DRNTG_19645.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3097350:3107528:-1 gene:DRNTG_19645 transcript:DRNTG_19645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEIEKKVLAYLKKKGFLQTELALQEELGRFSSSFHPDVPRPESGALRYHDGYSKLRSWAYSSLDLYKHELLRVLYPVFIHCFMDLVAAGYMQEARTFFHTFREDHDLMHLRDLQKLEGVLSPQHLEEMELARSLRQSKVNIKLCEYSYDLLLQYLQKTQALTMLGIVNEHINFQVSPGQPTSISEDADVVSLMGSSHEIAKQINQKEVHWGLLEDSLEERLEKAHSDSEKVEGENKEVDPDENKKRSTEGGKQGVPVKKLKKDKVVGTLGKNVRSETSTVSMAPRVKAELTLPVMPTEAEQSILEDLRNRVQLSSLALPSVSFYTFVNTHNGLNCSAISHDGSLVAGGFSDSSVKVWDVSKIGQAAKTFNTQGEDEASQNELLLGSDDGKRSYTLFQGHTGPVYSATFCPLGEFLLSSSSDSTIRLWSTKLNSNLVCYKGHNYPVWDVQFSPVGHYFASCSHDRTARVWSMDKIKPLRIMAGHLSDVDCIQWHPNCNYISTGSSDKTVRLWDVQSGECVRIFIGHRGMVLSLAMSPDGRYMASGDEDGTIMVWDLSTGRCVSPLMGHSSCVWTLAFSCEGTVLASGSADNTVKLWDVTASMKPLRIDDNKTGGTINRLRLLKVLPTKSTPVYTLQFSRRNLLFAAGALLKC >DRNTG_20795.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28359699:28361023:-1 gene:DRNTG_20795 transcript:DRNTG_20795.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSAGNRTEAARNLAIAERLLAAHDLVGSKRFAEQALEHDPLIDGVDHVLAIAEVLLAAQTHPGDPFSILGLDRSAARTDPFSPSPQFPPPCPPSFAPDREPPPSAAQGLEGPLRCLLCYLQRAIIAN >DRNTG_15497.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20877857:20878549:1 gene:DRNTG_15497 transcript:DRNTG_15497.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRGKPKSDLLNQLKDLKTELSLLRVAKVTGGAPNKLSKIKVVRLSIAQVLTMISQKQKAALREVYKKKKKLLPLDLRPKKTRAIRRRLTKHQVSYSLSLSSLLFAF >DRNTG_15497.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20877857:20879611:1 gene:DRNTG_15497 transcript:DRNTG_15497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRGKPKSDLLNQLKDLKTELSLLRVAKVTGGAPNKLSKIKVVRLSIAQVLTMISQKQKAALREVYKKKKKLLPLDLRPKKTRAIRRRLTKHQESLKTA >DRNTG_32012.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18431063:18432707:-1 gene:DRNTG_32012 transcript:DRNTG_32012.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVAEFMPNDSLGNHLFKATKRKTMEWSMRLRVAYYIAEALEYCINEGRALYFDLNPNKVLFDEAGNPCLSCFGLVKNHRDARCYSTNIAYRPPLSTV >DRNTG_32012.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18429815:18430760:-1 gene:DRNTG_32012 transcript:DRNTG_32012.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFHVSCCQALDISLGKKLPMLLDPRLMGKYPRNQSSDVVLLAEHCMQYDPGNRPTIQEVVAALAQVLSDDVGPSPTIKGDSKKPIKFSCFPSGRKRDN >DRNTG_32012.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18429815:18432707:-1 gene:DRNTG_32012 transcript:DRNTG_32012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVAEFMPNDSLGNHLFKATKRKTMEWSMRLRVAYYIAEALEYCINEGRALYFDLNPNKVLFDEAGNPCLSCFGLVKNHRDARCYSTNIAYRPPLSTVGIRYIVG >DRNTG_10966.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1058767:1059488:-1 gene:DRNTG_10966 transcript:DRNTG_10966.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFASNVATLPASVKTFSLSELEKATDTFSSEKVLGEGGFGRVYHGIMEDESEVAVKLLTRKDHNGQREFVAEIEMLSRLHHRNLVKLIGICIEGNIRCLVFELVSNGSVESHLHGVDKWKGLLDWDARLKIALGAARALAYLHEDSNPCVIHRDF >DRNTG_24469.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18788360:18795348:-1 gene:DRNTG_24469 transcript:DRNTG_24469.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM3 [Source:Projected from Arabidopsis thaliana (AT5G46280) UniProtKB/Swiss-Prot;Acc:Q9FL33] MDANEEAMAAHKRSFLDFIDQDVGRGVYMKAIRDMIQNKKHRLIIAMDDLRNFSLDLARRLIRNPSEYMQPLSDAVTEATRGVDPKYLKEGEHVLVGFTGPFGFHKVTPRDLMSSFIGSMVRVEGIVTKCSLVRPKVVKSVHFCPSTNQFTTREYRDITSTMGLPTGSVYPTRDENGNLLVTEYGLCEYKDHQTLSMQEVPENSAPGQLPRTVDVIIEDDLVDSCKPGDRVAIVGIYKALPGKSKGSLNGVFRTVLIANNVSLLNKEANAPIYSLEDLKRMKEIAKRNDTFDLLGNSLAPSIYGHLWIKKAVILLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAVMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVVAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDPEIDRQISEHVSRMHRYCADDGGMGAFSKGSRFAEEDDNEINAPIFVKYDRVLHGQERKRGRKGKNERLTIKFLKKYIHYAKNRIQPKLTDEASDHIATAYAELRDASSNAKSGGGTLPITARTLETIIRLSTAHAKMKLRNEVLKVDVEAALQVLNFAIYHKELTDMEEREQRERELDLKNRADQDAGNDGGNVEGGGNATVDAMDVDEDHAGDDISPERLQTFETILGQHVVSNHLDQISIADIEHIVNQRADEHFTKRQISSMLERMQESNRIMIRDGIVRII >DRNTG_35214.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7983788:7987138:-1 gene:DRNTG_35214 transcript:DRNTG_35214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESIVSNVAGKLGELLIKEVNYLQGVDGELRSLRDEFQWIQAFLKDADVSILEGNERAKIWVNQVRDVSYDAEDVIDTYIFKIHQHRRGSHGCLFSSLMTTYACHPCGLTILHDLGNKIGEVKRRAVEISANRSKYGIESIGAPSSGSLNNEARLPLSWKQTPVVEEVDVIGFDEHVKTLVQLLLAEDEDRRAVISIVGMGGLGKTTLAKKVSSDPRIKQHFNCHAWVYVSQAYTSRGLVESIFKELMAVDKVMMMNESIAKLADGELKKVVYEYLKERKYLVVIDDIWFREAWDNIKEVLPAEMLNGSKVLLTTRNRDVALHADRQSHPFDLKFLGEEESWELFLKKAIPTKCAEHCPPNLEEIGRQMVAKCNGLPLAIVVLGGLALRKEQSEEEWRKLLKSVSWQLRED >DRNTG_01400.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4556272:4556676:1 gene:DRNTG_01400 transcript:DRNTG_01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHRRSGDPPASWPTKPKLRASTFFSCCFRGATTSAKADDHPTTSLIRSSFTWLRSVVPDIGDRCWSIISRFRRSRRRYGEFRYDPLDYALNFDDGDDNNGGDGGGLVFLADGFRCQDFMSRLPNSPVLPPSR >DRNTG_12965.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30473559:30475547:-1 gene:DRNTG_12965 transcript:DRNTG_12965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMDGFLRANLRRTLHLQMTYTHDFLNLSILFGVWSTSNSFYGEGIIIGVLDIGIHMPYPSFDNTGMPPRPAGWNASCYLRTPCNGKVITVQSFDIANSTTPPSDIDQGYGTHVADIAVGNFVDNAEVLNQALGRAAGMAPKAFISVYKVCFISIGCETTSVLAAMQKGIFPYTAACNNGLDPETLGHAAPWDMVIGATTTDRRIRATAILGNGTQLHGESAYQPNMVTNQFCPLVYQENIEKGKVVRNARGAGVILMNFDKYGNTTFSDAHHLRGAYPDVTTPGVNILSAWPVEVGPFPSGLKTKTLNFLSGTSMATPHVSRIVALIMSKLKYGNKRQWSISEIRSALITTINTFDLDGWPIFDEATFNDSANILQWGAGQVNATNAMDPGLVNNIELDDYVAYLCGIFSNYTQDVQRFTKNNTQCTRSISGEQLNYPSIGIPMASSSASTTISRTVTNVGDAREIYNATFIEPPFVKMYLSQYQLSFSRLDQQITYDITFTMNGSHPGSGVIGQGELSWVSSKHNVTSPIYIAF >DRNTG_19850.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001076.1:1963:2133:1 gene:DRNTG_19850 transcript:DRNTG_19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVRWPFYSYSIVVRISCISDHRLEETVTEPTGSIADGRKDSLSDSRLTPHLLVAA >DRNTG_32497.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20995013:20997131:-1 gene:DRNTG_32497 transcript:DRNTG_32497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKKSDRTKPEILDEQQSAENSSFLESGKSGWKFWSE >DRNTG_05037.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:144928:148165:-1 gene:DRNTG_05037 transcript:DRNTG_05037.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD2 [Source:Projected from Arabidopsis thaliana (AT3G12120) UniProtKB/TrEMBL;Acc:A0A178VIK7] MGGGGRMRSRSDEDHNSTQLNDATVRRVPVDKPPFTLSQLKKSIPPHCFHRSILRSFSFVFHDLFISTILLLFALYIIPSIPFTPLRLAAWPLYWIIQGSILTGVWVIAHECGHHAFSDYSLLDDIVGLILHSFLMVPYFSWKYSHRRHHSNTGSLDRDEVFVPKPKSQLPWFSKYLNNPLGRFLSLFISLTLGWPLYLAFNVSGRPYPRFACHFDPYGPIYSDRERIQIFISDAGILTVSFFLYRLATSFSLLWLIRIYILPLLVVNAFLVLITYLQHTHPALPHYGTDEWDWLRGALATVDRDYGVLNKVFHHITDTHVTHHLFSTMPHYHAMEATNAIKPLLGDYYRYDPTPVWRAMWREARECVFVEPESSASHPNKGVFWYSNKF >DRNTG_21389.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19491514:19491800:1 gene:DRNTG_21389 transcript:DRNTG_21389.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMRRSIEELKAALAEERSKRRESAEYARLLLTMLINLVFTIVAFAMLLTSLWVRSK >DRNTG_21389.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19491285:19491800:1 gene:DRNTG_21389 transcript:DRNTG_21389.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERNDDGIALSQGIVIRCSKTAFMLSSMRSSLPDGLAAERNNLEMEGMRRSIEELKAALAEERSKRRESAEYARLLLTMLINLVFTIVAFAMLLTSLWVRSK >DRNTG_07331.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23416512:23417470:1 gene:DRNTG_07331 transcript:DRNTG_07331.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGASSSRVHLQKRSMTWTPKPNSTCGLPPWEEILS >DRNTG_25241.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27541556:27543110:-1 gene:DRNTG_25241 transcript:DRNTG_25241.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRICTOSIDINE SYNTHASE-LIKE 13 [Source:Projected from Arabidopsis thaliana (AT3G59530) UniProtKB/Swiss-Prot;Acc:Q9M1B4] MRYTRKDHFIILLEGEATGRLLRYDPPSKTTHVVLQGLAFPNGVQLSKDQSFLLFTETTNCRIMKYWLEGPKTGELELFANLPGFPDNVRMNDKGQFWVAIDCCRTPAQEVLSQHPWLRSIYFKLPLKLSFLGRIMSMKMFTVVCLFSEDGEVLEVLEDRGGEVVKLVSEVREVAGKLWIGTVAHNHVATLPFPFLKRK >DRNTG_07322.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3255061:3258479:1 gene:DRNTG_07322 transcript:DRNTG_07322.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVVPNKKVILKNYITGFVKETDMELITSDTIQLKLPEGSQGLIVKNLYLSCDPYMRGRMSKHDEPSYVPDFVAGSVITGNGVSKVVYSGHPDFSVGDFVCGMTGWEEYSVFTNPESFFKIKDTDVPLSYYTGILGMPGFTAYIGFYEICAPKKGEYVFVSAASGAVGQLVGQFAKLMGCYVVGSAGSDEKVDLLKSKFNFDEAFNYKKEPDLNATLKRYFPEGIDIYFENVGGAMLDAVLLNMRPHGRISACGMISQYNLEKPEGVHNITHIIANRIRFKGFLIFDYYHRYYECVEKIIPDIRQGKIKYVEDKVEGLENGPAALISLFKGLNVGKKLVVIAHE >DRNTG_07322.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3233214:3258479:1 gene:DRNTG_07322 transcript:DRNTG_07322.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVPNKKVILKNYITGFVKETDMELINSDTIQLKLPEGSPGMIVKNLYLSCDPYMRSRMSKHDEPNYVSEFAAGSVITGNGVSKVVYSGHPDFSVGDFVWGMTGWEEYSVITYPESFFKIKDTDVPLSYYTGILGMPGFTAYIGFYEICAPKKGEYVFVSAASGAVGQLVGQFAKLMGCYVVGSASSDEKVDLLKSKFDFDEAFNYRKEPDLNATLKRYFPEGIDIYFENVGGAMLDAVLLNMRPHGRISACGMISQYNLEKPEGVHNITHIIANRIRFKGFLIFDYYHRYYECVEKIIPDIRQGKIKYVEDKVEGLENGPAALISLFKGLNVGKKLVVIAHE >DRNTG_07322.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3233214:3236085:1 gene:DRNTG_07322 transcript:DRNTG_07322.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVPNKKVILKNYITGFVKETDMELINSDTIQLKLPEGSPGMIVKNLYLSCDPYMRSRMSKHDEPNYVSEFAAGSVITGNGVSKVVYSGHPDFSVGDFVWGMTGWEEYSVITYPESFFKIKDTDVPLSYYTGILGMPGFTAYIGFYEICAPKKGEYVFVSAASGAVGQLVGQFAKLMGCYVVGSASSDEKVDLLKSKFDFDEAFNYRKEPDLNATLKRCSGPSG >DRNTG_07322.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3276032:3278959:1 gene:DRNTG_07322 transcript:DRNTG_07322.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVPNKKVIFKNYITGFVKETDMELITSDTIQLKLPEGSPGMIVKNLYLSCDPYMRSCMSKHDEPNYVTEFAAGSVITGLGVSKVVYSGHTDFSVGDFVYGMTGWEEYSVITNPESFSKIKDTDVPLSYYTGILGMPGFTAYIGFYEICAPKQGEYVFVSAASGAVGQLVGQFAKLMGCYVVGSAGSDEKVDLLKNKFDFDEAFNYKKEPDFNAALKRYFPEGIDIYFDNVGGAILDAVLLNMRPHGRISACGMISQYNLEKPEGVHNLMHIIANRIRCKGFLILDYYHLYLEFVEKIIPDIRQDEIKYVEDKVEGLENGPAALISLFKGLNVGKKLVVIAHE >DRNTG_07322.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3233214:3236085:1 gene:DRNTG_07322 transcript:DRNTG_07322.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVPNKKVILKNYITGFVKETDMELINSDTIQLKLPEGSPGMIVKNLYLSCDPYMRSRMSKHDEPNYVSEFAAGSVITGNGVSKVVYSGHPDFSVGDFVWGMTGWEEYSVITYPESFFKIKDTDVPLSYYTGILGMPGFTAYIGFYEICAPKKGEYVFVSAASGAVGQLVGQFAKLMGCYVVGSASSDEKVCLVKSVFI >DRNTG_07322.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3233214:3236085:1 gene:DRNTG_07322 transcript:DRNTG_07322.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVPNKKVILKNYITGFVKETDMELINSDTIQLKLPEGSPGMIVKNLYLSCDPYMRSRMSKHDEPNYVSEFAAGSVITGNGVSKVVYSGHPDFSVGDFVWGMTGWEEYSVITYPESFFKIKDTDVPLSYYTGILGMPGFTAYIGFYEICAPKKGEYVFVSAASGAVGQLVGQFAKLMGCYVVGSASSDEKVDLLKSKFDFDEAFNYRKEPDLNATLKRYFPEGIDIYFENVGGAMLDAVLLNMRPHGRISACGMISQYNLEKPEGVHNLMHIIANRIRFKGFLIFYYYHRYYEFVEKIIPDIRQGKIKYVEDKVEGLENGPAALISLFKGLNVGKKLVVIAHE >DRNTG_07322.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3233214:3258479:1 gene:DRNTG_07322 transcript:DRNTG_07322.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVPNKKVILKNYITGFVKETDMELINSDTIQLKLPEGSPGMIVKNLYLSCDPYMRSRMSKHDEPNYVSEFAAGSVITGNGVSKVVYSGHPDFSVGDFVWGMTGWEEYSVITYPESFFKIKDTDVPLSYYTGILGMPGFTAYIGFYEICAPKKGEYVFVSAASGAVGQLVGQFAKLMGCYVVGSAGSDEKVDLLKSKFNFDEAFNYKKEPDLNATLKRYFPEGIDIYFENVGGAMLDAVLLNMRPHGRISACGMISQYNLEKPEGVHNITHIIANRIRFKGFLIFDYYHRYYECVEKIIPDIRQGKIKYVEDKVEGLENGPAALISLFKGLNVGKKLVVIAHE >DRNTG_07322.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3233214:3236085:1 gene:DRNTG_07322 transcript:DRNTG_07322.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVPNKKVILKNYITGFVKETDMELINSDTIQLKLPEGSPGMIVKNLYLSCDPYMRSRMSKHDEPNYVSEFAAGSVITGNGVSKVVYSGHPDFSVGDFVWGMTGWEEYSVITYPESFFKIKDTDVPLSYYTGILGMPGFTAYIGFYEICAPKKGEYVFVSAASGAVGQLVGQFAKLMGCYVVGSASSDEKVDLLKSKFDFDEAFNYRKEPDLNATLKRAFRLMGMFGIAFGEVLLKTRTVVLIHFFSPVNVH >DRNTG_07322.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3233214:3278959:1 gene:DRNTG_07322 transcript:DRNTG_07322.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVPNKKVILKNYITGFVKETDMELINSDTIQLKLPEGSPGMIVKNLYLSCDPYMRSRMSKHDEPNYVSEFAAGSVITGNGVSKVVYSGHPDFSVGDFVWGMTGWEEYSVITYPESFFKIKDTDVPLSYYTGILGMPGFTAYIGFYEICAPKQGEYVFVSAASGAVGQLVGQFAKLMGCYVVGSAGSDEKVDLLKNKFDFDEAFNYKKEPDFNAALKRYFPEGIDIYFDNVGGAILDAVLLNMRPHGRISACGMISQYNLEKPEGVHNLMHIIANRIRCKGFLILDYYHLYLEFVEKIIPDIRQDEIKYVEDKVEGLENGPAALISLFKGLNVGKKLVVIAHE >DRNTG_12491.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2385560:2391232:1 gene:DRNTG_12491 transcript:DRNTG_12491.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKLSTGHSVNTSTVNDFTNCDAIFDTAAELYGINRATIRGVIQLKSKIFADTELSAWLQVQLKSTMNILHAMGVLKCKLHQARAVVLLILRDGADSAKTVPEKLKDCLETMRFAIGDFKLACHQHEQLTEVLHGLRWRKHDLEDKLEMIKAWSKAWNIALWIAKFGVTLLSVLIPVARVRAVATAANNGAGGVIGLLQPLVDSHLAGQQSSCEVERDLTAKILNEACFIFHRVNSTRVLVDLLENQMELLVRDAEFLVVAGEDEDLAVSMAMDKIKAGKAGDLVDSIESLEKEVDCSCEDLRRAALTLLQTVTDQLFISKLCKARDSWPREGSEEEENYRDTTPFTTSLSLSSLL >DRNTG_30415.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001573.1:62602:63568:-1 gene:DRNTG_30415 transcript:DRNTG_30415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELTTNATIPSPTETNTSPANISSSEPEEVCEEEANIIIPRDRDEWMKKMRGWLMVLAVLAASVTYQAGLNPPGGFWQDDLQSSNFTKGHKAGNPVLATNSPIRYGIFFSFNASTFVMSLIIIVLLLKPSFFHEEVRLDLLRNFLIGNNINLVLAFAAGSCHDFKSSGAPFFIIIFLSILHMMWELWKSERKRLRRRSSVVNRHNTA >DRNTG_26600.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19958403:19959794:1 gene:DRNTG_26600 transcript:DRNTG_26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYTSIHILFTINVAKMSKHNSDQFNLTSSKSLYKLLLNSKFKSQTQNKQDHHHLLKNSIKMKLFFILLLCIPLITADLQIGFYNSSCPQAESIVLSVVKKHFAGDRSITAAFLRMHFHDCFVKGCDASILIDSTKKKKSEKAAGPNLTVRGFNIIDEVKTSLEASCPSTVSCADIITLATRDAVALAGGLNYSVQTGRRDGLISNAADVNLPGPSLSVNQAFQFFAAKGLTLDDMVVLLGGHTVGVAHCSFFRDRLDNFQGSGVPDSTMDPSLRAQLVKTCGSRPNNDPTAFLDQNTSFLVDNQYYKQILGNKGVLQIDQELALDSSSSGIVAALASDGNGFMKKFADALVKLGRIEVLVGGAGEIRKNCRAFNAPSLTSSRKQLG >DRNTG_27540.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:838807:840153:1 gene:DRNTG_27540 transcript:DRNTG_27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASFSEGVKTYQIELALARKLIGATNPLQAELGTIQGDWAVQTGTSNPF >DRNTG_27540.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:838807:840153:1 gene:DRNTG_27540 transcript:DRNTG_27540.3 gene_biotype:protein_coding transcript_biotype:protein_coding FPLFFFRRTLSSHSDEDHHLQSSSHSEEDHHLPEQLVIANYGDK >DRNTG_35135.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1205521:1208013:-1 gene:DRNTG_35135 transcript:DRNTG_35135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHIQRLLNRISLAFAVAGTLALFHVFFKTSVSCSYSGHNLHHHDTLTQTISHSPFPRSSCDAASRPTLLPDRRFSRIQSSRSWRRGVAALSSLFLRLRTAHLLSNSSRILCVSSGAGHEVAAFRESGVVDVTGVDLIDFPPLVSRADPHNLPFFDNVFDLGFSRGLSGALFPVRFVGELERTVRKGGAVALVVERCGSEEEVASVKGLFRKSSLVEVSNITLSDSQMTLIVMRVNGLSP >DRNTG_12654.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1244852:1245801:-1 gene:DRNTG_12654 transcript:DRNTG_12654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMFSSIWNADDWATRGGLEKTDWKKAPFVSSYKDFNVDGCQWEDPYPACVSTTAEHWWDQYQAWTLTDSQQQDFSWVGRNLVIYDYCNDYERYPKLPPECALHSD >DRNTG_11797.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:435458:437236:-1 gene:DRNTG_11797 transcript:DRNTG_11797.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSPTILVTTPEICKIVLMDDERFIPGWPKSTCELIGKRSFVGIIHEEHKRLRRLTSKPINGHEALSSYLKFIEETVISTLDQWSSMGQIQFLTELRKLTFKIIMHIFVSSESESMMEAMERVYTDLNYGMRAMAINLPGFAYHRALKARKKLVAVLKSIIEKRRGKQDSEKKDMMDALMEVEDENRKKLDDEEIIDILIMYLNAGHESSGHITMWATVFLQEHQEYLQIAKLEQEQIRKNIPQTQQGLTLKEYRQMEYLSKVIDETLRIVNISFVAFRQATTDVMIQDYIIPKDWKVQLWYRNVHMDPEVYPDPKAFKPSRWNGLAPKAGTFLPFGGGSRLCPGNDLAKLEISIFLHYFLLDYKLERLNPQCPVRYLPHPRPTDNCLAKITKFSSISM >DRNTG_11797.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:436299:437236:-1 gene:DRNTG_11797 transcript:DRNTG_11797.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSPTILVTTPEICKIVLMDDERFIPGWPKSTCELIGKRSFVGIIHEEHKRLRRLTSKPINGHEALSSYLKFIEETVISTLDQWSSMGQIQFLTELRKLTFKIIMHIFVSSESESMMEAMERVYTDLNYGMRAMAINLPGFAYHRALKARKKLVAVLKSIIEKRRGKQDSEKKDMMDALMEVEDENRKKLDDEEIIDILIMYLNAGHESSGHITMWATVFLQEHQEYLQIAKVCFTFDISIC >DRNTG_12095.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27312437:27316166:-1 gene:DRNTG_12095 transcript:DRNTG_12095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKANIICWNYRRISSKDTSTRVLHLIQKNRPMIICLVETRANSKRVDRFSVKTPRNWDSAALLADGFSWGSSSSGIKLFIRFTWCNNQSRLAHRWASDLLTLSDLEGLDLICEVTWEKVFAVVQELPSVSDSILKEIHKIVRAFFWDKGGNGKGFHAFSWSCITDPKLRALSYAQDINCAKMKLSGCASNFADNRIAADIQALVVVHQSAVN >DRNTG_23078.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20436537:20438489:-1 gene:DRNTG_23078 transcript:DRNTG_23078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDAEEKGLIKPGVTTLVEPTSGNLGIALAYIGIRKGYRFIGVIPAHYSVDKWMLLKYLGGEVVITNPELGIQGVMQKVEQLKESDPNVYVLDQFSNPANPDAHFTGTGPEIWKDTAGKVDIFVCGSGSGGTVTGAGRYLKMKNKDLKVICVEPAESPVILGGKPGPHNIQGIGPGIIPLNLDTSHIDETITVTTEEAMTNARRIAREEGILVGISSGANLAACLKVAARAENKGKMIVTVFASGGERYISTQLFDNVREECMNMTF >DRNTG_23078.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20436537:20438422:-1 gene:DRNTG_23078 transcript:DRNTG_23078.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMRGLPSLLALKEASETEKIASDITQLVGWTPLVELKEIVQKEGLDVRIVGKLEFYQPLSSVKDRGALRMIEDAEEKGLIKPGVTTLVEPTSGNLGIALAYIGIRKGYRFIGVIPAHYSVDKWMLLKYLGGEVVITNPELGIQGVMQKVEQLKESDPNVYVLDQFSNPANPDAHFTGTGPEIWKDTAGKVDIFVCGSGSGGTVTGAGRYLKMKNKDLKVICVEPAESPVILGGKPGPHNIQGIGPGIIPLNLDTSHIDETITVTTEEAMTNARRIAREEGILVGISSGANLAACLKVAARAENKGKMIVTVFASGGERYISTQLFDNVREECMNMTF >DRNTG_15373.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26884020:26890683:-1 gene:DRNTG_15373 transcript:DRNTG_15373.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYFNINGILMEEPMSVVFQVGANGVGLLDPGSEANNLKRVPKLISPFSLLMSYTRGEQRQQMCVLASIKSKFCSPPPCSKL >DRNTG_15373.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26884020:26890683:-1 gene:DRNTG_15373 transcript:DRNTG_15373.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNSFQGPIPSSFANLTKLTDLRIGDLLSSGSSLAFISNLTSLSILVLRNNKISGAIP >DRNTG_15373.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26884020:26890683:-1 gene:DRNTG_15373 transcript:DRNTG_15373.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNSFQGPIPSSFANLTKLTDLRIGDLLSSGSSLAFISNLTSLSILVLRNNKISGAIP >DRNTG_15373.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26884020:26890683:-1 gene:DRNTG_15373 transcript:DRNTG_15373.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYFNINGILMEEPMSVVFQVGANGVGLLDPGSEANNLKRVPKLISPFSLLMSYTRGEQRQQMCVLASIKSKFCSPPPCSKL >DRNTG_15373.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26886224:26890683:-1 gene:DRNTG_15373 transcript:DRNTG_15373.11 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNSFQGPIPSSFANLTKLTDLRIGDLLSSGSSLAFISNLTSLSILVLRNNKISGAIP >DRNTG_15373.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26884020:26890683:-1 gene:DRNTG_15373 transcript:DRNTG_15373.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYFNINGILMEEPMSVVFQVGANGVGLLDPGSEANNLKRVPKLISPFSLLMSYTRGEQRQQMCVLASIKSYY >DRNTG_15373.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26884020:26890683:-1 gene:DRNTG_15373 transcript:DRNTG_15373.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYFNINGILMEEPMSVVFQVGANGVGLLDPGSEANNLKRVPKLISPFSLLMSYTRGEQRQQMCVLASIKSKFCSPPPCSKL >DRNTG_15373.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26885585:26888066:-1 gene:DRNTG_15373 transcript:DRNTG_15373.12 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNSFQGPIPSSFANLTKLTDLRIGDLLSSGSSLAFISNLTSLSILVLRNNKISGAIP >DRNTG_15373.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26884020:26890683:-1 gene:DRNTG_15373 transcript:DRNTG_15373.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYFNINGILMEEPMSVVFQVGANGVGLLDPGSEANNLKRVPKLISPFSLLMSYTRGEQRQQMCVLASIKSYY >DRNTG_15373.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26884020:26890683:-1 gene:DRNTG_15373 transcript:DRNTG_15373.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYFNINGILMEEPMSVVFQVGANGVGLLDPGSEANNLKRVPKLISPFSLLMSYTRGEQRQQMCVLASIKSYY >DRNTG_15373.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26884020:26890683:-1 gene:DRNTG_15373 transcript:DRNTG_15373.9 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNSFQGPIPSSFANLTKLTDLRIGDLLSSGSSLAFISNLTSLSILVLRNNKISGAIP >DRNTG_15373.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26884020:26890683:-1 gene:DRNTG_15373 transcript:DRNTG_15373.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNSFQGPIPSSFANLTKLTDLRIGDLLSSGSSLAFISNLTSLSILVLRNNKISGAIP >DRNTG_23373.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5707478:5712175:-1 gene:DRNTG_23373 transcript:DRNTG_23373.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAAEKTVKGWAAHDASGVLSPYTYQLRETGPEDVLIRVLYCGICHTDIHQTKNHLGMSNYPMVPGHEVVGEVVEIGPSVSKHRVGDKVGVGIIVGCCRSCSPCKANIEQYCNKRIWTYNDVYTDGQPTQGGFASHMIVDQKFVVKIPEGMAPEQAAPLLCAGVTVYSPLAHFGLKEPGLRGGVLGLGGVGHMGVKIAKAMGHHVTVISSSGKKKAEAMDHLGADSYLISSNESDMSTAVDSLDYIIDTIPAHHALEPYLPLLKVNGKLILMGVINQPLQFLTPMVMLGRKTITGTFIGSMDETQEMLEFCAEKGLTSTIEIVKMDYVNEAIERLERNDVRYRFVVDVAASNL >DRNTG_27970.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3410884:3415101:-1 gene:DRNTG_27970 transcript:DRNTG_27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGAKKRKAAKKKKEMAVPHQPDSPTDRPHGNGERESGSEEEGERAMESHGVDGPENGAGEEHREEIKEVMGSGEAGDVSVEAVEVVEVVEVTEKVEEKPEPEKEEEEEEDGQVVAVPIVQVSSVNEEVVEAIEGLAEEADAAVEAVVLKEMVEKSGAKESEEVIVVAVPEKISEQPSESGERLAEKISAPPMESPEDTPVAVPEKIGEQLSESDERLPETKSREIPGTAEADPAPLVAHRANLWNCCGLLEVFFGSQR >DRNTG_21881.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19150040:19152304:1 gene:DRNTG_21881 transcript:DRNTG_21881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCWLPDEPCMLPFTATHQVGIGAFIINENKEVLVVKEKKCPLRCLGVWKLPTGLINKSEEIFSGAIREVKEETGVTKSEHICCHSFPSDISFYVFPTHANPFSPLFYPFRLTLHFWKWLLSGMRTMLLSRNQTCSSYACSSHCHLRSPSMSKRLMLLSGCLLTN >DRNTG_02620.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21358601:21360390:-1 gene:DRNTG_02620 transcript:DRNTG_02620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGSSKVTIHVTGFKKFHGVFDNPTETIVCNLREFMEKKGFPKGLVLGSCNVLEVAGEGAVAALYQVLESAVTDLDVESLETEQVIWLHLGVNSGATKFAIENQAINEATFRSPDELGWKPQRVPIVPEDGCLSRTRETSLPVDEIVKALVNMGYEVIHSNNAGRFVCNYVYYHSLLFAERHKIKSIFVHVPLFYTINEEQQMQFVASLLEVLASLH >DRNTG_02620.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21358687:21360554:-1 gene:DRNTG_02620 transcript:DRNTG_02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGSSKVTIHVTGFKKFHGVFDNPTETIVCNLREFMEKKGFPKGLVLGSCNVLEVAGEGAVAALYQVLESAVTDLDVESLETEQVIWLHLGVNSGATKFAIENQAINEATFRSPDELGWKPQRVPIVPEDGCLSRTRETSLPVDEIVKALVNMGYEVIHSNNAGRFVCNYVYYHSLLFAERHKIKSIFVHVPLFYTINEEQQMQFVASLLEVLASLH >DRNTG_02620.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21358687:21360390:-1 gene:DRNTG_02620 transcript:DRNTG_02620.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGSSKVTIHVTGFKKFHGVFDNPTETIVCNLREFMEKKGFPKGLVLGSCNVLEVAGEGAVAALYQVLESAVTDLDVESLETEQVIWLHLGVNSGATKFAIENQAINEATFRSPDELGWKPQRVPIVPEDGCLSRTRETSLPVDEIVKALVNMGYEVIHSNNAGRFVCNYVYYHSLLFAERHKIKSIFVHVPLFYTINEEQQMQFVASLLEVLASLH >DRNTG_02620.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21358665:21360444:-1 gene:DRNTG_02620 transcript:DRNTG_02620.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEVIHSNNAGRFVCNYVYYHSLLFAERHKIKSIFVHVPLFYTINEEQQMQFVASLLEVLASLH >DRNTG_02620.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21358665:21360444:-1 gene:DRNTG_02620 transcript:DRNTG_02620.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGSSKVTIHVTGFKKFHGVFDNPTETIVCNLREFMEKKGFPKGLVLGSCNVLEVAGEGAVAALYQVLESAVTDLDVESLETEQVIWLHLGVNSGATKFAIENQAINEATFRSPDELGWKPQRVPIVPEDGCLSRTRETSLPVDEIVKALVNMGYEVIHSNNAGRFVCNYVYYHSLLFAERHKIKSIFVHVPLFYTINEEQQMQFVASLLEVLASLH >DRNTG_02620.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21358687:21360444:-1 gene:DRNTG_02620 transcript:DRNTG_02620.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGSSKVTIHVTGFKKFHGVFDNPTETIVCNLREFMEKKGFPKGLVLGSCNVLEVAGEGAVAALYQVLESAVTDLDVESLETEQVIWLHLGVNSGATKFAIENQAINEATFRSPDELGWKPQRVPIVPEDGCLSRTRETSLPVDEIVKALVNMGYEVIHSNNAGRFVCNYVYYHSLLFAERHKIKSIFVHVPLFYTINEEQQMQFVASLLEVLASLH >DRNTG_02620.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21358601:21360390:-1 gene:DRNTG_02620 transcript:DRNTG_02620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEVIHSNNAGRFVCNYVYYHSLLFAERHKIKSIFVHVPLFYTINEEQQMQFVASLLEVLASLH >DRNTG_02620.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21358601:21360444:-1 gene:DRNTG_02620 transcript:DRNTG_02620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGSSKVTIHVTGFKKFHGVFDNPTETIVCNLREFMEKKGFPKGLVLGSCNVLEVAGEGAVAALYQVLESAVTDLDVESLETEQVIWLHLGVNSGATKFAIENQAINEATFRSPDELGWKPQRVPIVPEDGCLSRTRETSLPVDEIVKALVNMGYEVIHSNNAGRFVCNYVYYHSLLFAERHKIKSIFVHVPLFYTINEEQQMQFVASLLEVLASLH >DRNTG_02620.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21358665:21360390:-1 gene:DRNTG_02620 transcript:DRNTG_02620.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGSSKVTIHVTGFKKFHGVFDNPTETIVCNLREFMEKKGFPKGLVLGSCNVLEVAGEGAVAALYQVLESAVTDLDVESLETEQVIWLHLGVNSGATKFAIENQAINEATFRSPDELGWKPQRVPIVPEDGCLSRTRETSLPVDEIVKALVNMGYEVIHSNNAGRFVCNYVYYHSLLFAERHKIKSIFVHVPLFYTINEEQQMQFVASLLEVLASLH >DRNTG_25850.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8782138:8783227:-1 gene:DRNTG_25850 transcript:DRNTG_25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAAWNSLINFYFKCGSVCDAEKVLDEMSERDVYTWTVLVNGYALNGNLREAMDCFDKMPWRNTVSWNSVISACQREGCDEMAMQMFNRMRREAEVPNNLTFVAVLKACSCLLVLENGEGIHGCMVKSWWTKDVLAGCTLMDMYAKCGDDVSDVWTAFGDIENKNVVSWSILLASYAQNGKIVEAEGIFCGMVERNVVSWNVMIAGYVQNGLQDKAFRLFGDMVRINVKPNTFTLTSLMSGCSNPRYAKIGESFHGYVIQVGLETEISICNSMITMYGEQGNVGHARLVFDMMPLYDVVSWTA >DRNTG_31606.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:673073:673543:-1 gene:DRNTG_31606 transcript:DRNTG_31606.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPSTIGLIVGFFIGITPPFRKAIIGDTAPLRVIQESASLLGNGAIPTVTLIMGGNLLRG >DRNTG_31606.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:673727:675016:-1 gene:DRNTG_31606 transcript:DRNTG_31606.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFKLLITASMPVLNVLLITGLGSFLATGQVGILCKDARKHLNNVVFFVFNPALLSTNLARTITLKSIALLWFMPINILLTFLLGSLLGWAVIYLTKTPPRLKGLVLGCCAAGNMGNMLLIIIPTICKEKGSPFRDPDVCYKFGMAYVSLSMAIGAIFLWSYVYNMVRISSNSIGEKIIDNPQHTPKLPDENTDDKPSSQSELISDCPAEQRLPITVSAESSTKTK >DRNTG_31606.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:672386:675016:-1 gene:DRNTG_31606 transcript:DRNTG_31606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFKLLITASMPVLNVLLITGLGSFLATGQVGILCKDARKHLNNVVFFVFNPALLSTNLARTITLKSIALLWFMPINILLTFLLGSLLGWAVIYLTKTPPRLKGLVLGCCAAGNMGNMLLIIIPTICKEKGSPFRDPDVCYKFGMAYVSLSMAIGAIFLWSYVYNMVRISSNSIGEKIIDNPQHTPKLPDENTDDKPSSQSELISDCPAEQRLPITVSAESSTKTKVSSLTHFKNKLSNIGDVIKLKKMFNPSTIGLIVGFFIGITPPFRKAIIGDTAPLRVIQESASLLGNGAIPTVTLIMGGNLLRGLRGSGTKYSIIFGVIVVRYVILPLIGVVIVRGAIHMGLVYSDPLFQFILLLQYAVPPAMNISTITQLFGAGENECSVIFLWVYGFASVSLTLWSTFFMWLLSH >DRNTG_29558.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001485.1:14676:16319:-1 gene:DRNTG_29558 transcript:DRNTG_29558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIFMVVIFLQIAKALLVFAVLGRCVFAPLTIYAFISYKLHQMMISVDIVEKFLRNQQTLVPTRYSYTDIITMTNNFKEKLGQGGFGSVFKGRLLWDRLVAIKILTNSKYNAGEDFINEVSTIGRIHHINVVKLIGFCSDGMQRALVYEYMPNGSLDKFIFSSNSGPNHKFSLDKLIDIALGIARGLDYLHKGCDMQILHFDIKPHNILLDHNFNPKVSDFGLAKHYPKNYSLVSLSVARGTIGYIAPELISRSFGVISHKCDVYSFGMLLLEMAGGRRNSDLRAENTSQVYYPSWIYDKLIEDKIEHDVVEMDTVIVIDEREKKLCMIGLWCIQIRPSDRPSMRKVIEMLEGDVSSLQMPHKPFFSESTQIPSHVSNLNTDDGELTIISEDVDELN >DRNTG_09171.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7260397:7262312:-1 gene:DRNTG_09171 transcript:DRNTG_09171.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRMIPRVKLGTQGLEVSKLGFGCMTLSGGYNNPLPEEEGKAIIKHAFNQGITFFDTSDLYGPHTNEILIGKALKELPREKIQLATKFGIVNMFGDGGMKVNGRPEYVRACCEASLKRLQLDYIDLYYQHRIDQTVPIEETMGELKKLVEEGKVKYIGLSEPSPDTIRRAHAVHPISAVQMEWSLWTRDLEQEIVPLCRELGIGIVPYSPLGRGFFGGKAVVENLPENTILVQHPRFTGENLEKNKAMYMRVDDLAKKHQCSPAQLALAWVLHQGDDVVPIPGTTKIKNLDSNIGALKVKLNEEEWREITDIVSAEEVAGSRTYGCAESFSWKYANTPLPPSASE >DRNTG_01764.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:2630152:2775193:-1 gene:DRNTG_01764 transcript:DRNTG_01764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECDCLYAPPNGCAKLEYEELHLPHYPHCSPPHCLPLSSFSPSYSPSPSPSPSFPPSSPASPPPRRPPSPLPIL >DRNTG_25497.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1748079:1749933:1 gene:DRNTG_25497 transcript:DRNTG_25497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYVAYNYQSMAFTHYGPRWRMLRRLCTTHLFSTKALDDLRYVREEEVALLARKFVQAKDTSTSIGVEVGKEVDACAANALTRALFKRRVFEEENGPDGGEFRNVLEEVLKLGSAFNIEDFLPWIKALDLRGLLSKLRRLHRWYDDTLTKIMQEHKMTDVSQGHEDKGNKDKDFLSVLLALKEDGNLDEETKTKLTDTDIKALLTDLFTGGTDTTSSTVEWALVELIRHPDMLAAAQKELDSIVGRSRLVSEFDLNNVPVLQAIIKETFRLHPPVPLLIPHTAAEPCEVAGYHVPKGASLLVNVWAICRDPKVWSRPLEFDPSRFLSGGKYADVDLKGSHFELIPFGSGRRICVGMRLGLRMLTLLLASLVHGFDWALPDGLTPEALSMDVEFGLTLERSVPLVARPIPRLAHDAYVA >DRNTG_33150.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13728341:13732561:1 gene:DRNTG_33150 transcript:DRNTG_33150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVSQSRISSVTPSVTTPSSLGGERDPWFLTRVWSPPCSSGVFSRFCDAESYLWFSLGRKPSPEAMLGHNGLLLVPAISYYCSF >DRNTG_33150.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13728341:13732230:1 gene:DRNTG_33150 transcript:DRNTG_33150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVSQSRISSVTPSVTTPSSLGGERDPWFLTRVWSPPCSSGVFSRFCDAESYLWFSLGRKPSPEAMLGHNGLLLVPAISYYCSF >DRNTG_33150.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13728341:13732561:1 gene:DRNTG_33150 transcript:DRNTG_33150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVSQSRISSVTPSVTTPSSLGGERDPWFLTRVWSPPCSSGVFSRFCDAESYLWFSLGRKPSPEAMLGHNGLLLVPAISYYCSF >DRNTG_29532.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2092561:2097472:-1 gene:DRNTG_29532 transcript:DRNTG_29532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVSFVVEKLGNLIVREAINFRGVRGEVEWLKRELETMQCFLEDADEKSSKGDDERIKIWVRDMGDLAFEIEAIIDTLLDFHLRRQQKKEQGRTGFMERFIFIFDELVWRRKVHVDVEGIKAKLYDLFERRERYGIANKVETHGTTSQYRRSQDMIPKLPQLSDEIYIVGFGDEKKKIVQELVDINNTNRSVISIVGMGGRGKTTLAKSIYNDHEVRRSFNIFAWVIISQEYTIHEILKRIASEVSATPTIQGLSVAISEKLEKFKYLIVLDDVWEVDVWDQLQRVFPDVNNGSRVIITTRMFNVAKIADPTAQPHTLRALNEEESLELFLRKAFPTQDIGTYCPINLVEYAYRLVQRCRGLPLALVVLGGLVSTKPITNDAWQKVVEQIKWQFEVVGERWLELLAVSYYDLPYYLKLCFFYFGCFGKVMDIPAKTLIRLWSGEGVLPMRNGKTMEEIGMDCLEELAQRCLIQVTKSKYDDSVKYCQIHDLLRDLCIREAKERRFLEFYKDETVYATMSNAARHLIIFKHIEALNFSNSNLQGLFYGDHDPLTFKVLKGQLSRFKLLRVVYLNILDISEFPSEIKSLIHLRYLELHADNLEEVPSWIGYLHNLQTFIIRSKVLEMIHDSLWRIESLRHVDIPTSSSAAVPNMGNAVPKNLQTLKGLTAGSWIGNMLPNITNLSKLNICEISDDHANALSSALPKLGRLASLSMDGDEIPSDNIIKAFSNQSCLKKLSLYGKLNRKRLPHNDEFPQQLVSLSLIYSELEHDPMETLEKLPCLKHLELGDDSYGGKQMICSKAGFPQLLFLSIRCCDELEKWEIEEMAMPRLKSLQLCYCPKLMVIPEGLKHVPLDQLVLKHMPDNWYKIDDWYEMQHVPMPKISIES >DRNTG_04682.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30408490:30415828:1 gene:DRNTG_04682 transcript:DRNTG_04682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSRGLFGWSPPHMQPLTPVSEVSEPPESPSPFMDSGVEAMPVEDDGPVDEVEEIEPPPAAVPFSKLFACADGFDWALMAMGSLAAAAHGAALVVYLHYFGKVINFLMYFEPLPSHMSHELFDDFKKHALYIIYIASGVFAAGWIEVSCWIITGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFGGLVVGLINCWQIALLTLATGPFIVAAGGVSNIFLHRLAENIQDAYAEAASIAEQAISFIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRLLVSHGKANGGEIIIALFSVILSGLGLNQAATNFYSFEQGRIAAYRLFEMISRSTSTVNQEGNILASIQGNIEFRNVYFSYLSRPEIPILSGFYLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRDNIAYGRSATFDQIEEAAKTAHAHTFISLLENGYDTQVGRAGLALTEEQKIKLSVARAVLSNPAILLLDEVTGGLDFEAERAVQEALDILMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLTLDGLYAELLRCEEAAKLPKRMPIRNSREHSAFQVEKDSSAINIFHESSSPKMAKSPSLQRATGYHTFRSADASYNSHESPKIHSPPSELMENGMPLTSTDRAPSIKRQDSFEMRLPELPKIDVHSINRQASTTSDPESPISPLLTSDPKNERSHSKTFSRPIDHFDDMPARWRELKNLQHQKPPSFWRLAVLSFPEWLYALLGSFGAAIFGSFNPLLAYIIALIVAAYYNKYGSDTRHEVNKWSLIIACMGIITVIANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDEEENSADTLSMRLANDATFVRAAFSNRLSIFIQDTAAVAVALLIGMLLEWRIALVALATLPILTVSAVAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYRLQLGRIFKQSFIHGMGIGFAFGFSQFLLFACNALLLWYSGYSVRHNGVKLSTALKEYMVFSFATFALVEPFGLAPYILKRRKSLISVFEIIDRVPKIDPDDNTGLKPPNVYGSIELKNVDFSYPTRPDVAILSNFSLKVSGGQTLAVVGVSGSGKSTIISLIERFYDPVAGQVLLDGRDLTLFNLRWLRSHMGLVQQEPIIFSTTIRENIIYARHNATEGEMKEAARIANAHHFISNLPHGYDTHIGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGKIVEQGTHDALVQMNGLYVRLMQPHFSKGLRQHRLV >DRNTG_04682.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30411404:30415828:1 gene:DRNTG_04682 transcript:DRNTG_04682.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLTLDGLYAELLRCEEAAKLPKRMPIRNSREHSAFQVEKDSSAINIFHESSSPKMAKSPSLQRATGYHTFRSADASYNSHESPKIHSPPSELMENGMPLTSTDRAPSIKRQDSFEMRLPELPKIDVHSINRQASTTSDPESPISPLLTSDPKNERSHSKTFSRPIDHFDDMPARWRELKNLQHQKPPSFWRLAVLSFPEWLYALLGSFGAAIFGSFNPLLAYIIALIVAAYYNKYGSDTRHEVNKWSLIIACMGIITVIANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDEEENSADTLSMRLANDATFVRAAFSNRLSIFIQDTAAVAVALLIGMLLEWRIALVALATLPILTVSAVAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYRLQLGRIFKQSFIHGMGIGFAFGFSQFLLFACNALLLWYSGYSVRHNGVKLSTALKEYMVFSFATFALVEPFGLAPYILKRRKSLISVFEIIDRVPKIDPDDNTGLKPPNVYGSIELKNVDFSYPTRPDVAILSNFSLKVSGGQTLAVVGVSGSGKSTIISLIERFYDPVAGQVLLDGRDLTLFNLRWLRSHMGLVQQEPIIFSTTIRENIIYARHNATEGEMKEAARIANAHHFISNLPHGYDTHIGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGKIVEQGTHDALVQMNGLYVRLMQPHFSKGLRQHRLV >DRNTG_26742.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16992616:16995385:1 gene:DRNTG_26742 transcript:DRNTG_26742.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTDRATSLKEFEETKAGVKGLVDSGITSIPTIFHDPNIHLSIPAATHLSIPTVDLSLPRPIAVDHIRSACQDWGFFQLINHGIPLSTIEKTISAVRSFHELPAAVRSQYYTRAILGSFSYFSNQDLFYSEAASWRDTLYLIFGPVRPELERIPEVCRSELVKWDESVKEVAREVMGLMSEGLGLDPRRLEELSCLEGRGMAGHYYPPCPEPDRTFGLVDHTDPGILAVLIQDKIGGLQVKSMRDECWVDVKPIPGALVFNVGDLLQIISNDEYKSVQHRVVANSDKESRVSIATFFTPGKREEFDIYGPLLELISIEKPARYANVKMIEYLNAFLNKKLKSKSQLDPYKLLGK >DRNTG_26742.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16992718:16996788:1 gene:DRNTG_26742 transcript:DRNTG_26742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTDRATSLKEFEETKAGVKGLVDSGITSIPTIFHDPNIHLSIPAATHLSIPTVDLSLPRPIAVDHIRSACQDWGFFQLINHGIPLSTIEKTISAVRSFHELPAAVRSQYYTRAILGSFSYFSNQDLFYSEAASWRDTLYLIFGPVRPELERIPEVCRSELVKWDESVKEVAREVMGLMSEGLGLDPRRLEELSCLEGRGMAGHYYPPCPEPDRTFGLVDHTDPGILAVLIQDKIGGLQVKSMRDECWVDVKPIPGALVFNVGDLLQIISNDEYKSVQHRVVANSDKESRVSIATFFTPGKREEFDIYGPLLELISIEKPARYANVKMIEYLNAFLNKKLKSKSQLDPYKLLGK >DRNTG_26742.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16992616:16995532:1 gene:DRNTG_26742 transcript:DRNTG_26742.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTDRATSLKEFEETKAGVKGLVDSGITSIPTIFHDPNIHLSIPAATHLSIPTVDLSLPRPIAVDHIRSACQDWGFFQLINHGIPLSTIEKTISAVRSFHELPAAVRSQYYTRAILGSFSYFSNQDLFYSEAASWRDTLYLIFGPVRPELERIPEVCRSELVKWDESVKEVAREVMGLMSEGLGLDPRRLEELSCLEGRGMAGHYYPPCPEPDRTFGLVDHTDPGILAVLIQDKIGGLQVKSMRDECWVDVKPIPGALVFNVGDLLQIISNDEYKSVQHRVVANSDKESRVSIATFFTPGKREEFDIYGPLLELISIEKPARYANVKMIEYLNAFLNKKLKSKSQLDPYKLLGK >DRNTG_27562.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3106352:3113211:-1 gene:DRNTG_27562 transcript:DRNTG_27562.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMRIANLFQVHHAGFSAPTPIQAQSWPVALSGRDIVAIAKTGSGKTLGYLLPGFMHIKQLRNNPRMGPTVLVLSPTRELATQIQEEAMKFGRSSRISSACLYGGAPKGPQLRDIDRGVDVVVATPGRLNDILEMKRVSLRQVSYLVLDEADRMLDMGFEPQIRKIVKEIPARRQTLMFTATWPKEVRKIAADLLVNPVQVNIGNIDALVANKAITQYIEVITPMEKQRRLEQILRSQEPGSKVIIFCSTKRMCDQLARTLTRQFGAAAIHGDKSQSERDWVLNSFRTGKSPILVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGVSHTFFCEQDAKHASDLVKVLEGANQRVPSELRDMVARGGFGGKLRRWGPTSSAHDGVRSHLGTYGGRGGRDPPPSFTRLDGNHGGHARSSPPRERYDRAYHDGRERDLVTRGRHDTKGSAVMVDYRAGGRGHSRSRSPHKGYNGWGNGRKRSRSHSPYKNHHGHSHSRSRSRSPMHKQSPPRRGPPPMDHLTRGTIDSPPPQRTSPANNVAKREHQPDHMQGSRWDRSLPLHRHKSECSPHHHNDAQGMVSNGLALRQDSSHYNGEEEEEGMIPADEEGMIPPEVDNGA >DRNTG_27562.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3106352:3113211:-1 gene:DRNTG_27562 transcript:DRNTG_27562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAASNPASSEPRYAPDDPSLPKPWKGLVDGSTGYIYYWNPVTNVTQYERPVPEQLPPPPSSLPAIPPKSVSSSVQVFDPPPPAGGGGSARRGGHEDDGRHSGPRGHQYLGNKGGGSHIMSGPTNVGLDSSIAHGRGHGAPSSRVTGRGRISSDSNGGIAADIYRRQHEITVTGEDIPVPYMTFDTTDFPSEILREVHHAGFSAPTPIQAQSWPVALSGRDIVAIAKTGSGKTLGYLLPGFMHIKQLRNNPRMGPTVLVLSPTRELATQIQEEAMKFGRSSRISSACLYGGAPKGPQLRDIDRGVDVVVATPGRLNDILEMKRVSLRQVSYLVLDEADRMLDMGFEPQIRKIVKEIPARRQTLMFTATWPKEVRKIAADLLVNPVQVNIGNIDALVANKAITQYIEVITPMEKQRRLEQILRSQEPGSKVIIFCSTKRMCDQLARTLTRQFGAAAIHGDKSQSERDWVLNSFRTGKSPILVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGVSHTFFCEQDAKHASDLVKVLEGANQRVPSELRDMVARGGFGGKLRRWGPTSSAHDGVRSHLGTYGGRGGRDPPPSFTRLDGNHGGHARSSPPRERYDRAYHDGRERDLVTRGRHDTKGSAVMVDYRAGGRGHSRSRSPHKGYNGWGNGRKRSRSHSPYKNHHGHSHSRSRSRSPMHKQSPPRRGPPPMDHLTRGTIDSPPPQRTSPANNVAKREHQPDHMQGSRWDRSLPLHRHKSECSPHHHNDAQGMVSNGLALRQDSSHYNGEEEEEGMIPADEEGMIPPEVDNGA >DRNTG_27562.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3106352:3113211:-1 gene:DRNTG_27562 transcript:DRNTG_27562.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMRIANLFQVHHAGFSAPTPIQAQSWPVALSGRDIVAIAKTGSGKTLGYLLPGFMHIKQLRNNPRMGPTVLVLSPTRELATQIQEEAMKFGRSSRISSACLYGGAPKGPQLRDIDRGVDVVVATPGRLNDILEMKRVSLRQVSYLVLDEADRMLDMGFEPQIRKIVKEIPARRQTLMFTATWPKEVRKIAADLLVNPVQVNIGNIDALVANKAITQYIEVITPMEKQRRLEQILRSQEPGSKVIIFCSTKRMCDQLARTLTRQFGAAAIHGDKSQSERDWVLNSFRTGKSPILVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGVSHTFFCEQDAKHASDLVKVLEGANQRVPSELRDMVARGGFGGKLRRWGPTSSAHDGVRSHLGTYGGRGGRDPPPSFTRLDGNHGGHARSSPPRERYDRAYHDGRERDLVTRGRHDTKGSAVMVDYRAGGRGHSRSRSPHKGYNGWGNGRKRSRSHSPYKNHHGHSHSRSRSRSPMHKQSPPRRGPPPMDHLTRGTIDSPPPQRTSPANNVAKREHQPDHMQGSRWDRSLPLHRHKSECSPHHHNDAQGMVSNGLALRQDSSHYNGEEEEEGMIPADEEGMIPPEVDNGA >DRNTG_18287.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1787614:1789916:1 gene:DRNTG_18287 transcript:DRNTG_18287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGYTSNLQSVELDGVVGTIARRYPTGKGEEERDLDE >DRNTG_16993.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000863.1:7810:15942:1 gene:DRNTG_16993 transcript:DRNTG_16993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLFKSKPRTPAELVRQARELLVYMDLNGTSREGKREEKIAELNKTIRELKSILYGNSESEPVSEACVQLTQEFFRENTLRLLIVCLPKLNLEARKDATQVVANLQRQQVHSRLIASDYLEANKDLMDILVAGYDNMDIALHYGAMLRECIRHQSIARYVLESEHIKKFFDYIQLPNFDIASDASATFKELLTRHKSTVAEFLSKNYDWFFAEFNSKLLSSPNYITRRQAIKLLGDILLDRSNSGVMTRYVSSKDNLMILMNLLRESSKNIQIEAFHVFKLFAANQNKPPEIVSILVTNRSKLLRFFSSFKLDKEDEQFEADKAQVVKEIAAL >DRNTG_16431.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7603022:7606926:-1 gene:DRNTG_16431 transcript:DRNTG_16431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFGRSSPIVFSSGGADDSILSGGEPSSQAGSAAGSFQNDGLLSGFATPSGDATGISDADFGFQRPDFGRDHLVGTVQEYDRHVFLCYKTPFVWPSHLEAPESDRLPRLLSAALAARMPDIKRKTRLTICEGEDGTESSNGDILIFPDMIRYRQLTHFDVDNFVEEVLVKDTEWLSGAVETLTGSYVFVCAHGSRDRRCGACGPVLVQKFRQEINSRSLQEQVFVSPCTHIGGHKYAGNVIIFSPNSSGEVTGHWYGYVTPDDVRALLEQHIGKGEILDRLWRGQMGLSEEEQRKSLELRLKLNSGATDDKISSESMQSASETNSSMNGVKASAASGCPGMENSGCCQNGAMKEKLENNPIVEDDAQMIMEKKSNKESKANNSKGASARKPCPMPTWYECWEREDTYAVFAVVAALASVAVAYGYYRQLK >DRNTG_34984.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002222.1:36470:37443:1 gene:DRNTG_34984 transcript:DRNTG_34984.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHGAEFMDNTYEDFDAVILATGYKSNVPYWLKESDLWEVDEAMKSSFNICWKGQSGLYSVGFTRKGLSGASIDAMKVAEDIEKCWKAEAKLQPMSTFPLN >DRNTG_29633.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5909613:5911454:1 gene:DRNTG_29633 transcript:DRNTG_29633.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 19 [Source:Projected from Arabidopsis thaliana (AT4G14750) TAIR;Acc:AT4G14750] MGKTSKWLRSFLTGKKEKEKEKNIISNKATELPGGTPVSIPTLKEKRRWSFRRSAAAKDLNSQDLVENSSPFGLRDVDLDQKRHAMAVAVATAAAADAAVAAAQAAAAVIRLTSKTNGRTSSIEEAAAIKIQSVFRSYLARKALCALKGLVKLQALVRGHLVRKQASETLRCMQALVTAQARARAQRIRMMEEGQTNLPRQSVYRRSPQHPRLRQSFEKERCLEDNAKIVEMDLGESRGSTKSRNSYSMAQSERKDHRFSDYYGCSYEPSNMDIHQISSSPSVFTDISPKACSGHFEEFSFNTARSSPQYFSAASMPDYSLYPNYMANTESSRAKARSQSAPKQRPDAWERQSSKRRPSVEGRNIPRAVRMQRSSSHVGTTAMSYQYPWSIKLDKSSISLKDSECGSTSTVMTANTNFCKSLAYEVLGTRY >DRNTG_13296.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2914797:2915502:1 gene:DRNTG_13296 transcript:DRNTG_13296.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRVANRSVNELLGQPAAVQEAQGGNQTDSANNPWVMMELLHEVVGLVQEQRLSQQPTPPPPPPPKEPKQRTVMEFRSTGPPPFEGTTNPDEVDKWVAKMEKAFAVINCTPEEKLRFSIYMIQGPANDWYNGEIRIRQGKEFESWGELRKALFGKFCLEGYSGTEEKFPEEERQEFLTTKVPDLLELETGTTPAIEFKLSHK >DRNTG_14476.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000693.1:115468:119728:-1 gene:DRNTG_14476 transcript:DRNTG_14476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNSYQWNTREKKKVAGLHEIDAVTSLAAQVESLSKKLDLIASNRVAAVTNCTGCGGGHAPSDCPIVVGTENPHGRVEIIHARVEIPHGRVFRTRPWSRPIQALFKADFSPDFSILFSIFSPTCERASPRVSRGIGQGFKEVLRLQHRDSIRKKVGRGASIEAYPIPDEGILGRRVEDFPQDHRHDHRGGASPFPSDVIDSTSIPRVLCGHNGVNGLRDEPPLGPSCACNGVKR >DRNTG_29268.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28593578:28594967:1 gene:DRNTG_29268 transcript:DRNTG_29268.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDASPKFRHPELLPAATAATSENLQLSHDGLLFWQYMIAGSIAGLVEHTAMFPVDTLKTRMQAGSLPCHLPFGLRHALASVLRHEGFLGLYRGIGAMGLGAGPAHAVYFSVYEFAKQALSKNNPNNPFAHAASGVLATVASDAVLTPMDTVKQRLQLESSPYRGVG >DRNTG_29268.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28593578:28596297:1 gene:DRNTG_29268 transcript:DRNTG_29268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDASPKFRHPELLPAATAATSENLQLSHDGLLFWQYMIAGSIAGLVEHTAMFPVDTLKTRMQAGSLPCHLPFGLRHALASVLRHEGFLGLYRGIGAMGLGAGPAHAVYFSVYEFAKQALSKNNPNNPFAHAASGVLATVASDAVLTPMDTVKQRLQLESSPYRGVG >DRNTG_25459.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1534046:1535928:1 gene:DRNTG_25459 transcript:DRNTG_25459.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVWERAVEAALEGQGDSSTAPRTLTLDGAVKCVHGRLPPPALLERFQSLEHLSIANVGVSSLEKFPRLKNLQRLILSDNRIASGLEFLVEAGLESLRDLDLSNNRIQFLEELAPLARLRLVSLDLYECPVTKVRDYRSRVFGMIRTLKYLDKMDADENERPESDDDEEDDEEEDEDEDPVSGEVDGDDRSGKVANGGRSTAGDEGIIDADDDEESDADEEETGAERRIESNGHHSNGFRVEPVRLVDGDEDDEEDVEDEDDEDDLGEEVDEEDGEDDDVVEVHEIEDSGDEDEDVVEDDDDEEEDVEDEDGEEEEEDVEDEDDAEPGSTGRGSAEGEQGVEEREFDDDDGDGEDEVKFLFSFSPVHSFTISSVIYMVI >DRNTG_34712.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:12008352:12009374:-1 gene:DRNTG_34712 transcript:DRNTG_34712.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERSQCGLQCTDFGGNLAKDQMRRHRSIKSFASKHHSDSSLTVRPKMTVSENPHGRVEIIHTRVEIPHGHMKHQRPCCLPIPALFKAKSAPIFVFFSPSFPQLERRLRLGFQGVLAKVLERFYSSNIIIL >DRNTG_15694.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6513338:6516220:1 gene:DRNTG_15694 transcript:DRNTG_15694.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDQEETKTNFEDSMTMDQVQDSIRKRLVNMEGSNFPRHIPQKKNGDLAQFDVGQGLTDTLSTLVFRTALIPILSPIFPEFAQITLISSLLPGSGLKLGLRIRKNHISWRKFGVNSIDKDIRLVECQISDLDLQIQLSSPSYEEAYALFSAHRSLSSKYFALLRQSNLRWAQRSQLMWVLNDNHIGGCGFYIFNGYKRIVIAGSSNLIDGTTLDAELKALEIGLNVVVDWILNIAVIFMDSVRVQQALMHSNTMTAWRLNQRIFNIRRMLNLNQVNIEVIPRDWNNLVDKVAAHGR >DRNTG_26438.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23970123:23972773:-1 gene:DRNTG_26438 transcript:DRNTG_26438.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYPDPMEPADSNHSLLSDRRSELGSRYVVESGVYMSAFAATVFIAALVTIGVLLLTLLVALTVMLENCQSRNSGVIEQVKASGENGYCRVFAFHAELNNLEADEFPPTCLAHAFEYIGEVQYLQDLKLTVQVAENYFSSMKPDGDGSGVILVDADDVLLPDINYTGSPGQNRLFSVSHSINCYVVYLLILCVTDALILEL >DRNTG_26438.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23970123:23972773:-1 gene:DRNTG_26438 transcript:DRNTG_26438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYPDPMEPADSNHSLLSDRRSELGSRYVVESGVYMSAFAATVFIAALVTIGVLLLTLLVALTVMLENCQSRNSGVIEQVKASGENGYCRVFAFHAELNNLEADEFPPTCLAHAFEYIGEVQYLQDLKLTVQVAENYFSSMKPDGDGSGVILVDADDVLLPDINYTGSPGQNRIKQVDDMENQARSLVLELHLKLQTHGWILIPFTRRHMKHYNATIASLSSVGFGGHSSLIMRSDDEVAMENWKFISERRVSLHNQGVHIISVISSQMDALTGPCLGQRNFKLAHPIHHRTEQHHNL >DRNTG_26583.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20120693:20124314:-1 gene:DRNTG_26583 transcript:DRNTG_26583.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTELDAAKQELRHIKKDHERYMNAKLCAFKEEAQAKDLDERNKERSAQLSKEINEAKESLLHVKLAIEQAQQEESKIISAKGTTWQSHHQALEETQRKLASQKKRFEPELYQNFEAKLAEVTTEIACVQKEIESTKTSGFDSVSTATTDLLVAKEVLQKTVEEENSLLKLIESLKIELEAVKEEHAELKKKDVETESVIGSLHLKLRRCKDDLEAAIASDSKTTLASDEMVSSLEKLSVELEIAQGETEAMNKNAQELRNEAEAACISLDTAEKRLKVALKDAEEAKAAELMALDQIKDLSEKTNAARASISESGTDITISAEEYSSLSRKVEESEKVAEMKVAAAIAQVEAVRTSENEVIKRLEAVKKEMERMETATTEALKRAEMAEAAKKAVEGELRRWREREHKRAAEPAPRIITETQTTTEASSATLKDIPILERTDENPQTNKAHVAKKTLLPSLSGIFHRKKSQVNG >DRNTG_26583.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20120693:20125573:-1 gene:DRNTG_26583 transcript:DRNTG_26583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRIRQTATDSPKAEVGEIDTRAPFASVKAALSLFGAGASSPEKLTVRRPKPAPIENALAKETEFHLAQKELNKLKEQLSNSETTRAQALAELDVVKRTVEDIYQKLNAINESKQFALKATESAKSQTKQLEEASSGGISQQDAAWKQELNISREKYALVMTELDAAKQELRHIKKDHERYMNAKLCAFKEEAQAKDLDERNKERSAQLSKEINEAKESLLHVKLAIEQAQQEESKIISAKGTTWQSHHQALEETQRKLASQKKRFEPELYQNFEAKLAEVTTEIACVQKEIESTKTSGFDSVSTATTDLLVAKEVLQKTVEEENSLLKLIESLKIELEAVKEEHAELKKKDVETESVIGSLHLKLRRCKDDLEAAIASDSKTTLASDEMVSSLEKLSVELEIAQGETEAMNKNAQELRNEAEAACISLDTAEKRLKVALKDAEEAKAAELMALDQIKDLSEKTNAARASISESGTDITISAEEYSSLSRKVEESEKVAEMKVAAAIAQVEAVRTSENEVIKRLEAVKKEMERMETATTEALKRAEMAEAAKKAVEGELRRWREREHKRAAEPAPRIITETQTTTEASSATLKDIPILERTDENPQTNKAHVAKKTLLPSLSGIFHRKKSQVNG >DRNTG_26583.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20120693:20125573:-1 gene:DRNTG_26583 transcript:DRNTG_26583.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTELDAAKQELRHIKKDHERYMNAKLCAFKEEAQAKDLDERNKERSAQLSKEINEAKESLLHVKLAIEQAQQEESKIISAKGTTWQSHHQALEETQRKLASQKKRFEPELYQNFEAKLAEVTTEIACVQKEIESTKTSGFDSVSTATTDLLVAKEVLQKTVEEENSLLKLIESLKIELEAVKEEHAELKKKDVETESVIGSLHLKLRRCKDDLEAAIASDSKTTLASDEMVSSLEKLSVELEIAQGETEAMNKNAQELRNEAEAACISLDTAEKRLKVALKDAEEAKAAELMALDQIKDLSEKTNAARASISESGTDITISAEEYSSLSRKVEESEKVAEMKVAAAIAQVEAVRTSENEVIKRLEAVKKEMERMETATTEALKRAEMAEAAKKAVEGELRRWREREHKRAAEPAPRIITETQTTTEASSATLKDIPILERTDENPQTNKAHVAKKTLLPSLSGIFHRKKSQVNG >DRNTG_15056.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5536992:5538654:-1 gene:DRNTG_15056 transcript:DRNTG_15056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLISHHPSSSSSSSSSNSSRTHTSGGKGGRNFERRYSKIADNFECLEQVTEALAQAGLESSNLIVGIDFTKSNEWSGKHSFNNQSLHHISSSYNPYEQAISIVGRTLSPFDEDDLIPCFGFGDATTHDQNVFDFNPDLRPCHGF >DRNTG_12566.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:774913:778367:1 gene:DRNTG_12566 transcript:DRNTG_12566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSSTMRSSNREDYMRKDANPLLGARWMGSGGGDRLTTTYDLVEQMYYLYVRVVKAKDLPTSAITGSCDPYVEVKLGNYKGTTKHLEKRPNPEWHQVFAFSKERIQSSVLEVYVKDKEMVGRDDFMGRVVFDLNEVPTRVPPDSPLAPPVVQAGGPSWHQGTREIMVAVWVGTQADEAFPDAWHTDAASVHTEGMINIRSKVYVSPKLWYLRVNIIEAQDIEPNERGRSPEVFVRAQVGNQILRTKTCTAKTMNPLWNEDLVFVAAEPFEEQLVLTVEDRVSPRKDDVLGKITLPLTIFEKRLDHRPVDSRWFDLEKFGLGVLEGDWRKELRFASRIHLRVCLDGGYHVMDESTMYISDQRPTARQLWKQPIGMLEVGILGAEKLLPMKTKGSRGTTDAYCIAKYGQKWVRTRTIIGSFSPRWNEQYTWEVYDPCTVITIGVFDNWHLGGPSSGGSGRDPRIGKVRIRVSTLESEKVYKHSFPLLVLEPSGVKKMGELQLAVRFTCLSVAHMIYIYGHPLLPKMHYLHPFTVNQLDTLRHQAMTIVAARLGRAEPPLRREVVEYMLDVESHLWSMRRSKANFFRIMALLSGMISLFKWFHGVSHWAKPYHYCACPCLAAHTHLLPGAGTANSIPLHVCHRNVEL >DRNTG_32981.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20983005:20983817:1 gene:DRNTG_32981 transcript:DRNTG_32981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRMSLKLLIDTKSNRVLFAEAGKEVVDFLFTLLALPLGSIVKLLSKGRMVGSIGSIYRSLENLDSTYIQPNQDKDILLSPQVREQKLYQNKLLLPAPAPVKVEAYYTCGINSYGSSCSQYVTKTSGIQCPSCSRKMDKALRWLQAESGMASGVGGGEEGLVKGVVTYTIMDDLSVTPMSAISSITLLSKFNVKNVDILKEKNVSLGMKEALDLLKASLASKTVLTDVFLASKE >DRNTG_32981.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20983005:20983658:1 gene:DRNTG_32981 transcript:DRNTG_32981.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRMSLKLLIDTKSNRVLFAEAGKEVVDFLFTLLALPLGSIVKLLSKGRMVGSIGSIYRSLENLDSTYIQPNQDKDILLSPQVREQKLYQNKLLLPAPAPVKVEAYYTCGINSYGSSCSQYVTKTSGIQCPSCSRKMDKALRWLQAESGMASGVGGGEEGLVKGVVTYTIMDDLSVTPMSAISSITLLSKFNVKNVDILKEKNVSLGMKE >DRNTG_19693.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001040.1:96116:99417:-1 gene:DRNTG_19693 transcript:DRNTG_19693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPEQCSTRDPTDQGGREGTGLEHYWLQHYT >DRNTG_21216.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001211.1:1891:2535:1 gene:DRNTG_21216 transcript:DRNTG_21216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAECSFSLYDEALHLKFKFLITSKPQAKISKDVFVLLLLSPKCWLPKSPSRNPPNPLLYPPRILPNGGRMGHKMRPKNSPKSLPYQILSYTPQEYSPNGGRATVDAIVPLLQFSGYSFLL >DRNTG_22465.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20677228:20681923:-1 gene:DRNTG_22465 transcript:DRNTG_22465.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFWTQANALLRKNLIFQKRNRKTSIRIIVYPIILCVLLVLIQSLINNELHKSKYKCGCTCVDKNGNGSCETVCGIQYSTLDQVDSCSVPSPFEWPVLLQVPRPEYRAVQNSFDTFAGLPEKSCRDSESCPATVLFTGGNESLANSLAANLFTNVASSNLSDYLSAFSELIPGTDTVSGDTQFIDPAFIYGRPIYTNQPQCISNSNREFSFKIDTVTMQEDLECVRGLPLWRRSSSDVNDELFKGYRKGNAEMMINEVMSAYDFLETDDRNFHVNVWYNSTYQNTSVWDTTPGLLRVLRSINIVSNAYLQFLKGSGVKVLFEFTKEMPKIATQLSFDFSSLLGPLFFKWVIELLLPVILTYLVYEKQHKLKIMMKMHGLEDGAYWAISYAYFLLLSTAYVVFFMVFGSLIGLKFFKLNDYSIQFVFYFTYVNLQIVLAFLATTFFSDVKTAEAIAYIYIFGTGFVGGYLLPSFIQDTSFPKNWLIVMELLPAFSLYRGLYELSQYSFSGDYMGTHGMQWTDLSDHLNGMKDVLIIIVAEWLILLPFAYYIDRTASRGNISMKGLFSVLQRFLTKKSISTQRSESGKVFIEMEKPDVIREREVVEHSLLESRTSHAVICDNLKKVYSGKDGNKDKFAVKGVSIALQSGECFGLLGPNGAGKTSLINMMIGLVKPTSGNTFVQGLNIQTEKDKVYSSMGVCPQNDMLWEMLTGREHLMFYGRLKNLKGEALMQAVAESLRSVNLFHGGIADKFVGKYSGGMKRRLSVAISLIGDPKVVYMDEPSTGLDPASRNNLWNVVKQAKQNRAIILTTHSMEEAEVLCDRLGIIVDGSLQCIGNPKELKARFGGYYVLTMATSTNEEHEVEVLVNKLSPNAKKIYHVSGTQKFELPKQEVRIADVFLAVKDAKSKFSVQAWALSDTTMEDVFIKVAKEAGSI >DRNTG_18638.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6447014:6454174:1 gene:DRNTG_18638 transcript:DRNTG_18638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVRAEGAMNDGTGDSVHGNVLMDNRTGKRVRRGPTTLKELYSLPPTEKILRVGLHYENWHKVPKTLKEELLKFVEEQANEMLGQYEGTNDDAQMVETEILTKVIGKERHGQVKWLGLGPTPKMYYRSSTSKVSTGASNKTGKSDDNFNQELVQRVQQLEQEREDVPLPNIGASSAQSQNHYVEATTPEANIGGSGGSDLNCSYPWPPYKIIILDKADSMTEDAKVLLILLTEDAKCEAIGQQR >DRNTG_05609.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20449344:20450375:-1 gene:DRNTG_05609 transcript:DRNTG_05609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLSLLGLFLVLVVSVFPPCYVHGISCIETERIALLSIKAGIDHSNNQSLFSSWTGQDCCKWQGVSCDHESRHVIKLDLRQYLSETYLLLSKPASKLNVSLVQLHHLKYLDLSMNNFNNSPIPDFIGSLANLEHLDLSNTGFSGIIPHTFGNLSSLRYLDLNTYSNSIIQASDLHWLSRMTSLHHLDLSGVDLSNIAGWLHEFNLLPFLVVLKLSDAGLQADAGGIHDTTPLHHLNLTSLRVLDLSGNYDLNITLLHWLFNLTGLVNLDLSSCFFYDKFPDIFGNMSSLRVLSLSTNCFDGVLPRSLGNLGSLERLDLSQNDFNGSIPESLSNLTNLVYFNLY >DRNTG_25539.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3001081:3001824:1 gene:DRNTG_25539 transcript:DRNTG_25539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLDKLWDDVVAGPSPDKGLGKAPENLNQAQPLNHQRWRSRGEQWKWKVPEIYVYAGDAEDSGDTDNAGLGQEAKRVA >DRNTG_25005.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27221827:27223617:-1 gene:DRNTG_25005 transcript:DRNTG_25005.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERQFFRRSNPWNIPSHYSYYHPRPQPRPQPTKPKIISVPVHFMNSDDTPIREPRPKPVRDPEAERLAAVLRMQRITRGFLVRKNLRAVKKIEAEVEEIRRRIEAAQDLVRRDEKERLRLNEMLMRLLFRLDSVRGVRDYRKRMIRRVIALQEAVDSMAGSMETGNGEDGVTLGTPDRESMATDAIHEGSEGLEVGPMEEAPEINDITEMKQGEEPEILGEGDLKEETTNANSNLTEETSGIKDISEEPLKDMSSPELEEKTTEIGDITEDRLVETIETTESARQGGEGCEGTPEVEDIAEMKQGGEQEILEERHLKEGSTNTNSNPIENASGIKDLSGESMEETRTPEPEEKATEIRDITEDPIDKTIETVKSAQKAEINNCCWETFEASGTMEEKTRSSGLEGEAMKEVMDRVAAESEKLRELVAKLCERSAQQCMMMGGLAQRVENLERTVQRMEAARKKKKMKHRC >DRNTG_25005.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27221870:27223480:-1 gene:DRNTG_25005 transcript:DRNTG_25005.6 gene_biotype:protein_coding transcript_biotype:protein_coding MERQFFRRSNPWNIPSHYSYYHPRPQPRPQPTKPKIISVPVHFMNSDDTPIREPRPKPVRDPEAERLAAVLRMQRITRGFLVRKNLRAVKKIEAEVEEIRRRIEAAQDLVRRDEKERLRLNEMLMRLLFRLDSVRGVRDYRKRMIRRVIALQEAVDSMAGSMETGNGEDGVTLGTPDRESMATDAIHEGSEGLEVGPMEEAPEINDITEMKQGEEPEILGEGDLKEETTNANSNLTEETSGIKDISEEPLKDMSSPELEEKTTEIGDITEDRLVETIETTESARQGGEGCEGTPEVEDIAEMKQGGEQEILEERHLKEGSTNTNSNPIENASGIKDLSGESMEETRTPEPEEKATEIRDITEDPIDKTIETVKSAQKAEINNCCWETFEASGTMEEKTRSSGLEGEAMKEVMDRVAAESEKLRELVAKLCERSAQQCMMMGGLAQRVENLERTVQRMEAARKKKKMKHRC >DRNTG_25005.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27221966:27223617:-1 gene:DRNTG_25005 transcript:DRNTG_25005.5 gene_biotype:protein_coding transcript_biotype:protein_coding MERQFFRRSNPWNIPSHYSYYHPRPQPRPQPTKPKIISVPVHFMNSDDTPIREPRPKPVRDPEAERLAAVLRMQRITRGFLVRKNLRAVKKIEAEVEEIRRRIEAAQDLVRRDEKERLRLNEMLMRLLFRLDSVRGVRDYRKRMIRRVIALQEAVDSMAGSMETGNGEDGVTLGTPDRESMATDAIHEGSEGLEVGPMEEAPEINDITEMKQGEEPEILGEGDLKEETTNANSNLTEETSGIKDISEEPLKDMSSPELEEKTTEIGDITEDRLVETIETTESARQGGEGCEGTPEVEDIAEMKQGGEQEILEERHLKEGSTNTNSNPIENASGIKDLSGESMEETRTPEPEEKATEIRDITEDPIDKTIETVKSAQKAEINNCCWETFEASGTMEEKTRSSGLEGEAMKEVMDRVAAESEKLRELVAKLCERSAQQCMMMGGLAQRVENLERTVQRMEAARKKKKMKHRC >DRNTG_25005.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27221966:27223480:-1 gene:DRNTG_25005 transcript:DRNTG_25005.7 gene_biotype:protein_coding transcript_biotype:protein_coding MERQFFRRSNPWNIPSHYSYYHPRPQPRPQPTKPKIISVPVHFMNSDDTPIREPRPKPVRDPEAERLAAVLRMQRITRGFLVRKNLRAVKKIEAEVEEIRRRIEAAQDLVRRDEKERLRLNEMLMRLLFRLDSVRGVRDYRKRMIRRVIALQEAVDSMAGSMETGNGEDGVTLGTPDRESMATDAIHEGSEGLEVGPMEEAPEINDITEMKQGEEPEILGEGDLKEETTNANSNLTEETSGIKDISEEPLKDMSSPELEEKTTEIGDITEDRLVETIETTESARQGGEGCEGTPEVEDIAEMKQGGEQEILEERHLKEGSTNTNSNPIENASGIKDLSGESMEETRTPEPEEKATEIRDITEDPIDKTIETVKSAQKAEINNCCWETFEASGTMEEKTRSSGLEGEAMKEVMDRVAAESEKLRELVAKLCERSAQQCMMMGGLAQRVENLERTVQRMEAARKKKKMKHRC >DRNTG_25005.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27221870:27223617:-1 gene:DRNTG_25005 transcript:DRNTG_25005.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERQFFRRSNPWNIPSHYSYYHPRPQPRPQPTKPKIISVPVHFMNSDDTPIREPRPKPVRDPEAERLAAVLRMQRITRGFLVRKNLRAVKKIEAEVEEIRRRIEAAQDLVRRDEKERLRLNEMLMRLLFRLDSVRGVRDYRKRMIRRVIALQEAVDSMAGSMETGNGEDGVTLGTPDRESMATDAIHEGSEGLEVGPMEEAPEINDITEMKQGEEPEILGEGDLKEETTNANSNLTEETSGIKDISEEPLKDMSSPELEEKTTEIGDITEDRLVETIETTESARQGGEGCEGTPEVEDIAEMKQGGEQEILEERHLKEGSTNTNSNPIENASGIKDLSGESMEETRTPEPEEKATEIRDITEDPIDKTIETVKSAQKAEINNCCWETFEASGTMEEKTRSSGLEGEAMKEVMDRVAAESEKLRELVAKLCERSAQQCMMMGGLAQRVENLERTVQRMEAARKKKKMKHRC >DRNTG_25005.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27221966:27223749:-1 gene:DRNTG_25005 transcript:DRNTG_25005.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERQFFRRSNPWNIPSHYSYYHPRPQPRPQPTKPKIISVPVHFMNSDDTPIREPRPKPVRDPEAERLAAVLRMQRITRGFLVRKNLRAVKKIEAEVEEIRRRIEAAQDLVRRDEKERLRLNEMLMRLLFRLDSVRGVRDYRKRMIRRVIALQEAVDSMAGSMETGNGEDGVTLGTPDRESMATDAIHEGSEGLEVGPMEEAPEINDITEMKQGEEPEILGEGDLKEETTNANSNLTEETSGIKDISEEPLKDMSSPELEEKTTEIGDITEDRLVETIETTESARQGGEGCEGTPEVEDIAEMKQGGEQEILEERHLKEGSTNTNSNPIENASGIKDLSGESMEETRTPEPEEKATEIRDITEDPIDKTIETVKSAQKAEINNCCWETFEASGTMEEKTRSSGLEGEAMKEVMDRVAAESEKLRELVAKLCERSAQQCMMMGGLAQRVENLERTVQRMEAARKKKKMKHRC >DRNTG_25005.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27221870:27223749:-1 gene:DRNTG_25005 transcript:DRNTG_25005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQFFRRSNPWNIPSHYSYYHPRPQPRPQPTKPKIISVPVHFMNSDDTPIREPRPKPVRDPEAERLAAVLRMQRITRGFLVRKNLRAVKKIEAEVEEIRRRIEAAQDLVRRDEKERLRLNEMLMRLLFRLDSVRGVRDYRKRMIRRVIALQEAVDSMAGSMETGNGEDGVTLGTPDRESMATDAIHEGSEGLEVGPMEEAPEINDITEMKQGEEPEILGEGDLKEETTNANSNLTEETSGIKDISEEPLKDMSSPELEEKTTEIGDITEDRLVETIETTESARQGGEGCEGTPEVEDIAEMKQGGEQEILEERHLKEGSTNTNSNPIENASGIKDLSGESMEETRTPEPEEKATEIRDITEDPIDKTIETVKSAQKAEINNCCWETFEASGTMEEKTRSSGLEGEAMKEVMDRVAAESEKLRELVAKLCERSAQQCMMMGGLAQRVENLERTVQRMEAARKKKKMKHRC >DRNTG_27373.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001391.1:73050:80810:-1 gene:DRNTG_27373 transcript:DRNTG_27373.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEAPPAAEEPPLVRMFSPSRANDRFETLENAIGVQILERDVASSFVLQPRTLQAPSVSPAPPSSTPAPEDPLYASTSAAAAAEPESDSDI >DRNTG_03373.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30135196:30140127:1 gene:DRNTG_03373 transcript:DRNTG_03373.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACAHDHSCEDHNCSAEFSLYKHIDFSKARALNEAVAGSVKSVFKAWEHRLDNTEGFLESNDGDPELIVFIPFTSDVKIRSISVVGGAGGTSPSKMRAFINREGIDFSDAQNMQPIQEWDLVENLQGVLEYQTRYSRFQSVANLTLHFPENFGGDTAQIYYIGLRG >DRNTG_03373.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30135196:30140127:1 gene:DRNTG_03373 transcript:DRNTG_03373.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAHDHSCEDHNCSAEFSLYKHIDFSKARALNEAVAGSVKSVFKAWEHRLDNTEGFLESNDGDPELIVFIPFTSDVKIRSISVVGGAGGTSPSKMRAFINREGIDFSDAQNMQPIQEWDLVENLQGVLEYQTRYSRFQSVANLTLHFPENFGGDTAQIYYIGLRG >DRNTG_18427.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7348440:7351774:-1 gene:DRNTG_18427 transcript:DRNTG_18427.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVSRNMCLVVFDPRTILTTSSYRRTRSWHISLSELGITDDISKAFFRPIQSAAPPSPSKRRTKVSVIGAGNVGMAIAQTILTQDLVDELALVDAKPDKLRGEMLDLQHAAAFLPRTRIFADTDYAITASSDLCIITAGARQIPGESRLDLLQRNLDLFRIIVPPLAKYSPEALLLVVSNPVDVLTYIAWKLSGFPPNRVIGSGTNLDSSRFRFLLADHLEVNAQDVQAYMVGEHGDSSVALWSSISVGGVPVLGSLERHQIEYEEGVLEKIRKAVVESAYEVIQLKGYTSWAIGYSVASLARSLLRDQRRIHPVSLLAKGFYGIDEEKEVFLSLPAQLGRGGVLGVASVHLTEEEAKRLRCSADTLWEIQQKLGI >DRNTG_18427.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7348440:7349938:-1 gene:DRNTG_18427 transcript:DRNTG_18427.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKATSLSELGITDDISKAFFRPIQSAAPPSPSKRRTKVSVIGAGNVGMAIAQTILTQDLVDELALVDAKPDKLRGEMLDLQHAAAFLPRTRIFADTDYAITASSDLCIITAGARQIPGESRLDLLQRNLDLFRIIVPPLAKYSPEALLLVVSNPVDVLTYIAWKLSGFPPNRVIGSGTNLDSSRFRFLLADHLEVNAQDVQAYMVGEHGDSSVALWSSISVGGVPVLGSLERHQIEYEEGVLEKIRKAVVESAYEVIQLKGYTSWAIGYSVASLARSLLRDQRRIHPVSLLAKGFYGIDEEKEVFLSLPAQLGRGGVLGVASVHLTEEEAKRLRCSADTLWEIQQKLGI >DRNTG_10985.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000482.1:26847:27623:-1 gene:DRNTG_10985 transcript:DRNTG_10985.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTNRLFIVTKEEQNQTQSKTKLNKHRETERLTK >DRNTG_10985.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000482.1:26798:27623:-1 gene:DRNTG_10985 transcript:DRNTG_10985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTNRLFIVTKEEQNQTQSKTKLNKHRETERLTK >DRNTG_10985.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000482.1:26847:27623:-1 gene:DRNTG_10985 transcript:DRNTG_10985.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTNRLFIVTKEEQNQTQSKTKLNKHRETERLTK >DRNTG_14877.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2890962:2893789:-1 gene:DRNTG_14877 transcript:DRNTG_14877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSEDEGSTRDNNVDLNDDNMEQHMGLEVSSHLAEEGGLFVPHQQNAENPEPFVGMEFESEESAKSFYMAYASRVGFSVRISKSRRSRNDESIIMRRFVCSKEGFHMKKGNFDDGKKKRKRATIREGCNAMIEVIQKYYGRWVVSKLVKDHNHLVEAPSRVRYIAPEEYAGIEPFLGMEFHSHEAAQTFYYAYASRVGFDVRIRLSRRSTRDDSFVMRRFVCTKEGFTPFEESYDENKKKRSRTPTREGCKAMFEVIKKDYDKWVVSKLVTEHTHDLAVAPSKVHYIQSQSEVVVLAKSGTVNRDKSATPANLKPQLVEPVTGFSNPPPNDQDLRTDTKEVRQYAFGVEESQGLLEYFKRMQSENPTFSYAFQLDKNNCLLNIFWADAKAKMAYYCFGDVVTLDMSYRRNKNMIPFAMFTGVNHHLQSVIFGCALMTDETEASYVWLFENWLLAMCGGRPPVSLITDQQEAIGAAIAKMFPETRHCICKRHILNKCKEKLSNLYAVHATFEEEFEKCVDNSETVEIFEASWKSILDKYDMGENSWLQFLYSLRQKWVPVYLKDTFTAEISVVHRPESLNKFFEKYFNSKTNLLVFISLFEQAMAGWYEREALEDLATQYTRPLLKTPSNMLKQVAEIYTRTIFDIFQEEFVESLGYYVDKIEDAMVSKYRVTRDEDAHAPCTVSYDFSEKRTSCSCCKFETSGILCRHILRVFLTVDVRVLPEYYILKRWTRDAKSGFVLDESVRYNELCRDAMKYAREGSTSGEIYNVAKEALQMAFAEVMAAKKDIINRCPI >DRNTG_14877.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2890962:2893473:-1 gene:DRNTG_14877 transcript:DRNTG_14877.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSEDEGSTRDNNVDLNDDNMEQHMGLEVSSHLAEEGGLFVPHQQNAENPEPFVGMEFESEESAKSFYMAYASRVGFSVRISKSRRSRNDESIIMRRFVCSKEGFHMKKGNFDDGKKKRKRATIREGCNAMIEVIQKYYGRWVVSKLVKDHNHLVEAPSRVRYIAPEEYAGIEPFLGMEFHSHEAAQTFYYAYASRVGFDVRIRLSRRSTRDDSFVMRRFVCTKEGFTPFEESYDENKKKRSRTPTREGCKAMFEVIKKDYDKWVVSKLVTEHTHDLAVAPSKVHYIQSQSEVVVLAKSGTVNRDKSATPANLKPQLVEPVTGFSNPPPNDQDLRTDTKEVRQYAFGVEESQGLLEYFKRMQSENPTFSYAFQLDKNNCLLNIFWADAKAKMAYYCFGDVVTLDMSYRRNKNMIPFAMFTGVNHHLQSVIFGCALMTDETEASYVWLFENWLLAMCGGRPPVSLITDQQEAIGAAIAKMFPETRHCICKRHILNKCKEKLSNLYAVHATFEEEFEKCVDNSETVEIFEASWKSILDKYDMGENSWLQFLYSLRQKWVPVYLKDTFTAEISVVHRPESLNKFFEKYFNSKTNLLVFISLFEQAMAGWYEREALEDLATQYTRPLLKTPSNMLKQVAEIYTRTIFDIFQEEFVESLGYYVDKIEDAMVSKYRVTRDEDAHAPCTVSYDFSEKRTSCSCCKFETSGILCRHILRVFLTVDVRVLPEYYILKRWTRDAKSGFVLDESVRYNELCRDAMKYAREGSTSGEIYNVAKEALQMAFAEVMAAKKDIINRCPI >DRNTG_05547.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16575220:16575792:1 gene:DRNTG_05547 transcript:DRNTG_05547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGTGANPSPFPKWGWGWGMPSRPESPVGIPAGRESPPGWGWGREFPPSGNRGWGIPVPAIDEDGEGHPCPRPVTTPTFESKQYVNL >DRNTG_24694.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19172256:19175948:1 gene:DRNTG_24694 transcript:DRNTG_24694.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPSKCLLVTGASGVGKTTLVMRVLERLRVSHPSLNICGFYTREIREGFDRVGFEVVTLDGRQGPLASTKVSSQEALRWPSVGKYKVDVASFESLALPELQVKQGTDLFIIDEVGKMELYSPLFFQAVLNVLESNIPILASIPIPKFGRDIPGVARLRNHPGASVFTLNTGNRDAMRENIYTQLVNLLPKP >DRNTG_05373.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13571016:13578863:-1 gene:DRNTG_05373 transcript:DRNTG_05373.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAVENLGDNNWQMVSSSLEGRTGPQCSNRWRKSILPDRRVGRWSIDEDKRLKVAVMLFGAKNWNKIARFAPGRTQVQCRERWLNCLDPSLNLEAWIAEEDTKLLDAINTYGYCWSKVATCVPPRTDSQCRRRWKILLPHEVPLLQAARQIKRTALVSNFVDRESERPSIGPNDFCPSANPPIAEKEKSGRTRMKRPREKTKSKKQLEANRNNLSKKRRPKSREPDQNVIADGTINSSEDNALAILPLIPLSKALNTDEMRDIKRPRNKAPSDGLPKKLRAKSGSHHENFVAVDNIADNVLTDFSHVHVKDPNTAESRLSGITRKKSSRDTQPKKARTKPERSLVGGSSAGDAIISSETVAADPSAVFRVTSNGAASCAHKRRRKTVSRPQDGSRAKVF >DRNTG_05373.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13571016:13578863:-1 gene:DRNTG_05373 transcript:DRNTG_05373.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPSSSSSSSSDCDESLADDLNDLRQALTLTGADANAFSGAPLVSDSDSDSADEDIDLLRNLQSRFSSAPAGDSSSPLSDTLDSEDESDEDLATLRAIQRRFSQYEASQDREEGKEASWDSPRLDLHSRFPKSCECFVEALKKNRACQRFIREKLIEIEAKIEENKNLKERVKCLMEFQMDLRRKTGHIFGQQKDARARLVSMRMTASMSKKKNSRKIPVLCLGPPENSSVSDYKLILRRFPFSTNRQAWSNEEREKLAKGIRQQYQELMVLSSMSLDSDFDGSAYSKLMFALSSKNLEVTPEKIRSFLPSVIWDRLASMYLTGRSGAECEARWLNHEDPLINHNPWTILEDKKLLFIVQERGLYNWIDIAITLGTHRTPFQCLARYQRSLNPHILKKDWTEEEDAMLRAAVENLGDNNWQMVSSSLEGRTGPQCSNRWRKSILPDRRVGRWSIDEDKRLKVAVMLFGAKNWNKIARFAPGRTQVQCRERWLNCLDPSLNLEAWIAEEDTKLLDAINTYGYCWSKVATCVPPRTDSQCRRRWKILLPHEVPLLQAARQIKRTALVSNFVDRESERPSIGPNDFCPSANPPIAEKEKSGRTRMKRPREKTKSKKQLEANRNNLSKKRRPKSREPDQNVIADGTINSSEDNALAILPLIPLSKALNTDEMRDIKRPRNKAPSDGLPKKLRAKSGSHHENFVAVDNIADNVLTDFSHVHVKDPNTAESRLSGITRKKSSRDTQPKKARTKPERSLVGGSSAGDAIISSETVAADPSAVFRVTSNGAASCAHKRRRKTVSR >DRNTG_05373.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13571016:13578863:-1 gene:DRNTG_05373 transcript:DRNTG_05373.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAVENLGDNNWQMVSSSLEGRTGPQCSNRWRKSILPDRRVGRWSIDEDKRLKVAVMLFGAKNWNKIARFAPGRTQVQCRERWLNCLDPSLNLEAWIAEEDTKLLDAINTYGYCWSKVATCVPPRTDSQCRRRWKILLPHEVPLLQAARQIKRTALVSNFVDRESERPSIGPNDFCPSANPPIAEKEKSGRTRMKRPREKTKSKKQLEANRNNLSKKRRPKSREPDQNVIADGTINSSEDNALAILPLIPLSKALNTDEMRDIKRPRNKAPSDGLPKKLRAKSGSHHENFVAVDNIADNVLTDFSHVHVKDPNTAESRLSGITRKKSSRDTQPKKARTKPERSLVGGSSAGDAIISSETVAADPSAVFRVTSNGAASCAHKRRRKTVSR >DRNTG_05373.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13571016:13578863:-1 gene:DRNTG_05373 transcript:DRNTG_05373.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPSSSSSSSSDCDESLADDLNDLRQALTLTGADANAFSGAPLVSDSDSDSADEDIDLLRNLQSRFSSAPAGDSSSPLSDTLDSEDESDEDLATLRAIQRRFSQYEASQDREEGKEASWDSPRLDLHSRFPKSCECFVEALKKNRACQRFIREKLIEIEAKIEENKNLKERVKCLMEFQMDLRRKTGHIFGQQKDARARLVSMRMTASMSKKKNSRKIPVLCLGPPENSSVSDYKLILRRFPFSTNRQAWSNEEREKLAKGIRQQYQELMVLSSMSLDSDFDGSAYSKLMFALSSKNLEVTPEKIRSFLPSVIWDRLASMYLTGRSGAECEARWLNHEDPLINHNPWTILEDKKLLFIVQERGLYNWIDIAITLGTHRTPFQCLARYQRSLNPHILKKDWTEEEDAMLRAAVENLGDNNWQMVSSSLEGRTGPQCSNRWRKSILPDRRVGRWSIDEDKRLKVAVMLFGAKNWNKIARFAPGRTQVQCRERWLNCLDPSLNLEAWIAEEDTKLLDAINTYGYCWSKVATCVPPRTDSQCRRRWKILLPHEVPLLQAARQIKRTALVSNFVDRESERPSIGPNDFCPSANPPIAEKEKSGRTRMKRPREKTKSKKQLEANRNNLSKKRRPKSREPDQNVIADGTINSSEDNALAILPLIPLSKALNTDEMRDIKRPRNKAPSDGLPKKLRAKSGSHHENFVAVDNIADNVLTDFSHVHVKDPNTAESRLSGITRKKSSRDTQPKKARTKPERSLVGGSSAGDAIISSETVAADPSAVFRVTSNGAASCAHKRRRKTVSRPQDGSRAK >DRNTG_14607.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5684875:5698201:1 gene:DRNTG_14607 transcript:DRNTG_14607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVNLFSGSLLYGNNIISGAIIPTSAAIGPEWELSFHLGMRPWIAVAYLALVAAATALFFIYPIGQGSFSDGVAGVFGGCLFSAMHGSLVTSRCCRKLNLFHTDATTAITLAAGGEWKRKPLDVLFGKRDFNPGAVVLPNHWAGDVRCEIFSYLYRFAKVWLDTMRWQPQLAWQDGSKGQRSKIRCWSGSVKLRLSNRVA >DRNTG_08856.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27828703:27829539:1 gene:DRNTG_08856 transcript:DRNTG_08856.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGQSTGISASGRREEHQGHGSYEAADGGTAKVTTATSTGADCLARHIRTHTTLHANESGLHQPDKIVTLFLC >DRNTG_13117.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17301882:17306849:1 gene:DRNTG_13117 transcript:DRNTG_13117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDHVNRNKKGEGEDDDFMDVLLSIQGEPNKEFSLFKDHMKALLLDMFVGGSEPGYVALEWSLAELIRNPKVMKKLQDEVRGKAFGKSMVKDLSDMNYLKAFLKEILRLHPPAPLLLPRESMESCQIQGYDIPKKTRVIVNYWAITRDPKVWVSPEELRPGRFENNPIDFKGQHNCEYIPFGAGRRTCSGSQYGATITMLALANLVHRFDWRLPNSMVIEELDMTETHALTVRMKNNLFLVAKPCF >DRNTG_23869.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20836653:20840340:-1 gene:DRNTG_23869 transcript:DRNTG_23869.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLHCSSSSFLLLFALVIPSLAGKSSGVCVSPGGRFPPFSSEGKAPGLVSRGPRDLALCRVFRKNTCCDLAQTYPALLAIRRLASAGEASQECLSLWELLECSICHPLVGVHPGPPLICESFCDGIFQTCANAYFSIDAKTQVLSPCGPSDVVCGRASEWVSNGTELCHLAGFAVQPANFISQSDTVPFCYGEKSSIESVAGSWSTPRSRSLFKGGKSRVLEDFRQWLGDMATTEKVSWAIGGMVLTAGLLFVSKRKGYSRRQKQAAIFRTARKLEARINQQPARK >DRNTG_23869.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20836653:20840340:-1 gene:DRNTG_23869 transcript:DRNTG_23869.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPENFSGKSSGVCVSPGGRFPPFSSEGKAPGLVSRGPRDLALCRVFRKNTCCDLAQTYPALLAIRRLASAGEASQECLSLWELLECSICHPLVGVHPGPPLICESFCDGIFQTCANAYFSIDAKTQVLSPCGPSDVVCGRASEWVSNGTELCHLAGFAVQPANFISQSDTVPFCYGEKSSIESVAGSWSTPRSRSLFKGGKSRVLEDFRQWLGDMATTEKVSWAIGGMVLTAGLLFVSKRKGYSRRQKQAAIFRTARKLEARINQQPARK >DRNTG_23765.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21229123:21229510:1 gene:DRNTG_23765 transcript:DRNTG_23765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSSASICGLFLIFNLLFFTFGTSYSGGYSCSITTKRWPMPSAWCMCYSGRLHSRVYET >DRNTG_16748.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10978459:10980293:1 gene:DRNTG_16748 transcript:DRNTG_16748.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRNGTRAFGSIELRATNVEYTMMLPVFISWKSPTEERPVEIGKKGLECGANMACYKYSQRKMRRDGLSEVAAGLPEESPPPLANIDIYSRSAYSLPDQARTNRATTWDGNGSEHMHHLMKALKSIHLRSVTCQPPDPSSSPNALGGSATERSANKPHAGKLARVVLAGDPVETNRAPSDLPEEEAESVAGYNVEYARDVIINSLLLVEANVPGSRGLILT >DRNTG_12275.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24780091:24782207:1 gene:DRNTG_12275 transcript:DRNTG_12275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFISTKALLPLLLLLHLQFLFLYHVCSDTPVSSAPMEKAEQEALYMVIQGFVGEGWNVSGLYPDPCGWTMIQGVSCDLFDNFWYITDINIGPVLENSLECSPDAKFTPQLFELKHLKSLSIFNCFTSTSHQPTTISSQNWDKLAKNLETLEFRSNQGLNGEIPSIFGQLTNLNSLVLVDNALTGVIPQELSNLVHIKRLVLSGNQFSGSIPPSLTTNLTELLIMDLSRNSFTGALPASFGYLTSLIKLDLSHNILNGRLPNELGKLQNLTLLDLKDNLVSHGLPQSLQNLVSLQDLLLSNNPLGGDLVSFSWENLSNLTTLDLSNTGITGSIPGTIAGLRRLRYLALDNNHLTGNVPQKLASLPWLNTLYLNGNNLTGILEFPDDFYKRMGRRFASWNNTNLCYSTVETLSVGHAPYGVQQCKHEQHVNVLSSNPNVGDGDKDQNSSIMASLGFSSSFHEFWWVVLVQEVVVFTILILML >DRNTG_10501.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6255284:6291766:-1 gene:DRNTG_10501 transcript:DRNTG_10501.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane GTPase FZO-like, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03160) UniProtKB/Swiss-Prot;Acc:Q1KPV0] MNLVDTPGTNVILQRQQRLTEEFVPRADLILFVLSSDRPLTESEVSFLLYTQQWKKKVVFVLNKMDLYRSTSELEEASSFIKENTKKILNSEDIGLYPVSARAALDAKLFALYYDGRNFEELLSNDPRWLTSGFDELEKYLFSFLDGSTHAGSERLMLKLKTPMAIADRLLHSCEVSVKQELENASQDLVSIKEAVTSVKECAVKLASESILWRKQALSLVDKAKSRVIELVESTLQLSKVDLISTYGFKGERSGSIPATSVVQNDIIGPAVSDAQGLLGEYSMWLQSQTAKEGKLYIEFFDQKWQAFINEMNCVHLDTYLILDKGDEQSIKVVENFSASAAARLFEQEIREAVLGTFGGLGAAGLSASLLTSVLPTTLEDLLALAFCSAGGFLAISNFPARRKEAIEKVRRVADGLARDIDDAMQKDLAQATDKLAQFVEVISKPYQDAAQDNVNRLLEIQEELGSIEQKMRAMKVRIQSLNDFMTP >DRNTG_10501.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6255284:6291766:-1 gene:DRNTG_10501 transcript:DRNTG_10501.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane GTPase FZO-like, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03160) UniProtKB/Swiss-Prot;Acc:Q1KPV0] MGYPDSTHLPEYVVTKEVNKQISFAGFSNIKDEDMELIEAEKALLHEAVALISKASPMMKEVPLLVDATNRLTEPFLLVIVGEFNSGKSTVINALLGRRYLTEGVVPTTNEITLLCYSENESDKQERCERHPDGQFIICLSAPILKEMNLVDTPGTNVILQRQQRLTEEFVPRADLILFVLSSDRPLTESEVSFLLYTQQWKKKVVFVLNKMDLYRSTSEV >DRNTG_10501.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6255284:6291766:-1 gene:DRNTG_10501 transcript:DRNTG_10501.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane GTPase FZO-like, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03160) UniProtKB/Swiss-Prot;Acc:Q1KPV0] MLKHLMKEVPLLVDATNRLTEPFLLVIVGEFNSGKSTVINALLGRRYLTEGVVPTTNEITLLCYSENESDKQERCERHPDGQFIICLSAPILKEMNLVDTPGTNVILQRQQRLTEEFVPRADLILFVLSSDRPLTESEVSFLLYTQQWKKKVVFVLNKMDLYRSTT >DRNTG_10501.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6255284:6291766:-1 gene:DRNTG_10501 transcript:DRNTG_10501.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane GTPase FZO-like, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03160) UniProtKB/Swiss-Prot;Acc:Q1KPV0] MLKHLMKEVPLLVDATNRLTEPFLLVIVGEFNSGKSTVINALLGRRYLTEGVVPTTNEITLLCYSENESDKQERCERHPDGQFIICLSAPILKEMNLVDTPGTNVILQRQQRLTEEFVPRADLILFVLSSDRPLTESEVSFLLYTQQWKKKVVFVLNKMDLYRSTSELEEASSFIKENTKKILNSEDIGLYPVSARAALDAKLFALYYDGRNFEELLSNDPRWLTSGFDELEKYLFSFLDGSTHAGSERLMLKLKTPMAIADRLLHSCEVSVKQELENASQDLVSIKEAVTSVKECAVKLASESILWRKQALSLVDKAKSRVIELVESTLQLSKVDLISTYGFKGERSGSIPATSVVQNDIIGPAVSDAQGLLGEYSMWLQSQTAKEGKLYIEFFDQKWQAFINEMNCVHLDTYLILDKGDEQSIKVVENFSASAAARLFEQEIREAVLGTFGGLGAAGLSASLLTSVLPTTLEDLLALAFCSAGGFLAISNFPARRKEAIEKVRRVADGLARDIDDAMQKDLAQATDKLAQFVEVISKPYQDAAQDNVNRLLEIQEELGSIEQKMRAMKVRIQSLNDFMTP >DRNTG_10501.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6255284:6291766:-1 gene:DRNTG_10501 transcript:DRNTG_10501.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane GTPase FZO-like, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03160) UniProtKB/Swiss-Prot;Acc:Q1KPV0] MKEVPLLVDATNRLTEPFLLVIVGEFNSGKSTVINALLGRRYLTEGVVPTTNEITLLCYSENESDKQERCERHPDGQFIICLSAPILKEMNLVDTPGTNVILQRQQRLTEEFVPRADLILFVLSSDRPLTESEVSFLLYTQQWKKKVVFVLNKMDLYRSTSELEEASSFIKENTKKILNSEDIGLYPVSARAALDAKLFALYYDGRNFEELLSNDPRWLTSGFDELEKYLFSFLDGSTHAGSERLMLKLKTPMAIADRLLHSCEVSVKQELENASQDLVSIKEAVTSVKECAVKLASESILWRKQALSLVDKAKSRVIELVESTLQLSKVDLISTYGFKGERSGSIPATSVVQNDIIGPAVSDAQGLLGEYSMWLQSQTAKEGKLYIEFFDQKWQAFINEMNCVHLDTYLILDKGDEQSIKVVENFSASAAARLFEQEIREAVLGTFGGLGAAGLSASLLTSVLPTTLEDLLALAFCSAGGFLAISNFPARRKEAIEKVRRVADGLARDIDDAMQKDLAQATDKLAQFVEVISKPYQDAAQDNVNRLLEIQEELGSIEQKMRAMKVRIQSLNDFMTP >DRNTG_10501.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6255284:6291766:-1 gene:DRNTG_10501 transcript:DRNTG_10501.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane GTPase FZO-like, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03160) UniProtKB/Swiss-Prot;Acc:Q1KPV0] MGYPDSTHLPEYVVTKEVNKQISFAGFSNIKDEDMELIEAEKALLHEAVALISKASPMMKEVPLLVDATNRLTEPFLLVIVGEFNSGKSTVINALLGRRYLTEGVVPTTNEITLLCYSENESDKQERCERHPDGQFIICLSAPILKEMNLVDTPGTNVILQRQQRLTEEFVPRADLILFVLSSDRPLTESEVSFLLYTQQWKKKVVFVLNKMDLYRSTSELEEASSFIKENTKKILNSEDIGLYPVSARAALDAKLFALYYDGRNFEELLSNDPRWLTSGFDELEKYLFSFLDGSTHAGSERLMLKLKTPMAIADRLLHSCEVSVKQELENASQDLVSIKEAVTSVKECAVKLASESILWRKQALSLVDKAKSRVIELVESTLQLSKVDLISTYGFKGERSGSIPATSVVQNDIIGPAVSDAQGLLGEYSMWLQSQTAKEGKLYIEFFDQKWQAFINEMNCVHLDTYLILDKGDEQSIKVVENFSASAAARLFEQEIREAVLGTFGGLGAAGLSASLLTSVLPTTLEDLLALAFCSAGGFLAISNFPARRKEAIEKVRRVADGLARDIDDAMQKDLAQATDKLAQFVEVISKPYQDAAQDNVNRLLEIQEELGSIEQKMRAMKVRIQSLNDFMTP >DRNTG_10501.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6255284:6291766:-1 gene:DRNTG_10501 transcript:DRNTG_10501.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane GTPase FZO-like, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03160) UniProtKB/Swiss-Prot;Acc:Q1KPV0] MNLVDTPGTNVILQRQQRLTEEFVPRADLILFVLSSDRPLTESEVSFLLYTQQWKKKVVFVLNKMDLYRSTSEV >DRNTG_10501.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6255284:6291766:-1 gene:DRNTG_10501 transcript:DRNTG_10501.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane GTPase FZO-like, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03160) UniProtKB/Swiss-Prot;Acc:Q1KPV0] MGYPDSTHLPEYVVTKEVNKQISFAGFSNIKDEDMELIEAEKALLHEAVALISKASPMMKEVPLLVDATNRLTEPFLLVIVGEFNSGKSTVINALLGRRYLTEGVVPTTNEITLLCYSENESDKQERCERHPDGQFIICLSAPILKEMNLVDTPGTNVILQRQQRLTEEFVPRADLILFVLSSDRPLTESEVSFLLYTQQWKKKVVFVLNKMDLYRSTT >DRNTG_10501.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6255284:6291766:-1 gene:DRNTG_10501 transcript:DRNTG_10501.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane GTPase FZO-like, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03160) UniProtKB/Swiss-Prot;Acc:Q1KPV0] MLKHLMKEVPLLVDATNRLTEPFLLVIVGEFNSGKSTVINALLGRRYLTEGVVPTTNEITLLCYSENESDKQERCERHPDGQFIICLSAPILKEMNLVDTPGTNVILQRQQRLTEEFVPRADLILFVLSSDRPLTESEVSFLLYTQQWKKKVVFVLNKMDLYRSTSEV >DRNTG_27581.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6684111:6685564:-1 gene:DRNTG_27581 transcript:DRNTG_27581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDEPKLVSGEDVEILHGDNGFALDEKKKSESEVVEEEEASVIKTLKEEIQTLSMDRLELQRWKDEMKAEMERSEAEKQALAGRADYLEGEISRLHHDLGTAVSSSADSEADAQSLKKALENLQAEMASVADSKVALDARIEGLEAKIAALNAQREEAAVALSEKEAEIVALKNEMEALQLKGRALEDGLLDYKDKARQELEQEVKRKEELEKAVKELEEENSRLQDRIHGFQSESEKRDLVTNDGKGNGMAVDVHEGLKVSWAVVAAAAASTGTVAAAVTAIYLRHAKQR >DRNTG_27581.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6684221:6685564:-1 gene:DRNTG_27581 transcript:DRNTG_27581.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDEPKLVSGEDVEILHGDNGFALDEKKKSESEVVEEEEASVIKTLKEEIQTLSMDRLELQRWKDEMKAEMERSEAEKQALAGRADYLEGEISRLHHDLGTAVSSSADSEADAQSLKKALENLQAEMASVADSKVALDARIEGLEAKIAALNAQREEAAVALSEKEAEIVALKNEMEALQLKGRALEDGLLDYKDKARQELEQEVKRKEELEKAVKELEEENSRLQDRIHGFQSESEKRDLVTNDGKGNGMAVDVHEGLKVSWAVVAAAAASTGTVAAAVTAIYLRHAKQR >DRNTG_27581.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6684221:6685587:-1 gene:DRNTG_27581 transcript:DRNTG_27581.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDEPKLVSGEDVEILHGDNGFALDEKKKSESEVVEEEEASVIKTLKEEIQTLSMDRLELQRWKDEMKAEMERSEAEKQALAGRADYLEGEISRLHHDLGTAVSSSADSEADAQSLKKALENLQAEMASVADSKVALDARIEGLEAKIAALNAQREEAAVALSEKEAEIVALKNEMEALQLKGRALEDGLLDYKDKARQELEQEVKRKEELEKAVKELEEENSRLQDRIHGFQSESEKRDLVTNDGKGNGMAVDVHEGLKVSWAVVAAAAASTGTVAAAVTAIYLRHAKQR >DRNTG_27581.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6684166:6685564:-1 gene:DRNTG_27581 transcript:DRNTG_27581.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDEPKLVSGEDVEILHGDNGFALDEKKKSESEVVEEEEASVIKTLKEEIQTLSMDRLELQRWKDEMKAEMERSEAEKQALAGRADYLEGEISRLHHDLGTAVSSSADSEADAQSLKKALENLQAEMASVADSKVALDARIEGLEAKIAALNAQREEAAVALSEKEAEIVALKNEMEALQLKGRALEDGLLDYKDKARQELEQEVKRKEELEKAVKELEEENSRLQDRIHGFQSESEKRDLVTNDGKGNGMAVDVHEGLKVSWAVVAAAAASTGTVAAAVTAIYLRHAKQR >DRNTG_14628.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2388175:2390460:-1 gene:DRNTG_14628 transcript:DRNTG_14628.8 gene_biotype:protein_coding transcript_biotype:protein_coding RDICVRGLVERNLPGYAIGGLSGGEDKDSFWRIVAQCTAALPEDKPRYVM >DRNTG_14628.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2385871:2390669:-1 gene:DRNTG_14628 transcript:DRNTG_14628.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASDERPIDPTCPCMVCKNYTRAYIHCLVTKDAMGSQLLSYHNLSYMMRLSKDLHTSIVEGRFPEYAVS >DRNTG_14628.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2373550:2387135:-1 gene:DRNTG_14628 transcript:DRNTG_14628.5 gene_biotype:protein_coding transcript_biotype:protein_coding MISKHKYVWKIGAYQGASRRKWSYQGVLKLKHKAMASDERPIDPTCPCMVCKNYTRAYIHCLVTKDAMGSQLLSYHNLSYMMRLSKDLHTSIVEGRFPEFVRSFLRAQFPKGDVPEWVCNAMEVAGIDISACCAPFPSSMNDFAEEFAA >DRNTG_14628.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2373550:2392449:-1 gene:DRNTG_14628 transcript:DRNTG_14628.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTPEESIQIQNKIGADIIMALDDVVRTTITGPRIEEAMYRTLRWIDRCIAAHKKPDVQNLFGIVQGGLDPVLRDICVRGLVERNLPGYAIGGLSGGEDKDSFWRIVAQCTAALPEDKPRYVMGIGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEFGR >DRNTG_14628.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2386925:2394322:-1 gene:DRNTG_14628 transcript:DRNTG_14628.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTPEESIQIQNKIGADIIMALDDVVRTTITGPRIEEAMYRTLRWIDRCIAAHKKPDVQNLFGIVQGGLDPVLRDICVRGLVERNLPGYAIGGLSGGEDKDSFWRIVAQCTAALPEDKPRYVMGIGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEFGR >DRNTG_14628.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2385871:2386104:-1 gene:DRNTG_14628 transcript:DRNTG_14628.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQLLSYHNLSYMMRLSKDLHTSIVEGRFPEYAVS >DRNTG_14628.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2373550:2393437:-1 gene:DRNTG_14628 transcript:DRNTG_14628.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTPEESIQIQNKIGADIIMALDDVVRTTITGPRIEEAMYRTLRWIDRCIAAHKKPDVQNLFGIVQGGLDPVLRDICVRGLVERNLPGYAIGGLSGGEDKDSFWRIVAQCTAALPEDKPRYVMGIGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKHKAMASDERPIDPTCPCMVCKNYTRAYIHCLVTKDAMGSQLLSYHNLSYMMRLSKDLHTSIVEGRFPEFVRSFLRAQFPKGDVPEWVCNAMEVAGIDISACCAPFPSSMNDFAEEFAA >DRNTG_14628.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2373550:2388090:-1 gene:DRNTG_14628 transcript:DRNTG_14628.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASDERPIDPTCPCMVCKNYTRAYIHCLVTKDAMGSQLLSYHNLSYMMRLSKDLHTSIVEGRFPEFVRSFLRAQFPKGDVPEWVCNAMEVAGIDISACCAPFPSSMNDFAEEFAA >DRNTG_14628.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2373550:2399266:-1 gene:DRNTG_14628 transcript:DRNTG_14628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTPEESIQIQNKIGADIIMALDDVVRTTITGPRIEEAMYRTLRWIDRCIAAHKKPDVQNLFGIVQGGLDPVLRDICVRGLVERNLPGYAIGGLSGGEDKDSFWRIVAQCTAALPEDKPRYVMGIGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEFGR >DRNTG_33096.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:9674623:9678777:-1 gene:DRNTG_33096 transcript:DRNTG_33096.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-U3-1 [Source:Projected from Arabidopsis thaliana (AT3G63120) UniProtKB/Swiss-Prot;Acc:Q8LB60] MTLDTNAIFPELYVALGLSGSKNGASTFPLVLSSLCSHLDRVVQKNEKSMDVTRAKEIVTVFHGLRAPELSIQMYMERIFKYSKCSPSCFVLANIYIDRFLQRPDVRLTSLNVHRLLITSVVIAAKFIDDAFFSNAYYAKVGGVSTREMNKLELNFLFSLDFRLQVSPETFGQYCIQLEREGAGVPVERPVQVCGLKDLTNKDDSKCQPPLHRYSY >DRNTG_00459.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27236090:27237988:-1 gene:DRNTG_00459 transcript:DRNTG_00459.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGTVHTEENEMIGQMVDSFSNIKVDEECGSFPSGGECLQQQTEVKAVDQKGENLPVNNHQVADLEEMNIQGQHLVSPLAASEHSDNIDRSSPPNVNSGENEKVQFL >DRNTG_00459.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27236090:27237905:-1 gene:DRNTG_00459 transcript:DRNTG_00459.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGTVHTEENEMIGQMVDSFSNIKVDEECGSFPSGGECLQQQTEVKAVDQKGENLPVNNHQVADLEEMNIQGQHLVSPLAASEHSDNIDRSSPPNVNSGENEKILENSWLKIKRVEDEDT >DRNTG_00459.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27236090:27237988:-1 gene:DRNTG_00459 transcript:DRNTG_00459.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSLCIYKFISMLQMIGQMVDSFSNIKVDEECGSFPSGGECLQQQTEVKAVDQKGENLPVNNHQVADLEEMNIQGQHLVSPLAASEHSDNIDRSSPPNVNSGENEKVQFL >DRNTG_00459.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27236090:27237905:-1 gene:DRNTG_00459 transcript:DRNTG_00459.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGTVHTEENEMIGQMVDSFSNIKVDEECGSFPSGGECLQQQTEVKAVDQKGENLPVNNHQVADLEEMNIQGQHLVSPLAASEHSDNIDRSSPPNVNSGENEKVQFL >DRNTG_00459.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27236090:27237072:-1 gene:DRNTG_00459 transcript:DRNTG_00459.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQGQHLVSPLAASEHSDNIDRSSPPNVNSGENEKVQFL >DRNTG_11717.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:552781:554840:-1 gene:DRNTG_11717 transcript:DRNTG_11717.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNGEKMRMNEELCMKDPLKWKVAGEGLKGSHLDEVKKMVEDFRKPLVELQGASLKISQVAAVATAAVEAPVRVELAELARGRVKASSDWVMDSMNKGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGGGKESGNTLPSSATRAAMLVRINTLLQGYSGIRFEILEAITSLLNNNMTPCLPLRGTITASGDLVPLSYIAGVLTGRPNSKVTTPEGK >DRNTG_11717.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:550860:554840:-1 gene:DRNTG_11717 transcript:DRNTG_11717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKPEYTDHLTHKLKHHPGQIEAAAIMEHILDGSSYMKMAKKIHEQDPLQKPKQDRYALRTSPQWLGPQIEVIRMATKSIEREINSVNDNPLIDVARNKALHGGNFQGTPIGVSMDNARLAIAAIGKLMFAQISELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQYLANPVTNHVESAEQHNQDVNSLGLISARKTAEAVEILKLMTSTFLVALCQAIDLRHLEENLKQTVKNTVSQVAKRVLTMGANGELHPSRFCEKELIKVVDREYVFAYIDDPCSDIYPLMQKLRQVLVEHALKNGDKEKDVNSSIFQKIAVFEDELKAVLPKETEGTRTAFENGNCAIDNRIKECRSYPLYRFVREELGTSLLTGEKVRSPGEEFDKVFTAISHGKVIDPLFECLKEWNGEPLPIC >DRNTG_26333.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9927106:9943465:1 gene:DRNTG_26333 transcript:DRNTG_26333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISWESLSQSAAFNQCPLPLSNLPGGAMSNSSINFESDMFTVVQCYESATQFKEALCDHAIKRNFNFHFINNDKERVTVTCAADSCEWHVHASRDGNLPTFRIKTTQGVHTCGGVDIEPDLLREQGVHLPCKQAWMGKELARGILHGSDIASYDLLLWYKVMNMRYDRHQHCEKWDTHLCLMVHKKIEEMIEESRSLVVGHSNGEQYEVIDRYSNSVNLPDRTCSC >DRNTG_00819.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19099653:19109268:-1 gene:DRNTG_00819 transcript:DRNTG_00819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVTYTNLVVQLLDDGNFVITEANNSEPTWKSFDYQTDTFLSGMKLGWDLRTGLNRNLTAWRSIHDPSSGGYALSLDLKEIPQIIYGLAQLKSSTLGHGIVSRTNVEGYAIVNQSAMLKDFVWSENTRSWNLFFCLQGFALKSLKEWLYKNASSGCKHVMALDWKNRRSCGDGAEAWMEDMGMLQKLYGFKNGKEKYQYGSVLAEHGIGCIIWVTELIDMRISSQARQDVFLRIAADDLDGFGNVYKGKLANRHEIVVKQLSRNSTQGVDEFKNEVTFIARLQHRNLVRLLGYYIRGDEKILIYEYMPNGSLDAFLFSW >DRNTG_09449.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21264910:21265950:-1 gene:DRNTG_09449 transcript:DRNTG_09449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLLFILLLPHSTTSDSIGQYCDKDFQSPQIQTSITTVLFDLQTKAPISGFATSSAGQDQNIVYGLAQCRGDVSSADCTSCIDEATQKVKTICPKKADVRIWYDYCFMRFNTNNFIGKADTGYAVIYINVENATDPERFDVEVGSLMSKVREKAVVAGNGGLGRGKSEFTPYVTIYGLAQCTRDLEPLPCAQCLSSAVEKFPEYCRYRKGCQVLYSSCIVRYEVYPFFYPLDDNTSYFGNSNITSDHVGQYLVVTVVT >DRNTG_24483.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18890527:18891155:1 gene:DRNTG_24483 transcript:DRNTG_24483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQSEDAALALRLQREEFMEAFRENDNEQQQQQQHQPRNASVYTARNNLRAMASRAVNFRRRGRFPYIID >DRNTG_13039.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000587.1:15775:17950:1 gene:DRNTG_13039 transcript:DRNTG_13039.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVSNLLFVESPAGVGWSYSNRTSDYTTGDERTANDMRVFLMRWYEKFPEFKLHDLFLTGESYAGHYIPQLATALLKHNKYSTDFKFKIKGVAIGNPLLKLDRDAPATYEFFWSHGMISDELGLTIMNQCDFEDYAFSSPHNVSKSCNGAISEANRVVGDYINSYDVILDVCYPSIVEQELRLRKLATKMSIGVDVCMTYERRFYFNLHEVQQALHANRTNLPYRWSMCSDVLDYNNADGNIDILPLPKTIISHKIPVWIFRISNTCPRTST >DRNTG_13039.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000587.1:14789:15251:1 gene:DRNTG_13039 transcript:DRNTG_13039.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMLMWMSRLVESLFYYFAEAVVDPHLKPLTLWLNG >DRNTG_13039.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000587.1:15987:17568:1 gene:DRNTG_13039 transcript:DRNTG_13039.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFLMRWYEKFPEFKLHDLFLTGESYAGHYIPQLATALLKHNKYSTDFKFKIKGVAIGNPLLKLDRDAPATYEFFWSHGMISDELGLTIMNQCDFEDYAFSSPHNVSKSCNGAISEANRVVGDYINSYDVILDVCYPSIVEQELRLRKLATKMSIGVDVCMTYERRFYFNLHEVQQALHANRTNLPYRWSMCSDVLDYNNADGNIDILPLPKTIISHKIPVWIFRQDVTNLFFESNCVFRT >DRNTG_13039.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000587.1:14789:17497:1 gene:DRNTG_13039 transcript:DRNTG_13039.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMLMWMSRLVESLFYYFAEAVVDPHLKPLTLWLNGGPGCSSIGGGAFTELGPFYPRADGRGLRINKFSWNRVSNLLFVESPAGVGWSYSNRTSDYTTGDERTANDMRVFLMRWYEKFPEFKLHDLFLTGESYAGHYIPQLATALLKHNKYSTDFKFKIKGVAIGNPLLKLDRDAPATYEFFWSHGMISDELGLTIMNQCDFEDYAFSSPHNVSKSCNGAISEANRVVGDYINSYDVILDVCYPSIVEQELRLRKLATKMSIGVDVCMTYERRFYFNLHEVQQALHANRTNLPYRWSMCSDVLDYNNADGNIDILPLPKTIISHKIPVWIFSGDQDSVVPLLGSRTLVRELAHEMKHSITVPYRAWFYKDQVGGWMTEYGNLLTFATVRGAAHMVPYAQPGRALQLFRSFVKGQRLPNITQTSF >DRNTG_13039.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000587.1:9521:17497:1 gene:DRNTG_13039 transcript:DRNTG_13039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFLMRWYEKFPEFKLHDLFLTGESYAGHYIPQLATALLKHNKYSTDFKFKIKGVAIGNPLLKLDRDAPATYEFFWSHGMISDELGLTIMNQCDFEDYAFSSPHNVSKSCNGAISEANRVVGDYINSYDVILDVCYPSIVEQELRLRKLATKMSIGVDVCMTYERRFYFNLHEVQQALHANRTNLPYRWSMCSDVLDYNNADGNIDILPLPKTIISHKIPVWIFSGDQDSVVPLLGSRTLVRELAHEMKHSITVPYRAWFYKDQVGGWMTEYGNLLTFATVRGAAHMVPYAQPGRALQLFRSFVKGQRLPNITQTSF >DRNTG_13039.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000587.1:15500:17497:1 gene:DRNTG_13039 transcript:DRNTG_13039.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFLMRWYEKFPEFKLHDLFLTGESYAGHYIPQLATALLKHNKYSTDFKFKIKGVAIGNPLLKLDRDAPATYEFFWSHGMISDELGLTIMNQCDFEDYAFSSPHNVSKSCNGAISEANRVVGDYINSYDVILDVCYPSIVEQELRLRKLATKMSIGVDVCMTYERRFYFNLHEVQQALHANRTNLPYRWSMCSDVLDYNNADGNIDILPLPKTIISHKIPVWIFSGDQDSVVPLLGSRTLVRELAHEMKHSITVPYRAWFYKDQVGGWMTEYGNLLTFATVRGAAHMVPYAQPGRALQLFRSFVKGQRLPNITQTSF >DRNTG_13039.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000587.1:9521:17497:1 gene:DRNTG_13039 transcript:DRNTG_13039.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYIFFSKCSTLLGHYIPQLATALLKHNKYSTDFKFKIKGVAIGNPLLKLDRDAPATYEFFWSHGMISDELGLTIMNQCDFEDYAFSSPHNVSKSCNGAISEANRVVGDYINSYDVILDVCYPSIVEQELRLRKLATKMSIGVDVCMTYERRFYFNLHEVQQALHANRTNLPYRWSMCSDVLDYNNADGNIDILPLPKTIISHKIPVWIFSGDQDSVVPLLGSRTLVRELAHEMKHSITVPYRAWFYKDQVGGWMTEYGNLLTFATVRGAAHMVPYAQPGRALQLFRSFVKGQRLPNITQTSF >DRNTG_32609.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001868.1:40177:41959:1 gene:DRNTG_32609 transcript:DRNTG_32609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMADAVVNYLVKKFGDLILQEADNLHGTNDQLEWLKRQQRRMQCFLKDADAKESQRDDERFKNLVTDIRDLAFEVEKVINTCPNSDLRDFIDRIIWRLKAHKRVRGIRDKMLELYQSKILFGIANIGETKGTTSYSITSEDRPSILPQLNDDDIDKFGFDEEKKIIVQQLVDTSNTNRSVISIVDMDGKRKIALVGAIYNDPKVEKRFDLVLWITISQKYTIIEILKNILSRVPGTSSIDAIEILAVTLINELKKYKYLIILVDVWEENVWDQLQNFFPDVNNGSRVIITTRFSNVSSLHFRGECVHQGRNYTQAVSPS >DRNTG_31108.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001666.1:11885:12714:-1 gene:DRNTG_31108 transcript:DRNTG_31108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNVVESFNAWIKEARYLPVIKMVDSISNSVDLSNRIYLCRKWQVYGIPCKHAYTTIMQTDINVHRFISGYFTVNNNKLEYKEAIFPIPSNDKPMDRNRELRLQPPVMRRQPGRPRRKRIESQMFEVRELHYNRCHASGHNRRSCNKTVAN >DRNTG_03303.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29033944:29034266:-1 gene:DRNTG_03303 transcript:DRNTG_03303.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQISEMSTGVAEQRLFRNNPMASKVLHLRNLPWLCREEELVELCRPFGEIVYIKCNFGANRNQAFVEF >DRNTG_03303.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29031303:29034266:-1 gene:DRNTG_03303 transcript:DRNTG_03303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISEMSTGVAEQRLFRNNPMASKVLHLRNLPWLCREEELVELCRPFGEIVYIKCNFGANRNQAFVEFAELNQAMSMVSYYASSSEPANVRGQTVYIQYSNRQEIGNYNKSAGGIAGNVLIVTLEGVQRSDVSIEVIHAVSPI >DRNTG_35272.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3316201:3320613:1 gene:DRNTG_35272 transcript:DRNTG_35272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRIGPKRELKFAQESFWDGKSSAEDLQKVAADLRASIWKQMADAGTKFIPSNTFSYYDQVLDTTAMLGAVPPRYGWTGGEIGFDVYFSMARGNSSQPAMEMTKWFDTNYHFIVPELGPETKFSYASHKAVSEYKEAKALGIDTVPVLVGPVSYLLLSKPAKGVEKSFSLLSLLRKVLPVYKEVIAELKAAGATWIQFDEPTLVLDLQSHQLQAFTEAYSELESSFSGLNVLIETYFADVPAEAFKTITALSGISGFGFDLVRGTQTLDLIKGGFPSGKFLFAGVVDGRNIWANDLASSLSTLEAVEAIVGKDKLVVSTSCSLMHSAVDLVNETKLDSEIKSWFSFAAQKVVEVNALAKALAGTKDEAFFSSNAAAQASRKSSPRVNNEEVQKAISS >DRNTG_35272.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3316201:3320613:1 gene:DRNTG_35272 transcript:DRNTG_35272.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRIGPKRELKFAQESFWDGKSSAEDLQKVAADLRASIWKQMADAGTKFIPSNTFSYYDQVLDTTAMLGAVPPRYGWTGGEIGFDVYFSMARGNSSQPAMEMTKWFDTNYHFIVPELGPETKFSYASHKAVSEYKEAKALGIDTVPVLVGPVSYLLLSKPAKGVEKSFSLLSLLRKVLPVYKEVIAELKAAGATWIQFDEPTLVLDLQSHQLQAFTEAYSELESSFSGLNVLIETYFADVPAEAFKTITALSGISGFGFDLVRGTQTLDLIKGGFPSGKFLFAGVVDGRNIWANDLASSLSTLEAVEAIVGKDKLVVSTSCSLMHSAVDLVNETKLDSEIKSWFSFAAQKVVEVNALAKALAGTKDEAFFSSNAAAQASRKSSPRVNNEEVQKAAAALKGSDHRRATPVSARLDAQQKNLNLPILPTATISSFPQTMDLRRVRREYKANKISEEDYVQAIREEISKVVKLQEELDIDVLVHGEPERNDMVEYFGEQLAGITFTVNGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSSMAQSMTSRPMKAMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAAGIQVIQIDEAALREGLPLRKSEQSFYLDWAVHSFRITNCGVKDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTDEIADRINKMLAVLESNILWVNPDCGLKTRKYTEVKPALANMVAAAKLLRKEFASA >DRNTG_29251.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27963734:27966928:1 gene:DRNTG_29251 transcript:DRNTG_29251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMEAKVGERVVEGCVRSESVRKCVFTSSLLACVWRRNNTTNPHFPTIIDENSWSDETLCRDRKLWFALGKTMAEKAAWRAARGRDLKLVTICPALITGPGFSRRNSTSSIAFLKGSQALFAEGLLATVDVSKVAEAQVTVYEAMHDTACGRYICYDHVIRRCEEAKELERQLGIPNRIITSETMSEDNFNNFNCFELSKRKLSRLIFASRHCSYDIHSMFYS >DRNTG_19493.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:12315030:12315470:-1 gene:DRNTG_19493 transcript:DRNTG_19493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIVKSPIRGNLEKVQTQRHKSGSKCGNVCQPPRIKDSTTIWMGTRAITFKQSGLCMYKCLGADSM >DRNTG_04672.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30356834:30359889:-1 gene:DRNTG_04672 transcript:DRNTG_04672.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMGIISGKGRIRQREYASNIPDASNYDDGFPLQGNSFAQEVRQSSYRRGGGTPIRTLIDEEISKESEIRRHSPSLVARLMGLDTLPAHAVQKKHNVMDTRRSHESFSGFEDKYVSSEDHSAQKTLDEHEDIKDVYEIAETSKSEKQKNQSIRRGISYSGRSDTKYMDSKHLSTVETLQKPHKLDDMLEISDLNKDPFLKFLQEPNSLFSKHLQDLKGAPPPHASHITILKSTRAREAKHSEVCPQSERSGRRRARIQVDDIAYFRKPAIRHDSSSLNEHKSALSHMLSKLDYGVEADGCRHPTQIVVLKPRLSKVHKTARTVNLSRYDDDFRFDHKWREETLMSVIREVHAKGRALRKSLDDMEFMPHNVQGSRDVAREIMEQMKQSINYDTQMKRKKKRTGRSRVNTEEFQCTLNDNSSSSSSYSTKTSTSMSREDKKGLSEKWKMTKRSPEMGLVDKDSGTLGEMLALSVMETPYAFLNNIATTRDERLAKSGVISRQSLSYGFRGSDAWEERCTRKLQRSVSAPSSSGARCRDAENKNSDCYIPKDMLNLEADDFILEPIDQMGSLSRRSFRCQRNRAARLDVCGEENILPVREIHVNLEELRNTASKSHHPNKGMIFEPSEDDTRHLVHDSPVPETRTSSHVANEQLSKPDTYGHRQNDFTIKETSGLQVDQPVPSHLHSIESRSSSGSKESEQPSPVSVLDPTSEEENSSSGCFERVCADL >DRNTG_04672.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30356834:30360694:-1 gene:DRNTG_04672 transcript:DRNTG_04672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWIGYGAKQRSKRGGSGVGRKGIVASVDRASGEGKGRIRQREYASNIPDASNYDDGFPLQGNSFAQEVRQSSYRRGGGTPIRTLIDEEISKESEIRRHSPSLVARLMGLDTLPAHAVQKKHNVMDTRRSHESFSGFEDKYVSSEDHSAQKTLDEHEDIKDVYEIAETSKSEKQKNQSIRRGISYSGRSDTKYMDSKHLSTVETLQKPHKLDDMLEISDLNKDPFLKFLQEPNSLFSKHLQDLKGAPPPHASHITILKSTRAREAKHSEVCPQSERSGRRRARIQVDDIAYFRKPAIRHDSSSLNEHKSALSHMLSKLDYGVEADGCRHPTQIVVLKPRLSKVHKTARTVNLSRYDDDFRFDHKWREETLMSVIREVHAKGRALRKSLDDMEFMPHNVQGSRDVAREIMEQMKQSINYDTQMKRKKKRTGRSRVNTEEFQCTLNDNSSSSSSYSTKTSTSMSREDKKGLSEKWKMTKRSPEMGLVDKDSGTLGEMLALSVMETPYAFLNNIATTRDERLAKSGVISRQSLSYGFRGSDAWEERCTRKLQRSVSAPSSSGARCRDAENKNSDCYIPKDMLNLEADDFILEPIDQMGSLSRRSFRCQRNRAARLDVCGEENILPVREIHVNLEELRNTASKSHHPNKGMIFEPSEDDTRHLVHDSPVPETRTSSHVANEQLSKPDTYGHRQNDFTIKETSGLQVDQPVPSHLHSIESRSSSGSKESEQPSPVSVLDPTSEEENSSSGCFERVCADL >DRNTG_05924.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5216286:5219627:1 gene:DRNTG_05924 transcript:DRNTG_05924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLRAAAAVRPQASFLLSKRFLFSGTFSRPTCLPSSPFLGAVRPRPSPAVISHETLRVLAPGALRMIPIRCRVNRAGDSGYSPLNSGSGSGSGSGFTDRPPAEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIQTLAKVVGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDAENKDYGAELFVNGEIVQRSPERQRRVEPVPQRAQDRPRYNDRTRYVRRRENQRG >DRNTG_34935.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18855199:18857291:-1 gene:DRNTG_34935 transcript:DRNTG_34935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAILGTRIPINLDARLWGKCSAEGATALVELAHQYLRYKPNDRGTINDVIATLAQVQSNAGVCNLCIPHMQGVQQRKFFRICQKPKIGVNF >DRNTG_18738.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1196270:1199068:-1 gene:DRNTG_18738 transcript:DRNTG_18738.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNHRMGMVGVDPATGSISNYACEVEVSECEPLPDGRFYLEVEGRRRFRISRSWDQDGYRVAEVDWIQDIQTTVGTRERHDLQQVASAAADLARSLIRHAKEAARSGRRSRRLELLQAEGMPGLQDLERFSFWLVSHLNLRPSEKLELIRMRDTHERISRGFIFLRAEGENCRVQ >DRNTG_18738.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1197145:1197879:-1 gene:DRNTG_18738 transcript:DRNTG_18738.7 gene_biotype:protein_coding transcript_biotype:protein_coding VEGRRRFRISRSWDQDGYRVAEVDWIQDIQTTVGTRERHDLQQVASAAADLARSLIRHAKEAARSG >DRNTG_18738.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1196270:1201739:-1 gene:DRNTG_18738 transcript:DRNTG_18738.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFVMDVVLPCQKLSLNIFEPRYRLMVRRIMEGNHRMGMVGVDPATGSISNYACEVEVSECEPLPDGRFYLEVEGRRRFRISRSWDQDGYRVAEVDWIQDIQTTVGTRERHDLQQVASAAADLARSLIRHAKEAARSGRRSRRLELLQAEGMPGLQDLERFSFWLVSHLNLRPSEKLELIRMRDTHERISRGFIFLRAEGENCRVQ >DRNTG_18738.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1196270:1201962:-1 gene:DRNTG_18738 transcript:DRNTG_18738.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSTRAGWEALFDVEELPWEVNGAGVYDLVHGGNLAFREKRFEDAIKCYSKAQLLEPGNPIILSNRCSAFCRISQFLRDRSALESECQPLNGLDPTTHAELALKDAERVISLRMNSPKSYFLKTSALILLERYEEAHEAVLSGLQVDPFSHHLESCLRDLGRISAGSVKRAKQWKPQLTDDFECTLCLKLLYEPVTTPCGHSFCRSCLLQSMDHGNKCPMCRTVLFIGPKTYPISVTLNNIIQKTFPVEHAERKSEQQSLSHLDVDIMPLFVMDVVLPCQKLSLNIFEPRYRLMVRRIMEGNHRMGMVNEIFIM >DRNTG_18738.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1196270:1201962:-1 gene:DRNTG_18738 transcript:DRNTG_18738.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSTRAGWEALFDVEELPWEVNGAGVYDLVHGGNLAFREKRFEDAIKCYSKAQLLEPGNPIILSNRCSAFCRISQFLRDRSALESECQPLNGLDPTTHAELALKDAERVISLRMNSPKSYFLKTSALILLERYEEAHEAVLSGLQVDPFSHHLESCLRDLGRISAGSVKRAKQWKPQLTDDFECTLCLKLLYEPVTTPCGHSFCRSCLLQSMDHGNKCPMCRTVLFIGPKTYPIRKLFQWNMLRGNLSNKVCLIWMLI >DRNTG_18738.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1196270:1198219:-1 gene:DRNTG_18738 transcript:DRNTG_18738.6 gene_biotype:protein_coding transcript_biotype:protein_coding FYVCNFDRRYRVAEVDWIQDIQTTVGTRERHDLQQVASAAADLARSLIRHAKEAARSGRRSRRLELLQAEGMPGLQDLERFSFWLVSHLNLRPSEKLELIRMRDTHERISRGFIFLRAEGENCRVQ >DRNTG_18738.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1196270:1201962:-1 gene:DRNTG_18738 transcript:DRNTG_18738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSTRAGWEALFDVEELPWEVNGAGVYDLVHGGNLAFREKRFEDAIKCYSKAQLLEPGNPIILSNRCSAFCRISQFLRDRSALESECQPLNGLDPTTHAELALKDAERVISLRMNSPKSYFLKTSALILLERYEEAHEAVLSGLQVDPFSHHLESCLRDLGRISAGSVKRAKQWKPQLTDDFECTLCLKLLYEPVTTPCGHSFCRSCLLQSMDHGNKCPMCRTVLFIGPKTYPISVTLNNIIQKTFPVEHAERKSEQQSLSHLDVDIMPLFVMDVVLPCQKLSLNIFEPRYRLMVRRIMEGNHRMGMVGVDPATGSISNYACEVEVSECEPLPDGRFYLEVEGRRRFRISRSWDQDGYRVAEVDWIQDIQTTVGTRERHDLQQVASAAADLARSLIRHAKEAARSGRRSRRLELLQAEGMPGLQDLERFSFWLVSHLNLRPSEKLELIRMRDTHERISRGFIFLRAEGENCRVQ >DRNTG_08075.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1829401:1833720:1 gene:DRNTG_08075 transcript:DRNTG_08075.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G39830) UniProtKB/Swiss-Prot;Acc:Q9LU10] MGRRMLLLVHEGCFLQAPRCICACTVQALALEDPSVTVEDVTPPVFDSGPLFPSEERIVKLFAKNTYSVVNIFDVTLRPQLNVTGLVEVPEGNGSGVVWDANGHVVTNYHVVGNALSRNPSPGQVVARVNILASEG >DRNTG_08075.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1829401:1833720:1 gene:DRNTG_08075 transcript:DRNTG_08075.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G39830) UniProtKB/Swiss-Prot;Acc:Q9LU10] MGRRMLLLVHEGCFLQAPRCICACTVQALALEDPSVTVEDVTPPVFDSGPLFPSEERIVKLFAKNTYSVVNIFDVTLRPQLNVTGLVEVPEGNGSGVVWDANGHVVTNYHVVGNALSRNPSPGQVVARVNILASEGVQKTFEGKLIGVDRAKDLAVLKVDAPLDLLKPINVGQSSALRVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQTGVTIGGGIQTDAAINPGNSGGPLLDSKGNLIGINTAIFTRTGTSAGVGFAIPSSTVLRIVPQLISFGKVVRAGLNVEIASDLIANQLNVRNGALVLQVVGNSLAAKAGLLPTTRGLAGNIVLGDIIVAVDGKPVKSKAELFKTLDDYSVGEKIVLKIQRGSEILELPLVLEETSL >DRNTG_08075.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1829401:1833720:1 gene:DRNTG_08075 transcript:DRNTG_08075.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G39830) UniProtKB/Swiss-Prot;Acc:Q9LU10] MTVLACIPLPQPVRSRPPRSFGRREVFFDGASSICSRTIALPCSKGEEASIQSLSLQSGHFGGVLGENGSENALVSTRRMFFTSPAVYLCLHCSRYSSALALEDPSVTVEDVTPPVFDSGPLFPSEERIVKLFAKNTYSVVNIFDVTLRPQLNVTGLVEVPEGNGSGVVWDANGHVVTNYHVVGNALSRNPSPGQVVARVNILASEGVQKTFEGKLIGVDRAKDLAVLKVDAPLDLLKPINVGQSSALRVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQTGVTIGGGIQTDAAINPGNSGGPLLDSKGNLIGINTAIFTRTGTSAGVGFAIPSSTVLRIVPQLISFGKVVRAGLNVEIASDLIANQLNVRNGALVLQVVGNSLAAKAGLLPTTRGLAGNIVLGDIIVAVDGKPVKSKAELFKTLDDYSVGEKIVLKIQRGSEILELPLVLEETSL >DRNTG_08075.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1829401:1833720:1 gene:DRNTG_08075 transcript:DRNTG_08075.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G39830) UniProtKB/Swiss-Prot;Acc:Q9LU10] MTVLACIPLPQPVRSRPPRSFGRREVFFDGASSICSRTIALPCSKGEEASIQSLSLQSGHFGGVLGENGSENALVSTRRMFFTSPAVYLCLHCSRYSSALALEDPSVTVEDVTPPVFDSGPLFPSEERIVKLFAKNTYSVVNIFDVTLRPQLNVTGLVEVPEGNGSGVVWDANGHVVTNYHVVGNALSRNPSPGQVVARVNILASEG >DRNTG_08075.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1831532:1833781:1 gene:DRNTG_08075 transcript:DRNTG_08075.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G39830) UniProtKB/Swiss-Prot;Acc:Q9LU10] MFRSACTTASMSGVMLSCLAMESIVLVKYSSRT >DRNTG_29408.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1887760:1891069:1 gene:DRNTG_29408 transcript:DRNTG_29408.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEELCRELPKIELHAHLNGSVRDSTLLELAKVLGEQGAIDFSEVEGLIKRRGRSLKECFRLFDIYHKITTDHATVTRITQEVVEDFAAENVVYLELRTTPKQNKAKEMTKRSYVEAVIDGLTAVDSVEVAFLPSTVNARNLTNFLPNEVTYNQTQRKKIFVRLLLSIDRRETTAAAMETVNLALEMKDKGVIGIDLSGNPEVGEW >DRNTG_29408.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1887760:1891069:1 gene:DRNTG_29408 transcript:DRNTG_29408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEELCRELPKIELHAHLNGSVRDSTLLELAKVLGEQGAIDFSEVEGLIKRRGRSLKECFRLFDIYHKITTDHATVTRITQEVVEDFAAENVVYLELRTTPKQNKAKEMTKRSYVEAVIDGLTAVDSVEVAFLPSTVNARNLTNFLPNEVTYNQTQRKKIFVRLLLSIDRRETTAAAMETVNLALEMKDKGVIGIDLSGNPEVGEWQTFLPALQYAKQKGLQVTLHCGEVRNNREIHSMLDFYPQRIGHACYLEEEHWEKLKVSKIPVEICLTSNLRTERITSFKEHHFADLYKAKHPVSLCTDDVGLFSTTLSNEYYLAASTFEFNKEQVYQLSRNVIEFVFADDEVKRTLAEIYDAAERRFIL >DRNTG_23474.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2822389:2825552:1 gene:DRNTG_23474 transcript:DRNTG_23474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGFISLLLTVGQGPISKICVPKSVGNSWHPCNKEEENNITDFDEDALSDKCASKNQVPLISSEGIHQLHIFIFVLAVTHVLYSITTMALGGLKMRRWKNWEEETTTAEYRFAHDPDRFRLARDTSFGRRHLSYWSTSPVLIWI >DRNTG_18506.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1659130:1660510:-1 gene:DRNTG_18506 transcript:DRNTG_18506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSIIVGEEYCKPEPMELAFSTTINGVKYKEFVITDLHGKALFWLSSDIAIKKKWFILDAATGLPLLSIIKKKWSLHKGWQVFRGDSTDENNHLFTVKKNSILMIHTEWDVLLTANTEEVEWDFKITGEFSKRSIKVFHKQNPSAAIAKMSQHDKVVKVRLANYAFRMTISSNIDFAFVASLISIFHQSQQRKNARKEGMQTAANEIGQGAVALGKSLAQAAASQSQ >DRNTG_18506.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1660108:1660510:-1 gene:DRNTG_18506 transcript:DRNTG_18506.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSIIVGEEYCKPEPMELAFSTTINGVKYKEFVITDLHGKALFWLSSDIAIKKKWFILDAATGLPLLSIIKK >DRNTG_05379.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13421938:13422354:-1 gene:DRNTG_05379 transcript:DRNTG_05379.3 gene_biotype:protein_coding transcript_biotype:protein_coding RDLRISQSPPSSSSSSSSSSSPVTSPARFAITFLKSPTAPPFTIFTSYHHFIQTLQFLFL >DRNTG_05379.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13421743:13422354:-1 gene:DRNTG_05379 transcript:DRNTG_05379.2 gene_biotype:protein_coding transcript_biotype:protein_coding RDLRISQSPPSSSSSSSSSSSPVTSPARFAITFLKSPTAPPFTIFTSYHHFIQTLQFLFLSFNKLILFPYKNWDNRRYFAANNLKRKVLQKGYLCR >DRNTG_05379.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13420300:13422354:-1 gene:DRNTG_05379 transcript:DRNTG_05379.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDLRISQSPPSSSSSSSSSSSPVTSPARFAITFLKSPTAPPFTIFTSYHHFIQTLQFLFLSFNKLILFPYKNWDNRRYFAANNLKRKVYQTSSNSKLNIPWRFFSS >DRNTG_26445.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:385836:386935:-1 gene:DRNTG_26445 transcript:DRNTG_26445.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLNQHKLKNPTSERILKEKEIKHSETPSYKKKEWIIQHLPEILTSISFKFEDALQLGEKREINGNLEIPHTPQ >DRNTG_34117.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32692532:32697370:-1 gene:DRNTG_34117 transcript:DRNTG_34117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKPPLNEGAHPLSTPNENISGPFTTSNPFNYSSLQLRNHKRRILRSWAVAVVAVVLIALFVATATIVNIYREQIVHICRQQKSNFNTDKISNDSLLSLPVTVPTVPALNLDQWAIGSPSTSTNRRSSIHHKKPEGWSKRKVTCRKPRNQPTAKIYKASELSIATNNFSQERLLGAGPNGCVYRAEFSDGQVVAVKRIDMLPLAVHEEDDFLDLVWNMSRLQHQNITSLLGYCVDQGQYSLVYEYASGRSLADALFSSNDTCKALSWKARVKISLGVAHAIEYLHCLCIPPIAHGSIKASNILLGNQYAPQLTDCGIATLRPLIEPKPEASELRNHINCYAAPESSVPRNDEVKSDVYSFGVILLEILTGKRAFDISRRKHEQFLVNWASSRLHDFSLLEDIVDPSIRDTIPPEVLSRFADIILLCIQPQPEFRMGMTEIVGKFVHLVQKMGHDASDSDLNMSDLSFKSTVAYFE >DRNTG_34117.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32692532:32697083:-1 gene:DRNTG_34117 transcript:DRNTG_34117.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKPPLNEGAHPLSTPNENISGPFTTSNPFNYSSLQLRNHKRRILRSWAVAVVAVVLIALFVATATIVNIYREQIVHICRQQKSNFNTDKISNDSLLSLPVTVPTVPALNLDQWAIGSPSTSTNRRSSIHHKKPEGWSKRKVTCRKPRNQPTAKIYKASELSIATNNFSQERLLGAGPNGCVYRAEFSDGQVVAVKRIDMLPLAVHEEDDFLDLVWNMSRLQHQNITSLLGYCVDQGQYSLVYEYASGRSLADALFSSNDTCKALSWKARVKISLGVAHAIEYLHCLCIPPIAHGSIKASNILLGNQYAPQLTDCGIATLRPLIEPKPEASELRNHINCYAAPESSVPRNDEVKSDVYSFGVILLEILTGKRAFDISRRKHEQFLVNWASSRLHDFSLLEDIVDPSIRDTIPPEVLSRFADIILLCIQPQPEFRMGMTEIVGKFVHLVQKMGHDASDSDLNMSDLSFKSTVAYFE >DRNTG_34117.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32694446:32697083:-1 gene:DRNTG_34117 transcript:DRNTG_34117.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKPPLNEGAHPLSTPNENISGPFTTSNPFNYSSLQLRNHKRRILRSWAVAVVAVVLIALFVATATIVNIYREQIVHICRQQKSNFNTDKISNDSLLSLPVTVPTVPALNLDQWAIGSPSTSTNRRSSIHHKKPEGWSKRKVTCRKPRNQPTAKIYKASELSIATNNFSQERLLGAGPNGCVYRAEFSDGQVVAVKRIDMLPLAVHEEDDFLDLVWNMSRLQHQNITSLLGYCVDQGQYSLVYEYASGRSLADALFSSNDTCKALSWKARVKISLGVAHAIE >DRNTG_05933.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5442356:5442817:1 gene:DRNTG_05933 transcript:DRNTG_05933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSITLLPASPSKSWTPTILPLWPALLLRSESFGHYQCDHNLSMGMNLNTVSKMLRCTGNDDIITLKVDDGSDTVTFMFKNPNKDKIVDFEMKLMNIDSKLTLLNDPVSCYIEACHELKQELGRGEFGVTYLYTNRSIDESLPCKSISKKKL >DRNTG_28974.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001462.1:109962:112643:1 gene:DRNTG_28974 transcript:DRNTG_28974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGGHGFSVELIHSYSPQSPMYNPNITDFDLWVFINYQSDIRIKYFETKTKRNLTSSSLKPTITMRSPVSYVGYQYLIMFSIGTPQVQVHATFDTGSKLLWLQCQPCTKCYKQKDPLFNPSKSSSYNLLPCDSTICDKIPGSGCSAWHDTCEYNIWYADGSVSNGDIAEDDITFLTTETDPGTFKMPKTVFGCGHYNRGTFNEATQGVIGMSMGPSSLLSQMSGWIKGQFSYCLVDNTNPFSKSQILFGDRAILIGNSTPLAVPTGKAEWYYLSLLDISVGTIKLNIPPGTFQRDVHGEGGLILDTGTPLTRLERTGYEILEKKVRKMADLKVAPIQGLRTLCFFGSKSDLDAGKLPSLTFHFQGLDLYLSPYSVYQVLSNRYICMSALPSDSLSIFGSLFQRNLNIGFDLYQNRIFMYETNCNF >DRNTG_14243.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:956019:959573:-1 gene:DRNTG_14243 transcript:DRNTG_14243.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNAVKNSGEVVVVIAGEEEEAPISAKMGSLEESSKVPVELSPEIVKASASAKKPPKPPMPEGLSRRRSIPTSAFSKPKSRFVEQTVPVAPSSGEDCRTVSEGSPYHGSPNHKAGGTPKTPLAIEEEDEEEEICKKGQLANGGKKRWKLKMRVLIEWAILVLSMGCLITSLIVNRLQSFVIWGLEIWKWCVMVIVICCGRLVTQWFITVLVFLIEKNYVLRTKVLYFVYGLKNSVQVCIWLGLVLLSWCLLFKQGASRTRETAKVLDYVSRALVSLLIASVVWLVKTLLLKIMASNFHMNRFFDRIQESIFHQYVLQMLSGPPLMEFAEKVGKAKGTGQLSLRNIGKGKGKAGEEQGVIDVAKLQKMSQEKVSAWTMKGLVNVVICSGLTTISNTIDETFNEAEQRDREITSEWEAKVAAYQIFKNVAKHGYKYIEVEDLLRFLSKGEVEIVLPLFEGAAETGKIKKSALKNWVVKSYQDRKSLAHSLNDTKTAVKQLDKLVTVIVIIVMIIITLLLMGFATTKVLVFLSSQLLLVGFMFGNTCKMAFESIIFVFVMHPFDVGDRCVVDGVQMIVEEMNILTTVFLRFDNEKIYYPNSVLATKPISNFYRSPDMNDSIEFAIDVATSVECIGALKARVKAYIDNKPNHWHPNHNIVVKDIVNVNKMNMALFVRHTMNFQNFGEKNSRRSDLVLELKKIFEDLSIRYNLLPQDINLSYKGVIPAPLPISQSF >DRNTG_14243.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:956019:959573:-1 gene:DRNTG_14243 transcript:DRNTG_14243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNAVKNSGEVVVVIAGEEEEAPISAKMGSLEESSKVPVELSPEIVKASASAKKPPKPPMPEGLSRRRSIPTSAFSKPKSRFVEQTVPVAPSSGEDCRTVSEGSPYHGSPNHKAGGTPKTPLAIEEEDEEEEICKKGQLANGGKKRWKLKMRVLIEWAILVLSMGCLITSLIVNRLQSFVIWGLEIWKWCVMVIVICCGRLVTQWFITVLVFLIEKNYVLRTKVLYFVYGLKNSVQVCIWLGLVLLSWCLLFKQGASRTRETAKVLDYVSRALVSLLIASVVWLVKTLLLKIMASNFHMNRFFDRIQESIFHQYVLQMLSGPPLMEFAEKVGKAKGTGQLSLRNIGKGKGKAGEEQGVIDVAKLQKMSQEKVSAWTMKGLVNVVICSGLTTISNTIDETFNEAEQRDREITSEWEAKVAAYQIFKNVAKHGYKYIEVEDLLRFLSKGEVEIVLPLFEGAAETGKIKKSALKNWVVKSYQDRKSLAHSLNDTKTAVKQLDKLVTVIVIIVMIIITLLLMGFATTKVLVFLSSQLLLVGFMFGNTCKMAFESIIFVFVMHPFDVGDRCVVDGVQMIVEEMNILTTVFLRFDNEKIYYPNSVLATKPISNFYRSPDMNDSIEFAIDVATSVECIGALKARVKAYIDNKPNHWHPNHNIVVKDIVNVNKMNMALFVRHTMNFQNFGEKNSRRSDLVLELKKIFEDLSIRYNLLPQDINLSYKGVIPAPLPISQSF >DRNTG_14243.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:956019:959573:-1 gene:DRNTG_14243 transcript:DRNTG_14243.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNAVKNSGEVVVVIAGEEEEAPISAKMGSLEESSKVPVELSPEIVKASASAKKPPKPPMPEGLSRRRSIPTSAFSKPKSRFVEQTVPVAPSSGEDCRTVSEGSPYHGSPNHKAGGTPKTPLAIEEEDEEEEICKKGQLANGGKKRWKLKMRVLIEWAILVLSMGCLITSLIVNRLQSFVIWGLEIWKWCVMVIVICCGRLVTQWFITVLVFLIEKNYVLRTKVLYFVYGLKNSVQVCIWLGLVLLSWCLLFKQGASRTRETAKVLDYVSRALVSLLIASVVWLVKTLLLKIMASNFHMNRFFDRIQESIFHQYVLQMLSGPPLMEFAEKVGKAKGTGQLSLRNIGKGKGKAGEEQGVIDVAKLQKMSQEKVSAWTMKGLVNVVICSGLTTISNTIDETFNEAEQRDREITSEWEAKVAAYQIFKNVAKHGYKYIEVEDLLRFLSKGEVEIVLPLFEGAAETGKIKKSALKNWVVKSYQDRKSLAHSLNDTKTAVKQLDKLVTVIVIIVMIIITLLLMGFATTKVLVFLSSQLLLVGFMFGNTCKMAFESIIFVFVMHPFDVGDRCVVDGVQMIVEEMNILTTVFLRFDNEKIYYPNSVLATKPISNFYRSPDMNDSIEFAIDVATSVECIGALKARVKAYIDNKPNHWHPNHNIVVKDIVNVNKMNMALFVRHTMNFQNFGEKNSRRSDLVLELKKIFEDLSIRYNLLPQDINLSYKGVIPAPLPISQSF >DRNTG_14272.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:918696:923019:1 gene:DRNTG_14272 transcript:DRNTG_14272.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRALLDELMGSARNLTEEEKKGYREMRWDDKEVCGPFMLKFCPHDLFVNTKSDLGPCPKVHDLKLKESFEKSPRHDAYVPKFEAELAQFCEKLVTNLNRKVKRGQERVAQDDVQPTVPITSEKSGQLLLVEERIKKLLEQIESLGEAGKVDEAEALMRMVDSLNAQKTGLMAQASDKGLAQTQEKKIALCEICGSFLVANDAAERTQSHVTGKQHVGYNMVRDFLSEYKAAKDKAREEERLTKEKEAEERRKQRERKNEERDRGHDRHREREFERERSREWGGRSSRDGGRGFDGRPNHNRNGRDRDRDRFRDRNGDMTRDRARGRSRSRSPARHVYRRSSRSPVRPY >DRNTG_14272.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:918696:923019:1 gene:DRNTG_14272 transcript:DRNTG_14272.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAQASDKGLAQTQEKKIALCEICGSFLVANDAAERTQSHVTGKQHVGYNMVRDFLSEYKAAKDKAREEERLTKEKEAEERRKQRERKNEERDRGHDRHREREFERERSREWGGRSSRDGGRGFDGRPNHNRNGRDRDRDRFRDRNGDMTRDRARGRSRSRSPARHVYRRSSRSPVRPY >DRNTG_14272.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:918696:923019:1 gene:DRNTG_14272 transcript:DRNTG_14272.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLLTHTSFEKSPRHDAYVPKFEAELAQFCEKLVTNLNRKVKRGQERVAQDDVQPTVPITSEKSGQLLLVEERIKKLLEQIESLGEAGKVDEAEALMRMVDSLNAQKTGLMAQASDKGLAQTQEKKIALCEICGSFLVANDAAERTQSHVTGKQHVGYNMVRDFLSEYKAAKDKAREEERLTKEKEAEERRKQRERKNEERDRGHDRHREREFERERSREWGGRSSRDGGRGFDGRPNHNRNGRDRDRDRFRDRNGDMTRDRARGRSRSRSPARHVYRRSSRSPVRPY >DRNTG_14272.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:918696:923019:1 gene:DRNTG_14272 transcript:DRNTG_14272.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRALLDELMGSARNLTEEEKKGYREMRWDDKEVCGPFMLKFCPHDLFVNTKSDLGPCPKVHDLKLKESFEKSPRHDAYVPKFEAELAQFCEKLVTNLNRKVKRGQERVAQDDVQPTVPITSEKSGQLLLVEERIKKLLEQIESLGEAGKVDEAEALMRMVDSLNAQKTGLMAQASDKGLAQTQEKKIALCEICGSFLVANDAAERTQSHVTGKQHVGYNMVRDFLSEYKAAKDKAREEERLTKEKEAEERRKQRERKNEERDRGHDRHREREFERERSREWGGRSSRDGGRGFDGRPNHNRNGRDRDRDRFRDRNGDMTRDRARGRSRSRSPARHVYRRSSRSPVRPY >DRNTG_14272.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:918696:923019:1 gene:DRNTG_14272 transcript:DRNTG_14272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRALLDELMGSARNLTEEEKKGYREMRWDDKEVCGPFMLKFCPHDLFVNTKSDLGPCPKVHDLKLKESFEKSPRHDAYVPKFEAELAQFCEKLVTNLNRKVKRGQERVAQDDVQPTVPITSEKSGQLLLVEERIKKLLEQIESLGEAGKVDEAEALMRMVDSLNAQKTGLMAQASDKGLAQTQEKKIALCEICGSFLVANDAAERTQSHVTGKQHVGYNMVRDFLSEYKAAKDKAREEERLTKEKEAEERRKQRERKNEERDRGHDRHREREFERERSREWGGRSSRDGGRGFDGRPNHNRNGRDRDRDRFRDRNGDMTRDRARGRSRSRSPARHVYRRSSRSPVRPY >DRNTG_14272.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:918696:923019:1 gene:DRNTG_14272 transcript:DRNTG_14272.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLLTHTSFEKSPRHDAYVPKFEAELAQFCEKLVTNLNRKVKRGQERVAQDDVQPTVPITSEKSGQLLLVEERIKKLLEQIESLGEAGKVDEAEALMRMVDSLNAQKTGLMAQASDKGLAQTQEKKIALCEICGSFLVANDAAERTQSHVTGKQHVGYNMVRDFLSEYKAAKDKAREEERLTKEKEAEERRKQRERKNEERDRGHDRHREREFERERSREWGGRSSRDGGRGFDGRPNHNRNGRDRDRDRFRDRNGDMTRDRARGRSRSRSPARHVYRRSSRSPVRPY >DRNTG_30847.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001633.1:6972:14002:-1 gene:DRNTG_30847 transcript:DRNTG_30847.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILTTVFEFGDDTGPNFSILEWWSRHTETFSTLAKIAKQLLAVPASTVVVEQTFSNGGNILDERRSRLGPESLEAQTCLDDWERARLRSQDDLIHYHHQTSG >DRNTG_03231.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4640362:4642392:1 gene:DRNTG_03231 transcript:DRNTG_03231.5 gene_biotype:protein_coding transcript_biotype:protein_coding MENHCAWQRFLPSGPIALLPIGDNFSNIVWTMRPEESAEHKSMSSDDFVKAVNHALDYGHGRHPQSNFLDGYIDKFSSLTGLGAESVKEPFQVPPRITNVVSERMAFPLSLMHAHDYASKRVVLVGDAAHTVHPLAGQGVNLGFGDASTLAKIIAEGLSVGTDIGELSLLKKYERDRKAANVTMMAILDGFQKAFSVDLGPINVLRAAAFHGAQYITPLKRNIISYAMGEQKWPLFA >DRNTG_03231.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4636279:4642392:1 gene:DRNTG_03231 transcript:DRNTG_03231.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENHCAWQRFLPSGPIALLPIGDNFSNIVWTMRPEESAEHKSMSSDDFVKAVNHALDYGHGRHPQSNFLDGYIDKFSSLTGLGAESVKEPFQVPPRITNVVSERMAFPLSLMHAHDYASKRVVLVGDAAHTVHPLAGQGVNLGFGDASTLAKIIAEGLSVGTDIGELSLLKKYERDRKAANVTMMAILDGFQKAFSVDLGPINVLRAAAFHGAQYITPLKRNIISYAMGEQKWPLFA >DRNTG_03231.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4636279:4642392:1 gene:DRNTG_03231 transcript:DRNTG_03231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHCAWQRFLPSGPIALLPIGDNFSNIVWTMRPEESAEHKSMSSDDFVKAVNHALDYGHGRHPQSNFLDGYIDKFSSLTGLGAESVKEPFQVPPRITNVVSERMAFPLSLMHAHDYASKRVVLVGDAAHTVHPLAGQGVNLGFGDASTLAKIIAEGLSVGTDIGELSLLKKYERDRKAANVTMMAILDGFQKAFSVDLGPINVLRAAAFHGAQYITPLKRNIISYAMGEQKWPLFA >DRNTG_03231.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4640362:4642392:1 gene:DRNTG_03231 transcript:DRNTG_03231.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENHCAWQRFLPSGPIALLPIGDNFSNIVWTMRPEESAEHKSMSSDDFVKAVNHALDYGHGRHPQSNFLDGYIDKFSSLTGLGAESVKEPFQVPPRITNVVSERMAFPLSLMHAHDYASKRVVLVGDAAHTVHPLAGQGVNLGFGDASTLAKIIAEGLSVGTDIGELSLLKKYERDRKAANVTMMAILDGFQKAFSVDLGPINVLRAAAFHGAQYITPLKRNIISYAMGEQKWPLFA >DRNTG_03231.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4636279:4642392:1 gene:DRNTG_03231 transcript:DRNTG_03231.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENHCAWQRFLPSGPIALLPIGDNFSNIVWTMRPEESAEHKSMSSDDFVKAVNHALDYGHGRHPQSNFLDGYIDKFSSLTGLGAESVKEPFQVPPRITNVVSERMAFPLSLMHAHDYASKRVVLVGDAAHTVHPLAGQGVNLGFGDASTLAKIIAEGLSVGTDIGELSLLKKYERDRKAANVTMMAILDGFQKAFSVDLGPINVLRAAAFHGAQYITPLKRNIISYAMGEQKWPLFA >DRNTG_31255.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3006079:3008626:-1 gene:DRNTG_31255 transcript:DRNTG_31255.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLAPSRTIARTGPGLPSTVTFTTTFTSSPCTPPIRILNNRIQKPNNKPSIGISPPWKGAGKGTEIGGARDQRCQRMYGVGGGTPAPRFQGLLRPG >DRNTG_31255.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3006079:3008626:-1 gene:DRNTG_31255 transcript:DRNTG_31255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMELEGRGGGGIELGGASLAAISIKNDADGLLYAGPKPHHRPNRPRTAIHRDVHHHLHLLSLHGKARGRGRRSEGQGTKDVSACMGSGEEHRLPDSKVSFVRDKVGRSRGWVPTKFGG >DRNTG_08033.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30228748:30232931:-1 gene:DRNTG_08033 transcript:DRNTG_08033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSIIHDMKGEIGSMSRKGFDVRLGYGVRSRSHRLVGDGQGMPALGASLKQSCWANMPLELLREVLVRIEASEHSWPPRKHVVACAGVCRSWREIMKEIVKTLEVSGKVTFPISVKQPGPRDLLIQCFIKRNRTNQTYRLFLGLTTALTDEGKFLLAARRFRRPTCTDYIISLDADDMSKGSGTYIGKLRSNFLGTKFTVYDGQPPHTGTVAWKSRSTRFVGSKQVSPRVPAGNYPIAHIAYELNVLGSRGPRRMHCVMDSIPSSAIEPGGEAPTPTEFPLSNLDSFPSIPFFKTKSIRSESFPSGPLSVPKEGTLVLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVASAEGGPAGPDHDKVLLQFGKVGKDLFTMDYRYPLSAFQAFAICLSSFDTKIACE >DRNTG_08033.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30228748:30232931:-1 gene:DRNTG_08033 transcript:DRNTG_08033.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSIIHDMKGEIGSMSRKGFDVRLGYGVRSRSHRLVGDGQGMPALGASLKQSCWANMPLELLREVLVRIEASEHSWPPRKHVVACAGVCRSWREIMKEIVKTLEVSGKVTFPISVKQPGPRDLLIQCFIKRNRTNQTYRLFLGLTTALTDEGKFLLAARRFRRPTCTDYIISLDADDMSKGSGTYIGKLRSNFLGTKFTVYDGQPPHTGTVAWKSRSTRFVGSKQVSPRVPAGNYPIAHIAYELNVLGSRGPRRMHCVMDSIPSSAIEPGGEAPTPTEFPLSNLDSFPSIPFFKTKSIRSESFPSGPLSVPKEGTLVLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVASAEGGPAGPDHDKVLLQFGKVGKDLFTMDYRYPLSAFQAFAICLSSFDTKIACE >DRNTG_19174.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6102565:6104108:-1 gene:DRNTG_19174 transcript:DRNTG_19174.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g48140/F11L15.4 [Source:Projected from Arabidopsis thaliana (AT2G48140) UniProtKB/TrEMBL;Acc:Q94AX3] MEIIRNCFSSFRVSFLMIILLITSSPASSQISTPCTNTLLSSFTPCLNYLTGSTNGGGSPTSDCCDSLGSLISSSAECACMIITGNVPIPINRALAISLPKICNSKSVPLQCKTSSTPLPAPGPVAFAPSLPPLSPTTSNVPGSDSSSQALPPFPTQSPPALTPPAIVQTPTTNSGQPTLVIPSSAFKLSGITSAALLLIFGTLILN >DRNTG_26808.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20337577:20342447:1 gene:DRNTG_26808 transcript:DRNTG_26808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARRRHRKHSKAFGNVREPKKLDEPLLGSPKPDNTPSTLKRLLRTTTQLSHACV >DRNTG_33597.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4432717:4436761:1 gene:DRNTG_33597 transcript:DRNTG_33597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSSTFIGVFELAIVMKFKSSKTRIRFEQALAEESRKRSKETGGDERGIAGKRRQGTKTRRREEEKK >DRNTG_33597.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4432717:4436761:1 gene:DRNTG_33597 transcript:DRNTG_33597.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSSTFIGVFELAIVMKFKSSKTRIRFEQALAEESRKRSKETGGDERGIAGKRRQGTKTRRREEEKK >DRNTG_33597.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4432717:4436761:1 gene:DRNTG_33597 transcript:DRNTG_33597.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGCGLKSTLFSRTSQNTNKTSKTRIRFEQALAEESRKRSKETGGDERGIAGKRRQGTKTRRREEEKK >DRNTG_33597.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4432717:4436761:1 gene:DRNTG_33597 transcript:DRNTG_33597.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGCGLKSTLFSRTSQNTNKTSKTRIRFEQALAEESRKRSKETGGDERGIAGKRRQGTKTRRREEEKK >DRNTG_14857.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22935529:22939874:1 gene:DRNTG_14857 transcript:DRNTG_14857.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIVQGSTLKHGISLRTLLRNSNSTPGPCLLIAGDTQGAVFGGLLDCPLNPTAKRKYQGTNQTFVFTTIYGEPRLFRPTGANRYYYLCLNDLLAFGGGSNFALCLDEDLLHGSSGLCETFGNLCLAHSPEFELKNVELWGFTHSSLCCT >DRNTG_14857.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22935529:22939874:1 gene:DRNTG_14857 transcript:DRNTG_14857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSWKERVAEKLSRLLADSPSSSPSTDPRPDRQGIIPLGTEEVCSPKKSKVSYLISFLPTINSPFHGHSSDMHDKRLMSIRSKHGRWEANGFGSEEKPLEFNLDSGSESENDDVFVTPKANPMDAVDVFSSINGNDGNEEVPSSRDSQEFLPYLTDKSVFMNSDLFDFFQSSLPNIAKGCQWILLYSTLKHGISLRTLLRNSNSTPGPCLLIAGDTQGAVFGGLLDCPLNPTAKRKYQGTNQTFVFTTIYGEPRLFRPTGANRYYYLCLNDLLAFGGGSNFALCLDEDLLHGSSGLCETFGNLCLAHSPEFELKNVELWGFTHSSLCCT >DRNTG_14857.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22935529:22939874:1 gene:DRNTG_14857 transcript:DRNTG_14857.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLVCLIYCRSYQMKGSTLKHGISLRTLLRNSNSTPGPCLLIAGDTQGAVFGGLLDCPLNPTAKRKYQGTNQTFVFTTIYGEPRLFRPTGANRYYYLCLNDLLAFGGGSNFALCLDEDLLHGSSGLCETFGNLCLAHSPEFELKNVELWGFTHSSLCCT >DRNTG_14857.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22936262:22939874:1 gene:DRNTG_14857 transcript:DRNTG_14857.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIVQGSTLKHGISLRTLLRNSNSTPGPCLLIAGDTQGAVFGGLLDCPLNPTAKRKYQGTNQTFVFTTIYGEPRLFRPTGANRYYYLCLNDLLAFGGGSNFALCLDEDLLHGSSGLCETFGNLCLAHSPEFELKNVELWGFTHSSLCCT >DRNTG_32820.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27739133:27740549:1 gene:DRNTG_32820 transcript:DRNTG_32820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSLKVVFSVVCIIDQLLMNFVSCGCSWCPPVKREQDRKFEQKSDKFWEFEEGSNRWVEVSLPFDLVSCINESCTKVGSIESKNKHKNHRYEHEPGLLVRKRVSLTLMTESSVWITGQSGSIFERFWNGVQWVIAPHELPTSAGHAVSVFIINQTILSLSEAGL >DRNTG_09841.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000415.1:4054:6709:1 gene:DRNTG_09841 transcript:DRNTG_09841.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKKHQGKELQLGFWNVARSTNQPEMQKNLDVMSAMNGGEEAVKELLENWPITGWCVAFFSDIVKCDTIDNNMCETFNGVVLDARSKPIITMLEDIRQYVMTRLAVKRDHVLKWKCECGPNIVTKLDKERKKCGKWHVEWNGGSRHEVYWDNLILHVREAYVVTLAEHVRSCRKWSKSGIPCQHAIASIAFSGLEPLDFISEYFRKEYYLKAYHNVVNPVKGREFWPLSEERTLLPPMVRRMPGRPAKKRKREPLEDKNKGRLKISRRGRVFKCSLCLAEGHNKLTCPQKKSTGGSTEHPQDVDISSVDTTANVASSQASHRGHFAAKRKQQKTATAIAPRPRRKITPNPAAPTHGLSEQIGQECLFRTNGKKTLAAENSAQTNIVTDGGRNQNKEFALKGKQDAPKGSTSTTLPHPTSGNIQILK >DRNTG_09841.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000415.1:4054:5194:1 gene:DRNTG_09841 transcript:DRNTG_09841.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQTMKLVLGVTLGVFLNLGKLVDMKVSIYHHQIQGAMKTQVKDQIRKMHKDIDLAGGSLIPKCHLRIFFLDLSFKDLKLFKKELVEFSTKRGFEFTYIKNDAVRVKAACSAKNCTWLILCSWCSAKKSFTVKHYVSQHTCLLGATRNRRVTAPVVAKRYGELIYGMPFVTPRHLRSLVRKDMGVFITNKVCRNAKGWLLREWKSNFGRNLGY >DRNTG_09841.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000415.1:4054:7135:1 gene:DRNTG_09841 transcript:DRNTG_09841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKKHQGKELQLGFWNVARSTNQPEMQKNLDVMSAMNGGEEAVKELLENWPITGWCVAFFSDIVKCDTIDNNMCETFNGVVLDARSKPIITMLEDIRQYVMTRLAVKRDHVLKWKCECGPNIVTKLDKERKKCGKWHVEWNGGSRHEVYWDNLILHVREAYVVTLAEHVRSCRKWSKSGIPCQHAIASIAFSGLEPLDFISEYFRKEYYLKAYHNVVNPVKGREFWPLSEERTLLPPMVRRMPGRPAKKRKREPLEDKNKGRLKISRRGRVFKCSLCLAEGHNKLTCPQKKSTGGSTEHPQDVDISSVDTTANVASSQASHRGHFAAKRKQQKTATAIAPRPRRKITPNPAAPTHGLSEQIGQECLFRTNGKKTLAAENSAQTNIVTDGGRNQNKEFALKGKQDAPKGSTSTTLPHPTSGNIQILKGRYSGGFIIGRYSMSGRVSGSQNSTATAVNTAQEAQAALGTQQSMTIDAVKKNP >DRNTG_33927.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1143358:1143788:-1 gene:DRNTG_33927 transcript:DRNTG_33927.7 gene_biotype:protein_coding transcript_biotype:protein_coding MISQKPETTNGNGYAIKVGATGTIGSLMSRELESMKEGSQASSSTQKKPQSPPVSVPCGSTPRKTQLRRNSTSDSSGSSSSTSSSNSNT >DRNTG_33927.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1142482:1143297:-1 gene:DRNTG_33927 transcript:DRNTG_33927.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLGADDVNADRMNNRDQKKPNSYIVEVVDLKCSNPMSSRLKKLGFSKLSESTA >DRNTG_33927.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1142565:1143297:-1 gene:DRNTG_33927 transcript:DRNTG_33927.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLGADDVNADRMNNRDQKKPNSYIVEVVDLKCSNPMSSRLKKLGFSKLSESTA >DRNTG_33927.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1143358:1145589:-1 gene:DRNTG_33927 transcript:DRNTG_33927.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISQKPETTNGNGYAIKVGATGTIGSLMSRELESMKEGSQASSSTQKKPQSPPVSVPCGSTPRKTQLRRNSTSDSSGSSSSTSSSNSNT >DRNTG_33927.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1143358:1144525:-1 gene:DRNTG_33927 transcript:DRNTG_33927.4 gene_biotype:protein_coding transcript_biotype:protein_coding MISQKPETTNGNGYAIKVGATGTIGSLMSRELESMKEGSQASSSTQKKPQSPPVSVPCGSTPRKTQLRRNSTSDSSGSSSSTSSSNSNT >DRNTG_33927.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1142565:1145589:-1 gene:DRNTG_33927 transcript:DRNTG_33927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQKPETTNGNGYAIKVGATGTIGSLMSRELESMKEGSQASSSTQKKPQSPPVSVPCGSTPRKTQLRRNSTSDSSGSSSSTSSSNSNTGLNKNPHKCPKTKNKNPWKKMAIECLC >DRNTG_33927.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1142565:1143788:-1 gene:DRNTG_33927 transcript:DRNTG_33927.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISQKPETTNGNGYAIKVGATGTIGSLMSRELESMKEGSQASSSTQKKPQSPPVSVPCGSTPRKTQLRRNSTSDSSGSSSSTSSSNSNTGLNKNPHKCPKTKNKNPWKKMAIECLC >DRNTG_20854.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15981215:15981797:1 gene:DRNTG_20854 transcript:DRNTG_20854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIKINKNESGSGSVHREVFCSKKSARKFSWMKLGWKRSEEDECVVCLEEFKRGDILVHLPCLHRFHWTCVVPWIEANSHCPCCRTSVSLASLESC >DRNTG_30160.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001542.1:8387:8760:1 gene:DRNTG_30160 transcript:DRNTG_30160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSQNQRIKSPKEDSLGNPNINAKWTQEIRHN >DRNTG_30160.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001542.1:8387:13499:1 gene:DRNTG_30160 transcript:DRNTG_30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKSDHGSTSDRRVDQNCAHKTGNNKPLLSSLF >DRNTG_09698.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000404.1:78975:83658:1 gene:DRNTG_09698 transcript:DRNTG_09698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKGAYLLLVLLIELGLLAFALAAAPQTCPANLEGGCSAASDDDWTGEFFPGIPKIKYEGPNSKNPLSFKWYNAEEVILGKKMKDWMRFSVAFWHTFRGTGSDPFGAPTKMWPWEDGTNSLAMAKRRMRANFEFIKKLGLNRWCFHDRDIAPDGKTLAESNANLDEVVALAKELQGTEIRPLWGTAQLFMHPRYMHGAATSSEVAVYAYAAAQVKKAMEVTQYLGGENFVFWGGREGYQSLLNTDMEKELDHLATFFQAAVDYKKKIGFNGTLLIEPKPQEPTKHQYDWDAATTMSFLLKYGLKDELKLNIECNHATLSGHSCHHELETARINGLLGNIDANTGDPQTGWDTDQFMTDISEATLVMLSVVRNGGLAPGGFNFDAKLRRESTDVEDLFLAHISGMDTMARGLRNVAKLVEDGSLAELVRNRYKSFDSELGALIEAGKADFETLEKKAMEMGEPTIASGKQELAEMIFQSAL >DRNTG_17098.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17433479:17435343:-1 gene:DRNTG_17098 transcript:DRNTG_17098.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYVIYVNNHLEDPKNLHLKGLEGAEGRLTLYKADVLDYESVCLAFDCCDGVFHVASPVTNDPEKVKVAVVGTVNAINAAAKAGVRRFVFTSSIGAVHMNPNRSSDTVLDENCWSDLEYCKKTKNWYCYGKILAELAAMDMAKKRELDLVVVVPPLTVGQMLQPILNASCFRVLTYMRGAKKVYPNAVMALVDVKDVAQAHVLVYEDSNASGRYFCMATVVHRSEFVRMLLEMFPVYPITNE >DRNTG_17098.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17434379:17436537:-1 gene:DRNTG_17098 transcript:DRNTG_17098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTISHTRTYGDAIRVAADHDLRQQTVCVTGAGGFIGSWLVKLLLLKGYNVRGTVRNNEDPKNLHLKGLEGAEGRLTLYKADVLDYESVCLAFDCCDGVFHVASPVTNDPEKVKVAVVGTVNAINAAAKAGVRRFVFTSSIGAVHMNPNRSSDTVLDENCWSDLEYCKKTKVCFLTLTLVFFSLILPPFFSNFWRFNIK >DRNTG_28531.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6369187:6370023:1 gene:DRNTG_28531 transcript:DRNTG_28531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMMRILMSLVKDMKEKKKKKKNKVV >DRNTG_00550.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30197324:30199613:1 gene:DRNTG_00550 transcript:DRNTG_00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSIPQPVPSPAEDAELLRKAFVGWGTDENTIISILAHRSAAQRCLIRQHYAQVYGEDLLKSLDKEISGDFERAVLLWTLDPAERDALLLNEAARKWHHSNNVIVEIACTRSSEELFAIRRAYHARYKRSLEEDVAAYTSGDFRKLLVPLSSAYRYEGAEVNMTLAKLEAKILHGKIAEKAYSHEEIIRILTTRSKAQVLATLNHYNNEFGNPINKDLKSDPKDEFLFTLRAVTRCMSTPEKYFEKVIRLAINKIGTDEGALTRVVTTRAEVDLKVIKEIYYKRNSVPLSRAISKDTSGDYEAMLLALVGRDDA >DRNTG_01046.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17778406:17781633:1 gene:DRNTG_01046 transcript:DRNTG_01046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRLMGFEVIRNVTRIQSTAEIPEEKKLQQHIMEDQEPPPSSSPSPLPYISDLSDLDHSFTSSTTFTSSSSARSSLSLSFPLSSSSTSLSLSPHPHSSSNPLWSSLRATTSLSPDNQLHLHHLHLIRPLGSGDLARVFHCRLRHFPNSDFALKVIDLDSSSSKPRLSHVQTESLVLSSLDHPFLPTLFARLDASHFSCFLIDYCPSGDLHSLLRRLPLHRLHLPSARFFSSEVLLALEYLHSLGFVYRDLKPENVLLRADGHIMLSDFDLCFHSPVQPQLLPTDNNNNELEFVAEPDTAFSTACVGTHEYLAPEIVSGGGHGNGVDWWAFGVFLYEMFYGRTPFKGRTKEETLRNIVGKEVSFPEEKKEEMAPARDLIMRLLVKDPKMRLGCRRGAADIKKHPFFHGVKWALIRSSPPPEHGGDGVGRKKSGWWRVSRWFRKKISKSRRFCIKMRKIDL >DRNTG_10739.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26287371:26289927:1 gene:DRNTG_10739 transcript:DRNTG_10739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIWKSIVADTKKTIEEHFDIIIDDLLMQSGSRLWRSREASCLALADIIQGRKFDQVSKYLKKIWTAAFRAMDDVKETVRNSGDSLCRAVSSLTIRLCDVSLTAPSDASQAMDIV >DRNTG_10739.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26289488:26289927:1 gene:DRNTG_10739 transcript:DRNTG_10739.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIWKSIVADTKKTIEEHFDIIIDDLLMQSGSRLWRSREASCLALADIIQGRKFDQVSKYLKKIWTAAFRAMDDVKETVRNSGDSLCRAVSSLTIRLCDVSLTAPSDASQAMDIV >DRNTG_00891.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21730129:21739640:-1 gene:DRNTG_00891 transcript:DRNTG_00891.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGAAAAAWRRVAAAGYYTIQAVPRERTGCRAAARERADGRIPAVVLSPGDGGAVATRKQILTADSKQIRIHLKKNPFFCSTVYMLRVRAGPGSSVVLSSGSVLPIKVHRNEETGQVLNLVMAWAEDGTEMKVDVPLVFKGEDDCPGLKKGGYLQKIRTSLKYLCPAEHIPQKIEVDLTNLDVGDRIVMSEIQVHPSLKLLSKNDTMPICKILPTMPAKPIDTPAPATTETPKLNEV >DRNTG_24437.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8565406:8572807:-1 gene:DRNTG_24437 transcript:DRNTG_24437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTWMTKSRLSVEYEQGVREFLEFAFSNTMSNQILCPCRRCVNSVLLTRDEVYEHLIIHGILKLYKNWYEHGEQPPSSSNANIEQHDDLPSHVNANEVLEDGFAMSDDNMRDETTSPASSPGDLNNETGQFFKLLEDANKELYPGCARFTKLTFIVRLYHIKCLSGWSNKSLDLLLALLKEALPEGETLPESFYELKKIVKDLGLDYKKIDACPNDCMLYWKEAAHDEICKVCGASRWKQMQKDLRNEVSSSAEKEKKIPEKVLRHFPLVPRLQRLFMSSKLAPLMIWHDQDRVKDGFIRHPADSTTWKDFDAKHMLFSSDRRNVRLGLATDGKITYLKAFW >DRNTG_10235.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:136147:137077:-1 gene:DRNTG_10235 transcript:DRNTG_10235.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKAANSSIIELSISMIEQCITKKNLSLGKLIHGRLLKSALTLHTLLANRLLDMYSKCGTFDHAQALFDEMPHRNHQSWNTIIGALSHAGHLHAARQLFDEMPERNLVSFNTMISSLAHSGHQMEALSLFRRLRRDSMVDEKMDRFTVVAVAMACARLEDLHAVRQLHAAVVVSGLEMNLIMPNVMIDAYGKCGYAEASSSLFDRMGLRDVVSWTSLIGAYTRANQLEKGLSSVRKNACQKLGIMDGVDFRERATRT >DRNTG_30754.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2184556:2187297:-1 gene:DRNTG_30754 transcript:DRNTG_30754.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFGTGHQSEIDSDNDDHDDTSDTNVNPVIIPMALSQDGWNNITEQHQEGTTPFRHYTLQELMEATDGFSDQNFLSKGDGEIPNNTYSGSLPGGRRIAVKRFSLLAWPDEEQFKLMAIRGGRLRHRRLVNLIGYYCDADERLLVAEFTPNDSLATYLSDSKNRTMEWSMRLRVACYIAEALEYCINEARTLYFDLNPNKVLFDE >DRNTG_30754.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2183082:2187297:-1 gene:DRNTG_30754 transcript:DRNTG_30754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFGTGHQSEIDSDNDDHDDTSDTNVNPVIIPMALSQDGWNNITEQHQEGTTPFRHYTLQELMEATDGFSDQNFLSKGDGEIPNNTYSGSLPGGRRIAVKRFSLLAWPDEEQFKLMAIRGGRLRHRRLVNLIGYYCDADERLLVAEFTPNDSLATYLSDSKNRTMEWSMRLRVACYIAEALEYCINEARTLYFDLNPNKVLFDEAGNPCLSCFGLAKNHSKR >DRNTG_30754.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2183082:2183794:-1 gene:DRNTG_30754 transcript:DRNTG_30754.3 gene_biotype:protein_coding transcript_biotype:protein_coding ICYNHVSCFQAVRLVLGRKFPIILDSRLNGEYSIEDATALVKLSDQCLESNPTDRPIITDVVATLAQVQNNAAGGPSNAIPGTEGQDT >DRNTG_00024.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21594812:21595898:-1 gene:DRNTG_00024 transcript:DRNTG_00024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSYWCYACRRVVRVPAMDSVVCPDCGGGFIEEVENPISAEEAPRHRRYENHDPASDVDVRRRRNRHGYGDDRSPFNPVIVLRGAGDGAGDISPSRSFELYYDDGAGLGLRPMPAQMSDFLMGSGLERLLDQLSQFGINGLPAGRGCENPPASKAAIESMPTIEITAGHVGMESHCAVCKEAFQLGDDAREMPCKHIYHQDCILPWLSLRNSCPVCRHEMPTDVGGGGGGIDVHEDEQNSMEGSEEEMVGLTIWRLPGGGFAVGRFAGGRPAGERELPSVYTEMDGGFNAGAGAPRRILWASRGKPFQRAWKD >DRNTG_14348.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:319063:319973:-1 gene:DRNTG_14348 transcript:DRNTG_14348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDNHWDPNCFTNVFGYLFDNNTFNSAVIDTSDVNQWVWYPKSTCSKISAAVYHQLNQQRSSSDSWIGWHIIWHIPVAPRIKHFIWLCLKGRISTGAFLHSINMGPDNPCVFCGLHRETIDHLIWNCNRVQQFWYILCSRENISISLSAGFSSGSWAIQYRQSVRSLAFISAGAWFIWIARCNAIFKNIRPNLSIIASRTSAHVQDFFCSNLEPYGRKLILCNFSSADGPFIFTHAISRLEIQVRTIGFFISNANYKVILAGRWSQPLDDNSSDAILAIEAALQTTLQLQIPVKHIFSCHHS >DRNTG_28026.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23378137:23379233:1 gene:DRNTG_28026 transcript:DRNTG_28026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQVLQTLLTLFSIQLAVLISSGLASNFMITGGYLTEGQNLTYKEYTLTMQSDCNLVLRNGSTVIWETMTGGYGQGCYLSLYQNGKLYLYTRYGIYSYPIWNSRTESQYGTYALVLRYDGTLGIYGPKIWTARSSSAQPLSTTGTGLVNWAKVTDSVLYSGDVAPIGTTIVNGGSVLTLQNDCNLVLTNDGVTKWQTGVTDNTLHDCFVNLEANGEFRVKHWGGDILWTNGVAATAYAEFVLILQSNADLGVYGPRIWSSVSASGIGKPSADHGIEMVTDK >DRNTG_32589.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24012020:24022159:-1 gene:DRNTG_32589 transcript:DRNTG_32589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIGIPGLPHGTGICEPCEDSRVEWWVSLLGLSRWLSHGGPRIESFNSKSAIPRDDSYELYPVDWLLMPTSPNFPCASDYSLIPLDGVVKLDIRPHQSPPGGEEKSPNKNDSEPLETEVIRLISGSARSKHGRAWTVLSC >DRNTG_28688.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21318859:21322169:1 gene:DRNTG_28688 transcript:DRNTG_28688.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDEHLMCEIDNQRLTAAAILGNQDGSVWAQSESFPAVKPEEITGIVNDFNEPGYLAPTGLFLGGTKYMVIQGEAGAVIRGKKGSGGVTVKKTSMALIIGIYDEPMTAGQCNMVVERLGDYLIEQGCLESVLRYFMISFPSFEGQGL >DRNTG_01635.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1077163:1079346:-1 gene:DRNTG_01635 transcript:DRNTG_01635.3 gene_biotype:protein_coding transcript_biotype:protein_coding DNISHSDTQDYSSLGSNLEGNQTLTAKDRQSSDICGYSLDEGCEKIKWLENMTHETDEMQSTTPKNFDGKICELAETDTRSPIQAESLRFEVSRYTRRIHLYVCILGEDSRPRPVFQNFRQEELESMLSSNGDINKETAPQLLLDNSAFYEVFQAFVKEWNELRPIEQNKLHGKPLQLPLSLELCYLKDTINHGCGGLLKGGSKRRVTPLSDISRPLPEGALWKKVVLSGGHAKEKEYSQAWTITGEPLCKLCQAPCRGKLAKIPEYFEDLFCNLGCFQEYRIRTSQKALRE >DRNTG_01635.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1075542:1076961:-1 gene:DRNTG_01635 transcript:DRNTG_01635.4 gene_biotype:protein_coding transcript_biotype:protein_coding ALFQIEHGVCVNCKLDCHTLVECIRPLSVARRKEYIRTTAPTLAKKRKLFEKLVYEPVEGNAWHADHIIPVYKGGGECRLENMRTLCVVCHSEVTKAQQGERKLMRKRAKEQLKLVMMQVKGNGSTELTDPQSDVCVLKAGHDAIQDDDSLFVEVPGSSYSAKLSQDLCNQ >DRNTG_01635.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1075542:1080456:-1 gene:DRNTG_01635 transcript:DRNTG_01635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAEDRAHRRGQNNAVNIYIFCAKLNKSLFRVSSVINGKVDAVKEIQVDGICQLDYSINASDDARMGISSINMDVENADESKVQSIVLSNETSKRSEGSMAAFVGVDEDGLVQDNISHSDTQDYSSLGSNLEGNQTLTAKDRQSSDICGYSLDEGCEKIKWLENMTHETDEMQSTTPKNFDGKICELAETDTRSPIQAESLRFEVSRYTRRIHLYVCILGEDSRPRPVFQNFRQEELESMLSSNGDINKETAPQLLLDNSAFYEVFQAFVKEWNELRPIEQNKLHGKPLQLPLSLELCYLKDTINHGCGGLLKGGSKRRVTPLSDISRPLPEGALWKKVVLSGGHAKEKEYSQAWTITGEPLCKLCQAPCRGKLAKIPEYFEDLFCNLGCFQEYRIRTSQKALREALFQIEHGVCVNCKLDCHTLVECIRPLSVARRKEYIRTTAPTLAKKRKLFEKLVYEPVEGNAWHADHIIPVYKGGGECRLENMRTLCVVCHSEVTKAQQGERKLMRKRAKEQLKLVMMQVKGNGSTELTDPQSDVCVLKAGHDAIQDDDSLFVEVPGSSYSAKLSQDLCNQ >DRNTG_01635.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1075542:1080220:-1 gene:DRNTG_01635 transcript:DRNTG_01635.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSINMDVENADESKVQSIVLSNETSKRSEGSMAAFVGVDEDGLVQDNISHSDTQDYSSLGSNLEGNQTLTAKDRQSSDICGYSLDEGCEKIKWLENMTHETDEMQSTTPKNFDGKICELAETDTRSPIQAESLRFEVSRYTRRIHLYVCILGEDSRPRPVFQNFRQEELESMLSSNGDINKETAPQLLLDNSAFYEVFQAFVKEWNELRPIEQNKLHGKPLQLPLSLELCYLKDTINHGCGGLLKGGSKRRVTPLSDISRPLPEGALWKKVVLSGGHAKEKEYSQAWTITGEPLCKLCQAPCRGKLAKIPEYFEDLFCNLGCFQEYRIRTSQKALREALFQIEHGVCVNCKLDCHTLVECIRPLSVARRKEYIRTTAPTLAKKRKLFEKLVYEPVEGNAWHADHIIPVYKGGGECRLENMRTLCVVCHSEVTKAQQGERKLMRKRAKEQLKLVMMQVKGNGSTELTDPQSDVCVLKAGHDAIQDDDSLFVEVPGSSYSAKLSQDLCNQ >DRNTG_24286.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29544797:29553932:1 gene:DRNTG_24286 transcript:DRNTG_24286.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGARRGGRAPPPQPEVGPPTRKRGRGAGKKPSSAAPKRGRAAAAAAKRGRPAAEGRPRTRSAAAAAAAARLVLIGEEEGEGEGRVSDQVKEVLVVGEEEKKKEMMGEDSGGLSANKVAGQEEEGNTAPFPERVQVGGSPVYKVERKLGKGGFGQVFVGRRVSGGNERMTGPAALEVALKFEHRSSKGCNYGPPYEWQVYTALGGSHGVPRVHYKGRQGDYYIMVMDMLGPSLWDAWNSSGQAMPADMVSCIAVESISILEKMHSKGYVHGDVKPENFLLGQPATAQEKKLFLVDLGLATKWRDSANGQHVEYDQRPDVFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLHRGRLPWQGYQGDNKSFQVCKKKMATSPEMLCCFCPPPFKQFLEVVVNMKFDEEPNYSKLISLFEGLIGPNPAIRPINTDGAQKIIYQVGQKRGRLPVDEDEDGQLKKKVRLGVPATQWISVYNARPPMKQRYHYNVADARLAQHVERGNEDGLLISCVASCSNLWALIMDAGTGFTSQVYELTATFLHKEWIMEQWEKNYYISSIAGANNGSSLVVMSKGTQYTQQSYKVSDSFPFKWINKKWREGFYVTSMATSGSRWGIVMSRNAGFNDQVVELDFLYPSEGIHRRWDNGYRITSMAATWDQAALILSVPKRKPGDETQETLRTSAFPSAHVKDKWAKNLYLASVCYGRTVS >DRNTG_22345.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29905434:29911281:1 gene:DRNTG_22345 transcript:DRNTG_22345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGLYRRILPSPPAIEFASSEGKQLFAEALQSGTMEGFFRLISYFQTQSEPAYCGLASLSVVLNALAIDPGRKWKGPWRWFDESMLDCCEPLERVKAEGITFGKVACLAHCAGAKVEAFHTTQSNIDDFRSHIKKCATSEDCHLIASYHRKPFKQTGTGHFSPIGGYHAGRDMALILDVARFKYPPHWVPVSLLWEAMDTVDGATGQPRGFMLISRLQAPPLLYTLSCKHESWASTAKFLTVDVPILLKSDDLKTVPEVLSVIFQSLPAHAGDFIKWIAEVRRQDEDGSSLSNEEKARIAAKEEVLKQVHETKLFNYVTDWLSSARLQFENLPTFVDKDSLTEIAANVCCQGAEILTGCHRAKAGFYCKKTCVTCLKSNGDNTTAIISGTVISGHNEQGVDVLLPSSPKKKTGQCTSCPANVIVTHPASNDILTVLLLALPPQTWTGLKDQKLSSEIQAIVSDENLLVVLREEVVLLRRQLHFLKGCKDNEVENVCQMP >DRNTG_14224.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6664343:6669580:-1 gene:DRNTG_14224 transcript:DRNTG_14224.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit theta [Source:Projected from Arabidopsis thaliana (AT3G03960) UniProtKB/Swiss-Prot;Acc:Q94K05] MGFQMQPYGIQSMLKEGHKHLSGLDEAVLKNIDACKQLSQITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKICVLAGKAQQEEIGDGANLTISFAGELLQNAEELIRMGLHPSEIIRGYSKGITKTIEILDELVEQGSDTMDVRNKNEVVSRMKSAVASKQFGQEDILCPLIADACIQVCPKNPTNFNVDNVRVAKLLGGGLHNCSIIRGMVLKNDAVGSIKKMEKAKIAVFAGGVDTSATETKGTVLIHNAEQLENYAKTEEAKVEELIKAVADSGSQSYCQWRSSWRDGFAFL >DRNTG_32994.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19924741:19928299:1 gene:DRNTG_32994 transcript:DRNTG_32994.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSTAHPPITFKARSPPHPPPTSSLSLSFSLLLLLRIDPSISHLHRRYPFRVLIMDRRGVCDFTVVVICLLAMMVVRSVSQDDAAVSPAMVAFFRGRPDVALPEALNSTPTDPGLGVAAGRVAPPGLENAAGGKRSRLVLLMAGLACGVVGAALLGAAAVAFASRNRRFEPEKPVRFRGCARPEANRAGGALGCLNYFLVSLL >DRNTG_32994.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19924741:19928299:1 gene:DRNTG_32994 transcript:DRNTG_32994.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSTAHPPKTFKARSPPHSPPTSSLSLSFSLLLLLRIDPSISHLHRRYPFRYPSFSDLHTPFHSSENLNSNWVRIHNQNRFFFIFGKFWVGKRVLIMDRRGVCDFTVVVICLLAMMVVRSVSQDDAAVSPAMVAFFRGRPDVALPEALNSTPTDPVWGVAAGRVAPPGSENAGGGKRSRLVLLMAGLACGVVGAALLGAAAVAFASRNRRFEPEKPVRFRGCARPEANRAGGALGCLNYFLVSLL >DRNTG_32994.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19924741:19928299:1 gene:DRNTG_32994 transcript:DRNTG_32994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSTAHPPITFKARSPPHPPPTSSLSLSFSLLLLLRIDPSISHLHRRYPFRYPSFSDLHTPFHSSENLNSNWVRIHNQNRFFFIFGKFWVGKRVLIMDRRGVCDFTVVVICLLAMMVVRSVSQDDAAVSPAMVAFFRGRPDVALPEALNSTPTDPVWGVAAGRVAPPGSENAGGGKRSRLVLLMAGLACGVVGAALLGAAAVAFASRNRRFEPEKPVRFRGCARPEANRAGGALGCLNYFLVSLL >DRNTG_22716.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1521227:1522543:1 gene:DRNTG_22716 transcript:DRNTG_22716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSTTHQRAAAGEKSSDTTSKGAGTRPSWATASALSGGHSASTSGSAGSPSSRSEPAALASAAATTPVSENTFVRLNHLDIHGDDAGSSQGAASAKKKKRGARAVGADKSGR >DRNTG_15621.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20892821:20894519:1 gene:DRNTG_15621 transcript:DRNTG_15621.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLNAYYNKPKQGEHTNHSTVAQHNSVQRRSRTSRLIPRRAH >DRNTG_23101.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9673504:9691605:-1 gene:DRNTG_23101 transcript:DRNTG_23101.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRREFGETSGYNKGKVSKKGMRMTCSVCGAQGHDKRVVHESDDTFMCFTAVFYSASYGKSPATQLPNPQVKNQVFNAHKQRSAECSQVTRADQVRVSKKAHVSKGSGYYSLDEGYGALVEMVILAPVILPSLFFTFAEHLPRIKARSSYISSLLPSQANLTKGQSFSPGHIRRLSTLIVTTISSNNTTITRQHHTLACTITHQQLSMRRLLSSFHSPAIRGSAPGLQQRPQSSMPDRSVKKHYYWPIEEENAINAIWEKVCRGHYIRSLCRWHKSYKKTDKKPVWIEDEIWNKWLEHWNTEEFKYKSKQVSINRCSETEGNGGGISRHPGGSKSFVEHTMDLSKTLQRTPTAFDIFCKTHVNKEGKGVDSRAQDAMQKMVETASQTLPDDSQPSPLNMDAMYLEASSEEKKKRVYGIGSHASSMYQESLCSGATSAPPPPSTSMVPPRDCV >DRNTG_30300.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001557.1:33403:34409:1 gene:DRNTG_30300 transcript:DRNTG_30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHLGRKSYHLESVKATLAAALEKATLEDGLEEMLLRFKREDIGQNKSSTNVYTIEEASDPRRERVPVCVTPIKRPLTTRRRNREARESTGRVEIIHDRVEIPRWAWSITPV >DRNTG_06759.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21559470:21574907:1 gene:DRNTG_06759 transcript:DRNTG_06759.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVIPFTSKILEPCQYSLAYQPPNPWTMGILGLLAEIYNLPNLKMNLKFDIEVLFKNLGVDMKDVKPTSLLKDRVREVEGNPDFSNKDASVAQPPVLAEVKPGVVPVLNQVEEVNNTSYASGHPSLANQYTAPVHLPSSTIVDDDKIGTLMIPERVSSGQGLTQVASSPSPFTLSQLQPLIGNYDSYINVNPKLTSMGLQLQFQRIIQMSLDKAIREIVSPVIQRSVTIASRTTKEMVLKDLSMESDDGVILRSAHMMVGALAGSLAHVTCKEPLRVSLSSHLRVVLQALNVVTDIDQIVQNITTDNLDLGCAVIENVATAKAVELIDGEIAPAFASLRKHREATGSAYYDAGTYAQGPFARIPEALRPKPGHLSVAQMQVYKDFVRTWQNQSSQSTNVMRAGLPGIAGDSAGSSLPRVYGSNAGQANNLYSSAQVAPGFSTMGQQLDTLPEESDRSSVHLLSVPSNQVGTSDAMVQHGAEVSSIMSSFSVAATASELHLEASNVSKEIGAVVLPSPVTNVERLGTAVSESLLTAREALEKYQLVAEKLESLIAKEARDAEIQGVVAEVPDIIRRCVSRDEAALAVAQKVFKSLYENASNAHHINSHVAILSAIRDVCKLVVKELTSWVIYSDEERKFNTEITISLIRSDLLNLAEYNVHLAKLIDGGRNKAALEFSISLVEELVVQESGVSMSELHNLIDALAKLAMRPGSPESLQQLVEICRNNTGNLTPLSDFTVTKEEKAKQSKERKVPSGRVSTTTEESSVTETTVGDPAGFRDQISQLFAEWGKISSLPATNDSVCSHYISQLQQLRFAQGR >DRNTG_06759.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21551509:21574907:1 gene:DRNTG_06759 transcript:DRNTG_06759.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAGMLRHQPSYSTGFGAALNIETLVAAAERRETPIEAPSQEVIDKILFMINNISTTNMDAKAKEFTEVLKEQYYAWFAQYMVMKRASIEPNFHDLYLKFLERVNSRALNKEIVKATYENCKVLLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQALLAREIDPKVLIIEAYEKGLMIAVIPFTSKILEPCQYSLAYQPPNPWTMGILGLLAEIYNLPNLKMNLKFDIEVLFKNLGVDMKDVKPTSLLKDRVREVEGNPDFSNKDASVAQPPVLAEVKPGVVPVLNQVEEVNNTSYASGHPSLANQYTAPVHLPSSTIVDDDKIGTLMIPERVSSGQGLTQVASSPSPFTLSQLQPLIGNYDSYINVNPKLTSMGLQLQFQRIIQMSLDKAIREIVSPVIQRSVTIASRTTKEMVLKDLSMESDDGVILRSAHMMVGALAGSLAHVTCKEPLRVSLSSHLRVVLQALNVVTDIDQIVQNITTDNLDLGCAVIENVATAKAVELIDGEIAPAFASLRKHREATGSAYYDAGTYAQGPFARIPEALRPKPGHLSVAQMQVYKDFVRTWQNQSSQSTNVMRAGLPGIAGDSAGSSLPRVYGSNAGQANNLYSSAQVAPGFSTMGQQLDTLPEESDRSSVHLLSVPSNQVGTSDAMVQHGAEVSSIMSSFSVAATASELHLEASNVSKEIGAVVLPSPVTNVERLGTAVSESLLTAREALEKYQLVAEKLESLIAKEARDAEIQGVVAEVPDIIRRCVSRDEAALAVAQKVFKSLYENASNAHHINSHVAILSAIRDVCKLVVKELTSWVIYSDEERKFNTEITISLIRSDLLNLAEYNVHLAKLIDGGRNKAALEFSISLVEELVVQESGVSMSELHNLIDALAKLAMRPGSPESLQQLVEICRNNTGNLTPLSDFTVTKEEKAKQSKERKVPSGRVSTTTEESSVTETTVGDPAGFRDQISQLFAEWGKISSLPATNDSVCSHYISQLQQLRFAQGR >DRNTG_06759.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21552751:21574907:1 gene:DRNTG_06759 transcript:DRNTG_06759.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAGMLRHQPSYSTGFGAALNIETLVAAAERRETPIEAPSQEVIDKILFMINNISTTNMDAKAKEFTEVLKEQYYAWFAQYMVMKRASIEPNFHDLYLKFLERVNSRALNKEIVKATYENCKVLLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQALLAREIDPKVLIIEAYEKGLMIAVIPFTSKILEPCQYSLAYQPPNPWTMGILGLLAEIYNLPNLKMNLKFDIEVLFKNLGVDMKDVKPTSLLKDRVREVEGNPDFSNKDASVAQPPVLAEVKPGVVPVLNQVEEVNNTSYASGHPSLANQYTAPVHLPSSTIVDDDKIGTLMIPERVSSGQGLTQVASSPSPFTLSQLQPLIGNYDSYINVNPKLTSMGLQLQFQRIIQMSLDKAIREIVSPVIQRSVTIASRTTKEMVLKDLSMESDDGVILRSAHMMVGALAGSLAHVTCKEPLRVSLSSHLRVVLQALNVVTDIDQIVQNITTDNLDLGCAVIENVATAKAVELIDGEIAPAFASLRKHREATGSAYYDAGTYAQGPFARIPEALRPKPGHLSVAQMQVYKDFVRTWQNQSSQSTNVMRAGLPGIAGDSAGSSLPRVYGSNAGQANNLYSSAQVAPGFSTMGQQLDTLPEESDRSSVHLLSVPSNQVGTSDAMVQHGAEVSSIMSSFSVAATASELHLEASNVSKEIGAVVLPSPVTNVERLGTAVSESLLTAREALEKYQLVAEKLESLIAKEARDAEIQGVVAEVPDIIRRCVSRDEAALAVAQKVFKSLYENASNAHHINSHVAILSAIRDVCKLVVKELTSWVIYSDEERKFNTEITISLIRSDLLNLAEYNVHLAKLIDGGRNKAALEFSISLVEELVVQESGVSMSELHNLIDALAKLAMRPGSPESLQQLVEICRNNTGNLTPLSDFTVTKEEKAKQSKERKVPSGRVSTTTEESSVTETTVGDPAGFRDQISQLFAEWGKISSLPATNDSVCSHYISQLQQLRFAQGR >DRNTG_19791.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15820853:15823535:-1 gene:DRNTG_19791 transcript:DRNTG_19791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPNVEVEAAKFLLKLIQESPDEPAKLATKLYVICQHMKLSGKEQSLPYQVISSRLSTDDRRLSLAPSTTPQPIIAARQSTQGMEEDEDGEGKEDEGGGTKGSVEEDGAIVGDDDGVVGDANQGEDKKDHDRAEGPQGRVEPEDIPCHCRSRSRRPSVDRWLMTIPEG >DRNTG_13558.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29440912:29441462:-1 gene:DRNTG_13558 transcript:DRNTG_13558.3 gene_biotype:protein_coding transcript_biotype:protein_coding DNLNSSRLENVYWLLTVMTSINLAYYILCAKLFKYKLDKYVVVAANSI >DRNTG_13558.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29450990:29452603:-1 gene:DRNTG_13558 transcript:DRNTG_13558.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLGPRLLVPAGSFYVTTLFTTCLTLSILDRAIYPLCHRLTSYTPTPLQRIGIGQAFNIAAMAASALVEHRRSIIVYEHQAESQPDWIVPMSAFWLVLPCVLTGVGEAFHFPGQIAFYYQEFPEYLKSTSTGMIAVILSVGFYSSTGLVEVVRRATSWLPDNLNSSRLENVYWLLTVMTSINFAYYILCTKLYKYKRDKNVVVAANSE >DRNTG_13558.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29440912:29452603:-1 gene:DRNTG_13558 transcript:DRNTG_13558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLGPRLLVPAGSFYVTTLFTTCLTLSILDRAIYPLCHRLTSYTPTPLQRIGIGQAFNIAAMAASALVEHRRSIIVYEHQAESQPDWIVPMSAFWLVLPCVLTGVGEAFHFPGQIAFYYQEFPEYLKSTSTGMIAVILSVGFYSSTGLVEVVRRATSWLPDNLNSSRLENVYWLLTVMTSINFAYYILCTKLFKYKLDKYVVVAANSI >DRNTG_33571.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10655571:10656103:1 gene:DRNTG_33571 transcript:DRNTG_33571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDAARNLHDVGIVILPIIMNGHFHLVVLDNDKQEYMHYTSAESKEYDRDAAEMRRLFDYCVDMDLGESVTITYPFVHDIDTLRQKQGSVDCAVYVMRFIKQLLNGEKVRLPQVDVPYWRLKYVTRILKEGSAAGIPEKGDSSTGVKKD >DRNTG_24610.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1287965:1290558:1 gene:DRNTG_24610 transcript:DRNTG_24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSRPLGFRVLENWNFAGKNAQISSLRSFSRPFGLTLPVLLKCSQDVCVNVSKNSTVVSCARDFTVVENSESGGVSGVDTESVPQSLPPESLISPLDTHSWSVFEIADAVGGEIISHGSSGTICIDTRKLVPGQWFFARSGENFDGHGFIDLALAEKGCVGVIGNRVCEGWERGFVKVEGDTSVALDKMMRFSRDRFNGVVVGLTGSVGKTTTRTMIALALESLGRVHQTHGNYNYMVGVAMTLIGIPLDAKVAVVELGMGGKNGEILEMARMCQPSVRVILNVHPCHMNCFDSLEEVARAKGELLTEAQPGDVCVLNGDDPLVMSIPVPYGVKKVLFGQRMGCDVRLVLAESVDRGCAVRVILESEIYEDSQPWSTSSVKSQMVEFKMQGPGLHLATNACAAAAVAMSLGVPLPQIAQSLSRFRPVSMRSQMEVTTNGIRIINDAYNANLYSMIAAINALKSIDCEGKRVAMLGDMLELGSKEEESHEMVLNFCCDKCLDLLVLVGERFHGAAGKLKLAGKIDFICAVDSESVVSTVSEMLDPGDVVLVKGSRAMLMEKIVDEIKLLEGRN >DRNTG_16020.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17543002:17544400:-1 gene:DRNTG_16020 transcript:DRNTG_16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSETDQQLPKINFSGLVIGTSEWNAVRAEVITALNIYGTFEAVYDPLLDPKLREQVFKKAITDLFDLPADVKSLSATYHSKLYVPNVEAFFIYEENKQSIPSFTALMWPQGNTHFCETMERYVEALKELDQMIKKMIMEALGVEDKHYDEMIQKTEYSLSASHYKTEEDHKDDHQYMGSHTDPTVITIVGQDELDGLEVLIKSTGQWIRPAPYCFIVLVGDSMEALTNGRMQATSHRVVKNKNNSRRYETLFMSIAAKGFIIQAPSELIDETQPASTI >DRNTG_29083.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19861166:19867690:1 gene:DRNTG_29083 transcript:DRNTG_29083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTTHTYSSEDAAPDGPESDLFVYYCKHCGSHVLITDTQLQKMPRRKTDRAHVLDKKKHLSRLNVKEAGKILLKRGEGMLEKQFRMSCVGCDLLVCYRSAEDLDLAPFIYVVDGALSSVAAETNPQDAPVPPCISQLDGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANNELLEFMGKVLGLRLSQMTLQRGWNNKSKLLVVEDLSARQVYEKLLEAVQP >DRNTG_05316.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1939121:1939854:-1 gene:DRNTG_05316 transcript:DRNTG_05316.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDVTKMRQFVITKMQEANVSQNFIQVIEKSDMGDLVSFPLKFRWPSELLWRNICKGNVTVAGDALHPMTPDLGQGGCAALEDAVVLARCLAEALKENDNEDAKEDECVRLKSGLENYVAERKWRGFDLIFTSYVFGRIQQSDNAFTRFLTEKMLVGIMARTLIKKTYYDCGKL >DRNTG_05316.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1939057:1939854:-1 gene:DRNTG_05316 transcript:DRNTG_05316.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDVTKMRQFVITKMQEANVSQNFIQVIEKSDMGDLVSFPLKFRWPSELLWRNICKGNVTVAGDALHPMTPDLGQGGCAALEDAVVLARCLAEALKENDNEDAKEDECVRLKSGLENYVAERKWRGFDLIFTSYVFGRIQQSDNAFTRFLTEKMLVGIMARTLIKKTYYDCGKL >DRNTG_05316.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1939121:1942726:-1 gene:DRNTG_05316 transcript:DRNTG_05316.2 gene_biotype:protein_coding transcript_biotype:protein_coding METIEDVVIIGAGLCGLATALGLHRKGVKSVVLESSEALRAAGFAFSTWTNAWKALEALGIAGELRQHHLRLEGEGPEMRCVRRDLLLETLAKELPSGTIRYSSKVVLIEESGNLKVLHLANGSTLRTKVLIGCDGVNSVVAEWLGLKKPSFAGRSATRGFSEFPDGHGFNSEFVQFFGQGFRAGIMPCDEKSMYWYFTWSSSSKDKEMEKDVTKMRQFVITKMQEANVSQNFIQVIEKSDMGDLVSFPLKFRWPSELLWRNICKGNVTVAGDALHPMTPDLGQGGCAALEDAVVLARCLAEALKENDNEDAKEDECVRLKSGLENYVAERKWRGFDLIFTSYVFGRIQQSDNAFTRFLTEKMLVGIMARTLIKKTYYDCGKL >DRNTG_05316.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1939121:1942726:-1 gene:DRNTG_05316 transcript:DRNTG_05316.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIEDVVIIGAGLCGLATALGLHRKGVKSVVLESSEALRAAGFAFSTWTNAWKALEALGIAGELRQHHLRLEGLGIYSASAGAITFQIPFKTSEGPEMRCVRRDLLLETLAKELPSGTIRYSSKVVLIEESGNLKVLHLANGSTLRTKVLIGCDGVNSVVAEWLGLKKPSFAGRSATRGFSEFPDGHGFNSEFVQFFGQGFRAGIMPCDEKSMYWYFTWSSSSKDKEMEKDVTKMRQFVITKMQEANVSQNFIQVIEKSDMGDLVSFPLKFRWPSELLWRNICKGNVTVAGDALHPMTPDLGQGGCAALEDAVVLARCLAEALKENDNEDAKEDECVRLKSGLENYVAERKWRGFDLIFTSYVFGRIQQSDNAFTRFLTEKMLVGIMARTLIKKTYYDCGKL >DRNTG_05316.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1940283:1942726:-1 gene:DRNTG_05316 transcript:DRNTG_05316.3 gene_biotype:protein_coding transcript_biotype:protein_coding METIEDVVIIGAGLCGLATALGLHRKGVKSVVLESSEALRAAGFAFSTWTNAWKALEALGIAGELRQHHLRLEGLGIYSASAGAITFQIPFKTSEGPEMRCVRRDLLLETLAKELPSGTIRYSSKVVLIEESGNLKVLHLANGSTLRTKVLIGCDGVNSVVAEWLGLKKPSFAGRSATRGFSEFPDGHGFNSEFVQFFGQGFRAGIMPCDEKSMYWYFTWSSSSKGKFHSTYLSMDIQTR >DRNTG_13189.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000600.1:40688:45982:-1 gene:DRNTG_13189 transcript:DRNTG_13189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIHEEFWRGSLGEASQVFSTQQPRGEITLLIEGKANSVAEIPSDSQIEHHLKVLISNGHSLSTAVRLVAEGTSVKKKQVYALALKLSEKSIGDDEQKK >DRNTG_13189.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000600.1:40688:44536:-1 gene:DRNTG_13189 transcript:DRNTG_13189.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIHEEFWRGSLGEASQVFSTQQPRGEITLLIEGKANSVAEIPSDSQIEHHLKVLISNGHSLSTAVRLVAEGTSVKKKQVYALALKLSEKSIGDDEQKK >DRNTG_33151.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001961.1:16423:20266:1 gene:DRNTG_33151 transcript:DRNTG_33151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGESATSKYLLVHDMETPRQKQGSVDCTVYVMRFIEQLLAYEKLRLPQTDIPYLRL >DRNTG_33803.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2490783:2493033:-1 gene:DRNTG_33803 transcript:DRNTG_33803.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNIDPKNSSWWEYTSLNNGSFNLVMPPMFPSYANAMLGSGEQRNFMVFDHSRDQTSLIYSSVAGTQHPSFHANVVTNVENGHESEEMHENTEEIDALLYSESNHIEDHDDDDDDEVMSTGHSPEDLNFDCSSTPTKKRRLDINREFYDTSLLDTASSTSSREGLEANKKLKRKRIQETVSMLRRIIPGGKGKDTAAVLDEAINYLRSLKLRFKKPLIEGVDIMHQ >DRNTG_33803.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2490783:2493033:-1 gene:DRNTG_33803 transcript:DRNTG_33803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNIDPKNSSWWEYTSLNNGSFNLVMPPMFPSYANAMLGSGEQRNFMVFDHSRDQTSLIYSSVAGTQHPSFHANVVTNVENGHESEEMHENTEEIDALLYSESNHIEDHDDDDDDEVMSTGHSPEDLNFDCSSTPTKKRRLDINREFYDTSLLDTASSTSSREGLEANKKLKRKRIQETVSMLRRIIPGGKGKDTAAVLDEAINYLRSLKLRFKKPLIEGVDIMHQ >DRNTG_09679.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1352960:1355252:-1 gene:DRNTG_09679 transcript:DRNTG_09679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATKGQPTGFALGTVGYGQNKVNGLTLCRGDVKPAACGTCIRNSATQLRDLCPLKKSAIVWFDDCLLRYSDLEFFGEIDNSDTFYMWNVQNVSDYSTFNNKVNELLNEITLVAYVKPSLFATGEKEIKIGGTEEKLYGLVQCTRDLSGEDCKKCLDGAISQLPSCCDGDGVVKKGRARLQAWHCTIVCVQPMLEQFKDRAWPMG >DRNTG_18870.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2366329:2373202:-1 gene:DRNTG_18870 transcript:DRNTG_18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSAISLGSTALSVHLRRSPAPLLPPILCTTFTSHRSIFFGRRFAPISITCGRIDPRRPFAMAFTPIKVSEGKKEVLVFENEEELSVSLAKFTAELSEKFTNDRGAFTVVLSGGSLIKSLRKLVESPYVDSVNWGKWHVFWADERVVPKDHPDSNYKLAYDGFLSKVPIPPGQVYAINDSLSAEGAADDYETCLKHLVNTGVLKLSTATEFPEFDLMLLGMGPDGHIASLFPGHPLLNEKQRWVTHITDSPKPPPERITLTFPVINASSNIALVVAGAGKASVVQKALGPDQNSYDVLPVEMVSLKEGELKWFTDKDAASKLPNFANL >DRNTG_34826.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16841315:16841775:1 gene:DRNTG_34826 transcript:DRNTG_34826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDCYQSSGGGGGGGGGGRYGGGGGSGNGGGSCFNCGESGHFARDCPGKN >DRNTG_23748.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21059301:21062597:-1 gene:DRNTG_23748 transcript:DRNTG_23748.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRQIQLQIRDAEGHASTSMDAISTKQSPSPNVRPKRWTWWFIVALNIFFLLAGQTAATLLGRFYYDQGGNSKWMATLVQTAAFPILFIPLFVIPSSPDTNAVRPPPIAKLVLIYIVLGLIIGGDNLMYSYGLLYLPVSTYSLICATQLAFNAVFSYYLNSQKFTSLILNSVVLLTFSAALLGIGSGSEESQSIPKGKYALGFVLTLGASATYSLILSLMQCTFQKVQKKETFSVVLEMQIWTAFFATCVSLVGLFASGEWKTLRGEMHGFAKGRVSYLMVLVWTALSWQLASVGVVGLIFVVSSLFSNVISTLALPIVPIFAVIFFHDSMEGVKIMAVLLAIWGFVSYIYQNYLDDIKAKKPVIALET >DRNTG_06053.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11418109:11419313:1 gene:DRNTG_06053 transcript:DRNTG_06053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALSSIASPLRNPHSNSATDPAIFSQGTRTHQSGTNQGREVEKRWREKMFSRSRGRGPGGIDRGRCRRRRGRRCRLRCGKGSRQRRRGGWW >DRNTG_30783.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10612589:10613762:1 gene:DRNTG_30783 transcript:DRNTG_30783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEQNQKEKEGSFLLGAPKFTELDGGRLRCLETGHELPAREKESYSRSKACRLALIDSAVAKKKPPLNMFRPDPVSKSKLMCELTGDSINKTEEIYLEAH >DRNTG_29756.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15951187:15954304:1 gene:DRNTG_29756 transcript:DRNTG_29756.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLGDNEMPKNSIEKLYTDKSDVFSFGMILAVLLTGKDPFDPFSNGESGRGGLGRWLRHLQQTGSARDALDKGIIGEEMEEDEMLMAIRIALVCLSDLPADRPSSDELVAMLTQLHSF >DRNTG_29756.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15951603:15954304:1 gene:DRNTG_29756 transcript:DRNTG_29756.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLFSFSRLLLGDNEMPKNSIEKLYTDKSDVFSFGMILAVLLTGKDPFDPFSNGESGRGGLGRWLRHLQQTGSARDALDKGIIGEEMEEDEMLMAIRIALVCLSDLPADRPSSDELVAMLTQLHSF >DRNTG_21141.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2383447:2385337:1 gene:DRNTG_21141 transcript:DRNTG_21141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWTTFLCLALTLLFYTQSSLGMITCEDLDKNSCAFAVSSSGYRCVLEMKLKRSGYVELFCRTSDIEAEKVKNYVENDECIKACGLDRNTVGISSDSLLDSRFTQKLCSPDCYNTCSNIVDLYFNLAAGEGAFLPKLCEVQETSVRRGIAEIRSSGIEAAGPVSVSGRSVAWAPSTLIKEGLASEPAEPPC >DRNTG_13830.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:66776:67432:1 gene:DRNTG_13830 transcript:DRNTG_13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWRSFSLWISKLKQFNPKPHPPATRSASDYLSYTPDALDRAAFAEPRRRSVGEDESALGRTRVKPGRRMARSVGSDFELPEFRRDLTILDEIERSESRMKERRAAQRRGRARRRGRARIRVSSPRAAMAEEKKKGLERFAVVKRSCDPQKDFKESMVEMILENKIGRPEELERLLACYLALNSDEHHDMIVKVFRQVWFELNPARFASECRCCRRVG >DRNTG_35072.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19638551:19640620:1 gene:DRNTG_35072 transcript:DRNTG_35072.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALVNNAGVSPENFLDCPPAYGWLSPRMSFSRDLPDDSKNPEKPSPVPETSDLEASPKDIVDFEFLLHDPVAMLPADELFSDGKLVPLQLAPSLPTPSVAEPSLLDSSTTTSRRSSEIPVPEPSSVLSPKAPRCSSRWRELLGLKKLQNPKLSSSPPSKNPNPNPNPNPYPNPRSLKRLLNRNPRSSSTDSSMSIPLLRDSDSESVSLSSCRLSLSSSSSSSGPDHDELPRISLDSEKPTQAPISLNRNPPRIRVSKPRSEPTPATRTGRSATRRTETAASAPPARVSSVDSPRMNASGKVVFQGLERSSSSPGSFNGGPRVKLRGMERSYSANVVRVSPVLNVPWQLAPCWL >DRNTG_30111.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31072934:31077298:-1 gene:DRNTG_30111 transcript:DRNTG_30111.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEP1-interacting protein-like 2 [Source:Projected from Arabidopsis thaliana (AT1G74410) UniProtKB/Swiss-Prot;Acc:Q8LBA0] MEVVARGSCVCGGFVEIGQGVCNSCGRLRADASVARLSTRSMEMGWGGSGVRLCIPRLIVGALSGALTGLFALAGAFTGAVTGALAGRASDSGVIRGAGLGAVAGAVLSVEVLEASRAYWCSEQTGSRSTSSMADFIEELLHGRLMQEQIAPGMFSTYRGQVNVSDIGQEDIYDIFGEVASKGLSKESLKKLPWHIISDENRDGNEDRVCCSICLQDMVIGETVRRLPVCSHTFHLSCVDKWLISHESCPICRQGV >DRNTG_00463.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30784638:30785664:-1 gene:DRNTG_00463 transcript:DRNTG_00463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATKLFLLVAMALLAILMVDSKVLPKETHPEAQYHLDRAQYGFGSLKRYQCPSQCSRRCSQTQYKKPCLFFCNKCCAKCLCVPPGYYGNKGVCPCYNNWKTKRGGPKCP >DRNTG_30187.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3822713:3825340:1 gene:DRNTG_30187 transcript:DRNTG_30187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLVILFISGLTHFSFTSSSPIPFRSEYEISLLYEGWLVKHNKVYEDRSEKEKRYEIFKDNLKYIDEHNTGNHTFTLALNVFADITVEEYRATYLGTLPPPQGSIENDDNDDKKVVPDSIDWRELGAVTPVMQQGGCFSCWAFTAVATVEAINQIVTGDLISLSKQQLVDCDHKSCSPYYIHKSLEYIKKNGGIDTEEDYPYKAKYIGCNATKAKNIAVTIDGYKWAPRNNENGLKAQVSKQPVGAAVEGYGRDFQLYGKGIFTKYCGKKQDHAVTIIGYGTDGNTDYWLIKNSWGDFWGEAGYMRLERNIQDRAGKCGVAEWPVYPVKNKHISI >DRNTG_30187.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3823531:3825340:1 gene:DRNTG_30187 transcript:DRNTG_30187.2 gene_biotype:protein_coding transcript_biotype:protein_coding AKNIAVTIDGYKWAPRNNENGLKAQVSKQPVGAAVEGYGRDFQLYGKGIFTKYCGKKQDHAVTIIGYGTDGNTDYWLIKNSWGDFWGEAGYMRLERNIQDRAGKCGVAEWPVYPVKNKHISI >DRNTG_26965.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30234620:30241367:-1 gene:DRNTG_26965 transcript:DRNTG_26965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGERTISGEKRLSEYIGNNEKTKIVVTLETSPLKFMTSHRYFSNVADFFYDVHNYCSLKCLLPFVFTSFANI >DRNTG_26965.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30234677:30241367:-1 gene:DRNTG_26965 transcript:DRNTG_26965.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGERTISGEKRLSEYIGNNEKTKIVVTLETSPLKFMTSHSVSFMVIAEVCCTLSKWFFPLGRPYCMIWCVPYDASTYYVLPNDRWIGC >DRNTG_26965.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30234677:30241367:-1 gene:DRNTG_26965 transcript:DRNTG_26965.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFKYLFLIFKFYSWQSIKGYKTMVGGERTISGEKRLSEYIGNNEKTKIVVTLETSPLKFMTSHRYFSNVADFFYDVHNYCSLKCLLPFVFTSFANI >DRNTG_26965.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30234677:30241367:-1 gene:DRNTG_26965 transcript:DRNTG_26965.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGERTISGEKRLSEYIGNNEKTKIVVTLETSPLKFMTSHSPGALHL >DRNTG_26965.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30234677:30241367:-1 gene:DRNTG_26965 transcript:DRNTG_26965.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGERTISGEKRLSEYIGNNEKTKIVVTLETSPLKFMTSHRYFSNVADFFYDVHNYCSLKCLLPFVFTSFANI >DRNTG_26965.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30234677:30241367:-1 gene:DRNTG_26965 transcript:DRNTG_26965.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGERTISGEKRLSEYIGNNEKTKIVVTLETSPLKFMTSHRNFTKMMVRT >DRNTG_15206.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16976528:16982275:-1 gene:DRNTG_15206 transcript:DRNTG_15206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKFMPFIVVILFLSTFPLLTCCSYSNASEIQMYIVHVESPVNTKFLSIEDRRSWHESFLPSPHLDSGEPRLVYSYAHVISGFAARLTSEDVKAMEGKAGFLHAQPDQELEIMTTYTPAFLGLNQWNGVWWDSDFGAGTIIGVIDTGILPTHPSLSDDEMPLPPLKWRGRCDFNDASLCNNKLIGAMSFKAGYDSSPLDEHGHGTHIASTVVGSQVYDAHVLGNARGSATGIAPKAHLAIYKVVHDNQGFDSDLLAAIDQAILDGVDVLSISLGRVSEQLYDSAAIIGSFAAMEKGVLTCAAAGNGGPYPSVIANDAPWMLTIGASTTDRRITVTVQLGNEMEVEGEAVYQMSNLERTAQSPIAYPGSNGVLEFKYCRPSTLFNIDIQDKIVICWADNDGNVEKGITIKRAGGAGMILLNSPLQSLTIIVEAHVLPVAHLSHRETMKLLSYIDLPLHTCPTATIISKGTRFRAQPSPAVASFSSRGPSLINGGILKPDVISPGVNILAAWSLDTGLSQSTPFNFMSGTSVSTAHLAGVAAMLRSTHPEWSPAMIKSAIMTTAYKQDLDGNHIAAEYPDDSPDSDFFAMGAGHVNPSGAHDPGLVYDIEPSDYINYLCGLSLTDREVSVIAHQNIQCSDVEKISVEELNYPSISLSLELDRRKIITRNATNVGEAHSVYFVHFDEPEGVTMDVTPDMLYFTRKNQKRCFTIEFITKDDFTGRGHVSEGQLSWVNKQHTVRSPISVNFV >DRNTG_04399.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1131723:1132799:1 gene:DRNTG_04399 transcript:DRNTG_04399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIHTTFSLVITIALLSPTSIAQQPPTPPANNPPPANNPPPANTPPPTPPANTPPPTPPANTPPPSPPANTPPPSPPANTPPPSPPANTPPPSPPANTPPPTPPSNTPPPSPPANTPPPSPPANTPHPPLRQLHPQLQLLHQHLHHRRQPHHQLQSQLNHHLHQHQHRLLHPSHQHHLRHP >DRNTG_29103.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4441420:4449556:1 gene:DRNTG_29103 transcript:DRNTG_29103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVCLVVLLVLIHRALAQQGFLSIHCCAIKNITDPVTNITWTSDEYLPKAVGTCEDVSFPSISTSRYSLARVFSADNQNSWCYYLQIVQGQDYLVRTTFLYGPVFRSIDETLFNISIGSTSISQVNSSLQSFVAEGIFAATGNNVNICLVKEKGNAYISMIELRPLNDKIYFDGDSSILKLVSRVDLGNEKTSYRYPVDPADRIWDFDPNFTRSTSLNSFNLNLQDVNTSIPLQVLQTAVADDKLLNFQLVNLDISHENYLVILHFLELNPDIQAGQRIFDICVNGEKKSDRFDILKSGKPSRYKAFTVKVKANGFLNISLIKASNTVQYGPICNAYEIFEVHDIDVETIYRDVEAASQLKNVLVMENPGNQRLRKWLGDPCTPSPWEGLDCGERNQTLIITKLNLSSMGLQGPVPDIISDLKDLTELDLQNNNFSGSIPESLASLKHLSKLSISCNPQLNSQVPRNLTEKKNLTIDFQGCDGQEDPESADSPQRSVYFIGGIAGGSVAFALGLGAFLTCFYKRTRQHNDTTHLVVKNPVYLMPNMDAPSVKLIVQCFPLKYIESVTCNYKTMIGEGGFGAVFRGTLPDGEEVAVKVRSATSTQGTREFDNEVTLLSTVRNENLVPLLGYCCENDQQILVYPFMSNGSLQDRLYGEAAKRKILDWPTRLSIALGAATGIMYLHNFAGRCIIHRDIKSSNILLDHSMCAKVADFGFSKYAPQEGDSGASLEVRGTAGYLDPEYYSTQQLSSKSDVFSFGVVLLEIVTGREPLNIQRPRNEWSLVEWAKPFIRESRIDEIVDPSIKGGYHAEAMWRVVETALVCLEPFSTYRPNITDIVRELEDALIIENNASEYMRSIESIGIGGVGNGSSRYLSVERKPLPPIQSPIEPSPSYSEILTAPQPR >DRNTG_35213.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7983738:7986949:-1 gene:DRNTG_35213 transcript:DRNTG_35213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYACHPCGLTILHDLGNKIGEVKRRAVEISANRSKYGIESIGAPSSGSLNNEARLPLSWKQTPVVEEVDVIGFDEHVKTLVQLLLAEDEDRRAVISIVGMGGLGKTTLAKKVSSDPRIKQHFNCHAWVYVSQAYTSRGLVESIFKELMAVDKVMMMNESIAKLADGELKKVVYEYLKERKYLVVIDDIWFREAWDNIKEVLPAEMLNGSKVLLTTRNRDVALHADRQSHPFDLKFLGEEESWELFLKKAIPTKCAEHCPPNLEEIGRQMVAKCNGLPLAIVVLGGLALRKEQSEEEWRKLLKSVSWQLREGEDQISNILALSYHHLPYYLKPCFLYFAMLPEDSSLINANRLTLRWIAEGFIEARDEETMEEVAEEYLEELVHRSLIQVAERNVLGGIDVCSIHDRLLDLAISEAKGMNFILVIKNNSEGNIVTLQKTRRLALHGDKSWDVAQQYPTDSARSLRTITFFGTKWWERDINTDSLCGKCIRKMWYNLACVLFFASLLIAGPRALVSFQKPRILIGMNLLRVIDLRGVTIILPKTIGELIHLRYLNVQVGRTKSIPSSIGELTNLQTLQIQNKYSTTKLPSAIWKLQSNLRHLECGDSCSIKGQPSADSLPNLQTLSSIKAGKWLNTGLLEKMINLKKLSICGICNSYGKALDSLGKLNNLIELELTSEDEHEIPTSILTASHQKHLRLLRLKGKLERLPEVNTQSLLTNLIKLTLEFSELKEDPLVTLGKLDNLQVLVLGLNAFIGKEMVCLERGFPQLKELDILFLISLEEWKIEEEAMPRLRKLTIARCVELVMLPHGLGKITSLQELHVSNMPSAFTQRLRANDGDDWHKVRHIPLLEVTDLDLED >DRNTG_25722.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17070481:17081914:-1 gene:DRNTG_25722 transcript:DRNTG_25722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGGAQEPRREVDFVDADRWIDIFQLSARWRSGPEWNAFLQELDKEQVPSHLNTCNSSGLFHVVSQDKLTVSYVGSQHHGHDVGIIQADCPVPTRRAAYYFEMTVKNSGVKGQTSIGFTHQQYKMRRQPGWETNSCGYHGDDGNLYRGQGKGEPFGPRFGTGDTVGSGINFISQVFFFTKNGELVGSVPKEIRSNLYPTVAVHSQNEEVTVNFGNQPFRFDVESFIAEERFKQQQIIEKLPLPANIGHKIVRSYLLHYGYQDTL >DRNTG_00144.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2383649:2385534:1 gene:DRNTG_00144 transcript:DRNTG_00144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVDLRIVLVHGGGLEINAWHLRTDHEPKFHNGLRVTNAHTMEVVDMVFAGKINKSLVTEINMLGGTGVGLAGKDARLLTDQGPLRIESAVLGFVGDVALLREVIGAGCEDSEYH >DRNTG_05654.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18134555:18142270:-1 gene:DRNTG_05654 transcript:DRNTG_05654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFLIFRIGCNADLILIKLDPNYYSYYYCDYDEDNDDDDGDYDDDEEEEEKFDVESLKKSQEDVFEIIYYALNKEYGESLTREGEHMVGVSLRTRFIERMHAVVGFINEREGEEVRKVNYDRARRTCGKVLSVLVENEENERLSGNIEVEGEKEKNNDELNEEFGDEFVVVEKNGEALEVHLENGRSLLSFVNKLFSFASKD >DRNTG_11750.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13464435:13465001:-1 gene:DRNTG_11750 transcript:DRNTG_11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKRSTSSTGIVERTEYDPNHSSRIALVRWIEGVLLRRQRKCNMIEEFAPPCKILEPTTATIFCHFSFFSLPEKVDQRMVACFLAKCLLTLYIVVGLPTRMPPCSKSQAWAGSKQTCVKDIFFSALSSPLAKGETASLSISSSFGFPRIAVAEAKPAFFTPRMREKLIGKKTFSLCEIRKWRTHCLL >DRNTG_16913.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4992081:4996106:1 gene:DRNTG_16913 transcript:DRNTG_16913.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSAVVAASTLVRSSLRSPAPSLIARRGLSGGGDHHGPPKINFWQDPLSPSKWKEEHFVLVSLSGWGLLIYGGFKLFGGKKETKEIDCCILKNIDENQNTFQDQEEPGLKQPQHELNFAYCSLRCTLSMNLLLPIKGTRDNTQESSAHGFCPYSRITEWEFCMGKKYTLMLSSPIRLTKSLGFYCS >DRNTG_08871.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27722986:27728584:-1 gene:DRNTG_08871 transcript:DRNTG_08871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMGTDDGRGGEQQMDIVEAESVGSDRGSYSGPFSGPLNKRGGRKSARFNVPADAAPVAGDDEVYVEITLDVRDDSVAVHSVKPAGNGECEDPEVTLLQRTLEKRSSFGSSVIRTASSRIRQVSQELKRLASLTMRQGPGRVERSRSAAAHALLGLKFISKTDGSAGWAAVEKRFDDLAVDGCLSRALFGQCIGMKESKEFAGELFDALARRRNITANSITKSELREFWDQISDQSFDSRLQTFFDMVDKNADGRINEEEVKEIISLSASANKLSKIQEQAEEYARMIMEELDPNNLGYIEIYNLETLLLQAPSQSVRPGTANSRNLSQMLSQKLKPTIEPNPIKRYYQKFRYFVEDNWMRVWVLLLWLGICAGLFTWKFIQYRNRAVYHVMGYCVCVAKGGAETLKFNMALILLPVCRNTITWLRNKTKLGVIVPFDDNLNFHKVIAAGIVVGVGLHAISHLTCDFPRLLHASDEEYIPMKPFFGDTRPNNYWWFVKGTEGWTGIVMVVLMAIAFTLAMPWFRRGRLNLPKPLKRLTGFNAFWYSHHLFVIVYILLIIHGIFLYLSHKWYKKTTWMYLAVPVLLYASERLIRALRSSVRPVKILKVALYPGNVLALHVSKPQGFKYRSGQYMFVNCAAVSPFEWHPFSITSAPQDDYVSVHIRTAGDWTRRLKEVFSEVCQPSTDGKSGLLRADYDHGSNSPYPSFPKVLIDGPYGAPAQDYKKYDVVLLVGLGIGATPFISILKDIVNNMKLLEDDSGVDGNADLERAGESTTRKGNRSFKTRRAYFYWVTREQGSFEWFKGVMNEVAEADTKDAIELHNYCTSVYEEGDARSALIAMLQSLNHAKHGVDVVSGTRVKSHFARPNWRNVYKRIALNHRDQRIGVFYCGAAGLTKELRQLALDFSRKTNTKFDFHKENF >DRNTG_08913.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27435709:27438162:1 gene:DRNTG_08913 transcript:DRNTG_08913.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVALLAMAMAMAAALNLQLSFSMEEDKITKLPGQPQVSFQHYSGYISVDKHKQRSLFYYFAEAETDPTSKPLVLWLNGGPGCSSVGVGAFSENGPFRPSGEVLVKNEYSWNKEANMLYLETPAGVGFSFATDSSYYSGVSDKMTARDNLVFLHRWFAKFPQYKNRDLYITGESYAGHYVPQLAQLMVLYNMKEKIFNLKGIALGNPVLEFSTDFNSRAEFFWSHGLISDSTYNMFTSKCNYSRYVSEYYRGDLSPVCARVMNQVTRETSRFVDKYDVTLDVCISSVLSQSLVLSPHGVEERIDVCVEDEMVNYLNRKDVQEALHAQLNGVPKWTVCSSVLEYELLNLEIPTITVVGSLIKSGVPVLVYSGDQDSVIPLTGSRTLVHNLAKDLGLNTTVPYRVWFEGQQVGGWTQVYGNMLSFATIRGASHEAPFSQPERSLVLFRAFLQGRPLPETF >DRNTG_00380.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18486646:18490659:-1 gene:DRNTG_00380 transcript:DRNTG_00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDAQADGWERSDFPIICESCLGDNPYLRMTKADYDKECKICTRPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVCLLDLEYGLPVQVRDTALSINSNDSIPKSDVNREYFAEEHDRRARAGIDYESSFGKARPNDTILKLQRTTPYYQRNRAHVCSFYVRGECTRGSECPYRHEMPQTGELSQQNIKDRYYGINDPVAMKLLAKAGEMPSLVPPDDESIKTLYVGGLDARISEQDLRDQFYAYGEIESIRMVLQRACAFVAYTTREGAEKAAEELSNKLVIKGLRLKLMWGRPQTLKPEGEGGEDEAARQGLVAHGGMLPRALVSQQQSGQQPLPPGTEDHAPQQQQQPAMPYFNIPVPLPPQRTFYPSMDPQRMGAIVSSGETSDSKTGLEKPGQPRGLDGSGHSSYPLGPPPPRPHGQYPNFYPPYGYPTLPPPPPPSSLPMHYQHQYPPYQPMPGPPPRPPSVGRPYEHQSSAPSAPQT >DRNTG_33835.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002043.1:2396:5491:-1 gene:DRNTG_33835 transcript:DRNTG_33835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAILTFSASSTMSSTPQTISSMAPSSRLLSSCMGSGKKQSDDLAKRKKHTSIEVGQEVNNARVFSIWNFDGKEASKENIESSNREFY >DRNTG_30790.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10465633:10472838:-1 gene:DRNTG_30790 transcript:DRNTG_30790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDRYGCFKVGEKIEVSCDDEGFHGAWYEATVARLMNGSLRLLIIYTSLLSDFKGFARRVASGEALKDAWKGAKKVLKP >DRNTG_33860.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16763101:16765531:-1 gene:DRNTG_33860 transcript:DRNTG_33860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPTITTTTTTILLLLLLPFFIHATTTTTTTTTTTTTSLPKVIKAAFWPSWSFRTIPPSSINFSYFTHIFYAFIPLDPTTFQLSISPFHHLWLTNFTTSIHSQTHDPPLSALISIAYNRTVIANLVSNPTSRAIFINSTISIALQYNLDGFDLDWEFPANPEQMDNLALLYKEWRAAITCLLPTKVLLLTSSVYFSPDFFLSIVPRSYPVAVMAETLDWINANFFDYHGWWNTSETGLHAALYDPKKNISTSFGLGAWLREGMPAKKVVLGMPLYGRTFELKESDEHGVGSPAVNVGPGKGGRGIMVYGAIVRFNEENNATVVHDEERVAVYSYAGQSWLGYDDEWSVRKKVEYGMKMGLGGYFFWALGFDYNWTLSQTAWSAWEY >DRNTG_06681.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3219678:3222115:1 gene:DRNTG_06681 transcript:DRNTG_06681.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKWNEFFPDKEMKQPPYFDGRVICYPRAKIVLDYLAWRQVDCHINNQYNTCFWLLVKSGKTEQEAQESLKGTVSKDKNELLFQQFGVNYDKLPAIFRKGSCVYIEKLEETVKLDDGGNPVKRLRKKVTVGHFDIIGLKFWNEHPYILEEEK >DRNTG_06681.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3214693:3222115:1 gene:DRNTG_06681 transcript:DRNTG_06681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHNSFNLFFKNTFNSPDCSKLVPLSVSYFTSVYVMKWNEFFPDKEMKQPPYFDGRVICYPRAKIVLDYLAWRQVDCHINNQYNTCFWLLVKSGKTEQEAQESLKGTVSKDKNELLFQQFGVNYDKLPAIFRKGSCVYIEKLEETVKLDDGGNPVKRLRKKVTVGHFDIIGLKFWNEHPYILEEEK >DRNTG_06681.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3221325:3222115:1 gene:DRNTG_06681 transcript:DRNTG_06681.5 gene_biotype:protein_coding transcript_biotype:protein_coding GTVSKDKNELLFQQFGVNYDKLPAIFRKGSCVYIEKLEETVKLDDGGNPVKRLRKKVTVGHFDIIGLKFWNEHPYILEEEK >DRNTG_06681.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3217008:3222115:1 gene:DRNTG_06681 transcript:DRNTG_06681.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKYEYVKKFEIDDKLPPHNWIVVRIDGCHFHRFSADHAFEKPNDERALNLMNSCAVSMLEHFPDIVFAYGVSDEYSFIFKESTQFYERRASKLVPLSVSYFTSVYVMKWNEFFPDKEMKQPPYFDGRVICYPRAKIVLDYLAWRQVDCHINNQYNTCFWLLVKSGKTEQEAQESLKGTVSKDKNELLFQQFGVNYDKLPAIFRKGSCVYIEKLEETVKLDDGGNPVKRLRKKVTVGHFDIIGLKFWNEHPYILEEEK >DRNTG_06681.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3217957:3222115:1 gene:DRNTG_06681 transcript:DRNTG_06681.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCAVSMLEHFPDIVFAYGVSDEYSFIFKESTQFYERRASKLVPLSVSYFTSVYVMKWNEFFPDKEMKQPPYFDGRVICYPRAKIVLDYLAWRQVDCHINNQYNTCFWLLVKSGKTEQEAQESLKGTVSKDKNELLFQQFGVNYDKLPAIFRKGSCVYIEKLEETVKLDDGGNPVKRLRKKVTVGHFDIIGLKFWNEHPYILEEEK >DRNTG_03693.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:24258918:24266568:-1 gene:DRNTG_03693 transcript:DRNTG_03693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKKISFGRKEKKTGISYYPHTTKYAGHLHCSSRMIESVCLDFYTTQYTGSYGGRMHPVLYMTRSNPSTLITLDSEIERTLHRRLREVGEGSAGGFLSNKYLDEADQLLESMASNESHWASRGSTQKTAGLYEVSSTDTLAVKVDVLTRKLDLLMDSSLRFNSLTSSMDAQFGKLNTKLTQHAEQFSEIGSVLRNLQASVKSLEHRVGELAKAHSERPLGGLPSNTEDNPREHLKVIALRSGRQVETRVEKVLSINPLDEYLGELKNEEQGQPHQHPQIHNLKEPKERVSYTNAKEKEKKKSFVKKMWREIHGRIKERYQASSCHISRRKVMPNTKRLASKRPRTTGPSSTPDEPIFKLSHHRERYDRLKIKPFGTLCYLDWGLMENLGIASQVREWLSKNCCGKRFAINEPTFRQLTLEVLSTFEAQQDEKSVQNRKIITIRFQAFGWKHTMHHLDFAKYLGIYDDEFINSMPGKHLKLDFPSEVGRNHYWTDSKGVVTQANIYTIYGIFERRPAHLGHLVADVFLQQSSYTPLGAIFIGSYVTRLIRGMGLFEQTRGMTFEGGITPLGLAHIWAIGLVVAHGRPTRHQATEHKEAMKNNKISFGRKEKKTGISYYPV >DRNTG_16289.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10792184:10798641:-1 gene:DRNTG_16289 transcript:DRNTG_16289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECDCPCAPPNRYANLNTRSSKFMTRGNPSILIEGDPELERTLRKKGKEPVQEQSNLANLEVEGSNNMVEQNEKLLDGTTGGTIGSKTPGEARQLIEEMGLNSYQCNARERKKVAGLHEIDAVTSLAAQVESLRNVHPDPYKGLFDQEEENEEIMMLGYMEEVPSTLGILKKVLRKIKRARRRHRKGSKAVELRVNRTKAAEFSKSSRETWQIIPIAHRCVDSPLPVNVHGRVEFPQRRAKHLAILLGWTEKSQVRAAALVGRAHRRGYFPHAHADAFRGSEGFPESTQGRASAPVKLSCGGARAWVMAPRSKKQADKRPREPSPEPKSMSFTILEHQARLDRGHAVGSWDHLLSISDPVIRVLTLELLASFEFDRSYFSFDSLYDAAYTKIEEYEQLPTDYPGSLTSQRAYTVLCGQGQYEPGVSKATCLSRPSYRYIHTILSRSGQYARLGLIFSGPYITRLVIGMGLLNAIRGAEKVTIPSSLCMETLRLMGMVWRVQLGLYALIIPAPEIVEVEGDDAGASQPASEPQPQPMEIDASPVAEDPPPVRMFSPSRAHDRFERLKSAVGVIRTEITKARVGKTEAPPTSSSLDPPAPFDYPAEEPEHGTDT >DRNTG_14803.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29873346:29880238:1 gene:DRNTG_14803 transcript:DRNTG_14803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTRDQEEENPLLEPPSPVAAVASRRRTPTPMLILLAAFVPLVFHLLLTLPSKSTYHSLFLSLSDNATAARHLEALTLRPHLAGTPSASVASSYVISTLSSLSLPTHSSFYDVLLSYPISRSLSLSSIPFDLTQEPYPGDPFPITDVVPTFHAYAFTGTATGPAVYAGFGRAEDFAILKSMGVNVTGTVVLARYGKIYRGDIVKNAQDAGASGAVIYTDKKDYGGGEEGKCVPEGQWMPPSGVQVGSTWRGLGDPTTIGWPSVQGSCERIGKEEAVAAGLMPAIPSLPVSARDGETIQMSIGGQVAPDDWQGVDGVPVYHIGPGPGFLNLSYFGNETLATIENVFAVIEGEEEPDRYVLLGNHRDAWTFGAVDPNSGTAALLEIAQRLSRMQKRGWRPRRTIILCNWDAEEYGLIGSTEWVEEHRDMLASRAVAYLNVDSSVAGPGFYAAATPQLDELLREATKKVQDPDNTSRTLYESWIALSGSPSIGRLGGGGSDFASFVQHVGVPSVDITFGEGYPVYHSLYDDFLWMKNFGDPMFQRHIAAASVWGLIALRLADDKFLPFDYTDYASELQRSVEILEDEVLGTDLSLSPLYESIGKLKKAAIEIRRQIKAMEDQSWIPNWRTDSLKVRELNDRLMMAERAFTDPDGLFQRTWYKHLVYGPSQHDDYGSKSFPGIDDAIENAKTTNTAESWRFVQHEIWRVTRAITQASQVLNGNLI >DRNTG_25611.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:124187:125174:1 gene:DRNTG_25611 transcript:DRNTG_25611.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDANANFVVMAMMMAMFVCCTEARVPLLAMVIVVCEHSQASGCFGGAIHYNHRHPANVMLVFTHIC >DRNTG_01093.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000064.1:62107:62835:1 gene:DRNTG_01093 transcript:DRNTG_01093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLDEEEHAVFPEVDDEEDESDEVDDSEDDEDEDGEIEPSSAPLPPFVTVTDLGTMDPNPGMIPNPNPIAIHVTVSAAENGSVQVQPVLSDVTTEGLTTPTAEERHLVPCQIGSSYDDSRRLFQRLWTDEDELVILKGFLEFISQRDTIEASHQHDTGPFYEKIKTQLQLEFTKNLLIEKLRRMKKKFRNVVNRIANAGKDFSFKTPHEQATFEIACQIWSSELKRLREISDDEILNVPTQ >DRNTG_04073.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2255459:2291197:-1 gene:DRNTG_04073 transcript:DRNTG_04073.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESDSPIIPNPESGFHPLCHLLLHAVPLLLRLSPRRPPPSPLPPPPRPPHLHPPRLPLRLLPPSRHTPSRRPSGTPAPPSPVPNLTSAPTISTNPRPSSSVSASPTPTSLLAFISPSSAPPSSSPLPPSPTSLSPLPPIPFLAAVLAFSYLLFALVKLSFDRSSSKQSEKQLSLLSGFIGFPILSLHFCSFSLPHCLTSISANLRSQDSR >DRNTG_04073.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2289640:2291197:-1 gene:DRNTG_04073 transcript:DRNTG_04073.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPESDSPIIPNPESGFHPLCHLLLHAVPLLLRLSPRRPPPSPLPPPPRPPHLHPPRLPLRLLPPSRHTPSRRPSGTPAPPSPVPNLTSAPTISTNPRPSSSVSASPTPTSLLAFISPSSAPPSSSPLPPSPTSLSPLPPIPFLAAVLAFSYLLFALVKLSFDRSSSKQSEKQLSLLSGFIGFPILSLHFCSFSLPHCLTSISANLRSQDSR >DRNTG_12980.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24841006:24845657:-1 gene:DRNTG_12980 transcript:DRNTG_12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRDFKPESSLLPSLILGLCKNGKHQEAMGIWLKMVEKGFHVEVVASNALIHGLCELRKVEEAVALLETILVKGMAVNRVTFSTLILGCCKEGKIEEGLRLLGQMVKGAIEPDIYIYNNLLHGLCDSGKMEEAIGLWNELKSKALVPDLFTFSSIIDGYCKSKEVDKAKTFFKELDNWGLEPNAVIYNSLVSGCCESSNMEEASNLIAEMKGKGVSPTVVTYTALIHGFCNDGCIEEARNVFNKLKEDGFQLDVVCYTTMIDGYCKLGQMDQAKKLFEEMRASSIAPNKITYTVLMHGYCKLGNIKETSRLLDAMVDSGIVPDIVTYNTLIFGFCKDGKMEDAFKICDLMSQRGLALDEVSYTILVDGLTSSGCEKEAKCISEGIIQYGIGPRIAKTS >DRNTG_12980.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24843426:24845657:-1 gene:DRNTG_12980 transcript:DRNTG_12980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRDFKPESSLLPSLILGLCKNGKHQEAMGIWLKMVEKGFHVEVVASNALIHGLCELRKVEEAVALLETILVKGMAVNRVTFSTLILGCCKEGKIEEGLRLLGQMVKGAIEPDIYIYNNLLHGLCDSGKMEEAIGLWNELKSKALVPDLFTFSSIIDGYCKSKEVDKAKTFFKELDNWGLEPNAVIYNSLVSGCCESSNMEEASNLIAEMKGKGVSPTVVTYTALIHGFCNDGCIEEARNVFNKLKEDGFQLDVVCYTTMIDGYCKLGQMDQAKKLFEEMRASSIAPNKITYTVLMHGYCKLGNIKETSRLLDAMVDSGIVPDIVTYNTLIFGFCKDGKMEDAFKICDLMSQRGLALDEVSYTILVDGLTSSGCEKEAKCISEGIIQYGIGPRIAKTS >DRNTG_03773.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18165436:18167236:1 gene:DRNTG_03773 transcript:DRNTG_03773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFRTIMRISVDELSPSREDTGGCGCPLILLGWTEKSQGRAASNERWAQKRGYFPHDRADAFRDSECFPESAQGHPSAPVNSLLEAHGRGYGTTSEEERGLILGMGLAHAIRDAYKIIIPSPLGLDTLMMMGLVRRNGPGIFIWATCTTKSTESGGDVAGDSTPMAIETSRRPSTV >DRNTG_30573.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19223207:19227292:1 gene:DRNTG_30573 transcript:DRNTG_30573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRNDQENNLSTDIRRSNTQYRYHLQDREPWSLFEEFG >DRNTG_23516.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22203257:22207578:1 gene:DRNTG_23516 transcript:DRNTG_23516.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVRSDRVDVSRLDSFGLMSDDAGTSGSEDCDVSELGEVGTELCQVGDQGFNIPFELCDLEDLGSVLSVETWNDCLSEEERFRLAEFLPDMEQETLWQTLAELFEGKDFHFGSPMASFFFCLKSGGFEPKVEVYHRGLIAFQRRQHYFLLKDYQDSMVKRLVDMRDVWEQYEAYAIEDRVRLLNSVCNQRRLNGAVDLKKGFLKQRVKKRSMKTARGDSPKCYELGFDVNAKQRKLLMGSHWLSLDQIDGGGSDSEDLDYKAGMYGVQSTSRDSAIVRPKRSKSGKKEEFLRHYDAPMRLGIHYEDHEGYAQLAHYHGGSKKHRDVTVASYDDELLYKRKRVKHSENAQVYSGGEVVSVEHPIKFADLNIRSQKPMGDEEFGNHQRRIGSHSALAYGTTPQIQMADLYSYPDHQRSKTSQEQCRSKLARKWEMPQMRMDYSRNSSLFDQQEDVQYGVSDLAGENKHVNLLVDQYAYSSEKMEEGHLVGRMTCDPRKADKLMKKNKKLNRGSSKHAPDAGPYFAESRHQKGEKHNHCYQSKSKSRGRSFLLSRKLGTLPSDIYTPEIKWKATTESDHPWSVLDNEKGSLNAGTHNYMPYAQSRVASHRVRSSMPLAVCNSTRKEEKVNLHSICPNELNESVHFQSTSNDEAEQNFLKDDGKRKMDSGFVSLAAMTPPLVTSDKEAMETQAELGSENMPFTLITPTVYNCFSFSIIHLLSAVQRALIADTKDELEFCVPLQNNDSPIHPQRNITVNTPDNLVLKSLPVLNVSEIVSRVKSDPGDPCILETQEPLQELVRGVLKIFSSKTAPLGAEDWKALVSYEKSNRSWSWVGPLPSILSDEESDEERTSSEAWGIPHKLLVKLVDAFANWLKSVQDTLQQIGCLQSLPLSMFPTLDEKERFRDLKSHKNPNTISPSSSEVRAHFQREEVLRYSVSDRAFFYTAADGKKSSVAPLKNGGSKPNSRAREHFMLKVDRPAHVSILCLVRDAAARLPGSIGTRADISTLLRDSKYIVDDVSDSQINQVVSGALDRLHSQRDPCVQFDNERKLWVYLHREREEEDFEDDGTSSTKKWKRPKKNLPGNSYPEAINDYDNHATRNPTSSGSPGDFI >DRNTG_23516.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22203257:22207578:1 gene:DRNTG_23516 transcript:DRNTG_23516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVRSDRVDVSRLDSFGLMSDDAGTSGSEDCDVSELGEVGTELCQVGDQGFNIPFELCDLEDLGSVLSVETWNDCLSEEERFRLAEFLPDMEQETLWQTLAELFEGKDFHFGSPMASFFFCLKSGGFEPKVEVYHRGLIAFQRRQHYFLLKDYQDSMVKRLVDMRDVWEQYEAYAIEDRVRLLNSVCNQRRLNGAVDLKKGFLKQRVKKRSMKTARGDSPKCYELGFDVNAKQRKLLMGSHWLSLDQIDGGGSDSEDLDYKAGMYGVQSTSRDSAIVRPKRSKSGKKEEFLRHYDAPMRLGIHYEDHEGYAQLAHYHGGSKKHRDVTVASYDDELLYKRKRVKHSENAQVYSGGEVVSVEHPIKFADLNIRSQKPMGDEEFGNHQRRIGSHSALAYGTTPQIQMADLYSYPDHQRSKTSQEQCRSKLARKWEMPQMRMDYSRNSSLFDQQEDVQYGVSDLAGENKHVNLLVDQYAYSSEKMEEGHLVGRMTCDPRKADKLMKKNKKLNRGSSKHAPDAGPYFAESRHQKGEKHNHCYQSKSKSRGRSFLLSRKLGTLPSDIYTPEIKWKATTESDHPWSVLDNEKGSLNAGTHNYMPYAQSRVASHRVRSSMPLAVCNSTRKEEKVNLHSICPNELNESVHFQSTSNDEAEQNFLKDDGKRKMDSGFVSLAAMTPPLVTSDKEAMETQAELGSENMPFTLITPTVYNCFSFSIIHLLSAVQRALIADTKDELEFCVPLQNNDSPIHPQRNITVNTPDNLVLKSLPVLNVSEIVSRVKSDPGDPCILETQEPLQELVRGVLKIFSSKTAPLGAEDWKALVSYEKSNRSWSWVGPLPSILSDEESDEERTSSEAWGIPHKLLVKLVDAFANWLKSVQDTLQQIGCLQSLPLSMFPTLDEKERFRDLKSHKNPNTISPSSSEVRAHFQREEVLRYSVSDRAFFYTAADGKKSSVAPLKNGGSKPNSRAREHFMLKVDRPAHVSILCLVRDAAARLPGSIGTRADISTLLRDSKYIVDDVSDSQINQVVSGALDRLHSQRDPCVQFDNERKLWVYLHREREEEDFEDDGTSSTKKWKRPKKNLPGNSYPEAINDYDNHATRNPTSSGSPGDFI >DRNTG_14596.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000713.1:2359:18146:-1 gene:DRNTG_14596 transcript:DRNTG_14596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPKHLVMLTYPQGLPGTFLGSSVCSKAILQLRLSIMSSFAKHHLPYASNLLLDALEGVDKLDLHSLQCPLSGEENPQTKDEEETLKTGVKKRGFGLNTEHPAHVAYSSIKLLSAWVFQWHGHDRVLTVSSLGVPFYADFLLDQHQAEIFSGNDGGGSYSRESLGTDHRVDSTSCPLPSVCVVLKISTHSVVPALSSALLSSLILLTPLAMASGDQPLIPPTHPPPRKSWAQMAGAATPLLKTSPLHNPLLLQKLKESTTNFVKISIDTLSKKHCRFQFALYGKLFGKSSPFDQGRDSLLDKWANVGEVFISDWVPYPVLLSSATIWVQFHNLPVEFWDIDALKLIAGQLASQAAVNDSTHQPSQIPRESEVGSCPVQAAVANNCDLSDPSSSPPVDPVAPVAKVPDSDFAAVSSEERRPRFSAFGGTRGGRSSLGQNRLLNPKGSNSFPNSTEATAMEAIPSLPIPTVDIPLVTPPAIDANCSAHPRDQAQPPIRVTNPSASQPIVSSTHDSRGIFARHTSAHIFKIIQTYNSSLVCLVDTRANSERIARFRTKLSHSWDWAAIEANVFSGGIFIFWDKNIGHVTPLAVSRRTLHIVVSSLTFNNCIVSVVYNSTLSCNQRYLWHELSKISQLHLPWLIIGCHEAVHIAWNFFPHGNPMQAFSHLLSRSHFHIREWSRFGVNDSESKILQVETAIQSFESNVQNLNSSSPLIDLYSKLEALHRQSNSRWAQRAHMSWLTNGDMNSKFFNYITRSRTHFNLIPQVSLRGGLGIRDLSIVRHSLMAKHIFKYLNDDNVLWVDILHSKYGPFNFRRDSAPPKCSWFFRDHMTMADVIHNECWDFNTHNGLLGNSIRFDPNNLGNIDSSSSNHWVWYPSPTSLSLSAAVYNKLNEIDSSRE >DRNTG_26761.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18617126:18620086:-1 gene:DRNTG_26761 transcript:DRNTG_26761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKTTKAHLSLFLYYTLCLFNGVLIYGCIEGERKALLDFKEGLKDPSGRLSSWIGQDCCIWRGVQCDNQTGHVVQLDLGNKMPLHDMFGYGPHALPLEGEISSALLGLKHLQYLDLSMNFFGGIQIPAFFGSLQRLHYLDLSCAGFSGLVPHQLGNLSGLQHLDLSNPFYLSWNKLYIVGSHWLSNLLSLQYLNLNFVDLSKAPDWLESLNTLPLISEINLSNCTLELPLSLAHVNFTKLHFLDLSSNNVNSVVPPWLFKLRSLESLDLSVNAFKELVPSAIGNLTSLRVLDLANNGVLEGGVPLSLGNLCMLNILDLSENKYLHGDLNELGEVFSGCMKDSLEILSWVFSELTGTFPDWLGNLKSLKMLNLYYNSFSGPVPEFQLPSLKKLDISRNTFEWNYSQAFWKTVS >DRNTG_15609.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:11127355:11130972:-1 gene:DRNTG_15609 transcript:DRNTG_15609.3 gene_biotype:protein_coding transcript_biotype:protein_coding MICSLAEKYLQQAWPIVKIAFKEFGISCELNLLI >DRNTG_15609.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:11127355:11130972:-1 gene:DRNTG_15609 transcript:DRNTG_15609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFFYTLDRRLLHHALKSPPPPYHRHLSEALRPLNPAAKYHAGALTLEHPRMPTSEKWRRRQRSTRGSMTSPSPGMMTPTSIIGRWRSSIPRGTMEGCLKLAPSPPYFPSTKRSICNKHGPL >DRNTG_15609.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:11127355:11130972:-1 gene:DRNTG_15609 transcript:DRNTG_15609.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFFYTLDRRLLHHALKSPPPPYHRHLSEALRPLNPAAKYHAGALTLEHPRMPTSEKWRRRQRSTRGSMTSPSPGMMTPTSIIGRWRSSIPRGTMEGCLKLAPSPPYFPSTKRSICNKHGPL >DRNTG_15609.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:11128737:11130972:-1 gene:DRNTG_15609 transcript:DRNTG_15609.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFFYTLDRRLLHHALKSPPPPYHRHLSEALRPLNPAAKYHAGALTLEHPRMPTSEKWRRRQRSTRGSMTSPSPGMMTPTSIIGRWRSSIPRGTMEGCLKLAPSPPYFPSTKRSICNKHGPL >DRNTG_15609.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:11128737:11130972:-1 gene:DRNTG_15609 transcript:DRNTG_15609.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFFYTLDRRLLHHALKSPPPPYHRHLSEALRPLNPAAKYHAGALTLEHPRMPTSEKWRRRQRSTRGSMTSPSPGMMTPTSIIGRWRSSIPRGTMEGCLKLAPSPPYFPSTKRSICNKHGPL >DRNTG_15830.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25049914:25082473:-1 gene:DRNTG_15830 transcript:DRNTG_15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding KHGGESDSESRGSDGEELCGTSSRGTPQGQHGEA >DRNTG_13019.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26741470:26746823:1 gene:DRNTG_13019 transcript:DRNTG_13019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMRSQRKSAADEVPILSLYRSAPQVEVILDDFERFAIDRLLVLKGVSDGLSRGKKPEEMENLVKELWRTHMGHPDAPETRNKDIISHFILRLVYCRTEDLRNWFLSAETTLFRCRYRLEGLETQRMLLAEFDFPYKALSQAEFEVVKEKLVQVSRSIGLSPKESVFFKMPFEEVSDLVSSRKVFLLKGYAYVAMSQVSSPVTKQFRRILSKALELTNRKWTSISQEKEKNRLTPIVESLSSSYLGPDYSQHQESSEISLRDIDRLANNSFPPCMRHLFEKLREDHHLKHGGRMQLSLFLKGVGLKLEDALTFWKAEFSQKVRCVTICSLISYQPRA >DRNTG_09062.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31442720:31444722:-1 gene:DRNTG_09062 transcript:DRNTG_09062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIHGFTLNTGAKFPSVGLGTWQAEPGVVSQAIITAAGYRHIDCAPPYRNENEIGLALKKLFDDGVVKREELFITSKLWCADHDPEDVPKALEATLKDLQLDYLDLYLIHWPFRARKGTKVSELLKNIVPSNIPGTWAAMEKLYRSGKARAIGVSNFTIKKLEDLLAIASIPPAVNQVECHLIWQQTKLQKFCDSKGIHLSAYSPLGSPGTNWIWTHGNVLDNPTLKKVAEELGRTPAQVALRWGIQMGHSVLPKSTSEKRIKENFDIFNWSIPDDMFAKFYEIKQARLIKGHKHFIDPEGLYKTEEELWDGEI >DRNTG_07240.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14627317:14631761:-1 gene:DRNTG_07240 transcript:DRNTG_07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYCLSMASHCLSKLTGSVLFLVVLLFFEVPVSFSGPPCDFPAIFNFGDSNSDTGGLSAAFGPAPPPNGETFFGAPAGRYSDGRLIIDFIAQSLGLPYLNAYLDSVGTNFSHGTNFATAGSTIRRQNTTLFQGGFSPFSLDVQSWQFSQFKARSRKAYDKGGVYKDLLPLEDYFSRALYTFDIGQNDLTAGYFQGMTTQEVKTYIPDVLDKFTIVIKSVYGEGGRFFWIHNTGPFGCLPYVLDRIPLTAAQIDRAGCGVPFNEVAQLFNAKLKETVAWLRKELPLATFTYVDVYSVKYSVISQPTKHGFEHPLVACCGHGGKYNYNMHVGCGGKIKVNGTEVLLGKSCEKPLSRINWDGVHYTEAGNKYVFDQISNGKFSDPPIPLRMACHKLVR >DRNTG_16347.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21325490:21326235:1 gene:DRNTG_16347 transcript:DRNTG_16347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGFTLKISCDYLCAGIEFVGGDMFESVPTGDAILMKEILHNWSDADCIKLLKNCWKALPNNGKIIVVEQVIPETSQNANELKNAFLLDIIMLAFSVGGKERSKKEYQFLAKAGGFSRLKIVCNIYGFSVMEFYK >DRNTG_00823.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19367597:19459040:1 gene:DRNTG_00823 transcript:DRNTG_00823.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEYDYPCAPPNGCANSNMRRVHRGVRLPLWVGHTGVGNFHTPVWMRSESVECYPESTQGRASAPVGFSPVESHWRGCGDLMCEEMNTGDKYARVKWMEISISKSGVLGQCSP >DRNTG_19031.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22541495:22543859:-1 gene:DRNTG_19031 transcript:DRNTG_19031.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLITVRVALGQTVLVHLASHKHGGPVNVAVSTAVGAAAAVIAIILPYPRFAYYEVKEKSWLYTDIVLERLRLLVSSFFSSDSSQTTAITPISSLRTVSAKLLQSIKLKQWERPLSMFLHAGDISSNGMESIEMALKGMEAALTSMASVPSEVLNQQPLRGNVLILGDQTCLIVKQYNFMTEKGMVNKTLESLHHIPLNLENLPLFFFLFCMKVLHDGLLMDSENSSSEESQKERNNKWIMSMINIERILTALKCSITLGLSALFGVLFNREYGFWCALTVAITITPWREATFKLANIRIQGAALGSVYGVLIGSTISEDLMQVRFLLLLPFIVFTSFLHKSRMYGQPGAIAAIISAMVILGRRKYGSPSAFAVVRLTEIFIGLLCSTIVELLLQPRRASTMARIQLSLSLQTLHDSMKQNKEKKLREQVNKLRKDIAEAEGEPNFWFLPFPVSCYNKLQNSLSKMVDLLHFLVPAMKILAQESHESLEAINEDVESLRNLISDSLKCFSVEKQENDPSDLEMGSGVGSLEFDKVVASFIQHGREAMEKLDGDVDGVVKGQVFLSLGAIGFCLEGLQRESKELKKGLLELLQLENPGRHKDFS >DRNTG_19031.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22541495:22547096:-1 gene:DRNTG_19031 transcript:DRNTG_19031.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKDDTELRSNACYDGQSSTSHKVYHFGARRQMAQRLSQIAKSRHFYKQNNGETDDRVKEKSWLYTDIVLERLRLLVSSFFSSDSSQTTAITPISSLRTVSAKLLQSIKLKQWERPLSMFLHAGDISSNGMESIEMALKGMEAALTSMASVPSEVLNQQPLRGNVLILGDQTCLIVKQYNFMTEKGMVNKTLESLHHIPLNLENLPLFFFLFCMKVLHDGLLMDSENSSSEESQKERNNKWIMSMINIERILTALKCSITLGLSALFGVLFNREYGFWCALTVAITITPWREATFKLANIRIQGAALGSVYGVLIGSTISEDLMQVRFLLLLPFIVFTSFLHKSRMYGQPGAIAAIISAMVILGRRKYGSPSAFAVVRLTEIFIGLLCSTIVELLLQPRRASTMARIQLSLSLQTLHDSMKQNKEKKLREQVNKLRKDIAEAEGEPNFWFLPFPVSCYNKLQNSLSKMVDLLHFLVPAMKILAQESHESLEAINEDVESLRNLISDSLKCFSVEKQENDPSDLEMGSGVGSLEFDKVVASFIQHGREAMEKLDGDVDGVVKGQVFLSLGAIGFCLEGLQRESKELKKGLLELLQLENPGRHKDFS >DRNTG_07873.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18917652:18922369:-1 gene:DRNTG_07873 transcript:DRNTG_07873.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKRTGIAGTRLISFPETPPLPPPSPSISPGTPSPPHYFKPKSSVA >DRNTG_28413.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24601365:24605303:1 gene:DRNTG_28413 transcript:DRNTG_28413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGSLDKLIFSSTSGSNHRFSLEKLTEIALGIAKGIDYLHQGCDMQILHFDIKPHNILLDHNFIPKISDFGLAKLYPRDYNYVSLSVARGTIGYIAPELISRSFGLISHKSDVYSFGMLLMEMASGRRNVDQKAENTSRVYYPSWIYDKLNNPIDHDAQEIDTGVAKIVISDSEKKLCMIGLWCIQMRPSDLPSMSKVLEMLEGDVDDLQMPPKPFFSDPRPAILSLPCSNISQDELNNSSDDIVCLA >DRNTG_34634.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:169702:173563:1 gene:DRNTG_34634 transcript:DRNTG_34634.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVAVKLYSVFFKLLLKRRLHSLLHSPSSSLFGLTSRPDDSSTPASPSFGSDSVATKDIHIDPLTSLSIRIFLPDPSSTAVPHHQDLSHLRRTSYSGAHLAAASPSIDQPRRSSYGSPTDPCAYSGYLPTDDAWRRPGSRKLPVMLQFHGGAFVSGSNTSPANDLFCRRIARLCDVIVIAVGYRLAPESRYPAAFEDGLKVLNWLAKQANLAECSKSMGNLRSGDARRADGQRYIVDTFGASTVEPWLAAHGDPSRCVLLGVSCGANIADYVARKAVEAKNLLDPVKVVAQVLMYPFFIGSVPTHSEIKLTNSYFYDKSMCLLAWRLFLPEYEFDLDHPAANPLVPGRGPPLKCMPPTLTVVAEHDWMRDRAIAYSEELRKVNLDSPVLEYKDSVHEFATLDMLLTSPQAQACADDIAIWVKKYISQRGHEFSY >DRNTG_34634.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:169858:173390:1 gene:DRNTG_34634 transcript:DRNTG_34634.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVAVKLYSVFFKLLLKRRLHSLLHSPSSSLFGLTSRPDDSSTPASPSFGSDSVATKDIHIDPLTSLSIRIFLPDPSSTAVPHHQDLSHLRRTSYSGAHLAAASPSIDQPRRSSYGSPTDPCAYSGYLPTDDAWRRPGSRKLPVMLQFHGGAFVSGSNTSPANDLFCRRIARLCDVIVIAVGYRLAPESRYPAAFEDGLKVLNWLAKQANLAECSKSMGNLRSGDARRADGQRYIVDTFGASTVEPWLAAHGDPSRCVLLGVSCGANIADYVARKAVEAKNLLDPVKVVAQVLMYPFFIGSVPTHSEIKLTNSYFYDKSMCLLAWRLFLPEYEFDLDHPAANPLVPGRGPPLKCMPPTLTVVAEHDWMRDRAIAYSEELRKVNLDSPVLEYKDSVHEFATLDMLLTSPQAQACADDIAIWVKKYISQRGHEFSY >DRNTG_34634.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:169858:173563:1 gene:DRNTG_34634 transcript:DRNTG_34634.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVAVKLYSVFFKLLLKRRLHSLLHSPSSSLFGLTSRPDDSSTPASPSFGSDSVATKDIHIDPLTSLSIRIFLPDPSSTAVPHHQDLSHLRRTSYSGAHLAAASPSIDQPRRSSYGSPTDPCAYSGYLPTDDAWRRPGSRKLPVMLQFHGGAFVSGSNTSPANDLFCRRIARLCDVIVIAVGYRLAPESRYPAAFEDGLKVLNWLAKQANLAECSKSMGNLRSGDARRADGQRYIVDTFGASTVEPWLAAHGDPSRCVLLGVSCGANIADYVARKAVEAKNLLDPVKVVAQVLMYPFFIGSVPTHSEIKLTNSYFYDKSMCLLAWRLFLPEYEFDLDHPAANPLVPGRGPPLKCMPPTLTVVAEHDWMRDRAIAYSEELRKVNLDSPVLEYKDSVHEFATLDMLLTSPQAQACADDIAIWVKKYISQRGHEFSY >DRNTG_34634.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:169858:173438:1 gene:DRNTG_34634 transcript:DRNTG_34634.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVAVKLYSVFFKLLLKRRLHSLLHSPSSSLFGLTSRPDDSSTPASPSFGSDSVATKDIHIDPLTSLSIRIFLPDPSSTAVPHHQDLSHLRRTSYSGAHLAAASPSIDQPRRSSYGSPTDPCAYSGYLPTDDAWRRPGSRKLPVMLQFHGGAFVSGSNTSPANDLFCRRIARLCDVIVIAVGYRLAPESRYPAAFEDGLKVLNWLAKQANLAECSKSMGNLRSGDARRADGQRYIVDTFGASTVEPWLAAHGDPSRCVLLGVSCGANIADYVARKAVEAKNLLDPVKVVAQVLMYPFFIGSVPTHSEIKLTNSYFYDKSMCLLAWRLFLPEYEFDLDHPAANPLVPGRGPPLKCMPPTLTVVAEHDWMRDRAIAYSEELRKVNLDSPVLEYKDSVHEFATLDMLLTSPQAQACADDIAIWVKKYISQRGHEFSY >DRNTG_34634.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:172673:173438:1 gene:DRNTG_34634 transcript:DRNTG_34634.7 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFFIGSVPTHSEIKLTNSYFYDKSMCLLAWRLFLPEYEFDLDHPAANPLVPGRGPPLKCMPPTLTVVAEHDWMRDRAIAYSEELRKVNLDSPVLEYKDSVHEFATLDMLLTSPQAQACADDIAIWVKKYISQRGHEFSY >DRNTG_34634.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:172673:173390:1 gene:DRNTG_34634 transcript:DRNTG_34634.8 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFFIGSVPTHSEIKLTNSYFYDKSMCLLAWRLFLPEYEFDLDHPAANPLVPGRGPPLKCMPPTLTVVAEHDWMRDRAIAYSEELRKVNLDSPVLEYKDSVHEFATLDMLLTSPQAQACADDIAIWVKKYISQRGHEFSY >DRNTG_34634.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:169702:173390:1 gene:DRNTG_34634 transcript:DRNTG_34634.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVAVKLYSVFFKLLLKRRLHSLLHSPSSSLFGLTSRPDDSSTPASPSFGSDSVATKDIHIDPLTSLSIRIFLPDPSSTAVPHHQDLSHLRRTSYSGAHLAAASPSIDQPRRSSYGSPTDPCAYSGYLPTDDAWRRPGSRKLPVMLQFHGGAFVSGSNTSPANDLFCRRIARLCDVIVIAVGYRLAPESRYPAAFEDGLKVLNWLAKQANLAECSKSMGNLRSGDARRADGQRYIVDTFGASTVEPWLAAHGDPSRCVLLGVSCGANIADYVARKAVEAKNLLDPVKVVAQVLMYPFFIGSVPTHSEIKLTNSYFYDKSMCLLAWRLFLPEYEFDLDHPAANPLVPGRGPPLKCMPPTLTVVAEHDWMRDRAIAYSEELRKVNLDSPVLEYKDSVHEFATLDMLLTSPQAQACADDIAIWVKKYISQRGHEFSY >DRNTG_34634.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:169702:173438:1 gene:DRNTG_34634 transcript:DRNTG_34634.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVAVKLYSVFFKLLLKRRLHSLLHSPSSSLFGLTSRPDDSSTPASPSFGSDSVATKDIHIDPLTSLSIRIFLPDPSSTAVPHHQDLSHLRRTSYSGAHLAAASPSIDQPRRSSYGSPTDPCAYSGYLPTDDAWRRPGSRKLPVMLQFHGGAFVSGSNTSPANDLFCRRIARLCDVIVIAVGYRLAPESRYPAAFEDGLKVLNWLAKQANLAECSKSMGNLRSGDARRADGQRYIVDTFGASTVEPWLAAHGDPSRCVLLGVSCGANIADYVARKAVEAKNLLDPVKVVAQVLMYPFFIGSVPTHSEIKLTNSYFYDKSMCLLAWRLFLPEYEFDLDHPAANPLVPGRGPPLKCMPPTLTVVAEHDWMRDRAIAYSEELRKVNLDSPVLEYKDSVHEFATLDMLLTSPQAQACADDIAIWVKKYISQRGHEFSY >DRNTG_09255.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:460953:461415:-1 gene:DRNTG_09255 transcript:DRNTG_09255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQYGMDHVGRKIDDVGKTHTMAPLPQLAHEPNGSLKLVNGHSARSPLVFSEHLLTEEIVIAVDVDEVLGSFLPAVNKFIADRYSLNHSLADYFVYEFYKVSHCNVFKHR >DRNTG_26284.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22943323:22947540:-1 gene:DRNTG_26284 transcript:DRNTG_26284.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCPACHGRGLIAHQDGSDTTCTKCNGKGLLPCATCGSRGLIKCETCMGRGSILTRSIALVNWRTLSNRKVSATTAAASVPDEVFHKARGVQLYNTQSYQCTPAYFADSYFLNQFSSEVIADRSPVPPSARIICERHIISVVPVTRVTMVHRKQSFSFYIIGISNEIYIKDYPSKFCWGLCCCLEWLKM >DRNTG_26284.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22943323:22947540:-1 gene:DRNTG_26284 transcript:DRNTG_26284.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQALLSEEEVEQKGEEKWRVYQSLGRSASVHVPTSLSGRGEEVSVDEIRSASIHSHPYYPPSLHGALVSSPEPDAPPYAYDQAIVHQGGFGQYPSGNMSREFGRKILDEVEIRQLLIDHVGHRCCWGSRPARRWKIAAVEDCNVYVGTLETFMEEREIVKEREPYLKGEIDEKDKGPELGVWELDLRSEFPLLFVSHKETKVKVPHSESIQKCTECEGRGEIACHTCNANQEPGFYKEKQMSRCPACHGRGLIAHQDGSDTTCTKCNGKGLLPCATCGSRGLIKCETCMGRGSILTRSIALVNWRTLSNRKVSATTAAASVPDEVFHKARGVQLYNTQSYQCTPAYFADSYFLNQFSSEVIADRSPVPPSARIICERHIISVVPVTRVTMVHRKQSFSFYIIGISNEIYIKDYPSKFCWGLCCCLEWLKM >DRNTG_26284.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22943323:22947009:-1 gene:DRNTG_26284 transcript:DRNTG_26284.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCPACHGRGLIAHQDGSDTTCTKCNGKGLLPCATCGSRGLIKCETCMGRGSILTRSIALVNWRTLSNRKVSATTAAASVPDEVFHKARGVQLYNTQSYQCTPAYFADSYFLNQFSSEVIADRSPVPPSARIICERHIISVVPVTRVTMVHRKQSFSFYIIGISNEIYIKDYPSKFCWGLCCCLEWLKM >DRNTG_31354.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2973876:2976875:-1 gene:DRNTG_31354 transcript:DRNTG_31354.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 1 [Source:Projected from Arabidopsis thaliana (AT1G62830) UniProtKB/Swiss-Prot;Acc:Q8VXV7] MEIEDASQSTPPPDPSHSTPPSDPSQSTPPAENLESPPARKRRRRRKQFPEMVPSLSVRSLRPQSTSRSGTAPLYNDALIDELLQLQPRPPRRRSNAGFDGSLSDLDVEALVALSVGFASDSLSEDEILSSVVPTLSGPIQSNYIIVRNHILSRWRSTVSSRLSESHAMESIRSEHKNLVSSAFSFLSSHGFINFGLAPAFRLPAVPFPPRANVVVIGAGIAGLAAARQLITFGFKVAILEARSRPGGRVHTRKMCSDDGSIVAAADLGGSVLTGINGNPLGVLARQLGFPLHKVRDVCPLYLPDGRPVDTATDSRVETAFNQLLDKACKLRESILEEFQSVDVSLGTALEAFRKAHGVAETPEERMLLNWHLANLEYANAALLSDLSMAYWDQDDPYEMGGDHCFIPGGNCRFVRALAEDIPIFYNQTVSRIHYGCDGVLVYTNDQAFHADMALCTVPLGVLKKGSIVFDPELPPPKQDAIKRLGFGLLNKVAMLFPHDFWGGGIDTFGHLTEDPRQRGEFFLFYSYTSVSGGPLLVALVAGESAIKFENSSPVEAVERVLGVLRGIFTPKGIEVPAPIQVVCTRWGSDKFTYGSYSYVAIGSSGDDYDVLAEPVGDRVFFAGEATNRKYPATMHGALLSGFREAANISRAAKRSSILSTTDRVDCREEQLDLDDLYHNPDLSFGSFSVLYDPCSSGSDSISLLRVGIGERKTGSGLLYLYALISKRHVMELHDIDGDERRLSLLYRNFGTKLVGRDGLGSLGDSLLGRIKAARLTTPNTGIMKGSCQI >DRNTG_31354.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2973722:2976875:-1 gene:DRNTG_31354 transcript:DRNTG_31354.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 1 [Source:Projected from Arabidopsis thaliana (AT1G62830) UniProtKB/Swiss-Prot;Acc:Q8VXV7] MEIEDASQSTPPPDPSHSTPPSDPSQSTPPAENLESPPARKRRRRRKQFPEMVPSLSVRSLRPQSTSRSGTAPLYNDALIDELLQLQPRPPRRRSNAGFDGSLSDLDVEALVALSVGFASDSLSEDEILSSVVPTLSGPIQSNYIIVRNHILSRWRSTVSSRLSESHAMESIRSEHKNLVSSAFSFLSSHGFINFGLAPAFRLPAVPFPPRANVVVIGAGIAGLAAARQLITFGFKVAILEARSRPGGRVHTRKMCSDDGSIVAAADLGGSVLTGINGNPLGVLARQLGFPLHKVRDVCPLYLPDGRPVDTATDSRVETAFNQLLDKACKLRESILEEFQSVDVSLGTALEAFRKAHGVAETPEERMLLNWHLANLEYANAALLSDLSMAYWDQDDPYEMGGDHCFIPGGNCRFVRALAEDIPIFYNQTVSRIHYGCDGVLVYTNDQAFHADMALCTVPLGVLKKGSIVFDPELPPPKQDAIKRLGFGLLNKVAMLFPHDFWGGGIDTFGHLTEDPRQRGEFFLFYSYTSVSGGPLLVALVAGESAIKFENSSPVEAVERVLGVLRGIFTPKGIEVPAPIQVVCTRWGSDKFTYGSYSYVAIGSSGDDYDVLAEPVGDRVFFAGEATNRKYPATMHGALLSGFREAANISRAAKRSSILSTTDRVDCREEQLDLDDLYHNPDLSFGSFSVLYDPCSSGSDSISLLRVGIGERKTGSGLLYLYALISKRHVMELHDIDGDERRLSLLYRNFGTKLVGRDGLGSLGDSLLGRIKAARLTTPNTGIMKGSCQI >DRNTG_12736.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25705305:25710720:-1 gene:DRNTG_12736 transcript:DRNTG_12736.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLASSGKVKKVFSHCLDTTNGGGIFAIGRVVEPKVKTTPLVPDQPHYNVNLKAIEVGGSFLTLPTDLFETGEKKGTIIDSGTTLAYLPETAYKLLMSSIFSYQPDLNFHTVQDFLCFRFYKNVDDGFPDVIFHFENSLLLTVHPHDYLFEDQDSVWCVGWQNSGVQSRDGRDIALLGDLVLSNKLVIYDLENQNIGWTDYNCSSSIKIRDDKTGAVYSVNSHNISASSRLDNGLLTILLLVTALLCRFLY >DRNTG_12736.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25705305:25711520:-1 gene:DRNTG_12736 transcript:DRNTG_12736.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRILGGCFVFLLVLVVFESGAIGVSGNGIFKVQHKFFGRRMVEELRAHDARRHGRLLSAVDLPMGGVGLPTSTGLYFAQIALGSPPKNYYVQVDTGSDILWVNCVTCQRCPKKSDIQGVELALFDPKGSSTAKYVSCDQNFCSSLYGGDIPGCTPNLPCQYSVSYGDGSTSSGFYVTDVVQYNQVTGDHQTELANGSVTFGCGAQQSADLGSSGEALDGILGFGTVKFIYVISTSFLWESEEGIFSLLGHYKWRWNFRHWACG >DRNTG_12736.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25705305:25711520:-1 gene:DRNTG_12736 transcript:DRNTG_12736.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRILGGCFVFLLVLVVFESGAIGVSGNGIFKVQHKFFGRRMVEELRAHDARRHGRLLSAVDLPMGGVGLPTSTGLYFAQIALGSPPKNYYVQVDTGSDILWVNCVTCQRCPKKSDIQGVELALFDPKGSSTAKYVSCDQNFCSSLYGGDIPGCTPNLPCQYSVSYGDGSTSSGFYVTDVVQYNQVTGDHQTELANGSVTFGCGAQQSADLGSSGEALDGILGFGTVKFIYVISTSFLWESEEGIFSLLGHYKWRWNFRHWACG >DRNTG_33426.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001996.1:68851:69516:-1 gene:DRNTG_33426 transcript:DRNTG_33426.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALQNDLTRKEDILHRQGHHGVEREHSQNLNSCQQTRKTRFH >DRNTG_23946.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12593769:12595812:-1 gene:DRNTG_23946 transcript:DRNTG_23946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAGIGPGVYDIHSPRIPSTEEIADRINKMLVVLESNILWVNPDCGMKTRKYTEVKPAVANMVAAAKLLRKELASA >DRNTG_05816.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19025163:19029119:1 gene:DRNTG_05816 transcript:DRNTG_05816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHGFHARSNSMPTRSHPMIATAEEDLNKLIACVMVSPKMICKSLSSLGAFYDCTEELLHLPSNQQAFSHSQEKKWVEEELDASLRLVELCGIIRDTLAATKEHGQELEMVLRRKRSMINESKHQFHIQSEKKTRKSIKNCVKALKQMDGKDSDRSTVSKMFTEAREERTSKMADQGFHVRSNSLPSRSHPVTATAEEELNELKACVMVPPKMIGKALSSLGAFYDFIEELLQMPSTQQVLSHSQEKIWVEEELEVSPRLVELCGIIRDTLAVTKEHAQELEMVLRRKRSMTNESKQQFHIQSDKKTRKSIKNCVKALKQMDGKDSDRSSTVSKMFTEAREVTISLLQSVASSLYPSSAQKTSKWSIVSKALHKKKVVDANGINFSFNSIYECVSCKDVDDLRVVKALDELTAIMKVTLPIGLTNIAIKISHVQQTMHGVKKEQMPETCLGEVVQDH >DRNTG_15142.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1785424:1788063:-1 gene:DRNTG_15142 transcript:DRNTG_15142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYNPPGNEVHIMAKELNNIFNLRWKLLEDKWIKETVTVLHKPVTKGWAESRVQDKTSGPHYICFVCYCHEKMC >DRNTG_15142.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1785424:1788063:-1 gene:DRNTG_15142 transcript:DRNTG_15142.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQYNPPGNEVHIMAKELNNIFNLRWKLLEDKWIKETVTVLHKPVTKGWAESRVQDKTSGPHYICFVCYCHEKMC >DRNTG_15142.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1785424:1788063:-1 gene:DRNTG_15142 transcript:DRNTG_15142.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYNPPGNEVHIMAKELNNIFNLRWKLLEDKWIKETVTVLHKPVTKGWAESRVQDKTSGPHYICFVCYCHEKMC >DRNTG_07578.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22101687:22109814:1 gene:DRNTG_07578 transcript:DRNTG_07578.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ETL1 [Source:Projected from Arabidopsis thaliana (AT2G02090) UniProtKB/TrEMBL;Acc:A0A178VWA6] MRRVFDEISDDEWENHDFKPSRILKKTKPSPPAIESFAYHRKPSNPSSSNGFELDDDLNDDLEASATRRRAGRRFVVDEDSDAEVQSVEEDEEEFSWTDDEDDDGKEQEDEDVEELDLVGKALQKCAKISADLKKELYGSSVPACERYSEVDAPSVGIVTQDDIDAACACEESEFEPTLKPYQLVGVNFLLLLYRKHIGGAILADEMGLGKTVQAVTYLTLLERMHNDPGPHLIVCPASLLENWERELKRWCPLFSVILFHGAGRATYSKELSYLAKTGQPPSFNVLLVCYSLFERRSEQQKDDRKVLRRWRWSCVLMDEAHVLKDKNSFRWKNLMSVAQHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGDVDLKKLLNAEDSDLIARIKSILGPFILRRLKSDVMQQLVPKIQHVRYVSMGNEQSKAYKNAINEYRAASQARIMKSSIGTSKNAVGSLPRRQISNYFMQFRKIANHPLLVRHIYSDEDVVRFAKMLYPKGVFGFECNLERVIQQIKNYNDFEIHRLLISYGDSGARDALTDEHVFSSAKCQALSELLPLLIKDGHRTLIFSQWTSMLDILEWTLEVIGVKYKRLDGGTQVLERQTIVDTFNNDPTIFACLLSTRAGGQGLNLIGADTVIIHDMDFNPQMDRQAEDRCHRIGQRKPVTVYRFVTKDTVDENIYEIARRKLVLDAAVLESGTVLDDDDDVPEKTMGEILSALLLV >DRNTG_07578.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22101687:22109677:1 gene:DRNTG_07578 transcript:DRNTG_07578.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ETL1 [Source:Projected from Arabidopsis thaliana (AT2G02090) UniProtKB/TrEMBL;Acc:A0A178VWA6] MRRVFDEISDDEWENHDFKPSRILKKTKPSPPAIESFAYHRKPSNPSSSNGFELDDDLNDDLEASATRRRAGRRFVVDEDSDAEVQSVEEDEEEFSWTDDEDDDGKEQEDEDVEELDLVGKALQKCAKISADLKKELYGSSVPACERYSEVDAPSVGIVTQDDIDAACACEESEFEPTLKPYQLVGVNFLLLLYRKHIGGAILADEMGLGKTVQAVTYLTLLERMHNDPGPHLIVCPASLLENWERELKRWCPLFSVILFHGAGRATYSKELSYLAKTGQPPSFNVLLVCYSLFERRSEQQKDDRKVLRRWRWSCVLMDEAHVLKDKNSFRWKNLMSVAQHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGDVDLKKLLNAEDSDLIARIKSILGPFILRRLKSDVMQQLVPKIQHVRYVSMGNEQSKAYKNAINEYRAASQARIMKSSIGTSKNAVGSLPRRQISNYFMQFRKIANHPLLVRHIYSDEDVVRFAKMLYPKGVFGFECNLERVIQQIKNYNDFEIHRLLISYGDSGARDALTDEHVFSSAKCQALSELLPLLIKDGHRTLIFSQWTSMLDILEWTLEVIGVKYKRLDGGTQVLERQTIVDTFNNDPTIFACLLSTRAGGQGLNLIGADTVIIHDMDFNPQMDRQAEDRCHRIGQRKPVTVYRFVTKDTVDENIYEIARRKLVLDAAVLESGTVLDDDDDVPEKTMGEILSALLLV >DRNTG_03917.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14972202:14979245:1 gene:DRNTG_03917 transcript:DRNTG_03917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKGRLQELCQQRQLSLPEYTTTRDGPDHCPRFRATVTVDDLSFDSLGPSMNAKEAQNRVAELAFKHLSAITPAPPLMEQIEYKIPYKSELLRLVQTKKLDPPTYDSIREGPPHALQFKAIVTVDGQKFVSPQFFGTLKEAEHSAAKIAIQSWSSGENKVEDPGFYKNLLQELAQKERFSMPKYITISDGASHIPTFSSKVEIKGETFSGESATTKKQAEMNAAKVAWCELKEGRVSGPRPSGFEIPEASFASLGSTVEIMDVQLSGSSDSKSLSDANAVIGDIAADPDIGQEDDSWNIVECNHNSTTIGSTWTVPVVIVDAETFLTDKITENNSQTKYS >DRNTG_15593.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16281893:16282565:-1 gene:DRNTG_15593 transcript:DRNTG_15593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRLMGLEVIRNLTRTQSSQQSGLCCTYVTTGVATTNFRSGLFISGLSPPDVSEWTPNWVTNLVTPNALFHKDLEEEFGFEIPDNEADKINSIKLAVDFIASHVQSK >DRNTG_04703.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000223.1:70834:72947:-1 gene:DRNTG_04703 transcript:DRNTG_04703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKVEIIETHIVPPNKETPKHKLWLSNLDKFAPRDHAPTIYIYKPNSDNPDSNFFSVETLKNSLSKALVIFYPFAGRLVLNKDGRPEEVDCNAEGALLSVAQAHCTLDSFGDFRPSSTVRELLVPSVNEPDRSPILMLFQVTFFKCGGVCLGCTMQHNVMDGVGALHFINSWSEIARCVAEENVVKPFLDRSALKARTPPSVVCEHEDYTSDLYCKRPSLGGLEKCETAILKITKEQLSALKVGTKGLSTFKAVSVHLWRTVCKVRGLSKGEDVRLYMAADARDRLEPPLPKGYSGNAILSFSTSLPVEDLLSNSFRAGVAKIEESMLRLNDEYIRSLVDFLEVNKDNKDMVLGSRAMGPGDFLCISWLALPIYDADFGWGKPCFMCRASMRFASQSYVMRSPGNTGGVHIAFCFEPENMARFKEIFYKDLVVEESLDS >DRNTG_12121.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000538.1:4838:9800:-1 gene:DRNTG_12121 transcript:DRNTG_12121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSFLRKNVGRGASVEAYPIPDEGILGRRVEDTPKDHRHDHRGEEVTFTPGILKKVLKKMKKTRRRHRKPSKTVGDVNEVGSECVNMFRIFLQSLQGRAAAPVEDHATGARPWVISAWVCEFLQSWADFPENTQGRGLAPVGDLVNHAQAWVISVHPCDTLQVAPSIPRKHRGVRLPLEATGACVWPCGYGARVWIFSARPWEIVLSQTSKVASSVSKRMISLPLQDSFSLENTLTILSESIAPVWWDFSIVFRPALHFLTSLRR >DRNTG_28254.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2732093:2738897:1 gene:DRNTG_28254 transcript:DRNTG_28254.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Z-box binding factor 2 protein [Source:Projected from Arabidopsis thaliana (AT4G36730) UniProtKB/TrEMBL;Acc:Q5K1L6] MASLVRRHPPPPLLPLSLPLLRHRGRKHRRRQFIQIGGPPSRRSTGLELDRRRHSFPASVAGSPSPHPYVWGGQHLIPAYGTPIPFPAMYPHGGMYVHPAGAVYPPGEVEGRPPDLKDQGSVKKKARVGSAAESLQGKSGDGGRATSGSADDDATQSEYEGSSDGKDESSEPQDFAARKNSEGPTAAQCNTAESSYSGKGHPASKLPVLAPGRAVLPCPTTNLSIGMDMWNSTHAEAALIKSRPGMASSPMVGRKTVVPEQQWLQDERELKRQKRKQSNRESARRSRQRKQQECEDLARRVDQLNNENNALRSEIEQLNKDSKELELENASIMEELKRMYGPNVLSEFESGNGDRSSKIPADGESNGHTHR >DRNTG_28254.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2732093:2738897:1 gene:DRNTG_28254 transcript:DRNTG_28254.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Z-box binding factor 2 protein [Source:Projected from Arabidopsis thaliana (AT4G36730) UniProtKB/TrEMBL;Acc:Q5K1L6] MASLVRRHPPPPLLPLSLPLLRHRGRKHRRRQFIQIGGPPSRRSTGLELDRRRHSFPASVAGSPSPHPYVWGGQHLIPAYGTPIPFPAMYPHGGMYVHPAGAVYPPGEVEGRPPDLKDQGSVKKKARVGSAAESLQGKSGDGGRATSGSADDDATQSEYEGSSDGKDESSEPQDFAARKNSEGPTAAQCNTAESSYSGKGHPASKLPVLAPGRAVLPCPTTNLSIGMDMWNSTHAEAALIKSRPGMASSPMVGRKTVVPEQQWVQDERELKRQKRKQSNRESARRSRQRKQQECEDLARRVDQLNNENNALRSEIEQLNKDSKELELENASIMEELKRMYGPNVLSEFESGNGDRSSKIPADGESNGHTHR >DRNTG_28254.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2733829:2738897:1 gene:DRNTG_28254 transcript:DRNTG_28254.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Z-box binding factor 2 protein [Source:Projected from Arabidopsis thaliana (AT4G36730) UniProtKB/TrEMBL;Acc:Q5K1L6] MEELKRMYGPNVLSEFESGNGDRSSKIPADGESNGHTHR >DRNTG_28254.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2732093:2733783:1 gene:DRNTG_28254 transcript:DRNTG_28254.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Z-box binding factor 2 protein [Source:Projected from Arabidopsis thaliana (AT4G36730) UniProtKB/TrEMBL;Acc:Q5K1L6] MASLVRRHPPPPLLPLSLPLLRHRGRKHRRRQFIQIGGPPSRRSTGLELDRRRHSFPASVAGSPSPHPYVWGGQHLIPAYGTPIPFPAMYPHGGMYVHPAGAVYPPGEVEGRPPDLKDQGSVKKKARVGSAAESLQGKSGDGGRATSGSADDDATQSEYEGSSDGKDESSEPQDFAARKNSEGPTAAQCNTAESSYSGKGHPASKLPVLAPGRAVLPCPTTNLSIGMDMWNSTHAEAALIKSRPGMASSPMVGRKTVVPEQQWDAGNIIFL >DRNTG_28254.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2732093:2738897:1 gene:DRNTG_28254 transcript:DRNTG_28254.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Z-box binding factor 2 protein [Source:Projected from Arabidopsis thaliana (AT4G36730) UniProtKB/TrEMBL;Acc:Q5K1L6] MQGAVYPPGEVEGRPPDLKDQGSVKKKARVGSAAESLQGKSGDGGRATSGSADDDATQSEYEGSSDGKDESSEPQDFAARKNSEGPTAAQCNTAESSYSGKGHPASKLPVLAPGRAVLPCPTTNLSIGMDMWNSTHAEAALIKSRPGMASSPMVGRKTVVPEQQWLQDERELKRQKRKQSNRESARRSRQRKQQECEDLARRVDQLNNENNALRSEIEQLNKDSKELELENASIMEELKRMYGPNVLSEFESGNGDRSSKIPADGESNGHTHR >DRNTG_21290.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27344913:27351705:1 gene:DRNTG_21290 transcript:DRNTG_21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSRRRQSPSSTVILAASIGSCSRGFTDDFWSMKSPDADCASTSRRGRGRRMEENEILPRAST >DRNTG_18501.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1882452:1883224:1 gene:DRNTG_18501 transcript:DRNTG_18501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLAVELVASHPSPAPSATLIPLDLQAFSLICFQLASEGRYPTIPISAQSPSSYFPAFPSLVRGPYTRPHNP >DRNTG_13490.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21793928:21797288:-1 gene:DRNTG_13490 transcript:DRNTG_13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTARRKIQKDKGLEPSEFEDSVAQALFDLENSNQELKSDLKDLFINSAAQFDISGNRKAVVIHVPFRLRKAYRKIHVRLVRELEKKFSGKDVILIASRRILKPPKKGSAAVRPRNRTLTAVHEAILEDVVYPAEIVGKRIRYRLDGSKIMKIFLDPKERNNTEYKLETFAGVYRKLSGKDVVFEYPMTETA >DRNTG_21636.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:80490:81154:1 gene:DRNTG_21636 transcript:DRNTG_21636.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPSFQAAFKSSYLLTSSFWRISALVKGSIGDPATNRPLDR >DRNTG_20158.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001096.1:6933:7321:-1 gene:DRNTG_20158 transcript:DRNTG_20158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKLVEKSMEMQTHTNDLEHAARSWRSILSPTSLHQEEEE >DRNTG_04638.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19110309:19111531:1 gene:DRNTG_04638 transcript:DRNTG_04638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARIRHQKCSKAVGDMREPNKLDEPLLGGPKPDNSPSTFKRLCSSCLQAMAIETRVRKNEVKRLRNIRLDLRDYQHSVLVGLAF >DRNTG_05911.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4974398:4975996:-1 gene:DRNTG_05911 transcript:DRNTG_05911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQENNVFNNNNTSNSSSTTNNNNKNKKKNPLDPEEFRNHGHKLIDFIADYYSNITNYPVRSQAQPGELRRLLPVQAPISPEPIDSILHDINSFILPGLTHWLSPFHFAYFPSSASTAAFLGDLLCSGLNVIPFTWLSSPSATELESIVMDWLAKALALPSSFLFSSGTGGGVLQGTTCEAILCTMLAAREKTLILTGHHRAFDLVVYSSDQAHSSFQKAAKIAGILPSNFRSLSTSSSTFFSFSPSLLRQTISEDLSSGLIPFFICATVGTTSSTAVDPIKELSLIAAEFNLWLHVDSAYAGSAAICPEFRHYFDGVDGASSLSLNAHKWLLTNLDCCCLWVKDQAALTSALSTDPEFLKNDASDSKDKNVVDYKDWQVALSRRFRAMKLWFVLRSHGISGIQSVIRGHVEMAMKFEGMVADDRRFEVVVPRTFAMVCFRLLAPEGWPEEVNELNRRLLEGLNKSGRVCMSHAVVGGVYVIRFAIGATLTEQHHVDLAWRMVRRHADDLLGNVAGEEEEEELKENGYYNCG >DRNTG_35086.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16897210:16902406:1 gene:DRNTG_35086 transcript:DRNTG_35086.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLRRPFFSSYKGSSFASSSLCAVDDRRDGGGAVAEEDDDRWSTMLPELMEEIIKRVESSEERWPLRRSVVACACVCRHWREVTTGVVRPPLESGTITFPSSLKEPGPRGHPMQCFIKRNKKTSTYYLYLSLTQNKGKFLLAAKRFRCGAHTEYIISLDADDLSQGSNAYVGKLRSNFLGTKFTIYDSRPPYSGAKALSCRTSRRFPSKQISPQVPAGNFEIGKVSYKFNLVKTRGPRRMMCTMRCPPAQTPIIDEDPKSTSSPEAVSRPVVLKNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLAAAAVDPSQSGSTGDEETVLLQFGKVGDDMFTMDYRQPLSAFQAFAICLTSFGTKLACE >DRNTG_34227.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1373870:1375364:1 gene:DRNTG_34227 transcript:DRNTG_34227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAPNTVTYNTMMNGTCNDVLDRAMILTGKLLKMIFLTNVVTINLLLSHFCKQHMYKKALIWGEKLSQLPFNFDDATWNILKQAYDNPQEDAVSSKTEHSREPVSGIFSCIAPMNIYSGISLLNINN >DRNTG_09744.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:417473:421198:-1 gene:DRNTG_09744 transcript:DRNTG_09744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGSVTTEDLLDALREVDWSSPPRPVSEFFSRFTVPRSYSKWNSRFKCNLYYYRTNYFILIVFILGMAFLRRPLAIVAALLTGLSIAFLNDSFAVTFNEKVTRTVRQVSPHLAAKLRPPITPVLRGRPSAKRSIHICGKPRWMFVLICSAASCFLWFTSCRLLTVLWAFVIGLLATFLHASFRTPNLKARLNTFREEFRAVWRNYSEL >DRNTG_03308.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29004770:29005610:-1 gene:DRNTG_03308 transcript:DRNTG_03308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSIKPYCANDLKKRDNASVEEESQDGEKNNGFIGCSFTKVSARGHWTPSEDSKLKELVALYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINKMAFSEEEEEKLMAAHRFYGNKWALIARLFPGRTDNAVKNQWHVLMARKYREQSSANRRRMLNQNVTRKMEEEASVRTACTFSSPMDGSTQSQQNNGGNAVSSFGGISSYHILFVLSFY >DRNTG_21826.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17727876:17736089:-1 gene:DRNTG_21826 transcript:DRNTG_21826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALENEKAKYEEDYMESVKRLEEQLIANKQIISNDTIGAENHARNMENIPGEEDPPATPSDEVNEIKQLLQNEILLRQSAEDEVNNLKSQLMHWKKLEVAGNAEIIRLRKMLENEANQKEKLEEEIAVLKSQLLQMSFEADETRRSLDRGGSGKVFTGLDSFVSQAKYSQLRDSGNGQKASIAKLFEQVGLQKILSLLESEDADVRVHAVKVVANLAAEEANQEKIVEAGGLTSLMMLLRSSEDETIRRVAAGAIANLAMNETNQELIMTQGGISLLSMTAADAEDPQTLRMVAGAIANLCGNDKLQTRLRGEGGIRALLGMVRCGHPDVLAQVARGIANFAKCESRASTHGNKVGKSLLIDDGALPWIVKNANNEASPIRRHIELALCHLAQHEVNAKDMINEGALWELVRISRDCSREDIRTLAHRTLTSSPTFQTELRRLRIEY >DRNTG_21826.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17727876:17735766:-1 gene:DRNTG_21826 transcript:DRNTG_21826.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESVKRLEEQLIANKQIISNDTIGAENHARNMENIPGEEDPPATPSDEVNEIKQLLQNEILLRQSAEDEVNNLKSQLMHWKKLEVAGNAEIIRLRKMLENEANQKEKLEEEIAVLKSQLLQMSFEADETRRSLDRGGSGKVFTGLDSFVSQAKYSQLRDSGNGQKASIAKLFEQVGLQKILSLLESEDADVRVHAVKVVANLAAEEANQEKIVEAGGLTSLMMLLRSSEDETIRRVAAGAIANLAMNETNQELIMTQGGISLLSMTAADAEDPQTLRMVAGAIANLCGNDKLQTRLRGEGGIRALLGMVRCGHPDVLAQVARGIANFAKCESRASTHGNKVGKSLLIDDGALPWIVKNANNEASPIRRHIELALCHLAQHEVNAKDMINEGALWELVRISRDCSREDIRTLAHRTLTSSPTFQTELRRLRIEY >DRNTG_21826.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17727876:17736089:-1 gene:DRNTG_21826 transcript:DRNTG_21826.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVKRLEEQLIANKQIISNDTIGAENHARNMENIPGEEDPPATPSDEVNEIKQLLQNEILLRQSAEDEVNNLKSQLMHWKKLEVAGNAEIIRLRKMLENEANQKEKLEEEIAVLKSQLLQMSFEADETRRSLDRGGSGKVFTGLDSFVSQAKYSQLRDSGNGQKASIAKLFEQVGLQKILSLLESEDADVRVHAVKVVANLAAEEANQEKIVEAGGLTSLMMLLRSSEDETIRRVAAGAIANLAMNETNQELIMTQGGISLLSMTAADAEDPQTLRMVAGAIANLCGNDKLQTRLRGEGGIRALLGMVRCGHPDVLAQVARGIANFAKCESRASTHGNKVGKSLLIDDGALPWIVKNANNEASPIRRHIELALCHLAQHEVNAKDMINEGALWELVRISRDCSREDIRTLAHRTLTSSPTFQTELRRLRIEY >DRNTG_28758.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24192902:24198630:-1 gene:DRNTG_28758 transcript:DRNTG_28758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSGSSSQTPVPFFNGESYSLWSLKMETILVSKDLWGLVEKGYDEDETNQHRLNENIKRNAKALALIQQSLDEKILIRISQTRNAKKAWDILKKEYQGCAKSSEANLHAHQQEFETARMRTGETIHDYVSRILTVVFHIRALGEELSDQAVVRKLLRSLSPKFSHVVSSIIEAKDISKLTVEELSSSLKGHEGRLDLATETTETKAFHVRAESALPFNHQTPGRGRGRTGYRGRSRGRGRSRGQDARNSNEQASGFKINPETKPNKAHIQCYNCKKYGHYKSQCWYNKEKENAANVVESGESSHSPGQQSQLFMAAGEAVGREENVWLVDSGCSNHMTCDQSWFKDLDESVCQTVCLGDDRELNVHGVGTVVLHVSSGNVRTLRDVQFVPLLAHNLLSVAHLIRTGYDVNFSLDECTIRESNTKNLIARVKMTSNGLFPLTVNEVGEAQVVQNKGNVSMLWHRRYGHLNSQSLQQLSKQEYVLGLPSISHTDACEACAFGKQTRLPFSNKGARRALSPLQLIHADLCGPMQMLTPGGSCYFFLLTDDCTRFSWVYFLQSKGQALGQFKIFKQLVENQYNMKIKILRTDRGGEFLSKDFITYCEDNGIQRQLTTPNTPELNGVAERKNRTVVEMARCLLHQMNVPMFLWAEAVSTAVYLLNRAPTRALAEKTPYEALTGNKPLVSHLRIFGCVVFVLTNPQQRRKLDLKSEKLVFIGYSEESAGYRVYNPYTGKVSISRDVLFHEEKPWIWTDNSEKSSDSQERAVVELAPTQVSEAHVWESVEDEAGAIRQATKYKTLAELYNTCNFALTTADPYLYEDAARQSEWKLAMDQEMESIQKNGTWSLTQLPVGKHAVGLKWIYKSKYKPDGSLLKRKARLVAKGYLQKVGIDLEDVFSPVVRMETVRVLFAVAAQMEWPLLQLDVKSAFLNGELTEEVYVSQPEGYVITGKEEQVYKLHKALYGLRQAPRAWYSKIDQHFLALGFQRSTCEPTLYTKKTGANILMLCLYVDDILYTSSCPLMLSEFKEEMMSSFEMSDMGLLKYFLGLEVIQGHGSIHVCQQRYASELLKKFGMLHCKSLSTPINTAEKLQLEDGSGKADEKVFRQMVGGLLYLTHTRPDLAYSISLVSRYLHSPTKHHVGAVKRILHHVAGTIDYGLRYEHVKDFSLKGYVDSDWAGSLDDRKSTTGWVFSLGSGAVAWSSKKQEIIALSSTEAEYVAITSAACQGVWMRRLLNDLGFTQTLPTILHCDNKSSISIAKNPAQHGRTKHIEIRYHFIRNLISDNVIQLVHCSSEEQSADILTKGLSVGKHEFFRSKLGMERALNKGEMLAVVQKNEYTNQDLST >DRNTG_18948.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11722431:11728543:-1 gene:DRNTG_18948 transcript:DRNTG_18948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKFGFEGFGINRPSMYYSERSQASQRLYAPSHDLSNDQDLDDIAYDNPGQPHSAPDGDGEIDPLDAFMEGIHEEIRPPSPPLFNLRKRAGYNSDEEVYAAAKAVDAGLVEYDLDDNPIVVDKRKIEPILALDHSAIEYEPFNKNFYEEKPSISGIMLVRFRERMDLDIYGTLSGLDGGNCNNIVQIRLIEALFLSSLALAMADIVVAYWTNCRERRKLLLVYVIDIEGHSEEWFDQMENYLPQAINEEAPEIIEGLWEKGRMFTEFVIANELNFATTYYVRKELHSFEKRSTLRTQQSIPFMPPEVMSKLEFLEKAYEEQKTGESASHAPLTSEDIEQPQPVDDIATK >DRNTG_20355.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2661720:2662416:1 gene:DRNTG_20355 transcript:DRNTG_20355.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLTFHQRYKNSTSPATAHSSGCTSEERVVVVGDSLAVLPKSAEKQHLQIT >DRNTG_10061.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4587963:4591384:-1 gene:DRNTG_10061 transcript:DRNTG_10061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLELSRHHLNKDKANWVAYGHLTANLTSVSQFQRTCWHAYAHKRVSEDLMGKDYAQDLIDMTYGNKCSRKASNRLLQLLYGHVLTPFMVVLLCMVEQEEKDGDDADVFKSE >DRNTG_06792.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18981995:18982612:-1 gene:DRNTG_06792 transcript:DRNTG_06792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECVATSSHSSEYIHLEGHKGSHTRAFRLMHTRTGAPQTYISLKKQVIHDVNPAEKPEKQRIHTGVWKLSTTVWKFRTGACIIHARGVARFQHYLKAIQPRFWYSFLHLFPNLREGFG >DRNTG_31035.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30529995:30532513:-1 gene:DRNTG_31035 transcript:DRNTG_31035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGINHRRLEVNGIAMHIAEKGEGGPVVLMLHGFPELWYSWRHQIIGLAAKGYHAVAPDLRGYGDTDTPSAISSYSIFHLVGDIVALINVLGQEQVFVVGHDWGALVAWHLCLFRPDKVKALVNLSVAYRPRNPTATLVDYFRALYGEEYYVCRFQEPGAVEAQFASANTRQLCKYFLKFCDPGGLFIPKEGLVFPNDESSLPAWLSEEDLNYLTNKFEKTGFTGGLNYYRCLSSNWELLAPWTGAQIKVPTKYIVGDLDLTYNYPDIQDYIHKGGFKQAVPFLEDVVVMAGVAHFINQEKAHEVTEHILDFIKQF >DRNTG_26901.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3316494:3322701:-1 gene:DRNTG_26901 transcript:DRNTG_26901.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVYRGGVDSEGRPVMAIDGAHFLLRCMDLERFILYVVKKFEPLIQKPYTIVYLHSAASLQVQPNLGWMKRLEQILGRKHQRNLHAIYVLHPTLGLKAAIFAL >DRNTG_26901.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3316494:3322114:-1 gene:DRNTG_26901 transcript:DRNTG_26901.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVYRGGVDSEGRPVMAIDGAHFLLRCMDLERFILYVVKKFEPLIQKPYTIVYLHSAASLQVQPNLGWMKRLEQILGRKHQRNLHAIYVLHPTLGLKAAIFAL >DRNTG_26901.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3316494:3322701:-1 gene:DRNTG_26901 transcript:DRNTG_26901.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVYRGGVDSEGRPVMAIDGAHFLLRCMDLERFILYVVKKFEPLIQKPYTIVYLHSAASLQVQPNLGWMKRLEQILGRKHQRNLHAIYVLHPTLGLKAAIFAL >DRNTG_26901.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3316494:3317485:-1 gene:DRNTG_26901 transcript:DRNTG_26901.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLEQILGRKHQRNLHAIYVLHPTLGLKAAIFAL >DRNTG_26901.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3316494:3322701:-1 gene:DRNTG_26901 transcript:DRNTG_26901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVYRGGVDSEGRPVMAIDGAHFLLRCMDLERFILYVVKKFEPLIQKPYTIVYLHSAASLQVQPNLGWMKRLEQILGRKHQRNLHAIYVLHPTLGLKAAIFAL >DRNTG_33539.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8229212:8232244:1 gene:DRNTG_33539 transcript:DRNTG_33539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRFFKQDHGDKSATDSDSSSSSDPSPKPSQEEEVDGDDDPIGRAENEEVDKPPSPSPGYETEDGSANDSEGDSSGLLSNDKEESSARSGNLKDNHLVIDVHAVEKDDKLLKVGDKPCDPNDPIQAELANCILKLKSVFKCRLCPRIILLNENTVRTHLSSKRHARSKKLLGEGRLKLMLNSDGEIEEEQETHSERHARTLALAQELDAQPRKDKTHQRKRQRRKKDNGSRHPLKKRGKKRSRNCD >DRNTG_15452.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1533127:1534134:-1 gene:DRNTG_15452 transcript:DRNTG_15452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEIIEEEVSTPVLPLMSLNHVSFLCSSVSRSVKFYEEVLGFVSIKRPSSFKFHGAWLFNYGVGIHLLQCNSPEDIPKKKGVINPKDNHISFQCSDMHYLKRKLEEMGIQYVTAIVEEGGILVDQIFFHDPDGYMVEICNCENIPILPLSSCPLKFPYNKDSFFSSVYGKCRDEVETVIMEALVTDMMDISF >DRNTG_20469.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001119.1:32370:35787:-1 gene:DRNTG_20469 transcript:DRNTG_20469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPFMAVASLSSRFAALSRKIVQPPLLSAARFFTAPLPGDAEPLPDDQPTSQQRLPFQRPLENGLDPGVYKAVMVGKVGQKPVQKHLKSGRSVVLFSLGTGGIRNNRRPLDNEQPREYAERCAVQWHRVCVYPERLGDLALKHVNPGSPRLSRC >DRNTG_20469.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001119.1:33882:35787:-1 gene:DRNTG_20469 transcript:DRNTG_20469.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPFMAVASLSSRFAALSRKIVQPPLLSAARFFTAPLPGDAEPLPDDQPTSQQRLPFQRPLENGLDPGVYKAVMVGKVGQKPVQKHLKSGRSVVLFSLGTGGIRNNRRPLDNEQPREYAERCAVQWHRVCVYPERLGDLALKHVNPGSVLYLEGNLETKVFSDPTTGLVRRIREIAIRRDGNSFPSIVL >DRNTG_13406.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5860158:5860711:1 gene:DRNTG_13406 transcript:DRNTG_13406.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVRGLGLGPTPKTYYGSSISRVSTCTSKETRQGDGQVNQDLVQRVQQLEHERAQERAHYSALVSFIQDQFPGKEIPLPNIGASTSQSQNQSVGAITLETNARGSC >DRNTG_34135.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21826663:21827020:-1 gene:DRNTG_34135 transcript:DRNTG_34135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQPSGHGRHLLRQRLGSASEMRGGTWRRSNERSERDEETSDLGFV >DRNTG_29957.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10577742:10584252:1 gene:DRNTG_29957 transcript:DRNTG_29957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAFLARYFPPGKFVKLRNEISSFVQVELESLSETWDRFKDLLRKCPQQGSKWSDMDGMIDGCAGEKFQHGEGALKEKDNELKLIIPTVSNGKRVVWFYSEEVVRKENIWLESGYYTGVDASRARKLVELLFFVHKSECSSVTAFVNLQMDVPNLNTWRKFVQLQQDCWLGKVEKLQLKLKDKLIYEEIDKKTVNQAYAGSSMNGDGQGIVGEKWKEIVKGKLFQLRDSRETIIAS >DRNTG_32674.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1173700:1179098:1 gene:DRNTG_32674 transcript:DRNTG_32674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLCCFRVQEAPEQRESPVRRRICSPLNSFVQPFRHGYISLFQRGDVRVVPLPAGRASPSAFTSRDADYSAIDSFHPPPRPLAFDDPRFSAHHDDVSLSQRDKSSSQSHEDTRSLRGCCNEPCSETGREVKTDGSKCGVKLYCSDLPQKRSPEKKISGSAYYFCPTEDEDVCPTCLEEYTLENPKITLQCSHDYHLSCIYEWMERSEACPVCGKIMMFVET >DRNTG_33226.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19396508:19399365:-1 gene:DRNTG_33226 transcript:DRNTG_33226.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patellin-4 [Source:Projected from Arabidopsis thaliana (AT1G30690) UniProtKB/Swiss-Prot;Acc:Q94C59] MTAETTPMAEEQSLNVQQEAAMVEEEKSKVVVVAVDNDDDVPADETETEMKREEEKEASIVDKNGSFREESNCFSDLKENEKKALAELREKIENGILGKEEEEERDVALWGVPLLPSKGSESTDVILLKFLRAREFKVKDAYEMFEKTLQWRKEAKIDSVIGEEELGAGLSAACYMDGVDREGHPVCYNVYGVFADEALYQKAFGTEEKRGEFLRWRVQLMEKGIQKLDFKPGGVSSLLQITDLKNSPGISKKELRTAMKNAVQLLQDNYPEFVARNIVVNVPFWYYAIHSILSPFLTQRTKSKFVFARPSKVTETLLKYIPAEQIPVSYGGFKCQKDAEFSIEDTVSELIIKAGSTESIEIPTPEAGTRVVWDVTVLGWEVNYKEEFVPEDEGSYTIIVQKSKKMGPQEELVRNCFRTNEPGKVVITIENSSFKKKKVLYRFKTSNNTLSSS >DRNTG_27760.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24440267:24440779:-1 gene:DRNTG_27760 transcript:DRNTG_27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPARLKIHKDSHNIQKPSSSSSSSSSTGATNGSSISHARHHPVIIYTHSPKVIHTQARDFMALVQKLTGLSHSDDNEQTKTPPPPPRPTPILQDHDESSSSSESYIHLGCSPFTSTSVSSSIFNGNPVRPLEGPFDVPLLVPSYRCPGATAFTSMLGIEEEFPTTFF >DRNTG_11688.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:356653:357013:1 gene:DRNTG_11688 transcript:DRNTG_11688.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPLRSSQSPMLEDKTRRSTWRNMSQT >DRNTG_00106.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3121031:3121686:-1 gene:DRNTG_00106 transcript:DRNTG_00106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPIMSEIEEKRSKCWKSKRRSPIHRERTLETPNQRESSTEKQQDRSESERSKGKKEKP >DRNTG_31572.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001723.1:32898:33516:-1 gene:DRNTG_31572 transcript:DRNTG_31572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIKDGCPSLLVFVFFLSLNIVLLVHGQLLPIVSDRRENTSQIQTFIVHVLKPEGSNFLGGEGLENWHKSFLPNTTLDTGEPRLLFSYKEAISGFAARLTPEEVRDMEKMDGFLRANPSRTLHLQTTYTHDFLNLS >DRNTG_10147.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:692925:696434:-1 gene:DRNTG_10147 transcript:DRNTG_10147.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSTAMASCLRLQPLCELLLLLWPLFISASIVTHLPGFHGPLPFHLDTGYVGVDEVQLFYYFIESEGNPVEDPLILWLTGGPGCSSFCGLFFEVGPLKFRRVKYNGSLPTLKYHPSSWTKISNMIFLDSPVGSGFSFSNNPETYVDGDVTSSLRVHKFLRKWLIDHPQFLPNPLYIAGDSYAGKVVPIITDLISQGIESGAPPPLNLKGYLIGNPFTGEAIDINARVPYAHNMGIISDEIYKSTTISCEGEDYENPTNAVCAEKLQVVKKYFDEINIKHILEPKCMRASPKPKNLSKERRFLNDEHGKFIMPPDVPALNCRSYYLGYIWANNDDVRDALHVQKGTVQEWIRCNHDLQYAYDIPSSVKYHHKLTSRRYRALVYSGDHDLVIPHIGTQTWIRSLNYSIVDDWRSWFSSGQIAGYTRAYDNNLTFATIKGGGHTIQEENLRESLTMIKRWLSSKPL >DRNTG_10147.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:692925:696434:-1 gene:DRNTG_10147 transcript:DRNTG_10147.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSTAMASCLRLQPLCELLLLLWPLFISASIVTHLPGFHGPLPFHLDTGYVGVDEVQLFYYFIESEGNPVEDPLILWLTGGPGCSSFCGLFFEVGPLKFRRVKYNGSLPTLKYHPSSWTKISNMIFLDSPVGSGFSFSNNPETYVDGDVTSSLRVHKFLRKWLIDHPQFLPNPLYIAGDSYAGKVVPIITDLISQGIESGAPPPLNLKGYLIGNPFTGEAIDINARVPYAHNMGIISDEIYKSTTISCEGEDYENPTNAVCAEKLQVVKKYFDEINIKHILEPKCMRASPKPKNLSKERRFLNDEHGKFIMPPDVPALNCRSYYLGYIWANNDDVRDALHVQKGTVQEWIRCNHDLQYAYDIPSSVKYHHKLTSRRYRALVYSGDHDLVIPHIGTQTWIRSLNYSIVDDWRSWFSSGQIAGYTRAYDNNLTFATIKGGGHTIQEENLRESLTMIKRWLSSKPL >DRNTG_23810.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29598738:29602210:-1 gene:DRNTG_23810 transcript:DRNTG_23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMKKGKPLSGEVALLGEPPLPPFIGVRTRARTLALQRLQSSSPPSPSPPSSSYLQLRSRRLHKPKLASPKRGPKSSAKARPCSKSVPKEAPENDAGVEVSFGENVLDSETRNRDDRETTPCCLIKDSDSAITPGSTTRKTSSTATNKRAQSSKPGHIPTACEIEEFFSGAEQLQQQAFAEKYNYDTVKDCPLPGRYEWVKLDS >DRNTG_32639.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001884.1:37661:39874:1 gene:DRNTG_32639 transcript:DRNTG_32639.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALIPAENDRRASGGSAAKISNQWRGGDRGGYPKWS >DRNTG_32639.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001884.1:39376:39874:1 gene:DRNTG_32639 transcript:DRNTG_32639.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRISKMISTNFELSPTVHSTLHSRLSALLLPHVPVYRTLESKNPRIPENDRRASGGSAAKISNQWRGGDRGGYPKWS >DRNTG_32639.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001884.1:37313:39874:1 gene:DRNTG_32639 transcript:DRNTG_32639.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIPAENDRRASGGSAAKISNQWRGGDRGGYPKWS >DRNTG_10564.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000446.1:4864:6001:1 gene:DRNTG_10564 transcript:DRNTG_10564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSLEDTGVCVCPCELHSKDPYPWEISARAWETLRDFSWKDSRATGACVCPCGSGTWAWYEPRVSKATCLSRPVYRYIYAILSRLVNGRGDSTGVLSRQELLYLYSMVQREPIHLGHILAE >DRNTG_02768.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11804369:11806608:-1 gene:DRNTG_02768 transcript:DRNTG_02768.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSATNNEHQIHKIKQVNFLQNSGGSSSGRPFEQPNDASFARARRCRMTLPNGLPMMTMIV >DRNTG_02768.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11804043:11806608:-1 gene:DRNTG_02768 transcript:DRNTG_02768.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSATNNEHQIHKIKQVNFLQNSGGSSSGRPFEQPNDASFARARRCRMTLPNGLPMMTMIV >DRNTG_18918.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12949791:12950811:-1 gene:DRNTG_18918 transcript:DRNTG_18918.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWEEIIKLNKEYKVCRDKPFPLFLKIHDLVASSTANGQYASHTGGSVRADDLVVNLDEGSGSSDSPVLHVDKDTIPSHSTGKKVSYNNASSMTK >DRNTG_18918.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12949791:12950189:-1 gene:DRNTG_18918 transcript:DRNTG_18918.2 gene_biotype:protein_coding transcript_biotype:protein_coding LNKEYKVCRDKPFPLFLKIHDLVASSTANGQYASHTGGSVRADDLVVNLDEGSGSSDSPVLHVDKDTIPSHSTGKKVSYNNASSMTK >DRNTG_11823.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:276850:279635:-1 gene:DRNTG_11823 transcript:DRNTG_11823.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELINEVPPIKVEGRIAACEGDSNPALGHPIEFICLDLKDPAVCKYCGLRYVQNHHH >DRNTG_33675.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18461262:18462872:1 gene:DRNTG_33675 transcript:DRNTG_33675.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDKAAPKLERKIVEKNRRDHMKLLLSNLDSLLPNYSPNTKV >DRNTG_33675.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18461262:18462872:1 gene:DRNTG_33675 transcript:DRNTG_33675.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDKAAPKLERKIVEKNRRDHMKLLLSNLDSLLPNYSPNTKV >DRNTG_33675.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18461262:18462872:1 gene:DRNTG_33675 transcript:DRNTG_33675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDKAAPKLERKIVEKNRRDHMKLLLSNLDSLLPNYSPNTKEVVTMPERLDEAVKYIKELQMRVERMKENREGLGCYEGTSQQKNLKMGVEVQDMGSGLSVFLLSFSGGFSAYSKVLRVLEEEGLEILAANFVSGEVAFIIVHCLVAENSGFEADEVMERLKKVVQGYTRN >DRNTG_23856.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001280.1:69012:80320:1 gene:DRNTG_23856 transcript:DRNTG_23856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRGMMISVARCWKVRICSKRVIQSHLMKICSKRMIRSHLMRICSKKVILKPPDDIVDVPRVKEGRRCGLCGGGIDGRPPKKLVRESTDSDNEAYEGSSVSEEPNYDIWDGFGDEPGWLGRLLGPIHDRFGIARVWVHQHCAVWSPEVYFAGLGCLKNVRAALCRGRALKCSRCGRPGATIGCRVDRCPKTYHLPCSRADGCIFDHRKFLIACPDHRHFFHPQGGDYAQQIRKMKIKKFKMDLRKLSNDAMWKDLESEEKWLENRGEDEEFLKRESKRLHRDLVRIAPVYIGGSSENKLYQGWESVAGLQDVIQCMKEIVMIPLLYPEFFSNLALTPPRGVLLHGYPGTGKTLVVRSLIGACSRGDKRIAYFARKGADCLGKYVGDAERQLRLLFQVAERSQPSIIFFDEIDGLAPCRSRTQDQTHCSVVSTLLSLMDGLKSRGSVIVIGATNRPDAVDPALRRPGRFDREIYFPLPSLKDRSAILSLHTQSWPNPLSEPLLSWISSQTAGYAGADLQSLCTQAAINALKRNCALQELLSSVEKESGQGMLPSLPSFVVEERDWIAALSFAPPPCSRREAGMAANDVVSSSLYGHLVPCLLEPLCHLLILLGIDDRIWLPPSLSRASKSVKNIIFSSLLEKGISTNLWLSHLHHLIQEIDTSKEIEKRLSLFGLLVDQSGIMTSYTTDDYDNNHDEFGCGKPMEKYLSKWHNTSGFRALIAGTPRSGQHHLASCLLHTFVGHIEIQKVNLATILQEGHGDIIRGLTQILTKCLLIGRCIIYMPRIDLWALNENHVIKAEEEEHSPKSSEFSSPGIQNMTKQASEAWNSFIEQVGSMCAFTNIIILATCDVRDDELPNRVRQFFSSDVSHDHDYLPSDAIPRFFVHVDGIYNHELVLDSSAAKVSQDLVQQYVQLVHYKSHMINNTSSGDLSPTAVVSLDGQRESMENNKTTRVNAASTHLEQRASNDQTSFWDSQIHQGLNNDQRQSPLSNIRSSAENDVQHQRPEELFQKTLPIRFMKGGPPSAITMFGYQILRCPQFAELCWVTSKLKDGPCADINGPWKGWPFNSCEIHPSSSPSKVVAGGNSANLKERDSGLVRGLVAVGLLAIKGAYSSVREVSAEVRKVLELLVGRIHDKVLDGKERYRYFRLLSQVAYLEDMVNSWAYTIISSQPDNLLATSNTKPSAFEEQLKDDNAGGSALIGNNSRMPGVPKENCNMVQDRSDNGSPHKFATSNGDSVNFNEGCNLSIPDSNASIPEIRHFQQPSPLPPPSSNLHPSSSLADGALVSEDGNCISGLTPCEEEGHVKGPFSTELVQTNIPTEGSLPNLDNSSLVAESSCRDISDKRNDLSSVPSSDNASFVSDEAVTATGFTLRKNNNLSGTEISCVYDCCSQCVRTLNELLHTILSDWWRSTGSHSAVEDIHDAVVSCSLNLLRAIKNYDNSSNSIDAEEHHQRGQVWRNALEHCTCHQISNNQLKRMPFQHNSLSKVEFSPTECNCHRKDDVDKAKFERQQRYQSPCRFFFKGGVLVPANPPDSIVFHCRYEKLCVCFITEIVSMVKQPIV >DRNTG_23856.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001280.1:69012:80320:1 gene:DRNTG_23856 transcript:DRNTG_23856.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKKFKMDLRKLSNDAMWKDLESEEKWLENRGEDEEFLKRESKRLHRDLVRIAPVYIGGSSENKLYQGWESVAGLQDVIQCMKEIVMIPLLYPEFFSNLALTPPRGVLLHGYPGTGKTLVVRSLIGACSRGDKRIAYFARKGADCLGKYVGDAERQLRLLFQVAERSQPSIIFFDEIDGLAPCRSRTQDQTHCSVVSTLLSLMDGLKSRGSVIVIGATNRPDAVDPALRRPGRFDREIYFPLPSLKDRSAILSLHTQSWPNPLSEPLLSWISSQTAGYAGADLQSLCTQAAINALKRNCALQELLSSVEKESGQGMLPSLPSFVVEERDWIAALSFAPPPCSRREAGMAANDVVSSSLYGHLVPCLLEPLCHLLILLGIDDRIWLPPSLSRASKSVKNIIFSSLLEKGISTNLWLSHLHHLIQEIDTSKEIEKRLSLFGLLVDQSGIMTSYTTDDYDNNHDEFGCGKPMEKYLSKWHNTSGFRALIAGTPRSGQHHLASCLLHTFVGHIEIQKVNLATILQEGHGDIIRGLTQILTKCLLIGRCIIYMPRIDLWALNENHVIKAEEEEHSPKSSEFSSPGIQNMTKQASEAWNSFIEQVGSMCAFTNIIILATCDVRDDELPNRVRQFFSSDVSHDHDYLPSDAIPRFFVHVDGIYNHELVLDSSAAKVSQDLVQQYVQLVHYKSHMINNTSSGDLSPTAVVSLDGQRESMENNKTTRVNAASTHLEQRASNDQTSFWDSQIHQGLNNDQRQSPLSNIRSSAENDVQHQRPEELFQKTLPIRFMKGGPPSAITMFGYQILRCPQFAELCWVTSKLKDGPCADINGPWKGWPFNSCEIHPSSSPSKVVAGGNSANLKERDSGLVRGLVAVGLLAIKGAYSSVREVSAEVRKVLELLVGRIHDKVLDGKERYRYFRLLSQVAYLEDMVNSWAYTIISSQPDNLLATSNTKPSAFEEQLKDDNAGGSALIGNNSRMPGVPKENCNMVQDRSDNGSPHKFATSNGDSVNFNEGCNLSIPDSNASIPEIRHFQQPSPLPPPSSNLHPSSSLADGALVSEDGNCISGLTPCEEEGHVKGPFSTELVQTNIPTEGSLPNLDNSSLVAESSCRDISDKRNDLSSVPSSDNASFVSDEAVTATGFTLRKNNNLSGTEISCVYDCCSQCVRTLNELLHTILSDWWRSTGSHSAVEDIHDAVVSCSLNLLRAIKNYDNSSNSIDAEEHHQRGQVWRNALEHCTCHQISNNQLKRMPFQHNSLSKVEFSPTECNCHRKDDVDKAKFERQQRYQSPCRFFFKGGVLVPANPPDSIVFHCRYEKLCVCFITEIVSMVKQPIV >DRNTG_23856.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001280.1:69012:80320:1 gene:DRNTG_23856 transcript:DRNTG_23856.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKKFKMDLRKLSNDAMWKDLESEEKWLENRGEDEEFLKRESKRLHRDLVRIAPVYIGGSSENKLYQGWESVAGLQDVIQCMKEIVMIPLLYPEFFSNLALTPPRGVLLHGYPGTGKTLVVRSLIGACSRGDKRIAYFARKGADCLGKYVGDAERQLRLLFQVAERSQPSIIFFDEIDGLAPCRSRTQDQTHCSVVSTLLSLMDGLKSRGSVIVIGATNRPDAVDPALRRPGRFDREIYFPLPSLKDRSAILSLHTQSWPNPLSEPLLSWISSQTAGYAGADLQSLCTQAAINALKRNCALQELLSSVEKESGQGMLPSLPSFVVEERDWIAALSFAPPPCSRREAGMAANDVVSSSLYGHLVPCLLEPLCHLLILLGIDDRIWLPPSLSRASKSVKNIIFSSLLEKGISTNLWLSHLHHLIQEIDTSKEIEKRLSLFGLLVDQSGIMTSYTTDDYDNNHDEFGCGKPMEKYLSKWHNTSGFRALIAGTPRSGQHHLASCLLHTFVGHIEIQKVNLATILQEGHGDIIRGLTQILTKCLLIGRCIIYMPRIDLWALNENHVIKAEEEEHSPKSSEFSSPGIQNMTKQASEAWNSFIEQVGSMCAFTNIIILATCDVRDDELPNRVRQFFSSDVSHDHDYLPSDAIPRFFVHVDGIYNHELVLDSSAAKVSQDLVQQYVQLVHYKSHMINNTSSGDLSPTAVVSLDGQRESMENNKTTRVNAASTHLEQRASNDQTSFWDSQIHQGLNNDQRQSPLSNIRSSAENDVQHQRPEELFQKTLPIRFMKGGPPSAITMFGYQILRCPQFAELCWVTSKLKDGPCADINGPWKGWPFNSCEIHPSSSPSKVVAGGNSANLKERDSGLVRGLVAVGLLAIKGAYSSVREVSAEVRKVLELLVGRIHDKVLDGKERYRYFRLLSQVAYLEDMVNSWAYTIISSQPDNLLATSNTKPSAFEEQLKDDNAGGSALIGNNSRMPGVPKENCNMVQDRSDNGSPHKFATSNGDSVNFNEGCNLSIPDSNASIPEIRHFQQPSPLPPPSSNLHPSSSLADGALVSEDGNCISGLTPCEEEGHVKGPFSTELVQTNIPTEGSLPNLDNSSLVAESSCRDISDKRNDLSSVPSSDNASFVSDEAVTATGFTLRKNNNLSGTEISCVYDCCSQCVRTLNELLHTILSDWWRSTGSHSAVEDIHDAVVSCSLNLLRAIKNYDNSSNSIDAEEHHQRGQVWRNALEHCTCHQISNNQLKRMPFQHNSLSKVEFSPTECNCHRKDDVDKAKFERQQRYQSPCRFFFKGGVLVPANPPDSIVFHCRYEKLCVCFITEIVSMVKQPIV >DRNTG_08746.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18661676:18672256:-1 gene:DRNTG_08746 transcript:DRNTG_08746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTQRASTDPVVLVDHLHEIADDEGNGLAALHLFLCVEELALEILLIVLDLLLLDFNELELALQRLERPQGSPSSSAVRKDGKRSAVRLGGGSERKGLGSRTIIAEVDMRERERERERERETPVS >DRNTG_16076.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25632379:25635828:1 gene:DRNTG_16076 transcript:DRNTG_16076.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAETGTYRWMAPELYSTVTLRRGEKKHYTNKVDVYSFGIVQWELLTNRMPFEGMSNLQAAYAAAFK >DRNTG_22495.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2570002:2579168:1 gene:DRNTG_22495 transcript:DRNTG_22495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMAAAVLGADTAPFESLIAQLMSTANDQRSHAEALFNHCRDRHPDVLSLKLAHLLHSSPHLELRAMAAILLRKLLTPDSDPSSSSYLWPRVTPSTQSSLKTILLAAVQREDSKSISKKLCDTVSELASALLPDNAWPELLPFMFSAVTSLDSPRLAESSLLIFSQLAQYIGETLLPHLATLHSVLLASLSHPSSPDVRIAALNAAINLVQCLPSAQERDQFQDLLPAMMRTLTEALNSGQEATAQEALELLIELAGTEPRFLRRQLTDVVGGMLQIAEADGLEEGTRHLAVEFVITLAEARERAPGMMRRLPQFVGRLFAVLMKMLLTIEDEPAWHSAEPDDEDAGETSNYSVGQECLDRLSIALGGNTIVPVASELLPAYLAAPEWQKHHAALITLAQIAEGCSKVMIKNLEQVVNMVLNSFQDPHPRVRWAAINAIGQLSTDLGPDLQVQYHQRVLPALASAMDDFQNPRVQAHAASAVLNFSENCTPEILTPYLDGIVGKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVMPYLKAILMNATDKSNRMLRAKSMECISLVGMAVGKDKFRDDAKQVMEVLMTLQGSQMEADDPITSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSADSDDEIDDSDDDSIETITLGDKRIGIRTSVLEEKATACNMLCCYADELKEGFYPWIDQVAPTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAVEKRQALGRDESYVKQLSDYIIPALVEALHKEPETEICASMLDSLNECIQLSGPLLDEAQVRCIVDEIKHVITASTTRKRERAERTKAEDFDAEEGELLKEENEQEEELFDQIGDCLGTLIKTFKTPFLPFFDELSVYITPMLGKDKSPEERRIAICIFDDVAEQCRETALKYYDTYLPFLLEACNDGSPDVRQAAVYGIGVCAEFGGSAFRPLVGEALSRLNNVIKHPDALQSDNVMAYDNAVSALGKICQFHRDSIDAAQVVPAWLSCLPIKGDLIEAKVVHDQLCTMVERSDRELLGPNNQFLPKIVSVFAEVLCAGKDLATEQTASRMVNLLRQLQQTLPPNVLASTWSSLQPQQQLALQSVLST >DRNTG_16827.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7832385:7839104:1 gene:DRNTG_16827 transcript:DRNTG_16827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSCSSPGEQPYEGEMWTLELMDDFSSLDGEVGKRLNQLIPVPHIPRINREIPSVDEATSDNQRLIDRLRLYDLAQLKVEGDGNCQFRALSDQLYRTPEHHKVVRKQVVQQLKSHSELYEGYVPMPYGDYLKKIAKSGEWGDHVTLQAAADSYGVKIFVITSFRDTCSIEILPAVQKSKRVIFLSFWAEVHYNSIYPDGELPTMEARTSKKRWWHF >DRNTG_16827.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7831657:7834867:1 gene:DRNTG_16827 transcript:DRNTG_16827.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDGVSIFLVIHVSSTVYCEVPTSNVATFHVGENARECNLNSECTAVENDEIIAHALQEEFSQVAAAEASGALQEGSDRLQASVLAQDWLGPPMRFIRSDNGGVHGDVYYMEPSSSCSSPGEQPYEGEMWTLELMDDFSSLDGEVGKRLNQLIPVPHIPRINREIPSVDEATSDNQRLIDRLRLYDLAQLKVEGDGNCQFRALSDQLYRTPEHHKVVRKQVVQQLKSHSELYEGYVPMPYGDYLKKIAK >DRNTG_16827.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7831237:7837469:1 gene:DRNTG_16827 transcript:DRNTG_16827.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDGVSIFLVIHVSSTVYCEVPTSNVATFHVGENARECNLNSECTAVENDEIIAHALQEEFSQVAAAEASGALQEGSDRLQASVLAQDWLGPPMRFIRSDNGGVHGDVYYMEPSSSCSSPGEQPYEGEMWTLELMDDFSSLDGEVGKRLNQLIPVPHIPRINREIPSVDEATSDNQRLIDRLRLYDLAQLKVEGDGNCQFRALSDQLYRTPEHHKVVRKQVVQQLKSHSELYEGYVPMPYGDYLKKIAKSGEWGDHVTLQAAADSYGVKIFVITSFRDTCSIEILPAVQKSKRVIFLSFWAEVHYNSIYPDGELPTMEARTSKKRWWHF >DRNTG_16827.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7831657:7837469:1 gene:DRNTG_16827 transcript:DRNTG_16827.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDGVSIFLVIHVSSTVYCEVPTSNVATFHVGENARECNLNSECTAVENDEIIAHALQEEFSQVAAAEASGALQEGSDRLQASVLAQDWLGPPMRFIRSDNGGVHGDVYYMEPSSSCSSPGEQPYEGEMWTLELMDDFSSLDGEVGKRLNQLIPVPHIPRINREIPSVDEATSDNQRLIDRLRLYDLAQLKVEGDGNCQFRALSDQLYRTPEHHKVVRKQVVQQLKSHSELYEGYVPMPYGDYLKKIAKSGEWGDHVTLQAAADSYGVKIFVITSFRDTCSIEILPAVQKSKRVIFLSFWAEVHYNSIYPDGELPTMEARTSKKRWWHF >DRNTG_05543.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16647951:16651641:-1 gene:DRNTG_05543 transcript:DRNTG_05543.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYKPKTRRESAISAAATLLDCKQLIKHYDEASHWLFSNPLAFTIWCHFEANGNIAPPQEPVTLPLSATIADLKHEATKAFQETYLTFRHFQAEQLLDYHWMDDKFHVKNLIGFNGTVRIKGKCLDEQRLAEFNMERGIEDWVVDCTCGTKDDDGERMVACDACGVWQHTRCAGIHDFEEVPAQFVCVTCIHDQCKPVGRARKRPRTSPHGAFRCKNDVTSTIPQAHLWVDV >DRNTG_05543.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16647951:16651641:-1 gene:DRNTG_05543 transcript:DRNTG_05543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNKHMRSMKRAKQRRITADLHDLLTFPATADGISADLHGPFRENVKAFVDRHARASPAHAFATGSVVWTWRVAFKVGEAVESGETPATVELVIALEDVARSGSVYCDQCRIVGWSGHPVCKERYHFIIQNKDDDVPNGYVCTRCGSTSISCNLRCNMCDHEMLIDELENSSCPKLDDPSHLLHGVVHTNGYGHLLRVNGREGGSKLLTGYHIMSFWDRLCKLLHVRKITVMDVSKKFGLEYRLLHAIVSGHPWYGKWGYEFHAGSFGLTAAAYQKAIDTLSSTPLSLFFSHSRGPRSQLQNTIMFYWARSGYQLVTLRDLFAYVIQQLNIADEEKQESNCTKRQEMDVEHTLGEICKVNIEQVETTLIKILKAAGRSQWVPAHALRGAACRRAKSPEMINNCLQTMEGKILSDGSIITARYNAGARTMEYSLEAARSQPLLDKNIKQTTEHKLRDLKFLYNSLLNPSTMEAYKPKTRRESAISAAATLLDCKQLIKHYDEASHWLFSNPLAFTIWCHFEANGNIAPPQEPVTLPLSATIADLKHEATKAFQETYLTFRHFQAEQLLDYHWMDDKFHVKNLIGFNGTVRIKGKCLDEQRLAEFNMERGIEDWVVDCTCGTKDDDGERMVACDACGVWQHTRCAGIHDFEEVPAQFVCVTCIHDQCKPVGRARKRPRTSPHGAFRCKNDVTSTIPQAHLWVDV >DRNTG_28735.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2327322:2330357:-1 gene:DRNTG_28735 transcript:DRNTG_28735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDWIDLKFRLFDGTDIGPNKFNPSSSVASLKESILSRWPQDKEIAPKTINDIKLINAGKVLEDNRTLAESRVPVGELPGGVITMHVVVRPPLPDKHNEKQLAKSPKQNRCTCSIL >DRNTG_00559.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30135476:30136613:1 gene:DRNTG_00559 transcript:DRNTG_00559.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDENGLKKGPWTPEEDQKLVDYIQKHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSPEEEQTILNLHSILGNKWSAIATHLPGRTDNEIKNFWNTHLKKKLIQMGFDPMTHRPRTDFFATLPHLIALANLKELVDNNPWDDHSMRLQAEAVQNAKLQYLQCLIQSAAAMAGNSTTNSPSSIAAELEPMSLLNSQMMTMPSMPSAPAPAAVHLPELQIPSSFEQQQPLSNETNHQESSFIVFSQGENSTPRTPLISPLSLPPLTDISISNPGDACSTSSCGDGGAMPFWPELLLDEPFLTEFA >DRNTG_10077.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26523792:26527653:1 gene:DRNTG_10077 transcript:DRNTG_10077.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREPPILLKGLNFESCWSLFRERAFALRQTEDQNLVAIGRQIVEKCQGLPMVVKLFGCLLQSVTDEDEWRSVLKEIWEVEKGEDSILQTLKVSYDQLPLHLKQCLAYCSVFPVGHEFDMDELVKLWMAEGLIPPRGNRRPEAVGNSSQEFLRVEHNEKQGGDNIVRLAFCSLNTADPVAFRQICISKSLRTFILHLDSGALSKQVIGFLLPRFNCLRVLDLRNNQLEQLPNSIGDLTHLRYLGLYNTQIKCLPESVSNLYNLQTLNLDECYKLLELPKGLSMLINLRHLNLHIDWDRWTELKTMPPGIQDLKSLQTMSRFVVSAKNGCEIRELKDLKLRGELCISKLENVENIEHADEANLKDKQYIEKLMLRWSEATHNNNNNNNSRPLVPTIWGRLRSGATSNIQNTLRAEELIKHLQPHNRLKQLRIEHYDGTHFPQWLADGSFLSLESITLSNCKRCTVLPAMDQLPNLRNLVLKNCEGLTAIPHLRNIHTLVVDGLNKSVFSMVSGLSSLSSLTISRCGDTQVQKSSFEQLTSLTKLKIEGCASLVSVASEEDLQTLISLESLEVSSCHELVSFAVAGLPQSLNSLQLCSCEKLEYFPIQFNLLASGLRTLTLSRCPLLKQQLQNNEAYLAHINEKNIQ >DRNTG_23836.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29441865:29444263:1 gene:DRNTG_23836 transcript:DRNTG_23836.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDPMVALGPDILTRIMEFLDARSVARSVVVSCAWYQAATSDRIWSSKCEELWKGKAHIPCVSMLRGVSKLEAYSLSVKDGKRKRIMKDDLCDHAWEFRFQKSAPEYWLNLDPSWKGSGPPMRRYFHPDGSQTADPTDQVWGGHESQFSIVTSYVGNGEIREHYVTD >DRNTG_01103.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21515205:21521393:1 gene:DRNTG_01103 transcript:DRNTG_01103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASHFMKVIFLRLFTSGGVPDIVPRGAYPTEPDDDVGSYIYSSDWMGSHHSSSSFPPTKEYPCEAACMLYWPPRCSHCSILGWAVHWKGFCYLYCDLGVEWCGYPS >DRNTG_07030.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3467339:3471872:-1 gene:DRNTG_07030 transcript:DRNTG_07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDSHVVVPRSFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPHNTVHDGRIYQLKLFCDKDYPDNPPTVRFQSQINMNCVNEETGMVEPSLFPMLANWKREYTMEDILTNLKKEMSSRENRRLCQPTGNDEQRVDQKGLMFRCNIL >DRNTG_30438.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3215582:3218373:1 gene:DRNTG_30438 transcript:DRNTG_30438.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSFLKGQHSGSKRSGRRESSPRQTTTPAQPSTSIASGSDGSAGGTQPPSKSSASTSSQRSITNMYEEKAQCLRVFGFDELRHATNDFSRLLKIGEGGFGSVYKGFIDLNGNGEKIPVAIKKLNQHGLQGHKQWLAEVQFLGVVEHPNLVKLIGYCGEDGERGIQRLLVYEFMANKSLEHHLFGRTLPPIPWKLRIKIVLGAAEGLAYLHEGLEIQVIYRDFKAANVLLDEEFNPKLSDFGLAREGPTDGRSHVSTAVVGTYGYAAPDYIETGHLTIKSDVWGFGVFLYEILTGRRTLERNRPTNEQKLLDWVKQFPPDARTFGVIIDPRLRHQFPIDAAREVAKLADRCLVKEAKLRPSMSNVVECLRHVLQLSEDFEASEQQRPKPSPTQSRNAKRTTNRA >DRNTG_30438.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3215481:3218373:1 gene:DRNTG_30438 transcript:DRNTG_30438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSKETASNTERRRSRRREMGCFSFLKGQHSGSKRSGRRESSPRQTTTPAQPSTSIASGSDGSAGGTQPPSKSSASTSSQRSITNMYEEKAQCLRVFGFDELRHATNDFSRLLKIGEGGFGSVYKGFIDLNGNGEKIPVAIKKLNQHGLQGHKQWLAEVQFLGVVEHPNLVKLIGYCGEDGERGIQRLLVYEFMANKSLEHHLFGRTLPPIPWKLRIKIVLGAAEGLAYLHEGLEIQVIYRDFKAANVLLDEEFNPKLSDFGLAREGPTDGRSHVSTAVVGTYGYAAPDYIETGHLTIKSDVWGFGVFLYEILTGRRTLERNRPTNEQKLLDWVKQFPPDARTFGVIIDPRLRHQFPIDAAREVAKLADRCLVKEAKLRPSMSNVVECLRHVLQLSEDFEASEQQRPKPSPTQSRNAKRTTNRA >DRNTG_02365.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15125283:15128751:-1 gene:DRNTG_02365 transcript:DRNTG_02365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPVQVFGISSVCNLRLVIIAVNLVNGRYYLTLSRLFTLFEGKEMVSGDVMDAFVCIIQKSLSRVPYPYKKRVSITRLLAPFMSKQDDASDITFAMIGDAARKLHDVDIVILPIIMNGHFHVVVLDNNKQEYRH >DRNTG_33922.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3931881:3934633:1 gene:DRNTG_33922 transcript:DRNTG_33922.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWRRKMVMGCKGSDKRETMTGWASGNPSGNSSLYKALTAR >DRNTG_06340.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24088610:24092234:1 gene:DRNTG_06340 transcript:DRNTG_06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHVQGGQCGNQIGSKFWEVVCDEHGIDPTGRYIGNTDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATAEDDAEYEEEEEAPEEI >DRNTG_33694.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1254421:1255873:-1 gene:DRNTG_33694 transcript:DRNTG_33694.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARYKRATAPFDETARARLWVAGVPEPEIGAESSPELAELVDSFYDEGSGKRSDLGSVKGLEKLRIALSDSGSDPIADRIRYLVESVVRVNGSGLDGLNFRIVIRLRDHGFDAGVCTSVVHACKHEYVDVITSTTTARYIVETNLKAEFQIARPTTEYLSLLEMVPKVFVGTPVTLRTVVQTMCTAGEVSMKCMAMHVPPWRRWAYVHAKWSAPHVRSVSVSPARNSSPARLKACRVEMVNK >DRNTG_04464.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000212.1:11243:11731:-1 gene:DRNTG_04464 transcript:DRNTG_04464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKGGCSYCKEVMRRVLEQVRAETDHWNEMQVMVEKVRVEMEELQVSRDLWRRRASSAELNLRLVHAKMLEWQWRARASESKLIELKKEREETNKSSRNS >DRNTG_12087.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14101427:14103598:-1 gene:DRNTG_12087 transcript:DRNTG_12087.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAATILAAVLLQFSASVSECSSVTAFVPLQMDVLTRMRGAFDLNNFPIPYFHRGNANGDTFPSYITCFFDDIHVGGALVLMCINRNARIMTPRLKKQADKPPRESSPESENMRFAIPKHRARFVHLSRLRLELYEEEFTDTEYAQLPTEYPGVFTTQWAYRVLRWAVCQIRSDLLRSLHHEIGYGVRSRVYAMITHTPEVAKGDDDIVEASKPASKPQAAPIEIEAPPVA >DRNTG_13602.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23722287:23726478:-1 gene:DRNTG_13602 transcript:DRNTG_13602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNKFHGSLPSDMGVTLPNLQTALSGSIPVSFGALHELVWFNFGNNSLQVDQEVGMGFITSLTNCTSLQVLGLDSNQFRGMLPNSVANLSSRLIMLNLGSNQLYGTFPNGIENYANLTLLSLERNMFSGSIPVGIGKSSNLQKLFLYGNRFSGQIPSFIGNLTQLYELLLQENSLSGRIPYKLGSCKHLKLLNLSSNQLSGTILSNLMSLSSMSIALDLSRNKLDGSIPYQIGSLTSLGMLDLSENKLSGNIPSSLSNCRSLAGALETAGKLLSRYYSPGFEFIYLNLSFNDFEGAVPTEGVFRNASAFSVMGNRRLCGGISNLHLPSCFDHEFGKKEKHIIIILASIISALVLIVLILLAVFRRKLCITRRSKSLDRQLIDVGHIKVTYGELLRATSGFSSQNLIGIGGFGSVYKGFNVCGEPVVAVKVFNLTDQGASKSCMNECHALRHIRHRNLVKVITACSSVNFQGNEFMALVYEYMPNGNLDQWLL >DRNTG_09662.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27849545:27850362:-1 gene:DRNTG_09662 transcript:DRNTG_09662.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQSSCFCFSEGSVRVDDEDKESRRFSSDEPGDPNIDAKGAAYIAKKKEEWNNKTS >DRNTG_01585.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16390281:16397372:-1 gene:DRNTG_01585 transcript:DRNTG_01585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEGSNQRIKRDPAMKPSNLAFSKSPSSSFSSLDDPLVFLFFLPKNCE >DRNTG_08395.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4666279:4668684:-1 gene:DRNTG_08395 transcript:DRNTG_08395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIQLGQIPTIIVSSPELASQILKTHDHVFCNRPSTPAFLKFTYGGLDIAASKHGDHWRQLRKFCMLELFSKKRVQSFKKVREDEVHVLIQSIRHSCSQDENQLVNLSEMLLCMSNNITGRQTFGKRFSKEGECNRSELHDLMRETLEMVGELSIGDFFPSLQYLINVVTGFHGKLERSFKKVDELWEKEIERHCLHLSSTDDHEHEEEREENFLDVLLKLHKDSTDNLGFSFTKDHVKAILGDMFFAGTETTAATLEWALSELMRNPRVMKKVKDEVQRVTGIKEKVEERDVQGMGYLKLVINETLRLHPLGPLLIPRESVKECMIDGYDIPPTTRAFVNAWAIMRDPNLWEDPEIFFPERFEGSAINYKGRHFQFIPFGSGLRMCPGWPLSIANINIALANLLYFFNWKLPEGKSEIDVDMTESFGISVHKKLPLILMATST >DRNTG_05604.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1076997:1081984:-1 gene:DRNTG_05604 transcript:DRNTG_05604.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSARLALFVVRRSLHTPEPGIRPSFRFLADRRFRTTRWIDGISCGAFSGWWCPNRAFHGTRAVAARSYYDVLGVSKNAEASEIKKAYYVLAKKLHPDTNKDDPDAEKKFQEVQRAYEVLKDEEKRALYEQVGHDAFEQAASGGGGDPFDDFFNGGSGVNDFFKNIFNQNEGGQDVEISLELSFMEAVQGCKKTLTFQASVLCGTCNGSGVPPGTIPQTCKACRGSGMTFVQHGPLRMQSTCSRCGGSGKIVTNFCKSCKGEQLVMRAKSVKIDVMSGVDNGETIKVYGQGGADPDGERPGHLFVNIKVRPDPVFRREGKDIHVDVVLHLSQAILGGNINVPTLTGDVILKVKQGTQPGQKVVLKGKGIRTRNSSLYGNQYVHFNVSIPTSPTERQRMLLEEFEKEEQGDCDRTIAVASG >DRNTG_05604.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1076997:1081984:-1 gene:DRNTG_05604 transcript:DRNTG_05604.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSARLALFVVRRSLHTPEPGIRPSFRFLADRRFRTTRWIDGISCGAFSGWWCPNRAFHGTRAVAARSYYDVLGVSKNAEASEIKKAYYVLAKKLHPDTNKDDPDAEKKFQEVQRAYEVLKDEEKRALYEQVGHDAFEQAASGGGGDPFDDFFNGGSGVNDFFKNIFNQNEGGQDVEISLELSFMEAVQGCKKTLTFQASVLCGTCNGSGVPPGTIPQTCKACRGSGMTFVQHGPLRMQSTCSRCGGSGKIVTVCNSHMFSL >DRNTG_05604.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1076997:1081984:-1 gene:DRNTG_05604 transcript:DRNTG_05604.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSARLALFVVRRSLHTPEPGIRPSFRFLADRRFRTTRWIDGISCGAFSGWWCPNRAFHGTRAVAARSYYDVLGVSKNAEASEIKKAYYVLAKKLHPDTNKDDPDAEKKFQEVQRAYEVLKDEEKRALYEQVGHDAFEQAASGGGGDPFDDFFNGGSGVNDFFKNIFNQNEGGQDVEISLELSFMEAVQGCKKTLTFQASVLCGTCNGSGVPPGTIPQTCKACRGSGMTFVQHGPLRMQSTCSRCGGSGKIVTVCNSHMFSL >DRNTG_00845.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11592580:11600575:1 gene:DRNTG_00845 transcript:DRNTG_00845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDPISFQTFLQNKGDSIELSMKGGLTNSVGSPSGDLVMRKLPHKGSHPRRHLQLPNLFPKGGIGPPDPVVGQIILNLDLVMPVQVHIRIKQGFNHRKIPVPIYN >DRNTG_11014.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29375490:29375960:-1 gene:DRNTG_11014 transcript:DRNTG_11014.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQEQDVQTQPHAAHDHESHTPAKQGGWITIPFILGNAFGLALALSGVVGNFIVYLIKYYNFKKMDAALLANIMHGTSSFLPLLGAVLSDSFFGCFVIVTFSTVASLCVNHIYI >DRNTG_12259.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24887861:24891482:1 gene:DRNTG_12259 transcript:DRNTG_12259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVIVNGIHSENLSLAKSPMTTVSFQSEAIDMLAENHADASIEQLYDNVCEMESYGDGSFSQESFSSDAEESRIDSELCHLVGGNMNTVEIVEDEDQRMNNVVAQRENGSLRLKSEKKSNKIKMSPLKSDGIVGKKKNFSIREVKLQNGNEVASEVGFDKPEIGLSLLKHARELLSSANPRRALRYALQASKSLEKCACGKPSLNLVMSLHVIAAIHCKLRQYEQAIPVLKKSLQIPVLEHGQDHALAKFSGYMQLGDTYAMMGQLENSLQCYTKGLEIQKQTLGDRDTRVGETCRYLAEANVQALKFDEAERLCQMALDIHKENVAPFSLEETADRRLMGLICDSKGNHEIALEHFSLASMAIASGGQEIDVAYVDCSIGDVYLSLARYDEAIFAYQKAASVFKSIKGDNHPTVASVFVRLADLYNKIGTHKESKSYCENALKIYGKPLPGTMPEEIATGLTDISAVYESINEHEEALKLLKRALKIYNNAPGHQSTIAGIEAQMGALYYITRNYGESYNSFKNAIAKLRTCGEKKSAFFGIALNQMGLSCVQRNALSEAAGLFEEARNILVEEYGPYHPDTLGVYCNLAGSYDAMGRLDEAIEILEYVVGIREEKLGTANPVVDDEKRRLAELLKEAGKVRSRKARSLETLLDTNPYILKKDFIAS >DRNTG_12259.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24887861:24891482:1 gene:DRNTG_12259 transcript:DRNTG_12259.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVIVNGIHSENLSLAKSPMTTVSFQSEAIDMLAENHADASIEQLYDNVCEMESYGDGSFSQESFSSDAEESRIDSELCHLVGGNMNTVEIVEDEDQRMNNVVAQRENGSLRLKSEKKSNKIKMSPLKSDGIVGKKKNFSIREVKLQNGNEVASEVGFDKPEIGLSLLKHARELLSSANPRRALRYALQASKSLEKCACGKPSLNLVMSLHVIAAIHCKLRQYEQAIPVLKKSLQIPVLEHGQDHALAKFSGYMQLGDTYAMMGQLENSLQCYTKGLEIQKQTLGDRDTRVGETCRYLAEANVQALKFDEAERLCQMALDIHKENVAPFSLEETADRRLMGLICDSKGNHEIALEHFSLASMAIASGGQEIDVAYVDCSIGDVYLSLARYDEAIFAYQKAASVFKSIKGDNHPTVASVFVRLADLYNKIGTHKESKSYCENALKIYGKPLPGTMPEEIATGLTDISAVYESINEHEEALKLLKRALKIYNNAPGHQSTIAGIEAQMGALYYITRNYGESYNSFKNAIAKLRTCGEKKSAFFGIALNQMGLSCVQRNALSEAAGLFEEARNILVEEYGPYHPDTLGVYCNLAGSYDAMGRLDEAIEILEYVVGIREEKLGTANPVVDDEKRRLAELLKEAGKVRSRKARSLETLLDTNPYILKKDFIAS >DRNTG_18131.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:506856:507978:-1 gene:DRNTG_18131 transcript:DRNTG_18131.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIITYTSNHNHPGPDLLSIQPQTKVNSKCKEEEDDEEEEEEEIVKNEKKSTEENDFFDELEELPICSSLRSSFFDDKSLLQLS >DRNTG_29183.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24246787:24247729:1 gene:DRNTG_29183 transcript:DRNTG_29183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQQHLLFPFLVLLLFSCLLFTSSSQATFQRYLDCLPVPFTCGGLHINISYPFRIDSRRDYCGYPGFYLNCTNSNTTLTITMSNTLYIVKDLDYFNHIITIMNSDFSGHPCPIDIR >DRNTG_01957.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32603374:32606374:1 gene:DRNTG_01957 transcript:DRNTG_01957.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVAHMDFVGVVDMGLRQMLSMIVEDDENPILDVHLIGGFEDAPSGFVDNDTGSKYHKHPDGYSMPLCSKILEALHCRPERFQVQTLCVLGHNTKKDQNGNAYPVIGGFLLDTSSGSVFPASFDSTSRVPDEIVRRVRVTVCSMDSSWEGKLLETYDTKQDRFQIASCSWSSGWRQYVLSLQQLSDSEILSQCSTSPAAEPPDFVENERRKWAYMIEYADWDANLSRKETSDI >DRNTG_01957.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32603374:32606374:1 gene:DRNTG_01957 transcript:DRNTG_01957.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCSKILEALHCRPERFQVQTLCVLGHNTKKDQNGNAYPVIGGFLLDTSSGSVFPASFDSTSRVPDEIVRRVRVTVCSMDSSWEGKLLETYDTKQDRFQIASCSWSSGWRQYVLSLQQLSDSEILSQCSTSPAAEPPDFVENERRKWAYMIEYADWDANLSRKETSDI >DRNTG_01957.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32603374:32606374:1 gene:DRNTG_01957 transcript:DRNTG_01957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCSKILEALHCRPERFQVQTLCVLGHNTKKDQNGNAYPVIGGFLLDTSSGSVFPASFDSTSRVPDEIVRRVRVTVCSMDSSWEGKLLETYDTKQDRFQIASCSWSSGWRQYVLSLQQLSDSEILSQCSTSPAAEPPDFVENERRKWAYMIEYADWDANLSRKETSDI >DRNTG_35316.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10964750:10969136:-1 gene:DRNTG_35316 transcript:DRNTG_35316.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGYPSILIEGDLELERKLRRKGKEPVQEQPNPADLEVEGSDNMVEQNEQQWTLSDYARPSLLGTQSSIWNAREKKKVAGLHEIDAVTSLAAQVESLSKKLDLIASNRVPAMTNCTGCGGGHAPSDCPIVIGLETRMTDFENHLTRFIQSANRRFESVEATLRNHTASLHNLENQVGQIAKSLSERPHGSLPSNKETNPREHVKVITLRSGHEVEGRLPSEKPKEHAPEVVEKMFNPDPYEGLFDQEESNEEVLMLCWIGEETSTPGILKKVLWKMKRARRRHRKRPKTVGDVHEPRELDEQLLELGRFFGEYTGAWTRPCGRPCEPRTGVGNFRTPVRNSAGCPLHPEKTQGRAAAAMTREATGACVCPCGSGAQAWVFSARSCEISQSQGSVFPRRHRDVCTPLWRSRNMAPRTKKNEVKRLRLTSPEPIHVEFWNPEHQARFERLSALGFGQTCFADVQVLQDIHQGDKLINEIDEMLAVGSWRRLLTISEPAYHALTLKVLASFEFRLLYGRVDTTEVIQFQAFGHPFSMSSTEFSIRTGLYDAAYTGTVEYG >DRNTG_25826.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1069016:1070171:1 gene:DRNTG_25826 transcript:DRNTG_25826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGDRAFCSEDCRCKQIYIDEEMLLREDRCSLAAAPSSGRRAKKPARPGGFVY >DRNTG_34607.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23241654:23244861:1 gene:DRNTG_34607 transcript:DRNTG_34607.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGVGSFVVANALVSRQARFAQPVSLKSAFRSVIPLVVLGFGRLIFTKGADYQVHVGEYGVHWNFFFTLAGVVMLASMINIHPKYCGMFGLLILGGYQTCLRSGLSVYLLSNERALDYISQNKEGIFSIFGYWGMYLLGVNLGHSLFFGNVASAKYENFQWTRLRVCTFSFLMWLLTLVLNNHVERVSRRMCNFAYVTLVLAQNFQVLSILMLSDFIPGRKPLELEAAFNQNLLGSFLL >DRNTG_34607.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23242715:23246274:1 gene:DRNTG_34607 transcript:DRNTG_34607.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLASMINIHPKYCGMFGLLILGGYQTCLRSGLSVYLLSNERALDYISQNKEGIFSIFGYWGMYLLGVNLGHSLFFGNVASAKYENFQWTRLRVCTFSFLMWLLTLVLNNHVERVSRRMCNFAYVTLVLAQNFQVLSILMLSDFIPGRKPLELEAAFNQNLLGSFLLANILTGVVNLYVDTLSASSIIALGILLGYAFALAAIVCILWFSRVKLAL >DRNTG_34607.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23240673:23246274:1 gene:DRNTG_34607 transcript:DRNTG_34607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGVGSFVVANALVSRQARFAQPVSLKSAFRSVIPLVVLGFGRLIFTKGADYQVHVGEYGVHWNFFFTLAGVVMLASMINIHPKYCGMFGLLILGGYQTCLRSGLSVYLLSNERALDYISQNKEGIFSIFGYWGMYLLGVNLGHSLFFGNVASAKYENFQWTRLRVCTFSFLMWLLTLVLNNHVERVSRRMCNFAYVTLVLAQNFQVLSILMLSDFIPGRKPLELEAAFNQNLLGSFLLANILTGVVNLYVDTLSASSIIALGILLGYAFALAAIVCILWFSRVKLAL >DRNTG_34607.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23240673:23246274:1 gene:DRNTG_34607 transcript:DRNTG_34607.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGVGSFVVANALVSRQARFAQPVSLKSAFRSVIPLVVLGFGRLIFTKGADYQVHVGEYGVHWNFFFTLAGVVMLASMINIHPKYCGMFGLLILGGYQTCLRSGLSVYLLSNERALDYISQNKEGIFSIFGYWGMYLLGVNLGHSLFFGNVASAKYENFQWTRLRVCTFSFLMWLLTLVLNNHVERVSRRMCNFAYVTLVLAQNFQVLSILMLSDFIPGRKPLELEAAFNQNLLGSFLLANILTGVVNLYVDTLSASSIIALGILLGYAFALAAIVCILWFSRVKLAL >DRNTG_34607.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23240673:23246274:1 gene:DRNTG_34607 transcript:DRNTG_34607.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGVGSFVVANALVSRQARFAQPVSLKSAFRSVIPLVVLGFGRLIFTKGADYQVHVGEYGVHWNFFFTLAGVVMLASMINIHPKYCGMFGLLILGGYQTCLRSGLSVYLLSNERALDYISQNKEGIFSIFGYWGMYLLGVNLGHSLFFGNVASAKYENFQWTRLRVCTFSFLMWLLTLVLNNHVERVSRRMCNFAYVTLVLAQNFQVLSILMLSDFIPGRKPLELEAAFNQNLLGSFLLANILTGVVNLYVDTLSASSIIALGILLGYAFALAAIVCILWFSRVKLAL >DRNTG_21108.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8093706:8095731:-1 gene:DRNTG_21108 transcript:DRNTG_21108.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLB3 [Source:Projected from Arabidopsis thaliana (AT4G32690) UniProtKB/TrEMBL;Acc:A0A178V2G3] MQSLQEKASEWSGVAPGDAFAIDDTNLFETLGGIQPFIDLSTNFYNRKEDAIQNQYEFFVQRMGGPPLYSQRKGHPALIARHRPFPVTHEAAERWLHHMHQALDSTQNIGPESKTKMMNFFRHTAFFLVAGNEMTKQAQPPCKHAASKSAAVSDD >DRNTG_14945.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27037567:27047360:1 gene:DRNTG_14945 transcript:DRNTG_14945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGELPPMAGTSTTVTIRGTVAYVSQVSWIFNATVRDNILFGSPFQPSRYEKAIEVTALQHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVGRQVFDKCIKDELRGKTRVLVTNQLHFLPNVDRIILVHEGMVKEVGTFDELSISGVLFQKLMENAGKLEEQMEDKSGEAQDHEIKKSNENGEIQMPENSLKGEDKSNKGKVGKSVLIKQEERETGVVSWKVLARYKNALGGMWVVIGLLLCYILTEVLRVSSSTWLSAWTDQSSSKTYGPGFYNLVYALLSFGQVLVTLANSYWLIISSLYAAKKLHDAMLHSILRAPMVFFHTNPLGRIINRFAKDLGDIDRNVATFVNMFLGQVSQLLSTFVLIGIVSTLSLWAIMPLLILFYAAYLYYQATAREVKRLDSITRSPVYAQFAEALNGLSTIRAYKAYDRMTSINGKSMDNNVRYTLVNMSANRWLGIRLETLGGIMIFFTASFAVMENQRAENQKAFASTMGLLLSYALNITNLLTAVLRLASLAENSLNAVERVGTYVELPSEAPPVVENSRPPPGWPSSGIIRFQDVVLRYRPELPPVLHGISFTIGASEKVGIVGRTGAGKSSMLNALFRIVELEKGKIFIDDHDISKFGLTDLRKALGIIPQSPVLFSGTVRFNLDPFNEHNDADLWEALERAHLKDVIRRNDLGLDIEVSEAGENFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTIIDCDRVLLLSSGQVVEFDTPEDLLSNEASSFSKMVQSTGAANAQYLRSLVFGDGEGQSSREEAKRLDGRRRWLASSRWAAAAQFALAVSLTSSQNDLHKLEINDENSILRKTKDAVITLQSVLEGKHDSAIEESLNQYQVPKERWWSSLYKVVEGLAAMGRLARSRNHHPDYLFGDKSIDWEQIEM >DRNTG_18667.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15845353:15849224:-1 gene:DRNTG_18667 transcript:DRNTG_18667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISSLVQVICIDTSLAITILDFSHLQPCPTCGTDGCLGCDLFPGATCHAPNLAPWTLDADKRPYTYKMRKRREKKNKYRGVRQRLWRRWAAEFRGPKAKLNFPFLDKYDGNGVYDGNTCGKVSFKGKKIADHPTLQCEVPPFGLMGGGVVNPEFTLVKSVF >DRNTG_10283.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20254126:20255083:-1 gene:DRNTG_10283 transcript:DRNTG_10283.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSLASLASSILDRDSKPSISVTMALHLVGAIHLSHANRILIAIEKEESCDFDVRPVVHVVQLQLANTKTAIGHHEEALVNLRTCLDLKVEILEPDSWELGTAHRDLAEAYASVLNFKEALPLCLKALEIHEKQLGSNSVDVAHDQRLLGVIYTGLEEHEKALYQNEKSKKISLGKFDEVIKALKGVVEQNDNNSEARALVFVLMAKALCNQEKFADSKRCLEISSEIIKNKELVSLDMMAETYVEISSLYESMNEFSTAMSLLERALCQ >DRNTG_05145.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30690276:30692954:-1 gene:DRNTG_05145 transcript:DRNTG_05145.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMINVWISLANPLKSIPFLLSGSGCGCRFSFAAGGFSASGGWF >DRNTG_05145.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30690276:30692984:-1 gene:DRNTG_05145 transcript:DRNTG_05145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMINVWISLANPLKSIPFLLSGSGCGCRFSFAAGGFSASGGWF >DRNTG_09444.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21255800:21259777:-1 gene:DRNTG_09444 transcript:DRNTG_09444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSADLEATLLGDRSPERRTRATIAAVSLIVLGLGFILVFTVFQIDHNDGQIWRRDEPRLRLERKEEVESEVGVVAADDGRCSEIGVSMLKLGGHAVDAAVATALCLGVVHPMSSGIGGGAFMVVRSSDGGDAEAFDSRETAPAAASQNMYAKNPSSKIRGALSAGVPGEITGLHAAWLKYGKLTWKSLFQPSIKLARDGFTVTPYFESILKDSEDAIMADPGLRQVYAPKGKLLQATNTCYNSQLASTLEIIADEGPQVLYNGTIAEKLVEDVKNAGGIITMEDMRQYRVKITKAMETKALGFTVLGMPLPSSGTIGMTLILNILGSYGSSDALKGLLGLHRFIEALKHMLAIRMNLGDPDFVDATKYESLMLSSSFAEKIRHKILDNTTFDPSYYLDRWNQLDDHGTSHVSIVDAERNAVAMTSTVNYYFGAKVQSPSTGIVLNNEMNDFSVPGEPTPDELPPSPANFIEPGKRPLSSMTPVIILKDNQLAGVVGASGGIYIIPAVTQVFIKHYILGMEPLAAIQHPRVYHHLIPNVVTYENWTTVNGDHIEFMEKAKVFLEERGHQVKGMSGGGFSQLVVHNLTNPVDINKRKAAPLGKNSVFYGMLTAVSDPRKSGRPAGI >DRNTG_09444.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21255800:21259777:-1 gene:DRNTG_09444 transcript:DRNTG_09444.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLGGHAVDAAVATALCLGVVHPMSSGIGGGAFMVVRSSDGGDAEAFDSRETAPAAASQNMYAKNPSSKIRGALSAGVPGEITGLHAAWLKYGKLTWKSLFQPSIKLARDGFTVTPYFESILKDSEDAIMADPGLRQVYAPKGKLLQATNTCYNSQLASTLEIIADEGPQVLYNGTIAEKLVEDVKNAGGIITMEDMRQYRVKITKAMETKALGFTVLGMPLPSSGTIGMTLILNILGSYGSSDALKGLLGLHRFIEALKHMLAIRMNLGDPDFVDATKYESLMLSSSFAEKIRHKILDNTTFDPSYYLDRWNQLDDHGTSHVSIVDAERNAVAMTSTVNYYFGAKVQSPSTGIVLNNEMNDFSVPGEPTPDELPPSPANFIEPGKRPLSSMTPVIILKDNQLAGVVGASGGIYIIPAVTQVFIKHYILGMEPLAAIQHPRVYHHLIPNVVTYENWTTVNGDHIEFMEKAKVFLEERGHQVKGMSGGGFSQLVVHNLTNPVDINKRKAAPLGKNSVFYGMLTAVSDPRKSGRPAGI >DRNTG_10186.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2153084:2156753:-1 gene:DRNTG_10186 transcript:DRNTG_10186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRRYALLLCAEDSDYVKKVHGGYFQVFVRLLGDEEEEWDLFQAACGELPRGDDEIGMYDGFVITGSCNDAHGDDLWIGDLVRLLKRLDSLEKKVLGICFGHQILCRALGGKTGRAKKGWDIGLTTIHLSLFHQVPPLSKHPCFSLCDRVPP >DRNTG_18628.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3208950:3209297:1 gene:DRNTG_18628 transcript:DRNTG_18628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVNRRRNHHPSPLLKMPVTPKKGKIGIRVGGNDEEQQRFDVSVEYLSHRLFTGLLREVEEEFGFDHDGPITLSCGVARFRRVLLVVAGDCAEPQWRRCQHRRFSYFTGCFGV >DRNTG_25069.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:599125:600346:1 gene:DRNTG_25069 transcript:DRNTG_25069.2 gene_biotype:protein_coding transcript_biotype:protein_coding FRTSDSIISKKIIDLDQSRCSLCRCRLRRTCTSRRSQQRRGGLRQPPRPQSQLPQVDMMRLFEGL >DRNTG_25069.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:599125:600346:1 gene:DRNTG_25069 transcript:DRNTG_25069.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRTSDSIISKKIIDLDQSRCSLCRCRLRRTCTSRRSQQRRGGLRQPPRRKSSRSRSPFHSCFPSSCNTQ >DRNTG_25069.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:599333:600346:1 gene:DRNTG_25069 transcript:DRNTG_25069.6 gene_biotype:protein_coding transcript_biotype:protein_coding IFDYFTCHRCSLCRCRLRRTCTSRRSQQRRGGLRQPPRRKSSRSRSPFHSCFPSSCNTQ >DRNTG_25069.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:599333:600346:1 gene:DRNTG_25069 transcript:DRNTG_25069.7 gene_biotype:protein_coding transcript_biotype:protein_coding IFDYFTCHRCSLCRCRLRRTCTSRRSQQRRGGLRQPPRPQSQLPQVDMMRLFEGL >DRNTG_25069.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:599333:600346:1 gene:DRNTG_25069 transcript:DRNTG_25069.3 gene_biotype:protein_coding transcript_biotype:protein_coding IFDYFTCHRCSLCRCRLRRTCTSRRSQQRRGGLRQPPRRKSSRSRSPFHSCFPSSCNTQHTGSCQHNHSCHRST >DRNTG_19089.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:115622:116158:1 gene:DRNTG_19089 transcript:DRNTG_19089.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTETPRRTRRYNFSEKEKKTRSRYCSVL >DRNTG_14718.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20947631:20949744:-1 gene:DRNTG_14718 transcript:DRNTG_14718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDHSKSLSKTLLNPSHQLSKDGEKMEKRMLKSA >DRNTG_30418.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001573.1:130043:131529:-1 gene:DRNTG_30418 transcript:DRNTG_30418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSFCFMVFFSCNHVQGSSPAMFVFGDCSSDVGNNNYLHTTDKCDFPPYGIDYPGGVANGRCNNGKNVADFLADKLGIASPEPYLSLMETRKTHKFLQGVNFASSGAGILSSTYEGLCISMDTQIDYYSSVFEALVEKMDISQTQWLISRSIFMINIGSVDILVYNGTSSSNYVSLLISTLEGQLKRIYDLGARKFVFMGIEPVGCWPALRAMNKSNGDCNIEANQLSFLFNEQAAVLLQKMQSEFADMNYSFFDSYRELNNYINYPKTYGFKEAKAACCGMGFLKAEIPCNPLSSYCSNRTEYVFWDNWRQTEATAKLLVSTAFDGSPPNVFPVNVKQLSGFCSEINAHVVPFVAQ >DRNTG_08736.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5060360:5061913:-1 gene:DRNTG_08736 transcript:DRNTG_08736.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIHPINKCQDVRGHEDNDEQRHSFQDPWTSRRACFSRHC >DRNTG_08736.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5060360:5060922:-1 gene:DRNTG_08736 transcript:DRNTG_08736.3 gene_biotype:protein_coding transcript_biotype:protein_coding SIHPINKCQDVRGHEDNDEQRHSFQDPWTSRRACFSRHC >DRNTG_08736.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5060360:5060922:-1 gene:DRNTG_08736 transcript:DRNTG_08736.2 gene_biotype:protein_coding transcript_biotype:protein_coding SIHPINKCQDVRGHEDNDEQRHSFQDPWTSRRACFSRHC >DRNTG_13240.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2925433:2929329:-1 gene:DRNTG_13240 transcript:DRNTG_13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVKAQKTKAYFKRFQVKFKRRRQGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDIHAQIVSASIVGDVVLAAAYAHELPRYGLEVGLTNYAAAYCTGLLLARRVLKMLEMDEEYEGNVEATGEDFSVEPAESRRPFRALLDVGLVRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFKKDGKQLDAEFHREYIFGGHIASYMRTLMDDEPEKYQTHFSEYIKRGIEADNMEELYKKVHAAIRADPTAVKSTKEPPKAHKRYNLKKLTYDERKARLVERLNALNSTADDDEDEEDDD >DRNTG_07018.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3339255:3340174:-1 gene:DRNTG_07018 transcript:DRNTG_07018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQCSLSGTNLPLKNNSSAAIIGRLPTENSKSGSPGEGESFGTDEMAAACSTTKSLEKEILGLMGMPPW >DRNTG_11293.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1467481:1469566:1 gene:DRNTG_11293 transcript:DRNTG_11293.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQSTQPNNKNKNKNHMNKTIRKTLTILNCLLLMLGNTGGPCLSRLYFNHGGHRNWLLSFLETAGFPILLIPLIISYYYRRSSNPSTRAYFTTPHIFISCAVLGLLTGLDDFLYAYGLSFLPVSTSSLLLASHLVFTAVFAFFIVKQKFTPFSLNAVALLTVGSVILGLHRNSDRPANVTNKKYIMGFILTLGTAALYGLVLPLIELTYMKAKQVVTYTLVMEMQVIMGFFATLFCVVGMLINHDFQEIPEEARQYELGPVRYYIVLIWSSIFWQFFFLGAVGVIFYVHTLLAAIIIAICIPITQVLGVIFFHEPFSSEKGVAVVLSLWGMASYSYGDYLQSKQKDVAHDQVVGERSDQVIELGDDINVA >DRNTG_01647.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2956434:2962897:1 gene:DRNTG_01647 transcript:DRNTG_01647.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTKFGISFTSKPMNQAGALVHVYVDGTVLVTHGGVEMGQGLHTKVAQIAASSLNIPLSSVFISETSTDKVPNSSPTAASASSDMYGAAVLDACEQIKSRMNPVAAKLGHSSFAELARACFLERIDLSAHGFYITPDIDFDWKVGKGKLFSYYTYGAAFAEVEVDTLTGDFQTRTADIVMDLGHSLNPAIDIGQIEGAFIQGLGWVALEELKWGDPDHKWIRPGNLYTCGPGTYKIPSANDIPMNFKVSLLKGAPNPKAIHSSKAVGEPPFFLAASVFFAIRDAITAARAEENLFDWFPLDNPATPERIRMACTDNFTKPFASPDFRPKLSV >DRNTG_01647.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2953255:2962897:1 gene:DRNTG_01647 transcript:DRNTG_01647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGASFKVIDFKGNQRTILAKEFFLGYRKVDLTATEILLSVHLPWTRPFEFVKEFKQAHRREDDIALVNAGMRVFLKQEGVKWTVAEVSIVYGGVAPVSFAALKTERSLIGKNWDKHMLDDAFLLLKDDILLTENAPGGMVEFRKSLTLSFFFKFFLFVSQQMSEKGHFTEEGFLSNHQSAIMPYSKPSFSGTQCYEVIREGTAVGLPMVHLSSKLQVTGEVEYADDVPLPPNALHAALVLSKKPHARILSIDDSQAKTSPGFAGLFLGRDVPGSNKIGAVIHDEEVFASELVTCVGQVIGIVVADTHENAKLASRKVLIEYEELLPVLSIKDAISCGSFHPNTARCFANGDVEWCFQSGACDKIIEGEVHVGGQEHFYLEPHSSLIWTVDGSSKASKFCCSCTWSSVVKSCLQDQAYRWW >DRNTG_23888.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7134264:7136012:1 gene:DRNTG_23888 transcript:DRNTG_23888.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLNTPINVEDGYTEYESASEHHNTTTSKVWDDMKKLECNDKEIIKAQCNHCKAILSAKSSSGTSHLRRHLQGCLKKHNK >DRNTG_23888.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7134264:7157098:1 gene:DRNTG_23888 transcript:DRNTG_23888.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLNTPINVEDGYTEYESASEHHNTTTSKVWDDMKKLECNDKEIIKAQCNH >DRNTG_33175.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23472564:23476970:-1 gene:DRNTG_33175 transcript:DRNTG_33175.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPPLDVQRAESSRQHVRALNTQFASWVQSQLQNHPDELWEDGVKDYLSHASNIMEKFKDIVDWLKANVAKSASIPTSSAISDQKITVDVPENYNTTTQAATNNGFVKETPFTSFSNPQSSGFLSTSKSSGLFSFSQPPAPTVNETQTHPQAEASGDIDKENDLEQPSSPSLKKTEEKGVVVVHEAKCKVYVKPDNPAEKGWKDMGMGQLSIRCKEGAEKASKESKPIIVVRNEVGKILLNALIYPGIKMNIQKNTIATIFHTLGGGPANGTDTDTDKEVAVARTYLLRMKTEEETTKLSAAIKEHAPAA >DRNTG_33175.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23472564:23476970:-1 gene:DRNTG_33175 transcript:DRNTG_33175.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKRGAKRAALSDLAPEPIDTSLRSKRVMEAFDVHRAESSHQHLMAGPPLDVQRAESSRQHVRALNTQFASWVQSQLQNHPDELWEDGVKDYLSHASNIMEKFKDIVDWLKANVAKSASIPTSSAISDQKITVDVPENYNTTTQAATNNGFVKETPFTSFSNPQSSGFLSTSKSSGLFSFSQPPAPTVNETQTHPQAEASGDIDKENDLEQPSSPSLKKTEEKGVVVVHEAKCKVYVKPDNPAEKGWKDMGMGQLSIRCKEGAEKASKESKPIIVVRNEVGKILLNALIYPGIKMNIQKNTIATIFHTLGGGPANGTDTDTDKEVAVARTYLLRMKTEEETTKLSAAIKEHAPAA >DRNTG_33175.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23472564:23476879:-1 gene:DRNTG_33175 transcript:DRNTG_33175.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKRGAKRAALSDLAPEPIDTSLRSKRVMEAFDVHRAESSHQHLMAGPPLDVQRAESSRQHVRALNTQFASWVQSQLQNHPDELWEDGVKDYLSHASNIMEKFKDIVDWLKANVAKSASIPTSSAISDQKITVDVPENYNTTTQAATNNGFVKETPFTSFSNPQSSGFLSTSKSSGLFSFSQPPAPTVNETQTHPQAEASGDIDKENDLEQPSSPSLKKTEEKGVVVVHEAKCKVYVKPDNPAEKGWKDMGMGQLSIRCKEGAEKASKESKPIIVVRNEVGKILLNALIYPGIKMNIQKNTIATIFHTLGGGPANGTDTDTDKEVAVARTYLLRMKTEEETTKLSAAIKEHAPAA >DRNTG_33175.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23472381:23476879:-1 gene:DRNTG_33175 transcript:DRNTG_33175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKRGAKRAALSDLAPEPIDTSLRSKRVMEAFDVHRAESSHQHLMAGPPLDVQRAESSRQHVRALNTQFASWVQSQLQNHPDELWEDGVKDYLSHASNIMEKFKDIVDWLKANVAKSASIPTSSAISDQKITVDVPENYNTTTQAATNNGFVKETPFTSFSNPQSSGFLSTSKSSGLFSFSQPPAPTVNETQTHPQAEASGDIDKENDLEQPSSPSLKKTEEKGVVVVHEAKCKVYVKPDNPAEKGWKDMGMGQLSIRCKEGAEKASKESKPIIVVRNEVGKILLNALIYPGIKMNIQKNTIATIFHTLGGGPANGTDTDTDKEVAVARTYLLRMKTEEETTKLSAAIKEHAPAA >DRNTG_33175.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23472564:23476879:-1 gene:DRNTG_33175 transcript:DRNTG_33175.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPPLDVQRAESSRQHVRALNTQFASWVQSQLQNHPDELWEDGVKDYLSHASNIMEKFKDIVDWLKANVAKSASIPTSSAISDQKITVDVPENYNTTTQAATNNGFVKETPFTSFSNPQSSGFLSTSKSSGLFSFSQPPAPTVNETQTHPQAEASGDIDKENDLEQPSSPSLKKTEEKGVVVVHEAKCKVYVKPDNPAEKGWKDMGMGQLSIRCKEGAEKASKESKPIIVVRNEVGKILLNALIYPGIKMNIQKNTIATIFHTLGGGPANGTDTDTDKEVAVARTYLLRMKTEEETTKLSAAIKEHAPAA >DRNTG_10369.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21176523:21178042:1 gene:DRNTG_10369 transcript:DRNTG_10369.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASASLMASQLKGGLLSSSARGLIVPKGLSCAPLKDLHYRRRLCFTVKAIQAEKPTYQVIQPINGDPFIGSLETPITSSPLIAWYLSNLPAYRTAVSPLLRGIEVGLAHGYLLVGPFVKTGPLRNTPYAGSAGSLAAAGLVVILSICLTMYGIASFNEGEPSTAPALTLTGRKKEADKLQTAEGWSQFSGGFFFGGISGVIWAYFLLYVLDLPYYIK >DRNTG_16373.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000810.1:83058:83336:1 gene:DRNTG_16373 transcript:DRNTG_16373.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDSEQDAVSSDTSDDQMSEEDEPDDHMTLDQYQEEARRVALVRKGPLLDVESQKKGRLELGGSSIH >DRNTG_17492.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6546961:6547519:-1 gene:DRNTG_17492 transcript:DRNTG_17492.3 gene_biotype:protein_coding transcript_biotype:protein_coding RKKDSDKTKNLKDRTHEQQHFQQSLRNTRHEARPQP >DRNTG_17492.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6546961:6547546:-1 gene:DRNTG_17492 transcript:DRNTG_17492.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIPPLDNQSMLSKIIQVFHNYTKGKKILTKQRISRIEHMNNNTFNNH >DRNTG_17492.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6546922:6547483:-1 gene:DRNTG_17492 transcript:DRNTG_17492.2 gene_biotype:protein_coding transcript_biotype:protein_coding RKKDSDKTKNLKDRTHEQQHFQQSLRNTRHEARPQP >DRNTG_17492.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6546961:6547483:-1 gene:DRNTG_17492 transcript:DRNTG_17492.4 gene_biotype:protein_coding transcript_biotype:protein_coding RKKDSDKTKNLKDRTHEQQHFQQSLRNTRHEARPQP >DRNTG_05184.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22574100:22574985:-1 gene:DRNTG_05184 transcript:DRNTG_05184.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGCLCASLRSEQEAMELDWIKRVGIVRDIAQALSYLHHDCAPPIVHRDITSNNILLNEEYKACISDFGISRLLKPNSSHWSVLAGTFGYMAPELAYVMRVTEKCDVYSFGIVALEVIHGMHPGDLLNNLSSSMLVKDILDPCVPLHIADQVATSQVLSVICMAMQCINTNPQSRPTMNQVSQRLSSLKSSPLYNHPFGGLTVAQLMNEKHDDQMHSAV >DRNTG_05236.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:22393679:22395053:-1 gene:DRNTG_05236 transcript:DRNTG_05236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVELGVFRRSTLAGPFCTSLKGFSGFDTALRLEEGYWGSFHRHPSVEVCPRPDKGTFGEDEVTTHDYRHEYREGFPMDCLSLLSISFLSVLCSMES >DRNTG_15393.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4682631:4692689:1 gene:DRNTG_15393 transcript:DRNTG_15393.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDTSMSPDAEHDPVAANHHDSSSSSPASPSSVEPSAPAAAAAAAQAAVAGPRPAPTYTVVNAIIEKKEDGPGSRCGHTLTAVAAVGEEGSPGYIGPRLILFGGATALEGNSAAPPSSAGSAGIRLAGATADVHCYDVLSNKWSRLIPLGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSIAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTNAASHAAAATAISSVQVGRTGRYGFGDEGTRQNIPESVPEGAVVLGTPVAPPANGDMHTDISTENAMLQGPRLSKGVDYLVEASAAEAEAISATLAAAKARQINGEADQLPERDRGAEATPSGKQISPLIKGPESSLPNNVTQLGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPESATAARKLLDRQMSISSVPKKVIAQLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQIKAPVKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEHPHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAISSPETSPDHIEDTWMQELNANRPPTPTRGRPQAANDRGSLAWI >DRNTG_15393.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4682631:4692689:1 gene:DRNTG_15393 transcript:DRNTG_15393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDTSMSPDAEHDPVAANHHDSSSSSPASPSSVEPSAPAAAAAAAQAAVAGPRPAPTYTVVNAIIEKKEDGPGSRCGHTLTAVAAVGEEGSPGYIGPRLILFGGATALEGNSAAPPSSAGSAGIRLAGATADVHCYDVLSNKWSRLIPLGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSIAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTNAASHAAAATAISSVQVGRTGRYGFGDEGTRQNIPESVPEGAVVLGTPVAPPANGDMHTDISTENAMLQGPRRLSKGVDYLVEASAAEAEAISATLAAAKARQINGEADQLPERDRGAEATPSGKQISPLIKGPESSLPNNVTQLGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPESATAARKLLDRQMSISSVPKKVIAQLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQIKAPVKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEHPHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAISSPETSPDHIEDTWMQELNANRPPTPTRGRPQAANDRGSLAWI >DRNTG_10252.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19948872:19951713:1 gene:DRNTG_10252 transcript:DRNTG_10252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHIPRPSPTPTLRPALNHESSPSSTSKYSVVKNIEL >DRNTG_24880.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18114001:18116482:-1 gene:DRNTG_24880 transcript:DRNTG_24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLSDEQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYDEFVKVMMAKRREKRRQSMKNEGRSGGQTTASSVVNGSKKGGFLCSSQGHCSIL >DRNTG_30209.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:19517:21922:-1 gene:DRNTG_30209 transcript:DRNTG_30209.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor PHL6 [Source:Projected from Arabidopsis thaliana (AT3G13040) UniProtKB/Swiss-Prot;Acc:Q949U2] MLKSSKHKKKYGIKPMKKSKTMNKNQVTVKQSHPSEEGKGGNSFMDPNHSLQFAASGFSFSRSSKFCTSLYLSTGSESCRQLSNLPPFLPHPPKPELDNFLSFPVDTSDGSFNGDNGGFSINDQLELQILSEQLGIDITDDGESPLLDEIYDMPVPLASPSLDVVPSPTFSSPKSTSPSTPGNATVNKPRLRWTVELHERFVEAVNKLEGAEKATPKGVLKLMNVEGLTIYHVKSHLQKYRLAMYLPAAKEDKKTSCSDEKKAQSICDDSSTDIKRSMQVTEALRMQIEVQKQLHEQLEIQRQLQLRIEEQARYLQKILEEQQKANDSRVSLKQPLISGVQPETSDEPSNVQSGLKDESSSTTQCSKHKADDSGSIPELPDGNKRARLDVEEGKTS >DRNTG_30209.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:19517:21922:-1 gene:DRNTG_30209 transcript:DRNTG_30209.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor PHL6 [Source:Projected from Arabidopsis thaliana (AT3G13040) UniProtKB/Swiss-Prot;Acc:Q949U2] MKKSKTMNKNQVTVKQSHPSEEGKGGNSFMDPNHSLQFAASGFSFSRSSKFCTSLYLSTGSESCRQLSNLPPFLPHPPKPELDNFLSFPVDTSDGSFNGDNGGFSINDQLELQILSEQLGIDITDDGESPLLDEIYDMPVPLASPSLDVVPSPTFSSPKSTSPSTPGNATVNKPRLRWTVELHERFVEAVNKLEGAEKATPKGVLKLMNVEGLTIYHVKSHLQKYRLAMYLPAAKEDKKTSCSDEKKAQSICDDSSTDIKRSMQVTEALRMQIEVQKQLHEQLEIQRQLQLRIEEQARYLQKILEEQQKANDSRVSLKQPLISGVQPETSDEPSNVQSGLKDESSSTTQCSKHKADDSGSIPELPDGNKRARLDVEEGKTS >DRNTG_09010.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8617369:8618870:-1 gene:DRNTG_09010 transcript:DRNTG_09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLTEEVPSTAVILKKVLQKMKRARRHQRKRSKAIGDVPEPNKLDEPLLDDEAGKEENELDISSLDAITKCKSAADIVNKTLQFPLSQCKPGVKAVDLCGKGDVFIRD >DRNTG_19489.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001001.1:6278:7055:-1 gene:DRNTG_19489 transcript:DRNTG_19489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLYLLSFNLSTVVWKNDAVSTTRDKLYTLLEGKEMVTDDVMDAFVCIIQKSLSKEPYPYKKRASITRLLALFMSKQGDAHDTIMAMVGDAVRNLHEVQIVILPIIMNGHFHVVVLDNDKQEYRHYSSCPGYDKDALDMRNLFDNCVDMEFGESATAKYPLVHDMETPRQKQGSVDCAVYVMRFIEQLLWGEKLRLSQTDVPYRRLRYVTRILKEERAAGVHDKGGSSQAV >DRNTG_02004.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:22693091:22698510:-1 gene:DRNTG_02004 transcript:DRNTG_02004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWSSLVLSKGLLVKPRAHLAGSVPMKSLPITIFQEKRGELHRGRHMPMCVLGLASEHIHTGVLKLRMPVCPTHRCTRPLIGHSHDLVFSRDGEGHPQGQTHTPVSSLDRALNFCRETHACVEILYGRVTVTRSFTGADTRHVSSRAPAEFLS >DRNTG_16122.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1467109:1468528:-1 gene:DRNTG_16122 transcript:DRNTG_16122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDEQEVALAVKIRSFDRRKDRAKVEELEKKCEIGSTKSPFLFTDTLGDPLCRIRNSPLYKMMVAELGEEMVGIIRGSIKIVTVGNLYPNPNPNPNEGEAKVGYILGLRVSPLFRRRGIGLLLVCKMEEWFIGQSSRIRIHGNGEEEQGIDQALCR >DRNTG_15911.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:989224:995147:-1 gene:DRNTG_15911 transcript:DRNTG_15911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKVNPTQCEALTMVCAQVMGNHTAITVGGLNGHFELNVYKPVIASNLLRSLRLLGDASISFEKNCVRGIQANRERISKLLHESLMLVTSLNPKIGYDKAAAVAKKAHKEGTTLKNAALDLGVLTGEEFDELVVPHKMIVPSD >DRNTG_15911.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:989224:994412:-1 gene:DRNTG_15911 transcript:DRNTG_15911.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYQLAHGGTAVGTGLNTKKGFDVKVAAEVAEETKLPFITAENKFEALAAHDAFVETSGALNTVSVSLHKIANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHTAITVGGLNGHFELNVYKPVIASNLLRSLRLLGDASISFEKNCVRGIQANRERISKLLHESLMLVTSLNPKIGYDKAAAVAKKAHKEGTTLKNAALDLGVLTGEEFDELVVPHKMIVPSD >DRNTG_15911.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:989224:993601:-1 gene:DRNTG_15911 transcript:DRNTG_15911.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHTIFRFDVKVAAEVAEETKLPFITAENKFEALAAHDAFVETSGALNTVSVSLHKIANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHTAITVGGLNGHFELNVYKPVIASNLLRSLRLLGDASISFEKNCVRGIQANRERISKLLHESLMLVTSLNPKIGYDKAAAVAKKAHKEGTTLKNAALDLGVLTGEEFDELVVPHKMIVPSD >DRNTG_15911.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:989132:989463:-1 gene:DRNTG_15911 transcript:DRNTG_15911.11 gene_biotype:protein_coding transcript_biotype:protein_coding NAALDLGVLTGEEFDELVVPHKMIVPSD >DRNTG_15911.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:989224:994743:-1 gene:DRNTG_15911 transcript:DRNTG_15911.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYQLAHGGTAVGTGLNTKKGFDVKVAAEVAEETKLPFITAENKFEALAAHDAFVETSGALNTVSVSLHKIANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHTAITVGGLNGHFELNVYKPVIASNLLRSLRLLGDASISFEKNCVRGIQANRERISKLLHESLMLVTSLNPKIGYDKAAAVAKKAHKEGTTLKNAALDLGVLTGEEFDELVVPHKMIVPSD >DRNTG_15911.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:989224:993601:-1 gene:DRNTG_15911 transcript:DRNTG_15911.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKVNPTQCEALTMVCAQVMGNHTAITVGGLNGHFELNVYKPVIASNLLRSLRLLGDASISFEKNCVRGIQANRERISKLLHESLMLVTSLNPKIGYDKAAAVAKKAHKEGTTLKNAALDLGVLTGEEFDELVVPHKMIVPSD >DRNTG_15911.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:994483:995147:-1 gene:DRNTG_15911 transcript:DRNTG_15911.10 gene_biotype:protein_coding transcript_biotype:protein_coding SVEFKDIVKIGRTHTQDATPLTLGQEFSGYTTQ >DRNTG_15911.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:989224:994412:-1 gene:DRNTG_15911 transcript:DRNTG_15911.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKVNPTQCEALTMVCAQVMGNHTAITVGGLNGHFELNVYKPVIASNLLRSLRLLGDASISFEKNCVRGIQANRERISKLLHESLMLVTSLNPKIGYDKAAAVAKKAHKEGTTLKNAALDLGVLTGEEFDELVVPHKMIVPSD >DRNTG_15911.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:989224:994743:-1 gene:DRNTG_15911 transcript:DRNTG_15911.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKVNPTQCEALTMVCAQVMGNHTAITVGGLNGHFELNVYKPVIASNLLRSLRLLGDASISFEKNCVRGIQANRERISKLLHESLMLVTSLNPKIGYDKAAAVAKKAHKEGTTLKNAALDLGVLTGEEFDELVVPHKMIVPSD >DRNTG_15911.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:989224:995147:-1 gene:DRNTG_15911 transcript:DRNTG_15911.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKVNPTQCEALTMVCAQVMGNHTAITVGGLNGHFELNVYKPVIASNLLRSLRLLGDASISFEKNCVRGIQANRERISKLLHESLMLVTSLNPKIGYDKAAAVAKKAHKEGTTLKNAALDLGVLTGEEFDELVVPHKMIVPSD >DRNTG_15911.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:989224:991905:-1 gene:DRNTG_15911 transcript:DRNTG_15911.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKVNPTQCEALTMVCAQVMGNHTAITVGGLNGHFELNVYKPVIASNLLRSLRLLGDASISFEKNCVRGIQANRERISKLLHESLMLVTSLNPKIGYDKAAAVAKKAHKEGTTLKNAALDLGVLTGEEFDELVVPHKMIVPSD >DRNTG_34359.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3049571:3053508:-1 gene:DRNTG_34359 transcript:DRNTG_34359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGKLLGCFVGKCSGSIRSIARHPRLPIIASCGLDSYLRFWDTKTRQLLSTVFLKQHLTSVMLDTHFSAEEIESADQPSDLQANDHSEVENDEELPPKVR >DRNTG_34359.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3049571:3053080:-1 gene:DRNTG_34359 transcript:DRNTG_34359.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGKLLGCFVGKCSGSIRSIARHPRLPIIASCGLDSYLRFWDTKTRQLLSTVFLKQHLTSVMLDTHFSAEEIESADQPSDLQANDHSEVENDEELPPKVR >DRNTG_34359.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3049571:3052710:-1 gene:DRNTG_34359 transcript:DRNTG_34359.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGKLLGCFVGKCSGSIRSIARHPRLPIIASCGLDSYLRFWDTKTRQLLSTVFLKQHLTSVMLDTHFSAEEIESADQPSDLQANDHSEVENDEELPPKVR >DRNTG_34359.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3049571:3049950:-1 gene:DRNTG_34359 transcript:DRNTG_34359.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTHFSAEEIESADQPSDLQANDHSEVENDEELPPKVR >DRNTG_34359.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3049571:3049662:-1 gene:DRNTG_34359 transcript:DRNTG_34359.7 gene_biotype:protein_coding transcript_biotype:protein_coding EIESADQPSDLQANDHSEVENDEELPPKVR >DRNTG_34359.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3049571:3053245:-1 gene:DRNTG_34359 transcript:DRNTG_34359.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGKLLGCFVGKCSGSIRSIARHPRLPIIASCGLDSYLRFWDTKTRQLLSTVFLKQHLTSVMLDTHFSAEEIESADQPSDLQANDHSEVENDEELPPKVR >DRNTG_16217.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30826982:30831177:-1 gene:DRNTG_16217 transcript:DRNTG_16217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVEFETVHWIWDGILYPQLFGLGGIMIAAAIIGLSTGYFGGIGIPCSSPYHWPDLGILYKRRKRPVRVYMDGCFDLMHYGHANALRQAKSLGDVLVVGVASDEEIVANKGPPVLSMEERLILVRGLKWVDEVIVNTPYDINENFLNTLFNEYKIDYIIHGDDPCLLPDGTDAYGLAKRAGRYKQIKRTEGVSSTDIVGRILSSLRAADANMSQNTTAIHQHHNNTDKLQAGKNLPIGPQLSHFLPTSRRIVQFSNGKGPEPGARVVYIDGAFDLFHAGHVEILRSARQLGDFLLVGIYSDQTVSERRGSHPIMHLHERSLSVLGCRYVDEVIIGAPWEVTKDMIATFNISLVVHGTIAENNRRCEFDPYAIARSMGIFQMITSPSNITSTSVESRIIDNYEAYEKRNLKKKESEDRYYAEKKFVTGD >DRNTG_02180.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1386257:1389208:1 gene:DRNTG_02180 transcript:DRNTG_02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGSASTTVSLLRLNDLRDNKGARKQKTRKGRGIGSGKGKTAGRGHKGQKARGTMKFGFEGGQTPLRRRLPRRGFKNRFSLTFQPVGLGKIAKLINAGKIDSSELITMKTLKETGAIGKQIKDGVRLMGRGAEHIKWPIHLEVTRVTVRAKEAVDAAGGSVRKVYYNKLGFRALLKPEWFEKKGRLLPKAARPPPKQQDKVDSTGRLPAPTKPISFITEQQPEDTVTVPSAS >DRNTG_13202.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2499184:2500345:-1 gene:DRNTG_13202 transcript:DRNTG_13202.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSRSETIPMLVLFISILCLYFPVKTTAAVDLLYVTLKWPGTLYESGQCCMPTTGSPAEDFLIDDIKTYDSGTGQFVKNCDPSCRFSINKMHDLITDLYSYWSDLSCPCNNGLQNWKNTWCTYGNCSSLSQHDYFQTALNISRRANLLEVFEVNGIVPSTSTSYKLRNIQTALMANLGLSTHVECVQRGPFWRRTTLLSKINICVSANGKYPIGCPFDQKVVTCNGDVWIYPFASNKLKECCWPAGSGDHIDMVTEKYPAM >DRNTG_03427.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3224223:3224597:1 gene:DRNTG_03427 transcript:DRNTG_03427.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSPRVDKLSDFNEYNTSSVAEKGHFFLYTSEGKRFMVPLAYLTNNVFKELLKISEEEFGLPGDDPITLPCDAASMEYMLSMLRRGVSEEVEKSLLSSIFISYKSTCSDLAIQNTQYLAVCSC >DRNTG_31651.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:891925:892317:-1 gene:DRNTG_31651 transcript:DRNTG_31651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPAGSSGPPRPEAILEWLQKEMGYQGPIPSADQLRNVCRGNMVPVWDFLLRRVRSERTVGTARRNMMVHGVAVPAAG >DRNTG_31902.20.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5200860:5206378:-1 gene:DRNTG_31902 transcript:DRNTG_31902.20 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSASIWLRDNPPHFRNKKNLKHDIDHRSNTNANPRTRSQEQGEEFEIRCRSRGGNRNKKGFKSTFSA >DRNTG_31902.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5200860:5206465:-1 gene:DRNTG_31902 transcript:DRNTG_31902.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPKAPHTFLDHLSISPNQHSKNKHSSYH >DRNTG_31902.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5200860:5206465:-1 gene:DRNTG_31902 transcript:DRNTG_31902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPKAPHTFLDHLSISPNQHSKNKHSSYH >DRNTG_31902.21.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5200860:5206378:-1 gene:DRNTG_31902 transcript:DRNTG_31902.21 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSASIWLRDNPPHFRNKKNLKHDIDHRSNTNANPRTRSQEQGEEFEIRCRSRGGNRNKKGFKSTFSA >DRNTG_31902.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5200860:5206378:-1 gene:DRNTG_31902 transcript:DRNTG_31902.14 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPKAPHTFLDHLSISPNQHSKNKHSSYH >DRNTG_31902.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5200860:5206378:-1 gene:DRNTG_31902 transcript:DRNTG_31902.15 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSASIWLRDNPPHFRNKKNLKHDIDHRSNTNANPRTRSQEQGEEFEIRCRSRGGNRNKKGFKSTFSA >DRNTG_31902.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5200860:5206465:-1 gene:DRNTG_31902 transcript:DRNTG_31902.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPKAPHTFLDHLSISPNQHSKNKHSSYH >DRNTG_31902.24.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5200860:5201430:-1 gene:DRNTG_31902 transcript:DRNTG_31902.24 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGDVELTIQAEGKGTVLCYRSVMSTSASIWLRDNPPHFRNKKNLKHDIDHRSNTNANPRTRSQEQGEEFEIRCRSRGGNRNKKGFKSTFSA >DRNTG_33596.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002022.1:30135:32896:-1 gene:DRNTG_33596 transcript:DRNTG_33596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNSYQWNAREKKKLAGLHEIDAVTSLAAQVENLNTTDELVDEYVQEMFNLDPYKGLFDQEEGHEDVMMLGSMDEIPSTTGILKKVLRRMKRARKCHRKRSKAVGDVHEQKRAGSECVNMFREFLQSRQNFLRHPEKTHGRVEFPHARGVAMRAHPEMAQGRAAVPVSDHATVTHPWVISVRACGFRQSWADFPESTQGPGLAPVGNLVNHARAWVISTRSCETLQRSSLHPENSHGRVVAHMNWACECSRPCGISARACITLGVFLGCPEKPQGCASSPVGQVHGRGYFPHARARAVRVEGVFSRERTGACMRPCGSFVMKCTGVDNYHTPVWMHRTPMVVNSF >DRNTG_02779.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1237672:1238470:-1 gene:DRNTG_02779 transcript:DRNTG_02779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMDNAFRCFVGGLAWATDERSLEEAFKVFGEIIESKVINDRETGRSRGFGFVTFKEEHSMRDAIDRMNGQDLDGRSITVNEAQSRGSGGGGGGGGFRRDGGAGGGYGGNRRDGGGYGGGNRGYGGGGGGGGGGSRFPRGNGASDGNWRN >DRNTG_30726.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:10921952:10922748:1 gene:DRNTG_30726 transcript:DRNTG_30726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSEVTHVGKETKMTKKDMMAEMNSRLAKVELAMGEEQDKFEDFGQRVEELERGKDEFREEMQGALNVVASECRTLIKALENTLLGKISGLEAKVAKLEAELKESKEELAICKKAIAQGASNVVSPTTPRVDVPKPRSYNGSRNAKELDNFIWSLEQYFKALGIMEDAKKVEMATLYLDNTAMVWWRRRSVDIE >DRNTG_17584.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3528657:3529235:-1 gene:DRNTG_17584 transcript:DRNTG_17584.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGETSSNRKHTRSRCRCSAHCPPLPPGQKRNSNYRVRNSIQRGRDPLASNYTYHFTTYPP >DRNTG_03196.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000144.1:1:2285:1 gene:DRNTG_03196 transcript:DRNTG_03196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSELKDSEVVLKVMRSLSSRFVHVVTSIEEARDISKVTLDELSGSLQAHEARFNQFTERPERHEAFVM >DRNTG_25955.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2077706:2082602:-1 gene:DRNTG_25955 transcript:DRNTG_25955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSNLPLKRTRRSSSRSSRPTGIDDALRMFATLRARRFGDARADSYRIVIQWMCKLGRVRYAHMVFDEMLKRGLWLAIDNQTVGALVYGLVARNRVREAYRVVEMVERPDIGLYHGLMKGLLRLKRAGEATEVFREMVRRGVEPIMHTYIMLLQGHLGRRGRKGKDEMVNFESVFVGGLVKQGRMVEVSKFVERVMWGGVEVPRFDYNKFLYWFSNEEGVEMFESVGKRMKEVGMVDLGDVFLRYGEKMATRDRRRRLRI >DRNTG_15209.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000755.1:30867:32103:-1 gene:DRNTG_15209 transcript:DRNTG_15209.4 gene_biotype:protein_coding transcript_biotype:protein_coding MITDKMVIDEVPGWLRLFDDGSVDRTWTGPPQALFQMSSIPPSPTPNSDNVTVHDLPGDPNLRFYLPASDHLSPGTLFPILLHFHGGGFCITHNTWYIYHQFYSRLAGAIPAAIFSVELSLAPEHRLPAQIHDSFSALVRLRSISENQNSDPLFSSLDFSRVFLIGDSSGGNLVHEVAALAGNETDEFWSPVKLAGAIPIHPGFVRATRSKSELEMEQNPVITLDMLDKFMALALPEGATKDHPYSCPMGTAAPAMEELRLPPFMVCVADKDLVRDTNYEYCEAMKKAGKKVEVLVSEGMSHSFYLNKMAVDSDPVIAQRTKELIDAIKDFVSRH >DRNTG_15209.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000755.1:30867:32292:-1 gene:DRNTG_15209 transcript:DRNTG_15209.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITDKMVIDEVPGWLRLFDDGSVDRTWTGPPQALFQMSSIPPSPTPNSDNVTVHDLPGDPNLRFYLPASDHLSPGTLFPILLHFHGGGFCITHNTWYIYHQFYSRLAGAIPAAIFSVELSLAPEHRLPAQIHDSFSALVRLRSISENQNSDPLFSSLDFSRVFLIGDSSGGNLVHEVAALAGNETDEFWSPVKLAGAIPIHPGFVRATRSKSELEMEQNPVITLDMLDKFMALALPEGATKDHPYSCPMGTAAPAMEELRLPPFMVCVADKDLVRDTNYEYCEAMKKAGKKVEVLVSEGMSHSFYLNKMAVDSDPVIAQRTKELIDAIKDFVSRH >DRNTG_15209.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000755.1:30769:32292:-1 gene:DRNTG_15209 transcript:DRNTG_15209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITDKMVIDEVPGWLRLFDDGSVDRTWTGPPQALFQMSSIPPSPTPNSDNVTVHDLPGDPNLRFYLPASDHLSPGTLFPILLHFHGGGFCITHNTWYIYHQFYSRLAGAIPAAIFSVELSLAPEHRLPAQIHDSFSALVRLRSISENQNSDPLFSSLDFSRVFLIGDSSGGNLVHEVAALAGNETDEFWSPVKLAGAIPIHPGFVRATRSKSELEMEQNPVITLDMLDKFMALALPEGATKDHPYSCPMGTAAPAMEELRLPPFMVCVADKDLVRDTNYEYCEAMKKAGKKVEVLVSEGMSHSFYLNKMAVDSDPVIAQRTKELIDAIKDFVSRH >DRNTG_15209.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000755.1:30769:32103:-1 gene:DRNTG_15209 transcript:DRNTG_15209.3 gene_biotype:protein_coding transcript_biotype:protein_coding MITDKMVIDEVPGWLRLFDDGSVDRTWTGPPQALFQMSSIPPSPTPNSDNVTVHDLPGDPNLRFYLPASDHLSPGTLFPILLHFHGGGFCITHNTWYIYHQFYSRLAGAIPAAIFSVELSLAPEHRLPAQIHDSFSALVRLRSISENQNSDPLFSSLDFSRVFLIGDSSGGNLVHEVAALAGNETDEFWSPVKLAGAIPIHPGFVRATRSKSELEMEQNPVITLDMLDKFMALALPEGATKDHPYSCPMGTAAPAMEELRLPPFMVCVADKDLVRDTNYEYCEAMKKAGKKVEVLVSEGMSHSFYLNKMAVDSDPVIAQRTKELIDAIKDFVSRH >DRNTG_14765.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7045679:7046945:1 gene:DRNTG_14765 transcript:DRNTG_14765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPSAATPSSGLCSLKINHIFIVQYTGSLPFLTTSSNTSPPLPCFTKRPHFLSKMPLGKHLLCLNPRKMGINFPPSKNTYNSSSLYGLENARVITTNILTGKYPWRPL >DRNTG_29063.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:7738354:7738932:1 gene:DRNTG_29063 transcript:DRNTG_29063.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVLWSSPVFPNGPLVQPRAHLTGSVPTKASPITFFQAKRGVKSGELPQRRAKSHPKNKPTAFQKMEKNRNSSSIKSLIGEDLAINAQVRMRKCQCLRIPPTHCNGLDTRRLAHIPIS >DRNTG_18233.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2785224:2785642:1 gene:DRNTG_18233 transcript:DRNTG_18233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSMSWVKRSSMKTSVNCMVIMDSSPCMHDLMHSLILSFLMKIREVLINGDELSSNEKERKKNVMIMEEEEEEEEMKVEEMKKEMEKIKKKRKRGVWEG >DRNTG_08532.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000383.1:9682:14232:-1 gene:DRNTG_08532 transcript:DRNTG_08532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDSTFPPRYLCRWSCGVAPSSPMVPLSSLGHTSPDRCRQKLPQ >DRNTG_16627.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31465194:31467461:-1 gene:DRNTG_16627 transcript:DRNTG_16627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLASASTMQKPISQEFDYSSAPTIEVAKKVGEAIAKSCLEKGISK >DRNTG_18119.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:461503:462934:-1 gene:DRNTG_18119 transcript:DRNTG_18119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGACGMMAEAKRMLTPCSDSACWNAMIDGYVKNGDLVASKELFDVMPCRNIRSWNAMISGYVRNGMIDESKKLFDDMPQRDGVSWSAIINGYVQCGCFTDALLMFRRMLKEGVTIDKFVLSSALTACANVCALEQGRWIHAYIDRNFKQLDPVLGTSLVDMYAKCGHLDFASVVFKKIRQHKQVFTWNAMISGFAIHGRANEALEFFAIMQKENIKPNAITFIAVLNACAHTGLVDEGYKHINSMEKDFGIAPTTEHYGCMVDLLGRAGLLEEAESMINSMPMKPNAVVWGALLGACRIHGNAELGERVAKILLELEPENSGRYAVLSNIYAKAHRWDDVSKLRKLMKCRGIKTIPGVSLIECEGVVHEFIAGDHRHPNAKEIHTKLKEVLKRLKMEQGYVPKTRDVTLEIFDEEEKENAISYHSEKLAIAFGLI >DRNTG_16698.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22151644:22153218:-1 gene:DRNTG_16698 transcript:DRNTG_16698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERAEGRIGVVMVPRVVNEWLEHNFQVNDAAQPDGNIIIHKEECIRHISLIVEGPSRIDISTRCS >DRNTG_05797.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19165791:19169038:-1 gene:DRNTG_05797 transcript:DRNTG_05797.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSFDAFSADGHEAAPPNSATTRPFDDDGYIGYDPRLPSQRYDSFSAFAAEEDPPKDVPDDGFGDVHVHHVSVGSGGGGGSFPPSPEPFGYSPEPHQEFSSPFEMPEANGGADHDAIFSDEPTLPPPGEMQPEEGFLLREWRRQNAELLKQKEEREKELREQIYKEADEYKTGFYEKRKVNCETNKIHNREREKLFLANQEKFHTNADKQYWKAIAELIPNEVPNIEKRRGKKDQDKKPSIVVIQGPKPGKPTDLSRMRQILLKLKHNLPPHMKPPPPPPAPAPAKDGAPAAAKKQGSPKGTTTANGKPSSKEEAATAPPVESNTTTEPVAVAAE >DRNTG_21003.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001192.1:72857:73831:1 gene:DRNTG_21003 transcript:DRNTG_21003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWARLQSSPRGQEPSRRKSGQGYWSRENDGDHGGELWEVR >DRNTG_12950.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14776759:14787730:-1 gene:DRNTG_12950 transcript:DRNTG_12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDALNLASLPATPAFLLIAWYLFIVFSLVVFSRLWLPSLGSGGDLNQFSMPRTKRHIMTSHSGNSVGDPHHSTDQGTTMPTPQINAQEQEDGPVHQSEDGPVHQSEDESVEPQLVRGFKRSTRTWDVQVRGGMLAQFLGHIASNWQNFLIGYDKWQKIPECYKDHVWNNITKPDMKCDLDGPREANIARKPPEIPLEQWVAFVDYRARPDMKAKAEQNTRNRTQLTMPHTLGSKSFARLQNEMEKQLSRSISRAELFQASHTTSNGSFVNEEARHNHENLIIQSQSSS >DRNTG_09869.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:444896:452038:-1 gene:DRNTG_09869 transcript:DRNTG_09869.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTKRLASKRLRTTGPSSAPDEPIFKLSHHRERYDRLKTKPFGTLCYLEWGLVESLGIASQMEIPCGIGKLSPSIFKLFDGSVLCTTWILPNTLGIYDDEFINSMPGKRLKLDLPSGVGRSNYWVTLAGDDQTRKASHMIDPAHRFIHAWIAQSIWGRTDSKGVVTQADIYTMYGIFERRPTHLGHLVADAFLNQGSYTRL >DRNTG_17133.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000881.1:23829:25145:1 gene:DRNTG_17133 transcript:DRNTG_17133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQTRESEAAEKLIDRLAVLHQMMNHIIWLLAC >DRNTG_32682.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1725576:1725998:1 gene:DRNTG_32682 transcript:DRNTG_32682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTIEEVDLPTQWNMTSDVLTEDPQP >DRNTG_17152.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17558769:17565244:-1 gene:DRNTG_17152 transcript:DRNTG_17152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQLAQGGTAVGTGLNTKKGFDVKVAAAVAEETKLPFVTAENKFEALSLRLLGDASISFEKNCVRGIQANQERISKLLHEDLYLLPTLKLAYNLCSLEDYESSVSGQSICSRFVVQCLLTLYDFTL >DRNTG_04151.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:389067:394700:-1 gene:DRNTG_04151 transcript:DRNTG_04151.1 gene_biotype:protein_coding transcript_biotype:protein_coding WNREGRHREELRYSGKRPNKPALLLRPRRHEPARGREAGAADGIEKGGIEKSCGTVETDPINQLFFFVLVVTSQRDGERRAPPMEWR >DRNTG_15040.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4141225:4145446:-1 gene:DRNTG_15040 transcript:DRNTG_15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALQEPPSVGRRFTWTNNQEEPIWVKLDRFLVNCEWLSHFPRVIQMTLPRLGSDHVPIRLEVGNHSSRPRPFRFEKLPISLKRAFFLRLWGSSQREMRRKPLAVPGDCFQSRILVSRSLGEGQGNKIGRGLLLRLGKGWRRGK >DRNTG_10390.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22998144:23009961:1 gene:DRNTG_10390 transcript:DRNTG_10390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G69220) UniProtKB/TrEMBL;Acc:O24527] MVTFAMIFIEEHTYLIVLTGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGLPPRSTVHPMRVLFMISSEPAPMLEDKEKWSLLFHDFVAKCLTKDPRLRPTAPEMLKHKFIEKCDWGAAKMLPKIKKARHIRTALAAQAQAQAQAQLSEGDSAVSPVEQAWAISVNENYGDTVPSKPLKRPFHGALAEVPEDSSSGKHPMPNWAEHSAGDFGTVIVHPEVGVTIDDIQTPMSSTKESLPGQIDVRSSLQDMGAKDINFTVGSRTGTLINKNLDIDSKPEPAGLPEQGINSQSQGQGLKSGQNDGTSTLKSGTTSCKFFAVQDKLRSVYAAGSTVPVPFLKAIDISPLALVSDNFTGEVPGTSDDAALEAVKELLSGDGQTKKGRRGQNEVQLPPGVYQRLTSSPTLMNLAQALAYHKMCYEDMPLQELQPTQEQQTIQNLCDTLRTILRL >DRNTG_10390.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22998144:23009961:1 gene:DRNTG_10390 transcript:DRNTG_10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G69220) UniProtKB/TrEMBL;Acc:O24527] MEPSRRKQSEIYSTVVIHGDDSDQEEDDESLPPLLQRVPKDFGAAIDDDDDGDSSVSGTVVVRRDRRAMRSPFLDIQRVSPRARSDAEDAYSTFVVRPSATEESISGTFIRRTGGGGGFGSAISGGELGLWFGQGLGEETRQRQRKASVSSVPESVTREDPSTKYELLHELGKGSYGSVYKARDIKTSELVAIKVITMSEGEEGYEEIRGEIEMLQQCSHPNVVRYFASYQGEEYLWIVMEYCGGGSVADLMNITEEPLGESQIAYICREALKGLSYLHSIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGLPPRSTVHPMRVLFMISSEPAPMLEDKEKWSLLFHDFVAKCLTKDPRLRPTAPEMLKHKFIEKCDWGAAKMLPKIKKARHIRTALAAQAQAQAQAQLSEGDSAVSPVEQAWAISVNENYGDTVPSKPLKRPFHGALAEVPEDSSSGKHPMPNWAEHSAGDFGTVIVHPEVGVTIDDIQTPMSSTKESLPGQIDVRSSLQDMGAKDINFTVGSRTGTLINKNLDIDSKPEPAGLPEQGINSQSQGQGLKSGQNDGTSTLKSGTTSCKFFAVQDKLRSVYAAGSTVPVPFLKAIDISPLALVSDNFTGEVPGTSDDAALEAVKELLSGDGQTKKGRRGQNEVQLPPGVYQRLTSSPTLMNLAQALAYHKMCYEDMPLQELQPTQEQQTIQNLCDTLRTILRL >DRNTG_08187.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6897195:6898845:1 gene:DRNTG_08187 transcript:DRNTG_08187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPQLWQPPTMAAPPTTLGEDVTATLMTFTIDSPSLQRNEAPGTNEASEFDDDDIIGVAIPRRPHSKRLAKKRRTILPLSPPPADDETITTPSAADAVTESVTVDDMAMTVEDIVDDVGVAAVEKIVYSLVNEIPDPVESAAESAASKMDTIPEDQEQAKGVSLVDVVAVATVEKIVESVAVAVADSTASKQDTIPQQEEACKGMSAVDAVVVPALKPDTIPQQQQPCKDVSAVDVVAVVPASKPGTISQQQQP >DRNTG_15202.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5844385:5845342:-1 gene:DRNTG_15202 transcript:DRNTG_15202.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEYFNREGRINLALDLFKEELKERIGCEPNVVTCIIQ >DRNTG_15202.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5844385:5857095:-1 gene:DRNTG_15202 transcript:DRNTG_15202.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEYFNREGRINLALDLFKEELKERIGCEPNVVTCIIQ >DRNTG_21947.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2090667:2092689:-1 gene:DRNTG_21947 transcript:DRNTG_21947.1 gene_biotype:protein_coding transcript_biotype:protein_coding YSREIFIYKYFEVFTFHSHGSARTATQGGVLLRC >DRNTG_04462.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000212.1:3461:4280:1 gene:DRNTG_04462 transcript:DRNTG_04462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQPACGLHTINDKEEPFPWTTIPRRPHHHPPPPPLPPPHLLPVYDIRWTMDDGQAIKRAFRLGFKLMRMLCNRREHSNVQTLVSFYEGRVASVQDSPL >DRNTG_10267.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20083160:20086898:-1 gene:DRNTG_10267 transcript:DRNTG_10267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNTEALRRMARIAAHLQPSSTQMEGSSLLRRENCRAKGGAPGFKVAILGAAGGIGQPLALLMKMNPLVSVLHLYDVVNTPGVTADISHMDTGAVVRGFLGQPQLESALTGMDLVIIPAGIPRKPGMTRDDLFKINAGIVQTLCEGVAKFCPHAIVNLISNPVNSTVPIAAEVFKRAGTYDPKRLLGVTTLDVVRANTFVAEVLGVNPKDVNVPVVGGHSGVTILPLLSQVNPPCSFTPDEISYLTDRIQNGGTEVVEAKAGTGSATLSMAFAAAKFADTCLRGLHGDAGIIECSFVASQVTELPFFAAKVRLGRGGAEEIFPLGPLNEFERVGLEKAKKELAGSIEKGISFIRK >DRNTG_00116.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10920525:10921502:-1 gene:DRNTG_00116 transcript:DRNTG_00116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIQENQQAASSIYVRQFDHEEKSFMVDEMSPPQCGRQASTFCINLRSHCPRGLGLRACSAGGSSSDDSSESRIAEAGVFRFAAKYEGFGGDSQSCCRNEVE >DRNTG_31706.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16587887:16589327:1 gene:DRNTG_31706 transcript:DRNTG_31706.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKNVHEMIDKFADRGLRSLGVARQEVPEATKESQGSPWEFMGLLPLFDPPRHDSAETIRQALILGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGEKNDDITGLPIDELIEKADGFAGVFPEHKYEIVNRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLLALIWKYDF >DRNTG_02961.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22573622:22579607:1 gene:DRNTG_02961 transcript:DRNTG_02961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNACRGSFAAKDLQDYHRPTENSSVSKHSHHRRSSPDPHLDESPKPQAVKNPAATAAASPAPAAAAVAMRKGFDTQSNYVLGHPTPALRDLYTLGRKLGQGQFGTTYLCTEIATGVDYACKSISKRKLIAKEDVEDVRREIQIMHHLSGHKNVVTIKGAYEDQLCVHIVMELCAGGELFDRIIQRGHYSERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLADKDNDLSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLCKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGAIDFDSEPWPLISNSAKDLIRKMLCSRPSDRLTAHEVLCHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFTAMDTDSSGAITYDELKEGLRKYGSTLKESEIRDLMDAADIDNSGTIDYGEFIAATVHLNKLEREEHLVAAFSYFDKDASGYITVDELQSACKEHNMTDVFIDDIIREVDQDNDGRIDYGEFVAMMQKGNMGIGRRTMRNSLNISMRDVPGPRFP >DRNTG_17994.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15730664:15733908:-1 gene:DRNTG_17994 transcript:DRNTG_17994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGIITLILGYLGAGRTNAKTHVRTLRTMPINRIISRPRGAGTLVFSASRIESKRPMPSTNLVM >DRNTG_15764.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7624024:7626509:1 gene:DRNTG_15764 transcript:DRNTG_15764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAILRRLVNGYSDSTSVLSRQELLYLYSMVQSEPIHLGHILAEYLRHQETIRQMRMLCRYGLGVLILIVPDPEIAEGGDDVAEGSQLALDSQPEQTETEAPLAAQEPPQVQIFSLN >DRNTG_07846.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20522:22448:-1 gene:DRNTG_07846 transcript:DRNTG_07846.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKISDFGFAKLFDIDETEGNTNRIAGTYGYMAPEYAMHGHFSVKSDVYSYGVIVLEIVTGRRSSGYEESANAAHLLSYAWRLWNEGRALELKDSSLGDRIQADEVLRCIHIGLLCVQEDPKDRPTMGTVVLMLRSYSFPLPKPSTPAFFIRSRISSQPNVDLRDMDLNQVNNRQCNQEQRVDSVNNLSITQLEGR >DRNTG_07846.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20522:23838:-1 gene:DRNTG_07846 transcript:DRNTG_07846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWQLHHPSMALQPSLFLLLLLLFPFVKLSQGIPTRCSTDSNYTTPSAFATNLAILLPDLIATTANSSILFSTASRDSIYGLAQCRLGSSPSDCTSCLTISATNFSTFCPSGRSAGIRYDACLLRYSDTRFFSQLSNDSFESRTNGQAATDPVGFGSHVIDLMKEISSKAPRTPARFAAGTTNFSGGIYGMVQCTADLSVDDCEKCLNGTLNVFRGFPYGRVGSMVASLSCIARYETYLFFSLSLLSAPSPPPSSSGVNDAILNSGSGGKNSNTTTIVLVVVFVVVAGIVFFSGVCIYLRRRRRRRRSRRKPIRQSPDGGDESEFVSDESIVFDLATLRDATSDFSEENKLGTGGFGVVYKGVLRDGKEIAVKRLSATSRQGFVELKNEVILVAKLQHRHLVRLLGCCLEQQEKLLIYEYLLNASLDKFLFDPGRCQQLDWARRYKIIEGISGGLLYLHEDSRLRIIHRDLKAGNILLDGDMNPKISDFGFAKLFDIDETEGNTNRIAGTYGYMAPEYAMHGHFSVKSDVYSYGVIVLEIVTGRRSSGYEESANAAHLLSYAWRLWNEGRALELKDSSLGDRIQADEVLRCIHIGLLCVQEDPKDRPTMGTVVLMLRSYSFPLPKPSTPAFFIRSRISSQPNVDLRDMDLNQVNNRQCNQEQRVDSVNNLSITQLEGR >DRNTG_27929.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001417.1:20609:21055:1 gene:DRNTG_27929 transcript:DRNTG_27929.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIVLGKRSNSIFEELHHHSPSQSPFDTPVSKRIRCSSSPTHIRFSPPRPSSQSPRGLNGGGIVVDSAYSAHLDHLRSLFPD >DRNTG_24885.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:300011:302357:-1 gene:DRNTG_24885 transcript:DRNTG_24885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLLLPKPQLSSPLLINPRVRIRVRVSVRVPVQVSSSSSSSSFSKQLATETELLPNALRRKTNPLWTPRGFTLGVDLGDSRTGLALGKGFSPRPLNVLDLRGQKLELRLLEIAAKEEVDEFVIGLPKSHDGKETQQSNKVRSIAGRLAVRAAERGWRVYLQDEHGTSVDALDFMIEMGVKRSSRQVKIDAYSAMMVLQRYFSMSGHGAELVLPKQAELQDKIRKGPARDLDFLSEDIYHTYHLSDSD >DRNTG_04057.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3618794:3622825:-1 gene:DRNTG_04057 transcript:DRNTG_04057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGAFFLTWGRPSSDQHKSFLSNPSSFNYDPQFVAASQQSDQQQVQDDLSKHGFFINRARILLGSGAGTFNLAASALRCWSHFQLDWAFVDPQTPLKPGTRFCVSVKELLPLWISMPLQIAYVSNAPRKNKGSFGFGSGTLHGHLLAGEESFSIEWDMNDQVWYEIFSFSKPANLLSSIAYPYVQLRQKFFAQQSSQALLKHVNAQQAKVLTQENSKDF >DRNTG_20295.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5318336:5319193:1 gene:DRNTG_20295 transcript:DRNTG_20295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLIKRRKDGNRFKMEIGFFDTVLEIKEKIQKYHGIPVPKQSLFIRGAAMADDRDTEHYELVEGSKIDLVVIDQDEEEKQEIVMVGGGTDDDDHDEDGEGENKKKKKKQKGIRFKIKVSVPAMRRWITMDVDGGETVAQLKEMIGIEGLAVGRMALMVGGVEMQDPQRMLRDYGVVEGTEVSVVVRSAAGVTAAAVVGKRITVMVLVKGVTKKVGVEVNVMESVRELRKELERLERLQRLVLPTEGYFFIYKQEVMEEERSFRWHGVKNNDTIEVFNGSVTGGS >DRNTG_16175.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7091869:7094920:1 gene:DRNTG_16175 transcript:DRNTG_16175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGLVVVGGRVFYSKGILLLFLLLLLLLLNSNLPLEFWTEETSHLVASPSSRDLVNQPVARSQEKIKSRIGGVSGSRIFCFCQYLCSALFWLLKPILVVFQLPVSHSCFCITFLIVLVRLTLTRSNLRPCTQWSPVPLDVVNLSAGPAVGLGHDKFNPSYNRTYDTFNLPVSSVRFVEVNSSRAPPVCTHFLPHIILASPLS >DRNTG_09787.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4274532:4277638:-1 gene:DRNTG_09787 transcript:DRNTG_09787.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHQRQQQQQHLIDPSPLSPQSTADAQHKRATPLFSRTGLLILLSLILVLGVVFPWIRSTDSLFSSSPKGSLAKWRSYTLSQAAAFVGKNGTVVVCAVSEPYIPFLNNWLISVLRQKHQDKVLVIAEDYATLYKVNERWPGHAVLVPPAPDTQSAHKFGSQGFFNFTSRRPRHLLHILELGYNVMYNDVDMVWLADPFSYLEGNHDVYFTDDMAAVCNSVFHFWPFALFVAIPLVHEYIN >DRNTG_09787.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4274532:4277638:-1 gene:DRNTG_09787 transcript:DRNTG_09787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHQRQQQQQHLIDPSPLSPQSTADAQHKRATPLFSRTGLLILLSLILVLGVVFPWIRSTDSLFSSSPKGSLAKWRSYTLSQAAAFVGKNGTVVVCAVSEPYIPFLNNWLISVLRQKHQDKVLVIAEDYATLYKVNERWPGHAVLVPPAPDTQSAHKFGSQGFFNFTSRRPRHLLHILELGYNVMYNDVDMVWLADPFSYLEGNHDVYFTDDMAAVKALDHPHDLPPPGKKGRTYICSCMIFLRPTVGAKDVMRKWIEELQAQPWSKKAKSNDQPAF >DRNTG_09787.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4274532:4275832:-1 gene:DRNTG_09787 transcript:DRNTG_09787.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHQRQQQQQHLIDPSPLSPQSTADAQHKRATPLFSRTGLLILLSLILVLGVVFPWIRSTDSLFSSSPKGSLAKWRSYTLSQAAAFVGKNGTVVVCAVSEPYIPFLNNWLISVLRQKHQDKVLVIAEDYATLYKVNERWPGHAVLVPPAPDTQSAHKFGSQGFFNFTSRRPRHLLHILELGYNVMYNDVDMVWLADPFSYLEGNHDVYFTDDMAAVKALDHPHDLPPPGKKGRTYICSCMIFLRPTVGAKDVMRKWIEELQAQPWSKKAKSNDQPAF >DRNTG_00595.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29842771:29843046:-1 gene:DRNTG_00595 transcript:DRNTG_00595.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTVLAELQTLCPQNGDGNVTTGLDRNSTDVFDNHYFKNLLNNKGLLSSDQGLFSSADGVAATKSLVESYSSNSSLFMTEFINSMIKMGN >DRNTG_25208.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20148240:20151170:-1 gene:DRNTG_25208 transcript:DRNTG_25208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSPSFMPLLIFFLLNCGLATFSIADQDADRISHLPGQPTNVAFSQYSGYVTVNEKAGRALFYWLVETPASVQQAPLVLWLNGGPGCSSIGYGASEELGPFRINSDGKTLFFNQYAWNKVANILFLESPAGVGFSYTNTSSDLYTAGDQRTAIDAYHFLVNWFERFPQYKHRDFYIAGESYAGHYVPQLSQLVYRKNKGIQNPIINLKGFMVGNAVTDDYNDYVGTFEYWWTHGLISDDTYKDLKVTCDLQSSEHPSMECVKVLDSASLEFGNIDPYSIYTITCNMTESLKRTFRGHYPWMSRAYDPCTESYARMYYNHPEVQKALHANVTGINYAWDTCSNIVGTYWADSPRSMLPIYRELIAGGLRIWVFSGDTDAVVPVTATRYSIDALKLPTITNWYPWYDNGKVGGWSQVYQGLTFVTITGAGHEVPLHRPRQAMILFRHFLQNKPMPQATSVN >DRNTG_18992.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22688564:22691218:1 gene:DRNTG_18992 transcript:DRNTG_18992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFKHDELDFSFDQSSMNNGFSLRDEAQSLLNSSSLDDKLSGRESPDRYPDVFNDIVLNYINRMLMEEDMDEKLDIYHEPSALQAAEKSLYDVLGEKYPPSPDQPPLYTDSESPGTDNSGNPYSSSHSSFQSSSSSSFSSSNSFSNVTQGLEESLLNMGWVPDISMDSFRKGVEEARKFLPSDDQLVITLENSTGFVSSSSSSSSPPSVNQVKAEEKYGSRGRKNPHSDDSDPEDQRSNKQSAVFYEEETVRTPMFDDVLLCKFNCVAQTQALRAAVEREASKGVVQDEKQSKKTRGKKQTKKEVVDLRTLLIHCAQAVSADDRRNAGELLKQIRQHSSPHGDGTQRLAHCFANGLEARMAGTGSKIYNNFMSQRRSASDVLRAYQLYLSACPFKRISHFMANRTILDLAENQQRLHIVDFGIYYGFQWPCFMQTLANRPGGPPKLRITGIELPRPGFRPAELVEETGHRLTDYARSFKHSL >DRNTG_17754.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:8449197:8450425:1 gene:DRNTG_17754 transcript:DRNTG_17754.1 gene_biotype:protein_coding transcript_biotype:protein_coding PNDIHACIYVANILEEENQNRVINARLCQQHVISCWSNPSPFLTAHDHLHITLREDLTHGNMETSPPDTSSALLLNKKLKDDH >DRNTG_24807.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31889310:31890939:-1 gene:DRNTG_24807 transcript:DRNTG_24807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSMNKSRQNHKKAPLKERKKERKLTKENSQPQTISTVVNKTMILYFPGRTCKASKL >DRNTG_23611.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001268.1:58493:61692:-1 gene:DRNTG_23611 transcript:DRNTG_23611.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEVPEHLLGDFHEYLVLPSNQTSNSISGKIYVIGGPEDRETLSISVKLFDRSTGEWLIPLILGRKPLACNLHSAVPVNDDRILVIKSDSPSYDSLWFLEVDTQFVREQKKVLGTEVVAWSKVVIEGDDALKPVVISGPSGVGKGTLISKLMKEFPSTFGFSVSHTTRKPREKEKNGVHYHFTERSQMEKDIREGRFLESALVHGNLYGTSIEAVEAVTDSGKRCILDIDVQGARSVRSSSLEAIFIFICPPSFEELEKRLRARGTESEEQVQKRLRNARAELEQGKFPGLFDHLLVNDDLETCYTDLKKILSLDGTSDDMLELSAKGIRVPESHSVSARDQKVIIQCGGDEGLARTIYEVDVSSLVGGAPGRTRGPEYKCIS >DRNTG_23611.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001268.1:58493:60000:-1 gene:DRNTG_23611 transcript:DRNTG_23611.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFPSTFGFSVSHTTRKPREKEKNGVHYHFTERSQMEKDIREGRFLESALVHGNLYGTSIEAVEAVTDSGKRCILDIDVQGARSVRSSSLEAIFIFICPPSFEELEKRLRARGTESEEQVQKRLRNARAELEQGKFPGLFDHLLVNDDLETCYTDLKKILSLDGTSDDMLELSAKGIRVPESHSVSARDQKVIIQCGGDEGLARTIYEVDVSSLVGGAPGRTRGPEYKCIS >DRNTG_33025.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17169055:17174703:-1 gene:DRNTG_33025 transcript:DRNTG_33025.1 gene_biotype:protein_coding transcript_biotype:protein_coding NQAAKKLVAEMPQQKDRTSRLVSSVAIRQSSSHFWS >DRNTG_16475.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1990652:1991382:-1 gene:DRNTG_16475 transcript:DRNTG_16475.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKQKDIQPAKSCTKTNESCSTDKSSCISRNRKTRQI >DRNTG_07210.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27966152:27968531:-1 gene:DRNTG_07210 transcript:DRNTG_07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEFADDKPSDQQELKIKDYVVGDLATLIYIPNFITDSEQSQLLRHIYEVPTSKWKNLKNRRLQNWGGVVHEKGLLPQELPAWLKKVTGRIHQCTGLFPSELNHVLINEYLPDQGIMPHQDGLAYFPVVAILSLKSPVVIDFTPHPRLRECASKESSGEELTIQSKAEEAEHDERDDGLLNTQKDSISPCSLLLMPCSLLIFKDQAYSGCKCFGVHETTKTRTLFELGS >DRNTG_07210.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27966698:27968139:-1 gene:DRNTG_07210 transcript:DRNTG_07210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHQDGLAYFPVVAILSLKSPVVIDFTPHPRLRECASKESSGEELTIQSKAEEAEHDERDDGLLNTQKDSISPCSLLLMPCSLLIFKDQAYSGTTFIRLALLFQVTFTTF >DRNTG_08415.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000378.1:15753:17106:1 gene:DRNTG_08415 transcript:DRNTG_08415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNTSKTTKYHGFEINKHNTQHSPNITWSRKLSKPSPWPWLGSEPPRYSCP >DRNTG_24901.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:372773:379448:-1 gene:DRNTG_24901 transcript:DRNTG_24901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITSQSPDILGERQSGQDVRTQNVVACQAVANIVKSSLGPVGLDKMLVDDIGDVTITNDGATILKMLEVEHPAAKVLVELAELQDKEVGDGTTSVVIISAELLKRANDLVRNKIHPTSIISGYRLAMREACKYVDEKLAVKVEKLGKDSLINCAKTSMSSKLIASDSDFFSNLVVDAVQAVKTTNARGEVKYPIKGINILKAHGKSARDSFLLNGYALNTGRAAQGMPTRVAPAKIACLDFNLQKTKMQMGVQVLVSDPRELEKIRQRESDITKERIEKLLKAGANVVLTTKGIDDMSLKYFVEAGAIAVRRVRKEDLRHVAKATGATVVSTFADMEGEETFDSSFLGFADEVVEERISDDDVIMVKGTKNTSAVSLILRGANDFMLDEMERSLHDALCIVKRTLESNTVVAGGGAVEAALSVYLENLATTLGSREQLAIAEFAESLLIIPKVLAVNAAKDATELVAKLRAYHHTAQTKADKQHFSSMGLDLSKGTIRNNLDAGVIEPSMSKVKIIQFATEAAITILRIDDMIKLVKDDQDNAED >DRNTG_25448.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1491076:1491587:-1 gene:DRNTG_25448 transcript:DRNTG_25448.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPD1 [Source:Projected from Arabidopsis thaliana (AT4G24972) UniProtKB/TrEMBL;Acc:A0A178V0R1] MRIVFTILFILLHDNLAAGDHHHHHQQQQQQQQPLIHGEDRMEDRRCSPEDIEVYQGATAPLPNGIPTYTVEVLNVCVSGCAVANIHVRCGWFSSARLINPRVFRRVQYDDCLVNDGAPLAAGESLSFQYANTFRYPLHVFAVSCF >DRNTG_01702.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23701783:23704280:-1 gene:DRNTG_01702 transcript:DRNTG_01702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSIGMVLAEYSDNQNKKEKKDYIETVMNLIEFTLLDQTTPNSVISTTSNDLSNWSRLSSLWPLLYGTSCCFIEFASLIGSRFEGFT >DRNTG_23396.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001263.1:61919:62508:-1 gene:DRNTG_23396 transcript:DRNTG_23396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPHGRAKNTHACVPDPQGQTHAPVDSLSSRELSLSVSHVTGPYMRVNRKCMGVEMNSDMCDKIQFSKVKTTRKRAQVKEKVRQLIKMGYPDIVPPRTIVSSAQNRYHAS >DRNTG_08891.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27580230:27582829:-1 gene:DRNTG_08891 transcript:DRNTG_08891.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYFDPNDQQESMKLIENLTENAGKIQQQVLKDILNRNSDTEYLHGFLHGVRDVHQFKKSVPVVDYEQIKPYIDRIANGEPSNIISSEPITELLTSSGTSGGKPKIMPTTAKELDRKTFFYNLLIPVMNQYVEGLDEGKAMYLLFVKPEISTPSGLMARPVLTSYYKSDHFRHRPLNKFNIYTSPDDTILCPDSKQSMHCQLLCGLVQRKEVLRVGAIFASAFLRAIKYFEDHWLELCTNIRTGQVSKWITDDRCRSAVLKVLNKPDSELASLIEMECSKDSWEGIIKKIWPRTKYIDVIVTGSMAQYIPLLEFYGGGLPLISTMYGSSECYFGINLEPLCSPSEVSYTLLPNMAYFEFLPVDHDYEEAQALSNGSLSSLQNRVVDLVDVQLGHCYELIVTTFTGLYRYRVGDILMVTGFNNAAPQFQFMQRRNVVLSIDTDKTSEEDLLKAVTQAKLLLQPHGHILTEYTSYAETSSLPGHYVLFWEVKAKGGNEPVNKEVMEACCMAVEARLDSVYRRCRSRDGSIGPLEIRVVKCGAFDELMDFCVASGSSVNQYKTPRCIKTLPAIQVLERMVVGRFFSREAPFWEPQR >DRNTG_33866.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20512867:20513409:1 gene:DRNTG_33866 transcript:DRNTG_33866.1 gene_biotype:protein_coding transcript_biotype:protein_coding PASSLTLPPPSLTHPSLPSSPPIRPTPPSLVPVLFLPLPSPPPPHRQRWIGRSDFVAANWTRFHVRYIPA >DRNTG_11863.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15567117:15568021:1 gene:DRNTG_11863 transcript:DRNTG_11863.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLGPSLWDVWNNNSHTMSIEVVACITIEAISILEKMHSKGYVHGDVKPENFLLGPPGTPEEKKTLPC >DRNTG_11863.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15565530:15568021:1 gene:DRNTG_11863 transcript:DRNTG_11863.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGSRRGRAQPNPVVQNERPAAEVNRRRRALARGRQPTEEIVAVEPKEEVGLFEGMGEISGIGGREENREGVGERMDEFDSGAKSADKLPGGEDEGNTAPFPEKVQIGNSPIYKIERKLGKGGFGQVYVGQRISPTNANDRMTGPSAVEVALKFEHRSSKGCNYGAPYE >DRNTG_11863.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15567424:15568021:1 gene:DRNTG_11863 transcript:DRNTG_11863.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYFLTLIYPSYVHGDVKPENFLLGPPGTPEEKKTLPC >DRNTG_13266.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10037496:10042667:-1 gene:DRNTG_13266 transcript:DRNTG_13266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAATNDLKLRMEAVDRRRSEAPRAGAWMREKPDANGLSLRVVMSFALGPRGAFGDCVGFLSNFFFGGSGQRAAAVT >DRNTG_25797.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2826360:2827764:1 gene:DRNTG_25797 transcript:DRNTG_25797.1 gene_biotype:protein_coding transcript_biotype:protein_coding PKWLTSTSISTAFLELNCLIIGRCTNCSQFPQLGQLPIGPEFLGNGEHAKSAFPKLEYLIIWNMTNWEAWSLMSGEEENELESSSLLLFPRLIRIAIKNCPKLKDLPKGLNRIPKLHIKQAAKLSRVSDLPW >DRNTG_23875.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:29229:36735:1 gene:DRNTG_23875 transcript:DRNTG_23875.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLDATMPDTLDDEQKKKVLTAIGQGETLMKALQGAVPEDVRGKLTSVVSEILQNQSTNLNIDVLRRIGWVPKLASKVKSSVEEKLTEVSSSESSQSDTYFPEHNKVDADDGVGSQNLSEPKPGDSSTQASDLSSQDNNVQVSEYTGVDSEVGGKSSQDVKFDKGNESHEEINEQPKISQKDGAADRKSADSQMNVNDTNDVQNSDLKGVDSITEPNMATSSNDSEGTSTSASFTSDQQAIDEKEIEVQKSIEKTNQNMTDQTSQSLSPKAEEPLAQPSSPKEPSINVSEALNALTGFDDSTQMAVNSVFEVLEDMIDQYEKASNQGNVDEIDENASQQAAPALEDSPSTRSYGTEKINNRNNVMRVEENAEQSYQGIDNSLHEVGAGPSDEFEKRYFENKVKFNPSSSSTESVTQLKGNSESVNHLDEKNTDAGKNIDKIRHVPNFPMNVTVNPPQPWMLPYGNYLYWDYSVPLPVVQSLDSDSATDLFLDTEAGQWKMLDQSNSIGGNGENEHINQKGHFAHYSYQSGDGDEIVEPSYVIVDTEYSGVQSLSTEEPEMTEYSSKMEETKRDELICLVREDLLEALKVEVGRQLAIPVLEVIESDLVGDLETVADAVSKSVVHDNELNLNLLSKNNGIASEKFGVIEGECIVRTIIYAIQHTSHLRKALPVGVIVGTSLASLRKYFQVAVLHDEGHSEPSCDNGEFVMEKTKVNSSGHFVGANNQHGDSDKSSDSPNTGLKKSNLDGSSVMVGAVTAALGASAFVAQHQKNNSYKQDGALEFPSRVSNNRGLLQEVHNKLEEEEEDPGKTQNNLVSSLAEKAMSVAGPVVPTNSDGEVDQERLVAILAELGQKGGMLRLVGKIALLWGGIRGAMSLTDRLISFLHIADRPLVQRVFGFVCMALVLWSPVVIPLLPTLVQSWTTKAPNVVAEYACIVGFYVATTILVMLWGKRIRGYENPVEQYGLDLTSRPRIHDFLKGLVGGIMMVMSIHSTNALLGYARLSWPSALPSSSAGAALLLTSYGNMLILVIRGTVTAFGIALVEELLFRSWLLEEVTTDLGYHCAIIISGLAFSFVQRSLHSVPGFFLLSLALVGIKQRANGKLAALIGIRTGVMATNFLLQTGGFLRYRHDIPFWLISNHPLHPFNGVIGLSLALSLAIIFFSWTPNNSSSSIVQK >DRNTG_23875.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:30502:36735:1 gene:DRNTG_23875 transcript:DRNTG_23875.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQWLTGVEFALLKGRHPLLKDVDVTINPLKGEAFVRGRTSETGITTEKKIHQASNLPHLFVNNTRGNFYRLAQSNYVNGFLVNQNNSVLRERDGAIWKDVNDALKQNSSINADQDGGGGDSLDIEQSQVLQTAAVVMNMLDATMPDTLDDEQKKKVLTAIGQGETLMKALQGAVPEDVRGKLTSVVSEILQNQSTNLNIDVLRRIGWVPKLASKVKSSVEEKLTEVSSSESSQSDTYFPEHNKVDADDGVGSQNLSEPKPGDSSTQASDLSSQDNNVQVSEYTGVDSEVGGKSSQDVKFDKGNESHEEINEQPKISQKDGAADRKSADSQMNVNDTNDVQNSDLKGVDSITEPNMATSSNDSEGTSTSASFTSDQQAIDEKEIEVQKSIEKTNQNMTDQTSQSLSPKAEEPLAQPSSPKEPSINVSEALNALTGFDDSTQMAVNSVFEVLEDMIDQYEKASNQGNVDEIDENASQQAAPALEDSPSTRSYGTEKINNRNNVMRVEENAEQSYQGIDNSLHEVGAGPSDEFEKRYFENKVKFNPSSSSTESVTQLKGNSESVNHLDEKNTDAGKNIDKIRHVPNFPMNVTVNPPQPWMLPYGNYLYWDYSVPLPVVQSLDSDSATDLFLDTEAGQWKMLDQSNSIGGNGENEHINQKGHFAHYSYQSGDGDEIVEPSYVIVDTEYSGVQSLSTEEPEMTEYSSKMEETKRDELICLVREDLLEALKVEVGRQLAIPVLEVIESDLVGDLETVADAVSKSVVHDNELNLNLLSKNNGIASEKFGVIEGECIVRTIIYAIQHTSHLRKALPVGVIVGTSLASLRKYFQVAVLHDEGHSEPSCDNGEFVMEKTKVNSSGHFVGANNQHGDSDKSSDSPNTGLKKSNLDGSSVMVGAVTAALGASAFVAQHQKNNSYKQDGALEFPSRVSNNRGLLQEVHNKLEEEEEDPGKTQNNLVSSLAEKAMSVAGPVVPTNSDGEVDQERLVAILAELGQKGGMLRLVGKIALLWGGIRGAMSLTDRLISFLHIADRPLVQRVFGFVCMALVLWSPVVIPLLPTLVQSWTTKAPNVVAEYACIVGFYVATTILVMLWGKRIRGYENPVEQYGLDLTSRPRIHDFLKGLVGGIMMVMSIHSTNALLGYARLSWPSALPSSSAGAALLLTSYGNMLILVIRGTVTAFGIALVEELLFRSWLLEEVTTDLGYHCAIIISGLAFSFVQRSLHSVPGFFLLSLALVGIKQRANGKLAALIGIRTGVMATNFLLQTGGFLRYRHDIPFWLISNHPLHPFNGVIGLSLALSLAIIFFSWTPNNSSSSIVQK >DRNTG_23875.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:26990:36735:1 gene:DRNTG_23875 transcript:DRNTG_23875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGWGYGANMLTKHLAEVGETTPLTAAVCIDNPFDLEEATRSFPHHVVMDQKLAVGLADILRANKELFQGKTKRFDVTKALAATSLREFDTAVSMVSYGFDFIEDFYSNSSTRELVGSVKIPVLFIQSDDGTVPLFSIPRTLIAENPFTSLLLCSCLPSTITTSQRSSILWCQHLAIEWLTGVEFALLKGRHPLLKDVDVTINPLKGEAFVRGRTSETGITTEKKIHQASNLPHLFVNNTRGNFYRLAQSNYVNGFLVNQNNSVLRERDGAIWKDVNDALKQNSSINADQDGGGGDSLDIEQSQVLQTAAVVMNMLDATMPDTLDDEQKKKVLTAIGQGETLMKALQGAVPEDVRGKLTSVVSEILQNQSTNLNIDVLRRIGWVPKLASKVKSSVEEKLTEVSSSESSQSDTYFPEHNKVDADDGVGSQNLSEPKPGDSSTQASDLSSQDNNVQVSEYTGVDSEVGGKSSQDVKFDKGNESHEEINEQPKISQKDGAADRKSADSQMNVNDTNDVQNSDLKGVDSITEPNMATSSNDSEGTSTSASFTSDQQAIDEKEIEVQKSIEKTNQNMTDQTSQSLSPKAEEPLAQPSSPKEPSINVSEALNALTGFDDSTQMAVNSVFEVLEDMIDQYEKASNQGNVDEIDENASQQAAPALEDSPSTRSYGTEKINNRNNVMRVEENAEQSYQGIDNSLHEVGAGPSDEFEKRYFENKVKFNPSSSSTESVTQLKGNSESVNHLDEKNTDAGKNIDKIRHVPNFPMNVTVNPPQPWMLPYGNYLYWDYSVPLPVVQSLDSDSATDLFLDTEAGQWKMLDQSNSIGGNGENEHINQKGHFAHYSYQSGDGDEIVEPSYVIVDTEYSGVQSLSTEEPEMTEYSSKMEETKRDELICLVREDLLEALKVEVGRQLAIPVLEVIESDLVGDLETVADAVSKSVVHDNELNLNLLSKNNGIASEKFGVIEGECIVRTIIYAIQHTSHLRKALPVGVIVGTSLASLRKYFQVAVLHDEGHSEPSCDNGEFVMEKTKVNSSGHFVGANNQHGDSDKSSDSPNTGLKKSNLDGSSVMVGAVTAALGASAFVAQHQKNNSYKQDGALEFPSRVSNNRGLLQEVHNKLEEEEEDPGKTQNNLVSSLAEKAMSVAGPVVPTNSDGEVDQERLVAILAELGQKGGMLRLVGKIALLWGGIRGAMSLTDRLISFLHIADRPLVQRVFGFVCMALVLWSPVVIPLLPTLVQSWTTKAPNVVAEYACIVGFYVATTILVMLWGKRIRGYENPVEQYGLDLTSRPRIHDFLKGLVGGIMMVMSIHSTNALLGYARLSWPSALPSSSAGAALLLTSYGNMLILVIRGTVTAFGIALVEELLFRSWLLEEVTTDLGYHCAIIISGLAFSFVQRSLHSVPGFFLLSLALVGIKQRANGKLAALIGIRTGVMATNFLLQTGGFLRYRHDIPFWLISNHPLHPFNGVIGLSLALSLAIIFFSWTPNNSSSSIVQK >DRNTG_23875.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:31379:36735:1 gene:DRNTG_23875 transcript:DRNTG_23875.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKALQGAVPEDVRGKLTSVVSEILQNQSTNLNIDVLRRIGWVPKLASKVKSSVEEKLTEVSSSESSQSDTYFPEHNKVDADDGVGSQNLSEPKPGDSSTQASDLSSQDNNVQVSEYTGVDSEVGGKSSQDVKFDKGNESHEEINEQPKISQKDGAADRKSADSQMNVNDTNDVQNSDLKGVDSITEPNMATSSNDSEGTSTSASFTSDQQAIDEKEIEVQKSIEKTNQNMTDQTSQSLSPKAEEPLAQPSSPKEPSINVSEALNALTGFDDSTQMAVNSVFEVLEDMIDQYEKASNQGNVDEIDENASQQAAPALEDSPSTRSYGTEKINNRNNVMRVEENAEQSYQGIDNSLHEVGAGPSDEFEKRYFENKVKFNPSSSSTESVTQLKGNSESVNHLDEKNTDAGKNIDKIRHVPNFPMNVTVNPPQPWMLPYGNYLYWDYSVPLPVVQSLDSDSATDLFLDTEAGQWKMLDQSNSIGGNGENEHINQKGHFAHYSYQSGDGDEIVEPSYVIVDTEYSGVQSLSTEEPEMTEYSSKMEETKRDELICLVREDLLEALKVEVGRQLAIPVLEVIESDLVGDLETVADAVSKSVVHDNELNLNLLSKNNGIASEKFGVIEGECIVRTIIYAIQHTSHLRKALPVGVIVGTSLASLRKYFQVAVLHDEGHSEPSCDNGEFVMEKTKVNSSGHFVGANNQHGDSDKSSDSPNTGLKKSNLDGSSVMVGAVTAALGASAFVAQHQKNNSYKQDGALEFPSRVSNNRGLLQEVHNKLEEEEEDPGKTQNNLVSSLAEKAMSVAGPVVPTNSDGEVDQERLVAILAELGQKGGMLRLVGKIALLWGGIRGAMSLTDRLISFLHIADRPLVQRVFGFVCMALVLWSPVVIPLLPTLVQSWTTKAPNVVAEYACIVGFYVATTILVMLWGKRIRGYENPVEQYGLDLTSRPRIHDFLKGLVGGIMMVMSIHSTNALLGYARLSWPSALPSSSAGAALLLTSYGNMLILVIRGTVTAFGIALVEELLFRSWLLEEVTTDLGYHCAIIISGLAFSFVQRSLHSVPGFFLLSLALVGIKQRANGKLAALIGIRTGVMATNFLLQTGGFLRYRHDIPFWLISNHPLHPFNGVIGLSLALSLAIIFFSWTPNNSSSSIVQK >DRNTG_23875.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:34214:36735:1 gene:DRNTG_23875 transcript:DRNTG_23875.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQKNNSYKQDGALEFPSRVSNNRGLLQEVHNKLEEEEEDPGKTQNNLVSSLAEKAMSVAGPVVPTNSDGEVDQERLVAILAELGQKGGMLRLVGKIALLWGGIRGAMSLTDRLISFLHIADRPLVQRVFGFVCMALVLWSPVVIPLLPTLVQSWTTKAPNVVAEYACIVGFYVATTILVMLWGKRIRGYENPVEQYGLDLTSRPRIHDFLKGLVGGIMMVMSIHSTNALLGYARLSWPSALPSSSAGAALLLTSYGNMLILVIRGTVTAFGIALVEELLFRSWLLEEVTTDLGYHCAIIISGLAFSFVQRSLHSVPGFFLLSLALVGIKQRANGKLAALIGIRTGVMATNFLLQTGGFLRYRHDIPFWLISNHPLHPFNGVIGLSLALSLAIIFFSWTPNNSSSSIVQK >DRNTG_25234.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6873858:6874316:1 gene:DRNTG_25234 transcript:DRNTG_25234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGPSALLPVQVLRPPRPVDRSGSSWANVVGSGGIRIARPSTPSHQKSTLIRLRITRVSSCLD >DRNTG_24345.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:385200:386433:-1 gene:DRNTG_24345 transcript:DRNTG_24345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKIKMEKIEEEKKRQICFSKRRKGLLSKAEKLCSLGCHMAIIIFSAAGQAFTFGNPSVHKIVSRYLGRTIEPPNQGLENTEADDDDDNYDNDDDDDDDDDDYDDDDDDDDEQSEDTSPMAVSLPEKKRVAKRRKLENSEEEQQKQQLACQDKVKNSPIINTSERLDNCIATVNDHHEEEKNKNSTSLLALDNSKDEKINHVENQSAAILIKEEKNNNCNTSSGSEEKEQMENNSNTCRDELSACEKEGEENKQFFWNEVVENLNLDQLLKLEEIIKEKQSKVVKLADKILEERPLRLCSWVDTDEEGDKS >DRNTG_33615.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22391193:22394223:-1 gene:DRNTG_33615 transcript:DRNTG_33615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIKHIKTPNPIKAHTNHGAGSLWKSKANLDSIPEATIQNP >DRNTG_33615.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22391471:22394223:-1 gene:DRNTG_33615 transcript:DRNTG_33615.2 gene_biotype:protein_coding transcript_biotype:protein_coding NKYGYQCSIETEAATTNYFSCNMSNESDQTHQNAKSHQSAHK >DRNTG_15681.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000783.1:7040:20718:1 gene:DRNTG_15681 transcript:DRNTG_15681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAILRAAPPPPLQLRFFARRFFSSSPRSLLRARSHTMLSFSPRSRSRLFFSPRVLGGRCSLTNGGGGGGGARAFMSSGLAAEVLKEKAGSESYGSEQIQVLEGLDPVRKRPGMYIGSTGPRGLHHLVYEILDNAVDEAQAGYASRIDVVLLEDNSVSITDNGRGIPIDLHPVTKKSSLETVLTVLHAGGKFGGASSGYSVSGGLHGVGLSVVNALSEALEVTVWRDGKEYQQSYSRGKPVTTLTCQLLPPELCSRQGTCIHFWPDKEVFTTSISFDFNTIAGRIRELAFLNPELTITLKKEEGDSKTLYNEHCYAGGLVEYVKWLNTDKKPLHDILAFRKEADGITVDVALQWCSDAYTDTILGYANSIRTIDGGTHIDGLKASLTRTINNLGKKSKVIKEKDINLSGEHVREGLTCIVSVKVPNPEFEGQTKTRLGNPEVRRVVEQCVQEHVTEYLELHPDALDLVLSKSLNALKAAMAAKRARELVRTKSVLRSSSLPGKLADCSATNPEECEIFIVEGDSAGGSAKQGRDRRFQAILPLRGKILNIERKDEAAMYKNEEIQNLILGLGLGVKGEDFKKEALRYHKIIILTDADVDGAHIRTLLLTFFYRYQKALFDEGCIYVGVPPLYKVDRGKHAHYCYDEAELKHLLDSFPSNASFNIQRFKGLGEMMPAQLWETTLDPERRLLKQLVVEDAAEANVVFSSLMGTRVDVRKELIRNSANMINLEHLDI >DRNTG_00350.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18692139:18697444:-1 gene:DRNTG_00350 transcript:DRNTG_00350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSALMSMAPQKAILAETGQIVDARDVKVDTILAVKAGEVIPIDGIVVDGRSEVDERTLTGESFPVAKQVQSQVWAGTLNIDGTPSD >DRNTG_00350.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18693505:18697444:-1 gene:DRNTG_00350 transcript:DRNTG_00350.11 gene_biotype:protein_coding transcript_biotype:protein_coding MIYAELLPEDKVRIVGELKAKEGSTLMVGDGMNDAPKAIQLARRTHRKIILNIVFSVITKVAILILAFTGHPLLWAAVLADVGTCLIVILNSMTRISLIVVQNLLQRQMKNI >DRNTG_00350.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18692139:18697444:-1 gene:DRNTG_00350 transcript:DRNTG_00350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIYAELLPEDKVRIVGELKAKEGSTLMVGDGMNDAPALAMANVGISMGISGSAVAMETSHITLMSNDIGKIPKAIQLARRTHRKIILNIVFSVITKVAILILAFTGHPLLWAAVLADVGTCLIVILNSMTLLRTKDKTTKKKCCSSKNKLKKACCSNENKHHDHGKVHKHGCHENEMTHKHACNEHDHHDHVEVHAQDCHEHKEHDHAKAHEHGCHDHWEEVHAEGCHEHDEHAEDHEHHCHDHGELHEHECHGYEEPHEHECHEHTETGKKGHEKISDCCGGELPNKSHCCSESVAKANEEHLIRIPCAEERYSEKHNLCGCKEDAIRDDACCARAPVIDLKAQSSNCLHLNNKNHEITVHCSGIKNKCGRDAHAGCSSVGIRRREVARCCRSYRMEYRKRNNCCRGAMHPPEIIIE >DRNTG_00350.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18693505:18697444:-1 gene:DRNTG_00350 transcript:DRNTG_00350.12 gene_biotype:protein_coding transcript_biotype:protein_coding MIYAELLPEDKVRIVGELKAKEGSTLMVGDGMNDAPALAMANVGISMGISGSAVAMETSHITLMSNDIGKIPKAIQLARRTHRKIILNIVFSVITKVAILILAFTGHPLLWAAVLADVGTCLIVILNSMTLLRTKDKTTKKKCCSSKNKLKKACCSNENKHHDHGKVHKHGCHENEMTHKHACNEHDHHDHVEVHAQDCHEHKEHDHAKAHEHGCHDHWEEVHAEGCHEHDEHAEDHEHHCHDHGELHEHECHGYEEPHEHECHEHTETGKKGHEKISDCCGGELPNKSHCCSESVAKANEEHLIRIPCAEERYSEKHNLCGCKEDAIRDDACCARAPVIDLKAQSSNCLHLNNKNHEITVHCSGIKNKCGRDAHAGCSSVGIRRREVARCCRSYRMEYRKRNNCCRGAMHPPEIIIE >DRNTG_00350.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18693505:18697444:-1 gene:DRNTG_00350 transcript:DRNTG_00350.8 gene_biotype:protein_coding transcript_biotype:protein_coding MIYAELLPEDKVRIVGELKAKEGSTLMVGDGMNDAPKAIQLARRTHRKIILNIVFSVITKVAILILAFTGHPLLWAAVLADVGTCLIVILNSMTRISLIVVQNLLQRQMKNI >DRNTG_00350.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18692139:18697444:-1 gene:DRNTG_00350 transcript:DRNTG_00350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIYAELLPEDKVRIVGELKAKEGSTLMVGDGMNDAPALAMANVGISMGISGSAVAMETSHITLMSNDIGKIPKAIQLARRTHRKIILNIVFSVITKVAILILAFTGHPLLWAAVLADVGTCLIVILNSMTLLRTKDKTTKKKCCSSKNKLKKACCSNENKHHDHGKVHKHGCHENEMTHKHACNEHDHHDHVEVHAQDCHEHKEHDHAKAHEHGCHDHWEEVHAEGCHEHDEHAEDHEHHCHDHGELHEHECHGYEEPHEHECHEHTETGKKGHEKISDCCGGELPNKSHCCSESVAKANEEHLIRIPCAEERYSEKHNLCGCKEDAIRDDACCARAPVIDLKAQSSNCLHLNNKNHEITVHCSGIKNKCGRDAHAGCSSVGIRRREVARCCRSYRMEYRKRNNCCRGAMHPPEIIIE >DRNTG_00350.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18692139:18697444:-1 gene:DRNTG_00350 transcript:DRNTG_00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYAELLPEDKVRIVGELKAKEGSTLMVGDGMNDAPALAMANVGISMGISGSAVAMETSHITLMSNDIGKIPKAIQLARRTHRKIILNIVFSVITKVAILILAFTGHPLLWAAVLADVGTCLIVILNSMTRISLIVVQNLLQRQMKNI >DRNTG_00350.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18693505:18697444:-1 gene:DRNTG_00350 transcript:DRNTG_00350.10 gene_biotype:protein_coding transcript_biotype:protein_coding MIYAELLPEDKVRIVGELKAKEGSTLMVGDGMNDAPALAMANVGISMGISGSAVAMETSHITLMSNDIGKIPKAIQLARRTHRKIILNIVFSVITKVAILILAFTGHPLLWAAVLADVGTCLIVILNSMTLLRTKDKTTKKKCCSSKNKLKKACCSNENKHHDHGKVHKHGCHENEMTHKHACNEHDHHDHVEVHAQDCHEHKEHDHAKAHEHGCHDHWEEVHAEGCHEHDEHAEDHEHHCHDHGELHEHECHGYEEPHEHECHEHTETGKKGHEKISDCCGGELPNKSHCCSESVAKANEEHLIRIPCAEERYSEKHNLCGCKEDAIRDDACCARAPVIDLKAQSSNCLHLNNKNHEITVHCSGIKNKCGRDAHAGCSSVGIRRREVARCCRSYRMEYRKRNNCCRGAMHPPEIIIE >DRNTG_00350.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18692139:18697444:-1 gene:DRNTG_00350 transcript:DRNTG_00350.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIYAELLPEDKVRIVGELKAKEGSTLMVGDGMNDAPALAMANVGISMGISGSAVAMETSHITLMSNDIGKIPKAIQLARRTHRKIILNIVFSVITKVAILILAFTGHPLLWAAVLADVGTCLIVILNSMTLLRTKDKTTKKKCCSSKNKLKKACCSNENKHHDHGKVHKHGCHENEMTHKHACNEHDHHDHVEVHAQDCHEHKEHDHAKAHEHGCHDHWEEVHAEGCHEHDEHAEDHEHHCHDHGELHEHECHGYEEPHEHECHEHTETGKKGHEKISDCCGGELPNKSHCCSESVAKANEEHLIRIPCAEERYSEKHNLCGCKEDAIRDDACCARAPVIDLKAQSSNCLHLNNKNHEITVHCSGIKNKCGRDAHAGCSSVGIRRREVARCCRSYRMEYRKRNNCCRGAMHPPEIIIE >DRNTG_00350.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18693505:18697444:-1 gene:DRNTG_00350 transcript:DRNTG_00350.9 gene_biotype:protein_coding transcript_biotype:protein_coding MIYAELLPEDKVRIVGELKAKEGSTLMVGDGMNDAPALAMANVGISMGISGSAVAMETSHITLMSNDIGKIPKAIQLARRTHRKIILNIVFSVITKVAILILAFTGHPLLWAAVLADVGTCLIVILNSMTRISLIVVQNLLQRQMKNI >DRNTG_00350.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18692139:18697444:-1 gene:DRNTG_00350 transcript:DRNTG_00350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALMSMAPQKAILAETGQIVDARDVKVDTILAVKAGEVIPIDGIVVDGRSEVDERTLTGESFPVAKQVQSQVWAGTLNIDGTPSD >DRNTG_00350.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18693505:18697444:-1 gene:DRNTG_00350 transcript:DRNTG_00350.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIYAELLPEDKVRIVGELKAKEGSTLMVGDGMNDAPALAMANVGISMGISGSAVAMETSHITLMSNDIGKIPKAIQLARRTHRKIILNIVFSVITKVAILILAFTGHPLLWAAVLADVGTCLIVILNSMTLLRTKDKTTKKKCCSSKNKLKKACCSNENKHHDHGKVHKHGCHENEMTHKHACNEHDHHDHVEVHAQDCHEHKEHDHAKAHEHGCHDHWEEVHAEGCHEHDEHAEDHEHHCHDHGELHEHECHGYEEPHEHECHEHTETGKKGHEKISDCCGGELPNKSHCCSESVAKANEEHLIRIPCAEERYSEKHNLCGCKEDAIRDDACCARAPVIDLKAQSSNCLHLNNKNHEITVHCSGIKNKCGRDAHAGCSSVGIRRREVARCCRSYRMEYRKRNNCCRGAMHPPEIIIE >DRNTG_01757.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14219251:14221613:1 gene:DRNTG_01757 transcript:DRNTG_01757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRKLPTPGQPHAPVPSLDELVVQIHGRAEIPHTRVFSLDALENSGGFAERPPMEVESITSYGKGDAPVPLDSPSQPSPT >DRNTG_17508.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19080885:19084233:1 gene:DRNTG_17508 transcript:DRNTG_17508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISTTTTDAGESLNSTFASRYVCTALPRFKLPERPTPRDAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLMMAAVNKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIGEDETAVGVGTVGSSEAIMLAGLAFKRKWQNKRKAEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGFYVMDPVKAVELVDENTICVAAILGSTLTGEFEDVKLLNELLLKKNKETGWDTPIHVDAASGGFIAPFLYPDLEWDFRLPLVKSINVSGHKYGLVYAGVGWVVWRSKEDLPEELIFHINYLGTDQPTFTLNFSKGSSQIIAQYYQFIRLGFEGYKNIMENCTENAKALKEGLEKTGKFEIVSKDIGVPLVAFSLKDSSMHTVFDIADNLRRFGWIVPAYTMPANAEHIAVLRVVIREDFSRSLAERLITDIQKVLADLDHRATKIITSVSLETAKNADVAVVKKTVEETEQEIISHWRSIVNKKKTSGVC >DRNTG_14202.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000660.1:95071:98403:-1 gene:DRNTG_14202 transcript:DRNTG_14202.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSSGCLFFSSSPATLLLRPALPRLSLPTRRPPRPRQHISLHRRATHQGKPPGTNPAAASSQSSPATHETLTDELKWEEALPSCLLRESLPRHVAIIMDGNSRWARDKGLPVSAGHEAGYRSLKEIIRLSCLWGIRALTVFAFSSENWFRPKAEVDFLMTLFQGVLTENFDIFVREGIKVRIIGDSAKLPKPLQQLAKEIQDVTVNKTRFELIVAVSYSGRQDIVLACQKIAQKVKDRLLEPDEITESLFAQELETNHSQDFPYPDLLIRTSGELRLSNFLLWQSAYSELYFTKSNWPDFGEADYIEALQSFQRRQRRFGQRIS >DRNTG_14202.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000660.1:95071:98552:-1 gene:DRNTG_14202 transcript:DRNTG_14202.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSSGCLFFSSSPATLLLRPALPRLSLPTRRPPRPRQHISLHRRATHQGKPPGTNPAAASSQSSPATHETLTDELKWEEALPSCLLRESLPRHVAIIMDGNSRWARDKGLPVSAGHEAGYRSLKEIIRLSCLWGIRALTVFAFSSENWFRPKAEVDFLMTLFQGVLTENFDIFVREGIKVRIIGDSAKLPKPLQQLAKEIQDVTVNKTRFELIVAVSYSGRQDIVLACQKIAQKVKDRLLEPDEITESLFAQELETNHSQDFPYPDLLIRTSGELRLSNFLLWQSAYSELYFTKSNWPDFGEADYIEALQSFQRRQRRFGQRIS >DRNTG_14202.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000660.1:95071:98403:-1 gene:DRNTG_14202 transcript:DRNTG_14202.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSSGCLFFSSSPATLLLRPALPRLSLPTRRPPRPRQHISLHRRATHQGKPPGTNPAAASSQSSPATHETLTDELKWEEALPSCLLRESLPRHVAIIMDGNSRWARDKGLPVSAGHEAGYRSLKEIIRLSCLWGIRALTVFAFSSENWFRPKAEVDFLMTLFQGVLTENFDIFVREGIKVRIIGDSAKLPKPLQQLAKEIQDVTVNKTRFELIVAVSYSGRQDIVLACQKIAQKVKDRLLEPDEITESLFAQELETNHSQDFPYPDLLIRTSGELRLSNFLLWQSAYSELYFTKSNWPDFGEADYIEALQSFQRRQRRFGQRIS >DRNTG_14202.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000660.1:95071:98403:-1 gene:DRNTG_14202 transcript:DRNTG_14202.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSSGCLFFSSSPATLLLRPALPRLSLPTRRPPRPRQHISLHRRATHQGKPPGTNPAAASSQSSPATHETLTDELKWEEALPSCLLRESLPRHVAIIMDGNSRWARDKGLPVSAGHEAGYRSLKEIIRLSCLWGIRALTVFAFSSENWFRPKAEVDFLMTLFQGVLTENFDIFVREGIKVRIIGDSAKLPKPLQQLAKEIQDVTVNKTRFELIVAVSYSGRQDIVLACQKIAQKVKDRLLEPDEITESLFAQELETNHSQDFPYPDLLIRTSGELRLSNFLLWQSAYSELYFTKSNWPDFGEADYIEALQSFQRRQRRFGQRIS >DRNTG_14202.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000660.1:95071:98403:-1 gene:DRNTG_14202 transcript:DRNTG_14202.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSSGCLFFSSSPATLLLRPALPRLSLPTRRPPRPRQHISLHRRATHQGKPPGTNPAAASSQSSPATHETLTDELKWEEALPSCLLRESLPRHVAIIMDGNSRWARDKGLPVSAGHEAGYRSLKEIIRLSCLWGIRALTVFAFSSENWFRPKAEVDFLMTLFQGVLTENFDIFVREGIKVRIIGDSAKLPKPLQQLAKEIQDVTVNKTRFELIVAVSYSGRQDIVLACQKIAQKVKDRLLEPDEITESLFAQELETNHSQDFPYPDLLIRTSGELRLSNFLLWQSAYSELYFTKSNWPDFGEADYIEALQSFQRRQRRFGQRIS >DRNTG_20442.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4527089:4528529:1 gene:DRNTG_20442 transcript:DRNTG_20442.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGRNETLESSNGIVSRVSIWEDGEEWIHGSSKEGDEAGPEEDDEVLCDRRGGLSGHRFLLLRSLD >DRNTG_20442.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4527089:4528529:1 gene:DRNTG_20442 transcript:DRNTG_20442.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSRVPTASFLGFPFGKMGKSGSMGARRKETKRGRKRTTKCFATAVVDYLVTDSYFYAPLIDPMAVNPSPPCDKILSPTSNSQGCMGLSAPLVASNKVKYIRIRE >DRNTG_10820.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5094525:5098242:1 gene:DRNTG_10820 transcript:DRNTG_10820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKKKQSNEGDNSIRLQIIPQPSEASTKLNGSGGSFFEPRAEGSNRSNPTSTEPRAADSQYRNFWDKAPEKKLTLFALRLAVLEKAASGLGALGFIWATVVLLGGFATSMVNKDFWFVTVILFTEGARIFSRSHELELQHQTTWTIADAGKHSLRAIASSSRFFMRVIKAIFQQLSAIKSENQLQKHITDDIQMIAHTPVLPHTGQQTWISSDVTLMLYTNWVVLSKNISRVLYWLQLLSAVACMTLSLMRLVQQNYGEDQPGTENRKPALNLFYGLALAEALMFLLEKAYWEWTISICKLLEQVKQECEFGTADIGIVPIKRFLYDAYSSCINGSVFDGLKMDLVSFAGELLDSDFHDEQLIGLQILQKFAKSDRFSSDTLRKIGTSTSMMERLIDMLSWKNPAKEKIRRLAAEIVSKLAGKKQNALRVAGIPASMECVSSLLYTGRKLDNKPYEIWGRDIVADQTNYEFSAFNLLGLLILKKLANDHENCWRIGNTRGLLAKIIDFTSTGEQLLRNDHATESQIKAVKRSLQVAKKLVSTTGETGKMLRREISNIVFTVSNIRDILEYGENHMLLQMQGIEMLTSLAMDQDAREKIGSTGGIIRLLLSIFFKPRLTQKENKLCVEAGETLALLTLESMQNSKHILNEKGVVDQLVDLLTDPVLQINSSRILRNLCAYSGSENFFCLRGVTVAAPTVLHSIMVEDGKLLQESIGLTMQIFRFMTPEEQDKELKRACISATNFAKKLLEILEKYNAPCVKVPRIRRFVIELVIWLMKSHEKYRQLFRKFEMDRAIKSVAETTSELECFPVFSGSIGLNRHDISLSSLADVALELLMNMKSKI >DRNTG_10820.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5094525:5098242:1 gene:DRNTG_10820 transcript:DRNTG_10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKKKQSNEGDNSIRLQIIPQPSEASTKLNGSGGSFFEPRAEGSNRSNPTSTEPRAADSQYRNFWDKAPEKKLTLFALRLAVLEKAASGLGALGFIWATVVLLGGFATSMVNKDFWFVTVILFTEGARIFSRSHELELQHQTTWTIADAGKHSLRAIASSSRFFMRVIKAIFQQLSAIKSENQLQKHITDDIQMIAHTPVLPHTGQQTWISSDVTLMLYTNWVVLSKNISRVLYWLQLLSAVACMTLSLMRLVQQNYGEDQPGTENRKPALNLFYGLALAEALMFLLEKAYWEWTISICKLLEQVKQECEFGTADIGIVPIKRFLYDAYSSCINGSVFDGLKMDLVSFAGELLDSDFHDEQLIGLQILQKFAKSDRFSSDTLRKIGTSTSMMERLIDMLSWKNPAKEKIRRLAAEIVSKLAGKKQNALRVAGIPASMECVSSLLYTGRKLDNKPYEIWGRDIVADQTNYEFSAFNLLGLLILKKLANDHENCWRIGNTRGLLAKIIDFTSTGEQLLRNDHATESQIKAVKRSLQVAKKLVSTTGETGKMLRREISNIVFTVSNIRDILEYGENHMLLQMQGIEMLTSLAMDQDAREKIGSTGGIIRLLLSIFFKPRLTQKENKLCVEAGETLALLTLESMQNSKHILNEKGVVDQLVDLLTDPVLQINSSRILRNLCAYSGSENFFCLRGVTVAAPTVLHSIMVEDGKLLQESIGLTMQIFRFMTPEEQDKELKRACISATNFAKKLLEILEKYNAPCVKVPRIRRFVIELVIWLMKSHEKYRQLFRKFEMDRAIKSVAETTSELECFPVFSGSIGLNRHDISLSSLADVALELLMNMKSKI >DRNTG_29614.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19940227:19940971:-1 gene:DRNTG_29614 transcript:DRNTG_29614.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRQGHEYLGGSEPSHGHGEGLESFLDHVMLVCCR >DRNTG_29614.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19940741:19940971:-1 gene:DRNTG_29614 transcript:DRNTG_29614.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRQGHEYLGGSEPSHGHGEGLESFLDHVMLGECW >DRNTG_14602.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7125413:7128451:1 gene:DRNTG_14602 transcript:DRNTG_14602.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 37 [Source:Projected from Arabidopsis thaliana (AT3G24515) UniProtKB/TrEMBL;Acc:A0A1I9LLL0] MAQAARLNLRMQKELKLLLSDPPPGVSLPLLSDNDSSSSSSLSIIEAWIKGPEGTVYSDGVFTLKIQIPERYPFQPPIVTFTTPIYHPNIDDGGRICLDILNLPPKGAWQPSLNISTVLTSIGLLLSEPNPDDGLMAETSREYKYNRQVFDQKARCWTEKYAGACKSKDGALGKTSIVRLA >DRNTG_14602.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7125413:7128451:1 gene:DRNTG_14602 transcript:DRNTG_14602.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 37 [Source:Projected from Arabidopsis thaliana (AT3G24515) UniProtKB/TrEMBL;Acc:A0A1I9LLL0] MAQAARLNLRMQKELKLLLSDPPPGVSLPLLSDNDSSSSSSLSIIEAWIKGPEGTVYSDGVFTLKIQIPERYPFQPPIVTFTTPIYHPNIDDGGRICLDILNLPPKGAWQPSLNISTVLTSIGLLLSEPNPDDGLMAETSREYKYNRQVFDQKARCWTEKYAGACKSKDGALGKTSIQEVARAETEQINSVSESESRKKLRVIGQKLSLKPKPQISKNESDDKENAAPTRRLSLACSQIHPTDSPVEINQKQKPTHEDTEKTLKRNQNQVVESPKITETLIVLDSESDDEGECRSRSRLSLKRKQILGKWKPECS >DRNTG_14602.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7125413:7128451:1 gene:DRNTG_14602 transcript:DRNTG_14602.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 37 [Source:Projected from Arabidopsis thaliana (AT3G24515) UniProtKB/TrEMBL;Acc:A0A1I9LLL0] MAQAARLNLRMQKELKLLLSDPPPGVSLPLLSDNDSSSSSSLSIIEAWIKGPEGTVYSDGVFTLKIQIPERYPFQPPIVTFTTPIYHPNIDDGGRICLDILNLPPKGAWQPSLNISTVLTSIGLLLSEPNPDDGLMAETSREYKYNRQVFDQKARCWTEKYAGACKSKDGALGKTSIEVARAETEQINSVSESESRKKLRVIGQKLSLKPKPQISKNESDDKENAAPTRRLSLACSQIHPTDSPVEINQKQKPTHEDTEKTLKRNQNQVVESPKITETLIVLDSESDDEGECRSRSRLSLKRKQILGKWKPECS >DRNTG_13299.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2934084:2934724:-1 gene:DRNTG_13299 transcript:DRNTG_13299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLILSVPNPYPPIPLAGTLDIAAVAPMHEEGKDLVPSNEVCHHLVGAVVSLAREQSCDRHPSDTKPSMSEDDDDFLIRGE >DRNTG_03688.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21198836:21204814:1 gene:DRNTG_03688 transcript:DRNTG_03688.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPFPLFPSQFSSVTYIATSTSISPPLFIWTYSTFLSVGKHIKATSPVFFSLHSAVASLEEAAVEDEEKTDAKLKWVDIGPIITEAQKQAISQLPPKMTRRCKALMKRIICFSPKDEIMSILLASWVKTMKPIRADWLSVMKEIRRLGNPLLLEVMEFALLEDSFEANIRDYTKLIDMYAKHSMLQNAENIFQAMKERGFTCDQVTLTVLVHMYSKAGYLNKAEEVFEEIKLLGLPVDKRSFGAMVMAYVRAGMLDLAENLLRESEAQEIYVGKEVYKALLRAYAKLGNTDGAQRMFNAIQLARIVPDCWFCALLITAYCRAGQSDKAHSVLENMRTVGLDINDKCVALLLSAYEKENKLEKALSLLVDLEQDGIMVAEESSQILASWFRRLGVVKEVELVLKEFSERKSNGKRSTLPSE >DRNTG_10679.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13031589:13032229:1 gene:DRNTG_10679 transcript:DRNTG_10679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSQGQPHAPVSSRDEENSSAETHTGVRKLPTPVRGLQGCPQGRVHAPLCSWENPPNSVGIHTPVQKLPTGV >DRNTG_16872.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29832159:29838751:1 gene:DRNTG_16872 transcript:DRNTG_16872.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate--cysteine ligase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G23100) UniProtKB/Swiss-Prot;Acc:P46309] MALSSRVSSYRVHGFEVMGSGVDVRRSSLRGMEKSVSLAVNGWSLERRMRALQLGVGGFGRGNRVVVAASPPTEDAAVMTEPLTKEDLVGYLASGCKAKEKWRIGTEHEKFGFEVGTLRPIKYEQIAELLNGLAERFDWDKIIENDYVIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVAEDMGIGFLGIGFQPKWALKDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEADMIRKFRAGLALQPIATAIFANSPFTEGKPNGYLSMRSHIWTDTDNNRAGMLPFVFDDSFGFERYVDYALDVPMYFVYRNKKYIDCTGMSFRDFMAGKLAPVPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEVSLQNILDLTADWTNEEREMLRRKVPVTGLKTPFRDGLLRHVAEDVLQFAKDGLERRGYKEAGFLKEVTSVVSTGVTPAENLLELYHGKWGQNVDPVFEELLY >DRNTG_00388.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17915128:17919219:-1 gene:DRNTG_00388 transcript:DRNTG_00388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSYTYQAELLLRDYLLADPCVIYTSVLCGIVMCKMAYDLTQLISSLYFKAYTSLTKVQRIEWSNRGMSTAHAVFITIMSSYLVFFSDLYSDRLDGFVTFRSSNLSIFALGVSAGYFICDLAMILWLYPSLGGMEYIIHHLLSLIAVSYAMLSGEGQLYTFMVLLSETTTPGINLRWFLDNAGMKRSKAYLINGIGMFAAWLVARILLFVYLFYHVYLHHDHIKKMQTFGYILVFIVPLVLGIMNTMWFAKILKGLRKTLAKRQ >DRNTG_19028.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22554369:22555416:-1 gene:DRNTG_19028 transcript:DRNTG_19028.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLLTLFSTFLFSLILVQHSLGYAPMTLTIVNNCPFTVCPGIQPNSGHEVLEHGGFCLPTLHHRSFPAPTDHWSGRVWARTGCTHDGTRISCLTGDCNGQLHCSGHGGAVPATLAQLSIHHGGHLDLTSYGVSLVDGFNVGMTITPHEGQGRCPVVGCRADLLPTCPAPLQTRSPVTAGGHVIGCKSGCLAFNTDELCCRNMYNSPRTCRASSYSEFFKHACPDTFTYAHDSPNLTHECSAPGELKIIFCH >DRNTG_19028.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22554369:22555647:-1 gene:DRNTG_19028 transcript:DRNTG_19028.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLLTLFSTFLFSLILVQHSLGYAPMTLTIVNNCPFTVCPGIQPNSGHEVLEHGGFCLPTLHHRSFPAPTDHWSGRVWARTGCTHDGTRISCLTGDCNGQLHCSGHGGAVPATLAQLSIHHGGHLDLTSYGVSLVDGFNVGMTITPHEGQGRCPVVGCRADLLPTCPAPLQTRSPVTAGGHVIGCKSGCLAFNTDELCCRNMYNSPRTCRASSYSEFFKHACPDTFTYAHDSPNLTHECSAPGELKIIFCH >DRNTG_19028.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22554160:22555461:-1 gene:DRNTG_19028 transcript:DRNTG_19028.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLLTLFSTFLFSLILVQHSLGYAPMTLTIVNNCPFTVCPGIQPNSGHEVLEHGGFCLPTLHHRSFPAPTDHWSGRVWARTGCTHDGTRISCLTGDCNGQLHCSGHGGAVPATLAQLSIHHGGHLDLTSYGVSLVDGFNVGMTITPHEGQGRCPVVGCRADLLPTCPAPLQTRSPVTAGGHVIGCKSGCLAFNTDELCCRNMYNSPRTCRASSYSEFFKHACPDTFTYAHDSPNLTHECSAPGELKIIFCH >DRNTG_19028.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22554369:22555461:-1 gene:DRNTG_19028 transcript:DRNTG_19028.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLLTLFSTFLFSLILVQHSLGYAPMTLTIVNNCPFTVCPGIQPNSGHEVLEHGGFCLPTLHHRSFPAPTDHWSGRVWARTGCTHDGTRISCLTGDCNGQLHCSGHGGAVPATLAQLSIHHGGHLDLTSYGVSLVDGFNVGMTITPHEGQGRCPVVGCRADLLPTCPAPLQTRSPVTAGGHVIGCKSGCLAFNTDELCCRNMYNSPRTCRASSYSEFFKHACPDTFTYAHDSPNLTHECSAPGELKIIFCH >DRNTG_19028.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22554160:22555416:-1 gene:DRNTG_19028 transcript:DRNTG_19028.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLLTLFSTFLFSLILVQHSLGYAPMTLTIVNNCPFTVCPGIQPNSGHEVLEHGGFCLPTLHHRSFPAPTDHWSGRVWARTGCTHDGTRISCLTGDCNGQLHCSGHGGAVPATLAQLSIHHGGHLDLTSYGVSLVDGFNVGMTITPHEGQGRCPVVGCRADLLPTCPAPLQTRSPVTAGGHVIGCKSGCLAFNTDELCCRNMYNSPRTCRASSYSEFFKHACPDTFTYAHDSPNLTHECSAPGELKIIFCH >DRNTG_19028.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22554160:22555647:-1 gene:DRNTG_19028 transcript:DRNTG_19028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLLTLFSTFLFSLILVQHSLGYAPMTLTIVNNCPFTVCPGIQPNSGHEVLEHGGFCLPTLHHRSFPAPTDHWSGRVWARTGCTHDGTRISCLTGDCNGQLHCSGHGGAVPATLAQLSIHHGGHLDLTSYGVSLVDGFNVGMTITPHEGQGRCPVVGCRADLLPTCPAPLQTRSPVTAGGHVIGCKSGCLAFNTDELCCRNMYNSPRTCRASSYSEFFKHACPDTFTYAHDSPNLTHECSAPGELKIIFCH >DRNTG_07841.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:49468:49782:-1 gene:DRNTG_07841 transcript:DRNTG_07841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSGLGDLAPACHGKRGEETSNSPEYVLHGLFSTKSDVYSYGVLVLEIITGKRNFGFQASGHAPDLLSYVSS >DRNTG_14833.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3768295:3770051:1 gene:DRNTG_14833 transcript:DRNTG_14833.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHRDVYIVKYYHGGTLLREGEVEYVNGSVVEFLVDLDKLCYWDLLGHIKELGYDITNSVSFFFRDDGATLMQISDDEDILRLVNQLQKLHTVDVYVEISGFKHNKGIPDALLSNSEIDLQLNVRKPDIEIDVDVDVGEANECNNGNYSGEDDEERMLDVPINYNSDVDEEWEEARVKVSKYIQLKKKIQGNDVEKDESHNVDKGAPASNTECPAENQKELIEDEGDKVGGCLSDYMDSSDPGSFIDTSSGSDVDDAHYQKSSRKIYDPTTNLEEFFLDLRFSDLKLFKNALVEFSTRKRFEFKYIKNDAMRVRAKCSAEGCSWMILCSWCSSKKLYVVKHYQAEHSCLLGATRNRRVSAHVVVEKFGDIIAGIPVMKPRHLKALVRREFGVFITDKTCKNARRLVLRKIEQQFIEDFKVLNNYAMELRATNLGSNVVVVLGRQSQDALPIFQKMYICLTAVKEGFLVGCRRLIGLDGCFLKGLMKGQLLVAVGRDGNNHMFPIAWAVVEKETSESWSWFLQQLKLDLGIEDGLGWSIVSDMQK >DRNTG_14833.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3763168:3771746:1 gene:DRNTG_14833 transcript:DRNTG_14833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVYIVKYYHGGTLLREGEVEYVNGSVVEFLVDLDKLCYWDLLGHIKELGYDITNSVSFFFRDDGATLMQISDDEDILRLVNQLQKLHTVDVYVEISGFKHNKGIPDALLSNSEIDLQLNVRKPDIEIDVDVDVGEANECNNGNYSGEDDEERMLDVPINYNSDVDEEWEEARVKVSKYIQLKKKIQGNDVEKDESHNVDKGAPASNTECPAENQKELIEDEGDKVGGCLSDYMDSSDPGSFIDTSSGSDVDDAHYQKSSRKIYDPTTNLEEFFLDLRFSDLKLFKNALVEFSTRKRFEFKYIKNDAMRVRAKCSAEGCSWMILCSWCSSKKLYVVKHYQAEHSCLLGATRNRRVSAHVVVEKFGDIIAGIPVMKPRHLKALVRREFGVFITDKTCKNARRLVLRKIEQQFIEDFKVLNNYAMELRATNLGSNVVVVLGRQSQDALPIFQKMYICLTAVKEGFLVGCRRLIGLDGCFLKGLMKGQLLVAVGRDGNNHMFPIAWAVVEKETSESWSWFLQQLKLDLGIEDGLGWSIVSDMQKGLIHAVNSLLPLIEHRMCARHIYARWGKRHPGKELQILFWSTARSTSEPEMQSQLQKMKRVKGGGMAVEELLERWPISGWCATYFNDVVKCHVIDNNICETFNGVMVEARSKPIITMLEEIRRYVMQRMVVKRNYVRKWKLDFGPNIISKLETERSKSGKWQVDWNGAAEHEVYWDDVQLLVRETYVVKLANNSCSCGKWDKSGIPCQHAMAAIAFHGLDPLNYISEWFKKETYLKAYQFNISAVKGRRFWPTSEEGPMLPPITKRMPGRPAKKRKREPLEGKNKSNTKLSKEGRVFKCGICHMEGHNRKSCQNKASRDTTTEVGSSGQKTNERMKTNGKEKTYATSKKKRGRPAYGPTRILRGAHTGETILGREVANSSSFITTNELIARRNARVVANKNQAEVVGHQSSRTS >DRNTG_14833.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3763168:3771504:1 gene:DRNTG_14833 transcript:DRNTG_14833.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVYIVKYYHGGTLLREGEVEYVNGSVVEFLVDLDKLCYWDLLGHIKELGYDITNSVSFFFRDDGATLMQISDDEDILRLVNQLQKLHTVDVYVEISGFKHNKGIPDALLSNSEIDLQLNVRKPDIEIDVDVDVGEANECNNGNYSGEDDEERMLDVPINYNSDVDEEWEEARVKVSKYIQLKKKIQGNDVEKDESHNVDKGAPASNTECPAENQKELIEDEGDKVGGCLSDYMDSSDPGSFIDTSSGSDVDDAHYQKSSRKIYDPTTNLEEFFLDLRFSDLKLFKNALVEFSTRKRFEFKYIKNDAMRVRAKCSAEGCSWMILCSWCSSKKLYVVKHYQAEHSCLLGATRNRRVSAHVVVEKFGDIIAGIPVMKPRHLKALVRREFGVFITDKTCKNARRLVLRKIEQQFIEDFKVLNNYAMELRATNLGSNVVVVLGRQSQDALPIFQKMYICLTAVKEGFLVGCRRLIGLDGCFLKGLMKGQLLVAVGRDGNNHMFPIAWAVVEKETSESWSWFLQQLKLDLGIEDGLGWSIVSDMQKGLIHAVNSLLPLIEHRMCARHIYARWGKRHPGKELQILFWSTARSTSEPEMQSQLQKMKRVKGGGMAVEELLERWPISGWCATYFNDVVKCHVIDNNICETFNGVMVEARSKPIITMLEEIRRYVMQRMVVKRNYVRKWKLDFGPNIISKLETERSKSGKWQVDWNGAAEHEVYWDDVQLLVRETYVVKLANNSCSCGKWDKSGIPCQHAMAAIAFHGLDPLNYISEWFKKETYLKAYQFNISAVKGRRFWPTSEEGPMLPPITKRMPGRPAKKRKREPLEGKNKSNTKLSKEGRVFKCGICHMEGHNRKSCQNKASRDTTTEVGSSGQKTNERMKTNGKEKTYATSKKKRGRPAYGPTRILRGAHTGETILGREVANSSSFITTNELIVITILTLTK >DRNTG_14833.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3768295:3771504:1 gene:DRNTG_14833 transcript:DRNTG_14833.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHRDVYIVKYYHGGTLLREGEVEYVNGSVVEFLVDLDKLCYWDLLGHIKELGYDITNSVSFFFRDDGATLMQISDDEDILRLVNQLQKLHTVDVYVEISGFKHNKGIPDALLSNSEIDLQLNVRKPDIEIDVDVDVGEANECNNGNYSGEDDEERMLDVPINYNSDVDEEWEEARVKVSKYIQLKKKIQGNDVEKDESHNVDKGAPASNTECPAENQKELIEDEGDKVGGCLSDYMDSSDPGSFIDTSSGSDVDDAHYQKSSRKIYDPTTNLEEFFLDLRFSDLKLFKNALVEFSTRKRFEFKYIKNDAMRVRAKCSAEGCSWMILCSWCSSKKLYVVKHYQAEHSCLLGATRNRRVSAHVVVEKFGDIIAGIPVMKPRHLKALVRREFGVFITDKTCKNARRLVLRKIEQQFIEDFKVLNNYAMELRATNLGSNVVVVLGRQSQDALPIFQKMYICLTAVKEGFLVGCRRLIGLDGCFLKGLMKGQLLVAVGRDGNNHMFPIAWAVVEKETSESWSWFLQQLKLDLGIEDGLGWSIVSDMQKGLIHAVNSLLPLIEHRMCARHIYARWGKRHPGKELQILFWSTARSTSEPEMQSQLQKMKRVKGGGMAVEELLERWPISGWCATYFNDVVKCHVIDNNICETFNGVMVEARSKPIITMLEEIRRYVMQRMVVKRNYVRKWKLDFGPNIISKLETERSKSGKWQVDWNGAAEHEVYWDDVQLLVRETYVVKLANNSCSCGKWDKSGIPCQHAMAAIAFHGLDPLNYISEWFKKETYLKAYQFNISAVKGRRFWPTSEEGPMLPPITKRMPGRPAKKRKREPLEGKNKSNTKLSKEGRVFKCGICHMEGHNRKSCQNKASRDTTTEVGSSGQKTNERMKTNGKEKTYATSKKKRGRPAYGPTRILRGAHTGETILGREVANSSSFITTNELIVITILTLTK >DRNTG_10502.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6294267:6299530:1 gene:DRNTG_10502 transcript:DRNTG_10502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDIFPVEFCDEKSKGFLRVLDFDNLIWSLGVGRHLGSMSLSPYVGKTDGSHINVDEENDDLIYLEEMPPPGEATITSKRVARKEKLYRTILISYQSNLIKKEGANANTME >DRNTG_27513.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21058001:21059744:-1 gene:DRNTG_27513 transcript:DRNTG_27513.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFLVDSYNKPVPAILEIPSKDHPYDPAHDSVLSRVRYLFSAESVASGRR >DRNTG_27513.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21059903:21061983:-1 gene:DRNTG_27513 transcript:DRNTG_27513.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAQIPTNNSALIAMIADEDTVTGFLLAGVGNVDLRRKTNYLIVDSSMCTTSLNNVVIYIWFIRCVFDHMRSCFGINGSLCLT >DRNTG_27513.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21058001:21061983:-1 gene:DRNTG_27513 transcript:DRNTG_27513.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAQIPTNNSALIAMIADEDTVTGFLLAGVGNVDLRRKTNYLIVDSTILEIPSKDHPYDPAHDSVLSRVRYLFSAESVASGRR >DRNTG_04886.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11684194:11684739:1 gene:DRNTG_04886 transcript:DRNTG_04886.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKMMKKKKTTTVRGRAWRLIRIALIWARKGGAFKQSLLLSLKLAFKNITNHHQKSIHYLEREFSFDETPSFRFKLHRPRFPCIGFPDVHDQDDDDAVFFFSGHDNNVINSISYDHHKNDDDDVNDGIEEEEEEEEEEPEQLEGIDSQAEEFINNFYQEMKLQRQVSFIQYNDMLLRSIS >DRNTG_21044.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1482635:1483148:-1 gene:DRNTG_21044 transcript:DRNTG_21044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSAGTQPYHLSLTLLTTKTTNQSSRAALSSPPLLTRRVESLSVAADFLLSTIAQVPMANIEDTALRLRIDISSVDLDSILLPHGEDFNIKRYFLAHIQPLIFTKSLTLIRFLSDDKDLYHDDGFNLETGFGNVIVVDTLLENV >DRNTG_02637.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:11898097:11901203:1 gene:DRNTG_02637 transcript:DRNTG_02637.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGSLLMNMGIPSAFCLAIGGLYIVLFFVLPWPSISSVQRYKISPGFGASEMSWIDNDGLFLLSNSSNFAFGLTIYSSTNTTFFLLVVIHKSSSTIVWTANQDSPVIQSDLFVFDTDGNAYLQSNGRIIWSTNTSGMGATSMELLDSGNLVMLGADSYAPPLWQSFSHPTDTVLSRQSFSEGMMLVSKPNPHNLVCRLKMESGDMRLYADFRQPQPYWSIQDDKRKIYNQAGGTMYSASLVSNSWNFYDRNQSLLWQFLISGDPMFPNVTWAAVLGADGFITFHSLPDGASTGSTTSSTNIPSDSCDTPEPCDPYFICHEGTRCQCPAVLSSDDNCNPDVVSPCSSSASSFNLAKLEDGVGYFATSYTSPMTSKSNLSACQASCLGNCSCLALLFHPGSGNCFLFDEIGSFQQSGSGYTAFIKISGGGGDAPGGGGGGHNSIIIIIICVTTVLVIAGLIFIAYWILKKKRKQPAEPPSPCSSEENSFLENMSGMPVRFSYRELTAATDNFSVRLGQGGFGSVYLGKLQDGTQVAVKKLEHIGQGKKEFRAEVSIIGSIHHVHLVRLRGFCAEGIHRLLAYEYMPKGSLDRWIFKTHKEGAQLLDWDRRYNIALGTAKGLAYLHEDCESKIVHCDIKPENVLLDENFEAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWLTNYAISEKSDVYSYGMVLLEIIGGRKNYDPRETSEKANFPSYAFKMMEEGRLRDVLDERLLNFIENQKHKEEDSRVETAIKVALWCIQEDMSLRPSMGKVVQMLEGVTEVPHPPTLSKMAFRLYANVFKPLTSSEEGTSSGPSDCNSDALLSAVQLSGPR >DRNTG_20556.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3500400:3502136:-1 gene:DRNTG_20556 transcript:DRNTG_20556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAALSRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVARAADEGGLPLFGHHSPREFVLSLSRPRSIIILVKAGAPVDQTIATLSRFLEPGDAIIDGGNEWYENTERRISEASPQGLLYLGMGVSGGEEGARRGPSLMPGGSRQAFDNVADILSKVAAQVDDGPCVTYVGPGGAGNFVKMVHNGIEYGDMQLISEAYDVLKTVGGLSNQDLANIFDEWNRGELESFLIEITADIFKVKDEHGEGELVDKILDKTGMKGTGKWTVQQAAELSVAAPTIAASLDCRYLSGLKEEREAAAGVLEQAGISNEALRASVGAVDKKRLIDDVRQALYASKICSYAQGMNLLRAKSQEKGWNLNLGELARIWKGGCIIRARFLDRIKKAYERNGSLPNLIVDPEFAREMAQRQGAWRRVVGLAIGAGISTPGMCASLSYFDTYRRSRLPANLVQAQRDLFGAHTYERIDRPGSFHTEWTKLARQSEAGVGAHN >DRNTG_12614.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20444050:20451476:-1 gene:DRNTG_12614 transcript:DRNTG_12614.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEARVEVAVPPPVVVDGDVADDWSKEDDGAAPVAHHAAETVDPPPEPQPLPQDSNEDIQTGLQSLQLEPKAKDKEIAKPEIAQVLEVKDEAKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETENTRFTILDAPGHKSYVPNMISGASQADVGVLVISARKGEFETGYEKGGQTREHVQLAKTLGVSKLVVVVNKMDDHTVGWSKERFDEIESKMVPFLRSSGYNVKKDVQFLPISGLVGTNMKTRVEKKTCGWWDGPCLFEVLDSIQVPLRDPNGPLRMPIIDKYKDMGTVVMGKVESGSIQEGDSLLIMPNKAQVKVVAVYCDEDKVTRAGPGENLRVKLSGVEEEEILTGFVLSSIASSVPATFEFKAQLQILELVDNAIFTAGYKAVLHIHAVSEECEIVELIEEIDMKKKKDDDPKKKKAKKKPLFVKAGAAVLCRIQVSNLICVENFADYPQLGRFTLRTEGRTIAIGKVVALPPAGSSSFSA >DRNTG_01253.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1835496:1837734:-1 gene:DRNTG_01253 transcript:DRNTG_01253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPFVEGGEKCLEILSLSYNDLPYYLKSCFLFFGCFKEDEEIPAKTIIRLWSAEDFLPKKNGKTMEEFGFNYMEELAQRCLIQVTKERYDDSAKYCRIHDLLRDMCILEAKENRFLEIYQNDTADCAARRLIIFNEIETLNYSNSKLRGLFYSHGDIFNPPDFKALNGQLGRFKLLRVLCLRVKGISKFPSEIKSLIHLRYLHTTNIKKVPSWIGHLCNLQTFILHCLDFEKISDSLWTIGNLRHVQLPRSLADPNMGNNVPKNLQTLEGVNAGSWIGNALPKLTNLCELSINKVSNDQADALSSSLHKLGRLASFSIRGIEIPTDIITAFSNQHCLKKLDLCGSLNPKQLPHNDVFPQQLVELYLEHSKLEQDPMATLGKLPCLKYLQLGCDAYIGKQMICSATGFPQLLSLEFSCLNELEEWKIEEKAMSCLKSLWISRCGRLKMIPEGLKECAT >DRNTG_22531.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3578543:3579158:-1 gene:DRNTG_22531 transcript:DRNTG_22531.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQDKRISGDSIMYLSLAFAYLNAGKPMSASEMLDNMVKNQLRITAKIYNCLNASYADNNDVLTLLWSRAIEKCLISRNVYKLMQ >DRNTG_22531.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3578543:3580572:-1 gene:DRNTG_22531 transcript:DRNTG_22531.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLLTHHRHFTTARHLLDEMPLREFLSSPTVLSSLLCSHTDRTSNTLILSWLVLRYSRLSMIHDALQVFDQMRLNGLVPKPHACSALLNALAKARLTASAWKVFDEMIRVGVVMNLCIFNVMLHVCYKAGDVGKAEELVSEMRRRCLSPDLFSYNSLIALYCKKSMHYEALSVQERMETEGIWPDIVTYNCLVHGYCREGRMREARRLFKDMKGVTPNQVTYTTLIDGYCRVNDLDEALKLREEMESKGLYPGVATYNSIIRKLCKDGKMKAANDLLNEMDERKVEPDNITCNTLINSYCKKGNMGFAWKLRNKMLESGLSLDQFTYKALIHGFCKVQDMDEAKEVLFEMLNAGYSANYSTFSWLVDGYCKQKNEAAALGIPDDLIKKGICVDKSVYRALIRRFCKRGLVDWAQKALMSMQDKRISGDSIMYLSLAFAYLNAGKPMSASEMLDNMVKNQLRITAKIYNCLNASYADNNDVLTLLWSRAIEKCLISRNVYKLMQ >DRNTG_22531.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3579257:3580572:-1 gene:DRNTG_22531 transcript:DRNTG_22531.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLLTHHRHFTTARHLLDEMPLREFLSSPTVLSSLLCSHTDRTSNTLILSWLVLRYSRLSMIHDALQVFDQMRLNGLVPKPHACSALLNALAKARLTASAWKVFDEMIRVGVVMNLCIFNVMLHVCYKAGDVGKAEELVSEMRRRCLSPDLFSYNSLIALYCKKSMHYEALSVQERMETEGIWPDIVTYNCLVHGYCREGRMREARRLFKDMKGVTPNQVTYTTLIDGYCRVNDLDEALKLREEMESKGLYPGVATYNSIIRKLCKDGKMKAANDLLNEMDERKVEPDNITCNTLINSYCKKGNMGFAWKLRNKMLESGLSLDQFTYKALIHGFCKVQDMDEAKEVLFEMLNAGDDQCF >DRNTG_22531.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3578266:3579158:-1 gene:DRNTG_22531 transcript:DRNTG_22531.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQDKRISGDSIMYLSLAFAYLNAGKPMSASEMLDNMVKNQLRITAKIYNCLNASYADNNDVLTLLWSRAIEKCLISRNVYKLMQ >DRNTG_22531.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3578266:3580572:-1 gene:DRNTG_22531 transcript:DRNTG_22531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLLTHHRHFTTARHLLDEMPLREFLSSPTVLSSLLCSHTDRTSNTLILSWLVLRYSRLSMIHDALQVFDQMRLNGLVPKPHACSALLNALAKARLTASAWKVFDEMIRVGVVMNLCIFNVMLHVCYKAGDVGKAEELVSEMRRRCLSPDLFSYNSLIALYCKKSMHYEALSVQERMETEGIWPDIVTYNCLVHGYCREGRMREARRLFKDMKGVTPNQVTYTTLIDGYCRVNDLDEALKLREEMESKGLYPGVATYNSIIRKLCKDGKMKAANDLLNEMDERKVEPDNITCNTLINSYCKKGNMGFAWKLRNKMLESGLSLDQFTYKALIHGFCKVQDMDEAKEVLFEMLNAGYSANYSTFSWLVDGYCKQKNEAAALGIPDDLIKKGICVDKSVYRALIRRFCKRGLVDWAQKALMSMQDKRISGDSIMYLSLAFAYLNAGKPMSASEMLDNMVKNQLRITAKIYNCLNASYADNNDVLTLLWSRAIEKCLISRNVYKLMQ >DRNTG_19633.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27912802:27914168:-1 gene:DRNTG_19633 transcript:DRNTG_19633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDAIRNIVGIIGNILTFGLFVSPMPTFYKIWKSKDMEDFSPIPYLATLMNCALWVFYGMPFVHPKSLLIVTINGFGLVVEAFYIIMCFIFSKRKLRMKMLGIFLVEVAFVAIVILVVMLAFHTHELRTKFVGSLCVIFGAIMYGAPLSVMKLVIRTKSVKYMPFFLSFAGFLNGIDWTIYGFIHFDIFVVLPNGIGALLGLAQLILYGYYYRSTPKEEEEKPKAELELPTTTSSV >DRNTG_34892.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21842540:21845757:-1 gene:DRNTG_34892 transcript:DRNTG_34892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSHLFQLLCINLVIFFTAQTAEAKRFGNETDHLALLAFKNGITHDPTGVLRSWNHTTDVCNWEGVTCGHKHPDRVTALSLSYRNLEGSISPFIGNLSFLRRIFLMNNALYGTIPSEIGRLHSLRLLNLSYNSFHGAIPANLSHCSSLITIQLEYNQLTGSIPSELGSTPKLKSLALDNNKLTGVIPRSLGNLSSLLQISLMYNSLQGSIPEELGNLSQLSFFQVSANMLSGTIPFRLFNLSSLYLFSVADNRLHGTLPPSFGNQLQQLQVLFLGINQLTGPIPASLSNATKLEAIDLARNSFSGKIPDDLGRLQELFIFHVLDNQLKASNEDDWKFLDSLTNCTLMQSLNLGVNQLGGTLPSSVANLSATLESLGIGFNPMVGTIPPGIKSLINLKTLDMAYCNFRGEIPDGIGNLANLLLLDLTGNKFTGKIPFSIGNLTLLITLLLFDNSFEGPIPASIGNLQQLSLMVLYNNKLNGSIPKEMLNLPYISKQLDLSQNLLEGPLPPEIGNLKNLMYFSVSENKLSGEIPATLGQCEVMENLYLGNNIFEGTIPPTLSNMKGLKALDLSQNNLSGVVPPSFGNLRGLEEMDLSHNLLSGSIPESLQYLISLFDLNLSYNQLQGDVPIKGVFQNLTAVSLIGNKGLCGGISQLHLPACPHMKVYKHRKRWYHWLEFIIPISVVMFLLVLFALAHWKKKRRETSVATSSKDEQYPRVTYAELYKATGGFSPDNLIGSGRYGSVYKGSLDNGKTMVAVKVFKLQERGASKSFLSECETLKSIRHRNLIKIITSCSSVDHQGRDFKALIFEYMPHGNLDRWLHPEDDFHTDQENHLSLVQRLNIAIGIADAMDYLHHSCKPSVVHCDLKTSNVLLDTDMNAHVGDFGLAKFLSEAVSNSSQNSTSSSAIKGTVGYVAPEYGAGGQVSMSGDVYSYGIILLEMFTGKRPTNDMFKDGMSLRRFVDAETTSEDIMEIIDQAMLSHIETNDVTSNEKRQISECLISILKVGLACSDPTPRQRMSMTDVAARVRKIQSILSREQHDTPQ >DRNTG_24964.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6554752:6557405:-1 gene:DRNTG_24964 transcript:DRNTG_24964.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLVLRGTLKGHSDMVTAIATPIDNSDMIVSSSRDKSVLVWHLTKETGTGDPANTVYGVPRRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLATGVTTRRFVGHAKDVLSVAFSIDNRQIVSASRDRTIKLWNTLGECKYTIQDADSHTGWVSCVRFSPNAYQPTIVSGSWDRTVKIWNLTNCKLRSTLTGHGGYVNAVAVSPDGSLCASGGKDGVTLLWDLAEGKKLYSLEAGAIIHSLCFSPNRYWLCAATEVSVKIWDLESKSIVQDLKPEATTGKNQLLHCTSLSWSSDGSTLYTGYTDGVIRVWEITRGY >DRNTG_13732.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5471268:5480531:-1 gene:DRNTG_13732 transcript:DRNTG_13732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADECCSLQLIDGDGGFNKSGLAEFVKAVRLAECGLSYAVVSIMGPQSSGKSTLLNNLFRTNFREMDAFKGRSQTTKGIWMAKCNEIDPCTIVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPQLHTETPLSEFFNVDVVALSSYEEKEEQFKEQVASLRQRFFHSIAPGGLAGDRRGVVAASAFALSAEEIWKVIKENKDLDLPAHKVMVATVRCEEIANEKFVCLSADEEWQQLAEAVENGIVPGFGKKLSSILEKCLSGYDMEVIYFDEGVRNAKRQQLESKLLQLVHPAYQSMLGHLRSKTLDDFKEALDKALESGEGFAVAACDHTELFMSKFDEGCEDAVVEQAKWDPTKIRDKLQRDIDAHVASVRAAKLSELSAQFEGQLSKALAEPVEALLDAASDDTWPAIRRLLQKETKSAISGFASALAAFELDQVTVEKMLAKLEEYAKNVVESKSREEAGRALIRMKDRFSTLFSRDSDSMPRLWTGKEDIRAITKTARAASLKLMSVMAAIRLDDDKDNIEKTLSLALADNPNPNATNRSIQSFDPLASSSWEEVSPKKTLITPVQCKSLWRQFKAETEYTVTQAIAAQEANKRNNNWLPPPWAILAIVILGFNEFMTLLRNPFYFAIIFVAFLVGKALWIQLDIPGEFRNGALPGILALSTKFLPTVMNLLKKLADEGQRPAAPEPHRNPELAAKSFRNGVHNSTTSDASSNITSSENESEQSSPLRQYKP >DRNTG_28188.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20782680:20784676:1 gene:DRNTG_28188 transcript:DRNTG_28188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSWTLPLLRSSSRNASRLLVGSLAHFGDAITVSREKTKITVTSDGPFSKRYLKYLTKKYLKKHNVRDWLRVISSNKDRNVYELRYFNIAENEAEEE >DRNTG_34017.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31594815:31599004:-1 gene:DRNTG_34017 transcript:DRNTG_34017.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSGHGKASPEKLRKLKDLLLKSDNRICADCGAVDPKWASSNIGVFICLKCSGVHRSLGTHISKVMSVTLDEWSDDQIDSMLEVGGNSYANSIYEAFLPAGYLKPNPNSTYDERAEFIRSKYELQEFLKPSLRIVSSKSSHLSSDSGKDKHSSSSFNSPANAAGMVEFIGILKVKVIKGRNLAIRDMLSSDPYVVLTLGQQKAQTSVIKSNLNPVWNEELNLSVPESYGALKVQVFDHDVISSDDIMGEAEVDLQPMIAGAMAFGDPDLLADMQIGKWLKSDDNALINDSLVNIIDGKVIQEVSLRLQRVECGELDMELQWIPLSQ >DRNTG_34017.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31594815:31599004:-1 gene:DRNTG_34017 transcript:DRNTG_34017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSGHGKASPEKLRKLKDLLLKSDNRICADCGAVDPKWASSNIGVFICLKCSGVHRSLGTHISKVMSVTLDEWSDDQIDSMLEVGGNSYANSIYEAFLPAGYLKPNPNSTYDERAEFIRSKYELQEFLKPSLRIVSSKSSHLSSDSGKDKHSSSSFNSPANAQAGMVEFIGILKVKVIKGRNLAIRDMLSSDPYVVLTLGQQKAQTSVIKSNLNPVWNEELNLSVPESYGALKVQVFDHDVISSDDIMGEAEVDLQPMIAGAMAFGDPDLLADMQIGKWLKSDDNALINDSLVNIIDGKVIQEVSLRLQRVECGELDMELQWIPLSQ >DRNTG_17822.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15780012:15789640:1 gene:DRNTG_17822 transcript:DRNTG_17822.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVPPRDRRRGYHVAGPRPRLSVHRRSGRPLAFR >DRNTG_01043.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18642863:18644707:-1 gene:DRNTG_01043 transcript:DRNTG_01043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIKVFGSPTSAEVARVLACLFEKDVEFQLVRVDNYKGTERKPEYLKLQPFGQALTFEDGKTTLVDSRAICRYISERYPEQGNKDILGKGTLERASIEQWLQTEEQSFEPPSSALVFHLAYAPVLGLETDKMVVEDSRRKLAKVLDIYEQRLEETRFLAGDKFTLADLSHLPNAQRLSTIAGCAALFKERKRVSKWWSDISCRPSWKRVVDMQNEPPAAAA >DRNTG_29094.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:12443361:12445985:-1 gene:DRNTG_29094 transcript:DRNTG_29094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKILREDALQLQRVLDNFIEASDVCVQNMETALRLIGNEEVGRKEYDVAEIEGMQEEPFIQCEDCLNGQYICEQEMIQGGSAKTDCFQVEIEEEVNPKCCYSTCYRVATVSDLNSFPNPYFHQSNANGHAFKPISATISAFFSPFFLQLERGLWLGFRGVLARLLEWFSGFNTTLHLEEGYWGSFHRNRFGEVCPRLDNGTFEEDEATLQDHRHDYRGGFLWITCFYIQFH >DRNTG_09635.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21935722:21937148:-1 gene:DRNTG_09635 transcript:DRNTG_09635.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKDESVSVKPLLTTSRSCQDEMCYSVTCTQDDDTLCKVVIKDSLPEIGSSSGSSNASLEKMDLYSAEQELAKSMMTLLLPRALPLLKKTYERRPRSRSRETSRTVSSLQRSGGQNDDIGFRCRDIRAADLPVQLSQNDLENEVDGTKKFPIIDSPRLGIIAEPLGCMALDRLTDTRTLKDIKSMAPDSFEDELLIHDVALNKLFSSPAQSTSASSAELKYNMANPELHIYNIEEDRDGCYLRHDVCSEAIMLAKEVKQSDIILSDPTCDPDAHNHMEKEENKVGYMTSNQIANIPPLGHSSFMHNISQQSKGEPS >DRNTG_09635.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21935722:21939052:-1 gene:DRNTG_09635 transcript:DRNTG_09635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPSSSAGKVDGLEITSIGALYEGSWDKKYWSSSRGKDRYPFPVGYKAVRTHSGCTYRMEIRVGPKGPLFMVTSADGDSFSGQTPDIVWETYQKRSSVRLKNQTGKRLSSKIDGIELFGFRNPFVQRLLRELVANVGAVAEQSPSSSDMCDGAMQLEHELQVRDSQVYPDLLPYLEKRQSTGKRSAKTRNSIRSISREGRAKRICSQELAYQEDGTSEQVAHTCPMKRSETSANSSIQTTPGFENKDITIKSSPSLRNHKGIEHPGMSSLPGKTSIAVERNRSSQATDELPMELDDVEQSTDKHVVHFEQGKLAPLADAEVEHAYSSLTPQDTETGLPALVRVSEIHVPDTLDSLKEDNEESPCNMKDESVSVKPLLTTSRSCQDEMCYSVTCTQDDDTLCKVVIKDSLPEIGSSSGSSNASLEKMDLYSAEQELAKSMMTLLLPRALPLLKKTYERRPRSRSRETSRTVSSLQRSGGQNDDIGFRCRDIRAADLPVQLSQNDLENEVDGTKKFPIIDSPRLGIIAEPLGCMALDRLTDTRTLKDIKSMAPDSFEDELLIHDVALNKLFSSPAQSTSASSAELKYNMANPELHIYNIEEDRDGCYLRHDVCSEAIMLAKEVKQSDIILSDPTCDPDAHNHMEKEENKVGYMTSNQIANIPPLGHSSFMHNISQQSKGEPS >DRNTG_09635.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21935722:21939052:-1 gene:DRNTG_09635 transcript:DRNTG_09635.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENPSSSAGKVDGLEITSIGALYEGSWDKKYWSSSRGKDRYPFPVGYKAVRTHSGCTYRMEIRVGPKGPLFMLQVTSADGDSFSGQTPDIVWETYQKRSSVRLKNQTGKRLSSKIDGIELFGFRNPFVQRLLRELVANVGAVAEQSPSSSDMCDGAMQLEHELQVRDSQVYPDLLPYLEKRQSTGKRSAKTRNSIRSISREGRAKRICSQELAYQEDGTSEQVAHTCPMKRSETSANSSIQTTPGFENKDITIKSSPSLRNHKGIEHPGMSSLPGKTSIAVERNRSSQATDELPMELDDVEQSTDKHVVHFEQGKLAPLADAEVEHAYSSLTPQDTETGLPALVRVSEIHVPDTLDSLKEDNEESPCNMKDESVSVKPLLTTSRSCQDEMCYSVTCTQDDDTLCKVVIKDSLPEIGSSSGSSNASLEKMDLYSAEQELAKSMMTLLLPRALPLLKKTYERRPRSRSRETSRTVSSLQRSGGQNDDIGFRCRDIRAADLPVQLSQNDLENEVDGTKKFPIIDSPRLGIIAEPLGCMALDRLTDTRTLKDIKSMAPDSFEDELLIHDVALNKLFSSPAQSTSASSAELKYNMANPELHIYNIEEDRDGCYLRHDVCSEAIMLAKEVKQSDIILSDPTCDPDAHNHMEKEENKVGYMTSNQIANIPPLGHSSFMHNISQQSKGEPS >DRNTG_09635.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21935722:21937903:-1 gene:DRNTG_09635 transcript:DRNTG_09635.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCDGAMQLEHELQVRDSQVYPDLLPYLEKRQSTGKRSAKTRNSIRSISREGRAKRICSQELAYQEDGTSEQVAHTCPMKRSETSANSSIQTTPGFENKDITIKSSPSLRNHKGIEHPGMSSLPGKTSIAVERNRSSQATDELPMELDDVEQSTDKHVVHFEQGKLAPLADAEVEHAYSSLTPQDTETGLPALVRVSEIHVPDTLDSLKEDNEESPCNMKDESVSVKPLLTTSRSCQDEMCYSVTCTQDDDTLCKVVIKDSLPEIGSSSGSSNASLEKMDLYSAEQELAKSMMTLLLPRALPLLKKTYERRPRSRSRETSRTVSSLQRSGGQNDDIGFRCRDIRAADLPVQLSQNDLENEVDGTKKFPIIDSPRLGIIAEPLGCMALDRLTDTRTLKDIKSMAPDSFEDELLIHDVALNKLFSSPAQSTSASSAELKYNMANPELHIYNIEEDRDGCYLRHDVCSEAIMLAKEVKQSDIILSDPTCDPDAHNHMEKEENKVGYMTSNQIANIPPLGHSSFMHNISQQSKGEPS >DRNTG_09635.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21935722:21936762:-1 gene:DRNTG_09635 transcript:DRNTG_09635.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCYSVTCTQDDDTLCKVVIKDSLPEIGSSSGSSNASLEKMDLYSAEQELAKSMMTLLLPRALPLLKKTYERRPRSRSRETSRTVSSLQRSGGQNDDIGFRCRDIRAADLPVQLSQNDLENEVDGTKKFPIIDSPRLGIIAEPLGCMALDRLTDTRTLKDIKSMAPDSFEDELLIHDVALNKLFSSPAQSTSASSAELKYNMANPELHIYNIEEDRDGCYLRHDVCSEAIMLAKEVKQSDIILSDPTCDPDAHNHMEKEENKVGYMTSNQIANIPPLGHSSFMHNISQQSKGEPS >DRNTG_09635.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21938419:21939052:-1 gene:DRNTG_09635 transcript:DRNTG_09635.6 gene_biotype:protein_coding transcript_biotype:protein_coding MENPSSSAGKVDGLEITSIGALYEGSWDKKYWSSSRGKDRYPFPVGYKAVRTHSGCTYRMEIRVGPKGPLFMVCSYAIFCFCYS >DRNTG_28369.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2363863:2366340:1 gene:DRNTG_28369 transcript:DRNTG_28369.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACBP6 [Source:Projected from Arabidopsis thaliana (AT1G31812) UniProtKB/TrEMBL;Acc:A0A178WJ33] MGLKEDFEEHAEKAKTLPENTTNENKLILYGLYKQATVGPVNTSRPGVFSQRERYKWDAWKAVEGKSKEEAMGDYITKVKQLQEEAAAATA >DRNTG_15832.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25081758:25084768:1 gene:DRNTG_15832 transcript:DRNTG_15832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPGYLTQWPWQSLGNFKYLLLAPWVVDGAHKAMRDGWDVDLTYLAILPSLLFRMLHNQVWISISRVQNTRSKHRILDKSLDYDQVDRERNWDDQILFNGLLFYVGHMSITDATYLPIWRMDGWIIIMLLHAGPVEFLYYWFHRALHHHFLYSRYHSHHHASIVTEPITSVIHPFAEHVVYYFLFSIPMLTSIFTKTCSILALLFYIMYIDFMNNMGHCNFELVPNWFFNVFPPLKYLMYTPSYHSLHHTQFRTNYCLFMPFYDYIYNTMDKSSDCLYETSRKGKEEKYDVVHLTHPTTLQSIYHLRFGFPSLSSKPYDSKWYMMLVWPLSLISMAFTWIYGSCFTVERNKLKKLIMQTWAIPRYSFQYELSWEKDAINHLIEKAILQADCRGVRVLSLGLLNQGREINGNGELYLRKHPKLNLKIVDGSGLAAAVVLRSIPSGTKQVLLAGTLSKIGCAIATELCKKGIQVSMTSKPDFHHLKSRMSESTGRYLTFSRNYTSQVWLIGEGIDHAEQMMAPKGTIFAPFTKFPLRKVRKDCTYYSTPAMKTPDELENMHSCENWLPRRVMSAWRVAGIIHALEGWNEHECGDTILDIEKVWSAAILHGFLPLTQS >DRNTG_30612.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001604.1:67733:70066:-1 gene:DRNTG_30612 transcript:DRNTG_30612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHKNLLKNDDLLKYILDTSVYPREHEQLKELREVTMKLIRGEMSVPPEEGSFLSIILKLMNAKKAIEIGVFTGYSLLTTALALPEDGKITAIDINRSSFEIGLPFIRKAGVEEKINFIESEAIPILDKMIEEAKDDKEKLYDYAFVDADKTNNREYHERLMKLVKIGGVIVYDNTLWSGAVTEPINSSHSSLPQRFIETKNFFVKFNEFLALDPRLEITQVCIGDGVTICRRIL >DRNTG_30957.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001647.1:14539:20110:-1 gene:DRNTG_30957 transcript:DRNTG_30957.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVAKMLPNAEIMQGYGLTESCGTVSMGYSFGKAQQFGSSGYLIPGVEGKVVSVDTLQLLPPNQVGELCFRGPNIMQGYFKNPQATNETLKDGWLHSGDLGYFDDNGQLFVVDRIKELIKCKGFQVAPAELEGLLLSHPEILDVVVIPFPDDEAGEVPIAYVVCSAQSSLTEEDVQKFIFEQVAPYKRLRRVTFVKSIPKSASGKILRREFIAKVKAKL >DRNTG_30957.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001647.1:14539:33802:-1 gene:DRNTG_30957 transcript:DRNTG_30957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYEMGRMLRSIERFKVTHLYLVPPVMIALAKQGRITEYDVSSLRCLATGAAPLRKDVMEEVAKMLPNAEIMQGYGLTESCGTVSMGYSFGKAQQFGSSGYLIPGVEGKVVSVDTLQLLPPNQVGELCFRGPNIMQGYFKNPQATNETLKDGWLHSGDLGYFDDNGQLFVVDRIKELIKCKGFQVAPAELEGLLLSHPEILDVVVIPFPDDEAGEVPIAYVVCSAQSSLTEEDVQKFIFEQVAPYKRLRRVTFVKSIPKSASGKILRREFIAKVKAKL >DRNTG_06183.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25268699:25272538:-1 gene:DRNTG_06183 transcript:DRNTG_06183.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVSALFLLDIKGRVLVWRDYRGDVSAVQAERFFTKLIEKEGDPDSHDPVVYDNGVTYMFIQHNNVFLMTAARQNCNAASILLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMEVMQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIIRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRSTKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQVERHSRSRIEIMVKARSQFKERSTATNVEIELPVPADATNPNVRTSMGSAAYAPENDALVWKIKSFPWGQGIYVES >DRNTG_06183.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25269460:25272538:-1 gene:DRNTG_06183 transcript:DRNTG_06183.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVSALFLLDIKGRVLVWRDYRGDVSAVQAERFFTKLIEKEGDPDSHDPVVYDNGVTYMFIQHNNVFLMTAARQNCNAASILLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMEVMQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIIRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRSTKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQVERHSRSRIEIMVKARSQFKERSTATNVEIELPVPADATNPNVRTSMGSAAYAPENDALVWKIKSFPWGQGILSSFS >DRNTG_06183.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25268699:25269398:-1 gene:DRNTG_06183 transcript:DRNTG_06183.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAEFSLPSITAEEAMPEKKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >DRNTG_06183.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25268699:25272538:-1 gene:DRNTG_06183 transcript:DRNTG_06183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVSALFLLDIKGRVLVWRDYRGDVSAVQAERFFTKLIEKEGDPDSHDPVVYDNGVTYMFIQHNNVFLMTAARQNCNAASILLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMEVMQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIIRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRSTKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQVERHSRSRIEIMVKARSQFKERSTATNVEIELPVPADATNPNVRTSMGSAAYAPENDALVWKIKSFPWG >DRNTG_17357.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32219572:32231841:1 gene:DRNTG_17357 transcript:DRNTG_17357.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRAFRPSNDKIVKIQLHPTHPWLVTADASDHVSVWNWEHRQVIYELKAGGVDERRLVGAKLEKLAEGDSEPKGKPTEAIRGGSVKQIGFYDDDVRFWQHWRNRSAAAEAPSAVNQHPSAFNSPVPSTRGRHFLVICCENKAIFLDLVTMRGRDVPKQELDNKSLLCMEFLSKAAIADGPLVAFGASDGVIRVLSMITWKLVRRYTGGHKGSITCLMTFMASSGEAFLVSGASDGLLILWSADHIHDSRELIPKLTIKAHDGGVVAVELSRVMGGAPQLISIGADKTLAIWDTISFKELRRIKPVPKLACHSVTSWCHPRAPNLDILTCVKDSHIWAIEHPTYSALTRPLCELSSLVPPQLLASNKKLRVYCMVAHTLQPHLVATGTNIGVILSEFDARSLPSVAPLPTPPGSREHSAVYIVERELKLLQFQLSNTANPSLGSTGSIPETGRSRADSTESLVVKQIRKHISTPAPHDSYSILSVSSSGKYVAIVWPDIPSFSVFKVSDWSVVDSGTCRLFAWDTCRDRYALLETALAPRIPVIKGGSSKKAKEAAAAAAAAAAASAASATTVQVRIILDDGTSHVLSRSIDGRSEPVIALHGGALLGVAYRTSRRINPMAATAISSIHSMPLSGIGSSGALSSFTQVDDSFSSGKPSPAEAAPQNFQLYSWETFQPVGNLLSQPEWTAWDQTVEYCAFGYHQYIVISSLRPQYRYLGDVAIPCATGAVWHRRQLFVATPTTIECVFVDAGVAPIDLETKRRKEEMKAREAQSRAVAEHGELALITVDNPQVAATERISLRPPMLQVVRLASFQHAPSIPPFISLPKQSKIDGEDPVTYKEVEERKVSEVAVAGGGVSVAVTRFPQEQKRPIGPLVVVGVRDGVLWLIDRYMCAHALALSHPGIRCRCLAAYGDAVSAVKWASRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMQSNDLKRALQCLLTMSNSRDVGQEKNTSDVSEILSLTAATKENLVDAVEGIVKFAKEFMDLIDAADATGQADIAREALKRLAAAGSVKGALQGQVLRGVALRLANHGELTRLSGLITNLITAGHGREAAFSAAVLGDNALMEKAWQDTGMLAEAVLHAHAHGRPTLKNLVQAWNKMLQKELEHTPTVKTDAAAAFLASLEDPKLTSLGEAPKKPPIEILPPGMASLSAPPITTIKKPTGASAAAASAGAQNTTQQQPAKPLMLEAPPAEHPDSNPPASEPSQPSQPATEPTPVPEPVNDPPVPEPVNDPTPPTQPDAPSEAPPLMAENMP >DRNTG_20886.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001172.1:20643:21662:-1 gene:DRNTG_20886 transcript:DRNTG_20886.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSDHRSDSSTSSFLFSIPSCFFFFFFFIILVLLSLSSPSSSANALSSHSQQCLDLLNLKKGFIMLNESYANYFSITSSIPHWRAGTDCCRWEGVSCDEASGLVVSLDLSDRLIGGDIMPSLFNLTSLQRLNLAFNIFNQSAVLHSDLEKLANLTHLNVSYSGLLVGQVPISISRLTKLISLDLSSFSYGPYALKLEKPDLGTIIRDLSNLKELNLDGVNISSSGTEWCQAISDSVPG >DRNTG_11970.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14475562:14479936:-1 gene:DRNTG_11970 transcript:DRNTG_11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSKIVEERLTGSFPGNTEVNHKVSLKAITLRSGKQLRSPTEKDPEIKIVEPQSSARALERFYSSDIVQHLEEGLPRASQRIMPRKHIVAKTSPKRPKLEAPSSGINLAPILHTQMHHEMYSRPSQ >DRNTG_34667.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002176.1:22855:26172:-1 gene:DRNTG_34667 transcript:DRNTG_34667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIRVGDSGNLKLRTEYFQRSETFGGPSRDWLGVYDECSKILYEEIDCINEGKNANRFHQDFGNIKWVCVPLVHCDYTSSKVLTLEYVPGIKINDMEKIEALGYNRIYVKYGLQSFT >DRNTG_33742.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32346329:32348348:1 gene:DRNTG_33742 transcript:DRNTG_33742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASLCLSSSIVLLLHYLKFIIIIIFSLLQSSQLRSAMADCNCFCSIITFGGSMADNGNLLYYLHYQSAVGYPPNGETFFGHPTGRFSDGRIISDFLAEWMGLPFLPAYLRGPDGHDFEKGVNFAFGGATALNTSFFIDHGLPLPVKNISLYDQIGWFRDMLHDHCSSHSDCGHMLSRTLFIIGPVGENDIHMPLLQGTTVHQIKTIIYPAIIQSVVSAIETLIELGARTIVVPNLHPGGCVPLILSAFQSSGQDYYDKRTGCIKWLNELTEFYNQLLQVELQQIQHLFHNITIFFADNYGFMNEILESPQSYGFGSQPLLACCGGNGPYNIESGLKCGDKGSKTFGDPSRFVFWDVVHPTEAVNKIFATRLFNALCGLPLTTSSWEE >DRNTG_33742.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32346329:32348348:1 gene:DRNTG_33742 transcript:DRNTG_33742.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMASLCLSSSIVLLLHYLKFIIIIIFSLLQSSQLRSAMADCNCFCSIITFGGSMADNGNLLYYLHYQSAVGYPPNGETFFGHPTGRFSDGRIISDFLAEWMGLPFLPAYLRGPDGHDFEKGVNFAFGGATALNTSFFIDHGLPLPVKNISLYDQIGWFRDMLHDHCSSHSDCGHMLSRTLFIIGPVGENDIHMPLLQGTTVHQIKTIIYPAIIQSVVSAIETLIELGARTIVVPNLHPGGCVPLILSAFQSSGQDYYDKRTGCIKWLNELTEFYNQLLQVELQQIQHLFHNITIFFADNYGFMNEILESPQSYGNCVNYLQKVNHDFHNACNGKL >DRNTG_10802.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17502608:17639854:1 gene:DRNTG_10802 transcript:DRNTG_10802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTKLLVLGFILLLAIGLSSAARTLSEGYTSGGGGGGEGGGGGGGEGGGGSGYGSGSGYGSGGGEGGGGYGKGGGGGGGGGQGGGGGSGSGSGSGYGSGSGSGYGSGGGGGKGGGGGGGSGGGHGGGSGYGSGSGSGSGYGQGGGGGHGGGGGGGRGSGSGSGYGEGGGYGSGGGHGHP >DRNTG_10802.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17488220:17502844:1 gene:DRNTG_10802 transcript:DRNTG_10802.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTKLLVLGFILLLAIGLSSAARTLSEGYTSGGGGGGEGGGGGGGEGGGGSGYGSGY >DRNTG_10802.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17488220:17489076:1 gene:DRNTG_10802 transcript:DRNTG_10802.12 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTKLLVLGFILLLAIGLSSAARTLSEGYTSGGGGGGEGGGGAGSGSGSGYGEGGGYGSGGGHGRP >DRNTG_10802.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17484728:17489076:1 gene:DRNTG_10802 transcript:DRNTG_10802.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTRLVGLAFILLLTISLTTASRTLHKVNHSGGGGGGSGSGGGGGGGGAGSGSGSGYGEGGGYGSGGGHGRP >DRNTG_10802.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17488220:17489076:1 gene:DRNTG_10802 transcript:DRNTG_10802.13 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTKLLVLGFILLLAIGLSSAARTLSEGYTSGGGGSGYGSGSGSGSGGGEGGGGYGKGGGGGGGGGQGGGGGSGSGSGSGYGSGSGSGYGSGGGGGKGGGGGGGSGGGHGGGGSGGGQGGGSGYGSGSGSGGGQGGGGGHGGGGGGGHGRP >DRNTG_10802.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17488220:17489076:1 gene:DRNTG_10802 transcript:DRNTG_10802.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTKLLVLGFILLLAIGLSSAARTLSEGYTSGGGGGGEGGGGGGQGGGGGSGSGSGSGYGSGSGSGYGSGGGGGKGGGGGGGSGGGHGGGGSGGGQGGGSGYGSGSGSGGGQGGGGGHGGGGGGAGSGSGSGYGEGGGYGSGGGHGRP >DRNTG_10802.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17484728:17485629:1 gene:DRNTG_10802 transcript:DRNTG_10802.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTRLVGLAFILLLTISLTTASRTLHKVNHSGGGGGGSGSGGGGGGGGGNGNGSGYGSGYGSGYGEGGGGGGDESEAYGRGGGGGGGGGGGGGSGNGGGSGYGQGSGSGYGSGGGANGGGYGRGGGGGGGGGGGRGGGSGSGYGSGSGSGYGSGGGNDGGGYGRGGGGGGGGGGGQGSGSGSGYGSGYGSGEGGGYGGSGGEGGHY >DRNTG_10802.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17488220:17489076:1 gene:DRNTG_10802 transcript:DRNTG_10802.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTKLLVLGFILLLAIGLSSAARTLSEGYTSGGGGGGEGGGSGYGSGSGSGGGQGGGGGHGGGGGGGGGHGGGGGGGAGSGSGSGYGEGGGYGSGGGHGRP >DRNTG_10802.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17488220:17489076:1 gene:DRNTG_10802 transcript:DRNTG_10802.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTKLLVLGFILLLAIGLSSAARTLSEGYTSGGGGGGEGGGGGGGEGGGGSGYGSGSGSGSGGGGYGSGGGHGRP >DRNTG_10802.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17488220:17489076:1 gene:DRNTG_10802 transcript:DRNTG_10802.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTKLLVLGFILLLAIGLSSAARTLSEGYTSGGGGGGEGGGGGGGEGGGGSGYGSGSGSGSGGGEGGGGYGKGGGGGGGGGQGGGGGSGSGSGSGYGSGSGSGYGSGGGGGKGGGGGGGSGGGHGGGGSGGGQGGGSGYGSGSGSGGGQGGGGGHGGGGGGGGGHGGGGGGGAGSGSGSGYGEGGGYGSGGGHGRP >DRNTG_10802.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17502608:17502844:1 gene:DRNTG_10802 transcript:DRNTG_10802.15 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTKLLVLGFILLLAIGLSSAARTLSEGYTSGGGGGGEGGGGGGGEGGGGSGYGSGY >DRNTG_10802.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17502526:17502844:1 gene:DRNTG_10802 transcript:DRNTG_10802.14 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTKLLVLGFILLLAIGLSSAARTLSEGYTSGGGGGGEGGGGGGGEGGGGSGYGSGY >DRNTG_10802.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17484728:17485629:1 gene:DRNTG_10802 transcript:DRNTG_10802.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTRLVGLAFILLLTISLTTASRTLHKVNHSGGGGGGSGSGYGSGSGSGYGSGGGNDGGGYGRGGGGGGGGGGGQGSGSGSGYGSGYGSGEGGGYGGSGGEGGHY >DRNTG_10802.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17502608:17503472:1 gene:DRNTG_10802 transcript:DRNTG_10802.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTKLLVLGFILLLAIGLSSAARTLSEGYTSGGGGGGEGGGGGGGEGGGGSGYGSGYGSGSGGGEGGGGYGKGGGGGGGGGGGQGGRGGSGSGSGSGYGSGSGSGYGSGGGGGKGGGGGGGSGGGGGGGSGGGQGGGSGYGSGSGSGSGSGYGQGGGGGHGGGGGGGGGHGGGGGGGEGSGSGSGYGEGGGYGSGGGHGRR >DRNTG_16579.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:103931:108489:-1 gene:DRNTG_16579 transcript:DRNTG_16579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEDENSDDFEVEMATLVRSSPVLMKTHNMGTCHIGSFVDFSQPLSVSRVVQRVFKSISVVIFQAKINLLLPFGPLAIILHYLTKKHLQGLVFFFSLLGIIPLAERLGYATEQLAFYTGPTVGGLLNATFGNATEMIISLYALGNGMIRVVQQSLLGSILSNMLLVLGCAFFSGGIVHYNKVQVFNKASAVVNSGLLLMAVMGLMFPAVLHFTHSEVQYGKSEVALSRFSSCIMLVAYASYLFFQLRSQHNSYNPIDEDERNAEDDSDEEEMPEITQWEAIAWLAVLTTWVSILSGYLVDAIQGASESLNLPVAFISVVLLPIVGNAAEHASAIMFAMKNKLDITLGVAIGSSTQISMFVIPFCVVVGWIMGKDMDLNFQLFETATLFITVLVVAFMLQEGTANYFKGLMLILCYLIVAASFFVHVDPATSDD >DRNTG_16579.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:103931:108489:-1 gene:DRNTG_16579 transcript:DRNTG_16579.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEDENSDDFEVEMATLVRSSPVLMKTHNMGTCHIGSFVDFSQPLSVSRVVQRVFKSISVVIFQAKINLLLPFGPLAIILHYLTKKHGLVFFFSLLGIIPLAERLGYATEQLAFYTGPTVGGLLNATFGNATEMIISLYALGNGMIRVVQQSLLGSILSNMLLVLGCAFFSGGIVHYNKVQVFNKASAVVNSGLLLMAVMGLMFPAVLHFTHSEVQYGKSEVALSRFSSCIMLVAYASYLFFQLRSQHNSYNPIDEDERNAEDDSDEEEMPEITQWEAIAWLAVLTTWVSILSGYLVDAIQGASESLNLPVAFISVVLLPIVGNAAEHASAIMFAMKNKLDITLGVAIGSSTQISMFVIPFCVVVGWIMGKDMDLNFQLFETATLFITVLVVAFMLQEGTANYFKGLMLILCYLIVAASFFVHVDPATSDD >DRNTG_26798.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19517620:19520396:1 gene:DRNTG_26798 transcript:DRNTG_26798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPTMEAFGRSLPFLLLLLPSLFLSASSLSDAEAASITRRQLLTFHNSGDLSDDFEFEFKVDYRFKNPRLRRAYIALQAWKHAIHSDPSNFTGNWVGYDVCSYNGVFCSPAFDDASLQVVAGIDLNGADIAGFIPAELGLLTDIAIIHLNSNRFCGVLPKSFKRLTLLHELDLSNNRLVGKFPDVLIGLPGLHYLDLRFNEFEGELPWELFDKDLDALFLNDNRFESRIPDNLGNSTVSVIVLANNKLDGCIPSSIGNMASTLNELVFSNNGLSGCLPPEIGSLANVTVLDLGENNFTGVLPRSFAGMKTVEQLDLAHNMLTGVMSEDICKLPRLNNLTFSDNYFKGEAMECLALGSEVVFDDKGNCLAERSGQKDVKTCAKVVNQPVDCGKSKCSSSRAKSPPAKSPPPPSPVKSSPPPPVTSPPPPPPTIHSPPPPSPLPSTGVLPVIPVHSPPPPFVFSSPPPSSPLPSTQVLPVTPVHSPPPPVYSPPPAPVSSPPPPSRTGSYPPPTPKQDFDVLPPTLGLSYASPPPPMFPGY >DRNTG_20384.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19050266:19051135:-1 gene:DRNTG_20384 transcript:DRNTG_20384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQEKTDTRAKWNESHKAHIVKLLGDYNVPAYRSQNGWTKEAWNRILRDMVTKFLNLKCTVVQVKALEQEMKKIYKLLKGFTELSGFGWDYEKNMVEATEEVWAPLLERNKEARRWHQQPFPYFMVLQEIYEGRYAEGRCSRDVDYYANVPMDTPSPSIPTPNDPIQSLPTPEIEIEDPNFAQVEPPCSQPNISQPQNSCSASRQRLGDEVQRRKKDRKRKNVQESFFEQYIDMRRA >DRNTG_07565.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21849012:21852627:-1 gene:DRNTG_07565 transcript:DRNTG_07565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMRWARRRKSTERKWWSRGEERRKKERQRSRSERWKERKERRERAAERGRRRRSAMEGRYTEAPAMDCQMRNPSQRFFTTSSGAAMAEEEEG >DRNTG_30891.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19843586:19849351:1 gene:DRNTG_30891 transcript:DRNTG_30891.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIALWKQAWKWVQSQKQALIGARLVLGFAGDKVVFLVDQLWPMVSKWSGSLGTFLWMLVLQWRDCLVRGFGSLINLGPAALFVIMWSCFLSLTSTACLIYVLLSLGAAGVAIRCLGYTPGLFIVGLFGILMMWLYGNFWITGMLLIVGGYMFFLNHARFLILLSIGYAVYCVHTRVGWFGIPLSLNLAFLSHDLLNKLLQGYDSAGESTNFEECKESEPVMEDFTEDFEYSPPTSEAENVSPCKSSSKTSSTPSLVNIQKDASSSKVVKSDSGSLDEMKRILNSSNHYEALGFPRYRAINFTILRKEYHKKAVLVHPDKNMGNPLASDSFKKLQCAYEVLSDFTKKKNYDEQLIREESGRESQQTRVTSQQDGVAYRSEESRRIECTKCGNSHYWICTNRSKARARWCQECSVYHQAKDGEGWVECGCSPPAMSKEVEIPRAFVCAESKIFDVSEWASCQGMVCKENTHRPSFFVNMVGLDKSVSRSNPTRFPFGLDAEMAPEDDEFDLWLQQALAAGLFSETPKRRKSWSPFKMNHKGLKPWRRSP >DRNTG_15101.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1762069:1765870:1 gene:DRNTG_15101 transcript:DRNTG_15101.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protoheme IX farnesyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G44520) UniProtKB/Swiss-Prot;Acc:O64886] MWRSAAGRRLSAQLLLPRVSNHSFINSSTSHPLPCFPPSYVDASVGRSYIGDLFSLRLLSSLDSSNPSSDQKLLGFVDAGPCAASPVEVSAAIGSLESISRRYRDVSEALRHYGRCYWELSKARLSMLVVATSGSGFVLGSGSTIDFAGLCCTCAGTMMVAASANSLNQVFEIQNDAKMNRTKRRPLPSGRISVQHAAIWAASVGIAGTALLALKTNYLAASLAASNLVLYAFVYTPLKQIHPINTWVGAVVGAIPPLLGWAAASGEVSLNSMILPAALYFWQIPHFMALAYLCRNDYIAGGFRMFSFGDPSGKRTALASFRNCLYLVPLGFLAYDWGMTSEWFTLESSLITLAMSVAALLFVRERTTKNARRMFHSSLLYLPVFMSGLILHRLPNDNKQDLSLPNSNIIAEEDRDISETEFQNKSYNPQDKQVMESSLPPVAYASIAPFPFLPAPLYASDQ >DRNTG_20788.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28337689:28339304:1 gene:DRNTG_20788 transcript:DRNTG_20788.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLCLEQDLSGDCQGCEQCYHQRSSNGPSQRRNPKQRNPSSFSSPPPSSLCFSSLGDATRLALSLSFLTRYALGFVS >DRNTG_12963.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30467614:30469640:-1 gene:DRNTG_12963 transcript:DRNTG_12963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHKTNLASNVYHFLNRNLVDSNSWIRWKKIWTIKTAPRVKHFLWLVFKGLPEKYSIGERIQNLTVNQIRSLIAHHFENRVLRPCTPTGSRPCRKLLLNDFSDDAGLFLFFSSLWSTTNQVSGAGFFITDSNYSIILAGCCPFSSCNNLDADLQVLILALRSIVNGNLFVQHIFNSSSDFNSLIHSADSSCTWHYTHRLNNKASSCSRQASSSSLHPASLVVPCT >DRNTG_24289.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21175026:21175320:1 gene:DRNTG_24289 transcript:DRNTG_24289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLSPGEYSWLTLSRFTQSLSGVSRSKHDRFSSTPREH >DRNTG_07417.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7246817:7250753:-1 gene:DRNTG_07417 transcript:DRNTG_07417.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein COX15 [Source:Projected from Arabidopsis thaliana (AT5G56090) UniProtKB/Swiss-Prot;Acc:Q9FKT8] MMATRLGALLRRSKVLTMASQLRSCRNSVCGQSSILSSSSPALAESLARLRSLYGFRSMHKSLFGTSSRKMCTSATLLNSNKAEAASAALKLLVNGGPRAQKMVGIWLFGCSAWVFSLVVLGGVTRLTRSGLSMTDWKFTGSLPPLSEDQWLCEFEKYQQSPEYKRVNRGMSIEDFKFIYWMEYAHRMWGRALGVLFAVPFTYFLAKGFITRPLGLRLSILFALGAGQGLIGWWMVKSGLEEPESEYVQPRVSPYRLATHLTSAFAIYCGMLWTALSVVMPEPPTGSMSWVQGAAKIRKLAHPLSMLVGITAVSGAFVAGNDAGHAYNTFPKMGDTWIPKDIFNMEPFIRNFFENTSTVQLNHRILATTTLVAVGCFWLASKKIDIHPAVRSLVGSVLGMSTLQVTLGISTLLSYVPVSLASAHQAGALTLLTLVILLMHTVRKPSPALLKSMASLPKLKS >DRNTG_32161.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30954654:30955109:-1 gene:DRNTG_32161 transcript:DRNTG_32161.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQMEVQKHLQLRIEAQGKYLQSVLQKAQEKLAVTDCLSSSSTDESCLTSSSSSSSSSDETTTPECRTLRSKIQKRRTKYNNVHENYSKPGKRVCIQEEEGVEVNILDDRDMTHGI >DRNTG_32161.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30954654:30955615:-1 gene:DRNTG_32161 transcript:DRNTG_32161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFFFPYFGLEKLKRQYHSNPCYFFSIFFHFMSSLHNQSLVPLNWTTQELQHQFGVVNRATPKSVMQKYQAARNSGACFPVDFLEFNQNMLQMEVQKHLQLRIEAQGKYLQSVLQKAQEKLAVTDCLSSSSTDESCLTSSSSSSSSSDETTTPECRTLRSKIQKRRTKYNNVHENYSKPGKRVCIQEEEGVEVNILDDRDMTHGI >DRNTG_22350.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29863433:29865646:1 gene:DRNTG_22350 transcript:DRNTG_22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGIEVMAMNFAYDAQPQLFSRFTLNIAPGSRCLLIGANGSGKTTLLKILAGKHMVGGRDVVRVLGRSAFHDTQLVCDGELAYLGGSWSKTIGSAGDVPLQGDFSAEHMIFGVEGVDPLRREKLIELLDIDLQWRMHKVSDGQRRRVQICMGLLQPYKVLLLDEITVDLDVVTRMDLLDFFKEECDQRGATIVYATHIFDGLEKWATHVAYIQDGELRRCESLGNIHELENAKNLLSVVESWLRSETKCSKKEPVNSLVHSSKTSPFDSSPFRSSRHMAYYR >DRNTG_22350.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29863433:29865646:1 gene:DRNTG_22350 transcript:DRNTG_22350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGIEVMAMNFAYDAQPQLFSRFTLNIAPGSRCLLIGANGSGKTTLLKILAGKHMVGGRDVVRVLGRSAFHDTQLVCDGELAYLGGSWSKTIGSAGDVPLQGDFSAEHMIFGGKFSY >DRNTG_07592.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14962571:14976217:-1 gene:DRNTG_07592 transcript:DRNTG_07592.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSDSTWQIWGESWNTPEFKARSDQFAANRRNEMRGHGCVVSRHIGGSISHTFHAERLRFKLGCDPLPYELFKVTHTKKGTSELIDA >DRNTG_07592.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14962571:14963392:-1 gene:DRNTG_07592 transcript:DRNTG_07592.3 gene_biotype:protein_coding transcript_biotype:protein_coding QQRASTEFAQDGGKGPISRGAVALDERHDGAFRDEDASAHTI >DRNTG_07956.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:968435:969113:1 gene:DRNTG_07956 transcript:DRNTG_07956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKAGRWWEHGYVRRIELPEDANWKKIEAYIDDENLLQIKIPKNNSDSNALQTSAVEPKESEFV >DRNTG_10198.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000428.1:1:5049:1 gene:DRNTG_10198 transcript:DRNTG_10198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSPPHDCKGSSLDSQIGPTHNSLQLFLGWEGVGLASYLLIHFWFTRLQADKAATKAMPVNRVGDFGLAPGISGRFTLFQTVDFSTIFACASAPQNSWISRNIRLNAITLICILLLIGAVGKSAQIGIAYSVTRCYGGSHSSICFDSCSYYGHSWRFHDSKVLPFI >DRNTG_28069.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21162214:21162664:-1 gene:DRNTG_28069 transcript:DRNTG_28069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIATLVEWGGDVYTPRLRSGGPHTATAMGSGAFANEHWGYSSFIQKPRIMDYSSTYKYPQPYGAYTPAINCYSGENYARKLFTEPLFYFGGPGRNMYCP >DRNTG_05703.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1768115:1774854:1 gene:DRNTG_05703 transcript:DRNTG_05703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVTGNGFELTRVTLVNTTGTVVLDKLVKPSNPIIDYNTRYSGITSEMLNGVTTTLEDIQEEFLKIVYEETILVGHSLENDLLALKISHSLVIDTAVLYKSPRGYKIALRVLSEKFLSRSIQVSGNGHDSTEDAKAAMELALLKIRHGPHFGSPPSFMRGKLVSILHEKGKNCSLIDDISIVKRYSDGSCNSIPVSSDDEALSRAVKEVKNERVGFIWTRFSALDSYFKEQAQDAQKFGCRVAEVISLQTCNKKSDRKDKKHGMRNSELKNILSCVDARIQRLYDALPNNTMFVVCTGHGDTAIVQSLRKVLKSGTETTTISREHLVKALEELQAQAEVALCFACVKH >DRNTG_05703.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1768115:1774854:1 gene:DRNTG_05703 transcript:DRNTG_05703.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSRKTSENPNEEQEVKEKEKEKHSTPFFDVYGPDAKADVVFKDSSAASSLNFQDVQGLVTWVIGDGVMPSWAFVKNKPLIPKVVLLYVPGLDAALYMSHLSLLTGIRESCGNPKPVLGLSCVSDDMQTIDALLTCKVKRKREDFNSGSQMPNLREKFCLENLKDIPFPPSYYTLTGKQLEDNGYCFSQSGFISTVPAPSGSPPYELLALDCEMCVTGNGFELTRVTLVNTTGTVVLDKLVKPSNPIIDYNTRYSGITSEMLNGVTTTLEDIQEEFLKIVYEETILVGHSLENDLLALKISHSLVIDTAVLYKSPRGYKIALRVLSEKFLSRSIQVSGNGHDSTEDAKAAMELALLKIRHGPHFGSPPSFMRGKLVSILHEKGKNCSLIDDISIVKRYSDGSCNSIPVSSDDEALSRAVKEVKNERVGFIWTRFSALDSYFKEQAQDAQKFGCRVAEVISLQTCNKKSDRKDKKHGMRNSELKNILSCVDARIQRLYDALPNNTMFVVCTGHGDTAIVQSLRKVLKSGTETTTISREHLVKALEELQAQAEVALCFACVKH >DRNTG_05703.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1771892:1774854:1 gene:DRNTG_05703 transcript:DRNTG_05703.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELALLKIRHGPHFGSPPSFMRGKLVSILHEKGKNCSLIDDISIVKRYSDGSCNSIPVSSDDEALSRAVKEVKNERVGFIWTRFSALDSYFKEQAQDAQKFGCRVAEVISLQTCNKKSDRKDKKHGMRNSELKNILSCVDARIQRLYDALPNNTMFVVCTGHGDTAIVQSLRKVLKSGTETTTISREHLVKALEELQAQAEVALCFACVKH >DRNTG_05703.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1771527:1774854:1 gene:DRNTG_05703 transcript:DRNTG_05703.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGVTTTLEDIQEEFLKIVYEETILVGHSLENDLLALKISHSLVIDTAVLYKSPRGYKIALRVLSEKFLSRSIQVSGNGHDSTEDAKAAMELALLKIRHGPHFGSPPSFMRGKLVSILHEKGKNCSLIDDISIVKRYSDGSCNSIPVSSDDEALSRAVKEVKNERVGFIWTRFSALDSYFKEQAQDAQKFGCRVAEVISLQTCNKKSDRKDKKHGMRNSELKNILSCVDARIQRLYDALPNNTMFVVCTGHGDTAIVQSLRKVLKSGTETTTISREHLVKALEELQAQAEVALCFACVKH >DRNTG_18827.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2706927:2711677:-1 gene:DRNTG_18827 transcript:DRNTG_18827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKAWRKNHPHGFVARPETLPDGTVNLMVWNCTIPGKQGTDWEGGHFPLTLLFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILIGIQDLLDQPNPADPAQTDGYHLFIQDPPEYKKRVRQQAKQYPPLL >DRNTG_00735.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18548641:18549553:1 gene:DRNTG_00735 transcript:DRNTG_00735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNKVHTGFISDQPLAPSPPLSDHVPEVQVVDHEHLSTS >DRNTG_26672.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1058130:1059911:-1 gene:DRNTG_26672 transcript:DRNTG_26672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPKHLRIAIQIKKDWSMSWIEQCVILMKRTLRERSRDYLDKLRMLQAIGVALLLGFLWWKSKTDTEAQLRDQVGLMFYVCIFWTSASIFGAVYVFPFEKKYLVKERKADMYRMSVYYVCSTLCDMVAHVVYPIIFMVILYFMADLRKTFSAFFFTCFAVLLIVATSQGTGEVIGAAILSVKRSGLMASLVLMLFLLTGGYYVQHLPKFMRWMKYISFMYYGFRLLLKVQYSGDQVYECESRSGCKSLQSSPSFDTVNLNGSLQEVWILIAMALIYRFFAYLFLSKRINTSSL >DRNTG_26490.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:657935:658223:1 gene:DRNTG_26490 transcript:DRNTG_26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKYRPQLTMAILIPFFQQLTGINVIFYAPVLFKTIGFESDASLISAVIIGLVDVFSTLLSIFTVDKFGRRKLFLLGGTQML >DRNTG_32791.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001918.1:36357:38088:-1 gene:DRNTG_32791 transcript:DRNTG_32791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAISDGVNVLSISLGGASWSYATDPITVRALSAVQKGIFVSCAAGNSGPSAATLSNEAPWILTVAASTMDRRIQSTVKLGNGLSYNGESLNQDKSFKPDLYLLVYAGDDSKAPNDAKYCHPGSLDGFDVKGKIVVCDRGGNVARIDKGSVVLKAGGVGMILTNDNVSGYSTLADLHLLLASHVSAVDGEKIKIYINSTKNATATFVFNGTVLGTSPAPAIVDFSSRGPGKDGEGILKPDITGPGVSVLAAWPTNANSSSSEQYIFNVISGTSMSTPHLSGVAALIMAAHPDWSPGAIK >DRNTG_28539.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6307748:6312741:1 gene:DRNTG_28539 transcript:DRNTG_28539.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHCFWDGTWDTVKGSFTVVRDVKDVAFNSYFSLMDDLRLQDPPNGEPHELRLLYVLVAIIVGVIGIIIDLPMITLIALCKSPYMLFKGWKRLFQDLIGREGPFLETACVPFAGLAIILWPAAVVGAVAASSLSSLFVGGYAAVVTYQESSIQKGLAYVVASLSIFDEYSNDVLDMPAGSYFPRPQYRKQELLHSATLSRPTSFNTEKQEGKKPLHKTMSFKNKVLEYKPIKLLGLLLIECKSHGEALIAEGVITAKDIEETKSNKDGSRIIAIGLPAYCILQALLRSIKANSDGLLLSDQTELTLENKPKDRFYEWFLEPLLILKDQIKSVNLSEEEENYLCKLVLLVGDPKRVDDIDVGMILQNERRQAEIGAFARRLQGITKSISRYPTFKRRFDGLVKTLSEELEKKIGGSLSSNGSRSTKRSISGFGRLFSQKSFGIKTSNKGVNDQGEVHP >DRNTG_28539.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6307748:6312741:1 gene:DRNTG_28539 transcript:DRNTG_28539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSQGFFASIWRFLYFLPFFIGLLVLGIVKGALLCPFICLIMTIGNSAIILGLWPAHVIWTYYCILRAKQLGPILKIVLCIGISLILVVWPPIGVLSSILAGLGYGFLAPIIATFEAVGEGKTNMFFHCFWDGTWDTVKGSFTVVRDVKDVAFNSYFSLMDDLRLQDPPNGEPHELRLLYVLVAIIVGVIGIIIDLPMITLIALCKSPYMLFKGWKRLFQDLIGREGPFLETACVPFAGLAIILWPAAVVGAVAASSLSSLFVGGYAAVVTYQESSIQKGLAYVVASLSIFDEYSNDVLDMPAGSYFPRPQYRKQELLHSATLSRPTSFNTEKQEGKKPLHKTMSFKNKVLEYKPIKLLGLLLIECKSHGEALIAEGVITAKDIEETKSNKDGSRIIAIGLPAYCILQALLRSIKANSDGLLLSDQTELTLENKPKDRFYEWFLEPLLILKDQIKSVNLSEEEENYLCKLVLLVGDPKRVDDIDVGMILQNERRQAEIGAFARRLQGITKSISRYPTFKRRFDGLVKTLSEELEKKIGGSLSSNGSRSTKRSISGFGRLFSQKSFGIKTSNKGVNDQGEVHP >DRNTG_28002.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001422.1:53964:54820:-1 gene:DRNTG_28002 transcript:DRNTG_28002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLESTLNNHQNPNADPSVTAGDELDGDRRGRRKYMKKSSTG >DRNTG_07455.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19996787:19998135:1 gene:DRNTG_07455 transcript:DRNTG_07455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALIAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQLMT >DRNTG_07455.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19996787:19997802:1 gene:DRNTG_07455 transcript:DRNTG_07455.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALIAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVSLCLIFLL >DRNTG_04347.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31125999:31126494:1 gene:DRNTG_04347 transcript:DRNTG_04347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLALACTNPSPSLRPTMSAVVSILDGKHTMPTSFVKPVVSSSDDARFKALDKLSHDRQPTNISMDGPWIDSSASLQSSYEENH >DRNTG_14398.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:17544935:17546067:1 gene:DRNTG_14398 transcript:DRNTG_14398.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKPRSINLMRMVEVSLIAIKQRLPKSKQSALYLLHHITSHACLSLNASVLVGKRQRPVQIIASTTSQSEPGAWES >DRNTG_24722.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17858292:17859555:1 gene:DRNTG_24722 transcript:DRNTG_24722.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKSMVFSFGILLRDLLSGKQISDEQAMDAILGTRIPIVLDSRLWGKCSAEGATALVKLAYDCLQYKPIDRPSIKYVIATLAQIQSNSVGPSNPMPKTGAR >DRNTG_24722.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17857595:17857752:1 gene:DRNTG_24722 transcript:DRNTG_24722.3 gene_biotype:protein_coding transcript_biotype:protein_coding VKTKTMERSKCLRVACYIAEALEYCIKEHALYYDLNPHKVLFDEVILVIIFE >DRNTG_24722.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17855971:17859555:1 gene:DRNTG_24722 transcript:DRNTG_24722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNSLARHLFNVKTKTMERSKCLRVACYIAEALEYCIKEHALYYDLNPHKVLFDEVGNPCLSCFGLVKNHRDERCFHTNIAYTPPGCLYGMASAKSMVFSFGILLRDLLSGKQISDEQAMDAILGTRIPIVLDSRLWGKCSAEGATALVKLAYDCLQYKPIDRPSIKYVIATLAQIQSNSVGPSNPMPKTGAR >DRNTG_21234.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:228250:230845:-1 gene:DRNTG_21234 transcript:DRNTG_21234.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAPEDPPANSAKPGSGPIDQTNSVHHDSLSRRLAERPYIFILPAVALLLILSAVLILMCRKKEAPTIGPWRTGLSGQLKKAFITGVPKLNREELVAACEDFSNIISSSAYHTVFKGTLSSGVEIAVVSTAIKSAQDWSKHSETSFRKKIDMLSRINHKNFINLLGYCEENEPFTRMMVFEYAPNGTLFEHLHVKEFEYLDWSARMRIIMGITYCLQYLHHELSPPIVHLVPKSTSIFITDDYAAKVADTSVWKDIKLKGTTTDSSSADPRQSVYGVGILLLEIISGKIPYSDEQGSLADWAMKRMTDKSSIVDPSLKSHSEDELSMICEVIRDCIDQDTVKTQRPTMLMITSKLRNIISITPEAATPRLSPLWWAELEILSVQAS >DRNTG_21234.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:228250:234790:-1 gene:DRNTG_21234 transcript:DRNTG_21234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCRLTLKDWPCWSSDPGWDIDPHGALENWDARDSDPCNWTGVYCVNGVVEMLNLKELSLGGTLAPELGRLCHLRSIVLYKNNFFGAIPKEIGSLNMLELLDLRNNNLSGAVPTEIREMLSLKHLLLRGNKLPSHSPSSIEGLDMLSDRRDDRNVIFDKAIQIAFIKRKLYNWFKFKSCSSSARWEGNSENLLGLTSSVQNRNALNSLRRRLFEETRNLAAVTPVSSYNPPAAAVPSIGSGSFPAIPNSKIGSQKKQPPMPTAPEDPPANSAKPGSGPIDQTNSVHHDSLSRRLAERPYIFILPAVALLLILSAVLILMCRKKEAPTIGPWRTGLSGQLKKAFITGVPKLNREELVAACEDFSNIISSSAYHTVFKGTLSSGVEIAVVSTAIKSAQDWSKHSETSFRKKIDMLSRINHKNFINLLGYCEENEPFTRMMVFEYAPNGTLFEHLHVKEFEYLDWSARMRIIMGITYCLQYLHHELSPPIVHLVPKSTSIFITDDYAAKVADTSVWKDIKLKGTTTDSSSADPRQSVYGVGILLLEIISGKIPYSDEQGSLADWAMKRMTDKSSIVDPSLKSHSEDELSMICEVIRDCIDQDTVKTQRPTMLMITSKLRNIISITPEAATPRLSPLWWAELEILSVQAS >DRNTG_21234.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:228250:230845:-1 gene:DRNTG_21234 transcript:DRNTG_21234.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAPEDPPANSAKPGSGPIDQTNSVHHDSLSRRLAERPYIFILPAVALLLILSAVLILMCRKKEAPTIGPWRTGLSGQLKKAFITGVPKLNREELVAACEDFSNIISSSAYHTVFKGTLSSGVEIAVVSTAIKSAQDWSKHSETSFRKKIDMLSRINHKNFINLLGYCEENEPFTRMMVFEYAPNGTLFEHLHVKEFEYLDWSARMRIIMGITYCLQYLHHELSPPIVHLVPKSTSIFITDDYAAKVIPYIAFNKYLSFSESYFQVADTSVWKDIKLKGTTTDSSSADPRQSVYGVGILLLEIISGKIPYSDEQGSLADWAMKRMTDKSSIVDPSLKSHSEDELSMICEVIRDCIDQDTVKTQRPTMLMITSKLRNIISITPEAATPRLSPLWWAELEILSVQAS >DRNTG_21234.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:228250:230845:-1 gene:DRNTG_21234 transcript:DRNTG_21234.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAPEDPPANSAKPGSGPIDQTNSVHHDSLSRRLAERPYIFILPAVALLLILSAVLILMCRKKEAPTIGPWRTGLSGQLKKAFITGVPKLNREELVAACEDFSNIISSSAYHTVFKGTLSSGVEIAVVSTAIKSAQDWSKHSETSFRKKIDMLSRINHKNFINLLGYCEENEPFTRMMVFEYAPNGTLFEHLHVKEFEYLDWSARMRIIMGITYCLQYLHHELSPPIVHLVPKSTSIFITDDYAAKVADTSVWKDIKLKGTTTDSSSADPRQSVYGVGILLLEIISGKIPYSDEQGSLADWAMKRMTDKSSIVDPSLKSHSEDELSMICEVIRDCIDQDTVKTQRPTMLMITSKLRNIISITPEAATPRLSPLWWAELEILSVQAS >DRNTG_22943.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16960762:16963554:1 gene:DRNTG_22943 transcript:DRNTG_22943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSTSSRRRGSAGLCRRSSGNSTKRFLIPLSRSARRITSLPNTSLGILSIAL >DRNTG_24030.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26683374:26687201:-1 gene:DRNTG_24030 transcript:DRNTG_24030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLFSLAPDLVVSKLCLGTMTFGEQNSYQQSVSLLNQAFDAGINFFDSAEMYPVPQRRATYGRSEEYVGRWLKERKIPRDRVVLATKVSGPSGQMTWIRGGPMSLNTENILEAIDNSLVRLHTDYIDVYQIHWPDRSVESLLSF >DRNTG_24030.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26683374:26687201:-1 gene:DRNTG_24030 transcript:DRNTG_24030.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLFSLAPDLVVSKLCLGTMTFGEQNSYQQSVSLLNQAFDAGINFFDSAEMYPVPQRRATYGRSEEYVGRWLKERKIPRDRVVLATKVSGPSGQMTWIRGGPMSLNTENILEAIDNSLVRLHTDYIDVYQIHWPDRYVPMFGETEYDPSRQYSSVPIEEQLDALGRAVDADTLASAMKHHMD >DRNTG_24030.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26683374:26687201:-1 gene:DRNTG_24030 transcript:DRNTG_24030.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLFSLAPDLVVSKLCLGTMTFGEQNSYQQSVSLLNQAFDAGINFFDSAEMYPVPQRRATYGRSEEYVGRWLKERKIPRDRVVLATKVSGPSGQMTWIRGGPMSLNTENILEAIDNSLVRLHTDYIDVYQIHWPDRSVESLLSF >DRNTG_24030.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26683374:26687201:-1 gene:DRNTG_24030 transcript:DRNTG_24030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLFSLAPDLVVSKLCLGTMTFGEQNSYQQSVSLLNQAFDAGINFFDSAEMYPVPQRRATYGRSEEYVGRWLKERKIPRDRVVLATKVSGPSGQMTWIRGGPMSLNTENILEAIDNSLVRLHTDYIDVYQIHWPDRYVPMFGETEYDPSRQYSSVPIEEQLDALGRAVDADTLASAMKHHMD >DRNTG_24030.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26683374:26687201:-1 gene:DRNTG_24030 transcript:DRNTG_24030.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLFSLAPDLVVSKLCLGTMTFGEQNSYQQSVSLLNQAFDAGINFFDSAEMYPVPQRRATYGRSEEYVGRWLKERKIPRDRVVLATKVSGPSGQMTWIRGGPMSLNTENILEAIDNSLVRLHTDYIDVYQIHWPDRSVESLLSF >DRNTG_24030.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26686669:26687201:-1 gene:DRNTG_24030 transcript:DRNTG_24030.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLFSLAPDLVVSKLCLGTMTFGEQNSYQQSVSLLNQAFDAGINFFDSAEMYPVPQRRATYGRSEEYVGRWLKERKIPRDRVVLATKVLTVLFSFSSINFFLFNAC >DRNTG_24030.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26683374:26687266:-1 gene:DRNTG_24030 transcript:DRNTG_24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLPLFSLAPDLVVSKLCLGTMTFGEQNSYQQSVSLLNQAFDAGINFFDSAEMYPVPQRRATYGRSEEYVGRWLKERKIPRDRVVLATKVSGPSGQMTWIRGGPMSLNTENILEAIDNRSNYGYT >DRNTG_24030.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26683374:26687201:-1 gene:DRNTG_24030 transcript:DRNTG_24030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLFSLAPDLVVSKLCLGTMTFGEQNSYQQSVSLLNQAFDAGINFFDSAEMYPVPQRRATYGRSEEYVGRWLKERKIPRDRVVLATKVSGPSGQMTWIRGGPMSLNTENILEAIDNSLVRLHTDYIDVYQIHWPDRYVPMFGETEYDPSRQYSSVPIEEQLDALGRAVDADQIHWPQQ >DRNTG_06177.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25291121:25294546:1 gene:DRNTG_06177 transcript:DRNTG_06177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKQHLKRISGIHIDTKSAQITEATDLKELVSNEPWLSSGKLVVKPDMLFGKRGKSGLVGLNLDLFQVAAFVKDRLGKEVEIGGCKGPVTTFIVEPFVPHAEEYYLSIVSERLGCTISFSECGGIEIEENWDKVKTVFIPTDTCLTSEVCAPLVATLPLEIRGKIEEFIRAVYALFSDLDFTFLEMNPFTLVEGNPYPLDMRGELDDTAAFKNFKKWGKIEFPLPFGRVMSASENFIHGLDEKTTASLKFTVLNPSWANMDNGSWRRCQCHLCRYGRGSGLCF >DRNTG_32355.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001829.1:1652:3585:1 gene:DRNTG_32355 transcript:DRNTG_32355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPMSRTCEDPRPCGISTSACEFVSQLAGGQALRLRSWCRHIDAGGYYTISSQHRDYDIDVDGPAVHTKIPPAAQDPPEKQIFSLTRVHDHFERLESAVGVLQSEIAEVRETPTVHHTEVMARLAILQLILERDSTSSVVVDPPISSSAPPTTTEPAPDTDA >DRNTG_01466.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13271552:13272210:-1 gene:DRNTG_01466 transcript:DRNTG_01466.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHWMPTEIWDALIDTVWSTESWQNKSKKALVNRLTEKEGSITKHTSGSRSFLATQKLMEEELQRPIHYPELFERTHKWSKGSGDFVDNKSKVVSHGRHQLEER >DRNTG_23559.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22448913:22449324:1 gene:DRNTG_23559 transcript:DRNTG_23559.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHPGSAHAGDRLAQHHAPAQNTIPYRSDQ >DRNTG_05845.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31589542:31591616:1 gene:DRNTG_05845 transcript:DRNTG_05845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVVFYPAPGIGHLAPMTEFAKLLVAQGFSVDIAILPPMYPSVSTSDTDDYMTRISSSHPSITFHRLPPFTVHQSSTHIAVRFLSELRAANPLLRDLLQSISQTSNIRAILTDFFCMDVLDVAADLQLPAYVFFTCSAFILAYFLYLPTLYSEMTCGPSELGETPIHIPGVPPIPASHMPDLMRDRDEGLQTFVNLFSRLPDAKGIILNSFEFLESRTLKTVRGGHCLPNRETPPVYCAGPLIKESGGGGGERHECLTWLDKQPKGSVVFLCFGSRGRFTAEQVNEIATGLERSDQRFVWVVRSPPDPENRLATSNEVDLDTLLPVGFLERTEGRGVVVKDWAPQVEVLNHEATGVFVTHCGWNSVLEGLLAGVGLIAWPLYAEQKMNKVVLVDEMKLAVELKGYDKGKVAAEEVENRLRWLMQSDGGAELRNRAKEMKDHAMAALSDGGSSHAAVLELVSLWKKQR >DRNTG_05845.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31589671:31591616:1 gene:DRNTG_05845 transcript:DRNTG_05845.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVVFYPAPGIGHLAPMTEFAKLLVAQGFSVDIAILPPMYPSVSTSDTDDYMTRISSSHPSITFHRLPPFTVHQSSTHIAVRFLSELRAANPLLRDLLQSISQTSNIRAILTDFFCMDVLDVAADLQLPAYVFFTCSAFILAYFLYLPTLYSEMTCGPSELGETPIHIPGVPPIPASHMPDLMRDRDEGLQTFVNLFSRLPDAKGIILNSFEFLESRTLKTVRGGHCLPNRETPPVYCAGPLIKESGGGGGERHECLTWLDKQPKGSVVFLCFGSRGRFTAEQVNEIATGLERSDQRFVWVVRSPPDPENRLATSNEVDLDTLLPVGFLERTEGRGVVVKDWAPQVEVLNHEATGVFVTHCGWNSVLEGLLAGVGLIAWPLYAEQKMNKVVLVDEMKLAVELKGYDKGKVAAEEVENRLRWLMQSDGGAELRNRAKEMKDHAMAALSDGGSSHAAVLELVSLWKKQR >DRNTG_13798.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000641.1:72333:75469:1 gene:DRNTG_13798 transcript:DRNTG_13798.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQGAVRLVFLNSHHRLYLWHIMKKVPEKLGGLNEYKAIKKILKRITYEVVDIHDFEDTYLKMMAEFNIDKNEWLNSLFKIRDRWAPIYVKGIFWVGMSATQRSESVNAFFDGYVGPTTSLKQFVEQYDNALKSKIEKENKADFASFNSCFPLITDCYFEKQLQEAYTNEIFKLFQDELRGMIYCNLTLNGSHGAVCSIQVSDIVKGKECVLRKQVVYNVYYNEEEFDIKCSCQLFEFKGIICRLICKVFLEKNVKDIPSRYILSRWRKNIKRMHTYVLNCYDDPQTSEEKQRYNKLCSHFTKAAEFGAESNDKYIFLMKCVDEVIEKLMGNTTCMEKFTPMSLEATDAPHQNFLTPLKVRSKGRPPSKRKKSKVEEIIIRNKKKKAQTKGHVAQDDHCTQESVVNLNSISINLGFTSQCNDP >DRNTG_13798.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000641.1:71177:75469:1 gene:DRNTG_13798 transcript:DRNTG_13798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQGAVRLVFLNSHHRLYLWHIMKKVPEKLGGLNEYKAIKKILKRITYEVVDIHDFEDTYLKMMAEFNIDKNEWLNSLFKIRDRWAPIYVKGIFWVGMSATQRSESVNAFFDGYVGPTTSLKQFVEQYDNALKSKIEKENKADFASFNSCFPLITDCYFEKQLQEAYTNEIFKLFQDELRGMIYCNLTLNGSHGAVCSIQVSDIVKGKECVLRKQVVYNVYYNEEEFDIKCSCQLFEFKGIICRLICKVFLEKNVKDIPSRYILSRWRKNIKRMHTYVLNCYDDPQTSEEKQRYNKLCSHFTKAAEFGAESNDKYIFLMKCVDEVIEKLMGNTTCMEKFTPMSLEATDAPHQNFLTPLKVRSKGRPPSKRKKSKVEEIIIRNKKKKAQTKGHVAQDDHCTQESVVNLNSISINLGFTSQCNDP >DRNTG_06600.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:600702:603887:1 gene:DRNTG_06600 transcript:DRNTG_06600.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTFWLLRVVLLRLLFAQITVSLAEYEFTFNGFHGANLSIDGITSFSQDGLLQLTNGTRQTKGQAFYPSPFRFKMSQSATARTFSTTFVFAVISQYPNISSYGFTFCISPTKELDGESTHYMGFLNSTNNNVKSNHIIGVEFDSFLTPEFQDINDNHVGIDVHSMTSVSFHTTGYYAGDTNATFQNLSLNSGQAMQVWVEYDGKTSQLNVTLAPFGVPMPDLPLLSSKIDLSKQISEDMYVGFTASEGDILTTHCILGWSFKMDGHASALDLAKLPSLPLSNDNKKKSTAWRIWLPISVPLLLLTAAIIISYVVARRIKFAELREEWEQEYGPHRFSYKELFQATDGFKDKQCLGFGGFGSVYKGVLPTSKTEVAVKRVSHDSRQGIREFVAEIVSLGQLRHRNLVNLLGYCRRKGEMLLVYDFMPNGSLDKYLFRQTTPCLDWKQRFKIIKGVASGLLYLHEEWVKVVIHRDIKAGNVLLDSEFNARLGDFGLARLYNHGTDFQTTHVMGTMGYLAPELARRGKATTSSDVYAFGMFLLEVACGRRPIELKVDGDGEDVFLVEWVLDSWRNGDILCTSDDRLDKQYVVEEMELVLKLGLLCCHPMATSRPSMRQAMQYLNKDFPLPEFTPFSLTADLLGSYAGEGFDEYMISCPSSMAPTTVSLLSEGR >DRNTG_06600.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:601484:603887:1 gene:DRNTG_06600 transcript:DRNTG_06600.11 gene_biotype:protein_coding transcript_biotype:protein_coding MTFWLLRVVLLRLLFAQITVSLAEYEFTFNGFHGANLSIDGITSFSQDGLLQLTNGTRQTKGQAFYPSPFRFKMSQSATARTFSTTFVFAVISQYPNISSYGFTFCISPTKELDGESTHYMGFLNSTNNNVKSNHIIGVEFDSFLTPEFQDINDNHVGIDVHSMTSVSFHTTGYYAGDTNATFQNLSLNSGQAMQVWVEYDGKTSQLNVTLAPFGVPMPDLPLLSSKIDLSKQISEDMYVGFTASEGDILTTHCILGWSFKMDGHASALDLAKLPSLPLSNDNKKKSTAWRIWLPISVPLLLLTAAIIISYVVARRIKFAELREEWEQEYGPHRFSYKELFQATDGFKDKQCLGFGGFGSVYKGVLPTSKTEVAVKRVSHDSRQGIREFVAEIVSLGQLRHRNLVNLLGYCRRKGEMLLVYDFMPNGSLDKYLFRQTTPCLDWKQRFKIIKGVASGLLYLHEEWVKVVIHRDIKAGNVLLDSEFNARLGDFGLARLYNHGTDFQTTHVMGTMGYLAPELARRGKATTSSDVYAFGMFLLEVACGRRPIELKVDGDGEDVFLVEWVLDSWRNGDILCTSDDRLDKQYVVEEMELVLKLGLLCCHPMATSRPSMRQAMQYLNKDFPLPEFTPFSLTADLLGSYAGEGFDEYMISCPSSMAPTTVSLLSEGR >DRNTG_06600.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:600702:604015:1 gene:DRNTG_06600 transcript:DRNTG_06600.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTFWLLRVVLLRLLFAQITVSLAEYEFTFNGFHGANLSIDGITSFSQDGLLQLTNGTRQTKGQAFYPSPFRFKMSQSATARTFSTTFVFAVISQYPNISSYGFTFCISPTKELDGESTHYMGFLNSTNNNVKSNHIIGVEFDSFLTPEFQDINDNHVGIDVHSMTSVSFHTTGYYAGDTNATFQNLSLNSGQAMQVWVEYDGKTSQLNVTLAPFGVPMPDLPLLSSKIDLSKQISEDMYVGFTASEGDILTTHCILGWSFKMDGHASALDLAKLPSLPLSNDNKKKSTAWRIWLPISVPLLLLTAAIIISYVVARRIKFAELREEWEQEYGPHRFSYKELFQATDGFKDKQCLGFGGFGSVYKGVLPTSKTEVAVKRVSHDSRQGIREFVAEIVSLGQLRHRNLVNLLGYCRRKGEMLLVYDFMPNGSLDKYLFRQTTPCLDWKQRFKIIKGVASGLLYLHEEWVKVVIHRDIKAGNVLLDSEFNARLGDFGLARLYNHGTDFQTTHVMGTMGYLAPELARRGKATTSSDVYAFGMFLLEVACGRRPIELKVDGDGEDVFLVEWVLDSWRNGDILCTSDDRLDKQYVVEEMELVLKLGLLCCHPMATSRPSMRQAMQYLNKDFPLPEFTPFSLTADLLGSYAGEGFDEYMISCPSSMAPTTVSLLSEGR >DRNTG_06600.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:597822:603887:1 gene:DRNTG_06600 transcript:DRNTG_06600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTFWLLRVVLLRLLFAQITVSLAEYEFTFNGFHGANLSIDGITSFSQDGLLQLTNGTRQTKGQAFYPSPFRFKMSQSATARTFSTTFVFAVISQYPNISSYGFTFCISPTKELDGESTHYMGFLNSTNNNVKSNHIIGVEFDSFLTPEFQDINDNHVGIDVHSMTSVSFHTTGYYAGDTNATFQNLSLNSGQAMQVWVEYDGKTSQLNVTLAPFGVPMPDLPLLSSKIDLSKQISEDMYVGFTASEGDILTTHCILGWSFKMDGHASALDLAKLPSLPLSNDNKKKSTAWRIWLPISVPLLLLTAAIIISYVVARRIKFAELREEWEQEYGPHRFSYKELFQATDGFKDKQCLGFGGFGSVYKGVLPTSKTEVAVKRVSHDSRQGIREFVAEIVSLGQLRHRNLVNLLGYCRRKGEMLLVYDFMPNGSLDKYLFRQTTPCLDWKQRFKIIKGVASGLLYLHEEWVKVVIHRDIKAGNVLLDSEFNARLGDFGLARLYNHGTDFQTTHVMGTMGYLAPELARRGKATTSSDVYAFGMFLLEVACGRRPIELKVDGDGEDVFLVEWVLDSWRNGDILCTSDDRLDKQYVVEEMELVLKLGLLCCHPMATSRPSMRQAMQYLNKDFPLPEFTPFSLTADLLGSYAGEGFDEYMISCPSSMAPTTVSLLSEGR >DRNTG_06600.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:600372:603887:1 gene:DRNTG_06600 transcript:DRNTG_06600.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTFWLLRVVLLRLLFAQITVSLAEYEFTFNGFHGANLSIDGITSFSQDGLLQLTNGTRQTKGQAFYPSPFRFKMSQSATARTFSTTFVFAVISQYPNISSYGFTFCISPTKELDGESTHYMGFLNSTNNNVKSNHIIGVEFDSFLTPEFQDINDNHVGIDVHSMTSVSFHTTGYYAGDTNATFQNLSLNSGQAMQVWVEYDGKTSQLNVTLAPFGVPMPDLPLLSSKIDLSKQISEDMYVGFTASEGDILTTHCILGWSFKMDGHASALDLAKLPSLPLSNDNKKKSTAWRIWLPISVPLLLLTAAIIISYVVARRIKFAELREEWEQEYGPHRFSYKELFQATDGFKDKQCLGFGGFGSVYKGVLPTSKTEVAVKRVSHDSRQGIREFVAEIVSLGQLRHRNLVNLLGYCRRKGEMLLVYDFMPNGSLDKYLFRQTTPCLDWKQRFKIIKGVASGLLYLHEEWVKVVIHRDIKAGNVLLDSEFNARLGDFGLARLYNHGTDFQTTHVMGTMGYLAPELARRGKATTSSDVYAFGMFLLEVACGRRPIELKVDGDGEDVFLVEWVLDSWRNGDILCTSDDRLDKQYVVEEMELVLKLGLLCCHPMATSRPSMRQAMQYLNKDFPLPEFTPFSLTADLLGSYAGEGFDEYMISCPSSMAPTTVSLLSEGR >DRNTG_06600.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:600957:604015:1 gene:DRNTG_06600 transcript:DRNTG_06600.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTFWLLRVVLLRLLFAQITVSLAEYEFTFNGFHGANLSIDGITSFSQDGLLQLTNGTRQTKGQAFYPSPFRFKMSQSATARTFSTTFVFAVISQYPNISSYGFTFCISPTKELDGESTHYMGFLNSTNNNVKSNHIIGVEFDSFLTPEFQDINDNHVGIDVHSMTSVSFHTTGYYAGDTNATFQNLSLNSGQAMQVWVEYDGKTSQLNVTLAPFGVPMPDLPLLSSKIDLSKQISEDMYVGFTASEGDILTTHCILGWSFKMDGHASALDLAKLPSLPLSNDNKKKSTAWRIWLPISVPLLLLTAAIIISYVVARRIKFAELREEWEQEYGPHRFSYKELFQATDGFKDKQCLGFGGFGSVYKGVLPTSKTEVAVKRVSHDSRQGIREFVAEIVSLGQLRHRNLVNLLGYCRRKGEMLLVYDFMPNGSLDKYLFRQTTPCLDWKQRFKIIKGVASGLLYLHEEWVKVVIHRDIKAGNVLLDSEFNARLGDFGLARLYNHGTDFQTTHVMGTMGYLAPELARRGKATTSSDVYAFGMFLLEVACGRRPIELKVDGDGEDVFLVEWVLDSWRNGDILCTSDDRLDKQYVVEEMELVLKLGLLCCHPMATSRPSMRQAMQYLNKDFPLPEFTPFSLTADLLGSYAGEGFDEYMISCPSSMAPTTVSLLSEGR >DRNTG_06600.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:597822:604015:1 gene:DRNTG_06600 transcript:DRNTG_06600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFWLLRVVLLRLLFAQITVSLAEYEFTFNGFHGANLSIDGITSFSQDGLLQLTNGTRQTKGQAFYPSPFRFKMSQSATARTFSTTFVFAVISQYPNISSYGFTFCISPTKELDGESTHYMGFLNSTNNNVKSNHIIGVEFDSFLTPEFQDINDNHVGIDVHSMTSVSFHTTGYYAGDTNATFQNLSLNSGQAMQVWVEYDGKTSQLNVTLAPFGVPMPDLPLLSSKIDLSKQISEDMYVGFTASEGDILTTHCILGWSFKMDGHASALDLAKLPSLPLSNDNKKKSTAWRIWLPISVPLLLLTAAIIISYVVARRIKFAELREEWEQEYGPHRFSYKELFQATDGFKDKQCLGFGGFGSVYKGVLPTSKTEVAVKRVSHDSRQGIREFVAEIVSLGQLRHRNLVNLLGYCRRKGEMLLVYDFMPNGSLDKYLFRQTTPCLDWKQRFKIIKGVASGLLYLHEEWVKVVIHRDIKAGNVLLDSEFNARLGDFGLARLYNHGTDFQTTHVMGTMGYLAPELARRGKATTSSDVYAFGMFLLEVACGRRPIELKVDGDGEDVFLVEWVLDSWRNGDILCTSDDRLDKQYVVEEMELVLKLGLLCCHPMATSRPSMRQAMQYLNKDFPLPEFTPFSLTADLLGSYAGEGFDEYMISCPSSMAPTTVSLLSEGR >DRNTG_06600.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:601484:604015:1 gene:DRNTG_06600 transcript:DRNTG_06600.9 gene_biotype:protein_coding transcript_biotype:protein_coding MTFWLLRVVLLRLLFAQITVSLAEYEFTFNGFHGANLSIDGITSFSQDGLLQLTNGTRQTKGQAFYPSPFRFKMSQSATARTFSTTFVFAVISQYPNISSYGFTFCISPTKELDGESTHYMGFLNSTNNNVKSNHIIGVEFDSFLTPEFQDINDNHVGIDVHSMTSVSFHTTGYYAGDTNATFQNLSLNSGQAMQVWVEYDGKTSQLNVTLAPFGVPMPDLPLLSSKIDLSKQISEDMYVGFTASEGDILTTHCILGWSFKMDGHASALDLAKLPSLPLSNDNKKKSTAWRIWLPISVPLLLLTAAIIISYVVARRIKFAELREEWEQEYGPHRFSYKELFQATDGFKDKQCLGFGGFGSVYKGVLPTSKTEVAVKRVSHDSRQGIREFVAEIVSLGQLRHRNLVNLLGYCRRKGEMLLVYDFMPNGSLDKYLFRQTTPCLDWKQRFKIIKGVASGLLYLHEEWVKVVIHRDIKAGNVLLDSEFNARLGDFGLARLYNHGTDFQTTHVMGTMGYLAPELARRGKATTSSDVYAFGMFLLEVACGRRPIELKVDGDGEDVFLVEWVLDSWRNGDILCTSDDRLDKQYVVEEMELVLKLGLLCCHPMATSRPSMRQAMQYLNKDFPLPEFTPFSLTADLLGSYAGEGFDEYMISCPSSMAPTTVSLLSEGR >DRNTG_06600.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:601484:603919:1 gene:DRNTG_06600 transcript:DRNTG_06600.10 gene_biotype:protein_coding transcript_biotype:protein_coding MTFWLLRVVLLRLLFAQITVSLAEYEFTFNGFHGANLSIDGITSFSQDGLLQLTNGTRQTKGQAFYPSPFRFKMSQSATARTFSTTFVFAVISQYPNISSYGFTFCISPTKELDGESTHYMGFLNSTNNNVKSNHIIGVEFDSFLTPEFQDINDNHVGIDVHSMTSVSFHTTGYYAGDTNATFQNLSLNSGQAMQVWVEYDGKTSQLNVTLAPFGVPMPDLPLLSSKIDLSKQISEDMYVGFTASEGDILTTHCILGWSFKMDGHASALDLAKLPSLPLSNDNKKKSTAWRIWLPISVPLLLLTAAIIISYVVARRIKFAELREEWEQEYGPHRFSYKELFQATDGFKDKQCLGFGGFGSVYKGVLPTSKTEVAVKRVSHDSRQGIREFVAEIVSLGQLRHRNLVNLLGYCRRKGEMLLVYDFMPNGSLDKYLFRQTTPCLDWKQRFKIIKGVASGLLYLHEEWVKVVIHRDIKAGNVLLDSEFNARLGDFGLARLYNHGTDFQTTHVMGTMGYLAPELARRGKATTSSDVYAFGMFLLEVACGRRPIELKVDGDGEDVFLVEWVLDSWRNGDILCTSDDRLDKQYVVEEMELVLKLGLLCCHPMATSRPSMRQAMQYLNKDFPLPEFTPFSLTADLLGSYAGEGFDEYMISCPSSMAPTTVSLLSEGR >DRNTG_06600.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:597732:604015:1 gene:DRNTG_06600 transcript:DRNTG_06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFWLLRVVLLRLLFAQITVSLAEYEFTFNGFHGANLSIDGITSFSQDGLLQLTNGTRQTKGQAFYPSPFRFKMSQSATARTFSTTFVFAVISQYPNISSYGFTFCISPTKELDGESTHYMGFLNSTNNNVKSNHIIGVEFDSFLTPEFQDINDNHVGIDVHSMTSVSFHTTGYYAGDTNATFQNLSLNSGQAMQVWVEYDGKTSQLNVTLAPFGVPMPDLPLLSSKIDLSKQISEDMYVGFTASEGDILTTHCILGWSFKMDGHASALDLAKLPSLPLSNDNKKKSTAWRIWLPISVPLLLLTAAIIISYVVARRIKFAELREEWEQEYGPHRFSYKELFQATDGFKDKQCLGFGGFGSVYKGVLPTSKTEVAVKRVSHDSRQGIREFVAEIVSLGQLRHRNLVNLLGYCRRKGEMLLVYDFMPNGSLDKYLFRQTTPCLDWKQRFKIIKGVASGLLYLHEEWVKVVIHRDIKAGNVLLDSEFNARLGDFGLARLYNHGTDFQTTHVMGTMGYLAPELARRGKATTSSDVYAFGMFLLEVACGRRPIELKVDGDGEDVFLVEWVLDSWRNGDILCTSDDRLDKQYVVEEMELVLKLGLLCCHPMATSRPSMRQAMQYLNKDFPLPEFTPFSLTADLLGSYAGEGFDEYMISCPSSMAPTTVSLLSEGR >DRNTG_06600.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:599398:603887:1 gene:DRNTG_06600 transcript:DRNTG_06600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTFWLLRVVLLRLLFAQITVSLAEYEFTFNGFHGANLSIDGITSFSQDGLLQLTNGTRQTKGQAFYPSPFRFKMSQSATARTFSTTFVFAVISQYPNISSYGFTFCISPTKELDGESTHYMGFLNSTNNNVKSNHIIGVEFDSFLTPEFQDINDNHVGIDVHSMTSVSFHTTGYYAGDTNATFQNLSLNSGQAMQVWVEYDGKTSQLNVTLAPFGVPMPDLPLLSSKIDLSKQISEDMYVGFTASEGDILTTHCILGWSFKMDGHASALDLAKLPSLPLSNDNKKKSTAWRIWLPISVPLLLLTAAIIISYVVARRIKFAELREEWEQEYGPHRFSYKELFQATDGFKDKQCLGFGGFGSVYKGVLPTSKTEVAVKRVSHDSRQGIREFVAEIVSLGQLRHRNLVNLLGYCRRKGEMLLVYDFMPNGSLDKYLFRQTTPCLDWKQRFKIIKGVASGLLYLHEEWVKVVIHRDIKAGNVLLDSEFNARLGDFGLARLYNHGTDFQTTHVMGTMGYLAPELARRGKATTSSDVYAFGMFLLEVACGRRPIELKVDGDGEDVFLVEWVLDSWRNGDILCTSDDRLDKQYVVEEMELVLKLGLLCCHPMATSRPSMRQAMQYLNKDFPLPEFTPFSLTADLLGSYAGEGFDEYMISCPSSMAPTTVSLLSEGR >DRNTG_03044.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8721508:8722995:1 gene:DRNTG_03044 transcript:DRNTG_03044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRSGRAFFKCPLIKPRAHLVGSVPTTTQPIAIFQENCGVEGVEPSKSLANTTLNPSPLSKVAVKVAFTLSRVSRVSGATSINRAKIPTNSSKN >DRNTG_29162.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5230590:5234206:-1 gene:DRNTG_29162 transcript:DRNTG_29162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQTPSGCCSCACVHCGPRETSIWRRSMKRKLDEWDGIGVAATPAAAVRVEVENEVAALREAVAKQQETIQELCAELDEERNAAASAASETMSMILRLQREKAEAQMEARQFKRFAEEKMAHDQREIVSLEDLLFRKDQALQSLSFEVQAYRHRLLSLGYPPEDLVSDPGTPGSPAFGYDCQYPPLRCSPPGDVDHEDESADLETRQGPGAPDL >DRNTG_17030.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10737395:10740831:1 gene:DRNTG_17030 transcript:DRNTG_17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSSSGEEDESIDEYRKGGYHAVRVGDQFAGGRYVAQRKLGWGQFSTVWLAYDTRDSRFVALKIQKSAKQFAEAALHEIEILSAVANGDHLNSKCIIRLLNHFKHVGPNGQHLCLVEEFLGDSLLGLIRYNRYRGIGFNRLRDICRSLLLGLDYLHRELGIIHTDLKPENILLVSTIDPAKDPVRSGYAPILERPEVNSNGVFVVNINEKNLKKKARRAVAKISVRRASMGGTFEVPRKLDGIDMSCKIVDFGNACWVDKKFTDDIQTRQYRAPEVILGVSYSFPVDMWSFACIAFELATGEMLFTPKAGQGFSEDEDHLALMMELLGKMPRKIAISGSRSKDYFDRYGDLKRIRRLKHRPLDRLLVEKFKFSDSDACEFANFLRPLLDFAPEKRPTAQQYLQHPWLKGKELNSFEEKKPMAVEKLERGMSKLQVQVGR >DRNTG_15016.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23835934:23836156:-1 gene:DRNTG_15016 transcript:DRNTG_15016.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSTVSQGPQAPLKAAFLSPPTPLRAAPCVLQPLHLSPLP >DRNTG_22306.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19294580:19295052:-1 gene:DRNTG_22306 transcript:DRNTG_22306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGPMEQVEIIGHPITGFHFMLTCPAQSLTITIVSYMGKVRLCMGAEKDLIDSKLLVSCTKKSFEMISEASVIDEVD >DRNTG_22372.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6195025:6197127:-1 gene:DRNTG_22372 transcript:DRNTG_22372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVKWTDITLAQSEGGLSIRNLRVTKTSIMAKNVFSYLNHQNSIWVDILLDKYGSVNFWSDSIPANCSWFFRGLWLNANIIKPNLWLQHFHPVQTDFLKDPWYFEIPLAFKPTYFNMDFNYQLISLSDLYVNGCWNLSLLHEVFGEFLNLEYLGNNRISSSFVNKWVWYPKSKQNKLTAMVYSHFNKSVDSRDNWDGWENVWRLKIAPRPKHFLWLLFHNGIKTYDYLYRLNLGPQTMCGFCSLDFETTEHLFNSCPKAQFIWRSISSAIGKHVRFNYGFTDGSWICPSNPDLDIYAQSVIAVAVWLLWKARCDLVFRNKVPDFPSIPCRAIHHVREYSSSQTYLSGKKLIINNFSVFNSPFLFVSAVCNCESLNAGAGFYIADSSSKFISAGWCNNSADSALEAEALALIAALGSILDSNIHIRTIFIANSDLHRAILSENAFISWRIQPLISNISDFLSCFGNPQIHIVPRSWLNVADILAYRGLNSHALTLFHHGRELPFWLMKHLNRSGIFM >DRNTG_16573.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19449275:19450691:1 gene:DRNTG_16573 transcript:DRNTG_16573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSREIKGTSLHEKLQLLRSVTNSHARNKASIIVDASNYIRELKQKVERLNQDISSSKADEETPMPLVTVETLNKGFLINVFSAKSCPGLLVSILEVFEELGLTVLEARVSCTDTFHLEAVGGENEMERMDAHVVKQAVLQAIKNCSESSEQD >DRNTG_11663.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:202689:205771:1 gene:DRNTG_11663 transcript:DRNTG_11663.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRQGERVRLYVRGTVLGYKRSKSNQKENTSLIQIEGVNTKEEVGWYCGKRLAYIYKAKVKKEGSHYRCIWGKVTRPHGNSGVVRAKFKSNLPPKSMGSKVRVFMYPSNI >DRNTG_27232.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1515313:1521126:-1 gene:DRNTG_27232 transcript:DRNTG_27232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLLRSPPLPLCFPSDLLLAAPMSSLTFRNPRRCPIPFPRFAIHARSPVSIRFSGSYSTMTTEVAQDPSCMKWMVVMEKPPNVGLSKREVVDHYVQTLAKVLGSEREAQMCMYDVSWQTCYGFCCDIDEESSRKLADMPGVLSVTPDKDPDSNKKDYTYSKLLLAHLSKPDASASRFTPSQGNEYWLVCMKKPGFEVITKAQMVDYYTQILTKVLGNEKDAQACIYHISWERDYGFCCHIDEECAQELADVPGVLSVCPDTNFEAASKDYRGDGKVLESEENQTPDVKTKRLFVTGLSFYTSEKTLRAAFEGYGELVEVKIIMDKISKRSKGYAFIEYTTEEAAAAALKEMNGKIINGWMIVVDVARTNRARQGSPRARQSSSSSSS >DRNTG_09481.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21445551:21449067:1 gene:DRNTG_09481 transcript:DRNTG_09481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCFSLLRKYIANGKSTRMPSYIALVISSTAGIDQHHNNKHHHQRSKTRGSDPSNN >DRNTG_06857.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21365787:21373974:1 gene:DRNTG_06857 transcript:DRNTG_06857.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQRSPQALSDHGSHKPQCTLRERRWW >DRNTG_06857.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21364840:21373974:1 gene:DRNTG_06857 transcript:DRNTG_06857.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIISSQVLKNHQKKFSNKITKDQSKISS >DRNTG_06857.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21373240:21373974:1 gene:DRNTG_06857 transcript:DRNTG_06857.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQRSPQALSDHGSHKPQCTLRERRWW >DRNTG_06857.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21373240:21373974:1 gene:DRNTG_06857 transcript:DRNTG_06857.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQRSPQALSDHGSHKPQCTLRERRWW >DRNTG_06857.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21364840:21373974:1 gene:DRNTG_06857 transcript:DRNTG_06857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIISSQVLKNHQKKFSNKITKDQSKISS >DRNTG_05735.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9392758:9401621:1 gene:DRNTG_05735 transcript:DRNTG_05735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNVWTPTILGFLQDLHTIFCRKIITLILGGSSLFACCSIRPVVRPVIRHLFSLCPDAESMAWKVEEEEIEEVIRNLGLQKTRAKKMKRFSQEYLGEDWTHVTQLHGVGKYAADAYAIFCGGKPQEVIPHDHMLVPYWEFLCNMREAGEETLVLQNQHSTEN >DRNTG_09029.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31317724:31323717:-1 gene:DRNTG_09029 transcript:DRNTG_09029.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPFLLHTHQRWSMTSIWFCLLLVLFVQYWQPTTSSATFLVGLGSYDITGPAADVNMMGYANMEQIASGIHFRLQARTFIVVEPGGKRVAFVNLDACMASQLVTIKLLERLKSRYGDLYTEQNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVIVNGIEQSIIQAHENLRPGTVSVNKGELLDAGINRSPSAYLNNPSPERSRYKYNVDKEMTLLKFVDDEWGPVGAFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFKQKSLDEIDIVYPEGLDTLKINEGSPRRVSTIIPRLPSGVDELKQIASSFQASGGKRVTRSFSVTRRLRSSFRRGNRPKFVSAFCQSNCGDVSPNVLGAFCTDTGLPCDFNRSTCNGKNELCYGRGPGYPDEFESTHIIGERQFRKAVDLFTTASEQIKGKVDYRHTYLDLSHLEVTLPSSDGNKRVVKTCPAAMGFAFAAGTTDGPGAFDFQQGDDKGNAFWKLVRNLLKMPGKEQKECQHPKPILLDTGEMKKPYDWAPSILPIQIIRIGQLVILCVPGEFTTMAGRRLRDAVRTVLTSGSNGEFDKNVHIVIAGLTNSYSQYVTTYEEYLIQRYEGASTLFGPHTLDAYIQEFKKLAAALVGGQSISSSLQPPDLLDKQISLLPGVVLDLTPVGVKFGDAKIDVPLNSTFKRGDMVTATFWSGCPRNDLMTEGTFSLVEVLHGTDTWAPAYDDDDFSLRFKWSRPKKLSPQSYATIEWRIPETAASGVYRLRHFGASKSLLGSIKHFTGASRAFVVV >DRNTG_09029.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31317724:31323717:-1 gene:DRNTG_09029 transcript:DRNTG_09029.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPFLLHTHQRWSMTSIWFCLLLVLFVQYWQPTTSSATFLVGLGSYDITGPAADVNMMGYANMEQIASGIHFRLQARTFIVVEPGGKRVAFVNLDACMASQLVTIKLLERLKSRYGDLYTEQNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVIVNGIEQSIIQAHENLRPGTVSVNKGELLDAGINRSPSAYLNNPSPERSRYKYNVDKEMTLLKFVDDEWGPVGAFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFKQKSLDEIDIVYPEGLDTLKINEGSPRRVSTIIPRLPSGVDELKQIASSFQASGGKRVTRSFSVTRRLRSSFRRGNRPKFVSAFCQSNCGDVSPNVLGAFCTDTGLPCDFNRSTCNGKNELCYGRGPGYPDEFESTHIIGERQFRKAVDLFTTASEQIKGKVDYRHTYLDLSHLEVTLPSSDGNKRVVKTCPAAMGFAFAAGTTDGPGAFDFQQGDDKGNAFWKLVRNLLKMPGKEQKECQHPKPILLDTGEMKKPYDWAPSILPIQIIRIGQLVILCVPGEFTTMAGRRLRDAVRTVLTSGSNGEFDKNVHIVIAGLTNSYSQYVTTYEEYLIQRYEGASTLFGPHTLDAYIQEFKKLAAALVGGQSISSSLQPPDLLDKQISLLPGVVLDLTPVGVKFGDAKIDVPLNSTFKRGDMVTATFWSGCPRNDLMTEGTFSLVEVLHGTDTWAPAYDDDDFSLRFKWSRPKKLSPQSYATIEWRIPETAASGVYRLRHFGASKSLLGSIKHFTGASRAFVVV >DRNTG_19769.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001055.1:23858:38133:-1 gene:DRNTG_19769 transcript:DRNTG_19769.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDIVNTLLGALCTCMQNPAVQEKLRCIITSTSKLLDDVKNELEDLKAMENGILNIQEEANRTGKQLTPPVQRWLDKAHLGEKYNMMIQLKDDYNNRGCLVGSCSLNCWAKYKISQRSIKLLKEIKNLKTEYDAFKEKTEAQPPRAVREIPTSSIVVGKIIKLNLEKVHGYLADDNVSMVGIWGMGGVGKTTLLNEINNSLLGGDTNLGFKYVIPLVVSKEPQFEKLQKEISIRLGLQPPHSEKNDIFEFLKKEDFLILLDDMWKAVDLPETLGIPLPHHQSQSWEDRGQRCNHKVIFTTREDDVCARMKADRKIKVECLDGEEAWHLFKQHANEETINSNASIKKIALKVMEKCLGLPLALKVIGGAMSNKKKPEEWRDMLRSLVNLDIKTVTGMQESLFNTLKLSYDNLVDDTLQQCFLCCAQWPEDEEIDLFKLIEYWVGIGLIDDFRNIGEAFDKGYNLIGNLNAACLVELHYSSREEYVKLHDVIRDMALWIVSECGKKKNKWITCTNDGDDFSQSIEWEEGTWKETERIFFEMDWESDTILLLNNLNIDAPTSPRYPNLKSLFINASLNSFVTYDAVSSYNSFLNIFVYTPSLIYLNLFGAPISDLSKEIRVLVNLRYLNISNTFIWSLPPEFKELRELKYFICRNRPSIKDGLSILSRLPKLQVIDLYKNNCLEADDLSLLKTRVKAIGMHVTSVETLGLFKHLPTWNLSMHGLRHMPKLRFCDLSNKHGGEGLMQLIIEDCDFEELLINGSGVSLKHLELSFLKKLKQITWPAETLPSECFPRLTSLYIGDCDSLRSLSWVLHLPCLRTLNLECCSAMEELIDPADQMQQASSSLLTFPSLLSLQLSGMLNLVSLSTCPLDFPVLSRLDLHGCPKLKKLPFKSSIVNNKFKMVDIEEDLWESLEWEVTTIQSHLAKFLVSSPWSPFSKIIKATMIADWRRWQTLNMSVDWRLRRAKDEPPRYTTRAEYFAIKMFYTIGELHKFDDQRFGGHVDYCSVDRMSLLELKSMAREMNLHVEGCSFWWFDLASNKPEKIRIGDVDALMERKVDLVVVEKAVMEKTFRFKEEIDVDLDKHIEETIEENDNIEDVAVRNERLGLEEDEESELHDSEYSFNSQEEQVDVDDVSIPMSTTNPRGEKMQVGNEDEDDDAHSDYGASEELHSNYSTNEKEMNPYRPKYAEFSEDYDMKDPHFMIGMKFSSFKQFREAVRNYGHQK >DRNTG_19924.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5773280:5775687:1 gene:DRNTG_19924 transcript:DRNTG_19924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRLMCLEVALQQNHNSVKSAVRAMLQVELQQELQQLILEGSKVSSYGDSKKAESREPCGHAYARKEEYKTKLENLTSRTYACKHDRKDHPEEFMSRTYAHKYKEAYSPVFTVLS >DRNTG_21235.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:238062:241621:1 gene:DRNTG_21235 transcript:DRNTG_21235.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRAFLARWSSSASAAAAELFGEPRIAVVAGDGEEEEGEERWARLLPELLGEIVKRLEASEERWPARRSVVAFGCVCRRWREVVAGVVRPPLDSGMITFPSSLKQPGPRDAPIQCFIKRNKKNSTFYLYLSLTPSKLIWRR >DRNTG_21235.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:238062:241621:1 gene:DRNTG_21235 transcript:DRNTG_21235.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRAFLARWSSSASAAAAELFGEPRIAVVAGDGEEEEGEERWARLLPELLGEIVKRLEASEERWPARRSVVAFGCVCRRWREVVAGVVRPPLDSGMITFPSSLKQPGPRDAPIQCFIKRNKKNSTFYLYLSLTPTFMDKGKFLLAARKFRHGAHTEFILSLDADDLTQGSNAYVGKLRSDFLGTNFTIYDSRPPYDGAKASSRRASRRFASKQISPQVPAGNFEIGQVSYKFNLLKSRGPRRMVCSIHCPPAQEATTELQKPKAQNYVILKNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATADPSQPGGIGDEEMVLLQFGKVGDDLFTMDYRQPLSAFQAFAICLTSFGTKFACE >DRNTG_32098.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22273489:22275740:1 gene:DRNTG_32098 transcript:DRNTG_32098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSIPSASYMKRYVLRLFISLKYITANVVDRKNGRIVVTASSVEKPLKAGLECGRTCNAKAAAAVGEVLAMRLKVDGLAREAIHADATKEVQKKGFKNQTKVWAILNALRSNGVNLLLDDDDGGEKHNVRHQ >DRNTG_31023.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30489661:30490734:1 gene:DRNTG_31023 transcript:DRNTG_31023.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYVSLLKQMAPEHLLATSAKLCAEILASASDGMLNIDDVPGQCVLQDALQILACKEMRLHSNKSHDTTDMDDESGDAGANLLAARGRVVTQVAKKNLIQIAVPIFIELKRLFQNKNSPLTGCLMDCLRVLLKDYKNEFDEILVADKQLQKELIYDIQKHETAKAKSMVADAVATAKRSKNHQTLINQATPATTIYSKVSKKPGSGAKIASAMADEAAKVTARSVLEEVNRNAATPPLKSMSIPRLKSNNNGNAGLARGDRSSEVLESLRRRQSFDSDVED >DRNTG_31023.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30489261:30490734:1 gene:DRNTG_31023 transcript:DRNTG_31023.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYVSLLKQMAPEHLLATSAKLCAEILASASDGMLNIDDVPGQCVLQDALQILACKEMRLHSNKSHDTTDMDDESGDAGANLLAARGRVVTQVAKKNLIQIAVPIFIELKRLFQNKNSPLTGCLMDCLRVLLKDYKNEFDEILVADKQLQKELIYDIQKHETAKAKSMVADAVATAKRSKNHQTLINQATPATTIYSKVSKKPGSGAKIASAMADEAAKVTARSVLEEVNRNAATPPLKSMSIPRLKSNNNGNAGLARGDRSSEVLESLRRRQSFDSDVED >DRNTG_31023.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30485729:30490734:1 gene:DRNTG_31023 transcript:DRNTG_31023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSLPASLAYLSLLLLPSSPLSSLFSPLPLLSLLRSLRLSFKPQSDPPSNPRKRKSSSRSQKCYLDPPALFIRVLESLESVLSRVRLDSTTDGRRSLIETLAGILTAAQHGQHHKVQDQCFRILYEVISRPEHGDQSTSMVEVLRSLAPIILSPLKTPSRGLALGFVTRKVAPLSRETDKIRKALMYLPRYLAMKSPEKSEPRGFAVDAIMEVVKAMEDEDRISFAEFVVKMGLGKSHLRLLAVDLFPHLLTLFPDSMEIEDDWVMKCLEALVSRCSDSIGAIRARALTNMAHVIGVFSMDANKLDRLKEVVAFQNLGFAELLRRRCVDEKAAVRKAALLLITKSTALMTRPVDDVILRTMGIACSDPLVSIRKAAVSALSEVFRRFPDSRVIDEWLHTVPRMIVDNESSIQEECENLFLELVFDCISRVTKMSLTDDTMDIKSLVPKLVLNLLSGVCDGEIAPCITKICASLGKKKKLKGSIAISLQHLISSSETLWLRNSMPIEKWTAPAGAWQLLSEVSLFTPKSVNWEFLHHHWQLFDKANLKEQSEVSDGVEANSASWAGDRVYLLQTISNVSMELPPEPAADLAHNLLKRIEDFNMNFSEVDAHVKALKTLCKQKATDPKESEILILKWVRQLLSKALKIIKSYISEFSDSSKANSFRTPPQTRSGRTKGKKETALPKSLQQAVVAVFSVGSLILVCPSADLQGVIPLLHTIVTSSSSEAKPKKLAGLTVLLKEKAPSLYTQSWVTLGKICLVDGKLAKLYIPLFVQELERSDCAALRNNIMVVMADFCVRYTALVDCYIPRITASLRDPCEVVRRQTFILLSRLLQRDYVKWRGVLFLRFLLSLVDESEKIRHLADFLFGSILKVKAPLLAYNSFVEAIFVLNNCQAHGCQSEIQNEIGKQKGPKVFSIRGNDEKSRSQRMHIYVSLLKQMAPEHLLATSAKLCAEILASASDGMLNIDDVPGQCVLQDALQILACKEMRLHSNKSHDTTDMDDESGDAGANLLAARGRVVTQVAKKNLIQIAVPIFIELKRLFQNKNSPLTGCLMDCLRVLLKDYKNEFDEILVADKQLQKELIYDIQKHETAKAKSMVADAVATAKRSKNHQTLINQATPATTIYSKVSKKPGSGAKIASAMADEAAKVTARSVLEEVNRNAATPPLKSMSIPRLKSNNNGNAGLARGDRSSEVLESLRRRQSFDSDVED >DRNTG_31023.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30489947:30490734:1 gene:DRNTG_31023 transcript:DRNTG_31023.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHSNKSHDTTDMDDESGDAGANLLAARGRVVTQVAKKNLIQIAVPIFIELKRLFQNKNSPLTGCLMDCLRVLLKDYKNEFDEILVADKQLQKELIYDIQKHETAKAKSMVADAVATAKRSKNHQTLINQATPATTIYSKVSKKPGSGAKIASAMADEAAKVTARSVLEEVNRNAATPPLKSMSIPRLKSNNNGNAGLARGDRSSEVLESLRRRQSFDSDVED >DRNTG_31023.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30489449:30490734:1 gene:DRNTG_31023 transcript:DRNTG_31023.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYVSLLKQMAPEHLLATSAKLCAEILASASDGMLNIDDVPGQCVLQDALQILACKEMRLHSNKSHDTTDMDDESGDAGANLLAARGRVVTQVAKKNLIQIAVPIFIELKRLFQNKNSPLTGCLMDCLRVLLKDYKNEFDEILVADKQLQKELIYDIQKHETAKAKSMVADAVATAKRSKNHQTLINQATPATTIYSKVSKKPGSGAKIASAMADEAAKVTARSVLEEVNRNAATPPLKSMSIPRLKSNNNGNAGLARGDRSSEVLESLRRRQSFDSDVED >DRNTG_31023.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30485729:30487842:1 gene:DRNTG_31023 transcript:DRNTG_31023.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSLPASLAYLSLLLLPSSPLSSLFSPLPLLSLLRSLRLSFKPQSDPPSNPRKRKSSSRSQKCYLDPPALFIRVLESLESVLSRVRLDSTTDGRRSLIETLAGILTAAQHGQHHKVQDQCFRILYEVISRPEHGDQSTSMVEVLRSLAPIILSPLKTPSRGLALGFVTRKVAPLSRETDKIRKALMYLPRYLAMKSPEKSEPRGFAVDAIMEVVKAMEDEDRISFAEFVVKMGLGKSHLRLLAVDLFPHLLTLFPDSMEIEDDWVMKCLEALVSRCSDSIGAIRARALTNMAHVIGVFSMDANKLDRLKEVVAFQNLGFAELLRRRCVDEKAAVRKAALLLITKSTALMTRPVDDVILRTMGIACSDPLVSIRKAAVSALSEVFRRFPDSRVIDEWLHTVPRMIVDNESSIQEECENLFLELVFDCISRVTKMSLTDDTMDIKSLVPKLVLNLLSGVCDGEIAPCITKICASLGKKKKLKGSIAISLQHLISSSETLWLRNSMPIEKWTAPAGAWQLLSEVSLFTPKSVNWEFLHHHWQLFDKANLKEQSEVSDGVEANSASWAGDRVYLLQTISNVSMELPPEPAADLAHNLLKRIEDFNMNFSE >DRNTG_31023.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30488665:30490734:1 gene:DRNTG_31023 transcript:DRNTG_31023.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMADFCVRYTALVDCYIPRITASLRDPCEVVRRQTFILLSRLLQRDYVKWRGVLFLRFLLSLVDESEKIRHLADFLFGSILKVKAPLLAYNSFVEAIFVLNNCQAHGCQSEIQNEIGKQKGPKVFSIRGNDEKSRSQRMHIYVSLLKQMAPEHLLATSAKLCAEILASASDGMLNIDDVPGQCVLQDALQILACKEMRLHSNKSHDTTDMDDESGDAGANLLAARGRVVTQVAKKNLIQIAVPIFIELKRLFQNKNSPLTGCLMDCLRVLLKDYKNEFDEILVADKQLQKELIYDIQKHETAKAKSMVADAVATAKRSKNHQTLINQATPATTIYSKVSKKPGSGAKIASAMADEAAKVTARSVLEEVNRNAATPPLKSMSIPRLKSNNNGNAGLARGDRSSEVLESLRRRQSFDSDVED >DRNTG_16342.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23251333:23252136:-1 gene:DRNTG_16342 transcript:DRNTG_16342.1 gene_biotype:protein_coding transcript_biotype:protein_coding HTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFKKIVADRWEFANEFFRKGEKHLLSEIHRRKTTHITQQYYNVSDEIPHSSDGDAGYILALLEDNERLRRRNSVLLSEIIHMKKLYNDIIFFLQNHVSPTMPNAKLGRILELGSSHGSLMKGIDHAAMDSSFKLFGVSINGKKRLHPEIVGDADVEASL >DRNTG_09162.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7093906:7095509:-1 gene:DRNTG_09162 transcript:DRNTG_09162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVENLAKKHECSTAQLTLAWVFHQGDDVVPIPGTTKIKNLDSNIGALQVKLTQDAMKEISDLVPEEEVAGARSFFGTAEKFSWKHADTPLPRSA >DRNTG_20325.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5758724:5759542:-1 gene:DRNTG_20325 transcript:DRNTG_20325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIITPRCHLLKPHHALSTTTTIPKVSTSDQSLHSTWSHRFWLTTGCATFLTPIAKSLTISLTSSSSFDLLPPLCASFLAFSIADLATGFYHWSIDNYGSSSTPLLGSQIQAFQGHHKHPSTITHRHFANNLHALGRAITFTVLPLHLAASDNPTALAFIGVCSGCIMFSQQFHAWAHEKKSRLPPVVLALQDAGVLVSRSKHAKHHRSPYSNNYCIVSGMWNEVLDGMKVFEVLEMVLFFKLGVRPRSWVDPSIEWMEVEDDDNMVVMVD >DRNTG_07733.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2559969:2560885:1 gene:DRNTG_07733 transcript:DRNTG_07733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFVIIIYIITPIIYWTNTYNAKRFPIITPNVYDSNGQPYNVTRILNQETFSINLKEYENYSRLNMSAYFALAYGLSFATLTAVLSHVALFNGKSILKLWKQTTKSANEKFADVHLRLMKRNYKTVPQWWFIVLLMVVFGLSIWTCEGFGKQLQLPYWGIILACAIAFFFTLPVGIITATTNQTPGLNVVTEMVI >DRNTG_18352.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16971216:17009965:-1 gene:DRNTG_18352 transcript:DRNTG_18352.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ureidoglycolate hydrolase [Source:Projected from Arabidopsis thaliana (AT5G43600) UniProtKB/Swiss-Prot;Acc:Q8VXY9] MALKFPKVLLFFFFFFFFFSTIAAGSYEDPTRRTMEEFSGYPFHSSHPIFSLSPVNLESLQKQFDELANFSDTPAPSVTRILFTENDVLARGYIKELMGKASLSVREDAVGNIFGRWTGSDPELGAVATGSHIDAIPYSGKYDGVVGVLGAIEAINVLKSRLLAGSEALVNGIKESVDGQNTSFFDAAKSAGYVVSEENLSTVILEKGSYFAFLELHIEQGPLLEEEGVSIGIVTAIAAPASIKVEFEGNGGHAGAVLMPERNDAGLAAAELALAVEKHVLETGSMDSVGTVGIIVLHPGAINSIPSKSHIEIDTRDIDEKRRDGIVEKIHQSSIDIAKRRGVKLSEFRIVNQDPPAKCDHSIINAMEVAVRRLNLSHKMMISRAYHDSLFMARVSPMGMIFIPCYKGYSHKPEEYASIEDIANGVNVLALTLAQLSLE >DRNTG_18352.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16971216:17009965:-1 gene:DRNTG_18352 transcript:DRNTG_18352.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ureidoglycolate hydrolase [Source:Projected from Arabidopsis thaliana (AT5G43600) UniProtKB/Swiss-Prot;Acc:Q8VXY9] MALKFPKVLLFFFFFFFFFSTIAAGSYEDPTRRTMEEFSGYPFHSSHPIFSLSPVNLESLQKQFDELANFSDTPAPSVTRILFTENDVLARGYIKELMGKASLSVREDAVGNIFGRWTGSDPELGAVATGSHIDAIPYSGKYDGVVGVLGAIEAINVLKRSGFQPKRSLEVILFTSEEPTRFGISCLGSRLLAGSEALVNGIKESVDGQNTSFFDAAKSAGYVVSEENLSTVILEKGSYFAFLELHIEQGPLLEEEGVSIGIVTAIAAPASIKVEFEGNGGHAGAVLMPERNDAGLAAAELALAVEKHVLETGSMDSVGTVGIIVLHPGAINSIPSKSHIEIDTRDIDEKRRDGIVEKIHQSSIDIAKRRGVKLSEFRIVNQDPPAKCDHSIINAMEVAVRRLNLSHKMMISRAYHDSLFMARVSPMGMIFIPCYKGYSHKPEEYASIEDIANGVNVLALTLAQLSLE >DRNTG_26703.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31284319:31287443:-1 gene:DRNTG_26703 transcript:DRNTG_26703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLLRRNLRFRIPIRISQTLTPIPFHLNASRFSSKSPAKPPEYEMPSVTWGVIQGRKERLVSRVIISDYLKSIGIVPDELEPLELPSTVDVMRERVEFLHRLGLTVDDLNAYPLVLACSVRKNIIPVLGYLEKLGIPRSKLGEFVRNYPQVLHSSVVVELVPVVKFLRGLDVERHDIPYVLQKYPELLGFKLEGTMSTSVAYLVSIGVSPRDIGPMVTQFPYFLGMRVGTKIKPLVDFLLSLGIPKKILAKVLEKRTYILGYDLQETVKPNVEALLSFGVRSERLPSVIVQYPQILGLPLKAKLSSQQYFFNLKLRIDPDGFARALERMPQIVSLNQSIIMKPIEFLWARGFSTEDVAKMFVKCPQLAAVRVELMKNSFYFCKSEMKRPMEELVEFPEYFTYSLESRIKPRYRVLASKGIKCSLGWFLNCSDQRFEERMKAEYIDADTPGPSFAMGGKLEMPGNELVSEDEDEDSDGEVLYRRTVSL >DRNTG_25648.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21765749:21769147:1 gene:DRNTG_25648 transcript:DRNTG_25648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLTLVKRIQKINSTEASLGISDEASWHAKYKDSAYVFAGGIPYDLTEGDLLAVFAQYGEIVDVNLVRDKSSGKSKGFAFIAYEDQRSTILAII >DRNTG_31336.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4130833:4138957:-1 gene:DRNTG_31336 transcript:DRNTG_31336.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAERDALVKRFRDLEVSQARIREQLSVLLRERGGGGGEARRSVMARGRDEERSLIRGFFAGEPYGRVLQQMGHALHVYRPDTGEIIYWNRPAENIFGWKEYEAIGSCVTDLVIDEQSHAFFEKLIDRINKGHSWSGQFPLKKKSGEIFMALVTMSPLYEDGNFVGVITVSSDTVMFNDMTSDRARAFKEQENVQLREGNENFVGGQWPSQTQTMSSVPNRASKMVSPSPGITDNEGGNFWERHDVTTEKRNPQPKENEIPASKTIFNFQAGRRVLEEKATKLEETLSKLNQRSNVAAKVFSKFQIRGSPSRGEAERNTQTNSAKFLARNLIKKIIDTSEPNLTENSSSNGDSGTMSKEGICNLDQPCVENQKETQADSFISSSPGSSDRKIQSRVHSSSNRTVKSSGRSYFSESGNAFSDGENNSGNCHMANPVGNESLDSCWLDYNKQSKIDIPRLSLPLLHEQNGNMDGVHHEQRNSNEISSERSTLLTKNTVYKEVEDLHSPESTSSEDTMISSQAVSSTVGEKGADAFSDCEICWDDLLLGEEIGEGSYAVVYRGIWNGSVIILAGASLPVYC >DRNTG_31336.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4130391:4138957:-1 gene:DRNTG_31336 transcript:DRNTG_31336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAERDALVKRFRDLEVSQARIREQLSVLLRERGGGGGEARRSVMARGRDEERSLIRGFFAGEPYGRVLQQMGHALHVYRPDTGEIIYWNRPAENIFGWKEYEAIGSCVTDLVIDEQSHAFFEKLIDRINKGHSWSGQFPLKKKSGEIFMALVTMSPLYEDGNFVGVITVSSDTVMFNDMTSDRARAFKEQENVQLREGNENFVGGQWPSQTQTMSSVPNRASKMVSPSPGITDNEGGNFWERHDVTTEKRNPQPKENEIPASKTIFNFQAGRRVLEEKATKLEETLSKLNQRSNVAAKVFSKFQIRGSPSRGEAERNTQTNSAKFLARNLIKKIIDTSEPNLTENSSSNGDSGTMSKEGICNLDQPCVENQKETQADSFISSSPGSSDRKIQSRVHSSSNRTVKSSGRSYFSESGNAFSDGENNSGNCHMANPVGNESLDSCWLDYNKQSKIDIPRLSLPLLHEQNGNMDGVHHEQRNSNEISSERSTLLTKNTVYKEVEDLHSPESTSSEDTMISSQAVSSTVGEKGADAFSDCEICWDDLLLGEEIGEGCRYKSISWEGLSRGTFIGIQKRDCHNEKIEAPKCSVVHGSCVLTR >DRNTG_31336.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4130833:4138957:-1 gene:DRNTG_31336 transcript:DRNTG_31336.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAERDALVKRFRDLEVSQARIREQLSVLLRERGGGGGEARRSVMARGRDEERSLIRGFFAGEPYGRVLQQMGHALHVYRPDTGEIIYWNRPAENIFGWKEYEAIGSCVTDLVIDEQSHAFFEKLIDRINKGHSWSGQFPLKKKSGEIFMALVTMSPLYEDGNFVGVITVSSDTVMFNDMTSDRARAFKEQENVQLREGNENFVGGQWPSQTQTMSSVPNRASKMVSPSPGITDNEGGNFWERHDVTTEKRNPQPKENEIPASKTIFNFQAGRRVLEEKATKLEETLSKLNQRSNVAAKVFSKFQIRGSPSRGEAERNTQTNSAKFLARNLIKKIIDTSEPNLTENSSSNGDSGTMSKEGICNLDQPCVENQKETQADSFISSSPGSSDRKIQSRVHSSSNRTVKSSGRSYFSESGNAFSDGENNSGNCHMANPVGNESLDSCWLDYNKQSKIDIPRLSLPLLHEQNGNMDGVHHEQRNSNEISSERSTLLTKNTVYKEVEDLHSPESTSSEDTMISSQAVSSTVGEKGADAFSDCEICWDDLLLGEEIGEGIMV >DRNTG_31336.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4130833:4138957:-1 gene:DRNTG_31336 transcript:DRNTG_31336.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAERDALVKRFRDLEVSQARIREQLSVLLRERGGGGGEARRSVMARGRDEERSLIRGFFAGEPYGRVLQQMGHALHVYRPDTGEIIYWNRPAENIFGWKEYEAIGSCVTDLVIDEQSHAFFEKLIDRINKGHSWSGQFPLKKKSGEIFMALVTMSPLYEDGNFVGVITVSSDTVMFNDMTSDRARAFKEQENVQLREGNENFVGGQWPSQTQTMSSVPNRASKMVSPSPGITDNEGGNFWERHDVTTEKRNPQPKENEIPASKTIFNFQAGRRVLEEKATKLEETLSKLNQRSNVAAKVFSKFQIRGSPSRGEAERNTQTNSAKFLARNLIKKIIDTSEPNLTENSSSNGDSGTMSKEGICNLDQPCVENQKETQADSFISSSPGSSDRKIQSRVHSSSNRTVKSSGRSYFSESGNAFSDGENNSGNCHMANPVGNESLDSCWLDYNKQSKIDIPRLSLPLLHEQNGNMDGVHHEQRNSNEISSERSTLLTKNTVYKEVEDLHSPESTSSEDTMISSQAVSSTVGEKGADAFSDCEICWDDLLLGEEIGEGIMV >DRNTG_31336.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4130833:4138957:-1 gene:DRNTG_31336 transcript:DRNTG_31336.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAERDALVKRFRDLEVSQARIREQLSVLLRERGGGGGEARRSVMARGRDEERSLIRGFFAGEPYGRVLQQMGHALHVYRPDTGEIIYWNRPAENIFGWKEYEAIGSCVTDLVIDEQSHAFFEKLIDRINKGHSWSGQFPLKKKSGEIFMALVTMSPLYEDGNFVGVITVSSDTVMFNDMTSDRARAFKEQENVQLREGNENFVGGQWPSQTQTMSSVPNRASKMVSPSPGITDNEGGNFWERHDVTTEKRNPQPKENEIPASKTIFNFQAGRRVLEEKATKLEETLSKLNQRSNVAAKVFSKFQIRGSPSRGEAERNTQTNSAKFLARNLIKKIIDTSEPNLTENSSSNGDSGTMSKEGICNLDQPCVENQKETQADSFISSSPGSSDRKIQSRVHSSSNRTVKSSGRSYFSESGNAFSDGENNSGNCHMANPVGNESLDSCWLDYNKQSKIDIPRLSLPLLHEQNGNMDGVHHEQRNSNEISSERSTLLTKNTVYKEVEDLHSPESTSSEDTMISSQAVSSTVGEKGADAFSDCEICWDDLLLGEEIGEGSYAVVYRGIWNGSDVAIKVYLGKDYREELLLEYKKEIAIMKRLRHPNVLLFMGAVYSPDKLAIVTELLARGSLFRALHKNTQTLDFRRRLRMALDVARGMNYLHCRKPPIIHRDLKSSNLLVDKNWTVKVGDFGLSCLKESTVLTAKSGRGTPQWMAPEVLRGEFSNEKSDVYSFGVILWELMTESIPWAHLIPLQVVGVVGFMDQRLELPEGIDPNVSAIICDCWQSDPARRPSFHELVGRLGELLGLLRPPLPPGGGRSSRQ >DRNTG_31336.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4137196:4138957:-1 gene:DRNTG_31336 transcript:DRNTG_31336.12 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAERDALVKRFRDLEVSQARIREQLSVLLRERGGGGGEARRSVMARGRDEERSLIRGFFAGEPYGRVLQQMGHALHVYRPDTGEIIYWNRPAENIFGWKEYEAIGSCVTDLVIDEQSHAFFEKLIDRINKGHSWSGQFPLKKKSGEIFMALVTMSPLYEDGNFVGVITVSSDTVMFNDMTSDRARAFKEQENVQLREGNENFVGGQWPSQTQTMSSVPNRASKMVSPSPGITDNEGGNFWERHDVTTEKRNPQPKENEIP >DRNTG_31336.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4130833:4138957:-1 gene:DRNTG_31336 transcript:DRNTG_31336.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAERDALVKRFRDLEVSQARIREQLSVLLRERGGGGGEARRSVMARGRDEERSLIRGFFAGEPYGRVLQQMGHALHVYRPDTGEIIYWNRPAENIFGWKEYEAIGSCVTDLVIDEQSHAFFEKLIDRINKGHSWSGQFPLKKKSGEIFMALVTMSPLYEDGNFVGVITVSSDTVMFNDMTSDRARAFKEQENVQLREGNENFVGGQWPSQTQTMSSVPNRASKMVSPSPGITDNEGGNFWERHDVTTEKRNPQPKENEIPASKTIFNFQAGRRVLEEKATKLEETLSKLNQRSNVAAKVFSKFQIRGSPSRGEAERNTQTNSAKFLARNLIKKIIDTSEPNLTENSSSNGDSGTMSKEGICNLDQPCVENQKETQADSFISSSPGSSDRKIQSRVHSSSNRTVKSSGRSYFSESGNAFSDGENNSGNCHMANPVGNESLDSCWLDYNKQSKIDIPRLSLPLLHEQNGNMDGVHHEQRNSNEISSERSTLLTKNTVYKEVEDLHSPESTSSEDTMISSQAVSSTVGEKGADAFSDCEICWDDLLLGEEIGEGIMV >DRNTG_31336.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4130833:4138957:-1 gene:DRNTG_31336 transcript:DRNTG_31336.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAERDALVKRFRDLEVSQARIREQLSVLLRERGGGGGEARRSVMARGRDEERSLIRGFFAGEPYGRVLQQMGHALHVYRPDTGEIIYWNRPAENIFGWKEYEAIGSCVTDLVIDEQSHAFFEKLIDRINKGHSWSGQFPLKKKSGEIFMALVTMSPLYEDGNFVGVITVSSDTVMFNDMTSDRARAFKEQENVQLREGNENFVGGQWPSQTQTMSSVPNRASKMVSPSPGITDNEGGNFWERHDVTTEKRNPQPKENEIPASKTIFNFQAGRRVLEEKATKLEETLSKLNQRSNVAAKVFSKFQIRGSPSRGEAERNTQTNSAKFLARNLIKKIIDTSEPNLTENSSSNGDSGTMSKEGICNLDQPCVENQKETQADSFISSSPGSSDRKIQSRVHSSSNRTVKSSGRSYFSESGNAFSDGENNSGNCHMANPVGNESLDSCWLDYNKQSKIDIPRLSLPLLHEQNGNMDGVHHEQRNSNEISSERSTLLTKNTVYKEVEDLHSPESTSSEDTMISSQAVSSTVGEKGADAFSDCEICWDDLLLGEEIGEGSYAVVYRGIWNGSVIILAGASLPVYC >DRNTG_31336.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4130833:4132354:-1 gene:DRNTG_31336 transcript:DRNTG_31336.13 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEVLRGEFSNEKSDVYSFGVILWELMTESIPWAHLIPLQVVGVVGFMDQRLELPEGIDPNVSAIICDCWQSDPARRPSFHELVGRLGELLGLLRPPLPPGGGRSSRQ >DRNTG_31336.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4130833:4138957:-1 gene:DRNTG_31336 transcript:DRNTG_31336.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAERDALVKRFRDLEVSQARIREQLSVLLRERGGGGGEARRSVMARGRDEERSLIRGFFAGEPYGRVLQQMGHALHVYRPDTGEIIYWNRPAENIFGWKEYEAIGSCVTDLVIDEQSHAFFEKLIDRINKGHSWSGQFPLKKKSGEIFMALVTMSPLYEDGNFVGVITVSSDTVMFNDMTSDRARAFKEQENVQLREGNENFVGGQWPSQTQTMSSVPNRASKMVSPSPGITDNEGGNFWERHDVTTEKRNPQPKENEIPASKTIFNFQAGRRVLEEKATKLEETLSKLNQRSNVAAKVFSKFQIRGSPSRGEAERNTQTNSAKFLARNLIKKIIDTSEPNLTENSSSNGDSGTMSKEGICNLDQPCVENQKETQADSFISSSPGSSDRKIQSRVHSSSNRTVKSSGRSYFSESGNAFSDGENNSGNCHMANPVGNESLDSCWLDYNKQSKIDIPRLSLPLLHEQNGNMDGVHHEQRNSNEISSERSTLLTKNTVYKEVEDLHSPESTSSEDTMISSQAVSSTVGEKGADAFSDCEICWDDLLLGEEIGEGIMV >DRNTG_31336.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4135025:4138957:-1 gene:DRNTG_31336 transcript:DRNTG_31336.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAERDALVKRFRDLEVSQARIREQLSVLLRERGGGGGEARRSVMARGRDEERSLIRGFFAGEPYGRVLQQMGHALHVYRPDTGEIIYWNRPAENIFGWKEYEAIGSCVTDLVIDEQSHAFFEKLIDRINKGHSWSGQFPLKKKSGEIFMALVTMSPLYEDGNFVGVITVSSDTVMFNDMTSDRARAFKEQENVQLREGNENFVGGQWPSQTQTMSSVPNRASKMVSPSPGITDNEGGNFWERHDVTTEKRNPQPKENEIPASKTIFNFQAGRRVLEEKATKLEETLSKLNQRSNVAAKVFSKFQIRGSPSRGEAERNTQTNSAKFLARNLIKKIIDTSEPNLTENSSSNGDSGTMSKEGICNLPAESSLQLVLANNQRIG >DRNTG_31336.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4130833:4138957:-1 gene:DRNTG_31336 transcript:DRNTG_31336.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAERDALVKRFRDLEVSQARIREQLSVLLRERGGGGGEARRSVMARGRDEERSLIRGFFAGEPYGRVLQQMGHALHVYRPDTGEIIYWNRPAENIFGWKEYEAIGSCVTDLVIDEQSHAFFEKLIDRINKGHSWSGQFPLKKKSGEIFMALVTMSPLYEDGNFVGVITVSSDTVMFNDMTSDRARAFKEQENVQLREGNENFVGGQWPSQTQTMSSVPNRASKMVSPSPGITDNEGGNFWERHDVTTEKRNPQPKENEIPASKTIFNFQAGRRVLEEKATKLEETLSKLNQRSNVAAKVFSKFQIRGSPSRGEAERNTQTNSAKFLARNLIKKIIDTSEPNLTENSSSNGDSGTMSKEGICNLDQPCVENQKETQADSFISSSPGSSDRKIQSRVHSSSNRTVKSSGRSYFSESGNAFSDGENNSGNCHMANPVGNESLDSCWLDYNKQSKIDIPRLSLPLLHEQNGNMDGVHHEQRNSNEISSERSTLLTKNTVYKEVEDLHSPESTSSEDTMISSQAVSSTVGEKGADAFSDCEICWDDLLLGEEIGEGSYAVVYRGIWNGSDVAIKVYLGKDYREELLLEYKKEIAIMKRLRHPNVLLFMGAVYSPDKLAIVTELLAR >DRNTG_31336.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4136088:4138957:-1 gene:DRNTG_31336 transcript:DRNTG_31336.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAERDALVKRFRDLEVSQARIREQLSVLLRERGGGGGEARRSVMARGRDEERSLIRGFFAGEPYGRVLQQMGHALHVYRPDTGEIIYWNRPAENIFGWKEYEAIGSCVTDLVIDEQSHAFFEKLIDRINKGHSWSGQFPLKKKSGEIFMALVTMSPLYEDGNFVGVITVSSDTVMFNDMTSDRARAFKEQENVQLREGNENFVGGQWPSQTQTMSSVPNRASKMVSPSPGITDNEGGNFWERHDVTTEKRNPQPKENEIPASKTIFNFQAGRRVLEEKATKLEETLSKLNQRSNVAAKVFSKFQIRGSPSRGEAERNTQTNSAKFLARNLIKKIIDTSEPNLTENSSSNGDSGTMSKEGICNLVCLIRNNQ >DRNTG_11108.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30595263:30597360:-1 gene:DRNTG_11108 transcript:DRNTG_11108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQDGLITDDEKVYANGVVSGVVTKREGQAPPREGEQVVAIAIELETVMVPIRSKLALKLLVLFLTIISFNVVVLGVASKHPSPKHPSPPPAPVPAIPAPAIPVPPALPPTIITPSPPPPPPFSVVSTIIKPSPPPPPPFSVPPIGKLLISVEGVVYCQFCKFPGYDKVLNASPLRGALAKLVCYNKKEKHGVVVTTKTDRKGYFLIQSYKLSGFQARSCKVVVTSPLKVCSKLVHPGLPLRFERVVNMGKTQVALFTAGFFEFAPSYGTECKL >DRNTG_12514.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6716554:6718616:1 gene:DRNTG_12514 transcript:DRNTG_12514.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKLQAIRQKNSAIINENVADSTSEFMDHGMTELVFDFRREYATKLEQSQERARRLQKDLAIEEQHGQHLSEILKKIVPDTKSSQTVKSRARRKASKLLWISLFLLKSHFLLY >DRNTG_12514.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6715135:6718616:1 gene:DRNTG_12514 transcript:DRNTG_12514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGMTELVFDFRREYATKLEQSQERARRLQKDLAIEEQHGQHLSEILKKIVPDTKSSQTVKSRARRKEPPPISAFSATPMDNGRSSSSENLSSSTSKFSNNHLDHHEESDDQTQCSLNFAKSECALSGICSGRKFSDPMLSNDYQIGQKDSDTPRSGTSQFSFRHK >DRNTG_12514.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6718021:6718616:1 gene:DRNTG_12514 transcript:DRNTG_12514.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNDYQIGQKDSDTPRSGTSQFSFRHK >DRNTG_12514.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6715135:6718616:1 gene:DRNTG_12514 transcript:DRNTG_12514.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKLQAIRQKNSAIINENVADSTSEFMDHGMTELVFDFRREYATKLEQSQERARRLQKDLAIEEQHGQHLSEILKKIVPDTKSSQTVKSRARRKEPPPISAFSATPMDNGRSSSSENLSSSTSKFSNNHLDHHEESDDQTQCSLNFAKSECALSGICSGRKFSDPMLSNDYQIGQKDSDTPRSGTSQFSFRHK >DRNTG_24452.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23689701:23691939:1 gene:DRNTG_24452 transcript:DRNTG_24452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLIFFIPYFSLISLSYCLTIPTRSESEIRELYEGWLMKHRKTYNGMLEKEERYEIFKDNLKYIDEHNGRNHSFHLGPNIFADITNEEYQKTYLGLVTPTWNNSRKESERYKFNLSCPDSIDWRQKGAVVPVKQQGQCFSCWAFGVNAAVEGINKIVTGKLISLSEQELVDCYNRGCDRGYMHLAYEFIIKNRGIDSEEDYPYIAKYTQCDKSKMKKRVVSIDDYESVPANDEKCLKKAVANQPISTGVEAYGRAFQLYESGIFTGFCGTKIDHAVAIVGYGVEEGSEYWLIKNSFGSFWGEEGYMRMKRNVESLYGKCGIAMWPYYPVKKRDCLLDESDLVEEKTKPQTLANWVSSDCDEGKGSI >DRNTG_27282.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22507034:22511121:1 gene:DRNTG_27282 transcript:DRNTG_27282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTLKLCWTFPSSTISFAFPFSSQSRRSRSILPANLRVKMSSSFPSDRPSSSSSCIPPLPENTVVLGCGVVNLDYLATVASFPKPNDKIRSTSLKVEGGGNVGNALTAAARLGLTPRVISKVANDDQGKRVLAELEADGVDTSHMVVSEEGNSSFTYLIVDSQMKTRTCIHTPGYPPLEPNELSQSSLCSALDGARLVYFDVRLHETAIVVAEEASRRKIPILIDSERKREGLDELLNLASYLVCSENFPQAWTAVSSTPSALVSMLVRLPNLKFVIVTLGEKGCIMLERSKDEAPEAKEKEVESLAESLRQKIDGSSTMPTCISSPPNLRISADGVGAISGRLLLGTAEKIPPEEIIDTTGAGDAFIGAVLYALCADMPPEKMLPFASKVAAAGCRALGARSGLPRRSDPSLAPFWS >DRNTG_27282.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22507034:22511121:1 gene:DRNTG_27282 transcript:DRNTG_27282.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTLKLCWTFPSSTISFAFPFSSQSRRSRSILPANLRVKMSSSFPSDRPSSSSSCIPPLPENTVVLGCGVVNLDYLATVASFPKPNDKIRSTSLKVEGGGNVGNALTAAARLGLTPRVISKVANDDQGKRVLAELEADGVDTSHMVVSEEGNSSFTYLIVDSQMKTRTCIHTPGYPPLEPNELSQSSLCSALDGARLVYFDVRLHETAIVVAEERRQAGGKYPF >DRNTG_27282.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22507034:22511121:1 gene:DRNTG_27282 transcript:DRNTG_27282.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTLKLCWTFPSSTISFAFPFSSQSRRSRSILPANLRVKMSSSFPSDRPSSSSSCIPPLPENTVVLGCGVVNLDYLATVASFPKPNDKIRSTSLKVEGGGNVGNALTAAARLGLTPRVISKVANDDQGKRVLAELEADGVDTSHMVVSEEGNSSFTYLIVDSQMKTRTCIHTPGYPPLEPNELSQSSLCSALDGARLVYFDVRLHETAIVVAEERRQAGGKYPF >DRNTG_19025.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22561671:22561846:1 gene:DRNTG_19025 transcript:DRNTG_19025.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFEKRRARKFFIYIQDYEENDPKSHEGLDLNKITARELIS >DRNTG_19025.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22559869:22565144:1 gene:DRNTG_19025 transcript:DRNTG_19025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKFMMANGALVRILIRTNVTQYLNFKAVDGSFVYNKKKIYKVPANDVEALKSTLMGLFEKRRARKFFIYIQDYEENDPKSHEGLDLNKITARELISKYGLEDDTVDFLGHALALHKDDSYLDEPAMNTVKRMKLYAESLARFQSGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPECKVEFDNNGKAYGVTSEGETAKCKKLVCDPSYLPDKVQKVGRVARAICIMSHPIPDTNDSHSVQLILPQKQLGRKSDMYLFCCSYSHNVAPKGKYIAFVSAEAETDNPETELKPGIDLLGPVDETFFDIYDRYEPINKPEEDNCFISTSYDSTTHFESTVQDVLTMYRKITGKELNLSVDLSAASAASEE >DRNTG_19025.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22559869:22561846:1 gene:DRNTG_19025 transcript:DRNTG_19025.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATISLPPDTTGDEHSSHDLYYFAICITAVAIIVISCNIIAFACCHPCQLLHNFRRLCHGSWLTSNEQTLNSLPVCQYNSNQNDNQKSEEVSNQAECPVCLSTFTDGDQLRRLPHCQHSFHFSCIDMWLNSHSSCPVCRAHALPILPQLPTVDVTVT >DRNTG_19710.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001045.1:35405:36950:1 gene:DRNTG_19710 transcript:DRNTG_19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRITCMKSSKHSLSVLFPYPIMPERESKTTTTAKDEWAILMDDSENYVKILRSNTKPLIQRVPKVLYKQETNNRKLFIPEVIALGPYHHNNQDLKDMKHHKYLAAKQLIGTKPIHVFKAGINDVISKVRKCYKEELQMDDDKLVTMMFFDGCFLLRFIDLFVKRKLDELQMRAHLYGFIHKDILLLENQVPYVVLKVLMNMTTKVDIDLFMGIMIGTSSNNTREAEAEPVHLLDLFRTTLLGKAAVTAPILPNADRQLFRSVTELKEVGIEFKKSEKSCLRDVTFNEGYIHSYLSLPLITIDDSFQSRFLNMIAMEICPDSKLEHAIQSYIWFMDCLIDRADDVKELRSANILVNYLGSDEQVANLFNEISTDLDPDLRTYGEVLSGLQRHRNNNVRVSFVRFYNTHFSSPWTAISFFAAAFLLLLTVVQTVFAVFPPKS >DRNTG_33100.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1126314:1127597:1 gene:DRNTG_33100 transcript:DRNTG_33100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLSQVPVGIDQSGGVNINKGNIYISKTSPPIISSLYLEQFKRDFSSFLKLRSQELVNGGQMVLSFLGRKSSDPSKAEVCHLWGLVADALNSMVQEGVLEEEKVNTFNMPFYAASKEEVQQVIQSEGSFYIEQMQTLESNWDPFDDSDDDQAFDNVKSGHNVAKCIRAVLEPLLVGHFGQDAIVAQVFERYAHNVAMHLLKEKTKHIVFILALKTKDLNF >DRNTG_18064.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:166445:170731:1 gene:DRNTG_18064 transcript:DRNTG_18064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVSVTDQTADEHIEEKTVSDDIKSVDVESVVTQPSEAEHKNEGEKTKVEDSANSEALVTEEKKVDDKVDAVVEELKTGEVENKIAEADDKAEAVIEEVKKEETEPEEKLDYKFDAGDGSDKVENPPQGTTDISNIASVVTTETEEKGLETKPDELSIPEPPVEVPEKPVEAETEPPKEPTVVPVVSVPVSVTTEETQEESPVITGTEVSVPVTEVKPEEPQLIAATDDVSVPATEDDKEESPVIAGTEISVPVTEEKQEDPSVVAATDVSVPETEDKQEEPPVALATKVSEPVTEEKQEEPPVIAATEVSVPVVEEKQEEPSVVAAPEVLVPETEDKQEDPLVVTAAEVSVPETEDKQEEPPVITTAEASELVTEEKQEEPLLVAAPEVSVPETEDKPEVPPVISDPEFSVSEAEVKSDETSVIAVPEVSLPKTEVKLEEFPVVAATKVPMSVTEEKKETLTEKTSEAVESVAERITPAETSRDFVVETDSGLKVGESENKKEKTNKADDQNAEAPAKDDSDTKATVGAPKDEPPTKPHRQSNNIISKVKQSIVKVKKAIIRKSSSSKAISMENKDEITVK >DRNTG_17706.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3983103:3985991:-1 gene:DRNTG_17706 transcript:DRNTG_17706.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYLVFKINRVLSCQCDNSQMAKCARADVGALAGNGGFRFWPLVSAAVLRRKLLETVMCGASRQKRRHSPAPSPALAPVSSESRRKHGSERLAELLMAEASESGSEETEAEFKRKVEVLEELKGVVRRLQEEDHDRKRSAAMDVRRLAKDDPEARETLAMLGSIPPLVGMVDSDDPEVQITTLYALANLGIGNDMNKAAIVKAGAIHKMLTLISSPSISPSISEAIVANFLSLSALDSNKPIIGSSGAIPFLVNAFKNPHPNPSSTFKQDALRALFNLSIAPMNVFNLIDAGLAESLLAAIGDMEFSDRTLAILSNLVTVSDGRRAVSRTRDAFPILVDVLNWSDSPSCQEKAAYILMVMAHKGYNDRTAMIQSGVISSLLELTLLGSQLAQKRASRLLEILTVDKGKQVSEGFGSISAPIKSTPSDPKSTAEIEAGMSEERRAVRQLVQQSLQSNMWRIVRRANLPGDFAPSDHFKALTSSSTSKSLPF >DRNTG_23673.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10359257:10359953:1 gene:DRNTG_23673 transcript:DRNTG_23673.4 gene_biotype:protein_coding transcript_biotype:protein_coding LIKIKPTSLGLIKPNSIKSIKQKQLHSNHHQHHHLNHYHHQLNHPNNP >DRNTG_23673.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10349033:10358948:1 gene:DRNTG_23673 transcript:DRNTG_23673.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRPFSERSRSGVSLVQVGGTLATPLPNPTTPQYPHHRRSLRHHLLLHRGHRRRGQ >DRNTG_23673.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10352905:10359953:1 gene:DRNTG_23673 transcript:DRNTG_23673.2 gene_biotype:protein_coding transcript_biotype:protein_coding NFLPSLNNTKESFIPSSPPSSTKFLLFSKPPNFFFF >DRNTG_23673.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10349033:10349929:1 gene:DRNTG_23673 transcript:DRNTG_23673.3 gene_biotype:protein_coding transcript_biotype:protein_coding LRPFSERSRSGVSLVQVGGTLATPLPNPTTPQYPHHRRSLRHHLLLHRGHRRRGQ >DRNTG_12943.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14931233:14938080:-1 gene:DRNTG_12943 transcript:DRNTG_12943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDFQVVVLAGGASKNLAPLVSEDIPKALLPVANRPVLSYVLELLESSNLKDLIVVVEGSDAALRVGGWISGAYVDRLHVEVAAVPEDIGTAGALRAVAHHLTASDILVVSGDLVTDVPLGVVAATHRRHGAVVTALLCDTPISGISETGSKDKAKKLGRYNIVGLDPTRQFLLLIASGAEVEKDTRVQKSILGAVGQMEIRADLMDAHMYALKRRVLQEVLDQKDSFHSIRQDVFPYLVRSQLRSEVAKFEEGWNNTITSKTNLIWLSQHREIAPSAFHNGHELDANGSLSAQSTHKCCAYIAGKNKYCARLNSIQAFIDINRDVVGEASHLSGYSFSAQNNVVHPSAELGSKTTVGPQCMLAEGSKLGDKCSVKRSVIGRHCRIGSNVKIVNTVVMNHVTIEDGCLVQGSIICSNVHLQERCVLKDCQVGAGYVVTSGGEHKSESLAKR >DRNTG_05600.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1154750:1160332:1 gene:DRNTG_05600 transcript:DRNTG_05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIERDIAESYDGLSAIEERALELEAASQLKVQGDGGFGAVEQCNQAIRKLTPMLDLASASNLCQTLDQTILAIDQTDPSLL >DRNTG_29132.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1321025:1325233:1 gene:DRNTG_29132 transcript:DRNTG_29132.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLLRISGFISAFMAIIVLAPSLQSFPPAEAIRSSHFDPYLRFPGRISSPDRFSFRQASPFRNAAECATVAENSTICDPSLVHIAITLDEEYLRGSIAAVHSVLQHALCPQSIFFHFLVSDPGLQTLVLSAFPDLRFKIYYFDPDRVRRLISTSVRSALEQPLNYARNYLADILEPCVRRVIYLDSDLVLVDDIAKLWRTRLGSRTIGAPEYCHANFTKYFTSRFWSNPRLAGTFADRRPCYFNTGVMVMDLHQWRKAAFTRRIEKWMEIQKGGGLSGRIYELGSLPPFLLVFAGHVAPIEHRWNQHGLGGDNVKGSCRDLHPGPVSLLHWSGSGKPWARLDSNRPCPLDAIWAHYDLYGPTSTATATAAAAAAADSSSSSR >DRNTG_29132.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1321025:1325233:1 gene:DRNTG_29132 transcript:DRNTG_29132.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLLRISGFISAFMAIIVLAPSLQSFPPAEAIRSSHFDPYLRFPGRISSPDRFSFRQASPFRNAAECATVAENSTICDPSLVHIAITLDEEYLRGSIAAVHSVLQHALCPQSIFFHFLVSDPGLQTLVLSAFPDLRFKIYYFDPDRVRRLISTSVRSALEQPLNYARNYLADILEPCVRRVIYLDSDLVLVDDIAKLWRTRLGSRTIGAPEYCHANFTKYFTSRFWSNPRLAGTFADRRPCYFNTGVMVMDLHQWRKAAFTRRIEKWMEIQKGGGLSGRIYELGSLPPFLLVFAGHVAPIEHRWNQHGLGGDNVKGSCRDLHPGPVSLLHWSGSGKPWARLDSNRPCPLDAIWAHYDLYGPTSTATATAAAAAAADSSSSSR >DRNTG_29132.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1321025:1325233:1 gene:DRNTG_29132 transcript:DRNTG_29132.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLLRISGFISAFMAIIVLAPSLQSFPPAEAIRSSHFDPYLRFPGRISSPDRFSFRQASPFRNAAECATVAENSTICDPSLVHIAITLDEEYLRGSIAAVHSVLQHALCPQSIFFHFLVSDPGLQTLVLSAFPDLRFKIYYFDPDRVRRLISTSVRSALEQPLNYARNYLADILEPCVRRVIYLDSDLVLVDDIAKLWRTRLGSRTIGAPEYCHANFTKYFTSRFWSNPRLAGTFADRRPCYFNTGVMVMDLHQWRKAAFTRRIEKWMEIQKGGGLSGRIYELGSLPPFLLVFAGHVAPIEHRWNQHGLGGDNVKGSCRDLHPGPVSLLHWSGSGKPWARLDSNRPCPLDAIWAHYDLYGPTSTATATAAAAAAADSSSSSRLIKLKQK >DRNTG_04892.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11553879:11554775:1 gene:DRNTG_04892 transcript:DRNTG_04892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTLLLSSTSSSSSTDSTTTTATASRDSTSSSSSDLLLPDSARPSSATADEDPHPLPPPPPKRLLTVSHYFSSLASRFLHRSSSKRSTPKEVFKKYVKKVKPLFSKKSDDLAARKTFSFSFSHSQSFSGNLRCPRRRTCAGSCPSSMRSSPSHSGLLYAGGTFPAPTNSSSSSSMEELQSAIQGAIAHCKSSLVIGNQKKGFCSLMVSSDKNS >DRNTG_09110.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:43905:44643:-1 gene:DRNTG_09110 transcript:DRNTG_09110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTKKDRVNCLFYCKIGACRHRDRCSRLHTRPSISPTLFLTNMYQRPDMITPGFDAEGRPIDPRKIQEHFEVLLYLPS >DRNTG_09110.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:43541:44643:-1 gene:DRNTG_09110 transcript:DRNTG_09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTKKDRVNCLFYCKIGACRHRDRCSRLHTRPSISPTLFLTNMYQRPDMITPGFDAEGRPIDPRKIQEHFELQPAWIEENYMKAKEIRE >DRNTG_07446.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19710441:19712489:1 gene:DRNTG_07446 transcript:DRNTG_07446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIIVDGEVNEEYLKTLEALSKRLKFDEVDSIFKTSKALKDVQSQLERLRQKAVSK >DRNTG_19262.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:368896:374933:-1 gene:DRNTG_19262 transcript:DRNTG_19262.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKGRKQKERSWVEVCCFDCACLQLWPKIVLRKWLNISTKDSDFSADEGDTTESEFEYEELRDGEGRIGGFGAQSNDISTENMPFKGRRRKSETFRSQYINTKELRVCVGTWNVAGKLPSDDLDISGWLDIEEPADIYVLGFQEIVPLNAGNIFGAEDHRPASRWEFLIRKTLNSIKPAKPKYKCFSDPPSPSRFNPSADVLAIEDEILPESDSDGDEEVHPLEEHSSVFHMGNKDGFTETGDGSHCNPASYDTLRTHADVPQEFGFGMHTSKRLQDPYCALMDAVGNSKPSIAQQTRLIRTLSYSERPGLIWPEQPLNLLNQTDFEGSKALKSLRSFKTYSSFKSSQGDNKVSPITSLITELNLDAMLCKKKRSSFIRIISKQMVGVFLSIWVRRSLRKHIQNLKVSTVGVGAMGYIGNKGSISVSMSIDQTFFCFICSHLTSGEKDGDELRRNVDVQEIHRRTLFTSASSKELPKVIYDHERIIWLGDLNYRINLPYERTHDLISKKECFKLAEWDQLKKELKKGRVFDGWTEGDLSFPPTYKYEVNSEKYIRDDLKGARRTPAWCDRILSYGKGLRLLSYRTSKLKLSDHRPVTAIYMAEVEVLCPRKLQRALTFTNAELEDEGEMVQDFDVGAGMDHN >DRNTG_19262.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:368896:374933:-1 gene:DRNTG_19262 transcript:DRNTG_19262.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKDGFTETGDGSHCNPASYDTLRTHADVPQEFGFGMHTSKRLQDPYCALMDAVGNSKPSIAQQTRLIRTLSYSERPGLIWPEQPLNLLNQTDFEGSKALKSLRSFKTYSSFKSSQGDNKVSPITSLITELNLDAMLCKKKRSSFIRIISKQMVGVFLSIWVRRSLRKHIQNLKVSTVGVGAMGYIGNKVRFFQNI >DRNTG_19262.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:368896:374933:-1 gene:DRNTG_19262 transcript:DRNTG_19262.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKDGFTETGDGSHCNPASYDTLRTHADVPQEFGFGMHTSKRLQDPYCALMDAVGNSKPSIAQQTRLIRTLSYSERPGLIWPEQPLNLLNQTDFEGSKALKSLRSFKTYSSFKSSQGDNKVSPITSLITELNLDAMLCKKKRSSFIRIISKQMVGVFLSIWVRRSLRKHIQNLKVSTVGVGAMGYIGNKGSISVSMSIDQTFFCFICSHLTSGEKDGDELRRNVDVQEIHRRTLFTSASSKELPKVIYDHERIIWLGDLNYRINLPYERTHDLISKKECFKLAEWDQLKKELKKGRVFDGWTEGDLSFPPTYKYEVNSEKYIRDDLKGARRTPAWCDRILSYGKGLRLLSYRTSKLKLSDHRPVTAIYMAEVEVLCPRKLQRALTFTNAELEDEGEMVQDFDVGAGMDHN >DRNTG_19262.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:368896:374933:-1 gene:DRNTG_19262 transcript:DRNTG_19262.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKDGFTETGDGSHCNPASYDTLRTHADVPQEFGFGMHTSKRLQDPYCALMDAVGNSKPSIAQQTRLIRTLSYSERPGLIWPEQPLNLLNQTDFEGSKALKSLRSFKTYSSFKSSQGDNKVSPITSLITELNLDAMLCKKKRSSFIRIISKQMVGVFLSIWVRRSLRKHIQNLKVSTVGVGAMGYIGNKSSNVRRKGWG >DRNTG_19262.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:368896:374933:-1 gene:DRNTG_19262 transcript:DRNTG_19262.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKGRKQKELWPKIVLRKWLNISTKDSDFSADEGDTTESEFEYEELRDGEGRIGGFGAQSNDISTENMPFKGRRRKSETFRSQYINTKELRVCVGTWNVAGKLPSDDLDISGWLDIEEPADIYVLGFQEIVPLNAGNIFGAEDHRPASRWEFLIRKTLNSIKPAKPKYKCFSDPPSPSRFNPSADVLAIEDEILPESDSDGDEEVHPLEEHSSVFHMGNKDGFTETGDGSHCNPASYDTLRTHADVPQEFGFGMHTSKRLQDPYCALMDAVGNSKPSIAQQTRLIRTLSYSERPGLIWPEQPLNLLNQTDFEGSKALKSLRSFKTYSSFKSSQGDNKVSPITSLITELNLDAMLCKKKRSSFIRIISKQMVGVFLSIWVRRSLRKHIQNLKVSTVGVGAMGYIGNKGSISVSMSIDQTFFCFICSHLTSGEKDGDELRRNVDVQEIHRRTLFTSASSKELPKVIYDHERIIWLGDLNYRINLPYERTHDLISKKECFKLAEWDQLKKELKKGRVFDGWTEGDLSFPPTYKYEVNSEKYIRDDLKGARRTPAWCDRILSYGKGLRLLSYRTSKLKLSDHRPVTAIYMAEVEVLCPRKLQRALTFTNAELEDEGEMVQDFDVGAGMDHN >DRNTG_19262.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:368896:374933:-1 gene:DRNTG_19262 transcript:DRNTG_19262.11 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKDGFTETGDGSHCNPASYDTLRTHADVPQEFGFGMHTSKRLQDPYCALMDAVGNSKPSIAQQTRLIRTLSYSERPGLIWPEQPLNLLNQTDFEGSKALKSLRSFKTYSSFKSSQGDNKVSPITSLITELNLDAMLCKKKRSSFIRIISKQMVGVFLSIWVRRSLRKHIQNLKVSTVGVGAMGYIGNKVRFFQNI >DRNTG_19262.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:368896:374933:-1 gene:DRNTG_19262 transcript:DRNTG_19262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKGRKQKELWPKIVLRKWLNISTKDSDFSADEGDTTESEFEYEELRDGEGRIGGFGAQSNDISTENMPFKGRRRKSETFRSQYINTKELRVCVGTWNVAGKLPSDDLDISGWLDIEEPADIYVLGFQEIVPLNAGNIFGAEDHRPASRWEFLIRKTLNSIKPAKPKYKCFSDPPSPSRFNPSADVLAIEDEILPESDSDGDEEVHPLEEHSSVFHMGNKDGFTETGDGSHCNPASYDTLRTHADVPQEFGFGMHTSKRLQDPYCALMDAVGNSKPSIAQQTRLIRTLSYSERPGLIWPEQPLNLLNQTDFEGSKALKSLRSFKTYSSFKSSQGDNKVSPITSLITELNLDAMLCKKKRSSFIRIISKQMVGVFLSIWVRRSLRKHIQNLKVSTVGVGAMGYIGNKSSNVRRKGWG >DRNTG_19262.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:368896:374933:-1 gene:DRNTG_19262 transcript:DRNTG_19262.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKDGFTETGDGSHCNPASYDTLRTHADVPQEFGFGMHTSKRLQDPYCALMDAVGNSKPSIAQQTRLIRTLSYSERPGLIWPEQPLNLLNQTDFEGSKALKSLRSFKTYSSFKSSQGDNKVSPITSLITELNLDAMLCKKKRSSFIRIISKQMVGVFLSIWVRRSLRKHIQNLKVSTVGVGAMGYIGNKGSISVSMSIDQTFFCFICSHLTSGEKDGDELRRNVDVQEIHRRTLFTSASSKELPKVIYDHERIIWLGDLNYRINLPYERTHDLISKKECFKLAEWDQLKKELKKGRVFDGWTEGDLSFPPTYKYEVNSEKYIRDDLKGARRTPAWCDRILSYGKGLRLLSYRTSKLKLSDHRPVTAIYMAEVEVLCPRKLQRALTFTNAELEDEGEMVQDFDVGAGMDHN >DRNTG_19262.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:368896:374933:-1 gene:DRNTG_19262 transcript:DRNTG_19262.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKDGFTETGDGSHCNPASYDTLRTHADVPQEFGFGMHTSKRLQDPYCALMDAVGNSKPSIAQQTRLIRTLSYSERPGLIWPEQPLNLLNQTDFEGSKALKSLRSFKTYSSFKSSQGDNKVSPITSLITELNLDAMLCKKKRSSFIRIISKQMVGVFLSIWVRRSLRKHIQNLKVSTVGVGAMGYIGNKSSNVRRKGWG >DRNTG_19262.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:368896:374933:-1 gene:DRNTG_19262 transcript:DRNTG_19262.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKDGFTETGDGSHCNPASYDTLRTHADVPQEFGFGMHTSKRLQDPYCALMDAVGNSKPSIAQQTRLIRTLSYSERPGLIWPEQPLNLLNQTDFEGSKALKSLRSFKTYSSFKSSQGDNKVSPITSLITELNLDAMLCKKKRSSFIRIISKQMVGVFLSIWVRRSLRKHIQNLKVSTVGVGAMGYIGNKGSISVSMSIDQTFFCFICSHLTSGEKDGDELRRNVDVQEIHRRTLFTSASSKELPKVIYDHERIIWLGDLNYRINLPYERTHDLISKKECFKLAEWDQLKKELKKGRVFDGWTEGDLSFPPTYKYEVNSEKYIRDDLKGARRTPAWCDRILSYGKGLRLLSYRTSKLKLSDHRPVTAIYMAEVEVLCPRKLQRALTFTNAELEDEGEMVQDFDVGAGMDHN >DRNTG_19262.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:368896:374933:-1 gene:DRNTG_19262 transcript:DRNTG_19262.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKGRKQKERSWVEVCCFDCACLQLWPKIVLRKWLNISTKDSDFSADEGDTTESEFEYEELRDGEGRIGGFGAQSNDISTENMPFKGRRRKSETFRSQYINTKELRVCVGTWNVAGKLPSDDLDISGWLDIEEPADIYVLGFQEIVPLNAGNIFGAEDHRPASRWEFLIRKTLNSIKPAKPKYKCFSDPPSPSRFNPSADVLAIEDEILPESDSDGDEEVHPLEEHSSVFHMGNKDGFTETGDGSHCNPASYDTLRTHADVPQEFGFGMHTSKRLQDPYCALMDAVGNSKPSIAQQTRLIRTLSYSERPGLIWPEQPLNLLNQTDFEGSKALKSLRSFKTYSSFKSSQGDNKVSPITSLITELNLDAMLCKKKRSSFIRIISKQMVGVFLSIWVRRSLRKHIQNLKVSTVGVGAMGYIGNKSSNVRRKGWG >DRNTG_10970.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1072941:1074279:1 gene:DRNTG_10970 transcript:DRNTG_10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGEIGGQQLQEVFLEEQTMKSRTIGEHISRKPSLHHQKLTFKDQNYQSL >DRNTG_03363.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18013984:18016677:1 gene:DRNTG_03363 transcript:DRNTG_03363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPVCCRGRRDGQPDLRRAVSNSTMYTGIWIMILDVGLFSLILLLTLLLWRGEERIHVLGWIYLICLTITSAVPPLSTIWQVIKTRSVNCMPFYDPLLTTVGAALWLAYGLLMKNLKIAVMSLLSSSFKSCS >DRNTG_02990.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9084723:9086409:-1 gene:DRNTG_02990 transcript:DRNTG_02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIYLTWGDTHGCKRRTSGLWRIFHKQPFECKLDERGRRPTQDCDIPPDHDNDSPPDRGSLPNVATPPAALGDDVTATLMQACQILMTNFPQLVALVEAFEGRSETTTPPIQTNVVPGTDEASKFDDDDFIGIANPRRPRLKGLAKIRKTIMPQIHPNRVDDEQQ >DRNTG_22198.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1430773:1438833:1 gene:DRNTG_22198 transcript:DRNTG_22198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKQRKKLSWAPDLSLRQVRMFLSEDPPSQFRYGGQDHLQAKSSWLWHATEMGSDDSLPPGFEIPPQATKKSKIDVSQIPLIKWKSPPKFQLNPEWLVVAGGESEEVAIQNQRLRGVLEAIYPRASSIPPDPAVLSGGETSLFDDFDVPLIPITAAEDEDASELSDSMVSVDTSSRLQPVDVSKSWPEVAPGMLPPDFRFPTEPLRLNSAPPAQDFVHGERSAMGATPVGEPDVVAAASVAFTAIMRSNEAGSMVDPDLLIKIFSNPALVETLTSEYGAPKQLPLPGVTSSAPPPLSQIGITSLQPPPAPQSYPVPNVIRPPPVNPQAPPAIQFNTGNPLPKALPMRDINYYKSLIQQHGEDRQEAAPAHNAMQFGNHSHRDYSKNLMPANGLDLASAHGSKQRDAKPKISKPCIYFNSPRGCRHGSNCSYQHDVAYPAHFEQQKNSKRIKLDSSVNGRL >DRNTG_25680.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:963854:965595:-1 gene:DRNTG_25680 transcript:DRNTG_25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGLVFLLALMAMQAIIPGSSSASLKLGYYKYECKGAEKIIRQTMANFIRYNPTVAPSIIRMHFHDCFVRGCDGSVLIDSTASNKAEKDAPPNNPSLKGFEVIDQIKAKLEAYCPNTVSCADILAFAARDASYYVGGINYNVPAGRRDGRISIDSEVIQNLPAPNFNAKQLIGNFANKGLSADEMVTLSGAHSIGVSHCSSFSPRLYAFNSTHPQDPSIDPQFAAFLKTKCPQGSSNDPIVFF >DRNTG_07370.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000336.1:7543:10154:-1 gene:DRNTG_07370 transcript:DRNTG_07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFTLPILKRLNLAENELSGQLQEFTNASSTLQYVELWGNNLQGKLPKSLANLSALVSLFLGLNNFDDSVELELFGHLQNLLGLDLSGINLLISNRIADSFLLFPSLGILRLQSCNITAIPSFLKYKRNMYHLDLSNNRINGTIPTWLWSIESFFEIVDLSFNSFTDIERPFLKHSNNAGFLDLSSNRIGACLLESLIDLRVLNVRENSFQGSMPQKVSSRCAIQTINLHGNKLEGVVPSSWANCAELRVLDLGRNKLANSFRHWLMNLPALKVLVLKENRFFGHLAGICEEVDMDEIWFWMFTGLGYGVGFALAIIYQLFFPKWKMWYKRRFMNR >DRNTG_30622.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1903593:1908809:-1 gene:DRNTG_30622 transcript:DRNTG_30622.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTLGSGQGGGSVNIMNLNIPLEIDSSTFRLQRRMRTIFPTKFTIWTADCSSNGRNAAIGTNVGAALVDIETGKMSSHRLKSDVFSLQFDQSGNIVFCGLRKGAILPVDVRVRHFRSLRNYAGYRSMSNEVQEDSNSSSMPSAICSLAILKSDEKYLLGSSMDGCIQLFDLRLLRRPVQSYKGHVNSHSHLQLGVDPSESFFMSGGEDHFLRIWSIKTGELLFAKNFANATLNSICWSQTGNISKDMQQSSEETVRHHESKWELDQSWRAWLGSHKGLFYMHGI >DRNTG_30622.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1903593:1908809:-1 gene:DRNTG_30622 transcript:DRNTG_30622.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWLETLVDILTMEMSTCPRLSGLRTTMIRSIPTLQESGAQLDYQHFWTQVSQVYALCTSSLLIHLMMSRIPSIYCGGSVNIMNLNIPLEIDSSTFRLQRRMRTIFPTKFTIWTADCSSNGRNAAIGTNVGAALVDIETGKMSSHRLKSDVFSLQFDQSGNIVFCGLRKGAILPVDVRVRHFRSLRNYAGYRSMSNEVQEDSNSSSMPSAICSLAILKSDEKYLLGSSMDGCIQLFDLRLLRRPVQSYKGHVNSHSHLQLGVDPSESFFMSGGEDHFLRIWSIKTGELLFAKNFANATLNSICWSQTGNISKDMQQSSEETVRHHESKWELDQSWRAWLGSHKGLFYMHGI >DRNTG_30622.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1903593:1908809:-1 gene:DRNTG_30622 transcript:DRNTG_30622.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCIQLFDLRLLRRPVQSYKGHVNSHSHLQLGVDPSESFFMSGGEDHFLRIWSIKTGELLFAKNFANATLNSICWSQTGNISKDMQQSSEETVRHHESKWELDQSWRAWLGSHKGLFYMHGI >DRNTG_30622.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1903593:1908809:-1 gene:DRNTG_30622 transcript:DRNTG_30622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTLGSGQGGGSVNIMNLNIPLEIDSSTFRLQRRMRTIFPTKFTIWTADCSSNGRNAAIGTNVGAALVDIETGKMSSHRLKSDVFSLQFDQSGNIVFCGLRKGAILPVDVRVRHFRSLRNYAGYRSMSNEVQEDSNSSSMPSAICSLAILKSDEKYLLGSSMDGCIQLFDLRLLRRPVQSYKGHVNSHSHLQLGVDPSESFFMSGGEDHFLRIWSIKTGELLFAKNFANATLNSICWSQTGNISKDMQQSSEETVRHHESKWELDQSWRAWLGSHKGLFYMHGI >DRNTG_31656.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:921550:927708:1 gene:DRNTG_31656 transcript:DRNTG_31656.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTSGEAVKYKSSFDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLAGYDKLQLIVFGKKYGSGGA >DRNTG_31656.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:921550:926871:1 gene:DRNTG_31656 transcript:DRNTG_31656.4 gene_biotype:protein_coding transcript_biotype:protein_coding ALNFAFKDYFKRLFNFKKDKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDSKAAKKGGERQFNGLIDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGSLQ >DRNTG_31656.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:922006:927708:1 gene:DRNTG_31656 transcript:DRNTG_31656.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTSGEAVKYKSSFDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLAGYDKLQLIVFGKKYGSGGA >DRNTG_31656.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:921550:926781:1 gene:DRNTG_31656 transcript:DRNTG_31656.5 gene_biotype:protein_coding transcript_biotype:protein_coding ALNFAFKDYFKRLFNFKKDKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDSKAAKKGGERQFNGLIDVYRKTLKSDGIAGLYRGFN >DRNTG_27722.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:259907:264385:1 gene:DRNTG_27722 transcript:DRNTG_27722.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEQATAVQENSDGDGDEKRGINFSGSEDEIL >DRNTG_24572.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001310.1:40066:44721:1 gene:DRNTG_24572 transcript:DRNTG_24572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPGAAARPCVFSGWREQPVEFGNGVRKLPTPVWGSQGHPQGRVHAPMCSRENLPNYAGIHTPMWKLPTGVRQSHGRPQGQPHAPAGSAEKF >DRNTG_27753.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24470827:24474869:-1 gene:DRNTG_27753 transcript:DRNTG_27753.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTVKPRRLRVAVQNYDWGRTGEEAAVARLFSLNDPNSPIDLSLPFAELWIGTHPSGPSFLFPDDSDSDGVVPLKDWILQNPSAVLGSEVTKRWRDDLPFLFKVLSVSKALSIQAHPDKELAEVLHKMRPNVYKDSNHKPEMAIALSEFKALCGFVSLEELKDVLTSVPEIGEIVGDEHVDRIMTLKETDACEEIKIALQSIYTKLMLASQETVSEHVSKLMHRLNAENKDRILTEKEQLALQLEKQYPSDIGVISAFFFNYVKLIPGEALSIGANEPHAYISGECIECMATSDNVVRAGLTPKYKDVHTLCSMLTYKQGFPEVLQGIPLNDHVSRYTPPFDEFEVDRISLPPAESLEFPAVPGPSIFIVVAGQGSMQMSSSTAGEMIMEGNAFFVPAKNEIKFSAGVSGELQLYRAGVNSRFFD >DRNTG_27753.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24470667:24474832:-1 gene:DRNTG_27753 transcript:DRNTG_27753.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTVKPRRLRVAVQNYDWGRTGEEAAVARLFSLNDPNSPIDLSLPFAELWIGTHPSGPSFLFPDDSDSDGVVPLKDWILQNPSAVLGSEVTKRWRDDLPFLFKVLSVSKALSIQAHPDKELAEVLHKMRPNVYKDSNHKPEMAIALSEFKALCGFVSLEELKDVLTSVPEIGEIVGDEHVDRIMTLKETDACEEIKIALQSIYTKLMLASQETVSEHVSKLMHRLNAENKDRILTEKEQLALQLEKQYPSDIGVISAFFFNYVKLIPGEALSIGANEPHAYISGECIECMATSDNVVRAGLTPKYKDVHTLCSMLTYKQGFPEVLQGIPLNDHVSRYTPPFDEFEVDRISLPPAESLEFPAVPGPSIFIVVAGQGSMQMSSSTAGEMIMEGNAFFVPAKNEIKFSAGVSGELQLYRAGVNSRFFD >DRNTG_27753.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24470827:24474832:-1 gene:DRNTG_27753 transcript:DRNTG_27753.4 gene_biotype:protein_coding transcript_biotype:protein_coding METTVKPRRLRVAVQNYDWGRTGEEAAVARLFSLNDPNSPIDLSLPFAELWIGTHPSGPSFLFPDDSDSDGVVPLKDWILQNPSAVLGSEVTKRWRDDLPFLFKVLSVSKALSIQAHPDKELAEVLHKMRPNVYKDSNHKPEMAIALSEFKALCGFVSLEELKDVLTSVPEIGEIVGDEHVDRIMTLKETDACEEIKIALQSIYTKLMLASQETVSEHVSKLMHRLNAENKDRILTEKEQLALQLEKQYPSDIGVISAFFFNYVKLIPGEALSIGANEPHAYISGECIECMATSDNVVRAGLTPKYKDVHTLCSMLTYKQGFPEVLQGIPLNDHVSRYTPPFDEFEVDRISLPPAESLEFPAVPGPSIFIVVAGQGSMQMSSSTAGEMIMEGNAFFVPAKNEIKFSAGVSGELQLYRAGVNSRFFD >DRNTG_27753.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24470827:24474832:-1 gene:DRNTG_27753 transcript:DRNTG_27753.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNVYKDSNHKPEMAIALSEFKALCGFVSLEELKDVLTSVPEIGEIVGDEHVDRIMTLKETDACEEIKIALQSIYTKLMLASQETVSEHVSKLMHRLNAENKDRILTEKEQLALQLEKQYPSDIGVISAFFFNYVKLIPGEALSIGANEPHAYISGECIECMATSDNVVRAGLTPKYKDVHTLCSMLTYKQVSVFFPHW >DRNTG_27753.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24470827:24474832:-1 gene:DRNTG_27753 transcript:DRNTG_27753.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNVYKDSNHKPEMAIALSEFKALCGFVSLEELKDVLTSVPEIGEIVGDEHVDRIMTLKETDACEEIKIALQSIYTKLMLASQETVSEHVSKLMHRLNAENKDRILTEKEQLALQLEKQYPSDIGVISAFFFNYVKLIPGEALSIGANEPHAYISGECIECMATSDNVVRAGLTPKYKDVHTLCSMLTYKQGFPEVLQGIPLNDHVSRYTPPFDEFEVDRISLPPAESLEFPAVPGPSIFIVVAGQGSMQMSSSTAGEMIMEGNAFFVPAKNEIKFSAGVSGELQLYRAGVNSRFFD >DRNTG_18177.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29123551:29125665:-1 gene:DRNTG_18177 transcript:DRNTG_18177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISEMSTGVAEQRLFRNNLMASKVLHLRNLPWLCREEELVELCRPFGEIVYIKCNFGANRNQAFVEFAELNQAISMVSYYASSSEPANVRGQTVYIQYSNWQEIGNYNKSAGGIAGNVLIVTLEGVQRSDVSIEVIHAVSPI >DRNTG_02371.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15105954:15107297:1 gene:DRNTG_02371 transcript:DRNTG_02371.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSLNLVVLKALDTARTQWYHISAIVIASMGFFTDAYDLFYISTVTKLLGRLYYSVPGDTKLGKLPKDVNNAVVVVALVGTLMGQLVFSWLGDKLGRKKIYDITLILMAICALSSGISFGTTTKAVMSTLCFFQFWLDFGIGCDCPLSTIIMSEYANKKTRGALIATVFSMQGIGIIFAGLVSMILSAVFLHYYPTSLSSLKIHGDQHKDRMTFSGGLSHAWCYAGDCHLLLENENAGDRQVHSHHCW >DRNTG_04998.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4368545:4377460:1 gene:DRNTG_04998 transcript:DRNTG_04998.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFDEYDYLEQAVEAPDQEARKDKDNRSSRHREKDGESRERERFSRSDRLDKVRDHRSSRDDERAREKHRSRDGDGHKERVREKEERERVRDRDREKDKDRDRERERERSSSRSRRHEKEAEREMDRARSKDRALREKDLEREMREREGRRFKDKKEVVEAEVDPERDQRTVFAYQMPLKATERDIYEFFSQVGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPNAIALSGHLLLGQPVMVKPSEAEKNLVQSNTAGASGTAGAAALRKLYVGNLHFSITEEQLRQIFEPFGPVELVQLPLDLETGQCRGYGFVQFAQLEHAKAAQSLNGKLDIAGRIIKVSAVTDHVGVQDPGANGADLDDDDGGALALNARSRAMLMQKLDRTGTASSIAESLGVPGLNGAVPNQVGFGLLPANGQPVIPSAVPAVRTPPIIEPVGDPSECLLLKNMFDPSTETEPDFDLDIKEDVQEECSKFGPLTHIYVEKNSNGFVYMRFEAMPSAASCQRAMHGRWFAGRAISATFMRPADYEAKFKGSM >DRNTG_28422.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4446485:4450043:1 gene:DRNTG_28422 transcript:DRNTG_28422.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVGGPLLCIGDLLSDVAADDSGDSGSQEGSPPSSPTVASGNPVHPSHLHQLFEENYDQLVKSLSGHDHSWTGLTLKLCSALKTADKLVSSANANSSLLLEKVEMLESIIKRGDSAVEAAKAIPNMQTGNSLTSL >DRNTG_28422.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4446485:4450043:1 gene:DRNTG_28422 transcript:DRNTG_28422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVGGPLLCIGDLLSDVAADDSGDSGSQEGSPPSSPTVASGNPVHPSHLHQLFEENYDQLVKSLSGHDHSWTGLTLKLCSALKTADKLVSSANANSSLLLEKVEMLESIIKRGDSAVEAAKAIPNMQTGKTELSKETEWKIE >DRNTG_17649.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4057968:4059723:-1 gene:DRNTG_17649 transcript:DRNTG_17649.6 gene_biotype:protein_coding transcript_biotype:protein_coding MYISLTNNRNQQHKVGSSTVKLEQNKQNINS >DRNTG_17649.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4057945:4059723:-1 gene:DRNTG_17649 transcript:DRNTG_17649.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYISLTNNRNQQHKVGSSTVKLEQNKQNINS >DRNTG_17649.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4057968:4059912:-1 gene:DRNTG_17649 transcript:DRNTG_17649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSPTVGSADRSSLSNGAEPHWVQGLRFDLVRVNPNKQNMKQ >DRNTG_17649.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4057968:4059723:-1 gene:DRNTG_17649 transcript:DRNTG_17649.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYISLTNNRNQQHKVGSSTVKLEQNKQNINS >DRNTG_17649.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4057968:4059723:-1 gene:DRNTG_17649 transcript:DRNTG_17649.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYISLTNNRNQQHKVGSSTVKLEQNKQNINS >DRNTG_17649.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4057968:4059723:-1 gene:DRNTG_17649 transcript:DRNTG_17649.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYISLTNNRNQQHKVGSSTVKLEQNKQNINS >DRNTG_00906.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21602371:21606214:1 gene:DRNTG_00906 transcript:DRNTG_00906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQIDPSFIQPTEHRPKITISDAGSIPLIDLSPLLHHHIPSDPSDPAIPNEISSLIAAIGAACRDWGFFQVVNHGVDPQLLERIEAVAVEFFALPAEEKRKVKRDEVNPLGYYDSEHTKNVRDWKEVFDLVVREYDDGLLVLKNRWPEYPPAMRETCKDYIAVVEKLSYKLIELIALSLNLPAKCLNSFFKDSSSFFRLNYYNPCPTPDLVLGVGHHKDSIALTVLYQDQVGGLDVKRKSDGEWVRVKPIPNSYIINVGNIIQVWTNERYESVEHRASVNSEKARLSIPFFFLPTFSTNVKPLEELVSDENPAKYEEYNWRDYFSSHLGGNFKKMDKEDIQISHFRKSTLI >DRNTG_10583.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8675313:8681640:-1 gene:DRNTG_10583 transcript:DRNTG_10583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSWLLSAPSPSSLLPHHRPPFSLPPCSPLRTRFFVLFPSRCIPIPGLLSVPRFRAIAEAVDKAEGEEFDRKDSDFINVGYICAAHGLEGELRVKPSTDFPELRFSKPGKRWLRTRITGKELISEVELTGGRAHPGQKSWIISLHGIDTVEKAKQMVGSTLLVREGDRPELDEDEFYTPDLFNMRVFLKVRCHSTGEGIDWLNSTKPESSASGHLVWIPFVEAIVPHVDMDNREMWITPPKGLLELNLHSDPRSKKERRQLEWKQRKKIKYRVISAKRKLSELGQNHVLEGLKIGEKDQRRFLAGQIAEINFKLLQCSLESIRTPIERNALIVAKVMRRTAFIMVCMKQDSNFLENQKLLPLFY >DRNTG_10583.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8675313:8680886:-1 gene:DRNTG_10583 transcript:DRNTG_10583.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSWLLSAPSPSSLLPHHRPPFSLPPCSPLRTRFFVLFPSRCIPIPGLLSVPRFRAIAEAVDKAEGEEFDRKDSDFINVGYICAAHGLEGELRVKPSTDFPELRFSKPGKRWLRTRITGKELISEVELTGGRAHPGQKSWIISLHGIDTVEKAKQMVGSTLLVREGDRPELDEDEFYTPDLFNMRVFLKVRCHSTGEGIDWLNSTKPESSASGHLVWIPFVEAIVPHVDMDNREMWITPPKGLLELNLHSDPRSKKERRQLEWKQRKKIKYRVISAKRKLSELGQNHVLEGLKIGEKDQRRFLAGQIAEINFKLLQCSLESIRTPIERNALIVAKVMRRTAFIMVCMKQDSNFLENQKLLPLFY >DRNTG_10583.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8675313:8677021:-1 gene:DRNTG_10583 transcript:DRNTG_10583.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDIFPLILISPAHEIQSYAQIFLLENDYFGFSSQKIWLLEEEKLPVVGMCPNENTHKILLKSPWDIIQYPVGAGGVFSLLSSDKLIDGLSEIGVEYVQICSLSKRSAIAHPLFLGLVNSRNANTGIKIFDRNKGDGEFDGVFSLSSLKNICKQTNKLQFNVSEEQHEHVELVDKEWIEVRPDVPNAYHFHCSVYSFLNTCSLDDMCLMHILD >DRNTG_10583.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8675313:8675809:-1 gene:DRNTG_10583 transcript:DRNTG_10583.4 gene_biotype:protein_coding transcript_biotype:protein_coding QICSLSKRSAIAHPLFLGLVNSRNANTGIKIFDRNKGDGEFDGVFSLSSLKNICKQTNKLQFNVSEEQHEHVELVDKEWIEVRPDVPNAYHFHCSVYSFLNTCSLDDMCLMHILD >DRNTG_07300.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3462523:3466968:1 gene:DRNTG_07300 transcript:DRNTG_07300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKASANGLNLAPTPSCCLEAQSRRVSVTGRSLGTSFLNGGVGGLKTKGIKLSTSNRLRCGGRGGALGTQMNLFDRFARVIKSYANALVSSLEDPEKILEQAVQEMNDDLTKMRQATAQVLASQKRMENKYKAAQQASEDWYRRAQLALGKGDEDLAREALKRRKSYADNAASLKSQLDQQKGVVENLVSNTRLLESKIQEARSKKDTLKARAQSAKTATKVNEMLGNVNTSSALSAFEKMEEKVMAMESQAEALNQLTTDDLEGKFALLETSSVDDDLAKLRKEISGSSLKGELPASSAAASSSNASFSFRDMEIEKELNELRSKAKEY >DRNTG_07300.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3462523:3466968:1 gene:DRNTG_07300 transcript:DRNTG_07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKASANGLNLAPTPSCCLEAQSRRVSVTGRSLGTSFLNGGVGGLKTKGIKLSTSNRLRCGGRGGALGTQMNLFDRFARVIKSYANALVSSLEDPEKILEQAVQEMNDDLTKMRQATAQVLASQKRMENKYKAAQQASEDWYRRAQLALGKGDEDLAREALKRRKSYADNAASLKSQLDQQKGVVENLVSNTRLLESKIQEARSKKDTLKARAQSAKTATKVNEMLGNVNTSSALSAFEKMEEKVMAMESQAEALNQLTTDDLEGKFALLETSSVDDDLAKLRKEISGSSLKGELPASSAAASSSNASFSFRDMEIEKELNELRSKAKEY >DRNTG_01274.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:10475434:10478242:1 gene:DRNTG_01274 transcript:DRNTG_01274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADREFLNFSDQLRLHTTALSNLSLGDTIWSESYLTTKTTPINNDLAAGTSWKSGFGPVQKLAFADNKANRFNNDQGSIFPEKSFNYFNNSVPTGKNAFKNLNGGGKQQSVNNNAAIHGVGSGKKGGNSGKGKKNSGNDGNGGAGVDKRFKTLPPSESLPRNEAIGGYIFVCNNDTMQENLHRQLFGLPQRYRDSVRAITPGLPIFLYNYSTHQLHGIFEAASFGGTNIDPAAWEDKKKPGESRFPAQVRVMNRKNCEPLEEDSFRPILHHYDGPKFRLELSVPEALDVLDKFAEHNA >DRNTG_14951.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1072298:1073491:1 gene:DRNTG_14951 transcript:DRNTG_14951.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFCSFRMKKDNNQCRKMSKEPPQELLIEILARLPTKSAIRFSSVSKLWLSIIKDSAFQDLHSKHSRQSLRTNSLILMRGK >DRNTG_14951.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1072298:1073491:1 gene:DRNTG_14951 transcript:DRNTG_14951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTGEDLESFRMKKDNNQCRKMSKEPPQELLIEILARLPTKSAIRFSSVSKLWLSIIKDSAFQDLHSKHSRQSLRTNSLILMRGK >DRNTG_21503.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17817701:17821937:1 gene:DRNTG_21503 transcript:DRNTG_21503.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPDLLFGLRNSFYLGAYQAAINNSDVPNLPPEEAVERDSLVYRSYIALGSYQLVINEIDSSAPTALQAVKLLALYLSGDKETAISSIREWLSDAAITNNPILRLIAGIIYMHEQDYNEALKHTNSGGTMELHALNVQIFLKMHRSDYAEKQLKIMQQIDEDHTLTQLANAWLNLAVGGSKIQEAYLIFQDFSEKSQMTGLILNGKAVCCMHMGRFDEAESLLLEALNKDAKDAETLANLIVCNLHLGKSSSRYLSQLKLSHPNHMLVKRAASAEDNFDRAVQSVA >DRNTG_14300.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000665.1:3762:4121:1 gene:DRNTG_14300 transcript:DRNTG_14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLWRKSQDDISRLTIILSLTEESRVALLAPSRMKTLKGIHSQEKTRTSA >DRNTG_22782.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3961722:3965039:1 gene:DRNTG_22782 transcript:DRNTG_22782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPETKGIPMKEMTHTVWKNHWTPRMLYYGENSYRKQASIEAMLSSLERKEFPELLAANADEEIFVRAINWGDAIAPEPLAQRRDERPSSSRRPRRRSPNDNPTSARIPWLQRSPALPHPAETPFP >DRNTG_09288.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22854235:22856930:1 gene:DRNTG_09288 transcript:DRNTG_09288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKENKFFSIGLVFLATIIFIKLLAALFRSGSKHRQPPIVKSLPVIGGLLRFMKGPILLIRQEYQKLGSVFTLNIVNRKITFFVGPEVSSHFFKAPEVELSQQEVYQFNVPTFGPGVVFDVDYSVRQEQFRFFTESLRVSKLKSYVDQMVVEAEDYFSKWGECGEVDLKYELEHLIILTASRCLLGREVRDKLFDDVSALFHDLDNGMRPISVIFPYLPIPAHRRRDRARARIAEIFSTIINSRKVSGKSEDDMLQCFIESKYKDGRPTTDGEITGLLIAALFAGQHTSSITSTWTGAYLLRFKQYLAAALDEQKKLMKKHGDKVNHDILAEMDVLYRCIKEALRLHPPLIMLLRQSHADFTVKTKEGTEYDIPKGHIVATSPAFANRLPYIYKDPDTYDPDRFAPGREEDKVAGAFSYISFGGGRHGCLGEPFAYLQIKAIWTHLLRNFEFELVSPFPEIDWNAMVVGVKGQVMVRYKRRKLSVDT >DRNTG_34619.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:55107:61171:-1 gene:DRNTG_34619 transcript:DRNTG_34619.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like disulfide bond formation protein [Source:Projected from Arabidopsis thaliana (AT1G72280) UniProtKB/TrEMBL;Acc:Q0WSW0] MGDVEVKGRNGGGGGGRRWVWAVGALIAVLLATAVTSRTSPKIPLFGFNGKPCDCSGSSKYTGIVEDCCCDYETVDSINEEVLHPILQELVTTPFFRYFKVKLWCDCPFWPDDGMCRLRDCSVCECPENEFPEPFKKPFHGLPSDDLICQEGKPQAAVDRTLDSKAFRGWVEIDNPWTYDDETDNAEMTYVNLQLNPERYTGYVGPSARRIWDAIYTENCPKYPTGDFCPEKRVLYKLISGLHSSISVHIASDYLLDEATNLWGQNLELLYERVLRHPDHVKNLYFTFLFVLRAVTKAADYLEQAEYDTGNSEEDLKTHSLVRQLLYNPKLRAACPLPFDEAKLWQGESGPELKQEIQKQFRNISALMDCVGCEKCRLWGKLQVLGLGTALKILFSVDGQNQLNQPLQLQRNEVIALGNLLNRLSESIQFVRETGPAAEKIMERQVSSPSSRSIL >DRNTG_34619.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:55107:60598:-1 gene:DRNTG_34619 transcript:DRNTG_34619.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like disulfide bond formation protein [Source:Projected from Arabidopsis thaliana (AT1G72280) UniProtKB/TrEMBL;Acc:Q0WSW0] MCRLRDCSVCECPENEFPEPFKKPFHGLPSDDLICQEGKPQAAVDRTLDSKAFRGWVEIDNPWTYDDETDNAEMTYVNLQLNPERYTGYVGPSARRIWDAIYTENCPKYPTGDFCPEKRVLYKLISGLHSSISVHIASDYLLDEATNLWGQNLELLYERVLRHPDHVKNLYFTFLFVLRAVTKAADYLEQAEYDTGNSEEDLKTHSLVRQLLYNPKLRAACPLPFDEAKLWQGESGPELKQEIQKQFRNISALMDCVGCEKCRLWGKLQVLGLGTALKILFSVDGQNQLNQPLQLQRNEVIALGNLLNRLSESIQFVRETGPAAEKIMERQVSSPSSRSIL >DRNTG_34619.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:55107:61171:-1 gene:DRNTG_34619 transcript:DRNTG_34619.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like disulfide bond formation protein [Source:Projected from Arabidopsis thaliana (AT1G72280) UniProtKB/TrEMBL;Acc:Q0WSW0] MGDVEVKGRNGGGGGGRRWVWAVGALIAVLLATAVTSRTSPKIPLFGFNGKPCDCSGSSKYTGIVEDCCCDYETVDSINEEVLHPILQELVTTPFFRYFKVKLWCDCPFWPDDGMCRLRDCSVCECPENEFPEPFKKPFHGLPSDDLICQEGKPQAAVDRTLDSKAFRGWVEIDNPWTYDDETDNAEMTYVNLQLNPERYTGYVGPSARRIWDAIYTENCPKYPTGDFCPEKRVLYKLISGLHSSISVHIASDYLLDEATNLWGQNLELLYERVLRHPDHVKNLYFTFLFVLRAVTKAADYLEQAEYDTGNSEEDLKTHSLVRQLLYNPKLRAACPLPFDEAKLWQGESGPELKQEIQKQFRNISALMDCVGCEKCRLWGKLQVLGLGTALKILFSVDGQNQLNQPLQLQRNEVIALGNLLNRLSESIQFVRETGPAAEKIMERQVSSPSSRSIL >DRNTG_20677.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001137.1:67373:82192:1 gene:DRNTG_20677 transcript:DRNTG_20677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLGRGHRDKLQQFMAITGSSEKVALQALKASDWHLESAFDIFYSQPQIRGVMDMRHLEELYNHYKDNYADMILVDGISMLCNDLQVEPDDIVMLVLSWHMKAATMCEFSRQEFLTGLQALGADSIGKLREKIPLMRGELKDEHKFREIYNFAFGWAKEKGQKSLALDTAIGMWQLLFAEKNWSLVDQWCQFLQVKHNKAISRDTWSQLLEFAKTIDPSLSNYDAEGAWPYLIDEFVEYLAENGIVQHRS >DRNTG_01670.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23873459:23875679:1 gene:DRNTG_01670 transcript:DRNTG_01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGGKESGEDNQNNVSTRKSSLPSPSSAGADDFSRSIARIAVAQVCESAGFHASRHSALDAVADILVQYIHDLSKAAHFYATLSGRTVCNVFDIIQGLKDLEFPHGFPGASDIHECAVSSGVIREITRFVDKTEESPFALPVPSFPIGRQPKPIVSFAQVGELAAGKHIPDWLPVFPDRHTYIHTPVWKERTSDPKTDKIEQVRQRRKAERSLLGLQQRLACNGAAMSASAADGYIGKGKQVFGTNPFLAPPLPPGEKQVSEVVVPDKIIEGKRVSILETFAPAIEAAKQGSFIPHVSAENRVLPSKRSTVHFKFGVDKKSIALQVSSAAAGGKTDSWFLRDDEKDDKKRRVEMILKESMEKPDGLTQL >DRNTG_23711.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:700826:701219:-1 gene:DRNTG_23711 transcript:DRNTG_23711.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRAFFSPSPLNSTSSAPAPPSNASNSSCQGLDLLLMAATEVIGDRATEIESSKPDNVLKIEEKSDKVDDEVVLKPKRQKRQKAMPSRFQDSVMQPWKRRTRRRLA >DRNTG_23711.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:698941:701219:-1 gene:DRNTG_23711 transcript:DRNTG_23711.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRAFFSPSPLNSTSSAPAPPSNASNSSCQGLDLLLMAATEVIGDRATEIESSKPDNVLKIEEKSDKVDDEVVLKPKRQKRQKAMPSRFQDSVMQPWKRRTRRRLA >DRNTG_23711.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:698941:701219:-1 gene:DRNTG_23711 transcript:DRNTG_23711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRAFFSPSPLNSTSSAPAPPSNASNSSCQGLDLLLMAATEVIGDRATEIESSKPDNVLKIEEKSDKVDDEVVLKPKRQKRQKAMPSRFQDSVMQPWKRRTRRRLA >DRNTG_23711.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:700826:701270:-1 gene:DRNTG_23711 transcript:DRNTG_23711.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRAFFSPSPLNSTSSAPAPPSNASNSSCQGLDLLLMAATEVIGDRATEIESSKPDNVLKIEEKSDKVDDEVVLKPKRQKRQKAMPSRFQDSVMQPWKRRTRRRLA >DRNTG_23711.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:700464:701219:-1 gene:DRNTG_23711 transcript:DRNTG_23711.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRAFFSPSPLNSTSSAPAPPSNASNSSCQGLDLLLMAATEVIGDRATEIESSKPDNVLKIEEKSDKVDDEVVLKPKRQKRQKAMPSRFQDSVMQPWKRRTRRRLA >DRNTG_26442.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3023903:3026433:1 gene:DRNTG_26442 transcript:DRNTG_26442.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidine kinase a [Source:Projected from Arabidopsis thaliana (AT3G07800) UniProtKB/Swiss-Prot;Acc:Q9S750] MDVAAVRLRSSFHGEVHVIIGPMFAGKTTALLRRIQSERDNGRSVVMVKSSKDSRYGLDCVVTHDGKKMPCFAVPNLSTFRAQIGHEAYNKFDVIGIDEGQFFEDLYDFCCEAADIDKKTIIIAGLDGDYMRRSFGSVLDVIPLADTVTKLTARCELCGRRAFFTFQKDGRNSN >DRNTG_28546.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3889889:3891740:1 gene:DRNTG_28546 transcript:DRNTG_28546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDRSWMYSRLNDGFITPNYFNGVEDFISFAFSQHDFVRGNKIRCPCVGCQNNKWQISDNVCKHLFLKGFCYGYTTWILHGEQPTGESSHSRADNEPICQGQNENLYVRMVMDEAMGSFDFDANQGNETRVECESPNPSASNFFSLLQDADEKLWSGCVNHTKLSAVSQLLNCKVEFNISESCFNRLIKVVKSMLPADKCLPEDFYKMKKRLTKLGLGYVQIHECPKNCMLFYRETIELEFFSI >DRNTG_11982.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4320431:4324198:1 gene:DRNTG_11982 transcript:DRNTG_11982.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galactinol--sucrose galactosyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT3G57520) UniProtKB/Swiss-Prot;Acc:Q94A08] MQTMTEFLASRSWSMSRRKHHNVKYIYVWHALTGYWGGVTPAGPGMEHYESALAYPVQSPGVLGNQPDIVMDSLAVHGLGLVHPKKIYNFFNELHSYLASCGVDGVKVDVQNIIETLGAGHGGRVSLTRAYHQALEASVSRNFPDNGCISCMCHNTDGLYSAKQTAIVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCPIYVSDKPGQHNIELLKKLVLPDGSVLRAQLPGRPTRDSLFNDPARDGTSLLKIWNVNKCSGVVGVFNCQGAGWCKVAKKTRIHDTAPGTLTGTICAQDVDALAQVASENWNGEVVVYSFNSKDLIRLPKGVTIPVTLKVLEFDLFHVIPIEEIVSNISFAPIGLLDMFNAGGAVEEFSAETVSDESLVGGDRAPVANIVVKVRGMWEIWSILVDETDEM >DRNTG_13969.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21513495:21517165:1 gene:DRNTG_13969 transcript:DRNTG_13969.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERCVGYFSKDEEIVCFPCNSKKPKILTSLYSAWMVVLFFIVLLFCALLFSNSSMVSNITTTLTNFASTTQEPTTIPFTCNPANLTCPSTNITSHTLPPSSSCPEYFRWIHEDLRPWAETGITEEAVLRAKKEAAFRLIISNGRAYIDLYHHVFQTRDIFTIWGILQLLQRYPGRVPDLDLMFNCEDMPVVTGC >DRNTG_13969.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21513495:21517165:1 gene:DRNTG_13969 transcript:DRNTG_13969.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHTLISTTMFSKPEISSPSGAFFSCYNDILAVSLTLISCSIAKTCRSSRAADYKAVNAPIPPPLFRYCKDDRTVDIVFPDWSFWGWVEVNIKPWEVLMKEIKQENERLKWVDRVPYAYWRGNPDVAGTRHNLLSCNVSKDHEWNARVYRQDWFKESRQGFKESNLASQCTHRYKIYIEGRSWSVSEKYILACDSPTLFVSTRYHDFFTRGLIPGKHFWPIPSNDKCRSIKFAVDWGNSHQKEAQELGKTSSGFMQEQLSMDYVYDFMLHLLTEYSKLLKYKPVVPPTAVEYCMESMACTRQGLEKQFMLDSMVKSPSDRGPCAMPPPYDPGQLQDFLTMKANATKKIEELQKNSLQH >DRNTG_08877.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27675047:27675300:-1 gene:DRNTG_08877 transcript:DRNTG_08877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGRRIAPLLALVLIACLAFQLHASDP >DRNTG_14414.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17157147:17158562:1 gene:DRNTG_14414 transcript:DRNTG_14414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRADDGSSGRSRMMRKSHVRFPEKGVATHWSFDQPPPVNSALGPPLTLPLL >DRNTG_31663.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:953699:955299:1 gene:DRNTG_31663 transcript:DRNTG_31663.1 gene_biotype:protein_coding transcript_biotype:protein_coding NHVHILPPLINTIFFQTKSNLECLFAKKKRLSIRKQGVFQVPHCTTSSSSSGSKKNDQDDNNFNLDSWLTLFNGNDKETPSKSKDGNKPPWTNILLPLLLFNDPKEILLWNMVSNAKEYIGKHVEIKFKSIGHDGMTVIWHIGLQGLFFNLWPVSN >DRNTG_10732.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1965076:1965548:1 gene:DRNTG_10732 transcript:DRNTG_10732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCADILAVAARDSVVAVSFYVYCSWEDPHGQ >DRNTG_14703.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20880727:20881611:1 gene:DRNTG_14703 transcript:DRNTG_14703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIDEQPRTTAKAAVVHTVTSQALQKGTVSRRQLDAGQRTQLQQKDGKESNKTGLVHNKRKAWLPPGVGSSASVGDTYRKAP >DRNTG_20472.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6529359:6530611:-1 gene:DRNTG_20472 transcript:DRNTG_20472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPKLYTTIFGVRCEIERFLCRDLVGVDALTRKIDQFVNVHQQHNPYRINYHPNQRSYPNLLWDIDGQQWEAPQQEFQLNENLEEDILRLERVLPRFIEATDARFQNIEATLSCHEISIKNIEHQLGEILDMLAKEKEEFEQARQVSPGHDEAMNNMEEIGK >DRNTG_00044.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21676846:21679304:1 gene:DRNTG_00044 transcript:DRNTG_00044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALKDVGVTEVVLAINYQPEVMLNFLKDFEAKLGIKITCSQETEPLGTAGPLALARDKLIDGSGEAFFVLNSDVINEYPFAELIKFHKSHGGEATIMVTKVDEPSKYGVVVMEEETGKVDRFVEKPKIFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPQIAADQKLFAMVLPGFWMDVGQPRDYITGLRLYLSSLRKRTPSKLAGGSHIVGNVLVHESAVIGEGCLIGPDVAIGPGCVVESGVRLSRCTVMRGARVKKHSCISSSIIGWHSTVGQWARIENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >DRNTG_00044.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21676846:21679304:1 gene:DRNTG_00044 transcript:DRNTG_00044.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALKDVGVTEVVLAINYQPEVMLNFLKDFEAKLGIKITCSQETEPLGTAGPLALARDKLIDGSGEAFFVLNSDVINEYPFAELIKFHKSHGGEATIMVTKVDEPSKYGVVVMEEETGKVDRFVEKPKIFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPQIAADQKLFAMVLPGFWMDVGQPRDYITGLRLYLSSLRKRTPSKLAGGSHIVGNVLVHESAVIGEGCLIGPDVAIGPGCVVESGVRLSRCTVMRGARVKKHSCISSSIIGWHSTVGQWARIENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >DRNTG_09537.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3638201:3650918:-1 gene:DRNTG_09537 transcript:DRNTG_09537.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGLLLNSSNSQKNEQEFEEKRAGCIAELKEEGWSLACIGAPMVITTLAQFFVQVVSSMMVGHLGELQLSSAAIATSLTGVTGFSLLLGMASGLETLCGQAYGAQQYQELGIQAYRAILSLFIVCIPISFIWVSMGKLLIFVGQDPLISKEAGKYALWLIPALFAYAITQTMTKYLQSQSLILPMLLSSIATLCFHIPLCWFMVFKSGLGNVGAALSISISYWLNVFKLGLYIKYSDSCKPTHTPFSVKAIKGINEFLRFAVPSVVMICLEWWSFELLILLSGLCRIQSWKPQSFLFGVARGCGWQHVGAYINLGAFYLFGIPVAIVLAFIIHLRGKGLWIGILGGATVQTTLLLVITFSINWQLQ >DRNTG_09537.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3639141:3650918:-1 gene:DRNTG_09537 transcript:DRNTG_09537.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGLLLNSSNSQKNEQEFEEKRAGCIAELKEEGWSLACIGAPMVITTLAQFFVQVVSSMMVGHLGELQLSSAAIATSLTGVTGFSLLLGMASGLETLCGQAYGAQQYQELGIQAYRAILSLFIVCIPISFIWVSMGKLLIFVGQDPLISKEAGKYALWLIPALFAYAITQTMTKYLQSQSLILPMLLSSIATLCFHIPLCWFMVFKSGLGNVGAALSISISYWLNVFKLGLYIKYSDSCKPTHTPFSVKAIKGINEFLRFAVPSVVMICLEWWSFELLILLSGLCRIQSWKPQSFLFG >DRNTG_09537.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3637826:3650918:-1 gene:DRNTG_09537 transcript:DRNTG_09537.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGLLLNSSNSQKNEQEFEEKRAGCIAELKEEGWSLACIGAPMVITTLAQFFVQVVSSMMVGHLGELQLSSAAIATSLTGVTGFSLLLGMASGLETLCGQAYGAQQYQELGIQAYRAILSLFIVCIPISFIWVSMGKLLIFVGQDPLISKEAGKYALWLIPALFAYAITQTMTKYLQSQSLILPMLLSSIATLCFHIPLCWFMVFKSGLGNVGAALSISISYWLNVFKLGLYIKYSDSCKPTHTPFSVKAIKGINEFLRFAVPSVVMICLEWWSFELLILLSGLCRIQSWKPQSFLFGVARGCGWQHVGAYINLGAFYLFGIPVAIVLAFIIHLRGKGLWIGILGGATVQTTLLLVITFSINWQLQANKAHQRMFEERLPCENRLK >DRNTG_16009.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17613036:17621060:1 gene:DRNTG_16009 transcript:DRNTG_16009.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLVKHGNDDLSFRWGKKRGIGGENKDAQFYESFTFDNVEYCLYDCVYLFKKGENDAYIGKIVKIWEQSNHKKRVKILWFFRPCEIQNYLRVYSPLEKEIFLASGEGVGLTNINPLEAIAGKCHVVCTSVDKRNLQPSSTDVELADYIFYRVFDVGNYTISDVLADTVAGIEVKFLLNQRKDLKSASGSKVDANGIFNGQKDAFDDLHGHSKSASPKSLENRDNPATAIGSLPIDGGNEGNYDVEKPKHSTEDLANPTLSDERPSKKGRLIDEAFKSSGASQKDKVDSCLRKRKVEHGEKELKRAKLSHETLQKTSLACSAQNDEKADSQNLEVLQRPYADRSKWFKGFPWDLKIKKAHEVGTLVLLDNVDPSYTSSDIEDIIYNVFNLSCTAKVIPHSMFQDPNYGEAYVIFKARDMADMVVRKINSGCLMQENGRPLVCSKGLLKVPSKSSTSSFTGHLVIDKVRLQMQRDEMRKAVSTSHCSQPNTIEYEMALEWMLAQEKSAASLNLLNKVHHDEIRKVRRSLKA >DRNTG_16009.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17617578:17621060:1 gene:DRNTG_16009 transcript:DRNTG_16009.3 gene_biotype:protein_coding transcript_biotype:protein_coding VKFLLNQRKDLKSASGSKVDANGIFNGQKDAFDDLHGHSKSASPKSLENRDNPATAIGSLPIDGGNEGNYDVEKPKHSTEDLANPTLSDERPSKKGRLIDEAFKSSGASQKDKVDSCLRKRKVEHGEKELKRAKLSHETLQKTSLACSAQNDEKADSQNLEVLQRPYADRSKWFKGFPWDLKIKKAHEVGTLVLLDNVDPSYTSSDIEDIIYNVFNLSCTAKVIPHSMFQDPNYGEAYVIFKARDMADMVVRKINSGCLMQENGRPLVCSKGLLKVPSKSSTSSFTGHLVIDKVRLQMQRDEMRKAVSTSHCSQPNTIEYEMALEWMLAQEKSAASLNLLNKVHHDEIRKVRRSLKA >DRNTG_16009.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17612369:17621060:1 gene:DRNTG_16009 transcript:DRNTG_16009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLVKHGNDDLSFRWGKKRGIGGENKDAQFYESFTFDNVEYCLYDCVYLFKKGENDAYIGKIVKIWEQSNHKKRVKILWFFRPCEIQNYLRVYSPLEKEIFLASGEGVGLTNINPLEAIAGKCHVVCTSVDKRNLQPSSTDVELADYIFYRVFDVGNYTISDVLADTVAGIEVKFLLNQRKDLKSASGSKVDANGIFNGQKDAFDDLHGHSKSASPKSLENRDNPATAIGSLPIDGGNEGNYDVEKPKHSTEDLANPTLSDERPSKKGRLIDEAFKSSGASQKDKVDSCLRKRKVEHGEKELKRAKLSHETLQKTSLACSAQNDEKADSQNLEVLQRPYADRSKWFKGFPWDLKIKKAHEVGTLVLLDNVDPSYTSSDIEDIIYNVFNLSCTAKVIPHSMFQDPNYGEAYVIFKARDMADMVVRKINSGCLMQENGRPLVCSKGLLKVPSKSSTSSFTGHLVIDKVRLQMQRDEMRKAVSTSHCSQPNTIEYEMALEWMLAQEKSAASLNLLNKVHHDEIRKVRRSLKA >DRNTG_32584.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001863.1:4918:5796:-1 gene:DRNTG_32584 transcript:DRNTG_32584.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELETRTTTNSGVSTGAPAITKRLWHIIRLLYITLRNGISKRKLMLDLHHLFHRGKLAGKAFTNLLSLHHHHSTTSYGGAPFSSFSCRFMDPNLSFYDPREVEFSCSNTPVKRKHHRHHHHHHFNATDIARVFEFLNEDNENPSTLPSPSPLWPFGKSPAGVRSLRVTDSPFPVKEDEVDGRVDKAAEEFIKRFYEQLRSQPAATPEYSYYNYRRRTPLIGRA >DRNTG_02309.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:726635:729317:1 gene:DRNTG_02309 transcript:DRNTG_02309.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 36 [Source:Projected from Arabidopsis thaliana (AT3G54260) UniProtKB/Swiss-Prot;Acc:Q940H3] MLLSVVFQMGRKPATASKPSHSLFLLFFITIFPVLLASLDMGRIDQHWLEEKEDEVDEVQSHQSSPKDCDCDFSIGEWVYDKSYPLYDATCPYLSTQVSCRRNGRPDLDYEKWRWRPQHCWIPRFNALDFLEKIRKKRVMLVGDSIMRNQWESLVCLVESVIPAESKMLSSHGPTMAFHAMDYQASIEFSWAPLLVELKEDEGNKKVVHLDAIEENAKYWRGVDVLVFDSAHWWTHTGKWRTWDFYMEGERIFKDLNPMVAYEKGLTTWAKWVDLNLDPRTTRVIFRSVSPRHNRENGWQCYKQREPLVYSGYTPHVPGQLVVLREVIKKMKFPCHLQDITSMSALRRDGHPSVYTSAPTTAPVPELKAHQQSDCSHWCLPGVPDTWNELLYALLI >DRNTG_24863.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20167779:20174124:1 gene:DRNTG_24863 transcript:DRNTG_24863.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYTVKRLFTDLGVNVSVHELDQDPNGKEIERALINLLGKSSSVPAVFISGKFIGYTEKVMSLHLSGDLMILLRNAGVVGRKMVVEGGESGKGLLDDTLELQHVVIM >DRNTG_19193.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:149720:153019:-1 gene:DRNTG_19193 transcript:DRNTG_19193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGSSKMLGDTERSLARIKRQLTWGSGRHILQGPLLKRSEMLRNWNERWVILDPTTGKMEYKTDRNEVLIKGRMDFDSNSTIILSPVNFHGHPKYDGCCFYIGTPQKKFYFLCAETSGIARAWVATLRASQLVLRVHKETINSIRGNVSTTLQSVATVIAAANTTALEASKEIEAAMKISIGGAGFWTWLMMSQMKLTLMS >DRNTG_33763.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32481559:32483281:1 gene:DRNTG_33763 transcript:DRNTG_33763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEKTEKGLHAETLLNGVSKSEPWWRIADKNELASLVAQKSLVHIENCDLPRPTQALPVCTGPFTCLKRFNNDLILSSSSCQKSQQAGSCNPIWLTHKSPSISSSGSHEDNTPTKEPSEGGLTFKADPSRAQLLEALCHSQTRARKAEIAAKKAHEEKQHIIKLLFRQASHLFGYKLWLHILQMEILCLQLKLNDDNFLPWISFKQRPSNKESNESTRLSRKKQKNVILSSVCLGLAGAGLLLGWAVYRRAIKCSYETNHA >DRNTG_00645.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16745787:16756105:-1 gene:DRNTG_00645 transcript:DRNTG_00645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAHGARETHSQMPAARTMTCYNAAIVPLQCWDPKNAVFAADIHIRRYRATAADKGFIRRYKPRTSTNKSRYRRLLENTQKLFIRSLEEKIAQESQGFGSNIELWCKLFAFMDKDMLLLLLIILPCMQHEPADAAVFSNNTQVGNGLSPNFTSMKVIKHKDMEQCLVHSMNPVLVFSSSFDYLREFCWMDDDYRNSAFSSRRWYIFQTFNDGVLHIERTFDLLLALNTTVAIQLALLVLARCLRGKWWACNLEQDKREHYSNNQSILLRKLISSSEGLSEYGFTIYTVRDLEKATNNFNPTSIIGNGGHATVFKGFLSDKRAVAIKMSKLTNPQDMKDFINELFILSKIRHKNVVKLFGCCLETEVPLLVFDFIPNGTLANHLHVRQSSSSLSWENRLRIVTEISGALAYLHCNASIFIFHRDVKSSNILLDNNFTAKMSDFGASRSILVDESASVGSGLVTLVQGTLGYLDPEYYHTRKLNHKNDVYSFGVILVEILTGKNPVSFTLTEQQTNLRSWFISAMKKKRLIKIVESHIVNIESRRQIEAVAKIAETCLRLRGDERPTMKEVEMALVSLSSSDRQNFHYTKKVFLRRFSSPIEAVITASIDVALVFYPSLNRLVSSGLYTLEPVCTNRWVLEAVITASIAIKFSLP >DRNTG_18711.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14735202:14739007:-1 gene:DRNTG_18711 transcript:DRNTG_18711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISPRVTLGLRVLVGNLVNSTSVPRVLCGHNRVNGLRDEPPLGPSCAYTADEIVDEYIQEMFNLDPYEVLFDQEESNEEVMMLGSNGVETSTPGIFKNVLRKMKRARRRHRKCPKTIGDVHEPRKLDERLLGQALSV >DRNTG_04185.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:585408:585755:1 gene:DRNTG_04185 transcript:DRNTG_04185.1 gene_biotype:protein_coding transcript_biotype:protein_coding YSNNQQSSNKENDNVDSCPFKDSPKLLK >DRNTG_12530.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18756723:18757609:-1 gene:DRNTG_12530 transcript:DRNTG_12530.2 gene_biotype:protein_coding transcript_biotype:protein_coding QAFFCLLLWWILPCFIAKATREIIRFVYVHHGSIWKSVIFTLASIISWTYLTTIFLSACVLFNLVCNLQVIHFDDYVKLLERDTEALVYLEEHVRLRYYLSKISHRFRIYLLLIFLFVTASQFVALFQTTGYSEIVNFINAGDLAVSSVVQVVGIVLCLHAAAKISHRAQGIASVASRWHALVTCTPNGDSSYPRVTSSSGNLDAVSPNALLREFSESDLDSLDNLPVQTNTHLVSYMSSYHKRQALGTQLSRLFGFSNK >DRNTG_12530.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18756723:18759722:-1 gene:DRNTG_12530 transcript:DRNTG_12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLFVDQHHGHLERFQTQYVRRIQAFFCLLLWWILPCFIAKATREIIRFVYVHHGSIWKSVIFTLASIISWTYLTTIFLSACVLFNLVCNLQVIHFDDYVKLLERDTEALVYLEEHVRLRYYLSKISHRFRIYLLLIFLFVTASQFVALFQTTGYSEIVNFINAGDLAVSSVVQVVGIVLCLHAAAKISHRAQGIASVASRWHALVTCTPNGDSSYPRVTSSSGNLDAVSPNALLREFSESDLDSLDNLPVQTNTHLVSYMSSYHKRQALGTQLSRLFGFSNK >DRNTG_06208.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26211080:26215121:-1 gene:DRNTG_06208 transcript:DRNTG_06208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYVDLVLHYGGKWRNEDERVYEGDQVEIIKSVDVDYILFFTLLNYFLDVGCERGGRMWFKINGLSTNDGIEEILNDIDTSNMVYYNSGSNSIDVFMVEHEPPMNKDLRQQSMERPGEHSGIHDSCSSDETYQPSKESSDAEIYDDSDLSNASWMYEDFEGDEYDIFNIGPDVDHGRSDGVDASKATKMEGTAANPKKENLKEDEIEEFNEPAHYEDELLSLHGFDDETSHVYADFNENGDVKSPHLETGMKFSSHKCFREALRAWVITRGYSYKLTKNTKSRITAVCEDGYGFKIHASRYKDTFSFQIKTFMAEHSCLRKTLGMYEVDFYDNTSVVKLADRTCSYKMRELTGIPYKHAIAAIHSNKEEPEAYVHKFFIKATYLEVYKHSIQPMPS >DRNTG_15683.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000783.1:34618:35645:1 gene:DRNTG_15683 transcript:DRNTG_15683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMVDKCGEMVVNMDSHKTVPAPFLTKTYQLVDDPLTNHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVADRWEFANEFFRKGEKHLLSEIHRRKTPQISQQFQQEDHQIATWIDCPVPLPGGDTDYISALSEDNRRLRRRNLVLLSELNHMKKLYNDIIYFLQNHVSPVVPASSPSGRLMEIGDHDDQLQCFKKPSSSSISIAGESADGAAFKLFGVHLHGKKKRLHQEASTHVEDHQAQCHLISRFT >DRNTG_20243.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001106.1:9777:10039:1 gene:DRNTG_20243 transcript:DRNTG_20243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAKAKKKSKTKKSLEANAAKELPSQVAWEGWFRPHQSSSQSPQEMNQPILARMPNYEDEETQDPTMSAEENHDDITPEIEKN >DRNTG_17954.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4706654:4709175:1 gene:DRNTG_17954 transcript:DRNTG_17954.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLMMFVGLVVMVMQSSITSGDQASIGAQVPAMFVFGDSLVDDGNNNYLASIAKANYYPYGIDFFQGPTGRFSNGKTVIDFLCELLGLPYIPAYTTPGLNGTRLINGVNYASAAAGILEETGLYLGDRFSLDQQVLNFENNLNDLRQVIGDAGNFTQYLARSIVVMVLGSNDYINNYLLPSLYHSSYIYNTQEYANLLLNHYTRQLLALHSVGLRKFLLAGIGPLGCIPNQRATGYAPPDRCVSQVNAMVGLFNVGLRNLVQQLNTNHPGAVFVYGNTYGALGDLLNNPRNYGFLVVDRACCGLGRDRAQITCVPISTPCSNRREYVFWDAFHPTEAVNRILAERAFRGSTNDCYPVNVQQLAQI >DRNTG_06771.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21648267:21652689:-1 gene:DRNTG_06771 transcript:DRNTG_06771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLHNAPHSMGTTIIGVTYDGGVVLGADSRTSTGMYVANRESDKITQLTDNVYVCRSGSAADSQIVSDYVRYFLEQHTIQPGEPATAKVAANLVRLLSYQNKNMLQTGLIVGGWDKYEGGQIYSIPLGGTILKEPFTIGEIGGEGCFSCHCS >DRNTG_16275.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4446937:4447670:-1 gene:DRNTG_16275 transcript:DRNTG_16275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLSLWLDGSILEMPEVFDIAPCEDGYRMGFLIGQRFSSLIRSRAARDVILQEQLLPFAQTPPGRELLEALSINNKQAYPRYWDEMLGMAEGSGVPFLEIKLVNFRKELLPFVQMQGGPREAVGGEDCSDVLVVNDSLAVAAHNEDANVALVGHT >DRNTG_06401.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7539500:7541091:1 gene:DRNTG_06401 transcript:DRNTG_06401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKVGKITMNENVVEENTKMIDEKNDEIEEEVKMEVEIKTGVSFPVKLNDGKQLNTMGIRKKKILALGVNIYAFGIYADNMKLKEDLKAKFGKAPEKANKELYDAVINTDVGMTVRLVIVYGSLTMSMVRKNFDEGLGASLKKLNGGQKNEDLINKNSMNAVKDELISQVESELLCRAYLHMYLGDDPFDKEAKERFGASLLSLF >DRNTG_33932.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22035126:22037702:-1 gene:DRNTG_33932 transcript:DRNTG_33932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSCHGELCCSMTNHGSSLGSFNQCRSLLSGLQGSIDGCTYAVEDDCRTTVWTYQQNNDGLLDSFQPDKELQRKKNISYVRKRRDVYKALGSGSKAETATILVFGTVFSGPYKGSELYIDIHEAPSDSRIQSLHNKIKHLPFTPEITDAGKEFAINKIKVPFLCAQLRLLDGQFKNHWKTTFSDLEQKIKSVRLEAESKLTSPLHMFIMTDLPRSNWTGTYLADLAENSNSYKLYTLNEADEIVEQTAKRLMKAEHAMRSSFRLKSNSETLKNRHCDAGRLPDILLFIEKTICSCASLGFVGTTGSTIAESIELMRQHNVCKH >DRNTG_01189.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29422309:29426014:-1 gene:DRNTG_01189 transcript:DRNTG_01189.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLP1 homolog 5 [Source:Projected from Arabidopsis thaliana (AT5G39930) UniProtKB/Swiss-Prot;Acc:Q9FLE2] MNSGQAPPPPSTRQFKLEKESELRVEVGPDGPLRIRLLTGTAEIFGAELPPENWLTIPPRLKFAIFTWNGATIEVDGNSDVYVADETPMVSYVNVHAILHGRRARARAAPNDDLDSSQGPRVIIVGPIDSGKSSLCKMLLSWACKQGWKPTFVDLDIGQGSISIPGCIAATPVEMPIDIVEGIPLEMPIVYFYGHASPSVNAELYKVLVKELSRTLERQFTGNAESRAAGMVINTMGWVEGVGYELLLHSIDTFNANVVLVLGQEKLWSMLKAVLKNKPNVDVVKLHKSGGVVTRNVKVRQKSRSSAIREYFYGITNDQSPHSNIVNFSDISVYRIGGGPQAPRSALPIGAEPIADPTRLVSVNINRDLLHLVLAVSYAKEPDQIISSNVAGFIFITDIDIQRKKLTYLAPCGGDLPSKLLIVGTLTWLEN >DRNTG_12282.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24736906:24737304:-1 gene:DRNTG_12282 transcript:DRNTG_12282.1 gene_biotype:protein_coding transcript_biotype:protein_coding RQSASPGGARNPHSKSLPSSRTNRVPDRNLSDDDEELDEITYGDDSLESLRSLYSNHTKKKQEISLAENENARR >DRNTG_22032.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2473172:2473663:-1 gene:DRNTG_22032 transcript:DRNTG_22032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELHFLWALRKPTDSKGEVLPEGFEERTKEHGVVTLGWVPQLDVLGHMSVGGFLTHSGWSSVIEALQFGHPLVLLPILADQEINARMVEHRGFGVEVKKKQDGSFDREAVASALRLVMVDDEGMKVRVKAKELSVIFADKERQEQYVDDFLQHLRDYTEQHA >DRNTG_01869.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21170364:21173734:-1 gene:DRNTG_01869 transcript:DRNTG_01869.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQVDKAEIFNLSNGKITVKISNWGATITSLLVPDAHGSFADVVLGFDSIEPYINGAAPYFGCIVGRVANRIKNGKFTLNGVEYSLPINKPPNSLHGGTKGFDKVLWDVAEYKNGEIPSITFKYHSKDGEEGYPGDVSVIATYSIPSSTTLKLEMEAVPENKPTPISLAQHTYWNLAGHNSGNILEHSIQIWGSQVTPVDQYTVPTGEFIPVKGTSFDFTIENKIGSRIDQVPGGYDHNYVLDLGEEVSGLKPAASLRDPLSSRVLKIWTDAPGMQFYTGNYVNGVVGKGGAVYNKHAGVCLETQGFPNAINQPNFPFVVVHPGEKYRHTMVFEFSVE >DRNTG_01869.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21170364:21173209:-1 gene:DRNTG_01869 transcript:DRNTG_01869.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQVDKAEIFNLSNGKITVKISNWGATITSLLVPDAHGSFADVVLGFDSIEPYINGAAPYFGCIVGRVANRIKNGKFTLNGVEYSLPINKPPNSLHGGTKGFDKVLWDVAEYKNGEIPSITFKYHSKDGEEGYPGDVSVIATYSIPSSTTLKLEMEAVPENKPTPISLAQHTYWNLAGHNSGNILEHSIQIWGSQVTPVDQYTVPTGEFIPVKGTSFDFTIENKIGSRIDQVPGGYDHNYVLDLGEEVSGLKPAASLRDPLSSRVLKIWTDAPGMQFYTGNYVNGVVGKGGAVYNKHAGVCLETQGFPNAINQPNFPFVVVHPGEKYRHTMVFEFSVE >DRNTG_25329.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6493840:6495548:-1 gene:DRNTG_25329 transcript:DRNTG_25329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHKEESLMEKIKEKLHDSSSDSDNESTAAAIKAKIFRLFGREQPVHKVFGGGKPADILLWRNKKVSGGVLAGATAIWVLFDLMEYHFLTLIGHCFILSLAVLFLWSNASTFINKSPPHIPVVEVSEDLFVSIALSLRHEINRGFAVLREIAAGRDLKKFLAVAAGLWVLSILGSCCSFITLFYILVVVLFTVPFLYEKYEDKVDSFAEKATAEFKKHYAVFHAKVITKIPVAQLKAKKLH >DRNTG_25553.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3072009:3073186:-1 gene:DRNTG_25553 transcript:DRNTG_25553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHHIETGANELSFTYYAKSCPTMEAVVHNKVAQWIKTDLTLAPAIIRLHFHDCAIRGCDASILLNHAGSERLAKGSRTLRGFNVINDIKQELERRCPKTVSCADILTSAARDATLKVGGPFWEVPYGRKDGRVSKAKEAELVPMGHENITQLIDFFQARGLNPLDLVVLSGSHTIGRSTCSSFQNRLYNFTSKGKPDPTIDPKYLKFLKKKCRGSTMSKYAPLDATTPTKFDAVYYENLERRMGLLSTDQLLYSDMRTAPIVAALASQPMLFSQQFAVSMVNLGNVQVLTGNQGEIRTNCNFVNL >DRNTG_24425.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8348196:8348531:-1 gene:DRNTG_24425 transcript:DRNTG_24425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCSSRTTGAGISTSPSKTSLSINSNNKQINK >DRNTG_24779.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19931336:19931607:1 gene:DRNTG_24779 transcript:DRNTG_24779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLDSRRLSCPPPICMTHSPTLHP >DRNTG_18207.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1127879:1135615:-1 gene:DRNTG_18207 transcript:DRNTG_18207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWPVTYEAAGRQRKTTTDATSSGSPRRP >DRNTG_18207.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1127879:1128312:-1 gene:DRNTG_18207 transcript:DRNTG_18207.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIWPVTYEAAGRQRKTTTDATSSGSPRRP >DRNTG_24363.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2924278:2925295:1 gene:DRNTG_24363 transcript:DRNTG_24363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANVRLGKALREECWSICFRIAWASTAKDFDDTVNELQAISPEAHHWFKLMRMLCNRREQATKWETYLCPDIHSKLEIIVEDSRNLRVGRCVDDRYEVIDQCSNSVDLAIRTCSCRRWQVYGIPCKHACAAIMQTDTNVHRFMSGYFTVDNYKLAYKEAIFPIPDDDRPSDGNRELRLRPPVTRRQPGRPRRKRIESQAFDVRELHCSRCQGSGHNRRSCNETVAD >DRNTG_09594.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23684942:23694802:1 gene:DRNTG_09594 transcript:DRNTG_09594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHHATLGRRTLEEIRQKRAAERLHKASSGPDLNSSNAYGAYSLEGGIRASERDSYALPSRVKELESRNAELEAENQKLLSKLEAKDADNDTLVKRVNELENNVLPGLRKALKDVSIEKDAAFVAKEDALSQLRTMKKRIKEAEEDQYRAEEDAAALRAELSALQQQGFGNSFGGFSSTGKSAEDIAAIEKEMGDLKLVLQQESQLRLREQQKLADEQLRLSSLMAEKQMLEDKYQELSKKASDEALEVAARKAFIVQDKERYDQQLHDMAA >DRNTG_20703.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1293656:1295758:1 gene:DRNTG_20703 transcript:DRNTG_20703.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATASAQGPTTKRKPIFTKVDQLKPGTNGHTLTLKVLSSKPVPQKIRPATSQLRQTRIAECVVGDETGTIIFTARNDQGRKLKSEDSRLVQRGLLQHCFNCAERGSLSISSIWQRKVSHLLNPQLFLQKYQWPLQFVKVRALT >DRNTG_20703.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1293656:1294526:1 gene:DRNTG_20703 transcript:DRNTG_20703.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATASAQGPTTKRKPIFTKVDQLKPGTNGHTLTLKVLSSKPVPQKIRPATSQLRQTRIAECVVGDETGTIIFTARNDQGRIFLPISPFLH >DRNTG_20703.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1293656:1296809:1 gene:DRNTG_20703 transcript:DRNTG_20703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASAQGPTTKRKPIFTKVDQLKPGTNGHTLTLKVLSSKPVPQKIRPATSQLRQTRIAECVVGDETGTIIFTARNDQVDLMKPDGTVIVLAQKIDMFKGSMRLAVDKWGRVEVTEPATFTVKEDNNLSLVEY >DRNTG_24532.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6095213:6104416:1 gene:DRNTG_24532 transcript:DRNTG_24532.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP85 [Source:Projected from Arabidopsis thaliana (AT4G32910) UniProtKB/Swiss-Prot;Acc:Q8RXH2] MRADLPTGKLGQCCKTKPDFVKAWEKWRGHITKLECSAFWVQCGHRQTREGLRNLLQIMLGNIKNLTTSTCHWMELYISHFLFIRPFSTGLEGMHSLAQKCMQLKPSSNSSGLIGVFMGILGGNTEVVLAECSKAFGPWMVAHAMELLTATDDQADILLHEERYNLGGISMEELHRLVYAQVLSSHPLTWQIAPTYLASCSKQGLGLLEVLLYRQSVHNLRVTLKNLEICRLYELENMSACIMKIAGVYYWKHGRRGSGIHWLQQAHDEVRLNRIAQQLFECIGKSISDDSFKQWEGLIELLGSEVGTAGGLEFLHKYRDFKRSLQLVHDKKAADAARQTVESLVQLMRSPSTPRRFWLPLLHDSVHLLNWRGQALLNVSETNLLLSKLQELSMAKLHPDFSNFDLPAQALATVRLALAANLKQAILEES >DRNTG_08852.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27854135:27855310:1 gene:DRNTG_08852 transcript:DRNTG_08852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLPSYSQSDPESTPFDKMMPWLIGRSRHRPLRPAVELQRATLVNVKLKWTKDRTLDSVVSRERHLRPVLHLIERISADSSGQSPAQELSPRRHHSEVSTFMRRFPSVFYETFSSRTGGPWFALTNAACLLRQRELRLLCQMESDFVDRLRRLLMMSVDRALPLGTIDQLRWDMGLPSCYQRSLVPRYPTFFDLIQPPGDERVWMKLVSWDTRLAVSELQRSRAAQVSEEGEEKCLAFPVRFPRGFGLKKKCMEWLHEWQTLPYTSPYADASGLDPRTDVSEKRNVGVFHELLHLTLGKKTERKNVSNLRKALSLPQKFTKVFERHPGIFYLSQKMGTQTVVLREAYGAGRELLYKHPLIDIRDEYLALMKDSWTTRRSDERADECTCV >DRNTG_13499.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21853735:21854394:-1 gene:DRNTG_13499 transcript:DRNTG_13499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSLPTPPPPPPSSSSSSQHQQQQQQQHQQQQQQQPSRYEAQKRRDWNTFQQYLRNHKPPLALSRCSGAHVIEFLKYLDQFGKTKVHSSSCPYFSNPNPPAPCSCPLRQAWGSLDALIGRLRAAFEESGGRPESNPFAARAVRIYLREVRETQARARGIPYEKKKRKRLPPSSSSSAAAATTTAASSSSAPPPPLLATADAATAPNSDDHQPGASSAS >DRNTG_26925.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2424425:2426911:-1 gene:DRNTG_26925 transcript:DRNTG_26925.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:Projected from Arabidopsis thaliana (AT2G05630) UniProtKB/TrEMBL;Acc:F4IHC1] MAKSSFKLEHPLERRQAEAARIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAAMMSAIYEENKDEDGFLYMTYSGENTFGLF >DRNTG_27925.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22702000:22706493:-1 gene:DRNTG_27925 transcript:DRNTG_27925.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSLLIVASMPVLQFLLIGLLGAFLATNYFNILTPSAMRDINKVTYVVFAPALVFASLAKTVTLSEIITWWYMPVNIGLTFLVGGIFGWLAVKILKPKKHLEGLIISNCAAGNLGNLLLIIIPAVCNEKASPFGDQQVCRDNSLSYVAMSMALGNIFIWSVVYGLMQMDGATIERMRNNENSSNSSQHNEVLKIESKEAYDDHEAVLEQKMPLLTDDKNKKNKGVLNQLLEELTTPPIISAIVGFVVGVIPWLKSLIIGANAPLRVIQDSITLLGDGLVPCITLILGGNLTKGLGKGGMKPLVIIAIIAIRYIVLPIAGIGIVRMANQLGFLPQDPMFAYVLMIQFTLPPAMSIGTMSQLFEVGQEECSVIFLWTYLVAGLAVTIWSTIFMWVLT >DRNTG_27925.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22702000:22706493:-1 gene:DRNTG_27925 transcript:DRNTG_27925.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSLLIVASMPVLQFLLIGLLGAFLATNYFNILTPSAMRDINKVTYVVFAPALVFASLAKTVTLSEIITWWYMPVNIGLTFLVGGIFGWLAVKILKPKKHLEGLIISNCAAGNLGNLLLIIIPAVCNEKASPFGDQQVCRDNSLSYVAMSMALGNIFIWSVVYGLMQMDGATIERMRNNENSSNSSQHNEVLKIESKEAYDDHEAVLEQKMPLLTDDKNKKNKGVLNQLLEELTTPPIISAIVGFVVGVIPWLKSLIIGANAPLRVIQDSITLLGDGLVPCITLILGGNLTKGLGKGGMKPLVIIAIIAIRYIVLPIAGIGIVRMANQLGFLPQDPMFAYVLMIQFTLPPAMSIGTMSQLFEVGQEECSVIFLWTYLVAGLAVTIWSTIFMWVLT >DRNTG_27925.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22702000:22705244:-1 gene:DRNTG_27925 transcript:DRNTG_27925.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSLLIVASMPVLQFLLIGLLGAFLATNYFNILTPSAMRDINKVTYVVFAPALVFASLAKTVTLSEIITWWYMPVNIGLTFLVGGIFGWLAVKILKPKKHLEGLIISNCAAGNLGNLLLIIIPAVCNEKASPFGDQQVCRDNSLSYVAMSMALGNIFIWSVVYGLMQMDGATIERMRNNENSSNSSQHNEVLKIESKEAYDDHEAVLEQKMPLLTDDKNKKNKGVLNQLLEELTTPPIISAIVGFVVGVIPWLKSLIIGANAPLRVIQDSITLLGDGLVPCITLILGGNLTKGLGKGGMKPLVIIAIIAIRYIVLPIAGIGIVRMANQLGFLPQDPMFAYVLMIQFTLPPAMSIGLICLKELRYCF >DRNTG_34744.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16676922:16684267:1 gene:DRNTG_34744 transcript:DRNTG_34744.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PTST homolog 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G03420) UniProtKB/Swiss-Prot;Acc:F4KFB3] MLKLTHERQNQAEIDRLKDMLNQKELELSHLKEQIEKEKAALSFLEAKVSTEISKAEEIISAKDIELRAAEETLSGLKEVLIEYWAIAETVEVAGSFNGWQHHIIMDLQPSSELEEPTSRKRQLWSTILWLYPGIYEIKFIVDGQWRIDSKLNIINSGGITNNILRVDR >DRNTG_34744.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16676922:16684267:1 gene:DRNTG_34744 transcript:DRNTG_34744.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PTST homolog 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G03420) UniProtKB/Swiss-Prot;Acc:F4KFB3] MALCHLSFFPFPPRPHLCASLSFLKRDACQFPLQGSISTACYARKSSGRGKESRAVKSNEELRSELREFISALGFPDDHVPSTKELTDHGRKDLANIVRRRGYKVIAELLKNSTTKDDNTKLASAENHVSGDSSKDEISDNKATTIQESAECTSEPFMSPREACMNTPNGSIALFTCASDNKDPTSSIESLRTKAAKFVLTGELDNLDENGMLASEHAPLLVPEQSLDRGDTTSCDELTIANLDENFTPEMLKLTHERQNQAEIDRLKDMLNQKELELSHLKEQIEKEKAALSFLEAKVSTEISKAEEIISAKDIELRAAEETLSGLKEVLIEYWAIAETVEVAGSFNGWQHHIIMDLQPSSELEEPTSRKRQLWSTILWLYPGIYEIKFIVDGQWRIDSKLNIINSGGITNNILRVDR >DRNTG_34744.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16678284:16678856:1 gene:DRNTG_34744 transcript:DRNTG_34744.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PTST homolog 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G03420) UniProtKB/Swiss-Prot;Acc:F4KFB3] RKDLANIVRRRGYKVIAELLKNSTTKDDNTKLASAENHVSGDSSKDEISDNKATTIQESAECTSEPFMSPREACMNTPNGSIALFTCASDNKDPTSSIESLRTKAAKFVLTGELDNLDENGKVSNLDQLLFLQLHMCEVH >DRNTG_34744.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16682015:16684267:1 gene:DRNTG_34744 transcript:DRNTG_34744.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PTST homolog 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G03420) UniProtKB/Swiss-Prot;Acc:F4KFB3] MDLQPSSELEEPTSRKRQLWSTILWLYPGIYEIKFIVDGQWRIDSKLNIINSGGITNNILRVDR >DRNTG_34744.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16678518:16681317:1 gene:DRNTG_34744 transcript:DRNTG_34744.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PTST homolog 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G03420) UniProtKB/Swiss-Prot;Acc:F4KFB3] MSPREACMNTPNGSIALFTCASDNKDPTSSIESLRTKAAKFVLTGELDNLDENGMLASEHAPLLVPEQSLDRGDTTSCDELTIANLDENFTPEMLKLTHERQNQAEIDRLKDMLNQKELELSHLKEQIEKEKVFGFSCAGE >DRNTG_34744.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16676922:16679944:1 gene:DRNTG_34744 transcript:DRNTG_34744.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PTST homolog 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G03420) UniProtKB/Swiss-Prot;Acc:F4KFB3] MALCHLSFFPFPPRPHLCASLSFLKRDACQFPLQGSISTACYARKSSGRGKESRAVKSNEELRSELREFISALGFPDDHVPSTKELTDHGRKDLANIVRRRGYKVIAELLKNSTTKDDNTKLASAENHVSGDSSKDEISDNKATTIQESAECTSEPFMSPREACMNTPNGSIALFTCASDNKDPTSSIESLRTKAAKFVLTGELDNLDENGMLASEHAPLLVPEQSLDR >DRNTG_34744.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16676922:16684044:1 gene:DRNTG_34744 transcript:DRNTG_34744.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PTST homolog 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G03420) UniProtKB/Swiss-Prot;Acc:F4KFB3] MDLQPSSELEEPTSRKRQLWSTILWLYPGIYEIKFIVDGQWRIDSKLNIINSGGITNNILRVDR >DRNTG_34744.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16682839:16684267:1 gene:DRNTG_34744 transcript:DRNTG_34744.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PTST homolog 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G03420) UniProtKB/Swiss-Prot;Acc:F4KFB3] RKRQLWSTILWLYPGIYEIKFIVDGQWRIDSKLNIINSGGITNNILRVDR >DRNTG_33653.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2065268:2069555:-1 gene:DRNTG_33653 transcript:DRNTG_33653.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA synthase [Source:Projected from Arabidopsis thaliana (AT4G11820) UniProtKB/Swiss-Prot;Acc:P54873] MELQRKDVGIVAMDIYFPPTCVLQESMEDYDGVSKGKYTIGLGQTCMAFCTELEDVISMSLTVVTSLLEKYQIDPREIGRLEVGSETVIDKSKSIKTWLMQIFEKCGNSDIEGVDSTNACYGGTAALLNCVNWAESSSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPIAFEGKFRGSHMAHVYDFYKPNLASEYPVVDGKLSQTCYLMAVDFCYRRYCAKYEKFEGKQFSLSDAEYIVFHSPYNKLVQKSFARLFFNDFLQNPSSIEKEAREKLEPFSTLTGDESYQNRDLEKISQQVAKQLYDAKVQPSTLVPKEVGNMYTASLYAAFASVLHNKHKILGGQRIVMFSYGSGLTSTMFSFKLNDGQHPFSLSNIASVMNISEKLNMRHVFPPEKFVETLKLMEHRYGAKDFVTAKDTSLLSPGTFYLTEVDSMYRRYYARKDADNVNTEDGIGFANHSLVNGHK >DRNTG_04524.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19289266:19293814:-1 gene:DRNTG_04524 transcript:DRNTG_04524.2 gene_biotype:protein_coding transcript_biotype:protein_coding SIETSPPNTTSSPNHFSLTNISPWLKNKNKNKIQLKYTKHASRHTNNGKEDLLSMETQSDHLHHGTSESKNIN >DRNTG_04524.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19289266:19293814:-1 gene:DRNTG_04524 transcript:DRNTG_04524.4 gene_biotype:protein_coding transcript_biotype:protein_coding SIETSPPNTTSSPNHFSLTNISPWLKNKNKNKIQLKYTKHASRHTNNGKEDLLSMETQSDHLHHGTSESKNIN >DRNTG_04524.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19289266:19293814:-1 gene:DRNTG_04524 transcript:DRNTG_04524.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPLQEIRNPYSEEHKQSLPDSPYKKDHSNNTPKTSYDFPLIATLPE >DRNTG_04524.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19289266:19293814:-1 gene:DRNTG_04524 transcript:DRNTG_04524.7 gene_biotype:protein_coding transcript_biotype:protein_coding SIETSPPNTTSSPNHFSLTNISPWLKNKNKNKIQLKYTKHASRHTNNGKEDLLSMETQSDHLHHGTSESKNIN >DRNTG_04524.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19289266:19293814:-1 gene:DRNTG_04524 transcript:DRNTG_04524.6 gene_biotype:protein_coding transcript_biotype:protein_coding SIETSPPNTTSSPNHFSLTNISPWLKNKNKNKIQLKYTKHASRHTNNGKEDLLSMETQSDHLHHGTSESKNIN >DRNTG_04524.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19289266:19293814:-1 gene:DRNTG_04524 transcript:DRNTG_04524.5 gene_biotype:protein_coding transcript_biotype:protein_coding QRAKFHKTTSATLLTINSEEHKQSLPDSPYKKDHSNNTPKTSYDFPLIATLPE >DRNTG_04524.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19289266:19293814:-1 gene:DRNTG_04524 transcript:DRNTG_04524.3 gene_biotype:protein_coding transcript_biotype:protein_coding SPLQEIRNPYSEEHKQSLPDSPYKKDHSNNTPKTSYDFPLIATLPN >DRNTG_17410.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14779665:14780270:-1 gene:DRNTG_17410 transcript:DRNTG_17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRDPIKTLEVNTAYPFSYSMPSIARRPMPLSTYLYHSPVTPSPSKVRALNVCSASPHCSYSSTASCPVAVRNQRQMAVPNYMVATELAKARHRGRDLSLLDDGYPAIALVEALELGEEDVEVAKALLSWIALMTWSL >DRNTG_07720.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2369827:2375159:-1 gene:DRNTG_07720 transcript:DRNTG_07720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLQPSAAQGWLGSQGASSGLIVKKTIRVDIPVDKFPTYNFVGRLLGPRGNSLKRVEASTECRVLIRGRGSIKDPTQEDMLRGKPGYEHLNEPLHILVEAELPVEIIEARLMQAREILEDLLKPVDESQDFFKKQQLRELALLNGTLRDEASHMSGSVSPYNSLGMKRAKTRG >DRNTG_07720.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2369827:2375159:-1 gene:DRNTG_07720 transcript:DRNTG_07720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNGWASAFQPERMGMLQPSAAQGWLGSQGASSGLIVKKTIRVDIPVDKFPTYNFVGRLLGPRGNSLKRVEASTECRVLIRGRGSIKDPTQVKQYFFFIIKKALSFC >DRNTG_07720.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2369827:2375159:-1 gene:DRNTG_07720 transcript:DRNTG_07720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGWASAFQPERMGMLQPSAAQGWLGSQGASSGLIVKKTIRVDIPVDKFPTYNFVGRLLGPRGNSLKRVEASTECRVLIRGRGSIKDPTQEDMLRGKPGYEHLNEPLHILVEAELPVEIIEARLMQAREILEDLLKPVDESQDFFKKQQLRELALLNGTLRDEASHMSGSVSPYNSLGMKRAKTRG >DRNTG_07720.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2369827:2375159:-1 gene:DRNTG_07720 transcript:DRNTG_07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRYMPYSPSPSAPHSPHLSGIRSASSALAEQEKYLSELLAERHKLGPFTPVLPHSYRLLNQEILRITTLLGNASLLDQSGLEHGRPLTTGGLFSNGGAADMNGWASAFQPERMGMLQPSAAQGWLGSQGASSGLIVKKTIRVDIPVDKFPTYNFVGRLLGPRGNSLKRVEASTECRVLIRGRGSIKDPTQEDMLRGKPGYEHLNEPLHILVEAELPVEIIEARLMQAREILEDLLKPVDESQDFFKKQQLRELALLNGTLRDEASHMSGSVSPYNSLGMKRAKTRG >DRNTG_20103.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:308042:311046:1 gene:DRNTG_20103 transcript:DRNTG_20103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAVVRNKPGMASVKEMPLLQDGPPPGGFPPVRFARRIPTTGPSAVAIFLTAFGAFAWGMYQVGKGNKIRRELKEEKYAARSAIVPMLQAEEDERFVKEWKKYLEEEARIMKDVPGWKVGENVYNSGKWMPPATGELRPEVW >DRNTG_01621.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1007484:1008948:-1 gene:DRNTG_01621 transcript:DRNTG_01621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIALKCPSIEVVVVDISVARITAWNSDQLPIYEPGLEDVVKKCRGVNLFFSTDTEKHISEADIIFVSVNTPTKTCGIGAGKAADLTYWESAARMIADVAKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINFQILSNPEFLAEGTAIQDLFYPDRVLIGGRETPGGQKAIETLKEVYSNWVSEDRIITTNLWSAELSKLAANAFLAQRISSVNSISALCEATGADCKEVAYAVGKDTRISPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVAHYWEQVVKINDYQKTRFVNRVVASMFTAVSGKKIAILGFAFKKDTGDTRETAAIDVCKGLLRDKAKISIYDPQVTEDQIQRDLTMNKFDWDHPIHLQPMSPSAVKQVTVTWDAYEATKGAHGICILTEWDEFKQLDYKKIYDNMQKPAFLFDGRNVVDPEKLREIGFIVYSIGKPLDAWLKHIPVVA >DRNTG_16991.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11398056:11398676:1 gene:DRNTG_16991 transcript:DRNTG_16991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDDPNYKKGFKFDHVWHIVRNFEKFKDNVITSRQINRKHGFDYVSSESENPTPESQAPESPGLSQFSLNLDDSVGGSPSERPIGQKKAKLKKKMDDEVASSISRLKDDNSKIMEMLEKTNADRQMFLEMQNKNLAFQQMRYEDKILMRDLNSIADPNIRACIQAQQQEILQKRGHFQQPPPSGSNMFSDIFGNIGGSGDNMPDY >DRNTG_05861.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31479461:31480852:-1 gene:DRNTG_05861 transcript:DRNTG_05861.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQQQGQGQVAPFVAKTYQMVSDPMTDELILWGRGNNSFLVLDPAQFSQFLLPSYFKHCNFSSFIRQLNTYGFRKVDPDRWEFAHESFLRGQTHLLPFIMRRRKKVDDHGVEKKEGFNEEDEEDDDDGDVLLQELGRLRREQRALEEELQGMNKRLQATERRPHQMMSFLAKVAEDPDLLPRLINSKKKQQQHQQQQQGLVAGGDKKRKLITAPPPPPPPPPSSSSYVHDIPPMMMVMQNQAAEPYFDLSPLMFEGSSHSCYLEPTVATCDGSMKNPAAFQVQLEVAEPSQVAYPFSLLGHGFI >DRNTG_23371.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5784154:5806759:-1 gene:DRNTG_23371 transcript:DRNTG_23371.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKGLALFLRARVRPPHPPLPPNQGRYPDSPPSQSLPLPNSNMDPSLSNHHRANNISPSLMLKDVKDWMHASMSIPAHKIEPVDTNLENFLQGYLGLAQEDRRELILVLAKDYDVNRTRVRDLMQQYLSLEPPHALNDGHSSGPEEEGILSAFYRTERNLRDAIKPMYALFFERLNAYPGGLKLLVVLRADLLAILAKENIPSLRALDSFLKEKLITWLSPASLGLHHITWDDSASLLEKIVAYEAVHPIKNLLDLKRRLGVGRRCFGYMHPAIYGEPLIFIEVALLKDVAVSIQDVLWDEPPTPECEASCALFYSITSTQPGLAGINLGKFLIKRVIELIRREMPHITTFATLSPIPGFMQWLLSKLASQFKIGKTETEDASTLSHMGFGSVFRENILLPEEEKMIVDSSVGYAVGNSGLEIMKNLLASTNNEWIKSDCLLKALKPPLLRLCARYLLKERKRGKALDPVANFHLQNGAMIERINWMADQSEKGLQQSAGLMVNYVYRLDHIEEYAELFINEGRIHASPALQQYVNDNL >DRNTG_12338.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20757745:20758052:-1 gene:DRNTG_12338 transcript:DRNTG_12338.1 gene_biotype:protein_coding transcript_biotype:protein_coding NRVSRSIRSGVIWINCYFAFDRDCPYGGYKMSGFGRDLGLNALDKYLQVKSVVTPIFGSPWL >DRNTG_24461.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4230834:4236490:-1 gene:DRNTG_24461 transcript:DRNTG_24461.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRRMVRVPLMAINKGSTEQLDEFTWRKYGQKPIKGSPYPSEVSGSRGLRRPLPPFPAQAALLLPMTGIPPQLL >DRNTG_12129.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4465431:4468335:-1 gene:DRNTG_12129 transcript:DRNTG_12129.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIRRTADAFGVVTICLVAIAAFLGLLCIYRLVYFQLRIRRRCFLQLSYFNGPWLTRIALILVAVWWGFGEVVRLTLLKGEGRLFSSLTWQKDVCKFYIVSNLGFAEPSVFLMLGFLLRASLQRRETGTLSPRWNRKTVGYVLFYSLPVFVMQLVLILIGPKFVKPKSNHRSNLTNFFSTSVMNEQISICTNPLVSTMILGLFHSSMICYICYIGTKVVSLVINKGLRLRVYILVASVIIFLPMRVLLLGLSVLPHRGHLVFETMVFAAFVVLLVCIVVGVCMLVYFPVADSLALQDVGHIRMDDMPYDDYYSDGASLIANQSHQETGRNSDASARHGSISFRGVLKDEPSASGSVDVSFFPSNSLHIGTPLAPSQPSVKPMVPLSEVLIY >DRNTG_12129.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4464988:4468335:-1 gene:DRNTG_12129 transcript:DRNTG_12129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIRRTADAFGVVTICLVAIAAFLGLLCIYRLVYFQLRIRRRCFLQLSYFNGPWLTRIALILVAVWWGFGEVVRLTLLKGEGRLFSSLTWQKDVCKFYIVSNLGFAEPSVFLMLGFLLRASLQRRETGTLSPRWNRKTVGYVLFYSLPVFVMQLVLILIGPKFVKPKSNHRSNLTNFFSTSVMNEQISICTNPLVSTMILGLFHSSMICYICYIGTKVVSLVINKGLRLRVYILVASVIIFLPMRVLLLGLSVLPHRGHLVFETMVFAAFVVLLVCIVVGVCMLVYFPVADSLALQDVGHIRMDDMPYDDYYSDGASLIANQSHQETGRNSDASARHGSISFRGVLKDEPSASGSVDVSFFPSNSLHIGTPLAPSQPSVKPMVPLSEVLIY >DRNTG_19339.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5257555:5258998:1 gene:DRNTG_19339 transcript:DRNTG_19339.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPPPPLLLLLAVLIVLFPSSIAAARSFPSHISTSKLSSFSEAPHFRNSDACSSDEVVHIAMTLDSHYLRGSIAAIHSILKHTSCPEDLHFHLIVSDDDDLHSIVRSIFPSLRFQIYQFNEARVRGLISPSIREALENPLNYARAYIADLIDPRIRRVIYLDSDVVVVDDIRRLWDTPVRSSSVIAAPEYCHANFTRYFTAAFWEGSGAGAGAKVFEGRRRKPCYFNTGVMVMDLVRWREGGYRRRVEAWMRVQRGKRIYELGSLPPFLLVLAGDVEGVDHRWNQHGLGGDNETGRCRALHPGMVSLLHWSGRGKPWDRLDAGEPCPVDHLWEPYDLFRRSPTPSATVAW >DRNTG_19339.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5257461:5258916:1 gene:DRNTG_19339 transcript:DRNTG_19339.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPPPPLLLLLAVLIVLFPSSIAAARSFPSHISTSKLSSFSEAPHFRNSDACSSDEVVHIAMTLDSHYLRGSIAAIHSILKHTSCPEDLHFHLIVSDDDDLHSIVRSIFPSLRFQIYQFNEARVRGLISPSIREALENPLNYARAYIADLIDPRIRRVIYLDSDVVVVDDIRRLWDTPVRSSSVIAAPEYCHANFTRYFTAAFWEGSGAGAGAKVFEGRRRKPCYFNTGVMVMDLVRWREGGYRRRVEAWMRVQRGKRIYELGSLPPFLLVLAGDVEGVDHRWNQHGLGGDNETGRCRALHPGMVSLLHWSGRGKPWDRLDAGEPCPVDHLWEPYDLFRRSPTPSATVAW >DRNTG_19339.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5257369:5258806:1 gene:DRNTG_19339 transcript:DRNTG_19339.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPPPPLLLLLAVLIVLFPSSIAAARSFPSHISTSKLSSFSEAPHFRNSDACSSDEVVHIAMTLDSHYLRGSIAAIHSILKHTSCPEDLHFHLIVSDDDDLHSIVRSIFPSLRFQIYQFNEARVRGLISPSIREALENPLNYARAYIADLIDPRIRRVIYLDSDVVVVDDIRRLWDTPVRSSSVIAAPEYCHANFTRYFTAAFWEGSGAGAGAKVFEGRRRKPCYFNTGVMVMDLVRWREGGYRRRVEAWMRVQRGKRIYELGSLPPFLLVLAGDVEGVDHRWNQHGLGGDNETGRCRALHPGMVSLLHWSGRGKPWDRLDAGEPCPVDHLWEPYDLFRRSPTPSATVAW >DRNTG_19339.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5257461:5258998:1 gene:DRNTG_19339 transcript:DRNTG_19339.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPPPPLLLLLAVLIVLFPSSIAAARSFPSHISTSKLSSFSEAPHFRNSDACSSDEVVHIAMTLDSHYLRGSIAAIHSILKHTSCPEDLHFHLIVSDDDDLHSIVRSIFPSLRFQIYQFNEARVRGLISPSIREALENPLNYARAYIADLIDPRIRRVIYLDSDVVVVDDIRRLWDTPVRSSSVIAAPEYCHANFTRYFTAAFWEGSGAGAGAKVFEGRRRKPCYFNTGVMVMDLVRWREGGYRRRVEAWMRVQRGKRIYELGSLPPFLLVLAGDVEGVDHRWNQHGLGGDNETGRCRALHPGMVSLLHWSGRGKPWDRLDAGEPCPVDHLWEPYDLFRRSPTPSATVAW >DRNTG_19339.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5257555:5258916:1 gene:DRNTG_19339 transcript:DRNTG_19339.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPPPPLLLLLAVLIVLFPSSIAAARSFPSHISTSKLSSFSEAPHFRNSDACSSDEVVHIAMTLDSHYLRGSIAAIHSILKHTSCPEDLHFHLIVSDDDDLHSIVRSIFPSLRFQIYQFNEARVRGLISPSIREALENPLNYARAYIADLIDPRIRRVIYLDSDVVVVDDIRRLWDTPVRSSSVIAAPEYCHANFTRYFTAAFWEGSGAGAGAKVFEGRRRKPCYFNTGVMVMDLVRWREGGYRRRVEAWMRVQRGKRIYELGSLPPFLLVLAGDVEGVDHRWNQHGLGGDNETGRCRALHPGMVSLLHWSGRGKPWDRLDAGEPCPVDHLWEPYDLFRRSPTPSATVAW >DRNTG_19339.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5257369:5258916:1 gene:DRNTG_19339 transcript:DRNTG_19339.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPPPPLLLLLAVLIVLFPSSIAAARSFPSHISTSKLSSFSEAPHFRNSDACSSDEVVHIAMTLDSHYLRGSIAAIHSILKHTSCPEDLHFHLIVSDDDDLHSIVRSIFPSLRFQIYQFNEARVRGLISPSIREALENPLNYARAYIADLIDPRIRRVIYLDSDVVVVDDIRRLWDTPVRSSSVIAAPEYCHANFTRYFTAAFWEGSGAGAGAKVFEGRRRKPCYFNTGVMVMDLVRWREGGYRRRVEAWMRVQRGKRIYELGSLPPFLLVLAGDVEGVDHRWNQHGLGGDNETGRCRALHPGMVSLLHWSGRGKPWDRLDAGEPCPVDHLWEPYDLFRRSPTPSATVAW >DRNTG_19339.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5257555:5258806:1 gene:DRNTG_19339 transcript:DRNTG_19339.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPPPPLLLLLAVLIVLFPSSIAAARSFPSHISTSKLSSFSEAPHFRNSDACSSDEVVHIAMTLDSHYLRGSIAAIHSILKHTSCPEDLHFHLIVSDDDDLHSIVRSIFPSLRFQIYQFNEARVRGLISPSIREALENPLNYARAYIADLIDPRIRRVIYLDSDVVVVDDIRRLWDTPVRSSSVIAAPEYCHANFTRYFTAAFWEGSGAGAGAKVFEGRRRKPCYFNTGVMVMDLVRWREGGYRRRVEAWMRVQRGKRIYELGSLPPFLLVLAGDVEGVDHRWNQHGLGGDNETGRCRALHPGMVSLLHWSGRGKPWDRLDAGEPCPVDHLWEPYDLFRRSPTPSATVAW >DRNTG_19339.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5257369:5258998:1 gene:DRNTG_19339 transcript:DRNTG_19339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPPPPLLLLLAVLIVLFPSSIAAARSFPSHISTSKLSSFSEAPHFRNSDACSSDEVVHIAMTLDSHYLRGSIAAIHSILKHTSCPEDLHFHLIVSDDDDLHSIVRSIFPSLRFQIYQFNEARVRGLISPSIREALENPLNYARAYIADLIDPRIRRVIYLDSDVVVVDDIRRLWDTPVRSSSVIAAPEYCHANFTRYFTAAFWEGSGAGAGAKVFEGRRRKPCYFNTGVMVMDLVRWREGGYRRRVEAWMRVQRGKRIYELGSLPPFLLVLAGDVEGVDHRWNQHGLGGDNETGRCRALHPGMVSLLHWSGRGKPWDRLDAGEPCPVDHLWEPYDLFRRSPTPSATVAW >DRNTG_29599.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7179351:7184699:1 gene:DRNTG_29599 transcript:DRNTG_29599.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVFLQTLVSSSSSSSISTKMSVSSEVLLFLQSSLETFFLAPKKGMKLAPRERRGVTRRREETDNLDSVELFLSFLLATVTNVDRVVQMYNSLTGSLSCEPFAIHNLNLLSLSRTSLKWPPQTLLPSTL >DRNTG_23183.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1808717:1809105:1 gene:DRNTG_23183 transcript:DRNTG_23183.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQESTKNLKFQNQNHNAATNNQKNQTPKPALNQRSRKQIDSH >DRNTG_21281.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4725291:4727005:-1 gene:DRNTG_21281 transcript:DRNTG_21281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGRNGAVRQYVRSKVPRLRWTPDLHHCFVQAIEKLGGQDKATPKLVLQLMDVRGLTISHVKSHLQMYRSMRNDMNRLELQTSQNKDNDTAGDEQHDGNSNPNNTITTTTTNSSNSVSPSPPCSKAATAAAAAEAMELEFHHYQFMYPSSPPPLKSQGICETVLSQYCFDDYMQAIAMESGIKHCLRMQKDAVQTGFLPADYHLSNLLNPMQYMREEESNNTFKMIRRSGYEDYNQWSERKKRVRVEEVEEECSLSLTLSWPPNSSSHVLLSSWSGCSDGCSGYGGASVNLELSMSVCGS >DRNTG_21281.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4725291:4726244:-1 gene:DRNTG_21281 transcript:DRNTG_21281.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFKGSNSSCSSRSNGVGVPPLSVHVPFFSPTTEKPRNM >DRNTG_21281.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4725291:4727005:-1 gene:DRNTG_21281 transcript:DRNTG_21281.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGRNGAVRQYVRSKVPRLRWTPDLHHCFVQAIEKLEATPKLVLQLMDVRGLTISHVKSHLQMYRSMRNDMNRLELQTSQNKDNDTAGDEQHDGNSNPNNTITTTTTNSSNSVSPSPPCSKAATAAAAAEAMELEFHHYQFMYPSSPPPLKSQGICETVLSQYCFDDYMQAIAMESGIKHCLRMQKDAVQTGFLPADYHLSNLLNPMQYMREEESNNTFKMIRRSGYEDYNQWSERKKRVRVEEVEEECSLSLTLSWPPNSSSHVLLSSWSGCSDGCSGYGGASVNLELSMSVCGS >DRNTG_21281.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4725291:4727005:-1 gene:DRNTG_21281 transcript:DRNTG_21281.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRGLTISHVKSHLQMYRSMRNDMNRLELQTSQNKDNDTAGDEQHDGNSNPNNTITTTTTNSSNSVSPSPPCSKAATAAAAAEAMELEFHHYQFMYPSSPPPLKSQGICETVLSQYCFDDYMQAIAMESGIKHCLRMQKDAVQTGFLPADYHLSNLLNPMQYMREEESNNTFKMIRRSGYEDYNQWSERKKRVRVEEVEEECSLSLTLSWPPNSSSHVLLSSWSGCSDGCSGYGGASVNLELSMSVCGS >DRNTG_21510.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17869877:17872801:-1 gene:DRNTG_21510 transcript:DRNTG_21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRSLLTAVAVQGLTEARARIFGHILNPTGKRSAHKILRKKMIGEKVAQWYPYDIKNDDPLVLAREEKERLAKLEMLKRRGKGPPKKGQGRRAVKRNK >DRNTG_26488.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:636363:638441:1 gene:DRNTG_26488 transcript:DRNTG_26488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIISSSYSTKLFSHKWQLLRDKLQQLNSALETADKCGNSGENSVLVQLLQSLVSTATEIHELVDKCSNGLYSGGKLQMNRDLDVAASELELHANSLNEIYASGALKPAGALVVSRPGAGTRREDVKFYLEDLLTKLKVGDAEMKTQALASINEMLHEDEKHARILVMEIADSVDVLVRVLESKQVSIQEQVAEAIAVIAEQGSSYRGILLNAGILSPLIETADSASEAVKERVTRALKKMTEHADNCWSVSAHGGVTVMLKILAEVGASVQLISSACGVLRNLSKVHEIKRFMMEHGLVSLLINLLRSKEEGSQIEAIEFLHHLAVQDGAMKDNVIKGGVVESLLKILNPNSLQSSKAREVALRAIQGLCFSSVNSITGLIHCGFLDRVLFFLKDGEISVQESALKATFHLCGTSQVAVKKAMGDAGFMEELVKWLETKSPEAQEMAAEILCSLLSIQRNQRKFIREDHNIRKVIKLLYQVEEKPAVLKKHLLSILFLLSCSYNGQRKIISAGYVEHLEKLAENGVVDAKKILKKLSGNRFQSIFSGFWKYTSL >DRNTG_27215.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:828456:829958:-1 gene:DRNTG_27215 transcript:DRNTG_27215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAMEMRMALVWAIVALTAVMFWLSGLGILSFLSSEVPRMYGSLLSWLVPPYLYIIINGIILSIAASSRFHKVAAEDVDLAPMIPTTELTTPAEFVVESVNAEESQGVGVYYKVAVGDEVIIEEGHEEKEFVLRPREEESGGGGDILTGYSLAEEKPLVSSRFGNHRKTVKATPDGKALGVVPRSKRNETLESTWRTITDGRPVPLARHLKKPDTWDALHGPAASPVRKTETFSERRRLASPGGTSSSEGRIRREPSLGQDDLNRRVEAFINKFNEEMRLQREESLKQYHDMLNRASLH >DRNTG_27215.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:828651:829958:-1 gene:DRNTG_27215 transcript:DRNTG_27215.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAMEMRMALVWAIVALTAVMFWLSGLGILSFLSSEVPRMYGSLLSWLVPPYLYIIINGIILSIAASSRFHKVAAEDVDLAPMIPTTELTTPAEFVVESVNAEESQGVGVYYKVAVGDEVIIEEGHEEKEFVLRPREEESGGGGDILTGYSLAEEKPLVSSRFGNHRKTVKATPDGTSTILF >DRNTG_27215.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:828651:829958:-1 gene:DRNTG_27215 transcript:DRNTG_27215.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAMEMRMALVWAIVALTAVMFWLSGLGILSFLSSEVPRMYGSLLSWLVPPYLYIIINGIILSIAASSRFHKVAAEDVDLAPMIPTTELTTPAEFVVESVNAEESQGVGVYYKVAVGDEVIIEEGHEEKEFVLRPREEESGGGGDILTGYSLAEEKPLVSSRFGNHRKTVKATPDGKALGVVPRSKRNETLESTWRTITDGRPVPLARHLKKPDTWDALHGPAASPVRKTETFSERRRLASPGGTSSSEGRIRREPSLGQDDLNRRVEAFINKFNEEMRLQREESLKQYHDMLNRASLH >DRNTG_27215.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:828651:829160:-1 gene:DRNTG_27215 transcript:DRNTG_27215.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFLDLETGKALGVVPRSKRNETLESTWRTITDGRPVPLARHLKKPDTWDALHGPAASPVRKTETFSERRRLASPGGTSSSEGRIRREPSLGQDDLNRRVEAFINKFNEEMRLQREESLKQYHDMLNRASLH >DRNTG_27215.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:828651:830019:-1 gene:DRNTG_27215 transcript:DRNTG_27215.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAMEMRMALVWAIVALTAVMFWLSGLGILSFLSSEVPRMYGSLLSWLVPPYLYIIINGIILSIAASSRFHKVAAEDVDLAPMIPTTELTTPAEFVVESVNAEESQGVGVYYKVAVGDEVIIEEGHEEKEFVLRPREEESGGGGDILTGYSLAEEKPLVSSRFGNHRKTVKATPDGKALGVVPRSKRNETLESTWRTITDGRPVPLARHLKKPDTWDALHGPAASPVRKTETFSERRRLASPGGTSSSEGRIRREPSLGQDDLNRRVEAFINKFNEEMRLQREESLKQYHDMLNRASLH >DRNTG_11621.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:27925565:27934023:-1 gene:DRNTG_11621 transcript:DRNTG_11621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFDFLRDLLRPGSSVPPAPPSSTSAPEDPLYASTSASVAVQTESDFDT >DRNTG_19813.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5437854:5440851:-1 gene:DRNTG_19813 transcript:DRNTG_19813.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLRFSSHRDLVTGGFSGGRYVEGRRSRDVDYYANVPMDTPSPSIPAPNDPIQSLSTPEIEIEDPNFVQVEPPCSQPNISQPQNSCSASRQRLGDEVKRRKKDRKRKNVQESLFKQYIDMRRAETDRYIDAMKMNRVEEKHTIGECMAAFNALCDQFPDEDFLKLQHCLKTRIIVKSS >DRNTG_05652.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18080222:18082972:1 gene:DRNTG_05652 transcript:DRNTG_05652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQPACGLHTINNNEEPFPWTTTPRRPHHPPPPPPLPPRHLLPVYDIRWSQTKVRRSTLSERMSQDSPAVDDGQAIKRAFRLGFNVMRMLCNRREHSNVQTLVSFYEGRVGHPRLKISMIP >DRNTG_26421.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23921375:23924881:-1 gene:DRNTG_26421 transcript:DRNTG_26421.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSFSRVTLMMVQLVLSLTLMLPSALAGVICEDLPQDLCAFAISASSKRCLLESIPRHNGPTEYQCRTSEVVVDKMSNWIETDECVRACGVDRNSVGISSDALMEPHFASKLCSVACYQNCPNILDLYFNLAAGEGNHHQYK >DRNTG_26421.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23921375:23925600:-1 gene:DRNTG_26421 transcript:DRNTG_26421.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSFSRVTLMMVQLVLSLTLMLPSALAGVICEDLPQDLCAFAISASSKRCLLESIPRHNGPTEYQCRTSEVVVDKMSNWIETDECVRACGVDRNSVGISSDALMEPHFASKLCSVACYQNCPNILDLYFVRLRE >DRNTG_26421.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23923598:23925600:-1 gene:DRNTG_26421 transcript:DRNTG_26421.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSFSRVTLMMVQLVLSLTLMLPSALAGVICEDLPQDLCAFAISASSKRCLLESIPRHNGPTEYQCRTSEVVVDKMSNWIETDECVRACGVDRNSVGISSDALMEPHFASKLCSVACYQNCPNILDLYFNLAAGEGNHHQYK >DRNTG_26421.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23921375:23923091:-1 gene:DRNTG_26421 transcript:DRNTG_26421.6 gene_biotype:protein_coding transcript_biotype:protein_coding SSTRNHTSLNLKKGKLYYFYWLVSKIELKVVHEEPNLLTLNRSILRLDLFPPGPQQQQ >DRNTG_26421.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23921375:23925600:-1 gene:DRNTG_26421 transcript:DRNTG_26421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSFSRVTLMMVQLVLSLTLMLPSALAGVICEDLPQDLCAFAISASSKRCLLESIPRHNGPTEYQCRTSEVVVDKMSNWIETDECVRACGVDRNSVGISSDALMEPHFASKLCSVACYQNCPNILDLYFNRAMVELLSSGAAPGPVTSSEVGSIKGSAVADPSAP >DRNTG_26421.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23921375:23924881:-1 gene:DRNTG_26421 transcript:DRNTG_26421.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSFSRVTLMMVQLVLSLTLMLPSALAGVICEDLPQDLCAFAISASSKRCLLESIPRHNGPTEYQCRTSEVVVDKMSNWIETDECVRACGVDRNSVGISSDALMEPHFASKLCSVACYQNCPNILDRAMVELLSSGAAPGPVTSSEVGSIKGSAVADPSAP >DRNTG_26637.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18291792:18297018:1 gene:DRNTG_26637 transcript:DRNTG_26637.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLARKGVCVLKNCSMLIMDEADKLLSPEFQPSIEQLIQFLPAHRQVLMFSATFPVTVKEFKEKYLPKPYIINLMDELTLNGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNR >DRNTG_26637.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18291792:18295907:1 gene:DRNTG_26637 transcript:DRNTG_26637.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLARKGVCVLKNCSMLIMDEVIFAHMIRLNLMH >DRNTG_29826.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23225094:23225833:-1 gene:DRNTG_29826 transcript:DRNTG_29826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILHHFTLSLQSTSQQEQMTKRCSSMASVTIVVRCTENLKKADLYIQQ >DRNTG_17342.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32094242:32096676:1 gene:DRNTG_17342 transcript:DRNTG_17342.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHFRVSQQKESLPHPSGMPGSRHGQTGTDSHIPPLEIQMNNAPWMGKFKLHYPHGPVLVCLLHESLICYLL >DRNTG_17342.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32094242:32097661:1 gene:DRNTG_17342 transcript:DRNTG_17342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHFRVSQQKESLPHPSGMPGSRHGQTGTDSHIPPLEIQMNNAPWMGKFKLHYPHGPVLVCLLHESLICYLL >DRNTG_15428.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17891693:17898206:1 gene:DRNTG_15428 transcript:DRNTG_15428.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREIHILRRLDHPNIVKLEGLVTSRMSCSLYLVFEYMEHDLAGLASFPGIKFTEPQVKCYIQQLLRGLDHCHTRGVLHRDIKGSNLLIDKCGILKIADFGLASFFDPEQRHPLTSRVVTLWYRPPELLLGATNYGVAVDLWSTGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWRKSKLPHATIFKPQQPYKRCVAETFKDFPPSALSLMDILLSIDPADRGTAASALTSEFFTTEPVACDPSSLPKYPPSKEFDAKLRDEEARRRGSKVHRLDLEKKGTRESRAVPAPDANAELAISMQKRQAQPNSKSRSEKFNPHQEEVASGFPIEPPRPTQVLEANEEPRGHYSNRASHSGPLTHRSQWTKAHKNEDPVPKVSSAANLLALSNIVAMRKNGVLEGGDKHGAQFEGAIPPGRLSESVNDTADASKNYDQLYHSHGLSGSRRKDEEKSANTEQTLLGYGSKGNKNHYSGPLLRPSGNVDQILKDHDRQIQEVVRQARIDRSKARKVQADGNQTGNKPSDFGVFPLYPSSRGSSVPVFTSSRGAAQ >DRNTG_08696.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18024423:18027101:1 gene:DRNTG_08696 transcript:DRNTG_08696.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDTITGTVAIVAVSIVTIVVAIAIIRCIQKIRMQARNTSAQTRNTAMQATAVSTESRPLNSAVDVDSSIDMVTIECFLKDIVKERPVRFSPQNIIDFTQNFAQKLGSGGFGIVYKGQFPNGVQIAVKILHKTQDKKAEEQFMAEIGTIGRTHHINLVRLFGFCFDKALKALVYEYMEKGSLDGYLFDENLKLKWEKLYEIAIGTAKGIRYLHEECQRRIVHYDIKPGNVLLDANFFPKVADFGLARLCDRDNTHVSMTGGRGTPGYAAPELWMPYPVTHKCDVYSYGMLLFEILGRRRNLILGQAESQEWFPRWIWDKFEGGELESVMLNCGIEHNDRDKAERMCKVALWCVQYQPDARPSMNSIIRMLEGEEEIIAPKNPFQYMMPFDGSSSQWSESRGDSTSTATATNESEENSLIHQNQQ >DRNTG_08696.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18025707:18027101:1 gene:DRNTG_08696 transcript:DRNTG_08696.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQARNTSAQTRNTAMQATAVSTESRPLNSAVDVDSSIDMVTIECFLKDIVKERPVRFSPQNIIDFTQNFAQKLGSGGFGIVYKGQFPNGVQIAVKILHKTQDKKAEEQFMAEIGTIGRTHHINLVRLFGFCFDKALKALVYEYMEKGSLDGYLFDENLKLKWEKLYEIAIGTAKGIRYLHEECQRRIVHYDIKPGNVLLDANFFPKVADFGLARLCDRDNTHVSMTGGRGTPGYAAPELWMPYPVTHKCDVYSYGMLLFEILGRRRNLILGQAESQEWFPRWIWDKFEGGELESVMLNCGIEHNDRDKAERMCKVALWCVQYQPDARPSMNSIIRMLEGEEEIIAPKNPFQYMMPFDGSSSQWSESRGDSTSTATATNESEENSLIHQNQQ >DRNTG_08696.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18024423:18027101:1 gene:DRNTG_08696 transcript:DRNTG_08696.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDTITGTVAIVAVSIVTIVVAIAIIRCIQKIRMQARNTSAQTRNTAMQATAVSTESRPLNSAVDVDSSIDMVTIECFLKDIVKERPVRFSPQNIIDFTQNFAQKLGSGGFGIVYKGQFPNGVQIAVKILHKTQDKKAEEQFMAEIGTIGRTHHINLVRLFGFCFDKALKALVYEYMEKGSLDGYLFDENLKLKWEKLYEIAIGTAKGIRYLHEECQRRIVHYDIKPGNVLLDANFFPKVADFGLARLCDRDNTHVSMTGGRGTPGYAAPELWMPYPVTHKCDVYSYGMLLFEILGRRRNLILGQAESQEWFPRWIWDKFEGGELESVMLNCGIEHNDRDKAERMCKVALWCVQYQPDARPSMNSIIRMLEGEEEIIAPKNPFQYMMPFDGSSSQWSESRGDSTSTATATNESEENSLIHQNQQ >DRNTG_08696.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18024674:18027101:1 gene:DRNTG_08696 transcript:DRNTG_08696.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDTITGTVAIVAVSIVTIVVAIAIIRCIQKIRMQARNTSAQTRNTAMQATAVSTESRPLNSAVDVDSSIDMVTIECFLKDIVKERPVRFSPQNIIDFTQNFAQKLGSGGFGIVYKGQFPNGVQIAVKILHKTQDKKAEEQFMAEIGTIGRTHHINLVRLFGFCFDKALKALVYEYMEKGSLDGYLFDENLKLKWEKLYEIAIGTAKGIRYLHEECQRRIVHYDIKPGNVLLDANFFPKVADFGLARLCDRDNTHVSMTGGRGTPGYAAPELWMPYPVTHKCDVYSYGMLLFEILGRRRNLILGQAESQEWFPRWIWDKFEGGELESVMLNCGIEHNDRDKAERMCKVALWCVQYQPDARPSMNSIIRMLEGEEEIIAPKNPFQYMMPFDGSSSQWSESRGDSTSTATATNESEENSLIHQNQQ >DRNTG_23662.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20491046:20495191:1 gene:DRNTG_23662 transcript:DRNTG_23662.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFSVSNPNPNPNPNPSSMAMLGRYPTNDYGEERESRFGCPFTISSPLVLPSHSQDVRENDESGDDGGNQFSVLTALVDGLRKSIVCGAGAGEDGAALEIGWPTDVRHVAHVTFDRFDGFLGLPVELELDVPHSAPSASVSIFGVSPRSMQCSYDDKGNSVPTILLLMQRQLYSQGGLQAEGIFRINAENSQEVYVRELLSSGVVPDRIDVHCLAGLIKAWFRELPTGVLDSITPDQMMHCNTDAECFQLVKMLPPTEAALLDWAINLMADVVEHEGHNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLRTLVTKTLREREDTSAEARGFDSCFQSPSNKDEIHSSEFSERTCKDSHMNPADFNYYDSAALGKFLFSTEHAFDSNAADKFPNMGLKNETEEIYELASGKFSPTNRYMVNLEDGLKAKCRNRDVESLLDRLSFKRSVRKLCRHPMFQFSKSVKKSGEISVVNSGAEGRQSWAS >DRNTG_10879.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14132221:14135993:1 gene:DRNTG_10879 transcript:DRNTG_10879.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAYYPQSNGQMEVVNRYLENYLRCLAHEYPHSWHKFLPWAKYSFNTSFHSSTKTIHFQVVPTT >DRNTG_11904.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1011001:1014363:1 gene:DRNTG_11904 transcript:DRNTG_11904.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELETTPNPNPSAPSLCEECGLNPWKYRCPGCSIRTCALPCVKAHKQRTSCTGKRSRAEPIPISQFNDDLLLSDYKFLEEGKRVADSARRTISGLVGNIGFHLPTRLKILRNAARRRRTQVLFLSQKMAKSERNRSRYDIRKNTIFWTIEWRFNGTDVSLIDHGADEYTNLHSLLEKHLKPSPWNHPLKPYCDIPPEDLKIFIQKTPKGSKSPFRMLSVKAPFGQQMANIVLVEHPIIHVYLPSHNYDFDIDNDLELLSHSKTDDPPGSSDGIPNSKSLYFREEQIEEGELSSFTKVTDLMDHSRSRQSDKFHHKKNAAVNEKTSDQFYALMSKPDTIDLKSASGEGCNASVIKDRNTDSNSGANHTRLSNDVKFEFEQELKDAYSDLVGEINPDDFLCFDGVYSDEYELEEQRANLLILDGRFLGEDQLEEGEIPGY >DRNTG_26867.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2103198:2106359:-1 gene:DRNTG_26867 transcript:DRNTG_26867.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3.3 [Source:Projected from Arabidopsis thaliana (AT5G10980) UniProtKB/Swiss-Prot;Acc:P59169] MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >DRNTG_09601.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000402.1:8919:10878:1 gene:DRNTG_09601 transcript:DRNTG_09601.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTDIWQWSELTSFGDLPSPREFAAASAIGNRKIVMYGGWDGKKWLSDVYILDTISLEWTELSVSGSAPPPRCGHSVTMVEKRLLVYGGRGGGGLIMGDLWALKGLIEEDNETPGWTQLKLPGQPPSPRCGHTVTSGGHYLLLFGGHGTGGWLSRYDIYHNDCVI >DRNTG_09601.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000402.1:8480:10878:1 gene:DRNTG_09601 transcript:DRNTG_09601.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKSKVVVFGGFADKRFLDDIAVYDIENKLWFTPQCTGSGSDEQHGPPPRAFHVSVSIDCNLFVFGGRSGGKRLGDFWMLDTDIWQWSELTSFGDLPSPREFAAASAIGNRKIVMYGGWDGKKWLSDVYILDTISLEWTELSVSGSAPPPRCGHSVTMVEKRLLVYGGRGGGGLIMGDLWALKGLIEEDNETPGWTQLKLPGQPPSPRCGHTVTSGGHYLLLFGGHGTGGWLSRYDIYHNDCVI >DRNTG_09601.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000402.1:9168:10878:1 gene:DRNTG_09601 transcript:DRNTG_09601.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTDIWQWSELTSFGDLPSPREFAAASAIGNRKIVMYGGWDGKKWLSDVYILDTISLEWTELSVSGSAPPPRCGHSVTMVEKRLLVYGGRGGGGLIMGDLWALKGLIEEDNETPGWTQLKLPGQPPSPRCGHTVTSGGHYLLLFGGHGTGGWLSRYDIYHNDCVI >DRNTG_09601.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000402.1:8480:10878:1 gene:DRNTG_09601 transcript:DRNTG_09601.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKSKVVVFGGFADKRFLDDIAVYDIENKLWFTPQCTGSGSDEQHGPPPRAFHVSVSIDCNLFVFGGRSGGKRLGDFWMLDTDIWQWSELTSFGDLPSPREFAAASAIGNRKIVMYGGWDGKKWLSDVYILDTISLEWTELSVSGSAPPPRCGHSVTMVEKRLLVYGGRGKLSIRRWWTYNGRLMGFEGSHRRR >DRNTG_09601.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000402.1:8480:10878:1 gene:DRNTG_09601 transcript:DRNTG_09601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWIRADGSDFGGNLPIPRSGHTAVMVGKSKVVVFGGFADKRFLDDIAVYDIENKLWFTPQCTGSGSDEQHGPPPRAFHVSVSIDCNLFVFGGRSGGKRLGDFWMLDTDIWQWSELTSFGDLPSPREFAAASAIGNRKIVMYGGWDGKKWLSDVYILDTISLEWTELSVSGSAPPPRCGHSVTMVEKRLLVYGGRGGGGLIMGDLWALKGLIEEDNETPGWTQLKLPGQPPSPRCGHTVTSGGHYLLLFGGHGTGGWLSRYDIYHNDCVI >DRNTG_15114.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7396182:7396516:1 gene:DRNTG_15114 transcript:DRNTG_15114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLSNYLRPPRHNVGYPDHQRKSDRRRDDSLPRILRKIKKRLRVYCSPGSEMAVR >DRNTG_20488.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2602329:2605669:1 gene:DRNTG_20488 transcript:DRNTG_20488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSASKDGSVDWALSPEYISESLDVIDFLCVRYAKHPALLGIELLNEPSAAGVPLDVLVSYYKRGYDVVRTYSSTAYVIVCQRIGNADPIELYKADIGDHNVVVDLHYYNLFDPYFTSLRAIDNVNFIYNSRQPQLQALNSANGPLTFIGEWVNEWNVSNASQFDYQIFGNAQLEVYNGASFGWSYWTLKNDRMHWDFEWNIKNKYLLLGSSSTKKPSILLLLALAIAVLILQYRLDKAEYRN >DRNTG_20488.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2603253:2605669:1 gene:DRNTG_20488 transcript:DRNTG_20488.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSASKDGSVDWALSPEYISESLDVIDFLCVRYAKHPALLGIELLNEPSAAGVPLDVLVSYYKRGYDVVRTYSSTAYVIVCQRIGNADPIELYKADIGDHNVVVDLHYYNLFDPYFTSLRAIDNVNFIYNSRQPQLQALNSANGPLTFIGEWVNEWNVSNASQFDYQIFGNAQLEVYNGASFGWSYWTLKNDRMHWDFEWNIKNKYLLLGSSSTKKPSILLLLALAIAVLILQYRLDKAEYRN >DRNTG_20488.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2602676:2605669:1 gene:DRNTG_20488 transcript:DRNTG_20488.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSASKDGSVDWALSPEYISESLDVIDFLCVRYAKHPALLGIELLNEPSAAGVPLDVLVSYYKRGYDVVRTYSSTAYVIVCQRIGNADPIELYKADIGDHNVVVDLHYYNLFDPYFTSLRAIDNVNFIYNSRQPQLQALNSANGPLTFIGENFRFI >DRNTG_20488.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2602329:2602787:1 gene:DRNTG_20488 transcript:DRNTG_20488.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQIKLQFLVLFWVLFSLPHSSVDGFSKVKGVNLGGWLVVEGWIKPSLFDGISNGDML >DRNTG_20488.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2603913:2605669:1 gene:DRNTG_20488 transcript:DRNTG_20488.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSASKDGSVDWALSPEYISESLDVIDFLCVRYAKHPALLGIELLNEPSAAGVPLDVLVSYYKRGYDVVRTYSSTAYVIVCQRIGNADPIELYKADIGDHNVVVDLHYYNLFDPYFTSLRAIDNVNFIYNSRQPQLQALNSANGPLTFIGENFRFI >DRNTG_20488.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2602329:2605103:1 gene:DRNTG_20488 transcript:DRNTG_20488.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSASKDGSVDWALSPEYISESLDVIDFLCVRYAKHPALLGIELLNEPSAAGVPLDVLVSYYKRGYDVVRTYSSTAYVIVCQRIGNADPIELYKADIGDHNVVVDLHYYNLFDPYFTSLRAIDNVNFIYNSRQPQLQALNSANGPLTFIGEWVNEWNVSNASQFDYQIFGNAQLEVYNGASFGWSYWTLKNDRMHWDFEWNIKNKYLLLG >DRNTG_13655.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1320843:1322860:1 gene:DRNTG_13655 transcript:DRNTG_13655.1 gene_biotype:protein_coding transcript_biotype:protein_coding RIQVPFCGVFVFRPSHGVVSTFGLLTNTQSLDTVGNSWLIS >DRNTG_21250.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:42757:46049:-1 gene:DRNTG_21250 transcript:DRNTG_21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTSGLKKGWHSLMPLNLRGKAAAQFSLFLKVRSAGQTKGSSPVYLNVYDLTPMNGYFYWAGIGIYHSGVEVHGVEYAFGAHDYPTSGVFEVEPRQCPGFRYRMSIFMGTTCLDPIQVRGFMELLSMNYNGDTYHLIAKNCNHFCKDACYKLTGNSIPKWVNRLARIGALCSCLLPSALQVTAVNHETDYPVYEGERRRLRSAFSCLSSLSMRQKQFLTASLFLHSPLKGYLAPWELRGLTPFR >DRNTG_29477.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2673558:2677673:1 gene:DRNTG_29477 transcript:DRNTG_29477.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIRKQASKLKEQVAKQQQAVIKQFSGRFGHDSVVADGAELSCYQKLQTLFDSTKAAKHFQRDIVRGVESFISVSAKQMGIVTKLAEDCCKYGSEYQNYGFALPKASLEFGTSHKLMEKEREQLLRMFGDQVFQPLRTMIMSAPLEDARHLTYHYERIRQEVEAQAAEVMRRQSKASEVGANADSMNKLQNAESKLSELRTTLSALGKEATAAMVSVETQQQKITYEKLLAVVDAERAYHHHVADILDKLHDEMVSLKCHPDPTSESPVVMTSDSALIEKQDTKATQPVDLPSKWS >DRNTG_29477.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2673558:2677673:1 gene:DRNTG_29477 transcript:DRNTG_29477.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIRKQASKLKEQVAKQQQAVIKQFSGRFGHDSVVADGAELSCYQKLQTLFDSTKAAKHFQRDIVRGVESFISVSAKQMGIVTKLAEDCCKYGSEYQNYGFALPKASLEFGTSHKLMEKEREQLLRMFGDQVFQPLRTMIMSAPLEDARHLTYHYERIRQEVEAQAAEVMRRQSKASEVGANADSMNKLQNAESKLSELRTTLSALGKEATAAMVSVETQQQKITYEKLLAVVDAERAYHHHVADILDKLHDEMVSLKCHPDPTSESPVVMTSDSALIEKQDTKATQPVDLPSKWS >DRNTG_29477.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2673558:2677673:1 gene:DRNTG_29477 transcript:DRNTG_29477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIRKQASKLKEQVAKQQQAVIKQFSGRFGHDSVVADGAELSCYQKLQTLFDSTKAAKHFQRDIVRGVESFISVSAKQMGIVTKLAEDCCKYGSEYQNYGFALPKASLEFGTSHKLMEKEREQLLRMFGDQVFQPLRTMIMSAPLEDARHLTYHYERIRQEVEAQAAEVMRRQSKASEVGANADSMNKLQNAESKLSELRTTLSALGKEATAAMVSVETQQQKITYEKLLAVVDAERAYHHHVADILDKLHDEMVSLKCHPDPTSESPVVMTSDSALIEKQDTKATQPVDLPSKWS >DRNTG_15087.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4099612:4104131:1 gene:DRNTG_15087 transcript:DRNTG_15087.2 gene_biotype:protein_coding transcript_biotype:protein_coding GPKTLAEKERKEKEETQLREKISQKATKIYSNCQKQLQDLEEPNLSCWCCHPASRSGRQASASVFE >DRNTG_15087.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4095826:4104131:1 gene:DRNTG_15087 transcript:DRNTG_15087.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASCASITLSLSIKFFSSTNFWSSSSSPQSTSSSSIGSSNGGSVRVWSLGVNLLERTFGIKVHLLCKTTHVYLSGIGIEGGRNFK >DRNTG_07038.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3584600:3587465:-1 gene:DRNTG_07038 transcript:DRNTG_07038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINKWKNLLKNYIKSQDEEVLLGFSFLMGTSTWVDILGMIASHAYYYLEDVYPKMTGHCPLKTPSFIKSLFADDNVVVAQPENVRFAPPTPELHQDYVL >DRNTG_07038.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3584653:3587465:-1 gene:DRNTG_07038 transcript:DRNTG_07038.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEINKWKNLLKNYIKSQDEEVLLGFSFLMGTSTWVDILGMIASHAYYYLEDVYPKMTGHCPLKTPSFIKSLFADDNVVVAQPENVRFAPPTPELHQDYVL >DRNTG_23181.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1818995:1820837:-1 gene:DRNTG_23181 transcript:DRNTG_23181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQDADHHFHPFQQDQSQQQQQQQQQQIDEGFAHIVSTPSFIPPLPPPPPFILNPINPLDHHHHHHHHHHFLSSVLPPLPPPPPPPPQPYHPHHHHDLYTRRASAALHFAYDVGGVPVSPSSSSPFTNLHVEFSKMSAQEIMDAKALAASKSHSEAERRRRERINAHLAKLRSLLPSTTKTDKASLLAEVIQHVKELKRQTSEIAEESPLPTESDELTVDAANDEDGKFIVKASLCCDDRTDLLPDLIKALKALRLRTLKAEITTLGGRVKNVLLITGEDDSCNQINQLSITSIQDALKAVMERAAAEETSSAGIGAGGIKRQRTNSLSSILEHRSI >DRNTG_32999.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19953834:19955936:1 gene:DRNTG_32999 transcript:DRNTG_32999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVVVADTPTLGEPMDLMTALQLVLKKSLAHDGLVRGLHEGAKAIEKHAAQLCLLAEDCNQPDYVKLVKALCADHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLHIVQEYVKSH >DRNTG_11927.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:972100:973968:-1 gene:DRNTG_11927 transcript:DRNTG_11927.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEKEHHHHLFHRHKDEKPAEETGYGGGEYTGGYGDTQSKYSSGGGDYTEGYANTQSKYSTEGGEFTEGYGNTQSKYSTGGGEYTGEYGGTETKYSSGEGGDGDYNSGYANPEYGSGEGKYTGEEYNKEEEREKYKKEEKHHKHKEHLGEMGAVAAGAFAMQYEKHEAKKDPEHAHRHKIEEEVAAAVGVGSGGYAFHEHHEKKEAKEDAEEAEGKKKHHFFAFFFFFFIINCHLYYILSYIYIYIYIHTTSPFVVCLALCMYACVVYS >DRNTG_11927.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:972100:973968:-1 gene:DRNTG_11927 transcript:DRNTG_11927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEKEHHHHLFHRHKDEKPAEETGYGGGEYTGGYGDTQSKYSSGGGDYTEGYANTQSKYSTEGGEFTEGYGNTQSKYSTGGGEYTGEYGGTETKYSSGEGGDGDYNSGYANPEYGSGEGKYTGEEYNKEEEREKKEAKEDAEEAEGKKKHHFFAFFFFFFIINCHLYYILSYIYIYIYIHTTSPFVVCLALCMYACVVYS >DRNTG_22788.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3938466:3941662:1 gene:DRNTG_22788 transcript:DRNTG_22788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQHVVESIKDKLFEEKNVMMVKKVKGTVVLMKKNVLDFTDFHASFLDRLHELLGQGVSFHLVSSTVGDPNNRNRGKIGAPAYLEKWITTLTSIAAGESRFEVTFEVDENDGVPGAVIVKNNHHSEFYLKTLTLEDFPGHGDIHFICNSWVYPTNKYKYDRIFFINHTYLPGQTPETLVPYREEELIHLRGDDVTGELQEHDRVYAYDYYNDLGQPDKGPEYVRPVLGGSSEYPYPRRGRTGRPHTLSDPKTETRLPLLSLDIYVPRDERFGHLKMSDFLGYALKAIVQSLLPSLEALVDMTPFEFDTFQDVLNLYEGGIELPDIPEINEIKDGIPLEMIKELVRSDGEHLLKLPLPQVIQKNKFAWRTDEEFGREMLAGVNPVIIRRLEEFPPTSKLDPETYGNHKSSITSAHIKKNLEGLTVDQALNSNKLFILDHHDMLMPYLNRINETSTKIYATRTLLFLKEDGTLKPIAIELSLPDPRGEEHGAVNQVYTPAEHGVQGSIWQLAKAYAAVNDSGVHQLISHFLNTHAVIEPFVIATNRQLSVMHPIHKLLSPHYRDTMNINALARHILINAGGILELTVFPGKYAMEMSSVVYKSWKFTEQGLPADLLKRDYPYAVDGLEIWFAIKKWVSDYCSIYYKSDGEVQGDTELQQWWKEVVEVGHGDKKNEEWWPNMDKICELVESCTTIIWIASALHAAVNFGQYPYAGYLPNRPTISRRLMPEPGSKEYEELERNPDGVLLKTITSQLQTILGVSLIEILSRHSSDEVYLGQRDTKEWTSDGKALEAFREFGERLVEIENKIINMNEDESLKNRNGPVEMPYTLLYPNTSDFTRVGGLTGRGVPNSVSI >DRNTG_28250.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2708518:2711641:-1 gene:DRNTG_28250 transcript:DRNTG_28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRRLFGFSISFILINMAAIMERADENLLPSVYKEVSEAFSAGPTELGYLTFIMNFVQALSSPLAGVLILHYDRPTVLAIGTACWALSTAAVGASQQFYQVACWRAVNGLGLAIVIPALQSFIADSYSDDVRGRGFGLLSLVGSVGGIGGGALATIMAGQEYWGIPGWRCAFILMALLSLLIGVLVHLFVVDPGRSSLVIPTIIDENSERAELVGKGNANSHSVWRESWAATRAVIKVRTFQIIVLQGIVGSLPWRAMVFFTMWFELIGFDNNSAAGLISIFAIGCAMGSFIGGLIADRVSRIYPDTGRVMCAQFSAFMGIPFSWLLLNIIPQSVSNWSSFAVTLFLMGLTISWCATCANNPMFAEVVPLKHRTMIYAFDRAFEGSFSSFRCSGGRNFDREDLWL >DRNTG_07670.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23369835:23370925:-1 gene:DRNTG_07670 transcript:DRNTG_07670.4 gene_biotype:protein_coding transcript_biotype:protein_coding LVYREDQRGFDSAHDPLHGYGREGDGEKHVRDGVVAKLSRKLGGQKLLLDRVSVILAYIYISIYASSLCCFSSISLFLSSHPRVRSRLLFVALLKYEIRLLRKL >DRNTG_07670.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23369835:23375096:-1 gene:DRNTG_07670 transcript:DRNTG_07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRLSLFLSLSLPPLPDQTPTLPYLSILNSSTARAGRVFVIPIHLGHMGIYKLINGNDKAMDPNKNILTSRPDDDIEAPKTPKTRPLSVAVKPNRLVSLDVFRGLTVALMILVDDAGDFLPSINHSPWNGVTLADFVMPFFLFIVGVALALAYKKVSNPVVATRKAILRGLKLFTVGLVLQGGYFHGIQDLTYGVDIAKMRWMGVLQRIAIAYVLAAVCEIWLKSDVEVHSGSSLLRRYRFQLLVGSVLTITYMVLLYGLYVPDWTYQISGEGSTLMSFSVKCRVRGNTGPACNAAGMIDRKILGIEHLYRKAVYGRTMECSINSPRKGPLPPNAPSWCQAPFDPEGILSSVMAIVTCLIGLQFGHVIVHFQDHRERIMHWMVPSFSLLTLGFALDFFGLHMNKPLYSLSYTCVTAAAAGLVFVGIYILVDISGYRRPTYAMEWMGKHALMIFILVACNIIPIFVQGFYYRHPQNNILKLIGIGS >DRNTG_07670.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23371025:23372785:-1 gene:DRNTG_07670 transcript:DRNTG_07670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILVDDAGDFLPSINHSPWNGVTLADFVMPFFLFIVGVALALAYKKVSNPVVATRKAILRGLKLFTVGLVLQGGYFHGIQDLTYGVDIAKMRWMGVLQRIAIAYVLAAVCEIWLKSDVEVHSGSSLLRRYRFQLLVGSVLTITYMVLLYGLYVPDWTYQISGEGSTLMSFSVKCRVRGNTGPACNAAGMIDRKILGIEHLYRKAVYGRTMECSINSPRKGPLPPNAPSWCQAPFDPEGILSSVMAIVTCLIGLQFGHVIVHFQ >DRNTG_07670.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23369835:23371257:-1 gene:DRNTG_07670 transcript:DRNTG_07670.3 gene_biotype:protein_coding transcript_biotype:protein_coding LVYREDQRGFDSAHDPLHGYGREGDGEKHVRDGVVAKLSRKLGGQKLLLDRVSVILAYIYISIYASSLCCFSSISLFLSSHPRVRSRLLFVALLKYEIRLLRKL >DRNTG_30560.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:823263:824253:1 gene:DRNTG_30560 transcript:DRNTG_30560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLCINPKKKTQQTTHPDRNFTVR >DRNTG_30560.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:823263:824302:1 gene:DRNTG_30560 transcript:DRNTG_30560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLCINPKKKTQQTTHPDRNFTVR >DRNTG_03060.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12350116:12354836:1 gene:DRNTG_03060 transcript:DRNTG_03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLQGKVAIVTASTQGIGFAIAQRFGLEGAAVVVSSRKQKNVDEAVEKLKNQGIEVMGIVCHVSNPQQRKELIDKTVKKYGHIDVVVSNAAANPTVDNILDTKEETLDKLWEINVKASILLLQAAAPYLSKGSSVILISSIGGYHPRATMAMYGVTKTALFGLTKALASEMSPDTRVNCIAPGFVPTHFADFLVKNETIRKLIEDQTLLKRLGTAGDMAATAAFLASEDASYITGETIVVAGGMPSRL >DRNTG_21314.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1455792:1458015:-1 gene:DRNTG_21314 transcript:DRNTG_21314.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISTTDFAQHTLEQVEAIRNLFAALFLASIGMLIHVQFLWNHVDILLASVILVVVVKTVVITVVVKAFGYGIRTSILVGLSLAQIGEFAFVLLSRASNLHLVEGKMYLLLLGTTALSLVTTPLMFKIIPVVMHLGTLMHWFPAESSSQNEERTSVHELHNRAL >DRNTG_21314.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1455792:1461385:-1 gene:DRNTG_21314 transcript:DRNTG_21314.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTKLLLVLSIFMFVASRCLGLFVPRFLKLMIRLSSQTNELYQLAAVAFCLLLAWCSDKLGLSLELGAFVAGVMISTTDFAQHTLEQVEAIRNLFAALFLASIGMLIHVQFLWNHVDILLASVILVVVVKTVVITVVVKAFGYGIRTSILVGLSLAQIGEFAFVLLSRASNLHLVEGKMYLLLLGTTALSLVTTPLMFKIIPVVMHLGTLMHWFPAESSSQNEERTSVHELHNRAL >DRNTG_13358.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2260305:2272967:-1 gene:DRNTG_13358 transcript:DRNTG_13358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAGQVIRCRAAVAWEAGKALVIEEVDVAPPQAMEVRLKILYTSVCRSDIYFWEAKGQIPLFPRIFGHEAAGIVESVGDGVTDLKPGDHVIPVHTGECKECIHCKSKEGNMCDLLRVNTGRGVMISDGQSRFSINGKPIYHFVGTSTYSEYTVVHVGCVAKINPLAPLDKVCILGCGISSGLGAVLNVAKPPKGSSVAVFGLGAVGLAAAEGARISGASRIIGVDVNPKKFDHAKNFGVSEFVNPKDHDKPVQEVIAEMTGGGVDRSIECTGDVDAMISAFECVHDGWGVAVLVGVPHKDAVFKTHPMNLLHQRTLKGTLFGNYKPRTDLPAIVEKYMNKELVLEKFITHEVPFAEINKAYEFMLNGDSLRCIIRMDG >DRNTG_13358.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2260305:2272654:-1 gene:DRNTG_13358 transcript:DRNTG_13358.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRLKILYTSVCRSDIYFWEAKGQIPLFPRIFGHEAAGIVESVGDGVTDLKPGDHVIPVHTGECKECIHCKSKEGNMCDLLRVNTGRGVMISDGQSRFSINGKPIYHFLGTSTYSEYTVVHVGCAAKINPSAPLDKVCILGCGISSGLGAVLNVAKPPKGSSVAVFGLGAVGLAAAEGARISGASRIIGVDVNPKKFDHAKNFGVSEFVNPKDHDKPVQEVIAEMTGGGVDRSIECTGDVDAMISAFECVHDGWGVAVLVGVPHKDAVFKTHPMNLLHQRTLKGTLFGNYKPRTDLPAIVEKYMNKELVLEKFITHEVPFAEINKAYEFMLNGDSLRCIIRMDG >DRNTG_13358.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2260305:2272967:-1 gene:DRNTG_13358 transcript:DRNTG_13358.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAGQVIRCRAAVAWEAGKALVIEEVDVAPPQAMEVRLKILYTSVCRSDIYFWEAKGQIPLFPRIFGHEAAGIVESVGDGVTDLKPGDHVIPVHTGECKECIHCKSKEGNMCDLLRVNTGRGVMISDGQSRFSINGKPIYHFLGTSTYSEYTVVHVGCAAKINPSAPLDKVCILGCGISSGLGAVLNVAKPPKGSSVAVFGLGAVGLAAAEGARISGASRIIGVDVNPRKFDQAKNFGVSEFVNPKDHDKPVQEVIAEMTGGGVDRSIECTGDVDAMISAFECVHDGWGVAVLVGVPHKDAVFKTHPMNLLHQRTLKGTLFGNYKPRTDLPAIVEKYMNKELVLEKFITHEVPFAEINKAYEFMLNGDSLRCIIRMDG >DRNTG_13358.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2260305:2272967:-1 gene:DRNTG_13358 transcript:DRNTG_13358.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAGQVIRCRAAVAWEAGKALVIEEVDVAPPQAMEVRLKILYTSVCRSDIYFWEAKGQIPLFPRIFGHEAAGIVESVGDGVTDLKPGDHVIPVHTGECKECIHCKSKEGNMCDLLRVNTGRGVMISDGQSRFSINGKPIYHFLGTSTYSEYTVVHVGCAAKINPSAPLDKVCILGCGISSGLGAVLNVAKPPKGSSVAVFGLGAVGLAAAEGARISGASRIIGVDVNPRKFDQAKNFGVSEFVNPKDHDKPVQEVIAEMTGGGVDRSIECTGDVDAMISAFECVHDGWGVAVLVGVPHKDAVFKTHPMNLLHQRTLKGSIFGNYKPRTDLPAVVEKYMNKELVLEKFITHEVPFAEINKAYEFMLNGDSLRCIIRMDG >DRNTG_13358.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2260305:2272967:-1 gene:DRNTG_13358 transcript:DRNTG_13358.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAGQVIRCRAAVAWEAGKALVIEEVDVAPPQAMEVRLKILYTSVCRSDIYFWEAKGQIPLFPRIFGHEAAGIVESVGDGVTDLKPGDHVIPVHTGECKECIHCKSKEGNMCDLLRVNLGRGVMISDGQSRFSINGKPIYHFVGTSTYSEYTVVHVGCVAKINPLAPLDKVCILGCGISSGLGAVLNVAKPPKGSSVAVFGLGAVGLAAAEGARISGASRIIGVDVNPKKFDHAKNFGVSEFVNPKDHDKPVQEVIAEMTGGGVDRSIECTGDVDAMISAFECVHDGWGVAVLVGVPHKDAVFKTHPMNLLHQRTLKGTLFGNYKPRTDLPAIVEKYMNKELVLEKFITHEVPFAEINKAYEFMLNGDSLRCIIRMDG >DRNTG_13358.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2260305:2272967:-1 gene:DRNTG_13358 transcript:DRNTG_13358.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAGQVIRCRAAVAWEAGKALVIEEVDVAPPQAMEVRLKILYTSVCRSDIYFWEAKGQIPLFPRIFGHEAAGIVESVGDGVTDLKPGDHVIPVHTGECKECIHCKSKEGNMCDLLRVNLGRGVMISDGQSRFSINGKPIYHFVGTSTYSEYTVVHVGCVAKINPLAPLDKVCILGCGISSGLGAVLNVAKPPKGSSVAVFGLGAVGLAAAEGARISGASRIIGVDVNPKKFDHAKNFGVSEFVNPKDHDKPVQEVIAEMTGGGVDRSIECTGDVDAMISAFECVHDGWGVAVLVGVPHKDAVFKTHPMNLLHQRTLKGTLFGNYKPRTDLPAIVEKYMNKELVLEKFITHEVPFAEINKAYEFMLNGDSLRCIIRMDG >DRNTG_13358.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2260305:2264364:-1 gene:DRNTG_13358 transcript:DRNTG_13358.11 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAGQVIRCRAAVAWEAGKALVIEEVDVAPPQAMEVRLKILYTSVCRSDIYFWEAKGQIPLFPRIFGHEAAGIVESVGDGVTDLKPGDHVIPVHTGECKECIHCKSKEGNMCDLLRVNLGRGVMISDGQSRFSINGKPIYHFVGTSTYSEYTVVHVGCVAKINPLAPLDKVCILGCGISSGLGAVLNVAKPPKGSSVAVFGLGAVGLAAAEGARISGASRIIGVDVNPKKFDHAKNFGVSEFVNPKDHDKPVQEVIAEMTGGGVDRSIECTGDVDAMISAFECVHDGWGVAVLVGVPHKDAVFKTHPMNLLHQRTLKGTLFGNYKPRTDLPAIVEKYMNKELVLEKFITHEVPFAEINKAYEFMLNGDSLRCIIRMDG >DRNTG_13358.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2260305:2272398:-1 gene:DRNTG_13358 transcript:DRNTG_13358.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYVFTFQGQIPLFPRIFGHEAAGIVESVGDGVTDLKPGDHVIPVHTGECKECIHCKSKEGNMCDLLRVNTGRGVMISDGQSRFSINGKPIYHFLGTSTYSEYTVVHVGCAAKINPSAPLDKVCILGCGISSGLGAVLNVAKPPKGSSVAVFGLGAVGLAAAEGARISGASRIIGVDVNPRKFDQAKNFGVSEFVNPKDHDKPVQEVIAEMTGGGVDRSIECTGDVDAMISAFECVHDGWGVAVLVGVPHKDAVFKTHPMNLLHQRTLKGTLFGNYKPRTDLPAIVEKYMNKELVLEKFITHEVPFAEINKAYEFMLNGDSLRCIIRMDG >DRNTG_13358.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2260305:2272967:-1 gene:DRNTG_13358 transcript:DRNTG_13358.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAGKALVIEEVDVAPPQAMEVRLKILYTSVCRSDIYFWEAKGQIPLFPRIFGHEAAGIVESVGDGVTDLKPGDHVIPVHTGECKECIHCKSKEGNMCDLLRVNTGRGVMISDGQSRFSINGKPIYHFLGTSTYSEYTVVHVGCAAKINPSAPLDKVCILGCGISSGLGAVLNVAKPPKGSSVAVFGLGAVGLAAAEGARISGASRIIGVDVNPKKFDHAKNFGVSEFVNPKDHDKPVQEVIAEMTGGGVDRSIECTGDVDAMISAFECVHDGWGVAVLVGVPHKDAVFKTHPMNLLHQRTLKGTLFGNYKPRTDLPAIVEKYMNKELVLEKFITHEVPFAEINKAYEFMLNGDSLRCIIRMDG >DRNTG_13358.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2267841:2272967:-1 gene:DRNTG_13358 transcript:DRNTG_13358.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAGQVIRCRAAVAWEAGKALVIEEVDVAPPQAMEVRLKILYTSVCRSDIYFWEAKGQIPLFPRIFGHEAAGIVESVGDGVTDLKPGDHVIPVHTGECKECIHCKSKEGNMCDLLRVNTGRGVMISDGQSRFSINGKPIYHFLGTSTYSEYTVVHVGCAAKINPSAPLDKVCILGCGISSGLGAVLNVAKPPKGSSVAVFGLGAVGLAAAEGARISGASRIIGVDVNPRKFDQAKNFGVSEFVNPKDHDKPVQEVIAEMTGGGVDRSIECTGDVDAMISAFECVHDGWGVAVLVGVPHKDAVFKTHPMNLLHQRTLKGSIFGNYKPRTDLPAVVEKYMNKELVLEKFITHEVPFAEINKAYEFMLNGDSLRCIIRMDG >DRNTG_13358.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2260305:2272654:-1 gene:DRNTG_13358 transcript:DRNTG_13358.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRLKILYTSVCRSDIYFWEAKGQIPLFPRIFGHEAAGIVESVGDGVTDLKPGDHVIPVHTGECKECIHCKSKEGNMCDLLRVNTGRGVMISDGQSRFSINGKPIYHFLGTSTYSEYTVVHVGCAAKINPSAPLDKVCILGCGISSGLGAVLNVAKPPKGSSVAVFGLGAVGLAAAEGARISGASRIIGVDVNPKKFDHAKNFGVSEFVNPKDHDKPVQEVIAEMTGGGVDRSIECTGDVDAMISAFECVHDGWGVAVLVGVPHKDAVFKTHPMNLLHQRTLKGTLFGNYKPRTDLPAIVEKYMNKELVLEKFITHEVPFAEINKAYEFMLNGDSLRCIIRMDG >DRNTG_34512.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002160.1:36998:37553:1 gene:DRNTG_34512 transcript:DRNTG_34512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCIGFEDRVSVAELMLDHPQQCVAELQLVSSGGTPKTMPLPADHWLDPKKVYVMLPISGNKAATLAKARRILSVTRSVFRSQSFPMIGGAFIAGLANKEKQFRKEDKEDEKEEKGSVLEWSPEVFEDRPEFLSRQFSSKGWRPGLGTIEEKELEMKKVSHWLF >DRNTG_22525.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3495478:3498752:1 gene:DRNTG_22525 transcript:DRNTG_22525.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVFSRAAWRCVWHLIQNDLIHGWGLDMKLGYCAQGDRTEKVGVIDSEYIVHKGIPSLGGASTKMTSSHRTLDLRTQVRRQSTAELEKFKNRWNQAVLEDENWNDPFDQQRRKNSDDEHQSI >DRNTG_22525.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3497897:3498752:1 gene:DRNTG_22525 transcript:DRNTG_22525.5 gene_biotype:protein_coding transcript_biotype:protein_coding LFSLSLFQTSSHRTLDLRTQVRRQSTAELEKFKNRWNQAVLEDENWNDPFDQQRRKNSDDEHQSI >DRNTG_22525.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3497124:3498752:1 gene:DRNTG_22525 transcript:DRNTG_22525.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSHRTLDLRTQVRRQSTAELEKFKNRWNQAVLEDENWNDPFDQQRRKNSDDEHQSI >DRNTG_22525.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3494925:3498752:1 gene:DRNTG_22525 transcript:DRNTG_22525.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLKRSANFGNSEDYLFRYLQIVTSEGLEISQPALDPEQSSDIHHRITVRNKMKKVHRRVYDFRGSLNCSDESKGPPCTGWVEGMAPVFSRAAWRCVWHLIQNDLIHGWGLDMKLGYCAQGDRTEKVGVIDSEYIVHKGIPSLGGASTKMTSSHRTLDLRTQVRRQSTAELEKFKNRWNQAVLEDENWNDPFDQQRRKNSDDEHQSI >DRNTG_22525.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3493200:3498752:1 gene:DRNTG_22525 transcript:DRNTG_22525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGLPFGLLTLFSGLAIIVFTRIRFEHLKMEAGQRSFFESEQDGELVRLPKGMIRSTSDLEMKPLWEDSRVEGKDRNCSALLAMAVGISQKQNVDTIVSKLYFFIMMAMWMDGMIWSGATMQCTYLLITKQSGGLQNDFYIRMLYPSMTIYFYGMKI >DRNTG_25520.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2920006:2921957:-1 gene:DRNTG_25520 transcript:DRNTG_25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHAQAIKFGWSSLVSVQNFLVHMYASCGDIGLAELAFNGIEECDDASVNMMLGGFLKCGCFEDARQLFDEMSERDGITWSVMINGLVQRSRFKEGLEFFRRMLEEKVEPNESVLVNVLNACAHLGAMEQGMWVERYLKGKSIRLSVRVGTALVDMYLKCGCVEKAYEIFARMEEKNVLAWTAMIGGLAINGRGKEALQLFSQMEMEGVSPNDVTFVGVLNACSHAGLVDDGVKYFSSMTEAYWDRTECSALLLSG >DRNTG_21621.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1221938:1226250:-1 gene:DRNTG_21621 transcript:DRNTG_21621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQQEQHPDLDAAEAPAEDSIMDADSDALHHENQPNASTAEGIEDLKRRLKAIEEETGALQEMHDKVAKEMGAPALDASLASATQAEKEEVDSRSIYVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEVEAVQNAILLNESELHGRQLKVSAKRTNIPGMKQYRGRGFRSRRPYMPAPFYSPYGYGKVPRFRRAARYRPYY >DRNTG_11122.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30488049:30489523:1 gene:DRNTG_11122 transcript:DRNTG_11122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDKCWTFGLEDNGQLGHGTTMNECFPRAVKGLPEDLRSVDCGLFHTSVAAAYGNVWSWGMERGLGLCPDASLQGDDAGDALSPIRMHHADVVTKVACGAAHTVVVANNGDEVWAWGRGRSGVLGHGMTTDSFTPIPVLWPPPDKDEKENNMVAENARTEPQNREAGKLTGTSEEIEFLLSKLMLVERYAALPHVLIFGKQLDDQNLPQYLQGSSGVYDIGKELETLLESADDDELAGMEMFYSNMLASVKDKLLKRRVQEMVKETLKSPSAGESFIYKTMS >DRNTG_29535.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2072738:2075973:-1 gene:DRNTG_29535 transcript:DRNTG_29535.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLLWLKRIWNAWNLQAFMLASLSLQIILIFSGSLRKRNSSWWVSLILWSAYLLADWVATFALGILSKTQDDHDCKSAEHSLKQNAELLAFWSPFLLLHLGGPDTITAFSLEDNELWMRHLLGLVFQVCVALYAFMKSLPDTRLIVPAFLMFLAGIINYGERSWSLMCASVDGLRKSMVTPPDPGPNYVKFMEEYSCMSAAGLHVDIVVENESEPNPLALDTEEEDIKDVELVSKAHYFFKTFKRLTVDLILTFHDRNESLSFFLKRSPDQAFKLIEFELSFIYEELFTKATALHTVAGPFLRLLTFSSIFSSLLFFFFTKKHGYVEADVTIAYILLVGALALESYAVGLLFFSEWTFLHLKKLGYDQLSDKVFTTISCFRPTNKPRWSNSMAQYSLISFCLADDESSAFKTTLKSIGMKDTWDKYWYTTYIPVTDELKEFIFKEIKGKASSAQDSKSYKRFSDYRGEWALQKKGYRKELGWSVEVELDESILLWHIATDLCFYSHKNEQSEPERNRENEQSEPDRNCENKQSEPERNLQMSKALSDYMLYLLLVRPSMLTAGIGQVRYGDTCAEAKIFFRRGEAVLDQQQASEKLLLVETKVPSVQIKGDRSKSVLFDACVLAKKLLDLKTGRRWRIISAVWVEMLCYAAIHCRSYFHMKQLNSGGELITLVWLLMAHFGLGDQYRIEAGHARAKLIVDK >DRNTG_19203.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2207265:2207791:-1 gene:DRNTG_19203 transcript:DRNTG_19203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLPSNKVQKSPPCLGEQETSNLTLIKLMPRPNLSQNKDG >DRNTG_34918.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20739038:20742483:-1 gene:DRNTG_34918 transcript:DRNTG_34918.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINNLPTIFEVVTGSVKKQSKEKTPSSKSNKPISKTPQHQDSQTKPSKAPPPKDEEDSGEEDDEEEDDEEHGNTLCGACGDNYANDEFWICCDMCEKWFHGKCVKITPARAEHIKQYKCPACSNNKRARA >DRNTG_34918.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20739038:20742483:-1 gene:DRNTG_34918 transcript:DRNTG_34918.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGAGAYNPRTAEEVFRDFRGRRAGMIKALTTDVEKFYKQCDPEKENLCLYGLPDETWEVTLPAEEVPPELPEPALGINFARDGMEEKDWLALVAVHSDAWLLAVAFYFGARFGFDKDARRRLFQMINNLPTIFEVVTGSVKKQSKEKTPSSKSNKPISKTPQHQDSQTKPSKAPPPKDEEDSGEEDDEEEDDEEHGNTLCGACGDNYANDEFWICCDMCEKWFHGKCVKITPARAEHIKQYKCPACSNNKRARA >DRNTG_29078.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6777557:6787709:-1 gene:DRNTG_29078 transcript:DRNTG_29078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPPITLFRRKCNVKGRKRLQKPSQSLFKY >DRNTG_16909.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4972710:4973159:1 gene:DRNTG_16909 transcript:DRNTG_16909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICLFGPTAGGFIAPKPLARRQDERPTSSVRAQRRSPTSNPTSPHIPRRWRSPPTPPTITPPVDHDSSLITTAPPDCGSPLTAIGDDVTATLLQVCQILITKFSRLVALIEALEGWSQSTDHTNEVPGMDVSGMDSDFDDEDVIGMAI >DRNTG_14923.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27184928:27185594:1 gene:DRNTG_14923 transcript:DRNTG_14923.1 gene_biotype:protein_coding transcript_biotype:protein_coding IERQKERKKERKKEEGSSGGGGSSSSWEKERERERGDEGPGELR >DRNTG_24367.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2891345:2893326:1 gene:DRNTG_24367 transcript:DRNTG_24367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSCKGLAMELVKCLSETDCVKVENKPFRECAGEKPPSISSECVGLRETYFNCKRGQVDMRARIRGNKGY >DRNTG_02939.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:8799229:8807551:-1 gene:DRNTG_02939 transcript:DRNTG_02939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIFRKFQEAVTTLAKNPRFARDPRHIQFEADVNRLFLYTSFNRLGRNADDKDADEIIDMANKASVADQQRQVQDNIHCQIRNICDAMDDILLPDGLSKPTVQESTPQMPNAQRPSGLSFAIGKTVASANQSVTPETQPLTSLEFSQNLKDRIGYTLEIKPSEIPHKEAGQGLFLTGETDVGAVVAFYPGVIYSPAYYQNIPGYPRVDACNSYLITRYDGFVINAQPWGTGGESREVWDGCYKQVHPLPSEDNKRSSDRLWKMLAKPSQGSHPVISREVLERRNPLAFGHFANHPSKETGPNVMVCPYDFPVMERQMRVYIPNIMFGSEESVAMRRFGSFWFKSWRSSDQDAGAPVMKTLVLVATRALRDEEVLLNYRLSNSKRRPSWYSPVDEEEDRRRWS >DRNTG_02939.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:8799229:8807551:-1 gene:DRNTG_02939 transcript:DRNTG_02939.2 gene_biotype:protein_coding transcript_biotype:protein_coding FCHWENSGICKPICTVTPETQPLTSLEFSQNLKDRIGYTLEIKPSEIPHKEAGQGLFLTGETDVGAVVAFYPGVIYSPAYYQNIPGYPRVDACNSYLITRYDGFVINAQPWGTGGESREVWDGCYKQVHPLPSEDNKRSSDRLWKMLAKPSQGSHPVISREVLERRNPLAFGHFANHPSKETGPNVMVCPYDFPVMERQMRVYIPNIMFGSEESVAMRRFGSFWFKSWRSSDQDAGAPVMKTLVLVATRALRDEEVLLNYRLSNSKRRPSWYSPVDEEEDRRRWS >DRNTG_03134.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21479472:21479905:1 gene:DRNTG_03134 transcript:DRNTG_03134.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGFVSSANCKELAAKPDVNGFLVSGPSLKPEFIDIIKSATVKSST >DRNTG_06578.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:525235:535378:-1 gene:DRNTG_06578 transcript:DRNTG_06578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPHSVSFQNPNSSSNEDAQGQIAWSLAIVFVRLDDEELLDWGDDENILDHDMDDEDLLPNENCIVQEDNDLQNVHVPDIASTKEDAPKHPHPDSKQLRRSDRPKKPSGRWNEEAGFAYSRLAETEITLSSIDNSLHRTNWIPSLAPQLRILPGSHFPQYCGTDRYQQKSQRSIT >DRNTG_15031.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23758900:23761331:-1 gene:DRNTG_15031 transcript:DRNTG_15031.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHNKSDSDVTSLAASSPPRSPKRAVYYVQSPSRDSHDEDKSSSMHATPVYNSPMESPSHPSYGRHSRASSSSRFSGTFGRKGSRKRSNEKGWPECKVIEEEGSYDDLDDDKSLSKRFQIAIGLLGFVLLFTVFCLIIWGAARPYKAKVVVKSLSVDDFYAGEGSDNTGVPTKLITSNFSLKITVYNPATMFGIHVTSGPINLIYSEITIATGQLKKYYQPRKSHRSVTVILQGEKVPLYGAGAGLALSSTGGVVPLTLDFDVLSRGNVVGRLVRVKHHKHVSCPVVIESSKVKPIKFSWNACAYESF >DRNTG_04561.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:7502298:7503048:1 gene:DRNTG_04561 transcript:DRNTG_04561.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRINIDCNGCYRKVRRALLQIHELHSHLIEKKQCKVSVRGVFDPHDVAMKIRKKTNRRVEILEITEASNEGAEEKKDDNLNVSESQSQRPLLSTSS >DRNTG_04561.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:7502298:7503048:1 gene:DRNTG_04561 transcript:DRNTG_04561.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRLCCMVMRINIDCNGCYRKVRRALLQIHELHSHLIEKKQCKVSVRGVFDPHDVAMKIRKKTNRRVEILEITEASNEGAEEKKDDNLNVSESQSQRPLLSTSS >DRNTG_34477.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24518681:24519832:1 gene:DRNTG_34477 transcript:DRNTG_34477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAVTLLSFFFIFSHILAFVLHVTAERCPSSSCGNITIKPPVTLKDSPSNCVKPRQRKYELTCDSSNHVILNLFSSDYYVTDILYFGYYYFEMKVIDVGMANHSCRLPLHSLPASKFMSPNFPYYFPLYNGDKWVSFVNCSNEVKNNNMYKPVPCFKNTDNKSFIYVIASKEAYRVGNLVSSCSFLAMTAMSYDAATNDSGTEIFKLLAQGFTLGEPDSSPMPFLLEMFLGTLVNITRLVIAHTLFGRFVVAPLIICGFLAYKFRKMIASVDNVERFLRMQKGLVPTRYSYTDLIALTSCHAEHELLWTRDTTNGRTL >DRNTG_25675.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21558177:21563457:-1 gene:DRNTG_25675 transcript:DRNTG_25675.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVIFSGLRGCFFSIANMILVKRMREALYSSLLFQDIFFFDKEAVGDLTSRLGADCQQVSRVISGDLNMISRNFIQGMGALTYLFILSRPLALSTLLICSTLSTIMLFYGRYQKRAARLTQEFTACANEVAQETLSLVRTVRVYGTEKQEFGRYQEWLVKLANIGTRQSFAYGFWSMAFNFIYHSTQVVAVLIGGINIMSGHLTAEQLTKFLLYSEWLIYSTFWVGDNWSSLMQSIGASEKVFNLIDLLPSNQFSEEGITPSHSSVVVVNFNVCQLIIAYLLSVHS >DRNTG_25675.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21566687:21567973:-1 gene:DRNTG_25675 transcript:DRNTG_25675.12 gene_biotype:protein_coding transcript_biotype:protein_coding MASSITSLNRINGGMSSCCCSSSSSSSSLPLLLLPRYPPSKLSLLTSNRRFHSSTIRLGRHHLSPPRAASSSPSSSSSVNGFPFQDNLGKNGDLRGGRLERVQAGIKLVLSVLPGGSWWRLDEQEKEVGIDAQKSASSAIYVLRRMWMMVAGERWIIFAASAALVTAAVCLLFSPLSFTLWFDLLWICLFLLLIMN >DRNTG_25675.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21558177:21561320:-1 gene:DRNTG_25675 transcript:DRNTG_25675.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNFIYHSTQVVAVLIGGINIMSGHLTAEQLTKFLLYSEWLIYSTFWVGDNWSSLMQSIGASEKVFNLIDLLPSNQFSEEGITPSHSSVVVVNFNVCQLIIAYLLSVHS >DRNTG_25675.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21561391:21562835:-1 gene:DRNTG_25675 transcript:DRNTG_25675.10 gene_biotype:protein_coding transcript_biotype:protein_coding MISRNFIQGMGALTYLFILSRPLALSTLLICSTLSTIMLFYGRYQKRAARLTQEFTACANEVAQETLSLVRTVRVYGTEKQEFGRQDCLSLC >DRNTG_25675.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21565271:21568060:-1 gene:DRNTG_25675 transcript:DRNTG_25675.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASSITSLNRINGGMSSCCCSSSSSSSSLPLLLLPRYPPSKLSLLTSNRRFHSSTIRLGRHHLSPPRAASSSPSSSSSVNGFPFQDNLGKNGDLRGGRLERVQAGIKLVLSVLPGGSWWRLDEQEKEVGIDAQKSASSAIYVLRRMWMMVAGERWIIFAASAALVTAAVQY >DRNTG_25675.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21562709:21567973:-1 gene:DRNTG_25675 transcript:DRNTG_25675.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFIVIYPFYISSHVQLSEISIPNFLAASIFSAQSGESMLFYRNAKLVILLCITSGICSGLRGCFFSIANMILVKRMREALYSSLLFQDIFFFDKEAVGDLTSRLGADCQQVSRVISGDLNMISRNFIQ >DRNTG_25675.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21566687:21568060:-1 gene:DRNTG_25675 transcript:DRNTG_25675.11 gene_biotype:protein_coding transcript_biotype:protein_coding MASSITSLNRINGGMSSCCCSSSSSSSSLPLLLLPRYPPSKLSLLTSNRRFHSSTIRLGRHHLSPPRAASSSPSSSSSVNGFPFQDNLGKNGDLRGGRLERVQAGIKLVLSVLPGGSWWRLDEQEKEVGIDAQKSASSAIYVLRRMWMMVAGERWIIFAASAALVTAAVCLLFSPLSFTLWFDLLWICLFLLLIMN >DRNTG_25675.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21558177:21559068:-1 gene:DRNTG_25675 transcript:DRNTG_25675.14 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHLTAEQLTKFLLYSEWLIYSTFWVGDNWSSLMQSIGASEKVFNLIDLLPSNQFSEEGITPSHSSVVVVNFNVCQLIIAYLLSVHS >DRNTG_25675.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21561213:21563457:-1 gene:DRNTG_25675 transcript:DRNTG_25675.8 gene_biotype:protein_coding transcript_biotype:protein_coding MREALYSSLLFQDIFFFDKEAVGDLTSRLGADCQQVSRVISGDLNMISRNFIQGMGALTYLFILSRPLALSTLLICSTLSTIMLFYGRYQKRAARLTQEFTACANEVAQETLSLVRTVRVYGTEKQEFGRYQEWLVKLANIGTRQSFAYGFWSMAFNFIYHSTQV >DRNTG_25675.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21558177:21567973:-1 gene:DRNTG_25675 transcript:DRNTG_25675.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFIVIYPFYISSHVQLSEISIPNFLAASIFSAQSGESMLFYRNAKLVILLCITSGICSGLRGCFFSIANMILVKRMREALYSSLLFQDIFFFDKEAVGDLTSRLGADCQQVSRVISGDLNMISRNFIQGMGALTYLFILSRPLALSTLLICSTLSTIMLFYGRYQKRAARLTQEFTACANEVAQETLSLVRTVRVYGTEKQEFGRYQEWLVKLANIGTRQSFAYGFWSMAFNFIYHSTQVVAVLIGGINIMSGHLTAEQLTKFLLYSEWLIYSTFWVGDNWSSLMQSIGASEKVFNLIDLLPSNQFSEEGITPSHSSVVVVNFNVCQLIIAYLLSVHS >DRNTG_25675.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21561391:21562626:-1 gene:DRNTG_25675 transcript:DRNTG_25675.13 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTYLFILSRPLALSTLLICSTLSTIMLFYGRYQKRAARLTQEFTACANEVAQETLSLVRTVRVYGTEKQEFGRQDCLSLC >DRNTG_25675.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21565271:21567973:-1 gene:DRNTG_25675 transcript:DRNTG_25675.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASSITSLNRINGGMSSCCCSSSSSSSSLPLLLLPRYPPSKLSLLTSNRRFHSSTIRLGRHHLSPPRAASSSPSSSSSVNGFPFQDNLGKNGDLRGGRLERVQAGIKLVLSVLPGGSWWRLDEQEKEVGIDAQKSASSAIYVLRRMWMMVAGERWIIFAASAALVTAAVQY >DRNTG_25675.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21561391:21563457:-1 gene:DRNTG_25675 transcript:DRNTG_25675.9 gene_biotype:protein_coding transcript_biotype:protein_coding MREALYSSLLFQDIFFFDKEAVGDLTSRLGADCQQVSRVISGDLNMISRNFIQGMGALTYLFILSRPLALSTLLICSTLSTIMLFYGRYQKRAARLTQEFTACANEVAQETLSLVRTVRVYGTEKQEFGRQDCLSLC >DRNTG_25675.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21558177:21567973:-1 gene:DRNTG_25675 transcript:DRNTG_25675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSITSLNRINGGMSSCCCSSSSSSSSLPLLLLPRYPPSKLSLLTSNRRFHSSTIRLGRHHLSPPRAASSSPSSSSSVNGFPFQDNLGKNGDLRGGRLERVQAGIKLVLSVLPGGSWWRLDEQEKEVGIDAQKSASSAIYVLRRMWMMVAGERWIIFAASAALVTAALSEISIPNFLAASIFSAQSGESMLFYRNAKLVILLCITSGICSGLRGCFFSIANMILVKRMREALYSSLLFQDIFFFDKEAVGDLTSRLGADCQQVSRVISGDLNMISRNFIQGMGALTYLFILSRPLALSTLLICSTLSTIMLFYGRYQKRAARLTQEFTACANEVAQETLSLVRTVRVYGTEKQEFGRYQEWLVKLANIGTRQSFAYGFWSMAFNFIYHSTQVVAVLIGGINIMSGHLTAEQLTKFLLYSEWLIYSTFWVGDNWSSLMQSIGASEKVFNLIDLLPSNQFSEEGITPSHSSVVVVNFNVCQLIIAYLLSVHS >DRNTG_20975.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11444269:11459628:1 gene:DRNTG_20975 transcript:DRNTG_20975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTEMRRIENATSRQVTFSKRRSGLLKKAFELSVLCDAEVALIVFSPRGKLYEFASSNMQKTIDRYRVHGKDESARGIMEQNLQQWRDETAAIAKNLEVVEASKRKLLGENLESCSIQELHELEFQLERSLCNIRGRRNSLITDQIEKLKEKERMLLEVNELLRQKFEAQPQLLLSSASRDTPSEEQSPNYIDVETELYIGRPGDAKTVNLQR >DRNTG_34101.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26356224:26362233:-1 gene:DRNTG_34101 transcript:DRNTG_34101.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSNYSSLSDSSNYLSGDMALSILKSGSLESNINEESRVMAREVIVKKLFEDLLYSSRKDERCAGTVWLLSLTMYCGYDPKIQQLLPEIQEAFSHLLGDQNDLTQELASKGMSIVYELGDPSMKHELVNALVGTLTGSGKRKRAIKLMGDSEVFQEGSIGETLGGGKLSTYKELCSLANEMGQPDLIYKFMDLANYQASLNSKRGAAFGFSKIAKHAGDALQPHLQLLIPRLVRYQYDPDKNVQDAMGHIWKSIVADTKKTIEEHFDIIIDDLLMQSGSRLWRSREASCLALADIIQGRKFDQVSKYLKKIWTAAFRAMDDVKETVRNSGDSLCRAVSSLTIRLCDVSLTAPSDASQAMDIVLPYLLVEGIVSKVSSIQKASVGLVMKLAKGAGIALRPHLPELVCCMLECLSSLEDQSFNYVELHAENAGIRAEKLDNLRIAIAKDSTMWETLDLCLKVVDKESLDLLVPRLTQLVRSGVGLNTR >DRNTG_34101.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26354942:26362233:-1 gene:DRNTG_34101 transcript:DRNTG_34101.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSNYSSLSDSSNYLSGDMALSILKSGSLESNINEESRVMAREVIVKKLFEDLLYSSRKDERCAGTVWLLSLTMYCGYDPKIQQLLPEIQEAFSHLLGDQNDLTQELASKGMSIVYELGDPSMKHELVNALVGTLTGSGKRKRAIKLMGDSEVFQEGSIGETLGGGKLSTYKELCSLANEMGQPDLIYKFMDLANYQASLNSKRGAAFGFSKIAKHAGDALQPHLQLLIPRLVRYQYDPDKNVQDAMGHIWKSIVADTKKTIEEHFDIIIDDLLMQSGSRLWRSREASCLALADIIQGRKFDQVSKYLKKIWTAAFRAMDDVKETVRNSGDSLCRAVSSLTIRLCDVSLTAPSDASQAMDIVLPYLLVEGIVSKVSSIQKASVGLVMKLAKGAGIALRPHLPELVCCMLECLSSLEDQSFNYVELHAENAGIRAEKLDNLRIAIAKDSTMWETLDLCLKVVDKESLDLLVPRLTQLVRSGVGLNTRVGVASFITLLVQKVTTDIKPFTSTLLKLLLAAVLEEKSKAAKRAFAAACAMVLKYAGPSQ >DRNTG_34101.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26354942:26362233:-1 gene:DRNTG_34101 transcript:DRNTG_34101.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSNYSSLSDSSNYLSGDMALSILKSGSLESNINEESRVMAREVIVKKLFEDLLYSSRKDERCAGTVWLLSLTMYCGYDPKIQQLLPEIQEAFSHLLGDQNDLTQELASKGMSIVYELGDPSMKHELVNALVGTLTGSGKRKRAIKLMGDSEVFQEGSIGETLGGGKLSTYKELCSLANEMGQPDLIYKFMDLANYQASLNSKRGAAFGFSKIAKHAGDALQPHLQLLIPRLVRYQYDPDKNVQDAMGHIWKSIVADTKKTIEEHFDIIIDDLLMQSGSRLWRSREASCLALADIIQGRKFDQVSKYLKKIWTAAFRAMDDVKETVRNSGDSLCRAVSSLTIRLCDVSLTAPSDASQAMDIVLPYLLVEGIVSKVSSIQKASVGLVMKLAKGAGIALRPHLPELVCCMLECLSSLEDQSFNYVELHAENAGIRAEKLDNLRIAIAKDSTMWETLDLCLKVVDKESLDLLVPRLTQLVRSGVGLNTRCC >DRNTG_03377.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30101665:30102208:-1 gene:DRNTG_03377 transcript:DRNTG_03377.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSICTNCILLVCLYDQEGNWRIEPGLAGILIMEHILLLIKFGFSRCVPEEPAWVRLSRVKSAVQARDVCSKQLLKSLSQRKID >DRNTG_03377.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30101665:30106205:-1 gene:DRNTG_03377 transcript:DRNTG_03377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTGEETGFEVAIVVPKKRDKEKDENCDCVEFLVDELTKAGLIVERVNGISDEFLKLAAPLETLGRAAYELQMKKLTYIGMDLQFEWDQVTAFVRQPDGSLFSWLERYNCFRHLIYGIVNETESELILKFDGDEFALQRNESLVERMEVEGIVKQVFPTHEEVTRKRLLRIWALNWLGFTEQPIDEVYSYFGIKIATYFAFLGMYTKWLVFPAVLGLAVQLLDFGSMQLLVLPSFFIVIISWAVLLFQFWKRKNSALLARWEINYSLTDYRAINMEMASFKQSHEQLQENMDADKPTEKRALQKDEWFGLLLRIRNNAIIVMAIICLQLPFELAYAHLYEIIESDVLKYAVTALYLLAIQYYTKLGGKVSVILIKYENNQGEESSADSLVYKVFGLYFMQTYIGLFYHALLHRNFTTLRQVIIQRLIVSQILENLVENSIPYLQYSYKKYKAIRKKKCDKRSAGAKSPQFASRVEKEYLKPSYTASIGEELEDGLFDDFLELALQFGMIMMFACAFPLIFCFAALNNITEIRTDALKLLVMLKRPVPRASATIGAWLNIFQFLIVMSICTNCILLVCLYDQEGNWRIEPGLAGILIMEHILLLIKFGFSRCVPEEPAWVRLSRVKSAVQARDVCSKQLLKSLSQRKID >DRNTG_12983.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24812992:24813363:-1 gene:DRNTG_12983 transcript:DRNTG_12983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSVETFDPRMGSWLMDEPMKFARGYAASVVLDNALLVIGGSLDGDNVTENVESYKDCIGWTNKYTKAITKRCFFSAAVL >DRNTG_16714.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1823840:1829739:-1 gene:DRNTG_16714 transcript:DRNTG_16714.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLSMGSALETLSGQAFGAGQVSMLSIYMQRTWIILIISSILMSPIYIFATPILSFLGQQSDIAFLAGRFALLILPQQFAMAISYPSQKFLLTQNKVLPLAFIAFISLVLHLCALWFFTSVLEWGSTGAAIAFDVSSCAMALFQVIYIMFWCKDVWNGFSWFAFKDLWPFIKLSLSSAFMLLLGDWYGALVMFLTGLLNNAQVTVASASIWLNINSWEYMLVTGFLSATSVRLSNELGSGRPRAGKYAVIVSGLTTLFISLISSSLILATWDKFPLLFTSSKEVQDSASTAVYLLAIVLMITAIQSTLIGNLLLLITIMLFVCTSATMKILW >DRNTG_16714.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1823840:1829739:-1 gene:DRNTG_16714 transcript:DRNTG_16714.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERGLVEKTKRSGGKDELEVRSFSDAIRVFTDESKKQWMLAAPIAMTALFTYGINSSVQIFAGHLSALQLSAVSTALTLCSNFTTGFLLSMGSALETLSGQAFGAGQVSMLSIYMQRTWIILIISSILMSPIYIFATPILSFLGQQSDIAFLAGRFALLILPQQFAMAISYPSQKFLLTQNKVLPLAFIAFISLVLHLCALWFFTSVLEWGSTGAAIAFDVSSCAMALFQVIYIMFWCKDVWNGFSWFAFKDLWPFIKLSLSSAFMLLLGDWYGALVMFLTGLLNNAQVTVASASIWYGL >DRNTG_16714.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1823840:1829739:-1 gene:DRNTG_16714 transcript:DRNTG_16714.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLSMGSALETLSGQAFGAGQVSMLSIYMQRTWIILIISSILMSPIYIFATPILSFLGQQSDIAFLAGRFALLILPQQFAMAISYPSQKFLLTQNKVLPLAFIAFISLVLHLCALWFFTSVLEWGSTGAAIAFDVSSCAMALFQVIYIMFWCKDVWNGFSWFAFKDLWPFIKLSLSSAFMLLLGDWYGALVMFLTGLLNNAQVTVASASIWLNINSWEYMLVTGFLSATSVRLSNELGSGRPRAGKYAVIVSGLTTLFISLISSSLILATWDKFPLLFTSSKEVQDSASTAVYLLAIVLMITAIQSTLIGSITGTGWQGLVAYINLACYYLLGIPLAAALGFLFHWGLQGIWIGMISGYIAQTLVLITIVCKTNWKGQVIQAEKRLQFWSGEDQ >DRNTG_16714.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1823840:1829739:-1 gene:DRNTG_16714 transcript:DRNTG_16714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLSMGSALETLSGQAFGAGQVSMLSIYMQRTWIILIISSILMSPIYIFATPILSFLGQQSDIAFLAGRFALLILPQQFAMAISYPSQKFLLTQNKVLPLAFIAFISLVLHLCALWFFTSVLEWGSTGAAIAFDVSSCAMALFQVIYIMFWCKDVWNGFSWFAFKDLWPFIKLSLSSAFMLLLGDWYGALVMFLTGLLNNAQVTVASASIWYGL >DRNTG_16714.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1823840:1829739:-1 gene:DRNTG_16714 transcript:DRNTG_16714.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERGLVEKTKRSGGKDELEVRSFSDAIRVFTDESKKQWMLAAPIAMTALFTYGINSSVQIFAGHLSALQLSAVSTALTLCSNFTTGFLLSMGSALETLSGQAFGAGQVSMLSIYMQRTWIILIISSILMSPIYIFATPILSFLGQQSDIAFLAGRFALLILPQQFAMAISYPSQKFLLTQNKVLPLAFIAFISLVLHLCALWFFTSVLEWGSTGAAIAFDVSSCAMALFQVIYIMFWCKDVWNGFSWFAFKDLWPFIKLSLSSAFMLLLGDWYGALVMFLTGLLNNAQVTVASASIWLNINSWEYMLVTGFLSATSVRLSNELGSGRPRAGKYAVIVSGLTTLFISLISSSLILATWDKFPLLFTSSKEVQDSASTAVYLLAIVLMITAIQSTLIGSITGTGWQGLVAYINLACYYLLGIPLAAALGFLFHWGLQGIWIGMISGYIAQTLVLITIVCKTNWKGQVIQAEKRLQFWSGEDQ >DRNTG_18099.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:361149:362085:-1 gene:DRNTG_18099 transcript:DRNTG_18099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSFLKFLSSMATMVAVMATISSASLKVGFYEDSCPGAEKIVRETVLISVLQNPGFAAGLIRLHFHDCFVRGCDGSVLLDSTPGNPAEKV >DRNTG_29460.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14386632:14390380:1 gene:DRNTG_29460 transcript:DRNTG_29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVREVLSLMHTEGLLWSKSKLKIGVPHSPEDLKAYQLQAIKYYLMASEGVASEGRHESRAKWNEIHKAHLVKLLGEYNTPAYRSQNGWTKEAWNKMVRSNPSITTSQIKALEQELQKTYKLLKGFSELTGFGWDYERHIVSATDDVWAPPLKVWIYAEGKRSRGIEYYEDISQSPVHAQSPSVFTPNDSRQPSPIHETEDDDIMQVEPPSSQPRNPQTQSSSNEILRGIRDQDGQRRKRERRENA >DRNTG_27932.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001419.1:6907:9750:-1 gene:DRNTG_27932 transcript:DRNTG_27932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTALRENTKHWKLKKINSTEQRIGGFCPLTPKEVGIFLQALGYPPATYIYIAAGEIFGGDTYLSDLRSRFPNLVFKETLATEELEKFVSHASQAAAIDYIISVESDVFVPSYTGNMARAVEGHRRYLDHRKTINPDRKGLVELFDKMENGELKESSTLSPLVTQMHKSRQGSPRKRYGSLPGMKGRNRLRTEESFYENPLPECICRN >DRNTG_27932.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001419.1:9321:9750:-1 gene:DRNTG_27932 transcript:DRNTG_27932.3 gene_biotype:protein_coding transcript_biotype:protein_coding VQVSFTGCTYGLNDSEAEMLTALRENTKHWKLKKINSTEQRIGGFCPLTPKEVGIFLQALGYPPATYIYIAAGEIFGGDTYLSDLRSRFPNLVFK >DRNTG_21399.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19534496:19543295:-1 gene:DRNTG_21399 transcript:DRNTG_21399.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCQQISRVGYINVRYFIIMYLQGRDLSIAEKTNYLLFMIHAFQSLEDEIVSGTVLKLVSLQLWHCLSPGRLQMEFCLNPHLILKWKKMIKKENKYAKKGGHTFEPSSMLEVTFLRNLIEEFLKILDSEIIQPRPDDSPGAASIGEQMDDACVLYCERFTEFLIDLLSQLPTRRFLKPLVADVAVVSKCHLSALYTHEKGRLFAQLVDLLQFYEGFEIDDHDGTQLSDHDVLLAHYSRLQAFQLLAFKKIPKLMDLALCNIGAIHNRADLSKKLSILSAEELKDLVCDKLKLVSGGDPCADRVDFLIEILVYFFEKRQSQKEAINALPLYPNEQIMWDESVVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLLSYINSEGETAFRGWSRMAVPIKDFKITQVKQPNVGEVKPSSATAEVTFSIASYKAHIRSEWNALKEHDVLFLLSIRPSFEPLSAEEAARSTVPERLGLQFVRGCEVIEIHDEEGVLMNDFTGRIKRDEWKPPKGELRTVTVALDTAQYYMDTTNRAERGAEDVYGTFNILMRRKPKENNFKAILESIRDLMNEAFTVPEWLHNILLGYGNPSAAQWTNMPDLLEVVDFKDTFLDAAHLRESFPDFQVCFLNPEGKEEPSPNPPFRIRLPKTLKGSVHALPGNKKSSNSATLGGDDMATQGAEKEKLIVEPYIPADPGPYPQDQPKQNSVRFTPTQIEAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLSEVERLARSLNLPEDVGYTCETASYFWLLHVYSRWEQFLAACEENQDRPTFIKDRFPFKEFFSNTPQPVFTGQSFEKDMRAAKGCFCHLSTVFQELEECRAFELLKSTADRANYLMTKQAKIVAMTCTHAALKRKDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGHARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGIPYIELNAQGRARPDIAKLYNWRYRDLGDLPYVREQDVFHKANSGFSYEYQLIDIPDYHGKGETAPSPWFYQNEGEAEYIVSVYIYMQLLGYPASKISILTTYNGQKLLIRDVVNRRCTSCGISPPSKITTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLVVAMSRARLGLYIFCHRSLFEQCYELQPTFQLLLQRPDRLGLNLDETTPFTDRPVGETGRVHFVEGIQDMENLVVFKMHQLYRAQAISHYYAEFSEQDPQTENPKMSPSQRHHTEEDADMPFANGENTTSENGDTGNALAENNDSGNAITEDGDTGNTPAKNIDSDENKMEE >DRNTG_21399.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19534496:19542738:-1 gene:DRNTG_21399 transcript:DRNTG_21399.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIHAFQSLEDEIVSGTVLKLVSLQLWHCLSPGRLQMEFCLNPHLILKWKKMIKKENKYAKKGGHTFEPSSMLEVTFLRNLIEEFLKILDSEIIQPRPDDSPGAASIGEQMDDACVLYCERFTEFLIDLLSQLPTRRFLKPLVADVAVVSKCHLSALYTHEKGRLFAQLVDLLQFYEGFEIDDHDGTQLSDHDVLLAHYSRLQAFQLLAFKKIPKLMDLALCNIGAIHNRADLSKKLSILSAEELKDLVCDKLKLVSGGDPCADRVDFLIEILVYFFEKRQSQKEAINALPLYPNEQIMWDESVVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLLSYINSEGETAFRGWSRMAVPIKDFKITQVKQPNVGEVKPSSATAEVTFSIASYKAHIRSEWNALKEHDVLFLLSIRPSFEPLSAEEAARSTVPERLGLQFVRGCEVIEIHDEEGVLMNDFTGRIKRDEWKPPKGELRTVTVALDTAQYYMDTTNRAERGAEDVYGTFNILMRRKPKENNFKAILESIRDLMNEAFTVPEWLHNILLGYGNPSAAQWTNMPDLLEVVDFKDTFLDAAHLRESFPDFQVCFLNPEGKEEPSPNPPFRIRLPKTLKGSVHALPGNKKSSNSATLGGDDMATQGAEKEKLIVEPYIPADPGPYPQDQPKQNSVRFTPTQIEAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLSEVERLARSLNLPEDVGYTCETASYFWLLHVYSRWEQFLAACEENQDRPTFIKDRFPFKEFFSNTPQPVFTGQSFEKDMRAAKGCFCHLSTVFQELEECRAFELLKSTADRANYLMTKQAKIVAMTCTHAALKRKDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGHARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGIPYIELNAQGRARPDIAKLYNWRYRDLGDLPYVREQDVFHKANSGFSYEYQLIDIPDYHGKGETAPSPWFYQNEGEAEYIVSVYIYMQLLGYPASKISILTTYNGQKLLIRDVVNRRCTSCGISPPSKITTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLVVAMSRARLGLYIFCHRSLFEQCYELQPTFQLLLQRPDRLGLNLDETTPFTDRPVGETGRVHFVEGIQDMENLVVFKMHQLYRAQAISHYYAEFSEQDPQTENPKMSPSQRHHTEEDADMPFANGENTTSENGDTGNALAENNDSGNAITEDGDTGNTPAKNIDSDENKMEE >DRNTG_21399.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19534496:19543295:-1 gene:DRNTG_21399 transcript:DRNTG_21399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVYGTGPYDFRRHRVAEYHIDNPPAAPAAAAPQGASLSGSITLLEIQRDRLTKIAASNWSRAAGAEPPARPFDPSLVKEIYDTELLVSGARKTVPLHRVMILEVSQYLENYLWPNFNPETATLEHVMSMILMVNEKFRENVAAWICFHDRKDAFRGFLGSVLRLKEEGRDLSIAEKTNYLLFMIHAFQSLEDEIVSGTVLKLVSLQLWHCLSPGRLQMEFCLNPHLILKWKKMIKKENKYAKKGGHTFEPSSMLEVTFLRNLIEEFLKILDSEIIQPRPDDSPGAASIGEQMDDACVLYCERFTEFLIDLLSQLPTRRFLKPLVADVAVVSKCHLSALYTHEKGRLFAQLVDLLQFYEGFEIDDHDGTQLSDHDVLLAHYSRLQAFQLLAFKKIPKLMDLALCNIGAIHNRADLSKKLSILSAEELKDLVCDKLKLVSGGDPCADRVDFLIEILVYFFEKRQSQKEAINALPLYPNEQIMWDESVVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLLSYINSEGETAFRGWSRMAVPIKDFKITQVKQPNVGEVKPSSATAEVTFSIASYKAHIRSEWNALKEHDVLFLLSIRPSFEPLSAEEAARSTVPERLGLQFVRGCEVIEIHDEEGVLMNDFTGRIKRDEWKPPKGELRTVTVALDTAQYYMDTTNRAERGAEDVYGTFNILMRRKPKENNFKAILESIRDLMNEAFTVPEWLHNILLGYGNPSAAQWTNMPDLLEVVDFKDTFLDAAHLRESFPDFQVCFLNPEGKEEPSPNPPFRIRLPKTLKGSVHALPGNKKSSNSATLGGDDMATQGAEKEKLIVEPYIPADPGPYPQDQPKQNSVRFTPTQIEAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLSEVERLARSLNLPEDVGYTCETASYFWLLHVYSRWEQFLAACEENQDRPTFIKDRFPFKEFFSNTPQPVFTGQSFEKDMRAAKGCFCHLSTVFQELEECRAFELLKSTADRANYLMTKQAKIVAMTCTHAALKRKDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGHARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGIPYIELNAQGRARPDIAKLYNWRYRDLGDLPYVREQDVFHKANSGFSYEYQLIDIPDYHGKGETAPSPWFYQNEGEAEYIVSVYIYMQLLGYPASKISILTTYNGQKLLIRDVVNRRCTSCGISPPSKITTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLVVAMSRARLGLYIFCHRSLFEQCYELQPTFQLLLQRPDRLGLNLDETTPFTDRPVGETGRVHFVEGIQDMENLVVFKMHQLYRAQAISHYYAEFSEQDPQTENPKMSPSQRHHTEEDADMPFANGENTTSENGDTGNALAENNDSGNAITEDGDTGNTPAKNIDSDENKMEE >DRNTG_21399.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19534496:19540877:-1 gene:DRNTG_21399 transcript:DRNTG_21399.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDACVLYCERFTEFLIDLLSQLPTRRFLKPLVADVAVVSKCHLSALYTHEKGRLFAQLVDLLQFYEGFEIDDHDGTQLSDHDVLLAHYSRLQAFQLLAFKKIPKLMDLALCNIGAIHNRADLSKKLSILSAEELKDLVCDKLKLVSGGDPCADRVDFLIEILVYFFEKRQSQKEAINALPLYPNEQIMWDESVVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLLSYINSEGETAFRGWSRMAVPIKDFKITQVKQPNVGEVKPSSATAEVTFSIASYKAHIRSEWNALKEHDVLFLLSIRPSFEPLSAEEAARSTVPERLGLQFVRGCEVIEIHDEEGVLMNDFTGRIKRDEWKPPKGELRTVTVALDTAQYYMDTTNRAERGAEDVYGTFNILMRRKPKENNFKAILESIRDLMNEAFTVPEWLHNILLGYGNPSAAQWTNMPDLLEVVDFKDTFLDAAHLRESFPDFQVCFLNPEGKEEPSPNPPFRIRLPKTLKGSVHALPGNKKSSNSATLGGDDMATQGAEKEKLIVEPYIPADPGPYPQDQPKQNSVRFTPTQIEAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLSEVERLARSLNLPEDVGYTCETASYFWLLHVYSRWEQFLAACEENQDRPTFIKDRFPFKEFFSNTPQPVFTGQSFEKDMRAAKGCFCHLSTVFQELEECRAFELLKSTADRANYLMTKQAKIVAMTCTHAALKRKDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGHARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGIPYIELNAQGRARPDIAKLYNWRYRDLGDLPYVREQDVFHKANSGFSYEYQLIDIPDYHGKGETAPSPWFYQNEGEAEYIVSVYIYMQLLGYPASKISILTTYNGQKLLIRDVVNRRCTSCGISPPSKITTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLVVAMSRARLGLYIFCHRSLFEQCYELQPTFQLLLQRPDRLGLNLDETTPFTDRPVGETGRVHFVEGIQDMENLVVFKMHQLYRAQAISHYYAEFSEQDPQTENPKMSPSQRHHTEEDADMPFANGENTTSENGDTGNALAENNDSGNAITEDGDTGNTPAKNIDSDENKMEE >DRNTG_02630.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21398192:21401675:-1 gene:DRNTG_02630 transcript:DRNTG_02630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGKKNFLPPHPLVMGFGILFRLDESSLASHLNERRVRGEDEGQQETEGELHKGPSASDSGDEVNSEGGINKDLNHVSSLSMNHLEVEEKLSKVINAPLVSPSRLETSGDFIEEVTEGVDSSKGMHSELEPETGQRSVTSKSSELDVLMDLALGLGPAKLLSTSSALTTTQPTFTEDPNLEGNKGTMREKPYISKAERRKSKKDQKNGTGNDDNEKEHNKPSVRTDVNENQKPASSKITRGQKSKLKKIKEKYAEQDEEERKIRMALLASAGKTVKMEKEQGQSEVLGGSSKSITGAQDDSKICYRCKKVGHLSRDCPEYMAESDHPHPVTNKHGNNDVSQDLSAAELGKATAEMDKITIGEDGINEIGEEEKEKLNDLDYLTGNPLANDILLYAVPVCGPYNALQTYKYRVKITPGTAKKGKAAKTAMNLFSHMPEVTNREKELMKACTDPELVAAIIGNVKITAPGLTQLKQKQKKGKKSGKDN >DRNTG_02630.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21398192:21402135:-1 gene:DRNTG_02630 transcript:DRNTG_02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGKKNFLPPHPLVMGFGILFRLDESSLASHLNERRVRGEDEGQQETEGELHKGPSASDSGDEVNSEGGINKDLNHVSSLSMNHLEVEEKLSKVINAPLVSPSRLETSGDFIEEVTEGVDSSKGMHSELEPETGQRSVTSKSSELDVLMDLALGLGPAKLLSTSSALTTTQPTFTEDPNLEGNKGTMREKPYISKAERRKSKKDQKNGTGNDDNEKEHNKPSVRTDVNENQKPASSKITRGQKSKLKKIKEKYAEQDEEERKIRMALLASAGKTVKMEKEQGQSEVLGGSSKSITGAQDDSKICYRCKKVGHLSRDCPEYMAESDHPHPVTNKHGNNDVSQDLSAAELGKATAEMDKITIGEDGINEIGEEEKEKLNDLDYLTGNPLANDILLYAVPVCGPYNALQTYKYRVKITPGTAKKGKAAKTAMNLFSHMPEVTNREKELMKACTDPELVAAIIGNVKITAPGLTQLKQKQKKGKKSGKDN >DRNTG_07436.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6969465:6972182:-1 gene:DRNTG_07436 transcript:DRNTG_07436.2 gene_biotype:protein_coding transcript_biotype:protein_coding QWSSILGQVDLAEVDGPFVKLSLKGRFWHKRVTVLERIGTYLKNRIPEILEVEIENEKQLDDSPENF >DRNTG_07436.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6969465:6971257:-1 gene:DRNTG_07436 transcript:DRNTG_07436.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHAQLGQIFDGSVGITGQVDLAEVDGPFVKLSLKGRFWHKRVTVLERIGTYLKNRIPEILEVEIENEKQLDDSPENF >DRNTG_07436.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6969465:6971257:-1 gene:DRNTG_07436 transcript:DRNTG_07436.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHAQLGQIFDGSVGITGQVDLAEVDGPFVKLSLKGRFWHKRVTVLERIGTYLKNRIPEILEVEIENEKQLDDSPENF >DRNTG_07436.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6971699:6972182:-1 gene:DRNTG_07436 transcript:DRNTG_07436.6 gene_biotype:protein_coding transcript_biotype:protein_coding KRNKIYGSSPSPSPSPSPSPLLTPPPSLQILQVPKFPNPKIKKPGL >DRNTG_07436.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6969465:6972182:-1 gene:DRNTG_07436 transcript:DRNTG_07436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLHLHLHLHLHLRSSLPLHLSKFSKFRNFQTLRLRNPGSNKFCRKWRLLPISASLPQLDLTEDNIRRVLVDAKSELGQIFDGSVGITGQVDLAEVDGPFVKLSLKGRFWHKRVTVLERIGTYLKNRIPEILEVEIENEKQLDDSPENF >DRNTG_07436.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6969465:6971257:-1 gene:DRNTG_07436 transcript:DRNTG_07436.5 gene_biotype:protein_coding transcript_biotype:protein_coding QWSSILGQVDLAEVDGPFVKLSLKGRFWHKRVTVLERIGTYLKNRIPEILEVEIENEKQLDDSPENF >DRNTG_10815.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5252409:5252642:-1 gene:DRNTG_10815 transcript:DRNTG_10815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPHSQSQCCENLSALNPSSSHGAIIENLGGLKAYTTGSLGSKFAVLLISDVFSLSSLSLLLFFFLLSFGMFWFPF >DRNTG_01343.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:192082:193473:1 gene:DRNTG_01343 transcript:DRNTG_01343.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKYKMKLKHKHKQGHETMEPKLWSQLPEDLLYRILSLLPIQSLISLRPTCKLFHSLLFNPSFLSLLSPSPSPPSLLLSHPQFSPHHLPLFSPSSDIWRSLSIPPSHPHLLSSSSGILLFSSSFSLLLLNPLTLSSRFLSCPCPPSLYSTLVLTSNGYTIILPSPNPNLLFLYNSQSMSWSSLRSPALPHSPQKPAFFDGCLYFTTHEPFSIARLCLSSRDWLPSPIDLPGDLAFARIVSSDGKGLFLVGGVGQDGISRSLKVWEMVQGGWEEVGRLPDMMMRKFVSVCYHNYSHVYCLCHEGIICVCCTTWPEVLFFKVVRGTWHWLPRCPSLQEKWSCGFRWFSFVPDLFAMV >DRNTG_02781.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1233975:1234225:-1 gene:DRNTG_02781 transcript:DRNTG_02781.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAAMLFLPYHHHSKSKFSSSSSSSSSSSSSSLVFSKTTEITRLLVDMFIGKAACEHHHLFSEKDTTVEDAGKK >DRNTG_09524.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:17593937:17596941:1 gene:DRNTG_09524 transcript:DRNTG_09524.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLEKEESGGEKGRPPYGHHTARPYGPHHAHSASSYSSLAHPFGGAQSQSHSSIPTYAHRTRAPPTYHER >DRNTG_14939.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27074098:27090402:-1 gene:DRNTG_14939 transcript:DRNTG_14939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMTGLSTTSPVDSILDKENYSLEELLDEDEIIQECKALNTRLINFLRGRAQVEELVRYIVEEAPQDAEKKRTFKFPFIACELFTCEVDIILRTLVEDDELMNLLFSFLNADHSHSTLLAGYFSKVVICLMLRKTVPLMNYVQGHQEILHQLVDLIGITSIMEVLIRLIGADESMYSNYLDAMQWLEDTDVLEMIVDKFGSSDSPEVHANAAEILCAITRYAPPGLSAKICSPGFVGRLFHHALEVSRPQSVLVHSLAVCISLLDPKRLLSASNNLFRSNLSHGSLPTASPETIDGMLGSLGDLLKLLDVSSSESTIPTTYGKLQPPLGKHRLKIVEFVSVLLTIGSEAAEKELVQLRAIKHILDLFFEYPYNNFLHYHVENVIVSCLESKATLLIEHLLHDCDLVGKILAADKNPTLALDSKPTIPAEGRAPPRIGNIGHITRIANKLVQLGNSNITIQTYLQENAEWVDWHANILLNRNAVENVYQWACGRPTALHDRGRESDDEEFRDRDYDVAALANNLTQAFRYGIYSDDDIEEAHGSLERDDEDAYFDDESAEVVISSLRLGDEQESSSLFTNSNWFAFEDDRTINDSSAGSLPSTSPSSDETDEVVLGENDDLLDTATSSKPTDSAIASEEPSEQILGNGPAQVSDNETSFPPHPSEGDKPPEWVEWRETTDSGVLPDSNPFQSVPNGELGPAKVEQAGDYVVLDEYKNDENGAGSRGLTESSEGVKSNGQPTADEVVQDIKPSGPRLSVEDGEHDDKSINGEGK >DRNTG_14939.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27074098:27090402:-1 gene:DRNTG_14939 transcript:DRNTG_14939.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMTGLSTTSPVDSILDKENYSLEELLDEDEIIQECKALNTRLINFLRGRAQVEELVRYIVEEAPQDAEKKRTFKFPFIACELFTCEVDIILRTLVEDDELMNLLFSFLNADHSHSTLLAGYFSKVVICLMLRKTVPLMNYVQGHQEILHQLVDLIGITSIMEVLIRLIGADESMYSNYLDAMQWLEDTDVLEMIVDKFGSSDSPEVHANAAEILCAITRYAPPGLSAKICSPGFVGRLFHHALEVSRPQSVLVHSLAVCISLLDPKRLLSASNNLFRSNLSHGSLPTASPETIDGMLGSLGDLLKLLDVSSSESTIPTTYGKLQPPLGKHRLKIVEFVSVLLTIGSEAAEKELVQLRAIKHILDLFFEYPYNNFLHYHVENVIVSCLESKATLLIEHLLHDCDLVGKILAADKNPTLALDSKPTIPAEGRAPPRIGNIGHITRIANKLVQLGNSNITIQTYLQENAEWVDWHANILLNRNAVENVYQWACGRPTALHDRGRESDDEEFRDRDYDVAALANNLTQAFRYGIYSDDDIEEVISNIYLQLVHFSVFLT >DRNTG_09230.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:928827:932363:1 gene:DRNTG_09230 transcript:DRNTG_09230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWVVDMMLGVVLWVVLSVVIPMFGFVVMIYLVIVCAVFMMLRVGLMMLRVVRIINRDKYSQLEGNLPDIQEFVEIIDAFQDIMSVVVLKMLLIISRMLRYQMKKKMERVRDDLKKLQERLEKAYRNLEYADEEMMIVPYGTTNTLFHNLRAVCNDLQDISDDLFMHEDKLKTMAIVQIYEVVHILNSKGF >DRNTG_09230.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:919159:920153:1 gene:DRNTG_09230 transcript:DRNTG_09230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPRRRKCKECFEALYPSSTLIQHIKINGYFGLKFPRWIMDLPFFKLKRLDLLKCKYCTELPPLYHFPLLEHLRVEDAWSIKHIDLDAGPWSNVPSLKSLILKDMPEWEEWTWEPCQSHLVKPVLELLEIINCPKLKSLPQGLAYHARSLATLTIYKAHSLEKVEGFASLKTATFFSNHSLSIISDFPATCNCEIDDCPKLDVTLLPQTSLQRHTYASL >DRNTG_09230.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:918140:932363:1 gene:DRNTG_09230 transcript:DRNTG_09230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEEENGEMQLLEDVANDYYMELLESNILQPAAECLYYDDKAMFRMHGNMRSFGQHLVQNYGYFQGDVEALEEAATSPSSSSVPKLHHLVITNTSPLNVIPNIVKKQTSVRTLVFTTKLEITKLPEDFFQKLKLLRILDISGSDCRVLPNSLFKLVHLRHLNLSCLPIKTLPDAIGNLINLQHLILKYCGSLLHLPESILRLHKLRSIDVHQTPLMSMPFWIHQLPQLTSLVGFVASEFSSKLKKLQTLHIVNLEVVNDLPHKVLQEHINLTNLTLSCRGEGQPYEEAEKEKMQRVL >DRNTG_09230.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:928827:929705:1 gene:DRNTG_09230 transcript:DRNTG_09230.6 gene_biotype:protein_coding transcript_biotype:protein_coding MWVVDMMLGVVLWVVLSVVIPMFGFVVMIYLVIVCAVFMMLRVGLMMLRVVRIINRDKYSQLEGNLPDIQEFVEIIDAFQDIMSVVVLKMLLIISRMLRYQMKKKMERVRDDLKKLQERLEKAYRNLEYADEEMMIVPYGTTNTLFHNLRAVCNDLQDISDDLFMHEDKLKTMAIVQIYEVLWYSPFVYVYLHLIYFPRQINSQNKTLKNIDSRLEEILKQELNNGLTLFNLNTTVEHEIDLVGGIE >DRNTG_09230.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:919159:920153:1 gene:DRNTG_09230 transcript:DRNTG_09230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPRRRKCKECFEALYPSSTLIQHIKINGYFGLKFPRWIMDLPFFKLKRLDLLKCKYCTELPPLYHFPLLEHLRVEDAWSIKHIDLDAGPWSNVPSLKSLILKDMPEWEEWTWEPCQSHLVKPVLELLEIINCPKLKSLPQGLAYHARSLATLTIYKAHSLEKVEGFASLKTATFFSNHSLSIISDFPATCNCEIDDCPKLDVTLLPQTSLQRHTYASL >DRNTG_09230.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:918140:940068:1 gene:DRNTG_09230 transcript:DRNTG_09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEEENGEMQLLEDVANDYYMELLESNILQPAAECLYYDDKAMFRMHGNMRSFGQHLVQNYGYFQGDVEALEKAATSPSSSSVPKLQHLIITNNAPLNVIPNIVKKQTSVRTLIFTSKLKITKLPKDLFQKLKHLRILDISSSDCRVLPKSLFKLLH >DRNTG_00434.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21881599:21884623:-1 gene:DRNTG_00434 transcript:DRNTG_00434.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFFITDTRELLHTNKRKEETIDELRAVLGDSMTSCDIELASEDIAACLQASSCLPPAITKEMFKLELAEEPPGKSLSVTMDNSLSPAHTLIQIHCPDHKGLLYDIMRTLKDYNIQISYGRFHASKNGRCEIDLFVMQMDGKKIIDPNKQKALCDRLRMEVFRPLRLTVVSRGPDTELLVANPVELSGKGRPLVFYDITLALKQLHTRIFLAEIGRHVIGDREWEVYRILLADDYESFASKTKVVEKVTKMLMGWE >DRNTG_00434.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21881599:21884623:-1 gene:DRNTG_00434 transcript:DRNTG_00434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIISDDFVLIRQPETAGEPTVITVNCPDKTGLGCDLCRIILFFGLSVVRGDVSTDGKWCYIVFWVVGSSTGAPTRWGLLKKRLLGACPAASSASGLYISYYRQQELLMMEHKPQVFLLKFSCYDRMGLLHDVTRVLCELELIIRRVKVSTTPDGKVMDLFFITDTRELLHTNKRKEETIDELRAVLGDSMTSCDIELASEDIAACLQASSCLPPAITKEMFKLELAEEPPGKSLSVTMDNSLSPAHTLIQIHCPDHKGLLYDIMRTLKDYNIQISYGRFHASKNGRCEIDLFVMQMDGKKIIDPNKQKALCDRLRMEVFRPLRLTVVSRGPDTELLVANPVELSGKGRPLVFYDITLALKQLHTRIFLAEIGRHVIGDREWEVYRILLADDYESFASKTKVVEKVTKMLMGWE >DRNTG_33708.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1157555:1157791:-1 gene:DRNTG_33708 transcript:DRNTG_33708.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSQSSSSKSSSFFSCFSSNGYDDFDCEPPRYTSKVRPSDEDGINNLIGDPRVDMMTTDFIAKFHESHLSNPESHAV >DRNTG_30015.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001538.1:26624:32521:1 gene:DRNTG_30015 transcript:DRNTG_30015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIDDHHEAEGETFQDILIDRSLYQIIKRQHLESKPSEGFRKTFLNKLKRQKHVYYLEKHCEVKYTGNIIVLPLLSKSDIKPELSKIGIDVEKTVVHLGPVRVMIQATFREGLNTPLNLVLQDARFIPGIAATYGVIEANLCYQKLIFTLYPGHAVSLAGHYDKILVLLQDFKRRDLLVDGSCPYTITYHVSFALKNGVFYEDKDFIEIPEVFRSVGRVHPPEYVENLKMPEEI >DRNTG_30015.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001538.1:30869:32521:1 gene:DRNTG_30015 transcript:DRNTG_30015.3 gene_biotype:protein_coding transcript_biotype:protein_coding ICRKFKDARRNLKKVNENQDNLRILTFILVTSKLHPELREKKKRERISHRQKGVKSTEEKTDSEHVLSKQSKLNNFLFKNL >DRNTG_30015.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001538.1:26624:28402:1 gene:DRNTG_30015 transcript:DRNTG_30015.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNLIEEMEVIDDHHEAEGEPFQDILIDRSLYQKIRRQHLEFKPYEGFRKTFLDKIRRQKHVYYLEKLCEVKYSGNRIALPLLIKSDIESELWRYGRNREKLIVHLGAARIMIKATFREGLDTPLNLILLDNRIISRKAATYGIIEANLCYQKLIFTVYPKHSVPLKDEHLDKALVLIQDFKRKDLMKDGSYPYTITYHVSYAVNSLHDSVNFEDKDFIEIPEVFKSVGKVHPPEYLDKIEIPEEIEIKSEGTAGQIKETGSGVWTFNFGSGQSSWD >DRNTG_33545.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002017.1:2798:3559:-1 gene:DRNTG_33545 transcript:DRNTG_33545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVNGRGDSTSFFSRQELLYLYSMVERVPIHLGYILADYIRHQRQYTRLGAIFSGPYITRLVLSMGLLDLIRGAEKTSVPTPLGLETMRLMGMVRRVRTGVFALVLPAPEIAEDEGDDAGASQPAPEPQPAAMDTEAPPAAEEPPPVRMFSPSRANDRFERLENAIGVVRAEAPPVPPAPLSSIPVPEDPLYASTSAAAAAEHESDFDT >DRNTG_28984.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16698432:16699395:-1 gene:DRNTG_28984 transcript:DRNTG_28984.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFPPQTFPYPYFSPPPPKPKPFFSPPPPPPSFRPPPPPHRTSPPPPFPPRRPPHSPPHQPPPPPHITPPLPPVPPSPAPHHHTVIIVVFVSLGGLFLLAFLAACCFIKKKKKKKKVTQETVVSNVEDHVHVHETIVPGPCGQQIVVLDMDEDIKVQEQIKKSEVVGEASRIAAAGPVAGDGQQPHSQSESKSHHHLLEHKG >DRNTG_28984.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16698432:16699395:-1 gene:DRNTG_28984 transcript:DRNTG_28984.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFPPQTFPYPYFSPPPPKPKPFFSPPPPPPSFRPPPPPHRTSPPPPFPPRRPPPPPHSPPPPPPRRPPPPPHSPPPPHSPPHQPPPPPHITPPLPPVPPSPAPHHHTVIIVVFVSLGGLFLLAFLAACCFIKKKKKKKKVTQETVVSNVEDHVHVHETIVPGPCGQQIVVLDMDEDIKVQEQIKKSEVVGEASRIAAAGPVAGDGQQPHSQSESKSHHHLLEHKG >DRNTG_27844.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5967538:5969455:1 gene:DRNTG_27844 transcript:DRNTG_27844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETNMELIQIRKKLQEWRAQGEELKAQEKEKEQIISSRMGLLSNAPPIEEVNKSLADIHQQIEALGGGGDKLQTSLSSKEALANFERVKA >DRNTG_03220.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000147.1:20778:21746:1 gene:DRNTG_03220 transcript:DRNTG_03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLGFEPDEFSFVASLAACGELAWCNIGRGVHLNLVKIGMTPNAFVESALIGMYSKFTTTTDGKRAFDAIEDKYLVIWNSLISGFVQNGYVDEVLKLLSIMREENLEPNDFTFASILATCANTIIVEHGRQVHSLILKSDQKMNAAVANALITMYSRAGREKEEEKVFSKLTTKNVISWTAMIGAYVQCGNCQEAFRLFE >DRNTG_29719.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9249548:9255750:-1 gene:DRNTG_29719 transcript:DRNTG_29719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNCDANQNIESSMLEPALKGTTNLLQSCSKVGSVKRVIFTSSISTITAKDENGEWIHKVDESCLNPANLVWKKRPSGW >DRNTG_29719.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9249548:9249756:-1 gene:DRNTG_29719 transcript:DRNTG_29719.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPALKGTTNLLQSCSKVGSVKRVIFTSSISTITAKDENGEWIHKVDESCLNPANLVWKKRPSGW >DRNTG_34428.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21213585:21216889:-1 gene:DRNTG_34428 transcript:DRNTG_34428.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G01950) UniProtKB/TrEMBL;Acc:C0LGJ7] MNLMHFDLSMNHLTDQIPYSISNCTNLKTLNLSSNTLTGEIPKSFGELRSLERLDLSENHLIGNIPDELGNACGSLLQLRLSTNNISGSIPSSLFSCSSLQTLDLANNNISGPIPNNVLQNLVSLENLLLSNNFISGSFPSTISACKKLRIIDLSSNKITGSMPVDICINNNEPLSSSSLEELRVPDNLITGNIPPQLSNCSQLKTIDMSLNYLQGPIPPEFGQLKNLQHLMLWFNHLQGVIPAELGKCTKLENLILNNNLITGNIPLDLFNCINLEWMSLTSNKITGKIPPELGRLSRLTVLQLANNSLSGQIPKELGNCTSLVWLDLNSNKLTGEIPPRLGRQPGAKALSGILSGNTLAFVRNVGNSCKGVGGLLEFAGIRPERLLQVPMLKSCDFARLYSGAALNGWTQYHQTLEYLDLSYNELCGSIPEEFGDMMVLKVLDFAHNNISGEIPATLGRLRNLGVFDASHNRLHGSIPESFCNLSFLVQIDLSNNELTGEIPTRGQLSTLPASQYANNPGLCGVPLQPCQSPNDFTANTQREEEEARRSSTAVATWANSIVLGILVSVASICIIIIWAIVMRTRRKEAEEVKMLSSLHAMNAATTWKISKEKEPLSINVATFQRQLRKLTFSQLIEATNGFSAASLIGTGGFGEVFQGHLEGWLQCSH >DRNTG_08731.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5023105:5025603:1 gene:DRNTG_08731 transcript:DRNTG_08731.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEAAGKRVVVVGGGVAGASLAKSMQFVADVTLIDPKEYFEIPWANLRLMVEPSFAERTLINHTDYVANGRVITSPAISVTENEVLTAEGRSVVYDYLVIATGHADPIPHNRKDRISQFEQDNQKIKAANSVLIIGGGPTGVELAGEIAVDYPDKKVTVVHKGSRLLEFIGQKAADKTLDWLTAKKVEVLLNQTVDLDSVSESEKIYTTSTGEKINADCHFVCVGKPTGSSWLQNSILKDCLDKKGRLMVDENLRVRGQKNIFAIGDIIDVPEIKQGFLAQKHASVVAKNLKLIMKGAKESKLSKYKPASAMAIISLGRKEGVAQLPFMTMIGCIPGIIKSKDLFVGKTRKQLGLDPGTA >DRNTG_13639.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11923699:11929354:1 gene:DRNTG_13639 transcript:DRNTG_13639.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAANQEMAVYCFDTLVAHYTGEEAPPPAFDEGEHPLFVTWKKAVNGGEPRLRGCIGTLEARDIITGFRDYALTSALRDRRFPPIQAKEIPYLECTVSVLADYESGLHYLDWEVGKHGLIIEFTDPDYNARRSATYLPEVAAHEGWTKVEAIDSLMRKAGYNGLITESLRKRIRLTRYQSTLYTMHYGDYVAYLKNTRGEPPAIAGAPKPHH >DRNTG_22056.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28553057:28555814:-1 gene:DRNTG_22056 transcript:DRNTG_22056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGFLFASTGMLSGAPFLWLNILNFYR >DRNTG_20193.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12685316:12690743:1 gene:DRNTG_20193 transcript:DRNTG_20193.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSCYLRFIGVGEASFINLAAPFIDDNAPATQVHKTCVERENLDMLST >DRNTG_20193.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12682483:12683970:1 gene:DRNTG_20193 transcript:DRNTG_20193.3 gene_biotype:protein_coding transcript_biotype:protein_coding SCPVPQTLSPSSSHPQTPASGPSSPPPQRQLLSSSLPSLDAFSSQPSLSSSSLSPRPSRNTSPTMRKSAHL >DRNTG_20193.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12682483:12685722:1 gene:DRNTG_20193 transcript:DRNTG_20193.2 gene_biotype:protein_coding transcript_biotype:protein_coding LLVNLSFEENVRGRERKRFIGVGEASFINLAAPFIDDNAPATQV >DRNTG_26882.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001370.1:51289:51909:-1 gene:DRNTG_26882 transcript:DRNTG_26882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSSRTRSSAPVLPVSNAFKRSISPSGRFSTVGSRASPSYAFASSSASFSSQSASFLHRSSSPTRVNLVGSSPMAPSVRFATSPTRRHLAVDHQVVKKPISSSGGHRRTCMCSPTNHPGSFRCSLHKGFQINHHSAVSSPSNRLNARRSAMTNSLVRIGTVEGEWVKRSLSALIRPSSHQQRRRLSFQPRPSRLSIMSTADEL >DRNTG_35043.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23569747:23572001:1 gene:DRNTG_35043 transcript:DRNTG_35043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMSMSQKLTLGYAALVGVGGIMGYIKSGSQKSLAAGGLSALLLYYVHTQLPIRPAFASALGLGLSAALLAVMGSRFRKSGKIFPAGVVSLVSFVMVGGYLHGILRGLHA >DRNTG_16950.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:214360:215466:-1 gene:DRNTG_16950 transcript:DRNTG_16950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSEDKPRNDTGVDEGEVMGSLSNTMRTAETLLRVVPIGLCLAALLVMLKNTQENDYGSVSYSNLGAFTYLVYANGICAGYSFLSAFYTAVPRPSTMSRAWTIFFLDQILTYVILAAGTVSAEIMYLAYNGDKDVTWSKQCGVFNGFCKRATASITITFASVACYILLSLLSSYRLFSSFDAPIPFLSSKAVEIAAFPR >DRNTG_16950.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:214261:215466:-1 gene:DRNTG_16950 transcript:DRNTG_16950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSEDKPRNDTGVDEGEVMGSLSNTMRTAETLLRVVPIGLCLAALLVMLKNTQENDYGSVSYSNLGAFTYLVYANGICAGYSFLSAFYTAVPRPSTMSRAWTIFFLDQILTYVILAAGTVSAEIMYLAYNGDKDVTWSKQCGVFNGFCKRATASITITFASVACYILLSLLSSYRLFSSFDAPIPFLSSKAVEIAAFPR >DRNTG_16950.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:214360:215570:-1 gene:DRNTG_16950 transcript:DRNTG_16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSEDKPRNDTGVDEGEVMGSLSNTMRTAETLLRVVPIGLCLAALLVMLKNTQENDYGSVSYSNLGAFTYLVYANGICAGYSFLSAFYTAVPRPSTMSRAWTIFFLDQILTYVILAAGTVSAEIMYLAYNGDKDVTWSKQCGVFNGFCKRATASITITFASVACYILLSLLSSYRLFSSFDAPIPFLSSKAVEIAAFPR >DRNTG_16950.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:214285:215466:-1 gene:DRNTG_16950 transcript:DRNTG_16950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSEDKPRNDTGVDEGEVMGSLSNTMRTAETLLRVVPIGLCLAALLVMLKNTQENDYGSVSYSNLGAFTYLVYANGICAGYSFLSAFYTAVPRPSTMSRAWTIFFLDQILTYVILAAGTVSAEIMYLAYNGDKDVTWSKQCGVFNGFCKRATASITITFASVACYILLSLLSSYRLFSSFDAPIPFLSSKAVEIAAFPR >DRNTG_08109.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13619506:13621383:1 gene:DRNTG_08109 transcript:DRNTG_08109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVSKSHFFFFKDSFVEPRAHLAESMQVKASPITLLRRKSMSKVVEMLQNPSKNLSKL >DRNTG_27572.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6612019:6613578:1 gene:DRNTG_27572 transcript:DRNTG_27572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNGRAEAKQNLDMARRLLAQRDLAGCKAFAEKAIDSDPLADPAADHILAIAGVLLAASSRRVNNHVDWYAVLDLPARSSSAEVRRSYRRLSLLLRQDPSTSSFSDIATDALRLVADAWGALSDPYKKSLFDSEILASASYHQEQPAETFWTACPSCCHIHQYDRAYIGLNLRCPQLPKSFRSL >DRNTG_27572.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6612019:6613318:1 gene:DRNTG_27572 transcript:DRNTG_27572.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNGRAEAKQNLDMARRLLAQRDLAGCKAFAEKAIDSDPLADPAADHILAIAGVLLAASSRRVNNHVDWYAVLDLPARSSSAEVRRSYRRLSLLLRQDPSTSSFSDIATDALRLVADAWGALSDPYKKSLFDSEILASASYHQEQPAETFWTACPSCCHIHQYDRAYIGLNLRCPQLPKSFRSL >DRNTG_14978.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24058008:24060857:1 gene:DRNTG_14978 transcript:DRNTG_14978.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLHTTTASPFFHSRSTRPFFSPSHPPSNLSFRSSIPNCSSPSISPVISQRSHPIRLTYLEGNSWLWDMAGLNLLVDPILVGNLDFGFPWLYDAAKKFVKNFKLDDLPELDCLLITQSLDDHCHVKTLKPLSKMCPHLQVVATPNAEPILGSLFSNVTYLEPGQNFEIGGRNGGKVDIIATAGPILGPPWQRPENGYIVSCGPRDLSLYYEPHCVYNQSFLQKYKADIIITPVIKQLLPAFTLVSGQEDAVQLAKLLQAKYIVPMKNGDLDAKGFLSTILFAEGTIDSFKELLSRELPEACVLEPTPGVPFEISLAPSVK >DRNTG_14978.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24058008:24060490:1 gene:DRNTG_14978 transcript:DRNTG_14978.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLHTTTASPFFHSRSTRPFFSPSHPPSNLSFRSSIPNCSSPSISPVISQRSHPIRLTYLEGNSWLWDMAGLNLLVDPILVGNLDFGFPWLYDAAKKFVKNFKLDDLPELDCLLITQSLDDHCHVKTLKPLSKMCPHLQVVATPNAEPILGSLFSNVTYLEPGQNFEIGGRNGGKVDIIATAGPILGPPWQRPENGYIVSCGPRDLSLYYEPHCVYNQSFLQKYKADIIITPVIKQLLPAFTLVSGQEDAVQLAKLLQAKYIVPMKNGDLDAKGFLSTILFAEGTIDSFKELLSRELPEACVLEPTPGVPFEISLAPSVK >DRNTG_08669.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4753448:4757919:-1 gene:DRNTG_08669 transcript:DRNTG_08669.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSVLFFAAVKNFSASTTPFHVGVVLNLGTRIGKIGQTSISLAMDEFYASHQHYTTRLVLHTRDSENDVVQAASEVIDLIEKEHVQAIIGPQTSSQAVFIADLCNKTQVPVISFSATSPVLSSIQTPYFLRATLNDTAQLTAIASIARLFQWKEAILISEDTDYGRDIIPYLIDAFEQTYAAISHRSLIVSSATDYQIKEELYKLMIMQTRVFIVHMSAPLGARLFLNAKEVGMMSKGYAWILTDGITNIADSFDPQVIDTMQGALGVKLHVPRTKELNEFGVRWKRRYQKEYPDEDQLEMSIFALWAYDTVYALAMAVEKTSGSNREVLKYIQEEKFNGVSGEFHFVDGHLKLSTFQVVNIVERGGRGIGFWKPDNGLTSASSISDNVGLSPVIWPGDSTEVPKGWEIPVSGKKLRVG >DRNTG_08669.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4753448:4757919:-1 gene:DRNTG_08669 transcript:DRNTG_08669.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFYASHQHYTTRLVLHTRDSENDVVQAASEVIDLIEKEHVQAIIGPQTSSQAVFIADLCNKTQVPVISFSATSPVLSSIQTPYFLRATLNDTAQLTAIASIARLFQWKEAILISEDTDYGRDIIPYLIDAFEQTYAAISHRSLIVSSATDYQIKEELYKLMIMQTRVFIVHMSAPLGARLFLNAKEVGMMSKGYAWILTDGITNIADSFDPQVIDTMQGALGVKLHVPRTKELNEFGVRWKRRYQKEYPDEDQLEMSIFALWAYDTVYALAMAVEKTSGSNREVLKYIQEEKFNGVSGEFHFVDGHLKLSTFQVVNIVERGGRGIGFWKPDNGLTSASSISDNVGLSPVIWPGDSTEVPKGWEIPVSGKKLRVG >DRNTG_08669.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4753448:4756408:-1 gene:DRNTG_08669 transcript:DRNTG_08669.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFYASHQHYTTRLVLHTRDSENDVVQAASEVIDLIEKEHVQAIIGPQTSSQAVFIADLCNKTQVPVISFSATSPVLSSIQTPYFLRATLNDTAQLTAIASIARLFQWKEAILISEDTDYGRDIIPYLIDAFEQTYAAISHRSLIVSSATDYQIKEELYKLMIMQTRVFIVHMSAPLGARLFLNAKEVGMMSKGYAWILTDGITNIADSFDPQVIDTMQGALGVKLHVPRTKELNEFGVRWKRRYQKEYPDEDQLEMSIFALWAYDTVYALAMAVEKTSGSNREVLKYIQEEKFNGVSGEFHFVDGHLKLSTFQVVNIVERGGRGIGFWKPDNGLTSASSISDNVGLSPVIWPGDSTEVPKGWEIPVSGKKLRVG >DRNTG_18675.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2183467:2183754:1 gene:DRNTG_18675 transcript:DRNTG_18675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYHPYDQSHKHVEAATRALDFMLAWYLDPLLHR >DRNTG_15281.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4552875:4553465:1 gene:DRNTG_15281 transcript:DRNTG_15281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFCGIARFNGEGRVLMFTAQTSWELVLAEICERWGLEVSIVRVKFITPDGYKTVCPIENDVDFQRMCHVHSIFKCAVVDLVVETENVPLPNPNENEFYSFVGRNSDSASAPVHSHGDPDGVGCLPSSS >DRNTG_11994.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6613100:6617809:-1 gene:DRNTG_11994 transcript:DRNTG_11994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLRAPIVTDVPLPSSFSNGNREIYEKKIQTHRSHPSSIPPFTIASPPPRSSSNGGRERERERAMNSGEKASMGGR >DRNTG_11994.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6613100:6617809:-1 gene:DRNTG_11994 transcript:DRNTG_11994.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLRALPIVTDVPLPSSFSNGNREIYEKKIQTHRSHPSSIPPFTIASPPPRSSSNGGRERERERAMNSGEKASMGGR >DRNTG_11994.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6613100:6617809:-1 gene:DRNTG_11994 transcript:DRNTG_11994.2 gene_biotype:protein_coding transcript_biotype:protein_coding TRKSLDYPTKNNRLILEFSFQLLGTLAHSPSSWRIGNKLPTSDCTTSNPPVHANASFTPPSSNRHRCSSSFQHRSHPSSIPPFTIASPPPRSSSNGGRERERERAMNSGEKASMGGR >DRNTG_15154.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25521377:25524751:-1 gene:DRNTG_15154 transcript:DRNTG_15154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVELISIGREFNLNVDGCSIWWSDSNGMREIKTDMDALTMAEKVNSNREVCVWFKLDNSGLDEEQDTEKMHEEDNDLECLLLEMGDHKEKKGDEDSDLHDRDYSFRPDGQYVVDKDISTCSCRRHTLNPTNGRDHWPKSDQGPMIPPEPVNKKLSRKTMIRRREADEESRGYTNGKVCKKGVTMKCSVCGIAGHNKRYHGQGRNSNVGGQHSGQQSEAGLQNPMDNIDPQVLEKHFTLLDSLAMGHDHVPATSQQQISKEAMSQIINASCEGNSSQTIHAANTRRQKFDTIGGKSKTPDPTNIAPHMTLSIKGGKKIGPTDERPPVIKVQSRDKEDIQRNKDVDAPKKRKIWVPPRVTNTGSGTGNARGPA >DRNTG_21322.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9337798:9338804:-1 gene:DRNTG_21322 transcript:DRNTG_21322.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALSGMIGRKASVGGFSSPPSNPRAQPWTGGGNYQAGVR >DRNTG_06984.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15369475:15369759:1 gene:DRNTG_06984 transcript:DRNTG_06984.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRGADRIGVSLGSHRPTVILKLPCLVEKKRTKVRSLAVLFSAADWDRSPARPSRRKLEQHSLYENILPIFGDKGRNDLSIYLLQPRTGVVI >DRNTG_10231.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:87680:88960:1 gene:DRNTG_10231 transcript:DRNTG_10231.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPSQTLEPLGSTLLPGLDKCGNLFNGIRPHPSWRRLKPSSSWALSQRPLSAVALDQSYSNPKNLPAIRPILKKKHSEKASAIAPEKLDEWMNESICEIVKSIGEAPSLFHIFSGAAAGAGDGDRAAGSSTSPSPSSSSLRLEREPALADKWPHITRGWDEGKPIPDGILLVEAIEAGDMEVRQDGANGIRTWGLLIQGRGMDCASCYLLKTCRVQGPAGFCTHFNLIKAQCFGDPAEVQFKKAWLVG >DRNTG_10231.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:87680:88849:1 gene:DRNTG_10231 transcript:DRNTG_10231.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPSQTLEPLGSTLLPGLDKCGNLFNGIRPHPSWRRLKPSSSWALSQRPLSAVALDQSYSNPKNLPAIRPILKKKHSEKASAIAPEKLDEWMNESICEIVKSIGEAPSLFHIFSGAAAGAGDGDRAAGSSTSPSPSSSSLRLEREPALADKWPHITRGWDEGKPIPDGILLVEAIEAGDMEVRQDGANGIRTWGLLIQGRGMDCASCYLLKTCRVQGPAGFCTHFNLIKAQCFGDPAEVQFKKAWLVG >DRNTG_10231.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:87607:88849:1 gene:DRNTG_10231 transcript:DRNTG_10231.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPSQTLEPLGSTLLPGLDKCGNLFNGIRPHPSWRRLKPSSSWALSQRPLSAVALDQSYSNPKNLPAIRPILKKKHSEKASAIAPEKLDEWMNESICEIVKSIGEAPSLFHIFSGAAAGAGDGDRAAGSSTSPSPSSSSLRLEREPALADKWPHITRGWDEGKPIPDGILLVEAIEAGDMEVRQDGANGIRTWGLLIQGRGMDCASCYLLKTCRVQGPAGFCTHFNLIKAQCFGDPAEVQFKKAWLVG >DRNTG_10231.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:87680:88887:1 gene:DRNTG_10231 transcript:DRNTG_10231.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPSQTLEPLGSTLLPGLDKCGNLFNGIRPHPSWRRLKPSSSWALSQRPLSAVALDQSYSNPKNLPAIRPILKKKHSEKASAIAPEKLDEWMNESICEIVKSIGEAPSLFHIFSGAAAGAGDGDRAAGSSTSPSPSSSSLRLEREPALADKWPHITRGWDEGKPIPDGILLVEAIEAGDMEVRQDGANGIRTWGLLIQGRGMDCASCYLLKTCRVQGPAGFCTHFNLIKAQCFGDPAEVQFKKAWLVG >DRNTG_10231.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:87680:88805:1 gene:DRNTG_10231 transcript:DRNTG_10231.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPSQTLEPLGSTLLPGLDKCGNLFNGIRPHPSWRRLKPSSSWALSQRPLSAVALDQSYSNPKNLPAIRPILKKKHSEKASAIAPEKLDEWMNESICEIVKSIGEAPSLFHIFSGAAAGAGDGDRAAGSSTSPSPSSSSLRLEREPALADKWPHITRGWDEGKPIPDGILLVEAIEAGDMEVRQDGANGIRTWGLLIQGRGMDCASCYLLKTCRVQGPAGFCTHFNLIKAQCFGDPAEVQFKKAWLVG >DRNTG_10231.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:87546:88887:1 gene:DRNTG_10231 transcript:DRNTG_10231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPSQTLEPLGSTLLPGLDKCGNLFNGIRPHPSWRRLKPSSSWALSQRPLSAVALDQSYSNPKNLPAIRPILKKKHSEKASAIAPEKLDEWMNESICEIVKSIGEAPSLFHIFSGAAAGAGDGDRAAGSSTSPSPSSSSLRLEREPALADKWPHITRGWDEGKPIPDGILLVEAIEAGDMEVRQDGANGIRTWGLLIQGRGMDCASCYLLKTCRVQGPAGFCTHFNLIKAQCFGDPAEVQFKKAWLVG >DRNTG_10231.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:87607:88887:1 gene:DRNTG_10231 transcript:DRNTG_10231.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPSQTLEPLGSTLLPGLDKCGNLFNGIRPHPSWRRLKPSSSWALSQRPLSAVALDQSYSNPKNLPAIRPILKKKHSEKASAIAPEKLDEWMNESICEIVKSIGEAPSLFHIFSGAAAGAGDGDRAAGSSTSPSPSSSSLRLEREPALADKWPHITRGWDEGKPIPDGILLVEAIEAGDMEVRQDGANGIRTWGLLIQGRGMDCASCYLLKTCRVQGPAGFCTHFNLIKAQCFGDPAEVQFKKAWLVG >DRNTG_10069.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000421.1:18736:20145:-1 gene:DRNTG_10069 transcript:DRNTG_10069.1 gene_biotype:protein_coding transcript_biotype:protein_coding RIVHAPLTRSRSNDNVPQPHTILYYSQRTTEGGFLISEATGVSDTAHGYPRTPGIWNKEHIEGWKPIVDAVHNKGGVFFCQIWHSGRASHLDYQPNGQAPISCTNKPVPPKKLSDGRIKEYPSPRCLQTDEIPHIVCDFKIAARNAIEAGFDGIEIHGANGYLIDQFFKDSVNDRKDEYGGSLENRCRFALEIVQGVVNEIGGDRVGIRLSPYANYLDCWDSNPDELGLYMAKELNKYGILYCHVVEPRITVPIEILENMPSRPLFPIRKAFDGTFIVAGGYDEKMVRR >DRNTG_10069.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000421.1:19394:20145:-1 gene:DRNTG_10069 transcript:DRNTG_10069.2 gene_biotype:protein_coding transcript_biotype:protein_coding RIVHAPLTRSRSNDNVPQPHTILYYSQRTTEGGFLISEATGVSDTAHGYPRTPGIWNKEHIEGWKPIVDAVHNKGGVFFCQIWHSGRASHLDYQPNGQAPISCTNKPVPPKKLSDGRIKEYPSPRCLQTDEIPHIVCDFKIAARNAIEA >DRNTG_11048.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3406980:3407629:-1 gene:DRNTG_11048 transcript:DRNTG_11048.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPKDGAKNDRQVLISSSSSPKSPIGGGVGGQCLCSPTTHQGSFRCRFHRSSPSTWMMNRSKSMPSSAKSSKSLETV >DRNTG_11048.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3406980:3414245:-1 gene:DRNTG_11048 transcript:DRNTG_11048.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDFVDMIAVELGAGTGLLGILLARVLRAIFVTDTGTEVLDNCAINVQINAGALKYCESSVLVRELDWKESWPPKIGASDVEAQQRNSSKYSWSASEVDEAEGASLLFAADVIYSDELTDAFFNIVEKLMSHGSEKVLYLALEKRYNFTLDDLDIVANGYQHFQSYLKDEQEYARLNGTFQPCFVGERINIDQIPQYIKEYERGKDLEIWKICYRKTPHSKSVL >DRNTG_11048.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3406980:3414245:-1 gene:DRNTG_11048 transcript:DRNTG_11048.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDFVDMIAVELGAGTGLLGILLARVLRAIFVTDTGTEVLDNCAINVQINAGALKYCESSVLVRELDWKESWPPKIGASDVEAQQSSKYSWSASEVDEAEGASLLFAADVIYSDELTDAFFNIVEKLMSHGSEKVLYLALEKRYNFTLDDLDIVANGYQHFQSYLKDEQEYARLNGTFQPCFVGERINIDQIPQYIKEYERGKDLEIWKICYRKTPHSKSVL >DRNTG_11048.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3406980:3407992:-1 gene:DRNTG_11048 transcript:DRNTG_11048.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPKDGAKNDRQVLISSSSSPKSPIGGGVGGQCLCSPTTHQGSFRCRFHRSSPSTWMMNRSKSMPSSAKSSKSLETV >DRNTG_11048.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3410179:3414245:-1 gene:DRNTG_11048 transcript:DRNTG_11048.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDFVDMIAVELGAGTGLLGILLARVLRAIFVTDTGTEVLDNCAINVQINAGALKYCESSVLVRELDWKESWPPKIGASDVEAQQRNSSKYSWSASEVDEAEGASLLFAADVIYSDELTDAFFNIVEKLMSHGSEKVLYLALEKRYNFTLDDLDIVANGYQHFQSYLKDEQEYARLNGTFQPCFVGERINIDQIPQYIKEYERGKDLEIWKICYRKTPHSKSVL >DRNTG_26479.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:582967:584265:-1 gene:DRNTG_26479 transcript:DRNTG_26479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIVRPTPFLGQTRVSTANPLRDVVSMGNAKFTMGNELWYGPDRLKYLGPFSAQTPSYLTGEFPGDYGWDTAGLSADPEAFARNRALEVIHGRWAMLGALGCITPEVLQKWVRVDFKEPVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAVLGFQVILMGLVEGYRINGLPGVGEGNDLYPGGQYFDPLGLADDPVTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLDNPVANNAWVYATKFVPGS >DRNTG_08424.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31051572:31051781:1 gene:DRNTG_08424 transcript:DRNTG_08424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILREQHYQCHSGRQGSWKLNTASISTAKC >DRNTG_22240.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1233436:1234369:-1 gene:DRNTG_22240 transcript:DRNTG_22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNETMTTFYNPSSSSSPSPATSPPPPPVIQSPCAACKILRRRCADKCILAPYFPPTDPLKFTTAHRVFGASNIIKLLQELPESQRADAVTSMVYEAKARIRDPVYGCAGVICHLQREVSDLQAQLAKAQAEIISMQGHQANLIALVCMEMDKHKQPLIHNSINLDHQSFEDDPYCFLDDISLWT >DRNTG_18978.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22750387:22752677:-1 gene:DRNTG_18978 transcript:DRNTG_18978.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSSVGAVNRAPLNLHGSSSGVPVPSSAFFGSSLKKVSSGPSYGRLSTGTFKVMAADLDESKQTKTDRWGHLYSDTSDDQQDITRGKGLVDSLFQAPMGDGTHVAVLNSYEYISQGLRTYNLDNTVDGFYIAPAFMDKLVVHITKNFMKLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADLIAKGKMCALFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADCPTNVQLPGMYNKQENARVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCTGIFRTDNVPKEDIVKLVDAFPGQSIDFFGALRARVYDDEVRNWIADLGVDKVGKRLVNSREGPPTFEQPKMTLEKLMEYGNMLVKEQENVKRVQLADKYLSEAALGDANEDALQTGTFYGQAAQQVQIPVPQGCTDPSAANFDPTARSDDGSCTYQL >DRNTG_25656.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21697964:21712234:-1 gene:DRNTG_25656 transcript:DRNTG_25656.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPLRIRLVFDDRHLLTKGQRSESLRRCWCLLTPDITTIIDLASHISRLFDLHRSCPDGVLLVMDGFVLPSFESSSIIRDKDIISVRKKTVQSRKVVNIEDHDALQIQDCEIVEKQTIACGDQRLAIKELEEELEGHESENEENADDHPEKAISEETPVGVQINSKRKKRSSEDLVPRRKKLKQTSFEEMIEVSARVDEIHIEHEEVSLKKKARSSKNGHKKGKVPSSDFSSDGMTTPKVGVNDKNMGGSVTSEGRGKKLKSIRLKELIGASERDDEIHSEKDEDFSKKRGGSRKNDKKCKVPLGDTVSDGMFTPEVGTSDKNKAGSVTSEERHNQVEGEIEINGCEALSEGVRKSPSRSARRKKARRKWKQLLSNSKKQEDPGNDVQITSSKNQSSETQGRDQMEENLMHQITEPQNVEQTTDMVEEVIPIVVRPGHIRFEPVDAEHSTQQTNGKMETFHWNGITNKKKGQKWGREKGSWRNGNDDNVCTAEANEINDEVSLVNDQIDFEKLKPLTSQPKEGDVLAYRLVELSSSWTPELSSFRVGKVSSYDPISKNVILLPVPNFPVFPDKEKEEEEEEFLWQTSGSLYKEDGSLEIDYASLMDVRVFRPNKQLVLSNSKHGNMTKETITRIGDLNPVLTNNINGTMNRNADKTPDNEWKEIKQALDEKKEQLLKTDCSSSSKNCSTGTWSYRAVRGSALGPTIALLRAENDR >DRNTG_14139.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17793354:17795660:-1 gene:DRNTG_14139 transcript:DRNTG_14139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKQICGVPEKVQLHGAMLALQFGYAGFHVVSRAALNMGISKLVYPVYRNIIALLLLLPFAYFFEKKDRPAMNLSFIIQFFFLALIGITANQGFYLLGLDNTSPTFASALQNSVPVLTFLMAAALRIEKVKLNRRDGIAKIAGTLACVCGASVITLYKGPAIFSPTKSLNHEKPPALVWLGDAKPKNWTLGCLYLFGHCLSWSGWLVLQAPVLKKYPARLSVTSYTCFFGVLQFLAIAAFMERDPNSWIFHSGGEVFTILYAGFVASGIAFAVQIWCIDRGGPVFVAVYQPVQTLTVAIMASLTLGEEFYLGGIIGAILVVIGLYLVLYGKSEEKAFAAREAASTITSTQEHDAIRAAATATAFKTSLTQPLLPSSTSDNV >DRNTG_18958.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11077904:11083469:1 gene:DRNTG_18958 transcript:DRNTG_18958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARSADTLCRLRRCRWRWRASHILLAAVGLYYLFVSSKLSRLLDLRPFVDLDPPAGPLLGSVQRQESLHGRLDDPLVRRARYGRLTAEILRRDRVPLGGNSSELDRMVREAWSLGLKAWDDVSGDDPASIDLNSSVLVGESTAACPSSVTMGGGSGETIMFLPCGMVVGSAVTVVGTPKTGHREFVPRLMKLRGGNGVVIVSQFAVELQGLKAVDGEEAPKILHFNPRLKGDWSQLPVIEHNTCYRMQWGKAMRCDGLPSNDDDYTVDGFCKCERWERSDNVDSKESKTTSWLHRFIGRAKRPDIKWPFPFVEGKLFVLTLQAGVEGYHIYAGGRHVSSFPYRTGFALEDATGLAIKGDVDIHSVYATSLPKSHPSFSLQQVLEMSPQWKTDPLPESPIYLFIGILSAANHFAERMAIRKTWMQSPQIKARNIVARFFVALNTRKEVNAVLKKEAEYFGDIVLLPFMDRYELVVLKTIAICEYGVWNLTAAYVMKCDDDTFIRLDAVMNEVEHIAPKRSLYMGNLNLLHRPLRSGKWAVTFEEWPEEIYPPYANGPGYVISSDIANFIASQHANDSLRLFKMEDVSMGMWVEQFNATTPVQYSHSWKFCQYGCIENYYTAHYQSPRQMMCLWEKLSRGQAQCCNFR >DRNTG_30450.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:356318:356926:1 gene:DRNTG_30450 transcript:DRNTG_30450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSHHSSDNTSEDTSKKQSPKFQDDEFSEEEESLIIRMYNLLGDRWSLIAGRIPGRTAEEIERYWKSRCSTN >DRNTG_14854.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22956016:22960688:1 gene:DRNTG_14854 transcript:DRNTG_14854.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDELGEARRPNSTHHEYEDDEEIMVKEEDMFDSADILAHISGVNSFKYNYTIESWI >DRNTG_14854.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22956016:22960688:1 gene:DRNTG_14854 transcript:DRNTG_14854.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFCMHRTMINNNSFTLEILFNKQEGISSPIATEILSLCDDAELFPETLRSSDVSSCSGGADCSGSASGSGAGGTGSLCCYDDTFASTFSPFSSMNASSLSALLETEQPNDQCPASSAFAVLPYIGDQQDPLDQIMLSEAMNSGCYSSSFCSDTVAPPMQLQGLPSVYEDDCLPPLPLAYVGLDAPPSCAFLDPGIGSYYAGNTGMQMPGDAHSFYSGGVMAGSGPARSSSQEMVEYQRTAEGDGVGLFGPEPIQQVFNSGEMQPIADNQHARPTLPATDISVLDDSTFKVGRLSVEERKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDELGEARRPNSTHHEYEDDEEVSSMVWSNFFLPEVIHCIITRILGIFLLSAMHTKDHNKMEPS >DRNTG_14854.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22955313:22960688:1 gene:DRNTG_14854 transcript:DRNTG_14854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDVLHPPEHFPVEGISSPIATEILSLCDDAELFPETLRSSDVSSCSGGADCSGSASGSGAGGTGSLCCYDDTFASTFSPFSSMNASSLSALLETEQPNDQCPASSAFAVLPYIGDQQDPLDQIMLSEAMNSGCYSSSFCSDTVAPPMQLQGLPSVYEDDCLPPLPLAYVGLDAPPSCAFLDPGIGSYYAGNTGMQMPGDAHSFYSGGVMAGSGPARSSSQEMVEYQRTAEGDGVGLFGPEPIQQVFNSGEMQPIADNQHARPTLPATDISVLDDSTFKVGRLSVEERKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDELGEARRPNSTHHEYEDDEEIMVKEEDMFDSADILAHISGVNSFKYNYTIESWI >DRNTG_35067.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19669192:19671800:1 gene:DRNTG_35067 transcript:DRNTG_35067.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKWKKKRMRRLKRKRRKMRQRSK >DRNTG_30859.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001636.1:51431:58289:1 gene:DRNTG_30859 transcript:DRNTG_30859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWSCLVVSKGSLVKPRAHLAESKSTKSPPITIFRRNSILKGLNALKEEKEAKIGYKDTFWEFLLNLNLRIKTQDELMYMEVCASFQEHSRQFASWKGIKSNTRVERPSWQPLWMSDTPLVLDKARLEKVERVSREEAERPLSPPMQHDLLHGFGSILAEYCSRRSWLGFGEALGRFLEWFYGLQHHVPSEDSDWGSFHQHRFGEVCPRLDEGTLGEDEAALKDHRYKLQGGFTYGLHAFPFDFIIDYILFHGELNP >DRNTG_29717.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26135740:26136442:-1 gene:DRNTG_29717 transcript:DRNTG_29717.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDNIKKRYWKLSLMVHPDKCSHPQAHQAFVILNQALKDLQDPDKACFSTPEKFVVGLCRK >DRNTG_29717.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26130162:26134050:-1 gene:DRNTG_29717 transcript:DRNTG_29717.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCIRYKYIYIITSDIIIFQRKAIDEKIKIKEDQEQFEAELKELREAARWRQSQGISLEGDEELLAVVKEAPKRDEWMTTLPPERKPGMPPRQSTSFSKTGKEGRGDTSVWTDSPSDRAEKAKLKSLQQSQSNF >DRNTG_29717.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26130982:26136442:-1 gene:DRNTG_29717 transcript:DRNTG_29717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDNIKKRYWKLSLMVHPDKCSHPQAHQAFVILNQALKDLQDPDKRKAIDEKIKIKEDQEQFEAELKELREAARWRQSQGISLEGDEELLAVVKEAPKRDEWMTTLPPERKPGMPPRQSTSFSKTGKEGRGDTSVWTDSPSDRAEKAKLKYDFNPSCLLLVRVSSNF >DRNTG_29717.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26130064:26130957:-1 gene:DRNTG_29717 transcript:DRNTG_29717.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTAKEAQMLVWLMNIMLPSDLSLFSKSIEQRANDRKRNQSRPRKKNGLVHTLGNHGTVRTICQQVGKRSIWIRRAWVRV >DRNTG_29717.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26130162:26130957:-1 gene:DRNTG_29717 transcript:DRNTG_29717.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTAKEAQMLVWLMNIMLPSDLSLFSKSIEQRANDRKRNQSRPRKKNGLVHTLGNHGTVRTICQQVGKRSIWIRRAWVRV >DRNTG_29717.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26130162:26131844:-1 gene:DRNTG_29717 transcript:DRNTG_29717.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTAKEAQMLVWLMNIMLPSDLSLFSKSIEQRANDRKRNQSRPRKKNGLVHTLGNHGTVRTICQQVGKRSIWIRRAWVRV >DRNTG_29717.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26130162:26134050:-1 gene:DRNTG_29717 transcript:DRNTG_29717.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCIRYKYIYIITSDIIIFQRKAIDEKIKIKEDQEQFEAELKELREAARWRQSQGISLEGDEELLAVVKEAPKRDEWMTTLPPERKPGMPPRQSTSFSKTGKEGRGDTSVWTDSPSDRAEKAKLNLQQSQSNF >DRNTG_29717.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26130982:26131844:-1 gene:DRNTG_29717 transcript:DRNTG_29717.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLPPERKPGMPPRQSTSFSKTGKEGRGDTSVWTDSPSDRAEKAKLKYDFNPSCLLLVRVSSNF >DRNTG_26227.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:424043:426187:-1 gene:DRNTG_26227 transcript:DRNTG_26227.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHTDGDILLKEDLLDPTSGRLYMVLRRVGHLIGISKSWDLQGNLQNHLILKTRLQERCADVIKILAESHWTSTCIVTMGSFQSICKSPDEAFAILSHLCECGKARYFSIKKKDFIEGVKVSLVSASVLNISSLDCDVLHLICTTEKLQQQLDVIDKRWESSRKMALSSHKSGNKQVAYRYIRQVKLFSESRAKCISFLERVEEVLGLIANAESTKKVSEAIQIGAQAIKENNVTVEEVYTHLQDLEENVASQKQVDDALASATLQYVDIEDEDVEEEFKKLEEELAGDTPKS >DRNTG_26227.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:424043:427341:-1 gene:DRNTG_26227 transcript:DRNTG_26227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSFPAAASSSVEELVRREVADWDDEVVCNARFKAFSGQRSDWEPSFLFWRDLIIKIARHLGVCIIDASEVKNVWFSRGGLTPLCIDHVLREMHTDGDILLKEDLLDPTSGRLYMVLRRVGHLIGISKSWDLQGNLQNHLILKTRLQERCADVIKILAESHWTSTCIVTMGSFQSICKSPDEAFAILSHLCECGKARYFSIKKKDFIEGVKVSLVSASVLNISSLDCDVLHLICTTEKLQQQLDVIDKRWESSRKMALSSHKSGNKQVAYRYIRQVKLFSESRAKCISFLERVEEVLGLIANAESTKKVSEAIQIGAQAIKENNVTVEEVYTHLQDLEENVASQKQVDDALASATLQYVDIEDEDVEEEFKKLEEELAGDTPKS >DRNTG_26227.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:423919:425116:-1 gene:DRNTG_26227 transcript:DRNTG_26227.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSHKSGNKQVAYRYIRQVKLFSESRAKCISFLERVEEVLGLIANAESTKKVSEAIQIGAQAIKENNVTVEEVYTHLQDLEENVASQKQVDDALGMLLLFSFHQLLSNMIFNHPEQASKGIDRIIEM >DRNTG_26227.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:424043:427341:-1 gene:DRNTG_26227 transcript:DRNTG_26227.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFQSICKSPDEAFAILSHLCECGKARYFSIKKKDFIEGVKVSLVSASVLNISSLDCDVLHLICTTEKLQQQLDVIDKRWESSRKMALSSHKSGNKQVAYRYIRQVKLFSESRAKCISFLERVEEVLGLIANAESTKKVSEAIQIGAQAIKENNVTVEEVYTHLQDLEENVASQKQVDDALATLQYVDIEDEDVEEEFKKLEEELAGDTPKS >DRNTG_16887.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29938840:29940866:1 gene:DRNTG_16887 transcript:DRNTG_16887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSVNSIWDLSLSIKGGHGSRSSTCCNLHLKTMQATCKTDFVKAPSLPLFCSRSLQKNVRQRVVPLATESNNAALESSAETENTAADLELSSNVQPAINIAEESSPQLEKPTTKRVPLTAREKLRAARVLSKYNESKPAKVEPKNRVLDALRESNKGKRPGLPEAPSDLLDDKKRGLPKQGLTFNFPGGSDLWFIAFSFAFISSVMFATTYIVWKVGAIHFNEY >DRNTG_09740.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1715374:1719039:1 gene:DRNTG_09740 transcript:DRNTG_09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTIISDRMSVIVQCVSSILIATTVSIIVNWRMALVAWAVMPCHFIGGLVQAKSAKGFSANASVAHQELTALTSESASNIRTIASFVYEEQILAKAKVAVKEPMKISRIESVKYGIIQGVSLCLWNIAHAVALWYTTVLVKKEQATFKDGIRSYQIFSLTVPSITELWTLIPMVMSAINILKPVFQILDRETQIAPDIPDIPDNEQIEGRIEFEHVSFNYPTRPEQLVLSNFSLTIEAGQRVAIVGPSGQCKSSILALTLRFYDPSKGKISIDMIDIKAYNLRWLRKQIGLVQQEPPLFRSSIRENICYGNESASETEIVKAAIEANIHHFITNLPQGYDTLVGEKGCQLSGGQKQRVAIARALVKRPAVLLLDEATSALDGETERSVMNALRPQERRKGNQQAKATQITVAHRLSTVINSDVIIVMDKGKVIEMGNHKSLMEESGSAYSKLFNLHSQTCAVDQ >DRNTG_06290.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22665226:22665601:1 gene:DRNTG_06290 transcript:DRNTG_06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFSSLFALLKLGFKSRPVNRSVYRPYTDPSGISVSAEKPAMSYSDNYSETTTATPLQYCATVNPTPRKRRFVAEFIPNRVTEAPRL >DRNTG_14007.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27685643:27688318:-1 gene:DRNTG_14007 transcript:DRNTG_14007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPFKADVLKGKVALLTGGGSGIGFEISTQFGRHGAAVAIMGRRRQVLDDAVAALRSQGIQAIGIEGDVRKQEDAARVVATVFKHFGRLDILVNGAAGNFLVSPEDLSPNGFRTVLDIDSVGTYTMCHEALKYLKKGAPGKEESTGGLILNISATLHYTAAWYQIHVSAAKAAVDSLTRSLALEWGTDYDIRVNGIAPGPIEGTPGTRKLVPEEMKSKTREFMPLFKLGDKWDIAMAALYLASDAGKYVNGTTVIVDGGLWLSRPRHIPKEEVKQLSRLVEKKSRTSPTGIPSSKL >DRNTG_16760.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22089368:22089828:-1 gene:DRNTG_16760 transcript:DRNTG_16760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFSYPRPFYPTQNSSWDNYHQNRPPPVSQISHGMMGPDNCHVNVPGMPFVPSSINPVSQLFENSGQRYDQKVPNRPNPPPPDVLPPLPSPPPLPLSQPPSIPPPPRFS >DRNTG_16760.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22089310:22089828:-1 gene:DRNTG_16760 transcript:DRNTG_16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFSYPRPFYPTQNSSWDNYHQNRPPPVSQISHGMMGPDNCHVNVPGMPFVPSSINPVSQLFENSGQRYDQKVPNRPNPPPPDVLPPLPSPPPLPLSQPPSIPPPPRFSPSQSSICS >DRNTG_02467.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2118200:2121531:1 gene:DRNTG_02467 transcript:DRNTG_02467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGKMMDLYIPRKCSATNRLITAKDHASVQINIGHVDENGVYTGQHTTVALCGFIRAQGDADSALDRIWQKKKVDVRQQ >DRNTG_24355.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:435365:440160:-1 gene:DRNTG_24355 transcript:DRNTG_24355.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEEGGSLFEGMVLFTPSDLSSPSEIPPSVTSADPPPLPLSQPLDEDLFSNLTLLSAPPSSDDPPSVLPSPSRQISRKKKRAVRVGYARDDEVSPPPPFSPSPRPLDSNQSEHRRDEDISCAVLPENKVADNNEVGDIHLVDGRLPVTEVSPPMEKDEVVSVEEKLDLIKTQVSNKLDRLREMAVLLASQRKQLGRRRRKAAESVNSVSQKYKELEKELEEACEAEDFERAERVSESLAATEKEKDILLTAFKEAEADCDAADLKMQELLDSQIAAEEEGVALLEQLGKDAAYTAEFVFKRAEEISVERIREWQYLMEILESKKLEADIEFHVINDARLGLESSIEYLVEDDRREKESLQRKQEVLTKELDELLAMVRMKETEIADNNFKIQEIERNISNVVSKFYGTQSNIDKKYDDLHSSMLKLEVEQESLFIKKKEIDDSVSTAEGKQSKLNKLAIDSANEAKNCQNLVDLRKRFASSIIKSREEKIRLAKTEEKILEDIQMLRQQNSSARTSLQELSSTRAIIQQDLTSMKQRIYFIDKRGPELEAEKKVAAAARNFKEAGRIAGEAKALNLERESLLDKRDKAISDLEKLEGEIKCTVDQMDESEQLIISKEKEAAIAGCQRLRLVAAAAMAERSATLELGDFEDGDILLKEAEAADFKASELQKAYGLEVEEREKPFDHFISISLITNLAGQQLSEMISSFNLPAAGGS >DRNTG_24355.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:436039:440160:-1 gene:DRNTG_24355 transcript:DRNTG_24355.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEEGGSLFEGMVLFTPSDLSSPSEIPPSVTSADPPPLPLSQPLDEDLFSNLTLLSAPPSSDDPPSVLPSPSRQISRKKKRAVRVGYARDDEVSPPPPFSPSPRPLDSNQSEHRRDEDISCAVLPENKVADNNEVGDIHLVDGRLPVTEVSPPMEKDEVVSVEEKLDLIKTQVSNKLDRLREMAVLLASQRKQLGRRRRKAAESVNSVSQKYKELEKELEEACEAEDFERAERVSESLAATEKEKDILLTAFKEAEADCDAADLKMQELLDSQIAAEEEGVALLEQLGKDAAYTAEFVFKRAEEISVERIREWQYLMEILESKKLEADIEFHVINDARLGLESSIEYLVEDDRREKESLQRKQEVLTKELDELLAMVRMKETEIADNNFKIQEIERNISNVVSKFYGTQSNIDKKYDDLHSSMLKLEVEQESLFIKKKEIDDSVSTAEGKQSKLNKLAIDSANEAKNCQNLVDLRKRFASSIIKSREEKIRLAKTEEKILEDIQMLRQQNSSARTSLQELSSTRAIIQQDLTSMKQRIYFIDKRGPELEAEKKVAAAARNFKEAGRIAGEAKALNLERESLLDKRDKAISDLEKLEGEIKCTVDQMDESEQLIISKEKEAAIAGCQRLRLVAAAAMAERSATLELGDFEDGDILLKEAEAADFKASELQKAYGLEVEEREKPFDHFISISLITNLAGQQLSEMISSFNLPAAGGS >DRNTG_24355.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:435797:440160:-1 gene:DRNTG_24355 transcript:DRNTG_24355.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEEGGSLFEGMVLFTPSDLSSPSEIPPSVTSADPPPLPLSQPLDEDLFSNLTLLSAPPSSDDPPSVLPSPSRQISRKKKRAVRVGYARDDEVSPPPPFSPSPRPLDSNQSEHRRDEDISCAVLPENKVADNNEVGDIHLVDGRLPVTEVSPPMEKDEVVSVEEKLDLIKTQVSNKLDRLREMAVLLASQRKQLGRRRRKAAESVNSVSQKYKELEKELEEACEAEDFERAERVSESLAATEKEKDILLTAFKEAEADCDAADLKMQELLDSQIAAEEEGVALLEQLGKDAAYTAEFVFKRAEEISVERIREWQYLMEILESKKLEADIEFHVINDARLGLESSIEYLVEDDRREKESLQRKQEVLTKELDELLAMVRMKETEIADNNFKIQEIERNISNVVSKFYGTQSNIDKKYDDLHSSMLKLEVEQESLFIKKKEIDDSVSTAEGKQSKLNKLAIDSANEAKNCQNLVDLRKRFASSIIKSREEKIRLAKTEEKILEDIQMLRQQNSSARTSLQELSSTRAIIQQDLTSMKQRIYFIDKRGPELEAEKKVAAAARNFKEAGRIAGEAKALNLERESLLDKRDKAISDLEKLEGEIKCTVDQMDESEQLIISKEKEAAIAGCQRLRLVAAAAMAERSATLELGDFEDGDILLKEAEAADFKASELQKAYGLEVEEREKPFDHFISISLITNLAGQQLSEMISSFNLPAAGGS >DRNTG_24355.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:436128:440160:-1 gene:DRNTG_24355 transcript:DRNTG_24355.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEEGGSLFEGMVLFTPSDLSSPSEIPPSVTSADPPPLPLSQPLDEDLFSNLTLLSAPPSSDDPPSVLPSPSRQISRKKKRAVRVGYARDDEVSPPPPFSPSPRPLDSNQSEHRRDEDISCAVLPENKVADNNEVGDIHLVDGRLPVTEVSPPMEKDEVVSVEEKLDLIKTQVSNKLDRLREMAVLLASQRKQLGRRRRKAAESVNSVSQKYKELEKELEEACEAEDFERAERVSESLAATEKEKDILLTAFKEAEADCDAADLKMQELLDSQIAAEEEGVALLEQLGKDAAYTAEFVFKRAEEISVERIREWQYLMEILESKKLEADIEFHVINDARLGLESSIEYLVEDDRREKESLQRKQEVLTKELDELLAMVRMKETEIADNNFKIQEIERNISNVVSKFYGTQSNIDKKYDDLHSSMLKLEVEQESLFIKKKEIDDSVSTAEGKQSKLNKLAIDSANEAKNCQNLVDLRKRFASSIIKSREEKIRLAKTEEKILEDIQMLRQQNSSARTSLQELSSTRAIIQQDLTSMKQRIYFIDKRGPELEAEKKVAAAARNFKEAGRIAGEAKALNLERESLLDKRDKAISDLEKLEGEIKCTVDQMDESEQLIISKEKEAAIAGCQRLRLVAAAAMAERSATLELGDFEDGDILLKEAEAADFKASELQKAYGLEVEEREKPFDHFISISLITNLAGQQLSEMISSFNLPAAGGS >DRNTG_24355.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:436039:440160:-1 gene:DRNTG_24355 transcript:DRNTG_24355.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEEGGSLFEGMVLFTPSDLSSPSEIPPSVTSADPPPLPLSQPLDEDLFSNLTLLSAPPSSDDPPSVLPSPSRQISRKKKRAVRVGYARDDEVSPPPPFSPSPRPLDSNQSEHRRDEDISCAVLPENKVADNNEVGDIHLVDGRLPVTEVSPPMEKDEVVSVEEKLDLIKTQVSNKLDRLREMAVLLASQRKQLGRRRRKAAESVNSVSQKYKELEKELEEACEAEDFERAERVSESLAATEKEKDILLTAFKEAEADCDAADLKMQELLDSQIAAEEEGVALLEQLGKDAAYTAEFVFKRAEEISVERIREWQYLMEILESKKLEADIEFHVINDARLGLESSIEYLVEDDRREKESLQRKQEVLTKELDELLAMVRMKETEIADNNFKIQEIERNISNVVSKFYGTQSNIDKKYDDLHSSMLKLEVEQESLFIKKKEIDDSVSTAEGKQSKLNKLAIDSANEAKNCQNLVDLRKRFASSIIKSREEKIRLAKTEEKILEDIQMLRQQNSSARTSLQELSSTRAIIQQDLTSMKQRIYFIDKRGPELEAEKKVAAAARNFKEAGRIAGEAKALNLERESLLDKRDKAISDLEKLEGEIKCTVDQMDESEQLIISKEKEAAIAGCQRLRLVAAAAMAERSATLELGDFEDGDILLKEAEAADFKASELQKAYGLEVEEREKPFDHFISISLITNLAGQQLSEMISSFNLPAAGGS >DRNTG_24355.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:433439:440160:-1 gene:DRNTG_24355 transcript:DRNTG_24355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEEGGSLFEGMVLFTPSDLSSPSEIPPSVTSADPPPLPLSQPLDEDLFSNLTLLSAPPSSDDPPSVLPSPSRQISRKKKRAVRVGYARDDEVSPPPPFSPSPRPLDSNQSEHRRDEDISCAVLPENKVADNNEVGDIHLVDGRLPVTEVSPPMEKDEVVSVEEKLDLIKTQVSNKLDRLREMAVLLASQRKQLGRRRRKAAESVNSVSQKYKELEKELEEACEAEDFERAERVSESLAATEKEKDILLTAFKEAEADCDAADLKMQELLDSQIAAEEEGVALLEQLGKDAAYTAEFVFKRAEEISVERIREWQYLMEILESKKLEADIEFHVINDARLGLESSIEYLVEDDRREKESLQRKQEVLTKELDELLAMVRMKETEIADNNFKIQEIERNISNVVSKFYGTQSNIDKKYDDLHSSMLKLEVEQESLFIKKKEIDDSVSTAEGKQSKLNKLAIDSANEAKNCQNLVDLRKRFASSIIKSREEKIRLAKTEEKILEDIQMLRQQNSSARTSLQELSSTRAIIQQDLTSMKQRIYFIDKRGPELEAEKKVAAAARNFKEAGRIAGEAKALNLERESLLDKRDKAISDLEKLEGEIKCTVDQMDESEQLIISKEKEAAIAGCQRLRLVAAAAMAERSATLELGDFEDGDILLKEAEAADFKASELQKAYGLEVEEREKPFDHFISISLITNLAGQQLSEMISSFNLPAAGGS >DRNTG_24355.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:435553:440160:-1 gene:DRNTG_24355 transcript:DRNTG_24355.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEEGGSLFEGMVLFTPSDLSSPSEIPPSVTSADPPPLPLSQPLDEDLFSNLTLLSAPPSSDDPPSVLPSPSRQISRKKKRAVRVGYARDDEVSPPPPFSPSPRPLDSNQSEHRRDEDISCAVLPENKVADNNEVGDIHLVDGRLPVTEVSPPMEKDEVVSVEEKLDLIKTQVSNKLDRLREMAVLLASQRKQLGRRRRKAAESVNSVSQKYKELEKELEEACEAEDFERAERVSESLAATEKEKDILLTAFKEAEADCDAADLKMQELLDSQIAAEEEGVALLEQLGKDAAYTAEFVFKRAEEISVERIREWQYLMEILESKKLEADIEFHVINDARLGLESSIEYLVEDDRREKESLQRKQEVLTKELDELLAMVRMKETEIADNNFKIQEIERNISNVVSKFYGTQSNIDKKYDDLHSSMLKLEVEQESLFIKKKEIDDSVSTAEGKQSKLNKLAIDSANEAKNCQNLVDLRKRFASSIIKSREEKIRLAKTEEKILEDIQMLRQQNSSARTSLQELSSTRAIIQQDLTSMKQRIYFIDKRGPELEAEKKVAAAARNFKEAGRIAGEAKALNLERESLLDKRDKAISDLEKLEGEIKCTVDQMDESEQLIISKEKEAAIAGCQRLRLVAAAAMAERSATLELGDFEDGDILLKEAEAADFKASELQKAYGLEVEEREKPFDHFISISLITNLAGQQLSEMISSFNLPAAGGS >DRNTG_24355.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:435553:440160:-1 gene:DRNTG_24355 transcript:DRNTG_24355.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEEGGSLFEGMVLFTPSDLSSPSEIPPSVTSADPPPLPLSQPLDEDLFSNLTLLSAPPSSDDPPSVLPSPSRQISRKKKRAVRVGYARDDEVSPPPPFSPSPRPLDSNQSEHRRDEDISCAVLPENKVADNNEVGDIHLVDGRLPVTEVSPPMEKDEVVSVEEKLDLIKTQVSNKLDRLREMAVLLASQRKQLGRRRRKAAESVNSVSQKYKELEKELEEACEAEDFERAERVSESLAATEKEKDILLTAFKEAEADCDAADLKMQELLDSQIAAEEEGVALLEQLGKDAAYTAEFVFKRAEEISVERIREWQYLMEILESKKLEADIEFHVINDARLGLESSIEYLVEDDRREKESLQRKQEVLTKELDELLAMVRMKETEIADNNFKIQEIERNISNVVSKFYGTQSNIDKKYDDLHSSMLKLEVEQESLFIKKKEIDDSVSTAEGKQSKLNKLAIDSANEAKNCQNLVDLRKRFASSIIKSREEKIRLAKTEEKILEDIQMLRQQNSSARTSLQELSSTRAIIQQDLTSMKQRIYFIDKRGPELEAEKKVAAAARNFKEAGRIAGEAKALNLERESLLDKRDKAISDLEKLEGEIKCTVDQMDESEQLIISKEKEAAIAGCQRLRLVAAAAMAERSATLELGDFEDGDILLKEAEAADFKASELQKAYGLEVEEREKPFDHFISISLITNLAGQQLSEMISSFNLPAAGGS >DRNTG_24355.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:436128:440160:-1 gene:DRNTG_24355 transcript:DRNTG_24355.9 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEEGGSLFEGMVLFTPSDLSSPSEIPPSVTSADPPPLPLSQPLDEDLFSNLTLLSAPPSSDDPPSVLPSPSRQISRKKKRAVRVGYARDDEVSPPPPFSPSPRPLDSNQSEHRRDEDISCAVLPENKVADNNEVGDIHLVDGRLPVTEVSPPMEKDEVVSVEEKLDLIKTQVSNKLDRLREMAVLLASQRKQLGRRRRKAAESVNSVSQKYKELEKELEEACEAEDFERAERVSESLAATEKEKDILLTAFKEAEADCDAADLKMQELLDSQIAAEEEGVALLEQLGKDAAYTAEFVFKRAEEISVERIREWQYLMEILESKKLEADIEFHVINDARLGLESSIEYLVEDDRREKESLQRKQEVLTKELDELLAMVRMKETEIADNNFKIQEIERNISNVVSKFYGTQSNIDKKYDDLHSSMLKLEVEQESLFIKKKEIDDSVSTAEGKQSKLNKLAIDSANEAKNCQNLVDLRKRFASSIIKSREEKIRLAKTEEKILEDIQMLRQQNSSARTSLQELSSTRAIIQQDLTSMKQRIYFIDKRGPELEAEKKVAAAARNFKEAGRIAGEAKALNLERESLLDKRDKAISDLEKLEGEIKCTVDQMDESEQLIISKEKEAAIAGCQRLRLVAAAAMAERSATLELGDFEDGDILLKEAEAADFKASELQKAYGLEVEEREKPFDHFISISLITNLAGQQLSEMISSFNLPAAGGS >DRNTG_31643.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:861021:863299:-1 gene:DRNTG_31643 transcript:DRNTG_31643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQNLSEILLLHPAPSLAAGHILSGYLSLVFPQ >DRNTG_31128.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:169722:176288:-1 gene:DRNTG_31128 transcript:DRNTG_31128.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESLEKASAMDVSSTENWVPKLDMVFETDEKAYQFYCFYGKEIGFGVRKHLVKRRSSGLVYSRVFSCYKEGYCRTTKEGKKPRSDVRTGCKARMTVRITKDGDFRVSEFEPNHNHELMAKVDGAMSDSPEDITVVVKPGKKRTAGQVFGRSSIANNIRVKKLLQYDNVQLELDQKNSTESGENCWQMMNVASLVPNIGMEFEDDDEAYQFYINYAAGVGFSVRKHLIKRRVSGMVYSRVYVCHKEGFTRNRCGQARRPKPYDRTGCPASMIIKITKNGRYRVAEFEQKHNHPLVIPTKAHLFKWQWRRGLINTQDGMADSADDSVMTQEHGKGSSDGPATSWQAELPSGSHKKKKPLDQKNFMKVGDIGAAMQYFQEKQSDDPSFYYAIRVDQDEQISSIFWSDAKSMVDFCYFGDVVCFDTTFKVRDCSRPFALFVGVNHHKQAIAFGTTLIYDESVESFKWLFETFTMAMCGKQPKVILTDLSAEMKDAVAAVWPGTTHRFCAWHMYQNASKHLNSVFQGSPSFAKDFGHCVYDCEEEDDFSSEWKNMLEKYDLVGNELLARLYEDRHQWALAYGRETFCADMTSTLTNESISGALNVSQGSDLLDFLKHYEGVLGERRLLEVKSDIQANQSVSKLPSSRMLKQTIHAYTPAVYKIFQREFELSMDCMVYSSGQDESSFEFKVTDEEKTKEYKVRFDSSDGTVFCSCKKFEFVGLHCRHVLKALDMINVKELQSRYILKRWSKNAKVGSLQNNHVFPMEGYTESCLAKQYRHLCHMLDKIAVKAAESVESYTLIESLSDQLLAQVCQILKARPPDKPETLQD >DRNTG_31128.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:169722:176288:-1 gene:DRNTG_31128 transcript:DRNTG_31128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESLEKASAMDVSSTENWVPKLDMVFETDEKAYQFYCFYGKEIGFGVRKHLVKRRSSGLVYSRVFSCYKEGYCRTTKEGKKPRSDVRTGCKARMTVRITKDGDFRVSEFEPNHNHELMAKVDGAMSDSPEDITVVVKPGKKRTAGQVFGRSSIANNIRVKKLLQYDNVQLELDQKNSTESGENCWQMMNVASLVPNIGMEFEDDDEAYQFYINYAAGVGFSVRKHLIKRRVSGMVYSRVYVCHKEGFTRNRCGQARRPKPYDRTGCPASMIIKITKNGRYRVAEFEQKHNHPLVIPTKAHLFKWQWRRGLINTQDGMADSADDSVMTQEHGKGSSDGPATSWQAELPSGSHKKKKPLDQKNFMKVGDIGAAMQYFQEKQSDDPSFYYAIRVDQDEQISSIFWSDAKSMVDFCYFGDVVCFDTTFKVRDCSRPFALFVGVNHHKQAIAFGTTLIYDESVESFKWLFETFTMAMCGKQPKVILTDLSAEMKDAVAAVWPGTTHRFCAWHMYQNASKHLNSVFQGSPSFAKDFGHCVYDCEEEDDFSSEWKNMLEKYDLVGNELLARLYEDRHQWALAYGRETFCADMTSTLTNESISGALNVSQGSDLLDFLKHYEGVLGERRLLEVKSDIQANQSVSKLPSSRMLKQTIHAYTPAVYKIFQREFELSMDCMVYSSGQDESSFEFKVTDEEKTKEYKVRFDSSDGTVFCSCKKFEFVGLHCRHVLKALDMINVKELQSRYILKRWSKNAKVGSLQNNHVFPMEGYTESCLAKQYRHLCHMLDKIAVKAAESVESYTLIESLSDQLLAQVCQILKARPPDKPETLQD >DRNTG_08492.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6442664:6443698:1 gene:DRNTG_08492 transcript:DRNTG_08492.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADYKQSNLNGAYYGPAIPPSRTHRSVGRGGCDCCCFPCCLITTLLKFIFSIIITVGIIVLILWLILRPNEIKPYVETATLSTFNLSTNTNNNSTNFLTYNLTMDLSIRNPNKRISFYYDYIETQALYDDSRIGFKILDPFYQGKKNTTVLHPEFSSRTAVLGDSVVTTYNREKGEGFFYVNVKVYTRMRLKVWVFKIHGFKPEFDCSLKLPAPTSGGSRGVSTFERTKCDVRYF >DRNTG_08492.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6442664:6443578:1 gene:DRNTG_08492 transcript:DRNTG_08492.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADYKQSNLNGAYYGPAIPPSRTHRSVGRGGCDCCCFPCCLITTLLKFIFSIIITVGIIVLILWLILRPNEIKPYVETATLSTFNLSTNTNNNSTNFLTYNLTMDLSIRNPNKRISFYYDYIETQALYDDSRIGFKILDPFYQGKKNTTVLHPEFSSRTAVLGDSVVTTYNREKGEGFFYVNVKVYTRMRLKVWVFKIHGFKPEFDCSLKLPAPTSGGSRGVSTFERTKCDVRYF >DRNTG_08492.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6442478:6443698:1 gene:DRNTG_08492 transcript:DRNTG_08492.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYKQSNLNGAYYGPAIPPSRTHRSVGRGGCDCCCFPCCLITTLLKFIFSIIITVGIIVLILWLILRPNEIKPYVETATLSTFNLSTNTNNNSTNFLTYNLTMDLSIRNPNKRISFYYDYIETQALYDDSRIGFKILDPFYQGKKNTTVLHPEFSSRTAVLGDSVVTTYNREKGEGFFYVNVKVYTRMRLKVWVFKIHGFKPEFDCSLKLPAPTSGGSRGVSTFERTKCDVRYF >DRNTG_08492.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6442478:6443578:1 gene:DRNTG_08492 transcript:DRNTG_08492.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADYKQSNLNGAYYGPAIPPSRTHRSVGRGGCDCCCFPCCLITTLLKFIFSIIITVGIIVLILWLILRPNEIKPYVETATLSTFNLSTNTNNNSTNFLTYNLTMDLSIRNPNKRISFYYDYIETQALYDDSRIGFKILDPFYQGKKNTTVLHPEFSSRTAVLGDSVVTTYNREKGEGFFYVNVKVYTRMRLKVWVFKIHGFKPEFDCSLKLPAPTSGGSRGVSTFERTKCDVRYF >DRNTG_24988.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28157298:28163064:1 gene:DRNTG_24988 transcript:DRNTG_24988.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWFLWFLLVLQPFSSVYANTEGDALHSLRTSLNDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGTLVPQLGQLKNLQYLELYSNNISGTIPSDLGNLTNLVSLDLYLNNFTGGIPDTLGKLTKLRFLRLNNNTLSGQIPQSLTGIQELQVLDLSNNNLSGPVPSTGSFSLFTPISFQNNPLLCGPGTSKPCPGAPPFSPPPPFVPPSPPSSAGSSASSTGAIAGGVAAGAALLFAAPAIGFAWWRRRKPQEYFYDVPAEEDPEVHLGQLKRFSLRELQVATDSFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTSTERLLVYPFMSNGSVASCLRERTPSQPPLDWPTRRRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEQLVDPDLQNSYVEAEVESLIQVALLCTQGSPMDRPKMSEVVRMLEGDGLAERWEEWQKVEVVQQELELGHPNSEWILDSTENLRAVELSGPR >DRNTG_19127.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29620954:29623070:1 gene:DRNTG_19127 transcript:DRNTG_19127.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEEGPEPNLAPHHHLLDWLEDSASFIPSIPSFFDEPYTEIDLNPYEWWNHDHDYENQQQSIQTPASSTAITTPSITTTTIIATTNQTTVPKSEYTTKKRKQQEEQSIRSQSQQSKRRNNTDNEEGGAENEEDGGSGGGEVQRKPGRKVQTKGSGSGSGNNGAKDVRWAEQLLNPCAAAIHAMNLSRVQHLLYVLNELASPTGDANHRLAAHGLRALKNHLSSIGLAAAIPCGNLDTGGCGSPPKCFATTEPRLFRSALIKFHEVSPWFAVPNSLANASILQNITQENRPKSLHIVDIGVSHGIQWPTLLEALTRRPGGAPPLIHLTVAGSAAPARPFSTSPPAYDFPSHLLRYAKSLDLNLHITQTETLSSITRSPGEILVVSSQFRASNTMLLRSIRDLDPDLVVLTELEDGVGGGGIGGGFAEEFGRRTGVLWRFLESTSAAFKGREFAERTVVEGEAARMLDGGVGEGREEWEGRMKALGFTELAFGEEAMDAGRALLRKYDGNWEMRMATGVAGTGAGAGAGVLLSWKGQSVSLCSLWRVAGTTERR >DRNTG_17546.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29083264:29087314:-1 gene:DRNTG_17546 transcript:DRNTG_17546.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPILLSQFDLRTPSPMNLFSCISFSGSGLPVQLKCVVLDPMDRNCFAIGGFDQFVRLFDIRMYQWDASTCINRPVTTYCPRHLLDSLDNHITGLAYSNKSELLVSYDCEQIYLFDKGMDIGPDPMTVSEEHLLNLVQPRGYGGHRNTLPVKPVCFLGQREEYIVSGSECSNIFVWRKKDSKLLRKMVAGPSSVQVVATYPNTLFFASGGHDRKVRVWAPIGEEPERDPEPRNVESSCMTCIIS >DRNTG_17546.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29083264:29087314:-1 gene:DRNTG_17546 transcript:DRNTG_17546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSSRRSTGHVPHIWRREIGMSSPLLFERGFTSSEALIRRLDCYQTLEGHDSRIYTIRFNPTGSLLISGAGDANVKIWNWLDGKQKFAYPHGHQDSVMDAQFLPFTNDRMILTSSFDGLVKLHEIADDSLVTTTVLGRHVGSVPQLAIEPGSSRIFYSCGEDGFVKRFDLRTPSPMNLFSCISFSGSGLPVQLKCVVLDPMDRNCFAIGGFDQFVRLFDIRMYQWDASTCINRPVTTYCPRHLLDSLDNHITGLAYSNKSELLVSYDCEQIYLFDKGMDIGPDPMTVSEEHLLNLVQPRGYGGHRNTLPVKPVCFLGQREEYIVSGSECSNIFVWRKKDSKLLRKMVAGPSSVQVVATYPNTLFFASGGHDRKVRVWAPIGEEPERDPEPEVSFAEKCGKLMHDVHYFLTSTCRRVFFDEY >DRNTG_17546.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29083264:29087314:-1 gene:DRNTG_17546 transcript:DRNTG_17546.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSSRRSTGHVPHIWRREIGMSSPLLFERGFTSSEALIRRLDCYQTLEGHDSRIYTIRFNPTGSLLISGAGDANVKIWNWLDGKQKFAYPHGHQDSVMDAQFLPFTNDRMILTSSFDGLVKLHEIADDSLVTTTVLGRHVGSVPQLAIEPGSSRIFYSCGEDGFVKRFDLRTPSPMNLFSCISFSGSGLPVQLKCVVLDPMDRNCFAIGGFDQFVRLFDIRMYQWDASTCINRPVTTYCPRHLLDSLDNHITGLAYSNKSELLVSYDCEQIYLFDKGMDIGPDPMTVSEEHLLNLVQPRGYGGHRNTLPVKPVCFLGQREEYIVSGSECSNIFVWRKKDSKLLRKMVAGPSSVQVVATYPNTLFFASGGHDRKVRVWAPIGEEPERDPEPRNVESSCMTCIIS >DRNTG_17546.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29083264:29087314:-1 gene:DRNTG_17546 transcript:DRNTG_17546.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPILLSQFDLRTPSPMNLFSCISFSGSGLPVQLKCVVLDPMDRNCFAIGGFDQFVRLFDIRMYQWDASTCINRPVTTYCPRHLLDSLDNHITGLAYSNKSELLVSYDCEQIYLFDKGMDIGPDPMTVSEEHLLNLVQPRGYGGHRNTLPVKPVCFLGQREEYIVSGSECSNIFVWRKKDSKLLRKMVAGPSSVQVVATYPNTLFFASGGHDRKVRVWAPIGEEPERDPEPRNVESSCMTCIIS >DRNTG_17546.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29083264:29087314:-1 gene:DRNTG_17546 transcript:DRNTG_17546.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPILLSQFDLRTPSPMNLFSCISFSGSGLPVQLKCVVLDPMDRNCFAIGGFDQFVRLFDIRMYQWDASTCINRPVTTYCPRHLLDSLDNHITGLAYSNKSELLVSYDCEQIYLFDKGMDIGPDPMTVSEEHLLNLVQPRGYGGHRNTLPVKPVCFLGQREEYIVSGSECSNIFVWRKKDSKLLRKMVAGPSSVQVVATYPNTLFFASGGHDRKVRVWAPIGEEPERDPEPEVSFAEKCGKLMHDVHYFLTSTCRRVFFDEY >DRNTG_17546.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29083264:29087314:-1 gene:DRNTG_17546 transcript:DRNTG_17546.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPILLSQFDLRTPSPMNLFSCISFSGSGLPVQLKCVVLDPMDRNCFAIGGFDQFVRLFDIRMYQWDASTCINRPVTTYCPRHLLDSLDNHITGLAYSNKSELLVSYDCEQIYLFDKGMDIGPDPMTVSEEHLLNLVQPRGYGGHRNTLPVKPVCFLGQREEYIVSGSECSNIFVWRKKDSKLLRKMVAGPSSVQVVATYPNTLFFASGGHDRKVRVWAPIGEEPERDPEPEVSFAEKCGKLMHDVHYFLTSTCRRVFFDEY >DRNTG_17546.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29083264:29087314:-1 gene:DRNTG_17546 transcript:DRNTG_17546.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPILLSQFDLRTPSPMNLFSCISFSGSGLPVQLKCVVLDPMDRNCFAIGGFDQFVRLFDIRMYQWDASTCINRPVTTYCPRHLLDSLDNHITGLAYSNKSELLVSYDCEQIYLFDKGMDIGPDPMTVSEEHLLNLVQPRGYGGHRNTLPVKPVCFLGQREEYIVSGSECSNIFVWRKKDSKLLRKMVAGPSSVQVVATYPNTLFFASGGHDRKVRVWAPIGEEPERDPEPRNVESSCMTCIIS >DRNTG_12717.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000563.1:22525:23296:1 gene:DRNTG_12717 transcript:DRNTG_12717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSSTPTPTPTTKLQRRKSKQVINSSPIMKHIKSQLFLFPKPLTHDFHSHVPAKHHNSGMRISSWRVVKSRTINDSKCINSKDFVVMVNDPANMAAAMAFPIAPTITARRSSHHQGAEPAYGQG >DRNTG_34241.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1454606:1457745:1 gene:DRNTG_34241 transcript:DRNTG_34241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFQTLRWLLSRRPSGPRRRPPAARSLRSRPARTLARRAAAELVASRKQRQGAMARSSSPWSLPRKPERRESS >DRNTG_34950.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2190670:2196044:1 gene:DRNTG_34950 transcript:DRNTG_34950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPANLMAKNEVGDTALHVAAAMDSLSVATALIDKNPNLIEERNNKLETPLLKAALFGSAATFHKLKRQNRDGVHHRTLTGASVLHCAILGNNPDLALEIAQEFGFLIYTRNLKALTPLQLIVTIPQVFRSSLELGPAESFLYTFIPLDSHDKSNQREKNIDDEELGKSISHHYWVESNAKSDKLFEASEAMSKRKFVKKYQLIWYFFYVAKLVGLYLDASRVRLFIIFILKKLFNSIEELEILKTNHVQTMKLIAYLARDPEYWDFINKGTFKKKQTDMKPENMIFKRKNGDDDEIDKDFDDEEDFDDFDDDDDDDDDDEEEEEEEEEEQKIDNQNTPLDGSNRRTTSSLHTHKELVSSDSLNERTTSSLPKEKDLILEHAMNLISEQKKLISEQKNLIIEQNNSIKEFTKQIKSSLPEPAKRQLTRWAESPLIVGAKMGLYDFVEQILKVYPQSAQFKDLEGKNVLQVAIKHGQVKIVKIIAEMIKGPNPMLPSWLLSDVTDDEMNKDDEMNNTILHYAAVTTIKDEGFALQMQREIIWFETVKKLVPKDMVNNRNAEEKTAQELFNENHAEMMKSGRNQLMDIGKTCSGLLAAVVFATSFNIPGGKDSDSRTGPSNNNMTNSTKGNHFNDESVGFKVFSHAYVMGLSFATCSLLLFLSLLTSNYRPEAFRKALPTKYILAVVSFFFALLTLLVAFTCNIYLSIYGGGTPKAKDLLPLVLELTGFPFLCAVALFFGGFSLRFSDFILRMLHR >DRNTG_34950.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2194922:2196044:1 gene:DRNTG_34950 transcript:DRNTG_34950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGPNPMLPSWLLSDVTDDEMNKDDEMNNTILHYAAVTTIKDEGFALQMQREIIWFETVKKLVPKDMVNNRNAEEKTAQELFNENHAEMMKSGRNQLMDIGKTCSGLLAAVVFATSFNIPGGKDSDSRTGPSNNNMTNSTKGNHFNDESVGFKVFSHAYVMGLSFATCSLLLFLSLLTSNYRPEAFRKALPTKYILAVVSFFFALLTLLVAFTCNIYLSIYGGGTPKAKDLLPLVLELTGFPFLCAVALFFGGFSLRFSDFILRMLHR >DRNTG_32116.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001786.1:74418:75063:1 gene:DRNTG_32116 transcript:DRNTG_32116.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRSRTYMPAKPNFMRSQSRPRILSTQASNE >DRNTG_29006.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22321014:22335963:1 gene:DRNTG_29006 transcript:DRNTG_29006.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRFR1 [Source:Projected from Arabidopsis thaliana (AT4G37460) UniProtKB/TrEMBL;Acc:A0A178V335] MAPTAAGEREELVRLCSSKNWSKAIRVLDSLLARSSSIQDLCNRAFCYSRLELHKHVIKDCDKALQLDPGRIQAYILKGNALSALGRKEEALLVWQQGYENAVQQSADLKQLLELEELLSNAKQSQPVTCEEQVADSPIADSSIAKENQSVVSEEHVIDSSAAVESSPGLGSEHIIDSSKVNTHEDSEILRKSDDDIEISSGPNEIIQVGPITILPKILASSKTKSKSISLDFRLSRGIAQVNEGKYDAAISIFNQILRENPTSPEALIGRGTAYAFQRELELAIADFTKAIKSNPSAGEAWKRRGQARAALGESVQAIEDLTKALEFEPNSSDILHERGIVCFKFKDYNSAVEDLSKCVFRERTNKSAYTYLGLALSAIGDYSQAEQAHLKSIELDQNFLDGWIHLTQFYQDLANVEKALECLQKVLLVDARFAKAYHLRGLLYHGMGQHRSAIKDLTMGLSIESSNLECLYLRASCYHAIGEYGDAIKDYDSVLNLELDSMDKFVLQCLAFYQKEMALYTASKVNSEFCWFDIDRDVDPIFKEYWCKRLHPKYVCERVFRQPPLKESLKKGRLKKQDHAVTKQKASLLRAADLIGQKIQYNCPGFLPNKRQYRMAGLAAIEIAQKVAKTWRSLRNMNKNGKKGWRRERNSTPSQNRGGSGCSTSSPSETSTLYGFNDERPPSGRYMFWHDIYAIAVKWRQISEPCDPVVWVNKLSEEFNSGFGSHTPMLLGQAKVVRYYPNYQRTMDIAKSIMAENKSVFNSEDKVIDLSEDEKLRKITQASSCYDLHSIIGENFWVATTCESTAFEGKQLEGTRITVQQMDKRGFDFAIRTPCTPSRWEEFDAEMAIGWETLCNFHCGEAFGSNDMDMLENTKDAILRMSYYWYNFMPLSRGTAVVGYTVLLGLFLAANMEVTTNIPDSVQVDWEAILSFTPDAFMNSIKPWLYPSLRFTTAWKDYPDVTVTLSTTGTIVAALSSYDS >DRNTG_03554.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21609069:21619323:1 gene:DRNTG_03554 transcript:DRNTG_03554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLKSAKKKASRGDDLEGRPSKRFLIKDFLNLHFADVCCLQESKLEAISPIIWREIGGGRLDQFEFLPARGSAGGIIVSGGGIIVGWNSVLFTGKLERMNTFSLTIEFVSKKDNFIWRCTTVYGPNARSLKVAFWEELRECASATNVPWIVCGDFNAIFSLEDKSSGAPNLEDYGFTACQLSGNTYFREKTQATGLGRLNFKMA >DRNTG_24255.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20677584:20698290:-1 gene:DRNTG_24255 transcript:DRNTG_24255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGNRLYARRSSTIHGDRANARAQEEPQPGILPHGPRQERERSLQERHQK >DRNTG_23529.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22295083:22297025:1 gene:DRNTG_23529 transcript:DRNTG_23529.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNDQDIVALSGAHTLGRCHQDRSGFEGPWTSNPLIFDNSYFKELLSGEKEGLIQLPTDKALLGDPAFRSLVEKYAADQDAFFADYAEAHLKLSELGFADS >DRNTG_23529.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22294411:22297025:1 gene:DRNTG_23529 transcript:DRNTG_23529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAYPKVSEEYLKGIDKCKRRLRGLIAQKGCAPIMLRLAWHSAGTYDVKTKTGGPFGTMRFRAEQGHICNNGIHIAVGLLEPIKEEFPNLSYGDVYQLAGIVAVEITGGPDIPFHPGREDKNEPPLEGRLPDANKGCHHLRDVFVTHMGLNDQDIVALSGAHTLGRCHQDRSGFEGPWTSNPLIFDNSYFKELLSGEKEGLIQLPTDKALLGDPAFRSLVEKYAADQDAFFADYAEAHLKLSELGFADS >DRNTG_01700.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23707825:23711835:-1 gene:DRNTG_01700 transcript:DRNTG_01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLMASPAMRKLGPCFISSTPAIGSAMISMPLLMLQSRNASTKASPSVVESGNESDEKLQAADGSRKDDKGIASYWGVSTPKIKNADGTEWKWSCFKPGDTYSSNLSIDLKKHHVPITWGDKLALWTVKSLRLPTDIFFKKRYGCRAMMLETVAAVPGMVGGLLLHCKSLRRFEQSGGWIRALLEEAENERMHLMTFMEVSQPKWYERALVFAVQGLFFNAYFIAYLASPKLAHRMVGYLEEEAIYSYTQFLKELDQGNIENAPAPAIAIDYWRLSPNATLRDVVMVVRADEAHHRDVNHFASDIHAQGHQLRELPAPVGYH >DRNTG_13341.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1084848:1087074:-1 gene:DRNTG_13341 transcript:DRNTG_13341.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRCGRTAALLLLLTAIPIALIFSIERSASGYKYSGGGWFHECAKWDASADRFLVSTFTSGTIGEITIGAGSELEEKTVVSDVDVAGNWSLGIAIDRRRNRLLVVYADVLGLTYGGVAAYDLRSWERRFLIQLAGKGGEKSFADDVAVDEDGNAYITDAKANKIWKVGLNGELLSVIRSDVFVQRKEWFYNFVGLNGIVYHPNGYLLVIHTATGHLFKVDIATENVTVVNVKGSLFMGDGLELLSPTKLVVAGSLFTKLVESTDEWNTAFTTARYIGLLHRIVSSATVKDGKVYLNHIISRKSSHLIAEANFTPLNSI >DRNTG_13341.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1084809:1087012:-1 gene:DRNTG_13341 transcript:DRNTG_13341.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRCGRTAALLLLLTAIPIALIFSIERSASGYKYSGGGWFHECAKWDASADRFLVSTFTSGTIGEITIGAGSELEEKTVVSDVDVAGNWSLGIAIDRRRNRLLVVYADVLGLTYGGVAAYDLRSWERRFLIQLAGKGGEKSFADDVAVDEDGNAYITDAKANKIWKVGLNGELLSVIRSDVFVQRKEWFYNFVGLNGIVYHPNGYLLVIHTATGHLFKVDIATENVTVVNVKGSLFMGDGLELLSPTKLVVAGSLFTKLVESTDEWNTAFTTARYIGLLHRIVSSATVKDGKVYLNHIISRKSSHLIAEANFTPLNSI >DRNTG_13341.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1084848:1087012:-1 gene:DRNTG_13341 transcript:DRNTG_13341.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRCGRTAALLLLLTAIPIALIFSIERSASGYKYSGGGWFHECAKWDASADRFLVSTFTSGTIGEITIGAGSELEEKTVVSDVDVAGNWSLGIAIDRRRNRLLVVYADVLGLTYGGVAAYDLRSWERRFLIQLAGKGGEKSFADDVAVDEDGNAYITDAKANKIWKVGLNGELLSVIRSDVFVQRKEWFYNFVGLNGIVYHPNGYLLVIHTATGHLFKVDIATENVTVVNVKGSLFMGDGLELLSPTKLVVAGSLFTKLVESTDEWNTAFTTARYIGLLHRIVSSATVKDGKVYLNHIISRKSSHLIAEANFTPLNSI >DRNTG_13341.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1084739:1087012:-1 gene:DRNTG_13341 transcript:DRNTG_13341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRCGRTAALLLLLTAIPIALIFSIERSASGYKYSGGGWFHECAKWDASADRFLVSTFTSGTIGEITIGAGSELEEKTVVSDVDVAGNWSLGIAIDRRRNRLLVVYADVLGLTYGGVAAYDLRSWERRFLIQLAGKGGEKSFADDVAVDEDGNAYITDAKANKIWKVGLNGELLSVIRSDVFVQRKEWFYNFVGLNGIVYHPNGYLLVIHTATGHLFKVDIATENVTVVNVKGSLFMGDGLELLSPTKLVVAGSLFTKLVESTDEWNTAFTTARYIGLLHRIVSSATVKDGKVYLNHIISRKSSHLIAEANFTPLNSI >DRNTG_34451.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8026042:8026757:1 gene:DRNTG_34451 transcript:DRNTG_34451.1 gene_biotype:protein_coding transcript_biotype:protein_coding YVAYKKHLKTKKETKKKNNPSDKYIHQLFPSNVAEFCVGKSTVVCDRKSAKSVVAEICSLGASFLFWFAATFLLLCINSAVVINEG >DRNTG_13458.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:11235:13145:1 gene:DRNTG_13458 transcript:DRNTG_13458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGENSVSSNGMQERAMEEGDGGEVGVTVNHVFATSEDRASSFSFSVPFLQKIIAEVFGTYFLIFAGCGSVTVNLSKGTITFPGICVVWGLAVMVMVYAVGHISGAHFNPAVTIAFATCGRFPWKQVPVYVSSQLVGSTLASGTLRLLFGGKHEHFPGTIPAGSDLQSLVLEFIITFYLMFVISGVATDNRAIGELAGLAVGATVLLNVLVAGPISGASMNPARSLGPAIVSNRYEAMWVYIVGPTAGAIAGAWAYNLIRFTNKPLREITKSGSFLRSMGRNGSN >DRNTG_30504.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:745016:750141:-1 gene:DRNTG_30504 transcript:DRNTG_30504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLEGEENYKEAVEEGEKGKEEASSSTVIGLRRYDSLDIEAAKFTSERSSKNEDWGKIMALAFQSLGVVYGDLGTSPLYVISSIFTDGIKHKDDILGVLSLIYYTITLIPLVKYVLIVLQATDNGHGGTFALYSLICRHSEVGLIPSQQAEDGEVSNFKLELPNNRSERASKIKTALESTMFAKYFLLIFTMLGTAMVIGDGILTPCISVLSAVSGLQQAGSTLNEDKMAWISVAILILLFMLQRFGTDKVGYSFAPIITVWFISITGIGIFNFFKYDPWVFKAVNPMYIVDYFRRNGKAAWVSLGGVVLCVTGVEALFADVGHFSVKSIQVSMCGLVYPSLIFAYTGQASYLRENMGDVASIFYKSIPKSLYWPMFVVSVLAAIIASQAMISGTFSIIQQSLYLGCFPRVKIVQTSTKYEGQVYIPEMNYIIMIMCVLITLGFKNTVKIGNAYGIAVVFVMTLTSGYMVLIMLMIWKLSIINVILYVLVFGSMELVYLSSVLYKFIDGGYIPIGFAVFLVAVMLIWNYVYRMKYMYEMENRVPTEKVTEIVSDPSLQRIPGFGFFYSELVKGIPPIFNHYIANVPALHSVLVFVSVKSLPISRVPSDERFLFRRVGPRELFLFRCIARYGYKELRSNEQETFEFLLVQGLKDFIKQETINMNPQIDEDLEVVEREMKKGVIHLFGESEVVARRDSNWLKTIIIDYGYNCLKRNTRQQNEVFTIPHHRLLKVGMNVEL >DRNTG_30504.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:745016:748430:-1 gene:DRNTG_30504 transcript:DRNTG_30504.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKYFLLIFTMLGTAMVIGDGILTPCISVLSAVSGLQQAGSTLNEDKMAWISVAILILLFMLQRFGTDKVGYSFAPIITVWFISITGIGIFNFFKYDPWVFKAVNPMYIVDYFRRNGKAAWVSLGGVVLCVTGVEALFADVGHFSVKSIQVSMCGLVYPSLIFAYTGQASYLRENMGDVASIFYKSIPKSLYWPMFVVSVLAAIIASQAMISGTFSIIQQSLYLGCFPRVKIVQTSTKYEGQVYIPEMNYIIMIMCVLITLGFKNTVKIGNAYGIAVVFVMTLTSGYMVLIMLMIWKLSIINVILYVLVFGSMELVYLSSVLYKFIDGGYIPIGFAVFLVAVMLIWNYVYRMKYMYEMENRVPTEKVTEIVSDPSLQRIPGFGFFYSELVKGIPPIFNHYIANVPALHSVLVFVSVKSLPISRVPSDERFLFRRVGPRELFLFRCIARYGYKELRSNEQETFEFLLVQGLKDFIKQETINMNPQIDEDLEVVEREMKKGVIHLFGESEVVARRDSNWLKTIIIDYGYNCLKRNTRQQNEVFTIPHHRLLKVGMNVEL >DRNTG_30504.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:745016:746405:-1 gene:DRNTG_30504 transcript:DRNTG_30504.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVSVLAAIIASQAMISGTFSIIQQSLYLGCFPRVKIVQTSTKYEGQVYIPEMNYIIMIMCVLITLGFKNTVKIGNAYGIAVVFVMTLTSGYMVLIMLMIWKLSIINVILYVLVFGSMELVYLSSVLYKFIDGGYIPIGFAVFLVAVMLIWNYVYRMKYMYEMENRVPTEKVTEIVSDPSLQRIPGFGFFYSELVKGIPPIFNHYIANVPALHSVLVFVSVKSLPISRVPSDERFLFRRVGPRELFLFRCIARYGYKELRSNEQETFEFLLVQGLKDFIKQETINMNPQIDEDLEVVEREMKKGVIHLFGESEVVARRDSNWLKTIIIDYGYNCLKRNTRQQNEVFTIPHHRLLKVGMNVEL >DRNTG_01339.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:178847:180155:1 gene:DRNTG_01339 transcript:DRNTG_01339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRLRPSLLTSHSLLRSLSLPPSSPSLPLLLFSDLLSLGVTPTTTTFNILAHSLVSRSLLFEALALIPKMSGFDLSPDTITFNTILDGFCKKGMLKEARDLLADMKSRGLTPDRSTYNTLISGYCRLGWIKEATAAIELMTRSNFLPDVRTYNMLVAGLCREGQLDEALRLKSEMEKLQVLPDIVTYNTLINGCFHCDCGSKAGNLLEEMKEKGMKLSLITHNIMVKGLCKDGRMDDAVEHLRRMEEEEIAPDLVTYNTLISASCKVGEMSRAFELMDEMVGRGLKMDTFTLNTVLLNLCKEKRFGEALDLLRSPPKRGFVPDEVSYGTVIAAFFKEDDVDQAMELWDELKS >DRNTG_21266.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:220824:224939:1 gene:DRNTG_21266 transcript:DRNTG_21266.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S10, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G13120) UniProtKB/Swiss-Prot;Acc:Q9LK61] MDAARTTNAKTMGPVPLPTKRRVYCVLKSPHVHKDARFHFEIRTHQRLIDILHPTAQTIDSLMQLDLPAGVDVEVKL >DRNTG_21669.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10986722:10987813:-1 gene:DRNTG_21669 transcript:DRNTG_21669.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLHKSILQVWMQEDYQNSLRTAEDHKRVSGGTQNLWQQRV >DRNTG_21669.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10989131:10995600:-1 gene:DRNTG_21669 transcript:DRNTG_21669.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIHTILRSISWLSLLSLLLSFHLCLIQRPTEAAFLPGIQTDKVALLKFMAGITDDPFGVFQSWNDSKPFCDWTGISCSKIHPGRVISIQLDSQSLSGSISSSITNLTFLHSLLLMNNSFSGGIPPDIGMLPRLQHLNLSYNSLTGVIPLGLSNCSDLQTLGLHYNQLHGNIPSEFGSLMKLQELVLAKNNFTGSIPSSLSNISSLVKLSLLSNSIQGNIPENLGLYATNLSFLQMAQNELSGTIPPTLFNLSSLNYFSVAVNQFHGSLPLDIGTTLPNLQALLLSDNQFGGTLPSSLINASRIRLLDMSTNNIGGKVPSELGKLQDLVHLNLGSNEFEINDPDSWRFMDSLTNCSKLQVLALNRNNVSGVLPESVGNLSTNLQSLMLWTNSITGRIPSGIENLINLEQLQLSKNNFIGTIPDGIGKLTKLQDLDLFFNDLAGQLPSSIGNLTQLLHFYVSDNNLTGRIPSSLGNLQNIEAMDLSNNGFNGSIPEEILSLSSLSFFLDLSGNSLSGQLPAKVGSLKNLGIFGLSRNKLSGAIPATLGSCQQLETLLMDNNLFEGTIPASLGNIKGLQELNLSHNSLKGPIPDSLTKLHALTSLDLSFNQLSGEVYQEGVFKNATAVSLLRNDCLCGGISSLNLPPCLETSSKKTKWSRSLKIAVIVPIVAFILLLIFLSSLAFLNRRKRLRKKSPSIPSFADKYLKVSYNELFKATDGFSSSNLLGTGSFGSVYRGTLDPSGTIVAVKVFNLQQRGATKSFIAECEALRGIRHRSLIKILTACSSIDSKGNDFKALVYEFMNNGSLETWLHPKDAGFSNQLSLVQKLNIAVDVADALNYLHVNCQPPVIHCDLKPSNILLDDNMNALVGDFGLARIVSETMSISQHDSHSLMGIKGSIGYIAPEYGAGIQVSTSGDVYSYGILMLEIFTGKRPVDDMFNDGLDLREYAHMAFPDRVIKIVDPAINENNTIGINQRAQEECLVSVIRIGLACSERLARDRMSIRDVASEMHAVRNAYSKAGQSQ >DRNTG_21669.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10986722:10995600:-1 gene:DRNTG_21669 transcript:DRNTG_21669.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIHTILRSISWLSLLSLLLSFHLCLIQRPTEAAFLPGIQTDKVALLKFMAGITDDPFGVFQSWNDSKPFCDWTGISCSKIHPGRVISIQLDSQSLSGSISSSITNLTFLHSLLLMNNSFSGGIPPDIGMLPRLQHLNLSYNSLTGVIPLGLSNCSDLQTLGLHYNQLHGNIPSEFGSLMKLQELVLAKNNFTGSIPSSLSNISSLVKLSLLSNSIQGNIPENLGLYATNLSFLQMAQNELSGTIPPTLFNLSSLNYFSVAVNQFHGSLPLDIGTTLPNLQALLLSDNQFGGTLPSSLINASRIRLLDMSTNNIGGKVPSELGKLQDLVHLNLGSNEFEINDPDSWRFMDSLTNCSKLQVLALNRNNVSGVLPESVGNLSTNLQSLMLWTNSITGRIPSGIENLINLEQLQLSKNNFIGTIPDGIGKLTKLQDLDLFFNDLAGQLPSSIGNLTQLLHFYVSDNNLTGRIPSSLGNLQNIEAMDLSNNGFNGSIPEEILSLSSLSFFLDLSGNSLSGQLPAKVGSLKNLGIFGLSRNKLSGAIPATLGSCQQLETLLMDNNLFEGTIPASLGNIKGLQELNLSHNSLKGPIPDSLTKLHALTSLDLSFNQLSGEVYQEGVFKNATAVSLLRNDCLCGGISSLNLPPCLETSSKKTKWSRSLKIAVIVPIVAFILLLIFLSSLAFLNRRKRLRKKSPSIPSFADKYLKVSYNELFKATDGFSSSNLLGTGSFGSVYRGTLDPSGTIVAVKVFNLQQRGATKSFIAECEALRGIRHRSLIKILTACSSIDSKGNDFKALVYEFMNNGSLETWLHPKDAGFSNQLSLVQKLNIAVDVADALNYLHVNCQPPVIHCDLKPSNILLDDNMNALVGDFGLARIVSETMSISQHDSHSLMGIKGSIGYIAPEYGAGIQVSTSGDVYSYGILMLEIFTGKRPVDDMFNDGLDLREYAHMAFPDRVIKIVDPAINENNTIGINQRAQEECLVSVIRIGLACSERLARDRMSIRDVASEMHAVRNAYSKAGQSQ >DRNTG_21669.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10987837:10995600:-1 gene:DRNTG_21669 transcript:DRNTG_21669.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIHTILRSISWLSLLSLLLSFHLCLIQRPTEAAFLPGIQTDKVALLKFMAGITDDPFGVFQSWNDSKPFCDWTGISCSKIHPGRVISIQLDSQSLSGSISSSITNLTFLHSLLLMNNSFSGGIPPDIGMLPRLQHLNLSYNSLTGVIPLGLSNCSDLQTLGLHYNQLHGNIPSEFGSLMKLQELVLAKNNFTGSIPSSLSNISSLVKLSLLSNSIQGNIPENLGLYATNLSFLQMAQNELSGTIPPTLFNLSSLNYFSVAVNQFHGSLPLDIGTTLPNLQALLLSDNQFGGTLPSSLINASRIRLLDMSTNNIGGKVPSELGKLQDLVHLNLGSNEFEINDPDSWRFMDSLTNCSKLQVLALNRNNVSGVLPESVGNLSTNLQSLMLWTNSITGRIPSGIENLINLEQLQLSKNNFIGTIPDGIGKLTKLQDLDLFFNDLAGQLPSSIGNLTQLLHFYVSDNNLTGRIPSSLGNLQNIEAMDLSNNGFNGSIPEEILSLSSLSFFLDLSGNSLSGQLPAKVGSLKNLGIFGLSRNKLSGAIPATLGSCQQLETLLMDNNLFEGTIPASLGNIKGLQELNLSHNSLKGPIPDSLTKLHALTSLDLSFNQLSGEVYQEGVFKNATAVSLLRNDCLCGGISSLNLPPCLETSSKKTKWSRSLKIAVIVPIVAFILLLIFLSSLAFLNRRKRLRKKSPSIPSFADKYLKVSYNELFKATDGFSSSNLLGTGSFGSVYRGTLDPSGTIVAVKVFNLQQRGATKSFIAECEALRGIRHRSLIKILTACSSIDSKGNDFKALVYEFMNNGSLETWLHPKDAGFSNQLSLVQKLNIAVDVADALNYLHVNCQPPVIHCDLKPSNILLDDNMNALVGDFGLARIVSETMSISQHDSHSLMGIKGSIGYIAPEYGAGIQVSTSGDVYSYGILMLEIFTGKRPVDDMFNDGLDLREYAHMAFPDRVIKIVDPAINENNTIGINQRAQEECLVSVIRIGLACSERLARDRMSIRDVASEMHAVRNAYSKAGQSQ >DRNTG_21669.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10986722:10987423:-1 gene:DRNTG_21669 transcript:DRNTG_21669.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLHKSILQVWMQEDYQNSLRTAEDHKRVSGGTQNLWQQRV >DRNTG_02434.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000115.1:75080:76621:-1 gene:DRNTG_02434 transcript:DRNTG_02434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGPEASIYAIEGHFMSEMEDKRNPYAAKNPNEAHVFLFPFSVANIVRYLYKDGLLNFWDILRSPISDYITVISNKYPFWNRSLGADHFMVSCHDWGPYLSGANEELYDKSIRVLCNANKSEGFKPEKDVTLPEVNLPDGFLSNATTKPSSTSRSILAFFAGGAHGFIREYLMHQWQSKDKDLLVYEYLPKNLNYIEFMAKSKYCLCPSGYEVASPRIVESIFMGCVPVIISVNYSLPFSDVLDWSKFSVEIQVEKIPEIKRILEEIPVDKFKELQEGVEQAQKHFVLHRPAKRFDLLSMVFHSIWLRRLNLRLPY >DRNTG_27320.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:221365:222713:1 gene:DRNTG_27320 transcript:DRNTG_27320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDADQMLFDEDAKTSESPEPYLAVVLGGTFDRLHDGHRRLLKKSAELAKERVVVGVCTGPMLAKKKFVDLIEPVEMRIKAVEDYLKSVKPGLIVQVEPIIDPFGPSIVDEKLDAIIVRHVSITNFKLNFSFWGFFFM >DRNTG_27320.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:221365:224167:1 gene:DRNTG_27320 transcript:DRNTG_27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADQMLFDEDAKTSESPEPYLAVVLGGTFDRLHDGHRRLLKKSAELAKERVVVGVCTGPMLAKKKFVDLIEPVEMRIKAVEDYLKSVKPGLIVQVEPIIDPFGPSIVDEKLDAIIVSKETLSGGLSVNKKRAEKGLPELKVEVVDLLSGGENEEKLSSSALRRLEYERSRTSKEEHEGKPNQ >DRNTG_27320.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:223202:224167:1 gene:DRNTG_27320 transcript:DRNTG_27320.3 gene_biotype:protein_coding transcript_biotype:protein_coding HVTGCIASKETLSGGLSVNKKRAEKGLPELKVEVVDLLSGGENEEKLSSSALRRLEYERSRTSKEEHEGKPNQ >DRNTG_26424.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23930222:23933466:1 gene:DRNTG_26424 transcript:DRNTG_26424.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVLQWRPSLLPSPRASISFRTATRCISVASLPLETSAGSGGAELTARERRKLRNERRDRSSNWREDVEERLIKKPKKGKSSTSEGLNLDKLSLLGPQWWIVRVARTNAHETAERISRALVRNFPGVAFKVYYPTVREQRVLKNGSCTTKLKPICPGCIFLHCVLNKEIHDCIRECDAVGGFLGFQVGNNKRKINRPKPVGINDMEAIFQQVREEQENADQAFVELQKAHNKGPAIDSREDLNSPSSKVSRNSRKGVKSIDLLVDDSNRLLTTGASIRVLSGPFAEFTGYLKKLNRKNGKATVGFMLFGKESTLEVEIDQIVVETS >DRNTG_26424.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23930222:23933466:1 gene:DRNTG_26424 transcript:DRNTG_26424.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVLQWRPSLLPSPRASISFRTATRCISVASLPLETSAGSGGAELTARERRKLRNERRDRSSNWREDVEERLIKKPKKGKSSTSEGLNLDKLSLLGPQWWIVRVARTNAHETAERISRALVRNFPGVAFKVYYPTVREQRVLKNGSCTTKLKPICPGCIFLHCVLNKEIHDCIRECDAVGGFLGFQVGNNKRKINRPKPVGINDMEAIFQQVREEQENADQAFVELQKAHNKGPAIDSREDLNSPSSKVSRNSRKGVKSIDLLVDDSNRLLTTGASIRVLSGPFAEFTGYLKKLNRKNGKATVGFMLFGKESTLEVEIDQIVVETS >DRNTG_26424.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23930222:23932804:1 gene:DRNTG_26424 transcript:DRNTG_26424.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVLQWRPSLLPSPRASISFRTATRCISVASLPLETSAGSGGAELTARERRKLRNERRDRSSNWREDVEERLIKKPKKGKSSTSEGLNLDKLSLLGPQWWIVRVARTNAHETAERISRALVRNFPGVAFKVYYPTVREQRVLKNGSCTTKLKPICPGCIFLHCVLNKEIHDCIRECDAVGGFLGFQVGNNKRKINRPKPVGINDMEAIFQQVREEQENADQAFVELQKAHNKGPAIDSREDLNSPSSKVSRNSRKGVKSIDLLVDDSNRLLTTGASIRVLSGPFAEFTGYLKKLNRKNGKATVGFMLFGKESTLEVEIDQIVVETS >DRNTG_26424.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23930222:23931314:1 gene:DRNTG_26424 transcript:DRNTG_26424.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVLQWRPSLLPSPRASISFRTATRCISVASLPLETSAGSGGAELTARERRKLRNERRDRSSNWREDVEERLIKKPKKGKSSTSEGLNLDKLSLLGPQWWIVRVARTNAHETAERISRALVRNFPGVAFKVYYPTVREQRVLKNGSCTTKLKPICPGCIFLHCVLNKEIHDCIRECDAVGGFLGFQVGNKYVLH >DRNTG_26424.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23930222:23932804:1 gene:DRNTG_26424 transcript:DRNTG_26424.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVLQWRPSLLPSPRASISFRTATRCISVASLPLETSAGSGGAELTARERRKLRNERRDRSSNWREDVEERLIKKPKKGKSSTSEGLNLDKLSLLGPQWWIVRVARTNAHETAERISRALVRNFPGVAFKVYYPTVREQRVLKNGSCTTKLKPICPGCIFLHCVLNKEIHDCIRECDAVGGFLGFQVGNNKRKINRPKPVGINDMEAIFQQVREEQENADQAFVELQKAHNKGPAIDSREDLNSPSSKVSRNSRKGVKSIDLLVDDSNRLLTTGASIRVLSGPFAEFTGYLKKLNRKNGKATVGFMLFGKESTLEVEIDQIVVETS >DRNTG_26424.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23930222:23933466:1 gene:DRNTG_26424 transcript:DRNTG_26424.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVLQWRPSLLPSPRASISFRTATRCISVASLPLETSAGSGGAELTARERRKLRNERRDRSSNWREDVEERLIKKPKKGKSSTSEGLNLDKLSLLGPQWWIVRVARTNAHETAERISRALVRNFPGVAFKVYYPTVREQRVLKNGSCTTKLKPICPGCIFLHCVLNKEIHDCIRECDAVGGFLGFQVGNNKRKINRPKPVGINDMEAIFQQVREEQENADQAFVELQKAHNKGPAIDSREDLNSPSSKVSRNSRKGVKSIDLLVDDSNRLLTTGASIRVLSGPFAEFTGYLKKLNRKNGKATVGFMLFGKESTLEVEIDQIVVETS >DRNTG_26424.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23930222:23930659:1 gene:DRNTG_26424 transcript:DRNTG_26424.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVLQWRPSLLPSPRASISFRTATRCISVASLPLETSAGSGGAELTARERRKLRNERRDRSSNWREDVEERLIKKPKKGKSSTSEGLNLDKLSLLGPQWWIVRVARTNAHETAERISRALVRNFPGVAFK >DRNTG_26424.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23930222:23932804:1 gene:DRNTG_26424 transcript:DRNTG_26424.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVLQWRPSLLPSPRASISFRTATRCISVASLPLETSAGSGGAELTARERRKLRNERRDRSSNWREDVEERLIKKPKKGKSSTSEGLNLDKLSLLGPQWWIVRVARTNAHETAERISRALVRNFPGVAFKVYYPTVREQRVLKNGSCTTKLKPICPGCIFLHCVLNKEIHDCIRECDAVGGFLGFQVGNNKRKINRPKPVGINDMEAIFQQVREEQENADQAFVELQKAHNKGPAIDSREDLNSPSSKVSRNSRKGVKSIDLLVDDSNRLLTTGASIRVLSGPFAEFTGYLKKLNRKNGKATVGFMLFGKESTLEVEIDQIVVETS >DRNTG_26424.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23930222:23933466:1 gene:DRNTG_26424 transcript:DRNTG_26424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVLQWRPSLLPSPRASISFRTATRCISVASLPLETSAGSGGAELTARERRKLRNERRDRSSNWREDVEERLIKKPKKGKSSTSEGLNLDKLSLLGPQWWIVRVARTNAHETAERISRALVRNFPGVAFKVYYPTVREQRVLKNGSCTTKLKPICPGCIFLHCVLNKEIHDCIRECDAVGGFLGFQVGNNKRKINRPKPVGINDMEAIFQQVREEQENADQAFVELQKAHNKGPAIDSREDLNSPSSKVSRNSRKGVKSIDLLVDDSNRLLTTGASIRVLSGPFAEFTGYLKKLNRKNGKATVGFMLFGKESTLEVEIDQIVVETS >DRNTG_26424.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23930222:23933466:1 gene:DRNTG_26424 transcript:DRNTG_26424.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVLQWRPSLLPSPRASISFRTATRCISVASLPLETSAGSGGAELTARERRKLRNERRDRSSNWREDVEERLIKKPKKGKSSTSEGLNLDKLSLLGPQWWIVRVARTNAHETAERISRALVRNFPGVAFKVYYPTVREQRVLKNGSCTTKLKPICPGCIFLHCVLNKEIHDCIRECDAVGGFLGFQVGNNKRKINRPKPVGINDMEAIFQQVREEQENADQAFVELQKAHNKGPAIDSREDLNSPSSKVSRNSRKGVKSIDLLVDDSNRLLTTGASIRVLSGPFAEFTGYLKKLNRKNGKATVGFMLFGKESTLEVEIDQIVVETS >DRNTG_32945.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30934512:30937027:-1 gene:DRNTG_32945 transcript:DRNTG_32945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >DRNTG_06327.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20079691:20082776:-1 gene:DRNTG_06327 transcript:DRNTG_06327.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTADTSSFKEQLWRTVRIVVLGFLVISGVGALIEDRGISKGLGLNEEVQPSMDSSTKFNDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAAVPFFSCSGSEFEEMFVGVGARRVRDLFTAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQIMESHMSKVLKADDVDLMIIARGTPGFSGADLANLVNIAALKAAMDGAKAVSMADLEYAKDKIMMGSERKSAVISEESRRLTAYHEGGHALVAIHTDGALPVHKATIVPRGMSLGMVAQLPDKDETSISRRQMLARLDVCMGGRVAEELIFGENEVTSGASSDIQQATNPSKGNGDEIRNEQGGRYCLPQLR >DRNTG_10213.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000431.1:136473:137758:-1 gene:DRNTG_10213 transcript:DRNTG_10213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTASKIQIFPTNSSSPSSLKSKPHHIRSTPHQATLETVNKGQKQHQKNHKKTNLRE >DRNTG_28809.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28719872:28720144:1 gene:DRNTG_28809 transcript:DRNTG_28809.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQLGTTTTTTTVTAKFGGDHHHAPSEVHRHCPKMSSRTDNQLVFTVM >DRNTG_21562.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8878004:8884616:1 gene:DRNTG_21562 transcript:DRNTG_21562.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASSGNTFAKEIAIRKRISNIFNKREEDFPSLRDYNDYLEEVEDMTFNLIEGIDVAAIEAKIVKYQEENAEQIINARARKAEELAAAMKASMGNPAQAEPSDMGIGHTAQGQYAPALPGGGLAQPRPTGMQPVPVGGPHDPSHSYGAEDEETVKLRAARAGGWTVELSKKRALEEAFSSIWI >DRNTG_21562.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8878004:8884616:1 gene:DRNTG_21562 transcript:DRNTG_21562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASSGNTFAKEIAIRKRISNIFNKREEDFPSLRDYNDYLEEVEDMTFNLIEGIDVAAIEAKIVKYQEENAEQIINARARKAEELAAAMKASMGNPAQAEPSDMGIGHTAQGQYAPALPGGGLAQPRPTGMQPVPVGGPHDPSHSYGAEDEETVKLRAARAGGWTVELSKKRALEEAFSSIWI >DRNTG_21562.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8878004:8884616:1 gene:DRNTG_21562 transcript:DRNTG_21562.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASSGNTFAKEIAIRKRISNIFNKREEDFPSLRDYNDYLEEVEDMTFNLIEGIDVAAIEAKIVKYQEENAEQIINARARKAEELAAAMKASMGNPAQAEPSDMGIGHTAQGQYAPALPGGGLAQPRPTGMQPVPVGGPHDPSHSYGAEDEETVKLRAARAGGWTVELSKKRALEEAFSSIWI >DRNTG_16136.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11106640:11114773:-1 gene:DRNTG_16136 transcript:DRNTG_16136.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKERTRLIGGRSGGCTSHAHSNIEGSSNDDSAPFSNANIPTVEETMNCLGSQQPNQNVVAISNTQANYGSTQEALTIVGRDATTKPEYLSEEEYKHWKAIWDRPTFKKKQEINSKNRCSITGPPYHTGRSISNVVHGKKLDKMLTSKQYAISIESGSTLSGPTPVVDELALYIKAIGGEKKRRVYGLGSQESSYYGCSNSNVSNSTITSTMQNNEDLQ >DRNTG_09619.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:22034339:22035262:-1 gene:DRNTG_09619 transcript:DRNTG_09619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSFLVCVAMATKSCFRRRKPAQRSKGVLFPNKSCSVPNWIVDYVDDLPAMGRYAWAQTTHKWLMEDIPHAAARVQDRCAGKKTNTGYIKGCSVPLNIWFYELTGTGKKVRFGKIPRMLCYGESSYMKQATVETNLLSLEEKEFPELVLAKAEEEIFVGANRRMDAFAPEPLARRQDERVASIVRAQRRSPTFSPPRARIPRRRISTPPPLPRQR >DRNTG_18988.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22703949:22706839:-1 gene:DRNTG_18988 transcript:DRNTG_18988.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFVGVGPSRVRNLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRDQIFRIYLKKIKLDNEPSFYSERLAALTPGFAGADIANVCNEAALIAARNEESKVTMQHFEAAIDRVIGGLEKKNKVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIIPRGTAALGFAQYVPNENLLFTKEQLFDMTCMTLGGRASEQVLLGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQREDSMEMTKPYSNKTGDIIDNEVREWVAKAYKKTLELINEHKDHVTQIAELLLEKEVLHQDDLIRVLGERPFKYTEPTNYDRFKQGFENNPEDTKGAVADDDNASSSLDGGVVPA >DRNTG_04920.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8913238:8913996:1 gene:DRNTG_04920 transcript:DRNTG_04920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPYSNGFRQISQEYVNEYHRRRTHVTSIFKDHHQRGVSDTRHRTTLGSSNPYLQITIGGS >DRNTG_04920.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8903758:8913996:1 gene:DRNTG_04920 transcript:DRNTG_04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPYSNGFRQISQEYVNEYHRRRTHVTSIFKDHHQRGVSDTRHRTTLGSSNPYLQITIGGS >DRNTG_16200.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12806650:12821473:1 gene:DRNTG_16200 transcript:DRNTG_16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQFLSLKQGSLSVEEYEAEFDRLSQFASTLVSDESSRSRRFIDGLKTYIRWAIRSTRATARTLERISLPGVAQGILEESIGHSLIADPRAHHQVIEVGELMVVLHRLFSVLLVGGAIHRRSVAVQQVHVISVTVGTTLLLSVLKVRLGPRKAIGLVVHLLSSPDRLRGHDTRALQPDPSRVPRGVGQGRHLWQTNLLSLPVQQAVVGQLLRGEYLI >DRNTG_16200.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12814537:12815857:1 gene:DRNTG_16200 transcript:DRNTG_16200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERQFLSLKQGSLSVEEYEAEFDRLSQFASTLVSDESSRSRRFIDGLKTYIRWAIRSTRATARTLERISLPGVAQGILEESIGHSLIADPRAHHQVIEVGELMVVLHRLFSVLLVGGAIHRRSVAVQQVHVISVTVGTTLLLSVLKVRLGPRKAIGLVVHLLSSPDRLRGHDTRALQPDPSRVPRGVGQGRHLWQTNLLSLPVQQAVVGQLLRGEYLI >DRNTG_16200.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12806887:12821473:1 gene:DRNTG_16200 transcript:DRNTG_16200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERQFLSLKQGSLSVEEYEAEFDRLSQFASTLVSDESSRSRRFIDGLKTYIRWAIRSTRATARTLERISLPGVAQGILEESIGHSLIADPRAHHQVIEVGELMVVLHRLFSVLLVGGAIHRRSVAVQQVHVISVTVGTTLLLSVLKVRLGPRKAIGLVVHLLSSPDRLRGHDTRALQPDPSRVPRGVGQGRHLWQTNLLSLPVQQAVVGQLLRGEYLI >DRNTG_33827.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002041.1:5765:11799:1 gene:DRNTG_33827 transcript:DRNTG_33827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKIVSISRLRSNHVSIRLESGIHIPKPLPFKARTSLVLDGKISFDLIKEWWESPQLVGCGGV >DRNTG_05566.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000255.1:11301:12448:-1 gene:DRNTG_05566 transcript:DRNTG_05566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLTLEVGRGRSVSAARDGDLQEAKALLEYNHRLARYSTFGVPNSPLHYSAAQGHNEIVTLLIESGVYINLQNICGQL >DRNTG_14676.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:17127039:17132584:1 gene:DRNTG_14676 transcript:DRNTG_14676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLIFQVLFMLQMLIKHFVPNLTIGAPVIESLRKHTEAYLDCHLMVTNPLDYVEPLAKAGASGFTFHVEVSKDNWRELIQSIKAKGMRPGVSLKPGTPIEEVYPMVEDKNAVEMVLVMTVEPGFGGQKFMPEMMDKVRALRKKYPSLDIEVDGGLGPSTIEMAASAGANCIVAGSSVFGAAEPAEVISLLKKTVNEAQQNKGD >DRNTG_14676.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:17129448:17132584:1 gene:DRNTG_14676 transcript:DRNTG_14676.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNPLDYVEPLAKAGASGFTFHVEVSKDNWRELIQSIKAKGMRPGVSLKPGTPIEEVYPMVEDKNAVEMVLVMTVEPGFGGQKFMPEMMDKVRALRKKYPSLDIEVDGGLGPSTIEMAASAGANCIVAGSSVFGAAEPAEVISLLKKTVNEAQQNKGD >DRNTG_14676.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:17127039:17127270:1 gene:DRNTG_14676 transcript:DRNTG_14676.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEAKIAPSMLSSDFANLAAEAERMLQCGADWLHMDIM >DRNTG_19492.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:12304477:12308028:-1 gene:DRNTG_19492 transcript:DRNTG_19492.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHYFYSDVPIVTSYGTLPKILPNPLSSRQHKWAHVYAIDRIAFSIIGFIGEDLAINAQVQGAPEKLHATRIPRIDDCSDLLQRVESEYKVTLGYGSRRKKKKVAGLHEIDTVTSLAAQVESLSKKLDLLTSNIVSAMTTCTRSTIYYGDPKKDALKDKVGEETPPEMLKGYVPEEKSNNLNTSHSYRVAMSSRDSK >DRNTG_16929.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000857.1:46585:47578:-1 gene:DRNTG_16929 transcript:DRNTG_16929.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSFLGRKSSDPSKAEECHVWGLVADALNSMVQERVLEEEKVNTFNMPFYAASKEEVQQVIQSEGSFYIEQMQILESNWDPFDDSDDDQAFENVKSGHNVAKCIRAVLEPFLVGHFGKQAIVDQVFERYAHNVAVHLLKEKTKHIVFILALKTKDLNH >DRNTG_16929.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000857.1:47293:47578:-1 gene:DRNTG_16929 transcript:DRNTG_16929.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSFLGRKSSDPSKAEECHVWGLVADALNSMVQEVY >DRNTG_34824.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16836404:16837333:-1 gene:DRNTG_34824 transcript:DRNTG_34824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFKPRKPVTDVNGVSFKFYGRKCPCMGSRGCSHLGGLRGSGVGFRRVTPRDEFIGAVYLLFLNSRLQLFHFRSCLRSCLYRRSLCNCSFSLSTGISKEKSPAQLVGISSVCNLRLVIMAVNLVNG >DRNTG_28550.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3842030:3843332:-1 gene:DRNTG_28550 transcript:DRNTG_28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFPEPPPPPPPPHEYPYEKPFPCFLGSTSSSSPWFPLSPLPLHHEGLHDLLFSPPNTTPTPTPTPSPTPTHDLQLNIEEAINGGVSSKRRSRSLRKDRHSKIFTAQGPRDRRMRLSLEVARRFFDLQDMLAFDKPSKTVQWLLNKAKSAIKEVASISKPNCRQFSGDGSISLKSTESSASDIEDVSTISLILCLFESVERRQEREPGKEQKRRR >DRNTG_06372.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19485226:19488074:-1 gene:DRNTG_06372 transcript:DRNTG_06372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNLAEMDATAQETDNCKVMKNIDFVYGGGNIGLMGLISQTLFDGGRHVLGVIPNALMNKEIIHYVFLIYICHITGVTIGEVKPVENMHQRIVEMNLHADAFIAMSEGYGTLEELFEVITWAQLDIHSKPVGLLNINGYYNSLLSFIDQAIEEGFIKPSAHYIVVSASNAKELIEKLEDYYPCHEEVVLKLNWNSMQLGHSQNNVIST >DRNTG_25508.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1136167:1144572:1 gene:DRNTG_25508 transcript:DRNTG_25508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFAKPENALKRAEELINVGQKQAALQALHDLITSKRYRAWQKTLEKIMFKYVELCVDMRRGRFAKDGLIQYRIVCQQVNVSSLEEVIKHFMQLSSERAEQARNQAQALEDALDVEDLEADKRPEDLMLSYVSGEKGKERSDREVVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLSAPESLHLYLDTRVEQLKMATELGLWQEAFRSVEDIHGLMSMVKKSPKPSTMVIYYSKLTEIFWMSNSHLYHAYAWLKLFTLQKSYNKNLSQKDLQFIASSVLLAALAVTPYDHSYGSSHLELENEKERNLRMTSLISFIVDPKREGREVLSRASLLSELVSKGVMSCVSQEVKDLYHLLENEFFPLDLASKVQPLLAKISKVGGKLISASSLPDVHLSQYVPALEKLCTLRVLQQVSQVYQSMKIEKLSMMIPFFDFSVMEKISVDAVKYNFIAMRIDHLKGTVHFGTMDIESDSLSSHLAILADSLNKARGLIYPSVRKQSKLGDSWLGLADIVDKEHKRLLARKSIIEKRKEEHERQMLEMEREEESKRLKLQKITEEAEQKRLASEYTRREEQRIRREIEERELQEAQALLLETEKRTKKKGKRPVLEGEKVTKQVLIEMALSEQIKERQEMEKKLHKLRKTVDHFEHAKMDEQAPLVDQAYQQRLQDERILHESEQLKEIELSRQHHAGDLLEKNRLSRMLPNKVIFQSKIVGHREAEYSRLKNEREERINQHVTMRKRDREIKRKLLFYLKSEEERLTKLQEEEEARKREEAERKKREEAERKAKLDEIAERQRQREREIEEKKERERKEALLVRSSEPVARLADPVPASRPVEPVVASAAAVTNAPAPGKYVPKFRRSEPPAAPPSESSNDRWSRSDDRPPQANDRWRNDDRRSLGGGGGSRLSSSSSTWSSSRNRG >DRNTG_08207.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20634:21509:1 gene:DRNTG_08207 transcript:DRNTG_08207.12 gene_biotype:protein_coding transcript_biotype:protein_coding MCACLDNTTAICPPADSGNLCSSGSISSVGGDDYTVFRTKGVELPNKELAGYQKMGSLEDCEQSCERNCSCWGAVYNNVSGFCYSIDYPIQTLVEAGNVQKTGYFKVRTRASSNSKSKAGMVVLLTVGVLVFLAAAGFGAYRVWNQIRRRSQDGLDESTVEWPYKDLKSGSSFRSIELSESFRK >DRNTG_08207.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19579:21509:1 gene:DRNTG_08207 transcript:DRNTG_08207.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKIKLPKLLNLLLLYIIHTTSWTAAAAATSSFSQLQLINRGFSATPSQSPFQPILNEPSGVFSLGFLKVGSSQLDLAVIHLPSRQPVWRAIPASPGSWDNSVTFSFNGSLVLSDSGKGVLWSTGSVDGDTLVLLNSSNLQIQKAGEPAASVLWQSFDFPSDTIMQGQNFTSKAALFSNNQKFSMSLGFDYLALYMEFPGVAAPMYWKRTALQAKALDHPGKGPIYARVDPTGFLGLYQTEAVPVDVISFDTFNRGIPDLRRLTLESDGNLKAYYWNGSSWVLDFKAIPDSEACQLLSACGAYGLCRLEEPMCACLDNTTAICPPADSGNLCSSGSISSVGGDDYTVFRTKGVELPNKELAGYQKMGSLEDCEQSCERNCSCWGAVYNNVSGFCYSIDYPIQTLVEAGNVQKTGYFKVRTRASSNSKSKAGMVVLLTVGVLVFLAAAGFGAYRVWNQIRRRSQDGLDESTVEWPYKDLKSGSSFRSIELSESFRK >DRNTG_08207.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19495:21603:1 gene:DRNTG_08207 transcript:DRNTG_08207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKIKLPKLLNLLLLYIIHTTSWTAAAAATSSFSQLQLINRGFSATPSQSPFQPILNEPSGVFSLGFLKVGSSQLDLAVIHLPSRQPVWRAIPASPGSWDNSVTFSFNGSLVLSDSGKGVLWSTGSVDGDTLVLLNSSNLQIQKAGEPAASVLWQSFDFPSDTIMQGQNFTSKAALFSNNQKFSMSLGFDYLALYMEFPGVAAPMYWKRTALQAKALDHPGKGPIYARVDPTGFLGLYQTEAVPVDVISFDTFNRGIPDLRRLTLESDGNLKAYYWNGSSWVLDFKAIPDSEACQLLSACGAYGLCRLEEPMCACLDNTTAICPPADSGNLCSSGSISSVGGDDYTVFRTKGVELPNKELAGYQKMGSLEDCEQSCERNCSCWGAVYNNVSGFCYSIDYPIQTLVEAGNVQKTGYFKVRTRASSNSKSKAGMVVLLTVGVLVFLAAAGFGAYRVWNQIRRRSQDGLDESTVEWPYKDLKSGSSFRSIELSESFRK >DRNTG_08207.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19627:21509:1 gene:DRNTG_08207 transcript:DRNTG_08207.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKIKLPKLLNLLLLYIIHTTSWTAAAAATSSFSQLQLINRGFSATPSQSPFQPILNEPSGVFSLGFLKVGSSQLDLAVIHLPSRQPVWRAIPASPGSWDNSVTFSFNGSLVLSDSGKGVLWSTGSVDGDTLVLLNSSNLQIQKAGEPAASVLWQSFDFPSDTIMQGQNFTSKAALFSNNQKFSMSLGFDYLALYMEFPGVAAPMYWKRTALQAKALDHPGKGPIYARVDPTGFLGLYQTEAVPVDVISFDTFNRGIPDLRRLTLESDGNLKAYYWNGSSWVLDFKAIPDSEACQLLSACGAYGLCRLEEPMCACLDNTTAICPPADSGNLCSSGSISSVGGDDYTVFRTKGVELPNKELAGYQKMGSLEDCEQSCERNCSCWGAVYNNVSGFCYSIDYPIQTLVEAGNVQKTGYFKVRTRASSNSKSKAGMVVLLTVGVLVFLAAAGFGAYRVWNQIRRRSQDGLDESTVEWPYKDLKSGSSFRSIELSESFRK >DRNTG_08207.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19627:21603:1 gene:DRNTG_08207 transcript:DRNTG_08207.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKIKLPKLLNLLLLYIIHTTSWTAAAAATSSFSQLQLINRGFSATPSQSPFQPILNEPSGVFSLGFLKVGSSQLDLAVIHLPSRQPVWRAIPASPGSWDNSVTFSFNGSLVLSDSGKGVLWSTGSVDGDTLVLLNSSNLQIQKAGEPAASVLWQSFDFPSDTIMQGQNFTSKAALFSNNQKFSMSLGFDYLALYMEFPGVAAPMYWKRTALQAKALDHPGKGPIYARVDPTGFLGLYQTEAVPVDVISFDTFNRGIPDLRRLTLESDGNLKAYYWNGSSWVLDFKAIPDSEACQLLSACGAYGLCRLEEPMCACLDNTTAICPPADSGNLCSSGSISSVGGDDYTVFRTKGVELPNKELAGYQKMGSLEDCEQSCERNCSCWGAVYNNVSGFCYSIDYPIQTLVEAGNVQKTGYFKVRTRASSNSKSKAGMVVLLTVGVLVFLAAAGFGAYRVWNQIRRRSQDGLDESTVEWPYKDLKSGSSFRSIELSESFRK >DRNTG_08207.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19579:21603:1 gene:DRNTG_08207 transcript:DRNTG_08207.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKIKLPKLLNLLLLYIIHTTSWTAAAAATSSFSQLQLINRGFSATPSQSPFQPILNEPSGVFSLGFLKVGSSQLDLAVIHLPSRQPVWRAIPASPGSWDNSVTFSFNGSLVLSDSGKGVLWSTGSVDGDTLVLLNSSNLQIQKAGEPAASVLWQSFDFPSDTIMQGQNFTSKAALFSNNQKFSMSLGFDYLALYMEFPGVAAPMYWKRTALQAKALDHPGKGPIYARVDPTGFLGLYQTEAVPVDVISFDTFNRGIPDLRRLTLESDGNLKAYYWNGSSWVLDFKAIPDSEACQLLSACGAYGLCRLEEPMCACLDNTTAICPPADSGNLCSSGSISSVGGDDYTVFRTKGVELPNKELAGYQKMGSLEDCEQSCERNCSCWGAVYNNVSGFCYSIDYPIQTLVEAGNVQKTGYFKVRTRASSNSKSKAGMVVLLTVGVLVFLAAAGFGAYRVWNQIRRRSQDGLDESTVEWPYKDLKSGSSFRSIELSESFRK >DRNTG_08207.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19495:20559:1 gene:DRNTG_08207 transcript:DRNTG_08207.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKIKLPKLLNLLLLYIIHTTSWTAAAAATSSFSQLQLINRGFSATPSQSPFQPILNEPSGVFSLGFLKVGSSQLDLAVIHLPSRQPVWRAIPASPGSWDNSVTFSFNGSLVLSDSGKGVLWSTGSVDGDTLVLLNSSNLQIQKAGEPAASVLWQSFDFPSDTIMQGQNFTSKAALFSNNQKFSMSLGFDYLALYMEFPGVAA >DRNTG_08207.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19627:20559:1 gene:DRNTG_08207 transcript:DRNTG_08207.11 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKIKLPKLLNLLLLYIIHTTSWTAAAAATSSFSQLQLINRGFSATPSQSPFQPILNEPSGVFSLGFLKVGSSQLDLAVIHLPSRQPVWRAIPASPGSWDNSVTFSFNGSLVLSDSGKGVLWSTGSVDGDTLVLLNSSNLQIQKAGEPAASVLWQSFDFPSDTIMQGQNFTSKAALFSNNQKFSMSLGFDYLALYMEFPGVAA >DRNTG_08207.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19762:21509:1 gene:DRNTG_08207 transcript:DRNTG_08207.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKIKLPKLLNLLLLYIIHTTSWTAAAAATSSFSQLQLINRGFSATPSQSPFQPILNEPSGVFSLGFLKVGSSQLDLAVIHLPSRQPVWRAIPASPGSWDNSVTFSFNGSLVLSDSGKGVLWSTGSVDGDTLVLLNSSNLQIQKAGEPAASVLWQSFDFPSDTIMQGQNFTSKAALFSNNQKFSMSLGFDYLALYMEFPGVAAPMYWKRTALQAKALDHPGKGPIYARVDPTGFLGLYQTEAVPVDVISFDTFNRGIPDLRRLTLESDGNLKAYYWNGSSWVLDFKAIPDSEACQLLSACGAYGLCRLEEPMCACLDNTTAICPPADSGNLCSSGSISSVGGDDYTVFRTKGVELPNKELAGYQKMGSLEDCEQSCERNCSCWGAVYNNVSGFCYSIDYPIQTLVEAGNVQKTGYFKVRTRASSNSKSKAGMVVLLTVGVLVFLAAAGFGAYRVWNQIRRRSQDGLDESTVEWPYKDLKSGSSFRSIELSESFRK >DRNTG_08207.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20634:21603:1 gene:DRNTG_08207 transcript:DRNTG_08207.10 gene_biotype:protein_coding transcript_biotype:protein_coding MCACLDNTTAICPPADSGNLCSSGSISSVGGDDYTVFRTKGVELPNKELAGYQKMGSLEDCEQSCERNCSCWGAVYNNVSGFCYSIDYPIQTLVEAGNVQKTGYFKVRTRASSNSKSKAGMVVLLTVGVLVFLAAAGFGAYRVWNQIRRRSQDGLDESTVEWPYKDLKSGSSFRSIELSESFRK >DRNTG_08207.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19762:20559:1 gene:DRNTG_08207 transcript:DRNTG_08207.13 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKIKLPKLLNLLLLYIIHTTSWTAAAAATSSFSQLQLINRGFSATPSQSPFQPILNEPSGVFSLGFLKVGSSQLDLAVIHLPSRQPVWRAIPASPGSWDNSVTFSFNGSLVLSDSGKGVLWSTGSVDGDTLVLLNSSNLQIQKAGEPAASVLWQSFDFPSDTIMQGQNFTSKAALFSNNQKFSMSLGFDYLALYMEFPGVAA >DRNTG_08207.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19495:21509:1 gene:DRNTG_08207 transcript:DRNTG_08207.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKIKLPKLLNLLLLYIIHTTSWTAAAAATSSFSQLQLINRGFSATPSQSPFQPILNEPSGVFSLGFLKVGSSQLDLAVIHLPSRQPVWRAIPASPGSWDNSVTFSFNGSLVLSDSGKGVLWSTGSVDGDTLVLLNSSNLQIQKAGEPAASVLWQSFDFPSDTIMQGQNFTSKAALFSNNQKFSMSLGFDYLALYMEFPGVAAPMYWKRTALQAKALDHPGKGPIYARVDPTGFLGLYQTEAVPVDVISFDTFNRGIPDLRRLTLESDGNLKAYYWNGSSWVLDFKAIPDSEACQLLSACGAYGLCRLEEPMCACLDNTTAICPPADSGNLCSSGSISSVGGDDYTVFRTKGVELPNKELAGYQKMGSLEDCEQSCERNCSCWGAVYNNVSGFCYSIDYPIQTLVEAGNVQKTGYFKVRTRASSNSKSKAGMVVLLTVGVLVFLAAAGFGAYRVWNQIRRRSQDGLDESTVEWPYKDLKSGSSFRSIELSESFRK >DRNTG_08207.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19579:20559:1 gene:DRNTG_08207 transcript:DRNTG_08207.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKIKLPKLLNLLLLYIIHTTSWTAAAAATSSFSQLQLINRGFSATPSQSPFQPILNEPSGVFSLGFLKVGSSQLDLAVIHLPSRQPVWRAIPASPGSWDNSVTFSFNGSLVLSDSGKGVLWSTGSVDGDTLVLLNSSNLQIQKAGEPAASVLWQSFDFPSDTIMQGQNFTSKAALFSNNQKFSMSLGFDYLALYMEFPGVAA >DRNTG_07776.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1075818:1077700:1 gene:DRNTG_07776 transcript:DRNTG_07776.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVFKPRKTKIFVFGFMFVSIACTAYPWINNFFTSSSSSSIHYRSQFSSIFSYIFPNSSLVSTVGSSGSGLTVDFKQKDGILVKNLGKKSADNKKGGSLNERNMNGSGVTVNLIKSLIECDLFQGKWVKDESYPLYIEGSCPHIYEAFNCFLNGRLDKSYQKLRWQPNECNIPRLNGSDMLERLRDKRLAFVGDSLNRNMWESLVCVLRNSVKDKNNVVEISGRREFKTEGFYSFLFKIMVARSSSFNRRF >DRNTG_07776.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1075818:1077700:1 gene:DRNTG_07776 transcript:DRNTG_07776.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVFKPRKTKIFVFGFMFVSIACTAYPWINNFFTSSSSSSIHYRSQFSSIFSYIFPNSSLVSTVGSSGSGLTVDFKQKDGILVKNLGKKSADNKKGGSLNERNMNGSGVTVNLIKSLIECDLFQGKWVKDESYPLYIEGSCPHIYEAFNCFLNGRLDKSYQKLRWQPNECNIPRLNGSDMLERLRDKRLAFVGDSLNRNMWESLVCVLRNSVKDKNNVVEISGRREFKTEGFYSFLFKIMVARSSSFNRRF >DRNTG_07776.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1075818:1077700:1 gene:DRNTG_07776 transcript:DRNTG_07776.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVFKPRKTKIFVFGFMFVSIACTAYPWINNFFTSSSSSSIHYRSQFSSIFSYIFPNSSLVSTVGSSGSGLTVDFKQKDGILVKNLGKKSADNKKGGSLNERNMNGSGVTVNLIKSLIECDLFQGKWVKDESYPLYIEGSCPHIYEAFNCFLNGRLDKSYQKLRWQPNECNIPRLNGSDMLERLRDKRLAFVGDSLNRNMWESLVCVLRNSVKDKNNVVEISGRREFKTEGFYSFLFKVVLLLVTNIKFLFFGFLVHCLTLSV >DRNTG_32797.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12296279:12297424:-1 gene:DRNTG_32797 transcript:DRNTG_32797.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAEARGYWGLGGRACDTCHGSPALLYCRADSAFLCGACDSRVHAANRLASRHERVWVCEVCEQAPAVVTCKADAAALCSSCDADIHSANPLARRHDRSPIIPFFDTPSSAAAAAATAPAGTLFKPSDDDDENDAAVDEAEAASWILPNPNPKSTDLFFADVDPYLDLEYTDSVVPVHTKPIPPPIICADHGCIELDFTRSKASFSTFSRSVSSSEVGVVPDGTTMADVTNPYSGAGKVSTALDREARVMRYREKRKNRRFEKTIRYASRKAYAETRPRIKGRFAKRSEIEPEVDHLFSAVSAAAALIADPGYGVVPSF >DRNTG_29149.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5325699:5326392:1 gene:DRNTG_29149 transcript:DRNTG_29149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPMPLGTTTLPPKLPWPHLSRQSRTLSLVTRCESSGGHAELQIGSPVIIVEAPAALKTAAYMPSLRVNTGQIKTGDVGRIVARKLKDVWAVRLAIGTYLLDGKHFKPLEIDE >DRNTG_27825.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20167457:20171576:-1 gene:DRNTG_27825 transcript:DRNTG_27825.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGRGSRKLVGSDTRTWFHSEPTSKPKKSACWSTITSPMVVFSLSFTVQEQLGAGKPLHWTSCLKIAEDVATGLLYLHTSSPPMLHGNLKSSNVLLGPDFESCLTDYSLIPNLHPPISDDPLPSSSLFYRAPECRHNSTSAKNFTHLSDMFSFGVLLLELLTGKTPFQDLVEQHGADIARWVRSVREEEMESGDETVAVSGNEGSEEKLGALVNVAMACVSVAPERRPGAKEVLKMIREARAEALVSSNSSDHSPARWSDTVQSLPRELGSDHSERD >DRNTG_27825.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20169999:20171576:-1 gene:DRNTG_27825 transcript:DRNTG_27825.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSPPLLLLLPLFLFPHLTQSTDADALLAFKLAVDPHNSLPWRRATITDLCSPSSPWLGVKECSNDGRVTKLVLEFLNLTGVIPIDALTPLAELRVLSLKSNSFTGLIPDLSHLSNLKSLYLNFNRFSGHIPSKIAELHRLKVIVLSDNLFSGDIPPSLTTIPRLYVMLLQNNRLSGTIPPFNQSNLRFFNVSGNALYGEIPTTRSLSRFNLSSFSNNPSLCGAAIKLACSQTPLASPSEIPFIASSPLSPSTNKRKKNNKKKLIGIIAGSTAGALLLAVLLIILLRRILKSNNNNQARRARSKSTSTSAAPEIVVAGGGGGGDEGGPSGEPGKRGEVGKRGFAWESEGLGKLVFCGGNGEEMYSLEDLLRASAETLGRGTTGSTYKAVMETGFIVTVKRLKDSGFPGFG >DRNTG_27825.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20167791:20168834:-1 gene:DRNTG_27825 transcript:DRNTG_27825.7 gene_biotype:protein_coding transcript_biotype:protein_coding MYMQHDVLNSMYQLTPSHDVEQVQEQLGAGKPLHWTSCLKIAEDVATGLLYLHTSSPPMLHGNLKSSNVLLGPDFESCLTDYSLIPNLHPPISDDPLPSSSLFYRAPECRHNSTSAKNFTHLSDMFSFGVLLLELLTGKTPFQDLVEQHGADIARWVRSVREEEMESGDETVAVSGNEGSEEKLGALVNVAMACVSVAPERRPGAKEVLKMIREARAEALVSSNSSDHSPARWSDTVQSLPRELGSDHSERD >DRNTG_27825.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20167624:20171629:-1 gene:DRNTG_27825 transcript:DRNTG_27825.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGRGSRKLVGSDTRTWFHSEPTSKPKKSACWSTITSPMVVFSLSFTVQEQLGAGKPLHWTSCLKIAEDVATGLLYLHTSSPPMLHGNLKSSNVLLGPDFESCLTDYSLIPNLHPPISDDPLPSSSLFYRAPECRHNSTSAKNFTHLSDMFSFGVLLLELLTGKTPFQDLVEQHGADIARWVRSVREEEMESGDETVAVSGNEGSEEKLGALVNVAMACVSVAPERRPGAKEVLKMIREARAEALVSSNSSDHSPARWSDTVQSLPRELGSDHSERD >DRNTG_27825.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20167624:20171576:-1 gene:DRNTG_27825 transcript:DRNTG_27825.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGRGSRKLVGSDTRTWFHSEPTSKPKKSACWSTITSPMVVFSLSFTVQEQLGAGKPLHWTSCLKIAEDVATGLLYLHTSSPPMLHGNLKSSNVLLGPDFESCLTDYSLIPNLHPPISDDPLPSSSLFYRAPECRHNSTSAKNFTHLSDMFSFGVLLLELLTGKTPFQDLVEQHGADIARWVRSVREEEMESGDETVAVSGNEGSEEKLGALVNVAMACVSVAPERRPGAKEVLKMIREARAEALVSSNSSDHSPARWSDTVQSLPRELGSDHSERD >DRNTG_27825.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20167791:20171576:-1 gene:DRNTG_27825 transcript:DRNTG_27825.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGRGSRKLVGSDTRTWFHSEPTSKPKKSACWSTITSPMVVFSLSFTVQEQLGAGKPLHWTSCLKIAEDVATGLLYLHTSSPPMLHGNLKSSNVLLGPDFESCLTDYSLIPNLHPPISDDPLPSSSLFYRAPECRHNSTSAKNFTHLSDMFSFGVLLLELLTGKTPFQDLVEQHGADIARWVRSVREEEMESGDETVAVSGNEGSEEKLGALVNVAMACVSVAPERRPGAKEVLKMIREARAEALVSSNSSDHSPARWSDTVQSLPRELGSDHSERD >DRNTG_27825.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20167457:20171629:-1 gene:DRNTG_27825 transcript:DRNTG_27825.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGRGSRKLVGSDTRTWFHSEPTSKPKKSACWSTITSPMVVFSLSFTVQEQLGAGKPLHWTSCLKIAEDVATGLLYLHTSSPPMLHGNLKSSNVLLGPDFESCLTDYSLIPNLHPPISDDPLPSSSLFYRAPECRHNSTSAKNFTHLSDMFSFGVLLLELLTGKTPFQDLVEQHGADIARWVRSVREEEMESGDETVAVSGNEGSEEKLGALVNVAMACVSVAPERRPGAKEVLKMIREARAEALVSSNSSDHSPARWSDTVQSLPRELGSDHSERD >DRNTG_27780.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001407.1:1:4222:1 gene:DRNTG_27780 transcript:DRNTG_27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHKKAEMGRQADAYIALPGFINPPALQIIISASNAKELLEKLEGIFPTA >DRNTG_33068.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:374970:376342:-1 gene:DRNTG_33068 transcript:DRNTG_33068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPNDGSGVAKATDGENSAATKIPKEFISRSSISSNLHSQAISYAKLAESPTVKSGVLEDVGDVEKLKGGDGLLAILPVSAETAGLLPKRNDEAKGSDVVLEAILEAEVARNAQQFFSQPQNQIPKE >DRNTG_30167.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16570516:16571988:-1 gene:DRNTG_30167 transcript:DRNTG_30167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTTAGLAALTCIVPDGKDDSSSDSSSSTSPLCWVLCHARDKDPSGAASHYHPIKYR >DRNTG_25855.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8801903:8803508:-1 gene:DRNTG_25855 transcript:DRNTG_25855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDKLHLLFFPLMSPGHFIPMVDMARLFSSFPNVHCSFITTPANPITISAVNVITIPFPDPSITGLAVGQENLSTVPTSGFTTFTTALFHFRDPITTLLHDLRPDALISDSLFPWTAAVARDLHIPRIIFHGAGAFPLYVSSKVLSQFPIQTPSFSIAGQPHEIHLHKDGLPELFSNFDMLRQLGEAEFTSYGVVINTFYEMEPSYVDYYKTNTKAWCVGPLSEFGREGRVEEDHEVLSWLDNQPEGSVIYVCFGSLCHFTAAELREIAVGLEKSGERFVWVVRKEFEEDEVKEEEWLPEGFEKRVEGRGMMIRGWVPQVKVLRRAAVGWFVTHCGWNSLQEGVVAGVGLVTWPLFHEQFVNQELGRRWL >DRNTG_24480.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18879736:18881205:-1 gene:DRNTG_24480 transcript:DRNTG_24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMRRRTPLEHLELERASTKMPKEDALSIYELTLAKLRQGSRRAFEPSMKASSPDNLSASPDNPQQICDDPSTKNLKLIDSHSGE >DRNTG_24480.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18879736:18880396:-1 gene:DRNTG_24480 transcript:DRNTG_24480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKASSPDNLSASPDNPQQICDDPSTKNLKLIDSHSGE >DRNTG_11942.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:19029882:19030362:-1 gene:DRNTG_11942 transcript:DRNTG_11942.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSSEFSQIFGISKKKITKQHPKELTTDRIRPRSPLSQSLLLLLVAPPMAAERERERERERERERGAMDGG >DRNTG_11942.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:19029882:19030979:-1 gene:DRNTG_11942 transcript:DRNTG_11942.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFLLRAPIVTDVPLPSSSSNGNREIYEKKIQTHRSHPPSIPPFTVASPPPRSSSNGGRERERERERERERERSNGWR >DRNTG_11942.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:19029882:19030362:-1 gene:DRNTG_11942 transcript:DRNTG_11942.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFLPAPPMATERYMKRRFKPTDRIRPRSPLSQSLLLLLVAPPMAAERERERERERERERGAMDGG >DRNTG_11942.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:19029882:19030362:-1 gene:DRNTG_11942 transcript:DRNTG_11942.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSSEFSQIFGISKKKITKQHPKELTTDRIRPRSPLSQSLLLLLVAPPMAAERERERERERERERGAMDGG >DRNTG_12178.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4879673:4884740:1 gene:DRNTG_12178 transcript:DRNTG_12178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDESSLRKSLESVELMMKLISKCSGLQKSGCLSQIRQENPLFIVKLRGIYNLSLPLPHHARNKGHGKSGRLQTYIVHVQRPRNDELLSNEDVEDWHRSFLPNTTLDTGEPRLVYSYCYAMSGFAARLTVEEVKALEPMEGFLRAWPSKKVSFFTTYTPGFLGLSRWDGLWVGSNMGAGVIIGVVDTGITPSHASFMDDTSMPPPPTKWKGTCGFNNKTLCNNKLIGAFASRGTPVDSKRNGHGTHVAGIAAGNFVANASVLGLATGTASGTAPKAHLAMYKVSTTGALVASIDRAIQDGVDILSISLGNRAPSIFNDDDYAIATFAAIRKNIFVCMAAANSGPYPETIIHGAPWQMTVGASSHDRRIISTVRLGNGVELEGQSGYQPSSSNNTTASLVFPGGQGQNGTLGCKKDSFDNVDVQGKIVLCMIVVGRFRDMSINVKNAGGVGIIVLNSFVEGSTTYSDNHVIPAVHINYTDTRKVVKYFNNFSSTATATATILFNGTKFGARPSPSVPYFSSRGPFTFNGGILKPDILGPGVNILSAWPVNPGPDPNIGPSHLHFNFLSGTSMATPHLSGIVALLKSTHKNWSPAAIRSAIMTTADRFDLDGNPLLDDYEFQNVSANFFAMGSGQVNPIAANDPGLIYDITPDNYVQYLCGLGLNDTAITVITGNRVQCSNVSSILPENLNYPSVSIYLDPATNKSVTRVLTNVGNADEVYNIKVEEPKGVSVVVSPPQLKFTSVGQKKNITIQFESKGMPLTKDNKLEGQLILESPKHFVRSPISVTII >DRNTG_19688.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001040.1:43492:43827:-1 gene:DRNTG_19688 transcript:DRNTG_19688.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKAPSAAQEPSPVHMFSPSGSYNYFERLESAVGVLRTDLTETPSASPVVPIDPPVSSSPVAAAIPPIIPDTDA >DRNTG_31692.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14109672:14119266:-1 gene:DRNTG_31692 transcript:DRNTG_31692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADDPTIIIAFVVVCSHLDGRAKARQSLPRKRFKISLRDRIMMWHAVADQDEEVKTKSEDGDAKMAGDGQKAGRPKRDRIPTSDGQKAGRPKRDRIPTSMTRLREYVITPLVFSKNTGEAQWCLLPLIISRWELLVFLGEARPACSFHGRAQAQHGGSMTGSSQENDDQPSSHELRRSRVPNLACMKVVVTLAELCSSERNKPVKAAGIYQVDGIITLAVQVKAITKRLDNMQSISQALGPPCDGWGYHCDPSSSFTSDIGYEEMMRNTNAIVKNLEHHMAQMLKIIEERLSVSLPTNMEVNPKESLKAITLRSGNQLSSPIVERAFMKDELSKPLRDDTPEAEPEELVEE >DRNTG_19967.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:395504:396197:-1 gene:DRNTG_19967 transcript:DRNTG_19967.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPMFIPTPGFYPNPIDRRTFDYSPYKFWGGLSSPSAENRTSRNGQIGPSFKFYPTTN >DRNTG_20571.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8468794:8472952:1 gene:DRNTG_20571 transcript:DRNTG_20571.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNNNSDLGKPTIISYNGASSDYPDCTDLAYNQAVLDGADFIDCPVQMTKDGVPICMSSIDLIQVTTVTTSAFRARISTLPELQAATGIFVFNFTWEEIHNLKPVISSPEINYGMVRNPRFKYSGQFMKLLDFLAFAKDKALSGVTIKINNAAFLVEKLGFDVVNEVINALNSTGYNNLSTQQVMIQSNSSSVLMKFKQQTKYNLSYVVHESISNADNSSIADIANFAHSVAIDKKSIFLENYNYIIDQTQVVKRLKSAGLAVYVYVLQNEFVTHFWDFFMDPYVEINSYVNISGVDGIITDSPRTTKTYRRNTCAKSGGFPNYMEALGVGTLWTLIPPVVLPPAVAPFPVLDAADVLESPLPSVKGAKTGPSTSPSPPTAPAPSSSNVHLNSVALISLSVIMMKLTLW >DRNTG_20571.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8468794:8472952:1 gene:DRNTG_20571 transcript:DRNTG_20571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMSSFFSFLIAFLLFLSLVTAQLAKPSSWQTLSGSAPLVVAKGGFSGIFPDSSSAAYELAMMSSKTDTILWCDVRLTKDGFGICLPDIKLDNCTNIAYVFPNGKNKYIINGVLTKGWFSVDYALKDLANVGLVQAIYSRTEKFDLAYGIFGVEDVVALKPPGLWLNIQHDAFYSQHLLNMTRYILSVSKNVTVNYVSSPEVDFLRNLVREFRGTKTKLVFRFLEYDDTEPTMNQKYSSLLKNLTFIKTFASGILVPKEYIWNATNEPYLLPHTSVVTDAHKVGLEVFASGFHNDAIIPFNYTYDPLAEYLNYVDNGDFSVDGVLTDHPITSSEAIDCYSHMDKNNNSDLGKPTIISYNGASSDYPDCTDLAYNQAVLDGADFIDCPVQMTKDGVPICMSSIDLIQVTTVTTSAFRARISTLPELQAATGIFVFNFTWEEIHNLKPVISSPEINYGMVRNPRFKYSGQFMKLLDFLAFAKDKALSGVTIKINNAAFLVEKLGFDVVNEVINALNSTGYNNLSTQQVMIQSNSSSVLMKFKQQTKYNLSYVVHESISNADNSSIADIANFAHSVAIDKKSIFLENYNYIIDQTQVVKRLKSAGLAVYVYVLQNEFVTHFWDFFMDPYVEINSYVNISGVDGIITDSPRTTKTYRRNTCAKSGGFPNYMEALGVGTLWTLIPPVVLPPAVAPFPVLDAADVLESPLPSVKGAKTGPSTSPSPPTAPAPSSSNVHLNSVALISLSVIMMKLTLW >DRNTG_20571.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8468794:8472952:1 gene:DRNTG_20571 transcript:DRNTG_20571.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMSSFFSFLIAFLLFLSLVTAQLAKPSSWQTLSGSAPLVVAKGGFSGIFPDSSSAAYELAMMSSKTDTILWCDVRLTKDGFGICLPDIKLDNCTNIAYVFPNGKNKYIINGVLTKGWFSVDYALKDLANVGCKFFNLSYKPKFSVSYFENADYSKFKFLLMNYDLYIDNTLLMQAVVQAIYSRTEKFDLAYGIFGVEDVVALKPPGLWLNIQHDAFYSQHLLNMTRYILSVSKNVTVNYVSSPEVDFLRNLVREFRGTKTKLVFRFLEYDDTEPTMNQKYSSLLKNLTFIKTFASGILVPKEYIWNATNEPYLLPHTSVVTDAHKVGLEVFASGFHNDAIIPFNYTYDPLAEYLNYVDNGDFSVDGVLTDHPITSSEAIDCYSHMDKNNNSDLGKPTIISYNGASSDYPDCTDLAYNQAVLDGADFIDCPVQMTKDGVPICMSSIDLIQVTTVTTSAFRARISTLPELQAATGIFVFNFTWEEIHNLKPVISSPEINYGMVRNPRFKYSGQFMKLLDFLAFAKDKALSGVTIKINNAAFLVEKLGFDVVNEVINALNSTGYNNLSTQQVMIQSNSSSVLMKFKQQTKYNLSYVVHESISNADNSSIADIANFAHSVAIDKKSIFLENYNYIIDQTQVVKRLKSAGLAVYVYVLQNEFVTHFWDFFMDPYVEINSYVNISGVDGIITDSPRTTKTYRRNTCAKSGGFPNYMEALGVGTLWTLIPPVVLPPAVAPFPVLDAADVLESPLPSVKGAKTGPSTSPSPPTAPAPSSSNVHLNSVALISLSVIMMKLTLW >DRNTG_20144.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15900190:15900666:1 gene:DRNTG_20144 transcript:DRNTG_20144.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLDIKSVITDPFSSYTSWNKSSVDCCSWYGVHCSPTTKHVNRLVVTFAWESNRTLNVSLFHPFRELRSLILSSNDFNGCIPSDCFQSLAKLDNLRHLDLSSNKFYFINVSSATLKLSKLRYLDLSYNKLNE >DRNTG_10080.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26555607:26559360:-1 gene:DRNTG_10080 transcript:DRNTG_10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSVEQFQFSGNLDFMQFLPSNNEKNFCFGSLVPPNATAADAALGEGDLVDPPPENFPEAMADDDSDEDIDVDELERRMWKDRMRLRRLKEQQQNKSKEQGDAAKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVSGASDNLRGWWKEKVRFDRNGPAAIAKYQADNAIPGVNNEMNSGSLSPHSLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVAPPWWPNGQEDWWPQLGFTKEQAPPPYKKPHDLKKAWKVSVLTAVIKHMSPDIEKIRRLVRQSKCLQDKMTAKESATWLAVLKQEETLYLQLHPDACPPPSSCSAINGAISFSGSCSEYDVDGVDDEKNDDLIVHKPLDSPSFNLVAPNVVKEENNIEFIQKRNAPAEPEIGMNGNIFTCENERCPHHDYRYGFFDRNARNNHHYVCKFRNNFPSGVPIAGFPMSDNKSSVFSMQYAQPKPNAAGSSVNPINIADLGIPLDGQKSINELMSLYETNINPNRSPNQVDNHFYGGNTYQEPNNNSSNTMQSTNLFACDDIQFPQPFDQPNDMNGEFRFSSPFNLSAIDFAEAYNRQIGDSLPKAGCPWLGLLIPSIKTWIKCILFLVFHSCYAQMEYKIRIAETTSL >DRNTG_31441.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:722103:725423:1 gene:DRNTG_31441 transcript:DRNTG_31441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWTEILPVSLLLLLSSLLLIVALSVAVFLFEFLRKIGCKHSLERSATSDAFFEDPNSLKKVPCPSIFDPAEKYISLIIPAFNEEHRLPGALIETLNYLQQRSASNKSFTYEVIVVDDGSSDKTLKVGFEFVRKYNIDNFRVICLGQNQGKGEAIRKGMLHSRGELLLMLDADGATKITDLEKLESQIFESVEKLKRCNVSSATTTRLIGNLSDYEVAAFGSRAHLEKQALATRKWYRNFLMKGFHLVVLLTAGPGIRDTQCGFKMFTRAAARKLFTNVRLKRWCFDVEIVYLCKRLGIPMIEVSVTWSEIPGSKVRLTSIIHMLFELLLIRLGYGLGIWKIYS >DRNTG_14329.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12663676:12664862:-1 gene:DRNTG_14329 transcript:DRNTG_14329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSLDFDDTLYFLDTNDEIIDEYMQEMFNPDPYEGLLDQEVENEEVLMFSLKEEIPSTPGIMKKVLRKMKRVRRRHKKHSKAVRDAQELDKVDESLLSEKAQGRGLAPVGDPVINARAWVFSVSPCGSIQKSSPPSREYIGACICPCELPSNDPRPYGIFTRACETLSDNSRLDRESTGACLHLWVGRTSVGIFPVRPCICV >DRNTG_00431.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21912875:21916497:1 gene:DRNTG_00431 transcript:DRNTG_00431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKSLLKPKQTPQQQLREWQRRLRQECRVIERQIRDVEREEKTVQKAIKEAAKRNDMTSAKSLAKEIVRSRKAVNRLHENKAQLNSISMHLGEIVATARTVGHLSKSAEVMKLVNGLMKAPEVAATMQEFSKEMTKAGVIEEMVNDAVDTALDSEDIEEEIEEEVDKVLAAIAGETASQLPDAVRREKVQQPVATEAASEEEAIAEGVDDEGELEEIRARLAKVRS >DRNTG_06201.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25173641:25174918:1 gene:DRNTG_06201 transcript:DRNTG_06201.17 gene_biotype:protein_coding transcript_biotype:protein_coding MLQILLLNSKAWSATGYCFENSTMEPLPETDMQPVVKVLFSDLNATAGANSGEIKDWSTRNDAEELHMLTHQIKELTESLNSAQRRFPSSCSYLQGMSLSYMER >DRNTG_06201.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25171727:25174878:1 gene:DRNTG_06201 transcript:DRNTG_06201.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVNWQEAADNWFGGCCCSFGGISEKLVSEYTNAYSCVEGTCLVDVASLIICKDDLEGYTFQQVSDGFSECSSKSHLVTSDGFTEFVKEVGGENSQEDTCEYSEVGAESASHLVNGPSECKDDHSPCALLSSADHGVESQEALCVSVEITNVDQNQSSDYQGASSMEFMNLDLSSCTGNIEKPYPYSSADAFPSAGECHCFVDKTQDMPNKNSMVLCSKKNSMPMEGQACLRNGSLGGGFLIKTSGLSADVEWVEFSCKECSSPVGSFPSLKDTKVPVDGGIRLFKCYVSTAVPTCGHNDIFRKHTIQRVFANLLHETAADELTFRTVVKDLRTKCPMLQILLLNSKAWSATGYCFENSTMEPLPETDMQPVVKVLFSDLNATAGANSGEIKDWSTRNDAEELHMLTHQIKELTESLNSAQRRFPSSCSYLQGMSLSYMER >DRNTG_06201.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25172396:25175087:1 gene:DRNTG_06201 transcript:DRNTG_06201.10 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVNWQEAADNWFGGCCCSFGGISEKLVSEYTNAYSCVEGTCLVDVASLIICKDDLEGYTFQQVSDGFSECSSKSHLVTSDGFTEFVKEVGGENSQEDTCEYSEVGAESASHLVNGPSECKDDHSPCALLSSADHGVESQEALCVSVEITNVDQNQSSDYQGASSMEFMNLDLSSCTGNIEKPYPYSSADAFPSAGECHCFVDKTQDMPNKNSMVLCSKKNSMPMEGQACLRNGSLGGGFLIKTSGLSADVEWVEFSCKECSSPVGSFPSLKDTKVPVDGGIRLFKCYVSTAVPTCGHNDIFRKHTIQRVFANLLHETAADELTFRTVVKDLRTKCPMLQILLLNSKAWSATGYCFENSTMEPLPETDMQPVVKVLFSDLNATAGANSGEIKDWSTRNDAEELHMLTHQIKELTESLNSAQRRFPSSCSYLQGMSLSYMER >DRNTG_06201.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25171727:25174878:1 gene:DRNTG_06201 transcript:DRNTG_06201.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVNWQEAADNWFGGCCCSFGGISEKLVSEYTNAYSCVEGTCLVDVASLIICKDDLEGYTFQQVSDGFSECSSKSHLVTSDGFTEFVKEVGGENSQEDTCEYSEVGAESASHLVNGPSECKDDHSPCALLSSADHGVESQEALCVSVEITNVDQNQSSDYQGASSMEFMNLDLSSCTGNIEKPYPYSSADAFPSAGECHCFVDKTQDMPNKNSMVLCSKKNSMPMEGQACLRNGSLGGGFLIKTSGLSADVEWVEFSCKECSSPVGSFPSLKDTKVPVDGGIRLFKCYVSTAVPTCGHNDIFRKHTIQRVFANLLHETAADELTFRTVVKDLRTKCPMLQILLLNSKAWSATGYCFENSTMEPLPETDMQPVVKVLFSDLNATAGANSGEIKDWSTRNDAEELHMLTHQIKELTESLNSAQRRFPSSCSYLQGMSLSYMER >DRNTG_06201.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25172396:25174918:1 gene:DRNTG_06201 transcript:DRNTG_06201.12 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVNWQEAADNWFGGCCCSFGGISEKLVSEYTNAYSCVEGTCLVDVASLIICKDDLEGYTFQQVSDGFSECSSKSHLVTSDGFTEFVKEVGGENSQEDTCEYSEVGAESASHLVNGPSECKDDHSPCALLSSADHGVESQEALCVSVEITNVDQNQSSDYQGASSMEFMNLDLSSCTGNIEKPYPYSSADAFPSAGECHCFVDKTQDMPNKNSMVLCSKKNSMPMEGQACLRNGSLGGGFLIKTSGLSADVEWVEFSCKECSSPVGSFPSLKDTKVPVDGGIRLFKCYVSTAVPTCGHNDIFRKHTIQRVFANLLHETAADELTFRTVVKDLRTKCPMLQILLLNSKAWSATGYCFENSTMEPLPETDMQPVVKVLFSDLNATAGANSGEIKDWSTRNDAEELHMLTHQIKELTESLNSAQRRFPSSCSYLQGMSLSYMER >DRNTG_06201.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25171727:25173486:1 gene:DRNTG_06201 transcript:DRNTG_06201.14 gene_biotype:protein_coding transcript_biotype:protein_coding VLFSRFVEMPSVNWQEAADNWFGGCCCSFGGISEKLVSEYTNAYSCVEGTCLVDVASLIICKDDLEGYTFQQVSDGFSECSSKSHLVTSDGFTEFVKEVGGENSQEDTCEYSEVGAESASHLVNGPSECKDDHSPCALLSSADHGVESQEALCVSVEITNVDQNQSSDYQGASSMEFMNLDLSSCTGNIEKPYPYSSADAFPSAGECHCFVDKTQDMPNKNSMVLCSKKNSMPMEGQACLRNGSLGGGFLIKTSGLSADVEWVEFSCKECSSPVGSFPSLKDTKVPVDGGIRLFKCYVSTAVPTCGHNDIFRYAQVCSLQMQKLSANSIT >DRNTG_06201.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25173641:25175087:1 gene:DRNTG_06201 transcript:DRNTG_06201.16 gene_biotype:protein_coding transcript_biotype:protein_coding MLQILLLNSKAWSATGYCFENSTMEPLPETDMQPVVKVLFSDLNATAGANSGEIKDWSTRNDAEELHMLTHQIKELTESLNSAQRRFPSSCSYLQGMSLSYMER >DRNTG_06201.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25173641:25174878:1 gene:DRNTG_06201 transcript:DRNTG_06201.19 gene_biotype:protein_coding transcript_biotype:protein_coding MLQILLLNSKAWSATGYCFENSTMEPLPETDMQPVVKVLFSDLNATAGANSGEIKDWSTRNDAEELHMLTHQIKELTESLNSAQRRFPSSCSYLQGMSLSYMER >DRNTG_06201.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25172396:25174918:1 gene:DRNTG_06201 transcript:DRNTG_06201.11 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVNWQEAADNWFGGCCCSFGGISEKLVSEYTNAYSCVEGTCLVDVASLIICKDDLEGYTFQQVSDGFSECSSKSHLVTSDGFTEFVKEVGGENSQEDTCEYSEVGAESASHLVNGPSECKDDHSPCALLSSADHGVESQEALCVSVEITNVDQNQSSDYQGASSMEFMNLDLSSCTGNIEKPYPYSSADAFPSAGECHCFVDKTQDMPNKNSMVLCSKKNSMPMEGQACLRNGSLGGGFLIKTSGLSADVEWVEFSCKECSSPVGSFPSLKDTKVPVDGGIRLFKCYVSTAVPTCGHNDIFRKHTIQRVFANLLHETAADELTFRTVVKDLRTKCPMLQILLLNSKAWSATGYCFENSTMEPLPETDMQPVVKVLFSDLNATAGANSGEIKDWSTRNDAEELHMLTHQIKELTESLNSAQRRFPSSCSYLQGMSLSYMER >DRNTG_06201.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25171727:25174918:1 gene:DRNTG_06201 transcript:DRNTG_06201.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVNWQEAADNWFGGCCCSFGGISEKLVSEYTNAYSCVEGTCLVDVASLIICKDDLEGYTFQQVSDGFSECSSKSHLVTSDGFTEFVKEVGGENSQEDTCEYSEVGAESASHLVNGPSECKDDHSPCALLSSADHGVESQEALCVSVEITNVDQNQSSDYQGASSMEFMNLDLSSCTGNIEKPYPYSSADAFPSAGECHCFVDKTQDMPNKNSMVLCSKKNSMPMEGQACLRNGSLGGGFLIKTSGLSADVEWVEFSCKECSSPVGSFPSLKDTKVPVDGGIRLFKCYVSTAVPTCGHNDIFRKHTIQRVFANLLHETAADELTFRTVVKDLRTKCPMLQILLLNSKAWSATGYCFENSTMEPLPETDMQPVVKVLFSDLNATAGANSGEIKDWSTRNDAEELHMLTHQIKELTESLNSAQRRFPSSCSYLQGMSLSYMER >DRNTG_06201.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25171727:25175087:1 gene:DRNTG_06201 transcript:DRNTG_06201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVNWQEAADNWFGGCCCSFGGISEKLVSEYTNAYSCVEGTCLVDVASLIICKDDLEGYTFQQVSDGFSECSSKSHLVTSDGFTEFVKEVGGENSQEDTCEYSEVGAESASHLVNGPSECKDDHSPCALLSSADHGVESQEALCVSVEITNVDQNQSSDYQGASSMEFMNLDLSSCTGNIEKPYPYSSADAFPSAGECHCFVDKTQDMPNKNSMVLCSKKNSMPMEGQACLRNGSLGGGFLIKTSGLSADVEWVEFSCKECSSPVGSFPSLKDTKVPVDGGIRLFKCYVSTAVPTCGHNDIFRKHTIQRVFANLLHETAADELTFRTVVKDLRTKCPMLQILLLNSKAWSATGYCFENSTMEPLPETDMQPVVKVLFSDLNATAGANSGEIKDWSTRNDAEELHMLTHQIKELTESLNSAQRRFPSSCSYLQGMSLSYMER >DRNTG_06201.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25171727:25174878:1 gene:DRNTG_06201 transcript:DRNTG_06201.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVNWQEAADNWFGGCCCSFGGISEKLVSEYTNAYSCVEGTCLVDVASLIICKDDLEGYTFQQVSDGFSECSSKSHLVTSDGFTEFVKEVGGENSQEDTCEYSEVGAESASHLVNGPSECKDDHSPCALLSSADHGVESQEALCVSVEITNVDQNQSSDYQGASSMEFMNLDLSSCTGNIEKPYPYSSADAFPSAGECHCFVDKTQDMPNKNSMVLCSKKNSMPMEGQACLRNGSLGGGFLIKTSGLSADVEWVEFSCKECSSPVGSFPSLKDTKVPVDGGIRLFKCYVSTAVPTCGHNDIFRKHTIQRVFANLLHETAADELTFRTVVKDLRTKCPMLQILLLNSKAWSATGYCFENSTMEPLPETDMQPVVKVLFSDLNATAGANSGEIKDWSTRNDAEELHMLTHQIKELTESLNSAQRRFPSSCSYLQGMSLSYMER >DRNTG_06201.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25173641:25174918:1 gene:DRNTG_06201 transcript:DRNTG_06201.18 gene_biotype:protein_coding transcript_biotype:protein_coding MLQILLLNSKAWSATGYCFENSTMEPLPETDMQPVVKVLFSDLNATAGANSGEIKDWSTRNDAEELHMLTHQIKELTESLNSAQRRFPSSCSYLQGMSLSYMER >DRNTG_06201.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25171727:25174918:1 gene:DRNTG_06201 transcript:DRNTG_06201.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVNWQEAADNWFGGCCCSFGGISEKLVSEYTNAYSCVEGTCLVDVASLIICKDDLEGYTFQQVSDGFSECSSKSHLVTSDGFTEFVKEVGGENSQEDTCEYSEVGAESASHLVNGPSECKDDHSPCALLSSADHGVESQEALCVSVEITNVDQNQSSDYQGASSMEFMNLDLSSCTGNIEKPYPYSSADAFPSAGECHCFVDKTQDMPNKNSMVLCSKKNSMPMEGQACLRNGSLGGGFLIKTSGLSADVEWVEFSCKECSSPVGSFPSLKDTKVPVDGGIRLFKCYVSTAVPTCGHNDIFRKHTIQRVFANLLHETAADELTFRTVVKDLRTKCPMLQILLLNSKAWSATGYCFENSTMEPLPETDMQPVVKVLFSDLNATAGANSGEIKDWSTRNDAEELHMLTHQIKELTESLNSAQRRFPSSCSYLQGMSLSYMER >DRNTG_06201.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25172396:25174878:1 gene:DRNTG_06201 transcript:DRNTG_06201.13 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVNWQEAADNWFGGCCCSFGGISEKLVSEYTNAYSCVEGTCLVDVASLIICKDDLEGYTFQQVSDGFSECSSKSHLVTSDGFTEFVKEVGGENSQEDTCEYSEVGAESASHLVNGPSECKDDHSPCALLSSADHGVESQEALCVSVEITNVDQNQSSDYQGASSMEFMNLDLSSCTGNIEKPYPYSSADAFPSAGECHCFVDKTQDMPNKNSMVLCSKKNSMPMEGQACLRNGSLGGGFLIKTSGLSADVEWVEFSCKECSSPVGSFPSLKDTKVPVDGGIRLFKCYVSTAVPTCGHNDIFRKHTIQRVFANLLHETAADELTFRTVVKDLRTKCPMLQILLLNSKAWSATGYCFENSTMEPLPETDMQPVVKVLFSDLNATAGANSGEIKDWSTRNDAEELHMLTHQIKELTESLNSAQRRFPSSCSYLQGMSLSYMER >DRNTG_06201.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25171727:25174878:1 gene:DRNTG_06201 transcript:DRNTG_06201.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVNWQEAADNWFGGCCCSFGGISEKLVSEYTNAYSCVEGTCLVDVASLIICKDDLEGYTFQQVSDGFSECSSKSHLVTSDGFTEFVKEVGGENSQEDTCEYSEVGAESASHLVNGPSECKDDHSPCALLSSADHGVESQEALCVSVEITNVDQNQSSDYQGASSMEFMNLDLSSCTGNIEKPYPYSSADAFPSAGECHCFVDKTQDMPNKNSMVLCSKKNSMPMEGQACLRNGSLGGGFLIKTSGLSADVEWVEFSCKECSSPVGSFPSLKDTKVPVDGGIRLFKCYVSTAVPTCGHNDIFRKHTIQRVFANLLHETAADELTFRTVVKDLRTKCPMLQILLLNSKAWSATGYCFENSTMEPLPETDMQPVVKVLFSDLNATAGANSGEIKDWSTRNDAEELHMLTHQIKELTESLNSAQRRFPSSCSYLQGMSLSYMER >DRNTG_06201.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25171727:25174878:1 gene:DRNTG_06201 transcript:DRNTG_06201.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVNWQEAADNWFGGCCCSFGGISEKLVSEYTNAYSCVEGTCLVDVASLIICKDDLEGYTFQQVSDGFSECSSKSHLVTSDGFTEFVKEVGGENSQEDTCEYSEVGAESASHLVNGPSECKDDHSPCALLSSADHGVESQEALCVSVEITNVDQNQSSDYQGASSMEFMNLDLSSCTGNIEKPYPYSSADAFPSAGECHCFVDKTQDMPNKNSMVLCSKKNSMPMEGQACLRNGSLGGGFLIKTSGLSADVEWVEFSCKECSSPVGSFPSLKDTKVPVDGGIRLFKCYVSTAVPTCGHNDIFRKHTIQRVFANLLHETAADELTFRTVVKDLRTKCPMLQILLLNSKAWSATGYCFENSTMEPLPETDMQPVVKVLFSDLNATAGANSGEIKDWSTRNDAEELHMLTHQIKELTESLNSAQRRFPSSCSYLQGMSLSYMER >DRNTG_06201.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25172396:25175087:1 gene:DRNTG_06201 transcript:DRNTG_06201.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVNWQEAADNWFGGCCCSFGGISEKLVSEYTNAYSCVEGTCLVDVASLIICKDDLEGYTFQQVSDGFSECSSKSHLVTSDGFTEFVKEVGGENSQEDTCEYSEVGAESASHLVNGPSECKDDHSPCALLSSADHGVESQEALCVSVEITNVDQNQSSDYQGASSMEFMNLDLSSCTGNIEKPYPYSSADAFPSAGECHCFVDKTQDMPNKNSMVLCSKKNSMPMEGQACLRNGSLGGGFLIKTSGLSADVEWVEFSCKECSSPVGSFPSLKDTKVPVDGGIRLFKCYVSTAVPTCGHNDIFRKHTIQRVFANLLHETAADELTFRTVVKDLRTKCPMLQILLLNSKAWSATGYCFENSTMEPLPETDMQPVVKVLFSDLNATAGANSGEIKDWSTRNDAEELHMLTHQIKELTESLNSAQRRFPSSCSYLQGMSLSYMER >DRNTG_17303.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31836840:31841897:-1 gene:DRNTG_17303 transcript:DRNTG_17303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRTKYETTARSWTQKYAMG >DRNTG_30503.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:742296:742595:1 gene:DRNTG_30503 transcript:DRNTG_30503.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAGAATGGRSFNGRIQTEILKQTTNETDLKERKARITTTRTSKRIKKTY >DRNTG_12413.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12326329:12327217:-1 gene:DRNTG_12413 transcript:DRNTG_12413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHSGRKSYHLESVKATLAAALERATLEDVLEEILLRFKREDIGRCEMLECVPTSSYLSLAHPFGGAQRQSHSSIPTYAHRTRVR >DRNTG_21206.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001209.1:49847:52020:1 gene:DRNTG_21206 transcript:DRNTG_21206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSESMSTFHKYFPSLSLDKDEDVPFPSESPQVLFQQSFSSNHLAAKILQPDDEFPSLASLSLTKVAKVRAFRNFLSNLNKTKASGVGKGGPKHSWSDMPKFLLAGAVSTVLSRTCIAPLERIKLECIVQGSKHSWIEIIKCIWVSEGLKGFWKGNMLNLFRMVPFKSINFICYDMYLDCLLSMPEKKEITNHDRLIGGGISGVTATIFCLPLDTIRTRLAAPGGDALGGVAGCFYHMMHNEGFLSLYKGLTPALISIGPASAVFYAVYDILKTSYLLHGKQRGSEKDIGIARTLLYGAIAGACAETVTYPLEVIRRQLQLQQSRSLGLGPAFIKMIQREGVSSLFTGLIPSTLQVLPSASLSYLFYETMKSVLKIS >DRNTG_03731.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18178365:18179720:-1 gene:DRNTG_03731 transcript:DRNTG_03731.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSECFSLVPILLDSSWGWGIRKPWRTRRYHLGEKEKKILGQKRDFWLDFLLGIFWETLERLVATWGRRRRARKLEDHPSQMSKTLKERRQLHSRGDLPRFEGRRPAARGSIIGHSFSVGKCHSAYSSPPPPPFHL >DRNTG_13387.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22909685:22910733:-1 gene:DRNTG_13387 transcript:DRNTG_13387.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKPLFLSKKWRDLLCRCKTCSDFYKQKDINYIIDSEDSLEEYEKMAKQKREENLQNQEGAELNFLDKLGHVQKIELLSGIADMKNELRSFMVCLL >DRNTG_34188.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7087863:7089715:-1 gene:DRNTG_34188 transcript:DRNTG_34188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIVENPENCESGGSRILVFVRLRPMAKKEKEAGSRSCVKIVNRKDVYLTEFASETDYLRLKRLRGRHFCFDAAFSDSTTQQEVYATTTAELVEGVLQGRNGSVFCYGATGAGKTYTMLGTVENPGVMVLAIKDLFSKIRQRSYDGNHLVHLSYLEVYNETVRDLLSPGRPLVLREDKQGIVAAGLTQYRAYSTDEVMSLLQQGNQNRTTE >DRNTG_13200.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2487957:2510195:-1 gene:DRNTG_13200 transcript:DRNTG_13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASNNVKNTNNHIKLICLLQWPGTLCRTGKCCMPTKGKPAIDFLIEDLKTLDQTGQIVQDCNSTCRFSVNKDPVILMSLSF >DRNTG_26972.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11302178:11307690:-1 gene:DRNTG_26972 transcript:DRNTG_26972.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G02450) UniProtKB/Swiss-Prot;Acc:Q9M895] MAPPASPPLLTHACSSPPPILCSTSSSSSSSKSRNPYKVLSSSTSLSCSTPILFPHLQLSHCSKPPVVGIHGKLNPRRRFSLRLRPRLRLLSSRLKRTSLPDLVEDLGVALRRNSRIITLSASMSAVLGLCFLFLKLTAVPASKVVPYSELVTELQSGHVTAVMFEEGSRRIFYNTRGDGRENGETVVDGEALAMEGRKEKEKIGFVLKWKYSTRKIDHDENYLLSLMREKGVAYSSAPQSVIMTLRSLLITVISLWIPLTPLMWLLYRQLSAANGPAKKRRPSNQKVRFDDVEGVDAAKEELMEIVSCLQGSINYKKLGAKLPRGVLLVGPPGTGKTLLARSVAGEAGVPFFSVSASEFVELFVGRGAARIRDLFSVAKKCSPSIVFIDELDAVGGKRGRSFNDERDQTLNQLLTEMDGFESDTKVIVIAATNRPEALDPALCRPGRFSRKVLVGEPDLDGRKRILAVHLRGVPLEENPEIICNLVASLTPGFVGADLANIVNEAALLAARRGGETVIREDVMEAIERAKFGINDRQLTRNVVRKGLDKLFPWIPSLIKRDGTTEDGLGFMGYQTLS >DRNTG_12734.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25688219:25693192:-1 gene:DRNTG_12734 transcript:DRNTG_12734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSSDGGGGEATSPTTPSTPNAAGGKKLRKGSSRKRLGTEQLHRIPGRMFLNGTCGMASLFTQQGKKGANQDAMIVWENFTSRADTVFCGVFDGHGPHGHIVARRVRDQLPLKLSAHWEDFRESNICTVGGMNSDGTASEFVEEESASFVDLEGKESVPEIFMALKESFRKAFKVMDKELKLHPSINCFCSGTTAVTLVKQDQYLVVGNIGDSRAILGTRDHNDQLLAVQLTVDLKPNLPREEERIKKSKGRVFALKDEPEVARVWLPNTDSPGLAMARAFGDFCLKDFGLISVPDISCRCLTEKDQFVVLATDGIWDVLSNKEVVDLVAAAPTRSMASRLLVESAVKAWRLKYPTSKIDDCAVVCLFLGPDASVNSSSYKTASGASSENAEVGNNMQKSVSVRSSETITDDDQGTPKTPNSDENGTEGDEWSALEGVSRSNTLLTLPRFATGDEQAVTFKSQNEV >DRNTG_12734.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25688219:25693192:-1 gene:DRNTG_12734 transcript:DRNTG_12734.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSSDGGGGEATSPTTPSTPNAAGGKKLRKGSSRKRLGTEQLHRIPGRMFLNGTCGMASLFTQQGKKGANQDAMIVWENFTSRADTVFCGVFDGHGPHGHIVARRVRDQLPLKLSAHWEDFRESNICTVGGMNSDGTASEFVEEESASFVDLEGKESVPEIFMALKESFRKAFKVMDKELKLHPSINCFCSGTTAVTLVKQDQYLVVGNIGDSRAILGTRDHNDQLLAVQLTVDLKPNLPREEERIKKSKGRVFALKDEPEVARVWLPNTDSPGLAMARAFGDFCLKDFGLISVPDISCRCLTEKDQFVVLATDGIWDVLSNKEVVDLVAAAPTRSMASRLLVESAVKAWRLKYPTSKIDDCAVVCLFLGPDASVNSSSYKTASGASSENAEVGNNMQKSVSVRSSETITDDDQGTPKTPNSDENGTEGDEWSALEGVSRSNTLLTLPRFATGDEQAVTFKSQNEV >DRNTG_30409.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21913430:21914733:1 gene:DRNTG_30409 transcript:DRNTG_30409.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCMNKEIKKTRKQNSKESKISRNNQTFQFLALR >DRNTG_30409.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21913430:21914733:1 gene:DRNTG_30409 transcript:DRNTG_30409.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKMRLGDGEDRSPAMRISLPAMDSRI >DRNTG_30409.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21913430:21914733:1 gene:DRNTG_30409 transcript:DRNTG_30409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMNKEIKKTRKQNSKESKISRNNQTFQFLALR >DRNTG_30409.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21913430:21914733:1 gene:DRNTG_30409 transcript:DRNTG_30409.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGAYGGEDEAWGRGRSISGDEDFFARHGF >DRNTG_30409.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21913430:21914461:1 gene:DRNTG_30409 transcript:DRNTG_30409.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCMNKEIKKTRKQNSKESKISRNNQTFQFLALR >DRNTG_15314.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4854257:4855139:-1 gene:DRNTG_15314 transcript:DRNTG_15314.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEAILALHGKEGSSSYAIAKYMEEKHKEELPGNFKKMLAVQLRSFAAKGKLLKVKASFKLPESEKKDNKDDHEEEMKVADQPKKEMKKKAVVSLKSEINKMVGVRKTRKAALVKAKQPKSIKKG >DRNTG_15314.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4854257:4855139:-1 gene:DRNTG_15314 transcript:DRNTG_15314.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEAELVVAPVADEQPEKKLRTSKAPKDKKPVEAAKPASPNHPPYYQIYIIQMIKEAILALHGKEGSSSYAIAKYMEEKHKEELPGNFKKMLAVQLRSFAAKGKLLKVKASFKLPESEKKDNKDDHEEEMKVADQPKKEMKKKAVVSLKSEINKMVGVRKTRKAALVKAKQPKSIKKG >DRNTG_15314.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4854257:4855139:-1 gene:DRNTG_15314 transcript:DRNTG_15314.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEAILALHGKEGSSSYAIAKYMEEKHKEELPGNFKKMLAVQLRSFAAKGKLLKVKASFKLPESEKKDNKDDHEEEMKVADQPKKEMKKKAVVSLKSEINKMVGVRKTRKAALVKAKQPKSIKKG >DRNTG_15314.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4854257:4855139:-1 gene:DRNTG_15314 transcript:DRNTG_15314.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKHKEELPGNFKKMLAVQLRSFAAKGKLLKVKASFKLPESEKKDNKDDHEEEMKVADQPKKEMKKKAVVSLKSEINKMVGVRKTRKAALVKAKQPKSIKKG >DRNTG_15589.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1569569:1574542:-1 gene:DRNTG_15589 transcript:DRNTG_15589.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPHKCSTSIGPTVCPPTQFQVIAFFVSLYLVAFAQGGHKPCTQAFGGDQFDQNDPEENKSKSSFFNWWYFGLCFGTFITLWILNFVQDNIGWGLGFGIPCIAMMLALVVFLLGTTTYRYYVLQDTSPFVQVYKAFVTMIKSHGTPHPYSSADAPKVDNSIEGAKGVIRLFPIWATCLIYAIVFSQSSTLFTKQGSTMERSIGPNIQIPPATLQSFISLSIVMFIPIYDRILVPITRKFSGIPSGISMLQRIGTGMVLSILSMVIAALVEMKRLQTAKDYGLVDKPQATIPMSLWWLVPQYVLYGIADVFTMVGLQEFFYDQVPDSLRSLGLALYLSIFGIGSFISGFLISVINEVTGKDGHSWFSNNLNRAHLDYFYWLLAGLSALQFVIYLCCAKCYVYKKQK >DRNTG_15589.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1569569:1574542:-1 gene:DRNTG_15589 transcript:DRNTG_15589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGEPLLAGESSGALIGMVDYRGRQIISRSRTGGWTSALFIIGVEVAERFAYYGISSNLITYLTGPLQESTASAAVAVNTWSGVASMLPLLGAFVADSYLGRYRTIVISSLLYVLGLGLLTLSSMLPSLSPHKCSTSIGPTVCPPTQFQVIAFFVSLYLVAFAQGGHKPCTQAFGGDQFDQNDPEENKSKSSFFNWWYFGLCFGTFITLWILNFVQDNIGWGLGFGIPCIAMMLALVVFLLGTTTYRYYVLQDTSPFVQVYKAFVTMIKSHGTPHPYSSADAPKVDNSIEGAKGVIRLFPIWATCLIYAIVFSQSSTLFTKQGSTMERSIGPNIQIPPATLQSFISLSIVMFIPIYDRILVPITRKFSGIPSGISMLQRIGTGMVLSILSMVIAALVEMKRLQTAKDYGLVDKPQATIPMSLWWLVPQYVLYGIADVFTMVGLQEFFYDQVPDSLRSLGLALYLSIFGIGSFISGFLISVINEVTGKDGHSWFSNNLNRAHLDYFYWLLAGLSALQFVIYLCCAKCYVYKKQK >DRNTG_32730.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001904.1:10164:11682:1 gene:DRNTG_32730 transcript:DRNTG_32730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDMLRKAKEAKMSLASAKSVLAAVETSKASVTDEDRKFKKLDVVEKFRLVKSSAPSYNEFLWDKKFSRLEAEAQESLRLLSDMLLGQVDLVKMERGILHPTDKIYAKLVRAFLEADKVSDLAAFLITASKEDSPASIENSAVVQVINACISLGMLDQAHDLLDEMRFSGVRVGSSVYSSLLKAYCKENRQGDVMALLRDARKAGVQLDSSCYETLIQSRVHHKDSSGALHLFKEMKESNIPRSGSHGFETLVEGCSGGAEASLMAKLLEEIKDNQSVNCGVHDWNSIIHFFCKKRLMHDAQRALNKMRALGHSPNAQTFHSLVTAYAAIGGKYVEVTDLWGEMKMLASSSSMKFDQELLDSLLYCFVRGGFFLRAMEVIEMMEKGNMFIDKYKYRSLWLKYHRTLYKGKAPKVQTEAQCKRREAALAFKRWIGFT >DRNTG_21945.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2119289:2120024:1 gene:DRNTG_21945 transcript:DRNTG_21945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVKLEKKKKRDDGDDDIDGGYAHPCLSLFHCSIFKAFLGCLGFLHPHDEPLLPNSIFKCSNSR >DRNTG_30064.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22680605:22683390:1 gene:DRNTG_30064 transcript:DRNTG_30064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLQCIILPRHQRRRCRASTGYLGVRRRPSGRYAAEIRNPSTKKRHWLGTFDTRKKRRWLMTCHQSPLEVSRKLGLIFLL >DRNTG_25190.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5791846:5792387:1 gene:DRNTG_25190 transcript:DRNTG_25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYVMIQYRNQEQKSSVVKDQGTNPSWNETFKFQVQNAEAAEHHQKLVLRIMDKDIFNVDDFVGQATISVADVISLGVEKGHGELHPSKYSVVLEDRTNCGEIRVGVKRTKFRRRSYKGYTL >DRNTG_25245.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27566357:27570821:1 gene:DRNTG_25245 transcript:DRNTG_25245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKSLVVVLVLFLLSFLAYSTTVSHDGRALLINGQRRIIISGSIHYPRSTPEMWPELIKKAKEGGLDAIETYVFWNAHEPVKRQYNFEGNLDLVRFIKEIQNAGLYAIVRIGPYVCAEWDYGGFPAWLRQIPGMEMRTDNKPFKDEMQTFTTLIVDMLKKENLLAPQGGPIILSQIENEYGNIQSGYGAAAKNYIEWCANMAQSLDIGVPWIMCQQADAPQPMINTCNGFYCHDFQPNNANSPKIWTENWTGWFKDWDKPDPHRPAEDVAYAVARFFETNGTVQNYYMYHGGTNFGRTSGGPYITTSYDYDAPLDEYGNIRQPKWGHLKALHAALKINGESSCLWQLQLYTT >DRNTG_25245.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27569653:27570821:1 gene:DRNTG_25245 transcript:DRNTG_25245.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAITLGDIGQPPLQTIKVASCVIIDNHTVPDDHCRTGCGEPGQIWYHVPRSFLKEGEPNTLILFEEAGGEPFNVNFQTMVVGTVCGHVEEGKTLTLSCQGTRTISNVEFASFGNPVGMCGAFQKGTCDSQIALDAIQKACVGKMACSIKGSARVLGDSECKNMPVSLAVQAVCS >DRNTG_25245.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27567046:27569173:1 gene:DRNTG_25245 transcript:DRNTG_25245.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRTDNKPFKDEMQTFTTLIVDMLKKENLLAPQGGPIILSQIENEYGNIQSGYGAAAKNYIEWCANMAQSLDIGVPWIMCQQADAPQPMINTCNGFYCHDFQPNNANSPKIWTENWTGWFKDWDKPDPHRPAEDVAYAVARFFETNGTVQNYYMYHGGTNFGRTSGGPYITTSYDYDAPLDEYGNIRQPKWGHLKALHAALKINGESSCLWQLQLYTT >DRNTG_25245.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27566670:27569173:1 gene:DRNTG_25245 transcript:DRNTG_25245.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPELIKKAKEGGLDAIETYVFWNAHEPVKRQYNFEGNLDLVRFIKEIQNAGLYAIVRIGPYVCAEWDYGGFPAWLRQIPGMEMRTDNKPFKDEMQTFTTLIVDMLKKENLLAPQGGPIILSQIENEYGNIQSGYGAAAKNYIEWCANMAQSLDIGVPWIMCQQADAPQPMINTCNGFYCHDFQPNNANSPKIWTENWTGWFKDWDKPDPHRPAEDVAYAVARFFETNGTVQNYYMYHGGTNFGRTSGGPYITTSYDYDAPLDEYGNIRQPKWGHLKALHAALKINGESSCLWQLQLYTT >DRNTG_25245.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27567574:27568301:1 gene:DRNTG_25245 transcript:DRNTG_25245.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLDIGVPWIMCQQADAPQPMINTCNGFYCHDFQPNNANSPKIWTENWTGWFKDWDKPDPHRPAEDVAYAVARFFETNGTVQNYYMYHGGTNFGRTSGGPYITTSYDYDAPLDEY >DRNTG_25245.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27569858:27570821:1 gene:DRNTG_25245 transcript:DRNTG_25245.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAITLGDIGQPPLQTIKVASCVIIDNHTVPDDHCRTGCGEPGQIWYHVPRSFLKEGEPNTLILFEEAGGEPFNVNFQTMVVGTVCGHVEEGKTLTLSCQGTRTISNVEFASFGNPVGMCGAFQKGTCDSQIALDAIQKACVGKMACSIKGSARVLGDSECKNMPVSLAVQAVCS >DRNTG_25245.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27569258:27570821:1 gene:DRNTG_25245 transcript:DRNTG_25245.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAITLGDIGQPPLQTIKVASCVIIDNHTVPDDHCRTGCGEPGQIWYHVPRSFLKEGEPNTLILFEEAGGEPFNVNFQTMVVGTVCGHVEEGKTLTLSCQGTRTISNVEFASFGNPVGMCGAFQKGTCDSQIALDAIQKACVGKMACSIKGSARVLGDSECKNMPVSLAVQAVCS >DRNTG_15804.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000786.1:55714:56835:1 gene:DRNTG_15804 transcript:DRNTG_15804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYGDMAPSTKKAASKHPREPSLEPKHIEFSIPEH >DRNTG_23483.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2882980:2893308:1 gene:DRNTG_23483 transcript:DRNTG_23483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADLKTVRGWDRMDIDLLVNIFKQLNMLELLPISLVCRGWRRACSDAWLWDTLDLGLLQSNFIPTRASPFVFVDEPSDRKLTRVLKLAFSLSQGNIRCLVFHFYLYMKDEHLNLIVERCPGLKRIVIPAWNRLSKPAIYRAIETWQGLESMTMPWCADPSALMEKISINCKNFTQLKIFGAFDMNLAVAVTTFLPNLKVLSLRCSRVDKKALLYFLKSMRGLEVLNISHTIMLVEGPVNGGSDGGLKVMFKEIDPAIRENTAGLRKLYFCSSKACLCCQRMIDDEGMMRWWKYEDWFWRIDEVSSLALGDTGKLFDAHCAEFAFLDRPRSC >DRNTG_23483.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2889518:2893308:1 gene:DRNTG_23483 transcript:DRNTG_23483.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADLKTVRGWDRMDIDLLVNIFKQLNMLELLPISLVCRGWRRACSDAWLWDTLDLGLLQSNFIPTRASPFVFVDEPSDRKLTRVLKLAFSLSQGNIRCLVFHFYLYMKDEHLNLIVERCPGLKRIVIPAWNRLSKPAIYRAIETWQGLESMTMPWCADPSALMEKISINCKNFTQLKIFGAFDMNLAVAVTTFLPNLKVLSLRCSRVDKKALLYFLKSMRGLEVLNISHTIMLVEGPVNGGSDGGLKVMFKEIDPAIRENTAGLRKLYFCSSKACLCCQRMIDDEGMMRWWKYEDWFWRIDEVSSLALGDTGKLFDAHCAEFAFLDRPRSC >DRNTG_11185.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2068765:2069194:-1 gene:DRNTG_11185 transcript:DRNTG_11185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKKEEEKKRMVGEIGSRGSKEEEEEGKTVVVGIRMDQQSRELLTWALVKVASPGDRVIALHILPSSSTESSGMDGKSSSCLISSVKNFDSILSVYDG >DRNTG_33941.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:909540:913154:1 gene:DRNTG_33941 transcript:DRNTG_33941.4 gene_biotype:protein_coding transcript_biotype:protein_coding MACLRLPAAHALHPFPAGRTKTPFSKPLVSNPRFTSSLVASTMRFRWEDVMQVSHEDEDRRDLRGYFHKIRICNRGNESKTEFVPFVIEDQVVGFIHKGFVDHLKDFPGVFTCLLGNGDHRFDNGRVTLHESLSTPGERTRAVAGVIRCLGELIPGIRSEHYPVTSSFGVPAFFSLERAAAPYFGIKAYGVHMNGYVEINGQKFLWIGKRSQMKPTYPGMLDHLVAGGLPHGISCKENLIKECEEEAGIPRSISDCATSVGAISYMDIDGFRYKRDVLFCYDLKLPADFIPNNEDGEVDSFRLIPVDDVANIIRRSEFFKPNCTIVIIDFLFRHGYISPDDCGYLKLLQSLRSGDCC >DRNTG_33941.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:911044:912652:1 gene:DRNTG_33941 transcript:DRNTG_33941.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYVEINGQKFLWIGKRSQMKPTYPGMLDHLVAGGLPHGISCKENLIKECEEEAGIPRSISDCATSVGAISYMDIDGFRYKRDVLFCYDLKLPADFIPNNEDGEVDSFRLIPVDDVANIIRRSEFFKPNCTIVIIDFLFRHG >DRNTG_33941.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:909540:910722:1 gene:DRNTG_33941 transcript:DRNTG_33941.9 gene_biotype:protein_coding transcript_biotype:protein_coding MACLRLPAAHALHPFPAGRTKTPFSKPLVSNPRFTSSLVASTMRFRWEDVMQVSHEDEDRRDLRGYFHKIRICNRGNESKTEFVPFVIEDQVVGFIHKGFVDHLKDFPGVFTCLLGNGDHRFDNGRVTLHESLSTPGERTRAVAGVIRCLGELIPGIRSEHYPVTSSFGVPAFFSLERAAAPYFGIKVFLFLLKSLFWFLFFVFFSFLVLANGF >DRNTG_33941.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:909540:913154:1 gene:DRNTG_33941 transcript:DRNTG_33941.5 gene_biotype:protein_coding transcript_biotype:protein_coding MACLRLPAAHALHPFPAGRTKTPFSKPLVSNPRFTSSLVASTMRFRWEDVMQVSHEDEDRRDLRGYFHKIRICNRGNESKTEFVPFVIEDQVVGFIHKGFVDHLKDFPGVFTCLLGNGDHRFDNGRVTLHESLSTPGERTRAVAGVIRCLGELIPALPCDIFFWGTCILLS >DRNTG_33941.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:909540:913784:1 gene:DRNTG_33941 transcript:DRNTG_33941.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYVEINGQKFLWIGKRSQMKPTYPGMLDHLVAGGLPHGISCKENLIKECEEEAGIPRSISDCATSVGAISYMDIDGFRYKRDVLFCYDLKLPADFIPNNEDGEVDSFRLIPVDDVANIIRRSEFFKPNCTIVIIDFLFRHGYISPDDCGYLKLLQSLRSGDCC >DRNTG_33941.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:911044:913154:1 gene:DRNTG_33941 transcript:DRNTG_33941.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYVEINGQKFLWIGKRSQMKPTYPGMLDHLVAGGLPHGISCKENLIKECEEEAGIPRSISDCATSVGAISYMDIDGFRYKRDVLFCYDLKLPADFIPNNEDGEVDSFRLIPVDDVANIIRRSEFFKPNCTIVIIDFLFRHGYISPDDCGYLKLLQSLRSGDCC >DRNTG_33941.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:909540:913784:1 gene:DRNTG_33941 transcript:DRNTG_33941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLRLPAAHALHPFPAGRTKTPFSKPLVSNPRFTSSLVASTMRFRWEDVMQVSHEDEDRRDLRGYFHKIRICNRGNESKTEFVPFVIEDQVVGFIHKGFVDHLKDFPGVFTCLLGNGDHRFDNGRVTLHESLSTPGERTRAVAGVIRCLGELIPGIRSEHYPVTSSFGVPAFFSLERAAAPYFGIKAYGVHMNGYVEINGQKFLWIGKRSQMKPTYPGMLDHLVAGGLPHGISCKENLIKECEEEAGIPRSISDCATSVGAISYMDIDGFRYKRDVLFCYDLKLPADFIPNNEDGEVDSFRLIPVDDVANIIRRSEFFKPNCTIVIIDFLFRHGYISPDDCGYLKLLQSLRSGDCC >DRNTG_33941.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:909540:913665:1 gene:DRNTG_33941 transcript:DRNTG_33941.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYVEINGQKFLWIGKRSQMKPTYPGMLDHLVAGGLPHGISCKENLIKECEEEAGIPRSISDCATSVGAISYMDIDGFRYKRDVLFCYDLKLPADFIPNNEDGEVDSFRLIPVDDVANIIRRSEFFKPNCTIVIIDFLFRHGYISPDDCGYLKLLQSLRSGDCC >DRNTG_33941.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:909540:910722:1 gene:DRNTG_33941 transcript:DRNTG_33941.10 gene_biotype:protein_coding transcript_biotype:protein_coding MACLRLPAAHALHPFPAGRTKTPFSKPLVSNPRFTSSLVASTMRFRWEDVMQVSHEDEDRRDLRGYFHKIRICNRGNESKTEFVPFVIEDQVVGFIHKGFVDHLKDFPGVFTCLLGNGDHRFDNGRVTLHESLSTPGERTRAVAGVIRCLGELIPALPCDIFFWGTCILLS >DRNTG_03181.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5812927:5816895:-1 gene:DRNTG_03181 transcript:DRNTG_03181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASSGNTFAKEIAIRKRISNIFNKREEDFPSLRDYNDYLEEVEDMTFNLIEGIDVAAIEAKIVKYQEENAEQIINARARKAEELAAAMKASMGNPAQAEPSDMGIGHTAQGQYAPALPGGGLAQPRPTGMQPVPVGGPHDPSHSYGAEDEETVKLRAARAGGWTVELSKKRALEEAFSSIWI >DRNTG_03181.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5812927:5816895:-1 gene:DRNTG_03181 transcript:DRNTG_03181.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASSGNTFAKEIAIRKRISNIFNKREEDFPSLRDYNDYLEEVEDMTFNLIEGIDVAAIEAKIVKYQEENAEQIINARARKAEELAAAMKASMGNPAQAEPSDMGIGHTAQGQYAPALPGGGLAQPRPTGMQPVPVGGPHDPSHSYGAEDEETVKLRAARAGGWTVELSKKRALEEAFSSIWI >DRNTG_03181.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5812927:5813698:-1 gene:DRNTG_03181 transcript:DRNTG_03181.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSNLLYDCKYLYLKDFQYVGHKVVQILTSYVNMIFGGLCITADLSFVNFKPQGIGHTAQGQYAPALPGGGLAQPRPTGMQPVPVGGPHDPSHSYGAEDEETVKLRAARAGGWTVELSKKRALEEAFSSIWI >DRNTG_03181.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5812880:5813698:-1 gene:DRNTG_03181 transcript:DRNTG_03181.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSNLLYDCKYLYLKDFQYVGHKVVQILTSYVNMIFGGLCITADLSFVNFKPQGIGHTAQGQYAPALPGGGLAQPRPTGMQPVPVGGPHDPSHSYGAEDEETVKLRAARAGGWTVELSKKRALEEAFSSIWI >DRNTG_03181.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5812927:5816895:-1 gene:DRNTG_03181 transcript:DRNTG_03181.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASSGNTFAKEIAIRKRISNIFNKREEDFPSLRDYNDYLEEVEDMTFNLIEGIDVAAIEAKIVKYQEENAEQIINARARKAEELAAAMKASMGNPAQAEPSDMGIGHTAQGQYAPALPGGGLAQPRPTGMQPVPVGGPHDPSHSYGAEDEETVKLRAARAGGWTVELSKKRALEEAFSSIWI >DRNTG_23691.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:21954214:21955032:-1 gene:DRNTG_23691 transcript:DRNTG_23691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVKATILGRKSYHLESVKATREASWERATLEVVNALKSKKEAKIGYKDTMLGVLVQFKDQDTRAVHKHGDVCQLPRRFKSIHYLEGHKGSHIFIFLLFVKIARLLKDTSMKKSFIAYHMDVCPDMWP >DRNTG_04486.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8863074:8864562:-1 gene:DRNTG_04486 transcript:DRNTG_04486.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein WIP6 [Source:Projected from Arabidopsis thaliana (AT1G13290) UniProtKB/Swiss-Prot;Acc:Q9FX68] MQEHYDQHDEDEAILELTLWPHGHHQNSSSASSTSTTTCDGYMQDDKCCHEDVSVSLSIGLSSIPNVGANGLSSIATPSQYWIPSAAEILVGATQFCCHVCNKTFNRFNNMQMHMWGHGSQFRKGSESLRRGQAPGTMRLPCYCCAEGCKNNIEHPRSRPLKDFRTLQTHYKRKHGVRPYSCRRCTKAFAVRGDWRTHEKNCGKLWFCICGSDFKHKRSLKDHVRSFGGGHGPHILESFKVDEDEKEGTDGDDYGDEELNHSLM >DRNTG_28140.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23974575:23975158:-1 gene:DRNTG_28140 transcript:DRNTG_28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGESSTSKLLFLLLLVLAMVVTMVPCACARVCPLFASCLRPLHQDQLVAASLRSMPPPPTPTRNLGKNFRGFPSPPPPTPPTPPPPPLPPPPPPPPTSSYR >DRNTG_32374.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001833.1:33748:35893:1 gene:DRNTG_32374 transcript:DRNTG_32374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVANEDFQHILRVLNTNVDGKQKIMFALTSIKGIGRRFANIVCKKADVDMNKRAGELTAAELENLMTIVANPRQFKIPDWFLNRKKDYKDGRYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >DRNTG_04712.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4004638:4008806:1 gene:DRNTG_04712 transcript:DRNTG_04712.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGWPPLAIARGAEQTKKRGKLTERRAHRELLKSDGVGVVGFQKRLINPLVAKIVWQCSCQSLRELFCESSRHQNLSERALPERKKPSIR >DRNTG_04712.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4007621:4012252:1 gene:DRNTG_04712 transcript:DRNTG_04712.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDVAKGMNCLHTSIPTIVHRDLKSPNLLIDKNWTMKCNAGL >DRNTG_04712.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4007621:4012252:1 gene:DRNTG_04712 transcript:DRNTG_04712.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDVAKGMNCLHTSIPTIVHRDLKSPNLLIDKNWTMKCNAGL >DRNTG_04712.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4004638:4005458:1 gene:DRNTG_04712 transcript:DRNTG_04712.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGWPPLAIARGAEQTKKRGKLTERRAHRELLKSDGVGVVGFQKRLINPLVAKIVWQCSCQSLRELFCESSRHQNLSERALPERKKPSIR >DRNTG_04712.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4007621:4010385:1 gene:DRNTG_04712 transcript:DRNTG_04712.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSMVVARLSSTNRKFPPDFIKMVKIMHRLWHPNVVLFMGDVTSPPNLSIVSKFLLRGSLYRILHRPNCQN >DRNTG_04712.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4004638:4012252:1 gene:DRNTG_04712 transcript:DRNTG_04712.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAFNGGRPFEFNKSKISSRFHQDGEVCTEFFTDQIVKTEEKRRIKMVVDVAKGMNCLHTSIPTIVHRDLKSPNLLIDKNWTMKCNAGL >DRNTG_19264.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:386495:389186:-1 gene:DRNTG_19264 transcript:DRNTG_19264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMTMGAFIPRFASPRSPTSSLTTTVAAPSAQFLGGNGTSRRRIVAGCRGPSLLRALSGAQGIPHALVRIVAIVGEGCISPLKDASWEEVMLHTANRLKWVDEEFQMLVFTDQSILSKDTNLQNIQKELVSANFLVNVALRNQEAIDWIKVNSEHIPNVICFESSSALEYKLGGFIIPRKSEENVFGKLVDIANSKSKKESLQVVKTVADAWGRHNSDDIRFCLLVIINAYIKPVPMLKNLRAKGFSTLNCMLKNCASEVMDCLLDPNCRKALQCLNACSPTDQVCNYRCIASYESEYLEAFSLCVLEKNNCLELDAEIPSKPFVAPLSAFRGEALSHEVAEDLFVGWLGELDWSWRVVAGQNPAYDQFPCQFQLFYRGKSRNAFWYEPVFQVRTLEGKLVWRRRRYRVVRATVPGTFFFSVLDNGVVSKEFWTIVDVCNDFSWGLFHYSGAARAAGQAYTGAVLVSPDGMYPPDMGGQRLISALEKCSIKEWELFDVDNSSCSSPPLGIPQGSRLHAKIDVKHQKSTYDQRM >DRNTG_21400.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1522160:1531667:-1 gene:DRNTG_21400 transcript:DRNTG_21400.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYERIPIPELQVSTFEFGSCTNLFSVLFQYSMAGWLFINIIDVVVVVVFCLLNGWIKYFKLQCKFFLQIILLQVIFPHKKLSFRILDTVRLDIASLLGLLAFFVNYKFENILSSPSAILLDVIATSALLIYVTRVALGYKQTWDRYQLLVNRTLYEKTLASGFGSVYFLLDASQQQQYKEAILVYAVLLHSKSNQVSCAKGVKDECEKFLYAKFKEKIEMPIDKALDTVMRLGLVMEFSEQGKIKLKAIPCSIAYDNLRKHWDHLLEQT >DRNTG_21400.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1522160:1531667:-1 gene:DRNTG_21400 transcript:DRNTG_21400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRKCLENVPKCCFYSLFQVNPSIINQEPAFQELILLYTEETSQNEYNGQLEGLPLQMKIYERIPIPELQVIFPHKKLSFRILDTVRLDIASLLGLLAFFVNYKFENILSSPSAILLDVIATSALLIYVTRVALGYKQTWDRYQLLVNRTLYEKTLASGFGSVYFLLDASQQQQYKEAILVYAVLLHSKSNQVSCAKGVKDECEKFLYAKFKEKIEMPIDKALDTVMRLGLVMEFSEQGKIKLKAIPCSIAYDNLRKHWDHLLEQT >DRNTG_21400.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1522160:1525269:-1 gene:DRNTG_21400 transcript:DRNTG_21400.12 gene_biotype:protein_coding transcript_biotype:protein_coding FAICCYRSAILLDVIATSALLIYVTRVALGYKQTWDRYQLLVNRTLYEKTLASGFGSVYFLLDASQQQQYKEAILVYAVLLHSKSNQVSCAKGVKDECEKFLYAKFKEKIEMPIDKALDTVMRLGLVMEFSEQGKIKLKAIPCSIAYDNLRKHWDHLLEQT >DRNTG_21400.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1522160:1527410:-1 gene:DRNTG_21400 transcript:DRNTG_21400.11 gene_biotype:protein_coding transcript_biotype:protein_coding MWRKCLENVPKCCFYSLFQVNPSKTSQNEYNGQLEGLPLQMKIYERIPIPELQVIFPHKKLSFRILDTVRLDIASLLGLLAFFVNYKFENILSSPSAILLDVIATSALLIYVTRVALGYKQTWDRYQLLVNRTLYEKTLASGFGSVYFLLDASQQQQYKEAILVYAVLLHSKSNQVSCAKGVKDECEKFLYAKFKEKIEMPIDKALDTVMRLGLVMEFSEQGKIKLKAIPCSIAYDNLRKHWDHLLEQT >DRNTG_21400.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1522160:1531667:-1 gene:DRNTG_21400 transcript:DRNTG_21400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYERIPIPELQVIFPHKKLSFRILDTVRLDIASLLGLLAFFVNYKFENILSSPSAILLDVIATSALLIYVTRVALGYKQTWDRYQLLVNRTLYEKTLASGFGSVYFLLDASQQQQYKEAILVYAVLLHSKSNQVSCAKGVKDECEKFLYAKFKEKIEMPIDKALDTVMRLGLVMEFSEQGKIKLKAIPCSIAYDNLRKHWDHLLEQT >DRNTG_21400.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1522160:1531667:-1 gene:DRNTG_21400 transcript:DRNTG_21400.6 gene_biotype:protein_coding transcript_biotype:protein_coding MWRKCLENVPKCCFYSLFQVNPSIINQEPAFQELILLYTEETSQNEYNGQLEGLPLQMKIYERIPIPELQVSTFEFGSCTNLFSVLFQYSMAGWLFINIIDVVVVVVFCLLNGWIKYFKLQCKFFLQIILLQVIFPHKKLSFRILDTVRLDIASLLGLLAFFVNYKFENILSSPSAILLDVIATSALLIYVTRVALGYKQTWDRYQLLVNRTLYEKTLASGFGSVYFLLDASQQQQYKEAILVYAVLLHSKSNQVSCAKGVKDECEKFLYAKFKEKIEMPIDKALDTVMRLGLVMEFSEQGKIKLKAIPCSIAYDNLRKHWDHLLEQT >DRNTG_21400.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1522160:1531667:-1 gene:DRNTG_21400 transcript:DRNTG_21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRKCLENVPKCCFYSLFQVNPSKTSQNEYNGQLEGLPLQMKIYERIPIPELQVIFPHKKLSFRILDTVRLDIASLLGLLAFFVNYKFENILSSPSAILLDVIATSALLIYVTRVALGYKQTWDRYQLLVNRTLYEKTLASGFGSVYFLLDASQQQQYKEAILVYAVLLHSKSNQVSCAKGVKDECEKFLYAKFKEKIEMPIDKALDTVMRLGLVMEFSEQGKIKLKAIPCSIAYDNLRKHWDHLLEQT >DRNTG_21400.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1522160:1531667:-1 gene:DRNTG_21400 transcript:DRNTG_21400.10 gene_biotype:protein_coding transcript_biotype:protein_coding MWRKCLENVPKCCFYSLFQVNPSKTSQNEYNGQLEGLPLQMKIYERIPIPELQVIFPHKKLSFRILDTVCSFYFPV >DRNTG_21400.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1522160:1531667:-1 gene:DRNTG_21400 transcript:DRNTG_21400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLQDAEFEELSAQDLMLTYALNNDYLLTLPIYVDWKKASKSNAIIFRRGYATERQKGLLLVEKLDFLQSKLLQGIFFSLSRPLKKIGGWINEALKSSSEAADMQIWIDKVKLWLKERYSLERTQFMVESRSKNQLNSEQIGDGKLPIWLAAQRAVARYEGFLSSVGPRGRLIRKLLTWMEIIPSMPEASLDLKKETSYSEAYLRQTFLPRITLSNIWEPASRESCGGNVWKMFQNAVSILFSKSILQEPAFQELILLYTEETSQNEYNGQLEGLPLQMKIYERIPIPELQVIFPHKKLSFRILDTVRLDIASLLGLLAFFVNYKFENILSSPSAILLDVIATSALLIYVTRVALGYKQTWDRYQLLVNRTLYEKTLASGFGSVYFLLDASQQQQYKEAILVYAVLLHSKSNQVSCAKGVKDECEKFLYAKFKEKIEMPIDKALDTVMRLGLVMEFSEQGKIKLKAIPCSIAYDNLRKHWDHLLEQT >DRNTG_05427.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:4997526:5006557:1 gene:DRNTG_05427 transcript:DRNTG_05427.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYACLRYLDKSMDSGVFQTAFFSASFPQLESGRRIGFGEVLPRSLERFYGSDIACRLEEEQNEQQTLSDYARPSVLGTQSSIMRPPITSQNFKLKPISIGDASSVENIDFVGNGIRNQGNLYNNTYNPGWKSHPNFSWSNQGPQKAIGPPSFQQQAPNVENQVSGLETRMTDLEKALTRFVQSSDTGFQSSLSERPQGSLPSNTETNPIEHVKAITLRSGREVEGKLSSEKLNDHTPEVIEVEEGACKEKEVAPEMFNPDPYEGLFNQEEDNEEVMMLGSTKEVPSTPGILKKVLPKMKRARRRHPKRFKAIGDRLQNFLRHPEKTHERVEFSHAREFALRAHPENAHGGVDSPYGKPCENCTGVGNFHTPVRISTDEFSPPREDTGNFRTCLCELEKPQGRASALVGLAHGCGYFLHTRVRAFRGSECLLEIAQGYAFAPVRLSYRDARAWHSFLHLFNNLREARRLGFGEALDRSLERFYGSDIARLWKKVSGRDFVGTDQARCILGLTKLAFRGALARLLEWFYGFQHRIPLEESYWRSFHQHRLGAMCPRPDE >DRNTG_23333.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6148908:6149854:1 gene:DRNTG_23333 transcript:DRNTG_23333.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQGYAVELYFDPALENQVLKAWNVLARRQITKHLIDMQSRPHITLLSSPSLDPHRLLSSLRSLASRHEPFPLSLSAAAAFPGDPAVLFLSPTPSLSLLSLHSQLCDALRKESFDAPDEFRVDSWVPHCSVAQDVAGTRVAEAFCILRDLKLPVTGYVTELGVVEFSPVREIFSFPLGSLSES >DRNTG_23333.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6148908:6151108:1 gene:DRNTG_23333 transcript:DRNTG_23333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQGYAVELYFDPALENQVLKAWNVLARRQITKHLIDMQSRPHITLLSSPSLDPHRLLSSLRSLASRHEPFPLSLSAAAAFPGDPAVLFLSPTPSLSLLSLHSQLCDALRKESFDAPDEFRVDSWVPHCSVAQDVAGTRVAEAFCILRDLKLPVTGYVTELGVVEFSPVREIFSFPLGSLSES >DRNTG_22083.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20268285:20272027:-1 gene:DRNTG_22083 transcript:DRNTG_22083.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g15300 [Source:Projected from Arabidopsis thaliana (AT5G15300) UniProtKB/Swiss-Prot;Acc:Q9LXF2] MHKLCHCQICELMVCWLTQSMSFNLSYKILLILRKYISRKPWLFILGKHIEDERKAGRFTLRDGAAQEMIRKSGNKRHRTGIWHWCWSLRSLKQIHGLMVVRGFLSDPSALRELIFCSAISLPGAMHYALQLFDRIPDPDLFIWNTVIRGAAHTMTPLDAISLFARMERSFARPNKLTFPFLLRASTKLSSPFLGSQFHAKIVKFGFETDIFTKNSLINLHANCGDLAIASSLFDDIARKDVVAWSSLIAGYAKRGQLNVARQLFDEMPCKDLVSWNVMITGYVKQGDMENARELFDEVPQRDVVSWNAMIAGYVRCGSLKEAMKVFEEMHQAGERPDEVTMLSLLSACADSGALDVGEKIHQSILEIYPRSTNLSISLGNVLIDMYAKCGSIDKAFKVFRGMREKDVSTWNSIIGGLAFHGHAEESISLFEEMRKKSTKPNDITFVSVLVACSHAGMVEDGREYFKLMRTEYGIEPNVKHYGCMVDILGRAGHLKEAFEFVKDMKFEPNAIVWRTLLGACRIHGNVELGECANEELLKLRKGASGDYVLLSNIYASMGEWDGVEKVRKLMDDRGVSKEAGCAQIEGENSELIRFLLRQTKS >DRNTG_22083.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20268179:20270023:-1 gene:DRNTG_22083 transcript:DRNTG_22083.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g15300 [Source:Projected from Arabidopsis thaliana (AT5G15300) UniProtKB/Swiss-Prot;Acc:Q9LXF2] MVVRGFLSDPSALRELIFCSAISLPGAMHYALQLFDRIPDPDLFIWNTVIRGAAHTMTPLDAISLFARMERSFARPNKLTFPFLLRASTKLSSPFLGSQFHAKIVKFGFETDIFTKNSLINLHANCGDLAIASSLFDDIARKDVVAWSSLIAGYAKRGQLNVARQLFDEMPCKDLVSWNVMITGYVKQGDMENARELFDEVPQRDVVSWNAMIAGYVRCGSLKEAMKVFEEMHQAGERPDEVTMLSLLSACADSGALDVGEKIHQSILEIYPRSTNLSISLGNVLIDMYAKCGSIDKAFKVFRGMREKDVSTWNSIIGGLAFHGHAEESISLFEEMRKKSTKPNDITFVSVLVACSHAGMVEDGREYFKLMRTEYGIEPNVKHYGCMVDILGRAGHLKEAFEFVKDMKFEPNAIVWRTLLGACRIHGNVELGECANEELLKLRKGASGDYVLLSNIYASMGEWDGVEKVRKLMDDRGVSKEAGCAQIEGENSELIRFLLRQTKS >DRNTG_22083.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20268285:20272027:-1 gene:DRNTG_22083 transcript:DRNTG_22083.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g15300 [Source:Projected from Arabidopsis thaliana (AT5G15300) UniProtKB/Swiss-Prot;Acc:Q9LXF2] MHKLCHCQICELMVCWLTQSMSFNLSYKILLILRKYISRKPWLFILGKHIEDERKAGRFTLRDGAAQEMIRKSGNKRHRTGIWHWCWSLRSLKQIHGLMVVRGFLSDPSALRELIFCSAISLPGAMHYALQLFDRIPDPDLFIWNTVIRGAAHTMTPLDAISLFARMERSFARPNKLTFPFLLRASTKLSSPFLGSQFHAKIVKFGFETDIFTKNSLINLHANCGDLAIASSLFDDIARKDVVAWSSLIAGYAKRGQLNVARQLFDEMPCKDLVSWNVMITGYVKQGDMENARELFDEVPQRDVVSWNAMIAGYVRCGSLKEAMKVFEEMHQAGERPDEVTMLSLLSACADSGALDVGEKIHQSILEIYPRSTNLSISLGNVLIDMYAKCGSIDKAFKVFRGMREKDVSTWNSIIGGLAFHGHAEESISLFEEMRKKSTKPNDITFVSVLVACSHAGMVEDGREYFKLMRTEYGIEPNVKHYGCMVDILGRAGHLKEAFEFVKDMKFEPNAIVWRTLLGACRIHGNVELGECANEELLKLRKGASGDYVLLSNIYASMGEWDGVEKVRKLMDDRGVSKEAGCAQIEGENSELIRFLLRQTKS >DRNTG_22083.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20268285:20272027:-1 gene:DRNTG_22083 transcript:DRNTG_22083.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g15300 [Source:Projected from Arabidopsis thaliana (AT5G15300) UniProtKB/Swiss-Prot;Acc:Q9LXF2] MIRKSGNKRHRTGIWHWCWSLRSLKQIHGLMVVRGFLSDPSALRELIFCSAISLPGAMHYALQLFDRIPDPDLFIWNTVIRGAAHTMTPLDAISLFARMERSFARPNKLTFPFLLRASTKLSSPFLGSQFHAKIVKFGFETDIFTKNSLINLHANCGDLAIASSLFDDIARKDVVAWSSLIAGYAKRGQLNVARQLFDEMPCKDLVSWNVMITGYVKQGDMENARELFDEVPQRDVVSWNAMIAGYVRCGSLKEAMKVFEEMHQAGERPDEVTMLSLLSACADSGALDVGEKIHQSILEIYPRSTNLSISLGNVLIDMYAKCGSIDKAFKVFRGMREKDVSTWNSIIGGLAFHGHAEESISLFEEMRKKSTKPNDITFVSVLVACSHAGMVEDGREYFKLMRTEYGIEPNVKHYGCMVDILGRAGHLKEAFEFVKDMKFEPNAIVWRTLLGACRIHGNVELGECANEELLKLRKGASGDYVLLSNIYASMGEWDGVEKVRKLMDDRGVSKEAGCAQIEGENSELIRFLLRQTKS >DRNTG_22083.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20268285:20270023:-1 gene:DRNTG_22083 transcript:DRNTG_22083.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g15300 [Source:Projected from Arabidopsis thaliana (AT5G15300) UniProtKB/Swiss-Prot;Acc:Q9LXF2] MVVRGFLSDPSALRELIFCSAISLPGAMHYALQLFDRIPDPDLFIWNTVIRGAAHTMTPLDAISLFARMERSFARPNKLTFPFLLRASTKLSSPFLGSQFHAKIVKFGFETDIFTKNSLINLHANCGDLAIASSLFDDIARKDVVAWSSLIAGYAKRGQLNVARQLFDEMPCKDLVSWNVMITGYVKQGDMENARELFDEVPQRDVVSWNAMIAGYVRCGSLKEAMKVFEEMHQAGERPDEVTMLSLLSACADSGALDVGEKIHQSILEIYPRSTNLSISLGNVLIDMYAKCGSIDKAFKVFRGMREKDVSTWNSIIGGLAFHGHAEESISLFEEMRKKSTKPNDITFVSVLVACSHAGMVEDGREYFKLMRTEYGIEPNVKHYGCMVDILGRAGHLKEAFEFVKDMKFEPNAIVWRTLLGACRIHGNVELGECANEELLKLRKGASGDYVLLSNIYASMGEWDGVEKVRKLMDDRGVSKEAGCAQIEGENSELIRFLLRQTKS >DRNTG_22083.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20268285:20272027:-1 gene:DRNTG_22083 transcript:DRNTG_22083.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g15300 [Source:Projected from Arabidopsis thaliana (AT5G15300) UniProtKB/Swiss-Prot;Acc:Q9LXF2] MIRKSGNKRHRTGIWHWCWSLRSLKQIHGLMVVRGFLSDPSALRELIFCSAISLPGAMHYALQLFDRIPDPDLFIWNTVIRGAAHTMTPLDAISLFARMERSFARPNKLTFPFLLRASTKLSSPFLGSQFHAKIVKFGFETDIFTKNSLINLHANCGDLAIASSLFDDIARKDVVAWSSLIAGYAKRGQLNVARQLFDEMPCKDLVSWNVMITGYVKQGDMENARELFDEVPQRDVVSWNAMIAGYVRCGSLKEAMKVFEEMHQAGERPDEVTMLSLLSACADSGALDVGEKIHQSILEIYPRSTNLSISLGNVLIDMYAKCGSIDKAFKVFRGMREKDVSTWNSIIGGLAFHGHAEESISLFEEMRKKSTKPNDITFVSVLVACSHAGMVEDGREYFKLMRTEYGIEPNVKHYGCMVDILGRAGHLKEAFEFVKDMKFEPNAIVWRTLLGACRIHGNVELGECANEELLKLRKGASGDYVLLSNIYASMGEWDGVEKVRKLMDDRGVSKEAGCAQIEGENSELIRFLLRQTKS >DRNTG_22083.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20268285:20270099:-1 gene:DRNTG_22083 transcript:DRNTG_22083.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g15300 [Source:Projected from Arabidopsis thaliana (AT5G15300) UniProtKB/Swiss-Prot;Acc:Q9LXF2] MIRKSGNKRHRTGIWHWCWSLRSLKQIHGLMVVRGFLSDPSALRELIFCSAISLPGAMHYALQLFDRIPDPDLFIWNTVIRGAAHTMTPLDAISLFARMERSFARPNKLTFPFLLRASTKLSSPFLGSQFHAKIVKFGFETDIFTKNSLINLHANCGDLAIASSLFDDIARKDVVAWSSLIAGYAKRGQLNVARQLFDEMPCKDLVSWNVMITGYVKQGDMENARELFDEVPQRDVVSWNAMIAGYVRCGSLKEAMKVFEEMHQAGERPDEVTMLSLLSACADSGALDVGEKIHQSILEIYPRSTNLSISLGNVLIDMYAKCGSIDKAFKVFRGMREKDVSTWNSIIGGLAFHGHAEESISLFEEMRKKSTKPNDITFVSVLVACSHAGMVEDGREYFKLMRTEYGIEPNVKHYGCMVDILGRAGHLKEAFEFVKDMKFEPNAIVWRTLLGACRIHGNVELGECANEELLKLRKGASGDYVLLSNIYASMGEWDGVEKVRKLMDDRGVSKEAGCAQIEGENSELIRFLLRQTKS >DRNTG_22083.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20268285:20272027:-1 gene:DRNTG_22083 transcript:DRNTG_22083.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g15300 [Source:Projected from Arabidopsis thaliana (AT5G15300) UniProtKB/Swiss-Prot;Acc:Q9LXF2] MIRKSGNKRHRTGIWHWCWSLRSLKQIHGLMVVRGFLSDPSALRELIFCSAISLPGAMHYALQLFDRIPDPDLFIWNTVIRGAAHTMTPLDAISLFARMERSFARPNKLTFPFLLRASTKLSSPFLGSQFHAKIVKFGFETDIFTKNSLINLHANCGDLAIASSLFDDIARKDVVAWSSLIAGYAKRGQLNVARQLFDEMPCKDLVSWNVMITGYVKQGDMENARELFDEVPQRDVVSWNAMIAGYVRCGSLKEAMKVFEEMHQAGERPDEVTMLSLLSACADSGALDVGEKIHQSILEIYPRSTNLSISLGNVLIDMYAKCGSIDKAFKVFRGMREKDVSTWNSIIGGLAFHGHAEESISLFEEMRKKSTKPNDITFVSVLVACSHAGMVEDGREYFKLMRTEYGIEPNVKHYGCMVDILGRAGHLKEAFEFVKDMKFEPNAIVWRTLLGACRIHGNVELGECANEELLKLRKGASGDYVLLSNIYASMGEWDGVEKVRKLMDDRGVSKEAGCAQIEGENSELIRFLLRQTKS >DRNTG_11441.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6790892:6802061:1 gene:DRNTG_11441 transcript:DRNTG_11441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSATRRLLSSIRSKTNTVSLLIFDGGAMPPSAGGRRAMSTAARAKEDNEAWWKESLERMRNIGISAHIDSGKTTLTERVLFYTGRIHEIHEVRGRDGVGAKMDSMDLEREKGITIQSAATYCTWNGYQINIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEVPRLAFINKLDRMGADPWKVLNQARSKLRHHSAAVQIPIGLEEEFQGLVDLVELKSYYFHGANGENVVASDIPSNLEASAVEKRRELIEVVSEVDDQLAEIFLNDEPMSPRDLKMAIRRATIARKFVPVLYGKRIQKQGRTTTP >DRNTG_28763.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24216587:24220110:1 gene:DRNTG_28763 transcript:DRNTG_28763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALASLPFPFATLLPNTNKRPTRLFSTTLAAEPISSPSPSLNVVIAGGGSGGHIFPAIAIADELRADARVVFLGTSTGMEREVIPAAGYEFVPIPKVKLSRPFLSPLNLLLPFKLLRSIVASLRVLRKIRPDIVVGTGAYVSAPVLFAAALSGIRLVIQEQNAYPGLTNQVIAPYAEKIFLAFNACLKYFPRDKCVVCGNPIRLSLSHHASKVDARLHYFPKAGENAQVVLVLGGSTGATALNDAFLKMYHDMLVEHDNRYIIWQTGAEWHKEVESHVKKHPRLLLTPFLHAMDLAYAASDVVVSRAGAMTCTEILNAGKPSILIPSPTAAEDHQTKNAYTMADIAGSKVIIEDELDSTSLESAINEVLGDENLMREMSEKAIRAARPNASSDIAQCILSMVKTSSA >DRNTG_27431.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28627102:28633765:1 gene:DRNTG_27431 transcript:DRNTG_27431.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLVVLGIPWDVDTDGLKQYMSKFGELEDCIVMKERSTGRSRGFGYVTFVSAENAKTALESEHILGNRTLEVKIATPKEEMRQPPKKATRIFVARIPHSVTESMFREYFESYGEITDLYMPKDQGSKAHRGIGFITFASADSVDTLMAENHELGGSAVVIDRATPKEEDTRYPSRVTQGGYGAYNAYISAATRYAALGAPTLYDHPGSAYGRGFFGPSRGMGKKIFVGRLPQEAGGDDLCQYFGRFGRILDVYVPKDPKRTGHRGFGFVTFAEDGVADRVSRRTHEILGQEVAIDSATPLDDSGPDGHYMDVADAYGGYGGPVRSYGRMFGSMDFENYGYGASTSRPSTRTEWRYRPY >DRNTG_27431.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28627102:28633765:1 gene:DRNTG_27431 transcript:DRNTG_27431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLVVLGIPWDVDTDGLKQYMSKFGELEDCIVMKERSTGRSRGFGYVTFVSAENAKTALESEHILGNRTLEVKIATPKEEMRQPPKKATRIFVARIPHSVTESMFREYFESYGEITDLYMPKDQGSKAHRGIGFITFASADSVDTLMAENHELGGSAVVIDRATPKEEDTRYPSRVTQGGYGAYNAYISAATRYAALGAPTLYDHPGSAYGRGFFGPSRGMGKKIFVGRLPQEAGGDDLCQYFGRFGRILDVYVPKDPKRTGHRGFGFVTFAEDGVADRVSRRTHEILGQEVAIDSATPLDDSGPDGHYMDVADAYGGYGGPVRSYGRMFGSMDFENYGYGASTSRPSTRTEWRYRPY >DRNTG_33576.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10575136:10580288:-1 gene:DRNTG_33576 transcript:DRNTG_33576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLHKSPKPKRPSSLHATNKKKVKKRNFANPNLIPLGKNPIVADFENKANEELRNKKKKGKEAEHDALLLSTLPAAQQLRFFLDSYQSANGIKLSPLELEAFKDTSVAELPQEVAQDVDNFSDHIKTAFDDSWREALCEGKLSDGIDAGSPAVLVISASALRSLELLRGLKKFTKECRPAKLFAKHMKVEDQVSILKGRVNIASGTPSRIKKLIDMDAMILSRLTLVVLDMHRDAKGYTLLTLKQIRDEFWDLYKSHFHPRLLQGDTRFCFYGSRSGAETEKVVPIDE >DRNTG_32059.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5358053:5362693:-1 gene:DRNTG_32059 transcript:DRNTG_32059.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSYKDLAEFYTSVLEFQEALPLALKALDIYEERLGSDSMEVIQVRRLLGVVYTSLGENEEALKQNEMARSVLEDLHLNEELFYVEIEKANMQISLGRLDNAIDTLKGVIAQAEKESDIRAVVFVSMAKALFNQDKFGDSKRCLEIACGILDKKKLVSPDKVAETYAETSLLYEAMNDFEIAMSLMKRTLAIIEKLPQQLHLAGSISARLGWLLLLTRRVSDAVPLLEAAVEQLKGSFGPMHFGLGFVYKHLGQTYLEMNQPQEALRMLLLGKKIIEKTFGEDHEDSIDTCQCIANSYGAMESYALALEFQKHVIDSWERHGPNASDELREAHRLLEQLKKKAQGSPSAVFPANTLPLSRHN >DRNTG_30554.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:721167:722135:1 gene:DRNTG_30554 transcript:DRNTG_30554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNNEVIWEVANYLRVYKDGQIERLAHPGPDFVHAAYDPINGVSSKDITIDPKNNVSARLYLPKQVENQPEKKVPVLVYYHGGCFCLGSAFSSWNQNYLNSLSSKGNIIIASVEYRLAPEHPLPTGYEDSWQALQWVVSETKNDAWLQNHADFGRVFVGGDSAGGNIAHHMVMKIGSSEGMKVIKGMVLAHPYFWGIERLECEIAKAGNESSKVLTVDELDKMWPSVCPGTSGNDDDRINPFVEGAPSLAGLGCEKVMVCVAGKDLLRDRGRVYYENLKGSGWKGMVGLLESQGEDHCFYLLNPGCDKALEMIKVLVDFFK >DRNTG_05294.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12321079:12324956:1 gene:DRNTG_05294 transcript:DRNTG_05294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVWREEIFGPVLCVKTFKTEDEAIEIANDTHYGLGGAVLSKDAGRCQRITEALQAGIVWINCSQPCFSQAPWGGTKRSGFGRELGEWGLENYLSVKQVTEYISNDAWGWYPPPSKL >DRNTG_00010.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21516246:21517673:-1 gene:DRNTG_00010 transcript:DRNTG_00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLCVAAVIPLLSIMVVPGSARIPGVYTGGSWQSAHATFYGGGDASGTMGGACGYGNLYSQGYGVETAALSTALFNSGLSCGACFEIKCANDPEWCHPGSPSIFITATNFCPPNYALPSDNGGWCNPPRPHFDLAMPMFLKLAQYRAGIVPVSYRRVPCKKPGGIRFTINGFKYFNLVLITNVAGAGDIVKVSVKGSRTEWMPMSRNWGQNWQSNAVLVGQSISFRVTASDRLTTTSWNIVPANWQFGQTFSGKNFRV >DRNTG_08554.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17280358:17282657:1 gene:DRNTG_08554 transcript:DRNTG_08554.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSFISSSLIHLDLSRNQLSGEFFKDLGQAQALKYLNLAFNRFSHQSFPSIGNLSSLEYLNLSRTSLIGRIPADVSKLYTLKTLDLSQNHLVGRIPDLRSRNLNVLDVSMNNLTGEIPMPLLQNLVQMLYFNFSYNNLTLCDVKFSHQVLNTAFIGSQNNCPIAANPDIIRRKQVNHKGLKLVLVVSLSAFFLLVGLVCLAFACRRRTRSWAAKQLSYHEDQNISGPFSFQADSTTWVADVKQATSVPVVIFEKPLLNFTFADLLAATSHFDRGTLLAEGRFGPVYRGFLPGGIHVAVKILVHGSVLTEQEAATELEKLGRIKHANLVPLTGYCLAGDQRIAIYEYMENGNLQHLLHDLPLGVGAAEEWNADTWEDDNDGVRSFTNEGLTTWRFRHKIALGTARALAFLHHGCFPQVIHKDVKASSIYLDSALEPRLSDFGLAKVVGSSLEEELAHGSPGYTPPEFTNSDTCSATSKSDVYGFGVVLLELMTGKKPLGDEYPDEKESSLVAWARALVRKNQANKAIDPKIRDTGLEKQMEEALRIAYLCTADLPSKRPSMQQIVGLLKDIEPSIPEH >DRNTG_08554.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17279356:17282657:1 gene:DRNTG_08554 transcript:DRNTG_08554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGISVCFVFFLLFSFNCLASQQQQQQQKPNTDGFYVSDFLEKMGVMVSIFTQASSSSVCSWKGVVCDAKQERITQFVASGIGLSGSMPENTIGKLTKLQILDLSNNGITSLSSDFWGIGSSLIKLNFSSNRINGSLPNNIANFGMLESLDLSYNAFSGELPTSLGSLSSLQIVNLSGNIFHGSFPAEFLGCKSLVTINLSLNSINGTVPDEFGNAFKKLQRLDLSGNEIHGRIPNFSGLQSLLYLNLSNNLFQGSVRGVFQQSLQFIDLSNNQLQGHISEVNYNPMNSSSFISSSLIHLDLSRNQLSGEFFKDLGQAQALKYLNLAFNRFSHQSFPSIGNLSSLEYLNLSRTSLIGRIPADVSKLYTLKTLDLSQNHLVGRIPDLRSRNLNVLDVSMNNLTGEIPMPLLQNLVQMLYFNFSYNNLTLCDVKFSHQVLNTAFIGSQNNCPIAANPDIIRRKQVNHKGLKLVLVVSLSAFFLLVGLVCLAFACRRRTRSWAAKQLSYHEDQNISGPFSFQADSTTWVADVKQATSVPVVIFEKPLLNFTFADLLAATSHFDRGTLLAEGRFGPVYRGFLPGGIHVAVKILVHGSVLTEQEAATELEKLGRIKHANLVPLTGYCLAGDQRIAIYEYMENGNLQHLLHDLPLGVGAAEEWNADTWEDDNDGVRSFTNEGLTTWRFRHKIALGTARALAFLHHGCFPQVIHKDVKASSIYLDSALEPRLSDFGLAKVVGSSLEEELAHGSPGYTPPEFTNSDTCSATSKSDVYGFGVVLLELMTGKKPLGDEYPDEKESSLVAWARALVRKNQANKAIDPKIRDTGLEKQMEEALRIAYLCTADLPSKRPSMQQIVGLLKDIEPSIPEH >DRNTG_08554.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17279465:17282591:1 gene:DRNTG_08554 transcript:DRNTG_08554.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGISVCFVFFLLFSFNCLASQQQQQQQKPNTDGFYVSDFLEKMGVMVSIFTQASSSSVCSWKGVVCDAKQERITQFVASGIGLSGSMPENTIGKLTKLQILDLSNNGITSLSSDFWGIGSSLIKLNFSSNRINGSLPNNIANFGMLESLDLSYNAFSGELPTSLGSLSSLQIVNLSGNIFHGSFPAEFLGCKSLVTINLSLNSINGTVPDEFGNAFKKLQRLDLSGNEIHGRIPNFSGLQSLLYLNLSNNLFQGSVRGVFQQSLQFIDLSNNQLQGHISEVNYNPMNSSSFISSSLIHLDLSRNQLSGEFFKDLGQAQALKYLNLAFNRFSHQSFPSIGNLSSLEYLNLSRTSLIGRIPADVSKLYTLKTLDLSQNHLVGRIPDLRSRNLNVLDVSMNNLTGEIPMPLLQNLVQMLYFNFSYNNLTLCDVKFSHQVLNTAFIGSQNNCPIAANPDIIRRKQVNHKGLKLVLVVSLSAFFLLVGLVCLAFACRRRTRSWAAKQLSYHEDQNISGPFSFQADSTTWVADVKQATSVPVVIFEKPLLNFTFADLLAATSHFDRGTLLAEGRFGPVYRGFLPGGIHVAVKILVHGSVLTEQEAATELEKLGRIKHANLVPLTGYCLAGDQRIAIYEYMENGNLQHLLHDLPLGVGAAEEWNADTWEDDNDGVRSFTNEGLTTWRFRHKIALGTARALAFLHHGCFPQVIHKDVKASSIYLDSALEPRLSDFGLAKVVGSSLEEELAHGSPGYTPPEFTNSDTCSATSKSDVYGFGVVLLELMTGKKPLGDEYPDEKESSLVAWARALVRKNQANKAIDPKIRDTGLEKQMEEALRIAYLCTADLPSKRPSMQQIVGLLKDIEPSIPEH >DRNTG_08554.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17279465:17282657:1 gene:DRNTG_08554 transcript:DRNTG_08554.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGISVCFVFFLLFSFNCLASQQQQQQQKPNTDGFYVSDFLEKMGVMVSIFTQASSSSVCSWKGVVCDAKQERITQFVASGIGLSGSMPENTIGKLTKLQILDLSNNGITSLSSDFWGIGSSLIKLNFSSNRINGSLPNNIANFGMLESLDLSYNAFSGELPTSLGSLSSLQIVNLSGNIFHGSFPAEFLGCKSLVTINLSLNSINGTVPDEFGNAFKKLQRLDLSGNEIHGRIPNFSGLQSLLYLNLSNNLFQGSVRGVFQQSLQFIDLSNNQLQGHISEVNYNPMNSSSFISSSLIHLDLSRNQLSGEFFKDLGQAQALKYLNLAFNRFSHQSFPSIGNLSSLEYLNLSRTSLIGRIPADVSKLYTLKTLDLSQNHLVGRIPDLRSRNLNVLDVSMNNLTGEIPMPLLQNLVQMLYFNFSYNNLTLCDVKFSHQVLNTAFIGSQNNCPIAANPDIIRRKQVNHKGLKLVLVVSLSAFFLLVGLVCLAFACRRRTRSWAAKQLSYHEDQNISGPFSFQADSTTWVADVKQATSVPVVIFEKPLLNFTFADLLAATSHFDRGTLLAEGRFGPVYRGFLPGGIHVAVKILVHGSVLTEQEAATELEKLGRIKHANLVPLTGYCLAGDQRIAIYEYMENGNLQHLLHDLPLGVGAAEEWNADTWEDDNDGVRSFTNEGLTTWRFRHKIALGTARALAFLHHGCFPQVIHKDVKASSIYLDSALEPRLSDFGLAKVVGSSLEEELAHGSPGYTPPEFTNSDTCSATSKSDVYGFGVVLLELMTGKKPLGDEYPDEKESSLVAWARALVRKNQANKAIDPKIRDTGLEKQMEEALRIAYLCTADLPSKRPSMQQIVGLLKDIEPSIPEH >DRNTG_08554.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17279356:17282591:1 gene:DRNTG_08554 transcript:DRNTG_08554.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGISVCFVFFLLFSFNCLASQQQQQQQKPNTDGFYVSDFLEKMGVMVSIFTQASSSSVCSWKGVVCDAKQERITQFVASGIGLSGSMPENTIGKLTKLQILDLSNNGITSLSSDFWGIGSSLIKLNFSSNRINGSLPNNIANFGMLESLDLSYNAFSGELPTSLGSLSSLQIVNLSGNIFHGSFPAEFLGCKSLVTINLSLNSINGTVPDEFGNAFKKLQRLDLSGNEIHGRIPNFSGLQSLLYLNLSNNLFQGSVRGVFQQSLQFIDLSNNQLQGHISEVNYNPMNSSSFISSSLIHLDLSRNQLSGEFFKDLGQAQALKYLNLAFNRFSHQSFPSIGNLSSLEYLNLSRTSLIGRIPADVSKLYTLKTLDLSQNHLVGRIPDLRSRNLNVLDVSMNNLTGEIPMPLLQNLVQMLYFNFSYNNLTLCDVKFSHQVLNTAFIGSQNNCPIAANPDIIRRKQVNHKGLKLVLVVSLSAFFLLVGLVCLAFACRRRTRSWAAKQLSYHEDQNISGPFSFQADSTTWVADVKQATSVPVVIFEKPLLNFTFADLLAATSHFDRGTLLAEGRFGPVYRGFLPGGIHVAVKILVHGSVLTEQEAATELEKLGRIKHANLVPLTGYCLAGDQRIAIYEYMENGNLQHLLHDLPLGVGAAEEWNADTWEDDNDGVRSFTNEGLTTWRFRHKIALGTARALAFLHHGCFPQVIHKDVKASSIYLDSALEPRLSDFGLAKVVGSSLEEELAHGSPGYTPPEFTNSDTCSATSKSDVYGFGVVLLELMTGKKPLGDEYPDEKESSLVAWARALVRKNQANKAIDPKIRDTGLEKQMEEALRIAYLCTADLPSKRPSMQQIVGLLKDIEPSIPEH >DRNTG_08554.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17280358:17282591:1 gene:DRNTG_08554 transcript:DRNTG_08554.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSFISSSLIHLDLSRNQLSGEFFKDLGQAQALKYLNLAFNRFSHQSFPSIGNLSSLEYLNLSRTSLIGRIPADVSKLYTLKTLDLSQNHLVGRIPDLRSRNLNVLDVSMNNLTGEIPMPLLQNLVQMLYFNFSYNNLTLCDVKFSHQVLNTAFIGSQNNCPIAANPDIIRRKQVNHKGLKLVLVVSLSAFFLLVGLVCLAFACRRRTRSWAAKQLSYHEDQNISGPFSFQADSTTWVADVKQATSVPVVIFEKPLLNFTFADLLAATSHFDRGTLLAEGRFGPVYRGFLPGGIHVAVKILVHGSVLTEQEAATELEKLGRIKHANLVPLTGYCLAGDQRIAIYEYMENGNLQHLLHDLPLGVGAAEEWNADTWEDDNDGVRSFTNEGLTTWRFRHKIALGTARALAFLHHGCFPQVIHKDVKASSIYLDSALEPRLSDFGLAKVVGSSLEEELAHGSPGYTPPEFTNSDTCSATSKSDVYGFGVVLLELMTGKKPLGDEYPDEKESSLVAWARALVRKNQANKAIDPKIRDTGLEKQMEEALRIAYLCTADLPSKRPSMQQIVGLLKDIEPSIPEH >DRNTG_32658.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001887.1:8615:12550:1 gene:DRNTG_32658 transcript:DRNTG_32658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEAMKSWGKTSNTNLHSRRAIVILDEGDDALHIRVALENGDGLLRCRNYGQRPRSRGGGGRAEGEPERLAGEERGAKWEVSGGWRV >DRNTG_20436.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4495156:4502446:-1 gene:DRNTG_20436 transcript:DRNTG_20436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGRVDHGLKEMVAMVVVQSIYACMTIFSKEAFTEGMSIIVFVVYRQAIASLLLIPTSILNRGKIDHLALGLKGFLLVFLIS >DRNTG_20436.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4500554:4502446:-1 gene:DRNTG_20436 transcript:DRNTG_20436.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGRVDHGLKEMVAMVVVQSIYACMTIFSKEAFTEGMSIIVFVVYRQAIASLLLIPTSILNRGKIDHLALGLKGFLLVFLISFVGPFMTQYLYYNGLDLLSSSLATAMANTLPAATFLMALVFGVEKLKPKSLRTYAKIFGTLICVAGAVCMALYKGSKLHNINLLEEKWIKGFLFLSGSICCWSLWLILQAIICKNYLDPLSLATWVSFLSTMPSFILALIIETNSNAWIIKSVSQLLSCLFVGIFGSGVTFYLVSWVIASRGPVFSALFAPLSLVITTILGALLLQENVYVGSLVGATSVVGGLYMVLWGKTEDYETKAKVDQKDDSTEQDDVQSSLHESLLIGRDHDIEGHLQIN >DRNTG_12745.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25820063:25821990:1 gene:DRNTG_12745 transcript:DRNTG_12745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASSSPERSVPKLGLLCRGWRIGKKLAVAGAAVTTAPLVVPPLLVLSTVGLVCAVPVCVYLAAFAATERVMTSMLPIPSDEEPVESEVGVEPLDMVYVCVVKEKGRMDDDDDDDDDDVRGGGGGEERSLMDVERESLIENEKGEVVVLMDEEKKSEQVELGEKSGDGEGLADAAVQIENPLPIVSEKDENGENSAMNNGKEEDELSVMEYASMVENANGEVIVIFKELKIEKDEFLNAPETEKTLPTSQISVAPVDKESSEYASAVEDQSSETADSEIQISTELVTVLSVDQTEAMDKDEGKFNEEKIWEEIYALRTIMGYQGALSMSCVEELTALYIFAGVEPPANVKDSPKLKEVNDMLLFLKSVIGVK >DRNTG_18144.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:584498:584971:1 gene:DRNTG_18144 transcript:DRNTG_18144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQSSCFFSGSCGSAPLISFIAPDGNLGCIALQMPTAADLMIEFPGHVVAIAESSAAGAKISPIKADEEMRSGLVYLLVPVDRFGFKASDRQMEVAKAAVESRRRRRKGMGSGSDKRLFPVAACKGEVNTGSAGNRVRPVNQWRPVLDTIHEALSQ >DRNTG_24984.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28180016:28185454:-1 gene:DRNTG_24984 transcript:DRNTG_24984.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKPSACALCEQSNLACICPVCVNHRLSDYNARLKPSRSKRDSLHKKLAAELVAGRKAADQVNWRIVQAEKLSKMNQRLHFLKEKLAKDKAKVEKVSNDLKIKNDVLDSAFAMLEQKRLDVLEKYYPNFICTQNLGLMAITSERLHKQSVVVKHICRLFPMHRVNADGEKNDGYGGLYDQICYARLPRGLDPHSVPSEELAASLGYMVQLLNLLVPNLAAPALHNAGFAGSCSRIWQRDSYWDARPSSPSKEYPLFIPRQNFCSSGGDNSWSDRGCSNFGVASVESERRPYLDSSGANSFNYSSASPHTIETHKELQKGISLLKKSVACITAYCYNSLGLDIPVEATTFEAFAKLLATLSSSKERHSVFSLKHAHARSDKRTQRLNKSVCHANSVVSSSLAGSTHITPIRPNVINNNLSNSTASFLYSADMAGLGKIESLVEEWDLVEHPTLPPPPSQVEDTEHWTRAMFTDATKK >DRNTG_14982.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24020854:24026230:1 gene:DRNTG_14982 transcript:DRNTG_14982.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMDQRKKDSIEMDFFTEYGDANRYKIQEVIGKGSYGVVCSAVDVYTGGKVAIKKINGIFEHISDAARILREIKLLRLLRHPDIVDIKHIILPPSKREFRDIYVVFELMESDLHQVLKANDDLTKEHHQFFLYQLLRALKYMHTANVYHRDLKPKNILANANCKLKICDFGLARVAFHDTPSTVIWTDYVATRWYRAPELCGSFYSRYTPAIDIWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNDKARRYLSSMRKKQPIPLSQKFPKADPLALKLLERLLAFDPKDRPTAEEALADPYFKSLAKVEREPSCNPISKMEFGFEFERQKVTKEGFRELIYREILEYHPQLLKDYVNGTERVHFVYPSAVDQFRKQFTFLEEVGGRSSPVIPLERNYVSLPRSSMVPATTMRLNEQQTSVSNKERLAVDEASKVAMATKKISQNVARPSHPPQLIQAGKAVGTVAPFENGGPKQCLWLKNTNEEWNPSRTTLPFHRSHFVLAQR >DRNTG_02772.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1262903:1264129:1 gene:DRNTG_02772 transcript:DRNTG_02772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSYDSVTDDDSPNPGRKIIMHPLYLPRSSPWFELRVFYVRLSNCEVDDYTPEHLTLNHIPLAPDTILEVNGKRSGIYSDCVFSSLRRDRVDKKSDEATFVSTDSLRMTGSVRFEVYDKDDLLLCGVLELCNGNGYVKESKNHSKKWNMKCQSSVSPGSSFLKGKQYLTADVPSPTIEVYVAGCFSGTPIILTKTLQLGFRKKHQMKAMLDCIPEFEGTTDMKKESPFEDPLQLSEYRDYKPENAMDMDYNSIYSRAEYFDEDGELSWFNAGVRVGVGIGLGVCVGVGIGVGLLIRTYQATTRNFRRRLF >DRNTG_06139.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25522959:25527073:1 gene:DRNTG_06139 transcript:DRNTG_06139.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGAMGLTFGDLMDLKTLDLHNTSLAGEIMNLGSLQLLETLNLSFNKLTSFGSGISTMTNLQILDLKNNSLEGAVPDSLGSLKNLHLLNLENNKLEGVLPQSLNRENLQVRTSGNLCLSLTLSTCNNLSDHPSLQPPHFTVVDIHKHSNHAKKAKIFGVIGVLCCMIILISVAIFKFKRWNETDATTSNPWTMAEMRSWNATKIFTYKDIKVATNNFKDVIGSGGFGNIYLGKLSDGKLVAVKVRSDRTQLGADSFINEVRVSP >DRNTG_06139.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25526692:25527073:1 gene:DRNTG_06139 transcript:DRNTG_06139.5 gene_biotype:protein_coding transcript_biotype:protein_coding AKPYLQADAFEIVDECIKGTFDVESMRKTASVAVRCVCRDASGRPTIAQVLAELREAYTIQLTSSSIGGSLN >DRNTG_06139.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25524105:25527073:1 gene:DRNTG_06139 transcript:DRNTG_06139.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMRSWNATKIFTYKDIKVATNNFKDVIGSGGFGNIYLGKLSDGKLVAVKVRSDRTQLGADSFINEVRLLTQVRHPNLVTLEGFCHESDQQILVYEYLPGRSLADNLYGPNNKKATLDWACRLKIAVDAAKGLDYLHNGSNPRIIHRDVKSSNILLDMEMNAKVSDFGLSKQVSQASASHVTTAVKGTAGYLDPEYYSTQQLTEKSDVYSFGIVLLELICGREPLSHAGSPDTYNLVLWAKPYLQADAFEIVDECIKGTFDVESMRKTASVAVRCVCRDASGRPTIAQVLAELREAYTIQLTSSSIGGSLN >DRNTG_06139.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25521728:25527073:1 gene:DRNTG_06139 transcript:DRNTG_06139.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMNVSVVLVRPTFLYKNHDLRNRPPSFAVSLGTAIAAVVNLTVSDPWVEEFILLVRKKVMVFCLLSVPSGGSPVISSIEVRPVPDGAYGAGLGGDRWNHLLRKRFRINCGYNGSEPLRYPSDPSDRLWDADRYFSPSRLSAGFHIEVPLNVSGLIESPPVAVLQTARVLARKNVLAYNLALDILGDYLVVLYFAGILPVSSSFDILVNADTVRSSYMVHHGEASVVLQLVKSVDALNIMFQNITFYPQVNAFEVYEIVEMPQEASSTTVSALQVIQQSTGFDLGWQDDPCSPLPWKHVECNGSLVTSLALSDMDLGAMGLTFGDLMDLKTLDLHNTSLAGEIMNLGSLQLLETLNLSFNKLTSFGSGISTMTNLQILDLKNNSLEGAVPDSLGSLKNLHLLNLENNKLEGVLPQSLNRENLQVRTSGNLCLSLTLSTCNNLSDHPSLQPPHFTVVDIHKHSNHAKKAKIFGVIGVLCCMIILISVAIFKFKRWNETDATTSNPWTMAEMRSWNATKIFTYKDIKVATNNFKDVIGSGGFGNIYLGKLSDGKLVAVKVRSDRTQLGADSFINEVRLLTQVRHPNLVTLEGFCHESDQQILVYEYLPGRSLADNLYGPNNKKATLDWACRLKIAVDAAKGLDYLHNGSNPRIIHRDVKSSNILLDMEMNAKVSDFGLSKQVSQASASHVTTAVKGTAGYLDPEYYSTQQLTEKSDVYSFGIVLLELICGREPLSHAGSPDTYNLVLWAKPYLQADAFEIVDECIKGTFDVESMRKTASVAVRCVCRDASGRPTIAQVLAELREAYTIQLTSSSIGGSLN >DRNTG_06139.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25520263:25527073:1 gene:DRNTG_06139 transcript:DRNTG_06139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMNVSVVLVRPTFLYKNHDLRNRPPSFAVSLGTAIAAVVNLTVSDPWVEEFILLVRKKVMVFCLLSVPSGGSPVISSIEVRPVPDGAYGAGLGGDRWNHLLRKRFRINCGYNGSEPLRYPSDPSDRLWDADRYFSPSRLSAGFHIEVPLNVSGLIESPPVAVLQTARVLARKNVLAYNLALDILGDYLVVLYFAGILPVSSSFDILVNADTVRSSYMVHHGEASVVLQLVKSVDALNIMFQNITFYPQVNAFEVYEIVEMPQEASSTTVSALQVIQQSTGFDLGWQDDPCSPLPWKHVECNGSLVTSLALSDMDLGAMGLTFGDLMDLKTLDLHNTSLAGEIMNLGSLQLLETLNLSFNKLTSFGSGISTMTNLQILDLKNNSLEGAVPDSLGSLKNLHLLNLENNKLEGVLPQSLNRENLQVRTSGNLCLSLTLSTCNNLSDHPSLQPPHFTVVDIHKHSNHAKKAKIFGVIGVLCCMIILISVAIFKFKRWNETDATTSNPWTMAEMRSWNATKIFTYKDIKVATNNFKDVIGSGGFGNIYLGKLSDGKLVAVKVRSDRTQLGADSFINEVRLLTQVRHPNLVTLEGFCHESDQQILVYEYLPGRSLADNLYGPNNKKATLDWACRLKIAVDAAKGLDYLHNGSNPRIIHRDVKSSNILLDMEMNAKVSDFGLSKQVSQASASHVTTAVKGTAGYLDPEYYSTQQLTEKSDVYSFGIVLLELICGREPLSHAGSPDTYNLVLWAKPYLQADAFEIVDECIKGTFDVESMRKTASVAVRCVCRDASGRPTIAQVLAELREAYTIQLTSSSIGGSLN >DRNTG_16154.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000797.1:46486:49478:1 gene:DRNTG_16154 transcript:DRNTG_16154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDSGNDSRGHLSACFFDRALLTSFIDENHEFAKGTSRILASHLTKGTSSRQDHHESESESDDAPTQDPPGTSFPPDFDSRFKGMEDDIQAFRYEQREMHGQIYQAYWLNEVLIEPVWILLGVHMRSFLAPNSVNEELHEVLIEPVYHLGIDGSWTTTWEEGEN >DRNTG_01244.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15651227:15651785:-1 gene:DRNTG_01244 transcript:DRNTG_01244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVHSIFKCTVVDLVVETDDVASYPTENEFCSFVVDKETDDVASYPTGNNGFFHVTFGVVDNETDACDEKATWAS >DRNTG_21663.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:11165690:11166697:1 gene:DRNTG_21663 transcript:DRNTG_21663.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLDISHGAKELREIKNDQDALNMAMVVDSGKEVCVFFRSGHCVSDQGGSSKFSAKDSIVIEAIDDKTNNLEEEKLEKAKDVEEEDLEDTIIGMDGRRKNDGEDSDMHDSDYNFSDELEEDPVEKIETVRDIVVVEKEP >DRNTG_10687.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13901429:13902201:1 gene:DRNTG_10687 transcript:DRNTG_10687.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLLLKNLFTTFSRRGYSGMASEVERKGKVMEEKVVMMNREGSGGVGGGGAVEESWVPDPVTGFYRPANRLVEMDAAELRQMLLSHKYSSRV >DRNTG_10687.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13901429:13902132:1 gene:DRNTG_10687 transcript:DRNTG_10687.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLLLKNLFTTFSRRGYSGMASEVERKGKVMEEKVVMMNREGSGGVGGGGAVEESWVPDPVTGFYRPANRLVEMDAAELRQMLLSHKYSSRV >DRNTG_10687.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13901429:13902067:1 gene:DRNTG_10687 transcript:DRNTG_10687.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLLLKNLFTTFSRRGYSGMASEVERKGKVMEEKVVMMNREGSGGVGGGGAVEESWVPDPVTGFYRPANRLVEMDAAELRQMLLSHKYSSRV >DRNTG_10687.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13901330:13902132:1 gene:DRNTG_10687 transcript:DRNTG_10687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLLLKNLFTTFSRRGYSGMASEVERKGKVMEEKVVMMNREGSGGVGGGGAVEESWVPDPVTGFYRPANRLVEMDAAELRQMLLSHKYSSRV >DRNTG_01536.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4945413:4948347:1 gene:DRNTG_01536 transcript:DRNTG_01536.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLLRVVSSIEISAGLGFADSDSSRYAGRLLGSKFVGKNSRKKESWSFYSLHDKLKKHGKLPVLSSLVANTAGEVVMSSEQKVYDVVLKQAALVKDQKRSEIVVDVKPDMVIPGTMYLLKEAYDRCGEVCAEYAKTFYLGTLLMTPERRRAIWAIYVWCRRTDELVDGHNASHITPTALDRWELRLEDLFAARPYDMLDAALSDTVSRFPVDIQPFKDMIEGMRMDLKKSRYENFDELYLYCYYVAGTVGLMSVPVMGIAPESKATTESVYNAALALGIANQLTNILRDVGEDARRGRIYLPQDELAEAGLSDEDIFNGKVTDKWRNFMKIQIKRARMFFKQAEQGVTELSQASRWPVWASLLLYRQILDEIEANDYNNFTKRAYVSKAKKLLSLPMAYGKSLISPLSHTQSSLLRV >DRNTG_18751.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1272690:1273332:-1 gene:DRNTG_18751 transcript:DRNTG_18751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGGDATGDGVVTAGGDATGDGVVTAGG >DRNTG_03016.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:16820832:16827315:1 gene:DRNTG_03016 transcript:DRNTG_03016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVSKLVSTASRSKENEARTSKVVSRLDGLSCTSSLTPRSPKTSSSFTRSSKLQTSIDDIDSTQPMVSSSSLVSDSGPIDQAATSLEIDMETSTSLFSSPFSSYSLLESRYAITCSIFFSLDAICPFIVSISNASLNIVTKYGCKENMEFGDRKHSIEGERGHSS >DRNTG_22781.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3968670:3969561:1 gene:DRNTG_22781 transcript:DRNTG_22781.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKKVKDTVVLMKKNVLDFTDFHASFLDRLHELLGQGVSFQLVNSTVGDPNKRNRGKIGAPAYLEKWITLTSIAAGQSRFEVTFEVNEKDGVPGAVIVKNNHHTEFYLKTLTLEDFPGHRDIHFICNSWVYPTNKYKYDRIFFNNHMYISGQTPETLVPYREEELIHLRGDDITGELQEHDRVYAYDYYNDLGQPDKGPEYVRPVLGGSSEYPYPRLGRTGRPHTLFRSQN >DRNTG_09242.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000397.1:2193:3755:-1 gene:DRNTG_09242 transcript:DRNTG_09242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWGRLVSSKGSLVKPRAYIAESIPTKSLPITLFQDYIQFHVFGALHRMNLTKFYIHLGLYDTEFTRTPA >DRNTG_09060.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31436774:31437008:1 gene:DRNTG_09060 transcript:DRNTG_09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSARRKHSKRKKNAHTSASIHTTLCEESSFLLPSSTT >DRNTG_33975.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2294006:2304661:1 gene:DRNTG_33975 transcript:DRNTG_33975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVFKLVEDISSVLASQAVEQAKSRIAPLMEVKDKMSELKGEFAVMQGFLRDVDKKKHKSE >DRNTG_35002.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24111103:24113424:-1 gene:DRNTG_35002 transcript:DRNTG_35002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSLRAPQSKLRWGELDEDDGEDLDFLLPPKIVEGPDENGVKRVIEYRFNEDGNKVKVTTTTRVRKLARARLSKRAMERRSWSKFGDAAHEDAGSRLTMVSTEEIVLERPRAPGSQAEEVKVAGDPLAAMGKAGAVLMVCRTCGKKGDHWTSKCPYKDLAPQVDGFVDKPPTETSASSGAGKGAGGAYVPPSMRAGAERSGTEMRRRNDENSVRVTNLSEDTREPDLLELFRAFGPVTRVYVAVDQKTGMSRGFGFVNFVSKEDAERAINKLNGYGYDNLILRVEWATPRPN >DRNTG_11232.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21938578:21941328:-1 gene:DRNTG_11232 transcript:DRNTG_11232.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYEVEVTISSARDLKNVNWRHGDLKPYVVVWVDPAAKSSTKADVDGDTDPVWDEKLIIPLGDRSIQDAVLSIDVVHAGTAEDVKPLIGSARLPLREVVDEGGFGGKVSRSLKLKRPSGRPHGRIEVKVAVKEPARYYDPYPAPYGAGVSRDYRDPYGYAPQPYSAPASIWIPLRCTSVRIPFCSASVRIPLWGSGAAPADGCI >DRNTG_11232.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21937368:21941328:-1 gene:DRNTG_11232 transcript:DRNTG_11232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYEVEVTISSARDLKNVNWRHGDLKPYVVVWVDPAAKSSTKADVDGDTDPVWDEKLIIPLGDRSIQDAVLSIDVVHAGTAEDVKPLIGSARLPLREVVDEGGFGGKVSRSLKLKRPSGRPHGRIEVKVAVKEPARYYDPYPAPYGAGVSRDYRDPYGYAPQPYSAPASIWIPLRCTSVRIPFCSASVRIPLWGSGAAPADGCI >DRNTG_11232.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21939901:21941328:-1 gene:DRNTG_11232 transcript:DRNTG_11232.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYEVEVTISSARDLKNVNWRHGDLKPYVVVWVDPAAKSSTKADVDGDTDPVWDEKLIIPLGDRSIQDAVLSIDVVHAGTAEDVKPLIGSARLPLREVVDEGGFGGKVSRSLKLKRPSGRPHGRIEVKVAVKEPARYYDPYPAPYGAGVSRDYRDPYGYAPQPYSAPASIWIPLRCTSVRIPFCSASVRIPLWGSGAAPADGCI >DRNTG_11232.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21940209:21941328:-1 gene:DRNTG_11232 transcript:DRNTG_11232.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYEVEVTISSARDLKNVNWRHGDLKPYVVVWVDPAAKSSTKADVDGDTDPVWDEKLIIPLGDRSIQDAVLSIDVVHAGTAEDVKPLIGSARLPLREVVDEGGFGGKVSRSLKLKRPSGRPHGRIEVKVAVKEPARYYDPYPAPYGAGVSRDYRDPYGYAPQPYSAPASIWIPLRCTSVRIPFCSASVRIPLWGSGAAPADGCI >DRNTG_11232.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21940209:21941413:-1 gene:DRNTG_11232 transcript:DRNTG_11232.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYEVEVTISSARDLKNVNWRHGDLKPYVVVWVDPAAKSSTKADVDGDTDPVWDEKLIIPLGDRSIQDAVLSIDVVHAGTAEDVKPLIGSARLPLREVVDEGGFGGKVSRSLKLKRPSGRPHGRIEVKVAVKEPARYYDPYPAPYGAGVSRDYRDPYGYAPQPYSAPASIWIPLRCTSVRIPFCSASVRIPLWGSGAAPADGCI >DRNTG_11232.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21940209:21941328:-1 gene:DRNTG_11232 transcript:DRNTG_11232.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYEVEVTISSARDLKNVNWRHGDLKPYVVVWVDPAAKSSTKADVDGDTDPVWDEKLIIPLGDRSIQDAVLSIDVVHAGTAEDVKPLIGSARLPLREVVDEGGFGGKVSRSLKLKRPSGRPHGRIEVKVAVKEPARYYDPYPAPYGAGVSRDYRDPYGYAPQPYSAPASIWIPLRCTSVRIPFCSASVRIPLWGSGAAPADGCI >DRNTG_11232.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21937368:21941328:-1 gene:DRNTG_11232 transcript:DRNTG_11232.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYEVEVTISSARDLKNVNWRHGDLKPYVVVWVDPAAKSSTKADVDGDTDPVWDEKLIIPLGDRSIQDAVLSIDVVHAGTAEDVKPLIGSARLPLREVVDEGGFGGKVSRSLKLKRPSGRPHGRIEVKVAVKEPARYYDPYPAPYGAGVSRDYRDPYGYAPQPYSAPASIWIPLRCTSVRIPFCSASVRIPLWGSGAAPADGCI >DRNTG_07724.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2497677:2502871:1 gene:DRNTG_07724 transcript:DRNTG_07724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPSLGCQRNEFSYTILIQGLLEVGRIDQALILLMGIGDDGFLANVRTYTVIIDGFCKKGRVLDAERFLKEMMDRGLVPNVVTFNALICGYCKSGKIEDALGVLRRMEFSGCEADSWTYGLMIDALCKDGKLEDAERMMNEVSNKGFVANVFIYSALMDGYFKHGRVNDGFIVLERMEVDGCKPDIWTYTMVIDNLCKQGKLEDAGRLLNMVLEKGLAPNVVTYTALIDGYCKRGKVDAALEVMELMEMNGCRPNVRTYNELIYGFCLERKVHKAMSLLGKMRESGITPNVVTYTELIHGQCEAGRVNNAFRLLSLMDGDDLVPDQHIYSILIDAFCKSGRFNDAHSLVGFFVKKGVNANEVIYTAIIDGLCKAGNTNAAQVMLQKLVYEDYSPDVYMYSALIHGLCKEKKMQEALALLDEMSDKGVNATVATYTNIVDEMLKEGDYEHAQRMLEQMASSGCKPNVFTYTVLIRAHCRGGKVEEAESMMEQMRNEGFLPDVLTYNTLIDGYGHVGYMDRAFNALKQMIDESQEPNYWTYSIFLKHLFNRKLPDSIAVDVLDVWKLIKIDSVLDFLEEMVRHGCKSGLTTYNALISGFCRGCRLDEAFKLLSCMKEEGLSPNEETYASLINCCCNLKRFAEASSLVDAIVECGLLPYLCSYRLLICRLCDEGMFEEAKDVFCKLFRCGFNYDEIAWEVLLDGLCRNGHVDVALNLLAIMEERNFRPSRQTYVMMDNYIPVNSVEVLSES >DRNTG_19806.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17463139:17464257:-1 gene:DRNTG_19806 transcript:DRNTG_19806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARLLIVFVKHQPVVAMKRWRQHSHRRDLEPSHSPHTPSC >DRNTG_27996.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001422.1:3983:10651:-1 gene:DRNTG_27996 transcript:DRNTG_27996.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch-repeat protein SKIP30 [Source:Projected from Arabidopsis thaliana (AT3G63220) UniProtKB/Swiss-Prot;Acc:Q9M1W7] MPSEIRHLARFGVASVAGKLFVIGGGSDRVDPSTGDHDRIFATNEVWSYDPIMKEWARRAPMLVPRAMFACCAFDGKIVVAGGFTNCRKSISKAEIYDPEADLWQPLPDLRHTHSSACSGQVIGGRMHVLHKGLSTVQILEDGGQSWAVEDYGWLQGPMAIVRGEPYVLSNGLIMKQQRENVPDRTVSSASEFQSRIGFGMIGLGDEIYVIGGVIGPGPRNQCIKQLSDVDVLTVRSDRPAWHPVAPMTHCRGSILGCALLRI >DRNTG_10234.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:123140:125984:1 gene:DRNTG_10234 transcript:DRNTG_10234.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGRHRNLRDSTPYMPSTRIYIQKPKEINALQ >DRNTG_29258.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20999400:21000229:-1 gene:DRNTG_29258 transcript:DRNTG_29258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREAEEFAEEDKKVKEKIDARNQLETYVYNMKNTINDKDKLADKLESEEKDKVDAALKEALEWLDDNQNAEKEDYDEKLKEVEAVCNPIISAVYQRSGGAPGGASEEEEDDSHDEL >DRNTG_28169.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18125559:18126100:-1 gene:DRNTG_28169 transcript:DRNTG_28169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSRSERSQFLISKFDQVSIWSNTSFSDRFPSTYNYLESLVMSVKGQQREYTKVWDFFVRLLHLPKPPRNDCIFNNITLPAHTLMLKIDHMLLSWLSTVVEGS >DRNTG_34088.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22949387:22952222:-1 gene:DRNTG_34088 transcript:DRNTG_34088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGSPMAAGDEVECKKVAQMRALVEDQDPSAKEEDNPMLKRFLRARNQDIEKASAFFLKYLKWRRETVPNGFISESEIHNELAQKKAFIQGYDKIGRPIGVFIGSKHYKNDLNENKRFCVYLIDSLCLRMQGGQEKFTIIADLEGWGYKNCDIRGYLAGLDILQSNYPERLGKVFLVHAPSIFMKAWKIIYPFIDDNSKKKFIFVDDTKLKTTLLEDIAEDQLPEKYGGKLKLVPIEEAGN >DRNTG_19269.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:426621:427186:1 gene:DRNTG_19269 transcript:DRNTG_19269.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTESKWSEYLEECDEEEENKNINADGQSASGLKFQFSDVQVEEEVHPDFNR >DRNTG_19269.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:425444:427186:1 gene:DRNTG_19269 transcript:DRNTG_19269.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLAHPSNVPDLTPPLLRLDEEACGTGNRRKRMDWTEYLDPDREEAEKDEDGDGGSESEPRITTELPQKKRGRNFTKYPGVGRAGGDDLQPISSKRRADHCQGATLSTKCQKIDMAKTESKWSEYLEECDEEEENKNINADGQSASGLKFQFSDVQVEEEVHPDFNR >DRNTG_19269.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:425260:427186:1 gene:DRNTG_19269 transcript:DRNTG_19269.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISFIAVQCFQCSTMQVKQQKRSSNKWVCAVCNERQSVRQIHARSYLAKDVRLFVQSFNMSRLAHPSNVPDLTPPLLRLDEEACGTGNRRKRMDWTEYLDPDREEAEKDEDGDGGSESEPRITTELPQKKRGRNFTKYPGVGRAGGDDLQPISSKRRADHCQGATLSTKCQKIDMAKTESKWSEYLEECDEEEENKNINADGQSASGLKFQFSDVQVEEEVHPDFNR >DRNTG_15257.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4366707:4368310:-1 gene:DRNTG_15257 transcript:DRNTG_15257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHASSSTSSLVMQACQYRQYQGFWFWPELMIPGVIAAQDHFVPRPTDILICSSPKVGTTWLKALAFATLNRNDQSCQQSLLLSHNPHVCVPIWSSAFMVEKGCRISLLQYKLPLGDAFDAFVKGSTWLGHSRIMFWGTPT >DRNTG_30069.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22724515:22727241:1 gene:DRNTG_30069 transcript:DRNTG_30069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNFKTSPYNYNEDERKSVSRSDFAFSKDRYKNNFRDSGGLENQSVQELESYALYKAEETTLKVNGCLKIAEEIREDASRTLVTLHQQGEQITRTHLDAANIEHDLSRGEKLLGSLGGIFSKTWKPKKNRTIKGPVSIADNSFHRKASHTEQREKLGVTTNQKGRSTVRQPPSESASMLEKVEFEKVKQDDSLSDLSNLLGQLKEMAVDMGSEIERQNKALDGVDVDVEELTIRVKGANVRTRRLLGK >DRNTG_30069.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22724515:22727241:1 gene:DRNTG_30069 transcript:DRNTG_30069.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLFVGFSSRFPRFPNHFIFDSVTNLKAKHSSAASELTMSKSNFKTSPYNYNEDERKSVSRSDFAFSKDRYKNNFRDSGGLENQSVQELESYALYKAEETTLKVNGCLKIAEEIREDASRTLVTLHQQGEQITRTHLDAANIEHDLSRGEKLLGSLGGIFSKTWKPKKNRTIKGPVSIADNSFHRKASHTEQREKLGVTTNQKGRSTVRQPPSESASMLEKVEFEKVKQDDSLSDLSNLLGQLKEMAVDMGSEIERQNKALDGVDVDVEELTIRVKGANVRTRRLLGK >DRNTG_30069.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22724515:22727241:1 gene:DRNTG_30069 transcript:DRNTG_30069.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNFKTSPYNYNEDERKSVSRSDFAFSKDRYKNNFRDSGGLENQSVQELESYALYKAEETTLKVNGCLKIAEEIREDASRTLVTLHQQGEQITRTHLDAANIEHDLSRGEKLLGSLGGIFSKTWKPKKNRTIKGPVSIADNSFHRKASHTEQREKLGVTTNQKGRSTVRQPPSESASMLEKVEFEKVKQDDSLSDLSNLLGQLKEMAVDMGSEIERQNKALDGVDVDVEELTIRVKGANVRTRRLLGK >DRNTG_04463.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000212.1:7231:10888:1 gene:DRNTG_04463 transcript:DRNTG_04463.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, RBP11-like protein [Source:Projected from Arabidopsis thaliana (AT3G52090) UniProtKB/TrEMBL;Acc:F4J5R0] MNAPDRYERFVVPEGTKKVSYERDTKIVNAGSFTVEREDHTIGNILRMQLHRDPNVLFAGYKLPHPLQYKILVRIHTTNQSSPSQAYNQAISDLDKELEHLKKVFEEEKSRYEVKPRDY >DRNTG_20242.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001105.1:418289:421593:-1 gene:DRNTG_20242 transcript:DRNTG_20242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFFRIASRGFASTALTLSSFQDFSPRHEHCNKNIISAQKCSHMISNAKAQHLDYSSSSSSITQRRSANFQPTLWTNDYLQSMKDDHFMEEKFISRFEKLKDATKHLLRENKHIIHQLKLIDTLRQFGVAYHFEKEIKEAIGTINSSVNINFIKSDLFATSLFFRLVREYGYKVSQGVFDRFKNENGNFQLSLCNDIEGMLSLYETSYLVMEGE >DRNTG_04541.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22434962:22435518:1 gene:DRNTG_04541 transcript:DRNTG_04541.1 gene_biotype:protein_coding transcript_biotype:protein_coding CDSQFSAELISLRLWWRVSWRLECVQETWGANDSGNSAMSDQTASTKRRDENRQAELKSLELKLLMVFFNGGILGLNFLFCQSQSYYTS >DRNTG_27712.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3703731:3723929:-1 gene:DRNTG_27712 transcript:DRNTG_27712.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVFIPRVESLANSGLDEIPPEYVRLESERDDRLGDAIEEEKKSDEGPQIPTIDIKGLDSDNEAVRKRCVEHLLDAAVNWGVMHIVGHGIPAELIDKLREVGTRFFDLPVEEKEKYANDQPSGMIQGYGSKLANSASGRLEWMDYFFHLMFPENQTDLSIWPRKPSNYVYVIVDLLYIHLPQAHVRA >DRNTG_05231.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13029493:13036301:-1 gene:DRNTG_05231 transcript:DRNTG_05231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPVGQAHGRGIWHLGQKSKQRNIRGSHPPSSKA >DRNTG_09269.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21689811:21692612:-1 gene:DRNTG_09269 transcript:DRNTG_09269.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDILAFAYAMDLGCGIALPMTLRAMIELDVLDIMAEAGPDARLWPEEIASKIQTSNPDAHEVLDRMLRFLAAHKVVTCEVVVGEDGKSKRRYGLGPVCKYFTKNKGDGSVAPMVLKNQHPIFVEAWANIKHAVLDGSVPFIKTHGMNMFERADKDIIFNEIFNKAMFNQTAILMKKVLENYKGFEKINVLVDVGGGHGATLGIILSKYQDIKAINFDLPHVVAQAKPIQGVEFVGGDMFVSVPSGGDAIFMKWILHDWSDAHCVKVLKNCWKALPANGKVIVVELVLPETLEEANGVSTGFLADVMMLAYCVGGKERTEKEYQILAKESGFSKFKVACNLYNFAVMEFYK >DRNTG_20134.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:21573976:21662589:-1 gene:DRNTG_20134 transcript:DRNTG_20134.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKINGVTDDAIKLRAFLFSFKGKAKQWLYSLPRASITTWEEMVEAFLGRYFHPEKSAKLRNEISSFIQLELKSLFEKWERFKELLSRRQHGFSEWMIVQTFYNALNPSRRQLLDAAVGGTLGSKTPDEARQLIK >DRNTG_19542.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2594384:2597780:-1 gene:DRNTG_19542 transcript:DRNTG_19542.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRVWILMALVACTLLSKTSEVNAGGSIGYGAINKGNAIPCSKRGGAQANCHDPKEANPYQSGSSDNNMGNVI >DRNTG_32859.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32170596:32173853:-1 gene:DRNTG_32859 transcript:DRNTG_32859.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGL26 [Source:Projected from Arabidopsis thaliana (AT5G26880) UniProtKB/TrEMBL;Acc:A0A178UI04] MDPTSLRALNLRPSTAYFRSRAPGPIFRRFSPSSLRFSSSLAFFRPFSRFHSVDSSEMGDCNSSGGRVPDALHQKFLQVVLVSPQIPGNTGTIARTCAASAVGLHLIEPLGYSVDDTKLKRAGLDYWPYVVVKIHGSWAEFMDYFRQQDGEKRLLAFTKRGTQIHSDFSYKQGDWLVFGSETSGLPGEALIDCSNGQFSGGTIRIPMVETYVRCLNLSVSVGIALYEAARQLNYEQMQLHTKISADSGRLFSSEDIFS >DRNTG_32859.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32170596:32172582:-1 gene:DRNTG_32859 transcript:DRNTG_32859.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGL26 [Source:Projected from Arabidopsis thaliana (AT5G26880) UniProtKB/TrEMBL;Acc:A0A178UI04] MDYFRQQDGEKRLLAFTKRGTQIHSDFSYKQGDWLVFGSETSGLPGEALIDCSNGQFSGGTIRIPMVETYVRCLNLSVSVGIALYEAARQLNYEQMQLHTKISADSGRLFSSEDIFS >DRNTG_32859.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32170596:32171782:-1 gene:DRNTG_32859 transcript:DRNTG_32859.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGL26 [Source:Projected from Arabidopsis thaliana (AT5G26880) UniProtKB/TrEMBL;Acc:A0A178UI04] MVETYVRCLNLSVSVGIALYEAARQLNYEQMQLHTKISADSGRLFSSEDIFS >DRNTG_32859.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32170596:32173853:-1 gene:DRNTG_32859 transcript:DRNTG_32859.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGL26 [Source:Projected from Arabidopsis thaliana (AT5G26880) UniProtKB/TrEMBL;Acc:A0A178UI04] MDPTSLRALNLRPSTAYFRSRAPGPIFRRFSPSSLRFSSSLAFFRPFSRFHSDFGLAVDSSEMGDCNSSGGRVPDALHQKFLQVVLVSPQIPGNTGTIARTCAASAVGLHLIEPLGYSVDDTKLKRAGLDYWPYVVVKIHGSWAEFMDYFRQQDGEKRLLAFTKRGTQIHSDFSYKQGDWLVFGSETSGLPGEALIDCSNGQFSGGTIRIPMVETYVRCLNLSVSVGIALYEAARQLNYEQMQLHTKISADSGRLFSSEDIFS >DRNTG_32507.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001848.1:34647:35263:-1 gene:DRNTG_32507 transcript:DRNTG_32507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELQVSRDLWRRRASSAELNLRLVHAKMLEWQWRARASESKLIELKKEREETNKSSRNSGENQKPGSTHRFKNMNENKEEKRKKNKAEKREFGRRPRPLQEIGNLR >DRNTG_32507.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001848.1:34647:34998:-1 gene:DRNTG_32507 transcript:DRNTG_32507.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEWQWRARASESKLIELKKEREETNKSSRNSGENQKPGSTHRFKNMNENKEEKRKKNKAEKREFGRRPRPLQEIGNLR >DRNTG_26767.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18444537:18446920:1 gene:DRNTG_26767 transcript:DRNTG_26767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQCMLVGEKEGMKAATNESNFIYSPMSIRAALSLAALGAKRETLNQMLSFLGYDTIANLKAASARLVHVIKTSDSDHDSGPELSFVNGIWFDQSKFLMPEFLQVAASVYKAFTECVDFNQPNKVTKEVNDWVEKETNGKIKDLIPINSMSPLDQVILCNALHFKGAWQHKFNKSQTRDKTFHLLNGNTIQTPFMTSKQDQFITSFSGFSVLRLPFRQTRDFSRSFSMNIILPNDTTGFIPLMQRISNEPNFINQHTPKMQMSVGMFMVPKFKVSFGFEVSQVLKDLGMELPFNGDADFTGMALGLNGRCDLSLNRMHHKASIEVDEDGAEADAATAVVFQMQQCSIRPVNFVADHPFMFAVVEDLSGAVLFLGHVVNPLNA >DRNTG_30244.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:216600:218198:1 gene:DRNTG_30244 transcript:DRNTG_30244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSKVCMDVIMIPSGVLFPIVYHGWLFYMVRTRPQRTNLGIDAVGQQLWISAMLKDNDKKNILAVQTLRNSIMSSTLMASTCILICCGLLTFTSNIYSHRSYETSTFDSSGAITLAFKSATLVLVFLSVFVSQALSIMFLNQVGILINILTMECCTITEQHVYILLRKGIFLNTVGNRLFFIALPLILWLFGPIPVFVCSLATVPVLYNLDIVPGEKKPVIGVRVANA >DRNTG_08447.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21361170:21362859:-1 gene:DRNTG_08447 transcript:DRNTG_08447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHYYYYEACPYLKFGHFTANQAILEAFDGHDRVHVIDFSLMHGLQWPALIQALALRPGGSPSLRLTGIGPPSLDGRDSLREIGIRLAELARSVGVPFAFRGVAARKLDDVQPWMLNVVAGEAVAVNSVMQLHRLLGDEGAAPAPIDSVLGWIHELRPEIVTVVEQDANHNKPGFADRFTEALFYYSTVFDSLEASRCGGAVVAAAEEYLKREIRDVVCCAGSERHEPLEQWRARLGRAGFRAVNLGPNAIKQARMLLSLFSGEGYCVEEKGECLSLGWHGRPLVAASAWRAAEINNGPVRTVEHGTSVSNTYNSSESSSSSYQEYMH >DRNTG_29707.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001499.1:2942:3309:-1 gene:DRNTG_29707 transcript:DRNTG_29707.1 gene_biotype:protein_coding transcript_biotype:protein_coding EDDLKSFRQWGSRTPGHPENFETPGIEVTTGPLGQGIANAVGLALAEKHLSARFNKPDSEIVDHY >DRNTG_11483.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3837730:3841465:1 gene:DRNTG_11483 transcript:DRNTG_11483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNVHRFISGYFTVDNYKLTYKEAIFPIPDDDKLSDGNRELRLRPPVTRRQPGRPRRKRIESQAFDVRELHCSHCHGSGHNRRSCNETVFD >DRNTG_05142.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30674344:30679599:-1 gene:DRNTG_05142 transcript:DRNTG_05142.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 4 [Source:Projected from Arabidopsis thaliana (AT5G57160) UniProtKB/Swiss-Prot;Acc:Q9LL84] MTDETVRFGLLCSMFEAMLRDRTSAKKRKRLRTFLDVAYTGREYFSAVRLILPGLDRERGTYGLKESALANALVDALGISKDSDDARKLVNWRKGGARAGANAGNFSLVAAEVLQRRQGMASGGMTIKELNDALDRLASCENRGDKAAILSDLIKKTNPVEMKWILMIILKELKLGISEKSIFHEFHPDAEDLFNVTCDLKLVCDKLKDPSQRHKRQDIDVGKAVRPQLAMRVSNASTAWKKLHGKNVVVECKFDGDRIQIHKNGGEIHFFSRNFLDHTEYSHGMSGVILQNVLADRCILDGEMLVWDTAAQRFAEFGSNQEIAKAAREGLESDRQLCYIVFDILYAGDTSVIHQSLTERQALLQKVVKPLKGRLEILVPNGGLNDIRSAGEPCWSIIAHTLDDVEKFFKQTVENRDEGVVLKDLGSKWEPGDRSGKWLKLKPDYIHAGADLDALII >DRNTG_05142.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30668953:30673292:-1 gene:DRNTG_05142 transcript:DRNTG_05142.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 4 [Source:Projected from Arabidopsis thaliana (AT5G57160) UniProtKB/Swiss-Prot;Acc:Q9LL84] MKKKDKGSVSVVPAHFVLTDISGVKGETLIFANMMFFFINTPPSYSVEYFHKLVAENGGTFSMNLNDSVTHCIAAERKGIKYQAATRHGDVIHYSWILDCCTQKRLLNLQPKYFLFLSDSSKSKLQEEIDPFSDFYYLDLDIMDIKQIFNNMTGAENVHIKEYHKKYCPWETMCLLHSCCIYFCEMANSRNAGAVIVSELAVRRMKLETSMHGGEVCSSISHATHIVVYSTLESYNFDEIYESFPANQRCFLHSKKLHVVTHLWLKDSIQKGKKLLEDEYNLKPDTLEDIDFNIEEGKQDHGAHATTSETEEKPNSTEKMVDISGKRKRGRPTGSITTKGRPGLKPVRRTRARAVTRRAKINPDETIDDFSDENGTGAAESNETPLLEVHDDDINKVQETHEAGSSNTELDLQDGKADKDHLDVHKVEEDKKEDASEKLEQMVDPLHAMLLDMIPTLSQKNVETSTSTLRLREKNVETSTSVPECEKTQPVPGANQVKKKVSYKDVAGELLKDW >DRNTG_05142.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30668953:30675544:-1 gene:DRNTG_05142 transcript:DRNTG_05142.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 4 [Source:Projected from Arabidopsis thaliana (AT5G57160) UniProtKB/Swiss-Prot;Acc:Q9LL84] MKKKDKGSVSVVPAHFVLTDISGVKGETLIFANMMFFFINTPPSYSVEYFHKLVAENGGTFSMNLNDSVTHCIAAERKGIKYQAATRHGDVIHYSWILDCCTQKRLLNLQPKYFLFLSDSSKSKLQEEIDPFSDFYYLDLDIMDIKQIFNNMTGAENVHIKEYHKKYCPWETMCLLHSCCIYFCEMANSRNAGAVIVSELAVRRMKLETSMHGGEVCSSISHATHIVVYSTLESYNFDEIYESFPANQRCFLHSKKLHVVTHLWLKDSIQKGKKLLEDEYNLKPDTLEDIDFNIEEGKQDHGAHATTSETEEKPNSTEKMVDISGKRKRGRPTGSITTKGRPGLKPVRRTRARAVTRRAKINPDETIDDFSDENGTGAAESNETPLLEVHDDDINKVQETHEAGSSNTELDLQDGKADKDHLDVHKVEEDKKEDASEKLEQMVDPLHAMLLDMIPTLSQKNVETSTSTLRLREKNVETSTSVPECEKTQPVPGANQVKKKVSYKDVAGELLKDW >DRNTG_05142.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30678726:30679599:-1 gene:DRNTG_05142 transcript:DRNTG_05142.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 4 [Source:Projected from Arabidopsis thaliana (AT5G57160) UniProtKB/Swiss-Prot;Acc:Q9LL84] MTDETVRFGLLCSMFEAMLRDRTSAKKRKRLRTFLDVAYTGREYFSAVRLILPGLDRERGTYGLKESALANALVDALGISKDSDDARKLVNWRKGGARAGANAGNFSLVAAEVLQRRQGMASGGMTIKELNDALDRLASCENR >DRNTG_05142.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30678150:30679599:-1 gene:DRNTG_05142 transcript:DRNTG_05142.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 4 [Source:Projected from Arabidopsis thaliana (AT5G57160) UniProtKB/Swiss-Prot;Acc:Q9LL84] MTDETVRFGLLCSMFEAMLRDRTSAKKRKRLRTFLDVAYTGREYFSAVRLILPGLDRERGTYGLKESALANALVDALGISKDSDDARKLVNWRKGGARAGANAGNFSLVAAEVLQRRQGMASGGMTIKELNDALDRLASCENRGDKAAILSDLIKKTNPVEMKWILMIILKELKLGISEKSIFHEFHPDAEDLFNVTCDLKLVCDKLKDPSQRHKRQ >DRNTG_05142.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30668953:30679599:-1 gene:DRNTG_05142 transcript:DRNTG_05142.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 4 [Source:Projected from Arabidopsis thaliana (AT5G57160) UniProtKB/Swiss-Prot;Acc:Q9LL84] MTDETVRFGLLCSMFEAMLRDRTSAKKRKRLRTFLDVAYTGREYFSAVRLILPGLDRERGTYGLKESALANALVDALGISKDSDDARKLVNWRKGGARAGANAGNFSLVAAEVLQRRQGMASGGMTIKELNDALDRLASCENRGDKAAILSDLIKKTNPVEMKWILMIILKELKLGISEKSIFHEFHPDAEDLFNVTCDLKLVCDKLKDPSQRHKRQDIDVGKAVRPQLAMRVSNASTAWKKLHGKNVVVECKFDGDRIQIHKNGGEIHFFSRNFLDHTEYSHGMSGVILQNVLADRCILDGEMLVWDTAAQRFAEFGSNQEIAKAAREGLESDRQLCYIVFDILYAGDTSVIHQSLTERQALLQKVVKPLKGRLEILVPNGGLNDIRSAGEPCWSIIAHTLDDVEKFFKQTVENRDEGVVLKDLGSKWEPGDRSGKWLKLKPDYIHAGADLDALIIGGYFGSGRHGGEVAQFLVGLAEQSDSGYPKRFVSFCRVGTGLSDEELDALVSKLKPYFRKNEYPKKVPHFYEVTNNSKERPDVWIDSPDKSVILSITSDIRTIKSEVFSAPYSLRFPRIQRVRYDKPWHECLDVQSFVDLVNTSNGNTHRGTDDGGLQTNNSTRKRTMKKKDKGSVSVVPAHFVLTDISGVKGETLIFANMMFFFINTPPSYSVEYFHKLVAENGGTFSMNLNDSVTHCIAAERKGIKYQAATRHGDVIHYSWILDCCTQKRLLNLQPKYFLFLSDSSKSKLQEEIDPFSDFYYLDLDIMDIKQIFNNMTGAENVHIKEYHKKYCPWETMCLLHSCCIYFCEMANSRNAGAVIVSELAVRRMKLETSMHGGEVCSSISHATHIVVYSTLESYNFDEIYESFPANQRCFLHSKKLHVVTHLWLKDSIQKGKKLLEDEYNLKPDTLEDIDFNIEEGKQDHGAHATTSETEEKPNSTEKMVDISGKRKRGRPTGSITTKGRPGLKPVRRTRARAVTRRAKINPDETIDDFSDENGTGAAESNETPLLEVHDDDINKVQETHEAGSSNTELDLQDGKADKDHLDVHKVEEDKKEDASEKLEQMVDPLHAMLLDMIPTLSQKNVETSTSTLRLREKNVETSTSVPECEKTQPVPGANQVKKKVSYKDVAGELLKDW >DRNTG_05142.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30668953:30671629:-1 gene:DRNTG_05142 transcript:DRNTG_05142.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 4 [Source:Projected from Arabidopsis thaliana (AT5G57160) UniProtKB/Swiss-Prot;Acc:Q9LL84] MDIKQIFNNMTGAENVHIKEYHKKYCPWETMCLLHSCCIYFCEMANSRNAGAVIVSELAVRRMKLETSMHGGEVCSSISHATHIVVYSTLESYNFDEIYESFPANQRCFLHSKKLHVVTHLWLKDSIQKGKKLLEDEYNLKPDTLEDIDFNIEEGKQDHGAHATTSETEEKPNSTEKMVDISGKRKRGRPTGSITTKGRPGLKPVRRTRARAVTRRAKINPDETIDDFSDENGTGAAESNETPLLEVHDDDINKVQETHEAGSSNTELDLQDGKADKDHLDVHKVEEDKKEDASEKLEQMVDPLHAMLLDMIPTLSQKNVETSTSTLRLREKNVETSTSVPECEKTQPVPGANQVKKKVSYKDVAGELLKDW >DRNTG_06521.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16391719:16397672:-1 gene:DRNTG_06521 transcript:DRNTG_06521.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLINLDLWLLREMRKSNLAFSAVRKDEYNLSDFPTKYDHAFFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDSAYQIAQDKMSEKGSKCPVFLFFSVNASGQFCGVAEMIGRVDFSKNMEFWQQDKWSGFFPVKWHIIKDVPNPQFRHIILENNDNKPVTNSRDTQEVKFSQGTEMLNIFKSYSSKTSILDDFDFYENRQRAMQDKKIRQPTPNLERLSLKTDEVIQAKKASETKLSVSSLTLNELEPTKNEEEISAKE >DRNTG_02287.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:831799:833070:-1 gene:DRNTG_02287 transcript:DRNTG_02287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRRKTFILLLLVVFMSSCISVISSEEERKITAVEKLFGGFRGGFGGSRGGGGSSGGSARGGGASVPRSSGNGHRTSSGKMIPAVVAGSAGSAHARSNERKNNAIGHAQLTHISLATAILCFTILLFV >DRNTG_25117.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23694085:23694524:-1 gene:DRNTG_25117 transcript:DRNTG_25117.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLAIFCCFLRGVRLLSLEATSLEAKRLTRLRRKLRHRPWKRMPRGRPSPPRLEARLEAYASIAFLNNVYHLYVQ >DRNTG_17288.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000888.1:10253:16877:-1 gene:DRNTG_17288 transcript:DRNTG_17288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIECDCLCAPPTRCTHSNARRLAHTLASHTCPMSSRLNLSKISSKIDSLVFSKLPQGKIDGDLAQMTLPLASFPLNLLIWPSKCLVKTPPPRAQDVSKVASFKTLTEIGPTFSSDCNVQREIPIKTDNQPRRKDVMEWLLRMPQWERLPLSSTSFLKVKSMEILPKQLSPLASFTLNLLIWQSKCLVKRPPPRAQDVSKVVSSKTLAEIWLNKVRPSLTGAYGRMEPLNLTVFYSITQKFSFSDASNPKI >DRNTG_14712.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20818639:20821296:-1 gene:DRNTG_14712 transcript:DRNTG_14712.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YlmG homolog protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G21920) UniProtKB/Swiss-Prot;Acc:Q9C595] MQNSIATHNFAAILPGDSVAGLVVANGITNFLNIYNSLLIVRLVLTWFPNSPPAIVAPLSTICDPYLNIFRGIIPPLGGTLDLSPILAFLVLNAFESTAAALPAELPAATESKQGVSSSPAMPFNPTSAQKMWIKRVSCKRSKNSENV >DRNTG_17206.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:316327:318724:-1 gene:DRNTG_17206 transcript:DRNTG_17206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPSKELTPTPTPTPTPVALLSHTAPSSTDSFFFRVLLMSYVTWKIKPLGVFSRIITDGGIRPLHTSINQHH >DRNTG_31509.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14190312:14191119:1 gene:DRNTG_31509 transcript:DRNTG_31509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSMLNGIIITLLRFLGGLAQSVTRLCHTGCLYRVQPLSLDISFFCFFSIIASVLIPFLCRSCLLQPYKEKGGQGGHHQSSLQLDPQGCSIFSFHLSFDANDDEKAKECNWQIARLKAELEQLFNVGPLHMLFSIEEPAYCDLTLEFLASFEHGIGYNVWDNPSIMCFLLRGREYHLSYTDLALLMGLYTPKYIVNEEYRNLISSPPLGGGKALDGDG >DRNTG_11248.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21838957:21842885:-1 gene:DRNTG_11248 transcript:DRNTG_11248.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKIRRQAGAQSSPERPRPWPEPPPSRKLQSKHQQQQQPVRRIPVVYYLSKNHHLEHPHFIEVPVSSPDGLYLRDVINRLVVLRGRRMPGMYSWSCKRGYKNGFVWHDLSEDDLILPVHGNEYVLKGSELLDRTPSDRGRDTGSNLKVEKCKQSQQEVHGFPRTRERYSSSSPPPISIKDVRSPSRQALIPCLVGSSGNASPKQEGSPHPSWETGSPSLADYRVVKLSGAIDASTQTDDNGGTKPRERGRRRATRATDEILELESEERHFEENQRSKELSGAAKNVLSPPPLSASPLSSYGKIDTLESLIREDARKMNRFRILEEEEVFVPTRVKMKATNLLMQLITCGAISVKDHRSLGLIPTYKPSYSHMNVSPSMFANSVLLGEHDSPLEAPSFMGARMEEKECFSGALLETMNYKEGFRKGPPNLQQSSYDENRDCVSPNLEDEDKEVGSHRSKCLPRPFRVTAVKPSSRNEALLSPASDVPKNTFAASICNQSRYLGSSHGGSNRISDSSSARGSSIRLESLREEKGKVIKIEERLTSGARVIIQSRAPLSDSDDSAGSC >DRNTG_11248.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21840325:21842885:-1 gene:DRNTG_11248 transcript:DRNTG_11248.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKIRRQAGAQSSPERPRPWPEPPPSRKLQSKHQQQQQPVRRIPVVYYLSKNHHLEHPHFIEVPVSSPDGLYLRDVINRLVVLRGRRMPGMYSWSCKRGYKNGFVWHDLSEDDLILPVHGNEYVLKGSELLDRTPSDRGRDTGSNLKVEKCKQSQQEVHGFPRTRERYSSSSPPPISIKDVRSPSRQALIPCLVGSSGNASPKQEGSPHPSWETGSPSLADYRVVKLSGAIDASTQTDDNGGTKPRERGRRRATRATDEILELESEERHFEENQRSKELSGAAKNVLSPPPLSASPLSSYGKIDTLESLIREDARKMNRFRILEEEEVFVPTRVKMKATNLLMQLITCGAISVKDHRSLGLIPTYKPSYSHMNVSPSMFANSVLLGEHDSPLEAPSFMGARMEEKECFSGALLETMNYKEGFRKGPPNLQQSSYDENRDCVSPNLEDEDKEVGSHRSKCLPRPFRVTAVKPSSRNEALLSPASDVPKNTFAASICNQSRYLGSSHGGSNRISDSSSARGSSIRLESLREEKGKVIKIEERLTSGARVIIQSRAPLSDSDDSAGSC >DRNTG_18754.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1278373:1279946:-1 gene:DRNTG_18754 transcript:DRNTG_18754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWAGAIGAAKKKIDEDSGEATGKYQSVGLVIGVTGIVGNSLAEILPLSDTPGGPWKVYGVSRRPLASWSPTPGPAFEHVQCDISDPDEALAKLSPLTDITHIFYVTWSPRFTEAENREVNSAMIRNVFAAVLPNAPNLQHVCLQTGRKHYLGSVESFGKVEIPEPPFTEEMPRLNCPNFYYDLEDILFDELSKRDGAVSWSVHRPTMIFGFSPYSLMNIVGTLCVYAAICKHEGSLLRWPGSRMTWEGFSDISDADLIAEHQIWASVDPFAKNEAFNCSNGDVFKWKQLWRILAEQFGVDFVGYEGEEKRVNLEEMMKGKEGVWDEIVAKYELAPTKLNEIASWWLADLVLGTEMELLDSMNKSKEHGFLGFRNTVASFYSWIDKMKAYKIVP >DRNTG_11616.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27531362:27532134:-1 gene:DRNTG_11616 transcript:DRNTG_11616.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTVVWKNDAVSTTRDKLYTLLEGKEMVTDDVMDAFVCIIQKSLSKVSYPYKKRASITRPLALFMSKQDDAHENTMVMIGDAVRNLHDVKIIILPIIINDHFHVVVLDNEKQEYRHYSSCQSAGYSNLNLYTSTAESIRH >DRNTG_21100.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23311853:23315199:-1 gene:DRNTG_21100 transcript:DRNTG_21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLFVKIARPLKDTSMKKSFIAYHMDVCPDMWPQEKSVWTAFREKYSRFVTNFDRFLLRHSIKEAIGEPSFGVGPSRRRSSPSRPSVHI >DRNTG_34576.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6845676:6848654:1 gene:DRNTG_34576 transcript:DRNTG_34576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSMTSMTTIRRPTAEDTTLPSPLAGRCHHRRSSAIPSPPPKPLTTRGLTSHQVPLLPSTRLSMTMEIAMVGPPRPSPSRLTASNLCKGEEVALVMEVAGVRGMGGRVSMDLGMDLSKGLDMVGGQAGKRGMDLGMEGELVVRLRRVTGLGMVGDRAAKRMKGMDRDMVVNPAIRRKVMGQATVGGLVARMTKGMDLGMVGGLSERSRRTPLMELVMGGTSLSVMGMKASTKVALESMGMVGVSTRGVRSRDMVEVDTRRVARSMGLEGDPAMVGVSTRGAGSRDMVRVDTGRLARGMGMERGPAMAVAMRVKDMVARNEVMDLMMRMDERSMGITSTATTTATMMKNEPSHQYYCIANASPFNPA >DRNTG_21836.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6049125:6055312:1 gene:DRNTG_21836 transcript:DRNTG_21836.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSTSSCLKIITCGGRSGGDSENEDLAETKAASENRRWSFRKKSSGHRVLSDTVISEPLSTVCDKETLQATTTKFDSPIHSNIPVKLQAEDKPKETLPLSPDLANSEVTSTQLADTKTESDDNVRELSAVVIQSAIRRYLAQKEHLKVKNVVKLQAVVRGHIVRRQAVGTLRCVQAIVKMQALVRVRYARQTIEKSTHSGNSNTKANKAQSSIQIVISNGFARQLLESAPKQKPIYINCEPSRPDSAAWKWLERWMAVTSSDIQEQILNKVDVPDFLEETNLDASEASSEVPITDFPMSSTSTLAVNGSAKPEDDDSIINTISGLEYQHPASNPCEALSSLEKVDQDNSNLEIQNLETSQLSAAKSEHAVQDTSNPVPVETSLEQNEASESIPELAINKSDSESQSPKSTNKGEPVEIEEKRFAFGSRRMTNPAFAAVQSKFEELSSSQSMGRSARSIDQDTVLQSKSDNVQSKVDPLIKAMDYPLSKNSVSYDSKAQMASSECGTEISISSALGSPERSETESGETALEAGAFVKENHGANDGANNAVNVTNLNAEANDSTSDLKTLQPQKKEGDGSETDLTVVAGMVQSEKQPPEPETSEIETHLEKPTDQLGYRSSPEGSPRRPTTILESSGISSTQNSVNAKSSKKDIVNQKAQMVEKRSPSTPINESGQRISTEQSPKDPKNAKRRTSFSTTKSDGVDHEPRLSSSKSLPSYMQATESARAKAQGSISPKSSPDVHDKDHARKRHSLPIANAKQDSSPRMQRSTSQAQQNAKERRWQR >DRNTG_02503.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7736093:7736705:1 gene:DRNTG_02503 transcript:DRNTG_02503.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase alpha chain, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54640) UniProtKB/Swiss-Prot;Acc:Q42529] IYFEILQETTKPVAVGFGISRPEHVKQLSRWGADGVIVGSAIVKLLGEAENSEEGLRHVASFVKALKAAMPQNSLHEDQDKVSKSSLAIF >DRNTG_02503.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7732876:7734169:1 gene:DRNTG_02503 transcript:DRNTG_02503.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase alpha chain, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54640) UniProtKB/Swiss-Prot;Acc:Q42529] MALNLSFSSLSMSCKTSPPSNLCKPSLLVPKSGSGVCFRTPATTGISETFSALKQQNKVAFIPYITAGDPDLQTTAKALKVLDNCGADVIELGLPFFDPIADGPVIQASARRALKNGATLKAITSMLEKVDFILILNRV >DRNTG_02503.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7734777:7735311:1 gene:DRNTG_02503 transcript:DRNTG_02503.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase alpha chain, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54640) UniProtKB/Swiss-Prot;Acc:Q42529] MVSQVTPELSCPIALFSYYNPIQSYGAQTFISMIKDCGIHGLIVPDLPFEESAQLRKEALKKDIEFVSSLN >DRNTG_02503.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7732876:7735311:1 gene:DRNTG_02503 transcript:DRNTG_02503.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase alpha chain, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54640) UniProtKB/Swiss-Prot;Acc:Q42529] MALNLSFSSLSMSCKTSPPSNLCKPSLLVPKSGSGVCFRTPATTGISETFSALKQQNKVAFIPYITAGDPDLQTTAKALKVLDNCGADVIELGLPFFDPIADGPVIQASARRALKNGATLKAITSMLEKVTPELSCPIALFSYYNPIQSYGAQTFISMIKDCGIHGLIVPDLPFEESAQLRKEALKKDIEFVSSLN >DRNTG_02503.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7732876:7736705:1 gene:DRNTG_02503 transcript:DRNTG_02503.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase alpha chain, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54640) UniProtKB/Swiss-Prot;Acc:Q42529] MALNLSFSSLSMSCKTSPPSNLCKPSLLVPKSGSGVCFRTPATTGISETFSALKQQNKVAFIPYITAGDPDLQTTAKALKVLDNCGADVIELGLPFFDPIADGPVIQASARRALKNGATLKAITSMLEKVTPELSCPIALFSYYNPIQSYGAQTFISMIKDCGIHGLIVPDLPFEESAQLRKEALKKDIEFTLLVTPTTEPSRRKAIVDASEGFVYLVSTTGVTGIRQNLNSQIEFHLNEIKKETTKPVAVGFGISRPEHVKQLSRWGADGVIVGSAIVKLLGEAENSEEGLRHVASFVKALKAAMPQNSLHEDQDKVSKSSLAIF >DRNTG_15568.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:557516:560556:1 gene:DRNTG_15568 transcript:DRNTG_15568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLQSVPRRLDYKPVPSQWYNLERHVLLEGDQKKKEVKFSSRIHLRICLDGGYHVLDESTHYSSDLRPTAKQLWKPSIGILELGILSAHGLQPMKAGKEGIGMTDAYCVAKYGPKWVRTRTIVNNFNPKWNEQYTWEVFDPCTVITIGVFDNCHLLGGDKAAGTRDTRIGKVRIRLSTLETDRVYTHSYPLIVLLPSGVKKMGEVQLAVRFTCSSLLNMMYMYSQPFLPKMHYLHPLSVTQLDMLRHQATQIVSMRLSRAEPPLRKEVVEYMLDVDSHMWSMRKSKANFFRVMSVLSGIIAVSKWFDQICHWKNPLTTVLIHVLFIILVLYPELILPTIFLYLFLIGVWYFRWRPKHPPHMDTRLSHAESTTPDELDEEFDTFPSARPGDIVRMRYDRLRSVAGRIQTVVGDLATQGERLQNLLSWRDPRATALFVTFCFITAIMLYVTPFRVVALLVGLYVLRHPRFRHKLPSVPLNFFRRLPARTDSML >DRNTG_12581.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:829445:829764:1 gene:DRNTG_12581 transcript:DRNTG_12581.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSPARTEFTECMSHKGPVGSHKQQIKQILQNTRL >DRNTG_13268.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10070170:10076462:1 gene:DRNTG_13268 transcript:DRNTG_13268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEMHAGLASDGGSKLRMLLTFVDALPNGNEKGTYYALHLGGANFRVLKVELGGTRSTIMKHHDEYQIIPQDLVASTIEGLFDFLAMTLKRLVEKDDEGSGQSDDTIKELGFTFPFPLKQLSISSGTLVKWTKEFAIEDANGKDVAQCLHEALIKSGLEMQVAALVNDTVGTLALGHYEDEDTVAAVIIGTGTNACYVERTDAIIKCQGLLTNSDEMVINMEWGNFWSSHLPRTPYDFDLDDESPNQYDQGFEKMISGMYLGDIVRRVLLRMSQQSDIFGESAHHLSTPFILSTPLMASMHEDSTPDLKVAERILLDELKLPNVSLKARRLVVRVCDVVTQRAARLAAAGIVGILKKIGRDGSGGVASGRVRGKPKRTVVAIEGALYVSYSSFREYLHEAVAEILGEEVAPNVVLRVTEDGSGVGAALLAASHSLQR >DRNTG_13268.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10074234:10076462:1 gene:DRNTG_13268 transcript:DRNTG_13268.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVNDTVGTLALGHYEDEDTVAAVIIGTGTNACYVERTDAIIKCQGLLTNSDEMVINMEWGNFWSSHLPRTPYDFDLDDESPNQYDQGFEKMISGMYLGDIVRRVLLRMSQQSDIFGESAHHLSTPFILSTPLMASMHEDSTPDLKVAERILLDELKLPNVSLKARRLVVRVCDVVTQRAARLAAAGIVGILKKIGRDGSGGVASGRVRGKPKRTVVAIEGALYVSYSSFREYLHEAVAEILGEEVAPNVVLRVTEDGSGVGAALLAASHSLQR >DRNTG_26887.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21419746:21423684:-1 gene:DRNTG_26887 transcript:DRNTG_26887.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKPEEMRSLALTPTWSVATVLTIFVFVSLLVERSIHRLSSWLKKTHRNPLFEAVEKMKEELMLLGFISLLLTATSRTISSICIDSKFYNSNFSPCTRSEVDDSVESDSGSPPERKQLMEYLFRHSMKRLLSESTHGTCTEGYEPFVSYEGLEQLHRFIFVMAITHVSYSCLTMLLAIVKIHTWRSWEDEAQKDQFDAYCDITTRALTMRRQSTFVKFHAPHSWSRNGIVVWVICFFRQFGRSVARADYLTLRRGFIMNHNLTSRYDFHSYMIRSMEEEFKKIVGVSAPLWGFVIGFMLFNINGSNLYFWIAIIPITLVLLVGAKLQHVIATLALESAGISGLLNGGRLKPRDDLFWFKKPELLLNLIHFILFQNSFELASFFWFWVLSLPQFKNHCTCYSTDSASSVAIWIRLLLYQKPFTRIYSAYFGVCRTVPL >DRNTG_26887.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21419746:21423684:-1 gene:DRNTG_26887 transcript:DRNTG_26887.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKPEEMRSLALTPTWSVATVLTIFVFVSLLVERSIHRLSSWLKKTHRNPLFEAVEKMKEELMLLGFISLLLTATSRTISSICIDSKFYNSNFSPCTRSEVDDSVESDSGSPPERKQLMEYLFRHSMKRLLSESTHGTCTEGYEPFVSYEGLEQLHRFIFVMAITHVSYSCLTMLLAIVKIHTWRSWEDEAQKDQFDAYCDITTRALTMRRQSTFVKFHAPHSWSRNGIVVWVICFFRQFGRSVARADYLTLRRGFIMNHNLTSRYDFHSYMIRSMEEEFKKIVGVSAPLWGFVIGFMLFNINGSNLYFWIAIIPITLVLLVGAKLQHVIATLALESAGISGLLNGGRLKPRDDLFWFKKPELLLNLIHFILFQNSFELASFFWFWWQFGYDSCYIRNHLLVYIRLILGFAGQFLCSYSTLPLYALVTQMGSNYKAALIPQRIRETIHGWGKAAKKRRRHRRSVDESTIRTETSTVCSIEEDEQLLDDHYNHSFIQIELQPNASIGSADNDQHSPAADESTIYSTNSNTPLLHCSFSVPTSPSLSDSRGNGISRSASMPVWRS >DRNTG_26887.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21419746:21423684:-1 gene:DRNTG_26887 transcript:DRNTG_26887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKPEEMRSLALTPTWSVATVLTIFVFVSLLVERSIHRLSSWLKKTHRNPLFEAVEKMKEELMLLGFISLLLTATSRTISSICIDSKFYNSNFSPCTRSEVDDSVESDSGSPPERKQLMEYLFRHSMKRLLSESTHGTCTEGYEPFVSYEGLEQLHRFIFVMAITHVSYSCLTMLLAIVKIHTWRSWEDEAQKDQFDAYCDITTRALTMRRQSTFVKFHAPHSWSRNGIVVWVICFFRQFGRSVARADYLTLRRGFIMNHNLTSRYDFHSYMIRSMEEEFKKIVGVSAPLWGFVIGFMLFNINGSNLYFWIAIIPITLVLLVGAKLQHVIATLALESAGISGLLNGGRLKPRDDLFWFKKPELLLNLIHFILFQNSFELASFFWFWWQFGYDSCYIRNHLLVYIRLILGFAGQFLCSYSTLPLYALVTQMGSNYKAALIPQRIRETIHGWGKAAKKRRRHRRSVDESTIRTETSTVCSIEEDEQLLDDHYNHSFIQIELQPNASIGSADNDQHSPAADESTIYSTNSNTPLLHCSFSVPTSPSLSDSRGNGISRSASMPVWRS >DRNTG_29140.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1364936:1367653:1 gene:DRNTG_29140 transcript:DRNTG_29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein 11A [Source:Projected from Arabidopsis thaliana (AT1G47750) UniProtKB/Swiss-Prot;Acc:Q9FZF1] MASGDPKPPSTASSDDRRKRDFLVHLEAYLARRDGVDKLLKISRYAAKIALAAGTLPPELAPRVKSFESSVGLSRKAFRLGKFVQDVNALRSSTGDPFLAAIAYGGDGIYYFIEQLVWLSKTGLIDLRHASLLQKIAVWAELIGYIGSITLKLKEITKINSCLQSKEKSDEEVQKLRGKLVLKQLSIVQDVADGLMALGDVRDGKGWFSDPLLMASAGMLSALISTHKNWISC >DRNTG_29140.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1364936:1365964:1 gene:DRNTG_29140 transcript:DRNTG_29140.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein 11A [Source:Projected from Arabidopsis thaliana (AT1G47750) UniProtKB/Swiss-Prot;Acc:Q9FZF1] MASGDPKPPSTASSDDRRKRDFLVHLEAYLARRDGVDKLLKISRYAAKIALAAGTLPPELAPRVKSFESSVGLSRKAFRLGKFVQDVNALRSSTGDPFLAAIAYGGDGIYYFIEQLVWLSKTGLIDLRHASLLQKIAVWAELIGYIGSITLKLKEITKINSCLQSKEKSDEEVQKLRGKLVLKQLSIVQDVADGLMALGDVRDGKGWFSDPLLMASAGMLSALISTHKNWISC >DRNTG_29140.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1365121:1365964:1 gene:DRNTG_29140 transcript:DRNTG_29140.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein 11A [Source:Projected from Arabidopsis thaliana (AT1G47750) UniProtKB/Swiss-Prot;Acc:Q9FZF1] MASGDPKPPSTASSDDRRKRDFLVHLEAYLARRDGVDKLLKISRYAAKIALAAGTLPPELAPRVKSFESSVGLSRKAFRLGKFVQDVNALRSSTGDPFLAAIAYGGDGIYYFIEQLVWLSKTGLIDLRHASLLQKIAVWAELIGYIGSITLKLKEITKINSCLQSKEKSDEEVQKLRGKLVLKQLSIVQDVADGLMALGDVRDGKGWFSDPLLMASAGMLSALISTHKNWISC >DRNTG_29140.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1365121:1366104:1 gene:DRNTG_29140 transcript:DRNTG_29140.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein 11A [Source:Projected from Arabidopsis thaliana (AT1G47750) UniProtKB/Swiss-Prot;Acc:Q9FZF1] MASGDPKPPSTASSDDRRKRDFLVHLEAYLARRDGVDKLLKISRYAAKIALAAGTLPPELAPRVKSFESSVGLSRKAFRLGKFVQDVNALRSSTGDPFLAAIAYGGDGIYYFIEQLVWLSKTGLIDLRHASLLQKIAVWAELIGYIGSITLKLKEITKINSCLQSKEKSDEEVQKLRGKLVLKQLSIVQDVADGLMALGDVRDGKGWFSDPLLMASAGMLSALISTHKNWISC >DRNTG_29140.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1364936:1366104:1 gene:DRNTG_29140 transcript:DRNTG_29140.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein 11A [Source:Projected from Arabidopsis thaliana (AT1G47750) UniProtKB/Swiss-Prot;Acc:Q9FZF1] MASGDPKPPSTASSDDRRKRDFLVHLEAYLARRDGVDKLLKISRYAAKIALAAGTLPPELAPRVKSFESSVGLSRKAFRLGKFVQDVNALRSSTGDPFLAAIAYGGDGIYYFIEQLVWLSKTGLIDLRHASLLQKIAVWAELIGYIGSITLKLKEITKINSCLQSKEKSDEEVQKLRGKLVLKQLSIVQDVADGLMALGDVRDGKGWFSDPLLMASAGMLSALISTHKNWISC >DRNTG_29140.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1365121:1367653:1 gene:DRNTG_29140 transcript:DRNTG_29140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein 11A [Source:Projected from Arabidopsis thaliana (AT1G47750) UniProtKB/Swiss-Prot;Acc:Q9FZF1] MASGDPKPPSTASSDDRRKRDFLVHLEAYLARRDGVDKLLKISRYAAKIALAAGTLPPELAPRVKSFESSVGLSRKAFRLGKFVQDVNALRSSTGDPFLAAIAYGGDGIYYFIEQLVWLSKTGLIDLRHASLLQKIAVWAELIGYIGSITLKLKEITKINSCLQSKEKSDEEVQKLRGKLVLKQLSIVQDVADGLMALGDVRDGKGWFSDPLLMASAGMLSALISTHKNWISC >DRNTG_03108.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14157893:14158594:1 gene:DRNTG_03108 transcript:DRNTG_03108.2 gene_biotype:protein_coding transcript_biotype:protein_coding KGLVLEFFLHLLALFLPPHVSFSKLHSPHLKHSFLTSRSRENQGKIESSSSLLLS >DRNTG_03108.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14157893:14159557:1 gene:DRNTG_03108 transcript:DRNTG_03108.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGLVLEFFLHLLALFLPPHVSFSKLHSPHLKHSFLTSRSRENQGKIESSSSLLLS >DRNTG_01190.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29426959:29427986:-1 gene:DRNTG_01190 transcript:DRNTG_01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVPWLVPAFMANFTTPPDHSFSDPDPNSQPQLHSPFDIAEYLLNMDDDIGDAVQQQQQQQQQQQQQQQQQLSQVMQGGSSSSNTCMMEGGMRVAFRTKSEVEILDDGFKWRKYGKKSVKNNSHPRCSTEGCSVKKRVERDREDPNYVITTYDGVHNHTSPSVIYDTMRDDTSGQFLVSGCQMRSTP >DRNTG_14880.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2904130:2904874:-1 gene:DRNTG_14880 transcript:DRNTG_14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFPGINSSSLGAPGRPKDKHFPVFFSMDCIKGMSMDPESDTGDARTLHNLRAGDTPNPCPGSDKETDLRSSFVAGEKRMGFKKPWNEDDEIELAKREETTGRKEVEGRRKKAAIGEEDRSIRA >DRNTG_18897.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2165243:2170076:-1 gene:DRNTG_18897 transcript:DRNTG_18897.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor C subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G63160) UniProtKB/Swiss-Prot;Acc:Q9CAM7] MASSSSVAPPAPSSGGHYEVPWVEKYRPVRVADVVGNTDAVSRLQIIAREGNMPNIILAGPPGTGKTTSILALAHELLGPNCKEAVLELNASDDRGIDVVRNKIKMFAQKKVTLPPGRHKIIILDEADSMTSGAQQALRRTMEIYSNTTRFALACNTSSKIIEPIQSRCALVRFSRLSDEEILGRLMIVVEAEKVAYVPEGLEAIIFTADGDMRQALNNLQATYSGFRFVNQENVFKVCDQPHPLHVKNMIRDVLEGKFDDACFALKQLYDLGYSPTDIITTLFRIIKNYDMPEYLKLEFLKETGFAHMRICDGVGSLLQLSGLLAKLAVAQQTAKAL >DRNTG_03561.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14387604:14389069:1 gene:DRNTG_03561 transcript:DRNTG_03561.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCEIHVLAWNFVPFKDVTGGLLEIIRWRLSPLAACTSQQGRSSGPVQLHGVLKSVSPVFMVPLDSKNRNRDPRGSVGFLAEISICKCEASCPCLSVDDLQYSSHVQKAFLYFVKPASLWRPVMAKLIGKVILVSGLKKKMVGVGGVRSYLMFVTTDKTNVSLCEVPLSMMASRVVRPVMNHARVYCGVVTGIYMQGMAVELDEKVWLMITDPLLGLHHSLRVGALVHVMNCHLVCPEFSWTKILLLETGIATNIDVACFSLSDTRCCFRTLSRSLLGTFIESLTLSSRFW >DRNTG_03561.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14387501:14388372:1 gene:DRNTG_03561 transcript:DRNTG_03561.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCEIHVLAWNFVPFKDVTGGLLEIIRWRLSPLAACTSQQGRSSGPVQLHGVLKSVSPVFMVPLDSKNRNRDPRGSVGFLAEISICKCEASCPCLSVDDLQYSSHVQKAFLYFVKPASLWRPVMAKLIGKVILVSGLKKKMVGVGGVRSYLMFVTTDKTNVSLCEVPLSMMASRVVRPVMNHARVYCGVVTGIYMQGMAVELDEKVWLMITDPLLGLHHSLRVGALVSYLFF >DRNTG_03561.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14387604:14403591:1 gene:DRNTG_03561 transcript:DRNTG_03561.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCEIHVLAWNFVPFKDVTGGLLEIIRWRLSPLAACTSQQGRSSGPVQLHGVLKSVSPVFMVPLDSKNRNRDPRGSVGFLAEISICKCEASCPCLSVDDLQYSSHVQKAFLYFVKPASLWRPVMAKLIGKVILVSGLKKKMVGVGGVRSYLMFVTTDKTNVSLCEVPLSMMASRVVRPVMNHARVYCGVVTGIYMQGMAVELDEKVWLMITDPLLGLHHSLRVGALVHVMNCHLVCPEFSWTKILLLETGIATNIDVACFSLSDTRCCFRTLSRSLLGTFIESLTLSSRFWVLLLVSCFRRKFSNILSEKEILGSKNVLSYPSYIFLNSF >DRNTG_03561.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14387604:14396684:1 gene:DRNTG_03561 transcript:DRNTG_03561.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCEIHVLAWNFVPFKDVTGGLLEIIRWRLSPLAACTSQQGRSSGPVQLHGVLKSVSPVFMVPLDSKNRNRDPRGSVGFLAEISICKCEASCPCLSVDDLQYSSHVQKAFLYFVKPASLWRPVMAKLIGKVILVSGLKKKMVGVGGVRSYLMFVTTDKTNVSLCEVPLSMMASRVVRPVMNHARVYCGVVTGIYMQGMAVELDEKVWLMITDPLLGLHHSLRVGALVHVMNCHLVCPEFSWTKILLLETGIATNIDVACFSLSDTRCCFRTLSRSLLGTFIESLTLSSRFWVLLLVSCFRRKFSNILSEKEILGSKNVLSYPSYIFLNSF >DRNTG_04324.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31371667:31375169:-1 gene:DRNTG_04324 transcript:DRNTG_04324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLEAHTSSHHESTGQENDASTQEKQSRHRGKTVMANVWTSTEKFIIKVDKYGVPCTKDATTLSSFFCVLAKNGAYAPINIPNWRHEDFTPYKAKCLKLLMTKFDFPHTQETVTWILQNLNKRWRDWKGDLKAEFYIPKEKEQVLVEPPSTVLEEQWPGLVRQWYNPRNEENETGKEPDRLTMWDATHKKKDGSYVNEEFRKKLEATHDLEVSYTSSSANFEQNEINEMVLQEIYGVEHNGRVRGLGLGPTPSRYFSVISKFTSTSASTTDNNHKAELENVKLELAEMKDKYEKLSSDLADMKELFGGFMAERSLNDRMSKTPAEEILENSWLKIKKVEDEDT >DRNTG_18204.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1156042:1158094:-1 gene:DRNTG_18204 transcript:DRNTG_18204.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSMRRILNLKSQFYFLDGDSGLMSKRSTELPSWMLEEHEMAKKKREEALKKRKDANLRHVIISETTDKKAEKLLAKSLPFPFTSKEVYEQSIRMPIGPEFNPAISVRALNRPEVIKEAGVIIKPIKFEEVDPHENPDEPKRIIQNKNASSSSKNKRKSSKGKKSSGSKVSKN >DRNTG_18204.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1156042:1158094:-1 gene:DRNTG_18204 transcript:DRNTG_18204.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSMRRILNLKSQFYFLDGDSGLMSKRSTELPSWMLEEHEMAKKKREEALKKRKDANLRHVIISETTDKKAEKLLAKSLPFPFTSKEVYEQSIRMPIGPEFNPAISVRALNRPEVIKEAGVIIKPIKFEEVDPHENPDEPKRIIQNKNASSSSKNKRKSSKGKKSSGSKVSKN >DRNTG_16608.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31315827:31318487:-1 gene:DRNTG_16608 transcript:DRNTG_16608.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCIKWDGECDGVMEDIFSNLRQQQQQQQQQQQQQQIKMLMMMNIEKRRILCITLLVVAFTFERHGKTRVLQVYGQEEFVEEEEKGISISSRSNRGGGGEDFVKVSGLQFTRNGSTFYLNGFNAYWMMLVASDPTQRLKVASALRQASVYGLTLARTWAFSDGTAASNKPLQSAPGSYNEAMFQGLDFVVSEAHKNGIYLIMSLVNYYSDFGGRKQYVQWAREKGQNVTSTDDFYTNIMIKGFYKNHVKTILTRRNTINGMIYKDDPTILAWELINEPRCESDLSGKILQNWITEMATYVKSIDKKHLLDVGMEGFYGNSTPEKKYLNPGGIVFGTDFLIHNQIKGIDFATFHAYPDIWLSGSVDKVQLEYMKNWTESHVQDAGRILKKPILMGEFGKSLKPKGGSIKSRDALMVITYTMILHSARNNGPFAGGLFWQLLDQALGQFQDGYGIVLPEFPSTAALIASHSRTLSNLNHHL >DRNTG_02261.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:989547:990146:1 gene:DRNTG_02261 transcript:DRNTG_02261.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELFHHEPPSAFSTSHHAFQSLGKHYSFEMTNSSTTKSIITQKSNIKLRL >DRNTG_07077.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2076190:2081251:-1 gene:DRNTG_07077 transcript:DRNTG_07077.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKAGRWRSEKNKIKAVFKFQFHATQVPELGWEAMVVSLVPVDVGRPTLRSEKGEVVNGVCHWSKPIWETVKFIQDPKSGKINEKAYQFLVSAAGSTKYGYLGETTINLADYADVFKPSSVSLPLKGSNTAAILHVNIQRMQGDEERRVTDEHGAMIVGHQRKTLQSQLSNEKDEIGKLACDVDVINSPKESLFIDSPAEKKFQSSRNMVYHSDFKKSDGSDAISRSCSDSSSGQYSPKLNGVFNSNSQQDSVTLLSPLCNSDTPRNAIASSIEWSATSGPDGKMDESITSSGEAGLRERLYDPDISLEKLRSDAITLVRRLDISELELQTLRKQVVKESKRGQDHLREISSLKEERDTLRRECEELKNVQKRTNNLNESQKMCFDGEDLRSMLEEIKEELSHEKNLNANLQLQLQKTKESNSELILAVRDLDELLEQKNREISSADDTKVARKIYENYQDNGNNSPHLRSCKHNQEFLETMVQHHDDEQYALEVLVKEHDVGVKHSLEQQIISLKSEVDLYQKDREELEMQMEQLALDYEILKQENHEISSKLEQIQLREQLRMQYECSAHLSIINDLEFNIEGLEKNLEEQAEAFEADLETVTRAKVEQEQRAIRAEDALRNSRRSNANTAEKLQEDFKRLSAQVSSTFYANEKLAMKAMTEANDLRLEKFNLEELLWKSNEELMLVKDQYHVKLQQLSNLFDMKTKETSKLFQEVESKSAALDNQRKYNEALKRAFLEELLALRVDVERLEKEKIHHSELVQQREKLIGKMKQLKSALEDSEMMAHNRNIDGGLLEQEIISITEETNKSLELKDSSHLGDEEETMINNLNSEVEKENSRNEVLYLMGELQNVENMDGLITKKLEDSDTRIAVFDGATKTIVEKKNVKSGLPPHNTKEVGALQEKCRLLEQEIELKDTKIEDTHYSFLEMEDINDAGSQEKMVCCKDTGDKVEDVNIDGKNINTVNNSEVKHMGGDLLIPRLGDKAFMLSPSTFNRLLYMSITKDGSEREESFVAGTCDRGYIAEILSEVAVLKEHNKAMEAELKEMQQRYSAISLKFAEVEGERQQLVMTIRGLKNGSKG >DRNTG_07077.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2076190:2081251:-1 gene:DRNTG_07077 transcript:DRNTG_07077.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKAGRWRSEKNKIKAVFKFQFHATQVPELGWEAMVVSLVPVDVGRPTLRSEKGEVVNGVCHWSKPIWETVKFIQDPKSGKINEKAYQFLVSAAGSTKYGYLGETTINLADYADVFKPSSVSLPLKGSNTAAILHVNIQRMQGDEERRVTDEHGAMIVGHQRKTLQSQLSNEKDEIGKLACDVDVINSPKQESLFIDSPAEKKFQSSRNMVYHSDFKKSDGSDAISRSCSDSSSGQYSPKLNGVFNSNSQQDSVTLLSPLCNSDTPRNAIASSIEWSATSGPDGKMDESITSSGEAGLRERLYDPDISLEKLRSDAITLVRRLDISELELQTLRKQVVKESKRGQDHLREISSLKEERDTLRRECEELKNVQKRTNNLNESQKMCFDGEDLRSMLEEIKEELSHEKNLNANLQLQLQKTKESNSELILAVRDLDELLEQKNREISSADDTKVARKIYENYQDNGNNSPHLRSCKHNQEFLETMVQHHDDEQYALEVLVKEHDVGVKHSLEQQIISLKSEVDLYQKDREELEMQMEQLALDYEILKQENHEISSKLEQIQLREQLRMQYECSAHLSIINDLEFNIEGLEKNLEEQAEAFEADLETVTRAKVEQEQRAIRAEDALRNSRRSNANTAEKLQEDFKRLSAQVSSTFYANEKLAMKAMTEANDLRLEKFNLEELLWKSNEELMLVKDQYHVKLQQLSNLFDMKTKETSKLFQEVESKSAALDNQRKYNEALKRAFLEELLALRVDVERLEKEKIHHSELVQQREKLIGKMKQLKSALEDSEMMAHNRNIDGGLLEQEIISITEETNKSLELKDSSHLGDEEETMINNLNSEVEKENSRNEVLYLMGELQNVENMDGLITKKLEDSDTRIAVFDGATKTIVEKKNVKSGLPPHNTKEVGALQEKCRLLEQEIELKDTKIEDTHYSFLEMEDINDAGSQEKMVCCKDTGDKVEDVNIDGKNINTVNNSEVKHMGGDLLIPRLGDKAFMLSPSTFNRLLYMSITKDGSEREESFVAGTCDRGYIAEILSEVAVLKEHNKAMEAELKEMQQRYSAISLKFAEVEGERQQLVMTIRGLKNGSKG >DRNTG_15591.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1578332:1578789:-1 gene:DRNTG_15591 transcript:DRNTG_15591.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERFAYYGIGFNLITYLTGPLRLSIGSAATAVNLWDGMASMLPLVGAFVADSYLGRYRTIIIASILYILVCSFIL >DRNTG_15591.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1578332:1578645:-1 gene:DRNTG_15591 transcript:DRNTG_15591.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERFAYYGIGFNLITYLTGPLRLSIGSAATAVNLWDGMASMLPLVGAFVADSYLGRYRTIIIASILYILVCSFIL >DRNTG_10417.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14281290:14286408:-1 gene:DRNTG_10417 transcript:DRNTG_10417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHGSIHYSRQSSVDINCSLSPSLKVPSGPIGLETGAIMDSTNEGLEVMIDSSSPTEEGHIDENIELSFGPWIFVSRQRGERWWPWGHRRWCWMSSIRCGVCGHYRSISFYSQYTAVSWFEYLAMWRLLLVDSTSNNPITSSHAMVVDKLKGILYPSLSPAISDHSMSDSGEDGSEEEDSDSEISEYDNLGEPDDSLTLDQFLSGLRKEALVQRVPESLALLPKKGRLDQGGDEGDFRVGYLLSYNGRIDNFCSKLGHNWVWAAIVAEGYLGGILVIWQKQLGKVTLIAISRPKHFIWLLLQNDIKTYNYLYSLNLGPQTLCKFCNLSFETTEHLFNTCPKAQLTNAGFDKYAQSVIAAASWLIWKARSTAGNYGSDLFGAGFFIADSNSQFIFASCCNNPAESIIEAEALALLAALGCIFVFDLQIKTIFIASFELHGFLKAGNHHHSWRLNPLLTSINDYLAELGCPQLHIIPHTWMTAAASLALHGLNSHVLTLFHQGRELPYWLMKQLKKNGITL >DRNTG_00897.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21686552:21688836:1 gene:DRNTG_00897 transcript:DRNTG_00897.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKAVVGGYEPLHHANEGRSSISLIFFKFFGVVFLAGALCYLFISNTNAWTMEAKKLSLSLEKQDALNIIRPVMKESIFKEQQQQQQQQLTKDQVCDLSVGEWIPNSAGPAYTNETCNKIPTYLNCLKNGRPDTGYLHWRWKPSGCDSHPIDPLKFLNAMRNKSIAFLGASICHNHVVSLICQLSKVEEALDIFHDSSFQTRTWYYPSYNLTLYVIWAPFLIHYETIDNPGDKSQSELHIHLDILDNKWTSEYNKYDYVVITGGADFYRSTIIYENNQVIGCHHCRPHMKLKHLAADEIYRKALKLSLKFIATAEHKAFIILRTWPPMHYEHGELPDEKFCNRTKPFREDEISGYASDHKMREVEIEECEKAATIGAKNGVRIELLDTYHLSLLRPDGHPGSYGIYNPSDSDKKKEVQYDCVHWCLPGPIDTWAELLVLKMLSSGVAGDSVSA >DRNTG_00897.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21686552:21688836:1 gene:DRNTG_00897 transcript:DRNTG_00897.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKAVVGGYEPLHHANEGRSSISLIFFKFFGVVFLAGALCYLFISNTNAWTMEAKKLSLSLEKQDALNIIRPVMKESIFKEQQQQQQQQLTKDQVCDLSVGEWIPNSAGPAYTNETCNKIPTYLNCLKNGRPDTGYLHWRWKPSGCDSHPIDPLKFLNAMRNKSIAFLGASICHNHVVSLICQLSKVEEALDIFHDSSFQTRTWYYPSYNLTLYVIWAPFLIHYETIDNPGDKSQSELHIHLDILDNKWTSEYNKYDYVVITGGADFYRSTIIYENNQVIGCHHCRPHMKLKHLAADEIYRKALKLSLKFIATAEHKAFIILRTWPPMHYEHGELPDEKFCNRTKPFREDEISGYASDHKMREVEIEECEKAATIGAKNGVRIELLDTYHLSLLRPDGHPGSYGIYNPSDSDKKKEVQYDCVHWCLPGPIDTWAELLVLKMLSSGVAGDSVSA >DRNTG_22140.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5839077:5849825:-1 gene:DRNTG_22140 transcript:DRNTG_22140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSVKWQKELFPAVEIDTSQPPYVFKCQLFDLTGVPPERQKIMVKGGLLKDDADWSTLAVKDGQKLMMMGTADEVVKAPEKGPVFVEDLPEEEQVVAVGHTAGLFNLGNTCYMNSTIQCLASVPELKSALLTYTNSGRSNELDQYSHLLTIATRDLFAELDRNAKPVAPLQFLSVLRKKFPQFAQQHNGVYMQQDAEECWTQLMYTLSQSLRSSESSEISGAVKSLFGIDLVSRIHCAESGEESSETESVYALKCHISQDVNHLHEGLKRAMKSELEKVSPSLGRSAVFSKESSISDLPRYLTVQFVRFFWKRESNQKAKILRKVDYPLELDVYDLCSDELRQKLQKPRQVLRELENEKLGLKTKQKIDTSAGSDGDATMAEASEGSSGPSTNVNPEGASSQKETYPTGIYDLVAVLTHKGRSADSGHYVAWVKQESGKWIQYDDDNPIPQREEDISKLSGGGDWHMAYICMYKARLAHI >DRNTG_22140.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5839077:5849825:-1 gene:DRNTG_22140 transcript:DRNTG_22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSVKWQKELFPAVEIDTSQPPYVFKCQLFDLTGVPPERQKIMVKGGLLKDDADWSTLAVKDGQKLMMMGTADEVVKAPEKGPVFVEDLPEEEQVVAVGHTAGLFNLGNTCYMNSTIQCLASVPELKSALLTYTNSGRSNELDQYSHLLTIATRDLFAELDRNAKPVAPLQFLSVLRKKFPQFAQQHNGVYMQQDAEECWTQLMYTLSQSLRSSESSEISGAVKSLFGIDLVSRIHCAESGEESSETESVYALKCHISQDVNHLHEGLKRAMKSELEKVSPSLGRSAVFSKESSISDLPRYLTVQFVRFFWKRESNQKAKILRKVDYPLELDVYDLCSDELRQKLQKPRQVLRELENEKLGLKTKQKIDTSAGSDGDATMAEASEGSSGPSTNVNPEGASSQKETYPTGIYDLVAVLTHKGRSADSGHYVAWVKQESGKWIQYDDDNPIPQREEDISKLSGGGDWHMAYICMYKARLAHI >DRNTG_22140.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5839077:5849825:-1 gene:DRNTG_22140 transcript:DRNTG_22140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLSQSLRSSESSEISGAVKSLFGIDLVSRIHCAESGEESSETESVYALKCHISQDVNHLHEGLKRAMKSELEKVSPSLGRSAVFSKESSISDLPRYLTVQFVRFFWKRESNQKAKILRKVDYPLELDVYDLCSDELRQKLQKPRQVLRELENEKLGLKTKQKIDTSAGSDGDATMAEASEGSSGPSTNVNPEGASSQKETYPTGIYDLVAVLTHKGRSADSGHYVAWVKQESGKWIQYDDDNPIPQREEDISKLSGGGDWHMAYICMYKARLAHI >DRNTG_00493.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30585775:30586523:1 gene:DRNTG_00493 transcript:DRNTG_00493.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLKISRSPSSSPPTPPNEPETINTWELMAGLEDFPEPTRTLPYGQDADGHGSIIADFNLPPTSPETKIPRFTGIVKQRINAFQEKIDAKRSKIAPSSECPPAGQEKVVFYFTSLRGVRKTYEDCSTVRVILNGYNVRLDERDVSMDGGFKDELNQLLGPRFGGNQLPRVFANGVYIGGVEEVRQMHENGELGKVMEGCQPVVVGKGGVAGGVCAACGDVRFVPCEICYGSCKV >DRNTG_00493.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30584905:30586523:1 gene:DRNTG_00493 transcript:DRNTG_00493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLKISRSPSSSPPTPPNEPETINTWELMAGLEDFPEPTRTLPYGQDADGHGSIIADFNLPPTSPETKIPRFTGIVKQRINAFQEKIDAKRSKIAPSSECPPAGQEKVVFYFTSLRGVRKTYEDCSTVRVILNGYNVRLDERDVSMDGGFKDELNQLLGPRFGGNQLPRVFANGVYIGGVEEVRQMHENGELGKVMEGCQPVVVGKGGVAGGVCAACGDVRFVPCEICYGSCKV >DRNTG_00493.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30584905:30586523:1 gene:DRNTG_00493 transcript:DRNTG_00493.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLKISRSPSSSPPTPPNEPETINTWELMAGLEDFPEPTRTLPYGQDADGHGSIIADFNLPPTSPETKIPRFTGIVKQRINAFQEKIDAKRSKIAPSSECPPAGQEKVVFYFTSLRGVRKTYEDCSTVRVILNGYNVRLDERDVSMDGGFKDELNQLLGPRFGGNQLPRVFANGVYIGGVEEVRQMHENGELGKVMEGCQPVVVGKGGVAGGVCAACGDVRFVPCEICYGSCKV >DRNTG_09030.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31325417:31327149:1 gene:DRNTG_09030 transcript:DRNTG_09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGISDMVVAAQLMNATLVLPFLDHDSFWKDSSEFKDIFDWEHFIDELKDDVNIVNSLPPNYANKKPHVMPPISWSKVSTPYNRICNPSRQKLRCRANYKALRFTPQIEELGKTLVDRLRNQTNHYIALHLRYEKDMLAFTGCTNELTEHEAEELRDMRYSVKRWKEKEINSMEKRLKGGCPMTPREAAVFLKAMDYPSTTNIYIVAGEIYGKNGLNALKAEYPNIHTHNSLATPEEIESLEMYHNRLAALDYIMALKSDVFVYTYDGNMAKAVKGHRMFEGFLKTISPDRQEFVHLIDQMDAGEMTWMEFKQRVKEFHADHLGGPCARKAGALPKLEEYFYANPLPGCLCKSKDNNLKAIL >DRNTG_06346.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24148721:24149325:1 gene:DRNTG_06346 transcript:DRNTG_06346.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLRIETGEETGLGLGFGKWDSRSRRERERERDS >DRNTG_31959.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18593880:18597284:-1 gene:DRNTG_31959 transcript:DRNTG_31959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPINFFLSLLCLVASQLPHSHSQVHGNCIKTERIALLSIKAGMWSNNKSFLSSWTGHDCCNWRGVSCNNETGHVTKLDLQYPYYDPIHPIPPPSKLNSSLIQLHHLNYLDLSNNDFQGFPIPDFIGSLANLDYLNLSNAEFSGMLPHTFGNLSCLCYFDLSSNLYLQANDLHWLSEMTFLRYLDLSGVDLSNVHGWLHDINMLPSLLVLKLSNAGLRVGGIHGTTLLHHLNFTSLRVLDLSMNYDLNITLPQWLFHLTSLVHLDLSICALYGKLPVTIGNLRSLRFLSLTQNSFDGVIPESMGNLGSLEKLDLSVNKFNGSIPESLSNLTNLEYFDLSSNQVQGLMPASIGDLRNLQYFDLSRNMISGAFPDSFGNLTLLQYFSASGGNNLSGNLPETIGNLVHLQFLDLSKNMMHGKLPESFGNLRQLQQWRMPGNGITGKLPESMGKLSSLWELDLSKNNINGTLPKGMGNLCKLQTLDFTSNSISGGIDDLIDGLSKCRENKDFSASESSMGLKTLRMGNNKLNGTVPENIGQLSKLSLLHLSSNSLMGVLTESHFANLVSLSYLDLSYNSLQLNVSEDWKPAFACYIIRMCSCKVGPAFPTWVKTQTHLGDLCLSDAEISGSIPSWFWDLSAINPYLLNLSNNNLEGRLPTSMKNYRFILFDLSSNRFEGPIPELDPTALSVIDLTNNSFSGFIPSYFAAANNIKVFSLAHNHINGSIPSFFCNSISLALLDLSNNDMSGELPHCWNSTSALEIVDLSDNNFTGKIPDGLVSLPNLRSLHLRNNGFFGGLPFSLKMANKLVTLDLGENKLSGSIPIWFGESLSSLIVLRMRSNLFEGVIPEQLSKLSSLQILDLAHNNLTGCIPRSFGDFNAMAVTNHNEWWSLLSIFSEVFSSYSIWGSLPDSFAYSESLLINAKGLQVEYSKILSLVTSMDLSNNKLSCELPDELTKLHGLHFLNLSYNLFNGKIPESISDMKQLESLDLSENNLFGTIPSGMSTLNFLSHLNVSHNNLSGTIPSGGQLQTF >DRNTG_12596.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20318183:20321993:1 gene:DRNTG_12596 transcript:DRNTG_12596.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sucrose-phosphatase 3a [Source:Projected from Arabidopsis thaliana (AT3G54270) UniProtKB/Swiss-Prot;Acc:Q93WU4] MDRLNNPARLMIVSDLDHTMVDHHDAENLSLLRFNALWESTYRHDSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGEAMVPDDGWEEYLNNKWDRNIVIEETSKFSQLKFQSETEQRPHKVSFYVEKEHAREIMKSLSGSLEKRGLDVKIIYSGGMDLDILPQGAGKGQALAYLLKKFKAMGKPPVNTLVCGDSGNDAELFSIPEVHGVMVSNAQEELLQWRAENAKDNPKIIHATERCAAGIIQAIGHFKLGPNVSPRDVVDLSSTKSGHFNPGHELVNFYLVHERWCRGEIEKSEELIQNLKNITCSGGVIIHPSGVEHSLHQCIGTFGPRYGDKQGKQFRVWVDKVSCSQIGSGAWLVKFDKWEINDGGRQCCLTTVLLNSKVDNSEGFQLVHVHQTWLEGYAATDQTSWIW >DRNTG_33869.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20533286:20537956:-1 gene:DRNTG_33869 transcript:DRNTG_33869.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSPTKLSYFDDMRALTSSATILSISQDSGRRAVILDSTIFYPQGGGQPSDTGFISNSTSDFKFIVQDVRLKDGVVWHYGILECLEDACESKFEGQEVSLHVDAERRDFNSRLHSAGHLLDVCMRKVGSSHLEPGKGYHFPDGPFVEYKGVIPKDQIQIKQKELEAEANALISSGGKVLVSILPYDEAAKWCGGDLPSYIAEGSTPRIVKLGDNLGGPCGGTHVTDIADIGSLKVSQIRTKKGFTKVFYNINP >DRNTG_09842.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000415.1:10451:11218:1 gene:DRNTG_09842 transcript:DRNTG_09842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVKLIGLSMSMFVIRVKISLNLKGVKYENLEETFGSKSELLLKSNPIYKKVPVLIHNGKTICESMIIVQYVDDVWSDAGPSIVPSDPFDAAMARFWSYYIDDKWFPAYGEVIKGQTREAKIEAAKEAIATLQPLEEVFQQQSKGKDFFGGDTIGYLDIALGCYLGWIKAAEKLTGLEFFDKNETPLLAAWAECFYSNDAVKCAVPDVDEF >DRNTG_12351.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20819772:20820587:1 gene:DRNTG_12351 transcript:DRNTG_12351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILHLKSIATKNHKDDLVIECCIGIPVYFTDLQRRAVRDAATIAGHGLLHARLIHETTATALAYGTYKTDLPENDQLNVAFVDVGHTSMQVCIAGFKKGQLTILSHAYDRSLGGRDFDDVLFKHFAAKFRDRYKIDVYQSAHASLRLRAACEKLKKTLSAYPEGLLNIEWLMDGKDVKGFIKREEFEQISMPILERVKAPLEKALLDAGLTIQNIHSVEVVGSGSRVPAIIRILSEFFVQVPRRTMDASECVAHGCALKYGF >DRNTG_33924.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1127218:1130393:-1 gene:DRNTG_33924 transcript:DRNTG_33924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLSNGLTFATTEIDQSIAHWYYPRLGYLPYLPPVSLKHETLVPFFSLTGHPYQFFCPHDVSLFRAEEAAKAALKRALGALNVHLAANTYLVGHSITLADIIMTCNLYVGFARVMTKSFTSEFPHVERYFWTLVNQPNFLKVIGEVKQAESVCPIQSQKKPAQPKEAAKPKEAKKETKKEAPKRACKTKKLKLLLKMKKRHQNQRQKNPLDLLPPSKMILDEWKRLYSNTKSNFREVAIGGFWEMYDPEGYSLWFCNYKYNDENTVSFVTLNKVSGFLQRMDLARKYAFGKMLVIGSQPPFKVKGLWLFRGKEIPQFVLDECYDMELYEWTKVDITDETQKERVNAMIEDQEPFEGEALLDAKCFK >DRNTG_33924.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1127218:1130393:-1 gene:DRNTG_33924 transcript:DRNTG_33924.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLSNGLTFATTEIDQSIAHWYYPRLGYLPYLPPAEEAAKAALKRALGALNVHLAANTYLVGHSITLADIIMTCNLYVGFARVMTKSFTSEFPHVERYFWTLVNQPNFLKVIGEVKQAESVCPIQSQKKPAQPKEAAKPKEAKKETKKEAPKRACKTKKLKLLLKMKKRHQNQRQKNPLDLLPPSKMILDEWKRLYSNTKSNFREVAIGGFWEMYDPEGYSLWFCNYKYNDENTVSFVTLNKVSGFLQRMDLARKYAFGKMLVIGSQPPFKVKGLWLFRGKEIPQFVLDECYDMELYEWTKVDITDETQKERVNAMIEDQEPFEGEALLDAKCFK >DRNTG_30455.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:337363:337818:1 gene:DRNTG_30455 transcript:DRNTG_30455.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLKNGVTATDLVLTVTQMLRKHGVVGKFVEF >DRNTG_30455.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:334822:337818:1 gene:DRNTG_30455 transcript:DRNTG_30455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKALVTSSSRLHFSPSFSPTLAPSPLLPCSVSFSRSSSRSPIRLRAGIRSSVTVIKQFERRMATTIDKLPYSIRILLESAIRNCDNFQVTKDDIERIIDWENTSPKLVEIPFKPARVLLQDFTGVPAVVDLACMRDAINKLGSDSNKINPLVPVDLVIDHSVQVDLARSENAVQANMELEFRRNKERFSFL >DRNTG_30455.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:335672:337818:1 gene:DRNTG_30455 transcript:DRNTG_30455.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVPPGSGIVHQVNLEYLGRVVFNTDGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLKNGVTATDLVLTVTQMLRKHGVVGKFVEF >DRNTG_31801.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:564631:566409:1 gene:DRNTG_31801 transcript:DRNTG_31801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVSVHTNADTTQVVRIRNTSGLNRKGSESNNLHNDNSWN >DRNTG_33580.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2551588:2557325:1 gene:DRNTG_33580 transcript:DRNTG_33580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLRHRSFSMARLRSLRPDLASLMRSFASDALVELKPGEIGMVSGIPQEHLRRKVMIYSPARTATQQGSGKVGRWKINFMSTQKWENPLMGWTSTGDPYANVGDSALSFDSAEAAAAFAEKHGWDFVVRKRHTPVLKVKAYADNFKWKGPPKSEEN >DRNTG_21535.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1444578:1445182:1 gene:DRNTG_21535 transcript:DRNTG_21535.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHFYQETTTYSPIPPSPSPPNQELRSQPVQAYKT >DRNTG_09989.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21553694:21559291:-1 gene:DRNTG_09989 transcript:DRNTG_09989.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILLHGNLHVTIFEAHLITKAGGASGSTAGFLEQLVEGIENAIGIAEEPSKVYATIDLDKARVGHTRRISNEPENPRWHEAFQIYCAHSAANVIFTVKCDMVVDASLFGRAHLPVEQIINGTEVERWLEICDQKHNPIGSSKIHVKVQYFDVSKDRSWSRGIQSSKFPGVPYTFFQQRQGCKVSLYQDVHVSDNFIPKIPLADGKYYEPHRCWEDIFDAITNAQHLIYIAGWSVYTEITLIRDSRRPRPGGDATLGELLKKKATEGVRVLMLVWNDKTSGGLFGKEGHMETHDEDTADYFRGTDVHCVLCERNADGGDIVENLEKSFIFTHHQKIVVVDQEMPKKTSLRRRIVSFVGGIDLCDGRYDTQFHSLFRTLDTAHHDDFHQINFAGASIKKGGPREPWHDIHSRLEGPVAWDVLFNFEQRWRKQGGGEDLLVHIRDLENIIIPPSPVLFPEDRETWNVQLFRSIDAGAAYGFPETPEGAARAGLISGKDQIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSFGWKADGIEPEEIGALHLIPKELSLKIVSKIEAGERFTVYVVIPMWPEGEPEGGSVQAILDWQRRTMEMMYTDIVEALKAKNITANPKDYLSFFCLGNREVKKNGEYTPEEQPEPDTDYSRAQQARRFMIYVHAKLMIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPYHLSTKQPARGHIHGFRMALWYEHLGMLDEVFLQPESLDCVHKVNATAQKYWDIYTSDTLEHDLPGHLLSYPVAVTNEGAITELPGMECFPDTKGRVLGTQSDYLPAILTT >DRNTG_09989.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21553694:21560068:-1 gene:DRNTG_09989 transcript:DRNTG_09989.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMLLHGTLQATIFEAHSLIKPGRTSGSAPRFFHQLVEGIENAIGIAEEPSKVYATIDLDKARVGHTRRISNEPENPRWHEAFQIYCAHSAANVIFTVKCDMVVDASLFGRAHLPVEQIINGTEVERWLEICDQKHNPIGSSKIHVKVQYFDVSKDRSWSRGIQSSKFPGVPYTFFQQRQGCKVSLYQDVHVSDNFIPKIPLADGKYYEPHRCWEDIFDAITNAQHLIYIAGWSVYTEITLIRDSRRPRPGGDATLGELLKKKATEGVRVLMLVWNDKTSGGLFGKEGHMETHDEDTADYFRGTDVHCVLCERNADGGDIVENLEKSFIFTHHQKIVVVDQEMPKKTSLRRRIVSFVGGIDLCDGRYDTQFHSLFRTLDTAHHDDFHQINFAGASIKKGGPREPWHDIHSRLEGPVAWDVLFNFEQRWRKQGGGEDLLVHIRDLENIIIPPSPVLFPEDRETWNVQLFRSIDAGAAYGFPETPEGAARAGLISGKDQIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSFGWKADGIEPEEIGALHLIPKELSLKIVSKIEAGERFTVYVVIPMWPEGEPEGGSVQAILDWQRRTMEMMYTDIVEALKAKNITANPKDYLSFFCLGNREVKKNGEYTPEEQPEPDTDYSRAQQARRFMIYVHAKLMIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPYHLSTKQPARGHIHGFRMALWYEHLGMLDEVFLQPESLDCVHKVNATAQKYWDIYTSDTLEHDLPGHLLSYPVAVTNEGAITELPGMECFPDTKGRVLGTQSDYLPAILTT >DRNTG_09989.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21553694:21556751:-1 gene:DRNTG_09989 transcript:DRNTG_09989.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVEGIENAIGIAEEPSKVYATIDLDKARVGHTRRISNEPENPRWHEAFQIYCAHSAANVIFTVKCDMVVDASLFGRAHLPVEQIINGTEVERWLEICDQKHNPIGSSKIHVKVQYFDVSKDRSWSRGIQSSKFPGVPYTFFQQRQGCKVSLYQDVHVSDNFIPKIPLADGKYYEPHRCWEDIFDAITNAQHLIYIAGWSVYTEITLIRDSRRPRPGGDATLGELLKKKATEGVRVLMLVWNDKTSGGLFGKEGHMETHDEDTADYFRGTDVHCVLCERNADGGDIVENLEKSFIFTHHQKIVVVDQEMPKKTSLRRRIVSFVGGIDLCDGRYDTQFHSLFRTLDTAHHDDFHQINFAGASIKKGGPREPWHDIHSRLEGPVAWDVLFNFEQRWRKQGGGEDLLVHIRDLENIIIPPSPVLFPEDRETWNVQLFRSIDAGAAYGFPETPEGAARAGLISGKDQIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSFGWKADGIEPEEIGALHLIPKELSLKIVSKIEAGERFTVYVVIPMWPEGEPEGGSVQAILDWQRRTMEMMYTDIVEALKAKNITANPKDYLSFFCLGNREVKKNGEYTPEEQPEPDTDYSRAQQARRFMIYVHAKLMIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPYHLSTKQPARGHIHGFRMALWYEHLGMLDEVFLQPESLDCVHKVNATAQKYWDIYTSDTLEHDLPGHLLSYPVAVTNEGAITELPGMECFPDTKGRVLGTQSDYLPAILTT >DRNTG_25188.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5873105:5874316:-1 gene:DRNTG_25188 transcript:DRNTG_25188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYMAARCPVVRSHQRKRLHVLSKKLNLNEQLEVHRGEEFQQQAQMLPSDLQPTHASLSLSLTPEIDKIQEDLAKVVVLSLVDGFVNEASVLEVAPTIINMAFAGLISPLNDCSFLVPLASREKIKEIEADGQASGTEQWITIGNLPLYGWTWNTISEVVRPVGKLVALSQVSLPHKRFLLVLVRGRAWVSLPPELDLSLGMRKYLILVMGDRGTLPTFRRELGRYLLPDPIVGDGRLSNRKSTHEISNSEKRKQQLQSLVGKQQLSSEMSNAIGPVEHGFRQGDRGGLSPPEGDAARESSEKVVELGSHSV >DRNTG_22694.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:13339539:13339865:1 gene:DRNTG_22694 transcript:DRNTG_22694.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKVLIQNTDMPPKIQPQAMSLASEAPDLFYVFYCKNIAAHIKKKFDMINRPGW >DRNTG_25465.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1560873:1566903:1 gene:DRNTG_25465 transcript:DRNTG_25465.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding family protein [Source:Projected from Arabidopsis thaliana (AT4G34430) TAIR;Acc:AT4G34430] MLQAEEPIVDAEFDAVRSRGTDVHAVPTHAGWFSWKKFHPLEKQALPSFFNGKSESRTPEIYMEIRDAIMKKFHADPQTQVELKDFSELSVGDMDAKQEVLEFLDHWGLINFHPVLPSNPDSSMSAVEDESKASPLLDKLYHFQKIPSRSRVAPKKQNASVPAVLPKLIPELAIADDLVRPEGPSVEYHCNSCSGDCSRKRYHCQTQADFDLCSECFTSGKFGSGMAPADFILMESAEVPGSSGGSWTDQETLLLLEALELFGENWSEIAEHVATKTKTQCILHFLQMPIEDPFLEGDDEMDDSIQENVDQVDKKSVNAQDLEVKEADNAGDRDQPASPVIDPLKTKDTDKVEISSETSANIAIEVLKTAFQAIGYSPEQGESLSFAESGNPVMALAAFLAGLVGPDDTTSIRSSLKAISEDSPSMQLATRHCFLLEDPPSDNKDPPAPDSAVTDASTETPKQDDQISPPEENGKINCCRDKSEETELPAEKEDSLKTLESQECSQTERAAKELKDLPLAVEATPAMVGGLDDTCMPSEHKNPVQNGGDSSLSSVLTSSDGKELRDSTGEPAANSVQKVKVLESAKEEKSNSIKELGNVAHVQADQEGSDTLMDESGLQSQECLQRSNNADAMPTSAILEEKTTVEVAASGPTGMNTEKGDSLKSQEFESQQKETDTEPSSGTLEGKGTEEVAASVPPINSGEEREEDGVKAVPGNDELAERDNEHNIDKIKRAAISAISAAAVKARILASEEEDQIRQLAALVIEKQLHKLETKMAYFSDIESMIMRAREQMDKTRQKLLHERAQIIAARLGMPSQRVTPQSLAANKAAMNYINAATRPPNMPFQKPPLARRP >DRNTG_25465.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1560873:1566903:1 gene:DRNTG_25465 transcript:DRNTG_25465.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding family protein [Source:Projected from Arabidopsis thaliana (AT4G34430) TAIR;Acc:AT4G34430] MLQAEEPIVDAEFDAVRSRGTDVHAVPTHAGWFSWKKFHPLEKQALPSFFNGKSESRTPEIYMEIRDAIMKKFHADPQTQVELKDFSELSVGDMDAKQEVLEFLDHWGLINFHPVLPSNPDSSMSAVEDESKASPLLDKLYHFQKIPSRSRVAPKKQNASVPAVLPKLIPELAIADDLVRPEGPSVEYHCNSCSGDCSRKRYHCQTQADFDLCSECFTSGKFGSGMAPADFILMESAEVPGSSGGSWTDQETLLLLEALELFGENWSEIAEHVATKTKTQCILHFLQMPIEDPFLEGDDEMDDSIQENVDQVDKKSVNAQDLEVKEADNAGDRDQPASPVIDPLKTKDTDKVEISSETSANIAIEVLKTAFQAIGYSPEQGESLSFAESGNPVMALAAFLAGLVGPDDTTSIRSSLKAISEDSPSMQLATRHCFLLEDPPSDNKDPPAPDSAVTDASTETPKQDDQISPPEENGKINCCRDKSEETELPAEKEDSLKTLESQECSQTERAAKELKDLPLAVEATPAMVGGLDDTCMPSEHKNPVQNGGDSSLSSVLTSSDGKELRDSTGEPAANSVQKVKVLESAKEEKSNSIKELGNVAHVQADQEGSDTLMDESGLQSQECLQRSNNADAMPTSAILEEKTTVEVAASGPTGMNTEKGDSLKSQEFESQQKETDTEPSSGTLEGKGTEEVAASVPPINSGEERGMILQPFILMVLTFW >DRNTG_11845.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:154975:156065:-1 gene:DRNTG_11845 transcript:DRNTG_11845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGLGRWRSLPINAGLNRCGKSCRLRWLNYLRPDIKRGNITEDEEDLIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNTYLKKKVSGHNTPQEHHHSAKINKPIVTSTEEENNNNNNNNNIIIKNLHVHAIRTKAVRFTKPHIISNQTPNSSDDNMKEYFSIDDPHEQYEPILPSYIEETMQCDPFESSKCTTACDTDKGPMDGHGHGHGHGDGDEFMANIYDIEIGELDSLSSFFDTDSWISIIPHKFNDDFIQH >DRNTG_14975.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24067056:24068480:-1 gene:DRNTG_14975 transcript:DRNTG_14975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSSAHKPHIAIFPFMSKGHTIPLLHLAHLLHHRRLASLITIFTTPLNSPFILNFLSGVEPDIIELSFPGDIPKDLPPNIESTDCLPSMLLFLPFIQAVKKLQPQFEQALKNLPTINLLISDGFLGWSGDSSAKFGIPRMVFNGMGNFAMTISHIVSINKPHKHVSSYDDPFTVTPFPHLKLTKADLNPPFDDPDPDPKGPLHEFVMEQGIATMQSHGIIVNSFSELDEIYLHYWNKNIGPKSWYVGPLCVMDAEHHQLACMQWLDARSLSNRPVLYVAFGSQAIVAPAQLQEIAVALEKSEHDFLWVIKENYYDDVLFGEFEEKVKDRGMVVHKWVDQMEILSHESVKGFMSHCGWNSVMESVVAGVPMLAWPMMAEQPLNAKFVVEEMKIGLRIRTRGKDIENEELIGRDHIEEMVRELMVGEGGKEVARNIKVLSEKARLAMECGGSSWTSLETLVSQVSSVNTVPSH >DRNTG_02368.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15116937:15117401:1 gene:DRNTG_02368 transcript:DRNTG_02368.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIGPWRVDHRHNQRSSSKPSMMYLGRRLYP >DRNTG_14776.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30053143:30056615:1 gene:DRNTG_14776 transcript:DRNTG_14776.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLMQLQSKAKQASQFVGKHGCEYYKQLMEKNKQYVVEPPTIEKCQELSKQLLYTRLASIPGRYEAFWKELDGVKQIWRNKKELKVEDAGIAALFGLELYAWFCVGEIVGRGFTLTGYYV >DRNTG_00070.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21817123:21817432:1 gene:DRNTG_00070 transcript:DRNTG_00070.6 gene_biotype:protein_coding transcript_biotype:protein_coding INQIGTVTEAIEVVKQAKDAHWGVVISHRSGETDNPFLADLAVGLTTGQIKAGAPCRGERVAKYNQLLRIEEELGGQAVYAGENWRLP >DRNTG_00070.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21814161:21817432:1 gene:DRNTG_00070 transcript:DRNTG_00070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILPIGANNFTEAVQMGSETYHHLKSIISEKYGSTGCNVGDDGGFAPNISSFSEGLDLVKEAIDRAGYNGRIKLAMDVAATDLCIGRKYDLDSKAPNKSGQNFKNGEEMIEMFTKLCSGYPIVSIEQPFDKDDWEHSKLFSALGICQVVGDDLLMSNPKRIGRAIQESACNALLLKINQIGTVTEAIEVVKQAKDAHWGVVISHRSGETDNPFLADLAVGLTTGQIKAGAPCRGERVAKYNQLLRIEEELGGQAVYAGENWRLP >DRNTG_00070.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21816283:21817432:1 gene:DRNTG_00070 transcript:DRNTG_00070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIEMFTKLCSGYPIVSIEQPFDKDDWEHSKLFSALGICQVVGDDLLMSNPKRIGRAIQESACNALLLKINQIGTVTEAIEVVKQAKDAHWGVVISHRSGETDNPFLADLAVGLTTGQIKAGAPCRGERVAKYNQLLRIEEELGGQAVYAGENWRLP >DRNTG_00070.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21813077:21817432:1 gene:DRNTG_00070 transcript:DRNTG_00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPIGANNFTEAVQMGSETYHHLKSIISEKYGSTGCNVGDDGGFAPNISSFSEGLDLVKEAIDRAGYNGRIKLAMDVAATDLCIGRKYDLDSKAPNKSGQNFKNGEEMIEMFTKLCSGYPIVSIEQPFDKDDWEHSKLFSALGICQVVGDDLLMSNPKRIGRAIQESACNALLLKINQIGTVTEAIEVVKQAKDAHWGVVISHRSGETDNPFLADLAVGLTTGQIKAGAPCRGERVAKYNQLLRIEEELGGQAVYAGENWRLP >DRNTG_00070.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21814161:21817432:1 gene:DRNTG_00070 transcript:DRNTG_00070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILPIGANNFTEAVQMGSETYHHLKSIISEKYGSTGCNVGDDGGFAPNISSFSEGLDLVKEAIDRAGYNGRIKLAMDVAATDLCIGRKYDLDSKAPNKSGQNFKNGEEMIEMFTKLCSGYPIVSIEQPFDKDDWEHSKLFSALGICQVVGDDLLMSNPKRIGRAIQESACNALLLKINQIGTVTEAIEVVKQAKDAHWGVVISHRSGETDNPFLADLAVGLTTGQIKAGAPCRGERVAKYNQLLRIEEELGGQAVYAGENWRLP >DRNTG_00070.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21813077:21814079:1 gene:DRNTG_00070 transcript:DRNTG_00070.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQEYLDKHLLSRKIEDAVNAAVRAKASDPILFMSNHMRKAVPSAITKITARQILDSRGIPTVEVDLCTNKGMYRASAPSGTAIGMYEAVELRDGDKGKFLGKGVSKAVKLINEKISETLIGMDPTLQSQIDQAMIDLDKTENKASAFFPTTGLKQYLLPFCVNKLLYSFAISSNLVVL >DRNTG_35384.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1703824:1705818:1 gene:DRNTG_35384 transcript:DRNTG_35384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSYALYQSFEEEEEEEEEEEEEEDSELNLCCEDTMEFESGWRIYHRVNNQINLLDMNPAHEEMSQIPAKSLHHIKFSSITREIESKRRVLGCS >DRNTG_22891.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1290487:1290870:-1 gene:DRNTG_22891 transcript:DRNTG_22891.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance 10 [Source:Projected from Arabidopsis thaliana (AT2G20980) UniProtKB/TrEMBL;Acc:Q5XVE2] MVKEKIQLNAKRNKTTHSSSTGNMIELDIVNSDEEC >DRNTG_22891.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1293274:1293953:-1 gene:DRNTG_22891 transcript:DRNTG_22891.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance 10 [Source:Projected from Arabidopsis thaliana (AT2G20980) UniProtKB/TrEMBL;Acc:Q5XVE2] MGCLDELNVSVFLFGDAYSRYSNEPLGSVFVLFNSVVRKDSMGSGFSLSIYSATQFLKIGTSADFGICKSKRQDGNACTMAINKYVCCL >DRNTG_22891.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1293542:1293782:-1 gene:DRNTG_22891 transcript:DRNTG_22891.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance 10 [Source:Projected from Arabidopsis thaliana (AT2G20980) UniProtKB/TrEMBL;Acc:Q5XVE2] RNLLSGDTLSGCWATIGVLTEKGAPKLSSAGKNYCIWKMGCLDELNVSVFLFGDAYSRYSNEPLGSVFVLFNSVVRKDSM >DRNTG_22891.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1294033:1294476:-1 gene:DRNTG_22891 transcript:DRNTG_22891.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance 10 [Source:Projected from Arabidopsis thaliana (AT2G20980) UniProtKB/TrEMBL;Acc:Q5XVE2] MASNGDDLDLLLSLREDRVPETPPGSPSSHPSDLPGYFSDDALPKRTGSANMSVFRDAVKDYLDEANPSTSGPVVRNPKKARKSHEVEAEKFSDLRIR >DRNTG_22891.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1292130:1293953:-1 gene:DRNTG_22891 transcript:DRNTG_22891.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance 10 [Source:Projected from Arabidopsis thaliana (AT2G20980) UniProtKB/TrEMBL;Acc:Q5XVE2] MGCLDELNVSVFLFGDAYSRYSNEPLGSVFVLFNSVVRKDSMGSGFSLSIYSATQFLKIGTSADFGICKSKRQDGNACTMAINKHQGQYCKYHTTRAYQKYSTMRSEFKGG >DRNTG_22891.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1290487:1294476:-1 gene:DRNTG_22891 transcript:DRNTG_22891.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance 10 [Source:Projected from Arabidopsis thaliana (AT2G20980) UniProtKB/TrEMBL;Acc:Q5XVE2] MASNGDDLDLLLSLREDRVPETPPGSPSSHPSDLPGYFSDDALPKRTGSANMSVFRDAVKDYLDEANPSTSGPVVRNPKKARKSHEVEAEKFSDLRIRNSLISSVELSNRLSDLRFVRLTAIRNLLSGDTLSGCWATIGVLTEKGAPKLSSAGKNYCIWKMGCLDELNVSVFLFGDAYSRYSNEPLGSVFVLFNSVVRKDSMGSGFSLSIYSATQFLKIGTSADFGICKSKRQDGNACTMAINKHQGQYCKYHTTRAYQKYSTMRSEFKGTCKECSSSSQKGFTWLIL >DRNTG_07743.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2667674:2671520:1 gene:DRNTG_07743 transcript:DRNTG_07743.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEMAKNSSLFETYGTKGRTLYKLYASFIFTCILSILFYRLTHIPLEHYSWTCAWISLFASELWFSFYWILTQSVRWNPNHHQTFKQTLLQRHGNELPGVDVFVCTADPVAEPPALVMSTVLSMMAYDYPKEKLSVYLSDDAGSELTFYALCEACKFAKHWIPFCNNFNVEPRSPNAFFYKPCHSSSNLPITKEWSKMKDMYEDMINNIDFVVKQGNVPQEFKQQQAFSKWTPQMTSGNHDAIIQILVDGNGKNSIDIERNLLPRVIYMAREKRPQYHHNFKAGSMNALGAFIEDKLFKVENILKVTLKIGIEELIEHVWKALVFWKSV >DRNTG_07743.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2667674:2671520:1 gene:DRNTG_07743 transcript:DRNTG_07743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEMAKNSSLFETYGTKGRTLYKLYASFIFTCILSILFYRLTHIPLEHYSWTCAWISLFASELWFSFYWILTQSVRWNPNHHQTFKQTLLQRHGNELPGVDVFVCTADPVAEPPALVMSTVLSMMAYDYPKEKLSVYLSDDAGSELTFYALCEACKFAKHWIPFCNNFNVEPRSPNAFFYKPCHSSSNLPITKEWSKMKDMYEDMINNIDFVVKQGNVPQEFKQQQAFSKWTPQMTSGNHDAIIQILVDGNGKNSIDIERNLLPRVIYMAREKRPQYHHNFKAGSMNALIRVSSKISNGPIILNVDCDMYSNNSQSIKDALCFFLDEDKGHEIGFVQYPQCFDNITKNDLYENSLNVISKIELPGLDNWEGTLYIGTGCFHRRQALQGRKYTKGYIEDWNRGVDRTCVESACVLEECVKHLASCTYEHNTLWGKEMGLRYGCAVEDILTGITMHYNGWKSKYMYSKRKGFLGVAPTTLEQTLVQYKRWGEGNLQIFLSRDSPLLFGHQKIKFGACMGVSISNLWAANAFPTLNYLLIPPLFLLNGISLFPKVSSPWFLPFVLVFVAKHTYSLIESLYSGNTFKGWWNSQRMWVLKRITSFLYATIDNILKLFGVSKLAFTITAKVNDEDSSKRYDQEVMDFGSTSSMFVIISTVALINLFCLFGGVMRMILNNEGSNDDTLLIQVVLCGLVVAINVPIYEGLFLRKDKGCMPYLVTFVSLGISMPVCMLSMHGLSCT >DRNTG_07743.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2667674:2671520:1 gene:DRNTG_07743 transcript:DRNTG_07743.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEMAKNSSLFETYGTKGRTLYKLYASFIFTCILSILFYRLTHIPLEHYSWTCAWISLFASELWFSFYWILTQSVRWNPNHHQTFKQTLLQRHGNELPGVDVFVCTADPVAEPPALVMSTVLSMMAYDYPKEKLSVYLSDDAGSELTFYALCEACKFAKHWIPFCNNFNVEPRSPNAFFYKPCHSSSNLPITKEWSKMKDMYEDMINNIDFVVKQGNVPQEFKQQQAFSKWTPQMTSGNHDAIIQILVDGNGKNSIDIERNLLPRVIYMAREKRPQYHHNFKAGSMNALIRVSSKISNGPIILNVDCDMYSNNSQSIKDALCFFLDEDKGHEIGFVQYPQCFDNITKNDLYENSLNVISKVRMF >DRNTG_25511.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1150469:1155919:-1 gene:DRNTG_25511 transcript:DRNTG_25511.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLSMSGKLGIFCTRIRRRVFEKVPVIVLAVLAQLMFDEMPEWQGC >DRNTG_35420.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20351260:20351995:-1 gene:DRNTG_35420 transcript:DRNTG_35420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQYWAERHLTFPIFFLSNVATSTIEYVKSFLEWMSDSIAKSFEHTRDNAFLLRNVTLIINKSELEKLSDVPKVGICLI >DRNTG_35420.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20351260:20351995:-1 gene:DRNTG_35420 transcript:DRNTG_35420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQYWAERHLTFPIFFLSNVATSTIEYVKSFLEWMSDSIAKSFEHTRDNAFLLRNVTLIINKSELEKLSDVPKVVLASMASLELGFSHDLFVEWASEA >DRNTG_29284.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001474.1:53521:58618:-1 gene:DRNTG_29284 transcript:DRNTG_29284.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHYIFNHDFSLTISRIPALLKISTEKNTVKRLKNDIKQLNDKLSQLQALLETKEAEISHLRSMAASTSATALISQKSISTSNDDSHSLEDLLAPSAANDDGSNLPVKDLKVAGASFPSQLGETICSDDGIPLRSTNALQESTFSSSKAVVVSNSMGELTNIYDDAKESLPSDNTREMLREDDFPEVRVDFQETFLGHTSPISRCRFSASGTNIASASVDGTVRIWTYDSSTPTSKNATIYCGAEIMSLDWECRSDRLLLIGTADGGIKAWNVDAKRVVCDLNTSANFPSVLDLKCSPVEPVFVSAAASRCQGTNEIDKLGLASLTVWNMKTWKATTVLPLGEDPPAITSLCFNHNGKILAASAIDGMIHMFDMSAGLQITGWPAHDAAVSSVLFGPDETSIFSLGSDGKIFEWSLHNQGQILWSRDCSRFCYPESTKVCRHEVGLDFSGRRLLVTSGLVRSPIYQVQGHMSALRTLPHSAAITTVDWHPTLPMFLTGSADHSVRVTSIAP >DRNTG_29284.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001474.1:53521:58618:-1 gene:DRNTG_29284 transcript:DRNTG_29284.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLRSLFPQADNTREMLREDDFPEVRVDFQETFLGHTSPISRCRFSASGTNIASASVDGTVRIWTYDSSTPTSKNATIYCGAEIMSLDWECRSDRLLLIGTADGGIKAWNVDAKRVVCDLNTSANFPSVLDLKCSPVEPVFVSAAASRCQGTNEIDKLGLASLTVWNMKTWKATTVLPLGEDPPAITSLCFNHNGKILAASAIDGMIHMFDMSAGLQITGWPAHDAAVSSVLFGPDETSIFSLGSDGKIFEWSLHNQGQILWSRDCSRFCYPESTKVCRHEVGLDFSGRRLLVTSGLVRSPIYQVQGHMSALRTLPHSAAITTVDWHPTLPMFLTGSADHSVRVTSIAP >DRNTG_29284.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001474.1:53521:58618:-1 gene:DRNTG_29284 transcript:DRNTG_29284.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLRSLFPQADNTREMLREDDFPEVRVDFQETFLGHTSPISRCRFSASGTNIASASVDGTVRIWTYDSSTPTSKNATIYCGAEIMSLDWECRSDRLLLIGTADGGIKAWNVDAKRVVCDLNTSANFPSVLDLKCSPVEPVFVSAAASRCQGTNEIDKLGLASLTVWNMKTWKATCFRLVKTHRQLLLSVSITTGKF >DRNTG_29284.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001474.1:55792:58618:-1 gene:DRNTG_29284 transcript:DRNTG_29284.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLHYIFNHDFSLTISRIPALLKISTEKNTVKRLKNDIKQLNDKLSQLQALLETKEAEISHLRSMAASTSATALISQKSISTSNDDSHSLEDLLAPSAANDDGSNLPVKDLKVAGASFPSQLGETICSDDGIPLRSTNALQESTFSSSKAVVVSNSMGELTNIYDDAKESLPSDNTREMLREDDFPEVRVDFQETFLGHTSPISRCRFSASGTNIASASVDGTVR >DRNTG_29284.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001474.1:53521:58618:-1 gene:DRNTG_29284 transcript:DRNTG_29284.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSATALISQKSISTSNDDSHSLEDLLAPSAANDDGSNLPVKDLKVAGASFPSQLGETICSDDGIPLRSTNALQESTFSSSKAVVVSNSMGELTNIYDDAKESLPSDNTREMLREDDFPEVRVDFQETFLGHTSPISRCRFSASGTNIASASVDGTVRIWTYDSSTPTSKNATIYCGAEIMSLDWECRSDRLLLIGTADGGIKAWNVDAKRVVCDLNTSANFPSVLDLKCSPVEPVFVSAAASRCQGTNEIDKLGLASLTVWNMKTWKATCFRLVKTHRQLLLSVSITTGKF >DRNTG_29284.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001474.1:53521:54282:-1 gene:DRNTG_29284 transcript:DRNTG_29284.6 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLQIFEWSLHNQGQILWSRDCSRFCYPESTKVCRHEVGLDFSGRRLLVTSGLVRSPIYQVQGHMSALRTLPHSAAITTVDWHPTLPMFLTGSADHSVRVTSIAP >DRNTG_21840.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6077001:6079518:1 gene:DRNTG_21840 transcript:DRNTG_21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVPGPYSGVSTLAFVARASALAFGVVYGTMKLSYLKSKAKSHKKAEANAHH >DRNTG_18991.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22692200:22693915:1 gene:DRNTG_18991 transcript:DRNTG_18991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLFMNTTHAPETTRHYTFNVTMANVTRLCHTKSIATVNGQINFQGLRLLLEKVIGLCGWADGPAYITQCPIETGQSYTYNFTIKGQRGTLWWHAHISWLRSTVYGEWWNVDTEAVISQALQTGGGPNVSDAYTINGLPGPLYNCSSNSKDIFKLKVKPGKTYLLRIINAALNDELFFSIANHSLTIVDAQPLENQTCQGPNGTKFTATMNNVSFSMPATALLQSHFSGQSKGVYSPDFPIIPLMPFNFTGSPPNNTLVSNGTKVVVLPYAIGTSVQLIVERNTVGAPAGGWVSIRFLADNPGVWFMHCHLEVHTSWGLKMAWVALDGSMPDQKLPPPPHDLPKC >DRNTG_17772.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000910.1:41899:47200:-1 gene:DRNTG_17772 transcript:DRNTG_17772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGFQCEDDEHRREKYEGHRENLGSRYGSGSSVAPNPQADLERFAVADELRKFVKMNLRCKKFQLCQTSGKILVNGELDTLLWFCPLLCYEKQYAEEVTMKANEQSSSDRLWEASTATPVSKDEVKETNEDDGPDGGDDGFDDDFDKNGKMGLESDEDGEGEKKS >DRNTG_00569.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30075519:30078411:-1 gene:DRNTG_00569 transcript:DRNTG_00569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKAASEWSGFQTFPEPTQAKLDVLFGNLNQQNVNTLTILVMGKGGVGKSSIVNSILGERVSSVTAFQEEGSTPLMFSRSRGGFTLNIIDTPGLVSDGQVNKQTLSSIRRFLLNKTIDVLIYVCRLDAYRVGNLDRDVAKAITDNFGKEIWRRCLVALTHAQFTPPSGLDYIEYFAERSEAVLKFIRSGACINQQEFQDHGIPVALVENSWRCETNGLGEKIVPDGTVWIPNLMEVITGVALNGSGAISVVPKVTDSTLFGKLVFIPMVLIECLLVKLIKLAIDNDIAKETKSFKEQHGSDFTS >DRNTG_29240.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21453901:21456345:1 gene:DRNTG_29240 transcript:DRNTG_29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSNALPPSSSLSSDKHFTSQVQPPKSSRFPSNKSKPPQQRPPPHSPPRHGGNLAQPPPKQHEKSPTKPSTESTNNYPSLKSPLVIGISAGVGVLIVLLLIACICSTRKKKKKIHDPMHYYADSGPKDSDYYTSSVLQPKWQNGNEGLPQSTPIGGWQSQALSTIMTGSEASSAFSGPHGPPLPPPSPNISLGFIKSTFTYEELAVATGGFSQANLLGQGGFGYVHKGVLPNGKEIAVKQLKSGSRQGEREFQAEVDIISRVHHRHLMSLVGYCIAGSQRMLIYDFVPNKTLEYHLHGKGLPTMEWPTRLKIAIGSAKGIAYLHEDCHPRIIHRDIKSTNILLDQNFEAMVADFGLAKLSSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELITGRRPVDDGDAFMDEGLVDWARQVMSQALVDGNYDELVDPRLDDDYNPMELARMVACAAACVRHSAKRRPKMSQIVHALEGNVSLEDLNDGIRHSTSMLFSSGSDYDSSSNNSNSNKKRLRKVTITSPEYSGEYSGPINGHAYHPSISSSEGVCSGELNPVGNQPHKPH >DRNTG_05119.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30537767:30544773:1 gene:DRNTG_05119 transcript:DRNTG_05119.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEKPPVELVKGINGLDKIVLREIRGCSAEVYLYGGHVTSWKNDHGEELLFVSNKAIFKPPKAIRGGIPICFPQFSNFGSLEQHGFARNRFWSIDTDSPPFPTNSTSKAFVDLILKPSDEDSKIWPHSYEYRLRVALGPGGDLMLTSRIRNTNTDGKPFSFTFAYHTYFSVSDISEVRVEGLETLDYLDNLKERERFTEQGDAITFESEVDKIYLSTPTKIAILDHEKKRTFVLRKDGLPDAVVWNPWDRKAKAMADFGDDEYKHMLCVEAAAIEKPITLKPGEEWKGRLELSAVPSSYCSGQLDPAKVLNG >DRNTG_05119.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30537767:30544773:1 gene:DRNTG_05119 transcript:DRNTG_05119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEKPPVELVKGINGLDKIVLREIRGCSAEVYLYGGHVTSWKNDHGEELLFVSNKAIFKPPKAIRGGIPICFPQFSNFGSLEQHGFARNRFWSIDTDSPPFPTNSTSKAFVDLILKPSDEDSKIWPHSYEYRLRVALGPGGDLMLTSRIRNTNTDGKPFSFTFAYHTYFSVSDISEVRVEGLETLDYLDNLKERERFTEQGDAITFESEVDKIYLSTPTKIAILDHEKKRTFVLRKDGLPDAVVWNPWDRKAKAMADFGDDEYKHMLCVEAAAIEKPITLKPGEEWKGRLELSAVPSSYCSGQLDPAKVLNG >DRNTG_27229.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1549056:1550958:1 gene:DRNTG_27229 transcript:DRNTG_27229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFQVQTQGEAPWSTGLCDCCDDVSNCCVTCFCPCITFGKIAEIIDRGSSSCAMSGCIYWFIWCATGYCGWIYSCSYRKKMRSQYSLAESPCNDCFVHCFCEHCALCQEYRELKRRGFNMNIGWEANMKQGRAQAVLAPNVQGGMTR >DRNTG_27229.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1549056:1550958:1 gene:DRNTG_27229 transcript:DRNTG_27229.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFQVQTQGEAPWSTGLCDCCDDVSNCCVTCFCPCITFGKIAEIIDRGSSSCAMSGCIYWFIWCATGYCGWIYSCSYRKKMRSQYSLAESPCNDCFVHCFCEHCALCQEYRELKRRGFNMNIGWEANMKQGRAQAVLAPNVQGGMTR >DRNTG_18172.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29143831:29146298:1 gene:DRNTG_18172 transcript:DRNTG_18172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPFQDVAFSTPIGVTSAPFKSTHGYHIILSEGRKN >DRNTG_23456.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:748562:751572:1 gene:DRNTG_23456 transcript:DRNTG_23456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVGTAAAAAVTSHDWQIPIAGGLPVGSKDGKSLQRFWWFGTFPDNGLGLLMEGEDLKPHKYLWPWWSWCTFSAVSVAIATVILLAFVSPPGHKKNQEDKQGSWLDLSLYIQPPHLPNYQHPGATQRRSEALIFHHTITEGPKNTSKVIGKAQGFIIPIEHFAHSPFNIIYLSFNTPEYTGSLNVEAKQMRNEAREELTVLGGTGSFAFARGLAVFIQNQNYNLNRRDGLTSHTFAMYHLQLRLKFPVQ >DRNTG_21764.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3032459:3037274:-1 gene:DRNTG_21764 transcript:DRNTG_21764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIINKQLLLTYLYLLIYICLSSGVILYNKWVLSPKYFKFPYPITLTMIHMGFSAAVAVILVRVLKVVAPVKMTFQIYMTCVVPISAFFASSLWFGNTAYLYISVAFIQMLKALMPVATFLMAVICGTDKLSWAVFMNMLLVSVGVVISSYGEIHFNIIGTLYQVTGILAEALRLVLTQVLLQKKGLALNPITSLYYIAPCSCFFLFVPWYLLEKPGMDPSQITFNFWIFFSNAVCALALNFSIFLVIGRTGAVTIRVAGVLKDWILIALSTVIFPESAITGLNIIGYAIALCGVVMYNYLKVKDVRASDQLPVENIPERAVKDWKLDKKSSDIYNDDDNKVGNNTGNISGSAHIRSNHR >DRNTG_22732.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31579020:31579748:1 gene:DRNTG_22732 transcript:DRNTG_22732.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALLLPQSSTILPLITAPKLFTPTSSSIPLFRKRFARISCKRSQLWRVSAVGEEALSSEPVIENAQQVVPSGNDDISSSIVSTLLLIAFVGLSILTIGVIYLAVTDFLQKREREKFEKEEAAKKKKKNGKKGKVKSRAGPRGFGQKVAEDDDVDD >DRNTG_27343.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17040342:17040766:1 gene:DRNTG_27343 transcript:DRNTG_27343.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSPVGAASRCSTATTPALDLSPLSSPAPPRRLVMNSAFGPPPAPPPVMPPLTSSVFFSLPSFSPYSAGSALPHFLLPPIVPSPSFRSPFGSDNENPRNSSKVFIFLFSFFLFFMFINCSWILQTIKVVIFWKFCENFSKS >DRNTG_10271.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20132920:20133595:1 gene:DRNTG_10271 transcript:DRNTG_10271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDKTVGGGDDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIDRPTYTNLNRLISQVISPLSLTPYLSDIVTIKTNLIS >DRNTG_10271.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20132920:20133595:1 gene:DRNTG_10271 transcript:DRNTG_10271.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDKTVGGGDDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTHSLLEHTDVAVLLDNEAIYDICRRSLDIDRPTYTNLNRLISQVISPLSLTPYLSDIVTIKTNLIS >DRNTG_14824.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20812173:20816743:-1 gene:DRNTG_14824 transcript:DRNTG_14824.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component 4 [Source:Projected from Arabidopsis thaliana (AT3G53760) UniProtKB/Swiss-Prot;Acc:Q9M350] MPILATVTKGLNKFEVLLPPLYELIVEIERENVRGGQLLNLLHKRCHCGVPELQACIQRLLWHGHQVLYNQLASWMVYGILQDQHGEFFIRRQDRYVESESTQPDPDHKLKQKSFEDVSLTDWHSGFHIFLDMLPEYIRMPVAESVLFSGKAVRVLRNPSSSLKLQQTFVHHPVLRGYSKLQGSLGGGAPQKELFKGIDLFEELLPQSESDKIYAMLRQLKESPEFHKRFFESAVSSIRIIAANHLWQLVVIRADLNGHLKALKDYFLLAKGDFFQCFLEESRQLMRLPPRQSTAEADLMVPFQLASLKTIGDEDKYFSRVSLRMPSFGISVGAAQVGLSKMKLSTDGVPGVPSQGSASSELSVDGWDGMALEYSVDWPLQLFFTQEVLSKYRKVFQYLIRLKRTQMELEKSWASVMHQEHVDFANYRKDRKSSSISILRRRYNPMWRVREHMIFLIRNLQFYIQVDVIESQWNSLQARVQDSHDFTELVGFHQDYLSALISQSFLDIGSVSRILDSIMKLCLQFCWNIERYETNPDMSELEHITEEFGKKSNSLYTILRSSRLAGSQRAPFLRQFLMRLNFNSFFEATARGVLNFVRPRPTPPIVQQ >DRNTG_34773.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3069223:3072314:1 gene:DRNTG_34773 transcript:DRNTG_34773.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic20-V [Source:Projected from Arabidopsis thaliana (AT5G55710) UniProtKB/TrEMBL;Acc:A0A178URN8] MQAIVLDVLLIFPDLLERTFNPRGGVALDFVMSLDSTVFFFLLVSLVYGSTSCLLGQLPRLPIVADAAERQIL >DRNTG_34773.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3069223:3069946:1 gene:DRNTG_34773 transcript:DRNTG_34773.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic20-V [Source:Projected from Arabidopsis thaliana (AT5G55710) UniProtKB/TrEMBL;Acc:A0A178URN8] MQAIVLDVLLIFPDLLERTFNPRGGVALDFVMSLDSTVFFFLLVSLVYGSTSCLLGQLPRLPIVADAAERQIL >DRNTG_34773.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3069223:3073663:1 gene:DRNTG_34773 transcript:DRNTG_34773.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic20-V [Source:Projected from Arabidopsis thaliana (AT5G55710) UniProtKB/TrEMBL;Acc:A0A178URN8] MQAIVLDVLLIFPDLLERTFNPRGGVALDFVMSLDSTVFFFLLVSLVYGSTSCLLGQLPRLPIVADAAERQIL >DRNTG_34773.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3069223:3072314:1 gene:DRNTG_34773 transcript:DRNTG_34773.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic20-V [Source:Projected from Arabidopsis thaliana (AT5G55710) UniProtKB/TrEMBL;Acc:A0A178URN8] MQAIVLDVLLIFPDLLERTFNPRGGVALDFVMSLDSTVFFFLLVSLVYGSTSCLLGQLPRLPIVADAAERQIL >DRNTG_34773.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3069176:3072314:1 gene:DRNTG_34773 transcript:DRNTG_34773.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic20-V [Source:Projected from Arabidopsis thaliana (AT5G55710) UniProtKB/TrEMBL;Acc:A0A178URN8] MASSLLLLPSLPLSLRPSLSSFTTTTTTIKRRRTKSRRILTTISCDADKSSASDRVISAACYLYPFLDGVQYGKYVLTQFPSFQLVLQPLLPAIRLFRASPFTGFLLFLTLYFAVVRNPSAFSRYVRFNTMQAIVLDVLLIFPDLLERTFNPRGGVALDFVMSLDSTVFFFLLVSLVYGSTSCLLGQLPRLPIVADAAERQIL >DRNTG_29942.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21341068:21341927:-1 gene:DRNTG_29942 transcript:DRNTG_29942.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSLLSSLLPPFSSSSSPKPYPHSLSPSTSILLPRASLGSSNGRKPPPTSSNGSWVSPDWLTAISRSLSLSDDSGIPIADARLDDVSDLLGGALFLPLYKWMVENGPVYRLAAGPRNFVIVSDPAIAKHVLRNYPNYAKGLVSEVSQFLFGNGFAIAEGSHWMVRRKAVSPSLHKKFLSVMVDKVFCRCAQRLVEKLEPFALRGDMVNMEEKFSQLTLDVIGLSLFNFNFDSLSSDSPVIDAVYTALKETEARSTDILP >DRNTG_08266.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17574889:17575102:1 gene:DRNTG_08266 transcript:DRNTG_08266.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFNAKLSDFGLARDGPTDGKSHVSTKVIGTRGYAAPEYIATGITYIVHFST >DRNTG_08266.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17574889:17575741:1 gene:DRNTG_08266 transcript:DRNTG_08266.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFNAKLSDFGLARDGPTDGKSHVSTKVIGTRGYAAPEYIATGRLNVKSDIYSFGVVMLELLSGRRAVDANRGPSEEMLVDWAKPFLMNRRKMFRIMDIRLEGQYSKIGAQTLATLAMECVSPEARNRPDIVQVLSILQQVQTYK >DRNTG_08266.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17573127:17575741:1 gene:DRNTG_08266 transcript:DRNTG_08266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFCFKHSNSSADHHSSSLSSKNPLPFSNTSGSTNSSKITSNFSIVSSTSSLPSDASVIIGLKSFTLNDLKNATRNFRAETLLGEGGFGCVFKGWIDENTLLPTRPGDGIVVAIKKLKRESFQGHKEWLAEVTYLGQLHHENLVKLIGYCSEGNNRLLVYEYMQRGSLENHLFKRGVQPIPWTTRVSIATDVARGICFLHNLEIQVIFRDLKASNVLLDLDFNAKLSDFGLARDGPTDGKSHVSTKVIGTRGYAAPEYIATGRLNVKSDIYSFGVVMLELLSGRRAVDANRGPSEEMLVDWAKPFLMNRRKMFRIMDIRLEGQYSKIGAQTLATLAMECVSPEARNRPDIVQVLSILQQVQTYK >DRNTG_21528.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1459933:1461884:1 gene:DRNTG_21528 transcript:DRNTG_21528.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSANHIILCNTTTITTKPMQLQRCRTTHTSTTTAGDDKPTKSSRRWAIMLTVLATSSTTPLLRTPPSRAQSWGTHSFMKEKYFMPDISPEDSVARIRQTTEGMHEMRHMLDTMSWRYVMFYIRLKAAYLETDLKNAMTVVPKPKHQSYIKIANEVVDSMTDVSSATNSFFCDTLLREDIEVTGCIGSFPGLNKNI >DRNTG_21528.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1461997:1462555:1 gene:DRNTG_21528 transcript:DRNTG_21528.4 gene_biotype:protein_coding transcript_biotype:protein_coding PELKERLHQRDSQRLKSSSSSYEEC >DRNTG_21528.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1459933:1462555:1 gene:DRNTG_21528 transcript:DRNTG_21528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSANHIILCNTTTITTKPMQLQRCRTTHTSTTTAGDDKPTKSSRRWAIMLTVLATSSTTPLLRTPPSRAQSWGTHSFMKEKYFMPDISPEDSVARIRQTTEGMHEMRHMLDTMSWRYVMFYIRLKAAYLETDLKNAMTVVPKPKHQSYIKIANEVVDSMTDVSSATNSFFCDTLLREDIEVTGCIGSFPGQRGKGR >DRNTG_21528.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1459933:1461884:1 gene:DRNTG_21528 transcript:DRNTG_21528.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSANHIILCNTTTITTKPMQLQRCRTTHTSTTTAGDDKPTKSSRRWAIMLTVLATSSTTPLLRTPPSRAQSWGTHSFMKEKYFMPDISPEDSVARIRQTTEGMHEMRHMLDTMSWRYVMFYIRLKAAYLETDLKNAMTVVPKPKHQSYIKIANEVVDSMTDVSSATNSFFCDTLLREDIEVTGCIGSFPGRDD >DRNTG_23407.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001264.1:22760:24707:1 gene:DRNTG_23407 transcript:DRNTG_23407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPKYAYPYPAPGYYQQGAPPVMAPPQYTAQQPRREPTFLEGCLAALCCCCLLDECCCDPSVIFVT >DRNTG_02095.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10527314:10527702:1 gene:DRNTG_02095 transcript:DRNTG_02095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFSSHGSCSTCPRQVNLKKVIPRKNLQPSLKACKSSSLSKGPRTIHIRSPKNGEVPKPSHAPTSSMEEVFRVIFMHARLYNTILAPASLYSA >DRNTG_01104.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21521772:21524240:-1 gene:DRNTG_01104 transcript:DRNTG_01104.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPILLSLKLSVAQILNSSLMFPRKTSKVLLMMLQQQMIGCKYVLPAMQNIHNALTSAGLQDHIKVSTSVSTAVLGTSYPPSAGLFSSAVMSNLGPIIQFLTSNGSPLLVNVYPYFSYISNKDSIDINYALFTSSGTVVTDGSLNYQNLFDAIVDAIYSALEKAGGSRVGIVISESGWPSADGDAASVDNARTYNQNLINHVAQGTPKRPGAIEAFIFAIFNENQKSPEYEKHFGLFNPDQTPVYPINF >DRNTG_35221.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8177140:8183746:-1 gene:DRNTG_35221 transcript:DRNTG_35221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLYIQYMIPILFAYGLIQRCYSLNTAMLMYMIPVGIGASLSRNATISNEIGMSSAQMPDAQPHILPRYMTFDNAGRHKGRGRITLNELWALPLEDKVLVSANRLGQPIGPEAQLFSSLLGLISGKKIERFEFFKVTHTKKDGSYLNKETEDIMEKINKKLAEYESLDGDEGMVETEILTQVIGKELHGRVRGLGLGPTPTTYYGHSGATIPLPTIGGSSSQSQNQSIRSHTPKANTAGSSVHVQARKTVDRVNKSTVAVGRATTMSDNPQADNFTESGNTNGCGGMVTTMNDNPRADNSLSEEIQASSDYY >DRNTG_27226.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:918216:921914:1 gene:DRNTG_27226 transcript:DRNTG_27226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAEMDRWHVDPFAELLENLNGGSEEETRDMLPFLGAVGGRRRRSSSNNLSSINLLSFIGRMMATAPVDFHNSCTTEEAGQNRSILIKTKHPITLKFEQVVYKIRTSNNKKQPKGGGGGGGGATERTILKGLSGAVLPGEMLAMLGPSGSGKTTLLSALGGRLSNKRFLSGGITYNGSPFSSLLKRNMGFVTQDDILHPHLTVAETLLYTALLRLPGSLSKQEKALHAETVMEQLGLTLCRNCIIGGGLVRGVSGGERKRVSIGQEILVNPSLLFLDEPTSGLDSTTAQCIVSMLSAMSSEGGRTILMTIHQPSSRLFYMFDKVLLMSDGHPVYFGKASEAMTYFANIGYTPSFPMNPADFLLDLANGVSSDGAQENRACVKEAIVSAYKSHLNDQVLQELREIDHQIDEKESSGGKSQWNTTWWQQFTILLKRSLKERKHVSFSGQKIGQAIFTFPQERLVLTKERASGMYRLSSYFMSRMAGDLPMELVLPTAFMIIVYWMAGLKPHASSFFLTLIVLLLGVLVSQGLGLALGALVMDLKAATTLASVIMLTFMLAGGYYVQRVPVFISWIKYISLTFYVFKLQLGTQFSSSDTYHCALNVTCKVEDIPSIKLVGLDHQMEAAIVLSLMLFLFRFAAYLALTRVGVTR >DRNTG_10258.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19996584:20011140:1 gene:DRNTG_10258 transcript:DRNTG_10258.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVVDERSGDGFVWSSPEWGRSIGMGKKVFCNRSLNMKNIVAVGFDMDYTLAQYMPETFESLAYDGTIRKLVHNLGYPPELLEWTFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFKEMPKEDKLATYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDKNPGKIPPGTDYGRMYRDVRSAVDLCHRDGTLKQMVAKDPGRYINEDLSILPMLKMLRESGRATFLVTNSLWDYTNIVMNFLCQNPNSVGSGVGIYDWLENFDVVITGSSKPNFFHEDNRANLFKVEPETGMLINTDNGTLLPQIGSTSPRVPSNGLRKSCRVFQGGNVAHLHRLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEKEVELLWESRDTRKELQRLRRVRDLVEDKIHRINWSLKFEVIGVDEKHRLYSELHEFETQREDVRCRHQLAQRELHQKFHKVWGQLMKTGYQNSRFAHQVERFACLYTSQVTNLSLYSPDKYYRPSEDFMPHEFDILSS >DRNTG_10258.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19996584:20011140:1 gene:DRNTG_10258 transcript:DRNTG_10258.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNPRRPISVLCSSVFSFPSSRVSSIFPSSRAQPRNLSRGYSCLVWRNSMEGAVVDERSGDGFVWSSPEWGRSIGMGKKVFCNRSLNMKNIVAVGFDMDYTLAQYMPETFESLAYDGTIRKLVHNLGYPPELLEWTFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFKEMPKEDKLATYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDKNPGKIPPGTDYGRMYRDVRSAVDLCHRDGTLKQMVAKDPGRYINEDLSILPMLKMLRESGRATFLVTNSLWDYTNIVMNFLCQNPNSVGSGVGIYDWLENFDVVITGSSKPNFFHEDNRANLFKVEPETGMLINTDNGTLLPQIGSTSPRVPSNGLRKSCRVFQGGNVAHLHRLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEKEVELLWESRDTRKELQRLRRVRDLVEDKIHRINWSLKFEVIGVDEKHRLYSELHEFETQREDVRCRHQLAQRELHQKFHKVWGQLMKTGYQNSRFAHQVERFACLYTSQVTNLSLYSPDKYYRPSEDFMPHEFDILSS >DRNTG_10258.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19996584:20011273:1 gene:DRNTG_10258 transcript:DRNTG_10258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVVDERSGDGFVWSSPEWGRSIGMGKKVFCNRSLNMKNIVAVGFDMDYTLAQYMPETFESLAYDGTIRKLVHNLGYPPELLEWTFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFKEMPKEDKLATYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDKNPGKIPPGTDYGRMYRDVRSAVDLCHRDGTLKQMVAKDPGRYINEDLSILPMLKMLRESGRATFLVTNSLWDYTNIVMNFLCQNPNSVGSGVGIYDWLENFDVVITGSSKPNFFHEDNRANLFKVEPETGMLINTDNGTLLPQIGSTSPRVPSNGLRKSCRVFQGGNVAHLHRLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEKEVELLWESRDTRKELQRLRRVRDLVEDKIHRINWSLKFEVIGVDEKHRLYSELHEFETQREDVRCRHQLAQRELHQKFHKVWGQLMKTGYQNSRFAHQVERFACLYTSQVTNLSLYSPDKYYRPSEDFMPHEFDILSS >DRNTG_10258.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20003254:20011273:1 gene:DRNTG_10258 transcript:DRNTG_10258.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLINTDNGTLLPQIGSTSPRVPSNGLRKSCRVFQGGNVAHLHRLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEKEVELLWESRDTRKELQRLRRVRDLVEDKIHRINWSLKFEVIGVDEKHRLYSELHEFETQREDVRCRHQLAQRELHQKFHKVWGQLMKTGYQNSRFAHQVERFACLYTSQVTNLSLYSPDKYYRPSEDFMPHEFDILSS >DRNTG_23669.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:11036931:11042426:-1 gene:DRNTG_23669 transcript:DRNTG_23669.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFDAPKGSDPVAIDLGSMGKGFAWINGHGLGRYWSLVAPKSGCPKTCDYRGTYKESKCVTNCGELTQSWYHIPREWLQDSNNLLVIFEETGGNPLKISLKTHYTKTVCAKVSENDYPPLSSWWHPNIVSGKKSFSDVPPEIHLRCDDGHVISGITFASFGNSGGSCQKFSVGHCHASSSLSVVQTACLGKNKCKVSVSNATFGKDPCRGTLKSLAVEAECTSSSNFSDLHAMNLLEYQKTVEESRESFSASA >DRNTG_06975.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:52057:53245:1 gene:DRNTG_06975 transcript:DRNTG_06975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGGCVAAMPLLKKIPTSVLWGYFAFMAIESLLGKPILGKNTTSLLLQAGDSRFLKNTMQHL >DRNTG_24412.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001301.1:204394:206176:-1 gene:DRNTG_24412 transcript:DRNTG_24412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTIYDRVSEIKAFDETKAGVKGLIDAGITEVPRFFIHPTETISTSTTELKIPVIDMKDINTRKKEIVDKVKEASEAIGFFQVANHGVPDMVMDEMLDAIKRFMEDDAEVKKKYYTRDYKRKVVFNCNFDLYSSPAANWRDTLFLSVAPVPPEKEEVPNAFREIVFEYSFHMKKLGKVLFELISEALGLKPDYLKEMECGKGIGIACHYYPPCPEPHLALGTSKHSDPGFLTILLQDKSIGGLQILHKNKWVDVPPSPGCLIVNIADLLQLISNDKLKSVEHRVLASKEGPRLSVACFFVTQYSPSSKVYGPIMELLANGSAPIYREVVIDEFHKHYNSKGLDGKSALDHFKL >DRNTG_24412.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001301.1:204394:206176:-1 gene:DRNTG_24412 transcript:DRNTG_24412.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLGKVLFELISEALGLKPDYLKEMECGKGIGIACHYYPPCPEPHLALGTSKHSDPGFLTILLQDKSIGGLQILHKNKWVDVPPSPGCLIVNIADLLQLISNDKLKSVEHRVLASKEGPRLSVACFFVTQYSPSSKVYGPIMELLANGSAPIYREVVIDEFHKHYNSKGLDGKSALDHFKL >DRNTG_34285.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28188665:28191024:-1 gene:DRNTG_34285 transcript:DRNTG_34285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGAPIDTFTTNTAKLSAPNSGYSKCAYVTFLAGNGDYWKGAVGLAKGLRKVKSAHPLIVAVLPDVPEDHRNILRAQGCLIREIIPVYPPENHIKFAMAHFVINYSKLRIWNFEEYSRMIYLDADIQVYENIDHLFELPKEYLYAVMDCYCEKVWSHSLQYSIGYCQQCPDRVKWPVELGNPPSLYFNAGMFVFEPSKLVCDKLLETLQHTPPTPFAEQDFLNMFFEKSYKPIPVVYNLVLAMLWRHPENVELEKVKVVHYCAAGSKPWRYTGQETNMDREDIKKLVAKWWEIYNDESLDFIKAEDKVEIEVEEEGFSKTSIMAAMQEPTMAYIPTPSAA >DRNTG_32213.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31489309:31490670:-1 gene:DRNTG_32213 transcript:DRNTG_32213.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G61520) UniProtKB/TrEMBL;Acc:A0A178W5Y6] MATQALLSSMEAGRQLLGGRPLHSSPSRSFSSSRKSSFLVKASSSTPPVKQGADRQLWFASKQSLTYLDGSLPGDYGFDPLGLSDPEGTGGFIEPRWLAYGEVINGRYAMLGAVGAIAPEILGQAGLIPPETALPWFKTGVIPPAGTYNYWADNYTLFVFEMALMGFAEHRRFQDWYNPGSMGKQYFLGFEKYLGGSGDPAYPGGPLFNPLGLGKDEKSMKELKLKEVKNGRLAMLAILGYFIQALVTGVGPYQNLLDHLADPINNNVLTSLKFH >DRNTG_15926.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:906316:909860:1 gene:DRNTG_15926 transcript:DRNTG_15926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISRRVSAGPSLVTVKLPMDFPAGLRVLVVDDDPLCLRTIERLLWNCHYDVTTCPRADTALSMVRERKGGFDLILSDVHMPDMDGFKLLELIGLETDLPVIMISADDGKDVVMKGVTNGAVDYIVKPVRIEAVKIMWQHVVRKYQMKKKVLEPVECAEEKKADENGDNASLAEDDESWKNGNRKKDENDGEDEDEQGEESPPLKKPRVVWSSELHQAFVSAVTRLGIDKAVPKKILEMMDVPGITRENVASHLQKFRLFVKKNNILGDQKQLSDGTRAGYAPINSVSSFDLQGPHIPGQHMLQNQMNLRSGPRQLAATGSTLSLLDRMNRFNSNAQTSNTSRIVPWEQSFNNRQMNSILRSQTNMESRQSQQVLQSDSNLGLQATQGTPSFLDPFPTSTNLAFNLTTSSACPVNSIQQSRQFDQFRQQNNFTADVSTRGQLMNGISGELDDVLLSNLCQQITTPNSHVGGTSAAETLLPSSYGSASQTLYPCVGDNYTNALAGNSFPLVTSTATRDILPLGMFGETVPPRSDIELLDHMIGSKPEDNFAPNHWNSNRNTGIESNVVMPNGTETTDCNKITGAVQCSNSITAESSAAVTTNDVPESSINVFPNELKNVVSDQFLQQEGIGVIDTEFNYEAFSMDDILNYTPGPLPM >DRNTG_16949.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:216077:229287:-1 gene:DRNTG_16949 transcript:DRNTG_16949.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polycomb group protein EMBRYONIC FLOWER 2 [Source:Projected from Arabidopsis thaliana (AT5G51230) UniProtKB/Swiss-Prot;Acc:Q8L6Y4] MPGLPLPACETTCSQSRTADHMCLQHARVRLSEEEALVAEESLSIYCKPVELYNILQRRAIQNPSFLQRCLSYKIQAKRKRRIRISVSFPGNVNSEVQPQNLFPLYVLLAQPDSDTNNLEHSAVYRLNRACLLTSSNEFGKRDQAEASFVIPEIKKLSADSRLGSLTLIFIFCGELEGASVVNHLLKDNGALSSCATKLEGDCFWGKLQIESLCSSLEKCVSLSLGHRIEMLSPISMQTSILMPTLLDRGSRLSFQTHASDSMSSYQVQVSICAQEIGARQRSPYDSYTYNDVPVSSLPHIMRLRTGNVLFNYRYYNNTLQKTEVTEDFSCPFCLVQCASFKGLRYHLISTHDLFNFEFWVTEEYQAVNVSVRTDTWRMEVASDDVDPRLQTFIYWTKSKRRRKLEHGQNINHVHPHVMESNSPVTAHEDSYEGCMRKDAGSCPVEEKLPRAHFGENHLLISRHKSESCSENHGPGECGGPVTSSFDTVGFCAATAQLSTSNDCSQPLSGSNLMPPTMLQFAKTRKLSIERADPRNRALLQKRQFFHSHRAQPMALEQVFSDRDSEDEVDDDIADFEDRKMLDDFVDVTKDEKQIMHLWNSFVRKQRVLADGHIPWACEAFSRLHGKDLVQKPALVWCWRLFMVKLWNHSLLDARSMNNCNIVLERYQNERSDPPQE >DRNTG_16949.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:216077:229287:-1 gene:DRNTG_16949 transcript:DRNTG_16949.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polycomb group protein EMBRYONIC FLOWER 2 [Source:Projected from Arabidopsis thaliana (AT5G51230) UniProtKB/Swiss-Prot;Acc:Q8L6Y4] MPGLPLPACETTCSQSRTADHMCLQHARVRLSEEEALVAEESLSIYCKPVELYNILQRRAIQNPSFLQRCLSYKIQAKRKRRIRISVSFPGNVNSEVQPQNLFPLYVLLAQPDSDTNNLEHSAVYRLNRACLLTSSNEFGKRDQAEASFVIPEIKKLSADSRLGSLTLIFIFCGELEGASVVNHLLKDNGALSSCATKLEGDCFWGKLQIESLCSSLEKCVSLSLGHRIEMLSPISMQTSILMPTLLDRGSRLSFQTHASDSMSSYQVQVSICAQEIGARQRSPYDSYTYNDVPVSSLPHIMRLRTGNVLFNYRYYNNTLQKTEVTEDFSCPFCLVQCASFKGLRYHLISTHDLFNFEFWVTEEYQAVNVSVRTDTWRMEVASDDVDPRLQTFIYCRTKSKRRRKLEHGQNINHVHPHVMESNSPVTAHEDSYEGCMRKDAGSCPVEEKLPRAHFGENHLLISRHKSESCSENHGPGECGGPVTSSFDTVGFCAATAQLSTSNDCSQPLSGSNLMPPTMLQFAKTRKLSIERADPRNRALLQKRQFFHSHRAQPMALEQVFSDRDSEDEVDDDIADFEDRKMLDDFVDVTKDEKQIMHLWNSFVRKQRVLADGHIPWACEAFSRLHGKDLVQKPALVWCWRLFMVKLWNHSLLDARSMNNCNIVLERYQNERSDPPQE >DRNTG_16949.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:216077:227906:-1 gene:DRNTG_16949 transcript:DRNTG_16949.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polycomb group protein EMBRYONIC FLOWER 2 [Source:Projected from Arabidopsis thaliana (AT5G51230) UniProtKB/Swiss-Prot;Acc:Q8L6Y4] MPGLPLPACETTCSQSRTADHMCLQHARVRLSEEEALVAEESLSIYCKPVELYNILQRRAIQNPSFLQRCLSYKIQAKRKRRIRISVSFPGNVNSEVQPQNLFPLYVLLAQPDSDTNNLEHSAVYRLNRACLLTSSNEFGKRDQAEASFVIPEIKKLSADSRLGSLTLIFIFCGELEGASVVNHLLKDNGALSSCATKLEGDCFWGKLQIESLCSSLEKCVSLSLGHRIEMLSPISMQTSILMPTLLDRGSRLSFQTHASDSMSSYQVQVSICAQEIGARQRSPYDSYTYNDVPVSSLPHIMRLRTGNVLFNYRYYNNTLQKTEVTEDFSCPFCLVQCASFKGLRYHLISTHDLFNFEFWVTEEYQAVNVSVRTDTWRMEVASDDVDPRLQTFIYWTKSKRRRKLEHGQNINHVHPHVMESNSPVTAHEDSYEGCMRKDAGSCPVEEKLPRAHFGENHLLISRHKSESCSENHGPGECGGPVTSSFDTVGFCAATAQLSTSNDCSQPLSGSNLMPPTMLQFAKTRKLSIERADPRNRALLQKRQFFHSHRAQPMALEQVFSDRDSEDEVDDDIADFEDRKMLDDFVDVTKDEKQIMHLWNSFVRKQRVLADGHIPWACEAFSRLHGKDLVQKPALVWCWRLFMVKLWNHSLLDARSMNNCNIVLERYQNERSDPPQE >DRNTG_25398.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24461461:24465476:1 gene:DRNTG_25398 transcript:DRNTG_25398.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKPIGRFFSVSSSSSPLIPQILRILTLPNWPSNPELLRIAPSLPPHHLPLLLRSPSLPSPVALHFFHWFSQRHSIKHPLDAFFTLSNRLLFDRMFSAADRLRVLAIKSCDAREDMSRVIAFFNPISVNEFRFGLYSYNAMLIQLGRLNMLADAVSLYHQMLNSDVQPTLVTFNTVINVLCKNGKVRSAASVLNRLVQSGLKPDTFTHTSFILGHCRNFDLDSAFKVFDRMPEEGCEPNAVTYSTLINGLCDDGRLDEALDLMSEMVDKGIEPTVYSYTVPIAALGSCGRLIEAFGLVSDMRMRRCPLNVQSYTALISGSCGSDLLRLAIGLFQRMALDALVPNAVTYNALINGLCQKMMIDTAMKVFDLMDRRGCSPDSQTYNELIRGFCLIGMTEKAMVLFDRMIRRGPLPTQVTYNTIVDGYCKVGNLSNAVRMVDLMKENGCKPDEWTYTELICGFCSGGKLDIAYKMFEEMVGLGLKPNEVTYTAMIDGYCKDGKVDFAFSLLEKMDQNGCEPTIQTYNALINGLSKENRLTETDSLCADMREKGLLPNVVTYTTLMDGLCRNGSTSLAIKIMDEMIKSNCKPTVHTYSVLVYGLCQKGKIEDAEKIISEMKEKQLVPDEVTYTSMIDGYVMLGRTDLAFSLLKTMVDSGCKPNYRTVSVLLKGLLKEHQMTEQRLAAFPNAVSSFSLEEKTVDIDIISTLIARLSQFKHDVLTDVYRALVSGLCREGRWYEADQLVRNMKDQGLSPDVEICSSLLLVACEQLQVDLALEIFNTMIAKAFEPCLAGYKGLICALCKVGRVEEAQTLFEGMLLHRWNPDEIAWTVIIDGLFKDCGPDLCMKFLHVMEARNCIPTFQTYVILAREIPNEG >DRNTG_25398.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24461461:24464859:1 gene:DRNTG_25398 transcript:DRNTG_25398.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKPIGRFFSVSSSSSPLIPQILRILTLPNWPSNPELLRIAPSLPPHHLPLLLRSPSLPSPVALHFFHWFSQRHSIKHPLDAFFTLSNRLLFDRMFSAADRLRVLAIKSCDAREDMSRVIAFFNPISVNEFRFGLYSYNAMLIQLGRLNMLADAVSLYHQMLNSDVQPTLVTFNTVINVLCKNGKVRSAASVLNRLVQSGLKPDTFTHTSFILGHCRNFDLDSAFKVFDRMPEEGCEPNAVTYSTLINGLCDDGRLDEALDLMSEMVDKGIEPTVYSYTVPIAALGSCGRLIEAFGLVSDMRMRRCPLNVQSYTALISGSCGSDLLRLAIGLFQRMALDALVPNAVTYNALINGLCQKMMIDTAMKVFDLMDRRGCSPDSQTYNELIRGFCLIGMTEKAMVLFDRMIRRGPLPTQVTYNTIVDGYCKVGNLSNAVRMVDLMKENGCKPDEWTYTELICGFCSGGKLDIAYKMFEEMVGLGLKPNEVTYTAMIDGYCKDGKVDFAFSLLEKMDQNGCEPTIQTYNALINGLSKENRLTETDSLCADMREKGLLPNVVTYTTLMDGLCRNGSTSLAIKIMDEMIKSNCKPTVHTYSVLVYGLCQKGKIEDAEKIISEMKEKQLVPDEVTYTSMIDGYVMLGRTDLAFSLLKTMVDSGCKPNYRTVSVLLKGLLKEHQMTEQRLAAFPNAVSSFSLEEKTVDIDIISTLIARLSQFKHDVLTDVYRALVSGLCREGRWYEADQLVRNMKDQGLSPDVEICSSLLLVACEQLQVDLALEIFNTMIAKAFEPCLAGYKGLICALCKVGRVEEAQTLFEGMLLHRWNPDEIAWTVIIDGLFKDCGPDLCMKFLHVMEARNCIPTFQTYVILAREIPNEG >DRNTG_24098.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4688188:4690233:1 gene:DRNTG_24098 transcript:DRNTG_24098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDKSTITEEAPEQPTDPKLDFLLQPKRKQDPRTATMATKKTLSSRAKVLLKASTHTHSQNSKPAPSYPLKS >DRNTG_24098.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4688642:4690233:1 gene:DRNTG_24098 transcript:DRNTG_24098.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKTLSSRAKVLLKASTHTHSQNSKPAPSYPLKS >DRNTG_24098.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4688188:4688531:1 gene:DRNTG_24098 transcript:DRNTG_24098.3 gene_biotype:protein_coding transcript_biotype:protein_coding KKKFTEYMSHKGPVGSRKKNNRIIQI >DRNTG_14134.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12707965:12709108:-1 gene:DRNTG_14134 transcript:DRNTG_14134.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGNGNGIKEYLEKRIFPELEGKPFVVVYVHTYVQRSDNFPGVAVLRSVYEALPAAVRDGIHAVYFVHPGLHARLFFATLGRFLFSSGLYGKVRYVSRLEFLWEHMRRGEIEIPEFVHDHDEELEHRPLMDYGLESDHHRLYEAPVMDSSASMHSLRCIS >DRNTG_14134.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12707965:12709108:-1 gene:DRNTG_14134 transcript:DRNTG_14134.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDESLLQKLEPFKIQGRDKQGRKILRIIGKFFPGKMMNGNGNGIKEYLEKRIFPELEGKPFVVVYVHTYVQRSDNFPGVAVLRSVYEALPAAVRDGIHAVYFVHPGLHARLFFATLGRFLFSSGLYGKVRYVSRLEFLWEHMRRGEIEIPEFVHDHDEELEHRPLMDYGLESDHHRLYEAPVMDSSASMHSLRCIS >DRNTG_30427.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7119735:7120193:-1 gene:DRNTG_30427 transcript:DRNTG_30427.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLQFIILPCHQRRRCRASTGYLGVRKRPSGRYAAEIRNPSTKKRHWLGTFDTPEEAAVAYDMSSITFRGIEKAHTNFCYKFLTMPSPSPPSPPPSPLPSEKEKKYCSENNLEINNAQDHLVDRDNDDWINITTILQSFCQSNALPSSVIL >DRNTG_23566.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8469403:8470469:-1 gene:DRNTG_23566 transcript:DRNTG_23566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILMSLHKVDVLSRKLDMLMGSSSKLESMLSYSTCGRGHGVSQCPIASSSVAPIENVEYIGGQTPQGNPYSLTYNPEWKYDNAPCVCPASARVYQSNKTP >DRNTG_27264.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:14709414:14713029:-1 gene:DRNTG_27264 transcript:DRNTG_27264.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVARNVRSLVKTRASLRDLLKSEATSVLGEISDSSTDLKLGIVDFFVKAFAVVGDVEVILLKEIKGVDAEKLVKKCPVNVFDIEDVGQGWCSFCY >DRNTG_27264.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:14712518:14713029:-1 gene:DRNTG_27264 transcript:DRNTG_27264.10 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHSPSPPHLCHSCGNLNTTAPPPPTGRESITFLPNQSFGSETV >DRNTG_27264.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:14709414:14713029:-1 gene:DRNTG_27264 transcript:DRNTG_27264.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVARNVRSLVKTRASLRDLLKSEATSVLGEISDSSTDLKLGIVDFFVKAFAVVGDVEVILLKEIKGVDAEKLVKKCPVNVFDIEDVGQGWCSFCY >DRNTG_27264.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:14709414:14713029:-1 gene:DRNTG_27264 transcript:DRNTG_27264.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLILKMSARIINGSEDGSARIWDCRNGLCTQVINPEKGRKLKETSWVSCLAIDASESWLACGTGRDLLVWSLLSCECIFNIESHAPVQDVSFDGNQVKWMWLSCT >DRNTG_27264.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:14709414:14713029:-1 gene:DRNTG_27264 transcript:DRNTG_27264.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVARNVRSLVKTRASLRDLLKSEATSVLGEISDSSTDLKLGIVDFFVKAFAVVGDVEVILLKEIKGVDAEKLVKKCPVNVFDIEDVGQGWCSFCY >DRNTG_27264.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:14709414:14713029:-1 gene:DRNTG_27264 transcript:DRNTG_27264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARNVRSLVKTRASLRDLLKSEATSVLGEISDSSTDLKLGIVDFFVKAFAVVGDVEVILLKEIKGVDAEKLVKKCPVNVFDIEDVGQGWCSFCY >DRNTG_27264.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:14709414:14713029:-1 gene:DRNTG_27264 transcript:DRNTG_27264.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSLILKMSARIINGSEDGSARIWDCRNGLCTQVINPEKGRKLKETSWVSCLAIDASESWLACGTGRDLLVWSLLSSYPRSWF >DRNTG_27264.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:14709414:14713029:-1 gene:DRNTG_27264 transcript:DRNTG_27264.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSLILKMSARIINGSEDGSARIWDCRNGLCTQVINPEKGRKLKETSWVSCLAIDASESWLVKFFIQFPDISLLMTYACYCFLLLVLILLCSNNLAFLSVLRIKDQEI >DRNTG_27264.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:14709414:14713029:-1 gene:DRNTG_27264 transcript:DRNTG_27264.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLILKMSARIINGSEDGSARIWDCRNGLCTQVINPEKGRKLKETSWVSCLAIDASESWLHILAVGSEPILRRYNINGKALSKIQCAPQSTFSVSFHSSGITAVGGYRGLVDVVSKLGAICVCFAAVV >DRNTG_27264.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:14709414:14713029:-1 gene:DRNTG_27264 transcript:DRNTG_27264.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSLILKMSARIINGSEDGSARIWDCRNGLCTQVINPEKGRKLKETSWVSCLAIDASESWLVKFFIQFPDISLLMTYACYCFLLLVLILLCSNNLAFLSVLRIKDQEI >DRNTG_16266.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000805.1:14417:16829:-1 gene:DRNTG_16266 transcript:DRNTG_16266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGWTGNAGSLVINETVYYLKQIHWHSPAEHATFDGTRYELEAHLVHESEDQKIAVIGVFHKLGSPDPLLAELEDYIKQISTGDNSEVNVGVVDPK >DRNTG_03860.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3657169:3665872:-1 gene:DRNTG_03860 transcript:DRNTG_03860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTPAKSDSSEGFKASHPLLLVVAGDETKGMGTVQGGRLSALIKETTKEPQPGNCFSTPTVVRFYSLKSHSYVHVLRFRSAVYMVRCSPRIVAIALAAQIYCFDALTLENKFSVLTYPLQGAAGFNIGYGPMAVGPRWLAYAPNNPLLSNTARLSPQNLTPSPGVSPSTSPGNGSLVARYAMESSKHLAAGILNLGDMGYKTLSKYCQELLPDGSTSPLSTGSSRKVGRLPPSAHLSEADNAGMVVVKDFVSKEVISQFRAHTSPISALCFDPSGTLLVTTSVHGHNINVFRIMPRPMPNSSNPVCYDWANSHVHLYKLYRGITAAVIQDICFSPSSQWIAIVSSRGTCHIFVLSPFGGDAILLPQSPNCDGPILVPNLTSPWWSTSSYNTDQQFLLPPPPPTTLSVVSRIKNGNSGWLNTVSNVAASAAGKVLVPSGAIAAVFHNSIYRDNLPAHLKANSLENLLVYSPSGHLVQHVLVPSLGAESCDDSSGTGASPLLQLQDDELHVNAEPVQWWDVCRKMNWPEREESVSNIVLDKQQNTNMAIYPSDFEDNDAIFSVSPTGIPVCKERTESCARPHWYLSNAEVQINSCRIPIWQKPKICFYVMTPRASSEPTDDFAGEELEIERLPNHEVEIRRKNLLPVFEQFQGVQSDWNDRNLTGGRCWNSSTETILSLTDNRMMKNDAGIMDSRPASLASGPDIGPCKPRSLHPETVQSPSLMACCGGEDGVGLMTPGTQLTASTTAPEICCDKNCSPFHYEHYCSDSPTDSSLINSFSNSGSSSSPVVRLCNKEAQSSSSEITEQVGTVDSFNSMEFTQYLHEGYCKISELNDCCELTEAVTDVDSSSSHCEREKPEEDGDNDEMLGGVFAFCEEG >DRNTG_03860.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3657169:3665872:-1 gene:DRNTG_03860 transcript:DRNTG_03860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGKGSGSGGGGGRNGLFPHSLRIISSCLKTVSANAGSVASTVRSAGASVAASIAVPAEEEKDQVLWAGFDKLELGPSSFKHVLLLGYSNGFQVLDVEDASSVCELVSKRDGPVTFLQMQPTPAKSDSSEGFKASHPLLLVVAGDETKGMGTVQGGRLSALIKETTKEPQPGNCFSTPTVVRFYSLKSHSYVHVLRFRSAVYMVRCSPRIVAIALAAQIYCFDALTLENKFSVLTYPLQGAAGFNIGYGPMAVGPRWLAYAPNNPLLSNTARLSPQNLTPSPGVSPSTSPGNGSLVARYAMESSKHLAAGILNLGDMGYKTLSKYCQELLPDGSTSPLSTGSSRKVGRLPPSAHLSEADNAGMVVVKDFVSKEVISQFRAHTSPISALCFDPSGTLLVTTSVHGHNINVFRIMPRPMPNSSNPVCYDWANSHVHLYKLYRGITAAVIQDICFSPSSQWIAIVSSRGTCHIFVLSPFGGDAILLPQSPNCDGPILVPNLTSPWWSTSSYNTDQQFLLPPPPPTTLSVVSRIKNGNSGWLNTVSNVAASAAGKVLVPSGAIAAVFHNSIYRDNLPAHLKANSLENLLVYSPSGHLVQHVLVPSLGAESCDDSSGTGASPLLQLQDDELHVNAEPVQWWDVCRKMNWPEREESVSNIVLDKQQNTNMAIYPSDFEDNDAIFSVSPTGIPVCKERTESCARPHWYLSNAEVQINSCRIPIWQKPKICFYVMTPRASSEPTDDFAGEELEIERLPNHEVEIRRKNLLPVFEQFQGVQSDWNDRNLTGGRCWNSSTETILSLTDNRMMKNDAGIMDSRPASLASGPDIGPCKPRSLHPETVQSPSLMACCGGEDGVGLMTPGTQLTASTTAPEICCDKNCSPFHYEHYCSDSPTDSSLINSFSNSGSSSSPVVRLCNKEAQSSSSEITEQVGTVDSFNSMEFTQYLHEGYCKISELNDCCELTEAVTDVDSSSSHCEREKPEEDGDNDEMLGGVFAFCEEG >DRNTG_03860.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3657169:3662701:-1 gene:DRNTG_03860 transcript:DRNTG_03860.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTPAKSDSSEGFKASHPLLLVVAGDETKGMGTVQGGRLSALIKETTKEPQPGNCFSTPTVVRFYSLKSHSYVHVLRFRSAVYMVRCSPRIVAIALAAQIYCFDALTLENKFSVLTYPLQGAAGFNIGYGPMAVGPRWLAYAPNNPLLSNTARLSPQNLTPSPGVSPSTSPGNGSLVARYAMESSKHLAAGILNLGDMGYKTLSKYCQELLPDGSTSPLSTGSSRKVGRLPPSAHLSEADNAGMVVVKDFVSKEVISQFRAHTSPISALCFDPSGTLLVTTSVHGHNINVFRIMPRPMPNSSNPVCYDWANSHVHLYKLYRGITAAVIQDICFSPSSQWIAIVSSRGTCHIFVLSPFGGDAILLPQSPNCDGPILVPNLTSPWWSTSSYNTDQQFLLPPPPPTTLSVVSRIKNGNSGWLNTVSNVAASAAGKVLVPSGAIAAVFHNSIYRDNLPAHLKANSLENLLVYSPSGHLVQHVLVPSLGAESCDDSSGTGASPLLQLQDDELHVNAEPVQWWDVCRKMNWPEREESVSNIVLDKQQNTNMAIYPSDFEDNDAIFSVSPTGIPVCKERTESCARPHWYLSNAEVQINSCRIPIWQKPKICFYVMTPRASSEPTDDFAGEELEIERLPNHEVEIRRKNLLPVFEQFQGVQSDWNDRNLTGGRCWNSSTETILSLTDNRMMKNDAGIMDSRPASLASGPDIGPCKPRSLHPETVQSPSLMACCGGEDGVGLMTPGTQLTASTTAPEICCDKNCSPFHYEHYCSDSPTDSSLINSFSNSGSSSSPVVRLCNKEAQSSSSEITEQVGTVDSFNSMEFTQYLHEGYCKISELNDCCELTEAVTDVDSSSSHCEREKPEEDGDNDEMLGGVFAFCEEG >DRNTG_03860.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3657169:3665872:-1 gene:DRNTG_03860 transcript:DRNTG_03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGKGSGSGGGGGRNGLFPHSLRIISSCLKTVSANAGSVASTVRSAGASVAASIAVPAEEEKDQVLWAGFDKLELGPSSFKHVLLLGYSNGFQVLDVEDASSVCELVSKRDGPVTFLQMQPTPAKSDSSEGFKASHPLLLVVAGDETKGMGTVQGGRLSALIKETTKEPQPGNCFSTPTVVRFYSLKSHSYVHVLRFRSAVYMVRCSPRIVAIALAAQIYCFDALTLENKFSVLTYPLQGAAGFNIGYGPMAVGPRWLAYAPNNPLLSNTARLSPQNLTPSPGVSPSTSPGNGSLVARYAMESSKHLAAGILNLGSSRKVGRLPPSAHLSEADNAGMVVVKDFVSKEVISQFRAHTSPISALCFDPSGTLLVTTSVHGHNINVFRIMPRPMPNSSNPVCYDWANSHVHLYKLYRGITAAVIQDICFSPSSQWIAIVSSRGTCHIFVLSPFGGDAILLPQSPNCDGPILVPNLTSPWWSTSSYNTDQQFLLPPPPPTTLSVVSRIKNGNSGWLNTVSNVAASAAGKVLVPSGAIAAVFHNSIYRDNLPAHLKANSLENLLVYSPSGHLVQHVLVPSLGAESCDDSSGTGASPLLQLQDDELHVNAEPVQWWDVCRKMNWPEREESVSNIVLDKQQNTNMAIYPSDFEDNDAIFSVSPTGIPVCKERTESCARPHWYLSNAEVQINSCRIPIWQKPKICFYVMTPRASSEPTDDFAGEELEIERLPNHEVEIRRKNLLPVFEQFQGVQSDWNDRNLTGGRCWNSSTETILSLTDNRMMKNDAGIMDSRPASLASGPDIGPCKPRSLHPETVQSPSLMACCGGEDGVGLMTPGTQLTASTTAPEICCDKNCSPFHYEHYCSDSPTDSSLINSFSNSGSSSSPVVRLCNKEAQSSSSEITEQVGTVDSFNSMEFTQYLHEGYCKISELNDCCELTEAVTDVDSSSSHCEREKPEEDGDNDEMLGGVFAFCEEG >DRNTG_03860.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3657169:3665872:-1 gene:DRNTG_03860 transcript:DRNTG_03860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTPAKSDSSEGFKASHPLLLVVAGDETKGMGTVQGGRLSALIKETTKEPQPGNCFSTPTVVRFYSLKSHSYVHVLRFRSAVYMVRCSPRIVAIALAAQIYCFDALTLENKFSVLTYPLQGAAGFNIGYGPMAVGPRWLAYAPNNPLLSNTARLSPQNLTPSPGVSPSTSPGNGSLVARYAMESSKHLAAGILNLGDMGYKTLSKYCQELLPDGSTSPLSTGSSRKVGRLPPSAHLSEADNAGMVVVKDFVSKEVISQFRAHTSPISALCFDPSGTLLVTTSVHGHNINVFRIMPRPMPNSSNPVCYDWANSHVHLYKLYRGITAAVIQDICFSPSSQWIAIVSSRGTCHIFVLSPFGGDAILLPQSPNCDGPILVPNLTSPWWSTSSYNTDQQFLLPPPPPTTLSVVSRIKNGNSGWLNTVSNVAASAAGKVLVPSGAIAAVFHNSIYRDNLPAHLKANSLENLLVYSPSGHLVQHVLVPSLGAESCDDSSGTGASPLLQLQDDELHVNAEPVQWWDVCRKMNWPEREESVSNIVLDKQQNTNMAIYPSDFEDNDAIFSVSPTGIPVCKERTESCARPHWYLSNAEVQINSCRIPIWQKPKICFYVMTPRASSEPTDDFAGEELEIERLPNHEVEIRRKNLLPVFEQFQGVQSDWNDRNLTGGRCWNSSTETILSLTDNRMMKNDAGIMDSRPASLASGPDIGPCKPRSLHPETVQSPSLMACCGGEDGVGLMTPGTQLTASTTAPEICCDKNCSPFHYEHYCSDSPTDSSLINSFSNSGSSSSPVVRLCNKEAQSSSSEITEQVGTVDSFNSMEFTQYLHEGYCKISELNDCCELTEAVTDVDSSSSHCEREKPEEDGDNDEMLGGVFAFCEEG >DRNTG_27001.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11874900:11879637:1 gene:DRNTG_27001 transcript:DRNTG_27001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNQHKHHFLFVAFPIQGHINPVRHLAMRLTTLSNTTVTISTAVSCHRRMFNVSDPNQEIQEGNLTYIPFSNGYDNGFNSETDDPKLYLSTFKQNGLKTLSTIVQSLSQRARPVTCIIYTILMSWAAGVAREHNIPSVFFWNQTATVFAICYHFFHGYESLITDHASNPEFTIHLPELPPLKIKELPSFITITDPNHPFFSGLFALRGDFEALDLEAGDRQLPKVLFNSFSALETSALASVNEIETLSIAPLMPFLFSSPVDEVHRDLFKNDERDYMKWLDSKEEGSVVYVSFGSVAMMKRTQMEEMMKGLKESGRPYLWVVRKDNREEGVELDGGEEGMVIQWCSQLRVLSHRAVGCFVTHCGWNSMLESLVCGMAMVGVPQFSDQATNVKLVEEVWGSGVKGKVDEEGVLKGEELKRCLDMVMDEGEESVRIRRRAKMWRDKALEAVNEGGSSYANLQAFLSLWAIETQGDQCSTMCEN >DRNTG_27001.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11874900:11877066:1 gene:DRNTG_27001 transcript:DRNTG_27001.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNQHKHHFLFVAFPIQGHINPVRHLAMRLTTLSNTTVTISTAVSCHRRMFNVSDPNQEIQEGNLTYIPFSNGYDNGFNSETDDPKLYLSTFKQNGLKTLSTIVQSLSQRARPVTCIIYTILMSWAAGVAREHNIPSVFFWNQTATVFAICYHFFHGYESLITDHASNPEFTIHLPELPPLKIKELPSFITITDPNHPFFSGLFALRGDFEALDLEAGDRQLPKVLFNSFSALETSALASVNEIETLSIAPLMPFLFSSPVDEVHRDLFKNDERDYMKWLDSKEEGSVVYVSFGSVAMMKRTQMEEMMKGLKESGRPYLWVVRKDNREEGVELDGGEEGMVIQWCSQLRVLSHRAVGCFVTHCGWNSMLESLVCGMAMVGVPQFSDQATNVKLVEEVWGSGVKGKVDEEGVLKGEELKRCLDMVMDEGEESVRIRRRAKMWRDKALEAVNEGGSSYANLQAFLSLCAVDRHGILRLGLFSHILPSIGVNCTLTFSRHRR >DRNTG_27001.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11874900:11876487:1 gene:DRNTG_27001 transcript:DRNTG_27001.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNQHKHHFLFVAFPIQGHINPVRHLAMRLTTLSNTTVTISTAVSCHRRMFNVSDPNQEIQEGNLTYIPFSNGYDNGFNSETDDPKLYLSTFKQNGLKTLSTIVQSLSQRARPVTCIIYTILMSWAAGVAREHNIPSVFFWNQTATVFAICYHFFHGYESLITDHASNPEFTIHLPELPPLKIKELPSFITITDPNHPFFSGLFALRGDFEALDLEAGDRQLPKVLFNSFSALETSALASVNEIETLSIAPLMPFLFSSPVDEVHRDLFKNDERDYMKWLDSKEEGSVVYVSFGSVAMMKRTQMEEMMKGLKESGRPYLWVVRKDNREEGVELDGGEEGMVIQWCSQLRVLSHRAVGCFVTHCGWNSMLESLVCGMAMVGVPQFSDQATNVKLVEEVWGSGVKGKVDEEGVLKGEELKRCLDMVMDEGEESVRIRRRAKMWRDKALEAVNEGGSSYANLQAFLSLW >DRNTG_00090.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21193884:21197173:1 gene:DRNTG_00090 transcript:DRNTG_00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPTIGKLSKAYEGKLKCYKINTDENPDIATKYGIRSIPTVMIFKSGEKKDTIIGAVPESTLVTSIDKFVGQ >DRNTG_11843.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:172738:175481:1 gene:DRNTG_11843 transcript:DRNTG_11843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFLKGILGESACDNMFSLQDIQRCPFLRNINEPTSFNFSSSVKFPSPAQGVKGPIFEDGPSFETAFKLFHGQDGVIPLSGKSYVYNENPEPNPGLQFNPLAVNAASISLSAFGPEGPFGFNFFSRKWKEHNKNSSKPNNLSQKRGGSSHEAHSSEWLKTGQCPIAKSFRAVNRVLPLVAKAFQPPPGINLRCPPAVVAARAALARTAFVKNLRPQPLPAKMLAIALLGMAANVPLGVWREHTDKFSPQWFVAVHAAVPFIAMLRKSVLMPKTAMVLTIAASILGQTIGSRAERLRLKAERGTSGGSDRKAVVVVNKSAGHTEIGMAWEPLPMKAGGAVTTNSTPTPSGTSAANVCF >DRNTG_10302.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20288781:20292262:-1 gene:DRNTG_10302 transcript:DRNTG_10302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDYNDMDMGYEDEPPEPEIEEGAEEDVENNNEDVPDAFVGAEGEEKEEQEPVQRPRKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRQRKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGD >DRNTG_05688.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:180041:181352:1 gene:DRNTG_05688 transcript:DRNTG_05688.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:QQT1 [Source:Projected from Arabidopsis thaliana (AT5G22370) UniProtKB/TrEMBL;Acc:A0A178UBM9] MVFGQVVIGPPGSGKTTYCNGMSQFLKLIGRKVAVVNLDPANDALPYECAVNIEDLVRLSDVMLEHSLGPNGGLVYCMDYLEKNIDWLESKLKPLVKDHYLLFDFPGQVELFFLHSNAKNVIFKLMKKMNLRLTVVHLVDAHLCCDPGKYVSALLLSLSTMLHLELPHINVLSKIDLVESYGRLAFNLDFYTDVQDLSYLQYHLDQDPR >DRNTG_01813.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3107997:3112062:-1 gene:DRNTG_01813 transcript:DRNTG_01813.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLTDSFKLPHGQYLSGDIESGLQGPNTAEFRLDEFFKQDSTFQVQSIEKQIKKLSKLLKKIKLTHEEAKASDMEASQQLIEKDIDRVGKIALSVKTKLEELDKDNLRNRQKPRCGKGSTVDRSRIATTVALKNKLKERMTEFQAIREMIHQEYRELVVQRFFTVTGNHADEETIDKLMAPGNSEQNFQKAIQASGRGQIMVTIGELEERHDAVKELERKLHELQQIFLDLAVLVEAQGDMMDNIESHVSSAVNYVQSGVVALQSTKKRKKNSRKWMYIAMCLLLFIAAVIVLAVLKPWVKHKHA >DRNTG_12350.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20819040:20821531:1 gene:DRNTG_12350 transcript:DRNTG_12350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLGFDLGNEKCVVAVVEQQQRGIDVVLDVESKHEIPAVVCFGEKQRFIGTSGAAQLMMNPKNSVSQIKRLIGRKFSHPELQRDLQSLPYLVTEGPDGFPLIHVKNLGEKRTFTPTQVLAMVILHLKSIATKNHKDDLVIECCIGIPVYFTDLQRRAVRDAATIAGHGLLHARLIHETTATALAYGTYKTDLPENDQLNVAFVDVGHTSMQVCIAGFKKGQLTILSHAYDRSLGGRDFDDVLFKHFAAKFRDRYKIDVYQSAHASLRLRAACEKLKKTLSAYPEGLLNIEWLMDGKDVKGFIKREEFEQISMPILERVKAPLEKALLDAGLTIQNIHSVEVVGSGSRVPAIIRILSEFFVQVPRRTMDASECVAHGCALKYGFLLQCKLPDIWVYEKLPFSIAMSWKGAAPGAQNGAPDQQQTTVVFPKGNEISDWKTLTFFRYSTFSIDFLYTDVADQQIPAKISTYTIGPFHSTKDEPAKVRV >DRNTG_12350.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20819040:20822757:1 gene:DRNTG_12350 transcript:DRNTG_12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLGFDLGNEKCVVAVVEQQQRGIDVVLDVESKHEIPAVVCFGEKQRFIGTSGAAQLMMNPKNSVSQIKRLIGRKFSHPELQRDLQSLPYLVTEGPDGFPLIHVKNLGEKRTFTPTQVLAMVILHLKSIATKNHKDDLVIECCIGIPVYFTDLQRRAVRDAATIAGHGLLHARLIHETTATALAYGTYKTDLPENDQLNVAFVDVGHTSMQVCIAGFKKGQLTILSHAYDRSLGGRDFDDVLFKHFAAKFRDRYKIDVYQSAHASLRLRAACEKLKKTLSAYPEGLLNIEWLMDGKDVKGFIKREEFEQISMPILERVKAPLEKALLDAGLTIQNIHSVEVVGSGSRVPAIIRILSEFFVQVPRRTMDASECVAHGCALKYGFLLQCKLPDIWVYEKLPFSIAMSWKGAAPGAQNGAPDQQQTTVVFPKGNEISDWKTLTFFRYSTFSIDFLYTDVADQQIPAKISTYTIGPFHSTKDEPAKVRV >DRNTG_27977.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1158714:1160563:-1 gene:DRNTG_27977 transcript:DRNTG_27977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRCEAKCEQEEEQDKLNLSLNLNLNLNIPRKLSETSLYATEDEEEEEEDDDEKGIKGLDLGPQLSLKDQFEKDKDDESLRRWKEQLLGSVDLTSVGENLEPEVKIMSLSIQSPGRPDIVLPIPVIPNSKGLWFTLKEGSQYKLKFTFSVNNNIVSGLKYINAVWKTGVKVDSTKEMLGTFSPQVEPYICEVPEDTTPSGIFARGSYSAKTKFVDDDGKCYLELNYTFDIRKEWSSILD >DRNTG_27609.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28476928:28477699:-1 gene:DRNTG_27609 transcript:DRNTG_27609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRPCNPLITPVLVFFPADLACTRAYRCGMSSISHSGVTRATTTSPSQRRPRTRATSSGLKVSMLSPILNLLVRLSYLCNRESRRARSWNTASNSINAAKGVLWMISQC >DRNTG_06238.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5273043:5278008:1 gene:DRNTG_06238 transcript:DRNTG_06238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEVAAQPSEGSPAPPPESMAEIGAPARPGIGAGLFSSWTSICRRYQYYLDKSTPFVGRRWAGAAVLALIYFIRVLILQGFYIVTYALAIFVLNLLIGFLSPQVDPETQALLDGSGPGLPIKSTDEFRPFVRRLPEFKFWHSIVKAFSIAFVMTFFSVFDVPVFWPILLFYWIVLFTVTMKRQIIHMIKYKYVPFTFGKQRYTGKKVPSADDLDLAN >DRNTG_13288.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2753189:2757975:1 gene:DRNTG_13288 transcript:DRNTG_13288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLLLLLLFSSSSSSSSSSSSSAITDLEQAQLHLFQQYLRINTSHPSPDYTSAVSFLSAQALSIPLISQTLFFSPSKPLLLLSWPGSDPSLPSILLNSHIDSVPVEPSKWSHPPYAAHRDSHGRIFARGSQDDKCLAIQYLEALRALKASGFSPLRSIHVSLVPDEEIGGADGLAKFVESDEFKKLNVGFVLDEGQASPTDEFRVFYADRLPWSLVVKAVGSPGHGSRMYDGAALENLMECVETIARFRDNQFDLVKAGILAASEVISINPIFMKAGIPSPTGFVMNVQPSEGEVGYDVRVPPTVNIEFLRKRLDEEWAPVIRNLTYELFLKGPKLSDNGGRPLSTATDESNPWWSVFTKAIIASGGKLSKPEILASTTDARFIRQFGIPALGFSPMANTPILLHEHNEHLKDTVFLRGIKVYEHVITALSSFPGNSS >DRNTG_34929.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002208.1:8852:9581:-1 gene:DRNTG_34929 transcript:DRNTG_34929.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTYVQNCYDDPQTSEEKLRYNKLCSHFTKAAELGAESNEKYMFLMKYVDEAIEKFMDNTTCKEKFTPMLSEATNVPHQKFLTPLKVRSKGRPPSKRKKSKVEEIIIRNKKKKPQTKGDALAQKIIQDDHCTQESVVILL >DRNTG_08063.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18238529:18241790:1 gene:DRNTG_08063 transcript:DRNTG_08063.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGWSYSPKPKKQRKNGDDAMFVCEDLMIAGVADGSGGTKAGLGSPPRLR >DRNTG_19839.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001073.1:26206:29701:-1 gene:DRNTG_19839 transcript:DRNTG_19839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARVLFRQALLVHSDHLLAIRSTHQARSPFKVFFSVSSSSGNGDDGYCRRSNNYLKLSDEELMAQCEMDTYKASGPGGQHRNKRESAVRLKHLPTGVIAQAVEDRSQHKNRAAALSRLRTLLALKGMTLTWMNINLLLKSFRSYLQNQQSGAQMLVHKLVLITQSLLWECKLYWI >DRNTG_19839.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001073.1:26206:27065:-1 gene:DRNTG_19839 transcript:DRNTG_19839.3 gene_biotype:protein_coding transcript_biotype:protein_coding TIQHQTSSVILQFLQNIRIVLQQMQHNIKDLNYRKCQQFGYP >DRNTG_19839.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001073.1:26206:28372:-1 gene:DRNTG_19839 transcript:DRNTG_19839.2 gene_biotype:protein_coding transcript_biotype:protein_coding TIQHQTSSVILQFLQNIRIVLQQMQHNIKDLNYRKCQQFGYP >DRNTG_26643.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18208548:18213272:-1 gene:DRNTG_26643 transcript:DRNTG_26643.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable voltage-gated potassium channel subunit beta [Source:Projected from Arabidopsis thaliana (AT1G04690) UniProtKB/Swiss-Prot;Acc:O23016] MQYKNLGRSGLKVSQLSYGAWVSFGNQLDVKEAKILLQCCRDHGVNFFDNAEVYANGRAEEIMGQAIRELGWRRSDLVISTKIFWGGPGPNDKGLSRKHIVEGTRASLKRLDMDYVDVLYCHRPDSATPIEETVRAMNYVIDNGWAFYWGTSEWSAQQITEAWAVANRLDLVGPIVEQPEYNLLSRHKVEVEYMPLYSAYGVGLTTWSPLASGVLTGKYTKGNIPPDSRFALENYKNLANRSLVDDVLRKVNGLKPIAEELGVPLSQLAIAWCASNPHVSSVITGATKESQINENMKAIDVIPMLTPDVLEKIETVVQSKPKRQESYR >DRNTG_15846.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25145313:25149412:1 gene:DRNTG_15846 transcript:DRNTG_15846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPPPGGGRELSKPPSDGISNLRFSNHSDHLLVSSWDKSVRLYDASANVLKGEFMHGGPVLDCCFHDDSSGFSASADHTVRRYVFSSGKEDILGRHDAPVRCIEYSYAAGQVITGSWDKTLKCWDPRGAGGKERALVGTYPQPERVYSLSLVGHRLVVATAGRHVNVYDLRNMSQPEQRRESSLKYQTRCVRCYPNGTGYALSSVEGRVAMEFFDLSEASQAKKYAFKCHRKSEAGRDTVYPVNAIAFHPIYGTFATGGCDGYVNVWDGSNKKRLYQYSKYPSSIAALSFSRDGRLLAVASSYTFEEGEIPHEPDAIFVRNINEVEVKPKPKALPAPPS >DRNTG_02928.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4208356:4212064:-1 gene:DRNTG_02928 transcript:DRNTG_02928.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSISLHRWFPSGSQTPFDSKPKAKTASLH >DRNTG_07002.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1757384:1760876:-1 gene:DRNTG_07002 transcript:DRNTG_07002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLPERDSIFPKEKGVKGTRKRRQERISLASRALTRAASHGAPRMERRSETKELDEEGEEEEEEEDLREMEGGILEEEEREREREKEKANAKATLRGEMTLGFPISLSRARWDG >DRNTG_22540.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3648344:3655242:-1 gene:DRNTG_22540 transcript:DRNTG_22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroorotate dehydrogenase (quinone), mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G23300) UniProtKB/Swiss-Prot;Acc:P32746] MAAGVWRSSFRDRLCKGIASRVSNGPCRYSSTSTASGAGAGAGAGAGAAAKAKIPPSGKKGRLLTGSMIGLAIAGGAYVSTVDEGTFCGWLFKATRLVNPFFALLDPEFAHNLGVSAAARGWVPREKRPDPAILGLEVWGRKFSNPIGLAAGFDKNAEAVEGLLGLGFGFVEVGSVTPIPQEGNPKPRIFRLRNEGAIINRCGFNSEGIVVVAKRLGAQHGKRKMEETSSSSSLPKDGTKQGGKAGPGILGVNIGKNKTSEDAAADYVQGVHTLSQYADYLVINISSPNTPGLRKLQGRKQLKDLVKKVQAARDEMQWAEEGPPPLLVKIAPDLTKQDLEDIAAVALALRLDGLIISNTTVSRPDPVSRNPLAQEAGGLSGKPLFDMSTNVLKEMYILTRGRIPLIGCGGVSSGEDAYNKIRAGATLVQLYTAFAYGGPALIPQMKAELAECLEKDGFASVQEAIGADCR >DRNTG_30862.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001636.1:79724:80962:1 gene:DRNTG_30862 transcript:DRNTG_30862.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCTDSFEGLIDQEIENEEVLTLGLEDKVQPTVEIMKRKVQMMKRARRHHKKRPKANGDVHTWSREDLYRVLRLHPKKKEGRVNAPVDDLVNYTRAWGRVEHLAIFSGGQRSHWGVWVPQDVSAPVSSPVESHGRKKFPHARVDA >DRNTG_33787.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:113267:113877:1 gene:DRNTG_33787 transcript:DRNTG_33787.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWKNKLLPKIKKVFGPKKPSETTEEVKVGEEKKKEIEKVDESIPPPPPAVVAVEEAPKA >DRNTG_33787.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:113161:113877:1 gene:DRNTG_33787 transcript:DRNTG_33787.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPIFATQCSILIFTELSFANFFQDQNKEKTKTVKIIKRGKHGLLEKQTSSKNQEGFWSQKTFRNNRGSEGG >DRNTG_33787.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:113161:113753:1 gene:DRNTG_33787 transcript:DRNTG_33787.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWKNKLLPKIKKVFGPKKPSETTEEVKVGEEKKKEIEKVDESIPPPPPAVVAVEEAPKA >DRNTG_33787.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:113267:113877:1 gene:DRNTG_33787 transcript:DRNTG_33787.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWKNKLLPKIKKVFGPKKPSETTEEVKVGEEKKKEIEKVDESIPPPPPAVVAVEEAPKA >DRNTG_33787.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:113161:113753:1 gene:DRNTG_33787 transcript:DRNTG_33787.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPIFATQCSILIFTELSFANFFQDQNKEKTKTVKIIKRGKHGLLEKQTSSKNQEGFWSQKTFRNNRGSEGG >DRNTG_33787.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:113267:113753:1 gene:DRNTG_33787 transcript:DRNTG_33787.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWKNKLLPKIKKVFGPKKPSETTEEVKVGEEKKKEIEKVDESIPPPPPAVVAVEEAPKA >DRNTG_33787.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:113267:113753:1 gene:DRNTG_33787 transcript:DRNTG_33787.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWKNKLLPKIKKVFGPKKPSETTEEVKVGEEKKKEIEKVDESIPPPPPAVVAVEEAPKA >DRNTG_33787.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:113161:113877:1 gene:DRNTG_33787 transcript:DRNTG_33787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWKNKLLPKIKKVFGPKKPSETTEEVKVGEEKKKEIEKVDESIPPPPPAVVAVEEAPKA >DRNTG_21160.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2231222:2232949:-1 gene:DRNTG_21160 transcript:DRNTG_21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILMVFYLLGLETAAAPLGREYSATLIMATLCGSTSIEKGHYGVLETLYLPYNQFSSLIPHVIRNLTSLKVLDLSYNDVRVRVPQTLEDLCTLRTLDLSGNTVDIELSKFEETFSRCINQSLETLRLSNIGLVGHLPRWIENLKSLKTLDLTYNSLYGSIPENQLAPSLQELDLSYNTLNGTLHDQSWPNVSKATSSNTWQ >DRNTG_14128.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17195695:17197958:1 gene:DRNTG_14128 transcript:DRNTG_14128.2 gene_biotype:protein_coding transcript_biotype:protein_coding TVPSDQCNLQLNGHNFPHPSIKQRHSLLLQRHHSYPWVGRIIRRRSFNCSTRARKIERFLSQPFFVAEVFTGSPVKYVGLAETIRGFSQYIPEKSKTKVC >DRNTG_14128.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17195695:17197204:1 gene:DRNTG_14128 transcript:DRNTG_14128.4 gene_biotype:protein_coding transcript_biotype:protein_coding TVPSDQCNLQLNGHNFPHPSIKQRHSLLLQRHHSYPWVGRIIRRRSFNCSTRARKIERFLSQPFFVAEVFTGSPVKYVGLAETIRGFSQYIPEKSKTKVC >DRNTG_14128.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17195695:17197204:1 gene:DRNTG_14128 transcript:DRNTG_14128.3 gene_biotype:protein_coding transcript_biotype:protein_coding TVPSDQCNLQLNGHNFPHPSIKQRHSLLLQRHHSYPWVGRIIRRRSFNCSTRARKIERFLSQPFFVAEVFTGSPVKYVGLAETIRGFSQYIPEKSKTKVC >DRNTG_14128.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17195695:17197958:1 gene:DRNTG_14128 transcript:DRNTG_14128.1 gene_biotype:protein_coding transcript_biotype:protein_coding TVPSDQCNLQLNGHNFPHPSIKQRHSLLLQRHHSYPWVGRIIRRRSFNCSTRARKIERFLSQPFFVAEVFTGSPVKYVGLAETIRGFSQYIPEKSKTKVC >DRNTG_05836.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31646680:31647601:-1 gene:DRNTG_05836 transcript:DRNTG_05836.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKACTELGQIEKVLDLTLQMQRKGINLDKVTFITLLSAISVVPLPRKGVETHAQIIKRAFESEIRISNSLIDMYAKCGSLGDSQKVFYCIHEKDVVSWSSLIKAYAWNGNAKEALNVFHFMIESGTRANNLTFLAILSACGHAGFVKEGKELFKSMKEEFNLEPGIEHFTCIVDNFCRAGQLNDAFNLLHNEMNGMGMNGALWGTLLNACRVHGDVVIGEAAAKHLFCLEPGNAANYVMLADVYAAAGRREDANNVMRMLRERGLERRPGCSWFEVVQRT >DRNTG_10783.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28226393:28227194:1 gene:DRNTG_10783 transcript:DRNTG_10783.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIVSLAVTKLADLLAQEVGFLKGVDDELRSLHDLLQWIEALLKDTDIHSNKDDERAKLWVNQVRDLAHDSEDIIDDYVFKMHKHKSIRGYFSSLRTFVVLPSKLVILHELHNNIGKVKGRAQEIYNNRTFAYGSIGATSSDPFANKERQPPPLMSRRPIASPVLEEEVDVLGFDAHFQSLARMLIGDDVNQLRRAVVSITGMGGAGKTTLAKKIFSDSGIRRHFTCHAWIWVSQKYRPREVLETIAKDVISMPKK >DRNTG_12843.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21109099:21111846:-1 gene:DRNTG_12843 transcript:DRNTG_12843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKNKDLDFSGFTKNMLLEMCIFSGCDYLQSLPGIALKRSHALVQKIKSYKKVIKQLRSSSITIPQLYEETFKKAIWAFQHQRVYDTTKEDIVHLSDIPHDCALELEFLGPYALLSRFQLEPLQVASWTKILDEDIKTIWMFLKKDKDFGEWPDVVKVWKLSRQRPNGTWVVPNGEGIMDKLQKEGEKNREKISSAPLPLVEHFGLVSERKSSYSRGLGIKLMHRRVPHLGE >DRNTG_04229.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30343034:30343611:1 gene:DRNTG_04229 transcript:DRNTG_04229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRRFFSASMAALVLPPSPLPASSFARARFTAPLCRAAVPLPPRRSSLSTRLQSSIANGDLSTGSEEQSEIIFVGTGTSEGIPRVSCLTDPSKACEVCLKAAQPGNKNRRRNTSILVRYVSSLGRFNI >DRNTG_24987.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28166191:28170616:1 gene:DRNTG_24987 transcript:DRNTG_24987.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLSLLSLLLLLLLSISSSSSQPADELRSLLEFKKGIHSDPSGLLSSWTAPASPSAAAGSTPGSCPAAFHGVSCSPSGSVLSISLPSLNLSGELHFATLLPLRSLFSLSLPSNSLSGRLPPSLFSLPSLQHLDLSSNLFYGPIPSRLSQLASLSHLNLSHNNLSGGFPSSLRNLPQLQTLDLRSNSLSGDISVLISELRSARHLDLSNNRFSGSLDVDSRNLSGLVDGVNYVNISGNELNGGFFSKDSMKLFRNLEVLDLGFNKLNGELPEMDSLPKLRVLRAGNNQLFGSLPEGLFETGVPLEELDLSGNGFTGFIANINSTSLKILNLSSNSLSGSLPAKFGSCTLVDLSNNMLSGDLNVMKSWENELEVIDLSSNALSGSFPNDMPQFESLTAIKMRNNSLVGSLPTILGSYPKLSVVDFSLNKLTGSILPSFFTLKSLTHLNLSGNYFTETLPLQRLHSAELEALSLSSQLEILDLSDNSLSGILPPEVGNFKRLKFLNLGKNALSGGLPVEINKLTGLEYLDLSNNHFNGEIPNVVLPSLKVLNMSLNNLQGPVPENLRRFPSTSFRPGNVLLVFADGTTGGDDNSGLPEGGAQHHGVKLRVRIALIFGSIGIVLLIIFVFMAFFMTRTEVCGRKGFRVQIPGREVNLGRFNRPNMFKSSKDDAAPVSMSFSNDHLLTSASRSMSAQKELLTETVEYGFSDSRDGCSEPVKLDSQELGPSTTERSSFPGVMMPSPHFVDPRMSEQPVMLEVYSPDRLAGELFFLDGSSIFTAEELSRAPAEVLGRSSHGTSYKATLDSGQLLSVKWLRVGLFKHKKEFAKEARKIGAIRHPNIISWRAYYWGPREQERLIIADFIYGDSLALYLHESTPRRYSMLSVRQRLKIAIDVARCLYYLHFERNLPHGNLKPTNILLTGPELTARLMDYGLHRLMTPSGTAEQILNLGALGYRAPELATTNKPLPSFKADVYAFGVILMEMLTRRSAGDIISGQSSAVDLTDWVRMCVTEGRGTDCYDRDIAGLEEAPRVMDELLAVSLKCILPVNERPNIKTVFEDLCSITM >DRNTG_07106.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22288213:22291100:-1 gene:DRNTG_07106 transcript:DRNTG_07106.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSSSHLSQLHLQCRRSPLHHRSFPPPLAVVVPSSSQTLAVPSVNAIFFNGDRVDRTGNPVIERLSDPQHIAQVLVSKLGTTANAWVIGASSFAGPFAVYKDLVPSVDRFGDPKCYDPSGFPALTSTAAILAKAAHEVETIISESQSRPRTSDIHVPLSPTSQPKTVLLGFSKGGIVINQILTELAHLTPESTGILSDKKESSIEAHHTTMDHICPTSKDSLFSSISEIHYVDVGLNSSGAYLNNQTTIKNALKRMLSYHSTVCFILHGTPRQWSDKNRRWIRKEKDILLQLLSTEANNSEGGLQVKEKLYFDAMPPSLQMHFEIIEKLDVS >DRNTG_07106.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22288213:22289141:-1 gene:DRNTG_07106 transcript:DRNTG_07106.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVCINMNAEFPYVYIFPADYQVETIISESQSRPRTSDIHVPLSPTSQPKTVLLGFSKGGIVINQILTELAHLTPESTGILSDKKESSIEAHHTTMDHICPTSKDSLFSSISEIHYVDVGLNSSGAYLNNQTTIKNALKRMLSYHSTVCFILHGTPRQWSDKNRRWIRKEKDILLQLLSTEANNSEGGLQVKEKLYFDAMPPSLQMHFEIIEKLDVS >DRNTG_07106.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22288213:22291100:-1 gene:DRNTG_07106 transcript:DRNTG_07106.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHICPTSKDSLFSSISEIHYVDVGLNSSGAYLNNQTTIKNALKRMLSYHSTVCFILHGTPRQWSDKNRRWIRKEKDILLQLLSTEANNSEGGLQVKEKLYFDAMPPSLQMHFEIIEKLDVS >DRNTG_07106.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22288165:22291100:-1 gene:DRNTG_07106 transcript:DRNTG_07106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHICPTSKDSLFSSISEIHYVDVGLNSSGAYLNNQTTIKNALKRMLSYHSTVCFILHGTPRQWSDKNRRWIRKEKDILLQLLSTEANNSEGGLQVKEKLYFDAMPPSLQMHFEIIEKLDVS >DRNTG_07106.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22288213:22291100:-1 gene:DRNTG_07106 transcript:DRNTG_07106.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDHICPTSKDSLFSSISEIHYVDVGLNSSGAYLNNQTTIKNALKRMLSYHSTVCFILHGTPRQWSDKNRRWIRKEKDILLQLLSTEANNSEGGLQVKEKLYFDAMPPSLQMHFEIIEKLDVS >DRNTG_23051.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3364803:3366890:1 gene:DRNTG_23051 transcript:DRNTG_23051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRPGTGGLSFLIPAGSSMDTNKRVHDEMDFFSTDHEKKMKVKDDPLLPIIKKEDLTININTGLQLLTANTGSDQSTLDDSLSSLNQDDKECENELEAMQAQLGKMNEENQRLRGMLNQVTSNYNALQMHLNALLQQKNQRINGLVPSPFMNHVDANNAIKNDQETIVPRQFMDLGPTATEIDEHSHSSTGGSRERSSTPPNDVDIGSIETNNNNNNNNNNKEIAPKQEATMRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCAEDRSVLITTYEGTHNHPLPPAAMAMASTTSAAASMLLSGSMSSSDGLMNSNFLARTMLPCSSSMATISATAPFPTVTLDLTHSANPLQLQRPTSSPTMQLSAPQPVFQPQLYNQSKFTGLQMSPDFDGSPLAKRSTTTLADTVSAATAAITSDPNFTAALAAAITSIIGSGGGAAGGGNSHQMNNNANNINKSLANGPYTSNFPDT >DRNTG_26403.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18144562:18148888:1 gene:DRNTG_26403 transcript:DRNTG_26403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSSSSPFPLHPHRTPDDTLFFSIFSDESSAASLPPLHLHILRILAPYLHDYLWHHDPFLISISPVPCAVCGSGDLPHLHGKLRYGDNLEDEWFAVFLLFAITREIPSVSIRVWDSDGEFLLIEAAYHLPRWLTPDSSPNRVFIRSGLLHIIPKSFSPSTPSLPDALSALRSSDVDTVAPEPVQSAIGRRLSGYPERARANIHRVRARVPLSVALVLKHEPCLISLAVEAFYDRDVDSMKHAAKMEKFSSSEMVRVSVRMTRAMYAQLVQQDFRAPNGYPMARREEGKEVYAEAELGMKIACGFEMMYQVRRLAGEEGKGETWEVFKKSLENSGCFDGLLPGSKEYKRIMDGAMECYRSSSAFLRTSATHR >DRNTG_01808.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3137142:3140188:-1 gene:DRNTG_01808 transcript:DRNTG_01808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLAPLPSGLQVTEASPEWLNKGDNAWQLTAATIVGMQSVPGLVILYGSIVKKKWAINSAFMALYAFAAVLVCWVGWAFQMSFGEEMFFFWGKPNFALDQKYLLDQGFAGFYPRATLVFFQFVFAAITLVLVGGALLGRMNFHAWMLFVPLWLTFSYTIGAYSIWCPTGFLAKAGVMDYCGGFVIHLASGVAGFTAAYWVGPRTNKDRERFPPNNILLMLAGAGLLWMGWSGFNGGGPYAANIDASLAVLNTHVCTATSLLVWLFLDILFFSKPSVIGAVQGMITGLVCITPAAGIVQGWAAIIMGILSGSIPWYTMMVLHKKISFLKYVDDTMAVFHTHAIAGSLGGVLTGFFAEPRLNRLFFSGDTTMFIGFAYGIKDGRFRAGLRQMGVQLAGIVFIVFINVFVTSVICLVVRLIVPLRLSEEQLEIGDDAIHGEEAYALWGDGEKFETQNGNDVFDVESSKAVQMTTTF >DRNTG_14818.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:9864453:9873677:-1 gene:DRNTG_14818 transcript:DRNTG_14818.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMRDVDSTFHGAGQKPGLEIWRIENFQPVPVPKSSFGKFFTGDTYLILKTTAQKNGALRHDIHYWLGKDTTLDESGTAAIKTVELDAALGGRAVQYREVQGHETEMFLSYFKPCIIPQLGGVASGFKHAEVNKHEHQTRLFVCKGKHVVHVKEVSFTRTSLNHDDVFILDTDAKIFQFNGSNSSIQERAKALEVVQYIKDTYHDGKCEVAAVEDGKLMADAEAGEFWGYFGGFAPLPRKAASEIIKHVEPSPAKLFCLNKGQLKVIEADKLTRKLLDTYKCYLLDCGVEVFVWMGRNTILEERKAASSAAEVLSS >DRNTG_14818.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:9864453:9873677:-1 gene:DRNTG_14818 transcript:DRNTG_14818.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLENSGDILVALLLFLEKQHLKLLSILNKGQLKVIEADKLTRKLLDTYKCYLLDCGVEVFVWMGRNTILEERKAASSAAEELIRGPSRPKAHIIRVIEGFETVMFRSKFDIWPQTAEVGISDDARGKVAALLKRQGFNVKGLTKATPAKEEPQSYIDCTGNLQVWRVNGKGKTLLPTPDLSKFYSGDCYIFQYSYPGEDKEEHLVGTWFGKKSIEEERTSAIFLAGKMVESLKFQAVQARIYEGKEPVQFFSIFQSFIVFKGGLSSGYINFLKENGIVDDTYSEDSLALFRVQGSGPDNMQAIQVEPVASSLNSSYCYILHSGSSVFTWSGTLTTPEDQELAERQLDVIKPNIQSRQQKEGTESELFWSLLGGKSEYPSQKVSKEPEYDPHLFSCTFLKGTWLVKMPMLFIATFLFFYLSFAILCNH >DRNTG_14818.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:9864453:9873677:-1 gene:DRNTG_14818 transcript:DRNTG_14818.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLESFLYLVLLCELSVWHGFSSQELIRGPSRPKAHIIRVIEGFETVMFRSKFDIWPQTAEVGISDDARGKVAALLKRQGFNVKGLTKATPAKEEPQSYIDCTGNLQVWRVNGKGKTLLPTPDLSKFYSGDCYIFQYSYPGEDKEEHLVGTWFGKKSIEEERTSAIFLAGKMVESLKFQAVQARIYEGKEPVQFFSIFQSFIVFKGGLSSGYINFLKENGIVDDTYSEDSLALFRVQGSGPDNMQAIQVEPVASSLNSSYCYILHSGSSVFTWSGTLTTPEDQELAERQLDVIKPNIQSRQQKEGTESELFWSLLGGKSEYPSQKVSKEPEYDPHLFSCTFLKGNLKVTEVFHFTQDDLMTEDIFILDCQTDIFVWVGQNVDSKNKLQAFKIGEKYLECDFLLEKLPRETPIFVVMEGSEPQFFTRFFIWDSAKATMHGNSFQRKLAIVKNGVTPTSDKPKRRAPASYGGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAANFENPNARNLSTPPPVVRKIIPKSISPDSIKVAPKSSAIAALSASFEQPKVVIMPKSIRVSPEVNKPKPAVSAKETPTSMSTRIEGLTIQEDTKENEAEDEEGLIIFPYERLKVKSTNPVTGIDVTKRETYLSSAEFREKFGITKENFYKLPKWRQNKLKLTLQLF >DRNTG_14818.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:9864453:9873677:-1 gene:DRNTG_14818 transcript:DRNTG_14818.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMRDVDSTFHGAGQKPGLEIWRIENFQPVPVPKSSFGKFFTGDTYLILKTTAQKNGALRHDIHYWLGKDTTLDESGTAAIKTVELDAALGGRAVQYREVQGHETEMFLSYFKPCIIPQLGGVASGFKHAEVNKHEHQTRLFVCKGKHVVHVKEVSFTRTSLNHDDVFILDTDAKIFQFNGSNSSIQERAKALEVVQYIKDTYHDGKCEVAAVEDGKLMADAEAGEFWGYFGGFAPLPRKAASEIIKHVEPSPAKLFCLNKGQLKVIEADKLTRKLLDTYKCYLLDCGVEVFVWMGRNTILEERKAASSAAEELIRGPSRPKAHIIRVIEGFETVMFRSKFDIWPQTAEVGISDDARGKVAALLKRQGFNVKGLTKATPAKEEPQSYIDCTGNLQVWRVNGKGKTLLPTPDLSKFYSGDCYIFQYSYPGEDKEEHLVGTWFGKKSIEEERTSAIFLAGKMVESLKFQAVQARIYEGKEPVQFFSIFQSFIVFKGGLSSGYINFLKENGIVDDTYSEDSLALFRVQGSGPDNMQAIQVEPVASSLNSSYCYILHSGSSVFTWSGTLTTPEDQELAERQLDVIKPNIQSRQQKEGTESELFWSLLGGKSEYPSQKVSKEPEYDPHLFSCTFLKGHRSIPLHAR >DRNTG_14818.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:9864453:9873677:-1 gene:DRNTG_14818 transcript:DRNTG_14818.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMRDVDSTFHGAGQKPGLEIWRIENFQPVPVPKSSFGKFFTGDTYLILKTTAQKNGALRHDIHYWLGKDTTLDESGTAAIKTVELDAALGGRAVQYREVQGHETEMFLSYFKPCIIPQLGGVASGFKHAEVNKHEHQTRLFVCKGKHVVHVKEVSFTRTSLNHDDVFILDTDAKIFQFNGSNSSIQERAKALEVVQYIKDTYHDGKCEVAAVEDGKLMADAEAGEFWGYFGGFAPLPRKAASEIIKHVEPSPAKLFCLNKGQLKVIEADKLTRKLLDTYKCYLLDCGVEVFVWMGRNTILEERKAASSAAEELIRGPSRPKAHIIRVIEGFETVMFRSKFDIWPQTAEVGISDDARGKVAALLKRQGFNVKGLTKATPAKEEPQSYIDCTGNLQVWRVNGKGKTLLPTPDLSKFYSGDCYIFQYSYPGEDKEEHLVGTWFGKKSIEEERTSAIFLAGKMVESLKFQAVQARIYEGKEPVQFFSIFQSFIVFKGGLSSGYINFLKENGIVDDTYSEDSLALFRVQGSGPDNMQAIQVEPVASSLNSSYCYILHSGSSVFTWSGTLTTPEDQELAERQLDVIKPNIQSRQQKEGTESELFWSLLGGKSEYPSQKVSKEPEYDPHLFSCTFLKGNLKVTEVFHFTQDDLMTEDIFILDCQTDIFVWVGQNVDSKNKLQAFKIGEKYLECDFLLEKLPRETPIFVVMEGSEPQFFTRFFIWDSAKATMHGNSFQRKLAIVKNGVTPTSDKPKRRAPASYGGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAANFENPNARNLSTPPPVVRKIIPKSISPDSIKVAPKSSAIAALSASFEQPKVVIMPKSIRVSPEVNKPKPAVSAKETPTSMSTRIEGLTIQEDTKENEAEDEEGLIIFPYERLKVKSTNPVTGIDVTKRETYLSSAEFREKFGITKENFYKLPKWRQNKLKLTLQLF >DRNTG_14818.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:9864453:9873677:-1 gene:DRNTG_14818 transcript:DRNTG_14818.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMRDVDSTFHGAGQKPGLEIWRIENFQPVPVPKSSFGKFFTGDTYLILKTTAQKNGALRHDIHYWLGKDTTLDESGTAAIKTVELDAALGGRAVQYREVQGHETEMFLSYFKPCIIPQLGGVASGFKHAEVNKHEHQTRLFVCKGKHVVHVKEVSFTRTSLNHDDVFILDTDAKIFQFNGSNSSIQERAKALEVVQYIKDTYHDGKCEVAAVEDGKLMADAEAGEFWGYFGGFAPLPRKAASEIIKHVEPSPAKLFCLNKGQLKVIEADKLTRKLLDTYKCYLLDCGVEVFVWMGRNTILEERKAASSAAEELIRGPSRPKAHIIRVIEGFETVMFRSKFDIWPQTAEVGISDDARGKVAALLKRQGFNVKGLTKATPAKEEPQSYIDCTGNLQVWRVNGKGKTLLPTPDLSKFYSGDCYIFQYSYPGEDKEEHLVGTWFGKKSIEVSLEGNFYDFLLASVSRKSSRFHSRWHIKIFQ >DRNTG_31430.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:671234:671971:1 gene:DRNTG_31430 transcript:DRNTG_31430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSILPSCLVRQSPFSHDPNWFYMIHSPLGNLDLHRLEPNIQPPPPSLTIDLNFGSQLISSFINSNQHELTSTTETKTFILDIQEFNQRFSAELAINTMFMNTSTGATLPIERRRWMVADIANVIVSFVRDGICFAYNEIFINLDVADLVQDDVLNEILQESFEDSDELSVACPASHVLLESLVTDQVVFSDDEAVSCVICLEEFVSGDVIKRLPCSHVFHGDCIDGWFVRKDSCPLCRFTLHV >DRNTG_04988.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4214725:4218919:-1 gene:DRNTG_04988 transcript:DRNTG_04988.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMCEGLGVGLRRLEELSCLEGRGMAGHYYPPCPEPDRTFGLVDHTDPGILTVLVQDNIGGLQVKSMRDECWVDVKPITGALIVNVGDLLQIISNDEYKSVQHRVVANSNEESRVSIGTFFSPGKRKESDTYAPLSELISIEKPARYSSVNMKEYRNVFLNKKLKSKSQLDPYRLVEK >DRNTG_06885.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14174783:14180421:1 gene:DRNTG_06885 transcript:DRNTG_06885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPGYGYHGTSFEQTYRCYPASFIDKPQLESGDKIIMPPSALDRLASLHIDYPMLFELHNAAAERISHCGVLEFIAEEGMVYMPYWMMQNMLLQEGDTVRVKNATLPKGTYVKLQPHTKDFLDISNPKAILETTLRNFSCLTTGDSIMVAYNNKKYYIDIVETKPASAISIIETDCEVDFAPPLDYKEPERPQPSAPSSSTPIHGAEVEPPAEPKFSPFTGIGRRLDGKPSKHPTPDSLPMKGPTMATNGVKPATTNNSQASSSKQTAGKLVFGSSRSTAAGKEAQKGQAKEPKENSSKTEESKFQAFTGKKYSLKG >DRNTG_13468.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:56900:58235:-1 gene:DRNTG_13468 transcript:DRNTG_13468.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRGNRKVVWMGRRGQGGRGRSGGFRGLRKGSSSGLAGCCGCGCYFAI >DRNTG_13468.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:56367:58235:-1 gene:DRNTG_13468 transcript:DRNTG_13468.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKVKCYMQQLLDGLDHCHGHGILHRNIKGSNLVNL >DRNTG_24611.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1278728:1281725:-1 gene:DRNTG_24611 transcript:DRNTG_24611.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDGGGESGGTEWDWGGNKAFENALAQHFLDSSGEPEMERIAAAMPGKSVEDLAEHYRLLEKDVNLIESGQVGLMEYVNEGSPEQGGGKKSGGQAQERRKGVAWSEEEHKQFLCGLKKFGKGDWRSIARHFVLTRTPTQVASHAQKYFNRLKASNKDRRRSSIHDITIVDSADASDPQRTNSSPRVGAMLPPVITAPTVGPILPHGMPGIQTPQMPVLPSGVSPYGVAPHANFPVGRIPNMLYGPYPMQAPVTGAPMKFLPKSDQMLHHPSSNR >DRNTG_24095.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11123433:11132579:-1 gene:DRNTG_24095 transcript:DRNTG_24095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQSRIDNEESVARCKERRILMRSAVSSRNAFAAAHSAYTVSLKSTGAALSDFAHADPLEPLPHNTSLPASSSHVSPPPPPVPPSAIPIDNPLPPPPPPPLSDFSPAPLQRSASMPDLPGPLPKKPSSEPPTIPEDGEPDADDAPDSIPVDPSPPPPPPPREPSPAPDLLPPVPEPSSAWEYFFSMDQNIPRPSLAPDTEDFPSVKEEIQEAVKFEKKKAPVVVEAEEPPATMMPEKVVEEPPPAPAPPVQKVVKKVKQGGTGHSQLNASSIGAVTELKRVGQLSLAKILKELDDHFLKAFQSAHDVSKMLEATKMHYHSNFADNRGHIDHSARVMRVITWNRSFKGMPNTVEGKDDFDNDEHETHATVLDKMLAWEKKLYDEVKAAEHMKIDYQRKVAALNKQKKHGTNPEALERAKAAVSHLHTRYIVDMQSLDSTVSEINHLRDDQLYPKLVQLVDGMATMWEAMHGHHDSQYNIAMQLKSLDILSVPNDTSEQHYRRTNQLCQVVREWHSQLQKLMTHQREYIQALNNWLRFNLIPIENSLKEKVSSPPKVFKPPIQPFLQAWHDQLEKIPDELAKTAIFSFSEALNSIWLLQQEELKLRERCEDLQRDYLRKMRAFDDWCQKNAMKTPAAAAAEGGDLETGEGPIQSDPVADKKLMLEQLKVRLDGESEAHRKLCEQVSQKSLRSLKTHLPVLCRSMSEFALSCAKMYQALGVVAQSRESIV >DRNTG_31131.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:182762:187722:-1 gene:DRNTG_31131 transcript:DRNTG_31131.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal ABC transporter 1 [Source:Projected from Arabidopsis thaliana (AT4G39850) UniProtKB/TrEMBL;Acc:F4JJ27] MSGKNIDADQRITHDVDKLTSDLSGLVTGMFKPSVDILWFTWRMKLLTGRRGVAILYAYMLLGLGFLRSVTPDFGDLASREQQLEGTFRFMHARLRTHAESIAFFGGGFREKEMIDSRFRDLVNHRKILLKKKWLFGIVDDFITKQLPHNVTWGLSLLYAMEHKGDRALTSTLGELAHALRFLASVVSQSFLAFGDILELNRKFLELSGGINRIFELEELLDAAQNEDLPSDVSLASEMDDIHAQDKISFSKVDIITPSQKLLARQLTCDVVQGESLLVTGPNGSGKSSVFRVLRGLWPVVSGTLTKPQQSIRQGAGLECGMFFVPQRPYTSLGTLRDQIIYPLSHEEAEQRLLALFESGNKSVGARLLDSHLKSILENVRLVYLLERYTNGWDATPNWEDVLSLGEQQRLGMARLFFHHPKFGILDECTNATSIEVEEHLYRLATEMGITVITSSQRPALIPFHCTELRLVDGEGKWELCSINSQQ >DRNTG_23904.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2028145:2030279:-1 gene:DRNTG_23904 transcript:DRNTG_23904.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENVVGLLKVRVLRGVNLVSRDSSGSDPYLMVTMGDQKLKTSVKKSNVNPEWNEDLTLSVAEPVDPIKLEVFDKDTFTRDDKMGEAEFSIQPLLDVVKMNLEDMPDGCLMSSVLPSTQNCLAVESPIIWKNGILTQDVILRLRNVESGEIELQLQWVNIPKFP >DRNTG_23904.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2028145:2031613:-1 gene:DRNTG_23904 transcript:DRNTG_23904.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLMGLLRVKVLRGVNLAYRDARGSDPYVVLRLGRQKLKTSVKKSNVNPEWNEDLTLSVAEPVDPIKLEVFDKDTFTRDDKMGEAEFSIQPLLDVVKMNLEDMPDGCLMSSVLPSTQNCLAVESPIIWKNGILTQDVILRLRNVESGEIELQLQWVNIPKFP >DRNTG_33006.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26207797:26209656:-1 gene:DRNTG_33006 transcript:DRNTG_33006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLCVCIYIYIANNTRCSTSRDPIPPSRRKVFDKMPPLETDAELHLVMYPFLAFGHINPFIQLARKLSAHPGIRITFITTTGTLHRITHLLSDSPAVSVHSIQLPHVDGLPPGIETTADATLAMAELLKRAVDLTQPQVTELLTLLRPHAIIYEFNHQWVPSIARPLGVKPIFFSIFSSFTNAYLVVPSRRSCSGDTSKPPPGFPATSSLSSVPKYQANDFAYIFKSFDGMPCVYDRVVSFPAVPEPAIGDLESHWEEWLERFPEDSSVILCSFGSEAFLDDYSIKQLLLGLEMTGLPFIVVLNFTKSGGHDEQTLKKKLPEGFAERVKGRGIVHTGWVRQQQLLRHRKVGLFVTHAGFSSLLEGIVYGCRLVMLPQRGDQFLNASLFAGDLGVGVVVERDEENGTFTKEGVRDAVNKMIMMGNEMHAREKERKLKEFLMNKEVQGMFMVNFVKKLRELLVPGVNN >DRNTG_07666.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23399118:23401611:1 gene:DRNTG_07666 transcript:DRNTG_07666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRSHLSRNLKELRFLFCQTSPASAFTREFVQKNYADLKRLNPKLPILIRECSGVEPQLWARYDMGVERCVRLDGLTDAQINEKLEGLAKAGVAL >DRNTG_20014.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18206043:18207046:-1 gene:DRNTG_20014 transcript:DRNTG_20014.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWEKLEVFKEKNLEIAGGSSPEWLLAWC >DRNTG_13612.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6193078:6193518:1 gene:DRNTG_13612 transcript:DRNTG_13612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAATVVIQHPGGRVERQYWPMCAAEVMRSNPGHYVALVTLCFSEDENKQDSSGVRLTRLRILKPKDTLLLGQVY >DRNTG_27413.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001396.1:250434:255906:1 gene:DRNTG_27413 transcript:DRNTG_27413.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIF subunit alpha [Source:Projected from Arabidopsis thaliana (AT4G12610) UniProtKB/Swiss-Prot;Acc:Q9SU25] MSFDLVLKPACEGCGSSSELYGSTCKHTTLCLPCGKSMAQNRVRCHLCGTPVTKLIREYNVRSSVNTDKAFYIGRFVTGLPPFSKKKSAENKWSLHRDTPQGREKYKNKPWILEDETGQHQFQGQLEGAQSATYYLLMLHGKEFTALPAGSWYNFNKIAQYKQLTLEEAEEKMSKRKHNATGYERWMMKTATNGAAAFGEARKAELMASGGSDGGRPKKVKSNDDGNHSDKGEEDEEQEEARKNRLGLNKKDIDDDEDNAKGGDLDLDDDDPEKGDDWEHEEIFTDDDEAVGNNPEEREDLAPEIPAPPEIKQDDEDEAEEEEGGLSKSGKELKKLLGKANGLNEPDGDDDDDDGDGNDETGSSPVLAPKLKDGPKDEPADNSPSKSAPNGSTKSTPPSSKSAKTKRKPGSDDAKSSNGAPSKKMKTENETRPTSEETPTKTSGTTKGSALSSRTGAAPAPSTAPVTEEEIRSVLLAMAPVTTQDLVAKFKARLKSQEDKSAFAEILRRISKIQKTNGHSYVVLREK >DRNTG_16250.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000803.1:6999:7706:-1 gene:DRNTG_16250 transcript:DRNTG_16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRLSFSPTLVTYPRGASPPPDHLSGPRHRRAQHLSSTSLALVTSARRAS >DRNTG_19376.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22289789:22292822:-1 gene:DRNTG_19376 transcript:DRNTG_19376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASPVRSSHGDGRELETVGFTCDGALLEDINFDELFMGFDEEDILPDLEVDPAEIFAEFSASGEEDSANTAPNPSASSELEVPVVQEVPEVISNSKVKDHHDVVKPAAGTTCKSNRKFSSSSSSSPTKGSQGKRKVKVDWTPELHRRFVQAVEQLGVDKAVPSRILEIMGIDCLTRHNIASHLQKYRSHRKHLLAREAEAASWSQRRQIYTGGGGGGGGGGGVARRPEMSPWLAPTMGFPPAPPVQLFRPLHVWGHPTMDQPMLHVWPRTPSPQPLLHPPPDPSFWHPHFPMNAMTQGTPCYPPQPIRFAAAAPALPGLYRPLNVIAPAGKQQSGSQTHPSKESIDAAIGDVLTKPWLPLPLGLKPPSLDSVLVELQRQGISKVPPTCG >DRNTG_08809.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28100585:28101896:-1 gene:DRNTG_08809 transcript:DRNTG_08809.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSEYKEQIHARPCDGCIRRRARWYCAADDAFLCQCCDASIHSANPLARRHERLRLKTGSLSAALKLHTPAWQHGITRKARTPRPKPAGAVVKSEPLVPDLETVSADENNTEEEQLLLYCVPVLDHSPSEKRPFVDCNNNYLHVDEDDAELTEFAANMERLLGPGMDEDVLCMEGMGFLGLKDESNHEIKMEIGDHDDQKMVKEDVDLARETLEMNLDCGSVLETTDDQKVNECLHGKRLILRLDYEKVMTAWASCNGLSPWGDGERPVINLNQCFPAEFIMGGVWGGGGGGEMGQVGGGDGGREARVTRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRVSSY >DRNTG_11337.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23668752:23671078:-1 gene:DRNTG_11337 transcript:DRNTG_11337.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPATRDAVEEVVDEEESMEHTLLVVREVTVYKIPPRSTSGGYKCGEWLQSDRIWTGRLRVVSRRDRCEIRLEDPSSGELFAACFVLPGNRDAAVEPALDSSRYFVLRIEDGRGKHAFIGLGFNERNEAFDFNVALSDHEKHVRRELDKDAAAGDLAAEETHIDIHPAVNHRLKEGETIRINVKNKPSSGTGMLSAAGLSGGTISSDKANKFLAPPPSGGGKIRSPLPPPPNDPAVKRMTSSPGVGLKDVKEAVRRNADPLSDLSPLERTLPSSTGSGSKTSTAAGWAAF >DRNTG_27612.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28459846:28463936:-1 gene:DRNTG_27612 transcript:DRNTG_27612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTLINLLKSAKGLSKNDHGSIEDMKSRKKVSKKAAKLKLKKRKLINEAGLYKSTKVNEGFSGNNNQGIIDGDKPTSQEPGDRKGMLSSRKKQRRDEANKTDKTAGEFPHKCTDALAGNK >DRNTG_25942.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001341.1:39681:42491:1 gene:DRNTG_25942 transcript:DRNTG_25942.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQDVLEFVKKCLICQRAKSRSYTSKRAVTTAAGSQRIFEEIAMDFIVALPNSKGFTVIMVVVDRLSKFGHFIPLKGDYNSETVAMVFIQNIIKLHGVPISIVSDRDRIFLSRFWKSLFKAMGTTLSMSSSYHPQSDDQTEALHKCLESYLKCFVVENPKNWVELLPWAQFWYNTSFHCSAPMTPFRIVYGRDPPTLWSYHANDHDPPDIAQLLQQRDQVLKQLKQNLLKAQV >DRNTG_25942.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001341.1:39681:42622:1 gene:DRNTG_25942 transcript:DRNTG_25942.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQDVLEFVKKCLICQRAKSRSYTSKRAVTTAAGSQRIFEEIAMDFIVALPNSKGFTVIMVVVDRLSKFGHFIPLKGDYNSETVAMVFIQNIIKLHGVPISIVSDRDRIFLSRFWKSLFKAMGTTLSMSSSYHPQSDDQTEALHKCLESYLKCFVVENPKNWVELLPWAQFWYNTSFHCSAPMTPFRIVYGRDPPTLWSYHANDHDPPDIAQLLQQRDQVLKQLKQNLLKAQV >DRNTG_25942.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001341.1:41908:42491:1 gene:DRNTG_25942 transcript:DRNTG_25942.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGNTGKLTGVLGRPKRLNEERHVQRSKGADVVESAEMDASPPVIRRSNRRRHKSSRLEGYHTLGIVAAGEDRIGCGNESIMPRSSEEGNDWLEYDRSMLTMGVNIKEGERGREEAAKNIEEA >DRNTG_25942.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001341.1:41908:42622:1 gene:DRNTG_25942 transcript:DRNTG_25942.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGNTGKLTGVLGRPKRLNEERHVQRSKGADVVESAEMDASPPVIRRSNRRRHKSSRLEGYHTLGIVAAGEDRIGCGNESIMPRSSEEGNDWLEYDRSMLTMGVNIKEGERGREEAAKNIEEA >DRNTG_30813.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18425996:18429312:1 gene:DRNTG_30813 transcript:DRNTG_30813.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEGIELRESRRSLSPRDMILHKLEDLDDDGKPKRTGTMWTASAHIITAVIGSGVLSLAWAMSQLGWVAGPVTLVLFSIITFFTSSLLTDCYRTSDPISGKRNYTYNDAVKSILGGTQVWMCGLCQYVNLCGVAIGYTITASISAAAISKSNCYHGKGHKADCSVSDSFYMIGFGIIQFFLSQLPNFHDLWWLSIVAAVMSFAYSGIAVGLSFAKVISG >DRNTG_30813.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18425996:18430349:1 gene:DRNTG_30813 transcript:DRNTG_30813.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEGIELRESRRSLSPRDMILHKLEDLDDDGKPKRTGTMWTASAHIITAVIGSGVLSLAWAMSQLGWVAGPVTLVLFSIITFFTSSLLTDCYRTSDPISGKRNYTYNDAVKSILGGTQVWMCGLCQYVNLCGVAIGYTITASISAAAISKSNCYHGKGHKADCSVSDSFYMIGFGIIQFFLSQLPNFHDLWWLSIVAAVMSFAYSGIAVGLSFAKVISGNTGKTSLTGQEVGVDISSAQKIWETFQALGDIAFAYSYSIILIEIQDTLKSPPAENKVMKKASFIGVSTTTIFYILSGCLGYAAFGNHSPGNILTGFGFYEPYWLVDLANFCIIVHLVGAYQVFAQPVFAAVEKWVIKRNPNLKILNKELTVIHVKSFKFNVNMFRLIWRSIFVALATLLAILMPFFNDILGFLGAASFWPLTVYFPTEMYIAQKNIEKFSGKWILFKTLSFLCFFVSLAAACGSIEGVIDALHHYTPFQTKS >DRNTG_06833.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:8336315:8338313:1 gene:DRNTG_06833 transcript:DRNTG_06833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGSTEEVPSALGILKKVLRKMKRARRRHRKCSKAVGVVREPKKLDELLLEKAQGRGLTTMNNLVILACLWISIDELSSPSEDTGHAAAPVSWACECPCPCGISTWACETLSDSSRVDREATGVCGCPWVCICPCEAFLWRHTGVGNFRTPVRMYRMPRGIMAPRLKKQADKRTCESSPESEGMRFSRQEHQARFERLSILRELAFRELTLEVLSSFEFDKSYSIFDSLNTVQFRALGHH >DRNTG_16361.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7704111:7706395:-1 gene:DRNTG_16361 transcript:DRNTG_16361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHVKKQDGKRPRKPSPELAHIAFPDPEHQARFERLSGLRVFGRQFAMSIYEFSIGMGLYDEAYIVTEEYGHLPTDFPGI >DRNTG_27633.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18595338:18597703:-1 gene:DRNTG_27633 transcript:DRNTG_27633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRRIKLGSQGLEVSAQGLGCMGMSSFYGPPKADEDMIPLIRHAISSGITFLDSSDIYGPFTNEILLSKALSEGVRDKVELATKFGISFADGKREIRGDPAYVRAACEASLKRLEVDCIDLYYQHRVDTRVPIEVTMGELKKLYEEGKIKYIGLSEVCASTIRRAHAVHPITAVQLEWSLWTRDAEEEIIPTCRELGIGIVAYSPLGRGFFSSGPKIVDGLNERDFRRMLPRFQPENLTKNGIIFERVNEMATKKGCTPSQLALAWVHHQGNDVCPIPGTTKIENLNQNIGALSVKLTPEEMSELESFASTDAVGGERYGQALGTWRDSETPPLSSWKSE >DRNTG_04182.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:570664:573275:1 gene:DRNTG_04182 transcript:DRNTG_04182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYSNPCPIIVFFVGFLFLAPISPAFSSSSLVNTTLRPGEELLKFRRIRAHLKRLNKPSLKTIQSPDGDMIDCVPSHLQPAFDHPMLKGQKPLDPPERPKGNKNPSDIMEESFQRWRMSGESCPEGTVPIKRTKEEDILRASSVRRFGRKPATSLRRDSTSSGHEHAVGYVMGDQYYGAKASLNVWAPKVTSPSEFSLSQIWVISGSFGDDLNTIEAGWQISPQLYGDDCPRFFTYWTTDAYQATGCYNLLCSGFVQTNNKIAIGAAISPTSSYTGGQFDISLLVWKDPKHGNWWLEFGSGLLVGYWPSFLFSHLAEHASMVQFGGEIVNTRTSGFHTSTQMGSGHFAGEGFGRASYFRNMQVVDWDNSLVPLTNLRVLADHPNCYDIQGGINGVWGNYFYYGGPGR >DRNTG_04182.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:572912:573275:1 gene:DRNTG_04182 transcript:DRNTG_04182.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFGGEIVNTRTSGFHTSTQMGSGHFAGEGFGRASYFRNMQVVDWDNSLVPLTNLRVLADHPNCYDIQGGINGVWGNYFYYGGPGR >DRNTG_15672.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28842679:28844689:1 gene:DRNTG_15672 transcript:DRNTG_15672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALQRLRSLSICISRHRLLSTRRPNTGEDEWDDAWETAWLPDDLSGKSPRAPWESDVHFPSPTAVLPSDVDPDTKAFVAEMDERWAERREAKKHPQSQTQTQPAAGRDRKDVDEYRLRKQRIHSGLWMKAIERMEELKLGDSGAGDDIDRLLDSCSEIFDYGNLDLNDDAKIPAASELKTKPDGWETTTKTQEGNIWEMTQREEDVLIQEFERRLAFSKFQVASFIKTHIFSRRRPIDGWSYMIEELGPNARKGKGSVQRMVSLADPSTQPYKEEKPAIAPKLPSYKGR >DRNTG_06808.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3810507:3811558:1 gene:DRNTG_06808 transcript:DRNTG_06808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSATLSALAGSLLSPLHAVSLEKLIGYLWDYLSSAPSPSSSDEAEKRQQLNDSLEALEDAKLNVELMQSRIMKLFQKHKQNKRVVGLHNKLKDVGYDIQDLESEMKYMQMERKVQEINKAEEEEEAAAADSTSSRFSLKRSFPFGLPIVSFSKKKRRLPTSSQSSSLSTYEDIVRQVTSILKQIKSIESKLKDETTLEDLFDQLIMNKVYDPREHHFTQNERVTTSSTNERKIYGRNNEIQWLIEFLKEPNVNGNVCVAPIVGLGGMGKTTLAQFVFNH >DRNTG_07663.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23442358:23445397:-1 gene:DRNTG_07663 transcript:DRNTG_07663.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAPAGVNQEGEEKPTSEEKNAEGSTSQPQAGRRRVPSAPTPEFPANAFDFSSMAGLLNDPSIKELAQQIAKDPVFTHMAEQLQKTVQGVGQDGTPQLDTQRYISTMQQVMQNPQFMTMAERLGTALMQDPGLSSMLESLTNPSRKEQLEEHMTRIKKDPSLKPILDEIESGGPAAMMKYWNDPDILQKLGHAMGIGTSGEGEASVERSVAEEVQEESGYEDESIVHHTASIGDVEGLKNALAAGADKNEEDSEGRTALHFACGYGEVKCAQVLLEAGALVDALDKNKNTALHYAAGYGRKECVELLLENGAAVTLQNLDGKTPVDVAKLNNQEEVLKLLEKFAFL >DRNTG_24382.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16938387:16943037:-1 gene:DRNTG_24382 transcript:DRNTG_24382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLVFRPRRRSASGRAASPAPIRFPPGNFKHSLTLFSKSFSSFPRGTCSLSVSRPYSALDGIYRPIGAAFPNNPTRRQRLVVRQGPGTTGLSPSPAPLSRGLGPGPSLRTLLQTTIRAA >DRNTG_24382.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16938387:16939481:-1 gene:DRNTG_24382 transcript:DRNTG_24382.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLVFRPLFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGAAGSGHDGALTLSGTPFQGTWARSVAEDASADYNSGGVI >DRNTG_24382.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16938387:16943005:-1 gene:DRNTG_24382 transcript:DRNTG_24382.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLVFRPYTQVRRTICTSVSLRALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGAAGSGHDGALTLSGTPFQGTWARSVAEDASADYNSGGVI >DRNTG_24382.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16938387:16939481:-1 gene:DRNTG_24382 transcript:DRNTG_24382.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLEPFIEDRGRSAVHPSRGIPPFSFSLTLFSKSFSSFPRGTCSLSVSRPYSALDGIYRPIGAAFPNNPTRRQRLVVRQGPGTTGLSPSPAPLSRGLGPGPSLRTLLQTTIRAA >DRNTG_32630.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1102531:1105256:-1 gene:DRNTG_32630 transcript:DRNTG_32630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESIGRAISAVLRPTPTNARAAPNLLRPARRSVAFPVIRAMSSLSSGASFEESVKKTVKDHPVVVYSKTWCPYSMEVKALLDRLGVEPHVIELDELGSQGSELQDALGTVTGQFTVPNVFIGGKHIGGCTDTFDLHRKGELTNLLGELNLSNENSDQP >DRNTG_25317.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20352864:20355722:1 gene:DRNTG_25317 transcript:DRNTG_25317.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKADLCSVMHAKMLPGKCLRFNHSGSRIFSNLSFRNKAPTSLPCFSLRNEKQHSKYNHKVLGMSARGATKLNAAVIPLEAGETREIPSSTYKTTVVSVETLVGPKGGLGEICHIVLDHGGSFSFVEGQYLEVHFQLIKRYFSIASCRDGDVFDGKTLSLCVRRGELFADNVSNYLCNVKAGDVVEISGPLGKRMVFPEPQEGKHIMVATATGIAPFRSNTQRLFLDPHVPPKHKFQGLAWLIDGADNYNSLLYNKEFTNILENNPDHFMYQRALNNNSVADLIYENGDEIFTLLDGGAYIYFAGSHTMMPGIRETFQKIADERGVDWPKKLTELIKNQHWRVEVY >DRNTG_34014.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31614711:31621102:-1 gene:DRNTG_34014 transcript:DRNTG_34014.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEGLDEKAISWIKQGSEVEQTARPPLIEKFPLDPAPNSPLVCKTNSFLSPKRLPPVKFYSGLLVPHANISLDSEDEQDESVASVPEGFYTDHYDTLGEESLISSDSELFERPKSRISNDEMNTKTSHESVKKSDGSSKRTLVRGQSKENLMVDVEARFMRTNVVNSIAADDTLVPGHLRTEFMHLKFEELQTPPSAPPILRKRRGHCLDVEDDTGVVYECFDVSSDIAGETQNTNEPLPRCDPGDGEAQIPAWKNSMVDEIPCFTTSVQSAWQTFVAYDACFRLCLNAWARNCMEAPEFLRDECVVLRNAFGLQKYLLQPKGQIQGSGSLLVDSKEGVCSKGRKVIKQIEVEVKKVRIIPRRRKLRSTYSQRAIYMQMGAEYVRHVSLMLKNQMNTLKLASYQATPEENLSCFLQLKSSSGEAVNDSPTCLIPGSGDSHVFCPESNGDCLSLEVQDNNKMNLGQANIDISSLADSEQSEIVRWWPLHHGDDGCIGKVQLIISICSISDRMNSLKGGLVVETLIYDLVLEAALRALHFNTKNLHICGIWEWLLHEFSDYYGVSDAYTKLRYLSCVMAIATPTKDCLDLIYNLLLPVIKARSDKSLNRQERSIFLDCEEQIKALLATTFENYKSLDESSPEGLTDMLFPIQDTAAPALVPAVQIFTLLYDVLSQEAQSVLRNYLQNAAAKRCRRHMVETDEFMSNNGDGFLTDPMTISTAYLKMKSLCANISNEIQADMKIHNEHILPSSIDLPNIAASIYSSQLNKRLRGFLAACPPCKPSPHVSELLIATSEFERHLDSWKIMPVIGGVVSRDLFHNYIMVWIQDTQLGLLNLCKMEKVPCCGILTNYSTSPFVETMYDHIRDDLNEYEVVINRWPQYLLSLENVVADVERAIMKAIEKQYNDILIPLRDGIPKMLEKQVQKFTRRQSTQVYAVPNQLGTFLNTVKRMLDVLHTRVEEKLKSWASYLTLVRDGNPVFGEQMNGIVVLLRKKYKKYVEAIVEKLISNTQTNRTTRLKRILEDTKEAEGEAEIRERMQALSMQLTDSIQNLHGVFSSRIFVAICRQFWDRMGQIVLKFLESRKENRNWYRGSEYALGVLDDLFASELQTLLGNSIQDKDLDPPRSVIEARSILC >DRNTG_34014.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31614711:31621102:-1 gene:DRNTG_34014 transcript:DRNTG_34014.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEGLDEKAISWIKQGSEVEQTARPPLIEKFPLDPAPNSPLVCKTNSFLSPKRLPPVKFYSGLLVPHANISLDSEDEQDESVASVPEGFYTDHYDTLGEESLISSDSELFERPKSRISNDEMNTKTSHESVKKSDGSSKRTLVRGQSKENLMVDVEARFMRTNGHLRTEFMHLKFEELQTPPSAPPILRKRRGHCLDVEDDTGVVYECFDVSSDIAGETQNTNEPLPRCDPGDGEAQIPAWKNSMVDEIPCFTTSVQSAWQTFVAYDACFRLCLNAWARNCMEAPEFLRDECVVLRNAFGLQKYLLQPKGQIQGSGSLLVDSKEGVCSKGRKVIKQIEVEVKKVRIIPRRRKLRSTYSQRAIYMQMGAEYVRHVSLMLKNQMNTLKLASYQATPEENLSCFLQLKSSSGEAVNDSPTCLIPGSGDSHVFCPESNGDCLSLEVQDNNKMNLGQANIDISSLADSEQSEIVRWWPLHHGDDGCIGKVQLIISICSISDRMNSLKGGLVVETLIYDLVLEAALRALHFNTKNLHICGIWEWLLHEFSDYYGVSDAYTKLRYLSCVMAIATPTKDCLDLIYNLLLPVIKARSDKSLNRQERSIFLDCEEQIKALLATTFENYKSLDESSPEGLTDMLFPIQDTAAPALVPAVQIFTLLYDVLSQEAQSVLRNYLQNAAAKRCRRHMVETDEFMSNNGDGFLTDPMTISTAYLKMKSLCANISNEIQADMKIHNEHILPSSIDLPNIAASIYSSQLNKRLRGFLAACPPCKPSPHVSELLIATSEFERHLDSWKIMPVIGGVVSRDLFHNYIMVWIQDTQLGLLNLCKMEKVPCCGILTNYSTSPFVETMYDHIRDDLNEYEVVINRWPQYLLSLENVVADVERAIMKAIEKQYNDILIPLRDGIPKMLEKQVQKFTRRQSTQVYAVPNQLGTFLNTVKRMLDVLHTRVEEKLKSWASYLTLVRDGNPVFGEQMNGIVVLLRKKYKKYVEAIVEKLISNTQTNRTTRLKRILEDTKEAEGEAEIRERMQALSMQLTDSIQNLHGVFSSRIFVAICRQFWDRMGQIVLKFLESRKENRNWYRGSEYALGVLDDLFASELQTLLGNSIQDKDLDPPRSVIEARSILC >DRNTG_34014.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31614711:31621102:-1 gene:DRNTG_34014 transcript:DRNTG_34014.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEGLDEKAISWIKQGSEVEQTARPPLIEKFPLDPAPNSPLVCKTNSFLSPKRLPPVKFYSGLLVPHANISLDSEDEQDESVASVPEGFYTDHYDTLGEESLISSDSELFERPKSRISNDEMNTKTSHESVKKSDGSSKRTLVRGQSKENLMVDVEARFMRTNVVNSIAADDTLVPGHLRTEFMHLKFEELQTPPSAPPILRKRRGHCLDVEADDTGVVYECFDVSSDIAGETQNTNEPLPRCDPGDGEAQIPAWKNSMVDEIPCFTTSVQSAWQTFVAYDACFRLCLNAWARNCMEAPEFLRDECVVLRNAFGLQKYLLQPKGQIQGSGSLLVDSKEGVCSKGRKVIKQIEVEVKKVRIIPRRRKLRSTYSQRAIYMQMGAEYVRHVSLMLKNQMNTLKLASYQATPEENLSCFLQLKSSSGEAVNDSPTCLIPGSGDSHVFCPESNGDCLSLEVQDNNKMNLGQANIDISSLADSEQSEIVRWWPLHHGDDGCIGKVQLIISICSISDRMNSLKGGLVVETLIYDLVLEAALRALHFNTKNLHICGIWEWLLHEFSDYYGVSDAYTKLRYLSCVMAIATPTKDCLDLIYNLLLPVIKARSDKSLNRQERSIFLDCEEQIKALLATTFENYKSLDESSPEGLTDMLFPIQDTAAPALVPAVQIFTLLYDVLSQEAQSVLRNYLQNAAAKRCRRHMVETDEFMSNNGDGFLTDPMTISTAYLKMKSLCANISNEIQADMKIHNEHILPSSIDLPNIAASIYSSQLNKRLRGFLAACPPCKPSPHVSELLIATSEFERHLDSWKIMPVIGGVVSRDLFHNYIMVWIQDTQLGLLNLCKMEKVPCCGILTNYSTSPFVETMYDHIRDDLNEYEVVINRWPQYLLSLENVVADVERAIMKAIEKQYNDILIPLRDGIPKMLEKQVQKFTRRQSTQVYAVPNQLGTFLNTVKRMLDVLHTRVEEKLKSWASYLTLVRDGNPVFGEQMNGIVVLLRKKYKKYVEAIVEKLISNTQTNRTTRLKRILEDTKEAEGEAEIRERMQALSMQLTDSIQNLHGVFSSRIFVAICRQFWDRMGQIVLKFLESRKENRNWYRGSEYALGVLDDLFASELQTLLGNSIQDKDLDPPRSVIEARSILC >DRNTG_34014.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31616102:31621102:-1 gene:DRNTG_34014 transcript:DRNTG_34014.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEGLDEKAISWIKQGSEVEQTARPPLIEKFPLDPAPNSPLVCKTNSFLSPKRLPPVKFYSGLLVPHANISLDSEDEQDESVASVPEGFYTDHYDTLGEESLISSDSELFERPKSRISNDEMNTKTSHESVKKSDGSSKRTLVRGQSKENLMVDVEARFMRTNVVNSIAADDTLVPQGHLRTEFMHLKFEELQTPPSAPPILRKRRGHCLDVEDDTGVVYECFDVSSDIAGETQNTNEPLPRCDPGDGEAQIPAWKNSMVDEIPCFTTSVQSAWQTFVAYDACFRLCLNAWARNCMEAPEFLRDECVVLRNAFGLQKYLLQPKGQIQGSGSLLVDSKEGVCSKGRKVIKQIEVEVKKVRIIPRRRKLRSTYSQRAIYMQMGAEYVRHVSLMLKNQMNTLKLASYQATPEENLSCFLQLKSSSGEAVNDSPTCLIPGSGDSHVFCPESNGDCLSLEVQDNNKMNLGQANIDISSLADSEQSEIVRWWPLHHGDDGCIGKVQLIISICSISDRMNSLKGGLVVETLIYDLVLEAALRALHFNTKNLHICGIWEWLLHEFSDYYGVSDAYTKLRYLSCVMAIATPTKDCLDLIYNLLLPVIKARSDKSLNRQERSIFLDCEEQIKALLATTFENYKSLDESSPEGLTDMLFPIQDTAAPALVPAVQIFTLLYDVLSQEAQSVLRNYLQNAAAKRCRRHMVETDEFMSNNGDGFLTDPMTISTAYLKMKSLCANISNEIQADMKIHNEHILPSSIDLPNIAASIYSSQLNKRLRGFLAACPPCKPSPHVSELLIATSEFERHLDSWKIMPVIGGVVSRDLFHNYIMVWIQDTQLGLLNLCKMEKVPCCGILTNYSTSPFVETMYDHIRDDLNEYEVVINRWPQYLLSLEN >DRNTG_34014.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31614711:31621102:-1 gene:DRNTG_34014 transcript:DRNTG_34014.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKFEELQTPPSAPPILRKRRGHCLDVEDDTGVVYECFDVSSDIAGETQNTNEPLPRCDPGDGEAQIPAWKNSMVDEIPCFTTSVQSAWQTFVAYDACFRLCLNAWARNCMEAPEFLRDECVVLRNAFGLQKYLLQPKGQIQGSGSLLVDSKEGVCSKGRKVIKQIEVEVKKVRIIPRRRKLRSTYSQRAIYMQMGAEYVRHVSLMLKNQMNTLKLASYQATPEENLSCFLQLKSSSGEAVNDSPTCLIPGSGDSHVFCPESNGDCLSLEVQDNNKMNLGQANIDISSLADSEQSEIVRWWPLHHGDDGCIGKVQLIISICSISDRMNSLKGGLVVETLIYDLVLEAALRALHFNTKNLHICGIWEWLLHEFSDYYGVSDAYTKLRYLSCVMAIATPTKDCLDLIYNLLLPVIKARSDKSLNRQERSIFLDCEEQIKALLATTFENYKSLDESSPEGLTDMLFPIQDTAAPALVPAVQIFTLLYDVLSQEAQSVLRNYLQNAAAKRCRRHMVETDEFMSNNGDGFLTDPMTISTAYLKMKSLCANISNEIQADMKIHNEHILPSSIDLPNIAASIYSSQLNKRLRGFLAACPPCKPSPHVSELLIATSEFERHLDSWKIMPVIGGVVSRDLFHNYIMVWIQDTQLGLLNLCKMEKVPCCGILTNYSTSPFVETMYDHIRDDLNEYEVVINRWPQYLLSLENVVADVERAIMKAIEKQYNDILIPLRDGIPKMLEKQVQKFTRRQSTQVYAVPNQLGTFLNTVKRMLDVLHTRVEEKLKSWASYLTLVRDGNPVFGEQMNGIVVLLRKKYKKYVEAIVEKLISNTQTNRTTRLKRILEDTKEAEGEAEIRERMQALSMQLTDSIQNLHGVFSSRIFVAICRQFWDRMGQIVLKFLESRKENRNWYRGSEYALGVLDDLFASELQTLLGNSIQDKDLDPPRSVIEARSILC >DRNTG_21666.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:11139463:11144053:1 gene:DRNTG_21666 transcript:DRNTG_21666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSAHKQVSVYLYIPNIIGYIRVIMNIVAFALSSSNKPLFATLYFVSFVCDGLDGWFARKFNQVSTFGAVLDMVTDRVSTACLLAALSQIYRPGLVFLALLGLDIASHWLQMYSTFLSGKSSHKDVKDTGNWLLRAYYGHRLFMAFCCVACEVLYIALFLLADSHSKSIITVSVNALKHSLLLSLPFALALFGCAIKQVVNVIQMKTAADVCVVYDMRKKERP >DRNTG_08733.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5030870:5041293:-1 gene:DRNTG_08733 transcript:DRNTG_08733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMRDVDPAFQGAGQKAGLEIWRIENFRPVPVPKASYGKFFTGDSYVVLKTTALKSGAFRHDIHYWLGKDTSQDEAGTAAIKTVELDVALGGRAVQYREMQGHETEKFLSYFKPCIIPQQGGVASGFKHAEINEHEHETRLFVCKGKHVVHVKEVPFSRSSLSHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTYHEGKCEVAAVEDGKLMADAEAGEFWGLFGGFAPLPRKAASEDDKKIDTFSPKLFCIEKGKLSPVEAASLTRELLETNKCYLLDCGVEAFVWTGRNTSLEERKSASAAAEELLHGSDRPKAHIIRLIEGFETVMFRSKFDAWPQTTDVTVSEDGRGKVAALLKRQGLNVKGLMKAAPVKEEPQPYIDCTGNLQVWRVNGQEKTLLSSSDQSKFYSGDCYIFQYTYPGEDNEEYLVGTWFGKKSIEEERSAAISLANKMAESLKLQAVQARLYEGKEPIQFFSIFQSFIVYKGGVSSGYKNFIAENGIADDTYTEDGLALFRVQGSGPDNMQAIQVEPVASSLNSSYCFILHSGDTVFTWSGSLTTSDDQELIERQLDLLKPNVQSKPQKEGTETELFWSLLGGKSEYPSQKIGRESENDPHLFSCTFFKGNLQGNDAII >DRNTG_08733.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5030870:5041293:-1 gene:DRNTG_08733 transcript:DRNTG_08733.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMRDVDPAFQGAGQKAGLEIWRIENFRPVPVPKASYGKFFTGDSYVVLKTTALKSGAFRHDIHYWLGKDTSQDEAGTAAIKTVELDVALGGRAVQYREMQGHETEKFLSYFKPCIIPQQGGVASGFKHAEINEHEHETRLFVCKGKHVVHVKEVPFSRSSLSHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTYHEGKCEVAAVEDGKLMADAEAGEFWGLFGGFAPLPRKAASEDDKKIDTFSPKLFCIEKGKLSPVEAASLTRELLETNKCYLLDCGVEAFVWTGRNTSLEERKSASAAAEELLHGSDRPKAHIIRLIEGFETVMFRSKFDAWPQTTDVTVSEDGRGKVAALLKRQGLNVKGLMKAAPVKEEPQPYIDCTGNLQVWRVNGQEKTLLSSSDQSKFYSGDCYIFQYTYPGEDNEEYLVGTWFGKKSIEEERSAAISLANKMAESLKLQAVQARLYEGKEPIQFFSIFQSFIVYKGGVSSGYKNFIAENGIADDTYTEDGLALFRVQGSGPDNMQAIQVEPVASSLNSSYCFILHSGDTVFTWSGSLTTSDDQELIERQLDLLKPNVQSKPQKEGTETELFWSLLGGKSEYPSQKIGRESENDPHLFSCTFFKGNLKVTEIFSFTQDDLMTEDVFILDCQSDIFVWVGQQVDTKTKSLALTIGEKFLEHDFLLEKLAKETSIFIVMEGREPQFFTRFFTWDSAKSLMHGNSFQRKLTIMKGGVAPTVDKPKRRAPASYGGRSSVPTDKSQRSRSMSFSPDRVRVRGRSPAFNALAATFENANTRNLSTPPPVVRKLYPKSGTPDSATAPRSAAIAALTSSFETTKVNLIPKSTKVSPEANKVSSETNAKESPKNNRIEVIQEDTKEDEAEDDDGLPTFPYERLTTSSSDPVTEIDVTKRESYLSSSEFKEKFGMTKDAFYKLPKWKQNKLKMGLLLF >DRNTG_08733.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5030870:5041293:-1 gene:DRNTG_08733 transcript:DRNTG_08733.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVGGPKLKWADSPSTTTQANMAVSMRDVDPAFQGAGQKAGLEIWRIENFRPVPVPKASYGKFFTGDSYVVLKTTALKSGAFRHDIHYWLGKDTSQDEAGTAAIKTVELDVALGGRAVQYREMQGHETEKFLSYFKPCIIPQQGGVASGFKHAEINEHEHETRLFVCKGKHVVHVKEVPFSRSSLSHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTYHEGKCEVAAVEDGKLMADAEAGEFWGLFGGFAPLPRKAASEDDKKIDTFSPKLFCIEKGKLSPVEAASLTRELLETNKCYLLDCGVEAFVWTGRNTSLEERKSASAAAEELLHGSDRPKAHIIRLIEGFETVMFRSKFDAWPQTTDVTVSEDGRGKVAALLKRQGLNVKGLMKAAPVKEEPQPYIDCTGNLQVWRVNGQEKTLLSSSDQSKFYSGDCYIFQYTYPGEDNEEYLVGTWFGKKSIEEERSAAISLANKMAESLKLQAVQARLYEGKEPIQFFSIFQSFIVYKGGVSSGYKNFIAENGIADDTYTEDGLALFRVQGSGPDNMQAIQVEPVASSLNSSYCFILHSGDTVFTWSGSLTTSDDQELIERQLDLLKPNVQSKPQKEGTETELFWSLLGGKSEYPSQKIGRESENDPHLFSCTFFKGNLKVTEIFSFTQDDLMTEDVFILDCQSDIFVWVGQQVDTKTKSLALTIGEKFLEHDFLLEKLAKETSIFIVMEGREPQFFTRFFTWDSAKSLMHGNSFQRKLTIMKGGVAPTVDKPKRRAPASYGGRSSVPTDKSQRSRSMSFSPDRVRVRGRSPAFNALAATFENANTRNLSTPPPVVRKLYPKSGTPDSATAPRSAAIAALTSSFETTKVNLIPKSTKVSPEANKVSSETNAKESPKNNRIEVIQEDTKEDEAEDDDGLPTFPYERLTTSSSDPVTEIDVTKRESYLSSSEFKEKFGMTKDAFYKLPKWKQNKLKMGLLLF >DRNTG_33518.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:781510:782253:1 gene:DRNTG_33518 transcript:DRNTG_33518.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHYPDYSSISGLTRSGSINIETWWSIYIRPRSINRKYIQISLSKSVSSKLVSNTSPELGMPPCIHPNIQAPKVSPSITQSPPTSQPPLTPHAEASTHVSEGQHEVTEDQSNESNSTGPPSFIAPDSVVIDYEVKKAIHDLVQGHYKEPWTG >DRNTG_29845.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23149489:23156928:-1 gene:DRNTG_29845 transcript:DRNTG_29845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHATTNALSLDEQQSRSGKRKRTSKGSASKSTEVTPTDHSRKKRSKSGSATKFRGKATEETEDEDEDNEGEDSENGFPDEEEEHNHSENEGKEIESEGDEEEGNDHDDDGDNDSGKDMASMKKCSNKGGSAASEKSKTQTPSNKISLPTSPKTTTKTPPSKRSKSEENKGAGAKVFARKKKNADTPKKPTPKSAPKEKSSGKKAAKTKQQPEEVKEIGPSEKEIRESICEILKEVDFNTATFTDILKQLGAHYNTDLTSMKKSIKLMIQEELSKLADEAEEDDDEDDEDDDDEDETPEPKPKRKAVKA >DRNTG_07785.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1173112:1175273:-1 gene:DRNTG_07785 transcript:DRNTG_07785.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPILGMGTAAYPIPQPELVEMAIFEAMKLGYRHFDTASLYQSEQPLGQAISRAIRSGIIKDRAELFITTKLSVADTYPGAVVPALRKSLSELSIEYVDLYLIHAPMRVRGEKRYVFTKEDVMPFDSKGTWEGMEQCYELGLAKSIGVSNFPIDKLKLLLSHAQIPPSVNQVEMHPLWQQQELIDFCQKNYIHVSAYSPLGGIGSFWGNREVLHLKEIEEIARTKEKTVAQICLRWALEQGVSVLPKSFNKERLEENKDIFGWRLSEDEVQIISLIPQLVGDDASHGLARPISQDINV >DRNTG_07785.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1173112:1175273:-1 gene:DRNTG_07785 transcript:DRNTG_07785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEIILSSGGKMPILGMGTAAYPIPQPELVEMAIFEAMKLGYRHFDTASLYQSEQPLGQAISRAIRSGIIKDRAELFITTKLSVADTYPGAVVPALRKSLSELSIEYVDLYLIHAPMRVRGEKRYVFTKEDVMPFDSKGTWEGMEQCYELGLAKSIGVSNFPIDKLKLLLSHAQIPPSVNQVEMHPLWQQQELIDFCQKNYIHVSAYSPLGGIGSFWGNREVLHLKEIEEIARTKEKTVAQICLRWALEQGVSVLPKSFNKERLEENKDIFGWRLSEDEVQIISLIPQLVGDDASHGLARPISQDINV >DRNTG_07785.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1173112:1175273:-1 gene:DRNTG_07785 transcript:DRNTG_07785.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEIILSSGGKMPILGMGTAAYPIPQPELVEMAIFEAMKLGYRHFDTASLYQSEQPLGQAISRAIRSGIIKDRAELFITTKLSVADTYPGAVVPALRKSLSELSIEYVDLYLIHAPMRVRGEKRYVFTKEDVMPFDSKGTWEGMEQCYELGLAKSIGVSNFPIDKLKLLLSHAQIPPSVNQVEMHPLWQQQELIDFCQKNYIHVSAYSPLGGIGSFWGNREVLHLKEIEEIARTKEKTVAQICLRWALEQGVSVLPKSFNKERLEENKDIFGWRLSEDEVQIISLIPQLVGDDASHGLARPISQDINV >DRNTG_07785.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1173112:1175273:-1 gene:DRNTG_07785 transcript:DRNTG_07785.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEIILSSGGKMPILGMGTAAYPIPQPELVEMAIFEAMKLGYRHFDTASLYQSEQPLGQAISRAIRSGIIKDRAELFITTKLSVADTYPGAVVPALRKSLSELSIEYVDLYLIHAPMRVRGEKRYVFTKEDVMPFDSKGTWEGMEQCYELGLAKSIGVSNFPIDKLKLLLSHAQIPPSVNQVEMHPLWQQQELIDFCQKNYIHVSAYSPLGGIGSFWGNREVLHLKEIEEIARTKEKTVAQICLRWALEQGVSVLPKSFNKERLEENKDIFGWRLSEDEVQIISLIPQLVGDDASHGLARPISQDINV >DRNTG_07785.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1173112:1175273:-1 gene:DRNTG_07785 transcript:DRNTG_07785.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEIILSSGGKMPILGMGTAAYPIPQPELVEMAIFEAMKLGYRHFDTASLYQSEQPLGQAISRAIRSGIIKDRAELFITTKLSVADTYPGAVVPALRKSLSELSIEYVDLYLIHAPMRVRGEKRYVFTKEDVMPFDSKGTWEGMEQCYELGLAKSIGVSNFPIDKLKLLLSHAQIPPSVNQVEMHPLWQQQELIDFCQKNYIHVSAYSPLGGIGSFWGNREVLHLKEIEEIARTKEKTVAQICLRWALEQGVSVLPKSFNKERLEENKDIFGWRLSEDEVQIISLIPQLVGDDASHGLARPISQDINV >DRNTG_04981.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4108287:4110803:1 gene:DRNTG_04981 transcript:DRNTG_04981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTPRPAGPPRDNRRDDPEERHTPLNPPVITRLTNSVTELRALVDPLQSYNATWKGGGGE >DRNTG_32306.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3292821:3297460:-1 gene:DRNTG_32306 transcript:DRNTG_32306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSNPHTASWVCCISSSHNPQTGELDIFRHDYQTLGMVAQRLLSSNSLTNFNGAAGMVSFLLFSSSFLLLFLWFSSLLGALSPAALTTMRESGDNSFDILSTAAENLFPSSHRDA >DRNTG_19069.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16329597:16331965:1 gene:DRNTG_19069 transcript:DRNTG_19069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTDRTIALKEFDDTKTGVKGLVDSGMTSLPAIFHHPRAHLSMPAATSHLSIPTVDLSVPRPIAVDLIRSASRDWGVFQVINHGIPLSTIQNTTSAIRSFHELSAAERSQFYTRSRDPGFSYNSNLDLFLSAAATWKDTLRVSFSPIRPDVDQIPEVCRAALVTWDECQKEVAREVMQMMCEGLGVDPKRLEAMTCLEGRTTVAHYYPPCPEPDRALGTVDHTDAGLLAFLIQDQIGGLQVKSETDECWVDVKPIPGALVVFVGELLQFINWSSRRLYK >DRNTG_19069.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16329597:16331914:1 gene:DRNTG_19069 transcript:DRNTG_19069.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTDRTIALKEFDDTKTGVKGLVDSGMTSLPAIFHHPRAHLSMPAATSHLSIPTVDLSVPRPIAVDLIRSASRDWGVFQVINHGIPLSTIQNTTSAIRSFHELSAAERSQFYTRSRDPGFSYNSNLDLFLSAAATWKDTLRVSFSPIRPDVDQIPEVCRAALVTWDECQKEVAREVMQMMCEGLGVDPKRLEAMTCLEGRTTVAHYYPPCPEPDRALGTVDHTDAGLLAFLIQDQIGGLQVKSETDECWVDVKPIPGALVVFVGELLQIISNNEYKSSHHRVMANSSDEPRVSVISFYNPGRKDESDLYGPLPELLSDQKPACYRNLNMVELNKVFRKEPIGNKVVLKYYKLP >DRNTG_03497.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:739359:741866:-1 gene:DRNTG_03497 transcript:DRNTG_03497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKQSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVGRRRRCKSRVGIQHRVTKDDAMKWFQVKYEGVILNKSQATAA >DRNTG_26904.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:11785610:11786224:1 gene:DRNTG_26904 transcript:DRNTG_26904.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase 5 [Source:Projected from Arabidopsis thaliana (AT5G10720) UniProtKB/Swiss-Prot;Acc:Q3S4A7] MPVMDGLQATRLIRSFEEHGYWDASVINELDNANPCSNLPPSDPDARWCRKRTPIIAMTANALTESINDCLANGMDSFVSKPVTFQKLKQCLEQYFPC >DRNTG_26904.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:11780387:11786224:1 gene:DRNTG_26904 transcript:DRNTG_26904.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase 5 [Source:Projected from Arabidopsis thaliana (AT5G10720) UniProtKB/Swiss-Prot;Acc:Q3S4A7] MAKLREEIAVQKAKETELNKTIHITEETMRAKQMLATMSHEIRSPLAGVVSMTEILANTKLDKEQHQLLDVMLSSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVRHVLQTAAASLQKELALEGHITDNVPFEVIGDVLRIRQILTNLTSNAIKFTHEGKVGINLQVVSEESEKYSGSGGEHHQVIEEDNSSLSATRLTENAFLDSQRYEDTEENKRSQEKRVWLRCDVYDTGIGIPEKALPTLFKKYMQASADHARKYGGTGLGLAICKQLVELMGGTLTVTSQECCGSTFTFVLPCKVPSKQEDSGDSDEISAIADQQLMPDANSEEINGTFLFKPCALGTQFSSSRSIVRKTKLFQGCNFDPLSSIGASPQESDSLSSVNCIVSESSQTYSELGAPIQSNLDHNIHNAESMKRKDEIFQDDRTSPGSSSMNFRKSQKCDMSSKCGNMTDLNEIGALRPANCRPRQTEENENPLSTTSSNCEQAKIKANPRILLVEDNKINIMVAQSMMKQLGHKIDVVNNGLEAIRAFHHSHYDLILMDVCMPVMDGLQATRLIRSFEEHGYWDASVINELDNANPCSNLPPSDPDARWCRKRTPIIAMTANALTESINDCLANGMDSFVSKPVTFQKLKQCLEQYFPC >DRNTG_26904.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:11782145:11786224:1 gene:DRNTG_26904 transcript:DRNTG_26904.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase 5 [Source:Projected from Arabidopsis thaliana (AT5G10720) UniProtKB/Swiss-Prot;Acc:Q3S4A7] MQASADHARKYGGTGLGLAICKQLVELMGGTLTVTSQECCGSTFTFVLPCKVPSKQEDSGDSDEISAIADQQLMPDANSEEINGTFLFKPCALGTQFSSSRSIVRKTKLFQGCNFDPLSSIGASPQESDSLSSVNCIVSESSQTYSELGAPIQSNLDHNIHNAESMKRKDEIFQDDRTSPGSSSMNFRKSQKCDMSSKCGNMTDLNEIGALRPANCRPRQTEENENPLSTTSSNCEQAKIKANPRILLVEDNKINIMVAQSMMKQLGHKIDVVNNGLEAIRAFHHSHYDLILMDVCMPVMDGLQATRLIRSFEEHGYWDASVINELDNANPCSNLPPSDPDARWCRKRTPIIAMTANALTESINDCLANGMDSFVSKPVTFQKLKQCLEQYFPC >DRNTG_26904.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:11778842:11783505:1 gene:DRNTG_26904 transcript:DRNTG_26904.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase 5 [Source:Projected from Arabidopsis thaliana (AT5G10720) UniProtKB/Swiss-Prot;Acc:Q3S4A7] MGMDVTDQVKKREKMAKLREEIAVQKAKETELNKTIHITEETMRAKQMLATMSHEIRSPLAGVVSMTEILANTKLDKEQHQLLDVMLSSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVRHVLQTAAASLQKELALEGHITDNVPFEVIGDVLRIRQILTNLTSNAIKFTHEGKVGINLQVVSEESEKYSGSGGEHHQVIEEDNSSLSATRLTENAFLDSQRYEDTEENKRSQEKRVWLRCDVYDTGIGIPGCFIFSYLL >DRNTG_26904.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:11778842:11781736:1 gene:DRNTG_26904 transcript:DRNTG_26904.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase 5 [Source:Projected from Arabidopsis thaliana (AT5G10720) UniProtKB/Swiss-Prot;Acc:Q3S4A7] MGMDVTDQVKKREKMAKLREEIAVQKAKETELNKTIHITEETMRAKQMLATMSHEIRSPLAGVVSMTEILANTKLDKEQHQLLDVMLSSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVRHVLQTAAASLQKELALEGHITDNVPFE >DRNTG_30643.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1078264:1078937:-1 gene:DRNTG_30643 transcript:DRNTG_30643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCMQCNGASEEERRRRECRHNRRSTVVPIIISFPIRRNPSHRSRHPRSFHSTFLKRRPQTLPPSSLRLHPRRSTPATVSRMLKTWNWTFAASLERLESPIPLEPSEVPPASPPVPCPATHCMGPIGAASSPARERERERARCSST >DRNTG_20013.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18188947:18189570:1 gene:DRNTG_20013 transcript:DRNTG_20013.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL26 [Source:Projected from Arabidopsis thaliana (AT5G53730) UniProtKB/TrEMBL;Acc:A0A178UFX4] MSLLFISSPEHCANKGLNIKKLNKKILYGLSTFIFSIFSLLLFIYFILHPSNPQFYLKNTNLYQLTSLSNSTIDITLMSKNPNSHVGIYYDKLNVYTTYKGEEITDISELPPFYQGQGETSFLSTSMQGSGMTVSTSLGYEFGRDRSSGKLLLGVKLDGRLRWKVWFWVFGRYPLHVSCTVVISFRPGDNAGILSASAQGTQCSTDV >DRNTG_27691.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21705982:21711497:-1 gene:DRNTG_27691 transcript:DRNTG_27691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLSVAYRLREFKPGEPVLDWPSRKRVALGTARGLEYLHEHCNPKIIHRDVKAANVLLDEAFEAVVGDFGLAKLVDVRKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEDEDDVLLLDHVKKLQREKKLDAIVDCNLKKEYDCEEVEMMIQVALLCTQASPEERPSMSEVVRMLEGEGLAEKWEEWQQVEVNRRQEYERMQFRRFDWGDDSVHNQEAIELSGGR >DRNTG_27691.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21705922:21708656:-1 gene:DRNTG_27691 transcript:DRNTG_27691.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLSVAYRLREFKPGEPVLDWPSRKRVALGTARGLEYLHEHCNPKIIHRDVKAANVLLDEAFEAVVGDFGLAKLVDVRKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEDEDDVLLLDHVKKLQREKKLDAIVDCNLKKEYDCEEVEMMIQVALLCTQASPEERPSMSEVVRMLEGEGLAEKWEEWQQVEVNRRQEYERMQFRRFDWGDDSVHNQEAIELSGGR >DRNTG_27691.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21705982:21711497:-1 gene:DRNTG_27691 transcript:DRNTG_27691.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLSVAYRLREFKPGEPVLDWPSRKRVALGTARGLEYLHEHCNPKIIHRDVKAANVLLDEAFEAVVGDFGLAKLVDVRKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEDEDDVLLLDHVKKLQREKKLDAIVDCNLKKEYDCEEVEMMIQVALLCTQASPEERPSMSEVVRMLEGEGLAEKWEEWQQVEVNRRQEYERMQFRRFDWGDDSVHNQEAIELSGGR >DRNTG_00669.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16109212:16113379:-1 gene:DRNTG_00669 transcript:DRNTG_00669.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVLRSQLARFDSQVSIYSILSCSICYLVLLGPLILFFYLLCYHRIMCDESLNIIYVFVHVVGDFMKFFKDF >DRNTG_30089.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22867492:22870759:1 gene:DRNTG_30089 transcript:DRNTG_30089.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKAKLLCSFGGDFVNNQGKALYVGGKTRLVSVDRTASFRSLLEKMSELCDADPGDVDVRFQLPDGSLDSRLVSIESDDDVRNMMDEFDCNRKIPIFLFTAKMENSDDDDDDDLGTANAVDDAMRVLDVRSEETMREMTMVRPEGLGCQNGLSTSGTYHKREYLRNPSIGPSMSGESFRRDSQSLVVGQEYEDVQTFRNALTSAAIAANFELHMIRSDQRRVTARCAAGGCTWRVHASKLPHVSTFRIRTLAPEHTCERSDDAGHRQATAKWIANCIRDKLRHNRNYKPREILNDIHREYGVLITYKRAFLGREKALEELRAEPGGHMISEENSYDPTTENNQLEVQGWGEIHDPPRKKRAYAGPCEPKEVRPLHCTRCNQIGHNRRTCTAFRPV >DRNTG_30089.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22867405:22870759:1 gene:DRNTG_30089 transcript:DRNTG_30089.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKAKLLCSFGGDFVNNQGKALYVGGKTRLVSVDRTASFRSLLEKMSELCDADPGDVDVRFQLPDGSLDSRLVSIESDDDVRNMMDEFDCNRKIPIFLFTAKMENSDDDDDDDLGTANAVDDAMRVLDVRSEETMREMTMVRPEGLGCQNGLSTSGTYHKREYLRNPSIGPSMSGESFRRDSQSLVVGQEYEDVQTFRNALTSAAIAANFELHMIRSDQRRVTARCAAGGCTWRVHASKLPHVSTFRIRTLAPEHTCERSDDAGHRQATAKWIANCIRDKLRHNRNYKPREILNDIHREYGVLITYKRAFLGREKALEELRAEPGGHMISEENSYDPTTENNQLEVQGWGEIHDPPRKKRAYAGPCEPKEVRPLHCTRCNQIGHNRRTCTAFRPV >DRNTG_30089.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22867405:22870759:1 gene:DRNTG_30089 transcript:DRNTG_30089.4 gene_biotype:protein_coding transcript_biotype:protein_coding MICSCFCFFFKHCVLVVNLTYIKCAISICHFCSFCRCAAGGCTWRVHASKLPHVSTFRIRTLAPEHTCERSDDAGHRQATAKWIANCIRDKLRHNRNYKPREILNDIHREYGVLITYKRAFLGREKALEELRAEPGGHMISEENSYDPTTENNQLEVQGWGEIHDPPRKKRAYAGPCEPKEVRPLHCTRCNQIGHNRRTCTAFRPV >DRNTG_30089.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22867492:22870879:1 gene:DRNTG_30089 transcript:DRNTG_30089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKAKLLCSFGGDFVNNQGKALYVGGKTRLVSVDRTASFRSLLEKMSELCDADPGDVDVRFQLPDGSLDSRLVSIESDDDVRNMMDEFDCNRKIPIFLFTAKMENSDDDDDDDLGTANAVDDAMRVLDVRSEETMREMTMVRPEGLGCQNGLSTSGTYHKREYLRNPSIGPSMSGESFRRDSQSLVVGQEYEDVQTFRNALTSAAIAANFELHMIRSDQRRVTARCAAGGCTWRVHASKLPHVSTFRIRTLAPEHTCERSDDAGHRQATAKWIANCIRDKLRHNRNYKPREILNDIHREYGVLITYKRAFLGREKALEELRAEPGGHMISEENSYDPTTENNQLEVQGWGEIHDPPRKKRAYAGPCEPKEVRPLHCTRCNQIGHNRRTCTAFRPV >DRNTG_30089.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22867492:22870759:1 gene:DRNTG_30089 transcript:DRNTG_30089.9 gene_biotype:protein_coding transcript_biotype:protein_coding MICSCFCFFFKHCVLVVNLTYIKCAISICHFCSFCRCAAGGCTWRVHASKLPHVSTFRIRTLAPEHTCERSDDAGHRQATAKWIANCIRDKLRHNRNYKPREILNDIHREYGVLITYKRAFLGREKALEELRAEPGGHMISEENSYDPTTENNQLEVQGWGEIHDPPRKKRAYAGPCEPKEVRPLHCTRCNQIGHNRRTCTAFRPV >DRNTG_30089.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22867492:22870759:1 gene:DRNTG_30089 transcript:DRNTG_30089.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKAKLLCSFGGDFVNNQGKALYVGGKTRLVSVDRTASFRSLLEKMSELCDADPGDVDVRFQLPDGSLDSRLMENSDDDDDDDLGTANAVDDAMRVLDVRSEETMREMTMVRPEGLGCQNGLSTSGTYHKREYLRNPSIGPSMSGESFRRDSQSLVVGQEYEDVQTFRNALTSAAIAANFELHMIRSDQRRVTARCAAGGCTWRVHASKLPHVSTFRIRTLAPEHTCERSDDAGHRQATAKWIANCIRDKLRHNRNYKPREILNDIHREYGVLITYKRAFLGREKALEELRAEPGGHMISEENSYDPTTENNQLEVQGWGEIHDPPRKKRAYAGPCEPKEVRPLHCTRCNQIGHNRRTCTAFRPV >DRNTG_30089.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22867492:22870759:1 gene:DRNTG_30089 transcript:DRNTG_30089.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKAKLLCSFGGDFVNNQGKALYVGGKTRLVSVDRTASFRSLLEKMSELCDADPGDVDVRFQLPDGSLDSRLVSIESDDDVRNMMDEFDCNRKIPIFLFTAKMENSDDDDDDDLGTANAVDDAMRVLDVRSEETMREMTMVRPEGLGCQNGLSTSGTYHKREYLRNPSIGPSMSGESFRRDSQSLVVGQEYEDVQTFRNALTSAAIAANFELHMIRSDQRRVTARCVQI >DRNTG_30089.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22867549:22870879:1 gene:DRNTG_30089 transcript:DRNTG_30089.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKAKLLCSFGGDFVNNQGKALYVGGKTRLVSVDRTASFRSLLEKMSELCDADPGDVDVRFQLPDGSLDSRLVSIESDDDVRNMMDEFDCNRKIPIFLFTAKMENSDDDDDDDLGTANAVDDAMRVLDVRSEETMREMTMVRPEGLGCQNGLSTSGTYHKREYLRNPSIGPSMSGESFRRDSQSLVVGQEYEDVQTFRNALTSAAIAANFELHMIRSDQRRVTARCAAGGCTWRVHASKLPHVSTFRIRTLAPEHTCERSDDAGHRQATAKWIANCIRDKLRHNRNYKPREILNDIHREYGVLITYKRAFLGREKALEELRAEPGGHMISEENSYDPTTENNQLEVQGWGEIHDPPRKKRAYAGPCEPKEVRPLHCTRCNQIGHNRRTCTAFRPV >DRNTG_30089.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22867549:22870759:1 gene:DRNTG_30089 transcript:DRNTG_30089.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKAKLLCSFGGDFVNNQGKALYVGGKTRLVSVDRTASFRSLLEKMSELCDADPGDVDVRFQLPDGSLDSRLVSIESDDDVRNMMDEFDCNRKIPIFLFTAKMENSDDDDDDDLGTANAVDDAMRVLDVRSEETMREMTMVRPEGLGCQNGLSTSGTYHKREYLRNPSIGPSMSGESFRRDSQSLVVGQEYEDVQTFRNALTSAAIAANFELHMIRSDQRRVTARCAAGGCTWRVHASKLPHVSTFRIRTLAPEHTCERSDDAGHRQATAKWIANCIRDKLRHNRNYKPREILNDIHREYGVLITYKRAFLGREKALEELRAEPGGHMISEENSYDPTTENNQLEVQGWGEIHDPPRKKRAYAGPCEPKEVRPLHCTRCNQIGHNRRTCTAFRPV >DRNTG_30089.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22867405:22870759:1 gene:DRNTG_30089 transcript:DRNTG_30089.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKAKLLCSFGGDFVNNQGKALYVGGKTRLVSVDRTASFRSLLEKMSELCDADPGDVDVRFQLPDGSLDSRLMENSDDDDDDDLGTANAVDDAMRVLDVRSEETMREMTMVRPEGLGCQNGLSTSGTYHKREYLRNPSIGPSMSGESFRRDSQSLVVGQEYEDVQTFRNALTSAAIAANFELHMIRSDQRRVTARCAAGGCTWRVHASKLPHVSTFRIRTLAPEHTCERSDDAGHRQATAKWIANCIRDKLRHNRNYKPREILNDIHREYGVLITYKRAFLGREKALEELRAEPGGHMISEENSYDPTTENNQLEVQGWGEIHDPPRKKRAYAGPCEPKEVRPLHCTRCNQIGHNRRTCTAFRPV >DRNTG_05878.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000271.1:1:12111:1 gene:DRNTG_05878 transcript:DRNTG_05878.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGQGEYEPGVSKVTCLSRPAYRYIYAILTRSVKSRTRQYWTFKQTGASILILYGTERAVASGAHFDQVFKTPRIVCLDQCPLLRSLHHHSHHQDWSTKRYQRDRKDERTYSPGSKEIHDVNVCPFALPRSKYGFVKYFRPDTTAEHCSYTIEKYYSNTVHSRPIKLQNRESTRAPYGSLPSNTDIDPREHVKAITLRSGREVEGRLLNTTDEIVDEYMQEMFNPDLYKDLFEQEDDYEEVMMLGSTEKVPSTPGILKKVLCKMKRARRRHWKRSKAVGDVREPKKLYEPLSLQVFLRQPGKTHVRVVFQHARGLTLRAHPEKAHGRAAALVNNHATIARPWVISARACDFLQSLADYPESTQGRELVPVGDLVNFARAWIISACLCESLQRSSLHPEKAQGRALALVKWTCECPRPCGISAWARTGVGIFHMPVREHSKSKWHFPESAQGVHLPLCSFPVETHGRV >DRNTG_24328.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:316804:319258:-1 gene:DRNTG_24328 transcript:DRNTG_24328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKVEDATLADFGDAFENFKAVNSGNQMKFLLFLADKDPSTSLSWCPDCNVAEPVIYKMLGASEKDVLLLRAYVGDRPTWRNPSHPLRIDNLFKLKGVPTLIRWENEGVANRLEDHEAHIESKIEALMSI >DRNTG_29043.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2751080:2752754:1 gene:DRNTG_29043 transcript:DRNTG_29043.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRCQLTEARMSEFENLPPGDLPSEAPQSSPPHIYPSLDLQQSLQGRIYK >DRNTG_29043.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2751080:2752754:1 gene:DRNTG_29043 transcript:DRNTG_29043.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRCQLTEARMSEFENLPPGDLPSEAPQSSPPHIYPSLDLQQSLQGRIYK >DRNTG_29043.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2751080:2752754:1 gene:DRNTG_29043 transcript:DRNTG_29043.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRCQLTEARMSEFENLPPGDLPSEAPQSSPPHIYPSLDLQQSLQGRIYK >DRNTG_29043.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2750980:2752754:1 gene:DRNTG_29043 transcript:DRNTG_29043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRCQLTEARMSEFENLPPGDLPSEAPQSSPPHIYPSLDLQQSLQGRIYK >DRNTG_30566.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001589.1:31713:35310:1 gene:DRNTG_30566 transcript:DRNTG_30566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLDKPLHLGQQNQWINTLGNQSIAKVTKIDISSNNLEGKIPREFGKLSNIFHLNMSNNHLTGIIPSEFGDLSLLEILDLSNNYLTGKIPIHLGNCLKLNSLKLSRNELNGAIPFQLGNLNLHDILDLSHNLLMGEIPQQLSKLLELQELNLSHNELVGHIPSSFQSMASLTSLDLSYNSLEGPVPENHFFQVAPLEWFTHNKGLCGQVHGLPPCHQFQLVNTGDVEKHHKIIILIVLLVFGYLFLIIGVFALLYYKRKKSNTNDTREEFDEHFFFIWGVNHGKEAYKEIARATENFNERYQIGSGSCSIIYKATISSGVTLAIKKIQKEEEPQVYEQAFQNEIQALTEIRHRNIVRFYGFYSTDKFNLLIYEYMERGCLGATLKSERGAVELDWTKRVGIVRDIAQALSYLHHGCAPPIVHRDITSNNILLDEEYRACISDFSISRLLKPNSSHWSLLAGTYGYMAPELAHVMRMTKKCDVYSFGIVALEVVHGSHPGDLLSNLSLSMLVKEMLDPRLSLHIADQVTINQVLLVIVIAMQSINNDPQVRPTMQQVSQRLSSPKLLPTSDNHSFRALTLDHLINIVQVDIDDQAHE >DRNTG_27538.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:827744:830778:1 gene:DRNTG_27538 transcript:DRNTG_27538.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDRTVAKSPEGLRSPGEDGGTGAVALPKEFTAAREGAVVVNLGKDGAIAYTAEKQNPLVPRLFGVIDGIFCVLEGHIENIPTLKQQYGLNKTANEVIIVIEAYRTLRDRGPYPAAQVLRDLHGKFAFILYDATCHSTFIAADADGSVPFFWGADSQGCLVLSNDMEIVKRGCGKSFAPFPKGCFFTTSGGLQSFEHPLNLVKPVPRVDSKGQVCGANFKVDAQAKKESPMPRVGSAADWSSQY >DRNTG_29412.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1868308:1872556:-1 gene:DRNTG_29412 transcript:DRNTG_29412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSAARPSPPAPVAASDARRLLSALPSALSMKNPGSLSLKPLYLTSLPAFGSGSDKQRGFSLRSRAYEADRSEGVPVPVPATEEVKSEAAQKVKIGIYFATWWALNVVFNIYNKKVLNAYPYPWLTSTLSLAMGSLMMLTSWAIRIAEPPKTDPDFWKALFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGETFPASVYLSLVPIIGGCALAAVTELNFNMIGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSMLSLLILTPFAIAVEGPQMWAAGWQKALSEIGPNFVWWVVAQSVFYHLYNQVSYMSLDQISPLTFSIGNTMKRISVIVSSIIIFHTPVQPINALGAAIAILGTFLYSQAKQ >DRNTG_33650.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2035851:2036998:-1 gene:DRNTG_33650 transcript:DRNTG_33650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSAKDSEVPYFKGENYNLWALMMKTMFRSKDLWKLVEKGFSEEGDATTINDSLKKDAKAMYLIQRALDARILVRISEAKTAKEAWDTIKTEFQGDSDNSTMQLHALQREFDVIKMKHGESVQDFVSRVLDIVYQIRVLKQDLPQKAVVSKILRSLTPKFSQAVHSIIEAKDLNTISVEQLSSSTSEHSNRGRGRGRGFSPRGRGRGRGRSSDPARTEPGFSAEINKSHKGVQCFVCKKFGHVKSQCWYRNREANVVKEEKPKEGEEVAFM >DRNTG_23143.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14637132:14640015:-1 gene:DRNTG_23143 transcript:DRNTG_23143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLEKHLTRFIQFAETRFESVESTLRNHTASLHNLENQVGQIAKSLSERPYGSLSSNTETNPREHVKAITLISGREVEGRLPSEKPKENTPEEMFNPDPYEGLFDQEEGNEEVMTLGSMEEIPSTPGILKKVLRKMKRARRRHQKHSKAVGDVLEPKKLDEPLLGSECVNMFRNLLQSLQIFLSHPEKTNGRVQFSHARGFGLRAHPEKVQGRVVAPVNDHAIVTHPWVISARVCEFLQSWADYPDSIQGRGLAHVGDLVNHARAWVISTRPCKTLQRSSLHPEKTQGRVAAPLRWACECPRPCGISAWACGTLGIFLGCPEKPQGRAAALWVGRIGVGISHARVDAQNSKRHMAPRVKKNEVKHLRITPPEPLHLDFSNPEHQTRFERLSALGFGQTCFVDLQVLRDIQRGDELANEIDEMLAVGS >DRNTG_22185.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1536169:1536426:-1 gene:DRNTG_22185 transcript:DRNTG_22185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARALDGPNPPPLPSSVRLHAAPQSLDSLLNCKVSFSISLVQSSFLVAIDTSASAAAETVISSAPLTYLLELTLLIDFYSLFLR >DRNTG_23044.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3290216:3291532:-1 gene:DRNTG_23044 transcript:DRNTG_23044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNFQTSCIELIKKWQKLLSEESSCELDVWPELLNLTEDAISRTVFSTNFEEGKRVLKLQTEQITLAIEAASSIYLPGFRFVPTTKNKRIKFINNEIKRTLRDVIHKKLDSMKMGGNADDDILSLMLQSANLNIAGEDKNTKNNKITIDDVIEECKGFYLAGKDTTANLLTWMLILLSMHPIWQQKARDEVLQTCGENMPNFESLNRLKIVNMILHEVLRLYPPVIAQIRHTEKKTKLGDMILPAGVHVLIPTLQVHHDEEFWGEDAEEFNPERFKEGVSKASKGENAYFPFGWGPRHCLGQAFVMIQAKLGLAMVLQNFSFELSPSYAHAPSVSITLQPQFGAHIILRRL >DRNTG_06704.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3598227:3608715:-1 gene:DRNTG_06704 transcript:DRNTG_06704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLENLFLRQGSLKSLLDSVRRRRSSSDADDDPNPIPQLSPLANSVLSRCSRILLLSTQELQQLFETELPDSLKQPDTYARHLLEFCSYKALHFVTRRPDYLADKEFQSLTFDMMLAWEAPATESESLSKGTACVPEVEDEDGGSLFYTNSTSMAVQVDDKKTVGSEAFKRIVSACPSVADVITVQNLFDALTSTSCGQLHFLIYSKYLKSLDKVLKSAKGLIGSSFTSNLQLADGEIILDVDGVMPTQPVLQHVGIAAWPGRLTLTNYALYFESLGVGSYDKPARYDLATDLKQVVKREMTGPLGTRLFDKAVMYKSTTITEPIFLEFPEFKGHTRRDYWLAIIREVLNMHRFIKKFNLKEIQREEVLSMAILGIFRYRAVKEAFHMAPSHFKSTLAFNLTEKLPKGDIILEALYSQLLLLHTGFQRHNTESVSNKSQAALLPASLLTLSRLGLMSLKDPYMTEEKDLSGWVVRVGVQSPLELALRESICHSGRVEAARATLDQVKVEGIDTNLAVMQALLFPLVELGKYLRFLSSWEDPFKSIMFLAVSLYVVYRGWISYILPCSFLSIAIIMIWNKYRSKGKPLEGFQVTPPPNKNAVEQLLILQEGISQLETYVQAANIILLKLRALLLGAMPQATDLVVLYLIAMAAVFAFVPIKHLLVLAFLEVFTREMPLRKYTSDRLLRRIREWWVRIPAAPVQLLRSEENKKK >DRNTG_06704.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3598227:3604318:-1 gene:DRNTG_06704 transcript:DRNTG_06704.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRLTLTNYALYFESLGVGSYDKPARYDLATDLKQVVKREMTGPLGTRLFDKAVMYKSTTITEPIFLEFPEFKGHTRRDYWLAIIREVLNMHRFIKKFNLKEIQREEVLSMAILGIFRYRAVKEAFHMAPSHFKSTLAFNLTEKLPKGDIILEALYSQLLLLHTGFQRHNTESVSNKSQAALLPASLLTLSRLGLMSLKDPYMTEEKDLSGWVVRVGVQSPLELALRESICHSGRVEAARATLDQVKVEGIDTNLAVMQALLFPLVELGKYLRFLSSWEDPFKSIMFLAVSLYVVYRGWISYILPCSFLSIAIIMIWNKYRSKGKPLEGFQVTPPPNKNAVEQLLILQEGISQLETYVQAANIILLKLRALLLGAMPQATDLVVLYLIAMAAVFAFVPIKHLLVLAFLEVFTREMPLRKYTSDRLLRRIREWWVRIPAAPVQLLRSEENKKK >DRNTG_06704.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3598227:3604318:-1 gene:DRNTG_06704 transcript:DRNTG_06704.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFFNVGMSCIICLLHCRVLKSAKGLIGSSFTSNLQLADGEIILDVDGVMPTQPVLQHVGIAAWPGRLTLTNYALYFESLGVGSYDKPARYDLATDLKQVVKREMTGPLGTRLFDKAVMYKSTTITEPIFLEFPEFKGHTRRDYWLAIIREVLNMHRFIKKFNLKEIQREEVLSMAILGIFRYRAVKEAFHMAPSHFKSTLAFNLTEKLPKGDIILEALYSQLLLLHTGFQRHNTESVSNKSQAALLPASLLTLSRLGLMSLKDPYMTEEKDLSGWVVRVGVQSPLELALRESICHSGRVEAARATLDQVKVEGIDTNLAVMQALLFPLVELGKYLRFLSSWEDPFKSIMFLAVSLYVVYRGWISYILPCSFLSIAIIMIWNKYRSKGKPLEGFQVTPPPNKNAVEQLLILQEGISQLETYVQAANIILLKLRALLLGAMPQATDLVVLYLIAMAAVFAFVPIKHLLVLAFLEVFTREMPLRKYTSDRLLRRIREWWVRIPAAPVQLLRSEENKKK >DRNTG_06704.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3598134:3600122:-1 gene:DRNTG_06704 transcript:DRNTG_06704.9 gene_biotype:protein_coding transcript_biotype:protein_coding MTYVTVSFRGWISYILPCSFLSIAIIMIWNKYRSKGKPLEGFQVTPPPNKNAVEQLLILQEGISQLETYVQAANIILLKLRALLLGAMPQATDLVVLYLIAMAAVFAFVPIKHLLVLAFLEVFTREMPLRKYTSDRLLRRIREWWVRIPAAPVQLLRSEENKKK >DRNTG_06704.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3598227:3608715:-1 gene:DRNTG_06704 transcript:DRNTG_06704.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFIKKFNLKEIQREEVLSMAILGIFRYRAVKEAFHMAPSHFKSTLAFNLTEKLPKGDIILEALYSQLLLLHTGFQRHNTESVSNKSQAALLPASLLTLSRLGLMSLKDPYMTEEKDLSGWVVRVGVQSPLELALRESICHSGRVEAARATLDQVKVEGIDTNLAVMQALLFPLVELGKYLRFLSSWEDPFKSIMFLAVSLYVVYRGWISYILPCSFLSIAIIMIWNKYRSKGKPLEGFQVTPPPNKNAVEQLLILQEGISQLETYVQAANIILLKLRALLLGAMPQATDLVVLYLIAMAAVFAFVPIKHLLVLAFLEVFTREMPLRKYTSDRLLRRIREWWVRIPAAPVQLLRSEENKKK >DRNTG_06704.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3598227:3604318:-1 gene:DRNTG_06704 transcript:DRNTG_06704.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLTLTNYALYFESLGVGSYDKPARYDLATDLKQVVKREMTGPLGTRLFDKAVMYKSTTITEPIFLEFPEFKGHTRRDYWLAIIREVLNMHRFIKKFNLKEIQREEVLSMAILGIFRYRAVKEAFHMAPSHFKSTLAFNLTEKLPKGDIILEALYSQLLLLHTGFQRHNTESVSNKSQAALLPASLLTLSRLGLMSLKDPYMTEEKDLSGWVVRVGVQSPLELALRESICHSGRVEAARATLDQVKVEGIDTNLAVMQALLFPLVELGKYLRFLSSWEDPFKSIMFLAVSLYVVYRGWISYILPCSFLSIAIIMIWNKYRSKGKPLEGFQVTPPPNKNAVEQLLILQEGISQLETYVQAANIILLKLRALLLGAMPQATDLVVLYLIAMAAVFAFVPIKHLLVLAFLEVFTREMPLRKYTSDRLLRRIREWWVRIPAAPVQLLRSEENKKK >DRNTG_06704.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3598227:3608715:-1 gene:DRNTG_06704 transcript:DRNTG_06704.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFIKKFNLKEIQREEVLSMAILGIFRYRAVKEAFHMAPSHFKSTLAFNLTEKLPKGDIILEALYSQLLLLHTGFQRHNTESVSNKSQAALLPASLLTLSRLGLMSLKDPYMTEEKDLSGWVVRVGVQSPLELALRESICHSGRVEAARATLDQVKVEGIDTNLAVMQALLFPLVELGKYLRFLSSWEDPFKSIMFLAVSLYVVYRGWISYILPCSFLSIAIIMIWNKYRSKGKPLEGFQVTPPPNKNAVEQLLILQEGISQLETYVQAANIILLKLRALLLGAMPQATDLVVLYLIAMAAVFAFVPIKHLLVLAFLEVFTREMPLRKYTSDRLLRRIREWWVRIPAAPVQLLRSEENKKK >DRNTG_06704.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3598227:3606387:-1 gene:DRNTG_06704 transcript:DRNTG_06704.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFIKKFNLKEIQREEVLSMAILGIFRYRAVKEAFHMAPSHFKSTLAFNLTEKLPKGDIILEALYSQLLLLHTGFQRHNTESVSNKSQAALLPASLLTLSRLGLMSLKDPYMTEEKDLSGWVVRVGVQSPLELALRESICHSGRVEAARATLDQVKVEGIDTNLAVMQALLFPLVELGKYLRFLSSWEDPFKSIMFLAVSLYVVYRGWISYILPCSFLSIAIIMIWNKYRSKGKPLEGFQVTPPPNKNAVEQLLILQEGISQLETYVQAANIILLKLRALLLGAMPQATDLVVLYLIAMAAVFAFVPIKHLLVLAFLEVFTREMPLRKYTSDRLLRRIREWWVRIPAAPVQLLRSEENKKK >DRNTG_06704.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3598227:3604318:-1 gene:DRNTG_06704 transcript:DRNTG_06704.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFIKKFNLKEIQREEVLSMAILGIFRYRAVKEAFHMAPSHFKSTLAFNLTEKLPKGDIILEALYSQLLLLHTGFQRHNTESVSNKSQAALLPASLLTLSRLGLMSLKDPYMTEEKDLSGWVVRVGVQSPLELALRESICHSGRVEAARATLDQVKVEGIDTNLAVMQALLFPLVELGKYLRFLSSWEDPFKSIMFLAVSLYVVYRGWISYILPCSFLSIAIIMIWNKYRSKGKPLEGFQVTPPPNKNAVEQLLILQEGISQLETYVQAANIILLKLRALLLGAMPQATDLVVLYLIAMAAVFAFVPIKHLLVLAFLEVFTREMPLRKYTSDRLLRRIREWWVRIPAAPVQLLRSEENKKK >DRNTG_21631.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:48393:50864:1 gene:DRNTG_21631 transcript:DRNTG_21631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGVSSMMGMGVETGRKSTGFGDLPEGCVAEVLALLDVPEICRLGKLSRVFRAAAAADLVWEKKLPGNYEYLIERVLGERDFRGGLSKREIYARLSRPNRFDAGAKELWLEKNSGSICLLISSKAFSITGIDDRRYWNYIPTEESRFQNVAYLQQIWWFEVGGEIEFCFPKGTYSVFFRVHLGRISKWLGRRSISPEHIHGWNKKPVKFQLTTSDDQHAISQCYIDSPGNWVHYHVGDFTVGNSDVPTKVKFSMIQIDCTHTKGGLCVDSVLICPKGFRQEKYPRMYK >DRNTG_20674.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001137.1:21518:27730:-1 gene:DRNTG_20674 transcript:DRNTG_20674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMLKNEKRTSSLTGHMWVTEILNGSATRFFEQFRMQKSAFRWECRALTGGSSGSQGRHTVDQWVNVKDMSSLKALNLVVATAKI >DRNTG_09459.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21302333:21305356:-1 gene:DRNTG_09459 transcript:DRNTG_09459.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PGGT-I [Source:Projected from Arabidopsis thaliana (AT2G39550) UniProtKB/TrEMBL;Acc:A0A178VS16] MDRSSEFDKMRHVASLELMMEWMPSPYESQEINRLTLAHFAISGLAILGAIDQIDKDRVINWVLSLRALPKDKAELEKGEFYGFHGSRSSQFDRHDGKDLVPNGSHLASTYCALAILRIIGYDLSSMDSESIIISMRHLQQPDGSFTPIHLGAETDLRFVYCAAAICYMFNNWTGMDREKAKEYILRCQSYDGGFGLVPGSESHGGGTYCAVAALRLMGFIEADILSKSTLGTTINVPLLLEWCIQRQGVNGGFQGRANKASDTCYAFWVGGVLKILGAYHFLDKNALRRFLLSCQSQYGGFQKFPEDATPDLYHSYYGLAALSLLEEPGLVPLCVELGIPSPEIV >DRNTG_07357.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7731943:7738505:-1 gene:DRNTG_07357 transcript:DRNTG_07357.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSCIVWKKVIGRAFVGIDPARCILGRTKDPLRRVEDSPQDHRYDHRGDTTDELVDEYMQEMFNPDPYEGLFDQEESNEEVMMLGSTEEVTSTPGILKKVLQKMKRARRRHRKHSKTVGDIYEPRKLDEPLLEKTHGRVEFPHARGCILRAHPEKAQGRAAAPVDDHANIALPWEISSRACEVLHSWADFPESTQGRGLAPVDDLVNLTRAWLIFCTSVRNSAGCSLRPEKTQGRAAALEASGCACAHVGRGHGHGYFPHAG >DRNTG_28949.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2187637:2189354:-1 gene:DRNTG_28949 transcript:DRNTG_28949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRCLSSQPLGDGLLANDEEDIINTTTLSFQPIITQQQQQQQQQHKQEDQEEDDEEEDNYSSEALSSHHHHHHHHHHQQQQPSMLSDKKRRLSPSQLHALEQSFDLDNKLDPDRKLRLSLDLSLQPRQVAVWFQNRRARFKTKQLERDFSSLRSSYNSLQLQLDSLRHQNHLLLSDIKELKRKLEEKQTTSKGGGGGGGGGSSDSDSSAIMNEKQKSEDQIKKGNENEDQVVRFAEEEEEMEEPCSSFFNDEQPPSLLSWYCSDWC >DRNTG_13047.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23800840:23801306:1 gene:DRNTG_13047 transcript:DRNTG_13047.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTTTHAWAPRRARHAPSSHPAATKARCNRAVGAKCVMRHRFRTRCGRCWSVREKRLVLE >DRNTG_10600.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1520:6796:-1 gene:DRNTG_10600 transcript:DRNTG_10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQRNQKLKHKTQLKNMKKQRNEMYRLMCLPVRTCQWKILQLASVHQQLKLNCRKMMSKS >DRNTG_15766.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17636814:17639952:-1 gene:DRNTG_15766 transcript:DRNTG_15766.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGFRAIGCGVALSTASALLINGFLAPKTKPPGKLLSPRFPIYVKNIHKGKHGSDSGVYDAEGRFVSSKFEEIFQKHAHTNPNALTANELMEMLKANRAPKDRNGAFASWTEWKILYSLCKDKDGLLHKGTVRAVYDGSLFLQMEKERASSSSSSSSSSSGKKA >DRNTG_15766.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17636814:17639952:-1 gene:DRNTG_15766 transcript:DRNTG_15766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSDDEMTALQKHVAFFDRNKDGIIYPSETYQGFRAIGCGVALSTASALLINGFLAPKTKPPGKLLSPRFPIYVKNIHKGKHGSDSGVYDAEGRFVSSKFEEIFQKHAHTNPNALTANELMEMLKANRAPKDRNGAFASWTEWKILYSLCKDKDGLLHKGTVRAVYDGSLFLQMEKERASSSSSSSSSSSGKKA >DRNTG_15766.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17636814:17639952:-1 gene:DRNTG_15766 transcript:DRNTG_15766.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTALQKHVAFFDRNKDGIIYPSETYQGFRAIGCGVALSTASALLINGFLAPKTKPPGKLLSPRFPIYVKNIHKGKHGSDSGVYDAEGRFVSSKFEEIFQKHAHTNPNALTANELMEMLKANRAPKDRNGAFASWTEWKILYSLCKDKDGLLHKGTVRAVYDGSLFLQMEKERASSSSSSSSSSSGKKA >DRNTG_21741.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13616086:13617546:-1 gene:DRNTG_21741 transcript:DRNTG_21741.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLATTNHLPLPSNSTKTILQPLSNNRTKTQVQCSKPNIMITIPNIISNLLHIGTARNPFPENLFIQARHNQTTPAASPKENISSLQAELHGKSNWSNLLNPLHPWLRCELIKFGELAQGAYDGFDYNPFSEYHGSALYNKDRFFEKLGLTSSGYNITNYIYAMSNIELPQWIERSLHANTWSKDSNWMGFVAVSDDTESRRIGCRDIVVAWRGTVATTEWFENVHLKLESIKIGDEEGKAKVEHGFLSIYKSKSETTRYNKSSASEQAITEIKRLVANYRSKGEQVRLTVTGHSLGGALALLNGHEAASTIADLPVSVITFAGPRVGNDAFGDELRKLNVKVLRVVVKQDVVPKLPGVLLNEGLEKLEGIIGELQWVYEHVGMELSLDVKSSPYLKHHELDLAGFHGLETYLHLVDGYVSEEDEFRKNARRDVALVNKYGGMLREELKVPESWYQLEHKGMVLNAYGRWVMRHREPEDIPSPDCL >DRNTG_11622.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:27952524:27954167:1 gene:DRNTG_11622 transcript:DRNTG_11622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLDPYEGLFDQEESNEEVMMLGLTEEVTSTPVILKKVLRKMKRARRRHQKCSKTVGDVHEPKKGDLSISRRHRGVRLPLEATGGVRLRLWVGDTGLGIFRTPVREKSESKEHFPERAQGRAHTPVVLLNVAVRFSRPVDRHGRVEIPQDRVDFCRIGLSKCLINFV >DRNTG_34202.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:931695:932685:1 gene:DRNTG_34202 transcript:DRNTG_34202.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPGLAEKAIAVAEERLSNDKWPEYYDTRTGRFIGKQSRLYQTWTIAGFLTSKMFLENPEMTSILTCDEDLNLLEGCLCGLSKNSRVRCSRLAAKSQVLV >DRNTG_24688.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19212732:19216253:1 gene:DRNTG_24688 transcript:DRNTG_24688.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFLSTVASRAKTCRNSFFSSIPNPSSSLLCRSSSLCTPIKGWRGFSGESGGRESLQYDVVIVGAGPAGLSAAIRLKQLCRERSADLSVCVLEKGSEVGAHIISGNVFEPRALDELIPNWKDEGAPVEVRVSSDRFLLLMKDRALPLPSPFDNKGNYVISLSQLVRWMASKAEEMGVEIYPGFAACEILYDKDQKVVGVATNDMGVAKDGSKRETFQPGVEVKGRYS >DRNTG_24688.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19212732:19218601:1 gene:DRNTG_24688 transcript:DRNTG_24688.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFLSTVASRAKTCRNSFFSSIPNPSSSLLCRSSSLCTPIKGWRGFSGESGGRESLQYDVVIVGAGPAGLSAAIRLKQLCRERSADLSVCVLEKGSEVGAHIISGNVFEPRALDELIPNWKDEGAPVEVRVSSDRFLLLMKDRALPLPSPFDNKGNYVISLSQLVRWMASKAEEMGVEIYPGFAACEILYDKDQKVVGVATNDMGVAKDGSKRETFQPGVEVKGRACYSTG >DRNTG_24688.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19212732:19214273:1 gene:DRNTG_24688 transcript:DRNTG_24688.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFLSTVASRAKTCRNSFFSSIPNPSSSLLCRSSSLCTPIKGWRGFSGESGGRESLQYDVVIVGAGPAGLSAAIRLKQLCRERSADLSVCVLEKGSEVGAHIISGNVFEPRALDELIPNWKDEGVCSLKLYFIRKHLKFLVCAA >DRNTG_24688.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19216349:19218601:1 gene:DRNTG_24688 transcript:DRNTG_24688.4 gene_biotype:protein_coding transcript_biotype:protein_coding MICLVEKTNWDINNAGRVTLLAEGCRGSLSEKIIRDHKLRDKGQGQHQTYAIGIKEVWEIEEGKHKPGSVLHTVGWPLDNSTYGGSFLYHMKDRQIALGLVVALDYHNPYLSPF >DRNTG_24688.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19212732:19218601:1 gene:DRNTG_24688 transcript:DRNTG_24688.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFLSTVASRAKTCRNSFFSSIPNPSSSLLCRSSSLCTPIKGWRGFSGESGGRESLQYDVVIVGAGPAGLSAAIRLKQLCRERSADLSVCVLEKGSEVGAHIISGNVFEPRALDELIPNWKDEGAPVEVRVSSDRFLLLMKDRALPLPSPFDNKGNYVISLSQLVRWMASKAEEMGVEIYPGFAACEILYDKDQKVVGVATNDMGVAKDGSKRETFQPGVEVKGRACYSTG >DRNTG_02012.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:15170181:15174593:1 gene:DRNTG_02012 transcript:DRNTG_02012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSHGRPQGQPHAPVPSLEEFTVHIHGRVEFPLARVFSLD >DRNTG_20280.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5150526:5151799:1 gene:DRNTG_20280 transcript:DRNTG_20280.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDVNVLVFLDVRKALEEGMKLYISDNKVILTEGFDGVVPVKFFEKIETWAEGQPIPFQS >DRNTG_20280.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5148463:5151733:1 gene:DRNTG_20280 transcript:DRNTG_20280.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWASASLSLRALRCFASSSSSSLLLSPALRLSHHILPSTLSSNPRPSTLMQSSTAQTHPFSSSSSSPLASCSRGRGRGSSSRDDRSPRRGRGGGVDQIDALGRLLTRILRHQASELKLDMRSDGYVRVRDLLMLNVTTLAKVPLRSHSVDEVKEAVRRDNKQRFGLLEENGELLIRANQGHTISTISSESLLQPILSADDVPSKTKQLEIWNQSCNLG >DRNTG_20280.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5148463:5151733:1 gene:DRNTG_20280 transcript:DRNTG_20280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWASASLSLRALRCFASSSSSSLLLSPALRLSHHILPSTLSSNPRPSTLMQSSTAQTHPFSSSSSSPLASCSRGRGRGSSSRDDRSPRRGRGGGVDQIDALGRLLTRILRHQASELKLDMRSDGYVRVRDLLMLNVTTLAKVPLRSHSVDEVKEAVRRDNKQRFGLLEENGELLIRANQGHTISTISSESLLQPILSADDVPSKTKQLDLRAWHL >DRNTG_20280.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5148463:5151799:1 gene:DRNTG_20280 transcript:DRNTG_20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASASLSLRALRCFASSSSSSLLLSPALRLSHHILPSTLSSNPRPSTLMQSSTAQTHPFSSSSSSPLASCSRGRGRGSSSRDDRSPRRGRGGGVDQIDALGRLLTRILRHQASELKLDMRSDGYVRVRDLLMLNVTTLAKVPLRSHSVDEVKEAVRRDNKQRFGLLEENGELLIRANQGHTISTISSESLLQPILSADDVPICVHGTYKKNLESILQSGLKRMARLHVHFSCGLPTDGQIISGMRRDVNVLVFLDVRKALEGI >DRNTG_20280.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5148463:5151733:1 gene:DRNTG_20280 transcript:DRNTG_20280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWASASLSLRALRCFASSSSSSLLLSPALRLSHHILPSTLSSNPRPSTLMQSSTAQTHPFSSSSSSPLASCSRGRGRGSSSRDDRSPRRGRGGGVDQIDALGRLLTRILRHQASELKLDMRSDGYVRVRDLLMLNVTTLAKVPLRSHSVDEVKEAVRRDNKQRFGLLEENGELLIRANQGHTISTISSESLLQPILSADDVPSKTKQLE >DRNTG_20280.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5148463:5151733:1 gene:DRNTG_20280 transcript:DRNTG_20280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWASASLSLRALRCFASSSSSSLLLSPALRLSHHILPSTLSSNPRPSTLMQSSTAQTHPFSSSSSSPLASCSRGRGRGSSSRDDRSPRRGRGGGVDQIDALGRLLTRILRHQASELKLDMRSDGYVRVRDLLMLNVTTLAKVPLRSHSVDEVKEAVRRDNKQRFGLLEENGELLIRANQGHTISTISSESLLQPILSADDVPICVHGTYKKNLESILQSGLKRMARLHVHFSCGLPTDGQIISGMRRDVNVLVFLDVRKALEEGMKLYISDNKVILTEGFDGVVPVKFFEKIETWAEGQPIPFQS >DRNTG_20280.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5148463:5151733:1 gene:DRNTG_20280 transcript:DRNTG_20280.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDGYVRVRDLLMLNVTTLAKVPLRSHSVDEVKEAVRRDNKQRFGLLEENGELLIRANQGHTISTISSESLLQPILSADDVPSKTKQLE >DRNTG_20280.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5150526:5151733:1 gene:DRNTG_20280 transcript:DRNTG_20280.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDVNVLVFLDVRKALEEGMKLYISDNKVILTEGFDGVVPVKFFEKIETWAEGQPIPFQS >DRNTG_29582.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18217699:18220268:1 gene:DRNTG_29582 transcript:DRNTG_29582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNINQAPAPISATTPAAGAGEEVYKILILDPFCRDLLSPLIHVKDLRKHGVTLYFLIDKDRQPVPDVPAVYFVRPTPSNLQRFAADVSRHLYDSFHLNLSSSLPRPLLEELAASLLRSDSVPRVSRIYDQYLDFISLEDSLFSLAHRDAYIQLNDPSAGDHEIEEIIDRVASGLFCVLVTLGVVPIIRCARGGPAEMVASALDSRLRDHLVTKNNLFSESSGLVSSFQRPVLCIFDRNFELAVGIQHDWSYRPLVHDVLGLKLNRVNVQGEKPGGMKSFELDGSDPFWFANSWAPFPKVAEEIETQLSKYKQDVDEVNRRTGGTDGVDFDGTDLIGNTKHLMNAVNSLPELTERKKVIDKHTNIATVLLGEIKERSLDSYCNLEDDLLTKGSADRNALFGLLTGKGTKMDKLRLAITYLLSMEATPQSELEAVESALRESEVDTCAFLYVKKIKSLNSSLASSASSASKSNIVDWAEKLYGQSISAVTAGMKNLLSGGRQLALTRTVEVLMEGKPNPEVDSYLMFDPRAPRSGSGAQVKGPFKEAIVFMIGGGNYVEYRSLMELAQRSQPVKHVIYGTTEVLNGVEFVEQLTVLGQKMGLGSTPAGSSQS >DRNTG_05776.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000269.1:95484:95867:1 gene:DRNTG_05776 transcript:DRNTG_05776.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHAPALNFHTPIWILCFSVSRRAVNSATAVIAIVLLQCSSTVFDLNNFPIPYFHRGRNARVCLPLCPSKWMYSLECEEVGTYSSTSHLSYVFAFEP >DRNTG_15697.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4469760:4473267:-1 gene:DRNTG_15697 transcript:DRNTG_15697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLFTESLNLPPVSPIGFEGYEKRLEITFSEAPLFVDPQGRGLRALSRSQIDSVLDKAQCTIVSELSNKDFDSYVLSESSLFIYPYKIVLKTCGTTKLLLSIPTILELAAGLSLSVLSVKYSRGTFIFPGAQPAPHRSFLEEVAVLDHYFGGLASGGNAYVIGDPGSPNRNWHVYYATQKPELPMVTLEMCMTGLDNKRASIFFKNSAEGHSSSAKEMTNLSGISDIIPEMEICDFEFDPCGYSMNGIHGTALSTIHVTPEEGFSYASYEAMGFNPNEIVYADLVNKVLQCFGPQEFSLAVTIFGGRGKAGTWAEKVVMDGYALKDLVEQELPGGGLLLYQSFSAEEETVPSPRSILHCWEKGDME >DRNTG_16149.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000797.1:25081:26157:-1 gene:DRNTG_16149 transcript:DRNTG_16149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPDPYEGLFNQEESNEEVMMLGSTGEETSNPEILKTVLRKMKRARRHAKKRSKIVGDVHEPRKFDEPLLGWSEHEYTLYPQETLLIMLSSHGCHAPSRGSHS >DRNTG_19608.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31346179:31352357:1 gene:DRNTG_19608 transcript:DRNTG_19608.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVNATRHVGGLAKLGEGVQSSPKSGQVTEEDKS >DRNTG_22286.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21879876:21883553:-1 gene:DRNTG_22286 transcript:DRNTG_22286.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKSYVEPVEIKEVKLKEIDKSLDCIVQQIHEDTPDNKLQVMIHVEDSISGKETTVGKNRWVAKSKVNKELQKSHMHDNGKLLVEFDNVPDISVVSPKENLETLSSDRLEKLKLPPPPVRKIASDHVTLNIPINKNNPMFPLYEEEGCFSFQAVSNVLEDKPSGNFSMKKCALINTIGAQNMVRNTHEDSTSDTSDVRCDEEIIVWRKRGNSFSSMRNRGVDHASSLGLMNKDKKLETMIMQPERAVISLPRQKLLVLDLNGLLADILSDPRKAHKADIRIRGKSLFKRPFCDDFLKFCFERFNIGVWSSRVRYNVDSVVDFLMGDFKQKLLFCWDLSKCTTTGFRTIENVHKPLVLKDLKKLWNKEDPDLQWEIGEYTSSNTLLIDDSPYKALCNPPYTSIFPHPYNFYNRHDNSLGPGGDLRVYLEGLAMCDDTQQYVRDHPFGQAAISEKHPDWKFYWQIIKKIPNHSSLT >DRNTG_22286.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21879876:21883553:-1 gene:DRNTG_22286 transcript:DRNTG_22286.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKSYVEPVEIKEVKLKEIDKSLDCIVQQIHEDTPDNKLQVMIHVEDSISGKETTVGKNRWVAKSKVNKELQKSHMHDNGKLLVEFDNVPDISVVSPKENLETLSSDRLEKLKLPPPPVRKIASDHVTLNIPINKNNPMFPLYEEEGCFSFQAVSNVLEDKPSGNFSMKKCALINTIGAQNMVRNTHEDSTSDTSDVRCDEEIIVWRKRGNSFSSMRNRGVDHASSLGLMNKDKKLETMIMQPERAVISLPRQKLLVLDLNGLLADILSDPRKAHKADIRIRGKSLFKRPFCDDFLKFCFERFNIGVWSSRVRYNVDSVVDFLMGDFKQKLLFCWDLSKCTTTGFRTIENVHKPLVLKDLKKLWNKEDPDLQWEIGEYTSSNTLLIDDSPYKALCNPPYTSIFPHPYNFYNRHDNSLGPGGDLRVYLEGLAMCDDTQQYVRDHPFGQAAISEKHPDWKFYWQIIKKIPNHSSLT >DRNTG_15700.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4457971:4458492:1 gene:DRNTG_15700 transcript:DRNTG_15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTPVETVELIQSSHPHGSQDPLSQVISTPELHGRTMVEGDDSHKEKKLATKGGKGAETRARSRAHLTHRGNDGGPSKKK >DRNTG_01877.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21126088:21129970:-1 gene:DRNTG_01877 transcript:DRNTG_01877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTAAAGKPLTAEEEALRRSTDCIYFLASPVTCKKGVECEFRHSDGARLNPRDCWYWLNGSCLNSKCSFRHPPLDGLYGNPGTPGPAAPALQSAAASTQGPAPTTATYNVNKQNVPCYYFQKGLCLKGDWCPFTHGPKPTDISTMQQVAKVSTSTNDPSRTLQALRGSPLKEWTAQQNVSKINVDMPAEGLRLSTNRVARAETAVNNGQAGLKHLPYQPPKGVHPRPQQTKGPLSGGVDLQRPPNRQSQPGTEQLQIYRKADDQLLGGLSGSDALADEANHGRPLLQSRRNLVHTDDLDYQHQDCESDQSHRLSDCDRNEQVSNQFGRGKRSSAEMFVGQRSCVREGKLPLRSGSSDEVDGSDLRLRLMKQRRLNGSSSGLHPDRRGETSRRNDCNAEERNQRRDSQRDRQDNHQEGSIRNRLQGRISASRRLSPDRPADIQPERESDWRRSQGRVSPGRPMSHRGRLSERIKWKPDEVRSADIWSSGGKSILIEDAEQLNFAGPKSLAELKGLKDAESCLSSGEHKGRVLKKQVGHQEPEASFSFEGPKPLSTILKQKRGVASTISRDEEGDNNVNPALSLSVLSNMQSIPLDLDDEEEEGQIRAEDEELPNDQDSCREEEEEEEEEDIADMVDSVVVDNMENQIPRKSEHKDEEESEFEPVDAGDSKQEDEGENTTFQDDIEDVHEDDDEEDEDDFARKVGLLFS >DRNTG_16590.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:160606:162592:1 gene:DRNTG_16590 transcript:DRNTG_16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding METINSFKGYGKVSEAEDREFRRKTRRRLILIIIGLLLLLFIIIGVTAGTIISRKHNNNGTHDSPSPSSGLSTASSIKAMCKITHYPDSCFSSLSSAVSSSSSSKSDPEELFKLSIHVAMDAISNLSSVPDSFSVPTNDKRLREALDVCKELFDDAVDHLNTSVSFPLAGAGREAAD >DRNTG_18586.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14646251:14648976:1 gene:DRNTG_18586 transcript:DRNTG_18586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLWLWSGDLWNLFDNCVDMEFGESATVKYPLVHDLETPGQKQGSVDCAVYIMRFIEQLLWGEKLRLPQTDVPYLRLRYVTRILKEGRAAGVHDKGGSSQAG >DRNTG_21412.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:690166:690504:1 gene:DRNTG_21412 transcript:DRNTG_21412.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLIEPWTVDRWAVVNFSARCDVRGLIRDLIECGNMKGIVS >DRNTG_25349.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24122255:24123873:-1 gene:DRNTG_25349 transcript:DRNTG_25349.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPVALVIIPFGTLFLLSGLIVNIIQAIFFITIRPFSRNMYRRINRVMVELLWLQLVWLFDWWAAIEVQVYADAETLESMGKEHLLVIPNHRSDVDWLVGWILAERTGYLGSTVAIMKKSSKYLPVIGWSMWFSEYLFLERSWAKDEVTLKSGLQNLKDSPRPFMLALFVEGTRFTEAKLSAAQEFAASRGLPIPKNTLVPRTKVYFSSNLPLMRASSLFFHSSIT >DRNTG_25349.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24120569:24123873:-1 gene:DRNTG_25349 transcript:DRNTG_25349.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPVALVIIPFGTLFLLSGLIVNIIQAIFFITIRPFSRNMYRRINRVMVELLWLQLVWLFDWWAAIEVQVYADAETLESMGKEHLLVIPNHRSDVDWLVGWILAERTGYLGSTVAIMKKSSKYLPVIGWSMWFSEYLFLERSWAKDEVTLKSGLQNLKDSPRPFMLALFVEGTRFTEAKLSAAQEFAASRGLPIPKNTLVPRTKGFVSSVNIMRSFVPAIYDVTVAIPRDQPSPTMVRILKGQSSVIHLHLKRHSMNELPDSDDGIAEWCKNIFISKDALLEKHRNCGTFGDKEFKSIGRPKKSLLVIIFWACLVGFAMYEFIRWTALFSTWTGIAFTMVSLLLITITMQIFILFSQSERSTPAKSQACQAEERLEDQLTKSLI >DRNTG_25349.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24120569:24122058:-1 gene:DRNTG_25349 transcript:DRNTG_25349.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFVPAIYDVTVAIPRDQPSPTMVRILKGQSSVIHLHLKRHSMNELPDSDDGIAEWCKNIFISKDALLEKHRNCGTFGDKEFKSIGRPKKSLLVIIFWACLVGFAMYEFIRWTALFSTWTGIAFTMVSLLLITITMQIFILFSQSERSTPAKSQACQAEERLEDQLTKSLI >DRNTG_19929.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18954953:18959409:-1 gene:DRNTG_19929 transcript:DRNTG_19929.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRFRCFDERRRLVPIHRQHVYDEDSEEEDDFEPNWVKVKLAWIEKDSSRNITYKKRKNGLLKKVRELSALCDVKACAIIYPWGGNVPEVWSSTPDPMDVLVPFMQKPELERRKKMVNQVRFLQQQIMKLEEHALKQEKENNELENMVLLGQCLKGKDLHGLYLETISSLNWLVDNKLKLVKEKVVKKKAEMAANGEGSLTTSAVGMHGWCLGFNEHNVIGGEKFGQPSCGNINNDDGDDDNIAWLDGFFPD >DRNTG_10485.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1641397:1642537:1 gene:DRNTG_10485 transcript:DRNTG_10485.1 gene_biotype:protein_coding transcript_biotype:protein_coding AIEGDWCCFLDDQSLSSSAETQASPHTPALGNHQLP >DRNTG_24893.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:340247:341954:-1 gene:DRNTG_24893 transcript:DRNTG_24893.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVMDQNQQQQQPYKHYCRICKKGFGCGRALGGHMRAHGITDEPTNHADTDDDSPPACNDWDDDNTKPNTYKRMYALRANLNRRVCENCGKEFVSWKSFLAHGRCSSDDDSEGDSMGSNGEEDLVGCAAWSKGKRSRRCRTSEEEDLANCLVMLSAARVEPILVAEAEESCASASREEDVNRGLIPVSLGDKCKAPAAPAVPTGVFECKACKKVFNSHQALGGHRASHKKVKGCFAAKLEDLWINTSR >DRNTG_16931.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:11056942:11057496:-1 gene:DRNTG_16931 transcript:DRNTG_16931.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERIPIHLGHIMLITSDIRDRFGQGFALVLPAPEIAEDEGDEAGASQPALEPQPASMETEAPPAAEEPSPVRMFSPPRANDRFERLENAIGLVRAEVSEIRATQATQYTEFMAHFDILQQILERDVASSFIL >DRNTG_25009.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001322.1:19654:21340:-1 gene:DRNTG_25009 transcript:DRNTG_25009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGAFPESFGNLTLLQYFDGTRGNNLSGKLPETVGNLVHLQFLDLSQNAISGKLPDSLGNLRQLQQFRMPGNGITGKLPESAGKLSSLWELDLSKNNINGTLPKGMGNLCKLQTLDFTSNSISGGIDDLIDGLSKCRENKYGSASESSEGLTTLRLGNNKLNGTVPEKIGQLSKLSVLHLSSNSLMGVLTESHFANLTNLAYLDFSYNSLQLNVSENWKPPFNCKTIRMCSCKVGPIFPTWVKTQTQLGDLCLSDAGISGN >DRNTG_33558.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28505503:28519068:1 gene:DRNTG_33558 transcript:DRNTG_33558.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISRKILPVCGRVLFLCPSLRSRSRQPVKRYKKILYEIFPRSQDEEPNDRKIAKLCEYASKNPLRIPKITDYLEQRCYKELRTERFGFAKIVMRIYRKLLISCREQMPLFASSLLSIIHTLLDQTRHDELRIVGCHTLFDFVNCQMDGTYMFNLESLIPRLCQLAQEIGKDEKMNKMRAAGLQALSSMIWFMGEYSHISTELDNIVSVVMENYENLQKKPEYLDNPPTQNSWVHEVCKAEGHVSPSPICITRIPSWKHILKDEVNLTFEEAWNPNFWSKVCMRNMADLAKEATTVRRVLESLFRYFDSNNWWSPQHGLALQVLLDLELLMEKSGQNNHLLISTLIKHLDQKAVLKQPDMQLNIVEVTTSLVKHSRSQTSVALVTSISDLVRHLRRTMQCALCNVDLGDDIIKRNNEFRAALDDCLVLLCKKVCVFP >DRNTG_33558.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28505889:28524299:1 gene:DRNTG_33558 transcript:DRNTG_33558.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISRKILPVCGRVLFLCPSLRSRSRQPVKRYKKILYEIFPRSQDEEPNDRKIAKLCEYASKNPLRIPKITDYLEQRCYKELRTERFGFAKIVMRIYRKLLISCREQMPLFASSLLSIIHTLLDQTRHDELRIVGCHTLFDFVNCQMDGTYMFNLESLIPRLCQLAQEIGKDEKMNKMRAAGLQALSSMIWFMGEYSHISTELDNIVSVVMENYENLQKKPEYLDNPPTQNSWVHEVCKAEGHVSPSPICITRIPSWKHILKDEVNLTFEEAWNPNFWSKVCMRNMADLAKEATTVRRVLESLFRYFDSNNWWSPQHGLALQVLLDLELLMEKSGQNNHLLISTLIKHLDQKAVLKQPDMQLNIVEVTTSLVKHSRSQTSVALVTSISDLVRHLRRTMQCALCNVDLGDDIIKRNNEFRAALDDCLVLLCKKVGDAGPVLDMMAVMLENITSTISVARSTVSAVYRTAQIIASVPNLSYQNKAFPEALFHQLLKAMVHPDRETRVGAHRIFSVVLVPSSVCPCPCSTAPESVKISDLQRTLSRTVSVFSSSAALFEKLKRDKFSFRENGFQDGLDNITHNIDGQQSCTNDAKLYRFQSSKSRMYSMKSSSLPSAVDKCSSDSSPKIMEPIPLRLSNRQIMLLLSSIFAQAIFPENTPENYEAIAHTFSLLLLFSRAKTSVSDALARSFQLAFSLRSISLGSGPLPPSRRRSLFMLATSMIIFCSKAFNVAPLIPIAKLSLNEKTVDPFLHLADDNRLQAMKIERVYGSKADDTDALESLSAAVEMTDNQAREAMVNVILNCVGDLLDLGTSLQSDLSVMRRQLLDDFVPEDEFVESSRQISLAYQNDHKSQLGVASGTPLVDDDLLLEVFESVADPESQPLIGTSNLLSVNQLLESVVETTYHTGRFSVSMTPDVPFKEMASHCEALLMGKQQKMSAFLSAQQKQEWFLAGLPQDPAEDKQSFYLQAHQFNMTQSGNPFLNHNQNAYTNAFHNAPPMFSSSAQNHHSEHFRLPATSPYDNFLKAAGC >DRNTG_33558.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28505503:28524299:1 gene:DRNTG_33558 transcript:DRNTG_33558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISRKILPVCGRVLFLCPSLRSRSRQPVKRYKKILYEIFPRSQDEEPNDRKIAKLCEYASKNPLRIPKITDYLEQRCYKELRTERFGFAKIVMRIYRKLLISCREQMPLFASSLLSIIHTLLDQTRHDELRIVGCHTLFDFVNCQMDGTYMFNLESLIPRLCQLAQEIGKDEKMNKMRAAGLQALSSMIWFMGEYSHISTELDNIVSVVMENYENLQKKPEYLDNPPTQNSWVHEVCKAEGHVSPSPICITRIPSWKHILKDEVNLTFEEAWNPNFWSKVCMRNMADLAKEATTVRRVLESLFRYFDSNNWWSPQHGLALQVLLDLELLMEKSGQNNHLLISTLIKHLDQKAVLKQPDMQLNIVEVTTSLVKHSRSQTSVALVTSISDLVRHLRRTMQCALCNVDLGDDIIKRNNEFRAALDDCLVLLCKKVGDAGPVLDMMAVMLENITSTISVARSTVSAVYRTAQIIASVPNLSYQNKAFPEALFHQLLKAMVHPDRETRVGAHRIFSVVLVPSSVCPCPCSTAPESVKISDLQRTLSRTVSVFSSSAALFEKLKRDKFSFRENGFQDGLDNITHNIDGQQSCTNDAKLYRFQSSKSRMYSMKSSSLPSAVDKCSSDSSPKIMEPIPLRLSNRQIMLLLSSIFAQAIFPENTPENYEAIAHTFSLLLLFSRAKTSVSDALARSFQLAFSLRSISLGSGPLPPSRRRSLFMLATSMIIFCSKAFNVAPLIPIAKLSLNEKTVDPFLHLADDNRLQAMKIERVYGSKADDTDALESLSAAVEMTDNQAREAMVNVILNCVGDLLDSDLSVMRRQLLDDFVPEDEFVESSRQISLAYQNDHKSQLGVASGTPLVDDDLLLEVFESVADPESQPLIGTSNLLSVNQLLESVVETTYHTGRFSVSMTPDVPFKEMASHCEALLMGKQQKMSAFLSAQQKQEWFLAGLPQDPAEDKQSFYLQAHQFNMTQSGNPFLNHNQNAYTNAFHNAPPMFSSSAQNHHSEHFRLPATSPYDNFLKAAGC >DRNTG_33558.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28505889:28519345:1 gene:DRNTG_33558 transcript:DRNTG_33558.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISRKILPVCGRVLFLCPSLRSRSRQPVKRYKKILYEIFPRSQDEEPNDRKIAKLCEYASKNPLRIPKITDYLEQRCYKELRTERFGFAKIVMRIYRKLLISCREQMPLFASSLLSIIHTLLDQTRHDELRIVGCHTLFDFVNCQMDGTYMFNLESLIPRLCQLAQEIGKDEKMNKMRAAGLQALSSMIWFMGEYSHISTELDNIVSVVMENYENLQKKPEYLDNPPTQNSWVHEVCKAEGHVSPSPICITRIPSWKHILKDEVNLTFEEAWNPNFWSKVCMRNMADLAKEATTVRRVLESLFRYFDSNNWWSPQHGLALQVLLDLELLMEKSGQNNHLLISTLIKHLDQKAVLKQPDMQLNIVEVTTSLVKHSRSQTSVALVTSISDLVRHLRRTMQCALCNVDLGDDIIKRNNEFRAALDDCLVLLCKKVGDAGPVLDMMAVMLENITSTISVARSTVSAVYRTAQIIASVPNLSYQNK >DRNTG_25360.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24167620:24172594:-1 gene:DRNTG_25360 transcript:DRNTG_25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SIR [Source:Projected from Arabidopsis thaliana (AT5G04590) UniProtKB/TrEMBL;Acc:A0A178UPZ1] MAATMVGSAKDSAVQMHRFLGLRPVGLAPLRASVRVASVASLPASSSSSSSSSSSSVISAVSTPIKPGSSEVKRSKVELFKEQSNFLRFPLNEELQSETPNINEAATQLIKFHGSYQQTNRDERGVKSYQFMLRTKNPCGKVHNKLYLAMDDLADEFGIGTLRLTTRQTFQLHGILKNNLKTVMSTIIRSMGSTLGACGDLNRNVLAPAAPYVKKEYLFAQETADNIAALLTPQSGAYYDLWVDGEKIMSAEPPEVVEARNDNSHGTNFPDSPEPIYGTQFLPRKFKIAVTVPTDNSVDILTNDIGVVVISDSDGEPQGFNIYVGGGMGRTHRVETTFPRLGEPLGYVPKEDILYAIKAIVATQRENGRRDDRKYSRMKYLISQWGIDKFRSAVEQYYGKKFEPFRDLPEWEFKDYLGWHEQGDGAMFCGLHVDNGRIGGKMKKTLREIIEKYNLNVRLTPNQNIILCDIRRSWRRPITTALAQAGLLHPRYVDPLNLTAMACPALPLCPLAITEAERGIPDFLKRVRAVFDKVGLKYNESIVIRVTGCPNGCARPYMAELGLVGDGPNSYQIWLGGTPNQTTLAQCFMNKVKLQDLEKVLEPLFYNWKRKRLQGESFGTFATRIGFEKLQEMVEKWDGLPEPPSRMNLKLFADRSTYEAMDELARLQNKTAHQLAMEVIRNFVSTQQNGKSE >DRNTG_03581.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13519753:13520357:1 gene:DRNTG_03581 transcript:DRNTG_03581.1 gene_biotype:protein_coding transcript_biotype:protein_coding QHKNNSTISAHIQKNTSKIKKAIIT >DRNTG_19938.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18995126:18996982:1 gene:DRNTG_19938 transcript:DRNTG_19938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNELLSTSPTRSFHELFCCQEWPLDGSMFSLGSALLCIGRASAGISTSFIQFLEVFFLREIGTWSTSATFTSNTTQDFCSNGFMGALVPQVCCFLQDFILVPVMPSLDGYSLLDFDS >DRNTG_28281.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11826613:11829991:1 gene:DRNTG_28281 transcript:DRNTG_28281.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIAPLFLVFCRFSFPSPHSTPPLHHCTPPSPSPMLP >DRNTG_06585.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:566113:566573:1 gene:DRNTG_06585 transcript:DRNTG_06585.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVTSYHDEEAAICGTSSRPPHLPPRTGHLSYQPQC >DRNTG_09868.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:453122:454667:-1 gene:DRNTG_09868 transcript:DRNTG_09868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSWSNNGPIFRSPAQGSHGQQQDIIWAKKEENRNFILPHTTQYGGRQKKGTFGWTFSWPTLGRSLGRFWVTLGRRRRARKLEDHSSPRSKTLKARRQHHSMGDLPRF >DRNTG_28128.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19573737:19574818:-1 gene:DRNTG_28128 transcript:DRNTG_28128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKHQRDKIQHEIEHSDDDRGPRQRAEILELPHGQTPLGVPQHRFPYEQLL >DRNTG_02585.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2571495:2575719:-1 gene:DRNTG_02585 transcript:DRNTG_02585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGSEGGATDKCEAGGKSIVTCLGFLKQASNQAYCAAQKAPTVARSLATEVQRTGVITTATNTAHLELRAIGRGERGARLAVPDLPLVPLDRFAKAFGN >DRNTG_15255.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4347932:4352864:1 gene:DRNTG_15255 transcript:DRNTG_15255.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQAFAREREDAPIPFKAQLEVDSVEEFPLAGKTISGWLDELEMIAKEVQAELVSRDIGCHLVEVLKAVNVVLFESRDFKRFHALTEPKFCHLHTALSSGCGSAILLSIIYIEICQRLGITIVGSCVGEDFLIWPDSGNLEELFKVSSGHSLFAIINGKCVENPRSKASDLDGRSLLQLDIATNRDIIGIALANLIRLHWKRASRSTHGLMLTSPLRSIHGSGKPNTAESSMAPLLRPRDLRLAIMASERLLILQPHNWSLRRDLGMLLYYSK >DRNTG_15255.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4347932:4352864:1 gene:DRNTG_15255 transcript:DRNTG_15255.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQAFAREREDAPIPFKAQLEVDSVEEFPLAGKTISGWLDELEMIAKEVQAELVSRDIGCHLVEVLKAVNVVLFESRDFKRFHALTEPKFCHLHTALSSGCGSAILLSIIYIEICQRLGITIVGSCVGEDFLIWPDSGNLEELFKVSSGHSLFAIINGKCVENPRSKASDLDGRSLLQLDIATNRDIIGIALANLIRLHWKRASRSTHGLMLTSPLRSIHGSGKPNTAESSMAPLLRPRDLRLAIMASERLLILQPHNWSLRRDLGMLLYYSKRYGEAVQELSICMAFAPVEEAEVLEPFVEKLHLLRFEQSWNSQEQTGSLMVP >DRNTG_15255.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4347932:4352864:1 gene:DRNTG_15255 transcript:DRNTG_15255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICCSSSSSSSALLLSLSLSLSRLIPCVRFVVIFWLFSMSSLMASTVAGRSLLRYDGGKKAWRARAERWSHPTSRAAHTLCSLATVPKDPKLEIQKAARQRFVREISFKSKDKDVSLAKALLYVAAEDEAFISYSRDMDAQAFAREREDAPIPFKAQLEVDSVEEFPLAGKTISGWLDELEMIAKEVQAELVSRDIGCHLVEVLKAVNVVLFESRDFKRFHALTEPKFCHLHTALSSGCGSAILLSIIYIEICQRLGITIVGSCVGEDFLIWPDSGNLEELFKVSSGHSLFAIINGKCVENPRSKASDLDGRSLLQLDIATNRDIIGIALANLIRLHWKRASRSTHGLMLTSPLRSIHGSGKPNTAESSMAPLLRPRDLRLAIMASERLLILQPHNWSLRRDLGMLLYYSKRYGEAVQELSICMAFAPVEEAEVLEPFVEKLHLLRFEQSWNSQEQTGSLMVP >DRNTG_15255.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4347932:4352864:1 gene:DRNTG_15255 transcript:DRNTG_15255.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGATTPFVVTIRYDGGKKAWRARAERWSHPTSRAAHTLCSLATVPKDPKLEIQKAARQRFVREISFKSKDKDVSLAKALLYVAAEDEAFISYSRDMDAQAFAREREDAPIPFKAQLEVDSVEEFPLAGKTISGWLDELEMIAKEVQAELVSRDIGCHLVEVLKAVNVVLFESRDFKRFHALTEPKFCHLHTALSSGCGSAILLSIIYIEICQRLGITIVGSCVGEDFLIWPDSGNLEELFKVSSGHSLFAIINGKCVENPRSKASDLDGRSLLQLDIATNRDIIGIALANLIRLHWKRASRSTHGLMLTSPLRSIHGSGKPNTAESSMAPLLRPRDLRLAIMASERLLILQPHNWSLRRDLGMLLYYSKRYGEAVQELSICMAFAPVEEAEVLEPFVEKLHLLRFEQSWNSQEQTGSLMVP >DRNTG_15255.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4347932:4352864:1 gene:DRNTG_15255 transcript:DRNTG_15255.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQAFAREREDAPIPFKAQLEVDSVEEFPLAGKTISGWLDELEMIAKEVQAELVSRDIGCHLVEVLKAVNVVLFESRDFKRFHALTEPKFCHLHTALSSGCGSAILLSIIYIEICQRLGITIVGSCVGEDFLIWPDSGNLEELFKVSSGHSLFAIINGKCVENPRSKASDLDGRSLLQLDIATNRDIIGIALANLIRLHWKRASRSTHGLMLTSPLRSIHGSGKPNTAESSMAPLLRPRDLRLAIMASERLLILQPHNWSLRRDLGMLLYYSKRYGEAVQELSICMAFAPVEEAEVLEPFVEKLHLLRFEQSWNSQEQTGSLMVP >DRNTG_15255.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4347932:4352864:1 gene:DRNTG_15255 transcript:DRNTG_15255.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQAFAREREDAPIPFKAQLEVDSVEEFPLAGKTISGWLDELEMIAKEVQAELVSRDIGCHLVEVLKAVNVVLFESRDFKRFHALTEPKFCHLHTALSSGCGSAILLSIIYIEICQRLGITIVGSCVGEDFLIWPDSGNLEELFKVSSGHSLFAIINGKCVENPRSKASDLDGRSLLQLDIATNRDIIGIALANLIRLHWKRASRSTHGLMLTSPLRSIHGSGKPNTAESSMAPLLRPRDLRLAIMASERLLILQPHNWSLRRDLGMLLYYSKRYGEAVQELSICMAFAPVEEAEVLEPFVEKLHLLRFEQSWNSQEQTGSLMVP >DRNTG_25677.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:980163:980708:1 gene:DRNTG_25677 transcript:DRNTG_25677.3 gene_biotype:protein_coding transcript_biotype:protein_coding DQVVFLIKHQNIQRFPGPLSTSLEQVNLHDCHDDHGSSQNGNPDALKDPSLLNEKIEFLETALIGSLRREKMVEATVRRLEAEIEHMNRLIHQRE >DRNTG_25677.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:979172:980708:1 gene:DRNTG_25677 transcript:DRNTG_25677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVDVANGKNRHVPYRDSKLTFLLQDSLGGNSKTTIIATVSPSICSAGETLSTLKFAQRAKLIQNNAKVNEDASGDVMALQQQIQKLKDQVVFLIKHQNIQRFPGPLSTSLEQVNLHDCHDDHGSSQNGNPDALKDPSLLNEKIEFLETALIGSLRREKMVEATVRRLEAEIEHMNRLIHQRE >DRNTG_25677.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:979837:980708:1 gene:DRNTG_25677 transcript:DRNTG_25677.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQQQIQKLKDQVVFLIKHQNIQRFPGPLSTSLEQVNLHDCHDDHGSSQNGNPDALKDPSLLNEKIEFLETALIGSLRREKMVEATVRRLEAEIEHMNRLIHQRE >DRNTG_34455.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18287942:18290070:-1 gene:DRNTG_34455 transcript:DRNTG_34455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQQEEDKKPAGDQSAHINLKVKGQDGNEVFFRIKRSTQLRKLMNAYCDRQSVDFNSIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGGVVGGLTEV >DRNTG_25079.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:662811:663912:1 gene:DRNTG_25079 transcript:DRNTG_25079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPETNWISFSTPKPPLVSFSSPSPPSHHFLKLEDYFLPTSRSWPLDWFSHPPQEFSSQCVPPIITAVPANSSNSVVEDSASATGEVVEKSSPSPVGPISGQRTSVYRGVTRHRWTGRFEAHLWDNTCKREGQKRKGRQGSLPLTSHANLSYPSLH >DRNTG_30305.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16662660:16663955:1 gene:DRNTG_30305 transcript:DRNTG_30305.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIIRHNPAKTTPSSSSSSPKKPIPFLADLKATDDPADALRLLLHAPPHLHDYPACASLLHRLARSRLFPLVDSLLLFIRSNRIPCKESIFNSLIHHFGKARLPDKALNLFLSIPSFNCSPSSPSRQTLNFLLNALVDNDALHEAESYLARCKEWNLRPNVVSYNIVLKGRCQKYGFENARHLLDEMRKRRVRPSVVSYNILIGFMSRNGCLDGAMRLKEEMVSKGTHPNAVTFALLMEGLCREGKFNEAKKMMFDMEYQGCKTRLVNYGVLMSDCGRRGDLDGMNKVFVEMTRRRLRPDVVTYNILINYLCAHGRVDDAYKVFVEMQLKGCEPSAATYRMMVDGFCIARDFDKGLRVLSTMLCGKHCVKEESFEALIVGLCEGGKVDDACFVLEAMEKRRLVLGFQGWSALVVGSCLHAGKEIELPICM >DRNTG_04654.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30532787:30537994:-1 gene:DRNTG_04654 transcript:DRNTG_04654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRMISFSSFASSSARRLLSFASTGSSSSSSASEPRSEKASSREEERRALVSSKRGLRRWRRFMRLERNMGSMEWLEAMAGVLGKKGFGVFGSDV >DRNTG_04654.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30532787:30535854:-1 gene:DRNTG_04654 transcript:DRNTG_04654.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIRMISFSSFASSSARRLLSFASTGSSSSSSASEPRSEKASSREEERRALVSSKRGLRRWRRFMRLERNMGSMEWLEAMAGVLGKKGFGVFGSDV >DRNTG_04654.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30532787:30535974:-1 gene:DRNTG_04654 transcript:DRNTG_04654.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIRMISFSSFASSSARRLLSFASTGSSSSSSASEPRSEKASSREEERRALVSSKRGLRRWRRFMRLERNMGSMEWLEAMAGVLGKKGFGVFGSDV >DRNTG_19324.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:729883:732703:1 gene:DRNTG_19324 transcript:DRNTG_19324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIASPPLLLRLGRAHSLWSRSPFAAVFSKPSSRRIFFGFGASVLDQLGRMTSLGSRFSTASARPQQDVSPVEQVLKNVEWPEVFPFKAEDFSRFDESSDSLFYSEPRFVTHIDDPAIAALTRYYSKVFPPSNTPGVSLLDLCSSWVSHYPPGYKQDRIVGMGMNEEELKLNPILTDYIVQDLNENPKLPFADDSFDVITNVVSVDYLTKPIEVFKEMQRILKPGGLAVMSFSNRLFWTKAISIWTSTGDVDHAWIVGAYFHYAGGFEPPVAVDISPNPGRSDPMYIVYSRKKLNTV >DRNTG_34996.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24078140:24079003:1 gene:DRNTG_34996 transcript:DRNTG_34996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIRERTPLQRVGKPEEVSSLIAFLCLPAASYITGQVIAVDGGITINGFHNMLD >DRNTG_29539.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18110806:18112466:1 gene:DRNTG_29539 transcript:DRNTG_29539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWELINEPRCQVDYSGKTVHAWVEEMAAYTKSIDNKHLLDIGMEGFYGDSMPEKKQYNPGYQSDKDPGFSVTERDNYMSTIYRNVYNFAKSSDGTFGGGLVWQLIAEGMENYYDGYEIILSQNPSTAGLITRQSNVMASLSQLLN >DRNTG_10725.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21712659:21716465:-1 gene:DRNTG_10725 transcript:DRNTG_10725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGEDDDELIAPLLLANPNPNPNPNSSSSLAVLSPLNSNFSALLSADVLRSILERLSPADLARSACVCRLWRAVASERDVQERAFRAPWGVRCVVGCPSSSAFWRHNGIGRFAISHRLRRGDTVPGLALKYSVQAMDIRRLNNMMSDHGIYSRDRLLIPISKPDLLLDATCFIELDTYAKREAAVLYLEGGPDGKGGYLGRAITERGKRRILDSVKRSMQVDEGTAEYYLSISNGDPRAAILQFSEDLSWEQQRTTA >DRNTG_18650.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3201601:3202763:1 gene:DRNTG_18650 transcript:DRNTG_18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNDYEATASSNQSIAKKPRISPTKPLELQDDIMISKIREVIGKLNCINDDLGSVISMARISMELGKKDDNNLGVVLQHSNQNPRVTTSSVNVSKICGRDRELKILKKLLKEPDASGDCNVNVIPIVGMGGIGKTTLIQHAFNDEQVASFFDEKVWICVSDDFDRSKILQEMVSSLTVSKSEKFIHDRNLDLLEGELKRNLQGKSLLLVLDDVWSSEWEKLLIPLQSSQVRLAKTVVTTRESKVLRKQDEKNKIVLKGIEDHDYWEFFVSCAFGGTKANEHPSLQITGKKIVKKLKGSPLAVKTIGRLLEHNMSNKHWMDVLKSNLWESGTNADDIMPALALSHYTNSQF >DRNTG_22059.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28575541:28576557:1 gene:DRNTG_22059 transcript:DRNTG_22059.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGTGTTTSSPCGACKFLRRKCTRDCIFAPYFNCEQGPARFAAIHKVFGASNVSKLLLHVPVPERCEAVVTISYEAQARIRDPVYGCVSHIFALQHQVALLQAQVMQMKVQLAQKTTAMTATTMASRSSSSLSSENHRHHYWQGINNGDINSETFGHPNYVCMQMQSMSPQSSLDSCCADGILMPSCLSSEGVVTTQLCTKRKAPFDELGELQALALRMMKS >DRNTG_25591.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29814646:29816245:1 gene:DRNTG_25591 transcript:DRNTG_25591.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELLGLPLVELEKRCRHNGLSLCGGREMMVARLLYLEDAEKQRGYDDVRSGHSVSAKYTDDESQIGHSSRHGVADLRTKPFTQKSSQHRVGGDVVGDAMFEPSVDPVSPDSEAGATTIKKGSSTQFLPASKWNREDDGSNDDGDDKNAQVLGLSYSSPGSRNTVGEPEKGDETETETETETVSFSGPRTHNDNSMSEEHRQKLRRLEVAVLEYQESLEEQGMHNKQEIEKKVESHRRRLQSEFGLLDSSDDQSSNKHPTQRTRTGTEQDNSTYESLKKRRRSHSRSRSPPSKSSSRDKGRRSNSRDRHRSKDQSYERDKDRRK >DRNTG_02070.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000104.1:45563:48443:1 gene:DRNTG_02070 transcript:DRNTG_02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSTVDLEAPNERSGCSAGRVAKLPPKGRKLQTCERVSRQDDGFSEWFNC >DRNTG_21959.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2084604:2093013:-1 gene:DRNTG_21959 transcript:DRNTG_21959.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKTIVILLNNNNNNNNNNNNNNNNNNYERS >DRNTG_13626.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6300184:6306898:1 gene:DRNTG_13626 transcript:DRNTG_13626.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase alpha chain [Source:Projected from Arabidopsis thaliana (AT4G02610) UniProtKB/Swiss-Prot;Acc:O22765] MASLSIAPTLGISNTFSRLKEQGKVALIPYITAGDPDLSTTAEALKILDSCGSDIIELGVPYSDPLADGPVIQAAATRALARGTSFSAILAMLKEVIPQLSCPIALFSYYNPILKRGVEKFMGTIKDVGVHGLVVPDVPLEETEVLRNEAAKHGIELVLLTTPTTPQERMKAIVEASEGFVYLVSSVGVTGARASVSTRVQSLLQEIKEATTKPVAVGFGISKPEHVQQVSGWGADGVIVGSAIVKILGDANSPEEGLKELESFARSLKAALPRGQ >DRNTG_13626.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6300184:6306898:1 gene:DRNTG_13626 transcript:DRNTG_13626.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase alpha chain [Source:Projected from Arabidopsis thaliana (AT4G02610) UniProtKB/Swiss-Prot;Acc:O22765] MAVAPNASGLFIPSQNYRRLSPSRLPLISSSRPKSLIAMASLSIAPTLGISNTFSRLKEQGKVALIPYITAGDPDLSTTAEALKILDSCGSDIIELGVPYSDPLADGPVIQAAATRALARGTSFSAILAMLKEVIPQLSCPIALFSYYNPILKRGVEKFMGTIKDVGVHGLVVPDVPLEETEVLRNEAAKHGIELVLLTTPTTPQERMKAIVEASEGFVYLVSSVGVTGARASVSTRVQSLLQEIKEATTKPVAVGFGISKPEHVQQVSGWGADGVIVGSAIVKILGDANSPEEGLKELESFARSLKAALPRGQ >DRNTG_07993.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29983635:29990716:-1 gene:DRNTG_07993 transcript:DRNTG_07993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSASSKRPLPSPTPASQSAATKRSKVEESGSSKGDRENSNEAPMDLHPPAADPTAADPNQGCKGGTVVPEERPGESVDKKAVAARGCSRAEAEQLAQLLAPLDLLRKKVAKARPKAAWGRLISQFKQNPHVFLHPSPFTVGSRSCNLLLKDLSVSSVLCKLRHTEHGGASVPILEISGNKGIVQLNGKTMEPNANVVLTAGDEIVFGSSGKYSYIFDLLVAEKLTAPLLPSSISMAEGQVSPKGIHIETRSGDPSAVAGASILASLSNHKKDISVLPPPGSNGENMQQGPETSTLPSAGDASESCIHDVDIAGETRKGPTENNEGDGISASDFAPNDTFHLNSIGLDARIDSEAGKLSGAKYELRPLLRMLAGSSAADLDLSGSILKVFDDQREATKDLDSQDGLPASRCQAFKDGLRQGILSPSDLQFSFDNFPYYLSENTKNMLLSIAFMHLQCKEFIKYTSDISSISQRVLLSGPTGSEIYQETLTKALAKYFNARLLIIDSLLLPGGSSSKDSESLKEGGKIEKSSLLSKQRAAQADALQFKRPTSSVDPDLVGTSPFNSSSLLKQESSATSKSYTFKEGDRVRYVGPLHSSGFSLQTPQRGPNYGYRGKVVLAFEKGSSKVGVRFDRQIPEGNDLGGLCEEDRGFFCNADLLRLDYSGGEDMERVAINELLEVVSEESQNGPLIVFLKDIEKSMSGSTDSYTSLKAKLELVPAGVLVIGSHTQLDSRKEKTHPGGLLFTKFGSNQTALLDFAFPDNFGRMHDRTKEVPKAMKQLTRLFPNKVTIQIPQVHCS >DRNTG_07993.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29983635:29990716:-1 gene:DRNTG_07993 transcript:DRNTG_07993.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSASSKRPLPSPTPASQSAATKRSKVEESGSSKGDRENSNEAPMDLHPPAADPTAADPNQGCKGGTVVPEERPGESVDKKAVAARGCSRAEAEQLAQLLAPLDLLRKKVAKARPKAAWGRLISQFKQNPHVFLHPSPFTVGSRSCNLLLKDLSVSSVLCKLRHTEHGGASVPILEISGNKGIVQLNGKTMEPNANVVLTAGDEIVFGSSGKYSYIFDLLVAEKLTAPLLPSSISMAEGQVSPKGIHIETRSGDPSAVAGASILASLSNHKKDISVLPPPGSNGENMQQGPETSTLPSAGDASESCIHDVDIAGETRKGPTENNEGSSAADLDLSGSILKVFDDQREATKDLDSQDGLPASRCQAFKDGLRQGILSPSDLQFSFDNFPYYLSENTKNMLLSIAFMHLQCKEFIKYTSDISSISQRVLLSGPTGSEIYQETLTKALAKYFNARLLIIDSLLLPGGSSSKDSESLKEGGKIEKSSLLSKQRAAQADALQFKRPTSSVDPDLVGTSPFNSSSLLKQESSATSKSYTFKEGDRVRYVGPLHSSGFSLQTPQRGPNYGYRGKVVLAFEKGSSKVGVRFDRQIPEGNDLGGLCEEDRGFFCNADLLRLDYSGGEDMERVAINELLEVVSEESQNGPLIVFLKDIEKSMSGSTDSYTSLKAKLELVPAGVLVIGSHTQLDSRKEKTHPGGLLFTKFGSNQTALLDFAFPDNFGRMHDRTKEVPKAMKQLTRLFPNKVTIQIPQVHCS >DRNTG_26137.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28359333:28361109:1 gene:DRNTG_26137 transcript:DRNTG_26137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRVKSMLKLIDEEGDSFAKKAEMYYQRRPELVAHVEDFYRMYRALAERYDHVTGELRKNIQSELQSQGSGNVSDIGSEPPSPSVHSPELIPESKRSRPKASPGKAAGFDFFLRSGGSSDVSRKESDPSSSSESSDSESESENGKEINEHDITEGLNQRIVDLENELHDVKEKLQEYEGSSVNGKCEHMEIDEYNSKISALEEELAVVSKRLRVSEALIVTLKQKLAQGNASSEVEHVELDHERKQVLEMKERIAVLEAEVTDQKKVIEKLDGVIADANKKFEAEVTNRDHAIEGYKAEIANASERFSQEKSHLEADVAKLTGTIVNLRAELNKICASEVSA >DRNTG_02256.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1006235:1011172:-1 gene:DRNTG_02256 transcript:DRNTG_02256.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPSGAGRAPSKYPSIRWERVLPRRSLRVLLVEHDDSTRHIVTALLRKCGYHVAAVADGVKAWEVLIEKEFNFDLVLAEVDMPSLSGIGLLSRIMGTEGCKNIPVIMMSSHDSVSVVLKCMLKGAVDFLVKPVRKNELQNLWQHVWRKHCSSSSANVSDNNAASNHISVNAGEMSETGENSDEGNHAFQSGGRPVVDIGVDEKSMEPLQDGGGYSKDEVEAKQDQVDERLTMDLNDESGDKSLGIPAEVTLPVQNSSLVEQGQKAECSYKTIPFRDEAIGFMRLKQAVSINPSNYCHYNFINEPSSTMNCFMENGAREVVYESARSFHKEDGSYHCSSSFMEFDGCPRQESRERNFLKHSNNSAFSRYGYEKICPSNPHGASTLWIRTTDCVDQSISHPSTDRCDDERNARLSPSKRLASPRMNVDETPLNFQAPPNSNNDDTGFSPSEQLKEHACIDHSSTREDSSFCHPQLGYIPLPIPMGAIPYQTLCAGHHSILQPVFHHDNSLSGHGSRTIGEHIAPSCIPVDQSNPTGSCSHDVMNVSWSNCSGETSAAVVNRGNALESGNESGVQNCNRKVLGHDHSRRAAALVKFRLKRKERCFEKKVRYFSRQKLAEQRPRVKGQFVRQKGVGSSKTTDTEE >DRNTG_02256.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1006235:1011172:-1 gene:DRNTG_02256 transcript:DRNTG_02256.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPSGAGRAPSKYPSIRWERVLPRRSLRVLLVEHDDSTRHIVTALLRKCGYHVAAVADGVKAWEVLIEKEFNFDLVLAEVDMPSLSGIGLLSRIMGTEGCKNIPVIMMSSHDSVSVVLKCMLKGAVDFLVKPVRKNELQNLWQHVWRKHCVCSSC >DRNTG_02256.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1006235:1011172:-1 gene:DRNTG_02256 transcript:DRNTG_02256.9 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKQAVSINPSNYCHYNFINEPSSTMNCFMENGAREVVYESARSFHKEDGSYHCSSSFMEFDGCPRQESRERNFLKHSNNSAFSRYGYEKICPSNPHGASTLWIRTTDCVDQSISHPSTDRCDDERNARLSPSKRLASPRMNVDETPLNFQAPPNSNNDDTGFSPSEQLKEHACIDHSSTREDSSFCHPQLGYIPLPIPMGAIPYQTLCAGHHSILQPVFHHDNSLSGHGSRTIGEHIAPSCIPVDQSNPTGSCSHDVMNVSWSNCSGETSAAVVNRGNALESGNESGVQNCNRKVLGHDHSRRAAALVKFRLKRKERCFEKKVRYFSRQKLAEQRPRVKGQFVRQKGVGSSKTTDTEE >DRNTG_02256.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1006235:1011172:-1 gene:DRNTG_02256 transcript:DRNTG_02256.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPSGAGRAPSKYPSIRWERVLPRRSLRVLLVEHDDSTRHIVTALLRKCGYHVAAVADGVKAWEVLIEKEFNFDLVLAEVDMPSLSGIGLLSRIMGTEGCKNIPVIMMSSHDSVSVVLKCMLKGAVDFLVKPVRKNELQNLWQHVWRKHCSSSSANVSDNNAASNHISVNAGEMSETGENSDEGNHAFSGGRPVVDIGVDEKSMEPLQDGGGYSKDEVEAKQDQVDERLTMDLNDESGDKSLGIPAEVTLPVQNSSLVEQGQKAECSYKTIPFRDEAIGFMRLKQAVSINPSNYCHYNFINEPSSTMNCFMENGAREVVYESARSFHKEDGSYHCSSSFMEFDGCPRQESRERNFLKHSNNSAFSRYGYEKICPSNPHGASTLWIRTTDCVDQSISHPSTDRCDDERNARLSPSKRLASPRMNVDETPLNFQAPPNSNNDDTGFSPSEQLKEHACIDHSSTREDSSFCHPQLGYIPLPIPMGAIPYQTLCAGHHSILQPVFHHDNSLSGHGSRTIGEHIAPSCIPVDQSNPTGSCSHDVMNVSWSNCSGETSAAVVNRGNALESGNESGVQNCNRKVLGHDHSRRAAALVKFRLKRKERCFEKKVRYFSRQKLAEQRPRVKGQFVRQKGVGSSKTTDTEE >DRNTG_02256.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1006235:1011172:-1 gene:DRNTG_02256 transcript:DRNTG_02256.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPSGAGRAPSKYPSIRWERVLPRRSLRVLLVEHDDSTRHIVTALLRKCGYHVAAVADGVKAWEVLIEKEFNFDLVLAEVDMPSLSGIGLLSRIMGTEGCKNIPVIMMSSHDSVSVVLKCMLKGAVDFLVKPVRKNELQNLWQHVWRKHCSSSSANVSDNNAASNHISVNAGEMSETGENSDEGNHAFSGGRPVVDIGVDEKSMEPLQDGGGYSKDEVEAKQDQVDERLTMDLNDESGDKSLGIPAEVTLPVQNSSLVEQGQKAECSYKTIPFRDEAIGFMRLKQAVSINPSNYCHYNFINEPSSTMNCFMENGAREVVYESARSFHKEDGSYHCSSSFMEFDGCPRQESRERNFLKHSNNSAFSRYGYEKICPSNPHGASTLWIRTTDCVDQSISHPSTDRCDDERNARLSPSKRLASPRMNVDETPLNFQAPPNSNNDDTGFSPSEQLKEHACIDHSSTREDSSFCHPQLGYIPLPIPMGAIPYQTLCAGHHSILQPVFHHDNSLSGHGSRTIGEHIAPSCIPVDQSNPTGSCSHDVMNVSWSNCSGETSAAVVNRGNALESGNESGVQNCNRKVLGHDHSRRAAALVKFRLKRKERCFEKKVRYFSRQKLAEQRPRVKGQFVRQKGVGSSKTTDTEE >DRNTG_02256.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1001238:1011172:-1 gene:DRNTG_02256 transcript:DRNTG_02256.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETGENSDEGNHAFQSGGRPVVDIGVDEKSMEPLQDGGGYSKDEVEAKQDQVDERLTMDLNDESGDKSLGIPAEVTLPVQNSSLVEQGQKAECSYKTIPFRDEAIGFMRLKQAVSINPSNYCHYNFINEPSSTMNCFMENGAREVVYESARSFHKEDGSYHCSSSFMEFDGCPRQESRERNFLKHSNNSAFSRYGYEKICPSNPHGASTLWIRTTDCVDQSISHPSTDRCDDERNARLSPSKRLASPRMNVDETPLNFQAPPNSNNDDTGFSPSEQLKEHACIDHSSTREDSSFCHPQLGYIPLPIPMGAIPYQTLCAGHHSILQPVFHHDNSLSGHGSRTIGEHIAPSCIPVDQSNPTGSCSHDVMNVSWSNCSGETSAAVVNRGNALESGNESGVQNCNRKVLGHDHSRRAAALVKFRLKRKERCFEKKVRYFSRQKLAEQRPRVKGQFVRQKGVGSSKTTDTEE >DRNTG_02256.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1006235:1011172:-1 gene:DRNTG_02256 transcript:DRNTG_02256.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPSGAGRAPSKYPSIRWERVLPRRSLRVLLVEHDDSTRHIVTALLRKCGYHVAAVADGVKAWEVLIEKEFNFDLVLAEVDMPSLSGIGLLSRIMGTEGCKNIPVIMMSSHDSVSVVLKCMLKGAVDFLVKPVRKNELQNLWQHVWRKHCSSSSANVSDNNAASNHISVNAGEMSETGENSDEGNHAFSGGRPVVDIGVDEKSMEPLQDGGGYSKDEVEAKQDQVDERLTMDLNDESGDKSLGIPAEVTLPVQNSSLVEQGQKAECSYKTIPFRDEAIGFMRLKQAVSINPSNYCHYNFINEPSSTMNCFMENGAREVVYESARSFHKEDGSYHCSSSFMEFDGCPRQESRERNFLKHSNNSAFSRYGYEKICPSNPHGASTLWIRTTDCVDQSISHPSTDRCDDERNARLSPSKRLASPRMNVDETPLNFQAPPNSNNDDTGFSPSEQLKEHACIDHSSTREDSSFCHPQLGYIPLPIPMGAIPYQTLCAGHHSILQPVFHHDNSLSGHGSRTIGEHIAPSCIPVDQSNPTGSCSHDVMNVSWSNCSGETSAAVVNRGNALESGNESGVQNCNRKVLGHDHSRRAAALVKFRLKRKERCFEKKVRYFSRQKLAEQRPRVKGQFVRQKGVGSSKTTDTEE >DRNTG_02256.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1006235:1011172:-1 gene:DRNTG_02256 transcript:DRNTG_02256.10 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKQAVSINPSNYCHYNFINEPSSTMNCFMENGAREVVYESARSFHKEDGSYHCSSSFMEFDGCPRQESRERNFLKHSNNSAFSRYGYEKICPSNPHGASTLWIRTTDCVDQSISHPSTDRCDDERNARLSPSKRLASPRMNVDETPLNFQAPPNSNNDDTGFSPSEQLKEHACIDHSSTREDSSFCHPQLGYIPLPIPMGAIPYQTLCAGHHSILQPVFHHDNSLSGHGSRTIGEHIAPSCIPVDQSNPTGSCSHDVMNVSWSNCSGETSAAVVNRGNALESGNESGVQNCNRKVLGHDHSRRAAALVKFRLKRKERCFEKKVRYFSRQKLAEQRPRVKGQFVRQKGVGSSKTTDTEE >DRNTG_02256.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1001238:1003621:-1 gene:DRNTG_02256 transcript:DRNTG_02256.12 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFGRALRKKVVVIGGGIAGALLAKSIQFQTDVTLIDPKEYFEIPWANLRSKVEPSLAERAVINHTEYLTNGRIITSSAVNITGTDVLTTEGRKIPYDYLVIATGHSYSTPRTRRDRLEQFQEENIKIKSSGSILIVGGGPAGVELAGEIAMDYPDKKVTLVHSGPRLLEFIGLKASQKALDWLKSKNVEVLLEQSIDLSTLSDADRVFKTSTGEIITADSHFVCVAKPLGSQWLRESIVKDYLDRNGRLMVDENLRVGGSNNIFAIGDITDIPELKQGDVAQRHALLVAKNLKLLIKGGKESKLIKYKTASAKTMVSLGRKDAVAQHPLATIIGHLRGVIRSRDLFIGKMRKQMGLESHPS >DRNTG_02256.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1001238:1011172:-1 gene:DRNTG_02256 transcript:DRNTG_02256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPSGAGRAPSKYPSIRWERVLPRRSLRVLLVEHDDSTRHIVTALLRKCGYHVAAVADGVKAWEVLIEKEFNFDLVLAEVDMPSLSGIGLLSRIMGTEGCKNIPVIMMSSHDSVSVVLKCMLKGAVDFLVKPVRKNELQNLWQHVWRKHCSSSSANVSDNNAASNHISVNAGEMSETGENSDEGNHAFQSGGRPVVDIGVDEKSMEPLQDGGGYSKDEVEAKQDQVDERLTMDLNDESGDKSLGIPAEVTLPVQNSSLVEQGQKAECSYKTIPFRDEAIGFMRLKQAVSINPSNYCHYNFINEPSSTMNCFMENGAREVVYESARSFHKEDGSYHCSSSFMEFDGCPRQESRERNFLKHSNNSAFSRYGYEKICPSNPHGASTLWIRTTDCVDQSISHPSTDRCDDERNARLSPSKRLASPRMNVDETPLNFQAPPNSNNDDTGFSPSEQLKEHACIDHSSTREDSSFCHPQLGYIPLPIPMGAIPYQTLCAGHHSILQPVFHHDNSLSGHGSRTIGEHIAPSCIPVDQSNPTGSCSHDVMNVSWSNCSGETSAAVVNRGNALESGNESGVQNCNRKVLGHDHSRRAAALVKFRLKRKERCFEKKVRYFSRQKLAEQRPRVKGQFVRQKGVGSSKTTDTEE >DRNTG_02256.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1006235:1011172:-1 gene:DRNTG_02256 transcript:DRNTG_02256.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPSGAGRAPSKYPSIRWERVLPRRSLRVLLVEHDDSTRHIVTALLRKCGYHVAAVADGVKAWEVLIEKEFNFDLVLAEVDMPSLSGIGLLSRIMGTEGCKNIPVIMMSSHDSVSVVLKCMLKGAVDFLVKPVRKNELQNLWQHVWRKHCSSSSANVSDNNAASNHISVNAGEMSETGENSDEGNHAFQSGGRPVVDIGVDEKSMEPLQDGGGYSKDEVEAKQDQVDERLTMDLNDESGDKSLGIPAEVTLPVQNSSLVEQGQKAECSYKTIPFRDEAIGFMRLKQAVSINPSNYCHYNFINEPSSTMNCFMENGAREVVYESARSFHKEDGSYHCSSSFMEFDGCPRQESRERNFLKHSNNSAFSRYGYEKICPSNPHGASTLWIRTTDCVDQSISHPSTDRCDDERNARLSPSKRLASPRMNVDETPLNFQAPPNSNNDDTGFSPSEQLKEHACIDHSSTREDSSFCHPQLGYIPLPIPMGAIPYQTLCAGHHSILQPVFHHDNSLSGHGSRTIGEHIAPSCIPVDQSNPTGSCSHDVMNVSWSNCSGETSAAVVNRGNALESGNESGVQNCNRKVLGHDHSRRAAALVKFRLKRKERCFEKKVRYFSRQKLAEQRPRVKGQFVRQKGVGSSKTTDTEE >DRNTG_02256.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1006235:1011172:-1 gene:DRNTG_02256 transcript:DRNTG_02256.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPSGAGRAPSKYPSIRWERVLPRRSLRVLLVEHDDSTRHIVTALLRKCGYHVAAVADGVKAWEVLIEKEFNFDLVLAEVDMPSLSGIGLLSRIMGTEGCKNIPVIMMSSHDSVSVVLKCMLKGAVDFLVKPVRKNELQNLWQHVWRKHCSSSSANVSDNNAASNHISVNAGEMSETGENSDEGNHAFQSGGRPVVDIGVDEKSMEPLQDGGGYSKDEVEAKQDQVDERLTMDLNDESGDKSLGIPAEVTLPVQNSSLVEQGQKAECSYKTIPFRDEAIGFMRLKQAVSINPSNYCHYNFINEPSSTMNCFMENGAREVVYESARSFHKEDGSYHCSSSFMEFDGCPRQESRERNFLKHSNNSAFSRYGYEKICPSNPHGASTLWIRTTDCVDQSISHPSTDRCDDERNARLSPSKRLASPRMNVDETPLNFQAPPNSNNDDTGFSPSEQLKEHACIDHSSTREDSSFCHPQLGYIPLPIPMGAIPYQTLCAGHHSILQPVFHHDNSLSGHGSRTIGEHIAPSCIPVDQSNPTGSCSHDVMNVSWSNCSGETSAAVVNRGNALESGNESGVQNCNRKVLGHDHSRRAAALVKFRLKRKERCFEKKVRYFSRQKLAEQRPRVKGQFVRQKGVGSSKTTDTEE >DRNTG_33010.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26191229:26194824:1 gene:DRNTG_33010 transcript:DRNTG_33010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETMRAVLYNGYGAGASGLKHVEIPVPSPKKDEILLKVEAASLNPVDWKIQDGALRPLFPPKFPFVPVIDVAGEVVGVGTGVKSFKPGEKVVSLLNFRKGGGLAEYAIAEESLTVTRPPEVSPAEGAGLPIAALTALQALKTVGIKFDGASNAANILITAASGGVGHYAVQLAKLGNSHVTATCGARNVELVKSLGADEVLDYKTPEGASLKSPSGKKYDSVIHCTTGIGWSVFEQNLNANGMVIDITPTLWSTISYVFSKLTFAKKQLVPLLLSPKKEDMEFLVDLVKEGKLKALVDSKHPLNKAEDAWAKIMGGHATGKVIVEM >DRNTG_07033.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3479427:3480262:1 gene:DRNTG_07033 transcript:DRNTG_07033.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNCHIFKNMTKKKKKTKTKKKKKALL >DRNTG_07033.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3478757:3480262:1 gene:DRNTG_07033 transcript:DRNTG_07033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNCHIFKNMTKKKKKTKTKKKKKALL >DRNTG_33223.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19384238:19387196:1 gene:DRNTG_33223 transcript:DRNTG_33223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHVQGGQCGNQIGAKFWEVICDEHGIDNTGKYTGDSDIQIERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPVGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGITCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPKGIKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADGEEDYEDEEEEETN >DRNTG_06611.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:514364:516643:1 gene:DRNTG_06611 transcript:DRNTG_06611.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKKATCNSHGQDSSYFLGWQEYEKNPYDPITNPSGIIQMGLAENQLSFDLLESWLASHPDAIALKQDGAFLFRELALFQDYHGLPAFKNALTEFMSEIRGNKVGFDPDKLVLTAGATSANETLMFCLADPGDAFLLPTPYYPGFDRDLKWRTGAEIVPIHCSSANGFKITKPALEDAYQRAAKRKLRVKGVLITNPSNPLGTTMTRDELDILINFIASQNIHLISDEIYSGTVFDFPGFISVKEVIKGRDDVSDKVHIVCSLSKDLGLPGFRVGAIYSNNNGVIAAATKMSSFGLISSQTQYLLSVMLSDKEFTRKYIAENKRRLAKRQQMLINGLEDAGIGCLNSNAGLFCWVDMRHLLKSKTFEGEMELWKKILYEVGLNISPGASCHCQEPGWFRVCFSNMSEDTLNLAMQRLKSFVDSGRHRTARSSNSMPFFAKWVRRLSPSERKSER >DRNTG_06611.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:514751:516643:1 gene:DRNTG_06611 transcript:DRNTG_06611.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIRGNKVGFDPDKLVLTAGATSANETLMFCLADPGDAFLLPTPYYPGFDRDLKWRTGAEIVPIHCSSANGFKITKPALEDAYQRAAKRKLRVKGVLITNPSNPLGTTMTRDELDILINFIASQNIHLISDEIYSGTVFDFPGFISVKEVIKGRDDVSDKVHIVCSLSKDLGLPGFRVGAIYSNNNGVIAAATKMSSFGLISSQTQYLLSVMLSDKEFTRKYIAENKRRLAKRQQMLINGLEDAGIGCLNSNAGLFCWVDMRHLLKSKTFEGEMELWKKILYEVGLNISPGASCHCQEPGWFRVCFSNMSEDTLNLAMQRLKSFVDSGRHRTARSSNSMPFFAKWVRRLSPSERKSER >DRNTG_13052.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23748972:23751244:-1 gene:DRNTG_13052 transcript:DRNTG_13052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVGALNVAPLNLHGSNSGVPVPSSAFFGSSLKKVSSGPSYGRLSTGTFKVMAADLDESKQTQTDRWGHLYSDTSDDQQDITRGKGLVDSLFQAPMGDGTHVAVLNSYEYISQGLRTYNLDNTVDGFYIAPAFMDKLVVHITKNFMKLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADLIAKGKMCALFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADCPTNVQLPGMYNKQENARVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCTGIFRTDNVPKEDIVKLVDAFPGQSIDFFGALRARVYDDEVRNWIAGLGVDKVGKRLVNSREGPPTFEQPKMTLEKLMEYGNMLVKEQENVKRVQLADKYLSEAALGDANEDAMQTGTFYRQGA >DRNTG_13052.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23748972:23751244:-1 gene:DRNTG_13052 transcript:DRNTG_13052.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVGALNVAPLNLHGSNSGVPVPSSAFFGSSLKKVSSGPSYGRLSTGTFKVMAADLDESKQTQTDRWGHLYSDTSDDQQDITRGKGLVDSLFQAPMGDGTHVAVLNSYEYISQGLRTYNLDNTVDGFYIAPAFMDKLVVHITKNFMKLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADLIAKGKMCALFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADCPTNVQLPGMYNKQENARVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCTGIFRTDNVPKEDIVKLVDAFPGQSIDFFGALRARVYDDEVRNWIAGLGVDKVGKRLVNSREGPPTFEQPKMTLEKLMEYGNMLVKEQENVKRVQLADKYLSEAALGDANEDAMQTGTFYR >DRNTG_27171.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:728178:732025:1 gene:DRNTG_27171 transcript:DRNTG_27171.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTKKVKALMFTRSSASSKGIGEQPSLTEKCHPSSKTKRKAGRGKGVKKKTKSRGCGLTVRRNAKNNHQEVNQCHPKFSILSWLIDSGTLTENEKVVYIKENRRVNAATGLITRGGIWCHCCEEVIPPSEFELHAGSNLHQPWDHIFRMSGKSLMQCLTVAWEKEKKRRKPGHQTLGASDDDDSDDTCGVCADGGHLICCDACPSTFHQDCLMLKALPEGSWYCPYCRCPFCMVAEDGSYVASEVLTLHSCKQCGCKYHQECAWANAIGEMDSVQSSLCGINCQKVAAQLSDIVGITNPIEGGLSWTLLRRLDEEERGICRQISSLQMECNAKLSLALLVLHECFVPLVDQRTGVDKISQAVYNCGSNFNRLNYEGFYTIILEKNGEIITAATLRFHGTHLAEMPFIGTQPSYQRKGMCRHLLKAIELVLYSLNIKKLIIPAIPDLLETWTRSFGFRPLEQSDKDEIRNLSMMVFAGTTLLQKSICNTEETNTRRNICSRGDGVNIREAFEGGRHMRSNIIDTQLSTFSPGLFAILHPEVRTSV >DRNTG_27171.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:730129:732025:1 gene:DRNTG_27171 transcript:DRNTG_27171.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNAKLSLALLVLHECFVPLVDQRTGVDKISQAVYNCGSNFNRLNYEGFYTIILEKNGEIITAATLRFHGTHLAEMPFIGTQPSYQRKGMCRHLLKAIELVLYSLNIKKLIIPAIPDLLETWTRSFGFRPLEQSDKDEIRNLSMMVFAGTTLLQKSICNTEETNTRRNICSRGDGVNIREAFEGGRHMRSNIIDTQLSTFSPGLFAILHPEVRTSV >DRNTG_27171.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:728178:729003:1 gene:DRNTG_27171 transcript:DRNTG_27171.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTKKVKALMFTRSSASSKGIGEQPSLTEKCHPSSKTKRKAGRGKGVKKKTKSRGCGLTVRRNAKNNHQEVNQCHPKFSILSWLIDSGTLTENEKVVYIKENRRVNAATGLITRGGIWCHCCEEVIPPSEFELHAGSNLHQPWDHIFRMSGKSLMQCLTVAWEKEKKRRKPGHQTLGASDDDDSDDTCGVCADGGHLICCDACPSTFHQDCLMLK >DRNTG_11044.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10550268:10556585:1 gene:DRNTG_11044 transcript:DRNTG_11044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKIIKRGGRKPSSKSEANEGAVFSSGYAAPFHNPRQASSVVSVNHASRAASASAVPAAGAVAPAPAAAPAIEALPLFRDVPAGERQALFLRKLQICSVVFDFSDTLRSAREKEAKRQTLQELVDFVQSGSSGRLSEPVQEELVRMVATNIFRALPPAAHENTGSPESADPEEEDPYLDPTWPHLQIVYELLLRYVISSDTDTKVAKRYIDHSFVLRLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETERHSGIGELLEILGSIINGFALPMKEEHKLFLVRALIPLHKPKCIAMYHQQLAYCITQFVEKDYKLADTVIRGLLKYWPVTNCGKEVLFLGELEEVLEATQPAEFQRCMVPLFKQIGRCLNSSHFQVAERALFLWNNDHIVSLIAQNRNVIFPIIFEALERNMQGHWNQAVHGLTANVRKMFLDMDNDLFEECQRQFIEKESNAKALEEQRELTWKRLEEMVEINAAAPDEMVLVS >DRNTG_30514.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:795991:797814:1 gene:DRNTG_30514 transcript:DRNTG_30514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNSSLEHPKIHGEKEEGAWPVKKRSKKTLFWESLGS >DRNTG_30150.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3310466:3316015:1 gene:DRNTG_30150 transcript:DRNTG_30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSAACAEGSCTDGPVLSLLSKRLRNLRKKYNRIVQMEEAQAQGKPLNKEQEEVVRSKPAVAALIDEYERLRQPLSVALQEEISRFSTPPPPPPKDDDEDESAVEDLVKLLYFASLFDVKPQSEFAATMLTRTHERGCCLTYDYVTDDASTDLLGERDLDAISALGALLTSRPASSGVSHRDALRGCVRHARLWLQSSDEAIHPGSYDTYTVLREKLNKIMASDYYTTTPEMKAPVDVAAAVEKYTSSCQVQVSETSVVPSPDEQVEGAPVGDQHKEDEHENHGGSQSNPDLPTHVEDHLILDEVDTPEEDITNEQDQQKLEPDTEEQQYQMTDEIKDQQQYTARRPYPNQRGGGRVSGRRGYANPRGGGGRGGRGGGGYPNGRNHYDSGYGYQARNYPNPRGRGGGRSGGTATYGAANHLGHSPRNVELNAVS >DRNTG_32896.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1067601:1073540:-1 gene:DRNTG_32896 transcript:DRNTG_32896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQFKRLSSGAGGGVQRARSGGFRPSIILLLLFSFLAALAFIAARSARNDEQKGAMDVSNKKVLDWREQLALESMKSVLTKEVIDSISASKNELGPWSLDLFRRNHVSSSWIIEGLDDQQKNASSIDSSQTASDAEQKTSLTKSEKNTSAGDFKRTDEGKQDDTDGHQPVDTPAKLARRQLREKRREKRAMELVQQDEEALIRLENAAIERSKSVDSAVLGKYSIWRRDNENENPDSTVRLMRDQMIMARVYSIIAKTRNKHDLYQELQTRLKECQRAVGEANADADLHHSAPERMKAMGQALSKARQELYDCKAVTQRLRTMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIAYYLLPPEKRKFPRSENLENPNLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNYGAMNMWFLLNPPGKATIHVENVDDFKWLNSSYCPVLRQLESAAMKEYYFKADHPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTPLWLVDLKGKVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNMFDLKEWKRKDITGIYHRWQNLNEDRVLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIDRSEIDNAAVVHYNGNMKPWLELAMTRYRHYWTKYIKYDHPYIRNCNLND >DRNTG_32952.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30886428:30906735:1 gene:DRNTG_32952 transcript:DRNTG_32952.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2410 [Source:Projected from Arabidopsis thaliana (AT2G25660) UniProtKB/TrEMBL;Acc:F4ISL7] MSKGDTFPNLHGQLDVNGLAFQLLDAPSCFSEVKAGLCFRGQRIFLHNASGWFGDAPLEASGDFGINPENGEFHLMCQVPCIEVNALMRTLNMRPLIFPLAGSVTAVFNCQGPLDAPVFVGSGMISRKTSNLVTMPPSSASEAVIKNKEAGAVAAFDRIPFSHVSANFTFNLDNSVADLYGIRASLLDGGEIRGAGNAWICPEGEVDESALDVNLSGHLLFENVMNRYLPNDILLTPLKIGELNGETKLSGSLLRPRFDIKWAAPKAEDSFTDSRGHIVISHDCMTVASSSIAFELFTKVQTSYPDDYWSNRKNVDFWRTMPLVVETVDLDLRMRGFEFASLISSSSFGSPRPLHLKGTGKIKFQGKVVKYDINDMHNVQMNEKTSLVGEVSLSGIKLNQLMLAPQLAGSLCISHKAVKLAATGRPDENLTVEVIEPLWFTVDHSLQKRRLMSVSLQKGQLRANVSYQPEHYVNLEVRQLPLDELELASLRGTVQRAELELNYQTRRGHGLLSVLHPKFSGVLGEALDVAARWSGDVITIEKTVLEQASSRYELQGEYVLPRMRERHPADNKRDGFFKKAMAGHLGRIISSMGRWRVRLEVPGAEVAEMLPLARLLSRSTDPAVRSRSKDLFIQSLQSAGIRADSLRELLKELKSQYYGSDDVILEDIALPGLQELKGCWHGSLDASGGGNGDTMADFDFHGEDWEWGSYKTQRVLASGAYSNNDGLRLEKLFIQKDNATLHADGCLLGPITNLHFAVLNLPVGLVPTLVQVVGPSATESVHSLWQLLTPLKGILHMEGDLRGTFAKPECDVQIRLLDGAVGGIDLGRAEIVASITSTSRFLFKANFEPVTQSGHVHIQGSVPVAYVQNDLEEEIDKERRIAGGAIRIPVWVKDAGRGSTDETNEKKVIRDRPEEGWELQIAEKFKGLNWNILDDAEVRIDADIKDGGMMLVTALSPYAEWVHGYADIVLQVRGTIEQPIVDGSASVHRASVSSPVLRKPLTNIGGSVQVISNRLCISSLESRVSRKGKLFLKGNLPLKISESSSSDKIDVKCEVLEVRAKNILSGQVDSQMQITGSILQPNITGMIKLSHGEAYLPHDKGNGAAINTLTSRQSAFPTAGYSGIGASGHVSRFFGSLSSSYDRLPQPAGSESEIRKEIDQAIARPGVDIWLTDLKLVLGPELKIVYPLILNFAVSGELELNGLAHPKWIKPKGILSFENGDVNLVATQVRLKRDHLNIAKFEPELGLDPILDLVLVGSEWQFKIQSRASTWQDNLVVTSTRSVDQDVLSPTEAARAFESQLAESLLEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTVDPLRSLANNISFGTEVEVQLGKRIQASIVRQMKDSEMAMQWTLMYQLTSRLRVLFQSAPSNRLLFEYSATSQD >DRNTG_32952.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30890732:30906735:1 gene:DRNTG_32952 transcript:DRNTG_32952.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2410 [Source:Projected from Arabidopsis thaliana (AT2G25660) UniProtKB/TrEMBL;Acc:F4ISL7] MSKGDTFPNLHGQLDVNGLAFQLLDAPSCFSEVKAGLCFRGQRIFLHNASGWFGDAPLEASGDFGINPENGEFHLMCQVPCIEVNALMRTLNMRPLIFPLAGSVTAVFNCQGPLDAPVFVGSGMISRKTSNLVTMPPSSASEAVIKNKEAGAVAAFDRIPFSHVSANFTFNLDNSVADLYGIRASLLDGGEIRGAGNAWICPEGEVDESALDVNLSGHLLFENVMNRYLPNDILLTPLKIGELNGETKLSGSLLRPRFDIKWAAPKAEDSFTDSRGHIVISHDCMTVASSSIAFELFTKVQTSYPDDYWSNRKNVDFWRTMPLVVETVDLDLRMRGFEFASLISSSSFGSPRPLHLKGTGKIKFQGKVVKYDINDMHNVQMNEKTSLVGEVSLSGIKLNQLMLAPQLAGSLCISHKAVKLAATGRPDENLTVEVIEPLWFTVDHSLQKRRLMSVSLQKGQLRANVSYQPEHYVNLEVRQLPLDELELASLRGTVQRAELELNYQTRRGHGLLSVLHPKFSGVLGEALDVAARWSGDVITIEKTVLEQASSRYELQGEYVLPRMRERHPADNKRDGFFKKAMAGHLGRIISSMGRWRVRLEVPGAEVAEMLPLARLLSRSTDPAVRSRSKDLFIQSLQSAGIRADSLRELLKELKSQYYGSDDVILEDIALPGLQELKGCWHGSLDASGGGNGDTMADFDFHGEDWEWGSYKTQRVLASGAYSNNDGLRLEKLFIQKDNATLHADGCLLGPITNLHFAVLNLPVGLVPTLVQVVGPSATESVHSLWQLLTPLKGILHMEGDLRGTFAKPECDVQIRLLDGAVGGIDLGRAEIVASITSTSRFLFKANFEPVTQSGHVHIQGSVPVAYVQNDLEEEIDKERRIAGGAIRIPVWVKDAGRGSTDETNEKKVIRDRPEEGWELQIAEKFKGLNWNILDDAEVRIDADIKDGGMMLVTALSPYAEWVHGYADIVLQVRGTIEQPIVDGSASVHRASVSSPVLRKPLTNIGGSVQVISNRLCISSLESRVSRKGKLFLKGNLPLKISESSSSDKIDVKCEVLEVRAKNILSGQVDSQMQITGSILQPNITGMIKLSHGEAYLPHDKGNGAAINTLTSRQSAFPTAGYSGIGASGHVSRFFGSLSSSYDRLPQPAGSESEIRKEIDQAIARPGVDIWLTDLKLVLGPELKIVYPLILNFAVSGELELNGLAHPKWIKPKGILSFENGDVNLVATQVRLKRDHLNIAKFEPELGLDPILDLVLVGSEWQFKIQSRASTWQDNLVVTSTRSVDQDVLSPTEAARAFESQLAESLLEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTVDPLRSLANNISFGTEVEVQLGKRIQASIVRQMKDSEMAMQWTLMYQLTSRLRVLFQSAPSNRLLFEYSATSQD >DRNTG_32952.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30886428:30906735:1 gene:DRNTG_32952 transcript:DRNTG_32952.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2410 [Source:Projected from Arabidopsis thaliana (AT2G25660) UniProtKB/TrEMBL;Acc:F4ISL7] MSKGDTFPNLHGQLDVNGLAFQLLDAPSCFSEVKAGLCFRGQRIFLHNASGWFGDAPLEASGDFGINPENGEFHLMCQVPCIEVNALMRTLNMRPLIFPLAGSVTAVFNCQGPLDAPVFVGSGMISRKTSNLVTMPPSSASEAVIKNKEAGAVAAFDRIPFSHVSANFTFNLDNSVADLYGIRASLLDGGEIRGAGNAWICPEGEVDESALDVNLSGHLLFENVMNRYLPNDILLTPLKIGELNGETKLSGSLLRPRFDIKWAAPKAEDSFTDSRGHIVISHDCMTVASSSIAFELFTKVQTSYPDDYWSNRKNVDFWRTMPLVVETVDLDLRMRGFEFASLISSSSFGSPRPLHLKGTGKIKFQGKVVKYDINDMHNVQMNEKTSLVGEVSLSGIKLNQLMLAPQLAGSLCISHKAVKLAATGRPDENLTVEVIEPLWFTVDHSLQKRRLMSVSLQKGQLRANVSYQPEHYVNLEVRQLPLDELELASLRGTVQRAELELNYQTRRGHGLLSVLHPKFSGVLGEALDVAARWSGDVITIEKTVLEQASSRYELQGEYVLPRMRERHPADNKRDGFFKKAMAGHLGRIISSMGRWRVRLEVPGAEVAEMLPLARLLSRSTDPAVRSRSKDLFIQSLQSAGIRADSLRELLKELKSQYYGSDDVILEDIALPGLQELKGCWHGSLDASGGGNGDTMADFDFHGEDWEWGSYKTQRVLASGAYSNNDGLRLEKLFIQKDNATLHADGCLLGPITNLHFAVLNLPVGLVPTLVQVVGPSATESVHSLWQLLTPLKGILHMEGDLRGTFAKPECDVQIRLLDGAVGGIDLGRAEIVASITSTSRFLFKANFEPVTQSGHVHIQGSVPVAYVQNDLEEEIDKERRIAGGAIRIPVWVKDAGRGSTDETNEKKVIRDRPEEGWELQIAEKFKGLNWNILDDAEVRIDADIKDGGMMLVTALSPYAEWVHGYADIVLQVRGTIEQPIVDGSASVHRASVSSPVLRKPLTNIGGSVQVISNRLCISSLESRVSRKGKLFLKGNLPLKISESSSSDKIDVKCEVLEVRAKNILSGQVDSQMQITGSILQPNITGMIKLSHGEAYLPHDKGNGAAINTLTSRQSAFPTAGYSGIGASGHVSRFFGSLSSSYDRLPQPAGSESEIRKEIDQAIARPGVDIWLTDLKLVLGPELKIVYPLILNFAVSGELELNGLAHPKWIKPKGILSFENGDVNLVATQVRLKRDHLNIAKFEPELGLDPILDLVLVGSEWQFKIQSRASTWQDNLVVTSTRSVDQDVLSPTEAARAFESQLAESLLEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTVDPLRSLANNISFGTEVEVQLGKRIQASIVRQMKDSEMAMQWTLMYQLTSRLRVLFQSAPSNRLLFEYSATSQD >DRNTG_32952.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30886428:30906735:1 gene:DRNTG_32952 transcript:DRNTG_32952.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2410 [Source:Projected from Arabidopsis thaliana (AT2G25660) UniProtKB/TrEMBL;Acc:F4ISL7] MQISKNPLGVKVPGSGLKSWFRMMLKPIRRTFKRDSNRKSISEAGITSKERILRHSAAAALAYFQDVNSRKSSRSNTEQGSDPPDRGNGDTGVEAFTVNEEVPGNANITLLDMDNKHGSTDALDKVHIADDKFECTLLSSNNNRKVPERSLSNPHNPNGGYIEEFGHADHDQGVSYDDIQVPLLELFDESAGISRYTACQSSTLRKFWPSMRMHHSMPIYPTILKTTLLQLSKTVTEHLPDQFHSQLRKLKSCFIIKFEELAAELANGTNGNSCEGIHKLIPISLDSVQFTGGTLMLLAYGDIEPRAMVNANGHVKFQNHYGQIHVQLNGDCLQWRSDSLPHDGGHLSTDVFVDTIEQKWHVNLKIANLFAPLFERILEIPVTLSRGRASGEVHICMSKGDTFPNLHGQLDVNGLAFQLLDAPSCFSEVKAGLCFRGQRIFLHNASGWFGDAPLEASGDFGINPENGEFHLMCQVPCIEVNALMRTLNMRPLIFPLAGSVTAVFNCQGPLDAPVFVGSGMISRKTSNLVTMPPSSASEAVIKNKEAGAVAAFDRIPFSHVSANFTFNLDNSVADLYGIRASLLDGGEIRGAGNAWICPEGEVDESALDVNLSGHLLFENVMNRYLPNDILLTPLKIGELNGETKLSGSLLRPRFDIKWAAPKAEDSFTDSRGHIVISHDCMTVASSSIAFELFTKVQTSYPDDYWSNRKNVDFWRTMPLVVETVDLDLRMRGFEFASLISSSSFGSPRPLHLKGTGKIKFQGKVVKYDINDMHNVQMNEKTSLVGEVSLSGIKLNQLMLAPQLAGSLCISHKAVKLAATGRPDENLTVEVIEPLWFTVDHSLQKRRLMSVSLQKGQLRANVSYQPEHYVNLEVRQLPLDELELASLRGTVQRAELELNYQTRRGHGLLSVLHPKFSGVLGEALDVAARWSGDVITIEKTVLEQASSRYELQGEYVLPRMRERHPADNKRDGFFKKAMAGHLGRIISSMGRWRVRLEVPGAEVAEMLPLARLLSRSTDPAVRSRSKDLFIQSLQSAGIRADSLRELLKELKSQYYGSDDVILEDIALPGLQELKGCWHGSLDASGGGNGDTMADFDFHGEDWEWGSYKTQRVLASGAYSNNDGLRLEKLFIQKDNATLHADGCLLGPITNLHFAVLNLPVGLVPTLVQVVGPSATESVHSLWQLLTPLKGILHMEGDLRGTFAKPECDVQIRLLDGAVGGIDLGRAEIVASITSTSRFLFKANFEPVTQSGHVHIQGSVPVAYVQNDLEEEIDKERRIAGGAIRIPVWVKDAGRGSTDETNEKKVIRDRPEEGWELQIAEKFKGLNWNILDDAEVRIDADIKDGGMMLVTALSPYAEWVHGYADIVLQVRGTIEQPIVDGSASVHRASVSSPVLRKPLTNIGGSVQVISNRLCISSLESRVSRKGKLFLKGNLPLKISESSSSDKIDVKCEVLEVRAKNILSGQVDSQMQITGSILQPNITGMIKLSHGEAYLPHDKGNGAAINTLTSRQSAFPTAGYSGIGASGHVSRFFGSLSSSYDRLPQPAGSESEIRKEIDQAIARPGVDIWLTDLKLVLGPELKIVYPLILNFAVSGELELNGLAHPKWIKPKGILSFENGDVNLVATQVRLKRDHLNIAKFEPELGLDPILDLVLVGSEWQFKIQSRASTWQDNLVVTSTRSVDQDVLSPTEAARAFESQLAESLLEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTVDPLRSLANNISFGTEVEVQLGKRIQASIVRQMKDSEMAMQWTLMYQLTSRLRVLFQSAPSNRLLFEYSATSQD >DRNTG_32952.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30896821:30906735:1 gene:DRNTG_32952 transcript:DRNTG_32952.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2410 [Source:Projected from Arabidopsis thaliana (AT2G25660) UniProtKB/TrEMBL;Acc:F4ISL7] MRERHPADNKRDGFFKKAMAGHLGRIISSMGRWRVRLEVPGAEVAEMLPLARLLSRSTDPAVRSRSKDLFIQSLQSAGIRADSLRELLKELKSQYYGSDDVILEDIALPGLQELKGCWHGSLDASGGGNGDTMADFDFHGEDWEWGSYKTQRVLASGAYSNNDGLRLEKLFIQKDNATLHADGCLLGPITNLHFAVLNLPVGLVPTLVQVVGPSATESVHSLWQLLTPLKGILHMEGDLRGTFAKPECDVQIRLLDGAVGGIDLGRAEIVASITSTSRFLFKANFEPVTQSGHVHIQGSVPVAYVQNDLEEEIDKERRIAGGAIRIPVWVKDAGRGSTDETNEKKVIRDRPEEGWELQIAEKFKGLNWNILDDAEVRIDADIKDGGMMLVTALSPYAEWVHGYADIVLQVRGTIEQPIVDGSASVHRASVSSPVLRKPLTNIGGSVQVISNRLCISSLESRVSRKGKLFLKGNLPLKISESSSSDKIDVKCEVLEVRAKNILSGQVDSQMQITGSILQPNITGMIKLSHGEAYLPHDKGNGAAINTLTSRQSAFPTAGYSGIGASGHVSRFFGSLSSSYDRLPQPAGSESEIRKEIDQAIARPGVDIWLTDLKLVLGPELKIVYPLILNFAVSGELELNGLAHPKWIKPKGILSFENGDVNLVATQVRLKRDHLNIAKFEPELGLDPILDLVLVGSEWQFKIQSRASTWQDNLVVTSTRSVDQDVLSPTEAARAFESQLAESLLEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTVDPLRSLANNISFGTEVEVQLGKRIQASIVRQMKDSEMAMQWTLMYQLTSRLRVLFQSAPSNRLLFEYSATSQD >DRNTG_31661.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:938580:944109:1 gene:DRNTG_31661 transcript:DRNTG_31661.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL5 [Source:Projected from Arabidopsis thaliana (AT4G12570) UniProtKB/Swiss-Prot;Acc:Q9SU29] MSVSQPAPFAARRRSKRKLQDYEPQESMNPRCVSRSDLSLLRPFSASSSSPVLQFFVRVGSKTLVLHAQADTSIHSVIDQIGVLTQIPVPVLDVRLIHSGRQLELDRTLSSYNIGDDACLHLVARLRSTVHPRAWQLVNDLISAISRLNSIIPLSPIARHHYRSLVSSLVKEFLRCTPPDPDTCWGHLQVFAFSGAPEALVKLLISADDFNRSVADETIRLFLSSEPDHLMKHLHPHCARIVLEFCKLLAWAVGNMNPLYLACRGALGSLLKALESKYFVRIDSSKMVEELLPFLKETSGQVIEELTNMTTGAEQVDVSEFSDFMLVMRRAVRDLIGGKRCVSKEMLNVELPDYEKWLGTLHSIFIDLLEKVDHCLGKVGDLLSQRGPLHVDSKWGRFANLLCILTKLHAFSKIYEGGDELLHALLIARRAPVNELIKHAKKNQKLRWLLRHKDVTDFESRRNLVLMLFPGGKDDYDDLHEMLIDRSHLLAESFEYIGQAEATALHGGLFMEFKNEEATGPGVLREWFCLLCHAIFNPQNVLFLPCPNDRRRFFPNPTSVVDPLHLKYFGFCGRVIALALMHKVQVGILLDRVFFLQLAGKDVTLDDVRDADPYLYMSCKRILEMDAELLDSDILGLTFVREFEELGIRKSVELLPGGKDIAVNSRNRYEYVKRLVQHRFVTSISEPVAYFTQGFGDILSKQSYQKLLFESLDLEDFDRLLGGTDDVINVKDWKAHTEYNGYKARDRQIIWFWKIVEGLPIDQQRVLLFFWTSVKYLPADGFRGLSSKLYIYRTVDSQDRLPTSHTCFYRLCLPPYPSLSIMRDRLHVITQEHVSCSFGFL >DRNTG_25898.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19821141:19821535:1 gene:DRNTG_25898 transcript:DRNTG_25898.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLLELVNSNNILISNITFLNSPFWTIHPVYCSNVVVKNVTVLAPLNSPNTDGIDPDSCLNVCIEDCYIESG >DRNTG_24841.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29342899:29343865:-1 gene:DRNTG_24841 transcript:DRNTG_24841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLMKSSSIFIIFITLMHPLTMIISMPMQQQQQEVKDIKITSSSSSSSSDEEDLISKTCNQTSYSDVCMATFLISNYTNTTIHDLAFISINATAAYAANTSAVIAAIRNGSADFSEQCLSDCLEQYGDAVDELHESMVALGSCDYETVNVKVSAAMTNSDTCEGGFQDEGVSSPLTERNELFFKLCSNSLAIVKLLV >DRNTG_24841.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29342899:29343746:-1 gene:DRNTG_24841 transcript:DRNTG_24841.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLMKSSSIFIIFITLMHPLTMIISMPMQQQQQEVKDIKITSSSSSSSSDEEDLISKTCNQTSYSDVCMATFLISNYTNTTIHDLAFISINATAAYAANTSAVIAAIRNGSADFSEQCLSDCLEQYGDAVDELHESMVALGSCDYETVNVKVSAAMTNSDTCEGGFQDEGVSSPLTERNELFFKLCSNSLAIVKLLV >DRNTG_24841.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29343020:29343865:-1 gene:DRNTG_24841 transcript:DRNTG_24841.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLMKSSSIFIIFITLMHPLTMIISMPMQQQQQEVKDIKITSSSSSSSSDEEDLISKTCNQTSYSDVCMATFLISNYTNTTIHDLAFISINATAAYAANTSAVIAAIRNGSADFSEQCLSDCLEQYGDAVDELHESMVALGSCDYETVNVKVSAAMTNSDTCEGGFQDEGVSSPLTERNELFFKLCSNSLAIVKLLV >DRNTG_24841.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29343020:29343746:-1 gene:DRNTG_24841 transcript:DRNTG_24841.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLMKSSSIFIIFITLMHPLTMIISMPMQQQQQEVKDIKITSSSSSSSSDEEDLISKTCNQTSYSDVCMATFLISNYTNTTIHDLAFISINATAAYAANTSAVIAAIRNGSADFSEQCLSDCLEQYGDAVDELHESMVALGSCDYETVNVKVSAAMTNSDTCEGGFQDEGVSSPLTERNELFFKLCSNSLAIVKLLV >DRNTG_26051.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18604873:18607816:1 gene:DRNTG_26051 transcript:DRNTG_26051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPYPYPYPYPYPLKRVRSLNKKYGCNVPLILINSFNIHDDTLKLNLLLYSIDTWLRFAWEIFSALGQIFTDMVGSPYYVAPGVLCKHYGPEADVWTAGVILYILFSGVPPF >DRNTG_04877.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000230.1:9074:13029:1 gene:DRNTG_04877 transcript:DRNTG_04877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLLQKYRWEWSRQISKVLRYRNNYKSNRSNGGPSSLSHTIRINYKN >DRNTG_26495.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:684618:688423:1 gene:DRNTG_26495 transcript:DRNTG_26495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAIVNTSGGKDYPGKMTAFVLMTCLVAATGGLIFGYDIGISGGVTSMDSFLLKFFPSVYKKEKADKSTNQYCKFDSQLLTMFTSSLYLAALVASFFASVVTRVLGRKWSMFGGGITFLIGAAINGGAVNVFMLILGRILLGIGVGFANQSVPVYLSEMAPARLRGMLNIGFQLMITIGILAANLINYGVAKIKGGWGWRVSLALAAVPAGIITLGSLFLPDTPNSLIDRGHEEKAKSMLRKIRGTDDIKLEYNDLLVASQESKTVEHPWRNITMRKYRPQLTMAILIPFFQQLTGINVIMFYAPVLFKTIGFGSDASLMSAVISGLVNVFATLLSIFTVDKLGRRKLLLFGGAQMLICQIIVGTLIAIKFGTSGEAHLSKLYAGAVVLFICLYVSGFAWSWGPLGWLVPSEIFPLEIRSAGQSINVAVNMFFTFVIAQVFLAMLCQMKFGLFYFFGCWVVLMTIFVALFLPETKNVPIEEMVIVWKSHWFWGSFIRDDEVHVGNIEMGNGKPTTVGTIRQAN >DRNTG_26495.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:684618:688423:1 gene:DRNTG_26495 transcript:DRNTG_26495.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARLRGMLNIGFQLMITIGILAANLINYGVAKIKGGWGWRVSLALAAVPAGIITLGSLFLPDTPNSLIDRGHEEKAKSMLRKIRGTDDIKLEYNDLLVASQESKTVEHPWRNITMRKYRPQLTMAILIPFFQQLTGINVIMFYAPVLFKTIGFGSDASLMSAVISGLVNVFATLLSIFTVDKLGRRKLLLFGGAQMLICQIIVGTLIAIKFGTSGEAHLSKLYAGAVVLFICLYVSGFAWSWGPLGWLVPSEIFPLEIRSAGQSINVAVNMFFTFVIAQVFLAMLCQMKFGLFYFFGCWVVLMTIFVALFLPETKNVPIEEMVIVWKSHWFWGSFIRDDEVHVGNIEMGNGKPTTVGTIRQAN >DRNTG_12457.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20950090:20951113:-1 gene:DRNTG_12457 transcript:DRNTG_12457.1 gene_biotype:protein_coding transcript_biotype:protein_coding QPPAYSSRSASFAASKRNRMLNRDTPSKLS >DRNTG_02527.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8106863:8107837:1 gene:DRNTG_02527 transcript:DRNTG_02527.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGSETQTRFSVDDVGAKFQALKLKYSAKASLSSTLILVAKLRSFMVLKARDEVLQEIRGKYFKWFGNKSRRDHEMLMQQGKDLDTYAIINAIAMRKILKKASKKINNVTLSGLFGHCSLKFNDEKSIPTCGLCDSVKLDTDVLEEKAEFKSEEK >DRNTG_01429.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000079.1:89802:90417:-1 gene:DRNTG_01429 transcript:DRNTG_01429.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKALKKRERKDKKKAADGDKKEGEVKKPKKSDAAPKPAQ >DRNTG_01429.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000079.1:89802:90417:-1 gene:DRNTG_01429 transcript:DRNTG_01429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALKKRERKDKKKAADGDKKEGEVKKPKKSDAAPKPAQ >DRNTG_17302.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31832675:31836543:-1 gene:DRNTG_17302 transcript:DRNTG_17302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVSKQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEAEKKKIKQEYERKEKQVEIRKKIEYSMQLNASRIKVLQAQDDLVNSMKETAAKELLHVSHNHHAYKHLLKELIVQSLLRLMEPAVLLRCRKEDVEHVESILQSAKEEYAEKANVHHPDILIDNIYLPSAPSHHNPHGLHCSGGVVLASRDGKIVCENTLDARLEVVFRKKLPEIRKRLFA >DRNTG_22662.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20471027:20473853:-1 gene:DRNTG_22662 transcript:DRNTG_22662.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to (S)-2-hydroxy-acid oxidase, peroxisomal (EC 1.1.3.15) (Glycolate oxidase) (GOX) (Short chain alpha-hydroxy acid oxidase) [Source: Projected from Oryza sativa (Os07g0616500)] MEFEPVKLNEFEELARKILLKKNYDFFRGGAEDEYTLSENIKAFQRILFRPRVLIDVSKIDMSTSLLGFKMAAPIMVAPTAFHKLAHHEGEVAVARAAAACNAIMVLSFSSNCTIEEVASSCDAVRFFQLYVIKQRDVSMKLVKRAESNGFKAIVLTVDRPRLGRREVGLKNKFVIPKFANLEGLLSVNVKSKGGSDLKALPSATLDDAVSWKDVEWLRSSTKLPILLKGILTAEDARKAVEAGVAGIIVSNHGARQLDYVPASISALEEVVRVVSGAVPIILDGGVRRGTDVFKALALGANAVMIGRPIIYGLAAKGEHGVKQVIEMLQNELELTMTLNGCPGLKDITRNHVQTENDRLRSML >DRNTG_22662.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20471027:20485024:-1 gene:DRNTG_22662 transcript:DRNTG_22662.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to (S)-2-hydroxy-acid oxidase, peroxisomal (EC 1.1.3.15) (Glycolate oxidase) (GOX) (Short chain alpha-hydroxy acid oxidase) [Source: Projected from Oryza sativa (Os07g0616500)] MESGPVNLNEFEELARKILLKMNYDFFSGGAEDRYTLRENIKAFQRILFRPRVLIDVSKIDMSTSLLGFKMAAPIMVAPTGLLKLANREGEVAVARAAAACNTIMVLSFSSNSTIEEVASSCDAVRFFQLYIYKQRDVCITLVKRAESNGFKAIVLTVDTPRLGRREADIKNKFVIPKFANLEGLLSVNVKSEGGSDLEAFSSATLDDSVSWKDIEWLRSITKLPILLKGILTAEDARKAVEARVAGIIVSNHGARQLDYAPASISALEEVVRAVSGAVPIILDGGIRRGTDVFKALALGANAVMIGRPIIYGLAAKGEHGVKQVIEMLQNELELTMTLNGCPGLKDITRNHVQTENDRLRSML >DRNTG_22662.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20482028:20485024:-1 gene:DRNTG_22662 transcript:DRNTG_22662.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to (S)-2-hydroxy-acid oxidase, peroxisomal (EC 1.1.3.15) (Glycolate oxidase) (GOX) (Short chain alpha-hydroxy acid oxidase) [Source: Projected from Oryza sativa (Os07g0616500)] MESGPVNLNEFEELARKILLKMNYDFFSGGAEDRYTLRENIKAFQRILFRPRVLIDVSKIDMSTSLLGFKMAAPIMVAPTGLLKLANREGEVAVARAAAACNTIMVLSFSSNSTIEEVASSCDAVRFFQLYIYKQRDVCITLVKRAESNGFKAIVLTVDTPRLGRREADIKNKFVIPKFANLEGLLSVNVKSEGGSDLEAFSSATLDDSVSWKDIEWLRSITKLPILLKGILTAEDARKAVEARVAGIIVSNHGARQLDYAPASISALEEVVRAVSGAVPIILDGGIRRGTDVFKALALGANAVMIGRPIIYGLAAKGENGVRQVIEMLQNELELTMTLNGCPGLKDITRNHVQTEHDRLRSML >DRNTG_22662.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20471027:20473853:-1 gene:DRNTG_22662 transcript:DRNTG_22662.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to (S)-2-hydroxy-acid oxidase, peroxisomal (EC 1.1.3.15) (Glycolate oxidase) (GOX) (Short chain alpha-hydroxy acid oxidase) [Source: Projected from Oryza sativa (Os07g0616500)] MEFEPVKLNEFEELARKILLKKNYDFFRGGAEDEYTLSENIKAFQRILFRPRVLIDVSKIDMSTSLLGFKMAAPIMVAPTAFHKLAHHEGEVAVARAAAACNAIMVLSFSSNCTIEEVASSCDAVRFFQLYVIKQRDVSMKLVKRAESNGFKAIVLTVDRPRLGRREVGLKNKFVIPKFANLEGLLSVNVKSKGGSDLKALPSATLDDAVSWKDVEWLRSSTKLPILLKGILTAEDARKAVEAGVAGIIVSNHGARQLDYVPASISALEEVVRVVSGAVPIILDGGVRRGTDVFKALALGANAVMIGRPIIYGLAAKGEHGVKQVIEMLQNELELTMTLNGCPGLKDITRNHVQTENDRLRSML >DRNTG_11203.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2272325:2273504:-1 gene:DRNTG_11203 transcript:DRNTG_11203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYASPANRAVGPPPTSLARYGSAPGSLLASITESILSSDDFSSSSPALGFDPQMSRFFSPDSSSESTSPPNPLPYPIPPIPSSAAAAAPVADPRPQLIRHSSSPAGFFSHLMVDNGNPSLFLSSLIG >DRNTG_05546.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16619184:16619873:1 gene:DRNTG_05546 transcript:DRNTG_05546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKPRSSPSLPLKTRISISFLSTLTDSACRSDGTVNRRLLNLFDFRSSPNPRFSSGVRTADITVDPSRNLWFRLFVPSSPSGNRLPVIVFFHGGGFAFLSPSAFAYDAVCRRFARKLPAIILSVNYRLSPEHRHPAPYIDGLDTLRFLDSSELDRFDPDASAIADLSNVFLAGDSAGGNIAHHVTRLWAAEQDSKPWARVRISGLVAIQPFLGSEDR >DRNTG_07157.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1937541:1939200:1 gene:DRNTG_07157 transcript:DRNTG_07157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLQEIDCFLICLPKRHSYKEKMRRRDGQQHRERERKRERDP >DRNTG_04480.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000214.1:23030:25028:-1 gene:DRNTG_04480 transcript:DRNTG_04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVVPNGHFKKHWQNYVKTWFNQPARKTRRRTGTLSVFSIGFYVLIFCYCKFILNFNFVH >DRNTG_10492.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000441.1:45628:49865:1 gene:DRNTG_10492 transcript:DRNTG_10492.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWIASDCGENMNKWIVTNNIASINAENWRFANRVIIEGQVELFPSLPHQCSDLLCLMIKNNHNLENIPEGFLRRMPNLTYLSLERTGIKELPKDIKCLVNLQYLNISRTNISSLPKELVYLKKLQYLICNHLERLGKVEDLMSRLQMLKVIDIFPSGWVDLEQLKKLKKHVKAIGMRVVYIKRGSPTTLMFANYSALAIQFGYHLSFI >DRNTG_10492.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000441.1:45628:49865:1 gene:DRNTG_10492 transcript:DRNTG_10492.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWIASDCGENMNKWIVTNNIASINAENWRFANRVIIEGQVELFPSLPHQCSDLLCLMIKNNHNLENIPEGFLRRMPNLTYLSLERTGIKELPKDIKCLVNLQYLNISRTNISSLPKELVYLKKLQYLICNHLERLGKVEDLMSRLQMLKVIDIFPSGWVDLEQLKKLKKHVKAIGMRVVYIKRGSPTTLMFANYSALAIQFGYHLSFI >DRNTG_12693.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4283308:4287334:1 gene:DRNTG_12693 transcript:DRNTG_12693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPALGNLSSLYWFDITGNQISGTIPISDGINPGFDMLRRTKHFHFGGNNLSGNIPPQLFHSGMVTLHVILNNNNFNGSIPSTMNLVPTLEALRFDRNKLTGPVPPTLNSLTSIKELYLSNNLLTGPLPNLTGMNALSYVDLSNNSFDASDVPPWFSTLPSLTTLLLEKSHVQGQIPSQLFSFSPLQTAQLKNNLLNGTLEIDAGYSTQLQLVDLQNNDIADFRNNGNYNNVLLLAGNPACNQGSNEKYCVVPKQNNHPYSTPNSCVSVACPSEQALSPNCFCSYPYEGTLYFRLLTVSNLQNITYYQDVEKSMLTMLQNKKVPVDSVAIHDPFIDASNYLEISVEVFPSGKAKFDQGDIVMIASSFSNQTLKTSGYFALYYFIPKPYIPILGGESSSKSSNTAAIVGASVGGVVALLVVIGLVIFVVMRRNKKPKKTMEQSYPFGSWDPSKTSGSVPQLKGARCFSFEELKKCTDNFSESNHIGSGGYGKVYKGTLTDGQMVAVKRAQEDSMQGGHEFKTEIELLTRVHHRNLVNLVGFCFDQGEQMLVYEYLPNGTLRDSLSGMSGIRLDWKKRLWIALDAARGLSYLHFLADPPIVHRDIKSNNILLDNHLHAKVADFGLSKPMTNDRKGHVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLLLEVITARKPIERNKYIVREVKAAIDRKKELYGLGQLVDSTIGLSNTLAGFNRFVDLALMCVEESGANRPTMSEVVKEIESIMQLAGINPNAESASASASYDDSSFTLRGQLYSNEALMNYSGAIPSSRSDIN >DRNTG_30938.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2508862:2510205:-1 gene:DRNTG_30938 transcript:DRNTG_30938.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEALLKLNKHEEADSIISNAPKFNSAASIKFLGSAVNAYVHSIRALVDAAAGRFEDAVTAAEMAVQLDRKNQEIWVVLQRIRSIASARSKR >DRNTG_30938.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2508862:2511172:-1 gene:DRNTG_30938 transcript:DRNTG_30938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKKQQQQSGCGVLVIYRRVFRRRSMAVSGDSSSVPSAMQRKEEQRVVHDRECNGKGIVNGTLVKPCSSSLIGFGSNGVMGNIVRRRRSKEVVCRALSVSLEPEKLKEMGNEEYRKGRFAEAVSLYDQAILIDSGKASYRSNKAAALIGLGRILEAVEECKEAVRIDPCYCRAHHRLANLYLRLGEFEKAIHHFKLSRSEESSNGISKARSLQSHLAKCIEVRKQREWQSLLKESCSVAAAGSDSAPQVFAMQAEALLKLNKHEEADSIISNAPKFNSAASIKFLGSAVNAYVHSIRALVDAAAGRFEDAVTAAEMAVQLDRKNQEIWVVLQRIRSIASARSKR >DRNTG_05459.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23088281:23088837:-1 gene:DRNTG_05459 transcript:DRNTG_05459.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISPPVDLTNPKISPSLQVDLMNTGCHPGGL >DRNTG_17667.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16699610:16700982:-1 gene:DRNTG_17667 transcript:DRNTG_17667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKPPLPFPPPPPPPPPPQRLVIMAVNLVNGRCYLTSVVETMPELKVHMSGRHWEIIRKTPSATFTKVEAVFQERALLDFLLQRYDGSTNKFRIGDSLVSFKPHDMALVLGLRCDGDAVVFQKKKKARSAFEDRYFSKTNKRHRDSIRRTLEQLVQQRGAEENFIKLLMVYLMGTVLFPNTSCSVPNWIVNYVDDIPSMEGYA >DRNTG_05332.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3680052:3683742:-1 gene:DRNTG_05332 transcript:DRNTG_05332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVIASFVVIGIQHVQFYLNHFSSCVYVSPLKVSWRIAIPSRASFVPLHAEMPSHGNLSSYKGAMSSPNVIVPAAGEDVAVRKLPRVFVFKRLSHPKRVSPMNDDDNEPTFTITAKGREGRIFHSSDEDTPKKKKKKNVTTADLHPQLSENKVGKHPKSYHKSSFGQNLYKIYIASKNLQLKKIRPREFYQKKKQLISTAQKAVFDRLIPTRSQPKISYSRFQWRREVKDEEMSNAKVKVHTCRVITEALGDLAPEQEQLGPITIPQKSSRLPEWRRRFQPRATT >DRNTG_03118.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13757909:13759922:-1 gene:DRNTG_03118 transcript:DRNTG_03118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVTGLEWLLLGFRSGSTAFDIDFLWRRAIGGAFIGIDSARCALRLTKESLKKIRRLLKAIDTDDKGPDICKDDKETGYYGFGDSCMFMNDRGDYKSRCQFEKEWEESEKARKKGLAMGGAVGGCVDDDVDAAIDDDDEDRDELPFACYICWQMFQDPVVTKCNHYFCEHCALKHYLKSNTTRNIGKGNRFFRS >DRNTG_26682.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9111066:9125869:-1 gene:DRNTG_26682 transcript:DRNTG_26682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASECVAIEGRHETRAKWTEIHKAHLVKLLGEYNTPAYRSQNGWTKEAWNKIVRDMITKFSNPSINTRQVKALEQELKKTYKLLKGFTELSGFGWDYERHIVSAPDDVWAPLLEGDMLKANDLLATITYTRD >DRNTG_28070.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10507739:10509454:1 gene:DRNTG_28070 transcript:DRNTG_28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHHHRAAQPHQHKLVDHHLSTTCSDCLGAASAKRSISKHTLLLRFIGIFSIISSALVFAPRFFSPDSFEANPQTPSMHPPCSSLSNHSLCCDRTAFRTDVCFMRGDVRMHSSSNSFLLHLPRNSTTEEQEELIRPYTRKWEANTMATIDELHLKTINGSVQACDVVHDVPAVVFSTGGYTGNVYHEFNDGILPLYITAGHFNKQVVFVILEYHDWWITKYGDILSQLSDHPPIDFTNDRRTHCFPEAIVGLKIHDELTIDPAKMDKNHTIRDFRNLLDDSYKPRIRYIEREEEEEKEGSRSRSKPAPAKRDRRPKMVIVARNGSRMIENEEEVVKAAEEVGFAVQVLRPERTTELAKIYRALNSSDAMIGVHGAAMTHLLFMRPGKVFIQVVPLGTDWAAESYYGIASEEDGVEVHGVQDRREGELAVEGVWGGGCGGERSGERECERMGSDKEGVS >DRNTG_12758.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25885320:25886248:1 gene:DRNTG_12758 transcript:DRNTG_12758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEIEQQNGGASFAALKPQLMLPAGKADEAVQFYKTAFGAEELKRVSHPKRKADQELPLILCAELKIGSASLLVCDQTDDSSCAAGNDSGSGIVFRLETLDVEGALEKAVKAGAAKEGEIVEDDGACCGGVVGKVKDPFGVAWIIASASKAADVEA >DRNTG_29246.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21476913:21480793:-1 gene:DRNTG_29246 transcript:DRNTG_29246.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein AE7-like 1 [Source:Projected from Arabidopsis thaliana (AT3G50845) UniProtKB/Swiss-Prot;Acc:A8MR89] MTPGLINANPVVHAKKERVARSDDPHSDVDALDIFDFVRDIRDPEHPYSLEQLSVLSEDSITVDEKLGRILITFTPTVQHCSMATVIGLCLRVKLMCCFPPHFKVDINVSPGSHANEESVNKQLNDKERVAAALENPNLRQFVDECLCSNEL >DRNTG_25900.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19827547:19831209:1 gene:DRNTG_25900 transcript:DRNTG_25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLVQPIGQKRLTNVAVVRLKKRGTRFEIACYKNKVLSWRSGVEKDLDEVLQSQTVYSNVSKGILAKSKELIAAFGTDDQAKICLEILEKGELQIAGKERESQLSSQFRDIATIVMQKTINPETQRPYTISMIERLMHEIHFAVDPHNSSKKQALEVIRELQKHFPIKRSPMRIRVIIPEKNFPSLTEKLKEWNTNIVSQDESAEHLSIVCEMEPGFFRGL >DRNTG_01063.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29899718:29903335:-1 gene:DRNTG_01063 transcript:DRNTG_01063.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAINVINKYHDPIIEKRVQQWRSNGGVNGEPEDILDVFISLKDDEGKPLLTIEEIKAQSAELIFEVVDNPSNTVEWAMAEMLNQPDILQKAIDELDRVIGPHRLVKESDFPNLPYMRACAREALRLHPISPFNLPHVSSIDSTVAGFFIPKGSQVLVSRVGLSRNPKVWEDPMRFNPDRHLNEKNVDLAEPELRFISFSIGRRGCMGGQLGTAMTYMLLARVLHSFTWSLPAGEDSVNLSEEESSLFIAKPLHALAKPRLAFLEHL >DRNTG_01063.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29863201:29866201:-1 gene:DRNTG_01063 transcript:DRNTG_01063.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMLNQPDILQKAIDELDRVIGPHRLVEESDFPNLPYLRACAREALRLHPIAAFNLPHVSSIDSTVAGFFIPKGSQVLVSRVGLGRNPKVWEDPMRFNPDRHLNEKNVDLAEPKLRFISFSIGRRGCMGGQLGTAMTYMLLARVLHSFTWSLPAGEESVNLSEEESSLFIAKPLHALAKPRLAFLEHL >DRNTG_01063.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29863201:29903335:-1 gene:DRNTG_01063 transcript:DRNTG_01063.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAINVINKYHDPIIEKRVQQWRSNGGVNGEPEDILDVFISLKDDEGKPLLTIEEIKAQSAELIFEVVDNPSNTVEWAMAEMLNQPDILQKAIDELDRVIGPHRLVEESDFPNLPYLRACAREALRLHPIAAFNLPHVSSIDSTVAGFFIPKGSQVLVSRVGLGRNPKVWEDPMRFNPDRHLNEKNVDLAEPKLRFISFSIGRRGCMGGQLGTAMTYMLLARVLHSFTWSLPAGEESVNLSEEESSLFIAKPLHALAKPRLAFLEHL >DRNTG_01558.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:8851889:8857612:-1 gene:DRNTG_01558 transcript:DRNTG_01558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKVKEKKKHVLGKKSKQKAKWSFSRKRFSIPPILKGWDCFVKG >DRNTG_14751.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:200704:204196:1 gene:DRNTG_14751 transcript:DRNTG_14751.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FORGETTER 1 [Source:Projected from Arabidopsis thaliana (AT1G79350) UniProtKB/Swiss-Prot;Acc:F4IF36] MCFDAGNGKDAGKVSGRIVDSDMHDVGRFLNRLLGLPPDIQNRLFELFISTLDVLVDNARSEGQFDSGIVDVKANTIELQGLPKTVHVDELSGASTVLFTFTVNRGITWEFAKDLLDEKQKGGLSCNPDGFYESKREWMGRRHFILAFEGSTPGVFKIFRPAVGEALREMPLAELKSKYRKVSSIEKASKGWEDEYDVSSKQCMHGPKCKVGSYCTVGRRLQEVNVLGGLIFPVWGAIEKALSKQARQSHKRIRVVRLETTSDHQRIVGLLIPNAAVESVLQDLSWIHQIDD >DRNTG_14751.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:200578:204196:1 gene:DRNTG_14751 transcript:DRNTG_14751.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FORGETTER 1 [Source:Projected from Arabidopsis thaliana (AT1G79350) UniProtKB/Swiss-Prot;Acc:F4IF36] MCFDAGNGKDAGKVSGRIVDSDMHDVGRFLNRLLGLPPDIQNRLFELFISTLDVLVDNARSEGQFDSGIVDVKANTIELQGLPKTVHVDELSGASTVLFTFTVNRGITWEFAKDLLDEKQKGGLSCNPDGFYESKREWMGRRHFILAFEGSTPGVFKIFRPAVGEALREMPLAELKSKYRKVSSIEKASKGWEDEYDVSSKQCMHGPKCKVGSYCTVGRRLQEVNVLGGLIFPVWGAIEKALSKQARQSHKRIRVVRLETTSDHQRIVGLLIPNAAVESVLQDLSWIHQIDD >DRNTG_14751.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:189420:204025:1 gene:DRNTG_14751 transcript:DRNTG_14751.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FORGETTER 1 [Source:Projected from Arabidopsis thaliana (AT1G79350) UniProtKB/Swiss-Prot;Acc:F4IF36] MGQPSGTPPLPVPPPPPPPGSCQVRCARCHSILTVAPGMVEFSCPKCQLAQMLPPELMMTGGPPRRPPPQAQGIDPSKIQLPCARCKAILNVPHGLNRFNCPQCGVDLAVDLSKVQHYLASSTSSSALPGLLSEPLEEINEVAIDVEREEDEGGTAGETFMDYRPLKISLGYPHPDPVVETSSLSAVQPPEPTYDLKIKDELEKSRALSCLQIETIVYACQRHLHKLQSGARAGFFIGDGAGVGKGRTIAGLIWENWQHGRHKALWISVGSDLKFDARRDLDDVGAMPVEVHALNKLPYSKLDSKAVGIKDGVLFLTYSSLIASSEKGRSRLQQLLQWCGSNFDGLVVFDECHKAKNLIPEAGSQATRTGEAVLELQARLPEARVVYCSATGASESRNMGYMVRLGLWGAGTCFPRFHDFLEALDKGGVGALELVAMDMKARGMYVCRTLSFKGVEFEVIEAPLEEKMKEMYKKAAEFWAELRVELLSASTFLSEEKPNPNQVWRLYWSSHQRFFRHMCMSAKVPAAVRLAKQALADGKCVVIGLQSTGEARTEEAVTKYGLELDDFVSGPRELLLKLVEENYPLPPKPDPVSGEESVKELQRKRHTANPDVSFKGRVRKIAKWNVTSDSENDLESLTESDHDSSESDYDFQICDICNGEEEKKKLLRCTCCGQLAHPSCIDPPLTDGVPADWLCYSCKEKTEDYLQARDAYIAELTKRYEAAEERKLKILDIIRGLDLPNNPLDDIIDQLGGPENVAEMTGRRGMLVRAAGGKGVVYQARNTKDVAMEMVNMHEKQLFMDGKKLVAIISEAGSAGVSLQADRRASNQKRRVHLTLELPWSADRAIQQFGRTHRSNQASAPEYRLLFTNLGGERRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSYFGKKALMMMYRGIMEQVQNLIFAQNTLVAEICSFFSFSGPSASCPTWMFF >DRNTG_14751.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:200578:204025:1 gene:DRNTG_14751 transcript:DRNTG_14751.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FORGETTER 1 [Source:Projected from Arabidopsis thaliana (AT1G79350) UniProtKB/Swiss-Prot;Acc:F4IF36] MCFDAGNGKDAGKVSGRIVDSDMHDVGRFLNRLLGLPPDIQNRLFELFISTLDVLVDNARSEGQFDSGIVDVKANTIELQGLPKTVHVDELSGASTVLFTFTVNRGITWEFAKDLLDEKQKGGLSCNPDGFYESKREWMGRRHFILAFEGSTPGVFKIFRPAVGEALREMPLAELKSKYRKVSSIEKASKGWEDEYDVSSKQCMHGPKCKVGSYCTVGRRLQEVNVLGGLIFPVWGAIEKALSKQARQSHKRIRVVRLETTSDHQRIVGLLIPNAAVESVLQDLSWIHQIDD >DRNTG_14751.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:200704:204025:1 gene:DRNTG_14751 transcript:DRNTG_14751.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FORGETTER 1 [Source:Projected from Arabidopsis thaliana (AT1G79350) UniProtKB/Swiss-Prot;Acc:F4IF36] MCFDAGNGKDAGKVSGRIVDSDMHDVGRFLNRLLGLPPDIQNRLFELFISTLDVLVDNARSEGQFDSGIVDVKANTIELQGLPKTVHVDELSGASTVLFTFTVNRGITWEFAKDLLDEKQKGGLSCNPDGFYESKREWMGRRHFILAFEGSTPGVFKIFRPAVGEALREMPLAELKSKYRKVSSIEKASKGWEDEYDVSSKQCMHGPKCKVGSYCTVGRRLQEVNVLGGLIFPVWGAIEKALSKQARQSHKRIRVVRLETTSDHQRIVGLLIPNAAVESVLQDLSWIHQIDD >DRNTG_14751.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:189420:204025:1 gene:DRNTG_14751 transcript:DRNTG_14751.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FORGETTER 1 [Source:Projected from Arabidopsis thaliana (AT1G79350) UniProtKB/Swiss-Prot;Acc:F4IF36] MGQPSGTPPLPVPPPPPPPGSCQVRCARCHSILTVAPGMVEFSCPKCQLAQMLPPELMMTGGPPRRPPPQAQGIDPSKIQLPCARCKAILNVPHGLNRFNCPQCGVDLAVDLSKVQHYLASSTSSSALPGLLSEPLEEINEVAIDVEREEDEGGTAGETFMDYRPLKISLGYPHPDPVVETSSLSAVQPPEPTYDLKIKDELEKSRALSCLQIETIVYACQRHLHKLQSGARAGFFIGDGAGVGKGRTIAGLIWENWQHGRHKALWISVGSDLKFDARRDLDDVGAMPVEVHALNKLPYSKLDSKAVGIKDGVLFLTYSSLIASSEKGRSRLQQLLQWCGSNFDGLVVFDECHKAKNLIPEAGSQATRTGEAVLELQARLPEARVVYCSATGASESRNMGYMVRLGLWGAGTCFPRFHDFLEALDKGGVGALELVAMDMKARGMYVCRTLSFKGVEFEVIEAPLEEKMKEMYKKAAEFWAELRVELLSASTFLSEEKPNPNQVWRLYWSSHQRFFRHMCMSAKVPAAVRLAKQALADGKCVVIGLQSTGEARTEEAVTKYGLELDDFVSGPRELLLKLVEENYPLPPKPDPVSGEESVKELQRKRHTANPDVSFKGRVRKIAKWNVTSDSENDLESLTESDHDSSESDYDFQICDICNGEEEKKKLLRCTCCGQLAHPSCIDPPLTDGVPADWLCYSCKEKTEDYLQARDAYIAELTKRYEAAEERKLKILDIIRGLDLPNNPLDDIIDQLGGPENVAEMTGRRGMLVRAAGGKGVVYQARNTKDVAMEMVNMHEKQLFMDGKKLVAIISEAGSAGVSLQADRRASNQKRRVHLTLELPWSADRAIQQFGRTHRSNQASAPEYRLLFTNLGGERRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSYFGKKALMMMYRGIMEQDPLPVVPPGCSSENPVTIQEFIMKAKAALVSVGIIRDTVLGNGKDAGKVSGRIVDSDMHDVGRFLNRLLGLPPDIQNRLFELFISTLDVLVDNARSEGQFDSGIVDVKANTIELQGLPKTVHVDELSGASTVLFTFTVNRGITWEFAKDLLDEKQKGGLSCNPDGFYESKREWMGRRHFILAFEGSTPGVFKIFRPAVGEALREMPLAELKSKYRKVSSIEKASKGWEDEYDVSSKQCMHGPKCKVGSYCTVGRRLQEVNVLGGLIFPVWGAIEKALSKQARQSHKRIRVVRLETTSDHQRIVGLLIPNAAVESVLQDLSWIHQIDD >DRNTG_14751.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:189420:200350:1 gene:DRNTG_14751 transcript:DRNTG_14751.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FORGETTER 1 [Source:Projected from Arabidopsis thaliana (AT1G79350) UniProtKB/Swiss-Prot;Acc:F4IF36] MGQPSGTPPLPVPPPPPPPGSCQVRCARCHSILTVAPGMVEFSCPKCQLAQMLPPELMMTGGPPRRPPPQAQGIDPSKIQLPCARCKAILNVPHGLNRFNCPQCGVDLAVDLSKVQHYLASSTSSSALPGLLSEPLEEINEVAIDVEREEDEGGTAGETFMDYRPLKISLGYPHPDPVVETSSLSAVQPPEPTYDLKIKDELEKSRALSCLQIETIVYACQRHLHKLQSGARAGFFIGDGAGVGKGRTIAGLIWENWQHGRHKALWISVGSDLKFDARRDLDDVGAMPVEVHALNKLPYSKLDSKAVGIKDGVLFLTYSSLIASSEKGRSRLQQLLQWCGSNFDGLVVFDECHKAKNLIPEAGSQATRTGEAVLELQARLPEARVVYCSATGASESRNMGYMVRLGLWGAGTCFPRFHDFLEALDKGGVGALELVAMDMKARGMYVCRTLSFKGVEFEVIEAPLEEKMKEMYKKAAEFWAELRVELLSASTFLSEEKPNPNQVWRLYWSSHQRFFRHMCMSAKVPAAVRLAKQALADGKCVVIGLQSTGEARTEEAVTKYGLELDDFVSGPRELLLKLVEENYPLPPKPDPVSGEESVKELQRKRHTANPDVSFKGRVRKIAKWNVTSDSENDLESLTESDHDSSESDYDFQICDICNGEEEKKKLLRCTCCGQLAHPSCIDPPLTDGVPADWLCYSCKEKTEDYLQARDAYIAELTKRYEAAEERKLKILDIIRGLDLPNNPLDDIIDQLGGPENVAEMTGRRGMLVRAAGGKGVVYQARNTKDVAMEMVNMHEKQLFMDGKKLVAIISEAGSAGVSLQADRRASNQKRRVHLTLELPWSADRAIQQFGRTHRSNQASAPEYRLLFTNLGGERRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSYFGKKALMMMYRGIMEQDPLPVVPPGCSSENPVTIQEFIMKAKAALVSVGIIRDTVLGKYL >DRNTG_17181.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:24638974:24639776:1 gene:DRNTG_17181 transcript:DRNTG_17181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIAPRSKKQADKRPRESSPEPEGISFAIPEHQVHYERLSRLLFGQTRFLDTSILRDLQQGDEFADEVEDLISAGGWRQLLTFREPAIQEFALEVLSSFKFDRSYASFDSLDTIQFRALGHHHSLSITQVSSLLGLYEEAFTDTEDYSQLPTDYP >DRNTG_32132.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001787.1:83592:84780:-1 gene:DRNTG_32132 transcript:DRNTG_32132.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGQGFGEVLRLRHRDSIRKKVGRGASIEAYPLSEQVDRIIASQQQRIPCCNTNHPIEKGYPNLLWNSDGQYWEAPQEECQNCEILREDALQLQRVLANFIEASDVCVQDMETTLRYHEASYKNLEHQLGGILDTLSEERQAFEQEIQVPYRVDVVVISNEEVGRNEYDIVKIEGMQEEPFIQCEDFLNGQYVCEQEMIQGGSVKTDCFQVEIEEEVNPKAME >DRNTG_33745.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32365961:32367904:-1 gene:DRNTG_33745 transcript:DRNTG_33745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHQTIMPILFTLVILLLVNSPPPATAAGCYTSIFSFGDSISDTGNFIATGAYNPICNSPYGETFFHHPTGRASDGRLIIDFIAEGAGLPYLVPFLQGENKHQDFKKGINFAVVGATALKTDFFQSKGITLPMTNYSLSFQTQWFKRLLPSLCSSDSECKNMLGNALFLMGEIGGNDYYNAFIDGRSVEEIRTFVPFVINAISSAISALIEIGARTLLVPGNVALGCIPAYLDLYQSSNPEDYDQETGCIKWLNEFSQFHNLLLHNELELLQQLHPHATIIYADYYESMMAILQSPEQYGFESPLVACCGNGGYYNYNKSCVCGEVCSTVCNDPSKHFSWDGLHLTEAAYKVIATSLIQGKYTSPPITHTCFLGTNTEQLSSTFSM >DRNTG_10968.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1063336:1067533:1 gene:DRNTG_10968 transcript:DRNTG_10968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPTTTGRKMSHSSAREGGGWNCPYGDFFLTWYSQMLLNHGELILSAATTIFSSTNTVISVKVAGIHWHYGTRSHAPELTAGYYNTRFNNGYLPIARMLARHGAIFNFTCVEMKDWEQPAEAMCRPEALVRQVAEAAREAGVGLAGENALPRYDEAAHEQILKTALRNDGDDEGLKMVGFTYLRMGMDLFHPENWRKFAAFVQRMADGCPQETLKKVDKAVHETRPWVQEALMMSK >DRNTG_16509.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5052088:5054566:1 gene:DRNTG_16509 transcript:DRNTG_16509.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGVLERNGSSFYEDKELVGAREPLLRKATTNNTSQIAIVGANLCPIESLDYEIVENDLFKQDWRSRKKTQIFQYITLKWTLALLIGLATGLVGFFNNLAVENIAGFKLLLTSNFMLEQR >DRNTG_32322.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18276318:18277398:1 gene:DRNTG_32322 transcript:DRNTG_32322.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEREKSRGRIEHVSREERQTPRS >DRNTG_21992.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5459654:5460972:1 gene:DRNTG_21992 transcript:DRNTG_21992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYEHDLNHLLIAIVVSIVILPFLAKATDHQVGGDYGWGLDGNYKVWAQSQEFYVGDTLVFKYRMGDHNVMKVNESDYETCTAPPGAAALFSGDDVVELTTPGNKLVHLRDAGPLHRRQPEACHYCASKLTTIFLSSSSTKLFFLFLHSNV >DRNTG_25231.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6888927:6890034:1 gene:DRNTG_25231 transcript:DRNTG_25231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCYFRSYPILILQMSISCSLLFWSRQIPSK >DRNTG_32378.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:557104:558734:-1 gene:DRNTG_32378 transcript:DRNTG_32378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVKPYAVVILIQIIDAGLFMITKAALTFGLNPLIFVFYRQAFGTLLLVPLAVILVRRKAQPRLSFMLLFKTFMLVLIGIPIFFNLFFIGLSYVSATATSAISNSLPVFTFIFTVILGMETLKLKSRSGIIKVLGILFCVTGIMIIALYKGPQLSSLNHHHSQFTNHEGNSNLDTKHSTTTWIKGSFILILSCIICSLWFVFQGILLKEYQSKLLFTTFLCIFSTIQSFIIAIMFERDFAKWKLHWDMGLLAIGYSAVAVTGFSYYLQAWCIEKKGPVFLVISSPLAFVFTMIGSSFILGLYSVLWGKSMENKVPEPSIECSEC >DRNTG_28344.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:218917:222439:1 gene:DRNTG_28344 transcript:DRNTG_28344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKRSHLMDPISASLILREYSIFKPSSPPCYSPLVDIVDDELAFALDLVSPKFPSVFDLPVISPLDFFEGATDLVQFNRASSHFRRLHDRAETELHLRNLSDRVAALEIGFDLAMKPKPADQERKYKWTAEIKGPKGEGFDRKYKLTAVKGAAQKNVKWTAEITGKGKDAPMTRTYTFQASTVPSEANKEKHNKVEMGKKEASSGKRVVEIEEPVNRGAIVLKQAFAKRAFDHSKGKRKELSPQDAALIIQMSFRAHLVRRSQVLRGLRDLAVAKAKLKEIRALFSNYSYRRRIEVDAEERQRFSEKIIVLLLTVDAIEGLDYIIRAAKRSMVVELEGMLEVVDPQPLGKLGSMKRRQFDLPTGGSISREMAMGVAEVVQMLDEEDNHGNTLSGVSL >DRNTG_35204.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7919532:7919963:-1 gene:DRNTG_35204 transcript:DRNTG_35204.1 gene_biotype:protein_coding transcript_biotype:protein_coding HQDPSASRFQIAEVGEEAGMIQSKH >DRNTG_31802.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:570760:577062:-1 gene:DRNTG_31802 transcript:DRNTG_31802.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ and MATH domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT2G39760) UniProtKB/Swiss-Prot;Acc:O22286] MAEFAADPGGLVNDNRRLAQQRLPNASQSKLICETVNGSHHYTIKGYSLAKGMGPGKYMTSDTFSVGGYEWAVYFYPDGKNPEDNSLYVSVFIALASDGADVRALFELTLLDQSGKGKHKVHSHFDRAPESVPYTLKYRGSMWGYKRFYRRTSLEASDFLKDDCLVMRCTVGVVRTRVESSSQSAISVPPSDMGRCLKEMLESRMGSDIVFIVGDETFHAHKLVLAARSPVFHAQFFGPIGNRDTDKVVLQDIESSVFKAMLLYIYSDALPDIHELTGSVSMSTSTIVVQHLLAAADRFGLDRLKQICEAKLCEEVTADTVATTLALAEQHHCAQLKSACLKFTALQENLGDRRV >DRNTG_06671.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3120686:3122577:-1 gene:DRNTG_06671 transcript:DRNTG_06671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKRKHAKKFSCLPSCFSISPELSPDSYDTDKPISRKEYNKTSFFTRFSKSKKTVPVLLLEKQDLDPVSQPQDLDIKDNINILPSSNLKFNFISNFLKIKGDRNRKKSNKLNKSTKSDKIHVSTKSKKKANQNTHMEMDLGTSTLTPSDRVSTKCPNSPEHDPVHITCSDKQKKTQIKPNGRLIESVRFFIPISTLTLIVFLGKAIAIAFLCFCFYSIPLLRSDDVDVEKIKREGIDFDSSKYKKKVVLEGLLDRQDRKSMKFHG >DRNTG_02061.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000103.1:72989:73831:-1 gene:DRNTG_02061 transcript:DRNTG_02061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHLARPPPSATSPLPISSPTTPKKPHFLIPNLHHRRTFTNSLIGVATVMFIGAESAKAAARRPPPPSPEEKIDPNVSGVTAKVLASKKRKEAMKAEIAKLREKGKVIE >DRNTG_02061.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000103.1:72989:73730:-1 gene:DRNTG_02061 transcript:DRNTG_02061.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANHLARPPPSATSPLPISSPTTPKKPHFLIPNLHHRRTFTNSLIGVATVMFIGAESAKAAARRPPPPSPEEKIDPNVSGVTAKVLASKKRKEAMKAEIAKLREKGKVIE >DRNTG_02061.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000103.1:73033:73831:-1 gene:DRNTG_02061 transcript:DRNTG_02061.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANHLARPPPSATSPLPISSPTTPKKPHFLIPNLHHRRTFTNSLIGVATVMFIGAESAKAAARRPPPPSPEEKIDPNVSGVTAKVLASKKRKEAMKAEIAKLREKGKVIE >DRNTG_02061.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000103.1:73033:73730:-1 gene:DRNTG_02061 transcript:DRNTG_02061.5 gene_biotype:protein_coding transcript_biotype:protein_coding MANHLARPPPSATSPLPISSPTTPKKPHFLIPNLHHRRTFTNSLIGVATVMFIGAESAKAAARRPPPPSPEEKIDPNVSGVTAKVLASKKRKEAMKAEIAKLREKGKVIE >DRNTG_02061.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000103.1:73033:73699:-1 gene:DRNTG_02061 transcript:DRNTG_02061.6 gene_biotype:protein_coding transcript_biotype:protein_coding MANHLARPPPSATSPLPISSPTTPKKPHFLIPNLHHRRTFTNSLIGVATVMFIGAESAKAAARRPPPPSPEEKIDPNVSGVTAKVLASKKRKEAMKAEIAKLREKGKVIE >DRNTG_02061.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000103.1:72989:73699:-1 gene:DRNTG_02061 transcript:DRNTG_02061.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANHLARPPPSATSPLPISSPTTPKKPHFLIPNLHHRRTFTNSLIGVATVMFIGAESAKAAARRPPPPSPEEKIDPNVSGVTAKVLASKKRKEAMKAEIAKLREKGKVIE >DRNTG_06036.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32678291:32678692:1 gene:DRNTG_06036 transcript:DRNTG_06036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLRPPSQHSSTLLPSFSSTASSSSSSSSSLSSTSLSNSF >DRNTG_07233.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:21141359:21142129:-1 gene:DRNTG_07233 transcript:DRNTG_07233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTTIKEIECKANESIKKHPRWSCQWSCGKSSTRRPRIPSSGIGCASIEAPLPTFFLMESRCRSRRTSPKTLANIPRNPSRSPLSSWRKDGERNTKIGAESALNRAGIGQLHGLGCSTRPNH >DRNTG_34730.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2227055:2227397:1 gene:DRNTG_34730 transcript:DRNTG_34730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRLHQIIHCQCSPTNVSVSCIGTFLKFLV >DRNTG_12288.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24683820:24685813:1 gene:DRNTG_12288 transcript:DRNTG_12288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFVMEARRLLKAIMSFQGGPCPRILIDVELGDTRTMAEALARSKQGLSTENSDENVHMEKSERVCRMTEIEDLQAPRSFPVAPLCIKDPREYFDSQQANALKTLGDNWNETHGL >DRNTG_13464.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:33863:34234:1 gene:DRNTG_13464 transcript:DRNTG_13464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILLLITVAFTTKSSAGKITPITFTSLAEADGGLNESKTCNGIIGDCVSEEDEMNMDSETNRRSLMYARRGRASYISYGALMKNRVPCNRRGSSYYNCRKGRRANPYRRGCSVITKCARYLK >DRNTG_15706.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4416488:4419286:1 gene:DRNTG_15706 transcript:DRNTG_15706.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQSREEYIGSLRRKSNGFSRGVSKYRGVARHHQNGRWEARIGRVIGNKYLYLGTYATEEEAAFAYDVAAIKYRGINAVTNFDLSLYINNTEIPNPNPNPNPNPNTNPNPNYKDENSLAKQSFHQSSEINEILKSASSTTNHQRSGCNFPDDIQTYFEFKNEENYTGNDDDDDAIFGDIINSFI >DRNTG_15706.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4417151:4419286:1 gene:DRNTG_15706 transcript:DRNTG_15706.2 gene_biotype:protein_coding transcript_biotype:protein_coding RKSNGFSRGVSKYRGVARHHQNGRWEARIGRVIGNKYLYLGTYATEEEAAFAYDVAAIKYRGINAVTNFDLSLYINNTEIPNPNPNPNPNPNTNPNPNYKDENSLAKQSFHQSSEINEILKSASSTTNHQRSGCNFPDDIQTYFEFKNEENYTGNDDDDDAIFGDIINSFI >DRNTG_29924.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5425838:5432084:-1 gene:DRNTG_29924 transcript:DRNTG_29924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCELTGDSINKTEEHIWKHINGRRFLNKLEQKEADKLASPKEVKKDMKQSKKLKKSSKITVKKKGKDSNGNGSLDSKPKSRQ >DRNTG_34521.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5060255:5062081:-1 gene:DRNTG_34521 transcript:DRNTG_34521.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHPRSWRLRIEPKRSRAQVATYPSFMKRMILKMKRARRRHKKRPKANGDVQAWSKDDEPLVLRLYPEKTQGHVNVPVDDLVNYTQELRLVFHPKSTQWRMSAPMNTPVRIHTPVWNFHRAMWNT >DRNTG_18537.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3295833:3300032:1 gene:DRNTG_18537 transcript:DRNTG_18537.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSLKPLPEWNIQSTLPPPTAVSPADERQRLSPICFACTSLELQSSFEVMASDGVASEGRHEARAKWNEIHKAHLVKLLGEYNTPAYRSQKGWTKEAWNKMVRDMITKFSNPSITTSQVKALEQELKKTYKLLKGFSELSGFGWDYERHIVSAPDDVWAPLLERNRDARKWHTRPFPYFMALQEVYEGRYAEGKPSRGIEDYEDNSQSPVHTPSPSVFTSYDSRQQSPTHETEDDDIMQVELPSSQPRNPQTQSSSNEILRGLRDQDGHRRKRERKGKKPQDSSFNMDKYIAFRELENKEYLEVLKGHQVVEKHTIEDCMKVFNEMSGIFTEEEMFKATQIFIKDKSYRELFLCLQEDHKVPWLKMMFSKIE >DRNTG_18439.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14706868:14711596:1 gene:DRNTG_18439 transcript:DRNTG_18439.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGANRTTLIPSTKLKEGIGKPYYFRHGGRGKEGVGLTQWLVNHFFFLEIQTKASLDGIEEDLANEEKGIWISGLVDDALEGAELNPR >DRNTG_09323.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1016439:1018193:-1 gene:DRNTG_09323 transcript:DRNTG_09323.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAERLIGTSLSQITLLLGLGLLQSFPICCFSYCSKCLVNWKNICDVIFRNAQLNGHNVVCKAFAHTNDFNISNHNVHGRKLIHNNFS >DRNTG_27734.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001405.1:17766:18976:1 gene:DRNTG_27734 transcript:DRNTG_27734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGNLWDALHNEWNFLDWPTRHRIALGIAQGLAYLHHDLLFPIVHRDIKTSNILLDASFEPKVADFGIAKVLQARGHDYDSTTTVIAGTYGYLAPEYAYTFKATTKCDVYSFGVVLMELITGKKPSEPEFGENRDIIHWVSSKIANKEGETEVLDKRLSWSPFKDEMIQVLRIALRCTCSSPTLRPTMNEVVQLLIEADPFKSDAITSPSSKFKSAKNPT >DRNTG_31048.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30596066:30598489:-1 gene:DRNTG_31048 transcript:DRNTG_31048.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAASVLKCGKGKVWLDPNETNEISMANSRQNIRKLVKDGFVIRKPTKIHSRSRARRALEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPGERVPPAVAPPASAAEAPKKSKK >DRNTG_06886.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14165152:14165907:1 gene:DRNTG_06886 transcript:DRNTG_06886.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVSRMHKTIDGVLAQGEKLDSLVEKNSDLSVASQVSPYMLIGRKLHAKCVFWPSK >DRNTG_18645.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6708683:6712311:-1 gene:DRNTG_18645 transcript:DRNTG_18645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEIAGATAETRDWSEMTPVLLVNVFRRLSLEDRWRGVMFACKTWLEAARDPALFESFDLEPFFGRFSDAATWWTPAFQRRVDSMVRLVAVVGGPTLRTVRVRHCSDRALELVAERCPNLEILSVKNSQSVTDTSMIKIALGCHMLTELDISSCYEISYKSLELIGQNCPNLTVLKRNLLNWLDPSQHAGIVPDDYINACPQDGDREALVIAKFMPKLKHLELRFSKLFINGLISISEGCTDIETLDLFGCVNLTSRALDQTSKNMKNLKVLVRPNFYIPRSVFHTERYGHWRLYDERFQTNVFQI >DRNTG_16422.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7495836:7498295:1 gene:DRNTG_16422 transcript:DRNTG_16422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAALFQGFQRISRSKSDVGTLSPVSITTNTPANPNGSLDHSPSLTDTNPNPNSTFSGDANNNNNNCMVREQDRFMPIANVIRIMRKVLPTHAKISDEAKETIQECVSEYISFITSEANDRCQREQRKTITAEDIIWAMSKLGFDDYIEPLSVYLQKYRELEGDHRGAVRGDALPLKRSQSDVGGGGGVYGAPPPYSLGQGYHGYGGGYYMGVY >DRNTG_16422.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7497698:7498295:1 gene:DRNTG_16422 transcript:DRNTG_16422.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVREQDRFMPIANVIRIMRKVLPTHAKISDEAKETIQECVSEYISFITSEANDRCQREQRKTITAEDIIWAMSKLGFDDYIEPLSVYLQKYRELEGDHRGAVRGDALPLKRSQSDVGGGGGVYGAPPPYSLGQGYHGYGGGYYMGVY >DRNTG_21120.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2582053:2582718:1 gene:DRNTG_21120 transcript:DRNTG_21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIAKARSEVGTMPEIARMVTVARDWRWMGERKRRRDGDGWRRRRRRHGGGD >DRNTG_30633.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1099863:1100183:-1 gene:DRNTG_30633 transcript:DRNTG_30633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKRMQFLQGWSGTGGSSDITPGFAISKVRCSCRHIKCYSKESMKKAHNPSDRKEMRPDNSTRYIRL >DRNTG_11382.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000505.1:7794:8332:1 gene:DRNTG_11382 transcript:DRNTG_11382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFLSVAQLYRKRTTKLWRMRQRVPYNVDKARESRNWRQLRTPTKPPVKQKINRFD >DRNTG_11382.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000505.1:7794:8273:1 gene:DRNTG_11382 transcript:DRNTG_11382.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFLSVAQLYRKRTTKLWRMRQRVPYNVDKARESRNWRQLRTPTKPPVKQKINRFD >DRNTG_08679.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4848668:4849583:1 gene:DRNTG_08679 transcript:DRNTG_08679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIWLFVVLILTQSYTASLTSMLTVQQLEPTVTEVEQLIRKGDFVGYHKGSFVKEKLINELHFDKSKLVPLGRPDDYAEALSKGSSNNGVSAVFHEIPYLKLFLAKHCKNFMMVGPTYKTAGFGFVFPKGSPLVPDVSRAILNITQGSKMVGIEKKWIGYEDKCQEQDSLLSSHRLNFRSFEGLFLITGLTSIISALIYLLWLGLEKFNIPVLVKAMVSKYFKKIETKALIFKRRTAPVCPGSPTSMDANSSVIITSFSQSPGSPSSIEEYIIETDKDD >DRNTG_16539.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:24350524:24353764:-1 gene:DRNTG_16539 transcript:DRNTG_16539.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSGAFGAVGAIPGVRNPIKIATYLVKEQMTGSSLLGRLPPIFLAGESAREWGMSKGIVLPETIAEAEAWLVTEKAKAQWLRYKSMLLNAKRQTESSSGSNPGTTKVVLTNSGVGALSCNMEKHTVGSGQSPCMKDDIGLEQDCIMDTVGVVCMDSLGHVASGSSSGGIALKVEGRVGLAAMYGSGCWASCKDPFGAPFVVGCCATGAGEYLMKGFTSRECCVSSSLSQAGPAAACTKVLRSIVQNSSQHSHDTGCGVLFVQADIQKDAENTNLLKTVELVAAFSSSSFGVGYFGNAMTCPKV >DRNTG_16539.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:24350742:24354709:-1 gene:DRNTG_16539 transcript:DRNTG_16539.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSSLLGRLPPIFLAGESAREWGMSKGIVLPETIAEAEAWLVTEKAKAQWLRYKSMLLNAKRQTESSSGSNPGTTKVVLTNSGVGALSCNMEKHTVGSGQSPCMKDDIGLEQDCIMDTVGVVCMDSLGHVASGSSSGGIALKVEGRVGLAAMYGSGCWASCKDPFGAPFVVGCCATGAGEYLMKGFTSRECCVSSSLSQAGPAAACTKVLRSIVQNSSQHSHDTGCGVLFVQADIQK >DRNTG_16539.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:24349926:24354709:-1 gene:DRNTG_16539 transcript:DRNTG_16539.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGAENPNPRFFVAVHVGAGFHSPSNEKAFKRAMRRACLAAASILRQTDGGCMDAVAAAIQVLEDDPITNAGRGSNLTEEGHVQCDACIMDGSSGAFGAVGAIPGVRNPIKIATYLVKEQMTGSSLLGRLPPIFLAGESAREWGMSKGIVLPETIAEAEAWLVTEKAKAQWLRYKSMLLNAKRQTESSSGSNPGTTKVVLTNSGVGALSCNMEKHTVGSGQSPCMKDDIGLEQDCIMDTVGVVCMDSLGHVASGSSSGGIALKVEGRVGLAAMYGSGCWASCKDPFGAPFVVGCCATGAGEYLMKGFTSRECCVSSSLSQAGPAAACTKVLRSIVQNSSQHSHDTGCGVLFVQADIQKDAENTNLLKTVELVAAFSSSSFGVGYFGNAMTCPKVSIQRSKRAPGGNDINFFAACINLTS >DRNTG_16539.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:24349926:24354709:-1 gene:DRNTG_16539 transcript:DRNTG_16539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSSLLGRLPPIFLAGESAREWGMSKGIVLPETIAEAEAWLVTEKAKAQWLRYKSMLLNAKRQTESSSGSNPGTTKVVLTNSGVGALSCNMEKHTVGSGQSPCMKDDIGLEQDCIMDTVGVVCMDSLGHVASGSSSGGIALKVEGRVGLAAMYGSGCWASCKDPFGAPFVVGCCATGAGEYLMKGFTSRECCVSSSLSQAGPAAACTKVLRSIVQNSSQHSHDTGCGVLFVQADIQKDAENTNLLKTVELVAAFSSSSFGVGYFGNAMTCPKVSIQRSKRAPGGNDINFFAACINLTS >DRNTG_16539.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:24349926:24354378:-1 gene:DRNTG_16539 transcript:DRNTG_16539.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYRHETLNRLVVAQICLGLFTTNIFFLKQWLVTEKAKAQWLRYKSMLLNAKRQTESSSGSNPGTTKVVLTNSGVGALSCNMEKHTVGSGQSPCMKDDIGLEQDCIMDTVGVVCMDSLGHVASGSSSGGIALKVEGRVGLAAMYGSGCWASCKDPFGAPFVVGCCATGAGEYLMKGFTSRECCVSSSLSQAGPAAACTKVLRSIVQNSSQHSHDTGCGVLFVQADIQKDAENTNLLKTVELVAAFSSSSFGVGYFGNAMTCPKVSIQRSKRAPGGNDINFFAACINLTS >DRNTG_16539.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:24349926:24354709:-1 gene:DRNTG_16539 transcript:DRNTG_16539.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYRHETLNRLVVAQICLGLFTTNIFFLKQWLVTEKAKAQWLRYKSMLLNAKRQTESSSGSNPGTTKVVLTNSGVGALSCNMEKHTVGSGQSPCMKDDIGLEQDCIMDTVGVVCMDSLGHVASGSSSGGIALKVEGRVGLAAMYGSGCWASCKDPFGAPFVVGCCATGAGEYLMKGFTSRECCVSSSLSQAGPAAACTKVLRSIVQNSSQHSHDTGCGVLFVQADIQKDAENTNLLKTVELVAAFSSSSFGVGYFGNAMTCPKVSIQRSKRAPGGNDINFFAACINLTS >DRNTG_16539.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:24349926:24351210:-1 gene:DRNTG_16539 transcript:DRNTG_16539.8 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEGRVGLAAMYGSGCWASCKDPFGAPFVVGCCATGAGEYLMKGFTSRECCVSSSLSQAGPAAACTKVLRSIVQNSSQHSHDTGCGVLFVQADIQKDAENTNLLKTVELVAAFSSSSFGVGYFGNAMTCPKVSIQRSKRAPGGNDINFFAACINLTS >DRNTG_16539.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:24349926:24354709:-1 gene:DRNTG_16539 transcript:DRNTG_16539.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYRHETLNRLVVAQICLGLFTTNIFFLKQWLVTEKAKAQWLRYKSMLLNAKRQTESSSGSNPGTTKVVLTNSGVGALSCNMEKHTVGSGQSPCMKDDIGLEQDCIMDTVGVVCMDSLGHVASGSSSGGIALKVEGRVGLAAMYGSGCWASCKDPFGAPFVVGCCATGAGEYLMKGFTSRECCVSSSLSQAGPAAACTKVLRSIVQNSSQHSHDTGCGVLFVQADIQKDAENTNLLKTVELVAAFSSSSFGVGYFGNAMTCPKVSIQRSKRAPGGNDINFFAACINLTS >DRNTG_25379.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24284468:24287066:-1 gene:DRNTG_25379 transcript:DRNTG_25379.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SSL3 [Source:Projected from Arabidopsis thaliana (AT1G08470) UniProtKB/TrEMBL;Acc:A0A178WK70] MASTMTVLFAVVIVLAAVYCGIDPLRHSSMADFPEFEAYKVELPAWSEVPKVRDEQDLLQRAEVRFFGQIQGPESVAFDPHGHGPYTGVADGRILFWNGNSWSDFAFTSPNRTELCDPKPSALMYLKNEHVCGRPLGLRFDKKSGDLYIADAYFGLLKVGPEGGLATQLTTEAEGVPLKFTNDFDFDEDGNVYFTDSSSNYQRRNFMQLVFTSEPSGRLLKYNPVTKETTVLLRNLQFPNGVSMSKDKSFFVFCEGSRGRLSRYWLKGEKAGTSEVFAILPGFPDNVRTNENGEFWVAIHCRRTVYAHVMSHYPQLRKFFLKLPIPAKYQFLMFIGGRLHAVIAKYSPDGELLQILEDRQGKVVRAVSEVEEKDGKLWLGSVLMPFIAVYDLH >DRNTG_15048.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5647326:5648282:-1 gene:DRNTG_15048 transcript:DRNTG_15048.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQFPIPSCFSPSDKFSDDPNSAKSGQSLVMSVYRTKIAGHCRIITITWCKNLLVHGLSISVEALDQNPNDDHNINHNNIDIDHKHLNQFSCKVELRPWYFWRKLGSKRFQVDGKPVDIFWDLRQAKFSGETEPRSDYYVAVVSDEEVVLLLGDLKKEAYRRTGFRPSIIDAILLSKKEHVFGKKRFSTRAKFHEKDKFHDILLECSNNGGFDSEMMIKIDGNSMVDVKHLQWKFRGNESISIGKTRLEVYWDVHDWLFVPGMRHALFIFKPVSSPACSSSTFSSSSSSMSSVVSLGEEGSNGSSGSCLFLYAWKLE >DRNTG_34348.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21186825:21188411:1 gene:DRNTG_34348 transcript:DRNTG_34348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSQFSQSSLEENPASDQRLGSQERDNSSKKSLVPIASMREEPPIQLSRTSTNAL >DRNTG_06031.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32701151:32708752:-1 gene:DRNTG_06031 transcript:DRNTG_06031.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSYVEDLNCGTMSPGFAPQPVPSRIELKPVDLAAADDEKETNKCEHFTIRGYVAEVRKRDTKIGCPFALLQKHIPDQHACSLPPLSITKFRWWNCHNCLHNSLSAETAVSDGVFTGAANGEGKHQIFSSEANENVASHPSGLPKQSDGKSSDERSTEAGRSSTVSSSGFNPQLHYGKKENESLIAELGKGGCVISSGYCDNSQEKQNLLGKPGLAHAEGEIIQPIETGQREKIFCAEGSETAYQGKDNPEMIVDVKTMVNELNYGISGREMPGVIPISCSNIIAAPTEKHGRILAGITDLKEPCNSTAIKCVLPMDAVSPSHASDDCNASNVDMQLVYNRIETENDASQSTSNANLQHRKARKVRLLNDILKGEESRVADKVFDCYADEKTNENKNCKGKRPDAQKRKATYLHHNKYYATKKNRVKPTRHHYSNELHQDEDDGPSLMHWLQKRCKKSLSLKGDNEINHGGNEVGLTKISGDASPMVHHVESCGMREEKTVIRERKQAESLTSSQILQQRSMNGKNKMIIERSTNQRMQ >DRNTG_06031.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32701151:32705860:-1 gene:DRNTG_06031 transcript:DRNTG_06031.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRTMQEGKLEAADDFPMDIVELLARNQHERRSLNADIAAEKLQNLSEMAEKLTDYKFLDDFKDQGHKVSHQLDKNLSQQKSLPNNEEDNAPPPVRIDLSVGHRMNDYHSFSYAKQGLFLASEVPKALSHNSGHPITEGQHPVGTMINCLPHKFCCDRVALHASQSFQTANAGTDQHSLRNTHYMPSVPISSSNLGLVTGKPIDYFIQESLFPFPEGSLRTAADKTNSQVTMNPSDARPHMQKRKALESSIHRKTAQHSMSMFANAGECQSRFMMPLNTNTNDTTAAMHMLTLTNWAAYSREHLCGAPMDLNAYGSHHESEFHYADINTYKHPWINGNPDQELQPGNSCKSYSSSSQSWCARFFIA >DRNTG_06031.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32705940:32707168:-1 gene:DRNTG_06031 transcript:DRNTG_06031.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSYVEDLNCGTMSPGFAPQPVPSRIELKPVDLAAADDEKETNKCEHFTIRGYVAEVRKRDTKIGCPFALLQKHIPDQHACSLPPLSITKFRWWNCHNCLHNSLSAETAVSDGVFTGAANGEGKHQIFSSEANENVASHPSGLPKQSDGKSSDERSTEAGRSSTVSSSGFNPQLHYGKKENESLIAELGKGG >DRNTG_04235.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30301903:30302764:-1 gene:DRNTG_04235 transcript:DRNTG_04235.1 gene_biotype:protein_coding transcript_biotype:protein_coding DPRVVTPGFSHNRTPCQWLRAPLDQGKPGLTTPPPIRPDRGTPHCSVGLKSAVTIKTECHI >DRNTG_25529.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2951716:2953226:-1 gene:DRNTG_25529 transcript:DRNTG_25529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEYAMDGIFSVKSDVFSFGVMVLEIVSGQKIRGVYLSNPKFYLPEKGKNDFYININSYSIATISLISNFFFRPGVSEMKTISQKQLIHY >DRNTG_29051.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2807414:2807825:-1 gene:DRNTG_29051 transcript:DRNTG_29051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKDVSFRLSWQTGQVRVEHFGLLVTKFISAHEPSIACLTLTMDGLLLATASVKGTLIRIFNTMDGTRLLEVMPVISL >DRNTG_34059.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23945314:23961276:1 gene:DRNTG_34059 transcript:DRNTG_34059.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLDLYEGFLDQEVENEEVMMLGLEEEVPSTSGIVKKMLQKMKSARRRHKKLHKAVGDTQEWNELLSISRMHVGMHPPAHSALGVPRLRGNYARAWETLREFSQGKECFPEST >DRNTG_01174.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29160655:29170776:1 gene:DRNTG_01174 transcript:DRNTG_01174.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMDMVVAWLLNLHRKIYIQMLFQLAYHMDKKAAKRAILEGFRRTDESLLQESTKGNWQDGATAVCLWILGQTVLVANLGDAKAVLARSSNESHQSTSEAGSTLKAILLTREHKAIYPQERARIQKAGGSVGANGRLQGRLEVSRAFGDRQFKKVSTHYLILLDDIKFALVSTCRGYKQLPSLPSKMEYA >DRNTG_01174.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29160655:29170776:1 gene:DRNTG_01174 transcript:DRNTG_01174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDMVVAWLLNLHRKIYIQMLFQLAYHMDKKAAKRAILEGFRRTDESLLQESTKGNWQDGATAVCLWILGQTVLVANLGDAKAVLARSSNESHQSTSEAGSTLKAILLTREHKAIYPQERARIQKAGGSVGANGRLQGRLEVSRAFGDRQFKKVGVIATPDIHSFELTEKEQFIILGCDGLWGVFGPSDAVDFVQKQLKEKSSATMAARCLVREAVRERRCKDNCTAIVIVFRHN >DRNTG_01174.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29160655:29170776:1 gene:DRNTG_01174 transcript:DRNTG_01174.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAHCKREGHHQDGDALAKKSKVADPSAESDKEQLTLDSHVPVNDHLTSAEKNGHKGSNDEQVDSRDHSDNDKHDLNSTSEDKALCNPGVPKLQVGNLSSIEADAAEDKGSRHAMEDAWVVLPDASLDFPGQLRCAHFAIYDGHGGRLAAEFAQKNLHPNALSAGLPRELMDKKAAKRAILEGFRRTDESLLQESTKGNWQDGATAVCLWILGQTVLVANLGDAKAVLARSSNESHQSTSEAGSTLKAILLTREHKAIYPQERARIQKAGGSVGANGRLQGRLEVSRAFGDRQFKKVSTHYLILLDDIKFALVSTCRGYKQLPSLPSKMEYA >DRNTG_01174.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29168689:29170776:1 gene:DRNTG_01174 transcript:DRNTG_01174.4 gene_biotype:protein_coding transcript_biotype:protein_coding QVGVIATPDIHSFELTEKEQFIILGCDGLWGVFGPSDAVDFVQKQLKEKSSATMAARCLVREAVRERRCKDNCTAIVIVFRHN >DRNTG_10841.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:8082055:8082746:1 gene:DRNTG_10841 transcript:DRNTG_10841.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRGIEFHTEESPQAILKASDGSFSLKTNKETVDGFSHVMFATGRRPNTKNLGLEEVGVELAKNGAIVVDV >DRNTG_10841.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:8082055:8082951:1 gene:DRNTG_10841 transcript:DRNTG_10841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRGIEFHTEESPQAILKASDGSFSLKTNKETVDGFSHVMFATGRRPNTKNLGLEEVGVELAKNGAIVLWIPFGLLEMLLIE >DRNTG_04966.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3982963:3983754:1 gene:DRNTG_04966 transcript:DRNTG_04966.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 7 [Source:Projected from Arabidopsis thaliana (AT5G17620) UniProtKB/Swiss-Prot;Acc:Q0WTP1] MMEVPQLHGFGPAANRLLEAYNTLLKFLGNLRSLRDSHAAMAAGSETISSEPSSVKKIIMDCESALSYLNCNLAILSTSVSREQGEDGL >DRNTG_04966.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3978360:3983754:1 gene:DRNTG_04966 transcript:DRNTG_04966.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 7 [Source:Projected from Arabidopsis thaliana (AT5G17620) UniProtKB/Swiss-Prot;Acc:Q0WTP1] MASKQMEEIQRKLALLNYPRANAPAQSLLFAGVERYALLEWLFFKLLGDRSPFTQQTWQGDALDRDEETARIQHLAEIASFLGITSSVDTEVIQGRGSYEERAELLRLIVDLVEASFNADYPEWTVDEQLAKDVQLLDSIAEKQAQIFSEECKLFPADVQIQSIYPLPDISELESKLSEHTKKLSNLQQMVQDLASKYDYNPNEDYASVELQLRSQLESFLETVRSFNIIYSKEIRPWTHMMEVPQLHGFGPAANRLLEAYNTLLKFLGNLRSLRDSHAAMAAGSETISSEPSSVKKIIMDCESALSYLNCNLAILSTSVSREQGEDGL >DRNTG_34636.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:179117:183517:-1 gene:DRNTG_34636 transcript:DRNTG_34636.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome non-ATPase regulatory subunit 6 homolog [Source:Projected from Arabidopsis thaliana (AT4G24820) UniProtKB/Swiss-Prot;Acc:Q93Y35] MEGQDGKQEPHLVLAHKIFLLTHPDVDDIDKVRLRDEVVSTVRSLDMDSLYESLASDSLIDRDQGLLDEMRKRNEEEIKKLDEKIVDAEENLGESEVREAHLAKSLFYIQIGCKEKALEQLKLTESKTVAVGQKMDLVFYTLQLGFFYLDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYCMSTRNFKKAANLFLDSISTFTTYELFPYDTFIFYTVLTSIISLDRVSLKQKVVDAPEILAVIGKVPYLSEFLNSLYQCQYKSFFSAFSGLTEQIKLDRYLQPHFRFYMREVRTVIYSQFLESYKSVTMEAMATAFGVTVDFIDLELSRFIAAGKLHCKIDKVAGVLETNRPDAKNALYQATIKQGDFLLNRIQKLSRVIDL >DRNTG_31560.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10189241:10192571:1 gene:DRNTG_31560 transcript:DRNTG_31560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTMTMQNSITMFAIVLALGALVTMPRGGQSIGVCYGRLGDNLPQPSTVISLYKSKNINGMRLYDPYDVALQALKGSNIELILDVPNDKLQSLASDASAASTWVQNNIKAYSSDVKFKYIAVGNEVIPGDSAQYVLPAMQNIQNALTSAGLQNQIKVSTAVSTVVLGTSYPPSSGSFSSAALSSLGSIINFLSSNGSPLLANVYPYFSYIDNTGSIDLKYALFTSPGTVVTDGSLQYQNLFDAIVDSLYSALEKAGGSNVGIVISESGWPSAGGNAATIDNAQTYNQNLINHVGKGTPKKPGAIEAFIFEMFNENQKSPEVEKHFGLFNSDGTPVYSINF >DRNTG_22469.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20695653:20701492:1 gene:DRNTG_22469 transcript:DRNTG_22469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKDHKESIEPRMSSNGTLKAKQKSSYMDCIV >DRNTG_19328.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:744416:746973:-1 gene:DRNTG_19328 transcript:DRNTG_19328.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAFSASCGELIRRWDKMIPDEGCLELDVFPEIQNVTQDVISRTAFGSSYEEGRRIFELLTEQTQLIIPVIQSVYIPGYRFLPTPMNQKRSQVDKEMKRILRDMIEKRERAMRKGESSKNDLLGILLESNMKEAKEVQGKKSKNRGMTTEDVIQECKLFYLAGQETTSVLLTWTMILLGMYPNWQAKAREEVLHVFGKNTPDMDGLSRLKIVTMILYEVLRLYPPVPFLSRRSYKTMELGGVSYPPEVILSLPILLIHSDPDFWGEDAKEFKPERFAEGISKASKVAGSFFPFGAGPRVCVGQNF >DRNTG_19328.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:744416:746244:-1 gene:DRNTG_19328 transcript:DRNTG_19328.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAFSASCGELIRRWDKMIPDEGCLELDVFPEIQNVTQDVISRTAFGSSYEEGRRIFELLTEQTQLIIPVIQSVYIPGYRFLPTPMNQKRSQVDKEMKRILRDMIEKRERAMRKGESSKNDLLGILLESNMKEAKEVQGKKSKNRGMTTEDVIQECKLFYLAGQETTSVLLTWTMILLGMYPNWQAKAREEVLHVFGKNTPDMDGLSRLKIVTMILYEVLRLYPPVPFLSRRSYKTMELGGVSYPPEVILSLPILLIHSDPDFWGEDAKEFKPERFAEGISKASKVAGSFFPFGAGPRVCVGQNF >DRNTG_19328.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:744416:746973:-1 gene:DRNTG_19328 transcript:DRNTG_19328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMMMLLWAVVVPVLSFWVWRTLDWVWLTPRRIESELRRQGLRGNHYRILYGDAKDSVRLTKDARSRPLPLHCHDIGSRVFPLFHKAIKDHGKISFTWLGPFARVTLMNPELVKEVLSDKFGHFMKPRTTPLAKFLVQGLLTHEGEKWAKHRRIINPAFHLEKLKLMYPAFSASCGELIRRWDKMIPDEGCLELDVFPEIQNVTQDVISRTAFGSSYEEGRRIFELLTEQTQLIIPVIQSVYIPGYRFLPTPMNQKRSQVDKEMKRILRDMIEKRERAMRKGESSKNDLLGILLESNMKEAKEVQGKKSKNRGMTTEDVIQECKLFYLAGQETTSVLLTWTMILLGMYPNWQAKAREEVLHVFGKNTPDMDGLSRLKIVTMILYEVLRLYPPVPFLSRRSYKTMELGGVSYPPEVILSLPILLIHSDPDFWGEDAKEFKPERFAEGISKASKVAGSFFPFGAGPRVCVGQNF >DRNTG_25366.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24194085:24195559:-1 gene:DRNTG_25366 transcript:DRNTG_25366.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RbcX2 [Source:Projected from Arabidopsis thaliana (AT5G19855) UniProtKB/TrEMBL;Acc:A0A178UCQ2] MAGVWPIISAMDTHCCPCLIFRNPKPFKRSLESSFAIHPWRNSCLKRTPRKKRRLVIANEIAGQYEEGFDDVHQQLINYFTQKAVRTVLYQLYEMNPPKYMWFYNFVASNDTTDSKHFLRTLAKETQELAERVMLTRLHLYGQWIKKCDHPKMYQRISDENLALMRERLIETVIWPSEDTNSDT >DRNTG_25366.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24194220:24195559:-1 gene:DRNTG_25366 transcript:DRNTG_25366.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RbcX2 [Source:Projected from Arabidopsis thaliana (AT5G19855) UniProtKB/TrEMBL;Acc:A0A178UCQ2] MAGVWPIISAMDTHCCPCLIFRNPKPFKRSLESSFAIHPWRNSCLKRTPRKKRRLVIANEIAGQYEEGFDDVHQQLINYFTQKAVRTVLYQLYEMNPPKYMWFYNFVASNDTTDSKHFLRTLAKETQELAERVMLTRLHLYGQWIKKCDHPKMYQRISDENLALMRERLIETVIWPSEDTNSDT >DRNTG_12927.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1544284:1549395:1 gene:DRNTG_12927 transcript:DRNTG_12927.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWNNSRPSPYLSKFLVDDVWGCHFITNSPLLRMQVTRLRCGGFVLAYTVNHCVCDPHGFYQFIRAVSEFAREPNRFGPTQQAVWSRELLRPCNRPKPSFPHLEYNMDPNPNDPAPESDFRNLTQISIFFTRSEILALKSHINGPKTTTHTAIIALLWRARTRVLGLKSETRLFIPIDTRGRHQPLLPVGYYGVASVIPCTIVPAKQLGSRLSELKRYGSDKDYRASVVDFFEAHGPRGFSGGEGAFLVSDVSKLRFADVDFGWGPGVYGGLARSGTTDIPGMVAFLVGFKREDGVDGLLALLSLPRGAVDAFKDEVHNVINSFKMRSGL >DRNTG_12927.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1523813:1549395:1 gene:DRNTG_12927 transcript:DRNTG_12927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWNNSRQSQAGSGHRLRISASSLLMMFGVCHFITNSPLLRMQVTRLRCGGFVLAYTVNHCVCDPHGFYQFIRAVSEFAREPNRFGPTQQAVWSRELLRPCNRPKPSFPHLEYNMDPNPNDPAPESDFRNLTQISIFFTRSEILALKSHINGPKTTTHTAIIALLWRARTRVLGLKSETRLFIPIDTRGRHQPLLPVGYYGVASVIPCTIVPAKQLGSRLSELKRYGSDKDYRASVVDFFEAHGPRGFSGGEGAFLVSDVSKLRFADVDFGWGPGVYGGLARSGTTDIPGMVAFLVGFKREDGVDGLLALLSLPRGAVDAFKDEVHNVINSFKMRSGL >DRNTG_14259.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:995721:1001321:1 gene:DRNTG_14259 transcript:DRNTG_14259.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVIVSHGLTLRVFLMRWYKWTVEQFEGLNNFDNGGMLVMQTGFGGRYSLLVHHNSQELKAFGLTEAMLTDQEWQKTARPGELNYNCMTSGPSWFPHFG >DRNTG_14259.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:995721:1001321:1 gene:DRNTG_14259 transcript:DRNTG_14259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEKEIRRRYGRFFYRFPNGESAADVYDRITGFRETLRADIDIGRFQPPGERTPNMNLVIVSHGLTLRVFLMRWYKWTVEQFEGLNNFDNGGMLVMQTGFGGRYSLLVHHNSQELKAFGLTEAMLTDQEWQKTARPGELNYNCMTSGPSWFPHFG >DRNTG_14259.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:995721:998941:1 gene:DRNTG_14259 transcript:DRNTG_14259.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVIVSHGLTLRVFLMRWYKWTVEQFEGLNNFDNGGMLVMQTGFGGRYSLLVHHNSQELKAFGLTEAMLTDQEWQKTARPGELNYNCMTSGPSWFPHFG >DRNTG_14259.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:995721:1001321:1 gene:DRNTG_14259 transcript:DRNTG_14259.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVIVSHGLTLRVFLMRWYKWTVEQFEGLNNFDNGGMLVMQTGFGGRYSLLVHHNSQELKAFGLTEAMLTDQEWQKTARPGELNYNCMTSGPSWFPHFG >DRNTG_14259.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:995721:998941:1 gene:DRNTG_14259 transcript:DRNTG_14259.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEKEIRRRYGRFFYRFPNGESAADVYDRITGFRETLRADIDIGRFQPPGERTPNMNLVIVSHGLTLRVFLMRWYKWTVEQFEGLNNFDNGGMLVMQTGFGGRYSLLVHHNSQELKAFGLTEAMLTDQEWQKTARPGELNYNCMTSGPSWFPHFG >DRNTG_14259.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:995721:998941:1 gene:DRNTG_14259 transcript:DRNTG_14259.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVIVSHGLTLRVFLMRWYKWTVEQFEGLNNFDNGGMLVMQTGFGGRYSLLVHHNSQELKAFGLTEAMLTDQEWQKTARPGELNYNCMTSGPSWFPHFG >DRNTG_00601.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22352870:22356372:-1 gene:DRNTG_00601 transcript:DRNTG_00601.2 gene_biotype:protein_coding transcript_biotype:protein_coding RHHDEIEETVLIEIICQQENKEIKRTCNSIPHPNKQNDRAKNENNRSQGQAGETKVAQSF >DRNTG_00601.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22354863:22356372:-1 gene:DRNTG_00601 transcript:DRNTG_00601.3 gene_biotype:protein_coding transcript_biotype:protein_coding ARSETSLLASKFSVSSASKSSSKATEPYRESNFNSSM >DRNTG_00601.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22352870:22356372:-1 gene:DRNTG_00601 transcript:DRNTG_00601.1 gene_biotype:protein_coding transcript_biotype:protein_coding RHHDEIEETVLIEIICQQENKEIKRTCNSIPHPNKQNDRAKNENNRSQGQAGETKVAQSF >DRNTG_02502.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7695948:7701244:-1 gene:DRNTG_02502 transcript:DRNTG_02502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVFSVEEIADPFWAPSPAATGGGRGAPRGVMNRSSSEWFFEKFLEEASVPEKQASSLIVPALGDSMVGNRGDANAPAPSVVSSSSNGAKNDDEVVEIKIIDGDFDRGDASGTQRPEEMEAGMDPGEYAAFLKQKLDMYCAAVAMSRGSGVQPQDSSSLAEINSQASHTSHSPKGGGAVGTLGLHVEQYSKIQGKPANSSSSREQSDDDDEVEGEAEITKNKDPSDLKRVRRMLSNRESARRSRRRKQAHLSELEAQVSQLRVENSSLLKRLTDINQKYNEAAVDNRILKADVETLRAKVKMAEDSVKRVTGVNPMYPTMSDMSSITVPFSGSPSDATSDAAVPVQEDQSHFFQASQHDQGFGAHLPDATTATSVTESAHGVVAPENTRRTASMQRVASLEHLQKRMHGGSNICGSTQWDTSANNK >DRNTG_02502.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7695948:7696804:-1 gene:DRNTG_02502 transcript:DRNTG_02502.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSVKRVTGVNPMYPTMSDMSSITVPFSGSPSDATSDAAVPVQEDQSHFFQASQHDQGFGAHLPDATTATSVTESAHGVVAPENTRRTASMQRVASLEHLQKRMHGGSNICGSTQWDTSANNK >DRNTG_01554.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:8677144:8677853:1 gene:DRNTG_01554 transcript:DRNTG_01554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAPVRNFHTGVDNFHTPVWILCFSGFSAGCEQCCYSICYNVVMSSRLNLSKISCIKET >DRNTG_19864.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20078105:20078606:-1 gene:DRNTG_19864 transcript:DRNTG_19864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFRRQIPCLDSYLDKVKFSLWSRFKLVFDMHLNSLRNANVSTLWVDDVHPHYVMRAMLNS >DRNTG_22894.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1304075:1307898:1 gene:DRNTG_22894 transcript:DRNTG_22894.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYITDEKELIMEPSFKWAGNPNVTVKLKAFGLRATAQVVDLQIFAAPRITLKPLVPSFPCFANIFVSLMEKPYVDFGLKLFGADIMSIPGLYRFVQETIKIQVANMYLWPRQLEVPLLDISKALKKSVGILNVKVIRAHKLNKKDLMGKSDPYVKLRLTEDKLSSKKTTVKKSNLNPEWNEEFKLVVKDPESQALELLVYDWEKVGKHEKMGLNVIPLRDLTPDKPRMLTLNLLKNMDSNDPQNEKSRGQLVVEVTYNPFKESEIADDMTEDANDVEKAPNGTPSGGGLLVVTVHEAQDLEGKHHTNPYVKILFKGDQKKTKHIKKNRDPRWGEEFQFMCEEPPVKDKIRAEVFSRPSTIGIHSKENLGYVVINLADVVTNKRINENFHLIDSKNGRIQIELQWRTS >DRNTG_22894.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1304075:1307898:1 gene:DRNTG_22894 transcript:DRNTG_22894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSPIFGFFGFSAGVSIGVFLGYYLFIFFQPTDVKDPLIRPLVEHDSMAIERMLPEIPLWVKNPDYDRVDWLNRFLQLLWPYLDKAICRTAKEIAKPIIDENAAKYKIDSVEFSTFTLGSLPPIFQGMKVYITDEKELIMEPSFKWAGNPNVTVKLKAFGLRATAQVVDLQIFAAPRITLKPLVPSFPCFANIFVSLMEKPYVDFGLKLFGADIMSIPGLYRFVQETIKIQVANMYLWPRQLEVPLLDISKALKKSVGILNVKVIRAHKLNKKDLMGKSDPYVKLRLTEDKLSSKKTTVKKSNLNPEWNEEFKLVVKDPESQALELLVYDWEKVGKHEKMGLNVIPLRDLTPDKPRMLTLNLLKNMDSNDPQNEKSRGQLVVEVTYNPFKESEIADDMTEDANDVEKAPNGTPSGGGLLVVTVHEAQDLEGKHHTNPYVKILFKGDQKKTKHIKKNRDPRWGEEFQFMCEEPPVKDKIRAEVFSRPSTIGIHSKENLGYVVINLADVVTNKRINENFHLIDSKNGRIQIELQWRTS >DRNTG_17266.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5374308:5376760:-1 gene:DRNTG_17266 transcript:DRNTG_17266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHAEESKVEGLVEKIKEELHDSSSSDSDHEKSEPSSSSVKAKIFRLFGREQPVHKVLGGGKPADVFLWRNKKASAVVLGGATAIWVLFELMEYHLLTLVCHGLILSLAILFLYSNATTFIHKSPPRIPEVSIPEDLTVDIARSLRYEINRAIAVLREIALGRDLKQFLGVIAGLWLLSVVGGCCNFITLFYIVFVLLHTVPFVYEKYDDKIDAFAEKAMIEIKKQYAVFDAKVLSKIPKGPLKNKKQN >DRNTG_03590.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8226312:8227085:1 gene:DRNTG_03590 transcript:DRNTG_03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVATLTRSIPRAPTVEAQSHVDEHSPMRKRPRTTLVADNTLDGLNDEEDIC >DRNTG_11874.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15317551:15329643:1 gene:DRNTG_11874 transcript:DRNTG_11874.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic pyranopterin monophosphate synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G01290) UniProtKB/Swiss-Prot;Acc:Q39056] MLEMLLRRSRFAYFVGKRFFSNDVIDHAVVELNKEMELIFGETPPSSVMDSTSQTQESQVTTSRLDESQQSLSHVDVCGQVSMVDISSKADSKRVAVAGCRVLLGKKAFDLVAANQIAKGDVLAVAKIAGISGAKQTSNLIPLCHNIGLTYVQLDLTLNGKDCSVDIEGEVAITGKTGVEMEAMTAVTVAGLTIYDMCKAVSKDISITNIHLKHKSGGKSGHWSKNS >DRNTG_11874.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15327395:15329643:1 gene:DRNTG_11874 transcript:DRNTG_11874.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic pyranopterin monophosphate synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G01290) UniProtKB/Swiss-Prot;Acc:Q39056] MLEMLLRRSRFAYFVGKRFFSNDVIDHAVVELNKEMELIFGETPPSSVMDSTSQTQESQVTTSRLDESQQSLSHVDVCGQVSMVDISSKADSKRVAVAGCRVLLGKKAFDLVAANQIAKGDVLAVAKIAGISGAKQTSNLIPLCHNIGLTYVQLDLTLNGKDCSVDIEGEVAITGKTGVEMEAMTAVTVAGLTIYDMCKAVSKDISITNIHLKHKSGGKSGHWSKNS >DRNTG_06506.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4393386:4397516:1 gene:DRNTG_06506 transcript:DRNTG_06506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGGGGASEEALKRSTDCVYFLASPLTCKKGSECEYRHSESARLNPKDCWYWQSGSCLNPKCPFRHPPLEGLTGTSSGSAPAPAPAPAPTQALAPTQMTPAHGPSYNSIKQSAPCYYFQQGFCLKGSRCPFMHGPPPTGNPALQYVAKGSPPTAEPSQMLKKDSWGLKECNGQQIFKVPAAKVPPAARNSVGRTETEPNNGPNNLLALRRHELARSPPLHASLSSGNAESGLFNHQVQPVDEHPHNGREADEFLAESSPGFDVLVEDDVEDGYFPNEDEFGMASAPDGRRMNTVNGFDYHLSDYEAVPRYEMDRYDGMGEYDRYGQSHQHLGSELRRGSSKRVADRQLMHERVREKKNLDQMDGSDLRHQLKRKRLNGSRSAISPDRRARPYWRDDHHAEKRYRDGTEERYRPDRRDQLRFPSERPISTRLQGRITLPRRTSPVNSTDHRSDRELDRARHRGRSSPPRPINHQRRRHLQDRIRRRPDEDFATDARNSGGQAINKDDSDSLDFAGPKSLAELKVAKELKNSQEQSGDSFNVIATAEHKTVLDTVIISQESAGSKPLSVMLEGNCEAAKGDAVISNKSENGVSEPAASSHFHPIHTIHEANRDNESAPRTVEKGVLTPVEVDHSEMEEGAGAENVGEDHDLENGYDDAEGDAFKAEDDEYIYEENEEELDEDDDFARKVGSMFS >DRNTG_32650.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22015798:22016175:1 gene:DRNTG_32650 transcript:DRNTG_32650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLTIFSIFFIFILLSSSISSSSSSSLFRTRFLAGKDPKGKKCDTDPSVCYTAGSGGPQCCNKQCVNVESDYMNCGKCGNMCKFTEVCCGGKCVNIAFDKRHCGRCFNKCQHGVLCLFGMCQYA >DRNTG_01488.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13952555:13959564:1 gene:DRNTG_01488 transcript:DRNTG_01488.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFHEISGDRCYFYKGFEGFSMVDSLNQHYVVTPKNVKEVYLAYLLSKMKEKSIRLVIVFASTWRSCHLLNLLLEELGFSVMALHSYKSQSLRLAALS >DRNTG_01488.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13952555:13959612:1 gene:DRNTG_01488 transcript:DRNTG_01488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFHEISGDRCYFYKGFEGFSMVDSLNQHYVVTPKNVKEVYLAYLLSKMKEKSIRLVIVFASTWRSCHLLNLLLEELGFSVMALHSYKSQSLRLAALS >DRNTG_13629.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6315026:6321809:1 gene:DRNTG_13629 transcript:DRNTG_13629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAFSSSKSERKGNAEKTQRRDPYEVLDVGRNASEQEIKSAYRKLALKYHPDKNANDPKAADIFQEVTYSYSILSDPEKRRQYDTSGFEALESESQELELDLSSLGTVNTMFAALFSKLGVPIKTTVSATVLEEALNGSVSISPLQMGQSLFRKVEKQCAHFYSVQITEHEAKMGLVCRVHSTHKSKFKLLYFEQEANGGLSLALQEDSMRKGKITSAGMFFLCFPAYRFDHTSTVAASKDPDTAFFKKLDGFQPCEINELKAGTHIFAVYGDNFFRSANYTIEILSAEPFSAEKEMLREVEGQILTKRAELSKFETEYREVLAQFTNMTSKYAEEMQAIDELLKERNTIHASYTTTPPLKRNSSNSKMRASSKVSKGEEQEQQTREKKHSSRSKRKKWFNIRLKGEKQRPC >DRNTG_14719.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20961485:20962818:1 gene:DRNTG_14719 transcript:DRNTG_14719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMRTKIVASKRHQEHPPKPEHIDFAIPEHQAWFERLSKLKFGQTQFLDLSVVREIHLADIMADEIKEMLAVSSWRKMLTICDPAIRMPNLELLPSFEFDKSYSSFDSSDAIQFRVFGHHYSMSVTQFSIRLGLYDEDFTTTEEYEQFFTDYPGSLTPPRVYRRLCGHTQYESGVLKATCLSRPNYRYMHAVLSRSVNRRGDSTGVLSRQVLLYLYSMVQGQPINLGDILAEYMRHQGQYARISVLFSDPYVTRLIISMGRECMY >DRNTG_08335.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27761918:27763336:-1 gene:DRNTG_08335 transcript:DRNTG_08335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIRTVYANSIRDWALVLSDWFPAFQLLSSYVSDLIILSLILGIGFLDKSVWLLLKMPNNLNFKTTSASLFLEFLLFFAALIAYGSKGLNIEGQYLLEIKGQLADRMNYLSDWNSKDQTPCRWTGVNCTLDYDPVVFSLDLSVMNLSGTLSPSIGKLIHLTDLDLAFNKLSGEIPPEIANCSKLEILRLKNNHFDGEIPPEVGNLTSLIHFNLCNNKFSGPLPEEIGNMSSLAALVAYTNNLTGELPHSIGRLKNLETFRTGQNLISGNLPVEIANCQSLTFLGLAQNQLGGELPKELGELRYLRELVLWDNQLSGYIPKELGNCSSLVTLALHQNNLVGVIPPEIGNMKSLQNLYLYRNGLNGTIPKEIGNLVALADDPYYSQDLGLSSIQTCLLRR >DRNTG_13758.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1016175:1017407:1 gene:DRNTG_13758 transcript:DRNTG_13758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAFRDMKSSRKVFDFGFQVVDVVSWNSVIDGYVKSGALDNARKLFDEMPVRNEVSWSAIISGYVGKGELDVARFLFDRMPMIGRNVVTWNSMVSGFARHGLVSLARELFDQMPVRNVVSWNSMVSGYAMNGEMTAARELFEAMPEKDLVSWSCMISGYVHTNQHAEALELFKRMQTESDARPNEVTMVSVLSACAHLSALDQGKWIHAYIDKNHMILEDDNNLGAALIDMYAKCGSLETGVELFRTMDRKNVSSWNALIAGMAINGTAVESLDAFERMQESGVRPNDITFLGVLTACTHGGLVVEGRRYFESMSKDYGIEPEMKHYGCMIDLLGRAGLLEEAERIIRSMPMKADVMVLGALLGACRIHKNIEVADRIRNEFLELKSRQAGCHVLLS >DRNTG_18302.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2581483:2586126:-1 gene:DRNTG_18302 transcript:DRNTG_18302.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKFRHLPVVDNGEVIAMLDITKCLYDAIARMEKAAEQGSAIAAAVEGVERQWGNNFSAPYAFIETLRDRMFKPSLSTILTESTKVSIVSPSDPVYVAAKKMREYRVNSVVVVTANKPQGILTSKDILMRVVAQNLSPELTLVEKVMTSNPECASTETTILDALHIMHDGKFLHLPILDKDGCIVACVDVLQITHAAISMVDGGSGAANDMANTIMQKFWDSALALEPADEEFDTNSEMSALLQSEYAETGKSIYPPLGLGNSFSFKLEDQKGRVHRFNSGTENLGELVSTVTQRIGPVIDSETVQLLYEDDEGDKVLLATDSDLVAAVNHARIAGWKVLRLHIDSSDSKKDTTKPTPDLAVQNAGLTSAQTTVLLGAVLLTGIGLVVYLKRSAV >DRNTG_18302.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2581483:2592664:-1 gene:DRNTG_18302 transcript:DRNTG_18302.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHAVPPSRRSRRVAPSALSKKPPASSENGAAAVKPSSPNQAPGERTVRKLRLSKALTIPEATTVSDACRRMAARRVDAVLLTDANGLLSGIVTDKDIAVRVIAEGLRTEQTIVSKIMTRNPVFVMADTLAIEALQKMVQGKFRHLPVVDNGEVIAMLDITKCLYDAIARMEKAAEQGSAIAAAVEGVERQWGNNFSAPYAFIETLRDRMFKPSLSTILTESTKVSIVSPSDPVYVAAKKMREYRVNSVVVVTANKPQGILTSKDILMRVVAQNLSPELTLVEKVMTSNPECASTETTILDALHIMHDGKFLHLPILDKDGCIVACVDVLQITHAAISMVDGGSGAANDMANTIMQKFWDSALALEPADEEFDTNSEMSALLQSEYAETGKSIYPPLGLGNSFSFKLEDQKGRVHRFNSGTENLGELVSTVTQRIGPVIDSETVQLLYEDDEGDKVLLATDSDLVAAVNHARIAGWKVLRLHIDSSDSKKDTTKPTPDLAVQNAGLTSAQTTVLLGAVLLTGIGLVVYLKRSAV >DRNTG_18302.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2581483:2592664:-1 gene:DRNTG_18302 transcript:DRNTG_18302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHAVPPSRRSRRVAPSALSKKPPASSENGAAAVKPSSPNQAPGERTVRKLRLSKALTIPEATTVSDACRRMAARRVDAVLLTDANGLLSGIVTDKDIAVRVIAEGLRTEQTIVSKIMTRNPVFVMADTLAIEALQKMVQGKFRHLPVVDNGEVIAMLDITKCLYDAIARMEKAAEQGSAIAAAVEGVERQWGNNFSAPYAFIETLRDRMFKPSLSTILTESTKVSIVSPSDPVYVAAKKMREYRVNSVVVVTANKPQGILTSKDILMRVVAQNLSPELTLVEKVMTSNPECASTETTILDALHIMHDGKFLHLPILDKDGCIVACVDVLQITHAAISMVDGGSGAANDMANTIMQKFWDSALALEPADEEFDTNSEMSALLQSEYAETGKSIYPPLGLGNSFSFKLEDQKGRVHRFNSGTENLGELVSTVTQRIGPVIDSETVQLLYEDDEGDKVLLATDSDLVAAVNHARIAGWKVLRLHIDSSDSKKDTTKPTPDLAVQNAGLTSAQTTVLLGAVLLTGIGLVVYLKRSAV >DRNTG_18302.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2591930:2592664:-1 gene:DRNTG_18302 transcript:DRNTG_18302.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHAVPPSRRSRRVAPSALSKKPPASSENGAAAVKPSSPNQAPGERTVRKLRLSKALTIPEATTVSDACRRMAARRVDAVLLTDANGLLSGIVTDKDIAVRVIAEGLRTEQTIVSKIMTRNPVFVMADTLAIEALQKMVQ >DRNTG_18302.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2581867:2592664:-1 gene:DRNTG_18302 transcript:DRNTG_18302.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHAVPPSRRSRRVAPSALSKKPPASSENGAAAVKPSSPNQAPGERTVRKLRLSKALTIPEATTVSDACRRMAARRVDAVLLTDANGLLSGIVTDKDIAVRVIAEGLRTEQTIVSKIMTRNPVFVMADTLAIEALQKMVQGKFRHLPVVDNGEVIAMLDITKCLYDAIARMEKAAEQGSAIAAAVEGVERQWGNNFSAPYAFIETLRDRMFKPSLSTILTESTKVSIVSPSDPVYVAAKKMREYRVNSVVVVTANKPQGILTSKDILMRVVAQNLSPELTLVEKVMTSNPECASTETTILDALHIMHDGKFLHLPILDKDGCIVACVDVLQITHAAISMVDGGSGAANDMANTIMQKFWDSALALEPADEEFDTNSEMSALLQSEYAETGKSIYPPLGLGNSFSFKLEDQKGRVHRFNSGTENLGELVSTVTQRIGPVIDSETVQLLYEDDEGDKVLLATDSDLVAAVNHARIAGWKVLRLHIDSSDSKKDTTKPTPDLAVQNAGLTSAQTTVLLGAVLLTGIGLVVYLKRSAV >DRNTG_22579.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14416928:14423407:1 gene:DRNTG_22579 transcript:DRNTG_22579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPMAVVGDRIQSLKKSSEGFFKAVLGMPSDSSRRSPIERLKRLQREVFSDVMKLRDRQDKMERMFSFYKSCRGSPFDEVSTHIKGIVDLLNGLLFVDSSQQACDTLHKAGRRIGIDIRFIFETAVRQKDALIAELVTSPSNIGYLGDVLGTPLVLNKVKYTSNINDQLSVIAVPFGARTTDFEIGPGVPQGLPQFGPPLFSERQDCAGGLTFKGSNFAVSSAVLVSQLAPLTSSTADRCCWSTFAQLTYQTYKEMICAVSGIWQMPSRPGQPIKLGPFSIPIGYPKRSLALSDAQGWQPSSSSVTSQATDHHSASSHALMVELSFDESARLGAWIELQRSNPGSHQWGISLSDTPEDEIGWGLLVSRMLEAHSSKSHVEGFLNFRLGKKLTLQPGLLYLTDGNVQTPALLLRSNWVM >DRNTG_17389.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000893.1:23560:25129:-1 gene:DRNTG_17389 transcript:DRNTG_17389.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSALRKPSLLSFPFARRDSSSSLLPSSSPPPPPSFSSCKRQSWSPFLSSPLKPSVPKKIYSTISVHGFSWDDLFRWMSNTSDLDLLCYLQHANS >DRNTG_10248.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19888466:19889305:-1 gene:DRNTG_10248 transcript:DRNTG_10248.1 gene_biotype:protein_coding transcript_biotype:protein_coding PQTKHIPSSGMHDSSRSTKQQAYKDNYLIKFTIKKIYPKGSFLV >DRNTG_18737.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1188533:1192016:-1 gene:DRNTG_18737 transcript:DRNTG_18737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAKDIGGGAVGMRGFFQSLRPTKQGLSLNVDFSLMAFHESIGVIPYLQKRCDFLRDLSQRKTRGLTREEKKEVEKALKNIRVFVNHRETNQRYRVYCLTEEVTENLKFRDRDGKDLMLVDYFKDQYSLDIQYKNLPCLQISRNKPCYLPMELCMVCEGQKFLGKLSDEQTVKILKMGCQKPAERKDIINGVMGAKIGPLSGPYAGEFKLNVSREMTKTVRKSPTTTEAKTW >DRNTG_35196.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20952452:20958845:1 gene:DRNTG_35196 transcript:DRNTG_35196.1 gene_biotype:protein_coding transcript_biotype:protein_coding APARERERASVKKRAKSMKREREEGMNQREGE >DRNTG_35196.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20957691:20958845:1 gene:DRNTG_35196 transcript:DRNTG_35196.2 gene_biotype:protein_coding transcript_biotype:protein_coding KESATRFDQLILNKHQNFSNKSHTLSKDKNQQAPEM >DRNTG_14483.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14806786:14810795:1 gene:DRNTG_14483 transcript:DRNTG_14483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRIPIYLGHVIVEFIRHQGQYAKLGSIFSCPYIMRLVLGMGLFDAIHGDEKTSIPAPLSQETMRLMDGDQCTSGGGGPTPIRLFSPSGVHDLFERIENAVGMTRTMVTEVQAEIAEVWNAQAAQYTEFMARFDTLHQILEVVKLESYRRSQCRS >DRNTG_14361.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:308346:311546:-1 gene:DRNTG_14361 transcript:DRNTG_14361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFGYLAMKTDRLSSDLIESDLKELGVAAKKLANHAFMLGSGLGFGTTFFKFLASFAAIYLLILDRTNWKTNILTSLLIPYIFLSLPSVLFSLLRGEFGKWIAFIAVVLRLFFPRRFPDWLELPGALILLLVVAPSFFADTIRDSIAGTIICLLIGCYLLQEHIRASGGFRNSFTKSHGVSNSIGIILLFVYPVWALVLHFL >DRNTG_28354.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6892961:6907692:-1 gene:DRNTG_28354 transcript:DRNTG_28354.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase KEG [Source:Projected from Arabidopsis thaliana (AT5G13530) UniProtKB/Swiss-Prot;Acc:Q9FY48] MLFSYLIHRELLAKAASGKSSGSGSLCSLLEAQNADGYTALHLACGRGSAELVEAILAYEEADVAILDKDGDPPIVLALAAGSPDCVRALINRSADVSSWMREGQSITHLCACSGHPKCMQELLLAGADPNAIDDDGESLLHKSIARRYTDCAIVILENGGSRSMGIRNPEHKTPLHLCIETWNVAVVRRWVEVASKEEIVKAIDIPGPAGTALCMAAGLKRNHEDEGRELVRILLAAGADPTAQDEHCRTALHTAAMVNDAELLRIILEAGVDVNIVNAQSTTPLHVALNRGADKCVGLLLSAGANCNMQDDDGDNAFHIAADAAKMIRECLKWIVVMLQYPYPDIEVRNHSRGWTLCDYLENLPREWISEELMEALAAKGVHLSPTVYVITDWVKFRRSIETPAHGWQGAKHTSIGFVQTIIDHNNLIVSFCTGEARVLTNEVCKVIPLNRGQHVQLKPDVTEPRFGWRGSSRDSIGTVLCVDDDGILRVGFPGASRGWRADPAEMERVEEFKVGDWVRIRPSLTAAVHGLESVTPGSVGIVYSIRPDSSLLLGICYLTHPWHCEPEEVEPVEPFKIGDQVCVKRSVAEPRYAWGGETHHSVGKIIDIDSDGLLMIDIPNRPTPWQADPSDMEKVEIFKVLAHSK >DRNTG_28354.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6890776:6907692:-1 gene:DRNTG_28354 transcript:DRNTG_28354.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase KEG [Source:Projected from Arabidopsis thaliana (AT5G13530) UniProtKB/Swiss-Prot;Acc:Q9FY48] MERVEEFKVGDWVRIRPSLTAAVHGLESVTPGSVGIVYSIRPDSSLLLGICYLTHPWHCEPEEVEPVEPFKIGDQVCVKRSVAEPRYAWGGETHHSVGKIIDIDSDGLLMIDIPNRPTPWQADPSDMEKVEIFKVGDWVRVKASVPSPKYGWEDVSRNSIGVIHSLEDNGDMGVAFCFRSKAFSCSMADMEKVRPFELGEKIHVMSSISQPRLGWSNETAASIGTIARIDMDGTLNVRVAGKSSLWKVSPGDTERLSGFEVGDWVRLKPSLGNRPAYDWNSMGKDSIAVVHSIQDSGYLELAGCFRKGKLTTHYMDLEKVPCLRIGQHVHFRPGLVEPRWGWRDARPDSRGIIAGVHADGEVRVAFFGLSGLWKGDPADLEKEEMFEVGAWVRLKDNVSAWRSIKPWSIGIVHGMGYEGEVWDGTVHVAFCGEQERWVGPANHLEAVDRLLVGEKVKIKKFVKQPRFGWSGHNNSSIGTISSIDADGKLRIYSPAGSKAWNMDPAEVEKVEEEVNLYWRLGKGEASTITTPTYQWGDVTHASIGVVHKREDAELSVAFCFIERLWVCKVWEVEKVRPFKIGDKVGIKPGLVMPRWDWRMETYASKGEVVGVDANGKLRIKFRWREGRLWIGDPADIVLDDSGSATNNISEDWSSLGFC >DRNTG_28354.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6890776:6907692:-1 gene:DRNTG_28354 transcript:DRNTG_28354.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase KEG [Source:Projected from Arabidopsis thaliana (AT5G13530) UniProtKB/Swiss-Prot;Acc:Q9FY48] MLFSYLIHRELLAKAASGKSSGSGSLCSLLEAQNADGYTALHLACGRGSAELVEAILAYEEADVAILDKDGDPPIVLALAAGSPDCVRALINRSADVSSWMREGQSITHLCACSGHPKCMQELLLAGADPNAIDDDGESLLHKSIARRYTDCAIVILENGGSRSMGIRNPEHKTPLHLCIETWNVAVVRRWVEVASKEEIVKAIDIPGPAGTALCMAAGLKRNHEDEGRELVRILLAAGADPTAQDEHCRTALHTAAMVNDAELLRIILEAGVDVNIVNAQSTTPLHVALNRGADKCVGLLLSAGANCNMQDDDGDNAFHIAADAAKMIRECLKWIVVMLQYPYPDIEVRNHRGWTLCDYLENLPREWISEELMEALAAKGVHLSPTVYVITDWVKFRRSIETPAHGWQGAKHTSIGFVQTIIDHNNLIVSFCTGEARVLTNEVCKVIPLNRGQHVQLKPDVTEPRFGWRGSSRDSIGTVLCVDDDGILRVGFPGASRGWRADPAEMERVEEFKVGDWVRIRPSLTAAVHGLESVTPGSVGIVYSIRPDSSLLLGICYLTHPWHCEPEEVEPVEPFKIGDQVCVKRSVAEPRYAWGGETHHSVGKIIDIDSDGLLMIDIPNRPTPWQADPSDMEKVEIFKVGDWVRVKASVPSPKYGWEDVSRNSIGVIHSLEDNGDMGVAFCFRSKAFSCSMADMEKVRPFELGEKIHVMSSISQPRLGWSNETAASIGTIARIDMDGTLNVRVAGKSSLWKVSPGDTERLSGFEVGDWVRLKPSLGNRPAYDWNSMGKDSIAVVHSIQDSGYLELAGCFRKGKLTTHYMDLEKVPCLRIGQHVHFRPGLVEPRWGWRDARPDSRGIIAGVHADGEVRVAFFGLSGLWKGDPADLEKEEMFEVGAWVRLKDNVSAWRSIKPWSIGIVHGMGYEGEVWDGTVHVAFCGEQERWVGPANHLEAVDRLLVGEKVKIKKFVKQPRFGWSGHNNSSIGTISSIDADGKLRIYSPAGSKAWNMDPAEVEKVEEEVNLYWRLGKGEASTITTPTYQWGDVTHASIGVVHKREDAELSVAFCFIERLWVCKVWEVEKVRPFKIGDKVGIKPGLVMPRWDWRMETYASKGEVVGVDANGKLRIKFRWREGRLWIGDPADIVLDDSGSATNNISEDWSSLGFC >DRNTG_28354.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6890776:6907692:-1 gene:DRNTG_28354 transcript:DRNTG_28354.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase KEG [Source:Projected from Arabidopsis thaliana (AT5G13530) UniProtKB/Swiss-Prot;Acc:Q9FY48] MREGQSITHLCACSGHPKCMQELLLAGADPNAIDDDGESLLHKSIARRYTDCAIVILENGGSRSMGIRNPEHKTPLHLCIETWNVAVVRRWVEVASKEEIVKAIDIPGPAGTALCMAAGLKRNHEDEGRELVRILLAAGADPTAQDEHCRTALHTAAMVNDAELLRIILEAGVDVNIVNAQSTTPLHVALNRGADKCVGLLLSAGANCNMQDDDGDNAFHIAADAAKMIRECLKWIVVMLQYPYPDIEVRNHSRGWTLCDYLENLPREWISEELMEALAAKGVHLSPTVYVITDWVKFRRSIETPAHGWQGAKHTSIGFVQTIIDHNNLIVSFCTGEARVLTNEVCKVIPLNRGQHVQLKPDVTEPRFGWRGSSRDSIGTVLCVDDDGILRVGFPGASRGWRADPAEMERVEEFKVGDWVRIRPSLTAAVHGLESVTPGSVGIVYSIRPDSSLLLGICYLTHPWHCEPEEVEPVEPFKIGDQVCVKRSVAEPRYAWGGETHHSVGKIIDIDSDGLLMIDIPNRPTPWQADPSDMEKVEIFKVGDWVRVKASVPSPKYGWEDVSRNSIGVIHSLEDNGDMGVAFCFRSKAFSCSMADMEKVRPFELGEKIHVMSSISQPRLGWSNETAASIGTIARIDMDGTLNVRVAGKSSLWKVSPGDTERLSGFEVGDWVRLKPSLGNRPAYDWNSMGKDSIAVVHSIQDSGYLELAGCFRKGKLTTHYMDLEKVPCLRIGQHVHFRPGLVEPRWGWRDARPDSRGIIAGVHADGEVRVAFFGLSGLWKGDPADLEKEEMFEVGAWVRLKDNVSAWRSIKPWSIGIVHGMGYEGEVWDGTVHVAFCGEQERWVGPANHLEAVDRLLVGEKVKIKKFVKQPRFGWSGHNNSSIGTISSIDADGKLRIYSPAGSKAWNMDPAEVEKVEEEVNLYWRLGKGEASTITTPTYQWGDVTHASIGVVHKREDAELSVAFCFIERLWVCKVWEVEKVRPFKIGDKVGIKPGLVMPRWDWRMETYASKGEVVGVDANGKLRIKFRWREGRLWIGDPADIVLDDSGSATNNISEDWSSLGFC >DRNTG_18100.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:363679:364613:1 gene:DRNTG_18100 transcript:DRNTG_18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDSRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKKKKVKLAVLQFYRVDDSGKVVRLRKECPNTECGAGTFMANHFDRHYCGKCGLTYLYQKAQSE >DRNTG_30752.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2225654:2226568:1 gene:DRNTG_30752 transcript:DRNTG_30752.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSSFKRESRIIGICLPNTSSSALSPGSCFPLSRSCS >DRNTG_04323.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23508095:23517272:1 gene:DRNTG_04323 transcript:DRNTG_04323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSRESSWPPLRPQGVRSLEISFLPFCEGVSLSFEELGVIVLLLLVPFSLEAVASTRYGGTSLFLLTLVVVVVDVATFVPYHCTSMPCISVDIVVSRLLLALRHPKGYVEGVLLRIITVVAASRGHAGCVTSYTPVLSPDSSPI >DRNTG_30293.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5572250:5577348:1 gene:DRNTG_30293 transcript:DRNTG_30293.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSSFSDEAITNPWYASTTKGSVERTPSNPSFATSTSPL >DRNTG_30293.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5572250:5577348:1 gene:DRNTG_30293 transcript:DRNTG_30293.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSSFSDEAITNPWYASTTKGSVERTPSNPSFATSTSPL >DRNTG_32901.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1114761:1118994:-1 gene:DRNTG_32901 transcript:DRNTG_32901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVMNMTSLALGWFSSSFDAPLARAVVFGVHIDGHLVLEVLLILVILFQLSRKSYKPPKKPLTEKEIDDLCEEWVPEPLHPPITEEMVVEPPTLESAAGPHTIIDGKEVVNFASANYLGLVGNEKIIDSCVDSLEKYGVGSCGPRGFYGTIDVHLDCETRIAKFLGTPDSILYSYGISTIFSVIPAFCKKGDIIVADDGVNWAVQNGLHLSRSTIVYFKHNDMASLQSALEKTTKGNRRAEKLRRYIVIEAVYQNSGQVAPLDEIVRLKEKYRFRLIMDESNSFGVLGNSGRGLSEHCGVPIEQVDIITAGMGNSLATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAISAVNHLEENPAILASLRNNIALLWKCLSDVPGLTISSHPQSPIVFLKLKNSTGSFKSDMQLLDNIVDRVLKEDSVFLVTSKRSKLDKCRLPAGIRMFVSAGHSEADVRKAAESLKRVAGSLLS >DRNTG_16296.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000807.1:1259:2734:-1 gene:DRNTG_16296 transcript:DRNTG_16296.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKDLEYLNWRWKPDGCELPKFEPYSFLNIIRGKTLAFVGDSLSLNQLNSLLCLVSQVEKPIEILDEMIEGKFFTWSFPLHDTKLNFVWSRFLVEAKQIVEKGEPIDVYEVQLDKINTMFANLLPKFHYIVISSGNWWFSKKIYLYEGGKLIGCINCKDEKKLTKHETKFGLQKAFKTVFQYISKCEECKELFTLLRTYSPSHFEKGSWFDGGYCRRSHPLENEENAKQKLRSQWKNL >DRNTG_34002.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5295256:5295763:1 gene:DRNTG_34002 transcript:DRNTG_34002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYINHNFHDNKTKAKRDIQDLPQQ >DRNTG_00337.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18785885:18787807:-1 gene:DRNTG_00337 transcript:DRNTG_00337.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFTFVCRKSGSEWSASQLSGDLEGSASSTFDLQRNLVKTVLGVDSSGGVQSSFSLVTPSSAVFQVIVGGGGGGGFIASGGAAAAGAGGGAAAAAPEAPPAEEKKEEKEESDDDMGFSLFD >DRNTG_24601.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28812615:28816877:-1 gene:DRNTG_24601 transcript:DRNTG_24601.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSPTSSTHCFSPHSQGEVKLTITQLEQESVERNVFEIYRHLAEAPPQAKPFMVQLWHDEHVEFLTKGLRKLGPNFDVLDANRPWLCYWILHSIALLGEHVDSELENNVVDFLSRCQDKDGGYGGGPGQVCLCHAMLFCRILLEFVSDEIRLNMQFRFGLYVKWHTSLRCMCVSDDSLVTIIL >DRNTG_24601.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28812615:28816877:-1 gene:DRNTG_24601 transcript:DRNTG_24601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSPTSSTHCFSPHSQGEVKLTITQLEQESVERNVFEIYRHLAEAPPQAKPFMVQLWHDEHVEFLTKGLRKLGPNFDVLDANRPWLCYWILHSIALLGEHVDSELENNVVDFLSRCQDKDGGYGGGPGQMPHLATTYAAVNTLVTLGSERAFSSIDRGKMYEFLLRMKERIEGLSGALLCFLIFIAEMPHKNDRH >DRNTG_03522.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000165.1:25289:35419:-1 gene:DRNTG_03522 transcript:DRNTG_03522.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARHIYARWGKRNPGKEIQLQFWNTARSTNKVEMLMHLDRMRNLKGGTIAAEDLLENWPIEGWCQAFFNDVVKCEVIDNNMCETFNGVLLEARHKPIVSMLEDIRQYVMSRIVVKRDNVVKWKSSCGPNIIAKIEKERAKSGKWQVEWNGGARHEVFWDNLVLHGRESYVVQLRTYNCSCGKWDKTGIPYQHALAALAFQGSDPLDYIADWFRKDIYLKAYEHLINPLRGKSFWPTSAEGPMLPPMVRRMPGRPVKKRRREPMEPKNRSQTKLSGQCRVMRCSICNVQGHNKARCSQKANIVENPQIDLQADTVPPGNKAKSSEKRKSMAKSTTAYGPMNTFRSYNGHMILGREVTHGSSFITSSELQELRNRRLRPTGEQNIESRQQTSSIVGIPVFSTQDSTTNATIGQTNVDGC >DRNTG_03522.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000165.1:25289:35210:-1 gene:DRNTG_03522 transcript:DRNTG_03522.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCARHIYARWGKRNPGKEIQLQFWNTARSTNKVEMLMHLDRMRNLKGGTIAAEDLLENWPIEGWCQAFFNDVVKCEVIDNNMCETFNGVLLEARHKPIVSMLEDIRQYVMSRIVVKRDNVVKWKSSCGPNIIAKIEKERAKSGKWQVEWNGGARHEVFWDNLVLHGRESYVVQLRTYNCSCGKWDKTGIPYQHALAALAFQGSDPLDYIADWFRKDIYLKAYEHLINPLRGKSFWPTSAEGPMLPPMVRRMPGRPVKKRRREPMEPKNRSQTKLSGQCRVMRCSICNVQGHNKARCSQKANIVENPQIDLQADTVPPGNKAKSSEKRKSMAKSTTAYGPMNTFRSYNGHMILGREVTHGSSFITSSELQELRNRRLRPTGEQNIESRQQTSSIVGIPVFSTQDSTTNATIGQTNVDGC >DRNTG_03522.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000165.1:25289:28766:-1 gene:DRNTG_03522 transcript:DRNTG_03522.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWDAYIIKYHHGGTLLKEGHVIYVNGAVNEFVVDPDKLCYWDLLGDVKKIGYDIEKEVNLSFIDGEGTINSICDDQSLVGLAKHLSKQRIVDIYVETTKLRHCKELPGVVLCNIGGDVEKHGQKVNDIDSDSSTDHDERLASVPFIDYNSDKDEEIEEARDKVLRYAKMKKKCMEDNDVHDTNEQGDGGQHNNAEEGPNLDTYNLVDPLIGCGKVMGYDSDYFVSSDPGSYEDTSQESDADDANRHKSKDIYYDPNAPLEDFYLDLRFDDLKLFKRELVDFSLRKGFEFQYLKNDGVRVRVRCAAKGCKWLIFCSWCSRRKMYVVKTYVREHSCFVGTSKNKRVTGSVIARRYFDVINGMPCIRPRHLRALLRKDLGVFISTKVCRNAKAMVLKKMEKQYQDEFLVLNNYILELKEANPGSTVSAVAERKNGVDLPVFQKIYICISAIKEGFSAGCRKIVGLDGCFLKGLVKGQLLVAVGRDGNNQMFPIAWAVVEKETTESWDWFIQHLQADLQIGDGLGWALISDMQKGLIDAVRDLLPLIEHRMCARHIYARWGKRNPGKEIQLQFWNTARSTNKVEMLMHLDRMRNLKGGTIAAEDLLENWPIEGWCQAFFNDVVKCEVIDNNMCETFNGVLLEARHKPIVSMLEDIRQYVMSRIVVKRDNVVKWKSSCGPNIIAKIEKERAKSGKWQVEWNGGARHEVFWDNLVLHGRESYVVQLRTYNCSCGKWDKTGIPYQHALAALAFQGSDPLDYIADWFRKDIYLKAYEHLINPLRGKSFWPTSAEGPMLPPMVRRMPGRPVKKRRREPMEPKNRSQTKLSGQCRVMRCSICNVQGHNKARCSQKANIVENPQIDLQADTVPPGNKAKSSEKRKSMAKSTTAYGPMNTFRSYNGHMILGREVTHGSSFITSSELQELRNRRLRPTGEQNIESRQQTSSIVGIPVFSTQDSTTNATIGQTNVDGC >DRNTG_28481.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8624457:8632630:1 gene:DRNTG_28481 transcript:DRNTG_28481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLMIWGFILAPSPLLRSHPKDAQKGLKMVEWQWLRDQQKRTLFFALTLGISTFPGLADCKAARNSRGYYNIGIVILSGPTPRALRHLFSSTKPRALLHCFSDTRPRALLEDLAKSGGCTLVLCFGFQRTIEEVKKDKKKEKESRSFSRSKESHPNEAVYINKPIEDYEEMVIVCGNDDQATVSFARTGSQSSRSLGVRMEMPSTPPTLDSDNQPQGLASATETDKGVQLSKRLYDEVMTLIGYYNKSDLSLAYDHLNAQNNLATAFINKDHDLRCFWMDGFLRQFGRDGGV >DRNTG_31622.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:772403:774182:1 gene:DRNTG_31622 transcript:DRNTG_31622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGDQELTFNLRPKLSRDSIHGFESDDSYTPRKLMRASVFAAMPTGSPDRWRTWSEDEGGNNTSNSISPATPPMINYRTSRRKGIPHRAPF >DRNTG_20391.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19285563:19286845:1 gene:DRNTG_20391 transcript:DRNTG_20391.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEI2 C-terminal RRM only like 1 [Source:Projected from Arabidopsis thaliana (AT1G37140) UniProtKB/TrEMBL;Acc:Q4PT05] MAPLNPNAPVYFPTLSPSSNITFFHASVNRQCRNNSKLKGQHKPQDDLELFHFKDDHKDDEKRTTVMIKNLPNKLMKSTLLKMLDDHCAQENKVIEENSTTASEFNFLYLPIDFKSGSNLGYAFVNFTSAKAARRFHHAFHNKSWNQLHGSLKICEVTYARIQGLPALQKRFKNSVFICDNEDYLPVYFNPSRNGSCDSKQHFIGRKIMKL >DRNTG_24713.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17961742:17965496:-1 gene:DRNTG_24713 transcript:DRNTG_24713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQYNNPFLTSTLHTLPFRPRPSLFLLPTTLRQSSNPIRSHLHNHTKPLTTALLISSLAHPALAADEISPPPVPTPVPSAQSEIASKTTNPFSQALLTAPKPQASSDLPEGSQWRYSEFLNAVKKGKVERVRFSKDGGVLQLTAVDGRRASVIVPNDPDLIDILAMNGVDISVAEGEGGNGLLGFIGNLLFPFLAFAGLFLLFRRAQGGPGGGPGGLGGPMDFGRSKSKFQEVPETGVTFADVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKSKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDAALLRPGRFDRQVTVDRPDVAGRVKILQVHSRGKALGKDVDFEKIARRTPGFTGADLQNLMNEAAILAARRNLKEISKDEISDALERIIAGPEKKNAVVSDEKKRLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSKSYLENQMAVALGGRVAEEVIFGDENVTTGASNDFMQVSRVARQMVERFGFSKKIGQIAIGGPGGNPFLGQQMSTQKDYSMATADVVDAEVRELVEKAYSRAKTIITTHIDILHKLAQLLIEKETVDGEEFMSLFIDGKAELYVG >DRNTG_28533.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6358913:6362606:-1 gene:DRNTG_28533 transcript:DRNTG_28533.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVNASPPGSATCVDSNHLILSQGFQSNGLFSAKKVLSADIYNISRPHCIVSALRNSNKKAKNLSKKSKTRTLQSNRIPSKDNSELPGESLVQDRSSSDIDLELSSGSLKDNVPVASSSRSAVLQACVFTSGCLLALGAVVRQVSHIAFTEGAPVFDATAVSFSFEAWHVEWIIGLVILISSCRYILLKTWPDFSESSEAANQQVLGSLQPLDYIIVAFLPGISEELLFRGAVLPIFGLDWRSALAVGAIFGCLHLGNGRRYSFAIWATFVGFAYGLATINSSSIVAAMASHSLNNLVGGILWRYTTVFERKKNDLK >DRNTG_28533.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6358913:6362606:-1 gene:DRNTG_28533 transcript:DRNTG_28533.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVNASPPGSATCVDSNHLILSQGFQSNGLFSAKKVLSADIYNISRPHCIVSALRNSNKKAKNLSKKSKTRTLQSNRIPSKDNSELPGESLVQDRSSSDIDLELSSGSLKDNVPVASSSRSAVLQACVFTSGCLLALGAVVRQVSHIAFTEGAPVFDATAVSFSFEAWHVEWIIGLVILISSCRYILLKTWPDFSESSEAANQQVLGSLQPLDYIIVAFLPGISEELLFRGAVLPIFGLDWRSALAVGAIFGCLHLGNGRRYSFAIWATFVGFAYGLATINSSSIVAAMASHSLNNLVGGILWRYTTVFERKKNDLK >DRNTG_28533.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6358913:6362606:-1 gene:DRNTG_28533 transcript:DRNTG_28533.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVNASPPGSATCVDSNHLILSQGFQSNGLFSAKKVLSADIYNISRPHCIVSALRNSNKKAKNLSKKSKTRTLQSNRIPSKDNSELPGESLVQDRSSSDIDLELSSGSLKDNVPVASSSRSAVLQACVFTSGCLLALGAVVRQVSHIAFTEGAPVFDATAVSFSFEAWHVEWIIGLVILISSCRYILLKTWPDFSESSEAANQQVLGSLQPLDYIIVAFLPGISEELLFRGAVLPIFGLDWRSALAVGAIFGCLHLGNGRRYSFAIWATFVGFAYGLATINSSSIVAAMASHSLNNLVGGILWRYTTVFERKKNDLK >DRNTG_28533.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6358913:6362606:-1 gene:DRNTG_28533 transcript:DRNTG_28533.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQYPVLGSLQPLDYIIVAFLPGISEELLFRGAVLPIFGLDWRSALAVGAIFGCLHLGNGRRYSFAIWATFVGFAYGLATINSSSIVAAMASHSLNNLVGGILWRYTTVFERKKNDLK >DRNTG_28533.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6358913:6362606:-1 gene:DRNTG_28533 transcript:DRNTG_28533.9 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQYPVLGSLQPLDYIIVAFLPGISEELLFRGAVLPIFGLDWRSALAVGAIFGCLHLGNGRRYSFAIWATFVGFAYGLATINSSSIVAAMASHSLNNLVGGILWRYTTVFERKKNDLK >DRNTG_28533.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6358913:6362606:-1 gene:DRNTG_28533 transcript:DRNTG_28533.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQYPVLGSLQPLDYIIVAFLPGISEELLFRGAVLPIFGLDWRSALAVGAIFGCLHLGNGRRYSFAIWATFVGFAYGLATINSSSIVAAMASHSLNNLVGGILWRYTTVFERKKNDLK >DRNTG_28533.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6358913:6362606:-1 gene:DRNTG_28533 transcript:DRNTG_28533.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVNASPPGSATCVDSNHLILSQGFQSNGLFSAKKVLSADIYNISRPHCIVSALRNSNKKAKNLSKKSKTRTLQSNRIPSKDNSELPGESLVQDRSSSDIDLELSSGSLKDNVPVASSSRSAVLQACVFTSGCLLALGAVVRQVSHIAFTEGAPVFDATAVSFSFEAWHVEWIIGLVILISSCRYILLKTWPDFSESSEAANQQVLGSLQPLDYIIVAFLPGISEELLFRGAVLPIFGLDWRSALAVGAIFGCLHLGNGRRYSFAIWATFVGFAYGLATINSSSIVAAMASHSLNNLVGGILWRYTTVFERKKNDLK >DRNTG_06351.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24293867:24294449:1 gene:DRNTG_06351 transcript:DRNTG_06351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKASCKPRKAPVAARGFRIQCNKWDVIVRLLLGEIPERTVFMQKGMKKALTPYFELTNVSSFLFMRGSWRRGPWRLQKWYHFFNFIRTKWIQQESGTVCCFDFVHLDK >DRNTG_19961.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:442020:443236:-1 gene:DRNTG_19961 transcript:DRNTG_19961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGTHLGSILLLTSALKAWLCIVILMRRAAAIARSHPLMSQRGYVPVYVGPEHRRFVIPTRYLGLPVFKLLLEKAEEEFGFDHKGALTLPCEIETFKYILQCMERHQEGLIDDEGNPTGVQE >DRNTG_21863.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19299268:19299866:-1 gene:DRNTG_21863 transcript:DRNTG_21863.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier protein CoAc2 [Source:Projected from Arabidopsis thaliana (AT4G26180) UniProtKB/Swiss-Prot;Acc:F4JU70] MAYEEYRRWIILAFPNIGRGPVLDLVSGSIAGGTAVIFTYPLDLVRTKLAFQVVETPKLRAQGLSQPGIIYRGIFDCISKIFKQHGVRGLYRGVG >DRNTG_21863.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19297725:19300073:-1 gene:DRNTG_21863 transcript:DRNTG_21863.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier protein CoAc2 [Source:Projected from Arabidopsis thaliana (AT4G26180) UniProtKB/Swiss-Prot;Acc:F4JU70] MGFYRGNGASVARIVPYAALHYMAYEEYRRWIILAFPNIGRGPVLDLVSGSIAGGTAVIFTYPLDLVRTKLAFQVVETPKLRAQGLSQPGIIYRGIFDCISKIFKQHGVRGLYRGVGPSLYGIFPYSGLKFYFYEEMKSHVPEKHKKDIIVKLACGSVAGLIGQTMTYPLDVIRRQMQVEALSASEHGLTKGTYESFVSIVQNQGWRQLFSGLSINYLKVVPSVAIGFTVYDVMKSWLKVPSREEVAAQVVREETSGQLSSLHSG >DRNTG_21863.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19297725:19301901:-1 gene:DRNTG_21863 transcript:DRNTG_21863.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier protein CoAc2 [Source:Projected from Arabidopsis thaliana (AT4G26180) UniProtKB/Swiss-Prot;Acc:F4JU70] MAEGVDGEERRKSPLHGIENSIPVAVKELVAGGVAGGFAKTAVAPLERVKILFQTRRAEFRTAGLFGSFQKIAKTEGLMGFYRGNGASVARIVPYAALHYMAYEEYRRWIILAFPNIGRGPVLDLVSGSIAGGTAVIFTYPLDLVRTKLAFQVVETPKLRAQGLSQPGIIYRGIFDCISKIFKQHGVRGLYRGVGPSLYGIFPYSGLKFYFYEEMKSHVPEKHKKDIIVKLACGSVAGLIGQTMTYPLDVIRRQMQVEALSASEHGLTKGTYESFVSIVQNQGWRQLFSGLSINYLKVVPSVAIGFTVYDVMKSWLKVPSREEVAAQVVREETSGQLSSLHSG >DRNTG_11311.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1658888:1707470:-1 gene:DRNTG_11311 transcript:DRNTG_11311.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoamylase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G09020) UniProtKB/Swiss-Prot;Acc:Q9M0S5] MLEIDPPFKSSPGKAFPLGASVVEHGVNFAIFSQHATSVTLCLSVHGRVHNEEVHNGMIEVKLDAQVNKTGDIWHICVEGLPDSGVLYGYRVDGPREWDKGHRFDSSVVLLDPYAKLVVGRKFFGDATDKVSQFLGTFDFCNLPFDWGPDYKLPNIPETDLVIYEMSLRSFTADESSGLDSSIRGSYLGVIEKIPHLVELGINAVELLPVFEFDELEFKRFPNPRDHMINTWGYSTMNFFAPMSRYASAGSGALGASQEFKQMVKALHNAGIEVILDVVYNHTNEADDEHPYTTSFRGIDNKVYYMMNFDNKAELLNFAGCGNTLNCNHPVVMELVLDSLRHWVKEYHVDGFRFDLASVLCRGTDGTPLNAPPLIKAIAKDPALSRCKIIAEPWDCAGLYLVGSFPNWDRWAEWNGKYRDDIRRFIKGDPGMKGTFATRTSGSADLYQVNKRKPYHSVNFVIAHDGFSLFDLVSYNNKHNEANGEKGRDGCNDNFSWNCGFEGEIDDVNINSLRARQMKNFHMALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTSLNHFQWKQLEAKKGTHFGFFSEMIKFRHNHPILRRGRFLDKNDVTWHEDNWGNPESKFLAFTLHDDKFGNDIYLAFNAHDYFVKAAIPAPPHKKQWHRVVDTNLKSPDDFVREGVPFSKDTYSLAPYSSVLMEAKP >DRNTG_11311.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1658888:1707470:-1 gene:DRNTG_11311 transcript:DRNTG_11311.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoamylase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G09020) UniProtKB/Swiss-Prot;Acc:Q9M0S5] MDSLRLRFPRLFSPPSVPRLNSPLTAPAPLSSSIRLRLSKIEVASRLQRFFSKVRRNHLFIRGGIPNVHNQSAEHTVLEENIAPMLEIDPPFKSSPGKAFPLGASVVEHGVNFAIFSQHATSVTLCLSVHGRVHNEEVHNGMIEVKLDAQVNKTGDIWHICVEGLPDSGVLYGYRVDGPREWDKGHRFDSSVVLLDPYAKLVVGRKFFGDATDKVSQFLGTFDFCNLPFDWGPDYKLPNIPETDLVIYEMSLRSFTADESSGLDSSIRGSYLGVIEKIPHLVELGINAVELLPVFEFDELEFKRFPNPRDHMINTWGYSTMNFFAPMSRYASAGSGALGASQEFKQMVKALHNAGIEVILDVVYNHTNEADDEHPYTTSFRGIDNKVYYMMNFDNKAELLNFAGCGNTLNCNHPVVMELVLDSLRHWVKEYHVDGFRFDLASVLCRGTDGTPLNAPPLIKAIAKDPALSRCKIIAEPWDCAGLYLVGSFPNWDRWAEWNGKYRDDIRRFIKGDPGMKGTFATRTSGSADLYQVNKRKPYHSVNFVIAHDGFSLFDLVSYNNKHNEANGEKGRDGCNDNFSWNCGFEGEIDDVNINSLRARQMKNFHMALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTSLNHFQWKQLEAKKGTHFGFFSEMIKFRHNHPILRRGRFLDKNDVTWHEDNWGNPESKFLAFTLHDDKFGNDIYLAFNAHDYFVKAAIPAPPHKKQWHRVVDTNLKSPDDFVREGVPFSKDTYSLAPYSSVLMEAKP >DRNTG_27436.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28667759:28668615:-1 gene:DRNTG_27436 transcript:DRNTG_27436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPYDHLHWWLSGHPTITTFQWSPSQTPFSSLPSLIISILLYLSLTLLLHLLPIPSPSPSLLHLFSSLHNLILLLLSFTMSLGCSLSSLSLPSRHLFCFPPSSTLPQGPLFFWSYIFYLSKLYEFIDTLLILLSGGRRLTFLHVYHHAGVVLMSYLWLATKQSLMPIALVTNASVHVVMYSYYLCSSLGWRWPPRLKRMVTEVQILQFVVSFGMSLVFLWFHFFDGGCEGMQGWLFNALFNASLLLLFLDFHGKAYGSFKKRDKMIMMTKKTE >DRNTG_33952.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:971075:974768:-1 gene:DRNTG_33952 transcript:DRNTG_33952.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSTLLRILVSLVLSLAIAARAYKHKSLNRSGAMMGFVVMAIHIAAGYRFGVLILVFFFTSSKLTKFGQEKKRTIDEDFKEGGQRNWIQVLANSAIATVLVVILVTITGGEDRCLDTKNSALITGLTGGVIGHYACCNGDTWSSEIGMLSKGQPRLITTFKPVKKGTNGAVTIEGLLAAAAAGFVIGLAYTLVGLLTTGCAGDVAWKQLLVVPIATAMGLCGSLIDSLLGATVQFSGYCSLRKKVVSKRAPSVTKISGMNILDNNGVNAASILLTTLLTSVACLYIF >DRNTG_32337.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29002075:29003895:1 gene:DRNTG_32337 transcript:DRNTG_32337.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPHATCLDFPDGLSNQNVHRFSSKCFSFSEPRPCVTSSTTGDEASSSKAN >DRNTG_26779.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3418220:3419032:-1 gene:DRNTG_26779 transcript:DRNTG_26779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNPTHYHHLSLPLSSTPAATQRRGRRRSTTSTDTSRFLGVRRRPWGRYAAEIRDPNTKERHWLGTFDTAHEAALAYDRAAISLKGFQAKTNFIYFSSSSCSPSPCPPPPPPPPPQVSQPDHIVAPLPCDDDHGSIGLDLPAEFDFYSHAKSGYLSSIIPEGYLLSSSSSSTDHKKYTPTQEQQQLQQQQQQQQQVNSNEDNIVSLDEAMVGGNYDINGGMWDDVVEEPLWELSTTAWDAMQQEQTATASTSYNSCMDGFLDLDYYSLF >DRNTG_11429.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1014779:1021553:1 gene:DRNTG_11429 transcript:DRNTG_11429.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKRQNNFKLSSNIFGRIWFSMRMFLFNVLSFGPMPAHIAFIMDGNRRYSKKHNSKEDTGHSAGFSSLTSTLQYCYELGVKYVTVYAFSIDNFKRKPDEVRSLMNLLKEKIDELLEETSVVNEYDIRVNFWGELNLLTEPVRLAAKKAMTVTGKNTGPVLSVCVAYTSTHEILHAVQESCANVNKMLAVRYSNECINSAEGLVSVADLEQHLYSVSCPEPDIVVRTSGESRLSNFLLWQTPYCHLQNPGALWPEFSLRHLVWSILQYQRAYSSLHAKRMRSKKKY >DRNTG_11429.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1014779:1021553:1 gene:DRNTG_11429 transcript:DRNTG_11429.5 gene_biotype:protein_coding transcript_biotype:protein_coding MERMSEEMQPDVGSAVETNPQEFFEEKYLETETKDLKMKYKRQNNFKLSSNIFGRIWFSMRMFLFNVLSFGPMPAHIAFIMDGNRRYSKKHNSKEDTGHSAGFSSLTSTLQYCYELGVKYVTVYAFSIDNFKRKPDEVRSLMNLLKEKIDELLEETSVVNEYDIRVNFWGELNLLTEPVRLAAKKAMTVTGKNTGPVLSVCVAYTSTHEILHAVQESCANVNKMLAVRYSNECINSAEGLVSVADLEQHLYSVSCPEPDIVVRTSGESRLSNFLLWQTPYCHLQNPGALWPEFSLRHLVWSILQYQRAYSSLHAKRMRSKKKY >DRNTG_11429.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1014779:1021553:1 gene:DRNTG_11429 transcript:DRNTG_11429.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERMSEEMQPDVGSAVETNPQEFFEEKYLETETKDLKMKYKRQNNFKLSSNIFGRIWFSMRMFLFNVLSFGPMPAHIAFIMDGNRRYSKKHNSKEDTGHSAGFSSLTSTLQYCYELGVKYVTVYAFSIDNFKRKPDEVRSLMNLLKEKIDELLEETSVVNEYDIRVNFWGELNLLTEPVRLAAKKAMTVTGKNTGPVLSVCVAYTSTHEILHAVQESCANVNKMLAVRYSNECINSAEGLVSVADLEQHLYSVSCPEPDIVVRTSGESRLSNFLLWQTPYCHLQNPGALWPEFSLRHLVWSILQYQRAYSSLHAKRMRSKKKY >DRNTG_11429.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1014779:1021553:1 gene:DRNTG_11429 transcript:DRNTG_11429.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERMSEEMQPDVGSAVETNPQEFFEEKYLETETKDLKMKYKRQNNFKLSSNIFGRIWFSMRMFLFNVLSFGPMPAHIAFIMDGNRRYSKKHNSKEDTGHSAGFSSLTSTLQYCYELGVKYVTVYAFSIDNFKRKPDEVRSLMNLLKEKIDELLEETSVVNEYDIRVNFWGELNLLTEPVRLAAKKAMTVTGKNTGPVLSVCVAYTSTHEILHAVQESCANVNKMLAVRYSNECINSAEGLVSVADLEQHLYSVSCPEPDIVVRTSGESRLSNFLLWQTPYCHLQNPGALWPEFSLRHLVWSILQYQRAYSSLHAKRMRSKKKY >DRNTG_11429.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1014779:1021611:1 gene:DRNTG_11429 transcript:DRNTG_11429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKRQNNFKLSSNIFGRIWFSMRMFLFNVLSFGPMPAHIAFIMDGNRRYSKKHNSKEDTGHSAGFSSLTSTLQYCYELGVKYVTVYAFSIDNFKRKPDEVRSLMNLLKEKIDELLEETSVVNEYDIRVNFWGELNLLTEPVRLAAKKAMTVTGKNTGPVLSVCVAYTSTHEILHAVQESCANVNKMLAVRYSNECINSAEGLVSVADLEQHLYSVSCPEPDIVVRTSGESRLSNFLLWQTPYCHLQNPGALWPEFSLRHLVWSILQYQRAYSSLHAKRMRSKKKY >DRNTG_11429.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1014779:1021553:1 gene:DRNTG_11429 transcript:DRNTG_11429.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERMSEEMQPDVGSAVETNPQEFFEEKYLETETKDLKMKYKRQNNFKLSSNIFGRIWFSMRMFLFNVLSFGPMPAHIAFIMDGNRRYSKKHNSKEDTGHSAGFSSLTSTLQYCYELGVKYVTVYAFSIDNFKRKPDEVRSLMNLLKEKIDELLEETSVVNEYDIRVNFWGELNLLTEPVRLAAKKAMTVTGKNTGPVLSVCVAYTSTHEILHAVQESCANVNKMLAVRYSNECINSAEGLVSVADLEQHLYSVSCPEPDIVVRTSGESRLSNFLLWQTPYCHLQNPGALWPEFSLRHLVWSILQYQRAYSSLHAKRMRSKKKY >DRNTG_29447.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001479.1:10292:10670:-1 gene:DRNTG_29447 transcript:DRNTG_29447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSNGRDFQLSPLAGVRLPPPSRPPSSAAPPGPRPHHRLRPPPLPFPPHQAPLLRLLRLRPHVVTPSSSSTPSPNPTPSYSPPSSEPPLAPLLPLPPSSSTSSCCRFASLPQTTPSPLFSSLAL >DRNTG_30053.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18645202:18645620:-1 gene:DRNTG_30053 transcript:DRNTG_30053.1 gene_biotype:protein_coding transcript_biotype:protein_coding PQFASFITAGKLNALKSRENAANQTKDGDQSELTSIAFEDAAFPTQDSMTSATTQGKT >DRNTG_19475.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15417819:15420672:1 gene:DRNTG_19475 transcript:DRNTG_19475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRVKFSYAMCLRSKALCVILRSHRVVRLPLWKQANKCPRVALPKPENMGFAIAEHQVRFKRLSKHRTRSPPIFTSLKKQNDPRRECVPICVTSMKVWILEVFQARLVGELPSRRILYRTRGSLDDE >DRNTG_33679.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18442695:18446960:-1 gene:DRNTG_33679 transcript:DRNTG_33679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDEPEGSEGQRKKKRYHRHTPHQIQELEAVFKECPHPDEKQRMQLSRDLALEPRQIKFWFQNRRTQMKAQHERADNCALRSENDKIRCENIAIREALKKVICPTCGGPPLGEDSYFDEQKLRMENARLKEELDRVSSVASKYLGRPIAQLPPVQPIPISSLDLSVGSYGAPPPGLGPSLDLDLLPGSSSSSVMPFPFPTAVSELEKPIMAEVPTSAMEELIRLVQTDEPLWMKSASDGSDLLNLDTYDRIFPRRGQPYKSPDIRIEASRDSSLVIMNAVTLVDMFMDPVKWIELFPTIVSKARTVEVLATGVAGTRSGSLILMYEELQVLSPVVSTREFFFLRYCQQIEQGLWVIGDVSVDLSRESQFSSSSRSRKLPSGCLIQEVPDGYSKVTWVEHMEIEEKAPTHRLYRDLVNSGVAFGAQRWLATLQRMCERFARVMVTGASPRDIGGVIPSPEGKRSMVKLAQRMVNNFCTSISASNGHRWTTLSGLNDVGVRVTVHKSTDPGQPNGVVLSAATSIWLPVSCQRVFSFFKDERTRSQWDVLSNGNSVQEVAHIATGSHPGNSISLLRALNSSQNNMLILQESCTDASGSLVVYAPVDLQGINIVMSGEDPSYIPLLPSGFTILPDGRPGGASTSSNPMSSSSGGSLITVAFQILVSSLPSAKLNLESVTTVNNLISTTVQQIKAALNCSSV >DRNTG_19422.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27118278:27118773:1 gene:DRNTG_19422 transcript:DRNTG_19422.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGVASEGRHESRAKWNEIHKAHLVKLLGEYNTPAYRSQNGWTKEAWNKMVRDMITKFSNLSITTSQIKALEQELKKTYKLLKGFSELSGFGWDYERHIVSAPDDVWAPLLEVCT >DRNTG_19422.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27116052:27118773:1 gene:DRNTG_19422 transcript:DRNTG_19422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGVASEGRHESRAKWNEIHKAHLVKLLGEYNTPAYRSQNGWTKEAWNKMVRDMITKFSNLSITTSQIKALEQELKKTYKLLKGFSELSGFGWDYERHIVSAPDDVWAPLLEVCT >DRNTG_15145.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18772404:18776610:1 gene:DRNTG_15145 transcript:DRNTG_15145.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein DOT4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18750) UniProtKB/Swiss-Prot;Acc:Q9SN39] MGEKSVVSWTSMISGYTRDGRFDEAIELFGKMEAEGTQPDLYAITTVLHACACHSAIEHGKSVHDYVSRNGLESNLFVANALMDMYAKCGNMEDARSVFDRTINKDIVSWNTLIAGYSKSSFPNEALVLFGEMRHKMKPNCVTMACILSACGSISSLERGREIHGHILRSGSLLDGHVANALVDMYAKCGALGLARHLFDRMVMKDLISWTVMIAGYGMHGCGKEAIAIFNEMKQEGIPPDEVSFIAILYACSHSGLTDEGLRFFNIMRHEYKIEPTLEHYACIVDLFSRAGQLTKAYKFIESMPIKPDSTVWGALLCGCRIHRDVKLAERVAEHVFDLEPENTGYYILLANIYAEAEKWESVKKLRKRISGRCLKKNPGCSWIEIKSRVQIFVSGDKSHPHSKKIELFLKRVQRRMKDEGHFPKKMYALMNADDSKKEEALCGHSEKLAIAYGILNSSKGKPIRVTKNLRVCGDCHEVAKFISKITAREIILRDSNRFHHFKEGRCSCRSYW >DRNTG_07200.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3678857:3683190:-1 gene:DRNTG_07200 transcript:DRNTG_07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAFDRGSLALFFIRISPYLSKLHRCSPSNRTLFNIADEHHIYRDLGEVLRHIHEEVTITCTKGKANTVNVGEASLESSDGPFSFDYLVHGKQDDVHNPSTNLEACLNRLVKFKEYLQIKKWSENIQSGIGENLQSFKDNSQARVQALKKFQCLGVTHSPTKKTIVNSTRPTNFPCHRHGVWLLMRVYKAYTQSSLSILWVVCKLAVKIGLATKHYHSLHLQVQVHQSHPIKKKKKKMKKKKKKKKKSMKKRVREEGCFRTVDDEA >DRNTG_14204.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30308779:30309556:1 gene:DRNTG_14204 transcript:DRNTG_14204.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKNASKKTRNSMPPTTIRIILNAFTEEMDEWYDDIQKSNKKTPQLNDRARPKLHLLISLKGIGILP >DRNTG_35409.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1547010:1548066:1 gene:DRNTG_35409 transcript:DRNTG_35409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRRLEWPEPEASSSSPPNTSPFLRDLSNYMTPRTHFPSPNPIPSPIFFTASKNTPSSTFATSSSRRRPFSSASRSNTAAARRLKALELDQSRSARKAQTQREKSVKSLSRSISAWLNFLFRNPQSCGSNLNPDGCSGSQNLGFTPNRKRENLDRGFEGMEIGRRRWRSPKRQRSCDDVARVSVLPTPRRFLALEKSLRDVCSFQDTEERMLGYMSERSCDEVFSMMS >DRNTG_08350.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4043496:4044109:-1 gene:DRNTG_08350 transcript:DRNTG_08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRKVSWMVAASVGAVETLKDQTGLCRWNYALRSLHHHAKYQLSSSSLTLRIPSSSSSSSSSVIDGSGSGGVVVVGNEGKALKFEKTLEKVMYLSCWGPN >DRNTG_09086.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6040933:6044623:1 gene:DRNTG_09086 transcript:DRNTG_09086.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHKWLMEDIPQAAARVQDRCAGKKTNTWYIKGCSVALNIWFYELTRTGKKACFGKIPRMLCYDESTYRKQAMIETSFSSLEGKEPEPLARRQDERAASSVRARRRSSTSSPPHARIPRCRRSPPLPHTIATTTPPPNTAAPPTVAGPPTALGEDVTATLMQACQILMTEFHQLVTQVEALEGRSQSTAPPLQ >DRNTG_01403.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4538151:4546961:1 gene:DRNTG_01403 transcript:DRNTG_01403.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g26000 [Source:Projected from Arabidopsis thaliana (AT2G26000) UniProtKB/TrEMBL;Acc:O80996] MSTSADGGAASSAASDDPFIAPPAPIPDDPTSPSAAGLTQAFPFSSGNPTIEETRGIMHLYHEDASSPSTLPVDRKPVVCVLAVPNHMTYADFCQFCGSFIMHMLEMRVVRNDGFEDHYCVLIWFDDQRLTDEFYCHFNGKPFSSLDDDICHIRFTVDVQYTGSIEHAQSSVSSSAEQPTCPVCLERFDQDTSGILTTICNHSFHCSCISKWTDSSCPVCRYCQQQPEKLVCSVCRIFEDLRMCVICGFVGCQRNNGGHAEEHWMETQHCYSLELQSRKVWDYAGKNYVHRLIQSKTDGKLVELNARCVADGTADRKTADMEFDEKIDAIHKEYQELLASQTMDQRKHYESLILEAKEENEKEIAEAIEKACSHKLQKMQLKLDKVNEEKMFLDDINENLRKNQEIWKSKILELEQREQAAMQLRDKRIQGLEAQLQDLMICIETPKPVIEVPSSTSSDIKAPAASCSGSKSPGGQAGRNQNT >DRNTG_01403.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4538743:4547100:1 gene:DRNTG_01403 transcript:DRNTG_01403.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g26000 [Source:Projected from Arabidopsis thaliana (AT2G26000) UniProtKB/TrEMBL;Acc:O80996] MCVICGFVGCQRNNGGHAEEHWMETQHCYSLELQSRKVWDYAGKNYVHRLIQSKTDGKLVELNARCVADGTADRKTADMEFDEKIDAIHKEYQELLASQTMDQRKHYESLILEAKEENEKEIAEAIEKACSHKLQKMQLKLDKVNEEKMFLDDINENLRKNQEIWKSKILELEQREQAAMQLRDKRIQGLEAQLQDLMICIETPKPVIEVPSSTSSDIKAPAASCSGSKSPGGQAGRNQNT >DRNTG_01403.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4538151:4541077:1 gene:DRNTG_01403 transcript:DRNTG_01403.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g26000 [Source:Projected from Arabidopsis thaliana (AT2G26000) UniProtKB/TrEMBL;Acc:O80996] MSTSADGGAASSAASDDPFIAPPAPIPDDPTSPSAAGLTQAFPFSSGNPTIEETRGIMHLYHEDASSPSTLPVDRKPVVCVLAVPNHMTYADFCQFCGSFIMHMLEMRVVRNDGFEDHYCVLIWFDDQRLTDEFYCHFNGKPFSSLDDDICHIRFTVDVQYTGSIEHAQSSVSSSAEQPTCPVCLERFDQDTSGILTTICNHSFHCSCISKWTDSSCPVCRYCQQQPEKLVCSVCRIFEDLRMCVICGFVGCQR >DRNTG_01403.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4538151:4545377:1 gene:DRNTG_01403 transcript:DRNTG_01403.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g26000 [Source:Projected from Arabidopsis thaliana (AT2G26000) UniProtKB/TrEMBL;Acc:O80996] MSTSADGGAASSAASDDPFIAPPAPIPDDPTSPSAAGLTQAFPFSSGNPTIEETRGIMHLYHEDASSPSTLPVDRKPVVCVLAVPNHMTYADFCQFCGSFIMHMLEMRVVRNDGFEDHYCVLIWFDDQRLTDEFYCHFNGKPFSSLDDDICHIRFTVDVQYTGSIEHAQSSVSSSAEQPTCPVCLERFDQDTSGILTTICNHSFHCSCISKWTDSSCPVCRYCQQQPEKLVCSVCRIFEDLRMCVICGFVGCQRNNGGHAEEHWMETQHCYSLELQSRKVWDYAGKNYVHRLIQSKTDGKLVELNARCVADGTADRKTADMEFDEKIDAIHKEYQELLASQTMDQRKHYESLILEAKEENEKEIAEAIEKACSHKLQKMQLKLDKVNEEKMFLDDINENLRKNQEIWKSKILELEQREQAAMQLRDKRIQGLEAQLQDLMICIETPKPVIEVPSSTSSDIKAPAASCSGSKSPGGQAGRNQNT >DRNTG_01403.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4538151:4546961:1 gene:DRNTG_01403 transcript:DRNTG_01403.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g26000 [Source:Projected from Arabidopsis thaliana (AT2G26000) UniProtKB/TrEMBL;Acc:O80996] MSTSADGGAASSAASDDPFIAPPAPIPDDPTSPSAAGLTQAFPFSSGNPTIEETRGIMHLYHEDASSPSTLPVDRKPVVCVLAVPNHMTYADFCQFCGSFIMHMLEMRVVRNDGFEDHYCVLIWFDDQRLTDEFYCHFNGKPFSSLDDDICHIRFTVDVQYTGSIEHAQSSVSSSAEQPTCPVCLERFDQDTSGILTTICNHSFHCSCISKWTDSSCPVCRYCQQQPEKLVCSVCRIFEDLRMCVICGFVGCQRNNGGHAEEHWMETQHCYSLELQSRKVWDYAGKNYVHRLIQSKTDGKLVELNARCVADGTADRKTADMEFDEKIDAIHKEYQELLASQTMDQRKHYESLILEAKEENEKEIAEAIEKACSHKLQKMQLKLDKVNEEKMFLDDINENLRKNQEIWKSKILELEQREQAAMQLRDKRIQGLEAQLQDLMICIETPKPVIEVPSSTSSDIKAPAASCSGSKSPGGQAGRNQNT >DRNTG_01403.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4538151:4546961:1 gene:DRNTG_01403 transcript:DRNTG_01403.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g26000 [Source:Projected from Arabidopsis thaliana (AT2G26000) UniProtKB/TrEMBL;Acc:O80996] MSTSADGGAASSAASDDPFIAPPAPIPDDPTSPSAAGLTQAFPFSSGNPTIEETRGIMHLYHEDASSPSTLPVDRKPVVCVLAVPNHMTYADFCQFCGSFIMHMLEMRVVRNDGFEDHYCVLIWFDDQRLTDEFYCHFNGKPFSSLDDDICHIRFTVDVQYTGSIEHAQSSVSSSAEQPTCPVCLERFDQDTSGILTTICNHSFHCSCISKWTDSSCPVCRYCQQQPEKLVCSVCRIFEDLRMCVICGFVGCQRNNGGHAEEHWMETQHCYSLELQSRKVWDYAGKNYVHRLIQSKTDGKLVELNARCVADGTADRKTADMEFDEKIDAIHKEYQELLASQTMDQRKHYESLILEAKEENEKEIAEAIEKACSHKLQKMQLKLDKVNEEKMFLDDINENLRKNQEIWKSKILELEQREQAAMQLRDKRIQGLEAQLQDLMICIETPKPVIEVPSSTSSDIKAPAASCSGSKSPGGQAGRNQNT >DRNTG_19177.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6077017:6077774:-1 gene:DRNTG_19177 transcript:DRNTG_19177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSIRFKLMSMLCNRREQANKWETYLCPDIHSKVEIVIEDSRNLRVGHCVDDRYETDTNIHQFISGYFTIDNYKLAYKEAIFPIPDDDKHSEGNRELRWRPPVTRRQPGRPRRKRIESQAFEVLVLHCSRCHGSGHNRRSCNETVAD >DRNTG_05266.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19735770:19738147:-1 gene:DRNTG_05266 transcript:DRNTG_05266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFSKRSSPSPPLPAPNPISIDVSHVKHVVEKKEKEEKEKEVVVVAQSQQGFEVKEQVVTTVEKKKAEPVVVVVEEKRPLPLRTSSCTKEEVDAILIQCGRLSRSSSGKTSNEIGGGGRKYSGSKRSYDFDEERRKGGGREEEEEEEEEKPVSRPSPRRRTPGRERSGSRERDKRVSRSPGRRSECPASGSSGGFNGGDRVKQPGKMVSVPPLEKGVVVFANKRSASPGSRCSMGNNGRTSNENAHSSQPQSLSRSSSKKAEQSPYRRNPMTEIDDNSLRRDQPGFRSKGMKLREGEEVVRKPIQAQTQRNGGDVSNCIKDCVRDQLMSCRGKEQQQEQRKEGVEEKGASNSIVEIPGTITRTRSSRRSSRDLDHNPMTYASLLLEDIQNYHQQNNTTAFSLPPCVSKACSILEAVADLNSSFSDNKSYIDGDQQSNNDNGNGKRVLLAREPFVESEIQVKDDLMEPSLHKYVTVRELGVELEPQESAGSNSFIGHTWSSPLEPNSVDSKDQSWSTSRSTNYKEVKEAEFSPVSYNCNNNNNSQSGKQQKTISDARERRSRGGSSNLSNASRKRELQSSGKVSARVSGLHSADSAATAATAAANS >DRNTG_23025.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3214904:3218649:-1 gene:DRNTG_23025 transcript:DRNTG_23025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGCHQCRRRTKDFALHCKRFMMKDNSCSILFCHKCLLTRYGEKAEEVAALEDWACPKCRGVCSCYICVKKRSQQPLGMDANESPREASVSINRKLADESDIVEPEDIKLSKACDGVKDENFAKEEEEKVEGIMSNGIGKGNVEKDSHQEAMLVHVAMEKDLVNKNGSSDMIEDKCSTQSNGNQSPERSRDRNVLLPRGTALTMVAGVKLPPDDVGPALQFLEFCNAFSEVLGIKKGQPETVLQELTRTNKKSLKVHPSIVGLHIKLLSLIQKDLGEGSLLKSKISASSWLKALAKCINEQCHFKGLSSECFDKEALKYDTLDASQKLIILNLLCDQTLETADLRSWIDEENIKFHEKEKESEAKAPIPKMKDLNQKMNAKVAKVMLCLKERSLVSVSEHDNVISETESKTKRLHSEVTENILNKKKQRIDAVRTEPILLEGNGEVYWRLGGCGNSQIMLQEIRSWDLLTNRDRWFLYDNDSEQIIQKYITSLLRMKLFEEFPRD >DRNTG_24196.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22464960:22466844:-1 gene:DRNTG_24196 transcript:DRNTG_24196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHILLPFSILLLIILILLKLIKLQHPKVKTKTSYNPPPSPPTSLPILGHLHHLLKQKQPLHHSLSSLSSLHGPILSLRLASRQALLISSLSIATECFSSHNDIVFANRPHLFAGKHLGYNYTTIAWASYGPHWRNLRRLTTLELFSTLRLTSFSSIRRDEVLSLVKSLVKDNKKVVLKPKLFELTLNIMMRMIAGKKYFGEYDDEVKDKKESERFRDIVEETFRVSGSSNLCDFIPFLRLFGYGRGMEKRIVRLHEKRDAFLQELIDKHRDKTRVNPNVNDHFEGKKSVVDVLLGLQVDDPEYYTDDIIKGVVVMMLTAGTDTSAVTIEWAMSLLLNHPEVLNKVEEEIMINIGKERFVTELDLASLSFLQCVIYETLRLKPPAPLIPAHESREDCKISGFHVSRGTMLLVNAWAMQRDPELWVEPNKFQPERWLGKGREERQKMLPFGIGRRSCPGEGLAMKVVGLTLAALIQCFEWGRVDDELVDLHEGPGLTMPKACPLVALCEPRSFVYKNIV >DRNTG_35267.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3338770:3340884:1 gene:DRNTG_35267 transcript:DRNTG_35267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLISLEPSNQVCVRIEPGHKCTGDLTLRNVMYTMPVAFRIQPANRSRYSVKPQSGIIAPLSVLKVEIIYTPSSSHELLPDSYPDSDDPFQLCSVVVPGAGVKDINSALDSVPNDWFTAKKKQVFTDSGIKVFFVGSAVLTRLVSDGAMETVREVLEKSEPEWRAVDSVDAHGETLLHLSIVRSRPDLVQMLLEFEPNLEKANRAGRTPIEEAAAAGESLIVELLLARRASTERSLHHAVAAGHTEVMRLLLLKGAEVNAVTSDGRTALHLAACERRWDCARLLLASGARTDVRGGEEGNTPLHVAAACGDEKMVRVLLGKGGAGTKETRNKLGRTAFDVAGEGGHGKLFDMLKLGDGLCVAARKGEARGVVRLVERGAAVNGRDGNGWTALMRAGFKGRVEIMKLLMEMGVEIEARDEEGYTALHCAVEAGQAEAVELLVKRGAEVEARTAKGATAMHIAGSLGYVGIMRILSLGGASKEKMMMKKVVVGGGGGGGSLKDMKRKSSGKKMMLRGSSGRGLDRSATLPVTCSH >DRNTG_16916.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5015278:5017369:-1 gene:DRNTG_16916 transcript:DRNTG_16916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSAGCPYEQSALAFLHSSGLIRESSPAKAFIYHHFAANGGSLESKVILAYVHFCQARYEEAARYYEELAMAAIESFPLWTEPPLIDFVRIHDRGEENRSELRRSMGEMSDEIQIMECQARSGNSLAMSGMGSVYYYGLRGLRRDHVKALYWFSKAVEKREPRAIELLAEMYASGAGVERNYAKAFELLSMLDENYSAFVGLGYLDFNGYGVEKNFTMAKEYFEKAAKNKEPRGHYNLGL >DRNTG_21251.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:47946:53563:-1 gene:DRNTG_21251 transcript:DRNTG_21251.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVLMEKLSEREEILLGHTSQDPFGGYLNKRSSEVDFQDVFGGPPRRGRADSLNLFPGRGRRCGVGEEVGGGRRARSGLVEKPVFGELGSPAMRKPVADDFFDDIFQGSDCSSPRGRRGKLDSFGSLPCSRIVSPTIQTLTPRTDAFLGGSSLPTPLSLSRKLGKVVGNQTPSYPSQRTLHKNDEGVPLVASQAVSSQEDHRNEAFPTYRQSPLSRHFAYSFEKTSKVPGSAGFSGETQARKNQTDPEGQSRSSRFHFSLYKWAGKGVTLMMPSNLKERSNNGYSGRVLPEIVLQEVDVHFDDEIKATISKTSEINGEDQYGKLANDLIVERTADPVIKEDLFPSEPEQNVIGSGMVEESDGKVEALQTMLGDSYLPTAPNDTGQEIPSTGHEAKVSVVNTLHDLFEKSGGKQENTNLSSPSEEIRGQRDAYEHANLNLPSDRENNVNYNEARPSMDGMQDVPISVEDELPGKRGKVRVKEFIKIFSRDAAPTRPKGTRSKETSGIKNQKPVRKDVRKCKVEKEESEHASKTDETKVSSRKDEVSTDNFTTISQILQQTEEPYRKFGSDIDVVSDSSLRKDDISAPESAEEVFCDSANIAVETHDLEACLVEQLPQNQNELPQTDVQQDQFKIADTKIREWSKGKEGNIRSLLSTLHYVLWQECGWKPVPLVDIIEGNAVKRAYQKALLFLHPDKLQQKCAAAHQKYIAEKVFDILQEAWNQFNSVSVF >DRNTG_26791.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:17784598:17797254:-1 gene:DRNTG_26791 transcript:DRNTG_26791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTIKEIECKRNESIENPLNGRVNGLVESPLLVARDLLSDLGYTSPDRCRRKLSQ >DRNTG_02866.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:13116386:13126551:-1 gene:DRNTG_02866 transcript:DRNTG_02866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGACVCPCGFGARACIMAPRSKKQADKRPRESSPEPEGMRFAIPEHQKLQQEDEFADDIEDLVSEGGWRQLLTIREPAIQEFTLEMVLSEWELRTSHRHGQEEKSNNLNTSHSHRVAMSSRDSK >DRNTG_11948.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5217828:5231960:-1 gene:DRNTG_11948 transcript:DRNTG_11948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKNLLVESGSKMEEDNLNVEPAFKIEERSLKSEPGSESAGKNLMVEPSKIELKNFVEPGSKAEEIMKMLFGQEISELAGENSDAGLKEHDIFMEVFYGNSAIDVSKGNVRVTDIQPEENIHGQRQFHGNCHGLTMASPSSRKRSPVDAELCGSSSSQAYANVGSVSSKRSKISPGELLDKDLCRAHADTNKCMIGLEQLGTVSDVLLPESDHSQQASSCLIVESSSDGILFSNYIFVPEREKDCTYDDDCSLNVQHSTMSRHGDRGKEVLEAISVASPVSQESFASALVKTGLPPAVDVMARTLSCVNPGARECCALEWNAFSPKEKKSFIKGLPNRLSSHAHNLLMDAHWKIDSRKRCDRDRPAYCFTSPDDGRSYKALTQAWKAFGQKFLADVQGPEQRQIGREWSDIERFWADLADTLAYIEKEIQQSESFLSLSDRWLLLDPFMAVCWIDKRISALREGMPMKAVNSTTYLLKGDGSTTSKEKNVKRVKGLLPEQNSRSLVPLKNKILPELISDGKLDADKGPIDDQVFALQGFDALPHNCPSEPVEQKLSCHGTPPGKGVKRLAKGPGKGLDISSSHDLNCKTLTSQCKESDSTSCECRSIQNVSSLSHPGSYSDHDLSAPDTAAPDLGALALYNPESGEIALPMKTFDCAIQNMEIPCDSDSKPLMVRMVPEDTSQQMPDTNSEDGTLHFKSNDGCTQEPFVCEKISVQKALNTSVKKARKKSKKISEIGSTKVRGKSMETKHTNQSCEEAFCLTADGMINDQTCSPTERDGSSSIDQELTSKGMEQNEFLGELCVFNALATDTSHPSALPEVNSITEVQEFQKSESRSTKKLVKCKDDILPSTQDDESGITLLNIERDQDDSMQVKSTKSKGCTNSSNLKKSMSMSLSKQKSQLKSKKAKLQSLISEESVALKGNCIFPEEPHRMEKSNELLDSANGVSQDYRDNELVTRVEKSEVHKQIGRKRWRGCSINDDDLLISAIMKSKDVSSVVKHSSPKLGASQSKALRKLKGKKGGCKLLLRTPGKGSKHSTDGRRFLLGSRTVLCWLLEMAIISLKDILQCRDPKTKAVIKDGWVTVDGILCNCCTKIFSVTEFKAHAGLNLQKASSNLFLQSGKAYTLCQLEAWSAEYKARKGGIRVVEVEEVDQNDDTCGLCGDGGELICCDNCPSTYHRTCLPEQELPEGSWFCSSCICSICGEVAKLNEGSSSFNALQCSQCERRYHDSCLEDKVTRDTEAASQVWFCGRNCQEVYLGLRSRIGVVNNINDGFSWSILRCCDSDQKVYSKQKIALVAECHSKLAIALTLMEECFLPMVDPRTGIDMIPQVLYNWGSNFARLNFRGFYTVILENDDHLVSVASIRVHGVRVAEMPLIATCSGRRRQGMCRKLLNAVEEMLKCLKVEMLVLSAIPSLVETWTSGFGFAPLGEEERKQLRDINFMLFPGTEMLKKNLSAPPATDSGGNSEFFAGEDQAQKLGLCDKSTEIGATHHASGNAKTGLEEESLLKSGFKLPVELVDDQKRNQLALSCKNHALTVNDREHVDVDMTGSYNLNTETMDGYNKMDQFASADNPVAFEVSVTKDSSLGPPYSGSLSTSAAASEQPNDFSSNTTGLLIQDTAFNMLHNINVLPKGDTEVFMNKGADMDYPEDDKIKLEVFSETSGGTCGSGLSRELDQKDSVLATPNELKLVNSDKVSDNALDIMDKEGSMNRGAKISNESSGGSQELQKDNCLVVLSELKTHQFASDNVLGNATNITHKVGAMNHHEDVEVKEKESIEISKENNVICLSQRATENSLCPIIPNLSKMKLEELVAMQITINDSHKIETRDHTVNHLEPAKTDLNQVGIPMVAGCSSRCQGMLHSSGEDLGEDKELSAV >DRNTG_32988.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19879156:19883254:-1 gene:DRNTG_32988 transcript:DRNTG_32988.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYPYKELKYATGDFSPVHKVGEGGYGSVYKGRLKDGKNVAIKVLSPESAQGVKEFLTEITVISNIMHENLVQLYGCCIENDNRILVYNYLENNSLAQTLLGSRRSNIQFDWRTRTKICIGVARGLAYLHEEVRPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPPNTTHVSTRVAGTIGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRCNTNTRLPYEDQFLLERVS >DRNTG_32988.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19879156:19883254:-1 gene:DRNTG_32988 transcript:DRNTG_32988.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYPYKELKYATGDFSPVHKVGEGGYGSVYKGRLKDGKNVAIKVLSPESAQGVKEFLTEITVISNIMHENLVQLYGCCIENDNRILVYNYLENNSLAQTLLGSRRSNIQFDWRTRTKICIGVARGLAYLHEEVRPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPPNTTHVSTRVAGTIGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRCNTNTRLPYEDQFLLERVS >DRNTG_32988.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19879156:19883254:-1 gene:DRNTG_32988 transcript:DRNTG_32988.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPYKELKYATGDFSPVHKVGEGGYGSVYKGRLKDGKNVAIKVLSPESAQGVKEFLTEITVISNIMHENLVQLYGCCIENDNRILVYNYLENNSLAQTLLGSRRSNIQFDWRTRTKICIGVARGLAYLHEEVRPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPPNTTHVSTRVAGTIGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRCNTNTRLPYEDQFLLERTWMHYEHDELSKIIDTSLNDDLDVEEACRFLKIGLLCTQDASKLRPSMSNVVKMLKGERDFSSEVITKPGLISDFMDLKIKHHKKDAEGNTSSSSGMETSPLSSGPTTRPSMSFTAIAERD >DRNTG_32988.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19879156:19883254:-1 gene:DRNTG_32988 transcript:DRNTG_32988.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPYKELKYATGDFSPVHKVGEGGYGSVYKGRLKDGKNVAIKVLSPESAQGVKEFLTEITVISNIMHENLVQLYGCCIENDNRILVYNYLENNSLAQTLLGSRRSNIQFDWRTRTKICIGVARGLAYLHEEVRPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPPNTTHVSTRVAGTIGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRCNTNTRLPYEDQFLLERSPDIMHGILVLQTWMHYEHDELSKIIDTSLNDDLDVEEACRFLKIGLLCTQDASKLRPSMSNVVKMLKGERDFSSEVITKPGLISDFMDLKIKHHKKDAEGNTSSSSGMETSPLSSGPTTRPSMSFTAIAERD >DRNTG_08112.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11917736:11918800:1 gene:DRNTG_08112 transcript:DRNTG_08112.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMLPLPPPIYTIGPLSLISEYGLSLWKEDVNCLEWLDDNLPKSFIYVNFGYIAMLTKEQLTEFAWGLVDSEHDFLWIIDKALLRVIKRVMFLLEEILREMNGRGLITSWC >DRNTG_08112.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11914362:11918800:1 gene:DRNTG_08112 transcript:DRNTG_08112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMLPLPPPIYTIGPLSLISEYGLSLWKEDVNCLEWLDDNLPKSFIYVNFGYIAMLTKEQLTEFAWGLVDSEHDFLWIIDKALLRVIKRVMFLLEEILREMNGRGLITSWC >DRNTG_25116.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23692326:23693332:1 gene:DRNTG_25116 transcript:DRNTG_25116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFSISMIVRIQTNPSSASKLSRPQERGCSDVTQ >DRNTG_01098.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000065.1:1982:3054:-1 gene:DRNTG_01098 transcript:DRNTG_01098.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRRSSAGTPPDPVSASYPRSINPSV >DRNTG_20600.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16058505:16059671:-1 gene:DRNTG_20600 transcript:DRNTG_20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSIFYLDQSVRSISRKRIYHLESLELIEILYECKVERLFNFSSGTCIELGMIDLRFGIIEHITEFIHFQVFGVLHRMSLTEFSIYLGLYDAEFMHTATYDALLTSSIGGHLLVSAHILLGWSAILATFRGPIGCEW >DRNTG_21041.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001194.1:49920:50526:1 gene:DRNTG_21041 transcript:DRNTG_21041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSTSAMTTSSTGETSIGGWSTSGGTTIGGIYSDTALISGRSTAGSTTIVSTSVVEGETFLIFFSWQASSNAGLFGWPTQLGEISQGNSLVSILRAYNRRAVMSSPIALGGRGLYSGKKCVCKLGCLTANTVERTLKRFVSHLSFETVMREQCHLHGE >DRNTG_06502.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4448627:4449782:1 gene:DRNTG_06502 transcript:DRNTG_06502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGKPVASICHGQQVLSAAGVLKGRKCTAYPAVKLNVVLAGATWLEPQPIHRCFTDGNLVTGAAWPGHPEFISQFMLLLGIK >DRNTG_16617.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1497742:1499235:-1 gene:DRNTG_16617 transcript:DRNTG_16617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLIPPAMPLRRLEKPRMLTTSSHPQRGENAKQAADKAQEIAYDKAKKLKEKVAEGKEAAEGTTENVREETKDTADVAKDAAGSLTEAAQKAKKETREVAKDAAGRVTEAAEKAANEAKQKAIEAGEQLHHAKDKAETKAQEKAGVAKAKAGESLDGAKEALASNYEAAKEKIVGHRGKPEDEL >DRNTG_25542.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3015642:3021315:1 gene:DRNTG_25542 transcript:DRNTG_25542.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Switch 2 [Source:Projected from Arabidopsis thaliana (AT1G03750) UniProtKB/Swiss-Prot;Acc:F4I2H2] MGLGKTIQTIAFLAAVFGKDAELVEHLDNLNVNVKKGPVLIVCPASVIHNWENEFLEWADFDVAVYHGPNCEMILEKLQAHCIEILITSFDTFRIHDRILSEINWEIVVVDEAHRLKNEKSRLYKACLGIKTKKRLGLTGTIMQNKILELFNLFDWVSPGSLGTREHFRDFYEEPLKHGQRLNAPDRFVQVADKRKQHLVSVLRKYLLRRTKEETIGHLMLGKEDNVVFCAMSGLQKRVYKRMLEQPEIQCLINKDLPCSCGSPLTQAECCHRIVPNGAIWSYLHKDNPEGCDSCPFCLVLPCLIKLQQISNHLELIKPNPKDEIDKQKKDAELATIVFDADIDLVGGSAQNENFMGLSDVEHCGKMQALEKLLLTWTSNGDKILLFSYSVRMLNILEKFLIRKGYCFSRLDGSTPTSLRQSLVDDFNRSPSKQVFLISTRAGGLGLNLVSANRVIIFDPNWNPAQDLQAQDRSFRYGQKRHVVVFRLLSAGSLEELVYSRQVYKQQLSNIAVSGKMEKRYFEGVQDCKEFKGELFGICNLFRDLSDKLFTSEIIELHGKQQPECEHAHCTVDSSNIEVDSTIQCLLINPKFIDHHCRNKETEEVHEETTGFCVLPMDSENKVKLTDLGIVYAHRNEEVVNMRPAKLVKNTEEIKNRDNTTLIFSKTMVSNDTVGAYKRKMPTKEQKLREYKRIAQYMGMEALQFSRWILSASPPELDQMLQNYKKKKSSVQKPNLNFEHDHQHAGVT >DRNTG_14570.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:9370510:9373957:1 gene:DRNTG_14570 transcript:DRNTG_14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSALLTLGTLSPMHFFTRARGTTPLRKVHIWAIGLVMSVCASGRRRRARKLEDHSRPRSKTLKA >DRNTG_35319.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1522156:1524681:-1 gene:DRNTG_35319 transcript:DRNTG_35319.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pectinesterase/pectinesterase inhibitor 22 [Source:Projected from Arabidopsis thaliana (AT3G05620) UniProtKB/Swiss-Prot;Acc:Q9M9W7] MALADFFFFLFFLLYLPFHHALLNPEETSFQSSLIRQACFNTTKHKDCTSYIHTECHIDDRRATPVSIMHAAVKGTINEAIRAIESVSSLVSLSINLREKTAIDDCVELLDYSVSELGWSLEEMSFIETEENNIHREGNLRAWLSAALSNQDTCLEGFHGTDGRLKNYIRGSLDEVTQLISNVLEMYRRLRSIMPHFPVRNETIGGGGDHNNYEIPPWITSEEEELMHGDPKGGMRVDAVVAGDGSGRYRTIMAAVNEAPSHSSRRYIIYVKKGIYRENVELKKKKSNIMLVGDGIGATVITGSRNFMQGWTTFRTATFAVSGQGFIARDITFRNTAGPANHQAVALRVDSDRSAFYRCSIEGYQDTLYAHSLRQFYRECNIYGTIDFIFGNGHAVLQNCKIFSRRPLPFQKVTITAQGRKDPNQNTGFSIHNSYVYATYPTYLGRPWKAYSRTVFMQTYLSAQVQPQGWLEWFGDFGLRTLFYGEYMNYGPGSSVAGRVRWPGYHVIKDEAVASYFTVRRFIDGTSWLPSTGVAFTADLIK >DRNTG_23187.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1787498:1791318:1 gene:DRNTG_23187 transcript:DRNTG_23187.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFDFFFFLGFWWGIWISDGVLQGRLDDGREVAVKRLGRGSRQGAKEFSNEAQLLSRVQHKNVVNLYGYCAHGDDKLLVYEYVANESLDKLLFSQEGNKRRELDWKRRYDVIVGVARGLQYLHEDAHTPIIHRDIKASNVLLDDRWTPKIADFGMARLFSEDQTHVNTRVAGTNGYMAPEYVMFGSLSMKADVFSFGVLVLELISGQKNSNFTPQSDAQSLLEWAWKLHKRGQKSGVVGFNFEKQCHCRPSHNVRSYRTSLHSS >DRNTG_23187.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1787498:1791318:1 gene:DRNTG_23187 transcript:DRNTG_23187.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFSEDQTHVNTRVAGTNGYMAPEYVMFGSLSMKADVFSFGVLVLELISGQKNSNFTPQSDAQSLLEWAWKLHKRGQKSGVVGFNFEKQCHCRPSHNVRSYRTSLHSS >DRNTG_23187.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1787498:1791318:1 gene:DRNTG_23187 transcript:DRNTG_23187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSHKFIHYLLKPFGYCQSRETKREEEDLEAIAAKEKKVFPYETLVAATKNFNLKHKLGEGGFGPVYKGRLDDGREVAVKRLGRGSRQGAKEFSNEAQLLSRVQHKNVVNLYGYCAHGDDKLLVYEYVANESLDKLLFSQEGNKRRELDWKRRYDVIVGVARGLQYLHEDAHTPIIHRDIKASNVLLDDRWTPKIADFGMARLFSEDQTHVNTRVAGTNGYMAPEYVMFGSLSMKADVFSFGVLVLELISGQKNSNFTPQSDAQSLLEWAWKLHKRGQKSGVVGFNFEKQCHCRPSHNVRSYRTSLHSS >DRNTG_05867.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31441689:31442214:1 gene:DRNTG_05867 transcript:DRNTG_05867.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVSAKGKGKQGKGGGGDRSACRCVKEWTTWAMKKAKVITHYGFIPLIIVIGMNTEPKPQLSQLLSPV >DRNTG_33328.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3409093:3415091:1 gene:DRNTG_33328 transcript:DRNTG_33328.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAM10 family protein At4g22670 [Source:Projected from Arabidopsis thaliana (AT4G22670) UniProtKB/Swiss-Prot;Acc:Q93YR3] MDAAKVKELEIFIDQCKRNPAILADPSISFFRDYVESLGAKLPPAAYTGEESPRAGASKTQMTEESDEDTEGPFHGSGATAEQYESDDEIIESDIELEGEVVEADNDPPQKMGDSSVEVTEEARDASQGAKGKAMEAISEGKFEEAIEHLTEAILLNPTSAIMYGTRASVYIKMKKPNAAIRDANAALEINPDSAKGYKSRGMAYAMLGQWEKAAKDLHIASNLDYDEEISYALKKVEPNAHKIEEHRRKYDRLRKEREEKKIDRERRRRKAEAQAAYEKAKKKEEASASRPSGGMPGGFPGGMPGGFPGGMPGGFPGGMPGGVPGNIDMSKILNDPELMAAFSDPEIMAALQEVMNNPASFAKHQANPKVAPVIAKMMAKFGGAGGAGGAK >DRNTG_22523.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3482170:3483070:1 gene:DRNTG_22523 transcript:DRNTG_22523.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRTRRTRSKEARRKGLEERKKRMG >DRNTG_34129.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1802174:1806494:1 gene:DRNTG_34129 transcript:DRNTG_34129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITILDAFYHDRHYARFYVLETIARVPYFSFISVLHLYESLGWWRRADYLKVHFAESWNEMHHLLIMEELGGNSFWLDRFLAQSIAVGYYFMTVFMYMLSPRMAYHFSECVEKHAFSTYDKFLKLKEEELKNCPLRRLLSSTT >DRNTG_34129.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1802174:1806494:1 gene:DRNTG_34129 transcript:DRNTG_34129.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITILDAFYHDRHYARFYVLETIARVPYFSFISVLHLYESLGWWRRADYLKVHFAESWNEMHHLLIMEELGGNSFWLDRFLAQSIAVGYYFMTVFMYMLSPRMAYHFSECVEKHAFSTYDKFLKLKEGEFEKLPAPEAAIKYYMSEDLYLFDEFQTARAPRTRRPKIENLYDAFVNIRDDEAEHCKTMKACQTPGSLRSPHSNMNMNAESDEDEPESGSSTLEADCEGII >DRNTG_10216.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:16343:20825:1 gene:DRNTG_10216 transcript:DRNTG_10216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENGDVVYGSGFDFDQPDVSPAIGTGKKKFPKRSSLDEEDFINLLHGSDPVKIELNRLQNELKEKDRELSEAHSEIKALKLTERAKDKALDEVSEELEKMVEKFQASEDALENKNLEIKKIIDEKKEALAAQFAAEATLRRVHAAQKDEELPSLEAILYPLEAEIKLLKLEHTKLQDDNRALERLTKTKEAALLEAEREVQFAKIKAALVDDLQNKNQELMKQNDICQEEYKILDRMHRLKVSEVEKLTQTVHELEEALLSGAAAANAVRDYQRQVSELKGEKRTLERTLSRAKVTENRVAVVIANEWKDANDKVMPVKQWLEERRLLMGEMQQLREKLSIVERAAKTEAQLKERFQLRLKVLEDCLKTSSRSGMRSIANGLSRSRSVNGAEYSSSPANVLVTRKPLDPVQRSSMLPSPSSVMLKHAKGASRSFDGGRTSSDENQCRAKSFGDDCSGDPLKDDKVGLSINDGSIESVEETLIDGKPRDHVETASDESVSGVFYDILQKEVIALRKACHEREQNLKDKDSSIEMLSRKIDTLTRSMEVETKKLRREKAAVEKEVATMRVEKEHEQKARRLKGMVNILHN >DRNTG_31905.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17500811:17503325:-1 gene:DRNTG_31905 transcript:DRNTG_31905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNSVRILLHFLTFIFITLSHSTPTQLPSFIHCLSTTLPFSTLSHLLHTPNTSSYSSILNSSIKNLLFSTSPKPLLILTPSLPSHLQPIIICSKSLNIPLRFLSGGHDYEGLSYRSLNSHHQPFILLSLSNLHNITIDVNAKQATAWVQSVAVGGHFSGGGFGTLLRKYGLAVDNVVDAQIVDVNGEMLDRESMGEDLFWAIRGGGGASFGVVVSWKVKLVLVPHLVTVFSIHKTFDQGAVELIYQWQDIAHRLHEDLFIRVIIQRNDLGQVEALFNSMFLGNCEQLLLIMNESFPNLGIKPSDCKQMSWIESVMYFAGYTNGEPLETLLVRQSQPERYFKATSDFVTRPIPKSVWTWIWDRVKDEGAGVLILDPFGGKMNQIEGSEIPFPHREGNLYNIQYFVEWRKIGEAEKHVNWVKGVFEGMGPYVSKDPRAGYINYRDLDLGVNEEEEGISSYFKGRVWGVKYFKGNFERLARVKGRVDPSDFFRSEQSIPPLFLESEEVDG >DRNTG_14533.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14517631:14538565:-1 gene:DRNTG_14533 transcript:DRNTG_14533.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPQGCVVAAAQEAKKNSPKKVLKDPLIYLHNHPHIDLNPGWYPLLNEGVIEPLIDTVWARVADPQGRVDFWRNTVASIVAALFTAGPEFRETENPHGRVEIIHARVEIPQGILFSIFSPTCERVSRGIGQGFGEVLRLRHRDSIRKKVGRGASIEAYPIPDEGILGRRVEDFPQDHRYDHRGAFFMDSLLLHSISLIEMLNSDPYEGLLDQEVDSEEVMMLGLEEKVPSTLGIIKKVLRKMKRVRRCHKKCFMAVSDVQEPNKKQALSVFICARIFSRACDSPKASRKNAPRVEFRHTRGSLLRAYPEKAQGRGFAPVNDLRSSLSSREYTGHVSAPVNWPCTSPRPWGIFTRPCETLRVFSDMAPRTKIAVGKCPERSPRAGASSSLFRKSRLSLSVCRSFDLDRLTSKIQAYYETYNKEMNLMRDSTALVQFSLEHLGITIE >DRNTG_28211.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11605635:11607953:-1 gene:DRNTG_28211 transcript:DRNTG_28211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWSARVFFKGSLVKPRAHITGSVLTKAPPTTLFQRNVVLKQQHHMSLPEVLPGSNVGFNVKNVDVKDLKHVFVASKSKDEPAKEATLLEAPPVNSFHRITRSCCGLRNASVKCCGRLLNCSYGLITWPAIAFDIDKVMASLRDKMETYEHRDEEKGSTIANDLNRSISATEP >DRNTG_06868.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17264631:17267239:1 gene:DRNTG_06868 transcript:DRNTG_06868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNVEACEWFTEFKLRVYTGDASLLGPPEQFLKVLVDIPFAFKRMDALSFMASFSEDTSTLKDAFESSE >DRNTG_10874.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19996020:20004706:1 gene:DRNTG_10874 transcript:DRNTG_10874.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVRTLLSRSGFGAIGSSCCRSTSYSSIDISRCLSHYSPIASQICSSNKVETSPLIHIGRTMEKVPFSSLSHGRFYMPDSSLASSIRSSKGILFKGKLHHQHNVFPFCVSPIFHNRGYSSHAGSQQSSLQNGAEVPKVPSAESATNGTTDSVTGDWTDALNNLYQSAKDSTVIAEQKAKEVFDSVTPYIKDLYDSQPYMQQVIVPIGGTMFGTLIAWMILPRILRKFHKYTTQNPLALLSGSPTKEQVPYEKSVWGAVEDPARYLVTFMAFSQLSVMIAPTTTQYLSQVWRGAVVLSFVWFLHRWKTNLFARAMANVSTTGLGLDREKLLALDKFSNLGLLILGLMALAEACGVAVQSILTVGGIGGVATAFAAKDILGNMLSGLSLQFLKPFAVGDSIKAGSIEGQVVEVGLTTTSLLNPEKFPVIVPNSLFSSQVIVNKSRAQWCSFLTKIPICTDNIEVIPCVSEEIVTMLRSNPKVNLEKDAPYCFLSYIESSFAELTIGCNLNYMKKPEASATEQAILLEAVRIIKQHGAKLGDRPK >DRNTG_35341.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2701511:2704825:1 gene:DRNTG_35341 transcript:DRNTG_35341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSATQSIASDAGGGSSRRTPSPYTTLRERVSCEREIKRSKFIAIASPVHDERSAQSFLLEVQDPRATHNCWAYKLGDQFRCNDDGEPSGTAGKPIYSAIVSSGIDMVMVVVIRYFGGIKLGTGGLVRAYGGVALDCLKSAETRFVKPKAPVGIEVPYDLLGTVYHQLQLFQAEDIKQDYDTGKDDITMVTFRLDYDKIDSLENAINSSCGRKFDFYKQ >DRNTG_08854.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27844693:27850040:1 gene:DRNTG_08854 transcript:DRNTG_08854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKSPLLSPPHPPPYAEEDGRNNSSTTGGADAAPPKDVFHFAYIVYFTLGAGFLLPWNAFITAVDYFSYLYPAAPVDRVFSVGYMISCLIPLLFIVGWGAHRSSVSTRINIGLALFTLSLLVVPVMDAVYVKGVRGRYSGYYVTVGAVVVSGAADALVQGGVIGAAGEMPERYMQAVCAGTAASGVLVSAMRIVTKAIFPQDTHGLRNSANVYFGVSIAIMIICIVCYNIADRLPVVKHYKDIKLQAQKEEINEKGLLSGKAWRSTLWDIIGRIKWFGIGIFLIYVVTLSIFPGYITEDVHSEVLKDWYPIILITGYNVFDLLGKTLPAVYLLENVNVAFAGSAARLFFYPLFLSCLHGPHFFRTEIPVTVLTCLLGLTNGYFTAILMILAPKSVPIQHAETTGIVIVVFLVLGLAVGSVVSWFWVI >DRNTG_33453.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3076008:3079473:1 gene:DRNTG_33453 transcript:DRNTG_33453.1 gene_biotype:protein_coding transcript_biotype:protein_coding REPKKAFKRQNKEDGKLSASTKEEQARQTHLWAHYGRKPQAGNLVGMLMPVMWSIKIK >DRNTG_27238.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20078210:20079179:-1 gene:DRNTG_27238 transcript:DRNTG_27238.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g02980, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G02980) UniProtKB/Swiss-Prot;Acc:Q8LK93] MDYRDTQAWSAMIVAYAIHGHGTHSISLFEDMRREGIKPDDITFLGVLYACSHAGLVEQGLEYFHCMKRNHGITPGIKHYGCIVDLLARAGRLEEAYKFIDNSPITPTPILWRTLLSACGAHGNVDLGKKVFYRILELDDTHGGDYVILSNMCATKGHWEDVNKIRKLMKDTGAVKVPGCSSIEIENTVHEFFSGDGKHRNSEEVHRMVDEVVEQLKLVGYVPDTSKVFHAGLEEDEKEVSLRYHSEKLAIAFGLINTTPGTTIRVVKNLRVCGDCHSMAKFISMVFDRVIVLRDLNRFHHFKNGVCSCGDYW >DRNTG_27238.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20078301:20080733:-1 gene:DRNTG_27238 transcript:DRNTG_27238.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g02980, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G02980) UniProtKB/Swiss-Prot;Acc:Q8LK93] MNPVSTVNPLFPAKPTDSYLPLHLLPKCNSIREFQQIHAITIKSDLDVSLLTKLITAISIRPTPLSLSYAHQLFDQIPHPGVLLFNTMSRAYSRSNTPLLSFSFFSRMLESGLFPDDYTFPSLLKACSTSKALKQGRQSHAVALKLGHAENAYVLPTLINMYAECGDINASRNLFDRMDQKCIVSYNSMITACVRSSRPSEALALFRELQADGIESTYVTMLSVLSSCALLGALELGRWIHEYVKKNGFSSYVKVNTALIDMYAKCGSLDDAVQVFTDMDYRDTQAWSAMIVAYAIHGHGTHSISLFEDMRREGIKPDDITFLGVLYACSHAGLVEQGLEYFHCMKRNHGITPGIKHYGCIVDLLARAGRLEEAYKFIDNSPITPTPILWRTLLSACGAHGNVDLGKKVFYRILELDDTHGGDYVILSNMCATKGHWEDVNKIRKLMKDTGAVKVPGCSSIEIENTVHEFFSGDGKHRNSEEVHRMVDEVVEQLKLVGYVPDTSKVFHAGLEEDEKEVSLRYHSEKLAIAFGLINTTPGTTIRVVKNLRVCGDCHSMAKF >DRNTG_27238.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20078210:20079924:-1 gene:DRNTG_27238 transcript:DRNTG_27238.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g02980, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G02980) UniProtKB/Swiss-Prot;Acc:Q8LK93] MSRAYSRSNTPLLSFSFFSRMLESGLFPDDYTFPSLLKACSTSKALKQGRQSHAVALKLGHAENAYVLPTLINMYAECGDINASRNLFDRMDQKCIVSYNSMITACVRSSRPSEALALFRELQADGIESTYVTMLSVLSSCALLGALELGRWIHEYVKKNGFSSYVKVNTALIDMYAKCGSLDDAVQVFTDMDYRDTQAWSAMIVAYAIHGHGTHSISLFEDMRREGIKPDDITFLGVLYACSHAGLVEQGLEYFHCMKRNHGITPGIKHYGCIVDLLARAGRLEEAYKFIDNSPITPTPILWRTLLSACGAHGNVDLGKKVFYRILELDDTHGGDYVILSNMCATKGHWEDVNKIRKLMKDTGAVKVPGCSSIEIENTVHEFFSGDGKHRNSEEVHRMVDEVVEQLKLVGYVPDTSKVFHAGLEEDEKEVSLRYHSEKLAIAFGLINTTPGTTIRVVKNLRVCGDCHSMAKFISMVFDRVIVLRDLNRFHHFKNGVCSCGDYW >DRNTG_01509.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:13987649:13991463:1 gene:DRNTG_01509 transcript:DRNTG_01509.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHRSNELLSWAVGVAAHPNDIIVALHVLVDKDEKKLEKSNLQRAKTSVINMLANYAKICQAKQVQLEAKVRTSSSISKGLIEEALLIEANFLLVGGGCSKNSSQRKSFEITNYCFKHAPEGCLVIAIGRQGMPQNQTDMACVTCEGNNNSVSSRWTGRDGNNLVKALFPLQKPLGSKLRKDDKCSGRHCLLEITDEKGSPRGVLEECSSHSSNEINGKHHNNNNNKFMNIWSRLSTTKLLFPFFCSSNRTEDDNRFSLSKDGLRPSWKCFKYDEISRATIDFHQDNIVGKGGFAEVYKGTLNNGKNVAIKRLAKGNNAGEHKEKMFLIELGILGHVFHPNTAYLVGCCIENGLYLIFDFYPNGSLSSALHGRNPNNLEWHLRYKIALGVARGLHYLHKCCRRRIIHRDIKASNVLLGPDFEPQISDFGLAKWLPKQWTHHSVLPIEGTFGYLAPEYFMHGIVDEKTDVFAYGVLLLEIITGRKPIDSSKQSLLLWAKPLITRGKIAQMADPNLKGKYDKDQLEKLVLVASYCVRQSAVWRPTMNEVLELLMDEEDIKCTKEEDEVMQA >DRNTG_01509.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:13987649:13991463:1 gene:DRNTG_01509 transcript:DRNTG_01509.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNIWSRLSTTKLLFPFFCSSNRTEDDNRFSLSKDGLRPSWKCFKYDEISRATIDFHQDNIVGKGGFAEVYKGTLNNGKNVAIKRLAKGNNAGEHKEKMFLIELGILGHVFHPNTAYLVGCCIENGLYLIFDFYPNGSLSSALHGRNPNNLEWHLRYKIALGVARGLHYLHKCCRRRIIHRDIKASNVLLGPDFEPQISDFGLAKWLPKQWTHHSVLPIEGTFGYLAPEYFMHGIVDEKTDVFAYGVLLLEIITGRKPIDSSKQSLLLWAKPLITRGKIAQMADPNLKGKYDKDQLEKLVLVASYCVRQSAVWRPTMNEVLELLMDEEDIKCTKEEDEVMQA >DRNTG_01509.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:13988023:13991463:1 gene:DRNTG_01509 transcript:DRNTG_01509.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLANYAKICQAKQVQLEAKVRTSSSISKGLIEEALLIEANFLLVGGGCSKNSSQRKSFEITNYCFKHAPEGCLVIAIGRQGMPQNQTDMACVTCEGNNNSVSSRWTGRDGNNLVKALFPLQKPLGSKLRKDDKCSGRHCLLEITDEKGSPRGVLEECSSHSSNEINGKHHNNNNNKFMNIWSRLSTTKLLFPFFCSSNRTEDDNRFSLSKDGLRPSWKCFKYDEISRATIDFHQDNIVGKGGFAEVYKGTLNNGKNVAIKRLAKGNNAGEHKEKMFLIELGILGHVFHPNTAYLVGCCIENGLYLIFDFYPNGSLSSALHGRNPNNLEWHLRYKIALGVARGLHYLHKCCRRRIIHRDIKASNVLLGPDFEPQISDFGLAKWLPKQWTHHSVLPIEGTFGYLAPEYFMHGIVDEKTDVFAYGVLLLEIITGRKPIDSSKQSLLLWAKPLITRGKIAQMADPNLKGKYDKDQLEKLVLVASYCVRQSAVWRPTMNEVLELLMDEEDIKCTKEEDEVMQA >DRNTG_01509.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:13987649:13991463:1 gene:DRNTG_01509 transcript:DRNTG_01509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIDGCVLSITKILVGVSLEVHRSNELLSWAVGVAAHPNDIIVALHVLVDKDEKKLEKSNLQRAKTSVINMLANYAKICQAKQVQLEAKVRTSSSISKGLIEEALLIEANFLLVGGGCSKNSSQRKSFEITNYCFKHAPEGCLVIAIGRQGMPQNQTDMACVTCEGNNNSVSSRWTGRDGNNLVKALFPLQKPLGSKLRKDDKCSGRHCLLEITDEKGSPRGVLEECSSHSSNEINGKHHNNNNNKFMNIWSRLSTTKLLFPFFCSSNRTEDDNRFSLSKDGLRPSWKCFKYDEISRATIDFHQDNIVGKGGFAEVYKGTLNNGKNVAIKRLAKGNNAGEHKEKMFLIELGILGHVFHPNTAYLVGCCIENGLYLIFDFYPNGSLSSALHGRNPNNLEWHLRYKIALGVARGLHYLHKCCRRRIIHRDIKASNVLLGPDFEPQISDFGLAKWLPKQWTHHSVLPIEGTFGYLAPEYFMHGIVDEKTDVFAYGVLLLEIITGRKPIDSSKQSLLLWAKPLITRGKIAQMADPNLKGKYDKDQLEKLVLVASYCVRQSAVWRPTMNEVLELLMDEEDIKCTKEEDEVMQA >DRNTG_32231.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10085573:10087533:-1 gene:DRNTG_32231 transcript:DRNTG_32231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKWLAIPSTSGEVEIDVSEWFQTVTEDAITRTAFGRSYDDGKAVFQLQSRQMVFAAEAFRKVFIPGYRFLPTKRNTRSWRLDKEIKKDLGGLIEKRKAKRRCENGGGGDVDDDEVCPKDLLGQMINARDGITDHDIVEECKTFFFAGKQTTSNLLTWTTVLLAMHPDWQDLARQEVLRVCGSRDIPTRDHLSKL >DRNTG_14301.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000666.1:11176:11552:1 gene:DRNTG_14301 transcript:DRNTG_14301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTDRLRTMRTKTAPANQRRLKNFPGF >DRNTG_10592.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7343752:7344893:1 gene:DRNTG_10592 transcript:DRNTG_10592.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPKLFFVHRERDPLVVGRVIGDVLDPFTRTVPLRVIYNSREITNGCELRPSAVFDQPRVEVGGTELRDFYTLVMVDPDAPSPSDPSIREYLHWLVTDIPGTTAASFGQEIVSYESPSPSLGIHRFVFVLFQQFGRQTVYAPGWRQSFNTRDFAELYNLGAPVAAVYFNCQRESGSGGRRTTV >DRNTG_31276.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001684.1:12422:13388:1 gene:DRNTG_31276 transcript:DRNTG_31276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKDTEIMMKAMAIEAIMLMLIIFIGGAMAKSPSVIIVGAGMSGISAAKTLSDAGITDLTILEATGRIGGRMYKVPFAGLQVEKGANWVEGVGGKELNPMWKMAQELHLRNFESNYDNISANCYKENGGLYEEKVVIDGLETVAKVEKSGEKLSSSLPSSGFEDISLLTMQRLMK >DRNTG_07390.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:22469936:22482518:-1 gene:DRNTG_07390 transcript:DRNTG_07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASVEAYPIPDEGILGQQVDNFPQYHRGASGIITSTNPCTCGIYARGPCQRATLSKAGG >DRNTG_32772.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18940370:18943193:-1 gene:DRNTG_32772 transcript:DRNTG_32772.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAVSVRFCLHETSFCFVCSHLASGGKEGDAMHRNSDAMEIFSRTSFPSGPCLDLPQKILDHDRILLLGDLNYRISLSDEETRSLVEQKEWSILLENDQLNMEVYKGRVFEGWQEGAITFSPTYKYYPNSDEYYMHNQGRKGEKRRSPAWCDRILWHGKGFKQNRYERCESRLSDHRPVRAIFTVEVEV >DRNTG_32772.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18940370:18943193:-1 gene:DRNTG_32772 transcript:DRNTG_32772.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAVSVRFCLHETSFCFVCSHLASGGKEGDAMHRNSDAMEIFSRTSFPSGPCLDLPQKILDHDRILLLGDLNYRISLSDEETRSLVEQKEWSILLENDQLNMEVYKGRVFEGWQEGAITFSPTYKYYPNSDEYYMHNQGRKGEKRRSPAWCDRILWHGKGFKQNRYERCESRLSDHRPVRAIFTVEVEV >DRNTG_32772.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18940370:18943193:-1 gene:DRNTG_32772 transcript:DRNTG_32772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHRLVSNKLLRRSSGTGCFVADFPATEEFIKVTSSNPPASSNTSSTTQESTINYKLFVSTWNVGGVPPSDDLNLEDWLDTRGNSYDIYVLGFQEIIPLSAKNVLCAEKNSIASKWNSLIRTALNKPIVNPQGNEDPSLGEKQKIYPDKVGGSSKGSITQDFQCLLSKQMVGILISVWVRSDLLCHIRHPSVSCIGCGVMGFLGNKGAVSVRFCLHETSFCFVCSHLASGGKEGDAMHRNSDAMEIFSRTSFPSGPCLDLPQKILDHDRILLLGDLNYRISLSDEETRSLVEQKEWSILLENDQLNMEVYKGRVFEGWQEGAITFSPTYKYYPNSDEYYMHNQGRKGEKRRSPAWCDRILWHGKGFKQNRYERCESRLSDHRPVRAIFTVEVEV >DRNTG_29450.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:464697:473488:-1 gene:DRNTG_29450 transcript:DRNTG_29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTLHTNLGDIKCEIACDEVPKASENFLALCASGLL >DRNTG_01001.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12774719:12775648:1 gene:DRNTG_01001 transcript:DRNTG_01001.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDPMTHKPISNTISSSTHDHDQVPQEQAQHERQASSSPEANVQKSKEKCSQCSKSITEDNDTNYNEDRISDEQLCDQSASCFFSTDDVPMIEAHEIIVNPKGSSCSTPSSSYGGMVEENQFQDFDWPETTSFWGVDDFTGLDFNCGDPFNLIQRAVLDQESWMFEAF >DRNTG_01001.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12774228:12775648:1 gene:DRNTG_01001 transcript:DRNTG_01001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTTEEDKKLISFILTNGHCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSDSEEKLVIDLHAQLGNRWSKIASQLPGRTDNEIKNHWNTHIKKKLRKMGIDPMTHKPISNTISSSTHDHDQVPQEQAQHERQASSSPEANVQKSKEKCSQCSKSITEDNDTNYNEDRISDEQLCDQSASCFFSTDDVPMIEAHEIIVNPKGSSCSTPSSSYGGMVEENQFQDFDWPETTSFWGVDDFTGLDFNCGDPFNLIQRAVLDQESWMFEAF >DRNTG_08234.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000373.1:19283:24590:1 gene:DRNTG_08234 transcript:DRNTG_08234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGASFGIDRKFCLNHTRAGYLIIVATHQTNHLCSLFDQINGTGLAEDDQEPKVVRSVGVELDVYDVAKAHDIYHDPSFKTRTWYYPSHNVTLYVIWSPFLIHYETVFNHGDASQIHLHLHLDILDSKWTSEYNNYDYVVISIAPHFFKSSIIYENNQVIGCHHCPRLSLKNFATDELYRKALHFSLNFIAKSKHKTFFILKTWSPNHSEKGELPNEIICNKTRPFREEEIPYNFGTIMRGVEVEEFEKAVAIGEGNMMRMELLDTYHLSLLRPDGHPGPYGTFHPFDGDKKKKVKIDCLHWCLPGPIDTWNELLLKIVINGDANESIVSTSL >DRNTG_06456.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:11332392:11343092:1 gene:DRNTG_06456 transcript:DRNTG_06456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVPNSILFYRLLNEPPYANEFEVLRANLSNSKRSDSNMRITSLLAFHVQCYKELLSYRYRIESHPPIPPKPVNRAIERGALFKIARSCKKARSSLIHQKEASSFDEARKVGGGRGVALIAQVALYFMVECEKEFLLFSDNLIGMMLSLCVKEERPKDTLLELIDEYVREMFNPDPYEGLFDQEESNEEVMMLGSTEEVTSTPGILKKVLPKMKRARRRNQKRSKTVGDIKEPRKLDEPLLGGPKPDSTPYTIK >DRNTG_03989.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25691217:25691308:1 gene:DRNTG_03989 transcript:DRNTG_03989.1 gene_biotype:protein_coding transcript_biotype:protein_coding NERKTSKQQIKFFSSQPKIKITTKQHRCLK >DRNTG_32526.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1337093:1350991:1 gene:DRNTG_32526 transcript:DRNTG_32526.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calpain-type cysteine protease family [Source:Projected from Arabidopsis thaliana (AT1G55350) UniProtKB/TrEMBL;Acc:F4I0A4] MAFLWSLHAEDMVMTSRPRKYGFIAWLLSTCVGLLLSFLSKSSVILGLALTVPLMVASLSVAIPLWIRNGYCFWVSRGERERRGDNHQSLGTKEGLLFVASIVLFIGSVISLGAIVSAKPLDDLGYKGWNGDQKSSYSPYATSMYLGWAIASAIALFITAVLPIVSWFATYRFSFSSAVCVCLFTIVLVVFCGASYWGVVNTREGRNPMKADFLSALLPLVCIPAIFSLFTGLYKWKDDDWKLSRGVYVFIGIGIMLLLGAISAVTVIIRPWTVGVAFLLVILLVVLAIGVIHYWASNNFYLTRAQMFFVCFLAFILALAAFLVGLFEEKPFVGASVGYFSFLFLLAGRALTVLLSPPIVVYSPRVLPVYVYDAHADCAKNVSHAFLILYGIALATEGWGVVASLKIYPPFAGAAVSAITLVVSFGFAVSRPCLTLKMMEDAVHFLNKDTLVQAIARSATKTRNALSGTYSAPQRSSSSAALLIGDPTISRDRAGNFVLPRADVMKLRDRLRNEEIAAGLLFSRMRTGLTYRHETSSDVDYRRKMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAERVQDEVRLRLFLDSIGFSDLSANKIKKWLPEDRRQFEIIQESYIREKEMEEEILMQRREEEGKGKERRKALLEKEERKWKELETSLLSSIPNAGSRDAVAMAAAVRAVGGDSVLDDSFARERVSSIARRIRTTQLARRAEQTGLPGAICVLDDEPRTTGKHCGQVDPSLCHSQKVSFSIAAMIQPESGPVCLLGTEFQKVCWEILVAGSEQGIEAGQVGLRLVTKGERLTTVAKEWCIGAACIADGRWHVLTVTIDAELGETTSYLDGNFDGYQSGLPFQGSCSIWEEGTDVWIGARPPTDLDAFGRSDSEGAGSKMQIMDAYLWGRCLTEDEIAAFHAATSPAEYDLIDLPEDGWHVDGSPSRVYEWDSEEADVELYDREDVDWDGQYSSGRKRRSGREGIALDMDAFARKLRKPRFETQEEINQRMISVEMAVKEALVSSGETQFTDQEFPPTERSLFVDPGNPPPKLQVVSEWMRPNDIVKVNCLGSRPCLFSGSINSSDVCQGRLGDCWFLSAVAVLTEVSRISEIIITPEFNEEGIYTVRFCIQGDWVPVVVDDWIPCESLGKPAFATSRNGNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSSQAQIDLASGRLWSQLLHFKREGFLLGAGSPSGSDVHVSSSGIVQGHAYSLLQVREVDGHKLVQIRNPWANEVEWNGPWSDSSPEWTDRMKHKLKHVPQSKDGIFWMSWQDFQIHFRSIYICRVYPPEMRYSVHGQWRGYSAGGCQDYDSWHQNPQFRLKATGPEASFPIHVFITLTQGVSFSRKTTGFRNYQSSHDSMMFYIGMRILKTRGRRAAYNIYLHESVGGTDYVNSREISCELVLEPYSKGYTIVPTTIQPGEEAPFVLSVFTKAPITLEAI >DRNTG_32526.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1332615:1350991:1 gene:DRNTG_32526 transcript:DRNTG_32526.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calpain-type cysteine protease family [Source:Projected from Arabidopsis thaliana (AT1G55350) UniProtKB/TrEMBL;Acc:F4I0A4] MDEDEHHRLVLACSLCGALFSVLSPLSFSVLWAVNWRPWRIYSWIFARKWAEFVQGPQLSAICSFLALFAWIVVLSPILVVITWGGILTALLNRDIIGLAVIMAGTALLLAFYSIMLWWRTQWQSSRAVAFLLLLAVALLCAYELCAVYVTAGTSASQRYSPSGFFFGVSAIALAINMLFICRMVFNGAGFDVDEYVRRSYKFAYSDCIEVGPLACLPEPPDPHELYTQKYSRAFHLGSLYLCSLLVLLVYSILYGLTAKESHWLGAITSAAVIVLDWNIGACLFGFELLKSREVALFVAGTSRIFLICFGVNYWYLGHCISYAVVASVLLGAAVSRRLSVLNPLDARRDALRSTVIRLREGFRRKGQNSSSSSSEGCGSSVKRSSSSVEAGQHGSAIEALCRSNSRCVGDGSSWHNVLLGRSTSCHEGGSGDKNADGGGLSLAFRSNSCRSVVQDAEVAGNFVDRHLDHNGSLTLCSSSGLESQGGESTISCAAASANPLVLDLNLTLVLQDRLNDPRIASMLKNKAGLGDHELANLLQDKGLDPNFAYMLKEKGLDPRILALLQRSSLDADRDRQETADVAVTDSDRVDATVPNQISLSEELRRRGLEKWLNFSRFILHQIAGTPERAWVLFSLVFILETVIVAVFRPKSVKIINATHEQFEFGFSILLLSPVVCSIMAFLWSLHAEDMVMTSRPRKYGFIAWLLSTCVGLLLSFLSKSSVILGLALTVPLMVASLSVAIPLWIRNGYCFWVSRGERERRGDNHQSLGTKEGLLFVASIVLFIGSVISLGAIVSAKPLDDLGYKGWNGDQKSSYSPYATSMYLGWAIASAIALFITAVLPIVSWFATYRFSFSSAVCVCLFTIVLVVFCGASYWGVVNTREGRNPMKADFLSALLPLVCIPAIFSLFTGLYKWKDDDWKLSRGVYVFIGIGIMLLLGAISAVTVIIRPWTVGVAFLLVILLVVLAIGVIHYWASNNFYLTRAQMFFVCFLAFILALAAFLVGLFEEKPFVGASVGYFSFLFLLAGRALTVLLSPPIVVYSPRVLPVYVYDAHADCAKNVSHAFLILYGIALATEGWGVVASLKIYPPFAGAAVSAITLVVSFGFAVSRPCLTLKMMEDAVHFLNKDTLVQAIARSATKTRNALSGTYSAPQRSSSSAALLIGDPTISRDRAGNFVLPRADVMKLRDRLRNEEIAAGLLFSRMRTGLTYRHETSSDVDYRRKMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAERVQDEVRLRLFLDSIGFSDLSANKIKKWLPEDRRQFEIIQESYIREKEMEEEILMQRREEEGKGKERRKALLEKEERKWKELETSLLSSIPNAGSRDAVAMAAAVRAVGGDSVLDDSFARERVSSIARRIRTTQLARRAEQTGLPGAICVLDDEPRTTGKHCGQVDPSLCHSQKVSFSIAAMIQPESGPVCLLGTEFQKVCWEILVAGSEQGIEAGQVGLRLVTKGERLTTVAKEWCIGAACIADGRWHVLTVTIDAELGETTSYLDGNFDGYQSGLPFQGSCSIWEEGTDVWIGARPPTDLDAFGRSDSEGAGSKMQIMDAYLWGRCLTEDEIAAFHAATSPAEYDLIDLPEDGWHVDGSPSRVYEWDSEEADVELYDREDVDWDGQYSSGRKRRSGREGIALDMDAFARKLRKPRFETQEEINQRMISVEMAVKEALVSSGETQFTDQEFPPTERSLFVDPGNPPPKLQVVSEWMRPNDIVKVNCLGSRPCLFSGSINSSDVCQGRLGDCWFLSAVAVLTEVSRISEIIITPEFNEEGIYTVRFCIQGDWVPVVVDDWIPCESLGKPAFATSRNGNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSSQAQIDLASGRLWSQLLHFKREGFLLGAGSPSGSDVHVSSSGIVQGHAYSLLQVREVDGHKLVQIRNPWANEVEWNGPWSDSSPEWTDRMKHKLKHVPQSKDGIFWMSWQDFQIHFRSIYICRVYPPEMRYSVHGQWRGYSAGGCQDYDSWHQNPQFRLKATGPEASFPIHVFITLTQGVSFSRKTTGFRNYQSSHDSMMFYIGMRILKTRGRRAAYNIYLHESVGGTDYVNSREISCELVLEPYSKGYTIVPTTIQPGEEAPFVLSVFTKAPITLEAI >DRNTG_02219.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1200537:1202537:1 gene:DRNTG_02219 transcript:DRNTG_02219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKSGAKYQQKVGFPSMDVSSLELGHTGGSKFMDDDGRAKRTGNVWTASAHIITAVIGSGVLSLAWAIGQLGWIAGPIVMFLFGFVTYYTSSLLSDCYRTGDPISGKRNYTYMDAVHAYLGGFKVKLCGWIQYLNIFGVAIGYTIAASISMMAIERSNCFHKNGDTSPCPASSNMYMIIFGITEILFSQIPDFDQIWWLSIVAAIMSFTYSTIGLALGIIQVIANGGVKGSLTGISIGTVTEMEKIWRSLQAFGDIAFAYSYSIILIEIQDTIKSPPPSETKVMKRATLISVGVTTLFYLLCGCTGYAAFGDLAPGNLLTGFGFFNPYWLLDIANAAIVIHLVGAYQVYCQPLFAFVEKWAVQTWPDLEFITKEISVSLPGSRTFNLNLFRLVWRTIFVVITTIISMLLPFFNDVVGFLGALGFWPLTVYFPIEMYIVQKKVEKWSTRWVCFQMLSLACLVITIAAAAGSIAGVITDLQVYKPFKTTY >DRNTG_08981.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10438434:10439224:1 gene:DRNTG_08981 transcript:DRNTG_08981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHQRVGNTHSRVPSPQGLPHAPVASLSNREKSLSVSHTSVEIPHRRGHSQDQLTGQAHTPVTSWDGESSSTEIHKGMQQLPTPVRFSQGRP >DRNTG_34675.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28793897:28796092:-1 gene:DRNTG_34675 transcript:DRNTG_34675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEGEEKREKGVDYDASLLMSAWKIPVDYKVYKGRLGGDLTVNDAYGNLVYRVSGRSFSSSPRSIKTLFDASGTPLISAAFLDSGWQGFKGNSWDSKDLVFTAQKSVYSAFKTEIIISMADGSVEDPKLTYRLIGSPFFRSCTIYQENSIVAQTNLLYKLKKVIYSRQKFRLTVYPEVDHVLVLAMLIIFFGGY >DRNTG_33466.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4441738:4446727:-1 gene:DRNTG_33466 transcript:DRNTG_33466.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSSTQIREARDAAEKAQQLLQNVSNRKKNKQFEKGGLVITRAVYGNREAIKRSGEQTERNGVKKSGIMGFCDVCPGEPKQLLVEYTYGGQKFEVIVDDTQELLIPQDRHRI >DRNTG_17003.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19998876:20000870:1 gene:DRNTG_17003 transcript:DRNTG_17003.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKERPLSSTIADSVILYTSIRCELIKSAHRNWSAAMIKSVIMMTADTLDGAGLPIAENSSNGYSKANFFTMLMVMPS >DRNTG_17003.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19998876:20002425:1 gene:DRNTG_17003 transcript:DRNTG_17003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERPLSSTIADSVILYTSIRCELIKSAHRNWSAAMIKSVIMMTADTLDGAGLPIAENSSNGYSKANFFTMLMVMPS >DRNTG_17003.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19998876:20002425:1 gene:DRNTG_17003 transcript:DRNTG_17003.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKERPLSSTIADSVILYTSIRCELIKSAHRNWSAAMIKSVIMMTADTLDGAGLPIAENSSNGYSKANFFTMLMVMPS >DRNTG_10552.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3235463:3244370:1 gene:DRNTG_10552 transcript:DRNTG_10552.3 gene_biotype:protein_coding transcript_biotype:protein_coding ERNKFGIEGRRKFSPERFLFLNLCSAEQEEQEARKQGSKKERLRRKKEKNGLEASLPRA >DRNTG_10552.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3235463:3236841:1 gene:DRNTG_10552 transcript:DRNTG_10552.7 gene_biotype:protein_coding transcript_biotype:protein_coding DTTRNINTRTTYKVKSSGSIKGHAYYNKPKQEVQIDHSTAAQHNSVQRRSRTRRLVPRRPY >DRNTG_10552.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3235463:3244370:1 gene:DRNTG_10552 transcript:DRNTG_10552.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERNKFGIEGRRKFSPERFLFLNLCSAEQEEQEARKQGSKKERLRRKKEKNGLEASLPRA >DRNTG_10552.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3242432:3244370:1 gene:DRNTG_10552 transcript:DRNTG_10552.6 gene_biotype:protein_coding transcript_biotype:protein_coding ERNKFGIEGRRKFSPERFLFLNLCSAEQEEQEARKQGSKKERLRRKKEKNGLEASLPRA >DRNTG_10552.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3235463:3244370:1 gene:DRNTG_10552 transcript:DRNTG_10552.2 gene_biotype:protein_coding transcript_biotype:protein_coding ERNKFGIEGRRKFSPERFLFLNLCSAEQEEQEARKQGSKKERLRRKKEKNGLEASLPRA >DRNTG_13860.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20594506:20596567:-1 gene:DRNTG_13860 transcript:DRNTG_13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAARVSQEMGVKLGHEVRLAILKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKVS >DRNTG_13860.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20594506:20595520:-1 gene:DRNTG_13860 transcript:DRNTG_13860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAARVSQEMGVKLGHEVRLAILKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKVS >DRNTG_24104.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27196693:27197651:1 gene:DRNTG_24104 transcript:DRNTG_24104.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQKACKIPHPRKHEEKVEEEIKKNLHLDILQL >DRNTG_06891.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14061565:14061769:-1 gene:DRNTG_06891 transcript:DRNTG_06891.1 gene_biotype:protein_coding transcript_biotype:protein_coding QSAIAIAKNPVHHDRTKHVEIDRHFISEKIEDKTISLNYVPTHHQIADILTKGLHRPNFQDL >DRNTG_17075.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000871.1:3578:4515:1 gene:DRNTG_17075 transcript:DRNTG_17075.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQRPRTRSGAVAPAVEPTTAPPRGGRRGVPSPPSSPSPPRQEANSRHAPAVAASVHGPRVPEPVPQTPVPELAPSPEAMQAFRAYWDNQDRQPTYQEFRDFMSFWSMYGEDVPTAQPHASAPPRMQSVPLVPAEDSRSSQSLFLSKLLKEARQLGCSSFDGTSDAMVAKEWLKRVIATFDDMVLEEDLRLKVATRLLEGRARVWWESLKGRSRVVLSWSDFQREFDEEYYTRFHR >DRNTG_17075.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000871.1:3176:4515:1 gene:DRNTG_17075 transcript:DRNTG_17075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQRPRTRSGAVAPAVEPTTAPPRGGRRGVPSPPSSPSPPRQEANSRHAPAVAASVHGPRVPEPVPQTPVPELAPSPEAMQAFRAYWDNQDRQPTYQEFRDFMSFWSMYGEDVPTAQPHASAPPRMQSVPLVPAEDSRSSQSLFLSKLLKEARQLGCSSFDGTSDAMVAKEWLKRVIATFDDMVLEEDLRLKVATRLLEGRARVWWESLKGRSRVVLSWSDFQREFDEEYYTRFHR >DRNTG_07044.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3725138:3728546:-1 gene:DRNTG_07044 transcript:DRNTG_07044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRSRLAWSSAMTLLFLAFFLVFSLSSADEGAFIGVNIGTALSDMPSPTQVVALLKSQQIRHVRLYDADAGMLAALANSGIRVTVTVPNEQLLGVGQSNATAANWVTRNVLAHVPAVNITAIAIGSEVLTSLPNAAPVLVSALRFIHSALVAANLDRQIKVSTPHSSSIILDSFPPSQAFFNRSWEPVLVPMLKFLQSTNSYLMLNVYPYYDYMQSNGVVPLDYALFRPLPPNKEAVDANTLLHYTNVFDAVVDAAYFAMTSLNITNVPVVVMESGWPRKGDANEPDATVDNADTYNSNLIRHVLNNTGTPKHPGVPVSTYIYELYDEDLRPGALSEKYWGLFDANGVPVYTLHLTGSGLVLANDTTNQTYCIAKEGADSKMLQAALDWACGPGKVDCSAIVQGQPCYDPDTVEAHATYAFNAYYHQMGMGTGTCYFNGVAAITTTDPSHDTCLFPGSGGKNGTFVNGTSLAPSSNSTSTSVSSSSRCYGLMTAFKVIGVLLSSMILL >DRNTG_11448.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19960556:19964153:-1 gene:DRNTG_11448 transcript:DRNTG_11448.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLANIKIPSRSLLPLLSAARRYSLSGAGSSGAENRAEKLNRIADELLDLNKIERQDYSILFRLKLGLDRWGAVTDVPPAVGGAGSPDSVAAATEVKEKTAFDVKLEKFDAAAKIKVIKEVRAFTDLGLKEAKEIVEKAPVVVKKGVTKEEAEAIAAKLKEVGATVVLE >DRNTG_11448.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19963080:19964153:-1 gene:DRNTG_11448 transcript:DRNTG_11448.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLANIKIPSRSLLPLLSAARRYSLSGAGSSGAENRAEKLNRIADELLDLNKIERQDYSILFRLKLGLDRWGAVTDVPPAVGGAGSPDSVAAATEVKEKTAFDVKLEKFDAAAKIKVIKEVRAFTDLGLKEAKEIVEKAPVVVKKGVTKEEAEAIAAKLKEVGATVVLE >DRNTG_11448.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19959645:19964153:-1 gene:DRNTG_11448 transcript:DRNTG_11448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLANIKIPSRSLLPLLSAARRYSLSGAGSSGAENRAEKLNRIADELLDLNKIERQDYSILFRLKLGLDRWGAVTDVPPAVGGAGSPDSVAAATEVKEKTAFDVKLEKFDAAAKIKVIKEVRAFTDLGLKEAKEIVEKAPVVVKKGVTKEEAEAIAAKLKEVGATVVLE >DRNTG_07491.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3884570:3885375:-1 gene:DRNTG_07491 transcript:DRNTG_07491.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDEGDSKGAAARRWLESMVAKPPSQPSSSSTSRVVGDGGFFNALTLWDLRVSLTHAGRALCSFRVPRHLTDSDGNWIPGAMSTVIDLVGAAAILSEKGELRVSADMDITYLSPAKIDDEVEVDARVLGHKGRVSAVLVELRKKRNGERVALGKLWMASGRPLIKSSKL >DRNTG_12812.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000573.1:62229:82213:1 gene:DRNTG_12812 transcript:DRNTG_12812.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVCPTHRGSRTPLWHLQRDKRTLLCLLRMERAPLQSFGWACRNYSRT >DRNTG_19003.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22642783:22643928:1 gene:DRNTG_19003 transcript:DRNTG_19003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGILEVQLIDAKGLHDTALLGEMDPYVMIQYRNQEQKSSVAKDQGTNPSWNETFKFQVQNAEAAEHHQKLVLRIMDKDIFNADDFVGQATINVADVISLGVEKGNGELHPCKYSVVLADRTYCGEIRVGVKFTLKMEEEITEEFGGWKHSFGH >DRNTG_24864.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20161531:20162355:1 gene:DRNTG_24864 transcript:DRNTG_24864.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVFRNKVWILCNDCNSTSEVVFHIIGHKCSRCGSYNTRNTAKPSLP >DRNTG_24864.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20158437:20162355:1 gene:DRNTG_24864 transcript:DRNTG_24864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSGVEFPKDFGKLEFGCLHYKRRCKIRAPCCGEVFTCRHCHNEATSSHDRHEIQRHDVERVICAVCKTEQPAVQVCSSCGVNMGEYFCSICKFYDDDITKGQYHCDDCGICRVGGKDKFFHCRTCGSCYSTQLLDNHSCVENSMKQQCPICCEYLFDSLKGTHIMVCGHTIHADCFKELLNHNKYTCPICSKSAIDMSENWRLLDLEVEATVMPEVFRNKVWILCNDCNSTSEVVFHIIGHKCSRCGSYNTRNTAKPSLP >DRNTG_11818.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:314197:317169:-1 gene:DRNTG_11818 transcript:DRNTG_11818.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGCSVSDLAAKLAFFPPSPATYSVKKVNGRLVASGIPRDRSLDVLMVDTKRGNKIVAFFLRNPYAKLTILYSHGNAADLGQLYDLFVQLKVNLRVNLMGYDYSGYGASTGKPSESNTYADIEAIYQCLETEYGISQEDLILYGQSVGSGPTLHLAARLPRLRGVVLHSAILSGLRVLCHMNITLCFDIYKNINKIKKVKCPVLVIHGTDDDVVNWFHGNGLWKLAKEPYEPLWIKGGGHCNLELYPDYIRHLNRFVQEMESTTTASHLKKIRQSLQKTPKTSSKTTNTTFTTNCCCRIRFQLPQCKCCSQTGCLIMRSKRAAYFCNWCCGEN >DRNTG_11818.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:314197:317169:-1 gene:DRNTG_11818 transcript:DRNTG_11818.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGCSVSDLAAKLAFFPPSPATYSVKKVNGRLVASGIPRDRSLDVLMVDTKRGNKIVAFFLRNPYAKLTILYSHGNAADLGQLYDLFVQLKVNLRVNLMGYDYSGYGASTGKPSESNTYADIEAIYQCLETEYGISQEDLILYGQSVGSGPTLHLAARLPRLRGVVLHSAILSGLRVLCHMNITLCFDIYKNINKIKKVKCPVLVIHGTDDDVVNWFHGNGLWKLAKEPYEPLWIKGGGHCNLELYPDYIRHLNRFVQEMESTTTASHLKKIRQSLQKTPKTSSKTTNTTFTTNCCCRIRFQLPQCKCCSQTGCLIMRSKRAAYFCNWCCGEN >DRNTG_11818.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:314197:317169:-1 gene:DRNTG_11818 transcript:DRNTG_11818.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGCSVSDLAAKLAFFPPSPATYSVKKVNGRLVASGIPRDRSLDVLMVDTKRGNKIVAFFLRNPYAKLTILYSHGNAADLGQLYDLFVQLKVNLRVNLMGYDYSGYGASTGKPSESNTYADIEAIYQCLETEYGISQEDLILYGQSVGSGPTLHLAARLPRLRGVVLHSAILSGLRVLCHMNITLCFDIYKNINKIKKVKCPVLVIHGTDDDVVNWFHGNGLWKLAKEPYEPLWIKGGGHCNLELYPDYIRHLNRFVQEMESTTTASHLKKIRQSLQKTPKTSSKTTNTTFTTNCCCRIRFQLPQCKCCSQTGCLIMRSKRAAYFCNWCCGEN >DRNTG_13556.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6630398:6633331:1 gene:DRNTG_13556 transcript:DRNTG_13556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEALAYTVHRMSSEINYKCAIGGDGHATTLAVLQSLSNYTWDGKLVVALAAFALSYGEFWLTTQLHTVNPMAKSLAHLKQLPNILEHTDILKPRFDAINNLINAMLDVTKCIVEFRELPPEYIPHDAPEMAMALAHIPTAVYWTIRGVVACIAQIVGLIGLGHEYMTSTTEAWELSSLAHKVNNIHGHLIKQLNTCQQQIGERKHMEAYQTLVRLFETIHLDNIKILRALMYSKDDLPIIDGITKKRVSVDVLRRKIVMLFISDLDISHEELFVLIQIYNDTHQGRMERHYEIVWLPVVDRHVPWLQSREESFNRLASTMPWYSLVHPSLLDKAVVKYIREMWHF >DRNTG_13556.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6632645:6633331:1 gene:DRNTG_13556 transcript:DRNTG_13556.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVAQDARVPLEMVYVGRSNPKEKVKRAMSVIAAEKLSGYWTDVAMIWFFWVRLESMWHSKMQHGRTVEDDPIMQEVMQILSFDGSEEGWAVISRGSVEVLKSQGKKLLDCLMEYDTWKGTVELEGFIPALGKALLPYQTHEHCTRLILPGETGKFGEKIVCAECKKPMEKYVLYRCCTD >DRNTG_13556.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6630965:6633331:1 gene:DRNTG_13556 transcript:DRNTG_13556.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPQTQLEYVDETTHRAEVVSMLEALAYTVHRMSSEINYKCAIGGDGHATTLAVLQSLSNYTWDGKLVVALAAFALSYGEFWLTTQLHTVNPMAKSLAHLKQLPNILEHTDILKPRFDAINNLINAMLDVTKCIVEFRELPPEYIPHDAPEMAMALAHIPTAVYWTIRGVVACIAQIVGLIGLGHEYMTSTTEAWELSSLAHKVNNIHGHLIKQLNTCQQQIGERKHMEAYQTLVRLFETIHLDNIKILRALMYSKDDLPIIDGITKKRVSVDVLRRKIVMLFISDLDISHEELFVLIQIYNDTHQGRMERHYEIVWLPVVDRHVPWLQSREESFNRLASTMPWYSLVHPSLLDKAVVKYIREMWHF >DRNTG_13556.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6631186:6633331:1 gene:DRNTG_13556 transcript:DRNTG_13556.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLAHLKQLPNILEHTDILKPRFDAINNLINAMLDVTKCIVEFRELPPEYIPHDAPEMAMALAHIPTAVYWTIRGVVACIAQIVGLIGLGHEYMTSTTEAWELSSLAHKVNNIHGHLIKQLNTCQQQIGERKHMEAYQTLVRLFETIHLDNIKILRALMYSKDDLPIIDGITKKRVSVDVLRRKIVMLFISDLDISHEELFVLIQIYNDTHQGRMERHYEIVWLPVVDRHVPWLQSREESFNRLASTMPWYSLVHPSLLDKAVVKYIREMWHF >DRNTG_19421.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000994.1:5475:8975:-1 gene:DRNTG_19421 transcript:DRNTG_19421.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIEPTLPLYLKFTEVKYKVIIKGVTSTRDKEILRGITGSAGPGEVLALMGPSGSGKTTLLSLLGGRISGNITEGIITYNDESYSKSLKSRIGFVTQDDVLFAHLTVKETLTYAALLRLPKTMTKQQKKDRAIDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEILTNPSLLFLDEPTSGLDSTTALRIVQILHDIAAAGKTVVTTIHQPSSRIFHKFDKLILLAKGSLLYYGKASEAMVYFSSIGCNPLISMNPAEFLLDLANNNMIDVSIPSELKDRVHMENLKSDPRSIDRLSSKDVHEYLVEAYETRVACKEKKKLLLPIPINEDVKARVSSPMREWGASWWQQYTILFWRGLKERRYDHLSWMRITQVIATAAILGLLWWHSDSSTPKGLQDQVGLLFFISVFWGFFPVFTAIFTFPQERAMLSKERCVDMYRLSAYFMARTTSDLPLDLILPILFMLIVYFMAGLKLSPGPFFLSLVIVFLDIIAAEGVGLVIGASLMDIKKATTLASVTVMTFMLSGGFFVKRVPVFISWMRYISFNYHTYRLLLKVQYGHVPAYVNVIDQGHGVREVVAMIAMIFGYRLLAYMSLRRMKLQAAA >DRNTG_19421.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000994.1:5475:9770:-1 gene:DRNTG_19421 transcript:DRNTG_19421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESESSGILRTRSEQLGEMDGGGGVKQLKSLSRTASAEMINGSAQHINYNKSSFRKKLANISPGKSRSSGAGNHIRKSRSAQLNYKLDLEDVSSGTALSRASSASLGMSFSFTGFTPPPQDILAHLDNFSDDDTCAGDHASHEADDARRNRMIIEPTLPLYLKFTEVKYKVIIKGVTSTRDKEILRGITGSAGPGEVLALMGPSGSGKTTLLSLLGGRISGNITEGIITYNDESYSKSLKSRIGFVTQDDVLFAHLTVKETLTYAALLRLPKTMTKQQKKDRAIDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEILTNPSLLFLDEPTSGLDSTTALRIVQILHDIAAAGKTVVTTIHQPSSRIFHKFDKLILLAKGSLLYYGKASEAMVYFSSIGCNPLISMNPAEFLLDLANNNMIDVSIPSELKDRVHMENLKSDPRSIDRLSSKDVHEYLVEAYETRVACKEKKKLLLPIPINEDVKARVSSPMREWGASWWQQYTILFWRGLKERRYDHLSWMRITQVIATAAILGLLWWHSDSSTPKGLQDQVGLLFFISVFWGFFPVFTAIFTFPQERAMLSKERCVDMYRLSAYFMARTTSDLPLDLILPILFMLIVYFMAGLKLSPGPFFLSLVIVFLDIIAAEGVGLVIGASLMDIKKATTLASVTVMTFMLSGGFFVKRVPVFISWMRYISFNYHTYRLLLKVQYGHVPAYVNVIDQGHGVREVVAMIAMIFGYRLLAYMSLRRMKLQAAA >DRNTG_10673.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5284944:5285219:1 gene:DRNTG_10673 transcript:DRNTG_10673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQHRPFTAMRVLSSSTLMHDSATDRQRSSSCS >DRNTG_06849.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3967583:3972092:1 gene:DRNTG_06849 transcript:DRNTG_06849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNRAKANFPHNGIDFPFSRPTGRFSNGYNGIDFLAMHVGFKRSPPPFLSLNNTSHETIRAKKGINFASGGSGILDSTGDTNSSITMTKQIQYFSTIRSQVLRQLTNQQIDKLFSKSLFLTSSGGNDIFAYFSQNKFSNVTEKKQFISTLTMKYEDHLKKLYDLGGRKFGIVDVPPIGCCPYSRSLNPTGGCLDTLNELAREFNRALKIVMNKLSSTLAGMKYSIGSSNAVIMNIVNDPGALGFNETKTACCGSGKFNAESGCTPNATLCSDRRSHLFWDLLHPTHATAKVFWTCSLQWPRIIC >DRNTG_12440.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19623096:19623999:1 gene:DRNTG_12440 transcript:DRNTG_12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLPSRTVNLINIHYTHMDAQFDTSCKPVKFNGKVNFRRWKHQAEFWLYTLGVSTALTLSSDFDSSDLDPSGLRRLSISTVDQTSSSLAPSKTPKEIDFHCRHRILSILYDRLYDKYFDYKTAKDIWSALEKEYGPDNATTISHLSIEFENYKMVDGVEMGELIHTFQDYLSAIEKCGIVYNESHIVSILLNK >DRNTG_11548.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15900740:15901025:-1 gene:DRNTG_11548 transcript:DRNTG_11548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGEGDAFLVLVERQMKNFTSNYGPQHLAAHGVSRSILEMNGEVVEHAEPHIGSPQCSRKPLTLSRLLCR >DRNTG_22820.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14865346:14865674:1 gene:DRNTG_22820 transcript:DRNTG_22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDADLPPPAQEPPPVHIFSPPRAHDHFERLESVVGVWGIPESFD >DRNTG_23940.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15670644:15712676:1 gene:DRNTG_23940 transcript:DRNTG_23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter A family member 1 [Source:Projected from Arabidopsis thaliana (AT2G41700) UniProtKB/Swiss-Prot;Acc:Q84M24] METISLEMKQQELDGRCLQVRNLHKVYMTKKGKCCAVNALQLTLYENQILALLGHNGAGKSTTISMLIGLLPPTSGDALFFGKNIRTDMDEIRKMLGVCPQNDILFPELTVKEHMEIFAILKGVSEDDLDRQVIEMVDEVGLVDKLNTVVGALSGGMKRKLSLGIALIGNSKVIILDEPTSGMDPYSMRSTWQLIKKIKKGRIILLTTHSMDEADVLGDRIAIMANGHLRCCGSSLFLKHRYGVGYTLTIAKTSPTASLAAEIVHRHVPNATRLSDVGTEVSFRLPLTSSSSFENMFREIESCIRKPDNISNCISGYKDDSHGIESYGISVTTMEEVFLRVTGESLDDNEETIYHSNRPVTESMISESSNDGLSKSSAWKFCFGVYAKYLGRMCSAIGRACSLMLATFCSSILYITSRFCSCGIIVGTTFWKHSKALFIKRAISARRDRRTIVFQLLVPALFLLFGLLFLKLKSHPDQYSVTLTTSYFNPLLRGGGGGGPMPFNLSRPIVEKVASHVKGGWIQKEEPRSFKFPDAEKTLADAIKAAGPNLGPVLLSMSEYLITSLNESYQSRYGAVVMDDQNEDGSLGFTVLHNNSCQHAAPTYINVVNSAILRLATENENMTIQTRNHPLPLTMSQHLQRHDLDAFSAAIIVNIAFSFIPASFAVAIVKEREVKAKHQQLISGVSILSYWASTYLWDFISFLFPTSFAIILFYIFDLNQFIGKDSFMPTITLFLEYGSAIASSTYCLTFFFSDHSIAQNVVLLVHFLSGLILMVFSFLLGLIESTKRANTLLKNFFRLFPGFCFADGLASLALRRQEMKRGSGSGSLDWNVTGASIVYLAMESIVFFLLTLALEFLPHHKICFAKFKEWWHKNGSTKHDISQSSTQPLLGSFANEAYSMSDEDVDVRVERQRILSGSADNAVINLHNLRKVYPNGRNHLAKVAVHSLTFSVQAGECFGFLGTNGAGKTTTLSMLTGEEYPSDGTAYIFGNDIRAHPKTARRHIGYCPQFDALLEFLTVREHLELYARIKAVPEFSIKDVVDEKLTEFDLRKHADKPAFSLSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPVAKRFMWEVISRLSTRRGKTAVILTTHSMNEAQALCTRIGIMVGGQLRCIGSPQHLKTRFGNHLELEVKPTEVSSIESNNLCKRIQESLFDVPCHTRSLLGDLEICIGVSATLPSDNVAEISLTREMIVLMARWLGNEKIVQTLVSSTPALDGISEEQLSEQLTRDGGIPLRIFSEWWLSKEKFLLIDSFILSSFPGATFHGCNGLSVKYQLPYGEGSSLADIFGHLEHHRERLKIAEYSISQSTLETIFNHFAAAQ >DRNTG_23940.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15677586:15712676:1 gene:DRNTG_23940 transcript:DRNTG_23940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter A family member 1 [Source:Projected from Arabidopsis thaliana (AT2G41700) UniProtKB/Swiss-Prot;Acc:Q84M24] METISLEMKQQELDGRCLQVRNLHKVYMTKKGKCCAVNALQLTLYENQILALLGHNGAGKSTTISMLIGLLPPTSGDALFFGKNIRTDMDEIRKMLGVCPQNDILFPELTVKEHMEIFAILKGVSEDDLDRQVIEMVDEVGLVDKLNTVVGALSGGMKRKLSLGIALIGNSKVIILDEPTSGMDPYSMRSTWQLIKKIKKGRIILLTTHSMDEADVLGDRIAIMANGHLRCCGSSLFLKHRYGVGYTLTIAKTSPTASLAAEIVHRHVPNATRLSDVGTEVSFRLPLTSSSSFENMFREIESCIRKPDNISNCISGYKDDSHGIESYGISVTTMEEVFLRVTGESLDDNEETIYHSNRPVTESMISESSNDGLSKSSAWKFCFGVYAKYLGRMCSAIGRACSLMLATFCSSILYITSRFCSCGIIVGTTFWKHSKALFIKRAISARRDRRTIVFQLLVPALFLLFGLLFLKLKSHPDQYSVTLTTSYFNPLLRGGGGGGPMPFNLSRPIVEKVASHVKGGWIQKEEPRSFKFPDAEKTLADAIKAAGPNLGPVLLSMSEYLITSLNESYQSRYGAVVMDDQNEDGSLGFTVLHNNSCQHAAPTYINVVNSAILRLATENENMTIQTRNHPLPLTMSQHLQRHDLDAFSAAIIVNIAFSFIPASFAVAIVKEREVKAKHQQLISGVSILSYWASTYLWDFISFLFPTSFAIILFYIFDLNQFIGKDSFMPTITLFLEYGSAIASSTYCLTFFFSDHSIAQNVVLLVHFLSGLILMVFSFLLGLIESTKRANTLLKNFFRLFPGFCFADGLASLALRRQEMKRGSGSGSLDWNVTGASIVYLAMESIVFFLLTLALEFLPHHKICFAKFKEWWHKNGSTKHDISQSSTQPLLGSFANEAYSMSDEDVDVRVERQRILSGSADNAVINLHNLRKVYPNGRNHLAKVAVHSLTFSVQAGECFGFLGTNGAGKTTTLSMLTGEEYPSDGTAYIFGNDIRAHPKTARRHIGYCPQFDALLEFLTVREHLELYARIKAVPEFSIKDVVDEKLTEFDLRKHADKPAFSLSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPVAKRFMWEVISRLSTRRGKTAVILTTHSMNEAQALCTRIGIMVGGQLRCIGSPQHLKTRFGNHLELEVKPTEVSSIESNNLCKRIQESLFDVPCHTRSLLGDLEICIGVSATLPSDNVAEISLTREMIVLMARWLGNEKIVQTLVSSTPALDGISEEQLSEQLTRDGGIPLRIFSEWWLSKEKFLLIDSFILSSFPGATFHGCNGLSVKYQLPYGEGSSLADIFGHLEHHRERLKIAEYSISQSTLETIFNHFAAAQ >DRNTG_00849.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21570335:21574175:1 gene:DRNTG_00849 transcript:DRNTG_00849.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESEEAALLAIRGLNGKDLKDEIKKLVFFLPCSCKFSEFGKVNSAIVMKDSTGKSKGFGFVSFELPEHAKIAMEAMNGSKLGSGSKILYVRPAQNKAEREKILKLQFGKKLDQPLKKNQGETVYVKNLDISVDDINVLYYNNKVEFFTKTL >DRNTG_00849.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21570335:21574175:1 gene:DRNTG_00849 transcript:DRNTG_00849.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEEAALLAIRGLNGKDLKDEIKKLCKFSEFGKVNSAIVMKDSTGKSKGFGFVSFELPEHAKIAMEAMNGSKLGSGSKILYVRPAQNKAEREKILKLQFGKKLDQPLKKNQGETVYVKNLDISVDDINVLYYNNKVEFFTKTL >DRNTG_00849.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21570335:21574241:1 gene:DRNTG_00849 transcript:DRNTG_00849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEEAALLAIRGLNGKDLKDEIKKLVFFLPCSCKFSEFGKVNSAIVMKDSTGKSKGFGFVSFELPEHAKIAMEAMNGSKLGSGSKILYVRPAQNKAEREKILKLQFGKKLDQPLKKNQASILLFTMVRIILYPRN >DRNTG_17944.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17597176:17605524:-1 gene:DRNTG_17944 transcript:DRNTG_17944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDFFTLTEMKNGLATSARVEELISMMQKQVDIVTSNTGDAARQWSIVAGTLAATDNKDCLHHFVQLNGVILLNRWLQEAIKCSNEAGDSSVEEELVNALLGSLERLPIDNEKSIASGINITVERLLCHKTCNIQERAKILLDQWNCARVDDARCQISDTGDAPSANDATKGSAIHQTSPDGSSSCKPKFEDTALGIVSPDNEHGSKCSNTTQLENAGTLEVPISHVSSPGADVITIDANAVSSDRMGSPHLSTSCMNNLARTGESSVCSAISMASTSTFINIDGVRKVDESNSQLDDGVNDEKQIEVAVNAKGDKEGSHLENCNISSSNISQWKPLKESDDNFDGKESSSCIPKDVVSQVCEIGDDESLKYTRNFNDCQENENEDHSLDCQGLSSKATINREIDVIETNCQLKEAFSSKDDTVIVDGTSNLKVNGVDLKAVEAKLRGVTDRPSDLELECSEIDAVDVAQQDARKVEHEVSNYREPSSRSPEISSGKIEANTFDSLESKEGQPPTKEQEVNGQAIGNDVSERPSSPMEDGLKVSEDLVFDPRKEDMASLKSVPIDKKPAGEVAVCRPDFDLNADVADEENDCSMYPSNKSSVILSAPKAVVASSKGTSGLPVTRLQFEGEMGWRGSAATSAFRPTSSQKTPDSSSGSKQKPAFLGIDLNMTSEDGEAANTTSVKQLPHSGFPSADSSIEVSSRRSEKLNLDLNRLGDEEVSPYSSLNWRPLHKIADRSMSPSSSSSSRVPSTRDFDLNDNPSLLVVVGSQNLNRSSLNTSGTYDGSKTEDPCITIMGSRMTAERKSYADQPSPSHQAFLGGGVNIGTNMATRTMMPYHMPATAYGYNGIGMETAMPIPSALYGIHSTPYLVDSSGVKVIPQLLRTLEQNTSTSGAFHLGVGSDRPGWNMPEASEPALDLNFGMTPMESASRQPGSLKQFFGFLEEQATTSQPESSGMSFKRKEPDSGWDSHPFSYKQVKSSWL >DRNTG_12808.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2003910:2006383:-1 gene:DRNTG_12808 transcript:DRNTG_12808.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTCMVIGDGLLIPAISVFSAVQGLELSLSNVHHQYAVVPITCVIIICLFMLQHYGTHRIGFLFAPIILAWLLCISVIGVYNIFRWNPQIYRALSPYYMFKFLRKTKRGGWESLGGILLCITGSEAMFADLGHFSYSAIQIAFTALVYPALILAYMGQAAYLSKHHEIKTSYMIGFYASVPDDARWPVLLLAILASIAGSQAIISGTFSIINQSYSLGCFPRVKVLHTSKKIHGQIYIPEINWMLMFLCVAVALGFRDTKQIGNASGLAVITVMMVTTCLTSLVMILCWHKPPLLALAFVLAFGSIELLYFSASLVKFLEGAWLPILLAFFLMIIMFVWHYTTMKKYEHDLHNKVSIDWLLALGDTLGIARVPGIGLVYSDVISCVPANFSRFVTNLPAFHKILVFVCIKYVPVPFVSPTERYLVGRVGPPDHRSYRCIVRYGYRDVHQDIDCFETELLTNLAEFITYEGRENMQSIQSANMTDNNGLEIVEMAVREELQELKEAQEAGTAFILGHSHVRVKSGSSLIKKLAINVAYNFLRRNCRGSEVALRVPPASLLEVGMVYVL >DRNTG_12808.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2003910:2006874:-1 gene:DRNTG_12808 transcript:DRNTG_12808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTENGNFFLSSSSKKNSWRSTLILAYQSLGVVYGDLSISPLYVFKSTFAEDISHSYSNVEVFGVLSFVFWTLTLIPLLKYVFIVLRADDNGEGGTFGLYSLICRHANVSLLPNKQAADEELSTYRSECSAEILKNNNNSSRIKIWIENHKKFHVALLFVVMLGTCMVIGDGLLIPAISVFSAVQGLELSLSNVHHQYAVVPITCVIIICLFMLQHYGTHRIGFLFAPIILAWLLCISVIGVYNIFRWNPQIYRALSPYYMFKFLRKTKRGGWESLGGILLCITGSEAMFADLGHFSYSAIQIAFTALVYPALILAYMGQAAYLSKHHEIKTSYMIGFYASVPDDARWPVLLLAILASIAGSQAIISGTFSIINQSYSLGCFPRVKVLHTSKKIHGQIYIPEINWMLMFLCVAVALGFRDTKQIGNASGLAVITVMMVTTCLTSLVMILCWHKPPLLALAFVLAFGSIELLYFSASLVKFLEGAWLPILLAFFLMIIMFVWHYTTMKKYEHDLHNKVSIDWLLALGDTLGIARVPGIGLVYSDVISCVPANFSRFVTNLPAFHKILVFVCIKYVPVPFVSPTERYLVGRVGPPDHRSYRCIVRYGYRDVHQDIDCFETELLTNLAEFITYEGRENMQSIQSANMTDNNGLEIVEMAVREELQELKEAQEAGTAFILGHSHVRVKSGSSLIKKLAINVAYNFLRRNCRGSEVALRVPPASLLEVGMVYVL >DRNTG_32190.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001796.1:4763:22107:1 gene:DRNTG_32190 transcript:DRNTG_32190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGENLGR >DRNTG_16853.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14740227:14746743:1 gene:DRNTG_16853 transcript:DRNTG_16853.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCKISQLLPPSRRKHGGSHEGAMRRPLEVQHCRRGYFPHARIRAPRSKKQADKRPRESSSEPEGMRFVIPEHQVRYERLSRLRFGQTRFLDATILRELQQGDEFADEIEDLVSEGELMRASIVWAPFSSEYLDATIGQYEPGVSKATCLSRPAYRYLHAIMSRSVNGHGDSTGVLSRQELLYLYSMVERVPIYLGHILADYIRHQGQYARLGEIFSGPYITRLVLGMGLLDSIRGVEKMSVLAPLGLETMRLMGMVCIVRTRVFALVLPAPEIAGASQPTPEPQPASMETEAPPATEEPPPERMFSPSRANDRFETLENAIGVVRAKVAEIRATQATQYTEFMARFDILQQILERDVASSFVLQPRTLQAPSVPPAPPSSIPAPEDPLSSAAAAAQEPESDFDT >DRNTG_04956.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3938322:3938849:1 gene:DRNTG_04956 transcript:DRNTG_04956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTWQKMAAMARKISSPRANEHSDFSACSTSSVAEKGHFNVYTLEGKRFMIPLVYLNNVVFKELLKISEEEFGLPGDGPITLTCDAVSMEYVLSMLRRGVSQEVERALLSSIFINTSPSTCSTFSVQNTQQLTICSF >DRNTG_02670.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000122.1:52994:55380:-1 gene:DRNTG_02670 transcript:DRNTG_02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLEEEKFKESVHKLEQESGFFFNIKYFDEKVQAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFSTFNEELFKEITQLLTLENFRENEQLSKYGDTKSARSIMLIELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRQNPDIKTLFTDHTC >DRNTG_21204.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001209.1:14855:20612:-1 gene:DRNTG_21204 transcript:DRNTG_21204.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYNQVWILAQKFNDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAAVPFFSCSGSEFEEMFVGVGARRVRDLFTAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQIMESHMSKVLKADDVDLMIIARGTPGFSGADLANLVNIAALKAAMDGAKAVSMADLEYAKDKIMMGSERKSAVISEESRRLTAYHEGGHALVAIHTDGALPVHKATIVPRGMSLGMVAQLPDKDETSISRRQMLARLDVCMGGRVAEELIFGENE >DRNTG_21204.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001209.1:14855:17602:-1 gene:DRNTG_21204 transcript:DRNTG_21204.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLARAIAGEAAVPFFSCSGSEFEEMFVGVGARRVRDLFTAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQIMESHMSKVLKADDVDLMIIARGTPGFSGADLANLVNIAALKAAMDGAKAVSMADLEYAKDKIMMGSERKSAVISEESRRLTAYHEGGHALVAIHTDGALPVHKATIVPRGMSLGMVAQLPDKDETSISRRQMLARLDVCMGGRVAEELIFGENE >DRNTG_21204.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001209.1:14855:20612:-1 gene:DRNTG_21204 transcript:DRNTG_21204.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLARAIAGEAAVPFFSCSGSEFEEMFVGVGARRVRDLFTAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQIMESHMSKVLKADDVDLMIIARGTPGFSGADLANLVNIAALKAAMDGAKAVSMADLEYAKDKIMMGSERKSAVISEESRRLTAYHEGGHALVAIHTDGALPVHKATIVPRGMSLGMVAQLPDKDETSISRRQMLARLDVCMGGRVAEELIFGENE >DRNTG_21204.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001209.1:14855:20612:-1 gene:DRNTG_21204 transcript:DRNTG_21204.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYNQVWILAQKFNDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAAVPFFSCSGSEFEEMFVGVGARRVRDLFTAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQIMESHMSKVLKADDVDLMIIARGTPGFSGADLANLVNIAALKAAMDGAKAVSMADLEYAKDKIMMGSERKSAVISEESRRLTAYHEGGHALVAIHTDGALPVHKATIVPRGMSLGMVAQLPDKDETSISRRQMLARLDVCMGGRVAEELIFGENE >DRNTG_21204.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001209.1:14855:20612:-1 gene:DRNTG_21204 transcript:DRNTG_21204.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYNQVWILAQKFNDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAAVPFFSCSGSEFEEMFVGVGARRVRDLFTAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQIMESHMSKVLKADDVDLMIIARGTPGFSGADLANLVNIAALKAAMDGAKAVSMADLEYAKDKIMMGSERKSAVISEESRRLTAYHEGGHALVAIHTDGALPVHKATIVPRGMSLGMVAQLPDKDETSISRRQMLARLDVCMGGRVAEELIFGENE >DRNTG_06078.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8398886:8399553:1 gene:DRNTG_06078 transcript:DRNTG_06078.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L31, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G75350) UniProtKB/Swiss-Prot;Acc:Q9FWS4] MALTLTTPFLPSLKASPKSHRMEVKPKSVMVECRKKGGIHPEFHEDAKVYCNGELVMTTGGTQKEYTVDVWSGNHPFYLGNRSALLIDADQVEKFRKKYGDMSPDLLKIPVLKHGEIVLPTKKKAAPGKGKGKK >DRNTG_28429.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18462632:18463905:1 gene:DRNTG_28429 transcript:DRNTG_28429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKTISSTILTSPISHPSDVPLTIFDRRTLNMHIAVLYALTPPTSTNVSIISGLSKTLVHFPTLAAKLSTDSHGSPCLTVGGPDGGALVIEATVSSKLEDHLPLTPSSGLRLFHPEVKDAKHLVQVQLNRFQCAGRGGWSSASLPTTVSLMASRWALSL >DRNTG_27246.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20158251:20163302:1 gene:DRNTG_27246 transcript:DRNTG_27246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRNKRELWRVQYALSRIRNAARELLTLDEKNPRRIFEGEALLRRMNRYGLLDETQSKLDYVLALTVENFLERRLQTLVFKSGMAKSIHHARVLIRQRHIRVGRQVVNIPSFMVRVESAKHIDFSLTSPLGQGRPGRVKRKNLKAASKKAAGGDGEEEDEE >DRNTG_14355.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21692698:21695339:1 gene:DRNTG_14355 transcript:DRNTG_14355.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74970) UniProtKB/Swiss-Prot;Acc:Q9XJ27] MALSSCSLSSLASSFSSLSFSSNVSSSHRNLSFPGIRVSRPAVSLKALRPIAAVATLPELEGMTLEKYVKTRLPGGFAAQKIIGTGRRKCAIARVVLQEGTGKFIINYRDAKEYLQGNPLWLQYIKTPFTDIRV >DRNTG_17131.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000881.1:12228:14521:-1 gene:DRNTG_17131 transcript:DRNTG_17131.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQGKLKQTNTLGVCGIVLLNMWVVDMMLGVVLSVVLWVVLSIVIPMFCFVVMMYLVMLYAVPIVLLVGLIMLRVVCFIYLDNYSELEEDPEPPTFDETIYAFQHYIMPVVVLKMLRIISWMLQDQMTKKMARVRDDLTKLQERLEKAYSKLEYADEEMMIVPYGTTNTLFHNLRAVSNDLQDLSDDLFKHEDKLKTMARMADYEVHWYSPFIYVYLLLIFFPQQINSQNNTLKNIDSRLEEILKQGLNNGLTLFNLNTTVEHEIDLVGGIEQDTENLVEKLMITSSSPGVHVYGIVGERGIGKTTLARKIFNHQTIKDKFHSPPPIWVDVHMNSTFHTIMNSINKFDGDLIQDKEILVVLNDVNDSKVLKDMTTDYMHLITNANVLVTTRYESVITHEGIYKHKLPLLSEEDGWALMCKLLFHDGEKGNMQHFEQIGKKMVNKCHGLPLSIKTIARILNAKEKNHSDKWEKVLENIIVSLELSNKTLPKTVYLLPYENLSPYIKQCFIFCAFFPEDYIFEKNILIQQWVVVGLVKKPSMSTEEENGEMQLLEDVANDYYMELLESNILQPAAAECFYYDDKAMCQMHGSMRSFGQHLVQNYGYFQGDVQALEEAATSPSSFSAPKLHHLVITNNAPLNVFPNIVKKQTSVRTLIFTSKLEITKLPKDLFQKLKLLRILDISGSDCRVLPNSLVKLVHLRYLNLSRLPIKTLPYAIGNL >DRNTG_17417.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3834969:3836310:-1 gene:DRNTG_17417 transcript:DRNTG_17417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGRRRRRRRKRRVCASFALHSTLILILLSSAVPSWIPLLCSFLCSIAIAWEICYKTDAERLLERDHENGMLLARCVAELKKAERHDERLLERDREDGSRRGAPLQRRSRDSRDPASMRSRRTMMS >DRNTG_02722.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19506625:19510750:-1 gene:DRNTG_02722 transcript:DRNTG_02722.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSSRYARALHGRRSSPYMLLMAALLMVSVVLLTLLAFGVLSLPVGSRGPRRAPFLPDRSVLEISGGGVGERGEQWTEVLSWEPRAFIYHNFLSKEECEYLIELAKPHMEKSTVVDSTTGKSKDSRVRTSSGMFLRRGRDEVIQVIEKRIADFTFIPVEHGEGLQVLHYEAGQRYEPHYDYFLDEFNTKNGGQRIATVLMYLSDVEEGGETVFPSAKLNSSSLPWYNELSECGKKGLSVKPKMGDALLFWSMRPDATLDPSSLHGGCPVIKGNKWSSTKWMHLNEYKV >DRNTG_02722.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19506625:19508493:-1 gene:DRNTG_02722 transcript:DRNTG_02722.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSTVVDSTTGKSKDSRVRTSSGMFLRRGRDEVIQVIEKRIADFTFIPVEHGEGLQVLHYEAGQRYEPHYDYFLDEFNTKNGGQRIATVLMYLSDVEEGGETVFPSAKLNSSSLPWYNELSECGKKGLSVKPKMGDALLFWSMRPDATLDPSSLHGGCPVIKGNKWSSTKWMHLNEYKV >DRNTG_02722.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19506625:19510750:-1 gene:DRNTG_02722 transcript:DRNTG_02722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSSRYARALHGRRSSPYMLLMAALLMVSVVLLTLLAFGVLSLPVGSRGPRRAPFLPDRSVLEISGGGVGERGEQWTEVLSWEPRAFIYHNFLSKEECEYLIELAKPHMEKSTVVDSTTGKSKDSRVRTSSGMFLRRGRDEVIQVIEKRIADFTFIPVEHGEGLQVLHYEAGQRYEPHYDYFLDEFNTKNGGQRIATVLMYLSDVEEGGETVFPSAKLNSSSLPWYNELSECGKKGLSVKPKMGDALLFWSMRPDATLDPSSLHGGCPVIKGNKWSSTKWMHLNEYKV >DRNTG_02722.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19506625:19508311:-1 gene:DRNTG_02722 transcript:DRNTG_02722.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRGRDEVIQVIEKRIADFTFIPVEHGEGLQVLHYEAGQRYEPHYDYFLDEFNTKNGGQRIATVLMYLSDVEEGGETVFPSAKLNSSSLPWYNELSECGKKGLSVKPKMGDALLFWSMRPDATLDPSSLHGGCPVIKGNKWSSTKWMHLNEYKV >DRNTG_04355.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31025801:31027628:1 gene:DRNTG_04355 transcript:DRNTG_04355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFAPENKIGEGGFGSVYKGVLPNGSLIAVKQLSSKSRQGNREFINEIGMISALQHPNLVKLLGCCIEGSQLLLIYEYMENNSLASVLFGPETNRLKLDWQTRRRICLDVARGLAYLHEESRLKVVHRDIKATNVLLDKDLNAKISDFGLARLAEDDVSHISTRIAGTIGYMAPEYGMRGILTDKADVYSFGVVMLEIVTGVSNANYKQNEGIVSLLDWAYVQQQKGDLLELVDKSLGSNYTQEEALQILNLALTCTNPSRTLRPTMSAVVSSLDGQNPQAVSSTTKLTISRIDDDQSTSVSTDRKWFDSSVSGTSNQEESTVNSTEKQASF >DRNTG_19592.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001023.1:54376:69401:1 gene:DRNTG_19592 transcript:DRNTG_19592.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTEKLMNQIMELKFTSKSLQRQARKCEKEEKSEKLKVKKAIEKGNMDGARIYAENSIRKRNEQMNYLRLASRLDAVVARLDTQAKMQTIGKSMGSIVKALESSLATGNLQKMSETMDQFERQFVNMEVQAEFMEGSMAGSTSLSTPEGEVNSLMQQVADDYGLEVTVGLPQAAAHAIPAKEKEKEKVDEDDLSRRLAELKARG >DRNTG_19592.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001023.1:54376:69401:1 gene:DRNTG_19592 transcript:DRNTG_19592.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTEKLMNQIMELKFTSKSLQRQARKCEKEEKSEKLKVKKAIEKGNMDGARIYAENSIRKRNEQMNYLRLASRLDAVVARLDTQAKMQTIGKSMGSIVKALESSLATGNLQKMSETMDQFERQFVNMEVQAEFMEGSMAGSTSLSTPEGEVNSLMQQVADDYGLEVTVGLPQAAAHAIPAKEKEKVDEDDLSRRLAELKARG >DRNTG_19592.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001023.1:54376:55352:1 gene:DRNTG_19592 transcript:DRNTG_19592.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTEKLMNQIMELKFTSKSLQRQARKCEKEEKSEKLKVKKAIEKGNMDGARIYAENSIRKRNEQMNYLRLASRLDAVVARLDTQAKMQTIGKSMGSIVKALESSLATGNLQKMSETMDQFERQFVNMEVQAEFMEGSMAGSTSLSTPEGEVNSLMQQVADDYGLEVTVGLPQAAAHAIPAKEKEKVDEDDLSRRLAELKARG >DRNTG_19592.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001023.1:54376:69401:1 gene:DRNTG_19592 transcript:DRNTG_19592.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTEKLMNQIMELKFTSKSLQRQARKCEKEEKSEKLKVKKAIEKGNMDGARIYAENSIRKRNEQMNYLRLASRLDAVVARLDTQAKMQTIGKSMGSIVKALESSLATGNLQKMSETMDQFERQFVNMEVQAEFMEGSMAGSTSLSTPEGEVNSLMQQVADDYGLEVTVGLPQAAAHAIPAKEKEKVDEDDLSRRLAELKARG >DRNTG_19592.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001023.1:54376:69401:1 gene:DRNTG_19592 transcript:DRNTG_19592.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTEKLMNQIMELKFTSKSLQRQARKCEKEEKSEKLKVKKAIEKGNMDGARIYAENSIRKRNEQMNYLRLASRLDAVVARLDTQAKMQTIGKSMGSIVKALESSLATGNLQKMSETMDQFERQFVNMEVQAEFMEGSMAGSTSLSTPEGEVNSLMQQVADDYGLEVTVGLPQAAAHAIPAKEKEKVDEDDLSRRLAELKARG >DRNTG_19592.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001023.1:68421:69401:1 gene:DRNTG_19592 transcript:DRNTG_19592.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTEKLMNQIIELKLASKSLQRQAHKCEREKSEKLKVKKAIEKGNMDGARIYAENSIRKRNEQMNYLRLASRLDAVIARLTTQAKMQTIGKSMGSIVKALESSLATSNLQKMSETMDQFERQFVNMEVQAEFMEGSMAGSTSLSTPEGEVNSLMQQVADDYGLEVTVGLPQAAAHAIPAKEKEKVDEDDLSRRLAELKARG >DRNTG_19592.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001023.1:54376:69401:1 gene:DRNTG_19592 transcript:DRNTG_19592.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTEKLMNQIMELKFTSKSLQRQARKCEKEEKSEKLKVKKAIEKGNMDGARIYAENSIRKRNEQMNYLRLASRLDAVVARLDTQAKMQTIGKSMGSIVKALESSLATGNLQKMSETMDQFERQFVNMEVQAEFMEGSMAGSTSLSTPEGEVNSLMQQVADDYGLEVTVGLPQAAAHAIPAKEKEKVDEDDLSRRLAELKARG >DRNTG_19592.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001023.1:54376:69401:1 gene:DRNTG_19592 transcript:DRNTG_19592.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTEKLMNQIMELKFTSKSLQRQARKCEKEEKSEKLKVKKAIEKGNMDGARIYAENSIRKRNEQMNYLRLASRLDAVVARLDTQAKMQTIGKSMGSIVKALESSLATSNLQKMSETMDQFERQFVNMEVQAEFMEGSMAGSTSLSTPEGEVNSLMQQVADDYGLEVTVGLPQAAAHAIPAKEKEKVDEDDLSRRLAELKARG >DRNTG_11433.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1054623:1057199:-1 gene:DRNTG_11433 transcript:DRNTG_11433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPMCSSSAASKGIAAIVGVGPRLGRSIARKFAHEGYTVAILSRDLGKLSGYAEEIAREAMAQVFAIRIDCASTQSVREAFEGVLSLGYVEVLVYNACAPTSINPLRFSDVSVDSFQTSLAVSAVGAFQCSQLVIPGMVERGKGTIIFTGSSASVNASAGFYELSCAKFALRGLAQCLAKEYQAFGVHVAHVIVDGLTGDSRTSKENQNQNGMDPDAIAQTYWHLHIQDKRAWTHEIDIRPATS >DRNTG_13043.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23146855:23155396:-1 gene:DRNTG_13043 transcript:DRNTG_13043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTIPEHQARFEDELEDPVSMGGWRQLLSTKEPAIRKLTLEVLSSFEFDRSYARFDSLDAIQFRAFGHYHSMSITQFSFQLVLALIEHCVVRASISRGPAEGSLEDAWRWLNTSPTYDPLQTKATTLRTLTLQYIHFVLSYTLTGHGNSTGVINHHNFDFLLSMMDGFYLHLSYEVVVSISNQGTDPRTGTHFIGPYITRLLRRMGILEGTDMIRVVGRVTSMPLETFRLMGMLHHVQIAKGSSTTSNNTLILSLLLLLLLLLPLSHQLLPFRNRGHVLHLVAPLVTQDQVTCSFTAFRWVHRSMARIAKHLGVWLSLEPVSPV >DRNTG_20634.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20518903:20527475:-1 gene:DRNTG_20634 transcript:DRNTG_20634.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKSLPLITFEHKRDAYGFAVRPQHLQRYREYANIYKEEEEERSDRWKNFLERQAETAQVPFEDSLAQEGGAPSPVTSVHSAESDQNGIDDKDNKVVRPHKIQIWSHIRPSLSAIEQMMSVRVKKKKEASKDEHDGVKVSNGLAPIEEGRAAKGASEEDSEEEFYDVERSDIAQEVPANDSGNSDLAPNSDSQEPFVPWKEELECLVRGGVPMALRGELWQAFVGVRTRRVEGYYQDLLDQKTNTSIGEKVDASNKPLGLAPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLMGIIDDYFDGYYSEEMIESQVDQLVLEELVRERFPKLVNHLDYLGVQIAWVTGPWFLSIFVNMLPWESVLRMWDVLLFEGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLSGSTFDSSQLVLTASMGYQAVNELKLQELRKKHRPAVLAVMEERNKGLRVWRDSKGLASKLYSFKHEPGSLSEKLSAEKAGRMLTNGDAYLLEPESTDFEGYMSSQMVDAEVDTVPNLKEQVSWLKVELCKLLEEKRSAILRAEELETALMEMVKQDNRRALSAKVEQLEEEVSQLRQALSDKQEQEHVMLQVLMRVEQEQKVTEDARIFAEQDAAAQRYASHMLEEKYEEAMASLAEMEKRAVMAETMLEATLQYQSSQVKAQQSPCPSPRTPRADNISPSVNQELPQDAPLWKKGLLSRPFGLGWRDKNKGKPTNLEDSNDGKPLNEGPQYTTTPPKDANELLVPDK >DRNTG_22970.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16268156:16273367:1 gene:DRNTG_22970 transcript:DRNTG_22970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISRLFPIPTVSLRRSITIRASVVSSSASTARKTHPPLFLRPLTYFATLSDIVAFRSWATSLATAAAAAAPGLESIDGGPSASHLLRELSWLLEDATSQSCGKVLMRADLDELYRLWKERIERRRPFQYIVGCEHWRDLVLVVREGVLIPRPETEMIVDLVRDVEGFGEGLWADLGTGSGAIAVGIGRELGENGRVFATDLSLDAVEIAGINVERYGLKDKVEIRHGSWFEPLDDFKGKLTGLVSNPPYIPSSDIPGLQAEVSKHEPKLALDGGVDGTDHLFHLCEGSATVLRSGGFFAFETNGDIQSELVANYLSTKWTKCFTNVKTVLDFAGIKRFVTGFRQ >DRNTG_22970.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16268156:16273367:1 gene:DRNTG_22970 transcript:DRNTG_22970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MISRLFPIPTVSLRRSITIRASVVSSSASTARKTHPPLFLRPLTYFATLSDIVAFRSWATSLATAAAAAAPGLESIDGGPSASHLLRELSWLLEDATSQSCGKVLMRADLDELYRLWKERIERRRPFQYIVGCEHWRDLVLVVREGVLIPRPETEMIVDLVRDVEGFGEGLWADLGTGSGAIAVGIGRELGENGRVFATDLSLDAVEIAGINVERIRLK >DRNTG_22970.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16268156:16273262:1 gene:DRNTG_22970 transcript:DRNTG_22970.6 gene_biotype:protein_coding transcript_biotype:protein_coding MISRLFPIPTVSLRRSITIRASVVSSSASTARKTHPPLFLRPLTYFATLSDIVAFRSWATSLATAAAAAAPGLESIDGGPSASHLLRELSWLLEDATSQSCGKVLMRADLDELYRLWKERIERRRPFQYIVGCEHWRDLVLVVREGVLIPRPETEMIVDLVRDVEGFGEGLWADLGTGSGAIAVGIGRELGENGRVFATDLSLDAVEIAGINVERYGLKDKVEIRHGSWFEPLDDFKGKLTGLVSNPPYIPSSDIPGLQAEVSKHEPKLALDGGVDGTDHLFHLCEGSATVLRSGGFFAFETNGDIQSELVANYLSTKWTKCFTNVKTVLDFAGIKRFVTGFRQ >DRNTG_22970.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16268156:16273367:1 gene:DRNTG_22970 transcript:DRNTG_22970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISRLFPIPTVSLRRSITIRASVVSSSASTARKTHPPLFLRPLTYFATLSDIVAFRSWATSLATAAAAAAPGLESIDGGPSASHLLRELSWLLEDATSQSCGKVLMRADLDELYRLWKERIERRRPFQYIVGCEHWRDLVLVVREGVLIPRPETEMIVDLVRDVEGFGEGLWADLGTGSGAIAVGIGRELGENGRVFATDLSLDAVEIAGINVERYGLKVSLAIVFRHLLQLLKIVFFF >DRNTG_22970.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16268156:16273708:1 gene:DRNTG_22970 transcript:DRNTG_22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRLFPIPTVSLRRSITIRASVVSSSASTARKTHPPLFLRPLTYFATLSDIVAFRSWATSLATAAAAAAPGLESIDGGPSASHLLRELSWLLEDATSQSCGKVLMRADLDELYRLWKERIERRRPFQYIVGCEHWRDLVLVVREGVLIPRPETEMIVDLVRDVEGFGEGLWADLGTGSGAIAVGIGRELGENGRVFATDLSLDAVEIAGINVERYGLKDKVEIRHGSWFEPLDDFKGKLTGLVSNPPYIPSSDIPGLQAEVSKHEPKLALDGGVDGTDHLFHLCEGSATVLRSGGFFAFETNGDIQSELVANYLSTKWTKCFTNVKTVLDFAGIKRFVTGFRQ >DRNTG_22970.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16268156:16273262:1 gene:DRNTG_22970 transcript:DRNTG_22970.7 gene_biotype:protein_coding transcript_biotype:protein_coding MISRLFPIPTVSLRRSITIRASVVSSSASTARKTHPPLFLRPLTYFATLSDIVAFRSWATSLATAAAAAAPGLESIDGGPSASHLLRELSWLLEDATSQSCGKVLMRADLDELYRLWKERIERRRPFQYIVGCEHWRDLVLVVREGVLIPRPETEMIVDLVRDVEGFGEGLWADLGTGSGAIAVGIGRELGENGRVFATDLSLDAVEIAGINVERIRLK >DRNTG_22970.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16268156:16273262:1 gene:DRNTG_22970 transcript:DRNTG_22970.8 gene_biotype:protein_coding transcript_biotype:protein_coding MISRLFPIPTVSLRRSITIRASVVSSSASTARKTHPPLFLRPLTYFATLSDIVAFRSWATSLATAAAAAAPGLESIDGGPSASHLLRELSWLLEDATSQSCGKVLMRADLDELYRLWKERIERRRPFQYIVGCEHWRDLVLVVREGVLIPRPETEMIVDLVRDVEGFGEGLWADLGTGSGAIAVGIGRELGENGRVFATDLSLDAVEIAGINVERYGLKVSLAIVFRHLLQLLKIVFFF >DRNTG_22970.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16268067:16273262:1 gene:DRNTG_22970 transcript:DRNTG_22970.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNHQCLFLMGTVEMISRLFPIPTVSLRRSITIRASVVSSSASTARKTHPPLFLRPLTYFATLSDIVAFRSWATSLATAAAAAAPGLESIDGGPSASHLLRELSWLLEDATSQSCGKVLMRADLDELYRLWKERIERRRPFQYIVGCEHWRDLVLVVREGVLIPRPETEMIVDLVRDVEGFGEGLWADLGTGSGAIAVGIGRELGENGRVFATDLSLDAVEIAGINVERYGLKDKVEIRHGSWFEPLDDFKGKLTGLVSNPPYIPSSDIPGLQAEVSKHEPKLALDGGVDGTDHLFHLCEGSATVLRSGGFFAFETNGDIQSELVANYLSTKWTKCFTNVKTVLDFAGIKRFVTGFRQ >DRNTG_02793.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1127313:1128955:1 gene:DRNTG_02793 transcript:DRNTG_02793.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDKSPGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGYYRQLFHPEQLISGKEDAANNFARGHGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIDRPSYTNHQDKTHHPICRLVPDWLQMWYQLPTTHCCSRR >DRNTG_01696.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23727911:23733643:1 gene:DRNTG_01696 transcript:DRNTG_01696.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERHCPPMFERKECLVPPPEGYKSPIKWPKSRDECWYRNVPYNWINNQKSNQNWLRKEGEKFIFPGGGTMFPRGVGAYVDLMEDLIPGIKEGTVRTAIDTGCGVASWGGELLDRGVLTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPANSFDLAHCSRCLIPWTEFGGIYLTEIHRILRPGGFWVLSGPPVNYENRWRGWNTTVEEQKADFDKLKKLLSGMCFKLYNKKDDFAVWQKSSDNSCYDQLSLDSYPPKCDDSVDPDSAWYVPFRSCLTIPSQNLKKLALKSTPKWPDRLHIAPERVSLVPGGNSGLFKHDDSKWKVRIKHYKNLLPALGSNQIRNVMDMNTLFGGFAAALIDSPVWVMNVVSSYGPNSLGVVYDRGLIGSYHDW >DRNTG_01696.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23727911:23733643:1 gene:DRNTG_01696 transcript:DRNTG_01696.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKDMKPGSNPDKSSRMVPMSLMFVVLCGFSFYLGGIYCSEKNKFFKKEVEPKVESRKEIAVPSSQMKPIAFPECGSDYQDYTPCTDPKRWRKYGNYRLSFMERHCPPMFERKECLVPPPEGYKSPIKWPKSRDECWYRNVPYNWINNQKSNQNWLRKEGEKFIFPGGGTMFPRGVGAYVDLMEDLIPGIKEGTVRTAIDTGCGVASWGGELLDRGVLTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPANSFDLAHCSRCLIPWTEFGGIYLTEIHRILRPGGFWVLSGPPVNYENRWRGWNTTVEEQKADFDKLKKLLSGMCFKLYNKKDDFAVWQKSSDNSCYDQLSLDSYPPKCDDSVDPDSAWYVPFRSCLTIPSQNLKKLALKSTPKWPDRLHIAPERVSLVPGGNSGLFKHDDSKWKVRIKHYKNLLPALGSNQIRNVMDMNTLFGGFAAALIDSPVWVMNVVSSYGPNSLGVVYDRGLIGSYHDWCEAFSTYPRTYDLLHLDGLFTAESHRCEIKYMLLEMDRILRPNGHVIIRESVYFVDAVDIIAKGLRWNCQKQDTEYSNTEKEKLLICQKKLWYSKSRQH >DRNTG_01707.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23665678:23673095:1 gene:DRNTG_01707 transcript:DRNTG_01707.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVHINHRPFGRLWWDETVATVLTAPDTHYQVIIHPEQDRVLTIRECARVQGFPDYYKFRGTIKDRYRQIGNAVAVPVGRALGYALALAWLKQSGAGPLMTLPRKFSYLHTLRPLGEPSSEFEL >DRNTG_01707.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23672131:23673095:1 gene:DRNTG_01707 transcript:DRNTG_01707.8 gene_biotype:protein_coding transcript_biotype:protein_coding AIKAPGCACRYRQIGNAVAVPVGRALGYALALAWLKQSGAGPLMTLPRKFSYLHTLRPLGEPSSEFEL >DRNTG_01707.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23659438:23659927:1 gene:DRNTG_01707 transcript:DRNTG_01707.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKRLALRLPKAFKPHTPSPRSPGSTSARDEVDPVVCLIDDLVSPENRPENNGVDANAGSDLRSGSTEGNEGGGDPGIGVLRRSPRTLDGFSEHRPVVVEVEISGDLEQPPESKKAKISSGSKKSGPKGVFFVGDPVPDEEARRRWPHHYEQK >DRNTG_01707.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23669625:23673095:1 gene:DRNTG_01707 transcript:DRNTG_01707.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTVHINHRPFGRLWWDETVATVLTAPDTHYQVIIHPEQDRVLTIRECARVQGFPDYYKFRGTIKDRYRQIGNAVAVPVGRALGYALALAWLKQSGAGPLMTLPRKFSYLHTLRPLGEPSSEFEL >DRNTG_01707.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23660921:23670291:1 gene:DRNTG_01707 transcript:DRNTG_01707.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSVDYSTFTKMEEDDSGRQNNLTPSNCSETIHNKIEEKLFSEQVHQNSKSDKTEFALLDLYCGCGGMSTGLCLGAQLAGVNLVTRWAVDFDEAACNTSKFNNPETQVRNESADDFYALIKEWKKLCKKYVGKELGCRESNSRVTDVSNSKRKLRDDRTTPHGEYEVSHLVDICYGDPTNLGKRGLKFKVRWKGYDPSEDTWEPIEALKNSQICIQNFVREGSKGKILPFPGDVDVICGGPPCQGISGLNRFRNFAAPLDDEQNRQIVVFMDIVQFLKPKYVLMENVVDILRFAKAALGRYALSRLVFMSYQARLGIMAAGCYGLPQFRLRTFIWGCHPQERLPQFPLPTHEVILRCGTPVEFECNIVGYDEGQSRVLEKALVLEDVLSDLPAVSNNEVREQMPYGSVPRTEFQKYIRTPKLGVGKRHNRADAFHSLLYDHRPLPQNEDDYLRICEIPRRK >DRNTG_01707.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23659438:23673095:1 gene:DRNTG_01707 transcript:DRNTG_01707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKRLALRLPKAFKPHTPSPRSPGSTSARDEVDPVVCLIDDLVSPENRPENNGVDANAGSDLRSGSTEGNEGGGDPGIGVLRRSPRTLDGFSEHRPVVVEVEISGDLEQPPESKKAKISSGSKKSGPKGVFFVGDPVPDEEARRRWPHHYEQKVKKKKGRRSIVNTDDEDEFALEVKCHFWQASVNKEILSIGDCVYVKGEKWKLNYVGKIVEFFEIAEEECYFTVQWFFRAADTVIKDQAVFHDKQRLFFSDLKNDNPLDCIVSKVTVTQVSPCVELKQKRLPPHDFYYDMKYSVDYSTFTKMEEDDSGRQNNLTPSNCSETIHNKIEEKLFSEQVHQNSKSDKTEFALLDLYCGCGGMSTGLCLGAQLAGVNLVTRWAVDFDEAACNTSKFNNPETQVRNESADDFYALIKEWKKLCKKYVGKELGCRESNSRVTDVSNSKRKLRDDRTTPHGEYEVSHLVDICYGDPTNLGKRGLKFKVRWKGYDPSEDTWEPIEALKNSQICIQNFVREGSKGKILPFPGDVDVICGGPPCQGISGLNRFRNFAAPLDDEQNRQIVVFMDIVQFLKPKYVLMENVVDILRFAKAALGRYALSRLVFMSYQARLGIMAAGCYGLPQFRLRTFIWGCHPQERLPQFPLPTHEVILRCGTPVEFECNIVGYDEGQSRVLEKALVLEDVLSDLPAVSNNEVREQMPYGSVPRTEFQKYIRTPKLGVGKRHNRADAFHSLLYDHRPLPQNEDDYLRICEIPRRKGASFRDLPGVIVDSNNTAQLDPQMERVLLPSGKPLVPDYAINLRQGKTSRPFGRLWWDETVATVLTAPDTHYQVIIHPEQDRVLTIRECARVQGFPDYYKFRGTIKDRYRQIGNAVAVPVGRALGYALALAWLKQSGAGPLMTLPRKFSYLHTLRPLGEPSSEFELHDHQEPQVEKTACKNSSTEQIELESFCAEVHQHVNILIRELKDVELSPDAMDSINRLLAIGNTKM >DRNTG_01707.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23670350:23673095:1 gene:DRNTG_01707 transcript:DRNTG_01707.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTVHINHRPFGRLWWDETVATVLTAPDTHYQVIIHPEQDRVLTIRECARVQGFPDYYKFRGTIKDRYRQIGNAVAVPVGRALGYALALAWLKQSGAGPLMTLPRKFSYLHTLRPLGEPSSEFELHDHQEPQVEKTACKNSSTEQIELESFCAEVHQHVNILIRELKDVELSPDAMDSINRLLAIGNTKM >DRNTG_01707.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23659438:23661183:1 gene:DRNTG_01707 transcript:DRNTG_01707.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKRLALRLPKAFKPHTPSPRSPGSTSARDEVDPVVCLIDDLVSPENRPENNGVDANAGSDLRSGSTEGNEGGGDPGIGVLRRSPRTLDGFSEHRPVVVEVEISGDLEQPPESKKAKISSGSKKSGPKGVFFVGDPVPDEEARRRWPHHYEQKVKKKKGRRSIVNTDDEDEFALEVKCHFWQASVNKEILSIGDCVYVKVSQSSLDC >DRNTG_01707.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23662183:23662534:1 gene:DRNTG_01707 transcript:DRNTG_01707.10 gene_biotype:protein_coding transcript_biotype:protein_coding GEKWKLNYVGKIVEFFEIAEEECYFTVQWFFRAADTVIKDQAVFHDKQRLFFSDLKNDNPLDCIVSKVTVTQVSPC >DRNTG_01707.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23662183:23669223:1 gene:DRNTG_01707 transcript:DRNTG_01707.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSVDYSTFTKMEEDDSGRQNNLTPSNCSETIHNKIEEKLFSEQVHQNSKSDKTEFALLDLYCGCGGMSTGLCLGAQLAGVNLVTRWAVDFDEAACNTSKFNNPETQVRNESADDFYALIKEWKKLCKKYVGKELGCRESNSRVTDVSNSKRKLRDDRTTPHGEYEVSHLVDICYGDPTNLGKRGLKFKVRWKGYDPSEDTWEPIEALKNSQICIQNFVREGSKGKILPFPGDVDVICGGPPCQGISGLNRFRNFAAPLDDEQNRQIVVFMDIVQFLKPKYVLMENVVDILRFAKAALGRYALSRLVFMSYQARLGIMAAGCYGLPQFRLRTFIWGCHPQERLPQFPLPTHEVILRCGTPVEFECNIVGYDEGQSRVLEKALVLEDVLSDLPA >DRNTG_14161.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14446275:14448931:-1 gene:DRNTG_14161 transcript:DRNTG_14161.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPCDHSTSMSSPSYDHHCHRCVPVQCWHHRILPKVTIQACRHSSLQLYKLMYYFYIQVFEGTSGFDNKNTTVQFTGEVGDGRRSCRRRTSWCSIFR >DRNTG_14161.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14446275:14448931:-1 gene:DRNTG_14161 transcript:DRNTG_14161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPCDHSTSMSSPSYDHHCHRCVPVQCWHHRILPKVTIQACRHSSLQLVFEGTSGFDNKNTTVQFTGEVGDGRRSCRRRTSWCSIFR >DRNTG_32333.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24540023:24545043:-1 gene:DRNTG_32333 transcript:DRNTG_32333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSATHNLSRSAPLLRHRRHLSSRPNPLLLRPLPSSSSLNPSPLLIHALPDRLRSLPSRRLHSWISDAPLSSEPERAESLTVHASSSSESIEESKPGSGNLLQTLQLGALFGLWYLFNIYFNIYNKQVLKVFQYPITITSLQFAVGTALVLVMWATNLHKKPKISSSQLVAILPLALVHTLGNLFTNMSLGKVAVSFTHTIKAMEPFFSVLLSALFLGEMPTPWVVFSLVPIVGGVALASLTEASFNWAGFWSAMASNVTFQSRNVLSKKLMVKKEESLDNINLFSIITIMSLFMLAPVALFVEGVKFTPAYLQSAGLNVKEIYTRALIAGLCFHAYQQVSYMILARVSPVTHSVGNCVKRVVVIVTSVLFFRTPVSPINSLGTAVALAGVFLYSRVKKLKPKAA >DRNTG_09158.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7037661:7039432:-1 gene:DRNTG_09158 transcript:DRNTG_09158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTVIPRVELGTQGLQVSKLGFGCMGLTGAYNSPLPEEKGIAIIKHAFNQGITFFDTSDVYGPLTNEILIGKALKELPREEIQLATKFGIVRGRPGLGMQVNGKPEYVRACCEASLNRLQVDYIDLYYQHRIDQTVPIEETIGELKKLVEEGKLKYIGLSEASPDTIRRAHAVHPISAVQIEWSLWVRDIEQEIVPLCRELGIGIVPYSPLGRGFFGGKGVAESLSENTALVRHPRFTGENLEKNKALYVRVENLAKKHQCSPAQLALAWVIHQGDEVVPIPGTTKIKNLDSNIGALQVKLTEDDMKEISDLVSEEEVAGARTFYGTAEKFSWKHANTPLPRSCL >DRNTG_09158.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7037661:7039432:-1 gene:DRNTG_09158 transcript:DRNTG_09158.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTVIPRVELGTQGLQVSKLGFGCMGLTGAYNSPLPEEKGIAIIKHAFNQGITFFDTSDVYGPLTNEILIGKALKELPREEIQLATKFGIVRGRPGLGMQVNGKPEYVRACCEASLNRLQVDYIDLYYQHRIDQTVPIEETIGELKKLVEEGKLKYIGLSEASPDTIRRAHAVHPISAVQIEWSLWVRDIEQEIVPLCRELGIGIVPYSPLGRGFFGGKGVAESLSENTALVCMYK >DRNTG_16079.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25618612:25618962:1 gene:DRNTG_16079 transcript:DRNTG_16079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSQPRIELSPLGESSIGDPCTNRPEGERNYDNEVHGRSFKR >DRNTG_29001.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22358753:22360390:-1 gene:DRNTG_29001 transcript:DRNTG_29001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSQLDHSPSFASKLVATAARLHSPTLAISILLRSPPLPVPFAHNSIINSFLHSSPLLSLLFFSLFPIPSPNSHTFPPLLKAATLSHSLHLGSALHASLLKLSLHSHLHCHTALLSFYASCGDSLSARKLFDRSPHRNNVAVWNAIISGFVKHGRSHDALEFFRLLLLYTKPDEITFVSVLAACSHLGALNVVRWIENALKGMINVKIGTALVDAFSKCGSVEDARRVFDGMPDRNVMTWTVMIQGLAMFGYGEEAIALFEEMIKVGVRPDDVTFIGVFYACSHSGLVDEGRRMFDRMIKCSKIAPKMEHYGCLVDLLGRAGLLEEALRLIEDMPFEPTPAIWGSLLSACRKTPENLQVIEYVANRLMEIEPENDATYVLLSNIYADNDQWDGVARVRALMKERGIRKTPGCSSVEVNGTIHEFTVGDQSHACVAEINEMLEEIDCKVRDLGHVVDTTKVLLDIEEGEKKNALMLHSEKLALAFALIASAAPSSIRIMKNIRICSDCHSVMKLASKAYGREIIVRDRNRFHKFTDGNCSCLDFW >DRNTG_04362.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30974384:30974991:-1 gene:DRNTG_04362 transcript:DRNTG_04362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRKTGLIYLHFEVGFGPGTGQSQSSSKPTHRERERVEQAHDRTSSPPIIIFTRVKPSSWFLSGDA >DRNTG_16713.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000841.1:1:4032:1 gene:DRNTG_16713 transcript:DRNTG_16713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPHFNIGMKFRDFKQFKEDSLPGSEQHMILPLLGNKKRGRKTLLRRREIDEDNIGFNKGKGNWANADANSLRQRQSADDAFVDIPVQGNPQGTHEPRQEPGNAFVDNQVLTNQQVNSTTEQIIYSCTILCIRGYDEEETGNRRPTTIAPRRQPMLKHGKNVDNKNKEQGWSLASEERCGFPLELELLVVVVVHKEDNF >DRNTG_26050.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18650313:18654870:-1 gene:DRNTG_26050 transcript:DRNTG_26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g24315 [Source:Projected from Arabidopsis thaliana (AT3G24315) UniProtKB/TrEMBL;Acc:Q1LYX4] MDEVVQAVADLKKEWDQTSAQLRDRIKAIEACGSSGRGTEEANSLPRLNGAAQDGLALLRSLQFRLDLLAQQLPTMEQSQSEQATLNSWKNEYQSLHLGLRNANLQAKANIRRAAQEERELLLGSGEESTIRRRNLQTKAGMTTAAESITESLRRTRQLMVQEVERSASTLTTFEESTSVLKKAESEYKGHRSLLARTRNLLSVMKRQDVMDRVILVIGVLLFLSAVLYVVSKRVGLLTLQRKLISAIKSGYVRNEGLGKDLYKMEAGQLLNQQQFHRDQIPEV >DRNTG_32122.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1373588:1374328:1 gene:DRNTG_32122 transcript:DRNTG_32122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGFYSNTKSNFREVAIGGFWEMYDPEGYSLWFCNYKYNDENTVSFVTLNKVSGFLQRMDLARKYAFGKMLVIGSQPPFKVKGLWLFRGKEIPQFVLDECYDMELYEWTKVDITDETQKERVNAMIEDQEPFEGEGLLDAKCFK >DRNTG_06293.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20730887:20754035:1 gene:DRNTG_06293 transcript:DRNTG_06293.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNKRRRTIEAQWEERDIPPYQMDEVKFRHNITTFDVLPSLHDYLNGIDFFVDLFNVMDLTPQGRQPILTVVMEEEKYEIDEDEDGEDEDMEYKENEDGAWMQWKDAQWWVKETWGAGTELQGWPATMLRMAGDEQGHAENEERNGALNGRQPCSGWLEMNRAMLRMKKGIGHCTEGMDPQGGAGEAELLSNIFSCRRFSETWARSICLHPCKREGGVVRVDFNVGKSDWSEMKVTGKRKGAVSAGLMVEAIPGDFNYLADGLAAHELCFYHVFSFFSFIIFLLRSLFRLQLQFSFSPLITSLSPDHFISSSSFWNPKFEAVLDVSIEILAVIDEGENEEDKENELTENEENKQIDDDGDGDGDDDDDDDDDDDDDDDDVDDDDDEHDF >DRNTG_30531.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21368957:21373685:1 gene:DRNTG_30531 transcript:DRNTG_30531.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRRPSFVPSFSRFLQFSSGFHKPAANAGAPSTHGIHVFHCPDEVGIVAKLSECIASHGGNIHSVDVFVPDNKPVFYSRSEFVFDPARWSRDALENDFRKLGKLFNAERSVVRVPDLDPNYKIAVFASKQDHCLVDLLYRWQEGRLPVDINCVISNHDRASNNHVIRFLESHGIPYHYLPITSGNKREEEILNLVADTDFLVLARYMQVFSGRFLAAYGKDVINIHHGLLPSFKGGHPSKQAYDAGVKLIGATTHFVTEELDAGPIIEQMVERVSHRDTLESFVQKSENLEKQCLAQAIRSYCELRVLPYESNRTVVF >DRNTG_30531.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21368957:21370143:1 gene:DRNTG_30531 transcript:DRNTG_30531.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLGSEFVFDPARWSRDALENDFRKLGKLFNAERSVVRVPDLDPNYKIAVFASKQDHCLVDLLYRWQEGRLPVDINCVISNHDRASNNHVIRFLESHGIPYHYLPITSGNKREEEILNLVADTDFLVLARYMQV >DRNTG_30531.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21369238:21373831:1 gene:DRNTG_30531 transcript:DRNTG_30531.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLGSEFVFDPARWSRDALENDFRKLGKLFNAERSVVRVPDLDPNYKIAVFASKQDHCLVDLLYRWQEGRLPVDINCVISNHDRASNNHVIRFLESHGIPYHYLPITSGNKREEEILNLVADTDFLVLARYMQVFSGRFLAAYGKDVINIHHGLLPSFKGGHPSKQAYDAGVKLIGATTHFVTEELDAGPIIEQMVSLLLYLIFMYLLV >DRNTG_30531.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21368957:21373685:1 gene:DRNTG_30531 transcript:DRNTG_30531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLGSEFVFDPARWSRDALENDFRKLGKLFNAERSVVRVPDLDPNYKIAVFASKQDHCLVDLLYRWQEGRLPVDINCVISNHDRASNNHVIRFLESHGIPYHYLPITSGNKREEEILNLVADTDFLVLARYMQVFSGRFLAAYGKDVINIHHGLLPSFKGGHPSKQAYDAGVKLIGATTHFVTEELDAGPIIEQMVERVSHRDTLESFVQKSENLEKQCLAQAIRSYCELRVLPYESNRTVVF >DRNTG_30531.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21368957:21373685:1 gene:DRNTG_30531 transcript:DRNTG_30531.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRRPSFVPSFSRFLQFSSGFHKPAANAGAPSTHGIHVFHCPDEVGIVAKLSECIASHGGNIHSVDVFVPDNKPVFYSRSEFVFDPARWSRDALENDFRKLGKLFNAERSVVRVPDLDPNYKIAVFASKQDHCLVDLLYRWQEGRLPVDINCVISNHDRASNNHVIRFLESHGIPYHYLPITSGNKREEEILNLVADTDFLVLARYMQVFSGRFLAAYGKDVINIHHGLLPSFKGGHPSKQAYDAGVKLIGATTHFVTEELDAGPIIEQMVERVSHRDTLESFVQKSENLEKQCLAQAIRSYCELRVLPYESNRTVVF >DRNTG_09758.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10911621:10915511:1 gene:DRNTG_09758 transcript:DRNTG_09758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQLLMKTCLIRVFLSQVKCNATSRAIRSPLKTLFAGPEKHPENPSTSIAAQSQEWGNLE >DRNTG_26216.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:369564:371080:-1 gene:DRNTG_26216 transcript:DRNTG_26216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTPPDTAKLDRALHALGFNYEFLSPEKVTGKLKVTETCCQPFNVLSGGVSALLAEAMASLGAYIASGYKRVAGVQLAINHFRPTLLGELVEAEARPIQRGRSIQVWEVEIWKINPFNSREENIGIKFKSYIVVQSTSKQ >DRNTG_23251.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9470480:9475778:1 gene:DRNTG_23251 transcript:DRNTG_23251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNIGVEENIDIEEALPNQLQDAPSSMESDGGNTHVESVPCNSKDANIESTPHNSGNVNVDTTPPGMQNEDVQEIAKDVHTPTEELESDGSNADVGITNLPEQAQ >DRNTG_18049.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20199:22100:1 gene:DRNTG_18049 transcript:DRNTG_18049.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-1,6-bisphosphatase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54050) UniProtKB/Swiss-Prot;Acc:P25851] MASAVVPTSFQLLVSGSSRSFSRLSLFHQALLFPVKRSAQLHGVQSSAGVHCTTVRTAASETETKKKSSFELQTLTTWLLKQEQAGSIDAELTIVLSSISMACKQIASLVQRAGISNLTGVQGAVNVQGEDQKKLDVVSNEVFSNCLRSSGRTGIIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECLADLGDDANLLDQVEQKCVVSVCQPGSNLLAAGYCMYSSSVIFVLTVGNGVYVFTLDPMYGEFVLTQEQVKIPKAGKIYAFNEGNYRLWDDKLKAYIDSLKDPGTNGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDKKSKNGKLRLLYECAPMSFIVEQAGGKGSDGHQRILNIKPQEIHQRVPLFIGSEEEVEKLEKFLA >DRNTG_13979.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27866258:27868571:1 gene:DRNTG_13979 transcript:DRNTG_13979.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSILRKLRCITVDVTGTLIAYKGELGDYYCMAAKSVGLPCPDYKRMHEGFKTAYTDMAKRYPCFGYAAKMPNVDWWRSCVKDSFVKAGYEYDDETFDKVFKRIYSSFGSSAPYSVFPDSQPFLRWARQKGLTIGLVSNAEYRYQDVILPALGLNKGSEWDFGVFSGMVGVEKPDPRIYEIALEMAGNVAPEEVLHIGDSMRKDYIPAKTLGMHALLLDRFKTKDAESWRQAGAPVFPDLVAVQDWLAKEDNVAARLN >DRNTG_13979.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27866258:27868571:1 gene:DRNTG_13979 transcript:DRNTG_13979.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSILRKLRCITVDVTGTLIAYKGELGDYYCMAAKSVGLPCPDYKRMHEGFKTAYTDMAKRYPCFGYAAKMPNVDWWRSCVKDSFVKAGYEYDDETFDKVFKRIYSSFGSSAPYSVFPDSQPFLRWARQKGLTIGLVSNAEYRYQDVILPALGLNKGSEWDFGVFSGMVGVEKPDPRIYEIALEMAGNVAPEEVLHIGDSMRKDYIPAKTLGMHALLLDRFKTKDAESWRQAGAPVFPDLVAVQDWLAKEDNVAARLN >DRNTG_13979.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27866258:27868639:1 gene:DRNTG_13979 transcript:DRNTG_13979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILRKLRCITVDVTGTLIAYKGELGDYYCMAAKSVGLPCPDYKRMHEGFKTAYTDMAKRYPCFGYAAKMPNVDWWRSCVKDSFVKAGYEYDDETFDKVFKRIYSSFGSSAPYSVFPDSQPFLRWARQKGLTIGLVSNAEYRYQDVILPALGLNKGSEWDFGVFSGMVGVEKPDPRIYEIALEMAGNVAPEEVLHIGDSMRKDYIPAKTLGMHALLLDRFKTKDAESWRQAGAPVFPDLVAVQDWLAKEDNVAARLN >DRNTG_14955.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1052918:1055435:-1 gene:DRNTG_14955 transcript:DRNTG_14955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDAKNTALSHADDAMKIAEINAEKVELLSGEVSRLKTLLDSKLESKSLEVAELVKKLDSEIVALKEELEKAKAAEGKLVDMEALIEGLKIEVTDAKQAESDAAKNVDEWKKKAELLETQLDEARVSERSLLDSLASVRKELEESNDSLQDAESEISVLKGKLESLEIELATYKQSLDDSNQSLDSAQKEAIELRNTVEVLKSNFQKAEAEKMQALNDEKTATTNLQSLSEEKKILLDELEMAREDGEKVKKAMDDLTSALHEVSAEARDAQEKLLVKQAEVENANNQIEELQLTLRNTQEKYEVVLDEAKYEAICLKNSIEKLETEAKNSKAEWDEKESEFITAVKKSVEEITAIKVEMSNLADSLKGAENEAQAAKEDGGQLMNKLKQVESELTIVNKAVEEAEGKSLELKERLLDKENEVQSITQENDELRVREAAAQEKIKELSALLAEATAKKPDENDEVDTPQSEKEYEVLPKKSFETVKENAEDDTEPTENTKIEESVKEDAGMAKEENGNGNVQEEAAVAAAEDTELKTYESCKITDKDLQSERDQEAESVEDENDAAKTDNASVEQTNGLSSENADNGATSPAKHLQQKKKKALLQKFGNLLKKKNNHK >DRNTG_17686.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30022848:30023317:1 gene:DRNTG_17686 transcript:DRNTG_17686.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMLNQPDILQKAIDELDRVIGPHRLVEESDFPNLPYLRACAREALRL >DRNTG_10562.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000445.1:51003:51438:1 gene:DRNTG_10562 transcript:DRNTG_10562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIGSGIALRIEAIKRAIGSGIALGMEAIKGGRLLKGFWQPSLGGRRETKTPFFGRVWLEAWEDQELELKGEASSSKEEKHSASLGLEEASFGQHSLSSSSFGLGSVIYAFTSCFCCV >DRNTG_19234.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18923298:18924901:-1 gene:DRNTG_19234 transcript:DRNTG_19234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRREGQQRSSGASSMASQEKDTDEDNAKGFFACYLLTSLSPRRKGHTYIGFTINPRRRIRQHNGEIRCGAWRTKRGRPWEMILCIYGFPSNVTALQFEWAWQHPVESLAVRKAASSFKSLSGIANKIKLAYTMLTLPAWENLNLTVNFFSTKYMKHTAGCPRLPKQMRVNVCPMDELPCYLEGQISDHSNEGEDEEEDENESIQYDNGTMESASVERNVFSDDPMDDIRPRLVPKCSISSIEYADESIEGGSSVIDALEYVDDLRRESLEDFRLQLGAESPPMSIDKNNKLQWDTSLKSCNQESQVLEGKCFDSLRPPSTPKTGNNRVSSEDILFSPEDKIINLVTPCNKPASVYPDIIDLTASPIIIQL >DRNTG_19234.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18923298:18924391:-1 gene:DRNTG_19234 transcript:DRNTG_19234.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLPAWENLNLTVNFFSTKYMKHTAGCPRLPKQMRVNVCPMDELPCYLEGQISDHSNEGEDEEEDENESIQYDNGTMESASVERNVFSDDPMDDIRPRLVPKCSISSIEYADESIEGGSSVIDALEYVDDLRRESLEDFRLQLGAESPPMSIDKNNKLQWDTSLKSCNQESQVLEGKCFDSLRPPSTPKTGNNRVSSEDILFSPEDKIINLVTPCNKPASVYPDIIDLTASPIIIQL >DRNTG_24330.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:328107:331915:1 gene:DRNTG_24330 transcript:DRNTG_24330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TGA10 [Source:Projected from Arabidopsis thaliana (AT5G06839) UniProtKB/Swiss-Prot;Acc:E3VNM4] MFDMEYARWLEEHHRLMCELRAAVQEHLPENELRMFVNNCLAHYDELMNLKNIVIKSDVFHLLSGMWKTPAERCFMWIGGFRPSEIIKILLSHVEPLTEQQILGICALQQSTQENEETLRQGLDALYQSLSDTVTSDALSCPSNMANYMGQMAIAMNKLSTLESFVKQGDNLRQQTLHRLNQILTTRQAARCFLAIAEYFHRLRALSSLWLARPRQE >DRNTG_24330.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:329313:331915:1 gene:DRNTG_24330 transcript:DRNTG_24330.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TGA10 [Source:Projected from Arabidopsis thaliana (AT5G06839) UniProtKB/Swiss-Prot;Acc:E3VNM4] MFDMEYARWLEEHHRLMCELRAAVQEHLPENELRMFVNNCLAHYDELMNLKNIVIKSDVFHLLSGMWKTPAERCFMWIGGFRPSEIIKILLSHVEPLTEQQILGICALQQSTQENEETLRQGLDALYQSLSDTVTSDALSCPSNMANYMGQMAIAMNKLSTLESFVKQGDNLRQQTLHRLNQILTTRQAARCFLAIAEYFHRLRALSSLWLARPRQE >DRNTG_24330.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:328107:331915:1 gene:DRNTG_24330 transcript:DRNTG_24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TGA10 [Source:Projected from Arabidopsis thaliana (AT5G06839) UniProtKB/Swiss-Prot;Acc:E3VNM4] MAGHHHHQQQQQQHQISFGMMQPSSSLHANFMSIKESGSGGGGAYDLGELDQALFMYLDGQDHSSVQEQRQTLNIFPSQPMHVEPSTKVSMSLVSPQSSGATRSSEPTMELGNPRIGSDYPPPLSSEPSKDIKPTAVKREGNTRKGTTSSSEQEGPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLTQLEQELQRARAQGVLFGGGALLGDQSLPSGIGGLSSEASMFDMEYARWLEEHHRLMCELRAAVQEHLPENELRMFVNNCLAHYDELMNLKNIVIKSDVFHLLSGMWKTPAERCFMWIGGFRPSEIIKILLSHVEPLTEQQILGICALQQSTQENEETLRQGLDALYQSLSDTVTSDALSCPSNMANYMGQMAIAMNKLSTLESFVKQGDNLRQQTLHRLNQILTTRQAARCFLAIAEYFHRLRALSSLWLARPRQE >DRNTG_24330.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:330709:331915:1 gene:DRNTG_24330 transcript:DRNTG_24330.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TGA10 [Source:Projected from Arabidopsis thaliana (AT5G06839) UniProtKB/Swiss-Prot;Acc:E3VNM4] MANYMGQMAIAMNKLSTLESFVKQGDNLRQQTLHRLNQILTTRQAARCFLAIAEYFHRLRALSSLWLARPRQE >DRNTG_24330.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:328107:331915:1 gene:DRNTG_24330 transcript:DRNTG_24330.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TGA10 [Source:Projected from Arabidopsis thaliana (AT5G06839) UniProtKB/Swiss-Prot;Acc:E3VNM4] MAGHHHHQQQQQQHQISFGMMQPSSSLHANFMSIKESGSGGGGAYDLGELDQALFMYLDGQDHSSVQEQRQTLNIFPSQPMHVEPSTKVSMSLVSPQSSGATRSSEPTMELGNPRIGSDYPPPLSSEPSKDIKPTAVKQREGNTRKGTTSSSEQEGPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLTQLEQELQRARAQGVLFGGGALLGDQSLPSGIGGLSSEASMFDMEYARWLEEHHRLMCELRAAVQEHLPENELRMFVNNCLAHYDELMNLKNIVIKSDVFHLLSGMWKTPAERCFMWIGGFRPSEIIKILLSHVEPLTEQQILGICALQQSTQENEETLRQGLDALYQSLSDTVTSDALSCPSNMANYMGQMAIAMNKLSTLESFVKQGDNLRQQTLHRLNQILTTRQAARCFLAIAEYFHRLRALSSLWLARPRQE >DRNTG_24330.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:329832:331915:1 gene:DRNTG_24330 transcript:DRNTG_24330.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TGA10 [Source:Projected from Arabidopsis thaliana (AT5G06839) UniProtKB/Swiss-Prot;Acc:E3VNM4] MFDMEYARWLEEHHRLMCELRAAVQEHLPENELRMFVNNCLAHYDELMNLKNIVIKSDVFHLLSGMWKTPAERCFMWIGGFRPSEIIKILLSHVEPLTEQQILGICALQQSTQENEETLRQGLDALYQSLSDTVTSDALSCPSNMANYMGQMAIAMNKLSTLESFVKQGDNLRQQTLHRLNQILTTRQAARCFLAIAEYFHRLRALSSLWLARPRQE >DRNTG_31590.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18632243:18633977:1 gene:DRNTG_31590 transcript:DRNTG_31590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLSPTPTSKSKQQEQPQQHQSQSQSQSQPEPPLVFDAAVLSNQTNIPIQFIWPEIDKPKPDSSEELIVPLIDLKDFLAGNDSSTLNLSTMVKQACSTHGFFQVINHGISSDLLTQVHRCADAFFTMPLSDKQTAQRLPGESCGYASSFTGRFSSKLPWKETLSFHFSSSPSSSNLVSDYLLRILGPDFLHFGEVYQKYCEEMSKLSLEIMEVLGLSLGVGKEIFREFFEVNESIMRLNYYPPCQKPELTLGTGPHCDPTSLTILHQDHVGGLQVFTDGKWRTISPNPNAFVVNIGDTFMVCFLKPTHISFCSITNIIM >DRNTG_31590.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18632243:18633977:1 gene:DRNTG_31590 transcript:DRNTG_31590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLSPTPTSKSKQQEQPQQHQSQSQSQSQPEPPLVFDAAVLSNQTNIPIQFIWPEIDKPKPDSSEELIVPLIDLKDFLAGNDSSTLNLSTMVKQACSTHGFFQVINHGISSDLLTQVHRCADAFFTMPLSDKQTAQRLPGESCGYASSFTGRFSSKLPWKETLSFHFSSSPSSSNLVSDYLLRILGPDFLHFGCLFYN >DRNTG_31590.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18632243:18633977:1 gene:DRNTG_31590 transcript:DRNTG_31590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLSPTPTSKSKQQEQPQQHQSQSQSQSQPEPPLVFDAAVLSNQTNIPIQFIWPEIDKPKPDSSEELIVPLIDLKDFLAGNDSSTLNLSTMVKQACSTHGFFQVINHGISSDLLTQVHRCADAFFTMPLSDKQTAQRLPGESCGYASSFTGRFSSKLPWKETLSFHFSSSPSSSNLVSDYLLRILGPDFLHFGEVYQKYCEEMSKLSLEIMEVLGLSLGVGKEIFREFFEVNESIMRLNYYPPCQKPELTLGTGPHCDPTSLTILHQDHVGGLQVFTDGKWRTISPNPNAFVVNIGDTFMALSNGRYKSCLHRAVVNSMEPRKSMAFFLCPEMSKVVQPPRVLVDNEHPRIYPDFTWPAFLEFTQKHYRADMKTLDAFSQWLLRSDTENPVQH >DRNTG_29841.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23166152:23170202:-1 gene:DRNTG_29841 transcript:DRNTG_29841.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic recombination protein SPO11-1 [Source:Projected from Arabidopsis thaliana (AT3G13170) UniProtKB/Swiss-Prot;Acc:Q9M4A2] MARRALRSESGDVLAKIRGPKSHEIHLQLRFSLLWICDDLILCEILAGFVRLMLEGLRNDRLPSVALDRFKTYCSDPSGDWLLSSDIMLRVLLIIQQLLQENKHASKRDIYYMEPSVFQESFGIQLSLTNILLSCLPSAADQGNVDRAINDICILFECSRHHLNVAYPVPISIEEVEDIVSVAQYILVVEKETVFQRLANDKFCERNRCIVITCWFGLIYLENNTSRFLLHLVEHLHLPVYCLVDADPYGFDILTIYRFGSMQMAYDAKLLRVPGMHWLGVFPSDYDKFRLSNRCLLNLTAKDKQKAESILTRCYLHKETPKWRLELEIMLEKGVKFEIEALSASSLSFLSTYIPQKIHEGLYI >DRNTG_33819.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2923195:2928259:-1 gene:DRNTG_33819 transcript:DRNTG_33819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRQESVHFDKITARLKKLSYGLSQEHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTANHPDYASLAARIAVSNLHKNTKKSFSETIKDMYNHVNERSGLKAPLVADDVYEIIMKNAVQLDSEIIYDRDFDYDYFGFKTLERSYLLKVSGKVVERPQHMLMRVAVGIHKDDIDSVIQTYHMMSQRWFTHASPTLFNAGTPRPQLSSCFLVCMKDDSIEGIYDTLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEEHRARDLFYALWIPDLFMERANSNGQWSLFCPNEAPGLSDCWGDEFVNLYLKYEKEGKAKKVVSAHTLWYAILASQIETGTPYMLYKDTCNRKSNQQNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRFVREQGVPIEAHPSKLVGSSGSKTRYFDFEKLAEITAIVTVNLNKIIDVNYYPVETAKNSNLRHRPIGIGVQGLADAFILLGMPFDSPEAQQLNKEIFETIYYHALKASSELAAKEGPYETYQGSPVSKGIFQHDMWNVTPSDRWDWSALREHISKNGVRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGIWSPVLKNQIIYENGSVLKIPEIPDNLKAIYKTVWEIKQKTLVNMAIDRGCYIDQSQSLNIHMEQPNFPKLTSLHFHAWTNGLKTGMYYLRTRAAADAIKFTVDTSIIENNKPVEDTDDEAAKMAKIVCSLNNREECVACGS >DRNTG_28170.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18126306:18127106:1 gene:DRNTG_28170 transcript:DRNTG_28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIASKLSTTQENSPLHNPAVLNKLKENTSEFVKLDSDTLD >DRNTG_05357.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:104012:104477:-1 gene:DRNTG_05357 transcript:DRNTG_05357.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPGFQALADSTAREEAREEAREAREVARIEAEKVTLAERDEKITLLGEIIFEIDGLTDDEAMFILQELPNNETQMKIFFNLPDNRKLCFCRVFLARSSFSPHG >DRNTG_24186.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17142375:17145351:1 gene:DRNTG_24186 transcript:DRNTG_24186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCSCHKFLKCPSLPLDNIEEEDTSTEENIIINNNKLEEEQEEEEETSSVVVAPPPETPTETMEFLARSWSISALELSKALTAVSRHPPPLLNNNNNNNNNNNSQVTAQETQKMTKFHPLLPSDLLAINPPISPRDHGDLKVQLLRGVVPRGGGGGGGKTMGDWLKDNKERRREEARTRNAQVYAATSVAGVAAAVAAVAAQSNGVSKTSAALASAAALVASHCVEMAHVVGATRDQILGVVHSAVTAHTSGDIMALTAGAATALRGAATLRARFHKEINCSTLTGDTKDADASSSVLAFISHGGDLLKRTRKGVLHWKQVSVYVNSSWQVVVKMKSTHMAGTFVKKKKSVVIDVCSDVSAWPGRESGEENNKKAYFAIKTPERLIEFECKSKAEKQMWVEGIQQMLNSPANMSIFL >DRNTG_02305.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:752791:757551:-1 gene:DRNTG_02305 transcript:DRNTG_02305.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANQRVGETGLSASGPSNQAFGYGTHGHGIPSSATNFFDQEGASYFGELEALMQGVVGIRSDGDQKVTAAAAAAAAFVTNRPPTLEIFPSWPMRFQQIPRGTSLSTESTDSGSAQNTISQLGSDSPVSKKTSSDQSSEQQQQQQLQEQQEMMVSDVSRTGTEAAAATNHQSRPQEKRRVAGVRDGRTLDAKTLRRLAQNREAAKKSRLRKKAYVQQLESSRIKLTQLEQDLHRARSQGFLLGTSAGASANMSSGAAMFDMEYARWLDESLKNMTELRAGLQAHLCDTDLRIIVDECLTHYDEFFRLRGIAAKTDVFHLITGMWTTPAERCFLWMGGFRPSELLKVLMPQLDPVTEQQLVGIYSLQQSSTQAEEALSQGLEQLQHSLADTVASGALSVGDYVGNYMGHMSMALDKLANLEGFVRQADNLRQQTLHQLRRILTIRQAARCFLAIGEYYNRLRALSSLWASRPRENMFVPDEGTCPTTTSDMQVIHHQIPGHFSAF >DRNTG_02305.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:752791:757551:-1 gene:DRNTG_02305 transcript:DRNTG_02305.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQRVGETGLSASGPSNQAFGYGTHGHGIPSSATNFFDQEGASYFGELEALMQGVVGIRSDGDQKVTAAAAAAAAFVTNRPPTLEIFPSWPMRFQQIPRGTSLSTESTDSGSAQNTISQLGSDSPVSKKTSSDQSSEQQQQQQLQEQQEMMVSDVSRTGTEAAAATNHQSRPQEKRRVAGVRDGRTLDAKTLRRLAQNREAAKKSRLRKKAYVQQLESSRIKLTQLEQDLHRARSQGFLLGTSAGASANMSSGAAMFDMEYARWLDESLKNMTELRAGLQAHLCDTDLRIIVDECLTHYDEFFRLRGIAAKTDVFHLITGMWTTPAERCFLWMGGFRPSELLKVLMPQLDPVTEQQLVGIYSLQQSSTQAEEALSQGLEQLQHSLADTVASGALSVGDYVGNYMGHMSMALDKLANLEGFVRQADNLRQQTLHQLRRILTIRQAARCFLAIGEYYNRLRALSSLWASRPRENMFVPDEGTCPTTTSDMQVIHHQIPGHFSAF >DRNTG_02305.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:752791:757551:-1 gene:DRNTG_02305 transcript:DRNTG_02305.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQRVGETGLSASGPSNQAFGYGTHGHGIPSSATNFFDQEGASYFGELEALMQGVVGIRSDGDQKVTAAAAAAAAFVTNRPPTLEIFPSWPMRFQQIPRGTSLSTESTDSGSAQNTISQLGSDSPVSKKTSSDQSSEQQQQQQLQEQQEMMVSDVSRTGTEAAAATNHQSRPQEKRRVAGVRDGRTLDAKTLRRLAQNREAAKKSRLRKKAYVQQLESSRIKLTQLEQDLHRARSQGFLLGTSAGASANMSSGAAMFDMEYARWLDESLKNMTELRAGLQAHLCDTDLRIIVDECLTHYDEFFRLRGIAAKTDVFHLITGMWTTPAERCFLWMGGFRPSELLKVLMPQLDPVTEQQLVGIYSLQQSSTQAEEALSQGLEQLQHSLADTVASGALSVGDYVGNYMGHMSMALDKLANLEGFVRQADNLRQQTLHQLRRILTIRQAARCFLAIGEYYNRLRALSSLWASRPRENMFVPDEGTCPTTTSDMQVIHHQIPGHFSAF >DRNTG_02305.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:752791:756700:-1 gene:DRNTG_02305 transcript:DRNTG_02305.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQQIPRGTSLSTESTDSGSAQNTISQLGSDSPVSKKTSSDQSSEQQQQQQLQEQQEMMVSDVSRTGTEAAAATNHQSRPQEKRRVAGVRDGRTLDAKTLRRLAQNREAAKKSRLRKKAYVQQLESSRIKLTQLEQDLHRARSQGFLLGTSAGASANMSSGAAMFDMEYARWLDESLKNMTELRAGLQAHLCDTDLRIIVDECLTHYDEFFRLRGIAAKTDVFHLITGMWTTPAERCFLWMGGFRPSELLKVLMPQLDPVTEQQLVGIYSLQQSSTQAEEALSQGLEQLQHSLADTVASGALSVGDYVGNYMGHMSMALDKLANLEGFVRQADNLRQQTLHQLRRILTIRQAARCFLAIGEYYNRLRALSSLWASRPRENMFVPDEGTCPTTTSDMQVIHHQIPGHFSAF >DRNTG_12532.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21139332:21151193:-1 gene:DRNTG_12532 transcript:DRNTG_12532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLNRRLQACAFYDHLDVASVTPQVDEHTSNKHLKNSALFFSVTMLSIPLIILKYIDRISRLRSSDTEGVSLNKQLAYQVDLFLSVHPYAKPSALLLATLMLICLGGLALYGVTDDVLADCLWLSWTFVADSGNHANSVGIGPKLVSVSISFGGMLIFAMMLGLVSDAISEKFDSLRKGRSEVIEKNHTLILGWSDKLGSLLNQLAIANESLGGGTVVVMAERDKEEMELDIAKMEFDFKGTSVICRSGSPLILADLKKVSVSKARAVVVLAEDGNADQSDARALRTVLSLTGVKEGLRGHIVVELGDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLDGMPFEDVLISFPDAVPCGVKVASSGGKIILNPDDSYVLQEGDELLVIAEDDDTYSPSILPVVQGGYLPKDFIDPKSPERILFCGWRRDMEDMIMVLDAFLAPGSELWMFNEVPENERDKKLIDGGLDLGRLENISLVNREGNAVIRRHLESLPLQLFDSILILADESLEDSAIQADSRSLATLLLIRDIQAKRLPYREAMVSHVPRGSFSQGSWIGEMQQASDKSVIISEILDPRTKNLLSMSKISDYVLSNELVSMALAMVAEDRQINDVLEELFAEEGNEIQIRPADLYLCEDEEMNFYEIILRARQRKEIVIGYRLENAERAVINPPAKDVRRKWSSKDVFVVIAEKE >DRNTG_12532.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21139332:21149920:-1 gene:DRNTG_12532 transcript:DRNTG_12532.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLNRRLQACAFYDHLDVASVTPQVDEHTSNKHLKNSALFFSVTMLSIPLIILKYIDRISRLRSSDTEGVSLNKQLAYQVDLFLSVHPYAKPSALLLATLMLICLGGLALYGVTDDVLADCLWLSWTFVADSGNHANSVGIGPKLVSVSISFGGMLIFAMMLGLVSDAISEKFDSLRKGRSEVIEKNHTLILGWSDKLGSLLNQLAIANESLGGGTVVVMAERDKEEMELDIAKMEFDFKGTSVICRSGSPLILADLKKVSVSKARAVVVLAEDGNADQSDARALRTVLSLTGVKEGLRGHIVVELGDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLDGMPFEDVLISFPDAVPCGVKVASSGGKIILNPDDSYVLQEGDELLVIAEDDDTYSPSILPVVHEAVYIDIVRPARKPQKILLCGWRRDIDDMIVVSYSF >DRNTG_18688.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15793580:15794808:1 gene:DRNTG_18688 transcript:DRNTG_18688.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKRSIFVYYSQHFSSQPSTGETSQGQVQAPERSREKQSYALNASTRACGNYP >DRNTG_03239.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16145773:16149022:1 gene:DRNTG_03239 transcript:DRNTG_03239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSDRATALKEFEETKAGVRGLLDSGITSIPAIFHHPNIHLSLIPTATSNVSIPTVDLSLPRPIAVDLIRSACQDWGFFQLVNHGIPLSIIDKAITSVKSFHELPSTVRSQYYNRERDSQVSYFSNIDLFRSTAASWRDTLQISFCPVRPELDRIPEVCRAKLVTWDEQVKEVAREVMRMMCEGLGVDPTRLEEMTCLEWRRMVCHYYPPCPEPDRTFGTVDHTDPSVLTVLLQDQVGGLQVKRKGKDDEQDFWVDVKPVHGAVVVNVGDLLQIISNGEYESVQHRVIANSNEEPRISIAVFFNPGKKGELDLYGPLPELISIEKRAQYRYIKISEFIRTFQSKELGSKFLQHFRLP >DRNTG_11104.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30632918:30635070:-1 gene:DRNTG_11104 transcript:DRNTG_11104.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHPNLQDREIPSPNSSSGSSSNNHRSPVPAPATPPTPPPKPPTPRSVDANPYPTTFVQADTSSFKHVVQMLTGSAETAAKHAVSNPKTSTPPPPSPSPATKPPGPKKPAFKLYERRSSMKNLKMISPLGPAFLHPGPVSPITAFSPRKQPEILSPSMLDFPSLVLSPVTPLIPDPFNRSPAPSSASSLSAEDRAIAEKGFYLHPSPRSTPRDGEPPRLLPLFPVTSPRVSQ >DRNTG_05565.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000255.1:10478:11262:-1 gene:DRNTG_05565 transcript:DRNTG_05565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNGHAETVHLLLDLYASITEVTVEDGPTIDLIGRTLMECLQSLLIRKRSVGKCGVVGEF >DRNTG_13222.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2739996:2744659:1 gene:DRNTG_13222 transcript:DRNTG_13222.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPISGAFALSASLASPLCRRFQLKWTSFQAHSCRVRAFSAAKSDRVPLPKKKRRLDEICLERFQQYSRTYIQSWILQGKVLVDGRVVSKAGTPVSDKSTVEIKAEIPKYSRI >DRNTG_13222.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2739996:2744659:1 gene:DRNTG_13222 transcript:DRNTG_13222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPISGAFALSASLASPLCRRFQLKWTSFQAHSCRVRAFSAAKSDRVPLPKKKRRLDEICLERFQQYSRTYIQSWILQGKVLVDGRVVSKAGTPVSDKSTVEIKAEIPKYVCRAGYKLEAAIEQLGVDVVGKVALDSGLSTGGFTDCLLQHGASFVYGVDVGYGQVAEKIRRDERVCVVERTNLRYLSALPQQVDLVTLDLSFISILLVMPAVVKVMKTESTLVTLVKPQFEAHRSQVGGGGIVRDPQVHQEVLDRIIKGVEGFGFQNKGWIESPLKGAEGNTEFLICFVRNPGEVS >DRNTG_02226.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1158512:1162104:-1 gene:DRNTG_02226 transcript:DRNTG_02226.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALPFLVTKPLHFLLLIFLIAHANLSTCTTVTTTATAAAALLLKGSVSCLDCTSLQDFSGVKVAVSCSHTSQVVSTLTNKKGEFEVKLPSSSSSSSSSSAKCIATLLGGKVQLCAYRKDMVSKLVKPQGSTSNSFVLTTPLNFFTTSCPSRTVHAPTMYEKLDDHDRSSSSSPSTPENIPQPYPWGLPPPCYFCHFLPIIGIP >DRNTG_02226.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1158512:1163873:-1 gene:DRNTG_02226 transcript:DRNTG_02226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYRPHRSPQPDRFLSIFSRSDPVAPAINGGLGVELLEDEVLWTVSDSPEMIRSPRTPSPSMPSALDPRASPFSRIPGRNSGILVALAEEENKQLSAAVGPFLQRKASISASSASTSPSSMSSVRMIPTIPKLKPDYNDGNMLHQSVPVNIPMIPSMAKHRPEANGRGADAGDVVDGDDDEMLPPHEIIARRSGVESPMTTFSVLEGVGRTLKGSDLRRVRNAVWRKTETLDEVSLLHRKCF >DRNTG_02226.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1158464:1159624:-1 gene:DRNTG_02226 transcript:DRNTG_02226.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALPFLVTKPLHFLLLIFLIAHANLSTCTTVTTTATAAAALLLKGSVSCLDCTSLQDFSGVKVAVSCSHTSQVVSTLTNKKGEFEVKLPSSSSSSSSSSAKCIATLLGGKVQLCAYRKDMVSKLVKPQGSTSNSFVLTTPLNFFTTSCPSRTVHAPTMYEKLDDHDRSSSSSPSTPENIPQPYPWGLPPPCYFCHFLPIIGIP >DRNTG_02226.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1158512:1159624:-1 gene:DRNTG_02226 transcript:DRNTG_02226.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALPFLVTKPLHFLLLIFLIAHANLSTCTTVTTTATAAAALLLKGSVSCLDCTSLQDFSGVKVAVSCSHTSQVVSTLTNKKGEFEVKLPSSSSSSSSSSAKCIATLLGGKVQLCAYRKDMVSKLVKPQGSTSNSFVLTTPLNFFTTSCPSRTVHAPTMYEKLDDHDRSSSSSPSTPENIPQPYPWGLPPPCYFCHFLPIIGIP >DRNTG_02226.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1158512:1163873:-1 gene:DRNTG_02226 transcript:DRNTG_02226.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERYRPHRSPQPDRFLSIFSRSDPVAPAINGGLGVELLEDEVLWTVSDSPEMIRSPRTPSPSMPSALDPRASPFSRIPGRNSGILVALAEEENKQLSAAVGPFLQRKASISASSASTSPSSMSSVRMIPTIPKLKPDYNDGNMLHQSVPVNIPMIPSMAKHRPEANGRGADAGDVVDGDDDEMLPPHEIIARRSGVESPMTTFSVLEGVGRTLKGSDLRRVRNAVWRKTGFVD >DRNTG_20951.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001178.1:9575:10696:1 gene:DRNTG_20951 transcript:DRNTG_20951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLTISPTEKKTKEQLNNGEKRVKKDDDLQICLNCLYVMIFIAK >DRNTG_31091.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4193613:4194120:-1 gene:DRNTG_31091 transcript:DRNTG_31091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVTPDSCKTLCLIESDADFQRMCHIHHTFNKTVLDITIKDVSGSVDGNSSSLIPSDSFSTSMNADFETFLSQHPPPGALIIVQSS >DRNTG_00951.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21144580:21145629:-1 gene:DRNTG_00951 transcript:DRNTG_00951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCRSLMRASCSSRARDRVITPSIIRNDGPKTALRPWDKGRDGFAMGEGAGVLVMKSLEHAMKRDAPIIAEYLGGAVNCDAYHMTDLRADGLGVLSCIQSSLEDAGVALEEVNNINTYATSILVGDLAEVNAIRQVFKNPSKIKINTTKSMIGHCLGASGSLEAIASVKATTIGWLHLSINQFGPETAFEFDAVTNVKQQHEVNVVILNSFGFRGYNSADVFTPFKP >DRNTG_15760.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11727604:11730310:-1 gene:DRNTG_15760 transcript:DRNTG_15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFRTGAWRLLGELSSAPIRRGILGEDAVRLQRVLANFIEASDVCVQNMETTLRCHEASFKNLEHQLGGILDTLYKEQQAFEQAIQVTCRDDVVVNDNEEVGQIEYIGVENEKGEAEYHFEILDSVNEDCACERENFQGDLLVSCSFQAENTQEEVNPKRMEQALLFGIDQFINCKKEILGLGEDVGRRLKPSNDPPMLSLDNSQPKLFSWRPKASRENTHACGISARPWICTASSSREGTGACGYPRE >DRNTG_11194.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2135952:2139145:-1 gene:DRNTG_11194 transcript:DRNTG_11194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIYRAAVRCLFRRLPAAPRPRFYGAAAAQLEYDYESEWEEELAADRRRLAPATASWEEEEGRGVRWVFMGSPAAQKHVYATRVAALLDVPYISMGSLVRQELHPRSVLYKKIANAVNGGKLVPEDIIFGLLSKRLEEGYHRGETGFILDGIPRTRIQAEILDQIMDIDLVVNFKCMEDCLVKKHFGSDVCSYCGKSFDANSLESTSLHPCLATRTGHSRLDTSATNVMKESHLEKFRMYSEQSKLLEEYYRKQNKLLDFQMAGGPGETWQGLLAALHLQHINAVNASQKLTA >DRNTG_32566.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19000646:19004194:1 gene:DRNTG_32566 transcript:DRNTG_32566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDSGHPTLHTFQALYGPPEMVKSHLSTPSHPN >DRNTG_21392.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19496617:19497471:1 gene:DRNTG_21392 transcript:DRNTG_21392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLALPKITLTSTSNPLIPGRSSLQIRFAKYSSTCFRPLIGQISSLRSPAQRVPTPAAVSGSSADGEPRSSIGDLTLAVEGMMCDGCAASVKRILESQAPVSSASVSYLQGAAIIWASPEAKTGLNWQEEVGEKLAQHLTTCGFKSQLKG >DRNTG_28319.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:44062:46696:-1 gene:DRNTG_28319 transcript:DRNTG_28319.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNKVAPMLKMQSSPTIERRPAMLGPTNTEDKGSHNVGTMPVISRTEGPMSHIGNLSSWKDSPSSLCLELGSSGASSDASTGKGSTTLGPLMMLWSSSLTE >DRNTG_28319.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:44135:46658:-1 gene:DRNTG_28319 transcript:DRNTG_28319.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNKVAPMLKMQSSPTIERRPAMLGPTNTEDKGSHNVGTMPVISRTEGPMSHIGNLSSWKDSPSSLCLELGSSGASSDASTGKGSTTLGPLMMLWSSSLTE >DRNTG_28319.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:43943:46658:-1 gene:DRNTG_28319 transcript:DRNTG_28319.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNKVAPMLKMQSSPTIERRPAMLGPTNTEDKGSHNVGTMPVISRTEGPMSHIGNLSSWKDSPSSLCLELGSSGASSDASTGKGSTTLGPLMMLWSSSLTE >DRNTG_28319.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:44062:46658:-1 gene:DRNTG_28319 transcript:DRNTG_28319.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNKVAPMLKMQSSPTIERRPAMLGPTNTEDKGSHNVGTMPVISRTEGPMSHIGNLSSWKDSPSSLCLELGSSGASSDASTGKGSTTLGPLMMLWSSSLTE >DRNTG_28319.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:43943:46658:-1 gene:DRNTG_28319 transcript:DRNTG_28319.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNKVAPMLKMQSSPTIERRPAMLGPTNTEDKGSHNVGTMPVISRTEGPMSHIGNLSSWKDSPSSLCLELGSSGASSDASTGKGSTTLGPLMMLWSSSLTE >DRNTG_32486.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001845.1:64749:66820:1 gene:DRNTG_32486 transcript:DRNTG_32486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDILVGANQQAGNITQGQNVREPLSQVQQTTKEPINKNSTRITARNPFLRSRGRQKDNEDRSKEGDLSRRKRNWVLPGTSTVGGAKYGRK >DRNTG_12938.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1593611:1598987:1 gene:DRNTG_12938 transcript:DRNTG_12938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDFELPVISMCFDGNPVIMSQALSQGASFHLTKPLTDAGLETIWQHVWKKKAKVMNVRANINRLPAKRKNNNSDNNVGTNLEKQTGQKKNRILWDSDLHQRFLVAVTLLGDNCVPRRILDLMNVDGLTVKHVGSHLQKHRKRVQRAALVDDISELPTEVTGRIPTTLFMRRTASPGQSFYRPQNLDIIPNVAAVTENDGSSLESLPLIEAAQRNLVQKMLYDQLKQAHDFGIGRRKNNHCHPPSNSGVVPLQSDVRNDATHQQNVFPINQVYQQNVCFSNNGNNAELNWEPSPNPSLQNNYNSTLLQNQDYSDNNLFLNHTAEMQNVYGSAIGFGSYQVPPNIPKEPFPLQAQELNITEDAGMLQTLLNLPEFAQNNIFAGESSRRVNCPVVESPNPDAIYQNEEVDFALNNHSNIEGALGVHSLREDYVSDLPANVNPVQQQTAENINKIIGASSSAEKAIDDCVSGFDPSISEDLFQLLNDL >DRNTG_35491.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23515646:23518093:-1 gene:DRNTG_35491 transcript:DRNTG_35491.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMGPDEGQSCHKTVCVMDVSGPLGSSLVDCLLNRGYYVHAATNNLHGALHDLKGLPIDNNRLKLFRSDPFDYQSIVDALKGCSGLFYMFEESIYDEFTAEIEVRAALNVLEACAQTETMERVVFTSSVTAVVWKEKRDLDTDVDERNWSDPEFCRKFKLWHALAKTLAEKTAWALAMDRGVDMVSINAGLLLTGGQLSPENPYLKGTPEMYGDGVLVTVDLKFLVDAHICVYENSLAFGRYLCFNHTVCRPEDAVKLAKMLVPSSPAPPPSDGLMVIQQRIQNKKLNKLMVDFIDEVHVDD >DRNTG_29334.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29763357:29765665:1 gene:DRNTG_29334 transcript:DRNTG_29334.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFKIKPCSRAYSHDWTECPFVHPGENARRRDPRKYLYSCVPCPEFRKGSCRNGDACEYAHGVFECWLHPAQYRTRLCKDETGCNRRVCFFAHKPEELRMVNPSTVSASMISSPRASSLDMATAMLLMQQPSSPTTNRLKSTLSARKPPTRSRFDGAGSSSRSRCIVSKIFQLAGEPRIRLRRASSRSLIEAKPILQRITINPSA >DRNTG_29334.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29763569:29765918:1 gene:DRNTG_29334 transcript:DRNTG_29334.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFKIKPCSRAYSHDWTECPFVHPGENARRRDPRKYLYSCVPCPEFRKGSCRNGDACEYAHGVFECWLHPAQYRTRLCKDETGCNRRVCFFAHKPEELRMVNPSTVSASMISSPRASSLDMATAMLLMQQPSSPTTNRLKSTLSARKPPTRSRFDGAGSSSRSRCIVSKIFQLAGEPRIRLRRASSRSLIEAKPILQRITINPSA >DRNTG_29334.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29763569:29765755:1 gene:DRNTG_29334 transcript:DRNTG_29334.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFKIKPCSRAYSHDWTECPFVHPGENARRRDPRKYLYSCVPCPEFRKGSCRNGDACEYAHGVFECWLHPAQYRTRLCKDETGCNRRVCFFAHKPEELRMVNPSTVSASMISSPRASSLDMATAMLLMQQPSSPTTNRLKSTLSARKPPTRSRFDGAGSSSRSRCIVSKIFQLAGEPRIRLRRASSRSLIEAKPILQRITINPSA >DRNTG_29334.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29763357:29765918:1 gene:DRNTG_29334 transcript:DRNTG_29334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFKIKPCSRAYSHDWTECPFVHPGENARRRDPRKYLYSCVPCPEFRKGSCRNGDACEYAHGVFECWLHPAQYRTRLCKDETGCNRRVCFFAHKPEELRMVNPSTVSASMISSPRASSLDMATAMLLMQQPSSPTTNRLKSTLSARKPPTRSRFDGAGSSSRSRCIVSKIFQLAGEPRIRLRRASSRSLIEAKPILQRITINPSA >DRNTG_29334.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29763569:29765665:1 gene:DRNTG_29334 transcript:DRNTG_29334.6 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFKIKPCSRAYSHDWTECPFVHPGENARRRDPRKYLYSCVPCPEFRKGSCRNGDACEYAHGVFECWLHPAQYRTRLCKDETGCNRRVCFFAHKPEELRMVNPSTVSASMISSPRASSLDMATAMLLMQQPSSPTTNRLKSTLSARKPPTRSRFDGAGSSSRSRCIVSKIFQLAGEPRIRLRRASSRSLIEAKPILQRITINPSA >DRNTG_29334.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29763357:29765755:1 gene:DRNTG_29334 transcript:DRNTG_29334.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFKIKPCSRAYSHDWTECPFVHPGENARRRDPRKYLYSCVPCPEFRKGSCRNGDACEYAHGVFECWLHPAQYRTRLCKDETGCNRRVCFFAHKPEELRMVNPSTVSASMISSPRASSLDMATAMLLMQQPSSPTTNRLKSTLSARKPPTRSRFDGAGSSSRSRCIVSKIFQLAGEPRIRLRRASSRSLIEAKPILQRITINPSA >DRNTG_30381.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001567.1:55308:60875:1 gene:DRNTG_30381 transcript:DRNTG_30381.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVKGCLPLCSGIMQNSVVLQHMSFVFHPKGKLDELESVMRDLMAKKEDIQRELDHPRNKGKRPNSQLRRWLHKAGETEDKVQQLLDEYSKGNCDAFSWLKNCYSRYKIGSEAFKLKDEIDVLLKDKQSEISLVETQPPLPVPASHRILGKGIASKLDTICSYLTDETVGMVGVWGMGGVGKTTLLRKIQSLLDNANMAFDHVLFIVASQNIQLEKLREEIAKKLQLPSSAGTEDIFNVLKINNFVLLLDDIWEEIDLNDLGIPHPCGDNNSTKQYKYKVVFSTRSEDVCARMGASKMIRVECLEPDEAWDLFKDNVNSDVIESDERINKIAKQVINACSGLPLALKVIGKAMSNKKTLPEWKFVLRSLESSNTKVVQGVEESLFPILKFSYDNLPDNVKNCFLSASMLQGRPKYKLLECWMGLGLVGDFVYLQEAFDKVEYILKTLEESCLLYFSHDGYMCFHDVIYEMAMWIASDCGMNRNKWIAKGYYGFTEIPTNDTKNWRFANRVMTAGDMELLPILSHQCPDLLCLMILESFLLNNIPEGFFPQMPNLMYLDLSRTGIKELPKDIKCLVNLQYLNISDTLISSLPKELVYLNKMQYLLCRDLGGLSKVEDGLMSKLQKLKVIDLYPYGWVEPDELKLLKKHIRAIGMRVVSQEVLQQLSYLPTTQLCIENLDKLISLSFDTLSCKNHGFLHALQIKSCSQLERLVMNGIETHLNDLIICDVKKLLNIIWTDLSPPEFFHMLKRLCIFRCNLTSFSWVLHLPCLFELNIEDCAEIEMLFYPEEREIQPVSECLMFPALQSLFLIKLPKLGSISNFALDFPRLSQLTVRQCLNLKKLPFRHGINNRQITYINCKRKWWESLEWDDGIIPSHLAPDYSTIFW >DRNTG_30381.9 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001567.1:55308:60875:1 gene:DRNTG_30381 transcript:DRNTG_30381.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVKGCLPLCSGIMQNSVVLQHMSFVFHPKGKLDELESVMRDLMAKKEDIQRELDHPRNKGKRPNSQLRRWLHKAGETEDKVQQLLDEYSKGNCDAFSWLKNCYSRYKIGSEAFKLKDEIDVLLKDKQSEISLVETQPPLPVPASHRILGKGIASKLDTICSYLTDETVGMVGVWGMGGVGKTTLLRKIQSLLDNANMAFDHVLFIVASQNIQLEKLREEIAKKLQLPSSAGTEDIFNVLKINNFVLLLDDIWEEIDLNDLGIPHPCGDNNSTKQYKYKVVFSTRSEDVCARMGASKMIRVECLEPDEAWDLFKDNVNSDVIESDERINKIAKQVINACSGLPLALKVIGKAMSNKKTLPEWKFVLRSLESSNTKVVQGVEESLFPILKFSYDNLPDNVKNCFLSASMLQGRPKYKLLECWMGLGLVGDFVYLQEAFDKVEYILKTLEESCLLYFSHDGYMCFHDVIYEMAMWIASDCGMNRNKWIAKGYYGFTEIPTNDTKNWRFANRVMTAGDMELLPILSHQCPDLLCLMILESFLLNNIPEGFFPQMPNLMYLDLSRTGIKELPKDIKCLVNLQYLNISDTLISSLPKELVYLNKMQYLLCRDLGGLSKVEDGLMSKLQKLKVIDLYPYGWVEPDELKLLKKHIRAIGMRVVSQEVLQQLSYLPTTQLCIENLDKLISLSFDTLSCKNHGFLHALQIKSCSQLERLVMNGIETHLNDLIICDVKKLLNIIWTDLSPPEFFHMLKRLCIFRCNLTSFSWVLHLPCLFELNIEDCAEIEMLFYPEEREIQPVSECLMFPALQSLFLIKLPKLGSISNFALDFPRLSQLTVRQCLNLKKLPFRHGINNRQITYINCKRKWWESLEWDDGIIPSHLAPDYSTIFW >DRNTG_30381.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001567.1:55308:60875:1 gene:DRNTG_30381 transcript:DRNTG_30381.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVKGCLPLCSGIMQNSVVLQHMSFVFHPKGKLDELESVMRDLMAKKEDIQRELDHPRNKGKRPNSQLRRWLHKAGETEDKVQQLLDEYSKGNCDAFSWLKNCYSRYKIGSEAFKLKDEIDVLLKDKQSEISLVETQPPLPVPASHRILGKGIASKLDTICSYLTDETVGMVGVWGMGGVGKTTLLRKIQSLLDNANMAFDHVLFIVASQNIQLEKLREEIAKKLQLPSSAGTEDIFNVLKINNFVLLLDDIWEEIDLNDLGIPHPCGDNNSTKQYKYKVVFSTRSEDVCARMGASKMIRVECLEPDEAWDLFKDNVNSDVIESDERINKIAKQVINACSGLPLALKVIGKAMSNKKTLPEWKFVLRSLESSNTKVVQGVEESLFPILKFSYDNLPDNVKNCFLSASMLQGRPKYKLLECWMGLGLVGDFVYLQEAFDKVEYILKTLEESCLLYFSHDGYMCFHDVIYEMAMWIASDCGMNRNKWIAKGYYGFTEIPTNDTKNWRFANRVMTAGDMELLPILSHQCPDLLCLMILESFLLNNIPEGFFPQMPNLMYLDLSRTGIKELPKDIKCLVNLQYLNISDTLISSLPKELVYLNKMQYLLCRDLGGLSKVEDGLMSKLQKLKVIDLYPYGWVEPDELKLLKKHIRAIGMRVVSQEVLQQLSYLPTTQLCIENLDKLISLSFDTLSCKNHGFLHALQIKSCSQLERLVMNGIETHLNDLIICDVKKLLNIIWTDLSPPEFFHMLKRLCIFRCNLTSFSWVLHLPCLFELNIEDCAEIEMLFYPEEREIQPVSECLMFPALQSLFLIKLPKLGSISNFALDFPRLSQLTVRQCLNLKKLPFRHGINNRQITYINCKRKWWESLEWDDGIIPSHLAPDYSTIFW >DRNTG_30381.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001567.1:55308:60875:1 gene:DRNTG_30381 transcript:DRNTG_30381.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVKGCLPLCSGIMQNSVVLQHMSFVFHPKGKLDELESVMRDLMAKKEDIQRELDHPRNKGKRPNSQLRRWLHKAGETEDKVQQLLDEYSKGNCDAFSWLKNCYSRYKIGSEAFKLKDEIDVLLKDKQSEISLVETQPPLPVPASHRILGKGIASKLDTICSYLTDETVGMVGVWGMGGVGKTTLLRKIQSLLDNANMAFDHVLFIVASQNIQLEKLREEIAKKLQLPSSAGTEDIFNVLKINNFVLLLDDIWEEIDLNDLGIPHPCGDNNSTKQYKYKVVFSTRSEDVCARMGASKMIRVECLEPDEAWDLFKDNVNSDVIESDERINKIAKQVINACSGLPLALKVIGKAMSNKKTLPEWKFVLRSLESSNTKVVQGVEESLFPILKFSYDNLPDNVKNCFLSASMLQGRPKYKLLECWMGLGLVGDFVYLQEAFDKVEYILKTLEESCLLYFSHDGYMCFHDVIYEMAMWIASDCGMNRNKWIAKGYYGFTEIPTNDTKNWRFANRVMTAGDMELLPILSHQCPDLLCLMILESFLLNNIPEGFFPQMPNLMYLDLSRTGIKELPKDIKCLVNLQYLNISDTLISSLPKELVYLNKMQYLLCRDLGGLSKVEDGLMSKLQKLKVIDLYPYGWVEPDELKLLKKHIRAIGMRVVSQEVLQQLSYLPTTQLCIENLDKLISLSFDTLSCKNHGFLHALQIKSCSQLERLVMNGIETHLNDLIICDVKKLLNIIWTDLSPPEFFHMLKRLCIFRCNLTSFSWVLHLPCLFELNIEDCAEIEMLFYPEEREIQPVSECLMFPALQSLFLIKLPKLGSISNFALDFPRLSQLTVRQCLNLKKLPFRHGINNRQITYINCKRKWWESLEWDDGIIPSHLAPDYSTIFW >DRNTG_30381.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001567.1:55308:60875:1 gene:DRNTG_30381 transcript:DRNTG_30381.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVKGCLPLCSGIMQNSVVLQHMSFVFHPKGKLDELESVMRDLMAKKEDIQRELDHPRNKGKRPNSQLRRWLHKAGETEDKVQQLLDEYSKGNCDAFSWLKNCYSRYKIGSEAFKLKDEIDVLLKDKQSEISLVETQPPLPVPASHRILGKGIASKLDTICSYLTDETVGMVGVWGMGGVGKTTLLRKIQSLLDNANMAFDHVLFIVASQNIQLEKLREEIAKKLQLPSSAGTEDIFNVLKINNFVLLLDDIWEEIDLNDLGIPHPCGDNNSTKQYKYKVVFSTRSEDVCARMGASKMIRVECLEPDEAWDLFKDNVNSDVIESDERINKIAKQVINACSGLPLALKVIGKAMSNKKTLPEWKFVLRSLESSNTKVVQGVEESLFPILKFSYDNLPDNVKNCFLSASMLQGRPKYKLLECWMGLGLVGDFVYLQEAFDKVEYILKTLEESCLLYFSHDGYMCFHDVIYEMAMWIASDCGMNRNKWIAKGYYGFTEIPTNDTKNWRFANRVMTAGDMELLPILSHQCPDLLCLMILESFLLNNIPEGFFPQMPNLMYLDLSRTGIKELPKDIKCLVNLQYLNISDTLISSLPKELVYLNKMQYLLCRDLGGLSKVEDGLMSKLQKLKVIDLYPYGWVEPDELKLLKKHIRAIGMRVVSQEVLQQLSYLPTTQLCIENLDKLISLSFDTLSCKNHGFLHALQIKSCSQLERLVMNGIETHLNDLIICDVKKLLNIIWTDLSPPEFFHMLKRLCIFRCNLTSFSWVLHLPCLFELNIEDCAEIEMLFYPEEREIQPVSECLMFPALQSLFLIKLPKLGSISNFALDFPRLSQLTVRQCLNLKKLPFRHGINNRQITYINCKRKWWESLEWDDGIIPSHLAPDYSTIFW >DRNTG_30381.10 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001567.1:55308:60875:1 gene:DRNTG_30381 transcript:DRNTG_30381.10 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVKGCLPLCSGIMQNSVVLQHMSFVFHPKGKLDELESVMRDLMAKKEDIQRELDHPRNKGKRPNSQLRRWLHKAGETEDKVQQLLDEYSKGNCDAFSWLKNCYSRYKIGSEAFKLKDEIDVLLKDKQSEISLVETQPPLPVPASHRILGKGIASKLDTICSYLTDETVGMVGVWGMGGVGKTTLLRKIQSLLDNANMAFDHVLFIVASQNIQLEKLREEIAKKLQLPSSAGTEDIFNVLKINNFVLLLDDIWEEIDLNDLGIPHPCGDNNSTKQYKYKVVFSTRSEDVCARMGASKMIRVECLEPDEAWDLFKDNVNSDVIESDERINKIAKQVINACSGLPLALKVIGKAMSNKKTLPEWKFVLRSLESSNTKVVQGVEESLFPILKFSYDNLPDNVKNCFLSASMLQGRPKYKLLECWMGLGLVGDFVYLQEAFDKVEYILKTLEESCLLYFSHDGYMCFHDVIYEMAMWIASDCGMNRNKWIAKGYYGFTEIPTNDTKNWRFANRVMTAGDMELLPILSHQCPDLLCLMILESFLLNNIPEGFFPQMPNLMYLDLSRTGIKELPKDIKCLVNLQYLNISDTLISSLPKELVYLNKMQYLLCRDLGGLSKVEDGLMSKLQKLKVIDLYPYGWVEPDELKLLKKHIRAIGMRVVSQEVLQQLSYLPTTQLCIENLDKLISLSFDTLSCKNHGFLHALQIKSCSQLERLVMNGIETHLNDLIICDVKKLLNIIWTDLSPPEFFHMLKRLCIFRCNLTSFSWVLHLPCLFELNIEDCAEIEMLFYPEEREIQPVSECLMFPALQSLFLIKLPKLGSISNFALDFPRLSQLTVRQCLNLKKLPFRHGINNRQITYINCKRKWWESLEWDDGIIPSHLAPDYSTIFWMSKVNGRLIAANEQSLSFLFFCLHKLEIISCSNQVFKFLGFTSPKGSQNCKFSLRIISDL >DRNTG_30381.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001567.1:55251:60875:1 gene:DRNTG_30381 transcript:DRNTG_30381.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVKGCLPLCSGIMQNSVVLQHMSFVFHPKGKLDELESVMRDLMAKKEDIQRELDHPRNKGKRPNSQLRRWLHKAGETEDKVQQLLDEYSKGNCDAFSWLKNCYSRYKIGSEAFKLKDEIDVLLKDKQSEISLVETQPPLPVPASHRILGKGIASKLDTICSYLTDETVGMVGVWGMGGVGKTTLLRKIQSLLDNANMAFDHVLFIVASQNIQLEKLREEIAKKLQLPSSAGTEDIFNVLKINNFVLLLDDIWEEIDLNDLGIPHPCGDNNSTKQYKYKVVFSTRSEDVCARMGASKMIRVECLEPDEAWDLFKDNVNSDVIESDERINKIAKQVINACSGLPLALKVIGKAMSNKKTLPEWKFVLRSLESSNTKVVQGVEESLFPILKFSYDNLPDNVKNCFLSASMLQGRPKYKLLECWMGLGLVGDFVYLQEAFDKVEYILKTLEESCLLYFSHDGYMCFHDVIYEMAMWIASDCGMNRNKWIAKGYYGFTEIPTNDTKNWRFANRVMTAGDMELLPILSHQCPDLLCLMILESFLLNNIPEGFFPQMPNLMYLDLSRTGIKELPKDIKCLVNLQYLNISDTLISSLPKELVYLNKMQYLLCRDLGGLSKVEDGLMSKLQKLKVIDLYPYGWVEPDELKLLKKHIRAIGMRVVSQEVLQQLSYLPTTQLCIENLDKLISLSFDTLSCKNHGFLHALQIKSCSQLERLVMNGIETHLNDLIICDVKKLLNIIWTDLSPPEFFHMLKRLCIFRCNLTSFSWVLHLPCLFELNIEDCAEIEMLFYPEEREIQPVSECLMFPALQSLFLIKLPKLGSISNFALDFPRLSQLTVRQCLNLKKLPFRHGINNRQITYINCKRKWWESLEWDDGIIPSHLAPDYSTIFW >DRNTG_30381.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001567.1:55308:60875:1 gene:DRNTG_30381 transcript:DRNTG_30381.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVKGCLPLCSGIMQNSVVLQHMSFVFHPKGKLDELESVMRDLMAKKEDIQRELDHPRNKGKRPNSQLRRWLHKAGETEDKVQQLLDEYSKGNCDAFSWLKNCYSRYKIGSEAFKLKDEIDVLLKDKQSEISLVETQPPLPVPASHRILGKGIASKLDTICSYLTDETVGMVGVWGMGGVGKTTLLRKIQSLLDNANMAFDHVLFIVASQNIQLEKLREEIAKKLQLPSSAGTEDIFNVLKINNFVLLLDDIWEEIDLNDLGIPHPCGDNNSTKQYKYKVVFSTRSEDVCARMGASKMIRVECLEPDEAWDLFKDNVNSDVIESDERINKIAKQVINACSGLPLALKVIGKAMSNKKTLPEWKFVLRSLESSNTKVVQGVEESLFPILKFSYDNLPDNVKNCFLSASMLQGRPKYKLLECWMGLGLVGDFVYLQEAFDKVEYILKTLEESCLLYFSHDGYMCFHDVIYEMAMWIASDCGMNRNKWIAKGYYGFTEIPTNDTKNWRFANRVMTAGDMELLPILSHQCPDLLCLMILESFLLNNIPEGFFPQMPNLMYLDLSRTGIKELPKDIKCLVNLQYLNISDTLISSLPKELVYLNKMQYLLCRDLGGLSKVEDGLMSKLQKLKVIDLYPYGWVEPDELKLLKKHIRAIGMRVVSQEVLQQLSYLPTTQLCIENLDKLISLSFDTLSCKNHGFLHALQIKSCSQLERLVMNGIETHLNDLIICDVKKLLNIIWTDLSPPEFFHMLKRLCIFRCNLTSFSWVLHLPCLFELNIEDCAEIEMLFYPEEREIQPVSECLMFPALQSLFLIKLPKLGSISNFALDFPRLSQLTVRQCLNLKKLPFRHGINNRQITYINCKRKWWESLEWDDGIIPSHLAPDYSTIFW >DRNTG_30381.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001567.1:55308:60875:1 gene:DRNTG_30381 transcript:DRNTG_30381.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVKGCLPLCSGIMQNSVVLQHMSFVFHPKGKLDELESVMRDLMAKKEDIQRELDHPRNKGKRPNSQLRRWLHKAGETEDKVQQLLDEYSKGNCDAFSWLKNCYSRYKIGSEAFKLKDEIDVLLKDKQSEISLVETQPPLPVPASHRILGKGIASKLDTICSYLTDETVGMVGVWGMGGVGKTTLLRKIQSLLDNANMAFDHVLFIVASQNIQLEKLREEIAKKLQLPSSAGTEDIFNVLKINNFVLLLDDIWEEIDLNDLGIPHPCGDNNSTKQYKYKVVFSTRSEDVCARMGASKMIRVECLEPDEAWDLFKDNVNSDVIESDERINKIAKQVINACSGLPLALKVIGKAMSNKKTLPEWKFVLRSLESSNTKVVQGVEESLFPILKFSYDNLPDNVKNCFLSASMLQGRPKYKLLECWMGLGLVGDFVYLQEAFDKVEYILKTLEESCLLYFSHDGYMCFHDVIYEMAMWIASDCGMNRNKWIAKGYYGFTEIPTNDTKNWRFANRVMTAGDMELLPILSHQCPDLLCLMILESFLLNNIPEGFFPQMPNLMYLDLSRTGIKELPKDIKCLVNLQYLNISDTLISSLPKELVYLNKMQYLLCRDLGGLSKVEDGLMSKLQKLKVIDLYPYGWVEPDELKLLKKHIRAIGMRVVSQEVLQQLSYLPTTQLCIENLDKLISLSFDTLSCKNHGFLHALQIKSCSQLERLVMNGIETHLNDLIICDVKKLLNIIWTDLSPPEFFHMLKRLCIFRCNLTSFSWVLHLPCLFELNIEDCAEIEMLFYPEEREIQPVSECLMFPALQSLFLIKLPKLGSISNFALDFPRLSQLTVRQCLNLKKLPFRHGINNRQITYINCKRKWWESLEWDDGIIPSHLAPDYSTIFW >DRNTG_30381.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001567.1:55308:60875:1 gene:DRNTG_30381 transcript:DRNTG_30381.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVKGCLPLCSGIMQNSVVLQHMSFVFHPKGKLDELESVMRDLMAKKEDIQRELDHPRNKGKRPNSQLRRWLHKAGETEDKVQQLLDEYSKGNCDAFSWLKNCYSRYKIGSEAFKLKDEIDVLLKDKQSEISLVETQPPLPVPASHRILGKGIASKLDTICSYLTDETVGMVGVWGMGGVGKTTLLRKIQSLLDNANMAFDHVLFIVASQNIQLEKLREEIAKKLQLPSSAGTEDIFNVLKINNFVLLLDDIWEEIDLNDLGIPHPCGDNNSTKQYKYKVVFSTRSEDVCARMGASKMIRVECLEPDEAWDLFKDNVNSDVIESDERINKIAKQVINACSGLPLALKVIGKAMSNKKTLPEWKFVLRSLESSNTKVVQGVEESLFPILKFSYDNLPDNVKNCFLSASMLQGRPKYKLLECWMGLGLVGDFVYLQEAFDKVEYILKTLEESCLLYFSHDGYMCFHDVIYEMAMWIASDCGMNRNKWIAKGYYGFTEIPTNDTKNWRFANRVMTAGDMELLPILSHQCPDLLCLMILESFLLNNIPEGFFPQMPNLMYLDLSRTGIKELPKDIKCLVNLQYLNISDTLISSLPKELVYLNKMQYLLCRDLGGLSKVEDGLMSKLQKLKVIDLYPYGWVEPDELKLLKKHIRAIGMRVVSQEVLQQLSYLPTTQLCIENLDKLISLSFDTLSCKNHGFLHALQIKSCSQLERLVMNGIETHLNDLIICDVKKLLNIIWTDLSPPEFFHMLKRLCIFRCNLTSFSWVLHLPCLFELNIEDCAEIEMLFYPEEREIQPVSECLMFPALQSLFLIKLPKLGSISNFALDFPRLSQLTVRQCLNLKKLPFRHGINNRQITYINCKRKWWESLEWDDGIIPSHLAPDYSTIFW >DRNTG_05983.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000273.1:81340:83467:-1 gene:DRNTG_05983 transcript:DRNTG_05983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEMGFLRRHFEDVKEHWRSNFSYLDYYKKTLGRREPLPKWNDADVEEFIASDPVYGPQLKALRESKKYGIAGALLGAAHLGGISWKYSKSPHGFVFATGFGALCGGVFGLEVAEHVKQLYKFDKQAANLRFLYWWEDKTLGCDIEPVLDIVLSVSSIR >DRNTG_00182.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:86916:89771:1 gene:DRNTG_00182 transcript:DRNTG_00182.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLRLRRAIALSSSLIHHPPLTSSSSSLSSSCPPLLKPLLRSSLLGNPSSQPITQVRSFRSSIPVEIRRWEGGEEDKIKPDEILFEGCDYNHWLITMDFPKDPKPTPEEMVETYVQTAAKVIGSVEEAKKKMYACSTTTYQGFQVMVSEEESEKFKGLPGVVFVLPDSYIDPVNKEYGGDKYDNGVITPRPPPIQYGRQGRYGDRNRNYDRPRYDSPRDARPMPQGRQPYDRQGPMQGDGRNYAPQQNYGPPGDRRDFGPPGQARDFGDANQGMRRDPMPSYSRDPNQGGNFASQGQAGDQRYAGSPGVGDYGQRSGPGYSGDSRQGSGPGFSGDYRQGSGPGYSGGYQQGSGPGYGGGYQQGSGPGYGGGYQQGSSGPGYGGGYQQGSSGPGYSGGYQQGSSGPGNQQGSGPGYSGDFRQGSGGFGEEKREEAGYGYGQGSGSGHGQS >DRNTG_00182.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:86916:89771:1 gene:DRNTG_00182 transcript:DRNTG_00182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLRLRRAIALSSSLIHHPPLTSSSSSLSSSCPPLLKPLLRSSLLGNPSSQPITQVRSFRSSIPVEIRRWEGGEEDKIKPDEILFEGCDYNHWLITMDFPKDPKPTPEEMVETYVQTAAKVIGSVEEAKKKMYACSTTTYQGFQVMVSEEESEKFKGLPGVVFVLPDSYIDPVNKEYGGDKYDNGVITPRPPPIQYGRQGRYGDRNRNYDRPRYDSPRDARPMPQGRQPYDRQGPMQGDGRNYAPQQNYGPPGDRRDFGPPGQARDFGDANQGMRRDPMPSYSRDPNQGGNFASQGQAGDQRYAGSPGVGDYGQRSGPGYSGDSRQGSGPGFSGDYRQGSGPGYSGGYQQGSGPGYGGGYQQGSGPGYGGGNQQGSGPGYGGGYQQGSSGPGYGGGYQQGSSGPGYSGGYQQGSSGPGNQQGSGPGYSGDFRQGSGGFGEEKREEAGYGYGQGSGSGHGQS >DRNTG_15173.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:391299:395812:1 gene:DRNTG_15173 transcript:DRNTG_15173.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome-2 [Source:Projected from Arabidopsis thaliana (AT1G04400) UniProtKB/Swiss-Prot;Acc:Q96524] MCRALMVTYYMNHGMSMMKMGMPLLPSMTIGRNARAYLLSQHHFFPSWKLALPAGTETIQSCSIEDLGLENELEKSSNALLSRGWSPGWSNADKVFAEFVERHLHDYSKNRMKLDGATTSLLSPYLHFGELSVRKIYQCVRMKSIQWANAGNSSGEESVELFLRSIGLREYSRYLCFNFPFTHERSLLGNLRHYPWRANENHFKAWRQGRTGYPLVDAGMRELWATGWIHNRIRVIVSSFFVKFLLLPWTWGMKYFWDTLLDADLESDILGWQYISGSLPDGHDLNRLDNPQDQGQKFDPEGEFVRSWLPELARMPTEWIHHPWDAPNSVLRAAGVELGLNYPNPIVDINTAREHLDDAITMMWEMNRAERAGKLQGSDEVVADNIIDMRKPDIPKVVVKRQVSCANSSHDQMVPSLQNFKSDFGNKGKEDACAQKISKAVENNGNKPKAGTSKLDEDLHSTAESSSARKRAISESLCDVPTCSSSDMNTLRDLYLFDRPLSSGSPSTRRPQGEETDRLNAEKQAEDADTESSCRDVRQCKRPAY >DRNTG_01055.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3601990:3615089:-1 gene:DRNTG_01055 transcript:DRNTG_01055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFGYRANAVVTFAVTILAVMCSIASLSDNFNASSPTAEIKVLNINWFQKQPNGNDEVSLTMNISADLQSMFTWNTKQVFVFVAAEYETPQNALNQVSLWDGILPSKENAKFWIHTTNKYRFVDQGSNLRGKDFNLTLHWHVMPKTGKMFADKIVMTGYRLPDSYR >DRNTG_13966.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21499918:21505368:1 gene:DRNTG_13966 transcript:DRNTG_13966.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFSPSMVGGGERCGFVSGSQILLKKNFLGSALPFALNKGTYSPWLKQLEWSRYRSGHRLAVYARIKKGQKHEYPWPDDIDPNMKSGHLSYLSHFKPLTEKPKPVTLAFEKPLVDLEKKIVDVRKMAEETGLDFSEQINLLENKYQQALKDLYTHLTPIQRLNIARHPNRPTFLDHVLNITEKWVELHGDRAGYDDPAIVTGIGSIDGKSYLFIGHQKGRNTKENIQRNFAMPTPHGYRKALRMMRYADHHGFPIITFIDTPGAFADLKSEELGQGEAIAHNLREMFGLKVPIITIVIGEGGSGGALAIGCANKLFMLENAVFYVASPEACAAILWKSSQAAPKAAEKLKITATELCRFKIADGIIPEPLGGAHIDPTWTSQQIKVMISKAMEELAQMDTDALLSHRHLKFRALGGFLEGADVEPEKKRNMKKKEANVSKLTADLELEIDNLKRAANEAKGQSSGPVITKEAIEKLRLDVDKEITNAFISMGLQEKLETLKMELSKVQSKSESPALNPALKDKADKLFQEFKLNLSRPGSFLSLKQKLEKLAEVNKLVEQREKAEKLRKEINEKLGGRVKERMEILKMAREKVAKGEKLSEDLIKEVDKVKEELQEMLKSVNLEVIGSSKKKAPSAPPGLEEKLEKADEVIKMEIDKAVELGGLKVKLEELKAEVAQGSNKEKINELSKEIREQIAAVVDPAELKKKIESVTGLSQPEATDTLPRQAGIVAD >DRNTG_09632.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21951609:21955334:1 gene:DRNTG_09632 transcript:DRNTG_09632.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAPEFRRSSRKKLSDWIWWLLGFFIVAGLVLFIVHHRHESTFEPPIKDVNTKFEDASQESVNFTQELVSSTSFARQLIDQMTLAKAYVIIAKERSNAQLAWELSSQIRKCQRLLSQAAVRGKSVTLEEAHPVVSRLSELIYRSRDNHYDISTMIVTLKKHSQALEERAIAATVQSAEFGQLAAESLPKYLHCLNIKLTMDWFENLKLKKLADEQRNSPRLVDINLYHFCIFSDNVLATSVVINSTVSNSDHPQQLVFHVVTDAVNYYAMKAWFLRNNFQGCTVDIQNIDDFSWLNASYSPMVKRLLNEETQHQYLSGVTAGENEDLKFRSPKFVSLLNHLRFYIPEVYPYLEKVVFLDDDVVVQKDLTPLFSLDLHGNVMGAVETCLEAFHRFYKYLNFSNPLISSKFDPQACGWAFGVNVFDLAAWKKANVTGRYHYWLEQNSDQLLWKKGALPPGLLVFYGLMEPLDRRWHVLGLGYDMEVDDRLIDSAAVAHFNGNMKPWQKLAISRYKHLWQQYINLSNTHLQDCIMN >DRNTG_09632.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21951844:21955334:1 gene:DRNTG_09632 transcript:DRNTG_09632.9 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAPEFRRSSRKKLSDWIWWLLGFFIVAGLVLFIVHHRHESTFEPPIKDVNTKFEDASQESVNFTQELVSSTSFARQLIDQMTLAKAYVIIAKERSNAQLAWELSSQIRKCQRLLSQAAVRGKSVTLEEAHPVVSRLSELIYRSRDNHYDISTMIVTLKKHSQALEERAIAATVQSAEFGQLAAESLPKYLHCLNIKLTMDWFENLKLKKLADEQRNSPRLVDINLYHFCIFSDNVLATSVVINSTVSNSDHPQQLVFHVVTDAVNYYAMKAWFLRNNFQGCTVDIQNIDDFSWLNASYSPMVKRLLNEETQHQYLSGVTAGENEDLKFRSPKFVSLLNHLRFYIPEVYPYLEKVVFLDDDVVVQKDLTPLFSLDLHGNVMGAVETCLEAFHRFYKYLNFSNPLISSKFDPQACGWAFGVNVFDLAAWKKANVTGRYHYWLEQNSDQLLWKKGALPPGLLVFYGLMEPLDRRWHVLGLGYDMEVDDRLIDSAAVAHFNGNMKPWQKLAISRYKHLWQQYINLSNTHLQDCIMN >DRNTG_09632.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21951609:21955557:1 gene:DRNTG_09632 transcript:DRNTG_09632.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAPEFRRSSRKKLSDWIWWLLGFFIVAGLVLFIVHHRHESTFEPPIKDVNTKFEDASQESVNFTQELVSSTSFARQLIDQMTLAKAYVIIAKERSNAQLAWELSSQIRKCQRLLSQAAVRGKSVTLEEAHPVVSRLSELIYRSRDNHYDISTMIVTLKKHSQALEERAIAATVQSAEFGQLAAESLPKYLHCLNIKLTMDWFENLKLKKLADEQRNSPRLVDINLYHFCIFSDNVLATSVVINSTVSNSDHPQQLVFHVVTDAVNYYAMKAWFLRNNFQGCTVDIQNIDDFSWLNASYSPMVKRLLNEETQHQYLSGVTAGENEDLKFRSPKFVSLLNHLRFYIPEVYPYLEKVVFLDDDVVVQKDLTPLFSLDLHGNVMGAVETCLEAFHRFYKYLNFSNPLISSKFDPQACGWAFGVNVFDLAAWKKANVTGRYHYWLEQNSDQLLWKKGALPPGLLVFYGLMEPLDRRWHVLGLGYDMEVDDRLIDSAAVAHFNGNMKPWQKLAISRYKHLWQQYINLSNTHLQDCIMN >DRNTG_09632.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21951752:21957002:1 gene:DRNTG_09632 transcript:DRNTG_09632.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAPEFRRSSRKKLSDWIWWLLGFFIVAGLVLFIVHHRHESTFEPPIKDVNTKFEDASQESVNFTQELVSSTSFARQLIDQMTLAKAYVIIAKERSNAQLAWELSSQIRKCQRLLSQAAVRGKSVTLEEAHPVVSRLSELIYRSRDNHYDISTMIVTLKKHSQALEERAIAATVQSAEFGQLAAESLPKYLHCLNIKLTMDWFENLKLKKLADEQRNSPRLVDINLYHFCIFSDNVLATSVVINSTVSNSDHPQQLVFHVVTDAVNYYAMKAWFLRNNFQGCTVDIQNIDDFSWLNASYSPMVKRLLNEETQHQYLSGVTAGENEDLKFRSPKFVSLLNHLRFYIPEVYPYLEKVVFLDDDVVVQKDLTPLFSLDLHGNVMGAVETCLEAFHRFYKYLNFSNPLISSKFDPQACGWAFGVNVFDLAAWKKANVTGRYHYWLEQNSDQLLWKKGALPPGLLVFYGLMEPLDRRWHVLGLGYDMEVDDRLIDSAAVAHFNGNMKPWQKLAISRYKHLWQQYINLSNTHLQDCIMN >DRNTG_09632.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21951752:21955557:1 gene:DRNTG_09632 transcript:DRNTG_09632.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAPEFRRSSRKKLSDWIWWLLGFFIVAGLVLFIVHHRHESTFEPPIKDVNTKFEDASQESVNFTQELVSSTSFARQLIDQMTLAKAYVIIAKERSNAQLAWELSSQIRKCQRLLSQAAVRGKSVTLEEAHPVVSRLSELIYRSRDNHYDISTMIVTLKKHSQALEERAIAATVQSAEFGQLAAESLPKYLHCLNIKLTMDWFENLKLKKLADEQRNSPRLVDINLYHFCIFSDNVLATSVVINSTVSNSDHPQQLVFHVVTDAVNYYAMKAWFLRNNFQGCTVDIQNIDDFSWLNASYSPMVKRLLNEETQHQYLSGVTAGENEDLKFRSPKFVSLLNHLRFYIPEVYPYLEKVVFLDDDVVVQKDLTPLFSLDLHGNVMGAVETCLEAFHRFYKYLNFSNPLISSKFDPQACGWAFGVNVFDLAAWKKANVTGRYHYWLEQNSDQLLWKKGALPPGLLVFYGLMEPLDRRWHVLGLGYDMEVDDRLIDSAAVAHFNGNMKPWQKLAISRYKHLWQQYINLSNTHLQDCIMN >DRNTG_09632.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21951752:21955500:1 gene:DRNTG_09632 transcript:DRNTG_09632.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAPEFRRSSRKKLSDWIWWLLGFFIVAGLVLFIVHHRHESTFEPPIKDVNTKFEDASQESVNFTQELVSSTSFARQLIDQMTLAKAYVIIAKERSNAQLAWELSSQIRKCQRLLSQAAVRGKSVTLEEAHPVVSRLSELIYRSRDNHYDISTMIVTLKKHSQALEERAIAATVQSAEFGQLAAESLPKYLHCLNIKLTMDWFENLKLKKLADEQRNSPRLVDINLYHFCIFSDNVLATSVVINSTVSNSDHPQQLVFHVVTDAVNYYAMKAWFLRNNFQGCTVDIQNIDDFSWLNASYSPMVKRLLNEETQHQYLSGVTAGENEDLKFRSPKFVSLLNHLRFYIPEVYPYLEKVVFLDDDVVVQKDLTPLFSLDLHGNVMGAVETCLEAFHRFYKYLNFSNPLISSKFDPQACGWAFGVNVFDLAAWKKANVTGRYHYWLEQNSDQLLWKKGALPPGLLVFYGLMEPLDRRWHVLGLGYDMEVDDRLIDSAAVAHFNGNMKPWQKLAISRYKHLWQQYINLSNTHLQDCIMN >DRNTG_09632.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21951844:21955233:1 gene:DRNTG_09632 transcript:DRNTG_09632.11 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAPEFRRSSRKKLSDWIWWLLGFFIVAGLVLFIVHHRHESTFEPPIKDVNTKFEDASQESVNFTQELVSSTSFARQLIDQMTLAKAYVIIAKERSNAQLAWELSSQIRKCQRLLSQAAVRGKSVTLEEAHPVVSRLSELIYRSRDNHYDISTMIVTLKKHSQALEERAIAATVQSAEFGQLAAESLPKYLHCLNIKLTMDWFENLKLKKLADEQRNSPRLVDINLYHFCIFSDNVLATSVVINSTVSNSDHPQQLVFHVVTDAVNYYAMKAWFLRNNFQGCTVDIQNIDDFSWLNASYSPMVKRLLNEETQHQYLSGVTAGENEDLKFRSPKFVSLLNHLRFYIPEVYPYLEKVVFLDDDVVVQKDLTPLFSLDLHGNVMGAVETCLEAFHRFYKYLNFSNPLISSKFDPQACGWAFGVNVFDLAAWKKANVTGRYHYWLEQNSDQLLWKKGALPPGLLVFYGLMEPLDRRWHVLGLGYDMEVDDRLIDSAAVAHFNGNMKPWQKLAISRYKHLWQQYINLSNTHLQDCIMN >DRNTG_09632.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21951609:21955500:1 gene:DRNTG_09632 transcript:DRNTG_09632.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAPEFRRSSRKKLSDWIWWLLGFFIVAGLVLFIVHHRHESTFEPPIKDVNTKFEDASQESVNFTQELVSSTSFARQLIDQMTLAKAYVIIAKERSNAQLAWELSSQIRKCQRLLSQAAVRGKSVTLEEAHPVVSRLSELIYRSRDNHYDISTMIVTLKKHSQALEERAIAATVQSAEFGQLAAESLPKYLHCLNIKLTMDWFENLKLKKLADEQRNSPRLVDINLYHFCIFSDNVLATSVVINSTVSNSDHPQQLVFHVVTDAVNYYAMKAWFLRNNFQGCTVDIQNIDDFSWLNASYSPMVKRLLNEETQHQYLSGVTAGENEDLKFRSPKFVSLLNHLRFYIPEVYPYLEKVVFLDDDVVVQKDLTPLFSLDLHGNVMGAVETCLEAFHRFYKYLNFSNPLISSKFDPQACGWAFGVNVFDLAAWKKANVTGRYHYWLEQNSDQLLWKKGALPPGLLVFYGLMEPLDRRWHVLGLGYDMEVDDRLIDSAAVAHFNGNMKPWQKLAISRYKHLWQQYINLSNTHLQDCIMN >DRNTG_09632.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21951752:21955233:1 gene:DRNTG_09632 transcript:DRNTG_09632.10 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAPEFRRSSRKKLSDWIWWLLGFFIVAGLVLFIVHHRHESTFEPPIKDVNTKFEDASQESVNFTQELVSSTSFARQLIDQMTLAKAYVIIAKERSNAQLAWELSSQIRKCQRLLSQAAVRGKSVTLEEAHPVVSRLSELIYRSRDNHYDISTMIVTLKKHSQALEERAIAATVQSAEFGQLAAESLPKYLHCLNIKLTMDWFENLKLKKLADEQRNSPRLVDINLYHFCIFSDNVLATSVVINSTVSNSDHPQQLVFHVVTDAVNYYAMKAWFLRNNFQGCTVDIQNIDDFSWLNASYSPMVKRLLNEETQHQYLSGVTAGENEDLKFRSPKFVSLLNHLRFYIPEVYPYLEKVVFLDDDVVVQKDLTPLFSLDLHGNVMGAVETCLEAFHRFYKYLNFSNPLISSKFDPQACGWAFGVNVFDLAAWKKANVTGRYHYWLEQNSDQLLWKKGALPPGLLVFYGLMEPLDRRWHVLGLGYDMEVDDRLIDSAAVAHFNGNMKPWQKLAISRYKHLWQQYINLSNTHLQDCIMN >DRNTG_09632.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21951844:21955500:1 gene:DRNTG_09632 transcript:DRNTG_09632.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAPEFRRSSRKKLSDWIWWLLGFFIVAGLVLFIVHHRHESTFEPPIKDVNTKFEDASQESVNFTQELVSSTSFARQLIDQMTLAKAYVIIAKERSNAQLAWELSSQIRKCQRLLSQAAVRGKSVTLEEAHPVVSRLSELIYRSRDNHYDISTMIVTLKKHSQALEERAIAATVQSAEFGQLAAESLPKYLHCLNIKLTMDWFENLKLKKLADEQRNSPRLVDINLYHFCIFSDNVLATSVVINSTVSNSDHPQQLVFHVVTDAVNYYAMKAWFLRNNFQGCTVDIQNIDDFSWLNASYSPMVKRLLNEETQHQYLSGVTAGENEDLKFRSPKFVSLLNHLRFYIPEVYPYLEKVVFLDDDVVVQKDLTPLFSLDLHGNVMGAVETCLEAFHRFYKYLNFSNPLISSKFDPQACGWAFGVNVFDLAAWKKANVTGRYHYWLEQNSDQLLWKKGALPPGLLVFYGLMEPLDRRWHVLGLGYDMEVDDRLIDSAAVAHFNGNMKPWQKLAISRYKHLWQQYINLSNTHLQDCIMN >DRNTG_09632.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21951752:21955334:1 gene:DRNTG_09632 transcript:DRNTG_09632.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAPEFRRSSRKKLSDWIWWLLGFFIVAGLVLFIVHHRHESTFEPPIKDVNTKFEDASQESVNFTQELVSSTSFARQLIDQMTLAKAYVIIAKERSNAQLAWELSSQIRKCQRLLSQAAVRGKSVTLEEAHPVVSRLSELIYRSRDNHYDISTMIVTLKKHSQALEERAIAATVQSAEFGQLAAESLPKYLHCLNIKLTMDWFENLKLKKLADEQRNSPRLVDINLYHFCIFSDNVLATSVVINSTVSNSDHPQQLVFHVVTDAVNYYAMKAWFLRNNFQGCTVDIQNIDDFSWLNASYSPMVKRLLNEETQHQYLSGVTAGENEDLKFRSPKFVSLLNHLRFYIPEVYPYLEKVVFLDDDVVVQKDLTPLFSLDLHGNVMGAVETCLEAFHRFYKYLNFSNPLISSKFDPQACGWAFGVNVFDLAAWKKANVTGRYHYWLEQNSDQLLWKKGALPPGLLVFYGLMEPLDRRWHVLGLGYDMEVDDRLIDSAAVAHFNGNMKPWQKLAISRYKHLWQQYINLSNTHLQDCIMN >DRNTG_27123.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1804418:1804967:1 gene:DRNTG_27123 transcript:DRNTG_27123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGSKFDLQFTKTTSRSPFISFSYANTIQFSSNKLPKISTNFQVKPRFVTASTMKKMLMECEEPTMEGEKGDVKMKLSYNVTLAVDQCIRQREASGMPCAAVPVCRGRARL >DRNTG_30511.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:782873:785411:1 gene:DRNTG_30511 transcript:DRNTG_30511.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clp protease adapter protein ClpF, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G03390) UniProtKB/Swiss-Prot;Acc:Q67Y99] MRGAIISTFSLANGGSCGAAAAALSRSDIRLLNRRYVSSLSEGLDLWRQYGLRLQSANSSRSKGLGRVRATWLFRGNDKGMDANLERSETANEDILIFFFQLDLETRIQYALNTEQFDVAQQLREKLAEVETQIIKQQEARRGSSSKSEAQDQALHILRLRADMQKAIDSENYGVAAQLRDEITKLEAESLAASAKALAYANVQYAFRLGQKVKHKIFGYRAVICGMDPVCCESSSWMEVANVDKLSQGPNQPFYQVLVDVHADPNLLVAYVPEENLLAGEEPDRDRFDHPYLSFLFYGMDTAGDFIPIKQLREKYGRPRHEVPAEPNDDSDESA >DRNTG_25078.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:657178:659564:-1 gene:DRNTG_25078 transcript:DRNTG_25078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKEICKCFQSLYLVLLRRLIKISRRSSTSDGHAKLMNKLYHSSSLEKKVSSSETLLVDVEGVLLRSSSIFPYFMLVALEAGGFIRGFVLLVLYPLLCFLNQEVGLKVMVMVSFCGLREKGFRVGKTVLVKYFMEDVGVEGYEVLKKKKKGWKKRVCVSLMPTLMVEGFLKECLEVEVVVGRELKVFGGFFTGFMEEDDGGFVKRIQREVEMDDNGVLGFATFSNALLHGFFYHCKELCLVSKKEKKNWQPLPRENYPKPIIFHDGRIAFRPTPAATLAMYLWLPFSILLAIFRAIVYLLLPYKIALPIGVFTGMTSRDIASTSITARQFSKNRLYVCNHRTLLDPVYISAGLNRKVTAVTYSLSRISEMLSPIKTVRLTRNRDEDRRRMAKVAEPGRSRRLP >DRNTG_29296.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11707983:11710281:-1 gene:DRNTG_29296 transcript:DRNTG_29296.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFEEAPRKFSRDQSGSGEEVQTHLRIRPSLNCTTLGLNFSHGGLKVP >DRNTG_06421.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29784964:29786588:-1 gene:DRNTG_06421 transcript:DRNTG_06421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVTSSTILPSPTSHHSDIPLTIFDRFAANIHIYVIYAFTPPTPSNADLISALSKTLVYFPTLTANLSTDCHGRPCVSVGGPHEDRGALVVEATVLSKLEDHLPLTPSPDLRLLHPDAENPKHLLQVQLNRFQCGGLVIGLTSHHRVADGRSMCAFTIAWGKILRGVPIDPLPFYDQPWLKPRNPPLVQFDHWGSEFIHLSPQSNEFIITRTDVDPSEITNLLLHFSPDFITKLKAQTNKLSTDKHTTFETLLGYLWRKMTIARQLDDEECTTLSVSVNGRRRLQPTVPPEFFGNLVLNAYPKAKAKALIEGGAATAAGIVREGLRFIGEDYFQSFIDFGEVYGDRDLVPCFEKDGNVLSPKIEVDGWLGLGFDEVNFGGGGKLCAFLPTWVPFEGLLIFSPSLSQSGGVDVFVSLLEKHAVTMREISHSLD >DRNTG_32086.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:23913:26928:1 gene:DRNTG_32086 transcript:DRNTG_32086.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEPCGSSGSMSSVSKGAGEAEDFVGFLEATSVAVAVEDEELELGLSLGAKNVNGGGGGGKCCRILTAQEFTALGSRASPRSSSSSVSSSSGTANGIVGTKKDSDAVGSASNPPSQMVVGWPPIRAFRMNNLGNQSKYNNDAHKQSINEENTVCKDLEQKAPSSGSSSFFVKVNMDGDPIGRKVDLNAHVSYESLALALELMFNKPNMPLRPHVYGMKASKLLDGSCDFALTYEDKDGDWMLVGDVPWGMFLSTVKRLRIMRTADADGLAPRIQSSNRAGGLIRS >DRNTG_04850.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19516523:19517070:-1 gene:DRNTG_04850 transcript:DRNTG_04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASASSSVSVRIIFFIGFSICGHVSIGTSTSPSSSVSLHIQISIRNFCVIVLDFIVGMLGLLSDCRCSAFQGMNALSNHVEDEVVVVVDDVAAVFCVCISLYFEV >DRNTG_25274.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31520932:31523495:-1 gene:DRNTG_25274 transcript:DRNTG_25274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRPANSSASSNRSRHSARIIAQTTVDAKLDAEFEEFGTSFDYSQSIVAHKGSSPDPKKSEKVTAYLQHIQKGKLIQPFGCLLALDEKTFKVIAYSENSPEMLTMVSHAVPSVGEHLTLGIGTDVRSIFTSPSTAALQKALGYPEVSLLNPILVHCKTSGKPFYAIIHRVTACLIVDFEPVKPSELPMTAAGALQSYKLAAKAIARLQSLPGGSIERLCETVIEEVFELTGYDRVMVYRFHEDDHGEVFREITKAGIESYQGLHYPATDIPQAARFLFLKSKIRMICDCRARPIKIYQDEKLPSDLTFCGSTLRAPHSCHLQYMENMNSIASLVMAVVVNEGEEECENLESGQSQQQKRKRLWGLVVCHHESPRFVPFP >DRNTG_25274.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31520932:31523290:-1 gene:DRNTG_25274 transcript:DRNTG_25274.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRPANSSASSNRSRHSARIIAQTTVDAKLDAEFEEFGTSFDYSQSIVAHKGSSPDPKKSEKVTAYLQHIQKGKLIQPFGCLLALDEKTFKVIAYSENSPEMLTMVSHAVPSVGEHLTLGIGTDVRSIFTSPSTAALQKALGYPEVSLLNPILVHCKTSGKPFYAIIHRVTACLIVDFEPVKPSELPMTAAGALQSYKLAAKAIARLQSLPGGSIERLCETVIEEVFELTGYDRVMVYRFHEDDHGEVFREITKAGIESYQGLHYPATDIPQAARFLFLKSKIRMICDCRARPIKIYQDEKLPSDLTFCGSTLRAPHSCHLQYMENMNSIASLVMAVVVNEGEEECENLESGQSQQQKRKRLWGLVVCHHESPRFVPFP >DRNTG_14371.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:396710:398960:-1 gene:DRNTG_14371 transcript:DRNTG_14371.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLERRQRPQENPRRRVQLSEPGLLRRRRAVGPEETKERSRWLM >DRNTG_23232.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21719310:21722755:1 gene:DRNTG_23232 transcript:DRNTG_23232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPASKNQQHAMYDNKPGNDTCGSAKNADNLTGIGAKEGQHETQSGIQHNENYNWSTFFKQASGTSANAPSAAAQPANVFEQMRRGLDKIETDEMKEEALDKKVDQTQKRTHSRSENVNVGVGGNQHHQDTMGKKRKNITDDLATNVRRARTKQAVLGTGKGGNSFSRFKMAYNAKKRSSKSEVRGALMEKAKFGIIEKLAEWKVTGKEGKGKKKQKPEDTTAKMEEKDKGKPSVAADSGNFTEASKDDALKNLFDEIPDGEPSNNHDSDSDYAETEKVSMDVPDPDFYNFDGDRSENSFGDEEVWAVYDNEDGMPRFYALVQKVVSVKPFKIRISFLIAKSCYEFGQLNWIGSGFAKTCGTFKIGKYEINDTVNIFSHKVRWEKGLRGSIKIVPRRGDVWALYKNWSPNWNEDTAKDVIYKYEMVEVLDDYNEHQGIYVMPLLKVAGFKTVFHRHLDHRKVRRIAKKDMFRFSHQVPAYVLTGKEADNAPKGCLELDPASTPMELLQVITVVKKDEVLKADDLAKRS >DRNTG_01448.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8215912:8217684:1 gene:DRNTG_01448 transcript:DRNTG_01448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWKVLYSSSKDSFVRYRIRLDRSSPTNHLPNGITMSECSSVTAFVPLQMDVLTRMRGGSFLLLRSVHSSSGSPSTNVEGFPRVITWSYNQR >DRNTG_18215.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1115414:1115730:1 gene:DRNTG_18215 transcript:DRNTG_18215.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGKPRKNRSIQEPPTPSVEIELDGRDRMRLPIDE >DRNTG_25710.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001334.1:30022:37741:1 gene:DRNTG_25710 transcript:DRNTG_25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYASMEAPLSTFFARPVDSSCNQENSLRPTPVRKFHTGVDIHRPNSQRQTHAPVSSRDGESFSTEIRTGMWKLPTPVRFLQGHSQGRVQALVCSWDNLPNSGGLHTPVRKLPTGVRKLHGCSQGQPQAPVPSPHLLPNPKSLPCN >DRNTG_29077.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6770410:6779500:1 gene:DRNTG_29077 transcript:DRNTG_29077.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNESHWASRGAPQKEVGIYEVSANDALAVKVDSSSKLESVMSCSTCGGAHGVAQCPIASPYIAPIENGQQQKVAPPPQGPQIQQPVLEKRFTTKDVLAKFMINTESRFNSITSSMDSQFSKLAKANSKRPLGSLPSNTEENPREHLKAIALRNGKQVETKVGVDPSVKETRVTEVEDPNIVEKVIEKSKQSEEKESPQKVLSLNPLDEYLGELENEDQEEPHSPLPSSNLKWPMEKVMCTNSKEKEKKDSMLKKIWREVRGKKKKGSTHSHQTPQEKKGTETPWRTRRYDLGEKEKKTRSWNLITAYGLNAARLPTPNSVNEELYEDLMKPVYHLNEEDQGLDLKGKASSSKEE >DRNTG_34389.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4838895:4839448:-1 gene:DRNTG_34389 transcript:DRNTG_34389.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINHHSISKKSKIKKKGTNLKRNSAINGDFDH >DRNTG_03799.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22311602:22315907:-1 gene:DRNTG_03799 transcript:DRNTG_03799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPTLVPLLLLLLLLLLLYKTFRRKLPPGPRPWPVVGNLYDIEPVRFRCFWEWSKKYGPIMSVWFGPTLNVVVSSPELAKEVLKEHDHKLADRSRTRSSARFSRNGSDLIWADYGAHYVKVRKVCTLELFSPKRLQAFRPIREHEVSAMVHSIFSNVTQQENKGKSFVLRSHLSSVAFNNITRLVFGKRFMNSEGMMDEQGLEFKVIVSNGLKFGGSLGIPEHIPWLRWMFPLDEEAYAKHANRRDRLSKAIMDEHTQARNISGGAKQHFIDALLTLQHQYELSEDTIIGLLWDMITAGMDTTVITVEWAMAEIVKNPRVQDKAQEELDRVIGSNRIITEADFPSLPYLNALVKEALRLHPPTPLMLPHKASASVKLGGYDVPKGSVVHVNVWAIGRDPSMWKNPLEFRPERFLEEDIDIKGHDFRVLPFGAGRRVCPGAQLGIYLVMSMLGHLLHHFRWRLPEGVENENVDMGENPGLVTFMSTPLRVVATPRLPEALYKPVEVEM >DRNTG_15538.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2911090:2911804:1 gene:DRNTG_15538 transcript:DRNTG_15538.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSKRISDLRKERERERERERERERERRGEEEGKAVDGVRVSAIEGEIQLF >DRNTG_08333.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19861392:19863551:-1 gene:DRNTG_08333 transcript:DRNTG_08333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEDEDRASSLTEKSAMSSLEVGSMMSPIPSTNLVAPSLILSSTASYSVARTPTTFIFRWKPSACDLPRFNGIDFLERMRNKKVMFVGDSLGRNQWQSLLCMIHADLPNQSPTQLITGDPLSTFNFLEYGVAIAFYRAPYLVDIDVIKGRRILRLDRMSVNGEAWKGVDVLSFNSGHWWSHKGSLQGWDYMGDGGAYYVDMDRLVAFQRGMSTWANWVDLNINMNTTKVFFQSTSPTHYNAAEWNDPVSKNCYGEKLPVLGFNYSGIYPDQMKVLNGVIKAMKHSAYFLDITRLSELRKDAHPSVYSGVLSPHQRANPDRSADCSHWCLPGLPDTWNQLFYNALFF >DRNTG_08333.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19861392:19863033:-1 gene:DRNTG_08333 transcript:DRNTG_08333.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKKVMFVGDSLGRNQWQSLLCMIHADLPNQSPTQLITGDPLSTFNFLEYGVAIAFYRAPYLVDIDVIKGRRILRLDRMSVNGEAWKGVDVLSFNSGHWWSHKGSLQGWDYMGDGGAYYVDMDRLVAFQRGMSTWANWVDLNINMNTTKVFFQSTSPTHYKYHLSLCVYMYIYIIPLGLRDVFKVKIKSDYFLQLIEFFNLIVSGFNLCLSNFHTFLSQGDQFGNTKFLICHLGHQSMILLSSSAFHSSNAKAHKVDFSFKKKEVLFTDHSPFM >DRNTG_03180.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5788925:5791671:-1 gene:DRNTG_03180 transcript:DRNTG_03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYIASWEEFVERSIQLFRADPQSSRYVMKYRHCDGKLVLKVTDNRECLKFKTDQAQDVKKMEKLNNIFFTLMARGP >DRNTG_01409.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6803766:6804415:1 gene:DRNTG_01409 transcript:DRNTG_01409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGVLRSKPVSFWTKGIWPNKGVGSLLPGIHARINDLEYTATSTWKLYEEDTLLDLVDDTLSPNEYEPE >DRNTG_13920.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19682747:19683965:1 gene:DRNTG_13920 transcript:DRNTG_13920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLGFCAEDSPFSRMMVFEYAPNGTLFEHLHIKEAEHLDWSSRLRIAMGIAYCLEHMLQLDPPIVVRNLNSSSIYLTEDYAAKVSDIEFQYHGKEDSSIKEGSDDPLVAAPSPDSKIIVYKFGILLLEILSGRRPFSEDDGLLVRWASCYFNGRRPLKGMIDITLKSFKERDLGPLSELLNSCTSRDPRKRPTMTEVTDSLRRITLISPEAAAPRLSPLWWAELEILSTEAN >DRNTG_13920.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19683088:19683965:1 gene:DRNTG_13920 transcript:DRNTG_13920.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAYCLEHMLQLDPPIVVRNLNSSSIYLTEDYAAKVSDIEFQYHGKEDSSIKEGSDDPLVAAPSPDSKIIVYKFGILLLEILSGRRPFSEDDGLLVRWASCYFNGRRPLKGMIDITLKSFKERDLGPLSELLNSCTSRDPRKRPTMTEVTDSLRRITLISPEAAAPRLSPLWWAELEILSTEAN >DRNTG_13920.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19680367:19683965:1 gene:DRNTG_13920 transcript:DRNTG_13920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLGFCAEDSPFSRMMVFEYAPNGTLFEHLHIKEAEHLDWSSRLRIAMGIAYCLEHMLQLDPPIVVRNLNSSSIYLTEDYAAKVSDIEFQYHGKEDSSIKEGSDDPLVAAPSPDSKIIVYKFGILLLEILSGRRPFSEDDGLLVRWASCYFNGRRPLKGMIDITLKSFKERDLGPLSELLNSCTSRDPRKRPTMTEVTDSLRRITLISPEAAAPRLSPLWWAELEILSTEAN >DRNTG_13920.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19680367:19683965:1 gene:DRNTG_13920 transcript:DRNTG_13920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSLVLHNNSFYGDIPREISKLQKLEVLDLGHNNFSGLIPSDLSSMMPLGTILLGNNKFLGSLPTPEIKGDRETFLPNKGSITRRIGIRRIMQAKDDSRNRSPSPSPSPSLSHASSPSPASPSPSPTLSPSPVPAPLPLIQENQFHTDAKQPSDLPPPSSPKKHSPSKPPATAARHASSWVIYIAVAGGVSFLLAFTLVYILCCQFNKVVSVRPWSTGLSGQLQKAFVTDGVPALRQLELETACEDFSNVIGSLSDCTFYKGTLSNGVEIAVTSSVYYIYKRLV >DRNTG_13920.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19680367:19683965:1 gene:DRNTG_13920 transcript:DRNTG_13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSLEDLYASTINDDGIALMEFRDRVELDPYSALMGWGDGGDRDPCSWFGIGCSNGRVVDLNLGNLCLRGRLAPEIGRLVMLKSLVLHNNSFYGDIPREISKLQKLEVLDLGHNNFSGLIPSDLSSMMPLGTILLGNNKFLGSLPTPEIKGDRETFLPNKGSITRRIGIRRIMQAKDDSRNRSPSPSPSPSLSHASSPSPASPSPSPTLSPSPVPAPLPLIQENQFHTDAKQPSDLPPPSSPKKHSPSKPPATAARHASSWVIYIAVAGGVSFLLAFTLVYILCCQFNKVVSVRPWSTGLSGQLQKAFVTDGVPALRQLELETACEDFSNVIGSLSDCTFYKGTLSNGVEIAVTSSVYYIYKRLV >DRNTG_13920.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19680367:19683965:1 gene:DRNTG_13920 transcript:DRNTG_13920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLGFCAEDSPFSRMMVFEYAPNGTLFEHLHIKEAEHLDWSSRLRIAMGIAYCLEHMLQLDPPIVVRNLNSSSIYLTEDYAAKVSDIEFQYHGKEDSSIKEGSDDPLVAAPSPDSKIIVYKFGILLLEILSGRRPFSEDDGLLVRWASCYFNGRRPLKGMIDITLKSFKERDLGPLSELLNSCTSRDPRKRPTMTEVTDSLRRITLISPEAAAPRLSPLWWAELEILSTEAN >DRNTG_09402.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000399.1:68032:69182:-1 gene:DRNTG_09402 transcript:DRNTG_09402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKGKSKDNAKARKDVGILCDHKEIGIPSNSTSQTIPKALYTLTKEQRKVICEWLQNLRFLDGYASSLGKCVDMNALKITCMKSHDCHVFMQRIIPIAFREMLPSFIWNPLTELSILFQTICSAMLDIDKLMELEKKVAVILCNLEKIFPPSFFDSMEHLIVHLPYEARIGGPVQYRWMYPFER >DRNTG_02172.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1441427:1443785:-1 gene:DRNTG_02172 transcript:DRNTG_02172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSLGNGFLMDLGEKVEPLPQEFDPKEISCGITSEVNGAVGENGKEREIVLGRNVHTMCLTIDEPEADDEVTGEKEAYMAGVLARYRKSLIERTKHHLGYPYNLDFDYGALGQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWELEKDEYWGYITNCGTEGNLHGILVGREVFPDGILYASKESHYSIFKAARMYRMECVKVNTLISGEIDCADFAAKLILNKDKPAIINVNIGTTVKGAVDDLDLVIKTLEETGFTDRFYIHCDGALFGLMMPFVKRAPKVTFKKPIGSVSVSGHKFVGCPMPCGVQLTRLKHINALSRNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYRGFQKEVQKCLRNAHYLRDHLRAAGISAMLNELSSTVVFERPKDEEFIRRWQLACEGRIAHVVVMPNVNIDKLDNFLNELVQKRASWYQDEKIQPPCVAAELGEDNCVCALHKN >DRNTG_05787.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11862951:11864945:1 gene:DRNTG_05787 transcript:DRNTG_05787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQEKLVQEAVDTLLDNGIRGQPMKDGHNKVYKEFLDVIFGSEGGFRETLLGKGVDYSGAFCHCRGSFAFITSMWITSRNSNRAFPNICNSWSNQTTCCF >DRNTG_29528.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2138041:2138507:1 gene:DRNTG_29528 transcript:DRNTG_29528.6 gene_biotype:protein_coding transcript_biotype:protein_coding EHASTERITEKSDVYSFGVVLLEVITGRHPLDPALPGGAHLVQWVKQHLQRKYDALELIDARLRGLPDCQIQEMFQALAIAVLCVNSRAADRPAMKDVVALLKEIRLPVTDESK >DRNTG_29528.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2138041:2138745:1 gene:DRNTG_29528 transcript:DRNTG_29528.5 gene_biotype:protein_coding transcript_biotype:protein_coding EHASTERITEKSDVYSFGVVLLEVITGRHPLDPALPGGAHLVQWVKQHLQRKYDALELIDARLRGLPDCQIQEMFQALAIAVLCVNSRAADRPAMKDVVALLKEIRLPVTDESK >DRNTG_29528.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2137487:2138745:1 gene:DRNTG_29528 transcript:DRNTG_29528.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWASEDSGAFHNEISALGSIRHRNIVRLLGWAGNRNTRLLFYNYLSNGSLSMFLHRGGKCTAEWETRYEIAIGVAHAVAYLHHDCVPVIIHGDVKSMNVLLGPKFEPFLADFGLARTFSSSSSSNSNSKPTHIAGSYGYIAPEHASTERITEKSDVYSFGVVLLEVITGRHPLDPALPGGAHLVQWVKQHLQRKYDALELIDARLRGLPDCQIQEMFQALAIAVLCVNSRAADRPAMKDVVALLKEIRLPVTDESK >DRNTG_29528.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2137487:2138507:1 gene:DRNTG_29528 transcript:DRNTG_29528.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWASEDSGAFHNEISALGSIRHRNIVRLLGWAGNRNTRLLFYNYLSNGSLSMFLHRGGKCTAEWETRYEIAIGVAHAVAYLHHDCVPVIIHGDVKSMNVLLGPKFEPFLADFGLARTFSSSSSSNSNSKPTHIAGSYGYIAPEHASTERITEKSDVYSFGVVLLEVITGRHPLDPALPGGAHLVQWVKQHLQRKYDALELIDARLRGLPDCQIQEMFQALAIAVLCVNSRAADRPAMKDVVALLKEIRLPVTDESK >DRNTG_29528.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2134852:2138745:1 gene:DRNTG_29528 transcript:DRNTG_29528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSLHSLTTLIIVLSLFFIGSLSIDEQGQALLSWKQTLNTSTTALSSWNPSDINPCKWLGVTCNSNSQVTGLSLISFCLNGPLPSNFQSLKSLKTLILSSTNISGKIPKAFGDYTELRLIDLSSNQISGEVPVELFKLKKLESLALNTNLLQGPIPSEIGNLSSLTYLTLFDNDFSGSIPSSIGKLTNLEVFRAGGNQNIKGVLPPEIGNCSNLIMLGLAETGISGNLPTTIGLLKKIKTIAIYTALLSGPIPKEIGDCTELTSLYLYQNSLTGSIPAEFGQLTKLQTLLLWQNSLVGSIPEEIGNCRELSLVDFSLNQLTGSIPKSIGNLSNLQQLQLSTNQLTGSIPSEISNCTGLTDIELDNNAFSGEISIDFKRLENLTLFFAWQNKLTGKIPGDLAECQHLQSVDLSYNSLVGPIPEKLFGLQNLTKLLLLSNELSGFIPPEIGNCTNLFRLRLNGNRLSGVIPVEIGNLKNLNFLDMSNNRFVGLIPPAISGCDSLQFLDLHSNALSGSLPELFPKSLQFLDVSNNRLTGALTAGIGSLPELTKLLLGKNRLSGEIPRDISYCSKLQLLDLGDNGFSGEIPQELGQLPALEISLNLSCNQLSGEIPMQFSGLEKLGCLDISHNKLTGGLDPLSGLQNLISLNVSFNEFSGELPHTLFFQKLPMSDLAGNRGLYISADGGGGSRSEFRSGRDAMPALKLAMSVLVSVSAVLLLTAVYMLVRTRTGDDQSSEDDQTWEVTLYQKLEFSIAEVVKSLTSANVIGTGSSGVVYKVGIPNSETLAVKKMWASEDSGAFHNEISALGSIRHRNIVRLLGWAGNRNTRLLFYNYLSNGSLSMFLHRGGKCTAEWETRYEIAIGVAHAVAYLHHDCVPVIIHGDVKSMNVLLGPKFEPFLADFGLARTFSSSSSSNSNSKPTHIAGSYGYIAPEHASTERITEKSDVYSFGVVLLEVITGRHPLDPALPGGAHLVQWVKQHLQRKYDALELIDARLRGLPDCQIQEMFQALAIAVLCVNSRAADRPAMKDVVALLKEIRLPVTDESK >DRNTG_29528.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2136796:2138507:1 gene:DRNTG_29528 transcript:DRNTG_29528.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSGLEKLGCLDISHNKLTGGLDPLSGLQNLISLNVSFNEFSGELPHTLFFQKLPMSDLAGNRGLYISADGGGGSRSEFRSGRDAMPALKLAMSVLVSVSAVLLLTAVYMLVRTRTGDDQSSEDDQTWEVTLYQKLEFSIAEVVKSLTSANVIGTGSSGVVYKVGIPNSETLAVKKMWASEDSGAFHNEISALGSIRHRNIVRLLGWAGNRNTRLLFYNYLSNGSLSMFLHRGGKCTAEWETRYEIAIGVAHAVAYLHHDCVPVIIHGDVKSMNVLLGPKFEPFLADFGLARTFSSSSSSNSNSKPTHIAGSYGYIAPEHASTERITEKSDVYSFGVVLLEVITGRHPLDPALPGGAHLVQWVKQHLQRKYDALELIDARLRGLPDCQIQEMFQALAIAVLCVNSRAADRPAMKDVVALLKEIRLPVTDESK >DRNTG_22700.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1391446:1392738:1 gene:DRNTG_22700 transcript:DRNTG_22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGRMLLSAVAGQAAKMGFGRVEWCVLDWNVNAIKFYEEMGADVMQEWRICRLTGDKLQAYAKDHHQD >DRNTG_03147.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21369264:21369855:1 gene:DRNTG_03147 transcript:DRNTG_03147.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKTLKTNRQKREKTQREKEGEFLL >DRNTG_01352.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:279904:285756:-1 gene:DRNTG_01352 transcript:DRNTG_01352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPQRSHGHHRPARTQMRFVPKSNSSASSAAVSDHPKPTPPLTTSFRGSTPPTTRSRDQAKLIDSGGLSFVNYLPQDEAVASGLGAGDGGVDAEESQRVVDILNEELSQLLKMTPRDFWREVARNESLHEFLDSYLQFRHRWYDLPHRGAKGMVAGVVVGELELCRRVFMVLYRISSNKDPGVKASDGLSAKEHTALLQEKKLLDLPKLLDICAIYGHENRELTGLLVANALKAQLALTDNISSVVAHLLDIILTMHQRCDSSLEVLLSAGGHEYHGLEQLYKDFLEVIDFINDAVATLDAFVEAYRPAAMYFANSFELSSGNEELLKILARVHDLLLPSLVRGFMVINASADADAILSLKMLSFRIVKFGWKLLEFCYLSNEILEDSVLATSAKMFPGQVEDPVIRGDILVQTLKEINEEVSYHIQENHVTVSFLQNLEKTYSLLSQITSLRASGWIFVDEGQFQYISHIVTPPPLKSLEKEVGFPVTSGNDKSHMDEDAVILESKISQIKDLFPEYGKGFLSACLEVYNQNPEEVIQRILEGTLHDDLLSLDTSLEQIPPPKSAAKEKNDKGKGVLVEPAIGSSSLPTKGGYLAVRKDIDGPSTASFPSSHGRYTRKSNNEVIESEVLDSRTAKDAVRSAVLAAEYEYEDEYDDSFDDLGLSVVESGFEETENLSDGSPLAGKSWGTESEPPSRNSTSRWASQKKPQFYVKDGKNYSYKVSGSVAVSNTQEAALVNQAQKEIIHGLGRGGNLPLGAVRRLMDAEEQDHQASDAVENTGRGNPNPRGRGGRRGGGNHYRKDRAMKKHFSSVSGI >DRNTG_05106.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2068319:2069210:1 gene:DRNTG_05106 transcript:DRNTG_05106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTSSSSTSTSTSTSTSIPNSLRLLNKSSHKISKQFIPKPQIPTSTSTSLTSSATSTGNFTSNNPNPPQQQPQPPVYNINKNDFREVVQKLTGSPAFNLTAPSLISSPSPSLSPPPPPPPPPPPPAPHRPTVSRLHRIRPPPLAELGQRPQTPSFPGVRPPMSPLPPLPAVNAAVESPITAYMRRLRGDPPPASPLAFGCFPSPGTVAALSPTRLFPTSPGPLASPQWREL >DRNTG_13896.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1843341:1844283:-1 gene:DRNTG_13896 transcript:DRNTG_13896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKFNLRAVENNNKKLLRNRPQTYSYVHESRVIGRDEDKKKLVQMLISDCFEEKIAVVSIVGMGGLGKTTLAQLVYRDEEVQKHFELHIWVCVSDDFDLPKLAAKIIHTASEEICDHTNMEVLQQHLRKVLEQKKYLLVLDDVWNEDFQKWDALRNMLLDGGEGSRILVTTRNEKCSRVMGAQK >DRNTG_08826.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27978446:27980293:1 gene:DRNTG_08826 transcript:DRNTG_08826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVAETILWWPVEPHGTSEDSKMKTISGVTSSCFCFHPSNHTELVSWQDRVRGLERQWLFAFAGAPRLSSDSIREQVINQCRASAKCNLLECGSGLSECHSPVSIMALFESASFCLQPPGDTPTRKSVFDAMVSGCVPVFFDRRTAYDQYTWYLPREHEKYSVFIEEEEVRRGEVSIEKVLSEYSEKQVRAMREEVVRLIPRLIYGDPRSRPEGFKDAVDVAVDGVLRRVGRLT >DRNTG_18777.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000972.1:41258:41838:1 gene:DRNTG_18777 transcript:DRNTG_18777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTSKSETTVGTTALKAPTRDGTVTL >DRNTG_31701.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14493971:14506321:1 gene:DRNTG_31701 transcript:DRNTG_31701.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 5 [Source:Projected from Arabidopsis thaliana (AT1G06590) UniProtKB/Swiss-Prot;Acc:Q8H1U4] MLKLHLLHERALHRGQLKVAQQLCDEFEMLASPVIGVDMELKIEARLRHAHTLLAADQFSQAAAVAHTLFCECYKFKMQVENATVLLLLAEIHKKSGNAVLGLPYALASLSFCQSFNLDLLESSATLTIAELWLSLGSIHAKRALSLVCRTLPMILGHGGLELRARAHIAFAKCHLSDPTFSISEDPSVVLDPLSQAAEELEILEYHKLSAEAFYLMAIVYDKLGQLENREDAAAAFMKHLIALENPEDGDDLNR >DRNTG_31701.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14486045:14506321:1 gene:DRNTG_31701 transcript:DRNTG_31701.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 5 [Source:Projected from Arabidopsis thaliana (AT1G06590) UniProtKB/Swiss-Prot;Acc:Q8H1U4] MEGLFSRSSSSQLDINVGRYETALLCLGTMHCHLGHSKKALEALTEAVRISQQNTDDSCLAYTLAAICNLLSEIGLSNTTGIIGSPYSLGSSTGFGTPMSIQQQLLVLLKRSLKRADSLRLLGLVAFNRLALAKFDIKHVKRPLLSFGPKTSTKLRTCPVNVCKELRLSSHVLSQFGSEGVQQPFDDVAFSTSWLKNLAAVTAPWLKSSRKSNITYTNDYDVFHYNAQPNPIPGSFLQLAGASYLLRATSWELYGSSPLVRINALVYATCFADAASRDVSSICEAYPASCSI >DRNTG_31701.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14476658:14506321:1 gene:DRNTG_31701 transcript:DRNTG_31701.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 5 [Source:Projected from Arabidopsis thaliana (AT1G06590) UniProtKB/Swiss-Prot;Acc:Q8H1U4] MSRSMAPAGGASTGGGGNTAARGGGSSAHGVFDITPHKIAVCQLIQVFAPPAQHSVPFPFQSVPHHNRLGLFLFSLTRSCDDFLEPPLEELLNQLKTVGGSVDDWLSDQLISSMAALSSPDDLFNFFDKLRGVLAPPEGANVEDDQIFLDPNSHLGVYLRCCMLAFNLLSFEGVCHLLTNIAVYCNLNDSTYELPEEDDYNDEQEFHDLLGDADMDLDTTTALYQKYRHESGVEAHVGESSVLHLSPQRPMYGFLEDIQASANPKLKTSFVGAETSEFVSLRENAMRNDDHQGFLRSKCQIEGYLNMQADFLERDAGLFPLNYFNAILKQLRKLAPELHRVKYLQYLNGVHHKDYLTAMDNLHCYFDYSAGMEGLFSRSSSSQLDINVGRYETALLCLGTMHCHLGHSKKALEALTEAVRISQQNTDDSCLAYTLAAICNLLSEIGLSNTTGIIGSPYSLGSSTGFGTPMSIQQQLLVLLKRSLKRADSLRLLGLVAFNRLALAKFDIKHVKRPLLSFGPKTSTKLRTCPVNVCKELRLSSHVLSQFGSEGVQQPFDDVAFSTSWLKNLAAVTAPWLKSSRKSNITYTNDYDVFHYNAQPNPIPGSFLQLAGASYLLRATSWELYGSSPLVRINALVYATCFADAASSAEMSLAFVKLIQHLAVYKGYKEALGALKLAEEKFSCLSNSRLQMLKLHLLHERALHRGQLKVAQQLCDEFEMLASPVIGVDMELKIEARLRHAHTLLAADQFSQAAAVAHTLFCECYKFKMQVENATVLLLLAEIHKKSGNAVLGLPYALASLSFCQSFNLDLLESSATLTIAELWLSLGSIHAKRALSLVCRTLPMILGHGGLELRARAHIAFAKCHLSDPTFSISEDPSVVLDPLSQAAEELEILEYHKLSAEAFYLMAIVYDKLGQLENREDAAAAFMKHLIALENPEDGDDLNR >DRNTG_31701.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14486279:14506321:1 gene:DRNTG_31701 transcript:DRNTG_31701.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 5 [Source:Projected from Arabidopsis thaliana (AT1G06590) UniProtKB/Swiss-Prot;Acc:Q8H1U4] ALTEAVRISQQNTDDSCLAYTLAAICNLLSEIGLSNTTGIIGSPYSLGSSTGFGTPMSIQQQLLVLLKRSLKRADSLRLLGLVAFNRLALAKFDIKHVKRPLLSFGPKTSTKLRTCPVNVCKELRLSSHVLSQFGSEGVQQPFDDVAFSTSWLKNLAAVTAPWLKSSRKSNITYTNDYDVFHYNAQPNPIPGSFLQLAGASYLLRATSWELYGSSPLVRINALVYATCFADAAR >DRNTG_05767.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3540412:3540962:-1 gene:DRNTG_05767 transcript:DRNTG_05767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTCTGLLLRDAGGKGRVPVGREELETRVELESRVERRQAAVMPKKNPPTSSHTAEMGAATTSRARAFSSIKF >DRNTG_09476.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15907443:15912535:1 gene:DRNTG_09476 transcript:DRNTG_09476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLKKGRWTQEEDEKLLKYIQDHGEGSWRSLPKNAGLLRCGKSCRLRWINYLKADVKRGNITKEEEEIIIRLHASFGNRWSLIAGYLPGRTDNEIKNYWNSHLSRSISSYRKFDNNDLFPMASDIEKLSQLHKKKRGKVSRSAMQKNKTMFNKKSETEVVQDEHESSGIWEAMDEVMLQSLLDLGSQEEERSSNGEENVENFLVFGDEGGVSESNGMDFEKFEGMEVVGSGEEVSEEASYKVLDGGDELWQWIWDGGDHGGLEINGYEDDEDFQSWLFSEFL >DRNTG_28024.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16620082:16620640:1 gene:DRNTG_28024 transcript:DRNTG_28024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHETNRFMSIGCDKLHPDTGNIETPSGTYFS >DRNTG_10325.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3086316:3090130:-1 gene:DRNTG_10325 transcript:DRNTG_10325.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARIKLLRNKREVQVRQMRRDIAMLLESRQEDTARIRVEHVIREQNVLAANEIIELFCELVVSRISIIAKQRDCPADLREGISSLLYAAPRCSEIPELSRIRDVFEKKYGKDFVSAAVDLRPNAGVNNMLIEKLSVRKPSGEKKLNIMKEIAKEFLVEWDATESEKELLKPPEEHLEGPKSFVSASSMPVNSVPFRQGVQPSELRSFNDESSGMQFKDTASAVQAAADCAQKAASAAQAAAYLAQQSSKFDQARTFGTQAPNFSKFNSSDVLQTQPSYSTQNFEPNSPSKNQPNFADRVSNSQSFNNSNFTPKKTDRSSSYTVPKKHSDINFDDSDGLESESDNEDGLGTYSRRSAPPPDRDPPVPPSYISPSEDKTDAGELPGTNNINSFSRVHPKLPDYDVLAARFEALKRHRNSTG >DRNTG_10325.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3086316:3090130:-1 gene:DRNTG_10325 transcript:DRNTG_10325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGHKSPSETLKNLVMGAGFAVLRKGFNPSKCKTEAKMAIARIKLLRNKREVQVRQMRRDIAMLLESRQEDTARIRVEHVIREQNVLAANEIIELFCELVVSRISIIAKQRDCPADLREGISSLLYAAPRCSEIPELSRIRDVFEKKYGKDFVSAAVDLRPNAGVNNMLIEKLSVRKPSGEKKLNIMKEIAKEFLVEWDATESEKELLKPPEEHLEGPKSFVSASSMPVNSVPFRQGVQPSELRSFNDESSGMQFKDTASAVQAAADCAQKAASAAQAAAYLAQQSSKFDQARTFGTQAPNFSKFNSSDVLQTQPSYSTQNFEPNSPSKNQPNFADRVSNSQSFNNSNFTPKKTDRSSSYTVPKKHSDINFDDSDGLESESDNEDGLGTYSRRSAPPPDRDPPVPPSYISPSEDKTDAGELPGTNNINSFSRVHPKLPDYDVLAARFEALKRHRNSTG >DRNTG_10325.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3086316:3090130:-1 gene:DRNTG_10325 transcript:DRNTG_10325.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARIKLLRNKREVQVRQMRRDIAMLLESRQEDTARIRVEHVIREQNVLAANEIIELFCELVVSRISIIAKQRFVLDSRIALCL >DRNTG_10325.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3086316:3087903:-1 gene:DRNTG_10325 transcript:DRNTG_10325.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIAKEFLVEWDATESEKELLKPPEEHLEGPKSFVSASSMPVNSVPFRQGVQPSELRSFNDESSGMQFKDTASAVQAAADCAQKAASAAQAAAYLAQQSSKFDQARTFGTQAPNFSKFNSSDVLQTQPSYSTQNFEPNSPSKNQPNFADRVSNSQSFNNSNFTPKKTDRSSSYTVPKKHSDINFDDSDGLESESDNEDGLGTYSRRSAPPPDRDPPVPPSYISPSEDKTDAGELPGTNNINSFSRVHPKLPDYDVLAARFEALKRHRNSTG >DRNTG_15407.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4817919:4820825:-1 gene:DRNTG_15407 transcript:DRNTG_15407.1 gene_biotype:protein_coding transcript_biotype:protein_coding QSRRRNHSSSMEPSSPLAIAVFDSPPTMALTLPSSALRSTRSPSSPSTHPPCPLQPPLDSWWHLLAGNMQRGPFLFSLETVHSQSPVSHWYWSSIREHSKTYTGRQMVVHHVQVRPLLFALTNNIVPSKQPAARGTTARLIAASASNWLSQAPTSTILCSIHGMRYQTSANTPCLACILTLKIL >DRNTG_33425.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001996.1:64391:66845:1 gene:DRNTG_33425 transcript:DRNTG_33425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLSNNVLKVTPRAKTTPTKTFTQLPKSEAIVCPPPAIAQTVVRSASHAAKICLAGSRSRPLSVYVESLDKGAHRTAYMPMCCFGSWLDLANLGSLGLCSRSHDHSSSVKVLRPHTSLHARCLVSSSYMRHCCVVFAWHSG >DRNTG_32338.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29009943:29010727:-1 gene:DRNTG_32338 transcript:DRNTG_32338.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILTQPFVTPQPHTGSADRSAASTGTGSHWVLGLRFDLIRVNPNKQNINSNTEAQIGYWKLKYYKYGL >DRNTG_26469.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:543838:545140:1 gene:DRNTG_26469 transcript:DRNTG_26469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLFQTLTPISFLTIIVTPRTQLYGPGTPTNGRTPTRPKPSRHTRPQIKLNKTAMAQRSLLSYLPLTSTPDLKKNINKIYELDSLVNIH >DRNTG_03359.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18051279:18057039:-1 gene:DRNTG_03359 transcript:DRNTG_03359.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Histidine kinase [Source: Projected from Oryza sativa (Os01g0923700)] MTSLNEFSLDLVVFFSPVLVVCWWVFFRNPVNWFINAKSMEQRTDFFIGKGKFWVGLYEKLSLRGWRNRFYCNYLGSKKVRETWWRKLLLLWVVGWFLGSLWIFWFMNSQAAEKRRETLASMCDERARMLQDQFNVSMNHLQALAILVSTFHHSKDPSAIDQMTFARYAERTAFERPLTSGVAYAVKVLHSEREHFEKRQGWTIKKMESQKQTPAREGDAAFEAHETSPVEEEYAPVIFAQETLSHVISLDMLSGKEDRENILRARESGKGVLTAPFRLLKSNRLGVILTYAVYKTELPSNATPVKRIQAAIGYLGGIFDVEALVDKLLHQLACKQSIVVNVYDTTDPDNPITMYGSNMTRKTVYHNSTLHFGDPVRKHDMLCSFKQKPPLPWLAITTSIGTLVIALLIGYIFHATVNRIAKVEEDYRKMMELKKRAEDADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDITQQDYVRTAQASGKALVSLINEVLDQSKVEHGKLELEVVRFDLRAVLDDILSLFYGKAQEKGIELAVYVSDQVPDTLLGDSGRIRQIITNLMGNSIKFTEKGHIFVTVNLVEEVRSSLEVKTSESSSTLSGFPVADKRRSWETFKLFSQDSLTVKQASSSSSSSSSDEITLIISVEDTGVGIPQEAQSRVFTPFMQGGPSISRIHGGTGIGLSISKRLVVNLMNGEIGFVSVPQIGSTFTFSLVLKKAHNNSSDYKSSEFQGMNALVLDERPARAKVTKYHLQRLGIHCEVATELSRIYPSIAHGKSNVNMVLVEKEAWLKFTDFWPLFMSKLRKSSLPEVPKLFILANPTNSAKSSSVNLMGCDATIIMKPLRASNLAVTLQRAMGNGFGDGLQNGMLPRVPVCNLLHGRQILIVDDNAVNLKVAEGALKKYGAEVKCAESGKKAIELLFKPDNKFDACFMDIQMPEMDGFEATKKIREMEKKINDQTELGEQSSGSVMRRHIPILAMTADVIHATHEECSRWGMDGYVSKPFEGEQLYREVARFFKSAAKKTQ >DRNTG_03359.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18051279:18057039:-1 gene:DRNTG_03359 transcript:DRNTG_03359.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Histidine kinase [Source: Projected from Oryza sativa (Os01g0923700)] MTSLNEFSLDLVVFFSPVLVVCWWVFFRNPVNWFINAKSMEQRTDFFIGKGKFWVGLYEKLSLRGWRNRFYCNYLGSKKVRETWWRKLLLLWVVGWFLGSLWIFWFMNSQAAEKRRETLASMCDERARMLQDQFNVSMNHLQALAILVSTFHHSKDPSAIDQMTFARYAERTAFERPLTSGVAYAVKVLHSEREHFEKRQGWTIKKMESQKQTPAREGDAAFEAHETSPVEEEYAPVIFAQETLSHVISLDMLSGKEDRENILRARESGKGVLTAPFRLLKSNRLGVILTYAVYKTELPSNATPVKRIQAAIGYLGGIFDVEALVDKLLHQLACKQSIVVNVYDTTDPDNPITMYGSNMTRKTVYHNSTLHFGDPVRKHDMLCSFKQKPPLPWLAITTSIGTLVIALLIGYIFHATVNRIAKVEEDYRKMMELKKRAEDADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDITQQDYVRTAQASGKALVSLINEVLDQSKVEHGKLELEVVRFDLRAVLDDILSLFYGKAQEKGIELAVYVSDQVPDTLLGDSGRIRQIITNLMGNSIKFTEKGHIFVTVNLVEEVRSSLEVKTSESSSTLSGFPVADKRRSWETFKLFSQDSLTVKQASSSSSSSSSDEITLIISVEDTGVGIPQEAQSRVFTPFMQGGPSISRIHGGTGIGLSISKRLVVNLMNGEIGFVSVPQIGSTFTFSLVLKKAHNNSSDYKSSEFQGMNALVLDERPARAKVTKYHLQRLGIHCEVATELSRIYPSIAHGKSNVNMVLVEKEAWLKFTDFWPLFMSKLRKSSLPEVPKLFILANPTNSAKSSSVNLMGCDATIIMKPLRASNLAVTLQRAMGNGFGDGLQNGMLPRVPVCNLLHGRQILIVDDNAVNLKVAEGALKKYGAEVKCAESGKKAIELLFKPDNKFDACFMDIQMPEMDGFEATKKIREMEKKINDQTELGEQSSGSVMRRHIPILAMTADVIHATHEECSRWGMDGYVSKPFEGEQLYREVARFFKSAAKKTQ >DRNTG_03359.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18051279:18057595:-1 gene:DRNTG_03359 transcript:DRNTG_03359.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Histidine kinase [Source: Projected from Oryza sativa (Os01g0923700)] MTSLNEFSLDLVVFFSPVLVVCWWVFFRNPVNWFINAKSMEQRTDFFIGKGKFWVGLYEKLSLRGWRNRFYCNYLGSKKVRETWWRKLLLLWVVGWFLGSLWIFWFMNSQAAEKRRETLASMCDERARMLQDQFNVSMNHLQALAILVSTFHHSKDPSAIDQMTFARYAERTAFERPLTSGVAYAVKVLHSEREHFEKRQGWTIKKMESQKQTPAREGDAAFEAHETSPVEEEYAPVIFAQETLSHVISLDMLSGKEDRENILRARESGKGVLTAPFRLLKSNRLGVILTYAVYKTELPSNATPVKRIQAAIGYLGGIFDVEALVDKLLHQLACKQSIVVNVYDTTDPDNPITMYGSNMTRKTVYHNSTLHFGDPVRKHDMLCSFKQKPPLPWLAITTSIGTLVIALLIGYIFHATVNRIAKVEEDYRKMMELKKRAEDADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDITQQDYVRTAQASGKALVSLINEVLDQSKVEHGKLELEVVRFDLRAVLDDILSLFYGKAQEKGIELAVYVSDQVPDTLLGDSGRIRQIITNLMGNSIKFTEKGHIFVTVNLVEEVRSSLEVKTSESSSTLSGFPVADKRRSWETFKLFSQDSLTVKQASSSSSSSSSDEITLIISVEDTGVGIPQEAQSRVFTPFMQGGPSISRIHGGTGIGLSISKRLVVNLMNGEIGFVSVPQIGSTFTFSLVLKKAHNNSSDYKSSEFQGMNALVLDERPARAKVTKYHLQRLGIHCEVATELSRIYPSIAHGKSNVNMVLVEKEAWLKFTDFWPLFMSKLRKSSLPEVPKLFILANPTNSAKSSSVNLMGCDATIIMKPLRASNLAVTLQRAMGNGFGDGLQNGMLPRVPVCNLLHGRQILIVDDNAVNLKVAEGALKKYGAEVKCAESGKKAIELLFKPDNKFDACFMDIQMPEMDGFEATKKIREMEKKINDQTELGEQSSGSVMRRHIPILAMTADVIHATHEECSRWGMDGYVSKPFEGEQLYREVARFFKSAAKKTQ >DRNTG_03359.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18051279:18057039:-1 gene:DRNTG_03359 transcript:DRNTG_03359.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Histidine kinase [Source: Projected from Oryza sativa (Os01g0923700)] MTSLNEFSLDLVVFFSPVLVVCWWVFFRNPVNWFINAKSMEQRTDFFIGKGKFWVGLYEKLSLRGWRNRFYCNYLGSKKVRETWWRKLLLLWVVGWFLGSLWIFWFMNSQAAEKRRETLASMCDERARMLQDQFNVSMNHLQALAILVSTFHHSKDPSAIDQMTFARYAERTAFERPLTSGVAYAVKVLHSEREHFEKRQGWTIKKMESQKQTPAREGDAAFEAHETSPVEEEYAPVIFAQETLSHVISLDMLSGKEDRENILRARESGKGVLTAPFRLLKSNRLGVILTYAVYKTELPSNATPVKRIQAAIGYLGGIFDVEALVDKLLHQLACKQSIVVNVYDTTDPDNPITMYGSNMTRKTVYHNSTLHFGDPVRKHDMLCSFKQKPPLPWLAITTSIGTLVIALLIGYIFHATVNRIAKVEEDYRKMMELKKRAEDADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDITQQDYVRTAQASGKALVSLINEVLDQSKVEHGKLELEVVRFDLRAVLDDILSLFYGKAQEKGIELAVYVSDQVPDTLLGDSGRIRQIITNLMGNSIKFTEKGHIFVTVNLVEEVRSSLEVKTSESSSTLSGFPVADKRRSWETFKLFSQDSLTVKQASSSSSSSSSDEITLIISVEDTGVGIPQEAQSRVFTPFMQGGPSISRIHGGTGIGLSISKRLVVNLMNGEIGFVSVPQIGSTFTFSLVLKKAHNNSSDYKSSEFQGMNALVLDERPARAKVTKYHLQRLGIHCEVATELSRIYPSIAHGKSNVNMVLVEKEAWLKFTDFWPLFMSKLRKSSLPEVPKLFILANPTNSAKSSSVNLMGCDATIIMKPLRASNLAVTLQRAMGNGFGDGLQNGMLPRVPVCNLLHGRQILIVDDNAVNLKVAEGALKKYGAEVKCAESGKKAIELLFKPDNKFDACFMDIQMPEMDGFEATKKIREMEKKINDQTELGEQSSGSVMRRHIPILAMTADVIHATHEECSRWGMDGYVSKPFEGEQLYREVARFFKSAAKKTQ >DRNTG_24846.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29380321:29385930:-1 gene:DRNTG_24846 transcript:DRNTG_24846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPEELNEKNPRPLDEDDIALLKTYGLGPYSGSIKKVEKEIKEMAKKVNDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIINPNTDDAKYIINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKIEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMFAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >DRNTG_03901.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18964648:18966314:1 gene:DRNTG_03901 transcript:DRNTG_03901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRMSGCCLVDKGAVVAQKTVKELDCSWETYSLKELLHATNHFSEKNKLGEGGFGTVYLGHTNKGKQIAVKKLKGTTAKAEMDFAVEVEILGRVRHKNLLGLRGFYAGGDERLIVYDYMPNHSIESHLHGNLATYALLDWPRRMTIAIGAAEGLVYLHHVAKPHIIHRDIKASNVLLDSKFNPRVADFGFAKLISEDVSHMTTKVKGTLGYLAPEYAMWGKVSKSCDVYSYGILVLELITGKKPVEKLEDGKKRDIVQWVTPFLEQGNIEDLVDHNIASGKFEFAQLKVVLNVAMECINNNREKRPTMKQVIKVLMYGWEEKRLKEILWNNDSDGEEDQENNVGEKKKVRLSSADSTPIKMEMKKR >DRNTG_31166.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9738317:9740934:1 gene:DRNTG_31166 transcript:DRNTG_31166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAASVGNTGLTGWGAPKQPGAREGSVLKYYILSTNLNWLAAFCSAHTLILFYESTTLFTERDSIYIPKSFFFFFFYSMI >DRNTG_17175.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10556916:10562712:-1 gene:DRNTG_17175 transcript:DRNTG_17175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAPMRNFHTGVDNFHTPVWILCCSGFSAGCEHCCYSIYLARFSPKSVHCDPYWPTSFILGLTTLPPR >DRNTG_28843.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001449.1:38583:45092:1 gene:DRNTG_28843 transcript:DRNTG_28843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEEVLMLGLKEEVPSTLGIMKKVLWKIKRARRWHKKRSKAVRDVQEPDKVDEPLLSGIKPDNFLSTLKRLCSSCFHIMDATDEIVDEYIQKMFNPDPDEGLFDQEEDNEYIMMLGSTEEVPSTPGILKKVLRKLKRPRRRHRKYSKATGDMHELNKLGIQRKRTPCGINTRPWFVLRAHLEKTQGRGLTPVNDHAIFRMPVVV >DRNTG_10239.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:191182:192039:1 gene:DRNTG_10239 transcript:DRNTG_10239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNLVNGRCYLTPVVETLAELKDNMTPRHWEIIRRTPFAAFTELEAIYQERALLDSLLQRYDGRTNKFRIGESLLSFRPQDVTLVLGLRCDGDAVVFQKKKTRSAFKGRYLSKTYERHRDSIKSTLVQLVRQRGEEDDFVKLLMVYLMGTVLFPNTSCSVLNWIVDYIDDLPAMGR >DRNTG_10803.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17484759:17485608:1 gene:DRNTG_10803 transcript:DRNTG_10803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTRLVGLAFILLLTISLTTASRTLHKVNHSGGGGGGSGSGGGGGGGGGNGNG >DRNTG_20799.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:20533130:20533953:-1 gene:DRNTG_20799 transcript:DRNTG_20799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGFQSMAEVIAVKKASRAEHEERRKLLHQILPMVDGFYIHEVMFILQHGEKMEGINDILQFIRHVCMYSLGVISLSLESLDEVEIGVVVIARPFGGILCRTPSIEIKDLTFRAG >DRNTG_26744.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17048327:17048814:1 gene:DRNTG_26744 transcript:DRNTG_26744.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSNGPRVSIVLFYNPNPGRREESDVYGPLAELLSDKKTARYHNFNMAEFVKVFLKQPVGNKVILEHFKLH >DRNTG_26744.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17032915:17048814:1 gene:DRNTG_26744 transcript:DRNTG_26744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSNGPRVSIVLFYNPNPGRREESDVYGPLAELLSDKKTARYHNFNMAEFVKVFLKQPVGNKVILEHFKLH >DRNTG_04896.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11389966:11393458:1 gene:DRNTG_04896 transcript:DRNTG_04896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEWFDQIKLNGVYDPWEQHHSPQNERVTTSSTNGRKIYGRKNELQWLIEFLIGPNLNNSTVSVAPIVGIGGIGKTTLAQFVFDHTEIENNFDKKGWIFVSSHFDRFRIIREMVEIISPTSERCSTTNLDLLERQLKCHLEGKKFLLVLDDVWSDEWQQLFGLLQSTKAQAIKIIVTCRNPTVLKSIDKKNIIILRDINAEEYWSFFLNCASAENNPELHDIGRRIVKKLMGIPLAANTVGKLLGKHLTKEHWNYVLENDLWKLKNDAQDIMPALALSYYHLPQHLQLCFAFCSVLPKNHTYNVDEVISMWIANGYIHESSSSNAMNDIGRQYFDELVALCFFYDFFGDSKLFTMHDLIHDLAVLVSHDETCIYESRNNKEISENVRHLYSECLIDLGLVHETNNFRTLVLNGIYDMPAFLSHKAFSRIRVLIICDCDMQTFPDAIPHLKHLQHLDLMETDIRKIPDSLCRLYQLRVLKLLHPKTLPNQFHNLVKLEILCMYQFSWDSLYDKLDYLVNRERGFMVAQLRNMNELRGELSIENLENIDNREEAKKAKLKEKRHIKKLGLRWHYTLDGSEHDVQEVLEGLEPHPNLEELKIKGYMGSKTPSWLQKIQKLKIIYFSNCRNLTIECESCDDSETEMLPSLQLLSLKKSTVSFTGMESSSSSSPTTPGHRKLFLHLQNLTVEGCDGVNGLHWLIGSALKQLVISNSPGLDDQFPECLRGFSSLTQLELREAKIQTFDAEVMATLHALEEILLENCNELFSVEGLQALPSLKKLSIGKCSKFKFLCMEEMTELQNIQIAYCQDLESLPVWLHHLHLLKRLYISDCPKFHSLPEDGLPSSLEDLKIINCNPGLIERCQQVGSREWLMLQQIPTGNYFVRNLSGYEASNLSQISL >DRNTG_26721.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7259875:7260591:-1 gene:DRNTG_26721 transcript:DRNTG_26721.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWKVMFPRGADIRLVRGHLEVYEPCDDSFALVDALLADRGNLVNHQARFCMEIGCGSGYVTTSLAIILGKENSGIHYFTRDINPHATRVTQRTLYAHGVHAEVINTDIASRLQKRLVGMMDVIVVNPPYVPTPEEEVNCEGITSSWVGGYNGCTVIDKIFPVVDELLSDTTWLYMVTLTTKNPSEICLVIRRKVYASRIVLQRSTEEESLHVIKFWREGVDDVRDKDIMSSSLDLGS >DRNTG_33619.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7560329:7562126:1 gene:DRNTG_33619 transcript:DRNTG_33619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINVNENTTSSRPVEVVSQLVKDAPLAQPLMFKSQAFISESLYALAQCSSDLSSKGCSDCLTTILANIKACCTSSKGWRFLAPSCWIRYEATPFLQNLNGTSTYITQSYCSSNNFPSNGASQGLVRLLSSLTKNAPAMAGFYYTIVREKRDMLYGLALCRGDLQNKREDCQSCLNDASRSIVEDCPSKAQAIEWYEKCFVKYSNQRFFGMLDSVNRTLCGVEQVSADADSATEKLARSLINDAVKNKKFVSAGKLVISCSLSSYVLVQCSRDLSKGRCMQCLQRGMDKVSSECKLTNGWRYLSGSCTLRYETFPFFNTAIISTVSL >DRNTG_33661.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2120371:2121261:-1 gene:DRNTG_33661 transcript:DRNTG_33661.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKKHTSFSNSDDTDGGYATISTAPPKRRAGRTKFRETRHPIYRGVRRRSRGEGRWVCEIREPNKNSRIWLGTYATAEMAARAHDVAAIALRGRSACLNFADSAWLLPVPVSHSPRSIRAAAAVAAERFGRGEEEKVRTVVEGVANAGEGPRDTGDEAMGRSEWFDCAEMEMAEGYYYASMAEGLLLDPPPMGECDDVEYDADVELWSYSI >DRNTG_00582.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29947776:29949178:-1 gene:DRNTG_00582 transcript:DRNTG_00582.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQGVFMETTSISGPDLSLHISLPSTGAIDATGSSSASTELSLSNHSSENPQRWPQGSLARAAAPPPPQDFHGMPFIQTPIKGIPIYNNNPFTFISTDKKMAFYKQASPTSSPFVDPMATYFQTIGGRPPPMSSSVKPQLHQLAMVPLEASSHSMMRSRFMPKLPPKRSMRAPRMRWTTALHSRFVHAVELLGGHEST >DRNTG_00582.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29942942:29949178:-1 gene:DRNTG_00582 transcript:DRNTG_00582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQGVFMETTSISGPDLSLHISLPSTGAIDATGSSSASTELSLSNHSSENPQRWPQGSLARAAAPPPPQDFHGMPFIQTPIKGIPIYNNNPFTFISTDKKMAFYKQASPTSSPFVDPMATYFQTIGGRPPPMSSSVKPQLHQLAMVPLEASSHSMMRSRFMPKLPPKRSMRAPRMRWTTALHSRFVHAVELLGGHEST >DRNTG_16238.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5921208:5928945:-1 gene:DRNTG_16238 transcript:DRNTG_16238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPQLDKAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFEALAFGSTAMCGRMTFWFWVISTVTFYFATWEHFFTNTLVLPIVNGPTEGLMLIYGGHFLTYFLGAEWWAQDFRKSIPLLSWVPFLPEIPLYDVVLFFMIIFGVLPTIWSNICNVHKVVQARKGSMLLALAMIFPFVVLLGGVLAWALWSPSDIMRNYPHLLIVGTGFAFGFFVGRMILAHLCDEPKGLKTGMCTSLLFLPFALANTLSARLFNGVPLVDERIILLLYCVYTVGLYMHLATSVIHEITTALGIQCFRITRKEA >DRNTG_18230.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2749599:2754153:-1 gene:DRNTG_18230 transcript:DRNTG_18230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGADGCVTADSLDEDDSSCSSSKDALWSSFSSQCVMPSKPEEDLPLCEWDSLRSLHHLCLKGKSQHVTHAMCLSDVDTMKEVFAKLLLGEDVSGGVRGISTALALSNAITSLSVSVFGELWKLEPLAEERKSRWQREMDWLLSPTNYMVELVPAKQNGANGRMLEIMTTKARSDVYVNLPALQKLDSMLIDVLDAMVDTEFWYAEEGNKADGRDRSAVSMPSKKWWLPSPRVPESGLSASQRKRLGFQGKLVHQVLKAAKSINEQVLLQMPIPVSVKDALRKSAKASLGEDLYHAITAEFGSVEDIMLSLNLKSEHCVLETVNRLEAAVFAWKHKISEDSNKRAPVRYPWYFKKGLGSELENMEMAIEKAEMLIGLLKTRFPNLPQTFIDLTKVRNNKDVGHSIVEAYSRVLVSLAFSILSRIGDILQEDDLKKPTTPIATLKFDFSSDVYLAGITETPPGNIKRSLIDQMNTVDGRICHTISTKTSKKHFLNKKASTIITVTAGSM >DRNTG_18230.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2749599:2754153:-1 gene:DRNTG_18230 transcript:DRNTG_18230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFACCRRRPQDFSIDFEEHDRVVTYNGLESCILNSCSYNASVSSSSMSGADGCVTADSLDEDDSSCSSSKDALWSSFSSQCVMPSKPEEDLPLCEWDSLRSLHHLCLKGKSQHVTHAMCLSDVDTMKEVFAKLLLGEDVSGGVRGISTALALSNAITSLSVSVFGELWKLEPLAEERKSRWQREMDWLLSPTNYMVELVPAKQNGANGRMLEIMTTKARSDVYVNLPALQKLDSMLIDVLDAMVDTEFWYAEEGNKADGRDRSAVSMPSKKWWLPSPRVPESGLSASQRKRLGFQGKLVHQVLKAAKSINEQVLLQMPIPVSVKDALRKSAKASLGEDLYHAITAEFGSVEDIMLSLNLKSEHCVLETVNRLEAAVFAWKHKISEDSNKRAPVRYPWYFKKGLGSELENMEMAIEKAEMLIGLLKTRFPNLPQTFIDLTKVRNNKDVGHSIVEAYSRVLVSLAFSILSRIGDILQEDDLKKPTTPIATLKFDFSSDVYLAGITETPPGNIKRSLIDQMNTVDGRICHTISTKTSKKHFLNKKASTIITVTAGSM >DRNTG_18230.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2749599:2754220:-1 gene:DRNTG_18230 transcript:DRNTG_18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGADGCVTADSLDEDDSSCSSSKDALWSSFSSQCVMPSKPEEDLPLCEWDSLRSLHHLCLKGKSQHVTHAMCLSDVDTMKEVFAKLLLGEDVSGGVRGISTALALSNAITSLSVSVFGELWKLEPLAEERKSRWQREMDWLLSPTNYMVELVPAKQNGANGRMLEIMTTKARSDVYVNLPALQKLDSMLIDVLDAMVDTEFWYAEEGNKADGRDRSAVSMPSKKWWLPSPRVPESGLSASQRKRLGFQGKLVHQVLKAAKSINEQVLLQMPIPVSVKDALRKSAKASLGEDLYHAITAEFGSVEDIMLSLNLKSEHCVLETVNRLEAAVFAWKHKISEDSNKRAPVRYPWYFKKGLGSELENMEMAIEKAEMLIGLLKTRFPNLPQTFIDLTKVRNNKDVGHSIVEAYSRVLVSLAFSILSRIGDILQEDDLKKPTTPIATLKFDFSSDVYLAGITETPPGNIKRSLIDQMNTVDGRICHTISTKTSKKHFLNKKASTIITVTAGSM >DRNTG_34746.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20957481:20961252:-1 gene:DRNTG_34746 transcript:DRNTG_34746.1 gene_biotype:protein_coding transcript_biotype:protein_coding CITETYDGQTRLLIRGGEFKFVAIGLVPSPRHSRNQRGGHRRPRKHHRHRRRSRSPR >DRNTG_34746.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20957481:20959176:-1 gene:DRNTG_34746 transcript:DRNTG_34746.2 gene_biotype:protein_coding transcript_biotype:protein_coding CITETYDGQTRLLIRGGEFKFVAIGLVPSPRHSRNQRGGHRRPRKHHRHRRRSRSPR >DRNTG_28935.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22147437:22150200:-1 gene:DRNTG_28935 transcript:DRNTG_28935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKHRLVSVPLLSVICSMLYVYCSTVFIFLDEWLGIGTASGILNAIIFSWLAFMTFFSFFVAVLTDPGSVPPFFAPAVEDARHDSGDAVYCEKCCSYKPPRTHHCRVCKRCVLKMALSGLVILSASLSSTSLFCWHVYLLAHNMTTIEYRGAQKDRWLANRSGQKYRHPFDLGFFQNLILIFGPNMLKWFCPTAVGHLGDGTQFPISND >DRNTG_14040.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27497920:27499993:-1 gene:DRNTG_14040 transcript:DRNTG_14040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIASMTEGFSGADLQALLSDAQLALVNELVNNKDNKELNMNEEKPVISDEVLRSVTSKARPSVSEAERHRLNGIYSQFLDSKKSATAQSREAKGKRATLA >DRNTG_14040.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27497920:27499993:-1 gene:DRNTG_14040 transcript:DRNTG_14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASMTEGFSGADLQALLSDAQLALVNELVNNKDNKELNMNEEKPVISDEVLRSVTSKARPSVSEAERHRLNGIYSQFLDSKKSATAQSREAKGKRATLA >DRNTG_03809.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000180.1:27654:32047:-1 gene:DRNTG_03809 transcript:DRNTG_03809.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAKLSTNRWFEDVLTDAISLKPEGEVKDLKAFKTLGSRIINHRPGWKGREPIQNSLIDLPYYGEWAKFIVNNCSNELSEAELMGGTVALVSRYDFDMNFYKALVELWCPDTNTFHFLHGEVGISLWDIKELGGLPITAGDIYDEVIPPNDTICRKYDTELFILRNLFDIFQWLTRQSVDENVFFEDWVEFFRRSRHSSLK >DRNTG_10821.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5089749:5091995:-1 gene:DRNTG_10821 transcript:DRNTG_10821.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRRIDMGLDCGDKERSGSLPLSSLSDSESGRSFMRWRFHSSLIK >DRNTG_07482.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3986569:3989639:1 gene:DRNTG_07482 transcript:DRNTG_07482.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDETKKKRDGLSERASERERERVGVQMDGELRADAEGTESTSSVSEKDTEIQRMRALKKPSSPVTLVEEEEGWDEGLATTLSNRDGTKGDSRASSPLFSLVISLSGRRNQRPLHPFQPLQAPGTNQKRRRMATKLLLLQLRRQRRIR >DRNTG_07482.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3986569:3989639:1 gene:DRNTG_07482 transcript:DRNTG_07482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGELRADAEGTESTSSVSEKDTEIQRMRALKKPSSPVTLVEEEEGWDEGLATTLSNRDGTKGDSRASSPLFSLVISLSGRRNQRPLHPFQPLQAPGTNQKRRRMATKLLLLQLRRQRRIR >DRNTG_07482.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3986569:3989639:1 gene:DRNTG_07482 transcript:DRNTG_07482.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDETKKKRDGLSERASERERERVGVQMDGELRADAEGTESTSSVSEKDTEIQRMRALKKPSSPVTLVEEEEGWDEGLATTLSNRDGTKGDSRASSPLFSLVISLSGRRNQRPLHPFQPLQAPGTNQKRRRMATKLLLLQLRRQRRIR >DRNTG_09198.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4864856:4865934:-1 gene:DRNTG_09198 transcript:DRNTG_09198.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVTREEGEEGEEEERRRNLKVWEKKELGV >DRNTG_09198.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4860616:4865934:-1 gene:DRNTG_09198 transcript:DRNTG_09198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVTREEGEEGEEEERRRNLKVWEKKELGV >DRNTG_00260.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5295534:5297407:-1 gene:DRNTG_00260 transcript:DRNTG_00260.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MRG1 [Source:Projected from Arabidopsis thaliana (AT4G37280) UniProtKB/Swiss-Prot;Acc:Q94C32] MGSSNTGGAKDESPADGGKARAVRSGSESPDGAAATYFEGEKVLAYHGPAIYEAKVQKSELRKDEWKYFVHYLVSDYFYLKFYFWLLHVFDAIRCQSNDLF >DRNTG_00260.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5259468:5297407:-1 gene:DRNTG_00260 transcript:DRNTG_00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MRG1 [Source:Projected from Arabidopsis thaliana (AT4G37280) UniProtKB/Swiss-Prot;Acc:Q94C32] MGSSNTGGAKDESPADGGKARAVRSGSESPDGAAATYFEGEKVLAYHGPAIYEAKVQKSELRKDEWKYFVHYLGWSKNWDEWVGADRLLKYNEGNIRKQQALKKHSSADKNAKSGRTTQNKPKGSTVTRGKKRKGQSSIEEKETRSPEKAFTIQFPLTLKQQLVDDWEFVTQLACQTSSNPKC >DRNTG_00260.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5259468:5293618:-1 gene:DRNTG_00260 transcript:DRNTG_00260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MRG1 [Source:Projected from Arabidopsis thaliana (AT4G37280) UniProtKB/Swiss-Prot;Acc:Q94C32] MVADPIAEVLKGIRCYFDKALLPMLLYKKERQQYHEVVTDNISPSTIYGAEHLLRLFVKLPELLTYVNMEEETLTKLQQKLLDFLKFLQKNQSSFFLSAYEGAKDVDEADEEE >DRNTG_32968.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30786683:30788856:1 gene:DRNTG_32968 transcript:DRNTG_32968.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNIIFLFVKFQRCLPRETLDEQFVIHAEGVNILAVNYGSAGPCQYPRPLKFPAKWSFNSYTLLKTSEQAPRTPAFAEELLAGENGEEEGVKPPEKSFWAKYWMYLIPLGLIVMNTVTQAMNMPEEQAGGQSGTQAQQTVAQRGPNAAARRR >DRNTG_32968.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30786683:30788856:1 gene:DRNTG_32968 transcript:DRNTG_32968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNIIFLFVKFQRCLPRETLDEQFVIHAEGVNILAVNYGSAGPCQYPRPLKFPAKWSFNSYTLLKTSEQAPRTPAFAEELLAGENGEEEGVKPPEKSFWAKYWMYLIPLGLIVMNTVTQAMNMPEEQAGGQSGTQAQQTVAQRGPNAAARRR >DRNTG_06625.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18847966:18848654:-1 gene:DRNTG_06625 transcript:DRNTG_06625.7 gene_biotype:protein_coding transcript_biotype:protein_coding LNVYSKSHEEVVLKAKPLAFAPEKPYEHCEHHHHNHHNHHHHHHHHQTPSPTPPYYYNSPPPPVKSWSPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKNPPSIPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKVPTTSLTISSSSLLL >DRNTG_06625.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18846817:18848654:-1 gene:DRNTG_06625 transcript:DRNTG_06625.2 gene_biotype:protein_coding transcript_biotype:protein_coding LNVYSKSHEEVVLKAKPLAFAPEKPYEHCEHHHHNHHNHHHHHHHHQTPSPTPPYYYNSPPPPVKSWSPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKNPPSIPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYHYKSPPPPSPSPPPTYIYASPPPPIHY >DRNTG_06625.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18847966:18848654:-1 gene:DRNTG_06625 transcript:DRNTG_06625.8 gene_biotype:protein_coding transcript_biotype:protein_coding LNVYSKSHEEVVLKAKPLAFAPEKPYEHCEHHHHNHHNHHHHHHHHQTPSPTPPYYYNSPPPPVKSWSPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKNPPSIPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKVPTTSLTISSSSLLL >DRNTG_06625.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18847966:18851217:-1 gene:DRNTG_06625 transcript:DRNTG_06625.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKSLLILLTFYASPPPPYVYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYNSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYNSPPPPVKSPPPPYYYHSPTTEVFATTLSLYISSTTEVIASTVSLYISSTTKVIATTISLHISSATEVIASTVSLYFSSTTEVIASTVSLYISTTTKEPTFYSTLLL >DRNTG_06625.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18849398:18851092:-1 gene:DRNTG_06625 transcript:DRNTG_06625.4 gene_biotype:protein_coding transcript_biotype:protein_coding LKSLLILLTFYASPPPPYVYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYNSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYNSPPPPVKSPPPPYYYHSPTSSCEVPTTTLLLSFPPPPPVKSPPPPYYYTSPPPPYHHEPILKVVGRVYCYKCYNWENPKESYKKKLFAGDC >DRNTG_06625.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18847966:18848654:-1 gene:DRNTG_06625 transcript:DRNTG_06625.9 gene_biotype:protein_coding transcript_biotype:protein_coding LNVYSKSHEEVVLKAKPLAFAPEKPYEHCEHHHHNHHNHHHHHHHHQTPSPTPPYYYNSPPPPVKSWSPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKSLPPPYHYTSPPPPKNPPSIPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYY >DRNTG_06625.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18846817:18847573:-1 gene:DRNTG_06625 transcript:DRNTG_06625.5 gene_biotype:protein_coding transcript_biotype:protein_coding TTTTITIPSTTLLLQISSTTIPISTTSLLLLQVTPSTVPISSPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYLYNSPPPPSPSPHLPTTTNLLHLHRHLLHLPTITSHHPHLHRLLLQHTSTHPHHLPFITR >DRNTG_06625.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18846817:18847573:-1 gene:DRNTG_06625 transcript:DRNTG_06625.6 gene_biotype:protein_coding transcript_biotype:protein_coding TTTTITIPSTTLLPTNHHHHLHHPLHHPTTTNLLLHHRHLLHHPTITNPPHHHPHLHHHLTIYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYLYNSPPPPSPSPHLPTTTNLLHLHRHLLHLPTITSHHPHLHRLLLQHTSTHPHHLPFITR >DRNTG_35150.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1264811:1265385:-1 gene:DRNTG_35150 transcript:DRNTG_35150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNRASKLPKKHPRCLADGNELLRFYGTTIMCSLGMNGSSSLCASEKCNVCRIIRHGFSTKKDLKKGGIGVFTTATSGRAFESIDELHEAHC >DRNTG_08374.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4353016:4354889:-1 gene:DRNTG_08374 transcript:DRNTG_08374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKILDYQSFVITVLVSIVTLLLALLVFNFNLRSRRRRKLPPSPQRLPVIGNLHQLGELPHRSLRRLAEKYGPLMHLQLGQIPAIIVSSPEVASEVMKTHDLAFCSRPATPVMMKFSYNGSDMAFTKYGEHWRQLKRLGTLEILSMKRVQHFRNVREEEVHVLIQNIRRSCSQEPVNLSEMFLCMSNNIICREVFGKRFSDDGQCNRSEHHDLVLEIIELMGGFFLADFFPSFKWLSVVTGLQGKLERNFKRMNEFFEREIEEHSLSMKNDLGRDDKEEDDFVDVLLKSQKDSTNLGFSLTRDQIKGVLLNMFLGGTDTSAATLEWAMTELMRYPSIMKKAQDEVRSVVGNKGKVDENDLQHLQYLKFIINETLRLHCIVPLLIPRESRQDCTLFGYDIPKNTRVFVNAWVMARDPKSWHNPEVFMPERFEENAINFKGQHFEFIPFGAGRRICPGIQFGVAAIEIALANILYHFNWELPSWLSYEDIDMTETFGLVLHKRSPLVLMARPINILVKT >DRNTG_27695.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21725552:21727356:-1 gene:DRNTG_27695 transcript:DRNTG_27695.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPAPASVPAVIPITTNPSPTPATTPAVRQFITGVTDSVRRVLAQGRPWSEVLDRSAFSRPESLSDATSRLRKNLPYFRANYSALLAAALGLSLLSNPGALLVLLFLLASWCFLYLFRPSDQPLVLLGRTFSDRETLGGLTLLTIIVVFLTSVGSTIISALMVGAAIICAHGAFRMPEDLFLDDPESGSATTGFLSFLGGSPSPAAAVAVRV >DRNTG_27695.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21725552:21727356:-1 gene:DRNTG_27695 transcript:DRNTG_27695.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPAPASVPAVIPITTNPSPTPATTPAVRQFITGVTDSVRRVLAQGRPWSEVLDRSAFSRPESLSDATSRLRKNLPYFRANYSALLAAALGLSLLSNPGALLVLLFLLASWCFLYLFRPSDQPLVLLGRTFSDRETLGGLTLLTIIVVFLTSVGSTIISALMVGAAIICAHGAFRMPEDLFLDDPESGSATTGFLSFLGGSPSPAAAVAVRV >DRNTG_27695.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21725587:21727356:-1 gene:DRNTG_27695 transcript:DRNTG_27695.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPAPASVPAVIPITTNPSPTPATTPAVRQFITGVTDSVRRVLAQGRPWSEVLDRSAFSRPESLSDATSRLRKNLPYFRANYSALLAAALGLSLLSNPGALLVLLFLLASWCFLYLFRPSDQPLVLLGRTFSDRETLGGLTLLTIIVVFLTSVGSTIISALMVGAAIICAHGAFRMPEDLFLDDPESGSATTGFLSFLGGSPSPAAAVAVRV >DRNTG_27695.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21725505:21727356:-1 gene:DRNTG_27695 transcript:DRNTG_27695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPAPASVPAVIPITTNPSPTPATTPAVRQFITGVTDSVRRVLAQGRPWSEVLDRSAFSRPESLSDATSRLRKNLPYFRANYSALLAAALGLSLLSNPGALLVLLFLLASWCFLYLFRPSDQPLVLLGRTFSDRETLGGLTLLTIIVVFLTSVGSTIISALMVGAAIICAHGAFRMPEDLFLDDPESGSATTGFLSFLGGSPSPAAAVAVRV >DRNTG_27695.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21725587:21727356:-1 gene:DRNTG_27695 transcript:DRNTG_27695.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPAPASVPAVIPITTNPSPTPATTPAVRQFITGVTDSVRRVLAQGRPWSEVLDRSAFSRPESLSDATSRLRKNLPYFRANYSALLAAALGLSLLSNPGALLVLLFLLASWCFLYLFRPSDQPLVLLGRTFSDRETLGGLTLLTIIVVFLTSVGSTIISALMVGAAIICAHGAFRMPEDLFLDDPESGSATTGFLSFLGGSPSPAAAVAVRV >DRNTG_10998.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18835932:18836399:1 gene:DRNTG_10998 transcript:DRNTG_10998.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSEFTSQNHSLMEHQPLVSPVQHEQRTQQWPLEYQCPQATHLCNLPVHMLRYIDGK >DRNTG_25499.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1767143:1769025:-1 gene:DRNTG_25499 transcript:DRNTG_25499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLLLTILLISTIFIIFMISISNKKNKTNKAPLPPGPKGWPIIGNLNQIGSKPHETLHTLSKIYGPLYRLQLGSVTVVVANSPDVASQFLRTLDTNFCNRPQFTIGEYMGYGYRAPGSMPYGPGWLMFRRLCNIHLFSNKALDDLRHVREEEVSLLARKFVQAKDTSITVGVEVGKELNACAANALTRALFRRRVFEEDNGPDVEEFKKVLEENLKLATGLNIEDFFPWIKALDVRGFVAKLKRLHRWYDNTLTKIIDEHKSKPKIAIEGEAEGKDLLSVLLRLKDADVKDKETDNYKLTDTDIKALLTDLFIGGTDTTSLMVEWALVELIRHPDILAAAQKELDSIVGQSRLVSELDLSDIPLLEAIIKETFRLHPPVPHLIPHTASEACEVAGYYVPKATVLLVNVWTICRDPEVWSRPMEFDPSRFLPGGENADVDVKGSHFELIPFGAGRRICLGMRLGMRMTTLLLASLVHGFDWALPDGLSPENMNMDVEYGLTLERIVPLVARPIPRLAPNAYVV >DRNTG_08289.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23835335:23838032:-1 gene:DRNTG_08289 transcript:DRNTG_08289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAPAIASVCSASSRRPTPFFSNPNIVLISGNTSRFHAFGSFAQMRLSSFSSWHGLKHVRISFRRDARHKGRKEKCRGSGVYASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGNTLRAFQPTIKELQDVSREFKNTLEREIGLDEVASTANNTNMPTSSLSSKTESPTVDPNGATTQDPVEYLKKVRAEQFAASMAAQSEEVASSPEASSEAPSPATLENVSAPLATSEVAAAAPEAIAEATSSEKTEK >DRNTG_08972.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000392.1:75085:77258:1 gene:DRNTG_08972 transcript:DRNTG_08972.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGVLLKLLQNMHSDVKVCGEYRSVLLQVISIVPALTGSELWPNHGFFVKVSDSSRSTYVSLSKDDNELILANKLQLGQFIYVDRVEAGTPVPILIGVRPVPGRNPFIGTPKDLMHMVVPSEIPKPNESLEENPKKRVFIKEEKVGVASRYMQGLSASNTNTNTNSNGDKKTNGADNAIELPKKVTSSKGTEELKSSVQSVNPSRNQNNASLVTSRISSAKKESITKPKISPMSGGKRLVFDNVIWDSLPPTLVKPGKGVVKRKNIAFLVAAEAQREASAASALVKALG >DRNTG_08972.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000392.1:75085:77258:1 gene:DRNTG_08972 transcript:DRNTG_08972.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIMQVLELQVQSVNPSRNQNNASLVTSRISSAKKESITKPKISPMSGGKRLVFDNVIWDSLPPTLVKPGKGVVKRKNIAFLVAAEAQREASAASALVKALGIFANLCSSATEDSPHLALSKFFQLQRLIDQPNANTLKEMSQIQKPEFLDGDKSSKKISVSNTRISSNTSKVSSMSQTNEKLEWARGDGMKEIKEMRDILLKESQSWFLKFLEGALDSGFQSDSARMKKNGKDRIGGHSKDSDEQLAMTLIQLKHANDWLDQLKTDASSENDQMQETINRLKRKIYDCLLRHVDSAATALESRTGST >DRNTG_08972.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000392.1:75085:77258:1 gene:DRNTG_08972 transcript:DRNTG_08972.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGVLLKLLQNMHSDVKVCGEYRSVLLQVISIVPALTGSELWPNHGFFVKVSDSSRSTYVSLSKDDNELILANKLQLGQFIYVDRVEAGTPVPILIGVRPVPGRNPFIGTPKDLMHMVVPSEIPKPNESLEENPKKRVFIKEEKVGVASRYMQGLSASNTNTNTNSNGDKKTNGADNAIELPKKVTSSKGTEELKSSVQSVNPSRNQNNASLVTSRISSAKKESITKPKISPMSGGKRLVFDNVIWDSLPPTLVKPGKGVVKRKNIAFLVAAEAQREASAASALVKALGIFANLCSSATEDSPHLALSKFFQLQRLIDQPNANTLKEMSQIQKPEFLDGDKSSKKISVSNTRISSNTSKVSSMSQTNEKLEWARGDGMKEIKEMRDILLKESQSWFLKFLEGALDSGFQSDSARMKKNGKDRIGGHSKDSDEQLAMTLIQLKHANDWLDQLKTDASSENDQMQETINRLKRKIYDCLLRHVDSAATALESRTGST >DRNTG_08972.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000392.1:75085:77258:1 gene:DRNTG_08972 transcript:DRNTG_08972.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGVLLKLLQNMHSDVKVCGEYRSVLLQVISIVPALTGSELWPNHGFFVKVSDSSRSTYVSLSKDDNELILANKLQLGQFIYVDRVEAGTPVPILIGVRPVPGRNPFIGTPKDLMHMVVPSEIPKPNESLEENPKKRVFIKEEKVGVASRYMQGLSASNTNTNTNSNGDKKTNGADNAIELPKKVTSSKGTEELKSSVRPWFVISVSFSAVFKMIHDNASARITGTVCESFSQSEQCFFGDIKDF >DRNTG_08972.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000392.1:73349:77258:1 gene:DRNTG_08972 transcript:DRNTG_08972.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGVLLKLLQNMHSDVKVCGEYRSVLLQVISIVPALTGSELWPNHGFFVKVSDSSRSTYVSLSKDDNELILANKLQLGQFIYVDRVEAGTPVPILIGVRPVPGRNPFIGTPKDLMHMVVPSEIPKPNESLEENPKKRVFIKEEKVGVASRYMQGLSASNTNTNTNSNGDKKTNGADNAIELPKKVTSSKGTEELKSSVQSVNPSRNQNNASLVTSRISSAKKESITKPKISPMSGGKRLVFDNVIWDSLPPTLVKPGKGVVKRKNIAFLVAAEAQREASAASALVKALG >DRNTG_08972.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000392.1:75085:77258:1 gene:DRNTG_08972 transcript:DRNTG_08972.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGVLLKLLQNMHSDVKVCGEYRSVLLQVISIVPALTGSELWPNHGFFVKVSDSSRSTYVSLSKDDNELILANKLQLGQFIYVDRVEAGTPVPILIGVRPVPGRNPFIGTPKDLMHMVVPSEIPKPNESLEENPKKRVFIKEEKVGVASRYMQGLSASNTNTNTNSNGDKKTNGADNAIELPKKVTSSKGTEELKSSVQSVNPSRNQNNASLVTSRISSAKKESITKPKISPMSGGKRLVFDNVIWDSLPPTLVKPGKGVVKRKNIAFLVAAEAQREASAASALVKALGIFANLCSSATEDSPHLALSKFFQLQRLIDQPNANTLKEMSQIQKPEFLDGDKSSKKISVSNTRISSNTSKVSSMSQTNEKLEWARGDGMKEIKEMRDILLKESQSWFLKFLEGALDSGFQSDSARMKKNGKDRIGGHSKDSDEQLAMTLIQLKHANDWLDQLKTDASSENDQMQETINRLKRKIYDCLLRHVDSAATALESRTGST >DRNTG_24903.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:413217:413892:-1 gene:DRNTG_24903 transcript:DRNTG_24903.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEYEWGNPAAAAAAMLLFGEDASSSMSNNDACQQDRQVYDHFTHGLHADLFAQAQAQPAPTFSAAGLFPMASSTSSSTSCHQRYGLALPPAPARIGLNLGVRTYFSSASPDEGMVVGRVCRRRARAARCQAEGCGADLTHAKHYHRRHKVCEFHSKASIVIAAGLSQRFCQQCSR >DRNTG_24903.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:412385:413892:-1 gene:DRNTG_24903 transcript:DRNTG_24903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEYEWGNPAAAAAAMLLFGEDASSSMSNNDACQQDRQVYDHFTHGLHADLFAQAQAQPAPTFSAAGLFPMASSTSSSTSCHQRYGLALPPAPARIGLNLGVRTYFSSASPDEGMVVGRVCRRRARAARCQAEGCGADLTHAKHYHRRHKVCEFHSKASIVIAAGLSQRFCQQCSRFHVLSEFDQGKRSCRKRLADHNRRRRKSQDLMTMTTTPVATTTTNTNTNALNETNNTGETPGEKILFTSASSSSTNNPQPPFMGCITEPESPCTGMTSPTAPPSQVVAPQMALGLGCYSHDSGVMVVPASAGLSGSSSTGTSPQDTPSFLPTGLGDHFRMNVHHRFPSWSDGEDGSTMRDLFQSK >DRNTG_26117.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20400048:20405078:1 gene:DRNTG_26117 transcript:DRNTG_26117.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDALIKQGLRGFCSGTMNENVDVPQIALELLTTISKSDFSNEKAYTHWHKRQVNTLEELLLGSHGFVTEQKLSSFFSELKNIRAWVSNNGSAGHVEVLTAIRRFASSLSSKPGKFGIPSETYYWTESYHLNLRLYEKFLSSVFDVLDDGQIIEVAEEIISIFKLTWPTLGITKKIHDILYCWVLFQQFTRTGEVTLLELAFFEIHKVASRSDGDVNEEAYFRSLICSAEVYCYKKKMSLVDAVFFNIKWWCGKQLEDYHLHFNEDNSAIYERMMTLATFVEECLADECRDNECSKLMDATEAASKLVQSFVEKSIQAAYKRVLDLLHIKSKTEQKHPLAILANELKLIVEKDANVFIPILSLHFPQVIMHSSRILHQLYGEQLRPFLEGVTHLSEGAISVLAAATSLERSLTKILGSMCGDGVESLCSNHL >DRNTG_26117.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20397505:20400815:1 gene:DRNTG_26117 transcript:DRNTG_26117.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDASRLLHLYRRDRRKLLEFVLSSAPAGTSSDSSLDLSSVDLDTLSIDYVLDRVQSGGGLDFSEGKKQFFDEMKFPVMLKSLPRSTFFLISNMNMSGSPPQRIPPQILSNVTYDRPSCLSKQSTPYTGPEIGQYGVEIGSGIAAAEVAQVQLGRDANLPSLGLPALRTGLSDDDVRETAYEILLASLFLSGVRVHFSEERKRSRHLKGLRSKKDVSNHRSLPTDSYSELLDIIRVQMEISESMDALIKQGLRGFCSGTMNENVDVPQIALELLTTISKSDFSNEKAYTHWHKRQVNTLEELLLGSHGFVTEQKLSSFFSELKNIRAWVSNNGSAGHVEVLTAIRRFASSLSSKPGKFGIPSETYYWTESYHLNLRLYEKFLSSVFDVLDDGQIIEV >DRNTG_26117.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20400600:20405588:1 gene:DRNTG_26117 transcript:DRNTG_26117.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDATEAASKLVQSFVEKSIQAAYKRVLDLLHIKSKTEQKHPLAILANELKLIVEKDANVFIPILSLHFPQVIMHSSRILHQLYGEQLVRFINSIGVHKFIWHNLVWSQLTKD >DRNTG_26117.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20397505:20405078:1 gene:DRNTG_26117 transcript:DRNTG_26117.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASRLLHLYRRDRRKLLEFVLSSAPAGTSSDSSLDLSSVDLDTLSIDYVLDRVQSGGGLDFSEGKKQFFDEMKFPVMLKSLPRSTFFLISNMNMSGSPPQRIPPQILSNVTYDRPSCLSKQSTPYTGPEIGQYGVEIGSGIAAAEVAQVQLGRDANLPSLGLPALRTGLSDDDVRETAYEILLASLFLSGVRVHFSEERKRSRHLKGLRSKKDVSNHRSLPTDSYSELLDIIRVQMEISESMDALIKQGLRGFCSGTMNENVDVPQIALELLTTISKSDFSNEKAYTHWHKRQVNTLEELLLGSHGFVTEQKLSSFFSELKNIRAWVSNNGSAGHVEVLTAIRRFASSLSSKPGKFGIPSETYYWTESYHLNLRLYEKFLSSVFDVLDDGQIIEVAEEIISIFKLTWPTLGITKKIHDILYCWVLFQQFTRTGEVTLLELAFFEIHKVASRSDGDVNEEAYFRSLICSAEVYCYKKKMSLVDAVFFNIKWWCGKQLEDYHLHFNEDNSAIYERMMTLATFVEECLADECRDNECSKLMDATEAASKLVQSFVEKSIQAAYKRVLDLLHIKSKTEQKHPLAILANELKLIVEKDANVFIPILSLHFPQVIMHSSRILHQLYGEQLRPFLEGVTHLSEGAISVLAAATSLERSLTKILGSMCGDGVESLCSNHL >DRNTG_26117.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20397505:20400396:1 gene:DRNTG_26117 transcript:DRNTG_26117.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDASRLLHLYRRDRRKLLEFVLSSAPAGTSSDSSLDLSSVDLDTLSIDYVLDRVQSGGGLDFSEGKKQFFDEMKFPVMLKSLPRSTFFLISNMNMSGSPPQRIPPQILSNVTYDRPSCLSKQSTPYTGPEIGQYGVEIGSGIAAAEVAQVQLGRDANLPSLGLPALRTGLSDDDVRETAYEILLASLFLSGVRVHFSEERKRSRHLKGLRSKKDVSNHRSLPTDSYSELLDIIRVQMEISESMDALIKQGLRGFCSGTMNENVDVPQIALELLTTISKSDFSNEKAYTHWHKRQVNTLEELLLGSHGFVTEQKLSSFFSELKNIR >DRNTG_26117.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20397505:20405588:1 gene:DRNTG_26117 transcript:DRNTG_26117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKGLSDDDVRETAYEILLASLFLSGVRVHFSEERKRSRHLKGLRSKKDVSNHRSLPTDSYSELLDIIRVQMEISESMDALIKQGLRGFCSGTMNENVDVPQIALELLTTISKSDFSNEKAYTHWHKRQVNTLEELLLGSHGFVTEQKLSSFFSELKNIRAWVSNNGSAGHVEVLTAIRRFASSLSSKPGKFGIPSETYYWTESYHLNLRLYEKFLSSVFDVLDDGQIIEVAEEIISIFKLTWPTLGITKKIHDILYCWVLFQQFTRTGEVTLLELAFFEIHKVASRSDGDVNEEAYFRSLICSAEVYCYKKKMSLVDAVFFNIKWWCGKQLEDYHLHFNEDNSAIYERMMTLATFVEECLADECRDNEVLYQLFFYHIQVLTVLSIITLIALTWEKRCF >DRNTG_26117.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20400898:20405588:1 gene:DRNTG_26117 transcript:DRNTG_26117.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDATEAASKLVQSFVEKSIQAAYKRVLDLLHIKSKTEQKHPLAILANELKLIVEKDANVFIPILSLHFPQVIMHSSRILHQLYGEQLVRFINSIGVHKFIWHNLVWSQLTKD >DRNTG_00853.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21547928:21548719:-1 gene:DRNTG_00853 transcript:DRNTG_00853.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLMQVFLFFILFISFSNADLLQDFCVADLSSPQGLAGYPCKSLCNVTADDFVFTGFRSPGNTSNSYRISITPAFAVQWPAVNGLGISTVWLEVAPGGRVPIHTHPGGTELLVVIEGTLIGGFISSSNKVYVKKLEAYDAMIFPQGLLHFQVNAGSVKAKALESFSSPSPGVQVTSTALFGNDLPSEILEKVSSIDVAEMKKLKAMFGRTN >DRNTG_26182.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29136384:29139093:-1 gene:DRNTG_26182 transcript:DRNTG_26182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFSTLRILDLIQTMATSKKVITREEWERKLRDVKIRKQDMNKLVMNFLVTEGYVEAADKFRIESGTEPDIDLATITDRMAVKKAVQSGDVLDAIEKVNDLNPEILDTNPQLYFHLQQQRLIELIRVGKVEEALEFAQEELAPRGEENQCFLDELERTVALLAFEDVKNCPYGELLDLSQRLKTASEVNAAILTSQSHEKEPKLPSLLKMLIWAQNQLDEKAVYPHITDLVKATLEDPAI >DRNTG_19287.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:544272:549920:1 gene:DRNTG_19287 transcript:DRNTG_19287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARRVAGRCLVRRLLSNRHGHPQPLPSTTRYISSLSGSIFPNYSFPRIPSDHFRHGNGHQARFISVEALQPSDTFPRRHNSATPEDKIRMAEFCDHSSLDSLIDATVPKSIRISSMTFPKFDAGLTESQMISHMQYLASKNKLFKSFIGMGYYGTLVPAVILRNIMENPGWYTQYTPYQAEIAQGRLESLLNFQTMISDLTALPMSNASLLDEGTAAAEAMAMCNNIQRAKKKTFLIASNCHPQTIDVCRTRAEGFDLKVVVKDLKDFDYRSNDVCGVLVQYPGTEGEILDYEEFIKNAHAHGAKVVMATDLLALTVLKPPGELGADIVVGSAQRFGVPMGYGGPHAAFLATSQEYKRMMPGRIIGVSMDSTGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKAIAERVHGLAGVFALGLKKLGTVTVQDLPFFDTVKVSVADAKAIADAAYKEEINLRIVDSNTVTVAFDETTSIEDVDKLFKVFSSGKPVSFTAASIASEVQSPIPSELARQSPYLTHSIFNSYHTEHELLRYLHRLQTKDLSLCHSMIPLGSCTMKLNATVEMMPVTWPNFANIHPFVPLDQAQGYQEMFDDLGKLLCTITGFDSFSLQPNAGASGEYAGLMVIRAYHKSRGDHHRNVCIIPVSAHGTNPASAAMCGMKIVAVGTDSKGNINIEELRKAAEANKENLSALMVTYPSTHGVYEEGIDEICKIIHDNGGQVYMDGANMNAQVGLTSPGYIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVVPTGGIPAPEKAQPLGPMAAAPWGSALILPISYTYIAMMGSQGLTDASKIAILNANYMAKRLENHYPILFRGVNGTVAHEFIVDLRGFKNTAGIEAEDVAKRLMDYGFHGPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAEIENGKADINNNVLKGAPHPASLLMADQWTKPYSREYAAFPAAWLRGFKFWPTTGRVDNVYGDRNLICTLLPVSQMAEEQAAATA >DRNTG_10641.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:289320:293217:1 gene:DRNTG_10641 transcript:DRNTG_10641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCIMHSIPFSVIQEGGTAPTPTAFINSIDEHFSSLSVSKGKDSIIEFSSTSLTETPTPVRISGEPLILKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVAAVDPSHDISPVEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >DRNTG_07962.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:18240928:18241525:-1 gene:DRNTG_07962 transcript:DRNTG_07962.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECVPTSSQSNEHIYLEGHKAGRETRETENPHGRVEIIHSRV >DRNTG_08718.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4937847:4943534:1 gene:DRNTG_08718 transcript:DRNTG_08718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRMRIKGGGGGGGMSTRRWMLGSRERRRRSSLIGFSRSLSWITSAS >DRNTG_08718.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4939673:4943534:1 gene:DRNTG_08718 transcript:DRNTG_08718.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRMRIKGGGGGGGMSTRRWMLGSRERRRRSSLIGFSRSLSWITSAS >DRNTG_19283.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:525016:529811:-1 gene:DRNTG_19283 transcript:DRNTG_19283.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMVNFSTDGVKFEEFTDGIVPPSSKITSSELVDEKLLVVENSSLPSGRHRVLAPWDHGVKPRKPPLDSIVENLTALGDPIVVEELDEDEKHEAALEKKSFDEDSIVFYGIDQLSSVSEDKKTLNAKTTSFCSKNDVNDRIENYSDPEVKGPLLSGLKFNARNEISRVSLVVDKLKRSLDQHSSDQDQNKKQLNSTSYTDKLMASVSFPWERGNDSTQGEGLRRRSNTELAERTIPELELQRLRNVALRMKERMKVGAAGVTEALVKSIHEKWKEDEVVKLKFEGPPTLNMKRTHETLERKTGGLVIWRSGSSLVLYRGMSYELPCVQLYSKLANVEAEQENVPSLMTDVVRVSVTCNVDNLISFPRPSEGSEASNADSMNSFPNSSKWTVDILEIDSLLDQLGPRFRDWSGRSPVPVDADLLPGVIAGYKPPYRLLPHKTRRSLRDRDVTFFRRLARGMPPHFALGRNRQHQGLANAMVKLWEKSVIAKIAIKRGVPNTCNDRMAEELKNLTGGVLLSRNKEYIVFYRGNDFLPLSIRNTLIERQKLADAQQDEEEEARARASTLIAATAKTIKGPLVAGTLQEFVKANTRWANQPSNEEREKMRRELVLAKHAGAIKFLERKLFFAQLKVKKAEKALAKVQEFLKPAELPTDIETITDEERFLFRQMGLKMRAFLLVGRREVFDGVITNIHLHWKHRELVKIIVRGKSFPLVKHMAISLEAESGGLLISLDKTTKGYAIILYRGKNYQRPLTVKPPNLLTKRQALARAIELQRREALHHHILNLRDKIYAMKSHLYQMQYGQESGNGMDLRVDDGAYPTDDVEDEGEEAYFETYNSGGEEDDTYTQ >DRNTG_19283.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:526678:529811:-1 gene:DRNTG_19283 transcript:DRNTG_19283.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMVNFSTDGVKFEEFTDGIVPPSSKITSSELVDEKLLVVENSSLPSGRHRVLAPWDHGVKPRKPPLDSIVENLTALGDPIVVEELDEDEKHEAALEKKSFDEDSIVFYGIDQLSSVSEDKKTLNAKTTSFCSKNDVNDRIENYSDPEVKGPLLSGLKFNARNEISRVSLVVDKLKRSLDQHSSDQDQNKKQLNSTSYTDKLMASVSFPWERGNDSTQGEGLRRRSNTELAERTIPELELQRLRNVALRMKERMKVGAAGVTEALVKSIHEKWKEDEVVKLKFEGPPTLNMKRTHETLERKTGGLVIWRSGSSLVLYRGMSYELPCVQLYSKLANVEAEQENVPSLMTDVVRVSVTCNVDNLISFPRPSEGSEASNADSMNSFPNSSKWTVDILEIDSLLDQLGPRFRDWSGRSPVPVDADLLPGVIAGYKPPYRLLPHKTRRSLRDRDVTFFRRLARGMPPHFALGRNRQHQGLANAMVKLWEKSVIAKIAIKRGVPNTCNDRMAEELKNLTGGVLLSRNKEYIVFYRGNDFLPLSIRNTLIERQKLADAQQDEEEEARARASTLIAATAKTIKGPLVAGTLQEFVKANTRWANQPSNEEREKMRRELVLAKHAGAIKFLERKLFF >DRNTG_19283.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:523878:529811:-1 gene:DRNTG_19283 transcript:DRNTG_19283.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMVNFSTDGVKFEEFTDGIVPPSSKITSSELVDEKLLVVENSSLPSGRHRVLAPWDHGVKPRKPPLDSIVENLTALGDPIVVEELDEDEKHEAALEKKSFDEDSIVFYGIDQLSSVSEDKKTLNAKTTSFCSKNDVNDRIENYSDPEVKGPLLSGLKFNARNEISRVSLVVDKLKRSLDQHSSDQDQNKKQLNSTSYTDKLMASVSFPWERGNDSTQGEGLRRRSNTELAERTIPELELQRLRNVALRMKERMKVGAAGVTEALVKSIHEKWKEDEVVKLKFEGPPTLNMKRTHETLERKTGGLVIWRSGSSLVLYRGMSYELPCVQLYSKLANVEAEQENVPSLMTDVVRVSVTCNVDNLISFPRPSEGSEASNADSMNSFPNSSKWTVDILEIDSLLDQLGPRFRDWSGRSPVPVDADLLPGVIAGYKPPYRLLPHKTRRSLRDRDVTFFRRLARGMPPHFALGRNRQHQGLANAMVKLWEKSVIAKIAIKRGVPNTCNDRMAEELKVDIM >DRNTG_19283.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:525016:529811:-1 gene:DRNTG_19283 transcript:DRNTG_19283.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMVNFSTDGVKFEEFTDGIVPPSSKITSSELVDEKLLVVENSSLPSGRHRVLAPWDHGVKPRKPPLDSIVENLTALGDPIVVEELDEDEKHEAALEKKSFDEDSIVFYGIDQLSSVSEDKKTLNAKTTSFCSKNDVNDRIENYSDPEVKGPLLSGLKFNARNEISRVSLVVDKLKRSLDQHSSDQDQNKKQLNSTSYTDKLMASVSFPWERGNDSTQGEGLRRRSNTELAERTIPELELQRLRNVALRMKERMKVGAAGVTEALVKSIHEKWKEDEVVKLKFEGPPTLNMKRTHETLERKTGGLVIWRSGSSLVLYRGMSYELPCVQLYSKLANVEAEQENVPSLMTDVVRVSVTCNVDNLISFPRPSEGSEASNADSMNSFPNSSKWTVDILEIDSLLDQLGPRFRDWSGRSPVPVDADLLPGVIAGYKPPYRLLPHKTRRSLRDRDVTFFRRLARGMPPHFALGRNRQHQGLANAMVKLWEKSVIAKIAIKRGVPNTCNDRMAEELKVDIM >DRNTG_23017.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3175976:3179773:-1 gene:DRNTG_23017 transcript:DRNTG_23017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPADEAPATTIGAFRRLLLLKKYSDAPKPPERNPLIASKKKGFAAAAFRGFGCASAAASQVHAPAAVSAAAAVRSSADWQGGGRRARKRRAKQKKRKERRGNGSGAGSGAASATDVWCAPGIAFGADARPVDCVVAHHPVVARARADSERVHRERAYNVRRGGGDQDHISSFIDSSSALDEPLFGSDLLPPGHLRRFRGYRRSPGGLEEIMMFQTRLLLGRIDSFDQYRDLRLDVDNMTYEELLELGDKIGNVNTGLREEEIFRNLRKIKPSILDASPLCISTGVEWKCSICQEEYEGDDEIGKLECGHSYHIYCIKQWLLQKNACPVCKTAVTKS >DRNTG_29082.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19873963:19896179:-1 gene:DRNTG_29082 transcript:DRNTG_29082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGWRVREGDRTAFKCLIALAAVYGVIAFGVYAILHMWHVKPLALDAPLHRFSEARAIEHLKKLTVDIDGRQEGKPGLEAAAKYIKEQLEMIAARAGPDYRMEIEETLVSGSFSMIFLRHSLSLGYRNHKNVAMRISSRNSTDDDPSVMVNGHFDSPPGSPGAADCGSCVASMLETARQIVDSGWVPPRPIIFLFNGAEEVFLLGSHGFMKTNKWRDTIGAFINIEASGSGGPDLVCQSGPGSWPSKIYAQSAIYPMATSSAQDIFGIIPGDTDYRIFAKDNGDIPGLDIIFVLGGYFYHTSYDTIERLFPGSIQARGENLFNLIEAFANSPLLQNAKQRSDMAAKKGGEGERAVYSDYLSFFMIYYSRRVSLVLHTLPVLIFFLMPLILCLPNVALHHYVAIFTDMLKGLLFHVIGVLLAVIVPVVFAVLRLFFSNYSMSWFAHPYLAFLMFVPSSLIGLLIPKTICGFFPTSQDTSMRKMPKEALSEAAHFWGAFGFYSFVTMAYLLAGLGGGGLTYLISFSMALSWCSFFLVRKHFGYQSFKSLTAYVVPLIPCLTYLIYFGGFLVQFLIEKMGMMGSLPPPYGYFIPDVIVAATVGVVTGWCVGPLMPIAGRWLARSSVLQFLLQFSVIALALSSQFFPYSDAAPKRLVLQHHFVTAGGSKIVESSYDFSVLDSNSLSFVFKNAPEAAKMLNIGADFSFETANRSDRSSSVAIFPVSSLFSGSLRFPAEDVDNLMHYTHMPHLSTQKTISTPETGARRVHLELSLGSLKEVWVTVLNITGPLSAWSFADGSIPAPHMVVGGPPSYILRLSGSSHENWTFWLEANSSEALRVDLAVVDQYLVDDTKKLKNTFPRWIDVTAFSSFLTTYYF >DRNTG_29082.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19873963:19896179:-1 gene:DRNTG_29082 transcript:DRNTG_29082.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGWRVREGDRTAFKCLIALAAVYGVIAFGVYAILHMWHVKPLALDAPLHRFSEARAIEHLKKLTVDIDGRQEGKPGLEAAAKYIKEQLEMIAARAGPDYRMEIEETLVSGSFSMIFLRHSLSLGYRNHKNVAMRISSRNSTDDDPSVMVNGHFDSPPGSPGAADCGSCVASMLETARQIVDSGWVPPRPIIFLFNGAEEVFLLGSHGFMKTNKWRDTIGAFINIEASGSGGPDLVCQSGPGSWPSKIYAQSAIYPMATSSAQDIFGIIPGDTDYRIFAKDNGDIPGLDIIFVLGGYFYHTSYDTIERLLYALGSTVIQILSVLNILILISSLSGF >DRNTG_29082.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19873963:19896179:-1 gene:DRNTG_29082 transcript:DRNTG_29082.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGWRVREGDRTAFKCLIALAAVYGVIAFGVYAILHMWHVKPLALDAPLHRFSEARAIEHLKKLTVDIDGRQEGKPGLEAAAKYIKEQLEMIAARAGPDYRMEIEETLVSGSFSMIFLRHSLSLGYRNHKNVAMRISSRNSTDDDPSVMVNGHFDSPPGSPGAADCGSCVASMLETARQIVDSGWVPPRPIIFLFNGAEEVFLLGSHGFMKTNKWRDTIGAFINIEASGSGGPDLVCQSGPGSWPSKIYAQSAIYPMATSSAQDIFGIIPGDTDYRIFAKDNGDIPGLDIIFVLGGYFYHTSYDTIERLLYALGIPEVFKRVVKIFLT >DRNTG_01827.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13134222:13134889:-1 gene:DRNTG_01827 transcript:DRNTG_01827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTETCYQALETAIEELKLQQQEDHKLIESRLEYLELYVPTAAPQLEFPKFNGDNVGEWIATSNQFFELCTVSENAKFHDVENDSLVGEFSKLRHATTVVDYHNRYEELLPYAEEANGKGFIE >DRNTG_23093.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19829096:19830195:1 gene:DRNTG_23093 transcript:DRNTG_23093.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDFISAIEDGGEGFVFKGNCSGNKDKKKRFSEEQIKSLETMFETQTKLEPRQKLQLARELGLQPRQVAIWFQNKRARWKSKQLEREYSSLRSDYDQLLSSFEALQREKQILAKQLQKLAELIGKQKEGNESELKNGSKEDKMEKKVSFFSQEEEEEEEEEEAMAVVNSGEQEWWECWPLNE >DRNTG_23093.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19829096:19830451:1 gene:DRNTG_23093 transcript:DRNTG_23093.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDFISAIEDGGEGFVFKGNCSGNKDKKKRFSEEQIKSLETMFETQTKLEPRQKLQLARELGLQPRQVAIWFQNKRARWKSKQLEREYSSLRSDYDQLLSSFEALQREKQILAKQLQKLAELIGKQKEGNESELKNGSKEDKMEKKVSFFSQEEEEEEEEEEAMAVVNSGEQEWWECWPLNE >DRNTG_23093.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19828919:19830195:1 gene:DRNTG_23093 transcript:DRNTG_23093.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDFISAIEDGGEGFVFKGNCSGNKDKKKRFSEEQIKSLETMFETQTKLEPRQKLQLARELGLQPRQVAIWFQNKRARWKSKQLEREYSSLRSDYDQLLSSFEALQREKQILAKQLQKLAELIGKQKEGNESELKNGSKEDKMEKKVSFFSQEEEEEEEEEEAMAVVNSGEQEWWECWPLNE >DRNTG_23093.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19828573:19830195:1 gene:DRNTG_23093 transcript:DRNTG_23093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDFISAIEDGGEGFVFKGNCSGNKDKKKRFSEEQIKSLETMFETQTKLEPRQKLQLARELGLQPRQVAIWFQNKRARWKSKQLEREYSSLRSDYDQLLSSFEALQREKQILAKQLQKLAELIGKQKEGNESELKNGSKEDKMEKKVSFFSQEEEEEEEEEEAMAVVNSGEQEWWECWPLNE >DRNTG_28904.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4952404:4953712:1 gene:DRNTG_28904 transcript:DRNTG_28904.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESEIKNQESNNKIHGKYPENQHENTGKDQRFWRFLGLPIKRLRAAR >DRNTG_28943.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001461.1:45666:46605:1 gene:DRNTG_28943 transcript:DRNTG_28943.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGFFIFTNHRLILLAGSVGVSCASALDAELLAILFALDHCSLSGWTPSNLYTDCRSAVHLLQHFNNITAWRCSAAIQSINRIVHLWPDFYFDQISRDFNSFADRLAHFGSSNPQVSLFAQGRDRPRWLEDLCKAFCFSF >DRNTG_28943.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001461.1:42380:46605:1 gene:DRNTG_28943 transcript:DRNTG_28943.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNLVITSMDGPWILSIVYNSQILAFQKTLWNTLSRIGNTRLPWVLAGDFNAVLSESEFRGGNPRSYSFKSHLFSNFVSCNALIDVDFVGSRFTWCNNRTGLACRWARLDRFLVNSDWATHFSSILNRHLPRACSDHSPLLLETRSYAHSKNPVFRFDNYWLDYQGCHSNILKAFNDSSSSSSPMHSFHHCINRAKHNLIAWRVAGLRPIDMEITNLEAEITATEESDLSLPDPWNHLWLRSLRNRLASLLRQNSIFWAQRARMQWINQGDVNSAFFHRVVKARQDLSVYPVHLLLMLSFLLFFLPWTIALSLVGLLQISTLIAVLQFIFFSISTISLLGVALRQSNPSTALCTCGLTSTLIKSAVTSTPLLIGLPTLAVQILKFPYLLKAGTDHDGLKTFAKLFVFLSSCFSCSAFVAPFAKKKSLCSNMGHLTSLSQLKLDTCPKSESRRKGCKASLPFNIRLVKIVQASSLYV >DRNTG_28943.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001461.1:37165:37880:1 gene:DRNTG_28943 transcript:DRNTG_28943.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAILVKALGLIIQLTAPPVIQYLRPIWGGVDGDLEKLNRYLLQIQPLVEDAEERQLMDQAVKSWLMQLRDVAYDADDILDQANTHVLLIQRKAEFYGPLKSKVRDFFSLHHNPLLFQLQLGDKLKSINKRIDDVIEGMHKFNFKVVDNNNNNNNNNRPWRNRPQTHSYVPESEVIGRDEDKEQ >DRNTG_28943.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001461.1:37165:43619:1 gene:DRNTG_28943 transcript:DRNTG_28943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNLVITSMDGPWILSIVYNSQILAFQKTLWNTLSRIGNTRLPWVLAGDFNAVLSESEFRGGNPRSYSFKSHLFSNFVSCNALIDVDFVGSRFTWCNNRTGLACRWARLDRFLVNSDWATHFSSILNRHLPRACSDHSPLLLETRSYAHSKNPVFRFDNYWLDYQGCHSNILKAFNDSSSSSSPMHSFHHCINRAKHNLIAWRVAGLRPIDMEITNLEAEITATEESDLSLPDPWNHLWLRSLRNRLASLLRQNSIFWAQRARMQWINQGDVNSAFFHRVVKARQVKSKIHSLRDNNGNIFTLHDDIENEFLSFYHNLWASSSSPTLDYVLSVIPDDLPTLLSDDK >DRNTG_14884.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2954001:2959346:-1 gene:DRNTG_14884 transcript:DRNTG_14884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLPHGGGPVFRFTSGEVVEMEIMLQDLNYVIPSREAICALAAKFSASADRVGKNVVQPKQVWNWFQNRRYAQRTKLIKPPEKSSTTPVPQDDIVSSTNLVDFFSFPSGFISPDTMQMEFEAKSARDNAWYDVSAFIGLRVGETGDLEVRVNFSGFSIEEDEWVNVHKCVRQRSLPCEASECVVVLPGDLVLCFQCWCSYAS >DRNTG_14884.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2954001:2959346:-1 gene:DRNTG_14884 transcript:DRNTG_14884.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLPHGGGPVFRFTSGEVVEMEIMLQDLNYVIPSREAICALAAKFSASADRVGKNVVQPKQVWNWFQNRRYAQRTKLIKPPEKSSTTPVPQDDIVSSTNLVDFFSFPSGFISPDTMQMEFEAKSARDNAWYDVSAFIGLRVGETGDLEVRVNFSGFSIEEDEWVNVHKCVRQRSLPCEASECVVVLPGDLVLCFQLGWIHPCCYMPMKVKNRPSILMLMCLMHKDEDMMFVDAVVGSWSDMIMINLRK >DRNTG_14884.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2954001:2954996:-1 gene:DRNTG_14884 transcript:DRNTG_14884.3 gene_biotype:protein_coding transcript_biotype:protein_coding NQEGKEQALYFDAHVLDAQRRRHDVRGCRCRFLVRYDHDQSEEIVPLRKVCRRPGTDYRLRGRTLGHVDQHAMAMDSTLAAFPNDPDLKACQPRS >DRNTG_20620.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20596323:20599849:-1 gene:DRNTG_20620 transcript:DRNTG_20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPSHSSKSSIYQQNKSSQSKQTSIWEFTVKIYTWASC >DRNTG_04476.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31703472:31705847:1 gene:DRNTG_04476 transcript:DRNTG_04476.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPGFWMDVGQPKDYITGLRLYLNSLRKRSPSKLASGAHIVGNVLVHETAVIGEGCLIGPDVAIGPGCVVESGVRLSRCTVMRGARVKKHSCISSSIIGWHSTVGQWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >DRNTG_04476.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31703472:31705847:1 gene:DRNTG_04476 transcript:DRNTG_04476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALRDVGVTEVVLAINYQPEVMLNFLKDFEAKLGIKITCSQETEPLGTAGPLALARDKLIDGSGEPFFVLNSDVISEYPFDELIKFHKSHGGEATIMVTKVDEPSKYGVVVMEEETGKVDRFVEKPKIFLLVTRSMLGFTY >DRNTG_21182.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20636083:20638740:1 gene:DRNTG_21182 transcript:DRNTG_21182.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSALKRPFDGEDSTVEPKKQCKDFEPRSYQVEVFDVAVRRNTIAVLDTGAGKTMIAVMLIRHFIDEAMATGDGRRVVFLAPTVHLVTQQYEVIKLHTGLEVECYYGAKGVDDWNVERWEKEISSNQVLVMTPQILLDAFRNAFLKLDMVHLLIFDECHRATGGHPYSRILKEFYHNSICKPHVFGMTASPVIRKG >DRNTG_21182.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20650963:20659347:1 gene:DRNTG_21182 transcript:DRNTG_21182.6 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKTISDCVEALIGAYYVGGGLCAALAILKWFGIDSEFEPEMFEEAVKAISMRSYLLKINEIQVLEAKLGYNFNVKGLLLEAITHSSIGVDYCYQRLEFLGDSVLDLLITWHLFTAHENLDPGELTDLRSASVKNENFALSAVRHNLQQHLQHSSGFLLAQITEYVKGIQEMHGSKDSLFCKSSIKGPKVLGDMFESIAGAILIDTGLNMDKVWQIFKPLLSPIVTPDNFELPPMRELSELCSELGYFLAVKCLEDGEYVVAELEVQLEVVLLARRGREKNKKAAKAQAAILLLKDMEEKGLSHSRHPSKRRVIEERSVGEENFVASPVQNVCAVSPTVAESVKNDKTSDSDPRPPVNLSVKMKKGGPRSALYELCKKSLWPMPIFESTEEKSSTSPSVGKGERNGHLSFVSSGKLHLPDGRSINCVGERRADKKSSQDSAALALLYELQKQGRCCVVEV >DRNTG_21182.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20639733:20659347:1 gene:DRNTG_21182 transcript:DRNTG_21182.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCENQISELESVLDSKVFTVRDRSEIDLFVPEAKYVNKYYNRMVFTHEGLKMKLGSIWNKCDALMAHLQEKTLDQYKDTHDMIKTSRKRLSSSHAKICHCLDDLGLICALEAAKVCVEVLSLHSECCEFSVETYDVYKSFTEEALSTIAESLPNDYESLLKTEDGCLGAIQFGYISPKLHELIKIFQSLGILNQVQCLIFVERIITSKVIERFIRKISYLSHFTVSYLTGGSSSADALTPKMQKETLDSFRSRKVNLLFTTDVAEEGIHVPDCSCVIRFDLPKTVRSYVQSCGRARTADSQFVIMLERGNVQQRELLFDIIRSRHSMITTALNRDADAWNSQVTFNETKESYHVESTGATLTADSSISLIYRYCGKLPRDKYFTPKPVFQFSMYNGCFQCTLTLPPTAAFQTILGPIGGNSHIAKQLVCLAACKKLHNLGALNDHLLPVIKEHTEVKVNEKEKASASGAGTKKRKELHSTATISSLSGTWANKRDGIILQGYNLTFSCDLVGQYYCSFILLVDAILDEDVACTEMDLYLLNKMAKAYVRPCGPVTLDMEQVEQAKLFQEFFFNGLFGKLFTGSKSGGQRKFLLNNKESLWSTSNMYLLLPLETSVIGLNESFNIYWKAICATVSVVEFMRNIYSSGAEFSEALNSCPSRTECGASDMIHLADKSVDQRSLKDMVVLAIHTGKIYSVLDVISNSSADSPFDGNSDKSVWMTFSDYFKKKYGIVLQHSGQPLLLLKQSHNPHNLLSSKSKCKGDKRTNNGGQNHVRMPPELVAHIDIPINVLKSFYLLPSLMHRLESLMLASQLRKEIAFNYIDSSISSALILEAITTLRCCEDFSLERLEHLGDSVLKYAVSCYLYVKYPEKHEDQLSSWRSNQVSNATLHKLGINRNVQGYVRDAAFDPCRWVAPGQISLHPVPCTCGVATSEVPQKVIYEKEDKTIVIGKACDNGHRWMCSKTISDCVEALIGAYYVGGGLCAALAILKWFGIDSEFEPEMFEEAVKAISMRSYLLKINEIQVLEAKLGYNFNVKGLLLEAITHSSIGVDYCYQRLEFLGDSVLDLLITWHLFTAHENLDPGELTDLRSASVKNENFALSAVRHNLQQHLQHSSGFLLAQITEYVKGIQEMHGSKDSLFCKSSIKGPKVLGDMFESIAGAILIDTGLNMDKVWQIFKPLLSPIVTPDNFELPPMRELSELCSELGYFLAVKCLEDGEYVVAELEVQLEVVLLARRGREKNKKAAKAQAAILLLKDMEEKGLSHSRHPSKRRVIEERSVGEENFVASPVQNVCAVSPTVAESVKNDKTSDSDPRPPVNLSVKMKKGGPRSALYELCKKSLWPMPIFESTEEKSSTSPSVGKGERNGHLSFVSSGKLHLPDGRSINCVGERRADKKSSQDSAALALLYELQKQGRCCVVEV >DRNTG_21182.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20639733:20659347:1 gene:DRNTG_21182 transcript:DRNTG_21182.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDCENQISELESVLDSKVFTVRDRSEIDLFVPEAKYVNKYYNRMVFTHEGLKMKLGSIWNKCDALMAHLQEKTLDQYKDTHDMIKTSRKRLSSSHAKICHCLDDLGLICALEAAKVCVEVLSLHSECCEFSVETYDVYKSFTEEALSTIAESLPNDYESLLKTEDGCLGAIQFGYISPKLHELIKIFQSLGILNQVQCLIFVERIITSKVIERFIRKISYLSHFTVSYLTGGSSSADALTPKMQKETLDSFRSRKVNLLFTTDVAEEGIHVPDCSCVIRFDLPKTVRSYVQSCGRARTADSQFVIMLERGNVQQRELLFDIIRSRHSMITTALNRDADAWNSQVTFNETKESYHVESTGATLTADSSISLIYRYCGKLPRDKYFTPKPVFQFSMYNGCFQCTLTLPPTAAFQTILGPIGGNSHIAKQLVCLAACKKLHNLGALNDHLLPVIKEHTEVKVNEKEKASASGAGTKKRKELHSTATISSLSGTWANKRDGIILQGYNLTFSCDLVGQYYCSFILLVDAILDEDVACTEMDLYLLNKMAKAYVRPCGPVTLDMEQVEQAKLFQEFFFNGLFGKLFTGSKSGGQRKFLLNNKESLWSTSNMYLLLPLETSVIGLNESFNIYWKAICATVSVVEFMRNIYSSGAEFSEALNSCPSRTECGASDMIHLADKSVDQRSLKDMVVLAIHTGKIYSVLDVISNSSADSPFDGNSDKSVWMTFSDYFKKKYGIVLQHSGQPLLLLKQSHNPHNLLSSKSKCDKRTNNGGQNHVRMPPELVAHIDIPINVLKSFYLLPSLMHRLESLMLASQLRKEIAFNYIDSSISSALILEAITTLRCCEDFSLERLEHLGDSVLKYAVSCYLYVKYPEKHEDQLSSWRSNQVSNATLHKLGINRNVQGYVRDAAFDPCRWVAPGQISLHPVPCTCGVATSEVPQKVIYEKEDKTIVIGKACDNGHRWMCSKTISDCVEALIGAYYVGGGLCAALAILKWFGIDSEFEPEMFEEAVKAISMRSYLLKINEIQVLEAKLGYNFNVKGLLLEAITHSSIGVDYCYQRLEFLGDSVLDLLITWHLFTAHENLDPGELTDLRSASVKNENFALSAVRHNLQQHLQHSSGFLLAQITEYVKGIQEMHGSKDSLFCKSSIKGPKVLGDMFESIAGAILIDTGLNMDKVWQIFKPLLSPIVTPDNFELPPMRELSELCSELGYFLAVKCLEDGEYVVAELEVQLEVVLLARRGREKNKKAAKAQAAILLLKDMEEKGLSHSRHPSKRRVIEERSVGEENFVASPVQNVCAVSPTVAESVKNDKTSDSDPRPPVNLSVKMKKGGPRSALYELCKKSLWPMPIFESTEEKSSTSPSVGKGERNGHLSFVSSGKLHLPDGRSINCVGERRADKKSSQDSAALALLYELQKQGRCCVVEV >DRNTG_21182.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20636083:20659347:1 gene:DRNTG_21182 transcript:DRNTG_21182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKETLDSFRSRKVNLLFTTDVAEEGIHVPDCSCVIRFDLPKTVRSYVQSCGRARTADSQFVIMLERGNVQQRELLFDIIRSRHSMITTALNRDADAWNSQVTFNETKESYHVESTGATLTADSSISLIYRYCGKLPRDKYFTPKPVFQFSMYNGCFQCTLTLPPTAAFQTILGPIGGNSHIAKQLVCLAACKKLHNLGALNDHLLPVIKEHTEVKVNEKEKASASGAGTKKRKELHSTATISSLSGTWANKRDGIILQGYNLTFSCDLVGQYYCSFILLVDAILDEDVACTEMDLYLLNKMAKAYVRPCGPVTLDMEQVEQAKLFQEFFFNGLFGKLFTGSKSGGQRKFLLNNKESLWSTSNMYLLLPLETSVIGLNESFNIYWKAICATVSVVEFMRNIYSSGAEFSEALNSCPSRTECGASDMIHLADKSVDQRSLKDMVVLAIHTGKIYSVLDVISNSSADSPFDGNSDKSVWMTFSDYFKKKYGIVLQHSGQPLLLLKQSHNPHNLLSSKSKCDKRTNNGGQNHVRMPPELVAHIDIPINVLKSFYLLPSLMHRLESLMLASQLRKEIAFNYIDSSISSALILEAITTLRCCEDFSLERLEHLGDSVLKYAVSCYLYVKYPEKHEDQLSSWRSNQVSNATLHKLGINRNVQGYVRDAAFDPCRWVAPGQISLHPVPCTCGVATSEVPQKVIYEKEDKTIVIGKACDNGHRWMCSKTISDCVEALIGAYYVGGGLCAALAILKWFGIDSEFEPEMFEEAVKAISMRSYLLKINEIQVLEAKLGYNFNVKGLLLEAITHSSIGVDYCYQRLEFLGDSVLDLLITWHLFTAHENLDPGELTDLRSASVKNENFALSAVRHNLQQHLQHSSGFLLAQITEYVKGIQEMHGSKDSLFCKSSIKGPKVLGDMFESIAGAILIDTGLNMDKVWQIFKPLLSPIVTPDNFELPPMRELSELCSELGYFLAVKCLEDGEYVVAELEVQLEVVLLARRGREKNKKAAKAQAAILLLKDMEEKGLSHSRHPSKRRVIEERSVGEENFVASPVQNVCAVSPTVAESVKNDKTSDSDPRPPVNLSVKMKKGGPRSALYELCKKSLWPMPIFESTEEKSSTSPSVGKGERNGHLSFVSSGKLHLPDGRSINCVGERRADKKSSQDSAALALLYELQKQGRCCVVEV >DRNTG_21182.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20647796:20659347:1 gene:DRNTG_21182 transcript:DRNTG_21182.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYLLNKMAKAYVRPCGPVTLDMEQVEQAKLFQEFFFNGLFGKLFTGSKSGGQRKFLLNNKESLWSTSNMYLLLPLETSVIGLNESFNIYWKAICATVSVVEFMRNIYSSGAEFSEALNSCPSRTECGASDMIHLADKSVDQRSLKDMVVLAIHTGKIYSVLDVISNSSADSPFDGNSDKSVWMTFSDYFKKKYGIVLQHSGQPLLLLKQSHNPHNLLSSKSKCKGDKRTNNGGQNHVRMPPELVAHIDIPINVLKSFYLLPSLMHRLESLMLASQLRKEIAFNYIDSSISSALILEAITTLRCCEDFSLERLEHLGDSVLKYAVSCYLYVKYPEKHEDQLSSWRSNQVSNATLHKLGINRNVQGYVRDAAFDPCRWVAPGQISLHPVPCTCGVATSEVPQKVIYEKEDKTIVIGKACDNGHRWMCSKTISDCVEALIGAYYVGGGLCAALAILKWFGIDSEFEPEMFEEAVKAISMRSYLLKINEIQVLEAKLGYNFNVKGLLLEAITHSSIGVDYCYQRLEFLGDSVLDLLITWHLFTAHENLDPGELTDLRSASVKNENFALSAVRHNLQQHLQHSSGFLLAQITEYVKGIQEMHGSKDSLFCKSSIKGPKVLGDMFESIAGAILIDTGLNMDKVWQIFKPLLSPIVTPDNFELPPMRELSELCSELGYFLAVKCLEDGEYVVAELEVQLEVVLLARRGREKNKKAAKAQAAILLLKDMEEKGLSHSRHPSKRRVIEERSVGEENFVASPVQNVCAVSPTVAESVKNDKTSDSDPRPPVNLSVKMKKGGPRSALYELCKKSLWPMPIFESTEEKSSTSPSVGKGERNGHLSFVSSGKLHLPDGRSINCVGERRADKKSSQDSAALALLYELQKQGRCCVVEV >DRNTG_21182.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20645610:20659347:1 gene:DRNTG_21182 transcript:DRNTG_21182.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQKETLDSFRSRKVNLLFTTDVAEEGIHVPDCSCVIRFDLPKTVRSYVQSCGRARTADSQFVIMLERGNVQQRELLFDIIRSRHSMITTALNRDADAWNSQVTFNETKESYHVESTGATLTADSSISLIYRYCGKLPRDKYFTPKPVFQFSMYNGCFQCTLTLPPTAAFQTILGPIGGNSHIAKQLVCLAACKKLHNLGALNDHLLPVIKEHTEVKVNEKEKASASGAGTKKRKELHSTATISSLSGTWANKRDGIILQGYNLTFSCDLVGQYYCSFILLVDAILDEDVACTEMDLYLLNKMAKAYVRPCGPVTLDMEQVEQAKLFQEFFFNGLFGKLFTGSKSGGQRKFLLNNKESLWSTSNMYLLLPLETSVIGLNESFNIYWKAICATVSVVEFMRNIYSSGAEFSEALNSCPSRTECGASDMIHLADKSVDQRSLKDMVVLAIHTGKIYSVLDVISNSSADSPFDGNSDKSVWMTFSDYFKKKYGIVLQHSGQPLLLLKQSHNPHNLLSSKSKCKGDKRTNNGGQNHVRMPPELVAHIDIPINVLKSFYLLPSLMHRLESLMLASQLRKEIAFNYIDSSISSALILEAITTLRCCEDFSLERLEHLGDSVLKYAVSCYLYVKYPEKHEDQLSSWRSNQVSNATLHKLGINRNVQGYVRDAAFDPCRWVAPGQISLHPVPCTCGVATSEVPQKVIYEKEDKTIVIGKACDNGHRWMCSKTISDCVEALIGAYYVGGGLCAALAILKWFGIDSEFEPEMFEEAVKAISMRSYLLKINEIQVLEAKLGYNFNVKGLLLEAITHSSIGVDYCYQRLEFLGDSVLDLLITWHLFTAHENLDPGELTDLRSASVKNENFALSAVRHNLQQHLQHSSGFLLAQITEYVKGIQEMHGSKDSLFCKSSIKGPKVLGDMFESIAGAILIDTGLNMDKVWQIFKPLLSPIVTPDNFELPPMRELSELCSELGYFLAVKCLEDGEYVVAELEVQLEVVLLARRGREKNKKAAKAQAAILLLKDMEEKGLSHSRHPSKRRVIEERSVGEENFVASPVQNVCAVSPTVAESVKNDKTSDSDPRPPVKMKKGGPRSALYELCKKSLWPMPIFESTEEKSSTSPSVGKGERNGHLSFVSSGKLHLPDGRSINCVGERRADKKSSQDSAALALLYELQKQGRCCVVEV >DRNTG_13219.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2720315:2721588:1 gene:DRNTG_13219 transcript:DRNTG_13219.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G63770) UniProtKB/Swiss-Prot;Acc:Q9FFN7] MQWPDQCWSEERAPPTNGASSHLIAM >DRNTG_13219.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2717115:2718970:1 gene:DRNTG_13219 transcript:DRNTG_13219.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G63770) UniProtKB/Swiss-Prot;Acc:Q9FFN7] MLNSIKEEIIASSFRGQLKRRRNRSKNRNNQTVYRTTKDKVHNSTAAAHLTLESVLKFFLGLERPNNRETNSKQRSNLESIKCNSLGLSQKNGEIVNMGQKRKYKLVDLPLDARPLLVFINAKSGAQYGPSLRRKLNTLLNPVQVFELSSSQGPEVGLRLFRNVQYFRVLVCGGDGTVAWVLDAIERENFESPPPVAILPLGTGNDLSRVLQWGGGLSSVEGQGGLAALLHEIDHAAVTMLDRWNVCITEEIEDPTQQIKQVKFMTNYLG >DRNTG_13219.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2719929:2721588:1 gene:DRNTG_13219 transcript:DRNTG_13219.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G63770) UniProtKB/Swiss-Prot;Acc:Q9FFN7] MGGVNLWQNEYEHNDDFELQSMHDKVLEVVCIFGTWHLGKLQVGLSQARRLAQGRTIKLQLHSPFPVQVDGEPWIQQPGCLEITHHGQTFMLRRASEEPTGHAAAIMTEVLVNAECSGLINAGQKRELLQQMALRLT >DRNTG_13219.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2717115:2721588:1 gene:DRNTG_13219 transcript:DRNTG_13219.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G63770) UniProtKB/Swiss-Prot;Acc:Q9FFN7] MLNSIKEEIIASSFRGQLKRRRNRSKNRNNQTVYRTTKDKVHNSTAAAHLTLESVLKFFLGLERPNNRETNSKQRSNLESIKCNSLGLSQKNGEIVNMGQKRKYKLVDLPLDARPLLVFINAKSGAQYGPSLRRKLNTLLNPVQVFELSSSQGPEVGLRLFRNVQYFRVLVCGGDGTVAWVLDAIERENFESPPPVAILPLGTGNDLSRVLQWGGGLSSVEGQGGLAALLHEIDHAAVTMLDRWNVCITEEIEDPTQQIKQVKFMTNYLGVGCDAKVAYDFHMSREERPDKFSSQFVNKLRYAKEGARDIVDRTCAGLPWEVSLQVDGNDIKIPEDAEGVLVLNIGSYMGGVNLWQNEYEHNDDFELQSMHDKVLEVVCIFGTWHLGKLQVGLSQARRLAQGRTIKLQLHSPFPVQVDGEPWIQQPGCLEITHHGQTFMLRRASEEPTGHAAAIMTEVLVNAECSGLINAGQKRELLQQMALRLT >DRNTG_05333.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3684201:3684440:-1 gene:DRNTG_05333 transcript:DRNTG_05333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSSIPSLFRRDKTASSLAASPITVSLKFTRITRSLWPSLSRWDFLRRRGHGVFYCMCLMLCLFMVAVYGVLATSSV >DRNTG_03778.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1730065:1731221:1 gene:DRNTG_03778 transcript:DRNTG_03778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQEPHFRALEQYCEEFREGMAIGFYGDFCQLDQ >DRNTG_19533.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:90449:90952:1 gene:DRNTG_19533 transcript:DRNTG_19533.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSKTMLTFLFCISTLLPCIYSRTGNHHHKHQHHQHKSSSIALPPSPDSHIPDDLSPSISPAPSPFSDNIFNVRSFGAVGDGVADDTEAFKTAWVSACEASPAVVLVPAGFTFMIQSIIFTGPCQNGIVLQ >DRNTG_19533.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:90449:91630:1 gene:DRNTG_19533 transcript:DRNTG_19533.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSKTMLTFLFCISTLLPCIYSRTGNHHHKHQHHQHKSSSIALPPSPDSHIPDDLSPSISPAPSPFSDNIFNVRSFGAVGDGVADDTEAFKTAWVSACEASPAVVLVPAGFTFMIQSIIFTGPCQNGIVLQVEGVIMPPDGPDSWPANIHRKQWLVFYKADGLILHGGGLIDGRGEKWWNLPCKPHKGANGTTLPGPCDSPVALRFFSSSNITLHGMKIINSPQFHVRFDNCKNITVDSVSITSPAHSPNTDGIHIENSITASIHNSIISN >DRNTG_14905.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20529282:20531600:-1 gene:DRNTG_14905 transcript:DRNTG_14905.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEHICVDNLVDHLDGVASFPLSGAFYGVFDGHGGKDAASYVRRNILKFIIEDSHFPICIDKAMKSAFVRADHAFADAHSLDSSSGTTALTALIFGRTMHIANAGDCRAVLGRRGRAVELSIDHKPNCNSERLRIERLGGIVYDGYLNGQLSVARALGDWHIKGTKGSLCPLSAEPELQKTILTEEDEFLILGCDGLWDVMTSQCAVTMVRKELMAHNDPERCSQELVREALSRNTCDNLTVVVVCFSPNPPPRIEIPRSRVRRSISAEGLQFLKGVMDNNI >DRNTG_14905.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20529282:20531269:-1 gene:DRNTG_14905 transcript:DRNTG_14905.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKMESGTDFSPNYCQDSVTEPMRTTGKPPRLRPVIRHCVSTARLASSTDSDLASPPEEAQPSFLPVFRSGSYSEIGPKQDMEDEHICVDNLVDHLDGVASFPLSGAFYGVFDGHGGKDAASYVRRNILKFIIEDSHFPICIDKAMKSAFVRADHAFADAHSLDSSSGTTALTALIFGRTMHIANAGDCRAVLGRRGRAVELSIDHKPNCNSERLRIERLGGIVYDGYLNGQLSVARALGDWHIKGTKGSLCPLSAEPELQKTILTEEDEFLILGCDGLWDVMTSQCAVTMVRKELMAHNDPERCSQELVREALSRNTCDNLTVVVVCFSPNPPPRIEIPRSRVRRSISAEGLQFLKGVMDNNI >DRNTG_14905.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20529282:20531269:-1 gene:DRNTG_14905 transcript:DRNTG_14905.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEHICVDNLVDHLDGVASFPLSGAFYGVFDGHGGKDAASYVRRNILKFIIEDSHFPICIDKAMKSAFVRADHAFADAHSLDSSSGTTALTALIFGRTMHIANAGDCRAVLGRRGRAVELSIDHKPNCNSERLRIERLGGIVYDGYLNGQLSVARALGDWHIKGTKGSLCPLSAEPELQKTILTEEDEFLILGCDGLWDVMTSQCAVTMVRKELMAHNDPERCSQELVREALSRNTCDNLTVVVVCFSPNPPPRIEIPRSRVRRSISAEGLQFLKGVMDNNI >DRNTG_14905.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20529196:20531269:-1 gene:DRNTG_14905 transcript:DRNTG_14905.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKMESGTDFSPNYCQDSVTEPMRTTGKPPRLRPVIRHCVSTARLASSTDSDLASPPEEAQPSFLPVFRSGSYSEIGPKQDMEDEHICVDNLVDHLDGVASFPLSGAFYGVFDGHGGKDAASYVRRNILKFIIEDSHFPICIDKAMKSAFVRADHAFADAHSLDSSSGTTALTALIFGRTMHIANAGDCRAVLGRRGRAVELSIDHKPNCNSERLRIERLGGIVYDGYLNGQLSVARALGDWHIKGTKGSLCPLSAEPELQKTILTEEDEFLILGCDGLWDVMTSQCAVTMVRKELMAHNDPERCSQELVREALSRNTCDNLTVVVVCFSPNPPPRIEIPRSRVRRSISAEGLQFLKGVMDNNI >DRNTG_14905.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20529196:20531600:-1 gene:DRNTG_14905 transcript:DRNTG_14905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMESGTDFSPNYCQDSVTEPMRTTGKPPRLRPVIRHCVSTARLASSTDSDLASPPEEAQPSFLPVFRSGSYSEIGPKQDMEDEHICVDNLVDHLDGVASFPLSGAFYGVFDGHGGKDAASYVRRNILKFIIEDSHFPICIDKAMKSAFVRADHAFADAHSLDSSSGTTALTALIFGRTMHIANAGDCRAVLGRRGRAVELSIDHKPNCNSERLRIERLGGIVYDGYLNGQLSVARALGDWHIKGTKGSLCPLSAEPELQKTILTEEDEFLILGCDGLWDVMTSQCAVTMVRKELMAHNDPERCSQELVREALSRNTCDNLTVVVVCFSPNPPPRIEIPRSRVRRSISAEGLQFLKGVMDNNI >DRNTG_14905.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20529196:20531269:-1 gene:DRNTG_14905 transcript:DRNTG_14905.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEHICVDNLVDHLDGVASFPLSGAFYGVFDGHGGKDAASYVRRNILKFIIEDSHFPICIDKAMKSAFVRADHAFADAHSLDSSSGTTALTALIFGRTMHIANAGDCRAVLGRRGRAVELSIDHKPNCNSERLRIERLGGIVYDGYLNGQLSVARALGDWHIKGTKGSLCPLSAEPELQKTILTEEDEFLILGCDGLWDVMTSQCAVTMVRKELMAHNDPERCSQELVREALSRNTCDNLTVVVVCFSPNPPPRIEIPRSRVRRSISAEGLQFLKGVMDNNI >DRNTG_14905.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20528932:20531269:-1 gene:DRNTG_14905 transcript:DRNTG_14905.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMESGTDFSPNYCQDSVTEPMRTTGKPPRLRPVIRHCVSTARLASSTDSDLASPPEEAQPSFLPVFRSGSYSEIGPKQDMEDEHICVDNLVDHLDGVASFPLSGAFYGVFDGHGGKDAASYVRRNILKFIIEDSHFPICIDKAMKSAFVRADHAFADAHSLDSSSGTTALTALIFGRTMHIANAGDCRAVLGRRGRAVELSIDHKPNCNSERLRIERLGGIVYDGYLNGQLSVARALGDWHIKGTKGSLCPLSAEPELQKTILTEEDEFLILGCDGLWDVMTSQCAVTMVRKELMAHNDPERCSQELVREALSRNTCDNLTVVVVCFSPNPPPRIEIPRSRVRRSISAEGLQFLKGVMDNNI >DRNTG_14905.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20529282:20531269:-1 gene:DRNTG_14905 transcript:DRNTG_14905.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEHICVDNLVDHLDGVASFPLSGAFYGVSFRGLFDHALHFLEFIDVIVHVWQVFDGHGGKDAASYVRRNILKFIIEDSHFPICIDKAMKSAFVRADHAFADAHSLDSSSGTTALTALIFGRTMHIANAGDCRAVLGRRGRAVELSIDHKPNCNSERLRIERLGGIVYDGYLNGQLSVARALGDWHIKGTKGSLCPLSAEPELQKTILTEEDEFLILGCDGLWDVMTSQCAVTMVRKELMAHNDPERCSQELVREALSRNTCDNLTVVVVCFSPNPPPRIEIPRSRVRRSISAEGLQFLKGVMDNNI >DRNTG_14905.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20529282:20531600:-1 gene:DRNTG_14905 transcript:DRNTG_14905.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKMESGTDFSPNYCQDSVTEPMRTTGKPPRLRPVIRHCVSTARLASSTDSDLASPPEEAQPSFLPVFRSGSYSEIGPKQDMEDEHICVDNLVDHLDGVASFPLSGAFYGVFDGHGGKDAASYVRRNILKFIIEDSHFPICIDKAMKSAFVRADHAFADAHSLDSSSGTTALTALIFGRTMHIANAGDCRAVLGRRGRAVELSIDHKPNCNSERLRIERLGGIVYDGYLNGQLSVARALGDWHIKGTKGSLCPLSAEPELQKTILTEEDEFLILGCDGLWDVMTSQCAVTMVRKELMAHNDPERCSQELVREALSRNTCDNLTVVVVCFSPNPPPRIEIPRSRVRRSISAEGLQFLKGVMDNNI >DRNTG_16260.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:13372730:13378112:1 gene:DRNTG_16260 transcript:DRNTG_16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTKNSSNGGRIYHVGGERGRSCTSRLTLSTLSNLALSNARGVSLTHKKLSAEPAGFSKSSSENARAWEFPHARGLILRAHPEKAQGRAAAPVNDHANIACPWSSSCDPEKTQGRPLAPIMAPWSKKQADKWPRESSSES >DRNTG_22640.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20752364:20757524:1 gene:DRNTG_22640 transcript:DRNTG_22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSFLRKKVAIEDGSVVDNEGENDSDLMKDDEKRLVDFPFVDYNSDVDDVVKEASGKVRNNVHLRIEGKYKRVMGKMML >DRNTG_12281.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24748639:24753811:1 gene:DRNTG_12281 transcript:DRNTG_12281.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFDKEFFTEYGEATQYQIQEVVGKGSYGVVGAAIDTHTREKVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRALKYIHTANVFHRDLKPKNILANADCKLKICDFGLARASFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLMTDLLGTPSAESLARIRNDKARRYLSNMRKKPPVPFSQKFPNVDPLALRLLERLLAFDPKDRPSAEEALADPYFRGLANVEREPSTQPISKLEFEFERRKLTKDDVRELIYREILEYHPQMLQEYLRGADQTSFMYPSGVDRFRRQFAHLEEHYSKGERSTPLQRQHASLPRERPKDNDFEKEAASALVARVALESPPGSQQGEVSENAKLIENGPNKPNYSARSLLKSASISASQCVVVKPKKESEETISENADEGG >DRNTG_12281.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24748205:24753811:1 gene:DRNTG_12281 transcript:DRNTG_12281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNSFVDGVRRWFQRRSTSASTPAPSSLSRASRQAEDDEQDDLRIIVDPNLCGLKPLKVPKRIALPVSADPHKKNMFDKEFFTEYGEATQYQIQEVVGKGSYGVVGAAIDTHTREKVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRALKYIHTANVFHRDLKPKNILANADCKLKICDFGLARASFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLMTDLLGTPSAESLARIRNDKARRYLSNMRKKPPVPFSQKFPNVDPLALRLLERLLAFDPKDRPSAEEALADPYFRGLANVEREPSTQPISKLEFEFERRKLTKDDVRELIYREILEYHPQMLQEYLRGADQTSFMYPSGVDRFRRQFAHLEEHYSKGERSTPLQRQHASLPRERPKDNDFEKEAASALVARVALESPPGSQQGEVSENAKLIENGPNKPNYSARSLLKSASISASQCVVVKPKKESEETISENADEGG >DRNTG_12281.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24748639:24753811:1 gene:DRNTG_12281 transcript:DRNTG_12281.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDKEFFTEYGEATQYQIQEVVGKGSYGVVGAAIDTHTREKVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRALKYIHTANVFHRDLKPKNILANADCKLKICDFGLARASFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLMTDLLGTPSAESLARIRNDKARRYLSNMRKKPPVPFSQKFPNVDPLALRLLERLLAFDPKDRPSAEEALADPYFRGLANVEREPSTQPISKLEFEFERRKLTKDDVRELIYREILEYHPQMLQEYLRGADQTSFMYPSGVDRFRRQFAHLEEHYSKGERSTPLQRQHASLPRERPKDNDFEKEAASALVARVALESPPGSQQGEVSENAKLIENGPNKPNYSARSLLKSASISASQCVVVKPKKESEETISENADEGG >DRNTG_12281.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24748205:24748557:1 gene:DRNTG_12281 transcript:DRNTG_12281.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNSFVDGVRRWFQRRSTSASTPAPSSLSRASRQAEDDEQDDLRIIVDPNLCGLKPLKVPKRIALPVSADPHKKACHLSFDFY >DRNTG_03719.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12007092:12010774:1 gene:DRNTG_03719 transcript:DRNTG_03719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAVSSGRIGIFIGSKLPESSKLVKRCSLSVSLGFAVPFPLKGRLSSSVAVNVPKAAPTAAVEGGNNTETDVIPTPKVIIDQDSDPEATIVEVTFGDRLGPLLDTMGALKNLGLNVVKANVFLDSSGKHNKFAITKGSTGRKVEDPELLEAIRLTIINNLLQYHPESSTKLALGEAFGMEPPVQKVDVDIVTRISISDDGPNRSLLLVETADRPGLLVDLVKIITDINITVQSGEFDTEGLLAKAKFHVSYQDKALIKPLQQVLINSLRYFLRRPTTEEASF >DRNTG_14429.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000680.1:41429:42326:-1 gene:DRNTG_14429 transcript:DRNTG_14429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDASGMIGRTEARAASFPPRPSLCVGDTKRVHRKRTGTGSIPL >DRNTG_05468.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23173934:23175965:-1 gene:DRNTG_05468 transcript:DRNTG_05468.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SLAC1 [Source:Projected from Arabidopsis thaliana (AT1G12480) UniProtKB/TrEMBL;Acc:A0A178WLC1] MEPTQNFVDVYPVQADAGERCNKLGKQPVKFRKAGAKRFDRQVSLETGVAAMAMEGKNTRNGVANKDDKRVLTRNGKSLGGEVGGEVMLNRKGDFSIFRTKSTLTKQNSLLPLRKDSEVNLQSMDVGGSTAGGQEEVENQSVPAGRYFAALRGPELDQVRDHEDILLPKDELWPFLLRFPIGCFGMCLGLGSQTILWRSLSSSPSLHFLSIPPLINLILWLFAVSLLLTISITYSLKSLFFFEAIRREYFHPVRINFFFAPWIACMFLTLGAPPSLFPHSPHPALWCSFMAPVFLLELKIYGQWLSGGKRRLCKVANPSSHLSVIGNFVGAILAAKVGWIEPAKFLFAIGLAHYLVVFVTLYQRLPTNEALPKELHPVYSMFIATPAAASLAWSSIYNQFDAIARTFFFIALFLYMSLVVRINFFRGFKFSIAWWSYTFPMTTASLATIKYAEEVPCFMSKALALSLSFMSSTMVSLLFISTLLHAFFWRSLFPNDLAIAITKKKSNNGARALGKQKSIVGKKPQEMKPWSSKQSSSSPLAALVSSSSTATATATATTITVAKNDDQQRGEIENKLSH >DRNTG_32882.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23516399:23517162:-1 gene:DRNTG_32882 transcript:DRNTG_32882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIRCLDDAGLVGEMWKVMQEMVRSTCIVTPTDLSEIVKILGKAKMVNKACSIFYQVKTKKCQPTSHTYNSMIIMLMQEGHYEKVHELYHEMCNEGYCLPDTVTYNALVQAFSKLGREESAVRLFEEMKENRLQPTAKIYTTLIGLFFKSGKIDKALSLFHEMRCKCCTPNVFTYTELIKGLGKAGRVEEAYGFYLEMRREGCKPDTVLMNNLINIFSKAGRLEDAIKLFEEMESLQCTPSVVTYNTVIKS >DRNTG_29128.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1292746:1297586:1 gene:DRNTG_29128 transcript:DRNTG_29128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSERAEVRRNKYKVAVDAEEGRRRREDNMVEIRKSRREESLQKKRREGMQAQQFTASIHASAIEKKLESLPAMVAGVYSDDSTMQLEATTQFRKLLSIERSPPIEEVIQSGVVPKFVEFLMREDYPQLQFEAAWALTNIASGTSDNTKVVIDHGAVPIFVKLLGSPSDDVREQAVWALGNVAGDSPRCRDLVLNHGALMPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPNFELTKPALPALERLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDVQTQYIINHQALPCLLNLLTHNHKKSIKKEACWTVSNITAGNKEQIQAVISAEIIGPL >DRNTG_29128.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1292746:1297456:1 gene:DRNTG_29128 transcript:DRNTG_29128.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSERAEVRRNKYKVAVDAEEGRRRREDNMVEIRKSRREESLQKKRREGMQAQQFTASIHASAIEKKLESLPAMVAGVYSDDSTMQLEATTQFRKLLSIERSPPIEEVIQSGVVPKFVEFLMREDYPQLQFEAAWALTNIASGTSDNTKVVIDHGAVPIFVKLLGSPSDDVREQAVWALGNVAGDSPRCRDLVLNHGALMPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPNFELTKPALPALERLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDVQTQYIINHQALPCLLNLLTHNHKKSIKKEACWTVSNITAGNKEQIQVCEHTNMFSSNFSFKLKRYNEKHACQTEVH >DRNTG_29128.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1292746:1297456:1 gene:DRNTG_29128 transcript:DRNTG_29128.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSERAEVRRNKYKVAVDAEEGRRRREDNMVEIRKSRREESLQKKRREGMQAQQFTASIHASAIEKKLESLPAMVAGVYSDDSTMQLEATTQFRKLLSIERSPPIEEVIQSGVVPKFVEFLMREDYPQLQFEAAWALTNIASGTSDNTKVVIDHGAVPIFVKLLGSPSDDVREQAVWALGNVAGDSPRCRDLVLNHGALMPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPNFELTKPALPALERLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLYVLGTLISFARVLLL >DRNTG_01414.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6644286:6645589:-1 gene:DRNTG_01414 transcript:DRNTG_01414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFAAGELMHVLAVDDSSVDRTLIATLLRSSKHRVTTVDSGKRALELLGLESDVNMIITDYWMPEMTGYELLKKVKESSVLRQIPVVIMSSENVPTRINKCLEEGAEDFLLKPVRSSDVRRVCGRMMMR >DRNTG_31797.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:533700:535724:-1 gene:DRNTG_31797 transcript:DRNTG_31797.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEILVGGFGTRLRPLTLSVPKPLVEFGNKPMIQHQIEALKEVGVTEVILGINYQPEVMLNFLNEFQDKIGIKITCCQETEPLGTAGPLALARDKLLDGSGEPFFVLNSDVISEYPFKKLIQFHRSHGGEATIMVTKVNEPSKYGVVVMDEETGKVERFVEKPQTFVGNKINAGIYLLNPSVLDRIEPKPTSIEKETFPQIAAEGKLFAMVLPGFWMDIGQPKDYIAGLKLYLNSLRKKSSLKLAKGSHIIGNVIIDETAVIGAGCLIGPDVAIGPGCVIESGVRISKCTVMQGVRIKKHACISSSIIGWHSTVGQWARIENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >DRNTG_31797.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:533700:536567:-1 gene:DRNTG_31797 transcript:DRNTG_31797.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEILVGGFGTRLRPLTLSVPKPLVEFGNKPMIQHQIEALKEVGVTEVILGINYQPEVMLNFLNEFQDKIGIKITCCQETEPLGTAGPLALARDKLLDGSGEPFFVLNSDVISEYPFKKLIQFHRSHGGEATIMVTKVNEPSKYGVVVMDEETGKVERFVEKPQTFVGNKINAGIYLLNPSVLDRIEPKPTSIEKETFPQIAAEGKLFAMVLPGFWMDIGQPKDYIAGLKLYLNSLRKKSSLKLAKGSHIIGNVIIDETAVIGAGCLIGPDVAIGPGCVIESGVRISKCTVMQGVRIKKHACISSSIIGWHSTVGQWARIENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >DRNTG_17837.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4687401:4688592:-1 gene:DRNTG_17837 transcript:DRNTG_17837.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGWSTAGVLGCPICMEKSNAFHLHGRKTSYFDCHRMFLPRKHAYHKDKKSFIRGRLEKNTSGEEIFNQVMLFPTTMEDSVNTPPGYGATHKWTKKSIFWDLHYWKNNLIRHNLDVMHIEKNVFDNVFFKIMDVKGKSKDNINARKDVGILRDRKEIAVPSNFLSRTIPKEVYTLTKEQKRVICGWIQELRFLDGYASNLGRCVDMNELKMIGMKSHDCHVFMQRLIPIAFRELLPSFVWNPLTELSLLFRTICSTAVDTDKIIELEEKVAVILCNLEKIFPPAFFDSMEHLIVHLPYEVRIGGHVQYRWMYPFERFLKELKKTLKNKAHIEGSICQAYIAQAINIFAEHYFEPHISCRRRRPR >DRNTG_12853.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000577.1:1680:3169:-1 gene:DRNTG_12853 transcript:DRNTG_12853.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVEFVSGVVPVRRRSDKQLVSHDTKSNNYNYKYTFSVEICPICREDLICLPPKVSNSLGNLGPLVICAKVSNSILLVDPETLRTAFLDADEYWRTPFGALLSSRQLVEFIVLDVKEKTPEVTVSGSRYCLARVEVARMSDFGQNDTTFDIKTHLGHLLKEGDVVLGYDLYSANSNDVEMDKYKGFELPYAILIKKSYEEKRQRKHGKQRKWRLKRMQMEVDDGARGRGSEEKRNNEYEEFLRELEENPTGMLDQMTIYKNKDHRPSETASMLSGDDDLPLDELISKLELSDDEEGEEDEDMAQ >DRNTG_15959.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:340216:344877:-1 gene:DRNTG_15959 transcript:DRNTG_15959.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heterogeneous nuclear ribonucleoprotein Q [Source:Projected from Arabidopsis thaliana (AT4G00830) UniProtKB/Swiss-Prot;Acc:Q9ASP6] MSGANFKIDGSTPTVSWAEPKNAADASAAAQVKCVYVKNLPENVTSEKLKEIFGRHGEVTKVVLPPAKAGQNKRDFGFIHFAERSSALKAVKSTEKYEIDGHVLEAVLAKPQTDRKSDLHKAGPFPSYPPYPYGYPGDPYGAYGAGAGAVYGGSGYGQPVIYGRGPTPAGMKMVPMVLPDGRLGYVLQQPGTQVPPPPPPPSRRTDRRGGSNDGEDRGSEGSRGRRYRPY >DRNTG_16419.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5465061:5466875:-1 gene:DRNTG_16419 transcript:DRNTG_16419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAENMKNHMRTLKQKYQNIKKLMNLNCAGWKDESKMLVLKDETYRTFVEVEKHPPRGGKLWTRADPLYWQPGLVCRKSLKDMSSVKFKHRLTERAADAD >DRNTG_29811.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23292054:23292537:-1 gene:DRNTG_29811 transcript:DRNTG_29811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYGSGHRHHARHSRTVPVNHSASSCLAASILSISSGLSGLRSEERGVITPPWQSTAR >DRNTG_12466.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20911032:20911532:1 gene:DRNTG_12466 transcript:DRNTG_12466.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQALKEVQNRIKAENRVIENCLRA >DRNTG_24544.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2420525:2426200:-1 gene:DRNTG_24544 transcript:DRNTG_24544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPALRCSPGRELRTEHNHKRGRSFESSVPMKTKDDDLTLFTDMESRERDNFLLQSADDLNASIARLRYCSDLKLSVNIRAGGQSSELLNVDGEKNDYDWLLTPPDTPLFRSLDDEEAQPVNPAPRGRQHSQPISIRSPASEKAQRTSRSSYSPHRLSPSPRSTTGVTQPKVTPSSAPHSSLTPLRPTTPSRRPSTPPNKPSATTPRSSTPTLRRMSTGSGGQASSPVRRGTSPVRPSRGNSASPKLRGWLTNPPGFSSDSPANLCTSLAELPSSNSKAVSPASRNGRQSMSPTAPRNRRLSMSPVGSRSTTALHSHERDRFSTYSKGSVASSGDDDVDSLYSVGIETSSSPSSGQNGVIGNSRAIPFSKKPVRTPSASSIPKRSYDSALRQVDHHKTPQNMFRPLLSSVPTTTFYTGKANSVHRPLFSRNSSVTTSSNASSELGVIVAHDFVEAEDHDQNHLVNEWEKPQDFDAQEEIFIFDKMDEISENGHSVGGVSNKVDLEEEKSAANFRDPGCIAPASDASYLAGIPSKVDCLEMLKTCSKCGKQFRIMDMESITDVCQECVDDDLASSEAASQTAFLVAQNEYSQSVRHAGIDRLSEEMLPAMEISELPGLHENNVDRDPDFVPSSSFCNMEVDLTKVHSDQQPKSYQEEKAVQSESKFQEPRDVTHPSPRASTPEGTGISVLLQRSSSSKWPVVQGRAFLASSIMCSEPSYTRDNANVLRRSFSRDSASPSSSVDLASSRQSEFRLQRQLSSRKAEIEHMRNAGNAKAQITEPLNSGVSSEQTLNGFVDAVDYEPYGKKETFDHEHNNSLENMRSSSTKSMPSTQAVVEGDLFGCTDSFTVVDSLHDVETGLAGVSEKLGNNRSTRESGVEHGIPDSSCIGEEDMLNNSVCGNEMSAVPTESPSLAMPQLQISLEGVQDLQSDSAASSDRNNMDVSPECSGPASLEKDSDSPASAMESYSIDQHHEKSMITVEGPRGHMSRSLTLEEVTDTILFCSSIIHDLAYKAATEVMEREEFVTEPLRPTIPSNRNTVANPKGLIMDIILQTNTETSKDQAEKAGN >DRNTG_27622.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3595856:3598903:1 gene:DRNTG_27622 transcript:DRNTG_27622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKKIENPTNRQVTYSKRRLGLMKKAKELTVLCDAEVLIIMVSSTGKFAEYCSPSTEIKRMFDRYQQVSGVNLWSAQYEKMHNTLKHLQEINHNLRKEIRQRMGENTEGLAMEELRGLEQSLDESLKLVRNRKYHVISTQTDTYKKKLKNSHEAYRNLLHELEMRDESSEYVYLSEGHNNYEEELALANGASHLFAFQLQPNQPNLHGFRFNSHDLSLA >DRNTG_21610.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1253896:1254369:-1 gene:DRNTG_21610 transcript:DRNTG_21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRSSDGKEFIVDKKVWKQSVVINAIMGNGNFVDQDENDQVITVSNVTAEVLAVIIQYCNKHAVDVDVDVDVDDEEVAKWDEEFMKNIDINMHYKLILASDYLEIKSLFDLTCKTLGDMIKANNNSPQALRDILNIQNDFTPEEEEALSRENYWVS >DRNTG_26943.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30114823:30115362:-1 gene:DRNTG_26943 transcript:DRNTG_26943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKHFIPILLLILLPTIITANPETSHSEKTPNTPKEPTIIIEGMVMCQSCKQAAGSWSLAEAKPLPSAKVSISCKDHKNRVKHYQVVKTDLGGYFYSPLHGLDINNYKFYLGHPIHACSVRLVSSADMGCNVLTNINGGIEGGKLRDEKKKKLVSGAVVYSAGPLAFRPAHCLPPVHY >DRNTG_26564.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20269835:20272140:-1 gene:DRNTG_26564 transcript:DRNTG_26564.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organelle RRM domain-containing protein 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G73530) UniProtKB/Swiss-Prot;Acc:Q9FX45] MAASSAMAASLVSSSIPSKTSFSVVSSPFSFLPVSPSRFSFLSLHSRRRSIGVTTVACLPSTPKISITPRTRLFVSGLSFRTTEDSLRNAFQPYGQLVEVNLVMDRIANRPRGFAFLRYATEEESKKAIDGMHGKFLDGRVIFVEVARPRSELHPDSKRNPKQH >DRNTG_15861.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25303688:25307889:1 gene:DRNTG_15861 transcript:DRNTG_15861.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDRIRPLWRHYFQNTQGLIFVIDSNDRDRVIEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSSNIASKA >DRNTG_09247.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000397.1:26376:27192:1 gene:DRNTG_09247 transcript:DRNTG_09247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQKLPTGMRKSQGRLQGRVHAPVSSLVELTMQNQWRIEFSHARAFSLNALENSTGSSRGSSNLFGSRTSPTALRHFRWHLLTLFIFRSTFFMIPRIDGTSSIEPSISTSSLSNSWSNKPSYGSGLN >DRNTG_12274.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24787139:24788745:1 gene:DRNTG_12274 transcript:DRNTG_12274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIQDVFRKERPQGPATILAIGTANPPNVIDQSTYPDYYFRVTNSEHKQDLKNKFRRICERSTVKKRYTYLTEDILKENPNMCIYKAPSTDVRQEITVSAVPELASMAAVKALEEWGRPSSEITHLVFCAMTGADMPGADYQLLKLLGLSHTINRTMLYSLGCYAGGTVLRIAKDIAENNKGARVLVVSSEIMTQVFRGPDEAHFDSLIGEAIFGDGAAALVVGADPIMDVEKPVFEIGFAMQAVLPDSEGSVEGHYGYAGLTFHLQNKLPHVVAKNIEKSLKQVFEPVGVTDWNELFYIVHPGGPAILDKTEEELKLSQGKLRTTRQVLSEYGNMSSATVLFIMDEMRKRSVEEGKGSTGEGHELGVLLGFGPGLTMELVVLRSVSLLA >DRNTG_34832.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29025993:29030344:-1 gene:DRNTG_34832 transcript:DRNTG_34832.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 64, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G17970) UniProtKB/Swiss-Prot;Acc:Q9LVH5] MCQPRRVIIADDCFQLSKIPANRIIRVVTKSTEKLFGRQVINHIKLADHLASMVPSLKQLLKLNGNGDMKTSSLKSLADAMKLLHSLEFKDKHAEWINCNKTTVGSCISAQLDASLNANDRHIDHCHSVRNEVRSAMNALLKDDGILVLPSIPQPPSKLNSKEVLSEDYQTRFFSLLAIASMSGCCQVAIPLGFHEKSPVSVSFIARHGGDRLLLDTIEAAYATLQEQADIVTKSNLSSSREELAEMAKEKGNTAFKEKQWQKAIGFYSEAIKLNGNSATYYSNRAAAYLEVGSYLQAETDCTAAISLDKKNVKAYLRRGTAREMLGYYKEAIEDFKYALVLEPTNKTANLACNRLKKLFQ >DRNTG_34832.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29025993:29034041:-1 gene:DRNTG_34832 transcript:DRNTG_34832.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 64, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G17970) UniProtKB/Swiss-Prot;Acc:Q9LVH5] MCQPRRVIIADDCFQLSKIPANRIIRVVTKSTEKLFGRQVINHIKLADHLASMVPSLKQLLKLNGNGDMKTSSLKSLADAMKLLHSLEFKDKHAEWINCNKTTVGSCISAQLDASLNANDRHIDHCHSVRNEVRSAMNALLKDDGILVLPSIPQPPSKLNSKEVLSEDYQTRFFSLLAIASMSGCCQVAIPLGFHEKSPVSVSFIARHGGDRLLLDTIEAAYATLQEQADIVTKSNLSSSREELAEMAKEKGNTAFKEKQWQKAIGFYSEAIKLNGNSATYYSNRAAAYLEVGSYLQAETDCTAAISLDKKNVKAYLRRGTAREMLGYYKEAIEDFKYALVLEPTNKTANLACNRLKKLFQ >DRNTG_15422.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17847050:17848094:1 gene:DRNTG_15422 transcript:DRNTG_15422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVGSSSPPPVITAGRYNSSQLIADRIARAIHHRLRLLHRSNSQFFILGATGNVYTVTLTATPSCTCPDRTIPCKHILFVLLRVLRLPFDDVCLRRRVLRPCHLTRLLAIPTSAATLAGARARERFHQLYSTPGGILNMHTRPRPLEEGALCPICLEPMSDDDEGGTLVTCRTCGNSLHGECLAKWRRSRGRRAATCVVCRSRWQEQRDQERYINLAAFAGEDDDNNDYMSTDNTEVSCSTNGQ >DRNTG_03266.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2316029:2318677:1 gene:DRNTG_03266 transcript:DRNTG_03266.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRNFLIVQLIFPGLSPIVSHPREVREIPIEFKNENNDSGSSAHGPVIEDVTGTVSIPGSETHGTVIIDDDEDEDIPNASADHRTSHNEIHNVPGDVPFGAHPRPGATPSVDLIDYNNDIEEEMIQAAIEASKHDAGDYPSQHSDVPNPSVSHFERRSPPFEDSDLARAVSLSLKTAEQERALREQGGQANEAQPSYASDIKQEEVHGTTLENGSHVNSVEENLFVHEEDEEDEQPLVRHRSRRLGTGNAGTGQVIDSSSSSPEQHDVSVHPQNNGVAFQSDEWGGISNEEHDEAVMLEAAMFGGVPDNTAYHFPYPSHQGLQNSSSFYPRAPRPPSPTLVEQRLLREQQDDEYLAALQADREKELKFMQEAERRQLEEAAAREASLERERHQEEENRRKMLEEEEFKRELAAKEASLPQEPGSDDESAVTLLVRMPD >DRNTG_03266.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2316029:2318677:1 gene:DRNTG_03266 transcript:DRNTG_03266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRNFLIVQLIFPGLSPIVSHPREVREIPIEFKNENNDSGSSAHGPVIEDVTGTVSIPGSETHGTVIIDDDEDEDIPNASADHRTSHNEIHNVPGDVPFGAHPRPGATPSVDLIDYNNDIEEEMIQAAIEASKHDAGDYPSQHSDVPNQPSVSHFERRSPPFEDSDLARAVSLSLKTAEQERALREQGGQANEAQPSYASDIKQEEVHGTTLENGSHVNSVEENLFVHEEDEEDEQPLVRHRSRRLGTGNAGTGQVIDSSSSSPEQHDVSVHPQNNGVAFQSDEWGGISNEEHDEAVMLEAAMFGGVPDNTAYHFPYPSHQGLQNSSSFYPRAPRPPSPTLVEQRLLREQQDDEYLAALQADREKELKFMQEAERRQLEEAAAREASLERERHQEEENRRKMLEEEEFKRELAAKEASLPQEPGSDDESAVTLLVRMPD >DRNTG_28190.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20790951:20791527:-1 gene:DRNTG_28190 transcript:DRNTG_28190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTAVNQAHNLGPPSSAPKIIRRSTNTAMQPTDVAKNTSREKLRPCALSMYNGSLYIKKLYTVSINHGMPMPI >DRNTG_28190.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20791153:20791527:-1 gene:DRNTG_28190 transcript:DRNTG_28190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTAVNQAHNLGPPSSAPKIIRRSTNTAMQPTDVAKNTSREKLRPCALSMYNGSLYIKKLYTVSINHGMPMPI >DRNTG_28190.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20790645:20791527:-1 gene:DRNTG_28190 transcript:DRNTG_28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTAVNQAHNLGPPSSAPKIIRRSTNTAMQPTDVAKNTSREKLRPCALSMYNGSLYIKKLYTVSINHGMPMPI >DRNTG_02835.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20071812:20077509:-1 gene:DRNTG_02835 transcript:DRNTG_02835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFSNAMPPSAFLKPRSPSPRSPLLTSRSAKPFQSSTRFASLLPAIVCKAISTKPHAEIEGLNIAEDVTQLIGTTPMVYLNNIVKGCVANIAVKLEIMEPCCSVKDRIGFSMIADAEQKGLITPGKSILVEPTSGNTGIGLAFIAAAKGYKLILTMPASMSIERRVLLKAFGAELILTDSAKGMKGAVQKAEEILKKTPNSYMLQQFDNPANPKVHYETTGPEIWEDTQGKVDIFVAGIGTGGTISGVGRYLKSKRPDIKIIGIEPTESNILSGGKPGPHKIQGIGAGFVPRNLDLDVLDEVVEVSSDEAVETAKQLALQEGLLVGISSGAAAAAAIKIAKRPETAGKLIVVVFPSFGERYLSTVLFQSIREECEKMQPEP >DRNTG_10807.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17543457:17609774:-1 gene:DRNTG_10807 transcript:DRNTG_10807.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTATPISGSRNKPNTNSTAISSTTLARTRPNSNSNSNSNSTTTSFTGTTTTTSLSSSTTLTRTRPGSTSTTLSSTR >DRNTG_10807.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17543457:17609774:-1 gene:DRNTG_10807 transcript:DRNTG_10807.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTATPISGSRNKPNTNSTAISSTTLARTRPNSNSNSNSNSTTTSFTGTTTTSLSSSTTLTRTRPGSTSTTLSSTR >DRNTG_10807.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17543457:17609774:-1 gene:DRNTG_10807 transcript:DRNTG_10807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTATPISGSRNKPNTNSTAISSTTLTRTRPGSTSTTLSSTRTTTTTSSLSPSTTLTRTRTRPRPRPRSRSISTTLSSTRTTTTTTSSTGTTTTTSSLSSSTTLTRTRPRPRSTSTTLSSTRTTTTTTSSTGATTATSSSSSSTTLTRTRPKPTSTTLSSTGTTTSSATRATTTTSTLSPSTTLARTRTRTRPTSTTLSSTRTTTTSATGTTTATSSSSRSTTLARTRTRTKPNSTTLSSTRTTTTSSTGTTTATSSLSPSTTLTRTRPGSTSTTLSSTR >DRNTG_10807.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17545048:17609774:-1 gene:DRNTG_10807 transcript:DRNTG_10807.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKSSACTAAYNEGKEKKRKKKATKSKTLYIASINVVVLFSHTT >DRNTG_10807.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17543457:17609774:-1 gene:DRNTG_10807 transcript:DRNTG_10807.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTATPISGSRNKPNTNSTAISSTTLARTRPNSNSNSNSNSTTTSFTGTTTTTSLSSSTTLTRTRPGSTSTTLSSTRTTTTTSSTGATTATSSSSSSTTLTRTRPKPTSTTLSSTGTTTSSATRATTTTSTLSPSTTLARTRTRTRPTSTTLSSTRTTTTSATGTTTATSSSSRSTTLARTRTRTKPNSTTLSSTRTTTTSSTGTTTATSSLSPSTTLTRTRPGSTSTTLSSTR >DRNTG_20042.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15938169:15945214:-1 gene:DRNTG_20042 transcript:DRNTG_20042.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPQESVVPMAQKAKKKSPSFALKMYFYTPKSMYNGVLYNSTQLLNMGVFSGFVRSEEFFRTEREPKRRDFTACLGFRSILRLRDRKPV >DRNTG_08886.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27629943:27630845:-1 gene:DRNTG_08886 transcript:DRNTG_08886.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRGPVQPAPGWSRAASCAPELTGRRARAASQCANRT >DRNTG_13317.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23048208:23051666:1 gene:DRNTG_13317 transcript:DRNTG_13317.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHGIGCTSPRRLLQTHRSALDPDRHTPGALTANTNPYLRLQLHSKSQLLARIHRSHPLPHRLIHPKPLGHHRELHRRGVLLPLRKSQRHRCPHINITVVVVGGDRNIRLEIGIREPHLAIRVRRLDRHRHGGVQEERTTIGSGDIESRDPEGLDPEQGKPGLEPEIGESTSNGDKESDGDEDEGEPTAESAAARASTASPSGGFAWVPESSGKLRWLARWSWWTWCSGGRAAPMVLETPAVSEASASPALSSPAFLKLSKVWLLSFAFFLFFFFGLNNPKIY >DRNTG_13317.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23048208:23051805:1 gene:DRNTG_13317 transcript:DRNTG_13317.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHGIGCTSPRRLLQTHRSALDPDRHTPGALTANTNPYLRLQLHSKSQLLARIHRSHPLPHRLIHPKPLGHHRELHRRGVLLPLRKSQRHRCPHINITVVVVGGDRNIRLEIGIREPHLAIRVRRLDRHRHGGVQEERTTIGSGDIESRDPEGLDPEQGKPGLEPEIGESTSNGDKESDGDEDEGEPTAESAAARASTASPSGGFAWVPESSGKLRWLARWSWWTWCSGGRAAPMVLETPAVSEASASPALSSPAFLKLSKVWLLSFAFFLFFFFGLNNPKIY >DRNTG_13317.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23048052:23051666:1 gene:DRNTG_13317 transcript:DRNTG_13317.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHGIGCTSPRRLLQTHRSALDPDRHTPGALTANTNPYLRLQLHSKSQLLARIHRSHPLPHRLIHPKPLGHHRELHRRGVLLPLRKSQRHRCPHINITVVVVGGDRNIRLEIGIREPHLAIRVRRLDRHRHGGVQEERTTIGSGDIESRDPEGLDPEQGKPGLEPEIGESTSNGDKESDGDEDEGEPTAESAAARASTASPSGGFAWVPESSGKLRWLARWSWWTWCSGGRAAPMVLETPAVSEASASPALSSPAFLKLSKVWLLSFAFFLFFFFGLNNPKIY >DRNTG_13317.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23048251:23051867:1 gene:DRNTG_13317 transcript:DRNTG_13317.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHGIGCTSPRRLLQTHRSALDPDRHTPGALTANTNPYLRLQLHSKSQLLARIHRSHPLPHRLIHPKPLGHHRELHRRGVLLPLRKSQRHRCPHINITVVVVGGDRNIRLEIGIREPHLAIRVRRLDRHRHGGVQEERTTIGSGDIESRDPEGLDPEQGKPGLEPEIGESTSNGDKESDGDEDEGEPTAESAAARASTASPSGGFAWVPESSGKLRWLARWSWWTWCSGGRAAPMVLETPAVSEASASPALSSPAFLKLSKVWLLSFAFFLFFFFGLNNPKIY >DRNTG_13317.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23048251:23051666:1 gene:DRNTG_13317 transcript:DRNTG_13317.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHGIGCTSPRRLLQTHRSALDPDRHTPGALTANTNPYLRLQLHSKSQLLARIHRSHPLPHRLIHPKPLGHHRELHRRGVLLPLRKSQRHRCPHINITVVVVGGDRNIRLEIGIREPHLAIRVRRLDRHRHGGVQEERTTIGSGDIESRDPEGLDPEQGKPGLEPEIGESTSNGDKESDGDEDEGEPTAESAAARASTASPSGGFAWVPESSGKLRWLARWSWWTWCSGGRAAPMVLETPAVSEASASPALSSPAFLKLSKVWLLSFAFFLFFFFGLNNPKIY >DRNTG_13317.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23048117:23051805:1 gene:DRNTG_13317 transcript:DRNTG_13317.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHGIGCTSPRRLLQTHRSALDPDRHTPGALTANTNPYLRLQLHSKSQLLARIHRSHPLPHRLIHPKPLGHHRELHRRGVLLPLRKSQRHRCPHINITVVVVGGDRNIRLEIGIREPHLAIRVRRLDRHRHGGVQEERTTIGSGDIESRDPEGLDPEQGKPGLEPEIGESTSNGDKESDGDEDEGEPTAESAAARASTASPSGGFAWVPESSGKLRWLARWSWWTWCSGGRAAPMVLETPAVSEASASPALSSPAFLKLSKVWLLSFAFFLFFFFGLNNPKIY >DRNTG_13317.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23048117:23051666:1 gene:DRNTG_13317 transcript:DRNTG_13317.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHGIGCTSPRRLLQTHRSALDPDRHTPGALTANTNPYLRLQLHSKSQLLARIHRSHPLPHRLIHPKPLGHHRELHRRGVLLPLRKSQRHRCPHINITVVVVGGDRNIRLEIGIREPHLAIRVRRLDRHRHGGVQEERTTIGSGDIESRDPEGLDPEQGKPGLEPEIGESTSNGDKESDGDEDEGEPTAESAAARASTASPSGGFAWVPESSGKLRWLARWSWWTWCSGGRAAPMVLETPAVSEASASPALSSPAFLKLSKVWLLSFAFFLFFFFGLNNPKIY >DRNTG_13317.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23048251:23051805:1 gene:DRNTG_13317 transcript:DRNTG_13317.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHGIGCTSPRRLLQTHRSALDPDRHTPGALTANTNPYLRLQLHSKSQLLARIHRSHPLPHRLIHPKPLGHHRELHRRGVLLPLRKSQRHRCPHINITVVVVGGDRNIRLEIGIREPHLAIRVRRLDRHRHGGVQEERTTIGSGDIESRDPEGLDPEQGKPGLEPEIGESTSNGDKESDGDEDEGEPTAESAAARASTASPSGGFAWVPESSGKLRWLARWSWWTWCSGGRAAPMVLETPAVSEASASPALSSPAFLKLSKVWLLSFAFFLFFFFGLNNPKIY >DRNTG_30070.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22727642:22729293:-1 gene:DRNTG_30070 transcript:DRNTG_30070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREDWGYGVGLKGGIGGAPPSDQEEEEKEEVEKKGSIHSNKNSKMEKKEEEKRRNSKEKEKEEKNGNGSDGDVEDENVEALDESKM >DRNTG_30070.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22727563:22729038:-1 gene:DRNTG_30070 transcript:DRNTG_30070.8 gene_biotype:protein_coding transcript_biotype:protein_coding MREDWGYGVGLKGGIGGAPPSDQEEEEKEEVEKKGSIHSNKNSKMEKKEEEKRRNSKEKEKEEKNGNGSDGDVEDENVEALDESKM >DRNTG_30070.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22727642:22729144:-1 gene:DRNTG_30070 transcript:DRNTG_30070.7 gene_biotype:protein_coding transcript_biotype:protein_coding MREDWGYGVGLKGGIGGAPPSDQEEEEKEEVEKKGSIHSNKNSKMEKKEEEKRRNSKEKEKEEKNGNGSDGDVEDENVEALDESKM >DRNTG_30070.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22727563:22729207:-1 gene:DRNTG_30070 transcript:DRNTG_30070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MREDWGYGVGLKGGIGGAPPSDQEEEEKEEVEKKGSIHSNKNSKMEKKEEEKRRNSKEKEKEEKNGNGSDGDVEDENVEALDESKM >DRNTG_30070.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22727563:22729293:-1 gene:DRNTG_30070 transcript:DRNTG_30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDWGYGVGLKGGIGGAPPSDQEEEEKEEVEKKGSIHSNKNSKMEKKEEEKRRNSKEKEKEEKNGNGSDGDVEDENVEALDESKM >DRNTG_30070.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22727642:22729038:-1 gene:DRNTG_30070 transcript:DRNTG_30070.9 gene_biotype:protein_coding transcript_biotype:protein_coding MREDWGYGVGLKGGIGGAPPSDQEEEEKEEVEKKGSIHSNKNSKMEKKEEEKRRNSKEKEKEEKNGNGSDGDVEDENVEALDESKM >DRNTG_30070.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22727642:22729207:-1 gene:DRNTG_30070 transcript:DRNTG_30070.6 gene_biotype:protein_coding transcript_biotype:protein_coding MREDWGYGVGLKGGIGGAPPSDQEEEEKEEVEKKGSIHSNKNSKMEKKEEEKRRNSKEKEKEEKNGNGSDGDVEDENVEALDESKM >DRNTG_30070.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22727563:22729144:-1 gene:DRNTG_30070 transcript:DRNTG_30070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MREDWGYGVGLKGGIGGAPPSDQEEEEKEEVEKKGSIHSNKNSKMEKKEEEKRRNSKEKEKEEKNGNGSDGDVEDENVEALDESKM >DRNTG_30070.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22727642:22729207:-1 gene:DRNTG_30070 transcript:DRNTG_30070.5 gene_biotype:protein_coding transcript_biotype:protein_coding MREDWGYGVGLKGGIGGAPPSDQEEEEKEEVEKKGSIHSNKNSKMEKKEEEKRRNSKEKEKEEKNGNGSDGDVEDENVEALDESKM >DRNTG_01170.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29082885:29091609:1 gene:DRNTG_01170 transcript:DRNTG_01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMALSSPSLAGKAVKVAPTASEIFGEGRVSMRKTGGRPRPVSGSPWYGPDRVKYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLVHAQSILAIWACQVILMGAVEGYRIAGGPLGEITDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLTDPVNNNAWAYATNFVPGK >DRNTG_01170.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29082885:29091609:1 gene:DRNTG_01170 transcript:DRNTG_01170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMALSSPSLAGKAVKVAPTASEIFGEGRVSMRKTGGRPRPVSGSPWYGPDRVKYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLVHAQSILAIWACQVILMGAVEGYRIAGGPLGEITDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLTDPVNNNAWAYATNFVPGK >DRNTG_19289.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:560764:561017:1 gene:DRNTG_19289 transcript:DRNTG_19289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMKHLLRKLHIGGGGDHHHRLVDPAASSQQAVSSSSSSSSLASTSSSTAVVPTPVSSTVAGSG >DRNTG_24501.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19003483:19005927:-1 gene:DRNTG_24501 transcript:DRNTG_24501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKMVSIISPLIMACANLLLQQPSFVTSLPFPSTTPLDKPGHVLNVRRSSFEIFHPTSGTCVIRKSLTDPLRLGPCSQSDPWAYTPQKFLTVKGTYFCLQASASGKPAKLGIVCTAPDSSWEVTSNSKSQIASKSADGSSLCLDVDPSNVLVTNPCLCINGDGACEADNQWFEINPWSVKQNGDSGTLVASRLASSPP >DRNTG_26577.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20187430:20187962:-1 gene:DRNTG_26577 transcript:DRNTG_26577.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRYIVLPIAGIGVVRAVKGLGLLPSDTLFAYVLLVQYTLPPGMSIGTMAQLFNAGQEECSVIFLWTYLVAALAVTGWSSVFMWILT >DRNTG_26577.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20187430:20189688:-1 gene:DRNTG_26577 transcript:DRNTG_26577.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSLLMVASMPVVQVLLIGLLGAFLATKNINVLTPTALKDINKVVYMVFTPSLVFFSFAKSITLQGIISWWFMPVNIGIIFLLGSVLGWVIVKVLRPGRHLEGLIIANCSAGNLGNLMLILIPAICAEDENPFGKIDVCRENGITYVSLSMALGGIFIWTHTYSLMQKDGILREKMMLNYADHEAYQIQTKVPLLPEENVSENKQHFMEKLKPTLHRIVEELMTPPIVAVIVGFIVGVIPWIKSLIFGLNAPLRVLQDSLKLLGDGLLPCIILILGGNLTRGLHKSEIKTPVIVAIICMRYIVLPIAGIGVVRAVKGLGLLPSDTLFAYVLLVQYTLPPGMSIGTMAQLFNAGQEECSVIFLWTYLVAALAVTGWSSVFMWILT >DRNTG_26577.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20187430:20188232:-1 gene:DRNTG_26577 transcript:DRNTG_26577.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRYIVLPIAGIGVVRAVKGLGLLPSDTLFAYVLLVQYTLPPGMSIGTMAQLFNAGQEECSVIFLWTYLVAALAVTGWSSVFMWILT >DRNTG_26577.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20187430:20188868:-1 gene:DRNTG_26577 transcript:DRNTG_26577.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLILIPAICAEDENPFGKIDVCRENGITYVSLSMALGGIFIWTHTYSLMQKDGILREKMMLNYADHEAYQIQTKVPLLPEENVSENKQHFMEKLKPTLHRIVEELMTPPIVAVIVGFIVGVIPWIKSLIFGLNAPLRVLQDSLKLLGDGLLPCIILILGGNLTRGLHKSEIKTPVIVAIICMRYIVLPIAGIGVVRAVKGLGLLPSDTLFAYVLLVQYTLPPGMSIGTMAQLFNAGQEECSVIFLWTYLVAALAVTGWSSVFMWILT >DRNTG_26577.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20187430:20190490:-1 gene:DRNTG_26577 transcript:DRNTG_26577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKPTLHRIVEELMTPPIVAVIVGFIVGVIPWIKSLIFGLNAPLRVLQDSLKLLGDGLLPCIILILGGNLTRGLHKSEIKTPVIVAIICMRYIVLPIAGIGVVRAVKGLGLLPSDTLFAYVLLVQYTLPPGMSIGTMAQLFNAGQEECSVIFLWTYLVAALAVTGWSSVFMWILT >DRNTG_26577.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20187430:20189688:-1 gene:DRNTG_26577 transcript:DRNTG_26577.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTPSLVFFSFAKSITLQGIISWWFMPVNIGIIFLLGSVLGWVIVKVLRPGRHLEGLIIANCSAGNLGNLMLILIPAICAEDENPFGKIDVCRENGITYVSLSMALGGIFIWTHTYSLMQKDGILREKMMLNYADHEAYQIQTKVPLLPEENVSENKQHFMEKLKPTLHRIVEELMTPPIVAVIVGFIVGVIPWIKSLIFGLNAPLRVLQDSLKLLGDGLLPCIILILGGNLTRGLHKSEIKTPVIVAIICMRYIVLPIAGIGVVRAVKGLGLLPSDTLFAYVLLVQYTLPPGMSIGTMAQLFNAGQEECSVIFLWTYLVAALAVTGWSSVFMWILT >DRNTG_26577.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20187430:20189066:-1 gene:DRNTG_26577 transcript:DRNTG_26577.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKPTLHRIVEELMTPPIVAVIVGFIVGVIPWIKSLIFGLNAPLRVLQDSLKLLGDGLLPCIILILGGNLTRGLHKSEIKTPVIVAIICMRYIVLPIAGIGVVRAVKGLGLLPSDTLFAYVLLVQYTLPPGMSIGTMAQLFNAGQEECSVIFLWTYLVAALAVTGWSSVFMWILT >DRNTG_26507.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:752950:753944:1 gene:DRNTG_26507 transcript:DRNTG_26507.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRRKRSSGAGFLCFNASAIYDDDEEEVKTETSTGSPVKILEEESGSSSRRHPRRTLTKAFRSAAFISALVCFLFEFEFWISDANLFYL >DRNTG_26507.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:752950:753944:1 gene:DRNTG_26507 transcript:DRNTG_26507.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRRKRSSGAGFLCFNASAIYDDDEEEVKTETSTGSPVKILEEESGSSSRRHPRRTLTKAFRSAAFISALKRNKGSSSLVRKESDASEERSVTESSSRLTEDDDRRTDSSDQGFTPSSVSSSSPSSSASSLSLSATSHSPTETKPRRRRQPKRSESEKPPPEKKIYHPATGLFLLVISLCVMVMFGRLCAILWTSTWLYLAPRRISAVPPSPAEKPKLRSRVSEEDEKRRVVLEGLLERNRK >DRNTG_26507.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:752950:754066:1 gene:DRNTG_26507 transcript:DRNTG_26507.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRRKRSSGAGFLCFNASAIYDDDEEEVKTETSTGSPVKILEEESGSSSRRHPRRTLTKAFRSAAFISALKRNKGSSSLVRKESDASEERSVTESSSRLTEDDDRRTDSSDQGFTPSSVSSSSPSSSASSLSLSATSHSPTETKPRRRRQPKRSESEKPPPEKKIYHPATGLFLLVISLCVMVMFGRLCAILWTSTWLYLAPRRISAVPPSPAEKPKLRSRVSEEDEKRRVVLEGLLERNRKV >DRNTG_26507.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:752727:754066:1 gene:DRNTG_26507 transcript:DRNTG_26507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRRKRSSGAGFLCFNASAIYDDDEEEVKTETSTGSPVKILEEESGSSSRRHPRRTLTKAFRSAAFISALKRNKGSSSLVRKESDASEERSVTESSSRLTEDDDRRTDSSDQGFTPSSVSSSSPSSSASSLSLSATSHSPTETKPRRRRQPKRSESEKPPPEKKIYHPATGLFLLVISLCVMVMFGRLCAILWTSTWLYLAPRRISAVPPSPAEKPKLRSRVSEEDEKRRVVLEGLLERNRKV >DRNTG_26507.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:752727:753944:1 gene:DRNTG_26507 transcript:DRNTG_26507.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRRKRSSGAGFLCFNASAIYDDDEEEVKTETSTGSPVKILEEESGSSSRRHPRRTLTKAFRSAAFISALKRNKGSSSLVRKESDASEERSVTESSSRLTEDDDRRTDSSDQGFTPSSVSSSSPSSSASSLSLSATSHSPTETKPRRRRQPKRSESEKPPPEKKIYHPATGLFLLVISLCVMVMFGRLCAILWTSTWLYLAPRRISAVPPSPAEKPKLRSRVSEEDEKRRVVLEGLLERNRK >DRNTG_26507.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:752950:754066:1 gene:DRNTG_26507 transcript:DRNTG_26507.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRRKRSSGAGFLCFNASAIYDDDEEEVKTETSTGSPVKILEEESGSSSRRHPRRTLTKAFRSAAFISALVCFLFEFEFWISDANLFYL >DRNTG_26507.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:752950:754161:1 gene:DRNTG_26507 transcript:DRNTG_26507.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRRKRSSGAGFLCFNASAIYDDDEEEVKTETSTGSPVKILEEESGSSSRRHPRRTLTKAFRSAAFISALKRNKGSSSLVRKESDASEERSVTESSSRLTEDDDRRTDSSDQGFTPSSVSSSSPSSSASSLSLSATSHSPTETKPRRRRQPKRSESEKPPPEKKIYHPATGLFLLVISLCVMVMFGRLCAILWTSTWLYLAPRRISAVPPSPAEKPKLRSRVSEEDEKRRVVLEGLLERNRKV >DRNTG_25243.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27548204:27562535:1 gene:DRNTG_25243 transcript:DRNTG_25243.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G09860) UniProtKB/Swiss-Prot;Acc:Q93VM9] MAEATPGLRIVLHQHTKERARAPVSVSSHADRDRVVEVFRNALSRPESPENFALQAVQEAIKPQKQTVLIQDENQTLENALRTLLQELVSAAVQSGERIVQYGQSIDNEDAVGQIPRLLDIVLYLCERGHVEGGMVFQLLEDLTEMSTVKDCKEVFAYIERKQDILGKQELFGRGKLVMLRTCNQLLRRLSKANDVVFCGRILMFLAHFFPLTERSAVNMKGVFNTSNETKYEKDAPEGVSVDFNFYKTFWSLQEHFCNPASSTLAPSKWQKFVSSFTVVLDTFEAQPLSEDDGNVNNLEQEEAAFSIKYLTSSKLMGLELRDPSFRRHILVQCLILFDYLKSPGKNDKDGPSEGMKEEIGACEERVKKLLEITPPKGKEFLQSIDHILQREKNWVWWKRDGCPAFEKQPVERKALQDGARKRKPRWRLGNRELSQLWKWADQNLNALTDPQRVRTPSVAEYWKPLAEDMDDSAGIEAEYHHKNNRVYCWKGLRFSARQDLEGFSRFTDHGIEGVVPPDLLPPEVRSKYHAKPSERNKRAKKEDEQKNMATQQPEDNQAANTAVETDGGGNGGDLEDAAPESQKQSPEMELGQEAAQSEVETGEVEAKDDIEMKGEDEATTADDPEVESDPPGKM >DRNTG_19230.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18954141:18955810:1 gene:DRNTG_19230 transcript:DRNTG_19230.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patellin-6 [Source:Projected from Arabidopsis thaliana (AT3G51670) UniProtKB/Swiss-Prot;Acc:Q9SCU1] MPTLSPPPPPTSSASKTLNLSSPSCMAMIAPATLFAITLMASLKTKLIYDRVFGDADKLSRFLRWRVAVMERGVRLLDLRPGGINSIIQVTDLKDMPKRELRVASNQILSLFQDNYPEMVARKIFINVPWYFSVLYSMISPFLTERTKSKFVIVREGYVAETLYKFIRPEFVPVQYGGLSRPGDFQSGAPKPASEFTIKGGEKVNLEIDGIESGATISWEIVVGGWDLEYGAEYVPRSEGSYTIAVEKTRRIPASMEEPVHNVFNCKEPGKLVLSIDNTGSRKRKVAAYRYYVRKA >DRNTG_19230.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18954141:18955851:1 gene:DRNTG_19230 transcript:DRNTG_19230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patellin-6 [Source:Projected from Arabidopsis thaliana (AT3G51670) UniProtKB/Swiss-Prot;Acc:Q9SCU1] MPTLSPPPPPTSSASKTLNLSSPSCMAMIAPATLFAITLMASLKTKLIYDRVFGDADKLSRFLRWRVAVMERGVRLLDLRPGGINSIIQVTDLKDMPKRELRVASNQILSLFQDNYPEMVARKIFINVPWYFSVLYSMISPFLTERTKSKFVIVREGYVAETLYKFIRPEFVPVQYGGLSRPGDFQSGAPKPASEFTIKGGEKVNLEIDGIESGATISWEIVVGGWDLEYGAEYVPRSEGSYTIAVEKTRRIPASMEEPVHNVFNCKEPGKLVLSIDNTGSRKRKVAAYRYYVRKA >DRNTG_19230.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18954141:18956385:1 gene:DRNTG_19230 transcript:DRNTG_19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patellin-6 [Source:Projected from Arabidopsis thaliana (AT3G51670) UniProtKB/Swiss-Prot;Acc:Q9SCU1] MEEDQQPQTLTLTPTPSPKPVKRNLVSSLMEAATSTLLPRSSSFKEDSYISSSLTSSQLKSLQDLKHLLSSSSSPSSSPLSIFNIPLLPFSDRSDVILLKFLRARDFNPTLAHSMLLRCSSWRSSFNADTLATASSDELGFKDLESLVAFMHGHDRSGHPVCYNAYGLFKDKAYI >DRNTG_17725.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4057046:4057518:-1 gene:DRNTG_17725 transcript:DRNTG_17725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPVPSHTEMMYTWLAATPSTTQRSQGHSYHHSPARRQSSNPHGKTKLFSPTLHSQIFLASTN >DRNTG_28094.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20536525:20540075:-1 gene:DRNTG_28094 transcript:DRNTG_28094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGLYTDIGKKARDLLYKDFITDQKFAITTYTANGVAITASGTKKNDLILGEIQSQIKNKNITVDVKTTSDANVCTTVTVDEFATPGLKGILSFVVPDQKSGKAELQYLHDYVGISSSFGLNASPVVNLAGVFGNKNIAFGADIALDTASGNLTKYNAGLSLTNADLIGSVTLSNKGDNLTAAYYHMVNPLMSTAVGAEFNHCFSTNENVLTFGTQHALDPLTTVKARFNNFGKASGLIQHEWRPKSFVTISGEVDTKAIEKSSKVGLSVVLKP >DRNTG_21057.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1164543:1166054:1 gene:DRNTG_21057 transcript:DRNTG_21057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMATMASTPSSTTTSSSSLTRTPPPTSFSSAAQRREEASTEPNSCYFPRLPERHQLQL >DRNTG_32209.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31452941:31455500:1 gene:DRNTG_32209 transcript:DRNTG_32209.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWANKLTGDIPDVLCENSDSLQTLILSFNEITGSIPESLTKCLNLIWVSLSGNKLVGGIPNTISNLQNLAILQLASNSLSGEIPTEIGSCQNLIWLDLYSNSISGPIPPSLASQSGMITPGIVSGKQFAFLRNEAGNICPGSGVLFEFEGIRPERLANFALVHSCPTTRIYTGTTVYSYTKNGSMIYLDLSYNFLMGTIPENFGTMKYLQVLNLGHNQLTGTIPESLGGMKMAMALDLSNNHLTGFIPGSLGTLTFLSDLDVSNNNLTGPIPTSGQLTTFPASRYDNNSGLCGVPLPPCSTHPNNNASDQSHANKSRRFFGGSVIIAVSLAVLIIILLMLALYKLKKHQEIEVQRAAGYVESLPTSGTASWKLSGVLEPLSINVAAFEKPLRKLTFAHLLEATNGFCPGSLIGSGGFGEVYKAKLRDGSVVAVKKLIHVTGQGDREFMAEMETIGKIKHRNLVPLLGYCKVGDERLLVYEYMKFGSLDVVLHDKTKGGTKLDWAARKKIAVGSARGLAFLHHSCIPHIIHRDMKSSNVLLDENLEARVSDFGMARLMNALDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSFGVVLLELLSGKKPIDPLDFGDNNLVGWVKQMMKEDKSTEIFDPELMISKSGESELYQYLKIACECLDDRPFKRPTMIQVMAMFKELQMDNTDSDFLDGLYIGRSSTIDESREKAP >DRNTG_32209.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31451336:31455369:1 gene:DRNTG_32209 transcript:DRNTG_32209.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFAFLFLSLLMLLSSSSSSSMAQSNEVSALMAFKQISIERDPNGFLNSWSNTSNGSSNSPCSWTGVFCSVSTGNVLSLNLSSMGLSGRLFLDPLMDLPTLQILDLHGNSFHGNLSYSTTNASSLPCNLQTVNLSSNNFSETITGDFLASCSALVSLNLSTNSISGAVFPLKPSLQFLDMSRNMISDHSLLNLSLTTCNSLSYLNFSDNKLAGELIGFSSSSSSCANNNLTVIDLSYNLISGAMPPEFVSVSLKQLDLSHNNFSGEFSVYEFGSCERLENLDLSFNGLNGSGLPPSLSNCRQLKILDVSGNNLDSGIPSAIWNSLSNLQILSLANNEFSGKIPHELGDICGSLVKLDLSGNKLTGELPPTFLGCSSLQSLNLGNNQLSGGFIVSVVSAIPSLRTLHLPFNNITGPVPLLSLSNCTLLQVIDLGSNEFSKGIPTGFCSSLPNLQKLLLPNNFLSGPVPSELGSCTNLTRIDLSFNELTGSIPLEIWRLPKLVDLVMWANKLTGDIPDVLCENSDSLQTLILSFNEITGSIPESLTKCLNLIWVSLSGNKLVGGIPNTISNLQNLAILQLASNSLSGEIPTEIGSCQNLIWLDLYSNSISGPIPPSLASQSGMITPGIVSGKQFAFLRNEAGNICPGSGVLFEFEGIRPERLANFALVHSCPTTRIYTGTTVYSYTKNGSMIYLDLSYNFLMGTIPENFGTMKYLQVLNLGHNQLTGTIPESLGGMKMAMALDLSNNHLTGFIPGSLGTLTFLSDLDVSNNNLTGPIPTSGQLTTFPASRYDNNSGLCGVPLPPCSTHPNNNASDQSHANKSRRFFGGSVIIAVSLAVLIIILLMLALYKLKKHQEIEVQRAAGYVESLPTSGTASWKLSGVLEPLSINVAAFEKPLRKLTFAHLLEATNGFCPGSLIGSGGFGEVYKAKLRDGSVVAVKKLIHVTGQGDREFMAEMETIGKIKHRNLVPLLGYCKVGDERLLVYEYMKFGSLDVVLHDKTKGGTKLDWAARKKIAVGSARGLAFLHHSCIPHIIHRDMKSSNVLLDENLEARVSDFGMARLMNALDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSFGVVLLELLSGKKPIDPLDFGDNNLVGWVKQMMKEDKSTEIFDPELMISKSGESELYQYLKIACECLDDRPFKRPTMIQVMAMFKELQMDNTDSDFLDGLYIGRSSTIDESREKAP >DRNTG_32209.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31451336:31455369:1 gene:DRNTG_32209 transcript:DRNTG_32209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFAFLFLSLLMLLSSSSSSSMAQSNEVSALMAFKQISIERDPNGFLNSWSNTSNGSSNSPCSWTGVFCSVSTGNVLSLNLSSMGLSGRLFLDPLMDLPTLQILDLHGNSFHGNLSYSTTNASSLPCNLQTVNLSSNNFSETITGDFLASCSALVSLNLSTNSISGAVFPLKPSLQFLDMSRNMISDHSLLNLSLTTCNSLSYLNFSDNKLAGELIGFSSSSSSCANNNLTVIDLSYNLISGAMPPEFVSVSLKQLDLSHNNFSGEFSVYEFGSCERLENLDLSFNGLNGSGLPPSLSNCRQLKILDVSGNNLDSGIPSAIWNSLSNLQILSLANNEFSGKIPHELGDICGSLVKLDLSGNKLTGELPPTFLGCSSLQSLNLGNNQLSGGFIVSVVSAIPSLRTLHLPFNNITGPVPLLSLSNCTLLQVIDLGSNEFSKGIPTGFCSSLPNLQKLLLPNNFLSGPVPSELGSCTNLTRIDLSFNELTGSIPLEIWRLPKLVDLVMWANKLTGDIPDVLCENSDSLQTLILSFNEITGSIPESLTKCLNLIWVSLSGNKLVGGIPNTISNLQNLAILQLASNSLSGEIPTEIGSCQNLIWLDLYSNSISGPIPPSLASQSGMITPGIVSGKQFAFLRNEAGNICPGSGVLFEFEGIRPERLANFALVHSCPTTRIYTGTTVYSYTKNGSMIYLDLSYNFLMGTIPENFGTMKYLQVLNLGHNQLTGTIPESLGGMKMAMALDLSNNHLTGFIPGSLGTLTFLSDLDVSNNNLTGPIPTSGQLTTFPASRYDNNSGLCGVPLPPCSTHPNNNASDQSHANKSRRFFGGSVIIAVSLAVLIIILLMLALYKLKKHQEIEVQRAAGYVESLPTSGTASWKLSGVLEPLSINVAAFEKPLRKLTFAHLLEATNGFCPGSLIGSGGFGEVYKAKLRDGSVVAVKKLIHVTGQGDREFMAEMETIGKIKHRNLVPLLGYCKVGDERLLVYEYMKFGSLDVVLHDKTKGGTKLDWAARKKIAVGSARGLAFLHHSCIPHIIHRDMKSSNVLLDENLEARVSDFGMARLMNALDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSFGVVLLELLSGKKPIDPLDFGDNNLVGWVKQMMKEDKSTEIFDPELMISKSGESELYQYLKIACECLDDRPFKRPTMIQVMAMFKELQMDNTDSDFLDGLYIGRSSTIDESREKAP >DRNTG_07513.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19163132:19170247:-1 gene:DRNTG_07513 transcript:DRNTG_07513.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDAMQTSLYAKFLGKSLLLDQTKLALADAWRGLGTFSVADLPNGYYYIRCESLDMVNHLLWDGPWTVAGRILQLAPWKKSFQPAFEKLSIATVWIQIFHLPMELWTCDILEMVASQFGRVLKVDDHSLDRSRAKCGRIGHGEAYCSFSSIPLRSENRLPPEPPVYGPVSDSPDMQIDGVGKEQADSHADRCSPSQVIADVE >DRNTG_15097.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1777852:1783625:-1 gene:DRNTG_15097 transcript:DRNTG_15097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTWSVSISAISHAFKHVLLQNWILWSETNNNSPCLFNAVIICLQVLFIISISIQFLHKKFFHKFKTSEEERSQEFNHDDDDDDVSRKQANCMKLGFSYHASRVCCSLLASIQLLRLLLFLLKGYNIFGHCKSMLSFLTEITQLISWTVTLVAVFQFQKTKSVRLPWLIRLWWVCSFIQSGVSIAFDIHSLLFNDGVIGIKEYTDLSSLTACTYLMFVSLRGTTGIIRLSSSGIREPLLQKSTEKHSEISKRNSPYGRASIIQLVTFSWMNPLFSIGVKKPLEQDDAPDVDFKDSAEPLSYSFGECVNAARERHGSTSLSIYRAMVMHIWKKAAINAGFAVVAACCSYVGPSLIDDFVKFLGAKEEHGVRQGYYLALAFLSAKVVETVCQRQWIFGARQLGLRLRAALISHIYKKGLRLSSQSRQNHTSGEIINYMSVDIQRITDLIWYSNVVWMLPIQISLAIIVLHKNLGMGAFAGLAITFLIMTLNIPLTRLQKRYQAKIMEAKDERMKATSEVLRNMKILKLQAWDTQYLHKLEDLRKTEYGWLWSSLRLQAITSFIFWGAPAFISVVTFGVCILLGIPLTAGRVLAALATFRMLQDPIFSLPDLLSALAQGKVSADRIASYFQEDEMKLDAVQVFPKTETEFAVEIDHGKFSWDPESRHPTLDGIQLSVRRGMKVAICGTVGSGKSSLLSSILGELAKLNGTVKISGSKAYVPQSPWILTGNVRDNILFGNPYEFDKYEQTIHACALTKDLELFASGDLTEIGERGINMSGGQKQRIQIARAVYQDADIYLLDDPFSAVDAHTGTQLFKDCLMGLLKDKTILYVTHQVEFLPAADLILVMQNGRIAQAGKFDELLQQNIGFEVLVGAHNQALESILSAENSSRVIQEDEKNIDEFEGDDSTATQVQRVEKQESEQNLCEDAPDRGRLTQDEEREKGSIGKAVYWTYLKAVYGGALVPVIVLAQCFFQILQVASNYWMAWASPAEATTEQTIGIGFVFMVYILLSIGSALGVLVRAMLVTIAGLLTSQKLFTDMLHCILRAPMSFFDSTPTGRILNRVSTDQSVVDLEIALKLGWCAFSVIQILGTITVMSQVAWPVFLIFIPVTAVCFWCQQYYIPTARELARLSGIQRAPILHHFAESLLGAATIRAFGQEERFIHTNLGFIDRHSRPWFHNISAMEWLSFRLNLLSNFVFAFSLILLVSLPEGFINPSLAGLAVTYGLNLNSQLATIIWNICNAENKMISVERILQYSRIKSEAPLVIDSCRPPANWPNTGNNLLYKLES >DRNTG_15097.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1777852:1783625:-1 gene:DRNTG_15097 transcript:DRNTG_15097.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTWSVSISAISHAFKHVLLQNWILWSETNNNSPCLFNAVIICLQVLFIISISIQFLHKKFFHKFKTSEEERSQEFNHDDDDDDVSRKQANCMKLGFSYHASRVCCSLLASIQLLRLLLFLLKGYNIFGHCKSMLSFLTEITQLISWTVTLVAVFQFQKTKSVRLPWLIRLWWVCSFIQSGVSIAFDIHSLLFNDGVIGIKEYTDLSSLTACTYLMFVSLRGTTGIIRLSSSGIREPLLQKSTEKHSEISKRNSPYGRASIIQLVTFSWMNPLFSIGVKKPLEQDDAPDVDFKDSAEPLSYSFGECVNAARERHGSTSLSIYRAMVMHIWKKAAINAGFAVVAACCSYVGPSLIDDFVKFLGAKEEHGVRQGYYLALAFLSAKVVETVCQRQWIFGARQLGLRLRAALISHIYKKGLRLSSQSRQNHTSGEIINYMSVDIQRITDLIWYSNVVWMLPIQISLAIIVLHKNLGMGAFAGLAITFLIMTLNIPLTRLQKRYQAKIMEAKDERMKATSEVLRNMKILKLQAWDTQYLHKLEDLRKTEYGWLWSSLRLQAITSFIFWGAPAFISVVTFGVCILLGIPLTAGRVLAALATFRMLQDPIFSLPDLLSALAQGKVSADRIASYFQEDEMKLDAVQVFPKTETEFAVEIDHGKFSWDPESRHPTLDGIQLSVRRGMKVAICGTVGSGKSSLLSSILGELAKLNGTVKISGSKAYVPQSPWILTGNVRDNILFGNPYEFDKYEQTIHACALTKDLELFASGDLTEIGERGINMSGGQKQRIQIARAVYQDADIYLLDDPFSAVDAHTGTQLFKDCLMGLLKDKTILYVTHQVEFLPAADLILVMQNGRIAQAGKFDELLQQNIGFEVLVGAHNQALESILSAENSSRVIQEDEKNIDEFEGDDSTATQVQRVEKQESEQNLCEDAPDRGRLTQDEEREKGSIGKAVYWTYLKAVYGGALVPVIVLAQCFFQILQVASNYWMAWASPAEATTEQTIGIGFVFMVYILLSIGSALGVLVRAMLVTIAGLLTSQKLFTDMLHCILRAPMSFFDSTPTGRILNRVSTDQSVVDLEIALKLGWCAFSVIQILGTITVMSQVAWPVFLIFIPVTAVCFWCQQYYIPTARELARLSGIQRAPILHHFAESLLGAATIRAFGQEERFIHTNLGFIDRHSRPWFHNISAMEWLSFRLNLLSNFVFAFSLILLVSLPEGFINPSLAGLAVTYGLNLNSQLATIIWNICNAENKMISVERILQYSRIKSEAPLVIDSCRPPANWPNTGNNLLYKLES >DRNTG_08130.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1436458:1439491:-1 gene:DRNTG_08130 transcript:DRNTG_08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKFRLSGSSLLFVIVLSHLLVELARGRVILIGKNVEKSFDDADANFTPEIKESGENGVLYLAEPRNGCSLLRSRAIEGSESSFVLIVRGGCTFDEKVRRAQEAGFKAAIVYDNEYRGALLSMAGNPEGINIHAVFVSRVSGEILKKYAHRRELTVWLIPSVESSAWSVMAISFILLLAFLVVLATCFFVRRQQIRRDRRRASRIQEFHGMSRQLVKAMPIVVFTTVLEDNCTSRTCAICLEDYNPGEKLRVLPCQHKFHASCVDSWLTTWRTFCPVCKQDARLSTSISPASERTPLLPNNASSPHSSFRLPSINASLAEFPRIQVDAGPTSSATTSWFCSLYSNSQIPSLSGSNNSLPYISRSSTDLSRILFQRSNLCYPSAPHPHGLAVTSLNPRLTSSLYIPSSSNVSSWYSVEPSSQQPLLRYCSEPGASFFAQSLRRH >DRNTG_32234.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10021214:10025528:1 gene:DRNTG_32234 transcript:DRNTG_32234.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:(6-4)DNA photolyase [Source:Projected from Arabidopsis thaliana (AT3G15620) UniProtKB/Swiss-Prot;Acc:O48652] MEYNAMVWFRKGLRIHDNPALDLACRRSKHLFPVFVLDPRYLDPDPSAFSPGSTRSGLNRIRFLLESLSDLDSSLRKLGSRLLVLRGDPVRVVSQILMDWNIGTLCFEFDTEPYSQVRDNQVKDFASKCGIQVFSPISHTLFNPVDIIKKNGGKPPLTYQAFVKLAGKPPPPLTETYTWLPPIGDLQGCELLDIPQIDELGYGDISQEEFSPIRGGESEALKRLEESLKNKEWVAKFEKPKGDPSAFLKPATTLLSPYLKFGCLSSRYFYQCIQNVYKNVGKHTFPPVSLVGQLLWREFFYTVAFGTPNFDQMKGNKICKQIPWNDNEGLLIAWREGRTGYPWIDAIMIQLKKWGWMHHLARHSVACFLTRGDLFIHWEKGRDVFERQLIDSDWAINNGNWLWLSCSSFFYQYHRIYSPTTFGKKYDPDGKFIRHFLPVLKDMPKEYIYEPWSAPLSVQEKAKCIIGRDYPKPVVDHGIASKECKRRIGEAYALNLPSDSLETEEKLSGLRRKLEEDNKDITTYISSKQRKRKDK >DRNTG_32234.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10021214:10025528:1 gene:DRNTG_32234 transcript:DRNTG_32234.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:(6-4)DNA photolyase [Source:Projected from Arabidopsis thaliana (AT3G15620) UniProtKB/Swiss-Prot;Acc:O48652] MKGNKICKQIPWNDNEGLLIAWREGRTGYPWIDAIMIQLKKWGWMHHLARHSVACFLTRGDLFIHWEKGRDVFERQLIDSDWAINNGNWLWLSCSSFFYQYHRIYSPTTFGKKYDPDGKFIRHFLPVLKDMPKEYIYEPWSAPLSVQEKAKCIIGRDYPKPVVDHGIASKECKRRIGEAYALNLPSDSLETEEKLSGLRRKLEEDNKDITTYISSKQRKRKDK >DRNTG_29413.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1865442:1867752:-1 gene:DRNTG_29413 transcript:DRNTG_29413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLRLTTQLWNLFDAWEIRFLVLLSLFLQIVLIFSADHRKHNVNRLLNVVLWLAYLLADSVAIFALGVLTHSQDDESSPSPSPSPSAAAANSINEFSLQAFWSPFLLLHLGGPDTITAFSLEDNELWRRHLLNLLFQVTTAFYVFYKSLFLSTTWLLPSLFMFATGLLKYGERTWSLFSASMDRFRSSLLTAPDPGPNYAKFMEEYASSKSAGLHAEITVEQERHPKSVTPDDTQKHDPKSHEEIVQWAYCLFLTFRRLIVDLILTFEDRINSQAFFLIGLSAIEAFKVVEIELSFIYDVLHTKSAVIHTTIGRLIRLTTFIYTIIALLLFFLIDKRKYDPMDVRVTYTLAIGALVLEIYAMFYAIVSFWTYNWLYFSKYTSLSSFVFRLSNFFCMYSKPSWSDSMAGYNLLSFCYKDQFWVKVGKILCFIGLKDTCDQFWYTKHKPVPEKLKKVIFRELKLKTGSITDTASYKRFNACRGEWALRQKGYYKELGWSVEVEFDECVLLWHIATDLCFFEEAEEEKDNISRVVSDYLLYLLLERPFMLTAGIGQIRYGDTCAEVKHFFQRWGKEKSIEGVCKMLLKVNTEYLPIDVKGDRSKSVLFDACRLAKDLMKIKEKKTRWEVISLVLVEMLCFAATKCRGNFHAKQLSNGGELLTHVWILMAHMGIGEQYRIEAGHARAKLFVAK >DRNTG_04569.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8082142:8082505:1 gene:DRNTG_04569 transcript:DRNTG_04569.1 gene_biotype:protein_coding transcript_biotype:protein_coding HRNKRKISGNTEKMRKTEIWKKGGDVADL >DRNTG_29550.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11369130:11369426:-1 gene:DRNTG_29550 transcript:DRNTG_29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVKAHQAITSNLASGFGNKEKIISEHKVIEVMGKRRVKAWDKRKGKSFINNGREILGNKEKMKGGDRISLHFSYNLLTSSNQRSAEAAPYSIATSP >DRNTG_05004.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11737773:11798731:1 gene:DRNTG_05004 transcript:DRNTG_05004.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GRIP [Source:Projected from Arabidopsis thaliana (AT5G66030) UniProtKB/Swiss-Prot;Acc:Q8S2T0] MLKSELRNMERSQKREGVDMTYLKNVILKLLETGEVEALLPVVGTLLQFSPEEIKKCQQAYHSSNDVSSQAFAETTSTPQSSLFSRFSFS >DRNTG_05004.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11737773:11738852:1 gene:DRNTG_05004 transcript:DRNTG_05004.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GRIP [Source:Projected from Arabidopsis thaliana (AT5G66030) UniProtKB/Swiss-Prot;Acc:Q8S2T0] MSNGMAEVVMEGAAKNKLIGTVGYAGNENGVHHWNVITDETDEDLTRMVIELSFQNDYLKAQIGSFNLPVLGSIDAVAKEGGDSEQLNQLHEKIKCLNKEIQEQKETQKAAEDALEHLRAQCADADSKVQGLSEKLAQGWLS >DRNTG_05004.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11737773:11798731:1 gene:DRNTG_05004 transcript:DRNTG_05004.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GRIP [Source:Projected from Arabidopsis thaliana (AT5G66030) UniProtKB/Swiss-Prot;Acc:Q8S2T0] MSNGMAEVVMEGAAKNKLIGTVGYAGNENGVHHWNVITDETDEDLTRMVIELSFQNDYLKAQIGSFNLPVLGSIDAVAKEGGDSEQLNQLHEKIKCLNKEIQEQKETQKAAEDALEHLRAQCADADSKVQGLSEKLAQAQQKMEQEIKERDDKYVELDSKFSRLHKRAKQRIQELQKEKDDLETRVQEMNVLVEQASNQQTLRQQDIEKTRQQANEALRLMDSERQQLRAANKKLRENVDEMHIILDAKESALAAVQQTLLDKEKMLEDTHNLLRTLEEKRQSSFAELSAKHQKQLDSLSAQLAEAAVDRKKAAETISSLQLLIDEKDKKIANLDAVTSGEVARLGATLEEMKGELAQLQDTHEKERESWEAACQALRTKLEASESACLCSEIEAAKMRSQLEQELSMQHQLLNARDAELTAVKDEIKHIENEFSAYKVRAHALLQKKDAEITAARNSADIIAQQEAVREAERELALALAERDKAMQDLLDAFTKHEEEITARDAALNDVQKQMRDMAKKLDTSSARFLSEKELWQKNLESIEESWKFKYAALEAQNIKCSIDDIEKAFEELKQQYRKLKEEHDTFRDVADRALEEKEAEVVKLLEDNKYLRRFLESKPFVDHIDNQNPVSERQDSHVASVAAAEQQILLLARQQAQREEELAQSQRHILALQEEIEELEQENRLHSQQEAMLKSELRNMERSQKREGVDMTYLKNVILKLLETGEVEALLPVVGTLLQFSPEEIKKCQQAYHSSNDVSSQAFAETTSTPQSSLFSRFSFS >DRNTG_23301.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1216282:1219735:1 gene:DRNTG_23301 transcript:DRNTG_23301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTLLTWLWAWIWPLVWLALSLVIVMKIMEVFYLRPKRIEKHFSRQGIKGPSYRFFIGNMKEMVTLIFEASSKPMLPCNSHNILPRVLSFYHHWKKIFGSTFVLWFGPVARLTVADPDLIREIFVSRSEYFERYEAHPLVRQLEGEGLVSLRGQKWAHHRKVINPTFHMDNLKLLMPLIGKRMVEMVENWSSKSSSGEFEIDVYDWFHSLTEDTISQTVFGRSYEDGKAVFQLQAQQMLFAAEAFYKVLIPGYRYLPTKKNTISWRLEKEIRSKLVRLIFKRKDDHEDEEKTAGEDGRAKDLLGLMIDESRKLRGSSNMIISVQDIMEECKTFFFAGKQTTSSLLTWATVLLAMHQDWQENARHEVLSVCGPCDIPTRDHLSKLKTLGMVLYETLRLYPPAVATIRTARADVDLGGYQIPRGTELLIPIIAVHHDTTLWGPDAAEFNPARFSEGVARAAKHPAAFIPFGLGARTCIGQNLALMEAKLTVAILLQRFWFRLAPSYVHEPTVLMLLYPRYGSPIIFRHLSSPRHDHAST >DRNTG_35163.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28837688:28845761:1 gene:DRNTG_35163 transcript:DRNTG_35163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETEEDLILKGFFAEVSEVERDNEVIRILACFKLNPFEHLNLSFDSSPEEVKKQYRKLSLMVHPDKCKHPQAKEAFGALAKAQQLLLDPQEREYLLSQINAAKEELRAKRRKELKKDNASKIKSLVDEGKYEHQYEQSPEFQQQLKMKVRDNLTGQEWRRRKMQMRISEEEGRLKKDEEETKEMWKRKREHEEQWEETREGRVSSWRDFMKTGKKGKKGEIRPPKLKTEDPNKSYVQRPVKRE >DRNTG_20860.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15951878:15953489:1 gene:DRNTG_20860 transcript:DRNTG_20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNNFSLTYLYAFIVNKNQLTGEIPNSICKMFFSILDISENRLSGALPSCMNNFQYSLKVLDVRGNSLEGSIPSKFCGFFWLQYLDLSNNHFSGLIPPCFNFPHLLYLNLKDNNFTGSLLSASFGNNLEILDIGNNHFIGGIPNWIGTLQNLKIFSLKGNHFKGPIPKQICNLKYLHILDFSQNNLSEEIPPCIHNIGHHLDSVTIILEEVGIGINESNIFPYHYFSNMLSPEEMFPASVEYIDFATKERSYAYKGDIINYFSGIDLSCNKLVGQIPIEIGDMAWLLALNLSNNMLHGPIPHTLSRLTEIESLDLSHNMLAGRIPSQLAELHFIEFFSVAYNNLSGPTLGMVGQFSTFSEKNYEGNPYLCGPPLVKSCNNMSSPHQNQVKDGHKNEETMERFITIAIFVLGFIMGFWGWMALLFFKRSLSYSFFLGVDGYMEDIVDMARNLLAKIK >DRNTG_14675.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:17147514:17154140:-1 gene:DRNTG_14675 transcript:DRNTG_14675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMVMVAGGRDDDGRETMVAGPSGRDDEDGCCGDVGCGMMMVVVMGSLSKTKPSGIVLVMTVEPGFGGQKFMPEMMDKVDGRLGPSTIKMAASAGRTALSQGVRVGGGISTRGKRLPQAMWDYGPKPLRPNTPPGPTVDVGEQKEATEKENTPQMTQQRAKEEILVWSEKRSRRWRSKRRLQNVPKENRAIGEEVEPVKKHHGEQCAEVNAASIGNHA >DRNTG_27451.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12914554:12917755:1 gene:DRNTG_27451 transcript:DRNTG_27451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRNGQIKNFTSNSGPQHPAAHGVSRSVLEMNGEVVERAEPHIGSLQCGTKPLTLSRLLCR >DRNTG_00832.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:12018022:12018860:-1 gene:DRNTG_00832 transcript:DRNTG_00832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVASRHIRNIVTFLVIGACLGDSPILSQCLYHH >DRNTG_00832.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:12018022:12018679:-1 gene:DRNTG_00832 transcript:DRNTG_00832.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVASRHIRNIVTFLVIGACLGDSPILSQCLYHH >DRNTG_07803.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1270669:1271956:1 gene:DRNTG_07803 transcript:DRNTG_07803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVSRPDRRPRTPIPSCPAMRLKRDPLDSFMPSFTSSSWYSISASISLATIPSFRSGGPVAVLVDEVPASRRDRSV >DRNTG_09240.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13846434:13847482:1 gene:DRNTG_09240 transcript:DRNTG_09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYECNLSMPSLDDEEHAVFPEVDDEEDERDEVDDREDDEDEDEDGEIEPSSAPLPPSVPVTDLGTMDPNLGMIPNPNPIAIHVAVSAVENGSVQVQPVLSDVATEDLTTPTAEERRQPDRQRR >DRNTG_00379.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18491457:18493759:-1 gene:DRNTG_00379 transcript:DRNTG_00379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKETVNLQPPSLMPNPKRCDSEILLTAGLFSPKLKGRLLFRRRRRRRRMPLPPTTTRPKHH >DRNTG_00379.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18492861:18493759:-1 gene:DRNTG_00379 transcript:DRNTG_00379.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQIGPRVVPARGPQTPSPARPEVWVVPVPSTLL >DRNTG_00379.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18491457:18491826:-1 gene:DRNTG_00379 transcript:DRNTG_00379.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKETVNLQPPSLMPNPKRCDSEILLTAGLFSPKLKGRLLFRRRRRRRRMPLPPTTTRPKHH >DRNTG_00379.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18491457:18493456:-1 gene:DRNTG_00379 transcript:DRNTG_00379.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYISLANNRNRQHEAGSSTVKSGQNRTEYEQSKPDLRPQSGDSATTENMCTWLGLTPPSWAS >DRNTG_02511.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7828091:7848177:1 gene:DRNTG_02511 transcript:DRNTG_02511.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase I MNS4 [Source:Projected from Arabidopsis thaliana (AT5G43710) UniProtKB/Swiss-Prot;Acc:Q9FG93] MRRGGLALVALLIVFSAAVLPILVLADSVSHSEARLLRDEVREMFYHAFDGYMEHAFPLDELRPLTCKGEDTLGGYALTLIDSLDMLALLGDHERFAAAVEWVGKNVRFDIDKTVSVFETTIRILGGLLSAHLIASDYATGMRIPSYDNELLHLSEDLAKRLLPAFDTPTGIPYGSVNLLRGVDENESKITSTAGGGTLTLEFGVLSRLTNNPIFEQVTKNAVRGIWARRSRINLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKAYLLFGDEEYLFMFQEAYKAAMRYLYHDPWYVEVNMNSAVTVWPLFNSLQAFWPGLQVLAGDIDPAMRTHAAFFSVWKKYGFTPEGFNLASFVVQNGQKSYPLRPELIESTYWLFKATRNPRYLDAGRDMITSIQYGAHCPCGYCHIEDVETHKQDDHMESFFLAETVKYLWLLFDLAVGPDNIVENGPYKYIFSTEGHILPASPQISLASEHCSYLGSYCRAGQQQGYGTADISLDQRETNDTEHISWDRSIPHPKMHDSFSTSGFIKGFCPGLTHWQKFGLSYTEEQGQSQETDSQSQSQTRSVFVIAKPTPKQSVISHQNDHHEIEESSQDSGKLSSSSDNADAQAVDQ >DRNTG_17208.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:311193:312764:-1 gene:DRNTG_17208 transcript:DRNTG_17208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKVVVGAKRPRSPREGACGRCFRSSHSTADCRHQVVCLRCSGVGHVAARCPVDDRRSPRRRKVHVRSKLSGGKGGVPERERVDGRLPEKVSRTQERGEGGMTEGERTRLKVNCASLSLSISPESYELREELAKVAVLSLVGGNVNEGSVLEILPSILNTKMAGPAVPLNENSFLLPFENRDVVREVVKLGSFDAMTRDGKCRLNLAHWTAELGTSGRADGEGQWISIWNLPLHGWCWRIIEQVLRPVGDLITLSKASEPHKKFVKALVRRRRGVVLPMELDFSFGMRRYFILFTEERGVLPEFCRTSGRYVLWETIRNGGVLATERPLPQREVMEEKGKSTMAPVAGEGLRGDERRKGITMERSGEGGSKTGVPVRRGGITILQRRAEDKNEKGSLPSLQSPSVERSSHGEDLGSTPLVELSSRGEGSRLNLHGGPPEGGPRRPTVVARTVGLCTLASGARVSELEDEMRHDAVKGRSSRD >DRNTG_29979.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:10844694:10848644:1 gene:DRNTG_29979 transcript:DRNTG_29979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSKVLSLVTSIDLSNNKLSCELPEELTKLRGLHFLNLSYNLFSGKIPGSISDMKQLESLDLSQNNLFGTIPSSLSTLNFLSYLNLSHNNLSGKIPLGSQLQTFDPSAYSWNHDLCGSPLQNCVNETHYSQGANKEEGKADWSEMLWFYIGLTMGFITGFWMIIATIIIKQTIRIAYFKSIDKVYGLLYAKKVMYSRSLKSAFLRKN >DRNTG_29979.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:10844694:10845744:1 gene:DRNTG_29979 transcript:DRNTG_29979.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSKVLSLVTSIDLSNNKLSCELPEELTKLRGLHFLNLSYNLFSGKIPGSISDMKQLESLDLSQNNLFGTIPSSLSTLNFLSYLNLSHNNLSGKIPLGSQLQTFDPSAYSWNHDLCGSPLQNCVNETHYSQGANKEEGKADWSEMLWFYIGLTMGFITGFWMIIATIIIKQTIRIAYFKSIDKVYGLLYAKKVMYSRSLKSAFLRKN >DRNTG_30042.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001539.1:33685:34173:-1 gene:DRNTG_30042 transcript:DRNTG_30042.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRSGRVFFKSPIVKPRIHLVGSVPTKAPPITLFQRNRSVKGRKPLQKPSQSLSKS >DRNTG_20127.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22549701:22553246:1 gene:DRNTG_20127 transcript:DRNTG_20127.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKLSLSLLFIFTVTATPLSATTRTTLEFQAKALLQWKSKLKDKDSVLSSWSLATTPCSWLGITCSSLNISQINLYGKNLTGRLDTLNFTPFSSSLTNLDLSLNFFHGFIPPSISNLTKLTTLDLSENLITGSIPPSLGKLTKLTTLYLSDNSITSTILTEFGNLTSLLDLRISNNSITGTIPSSLSNLVMLNVLDLHNNFLSGSIPAEIGRLNNLTVLGLHTNNLTGTIPSSLGNLTGLSLLYLFNNQLSGTIPAELGKLVNLVHLILYHNSLTGSIPSTLGNLSAVQLLWLNENQISGSIPEEIGKLRNLTWLQLQRNNLQGTIPASLGNLTELAHLILYRNSISGYVPSELGKLVNLVEIDLSTNLLTGFIPTSLGNLKKLTTLALWRNQLSGSIPHELGSLTNLVNFVVEINKLTGSIPPSLGELRNLTHFLLSGNQLSGELPKELENLKSLTSFEVAYNNLSGNLPQSVCEGGALEFFIVNGNNFEGPLPRSLKNCTSLVRVRLENNRLNGNISEVFGVYPNLVYIDLSYNELVGPVPDNKLFAKAHTQWFSHNKGLCGQVIKGLLPCNSISVDNDHSSSNKKKIFAVFISVGTLLLFFVTIGTGLWYIHRRRSTTHGDADEGTNRAALTYSVWNRNARITYEDIIAATEDFDAKYCIGRGGYGTVYRATLQDQQVVAVKKIHPVEDENPVDLKSFRNEIESLTNIRHRNIVKLHGFCSSRRCEFLVYEYMERGSLASVLSTEEGAMELDWMRRVRVIKDMAHALSLHAL >DRNTG_22672.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20416942:20418211:-1 gene:DRNTG_22672 transcript:DRNTG_22672.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSEKALSDFFGILRSWIPKRSEPENLSRDFWMPDHSCRVCYECDSQFTVFNRRHHCRLCGRVFCAKCTQNSVPILFDDPKNAQESGGRVRVCNFCFMQWEQDKTTADNRNQASSMSISPPLSFTSFVSTKSDGTIYSSFRTGCSSSQSTGQYPHVPHSSSLTPSQSVPMEPCCNKLDILGAEKDSNSALQAGDPPPNLYGMNTNR >DRNTG_22672.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20415492:20416585:-1 gene:DRNTG_22672 transcript:DRNTG_22672.7 gene_biotype:protein_coding transcript_biotype:protein_coding MENFSPLHDTKDYYASLGVHKMTENSGPDGAYDHEVPSSVYGMANLDAESLDFESNGLLWFPPGPEDEEDDREDNLFDDDDDDDASGQWGYFCNSNFGSERHTRERMDEGHQNAVKNVVGGHFRTLIAQFLQAESLSIDEEDKEGSWLDIISSLSWEAATFLKPDTSKGGGMDPGGYVKVKCIACGTRNESMVIKGVVCKKKMANRRMASKVENPRVLILGGALEYERVSHLLSSFDTLLQQV >DRNTG_22672.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20414050:20415403:-1 gene:DRNTG_22672 transcript:DRNTG_22672.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLKMAVAKIDAQHPDVLLVEKSVSHFARDYILEKNISLVLNIKRPVLERIARCTGAQIVPSIDYLSPQNLGSCELFYVEKCFEEHGTAGLGGKKMTKNLIFFQGCPKPLGCTVLLKGASGDDLKKLKHVMQYGIFAAYHLAMETSFLADEGAAISSTSPITVALLDKPSSINRSISTVPGFMVSPTGGSQCSMDTQVLSMPVPTVTSDPENVK >DRNTG_22672.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20408462:20418211:-1 gene:DRNTG_22672 transcript:DRNTG_22672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSEKALSDFFGILRSWIPKRSEPENLSRDFWMPDHSCRVCYECDSQFTVFNRRHHCRLCGRVFCAKCTQNSVPILFDDPKNAQESGGRVRVCNFCFMQWEQDKTTADNRNQASSMSISPPLSFTSFVSTKSDGTIYSSFRTGCSSSQSTGQYPHVPHSSSLTPSQSVPMEPCCNKLDILGAEKDSNSALQAGDPPPNLYGMNTNRNDDENHVGNYTETQSFQHSDDYYLETEFNSVDQSYHFPEECPTEEINSAMENFSPLHDTKDYYASLGVHKMTENSGPDGAYDHEVPSSVYGMANLDAESLDFESNGLLWFPPGPEDEEDDREDNLFDDDDDDDASGQWGYFCNSNFGSERHTRERMDEGHQNAVKNVVGGHFRTLIAQFLQAESLSIDEEDKEGSWLDIISSLSWEAATFLKPDTSKGGGMDPGGYVKVKCIACGTRNESMVIKGVVCKKKMANRRMASKVENPRVLILGGALEYERVSHLLSSFDTLLQQEMDYLKMAVAKIDAQHPDVLLVEKSVSHFARDYILEKNISLVLNIKRPVLERIARCTGAQIVPSIDYLSPQNLGSCELFYVEKCFEEHGTAGLGGKKMTKNLIFFQGCPKPLGCTVLLKGASGDDLKKLKHVMQYGIFAAYHLAMETSFLADEGAAISSTSPITVALLDKPSSINRSISTVPGFMVSPTGGSQCSMDTQVLSMPVPTVTSDPENVKVNGDCESAVKSESKTLFPSYTSISVSDSYHASSKPINEDGFVENNELEKLRSDVQASKDVFLAPINYPDDLHTFNKDNMMTNEFTRQDYNGKNEFSLSKEEFSPPPSDHRSILVSLLTRCVWKGTVCECPHLFRIKYYGSFDKPLGRFLRDNLFDQSYRCCSCDMPSEAHVHCYTHRQGNLTISVRKLPEFLLAGEEDGKIWMWHRCLCCPRINGLPPATQRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSVHKECLRFYGFGNMVACFRYSSINVHSVYLPPPMLKFNYQVQEWVQKEAIEVAEWAKLLFSDVMKALSQIVEMKNAGGSLDKNPRFLVSPRHIVELEQLLQKEIMEFEEAITKLSKNGVKEGQPALDILVVNKLRRQLLFQSYLWDQRLTYASNGPCEVPCDLVTTDKEKLCSTNSADCNATPQGGSTNSDANTNEFLNGSTHLVDCQESLNNHLGNPKTDQQQRGNEFDSSQANQNKNNLMTIASGIDQLDLLEPDIIVRRTLSDGQFPISANLSDALDAKWTGESGLPHANAVMLESSVLVEDGVPVSVFEDSEERSRGHLAQPFAHALPTKWGDSAEDFLSWIGTPFLNIYRSLNNNWDSAPRFDALSEYKPNYVSFFREIEHQNGGRLLLPVGINDTVIPIHDDEPTSIITYALVSTGYHFQMLDDQERIKDGGDPSLSVHLYDSVTFHQFHSVDDSSSEHLSSAGPMPDDDISSLSGSRSSQVSDPPNHAEKAHIRVSFAHKGAIGKVKYTVTCYFAKRFDALRKECCPSELDFVRSLSRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFIKFGPEYFKYLSESIDMKSPTCLAKILGIYQVISKHLKSGKESRMDLLVMENLLFGRKVMRLYDLKGSSRSRYNPDSNGENRVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLASIGVMDYSLLVGVDEENHELVLGIIDFMRKYTWDKHLETWVKTSGILGGPKNALPTVISPKQYKKRFRKAMSTYFLMVPDQWSPPSPILDKSEPRLSEDDLQDASVSLRP >DRNTG_22672.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20408462:20413968:-1 gene:DRNTG_22672 transcript:DRNTG_22672.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMTNEFTRQDYNGKNEFSLSKEEFSPPPSDHRSILVSLLTRCVWKGTVCECPHLFRIKYYGSFDKPLGRFLRDNLFDQSYRCCSCDMPSEAHVHCYTHRQGNLTISVRKLPEFLLAGEEDGKIWMWHRCLCCPRINGLPPATQRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSVHKECLRFYGFGNMVACFRYSSINVHSVYLPPPMLKFNYQVQEWVQKEAIEVAEWAKLLFSDVMKALSQIVEMKNAGGSLDKNPRFLVSPRHIVELEQLLQKEIMEFEEAITKLSKNGVKEGQPALDILVVNKLRRQLLFQSYLWDQRLTYASNGPCEVPCDLVTTDKEKLCSTNSADCNATPQGGSTNSDANTNEFLNGSTHLVDCQESLNNHLGNPKTDQQQRGNEFDSSQANQNKNNLMTIASGIDQLDLLEPDIIVRRTLSDGQFPISANLSDALDAKWTGESGLPHANAVMLESSVLVEDGVPVSVFEDSEERSRGHLAQPFAHALPTKWGDSAEDFLSWIGTPFLNIYRSLNNNWDSAPRFDALSEYKPNYVSFFREIEHQNGGRLLLPVGINDTVIPIHDDEPTSIITYALVSTGYHFQMLDDQERIKDGGDPSLSVHLYDSVTFHQFHSVDDSSSEHLSSAGPMPDDDISSLSGSRSSQVSDPPNHAEKAHIRVSFAHKGAIGKVKYTVTCYFAKRFDALRKECCPSELDFVRSLSRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFIKFGPEYFKYLSESIDMKSPTCLAKILGIYQVISKHLKSGKESRMDLLVMENLLFGRKVMRLYDLKGSSRSRYNPDSNGENRVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLASIGVMDYSLLVGVDEENHELVLGIIDFMRKYTWDKHLETWVKTSGILGGPKNALPTVISPKQYKKRFRKAMSTYFLMVPDQWSPPSPILDKSEPRLSEDDLQDASVSLRP >DRNTG_22672.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20409171:20418211:-1 gene:DRNTG_22672 transcript:DRNTG_22672.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSEKALSDFFGILRSWIPKRSEPENLSRDFWMPDHSCRVCYECDSQFTVFNRRHHCRLCGRVFCAKCTQNSVPILFDDPKNAQESGGRVRVCNFCFMQWEQDKTTADNRNQASSMSISPPLSFTSFVSTKSDGTIYSSFRTGCSSSQSTGQYPHVPHSSSLTPSQSVPMEPCCNKLDILGAEKDSNSALQAGDPPPNLYGMNTNRNDDENHVGNYTETQSFQHSDDYYLETEFNSVDQSYHFPEECPTEEINSAMENFSPLHDTKDYYASLGVHKMTENSGPDGAYDHEVPSSVYGMANLDAESLDFESNGLLWFPPGPEDEEDDREDNLFDDDDDDDASGQWGYFCNSNFGSERHTRERMDEGHQNAVKNVVGGHFRTLIAQFLQAESLSIDEEDKEGSWLDIISSLSWEAATFLKPDTSKGGGMDPGGYVKVKCIACGTRNESMVIKGVVCKKKMANRRMASKVENPRVLILGGALEYERVSHLLSSFDTLLQQEMDYLKMAVAKIDAQHPDVLLVEKSVSHFARDYILEKNISLVLNIKRPVLERIARCTGAQIVPSIDYLSPQNLGSCELFYVEKCFEEHGTAGLGGKKMTKNLIFFQGCPKPLGCTVLLKGASGDDLKKLKHVMQYGIFAAYHLAMETSFLADEGAAISSTSPITVALLDKPSSINRSISTVPGFMVSPTGGSQCSMDTQVLSMPVPTVTSDPENVKVNGDCESAVKSESKTLFPSYTSISVSDSYHASSKPINEDGFVENNELEKLRSDVQASKDVFLAPINYPDDLHTFNKDNMMTNEFTRQDYNGKNEFSLSKEEFSPPPSDHRSILVSLLTRCVWKGTVCECPHLFRIKYYGSFDKPLGRFLRDNLFDQSYRCCSCDMPSEAHVHCYTHRQGNLTISVRKLPEFLLAGEEDGKIWMWHRCLCCPRINGLPPATQRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSVHKECLRFYGFGNMVACFRYSSINVHSVYLPPPMLKFNYQVQEWVQKEAIEVAEWAKLLFSDVMKALSQIVEMKNAGGSLDKNPRFLVSPRHIVELEQLLQKEIMEFEEAITKLSKNGVKEGQPALDILVVNKLRRQLLFQSYLWDQRLTYASNGPCEVPCDLVTTDKEKLCSTNSADCNATPQGGSTNSDANTNEFLNGSTHLVDCQESLNNHLGNPKTDQQQRGNEFDSSQANQNKNNLMTIASGIDQLDLLEPDIIVRRTLSDGQFPISANLSDALDAKWTGESGLPHANAVMLESSVLVEDGVPVSVFEDSEERSRGHLAQPFAHALPTKWGDSAEDFLSWIGTPFLNIYRSLNNNWDSAPRFDALSEYKPNYVSFFREIEHQNGGRLLLPVGINDTVIPIHDDEPTSIITYALVSTGYHFQMLDDQERIKDGGDPSLSVHLYDSVTFHQFHSVDDSSSEHLSSAGPMPDDDISSLSGSRSSQVSDPPNHAEKAHIRVSFAHKGAIGKVKYTVTCYFAKRFDALRKECCPSELDFVRSLSRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFIKFGPEYFKYLSESIDMKSPTCLAKILGIYQVISKHLKSGKESRMDLLVMENLLFGRKVMRLYDLKGSSRSRYNPDSNGENRVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLASIGVMDYSLLVGVDEENHELVLGIIDFMRKYTWDKHLETWVKTSGILGGPKNALPTVISPKQYKKRFRKAMSTYFLMVPDQWSPPSPILDKSEPRLSEDDLQDASVSLRP >DRNTG_22672.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20408462:20415403:-1 gene:DRNTG_22672 transcript:DRNTG_22672.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKALSQIVEMKNAGGSLDKNPRFLVSPRHIVELEQLLQKEIMEFEEAITKLSKNGVKEGQPALDILVVNKLRRQLLFQSYLWDQRLTYASNGPCEVPCDLVTTDKEKLCSTNSADCNATPQGGSTNSDANTNEFLNGSTHLVDCQESLNNHLGNPKTDQQQRGNEFDSSQANQNKNNLMTIASGIDQLDLLEPDIIVRRTLSDGQFPISANLSDALDAKWTGESGLPHANAVMLESSVLVEDGVPVSVFEDSEERSRGHLAQPFAHALPTKWGDSAEDFLSWIGTPFLNIYRSLNNNWDSAPRFDALSEYKPNYVSFFREIEHQNGGRLLLPVGINDTVIPIHDDEPTSIITYALVSTGYHFQMLDDQERIKDGGDPSLSVHLYDSVTFHQFHSVDDSSSEHLSSAGPMPDDDISSLSGSRSSQVSDPPNHAEKAHIRVSFAHKGAIGKVKYTVTCYFAKRFDALRKECCPSELDFVRSLSRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFIKFGPEYFKYLSESIDMKSPTCLAKILGIYQVISKHLKSGKESRMDLLVMENLLFGRKVMRLYDLKGSSRSRYNPDSNGENRVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLASIGVMDYSLLVGVDEENHELVLGIIDFMRKYTWDKHLETWVKTSGILGGPKNALPTVISPKQYKKRFRKAMSTYFLMVPDQWSPPSPILDKSEPRLSEDDLQDASVSLRP >DRNTG_15473.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16450490:16452712:-1 gene:DRNTG_15473 transcript:DRNTG_15473.6 gene_biotype:protein_coding transcript_biotype:protein_coding MWWDLWWNRTLKYTRGHLLELMNSKNILIFNLTFLNSPFWTIHPVYCSNVVVKNVTILAPLNSPNTDGIDPDSSSTVCIEDCYIESGDDLVAVKSGWDQYGIAMARPSTNIVIRRVSGTTPTCSGVGIGSEMSGGISNILVQDLHVWDSAAAVRFKTDQGRGGYITNITISNVTMERIKIPIRISRGSNDHSDENWDPKALPRISNIVIANIIGVDIKKAPVLEGIEGTMFDGICFRNVNLSVERSKAKWKCEYVGGEAYDVFPLPCEEFRNSSSSSWCLQT >DRNTG_15473.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16450490:16452712:-1 gene:DRNTG_15473 transcript:DRNTG_15473.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWWDLWWNRTLKYTRGHLLELMNSKNILIFNLTFLNSPFWTIHPVYCSNVVVKNVTILAPLNSPNTDGIDPDSSSTVCIEDCYIESGDDLVAVKSGWDQYGIAMARPSTNIVIRRVSGTTPTCSGVGIGSEMSGGISNILVQDLHVWDSAAAVRFKTDQGRGGYITNITISNVTMERIKIPIRISRGSNDHSDENWDPKALPRISNIVIANIIGVDIKKAPVLEGIEGTMFDGICFRNVNLSVERSKAKWKCEYVGGEAYDVFPLPCEEFRNSSSSSWCLQT >DRNTG_15473.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16450490:16454187:-1 gene:DRNTG_15473 transcript:DRNTG_15473.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWWDLWWNRTLKYTRGHLLELMNSKNILIFNLTFLNSPFWTIHPVYCSNVVVKNVTILAPLNSPNTDGIDPDSSSTVCIEDCYIESGDDLVAVKSGWDQYGIAMARPSTNIVIRRVSGTTPTCSGVGIGSEMSGGISNILVQDLHVWDSAAAVRFKTDQGRGGYITNITISNVTMERIKIPIRISRGSNDHSDENWDPKALPRISNIVIANIIGVDIKKAPVLEGIEGTMFDGICFRNVNLSVERSKAKWKCEYVGGEAYDVFPLPCEEFRNSSSSSWCLQT >DRNTG_15473.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16450490:16454187:-1 gene:DRNTG_15473 transcript:DRNTG_15473.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLTVSTWPQTSPARPDAVPVAVPVVQTGCLGFYQPSPPRAVVASIRDFGGVGDGNTSNTLAFRKAVERLKEFGEKGGSQLTVPHGRWLTGSFNLTSNFTLFLEEGAVILGSQDPTEWPLIEPLPSYGRGRERNGSRHISLIHGNGLSDVVITGGKKSNSRPSTWDSRALLLGYTNIGGQNGSIDGQGRMWWDLWWNRTLKYTRGHLLELMNSKNILIFNLTFLNSPFWTIHPVYCSNVVVKNVTILAPLNSPNTDGIDPDSSSTVCIEDCYIESGDDLVAVKSGWDQYGIAMARPSTNIVIRRVSGTTPTCSGVGIGSEMSGGISNILVQDLHVWDSAAAVRFKTDQGRGGYITNITISNVTMERIKIPIRISRGSNDHSDENWDPKALPRISNIVIANIIGVDIKKAPVLEGIEGTMFDGICFRNVNLSVERSKAKWKCEYVGGEAYDVFPLPCEEFRNSSSSSWCLQT >DRNTG_15473.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16450490:16454187:-1 gene:DRNTG_15473 transcript:DRNTG_15473.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLTVSTWPQTSPARPDAVPVAVPVVQTGCLGFYQPSPPRAVVASIRDFGGVGDGNTSNTLAFRKAVERLKEFGEKGGSQLTVPHGRWLTGSFNLTSNFTLFLEEGAVILGSQDPTEWPLIEPLPSYGRGRERNGSRHISLIHGNGLSDVVITGQNGSIDGQGRMWWDLWWNRTLKYTRGHLLELMNSKNILIFNLTFLNSPFWTIHPVYCSNVVVKNVTILAPLNSPNTDGIDPDSSSTVCIEDCYIESGDDLVAVKSGWDQYGIAMARPSTNIVIRRVSGTTPTCSGVGIGSEMSGGISNILVQDLHVWDSAAAVRFKTDQGRGGYITNITISNVTMERIKIPIRISRGSNDHSDENWDPKALPRISNIVIANIIGVDIKKAPVLEGIEGTMFDGICFRNVNLSVERSKAKWKCEYVGGEAYDVFPLPCEEFRNSSSSSWCLQT >DRNTG_15473.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16450356:16454187:-1 gene:DRNTG_15473 transcript:DRNTG_15473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWDLWWNRTLKYTRGHLLELMNSKNILIFNLTFLNSPFWTIHPVYCSNVVVKNVTILAPLNSPNTDGIDPDSSSTVCIEDCYIESGDDLVAVKSGWDQYGIAMARPSTNIVIRRVSGTTPTCSGVGIGSEMSGGISNILVQDLHVWDSAAAVRFKTDQGRGGYITNITISNVTMERIKIPIRISRGSNDHSDENWDPKALPRISNIVIANIIGVDIKKAPVLEGIEGTMFDGICFRNVNLSVERSKAKWKCEYVGGEAYDVFPLPCEEFRNSSSSSWCLQT >DRNTG_15473.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16453719:16454187:-1 gene:DRNTG_15473 transcript:DRNTG_15473.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLTVSTWPQTSPARPDAVPVAVPVVQTGCLGFYQPSPPRAVVASIRDFGGVGDGNTSNTLAFRKAVERLKEFGEKGGSQLTVPHGRWLTGSFNLTSNFTLFLEEGAVILGSQV >DRNTG_10706.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13631527:13633553:-1 gene:DRNTG_10706 transcript:DRNTG_10706.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITEGVNNLSVSDSHKKNRIQVSNTKKPLFFYVNLAKRYMQQHDEVELSALGMAIATVVTIAEILKNNGLAVEKKIMTSTVDMKDESRGRPIQKAKIEILLGKTENFDELMAAAAEEREGANGGEQN >DRNTG_32063.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8478854:8488059:1 gene:DRNTG_32063 transcript:DRNTG_32063.2 gene_biotype:protein_coding transcript_biotype:protein_coding TKVCHHRRTSLRDPVYAPPSPPPTQWKSLPRSRSIRAESFSRVLSPTTDKFGVTARATANPPIVYSTISAHP >DRNTG_32063.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8478854:8488059:1 gene:DRNTG_32063 transcript:DRNTG_32063.1 gene_biotype:protein_coding transcript_biotype:protein_coding TKVCHHRRTSLRDPVYAPPSPPPTQWKSLPRSRSIRAESFSRVLSPTTDKFGVTARATANPPIVYSTISAHP >DRNTG_24507.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19056994:19061893:1 gene:DRNTG_24507 transcript:DRNTG_24507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADGDSSGVDGGCSVTVHIRCSNGSKFSLQTPLDVTIGAFKVVVAGNCDVPAEQQRLIYKGRVLKDEQTLQSYGVESDHTIHLVRGAPASSAPSNTPAANLGASRTPNVGVGSNEGVGYGGAGSGGAQFPGLGLNGLFGSGGGSSPFGTGFPDFSQVQQQLTQNPNLMREMMNMPAIQNLMNNPDLMRNLIMSNPQMRDVIDRNPELGHVLNDPSILRQTLEAARNPELMREMMRNTDRAMSNIEATPEGFNMLRRMYENVQEPFLNATTMAGETGNDAGSNPFAALLGNQGSAQSRDGSTNLSNTNLEQTGASPAPNANPLPNPWSNATGAASAANTRATSGDTRSPGIAGLGGFNNVQDMDRMLGGLPDPSSLNQILQNPAMMQWMQSLMSNPQFMNQMLGPQMGGLLEANPQLREMLQNPEFLRQLSSPETMQQLLSFQRLFSSQFGRQQPNQQQNQGGDGTGAPNNMGLDLLVNLFGGLGAGGLGMPNPSNVPPEELYATQLSQLQEMGFFDTQENIRALSATAGNVHAAVERLLGNPGH >DRNTG_12838.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21216561:21217026:-1 gene:DRNTG_12838 transcript:DRNTG_12838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPLDRTRRGSSVSTLGDSQVQRIAQQRL >DRNTG_02987.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9057608:9058637:1 gene:DRNTG_02987 transcript:DRNTG_02987.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIGPSVLLMTAMAPTLWLPLPAVFEAVDLSGFLSLVGLDCVFNIGATLFFLVSDAYGRPKSQLRAIESQIPLSNKLWNTVANAAGFAFPLIMLMASSTYTLRPPLPFISFTVMLGPYLLMLAVQIVAEVLTWRWKSPAWLVVPVVYEVYRVFQLRRALRLAVLLGAPVWSVEGLLVLISGWLLILVVQLLRVAWFSGYAARSQQRLPW >DRNTG_08985.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28908018:28909077:1 gene:DRNTG_08985 transcript:DRNTG_08985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPSDEVLAIIIPIAVYWLYSGIYLLLGPLDNYRLHSREDEQIKNMVSKREVAKGVLLQQLVQACVAFITFTLTRDERSIEMVSGKKGISLLVLARQFFISMVVIDTWQYFWHRYMHLNKFLYRHIHSWHHRIIVNYSFGAQYNHPIEGLLLDTLGGALAFLISGMTPRASIFFFSFATIKGIDDHCGLWFPGNIFHLFFWNNTAYHDVHHQLYGNKYNFSQPFFITWDKIFGTYMPYTLEKREGGGFHAKPNKSS >DRNTG_06853.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1085706:1086542:1 gene:DRNTG_06853 transcript:DRNTG_06853.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSQSPSSLLHLLLLLFLIFSISTINAIKHPSSSSSSSSSSSSCKDTEFIRKSCSTTRYPDLCMQCLSTFASTVHHSHRQLANAALSVSSDRAHAVSSFISSTNLPSHQSGAIKDCIETLADSVDRLRSSMKEMSHMGRAGSQAFSWHLSNVQTWVSAALTDENTCLDELPRRAAADEAGFRAAVRKKVVEVAQVTSNALALVNRI >DRNTG_09585.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23650212:23652497:1 gene:DRNTG_09585 transcript:DRNTG_09585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVCCEVVSPREVVTESCEPSTRAARRRRMQLRRIKFVSGVGAVVGTAEPMRKRQRVELLEDVVRSGAVEHHRAGSGSGTNSSSCAPRRAKYGMTAVCGRRREMEDVVSIQPEFLRGSLGHETYQFYGVFDGHGCSHAAVLCQDRMHELVAEEIRMVDTGSMAMAVRNWEGVMKRSFLRMDAEVEDWRGSIRTGTCKCELRMPNCDHVGSTAVVAVVTPDQIIVSNCGDSRAVLCKGGVAIPLSTDHKPDRPDEMERIEQAGGRVIYWDGPRVLGVLAMSRAIGDAYLKPYVISEPEVTVTERTGEEECLILASDGLWDVVSNEMACDIARMCLRASGGDGDESVGYETEGHARRGSDKACCDASMLLTKLALARHSADNVSVVVIDLKRRS >DRNTG_09585.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23650528:23652174:1 gene:DRNTG_09585 transcript:DRNTG_09585.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVCCEVVSPREVVTESCEPSTRAARRRRMQLRRIKFVSGVGAVVGTAEPMRKRQRVELLEDVVRSGAVEHHRAGSGSGTNSSSCAPRRAKYGMTAVCGRRREMEDVVSIQPEFLRGSLGHETYQFYGVFDGHGCSHAAVLCQDRMHELVAEEIRMVDTGSMAMAVRNWEGVMKRSFLRMDAEVEDWRGSIRTGTCKCELRMPNCDHVGSTAVVAVVTPDQIIVSNCGDSRAVLCKGGVAIPLSTDHKPDRPDEMERIEQAGGRVIYWDGPRVLGVLAMSRAIGDAYLKPYVISEPEVTVTERTGEEECLILASDGLWDVVSNEMACDIARMCLRASGGDGDESVGYETEGHARRGSDKACCDASMLLTKLALARHSADNVSVVVIDLKRRS >DRNTG_09585.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23650528:23652049:1 gene:DRNTG_09585 transcript:DRNTG_09585.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVCCEVVSPREVVTESCEPSTRAARRRRMQLRRIKFVSGVGAVVGTAEPMRKRQRVELLEDVVRSGAVEHHRAGSGSGTNSSSCAPRRAKYGMTAVCGRRREMEDVVSIQPEFLRGSLGHETYQFYGVFDGHGCSHAAVLCQDRMHELVAEEIRMVDTGSMAMAVRNWEGVMKRSFLRMDAEVEDWRGSIRTGTCKCELRMPNCDHVGSTAVVAVVTPDQIIVSNCGDSRAVLCKGGVAIPLSTDHKPDRPDEMERIEQAGGRVIYWDGPRVLGVLAMSRAIGDAYLKPYVISEPEVTVTERTGEEECLILASDGLWDVVSNEMACDIARMCLRASGGDGDESVGYETEGHARRGSDKACCDASMLLTKLALARHSADNVSVVVIDLKRRS >DRNTG_09585.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23650460:23652049:1 gene:DRNTG_09585 transcript:DRNTG_09585.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVCCEVVSPREVVTESCEPSTRAARRRRMQLRRIKFVSGVGAVVGTAEPMRKRQRVELLEDVVRSGAVEHHRAGSGSGTNSSSCAPRRAKYGMTAVCGRRREMEDVVSIQPEFLRGSLGHETYQFYGVFDGHGCSHAAVLCQDRMHELVAEEIRMVDTGSMAMAVRNWEGVMKRSFLRMDAEVEDWRGSIRTGTCKCELRMPNCDHVGSTAVVAVVTPDQIIVSNCGDSRAVLCKGGVAIPLSTDHKPDRPDEMERIEQAGGRVIYWDGPRVLGVLAMSRAIGDAYLKPYVISEPEVTVTERTGEEECLILASDGLWDVVSNEMACDIARMCLRASGGDGDESVGYETEGHARRGSDKACCDASMLLTKLALARHSADNVSVVVIDLKRRS >DRNTG_09585.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23650212:23652174:1 gene:DRNTG_09585 transcript:DRNTG_09585.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVCCEVVSPREVVTESCEPSTRAARRRRMQLRRIKFVSGVGAVVGTAEPMRKRQRVELLEDVVRSGAVEHHRAGSGSGTNSSSCAPRRAKYGMTAVCGRRREMEDVVSIQPEFLRGSLGHETYQFYGVFDGHGCSHAAVLCQDRMHELVAEEIRMVDTGSMAMAVRNWEGVMKRSFLRMDAEVEDWRGSIRTGTCKCELRMPNCDHVGSTAVVAVVTPDQIIVSNCGDSRAVLCKGGVAIPLSTDHKPDRPDEMERIEQAGGRVIYWDGPRVLGVLAMSRAIGDAYLKPYVISEPEVTVTERTGEEECLILASDGLWDVVSNEMACDIARMCLRASGGDGDESVGYETEGHARRGSDKACCDASMLLTKLALARHSADNVSVVVIDLKRRS >DRNTG_09585.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23650528:23652049:1 gene:DRNTG_09585 transcript:DRNTG_09585.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVCCEVVSPREVVTESCEPSTRAARRRRMQLRRIKFVSGVGAVVGTAEPMRKRQRVELLEDVVRSGAVEHHRAGSGSGTNSSSCAPRRAKYGMTAVCGRRREMEDVVSIQPEFLRGSLGHETYQFYGVFDGHGCSHAAVLCQDRMHELVAEEIRMVDTGSMAMAVRNWEGVMKRSFLRMDAEVEDWRGSIRTGTCKCELRMPNCDHVGSTAVVAVVTPDQIIVSNCGDSRAVLCKGGVAIPLSTDHKPDRPDEMERIEQAGGRVIYWDGPRVLGVLAMSRAIGELYIYLYK >DRNTG_09585.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23650528:23652174:1 gene:DRNTG_09585 transcript:DRNTG_09585.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVCCEVVSPREVVTESCEPSTRAARRRRMQLRRIKFVSGVGAVVGTAEPMRKRQRVELLEDVVRSGAVEHHRAGSGSGTNSSSCAPRRAKYGMTAVCGRRREMEDVVSIQPEFLRGSLGHETYQFYGVFDGHGCSHAAVLCQDRMHELVAEEIRMVDTGSMAMAVRNWEGVMKRSFLRMDAEVEDWRGSIRTGTCKCELRMPNCDHVGSTAVVAVVTPDQIIVSNCGDSRAVLCKGGVAIPLSTDHKPDRPDEMERIEQAGGRVIYWDGPRVLGVLAMSRAIGELYIYLYK >DRNTG_09585.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23650460:23652174:1 gene:DRNTG_09585 transcript:DRNTG_09585.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVCCEVVSPREVVTESCEPSTRAARRRRMQLRRIKFVSGVGAVVGTAEPMRKRQRVELLEDVVRSGAVEHHRAGSGSGTNSSSCAPRRAKYGMTAVCGRRREMEDVVSIQPEFLRGSLGHETYQFYGVFDGHGCSHAAVLCQDRMHELVAEEIRMVDTGSMAMAVRNWEGVMKRSFLRMDAEVEDWRGSIRTGTCKCELRMPNCDHVGSTAVVAVVTPDQIIVSNCGDSRAVLCKGGVAIPLSTDHKPDRPDEMERIEQAGGRVIYWDGPRVLGVLAMSRAIGDAYLKPYVISEPEVTVTERTGEEECLILASDGLWDVVSNEMACDIARMCLRASGGDGDESVGYETEGHARRGSDKACCDASMLLTKLALARHSADNVSVVVIDLKRRS >DRNTG_19585.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001023.1:6903:10321:-1 gene:DRNTG_19585 transcript:DRNTG_19585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDRSWMYSRLNDGFITPNYFNGVEDFISFVFSQHDFVRGNKIRCPCVGCQNNKWQISDNVRKHLFFKDFYYGYTTWILHGEQPTGESSHS >DRNTG_30682.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1865228:1868396:1 gene:DRNTG_30682 transcript:DRNTG_30682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGTGDYVRLGGCAPDPESVDMGSPEKRCPLRMCSLWCWLKVVILAIVVLGVVAAFVIWGGPLLLKKVVTPILDWEMAAFSRPVLGLILFTSIALFPALLLPSSPCMWIAGITFGYGYGFLLIMAGTSVGMSLPYFIGSLFRYRIHRWLEKWPKKAAIIRLAGEGNWFHQFRAVALIRISPFPYIIFNYAAVATNVKYSPYIAGSIVGTIPETFLTIYSGILIRSLADATNQGGFLSMQQVIYDAIGFCVAVVATIAITIYAKKALRKLQAEEELS >DRNTG_13139.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1685260:1690927:-1 gene:DRNTG_13139 transcript:DRNTG_13139.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSVLVVLLSSTNSNISSGLHQDGKMYIGQTTSQANKQLDQPPQKKNKNEDQMALQCSAEMNERINDIEKHHRKRHFGDSFMGTDMTLKNPESSSSPSEVRSSRLDSMLDDVAEPEIP >DRNTG_13139.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1681241:1690810:-1 gene:DRNTG_13139 transcript:DRNTG_13139.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMSEEEYQVQLALVISNSEFRDDPDPDPDRDQIRAVKLLSLGKQRMDPIREEDVSADVLSRQYWIVGVPCKLVKGSQYNVVDDDTVNIIKLDAGREFLVDLMTDPGTLIPADVLSAKDAPLSSVIHDSQKTSIPGPQTNQKVTYWDPNSCMKMNLELHHR >DRNTG_13139.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1681109:1690863:-1 gene:DRNTG_13139 transcript:DRNTG_13139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSVLVVLLSSTNSNISSGLHQDGKMYIGQTTSQANKQLDQPPQKKNKNEDQMALQCSAEMNERINDIEKHHRKRHFGDSFMGTDMTLKNPESSSSPSEVRSSRLDSMLDDVAEPEIP >DRNTG_13139.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1681241:1690810:-1 gene:DRNTG_13139 transcript:DRNTG_13139.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSVLVVLLSSTNSNISSGLHQDGKMYIGQTTSQANKQLDQPPQKKNKNEDQMALQCSAEMNERINDIEKHHRKRHFGDSFMGTDMTLKNPESSSSPSEVRSSRLDSMLDDVAEPEIP >DRNTG_13139.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1681241:1690863:-1 gene:DRNTG_13139 transcript:DRNTG_13139.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSVLVVLLSSTNSNISSGLHQDGKMYIGQTTSQANKQLDQPPQKKNKNEDQMALQCSAEMNERINDIEKHHRKRHFGDSFMGTDMTLKNPESSSSPSEVRSSRLDSMLDDVAEPEIP >DRNTG_13139.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1685260:1690810:-1 gene:DRNTG_13139 transcript:DRNTG_13139.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSVLVVLLSSTNSNISSGLHQDGKMYIGQTTSQANKQLDQPPQKKNKNEDQMALQCSAEMNERINDIEKHHRKRHFGDSFMGTDMTLKNPESSSSPSEVRSSRLDSMLDDVAEPEIP >DRNTG_11923.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:494555:502425:-1 gene:DRNTG_11923 transcript:DRNTG_11923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSLFPLQTSDRLLMMGSHSLDLHESCMEKQLSGFHLMLQLY >DRNTG_04540.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18398723:18399174:1 gene:DRNTG_04540 transcript:DRNTG_04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSMVLLRVLYSSSKDSFVWYRIRLDRSSPTNILPNGITIRLRYFATMFAMMATVLREILPNSILSLG >DRNTG_09577.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21527134:21529151:-1 gene:DRNTG_09577 transcript:DRNTG_09577.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKVFCRCAQRLVEKLEPFALRGDMVNMEEKFSQLTLDVIGLSLFNFNFDSLSSDSPVIDAVYTALKETEARSTDILPYWKIGFLCKIVPRQIKAERAVTIIRKTVEELIAKCKEMVEAEGERVDREDYVNEADPSILRFLLASR >DRNTG_09577.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21527134:21529604:-1 gene:DRNTG_09577 transcript:DRNTG_09577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRKAVSPSLHKKFLSVMVDKVFCRCAQRLVEKLEPFALRGDMVNMEEKFSQLTLDVIGLSLFNFNFDSLSSDSPVIDAVYTALKETEARSTDILPYWKIGFLCKIVPRQIKAERAVTIIRKTVEELIAKCKEMVEAEGERVDREDYVNEADPSILRFLLASR >DRNTG_10257.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19972553:19974429:-1 gene:DRNTG_10257 transcript:DRNTG_10257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSAAAVGCSGGAIRFSAASPPPRLPPSSHPESLPILSGITRRAALLLLLSVPVLPASSAAAISIGIPGPKQWLKEQKRKAAKFILAPIEASRQSLHDAFDMLALDLGTPVDYHGEVRKLLNSASRDCVSQDRSSLVTFQASTGVEVCTFSLILNNASSLLDNEDPVKLEAEVKLRELIRSFSSVGSVMDNCDFELIDDSDCCKLLENESGEVDWSTMEMKEVKRRFENVDFSIAYEDGDPPLTL >DRNTG_12108.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5002183:5002847:-1 gene:DRNTG_12108 transcript:DRNTG_12108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQPACGLHTINNQEEPFPWTTTRRRPHHPPPPPFPPRFKLMRMLCNRCEHSNVQTLVSLYEGRV >DRNTG_35178.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26049235:26054044:-1 gene:DRNTG_35178 transcript:DRNTG_35178.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKILIEVCMISARGLPRSSSLWKRQWFAVAWIDPNNKFCSKIDSSGSSNPTWKTKFTITVDSMKTDLQQLSLTAEVYSRDPIFLREKLQGTAIVMLKEFFTKFGKSAEPSRSGNEETGSYQLRKQKSGKPQGFVDIAVRIYEQSESGPSYPGINEGISVAIEDGPVLAYPNKPQPFPDNHFNNSYPNGSYPHSHPQPPPSNYANPQDSNAGYHRPPPPPPPPPPANTGFLPTLFPGANPLPESYVGMPGSGSAGRGSGPGFGMGLGAGALAAGAVIFGDDFMSGSSYPLNLDGCSLTVSTDPLF >DRNTG_35178.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26049235:26054044:-1 gene:DRNTG_35178 transcript:DRNTG_35178.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGKILIEVCMISARGLPRSSSLWKRQWFAVAWIDPNNKFCSKIDSSGSSNPTWKTKFTITVDSMKTDLQQLSLTAEVYSRDPIFLREKLQGTAIVMLKEFFTKFGKSAEPSRSGNEETGSYQLRKQKSGKPQGFVDIAVRIYEQSESGPSYPGINEGISVAIEDGPVLAYPNKPQPFPDNHFNNSYPNGSYPHSHPQPPPSNYANPQDSNAGYHRPPPPPPPPPPANTGFLPTLFPGANPLPESYVGMPGSGSAGRGSGPGFGMGLGAGALAAGAVIFGDDFMSGSSYPLNLDGCSLTVSTDPLF >DRNTG_35178.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26049235:26054044:-1 gene:DRNTG_35178 transcript:DRNTG_35178.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGKILIEVCMISARGLPRSSSLWKRQWFAVAWIDPNNKFCSKIDSSGSSNPTWKTKFTITVDSMKTDLQQLSLTAEVYSRDPIFLREKLQGTAIVMLKEFFTKFGKSAEPSRSGNEETGSYQLRKQKSGKPQGFVDIAVRIYEQSESGPSYPGINEGISVAIEDGPVLAYPNKPQPFPDNHFNNSYPNGSYPHSHPQPPPSNYANPQDSNAGYHRPPPPPPPPPPANTGFLPTLFPGANPLPESYVGMPGSGSAGRGSGPGFGMGLGAGALAAGAVIFGDDFMSGSSYPLNLDGCSLTVSTDPLF >DRNTG_35178.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26049235:26054044:-1 gene:DRNTG_35178 transcript:DRNTG_35178.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKILIEVCMISARGLPRSSSLWKRQWFAVAWIDPNNKFCSKIDSSGSSNPTWKTKFTITVDSMKTDLQQLSLTAEVYSRDPIFLREKLQGTAIVMLKEFFTKFGKSAEPSRSGNEETGSYQLRKQKSGKPQGFVDIAVRIYEQSESGPSYPGINEGISVAIEDGPVLAYPNKPQPFPDNHFNNSYPNGSYPHSHPQPPPSNYANPQDSNAGYHRPPPPPPPPPPANTGFLPTLFPGANPLPESYVGMPGSGSAGRGSGPGFGMGLGAGALAAGAVIFGDDFMSGSSYPLNLDGCSLTVSTDPLF >DRNTG_35178.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26049235:26054044:-1 gene:DRNTG_35178 transcript:DRNTG_35178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKILIEVCMISARGLPRSSSLWKRQWFAVAWIDPNNKFCSKIDSSGSSNPTWKTKFTITVDSMKTDLQQLSLTAEVYSRDPIFLREKLQGTAIVMLKEFFTKFGKSAEPSRSGNEETGSYQLRKQKSGKPQGFVDIAVRIYEQSESGPSYPGINEGISVAIEDGPVLAYPNKPQPFPDNHFNNSYPNGSYPHSHPQPPPSNYANPQDSNAGYHRPPPPPPPPPPANTGFLPTLFPGANPLPESYVGMPGSGSAGRGSGPGFGMGLGAGALAAGAVIFGDDFMSGSSYPLNLDGCSLTVSTDPLF >DRNTG_35178.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26049235:26054044:-1 gene:DRNTG_35178 transcript:DRNTG_35178.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKILIEVCMISARGLPRSSSLWKRQWFAVAWIDPNNKFCSKIDSSGSSNPTWKTKFTITVDSMKTDLQQLSLTAEVYSRDPIFLREKLQGTAIVMLKEFFTKFGKSAEPSRSGNEETGSYQLRKQKSGKPQGFVDIAVRIYEQSESGPSYPGINEGISVAIEDGPVLAYPNKPQPFPDNHFNNSYPNGSYPHSHPQPPPSNYANPQDSNAGYHRPPPPPPPPPPANTGFLPTLFPGANPLPESYVGMPGSGSAGRGSGPGFGMGLGAGALAAGAVIFGDDFMSGSSYPLNLDGCSLTVSTDPLF >DRNTG_35178.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26049235:26052623:-1 gene:DRNTG_35178 transcript:DRNTG_35178.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGKILIEVCMISARGLPRSSSLWKRQWFAVAWIDPNNKFCSKIDSSGSSNPTWKTKFTITVDSMKTDLQQLSLTAEVYSRDPIFLREKLQGTAIVMLKEFFTKFGKSAEPSRSGNEETGSYQLRKQKSGKPQGFVDIAVRIYEQSESGPSYPGINEGISVAIEDGPVLAYPNKPQPFPDNHFNNSYPNGSYPHSHPQPPPSNYANPQDSNAGYHRPPPPPPPPPPANTGFLPTLFPGANPLPESYVGMPGSGSAGRGSGPGFGMGLGAGALAAGAVIFGDDFMSGSSYPLNLDGCSLTVSTDPLF >DRNTG_35178.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26049235:26054044:-1 gene:DRNTG_35178 transcript:DRNTG_35178.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGKILIEVCMISARGLPRSSSLWKRQWFAVAWIDPNNKFCSKIDSSGSSNPTWKTKFTITVDSMKTDLQQLSLTAEVYSRDPIFLREKLQGTAIVMLKEFFTKFGKSAEPSRSGNEETGSYQLRKQKSGKPQGFVDIAVRIYEQSESGPSYPGINEGISVAIEDGPVLAYPNKPQPFPDNHFNNSYPNGSYPHSHPQPPPSNYANPQDSNAGYHRPPPPPPPPPPANTGFLPTLFPGANPLPESYVGMPGSGSAGRGSGPGFGMGLGAGALAAGAVIFGDDFMSGSSYPLNLDGCSLTVSTDPLF >DRNTG_22670.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20426058:20428873:1 gene:DRNTG_22670 transcript:DRNTG_22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRSASFVLEKYQLPSSPMESPKSPQSPNPNPIPNLNSTHGISAYYQTRAEHHGVVTSDWLAQAQAAVEPPSPPSERTSPGSGKPFSVIEEFNYWRKKPDLAEAVAAIMALAAVIRSSNGSTMMELEIELKTASDALKKGDKSSISLSAGCDLFMRYVTRTSALEYEDFDAAKLRLIERAERFGEISLKARRTIAMLSQDFIFDGCTILVHGFSRVVLEVLKLAASNRKLFRVFCTEGRPDRTGLRLSNELAALGIPVKLLIDSAVAYTMDEVDMIFVGADGVVESGGILNMMGTYQIALVAHSMNKPVYVAAESYKFARLYPLDQKDLEPAIRPIDFGVPIPSGVEVEECARDYTPPQYLTLLFTDLGVLTPSVVSDELIRLYL >DRNTG_02522.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8043917:8049072:-1 gene:DRNTG_02522 transcript:DRNTG_02522.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGIPAPPPFLLSSRSPARYISYNSCYPLPRNPWLQKASQTGMLAQSLFVRSTNLDSWTSEQLKMMSSGANNCAQVSLSSMLSESSKENSVDKHGTPDINHSPKAPTASIVTNPVRKPIGAKKIGTKLAGLVSANLSFRSNSSPFDHQTVNVVQHLSESPIDRSVHTVEAIQKARTVSENLIWD >DRNTG_26046.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9820181:9824547:-1 gene:DRNTG_26046 transcript:DRNTG_26046.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKVPQRVKRWLFPLLASLLISCVLITLSLFSSSSSSSSSSLCHALSLCSFPASPSSDPYLFIEAKLRLPRTVSSASVPRIAYLISGSAGDGGSIQRTLRALYHPANRYAVHLDLESPPAERLELAASIRRDPVYQRFGNVWVITRANLVTYRGPTMVANTLHAASILLKEGGYWDWFINLSSSDYPLVTQDGEIWCWCFGFLI >DRNTG_26046.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9820181:9824347:-1 gene:DRNTG_26046 transcript:DRNTG_26046.3 gene_biotype:protein_coding transcript_biotype:protein_coding METKVPQRVKRWLFPLLASLLISCVLITLSLFSSSSSSSSSSLCHALSLCSFPASPSSDPYLFIEAKLRLPRTVSSASVPRIAYLISGSAGDGGSIQRTLRALYHPANRYAVHLDLESPPAERLELAASIRRDPVYQRFGNVWVITRANLVTYRGPTMVANTLHAASILLKEGGYWDWFINLSSSDYPLVTQDDLLFTLSSITRDWNFIDHTSDLGWKETQRARPLILDPGLYDLHKSDLFWVSEQRGVPSAFRLFTGSAWMMLTHQFIEFCLWGWDNLPRTVLMYYANFISSPEGYFHTVICNADEFRNTTVNHDLHYISWDNPPKQHPHYLTLNDFQGMIDSNAPFARKFGRDDPVLDKIDKEILGRDPGGFVPGGWHDILEENATDHYFTVSKVDDLRPGPGAERLKTLVLGLLSQDDFDQKHCI >DRNTG_26046.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9820181:9824347:-1 gene:DRNTG_26046 transcript:DRNTG_26046.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKVPQRVKRWLFPLLASLLISCVLITLSLFSSSSSSSSSSLCHALSLCSFPASPSSDPYLFIEAKLRLPRTVSSASVPRIAYLISGSAGDGGSIQRTLRALYHPANRYAVHLDLESPPAERLELAASIRRDPVYQRFGNVWVITRANLVTYRGPTMVANTLHAASILLKEGGYWDWFINLSSSDYPLVTQDDLLFTLSSITRDWNFIDHTSDLGWKETQRARPLILDPGLYDLHKSDLFWVSEQRGVPSAFRLFTGSAWMMLTHQFIEFCLWGWDNLPRTVLMYYANFISSPEGYFHTVICNADEFRNTTVNHDLHYISWDNPPKQHPHYLTLNDFQGMIDSNAPFARKFGRDDPVLDKIDKEILGRDPGGFVPGGWHDILEENATDHYFTVSKVDDLRPGPGAERLKTLVLGLLSQDDFDQKHCI >DRNTG_07751.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2768311:2771082:1 gene:DRNTG_07751 transcript:DRNTG_07751.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEREMSTSVSLFKTYGAKGRTLYKLYAGFMLLCILSILFYRLTHIPLEHYSLTFAWISLFASELWFSFYWILTQSVRWNPIYHQTFKERLLQRHGNELPMVDIFICTADPVAEPPSLVMSTVLSMMAYDYPKEKLSVYLSDDAGSELTFYALCEACKFAKHWIPFCNKFDVEPRSPNAFFFKACHFSSSDLSISKEWSQMKDMYEKMINRIDFVVTKGNVPQELKKHQGFYKWTSNMTSNNHDAIIQILVDGNGEISVDIEKNLLPRVIYMAREKRPQHHHNFKAGSMNALVIFFI >DRNTG_07751.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2768311:2771082:1 gene:DRNTG_07751 transcript:DRNTG_07751.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREMSTSVSLFKTYGAKGRTLYKLYAGFMLLCILSILFYRLTHIPLEHYSLTFAWISLFASELWFSFYWILTQSVRWNPIYHQTFKERLLQRHGNELPMVDIFICTADPVAEPPSLVMSTVLSMMAYDYPKEKLSVYLSDDAGSELTFYALCEACKFAKHWIPFCNKFDVEPRSPNAFFFKACHFSSSDLSISKEWSQMKDMYEKMINRIDFVVTKGNVPQELKKHQGFYKWTSNMTSNNHDAIIQILVDGNGEISVDIEKNLLPRVIYMAREKRPQHHHNFKAGSMNALLRVSSKISNGPIILNVDCDMYSNNSQSIKEALCFFLDEEKGHETGFVQYPQYFDNISKNDLYDNSLNVLNKVKMFPLAFN >DRNTG_07751.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2768311:2771082:1 gene:DRNTG_07751 transcript:DRNTG_07751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREMSTSVSLFKTYGAKGRTLYKLYAGFMLLCILSILFYRLTHIPLEHYSLTFAWISLFASELWFSFYWILTQSVRWNPIYHQTFKERLLQRHGNELPMVDIFICTADPVAEPPSLVMSTVLSMMAYDYPKEKLSVYLSDDAGSELTFYALCEACKFAKHWIPFCNKFDVEPRSPNAFFFKACHFSSSDLSISKEWSQMKDMYEKMINRIDFVVTKGNVPQELKKHQGFYKWTSNMTSNNHDAIIQILVDGNGEISVDIEKNLLPRVIYMAREKRPQHHHNFKAGSMNALLRVSSKISNGPIILNVDCDMYSNNSQSIKEALCFFLDEEKGHETGFVQYPQYFDNISKNDLYDNSLNVLNKIDLLGLESWGGTAYMGTGCFHRREALQGRKYSKDYIEDWKKDITSVENATVLEEKVKHLASCTFEHNTLWGKEVSLITPILF >DRNTG_25975.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001342.1:42681:43901:-1 gene:DRNTG_25975 transcript:DRNTG_25975.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQESSLTTHETSSTDIYSSHSSNIMGSARAAPAKAPNTHYKVKRI >DRNTG_16243.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5951758:5953080:-1 gene:DRNTG_16243 transcript:DRNTG_16243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHVQNPVRPARTLGSLTRAVRRGPENQGIDGNGNGYDFTTKLLHLAWHPTENSLACAAANSLYMYYA >DRNTG_23774.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14251253:14252949:1 gene:DRNTG_23774 transcript:DRNTG_23774.2 gene_biotype:protein_coding transcript_biotype:protein_coding KKILQRKKKPFHQQKASKELMASSDTQMVSVYAS >DRNTG_23774.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14247081:14252949:1 gene:DRNTG_23774 transcript:DRNTG_23774.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERERERERERRRVMSGRVKRKSDERRGRVGE >DRNTG_22505.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001243.1:86967:88685:1 gene:DRNTG_22505 transcript:DRNTG_22505.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSFCQNRIVRLPPGFRFHPTDEELVDQYLKRKALGLTLPSFIIPEIDLSEHDPWDLPGPMEQEKYFFNLREAKYRTGSRNNRKTISGYWKATGRDKPVVISKNNQVVGMKKVLVFYKGKPLHGSKTIWIMHEYRLNSGLIDNVRPIIE >DRNTG_22505.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001243.1:86967:88744:1 gene:DRNTG_22505 transcript:DRNTG_22505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSFCQNRIVRLPPGFRFHPTDEELVDQYLKRKALGLTLPSFIIPEIDLSEHDPWDLPGPMEQEKYFFNLREAKYRTGSRNNRKTISGYWKATGRDKPVVISKNNQVVGMKKVLVFYKGKPLHGSKTIWIMHEYRLNSGLIDNMNSYDWVLCRIFMKKRATKMEGKSYIDFVRPCSSPESSCVTDVGGDLDDTSSRNIA >DRNTG_22505.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001243.1:86967:88685:1 gene:DRNTG_22505 transcript:DRNTG_22505.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSFCQNRIVRLPPGFRFHPTDEELVDQYLKRKALGLTLPSFIIPEIDLSEHDPWDLPGPMEQEKYFFNLREAKYRTGSRNNRKTISGYWKATGRDKPVVISKNNQVVGMKKVLVFYKGKPLHGSKTIWIMHEYRLNSGLIDNMNSYDWVLCRIFMKKRATKMEGKSYIDFVRPCSSPESSCVTDVGGDLDDTSSRNIA >DRNTG_22879.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001252.1:15711:19198:-1 gene:DRNTG_22879 transcript:DRNTG_22879.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTKVDKEKPRKPEGVKHVAFATDKVKEATAPANYSKPKPLSLGDGSEPPMPVSSLQKKMSKKYSFRRDKVMKIFKDALKVGLQLPESKRPEEADKKDHPNFCPYHIILGHTLEDCNDFKSWLHKVLKSGVINLPEECFEMSSTCYALIIKGRLLEQARGTKDKGKEKVIDVNEDIVQPRKTSTSKTQDYNITAHLKKILAQLSVFDALMMSQELRDTLIHVLQNPEEYQAYFAKAHLTEALYTFGSLAINFSENALLLGTKEHNRPLCVTGSCDGTRVNQILIDLGSSVTQKKVSFLPLKPHFGSDTESSEEGKDHEDIQITHSTACHHKRSRHFESDGSDGEEISFLPIKKEDDDDDDDDDKVPVESSLYVTRIPEGLYKELDELHVNSVERLITFYVPSRHQEERGILFYKSDPNKSNDEVILVSKSMDDEEPWPLRIPDYYPPKLRKLIKQAGISLKHPKDRHSLFERLWHPFKIAM >DRNTG_20735.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001143.1:19311:20523:-1 gene:DRNTG_20735 transcript:DRNTG_20735.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANSLRSYLICIHNTLDAAMCLQDHPRNSVESCYL >DRNTG_13522.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21992321:21995530:-1 gene:DRNTG_13522 transcript:DRNTG_13522.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADPERHDEVAETTAEDEDTGAQVAPIVRLEEVAVTTGEEDEDALLDLKAKLYRFDKDGNQWKERGTGNVKLLKHKETGKVRLVMRQAKTLKICANHLVVPGIKMQEHAGNDKSCVWHATDFSDGELKDEMFAIRFGSVDNCKKFMEMVETIVESLENKEEKDSEEATSAAGLLEKLNVSETKSDKETGEAPAAKTEEEKPDTTKTEEEKPDTEKKDELSAAYSTP >DRNTG_08683.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4867436:4868550:1 gene:DRNTG_08683 transcript:DRNTG_08683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHHQLLSCELLEVN >DRNTG_16031.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:361609:362328:1 gene:DRNTG_16031 transcript:DRNTG_16031.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLTTHAHLDKKTRLRWAWACFNRFRAPSWPLRNVMPSQRPVCLSYSSTREDYRVLSYPKRPRPVSQAVDSGLPPVSRSPRLSSSCSLPEVPLRLFRAHFKN >DRNTG_07154.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19987205:19990276:-1 gene:DRNTG_07154 transcript:DRNTG_07154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFKVYGFISMKQALFFLQDFKLGHYMKIPPRTMFMAQVVGTLISAMVHLGTAWWLMDSIPNICDRAKLSPDNPWTCPGDHVFYDASVIWGLIGPRRIFGDLGSYSAINWFFLIGAVLPVLVWIAHKAFPTQKWIRLITVPIMISALGEMPPATAVNYTTWITIGFLFGFILYRYRRDWWQRHNYVLSGSLDAGLAFMAVLLYLCLEFEGIKINWWGNKLDGCTLASCPTAEGITVDYCPISKKISA >DRNTG_07154.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19987205:19990161:-1 gene:DRNTG_07154 transcript:DRNTG_07154.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISCILLSFLNQFFWYRKEPLSITSISAQIAVVPLGHLLASALPRRVFFAGSQLEFTLNPGPFNIKEHVLITIFANSGAGSVYAVHIISAVKIFYKKNITFFLSFVIIITTQVLGYGWAGLFRSYLVEPAAMWWPYNLVQVSLFRALHEKEQRRKGYLTRNQFFVIAFISSFAYYVFPGYLFKMLTSLSWICWVFPESVLAHQLGSGMHGLGVAAIGLDWSTVCAYLDSPLASPWFATANVATGFFLLVYVITPITYWLNVYNAKTFPIFSDELFTSSGLKYNITEIIDANLQLDVNAYQNKGPLYLSTFFVMTYGIGFASLTATIVHVLLFHGREVWQMSKSAFREKNKDVHTMLMRKYKQVPQWWFLSILVCNVALTIFACEYYIDELQLPWWGVLLACALALFFTLPIGILKATTNQTPGLNVITEYIIGYIYPGRPVANMCFKVYGFISMKQALFFLQDFKLGHYMKIPPRTMFMAQVVGTLISAMVHLGTAWWLMDSIPNICDRAKLSPDNPWTCPGDHVFYDASVIWGLIGPRRIFGDLGSYSAINWFFLIGAVLPVLVWIAHKAFPTQKWIRLITVPIMISALGEMPPATAVNYTTWITIGFLFGFILYRYRRDWWQRHNYVLSGSLDAGLAFMAVLLYLCLEFEGIKINWWGNKLDGCTLASCPTAEGITVDYCPISKKISA >DRNTG_07154.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19987205:19990161:-1 gene:DRNTG_07154 transcript:DRNTG_07154.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISCILLSFLNQFFWYRKEPLSITSISAQIAVVPLGHLLASALPRRVFFAGSQLEFTLNPGPFNIKEHVLITIFANSGAGSVYAVHIISAVKIFYKKNITFFLSFVIIITTQVLGYGWAGLFRSYLVEPAAMWWPYNLVQVSLFRALHEKEQRRKGYLTRNQFFVIAFISSFAYYVFPGYLFKMLTSLSWICWVFPESVLAHQLGSGMHGLGVAAIGLDWSTVCAYLDSPLASPWFATANVATGFFLLVYVITPITYWLNVYNAKTFPIFSDELFTSSGLKYNITEIIDANLQLDVNAYQNKGPLYLSTFFVMTYGIGFASLTATIVHVLLFHGREVWQMSKSAFREKNKDVHTMLMRKYKQVPQWWFLSILVCNVALTIFACEYYIDELQLPWWGVLLACALALFFTLPIGILKATTNQTPGLNVITEYIIGYIYPGRPVANMCFKVYGFISMKQALFFLQDFKLGHYMKIPPRTMFMAQVVGTLISAMVHLGTAWWLMDSIPNICDRAKLSPDNPWTCPGDHVFYDASVIWGLIGPRRIFGDLGSYSAINWFFLIGAVLPVLVWIAHKAFPTQKWIRLITVPIMISALGEMPPATAVNYTTWITIGFLFGFILYRYRRDWWQRHNYVLSGSLDAGLAFMAVLLYLCLEFEGIKINWWGNKLDGCTLASCPTAEGITVDYCPISKKISA >DRNTG_07154.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19987167:19988559:-1 gene:DRNTG_07154 transcript:DRNTG_07154.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSAFREKNKDVHTMLMRKYKQVPQWWFLSILVCNVALTIFACEYYIDELQLPWWGVLLACALALFFTLPIGILKATTNQTPGLNVITEYIIGYIYPGRPVANMCFKVYGFISMKQALFFLQDFKLGHYMKIPPRTMFMAQVVGTLISAMVHLGTAWWLMDSIPNICDRAKLSPDNPWTCPGDHVFYDASVIWGLIGPRRIFGDLGSYSAINWFFLIGAVLPVLVWIAHKAFPTQKWIRLITVPIMISALGEMPPATAVNYTTWITIGFLFGFILYRYRRDWWQRHNYVLSGSLDAGLAFMAVLLYLCLEFEGIKINWWGNKLDGCTLASCPTAEGITVDYCPISKKISA >DRNTG_07154.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19987167:19987944:-1 gene:DRNTG_07154 transcript:DRNTG_07154.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLGTAWWLMDSIPNICDRAKLSPDNPWTCPGDHVFYDASVIWGLIGPRRIFGDLGSYSAINWFFLIGAVLPVLVWIAHKAFPTQKWIRLITVPIMISALGEMPPATAVNYTTWITIGFLFGFILYRYRRDWWQRHNYVLSGSLDAGLAFMAVLLYLCLEFEGIKINWWGNKLDGCTLASCPTAEGITVDYCPISKKISA >DRNTG_07154.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19987205:19990161:-1 gene:DRNTG_07154 transcript:DRNTG_07154.4 gene_biotype:protein_coding transcript_biotype:protein_coding MISCILLSFLNQFFWYRKEPLSITSISAQIAVVPLGHLLASALPRRVFFAGSQLEFTLNPGPFNIKEHVLITIFANSGAGSVYAVHIISAVKIFYKKNITFFLSFVIIITTQVLGYGWAGLFRSYLVEPAAMWWPYNLVQVSLFRALHEKEQRRKGYLTRNQFFVIAFISSFAYYVFPGYLFKMLTSLSWICWVFPESVLAHQLGSGMHGLGVAAIGLDWSTVCAYLDSPLASPWFATANVATGFFLLVYVITPITYWLNVYNAKTFPIFSDELFTSSGLKYNITEIIDANLQLDVNAYQNKGPLYLSTFFVMTYGIGFASLTATIVHVLLFHGREVWQMSKSAFREKNKDVHTMLMRKYKQVPQWWFLSILVCNVALTIFACEYYIDELQLPWWGVLLACALALFFTLPIGILKATTNQVL >DRNTG_01795.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000095.1:23642:30346:-1 gene:DRNTG_01795 transcript:DRNTG_01795.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLERSPTGVQKISTPVRQTHRGRRTALWLPGAAARPCVFSGWREQPAKFRNGVRKLPTPVWGSQGHPQGRVHAPVCSQENLPNSAGIHTPMWKLTTGVRQSHGRPQGQPHAPRVRVLSTSHSEASL >DRNTG_27827.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20150580:20156106:-1 gene:DRNTG_27827 transcript:DRNTG_27827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGTAAAAAPRSRQAAGRGLDDENLVFDTTPGVEAITSFDQMGIRDDLLRGIYAYGFEKPSAIQQRAILPIVSGRDVIAQAQSGTGKTSMIALSVCQMVDTASREVQALILSPTRELAQQTEKVILAIGDYINVQAHACIGGKSIGEDIRKLEYGVHVVSGTPGRVCDMIKRRTLRTRSIKLLILDESDEMLSRGFKDQIYDVYRYLPPELQVVLISATLPHEILEITSKFMTEPVRILVKRDELTLEGIKQFFVAVEREEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTEKMRSNNFTVSAMHGDMPQKERDAIMSEFRSGVTRVLITTDVWARGIDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVRNDDIRILRDIEQYYSTQIDEMPMNVADLI >DRNTG_11565.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8000827:8005168:-1 gene:DRNTG_11565 transcript:DRNTG_11565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGAANSDDAFYRYKMPKMITKIEGRGNGIKTNVVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGVSLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEIIITKTQMLNLKCAACGFISDVDMRDKLTTFILKNPPEVKKGTKDKKALRRAEKERLKEGEAADEEQKKLKKEVKKKGAVASKNGTSSKATTAPSKKKAGAGSDEDHHSSSPNGSQAGDNDNDDDGDDVQWQTDTSLEAARQRIQEQLSAVTAEMVMLSTDEAKQEKKLPPKAEKEHPTNGNAESKVSEADNSVQANNYDNLLAEIKGNLKKGSSPSQLKTALSSLPGSPVEVMNALFEALFDGAGKGFAKEVTKKKNYLTAFVQDEESQMLLLGAVESFCQKASADAVKEVALALKVLYDIDALEEDSIIQWYEAGLVGDNKNLPVWKNVKPFVHWLQSAESESEEE >DRNTG_10597.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7414018:7414431:-1 gene:DRNTG_10597 transcript:DRNTG_10597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKSMTLEPAARLLKVLKKNNPNRLKIKSKRRREEFTVKLAMLLIKSMRQEPPAWLLKVMRKNNGFNPCFFGDKIITDSDINQNKFIFHGYHVAIFILPELMKHELIAANLITCVDNQNGLEVDLYAMNEWRSKVI >DRNTG_04202.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7238438:7239755:-1 gene:DRNTG_04202 transcript:DRNTG_04202.1 gene_biotype:protein_coding transcript_biotype:protein_coding GEVGSSSSPLVPWKLEGREASAKGKGLVEG >DRNTG_31539.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001717.1:113305:117516:1 gene:DRNTG_31539 transcript:DRNTG_31539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNAFPPGFILAIISTDCKALLSSFKNINIQFVRRSANISTHALAKAVDGTTDLVEWFSTPPHFLMHVLLAENLTGGLERHALTLHLTLTRRGHQVHIFTTTTTTTTAHPTPSPTQTTRTSLP >DRNTG_27121.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1782571:1786716:1 gene:DRNTG_27121 transcript:DRNTG_27121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDCKLSEIPSIMPRSPPPRRSLPVPAKRQPTKKTRDLPDIFYCHGCGNRFPSDGHIDRLHPLPSQWRVVLLCRSCLGIVNSGSCCSYCFSPFSPSELEDCIETRTCRRCRCRVHLTCVPHQRSFVSQGELDPDSFTCIDCCAVPKFKFRNPRVGPSDQIFSRLSLEDLVKNAEKKAEAAVKAKDIALKKAVAAKCAAEKAKNALGVLLVSNGTRETVKDGALNDEELALRLHLAMNGSQRLSRNLRPRNSRVLLDAKRSRHGKDSESGSNRFGGKVELCTEDNKFFESMENSRLDSEKREQEVVSEGSENNTVAMVKVDEETCSDIVNTDVKLLEQKCVLASPESDARVGENSAVSLRIERSSSPQRYMKKYSKRNLKGTIDRKEKLALLF >DRNTG_27121.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1782571:1786571:1 gene:DRNTG_27121 transcript:DRNTG_27121.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDCKLSEIPSIMPRSPPPRRSLPVPAKRQPTKKTRDLPDIFYCHGCGNRFPSDGHIDRLHPLPSQWRVVLLCRSCLGIVNSGSCCSYCFSPFSPSELEDCIETRTCRRCRCRVHLTCVPHQRSFVSQGELDPDSFTCIDCCAVPKFKFRNPRVGPSDQIFSRLSLEDLVKNAEKKAEAAVKAKDIALKKAVAAKCAAEKAKNALGVLLVSNGTRETVKDGALNDEELALRLHLAMNGSQRLSRNLRPRNSRVLLDAKRSRHGKDSESGSNRFGGKVELCTEDNKFFESMENSRLDSEKREQEVVSEGSENNTVAMVKVDEETCSDIVNTDVKLLEQKCVLASPESDARVGENSAVSLRIERSSSPQRYMKKYSKRNLKGTIDRKEKLALLF >DRNTG_27121.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1782571:1785025:1 gene:DRNTG_27121 transcript:DRNTG_27121.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDCKLSEIPSIMPRSPPPRRSLPVPAKRQPTKKTRDLPDIFYCHGCGNRFPSDGHIDRLHPLPSQWRVVLLCRSCLGIVNSGSCCSYCFSPFSPSELEDCIETRTCRRCRCRVHLTCVPHQRSFVSQGELDPDSFTCIDCCAVPKFKFRNPRVGPSDQIFSRLSLEDLVKNAEKKAEAAVKAKDIALKKAVAAKCAAEKAKNALGVLLVSNGTRETVKDGALNDEELALRLHLAMNGSQRLSRNLRPRNSRVLLDAKRSRHGKDSESGSNRFGGKVELCTEDNKFFESMENSRLDSEKREQEVVSEGSENNTVAMVKVDEETCSDIVNTDVKLLEQKCVLASPESDARVGENSAVSLRIERSSSPQRYMKKYSKRNLKGTIDRKEKLALLF >DRNTG_27121.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1782571:1784149:1 gene:DRNTG_27121 transcript:DRNTG_27121.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDCKLSEIPSIMPRSPPPRRSLPVPAKRQPTKKTRDLPDIFYCHGCGNRFPSDGHIDRLHPLPSQWRVVLLCRSCLGIVNSGSCCSYCFSPFSPSELEDCIETRTCRRCRCRVHLTCVPHQRSFVSQGELDPDSFTCIDCCAVPKFKFRNPRVGPSDQIFSRLSLEDLVKNAEKKAEAAVKAKDIALKKAVAAKCAAEKAKNALGVLLVSNGTRETVKDGALNDEELALRLHLAMNGSQRLSRNLRPRNSRVLLDAKRSRHGKDSESGSNRFGGKVELCTEDNKFFESMENSRLDSEKREQEVVSEGSENNTVAMVKVDEETCSDIVNTDVKLLEQKCVLASPESDARVGENSAVSLRIERSSSPQRYMKKYSKRNLKGTIDRKEKLALLF >DRNTG_20615.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20677271:20681256:-1 gene:DRNTG_20615 transcript:DRNTG_20615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQRSSVDGLMQRIGLLRVSVSSEATMAMTSTATISTVLPSGITGLSRLLAGVNYSMAKLCFETPAWFALLVLRKLRECSILWVALVSVYFTWTLDSTSSSLVNIATSTSGGKKGKKMIRTEDEEADVAVNMEEKCTSGEITDVVASEGEDDVESDVHVTEF >DRNTG_06270.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:27561:28533:1 gene:DRNTG_06270 transcript:DRNTG_06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEGLSEHMESYRSFFLQPKSHSQTNPDVPLRRIERATKRTVQFTDFHHG >DRNTG_12449.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20985903:20988193:1 gene:DRNTG_12449 transcript:DRNTG_12449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVERARLLSLALELGFEEEAATRCLDRLIDLYGEDGKDFVTVEHCGDDYLAALADAMQDTEDWDDLQAIESEACGALNNILEKEGPQGFEKDCRIALGGYGFGKNDSFTRHQYQEKLGSSINYEDSDVEILSQNDISNDHSAKLNGNEGRVLPQSVDKPFSKSKKACGSNSGNEPFSAYSGAGACSSSSQIRNETLGYNELQALDDVELANVVIFGNKAFRPLQHQACKAAVEKRDCFVLMPTGGGKSLCYQLPATLHPGVTVVICPLLSLIQDQIVTLISKYG >DRNTG_03349.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18128474:18130054:1 gene:DRNTG_03349 transcript:DRNTG_03349.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQRHGVNLPLQQILSSKQKRKSFNTKQSGTVV >DRNTG_06789.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19785553:19786218:-1 gene:DRNTG_06789 transcript:DRNTG_06789.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRLGMREAMVVFDRMKEDGLEPDEVAYGVVVNCFCKNRSLIDGFGKVAMVRDAQKMLMGRREWLTKLGICLREWRRKDVIIDQTVYTYTILIDGLFRKRKNEEALKVWNMMIDRGITPSLAASFIALSTGLCLSGKFPGLVEYWMSWMS >DRNTG_33773.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:31849:34077:1 gene:DRNTG_33773 transcript:DRNTG_33773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEMASVAGFWTDDDRAMAVAVLGPQAFDYLTASHVPPDGLFTAVGSDADLQTKLVDLVEGSAGWTSAIFWQISRPRSGGDLVLGWGDGYCREADDDAAGANDDGLQRMRKRVLQRLHAAFGGGATDDENYALRLDRVTDAEMFFLASMYFSFPRGDGAPGRAFLSGKHVWILDPSDYCVRASLARSAGFRTIVLVPFETGVLELGSVKVIRESLEGLQAIRSVFTPVMNERKDERPSWEISQASNGPMLNGGRKGLQQAMNWNHPQRFSNGVVVMGNEMDSSNRAAGVLGHHHSNGASQFPAQKQQTPQQLPRQIDFSGGASSRMGFSIARMGAMESENSDGKALCKEERPVVIEEQRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAISYITELQKKVKEMESERVHFSDPSMLEHRRLVQCPDIDVEALQDEVVVRVSCPLNSHPISKVIQAFNESQINVTDSKILVGNDSVLHTFAVKSPGSEQLTREKLIAAISHEMNS >DRNTG_10996.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18841068:18844857:1 gene:DRNTG_10996 transcript:DRNTG_10996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPIGVLGAFGHQQRGRERPTVPSVDYSAIGGPGETSPKHEREREREMNSDIPTAAEGVILHLSS >DRNTG_18386.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000946.1:110341:111147:-1 gene:DRNTG_18386 transcript:DRNTG_18386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLSLLLLLSFSLSISGNKFTVGDEKGWNPGVNYTTWVNKHKPFYLGDWLVFYYQSGMADVVQVDETSYEKCDASNPIRNYSKGRSYAFELNETKHYYFICSYGYCYQGMKVAINVQKLPPPSPPPSHPSAAPFSLLYSSSFSAAVAGLFSAVAAAGILLLR >DRNTG_24391.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2698096:2700091:-1 gene:DRNTG_24391 transcript:DRNTG_24391.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGWDSLIFCFYRTLGTRKRESWRQPCGIAAAAKNSRRRPPLFPSTSRFLSSEVPFRRALKMIPRWDPSCSLSRTPRVGDLRSRPRGPNSSSSARLEHESVVLLLHQTNASLHGGKV >DRNTG_24391.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2698096:2698869:-1 gene:DRNTG_24391 transcript:DRNTG_24391.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAACVAASKEACVSFSNEKCLPVFRDARIACKSWEGVYLVYAPAEHANLKPNCEQEENSSDSSESNSESTNYRASVLMENFTCILENVQK >DRNTG_24391.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2698919:2700091:-1 gene:DRNTG_24391 transcript:DRNTG_24391.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALWDSGGGEEFSPSATVVPFDQPVPLLRGPVPAGPEDDPSVGPFVLAFKDAASWRSALEATRSKLIEQCQV >DRNTG_24391.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2698056:2700091:-1 gene:DRNTG_24391 transcript:DRNTG_24391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGWDSLIFCFYRTLGTRKRESWRQPCGIAAAAKNSRRRPPLFPSTSRFLSSEVPFRRALKMIPRWDPSCSLSRTPRVGDLRSRPRGPNSSSSARLEHESVVLLLHQTNASLHGGKV >DRNTG_24391.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2698919:2699988:-1 gene:DRNTG_24391 transcript:DRNTG_24391.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRWDPSCSLSRTPRVGDLRSRPRGPNSSSSARYDLSMRSMNLWFRFLLEDEVWFCLVIKDAVFDVHCCCFFAVILFC >DRNTG_24391.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2698056:2699988:-1 gene:DRNTG_24391 transcript:DRNTG_24391.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAACVAASKEACVSFSNEKCLPVFRDARIACKSWEGVYLVYAPAEHANLKPNCEQEENSSDSSESNSESTNYRASVLMENFTCILENVQK >DRNTG_24391.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2698096:2699988:-1 gene:DRNTG_24391 transcript:DRNTG_24391.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAACVAASKEACVSFSNEKCLPVFRDARIACKSWEGVYLVYAPAEHANLKPNCEQEENSSDSSESNSESTNYRASVLMENFTCILENVQK >DRNTG_18245.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15168204:15170677:1 gene:DRNTG_18245 transcript:DRNTG_18245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEICYFDEREVSIRVRTPRIKRVDREQTNRVRSPDLCYSDSATVNATVLNYTDFAIVLIYKNRLE >DRNTG_24292.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21236436:21238042:-1 gene:DRNTG_24292 transcript:DRNTG_24292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGNDVIVNDNEEVGQIVYIGADNEKKEVEYHFEILDCVNEDCACERENFQGDLLVSCSFQAENTLEEANPKVMEQASIFGIDQLINCKKEILGLEEDVEAAKFSKCPEKTHARVEVLHRRAFSLEAHPETAQGRGLTPLSNLVMVHARVEFLHGRVFPSRDIELFSEKTQGRGLTPMDNSVNKHMGVGNFRTPVWFSVENFSSIPRRHKGKPQGRASALVCWAHERGNFSYARVNAFRSIRCHPEKPHDRASAPVGLSCGVTR >DRNTG_28484.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8597460:8600511:-1 gene:DRNTG_28484 transcript:DRNTG_28484.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRSMLQRIVPFVNARIRWSHRLLSMSAVESSSSSPDGEEAVHMTDNCIRRLKELHAKGDYGKMLRLSVETGGCSGFQYAFLLDNEKNLDDRFVFDLFGEFEFPYVSLQLAIKFTIIYFKHRKHSIHMDCLHRRAGFLRRMVSN >DRNTG_28484.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8597460:8600511:-1 gene:DRNTG_28484 transcript:DRNTG_28484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRSMLQRIVPFVNARIRWSHRLLSMSAVESSSSSPDGEEAVHMTDNCIRRLKELHAKGDYGKMLRLSVETGGCSGFQYAFLLDNEKNLDDRVFEKDGVELVVDNISYDFVKGATVDYVEELIRSAFQVVTNPSAVGGCSCKSSFMVK >DRNTG_30924.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26021440:26024723:-1 gene:DRNTG_30924 transcript:DRNTG_30924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSRWIFKIFTFLIIAISKLQALPNNSTVCGVNFGRYPYIPAGECVNKEDSKIIVWEHVQTLRCCRNSLESITQALALFSTGNRHIFLPEDQWLACESQFHSQGNYSFSSCGFDILYQGKGKCSDLTLDYFKIKYGNFYNPVLENCSQFNMLDFYTQCSGCVEGISSLIDHVTSDLQVQGNDFEEGICSIATIVAVVSSGITNDTWVRDLYTCLPAMGTGDPHADDQYLKIKYSDIKAVLVAIVGIIGMVLLIILIKYVVKSSGKPIKGKIVSKWSGLYRFSKAEIEKAINYGNNKVCLGAGSAGRVYQGVLPSGQLVAVKHIYKTAMPDSFNREVEGLWKIRHPNLVSLFGCCIEDGEQYLVYEYCSNGNLAHNLLRGDAVLPWDTRVKILRNCALALRFLLTHPDGCIVHRDIKLTNILLTEDMEPKLSDFGLAKLIGMEESKVFTDVRGTIGYMDPEYMTNAKITCASDIYSFGIVTLQVLSGRKVIELDINARDRLTKKAKDVVMGKRPLEDFIDPRLNGELDVKDFESILRIAVLSVASSSKGRPTIKDVFDEMNKAFKNTLQDKNVSPMNDQPSVSPSTVAAQPEVISGSRSLEVIEV >DRNTG_30924.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26021440:26023543:-1 gene:DRNTG_30924 transcript:DRNTG_30924.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLIILIKYVVKSSGKPIKGKIVSKWSGLYRFSKAEIEKAINYGNNKVCLGAGSAGRVYQGVLPSGQLVAVKHIYKTAMPDSFNREVEGLWKIRHPNLVSLFGCCIEDGEQYLVYEYCSNGNLAHNLLRGDAVLPWDTRVKILRNCALALRFLLTHPDGCIVHRDIKLTNILLTEDMEPKLSDFGLAKLIGMEESKVFTDVRGTIGYMDPEYMTNAKITCASDIYSFGIVTLQVLSGRKVIELDINARDRLTKKAKDVVMGKRPLEDFIDPRLNGELDVKDFESILRIAVLSVASSSKGRPTIKDVFDEMNKAFKNTLQDKNVSPMNDQPSVSPSTVAAQPEVISGSRSLEVIEV >DRNTG_30924.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26021440:26022581:-1 gene:DRNTG_30924 transcript:DRNTG_30924.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKLSDFGLAKLIGMEESKVFTDVRGTIGYMDPEYMTNAKITCASDIYSFGIVTLQVLSGRKVIELDINARDRLTKKAKDVVMGKRPLEDFIDPRLNGELDVKDFESILRIAVLSVASSSKGRPTIKDVFDEMNKAFKNTLQDKNVSPMNDQPSVSPSTVAAQPEVISGSRSLEVIEV >DRNTG_30924.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26021440:26024385:-1 gene:DRNTG_30924 transcript:DRNTG_30924.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFYTQCSGCVEGISSLIDHVTSDLQVQGNDFEEGICSIATIVAVVSSGITNDTWVRDLYTCLPAMGTGDPHADDQYLKIKYSDIKAVLVAIVGIIGMVLLIILIKYVVKSSGKPIKGKIVSKWSGLYRFSKAEIEKAINYGNNKVCLGAGSAGRVYQGVLPSGQLVAVKHIYKTAMPDSFNREVEGLWKIRHPNLVSLFGCCIEDGEQYLVYEYCSNGNLAHNLLRGDAVLPWDTRVKILRNCALALRFLLTHPDGCIVHRDIKLTNILLTEDMEPKLSDFGLAKLIGMEESKVFTDVRGTIGYMDPEYMTNAKITCASDIYSFGIVTLQVLSGRKVIELDINARDRLTKKVYVLESFNLCITEIDKMRFSIYMNAYQMQAKDVVMGKRPLEDFIDPRLNGELDVKDFESILRIAVLSVASSSKGRPTIKDVFDEMNKAFKNTLQDKNVSPMNDQPSVSPSTVAAQPEVISGSRSLEVIEV >DRNTG_06646.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1702987:1703627:1 gene:DRNTG_06646 transcript:DRNTG_06646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAALRQPWTTLCTIMAADTSNPSYRACSLCDRPLPDSPSSSPCPLCIRHSSAAGSHRLFRLLISIATSDKVLIVICFDRATRAIFGGSADEFFDLCELHPDAVWMAGEMMVGEICRVTLRRPTNGNAEHLRAVSLVPLRAEFRPVIARLRSLYRAPSH >DRNTG_26304.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21323675:21328807:1 gene:DRNTG_26304 transcript:DRNTG_26304.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Anthranilate synthase beta chain [Source: Projected from Oryza sativa (Os03g0718000)] MGLQCIGEAFGGKVVRSPFGVVHGKSSPVYYDEKLDDHLFSGLPNPFTAARYHSLVIEKDSFPSDVLEITAWTEDGLIMAARHKKYKHILGVQFHPESIITTQGKAMVHNFIKLIERQEAKGSGSGSGSGSENLKC >DRNTG_26599.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19961049:19964742:1 gene:DRNTG_26599 transcript:DRNTG_26599.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein unc-13 homolog [Source:Projected from Arabidopsis thaliana (AT5G06970) UniProtKB/Swiss-Prot;Acc:Q8RX56] MEEDNALELLQRYRRDRRVLLSFILSGSLVKKVILPPGAVSLEDVDIDQVSIDYVLNCVKKGEMLDLSEAIRLYHDSLDYPSMSNTGLWGDFFLVTRPETSGLAPSRAPPPVPISTMSPIISNLSRSESLHSPPHQELTVDDIEDFEDDEEDDEAVSSLRSSRQQSSEAAELSLLLPLFSTGVTDDDLRETAYEILVACAGAAGGLIVPSKEKKKERRSRLMRKLARVKGENATSSSGHVSGMIGLLETMRAQLEAGVFFFALIETFYCLLIIIFYSLYFLLYIVDNYYHQYYNQE >DRNTG_26599.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19965112:19978381:1 gene:DRNTG_26599 transcript:DRNTG_26599.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein unc-13 homolog [Source:Projected from Arabidopsis thaliana (AT5G06970) UniProtKB/Swiss-Prot;Acc:Q8RX56] MDIRTRQGLLNALVGRVGKRMDNLLVPLELLCCVARTEFSDKKAYIRWQKRQLNLLEEGLINHPVSGFAELGQKSNEFRNLLRKIEESESLPPAACEMQRTECLRSLRELAAALAERPARGDLTGEVCHWADGYHLNIRLYEKMLWSVFDVLDEGKLTEEVEEILELLRSTWRILGVTETIHDTCYSWVLFRQFVITGEHNLIQYAIEHLRKIPLKEQRGPQENLHLKGLRCTVESEGRSQSLTFLQSFLMPIQKWADKKLRDYHLHFSEGSTMVGEIVTVAMITRRLLLEESEKATFLTDEDQIEAYVSSSIKSAFARIMHATDAKSESTHEHMLALLAEETKKLLKKDSTIFLPILSKWHSQAAVTSSSLLHKLYGNKLRPFLDHAEHLTEDVVSVFPAADSLEQYIFAVIANACGEDVLDDYCRGKITSYQVENKSGELVIRWVNAQLRRIEDWVKRTIQQETWDAISPQQRHGVSIVEVYRIIEETVDQFFALRVPMRVGELQWLHQGLDKAFQVYTLGVVAKIVSKEDLIPPVPPLTRYRKEVGIRAFVADLRSTEERRSHQAVDLRLSDERFHQIDALSTQSLCVRLNTLYYAITHLSKLEDSIHERWIRKKHENSNINRSMNGKSRSNVAQQKIAFDGSRKDINAAIDRICEFTGTKIIFWDLRGPIIDNLYKPNVSESRLEVLMDAFDEVLNVLCGVIVEPLRDRIVTGLLQASVDGLLRVILDGGPSRVFTPNDAKLLEEDLEILKEFFISGGDGLPRGTVENSVAHVRPVINLHSFETRVLVDDLRAVTQGSKSRFGADSKTLLRILCHRADSEASQFLKKQFKIPKSAG >DRNTG_26599.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19961049:19978381:1 gene:DRNTG_26599 transcript:DRNTG_26599.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein unc-13 homolog [Source:Projected from Arabidopsis thaliana (AT5G06970) UniProtKB/Swiss-Prot;Acc:Q8RX56] MEEDNALELLQRYRRDRRVLLSFILSGSLVKKVILPPGAVSLEDVDIDQVSIDYVLNCVKKGEMLDLSEAIRLYHDSLDYPSMSNTGLWGDFFLVTRPETSGLAPSRAPPPVPISTMSPIISNLSRSESLHSPPHQELTVDDIEDFEDDEEDDEAVSSLRSSRQQSSEAAELSLLLPLFSTGVTDDDLRETAYEILVACAGAAGGLIVPSKEKKKERRSRLMRKLARVKGENATSSSGHVSGMIGLLETMRAQLEISESMDIRTRQGLLNALVGRVGKRMDNLLVPLELLCCVARTEFSDKKAYIRWQKRQLNLLEEGLINHPVSGFAELGQKSNEFRNLLRKIEESESLPPAACEMQRTECLRSLRELAAALAERPARGDLTGEVCHWADGYHLNIRLYEKMLWSVFDVLDEGKLTEEVEEILELLRSTWRILGVTETIHDTCYSWVLFRQFVITGEHNLIQYAIEHLRKIPLKEQRGPQENLHLKGLRCTVESEGRSQSLTFLQSFLMPIQKWADKKLRDYHLHFSEGSTMVGEIVTVAMITRRLLLEESEKATFLTDEDQIEAYVSSSIKSAFARIMHATDAKSESTHEHMLALLAEETKKLLKKDSTIFLPILSKWHSQAAVTSSSLLHKLYGNKLRPFLDHAEHLTEDVVSVFPAADSLEQYIFAVIANACGEDVLDDYCRGKITSYQVENKSGELVIRWVNAQLRRIEDWVKRTIQQETWDAISPQQRHGVSIVEVYRIIEETVDQFFALRVPMRVGELQWLHQGLDKAFQVYTLGVVAKIVSKEDLIPPVPPLTRYRKEVGIRAFVADLRSTEERRSHQAVDLRLSDERFHQIDALSTQSLCVRLNTLYYAITHLSKLEDSIHERWIRKKHENSNINRSMNGKSRSNVAQQKIAFDGSRKDINAAIDRICEFTGTKIIFWDLRGPIIDNLYKPNVSESRLEVLMDAFDEVLNVLCGVIVEPLRDRIVTGLLQASVDGLLRVILDGGPSRVFTPNDAKLLEEDLEILKEFFISGGDGLPRGTVENSVAHVRPVINLHSFETRVLVDDLRAVTQGSKSRFGADSKTLLRILCHRADSEASQFLKKQFKIPKSAG >DRNTG_26599.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19961049:19964742:1 gene:DRNTG_26599 transcript:DRNTG_26599.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein unc-13 homolog [Source:Projected from Arabidopsis thaliana (AT5G06970) UniProtKB/Swiss-Prot;Acc:Q8RX56] MSPIISNLSRSESLHSPPHQELTVDDIEDFEDDEEDDEAVSSLRSSRQQSSEAAELSLLLPLFSTGVTDDDLRETAYEILVACAGAAGGLIVPSKEKKKERRSRLMRKLARVKGENATSSSGHVSGMIGLLETMRAQLEAGVFFFALIETFYCLLIIIFYSLYFLLYIVDNYYHQYYNQE >DRNTG_18210.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1127050:1128726:1 gene:DRNTG_18210 transcript:DRNTG_18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTAEDYSLTMTTNFESALHLSQLAHPLLKASTSGSVVFISSTSTLHVYVKSALYTASKGALDQLAKHLACEWSKDNIRVNCDGPAVLKTPLIESLCLENEFMEH >DRNTG_22922.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9780261:9783413:-1 gene:DRNTG_22922 transcript:DRNTG_22922.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSICKVWVLMEGLIQTASRLVCPLSLILIWSLIFGGLLMEILLLCSLGKPISSISLSSGTTQGRPKLVPFNEELVKSTMQIYQTSYAFRNREFPIANGKALQFIYSSKRIMTRGGLTATTATTNVYRSEQFKRTMMNLQSQCCSPDEVIFGSDFHQSLYCHLLCGLIYSNEVQLVSSTFAHSIVHAFRTFEDVWKELCADIREGVLSSRITIPSMRDAVSELLKPDPELADSIYTKCTGLSNWYGVIPELWPNAKYVYGIMTGSMEPYLKKLRHYAGNLPLMSADYGSSEGWIGANVNPTLPPELATFAVLPDIGYFEFIPLEENAEQKLEQRPSTTSYAELEPVGLAEVEVGKEYEIVVTSFAGNFLYNASVFSVLLIFMVKKF >DRNTG_22922.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9780261:9783413:-1 gene:DRNTG_22922 transcript:DRNTG_22922.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGGLTATTATTNVYRSEQFKRTMMNLQSQCCSPDEVIFGSDFHQSLYCHLLCGLIYSNEVQLVSSTFAHSIVHAFRTFEDVWKELCADIREGVLSSRITIPSMRDAVSELLKPDPELADSIYTKCTGLSNWYGVIPELWPNAKYVYGIMTGSMEPYLKKLRHYAGNLPLMSADYGSSEGWIGANVNPTLPPELATFAVLPDIGYFEFIPLEENAEQKLEQRPSTTSYAELEPVGLAEVEVGKEYEIVVTSFAGLYRYRLGDVVKVAGFYNSTPELKFVCRRSLLLTINIDKNTEKDLQLAVEEAAKLLAAEKLEVVDFSSHVDVSTEPGHYVIFWELNGDACEDVLSNCCDCLDRAFVDAGYISSRKVRSIGPLELRIVQRGTFQKILDHFLSLGAAVSQFKTPRCVGLSNSKVLQILNSNVMDSYFSAAYD >DRNTG_22922.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9780261:9783413:-1 gene:DRNTG_22922 transcript:DRNTG_22922.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSICKVWVLMEGLIQTASRLVCPLSLILIWSLIFGGLLMEILLLCSLGKPISSISLSSGTTQGRPKLVPFNEELVKSTMQIYQTSYAFRNREFPIANGKALQFIYSSKRIMTRGGLTATTATTNVYRSEQFKRTMMNLQSQCCSPDEVIFGSDFHQSLYCHLLCGLIYSNEVQLVSSTFAHSIVHAFRTFEDVWKELCADIREGVLSSRITIPSMRDAVSELLKPDPELADSIYTKCTGLSNWYGVIPELWPNAKYVYGIMTGSMEPYLKKLRHYAGNLPLMSADYGSSEGWIGANVNPTLPPELATFAVLPDIGYFEFIPLEENAEQKLEQRPSTTSYAELEPVGLAEVEVGKEYEIVVTSFAGLYRYRLGDVVKVAGFYNSTPELKFVCRRSLLLTINIDKNTEKDLQLAVEEAAKLLAAEKLEVVDFSSHVDVSTEPGHYVIFWELNGDACEDVLSNCCDCLDRAFVDAGYISSRKVRSIGPLELRIVQRGTFQKILDHFLSLGAAVSQFKTPRCVGLSNSKVLQILNSNVMDSYFSAAYD >DRNTG_22922.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9780261:9783413:-1 gene:DRNTG_22922 transcript:DRNTG_22922.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSICKVWVLMEGLIQTASRLVCPLSLILIWSLIFGGLLMEILLLCSLGKPISSISLSSGTTQGRPKLVPFNEELVKSTMQIYQTSYAFRNREFPIANGKALQFIYSSKRIMTRGGLTATTATTNVYRSEQFKRTMMNLQSQCCSPDEVIFGSDFHQSLYCHLLCGLIYSNEVQLVSSTFAHSIVHAFRTFEDVWKELCADIREGVLSSRITIPSMRDAVSELLKPDPELADSIYTKCTGLSNWYGVIPELWPNAKYVYGIMTGSMEPYLKKLRHYAGNLPLMSADYGSSEGWIGANVNPTLPPELATFAVLPDIGYFEFIPLEENAEQKLEQRPSTTSYAELEPVGLAEVEVGKEYEIVVTSFAGLYRYRLGDVVKVAGFYNSTPELKFVCRRSLLLTINIDKNTEKDLQLAVEEAAKLLAAEKLEVVDFSSHVDVSTEPGHYVIFWELNGDACEDVLSNCCDCLDRAFVDAGYISSRKVRSIGPLELRIVQRGTFQKILDHFLSLGAAVSQFKTPRCVGLSNSKVLQILNSNVMDSYFSAAYD >DRNTG_04259.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9516398:9524312:-1 gene:DRNTG_04259 transcript:DRNTG_04259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDQVGPVEPTSNNITVKPTVSSSSATFAGAAGSEASSRHILTLCILQFKIWWMIQRFGNSASNVPLEMQLLLLERTKEFALDNEQMETPATMNTFYVLFLPVLDGDPDVRTSKFTEAVFINSGDNPFELMRDSIRILAKHKLTFSHVESKKIPANVDWFRWCTWDAFYTQVNRKGIMEGIQSEMVVFHLVSRILSDGGTPARFLIIDDGWQETVNEFVIEGDKVPLPISRKCTEDMMKYNPKLEHPVKSPGNIGNVRDIAIDSLEKYGGHGGRVSLSRKYQQALEESIAKNFPDNSIICCMCHNSDSIYSFKKSAVARASEDFLQTLHVASVAFNSFLLGEIVVPDWDMFHSYHSSAEFHGATRAIGGCGVYVRAKHAGRPSRDCLFKDPVMDGKSLLKIWNLNEFTGVVGIFNCQGAGN >DRNTG_28403.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:17457707:17458588:1 gene:DRNTG_28403 transcript:DRNTG_28403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNDVVVPASKPDTIPQQQEPCKDMSAVDAVVVPASKEDAAGAEHHQGSITVPHDNPDQATRDMIKANKKLDETAQKIFVPKKKKWVGQSRLNKYKQELIRIFLNCPMDSYNMVKLYLLSFNLSTVVWKNDADRTTRDKLYTLFEGMEMVTNDVMDAFV >DRNTG_18909.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3445016:3447817:-1 gene:DRNTG_18909 transcript:DRNTG_18909.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLAPLSEEPTIPCSSSSSKRSLSLRSWLKNYIPHLFLHKRSDLKLLLSVLACPLSPIAILPKHSLQVSSESQYIIHQFRATTRCSKIESGGGKNMFVAGRVKMVKMLELGLASSSNNPGEYHEGCFVMWQMDPDRWLIDLSVSGNRVMAGSDGKVAWRRTPWLSAHAARGAVRPVRRALQGLDPVTIAAAFSPAKRIEDRYIGDEECFVLKLSTDSLTLSIRSDNTAEIIKHDMIGYFSMRSGLLVQLDDSQLTRIEYPGAQALYWETIISSRIEDYREIDGVLIAHSGRSTVNLERFGVGMKTQRVSTQMEEKWTIDDVVFNVLGLSAECFIPPEEIKNT >DRNTG_18909.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3444966:3447817:-1 gene:DRNTG_18909 transcript:DRNTG_18909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYMYQRISIRLLLKVTLLEVYFSLVTANFIIQGLDPVTIAAAFSPAKRIEDRYIGDEECFVLKLSTDSLTLSIRSDNTAEIIKHDMIGYFSMRSGLLVQLDDSQLTRIEYPGAQALYWETIISSRIEDYREIDGVLIAHSGRSTVNLERFGVGMKTQRVSTQMEEKWTIDDVVFNVLGLSAECFIPPEEIKNT >DRNTG_29563.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001485.1:38875:39244:-1 gene:DRNTG_29563 transcript:DRNTG_29563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEHEGYNLPHRLLELIHQQDTQISSQPRKSTSIKTPKYHHI >DRNTG_06778.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21648447:21653924:1 gene:DRNTG_06778 transcript:DRNTG_06778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIYSFSSPCFRRTRSPSSSFVLSSPAMTKRRFSDNECDGGAGIGDRKEEVKQENSRKNGSVFEASGEEAANDDLEVEEAAKPIGMPVRVSGKGRNRNLHFESFEHYGNVYKLNDNVLLSPEHVKEKPYIAIIKDITQDVNGSVMVTGQWFYRPEEATKEGGGTWQGRDTREIYFSSHIDELPAECVMHKCVIHFIPMHKQPPIRTEYPGFIVQKFYDTLQKKLWKLNEYDLDDDVKREITRLVNETRKRLGELPDIVEDAPASVKDKLKGKFQIRNPAPPPPNALRGGMTVASSHGVKVDSPSASIPDVSKYLNILVKFKAETGVANRDKWLAKLLQVIEPSCNLKEYQSAEKSTNENGNGFGRICWPDTAVSVIAALEKASFDAFSSDFQKYNQKMRQLDFNLKGVLARRLLNKELDAAVIINMLPNELKDGFTAQEKTSKEPDVSKKMQMTDARCPRCMEKKVGVASIIQTAGHMDRYQLECNGCGRTWYTSRDAVSFLTTDSPTNTAKPN >DRNTG_06778.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21648447:21650346:1 gene:DRNTG_06778 transcript:DRNTG_06778.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIYSFSSPCFRRTRSPSSSFVLSSPAMTKRRFSDNECDGGAGIGDRKEEVKQENSRKNGSVFEASGEEAANDDLEVEEAAKPIGMPVRVSGKGRNRNLHFESFEHYGNVYKLNDNVLLSPEHVKEKPYIAIIKDITQDVNGSVMVTGQWFYRPEEATKEGGGTWQGRDTREIYFSSHIDELPAECVMHKCVIHFIPMHKQPPIRTEYPGFIVQKFYDTLQKKLWKLNEYDLDDDVKREITRLVNETRKRLGELPDIVEDAPASVKDKLKGKFQIRNPAPPPPNALRGGMTVASSHGVKVDSPSASIPDVSKYLNILVKFKAETGVANRDKWLAKLLQVIEPSCNLKEYQSAEKSTNENGNVRICFLQCQALLLEAVPFSPLWIFILSRSHG >DRNTG_06142.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25507942:25510987:1 gene:DRNTG_06142 transcript:DRNTG_06142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRSLDRVLFTPVCSPSPAPVLSWERRKRIVAGLAAALFYLHEQLDTQIIHRDVKTSNVMLDSGYNARLGDFGLARWLEHDELGLSTRSISLNNNYQFRMSETSRIGGTIGYLPPESFQKRGMATAKSDVFSFGVVVLEVATGRRAVDLAFPDEQIFMLDWVRRLADDNKTLNAADPKLRDGSYTLIGMRRFIHLGLLCSLHNPTSRPTMKWVVDVLAGDCSGELPALPSFQSHPQYISLSSSSSDTTTSTATITATLSSFVTAANTTMFLTADNGTGNGNGTGEEKSIRDVTSFPNVDTPREIPFKEILTITDNFSESHMVAELDFGTGYHGYLHGRYHVLVKRLGMRTCPALRARFSDELHNLGKLRHRNLVQLRGWCTEQGEMLVVYDYSSNASLSNLLFLPKSSVLSWQHRHHIVKNLASAILYLHEEWDEQVIHRNITSSAIFLDPDMNPRLGSFALAEFLSRNEHGHHASSSPVRGIFGYMSPEYIETGEATTMADVYSFGVVVLEVVTGMMAVDFRRPEVLLVRKVRNFEASNGTVEELVDWRLDGKCDHGELMRMVKLGIVCTRSDPDSRPSMKQIVSILDGKHDDLLTMFEGKKTEGREEWERKNASSLSSIRSIQALGIQ >DRNTG_25107.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:843849:848279:-1 gene:DRNTG_25107 transcript:DRNTG_25107.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 24 [Source:Projected from Arabidopsis thaliana (AT2G47330) UniProtKB/Swiss-Prot;Acc:O22907] MSKRKFGFEGFGINRPSTYSFERSQAPQRLYAPSHDLSDDQDLDDIAYDNPDPAPSAPDGDGEIDPLDAFMEGIHEEIRAPPPPSVQPKEKGERFKDEDEDDPVESFLRSKKDVGLALASEALHAGYNSDEEVYAAAKAVDAGLVEYDSDDNPIVVDKRKIEPILALDHSAIEYEPFNKDFYEEKPSISGMTEQDVAEYRKSLAIRVSGFDVPKPIKLFEDCGFSPALMNAIKKQGYEKPTTIQCQALPIILSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELEKEEGPIGVICAPTRELAHQIYLEAKKFSKNDGIRVAAVYGGMSKLDQLKELKTGCEIVVATPGRLIDMLKMKAVTMTRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPYKVERLAREILGDPIRVTVGEVGRANEDITQVINVLPSDAEKMPWLLEKLPEMIDDGDVLVFASKKATVDDIESKLIERNFKVAALHGDKDQASRMDILQKFKSGIYHVLVATDVAARGLDIKSIKSVVNFDIARDMDMHVHRIGRTGRAGDKDGTAYTLITQKEARFAGELVNSLVAAGQNVSSELMDLAMKDGRFKSKRDARKGSGMYYLFPSSEADL >DRNTG_25107.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:843849:848279:-1 gene:DRNTG_25107 transcript:DRNTG_25107.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 24 [Source:Projected from Arabidopsis thaliana (AT2G47330) UniProtKB/Swiss-Prot;Acc:O22907] MSKRKFGFEGFGINRPSTYSFERSQAPQRLYAPSHDLSDDQDLDDIAYDNPDPAPSAPDGDGEIDPLDAFMEGIHEEIRAPPPPSVQPKEKGERFKDEDEDDPVESFLRSKKDVGLALASEALHAGYNSDEEVYAAAKAVDAGLVEYDSDDNPIVVDKRKIEPILALDHSAIEYEPFNKDFYEEKPSISGMTEQDVAEYRKSLAIRVSGFDVPKPIKLFEDCGFSPALMNAIKKQGYEKPTTIQCQALPIILSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELEKEEGPIGVICAPTRELAHQIYLEAKKFSKNDGIRVAAVYGGMSKLDQLKELKTGCEIVVATPGRLIDMLKMKAVTMTRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPYKVERLAREILGDPIRVTVGEVGRANEDITQVINVLPSDAEKMPWLLEKLPEMIDDGDVLVFASKKATVDDIESKLIERNFKVAALHGDKDQASRMDILQKFKSGIYHVLVATDVAARGLDIKSIKSVVNFDIARDMDMHVHRIGRTGRAGDKDGTAYTLITQKEARFAGELVNSLVAAGQNVSSELMDLAMKDGRFKSKRDARKGSGGKKGSGRGKGGGGGGGGGGGGRGVRGVDYGMGIGYNPGPSNTTSPSVPSRSATVNSLKTGMMAQFKSNFVSASSSSQSDSRNQASNSRPVLKGFVSGGSIGGDTYKAQPAPSFSSGPPAGNTRDNENQRIPESSRDRPRERKRPSGWDR >DRNTG_30896.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001640.1:24846:28808:1 gene:DRNTG_30896 transcript:DRNTG_30896.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAVTSPPELFYTHCGHREFGANPSLCLSSSLFRRGRRPDTRRRPPDRDFELSISIAEFSSRAFSSLNRILRTSEFRLRRVLSSGADAYNDLRTSVRVDRGNRIVFSCRRSSLDFVASLLLWSFVTVLAARVLVWLWRQLRFRGFGNWLVVRRDRSLGGREVVVGRRRVSVPVNPLSPSATRAELKIKERYQKNRLAKRDKLPHWWPEPITSQVASDRKEELQREANRLVRAIMDSRMNGMDYKEDDIIQLRQICRISGANVFFETTNVRDSFYRAAVVLVINNCISNLE >DRNTG_30896.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001640.1:24846:28808:1 gene:DRNTG_30896 transcript:DRNTG_30896.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAVTSPPELFYTHCGHREFGANPSLCLSSSLFRRGRRPDTRRRPPDRDFELSISIAEFSSRAFSSLNRILRTSEFRLRRVLSSGADAYNDLRTSVRVDRGNRIVFSCRRSSLDFVASLLLWSFVTVLAARVLVWLWRQLRFRGFGNWLVVRRDRSLGGREVVVGRRRVSVPVNPLSPSATRAELKIKERYQKNRLAKRDKLPHWWPEPITSQVASDRKEELQREANRLVRAIMDSRMNGMDYKEDDIIQVSGSVICMNFFYCFYHHSSTIFLGWTISENQVNIFTTRALFR >DRNTG_30896.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001640.1:24846:28808:1 gene:DRNTG_30896 transcript:DRNTG_30896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAVTSPPELFYTHCGHREFGANPSLCLSSSLFRRGRRPDTRRRPPDRDFELSISIAEFSSRAFSSLNRILRTSEFRLRRVLSSGADAYNDLRTSVRVDRGNRIVFSCRRSSLDFVASLLLWSFVTVLAARVLVWLWRQLRFRGFGNWLVVRRDRSLGGREVVVGRRRVSVPVNPLSPSATRAELKIKERYQKNRLAKRDKLPHWWPEPITSQVASDRKEELQREANRLVRAIMDSRMNGMDYKEDDIIQLRQICRISGANVFFETTNVRDSFYRAAVVLVINNCIRIRQPDATPQIDGEDARRFISGLGGNIGLDNVHAARLVRAAVAAHTRSWILQCWAFEVQGKRSEAKEEISKICQIHRIFPFEENSPEMEMVASGLKKNLRIDQRKHLLNLFVEVCGAEGQKIAAEALDMMHLNGSEGDVVRYHPEL >DRNTG_30896.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001640.1:24846:28808:1 gene:DRNTG_30896 transcript:DRNTG_30896.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAVTSPPELFYTHCGHREFGANPSLCLSSSLFRRGRRPDTRRRPPDRDFELSISIAEFSSRAFSSLNRILRTSEFRLRRVLSSGADAYNDLRTSVRVDRGNRIVFSCRRSSLDFVASLLLWSFVTVLAARVLVWLWRQLRFRGFGNWLVVRRDRSLGGREVVVGRRRVSVPVNPLSPSATRAELKIKERYQKNRLAKRDKLPHWWPEPITSQVASDRKEELQREANRLVRAIMDSRMNGMDYKEDDIIQVSGSVICMNFFYCFYHHSSTIFLGWTISENQVNIFTTRALFR >DRNTG_00707.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000052.1:5076:5763:-1 gene:DRNTG_00707 transcript:DRNTG_00707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKDTEIMKKAMAIEAIMLMFIIFIGGAMAKSPSVIIVGAGMSGITDLTILEATGRIGGRMYKVPFAGLQVEKGANWVEGVGGKELNPMWKMAQELHLRNFESNYDNISANCYKENGGLYEEKVVIDGLETVAKVEKSGEKLSSSLPSSGFEDISLLTMQRLMKQ >DRNTG_19503.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2764152:2764664:-1 gene:DRNTG_19503 transcript:DRNTG_19503.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRFGTDKVGYTFAPIITIWFICIATIGIFNFCKYDPLVFKAINPIYIVHYFRRNGKTAWISLGGVVLCVTGVEALFADV >DRNTG_29507.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21078721:21080531:1 gene:DRNTG_29507 transcript:DRNTG_29507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGHEGDIPIPIPISSTYGGGGVQNLQDNNNNNSNNNNNGPLPLTIEEQQHHHHHHHQNKKGVVVKYRECLKNHAASIGGNATDGCGEFMPSGEEGTIEALKCSACNCHRNFHRKEIEGELPPSSSCECYHHYRSMKVVNHHPHHQQHHKGVLIPRPSPHQMIMPLGAVVQTSESDDMEGVLARPPIVKKRFRTKFTPEQKEKMLSFAEKVGWRLQKQEESVVQQFCQEIGVKRRVLKVWMHNNKHNLAKKLAPLQLQ >DRNTG_32601.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22803116:22825907:1 gene:DRNTG_32601 transcript:DRNTG_32601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDWIKRVRIVRDIAQALSYLHHDCNPSIVHRDITSNNILLDEEYKACVADFGISRLLKSNSSHWS >DRNTG_25399.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24472440:24480719:1 gene:DRNTG_25399 transcript:DRNTG_25399.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G35750) UniProtKB/Swiss-Prot;Acc:Q9C5U2] MSGVAYALRVPHSQREQFEKQHGWRIKKMETEDQSPVQDEYIPEKLDPSPVQDEYAPVIFSQETVSHIVSVDMMSGKEDRENILRARATGKGVLTSPFPLLKSNHIGVVLTFAVYNADLPADATQEMRINATEGYLGASFDVPSLVEKLLQQLASKQKIIVNVYDTTNSSGPIRMYGPTSSSTSEMHVSNLDFGDPFRRHEMHCRFKQEPPPPWSAITTSLGVAVIVLLVGHIFHAAINRIEKVEDDYRAMRDLKGRAEAADVAKSRFLATVSHEIRTPMNGVLGMLQMLMDTDLDATQLDYAMTAQSSGKVLISLINEVLDQAKIESGRLELEAVPFDVRGVLDNVLPIFSDKSQPKGIEMAVYISDRVPEVLIGDPGRFRQIITNLVGNSVKFTEEGHIFVSVHLVEEVKNSSDVSNKALNQIPELLRSDTDVSRMSLSGFQVVDRWKSWENFKAFKFPSEATDAVNLLVTVEDTGVGIPEDAQGRIFMPFMQADSSTSRTYGGTGIGLSISKCLVDLMGGEIGFVSRPGIGSTFAFTAAFQEGSRSSVDMKRHQPDSITSEFRGMRGLVVDRRCIRAEVAKYHLQRLGMHVDIANNEKAAISSIIDSCRSSGSGRLDMVLVDKEAWGEASGMAFPDSLIELRQNGRLKHPEHLPKMVLLATSMSSTEANELKAAGYVDSIIKPLRLNMMSACLRKALGVGNKQQKDKEQLTILQSLLNGKKILVVDDNVVNRKVAEGALKKYGAIVTCADSGKAALEYLKPPHEFDACFMDVQMPEMDGFEATRKIRNMENDVNDLIKSGRASAEKFGNVAHWHIPILAMTADVFQATHDECVRCGMDDFVSKPFEEEQLYSAVAYFFEPDSEQADPNL >DRNTG_25399.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24472440:24480719:1 gene:DRNTG_25399 transcript:DRNTG_25399.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G35750) UniProtKB/Swiss-Prot;Acc:Q9C5U2] MSFSAVSELFGRIRRWALVRMGWKRSAVKKCEACGKWRARFMLLWVLSGVIGSIWFSCGLVEYGVWKRREGVIRKSSWDEKAQVLGEQFNLSMAQLQALAFLLSSADQRTLSECIVNTLSERRLGNGLSHALNVLFSKGENSQHQQALVREKIDLHEKCPIPDVDVLEQTKHSSVEQWEMPFAFYKDFVPKPKNFLNQEGNENKQTRDMASSKTLHYEWFTNLLSKIFWWILVGMVVGCKGFALSGELWKKQDQQVKQPSQLQKQQYQPQNSKGGGRWRKKLLFLGVVLGILVSIWIFLSMNANIISRRKETLENMCDERARMLQEQFNVSMNHVNALAILVSTFHHGKNPSAIDQKTFAEFTARTAFERPLMSGVAYALRVPHSQREQFEKQHGWRIKKMETEDQSPVQDEYIPEKLDPSPVQDEYAPVIFSQETVSHIVSVDMMSGKEDRENILRARATGKGVLTSPFPLLKSNHIGVVLTFAVYNADLPADATQEMRINATEGYLGASFDVPSLVEKLLQQLASKQKIIVNVYDTTNSSGPIRMYGPTSSSTSEMHVSNLDFGDPFRRHEMHCRFKQEPPPPWSAITTSLGVAVIVLLVGHIFHAAINRIEKVEDDYRAMRDLKGRAEAADVAKSRFLATVSHEIRTPMNGVLGMLQMLMDTDLDATQLDYAMTAQSSGKVLISLINEVLDQAKIESGRLELEAVPFDVRGVLDNVLPIFSDKSQPKGIEMAVYISDRVPEVLIGDPGRFRQIITNLVGNSVKFTEEGHIFVSVHLVEEVKNSSDVSNKALNQIPELLRSDTDVSRMSLSGFQVVDRWKSWENFKAFKFPSEATDAVNLLVTVEDTGVGIPEDAQGRIFMPFMQADSSTSRTYGGTGIGLSISKCLVDLMGGEIGFVSRPGIGSTFAFTAAFQEGSRSSVDMKRHQPDSITSEFRGMRGLVVDRRCIRAEVAKYHLQRLGMHVDIANNEKAAISSIIDSCRSSGSGRLDMVLVDKEAWGEASGMAFPDSLIELRQNGRLKHPEHLPKMVLLATSMSSTEANELKAAGYVDSIIKPLRLNMMSACLRKALGVGNKQQKDKEQLTILQSLLNGKKILVVDDNVVNRKVAEGALKKYGAIVTCADSGKAALEYLKPPHEFDACFMDVQMPEMDGFEATRKIRNMENDVNDLIKSGRASAEKFGNVAHWHIPILAMTADVFQATHDECVRCGMDDFVSKPFEEEQLYSAVAYFFEPDSEQADPNL >DRNTG_17143.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16896820:16900583:1 gene:DRNTG_17143 transcript:DRNTG_17143.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHFASWPACCFACFASYEEHCIVSDRLYNIVFPHLFVPKPRNEITPKIPNQLLQLPPTTTPANKCIKTQTLGSQHTHQGKKGKTREIQTEME >DRNTG_17143.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16896820:16900583:1 gene:DRNTG_17143 transcript:DRNTG_17143.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDAQLARIEERSRYVQISDESICDSCHARLGTKLFAMYPDDSIVCYKVCVHHFVSDLFCSLINTSEFMLNKTRQIYVYYIVFK >DRNTG_17143.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16896820:16900583:1 gene:DRNTG_17143 transcript:DRNTG_17143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFASWPACCFACFASYEEHCIVSDRLYNIVFPTHLFVPKPRNEITPKIPNQLLQLPPTTTPANKCIKTQTLGSQHTHQGKKGKTREIQTEME >DRNTG_29928.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001519.1:46240:48286:-1 gene:DRNTG_29928 transcript:DRNTG_29928.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARYWSSAMMVEMGNNTFTPLTKWSAQNMCFSIAHSISSLEMYEKIMPKLNDIYKMVDEMSSTQVRGLRIEQTEESQEIIGSSSMSGFVDEDDIYGSRITILDPNPVKIKGRPRVNTRIKSGIDLQLLAKKKRTCCKCGKKASDYEEIPKPLDAKPGQGLVEPAFPSFPAMILGILGLPLHIISAMDLRQVSPDVGSLTILSSVTISSLGIV >DRNTG_31753.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8290148:8290575:-1 gene:DRNTG_31753 transcript:DRNTG_31753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLKVLYSSSKDSLVSRSPFSSWGKDGEKNTKIGAESALNRAGIGPLNGRGCFTRPCGISTRVWIISTCPCGSSELLCSRPAVNSVATVLAAALLQYSSTVPT >DRNTG_05761.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000267.1:17622:22228:-1 gene:DRNTG_05761 transcript:DRNTG_05761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDPPSKLISSFIRKQRASGEELSLDMDFEMEELKRESSKDRRVSFEEAGDESPSSSSEDAVRSGSVHRRGGARVPTSPRDDGGGEVLRCTSNSSFRRSSTLLRVKTRSRLMDPAPAAFDDNRKSGKIPKSGQMKSGLLGKPDDDDDDPFLEEDLPDGFKQDSWGVFTILQLVSFILIIAFFFCSIFIPVLERQTVWNLHLWKWELLVLVLICGRLVSGWVIRLIVFFIERNFLLRKRVLYFVYGLRKAVQNCLWLGLVLMAWHFMFDKKVERETKSKTLPYVTKVLFCLLVATVFRLVKTLLVKVLASSFHVSTYFDRIQEALFNQYVIETLSGPPLVEIEHIREDEERIMAEVQKLQKAGVTIPNDLRAAALPCRSGRVIGGSGQSGGSGHGGIQRSHQIGRKSVRFSGAVSKRDFSKQQPQQGDGITIDQLHKLNQKNVSAWNMKRLMRIVRHGTLATLDEQITDAGMGG >DRNTG_05761.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000267.1:20531:22228:-1 gene:DRNTG_05761 transcript:DRNTG_05761.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDPPSKLISSFIRKQRASGEELSLDMDFEMEELKRESSKDRRVSFEEAGDESPSSSSEDAVRSGSVHRRGGARVPTSPRDDGGGEVLRCTSNSSFRRSSTLLRVKTRSRLMDPAPAAFDDNRKSGKIPKSGQMKSGLLGKPDDDDDDPFLEEDLPDGFKQDSWGVFTILQLVSFILIIAFFFCSIFIPVLERQTVWNLHLWKWELLVLVLICGRLVSGWVIRLIVFFIERNFLLRKRVLYFVYGLRKAVQNCLWLGLVLMAWHFMFDKKVERETKSKTLPYVTKVLFCLLVATVFRLVKTLLVKVLASSFHVSTYFDRIQEALFNQYVIETLSGPPLVEIEHIREDEERIMAEVQKLQKAGVTIPNDLRAAALPCRSGRVIGGSGQSGGSGHGGIQRSHQIGRKSVRFSGAVSKRDFSKQQPQQGDGITIDQLHKLNQKNVSAWNMKRLMRIVRHGTLATLDEQITDAGMGG >DRNTG_05761.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000267.1:17962:22228:-1 gene:DRNTG_05761 transcript:DRNTG_05761.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDPPSKLISSFIRKQRASGEELSLDMDFEMEELKRESSKDRRVSFEEAGDESPSSSSEDAVRSGSVHRRGGARVPTSPRDDGGGEVLRCTSNSSFRRSSTLLRVKTRSRLMDPAPAAFDDNRKSGKIPKSGQMKSGLLGKPDDDDDDPFLEEDLPDGFKQDSWGVFTILQLVSFILIIAFFFCSIFIPVLERQTVWNLHLWKWELLVLVLICGRLVSGWVIRLIVFFIERNFLLRKRVLYFVYGLRKAVQNCLWLGLVLMAWHFMFDKKVERETKSKTLPYVTKVLFCLLVATVFRLVKTLLVKVLASSFHVSTYFDRIQEALFNQYVIETLSGPPLVEIEHIREDEERIMAEVQKLQKAGVTIPNDLRAAALPCRSGRVIGGSGQSGGSGHGGIQRSHQIGRKSVRFSGAVSKRDFSKQQPQQGDGITIDQLHKLNQKNVSAWNMKRLMRIVRHGTLATLDEQITDAGMGG >DRNTG_34590.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15499058:15507634:-1 gene:DRNTG_34590 transcript:DRNTG_34590.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRINGTTKSLVANHLAETVSGAITIRAFEVEDRFFAKNLELIDKNASPFFHNFAASEWLIQRLETMGAAVLSTSALVMALLPPGTLNSGFVGMALSYGFSLNMSLVFSSQNQCTLANYIISVERLNQYMHISSESPEVIEANRPPPTWPAIGKVELLDLKIQYRPDTPLVLQGINCTFEGGHKIGIVGRTGSGKTTLISALFRLVEPVGGRIIIDDIDIAIIGLHDLRSRFGIIPQDPTLFNGSIRFNLDPLGQHTDRQIWEVLEKCQLKEAVQDKEEGLDSLVVEDGSNWSMGQRQLFCLGRALLRKSRILVLDEATASIDNATDAILQKTIRTEFADCTVITVAHRIPTVMDCTRVLAIADGKTIEYDEPMKLMKTDGSLFAELVKEYWSHTANDDFLSY >DRNTG_34590.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15499058:15505660:-1 gene:DRNTG_34590 transcript:DRNTG_34590.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRQLFCLGRALLRKSRILVLDEATASIDNATDAILQKTIRTEFADCTVITVAHRIPTVMDCTRVLAIADGKTIEYDEPMKLMKTDGSLFAELVKEYWSHTANDDFLSY >DRNTG_34590.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15499058:15513892:-1 gene:DRNTG_34590 transcript:DRNTG_34590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVLRARMAFCGGSICSYYGGDTCGLGGFLYANTCTNHFLVISINVVLFLTFFLNFARKLSTRMISLHSIFGLSSSLHISSMVFNFCMGVAYLSLGVWMLEEKLKNGTGFFPLHWWLVVLSQGFIWLLMSLVASIRSKQLGEVFIRIWIGFAGVFAGFLCTSSILGILVEKKTSIRIVLDVLSLPGAILLLLCALKGTKDAEDCRGINSSLYTLLTGEFVADSFDSNDHVTPFANAGFLSKMTFWWLNPLMKKGYVKPLEEKDVPQMGQVDRAESRYSLFLEQLNRQKVDNQIDSPSFLWAIVYCHQADILVSGFFAMLRVLTLSAGPMLLNAFIKVSVGKGTFKNEGYVLALGMFLVKCLESLSQRQWYFRTRLLGLRVRSLLSAAVYQKQLRLSNSAKLIHSSGEIMNYVTVDAYRIGEFPVWFHQMWTTSLQLGIALVILYHAVGLATISAMVVIVLTVLCNVPVAKLQHKFQTRLMEAQDVRLKAMSEALINMKVLKLYAWEIHFRKVIEKMRMVECKWLSAFQLQRAYNSFLFWSSPVFVSAATFLTCYLVDIPLYPSNVFTFVATLRLVQDPVRSIPDVIGAVIQAKVAFARIAKFLDAAELQNGHSRRRLDLKHPIIIKSANFSWEGNPSKPTLRNINLHVKHAEKLAICGEVGSGKSTLLAAILGEIPYTEGMLQVCGKVAYVSQTAWIQTGTVQENILFGSTMDRQRYQETLEKCSLVKDLEMLPFGDLTEIGERGVNLSGGQKQRIQLARALYKDADIYLLDDPFSAVDAHTATSLYNEYVMGALSTKTVLLVTHQVDFLPAFNSILVFYR >DRNTG_34590.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15499058:15512348:-1 gene:DRNTG_34590 transcript:DRNTG_34590.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVLRARMAFCGGSICSYYGGDTCGLGGFLYANTCTNHFLVISINVVLFLTFFLNFARKLSTRMISLHSIFGLSSSLHISSMVFNFCMGVAYLSLGVWMLEEKLKNGTGFFPLHWWLVVLSQGFIWLLMSLVASIRSKQLGEVFIRIWIGFAGVFAGFLCTSSILGILVEKKTSIRIVLDVLSLPGAILLLLCALKGTKDAEDCRGINSSLYTLLTGEFVADSFDSNDHVTPFANAGFLSKMTFWWLNPLMKKGYVKPLEEKDVPQMGQVDRAESRYSLFLEQLNRQKVDNQIDSPSFLWAIVYCHQADILVSGFFAMLRVLTLSAGPMLLNAFIKVSVGKGTFKNEGYVLALGMFLVKCLESLSQRQWYFRTRLLGLRVRSLLSAAVYQKQLRLSNSAKLIHSSGEIMNYVTVDAYRIGEFPVWFHQMWTTSLQLGIALVILYHAVGLATISAMVVIVLTVLCNVPVAKLQHKFQTRLMEAQDVRLKAMSEALINMKVLKLYAWEIHFRKVIEKMRMVECKWLSAFQLQRAYNSFLFWSSPVFVSAATFLTCYLVDIPLYPSNVFTFVATLRLVQDPVRSIPDVIGAVIQAKVAFARIAKFLDAAELQNGHSRRRLDLKHPIIIKSANFSWEGNPSKPTLRNINLHVKHAEKLAICGEVGSGKSTLLAAILGEIPYTEGMLQVCGKVAYVSQTAWIQTGTVQENILFGSTMDRQRYQETLEKCSLVKDLEMLPFGDLTEIGERGVNLSGGQKQRIQLARALYKDADIYLLDDPFSAVDAHTATSLYNEYVMGALSTKTVLLVTHQVDFLPAFNSILLLSGGEVLRAAPYRELLTTSKEFQDLVNAHKDTAGSGRHEDAVSHKRNEASRREIKNAYKNRQQNKVKLAGVDQLIKKEEREKGDTGLRPYLQYLNQNKGFLYSSLAALSHVIFIAGQISQNSWMATNVQNPDISTLHLISVYLAIGCSTAIFLLSRSVFVVVLGLQSSKSLYTQLLNSLFRAPMSFFDSTPLGRILSRVSSDLSIVDLDVPFSLIFSISAAMNVYSNLVVLAVVTWQVLFVSIPMVYLTIRLQGYYLASSKELMRINGTTKSLVANHLAETVSGAITIRAFEVEDRFFAKNLELIDKNASPFFHNFAASEWLIQRLETMGAAVLSTSALVMALLPPGTLNSGFVGMALSYGFSLNMSLVFSSQNQCTLANYIISVERLNQYMHISSESPEVIEANRPPPTWPAIGKVELLDLKIQYRPDTPLVLQGINCTFEGGHKIGIVGRTGSGKTTLISALFRLVEPVGGRIIIDDIDIAIIGLHDLRSRFGIIPQDPTLFNGSIRFNLDPLGQHTDRQIWEVLEKCQLKEAVQDKEEGLDSLVVEDGSNWSMGQRQLFCLGRALLRKSRILVLDEATASIDNATDAILQKTIRTEFADCTVITVAHRIPTVMDCTRVLAIADGKTIEYDEPMKLMKTDGSLFAELVKEYWSHTANDDFLSY >DRNTG_34590.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15499058:15513892:-1 gene:DRNTG_34590 transcript:DRNTG_34590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVLRARMAFCGGSICSYYGGDTCGLGGFLYANTCTNHFLVISINVVLFLTFFLNFARKLSTRMISLHSIFGLSSSLHISSMVFNFCMGVAYLSLGVWMLEEKLKNGTGFFPLHWWLVVLSQGFIWLLMSLVASIRSKQLGEVFIRIWIGFAGVFAGFLCTSSILGILVEKKTSIRIVLDVLSLPGAILLLLCALKGTKDAEDCRGINSSLYTLLTGEFVADSFDSNDHVTPFANAGFLSKMTFWWLNPLMKKGYVKPLEEKDVPQMGQVDRAESRYSLFLEQLNRQKVDNQIDSPSFLWAIVYCHQADILVSGFFAMLRVLTLSAGPMLLNAFIKVSVGKGTFKNEGYVLALGMFLVKCLESLSQRQWYFRTRLLGLRVRSLLSAAVYQKQLRLSNSAKLIHSSGEIMNYVTVDAYRIGEFPVWFHQMWTTSLQLGIALVILYHAVGLATISAMVVIVLTVLCNVPVAKLQHKFQTRLMEAQDVRLKAMSEALINMKVLKLYAWEIHFRKVIEKMRMVECKWLSAFQLQRAYNSFLFWSSPVFVSAATFLTCYLVDIPLYPSNVFTFVATLRLVQDPVRSIPDVIGAVIQAKVAFARIAKFLDAAELQNGHSRRRLDLKHPIIIKSANFSWEGNPSKPTLRNINLHVKHAEKLAICGEVGSGKSTLLAAILGEIPYTEGMLQVCGKVAYVSQTAWIQTGTVQENILFGSTMDRQRYQETLEKCSLVKDLEMLPFGDLTEIGERGVNLSGGQKQRIQLARALYKDADIYLLDDPFSAVDAHTATSLYNEYVMGALSTKTVLLVTHQVDFLPAFNSILLLSGGEVLRAAPYRELLTTSKEFQDLVNAHKDTAGSGRHEDAVSHKRNEASRREIKNAYKNRQQNKVKLAGVDQLIKKEEREKGDTGLRPYLQYLNQNKGFLYSSLAALSHVIFIAGQISQNSWMATNVQNPDISTLHLISVYLAIGCSTAIFLLSRSVFVVVLGLQSSKSLYTQLLNSLFRAPMSFFDSTPLGRILSRVSSDLSIVDLDVPFSLIFSISAAMNVYSNLVVLAVVTWQVLFVSIPMVYLTIRLQGYYLASSKELMRINGTTKSLVANHLAETVSGAITIRAFEVEDRFFAKNLELIDKNASPFFHNFAASEWLIQRLETMGAAVLSTSALVMALLPPGTLNSGFVGMALSYGFSLNMSLVFSSQNQCTLANYIISVERLNQYMHISSESPEVIEANRPPPTWPAIGKVELLDLKIQYRPDTPLVLQGINCTFEGGHKIGIVGRTGSGKTTLISALFRLVEPVGGRIIIDDIDIAIIGLHDLRSRFGIIPQDPTLFNGSIRFNLDPLGQHTDRQIWEVLEKCQLKEAVQDKEEGLDSLVVEDGSNWSMGQRQLFCLGRALLRKSRILVLDEATASIDNATDAILQKTIRTEFADCTVITVAHRIPTVMDCTRVLAIADGKTIEYDEPMKLMKTDGSLFAELVKEYWSHTANDDFLSY >DRNTG_34590.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15499058:15513867:-1 gene:DRNTG_34590 transcript:DRNTG_34590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVLRARMAFCGGSICSYYGGDTCGLGGFLYANTCTNHFLVISINVVLFLTFFLNFARKLSTRMISLHSIFGLSSSLHISSMVFNFCMGVAYLSLGVWMLEEKLKNGTGFFPLHWWLVVLSQGFIWLLMSLVASIRSKQLGEVFIRIWIGFAGVFAGFLCTSSILGILVEKKTSIRIVLDVLSLPGAILLLLCALKGTKDAEDCRGINSSLYTLLTGEFVADSFDSNDHVTPFANAGFLSKMTFWWLNPLMKKGYVKPLEEKDVPQMGQVDRAESRYSLFLEQLNRQKVDNQIDSPSFLWAIVYCHQADILVSGFFAMLRVLTLSAGPMLLNAFIKVSVGKGTFKNEGYVLALGMFLVKCLESLSQRQWYFRTRLLGLRVRSLLSAAVYQKQLRLSNSAKLIHSSGEIMNYVTVDAYRIGEFPVWFHQMWTTSLQLGIALVILYHAVGLATISAMVVIVLTVLCNVPVAKLQHKFQTRLMEAQDVRLKAMSEALINMKVLKLYAWEIHFRKVIEKMRMVECKWLSAFQLQRAYNSFLFWSSPVFVSAATFLTCYLVDIPLYPSNVFTFVATLRLVQDPVRSIPDVIGAVIQAKVAFARIAKFLDAAELQNGHSRRRLDLKHPIIIKSANFSWEGNPSKPTLRNINLHVKHAEKLAICGEVGSGKSTLLAAILGEIPYTEGMLQVCGKVAYVSQTAWIQTGTVQENILFGSTMDRQRYQETLEKCSLVKDLEMLPFGDLTEIGERGVNLSGGQKQRIQLARALYKDADIYLLDDPFSAVDAHTATSLYNEYVMGALSTKTVLLVTHQVDFLPAFNSILLLSGGEVLRAAPYRELLTTSKEFQDLVNAHKDTAGSGRHEDAVSHKRNEASRREIKNAYKNRQQNKVKLAGVDQLIKKEEREKGDTGLRPYLQYLNQNKGFLYSSLAALSHVIFIAGQISQNSWMATNVQNPDISTLHLISVYLAIGCSTAIFLLSRSVFVVVLGLQSSKSLYTQLLNSLFRAPMSFFDSTPLGRILSRVSSDLSIVDLDVPFSLIFSISAAMNVYSNLVVLAVVTWQVLFVSIPMVYLTIRLQGYYLASSKELMRINGTTKSLVANHLAETVSGAITIRAFEVEDRFFAKNLELIDKNASPFFHNFAASEWLIQRLETMGAAVLSTSALVMALLPPGTLNSGFVGMALSYGFSLNMSLVFSSQNQCTLANYIISVERLNQYMHISSESPEVIEANRPPPTWPAIGKVELLDLKIQYRPDTPLVLQGINCTFEGGHKIGIVGRTGSGKTTLISALFRLVEPVGGRIIIDDIDIAIIGLHDLRSRFGIIPQDPTLFNGSIRFNLDPLGQHTDRQIWEVLEKCQLKEAVQDKEEGLDSLVVEDGSNWSMGQRQLFCLGRALLRKSRILVLDEATASIDNATDAILQKTIRTEFADCTVITVAHRIPTVMDCTRVLAIADGKTIEYDEPMKLMKTDGSLFAELVKEYWSHTANDDFLSY >DRNTG_34590.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15499058:15513867:-1 gene:DRNTG_34590 transcript:DRNTG_34590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVLRARMAFCGGSICSYYGGDTCGLGGFLYANTCTNHFLVISINVVLFLTFFLNFARKLSTRMISLHSIFGLSSSLHISSMVFNFCMGVAYLSLGVWMLEEKLKNGTGFFPLHWWLVVLSQGFIWLLMSLVASIRSKQLGEVFIRIWIGFAGVFAGFLCTSSILGILVEKKTSIRIVLDVLSLPGAILLLLCALKGTKDAEDCRGINSSLYTLLTGEFVADSFDSNDHVTPFANAGFLSKMTFWWLNPLMKKGYVKPLEEKDVPQMGQVDRAESRYSLFLEQLNRQKVDNQIDSPSFLWAIVYCHQADILVSGFFAMLRVLTLSAGPMLLNAFIKVSVGKGTFKNEGYVLALGMFLVKCLESLSQRQWYFRTRLLGLRVRSLLSAAVYQKQLRLSNSAKLIHSSGEIMNYVTVDAYRIGEFPVWFHQMWTTSLQLGIALVILYHAVGLATISAMVVIVLTVLCNVPVAKLQHKFQTRLMEAQDVRLKAMSEALINMKVLKLYAWEIHFRKVIEKMRMVECKWLSAFQLQRAYNSFLFWSSPVFVSAATFLTCYLVDIPLYPSNVFTFVATLRLVQDPVRSIPDVIGAVIQAKVAFARIAKFLDAAELQNGHSRRRLDLKHPIIIKSANFSWEGNPSKPTLRNINLHVKHAEKLAICGEVGSGKSTLLAAILGEIPYTEGMLQVCGKVAYVSQTAWIQTGTVQENILFGSTMDRQRYQETLEKCSLVKDLEMLPFGDLTEIGERGVNLSGGQKQRIQLARALYKDADIYLLDDPFSAVDAHTATSLYNEYVMGALSTKTVLLVTHQVDFLPAFNSILLLSGGEVLRAAPYRELLTTSKEFQDLVNAHKDTAGSGRHEDAVSHKRNEASRREIKNAYKNRQQNKVKLAGVDQLIKKEEREKGDTGLRPYLQYLNQNKGFLYSSLAALSHVIFIAGQISQNSWMATNVQNPDISTLHLISVYLAIGCSTAIFLLSRSVFVVVLGLQSSKSLYTQLLNSLFRAPMSFFDSTPLGRILSRVSSDLSIVDLDVPFSLIFSISAAMNVYSNLVVLAVVTWQVLFVSIPMVYLTIRLQGYYLASSKELMRINGTTKSLVANHLAETVSGAITIRAFEVEDRFFAKNLELIDKNASPFFHNFAASEWLIQRLETMGAAVLSTSALVMALLPPGTLNSGFVGMALSYGFSLNMSLVFSSQNQCTLANYIISVERLNQYMHISSESPEVIEANRPPPTWPAIGKVELLDLKIQYRPDTPLVLQGINCTFEGGHKIGIVGRTGSGKTTLISALFRLVEPVGGRIIIDDIDIAIIGLHDLRSRFGIIPQDPTLFNGSIRFNLDPLGQHTDRQIWEVLEKCQLKEAVQDKEEGLDSLVVEDGSNWSMGQRQLFCLGRALLRKSRILVLDEATASIDNATDAILQKTIRTEFADCTVITVAHRIPTVMDCTRVLAIADGKTIEYDEPMKLMKTDGSLFAELVKEYWSHTANDDFLSY >DRNTG_34463.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18196015:18199491:-1 gene:DRNTG_34463 transcript:DRNTG_34463.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVYLKNTKYQAAIKVDKRQIHLGTVKTQAEAARLYDRAAYICGRQPNLELSKEEKQELSKYKWDEFLEMTKRSIASKKNQRRQGGGGIGRGKRLIGNLETGITG >DRNTG_34463.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18196015:18199491:-1 gene:DRNTG_34463 transcript:DRNTG_34463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRRRRLLGLCPGIDSHMVEILSSFENDNLVENSNQNCKQSTVHPLPTDVVDQPELIQISEELPESASLSGSTSMKEEPEHDFPDKQFKYRKHYRRKRYKDQEQPTMRGVYLKNTKYQAAIKVDKRQIHLGTVKTQAEAARLYDRAAYICGRQPNLELSKEEKQELSKYKWDEFLEMTKRSIASKKNQRRQGGGGIGRGKRLIGNLETGITG >DRNTG_12438.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19633098:19635160:1 gene:DRNTG_12438 transcript:DRNTG_12438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGAAMGGVVHTVVAPIERAKLLLQTQESNASVLGLGGRPRRRRFRGMLDCIARTVRDEGVMSLWRGNGTSVLRYYPSVALNFSLKDLYRAMLKGVVKHHRIPIFFLGQLPTSLQGLQQVAPH >DRNTG_12438.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19633098:19633402:1 gene:DRNTG_12438 transcript:DRNTG_12438.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGAAMGGVVHTVVAPIERAKLLLQTQESNASVLGLGGRPRRRRFRGMLDCIARTVRDEGVMSLWRGNGTSVLRYYPSVALNFSLKVFDLI >DRNTG_17063.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:53547:57574:1 gene:DRNTG_17063 transcript:DRNTG_17063.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Derlin-1 [Source:Projected from Arabidopsis thaliana (AT4G29330) UniProtKB/Swiss-Prot;Acc:Q8VZU9] MSTPGEYYNSLPPVSKAYGTLCLLTTTAFHLGLLDVSFIALYYPWVFYRFQVWRLFTNFFFLGKFSINFGIRLLMIARYGVQLERSAFDKRTADYLWMMIFGALSLLVLSAIPLLQSNFLGISLVFMLLYLWSRELPTAQINIYGLVTLKAFYLPWAMLALDVIFGSPIMPDLMGIIAGHLYYFLTVLYPLSTGRNILKTPVWVHRLVARWQVGGPQVNSPAQPGNSSSSGTFRGRSYRLNR >DRNTG_32750.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15444903:15446248:1 gene:DRNTG_32750 transcript:DRNTG_32750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADERMKAMKEVGATNIKECKGMEREWITKDVWDALIDNEWGTDAWQSKSRKTKANRLTEKEGSITKHTGGSRPFAVHGIKLAEKLGREVGYSEIFQGTHKCKGGEGEFIDNKSRVVNEKYNAALIDKYGDDISSHPSFDGQSWYDAIGGLKKTRISVYGFGSRVDSRQLFSAASTCPSTSDLVCGPSTYQPFATNESMLQTQQFEEKVENLESIVFDIQQG >DRNTG_31985.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:704388:706819:-1 gene:DRNTG_31985 transcript:DRNTG_31985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLPGCSSMKERSNGGGEGGRTHCYLNVYDLTPINNYLYWFGLGIFHSGIEVHGMEYGFGAHEFPTSGVFEVEPKSCPGFVYRRTVWLGTTNMSRTEFRSFMEHLAGKYHGDTYHLISKNCNHFTDDVCKQLTGKAIPGWVNRLARLVSGPFCHCLLPESIQVTSVRRLPDIPMDSEDESISIASSAMEDSDEEGLDHHLLTTPNSDPGYSRDKVLRLAREAQ >DRNTG_31985.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:704388:706819:-1 gene:DRNTG_31985 transcript:DRNTG_31985.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLPGCSSMKERSNGGGEGGRTHCYLNVYDLTPINNYLYWFGLGIFHSGIEVHGMEYGFGAHEFPTSGVFEVEPKSCPGFVYRRTVWLGTTNMSRTEFRSFMEHLAGKYHGDTYHLISKNCNHFTDDVCKQLTGKAIPGWVNRLARLGPFCHCLLPESIQVTSVRRLPDIPMDSEDESISIASSAMEDSDEEGLDHHLLTTPNSDPGYSRDKVLRLAREAQ >DRNTG_33116.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32827408:32827743:-1 gene:DRNTG_33116 transcript:DRNTG_33116.1 gene_biotype:protein_coding transcript_biotype:protein_coding NNSRSPSSEKECFVMEKMMMEMKDGWLGKISRNMYAVLCLGIVLFAVAYVYYAFPEKQPINGDNKERSKEKTYCLTI >DRNTG_09429.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21180189:21181109:-1 gene:DRNTG_09429 transcript:DRNTG_09429.4 gene_biotype:protein_coding transcript_biotype:protein_coding METGGKIKKGAGGRKGGGPKKKPVSRSVKAGLQFPVGRIGRFLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTDRPGKEAKSPSKAGKSPKKA >DRNTG_09429.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21177222:21181109:-1 gene:DRNTG_09429 transcript:DRNTG_09429.2 gene_biotype:protein_coding transcript_biotype:protein_coding METGGKIKKGAGGRKGGGPKKKPVSRSVKAGLQFPVGRIGRFLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTDRPGKEAKSPSKAGKSPKKA >DRNTG_09429.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21177222:21177916:-1 gene:DRNTG_09429 transcript:DRNTG_09429.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCCRILIQCCCRRRRIGPGRRPSLRQRLGSHQRRHRWKERYK >DRNTG_09429.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21177222:21181109:-1 gene:DRNTG_09429 transcript:DRNTG_09429.3 gene_biotype:protein_coding transcript_biotype:protein_coding METGGKIKKGAGGRKGGGPKKKPVSRSVKAGLQFPVGRIGRFLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTDRPGKEAKSPSKAGKSPKKA >DRNTG_09429.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21177222:21181109:-1 gene:DRNTG_09429 transcript:DRNTG_09429.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGKIKKGAGGRKGGGPKKKPVSRSVKAGLQFPVGRIGRFLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTDRPGKEAKSPSKAGKSPKKA >DRNTG_17845.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8321670:8324084:1 gene:DRNTG_17845 transcript:DRNTG_17845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGELTLRVGDDKLTYRLAEAMRHSLDFDDTLYFLDTMYEIIDEYMQELFNPDPYEGLFNQEEDYEEVMMLGSIEEVPSTPGILKKVLRKMKRDRRCHQKHSKVVGDVELGGLSREHTGAWTRPRRRLCEPHTGVGNFHMPAAKIPRGVLPIPRRHMGVWLPLEATGACGCPCGSGARVSVFSARPSESSQSRRSVFPSAHRGMCTPLWLSCNEAHGRGKFPNALLWHLSQRSKLIRGRVSHPLSPRA >DRNTG_28651.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6123454:6124359:1 gene:DRNTG_28651 transcript:DRNTG_28651.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMWMKVVKVMEMKKEKQKVMMELELEMEMIMKKVMVMEMK >DRNTG_28651.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6123292:6124359:1 gene:DRNTG_28651 transcript:DRNTG_28651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMWMKVVKVMEMKKEKQKVMMELKKEKQKVMMELELEMEMIMKKVMVMEMK >DRNTG_10950.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:213456:216177:-1 gene:DRNTG_10950 transcript:DRNTG_10950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAASSRGSCARLSLRRRCLMMVKQQKTRFYILGRCVFMLLCWHAHDYSD >DRNTG_10950.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:213074:216177:-1 gene:DRNTG_10950 transcript:DRNTG_10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAASSRGSCARLSLRRRCLMMVKQQKTRFYILGRCVFMLLCWHAHDYSD >DRNTG_29899.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001514.1:16883:24400:1 gene:DRNTG_29899 transcript:DRNTG_29899.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPWNNRGSSYWDSCEGSWWLVRKLILIPGVTDLYITFPTFAFTSFVNRWRVCFWVSVVPAALLALCMEFCAESPHWLFKRGRLVEAEVEFERLLGASHVKSAISELSRSDKGDDVDTVRFSDLLCGRHFRVVFIGTTLFALQQLSGINAVFYFSSTVFRRAGVPSDFANIFVGLSNLSGSIIAMLLMDKLGRKALLLGSFIGMAMAMCLQAVAASVHVLGSGALYLSVGGMLLFVLTFALGAGPVPGLLLPEIFPNKIRAKAMALCMSVHWVINFFVGLLFLRALELMGPQVLYTIFGTFCLIAAVFVRRNVVETKGKSLQEIEISLLQPDI >DRNTG_29899.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001514.1:16883:24400:1 gene:DRNTG_29899 transcript:DRNTG_29899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSWKFCSSSIKKRSSAKDIMAGNDYDQEAPGHLMGQDNGNPSWKLSLPHVCVATITSFLFGYHIGVVNEPLESISLDLGFGGNTLAEGLVVSMCLGGAFIGCLFSGWIADGIGRRRAFQLSVLPMIIGASLSATSTSLGMMLFGRFLVGTGMGLGPPVASLYVTEVSPPFVRGTYGSFIQIATCLGIIGALLIGIPVKEVGGWWRVCFWVSVVPAALLALCMEFCAESPHWLFKRGRLVEAEVEFERLLGASHVKSAISELSRSDKGDDVDTVRFSDLLCGRHFRVVFIGTTLFALQQLSGINAVFYFSSTVFRRAGVPSDFANIFVGLSNLSGSIIAMLLMDKLGRKALLLGSFIGMAMAMCLQAVAASVHVLGSGALYLSVGGMLLFVLTFALGAGPVPGLLLPEIFPNKIRAKAMALCMSVHWVINFFVGLLFLRALELMGPQVLYTIFGTFCLIAAVFVRRNVVETKGKSLQEIEISLLQPDI >DRNTG_29899.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001514.1:16883:24400:1 gene:DRNTG_29899 transcript:DRNTG_29899.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSWKFCSSSIKKRSSAKDIMAGNDYDQEAPGHLMGQDNGNPSWKLSLPHVCVATITSFLFGYHIGVVNEPLESISLDLGFGGNTLAEGLVVSMCLGGAFIGCLFSGWIADGIGRRRAFQLSVLPMIIGASLSATSTSLGMMLFGRFLVGTGMGLGPPVASLYVTEVSPPFVRGTYGSFIQIATCLGIIGALLIGIPVKEVGGWWRVCFWVSVVPAALLALCMEFCAESPHWLFKRGRLVEAEVEFERLLGASHVKSAISELSRSDKGDDVDTVRFSDLLCGRHFRVVFIGTTLFALQQLSGINAVFYFSSTVFRRAGVPSDFANIFVGLSNLSGSIIAMLLMDKLGRKALLLGSFIGMAMAMCLQAVAASVHVLGSGALYLSVGGMLLFVLTFALGAGPVPGLLLPEIFPNKIRAKAMALCMSVHWVINFFVGLLFLRALELMGPQVLYTIFGTFCLIAAVFVRRNVVETKGKSLQEIEISLLQPDI >DRNTG_28927.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22097839:22103813:1 gene:DRNTG_28927 transcript:DRNTG_28927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMDPGLLDDIIRRLLEVRGSRPGKQVQLSEGEIRQLCIVSRDIFAKQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVSIWKIFTDCFNCLPVAAVIDEKIICMHGGLSPDLHSLDQIRHLARPTDVPDTGLLCDLLWSDPSKDIQGWGMNDRGVSFTFGPDKVSEFLKKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDDTLMCSFQILKPAEKKPKFSFGSTTTTKAGTPPPGVKSFLGAKA >DRNTG_34875.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21935252:21937638:1 gene:DRNTG_34875 transcript:DRNTG_34875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDAASRGSSERARSASARAARARDTE >DRNTG_24894.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:342832:343874:-1 gene:DRNTG_24894 transcript:DRNTG_24894.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative expansin-B2 [Source:Projected from Arabidopsis thaliana (AT1G65680) UniProtKB/Swiss-Prot;Acc:Q9SHY6] MGCGACYQVKCTANEACSGEPVTVVITDECPGGPCLDEKVHFDLSGTALGAMAKSGMAEQLRSAGKFPIEFTRVECNYPGTNIAFRVDPGSNSQYLAVVIEFEDGQGDLSAVELKQAPAGTPASNNWVHMDQSWGAVWKYNAGSDLRAPFSLRLTSSSGKTLVVNNAIPAGWQTQRTYKSLVNFSN >DRNTG_07974.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2263231:2264776:1 gene:DRNTG_07974 transcript:DRNTG_07974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKNLKPKLQFFQDLGFTGPQMSPFLSKSSTMLTHSLEKRIAPSVDVLLGILSTRDLLRVLGRCPWVIKEDPALKLIPNISFLKKTCHIDQSQLLMLLVRQPSLFVMRQSALQDLAHKITEMGVSVDSRVFAQALYSFSCMSSETFKKKFDLLHSYGFSDEQTTLLFQKAPAVFRTSEKKLISGLEFFMNKVGVDHCKLLRYPSSLMYSMEKRVVPRYSVLEVLYSMKLLEKGDQLLSAILLSEEDFLKKYVWKHIETVPELLGAYKLKTKVVPLC >DRNTG_07974.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2263516:2264776:1 gene:DRNTG_07974 transcript:DRNTG_07974.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKNLKPKLQFFQDLGFTGPQMSPFLSKSSTMLTHSLEKRIAPSVDVLLGILSTRDLLRVLGRCPWVIKEDPALKLIPNISFLKKTCHIDQSQLLMLLVRQPSLFVMRQSALQDLAHKITEMGVSVDSRVFAQALYSFSCMSSETFKKKFDLLHSYGFSDEQTTLLFQKAPAVFRTSEKKLISGLEFFMNKVGVDHCKLLRYPSSLMYSMEKRVVPRYSVLEVLYSMKLLEKGDQLLSAILLSEEDFLKKYVWKHIETVPELLGAYKLKTKVVPLC >DRNTG_07974.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2263377:2264776:1 gene:DRNTG_07974 transcript:DRNTG_07974.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKNLKPKLQFFQDLGFTGPQMSPFLSKSSTMLTHSLEKRIAPSVDVLLGILSTRDLLRVLGRCPWVIKEDPALKLIPNISFLKKTCHIDQSQLLMLLVRQPSLFVMRQSALQDLAHKITEMGVSVDSRVFAQALYSFSCMSSETFKKKFDLLHSYGFSDEQTTLLFQKAPAVFRTSEKKLISGLEFFMNKVGVDHCKLLRYPSSLMYSMEKRVVPRYSVLEVLYSMKLLEKGDQLLSAILLSEEDFLKKYVWKHIETVPELLGAYKLKTKVVPLC >DRNTG_07974.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2263516:2264907:1 gene:DRNTG_07974 transcript:DRNTG_07974.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKNLKPKLQFFQDLGFTGPQMSPFLSKSSTMLTHSLEKRIAPSVDVLLGILSTRDLLRVLGRCPWVIKEDPALKLIPNISFLKKTCHIDQSQLLMLLVRQPSLFVMRQSALQDLAHKITEMGVSVDSRVFAQALYSFSCMSSETFKKKFDLLHSYGFSDEQTTLLFQKAPAVFRTSEKKLISGLEFFMNKVGVDHCKLLRYPSSLMYSMEKRVVPRYSVLEVLYSMKLLEKGDQLLSAILLSEEDFLKKYVWKHIETVPELLGAYKLKTKVVPLC >DRNTG_32966.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30813818:30818300:1 gene:DRNTG_32966 transcript:DRNTG_32966.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPAEELLKKIQELEAGHAMLKQEMSRLMPSGGDERRAERPRSQSVSPQRSPAQTRRRNNGGCEATGSSVWRKGSVPFGHSSRLQRESKDSSSRAGEAPASIGLSDRQYLNILQSMGQSVHIFDLSGRIIYWNRTAERLYGYSAAEALGQDAIELLVDGRDFNVANNIIHRITLGESWTGKFPVKNKQGERFLAMVTSTPFYDDDGSLVGIICVSNDSQCFQDAMSPLSFSKPNARIGCGNKGVSDSQQPLQVALASKLSNLASKVTNKVRSRIRTSENGLERESASGDSLGSDHGCSDADHKEDATSSGASTPRGDAHPSPFGVSSSVAFDEKSPGKSSKSNNEKADGKSGIHKIISSKAEAWIAKKGILWPWKGNEHDSTDSSDTKNPSDQENDVSHPKTSESNLKPENQANENNRAGNNEASGSWSSFNANSTSSVSSSGSTSSSAVQKVDGENDCLDYEILWEDLTIGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSEDVILSFRQEVSLMKRLRHPNILLFMGAVTSPQRLCIVTEFLPRGSLFRLLQRNTTRLDWRRRIHMALDIARGMNYLHHCNPPIIHRDLKSSNLLVDKNWTVKVGDFGLSRLKHETYLTTKTGKGTPQWMAPEVLRNEPSDEKSDVYSYGVILWELVTEKIPWDSLNSMQVIGAVGFMNQRLELPKDLDPQWVSIIESCWHSEPSCRPSFQELLERFKELQRQTVVQSQMQRNTTAETAQTAPKLNPQD >DRNTG_02668.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000122.1:51151:51777:-1 gene:DRNTG_02668 transcript:DRNTG_02668.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHILLLFHKLHGALDDLPRTVACAMNQGSNVTSMDFHPSHHTLLLVGTGTGEITLWEIGLRERLV >DRNTG_13167.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:16014227:16015765:-1 gene:DRNTG_13167 transcript:DRNTG_13167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLKLKCMKMVVSESAPNTGPNNGTRCDTVMGARLSNFNSSHPVGSNRSTPTLHVAPHCSIPSSFADSNHNEQESNQNNSTRLDNVPAVNEAENLNAVDRNGQQRKRGRTTLKELWTLPPEERIVVSANHLGQPLGPEAQLLAGFLCMLARNGQQIGLHYESWHKVLKTLKHEMFKFIELRFALGISKEYVLKSLGKKWRDYKHDLKKRHFKREDGLQVNKDRHPNATIRWQWDQLVDYWYSNKGEDSEKLGVASRKQQKYTHTSGSKSFARKEKEMEVNSGRKVGCLQFFKATHTKKDGSHMNVETEEIMDKANEKLAECETVDEDMQMVETEILTQIIGKE >DRNTG_15960.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:338490:340707:1 gene:DRNTG_15960 transcript:DRNTG_15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELISFPSTVARVPPARGAVLMRSRQWQTCIIRNGGIAEPSGMPAPMGQKTQYKDGFFEKAFMSLFARKMGKFASDTKSNNNNNNNNNNNNKSKKGFWDWDYESFVDVSKRVMMGRNRTQQQLVVREVLLSMLPPGAPAQFRKLFPPTKWAAEFNAALTVPFFHWLVGPSEVVEVEVNGVKQKSGVHIKKCRYLENSGCVGMCVNMCKFPTQDFFTNDFGLPLTMNPNFEDMSCEMIYGQVPPPFEEDPVSKQPCYADFCSIATPSSPICPKLQA >DRNTG_11252.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21810172:21820648:-1 gene:DRNTG_11252 transcript:DRNTG_11252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEPPAASPSLIYMPLVIALCIIASVVLALLALHSMIVRLCSGDRRETSQAAIAGGVEKKVVDAIPVHAYTKKEKSLWLSQNDCPVCLGELEEGEPIKVLPDCHHIFHVLCIDTWLAFHSTCPFCRCEITMSDSLVVPEGDGVSGGGGDASSACPSTSGSILMHFMEMLKLRSSLELQQNIQQHPSDGSSRRACVMV >DRNTG_34516.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002160.1:75273:76357:1 gene:DRNTG_34516 transcript:DRNTG_34516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYNDDLHTNTQEEEQASMEKKYGGIAPKKKPLISKDNKRAYFDSADWALGKQESNAGLQNRVQVESLKPKLQVCFLIN >DRNTG_27011.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26911002:26912954:-1 gene:DRNTG_27011 transcript:DRNTG_27011.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable LRR receptor-like serine/threonine-protein kinase RKF3 [Source:Projected from Arabidopsis thaliana (AT2G48010) UniProtKB/Swiss-Prot;Acc:P93050] MNHLLLLLHLLLLSSSLNLPPSSSQNTTTTPCPLNFTVLNKFIQEAESSPSSIPTETSAICTYSLHGLHLVEAEYLLTNSRFIPPPSSSLSCWSSYTSLISPLLPSSFNLTSSCGFLPQWISTPSCMNISTLSDFESHVNTSRLQDHSSKCNQSLVPTPSCTACTASVAAVQGTYFPGSSSDTGNITTCSDLVTIYTAAIINRFGPTDDGTVSCLFQLSSTIFSTSSSSSSNSWIYGVAAACLVLLLLFVLGVWFFFRRRRRQRRRRRRKDFVGPGGRSLALESIESSTTLIKFKFDEIRAATKNFSRDYIIGRGGYGNVYKGILADGSDVALKRFKNCSAAGDESFAHEVEVIASVRHVNLVALRGYCIATTPREGHQRIIVCDLMKNGSLHDHLFSRTAERLSWPLRQRIAVGTARGLSYLHSGAQPSIIHRDIKASNILLDLNFEPKVADFGLAKFAPEGMSHVSTRVAGTLGYVAPEYALYGQLTEKSDVYSFGVVLLELLSGRKAFVDNGEGEKSLVTDWAWSLVRNGRTLDVIEDGINEPGPDEVMEKFVLVAVLASHPQLHARPTMDQVVKILESVDVAVPSIPDRPISLIANLDDIERSVSSSGSGQLSSFAGYQAFLSGDSNAQNVDAGDGSDDSDDQTLV >DRNTG_33888.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15053069:15056671:1 gene:DRNTG_33888 transcript:DRNTG_33888.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLPYKDNLLLFSRYLQQLVMESLGKEFDLDGNQGQ >DRNTG_33888.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15053069:15056671:1 gene:DRNTG_33888 transcript:DRNTG_33888.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLPYKDNLLLFSRYLQQLVMESLGKEFDLDGNQGQ >DRNTG_33888.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15053069:15056671:1 gene:DRNTG_33888 transcript:DRNTG_33888.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLPYKDNLLLFSRYLQQLVMESLGKEFDLDGNQGQ >DRNTG_30604.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001601.1:13512:14110:1 gene:DRNTG_30604 transcript:DRNTG_30604.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKLFILALLVALLSNTSNAARRLLDTPAAPTTPTTPPTIPNMPTIPTIPTIPTIPTTQIPPLPSLPKPTIPGLVIPTMPAATLPPIPSIPLPTMPTIPKSIPPLPSFPIVIPNIPTIPGFKMPPLPFFSPPPAATSP >DRNTG_16098.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25474872:25479293:1 gene:DRNTG_16098 transcript:DRNTG_16098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKIVLLALFVLSISWISSGAKDLSASDITGVPIEYKNIGEEKIHEVVEKNNQLCTICEEYTAEALSVLGENKTQMDIISILHKACSQLRSLEEQFEIIEILLNQCSKAENYAQECKRLVFEYGPLILASGEKFFETNDVCSIVLSCTSSKTESIGSAQITEASLTDA >DRNTG_01820.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16233704:16233934:1 gene:DRNTG_01820 transcript:DRNTG_01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYISLTNNRNQQHEAGSSTVKSEQDRTEYQQP >DRNTG_33686.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1287302:1288913:-1 gene:DRNTG_33686 transcript:DRNTG_33686.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHFFAFLLLCFSLLIQYSYVAGIISGRLEHTIAVSSLLPETICSTTEDFTDNNRLKLTHRHGPCSPIKNSKKPDHKQILAQDQSRVYSIQHRRHHSSTTTTIKIEPNKIHQSPLAGTKIPAYTGKSFSTANFIVTVGFGTPKRDLSLIFDTGSDVTWIQCQPCISSCYAQQEPIFEPTQSSTYSNVSCSESACSELDTSSCSGATCLYAVQYGDNSYTVGFFSKDTLTLSSSDILPGFFFGCGEKNRGLFGKAAGLLGLGRGSTSLISQSKTKYNGVFSYCLPSTSSSAGYLTFGGGAPANIKYTSMLTDSSMPTFYFLNLLSIRVAGIKLSISPTVFSNAGTLIDSGTVISRLPPAAYSSLRQAFKQKMGKYPTAPALSILDTCYDFSNYSTVSVPTVALEFAGGVVVDLDFSGILYVASLSQACLAFAGNSDAGDVGIFGNIQQHKLNVVYNVGKQVIGFGKGGCN >DRNTG_29981.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17454481:17459276:-1 gene:DRNTG_29981 transcript:DRNTG_29981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNGALTFDVEYARWLEEHSRQIGDLRNAVNSHASDVDLRVTVDGVMAHYDEIFKLKGAAAKADVFYMLSGMWKTPAERCFMWLGGFRSSELLKLLVSQLEPLTEQQLVGICNLQQSSQQAEDALSQGMDALQQSLAETLASGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLQQMHRILTVRQSARALLAISDYFSRLRALSSLWLARPRE >DRNTG_16237.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5917540:5919732:-1 gene:DRNTG_16237 transcript:DRNTG_16237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDIGSPIAGASNLIASACSSRRSRSQIASLWFAAIPSGLTEKALMLIDVVSAARSDAANDTPREELLCFVGSSSSSFSSQNPRFSNI >DRNTG_31448.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:749854:751732:1 gene:DRNTG_31448 transcript:DRNTG_31448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMENPELALMGSCVLVMLMKGEDVYLMNVGDSRAVLAQRLDFYSRNSIEKENQGLEMMMMITDGLHDLAALQLTIDHSTCVLEEVERIRDEHPDDACAIVNDRVKGSLNVTRAFGAGFLKQPKWNNALLEVFRIDYIGNKPYLSCTPSLYHHKISSKDRFLILSSDGLYQYFTNDEAVSQVELFITMCPEGDPAQHLVERSSFPSSSKSWHGVP >DRNTG_08379.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4433344:4433646:-1 gene:DRNTG_08379 transcript:DRNTG_08379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCMSNNIICREVFGKRFSNDGECNRSEHHDLVLEIIELMGGFFLADFFPSFKWLSVVTGLQGKLDRNFKRMNEFFEREIEEHSLSLMNDKGRD >DRNTG_16664.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000835.1:3308:6201:1 gene:DRNTG_16664 transcript:DRNTG_16664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKRDGHLLMFLFFLSILRSFQGRELPTKSKYSYLRTASSFSSTPEQEYDYIIVGGGTSGCPLAATLSKKYSVLVLERGGSPYGNLNVSYLQNFHISLADTSPTSPSQPFISTDGVINARARVLGGGTCINAGFYSRASQSFVRNAGWDLDLVNEAFPWVEKQIVQWPKLAPWQTALRNGLLQAGISPFNGYTYDHINGTKIGGTIFTKHGFRRTAADLLLAGNPKNLKVLLHATVQKILFDTKGLRPKAIGVLFKDECGRLHRAFVNGNSRSEVIVSSGTVGSPQLLLLSGIGPKNDLQKLNITVILNNPHVGKGLSDNPMNSIFIPSKKPVKQSLIETVGITTFGSFIEASSGFGQSSDSIHCHHGIMSAEIGQLSTIPPSQKKSGFYKGLCKKKA >DRNTG_16664.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000835.1:3712:6201:1 gene:DRNTG_16664 transcript:DRNTG_16664.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFLHVNLCSLCCVLVPISYVEVSNSSPLFLGKELPTKSKYSYLRTASSFSSTPEQEYDYIIVGGGTSGCPLAATLSKKYSVLVLERGGSPYGNLNVSYLQNFHISLADTSPTSPSQPFISTDGVINARARVLGGGTCINAGFYSRASQSFVRNAGWDLDLVNEAFPWVEKQIVQWPKLAPWQTALRNGLLQAGISPFNGYTYDHINGTKIGGTIFTKHGFRRTAADLLLAGNPKNLKVLLHATVQKILFDTKGLRPKAIGVLFKDECGRLHRAFVNGNSRSEVIVSSGTVGSPQLLLLSGIGPKNDLQKLNITVILNNPHVGKGLSDNPMNSIFIPSKKPVKQSLIETVGITTFGSFIEASSGFGQSSDSIHCHHGIMSAEIGQLSTIPPSQKKSGFYKGLCKKKA >DRNTG_16387.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16002390:16003179:-1 gene:DRNTG_16387 transcript:DRNTG_16387.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELQATSPEAHHWLINKSDMEHWSNYLFRGEHWGEMYSNVAESFNAWIKQARHLLVTKMVDSIRFKLMRMLCNHHKQANKWKTYLCPDIHLKVEILVEYTRNLHVSCCVDDRYEVINQCSNSVDLAIRTCSYRKWQVYGISCKHACAAIMQTDTNVHRSISGYFTVNNYKLAYKEAIFPIPDDDKLMDENRELRL >DRNTG_25776.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5824450:5846753:-1 gene:DRNTG_25776 transcript:DRNTG_25776.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPAGKAMLGRVVDALGVPIDGRGALSLKAVDSLVPIGRGQRELIIGDRQTGNSYSYRYYIKPKANELKGHLSE >DRNTG_34766.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3115367:3117424:-1 gene:DRNTG_34766 transcript:DRNTG_34766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNFLFLFLSFCILTPPTPATPLSFKIDFNDPNISNNITTKSDAFINKGIQLTKDGYYDNIKNSVGRAFYKEPMFLWDRQTRELTNFTTHFSFQIVTKSGSGADGLAFFLSPDLSDAPNNSAGGSLGLFSSTNTSANSLLLAVEFDTYENFGIDPNYTYPHSHIGIDINSTKSLKTAEWIGRFNTGDPLNATVSYSGDTMNLSVFLTNHTMNLSISYVVDLRNILPENVYVGFSAATGTDIQTHSILAWDFNSTLVSKASTPTPPVSKKKRSKIGLLVGLSVGAVVLVIAFGLLWSVLRMKRPTETTGNEEEVEMDLAMDDEFERDKGPKKFLYSDLAKATNNFSDEEKLGEGGFGNVYKGLLQEEKQVAIKRISRGSSQGKKEYISEVKIINKVRHRNLVQLEGWCHNRGDFLLVYEFMPNSSLDKHIYGKERLLSWSQRYKIAVDLASALLYLHEGWEQCVVHRDIKPSNVMLDSAFNAKLGDFGLARLIDHDSDLQTTIVAGTRGYMAPEYINTGKASKESDVYSFGIVALEISCGRRPVDLREEPGKVLLVEFVWDLYGKGMILDGADKRLENEFDEHQIERLMIVGLWCAHPDYKLRPSMRQAISVLNFEASLPILPSKMPVPMYFAPPLDLAHSAYASTSSSGGFHGTSHTHSSYASYSSQSTEPASTSQSTHLLSSR >DRNTG_08992.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28983661:28984622:1 gene:DRNTG_08992 transcript:DRNTG_08992.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 1 [Source:Projected from Arabidopsis thaliana (AT2G02990) UniProtKB/Swiss-Prot;Acc:P42813] MKSKTFSFPFISLLLLLFVVLSAAKSYDFFYFVQQWPGSYCDTRRGCCYPTTGKPEANFTIHGLWPNYDNGKYPHDCDYENLYDATKIEDLLPEMQTKWPTLACPSADGNKFWAHEWNKHGTCSESILNQYSYFQAGLDLQTKANILSILEDADIRPDGALYSTEHITEVIKEALGVLPSIECNRDESRNPQLFQIYLCVDKLGKDFIDCPFYPKRKCSPEIEFPAF >DRNTG_30073.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22740730:22744106:-1 gene:DRNTG_30073 transcript:DRNTG_30073.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPSIEVVVVDISVNRINSWNSDQLPIYEPGLDDVVKECRGRNLFFSTDVEKHVYEADIIFVSVNTPTKTRGLGAGKAADLTYWESAAWMIADVSKSDKIVVEKSTVPVKTAEAIEKILNHNSKGIHFSILSNPEFLAEGTAIQDLLKPDRVLIGGRETPEGQKAVQALKEVYAHWVPEDRIIISNLWSAELAKLVANAFLAQRISSVNAVSALCEATGADVTEVAYSVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICESNGLAEVANYWKQVIKINDYQKNRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDNATISIYDPRVTEDQIQRDLAMNKFDWDHPIHLQSLSPTSVKKVRVTWDAYEAANGAHGVCILTEWDEFKQLDYQRIYDNMQKPAFIFDGRNVIDPEKLREIGFIVYSIGRPLNPWLKNLSAAS >DRNTG_30073.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22740730:22744106:-1 gene:DRNTG_30073 transcript:DRNTG_30073.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPSIEVVVVDISVNRINSWNSDQLPIYEPGLDDVVKECRGRNLFFSTDVEKHVYEADIIFVSVNTPTKTRGLGAGKAADLTYWESAAWMIADVSKSDKIVVEKSTVPVKTAEAIEKILNHNSKGIHFSILSNPEFLAEGTAIQDLLKPDRVLIGGRETPEGQKAVQALKEVYAHWVPEDRIIISNLWSAELAKLVANAFLAQRISSVNAVSALCEATGADVTEVAYSVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICESNGLAEVANYWKQVIKINDYQKNRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDNATISIYDPRVTEDQIQRDLAMNKFDWDHPIHLQSLSPTSVKKVRVTWDAYEAANGAHGVCILTEWDEFKQLDYQRIYDNMQKPAFIFDGRNVIDPEKLREIGFIVYSIGRPLNPWLKNLSAAS >DRNTG_30073.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22740730:22742450:-1 gene:DRNTG_30073 transcript:DRNTG_30073.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPSIEVVVVDISVNRINSWNSDQLPIYEPGLDDVVKECRGRNLFFSTDVEKHVYEADIIFVSVNTPTKTRGLGAGKAADLTYWESAAWMIADVSKSDKIVVEKSTVPVKTAEAIEKILNHNSKGIHFSILSNPEFLAEGTAIQDLLKPDRVLIGGRETPEGQKAVQALKEVYAHWVPEDRIIISNLWSAELAKLVANAFLAQRISSVNAVSALCEATGADVTEVAYSVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICESNGLAEVANYWKQVIKINDYQKNRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDNATISIYDPRVTEDQIQRDLAMNKFDWDHPIHLQSLSPTSVKKVRVTWDAYEAANGAHGVCILTEWDEFKQLDYQRIYDNMQKPAFIFDGRNVIDPEKLREIGFIVYSIGRPLNPWLKNLSAAS >DRNTG_30073.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22740730:22744106:-1 gene:DRNTG_30073 transcript:DRNTG_30073.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPSIEVVVVDISVNRINSWNSDQLPIYEPGLDDVVKECRGRNLFFSTDVEKHVYEADIIFVSVNTPTKTRGLGAGKAADLTYWESAAWMIADVSKSDKIVVEKSTVPVKTAEAIEKILNHNSKGIHFSILSNPEFLAEGTAIQDLLKPDRVLIGGRETPEGQKAVQALKEVYAHWVPEDRIIISNLWSAELAKLVANAFLAQRISSVNAVSALCEATGADVTEVAYSVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICESNGLAEVANYWKQVIKINDYQKNRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDNATISIYDPRVTEDQIQRDLAMNKFDWDHPIHLQSLSPTSVKKVRVTWDAYEAANGAHGVCILTEWDEFKQLDYQRIYDNMQKPAFIFDGRNVIDPEKLREIGFIVYSIGRPLNPWLKNLSAAS >DRNTG_02413.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000113.1:195646:198357:-1 gene:DRNTG_02413 transcript:DRNTG_02413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSMMFLQSLPSILVAQMKLSRSRPLFNHGVIEHGMTPSFLDEVRDVTKAFFELPRDEKQKYSNMKNGNFQFEGYGNDEVATEDQILDWNDRLFLTVQPEDIRKLELWPENPSSFRVVLSEFSTKTRKLLDDVLKAMAKSLELDEDSFIRQFGDRPLLDARFNNYPCCRRPDLVIGVKPHSDSSGLTIILPDKDVEGLQVMKDGVWVKIITDPHALIVNMGDQMEIISNGIFKSPLHRVVTNTEKHRISIAMFYTPEGETEIGPADGLVSDTKPRLYKTMKSKDYLGIFFPRYLLGKTTIHLVKI >DRNTG_02413.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000113.1:195646:197359:-1 gene:DRNTG_02413 transcript:DRNTG_02413.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQVIEHGMTPSFLDEVRDVTKAFFELPRDEKQKYSNMKNGNFQFEGYGNDEVATEDQILDWNDRLFLTVQPEDIRKLELWPENPSSFRVVLSEFSTKTRKLLDDVLKAMAKSLELDEDSFIRQFGDRPLLDARFNNYPCCRRPDLVIGVKPHSDSSGLTIILPDKDVEGLQVMKDGVWVKIITDPHALIVNMGDQMEIISNGIFKSPLHRVVTNTEKHRISIAMFYTPEGETEIGPADGLVSDTKPRLYKTMKSKDYLGIFFPRYLLGKTTIHLVKI >DRNTG_21786.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:16661481:16662871:-1 gene:DRNTG_21786 transcript:DRNTG_21786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPWTPSFRHELQSLIGRNKPFSLPQVFVKGRCIGGAEEVRQLHEAGELVKFLEGVRRQDPCFICAGCGGVRFVPCGVCSGSRKVFDEEGRMMRRCGDCNENGLVRCSNCYSM >DRNTG_00208.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15609873:15610604:1 gene:DRNTG_00208 transcript:DRNTG_00208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSYSGWYCSRAPGQHGSKYCSSTVYNRPRK >DRNTG_20438.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4506182:4508250:-1 gene:DRNTG_20438 transcript:DRNTG_20438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGARIDGLKEMVAMVVVQSISASMTIFAKEVFTEGMSIIVFIVYRQAIASLFLISTSIIVNRGKIDHLALGFKGFLLVFLTSFIGPTMTEYLSYNGLALSSSSVSAAMSNTLPAATFVMALVFGVEKLKPKSLRTFAKIFGTLICVAGAVCMALYKGLKLHSFELLEENWIKGFLFLTGSICCWSLWLILQEPICKHYLDPLSLATWMAFLSAILSFILALITETNSNAWKIQSVFQFLSCLFVGIFGSGVLFFLVSWVIASRGPVFSAIFTPLNLVITAIFGPLLLHENLYFGSLLGAAAVIVGLYMVLWGKAEDYESKAKVDHKDDSTEQDSVQSVLHESLLIGGDHDIEGHPQNN >DRNTG_20884.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2399759:2400085:1 gene:DRNTG_20884 transcript:DRNTG_20884.4 gene_biotype:protein_coding transcript_biotype:protein_coding MISLSKEPKLLKSTHMDDESKREEHTVAHGRAKGGRTSASSPPAMRPGAQ >DRNTG_20884.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2398598:2400085:1 gene:DRNTG_20884 transcript:DRNTG_20884.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRILLNFRDLCLIWKKQNFPVEFHQSARCLARSSFSLRASFPYSLPEHPKTTKIFRTVGMISLSKEPKLLKSTHMDDESKREEHTVAHGRAKGGRTSASSPPAMRPGAQ >DRNTG_20884.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2398598:2400085:1 gene:DRNTG_20884 transcript:DRNTG_20884.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRENKINKQNKKHKPQDKLTNFRDLCLIWKKQNFPVEFHQSARCLARSSFSLRASFPYSLPEHPKTTKIFRTVGMISLSKEPKLLKSTHMDDESKREEHTVAHGRAKGGRTSASSPPAMRPGAQ >DRNTG_20884.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2397806:2400085:1 gene:DRNTG_20884 transcript:DRNTG_20884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLSKEPKLLKSTHMDDESKREEHTVAHGRAKGGRTSASSPPAMRPGAQ >DRNTG_29700.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3760350:3763771:1 gene:DRNTG_29700 transcript:DRNTG_29700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPREPSKMNSPEPPGMMVGSNPFGPVPTNSMAMPQSSVGMMMPGMRLSFNHIPPNVSKSLDSPSSLFHGDGMQGIRPGMLNMGVEPVKRKRGRPRKYGPDGSMALALSPMPSAPTYSNNQQSESTGKRRGRPPGSGKKQQLNALGSAGFSFTPHVITVKSGEDVASKILAFSQQGPRTVCILSANGIVCNVTLRQSSTSGGTVTFEGRFEIISLSGSYLLTENGGTRSRTGGLSIALAGPDGRVLGGGVAGMLMAAGPVQVVVGSFIAEGKKQKSEGLNIDPSSAPPQITGFSAPSVASPPSQGTSSESSDDSAGSPIENRVNCNNAMPPVHNMLYNPMGWPHPANQIRHDAERKMMP >DRNTG_29700.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3760350:3763771:1 gene:DRNTG_29700 transcript:DRNTG_29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPREPSKMNSPEPPGMMVGSNPFGPVPTNSMAMPQSSVGMMMPGMRLSFNHIPPNVSKSLDSPSSLFHGDGMQGIRPGMLNMGVEPVKRKRGRPRKYGPDGSMALALSPMPSAPTYSNNQQSESTGKRRGRPPGSGKKQQLNALGSAGFSFTPHVITVKSGEDVASKILAFSQQGPRTVCILSANGIVCNVTLRQSSTSGGTVTFEGRFEIISLSGSYLLTENGGTRSRTGGLSIALAGPDGRVLGGGVAGMLMAAGPVQVVVGSFIAEGKKQKSEGLNIDPSSAPPQITGFSAPSVASPPSQGTSSESSDDSAGSPIENRVNCNNAMPPVHNMLYNPMGWPHPANQIRHDAERKMMP >DRNTG_28769.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001446.1:68227:69549:1 gene:DRNTG_28769 transcript:DRNTG_28769.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKKKASDKCPREHSPKPKHIEFAILEHQARKVQLADDMADEVEELLSVNSRSDCISVLIRQELLLITEMSLLNVIRRAKKTIVTAPLGLETMRLMGMIMRYRDGVYVMNMSPLKPVGAEGDAAEGSRPVLEPQLEQMETETPPLVLIFSPSPAYDHFERLKSAIGALRAEIAAFCATQAANHIEVMARLDTLQQLLELDVTSPFVMRPRTPLTPPAPPPPPAAPIVPPTSLFPKTD >DRNTG_12877.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22859614:22860999:1 gene:DRNTG_12877 transcript:DRNTG_12877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDRRSHAIALLSSPGVGHLIPLAELARRLARDHHFTVTLITQSAGPISEVEQNLTDSIPKDINVVSLSPPSPSSIPDGLELGPLIFLTINHNIPRVRAILQSLATSSVSPLAALVVDLFCVGAFSIAQEIGVPPYMLYTSPCMMLSFCLYLPTIDAMYQVEYKDLTEPLCLPGCVPLHGRDFPDPLHHGRNSEPYKGVLWLVNQFPRAKGILVNTFQELEPGVIKALKDDATVPAIYPVGPLIRSCTPGPTNGGDCLAWLDKQNRGSVLFVSFGSGGTLSAKQLNEVACGLEMSGHPFLWVVKSPNDHDAAGTFFSVQSKTEPLAFLPQGFLERTKDSGLTVPSWAPQIEILSHESTGGFLTHCGWNSALESMVNGVPLIVWPLYAEQRTNTVLLVDDVKVALRPIADENGFVTREEVSRVIKCLMEGVEGKRLRAKMATVSNQSSHATSTTGSSSESLC >DRNTG_10233.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:110323:113395:1 gene:DRNTG_10233 transcript:DRNTG_10233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRQPPAHRCPCLSITHARLLCYGRFSRPCLLGQLADIKFFSMFSVKPQGSLCYGKFFPYPLGHCRFSRASVYRARDGTKAGLAGASAPPQLRRSLYQAGGTRGPFIPSSPSSPSTSRLHFYQFNHGAVLINSHGGDEGRQGCRNFLSYDPNVRLPLWPSEQAARLKLLLVTDGEKSCRYFTKNFKGKVRGYSVKTVGTTGAGDAFIRAFLFVVAFVVERSLFEDE >DRNTG_13133.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000593.1:75091:77154:1 gene:DRNTG_13133 transcript:DRNTG_13133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGISDSSILDEAAETKGSEENLFMKECPDKATLIHQDIICNNGNGTAAVNNSSVCVIHYLWQSV >DRNTG_13133.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000593.1:75091:77154:1 gene:DRNTG_13133 transcript:DRNTG_13133.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGISDSSILDEAAETKGSEENLFMKECPDKATLIHQDIICNNGNGTAAVNNSSVCVIHYLWQSV >DRNTG_08010.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30060195:30068638:-1 gene:DRNTG_08010 transcript:DRNTG_08010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSSGLARPERFYAAAAYAGFGGLLSSSSSSSVALVSRFQDDVALLLYGLYQQAMVGPCNVPKPRVWNPVEHSKWTSWNELGNMASTEAMRLFVKILEEEDPSWYSRVPDSMIEPVVDAEIHKPQSGATSFSVVPNGNSFLEPKTVTLENGNMVETQDKNIIIEGGLGSVDVYDQWVAPTISGSRPRPRYEHGAALLDDKMYIFGGNHNGRYLRDIQVLDLKSLAWSKVEANAVAESPEAAALFVPCAGHSLISWENKILSIAGYTKDPFEMVTVRAFDPQTCMWSNLKTYGKPPISRGGQSVTLVGKTLVMFGGRDAKRNLLNDLHILDLETMTWDEFDAIGMPPSPRSDHVAACYADQYLLIFGGGSHTTCFNDLHVLDLKHMEWSKPKQQGMIPAPRAGHAGIAVGENWFIVGGGDNKNGVSETLVLNMPTLSWSVVSTIQDHVPVASEGLSLVLSSYNGEDVLVSCGGYNGRYSNEVYVLKPSHKSNLQSKMMEGSASDNIIAFLSTTNASGCVEPESEAAPEGKIREIMMNGVDLESLNMRSEDASSQLVAALKTEKEELEASVARQQTQNVQLRQEVSEAEMGNIELTKASSVTTVFLFPYDSWCVKASITFF >DRNTG_08010.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30060195:30068638:-1 gene:DRNTG_08010 transcript:DRNTG_08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSSGLARPERFYAAAAYAGFGGLLSSSSSSSVALVSRFQDDVALLLYGLYQQAMVGPCNVPKPRVWNPVEHSKWTSWNELGNMASTEAMRLFVKILEEEDPSWYSRVPDSMIEPVVDAEIHKPQSGATSFSVVPNGNSFLEPKTVTLENGNMVETQDKNIIIEGGLGSVDVYDQWVAPTISGSRPRPRYEHGAALLDDKMYIFGGNHNGRYLRDIQVLDLKSLAWSKVEANAVAESPEAAALFVPCAGHSLISWENKILSIAGYTKDPFEMVTVRAFDPQTCMWSNLKTYGKPPISRGGQSVTLVGKTLVMFGGRDAKRNLLNDLHILDLETMTWDEFDAIGMPPSPRSDHVAACYADQYLLIFGGGSHTTCFNDLHVLDLKHMEWSKPKQQGMIPAPRAGHAGIAVGENWFIVGGGDNKNGVSETLVLNMPTLSWSVVSTIQDHVPVASEGLSLVLSSYNGEDVLVSCGGYNGRYSNEVYVLKPSHKSNLQSKMMEGSASDNIIAFLSTTNASGCVEPESEAAPEGKIREIMMNGVDLESLNMRSEDASSQLVAALKTEKEELEASVARQQTQNVQLRQEVSEAEMGNIELTKELQSVRGQLAAEQSRCFKLEVDVAELRQKLQTMESLQKEVEFLQRQKATSEQAALSAGPRQSSGGRLWGWLAGAPEEKSGSQ >DRNTG_08010.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30060195:30068638:-1 gene:DRNTG_08010 transcript:DRNTG_08010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSSGLARPERFYAAAAYAGFGGLLSSSSSSSVALVSRFQDDVALLLYGLYQQAMVGPCNVPKPRVWNPVEHSKWTSWNELGNMASTEAMRLFVKILEEEDPSWYSRVPDSMIEPVVDAEIHKPQSGATSFSVVPNGNSFLEPKTVTLENGNMVETQDKNIIIEGGLGSVDVYDQWVAPTISGSRPRPRYEHGAALLDDKMYIFGGNHNGRYLRDIQVLDLKSLAWSKVEANAVAESPEAAALFVPCAGHSLISWENKILSIAGYTKDPFEMVTVRAFDPQTCMWSNLKTYGKPPISRGGQSVTLVGKTLVMFGGRDAKRNLLNDLHILDLETMTWDEFDAIGMPPSPRSDHVAACYADQYLLIFGGGSHTTCFNDLHVLDLKHMEWSKPKQQGMIPAPRAGHAGIAVGENWFIVGGGDNKNGVSETLVLNMPTLSWSVVSTIQDHVPVASEGLSLVLSSYNGEDVLVSCGGYNGRYSNEVYVLKPSHKSNLQSKMMEGSASDNIIAFLSTTNASGCVEPESEAAPEGKIREIMMNGVDLESLVLGHRNSSRLFLPFNLSMCMP >DRNTG_35212.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7980596:7983498:1 gene:DRNTG_35212 transcript:DRNTG_35212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESNSNPPLPPKPDNNEAKPPATEKRTRRRAREVSSRYMILPPGSPSSSISGDVHLAPSPLPLLPKAHTHHRKQRKAVPSSPDATDSESDSSSCFADENQPSIRFSETPLPSFIPHRLLTVKRKPAVRLFGDNAGEQQPHQQNNSTKQRPGTPMVLGFSVGNTPRLNLSSHNDARLMNGRRGAVPPRVSTCPLDVDNNGANGTEISSLENSVSSEQDACSISNMGGLCESPPIPSSKARSTSELRSSMPEADLLPTMSVRRKDGNEDYSICHRSLNSALSSCQQAPFSVSRSVSKNLVSQRLSSVIPKNAGPCLPPQPPNVKPVDVKKVKKAACRPEDIHWLRLLDNRYLQWRFANAKAQATMHARMLTAEKSLSDVLSDMSELQNSVMTKKIELEHLKRTKNLFAILDTQLLILDDWAILEEDYSLSLSGAIEALQNASLQLPLTGNLRADIGEIEEVLGSATTMLQAISPSVNRFLPEAQEIEIIVSELARVVTREISLAQECGDLLSEVHTLQVKECSLRSQLMQGKRRCGVSS >DRNTG_35212.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7982195:7983498:1 gene:DRNTG_35212 transcript:DRNTG_35212.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSELQNSVMTKKIELEHLKRTKNLFAILDTQLLILDDWAILEEDYSLSLSGAIEALQNASLQLPLTGNLRADIGEIEEVLGSATTMLQAISPSVNRFLPEAQEIEIIVSELARVVTREISLAQECGDLLSEVHTLQVKECSLRSQLMQGKRRCGVSS >DRNTG_12073.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000533.1:16686:18713:1 gene:DRNTG_12073 transcript:DRNTG_12073.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUB1 [Source:Projected from Arabidopsis thaliana (AT4G08810) UniProtKB/TrEMBL;Acc:A0A178UWI5] MKSESMNSSTSSTSTTTTSSTAESPIGQTLIKLISNLCFSLFVLSVLLFTVLAITYQPPDPWLDSSRALTTSLTSTLPNSTFHTDDSLLPTGEDLIPLSPTPSPSSDPLVPRSPEICTFPTPINCSDPRALDAVRRFTAEVFSRRGIVFLSFDPPVAGPNPGECDAAWRFRNKREKSWRKYRDFRRFRLFSTENCTYDVRFMGKFRSGVNARSPPGKIKSLPVEVVDSEVNDTIPTVGSEAEFKNGRYLYYSRGGDYCKGMNHYLWSFLCGLGEAMFLNRTFVIDLDVCLASEYTSSGKDEDGKDFRYYFDFEHLKESASVVEEQEFLRGWRTWDRAAKGKKSGGGKITVRKVPTYKVTPMQLKRDRSMILWRQFDGPEPENYWYRVCEGRAAKFVQRPWQAVWKSKRLMDIVTQIAAKMDWDYDAVHVVRGGKAMNKNLWPNLDSDTSPDALVQKLLKVIYPWRNLYVATNEPFYNHFDKLRSHYHVHLLDDYKEFWGNTSEWYNETRVLNGGKAVEFDGYMRVAVDTEVLYRAKTQVETFNNLTSDCKDGINTC >DRNTG_12073.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000533.1:16686:18753:1 gene:DRNTG_12073 transcript:DRNTG_12073.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUB1 [Source:Projected from Arabidopsis thaliana (AT4G08810) UniProtKB/TrEMBL;Acc:A0A178UWI5] MKSESMNSSTSSTSTTTTSSTAESPIGQTLIKLISNLCFSLFVLSVLLFTVLAITYQPPDPWLDSSRALTTSLTSTLPNSTFHTDDSLLPTGEDLIPLSPTPSPSSDPLVPRSPEICTFPTPINCSDPRALDAVRRFTAEVFSRRGIVFLSFDPPVAGPNPGECDAAWRFRNKREKSWRKYRDFRRFRLFSTENCTYDVRFMGKFRSGVNARSPPGKIKSLPVEVVDSEVNDTIPTVGSEAEFKNGRYLYYSRGGDYCKGMNHYLWSFLCGLGEAMFLNRTFVIDLDVCLASEYTSSGKDEDGKDFRYYFDFEHLKESASVVEEQEFLRGWRTWDRAAKGKKSGGGKITVRKVPTYKVTPMQLKRDRSMILWRQFDGPEPENYWYRVCEGRAAKFVQRPWQAVWKSKRLMDIVTQIAAKMDWDYDAVHVVRGGKAMNKNLWPNLDSDTSPDALVQKLLKVIYPWRNLYVATNEPFYNHFDKLRSHYHVHLLDDYKEFWGNTSEWYNETRVLNGGKAVEFDGYMRVAVDTEVLYRAKTQVETFNNLTSDCKDGINTC >DRNTG_26347.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18286304:18287615:1 gene:DRNTG_26347 transcript:DRNTG_26347.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 7 [Source:Projected from Arabidopsis thaliana (AT1G29260) UniProtKB/Swiss-Prot;Acc:Q9XF57] MPAFRTQFNGYSVKFSPFYESRILVATAQNFGLVGNGRLHILDLSPATGVISELRSFETSDGIYDCAWSESHDSLAVAAVADGSVKLWDAKLPPSSNPVRSFNEHAREVYGVDWNPIRRDSFVTASWDDTLKLWTVDRPTSIRTFQEHTYCVYSVSWSPRHADVFASASGDSTVRVWDVRDRGSNVIIPAHNNEVLSCNWNKYDECILATSSVDKTIRVWDVRSPQAPLVSLAGHTYGVKMVKFSPHRENILVSCSYDMTVRMWDYRAEDSMVSVYDQHTEFVYGLDLSVLIEGLIASTGWDELVYVWQHGNDPRAMP >DRNTG_26347.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18286304:18291018:1 gene:DRNTG_26347 transcript:DRNTG_26347.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 7 [Source:Projected from Arabidopsis thaliana (AT1G29260) UniProtKB/Swiss-Prot;Acc:Q9XF57] MPAFRTQFNGYSVKFSPFYESRILVATAQNFGLVGNGRLHILDLSPATGVISELRSFETSDGIYDCAWSESHDSLAVAAVADGSVKLWDAKLPPSSNPVRSFNEHAREVYGVDWNPIRRDSFVTASWDDTLKLWTVDRPTSIRTFQEHTYCVYSVSWSPRHADVFASASGDSTVRVWDVRDRGSNVIIPAHNNEVLSCNWNKYDECILATSSVDKTIRVWDVRSPQAPLVSLAGHTYGVKMVKFSPHRENILVSCSYDMTVRMWDYRAEDSMVSVYDQHTEFVYGLDLSVLIEGLIASTGWDELVYVWQHGNDPRAMP >DRNTG_32903.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1133986:1134965:-1 gene:DRNTG_32903 transcript:DRNTG_32903.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHRPLHLLLVLGCLTSKIIQCMSCIQHERVALLKFKARLEDPHHLLSSWTGEDCCTWRGIGCNNKTGHVNMMDLRHEHLCDGPSNNGLLSGEINPSLLDLQHLNHLDLSSNNFKGIPIPNFIGSFAELSYLNLSNVGFTGMIPPQLG >DRNTG_24042.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26605167:26605963:1 gene:DRNTG_24042 transcript:DRNTG_24042.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTSPSSAFLERFRNPKNQGSDGRLLYAFQYFATNATAVTVSVSLTHPLDVLKIRLQMQRAGQRGPLIGMGKLCGKIVKIEGPVALCSGLAPAMVRSLLCGGLRLSLYEPCKSFADSVFGSANIFVKLVSGTISGAIATAVTNPVDVLKV >DRNTG_24042.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26606031:26612067:1 gene:DRNTG_24042 transcript:DRNTG_24042.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVKTRLMLQQESKGGIIYRNSFHCAYQVARTEGLRALYKGGFATYARLGPQTTIMFVVCEKLRELIGMKAI >DRNTG_24042.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26605167:26606147:1 gene:DRNTG_24042 transcript:DRNTG_24042.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTSPSSAFLERFRNPKNQGSDGRLLYAFQYFATNATAVTVSVSLTHPLDVLKIRLQMQRAGQRGPLIGMGKLCGKIVKIEGPVALCSGLAPAMVRSLLCGGLRLSLYEPCKSFADSVFGSANIFVKLVSGTISGAIATAVTNPVDVLKVRMQMNMNPQRGPVGELRQIISEEGLKGLWKGVGTSMT >DRNTG_24042.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26605167:26605653:1 gene:DRNTG_24042 transcript:DRNTG_24042.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTSPSSAFLERFRNPKNQGSDGRLLYAFQYFATNATAVTVSVSLTHPLDVLKIRLQMQRAGQRGPLIGM >DRNTG_23859.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001280.1:95665:98814:-1 gene:DRNTG_23859 transcript:DRNTG_23859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPFSILLLLLLPFSSSSSDELHLLLQTKQLFSDPTNALSNWDPILHPNFCNWTGITCSSSSTITIINLSYLSLSGPFPSPLCHLPSLSSLYLSSNNLNSSIPPSSLLPCSSLSLLDLSENLFTGPLPSSLPSLPSLSYLDLSANNFSGLIPSSFSLFPNLKALSLVGNLLTGTIPPFLSNISTLHQLNLSYNPFHPSPLPPSFSSLSSLQILWLAGCNLIGPIPSSLGLLSKLQNLDLSTNNLTGSIPASLSNLSSVIQIELYVNKLSGPIPHGFGKLPMLQRLDFATNELTGSIPQDLFDAPLLDSVHLYENQLTGEIPLGVARSRSLTDLRLFTNQLTGALPAGFGRSSLLVFVDLSDNHLSGPVPAGICDGGGLEQLLLLDNSLNGSLPESLGRCRTLTRLRLANNELSGEVPDSLWGLPHVSLIELSGNHFSGSISPAISTAANLSKLDIFGNQFSGSIPPEIGALSLLYEFSAGDNQLSGDLPSELLNLAELGKLDLHNNSLSGELPKGVRSLQKLSELNLAHNVFTGSIPPELGDLPVLNYLDFSVNDFVGEVPVQLQNLKLNKLNLSYNKLSGSLPPLFATNSYKTSFIGNPGLCSGMQGQCSGDKQLNHKSLNWLLRFMFILAAFLLMAGVLWFYYKYKNLNSSSNLITDKSKWTLTSFHKLGFSEYEIFGCLDEDNVIGSGASGKVYKAELSNGEVVAIKKLWGASKLANDSNDGFNAEVATLGKIRHKNIVKLWCCCTYEDCKLLVYEYMANGSLGDLLHGTKAGILDWPIRYKIILDAAEGLSYLHHDCVPAIVHRDVKSNNILLDGEFGAKLADFGVAKNVEAVTGKSPKSMSVIAGSCGYIAPEYAYTMRVNEKSDIYSFGVVILELVTGKLPVDPEFGEKDLVKWVCSTLEHKGVDNVIDPKLDLCFKEEILKVLSIGILCASSLPINRPSMRRVVTMLLDVSPVSKPKVSKDGKMSPYYFDDNDSVAGS >DRNTG_34437.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21254750:21257230:-1 gene:DRNTG_34437 transcript:DRNTG_34437.2 gene_biotype:protein_coding transcript_biotype:protein_coding METSEQERLPFKRFKGILGSKDQDAVLSGLLSVKLIEQTEAEVERLTRIKASRMKEIATRRRAELEEICREARIEVDSSTSLEKCYALIDSGLVDPVELVANFEAQIVKASEEAISRREILDKINRWLAVCDEENWLEIYNKDGNRYGGRGAHVNRKRAEKARMLVSKIPDMVDNLIAKTYAWEDEHDMGFLYDGVRLVSILEEYKHARLQKYEEEQLLRDSRKLQRESVFESKSSPRRSNNQNRTRSWYNGNGNGNGYMNSPSRQNFSNGTIEQLTPPHSYSSPKKNYFKEMMTRLSTTTKFNQSKLRI >DRNTG_34437.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21254750:21258470:-1 gene:DRNTG_34437 transcript:DRNTG_34437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTCGSLLRELEEIWQEMGESKEDKDRMLLELEKECLQVYRKKFEEVSRVRAQLHHEVATKEAELAALMASLGEHNLQSQMEKRSASLKKQLAVISPLLENLRIKKEERMKQFSDVLLEMKKLNAEIAGYSSHNDSLRLDEHDLSSRRLNEFRAQLQILQKEKSDRLSKVLEYINEVHSLCGVLELDFGKIVDEVHPSLQEKGRGKSTSISDSTIEGLAQTVLKLKTEKQVRMQKLQGAIESLLKLWNLMETSEQERLPFKRFKGILGSKDQDAVLSGLLSVKLIEQTEAEVERLTRIKASRMKEIATRRRAELEEICREARIEVDSSTSLEKCYALIDSGLVDPVELVANFEAQIVKASEEAISRREILDKINRWLAVCDEENWLEIYNKDGNRYGGRGAHVNRKRAEKARMLVSKIPDMVDNLIAKTYAWEDEHDMGFLYDGVRLVSILEEYKHARLQKYEEEQLLRDSRKLQRESVFESKSSPRRSNNQNRTRSWYNGNGNGNGYMNSPSRQNFSNGTIEQLTPPHSYSSPKKNYFKEMMTRLSTTTKFNQSKLRI >DRNTG_26605.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19922580:19927011:-1 gene:DRNTG_26605 transcript:DRNTG_26605.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEGNDGAVRQRRKRTMGGGERCVSCTTFNILAPIYKRLDTEDQSLRESEHRAYWLSRNESIIDRLLCDRSSIICLQEVWLGNEELVDMYEKRLGDAGYVSFKLARTNNRGDGLLTAVHKDYFSVLKYRDLLFHDFGDRVAQLLHVELVVSSRQSRKNNIHQQILIVNTHLLFPHDCSYCIVRLRQVYKILQYVEAYQQEHNLTPMPIMLCGDWNGSKRGHVYKFLRSQGFVSSYDTAHCYTDSDADAHRWVSHRNHRGNICGVDFIWLLNPNKHRKPLETTWNEAVFGIIKVPFHFHDLYSTYFLSWMALVVMVVYLKPY >DRNTG_26605.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19922580:19927011:-1 gene:DRNTG_26605 transcript:DRNTG_26605.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKEGNDGAVRQRRKRTMGGGERCVSCTTFNILAPIYKRLDTEDQSLRESEHRAYWLSRNESIIDRLLCDRSSIICLQEVWLGNEELVDMYEKRLGDAGYVSFKLARTNNRGDGLLTAVHKDYFSVLKYRDLLFHDFGDRVAQLLHVELVVSSRQSRKNNIHQQILIVNTHLLFPHDCSYCIVRLRQVYKILQYVEAYQQEHNLTPMPIMLCGDWNGSKRGHVYKFLRSQGFVSSYDTAHCYTDSDADAHRWVSHRNHRGNICGVDFIWLLNPNKHRKPLETTWNEAVFGIIKVPFHFHDLYSTYFLSWMALVVMVVYLKPY >DRNTG_26605.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19922580:19927011:-1 gene:DRNTG_26605 transcript:DRNTG_26605.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEGNDGAVRQRRKRTMGGGERCVSCTTFNILAPIYKRLDTEDQSLRESEHRAYWLSRNESIIDRLLCDRSSIICLQEVWLGNEELVDMYEKRLGDAGYVSFKLARTNNRGDGLLTAVHKDYFSVLKYRDLLFHDFGDRVAQLLHVELVVSSRQSRKNNIHQQILIVNTHLLFPHDCSYCIVRLRQVYKILQYVEAYQQEHNLTPMPIMLCGDWNGSKRGHVYKFLRSQGFVSSYDTAHCYTDSDADAHRWVSHRNHRGNICGVDFIWLLNPNKHRKPLETTWNEAVFGIIKYLLCQASLTGDNAFAMLKTDSPGDYITYQSFCQALCQFGLTSQLTGLTAQEIKSLWIQADIDGNGVVDHEEFQQRIWSSVGVEQPEQNPEESNLVNLGKGIEGLVFGFNVKDAVLFPPEVEKGMWPENYSLSDHAPLTVVFSPVKMPCSQPIC >DRNTG_35058.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18798788:18803078:1 gene:DRNTG_35058 transcript:DRNTG_35058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRFGSASTLFNIDFLRRRVIGGAVDNINSPRCVYTCKKEF >DRNTG_04317.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22093433:22094289:1 gene:DRNTG_04317 transcript:DRNTG_04317.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKATTENRKHKQKASLRRHASSSHTQRSLACALTLCASLEGGAPLDSST >DRNTG_04317.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22093433:22094289:1 gene:DRNTG_04317 transcript:DRNTG_04317.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKATTENRKHKQKASLRRHASSSHTQRSLACALTLCASLEGGAPLDSST >DRNTG_04317.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22093433:22094289:1 gene:DRNTG_04317 transcript:DRNTG_04317.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKATTENRKHKQKASLRRHASSSHTQRSLACALTLCASLEGGAPLDSST >DRNTG_25949.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2122528:2124477:1 gene:DRNTG_25949 transcript:DRNTG_25949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLFITTILISSLFIIIFSIANQKNNKSKAHHLSLPPGPRGWPIIGNLLQLGTKPHETLHALSKTYGPLLRLRFGSVTVVVANTADVASQFLRTLDANFSNRPPNSIAEHFAYNYQSPAFVPYGPRWRMFRRLCTTHLFSTKALDDLRHVREEEVALLACKFVQTKDTNTSVGVEVGKEVDACAANALTRALFKRRVFEEENGPDGGEFKKALEEVLKLSAAFNVEDFIPFLKALNVRGFVTRLKKVHHWYDDTLTKIMEEHKTKTKIVSGGESEGKDFLSMLLGLKKGGNGDEVADNKLTDTDIKALLTDLFTGGTDTTSSTVEWALVELIRHPDILTAAQKELDSIVGRSRLVSELDLNNIPVLQAIIKETFRLHPPAPLLIPHTASEACEVAGYHVPQAATLLVNVWTICRDPNVWSSPLEFDPSRFLPGGKNAEVDLKGSHLELIPFGAGRRICLGMRLGLRMTTLLVASLVHGFDWALPDGLTPETLNMDVEFGLTLERSVPLVARPIPRLAHEAYEV >DRNTG_05707.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1785868:1786822:1 gene:DRNTG_05707 transcript:DRNTG_05707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTNLHRPLLCSSLSPPGRPSRRRRSLLLLLSSPFLPLPTSLAASPPPPFNPVSESEKNASAELSLRVAEAVSLLDLARDFQAHGDFSRALDSFSLVIKDYKDLAFTEYARVGRALALYEVGDRDEAIAEMEDVSISLKGYPEIHAALAAALYADKHSPLLAENQFTIATLLDPHYTDISYVRETRHWPPSLVSSLQHFITLT >DRNTG_28474.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:409828:414068:-1 gene:DRNTG_28474 transcript:DRNTG_28474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAITLNSGHKMPIIGLGVWRMEGKSIRHLLQSSIKIGYRQFDCAADYKNEAEVGEAFSEAFQSGLVKREDLFITTKLWNSDHGHVLEACQDSLKKLQLEYLDLYLVHFPVATRHTGVGTTDSALGEDGVLDIDTTISLETTWHAMEDLVSKGLVRSIGISNYDIFLTRDCLAYSKIKPAVNQIETHPYFQRESLVKFCQKHGICVTAHTPLGGSTANTEWFGTVSCLDDPVLQALAEKYNKTPGQLVLRWGIQRKHCSHSKVIEGGETRGKFPGV >DRNTG_06677.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3163714:3164301:-1 gene:DRNTG_06677 transcript:DRNTG_06677.1 gene_biotype:protein_coding transcript_biotype:protein_coding KFLKYYYLINLIQIKSNMAELEMEFAKQNLKE >DRNTG_23100.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19748622:19753678:1 gene:DRNTG_23100 transcript:DRNTG_23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGITEYTVVDIFDYMQAHEERAFVLKFSAIEIYNEAVKDLLSNDNTPLRLLDDPERGTIVEKLTEVTLRDWSHLKELLLVCAAQRKIGETLLNEMSSRSHQIIKLTIESSAREFLGKGKSSSLVASVNFVDLAGSERASQALSAGSRLKEGCHINRSLLTLGTVIRKLSKGRHGHVPYRDSKLTRILQPSLGGNARTAIICTMCPARSHLEQSRNTLLFASCAKEVVTNARVNVVMSDKALVKHLQKELARLESELGNPGSASGTSHLEALLRGKDAQIKKMEKEIKELIRQRDLAQSQLKNFLRIAVDDRASRQWDESSQVSTSRVTTSEYDDVVSVSATSGFPYRGLNFGFTKFDKSMGTEDWNELHSLRSGKLSENDAFSPSHSISSSNFIRLIPRRSENNTHEAVDTVEDHCKDVQCIEIAESSTSGRKELGISLNERTESQLSTTPNQEHNATRPLSVDSPAITLEQQLQNVRKRIDDLVRPYHDEMSSVSSTVELSKSQSSALTKSRSCRTSMNSVSSPWFQDAGHTDNNTPPNSFLRNFPGRPDQLQRRHVALNCDIDSETLLGLKEMAQVQYDKQCGNSQIDNGDVEVGGMRKDAGVHPMLDLPESSPSRWPAEFEKKQQEIIELWHECNVSLVHRACFFLLFRGDPADSFYMEVELRRLSFLKNTSQKNLDKTPSQDSLHISQTSSSRKLQREREMLCKQMHKRLSTEERRELYTKWGIALDSKQRKLQVARRLWTETNDIEHVRESASLVAKLIGLLKPEQALREMFGLSFTPQLTNRRLFGWKHGLTSLKQ >DRNTG_15078.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3991208:3997228:-1 gene:DRNTG_15078 transcript:DRNTG_15078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGKLPSVVLRPLSLKFLDFKFNEFEGTVLKELFDKDLDAIFINNNWFVFDLHDNFDNLLVSIIIVANNCFHKIGRLKNLTIFDISFNNLVGPLQDSLGGLLSLEHLGVTHNLLSGAIPMSICELPYLKNFTYSYNFFTGEPLQCLSMSSFSDCWNCLLGHPFQRPINKCRPFSQLIQSLGDNHDELYKMFKDALSDYLIETEAGSVGLEASDVLDDSAMAGGGVQCRWILAALGLGLGLGLGLRICHLPCPSKPL >DRNTG_16414.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28897438:28898225:1 gene:DRNTG_16414 transcript:DRNTG_16414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRFGFRHVLIVSSFSAATECFTNLDIIFANRPKLPTEGHLTYNHTTLGTSSYGPHWRNIRRIVAVHLFSSAKLNSSSIIRASETHALVRRLFKGGSEFKRVNIRTVLHEIVLNVMMMLVAGKRYYVDEGVGADVDEVKWFEEMVEETFKLSGMSNVRDFLPELLRVVDVHGVEKKLARLEKMWDEYLQRLIDELRGKLGNGNHDNESIIRMTLIGTLLSMQEDEDPDYYTDNLIKA >DRNTG_26135.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28381038:28381784:1 gene:DRNTG_26135 transcript:DRNTG_26135.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPATGTLRPRRRPLQPLSSPANHLPTEKMSSIQISKQIDGDAGKENHPAFSGRLDASLAEELGVARRRRDRIRLERERTERMLGERDLVLENGMRDLVMRGEEQRRLELELLRLIGISDLGLLSGSSSPIRSLREREEERRNKDAGSALQVGRVWIFSDIRVINVLLFW >DRNTG_26135.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28381038:28383365:1 gene:DRNTG_26135 transcript:DRNTG_26135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPATGTLRPRRRPLQPLSSPANHLPTEKMSSIQISKQIDGDAGKENHPAFSGRLDASLAEELGVARRRRDRIRLERERTERMLGERDLVLENGMRDLVMRGEEQRRLELELLRLIGISDLGLLSGSSSPIRSLREREEERRNKDAGSALQAIEFEDEDNKLQELCSSGESGKIN >DRNTG_14041.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27495292:27496424:-1 gene:DRNTG_14041 transcript:DRNTG_14041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEPAPVHDNKSSSSAEEFKLVGFTRFVRVNPRSDRFPVIGFHHVEFWCADVASAAGRFSFSLGAPLSAFSGLSTANPFHTSHLIRSHDLRFLFTSPLPNPNPNPNPPPIPSFNRDLCLRFSADHGLAVRAIAIRVASASSAFDIAVHHGARPSFPPTDLGHGFSLAELELYGDVVLRLISHPDDHPLFLPGFENVATPPAFDYGIRRLDHAVGNVPELVPAVAYVAGFTGFHEFAEFTAEDVGTAESGLNSMVLADNEERVLIPMNEPVKGTVRRSQIQTYLDYNGGPGVQHLALSSDDVLKTLREMRAHTAFGGFDFMPPPPPTYYRNLVKRAGDVLTAEQIKECEDLGVLVDRDDQGVLLQIFTKPVGDR >DRNTG_04622.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3196732:3197027:-1 gene:DRNTG_04622 transcript:DRNTG_04622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVHVTCDVLNGAAPCIICLDEDNVPPIHPLWTAQ >DRNTG_18404.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4008332:4012076:1 gene:DRNTG_18404 transcript:DRNTG_18404.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLTPHDPHLLPAATQHSPNITWSRKLSKPSPWPWLGSEPSRYSCPELALVVISRSTSHLNRLAVETTSP >DRNTG_19018.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22586533:22588589:-1 gene:DRNTG_19018 transcript:DRNTG_19018.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPNSGLFVGMNKGHIVTKRELPPRPSSRKGICWSV >DRNTG_19018.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22586533:22588589:-1 gene:DRNTG_19018 transcript:DRNTG_19018.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPNSGLFVGMNKGHIVTKRELPPRPSSRKGKTSKRVQFVKNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMANVLRKMRSAGVSEKKK >DRNTG_19018.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22586028:22588551:-1 gene:DRNTG_19018 transcript:DRNTG_19018.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPNSGLFVGMNKGHIVTKRELPPRPSSRKGKTSKRVQFVKNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMANVLRKMRSAGVSEKKK >DRNTG_19018.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22586533:22588551:-1 gene:DRNTG_19018 transcript:DRNTG_19018.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPNSGLFVGMNKGHIVTKRELPPRPSSRKGICWSV >DRNTG_19018.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22586028:22588589:-1 gene:DRNTG_19018 transcript:DRNTG_19018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPNSGLFVGMNKGHIVTKRELPPRPSSRKGKTSKRVQFVKNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMANVLRKMRSAGVSEKKK >DRNTG_19018.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22586533:22588761:-1 gene:DRNTG_19018 transcript:DRNTG_19018.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPNSGLFVGMNKGHIVTKRELPPRPSSRKGKTSKRVQFVKNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMANVLRKMRSAGVSEKKK >DRNTG_19018.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22586533:22588551:-1 gene:DRNTG_19018 transcript:DRNTG_19018.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPNSGLFVGMNKGHIVTKRELPPRPSSRKGKTSKRVQFVKNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMANVLRKMRSAGVSEKKK >DRNTG_02629.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21395366:21397894:1 gene:DRNTG_02629 transcript:DRNTG_02629.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G30510) UniProtKB/Swiss-Prot;Acc:Q93VC7] MLQSRVIGGNKGGVVVIVEGLHGFIPFSQITMKASAEELMNKELLLKFMEVDQEQSKLVLSNCKAIASSQVQYGIGSVVTGIIQNVKPYGAFVDIGGISGLLHVSQISHDHISDISKVLQPGDTLKVMVLNHDHVRNRLSLSTKKLEPTPGDMIRNPKLVFEKADEMAQLFMRRIAQAEAMAHEDMLRYQIEEVL >DRNTG_02629.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21395366:21397894:1 gene:DRNTG_02629 transcript:DRNTG_02629.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G30510) UniProtKB/Swiss-Prot;Acc:Q93VC7] MNKELLLKFMEVDQEQSKLVLSNCKAIASSQVQYGIGSVVTGIIQNVKPYGAFVDIGGISGLLHVSQISHDHISDISKVLQPGDTLKVMVLNHDHVRNRLSLSTKKLEPTPGDMIRNPKLVFEKADEMAQLFMRRIAQAEAMAHEDMLRYQIEEVL >DRNTG_02629.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21395366:21397894:1 gene:DRNTG_02629 transcript:DRNTG_02629.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G30510) UniProtKB/Swiss-Prot;Acc:Q93VC7] MILWMASRFTLDEFHDALDQCDFGFPVGSKIKGTVFMTDDNGAHVDIFGKSSAYLPLHEACLYKVKHVAEAGIHPGSQEEFIVVGENAEDDCLVLSLRSIQWDLAWERCRQLQLEDAPIKGKVIGGNKGGVVVIVEGLHGFIPFSQITMKASAEELMNKELLLKFMEVDQEQSKLVLSNCKAIASSQVQYGIGSVVTGIIQNVKPYGAFVDIGGISGLLHVSQISHDHISDISKVLQPGDTLKVMVLNHDHVRNRLSLSTKKLEPTPGDMIRNPKLVFEKADEMAQLFMRRIAQAEAMAHEDMLRYQIEEVL >DRNTG_27926.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22665779:22672704:-1 gene:DRNTG_27926 transcript:DRNTG_27926.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKAINYKKDKTTSISLLKRHLTFSELSSILLFSLCSNPFCITWVLHQEFSYLDLSSYSNGSSCPVEADFFSRLVENGATESCSDHVSPIVMEDDIQTRQVAAKNVVRNITPSINGIWKVNDKLATALILTLQEHELGQHLQKTTSDLQMIVKNFQVASDDLHLRHQLLADKVRSHRDINVKKKAEHKWLSEELAKTIAELEESNSKLSILKAQRDGVQGAPFLFQALGYKQAGGDKIRDKQKSCKIWSLRLMS >DRNTG_27926.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22666171:22672704:-1 gene:DRNTG_27926 transcript:DRNTG_27926.9 gene_biotype:protein_coding transcript_biotype:protein_coding MIVKNFQVASDDLHLRHQLLADKVRSHRDINVKKKAEHKWLSEELAKTIAELEESNSKLSILKAQRDGVQGAPFLFQALGYKQAGGDKIRDKQKSCKIWSLRLMS >DRNTG_27926.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22665779:22672704:-1 gene:DRNTG_27926 transcript:DRNTG_27926.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKAINYKKDKTTSISLLKRHLTFSELSSILLFSLCSNPFCITWVLHQEFSYLDLSSYSNGSSCPVEADFFSRLVENGATESCSDHVSPIVMEDDIQTRQVAAKNVVRNITPSINGIWKVNDKLATALILTLQEHELGQHLQKTTSDLQMIVKNFQVASDDLHLRHQLLADKVRSHRDINVKKKAEHKWLSEELAKTIAELEESNSKLSILKAQRDGVQGAPFLFQALGYKQAGGDKIRDKQKSCKIWSLRLMS >DRNTG_27926.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22665779:22672704:-1 gene:DRNTG_27926 transcript:DRNTG_27926.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKAINYKKDKTTSISLLKRHLTFSELSSILLFSLCSNPFCITWVLHQEFSYLDLSSYSNGSSCPVEADFFSRLVENGATESCSDHVSPIVMEDDIQTRQVAAKNVVRNITPSINGIWKVNDKLATALILTLQEHELGQHLQKTTSDLQMIVKNFQVASDDLHLRHQLLADKVRSHRDINVKKKAEHKWLSEELAKTIAELEESNSKLSILKAQRDGVQGAPFLFQALGYKQAGGDKIRDKQKSCKIWSLRLMS >DRNTG_27926.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22665779:22672704:-1 gene:DRNTG_27926 transcript:DRNTG_27926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKAINYKKDKTTSISLLKRHLTFSELSSILLFSLCSNPFCITWVLHQEFSYLDLSSYSNGSSCPVEADFFSRLVENGATESCSDHVSPIVMEDDIQTRQVAAKNVVRNITPSINGIWKVNDKLATALILTLQEHELGQHLQKTTSDLQMIVKNFQVASDDLHLRHQLLADKVRSHRDINVKKKAEHKWLSEELAKTIAELEESNSKLSILKAQRDGVQGAPFLFQALGYKQAGGDKIRDKQKSCKIWSLRLMS >DRNTG_27926.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22666171:22672704:-1 gene:DRNTG_27926 transcript:DRNTG_27926.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDIQTRQVAAKNVVRNITPSINGIWKVNDKLATALILTLQEHELGQHLQKTTSDLQMIVKNFQVASDDLHLRHQLLADKVRSHRDINVKKKAEHKWLSEELAKTIAELEESNSKLSILKAQRDGVQGAPFLFQALGYKQAGGDKIRDKQKSCKIWSLRLMS >DRNTG_27926.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22666171:22672704:-1 gene:DRNTG_27926 transcript:DRNTG_27926.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKAINYKKDKTTSISLLKRHLTFSELSSILLFSLCSNPFCITWVLHQEFSYLDLSSYSNGSSCPVEADFFSRLVENGATESCSDHVSPIVMEDDIQTRQVAAKNVVRNITPSINGIWKVNDKLATALILTLQEHELGQHLQKTTSDLQMIVKNFQVASDDLHLRHQLLADKVRSHRDINVKKKAEHKWLSEELAKTIAELEESNSKLSILKAQRDGVQGAPFLFQALGYKQAGGDKIRDKQKSCKIWSLRLMS >DRNTG_27926.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22665779:22672704:-1 gene:DRNTG_27926 transcript:DRNTG_27926.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKAINYKKDKTTSISLLKRHLTFSELSSILLFSLCSNPFCITWVLHQEFSYLDLSSYSNGSSCPVEADFFSRLVENGATESCSDHVSPIVMEDDIQTRQVAAKNVVRNITPSINGIWKVNDKLATALILTLQEHELGQHLQKTTSDLQMIVKNFQVASDDLHLRHQLLADKVRSHRDINVKKKAEHKWLSEELAKTIAELEESNSKLSILKAQRDGVQGAPFLFQALGYKQAGGDKIRDKQKSCKIWSLRLMS >DRNTG_27926.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22665779:22672704:-1 gene:DRNTG_27926 transcript:DRNTG_27926.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKAINYKKDKTTSISLLKRHLTFSELSSILLFSLCSNPFCITWVLHQEFSYLDLSSYSNGSSCPVEADFFSRLVENGATESCSDHVSPIVMEDDIQTRQVAAKNVVRNITPSINGIWKVNDKLATALILTLQEHELGQHLQKTTSDLQMIVKNFQVASDDLHLRHQLLADKVRSHRDINVKKKAEHKWLSEELAKTIAELEESNSKLSILKAQRDGVQGAPFLFQALGYKQAGGDKIRDKQKSCKIWSLRLMS >DRNTG_03101.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15846429:15850850:-1 gene:DRNTG_03101 transcript:DRNTG_03101.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPILLGVAQMNLGIFLSYFNAKFFGNSINIWYLFVPQLIFLNSLFGYLSLLIIVK >DRNTG_03101.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15846429:15850850:-1 gene:DRNTG_03101 transcript:DRNTG_03101.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPILLGVAQMNLGIFLSYFNAKFFGNSINIWYLFVPQLIFLNSLFGYLSLLIIVK >DRNTG_03101.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15846429:15850850:-1 gene:DRNTG_03101 transcript:DRNTG_03101.9 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPILLGVAQMNLGIFLSYFNAKFFGNSINIWYLFVPQLIFLNSLFGYLSLLIIVK >DRNTG_03101.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15846429:15850850:-1 gene:DRNTG_03101 transcript:DRNTG_03101.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPILLGVAQMNLGIFLSYFNAKFFGNSINIWYLFVPQLIFLNSLFGYLSLLIIVK >DRNTG_03101.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15846429:15850850:-1 gene:DRNTG_03101 transcript:DRNTG_03101.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPILLGVAQMNLGIFLSYFNAKFFGNSINIWYLFVPQLIFLNSLFGYLSLLIIVK >DRNTG_03101.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15846429:15850850:-1 gene:DRNTG_03101 transcript:DRNTG_03101.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPILLGVAQMNLGIFLSYFNAKFFGNSINIWYLFVPQLIFLNSLFGYLSLLIIVK >DRNTG_03101.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15846429:15850850:-1 gene:DRNTG_03101 transcript:DRNTG_03101.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPILLGVAQMNLGIFLSYFNAKFFGNSINIWYLFVPQLIFLNSLFGYLSLLIIVK >DRNTG_03101.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15846429:15850850:-1 gene:DRNTG_03101 transcript:DRNTG_03101.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPILLGVAQMNLGIFLSYFNAKFFGNSINIWYLFVPQLIFLNSLFGYLSLLIIVK >DRNTG_03101.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15846429:15850850:-1 gene:DRNTG_03101 transcript:DRNTG_03101.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPILLGVAQMNLGIFLSYFNAKFFGNSINIWYLFVPQLIFLNSLFGYLSLLIIVK >DRNTG_16789.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:480600:484733:-1 gene:DRNTG_16789 transcript:DRNTG_16789.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNFLSLGLDVSEDEIFSSSFAAAMFLKLNNFPQDKKVYVIGEEGIVEEMELAGFTCLGGPEDRDKSVQLKSNSMVEHDKSVGAVVVGLDLHVNYYKFHYATLCIRENPGCLFIATNRDALGHITDTQECPGAGCMVAAVCATTEKEPVVVGKPSPFMMDFLVKRFQINTSRMCMVGDRLDTDILFGQNTGCKTLLVLSGVTSLSTLEDPSNNIHPDYYTSNLFSIVELLNK >DRNTG_20155.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1624699:1627497:-1 gene:DRNTG_20155 transcript:DRNTG_20155.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFWLWIILRQMQGIETHCGYDLPFSPTKLIPFYGGAKFHDYHHYVGGQSQCNFASVFTYCDYIYGTDK >DRNTG_20155.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1624192:1627497:-1 gene:DRNTG_20155 transcript:DRNTG_20155.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFWLWIILRQMQGIETHCGYDLPFSPTKLIPFYGGAKFHDYHHYVGGQSQCNFASVFTYCDYIYGTDKGYRYHKAHVLKLKEQCTTRSQNEGIKLN >DRNTG_20155.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1622017:1627497:-1 gene:DRNTG_20155 transcript:DRNTG_20155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFWLWIILRQMQGIETHCGYDLPFSPTKLIPFYGGAKFHDYHHYVGGQSQCNFASVFTYCDYIYGTDKGYRYHKAHVLKLKEQCTTRSQNEGIKLN >DRNTG_32931.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5788311:5794504:-1 gene:DRNTG_32931 transcript:DRNTG_32931.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATVLRGIGKGFGVVLRLRHRTPLGRRLLGELSSAPILRTPQEECQKSEILREDALQLHRVLANFIQASDVCVRDMETTLRCHEASYKNLEHQLGGIIDTLSEEQQEFEQAIQVPYRDDVLVIGNEEVGRNEYDVVEIKGMQEEPFIQCEYCLNGQCVCEQEMIQGGSVKADWFQVEIEDEVNHKEIEAIQRPTCAKLGQFPTQIVSLEAKEPIKFSKCPKKHTPVWNFCTGVRFHSELIQRRHRGVDSPL >DRNTG_07327.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2552036:2552434:1 gene:DRNTG_07327 transcript:DRNTG_07327.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKIKRRRKASARGGKRAHDGSLSV >DRNTG_33547.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002018.1:1:9042:1 gene:DRNTG_33547 transcript:DRNTG_33547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALQKRKEKKNKDSLSKEVVAKERSPMMLGLVGLPSARGMSFSRCCGIAQEGKS >DRNTG_02227.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1159380:1159631:1 gene:DRNTG_02227 transcript:DRNTG_02227.1 gene_biotype:protein_coding transcript_biotype:protein_coding QSKKNGEQPEKSWREVQSRQETEPFKSRAAAAVAVVVTVVHVERFAWAMRKMRRRK >DRNTG_03131.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21510917:21514808:1 gene:DRNTG_03131 transcript:DRNTG_03131.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKQSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVGRRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKSQAVAS >DRNTG_24479.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18876654:18879524:1 gene:DRNTG_24479 transcript:DRNTG_24479.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDPK2 [Source:Projected from Arabidopsis thaliana (AT5G63310) UniProtKB/TrEMBL;Acc:A0A384KNJ7] MFQCPKELAEEHYRDLKEKSFFPKLIDYITSGPVVCMAWEGVGVVASARKLIGATNPLQAEPGTIRGDLAVQTGRNVVHGSDSPENGEREIALWFNEGELCQWVPAVAPWLRE >DRNTG_24479.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18876654:18879524:1 gene:DRNTG_24479 transcript:DRNTG_24479.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDPK2 [Source:Projected from Arabidopsis thaliana (AT5G63310) UniProtKB/TrEMBL;Acc:A0A384KNJ7] MVNVEETYIMVKPDGVQRGLVGEIISRFEKKGFLLKGLKMFQCPKELAEEHYRDLKEKSFFPKLIDYITSGPVVCMAWEGVGVVASARKLIGATNPLQAEPGTIRGDLAVQTGRNVVHGSDSPENGEREIALWFNEGELCQWVPAVAPWLRE >DRNTG_13745.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5556639:5561602:-1 gene:DRNTG_13745 transcript:DRNTG_13745.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier protein CoAc1 [Source:Projected from Arabidopsis thaliana (AT1G14560) UniProtKB/Swiss-Prot;Acc:F4HW79] MGSSQGSTLTSATASAADVDVDVSLLDLVPVYIKELIAGGAAGGFSKSAVAPLERVKILMQTRTSEFKSLGIFQSLNKVKQQEGILGFYKGNGASVLRIVPYAALHFMTYEQYRCWILNNCPSLGSGPSIDLLAGSAAGGTAVLCTYPLDLARTKLAYEMANTRIGNSLSYNAQPSYNGIKDVFRSVYMEGGLRSLYRGVGPTLIGILPYAGLKFYIYEELKTRVPEEHQKSVVLRLSCGALAGLFGQTLTYPLDVVRRQMQVQNNQQSNQLGSPQIKGTIQGLNFIVRNQGWKQLFAGLSLNYVKVVPSVAIGFTAYDMMKSILRVPPREKTASVQASA >DRNTG_24193.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22454371:22457202:-1 gene:DRNTG_24193 transcript:DRNTG_24193.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRGKAELRRVTSFEALQSATKSIPADYVRLDQDILSPLAGKKELYTYETLDFWEQIKTPGMSLKCSALYLHQFRYTSTHLLASGNDTKRASITGDVYIHPSAKVHPTAKIGPNVSISANARIGAGARLISCIILDDVEILENAVVIHAIVGWKSSIGKWSRVQGGGDYNAKLGVTILGESVAVEDEVVVINSIVLPNKTLNGSVQEEIIL >DRNTG_24193.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22457296:22458426:-1 gene:DRNTG_24193 transcript:DRNTG_24193.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHPISACRRIPNLAQIYLIGFYEEREFALYVSSISNELRVPVRYLREEKPRGSAGGLYSFRDYILEDNPSHIFLLNCDVCCSFPLAEMLDAHKIYGGMGTVLVIKV >DRNTG_24193.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22454371:22458707:-1 gene:DRNTG_24193 transcript:DRNTG_24193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDERVVAVIMVGGPTKGTRFRPLSLNVPKPLFPLAGQPMVHHPISACRRIPNLAQIYLIGFYEEREFALYVSSISNELRVPVRYLREEKPRGSAGGLYSFRDYILEDNPSHIFLLNCDVCCSFPLAEMLDAHKIYGGMGTVLVIKVSAESAHQFGELVADPITKELLHYTEKPETFVSELINCGVYVFTPAIFAAIQDVFMQRRGKAELRRVTSFEALQSATKSIPADYVRLDQDILSPLAGKKELYTYETLDFWEQIKTPGMSLKCSALYLHQFRYTSTHLLASGNDTKRASITGDVYIHPSAKVHPTAKIGPNVSISANARIGAGARLISCIILDDVEILENAVVIHAIVGWKSSIGKWSRVQGGGDYNAKLGVTILGESVAVEDEVVVINSIVLPNKTLNGSVQEEIIL >DRNTG_24193.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22454371:22456263:-1 gene:DRNTG_24193 transcript:DRNTG_24193.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKCSALYLHQFRYTSTHLLASGNDTKRASITGDVYIHPSAKVHPTAKIGPNVSISANARIGAGARLISCIILDDVEILENAVVIHAIVGWKSSIGKWSRVQGGGDYNAKLGVTILGESVAVEDEVVVINSIVLPNKTLNGSVQEEIIL >DRNTG_02862.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:13146783:13152321:-1 gene:DRNTG_02862 transcript:DRNTG_02862.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSMVLWKVLYSSSKDSLIRRSPLSSWGKDGGKNAEIGAESALNSVTALVPLQMDVPTRIRGGSELANGDEVLLRVLERFYGSDITHCLEDGYWESFRRHRSGEVYPRPDKGSLVTSRGLSTRPSTRPSRGFTIDSLLLHSISLIDMFNPDPYEGLFDQEEDYEEVMMLGPTQEVPSTPGILKKMLWKMKRARRRYQKHSL >DRNTG_30442.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4831467:4833369:-1 gene:DRNTG_30442 transcript:DRNTG_30442.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional activator of gibberellin-dependent alpha-amylase expression, Regulation of nutrient mobilization in germinatio [Source: Projected from Oryza sativa (Os01g0812000)] MCLHPYNENQPGLNASDDFNYDDNHSNELLHGNSFNFPGHMFDGMKVSQGLISYASPLMGFSPGNAIGRQGFGSQNYNFLNPTMNCTKQLQESETLFPHVQDGIASRIPQVEPLSVSDRIPLKVHQPFGFPCDSDPCNKDLAPYGGAVNGSHAHTLLNGNFSAPRPITGSVELELPSLQYPDTDLGSWSASHAPPDPIDTYVQSPSGTMSLQSDVESPRKSGLLEALIYEAQMLGNEKNNLSEKSSSSSVFTPCEAVESAAANICEADYELFHDPISQHTAPISENPFGAAASVESSSQLAPPDPSSWRPDFLLDSSWHVGNTNYHSMFSNTIESLLNADLSAEQKQSPVGPSSKFPKVQQLDSSTWNIMPRVCPISELP >DRNTG_30442.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4831467:4835853:-1 gene:DRNTG_30442 transcript:DRNTG_30442.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional activator of gibberellin-dependent alpha-amylase expression, Regulation of nutrient mobilization in germinatio [Source: Projected from Oryza sativa (Os01g0812000)] MIYKTDERHGRMGPKDKISSPSIDECVSGGSMGGGTPLKKGPWTSAEDSLLVDYVQKHGEGNWNAVQKYSGLSRCGKSCRLRWANHLRPNLKKGAFTPEEEQKIIELHSQMGNKWARMAAHLPGRTDNEIKNYWNTRIKRRLRAGLPLYPSDMCLHPYNENQPGLNASDDFNYDDNHSNELLHGNSFNFPGHMFDGMKVSQGLISYASPLMGFSPGNAIGRQGFGSQNYNFLNPTMNCTKQLQESETLFPHVQDGIASRIPQVEPLSVSDRIPLKVHQPFGFPCDSDPCNKDLAPYGGAVNGSHAHTLLNGNFSAPRPITGSVELELPSLQYPDTDLGSWSASHAPPDPIDTYVQSPSGTMSLQSDVESPRKSGLLEALIYEAQMLGNEKNNLSEKSSSSSVFTPCEAVESAAANICEADYELFHDPISQHTAPISENPFGAAASVESSSQLAPPDPSSWRPDFLLDSSWHVGNTNYHSMFSNTIESLLNADLSAEQKQSPVGPSSKFPKVQQLDSSTWNIMPRVCPISELP >DRNTG_30442.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4831467:4836879:-1 gene:DRNTG_30442 transcript:DRNTG_30442.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional activator of gibberellin-dependent alpha-amylase expression, Regulation of nutrient mobilization in germinatio [Source: Projected from Oryza sativa (Os01g0812000)] MGPKDKISSPSIDECVSGGSMGGGTPLKKGPWTSAEDSLLVDYVQKHGEGNWNAVQKYSGLSRCGKSCRLRWANHLRPNLKKGAFTPEEEQKIIELHSQMGNKWARMAAHLPGRTDNEIKNYWNTRIKRRLRAGLPLYPSDMCLHPYNENQPGLNASDDFNYDDNHSNELLHGNSFNFPGHMFDGMKVSQGLISYASPLMGFSPGNAIGRQGFGSQNYNFLNPTMNCTKQLQESETLFPHVQDGIASRIPQVEPLSVSDRIPLKVHQPFGFPCDSDPCNKDLAPYGGAVNGSHAHTLLNGNFSAPRPITGSVELELPSLQYPDTDLGSWSASHAPPDPIDTYVQSPSGTMSLQSDVESPRKSGLLEALIYEAQMLGNEKNNLSEKSSSSSVFTPCEAVESAAANICEADYELFHDPISQHTAPISENPFGAAASVESSSQLAPPDPSSWRPDFLLDSSWHVGNTNYHSMFSNTIESLLNADLSAEQKQSPVGPSSKFPKVQQLDSSTWNIMPRVCPISELP >DRNTG_15938.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000790.1:5874:9255:-1 gene:DRNTG_15938 transcript:DRNTG_15938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGTLNLMKKRSYSYYNFAIFVEINLHTQFGHIIFWHNLESVLFQEVTNPKRTEAIGQSSSGETCSKGDEVGDNDDDEVEEEYDEEEEDGDEKDDDDDDDEWEENEEENADDHDGGEEEEKDDEEKSKSPESKSSSDDDYDSDDDNDESVPTGIKDAYDGDDGDGDERVSSETKDLGEGDDAADSNESVPAEPNGSSNGSNADDSGGDEVPAETTDDSDQGSVTEMVDLPAGSGMNENAILEMEDELGGSVLPAVNDMDENPILQMIEEIGGNEFPAVNGMDENAVLQMIHKPGGNEPAAINNAVDDDNNNENMISMWLLRQMMHLMTMIVTVITIVIVIVIVIVIVIVIVIVMVMAMAMVMIILLISNVDEEDDG >DRNTG_29002.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22348860:22357505:-1 gene:DRNTG_29002 transcript:DRNTG_29002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGDAVVDLRSAADAVSVEEDDASAAPLHQVESLCMRCGENGITRLLLTRIPHFRELILMAFECPHCNERNNEVQFAGEIQPKGCIFRLQVPAGCSEMLNRQVVKSDSATIKIPELDFEIPPEAQRGTLSTLEGILMRAADELQALQEERKKVDPMTAEAIDQFLLKLRSVASGEATFTFILDDPAGNSFIENPYAPSMDSSLSIHFYERTREQQESLGFLAEPATGEETSTADDNLPLDGVHRGPHGSVGAIAGRRAIAQCNSDEVAAAFCRYSAPEEVDTLPSSCGACGTACVTRFYSTNIPYFREVIVMATTCDMCGYRSSELKAGGEIPKKGKRITVSVKNKGDLSRDVIKADTASVKVPEVELELTSGTLGGIVTTVEGLITKISDNLERVHGFSLGDSTDDWKKKKWHDFKLRLTKLLEVVEPWTLIIDDAMASSFVSPVTDSIEDDAQLIVEEYERSWEQNEELGLNDMDTSSADHAYNTNSV >DRNTG_16065.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25679438:25686207:-1 gene:DRNTG_16065 transcript:DRNTG_16065.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLEGVTPCIQSLQLQAGDTVTFNRIDPEGKLVMGFRKAIHNTSLENSQVSAIDHGAIGNEPYSAGVTVNPVNLSGYFGLLPSLTGTTVPQLSTFPEHLSSAGANIGCHKIGTLGQESNESLSLKPSLAQDMKRSLSIGSKGKRLLMDNEYAMELKLTWEEAQDMLRPPPSLKPSIVEIEDHEFEEYKEPPVFGKRTIFTMQASGELDQWVQCDDCLKWHRLPLDVLLSSNWTCADNTWDLKRASCYAPDEVGSRELQNMLQLNAEFYSDLRGSTAGSISENALPELEASGLGDVSTAAVIDDDNVSNCIIATTTRHPRHRPGCTCIVCIQPPSGKGPKHKPTCMCNVCMTVKRRFKTLMMRKKKRQSEYEESVAMKKLAWGCEDEMESDSSLKTRHLQDPAPETELASGSDNSITEKLEMS >DRNTG_16065.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25679438:25687301:-1 gene:DRNTG_16065 transcript:DRNTG_16065.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLEGVTPCIQSLQLQAGDTVTFNRIDPEGKLVMGFRKAIHNTSLENSQVSAIDHGAIGNEPYSAGVTVNPVNLSGYFGLLPSLTGTTVPQLSTFPEHLSSAGANIGCHKIGTLGQESNESLSLKPSLAQDMKRSLSIGSKGKRLLMDNEYAMELKLTWEEAQDMLRPPPSLKPSIVEIEDHEFEEYKEPPVFGKRTIFTMQASGELDQWVQCDDCLKWHRLPLDVLLSSNWTCADNTWDLKRLVTFFVIVP >DRNTG_16065.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25679438:25684494:-1 gene:DRNTG_16065 transcript:DRNTG_16065.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSLSIGSKGKRLLMDNEYAMELKLTWEEAQDMLRPPPSLKPSIVEIEDHEFEEYKEPPVFGKRTIFTMQASGELDQWVQCDDCLKWHRLPLDVLLSSNWTCADNTWDLKRLVTFFVIVP >DRNTG_16065.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25679438:25682877:-1 gene:DRNTG_16065 transcript:DRNTG_16065.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQASGELDQWVQCDDCLKWHRLPLDVLLSSNWTCADNTWDLKRASCYAPDEVGSRELQNMLQLNAEFYSDLRGSTAGSISENALPELEASGLGDVSTAAVIDDDNVSNCIIATTTRHPRHRPGCTCIVCIQPPSGKGPKHKPTCMCNVCMTVKRRFKTLMMRKKKRQSEYEESVAMKKLAWGCEDEMESDSSLKTRHLQDPAPETELASGSDNSITEKLEMS >DRNTG_16065.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25679438:25688117:-1 gene:DRNTG_16065 transcript:DRNTG_16065.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRKAIHNTSLENSQVSAIDHGAIGNEPYSAGVTVNPVNLSGYFGLLPSLTGTTVPQLSTFPEHLSSAGANIGCHKIGTLGQESNESLSLKPSLAQDMKRSLSIGSKGKRLLMDNEYAMELKLTWEEAQDMLRPPPSLKPSIVEIEDHEFEEYKEPPVFGKRTIFTMQASGELDQWVQCDDCLKWHRLPLDVLLSSNWTCADNTWDLKRASCYAPDEVGSRELQNMLQLNAEFYSDLRGSTAGSISENALPELEASGLGDVSTAAVIDDDNVSNCIIATTTRHPRHRPGCTCIVCIQPPSGKGPKHKPTCMCNVCMTVKRRFKTLMMRKKKRQSEYEESVAMKKLAWGCEDEMESDSSLKTRHLQDPAPETELASGSDNSITEKLEMS >DRNTG_16065.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25679438:25688117:-1 gene:DRNTG_16065 transcript:DRNTG_16065.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRKAIHNTSLENSQVSAIDHGAIGNEPYSAGVTVNPVNLSGYFGLLPSLTGTTVPQLSTFPEHLSSAGANIGCHKIGTLGQESNESLSLKPSLAQDMKRSLSIGSKGKRLLMDNEYAMELKLTWEEAQDMLRPPPSLKPSIVEIEDHEFEEYKEPPVFGKRTIFTMQASGELDQWVQCDDCLKWHRLPLDVLLSSNWTCADNTWDLKRASCYAPDEVGSRELQNMLQLNAEFYSDLRGSTAGSISENALPELEASGLGDVSTAAVIDDDNVSNCIIATTTRHPRHRPGCTCIVCIQPPSGKGPKHKPTCMCNVCMTVKRRFKTLMMRKKKRQSEYEESVAMKKLAWGCEDEMESDSSLKTRHLQDPAPETELASGSDNSITEKLEMS >DRNTG_16065.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25679438:25688117:-1 gene:DRNTG_16065 transcript:DRNTG_16065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLETKKRCMNTACGAAASDGEWLKGWGLRSGGFADLCGKCGLAFEQLLFCDIFHEKESGWRECKFCCKRLHCGCIASNASLDLLDCGGVQCNSCLKNSNISPTPGHVVQCPLPSSLSMLLDYPIRGKKDEKVNDFVMSIAEATSHVGDAGSSHASSKSQIPVVSFHNSRQGDGRLSINMRTSNFGNTLTNCNSGNQPSVLLLPNTATEGREHNKALSAFEQMQMLHHHLGRLPKTSNAVASEVSKDMLPYVHVTRSPSQGQGRNQMLPRYWPRISDIELQQISGDSKSKILPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDAKGKDWVFQFRFWPNNNSRMYVLEGVTPCIQSLQLQAGDTVTFNRIDPEGKLVMGFRKAIHNTSLENSQVSAIDHGAIGNEPYSAGVTVNPVNLSGYFGLLPSLTGTTVPQLSTFPEHLSSAGANIGCHKIGTLGQESNESLSLKPSLAQDMKRSLSIGSKGKRLLMDNEYAMELKLTWEEAQDMLRPPPSLKPSIVEIEDHEFEEYKEPPVFGKRTIFTMQASGELDQWVQCDDCLKWHRLPLDVLLSSNWTCADNTWDLKRASCYAPDEVGSRELQNMLQLNAEFYSDLRGSTAGSISENALPELEASGLGDVSTAAVIDDDNVSNCIIATTTRHPRHRPGCTCIVCIQPPSGKGPKHKPTCMCNVCMTVKRRFKTLMMRKKKRQSEYEESVAMKKLAWGCEDEMESDSSLKTRHLQDPAPETELASGSDNSITEKLEMS >DRNTG_16065.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25679438:25682041:-1 gene:DRNTG_16065 transcript:DRNTG_16065.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLNAEFYSDLRGSTAGSISENALPELEASGLGDVSTAAVIDDDNVSNCIIATTTRHPRHRPGCTCIVCIQPPSGKGPKHKPTCMCNVCMTVKRRFKTLMMRKKKRQSEYEESVAMKKLAWGCEDEMESDSSLKTRHLQDPAPETELASGSDNSITEKLEMS >DRNTG_28505.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14894988:14895944:-1 gene:DRNTG_28505 transcript:DRNTG_28505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADVSARLRMMKDELDGKRNREFITRDLLGGCSLGSPVPAGWDRGLDLLSGSDRLHRQLNDLNLPPPAPVAASISAMSEVSTVLDLRVVGGAPAEYQSVCTLEKVRVALERAERESRGRRRGLDGSPSPSSSTTSSSVKRRLGEEEDGMDGSDSSDGSAMLAAGCPGCLLYVLISRQNPRCPRCASHVPVPVVPKRIKIDLNSVSP >DRNTG_17555.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29134639:29137133:-1 gene:DRNTG_17555 transcript:DRNTG_17555.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:APX2 [Source:Projected from Arabidopsis thaliana (AT3G09640) UniProtKB/TrEMBL;Acc:A0A178VH43] MMLRRRLVGLLGRSGIRQSLLMGANNGLDIAVRLLEPIKEQFPILSYADFYQLAGVVAVEVTGGPEIPFHPGREDTPTPPEEGRLPDATKGSDHLRDVFGHMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTSNPLIFDNTYFKELLSGEKEGLLQLPSDKALLSDPVFRPLVEKYAADEDAFFTDYAEAHLKLSELGFAEA >DRNTG_17555.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29134639:29137133:-1 gene:DRNTG_17555 transcript:DRNTG_17555.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:APX2 [Source:Projected from Arabidopsis thaliana (AT3G09640) UniProtKB/TrEMBL;Acc:A0A178VH43] MMLRRRLVGLLGRSGIRQSLLMGANNGLDIAVRLLEPIKEQFPILSYADFYQLAGVVAVEVTGGPEIPFHPGREDTPTPPEEGRLPDATKGSDHLRDVFGHMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTSNPLIFDNTYFKELLSGEKEGLLQLPSDKALLSDPVFRPLVEKYAADEDAFFTDYAEAHLKLSELGFAEA >DRNTG_17555.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29134639:29137133:-1 gene:DRNTG_17555 transcript:DRNTG_17555.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APX2 [Source:Projected from Arabidopsis thaliana (AT3G09640) UniProtKB/TrEMBL;Acc:A0A178VH43] MMLRRRLVGLLGRSGIRQSLLMGANNGLDIAVRLLEPIKEQFPILSYADFYQLAGVVAVEVTGGPEIPFHPGREDTPTPPEEGRLPDATKGSDHLRDVFGHMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTSNPLIFDNTYFKELLSGEKEGLLQLPSDKALLSDPVFRPLVEKYAADEDAFFTDYAEAHLKLSELGFAEA >DRNTG_10784.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28233890:28240634:1 gene:DRNTG_10784 transcript:DRNTG_10784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKVVANHTTAAFIRVVSSEFVQKYLGEACLINIPHAFDSSVYLFLSNGLDCWNMIEDGAMMNRSARRLTSHPQKICRLWEKHPFHL >DRNTG_30037.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5659046:5664283:1 gene:DRNTG_30037 transcript:DRNTG_30037.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTGLRPSSGRKEKSKGSKSKLEKKCQLIEKLKDSAVSLSVKKSIGKKTKPRSRQKKLKAYDLSSLSEFLPDLDASKKQIKETNLKLNSKSRLKLVQRESAQLKAVLNHPTFQIDPISAIHQHLERTQPPVDQEKLAGKTKKANKKSAKKKSARSQAMDI >DRNTG_28798.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28781679:28783637:1 gene:DRNTG_28798 transcript:DRNTG_28798.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLISDSEKQNKLVWIWTECKEVMIASVERGWNTFIFPSESRFRDLASEWSSIALIHPLFIEGSEISNMQNQVVARLFDVCSPEDLLFIQPHEQTNDLVINFKGEWQVIPAENLVAAFQGCKRTVLAVTTNSSEAQVFLEALEQGLGGVVLKVDDIGEVFALNSYFDQQNEVRNLLSLTRATVTHVQVVGMGDRVCIDLCSLMRPGEGLLVGSFARGLFLVHSECLESNYIASRPFRVNA >DRNTG_28798.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28781374:28786345:1 gene:DRNTG_28798 transcript:DRNTG_28798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLISDSEKQNKLVWIWTECKEVMIASVERGWNTFIFPSESRFRDLASEWSSIALIHPLFIEGSEISNMQNQVVARLFDVCSPEDLLFIQPHEQTNDLVINFKGEWQVIPAENLVAAFQGCKRTVLAVTTNSSEAQVFLEALEQGLGGVVLKVDDIGEVFALNSYFDQQNEVRNLLSLTRATVTHVQVVGMGDRVCIDLCSLMRPGEGLLLLHGFYRLVPSPEGFSLSIQNAWSQTTLPAGLSG >DRNTG_28798.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28781679:28785438:1 gene:DRNTG_28798 transcript:DRNTG_28798.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLISDSEKQNKLVWIWTECKEVMIASVERGWNTFIFPSESRFRDLASEWSSIALIHPLFIEGSEISNMQNQVVARLFDVCSPEDLLFIQPHEQTNDLVINFKGEWQVIPAENLVAAFQGCKRTVLAVTTNSSEAQVFLEALEQGLGGVVLKVDDIGEVFALNSYFDQQNEVRNLLSLTRATVTHVQVVGMGDRVCIDLCSLMRPGEGLLVGSFARGLFLVHSECLESNYIASRPFRVNAGPVHAYVSIPGGKTCYLSELQAGKEVVVVDRNGKQRNAIVGRVKIESRPLILVEVKVCTITLDGPHSYSCIENFKCSCVHEKLCYSL >DRNTG_28798.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28781374:28783486:1 gene:DRNTG_28798 transcript:DRNTG_28798.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLISDSEKQNKLVWIWTECKEVMIASVERGWNTFIFPSESRFRDLASEWSSIALIHPLFIEGSEISNMQNQVVARLFDVCSPEDLLFIQPHEQTNDLVINFKGEWQVIPAENLVAAFQGCKRTVLAVTTNSSEAQVFLEALEQGLGGVVLKVDDIGEVFALNSYFDQQNEVRNLLSLTRATVTHVQVVGMGDRVCIDLCSLMRPGEGLLVSISSFCGHFLILSSS >DRNTG_28798.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28781374:28785343:1 gene:DRNTG_28798 transcript:DRNTG_28798.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIYQTGISTPLASFPLLRRAARCPVSYRTIMSSSLISDSEKQNKLVWIWTECKEVMIASVERGWNTFIFPSESRFRDLASEWSSIALIHPLFIEGSEISNMQNQVVARLFDVCSPEDLLFIQPHEQTNDLVINFKGEWQVIPAENLVAAFQGCKRTVLAVTTNSSEAQVFLEALEQGLGGVVLKVDDIGEVFALNSYFDQQNEVRNLLSLTRATVTHVQVVGMGDRVCIDLCSLMRPGEGLLVGSFARGLFLVHSECLESNYIASRPFRVNAGPVHAYVSIPGGKTCYLSELQAGKEVVVVDRNGKQRNAIVGRVKIESRPLILVEVKENMSNEMYSILLQNAETVGLVCPCEDKSTKTVIPVTSLKVGDEVLVRVQGAARHTGIEIQEFIIER >DRNTG_05151.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30718601:30722203:1 gene:DRNTG_05151 transcript:DRNTG_05151.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial outer membrane import complex protein METAXIN [Source:Projected from Arabidopsis thaliana (AT2G19080) UniProtKB/Swiss-Prot;Acc:O64471] MSDEKEEGLVLVARKGGFGLPTACPDCLPVYLHLKLAHAQFDLQFDVANPDSDHVPYVECGDYVTFNNEKGGVMESLKEDNIVDLDSKLQSNVIPEWLSTKAMICSWLTVAAQYELWMASDGSVADKIYFSDLSWPIRKALHWKQTQAMKKILGTTTLTAADKEEEIYRKAAKSYEALSWRLGDQTYFFENRPTSVDSMFLGHALFVLHVLPDTSVLRSNLLKHNNLVSYAESLKAELLEASSSSSVPRSPFDASTSTPRRRASQWKSKPKQKPKKEKTEEEKTFRRRAKYFLAAQFVAVLVFLSLLGGTNDPELDAGDDEMAYED >DRNTG_28963.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:7203680:7210373:1 gene:DRNTG_28963 transcript:DRNTG_28963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSHYLGEKRRTKTSFLGVFWLEAWRDQELELQGESFIIKGRGAFGLPWVRGIVIRPAFFIVIIIRTNFMNYGKVPTKEEVNLSTDNIAKLEACQSIPIAKPSRDQMENTEDNGQPVFQAFGKIHEMNHMEFAKYMGIYDDEFISASLLNWPLIDYPFGMSASKYWKSLAPSDTTNMQKVTRLVNLLHRYIHALISRFIGGRKDSTGVVTQSDLFTMYGILEEYPIHLGHLVAESFIHQGQFVHLGSIFAGPYITRLIHGMNLLDRTKGMTIIGDVAPLGAPVLRAIGLLEKKSVICRLASHLTIGESSQHNHDESESKYDGVSTQDPPGTSFPSDFDSRFKTMEDDIQAIHH >DRNTG_23505.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:828662:832125:-1 gene:DRNTG_23505 transcript:DRNTG_23505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSDEKKSRRGAGRVCSICNERKAALKRPKTLEQICRECFYTVFEDEIHRTIVDNHLFKPGERVAIGASGGKDSTVLAYVLSELNRRHNYGLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPLKIVSYKTMYGWTMDEIVKAIGLKNNCTFCGVFRRQALDRGAAVMKAEKLVTGHNADDMAETILLNILRGDIARLSRCTFITTGEDGPIPRCKPFKYTYEKEIVMYAYFKKLDYFSTECIYSPNAYRGFCSGIY >DRNTG_23505.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:828662:828919:-1 gene:DRNTG_23505 transcript:DRNTG_23505.3 gene_biotype:protein_coding transcript_biotype:protein_coding QKLCKACVLLDGLNRGLPKLGIGRSRGRNNAKDEEHNKASSFQSKPCGALDI >DRNTG_23505.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:828662:832125:-1 gene:DRNTG_23505 transcript:DRNTG_23505.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSDEKKSRRGAGRVCSICNERKAALKRPKTLEQICRECFYTVFEDEIHRTIVDNHLFKPGERVAIGASGGKDSTVLAYVLSELNRRHNYGLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPLKIVSYKTMYGWTMDEIVKAIGLKNNCTFCGVFRRQALDRGAAVMKAEKLVTGHNADDMAETILLNILRGDIARLSRCTFITTGEDGPIPRCKPFKYTYEKEIVIYP >DRNTG_20165.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4792009:4796917:-1 gene:DRNTG_20165 transcript:DRNTG_20165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSSIHFSFFLLLLIVFFPFSFSIEFNYPTVFNFGDSNSDTGIRIAAGLEEINPPYGETYFLRPSGRFSDGRLIIDFLMDEMDMPFLGAYLDSVGAPSFQKGCNFAAAGSTILPASPTSVSPFSFGIQISQFLRFKARVTELLAKGNKFKKYIPQLDSFNQGLYMFDIGQNDLAGAFYSKTDDQVIASIPIILLEFESGIRKLYEQGARRFWIHNTGPLGCLPQNIAFFGKDPSKLDELGCVSSHNRAARLLNLQLHALCTKLQAEFSNASITYVDVFSIKFDLIANYSRYGFEHPIAACCGYGGPPLNYDSRVGCGQTKTLNGNTVSANVCNDTTEYINWDGIHYTEAANLHVSSQILTGKYSDPPFADKMPFLLKLKF >DRNTG_04449.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23981514:23983415:1 gene:DRNTG_04449 transcript:DRNTG_04449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKDALQLQNVLAKFVEATDVRVQNIETTFRCHEASFKNLEHQLGGILETLNKKQQVVEQAIQVPYKNDVVVNDNEEVGRIEYIGAKNEKKEVEYLEISDYVTQDCVCERENIQEDLPV >DRNTG_01769.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:2790905:2803450:-1 gene:DRNTG_01769 transcript:DRNTG_01769.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRNRTISTPRTRIELQKALGIPSDKSLPRFKPNP >DRNTG_32368.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001831.1:486:2112:1 gene:DRNTG_32368 transcript:DRNTG_32368.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSIEAKAANSSAHDAQLCYLNSLDPEKVKGKIVVCLRGINPRVEKGEAVHLAGGAGMVLANDKSAGDEIIADAHVLPATHISYSDGVYLFTYLNSTKSPLGYITLPKTKIDTSPAPVMAAFSSQGPNSVNPEILKPDITAPGVSILAAYSTASSPTGLSFDARRTPFNVESGTSMSCPHISGVVGLLTTLHPTWSPAMIKSAIMTTARIRDNKEKVIKNSSMYDATPFNYGAGHVMPNHAMDPGLVYDITPSDYLDFLCALGYNSTRMRAFSNESHSCPLKPMELENLNYPSISIPNLFGSKTVTRTVTNVGPPGKYIAFVYAPRGVSVTVKPAVLDFEKFGELKKFKVTMKVIQEEVQYDTYVFGRLIWSDDKHHVRSPLVVKAKY >DRNTG_32368.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001831.1:1199:2112:1 gene:DRNTG_32368 transcript:DRNTG_32368.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPHISGVVGLLTTLHPTWSPAMIKSAIMTTARIRDNKEKVIKNSSMYDATPFNYGAGHVMPNHAMDPGLVYDITPSDYLDFLCALGYNSTRMRAFSNESHSCPLKPMELENLNYPSISIPNLFGSKTVTRTVTNVGPPGKYIAFVYAPRGVSVTVKPAVLDFEKFGELKKFKVTMKVIQEEVQYDTYVFGRLIWSDDKHHVRSPLVVKAKY >DRNTG_23944.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12601839:12604733:-1 gene:DRNTG_23944 transcript:DRNTG_23944.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMKLEGWKRLRPQYQSKQCSVGEEVSGDCVFSSSGQCKDSRADFSSGSNDGDDNGRGITI >DRNTG_23944.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12601839:12604733:-1 gene:DRNTG_23944 transcript:DRNTG_23944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLRHLSQWPCLSHEFFLCFPVCNLISPLCCFKMTTGLSGISGIGFDLVRGTQTLDLIKGGFPSGKFFFAGVVDGRNISANDLASSLSTLEALEAIVGKDKLVVSTSCSLMHSVVDLVNETKLNRAIKSWLSFA >DRNTG_23944.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12602581:12604587:-1 gene:DRNTG_23944 transcript:DRNTG_23944.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMKLEGWKRLRPQYQSKQCSVGEEVSGDCVFSSSGQCKDSRADFSSGSNDGDDNGRGITI >DRNTG_23944.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12601839:12604733:-1 gene:DRNTG_23944 transcript:DRNTG_23944.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLRHLSQWPCLSHEFFLCFPTLDLIKGGFPSGKFFFAGVVDGRNISANDLASSLSTLEALEAIVGKDKLVVSTSCSLMHSVVDLVNETKLNRAIKSWLSFA >DRNTG_18856.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2471256:2478636:1 gene:DRNTG_18856 transcript:DRNTG_18856.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLFFSPLRCRSLLSPPEKPYFASRFSLPRPFPVFPSPIDASRAATRLRFKPSSCLSRADDGERISELPPSSLSGRDLNSDSLSIWKQMKDIALFAGPATGLWICGPLMSLIDTMVIGQASSLELAALGSSFLFFMYSFSFLGYVLLIWCFFFLDGLGPGTVFCDNLSYIFMFLSIATSNMVATSLAKKDESLVQHQISMLLFVAFACGLGMFLFTKILGTRVLAAFTGLENVHILPAADTYVQIRAFAWPAVLVGLVAQSASLGMKDSWGPLKALVVASAINGFGDIFLCCICHYGIAGAAWATMVSQVVAAFVMMWSLHKKGFKAFSMSIPSPRELVQIFEIAAPVFITMTSKVAFYSILIYAATSMGTMTLAAHQVMINVFCTCTVWGEPLSQTAQSFMPELMHGVSRSLTKARTLLKSLVIIGAIIGVTLGVIGTSVPWLFPRLFTGDQIVIGEVYAFSL >DRNTG_18856.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2471256:2478636:1 gene:DRNTG_18856 transcript:DRNTG_18856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLFFSPLRCRSLLSPPEKPYFASRFSLPRPFPVFPSPIDASRAATRLRFKPSSCLSRADDGERISELPPSSLSGRDLNSDSLSIWKQMKDIALFAGPATGLWICGPLMSLIDTMVIGQASSLELAALGPGTVFCDNLSYIFMFLSIATSNMVATSLAKKDESLVQHQISMLLFVAFACGLGMFLFTKILGTRVLAAFTGLENVHILPAADTYVQIRAFAWPAVLVGLVAQSASLGMKDSWGPLKALVVASAINGFGDIFLCCICHYGIAGAAWATMVSQVVAAFVMMWSLHKKGFKAFSMSIPSPRELVQIFEIAAPVFITMTSKVAFYSILIYAATSMGTMTLAAHQVMINVFCTCTVWGEPLSQTAQSFMPELMHGVSRSLTKARTLLKSLVIIGAIIGVTLGVIGTSVPWLFPRLFTGDQIVIGEMHKVLLPYFIALMVTPSTHSLEGTLLAGRDFKFLSLSMTGCFCFGGLLVLLACNRGYGLPSCWWALAGFQWARFSLALQRLISPRGMLFNEDYYQANFARLKAT >DRNTG_18856.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2471256:2478636:1 gene:DRNTG_18856 transcript:DRNTG_18856.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLFFSPLRCRSLLSPPEKPYFASRFSLPRPFPVFPSPIDASRAATRLRFKPSSCLSRADDGERISELPPSSLSGRDLNSDSLSIWKQMKDIALFAGPATGLWICGPLMSLIDTMVIGQASSLELAALGSSFLFFMYSFSFLGYVLLIWCFFFLDGLGPGTVFCDNLSYIFMFLSIATSNMVATSLAKKDESLVQHQISMLLFVAFACGLGMFLFTKILGTRVLAAFTGLENVHILPAADTYVQIRAFAWPAVLVGLVAQSASLGMKDSWGPLKALVVASAINGFGDIFLCCICHYGIAGAAWATMVSQVVAAFVMMWSLHKKGFKAFSMSIPSPRELVQIFEIAAPVFITMTSKVAFYSILIYAATSMGTMTLAAHQVMINVFCTCTVWGEPLSQTAQSFMPELMHGVSRSLTKARTLLKSLVIIGAIIGVTLGVIGTSVPWLFPRLFTGDQIVIGEMHKVLLPYFIALMVTPSTHSLEGTLLAGRDFKFLSLSMTGCFCFGGLLVLLACNRGYGLPSCWWALAGFQWARFSLALQRLISPRGMLFNEDYYQANFARLKAT >DRNTG_18856.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2472073:2474511:1 gene:DRNTG_18856 transcript:DRNTG_18856.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFVAFACGLGMFLFTKILGTRVLAAFTGLENVHILPAADTYVQIRAFAWPAVLVGLVAQSASLGMKDSWGPLKALVVASAINGFGDIFLCCICHYGIAGAAWATMVSQVVAAFVMMWSLHKKGFKAFSMSIPSPRELVQIFEIAAPVFITMTSK >DRNTG_18856.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2471256:2478636:1 gene:DRNTG_18856 transcript:DRNTG_18856.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLFFSPLRCRSLLSPPEKPYFASRFSLPRPFPVFPSPIDASRAATRLRFKPSSCLSRADDGERISELPPSSLSGRDLNSDSLSIWKQMKDIALFAGPATGLWICGPLMSLIDTMVIGQASSLELAALGSSFLFFMYSFSFLGYVLLIWCFFFLDGLGPGTVFCDNLSYIFMFLSIATSNMVATSLAKKDESLVQHQISMLLFVAFACGLGMFLFTKILGTRVLAAFTGLENVHILPAADTYVQIRAFAWPAVLVGLVAQSASLGMKDSWGPLKALVVASAINGFGDIFLCCICHYGIAGAAWATMVSQVVAAFVMMWSLHKKGFKAFSMSIPSPRELVQIFEIAAPVFITMTSKVAFYSILIYAATSMGTMTLAAHQVMINVFCTCTVWGEPLSQTAQSFMPELMHGVSRSLTKARTLLKSLVIIGAIIGVTLGVIGTSVPWLFPRLFTGDQIVIGEVYAFSL >DRNTG_18856.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2471256:2474511:1 gene:DRNTG_18856 transcript:DRNTG_18856.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFVAFACGLGMFLFTKILGTRVLAAFTGLENVHILPAADTYVQIRAFAWPAVLVGLVAQSASLGMKDSWGPLKALVVASAINGFGDIFLCCICHYGIAGAAWATMVSQVVAAFVMMWSLHKKGFKAFSMSIPSPRELVQIFEIAAPVFITMTSK >DRNTG_27340.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17078938:17080420:1 gene:DRNTG_27340 transcript:DRNTG_27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNPSSSSTSDPSSLKLAIAIALLRSKNLNFSSSHSDAQRWRQKAKERKREIQRLREEFKQLEDGIRAEVVPQIAACRCHFFDDCGKLSPRSGQIGREGLWIDEVLRRRFLRLMRWKERRRKLDDSVRKRHFIELNSEDEIGKLNTSIDFLVELSNGKFVENDFSFRALSHQAVDFILASLRNLLSLEKESEVIEEMITGLILNMTQRMCTATNEGSILICT >DRNTG_35497.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002253.1:39022:44653:-1 gene:DRNTG_35497 transcript:DRNTG_35497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTDLVFGAAAILSEEGELKVSADMDITYLSLAKIDDEVEVYARVLGHKGVVGGRCWWSFRRRGMVKG >DRNTG_35497.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002253.1:42442:44653:-1 gene:DRNTG_35497 transcript:DRNTG_35497.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTDLVFGAAAILSEEGELKVSADMDITYLSLAKIDDEVEVYARVLGHKGVVGGRCWWSFRRRGMVKG >DRNTG_35497.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002253.1:42442:44653:-1 gene:DRNTG_35497 transcript:DRNTG_35497.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGSLGRCPPLPISSSVPPPSYPKKGNSRSPPTWTSPTYLLPRSMYVCVSNFPISFVIVIALLAWFRMRWRCMLGC >DRNTG_02114.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10825415:10826485:-1 gene:DRNTG_02114 transcript:DRNTG_02114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPSSADDETIATPSATDTVIESVAVDDMVVMVEKIVDDVAIAAVDKIVDTVVNKIPITLEPVADSAPSKVDTIPEEQQPAKGVSPVDAITVGTAEKIVDSIVNEIMAKVEPAVNSAASNADTIPQQQKACKDISPVDAVVMPASKDDATSAEHRQPSTTVPHDDPKTTVDEGQQNVTTKKQRDMILASQQYDKVQKDFVPKKKKYLGQSRLNKFEQELIKIFLNCSMDKTVVWMNDCLSTTRARLFTLLEGKEMVLDDVIDAFICIIQKSLSRVPYPYKKRASITRPLALFMSK >DRNTG_28398.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4431668:4438367:1 gene:DRNTG_28398 transcript:DRNTG_28398.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNFPMAFTTTMISWAITEFGNHIPPNNLATAFVAVRQATDYLLNSVVAILGKLYIRVGDPNADHQCWERPEDMDTPRIVYFVSLEKPGSNVAGETVAALSAVTLVFRVADKKFSTMLLSVAKKVILE >DRNTG_18576.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000964.1:29914:31731:-1 gene:DRNTG_18576 transcript:DRNTG_18576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQAGTGVPSPHGFLWMATPLLFSESMNEAQGCYLLVIISGWELLMLSREAWSGNEANTVAIYSSRNCSQPPRKRIPENPHGRTSHLDERVRSISRKTLYHLESLELHGILSEFLGVEIVRFLLRDME >DRNTG_04864.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:6856075:6861173:-1 gene:DRNTG_04864 transcript:DRNTG_04864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSPESLPSPSPPPPSSFSSIPHFSPARHYYVAVDRLRFKMDTLVDLLGVAGRRPFLPMVVCCSSRDELDAVCSSVSGLSFISLSSLYSDQAESERTSILEKFRQSTMEWSQHFGAGFGNVLDIGDVAQKSSMIVVTDACLPCYNFRRTTNFSSNSNKLRVTIKEGDLLKAHVNLFSTRWNCDQHGCGR >DRNTG_03577.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:7305945:7309275:1 gene:DRNTG_03577 transcript:DRNTG_03577.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSTAAASAGGNLVLILDYGSQYTHLITRRIRQLSVLSLCLAGDAPLASISSLRPRAVILSGGPHSVHLPNAPSFPDSFLEFVEHNGIPVLGICYGMQLLVQKLGGEVAVGEKQEYGKMEIDVVRESGLFGAELVGKHQTVWMSHGDEAARLPEGFEVVARSVQGLVAAIENPSKRLYGLQYHPEVTHSAQGMETLSHFIFNVCGITADWKMQDVLEEEIKVIKDMVGPDEHVICALSGGVDSTVAATLVHKAIGDRLHCVFVDNGLLRYKERERVMATFESDLHLPVTCVDAVEQFLSKLKGVKDPEAKRKIIGREFICVFDDFAHDLELKLGQKPKYLVQGTLYPDVIESCPPPGSGRSHSHTIKSHHNVGGLPEDMKLKLIEPLKLLFKDEVRKLGSILNVPESFLKRHPFPGPGLAVRVLGDVTEGNGLDILRQVDEIFIQSIKEAGLYDSIWQAFAVFLPVQSVGVQGDQRTHSHVVVLRAITSEDGMTADWYYFDQRFLVDVVRKICNSVRGVNRVCQDITSKPPATVEWE >DRNTG_13999.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27745029:27752913:1 gene:DRNTG_13999 transcript:DRNTG_13999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMVVGSEGGGREAAVAPPPALLERLKDYGQEGVFALWDELSAEERDLLVKDIESLDLARIDRIIRCSLGSQGLPVPAIEPVPEANVSTVEDRSSEDRERWWKRGLKAILEGKLAVVLLSGGQGTRLGSSDPKGCFNIGLPSGKSLFQLQAERILCMQRLASQSTEGSSGIVPIHWYILTSPFTDEATRKFFESHKYFGLEADQITFFQQGTIPCVSRDGRFIMESPYKVAKAPDGNGGLYSALKSSKLLEDMAMRGVKYVDCYGVDNALVRVADPTFLGYFIDKGVAAAAKVVRKAYPQEKVGVFVQHGRGGPLTVIEYSEMDPTMACEINQITGRLRYCWSNVCLHMFTLDFLNQVANGLEKDSIYHLAEKKIPSIHGFTMGWKLEQFVFDAFPYSSSTALYEVIREEEFAPVKNANGSSYDTPDSARLMVLRLHSRWVVAAGGFLTHSVPLYLTGVEISPLCSYSGENLEAICRGRTFHAPSEITF >DRNTG_29064.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:7732557:7734085:-1 gene:DRNTG_29064 transcript:DRNTG_29064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSDLHFFEHLKVRSLPAKGKGEEATIREKSRCCGKAKDGTPLDAASCRDRFDKTRLIGLPIPQKQVIKLICLGIQS >DRNTG_09905.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25517325:25532416:1 gene:DRNTG_09905 transcript:DRNTG_09905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGYDSGEKEKKNAGVLTVAGSTVARFIVVAHYSTRRVSSRFGSWHTASMLPLTITVAVTIAPGEFQVRIWIMAYGLNAARYRARNEHCSYNRRYLLDRVCLPQIVSMLSSIASGIALRMGAIRSGIALGMEAIKGAIGSGIALGMEAIKGVCGNYPRPCDSIGETHRGRRKPLWFLGMTPYASNASTRAVRKIPMPVCPTQRNRRTPLVASLSNRDNSLSVSHARAEIPQGRGSLLVNSKRQTHAPVSSRDGGEIFYREQHGRVEIIHSASISEFISPSFPQLERGLRLGFGEVLARDLERFYGSNIVLRLEEGTPFISIALPSLLLVLSDERSASARSRQDLPPIFSFKACLPLGDVLRSRLPAGRVVRRPKRPKRKLHTKSSPKTSLLALEKSVLFISRQSMRAHGAREAHSQMAENMPVLQYSATVLSYSVFATVRGL >DRNTG_13168.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:7564671:7565735:1 gene:DRNTG_13168 transcript:DRNTG_13168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGSISGESAVDDGRAVARFQPYLKLISAFFCPSFPQLARGFRLGFRGVLSKVLERFYGTNIVIPLGRRLVGELRSRCILYRTKESLDDE >DRNTG_35422.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20343873:20347821:-1 gene:DRNTG_35422 transcript:DRNTG_35422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEGRSDGRSIKSIISHVAPLKLVLIHGSAEATEHLKQYCSKQFYPHIYAPQIEETIDVTSDLCAYKVQLTEKLMSNVIFKKLGDYEIAWVDAEVGKTNGVLSLLPVSSTPPTHRSVLVGDLKLADFKQFLSSQGIQVELAGGVLHCGEFVTLRKVSDSNQKGAAAAQQVVIEGPLTEEYYKIRELLYSQFYSL >DRNTG_15117.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7541787:7542645:-1 gene:DRNTG_15117 transcript:DRNTG_15117.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVMLPATANFLGIKDCNFIQLSDIFHLDDLRQLIYCRIDDCKEMKWIGKDGEIVFPSLETLYLLGLRSFEGLCKEKTHEETLKNLRKLHIDQCHKLKYLIPNDMLVNNLQNL >DRNTG_15117.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7542747:7543336:-1 gene:DRNTG_15117 transcript:DRNTG_15117.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLIDEEGSIQTGKDRGHAYLKELKDACMIVSVGNDDKFVRMHDLIRDLAINITREPPLFMVKAGLQLEESPKEEEWVESLERVSLMSNGVKAFKGEPNCPRLTNFLLKENGAVTFSDTFFKHMHNLQVLDLSGTRIKSLPSSLSDLMNLHALILTNCSKLKCLPSLA >DRNTG_15117.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7541787:7543290:-1 gene:DRNTG_15117 transcript:DRNTG_15117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLIDEEGSIQTGKDRGHAYLKELKDACMIVSVGNDDKFVRMHDLIRDLAINITREPPLFMVKAGLQLEESPKEEEWVESLERVSLMSNGVKAFKGEPNCPRLTNFLLKENGAVTFSDTFFKHMHNLQVLDLSGTRIKSLPSSLSDLMNLHALILTNCSKLKCLPSLAKLHKLRQLKLGGLRSLN >DRNTG_22387.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16911190:16917315:-1 gene:DRNTG_22387 transcript:DRNTG_22387.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGSNVKMAVQDPSYPAYVDSSVIIGQTGQYQKEIEKFGDIEYMRCTPENGFFPDLSTTSKADIIFFCSPNNPTGAVASRDQLTQLVQFARDNGSIIVYDSAYGMYISDDSPRSIFEIPGAKEVAIEVSSFSKYAGFTGVRLGWTVVPKELLFSDGFPVAKDFNRIVCTCFNGASNIAQAGGLACLSPDGLKAMNDVINFYKENTALIVDTFTMLGFNVYGGKNAPYVWVHFPGRSSWDVFAEILEKTHLVTTPGSGFGPGGEGFIRVSSFGHRENVLEACRRLKQFYK >DRNTG_27085.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17456966:17457644:1 gene:DRNTG_27085 transcript:DRNTG_27085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIVFSIMRWMISHENTALPFKKPSLTQDVTKSDQKEPSSFQEDMTKLKKTLLKIQAVLTDAEEREIKEESVKLWLRELKDVAYDAEDVVHEYEYELLRARVSPTGKRKRGEMSSKSITCNDRIQGIRMRLEEIEKEREALKLREDDGERRFDAIM >DRNTG_27577.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6634074:6640209:-1 gene:DRNTG_27577 transcript:DRNTG_27577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPTWTPLHLELKVLHQHPTPFMAVTRIINPRSSVSVGKLSLLSARCSFVKRASNSDGVPAEASPKPSDVGVIKDNASSGPGYVGLFVRMLGLDNDPLDREQAVVTLWKYSQGGKDCIDGVVRFPGCINLVVSLLKLDSMTTCEAAAGLLRTISSVSIHRDVVIECGAVEEIVGLLCKSCLTSEVKEQSLGALWNLSTDEKVAVKIAKSDFLPMLIKFLDDEEIKVKEGAGGVLANLALTPTNHSNLVEAGVIPKLASLLKTKDDGFKVIRKEAKTTLLELCKDEYFRILVTEEGLVRVPLVGAAAYKAFKPVSYSWPSLPDGTEIQKNSRPSRYGASELLLGLNVRDNLNLSEAKMNAMIGRSQQQFLARIGAIELEDGRKVHSDSSQRQQYTLLPWIDGVARLVLILGLEDISAISRAAYSIADAATSEHMRISFKEAGAVRLLVQLLDHSNDGIKEAAALALDRLSNSSEVRRTIDAEGVLSPLINILKSKNTSQSLLEKVVNILSRIFDPGKDVKQKNKAINGSSEVVLTNAHSSDKIEGSSKQLDTFLQPDLTTREMIDSGVIARFVETFRISSPDLQVKIVSILDYVVTSELHVTALIEAGIESGLDAVFEQGSSNVVEDDDDSKLEEDIVKAEEIGLVIAAASRLLSKLLDFEQFRRGIDSRSFVFKLRNILRSNIPLHAKEWAAACLVKLEAHFGSLADLGHRIDMEVTLYETIPRLVEQIRTSAFDESQEAAAQELYEVVASRGLVECSRAIATCGGIFPLVKLIDEGHALEASLAILYNLSMDSENHPAIIAAGAVPILKRIVLTKGPQWTRALHLLRTLPT >DRNTG_14385.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:477195:481254:-1 gene:DRNTG_14385 transcript:DRNTG_14385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSALTVGPGMDMPIMHDSDRYELVKDIGSGNFGIARLMRDKQSGDLVAVKYIERGEKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSAAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEEPKNFRKTIQRILGVQYSIPDYVHISPECQQLISKIFVANPLMRITIPDIRNHEWFLKNLPAGLMDENMMSNQYEEPDQPMQSIDEIMQIIAEATIPAAGTRALNPYLTDNLDLDDDMEDLDSDPDLDVDSSGEIVYAM >DRNTG_10645.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:309044:313550:-1 gene:DRNTG_10645 transcript:DRNTG_10645.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDVAEVAQATGNSSSVVKAHASMCLELRKILDTINLVLPAIESAQPGCRSGIQELCSLNNAVEKAKLLLQHCAETSKLYLAITGEATLLRCERIRNVLIQSLIQIQNMVPELLAAELAEIINYLGDAKFFIDPLEEEAGKVMLELLQQTDATAETELKALQVAALNLKITSPKSVLIERRSLKKLLDKIRATEPKKEGVLLFFLYLLKKYGNKLSIDVVEQQESGIPQSEVSVCSTKPKHYAEKKEHRKELATDSNADRGPSSADTPPEEFICPISSKLMFDPVVIDSGQTYERVWIEKWFNEGNDTCPKTKKKLVNRSFVPNSCMKDLISNWCRKHGVDIKDPSLEANPTITIPQEPSHYDSITSLRNVSTLLLDGKPGYYMLQSDHSNVSFISSDSGCFSDSSRVKVADSFSNSYAHKFPWSKDYQNFGSFLNFDQDMYRKFFRKLSELPLESQENAVGDLTLLLDTEGETCLVMFHNGFPEALMSFMKHSYDLSDIQAQRAGAQILLAVLTKWSIEVPSLVEDTFHLLMMFLDSYIIKEALMIMRTLSCHPTYVPNIVALGILPSVICVLDCEDSEIRELAIKIICDLSSHNDAKPHLLSSRCISKLVPLMSEARFAGICIEILCNLSDTGEAALMIAETNGCIGSIVELLDIGDRKEQEHAVIILHSLCLHSFDYRLKVMNEGVIPALCEISLNGTPKGKELSMKLLLVLRELRDNDCFAHSDSHSSHSSESSESATDHSTKRITEISNKRQSTPKATSSFFKKKLGFFSKPKAVALS >DRNTG_10645.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:309189:313550:-1 gene:DRNTG_10645 transcript:DRNTG_10645.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDVAEVAQATGNSSSVVKAHASMCLELRKILDTINLVLPAIESAQPGCRSGIQELCSLNNAVEKAKLLLQHCAETSKLYLAITGEATLLRCERIRNVLIQSLIQIQNMVPELLAAELAEIINYLGDAKFFIDPLEEEAGKVMLELLQQTDATAETELKALQVAALNLKITSPKSVLIERRSLKKLLDKIRATEPKKEGVLLFFLYLLKKYGNKLSIDVVEQQESGIPQSEVSVCSTKPKHYAEKKEHRKELATDSNADRGPSSADTPPEEFICPISSKLMFDPVVIDSGQTYERVWIEKWFNEGNDTCPKTKKKLVNRSFVPNSCMKDLISNWCRKHGVDIKDPSLEANPTITIPQEPSHYDSITSLRNVSTLLLDGKPGYYMLQSDHSNVSFISSDSGCFSDSSRVKVADSFSNSYAHKFPWSKDYQNFGSFLNFDQDMYRKFFRKLSELPLESQENAVGDLTLLLDTEGETCLVMFHNGFPEALMSFMKHSYDLSDIQAQRAGAQILLAVLTKWSIEVPSLVEDTFHLLMMFLDSYIIKEALMIMRTLSCHPTYVPNIVALGILPSVICVLDCEDSEIRELAIKIICDLSSHNDAKPHLLSSRCISKLVPLMSEARFAGICIEILCNLSDTGEAALMIAETNGCIGSIVELLDIGDRKEQEHAVIILHSLCLHSFDYRLKVMNEGVIPALCEISLNGTPKGKELSMKLLLVLRELRDNDCFAHSDSHSSHSSESSESATDHSTKRITEISNKRQSTPKATSSFFKKKLGFFSKPKAVALS >DRNTG_10645.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:309092:313601:-1 gene:DRNTG_10645 transcript:DRNTG_10645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDVAEVAQATGNSSSVVKAHASMCLELRKILDTINLVLPAIESAQPGCRSGIQELCSLNNAVEKAKLLLQHCAETSKLYLAITGEATLLRCERIRNVLIQSLIQIQNMVPELLAAELAEIINYLGDAKFFIDPLEEEAGKVMLELLQQTDATAETELKALQVAALNLKITSPKSVLIERRSLKKLLDKIRATEPKKEGVLLFFLYLLKKYGNKLSIDVVEQQESGIPQSEVSVCSTKPKHYAEKKEHRKELATDSNADRGPSSADTPPEEFICPISSKLMFDPVVIDSGQTYERVWIEKWFNEGNDTCPKTKKKLVNRSFVPNSCMKDLISNWCRKHGVDIKDPSLEANPTITIPQEPSHYDSITSLRNVSTLLLDGKPGYYMLQSDHSNVSFISSDSGCFSDSSRVKVADSFSNSYAHKFPWSKDYQNFGSFLNFDQDMYRKFFRKLSELPLESQENAVGDLTLLLDTEGETCLVMFHNGFPEALMSFMKHSYDLSDIQAQRAGAQILLAVLTKWSIEVPSLVEDTFHLLMMFLDSYIIKEALMIMRTLSCHPTYVPNIVALGILPSVICVLDCEDSEIRELAIKIICDLSSHNDAKPHLLSSRCISKLVPLMSEARFAGICIEILCNLSDTGEAALMIAETNGCIGSIVELLDIGDRKEQEHAVIILHSLCLHSFDYRLKVMNEGVIPALCEISLNGTPKGKELSMKLLLVLRELRDNDCFAHSDSHSSHSSESSESATDHSTKRITEISNKRQSTPKATSSFFKKKLGFFSKPKAVALS >DRNTG_10645.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:309189:313550:-1 gene:DRNTG_10645 transcript:DRNTG_10645.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDVAEVAQATGNSSSVVKAHASMCLELRKILDTINLVLPAIESAQPGCRSGIQELCSLNNAVEKAKLLLQHCAETSKLYLAITGEATLLRCERIRNVLIQSLIQIQNMVPELLAAELAEIINYLGDAKFFIDPLEEEAGKVMLELLQQTDATAETELKALQVAALNLKITSPKSVLIERRSLKKLLDKIRATEPKKEGVLLFFLYLLKKYGNKLSIDVVEQQESGIPQSEVSVCSTKPKHYAEKKEHRKELATDSNADRGPSSADTPPEEFICPISSKLMFDPVVIDSGQTYERVWIEKWFNEGNDTCPKTKKKLVNRSFVPNSCMKDLISNWCRKHGVDIKDPSLEANPTITIPQEPSHYDSITSLRNVSTLLLDGKPGYYMLQSDHSNVSFISSDSGCFSDSSRVKVADSFSNSYAHKFPWSKDYQNFGSFLNFDQDMYRKFFRKLSELPLESQENAVGDLTLLLDTEGETCLVMFHNGFPEALMSFMKHSYDLSDIQAQRAGAQILLAVLTKWSIEVPSLVEDTFHLLMMFLDSYIIKEALMIMRTLSCHPTYVPNIVALGILPSVICVLDCEDSEIRELAIKIICDLSSHNDAKPHLLSSRCISKLVPLMSEARFAGICIEILCNLSDTGEAALMIAETNGCIGSIVELLDIGDRKEQEHAVIILHSLCLHSFDYRLKVMNEGVIPALCEISLNGTPKGKELSMKLLLVLRELRDNDCFAHSDSHSSHSSESSESATDHSTKRITEISNKRQSTPKATSSFFKKKLGFFSKPKAVALS >DRNTG_10645.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:309092:313550:-1 gene:DRNTG_10645 transcript:DRNTG_10645.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDVAEVAQATGNSSSVVKAHASMCLELRKILDTINLVLPAIESAQPGCRSGIQELCSLNNAVEKAKLLLQHCAETSKLYLAITGEATLLRCERIRNVLIQSLIQIQNMVPELLAAELAEIINYLGDAKFFIDPLEEEAGKVMLELLQQTDATAETELKALQVAALNLKITSPKSVLIERRSLKKLLDKIRATEPKKEGVLLFFLYLLKKYGNKLSIDVVEQQESGIPQSEVSVCSTKPKHYAEKKEHRKELATDSNADRGPSSADTPPEEFICPISSKLMFDPVVIDSGQTYERVWIEKWFNEGNDTCPKTKKKLVNRSFVPNSCMKDLISNWCRKHGVDIKDPSLEANPTITIPQEPSHYDSITSLRNVSTLLLDGKPGYYMLQSDHSNVSFISSDSGCFSDSSRVKVADSFSNSYAHKFPWSKDYQNFGSFLNFDQDMYRKFFRKLSELPLESQENAVGDLTLLLDTEGETCLVMFHNGFPEALMSFMKHSYDLSDIQAQRAGAQILLAVLTKWSIEVPSLVEDTFHLLMMFLDSYIIKEALMIMRTLSCHPTYVPNIVALGILPSVICVLDCEDSEIRELAIKIICDLSSHNDAKPHLLSSRCISKLVPLMSEARFAGICIEILCNLSDTGEAALMIAETNGCIGSIVELLDIGDRKEQEHAVIILHSLCLHSFDYRLKVMNEGVIPALCEISLNGTPKGKELSMKLLLVLRELRDNDCFAHSDSHSSHSSESSESATDHSTKRITEISNKRQSTPKATSSFFKKKLGFFSKPKAVALS >DRNTG_10645.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:309092:313550:-1 gene:DRNTG_10645 transcript:DRNTG_10645.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDVAEVAQATGNSSSVVKAHASMCLELRKILDTINLVLPAIESAQPGCRSGIQELCSLNNAVEKAKLLLQHCAETSKLYLAITGEATLLRCERIRNVLIQSLIQIQNMVPELLAAELAEIINYLGDAKFFIDPLEEEAGKVMLELLQQTDATAETELKALQVAALNLKITSPKSVLIERRSLKKLLDKIRATEPKKEGVLLFFLYLLKKYGNKLSIDVVEQQESGIPQSEVSVCSTKPKHYAEKKEHRKELATDSNADRGPSSADTPPEEFICPISSKLMFDPVVIDSGQTYERVWIEKWFNEGNDTCPKTKKKLVNRSFVPNSCMKDLISNWCRKHGVDIKDPSLEANPTITIPQEPSHYDSITSLRNVSTLLLDGKPGYYMLQSDHSNVSFISSDSGCFSDSSRVKVADSFSNSYAHKFPWSKDYQNFGSFLNFDQDMYRKFFRKLSELPLESQENAVGDLTLLLDTEGETCLVMFHNGFPEALMSFMKHSYDLSDIQAQRAGAQILLAVLTKWSIEVPSLVEDTFHLLMMFLDSYIIKEALMIMRTLSCHPTYVPNIVALGILPSVICVLDCEDSEIRELAIKIICDLSSHNDAKPHLLSSRCISKLVPLMSEARFAGICIEILCNLSDTGEAALMIAETNGCIGSIVELLDIGDRKEQEHAVIILHSLCLHSFDYRLKVMNEGVIPALCEISLNGTPKGKELSMKLLLVLRELRDNDCFAHSDSHSSHSSESSESATDHSTKRITEISNKRQSTPKATSSFFKKKLGFFSKPKAVALS >DRNTG_10645.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:309189:313601:-1 gene:DRNTG_10645 transcript:DRNTG_10645.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDVAEVAQATGNSSSVVKAHASMCLELRKILDTINLVLPAIESAQPGCRSGIQELCSLNNAVEKAKLLLQHCAETSKLYLAITGEATLLRCERIRNVLIQSLIQIQNMVPELLAAELAEIINYLGDAKFFIDPLEEEAGKVMLELLQQTDATAETELKALQVAALNLKITSPKSVLIERRSLKKLLDKIRATEPKKEGVLLFFLYLLKKYGNKLSIDVVEQQESGIPQSEVSVCSTKPKHYAEKKEHRKELATDSNADRGPSSADTPPEEFICPISSKLMFDPVVIDSGQTYERVWIEKWFNEGNDTCPKTKKKLVNRSFVPNSCMKDLISNWCRKHGVDIKDPSLEANPTITIPQEPSHYDSITSLRNVSTLLLDGKPGYYMLQSDHSNVSFISSDSGCFSDSSRVKVADSFSNSYAHKFPWSKDYQNFGSFLNFDQDMYRKFFRKLSELPLESQENAVGDLTLLLDTEGETCLVMFHNGFPEALMSFMKHSYDLSDIQAQRAGAQILLAVLTKWSIEVPSLVEDTFHLLMMFLDSYIIKEALMIMRTLSCHPTYVPNIVALGILPSVICVLDCEDSEIRELAIKIICDLSSHNDAKPHLLSSRCISKLVPLMSEARFAGICIEILCNLSDTGEAALMIAETNGCIGSIVELLDIGDRKEQEHAVIILHSLCLHSFDYRLKVMNEGVIPALCEISLNGTPKGKELSMKLLLVLRELRDNDCFAHSDSHSSHSSESSESATDHSTKRITEISNKRQSTPKATSSFFKKKLGFFSKPKAVALS >DRNTG_10645.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:309189:313601:-1 gene:DRNTG_10645 transcript:DRNTG_10645.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDVAEVAQATGNSSSVVKAHASMCLELRKILDTINLVLPAIESAQPGCRSGIQELCSLNNAVEKAKLLLQHCAETSKLYLAITGEATLLRCERIRNVLIQSLIQIQNMVPELLAAELAEIINYLGDAKFFIDPLEEEAGKVMLELLQQTDATAETELKALQVAALNLKITSPKSVLIERRSLKKLLDKIRATEPKKEGVLLFFLYLLKKYGNKLSIDVVEQQESGIPQSEVSVCSTKPKHYAEKKEHRKELATDSNADRGPSSADTPPEEFICPISSKLMFDPVVIDSGQTYERVWIEKWFNEGNDTCPKTKKKLVNRSFVPNSCMKDLISNWCRKHGVDIKDPSLEANPTITIPQEPSHYDSITSLRNVSTLLLDGKPGYYMLQSDHSNVSFISSDSGCFSDSSRVKVADSFSNSYAHKFPWSKDYQNFGSFLNFDQDMYRKFFRKLSELPLESQENAVGDLTLLLDTEGETCLVMFHNGFPEALMSFMKHSYDLSDIQAQRAGAQILLAVLTKWSIEVPSLVEDTFHLLMMFLDSYIIKEALMIMRTLSCHPTYVPNIVALGILPSVICVLDCEDSEIRELAIKIICDLSSHNDAKPHLLSSRCISKLVPLMSEARFAGICIEILCNLSDTGEAALMIAETNGCIGSIVELLDIGDRKEQEHAVIILHSLCLHSFDYRLKVMNEGVIPALCEISLNGTPKGKELSMKLLLVLRELRDNDCFAHSDSHSSHSSESSESATDHSTKRITEISNKRQSTPKATSSFFKKKLGFFSKPKAVALS >DRNTG_22538.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3631079:3632335:1 gene:DRNTG_22538 transcript:DRNTG_22538.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTENQNTSSQWTWEQNKAFEKALVSFINDKNWEKLAAEIPGKTIEDVRCHLNLLFKDIESIESGLVPFPNYISSPSSSSSSSSSSSDGANTLKPKRERRKGKLWSRQEHMLFLYGLHAYGKGDWRSISRNCVVTRTPTQVASHAQKYFTRQNSVKKDRRRSSIHDITHVDGGDMLAYQGTISSQTTTTDVPFAFVASSSPHFSLL >DRNTG_08959.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000392.1:15085:20257:1 gene:DRNTG_08959 transcript:DRNTG_08959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIFSPSGRLHMAGQRRWLPSAIPGNRPFLIGLWVLGFALVFLWQRGSVVGRGPRMFSWAAPPRPLPKLRPLAFNLTDFGGVGDGVTLNTEAFERAVAFISKLRKKGGGQLNVQAGVWLTAPFNLTSHMTLFLAEGAVILGIQDESYWPLMPPLRSYGHGREQKGPRYGSLIHGQNLKDVVITGHNGTIDGQGKSWWTKFRKKLLKHTRGPLVQIMWSRDIVISNITLQNSPFWTLHPYDCKNVTITNLTILAPVSGAPNTDGINPDSCRDMVIENCYISVGDDGVAIKSGWDQYGIAYGQPSMNILIRNLVVRSVVSAGVSIGSEMSGGVSNVSVENVHIWESRRGVRIKTAPGRGGYVRGISYRNITFDNVRVGIVIKTDYNEHPDEGFDPKATPTLKNISFTGIHGQEVRVPVRIQGSEEIPVKDITFQDMSVGISYKKKHIFQCSFVQGRVIGSVFPAPCENLDKYDERGQLVMRSISQNNTDIDYDF >DRNTG_26548.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5618892:5624885:1 gene:DRNTG_26548 transcript:DRNTG_26548.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCYFFTQNLYLIVSKNKLKPPSSSPVPAPTRFIPRSPTSTPSDLFSWKCTRKPPKFSSLMTPSISSMENDGVPLF >DRNTG_27069.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2772899:2784120:1 gene:DRNTG_27069 transcript:DRNTG_27069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKMLKMMVLVFIFLCCLLLLLLLPSFGFTIDEDLELLNKPTMKTTVVDDGDIYDCVDIHKQPAFDHPSLKNHKIQLRPSSYPVGLFDDKSSSTNSTTSTEIGLPDGGCPSGTVPIRRHTKETLMRMKSSLKNMNTPSMHNGTNNELHHQRAIYITRKTLSEFYGASAFIDVYSLPLTKTWQVSSSYIWIINNEDDDQINTIAIGWTVSNESYGDTKTRLTASWTIDNFQETGCRDTSCPGFVQVSRGLPLGIPIKPLSTYGGTQYGLNILVFKDPNTKNWWSFLHNNRIPIGYWPNEIFTNLGAKANKLNFGGIVGYLDSPDKPPMGSGHYPIEVFIFLCCLLLLLILPSFGFTIDEDLKLFNKPTMKTSTIVLRPSSYPVGLFDDKSSSTNSTASSEIGLPDGGCPSGTVPIRRHAKENLMRMKSSLKNMKIKNTPSMHNDTNKELYHQRAVYITRKELLDSYGASAFIDVYSLPITKESQVSSSAYIWIENNEDGDQINSIAIGWTISNDSYGDTKTRLTASWTNDNFQVTGCRDITCPGFVQISRELPLGIALRPLSTYGGTQFGLQILVYKDPVTKNWLLFLKRDQIFIGYWPSKIFTRLSSKANKLVFGGVVGYLNNQDKPPMGSGHFPIEVFIFLCCLLLLLLPSFGFTIDEDLELLNKPTMKTTIVDDGDIYDCVGINKQPAFDHPSLKNHKIQLRPSSYPLGLFDDNSLSSSTSRTTSIEIGLRDGGCPSGTVPIRRLTKENLTRMKLSLKSMKIKNTPMYNDTNEELHRQWASYITKEELSESYGSSAFIDAYSLPLPKEWQFSSSYIWIANNEDYNQINTIAIGWTVRQLDGQYLTTAMEIQKPD >DRNTG_01865.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21207732:21210168:1 gene:DRNTG_01865 transcript:DRNTG_01865.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LQY1 [Source:Projected from Arabidopsis thaliana (AT1G75690) UniProtKB/TrEMBL;Acc:A0A178W9N8] MSLAPSLSLLRSSFLSPPSNAKLLSSPRLTTTHRRTISYPRISAIELDQNTIVAISVGLVSVAVGIGIPVFYETQIDNAAKRENTQPCFPCSGSGAQQCRFCMGTGIVTVVLGGGETEKSQCINCEGAGSLTCTTCQGTGIQPRYLDRREFKDDD >DRNTG_18389.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20990731:20992159:-1 gene:DRNTG_18389 transcript:DRNTG_18389.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCGYRLLIVARLRRKAGKGQRCMQQQLQLLLLLLLLLLLLLLFCYSGASEGQIKQSDEGEGLPLLTAMAFGPWPLSWHGIYVLGNGGQEERTASGPRKRKRKRKRERESE >DRNTG_21955.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2043523:2047548:-1 gene:DRNTG_21955 transcript:DRNTG_21955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITAKLRGVDLRSSVLPGGALLPAGNPRILHKLAPFSAFPAQSRRFRASLVKKVSFCAARRRGAIARAVAVETSVIETQDDIESLFSESSSGDAAAKRQGKKKSNSGASSVSSGIRLENISKSFKGVTVLRDVSWEVKKGEKVGLVGVNGAGKTTQLRIIAGLDEPDSGNIVKARENMKIAFLSQEFEVCPSRTVKEEFLSAFAEEMEIAERLEKVQNALEKTTEDLNLMGRLLDELDLLQRRAQDLNLDEVDMKISKLMPELGFAPEDSDRLVASFSGGWQMRMSLGKILLQEPDLLLLDEPTNHLDLDTIEWLEEYLNKQDVPMVIISHDRAFLDQLCTKIVETDMGVSRTFMGNYSEYVIAKAAWVEAQYQAWEKQQKEIEHTKDLISRLGAGANSGRASSEEKKLERLQEEGQIEKPFQRKQMKIRFPERGRSGRTVLMLKNLEFGYEDEVLFHKANLLVERGEKIAIIGPNGCGKSALLKLIMGLEKPRGGEVLLGEHNVLPNYFEQNQAEALDLDKTVLQTVEEAAVDWRIDDIKGLLGRCNFKSDMLDRKVNLLSGGEKARLAFCKFMVKPSTLLVLDEPTNHLDIPSKEMLEEAISEYQGTVITVSHDRYFVRQIVNRVVEVKDKGLSDYAGDYNYYLEKNLEARERELSREAELEEKAPKAKAKSKMSKEEKEARKKQKIQAFQQAKAKSKGLKNAKRWK >DRNTG_20719.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17883648:17891822:-1 gene:DRNTG_20719 transcript:DRNTG_20719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLRKLRGFALQATGRREFHPPPARNDELARAVQDMQDMRSCYDSLLSTAASTANSAYEFSESLREMGTCLLEKTALNDDEETGRILLLLGKAQFELQKLVDNYRKHIIQTITTPSESLLKELQTVE >DRNTG_25395.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24449392:24453022:-1 gene:DRNTG_25395 transcript:DRNTG_25395.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55580) UniProtKB/Swiss-Prot;Acc:Q9FM80] MFFDSFSHVSRPKMLCLHPIAHRPFVPSPSRIAPSVSHRAGIGFAVCSSHSNPRILKPNRGYFYDDDDGGSELEEYPPFGDDFDLEELTSNRHSDKKPTNFYSLVPNKRPGSQKPPRKDKVIPAKISSRDLSYISGSTTKPNQIDLSTKEKVEHGKFTLSRFQKLAEELDFDEKWFPLIEYLSTFGLKETHFISIYERHMPCLQINLASARERLDFLIGIGVKHRDIKRILLRQPQILEYTVENNLKSHVSFLLDIGIPQSRIGQIITAAPSLFSYSVELSLKPTIRYLVEEVSIKRSNLSKVIQLSPQILVQRIDNSWTSRFSFLSKELGAPRESIVKMVTKHPQLLHYSIEDGISPRIDFLRSIGMRNSDILKVLTSLTQVLSLSLEDNLKPKYLYLVNDLNNEVKSLTKYPMYLSLSLEQRIRPRHRFLVSLKKAPKGPFPLSSLVPTDASFCQQWAGTTLEKYLAFRQSLLLSDLAKKYERRR >DRNTG_25395.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24449392:24453022:-1 gene:DRNTG_25395 transcript:DRNTG_25395.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55580) UniProtKB/Swiss-Prot;Acc:Q9FM80] MFFDSFSHVSRPKMLCLHPIAHRPFVPSPSRIAPSVSHRAGIGFAVCSSHSNPRILKPNRGYFYDDDDGGSELEEYPPFGDDFDLEELTSNRHSDKKPTNFYSLVPNKRPGSQKPPRKDKVIPAKISSRDLSYISGSTTKPNQIDLSTKEKVEHGKFTLSRFQKLAEELDFDEKWFPLIEYLSTFGLKETHFISIYERHMPCLQINLASARERLDFLIGIGVKHRDIKRILLRQPQILEYTVENNLKSHVSFLLDIGIPQSRIGQIITAAPSLFSYSVELSLKPTIRYLVEEVSIKRSNLSKVIQLSPQILVQRIDNSWTSRFSFLSKELGAPRESIVKMVTKHPQLLHYSIEDGISPRIDFLRSIGMRNSDILKVLTSLTQVLSLSLEDNLKPKYLYLVNDLNNEVKSLTKYPMYLSLSLEQRIRPRHRFLVSLKKAPKGPFPLSSLVPTDASFCQQWAGTTLEKYLAFRQSLLLSDLAKKYERRR >DRNTG_26392.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18049127:18054313:-1 gene:DRNTG_26392 transcript:DRNTG_26392.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRANGLVARYRVVLNKEEDQVLLYNLLMKGYLKTDFPQGALTIRDEILRQGLKPDKVTYNTLVFACVKSGDLDAAFQLITEMKVEAQKANSSEFFPDVVTYTTLLKGLGSKKDLCSVQEIVVEMKSLPDLYIDRTAYTAIVDAMLACGSIQGALCVFGEIIKLANESNNLRPKPHLYLSMMRTFALNGDFDMVKKLHVKMWSDTVGSISLSAQQEADELLMEAAINDNQVDVSKKILLDIIRKRDGLSWNSRGGMTALKVEILSGFTSSLFTPYILPQVTLDDPIEKYMTPFEESNPLLSSLPLKKVVMHFFRDSVLPVIDDWGSCVGILHRDDCKMLDAPLSTMMRGPPPCVTTSTSIGRTIDLLLEKKYKMLIIVKNSNVVYETTYSSSSRPVGTFTRDKLCQLTKHYDDDDEEGHASSPIKAAGHGDIR >DRNTG_26392.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18049127:18053291:-1 gene:DRNTG_26392 transcript:DRNTG_26392.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLPDLYIDRTAYTAIVDAMLACGSIQGALCVFGEIIKLANESNNLRPKPHLYLSMMRTFALNGDFDMVKKLHVKMWSDTVGSISLSAQQEADELLMEAAINDNQVDVSKKILLDIIRKRDGLSWNSRGGMTALKVEILSGFTSSLFTPYILPQVTLDDPIEKYMTPFEESNPLLSSLPLKKVVMHFFRDSVLPVIDDWGSCVGILHRDDCKMLDAPLSTMMRGPPPCVTTSTSIGRTIDLLLEKKYKMLIIVKNSNVVYETTYSSSSRPVGTFTRDKLCQLTKHYDDDDEEGHASSPIKAAGHGDIR >DRNTG_26392.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18055586:18056133:-1 gene:DRNTG_26392 transcript:DRNTG_26392.8 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVMEACVHCRDIESSIQVFEEMAKPESCGVDDISYGILLK >DRNTG_26392.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18049127:18056133:-1 gene:DRNTG_26392 transcript:DRNTG_26392.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVMEACVHCRDIESSIQVFEEMAKPESCGVDDISYGILLKGLGEARRIDEAFQILESVENGTAVGSPKLSARLIYGLLNALLEAGDMRRANGLVARYRVVLNKEEDQVLLYNLLMKGYLKTDFPQGALTIRDEILRQGLKPDKVTYNTLVFACVKSGDLDAAFQLITEMKVEAQKANSSEFFPDVVTYTTLLKGLGSKKDLCSVQEIVVEMKSLPDLYIDRTAYTAIVDAMLACGSIQGTSSLYSL >DRNTG_26392.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18049127:18056133:-1 gene:DRNTG_26392 transcript:DRNTG_26392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGFHPRPQSHSLLQTLENLVNSFSVRPGRTSATRSRGPHASHSPLRSLKPPSKSPPSLSPSPQDLNFRRLTSRVIELTRRRQLRQILREIEKAKKRYGKLSTVVMNAVMEACVHCRDIESSIQVFEEMAKPESCGVDDISYGILLKGLGEARRIDEAFQILESVENGTAVGSPKLSARLIYGLLNALLEAGDMRRANGLVARYRVVLNKEEDQVLLYNLLMKGYLKTDFPQGALTIRDEILRQGLKPDKVTYNTLVFACVKSGDLDAAFQLITEMKVLNKYLKGGSSKS >DRNTG_26392.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18049982:18051881:-1 gene:DRNTG_26392 transcript:DRNTG_26392.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTALKVEILSGFTSSLFTPYILPQVTLDDPIEKYMTPFEESNPLLSSLPLKKVVMHFFRDSVLPVIDDWGSCVGILHRDDCKMLDAPLSTMMRGPPPCVTTSTSIGRTIDLLLEKKYKMLIIVKNSNVVYETTYSSSSRPVGTFTRDKLCQLTKHCKTISTNHLLMQQIRQGQIITK >DRNTG_26392.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18049127:18055255:-1 gene:DRNTG_26392 transcript:DRNTG_26392.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCEIWRSRCCISVDHRNEGLEQVFERPVLTYVIYPTLAQKSKWIMNHKYIFMQVEAQKANSSEFFPDVVTYTTLLKGLGSKKDLCSVQEIVVEMKSLPDLYIDRTAYTAIVDAMLACGSIQGALCVFGEIIKLANESNNLRPKPHLYLSMMRTFALNGDFDMVKKLHVKMWSDTVGSISLSAQQEADELLMEAAINDNQVDVSKKILLDIIRKRDGLSWNSRGGMTALKVEILSGFTSSLFTPYILPQVTLDDPIEKYMTPFEESNPLLSSLPLKKVVMHFFRDSVLPVIDDWGSCVGILHRDDCKMLDAPLSTMMRGPPPCVTTSTSIGRTIDLLLEKKYKMLIIVKNSNVVYETTYSSSSRPVGTFTRDKLCQLTKHYDDDDEEGHASSPIKAAGHGDIR >DRNTG_26392.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18053689:18056133:-1 gene:DRNTG_26392 transcript:DRNTG_26392.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGFHPRPQSHSLLQTLENLVNSFSVRPGRTSATRSRGPHASHSPLRSLKPPSKSPPSLSPSPQDLNFRRLTSRVIELTRRRQLRQILREIEKAKKRYGKLSTVVMNAVMEACVHCRDIESSIQVFEEMAKPESCGVDDISYGILLKGLGEARRIDEAFQILESVENGTAVGSPKLSARLIYGLLNALLEAGDMRRANGLVARYRVVLNKEEDQVLLYNLLMKGYLKTDFPQGALTIRDEILRQGLKPDKVTYNTLVFACVKSGDLDAAFQLITEMKVLNKYLKGQF >DRNTG_13301.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2945657:2947025:-1 gene:DRNTG_13301 transcript:DRNTG_13301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLILSLPNPYPPIPLAGTLDIATIAPMHEEGTSSLIFVNSTRFTAAFFTLSNDVFSGGFFFFFLLLRFFLSLLCSFSFTLVR >DRNTG_20327.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5773283:5776301:1 gene:DRNTG_20327 transcript:DRNTG_20327.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIHSTDKSILGVILICVAAVETFLLLAYTTVFSLFVRRRACSSLHTLRFPQTREPSCKQKHKRKGMTTTLVNARCYLAPVVETIERLKKVMNNTHWRILRRTPFAHMMDLVAVVQERGVVDTLLCIYDGQTERFKIGDSMQPFRPEDVAIIIGAPYVGEIVSFRHEGGRVSSKFEHTYLNKMHNPDCDTIKDNLFRLVRGKSETEKTFVKLLIMYTDNLDTIGNYTWVHAIYMWLMDDLPKMVARFYEVTGSVKKQCVGRTRLIPYYGEITFIKASSIDPLLKYLEGKDSSALVPANDAEIALVGRGTENAKETYGDAENCWPAQRQQVHYELTPAAATEAKYPDYAAPTEGPVKDSPAKKVPIKRALPKRAPRVKPPPRKTLLRRSWSLRAPPKKCASPRAQSSKESDDILSVHSFIWRDEYGFTNHYNLFVLLEEMEQVSDDVVTVLVLMLPEELLTNPNVHRRRAVITLSLALAM >DRNTG_11348.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23733488:23735571:-1 gene:DRNTG_11348 transcript:DRNTG_11348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLPTKTLASGESRIVYAYQTAITGFAARLTKEEVKAMETKEGFIHATKERMLYLHTTHINDFLGLNQGTCLQRDTYLGKGLIIGMLDTGVLPNHPSFKDSGLPHPPTKWKGHCDFKPTTCNNKLIGARSFQRGNKDLPYDAIGHGTHTASIAAGGFVKDAQVLGNAKGTVSGVAPNCHLAIYKVCHSSVCGSTDVLAGIDQAMDDGVDVISLSFGGGAVPFYDDAVAIGALAAVEKGIIVTSSAGNSGPTKGTIENDSPWLITVGASTMDRMIRARVKLGNNEELIGESAYHPSGFTSGFLPIVYPGRHGSSRAKACSDGSLSRLNIKGMVVLCHNSGANTTVEKGIVVKKAGGVAMILINEEDQNMTTRAAAHVLPAAHVSYFDGRRILAYALSKTNPTVTIIFDGTLYGISPAPSVASFSSRGPSSINEGVLKPDIIAPGVNILAAWPSPVGPLSLESPMNSTITSMAFNIVSGTSLSSPVIAGVTTLLKIAHPDWSPAAIKSAIMTTADTLDRDGLPISDLYSMGAGHINPTNANDPGLVYDIVEDDYIAYLCGLGYTDKQVSVVARRIIECASIDPINAEELNYPSVSVALGSKAEKTITRWVMNVGESESLYSVQIKRPEGVEVSVYPEKLSFSKMNQTLSFSLYFSSGDVGAMRGGASEGYLRWVSNKHIVRSPILVTFT >DRNTG_24818.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31807101:31810672:-1 gene:DRNTG_24818 transcript:DRNTG_24818.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLSLGANSRFRVLVPGIASSKCGEIRPSRDLDPRILGRSHNLAPLCCTRGQEVTNDESSRGAYASNYLADSVEAMEWESGTSLEDIAAKQGIRIRRRPPINSGSEESAPRNILEKIIWTKDVEVSQLKEEKPLSLLNEALEDAPPARDFVGALKASYQRTNMPALIAEVKKASPSRGVLRQDFDPVQIAKAYERNGAACLSILTDEKYFQGSFENLQLVREAGVKCPLLCKEFIIDPWQIYYARLKGADAVLLIAGVLTDLDIKLMIMVCKKLGMVALVEVHDEVEMDRVLRIDGVQLIGINNRNLGMLIFVWHIPC >DRNTG_16448.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17453835:17454670:-1 gene:DRNTG_16448 transcript:DRNTG_16448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDQASDQPHGEHNPPIDPPDSEFGSWMLVSRRRGRARSRGAGSFPVSASDGAAAEETTAPNIPHDVPSRGTWIGVKSHGHGGTFSSRTSRYHYYNTDQVPMEDSSDPPPLSVDDLGTIPPPNNISDKGASLPMMEQLPITAPLLQTAVISSAIVTSIPPTPLPRNIHSVDRVLSALGTGDSEECESGDEEVSEGSDEDMSEEDDPSDSMTLIHYQTESRWNALVRKGSFVPGSSLKKGRLEVGESSS >DRNTG_06187.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25242951:25246350:1 gene:DRNTG_06187 transcript:DRNTG_06187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSSHLFFYVLLLSFILTTLLAKGTDLASLLAFKNGVTSDPTGALTSWNETVHFCNWTGVSCSQKHKNRVTAIELHMLGLGGFISPSIANLSFMQSVELSVNGFSSEVPPEFGRLHRLRYLNLSFNALYGTVPVSLTNCSQLRILSLFSNQLTGSIPVEFGGEAFPHLEMLVLANNSLTGAIPSSIGNLSSLTLLSFALNNLQGIIPDEVGRLSNLVTFQMAANFLSGTIPPALFNISSLDFFSVASNQLHGTLPSGFGLKLPVFTTLLMGVNNLSGTIPASLVNASMLQMIDFSENQFSGTVPPVFGAMHDLFFLNLEKNQFEASDAKHLTFIDSLVNCSNLKFFSTAYNDLGGVLPLSLANFSMEVRLLLMDINYFSGIIPPGIENLISLNSLDFSGNFLTGQIPENIGKLTMLHILGFGDNNLTGNIPSSIGNLTQLSQIYLSGNRLEGTIPTTLKTLQQIRTLFLFNNRFSGRIPGEILRQFISLQVLDLSENFFNGTLPSEIGSLINLQQLLLNGNRLSGEIPVTISGCAILEHLNLQACQEVFLHLLAT >DRNTG_06187.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25245831:25246350:1 gene:DRNTG_06187 transcript:DRNTG_06187.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVYSYGILLLELFTGKRPVDERFIDGLTMRKFVEIHHASPERIMEIVDPSMFAQDDDDDDIEYGEEAVAKRLRECLVSVAALGLACSVESPNERLNMSGVAGQMHAVRNVFLQVGVHGSREIVHKK >DRNTG_04222.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20299495:20299757:1 gene:DRNTG_04222 transcript:DRNTG_04222.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPLMLGLGLGLGPGKPAFTFLQLQELQHQALIYKYMAAGIPVPLHLVVPIWKSVAAAAASSSSSSSSSHHYPSCMSSC >DRNTG_04222.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20299168:20300278:1 gene:DRNTG_04222 transcript:DRNTG_04222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHTLDTMEGQKDDQEQQRPVPPPPKVARLSSDSSGVVTMAAPSPLMLGLGLGLGPGKPAFTFLQLQELQHQALIYKYMAAGIPVPLHLVVPIWKSVAAAAASSSSSSSSSHHYPSFMMGHGGWFMDYRNSMEPEPGRCRRTDGKKWRCSRDVVPDQKYCERHMHRGRNRSRKPVEQSASASVPTPATALQPSTSNHGTQLSISISSSGFQLNSNNVSPPRLGFSPTSVLHSSKP >DRNTG_10291.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20317367:20323040:-1 gene:DRNTG_10291 transcript:DRNTG_10291.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-succinylbenzoate--CoA ligase, chloroplastic/peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G30520) UniProtKB/Swiss-Prot;Acc:Q8VYJ1] MAMLMAGGCHVVLPKFCPKSSIQAIEQHNVTSLITVPTMIADLISYIRSSSWEGGTRVSKILNGGGSLSVELLSNAIHVFPHAKIVSAYGMTECCSSLTFITLPNPNCEKSRILTSDNHNLKSDHIYQQPGGVCVGKAAPHVEIRISTNDQASSFSPSIGKILTRGLHVMIGYWKDTSGNLLHSNENGWLDTGDIGWLDENGNLWLIGREKGLIKSGGENVYPEEVEAILAQHPGISNVAVVGIPDIRLSEKVVACISMRESWHWLDEISGHSPGQNELSSKLLQKFCRQMNLTG >DRNTG_10291.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20316373:20323040:-1 gene:DRNTG_10291 transcript:DRNTG_10291.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-succinylbenzoate--CoA ligase, chloroplastic/peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G30520) UniProtKB/Swiss-Prot;Acc:Q8VYJ1] MAMLMAGGCHVVLPKFCPKSSIQAIEQHNVTSLITVPTMIADLISYISSRSSSWEGGTRVSKILNGGGSLSVELLSNAIHVFPHAKIVSAYGMTECCSSLTFITLPNPNCEKSRILTSDNHNLKSDHIYQQPGGVCVGKAAPHVEIRISTNDQASSFSPSIGKILTRGLHVMIGYWKDTSGNLLHSNENGWLDTGDIGWLDENGNLWLIGREKGLIKSGGENVYPEEVEAILAQHPGISNVAVVGIPDIRLSEKVVACISMRESWHWLDEISGHSPGQNELSSKLLQKFCRQMNLTGFKIPKKFFWWKKSFPLTTTGKLKRDDVRQEVISNMQIALSKL >DRNTG_10291.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20316373:20318272:-1 gene:DRNTG_10291 transcript:DRNTG_10291.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-succinylbenzoate--CoA ligase, chloroplastic/peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G30520) UniProtKB/Swiss-Prot;Acc:Q8VYJ1] MTECCSSLTFITLPNPNCEKSRILTSDNHNLKSDHIYQQPGGVCVGKAAPHVEIRISTNDQASSFSPSIGKILTRGLHVMIGYWKDTSGNLLHSNENGWLDTGDIGWLDENGNLWLIGREKGLIKSGGENVYPEEVEAILAQHPGISNVAVVGIPDIRLSEKVVACISMRESWHWLDEISGHSPGQNELSSKLLQKFCRQMNLTGFKIPKKFFWWKKSFPLTTTGKLKRDDVRQEVISNMQIALSKL >DRNTG_10291.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20315652:20323040:-1 gene:DRNTG_10291 transcript:DRNTG_10291.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-succinylbenzoate--CoA ligase, chloroplastic/peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G30520) UniProtKB/Swiss-Prot;Acc:Q8VYJ1] MAMLMAGGCHVVLPKFCPKSSIQAIEQHNVTSLITVPTMIADLISYIRSSSWEGGTRVSKILNGGGSLSVELLSNAIHVFPHAKIVSAYGMTECCSSLTFITLPNPNCEKSRILTSDNHNLKSDHIYQQPGGVCVGKAAPHVEIRISTNDQASSFSPSIGKILTRGLHVMIGYWKDTSGNLLHSNENGWLDTGDIGWLDENGNLWLIGREKGLIKSGGENVYPEEVEAILAQHPGISNVAVVGIPDIRLSEKVVACISMRESWHWLDEISGHSPGQNELSSKLLQKFCRQMNLTGFKIPKKFFWWKKSFPLTTTGKLKRDDVRQEVISNMQIALSKL >DRNTG_10291.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20316373:20323040:-1 gene:DRNTG_10291 transcript:DRNTG_10291.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-succinylbenzoate--CoA ligase, chloroplastic/peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G30520) UniProtKB/Swiss-Prot;Acc:Q8VYJ1] MAMLMAGGCHVVLPKFCPKSSIQAIEQHNVTSLITVPTMIADLISYISSRSSSWEGGTRVSKILNGGGSLSVELLSNAIHVFPHAKIVSAYGMTECCSSLTFITLPNPNCEKSRILTSDNHNLKSDHIYQQPGGVCVGKAAPHVEIRISTNDQASSFSPSIGKILTRGLHVMIGYWKDTSGNLLHSNENGWLDTGDIGWLDENGNLWLIGREKGLIKSGGENVYPEEVEAILAQHPGISNVAVVGIPDIRLSEKVVACISMRESWHWLDEISGHSPGQNELSSKLLQKFCRQMNLTGFKIPKKFFWWKKSFPLTTTGKLKRDDVRQEVISNMQIALSKL >DRNTG_10291.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20316373:20316932:-1 gene:DRNTG_10291 transcript:DRNTG_10291.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-succinylbenzoate--CoA ligase, chloroplastic/peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G30520) UniProtKB/Swiss-Prot;Acc:Q8VYJ1] FVFVYCRFKIPKKFFWWKKSFPLTTTGKLKRDDVRQEVISNMQIALSKL >DRNTG_10291.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20316373:20321379:-1 gene:DRNTG_10291 transcript:DRNTG_10291.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-succinylbenzoate--CoA ligase, chloroplastic/peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G30520) UniProtKB/Swiss-Prot;Acc:Q8VYJ1] MAMLMAGGCHVVLPKFCPKSSIQAIEQHNVTSLITVPTMIADLISYIRSSSWEGGTRVSKILNGGGSLSVELLSNAIHVFPHAKIVSAYGMTECCSSLTFITLPNPNCEKSRILTSDNHNLKSDHIYQQPGGVCVGKAAPHVEIRISTNDQASSFSPSIGKILTRGLHVMIGYWKDTSGNLLHSNENGWLDTGDIGWLDENGNLWLIGREKGLIKSGGENVYPEEVEAILAQHPGISNVAVVGIPDIRLSEKVVACISMRESWHWLDEISGHSPGQNELSSKLLQKFCRQMNLTGFKIPKKFFWWKKSFPLTTTGKLKRDDVRQEVISNMQIALSKL >DRNTG_10291.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20316373:20321379:-1 gene:DRNTG_10291 transcript:DRNTG_10291.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-succinylbenzoate--CoA ligase, chloroplastic/peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G30520) UniProtKB/Swiss-Prot;Acc:Q8VYJ1] MDYIRRSWQGPPTADPLLAPKGIAIICFTSGTTGKPKGVAISHTALIVQSLAKLAIVGYTEEDVYLHTAPLCHIGGISSCMAMLMAGGCHVVLPKFCPKSSIQAIEQHNVTSLITVPTMIADLISYIRSSSWEGGTRVSKILNGGGSLSVELLSNAIHVFPHAKIVSAYGMTECCSSLTFITLPNPNCEKSRILTSDNHNLKSDHIYQQPGGVCVGKAAPHVEIRISTNDQASSFSPSIGKILTRGLHVMIGYWKDTSGNLLHSNENGWLDTGDIGWLDENGNLWLIGREKGLIKSGGENVYPEEVEAILAQHPGISNVAVVGIPDIRLSEKVVACISMRESWHWLDEISGHSPGQNELSSKLLQKFCRQMNLTGFKIPKKFFWWKKSFPLTTTGKLKRDDVRQEVISNMQIALSKL >DRNTG_10291.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20316373:20321379:-1 gene:DRNTG_10291 transcript:DRNTG_10291.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-succinylbenzoate--CoA ligase, chloroplastic/peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G30520) UniProtKB/Swiss-Prot;Acc:Q8VYJ1] MAMLMAGGCHVVLPKFCPKSSIQAIEQHNVTSLITVPTMIADLISYISSRSSSWEGGTRVSKILNGGGSLSVELLSNAIHVFPHAKIVSAYGMTECCSSLTFITLPNPNCEKSRILTSDNHNLKSDHIYQQPGGVCVGKAAPHVEIRISTNDQASSFSPSIGKILTRGLHVMIGYWKDTSGNLLHSNENGWLDTGDIGWLDENGNLWLIGREKGLIKSGGENVYPEEVEAILAQHPGISNVAVVGIPDIRLSEKVVACISMRESWHWLDEISGHSPGQNELSSKLLQKFCRQMNLTGFKIPKKFFWWKKSFPLTTTGKLKRDDVRQEVISNMQIALSKL >DRNTG_10291.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20316373:20323040:-1 gene:DRNTG_10291 transcript:DRNTG_10291.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-succinylbenzoate--CoA ligase, chloroplastic/peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G30520) UniProtKB/Swiss-Prot;Acc:Q8VYJ1] MTECCSSLTFITLPNPNCEKSRILTSDNHNLKSDHIYQQPGGVCVGKAAPHVEIRISTNDQASSFSPSIGKILTRGLHVMIGYWKDTSGNLLHSNENGWLDTGDIGWLDENGNLWLIGREKGLIKSGGENVYPEEVEAILAQHPGISNVAVVGIPDIRLSEKVVACISMRESWHWLDEISGHSPGQNELSSKLLQKFCRQMNLTGFKIPKKFFWWKKSFPLTTTGKLKRDDVRQEVISNMQIALSKL >DRNTG_10291.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20316373:20323040:-1 gene:DRNTG_10291 transcript:DRNTG_10291.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-succinylbenzoate--CoA ligase, chloroplastic/peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G30520) UniProtKB/Swiss-Prot;Acc:Q8VYJ1] MAHYQGHICQCLPRLLTLKRDSPLTIAGDRRKTGRQFVEDVLAFSTGLSEFGVRPGDVIAIAALNSDWYIEWLLAITFVGGIAAPLNYRWSLEEARLAIELVSPVMLVVDESCSSWALELQESCDMPSIRMHVLIGDATPKFRNNQSVLRMDYIRRSWQGPPTADPLLAPKGIAIICFTSGTTGKPKGVAISHTALIVQSLAKLAIVGYTEEDVYLHTAPLCHIGGISSCMAMLMAGGCHVVLPKFCPKSSIQAIEQHNVTSLITVPTMIADLISYISSRSSSWEGGTRVSKILNGGGSLSVELLSNAIHVFPHAKIVSAYGMTECCSSLTFITLPNPNCEKSRILTSDNHNLKSDHIYQQPGGVCVGKAAPHVEIRISTNDQASSFSPSIGKILTRGLHVMIGYWKDTSGNLLHSNENGWLDTGDIGWLDENGNLWLIGREKGLIKSGGENVYPEEVEAILAQHPGISNVAVVGIPDIRLSEKVVACISMRESWHWLDEISGHSPGQNELSSKLLQKFCRQMNLTGFKIPKKFFWWKKSFPLTTTGKLKRDDVRQEVISNMQIALSKL >DRNTG_31574.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001723.1:44340:45535:-1 gene:DRNTG_31574 transcript:DRNTG_31574.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSYKEAISGFAARLTPEEVRGMEKMNGFLRANPSKRLQLQTTYTHNLLNLSTLFGAWSTSNSFFGEGIIIGVLDTGIHFPHPSFADTGMPPRPRGWNASCYLPRRSCNGKVIGAQSFKQGNTTIPPTDIDQGHGTHVAGIAAGNFVDNAEVLGQAPGRAAGMAPKAFISVYKVCWKDIGCESSGILAGIDKAIQDGVHILQMSFGARPPYLPNSFDDDEVAVATYSAMQKGIFPCTAAGNNGP >DRNTG_18234.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2786257:2799742:1 gene:DRNTG_18234 transcript:DRNTG_18234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSLVFTVQRKMPVLVTPAKPTPHEFKYLSDIDDQECLRFQIPVISFYRNEPCISGIDPVKVMREALARALVFYHPFAGRLREESGRKLVVDCTGEGVLFIEADANV >DRNTG_18234.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2786257:2787077:1 gene:DRNTG_18234 transcript:DRNTG_18234.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSLVFTVQRKMPVLVTPAKPTPHEFKYLSDIDDQECLRFQIPLIHFYRNEPCMSGIDPAKVIREALARALVFYYPFAGRLREESGRKLVVECTGEGLLFSEADANVRLQDFGDNLQPPFPCMEELLFDVEGSAGVLNCPLLLIQVQFVF >DRNTG_22310.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001238.1:52684:55453:-1 gene:DRNTG_22310 transcript:DRNTG_22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLKSFSSISRFSDSVHFIASYVIWNDVSVIYRSLRCKKTKVLCSDSNVFNIKGCEQVHFGSNSVSDIDLKVHFPADSHGAVIFRGAPWKPTIGRWLSRCGSISSTIDVENLAADWTMINEDVFMANSSKHGWCNDPQEAYSLNLCQPVFQKGHCRSGSCEIALYESLLASSHRTLNGEEAEYFYVPFLDSCFIPRADDSPQLSLRAYEHILYHIHIGTNLQEGIIFGRFFSWDEGACNAPKEIWNSMMLVHWENTNSKHKHSTTANLPDSWDFISPRRRENHTCFDPDKDTTLTNLGLLYDFKYSMGIRQKLAEEFGSTRNLEGKLGRQHTKNVIVTTLRSSHYNEELAKSIFCDVLPGNGWSDGR >DRNTG_25519.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2917476:2919796:1 gene:DRNTG_25519 transcript:DRNTG_25519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAISHHAIAPRELFMSSERPVDRSSVGVSTVNLPPRRRLVARPGYAVEPIWRGDSVVVSAARASASDVVIEEKRVGEEVVVDYEKVAVELVDASPLEIMDKALEMFGNEIAIAFSGAEDVALIEYAHLTGRPFRVFSLDTGRLNPETYRLFDAVEKHYDIHIEYMFPDAVEVQTLVRSKGLFSFYEDGHQECCSIRKVRPLRRALKGLRAWITGQRRDQSPGTRAHIPIVQVDPSFEGLDGGAGSLIKWNPVANVEGKDIWNFLRAMNVPVNALHSQGYVSIGCEPCTRPVLPGQHEREGRWWWEDAKAKECGLHKGNIKDGDSNKVGVNGNINDAATSVNSIPDIFDTQHIINLSRPGIENLLKLENRQEPWLVVLYAPWCPFCQGMEASYVELAEKLSGAGVKVAKFRADEDQKVICPARTAARQLPYNSLLSKACIQAHQVSLGKEGC >DRNTG_17379.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6762968:6765423:1 gene:DRNTG_17379 transcript:DRNTG_17379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMEAKNGGVELHLDVHHLLDHIQIKLIMFLAIFSSVSHSAQSPAIFIFGDSLIDNGNNNYLPSIAKANYFPYGIDLGVPTGRFCDGLTVTDYGARWLGLPFPPPYLSLQSLSKEIVRGVNYASAAAGILDETGRHYGSRISFNRQILLFEKTVKFQLPILIADPNALAQFIANSLFIINIGSNDYINNYLLPQYYTSSKTYSPEGFADLLITAFTRQLASLYQLGVRKMLIVGIGPLGCIPSQLSMNNSTDGECIKRVNDIVMAFNSRFFPMLPSLNSSFPGAFFVYQNIYDRFINLIQNPSDYGFTVKNQACCGSGRYGGELSCLPLQVPCAARNQYIFWDSFHPSQAANAIIAAGCYSPSATDCYPISGRQLAQI >DRNTG_17379.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6762968:6763543:1 gene:DRNTG_17379 transcript:DRNTG_17379.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMEAKNGGVELHLDVHHLLDHIQIKLIMFLAIFSSVSHSAQSPAIFIFGDSLIDNGNNNYLPSIAKANYFPYGIDLGVPTGRFCDGLTVTDYGARWLGLPFPPPYLSLQSLSKEIVRGVNYASAAAGILDETGRHYVISMILILYMYLM >DRNTG_04971.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4012824:4014252:-1 gene:DRNTG_04971 transcript:DRNTG_04971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRERVASFIYSDSRRNTASVTPSSFRPSTGKVPLNLR >DRNTG_24335.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:339076:348268:-1 gene:DRNTG_24335 transcript:DRNTG_24335.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIDYRGYRVVAQSIIPGILQGKSDSLLYGSMDNGKTICWNESFHSKVLEAAKHLHLKEHTVLDGSGNAFKLAAPVECKGIVGSDDRHYLLDLMRVTPRDANYPGSESRFCILRPELIASFYQAEAAERSSSKSETGDAVTVTKETDSQNGSAESAPNEEDKKPDVEEASTAADSSPSEVMLLNPNVLTEFKLGGSEEEITADEEMVKKAGSYLMNVVLPKFVQDLCALEVSPMDGQTLTDALHSHGINIRYLGKVAEMTKHLPHLRDICTNEIIVRSSKHILKDLLMESLDHDLGQTISHFLNCFIGNVLPKGGNASATKAQLANEHKVYFVSWLSLLSFNLAIF >DRNTG_24335.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:339076:347496:-1 gene:DRNTG_24335 transcript:DRNTG_24335.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIDYRGYRVVAQSIIPGILQGKSDSLLYGSMDNGKTICWNESFHSKVLEAAKHLHLKEHTVLDGSGNAFKLAAPVECKGIVGSDDRHYLLDLMRVTPRDANYPGSESRFCILRPELIASFYQAEAAERSSSKSETGDAVTVTKETDSQNGSAESAPNEEDKKPDVEEASTAADSSPSEVMLLNPNVLTEFKLGGSEEEITADEEMVKKAGSYLMNVVLPKFVQDLCALEVSPMDGQTLTDALHSHGINIRYLGKVAEMTKHLPHLRDICTNEIIVRSSKHILKDLLMESLDHDLGQTISHFLNCFIGNVLPKGGNASATKAQLANEHKVYFVSWLSLLSFNLAIF >DRNTG_24335.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:339076:348268:-1 gene:DRNTG_24335 transcript:DRNTG_24335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIDYRGYRVVAQSIIPGILQGKSDSLLYGSMDNGKTICWNESFHSKVLEAAKHLHLKEHTVLDGSGNAFKLAAPVECKGIVGSDDRHYLLDLMRVTPRDANYPGSESRFCILRPELIASFYQAEAAERSSSKSETGDAVTVTKETDSQNGSAESAPNEEDKKPDVEEASTAADSSPSEVMLLNPNVLTEFKLGGSEEEITADEEMVKKAGSYLMNVVLPKFVQDLCALEVSPMDGQTLTDALHSHGINIRYLGKVAEMTKHLPHLRDICTNEIIVRSSKHILKDLLMESLDHDLGQTISHFLNCFIGNVLPKGGNASATKAQLANEHKVYFVSWLSLLSFNLAIF >DRNTG_24335.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:339076:347496:-1 gene:DRNTG_24335 transcript:DRNTG_24335.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIDYRGYRVVAQSIIPGILQGKSDSLLYGSMDNGKTICWNESFHSKVLEAAKHLHLKEHTVLDGSGNAFKLAAPVECKGIVGSDDRHYLLDLMRVTPRDANYPGSESRFCILRPELIASFYQAEAAERSSSKSETGDAVTVTKETDSQNGSAESAPNEEDKKPDVEEASTAADSSPSEVMLLNPNVLTEFKLGGSEEEITADEEMVKKAGSYLMNVVLPKFVQDLCALEVSPMDGQTLTDALHSHGINIRYLGKVAEMTKHLPHLRDICTNEIIVRSSKHILKDLLMESLDHDLGQTISHFLNCFIGNVLPKGGNASATKAQLANEHKVYFVSWLSLLSFNLAIF >DRNTG_24335.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:339485:344617:-1 gene:DRNTG_24335 transcript:DRNTG_24335.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIDYRGYRVVAQSIIPGILQGKSDSLLYGSMDNGKTICWNESFHSKVLEAAKHLHLKEHTVLDGSGNAFKLAAPVECKGIVGSDDRHYLLDLMRVTPRDANYPGSESRFCILRPELIASFYQAEAAERSSSKSETGDAVTVTKETDSQNGSAESAPNEEDKKPDVEEASTAADSSPSEVMLLNPNVLTEFKLGGSEEEITADEEMVKKAGSYLMNVVLPKFVQDLCALEVSPMDGQTLTDALHSHGINIRYLGKVAEMTKHLPHLRDICTNEIIVRSSKHILK >DRNTG_24335.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:339076:347496:-1 gene:DRNTG_24335 transcript:DRNTG_24335.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIDYRGYRVVAQSIIPGILQGKSDSLLYGSMDNGKTICWNESFHSKVLEAAKHLHLKEHTVLDGSGNAFKLAAPVECKGIVGSDDRHYLLDLMRVTPRDANYPGSESRFCILRPELIASFYQAEAAERSSSKSETGDAVTVTKETDSQNGSAESAPNEEDKKPDVEEASTAADSSPSEVMLLNPNVLTEFKLGGSEEEITADEEMVKKAGSYLMNVVLPKFVQDLCALEVSPMDGQTLTDALHSHGINIRYLGKVAEMTKHLPHLRDICTNEIIVRSSKHILKDLLMESLDHDLGQTISHFLNCFIGNVLPKGGNASATKAQLANEHKVYFVSWLSLLSFNLAIF >DRNTG_20911.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25440539:25442971:1 gene:DRNTG_20911 transcript:DRNTG_20911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDVDGNGTIDFPEFLNLMARKMKDTDSEEELREAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >DRNTG_11857.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:59357:59671:1 gene:DRNTG_11857 transcript:DRNTG_11857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKAKPKKHTAKEIASKIDAATTNRGGGKAGQSDRSGQEKGGHSKLECPLCKITVPDVKSMQIHHEARHPKIPFDESKVVDLHAVRVVDSAKSRPGVRGSFKK >DRNTG_08058.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18149960:18156784:1 gene:DRNTG_08058 transcript:DRNTG_08058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTDAERDLLAEVARLGELAREIQDSASSLISKTWNEEQTLRQRAIALDSDLRKLETAARKAALDPSISDKLNEGLCRIRCLLSDGDVAPLLPSKNNGRFLKMFLGPINVRATRKEVQLKVKEEYNSYRDRTAVLFLLFPSTLLILRSWIWNGCLPALPVQLYQAWLLFLYTSLALRENILRVNGSDIRPWWIYHHYCAIVMALVSLTWEIKGQPDCANKQRGVQLFLVWAIMQGVAMLLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVEGQLWLLCPILFILQGFEAYVGMLLLQTALIGVVSAWQVIVCGILLVVMAVGNFINTVQTLMAKSKFKAKMKRSKSKQDMDQFLSTDSR >DRNTG_28364.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2391346:2398425:1 gene:DRNTG_28364 transcript:DRNTG_28364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKDPKSVANNDRSSRMVPMTLMFLVLCGFSFYLGGIYCSEKNRFFMKDVAPAIQPRKEITVAPLQMKPLVIPECSMDYQDYTPCTDPKKWRKYGTYRLSHMERHCPPISERKECLVPPPDGYKPPIKWPKSRDECWYRNVPYNWINNRKSNQNWLRKVGEKFRFPGGGTMFPRGVGEYVNLMQALIPGMKDGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNAFDMAHCSRCLIPWTEFGGIYLMEIHRILRPGGFWVLSGPPVNYENRWQGWNTTVAEQKSDLDKLKKLMASMCFKLYKLKGDFGVWQKPTDNNCYNKLSPDSYPPKCDASLDPDSGWYVPLHPCLTIPGQNFKKLGLESTSKWPDRLHVVSERASMVPGAISGGLNHDYNKWKARVKHYKALLPALGSDKIRNVMDMNTLFGGFAGSSYRFSHLGHECCLNLWSKFPRCGL >DRNTG_28364.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2391346:2398425:1 gene:DRNTG_28364 transcript:DRNTG_28364.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKDPKSVANNDRSSRMVPMTLMFLVLCGFSFYLGGIYCSEKNRFFMKDVAPAIQPRKEITVAPLQMKPLVIPECSMDYQDYTPCTDPKKWRKYGTYRLSHMERHCPPISERKECLVPPPDGYKPPIKWPKSRDECWYRNVPYNWINNRKSNQNWLRKVGEKFRFPGGGTMFPRGVGEYVNLMQALIPGMKDGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNAFDMAHCSRCLIPWTEFGGIYLMEIHRILRPGGFWVLSGPPVNYENRWQGWNTTVAEQKSDLDKLKKLMASMCFKLYKLKGDFGVWQKPTDNNCYNKLSPDSYPPKCDASLDPDSGWYVPLHPCLTIPGQNFKKLGLESTSKWPDRLHVVSERASMVPGAISGGLNHDYNKWKARVKHYKALLPALGSDKIRNVMDMNTLFGGFAGSSYRFSHLGHECCLNLWSKFPRCGL >DRNTG_04033.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11269830:11275850:-1 gene:DRNTG_04033 transcript:DRNTG_04033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSRAVWKKVSGRAFVGTDSAREKKKVAGLHEIDAVTSLAAQMELVSKKLDLLTSNRVAAVITCTGFGGGHAPSDCPISIGLETRMTYLEKALTRFVQSSNTRFESVEATLRNHTASLHNLENQVGQIVKSLSERPHGSLPSNTETNPREYVKPITLRSGREVDGRLLNAIDEIVDEYIQEMFNPDPYEGLFDQDEDNEEIMMLGSMEEVPSTPGILKKVLRRLKRARRPHRKRSKAIGDVREPNNLGEPFQEKAQGRGLVPVNDHAIIARPWVISVRACEVLQRLADFPESTQGRGLALVGDLVKIARAWVISTRPCESLQRNSPHPEKTQGRAAAHPQGRTSATVSRAHGHGYLSYVRVFAFRGSECFPKSTQGRALAPVKFSCGGFYLVFRPFSKLSHLKLTTRFLDTSILRDLHQWDKFADEVEDLVSVGGWRQLLSIREPTIRELKLEVLSSFEFDRSYARFDDLDVVQFRALGHHYSLSITQFSVLLGLYEEAFTNTEESSMSRGYPMPPAFHDLPTDIYMPSIAGPVCQIGSDLLGCIHYEISSGYGSLGRDSRGREDEYTCALEPGDI >DRNTG_34090.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22979768:22982727:1 gene:DRNTG_34090 transcript:DRNTG_34090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISKLGNPSLAAVSTCSSPAFQSEPRFSICFSPRSSAKWRAAPLRLSVRRVSADRAPLVVRCSQQSGNGSTSKRTTLHDLYEVQGQSPWYDNLCRPVTDLLPFIASGVRGVTSNPTIFQKAISSSSAYDEQFRQLIAAGKDIESAYWELVIKDIQDACKLFEPIYEETGGADGYVSVEVSPRLANDTKGTVEAAKYLHKMVDRPNVYIKIPATAECVPSIKEVISFGISVNVTLIFSLERYEAVIDAYLDGLESSGLNDLSRLTSVASFFVSRVDTLVDKMLENIGTPEALDLRGKAAVAQAALAYKLYQKKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYPDTLYVDPLIGPDTVSTMPDQALQAFIDHGIVSRTIDANLSEAEGVYSALEKLGINWNEVGRQLEAEGVESFKKSFESLLVSLKEKGDALKVVSL >DRNTG_33804.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9770750:9771874:1 gene:DRNTG_33804 transcript:DRNTG_33804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNSKDPSTSKVSGWKTGSRIDNNLCQPPTTISAANSLINSSPQWTPLSTLRSTNRDWPNLSLDSRSK >DRNTG_12828.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32893662:32901831:-1 gene:DRNTG_12828 transcript:DRNTG_12828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLLGGTGGWAGRRCLPPALVAAEVLLACVDGAIASVAVFQLLRMHFRNQQLGWTRQKIFHLMIGSSNIGYLIYFITTLVATCEDWLCWSGGCGFILMACPQILFLAAFLLLLSFWVDLCHQPNDEDEEDDGQGYNETLLEKSKVKPGSHQAVGRRRCCPFQTIHVGSRQKFVIMVIVLTFICVVAFTLLIWAGKGRNPIDASVMAQVYLDIFSAVFLLLGGALACYGILLFLKMKKVRSEMVSTEMWKVAGLAALSLLCFVSSSILALVTNIPLQVLSYWLPDQPNSIDSSVFLFLYYFIGSSVPSGFVLWVMREMPPRLVVERPIQSSVVTIIRDRPTASQNPQWRAAVTSSQNKALKASPI >DRNTG_06827.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15626117:15628309:-1 gene:DRNTG_06827 transcript:DRNTG_06827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRGWLRMGWWGRCREFWEEMDQKGVAKDLHSYSIYMDILSKSGKPWKAIKVYKEMRSRNIALDVVAYNTVIQAVGKSDGVDRAITLYKEMIERGCEPNVVTFNTIIKLLCGDGRINEGYAFFEQMRKKGCDPNVITYHCFFRNLNRPKEILWLFDRMVNTGCRPRMDTYVMLMNKFGRWGFLRPVLLVWKAMEEHGCSPDAFAYNALIDALLQKGMVDIARKYDEEMLAKGLSPKPRKELGTGGFSARSSADIVSDDHNALSNVF >DRNTG_15394.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4694493:4697116:-1 gene:DRNTG_15394 transcript:DRNTG_15394.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAGSIGSGAAGANLDKFFEDVEAIKDELKEVERIHRSLQEANETLKTIHNAAAVRDLRARMDADVGLALKKAKLIKLKLESLDRANAAKSIGAGVWAGDVDGSDADVGGGGVEEEAQRFNGWVLGSEDESGGGVPGNGGEEVLHGDGEKADEATVEALVETGEGERFLARAIAEQGRGRVIDVVMEIQERHGAAAQLERSLLELQQVFMDMAVLVNAQGEQLDDIESQVGRASSFVRGGTQQLQTARKHQLNTRKWTCIAILLLIIIILVIVLPIVLKN >DRNTG_15394.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4694493:4695774:-1 gene:DRNTG_15394 transcript:DRNTG_15394.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSSSWRRDADAGDVQMGRAGSIGSGAAGANLDKFFEDVEAIKDELKEVERIHRSLQEANETLKTIHNAAAVRDLRARMDADVGLALKKAKLIKLKLESLDRANAAKSIGAGVWAGDVDGSDADVGGGGVEEEAQRFNGWVLGSEDESGGGVPGNGGEEVLHGDGEKADEATVEALVETGEGERFLARAIAEQGRGRVIDVVMEIQERHGAAAQLERSLLELQQVFMDMAVLVNAQGEQLDDIESQVGRASSFVRGGTQQLQTARKHQLNTRKWTCIAILLLIIIILVIVLPIVLKN >DRNTG_27646.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18828487:18831779:-1 gene:DRNTG_27646 transcript:DRNTG_27646.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKFTETLLLFFALSIFNGVSIYGCKEGERKTLLEFKEGLKDRGGRLSSWIGQDCCIWRGVQCDNQTGHVVQLDLGNKRLLHDVYGYGPQALPLEGEISHALLGLKQLQYLDLSMNFFGGIQIPTFFGSLQQLRYLDLSCAGFGGLIPDQLGNLSSLHHLDLSSVFYMPCNNLHLVKSHWFSNLSSLQYLNLNFVDLSKAPDWLESINTLPLISEINLSNCTLELPLSLAQVNLTKLQVLDLSSNNLHSVVPPWLFKLSSLESLDLSVNAFKELVPSAIGNLTSLRVLSFANNRVLEGGVPLSLGNLCMLTSLDLSGNKYLKGDLNELGEVFSGCIKDSLEILSWVCSELTGQFPDWLGNLESLKMLNLYYNSFYGPFLQFGLHSLKKLDISRNTLNGSVPVNLGQLYPKLEFLDFAYNNLTGVLTETHFAGLAKLRHLGFSANEFRVDISSQWVPPLGLRMLLMWNSNLGPGFPSWIQKLENLSAAIFSNAGISDTLPDWFWNFSANLQLVDLSYNDISGKLPASLEHLSNLLYVDLSGNSFEGTVSQFPANLEYLLLLSNKITGMIPESLCYLKKLIVLDLSKNQLIGGIPDCWNPSLHPRLSTLDLSDNQLSGGIPTTICSSSLVYLHLSNNDLSGELPLSLRNCSALRTLDFGQNKISGIIPTWLAKSLLNLEVLRLRYNMLVGDIPPELGNLTNLRVIDFAYNHLSGTIPRNLGDLRAMKFARTIFYNMKVALSVYDKISFDYIDNLEILYLVSSKLIMGYMDNVNVNLKGRDVQYDKLLPLLISLDLSSNELSGEIPEELIYLSYLQSLNLSGNHLTGKIPEKVGMLLRLESLDLSKNGLSGSIPTTMIMLSFLSHLNLSYNNLSGRIPHSGQFLSLPDPSIYFGNYALCGFPLDNYCENIDEPSSAVGYHKDEYEQEMIGFYGSIAMGYILGFWLLWAVLLLNKKWRCTYFQFVDYLLYVIFKRL >DRNTG_27646.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18828487:18831663:-1 gene:DRNTG_27646 transcript:DRNTG_27646.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKFTETLLLFFALSIFNGVSIYGCKEGERKTLLEFKEGLKDRGGRLSSWIGQDCCIWRGVQCDNQTGHVVQLDLGNKRLLHDVYGYGPQALPLEGEISHALLGLKQLQYLDLSMNFFGGIQIPTFFGSLQQLRYLDLSCAGFGGLIPDQLGNLSSLHHLDLSSVFYMPCNNLHLVKSHWFSNLSSLQYLNLNFVDLSKAPDWLESINTLPLISEINLSNCTLELPLSLAQVNLTKLQVLDLSSNNLHSVVPPWLFKLSSLESLDLSVNAFKELVPSAIGNLTSLRVLSFANNRVLEGGVPLSLGNLCMLTSLDLSGNKYLKGDLNELGEVFSGCIKDSLEILSWVCSELTGQFPDWLGNLESLKMLNLYYNSFYGPFLQFGLHSLKKLDISRNTLNGSVPVNLGQLYPKLEFLDFAYNNLTGVLTETHFAGLAKLRHLGFSANEFRVDISSQWVPPLGLRMLLMWNSNLGPGFPSWIQKLENLSAAIFSNAGISDTLPDWFWNFSANLQLVDLSYNDISGKLPASLEHLSNLLYVDLSGNSFEGTVSQFPANLEYLLLLSNKITGMIPESLCYLKKLIVLDLSKNQLIGGIPDCWNPSLHPRLSTLDLSDNQLSGGIPTTICSSSLVYLHLSNNDLSGELPLSLRNCSALRTLDFGQNKISGIIPTWLAKSLLNLEVLRLRYNMLVGDIPPELGNLTNLRVIDFAYNHLSGTIPRNLGDLRAMKFARTIFYNMKVALSVYDKISFDYIDNLEILYLVSSKLIMGYMDNVNVNLKGRDVQYDKLLPLLISLDLSSNELSGEIPEELIYLSYLQSLNLSGNHLTGKIPEKVGMLLRLESLDLSKNGLSGSIPTTMIMLSFLSHLNLSYNNLSGRIPHSGQFLSLPDPSIYFGNYALCGFPLDNYCENIDEPSSAVGYHKDEYEQEMIGFYGSIAMGYILGFWLLWAVLLLNKKWRCTYFQFVDYLLYVIFKRL >DRNTG_27646.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18828400:18831663:-1 gene:DRNTG_27646 transcript:DRNTG_27646.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKFTETLLLFFALSIFNGVSIYGCKEGERKTLLEFKEGLKDRGGRLSSWIGQDCCIWRGVQCDNQTGHVVQLDLGNKRLLHDVYGYGPQALPLEGEISHALLGLKQLQYLDLSMNFFGGIQIPTFFGSLQQLRYLDLSCAGFGGLIPDQLGNLSSLHHLDLSSVFYMPCNNLHLVKSHWFSNLSSLQYLNLNFVDLSKAPDWLESINTLPLISEINLSNCTLELPLSLAQVNLTKLQVLDLSSNNLHSVVPPWLFKLSSLESLDLSVNAFKELVPSAIGNLTSLRVLSFANNRVLEGGVPLSLGNLCMLTSLDLSGNKYLKGDLNELGEVFSGCIKDSLEILSWVCSELTGQFPDWLGNLESLKMLNLYYNSFYGPFLQFGLHSLKKLDISRNTLNGSVPVNLGQLYPKLEFLDFAYNNLTGVLTETHFAGLAKLRHLGFSANEFRVDISSQWVPPLGLRMLLMWNSNLGPGFPSWIQKLENLSAAIFSNAGISDTLPDWFWNFSANLQLVDLSYNDISGKLPASLEHLSNLLYVDLSGNSFEGTVSQFPANLEYLLLLSNKITGMIPESLCYLKKLIVLDLSKNQLIGGIPDCWNPSLHPRLSTLDLSDNQLSGGIPTTICSSSLVYLHLSNNDLSGELPLSLRNCSALRTLDFGQNKISGIIPTWLAKSLLNLEVLRLRYNMLVGDIPPELGNLTNLRVIDFAYNHLSGTIPRNLGDLRAMKFARTIFYNMKVALSVYDKISFDYIDNLEILYLVSSKLIMGYMDNVNVNLKGRDVQYDKLLPLLISLDLSSNELSGEIPEELIYLSYLQSLNLSGNHLTGKIPEKVGMLLRLESLDLSKNGLSGSIPTTMIMLSFLSHLNLSYNNLSGRIPHSGQFLSLPDPSIYFGNYALCGFPLDNYCENIDEPSSAVGYHKDEYEQEMIGFYGSIAMGYILGFWLLWAVLLLNKKWRCTYFQFVDYLLYVIFKRL >DRNTG_27646.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18828400:18831779:-1 gene:DRNTG_27646 transcript:DRNTG_27646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKFTETLLLFFALSIFNGVSIYGCKEGERKTLLEFKEGLKDRGGRLSSWIGQDCCIWRGVQCDNQTGHVVQLDLGNKRLLHDVYGYGPQALPLEGEISHALLGLKQLQYLDLSMNFFGGIQIPTFFGSLQQLRYLDLSCAGFGGLIPDQLGNLSSLHHLDLSSVFYMPCNNLHLVKSHWFSNLSSLQYLNLNFVDLSKAPDWLESINTLPLISEINLSNCTLELPLSLAQVNLTKLQVLDLSSNNLHSVVPPWLFKLSSLESLDLSVNAFKELVPSAIGNLTSLRVLSFANNRVLEGGVPLSLGNLCMLTSLDLSGNKYLKGDLNELGEVFSGCIKDSLEILSWVCSELTGQFPDWLGNLESLKMLNLYYNSFYGPFLQFGLHSLKKLDISRNTLNGSVPVNLGQLYPKLEFLDFAYNNLTGVLTETHFAGLAKLRHLGFSANEFRVDISSQWVPPLGLRMLLMWNSNLGPGFPSWIQKLENLSAAIFSNAGISDTLPDWFWNFSANLQLVDLSYNDISGKLPASLEHLSNLLYVDLSGNSFEGTVSQFPANLEYLLLLSNKITGMIPESLCYLKKLIVLDLSKNQLIGGIPDCWNPSLHPRLSTLDLSDNQLSGGIPTTICSSSLVYLHLSNNDLSGELPLSLRNCSALRTLDFGQNKISGIIPTWLAKSLLNLEVLRLRYNMLVGDIPPELGNLTNLRVIDFAYNHLSGTIPRNLGDLRAMKFARTIFYNMKVALSVYDKISFDYIDNLEILYLVSSKLIMGYMDNVNVNLKGRDVQYDKLLPLLISLDLSSNELSGEIPEELIYLSYLQSLNLSGNHLTGKIPEKVGMLLRLESLDLSKNGLSGSIPTTMIMLSFLSHLNLSYNNLSGRIPHSGQFLSLPDPSIYFGNYALCGFPLDNYCENIDEPSSAVGYHKDEYEQEMIGFYGSIAMGYILGFWLLWAVLLLNKKWRCTYFQFVDYLLYVIFKRL >DRNTG_17666.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16716662:16718988:-1 gene:DRNTG_17666 transcript:DRNTG_17666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNCLRSMIIRFFSVFDMFYLLIYVLLYGCFLTFVKLSL >DRNTG_33572.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10652674:10653379:1 gene:DRNTG_33572 transcript:DRNTG_33572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCNVYNVVETVAELKVHMSGRYWEIIRRTPFAAFTEIEAVFQEKALLDSLLQRYNGRTNKFRMGEAW >DRNTG_05847.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31572074:31573163:1 gene:DRNTG_05847 transcript:DRNTG_05847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKAWAPQVEVLNHEATGGFVTHCGWNSVLQGVCAGVGMIAWPLYAEQKMI >DRNTG_05666.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:29728:33565:-1 gene:DRNTG_05666 transcript:DRNTG_05666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSIFRRSSVDQISCLVFPSLGRAFSSDASPSKPIRATLFPGDGIGPEIAESVKQVFNAAEVPIEWEEHFVADTVDPRTESFLTWESLESVRRNRVGLKGPMATPIGKGHRSLNLTLRKELGLYANVRPCHSLSGYKTRYDNVNLVTIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKANGRQRVSAIHKANIMRKTDGLFLKCCREVAEKYPEITYEEVIIDNCCMMLVKNPSLFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGIALAEAVHGSAPDIAGKNLANPTALLLSSVMMLRHLNLNDKADRIHNAILNTIAEGKYRTVDLGGTASTSDFTKALCDHL >DRNTG_11524.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13369272:13370125:-1 gene:DRNTG_11524 transcript:DRNTG_11524.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDHNTIQIKSGILKHECTRDHNVRHVSAKWVAEHYLDQFRADPGWLVAGIMQAVKKNQQVNITRLKAWRAKSIAKSLLDGDECEQIKSLYDYRLEILRTNPGSTVMFKCNEGIFRGMYLCLGPLKAGFMAGCRPIISVDGCWLKGQFGGQLLSAVGVDANDCIYPIAWAVVDKENYDNWRWFLELLAIDLEINNGHGFAFMSDRQKVRFPNTLCSD >DRNTG_17374.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6708106:6711903:-1 gene:DRNTG_17374 transcript:DRNTG_17374.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGARLGRSSTRYGPATVFSGPVRKWKKKWVPLASPASANTANNSSNGNGNNSNNHSHLLVYKWAPISSQSNGSASAATTPAADDTPKRKVRYVPVSIAEEQKQEPTLKMDDESKTNDGNPSLHSSQPDGSDSKPDMNDVAMEDVQASEKDQSSTEEVKETNLDLSLGLKAHDGDRGSGTKIAEQSDGSGRAERMSSGEDVQMRPATNSESDNRLKRKAAVPDLEMRV >DRNTG_17374.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6708106:6711903:-1 gene:DRNTG_17374 transcript:DRNTG_17374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGARLGRSSTRYGPATVFSGPVRKWKKKWVPLASPASANTANNSSNGNGNNSNNHSHLLVYKWAPISSQSNGSASAATTPAADDTPKRKVRYVPVSIAEEQKQEPTLKMDDESKTNDGNPSLHSSQPDGSDSKPDMNDVAMEDVQASEKDQSSTEEVKETNLDLSLGLKAHDGDRGSGTKIAEQSDGSGRAERMSSGEDVQMRPATNSESDNRLKRKAAVPDLEMRV >DRNTG_25576.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29737295:29741486:-1 gene:DRNTG_25576 transcript:DRNTG_25576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAMEPLLPRKPSVSTSGTAAAASRRPLFQGMDFLGLKKRGQGLRSWIRVDAATGNSQIIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRIAAHSSDASAASPDYLPFEFRALEVALDAACTFLDAQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKRRMESSFYGEQSLNGYGSTGGALSVSAPVSPVSSPPESRRLEKTFSLSRSRHESMKSSDTNSENIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFEVPLFQKPTAFQWVLIITGICGVIIFCSFLWFFRYKRLMPL >DRNTG_28611.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28499081:28503437:-1 gene:DRNTG_28611 transcript:DRNTG_28611.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIRDTYSLLVLNITFRTKADDLYPLFDRYGKVVDVFIPRDRRTGDSRGFAFVRYKYADEAQKAVDRLDGRNVDGRNIMVQFAKYGPNAERTHKGRIMEAVPRSRGRSRSRSPRPRYRDDYRDRNYRRHSRSRSRGRYERNRHYDRERYYHRSKSYSFSPDYDRDYGRSRLDDARRSRSRSFASASPARRSYSSRSSSPRRTPLSRDASLDEHGSRGKSSPSRSVSPEGRQDDSRSTSPCSSG >DRNTG_28611.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28499081:28503437:-1 gene:DRNTG_28611 transcript:DRNTG_28611.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIRDTYSLLVLNITFRTKADDLYPLFDRYGKVVDVFIPRDRRTGDSRGFAFVRYKYADEAQKAVDRLDGRNVDGRNIMVQFAKYGPNAERTHKGRIMEAVPRSRGRSRSRSPRPRYRDDYRDRNYRRHSRSRSRGRYERNRHYDRERYYHRSKSYSFSPDYDRDYGRSRLDDARRSRSRSFASASPARRSYSSRSSSPRRTPLSRDASLDEHGSRGKSSPSRSVSPEGRQDDSRSTSPCSSG >DRNTG_28611.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28499081:28503437:-1 gene:DRNTG_28611 transcript:DRNTG_28611.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIRDTYSLLVLNITFRTKADDLYPLFDRYGKVVDVFIPRDRRTGDSRGFAFVRYKYADEAQKAVDRLDGRNVDGRNIMVQFAKYGPNAERTHKGRIMEAVPRSRGRSRSRSPRPRYRDDYRDRNYRRHSRSRSRGRYERNRHYDRERYYHRSKSYSFSPDYDRDYGRSRLDDARRSRSRSFASASPARRSYSSRSSSPRRTPLSRDASLDEHGSRGKSSPSRSVSPEGRQDDSRSTSPCSSG >DRNTG_25932.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001339.1:111975:113186:1 gene:DRNTG_25932 transcript:DRNTG_25932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLMGTILFPNTFCSVSNWIIDYVDDLPGMGRYAWAQATHKCLMENIPQAAARVQARCAGKKTNTGYIKGCMVALNIWFYELTRTGKKVRFCKIPRMLCYGENTYRKQATIENSLSSLKGKEFPELIPTNADEDIFVRAKRRLDAIASEPLARRQDERATSSVRARRRSPTSSPKRAHIPRHRRSLLLPHQIATPPATTTLTVPPIVAVPRPW >DRNTG_04559.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22731740:22733295:1 gene:DRNTG_04559 transcript:DRNTG_04559.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSVKLHGHWASLFVHRVQLALHLKGVKYEFIEEDLDNKSSSLLLYNPVYKKVPVLVHGDCPVAESLVILRYVDDVWKDNPIMPVDDPYERAMVSFWCHFVDNKLFKSFGAAIRASGEEQAKAIEEFHQNLMFLEQKLAEKGSKFFGGEKIDMLDIVIGCGSHWFKAVEELSGLKLIDPILFPHFLEWKQNFIESQEVKEVIPPFEKLTDYAKSIYKKILGHDNN >DRNTG_00363.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18607301:18609780:1 gene:DRNTG_00363 transcript:DRNTG_00363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGIIDLVDMFFDMPKHNAVAVYNIYRRAGVLAQNLSSFYDFSKCLEVARTFQFPILREPPTSFIETMAEYIREAPQAGYLLPSEQRLLLTYKQADDSPTNDMDSNSGDNIMELEEVEEAAKITNVGDLLLFDEEDFVATELKEGDELALTVISQGEDCKSSEHHGLVEGETDPSGWELSLITDANNSSYYSTKNKMASEIDTSWFDNLYNEEEAKGYQNGGSIAGDKTYTNPFESPNPFYTFNSIASQNEEMPMTIQTYQPYLPEHPTRSINPFDDEFFFISQHNNL >DRNTG_20648.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20414607:20417446:1 gene:DRNTG_20648 transcript:DRNTG_20648.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable RNA-binding protein ARP1 [Source:Projected from Arabidopsis thaliana (AT3G54770) UniProtKB/Swiss-Prot;Acc:Q9M1S3] MNENKLRKVFVGGLGWETEKEALREHFSQFGDIVEAVIISDKCSGRSKGYGFITFTNSTSAIKACQNPTPFINGRRANCNLAFLRSRRLPSSSPPPPPPPPSPPLQGGGRKVVGRGTSWYCYAPGPYNHITAGGMTAFYVASAAAAAAPAYGYSPSCISNYGYNQYSCQGTVLAPNAILHMYPIYHFQHHQPQVVGIPAQFFSPAPHASALICGFTTTFPVIISDPLAVSPTNVEAVKGCS >DRNTG_31304.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3902344:3903177:1 gene:DRNTG_31304 transcript:DRNTG_31304.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPPLHPPLRSSPRGLPVPAPAPAPASGEIGADGGGIGRAVYGSAPVNVPAWPKGRARATMNGWDEEWDEYKKREEEEDDDDEEMVPPHVIVARSHVTRFSVCEGAGRTLKGRDLRRVRNAVFKQTGFLD >DRNTG_02774.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1257312:1259263:1 gene:DRNTG_02774 transcript:DRNTG_02774.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVRSAATSAVRAVAGRSKTALPKTVPLRRVAAPFVSRRINPAVVSLESLMPLHSAIASARLKSFIAVDSSCWSWLSQGCSNCELPSVSIPNVSSRTLLHHLFVWGY >DRNTG_14220.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6628825:6630052:1 gene:DRNTG_14220 transcript:DRNTG_14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAREGLSTLNLLGKVARGVCITNCSVQPELSNILLVFPPRSLHSEQLASVRALQLSSNVSVCPQGCFVVYLSTLCDDPILGKECLHAAINALFSSSSSETSTSSNNGDPEPKPTLLWSCAYIQEISQNDQTMQALCDAAICSCPTPDGNLDYRDILETTKKLYHSMYPDEEFFPESAASVNAEDDGALSD >DRNTG_24013.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16777539:16781110:1 gene:DRNTG_24013 transcript:DRNTG_24013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHLLSHRHSSDANSILRLLVSRSGRHSAPSLFSAALQVSPSPSSLLSLLITSYAELGFVGDAIQCLRLTRKHSLPLPLRSCSSLLERLVASDSPAATWSFYSEILGYGFPPKVSTFNMLMHSFCKEGKIRDAHLMFDEIPKWGARPTVVSFNTLINGYCKIGDLDAGFGLKLRMLELGVLPDVFTYSVLIKGLCKVGRTDDANKMFEEMCERGLVPNSIVFTTLIDGYCKVGKVEAGMEVFEGMMRRGVQPDLITFNALVNGLCKVGDLREAKKVVEVMMRNGLEPDKVTYTTLIDGCCKEGDLETALGIKKKMIVEGIELDDVTFTALISGLSREAWVADAERTLHEMVNAGIVPDEVTYTMVIDAFCKKGEVKAGFELLKEMQSKGLRPGVVTYNVLMNGLCKLGQMKNANMLLNAMLNVEVVPDDVTYNILLEGHCKHGDVEDCEKLRSEKGMISDFAAYTSLINESLKKQRRKQSK >DRNTG_24013.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16777539:16779629:1 gene:DRNTG_24013 transcript:DRNTG_24013.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHLLSHRHSSDANSILRLLVSRSGRHSAPSLFSAALQVSPSPSSLLSLLITSYAELGFVGDAIQCLRLTRKHSLPLPLRSCSSLLERLVASDSPAATWSFYSEILGYGFPPKVSTFNMLMHSFCKEGKIRDAHLMFDEIPKWGARPTVVSFNTLINGYCKIGDLDAGFGLKLRMLELGVLPDVFTYSVLIKGLCKVGRTDDANKMFEEMCERGLVPNSIVFTTLIDGYCKVGKVEAGMEVFEGMMRRGVQPDLITFNALVNGLCKVGDLREAKKVVEVMMRNGLEPDKVTYTTLIDGCCKEGDLETALGIKKKMIVEGIELDDVTFTALISGLSREAWVADAERTLHEMVNAGIVPDEVTYTMVIDAFCKKGEVKAGFELLKEMQSKGLRPGVVTYNVLMNGLCKLGQMKNANMLLNAMLNVEVVPDDVTYNILLEGHCKHGDVEDCEKLRSEKGMISDFAAYTSLINESLKKQRRKQSK >DRNTG_22353.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20710316:20718328:1 gene:DRNTG_22353 transcript:DRNTG_22353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIDEVTLDLSSTTATTTSSIKGKRTKRLRAVSSSSSSDLSGSATEEEEDMANCLILLAQGGIITATTTTTTIEKSEKLITSKKSSEVITGLSVYECKTCNKCFPSFQALGGHRASHKKPKILNIADEKKPVIDEESIIKTVSVSTNVSNKPRVHECSICGSEFSSGQALGGHMRRHRPLNMPETPPEVKKERTLFVHKKIPIRPATTRTTTATMGGNSLHDDLLSNSRDGNRKERASSSKGGWSRKHPTRFSHFGPFRSHKYDRQPTPSNLVRLGTSFAQAVSPPIPPLETNPNPETLESSIPDSSEGWETARPRRGHHQRTSISPPQGFLLVTGNKRPWSPPSCAHCLRSTHKTEECRHLLTCKRCGGVGHMAANCRVELPSPPQCRRARPKANDNISNSLPDSSSKDEGVYEFRGNSYLATLNSEDEAFKASKIGDLSLPSKLGPCILSISPWSADIGYIGSASGRAQALLIWNLPLHAWIWSVLVDILRPIGELVAIPKPSKPHKAFLSVLVRCQHRVVHPHELFLSFRMRKFVVLITDNRLPFPVFRRDLEKYVYQSERTPDVVSHVENPPARASGEIISELKDKEVVETQAEVSVTSEAFVRDQPRRREAGDHRSQEWRIRQQAPTTCPVSVAPGVLKNTSNKARLVINDPGASSKTNSIKVRAVSVAPGTSGDRCKVRYPHGLSFSRTNASPGLNRSAATKEIFAGQGTVSGLALSQPESRVEPLAVAPAVVEVASVQGDSDLVREDRSNHNSTGVASSLADKKNELGTILDSIPIIPDSCNLNQLGERLSHSGHMTSEGLITMGPSIPVSAQTLDLNCLGLGTSLSTKPIEPEARLQFHQSTDCTDFVEDFYNPSNPPASINLPPNFKWVFLNGIWSLIPTHFTNAISTRSTLGEQETSKESLEIWNDDKHTDDTLPESGTQKSDGSGHDGDSLDSQESDDSESDFEEKIRRLLHTGHPDDLVKGTRRSEWQKKPSSRWNEEAGFIPQPPRSVKKKGNTSTTPEGTSNTPLLINAWNDLQLNNYCNACGITFDSLHHKQDCFRYIRMLESTRVAP >DRNTG_10969.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1065834:1067531:1 gene:DRNTG_10969 transcript:DRNTG_10969.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPTTTGRKMSHSSAREGGGWNCPYGDFFLTWYSQMLLNHGELILSAATTIFSSTNTVISVKVAGIHWHYGTRSHAPELTAGYYNTRFNNGYLPIARMLARHGAIFNFTCVEMKDWEQPAEAMCRPEALVRQVAEAAREAGVGLAGENALPRYDEAAHEQILKTALRNDGDDEGLKMVGFTYLRMGMDLFHPENWRKFAAFVQRMADGCPQETLKKVDKAVHETRPWVQEALMMSK >DRNTG_32681.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1713859:1721209:1 gene:DRNTG_32681 transcript:DRNTG_32681.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT4G02430) TAIR;Acc:AT4G02430] MSSRGSRTIYVGNLPGDIREREVEDLFYKYGPIIDIDLKIPPRPPGYAFIEFEDSHDADEAIHGRDGYSFDGHRLRVELAHGGRGHSSSFERRSSYSTSGGGRGGVSRHSEYRVLVTGLPSSASWQDLKDHMRRGGDVCFSQVYHDSRGTTGIVEYANYDDMKYAIRKLDDSEFRNAFARSYIRVKEYDFRRSLSRSQSMSRSLSRSPIPKRYRSYSRSRSRSRSESPRVKSSHRSLSRSRSRSVSSRSRSRSRSRSPETSPRRDKRLSESPRKRRSPSSSKSPSG >DRNTG_32681.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1713859:1721209:1 gene:DRNTG_32681 transcript:DRNTG_32681.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT4G02430) TAIR;Acc:AT4G02430] MSSRGSRTIYVGNLPGDIREREVEDLFYKYGPIIDIDLKIPPRPPGYAFIEFEDSHDADEAIHGRDGYSFDGHRLRVELAHGGRGHSSSFERRSSYSTSGGGRGGVSRHSEYRVLVTGLPSSASWQDLKDHMRRGGDVCFSQVYHDSRGTTGIVEYANYDDMKYAIRKLDDSEFRNAFARSYIRVKEYDFRRSLSRSQSMSRSLSRSPIPKRYRSYSRSRSRSRSESPRVKSSHRSLSRSRSRSVSSRSRSRSRSRSPETSPRRDKRLSESPRKRRSPSSSKSPSG >DRNTG_32681.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1713859:1721209:1 gene:DRNTG_32681 transcript:DRNTG_32681.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT4G02430) TAIR;Acc:AT4G02430] MSSRGSRTIYVGNLPGDIREREVEDLFYKYGPIIDIDLKIPPRPPGYAFIEFEDSHDADEAIHGRDGYSFDGHRLRVELAHGGRGHSSSFERRSSYSTSGGGRGGVSRHSEYRVLVTGLPSSASWQDLKDHMRRGGDVCFSQVYHDSRGTTGIVEYANYDDMKYAIRKLDDSEFRNAFARSYIRVKEYDFRRSLSRSQSMSRSLSRSPIPKRYRSYSRSRSRSRSESPRVKSSHRSLSRSRSRSVSSRSRFGLMRRYGDCILGS >DRNTG_32681.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1713859:1721209:1 gene:DRNTG_32681 transcript:DRNTG_32681.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT4G02430) TAIR;Acc:AT4G02430] MSSRGSRTIYVGNLPGDIREREVEDLFYKYGPIIDIDLKIPPRPPGYAFIEFEDSHDADEAIHGRDGYSFDGHRLRVELAHGGRGHSSSFERRSSYSTSGGGRGGVSRHSEYRVLVTGLPSSASWQDLKDHMRRGGDVCFSQVYHDSRGTTGIVEYANYDDMKYAIRKLDDSEFRNAFARSYIRVKEYDFRRSLSRSQSMSRSLSRSPIPKRYRSYSRSRSRSRSESPRVKSSHRSLSRSRSRSVSSRSRFGLMRRYGDCILGS >DRNTG_32681.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1713859:1721209:1 gene:DRNTG_32681 transcript:DRNTG_32681.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT4G02430) TAIR;Acc:AT4G02430] MSSRGSRTIYVGNLPGDIREREVEDLFYKYGPIIDIDLKIPPRPPGYAFIEFEDSHDADEAIHGRDGYSFDGHRLRVELAHGGRGHSSSFERRSSYSTSGGGRGGVSRHSEYRVLVTGLPSSASWQDLKDHMRRGGDVCFSQVYHDSRGTTGIVEYANYDDMKYAIRKLDDSEFRNAFARSYIRVKEYDFRRSLSRSQSMSRSLSRSPIPKRYRSYSRSRSRSRSESPRVKSSHRSLSRSRSRSVSSRSRFGLMRRYGDCILGS >DRNTG_32681.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1713859:1719321:1 gene:DRNTG_32681 transcript:DRNTG_32681.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT4G02430) TAIR;Acc:AT4G02430] MSSRGSRTIYVGNLPGDIREREVEDLFYKYGPIIDIDLKIPPRPPGYAFIEFEDSHDADEAIHGRDGYSFDGHRLRVELAHGGRGHSSSFERRSSYSTSGGGRGGVSRHSEYRVLVTGLPSSASWQDLKDHMRRGGDVCFSQVYHDSRGTTGIVEYANYDDMKYAIRKLDDSEFRNAFARSYIRVKEYDFRRSLSRSQSMSRSLSRSPIPKRYRSYSRSRSRSRSESPRVKSSHRSLSRSRSRSVSSRSRYLFISILKMSLIHLFWVIQ >DRNTG_27858.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3899758:3910092:-1 gene:DRNTG_27858 transcript:DRNTG_27858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGPWMLVSRHRGRGDGRGGAGGGVGSPTSRETRAGTSEPSNGTLNAPLHFGYARSTRGNFSSCGRGGHLGNRSRTSSPSSNQIVGVTNDMTFPPSRDMETTSSMGSLVPRNTNGYYGGIIVAWQRHIGKVTPLVRSRGATYIQFLGMLVKDLPFQLNNDLVHASSADATVPLLHYSKLQYSTTVKNATLPRPGYYNNYAETIFKVESLALLEALGSIHALDIQIKTIFLACTNLYNIIKIDSSLHAWRLNPLIVKISQALTFRLKEYNLTTSLMAVVVVPQPIAVARACRHSPKLSCASSSLPLVTSLATSGPLLAGLRALGAGLIRSTPALGWWPLSLGVMDGVLATIINTLEHGFQIAMLIEIFRNTLNFIGNW >DRNTG_25097.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:794415:797387:1 gene:DRNTG_25097 transcript:DRNTG_25097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGLEEVKRRKAMWLYPKVVGFNPSERWGHSSCFFDGVVYVFGGCCGGLHFSDVLTLNLDTMVWKSLVTTGPKPGNRDSHSTALVGHRMVVLGGTNGSKKVNDLHILDLRTKEWSRPNFKGTPPSPRESHTTTVSGEGKLVIFGGSGEGDGNYLNDVHILDLKSMTWSSPQVKGELPAPRDSHTAVTIGNKLLIYGGDCGDRYHGEVDVLDMENMTWSRLMIQGSSPGVRAGHAAVTFGTKVYVIGGVGDKQYYSDVWVLDAANCSWTQLDIRGQQPQGRFSHTAVITGTDIAIYGGCGEDERPLNELLILQLGSEHPNGRYNISMCKIFGNHLSQDKQKFLKASENSQKSMVSCNGGLNQGSHEAEVETKSPLVRDNLHSKRRKIGENKVWEIESEQEEHSLSLSQHSSPSQSDQELNTAQRANESIAASRQFTHFKLNHLRERSTPHDTNFLNVESARHPKTEQFLRVVPPMKREAQFIGSDQRPVARPVFPPLIGGEVRGVVDGAFDSGYLMTANVNGQIFRGVLFAPVAGFAVPRPPVHSQSSPLGSPTVVPKQCAAAVPIHVRPSQPATLVVPERGHHLLQAKPVRVIKTQAARSSNDLHGVVLTLGGPGAG >DRNTG_16783.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000847.1:39909:46315:-1 gene:DRNTG_16783 transcript:DRNTG_16783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTEERPGRTAKEGPPPGSSLLPLAIASGGEAAGDPKSPIFQDRGTLGTSIQNRMRYYHSPDKMNTISITTFGTLELLCQLGDGLKDKVRGNLYLPMCIPMFGYAHGSNDYSPAFSPWMKDFHLNPFILILGRSSVGPLSFSLGLNETRSINNPMFYVKRGGIILPNLGEVAGFVETLAAGDEFHQDFSGFWSSRSSLRNTTLVLTHKIKTSSLIVENEEFDLLLYPYL >DRNTG_12369.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1788604:1793945:1 gene:DRNTG_12369 transcript:DRNTG_12369.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGERGCSCTSRLTLSTLSNLALSNARGVSVTHKGSNRANPRPWTLHMSVWNFHTGVDNFHTDVNNFSVSLISRPAVNSAAMYSRLNLSKISSKIEKHLARFLQSANTRFESVEATLRNHTASLHNLENQVGQIMKSLSERPHGSLPSNTETYLREHVKAITLRSGHEVEDTTDEIIDEYMQEMFNPDPYEGLFDQEEGNEKVMMLGSTEEGPSTPGILKKVLQKMKRARRCHQKHSKAVGDIREPKKLDVSLLGFGEFSREHTGAWTRPCGRPCEPCTGVEKPQWRAATTVGRTHGRGYFPHACAIVVRIEEVFSRERTGVCVRPCGSFVMKRAGVGFLRGFLAGSSFSHPISSFKFCKLWHLDRRSKLIRGRMSYPLSLRA >DRNTG_02724.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19498217:19500878:-1 gene:DRNTG_02724 transcript:DRNTG_02724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFDSISAEAEDSAPQPSSTGPFDDDEYLGYDSALPSEHYESYSSFPADEEPKGVPPDADDVPIAVGGGGSIPNSPEGYGFMSAPFSDSNGKGYEENHGGEIFEPDEPSLPPPEQMQPEEGFDFREWRRQNMIFLEEKEREEKEMRNKIIAKAEGYKRAFHEKRKLNCETNKINNREREKLYLANQEKFHKNADKQYWKAIAELIPYEVPNIEKRRGKKDQEKKPSITVIQGPKPGKPTDLSRMRQLLVKLKHTPPPHMKPPAPAPEVKDGAATATKDGKKDETKPATPAPATEPKTNGDTNKPKEEDAVVVTEVKEIDKAPEPPAAE >DRNTG_19691.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001040.1:69453:82734:-1 gene:DRNTG_19691 transcript:DRNTG_19691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQMTPQLKGRDPVGMQRLKTRFSNNNRETTLDDETLLPSLSSMPTKPLTSHTRQPLGVVDK >DRNTG_21202.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001208.1:124738:125334:1 gene:DRNTG_21202 transcript:DRNTG_21202.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNPTNEKNLTNLVNAIKLNDTATEAPNPTNASCDIAKNDPNHTISTPTISQASKIHLMQQLMEKHCVWDSSIEAQVKVAWVVKASQRYSDFL >DRNTG_10423.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6805592:6807285:-1 gene:DRNTG_10423 transcript:DRNTG_10423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMWNTKLGPGFPSWIQKLENLSAAVFSNAGISDSLPDWFWNFSKNLQIVDLSHNDIRGKLPTSLEHLSNLRYVDLSGNSFEGSVPQFPENLVYLLLFSNKIAGRVPKTLCYLKKLVALDLSKNQLIGVIPDCWNHSLHPRLFTFDFSDNKLYGGIPATLCSPSLAYLHLSNNNLSGELPLSLRNCKALRTLDLGQNKIGGSIPTWLAKSLLNLEVLQLRQNMLVGNIPPELGNLTNLRVIDFAYNHLSGTIPYNLGNLRAMKFAPAISYNRKVALVIYDKLAAGYVDNMEVLYMVSSKLVLGYMDSIKVNLKGRDVQYDKLLPLLISVDLSRNELSGEIPEELMYLSYLQNLNLSENHLTGRIPEKIGMLLRVESLDLSKNDLSGTIPTTMIMLTFLSHLNLSYNNFFGRIPHSGQFPALPDPSIYLGNNALCGFPLHNNCENIDGQSSVWSPKDEDEVEKIGFYVSIALGYILGFWILWGVLLLNKKWGFAYFQFVDYLIHATGDCLWK >DRNTG_08494.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6482196:6483406:1 gene:DRNTG_08494 transcript:DRNTG_08494.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWVQAVSVAIGLLRLGEHHICDRIFARFPILHDERFAEAAKGCIMQLLNFGDTIAVCRRSSGKYFLHPWHVRGALWCDVRAS >DRNTG_08494.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6482082:6483367:1 gene:DRNTG_08494 transcript:DRNTG_08494.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWVQAVSVAIGLLRLGEHHICDRIFARFPILHDERFAEAAKGCIMQLLNFGDTIAVCRRSSGKYFLHPWHVRGALWCDVRAS >DRNTG_08494.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6482196:6483367:1 gene:DRNTG_08494 transcript:DRNTG_08494.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWVQAVSVAIGLLRLGEHHICDRIFARFPILHDERFAEAAKGCIMQLLNFGDTIAVCRRSSGKYFLHPWHVRGALWCDVRAS >DRNTG_08494.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6482196:6483475:1 gene:DRNTG_08494 transcript:DRNTG_08494.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWVQAVSVAIGLLRLGEHHICDRIFARFPILHDERFAEAAKGCIMQLLNFGDTIAVCRRSSGKYFLHPWHVRGALWCDVRAS >DRNTG_08494.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6482082:6483406:1 gene:DRNTG_08494 transcript:DRNTG_08494.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWVQAVSVAIGLLRLGEHHICDRIFARFPILHDERFAEAAKGCIMQLLNFGDTIAVCRRSSGKYFLHPWHVRGALWCDVRAS >DRNTG_08494.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6482082:6483475:1 gene:DRNTG_08494 transcript:DRNTG_08494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWVQAVSVAIGLLRLGEHHICDRIFARFPILHDERFAEAAKGCIMQLLNFGDTIAVCRRSSGKYFLHPWHVRGALWCDVRAS >DRNTG_16657.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20225731:20227853:1 gene:DRNTG_16657 transcript:DRNTG_16657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHCEGVEIDGSGGVWTDERHASFLNRMEESFIRAMIGSGEAAGGRRDPAERHLLESTAESGLSWSRTIRAHRASGSAPLNINENGAMGSASRRSGSGRRPVKRSDLEDQVVPELEGSMRDGVEGKNKNWRRNRNL >DRNTG_02713.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19575310:19576403:-1 gene:DRNTG_02713 transcript:DRNTG_02713.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIVIALVFLFDLIAFALAIAAEQRRSTATVQPDSEKNYDYCVYDSDIATGYGVGALLFLLASQVLVMAVSKCFCCGRALHPGGSRACALILFLTCWVTFIIAEACLLAGAVRNAYHTRYRTLFVNGPPSCETLRKGVFAAGAAFVFFTAILSEFYYFSFSKANDSGVPAYGYGKESTVGMSPYT >DRNTG_02713.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19575033:19576357:-1 gene:DRNTG_02713 transcript:DRNTG_02713.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIVIALVFLFDLIAFALAIAAEQRRSTATVQPDSEKNYDYCVYDSDIATGYGVGALLFLLASQVLVMAVSKCFCCGRALHPGGSRACALILFLTCWVTFIIAEACLLAGAVRNAYHTRYRTLFVNGPPSCETLRKGVFAAGAAFVFFTAILSEFYYFSFSKANDSGVPAYGYGKESTVGMSPYT >DRNTG_02713.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19575310:19576554:-1 gene:DRNTG_02713 transcript:DRNTG_02713.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIVIALVFLFDLIAFALAIAAEQRRSTATVQPDSEKNYDYCVYDSDIATGYGVGALLFLLASQVLVMAVSKCFCCGRALHPGGSRACALILFLTCWVTFIIAEACLLAGAVRNAYHTRYRTLFVNGPPSCETLRKGVFAAGAAFVFFTAILSEFYYFSFSKANDSGVPAYGYGKESTVGMSPYT >DRNTG_02713.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19575033:19576403:-1 gene:DRNTG_02713 transcript:DRNTG_02713.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIVIALVFLFDLIAFALAIAAEQRRSTATVQPDSEKNYDYCVYDSDIATGYGVGALLFLLASQVLVMAVSKCFCCGRALHPGGSRACALILFLTCWVTFIIAEACLLAGAVRNAYHTRYRTLFVNGPPSCETLRKGVFAAGAAFVFFTAILSEFYYFSFSKANDSGVPAYGYGKESTVGMSPYT >DRNTG_02713.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19574142:19576357:-1 gene:DRNTG_02713 transcript:DRNTG_02713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIVIALVFLFDLIAFALAIAAEQRRSTATVQPDSEKNYDYCVYDSDIATGYGVGALLFLLASQVLVMAVSKCFCCGRALHPGGSRACALILFLTCWVTFIIAEACLLAGAVRNAYHTRYRTLFVNGPPSCETLRKGVFAAGAAFVFFTAILSEFYYFSFSKANDSGVPAYGYGKESTVGMSPYT >DRNTG_02713.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19575310:19576357:-1 gene:DRNTG_02713 transcript:DRNTG_02713.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIVIALVFLFDLIAFALAIAAEQRRSTATVQPDSEKNYDYCVYDSDIATGYGVGALLFLLASQVLVMAVSKCFCCGRALHPGGSRACALILFLTCWVTFIIAEACLLAGAVRNAYHTRYRTLFVNGPPSCETLRKGVFAAGAAFVFFTAILSEFYYFSFSKANDSGVPAYGYGKESTVGMSPYT >DRNTG_21440.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1840989:1843484:1 gene:DRNTG_21440 transcript:DRNTG_21440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLGFFVSKMLKLPAHYAAGLILLGCCPGGTTSNIVTYLARGNVALSVLMTAASTFAAVIMTPFLTSKLARQFVAVDPTGLFTSTVQ >DRNTG_21440.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1840989:1843484:1 gene:DRNTG_21440 transcript:DRNTG_21440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLGFFVSKMLKLPAHYAAGLILLGCCPGGTTSNIVTYLAR >DRNTG_21440.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1840989:1843484:1 gene:DRNTG_21440 transcript:DRNTG_21440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLGFFVSKMLKLPAHYAAGLILLGCCPGGTTSNIVTYLARGNVALSVLMTAASTFAAVIMTPFLTSKLARQFVAVDPTGLFTSTVQVVLAPVIFGAILNQYCNNLVKFVSPVMPFIAVVTASILCGSAIAQSASVILTSGLQVVFSVCMLHGSGFFFGYLFSRMMGIDVSSSRTISIQVGMQNSVLGLVLANQHFRNPLTVVPCAVASFIHLVYGSLLAVIWRGMAPKIERNEED >DRNTG_21440.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1842369:1843484:1 gene:DRNTG_21440 transcript:DRNTG_21440.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPFIAVVTASILCGSAIAQSASVILTSGLQVVFSVCMLHGSGFFFGYLFSRMMGIDVSSSRTISIQVGMQNSVLGLVLANQHFRNPLTVVPCAVASFIHLVYGSLLAVIWRGMAPKIERNEED >DRNTG_21440.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1840989:1843484:1 gene:DRNTG_21440 transcript:DRNTG_21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASTFAAVIMTPFLTSKLARQFVAVDPTGLFTSTVQVVLAPVIFGAILNQYCNNLVKFVSPVMPFIAVVTASILCGSAIAQSASVILTSGLQVVFSVCMLHGSGFFFGYLFSRMMGIDVSSSRTISIQVGMQNSVLGLVLANQHFRNPLTVVPCAVASFIHLVYGSLLAVIWRGMAPKIERNEED >DRNTG_00959.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21079108:21080851:1 gene:DRNTG_00959 transcript:DRNTG_00959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFGESTSREPQSSTYSSSGNNNNNDSGSFECNICFELAQDPIVTLCGHLFCWPCLYRWLHVHARSQECPVCKAIVEEDKLVPLYGRGKTPTDPRSKSVPGVDIPHRPAGQRPATAAPPDPNQFAHSNPWFMGGAPFGHGRFGNYTFSAAISGLFPMLSFQVHGFPDATAYGPGAGFHYGYNSFHGGHTYGFPPRASQGQQADYYLKALLLLVGALVVATLVYF >DRNTG_18068.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:209143:211513:-1 gene:DRNTG_18068 transcript:DRNTG_18068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMGYTGMVLGSLLVIIATKLCHAFYNLIWKPYKITKSLEKQGLRGPPYKFPHGSTKQINDFQAAADGLVMDSRSHDISSKLLPHYHVWSSLYGRTFLYWMGAQPRICLGDPEMVKQVLSSNFGFYLKPYPGPNIMALLGKGLVLAEGSDWARHRRALNPAFHIDKLKMMTKTMAECALRMLHEWRNEIKEEVEMSRQFQELTANIISHTVFGTSNSIHGKQIFLAQKELLSLVVADIGGSKYLPTKKNLKKWNLEKRIKTTLTNIIKNRLHNSKELGYGDDLLGLMLHSSMADNNSRLTIDEIIDECKTFYFAGHETTSHLLTWTMFLLSINPEWQQRLREEVLSECGLETPNADMLSKFKLVTMVLWETLRLYPPVILNAREASKDMNLGGLMIPKGMPLMIPIVMLQRDKKYWGDDANDFNPLRFENGASKAAMHPNSILPFSLGPRACVGQNFAMMEAKVVMAMILQRFSFSLSPNYKHSPANWLTLQPQFGLPIDLKPLQL >DRNTG_18370.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000946.1:16471:19745:-1 gene:DRNTG_18370 transcript:DRNTG_18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNHLTGAIPSELGDLSSLEALDLSSSNLSGEIPIWLENCSKLHSLKLSSNKLSGVIPFQLGNLNLHEVLDLSNNFFTGEIPPQLSNLIMLQELNLSHNELVGRIPSSFQLMIGLTSLDLSYNSLEGPVPETHFFRAAPLKWFIHNKGLCGQVHGLPPCHQSQLVSRGDIEKHHKIIILIVLLTFGILFLIIGVSVLLFYKRKKSITNDTREEFDKHFFSIWGVNHGKKAYKEIIRVTENFDEKYQIGTGSCSIVYKATISSGVTLSIKKIQKDEEAQVNEQAFQNEIQALTEVRHRNIVRFYGFCSTDKFSFLAYEYMERGCLGATLRSEQEAMKLDWIKRTGIVQDIAQALSYLHHDCNPPIVHRDITSNNILLDEEYKACVADFGISRLLKPNSSHWSLLAGTYGYIAPELAYAMRVTEKCDVYSFGIVALEVICGTHPGDLLSNLSLSMLVKDILDPRLPLPLHIADQVTMNQVLFVILIAMHCINTDPQARPTMRQVSERLFSPKSWPTFDIFSFQVLTLHHLINIVQTHIDDQVLE >DRNTG_04740.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:69310:75583:-1 gene:DRNTG_04740 transcript:DRNTG_04740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPTLSGLAGSLLSPLSKVSLEKLIGFLWNYLSSSPSPSSPDEAEKQQLKDSLEALENAKLNVELMQGRIMKLFQKHKQNKRVVRLHNKLKDVGYDIQDLESEMKYMELERKVQAINKAEEEEEEEGDSSTSSQFSTGKRSFPFRLPTVFLSKKKRRLPASSQSSSLSTDEDIVRQVTSILKQIKSIESKLKDETTLEDLFDKLIMNEVYDPREYPSTQNERVTTSSTNERKIYGRNDEIQQLIEFLTEPNVNNSSNVSVVPIVGMGGIGKTTLAQFVFNNRQIENHFDKKTWIYVSNHFDRCRITKEMLHVINPNVQHCSTSNLDFLERELQRHLTGKKFLLVLDDIWSDEWQWLIAPLQSSQAHVIKIILTCRDPMVLRSIDERNKIILKGIDHQEYWSLFLNCAFAENNSDNYSQKLHDIGRRIVKKLMGSPLAAKTVGKLLGRDLTEKHWNDVLENDLWKLKTDAHDIMPALALSYYHLPPCLQPCFAFCSLMHDHVYQMEELIYMWIANGYIHESGSNSKTMHDIGEEYCHELLAMGFFDKANSTNFKMHDLMHDLAQLVSHGEICIYKSGKDEKLLKNARHVYVQGSIDPGLVCETNNLRTFVLRRVDAMFVHFNHEAFKRVRVLVIFDSKMKEFPDAICHLKHLQYLDLVETRIKSIPESLCGLYQLRVLKLPWYLLTLPSQVHSLINLEILYTDNPKNGLMCMQLRNLNKLRGRRLSIVALEIIHNKKEATKAKLNERRHIKQ >DRNTG_04740.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:69310:75583:-1 gene:DRNTG_04740 transcript:DRNTG_04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPTLSGLAGSLLSPLSKVSLEKLIGFLWNYLSSSPSPSSPDEAEKQQLKDSLEALENAKLNVELMQGRIMKLFQKHKQNKRVVRLHNKLKDVGYDIQDLESEMKYMELERKVQAINKAEEEEEEEGDSSTSSQFSTGKRSFPFRLPTVFLSKKKRRLPASSQSSSLSTDEDIVRQVTSILKQIKSIESKLKDETTLEDLFDKLIMNEVYDPREYPSTQNERVTTSSTNERKIYGRNDEIQQLIEFLTEPNVNNSSNVSVVPIVGMGGIGKTTLAQFVFNNRQIENHFDKKTWIYVSNHFDRCRITKEMLHVINPNVQHCSTSNLDFLERELQRHLTGKKFLLVLDDIWSDEWQWLIAPLQSSQAHVIKIILTCRDPMVLRSIDERNKIILKGIDHQEYWSLFLNCAFAENNSDNYSQKLHDIGRRIVKKLMGSPLAAKTVGKLLGRDLTEKHWNDVLENDLWKLKTDAHDIMPALALSYYHLPPCLQPCFAFCSLMHDHVYQMEELIYMWIANGYIHESGSNSKTMHDIGEEYCHELLAMGFFDKANSTNFKMHDLMHDLAQLVSHGEICIYKSGKDEKLLKNARHVYVQGSIDPGLVCETNNLRTFVLRRVDAMFVHFNHEAFKRVRVLVIFDSKMKEFPDAICHLKHLQYLDLVETRIKSIPESLCGLYQLRVLKLPWYLLTLPSQVHSLINLEILYTDNPKNGLMCMQLRNLNKLRGRRLSIVALEIIHNKKEATKAKLNERRHIKQ >DRNTG_11131.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30428983:30432331:-1 gene:DRNTG_11131 transcript:DRNTG_11131.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLFVCFNNVIFGLQVWTVSSGFCFVTFSEHTNAVTAVHFMPNCHSLLSASLDGTVRAWDLFHYRNFRTFTTPSPRQFVSLASDVSGEVICAGTLDSYEIFVWSMKTARLLDVLSGHEGPVHGLMFSPTNAVLASSSWDNTVRLWDVFDGKGTVETFRHLHDVLTVAYRPDGKANSLQHTRWPNSFLGSYGWRLDVHY >DRNTG_11131.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30428983:30432977:-1 gene:DRNTG_11131 transcript:DRNTG_11131.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNCHSLLSASLDGTVRAWDLFHYRNFRTFTTPSPRQFVSLASDVSGEVICAGTLDSYEIFVWSMKTARLLDVLSGHEGPVHGLMFSPTNAVLASSSWDNTVRLWDVFDGKGTVETFRHLHDVLTVAYRPDGKANSLQHTRWPNSFLGSYGWRLDVHY >DRNTG_24259.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18925074:18933577:1 gene:DRNTG_24259 transcript:DRNTG_24259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPPSGGVGAAVAAANPLEGEKKTINAELWHACAGPLVTLPAVGSLVVYFPQGHSEQVAASMRKDIDAHIPNYPNLPSKLICLLHSVTLHADAETDEVYAQMTLQPVKINDKEALLASDPTLKPHKPQTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFTMQPPAQELQARDLHDNLWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVLFIRDEKQNLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHAAANYSPFTVFYNPRASPSEFVIPLAKYQKALFSHSISPGMRFRMMFETEESGTRRYMGTVTGVSDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSIWEIEPVATPFFICTPPFFRPKRLRQPGMPDDDTLEVESLFKRATPWLGEDICFKDSQNPNSIMPGLSLAQWMNMQQTSTLTNPAMQPDLRSLSGPVVQNFGITDLSRQLGLQAQLLQQNSVQFNGPRLPQQAQQVDQLSKVSLPLNQLNSVNRPQQQLQDNGTQQRPQLVNQAFPLSQTQNNVIQSQVLIQTQGQQQPLNQGSQAVQNNLQNNQLQQQQPQQQLQQLQQLQQLQQKQQLMQPQSQLQNKMPVQLANVTNQQLQLPEHQIQLQLLQKLQQQQQQQQQQQQQQQQHLLSAQPTPQQPQLHQVQEQQRSLLEAPQILSSPNSLPQQQTIPQQLSKGAPIGVQFTQMPQHQSQQKLQQHQGLLPDLPGTILPPSSANLLPATGNSLLAAGGAQSVLTDDVPSCSTSPSTNNCVVIPQQVLNRSQQRNAVPTDNTPLPAATILNPCPLDVLASSPKAHKQMSKSESNVKPSISVPKLQNHGVVAPQTYPNNGIQMDYLDTTSSATSACLSQADGSLQQSFPLSSFNQTSIFRDAAPDSDLHANDLRNNLLFGVNIDGPLGIPLTTEPLLANSIDSGKYQGHMPGGIIGNYSTSKDAQQELSSSMVSQSFGVPDMPFNSIDSTMNESSLLNRSSWALAPQYQRRTYTKVYKRGAVGRSIDITRYSGYDDLKRDLARMFSIEGQLEDRQRIGWKLVYVDHESDVLLVGDDPWEEFVSCVRCIRILSPQEVQQMSLDGDLGNNILSNQACSSSDGGNPWKGQCDQNSGNHLSAGSFGHLE >DRNTG_11401.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14014419:14018434:1 gene:DRNTG_11401 transcript:DRNTG_11401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTTPNHTQSVAGWAALEQNGKITPYVFKRRENGPDDVTIKVLFCGMCHTDLHHLRNDWGITFYPLVPGHEITGLITKVGSNVSEFKVGDRVGVGCLAASCLNCEFCNDSQENYCEQVQFTYGGIFWDGSITYGGYSEMLVAHKRYVVHVPDGLPMDGAAPLLCAGITVYSPMKQHNMMQPGKKLGVVGLGGLGHVAIKFAKAVGLHVTVISTSPSKEKEAKDRLGADEFLLSTDVKQMRAHSRTLDFIIDTVAAKHSLGPILDLLKVKGNLVLVGAPDKPVDLPSFPLIFGKRMVSGSMTGGMKETQEMMNFCAKHNITCDIELVKPDNINEAMDRLARNDVRYRFVIDITAAKSSL >DRNTG_04683.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30415880:30417169:-1 gene:DRNTG_04683 transcript:DRNTG_04683.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of lumenal location 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G39470) UniProtKB/Swiss-Prot;Acc:O80634] MATLPSWVSTLHNKFFNSTTRCVVTPVSTIKACSKEVPLNDNCEILSSICSKRRALLIGASALTSSMFNSSFAFAEEVPEKYRAFVDFVDGYSYYYPADWRDFDFRGHDSAFKDPFAALQHVRVAFIPTEKKDIRDLGPMEEAIFDLVKNIYAAPNQVPTIYEMQEHTIDGKNYWTFEYNLESEAFARTAFATIAIGNGRYYTLVVGANERRWSRLRNKLKVVADSFRMIDI >DRNTG_09008.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8729084:8740958:-1 gene:DRNTG_09008 transcript:DRNTG_09008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRVSNGPHMGSVWGPSEMAQSHWIGSVFISYGLHTPQVGFMVADCRSSVVKTNCSIGDSLPPIIDGVPLSIKTASISSRCYSAYGPHTGWYGGADKLTPATFEKHSRWETARKWKSNVWATVSGEKVLLAKTVFLKYYNLPSKHMNGSHKGPNGHPCHRDEFVRSTRCSKECRFCLRTKEECYVHHDAVADPNWKCSDLQFVKKVSNYNDMNTHFSKTWKIQCCYYMHRSKGGHASKVLLEAAISNMQRCYCTAQFVSSLKLWCPLLIRITCENDEVRVSCKVLRGCSLSLSCKGFIHASALDVKPTVLWIVGARPAWTSQGMQKLETSMYTGGIYS >DRNTG_10386.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22968324:22970811:1 gene:DRNTG_10386 transcript:DRNTG_10386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKQRNRSKCSKTYPDPCAEPLDPLCMDSRSTSPSSSPPFLSLSRTRSTSPSL >DRNTG_14370.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:386226:390361:1 gene:DRNTG_14370 transcript:DRNTG_14370.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPISHCAAMILDKKHRKSGLSEDGKKKPSVLRQLQEHKLREALEEASEDGSLFKSQEADPDSDPGANADGGSIGRSRSLARLHAQREFLRATALAADRTFSSAESIPELAESFSKFLTMYPRYQSSEQIDALRSNEYAHLSESGAKVCLDYCGFGLFSYLQSFHCWESSAFSLSEITANLSNHALYGGPERGTGEHDIKHRIMDYLNIPENEYGLVFTVSRGSAFKLLADSYPFHTNKKLLTMFDHESQSVNWMAQSAKEKGAKIQSAWFKWPTLRICSTELRKQISSNKKRRKKDSAVGLFVFPVQSRVSGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGSDPTGFGCLLIKKSVMASLQNQNGGTGSGMVRIVPVFPQYLSDSVDGLDGLIGIEDEEIIETEDSLMPDTHRGSQLPAFSGAFTSAQVRDVFDTEMDQDNSSDRDGASTIFEETESISVGEVMKSPVFSEDESSDNSFWIDLGQSPIGSDNSGQLKRGKLGSPIPPSWFSGKKNQKKLSPKVSSKIATSPIYDDHTISFDAALKSVSQDLERVKGIPEEETAECSGDVDLHQNREIEEEPEFQVTNACNVKFSAANGTRTGSSVYMPQRRRENGSTSEICEEGFQEMKESAIRRETEGDFRLLGRREGNNNRYAGSRFFGVEENERVVSMGRRVSFSMEDNKHGERQCQNSDAGEPSAQTLGDDDSDGDDDEDAQEWSRREPEIVCRHLDHVNMLGLNKTTLRQRYLINWLVTSLLQLRLPDSDGGVGSHLVHIYGPKIKYERGAAVAFNVKSSSGAFLKPDVVLKLAEKNGISLGIGFLSHIKVLESQKHLHGAVDLGDASLCKPVSNGRHDSKNTMIRVEVVTASLGFLTNFEDVYRMWAFVAKFLDPTFAEDDRPSIVVEASET >DRNTG_14370.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:386226:390409:1 gene:DRNTG_14370 transcript:DRNTG_14370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPISHCAAMILDKKHRKSGLSEDGKKKPSVLRQLQEHKLREALEEASEDGSLFKSQEADPDSDPGANADGGSIGRSRSLARLHAQREFLRATALAADRTFSSAESIPELAESFSKFLTMYPRYQSSEQIDALRSNEYAHLSESGAKVCLDYCGFGLFSYLQSFHCWESSAFSLSEITANLSNHALYGGPERGTGEHDIKHRIMDYLNIPENEYGLVFTVSRGSAFKLLADSYPFHTNKKLLTMFDHESQSVNWMAQSAKEKGAKIQSAWFKWPTLRICSTELRKQISSNKKRRKKDSAVGLFVFPVQSRVSGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGSDPTGFGCLLIKKSVMASLQNQNGGTGSGMVRIVPVFPQYLSDSVDGLDGLIGIEDEEIIETEDSLMPDTHRGSQLPAFSGAFTSAQVRDVFDTEMDQDNSSDRDGASTIFEETESISVGEVMKSPVFSEDESSDNSFWIDLGQSPIGSDNSGQLKRGKLGSPIPPSWFSGKKNQKKLSPKVSSKIATSPIYDDHTISFDAALKSVSQDLERVKGIPEEETAECSGDVDLHQNREIEEEPEFQVTNACNVKFSAANGTRTGSSVYMPQRRRENGSTSEICEEGFQEMKESAIRRETEGDFRLLGRREGNNNRYAGSRFFGVEENERVVSMGRRVSFSMEDNKHGERQCQNSDAGEPSAQTLGDDDSDGDDDEDAQEWSRREPEIVCRHLDHVNMLGLNKTTLRQRYLINWLVTSLLQLRLPDSDGGVGSHLVHIYGPKIKYERGAAVAFNVKSSSGAFLKPDVVLKLAEKNGISLGIGFLSHIKVLESQKHLHGAVDLGDASLCKPVSNGRHDSKNTMIRVEVVTASLGFLTNFEDVYRMWAFVAKFLDPTFAEDDRPSIVVEASET >DRNTG_14370.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:386226:390361:1 gene:DRNTG_14370 transcript:DRNTG_14370.8 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPISHCAAMILDKKHRKSGLSEDGKKKPSVLRQLQEHKLREALEEASEDGSLFKSQEADPDSDPGANADGGSIGRSRSLARLHAQREFLRATALAADRTFSSAESIPELAESFSKFLTMYPRYQSSEQIDALRSNEYAHLSESGAKVCLDYCGFGLFSYLQSFHCWESSAFSLSEITANLSNHALYGGPERGTGEHDIKHRIMDYLNIPENEYGLVFTVSRGSAFKLLADSYPFHTNKKLLTMFDHESQSVNWMAQSAKEKGAKIQSAWFKWPTLRICSTELRKQISSNKKRRKKDSAVGLFVFPVQSRVSGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGSDPTGFGCLLIKKSVMASLQNQNGGTGSGMVRIVPVFPQYLSDSVDGLDGLIGIEDEEIIETEDSLMPDTHRGSQLPAFSGAFTSAQVRDVFDTEMDQDNSSDRDGASTIFEETESISVGEVMKSPVFSEDESSDNSFWIDLGQSPIGSDNSGQLKRGKLGSPIPPSWFSGKKNQKKLSPKVSSKIATSPIYDDHTISFDAALKSVSQDLERVKGIPEEETAECSGDVDLHQNREIEEEPEFQVTNACNVKFSAANGTRTGSSVYMPQRRRENGSTSEICEEGFQEMKESAIRRETEGDFRLLGRREGNNNRYAGSRFFGVEENERVVSMGRRVSFSMEDNKHGERQCQNSDAGEPSAQTLGDDDSDGDDDEDAQEWSRREPEIVCRHLDHVNMLGLNKTTLRQRYLINWLVTSLLQLRLPDSDGGVGSHLVHIYGPKIKYERGAAVAFNVKSSSGAFLKPDVVLKLAEKNGISLGIGFLSHIKVLESQKHLHGAVDLGDASLCKPVSNGRHDSKNTMIRVEVVTASLGFLTNFEDVYRMWAFVAKFLDPTFAEDDRPSIVVEASET >DRNTG_14370.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:386226:390409:1 gene:DRNTG_14370 transcript:DRNTG_14370.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPISHCAAMILDKKHRKSGLSEDGKKKPSVLRQLQEHKLREALEEASEDGSLFKSQEADPDSDPGANADGGSIGRSRSLARLHAQREFLRATALAADRTFSSAESIPELAESFSKFLTMYPRYQSSEQIDALRSNEYAHLSESGAKVCLDYCGFGLFSYLQSFHCWESSAFSLSEITANLSNHALYGGPERGTGEHDIKHRIMDYLNIPENEYGLVFTVSRGSAFKLLADSYPFHTNKKLLTMFDHESQSVNWMAQSAKEKGAKIQSAWFKWPTLRICSTELRKQISSNKKRRKKDSAVGLFVFPVQSRVSGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGSDPTGFGCLLIKKSVMASLQNQNGGTGSGMVRIVPVFPQYLSDSVDGLDGLIGIEDEEIIETEDSLMPDTHRGSQLPAFSGAFTSAQVRDVFDTEMDQDNSSDRDGASTIFEETESISVGEVMKSPVFSEDESSDNSFWIDLGQSPIGSDNSGQLKRGKLGSPIPPSWFSGKKNQKKLSPKVSSKIATSPIYDDHTISFDAALKSVSQDLERVKGIPEEETAECSGDVDLHQNREIEEEPEFQVTNACNVKFSAANGTRTGSSVYMPQRRRENGSTSEICEEGFQEMKESAIRRETEGDFRLLGRREGNNNRYAGSRFFGVEENERVVSMGRRVSFSMEDNKHGERQCQNSDAGEPSAQTLGDDDSDGDDDEDAQEWSRREPEIVCRHLDHVNMLGLNKTTLRQRYLINWLVTSLLQLRLPDSDGGVGSHLVHIYGPKIKYERGAAVAFNVKSSSGAFLKPDVVLKLAEKNGISLGIGFLSHIKVLESQKHLHGAVDLGDASLCKPVSNGRHDSKNTMIRVEVVTASLGFLTNFEDVYRMWAFVAKFLDPTFAEDDRPSIVVEASET >DRNTG_14370.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:386226:390460:1 gene:DRNTG_14370 transcript:DRNTG_14370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPISHCAAMILDKKHRKSGLSEDGKKKPSVLRQLQEHKLREALEEASEDGSLFKSQEADPDSDPGANADGGSIGRSRSLARLHAQREFLRATALAADRTFSSAESIPELAESFSKFLTMYPRYQSSEQIDALRSNEYAHLSESGAKVCLDYCGFGLFSYLQSFHCWESSAFSLSEITANLSNHALYGGPERGTGEHDIKHRIMDYLNIPENEYGLVFTVSRGSAFKLLADSYPFHTNKKLLTMFDHESQSVNWMAQSAKEKGAKIQSAWFKWPTLRICSTELRKQISSNKKRRKKDSAVGLFVFPVQSRVSGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGSDPTGFGCLLIKKSVMASLQNQNGGTGSGMVRIVPVFPQYLSDSVDGLDGLIGIEDEEIIETEDSLMPDTHRGSQLPAFSGAFTSAQVRDVFDTEMDQDNSSDRDGASTIFEETESISVGEVMKSPVFSEDESSDNSFWIDLGQSPIGSDNSGQLKRGKLGSPIPPSWFSGKKNQKKLSPKVSSKIATSPIYDDHTISFDAALKSVSQDLERVKGIPEEETAECSGDVDLHQNREIEEEPEFQVTNACNVKFSAANGTRTGSSVYMPQRRRENGSTSEICEEGFQEMKESAIRRETEGDFRLLGRREGNNNRYAGSRFFGVEENERVVSMGRRVSFSMEDNKHGERQCQNSDAGEPSAQTLGDDDSDGDDDEDAQEWSRREPEIVCRHLDHVNMLGLNKTTLRQRYLINWLVTSLLQLRLPDSDGGVGSHLVHIYGPKIKYERGAAVAFNVKSSSGAFLKPDVVLKLAEKNGISLGIGFLSHIKVLESQKHLHGAVDLGDASLCKPVSNGRHDSKNTMIRVEVVTASLGFLTNFEDVYRMWAFVAKFLDPTFAEDDRPSIVVEASET >DRNTG_14370.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:386226:390460:1 gene:DRNTG_14370 transcript:DRNTG_14370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPISHCAAMILDKKHRKSGLSEDGKKKPSVLRQLQEHKLREALEEASEDGSLFKSQEADPDSDPGANADGGSIGRSRSLARLHAQREFLRATALAADRTFSSAESIPELAESFSKFLTMYPRYQSSEQIDALRSNEYAHLSESGAKVCLDYCGFGLFSYLQSFHCWESSAFSLSEITANLSNHALYGGPERGTGEHDIKHRIMDYLNIPENEYGLVFTVSRGSAFKLLADSYPFHTNKKLLTMFDHESQSVNWMAQSAKEKGAKIQSAWFKWPTLRICSTELRKQISSNKKRRKKDSAVGLFVFPVQSRVSGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGSDPTGFGCLLIKKSVMASLQNQNGGTGSGMVRIVPVFPQYLSDSVDGLDGLIGIEDEEIIETEDSLMPDTHRGSQLPAFSGAFTSAQVRDVFDTEMDQDNSSDRDGASTIFEETESISVGEVMKSPVFSEDESSDNSFWIDLGQSPIGSDNSGQLKRGKLGSPIPPSWFSGKKNQKKLSPKVSSKIATSPIYDDHTISFDAALKSVSQDLERVKGIPEEETAECSGDVDLHQNREIEEEPEFQVTNACNVKFSAANGTRTGSSVYMPQRRRENGSTSEICEEGFQEMKESAIRRETEGDFRLLGRREGNNNRYAGSRFFGVEENERVVSMGRRVSFSMEDNKHGERQCQNSDAGEPSAQTLGDDDSDGDDDEDAQEWSRREPEIVCRHLDHVNMLGLNKTTLRQRYLINWLVTSLLQLRLPDSDGGVGSHLVHIYGPKIKYERGAAVAFNVKSSSGAFLKPDVVLKLAEKNGISLGIGFLSHIKVLESQKHLHGAVDLGDASLCKPVSNGRHDSKNTMIRVEVVTASLGFLTNFEDVYRMWAFVAKFLDPTFAEDDRPSIVVEASET >DRNTG_14370.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:386226:390635:1 gene:DRNTG_14370 transcript:DRNTG_14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPISHCAAMILDKKHRKSGLSEDGKKKPSVLRQLQEHKLREALEEASEDGSLFKSQEADPDSDPGANADGGSIGRSRSLARLHAQREFLRATALAADRTFSSAESIPELAESFSKFLTMYPRYQSSEQIDALRSNEYAHLSESGAKVCLDYCGFGLFSYLQSFHCWESSAFSLSEITANLSNHALYGGPERGTGEHDIKHRIMDYLNIPENEYGLVFTVSRGSAFKLLADSYPFHTNKKLLTMFDHESQSVNWMAQSAKEKGAKIQSAWFKWPTLRICSTELRKQISSNKKRRKKDSAVGLFVFPVQSRVSGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGSDPTGFGCLLIKKSVMASLQNQNGGTGSGMVRIVPVFPQYLSDSVDGLDGLIGIEDEEIIETEDSLMPDTHRGSQLPAFSGAFTSAQVRDVFDTEMDQDNSSDRDGASTIFEETESISVGEVMKSPVFSEDESSDNSFWIDLGQSPIGSDNSGQLKRGKLGSPIPPSWFSGKKNQKKLSPKVSSKIATSPIYDDHTISFDAALKSVSQDLERVKGIPEEETAECSGDVDLHQNREIEEEPEFQVTNACNVKFSAANGTRTGSSVYMPQRRRENGSTSEICEEGFQEMKESAIRRETEGDFRLLGRREGNNNRYAGSRFFGVEENERVVSMGRRVSFSMEDNKHGERQCQNSDAGEPSAQTLGDDDSDGDDDEDAQEWSRREPEIVCRHLDHVNMLGLNKTTLRQRYLINWLVTSLLQLRLPDSDGGVGSHLVHIYGPKIKYERGAAVAFNVKSSSGAFLKPDVVLKLAEKNGISLGIGFLSHIKVLESQKHLHGAVDLGDASLCKPVSNGRHDSKNTMIRVEVVTASLGFLTNFEDVYRMWAFVAKFLDPTFAEDDRPSIVVEASET >DRNTG_14370.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:386226:390361:1 gene:DRNTG_14370 transcript:DRNTG_14370.9 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPISHCAAMILDKKHRKSGLSEDGKKKPSVLRQLQEHKLREALEEASEDGSLFKSQEADPDSDPGANADGGSIGRSRSLARLHAQREFLRATALAADRTFSSAESIPELAESFSKFLTMYPRYQSSEQIDALRSNEYAHLSESGAKVCLDYCGFGLFSYLQSFHCWESSAFSLSEITANLSNHALYGGPERGTGEHDIKHRIMDYLNIPENEYGLVFTVSRGSAFKLLADSYPFHTNKKLLTMFDHESQSVNWMAQSAKEKGAKIQSAWFKWPTLRICSTELRKQISSNKKRRKKDSAVGLFVFPVQSRVSGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGSDPTGFGCLLIKKSVMASLQNQNGGTGSGMVRIVPVFPQYLSDSVDGLDGLIGIEDEEIIETEDSLMPDTHRGSQLPAFSGAFTSAQVRDVFDTEMDQDNSSDRDGASTIFEETESISVGEVMKSPVFSEDESSDNSFWIDLGQSPIGSDNSGQLKRGKLGSPIPPSWFSGKKNQKKLSPKVSSKIATSPIYDDHTISFDAALKSVSQDLERVKGIPEEETAECSGDVDLHQNREIEEEPEFQVTNACNVKFSAANGTRTGSSVYMPQRRRENGSTSEICEEGFQEMKESAIRRETEGDFRLLGRREGNNNRYAGSRFFGVEENERVVSMGRRVSFSMEDNKHGERQCQNSDAGEPSAQTLGDDDSDGDDDEDAQEWSRREPEIVCRHLDHVNMLGLNKTTLRQRYLINWLVTSLLQLRLPDSDGGVGSHLVHIYGPKIKYERGAAVAFNVKSSSGAFLKPDVVLKLAEKNGISLGIGFLSHIKVLESQKHLHGAVDLGDASLCKPVSNGRHDSKNTMIRVEVVTASLGFLTNFEDVYRMWAFVAKFLDPTFAEDDRPSIVVEASET >DRNTG_14370.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:386226:390361:1 gene:DRNTG_14370 transcript:DRNTG_14370.10 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPISHCAAMILDKKHRKSGLSEDGKKKPSVLRQLQEHKLREALEEASEDGSLFKSQEADPDSDPGANADGGSIGRSRSLARLHAQREFLRATALAADRTFSSAESIPELAESFSKFLTMYPRYQSSEQIDALRSNEYAHLSESGAKVCLDYCGFGLFSYLQSFHCWESSAFSLSEITANLSNHALYGGPERGTGEHDIKHRIMDYLNIPENEYGLVFTVSRGSAFKLLADSYPFHTNKKLLTMFDHESQSVNWMAQSAKEKGAKIQSAWFKWPTLRICSTELRKQISSNKKRRKKDSAVGLFVFPVQSRVSGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGSDPTGFGCLLIKKSVMASLQNQNGGTGSGMVRIVPVFPQYLSDSVDGLDGLIGIEDEEIIETEDSLMPDTHRGSQLPAFSGAFTSAQVRDVFDTEMDQDNSSDRDGASTIFEETESISVGEVMKSPVFSEDESSDNSFWIDLGQSPIGSDNSGQLKRGKLGSPIPPSWFSGKKNQKKLSPKVSSKIATSPIYDDHTISFDAALKSVSQDLERVKGIPEEETAECSGDVDLHQNREIEEEPEFQVTNACNVKFSAANGTRTGSSVYMPQRRRENGSTSEICEEGFQEMKESAIRRETEGDFRLLGRREGNNNRYAGSRFFGVEENERVVSMGRRVSFSMEDNKHGERQCQNSDAGEPSAQTLGDDDSDGDDDEDAQEWSRREPEIVCRHLDHVNMLGLNKTTLRQRYLINWLVTSLLQLRLPDSDGGVGSHLVHIYGPKIKYERGAAVAFNVKSSSGAFLKPDVVLKLAEKNGISLGIGFLSHIKVLESQKHLHGAVDLGDASLCKPVSNGRHDSKNTMIRVEVVTASLGFLTNFEDVYRMWAFVAKFLDPTFAEDDRPSIVVEASET >DRNTG_14370.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:386226:390409:1 gene:DRNTG_14370 transcript:DRNTG_14370.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPISHCAAMILDKKHRKSGLSEDGKKKPSVLRQLQEHKLREALEEASEDGSLFKSQEADPDSDPGANADGGSIGRSRSLARLHAQREFLRATALAADRTFSSAESIPELAESFSKFLTMYPRYQSSEQIDALRSNEYAHLSESGAKVCLDYCGFGLFSYLQSFHCWESSAFSLSEITANLSNHALYGGPERGTGEHDIKHRIMDYLNIPENEYGLVFTVSRGSAFKLLADSYPFHTNKKLLTMFDHESQSVNWMAQSAKEKGAKIQSAWFKWPTLRICSTELRKQISSNKKRRKKDSAVGLFVFPVQSRVSGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGSDPTGFGCLLIKKSVMASLQNQNGGTGSGMVRIVPVFPQYLSDSVDGLDGLIGIEDEEIIETEDSLMPDTHRGSQLPAFSGAFTSAQVRDVFDTEMDQDNSSDRDGASTIFEETESISVGEVMKSPVFSEDESSDNSFWIDLGQSPIGSDNSGQLKRGKLGSPIPPSWFSGKKNQKKLSPKVSSKIATSPIYDDHTISFDAALKSVSQDLERVKGIPEEETAECSGDVDLHQNREIEEEPEFQVTNACNVKFSAANGTRTGSSVYMPQRRRENGSTSEICEEGFQEMKESAIRRETEGDFRLLGRREGNNNRYAGSRFFGVEENERVVSMGRRVSFSMEDNKHGERQCQNSDAGEPSAQTLGDDDSDGDDDEDAQEWSRREPEIVCRHLDHVNMLGLNKTTLRQRYLINWLVTSLLQLRLPDSDGGVGSHLVHIYGPKIKYERGAAVAFNVKSSSGAFLKPDVVLKLAEKNGISLGIGFLSHIKVLESQKHLHGAVDLGDASLCKPVSNGRHDSKNTMIRVEVVTASLGFLTNFEDVYRMWAFVAKFLDPTFAEDDRPSIVVEASET >DRNTG_12049.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000528.1:14313:16085:-1 gene:DRNTG_12049 transcript:DRNTG_12049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKNSSNGVHPIVIRYSDCCSTCIEVTARNTVESMLTLRYNCMTRGSMYSPLASPDQEVELNFRRKVRQINLSETGSVEISVAVGHEDEMAGNLDGVSSSIVHPPVVANNFGAKTHTSTLEISRKSVTLIRRVMFLRK >DRNTG_01796.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000095.1:30440:32097:1 gene:DRNTG_01796 transcript:DRNTG_01796.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVPAPLGLETMRLMGMVCRVRTGVFALVLPAPEITEDEGDEAGVSSPAPEPKPASMKTEAPPAAKEPPPVRMFSPSRANDRFERLENAIGVVRAKILERDVASSFVLQPRTLQAPSIPPAPPSSTPAPKDPLYASTSAAATVAEPEGYLRGCYWDSFRRHRSGEVYPMPDKRSLAMSGRLSTRPSTRPSRGFLYGFVAFTFNFFDCT >DRNTG_13901.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1887906:1891553:1 gene:DRNTG_13901 transcript:DRNTG_13901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEQESSKLPGALSATASRNLSSSSSAFVSASQSPFFSPRSPQFNVSEIIRSDDANSSTCNVTSGDPLSSSALIRRLESSSNAKFLASDSPCNPICDADVCSGQGTSNADTRRREKQKKILRSPGKCSLAPSSTSVSSTSRIRSCDVYIGFHGRKPSLLRFVKWLRAELEMQGICCFASDTARCRNSRSHDAVERMMNSASFGVVILTKKSFGNPYSIEELRNFLGRKTLVPIFFDLSFVDCLARDIIERRGELWERHGGELWMLYGGVEKEWRDAVEGLSRVIDLQLEANDGNLRECIFKTVTLLATRMGRRNMVDQVNRWREQSEKEEFPFPRNEEFVGRIKELSELELILFGDVSGDAEREYFELKTKHKRKSLKIGKAEHRREEESTKDQQSESSNKGKDPIIWMKSDKEIEMQRVVGGTPQRSFRTFRTKSGGKYRRKRSVKILYGKGIACVSGDSGIGKTELVLEYAYRFSQRYKMVLWVGGETRYIRQSYLNLRTFLEVDLSTENHSLEKGKGKCFEEQEEDAIAKVRKELMRDIPFLVVIDNLENEKDWWDQKVVMDLLPRFGGETHFIITTRLPKIMNLEPMKLSYLSGMEAMTLMKGSVKDYPIMEIDALRVIEEKLGRLTLGLGIVGAILSELPITPSRLLDTINKMPVRDLVWSDRQSVILRRPPFLMQLIDVCLSIFDHADGPRSLASRMVQVSGWFAPAAIPIPLLAMAAHKVPGKHHGARVWKKFLRAITCSFTSSRIKRSEFEASSMLTRFGIAKTCTKPDSVQFHEIIKLYARKRGSIRVAQAVVQAICLRSSLSLCFEHQWAACFMLFGFSTDPAIVQLKPSELLFFVKRVALPLAIHTFITFSRCAAALELLRLSTDALENAAEAMVTQAVKWLKRSYCFTGPIHSDVRYTYLWQELALLKATLLETRAKLMLRGGQYSIGEDLIQNAIFIRSSIHGDHHPDTISARETLSKLTRLLTNFQVT >DRNTG_16659.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20260101:20263514:1 gene:DRNTG_16659 transcript:DRNTG_16659.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFAGLKAVGESVAKPFRYFDNNLVGSINLYKTMEKYGCKKLVFSSSATVYGQPEKIPCVEDFELKAMNPYGRTKLFLEEIARDIQNADPEWSIILLRYFNPVGAHESGRIGEDPKGIPNNLMPYIHQVAVGRLPELNVYGHDYPTKDGSAVRDYIHVVDLADGHIAALRKLFATENIGCIAYNLGTGCGTSVLEMVAAFEKASGKKIPIKLCPRRSGDATAVYASTEKAQKELGWRAKYGVAEMCRDQWNWASKNPYGYQRKP >DRNTG_16659.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20260336:20263514:1 gene:DRNTG_16659 transcript:DRNTG_16659.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHFAGLKAVGESVAKPFRYFDNNLVGSINLYKTMEKYGCKKLVFSSSATVYGQPEKIPCVEDFELKAMNPYGRTKLFLEEIARDIQNADPEWSIILLRYFNPVGAHESGRIGEDPKGIPNNLMPYIHQVAVGRLPELNVYGHDYPTKDGSAVRDYIHVVDLADGHIAALRKLFATENIGCIAYNLGTGCGTSVLEMVAAFEKASGKKIPIKLCPRRSGDATAVYASTEKAQKELGWRAKYGVAEMCRDQWNWASKNPYGYQRKP >DRNTG_16659.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20259360:20263514:1 gene:DRNTG_16659 transcript:DRNTG_16659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFAGLKAVGESVAKPFRYFDNNLVGSINLYKTMEKYGCKKLVFSSSATVYGQPEKIPCVEDFELKAMNPYGRTKLFLEEIARDIQNADPEWSIILLRYFNPVGAHESGRIGEDPKGIPNNLMPYIHQVAVGRLPELNVYGHDYPTKDGSAVRDYIHVVDLADGHIAALRKLFATENIGCIAYNLGTGCGTSVLEMVAAFEKASGKKIPIKLCPRRSGDATAVYASTEKAQKELGWRAKYGVAEMCRDQWNWASKNPYGYQRKP >DRNTG_16659.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20260722:20263514:1 gene:DRNTG_16659 transcript:DRNTG_16659.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHFAGLKAVGESVAKPFRYFDNNLVGSINLYKTMEKYGCKKLVFSSSATVYGQPEKIPCVEDFELKAMNPYGRTKLFLEEIARDIQNADPEWSIILLRYFNPVGAHESGRIGEDPKGIPNNLMPYIHQVAVGRLPELNVYGHDYPTKDGSAVRDYIHVVDLADGHIAALRKLFATENIGCIAYNLGTGCGTSVLEMVAAFEKASGKKIPIKLCPRRSGDATAVYASTEKAQKELGWRAKYGVAEMCRDQWNWASKNPYGYQRKP >DRNTG_24571.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001310.1:28587:30248:-1 gene:DRNTG_24571 transcript:DRNTG_24571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVAFGGDGGAGDAGGLDGALGLMTKGEVGPRLRICWRRKLFPGDSHGHVEIPHDYVDARFQPYLSHDFSPILESSFPIFCLSFLHLWRRSRL >DRNTG_34324.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30280687:30283134:-1 gene:DRNTG_34324 transcript:DRNTG_34324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKCSTLGLVCSAPASSLACFAPAS >DRNTG_22386.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16925287:16925700:1 gene:DRNTG_22386 transcript:DRNTG_22386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHDHHELTCEPTSTTLLQCSSIALLQERFRQLQRVKKMREEKELLRMLPEPDISSSNSSLSSLLDRSTPKWSFSHPDLIHLQPRSSFKFQPSDRSEFKNYDTQLSIGLRGSDHKTSGFVQHSGSREMDVDTSLHL >DRNTG_24431.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8471532:8473193:-1 gene:DRNTG_24431 transcript:DRNTG_24431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMISKTMPTHGRSTSCQGVSFDSPHPLLDSNRGEYNGRWVWIPWNPSNSIKAFPSPKVLSRTVSRPSSHYCDLNFDPKDIDDEDVYELEPQHGDHEEKKITLRAALDVEKQESIRKGQQASNKSRLSIILLDQGLFTVYKRLFATCFTSNIIALVLAISGHFPFGKHRPTLISMANILTLILCRSEAFLRIVFWSVVKVLGHPCVPLFIKTTTTSFLQSLGGIHSSCGVSSVAWLIYSLVLTLKAPENTSTEIVFVATTIAALLILSCLSAFPLIRHLHHNVFERTHRFAGWIALALLWAFVVLTPCNADVCMVWVTKGVEENFGKEIMEMISGYPKEKIIIHDTMISGRPNIAKISIEAAKNWKAEVVVVTSNPQGSKDVVSACKTAGFAAFGPIWDS >DRNTG_28535.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6337640:6341127:-1 gene:DRNTG_28535 transcript:DRNTG_28535.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBBY-like protein [Source:Projected from Arabidopsis thaliana (AT3G48420) UniProtKB/Swiss-Prot;Acc:Q94K71] MVVCLASSSSAAPSTLPAALLFDCDGVLVDTEKDGHRVSFNDTFAEKELGVTWDVDLYGELLKIGGGKERMTAYFNKTSWPDNAPKTEGERKDFIASLHKRKTELFMALIEKKQLPLRPGVARLIDEALAKGVKVAVCSTSNEKAVSAVVSFLLGPERANKIDIFAGDVVPRKKPDPAIYLLAASTLGVEPSSCVVVEDSAIGLTAAKAAGMKCIVTKSR >DRNTG_28535.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6337069:6341127:-1 gene:DRNTG_28535 transcript:DRNTG_28535.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBBY-like protein [Source:Projected from Arabidopsis thaliana (AT3G48420) UniProtKB/Swiss-Prot;Acc:Q94K71] MVVCLASSSSAAPSTLPAALLFDCDGVLVDTEKDGHRVSFNDTFAEKELGVTWDVDLYGELLKIGGGKERMTAYFNKTSWPDNAPKTEGERKDFIASLHKRKTELFMALIEKKQLPLRPGVARLIDEALAKGVKVAVCSTSNEKAVSAVVSFLLGPERANKIDIFAGDVVPRKKPDPAIYLLAASTLGVEPSSCVVVEDSAIGLTAAKAAGMKCIVTKSSYTAEEDFLTADAVFDCIGDPPEEHFDLTFCANLLQKQYVS >DRNTG_21156.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2273608:2278841:1 gene:DRNTG_21156 transcript:DRNTG_21156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGETKESRVRSSELGWWEVVAMEVGRMTKLDQVVVTSPSSQPSLFVVQQGSFREFQRSRSESSASALMNRNGAERCCLSTTRALVTILSVMGSMKAPNDAGDWANSASGMAVNDECKLKFLELKAKRNFRFIVFKIDEKIQQVKVDMLGQPDQSYEDFTAALPPNECRYAVFDFDFVTDENCQKSKIFFIAWSPDTSKVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSFDIIKSRAI >DRNTG_20806.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22082094:22086308:-1 gene:DRNTG_20806 transcript:DRNTG_20806.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEECGVMGSLGKQRMRWSPEMRECFERAVNHLGGPDRATPKGILKAMSVPGLTIAHVKSYLQKYRLSKFVPESSDAGKLASRKFSEILPNFSSTSFAVEFRSQKLYNCIWEDN >DRNTG_20806.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22082094:22086308:-1 gene:DRNTG_20806 transcript:DRNTG_20806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECGVMGSLGKQRMRWSPEMRECFERAVNHLGGPDRATPKGILKAMSVPGLTIAHVKSYLQKYRLSKFVPESSDAGKLASRKFSEILPNFSSTSRVQITEALQLHMGGQLGHANQVQVQKHSKLRIDLQGRYLEKITDEHCDFSSNCKPNKFCYPRKLNPFQKLVESKMNEQENYEAISSFSGIGSPEEEVQISNKRPRMTNYTHQNIIN >DRNTG_20806.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22082094:22086308:-1 gene:DRNTG_20806 transcript:DRNTG_20806.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEECGVMGSLGKQRMRWSPEMRECFERAVNHLGGPDRATPKGILKAMSVPGLTIAHVKSYLQKYRLSKFVPESSDAGKLASRKFSEILPNFSSTSFAVEFRSQKLYNCIWEDN >DRNTG_20043.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9631691:9694096:-1 gene:DRNTG_20043 transcript:DRNTG_20043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSWALRLSHGHDQGASALGHVSFTTRQNITPKDLAPSNATLGILARVL >DRNTG_24240.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19878894:19883479:-1 gene:DRNTG_24240 transcript:DRNTG_24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-alpha-glucanotransferase DPE1, chloroplastic/amyloplastic [Source:Projected from Arabidopsis thaliana (AT5G64860) UniProtKB/Swiss-Prot;Acc:Q9LV91] MATLRSFCSFKPSVPWISRRDGCRRALDLRSTPDRRRPSGLVLSAVVSANVVGDLVVRTGEYLPEDYGTHFPAREEGKRRAGVLLHPSSLPGPYGIGDLGEEAIRFLDWLHSAGCSVWQVLPLVPPGRRANEDGSPYAGQDANCGNTLLISLEELVKDGLLMKDELPEPMEMERVQFKAISEIKDPLIAKAAERLILSQGKLKEEFERFCKDPGISGWLEDAAFFAAIDRLVDTFSWHEWPESLKNRHLRALVEIHQSEKHFMDIFTAEQFLFQRQWQRVRAHAKGLGIKIMGDMPIYVGYHSADVWANKSSFLLDRSGFPVLVSGVPPDAFSETGQLWGSPLYDWRAMEHNGFAWWIQRIKRAIDLYDEFRIDHFRGFAGFWAIPSEAKIATFGRWKAGPRKAFFDAVVKALGKIDIIAEDLGVITEDVVQLRKDIGAPGMAVLQFAFGSDPDNPHLPHNHEPNQVVYTGTHDNDTIIGWWQNISDKERCSVQKYLWMANETNISWAMIHAAIASVARITVIPMQDILGLGSDSRMNTPATQLGNWRWRIPSAMSFDRLEPEAQKLKDLLFTYNRI >DRNTG_22336.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:578578:581427:1 gene:DRNTG_22336 transcript:DRNTG_22336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTARPISGESNLEREIEKQMGCMAGFLQLFDRPQIISAKRLYSPKRLTAAGSTSPSEQSDASVVSFAREGSPDQYPSSPVETPGRTVTPLPLPVFDFKEGLRSSWKLREAPRLSLDSRAVVDNKGKLRPRDIRTVPAAKAAEEDNDRQRRSPSVIVRLMGLETLPGAEPPKNAELRRSSSESRVPRDLPHWGVVHPDPARAVEELYCSRVADPVEYRKPEPNPRNPVPSLQRKSFFEARDYFPEPKNGGAGFLYGEIEKRLRMRGIDEPAKDLETLKQILEALQLKGLLHSRPSAAQPINGRYNVIYDPPASRSAGESLIIAGKATSRSSPGRRPGSSSPPVAPRSGSTRRNVIPEPLPPSRTRRERSPRSPDLRTPTPPARRRPMNTDTDKKIPQQRRISAAHSPRPSPKRVGHDPLAVPSPRNRRLSPELFHKERVYLPAEDDTSTESSSLSSSSHYDFERTRTDGRSLLERCDRLLHSIAAITSSAEQVTAVDPQPSPVSVLDSASFLGDECSPSPVLKRSLVFKEQLTEWEDDTEWNLAISTVRSELVDGLDIDGQDFDYVSEVIRTSERHHDADVFLMLEKRLPEIDDGDGDRFHRRLLFDTVAEIIDRKKHVSSSTEPIARTRSVGLLRYVWSELQRLKAEPQVDDLNVATCSVIRKDMVGDHLWGEAMGEISEEVLQIERLMFKDLIAETIGELADVVASSRPSADVLVAPRRKLVF >DRNTG_17188.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:424221:431385:1 gene:DRNTG_17188 transcript:DRNTG_17188.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLELARATAQWAHGFKNAVIFLFNTGEEEGLNGAHSFISQHPWSRTIRFMVDLEAMGIGGKSSIFQSGPSPWAMETFAKVAKYPSGQVVAEDLFHSGAIKSATDFQVYQEVAGLSGLDFAYTDSTAVYHTKNDKLKLLKPGSLQHLGENMLAFLLQSAMSPNLAKEVSHEPGATSEDRTIYFDVLGVYMVVYRQRLATMLHNSVILQALLIWTTSLLMGGSSGAISLGLACLSVALMWIFSLSLSALVAFILPHISSSPMPYISNPWLIVGLFGAPALFGAFSGQRVGFYFLQKYLKISFSNRVPKLPSRTEDNLIKWEAERWLFKSGFVQWLIILVLGHLYKARSTYLALIWLVSPAFAYGLMEATLTPSRSPKQLKVTTLVLGLTLPVVVSSANTIRLIGTIIGNMVRLDRDPGSTPEWLGNLIVATFVAVVVCLFLVYLLSYIHNSGAKRPFIFFSCALLGLSLVAVSTGVFPVYTEDISRAVNVVHVVETMGTSENQNISSYISLFSPTPGKLIEVVKNFNDEEFSCGRNKTLDLVTSTVRYGCWSSRDTEEGWSKSEIPMLNVESDYEAGIRKTQVFIDTKISHRWALAINTKHISDFSFEVNSQELIPEDSKSSVNGWHIIQFSGGKDSPTKFHLNLAWLNNSKIHKQDEDSVPLLKLRTDVNRITPKAAGILEKLPAWCSLFGKSTGPYTLAFVSSLPIDF >DRNTG_17188.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:422736:431385:1 gene:DRNTG_17188 transcript:DRNTG_17188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEMSSSTSAARPSGVDRNSNSNKLQERETLRSAFVWLALFVLLINGSWAVYRFQYESLPPPLDAKQAGKRGFSEVSAMEHVKYLTSLGPHPVGSDALDAGLQYVLAESNKIKQTAHWEVDVQVDLFHAKIGANRLSGGLFKGKTLIYSDLKHVLLRILPKYLPEAEDNAILISSHIDTVFSAEGAGDCTSCVSVMLELARATAQWAHGFKNAVIFLFNTGEEEGLNGAHSFISQHPWSRTIRFMVDLEAMGIGGKSSIFQSGPSPWAMETFAKVAKYPSGQVVAEDLFHSGAIKSATDFQVYQEVAGLSGLDFAYTDSTAVYHTKNDKLKLLKPGSLQHLGENMLAFLLQSAMSPNLAKEVSHEPGATSEDRTIYFDVLGVYMVVYRQRLATMLHNSVILQALLIWTTSLLMGGSSGAISLGLACLSVALMWIFSLSLSALVAFILPHISSSPMPYISNPWLIVGLFGAPALFGAFSGQRVGFYFLQKYLKISFSNRVPKLPSRTEDNLIKWEAERWLFKSGFVQWLIILVLGHLYKARSTYLALIWLVSPAFAYGLMEATLTPSRSPKQLKVTTLVLGLTLPVVVSSANTIRLIGTIIGNMVRLDRDPGSTPEWLGNLIVATFVAVVVCLFLVYLLSYIHNSGAKRPFIFFSCALLGLSLVAVSTGVFPVYTEDISRAVNVVHVVETMGTSENQNISSYISLFSPTPGKLIEVVKNFNDEEFSCGRNKTLDLVTSTVRYGCWSSRDTEEGWSKSEIPMLNVESDYEAGIRKTQVFIDTKISHRWALAINTKHISDFSFEVNSQELIPEDSKSSVNGWHIIQFSGGKDSPTKFHLNLAWLNNSKIHKQDEDSVPLLKLRTDVNRITPKAAGILEKLPAWCSLFGKSTGPYTLAFVSSLPIDF >DRNTG_17188.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:424221:431385:1 gene:DRNTG_17188 transcript:DRNTG_17188.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLELARATAQWAHGFKNAVIFLFNTGEEEGLNGAHSFISQHPWSRTIRFMVDLEAMGIGGKSSIFQSGPSPWAMETFAKVAKYPSGQVVAEVCVAFCDNIYFPTKCFRICSVCNCIYS >DRNTG_17188.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:422736:431385:1 gene:DRNTG_17188 transcript:DRNTG_17188.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEMSSSTSAARPSGVDRNSNSNKLQERETLRSAFVWLALFVLLINGSWAVYRFQYESLPPPLDAKQAGKRGFSEVSAMEHVKYLTSLGPHPVGSDALDAGLQYVLAESNKIKQTAHWEVDVQVDLFHAKIGANRLSGGLFKGKTLIYSDLKHVLLRILPKYLPEAEDNAILISSHIDTVFSAEGAGDCTSCVSVMLELARATAQWAHGFKNAVIFLFNTGEEEGLNGAHSFISQHPWSRTIRFMVDLEAMGIGGKSSIFQSGPSPWAMETFAKVAKYPSGQVVAEVCVAFCDNIYFPTKCFRICSVCNCIYS >DRNTG_17188.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:422736:431385:1 gene:DRNTG_17188 transcript:DRNTG_17188.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYFCVQWKLSLFLSSSGALIIYLFMNEMSSSTSAARPSGVDRNSNSNKLQERETLRSAFVWLALFVLLINGSWAVYRFQYESLPPPLDAKQAGKRGFSEVSAMEHVKYLTSLGPHPVGSDALDAGLQYVLAESNKIKQTAHWEVDVQVDLFHAKIGANRLSGGLFKGKTLIYSDLKHVLLRILPKYLPEAEDNAILISSHIDTVFSAEGAGDCTSCVSVMLELARATAQWAHGFKNAVIFLFNTGEEEGLNGAHSFISQHPWSRTIRFMVDLEAMGIGGKSSIFQSGPSPWAMETFAKVAKYPSGQVVAEDLFHSGAIKSATDFQVYQEVAGLSGLDFAYTDSTAVYHTKNDKLKLLKPGSLQHLGENMLAFLLQSAMSPNLAKEVSHEPGATSEDRTIYFDVLGVYMVVYRQRLATMLHNSVILQALLIWTTSLLMGGSSGAISLGLACLSVALMWIFSLSLSALVAFILPHISSSPMPYISNPWLIVGLFGAPALFGAFSGQRVGFYFLQKYLKISFSNRVPKLPSRTEDNLIKWEAERWLFKSGFVQWLIILVLGHLYKARSTYLALIWLVSPAFAYGLMEATLTPSRSPKQLKVTTLVLGLTLPVVVSSANTIRLIGTIIGNMVRLDRDPGSTPEWLGNLIVATFVAVVVCLFLVYLLSYIHNSGAKRPFIFFSCALLGLSLVAVSTGVFPVYTEDISRAVNVVHVVETMGTSENQNISSYISLFSPTPGKLIEVVKNFNDEEFSCGRNKTLDLVTSTVRYGCWSSRDTEEGWSKSEIPMLNVESDYEAGIRKTQVFIDTKISHRWALAINTKHISDFSFEVNSQELIPEDSKSSVNGWHIIQFSGGKDSPTKFHLNLAWLNNSKIHKQDEDSVPLLKLRTDVNRITPKAAGILEKLPAWCSLFGKSTGPYTLAFVSSLPIDF >DRNTG_22047.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28415854:28416254:1 gene:DRNTG_22047 transcript:DRNTG_22047.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIAPSCAHKEAAGMYGKSFPFFNDLAVVFTKDRAYGNARANIEDGARQYENEDNIILEEDAGFSQVHVEEFLCLLKKMMKLLCQHPWNRTVAA >DRNTG_33301.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23749930:23751172:1 gene:DRNTG_33301 transcript:DRNTG_33301.1 gene_biotype:protein_coding transcript_biotype:protein_coding FIDDKPIRKRTNEVLNKALGPDQGGILPSTSNYQLDNRRNKSSS >DRNTG_24536.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001306.1:15527:18124:-1 gene:DRNTG_24536 transcript:DRNTG_24536.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILSRARQPSLLRSLLPEILSRKCPMTPGALGFLIRCVGSQGLVDEAEFLFDHAEELSCVPNLYTYNCLIEVLAKVGRVRMVELRFREMKNPDKYSFTAMLRAYGNAGMLEDVWKVFKELHGRGWVDEHVFTIVVVAFCRWGKVDKVFELVEAMEGLGMRLNEKTFRVLVHGFAKQGSVEKAVEMFKKMKPAGFDGDLRLYCVLIEGFCVGKAVENGLALYREMKNSGISPDNRLMKMMISSLCGAGDMVNANSLLEEEGDKASLASLVSLYNAILDGMIRFGDVERAHLLLRSMMANQNSLVAQKDGDECESREFEGVVSESLFRIKKSVLPNDESFSIVICGLCEGDKLDKALVLFDDMISNARKGNLLMHNNLINKLCSVGRLEESYNMLNKMRELGFVPTEFTNNSIFYCLCKRGDSSTAIDLLKEMRCQGHVPWIKYCTLIVQQLCKQGKVDEACSFLEEMVQIGFLPDMIAYSAAIDGMCRIGEMDKALKVFRDVSSSCYLPDVVAHNIVINGLI >DRNTG_32866.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001923.1:30176:32042:-1 gene:DRNTG_32866 transcript:DRNTG_32866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYVPYDKSHKHVESATRALDFMLAWYLDPLLYGDYPFNMKAIVKHRLPTFSKEEADMIKGSYDFIGINYYTARYIIEVPFSHDNPPYLHINESYATMLEKKDGVPIGKWNGSWIYVYPKGLKELLLYIKEQYENPAIYITENGISDVDNSGIPKEEALADEIRKNYLEVHLAEILEAIREGANVKGYFAWSLMDNYEWDKGYTERYGLNYVDYNTLERTPKDSAKWFSRFLQPKLQN >DRNTG_03553.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21598449:21598946:1 gene:DRNTG_03553 transcript:DRNTG_03553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISNSKLAICLACIMALAMAGHTVAQSSPDDYVNAHNSARSEVGVGPVTWNDTVAAYAQNYANQRANDCQLIHSNGPYGENLFWGSGADYSGVDAVNAWVSEKQDYDYNSNTCAEGKVCGHYTQVVWSNSINIGCALVVCNNNAGIFIICSYFPAGNIDGERPY >DRNTG_29350.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1612969:1613250:1 gene:DRNTG_29350 transcript:DRNTG_29350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAMKAGEENGDFGCITEAKGFKDTSKNPVDKGFH >DRNTG_29350.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1611547:1613250:1 gene:DRNTG_29350 transcript:DRNTG_29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAMKAGEENGDFGCITEAKGFKDTSKNPVDKGFH >DRNTG_04075.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2277553:2277996:1 gene:DRNTG_04075 transcript:DRNTG_04075.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLEFYPNGISEINKELFFKLFLEKAESHIYIEVILSIIDQINGQDKTSSYHRVVDSEGECLASSILLTPMDFHNPSKGYIVNDTCEIEIFMRILGNVYVP >DRNTG_31988.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:713797:716500:-1 gene:DRNTG_31988 transcript:DRNTG_31988.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRIGTGDNVEYNSSTFMTEMRETAFLMQNISPKSLIVMDELGRATSSSDGFAIAWSCCEHLLSLKA >DRNTG_22379.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6156143:6156569:-1 gene:DRNTG_22379 transcript:DRNTG_22379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDYGPEPLRPNTPPRPTADVGPKILAHPRHSPASTWDPALIPCYIWT >DRNTG_25920.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19948670:19949871:-1 gene:DRNTG_25920 transcript:DRNTG_25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEEEKLVQMVHEFIESEQEPEPEPSSLPISSINHSSTFILQGILGSYTKEEMEVMEKAKEFVREMKDEKMIQRSDIMKRRLMMSLRKHGFDASLCSKIRRRKSCKLEGFLVSSLN >DRNTG_01101.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21474625:21476188:1 gene:DRNTG_01101 transcript:DRNTG_01101.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSAFSIFATCLALGFLVTMPIGGESIGVCYGRLGNDLPQPSDVVALYKSKNIGAMRIYDPYDAALQALKGSNIELILDVPSNDDNNELQRLASDSSAANDWVKNNIVSYWPDVKFKYIAVGNEVIPEKENLMQYVQPAMQNIYNALSSYGLQDQIKVSTSVSFRVVDSTSPPSHGVIASAAQPSMVPIIQFLSKIGAPLLVNVYPYFSYTENPDKISIDFALFTSPNFVVNDGSLNYQNLFDAMVDSLYYALEKSGGSNVGIVISESGWPSAGGDAASIENAQTYNQNLIKHVGQGTPKRPGAIETYIFAMFNENNKGPEEREKHFGLFYPDQSPVYPINF >DRNTG_23259.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:273940:274354:-1 gene:DRNTG_23259 transcript:DRNTG_23259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIHWITLPQADHIRVLLSQWKIPLAATWTTPTHNFLAIYLLKFIPIDLYRQIIKHLGSKPMAESKRGSSGRGFTRRCASLVREQRARIYILRRCATMLLCWYIQGDD >DRNTG_15370.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26855460:26858525:-1 gene:DRNTG_15370 transcript:DRNTG_15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLAAKWCPSLDSCFDRTTLLCESIARRLFPKESNPDYAEIEERHYAYRVRDRLRREVLVPLRKVLELPEIFMSSRQWDALPYNRVASIAMKNYKDTFKKHDKDRFSNFLEDVKKGKAKIAAGALLPHEILAAVCKPKDEDGDDDDDDVAELQWARMVQDVLKLGKLNNCIAVCDVSGSMSGTPMEVCVAMGIPYLRAQ >DRNTG_33419.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1615083:1615471:1 gene:DRNTG_33419 transcript:DRNTG_33419.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGMAMSSAGEGFIGRRIGADLGGARSSGEGLDGNPWRKDEGDEEARHACE >DRNTG_33419.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1615083:1615601:1 gene:DRNTG_33419 transcript:DRNTG_33419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGMAMSSAGEGFIGRRIGADLGGARSSGEGLDGNPWRKDEGDEEARHA >DRNTG_31104.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001664.1:13087:13627:-1 gene:DRNTG_31104 transcript:DRNTG_31104.4 gene_biotype:protein_coding transcript_biotype:protein_coding PSTSLLPSPSPSPSPSPLLDGTNNGGSNRNNNNNNNNGWLWSWWNPDDWWNPEEEEEEEEEESSLFPSSATLLTFLCLLYISIIPAPALARAIWEVKGGKWTRLVPDPSGSDFLVVENDGSHSSSYLALQAWWRSCCEVVSRLLLPEGYPRSVSDDYLEYSLWRGVQGVASQVSGVLSTQ >DRNTG_31104.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001664.1:12052:13627:-1 gene:DRNTG_31104 transcript:DRNTG_31104.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKFGRHFDVNPKGWRLLADLLENVAYGLEILTPAFPHLFVFIGAAAGAGRSASSLIQAATRSCFYAGFAVQRNFAEVRNELH >DRNTG_31104.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001664.1:11389:13627:-1 gene:DRNTG_31104 transcript:DRNTG_31104.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKFGRHFDVNPKGWRLLADLLENVAYGLEILTPAFPHLFVFIGAAAGAGRSASSLIQAATRSCFYAGFAVQRNFAEVIAKGEAQGMISKFLGIMLGIALANYIGFLCTISYGFLCSSLWNSHVLQF >DRNTG_31104.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001664.1:12452:13627:-1 gene:DRNTG_31104 transcript:DRNTG_31104.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKFGRHFDVNPKGWRLLADLLENVAYGLEILTPAFPHLFVFIGAAAGAGRSASSLIQVWALEPLHIYNIVNVHLAILDVRIQFNYFFPCPVNLYDSDDLVTRFHTHSSQSSLMIFLHY >DRNTG_26754.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8017368:8020952:1 gene:DRNTG_26754 transcript:DRNTG_26754.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASTSLHALRSPSSRANSELFHGAGFPLRSFIHGSKPLFAASSVRFASQLRKKSIRRCISASASTNDFEHIPRKFREENLKDGLMDNYKNAPSCLYGLSASQMDMFMTEDNPFRRQADQVTEESISSTRNYVDNGGMYSLSGTTNASSKYSMSVSMYRGGARGYGRPRTAPPDLPSLLLDARICYLGMPIVPAVTELLVAQLMWLDYDNPSKPIYLYINSSGTQNEKMETVGSETEAYAIADTLAYCKSKIYTVNCGMAYGQAAMLLSLGAKGYRALQPNASTKLYLPKVNRSSGSVIDMWIKAKELDANTDYYIELLAKGIGKSKEEIAKDIQRPKYFQSQEAIAYGIADKIIDSRDVAYEK >DRNTG_26754.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8017368:8021443:1 gene:DRNTG_26754 transcript:DRNTG_26754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASTSLHALRSPSSRANSELFHGAGFPLRSFIHGSKPLFAASSVRFASQLRKKSIRRCISASASTNDFEHIPRKFREENLKDGLMDNYKNAPSCLYGLSASQMDMFMTEDNPFRRQADQVTEESISSTRNYVDNGGMYSLSGTTNASSKYSMSVSMYRGGARGYGRPRTAPPDLPSLLLDARICYLGMPIVPAVTELLVAQLMWLDYDNPSKPIYLYINSSGTQNEKMETVGSETEAYAIADTLAYCKSKIYTVNCGMAYGQAAMLLSLGAKGYRALQPNASTKLYLPKVNRSSGSVIDMWIKDYDGMLAQSKNMRRQAGGGTQAAPSGFR >DRNTG_31636.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:842426:843695:1 gene:DRNTG_31636 transcript:DRNTG_31636.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPKDMEAPAGGVDDMTKLSYLHEPGVLQNLALRYAINEIYTYTGNILIAINPFQRLPHLYDAHMMQQYKGAPFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRAGTEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKQGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPPEVKKPSF >DRNTG_10664.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000448.1:38569:42817:-1 gene:DRNTG_10664 transcript:DRNTG_10664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGMTAWVIERERERARAKEGETGGENVGPLTVKIWKQHQTSDLQQLQQHQLGLQSLQDKFSFPHTWDSEPRSLLHSKLTQSESPQKSQERSH >DRNTG_27710.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15513948:15516362:1 gene:DRNTG_27710 transcript:DRNTG_27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEVSLVRVKFITPDRYKTVCPIENDVDFQRMCHVHSIFKCAVVDLVVETDNVALPNPNENEFYSAPVQPHGDPDAVGCLPSSSDHSEVLSLDIGQRFDGVEHFMDNEKHWVLVEYAADGCRWRLYASKEYNKNTFRVKTINPSHTCGGGIVLVSHPKASKKWVSTRVIQKLKDRPLYKATDIPKDMLREHGVHIPYKQAWLGKEHARVVLDGSDISSYDCLL >DRNTG_22903.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1361566:1362437:-1 gene:DRNTG_22903 transcript:DRNTG_22903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGNACKMKPKSCFMVMAVVMFFVVYKLTKFQQTQEEMVSISHPFDSMVEEDTTEAVKIGYLPQGIIESQSDLELKPLWLTKSSNLQKDDQPRKNLLAMAVGIKQKKNVDDIVN >DRNTG_22903.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1361566:1362207:-1 gene:DRNTG_22903 transcript:DRNTG_22903.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSISHPFDSMVEEDTTEAVKIGYLPQGIIESQSDLELKPLWLTKSSNLQKDDQPRKNLLAMAVGIKQKKNVDDIVN >DRNTG_15969.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23490614:23493863:1 gene:DRNTG_15969 transcript:DRNTG_15969.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:Projected from Arabidopsis thaliana (AT5G13120) UniProtKB/TrEMBL;Acc:A0A178UN74] MAASFASSLVSAGPRVSVPRAILRSSSSSAFPAFRKCPTSGISFLSGSLFSSSIASSSSSLSHTHRRAQFVSASLKEADLQSKVTSKVYFDISIGNPVGNLVGRIVIGLYGDDVPQTAENFRALCTGEKGFGYKGSAFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLVHTGPGVVSMANAGPNTNGSQFFICTVKTPWLDQRHVVFGQVLEGMDVVKLIESQETDRGDKPKKKVTISDCGELPIV >DRNTG_04551.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22560330:22560887:1 gene:DRNTG_04551 transcript:DRNTG_04551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKRKQNEEVSQHTTEALEVLEFSTQQSTTTTATKEKT >DRNTG_27365.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:2238300:2242791:-1 gene:DRNTG_27365 transcript:DRNTG_27365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVLKKTVFVLLRISGWKELIYEGSERLLLGFRSGFKAFDIKFFWRRTIGRDFFGIDLVRCAIELTWESLEKSRLLLKTIDKDDKAPRCPTQCEVSRVSLFDGHSVKD >DRNTG_18205.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1116946:1147697:1 gene:DRNTG_18205 transcript:DRNTG_18205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRWMLKKGATALVTGGTKGIGRAIVEELAKFGASVYTCSRNQAELAECLKQWEGNNFKVTGSVCDVCSRTEREKLMENVSTVFQGKLDIFINNVGTGVRKPTMEYTAEDYSLTMTTNFESALHLSQLAYPLLKASTSGSVVFISSTSTLHVYVKSALYTASKGALNQLAKHLACEWSKDNIRVNCVGPAVLKTPLIESLCLENEFMEHELSRTPHGRLGEPEEVASVVVFLCLPAASYVTGQIINVDGGRTQPC >DRNTG_18205.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1146196:1147697:1 gene:DRNTG_18205 transcript:DRNTG_18205.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDERWTLKKGATALVTGGTKGIGRAIVEELAKFGASVYTCSRNQAELAECLKQWEGNNFKVTGSVCDVCSRTEREKLMENVSTVFQGKLDIFINNVGTGVRKPTMEYTAEDYSLTMTTNFESALHLSQLAYPLLKASTSGSVVFISSTSTLHVYVKSALYTASKGALNQLAKHLACEWSKDNIRVNCVGPAVLKTPLIESLCLENEFMEHELSRTPHGRLGEPEEVASVVVFLCLPAASYVTGQIINVDGGRTQPC >DRNTG_18205.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1126865:1147697:1 gene:DRNTG_18205 transcript:DRNTG_18205.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRWTLKKGATALVTGGTKGIGRAIVEELAKFGASVYTCSRNQAELAECLKQWEGNNFKVTGSVCDVCSRTEREKLMENVSTVFQGKLDIFINNVGTGVRKPTMEYTAEDYSLTMTTNFESALHLSQLAYPLLKASTSGSVVFISSTSTLHVYVKSALYTASKGALNQLAKHLACEWSKDNIRVNCVGPAVLKTPLIESLCLENEFMEHELSRTPHGRLGEPEEVASVVVFLCLPAASYVTGQIINVDGGRTQPC >DRNTG_02162.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29181215:29187718:-1 gene:DRNTG_02162 transcript:DRNTG_02162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDGDYFFSSSTGIYQSKWHSSPLLPLSPSLSLPSYLFNLPIPSISSPSFIDSVSGFSLSFSDLRSLALSASLSLHSLGISNGDVVLLVSPNSIYFPVIVLAIMSLGAVFTTANFLLTRQELESQIRDSNVSLILTTSDLSHKLDNLISKPLVLIQDFISNLKPTPNNITILANTSIKTTDTAALMYSSGTTGKSKAVICSHGNLIAMSCILRDVWGGFGRNETYLCTIPMFHMFGFSVFVCGALAMMTPVVVMRRYVIEDMLTAMKRHRVTKLLAVPPMIIQMVRVAGKGRRWELSCLKEVVCSGAPLPREYMEMFVECYPGVTLSQCYGLTETSGPITLCDGVKGRFHVSIGRLIPTMEAKIRDVHTNKALPFNKYGELLVRGPPLMQGYLNNEEATSMAIDDEGWLHTGDLCYIDRRGLIYVVDRIKELIKYKAYQVAPAELEEILQTHPEVHDVAVIPYPDDEAGEIPMACVVRKPGSSFKEDELISFTEKKVAPYKKIRKVIFVDVIPRSPSGKILRRLIKAKVVQQQGTEISARL >DRNTG_19578.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2052888:2054309:-1 gene:DRNTG_19578 transcript:DRNTG_19578.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNINIEIKLNHACIDDEDLNIPVEIIQEEKNNDDNNNNIETLLD >DRNTG_19578.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2052888:2054309:-1 gene:DRNTG_19578 transcript:DRNTG_19578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNINIEIKLNHACIDDEDLNIPVEIIQEEKNNDDNNNNIETLLD >DRNTG_06325.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20070674:20072418:1 gene:DRNTG_06325 transcript:DRNTG_06325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKTLAWAADSWLFDILCAYKPTLINMHLPLPMIKWSIGSLAGNADSIALELCRQRSIRLNHIYSDSLTLTILLHAADSTRSSEIGQLVLQNTFLEA >DRNTG_13895.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1839478:1841001:-1 gene:DRNTG_13895 transcript:DRNTG_13895.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIPTLEDLVIIKSFCETQISVMPTERRFFKHLKSLRSLRINSCSQELVSLLEDEDETTAMKSSLEKLCIADCNQLSLTFVLRNLSSLRYLNVSYCNALRELPICPKSLQSLIIDNCPGIKCLSPEMGHLTSLFYLWLSECPKLVSLSDGMQGLTSLESLHIQDCPMLKSFPEGLQQLLPSIKSLTLQGCPELERLCKLGGDYYNLLSPISYKQIGIQPKQTTQVPSGISSSDKQALKWTTTNPFLLSAMFICAIACFIYVFSHKLHSQSEQDFCYIPPT >DRNTG_26254.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:7081077:7084439:-1 gene:DRNTG_26254 transcript:DRNTG_26254.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVMRHSQIPIRQSSFGKCDLHHSSVNSRNH >DRNTG_26254.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:7080343:7084439:-1 gene:DRNTG_26254 transcript:DRNTG_26254.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVMRHSQIPIRQSSFGKCDLHHSSVNSRNH >DRNTG_25926.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001339.1:4476:12514:1 gene:DRNTG_25926 transcript:DRNTG_25926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRVDPPVPWVEKIELATVQAGYRVKAGQVYPWVPDYPSGVGVGWRFENSLGSGSGSVHTHSMAELSKLSNSLSSFFASSSSRWRMKDLKQALGTIYLASTSATRIYINLDIPETKGIQEQQKPGEQQVQLLSSPNHVPNMQQRIQEAKYATIAQLLEFSPATINLVDPVVNRFKDEEARPQAKRELLQCIVDNKLAVESLRIYEPDA >DRNTG_24121.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:246106:248802:-1 gene:DRNTG_24121 transcript:DRNTG_24121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDSISHVENKSSSKRRHIPDEKAPLLPTKREEEEEAGFSEFNGASFAGAVFNLSTTIVGAGIMSLPATMKLLGLVPGIVMIIFVAFLTEASIEMLIRYSRAGKTSSYAGVMGDSFGRIGRMLLQICVVVNNIGVMIVYMIIIGDVLSGTSASSVHHFGVLEGWFGVHWWNGRAVVLLFTTLCVFAPLTCFKRVDSLKYTSALSVGLAVVFVIITAGIAIVKLLSGSIPMPKLFPTLTDLASVSSLFTVVPVMVTSYICHYNVHTIENELEDPTQIQPIVRTSLALCSTIYVATSLFGYLLFGDSTLDDVLANFDSDLGIPYSGFLNDTVRVSYALHIMLVFPIIFFALRLNLDGLLFPSSRPLSSDNCRFGSITAILLSLIFLAANFIPSIWDAFQFTGATATVFIGFIFPAALALRDPHGVATRRDKILSVFMITLAVLSNSIAISSDIFALVQKIKPSPS >DRNTG_02691.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19726263:19735062:1 gene:DRNTG_02691 transcript:DRNTG_02691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTEMRRIENAASRQVTFSKRRNGLLKKAYELSVLCDAEVALIVFSPRGKLYEFASSSTQHIIDRYKAHTKAESTNGTLEQNIQHWKSKASTMERKIEAIEASKRRLLGENLEPCSIPELNELELQLEAALRNIRSKKNQVLSDQIEELKQKERILMEENAVLREKCKVGPEMILNAQRDAAAQDKPRDNMEVITELFIGRPGT >DRNTG_02691.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19726263:19735062:1 gene:DRNTG_02691 transcript:DRNTG_02691.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTEMRRIENAASRQVTFSKRRNGLLKKAYELSVLCDAEVALIVFSPRGKLYEFASSSTQHIIDRYKAHTKAESTNGTLEQNIQHWKSKASTMERKIEAIEASKRRLLGENLEPCSIPELNELELQLEAALRNIRSKKNQVLSDQIEELKQKERILMEENAVLREKCKVGPEMILNAQRDAAAQDKPRDNMEVITELFIGRPGT >DRNTG_20417.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29233066:29236475:1 gene:DRNTG_20417 transcript:DRNTG_20417.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHRSDRGGDRGGGDGGGRHSRMPSRWSSAESPTSQQNRYPGHSRGGGAFSGGGEGFSGGAMRHHPYRHPDEYPSPGGGPGIRGGHAGGFRGPTPPFGQKRSGDYQEGGNFAKLFIGSVPRTATEQEIRPLFEEHGEVIEVALIKDKRTGQQQGTLKCLI >DRNTG_20417.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29233066:29246244:1 gene:DRNTG_20417 transcript:DRNTG_20417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHRSDRGGDRGGGDGGGRHSRMPSRWSSAESPTSQQNRYPGHSRGGGAFSGGGEGFSGGAMRHHPYRHPDEYPSPGGGPGIRGGHAGGFRGPTPPFGQKRSGDYQEGGNFAKLFIGSVPRTATEQEIRPLFEEHGEVIEVALIKDKRTGQQQGCCFIKYASSEEADRAIRALHNQYTLPGGSGPIQVRYADGERERLGAVEHKLFVGSLNKQATEKEIEEIFAPYGRVEDVYIMRDELKQSRGCGFVKFSGREMAIAAMSALNGTYVMRGCDQPLIVRFADPKKPRAGESRGGPAFGGPGFGPRSQAPPAVRPGPNFGEPVGGRMPPNAWQPMGPQSMGAPSQVNMDGFGNNKSGKGGIPMPSAGPDSSSGHSGPTNGSLSGVAGKPPPSQNDFNLPVGQNQPAGQQLSPAQKSFISPQHLPPSLQPLHNQQNMVSQSQGQVLQVPPQQLGQIPIPHSGGLPSSQGLPSQNLIGISGQPPVSHPPLQQNASATPLQPPLSLQQLGVSGAATQPVPAASSMSQLLQQPIQQLPSQISQALLQQQAQALQSSVLSSQQAISQLQQQLHLMQQSSINQQQSSQTGKQQPQWTGVTSATPQSASSSTTSSTAVVIPSAASTTPALPATPVVPLTCNWTEHTSPEGYKYYYNSTTRESKWEKPDELVLFEQQQQQQKLLLLQQQQQKLAVQQLQSPSQTQPHTQIPPNRQVSQVQQVAPQMQLNQPPQMQLLQPQLAYQASGVASQPNIQELNYAQLQTSTSVIDPGRVQQGLQAAQEWMWKNKPSGS >DRNTG_01937.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32474449:32476546:-1 gene:DRNTG_01937 transcript:DRNTG_01937.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTCRTVQEGVGCAKSHKRRTSEGTNPRFGPLFRHSSCTLRRSFGTPHNPWRSISRASTCCVSIMPCTTHSINLLLYTVHHLEAWKRRRRKPPRRRRSEPRRPNSDPFAV >DRNTG_01937.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32474449:32476546:-1 gene:DRNTG_01937 transcript:DRNTG_01937.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTCRTVQEGVGCAKSHKRRTSEGTNPRFGPLFRHSSCTLRRSFGTPHNPWRSISRASTCCVSIMPCTTHSINLLYTVHHLEAWKRRRRKPPRRRRSEPRRPNSDPFAV >DRNTG_24662.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6986236:6992726:1 gene:DRNTG_24662 transcript:DRNTG_24662.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQVCIHCGVSMGRYFCETCKLFDDDTAKKLNNTTAMAVESAGCCYSNILKTSHPCIEWALLHDCPYLFESTNDVSVLPCGHTIHVNCFEGNATTLTSVCDMSKVWEKLDIVIAATPMPESYHNLMVHILCNDCGVNSDVKFHIVAQKCLNCKSYKTPPDRKTCRHSFHNL >DRNTG_24662.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6986742:6992726:1 gene:DRNTG_24662 transcript:DRNTG_24662.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQVCIHCGVSMGRYFCETCKLFDDDTAKKLNNTTAMAVESAGCCYSNILKTSHPCIEWALLHDCPYLFESTNDVSVLPCGHTIHVNCFEGNATTLTSVCDMSKVWEKLDIVIAATPMPESYHNLMVHILCNDCGVNSDVKFHIVAQKCLNCKSYKTPPDRKTCRHSFHNL >DRNTG_21086.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4300813:4301378:-1 gene:DRNTG_21086 transcript:DRNTG_21086.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVLTFDRMLEAGVMPDDVIYTTLINGYSKMGKIVNARYLFDEMVDRDIQLSSHAYSALINGLTKTNMFRTAGKYLRMMLEDGFVPDTVLYTMLINQFFKKGDVRLGLDLIALMVRNQVQPDLITFGAVISGICRNVSRHEKMKLSLAVKLEEARCLLFKLLSRNTIA >DRNTG_24441.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10232689:10234118:1 gene:DRNTG_24441 transcript:DRNTG_24441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGKISTLIANHSSQNQEMDKYLGNNPRVFYANPCLCWQSYMAKEGMKNKSNQGFVSGNDLEHSRGSDLELPLVDLNTVAFATDNFSVANKLGEGGFGPVFKGKLGNGQEIAVKRLAKTSVQGMVEFKNEVLLITKLQQRYLVRLLSCCIEGEERILIYEYMPNKSLDNFLFGMCLHIYVGELLLFTN >DRNTG_11214.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2363987:2366755:1 gene:DRNTG_11214 transcript:DRNTG_11214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQAGGPFGGHLLPAIAAALALFLASSNVAIASTELPYIYASPPPPYLYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPDPSPPPPYYYKSPPPPEKSPPPPYYYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYIYSSPPPPIHY >DRNTG_11214.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2363987:2365397:1 gene:DRNTG_11214 transcript:DRNTG_11214.12 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQAGGPFGGHLLPAIAAALALFLASSNVAIASTELPYIYASPPPPYLYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPDPSPPPPYYYKSPPPPEKSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPDPSPPPPYYYKSPPPPEKSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYTSPPPPYHYSPIVKVVGSVYCYKCYNWKYPVESHIKKLFKGNIGQSSYKT >DRNTG_11214.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2363987:2365397:1 gene:DRNTG_11214 transcript:DRNTG_11214.10 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQAGGPFGGHLLPAIAAALALFLASSNVAIASTELPYIYASPPPPYLYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPDPSPPPPYYYKSPPPPEKSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYTSPPPPYHYSPIVKVVGSVYCYKCYNWKYPVESHIKKLFKGNIGQSSYKT >DRNTG_11214.21 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2365483:2366755:1 gene:DRNTG_11214 transcript:DRNTG_11214.21 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKLRVVSKTHGHDQIVLKAKSLAYAPEKPYAECEKPKPPVYHYTSPPPPVESHPPVYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYFYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYIYSSPPPPIHY >DRNTG_11214.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2363987:2365397:1 gene:DRNTG_11214 transcript:DRNTG_11214.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQAGGPFGGHLLPAIAAALALFLASSNVAIASTELPYIYASPPPPYLYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPDPSPPPPYYYKSPPPPEKSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYTSPPPPYHYSPIVKVVGSVYCYKCYNWKYPVESHIKKLFKGNIGQSSYKT >DRNTG_11214.24 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2365483:2366755:1 gene:DRNTG_11214 transcript:DRNTG_11214.24 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKLRVVSKTHGHDQIVLKAKSLAYAPEKPYAECEKPKPPVYHYTSPPPPVESHPPVYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYIYSSPPPPIHY >DRNTG_11214.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2363987:2365397:1 gene:DRNTG_11214 transcript:DRNTG_11214.13 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQAGGPFGGHLLPAIAAALALFLASSNVAIASTELPYIYASPPPPYLYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPDPSPPPPYYYKSPPPPEKSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYTSPPPPYHYSPIVKVVGSVYCYKCYNWKYPVESHIKKLFKGNIGQSSYKT >DRNTG_11214.20 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2365483:2366755:1 gene:DRNTG_11214 transcript:DRNTG_11214.20 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKLRVVSKTHGHDQIVLKAKSLAYAPEKPYAECEKPKPPVYHYTSPPPPVESHPPVYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYFYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYIYSSPPPPIHY >DRNTG_11214.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2363987:2365397:1 gene:DRNTG_11214 transcript:DRNTG_11214.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQAGGPFGGHLLPAIAAALALFLASSNVAIASTELPYIYASPPPPYLYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPDPSPPPPYYYKSPPPPEKSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPDPSPPPPYYYKSPPPPEKSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYTSPPPPYHYSPIVKVVGSVYCYKCYNWKYPVESHIKKLFKGNIGQSSYKT >DRNTG_11214.29 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2365483:2366755:1 gene:DRNTG_11214 transcript:DRNTG_11214.29 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKLRVVSKTHGHDQIVLKAKSLAYAPEKPYAECEKPKPPVYHYTSPPPPVESHPPVYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYFYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYIYSSPPPPIHY >DRNTG_11214.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2365483:2366755:1 gene:DRNTG_11214 transcript:DRNTG_11214.17 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKLRVVSKTHGHDQIVLKAKSLAYAPEKPYAECEKPKPPVYHYTSPPPPVESHPPVYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYFYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYIYSSPPPPIHY >DRNTG_11214.31 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2365483:2366755:1 gene:DRNTG_11214 transcript:DRNTG_11214.31 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKLRVVSKTHGHDQIVLKAKSLAYAPEKPYAECEKPKPPVYHYTSPPPPVESHPPVYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYFYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYIYSSPPPPIHY >DRNTG_11214.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2363987:2365397:1 gene:DRNTG_11214 transcript:DRNTG_11214.9 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQAGGPFGGHLLPAIAAALALFLASSNVAIASTELPYIYASPPPPYLYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPDPSPPPPYYYKSPPPPEKSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYTSPPPPYHYSPIVKVVGSVYCYKCYNWKYPVESHIKKLFKGNIGQSSYKT >DRNTG_11214.27 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2365483:2366755:1 gene:DRNTG_11214 transcript:DRNTG_11214.27 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKLRVVSKTHGHDQIVLKAKSLAYAPEKPYAECEKPKPPVYHYTSPPPPVESHPPVYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYFYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYIYSSPPPPIHY >DRNTG_11214.25 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2365483:2366755:1 gene:DRNTG_11214 transcript:DRNTG_11214.25 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKLRVVSKTHGHDQIVLKAKSLAYAPEKPYAECEKPKPPVYHYTSPPPPVESHPPVYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYIYSSPPPPIHY >DRNTG_11214.22 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2365483:2366755:1 gene:DRNTG_11214 transcript:DRNTG_11214.22 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKLRVVSKTHGHDQIVLKAKSLAYAPEKPYAECEKPKPPVYHYTSPPPPVESHPPVYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYFYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYIYSSPPPPIHY >DRNTG_11214.23 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2365483:2366755:1 gene:DRNTG_11214 transcript:DRNTG_11214.23 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKLRVVSKTHGHDQIVLKAKSLAYAPEKPYAECEKPKPPVYHYTSPPPPVESHPPVYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYFYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYIYSSPPPPIHY >DRNTG_11214.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2363987:2365397:1 gene:DRNTG_11214 transcript:DRNTG_11214.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQAGGPFGGHLLPAIAAALALFLASSNVAIASTELPYIYASPPPPYLYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPDPSPPPPYYYKSPPPPEKSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPDPSPPPPYYYKSPPPPEKSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYTSPPPPYHYSPIVKVVGSVYCYKCYNWKYPVESHIKKLFKGNIGQSSYKT >DRNTG_11214.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2363987:2365397:1 gene:DRNTG_11214 transcript:DRNTG_11214.11 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQAGGPFGGHLLPAIAAALALFLASSNVAIASTELPYIYASPPPPYLYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPDPSPPPPYYYKSPPPPEKSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPDPSPPPPYYYKSPPPPEKSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYTSPPPPYHYSPIVKVVGSVYCYKCYNWKYPVESHIKKLFKGNIGQSSYKT >DRNTG_11214.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2365483:2366755:1 gene:DRNTG_11214 transcript:DRNTG_11214.14 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKLRVVSKTHGHDQIVLKAKSLAYAPEKPYAECEKPKPPVYHYTSPPPPVESHPPVYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYFYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYIYSSPPPPIHY >DRNTG_11214.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2365483:2366755:1 gene:DRNTG_11214 transcript:DRNTG_11214.19 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKLRVVSKTHGHDQIVLKAKSLAYAPEKPYAECEKPKPPVYHYTSPPPPVESHPPVYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYFYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYIYSSPPPPIHY >DRNTG_11214.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2365483:2366755:1 gene:DRNTG_11214 transcript:DRNTG_11214.18 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKLRVVSKTHGHDQIVLKAKSLAYAPEKPYAECEKPKPPVYHYTSPPPPVESHPPVYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYIYSSPPPPIHY >DRNTG_11214.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2363987:2365397:1 gene:DRNTG_11214 transcript:DRNTG_11214.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQAGGPFGGHLLPAIAAALALFLASSNVAIASTELPYIYASPPPPYLYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPDPSPPPPYYYKSPPPPEKSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPDPSPPPPYYYKSPPPPEKSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYTSPPPPYHYSPIVKVVGSVYCYKCYNWKYPVESHIKKLFKGNIGQSSYKT >DRNTG_11214.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2363987:2366755:1 gene:DRNTG_11214 transcript:DRNTG_11214.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQAGGPFGGHLLPAIAAALALFLASSNVAIASTELPYIYASPPPPYLYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYIYSSPPPPIHY >DRNTG_11214.28 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2365483:2366755:1 gene:DRNTG_11214 transcript:DRNTG_11214.28 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKLRVVSKTHGHDQIVLKAKSLAYAPEKPYAECEKPKPPVYHYTSPPPPVESHPPVYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYIYSSPPPPIHY >DRNTG_11214.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2365483:2366755:1 gene:DRNTG_11214 transcript:DRNTG_11214.15 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKLRVVSKTHGHDQIVLKAKSLAYAPEKPYAECEKPKPPVYHYTSPPPPVESHPPVYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYFYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYIYSSPPPPIHY >DRNTG_11214.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2363987:2365397:1 gene:DRNTG_11214 transcript:DRNTG_11214.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQAGGPFGGHLLPAIAAALALFLASSNVAIASTELPYIYASPPPPYLYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPDPSPPPPYYYKSPPPPEKSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPEKSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYTSPPPPYHYSPIVKVVGSVYCYKCYNWKYPVESHIKKLFKGNIGQSSYKT >DRNTG_11214.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2365483:2366755:1 gene:DRNTG_11214 transcript:DRNTG_11214.16 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKLRVVSKTHGHDQIVLKAKSLAYAPEKPYAECEKPKPPVYHYTSPPPPVESHPPVYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYFYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYIYSSPPPPIHY >DRNTG_11214.30 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2365483:2366755:1 gene:DRNTG_11214 transcript:DRNTG_11214.30 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKLRVVSKTHGHDQIVLKAKSLAYAPEKPYAECEKPKPPVYHYTSPPPPVESHPPVYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYFYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYIYSSPPPPIHY >DRNTG_11214.26 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2365483:2366755:1 gene:DRNTG_11214 transcript:DRNTG_11214.26 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKLRVVSKTHGHDQIVLKAKSLAYAPEKPYAECEKPKPPVYHYTSPPPPVESHPPVYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYIYSSPPPPIHY >DRNTG_11214.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2363987:2365397:1 gene:DRNTG_11214 transcript:DRNTG_11214.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQAGGPFGGHLLPAIAAALALFLASSNVAIASTELPYIYASPPPPYLYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPDPSPPPPYYYKSPPPPEKSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYTSPPPPYHYSPIVKVVGSVYCYKCYNWKYPVESHIKKLFKGNIGQSSYKT >DRNTG_14900.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20571138:20599484:1 gene:DRNTG_14900 transcript:DRNTG_14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II family protein [Source:Projected from Arabidopsis thaliana (AT2G17510) UniProtKB/TrEMBL;Acc:F4INI6] MLQSKSFVKKTKQGRLLKVVREHYLRDDIYCGALSCTSCDTSAARLSPPESASIIIVDTNVVLNQIDLLENPAIDNVVVLNVVLEEVKNKNLPVYNRLKSLCSNSARKFFVFSNEHHRDTYVKEMVGESPNDRNDRAIRVAARWYQNHLGGQGNVLLITNDKDNRRKAVEEGLSAETIESYVRSLGRPDLLDLVALPTLQDVNMDDVEDLRPSKRKLIYSEHKPMSEITAGLLRGIFHQGKLRVNRYNPLEAYVGSESIGDEIVIYGRTHMNRAFDGDIVAVELLPQEQWREAKSLIVVDGDDDDEEEDVRLVPSSADDAPRNTDPAQSASTAPNPESFRPSGRVVGIIKRNWQSYCGSLEPMPMPAGNGGIAHALFVSKDRRIPKIRIQTRQLGNLLDKRIIVAVDSWDCLSRYPSGHYVRTIGEIGDRDTETEVVLIENDINSRPFSAQVLACLPPLPWTLSAEDLANPNRQDLRQLRVFSVDPPGCKDIDDALHCTPLPNGNFEVGVHIADVTHFVHPGTSLDEEAAQRGTSVYLVERRIDMLPKPLTEDVCSLRSDVERLAFSVVWEMTPNADIISTRYTKSVIKSCAALSYVEAQARMDDSRLMDSLTTDLRNLNCLAKIMRQRRIERGALTLASAEVKFQIDTETHDPLDIGMYQIREANQMIEEFMLAANVSVAEKILTHFPLCSLLRRHPSPTKEMLEPLLRTAAAVGLDLDVSSSKALANSLDHAVGDDPYFNKLIRILATRCMTQAVYFCSGDLSRSEFYHYGLASPLYTHFTSPIRRYADVIVHRLLAAALGISALPPVFQDGPQLTGIADNLNYRHRNAQMASRASVELHTLIFFRKRPTDTEARIVKIRSNGFIVFVPKFGIEGPVYLTRRGENGGEWMVDDVNQRVTKPGTNITYAVLQTVRIHMEVVEPQPNRPKLELTLL >DRNTG_02352.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000108.1:71734:72117:-1 gene:DRNTG_02352 transcript:DRNTG_02352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTISRVQLGELGLEGKLETLKFSALPSLGVLNLSYNHLHGSIPAAISAISKLTILDLSTNGLTGIIPSELGNLTRLKTLLLDQNQISGSIPSSLGKLMNLNWLTISKNFLVGSIPPEFGNLTELNF >DRNTG_22184.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001232.1:4595:6084:1 gene:DRNTG_22184 transcript:DRNTG_22184.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEISSGSSHGGLENPSLLTGHKLNGHNYLQWSQSVMMFICGRGKDEYLTEEIPSPEKNDPKFRIWKTENHMVMSWLINSMNNDIGENFLLYGTAKEIWDAAKETYSSSDNSSELFQIEATLHDLRQGDLTVTEYFNILTRHWQQLDMFETHSWKCSHDSALYQKIVDQKRTFKFLLGLNKELDEVRGRVMGTKPLPSAREVFSEVRREESRRKVMMVSQNSTPTIEGSALVTRRPFTNNFNENRQRKGRQWCDYCRKSGHVKENCWKLHGKPVDWKHSRLWNDREGRANTVTKDEGSTSTETIPFTKEQLEALQKLLSQNLSTQPSTAMSGNSMIAHKGTGLGEEDWQC >DRNTG_25154.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23773359:23776468:1 gene:DRNTG_25154 transcript:DRNTG_25154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPILIIITLLCFLLHLLLRHYLRHRSTLPLPPGPRGYPLVGCLPLVGPAAHSSLATLSKSYGPIMYLKLGTCPVIVASTPSAARAFLTTLDSQFANRPTDIISAKDITYNCNNMVFSNLTPRWKLLRKLANLHMLGTKALSSWSPIRRSELHLMLQSIHSSSQLGQPVVLPELLVCTTGNVISRVMLSRRVFDVHGEELGQFKSVLEDMLTGVGLFNIGDFVPSIAWMDLQGVQARMKGVNKRFDGMITRMLAEHAATAAERADNPDFIDLVLANRVGDNGETLSDVNIKGLIWGHVHCGDRYIFNCG >DRNTG_07081.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2067179:2069401:-1 gene:DRNTG_07081 transcript:DRNTG_07081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIQKRSPASFPTRELSQSLKGKSTSSTSNWNLFSNPIPKASDREIKLIPASPFSQSHTSNFSESSIS >DRNTG_18979.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22746951:22747737:-1 gene:DRNTG_18979 transcript:DRNTG_18979.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNCNYSVWPGTLGSSGQQTPGNGGFHLGIGEEAVFEVPNGWSGRVWGRQGCWFDENGKGYCETGDCGGMLHCSGTGGKPPATVVEMTLGTSKSPIHFYDVSLVDGFNLPVAMSPIGGGVGCGVAECEVDLNVCCPSRFEVKVNGKVVGCKSACLALNTAKYCCTGDYASPTICKPTLFSHLFKAICPKAYSYAFDDSSSLNMCKARHYLITFCPPRR >DRNTG_18979.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22746951:22747958:-1 gene:DRNTG_18979 transcript:DRNTG_18979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKMATSKQTHILLLLFFCFFYSVSMALSISDKIQLIMVNNCNYSVWPGTLGSSGQQTPGNGGFHLGIGEEAVFEVPNGWSGRVWGRQGCWFDENGKGYCETGDCGGMLHCSGTGGKPPATVVEMTLGTSKSPIHFYDVSLVDGFNLPVAMSPIGGGVGCGVAECEVDLNVCCPSRFEVKVNGKVVGCKSACLALNTAKYCCTGDYASPTICKPTLFSHLFKAICPKAYSYAFDDSSSLNMCKARHYLITFCPPRR >DRNTG_27829.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20143444:20146173:1 gene:DRNTG_27829 transcript:DRNTG_27829.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g50420 [Source:Projected from Arabidopsis thaliana (AT3G50420) UniProtKB/Swiss-Prot;Acc:Q9SCT2] MASPSHSLFFSCEAPLASLATLISKSISPNIRKARQLHAFILTSTPPCSSPFLNNNLLLLYSKCGSFSDARKLFDRMPTRSVVSYNTMISSYSRDSRHTVAAFNLFRHLFVVGLNPNASTFSSLILAAHAFRGLSTGAAIHSLVIGSGFLNNVCVQTTLLGFYSECGRLKDVDFIFSEMVDKDVIAWNSVIFSHVKNGSVEQGLRLFSSMIGDGLLPSNCTFSTVLSACGKVGDLIKGRLVHGLVIKSECPHDLRLHNALLDMYSSCGDNGSAISVFERIEKPDLVSWNSVLAGYSDAGDGQMAMRVFVQLQEMSRYGGLVPDEYTFAAVVTATAALPSICYGKAFHGQVTKAGLEDSVFVGNTLIGMYIKNDEPHSARKLFNSIQEKDIVLWTEMIVGHSRLGEGELSLRYFYYMLDGGYKADSFAFSGALNSSADLAALQLGETIHSMVVKTGYEVNFCVSGSLVDMYAKNGDLEGAHSVFQMIMKPDLKCWNSMIGGFGNHGHAMRAFELFNEMVRQGLQPDHVTYVSLLSACGHCGFVERGRFYWFCMTCDGIMPGIKHYTCMVSMLSRAGLLLETEELIMKSQFESSPEMWRILLSSCATFRNLDMGIRAAERALILEPEDSATYILLSNLYASVGRWDEVAKMRKKIRELMLEKEPGLSWIEIKNRTHVFSADDESHTQIDDCRNELMRLQGNLKDLGTCEQFLFDILLD >DRNTG_16106.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1575045:1575281:1 gene:DRNTG_16106 transcript:DRNTG_16106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPERGRLSAAMTTKAPPLGPFLESLKTEDKEGRATTAPSPPKGNGSGAGERRERAREARESMAILEEKKTKMKR >DRNTG_21612.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1248178:1249896:-1 gene:DRNTG_21612 transcript:DRNTG_21612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIITRAMHFSVNPTRFAMLMALIVVISVVLYTLLWMPTSSIDFFLLPQQQNTSAFAKDELEVALRGASMDDRTVVISVLNKAYAKENGMLDLFLRSFEHGDDTEFLIKHLLLVAVDQASFERCKSLGLHCYKLVTDGVDFSKEELYMSTDFIKMMWRRTLFLGDVLRHGYNFIFTDMDILWLRSPFPKFGHQGEDMQISCDWFNGRPFDHSNRINTGFYFVVSNSKTIALFDWWYKSRNGAAGVKEQDVLAEMKTRGVFQRLGMKVRFLDTMFFSGFCQKSRDFREVRTVHANCCRTVKAKLVDLTAALQIWKRFNGTATARWPSHDACRNSWKKNIVI >DRNTG_21612.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1248178:1249364:-1 gene:DRNTG_21612 transcript:DRNTG_21612.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRTVVISVLNKAYAKENGMLDLFLRSFEHGDDTEFLIKHLLLVAVDQASFERCKSLGLHCYKLVTDGVDFSKEELYMSTDFIKMMWRRTLFLGDVLRHGYNFIFTDMDILWLRSPFPKFGHQGEDMQISCDWFNGRPFDHSNRINTGFYFVVSNSKTIALFDWWYKSRNGAAGVKEQDVLAEMKTRGVFQRLGMKVRFLDTMFFSGFCQKSRDFREVRTVHANCCRTVKAKLVDLTAALQIWKRFNGTATARWPSHDACRNSWKKNIVI >DRNTG_04717.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8320364:8320705:1 gene:DRNTG_04717 transcript:DRNTG_04717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFHSVFPDMTRDLLLHREDIDESEDQAYPHLIGHQGESQRHKGSGASTRRSTLEANLKEKGVMSSFFRSTVISSMLYPPMRG >DRNTG_20729.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17829203:17832451:1 gene:DRNTG_20729 transcript:DRNTG_20729.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPNQQSQRRKKPKVIDEEGDDGYNNQCGWIRFSECSLSLSVFR >DRNTG_22667.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20441011:20444592:-1 gene:DRNTG_22667 transcript:DRNTG_22667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSNAVVSYSSLSSVNKASNYDESLRPVLFPGNISFSSGSGPFGQNHLYQSSMDPEFLGGYDSEKDPYTYAKTRPQPEVNLKNVMGGILAILTGKNKASVDTRLQQTSSDISFLGPGTNEDSFLHPSVYIPSAPPLLEAEAINYNAYKEILEADPPEWLPDSSSTTCMQCNSPFKALTRGRHHCRFCGGIFCRVCTKGRCLMPIKFRQRDPQRVCDACYDRLDPLQGILINSISNAMQTAKHDVTDWTCSRGWLNLPVGLSMEHEIYKASNTLRSYCQVARLNPERTIPYAVLKGASGLAILTVAKVGALLTYKLGTGLVVSRRSDGSWSAPSAILSVGLGWGAQVGAELTDFIIVLYGSKAVKAFCSRMHFSLGAGLSAAAGPVGRVFEADLRSGDRGSGLCYTYSCSKGAFIGVSLEGNIVATRMDSNLRFYGDPYLTTTDILLGTVERPKAAEPLYTSLDELYSNLRRY >DRNTG_31819.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3265620:3268985:1 gene:DRNTG_31819 transcript:DRNTG_31819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLTFHHIKHTIRAYFDVDWVRDLSNRYSTTNNYFFRGKKQIIVSRSSTKARYHALADTSFELIWLWWLLQDKGFTFSSAIPLHCDNQNVTKIAHKDVFHEHTKHIEIDCQVVRHHVLQGTAQLVPISS >DRNTG_07203.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20335336:20348087:1 gene:DRNTG_07203 transcript:DRNTG_07203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKSEEKSIEPSCTPSSTMRKKGLEIEGYPIEGLSIGWQETCVIFPTLKTAFDIGRCPQRAISQDFLFISHSHMDHIGGLPMYVATRGLYSMKPPTIFVPVSVRENVEKLFEVHRVMHQSELKHNLVALDIGEEFQMRKDLKVKAFRTYHVIPSQGYIIYSVKQKLKQEYAGLSGNEIKNLRLSGVEVTYTVLSPEIAFTGDTMSDFVVDPENSDALRARVLVMESTFVDDAVTIEHARDYGHTHLFEIAHYAERFQNKAILLIHFSARYQADEIKEAIAKLPPSFSG >DRNTG_07203.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20335336:20348087:1 gene:DRNTG_07203 transcript:DRNTG_07203.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKSEEKSIEPSCTPSSTMRKKGLEIEGYPIEGLSIGWQETCVIFPTLKTAFDIGRCPQRAISQDFLFISHSHMDHIGGLPMYVATRGLYSMKPPTIFVPVSVRENVEKLFEVHRVMHQSELKHNLVALDIGEEFQMRKDLKVKAFRTYHVIPSQGYIIYSVKQKLKQEYAGLSGNEIKNLRLSGNLYRVIT >DRNTG_07203.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20335336:20348087:1 gene:DRNTG_07203 transcript:DRNTG_07203.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKSEEKSIEPSCTPSSTMRKKGLEIEGYPIEGLSIGWQETCVIFPTLKTAFDIGRCPQRAISQDFLFISHSHMDHIGGLPMYVATRGLYSMKPPTIFVPVSVRENVEKLFEVHRVMHQSELKHNLVALDIGEEFQMRKDLKVKAFRTYHVIPSQGYIIYSVKQKLKQEYAGLSGNEIKNLRLSGNLYRVIT >DRNTG_19116.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29693944:29696171:-1 gene:DRNTG_19116 transcript:DRNTG_19116.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT2G45130) UniProtKB/Swiss-Prot;Acc:Q5PP62] MKFGKRLKKQIEDTIPEWRDKFLAYKDLKKLVRLMSITPSSSTDLKVEEEFIHLLNSEIEKFNGFFMEQEEEFIIRLRELKERIQSVISTAHEQSEAVYAAEMWRLRKDIVNFHGEMVLLENYSSINYTGLAKILKKYDKRTGGLLRLPFIEKVLKQPFFTTDLISKLVKECEDTIEVVFEVPQENAKLGGRDVVAEEGAEQSIFRNTVAALVTMREMRKGSSTYGRFSLPPMSLPDIDLAQPLQLPSPIPS >DRNTG_34753.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002187.1:18857:20366:1 gene:DRNTG_34753 transcript:DRNTG_34753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATSCFFPQNLLPHIYTPTFVLNAAYDVWQLQESLAPRRADPQGYWQQCKMNHVNCNAGQIHVLQGFRNQMLNAIKMFSLSRQNGLFINSCFAHCQSERQDTWFGNNSPRLANKGIAKSVGDWYFDRCGVKAIDCAYPCDKSCHHLVFSRTHYNSSFLPNLNF >DRNTG_35192.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18666451:18667908:1 gene:DRNTG_35192 transcript:DRNTG_35192.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPALYGSTKHIDMRYHFIRDLVRDGTIEVTPCSTNDQVTDIFTKALPEYLADK >DRNTG_12538.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21113455:21117500:-1 gene:DRNTG_12538 transcript:DRNTG_12538.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTSYVEACNFYKQYAQKLGFGTAVRRSTFTTNGECYQLILVCHKWGKGRGSERYQSRVTAKTNCPASIRLKRHADGLLHLEKANTEHNHPLNPSMKRYLKCFKILSSDRVIRPNRFAHTLLRDSGSENLPIDEDELKNSVERGHLKIGPGDNEAMQQFFTRMQKKNSNFFHLVDLDEESRLRSVFWADSRSRIAYEYFSDVVSFDTTHLSNKCSTPLVLFIGVNHHGQPVLLGCGMLSDETIGSYVWLFKAWVACMSGKPPNAIITDHCKAIQDAVSHVFPDVRHRICLWQILRKIPEKLRNFPEHQAVKDALKKVVCDSLTVEEFECEWKKNIEYYGLEGSDWFRLIYDIRHSWVPAFLKDSFWAGMSVTNRTESMGAFFEGLVHKESPFKQFICKYEAALLAKYEKEAQADSESLNKSRHLISKFYMEEQISKQYTLNLFKKFQDELKATMYCDALLVKEEGSISTFEVKESVYMEDGKKTVYKDHQVLYNADDLQVQCICGCFQFKGFLCRHALSVLKSQQIYEIPSHYILNRWKKDCKQLCALARSSVDVELNDSMDRYGYLSQRCHQLIDLGVMSDDKYHLALKLIKEAEECLLDENTGDRRLKFASCATTLSESSGNPSEAHPLDCSKNPNGTPGKRRGRPPKKRKESEMETTVVANQEMHSLRTSLVGEPSDMVRCAPTHIGSHIGIQGGINLMEEISRNDLSFGSHFGVPINHQHHIDAQSRVQHNNILQGQFNQEPLGNQSRMHWFYHDMLQEDQIPRLPHGRRPGQ >DRNTG_08828.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27969755:27972152:-1 gene:DRNTG_08828 transcript:DRNTG_08828.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable mediator of RNA polymerase II transcription subunit 37b [Source:Projected from Arabidopsis thaliana (AT1G09080) UniProtKB/Swiss-Prot;Acc:Q8H1B3] MHAHTYTEFMLGLAATTSASENVIVGIDLGTTYSCVGVYKNGKVEIIANDQGNRITPSWVAFTDNDERLIGEAAKNQAPLNPHRTIFDIKRLIGRRFEDKEVQKDMKFLPYKLVNKDGKPYVEVEVKGEMKVFSPEEISAMILTKMKETAESYLGHPVKHAVITVPAYFNDAQRQATKDAGTIAGLNVARIINEPTAAAIAYGLDKKGKESNILVYDLGGGTFDVSILSIDDGVFEVLATSGDTHLGGEDFDQRVMDYFIKLIKKKYNKDISKDNKALGKLRRECERAKRALSSQHQVRVEIESLFDGVDFSEPITRARFEELNMDLFKRTMEPVKKALEDAKLKKSDIHEIVLVGGSTRIPKVQQLLKDMFDGKEPNKGVNPDEAVAYGAAVQGGILSGQGGKETEGILLLDVAPLSLGIETVGGVMTKLIPRNTVIPVKKSQIFTTYQDGQTTVTIKVYEGERSLTKDCRELGRFDLSGIPPAPRGTPQIEVTFEVDANGILHVTAQDKAAKKGKSITITNDKGRLSQEEIDRMVKEAEEFAEEDKKVKERIDSRNKLETYIYNMKSSINDGDKLADKLDSEDKDKMESELKEALEWLDENQTAEKEEYEEKLKELEHVFNPIIKQVYEKNGASSTSEDEDNEDAHEL >DRNTG_31324.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4031723:4033020:-1 gene:DRNTG_31324 transcript:DRNTG_31324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFLGSNTTSSTASGTISNTRPASASMERRARPQKEQALNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRTSTSTTTTSSSTTTTTSTTATTTTNTTSQPSIKFHQGQDLNLGFPHPSTIPEFGELPSMDSGSNSTNPSTALSAMELLRSGMSGRGLGPFIPMPMSMPEAGAVAFTHGFGMHEFRPPTLNFPLVDGTGPGANEYGGMQGVQENAGRLLFPFEDLRQVPSGSGGDQSGGHGGDPPPAYWNGMIGGAGGGAW >DRNTG_02089.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10414519:10417111:-1 gene:DRNTG_02089 transcript:DRNTG_02089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYCGKYHDELIANAAYIGTPGKGILAADESTGTIGKRLASINVENVESNRRALRELLFCTPGALQYISGVILFEETLYQKTASGKPFVDVLKEGGVLPGIKVDKGTIELAGTNGETTTQGHDDLGKRCAKYYEAGARFAKWRSVLKIGPTEPSQLAINLNADGLARYAIICQENGLVPIVEPEILVDGPHDIKRCADVTERVVAACYKALNDHHVLLEGTLLKPNMVTPGSDAAKVAPEVVAEYTVRALLRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLKGKKPWSLSFSFGRALQQSTLKTWQGKEDNVQKAQAAFLARCKANSEATLGAYKGDAAQGGLASESLHEKDYKY >DRNTG_17364.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32288690:32291424:-1 gene:DRNTG_17364 transcript:DRNTG_17364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASMKRSVKQLHFGGPDEKAAAAAEIKRIARGDLRTRKSLAELGVIPTLVSMILDSPTVSPGRKIAIEALLELANGTFTNKALMVESGLIAKLPNIFNTLDSSTQEQLANLLLSISSLANTQHSIQPHEILPFLIKILNDRDTDDEIKVKFLAALQNLSIKIETTKLIVSSGLVHALLSFSVNKRTSKEALATLSNLVLTSVGKKAIENDSMVPEIFMEIMAWEGMPQSQELVAYILMVIAHQSAKLRKKMIVLGIVPLLLEVALLGSPLAQKRSLKMLQWFKDERQAKLGAHSGPQSEVFGISNALRLKGESSEECERDVRKMVKQSLDQNLKLIIRRGDLSDGCSMIKSLVFNSSSKSLPYC >DRNTG_22302.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19336359:19339860:-1 gene:DRNTG_22302 transcript:DRNTG_22302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFNCGRSHSNLQCFLSRTTPSVLSYSLPKSCFKDVNELWPPIGKDDIEYFTLSDFWDNYTEWSAYGAGCPILLNNGETVVQYYVPYLSAIQIYSNKPFAASRNTGEDSETDSWSDDSESEKLSRSWDAVSDDSCVDMEGGWPGKDRLGHLYLHYIENASPYGRVPLMVKVNELARHFPGLKSLKSVELSPASWMSVAWYPIYHIPTRRNVKDLSACFLTYHTISSSFQDNTTDGMENDLCCNIAGKTGKKANEVSLRPFGLAAYKMQGTLWMNPETDDQEKFINLFDAADSWLKQLRVQHHDFNYFNTHSM >DRNTG_17647.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4113468:4118451:1 gene:DRNTG_17647 transcript:DRNTG_17647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRARFSAEGTFLGVVNSGCVRVVPAPTMDQESQQRNHKEVAGLYGKSFSFFNDLAPVFTKDRAQGNARGDLGDDVNQYMHENISLDDNTSFTQFHMEDVFMPTDEHAEPPSPITSDNDASISNSQKKKKMRERIEYPINFRKFSPFCGFGGPWLQSNG >DRNTG_10869.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19922461:19926442:1 gene:DRNTG_10869 transcript:DRNTG_10869.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNFFLANDLLCRHPLMNIRPATLSIFVSSDAAWNHSTGKAGFGFIIYTNFHSILHAGVAGGSCSCPLEAELRAIHLAVHHCSLNCWQPSVLFTDCLNGIHLLQSFNNTMVCRLSSLVRDINILTQPWTGFILEHFCRDLNSIADHLAHFGSANPSLSLFSQGRDRPRWLEDPNNSEERIDTLISKIKEKFAVMSDGETSSSAYDTAWIARIPSLDNPSNPQFPMTLNWVIENQNKDGSWGEPSSFLLYDRLVCTLACVLALKKWEVGEEQVVKGLQFLRFLMHSVQTTLLFSLEPLQEVVQWDKILNLQSRDGSFLSSPAATAAAYMITGDTKCLEFLTFIVCRFGDHAPCAYPIDVLERIWMVDTIQRLGIDHYFREEISDVLDYLYRFGHYLNNGKRGLSWGRNITVPDIDDTCMALRLSRMHGYPISPDVLEYFKDDIGNFVCLPGETHQGVSDMFNLYRFSQLSFPGEKILREAKAFAEEYLITCVNHNQMEDKWSLKKSLKEEINHALQNPWLKSLQRLDVKEYIKHYGENDVWIAKTVYWLYNVNNPIYMELAKLDYSRLQRMYCKEMKSILRWWENCGLDESLVSSVFPKKIYYAIAAAIYEPEFAACRLAYAKLNCIENILRDLFEKHEYLYELRLFCQAVGHLPLKLKGLFMALHDTLNDLAIHASNAQGRDIFPYLHDLRVKQAEAYMRNRGLREDSMLMDSMSLKEYIEHKKELSGVGMRVVPAMFLMGKQLQDHTLQCLDNRSKFHDKMALFLSLLADVTRRLGLAVEYYMKGKKCSEAEAVGSIEEMMDETVEELVHEYLKPSRVPRRFRRLMFEHARLTNFNGFITQTCSAAATAANDTLIRAMETPV >DRNTG_11450.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19947130:19948746:-1 gene:DRNTG_11450 transcript:DRNTG_11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding IHSPSFSSAPLSQPFLHLLLYLLPSFFSKSRLRLKVFSTWRNPLGGETSSNRKGVAEFRGNSNP >DRNTG_30638.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1091992:1093376:-1 gene:DRNTG_30638 transcript:DRNTG_30638.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTLGHFEEHREMVKNRASKLPKKHPRCLADGNELLRFYGTTIMCSLGMNGSSSLCASEKCNVCRIIRHGFSTKKDLKKGGIGVFTTATSGRAFESIDELHEAHCVGVRKALLVCRVIAGRVHKPLDSYQELARQSGFDSVAGKVGLYANIEELFTC >DRNTG_30638.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1091992:1093376:-1 gene:DRNTG_30638 transcript:DRNTG_30638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVWMSLKRSLQCKSEPCDVYDPKARLHLNTILTRKAGRSGCSRSIANLKDVIHGSKKRLERPPSCSPRSIGSSEFLNPITHEVVLNNSNYELKITGFGAFHEVDNGVNSTYVGTLKPGTPGPGGHHHGFRYNPSPRAAAIRTPSKRTSSHETVAIMRCHKCGKDFGKWESLEAHHLSNHAVTELLEGDSSRKIVEIICRTSWLKNENSCGRIERVLKVHNMQKTLGHFEEHREMVKNRASKLPKKHPRCLADGNELLRFYGTTIMCSLGMNGSSSLCASEKCNVCRIIRHGFSTKKDLKKGGIGVFTTATSGRAFESIDELHEAHCVGVRKALLVCRVIAGRVHKPLDSYQELARQSGFDSVAGKVGLYANIEELFTC >DRNTG_18112.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:421765:426114:1 gene:DRNTG_18112 transcript:DRNTG_18112.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEACNGYSLICVPLYDTLGSGAVDYIIQHAEIDFVFVQDKKVKNILSPGCNSVQQLKALISFTSVTKEESADAANCGIKAYSWNDFLQMGKDNPFDPLPPQPLDICSIIYTSGTSGTPKGVILTHESHANYVRGVDLYMEQFEDKMTADDIFLSFLPLAHILDRMIEEYFFHKGASVGYYQGDINALREDLMELKPTFFVGVPRVFERVHEGILKALQEQRPTRRMIFNLLYKHKLFWMKSGYTHKTASPFADFLAFGKVNARLGGRVRLMISGGAPLNTEIEEFMRVTTCAYFGQGYGLTETCGLSAIGMPDDLSMVGTVGIPWVYTELRLEEVPEMGYNPFEVPSRGEICIRGKTLFSGYYKEPELTKESIIDGWFHTGDIGEMSPEGVLKIIDRKKNIFKLSQGEYVAGEYLEKVYGFAPIVEDLWVYGDSLKSMLVAVVAPHEDNTKRWAKVNGHVGSFAELCLLNELKAYILSELKQIAQKNELRGFEYIRGVVVDPRPFDIERELVTPTMKKRRPQMLKYYQTDIDKVYKSLAEEKKK >DRNTG_18112.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:421700:426114:1 gene:DRNTG_18112 transcript:DRNTG_18112.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFTFQSESGKIGENGKPSVGPVYRNILAKDGFPPSDPLMKTSWDVFSVAAEKYHGHRLLGWREFTNGKAGPYLWKTYRQVYNEVLNVGSALKQLGAKKGSRIGIYGTNCPQWIVAMEACNGYSLICVPLYDTLGSGAVDYIIQHAEIDFVFVQDKKVKNILSPGCNSVQQLKALISFTSVTKEESADAANCGIKAYSWNDFLQMGKDNPFDPLPPQPLDICSIIYTSGTSGTPKGVILTHESHANYVRGVDLYMEQFEDKMTADDIFLSFLPLAHILDRMIEEYFFHKGASVGYYQGDINALREDLMELKPTFFVGVPRVFERVHEGILKALQEQRPTRRMIFNLLYKHKLFWMKSGYTHKTASPFADFLAFGKVNARLGGRVRLMISGGAPLNTEIEEFMRVTTCAYFGQGYGLTETCGLSAIGMPDDLSMVGTVGIPWVYTELRLEEVPEMGYNPFEVPSRGEICIRGKTLFSGYYKEPELTKESIIDGWFHTGDIGEMSPEGVLKIIDRKKNIFKLSQGEYVAGEYLEKVYGFAPIVEDLWVYGDSLKSMLVAVVAPHEDNTKRWAKVNGHVGSFAELCLLNELKAYILSELKQIAQKNELRGFEYIRGVVVDPRPFDIERELVTPTMKKRRPQMLKYYQTDIDKVYKSLAEEKKK >DRNTG_18112.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:421601:426114:1 gene:DRNTG_18112 transcript:DRNTG_18112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFTFQSESGKIGENGKPSVGPVYRNILAKDGFPPSDPLMKTSWDVFSVAAEKYHGHRLLGWREFTNGKAGPYLWKTYRQVYNEVLNVGSALKQLGAKKGSRIGIYGTNCPQWIVAMEACNGYSLICVPLYDTLGSGAVDYIIQHAEIDFVFVQDKKVKNILSPGCNSVQQLKALISFTSVTKEESADAANCGIKAYSWNDFLQMGKDNPFDPLPPQPLDICSIIYTSGTSGTPKGVILTHESHANYVRGVDLYMEQFEDKMTADDIFLSFLPLAHILDRMIEEYFFHKGASVGYYQGDINALREDLMELKPTFFVGVPRVFERVHEGILKALQEQRPTRRMIFNLLYKHKLFWMKSGYTHKTASPFADFLAFGKVNARLGGRVRLMISGGAPLNTEIEEFMRVTTCAYFGQGYGLTETCGLSAIGMPDDLSMVGTVGIPWVYTELRLEEVPEMGYNPFEVPSRGEICIRGKTLFSGYYKEPELTKESIIDGWFHTGDIGEMSPEGVLKIIDRKKNIFKLSQGEYVAGEYLEKVYGFAPIVEDLWVYGDSLKSMLVAVVAPHEDNTKRWAKVNGHVGSFAELCLLNELKAYILSELKQIAQKNELRGFEYIRGVVVDPRPFDIERELVTPTMKKRRPQMLKYYQTDIDKVYKSLAEEKKK >DRNTG_18112.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:421765:426114:1 gene:DRNTG_18112 transcript:DRNTG_18112.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFTFQSESGKIGENGKPSVGPVYRNILAKDGFPPSDPLMKTSWDVFSVAAEKYHGHRLLGWREFTNGKAGPYLWKTYRQVYNEVLNVGSALKQLGAKKGSRIGIYGTNCPQWIVAMEACNGYSLICVPLYDTLGSGAVDYIIQHAEIDFVFVQDKKVKNILSPGCNSVQQLKALISFTSVTKEESADAANCGIKAYSWNDFLQMGKDNPFDPLPPQPLDICSIIYTSGTSGTPKGVILTHESHANYVRGVDLYMEQFEDKMTADDIFLSFLPLAHILDRMIEEYFFHKGASVGYYQGDINALREDLMELKPTFFVGVPRVFERVHEGILKALQEQRPTRRMIFNLLYKHKLFWMKSGYTHKTASPFADFLAFGKVNARLGGRVRLMISGGAPLNTEIEEFMRVTTCAYFGQGYGLTETCGLSAIGMPDDLSMVGTVGIPWVYTELRLEEVPEMGYNPFEVPSRGEICIRGKTLFSGYYKEPELTKESIIDGWFHTGDIGEMSPEGVLKIIDRKKNIFKLSQGEYVAGEYLEKVYGFAPIVEDLWVYGDSLKSMLVAVVAPHEDNTKRWAKVNGHVGSFAELCLLNELKAYILSELKQIAQKNELRGFEYIRGVVVDPRPFDIERELVTPTMKKRRPQMLKYYQTDIDKVYKSLAEEKKK >DRNTG_12673.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1580485:1584656:-1 gene:DRNTG_12673 transcript:DRNTG_12673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPRSPRYATPPPPRPLPFRLVVSSKSPHKLPFFSRSSPAPRPSSTSCSSSSSSSSSIEPGSPLYGAHKRWRRLPSQFRGSDEAMAPMEEEMGEKPSRRLSLWKRRFFLPSPKIWSIILLNVITLIYASNIPVIKEAEMIYDPSLFTMVRFTIAGMPFIPFVLKAQKDWQTCIAGMELGLWLSLGYLAQAFGLLTSDAGRASFIAAFPVILVPLLNGMFGRTVHAFTWFGAIVSLIGIGMLECTGSPPNVGDALNLLSAMSFAIHMLRTEQISRSTKKEKFLPLLGYEVCVVAFSSTIWFFFKGVFANLNQLRSWTRMLSWDWMTSFPWLSALYTGIFSTGFCLWAEFAAMKDVSATETAIIYGLEPVWGAAFAWFLLGERWGPLGWIGAALVICGNLIVQILGSQPKRSRNGDCSSDDQNDFILSTTQ >DRNTG_12673.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1580890:1581134:-1 gene:DRNTG_12673 transcript:DRNTG_12673.3 gene_biotype:protein_coding transcript_biotype:protein_coding TNLCLTPCSIAGGNLIVQILGSQPKRSRNGDCSSDDQNDFILSTTQ >DRNTG_12673.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1580890:1584656:-1 gene:DRNTG_12673 transcript:DRNTG_12673.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPRSPRYATPPPPRPLPFRLVVSSKSPHKLPFFSRSSPAPRPSSTSCSSSSSSSSSIEPGSPLYGAHKRWRRLPSQFRGSDEAMAPMEEEMGEKPSRRLSLWKRRFFLPSPKIWSIILLNVITLIYASNIPVIKEAEMIYDPSLFTMVRFTIAGMPFIPFVLKAQKDWQTCIAGMELGLWLSLGYLAQAFGLLTSDAGRASFIAAFPVILVPLLNGMFGRTVHAFTWFGAIVSLIGIGMLECTGSPPNVGDALNLLSAMSFAIHMLRTEQISRSTKKEKFLPLLGYEVCVVAFSSTIWFFFKGVFANLNQLRSWTRMLSWDWMTSFPWLSALYTGIFSTGFCLWAEFAAMKDVSATETAIIYGLEPVWGAAFAWFLLGERWGPLGWIGAALVICGNLIVQILGSQPKRSRNGDCSSDDQNDFILSTTQ >DRNTG_27149.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:14597476:14597996:1 gene:DRNTG_27149 transcript:DRNTG_27149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEDTYFHVNIPLPTHNQSESTENRGGGSSAQSKKGKGKRKMPTEVEAIQEINNTIKETLLTKKSTRNLEFAKELISECMKLKVYGYCGHQINKAYDWLMSDDSRAMAFLAKDESYGSIGRRTSLNQFTNKKNIFEVPFRFVFVCVCVL >DRNTG_27937.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14054977:14055384:-1 gene:DRNTG_27937 transcript:DRNTG_27937.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:DPA [Source:Projected from Arabidopsis thaliana (AT5G02470) UniProtKB/TrEMBL;Acc:A0A178ULD9] MKCPHSLEIRESSQGLSEGSSSITDRNGNPLKPVSFSWNSENLTS >DRNTG_27937.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14057989:14059208:-1 gene:DRNTG_27937 transcript:DRNTG_27937.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:DPA [Source:Projected from Arabidopsis thaliana (AT5G02470) UniProtKB/TrEMBL;Acc:A0A178ULD9] MTSTSCENSLRLNDLYIKDDDKCEGSNDAVKKKKASRIVGWGLRRFSTIVCKKIEAKGRTTYNE >DRNTG_27937.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14054977:14063652:-1 gene:DRNTG_27937 transcript:DRNTG_27937.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DPA [Source:Projected from Arabidopsis thaliana (AT5G02470) UniProtKB/TrEMBL;Acc:A0A178ULD9] MTSTSCENSLRLNDLYIKDDDKCEGSNDAVKKKKASRIVGWGLRRFSTIVCKKIEAKGRTTYNEVADEIIADLASLAKNITQVEGAGSNMDQMCLSEHFDEKNIRRRVYDAFNVLMAINVIAKDKKEIRWIGFPTTRTEELDELKKLHINVMNRVQAKANFLKELEDQFINLQTLILRNQRLSKSGAGHVPSEGIALPFLLVRTRPQATVEIEISEDMSLVHFDFNGTPFTLHDDAAILKAMKCPHSLEIRESSQGLSEGSSSITDRNGNPLKPVSFSWNSENLTS >DRNTG_27937.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14054977:14063652:-1 gene:DRNTG_27937 transcript:DRNTG_27937.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DPA [Source:Projected from Arabidopsis thaliana (AT5G02470) UniProtKB/TrEMBL;Acc:A0A178ULD9] MTSTSCENSLRLNDLYIKDDDKCEGSNDAVKKKKASRIVGWGLRRFSTIVCKKIEAKGRTTYNEVADEIIADLASLAKNITQVEGAGSNMDQMCLSEHFDEKNIRRRVYDAFNVLMAINVIAKDKKEIRWIGFPTTRTEELDELKKLHINVMNRVQAKANFLKELEDQFINLQTLILRNQRLSKSGAGHVPSEGIALPFLLVRTRPQATVEIEISEDMSLVHFDFNGTPFTLHDDAAILKAMKCPHSLEIRESSQGLSEGSSSITDRNGNPLKPVSFSWNSENLTS >DRNTG_27937.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14054977:14056575:-1 gene:DRNTG_27937 transcript:DRNTG_27937.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DPA [Source:Projected from Arabidopsis thaliana (AT5G02470) UniProtKB/TrEMBL;Acc:A0A178ULD9] MDQMCLSEHFDEKNIRRRVYDAFNVLMAINVIAKDKKEIRWIGFPTTRTEELDELKKLHINVMNRVQAKANFLKELEDQFINLQTLILRNQRLSKSGAGHVPSEGIALPFLLVRTRPQATVEIEISEDMSLVHFDFNGTPFTLHDDAAILKAMKCPHSLEIRESSQGLSEGSSSITDRNGNPLKPVSFSWNSENLTS >DRNTG_27937.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14054977:14063134:-1 gene:DRNTG_27937 transcript:DRNTG_27937.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DPA [Source:Projected from Arabidopsis thaliana (AT5G02470) UniProtKB/TrEMBL;Acc:A0A178ULD9] MTSTSCENSLRLNDLYIKDDDKCEGSNDAVKKKKASRIVGWGLRRFSTIVCKKIEAKGRTTYNEVADEIIADLASLAKNITQVEGAGSNMDQMCLSEHFDEKNIRRRVYDAFNVLMAINVIAKDKKEIRWIGFPTTRTEELDELKKLHINVMNRVQAKANFLKELEDQFINLQTLILRNQRLSKSGAGHVPSEGIALPFLLVRTRPQATVEIEISEDMSLVHFDFNGTPFTLHDDAAILKAMKCPHSLEIRESSQGLSEGSSSITDRNGNPLKPVSFSWNSENLTS >DRNTG_22524.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3487560:3488867:-1 gene:DRNTG_22524 transcript:DRNTG_22524.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQRPRTRRGASVPSREPTPAQPPSPHRQEVNSGHE >DRNTG_13191.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000601.1:51333:52144:1 gene:DRNTG_13191 transcript:DRNTG_13191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEVNKHNTHGRLNLWETALLRATARLRRLTTNLIWLYKRNTKGNKSQTQHKATPNTHPT >DRNTG_09057.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31425386:31429258:-1 gene:DRNTG_09057 transcript:DRNTG_09057.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSVQGRLLMRPDSSGIFWDTDSLAALLALEMQADLLRVLLCDVEYLYIGPPGRLQVKTI >DRNTG_09057.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31425386:31429883:-1 gene:DRNTG_09057 transcript:DRNTG_09057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSVQGRLLMRPDSSGIFWDTDSLAALLALEMQADLLRVLLCDVEYLYIGPPGRLQVKTI >DRNTG_26139.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28342767:28347313:-1 gene:DRNTG_26139 transcript:DRNTG_26139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQISGLSRNNVKKPLNKLLLPMDITDVLPSKHEEFEFADVFGPTPVQASIEESVLTSENSLLASCSTENVFDDPVVVLNRSHSLVGPTTRVTQILSLSKLTLHETESSLDLMESVYDEIEVEQRKLAIGTADEHVIKSHNGEAVVGLDDFEILKLVGQGAFGKVFQVRMKGTSEIYAMKVMRKDKIMEKNHAEYMKAERDILTKIDHPFIVQLRYSFQTKYRLYLVLDFVNGGHLFFQLYHQGLFREDLARVYTAEIVSAVSHLHANGVMHRDLKPENILLDADGHAMLTDFGLAKEFEGNGRSNSMCGTVEYMAPEIVLGKGHDKAADWWSVGILLFEMLTGKPPFVGGNRDKVQQKIVKDKIKLPAFLSSEAHSLLKGKESSRRLGSGSGGSNEIRNHKWFKSINWRKLDAREIQPSFRPNVPDKNCIANFDERWTSMPLLDSPVSSPVSGDNNFKGFTYVRPSSFLQKLPSSLGGIQQ >DRNTG_10555.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:12959140:12962600:1 gene:DRNTG_10555 transcript:DRNTG_10555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWKVLYSSSKDSLVRYRIRLDGSSPTNLLHRRGKGTLLYLSTHPLNPLQPNFV >DRNTG_05617.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20597791:20604993:1 gene:DRNTG_05617 transcript:DRNTG_05617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLLPFVLFAILVVSAFSPAYYVHGIISCIETERIALLSIKAGMWSNHHHHHNNNSKSFLSSWTGHDCCNWRGASCNHETGHIIKLDLQYPYNYDPFNSDQILASKLNSSLIQLHHLRHLDLSMINFSDSPIPDFIGSLANLEYLNLSNAEFSGILPHTFGNLSCLRYLDLSFNDFLQANDLHWLSGMTFLHYLDLSGVDLSNVHGWLHSINMLSSLLVLKLSYAGLQTGGIHDTTLLHHHLNFTSLLVLDLSWNYFFNITLLQWLFNLNSLVHLDLSNCALHGKLPVTIGNLSSLRVLSLSKNQFDGMTPESLGNLGSLEKLDLSLNEFNGSIPESLSNLTNLVYFDLFYSQVQGLMPI >DRNTG_02113.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10822909:10824478:1 gene:DRNTG_02113 transcript:DRNTG_02113.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIAINIQKDMLREHGVHIPYKQVWLGKEHAWVVLDGSDISSYDLLLWYVDKVVETNPGSIVILERDSEHFKRAFFSFRSCIVGFKKACWPLLFFDGTHLLGKYRGTLLGDALYEEGDYHEIIIFVSARSKSLVNAIARVFPSSVHAYCLRHLEANFMKANARLEKALREECWFIYFHIVWASTAKKFDDTVNELQATSPETHHWLINKLDMAHWSNYLFRDECWGEMYSKVAELFNAWIKETRHLPVTKMVNSIRFKLMRMLCNRREQANKWEAYLCAEIFVLMAIYGIPCKHACAAIMQTYTNIHRFISGYFIIDNYKLAYKEAIFPIPDDDKPMDGNHELRLRPPVTRRQPGCPRRKRIESQVFEARELHCSRCHNSGHNRRSCNETVAD >DRNTG_33022.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001938.1:12968:43464:1 gene:DRNTG_33022 transcript:DRNTG_33022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRTKEAPGMEAASKFDDDDIIGEAIRKPSHSKRLAKKRKTIMPPSPPPADDETIAAPSAANGVTVDDMGVTVKEIADDVAIAAVDKIDDSIVNEIMSPVERAVDNAASKMDTIPEEQEPANMCLPLMLLPWPRLRSTVVWKNDFVSTTRSRLFDLLEEKEMVTDDVMDAFEDAHDTTMAMIGDASRNLHDVEIVILPIIMNDHFHVVVLDNDKQEYRHYSSWQSKEYDKDALVHAVVYSTHARGGEGGCVWNFHTSMWLIRT >DRNTG_19884.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18281216:18287741:-1 gene:DRNTG_19884 transcript:DRNTG_19884.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:U1SNRNP [Source:Projected from Arabidopsis thaliana (AT3G50670) UniProtKB/TrEMBL;Acc:A0A178VDW4] MADHNDPFMRNRDAAVQARTKAQNRANVLQMKLIGQSHPTGLTSNLLKLFEPRPPLVYLPPPEKRKCPAYTGMAQFVKNFAEPSDPEYAMPIQKGETPGERKARIHMLRLEKGALKAAEELQKYDPQNDPNISGDPYKTLFVARLNYETSEHRIKREFETYGPIKRVSILLYSCWEVKLLLSAWVRLVVT >DRNTG_19884.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18281216:18287741:-1 gene:DRNTG_19884 transcript:DRNTG_19884.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:U1SNRNP [Source:Projected from Arabidopsis thaliana (AT3G50670) UniProtKB/TrEMBL;Acc:A0A178VDW4] MADHNDPFMRNRDAAVQARTKAQNRANVLQMKLIGQSHPTGLTSNLLKLFEPRPPLVYLPPPEKRKCPAYTGMAQFVKNFAEPSDPEYAMPIQKGETPGERKARIHMLRLEKGALKAAEELQKYDPQNDPNISGDPYKTLFVARLNYETSEHRIKREFETYGPIKRVRLITDKETNKPRGYAFIEYAHTRDMKTAYKQADGRKLDNRRVLVDVERGRTVPNWRPRRLGGGLGSTRVGGEEVNQKHSGREPQQMTSGPPRSEEPRVRDDREKSRERGRDRDREREKSREISHDKTRDRDTRDDRHHKDRDRTREKDRERDRGRDRDRGRDRDRERDRGRDHDRERRDRPRERERDRERDYGRASHERDRGYSHERGGTDFEQFDTKHDRDRSGGRDRDLEHGEHDYGQEWYDPAAKNGNEHEQGYDHYEQARNHFDQLPPEGDANRVKRHEYYDKMQTDHYRSQYDHPDSAPEEGERVEDHEYEYRRSERSLSREYEH >DRNTG_19884.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18281216:18287741:-1 gene:DRNTG_19884 transcript:DRNTG_19884.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:U1SNRNP [Source:Projected from Arabidopsis thaliana (AT3G50670) UniProtKB/TrEMBL;Acc:A0A178VDW4] MADHNDPFMRNRDAAVQARTKAQNRANVLQMKLIGQSHPTGLTSNLLKLFEPRPPLVYLPPPEKRKCPAYTGMAQFVKNFAEPSDPEYAMPIQKGETPGERKARIHMLRLEKGALKAAEELQKYDPQNDPNISGDPYKTLFVARLNYETSEHRIKREFETYGPIKRVSILLYSCWEVKLLLSAWVRLVVT >DRNTG_19884.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18281216:18287741:-1 gene:DRNTG_19884 transcript:DRNTG_19884.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:U1SNRNP [Source:Projected from Arabidopsis thaliana (AT3G50670) UniProtKB/TrEMBL;Acc:A0A178VDW4] MADHNDPFMRNRDAAVQARTKAQNRANVLQMKLIGQSHPTGLTSNLLKLFEPRPPLVYLPPPEKRKCPAYTGMAQFVKNFAEPSDPEYAMPIQKGETPGERKARIHMLRLEKGALKAAEELQKYDPQNDPNISGDPYKTLFVARLNYETSEHRIKREFETYGPIKRVRLITDKETNKPRGYAFIEYAHTRDMKTAYKQADGRKLDNRRVLVDVERGRTVPNWRPRRLGGGLGSTRVGGEEVNQKHSGREPQQMTSGPPRSEEPRVRDDREKSRERGRDRDREREKSREISHDKTRDRDTRDDRHHKDRDRTREKDRERDRGRDRDRGRDRDRERDRGRDHDRERRDRPRERERDRERDYGRASHERDRGYSHERGGTDFEQFDTKHDRDRSGGRDRDLEHGEHDYGQEWYDPAAKNGNEHEQGYDHYEQARNHFDQLPPEGDANRVKRHEYYDKMQTDHYRSQYDHPDSAPEEGERVEDHEYEYRRSERSLSREYEH >DRNTG_19884.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18281216:18287741:-1 gene:DRNTG_19884 transcript:DRNTG_19884.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U1SNRNP [Source:Projected from Arabidopsis thaliana (AT3G50670) UniProtKB/TrEMBL;Acc:A0A178VDW4] MADHNDPFMRNRDAAVQARTKAQNRANVLQMKLIGQSHPTGLTSNLLKLFEPRPPLVYLPPPEKRKCPAYTGMAQFVKNFAEPSDPEYAMPIQKGETPGERKARIHMLRLEKGALKAAEELQKYDPQNDPNISGDPYKTLFVARLNYETSEHRIKREFETYGPIKRVSILLYSCWEVKLLLSAWVRLVVT >DRNTG_19884.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18281216:18287741:-1 gene:DRNTG_19884 transcript:DRNTG_19884.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:U1SNRNP [Source:Projected from Arabidopsis thaliana (AT3G50670) UniProtKB/TrEMBL;Acc:A0A178VDW4] MADHNDPFMRNRDAAVQARTKAQNRANVLQMKLIGQSHPTGLTSNLLKLFEPRPPLVYLPPPEKRKCPAYTGMAQFVKNFAEPSDPEYAMPIQKGETPGERKARIHMLRLEKGALKAAEELQKYDPQNDPNISGDPYKTLFVARLNYETSEHRIKREFETYGPIKRVSILLYSCWEVKLLLSAWVRLVVT >DRNTG_03238.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16167956:16178822:1 gene:DRNTG_03238 transcript:DRNTG_03238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWSGRVFSKGPLVKPRAYLAGSAFVDATNVINSRFPTACMDTNNVENHMRTLKHKYQDIKKLMNLSSVGWNDTKNGQPKAKEYLNKHIPFLEELHLVAGDDQATSDYAWTIFDQFGGVPVKDDSTPPSNASLDGEPMNIGNQRHEAPRSFVRRSIARATHATRTNGENVFGENLGKRIVDLISAAYQDWGFFQLINHGIPLSTKEKTRSTVRSFHELLAAFSLTGTRPDPGGLQVSAGEVGRECEGGGKGITKNSQSDYITIAHDLLFLYKKTSNLPFLSFPSWWCYRQGRVDFLIESCFASTFKCHNTTSPCAWQGVVYDNDRVVLLCRPDFGFIGHIPILRFLATSLFSIPSASGSTCSSVPTPPTSPCSPNFAIAS >DRNTG_29142.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1377858:1383071:1 gene:DRNTG_29142 transcript:DRNTG_29142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKLHLPVFGLASYKFKGSIWTSDSDDEQQQVTSLQETADKWLRDRQVNHPDFRFFASHLR >DRNTG_29142.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1377858:1383071:1 gene:DRNTG_29142 transcript:DRNTG_29142.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKLHLPVFGLASYKFKGSIWTSDSDDEQQQVTSLQETADKWLRDRQVNHPDFRFFASHLR >DRNTG_01030.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18201947:18206227:-1 gene:DRNTG_01030 transcript:DRNTG_01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin D5 [Source:Projected from Arabidopsis thaliana (AT1G68090) UniProtKB/Swiss-Prot;Acc:Q9C9X3] MATLTVPPVLSSPRQDAIDLYKAFKGFGCDSAKVVSILAHRDAAQRALIQQEYRAMYSQELIERLSSELSGNLKKAMLLWIHDPAARDATVLRQALSGDVIDLRGATEVICSRTPSQIQTIKQIYHARFRTYLEHDINYQASGDHQKLLLAYITRPRYEGPEVDHAMVTHDAKHLYKAGEKRFGTDENTFIHVFSERSSAHLAAVARSYHQAYDNSLEKAVKGETSGLFEFALLTILRCAENPGKYFAKALRKAMKGLGTNDTALIRVVVTRAEIDMQYIKAEYHKKYHKQLSEAIHSETSAHYRTFLLALVGPNH >DRNTG_16972.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19892913:19896808:-1 gene:DRNTG_16972 transcript:DRNTG_16972.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVLLRSIMRSMPNHLRPLCGNAQTLWATSPLHHRSLMSGRTFSSKTSGNDVIGIDLGTTNSCVAVMEGKTPKVVENSEGSRTTPSVVAFNPKGEILVGTPAKRQAVTNPSNTIFGTKRLIGRHFDDPVVQKEMKMVPYKIVKAPNGDAWVEANGQQFSPSQIGAFILKKMKETAESYLARPISKAVITVPAYFNDAQRQATKDAGRISGLSVERIINEPTAAALSYGLNDKEGLIAVFDLGGGTFDISILEISNGVFEVKATNGDTFLGGEDFDNVLVEYLVNEFKRTEGIDLSKDRLALQRLREAAEKAKVELSSTTQTEINLPFITADASGPKHMTMTLTRSKFESLVSHLIERTKEPCKNCLKDAGMSSKDLDEVLLVGGMTRVPKIQQLVKEIFGKEPSKGVNPDEAVALGAAVQGGILRGDVKDLLLLDVTPLSLGIETLGGVFTRLINRNTTIPTKKSQVFSTAADNQTQVGICVLQGEREMAAGNKLLGEFELVGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKATGKEQQITIRSSGGLTEDEIQKMVKEAELHAQKDKERKALIDIRNTADSTVYSIEKSLSEYKDKIPAEVVSEIQSALEDLRNEMAGSDVDKIKAKIDATNKAVSKIGQHMTGGGVGGSPGVDQTPEAEYEEVKK >DRNTG_27102.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14465453:14474733:1 gene:DRNTG_27102 transcript:DRNTG_27102.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELESFRGHSKDVTALAWHPFHEEYFTSGSFDGSIFHWLVGHETPQVEVHGAHDNSVWDLAWHPIGYLLCSGSNDHTTRFWCRNRPGDTSRDKYSIAQSQGFGDQNPMIANRVGSGFQAPEPPPTPGPFAGGLTRNEGTIPGVGVAVPLSVQSLDGSSDQGELRQPPPGVLPMGAPPLPPGPHPSLLAASQQQPYLQIPQQVPSQQQQNQPFSQQTVSLPLPPQNLPQLQPPSHLTMLPHPHLPRPPLPQLQPLGSVGIPSSMSVSMPLSLPNQMVMQGSSNPMISPMQQGHMIGLNQMQTGAVPGSNIQPNVGGFPTGIANMQGPLGVASGGQNFPMTGLFNRPHTVPMSQVPGLNTYQPAMGPGGNIGIPPPPPQHGSAPQ >DRNTG_25781.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3653441:3656465:-1 gene:DRNTG_25781 transcript:DRNTG_25781.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSHQLSACCSLEHVVSVGNYGRGRSPGRVSGEVELENTELQLAQVSKGAQKLKEMIDSWSKVPNVNRRSKDVARDLLRSAIVLQESLSMLAKLQEASKHMSKVKRNKVANEVEFEEISSSRRFSIDGSLHRLQEPRLSVDGSSKGCADELRKIIGDSLFRQNLLSRPSEDEMAQSSRSLRFNPDDEFKEPFLQTKKVKQPNLIAKLMGLDEVPCDAIQREHEKNLSSMKLPQKHHIFYTEHPKMRKAQVLRENTDLRKRTLQDIIERSQRTQLKGFLRNGQVEDTSNSSMVSKLSASKRYDECFNEDDEVPPIVIVKPAKLSNREKRDDKREEPQVQKTDTKSAQSIKEEKVLGKGRVLSDKTARKEATSIEKTKTEFFHKVKELDGNKQQQKKEAFTNNKMFDDWKKPSLNTKKLEVKNDIKVTKTAKSLAKTSKTSPKSEEKVTTAVAKNHLLSSQAIRQQKYSLNSMPKHIVENSISSLKERKKTAANPVKTSTTTTAAKAAHFSQKNCKKRTDGKEVKLVHRTNIILPADNQKAYSKDDKTEYEENHCEAKQNLIAETAEAIHVPKKNKPISDDMKCILLTSQSFFMQAQELINSKHQPIYRRKNIEFVDTTNAKLFLDCANEVMSRKRLQNELSRHPMLQACIWSPTLYHSLDHLLQEISNEFEKLTSYNSADHSATAEDDDLYVRLEKDLQNKDMLIDSMWDVGWLNLCCVEEVDEVVGRVEKEIIDWLIEELVLEVL >DRNTG_25781.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3653441:3656465:-1 gene:DRNTG_25781 transcript:DRNTG_25781.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECDKIAMSKNSACCSLEHVVSVGNYGRGRSPGRVSGEVELENTELQLAQVSKGAQKLKEMIDSWSKVPNVNRRSKDVARDLLRSAIVLQESLSMLAKLQEASKHMSKVKRNKVANEVEFEEISSSRRFSIDGSLHRLQEPRLSVDGSSKGCADELRKIIGDSLFRQNLLSRPSEDEMAQSSRSLRFNPDDEFKEPFLQTKKVKQPNLIAKLMGLDEVPCDAIQREHEKNLSSMKLPQKHHIFYTEHPKMRKAQVLRENTDLRKRTLQDIIERSQRTQLKGFLRNGQVEDTSNSSMVSKLSASKRYDECFNEDDEVPPIVIVKPAKLSNREKRDDKREEPQVQKTDTKSAQSIKEEKVLGKGRVLSDKTARKEATSIEKTKTEFFHKVKELDGNKQQQKKEAFTNNKMFDDWKKPSLNTKKLEVKNDIKVTKTAKSLAKTSKTSPKSEEKVTTAVAKNHLLSSQAIRQQKYSLNSMPKHIVENSISSLKERKKTAANPVKTSTTTTAAKAAKNCKKRTDGKEVKLVHRTNIILPADNQKAYSKDDKTEYEENHCEAKQNLIAETAEAIHVPKKNKPISDDMKCILLTSQSFFMQAQELINSKHQPIYRRKNIEFVDTTNAKLFLDCANEVMSRKRLQNELSRHPMLQACIWSPTLYHSLDHLLQEISNEFEKLTSYNSADHSATAEDDDLYVRLEKDLQNKDMLIDSMWDVGWLNLCCVEEVDEVVGRVEKEIIDWLIEELVLEVL >DRNTG_25781.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3653441:3656465:-1 gene:DRNTG_25781 transcript:DRNTG_25781.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECDKIAMSKNSACCSLEHVVSVGNYGRGRSPGRVSGEVELENTELQLAQVSKGAQKLKEMIDSWSKVPNVNRRSKDVARDLLRSAIVLQESLSMLAKLQEASKHMSKVKRNKVANEVEFEEISSSRRFSIDGSLHRLQEPRLSVDGSSKGCADELRKIIGDSLFRQNLLSRPSEDEMAQSSRSLRFNPDDEFKEPFLQTKKVKQPNLIAKLMGLDEVPCDAIQREHEKNLSSMKLPQKHHIFYTEHPKMRKAQVLRENTDLRKRTLQDIIERSQRTQLKGFLRNGQVEDTSNSSMVSKLSASKRYDECFNEDDEVPPIVIVKPAKLSNREKRDDKREEPQVQKTDTKSAQSIKEEKVLGKGRVLSDKTARKEATSIEKTKTEFFHKVKELDGNKQQQKKEAFTNNKMFDDWKKPSLNTKKLEVKNDIKVTKTAKSLAKTSKTSPKSEEKVTTAVAKNHLLSSQAIRQQKYSLNSMPKHIVENSISSLKERKKTAANPVKTSTTTTAAKAAHFSQKNCKKRTDGKEVKLVHRTNIILPADNQKAYSKDDKTEYEENHCEAKQNLIAETAEAIHVPKKNKPISDDMKCILLTSQSFFMQAQELINSKHQPIYRRKNIEFVDTTNAKLFLDCANEVMSRKRLQNELSRHPMLQACIWSPTLYHSLDHLLQEISNEFEKLTSYNSADHSATAEDDDLYVRLEKDLQNKDMLIDSMWDVGWLNLCCVEEVDEVVGRVEKEIIDWLIEELVLEVL >DRNTG_31945.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18825470:18833060:-1 gene:DRNTG_31945 transcript:DRNTG_31945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHINAGNRDKKRKDRDETNDEGRKTGRGNEHIQVPKLKFENFTLLSQPRSTILASIEGSGLLTFPPKANKTMGKFTDAYCRSWHLKKEETPTPFVRSPSPSSKLYKFEVPYKFTVVFWQQHLVLNRDGKQLSDFPRSDVLAVRPPCSWTADGRVPRSVKLGRRELRRGGSSGGQENEDFENCPPFVIYAIRRLVHGESSSGECARQGFALCLAAKGHGQDGGRGKMTWLANYCCLFSQTASLSEIIFHTLLPALRKNKINQKGGCSEEVTKNIRTFCEALNSEDEEAFKKLQTMESRLSTELSSLKLHWSLSYVARRLYRMCSISTENQVMTIYSFLAQAFVNYHSVENSEQLV >DRNTG_12543.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21091762:21093015:-1 gene:DRNTG_12543 transcript:DRNTG_12543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLFSILFSLLFLPFYTISTPIHNRRTLHQPFFPSYPSPPPLQPPTPSIPKYPFSTNSQPFFPSYPSPPSPPSSQSTPTFSANISSLIFPSSHSAPPHSHSSKIVPAILIPLFLLSLLSLTLAFLFHRRRYSKNALRSDGQRFFTASSDGQKPPTVVASTTASDYLYLGTLVSSRCRNVDAASPAGSSPYRKLGGGSPELRPLPPLSRQARRNVAGGDGCLSDEEFYSPRISSAERQSTALGSSSRRVFEALAMAKLGSRSSSLSSSSPSYAPSSPNLSSPAPSKSTARSADPEVCAPPPPQPPPPPPPPPPPAMRPLTPSPPKRKLPSHSPPSSPLDISSDRNSRSSDSSLQAVRSPARSGGFTPDSFAVGGPPERRAPTPPPP >DRNTG_10427.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:16000759:16003429:-1 gene:DRNTG_10427 transcript:DRNTG_10427.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHSRRHDGKHPREPSPELAYIVFLNLEHQARFKRLSEIRFGQSRFVDLIFRPLTLKVPASFEFVDDIKFRVFSGQFAMSIFEFSFRMGLYDETYSGMGFRNALRDTDQTVIPSLLGLDTHRMMGVVHRYGPGAYILATTTTESADGVRDVAEGSTPTATETS >DRNTG_09333.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:8650423:8651930:-1 gene:DRNTG_09333 transcript:DRNTG_09333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTLPCACLPKSSIRTSSPKLLLKSPLSLGSSKNVSKTFGVKVSNCFKVTAMAVYKVKVVGPDGSEQEFEAPDDTYILDSAETAGLDLPYSCKAGACSTCAGKLMSGSVDQSDGSFLDDTQKASGYVLTCVSYPTSDCVIETHKEGDLY >DRNTG_08513.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28870445:28878285:-1 gene:DRNTG_08513 transcript:DRNTG_08513.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKYFEDEVHNGNWDEVERYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRAKAVDVLVKDLKVFSTFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLLFPSLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGPHTPSPANNPLIGSIPKSGGFPPLGAHGPFQPAQAPVPTPLASWMSNPPAVAHPSVSGGAIGLTAPTNPVAILKHPRTPPTSNPSIDYPSAESDHVSKRTRPDEVNMQVNILPVTYPQSHSQAMVTPDDLPKTVARALIQGSAPMSMDFHPIQQTILLVGTNAGDIGLWDVGLRERLVLKNFKLWEFGTCPVSLQAALVKDPGVSVNRILWSPDGSLFGVAYSKHIVQLYSYHGGDDVRQHLQIDAHVGGVNDLAFSHPNKQLCVITCGDDKMIKVWDASSGTKQYTFEGHDAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNVGSRIDYDAPGHWCTTMAYSADGSRLFSCGTSKDGESSIVEWNESEGAVKRTYQGFRKRSMGVVQFDTTRNRFLAAGDEGLIKFWDMDNTNLLTTIDAEGGLPASPRIRFNKEGTLLAVSTVDNGIKILANSDGIRLLRTLESRSFDASRSVSENLAKPVISPLSTVAVATSSGILDRVVPPVVVTGMDGDSRNLGDVKSRIADEAMEKSKIWKLTEINEPAQCRSLRLFDNMKTSKISRLIYTNSGIAILALASNATHLLWKWPRNERHLSGKATASVVPQLWQPSSGIIMTNEITDINPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLRGHTKRITGLAFSNTLNVLVSSGADAQICVWGTDGWEKLRSKFLQIPPGRNPNVVSDTRVQFHQDQIHFLAVHETQIALYDTNKLECVKQWMPRESSAPISHATFSCDSQLIYVSFLDATVYVFSAANLKLRCRINPTAYLPANISSTVHPLVIAAHPSEPNQFALGLTDGGVHVLEPLESEGKWGVVPPTENGSACSMSSAPPAGASGSDQQQR >DRNTG_21452.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19387297:19392134:1 gene:DRNTG_21452 transcript:DRNTG_21452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVGEEGVKVAVGEGMKNFGGGGCHLLPIVSDYRENTSQIQTYIVHVLKPEGSIFLGTEDLKNWHKSFLPNATLDTGEPRLLYSYKETISGFASRLTTEEVRAIEKMDGFLRANPSQRLELQRLLDIGIHLPHPSFDDKGMPPRPRGWNASCYFQKPSCNNKVIGAQTFRYGPSTIPPTDIDNGHGMAPRAFISVYKNCWIDIGFPSVDVIAGIDQAIQDGVDILQMSIVPKHPLPDSFSKDDVAIGTYSAMQKDIFPCVAVGNNGPSLETLGCAAPWDMVVGATTIDRRIRVTGNGQQFHGESAYQPNIVTNKFLPLIFPGSNGQIDQLICRNNSLNGINVRGKIVMCYAMGRGTENIEKGDVVKNAGGVGMIIMNLLPDGFITYSTTHHLPVSRVSYIDSLQIEDYIATNSTPIAKITFGGAIFGTRPALALAYFSSRGPTKYNGNIVKPDVIAPGVNILSAWTAEVGPFPLV >DRNTG_34304.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002118.1:25632:28190:1 gene:DRNTG_34304 transcript:DRNTG_34304.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCPLEMERSSAEIRTGVRKLPTPVCGSQGRPQGRVHAHVCSRDKSPNSAMGVRLPLWVGHTGVGNFRTPGWIYRYARTAGL >DRNTG_02603.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21285449:21289185:-1 gene:DRNTG_02603 transcript:DRNTG_02603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIWGPITQGQVAFCVGFIPIIAAWLYSELSECCRKHSSSSSRLNSADGLDDFSLRRNGSDSKALLLESGYLLSSSTSVRFFLFDKTFYSDNRLTLRAMSEIGVILVYFFICDRTNLLGESARQYSRDIFFFLYFLLVIVAAMTSFTIHHDKSPFSGKSVLYLNRHQTEEWKGWMQVLFVMYHYFAAKEIYNAIRIFIASYVWMTGFGNFSYYYIRKDFSLARFCQMMWRLNFFVALCCVVLDNHYMLYYICPMHTFFTLMVYTVLRIFNKYNERGSVIAVKIGLCFLIIIVIWEIPGVYDVVWSPFAFLLSYNDPSARTKYSPMHEWQFRAGLDRYIWIIGMIYAYYHPTVERWLEKLEEAEAKLRISIKAFVVLICFAMGYAWYEYIYKLEKYAYNKYHPYTSWIPISIYICLRNITQSFRSYSLTLFAWLGKITLETYISQFHIWLRTGGPDEQPQKLLSLIPNYPMLNYLLTTAIYIAVTHRIFQLTNILKSVFLPSRDDKRLVYNIVITTIVVMILYTLSWACLNVPKMLAWG >DRNTG_13271.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10139229:10139593:1 gene:DRNTG_13271 transcript:DRNTG_13271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPGLSFSGGSPSEDSRGHPVFSCPFLLTWLSEEVSQATLDTLDGLAGHATLAPSSPRGFWLHNKSEEVSFFFSKLALTMGRRG >DRNTG_05513.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2391364:2394276:-1 gene:DRNTG_05513 transcript:DRNTG_05513.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGIQMDQETALELVKKGATLLLLDVPQFTLFGIDTLMFSVGPNFKGMKMIPPGPHFVYYSSANKEGNEFSPTIGFFITTSYSEVVVRRWHCQDERLVKISEDEECRYSEAVKHMEFDNQLGPYALDHFVEWKRLSSYITNTAIERLEPIGGDITIACESGLIPDVPRTVMEKQLMEQLNSSKFSRTTPKDSHRHKCYYTKISQIVKRKDISGEELTAMNLDK >DRNTG_35034.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15359993:15360419:1 gene:DRNTG_35034 transcript:DRNTG_35034.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSGHSAVWNTSNAPAGEGLQFRLVVTGGYDGKWVWTEKEVLPADWKIGSMYDSGVQITDIAQEGCSPCDTGDWKRIN >DRNTG_15169.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:444330:444973:1 gene:DRNTG_15169 transcript:DRNTG_15169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTPIPTSSGLRLPKSRRRSSKRTCAICLGSMKAGQGHALFTAECSHTFHFHCISSNVSHGNHVCPICRAKWKEIPFQV >DRNTG_14261.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:985008:988623:-1 gene:DRNTG_14261 transcript:DRNTG_14261.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVGCGQPAPVLRKAKKKHAKDELDKQKQAEKKKRRLEKALATSAAIRSELEKKKQKKIEEQQRLEEEGAAIVEAVALHVLLGEDSDEPYQLLLNSHKDYNPWEYNSNVSLFLGCPSLGKPSVEELGWMPNTCRLDCKRNDRRSMPSLPHVMHVRDVRTPCLEETYTGSDICPGLVAAEAVSSLQIAEDSCEDPFLRNGAASVVINRMLRGSNGGNKVNFYNKF >DRNTG_18225.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2727248:2727881:-1 gene:DRNTG_18225 transcript:DRNTG_18225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDIKGFYKQRKKSGVGKAAAAASSKKRSGKSRAGVSLGAGDPAQTPALVAHGSFDLHDEYDEMEVKLRQFDMDMKYGPCLGLTRLERWNRAESMELHPPLELGSILKAQAGGGGGGAAATTLVECLWEGRV >DRNTG_20161.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4721055:4726365:-1 gene:DRNTG_20161 transcript:DRNTG_20161.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAATNSKLKIAIIHPDLGIGGAERLIVDAAVELASFGHKVHVFTAHHDEKRCFEETVSGTFPVTVYGDFLPRHIFYCFHAICAYVRCIFVALCVLFMWPAFDVILVDQVSVVIPLMKLKRLTKIIFYCHFPDLLLAQHTTMLRRIYRKPIDLIEEATTGMADLVLVNSKFTAAQFATTFHGLQLRGIRPAVLSPAVNVKQFDGPCAYK >DRNTG_20161.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4721055:4726365:-1 gene:DRNTG_20161 transcript:DRNTG_20161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAATNSKLKIAIIHPDLGIGGAERLIVDAAVELASFGHKVHVFTAHHDEKRCFEETVSGTFPVTVYGDFLPRHIFYCFHAICAYVRCIFVALCVLFMWPAFDVILVDQVSVVIPLMKLKRLTKIIFYCHFPDLLLAQHTTMLRRIYRKPIDLIEEATTGMADLVLVNSKFTAAQFATTFHGLQLRGIRPAVLSPAVNVKQFDGPCAYKLNFLSINRFERKKNLELAISSFALLYSSKAPNPDQGLAEATLTVAGGFDRRLKENVEYLEELKKLAENEGISDRVNFITSCSTSERNMLLSQCICVLYTPKDEHFGIVPLEAMAAQKPVIACNSGGPVETVKDKVTGFLCDPTPLDFSKPMSQLITDPELAVRMGMEARAHVDEKFSTRTFGKQLNSFVLDTHHRRIE >DRNTG_08251.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:189242:203492:1 gene:DRNTG_08251 transcript:DRNTG_08251.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRENSEGLPSVSTDKVKLGQPGYKERYYAEKFDVEEPKSIDDIKKDVVQKYVEGLCWIMRYYYQGVCSWQWFYPYHYAPFASDLKGLADMEIFFFLGQPFKPFDQLMGTLPAASSSALPEHYRVLMTDPESPLSSFYPKDFEIDMNGKRFAWQGVVKLPFIDERRLLAETMKLEDTLTEEEKYRNRRMSDIIYVNRNHRLAEQIFALYHMCSQLPFEERFICIPIDANLSGGMNGFLSLSERNFFSTSILAPVKGLKNVDHNQVWNATYLNPPHHEHIPEPPEGVVVPKKVLKPYDIKPFPVLWHEDNSSRRQQTRDRAQVYGAISGPQLGEAAHRLLKNTLQIKSSSRPGLLEAPQWDSPSSYKQRPAGPVGYEGGFIPETNHYHHSNHYSRGSSSSRPFPYNSDNHNSSRQHYRAERPPYPQDHSYELRTRTSNLTLDDGPKVQPHELAPSVGFWQNQQPPLQNSRPPPPFPPMNWIDRQPYRGGFNGGPRHPVMAPRGVFDKQQQQATKVYRIRSPSPPVDP >DRNTG_08251.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:194175:203492:1 gene:DRNTG_08251 transcript:DRNTG_08251.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQQEKCFQCGQIGHLAAECRGNSDARGPEFHDKDETPIYKKKYQFLNIWVLREYLENDLRIPDPPSEINFERLVDDFVFLCFFVGNDFLPHMPTLEIREGAITLLMFVYKNEFTAMGGYLTEAGEVSLERTEHFIQSVAVYEEQIFQKRARIQQAIENNEEFRLKMRRENSEGLPSVSTDKVKLGQPGYKERYYAEKFDVEEPKSIDDIKKDVVQKYVEGLCWIMRYYYQGVCSWQWFYPYHYAPFASDLKGLADMEIFFFLGQPFKPFDQLMGTLPAASSSALPEHYRVLMTDPESPLSSFYPKDFEIDMNGKRFAWQGVVKLPFIDERRLLAETMKLEDTLTEEEKYRNRRMSDIIYVNRNHRLAEQIFALYHMCSQLPFEERFICIPIDANLSGGMNGFLSLSERNFFSTSILAPVKGLKNVDHNQVWNATYLNPPHHEHIPEPPEGVVVPKKVLKPYDIKPFPVLWHEDNSSRRQQTRDRAQVYGAISGPQLGEAAHRLLKNTLQIKSSSRPGLLEAPQWDSPSSYKQRPAGPVGYEGGFIPETNHYHHSNHYSRGSSSSRPFPYNSDNHNSSRQHYRAERPPYPQDHSYELRTRTSNLTLDDGPKVQPHELAPSVGFWQNQQPPLQNSRPPPPFPPMNWIDRQPYRGGFNGGPRHPVMAPRGVFDKQQQQATKVYRIRSPSPPVDP >DRNTG_08251.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:189242:203492:1 gene:DRNTG_08251 transcript:DRNTG_08251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLADRYPLSIADVIEEEPPRGAATVDASRPNPNGMEFDNLYLDMNGIIHPCFHPDGRSPPTTYDDVFRSIFDYIDHLFGLVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAAEAAAEEERLRKEFEDEGRNLSISEKPETLDSNVITPGTGFMGALSVALQYYIHLRLNNTPGWQSIKVILSDSNVPGEGEHKIMSYIRLQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVISMPGQQEKCFQCGQIGHLAAECRGNSDARGPEFHDKDETPIYKKKYQFLNIWVLREYLENDLRIPDPPSEINFERLVDDFVFLCFFVGNDFLPHMPTLEIREGAITLLMFVYKNEFTAMGGYLTEAGEVSLERTEHFIQSVAVYEEQIFQKRARIQQAIENNEEFRLKMRRENSEGLPSVSTDKVKLGQPGYKERYYAEKFDVEEPKSIDDIKKDVVQKYVEGLCWIMRYYYQGVCSWQWFYPYHYAPFASDLKGLADMEIFFFLGQPFKPFDQLMGTLPAASSSALPEHYRVLMTDPESPLSSFYPKDFEIDMNGKRFAWQGVVKLPFIDERRLLAETMKLEDTLTEEEKYRNRRMSDIIYVNRNHRLAEQIFALYHMCSQLPFEERFICIPIDANLSGGMNGFLSLSERNFFSTSILAPVKGLKNVDHNQVWNATYLNPPHHEHIPEPPEGVVVPKKVLKPYDIKPFPVLWHEDNSSRRQQTRDRAQVYGAISGPQLGEAAHRLLKNTLQIKSSSRPGLLEAPQWDSPSSYKQRPAGPVGYEGGFIPETNHYHHSNHYSRGSSSSRPFPYNSDNHNSSRQHYRAERPPYPQDHSYELRTRTSNLTLDDGPKVQPHELAPSVGFWQNQQPPLQNSRPPPPFPPMNWIDRQPYRGGFNGGPRHPVMAPRGVFDKQQQQATKVYRIRSPSPPVDP >DRNTG_08251.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:189242:203492:1 gene:DRNTG_08251 transcript:DRNTG_08251.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYIRLQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVISMPGQQEKCFQCGQIGHLAAECRGNSDARGPEFHDKDETPIYKKKYQFLNIWVLREYLENDLRIPDPPSEINFERLVDDFVFLCFFVGNDFLPHMPTLEIREGAITLLMFVYKNEFTAMGGYLTEAGEVSLERTEHFIQSVAVYEEQIFQKRARIQQAIENNEEFRLKMRRENSEGLPSVSTDKVKLGQPGYKERYYAEKFDVEEPKSIDDIKKDVVQKYVEGLCWIMRYYYQGVCSWQWFYPYHYAPFASDLKGLADMEIFFFLGQPFKPFDQLMGTLPAASSSALPEHYRVLMTDPESPLSSFYPKDFEIDMNGKRFAWQGVVKLPFIDERRLLAETMKLEDTLTEEEKYRNRRMSDIIYVNRNHRLAEQIFALYHMCSQLPFEERFICIPIDANLSGGMNGFLSLSERNFFSTSILAPVKGLKNVDHNQVWNATYLNPPHHEHIPEPPEGVVVPKKVLKPYDIKPFPVLWHEDNSSRRQQTRDRAQVYGAISGPQLGEAAHRLLKNTLQIKSSSRPGLLEAPQWDSPSSYKQRPAGPVGYEGGFIPETNHYHHSNHYSRGSSSSRPFPYNSDNHNSSRQHYRAERPPYPQDHSYELRTRTSNLTLDDGPKVQPHELAPSVGFWQNQQPPLQNSRPPPPFPPMNWIDRQPYRGGFNGGPRHPVMAPRGVFDKQQQQATKVYRIRSPSPPVDP >DRNTG_19892.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18169187:18169444:-1 gene:DRNTG_19892 transcript:DRNTG_19892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTALTSWKSVLAEICERWGLDISRVRVKFITPDGHKTVCPIENKVEFQCMCHVYSIFNCAVVDLIVETNDVPLSYPTENDFFSL >DRNTG_34649.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002169.1:31027:32915:-1 gene:DRNTG_34649 transcript:DRNTG_34649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSDRVRLNVGGELFETTTTTLSVAGRDSMLGALIDSHWNRPPSSGANSAPEYFLDRNPACFSVLLDLLRSGELHLPPSLPERLLLREASFYGLLPLVRAARWGPLDGNRLRYSTSISGTAPGDGISISASPSGGCCVAHGPILHVYDWTFVEQPPITLDYQRINSAAFLDPSHILLTARGMALFSSSSSELLHRFHVRHENQLRSFTAGALSFNHTDSKIFASCKGRYNEYGIGVWDQNSGLQTDFFYELPDCPVGDSDSLQWLDSPKCLISATLFPKTEHCYIALLDFREKNVVWSWTDTVSDDKRVLDVVAMEDNHSVCVVNQYDDLGFLDLRMNGGGVRWSSRSKLTKVKGRRNVNEEELCYPRLASHGGQLFLAMNDTVSVFSGPEFVHTSTLRKSEGGAICDFSIGGDRLFALHSEENVFDVWETPPASSPML >DRNTG_28899.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:600130:603544:-1 gene:DRNTG_28899 transcript:DRNTG_28899.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSELDFASEPLPESIKILAITGTNGKSTVTNFAGQMLHNVGIEAFVGGNLGTPLSKAAKQCLEAPTQRQPYQVAVVEVSSYQMEIPNQHFSPSVAVVLNLTPDHLERHKTMENYALMKCSLFSHMKDGRLAVLPQGNDFINDAFGKKARECNVAWIGDLPGIKVDAAAKVATFKFPSSELVTNLQLGTLKAMGTHNFINAAVAAFSVLGLDVGIDNDSIHNTIESLTLLPHRMQIVCRDAHGVTWVDDSKATNVESTFTGLMGLKEHKLVVLLGGLAKVMSGNVSNGFEKLVELLNDHRGVITFGSSGEMIYKTLCDSGLSTPCVQATNLEGAVNCARSMARSGDTVLLSPGCASFDEFRNFEHRGQVFQKLALSSSETLPLPL >DRNTG_28899.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:600130:604476:-1 gene:DRNTG_28899 transcript:DRNTG_28899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAFALSSLSSSPLRVRSFKEDLRGQRVAVVGLGNSGRAAIDLALARGASVLAIDKNEQLMPLENDSQFSGNACLQTILGHCDTSLLANADRIVVSPGVSLEEFGLSTLLQSGRRVMSELDFASEPLPESIKILAITGTNGKSTVTNFAGQMLHNVGIEAFVGGNLGTPLSKAAKQCLEAPTQRQPYQVAVVEVSSYQMEIPNQHFSPSVAVVLNLTPDHLERHKTMENYALMKCSLFSHMKDGRLAVLPQGNDFINDAFGKKARECNVAWIGDLPGIKVDAAAKVATFKFPSSELVTNLQLGTLKAMGTHNFINAAVAAFSVLGLDVGIDNDSIHNTIESLTLLPHRMQIVCRDAHGVTWVDDSKATNVESTFTGLMGLKEHKLVVLLGGLAKVMSGNVSNGFEKLVELLNDHRGVITFGSSGEMIYKTLCDSGLSTPCVQATNLEGAVNCARSMARSGDTVLLSPGCASFDEFRNFEHRGQVFQKLALSSSETLPLPL >DRNTG_33862.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19193555:19196995:-1 gene:DRNTG_33862 transcript:DRNTG_33862.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRVEFPHARGFLFRAHPEKSQGRAAAPVNDHANITRPWVISARVCEVLQSLVDYPKSAQGRELALVGDLVKIARAWVISARPCESLQWSPLHPEKTQGHALAPVSWACECPRSCEIFARA >DRNTG_15501.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20866913:20869691:1 gene:DRNTG_15501 transcript:DRNTG_15501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTICSSGARPDPNPNPNPHFPLPHPPPPRPPDPSPAPAMPALPYSHVDANLRSLAGQAEGFGRHAIGGLHGPIYYVTSLADDGHGSLRYGCRRKEPLWIVFEVSGTIHLSSYLRVSSYKTIDGRGQRIRLTGKGLQLKECEHVIVCNLEFEGGRGHDVDGIQIKPKSRHIWIDRCSLRDYDDGLIDITRESTDITISRCHFGQHDKTMLIGADSSHVTDRCMRVTIHHCFFNGTRQRHPRVRFGKVHLYNNYTRNWGIYAVCASVESQIHSQCNIYEAGQKKVVFQYYTEKAADKEEAVAGWVRSEGDLFLNGAQPNLLEGVGVASVFKPHEHYPTWTMEPASMALKDTLQVCTGWQSITRPPEN >DRNTG_34297.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20448237:20451083:-1 gene:DRNTG_34297 transcript:DRNTG_34297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIQFSVCMGLYDETYTNTEEYGRLPTNFPGTWTPQQAYQTLCGQGQFVDGRSDNTGVLTRQGLLYLYSMVRTVPIHMGKIVADYLLHQGQNGRVGVLFAGPYITKLILGMGLVDVIRDAEKTVTPSLLGLDTLRMMGVVRRYGPGVHILVTSTIESTEIGGNGSRDSVPMVTETSGRPSTLSQAYDRIKRLESTVSVLWSEIIEIRVIQSAQYTDMVAHFDMFRPLQHHHHHRHKHPLI >DRNTG_14379.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:436980:437612:1 gene:DRNTG_14379 transcript:DRNTG_14379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNNNNNKLSSPATTPATNDHRENLRCPRCDSSNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKTKPSMSTTKSISTKSKPLHSESMINFSSSSLFHPTHSSQLFTLLAPSPFQNPNPNPNPNYCNIIGSYGGQSFGLDQFNPLVVDHFPSIQELYQKLRSSSSSFHYINGDELQGIISNQSFPTGDEFSYWNGSLF >DRNTG_27233.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1509783:1513780:-1 gene:DRNTG_27233 transcript:DRNTG_27233.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease APCB1 [Source:Projected from Arabidopsis thaliana (AT1G49050) UniProtKB/Swiss-Prot;Acc:Q9M9A8] MGSNGGDPRRPPPQLHGVVIISLPPPDDPTKGKTITAFTLSDSDPSPLHHHNDHLLTLPPPPPPPQPPLPLPLSPKRVIAFLFATSILILSLWVCLFSDVPYQLLSSVDEDGRRRNESSSFLFDLYPKLGNRSGSVMPDVKLGFFRMKNGKSSKLGASSSKNSSAVFPLKGNIFPDGQYYTSVFVGNPPKPYFLDVDTGSDLTWIQCDAPCTSCAKGPHPLYKPTKGKIVPSRDLLCREVQSNQNFGYCDSCHQCDYEIEYADRSSSMGVLARDDMHLITANNDLAKFNFAFGCAYDQQGQLLASPAKTDGILGLSSSRVSLPSQLASQGIINNVVGHCISTEADRGGYMFLGDDFVPRWGMTWVPNLSSRRNYYRAEVMKIKYGPQQLKLGAGSRNVGQVVFDTGSSYTYFTNEVYTSLLTTVSSCYHQKVLTFLLTIVNLCPYSCEPRSLKRIANGLIQDNSDSTLPVCWRADFPIRSVKDVKQFFEPLTLQFGKLWWMKALTLTIPPESYLIISDKGYVCLGILDGSEIIDGSTIILGDISLRGKLLVYDNVEQKIGWTNSDCAKPQKSRGFPFFL >DRNTG_15362.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26786327:26787586:1 gene:DRNTG_15362 transcript:DRNTG_15362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRNERRQSRDQITREIRIEGDRARDELMSYLLSSDLCHSMIRMSSSAFLGLCDMLVRDGGLRATLHVSVEEQVAKTLYVLGHNVTHRELSFFFRRSRETTFRHFHNVLQAIIELEDKYLTQPDGSQIPSEIFNSNRFHPYFKDCIGAIDGTHIRVKVPSAEAPKYRGRKDYPTQNVLAACTFDLKFTYVLPGWEGTTSDSRIIKNALIRPYPLRIPEGKYYLVDAGFMLRRGLITPYRGERYHLKEYSRNPPRNPRELFNLRHASLRSAIERAFGVLKKRFPIIASSTEPHYGLETHKEIIFACCILHNYLMGVDPDEALITQVDNEIRDEAGDEYRASGENNEETIQGEIIRDEIAVEM >DRNTG_14354.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21682675:21685347:-1 gene:DRNTG_14354 transcript:DRNTG_14354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNFVVTVAAVTAAVLLFRSDVRQSARIFRQNVRQIRVWLEEESTSAAKSAERTRPKELDTQVPKEETPKEKH >DRNTG_33323.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23580468:23591441:-1 gene:DRNTG_33323 transcript:DRNTG_33323.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGASFLKAVELRLLRCSLFHPLSSPPPIAHPLIESLVDSIEQGRYADVLSSSEATQLLFGFADSWEFDESTEGASQFYDEVERNIDRFLRRDSETWLQVLDEGGGDDSDVEFRYALVMCLGVAALLAFTQQNVTGPVGNYSPFPVLIRGKEWPVNGETQWDAWAQNQITSIGCGLLGKFSLLQYIVYAKVLLSKLWDLSKAVMDTGIIGCRTTSWWLCRLTLLQQRILDELSSSLYGLLKIFRDETLLHFGKSENIMDYWGSMSCIEEASMITSMAYLEAAILEYKFGRVDNSRSYLDSAEKACGLHLFLTGALGFRTAHQVDAKAQMILISETDHQNQGNGSPIDSSQAQDNDHASDNNGDPNTNGHLDCSDILRRPRLVENDNDGQVSNVSRNVALTAMQQAVVLAQCLHLKRRSREDELSRWEMAPYIEAIDAQQQSCFTIRNFCDLLRIGWESTRSRTKQRALLMMDKVVEGVKADSPVVAKRIQFSYGVYFPAIPALRKEYGEILVSCGLIGEALKIFEDLELWDNLIYCYRLLDKKAAAVDLINARLLVMPTEPKLWCSLGDVTNDDAYYEKALEVSDNKSVRAKRSLARSAYNRKDYETSKILWEAAMAQNSFYPEGWFALGFAALKVIF >DRNTG_33323.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23580468:23591441:-1 gene:DRNTG_33323 transcript:DRNTG_33323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGASFLKAVELRLLRCSLFHPLSSPPPIAHPLIESLVDSIEQGRYADVLSSSEATQLLFGFADSWEFDESTEGASQFYDEVERNIDRFLRRDSETWLQVLDEGGGDDSDVEFRYALVMCLGVAALLAFTQQNVTGPVGNYSPFPVLIRGKEWPVNGETQWDAWAQNQITSIGCGLLGKFSLLQYIVYAKVLLSKLWDLSKAVMDTGIIGCRTTSWWLCRLTLLQQRILDELSSSLYGLLKIFRDETLLHFGKSENIMDYWGSMSCIEEASMITSMAYLEAAILEYKFGRVDNSRSYLDSAEKACGLHLFLTGALGFRTAHQVDAKAQMILISETDHQNQGNGSPIDSSQAQDNDHASDNNGDPNTNGHLDCSDILRRPRLVENDNDGQVSNVSRNVALTAMQQAVVLAQCLHLKRRSREDELSRWEMAPYIEAIDAQQQSCFTIRNFCDLLRIGWESTRSRTKQRALLMMDKVVEGVKADSPVVAKRIQFSYGVYFPAIPALRKEYGEILVSCGLIGEALKIFEDLELWDNLIYCYRLLDKKAAAVDLINARLLVMPTEPKLWCSLGDVTNDDAYYEKALEVSDNKSVRAKRSLARSAYNRKDYETSKILWEAAMAQNSFYPEGWFALGFAALKAGDYEKAVDGFTQTVLFDPENGDAWNNIACLHLKKKKTKEAFVAFKEALKFKRNRWELWANYSKVAFDIGNIGQALEAIKKVLELHGNKGIDVELLDKIMEKIEEKSAQPVVTSDEDSTPENSREIDFLVGMVGNILQLAIRGGGSEGLWGLYARWHKIKGDLLMCKEALLKQIRSYQGSDMWHDVERFKKFAHASLQLCQVCMEIALSTGNHSELAWAERHLSNTIKQAVDFSGTDEYRDLKNCLDKVQNQ >DRNTG_33323.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23580468:23591441:-1 gene:DRNTG_33323 transcript:DRNTG_33323.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYIEAIDAQQQSCFTIRNFCDLLRIGWESTRSRTKQRALLMMDKVVEGVKADSPVVAKRIQFSYGVYFPAIPALRKEYGEILVSCGLIGEALKIFEDLELWDNLIYCYRLLDKKAAAVDLINARLLVMPTEPKLWCSLGDVTNDDAYYEKALEVSDNKSVRAKRSLARSAYNRKDYETSKILWEAAMAQNSFYPEGWFALGFAALKVIF >DRNTG_15808.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15324422:15335348:-1 gene:DRNTG_15808 transcript:DRNTG_15808.5 gene_biotype:protein_coding transcript_biotype:protein_coding MILFHHAGARTACYPSPINPSFSCQRRAPAISYRLIVRSSSSSPSNPMSEMLEASSILKEGKKPAVCTADELHYVAVPGTEWRLALWRYLPSPKAPVRSHPLMLLSGVGTNAIGYDLSPGASFSRHMCSQGFDTWIVEVRGAGLSIRDSGPKSQPPSKALISEFVTVIDGNANGVPLLEKQSVVMTDSGSESEISVVSRDSKGELALDEPQLVTKLTDAFLRLAETLSGYLNDGQLMVVSSKFLDRISELLGDARLSDRFNEIKGSISGLLKTRETSAIAAQIRELSQRLVNIIDDGQRSVSPQLFDLQERLSATIEDFQKQLDLIVTYNWDFDNYLEEDIPAAVRWNILS >DRNTG_15808.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15324335:15335348:-1 gene:DRNTG_15808 transcript:DRNTG_15808.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILFHHAGARTACYPSPINPSFSCQRRAPAISYRLIVRSSSSSPSNPMSEMLEASSILKEGKKPAVCTADELHYVAVPGTEWRLALWRYLPSPKAPVRSHPLMLLSGVGTNAIGYDLSPGASFSRHMCSQGFDTWIVEVRGAGLSIRDSGPKSQPPSKALISEFVTVIDGNANGVPLLEKQSVVMTDSGSESEISVVSRDSKGELALDEPQLVTKLTDAFLRLAETLSGYLNDGQLMVVSSKFLDRISELLGDARLSDRFNEIKGSISGLLKTRETSAIAAQIRELSQRLVNIIDDGQRSVSPQLFDLQERLSATIEDFQKQLDLIVTYNWDFDNYLEEDIPAAVRWNILS >DRNTG_15808.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15324422:15335348:-1 gene:DRNTG_15808 transcript:DRNTG_15808.6 gene_biotype:protein_coding transcript_biotype:protein_coding MILFHHAGARTACYPSPINPSFSCQRRAPAISYRLIVRSSSSSPSNPMSEMLEASSILKEGKKPAVCTADELHYVAVPGTEWRLALWRYLPSPKAPVRSHPLMLLSGVGTNAIGYDLSPGASFSRHMCSQGFDTWIVEVRGAGLSIRDSGPKSQPPSKALISEFVTVIDGNANGVPLLEKQSVVMTDSGSESEISVVSRDSKGELALDEPQLVTKLTDAFLRLAETLSGYLNDGQLMVVSSKFLDRISELLGDARLSDRFNEIKGSISGLLKTRETSAIAAQIRELSQRLVNIIDDGQRSVSPQLFDLQERLSATIEDFQKQLDLIVTYNWDFDNYLEEDIPAAMEYIKLESKPKDGRVLAIGHSMGGILLYAMISRCAFRGMKSGLAAMVTLASSVDYTSSKSSLKLLLPLADPAQALNVPVVPLGALLAAAYPLASRPPYVLSWLNPQISAQDMMHPELLRKLVLNNFCTIPAKLLLQLTTAFREGGLRDRTCTFSYKDQLHKCNIPVLALAGDQDLICPPEAVYDTIKLIPEFLVTYKAFGKPDGPHYGHYDLVGGRLVSVLYDCRYSAALNKKFVHILPFLPLPLFFCCVQAIDEIYPCIIEFLSQHDQLCSD >DRNTG_15808.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15324422:15335348:-1 gene:DRNTG_15808 transcript:DRNTG_15808.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILFHHAGARTACYPSPINPSFSCQRRAPAISYRLIVRSSSSSPSNPMSEMLEASSILKEGKKPAVCTADELHYVAVPGTEWRLALWRYLPSPKAPVRSHPLMLLSGVGTNAIGYDLSPGASFSRHMCSQGFDTWIVEVRGAGLSIRDSGPKSQPPSKALISEFVTVIDGNANGVPLLEKQSVVMTDSGSESEISVVSRDSKGELALDEPQLVTKLTDAFLRLAETLSGYLNDGQLMVVSSKFLDRISELLGDARLSDRFNEIKGSISGLLKTRETSAIAAQIRELSQRLVNIIDDGQRSVSPQLFDLQERLSATIEDFQKQLDLIVTYNWDFDNYLEEDIPAAVRWNILS >DRNTG_15808.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15324229:15335348:-1 gene:DRNTG_15808 transcript:DRNTG_15808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFHHAGARTACYPSPINPSFSCQRRAPAISYRLIVRSSSSSPSNPMSEMLEASSILKEGKKPAVCTADELHYVAVPGTEWRLALWRYLPSPKAPVRSHPLMLLSGVGTNAIGYDLSPGASFSRHMCSQGFDTWIVEVRGAGLSIRDSGPKSQPPSKALISEFVTVIDGNANGVPLLEKQSVVMTDSGSESEISVVSRDSKGELALDEPQLVTKLTDAFLRLAETLSGYLNDGQLMVVSSKFLDRISELLGDARLSDRFNEIKGSISGLLKTRETSAIAAQIRELSQRLVNIIDDGQRSVSPQLFDLQERLSATIEDFQKQLDLIVTYNWDFDNYLEEDIPAAMEYIKLESKPKDGRVLAIGHSMGGILLYAMISRCAFRGMKSGLAAMVTLASSVDYTSSKSSLKLLLPLADPAQALNVPVVPLGALLAAAYPLASRPPYVLSWLNPQISAQDMMHPELLRKLVLNNFCEYLCPIVFQT >DRNTG_15808.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15324422:15335348:-1 gene:DRNTG_15808 transcript:DRNTG_15808.4 gene_biotype:protein_coding transcript_biotype:protein_coding MILFHHAGARTACYPSPINPSFSCQRRAPAISYRLIVRSSSSSPSNPMSEMLEASSILKEGKKPAVCTADELHYVAVPGTEWRLALWRYLPSPKAPVRSHPLMLLSGVGTNAIGYDLSPGASFSRHMCSQGFDTWIVEVRGAGLSIRDSGPKSQPPSKALISEFVTVIDGNANGVPLLEKQSVVMTDSGSESEISVVSRDSKGELALDEPQLVTKLTDAFLRLAETLSGYLNDGQLMVVSSKFLDRISELLGDARLSDRFNEIKGSISGLLKTRETSAIAAQIRELSQRLVNIIDDGQRSVSPQLFDLQERLSATIEDFQKQLDLIVTYNWDFDNYLEEDIPAAMEYIKLESKPKDGRVLAIGHSMGGILLYAMISRCAFRGMKSGLAAMVTLASSVDYTSSKSSLKLLLPLADPAQALNVPVVPLGALLAAAYPLASRPPYVLSWLNPQISAQDMMHPELLRKLVLNNFCTIPAKLLLQLTTAFREGGLRDRTCTFSYKDQLHKCNIPVLALAGDQDLICPPEAVYDTIKLIPEFLVTYKAFGKPDGPHYGHYDLVGGRLAIDEIYPCIIEFLSQHDQLCSD >DRNTG_25183.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3907323:3909335:-1 gene:DRNTG_25183 transcript:DRNTG_25183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLCRGSGMSRILGKRLGGPQRFTPLHAQLGPSRGS >DRNTG_08638.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000389.1:34480:38289:1 gene:DRNTG_08638 transcript:DRNTG_08638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPSHAYIMANPNNKLEDYVHRFDGWINLQTQQHFLPPPDNHLYTLLPSGDSSLSFDGCSWHTVFETFMKSGTSSFATMNPTFEDRVEMGQVTARVQECNLGQQFEQHEGLGNNGECYELHVHGTDNSSDESAGFNSLTNSMDAQFGKVNAQLTQHGEQFTEIGSILRNLQASVKSLEHRVGELAKANSERPLRCLQSNTEENPREHLKAIALRSGRQVETRVEVDPSVKKSGVAFGEDPKLVEGRGALYKSLGRILGRVGE >DRNTG_28740.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31103990:31107362:1 gene:DRNTG_28740 transcript:DRNTG_28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASNPAPPKLGYHLEINPTAPAAHHPKPQSSSSRDFQPFGRWIPWLVPSFVVANVVLFVITMYVNDCPENSPSCFAGFLGRFSFQPLKENPLIGPSSSALEKMGGLDVQKVVGEHQGWRLVVCIWLHAGVVHIFANMLSLLFIGIRLEQEFGFVRIGLLYVISGFGGSLMSSLFSQTTIGVGASGALFGLLGGMLSELIMNWTIYANKLAALLTLILVIAINLAVGILPHVDNFAHIGGFVSGFLLGFVILIRPQFGWVSKKHTPLGYPAGPVKRKHKIYQYILWIFAAILLTFGFTVALIMLFRGFNANDHCSWCHYLTCIPTSKWSCKPKVHYCTTIQSATQLNLTCQGNGKTQSYSLSNATEIQIQDLCRLLCT >DRNTG_10082.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1566459:1568329:-1 gene:DRNTG_10082 transcript:DRNTG_10082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSSPQSQTLIVRCRMFIGKISDSLWTIENLKHVDLGISSSVPPPNMGNIELKNLETLKWVPAGEWIGKMLPKLTNLRQLNITNISVDHADALSSSLQKFVQLASLTIQGDEIPLDNIITAFSNQHCLKKLYIWGEFNCKQLPRNDVFPQQLVKLILINSQLEQDPMATLEKLEFLKYLSLGNQSYRGKQMICSAAGFPQLFSLTIVGFYALEEWKIEEKAMPCLKYLHIAYCSELKVLPEGLKNVPLDQLVLRSMSEELETRVKEKTGEDWSKIQHVHNFSIRP >DRNTG_10082.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1566459:1568290:-1 gene:DRNTG_10082 transcript:DRNTG_10082.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSSPQSQTLIVRCRMFIGKISDSLWTIENLKHVDLGISSSVPPPNMGNIELKNLETLKWVPAGEWIGKMLPKLTNLRQLNITNISVDHADALSSSLQKFVQLASLTIQGDEIPLDNIITAFSNQHCLKKLYIWGEFNCKQLPRNDVFPQQLVKLILINSQLEQDPMATLEKLEFLKYLSLGNQSYRGKQMICSAAGFPQLFSLTIVGFYALEEWKIEEKAMPCLKYLHIAYCSELKVLPEGLKNVPLDQLVLRSMSEELETRVKEKTGEDWSKIQHVHNFSIRP >DRNTG_10082.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1566928:1568329:-1 gene:DRNTG_10082 transcript:DRNTG_10082.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSSPQSQTLIVRCRMFIGKISDSLWTIENLKHVDLGISSSVPPPNMGNIELKNLETLKWVPAGEWIGKMLPKLTNLRQLNITNISVDHADALSSSLQKFVQLASLTIQGDEIPLDNIITAFSNQHCLKKLYIWGEFNCKQLPRNDVFPQQLVKLILINSQLEQDPMATLEKLEFLKYLSLGNQSYRGKQMICSAAGFPQLFSLTIVGFYALEEWKIEEKAMPCLKYLHIAYCSELKVLPEGLKNVPLDQLVLRSMSEELETRVKEKTGEDWSKIQHVHNFSIRP >DRNTG_10082.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1567040:1568329:-1 gene:DRNTG_10082 transcript:DRNTG_10082.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSSPQSQTLIVRCRMFIGKISDSLWTIENLKHVDLGISSSVPPPNMGNIELKNLETLKWVPAGEWIGKMLPKLTNLRQLNITNISVDHADALSSSLQKFVQLASLTIQGDEIPLDNIITAFSNQHCLKKLYIWGEFNCKQLPRNDVFPQQLVKLILINSQLEQDPMATLEKLEFLKYLSLGNQSYRGKQMICSAAGFPQLFSLTIVGFYALEEWKIEEKAMPCLKYLHIAYCSELKVLPEGLKNVPLDQLVLRSMSEELETRVKEKTGEDWSKIQHV >DRNTG_10082.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1567040:1568290:-1 gene:DRNTG_10082 transcript:DRNTG_10082.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSSPQSQTLIVRCRMFIGKISDSLWTIENLKHVDLGISSSVPPPNMGNIELKNLETLKWVPAGEWIGKMLPKLTNLRQLNITNISVDHADALSSSLQKFVQLASLTIQGDEIPLDNIITAFSNQHCLKKLYIWGEFNCKQLPRNDVFPQQLVKLILINSQLEQDPMATLEKLEFLKYLSLGNQSYRGKQMICSAAGFPQLFSLTIVGFYALEEWKIEEKAMPCLKYLHIAYCSELKVLPEGLKNVPLDQLVLRSMSEELETRVKEKTGEDWSKIQHV >DRNTG_13588.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000622.1:7008:7512:-1 gene:DRNTG_13588 transcript:DRNTG_13588.4 gene_biotype:protein_coding transcript_biotype:protein_coding PIGLVRSPRHSRNQRGGHRRPRKHHRHRRRSRSPRQIGTLIR >DRNTG_13588.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000622.1:7008:11154:-1 gene:DRNTG_13588 transcript:DRNTG_13588.1 gene_biotype:protein_coding transcript_biotype:protein_coding ADQVAHQCEEFKFVAIGLVRSPRHSRNQRGGHRRPRKHHRHRRRSRSPRQIGTLIR >DRNTG_13588.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000622.1:7008:10378:-1 gene:DRNTG_13588 transcript:DRNTG_13588.2 gene_biotype:protein_coding transcript_biotype:protein_coding ADQVAHQCEEFKFVAIGLVRSPRHSRNQRGGHRRPRKHHRHRRRSRSPRQIGTLIR >DRNTG_13588.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000622.1:7008:8953:-1 gene:DRNTG_13588 transcript:DRNTG_13588.3 gene_biotype:protein_coding transcript_biotype:protein_coding ADQVAHQCEEFKFVAIGLVRSPRHSRNQRGGHRRPRKHHRHRRRSRSPRQIGTLIR >DRNTG_24735.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2301515:2302710:1 gene:DRNTG_24735 transcript:DRNTG_24735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCCSKGTTSEANGYRYQRPEPSPPPPRPPPPSQRPAKPSTPPSHHQTHAPPPESRYAPPSPLKYSTPTPPPPKPESYSDTILGKAFADVRSFYTLGKELGRGQFGVTYLCTENATGLSYACKSVSKRKLVNKNDREDIKREIQIMQHLTGQANIVEFKGAYEDKHSVHLVMELCAGGELFDRIIAKGHYSERAAATICRAIVNVVHICNFMGVMHRDLKPENFLLATKDEGAMLKATDFGLSVFIEE >DRNTG_14292.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4992967:4994743:1 gene:DRNTG_14292 transcript:DRNTG_14292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCLGGVYVVKRREFKHDMVIFFILSLAPRFQIPAPASPSPLPKHPIMHPIVHQSPLPSLGYILHRASSPVSRPIQIPIAAHPLISSAVHSAQSRRISTVQRYHSSILCLLIPYKA >DRNTG_00206.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15561124:15569738:1 gene:DRNTG_00206 transcript:DRNTG_00206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSFLWKKVGRGAFVEAYPIPDKGILGRRVEDFHKTIDMTIDGEMFNPDPNEGLFDQEEDYEEVIILGSTEEIPSTPGILKNVLQKMKRTRRRHRKYSKDIGDARKPTELDEPSLGFWSGLTALTSSFLWKKVGRGASVEAYPIPDKGIFGRRVEDFPQDHRHD >DRNTG_07123.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4798328:4800723:1 gene:DRNTG_07123 transcript:DRNTG_07123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGIILSTTIPLTLILILFTLYIYFRKRYNSMRRRDSLEAGGYGYDDGDPEPEELLRFAGGEHLTAHDILDAPGEVVGKSGYGTLYKATVQRGGVQLLLLRFVRPVCAGRTKEILPAVSVLGGVRHPNLVPLRAVYVGPRGEKLFVHPFYLAGNLSHFLHDSNAEAHRWEIIYKISLGIAKGLDHLHTGLQKPLIHGNLKSNNILLEDDYRPRISDYGLHLLLNPTFSQEMLEAAAAQGYKAPELIKMKEATKESDIYSMGVIFLEMLTRKEPVNNNFLHSKDQHLPTSLRNLVLEHNISNIFSSELVKQSKHRSGVSEECLLKYFQVAMACCSPSPALRPDIKQVVRKLEEIER >DRNTG_31573.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001723.1:43168:44290:-1 gene:DRNTG_31573 transcript:DRNTG_31573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHVSGIVALIMSKLKNDNKRQWSTSEIQSALITTANTFDLDGRPIFDEATLNNSANILQRGAGQVNVTNAMDPGLLYNIETDDYVAYLCGIFFNSSQTVQIFTKNNTQCTRSISGDQLNYASIGVQMRSRLARTTISRTVTNVGDAREIYNAKIIEPPFVKIYLSQYRLSFTRLEQQITYSITFTMNGTHPGSGVIGQGELSWVSSKHTVTSPIYIAF >DRNTG_21009.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:11637:12227:-1 gene:DRNTG_21009 transcript:DRNTG_21009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFKLMLAGTGIKGQLPVKLLSTASSTSSLDLSSNELTGELPHWIGEMSGLSFLNLSNNGFHSRIPEEFKNLTLLMDLDLHSNAFSGELRPVLAKSTSDPLGHYNSLDLSCNQFTGGIDEDIGELPAMDTLESLVLSDNPLGGNIPATLRKLENLRVMAMAKNGLIGGIPEGVLDIAGLTEFDV >DRNTG_24900.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:369991:372515:1 gene:DRNTG_24900 transcript:DRNTG_24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding QPNSTGDLDRKRDRRQAGDKAEEKRLAAGGDLAIWERRRAGKAARAKVEAIKGDGQEWKSDLLLFVFYRVYEGLWTF >DRNTG_24295.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21271711:21274618:-1 gene:DRNTG_24295 transcript:DRNTG_24295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRGLQEGERERGRQERPGRGRKREKAGGQRNLTFYTMPR >DRNTG_21279.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4767084:4776473:1 gene:DRNTG_21279 transcript:DRNTG_21279.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKTRVEPSGSEEGSGSQEPGGIGRTQRPVLQQQGGGRGGRGWIPANPQQPYHGGRGGGFYQVRGSGPQTHGGMAQPQQGARPPTEYQVRGRGQQRGGMTQQQYHGGHRGGGQMTGGLGGGPSVAGPSRPSVPELHQATQAPYPAAPSSLSIAGPSQSPMMTAVQVTEHIESLSLKDEVPTSQASQQVVPVAPSSRSMRFPLRPGKGSNGTKCVVKANHFFAELPDKDLHQYDVSITPEVTSRGVNRAVMRQLIALYQESHLGGRLPAYDGRKSLYTAGPLPFSSKEFKITLIDEDDGSGMERRQRQFNVVIKFAARADLHHLQLFLDGKHAEAPQEALQVLDIVLRELPNQRYTPVGRSFYSANLGRKQPLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVAQLLNRDVSARPLSDSDRVKIKKALRGIKVEVTHRGNMRRKYRISGLTPQATRELTFPVDERGTMKSVVQYFQETYGFPIQHTTWPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPHQRELDIIQTVQHNEYGSDRYAQEFGIKISDKLASVEARILPAPRLKYNDTGREKDVLPKIGQWNMMNKKMVNGGRVNNWICINFARNVQDNVARSFCYELAQMCQISGMDFFLEPVLPPLTAHPEQVERALKARYHDAMLRLQPQGKDLDLLIAILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLMDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVSAQAHRQELIQDLFRVWQDPKRGSVSGGMIRDLLISFKMATGQKPQRIIFYRDGVSEGQFYQVLLHELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHADQRSVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFSADELQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSMSSAAAGRATPGGGAPRATRVPGGTIRPLPALKDNVKRVMFYC >DRNTG_21279.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4740140:4776473:1 gene:DRNTG_21279 transcript:DRNTG_21279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQFMASDCAKKSSLSNGIIMVRKTRVEPSGSEEGSGSQEPGGIGRTQRPVLQQQGGGRGGRGWIPANPQQPYHGGRGGGFYQVRGSGPQTHGGMAQPQQGARPPTEYQVRGRGQQRGGMTQQQYHGGHRGGGQMTGGLGGGPSVAGPSRPSVPELHQATQAPYPAAPSSLSIAGPSQSPMMTAVQVTEHIESLSLKDEVPTSQASQQVVPVAPSSRSMRFPLRPGKGSNGTKCVVKANHFFAELPDKDLHQYDVSITPEVTSRGVNRAVMRQLIALYQESHLGGRLPAYDGRKSLYTAGPLPFSSKEFKITLIDEDDGSGMERRQRQFNVVIKFAARADLHHLQLFLDGKHAEAPQEALQVLDIVLRELPNQRYTPVGRSFYSANLGRKQPLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVAQLLNRDVSARPLSDSDRVKIKKALRGIKVEVTHRGNMRRKYRISGLTPQATRELTFPVDERGTMKSVVQYFQETYGFPIQHTTWPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPHQRELDIIQTVQHNEYGSDRYAQEFGIKISDKLASVEARILPAPRLKYNDTGREKDVLPKIGQWNMMNKKMVNGGRVNNWICINFARNVQDNVARSFCYELAQMCQISGMDFFLEPVLPPLTAHPEQVERALKARYHDAMLRLQPQGKDLDLLIAILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLMDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVSAQAHRQELIQDLFRVWQDPKRGSVSGGMIRDLLISFKMATGQKPQRIIFYRDGVSEGQFYQVLLHELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHADQRSVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFSADELQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSMSSAAAGRATPGGGAPRATRVPGGTIRPLPALKDNVKRVMFYC >DRNTG_03392.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20950778:20954070:1 gene:DRNTG_03392 transcript:DRNTG_03392.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb1629 [Source:Projected from Arabidopsis thaliana (AT5G57930) UniProtKB/TrEMBL;Acc:A0A178UP75] MESSTMICNGWSWRVSSFQLPLRRRRDGLKMAADGVSFLSSLSSRASSMVNGGNEYKISLNLRVQASHSHRVNSRAIAMNRDFPQNTDLPRYYSKKEKKPFPVPILELRRAARQRIKDAKGKPKKPVPPPRNGMLVRSLIPVAYEVMNARITLINNLKRLLKVVPVLACKHCNEIHVGSVGHPFRSCQGIRSDTRNGMHDWTKATVEDVFVPMEAFHLFDRLGKRIAHQERFSIPRVPALVELCVQAGVDLPDLPTKRRRKPVIRIGRSEIIDANEDDLPDPKPDRFKKPILAEIPDSDVIPPSTPEETAQLSEDTLEAWDTMRQGAHKLLRRYGVRVCGYCPELHVGPSGHKAQNCGAFKHQQRNGQHGWQTAVLDDLIPPRYVWHVPESSPVLQRELRNFYGQAPAVVELCVQGGAEVPDRYKPTMRLDIGIPTSLKEAEMVV >DRNTG_32414.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1852371:1856018:1 gene:DRNTG_32414 transcript:DRNTG_32414.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINISASTSVETRASRCTPALGNQQHPEISR >DRNTG_32414.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1852371:1856018:1 gene:DRNTG_32414 transcript:DRNTG_32414.3 gene_biotype:protein_coding transcript_biotype:protein_coding MINISASTSVETRASRCTPALGNQQHPEISR >DRNTG_32414.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1852371:1856018:1 gene:DRNTG_32414 transcript:DRNTG_32414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINISASTSVETRASRCTPALGNQQHPEISR >DRNTG_09761.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7970853:7972091:-1 gene:DRNTG_09761 transcript:DRNTG_09761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPVRSREKLSLAKNDLTRACGKYPRPCHRPTGTDAHTCGFPGHPRKISRLPHARAEIPHGRGHSHAQLTGAAACPCVFSGWREQPVDFRTGVRKLP >DRNTG_10623.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:199464:201926:1 gene:DRNTG_10623 transcript:DRNTG_10623.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESSNLDHHQHQQDILGTSSLPPLPNFHAVSTHHECNQSLIFSNNGDEYHDFIPSCNTIMVQDLGFHHWTNTGPSFMNLYSENQETMPQIKEEFVDSLNNTPSFFGENFSFVLPNSSINSHLNQIPSPFPFSMAMDLRSLDILASSKLARSFNYHPSMNSKMFFNEDSLHELAHHHHQEQEQETYVLSNGHHHYQMKTSAHGVSEEKRSVNNICQSAAAAKKHRLDSRPSTFSHFKVRKEKLGDRIAALQQLVSPFGKTDTASVLMEAIGYIKFLQDQVETLSVPYMRSSGNKKLRTFQGEERDELKPDLRSRGLCLVPLSCTSYVTDENGGLWSSPNFRGGTN >DRNTG_13828.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:87041:87316:1 gene:DRNTG_13828 transcript:DRNTG_13828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEKGEGIGEERFAVVKRLCDPQKYYKESMVEMILEKRIGRPEEVAGLSLGIENSVDEHHDVIVKVFRQVWFELSPGRLGSECRCRRRVG >DRNTG_11744.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1937365:1938281:-1 gene:DRNTG_11744 transcript:DRNTG_11744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSHRSINAPVHPSPSIWAPAILLSLLILTTTRCAEAQSSPPSTASQIKSKPFNPSSAFIITVLVIGIFFAGFLSVYLRGCARPAAAGTSGGDSPPSAFVGIKRGLDPTILASFPSMPYAEVRELKLGLGALECAVCLLEFEDDETLKLLPRCCHVYHSDCIDAWLAAHVTCPVCRFNLAAAPTEDHVAIDVSDEREAEVAELARIGSRKRELRSRSIRGPRFGRSRSAGNLAAVRVDRFTLRLPEPVMEEVIDAATSSGQHRRAVSCAVGRSGRWISRALSVKQPKIEPYS >DRNTG_21403.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1574434:1579162:1 gene:DRNTG_21403 transcript:DRNTG_21403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVVRLMAGPVFYKGWYHLFYQYNPDSAVWGNITWGHAVSRDLIRWRHLPLAMVRDQWYDSDGVWTGSATILPDGTLIMLYTGSTNASVQVQNLAIPTDPTDPLLLEWTKSDANPVLIPPPGVGPKDFRDPTTAWYDDEAEAWRFVIGTKEDKGHSGIALVYQTKDFLNYELLPGRLHGVARTGMWECVDFYPVATTAGVGGLDTSIVGEKVKHVLKASMDDDRHDYYAIGMYESEKVRWVPDDPEEDVGLGLRYDWGKFYASKTFFDQQRARRVLWGWVGETDSEFADAQKGWASLQGIPRTVLLDLKTGSNLLQWPVKEVESLRASSQEFTNINISSGSVVPLDVRSATQLDIEAEFVIDAASLAETLEADVGYNCSTSGGATHRGALGPFGLLVLADPKLSEQTAVYFYIAKKPDGGLQTHFCHDEMKSSHANDIYKRVVGNKVPVLDGETLSVRILVDHSIVESFAQGGRTCITSRVYPTEAIYNSARVFVFNNATGARVAAKSIKVWQMNAAEFDESAF >DRNTG_21403.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1574434:1579162:1 gene:DRNTG_21403 transcript:DRNTG_21403.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDPNGPVFYKGWYHLFYQYNPDSAVWGNITWGHAVSRDLIRWRHLPLAMVRDQWYDSDGVWTGSATILPDGTLIMLYTGSTNASVQVQNLAIPTDPTDPLLLEWTKSDANPVLIPPPGVGPKDFRDPTTAWYDDEAEAWRFVIGTKEDKGHSGIALVYQTKDFLNYELLPGRLHGVARTGMWECVDFYPVATTAGVGGLDTSIVGEKVKHVLKASMDDDRHDYYAIGMYESEKVRWVPDDPEEDVGLGLRYDWGKFYASKTFFDQQRARRVLWGWVGETDSEFADAQKGWASLQGIPRTVLLDLKTGSNLLQWPVKEVESLRASSQEFTNINISSGSVVPLDVRSATQLDIEAEFVIDAASLAETLEADVGYNCSTSGGATHRGALGPFGLLVLADPKLSEQTAVYFYIAKKPDGGLQTHFCHDEMKSSHANDIYKRVVGNKVPVLDGETLSVRILVDHSIVESFAQGGRTCITSRVYPTEAIYNSARVFVFNNATGARVAAKSIKVWQMNAAEFDESAF >DRNTG_21403.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1574434:1574796:1 gene:DRNTG_21403 transcript:DRNTG_21403.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADYIPLASSPSSPPPVRHLKLLSFALATALLTLVVFLNVHNHSNHSQNPILHPSSPLSRGPSHGVSEKTSGISSLLHSTPFFPWTNHMLQWQRTAFHFQPEKNWMNGSSPSPF >DRNTG_21403.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1574434:1579162:1 gene:DRNTG_21403 transcript:DRNTG_21403.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADYIPLASSPSSPPPVRHLKLLSFALATALLTLVVFLNVHNHSNHSQNPILHPSSPLSRGPSHGVSEKTSGISSLLHSTPFFPWTNHMLQWQRTAFHFQPEKNWMNDPNGPVFYKGWYHLFYQYNPDSAVWGNITWGHAVSRDLIRWRHLPLAMVRDQWYDSDGVWTGSATILPDGTLIMLYTGSTNASVQVQNLAIPTDPTDPLLLEWTKSDANPVLIPPPGVGPKDFRDPTTAWYDDEAEAWRFVIGTKEDKGHSGIALVYQTKDFLNYELLPGRLHGVARTGMWECVDFYPVATTAGVGGLDTSIVGEKVKHVLKASMDDDRHDYYAIGMYESEKVRWVPDDPEEDVGLGLRYDWGKFYASKTFFDQQRARRVLWGWVGETDSEFADAQKGWASLQGIPRTVLLDLKTGSNLLQWPVKEVESLRASSQEFTNINISSGSVVPLDVRSATQLDIEAEFVIDAASLAETLEADVGYNCSTSGGATHRGALGPFGLLVLADPKLSEQTAVYFYIAKKPDGGLQTHFCHDEMKSSHANDIYKRVVGNKVPVLDGETLSVRILVDHSIVESFAQGGRTCITSRVYPTEAIYNSARVFVFNNATGARVAAKSIKVWQMNAAEFDESAF >DRNTG_19991.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:875381:877054:-1 gene:DRNTG_19991 transcript:DRNTG_19991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKATAGGGGEWSGTRMAEGGGGKVVEARYGVEEEHEKLGGAAYRRRREEDEHEVTAGGGGM >DRNTG_19991.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:875381:877054:-1 gene:DRNTG_19991 transcript:DRNTG_19991.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKATAGGGGEWSGTRMAEGGGGKVVEARYGVEEEHEKLGGAAYRRRREEDEHEVTAGGGGM >DRNTG_19991.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:875381:877054:-1 gene:DRNTG_19991 transcript:DRNTG_19991.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKATAGGGGEWSGTRMAEGGGGKVVEARYGVEEEHEKLGGAAYRRRREEDEHEVTAGGGGM >DRNTG_30646.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31411893:31415629:1 gene:DRNTG_30646 transcript:DRNTG_30646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSESSDSDPDMQPPSEGTMTMSYSLSTFLSSLLCHFLLTLLEEEGNFNESRSRNPNSNPNSKDELGGELTGAAGSLSFPPNTHQENLVNAIKALLRSIKTLFFQEEFHQITENRAKA >DRNTG_11760.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:697204:697800:1 gene:DRNTG_11760 transcript:DRNTG_11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVSGKRTVEAEFGEGISIVEWVRGKVSKGDVAAVMEVLDKKIGADCKQVREEMLLVLRIALLCTSKNPVDRPSMRDVLSMLREARPNRKVVATGEEEGGCGGCGGGGGFQGMHQFVANNT >DRNTG_22468.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20695601:20698903:-1 gene:DRNTG_22468 transcript:DRNTG_22468.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPQENNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >DRNTG_22043.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3835153:3840150:1 gene:DRNTG_22043 transcript:DRNTG_22043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPQSQSQCFENPPTLNPSSGHGTVVENLGGLKAYTVGSPESKLAVLLAADAFGFEAPNLRKLADKVAAAGYFVVVPDFFHGDPYIPEKVSVPTWLQAHDAAKGYEEAKPIVEALKSTGISAIGAAGFCWGAKVVAELAKSEDIKAAVMLHPSYVTAKDIKEINVPLAVLGAEIDRTSPPSLLKEFEKILSTKPEVSMFHFLLAKAAL >DRNTG_06700.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3546285:3550533:-1 gene:DRNTG_06700 transcript:DRNTG_06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGKDRAFEIKIGVDSGNGLRGLGDLEDDYEVCSGEEFDSLVSSMRVGGVGSVDVVNFDTTALVAMVSGISNGGAEQLLNGPQDDIRRRFKGNYEFVIAQVMSELQDPILAQMRNAIAGKKGIICESVCSEFKELVSMCGGPNEKLRAEQLLKLLVIVPDCPSTRLMDLPTTRKIALKNKVVFGTGDYWHAPTLTANMGFVRAIQQTGMSLLIIEHRPRALT >DRNTG_06189.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25239248:25241212:1 gene:DRNTG_06189 transcript:DRNTG_06189.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g64310 [Source:Projected from Arabidopsis thaliana (AT1G64310) UniProtKB/Swiss-Prot;Acc:Q9C7V5] MNFVVLGRGSEFGSRCAPRFLSAIGDAVQALSQAKQLHALLVTADHSCHPSLPTKLLRSYANHGDIVSARHLFDQIPRKTVLLWNSLIRAHARCRDFSTAFSVFNQMRCSGVNPDGFTFACVLRACAEHADPFGASVVHGTMISTGLVSDSIAGAALVNSYSKLNLVNDAHQVFDEMPERDLVSWNTMIMCCSYGGNAHKGLELFHGLRESGEKPDGYTFTGLISCLWGSDLARVGKGIHGLCLKEGYSSNCHLRCALVSMYSRCNVLHSASLLFRSLSQVDLVTWSAFITGFSQAGQCEESICLFREMMTSSRCAARPDSILIASLLSACATLPSIRPCKEIHCFALRVGINSSVSVSCGLIDAYSKCGFAQHGFRIFEMMPRRTLVAYNTVILSMGSNGLGMEAMQTFVAMLNEGLKPDKATFSALLCACCHSGLQMEGWKLFSRMHSEFGVDPEIDHYVYIVKLLGMVGELQEAYDLVQAMPVVPDSGVWGALLWGCSIHGNKELGEIVAQKLYEIEPRKTAYRVMLSNIYAVKEKWGDVKYLRNEIAKEGMHKIPGISWIENINF >DRNTG_06189.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25239167:25241212:1 gene:DRNTG_06189 transcript:DRNTG_06189.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g64310 [Source:Projected from Arabidopsis thaliana (AT1G64310) UniProtKB/Swiss-Prot;Acc:Q9C7V5] MRMNFVVLGRGSEFGSRCAPRFLSAIGDAVQALSQAKQLHALLVTADHSCHPSLPTKLLRSYANHGDIVSARHLFDQIPRKTVLLWNSLIRAHARCRDFSTAFSVFNQMRCSGVNPDGFTFACVLRACAEHADPFGASVVHGTMISTGLVSDSIAGAALVNSYSKLNLVNDAHQVFDEMPERDLVSWNTMIMCCSYGGNAHKGLELFHGLRESGEKPDGYTFTGLISCLWGSDLARVGKGIHGLCLKEGYSSNCHLRCALVSMYSRCNVLHSASLLFRSLSQVDLVTWSAFITGFSQAGQCEESICLFREMMTSSRCAARPDSILIASLLSACATLPSIRPCKEIHCFALRVGINSSVSVSCGLIDAYSKCGFAQHGFRIFEMMPRRTLVAYNTVILSMGSNGLGMEAMQTFVAMLNEGLKPDKATFSALLCACCHSGLQMEGWKLFSRMHSEFGVDPEIDHYVYIVKLLGMVGELQEAYDLVQAMPVVPDSGVWGALLWGCSIHGNKELGEIVAQKLYEIEPRKTAYRVMLSNIYAVKEKWGDVKYLRNEIAKEGMHKIPGISWIENINF >DRNTG_06189.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25239248:25240958:1 gene:DRNTG_06189 transcript:DRNTG_06189.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g64310 [Source:Projected from Arabidopsis thaliana (AT1G64310) UniProtKB/Swiss-Prot;Acc:Q9C7V5] MNFVVLGRGSEFGSRCAPRFLSAIGDAVQALSQAKQLHALLVTADHSCHPSLPTKLLRSYANHGDIVSARHLFDQIPRKTVLLWNSLIRAHARCRDFSTAFSVFNQMRCSGVNPDGFTFACVLRACAEHADPFGASVVHGTMISTGLVSDSIAGAALVNSYSKLNLVNDAHQVFDEMPERDLVSWNTMIMCCSYGGNAHKGLELFHGLRESGEKPDGYTFTGLISCLWGSDLARVGKGIHGLCLKEGYSSNCHLRCALVSMYSRCNVLHSASLLFRSLSQVDLVTWSAFITGFSQAGQCEESICLFREMMTSSRCAARPDSILIASLLSACATLPSIRPCKEIHCFALRVGINSSVSVSCGLIDAYSKCGFAQHGFRIFEMMPRRTLVAYNTVILSMGSNGLGMEAMQTFVAMLNEGLKPDKATFSALLCACCHSGLQMEGWKLFSRMHSEFGVDPEIDHYVYIVKLLGMVGELQEAYDLVQAMPVVPDSGVWGALLWGCSIHGNKELGEIVAQKLYEIEPRKTAYRVMLSNIYAVKEKWGDVKYLRNEIAKEGMHKIPGISWIENINF >DRNTG_06189.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25239167:25241079:1 gene:DRNTG_06189 transcript:DRNTG_06189.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g64310 [Source:Projected from Arabidopsis thaliana (AT1G64310) UniProtKB/Swiss-Prot;Acc:Q9C7V5] MRMNFVVLGRGSEFGSRCAPRFLSAIGDAVQALSQAKQLHALLVTADHSCHPSLPTKLLRSYANHGDIVSARHLFDQIPRKTVLLWNSLIRAHARCRDFSTAFSVFNQMRCSGVNPDGFTFACVLRACAEHADPFGASVVHGTMISTGLVSDSIAGAALVNSYSKLNLVNDAHQVFDEMPERDLVSWNTMIMCCSYGGNAHKGLELFHGLRESGEKPDGYTFTGLISCLWGSDLARVGKGIHGLCLKEGYSSNCHLRCALVSMYSRCNVLHSASLLFRSLSQVDLVTWSAFITGFSQAGQCEESICLFREMMTSSRCAARPDSILIASLLSACATLPSIRPCKEIHCFALRVGINSSVSVSCGLIDAYSKCGFAQHGFRIFEMMPRRTLVAYNTVILSMGSNGLGMEAMQTFVAMLNEGLKPDKATFSALLCACCHSGLQMEGWKLFSRMHSEFGVDPEIDHYVYIVKLLGMVGELQEAYDLVQAMPVVPDSGVWGALLWGCSIHGNKELGEIVAQKLYEIEPRKTAYRVMLSNIYAVKEKWGDVKYLRNEIAKEGMHKIPGISWIENINF >DRNTG_06189.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25239248:25241079:1 gene:DRNTG_06189 transcript:DRNTG_06189.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g64310 [Source:Projected from Arabidopsis thaliana (AT1G64310) UniProtKB/Swiss-Prot;Acc:Q9C7V5] MNFVVLGRGSEFGSRCAPRFLSAIGDAVQALSQAKQLHALLVTADHSCHPSLPTKLLRSYANHGDIVSARHLFDQIPRKTVLLWNSLIRAHARCRDFSTAFSVFNQMRCSGVNPDGFTFACVLRACAEHADPFGASVVHGTMISTGLVSDSIAGAALVNSYSKLNLVNDAHQVFDEMPERDLVSWNTMIMCCSYGGNAHKGLELFHGLRESGEKPDGYTFTGLISCLWGSDLARVGKGIHGLCLKEGYSSNCHLRCALVSMYSRCNVLHSASLLFRSLSQVDLVTWSAFITGFSQAGQCEESICLFREMMTSSRCAARPDSILIASLLSACATLPSIRPCKEIHCFALRVGINSSVSVSCGLIDAYSKCGFAQHGFRIFEMMPRRTLVAYNTVILSMGSNGLGMEAMQTFVAMLNEGLKPDKATFSALLCACCHSGLQMEGWKLFSRMHSEFGVDPEIDHYVYIVKLLGMVGELQEAYDLVQAMPVVPDSGVWGALLWGCSIHGNKELGEIVAQKLYEIEPRKTAYRVMLSNIYAVKEKWGDVKYLRNEIAKEGMHKIPGISWIENINF >DRNTG_31254.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3009291:3012676:1 gene:DRNTG_31254 transcript:DRNTG_31254.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGLLVWLIAFFLLVALLVVVAYQLMCLADLEFDYINPYDSASRINYVVLPEFVLQGVLSLLFPPFWALVHVSVFYSGPLLQCETVPERAPPR >DRNTG_06289.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22689433:22693892:1 gene:DRNTG_06289 transcript:DRNTG_06289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQRTLSEYERTQFTGDEFSVQAPSVASNNFKVKINGMSDDAICLRIFLFSLRDGAYRWLTSLSPGSIKTLKDMVEKFLGSSSRPESVLSCSTYGGGHGQPNVLLPAPRLLPLRMSTILGGNEIKGSLWMDSQFGKVNAQLTQHAKQFSEASSILRNLQALVKSLEHRVGELTKANYERPVGCLPSNTEDNPWEHLKAIALRSGRQVETRVEVDPSVKKIRVPDGPHTGPSEMARFLGREGLLSPIRPPYGATRKGLAHGRPGTQIHSCFDCSFYLELRR >DRNTG_15391.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15280836:15282521:1 gene:DRNTG_15391 transcript:DRNTG_15391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTPDEARQLVEEMAMNSYQWNTRERKKVARLHEIDEVTSLAAQVEALSMKLNTLTSPREAAITSYTHFQSVEATIHNHTASLHNLENQVGQIVKSLWERPQASIPSNTETNPREHVKAITLRSRREVEGMLPSEKTNVEAPEVVEKLLNLDPYQGLLDQEVEEEEVMIVGLEDKVPSTPGIVKKVLRKMKRARKRHKKCP >DRNTG_24385.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2731703:2734754:1 gene:DRNTG_24385 transcript:DRNTG_24385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWACSRCTFINPSSDERLCTMCGTPSSFVQFSCSSPSSSSSPGRRWSCLSCTYSNPAQNGSCEMCETRAPSISMVDLDPDELSHPSIGSVFLPLQPCGTKRKKDSDCPQSDWKRPGKEVKEDATDPILIDNPNSNFKHGLLKVLSYNVWFREDLEVFNRMKALGDLIQQNSPDIICFQEVTPNIYEILKSSKWWDAYSCSVRSHEANSKAYFCMQMSKLPVKSFSCEPFSNSIMGRELCLADIDTGIGKELLIATSHLESPCPAPPKWDQMFSTERVAQAKQAISILKSSPNVIFGGDMNWDDKLDGAFPIPEGWIDAWKELRSDDNGFTYNTKAKPNAARQPNFTEETRSVYMSPN >DRNTG_01602.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:526719:529385:-1 gene:DRNTG_01602 transcript:DRNTG_01602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHTSYGSGLRNSCRSVNGHGDSTGVLSRQELLYLYSMVQRVPIHLGHIMAEYIIHQGHYARLGTIFSGPYITRLVLGMGLLDAIRGAEKMSIPAPLSLETMRLMGMVRRVRTGVFALVLPTPEIVEDEGDETGVPQPALKPQPAPMETEAPPVAEEAPPPCICFHHLELILERDVASSFVLRPRTSQPPSASPTRPSPTPAPVDPPCASSLAAAREPKGDTEI >DRNTG_03241.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17960699:17961502:1 gene:DRNTG_03241 transcript:DRNTG_03241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKRGVDLTVAVPLPPTNPAAPLPLPAPWSSEGILFSDLERVRLIGSGVSGKVWMVRHKISNKLYALKCILGSWDEDTHRQHCSEIEILRTANSPFIVRCHGFNDNAAGDIELLLEFMDGGSLEGHPIAVEPLLAEVARQVLSALAYLHSRKIVHRDIKPANILIDNHGLFKIADFGLSCMVSPTTMGCKTLCGTISYLGPECFNNSGFYGGFASDTWSFGITLLECYLGYYPFGESVKKNGLMMLAICKKEPPKPPETSIFQFP >DRNTG_08099.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000362.1:16165:16663:-1 gene:DRNTG_08099 transcript:DRNTG_08099.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNYIRLSITRIRSSTTTISSTATTPSNYSPNIPINTTSPIRHQNPSQKGELAAKFTLPLSKQGTNGDAAADENLVPIGAPRGPLFDFGLLRCEGGRDDLNPPSPDTGLRIHF >DRNTG_17606.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:9051066:9061291:-1 gene:DRNTG_17606 transcript:DRNTG_17606.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-formylglutathione hydrolase [Source:Projected from Arabidopsis thaliana (AT2G41530) UniProtKB/Swiss-Prot;Acc:Q8LAS8] MEKPSEISSNKMFGGFNRRYKHTSSTLGCSMTFSIYFPPSLTSSQKLPVLYWLSGLTCNDENFIIKSGAQRAAASEGVALVAPDTSPSAGFYLNATQEKWKNWRMYDYVINELPKVLAENFEQLDTSRASISGHSMGGHGALTIYLKNPDKYKSVSAFAPIINPINCPWGQKAFSNYLGSNKPDWEEYDATCLVEKGKKVSTTILIDQGEDDKFLHDQLLPKNFEEACKKQGVPLVLRLQPGYDHSYFFIATFIEDHIRHHAQALKSP >DRNTG_14997.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23949120:23950287:1 gene:DRNTG_14997 transcript:DRNTG_14997.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLLLAVFCIFALIIYVSLEFFRGPERQNFVGYLSIASLISMCASPLFIIKLVIRTKSVEFMPFYLSLATFLMSISFFTYGMLLHDFFIYLPNGVGAVLGLVQLLLYAYYSRRSSDISRLPLLVSN >DRNTG_14997.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23948179:23950287:1 gene:DRNTG_14997 transcript:DRNTG_14997.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLLLAVFCIFALIIYVSLEFFRGPERQNFVGYLSIASLISMCASPLFIIKLVIRTKSVEFMPFYLSLATFLMSISFFTYGMLLHDFFIYLPNGVGAVLGLVQLLLYAYYSRRSSDISRLPLLVSN >DRNTG_14997.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23948179:23950287:1 gene:DRNTG_14997 transcript:DRNTG_14997.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLLLAVFCIFALIIYVSLEFFRGPERQNFVGYLSIASLISMCASPLFIIKLVIRTKSVEFMPFYLSLATFLMSISFFTYGMLLHDFFIYLPNGVGAVLGLVQLLLYAYYSRRSSDISRLPLLVSN >DRNTG_24300.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23498172:23500839:-1 gene:DRNTG_24300 transcript:DRNTG_24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIERTTEKSSSKASGSKRGTPNKRWKAEYDSFLIPILLEQVRKRLKCDKSFKRVAFVFAAVVVNARFNTEFSVENIKNHYRTLKSRYAEIKKVRELSGVGWDDATKTITLDPVVALTYIEAHPAAKAFINKPIEHYESLRVICGEDNATGVYATSVFADLGENSEHEGNNNNNFDEEPIEQPSDDVADANSAPPTVSSPATSSTPRSQCSSRGSKNPSIMGDLIVVVGEMASAIKNPTHWTESLYAKVMEVDGFEKKELVQVFDFLQFREIEARGFMVKDMDLRKDWIETFLSRMGEHTIGHLGVIASVHAFHVLSFAFIGRSEGHEEERSSACLEEIFADCAENVLLLS >DRNTG_11270.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2692276:2699574:-1 gene:DRNTG_11270 transcript:DRNTG_11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCSPLHNHGLNTILLRPHGRAQVYVSEDNFCPMRESRSGCSKHGDAQVKDQRSITVHLPQFSQVNT >DRNTG_19721.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001047.1:2061:3827:-1 gene:DRNTG_19721 transcript:DRNTG_19721.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCETQLYLLDQHQELRMFQYDAKLHNVIEVKNLADYRNCNKFSAIATYSSGNDSILIITLGHRFFLCGFPGHCTAGQKVDIRVLNLANTSASSPTAMPPTSSPNPSGIDTQDSPAPAPQTSSGSSINKVLGIGITDVLLAFGLVIV >DRNTG_10265.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20072424:20076415:1 gene:DRNTG_10265 transcript:DRNTG_10265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGDDLPAISPAYSLRTTLSGHKHAISSVKFSPDGSLLASASADKTLRLYSLSDPEIPFRAELTGHSEGISDLSFSSDGRLLCSASDDRTIRIWDLETSTLLKTLTGHTNLAFCCVFNPQSNMIASGAFDETVRVWDVKSGKCLRVLPAHSDPVTAVDFNRDGSLIVSSSYDGLCRIWDSSTGHCMKTLIDDESPPVSYVKFSPNGKFVLAATLDSTLRLWNFSTGKFLKTYTGHVNSKFCIPATFSVTNGKYIISGSEDNCVYLWELQTRKIVQKLEGHTGTVITVSCHPTENMIASGALGNDKTVKIWVQKDEESKED >DRNTG_33620.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7560334:7562124:1 gene:DRNTG_33620 transcript:DRNTG_33620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPWQLSLSSQSRPTAPPPDTQTIAPSPPTSRPSYPPLKDKSSSSISINETAGKAPATVFGLYFCTGDLSKDNCQACIQTAIKDIIDSCPSSKQAIIWYDYCELRYSDTNFFGLPDTNGFSMINVNENTTSSRPVEVVSQLVKDAPLAQPLMFKSQAFISESLYALAQCSSDLSSKGCSDCLTTILANIKACCTSSKGWRFLAPSCWIRYEATPFLQNLNGTSTYITQSYCSSNNFPSNGASQGLVRLLSSLTKNAPAMAGFYYTIVREKRDMLYGLALCRGDLQNKREDCQSCLNDASRSIVEDCPSKAQAIEWYEKCFVKYSNQRFFGMLDSVNRTLCGVEQVSADADSATEKLARSLINDAVKNKKFVSAGKLVISCSLSSYVLVQCSRDLSKGRCMQCLQRGMDKVSSECKLTNGWRYLSGSCTLRYETFPFFNTAIISTVSL >DRNTG_17093.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17360628:17365084:1 gene:DRNTG_17093 transcript:DRNTG_17093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATPPAVRSCRPFLTAPGRRRCPAVRCSLAGDVVTASRILKELKSGCDTPLPVLRRVADAMADDMRAGLASDHSGSDLKMILSYVDALPTGSEKGLFYALDLGGTNFRVLRVQLGGKDNRVIDTEFEQVSIPQELMFGTSEELFDFIASGLASFVEKESERFYLPPGRKREIGFTFSFPVNQTSIDSGILIKWTKGFAVSGTAGKDVVACLNEAMERKGLDMRVSALVNDAVGTLAGARYWDDDVMVAVILGTGTNACYIEQIDAIPKLLSPASGCGRTIINTEWGAFSSGFPLTEFDNDMDNESINPGEQIFEKTIAGMYLGEIVRRVLLKMSKFADLFGKYLPEKLSTPFVLRTPDLCAMHQDESEDLSEIGKILNATIGTTSSTLEARKITMDVTDTIVKRGGRLAGAGIVGILQKLDQDSRGLVFGKRLVVAMDGGLYENYPQYRNHMKSAVNELLGPELSKNVIIEHTKDGSGIGAALLAAVNSKYID >DRNTG_27614.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28446057:28453535:1 gene:DRNTG_27614 transcript:DRNTG_27614.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIVSRLIYHASSSPLSRIQNVGLLRNVRLLSTDSIDPNKVDEPFKVEEAETVQAPPPPSEKLLVLGGNGFVGSHVCREALSQGLSVSSLSRSGRASIKEPWADKVVWHQGSLLIPDSLKDALNGVTAVVSCVGGFGSNSHMYKINGSANINAIRAAAEQGVKRFVYISAADFGLANYLLQGYYEGKKAAEAELLSKFTYGGIILRPGFIHGTRQVGSMKIPLGVIGSPLEMILQHAKPLNRLPFIGPLFTPPVSASAVAKVAVRAAADPVFPPGIVDVYGILRYSDQK >DRNTG_01526.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5207835:5208671:-1 gene:DRNTG_01526 transcript:DRNTG_01526.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSSGMKSKRRNILPNPIFFSFSFSFLSFLHSLPVLQLGYINWFQETHVILLAGTPLVHASCVTTTITITSSSMISLDDRSGSIFVHFIPCSSFFFILVPFSLSSSTSTNSQQCLDLFDLKKSFIMLNASTNNDYYFPATTSLPQWLPGIDCCGWEGVSYDEVSDLVVSQDLSNLMPSLFNLTSLQTLNLAYNSFNQLSDVLLSDLEKLANLTHLNLSYSGLLVGQVPISISRLTKLISLDLSTYDIYSLKLEKPLSTLIGDFSHLKELYLDEVDIS >DRNTG_00659.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16426392:16426754:1 gene:DRNTG_00659 transcript:DRNTG_00659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFRLNTHRCSVHRLRVRLMSILHLLAGCLKPLKRGFRSCNNSNSSCERSMSRRGLVFEDQQQQQRSSFAGNNCKQRHCSRSNSFYAEAIADCLEFIKRSSVSVDNNPSAVVVSSHDQF >DRNTG_05376.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13520756:13529103:1 gene:DRNTG_05376 transcript:DRNTG_05376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVDDNGPPLKRVKPASTELDNHSNNSFLSDPTIPLGGLMAKPLVSHGKNDTVGSKGIIKRVEFVRIITEALYSLGYARSGAILEEESGICLHSPVVSLFKQQVLDGNWDGSLATLHKIGLADENLMKSASFLILEKKFLELVENNKLVDALETLRNDISPLGINKKRVHELSGCLVYPSQFASTSFANSGTKAENPRLSLLVELQKLLPPTVLIPERRLENLVEQALNVQKETCSLHNSVENSFSLYSDHHCGKDQIPCQTTQILQDHCDEVWFLQFSNNGKYLASSSSDNSAIIWEVSEAGKVSLKHKLNGHKKPVLMVAWSPDDQQLLSCGVEEVICRWDVGSGQCLRVYEKSGFGLISCGWFPDGKRICSGVTDKSICMWDLDGKEIDCWKGLRATKTSDLAISRNGKWIISTCKEKTILLQDRDANLEKFIQEQQTITSFCLSRDDKFLLVNLINQEIHLWSIIDGPKLIAKYEGHKRSRFLIRSCFGGSEQSFIASGSEDSQVYIWHRETGELIWKLPGHGGAVNCVSWNPVNPHMMASASDDHTIRIWGLGTVNIKQKNIVSKDVVHQSNGHCK >DRNTG_05376.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13520756:13529103:1 gene:DRNTG_05376 transcript:DRNTG_05376.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVDDNGPPLKRVKPASTELDNHSNNSFLSDPTIPLGGLMAKPLVSHGKNDTVGSKGIIKRVEFVRIITEALYSLGYARSGAILEEESGICLHSPVVSLFKQQVLDGNWDGSLATLHKIGLADENLMKSASFLILEKKFLELVENNKLVDALETLRNDISPLGINKKRVHELSGCLVYPSQFASTSFANSGTKAENPRLSLLVELQKLLPPTVLIPERRLENLVEQALNVQKETCSLHNSVENSFSLYSDHHCGKDQIPCQTTQILQDHCDEVWFLQFSNNGKYLASSSSDNSAIIWEVSEAGKVSLKHKLNGHKKPVLMVAWSPDDQQLLSCGVEEVICRWDVGSGQCLRVYEKSGFGLISCGWFPDGKRICSGVTDKSICMWDLDGKEIDCWKGLRATKTSDLAISRNGKWIISTCKEKTILLQDRDANLEKFIQEQQTITSFCLSRDDKFLLVNLINQEIHLWSIIDGPKLIAKYEGHKRSRFLIRSCFGGSEQSFIASGSEDSQVYIWHRETGELIWKLPGHGGAVNCVSWNPVNPHMMASASDDHTIRIWGLGTVNIKQKNIVSKDVVHQSNGHCK >DRNTG_10872.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19971830:19972544:1 gene:DRNTG_10872 transcript:DRNTG_10872.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVLLPSVVLLKISSLIIAMKGVIPLPPLTNTKELYLRKLDEEGPYGPSTETSRRSRGEESI >DRNTG_33496.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002008.1:42483:46302:1 gene:DRNTG_33496 transcript:DRNTG_33496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSPSMKISLKCCNSGFVTPRTRLAGPGAQTNGRRSTEREPSRPARPQDLV >DRNTG_33496.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002008.1:42483:43183:1 gene:DRNTG_33496 transcript:DRNTG_33496.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSPSMKISLKCCNSGFVTPRTRLAGPGAQTNGRRSTEREPSRPARPQDLV >DRNTG_05026.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5660388:5661273:1 gene:DRNTG_05026 transcript:DRNTG_05026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPMIYWDDTHCLWTQTHERVEVPHARVPDTHRHPQSTVVGTVPNHYSSHFHSRLKIRFLENSHRRVEIPHAHVEIQQAHVEKLQGHVDSRF >DRNTG_21292.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27355041:27359621:-1 gene:DRNTG_21292 transcript:DRNTG_21292.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g30610, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G30610) UniProtKB/Swiss-Prot;Acc:Q9SA76] MASLQMNALGWNNGVFLPAPAPARWYWNSSKPLSCISFNSFVVRKRWDFDVRNARFRVAGALANGELEARPSAMEFLGKELKFSPTFDDYVKVMESVKTDRSNSGSGGVDGYRPGRRPAKRYGKVKDLKVSERKKNDGNGGRSSGGWGLVEGILEKRSMKRNDDDFRERRNSQLGCGTELVKKSRFEDRKNCRDVKFNKVVRGGNSFDSRGVETDGKFGVEHLNNESGRRVQLENTTNSVKGKVSKGKKVMRKSEELVANTVNGYATEVEKIVSKAAGVSPRSGKDGPRSRMLARRSINDDDFEDRAAFKTFEVFTDVNNRPRVLRMELEEKIEKLAKWLNATNVNMPEWQFSKMIHSAKIKFTDHSILRLVQILGTLGNWKRVLQVVEWLQSRERFKSYKSRYIYTSVLDVLGKARRPIEALNVFNTMRQELSSYPDLAAYRCIAVTLGQAGLMKELFDVIDCMRTLPKKKFNLGPLQKWDPRLEPDLVIYNAVLNACVQQKQWEGAFWVLQQLKIRHLKPSNTTYGLVMEVMLACGKYNLVHEFFRKVEKNSIPSALNYKVLVNTLWREGKIDEAVLAVQDMENRGIVGTASLYYDLARCLCSAGRCDEALLQIDKICKVAKKPLVVTYTGLIQACLDSGNMETGTYIFKQMQNFCSPNTVTFNIMLKSYIEHGMLEEAKALFKKMLDLSHQISSKADSRLAAVPDKFTFNTMIEAFAEAKQWDDSANTYMQMLHHGFYFDKKRHLRLVLDAFREGKTQVLEITWDHLVRSGRVPPPPIIKERFCMKLRDGEFTAAISCIAVTEIDNTFSQKSWLSLLQINANRIKKNTVIRLEHELNNFLATTNQPHPVHQNLLNACREFLSSATYVEHQTLSHTPQSQTCIT >DRNTG_32571.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001858.1:2341:6674:1 gene:DRNTG_32571 transcript:DRNTG_32571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTWARPDADPVESISADQGVIERTQGPILDGRLNSPMLLALIASSSLLRVARKESPLNS >DRNTG_08162.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000368.1:38464:57339:-1 gene:DRNTG_08162 transcript:DRNTG_08162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGSKISTIDLSSNYFVGQIPSTLGHAHHLISLHLEDNLLTGSIPMSLFTLPKLEKLYLVDNKLSGQLQEFTNASSTLQYVALWGNNLHGKLPKSLVNLFALISLDLSSNSFDGSMVGLELFGHLQNLTYLDLSGIDLSISDRIAGSSLLFPSLDTLILQSCNLTAIPSFLKHKENMKLLDLSNNRIHGIIPKWIWTSVSYRGSMNLSCNLFTDIERPLPDPLTMTSINSLDLHYNMLQGQIPLLQAQFLDYSNNYFTGSIPVNISAYLGDTSFFSLANNNLTGEIPTSICDTNLRVLDLSNNSLSGSIHKCLLESLSNLQVLNLRRNQFRGIIPRNINLSCSLVIINFYGNQLEGEIPKFLAKCEQLQFLDLGSNKLADSFPYWLGNLPALKVLVLRENRFYGYFKCPPGASVGNHTFEVLQILDISSNNFTGNLPLDCFTRMKAMMIHREGDGTIGFSYVDVNGRPCRRILFCYRGGAYNASPQPQYYNPASTYYEGPSYSSCKGV >DRNTG_00378.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18491528:18494351:1 gene:DRNTG_00378 transcript:DRNTG_00378.1 gene_biotype:protein_coding transcript_biotype:protein_coding QPSFEFRTEEASREEDLGVAALWVRHQRGRRRSSIGSGVSGSRIVGFYQYLCITLLAVKARTCILVPSILFVFLYYCSYLLVRVDSDQIKSEALHPVGPSSTGCGRSVSGPGCGAGT >DRNTG_12860.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18469062:18479224:1 gene:DRNTG_12860 transcript:DRNTG_12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGASSTCAVAQQISILRGYQLLGFVDGSEVTPPTTIKVTETIDGKIVEKVIPNPEYNVWLLKDEQVLGALLVLLEPTVLSQVIGLTSKVEPHVVPAYGEADVHDIVVMVHPAVLDETTRVGLALSTPTGEGLPYGIETEIEELIGDFVNGFIMAEDGNVYVCIGGRSPQGLPSDQRQETHILAAQDLAMIMVQGPKIVELETSGVWPVIGWIH >DRNTG_09121.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:98757:102162:-1 gene:DRNTG_09121 transcript:DRNTG_09121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTICMIGAGGFIGSHLCEKLMAETPHTVLAVDVYNDKIKHLLGPEGEQQSDHHWAGRIHFHRLNIKHDSRLEGLIKMSHLTINLAAICTPADYNTRPLETIYSNFIDAIPVVKYCSENGKRLIHFSTCEVYGKTIGSFLPRDHPLRQEPDFYVLKEDASPCIFGPIEKQRWSYACAKQLIERLIFGGLLLLTLLCICFIIINHLSPSDIGSFAVFIS >DRNTG_09121.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:98757:102162:-1 gene:DRNTG_09121 transcript:DRNTG_09121.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTICMIGAGGFIGSHLCEKLMAETPHTVLAVDVYNDKIKHLLGPEGEQQSDHHWAGRIHFHRLNIKHDSRLEGLIKMSHLVRLLLLLLLLLQIHHHHLLHFLLHKERLIPSFLCWFCRRSTWRQSAPLQTTTPVLWRPSIAISLTPFLWSSIAPRMASVLSTSPLARCMARPSEASFLEITPLDRSQISMSLKKMPHLAFLVLLRNRDGPMRVQNS >DRNTG_09121.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:98757:101159:-1 gene:DRNTG_09121 transcript:DRNTG_09121.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIPGIDGPGEGVPRVLACFSNNLLRGEPLKLVNGGQSQRTFLYIKDAIEAVLLMIENPARANGQIFNVGNPNNEVTVKQLAEMMIEVYSKVAGEPVLDVPTIDVSSREFYGEGYDDSDRRIPDMTIISNQLGTLALKYPYLLSGQNILRQITIYF >DRNTG_09121.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:98757:101159:-1 gene:DRNTG_09121 transcript:DRNTG_09121.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLSTSPLARCMARPSEASFLEITPLDRSQISMSLKKMPHLAFLVLLRNRDGPMRVQNTEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPGEGVPRVLACFSNNLLRGEPLKLVNGGQSQRTFLYIKDAIEAVLLMIENPARANGQIFNVGNPNNEVTVKQLAEMMIEVYSKVAGEPVLDVPTIDVSSREFYGEGYDDSDRRIPDMTIISNQLGWSPKASLSDLLESTLTYQHKTYAEAIKRVTARSATSN >DRNTG_09121.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:98757:99912:-1 gene:DRNTG_09121 transcript:DRNTG_09121.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIPGIDGPGEGVPRVLACFSNNLLRGEPLKLVNGGQSQRTFLYIKDAIEAVLLMIENPARANGQIFNVGNPNNEVTVKQLAEMMIEVYSKVAGEPVLDVPTIDVSSREFYGEGYDDSDRRIPDMTIISNQLGWSPKASLSDLLESTLTYQHKTYAEAIKRVTARSATSN >DRNTG_09121.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:101246:102162:-1 gene:DRNTG_09121 transcript:DRNTG_09121.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTICMIGAGGFIGSHLCEKLMAETPHTVLAVDVYNDKIKHLLGPEGEQQSDHHWAGRIHFHRLNIKHDSRLEGLIKMSHLTINLAAICTPADYNTRPLETIYSNFIDAIPV >DRNTG_18835.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2646326:2651605:-1 gene:DRNTG_18835 transcript:DRNTG_18835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSNPNSLSHLLHHHLQDQVSMALRALFLLLLVAMVAAAARRDEESRAWAVEYVAVTGCRAHAASVVEFGAVGDGITSNTKAFKAAVEHLGQYSKDGGGGGGGLLYVPAGRWLTGPFNLTSVFTLFLHRDAVILASQDISEWPVIDPLPSYGRGRDKAGGRYSSLLMGVNLTDVILTGDNGTIDGQGAFWWQKFHKNQLKYTRGYLVELMYTDQIVISNLTFLNSPNWNIHPVYSSNIIVSGITILAPVHSPNTDGINPDSCSNVRIEDSYIVSGDDCVAIKSGWDEYGIAFGRPSEHITIKRLTCISPTSAAIAVGSEMSGGVRDVRAEDITAINTESGVRLKTAVGRGAFIKDIYVRGMNLNTMKWVFWMTGNYKSHPDGKFDPNALPEVNGISYSNVVAQNVSMAGRLDGIENAHFKGICLSNVTVHMAMKAKKVPWECTYIEGVSKDVSPKPCDSLEDQGSDEGGGGGELTSCRFPEERLPIEDIEFKRCSYAA >DRNTG_23000.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1724075:1726943:-1 gene:DRNTG_23000 transcript:DRNTG_23000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSDNGEFLVEIQEELGQGGVTNGNGTEDHDSLNMQTSQKGLVGEKKDKNKFFSMFKNKAGFEKVRSLSKLPSTKFRQIAEGRDEMSRSVSSTEQHPRHHFHLPFVRKINWPFLMELCKQWIRNPMNMALFVWILCVAVSGAILFMVMTGMLNAALPKKSQRDSWFEVNNQILNALFTLMCLYQHPQRFYHLVLLCRWRQKDILKLRNIYCKHGTYKPHEWMHMMVVVLLLQLNCFAQYALCGLNLGYPREKRPAIGVGICISAAFGAAAAASVYNILSPLGREYETGIDQEASVQATAAGISQPGSLRLKSLEKKYSFAVREDSRVPENKPVWIGGLTDFWDDISLAYLSLFCSCCVFGWNMERLGFGNMYVHTVTFLLFCAAPFFIFNLAAVNINNDAVREALGISGIVLCIFGLLYGGFWRIRMRKRFNLPAYTSCCNNPSVTDCFMWLCCCSCSLAQEVRTADSYEIVEDKFFMKQTDPDGQVTLSPLPREDGLPLFTSNPGSPYRSTSSPPIFILSSLSPSRLSGAYTPDRQLPTVDEDSPTAKANTMKPPTHPKIHRGDNPAA >DRNTG_23000.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1724075:1727124:-1 gene:DRNTG_23000 transcript:DRNTG_23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSDNGEFLVEIQEELGQGGVTNGNGTEDHDSLNMQTSQKGLVGEKKDKNKFFSMFKNKAGFEKVRSLSKLPSTKFRQIAEGRDEMSRSVSSTEQHPRHHFHLPFVRKINWPFLMELCKQWIRNPMNMALFVWILCVAVSGAILFMVMTGMLNAALPKKSQRDSWFEVNNQILNALFTLMCLYQHPQRFYHLVLLCRWRQKDILKLRNIYCKHGTYKPHEWMHMMVVVLLLQLNCFAQYALCGLNLGYPREKRPAIGVGICISAAFGAAAAASVYNILSPLGREYETGIDQEASVQATAAGISQPGSLRLKSLEKKYSFAVREDSRVPENKPVWIGGLTDFWDDISLAYLSLFCSCCVFGWNMERLGFGNMYVHTVTFLLFCAAPFFIFNLAAVNINNDAVREALGISGIVLCIFGLLYGGFWRIRMRKRFNLPAYTSCCNNPSVTDCFMWLCCCSCSLAQEVRTADSYEIVEDKFFMKQTDPDGQVTLSPLPREDGLPLFTSNPGSPYRSTSSPPIFILSSLSPSRLSGAYTPDRQLPTVDEDSPTAKANTMKPPTHPKIHRGDNPAA >DRNTG_31275.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19816575:19820081:1 gene:DRNTG_31275 transcript:DRNTG_31275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREGKWASVSDGLSDCQSPKQNIFLCHVSQHMQQWLLMPIFPMMLGDTE >DRNTG_31275.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19819224:19820081:1 gene:DRNTG_31275 transcript:DRNTG_31275.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSIVKLKPEERKAPKCYNASIQLTASDRTTSNPPVHADASLPPPSSNRHRCSSSFQLLQWQQRDI >DRNTG_31275.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19816575:19817505:1 gene:DRNTG_31275 transcript:DRNTG_31275.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLREGKWASVSDGLSDCQSPKQNIFLCHVSQHMQQWLLMPIFPMMLGDTE >DRNTG_31275.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19816575:19820081:1 gene:DRNTG_31275 transcript:DRNTG_31275.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTPRKLFSSHGMHNLESQLKNKKKREKKCQRVHLRSYHL >DRNTG_02182.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1377263:1382379:1 gene:DRNTG_02182 transcript:DRNTG_02182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADREDEELQMALRMSLQSSPPEAKRSKPREGAEESPEARNRRLQRELMASAAEKRIRATENKSPSLSPKVESPNKNVGPSGVPVSITEARRTAERKVRSGEALPPEVANELFSMVFGSGVSKGILAQWSNQGIRFSSDPDTCMGLVQHEGGPCGVLATIQAFVLKYLLFFPQELGHVSPNNPSQTLVKRGLARSSYAATDNFAHITDERKSRALVLSMVEILFSCGNGKSAVVSSLDVFSDHIKDGCEGDLKNEMITKALEGFSIESASDLQKVLRVSTYTSQAAAFDRLMTDLPVFESRMGAMLFLISALLSRGLESVQADRDDPSLPLVTAPFGHASQEIVNLLLCGQAVPNVFDGRMDLGGGMSLKGIANGVEIGFLTLLESLNFCKVGQYLKCPKWPIWVVGSESHYTVLFALDTSVQDENELESREAKIRRAFDAQDQSGGGGFISVEGFQLVIRETNIHFPPEKLDNLCNAGFIVWSEFWQALLELDKNSGGLKDPTGSLGKKQFDLFHFNGIAKSVVNTNAMAEGEIPLQRPRLCKLSVSVPPKWTQEEFLADVVPSSSGEVNQPAPPAQHAPLVDCIRTRWPRATCSWVGDDPSIV >DRNTG_02821.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20266586:20267960:-1 gene:DRNTG_02821 transcript:DRNTG_02821.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKSDKNKINQSQSQIIHTTGRVSMAKHRSDMVKETGSELGPIDCFKKFHNIKDGESWATEKAKDLWDQMDNIRSTATSEGSIVNEWEIYRNVTGEPSHGRVLGLCTGIQGKDVYGSSSSQTCSKRCKEIQKMKEKEWEDRFKQMESTIDKLQQQVPVMVQAVLQSLGLSNIQLATQGGGNDLRDVIANSQENVRDVHHGNVNEKDGNENSLEEDSEKDDDDNENEESCEDDDDDDDD >DRNTG_02821.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20267230:20267960:-1 gene:DRNTG_02821 transcript:DRNTG_02821.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKSDKNKINQSQSQIIHTTGRVSMAKHRSDMVKETGSELGPIDCFKKFHNIKDGESWATEKAKDLWDQMDNIRSTATSEGSIVNEWEIYRNVTGEPSHGRVLGLCTGIQGKDVYGSSSSQTCSKRCKEIQKMKEKEWEDRFKQMESTIDKLQQQVPVMVQAVLQSLGLSNIQLATQ >DRNTG_24856.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14823940:14832899:1 gene:DRNTG_24856 transcript:DRNTG_24856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPPDRPLDESILVSYDGGEPVIDGNVAGRASAKKPAAWSKPSSSCTSGDVGPVMGGAASWPPLPESSRSSPKPSLSDPPKDPSPPPVISSPTKKSIPSNSNPNPNSPRNQPALARHKSMKRGGGGGAGDGVSSSNSGPVNGGELPPAEAPPVEAVKGNHNGDHGFRSSGPDHQRSSYGGGRRGSNGGNAPHHNNFGHRRDQDWGHRSFNGRDSHMQPVQNPRGGGPRPYIRPASPAAATVASPFIGPPPLQSQPYGPPPIGFPDMNSALYSMAPPLEPFGGVPFITAVPQALYIPTMDSSHRAALLKQIDYYFSTENLCKDTYLRQKMDEQGWVPVSLIAGFNKVQQLTNNIHYILDTVQYSTVVEVQGDRIRRRNDWMNWMLPQPNYDTLPIHMQGISLEDGVTNNNSRRGSTHAALSLSRSSSGNLNEQSQVGRDPLVDGNFGYTDRHLRSARSLSRS >DRNTG_24856.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14823940:14832139:1 gene:DRNTG_24856 transcript:DRNTG_24856.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPPDRPLDESILVSYDGGEPVIDGNVAGRASAKKPAAWSKPSSSCTSGDVGPVMGGAASWPPLPESSRSSPKPSLSDPPKDPSPPPVISSPTKKSIPSNSNPNPNSPRNQPALARHKSMKRGGGGGAGDGVSSSNSGPVNGGELPPAEAPPVEAVKGNHNGDHGFRSSGPDHQRSSYGGGRRGSNGGNAPHHNNFGHRRDQDWGHRSFNGRDSHMQPVQNPRGGGPRPYIRPASPAAATVASPFIGPPPLQSQPYGPPPIGFPDMNSALYSMAPPLEPFGGVPFITAVPQALYIPTMDSSHRAALLKQIDYYFSTENLCKDTYLRQKMDEQGWVPVSLIAGFNKVQQLTNNIHYILDTVQYSTVVEV >DRNTG_08121.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11801552:11804987:1 gene:DRNTG_08121 transcript:DRNTG_08121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDKRGPLIEKLSSPHGLSLKSEELYRSTVAATIKPGRSSSTCQQPRGALKLSWSYQATLFPIDKEAIGVHSESTIRC >DRNTG_14663.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5767558:5772201:-1 gene:DRNTG_14663 transcript:DRNTG_14663.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPAADQATDLLQKLSLDSKKKTHDAPEVTKKASADGGEVTSVQIPSGERSVTPLLQDCVDQSMWYPSAYYYGGYEGLINEWEDYPRYVNPDGVEVPPPGVYGDMYQHGYGYPSYGPYPSPGSPVPTMGHDGQLYGPPHYQYTAQYYQPPTPPPTTGAPNKPATSQGGVSTTVATEKPAATVDTSKGNSNGIANGKSNGHISSGQPRPNHQNSSLPSNGSYGRGILPGGLPSGYQDPRFGFDGMRSPIPWYDCPAFTDGQQRTPTTSSAPSAVSHVANNPSGRNQILHPLPHLMGLHTPRPASGMGPAAPGFVNRMYTSNRIYGQYGQCGTAFRTGLGFGSNGYDYRMNGRWGSVTDNKYKPRGRGNGFYGYGSENLDGLSELNRGPRGGRLKNTKGFGPNITIAVKGQNLSTNGNVEEASAAPARDHYNRDDFPEKYSDAKCFVIKSYSEDDIHKSVKYSVWASTPNGNKKLDAAYQEAQKVADGCPVFLFFSVNTSGQFVGIAEMAGPVDFNKTVDYWQQDKWNGCFPVKWHIVKDVPNSILKHITLENNDNKPVTNSRDTQEVKLEQGIQMLKIFKEHVSKTSILDDFAFYETRQKAMQEKNLKIQQIHKQVSNVKAADAAEEKEKIAANGKPRLQKPLEVVSLLKKEAVQSGQGEQKPPEENGVAGDVLKASKSVTEKHVVANGVANTC >DRNTG_05389.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000241.1:825:1485:-1 gene:DRNTG_05389 transcript:DRNTG_05389.1 gene_biotype:protein_coding transcript_biotype:protein_coding EHHQSSNRTRTMHITIHSQGDVDSSSTHIEN >DRNTG_05389.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000241.1:1066:1485:-1 gene:DRNTG_05389 transcript:DRNTG_05389.2 gene_biotype:protein_coding transcript_biotype:protein_coding EHHQSSNRTRTMHITIHSQGDVDSSSTHIEN >DRNTG_17082.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17247721:17255254:-1 gene:DRNTG_17082 transcript:DRNTG_17082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEQEAPELSDEEVQQEELPNPASMTDIMREMMLLLRAERQQHTSRGGRDLSAEFGRHTPPQFSGTTDPTVAGYWVSQIERTFRAMQCPDRDKVRLATFMLRDSAAQWFENELRLKGESSFRTWEQFKKVFYGKYFPSSRRAQMERQFLSLKQGSLSVEEYEAEFDRLSQFASTLVSDESSRSRRFVDCLKTHIRRAIVPFLNQTYAEIVDIAKNLEITWQETQDQGRHEHQRHRQNPRKSQSSGSNSGHSRGEHRSQPYSRPPSSSSGSGGRRSFGSVTQAVQCPTCGGSHSQAECRRAAGACYRCGSMDHLVAQCPQSPPWLQGGDMTRSAPVEQPRSSDGSRHTGAPGRSQQSASRGRPGKAPMTDQPSSSSRPAGRGRPVTQGRVFALTQEDAEASHDVVAGEDQG >DRNTG_17099.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17445409:17446106:1 gene:DRNTG_17099 transcript:DRNTG_17099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTNPTNEENLTNPLNVIQLNDTATETPNPINASCDIANNYPNHTISTPTRSQDSNDPVGVTANGGRLRIVNHCVWDSSVEAQVNVAWVVKASQRYSDFLRDIRNSEKKPEYMSDDVWRHWKASWDKPKFKMK >DRNTG_16974.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19904433:19905094:-1 gene:DRNTG_16974 transcript:DRNTG_16974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLVGRNNKDRQGAEVVYGAEACHHHCMELFSELGFPKGVLPLKGLEECGRIPETGFVWMKLKSPCEHFFKGTNTKVSYASEVTAYVEKKKMKKMTGVKSKQMLIWVPISEMSIDDPLGEKIYFKTPMGIGKSFPVSAFEEE >DRNTG_09176.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7367253:7368958:-1 gene:DRNTG_09176 transcript:DRNTG_09176.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSLWSRDIEDEIVPLCRELGIGIVSYSPLGRGFFGGKGVSESVHEHSLPHPRYSSENLEKNKALYVRVENLAKKHECSTAQLALSWVLHQGNDVVPIPATTKIKNLDSNIGALQVKLTEDDLREISDLIPVEEVAGSRSYEFDESFGWKHANTPLPTSA >DRNTG_09176.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7367253:7396777:-1 gene:DRNTG_09176 transcript:DRNTG_09176.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNGKPEYVRASCEASLKRLQVDYIDLYYQHRVDQTVPIEETIGELKKLVEEGKVRYIGLSEASPHTIRRAHAVHPISAVQMEWSLWSRDIEDEIVPLCRELGIGIVSYSPLGRGFFGGKGVSESVHEHSLPHPRYSSENLEKNKALYVRVENLAKKHECSTAQLALSWVLHQGNDVVPIPATTKIKNLDSNIGALQVKLTEDDLREISDLIPVEEVAGSRSYEFDESFGWKHANTPLPTSA >DRNTG_09176.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7367253:7368958:-1 gene:DRNTG_09176 transcript:DRNTG_09176.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVKLGTQGLEVSKLGFGCLTLSGVYNKPLPEEEGIAFLKYVFNQGITFFDTADVYGPYTNEILIGKALKELPREKIQLATKFGVCGLDERGMGVNGKPEYVRASCEASLKRLQVDYIDLYYQHRVDQTVPIEETIGELKKLVEEGKVRYIGLSEASPHTIRRAHAVHPISAVQMEWSLWSRDIEDEIVPLCRELGIGIVSYSPLGRGFFGGKGVSESVHEHSLPHPRYSSENLEKNKALYVRVENLAKKHECSTAQLALSWVLHQGNDVVPIPATTKIKNLDSNIGALQVKLTEDDLREISDLIPVEEVAGSRSYEFDESFGWKHANTPLPTSA >DRNTG_28970.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001462.1:75821:80020:1 gene:DRNTG_28970 transcript:DRNTG_28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDDDEPTFTIIAKGRESGIFHSSDEDTPKKKSVFSRLMQRKVQIPKQRLDFVSFQGHKNNQMGTFKNSFEPLKLVKKNATTADLSSQLNENKKTVFDYLAPTRNQPKINRSKFQWRRKMKDEEMYDADMKIHTCRMVTEARGDLAPKQEQLGLFTRSHRKAADSLNGEEGSSHVPPHEEQGIETSLPPLHMPRKHEEVHSLNEDDEDEPVFVPQKGHRDTEYQRLNDNMAILMGSMIQIQKQIKILIKNRLEQARRSRSSTRRQRETLRPVEQGITLQFPTENVQRSRSRTPHPKETFRPVEHGGTSYLPIQEQSVVMTRDEIQRMVVAELNQAKGIETRADGDKPYPSYYDLVPYPKGYNVPKFKQFTGIGNPDQHLAHFVTACGDTSAKPSLLLKQLSASLAGVAFEWYANLQLESIQTWQQQKDTFSSTTISRSDRDKSKKAEDVKYTTTTFNVEKEKSVVESSKPKQAKVANLGDHKPFAIPEDLWEVFIYKRSMKMLKKLAELPGIVWKRTQRPPKEVEELLRGLAEEVDENEEDVQVETCRVISKGEYPPPHITQKMMMNMIAPLSQKSHMTLRS >DRNTG_13582.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30375204:30376783:1 gene:DRNTG_13582 transcript:DRNTG_13582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHNGLTALDVLLDSPSEHGDLTLGEVIRAAGGKKASEVDPHQPCMQTNTSRSNESCTTTATTTSKSWRNRRSTYFKLRQKAKKQTKVENNYTPGQLMVVATLIATITFQSGLNPPGGFTQDNGNTTVTNNTNNSSNYMSPSAGHAVLSSNLSYFLLYDMIGLFASFSIILILICVVPRKRKMMMKILVVIMWFAVFFTALAFSEGIYQIFPGSKWKLFILATGWFGILTMFMLWMFCGFVVYLLRKVGWWRKKEGDKVSNIVKNGGCLLWCARIGAVMVILLLLFFFGYYFHRSVNLFVTF >DRNTG_16225.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30769628:30772131:1 gene:DRNTG_16225 transcript:DRNTG_16225.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRQMNISVPVDPLEWQISQDTANSIFACLANTIGAAESVLRVAATGHDKRLFFKVIAILYLLASLGRLASGATVAYAGLCIFCLYLLVESSQLFRHITARFFRTRDTLSQAQD >DRNTG_16225.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30769628:30772942:1 gene:DRNTG_16225 transcript:DRNTG_16225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRQMNISVPVDPLEWQISQDTANSIFACLANTIGAAESVLRVAATGHDKRLFFKVIAILYLLASLGRLASGATVAYAGLCIFCLYLLVESSQLFRHITARFFRTRDTLSQAQD >DRNTG_06719.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21121138:21122569:-1 gene:DRNTG_06719 transcript:DRNTG_06719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSTWNGWIVRRKDQWCIYHLGSMSVMKKEQIEEMVKGLKESKRPYLWVLRKDNREKELVEIEGDDDQDGNEMMVEWCSQVRVLAHKAVGCFVTHSVWNSTLESLACGVPMVCVPQWIDQGMNAKLVESLWGCGVRSDGDGDGDGDGDGVVKGEELVRCLELVMGDGEKGVEIRRKAKMWKDKALEAVSGGESSDANMKVLVEKIK >DRNTG_32487.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001845.1:68438:73358:-1 gene:DRNTG_32487 transcript:DRNTG_32487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIDAGDGLKKLEYLSLVSKVCTELESHVGCSDKVLAEFITELGRKSETVEEFDVKLKENGAEMPDYFVRTLLTIIHAILPPKSKSKTPSIDASKKSSSAFPALSRPDDREHTKRIQLEIEREAEVKVAEEERGRERDRDRDRDREREYHRRDRDRDRERDRDRDKHRDRDRDRRHRNSNAYDEEEEDMRNGATNPSRRIGDEPELYQVYKGRISRVMDTGCFVQLNDFRGKEGLVHVSQMASRRVASAKDVVKRDQVVFVKVISVSGQKLSFSMRDVDQNSGKDLLPMKKSSEDDVFRANPSDGSRGPRTRTGLSGITITEEDETVPSRRPLKRMSSPERWEAKQLIAAGVLDVREYPMFDEDGDGMLYQDEGAEEELEIELNEDEPAFLHGQSRFSIDMSPVKIFKNPEGSLSRAAALQSALIKERREVREQQQRTMLDSIPKDLNRPWEDPMPETGERHLAQELRGVGLSAYDMPEWKKDAYGKALTFGQRSKLSIQDQRQSLPIFKLKKELVQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTKGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPETVIKYMTDGMLLREILVDENLSQYSVVMLDEAHERTIHTDVLFGLLKQLVKRRPDLRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDAALITVLQIHLTEPEGDILLFLTGQEEIDHACQSLYERMKGLGKNVPELIILPVYSALPSEMQSRIFDPAPPGKRKVVVATNIAEASLTIDGIYYVIDPGFAKQNVYNPKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTTIPEIQRINLGTTTLTMKAMGINDLLSFDFMDPPSPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLEPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLTIMDRYKLDVVSAGKNFTKIRKAIAAGFFFHAARKDPQEGYRTIVENQAVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVIDPKWLVELAPRFFKAADPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >DRNTG_14796.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29908296:29909543:-1 gene:DRNTG_14796 transcript:DRNTG_14796.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIHLMLLLFFILAPTTISQTLLQVTQNDGLNEPKPPNLMYCESWRLAVETNNAGDWHKIPHRCTDLVKEYVNGQQYITDSKVVARHAQAYAKTIVVAKDGKDVWIFDVDETLISNIQHYAIDGYRSKVDNESAFEKWALSAKAPALPWSLWLYKKLQGLGFHLVLLTGRKETHRNSTEQNLISAGYHSWKTLILRNSTETGKTAQEYKASKRAELVGKGYRIHGNSGDQWSDLLGWPEAKRSFKIPNPMYHIP >DRNTG_14796.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29908712:29909543:-1 gene:DRNTG_14796 transcript:DRNTG_14796.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIHLMLLLFFILAPTTISQTLLQVTQNDGLNEPKPPNLMYCESWRLAVETNNAGDWHKIPHRCTDLVKEYVNGQQYITDSKVVARHAQAYAKTIVVAKDGKDVWIFDVDETLISNIQHYAIDGYRSKVDNESAFEKWALSAKAPALPWSLWLYKKLQGLGFHLVLLTGRKETHRNSTEQNLISAGYHSWKTLILR >DRNTG_12731.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000566.1:63805:65428:1 gene:DRNTG_12731 transcript:DRNTG_12731.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKGVFLSSWRFRKYLQMRIEAQGKKLQQMFEQQLKTNINLVEPLNFDILLSDEQPITLDGDLSFEQYKRTD >DRNTG_09622.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:22009003:22019473:-1 gene:DRNTG_09622 transcript:DRNTG_09622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGVPNSTDDDATQRSKRRRATSTGEATEPMVAGGTGPNEGKKALYHCNYCNKDISGKIRIKCTKCPDFDLCVECFSVGAEVTPHKSNHPYRVMDNLSFPLICPDWNADEEILLLEGIEMYGLGNWTEVAEHVGTKSKTQCIEHYTTAYMNSPCYPLPDMSRVNGKNRKELLAMAKVQGEGKKGISLLSDVTPKEESPFSPSRVKVEDLSGEASAGRSPSSLGAGASKKASNMGMSKDGPDGSKTEDPQLDRSVGVKKPKYSGDEGPSMTELSGYNPKRQEFDPEYDNDAEQSLAEMEFKENDSEADRELKLRVLRIYLSRLDERKRRKDFILERNLLYPNPFEKELSIEDRELYQRYKVFMRFQSQEEHEALVRSVIEERKIRRRIQELQECRAAGCRTLAEAKLYTEQKRKRELEMSAQKTKESGQVVTASKLPQKAGRPMSREKLESDGSPRNIVDSHKIKGAGFDSSSKDSPSATMGHPTPKSFDEWDITGLPGADLLNETEQQLCCQSRLLPSHYLKIQEVLVQEILKGALLKKSDAHHLFKVDPSKVDRIYDIVIRKLGHLDESQLV >DRNTG_05364.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8599985:8600614:-1 gene:DRNTG_05364 transcript:DRNTG_05364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQREKKEMELQVPENCLPAAGFSSPTCSARDPPRSGPIQPQESSEGGKRLRSDEDKEKEEVVKPALVPSKAVSRCAGCRKRVGLMGFRCRCGELFCGDHRYSDRHDCSFDYKAAGKAAIARENPVVRAAKIVRI >DRNTG_10181.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000426.1:3745:7190:1 gene:DRNTG_10181 transcript:DRNTG_10181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPNSNTRSLFFSKGPLVRPRAHLTGLMPMKTSPITFDKCLWFKREDISRVRDARVCSNLPVFEFAQPFGGAQGQSHSSIPTYAHRTRSPSTYPSLKKQIDPRHKRYVKHPRPCSRPIPALFKAELAPICVFFSPSFPQLARELRLGFRGVLARFLERFYCCDIACHLEEGYWESFRRHRSSEVYPRPDKGSLTTSSGLSTSPST >DRNTG_18651.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28966390:28969181:1 gene:DRNTG_18651 transcript:DRNTG_18651.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSKTINNDLNPIWNEHFEFIVEDASTQRLIVKIYDDEGVQASELIGCAQVLLKDLQPGKVKDVWLKLVKDLEIQRDKKDRGQVHLELLYCPFGTESEFTNPFANQDLSMTSLEKALKSEASETDVTDIDKMSKHRKKDAIVRGVLSVTVISAQDLPSMDVLGKADPYVVLSMKKTETKNKTRVVNDNLNPTWNQTFDFVVEDGLHDMLILEVWDHDTFGKNFIGKCIMTLTRVILEGEFTDSFILDGAKSGKLNLHLKWLPQLIYRNP >DRNTG_18651.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28959884:28969181:1 gene:DRNTG_18651 transcript:DRNTG_18651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLGLVLGLAAGVALIIAFVQLENFRSKKRAELATTIAAFSRMTVEDSRKILPAKHYPSWVVFAQRQKLNWLNVQLTKIWPYVDQAASEVIKSSVEPVLEQYRPVVLSSLKFSKLTLGTIAPQFTGVSIVEADDSGITMELEMQWDGNPNIVLDVQTYLGVALPIQVKNIGFTGVFRLIFKPLVDQLPCFGAVSYSLREKKKLDFTLKVVGGEISSIPGISDAIEETLHDAIEDSLVWPVRKIVPIIPGDYSYLELKPVGTLEVKLIQAKNLSNKDIVGKSDPYAVLYIRPLHDRMKRSKTINNDLNPIWNEHFEFIVEDASTQRLIVKIYDDEGVQASELIGCAQVLLKDLQPGKVKDVWLKLVKDLEIQRDKKDRGQVHLELLYCPFGTESEFTNPFANQDLSMTSLEKALKSEASETDVTDIDKMSKHRKKDAIVRGVLSVTVISAQDLPSMDVLGKADPYVVLSMKKTETKNKTRVVNDNLNPTWNQTFDFVVEDGLHDMLILEVWDHDTFGKNFIGKCIMTLTRVILEGEFTDSFILDGAKSGKLNLHLKWLPQLIYRNP >DRNTG_18651.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28959884:28963536:1 gene:DRNTG_18651 transcript:DRNTG_18651.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLGLVLGLAAGVALIIAFVQLENFRSKKRAELATTIAAFSRMTVEDSRKILPAKHYPSWVVFAQRQKLNWLNVQLTKIWPYVDQAASEVIKSSVEPVLEQYRPVVLSSLKFSKLTLGTIAPQFTG >DRNTG_18651.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28966035:28969181:1 gene:DRNTG_18651 transcript:DRNTG_18651.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSKTINNDLNPIWNEHFEFIVEDASTQRLIVKIYDDEGVQASELIGCAQVLLKDLQPGKVKDVWLKLVKDLEIQRDKKDRGQVHLELLYCPFGTESEFTNPFANQDLSMTSLEKALKSEASETDVTDIDKMSKHRKKDAIVRGVLSVTVISAQDLPSMDVLGKADPYVVLSMKKTETKNKTRVVNDNLNPTWNQTFDFVVEDGLHDMLILEVWDHDTFGKNFIGKCIMTLTRVILEGEFTDSFILDGAKSGKLNLHLKWLPQLIYRNP >DRNTG_18651.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28967794:28968099:1 gene:DRNTG_18651 transcript:DRNTG_18651.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLEKALKSEASETDVTDIDKMSKHRKKDAIVRGVLSVTVISAQDLPSMDVLGKADPYVVLSMKKTETKNKTR >DRNTG_17267.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5376382:5376692:-1 gene:DRNTG_17267 transcript:DRNTG_17267.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHAEESKVEGLVEKIKEELHDSSSSDSDHEKSEPSSSSVKAKIFRLFGREQPVHKVLGG >DRNTG_17267.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5375181:5376692:-1 gene:DRNTG_17267 transcript:DRNTG_17267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHAEESKVEGLVEKIKEELHDSSSSDSDHEKSEPSYSPLPSSSSIPMPLPSSTSEPL >DRNTG_10567.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1656935:1661938:-1 gene:DRNTG_10567 transcript:DRNTG_10567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKLMNLAKKFSLSVVLMNQVTTKFTEGSFHLTLALGDSWSHSCTNRVILYWNGNDRHAYLDKSPYLRPASVHFSVTGKGIRDATSQCKRVRMM >DRNTG_08758.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18538289:18543653:-1 gene:DRNTG_08758 transcript:DRNTG_08758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSAIKELVMMRILLGLGFLFNISLFFVGFITTFALYLYYISWMKPERTRNELRKQGIKGPNPLFFYGNLLEMKRILLEEKARRGREKGGACHIHHDYTSSVFPYFTQWRKTYGHVFLYTMGNVPALHVSHPDVVKDINLCLSLDLGKATYLKKAHEPLFGHGILKSNGVLWAHQRKIIAPEFFLDKVKGMIELMIDSAISLVKLWYEKVEDGGGIVEVKVDDDLRSYSADVISRACFGSSYSKGKEIFSKLRALQQAVSKPNLLLEITGLRQLPTKRNREVWKLSKEIHSLILKVVKENNKEHQQEQDEEDKKKCKNLLEAILKSVNGGHQHHQHNHNDNKNNDNNNNDNDDMDKFIVDNCKTIYFAGHETTAVTATWCLMLLALHPDWQDRARAELVSVCGPGSVPDAQALQKMKLLTMIIQETLRLYPPGAYVTRETLQDMKLGGIHIPKGVNIYVPVPTLHHDPTAWGPDVHRFNPDRFTNGVRGACKLPHMYVPFGAGTRTCLGQTFAMTELKIVLALILNNFSFSLSPKYKHSPVLRLIVEPEFGVDLIVKKV >DRNTG_04436.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9812156:9813192:1 gene:DRNTG_04436 transcript:DRNTG_04436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQAWGISTYPDLLQYLAAILHQNGFIGEDLAIIAQVGIYECDCLRAPPTHCNSLNTWRNNEVNTAVLHQQHGCALLEANMERA >DRNTG_05853.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31537511:31539579:-1 gene:DRNTG_05853 transcript:DRNTG_05853.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVHSKLLLSCVTPQKLKQIMPSDVVLTQNTPSRSHQFNPSNSTRLQLPRHRYPTPLLDQFLMAAKETEYKAHIVLVPLMAQGHIIPMIDIARLLAHRPGVLTTFVTTPLNAARSRPAISTILSSSLPVRFLELPFPCSAAGLPDGCENIDLIPFPDTEDIDLLRPAFLLVKNFFFGTALLRDPLLSLLRQQHPSPTCIIADVCLPWLSDVAEALRIPRFIFHGQCCFSLACYLSIHLNGLDRTITDETQRFLVPGLPQPIHVNKTEAPGFFSMPGWEKLRKDTIDAELSSDGIVLNTFNSLEGEYIEYYEKTLGKKALAIGPASLANRDVSSMAMRGNKTAIDEQQCLNWLDSKDPRSVIFVSFGSLALVKPLQLMEIGYGLEASGSPFIWVIKECEKWPVMEQWVAELKERTGDRSLIIIGWAPQVVILSHVAVSGFLTHCGWNSVLEAVSAGVVMATWPRNSDQFLNEKLVVEVLRIGVRVGGKPPSYVAMSKWNVVERDVVEKVVRSLMDKGKEGEERRERARELGVKARMAMEEGGSSWVDLSRLVDLASVHVVNK >DRNTG_11791.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:490562:493510:-1 gene:DRNTG_11791 transcript:DRNTG_11791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNLVNGRCYLTLVMVTFVELKVHMTPRHWEIIRRTPPEDVALVLGLFCDGDAVVFQKKKTRSAFQERYLSKTYQRHRDSIKSTLEQLIGQREEEENFAKLLMVYLLGIILFPNTLSSVPNWIVDYVDDLPGMGTGKKVRFGKITRMLCYGKNTYRKQATIETSLSSLKGKELVPANADEDIFVRANRRVDAIAPEPLARRQDERTTSSIAKPHLSPDHDNNNPPNRGSPPTALGDDVTATLMQACQIFMTEFPRLVSRVEALEGRHIRRDLRKKRITILPLYSQPADDEIIAAPSAADAVTVDDMAATVKEITDDVGIGAVDKIVDSVVNKIPDLEDLATDSATSKMDTIPEEQESAKVVSPIDVVAVATVEKIVDSVVNEIIITVEPTADSVALKDDAHETTMTMIEDAARNLHDVEIVILPIIMNDHFHVMVLDNNKHEYRHYSSCQSKEYDTEALEMAIRYLYRYGVRRVGDRKVPTSSRY >DRNTG_20273.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001110.1:9326:13773:1 gene:DRNTG_20273 transcript:DRNTG_20273.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGYCLKTKTYRLYNPNTCKVIFSRDVVFREDARWDWASTDNTPSLYVWDDDFFAYSNSVSSQSIVGQGEHTHHHTVENDPAHFSASIDANTSEVTESEEADDEDSPPRRTRQLSDIYNNCSFALLIANPVTYEDAAKINEWQVAMGEEMKAIQQNETWDLVDRPKEKKVIGLKWIFKTKYKANGEVLKHKARIVAKGYAQQMGIDVDEVYAPVARMETIRFLFALSAQKGWPLFHLDVKSAFLNGEIVEEVYVEQPLGFEVEGKEHKVYRLKKALYGLKQAPRAWYSKIDSYFTKQGFKRSENEHTFYRRIEKDGTALLVCIYVDDIVCTSPSLEQIAEFKSEMKKHFSMADLGLLSYFLGMEVVQDKHGVFVSQCKYTQDLLKKFNMMKCKPAPTPMFTNEKLQADDNSGDTDGHRYRSLVGQLIYLTHTRPDIAFAVGVLSRYMNKPSKTHARVGKRMLRYLAGTADFGLWYTHGVECKLVGYSDSDWGGSMEDRKSTSGVVFDLGSAAVSWMSKKQEVIALSTTEAEYVALAAAGCQGLWLRKLMEDCGIGSNEATEIRCDNKSAIAIAKNPMHHGRTKHVDIKFHFIRNLVANGTVTVKHCSTEDQHADLLTKPLASQKHIMLRSQLGVCNLQSRGGMLEN >DRNTG_20273.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001110.1:9326:13793:1 gene:DRNTG_20273 transcript:DRNTG_20273.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGYCLKTKTYRLYNPNTCKVIFSRDVVFREDARWDWASTDNTPSLYVWDDDFFAYSNSVSSQSIVGQGEHTHHHTVENDPAHFSASIDANTSEVTESEEADDEDSPPRRTRQLSDIYNNCSFALLIANPVTYEDAAKINEWQVAMGEEMKAIQQNETWDLVDRPKEKKVIGLKWIFKTKYKANGEVLKHKARIVAKGYAQQMGIDVDEVYAPVARMETIRFLFALSAQKGWPLFHLDVKSAFLNGEIVEEVYVEQPLGFEVEGKEHKVYRLKKALYGLKQAPRAWYSKIDSYFTKQGFKRSENEHTFYRRIEKDGTALLVCIYVDDIVCTSPSLEQIAEFKSEMKKHFSMADLGLLSYFLGMEVVQDKHGVFVSQCKYTQDLLKKFNMMKCKPAPTPMFTNEKLQADDNSGDTDGHRYRSLVGQLIYLTHTRPDIAFAVGVLSRYMNKPSKTHARVGKRMLRYLAGTADFGLWYTHGVECKLVGYSDSDWGGSMEDRKSTSGVVFDLGSAAVSWMSKKQEVIALSTTEAEYVALAAAGCQGLWLRKLMEDCGIGSNEATEIRCDNKSAIAIAKNPMHHGRTKHVDIKFHFIRNLVANGTVTVKHCSTEDQHADLLTKPLASQKHIMLRSQLGVCNLQSRGGMLEN >DRNTG_20273.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001110.1:9052:13793:1 gene:DRNTG_20273 transcript:DRNTG_20273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYCLKTKTYRLYNPNTCKVIFSRDVVFREDARWDWASTDNTPSLYVWDDDFFAYSNSVSSQSIVGQGEHTHHHTVENDPAHFSASIDANTSEVTESEEADDEDSPPRRTRQLSDIYNNCSFALLIANPVTYEDAAKINEWQVAMGEEMKAIQQNETWDLVDRPKEKKVIGLKWIFKTKYKANGEVLKHKARIVAKGYAQQMGIDVDEVYAPVARMETIRFLFALSAQKGWPLFHLDVKSAFLNGEIVEEVYVEQPLGFEVEGKEHKVYRLKKALYGLKQAPRAWYSKIDSYFTKQGFKRSENEHTFYRRIEKDGTALLVCIYVDDIVCTSPSLEQIAEFKSEMKKHFSMADLGLLSYFLGMEVVQDKHGVFVSQCKYTQDLLKKFNMMKCKPAPTPMFTNEKLQADDNSGDTDGHRYRSLVGQLIYLTHTRPDIAFAVGVLSRYMNKPSKTHARVGKRMLRYLAGTADFGLWYTHGVECKLVGYSDSDWGGSMEDRKSTSGVVFDLGSAAVSWMSKKQEVIALSTTEAEYVALAAAGCQGLWLRKLMEDCGIGSNEATEIRCDNKSAIAIAKNPMHHGRTKHVDIKFHFIRNLVANGTVTVKHCSTEDQHADLLTKPLASQKHIMLRSQLGVCNLQSRGGMLEN >DRNTG_20273.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001110.1:9052:13773:1 gene:DRNTG_20273 transcript:DRNTG_20273.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYCLKTKTYRLYNPNTCKVIFSRDVVFREDARWDWASTDNTPSLYVWDDDFFAYSNSVSSQSIVGQGEHTHHHTVENDPAHFSASIDANTSEVTESEEADDEDSPPRRTRQLSDIYNNCSFALLIANPVTYEDAAKINEWQVAMGEEMKAIQQNETWDLVDRPKEKKVIGLKWIFKTKYKANGEVLKHKARIVAKGYAQQMGIDVDEVYAPVARMETIRFLFALSAQKGWPLFHLDVKSAFLNGEIVEEVYVEQPLGFEVEGKEHKVYRLKKALYGLKQAPRAWYSKIDSYFTKQGFKRSENEHTFYRRIEKDGTALLVCIYVDDIVCTSPSLEQIAEFKSEMKKHFSMADLGLLSYFLGMEVVQDKHGVFVSQCKYTQDLLKKFNMMKCKPAPTPMFTNEKLQADDNSGDTDGHRYRSLVGQLIYLTHTRPDIAFAVGVLSRYMNKPSKTHARVGKRMLRYLAGTADFGLWYTHGVECKLVGYSDSDWGGSMEDRKSTSGVVFDLGSAAVSWMSKKQEVIALSTTEAEYVALAAAGCQGLWLRKLMEDCGIGSNEATEIRCDNKSAIAIAKNPMHHGRTKHVDIKFHFIRNLVANGTVTVKHCSTEDQHADLLTKPLASQKHIMLRSQLGVCNLQSRGGMLEN >DRNTG_03278.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8655451:8655791:-1 gene:DRNTG_03278 transcript:DRNTG_03278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIKIYRDRLGSFSPESALPASKNMQPDEWWKLFGHSAPHLQKVAIRLLSQTASSSGCERNWSLFERIHTKRRNKL >DRNTG_00169.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2888651:2890213:1 gene:DRNTG_00169 transcript:DRNTG_00169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSTQAPQKHQSSVAHVLGLPLSKVVCKTKRIGGGFGGKETRSAFIAAAACVPAYLLKRPVKITLDRDVDMMISGQRHSFLGKYKV >DRNTG_23695.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:613158:616012:-1 gene:DRNTG_23695 transcript:DRNTG_23695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMKETGSVIVASSDEGIKTQSSVELQTIQAAYKLDGKNYLKWSQLIRTILKGKGKITHLLGTGPKQGDASFEAWDEEDSLIMAWLWNSMMPEISDTVMFMATAKDICDAIQQTYSKVKDAAQVYEVKVKTIAAKQGSKSVTEYANQLKSLWQELDHYRVIQTKCPDDAAILKEFIEQDRVYDFLVGLNPEFDQVRIQILGKQKVPCFNEVVAIIRSEESRRTLMLDPQTAEGSAMVAGGAKHGLVDNEKRPIAETGRGIQFRPQNRDELWCTYCRKARHTWEKCWKLHGKPPSREWGQKGYQPRGNGQVHIVTNATQEEDKQELKGLNQDEVEKEKDSGKMIGSGKEQDGLYYLEEANKSNKFKSRSPYSFMSDSVLSNKKKIILYHYRLGHPSFRIIKSLFPSLFAKLDVESLHCEVCELAKHKRVSFPISNTRSTFPFYLVHSDIWGPATISNVSEARWFVTFIDDCTRVTWVFLLKHKSDISYVFPNFVSMVKNQFGVNIQRLRSDNAKDYFNQTLTSYCQEDGIIHESSCVKTPQQNGIAERKNGHFLNQTRALLFQKNVPKYFWGEAVLTSTHLINRLPSRVLGFKSPMEVLSSFYPNLSTTNNLIPRIFGCVSFVHIHSQDRGKLDPRALKCIFVGYSSTQKGYKCYHPPSKKFYVSRDVIFCEQEAYFTQSYLQGERLNEDNEPIPILGGLSEFDLFLPSNHEFNNTKLKPLKHHEPEIPQPEP >DRNTG_27903.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10408213:10408814:1 gene:DRNTG_27903 transcript:DRNTG_27903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATLPSNLSMEASRNPNDQNNKEKKVEVDFIYYNKLLYIYIYINIYIYI >DRNTG_24659.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7060989:7066172:-1 gene:DRNTG_24659 transcript:DRNTG_24659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMIGLLVVGTLMRFSSSTLLQSFKEEYKIDVYHNARACLRLRAACEKLKKVLSANPEAPLNIECLIDEKDVRGFIKREEFEQISVPILERVKEPLEKAITEAGLTQDNIHSVEVVGSGSRVPAIIRILTEFFCKEPRRTMNASECVARGCALECAILSPTFKVREFRVYDSFPFSVALSWKGSSSDSQNGAADKQHTTVVFPKGNPVPSTKALTFYRSGTFSVDVIYAEQDDLQAATLISTYTIGPFQSTKGDCAKIKVKVHLNLHGIVSVESATMLEEGVEVPVSAVKEPEKEAVKMDTDETANDSTTAQSDVNMQDDKNAEEGSGAGLENGVPGPEDKPVRMDTDAKAPKKKVKKTNVPVIESVYGSMLAADLQKAVEKEFEMVLQDRVMEETKDKKNAVEAFVYDMRNKLHDKYRDFVTQAEGEEFTAKLQEVENWLYEDGEDETKGVYVAKLEELKKQGDPIEERYNEFTERGPAIDQLTYCINSFREAALSYDPRFDHIDEAEKQKVIKECTAAEAWLREKKQKQDECPKHAPPVLLSAEVKRKAETLDRFCRPIMTKPKPPPAKPQTPPPTETSAESQASDEQQVKGDNEMGDQTATEADGEAASLSDQMETDKSEDANPLSPGT >DRNTG_21087.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4302418:4303135:-1 gene:DRNTG_21087 transcript:DRNTG_21087.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNHGGHCLYLLASLLRRGLPSVALSVLDRIIICSSTPDALAAARYASSLGLPVDPARLLRPLLSSRQFTKASQVFLSFTSLSISDPSLLDSMLLCYCELRDLPRAQSLFDAIIKLKSLPSLASYGALLRLLCAKEQLSHAFSLFIRMAGAGVLPPESSYHVLIPRLCSEGYLNEARFLFDVMLGAGIRPSLR >DRNTG_26825.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1994922:1996916:-1 gene:DRNTG_26825 transcript:DRNTG_26825.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLKLFIYLLVFFLVCATNGSARKMVGIYELKKGDFSVKVTNWGATIISVVVPDSKGNLADVVLGYDSIAEYVNNTAYFGALVGRVINRISGARFVLNGTAYRLYANDGKNSLHGGHRGFSHVIWTVQEQSNGEFPYITFYYHSFDGEQGFPGDLDVLVTYKISGKYKLDVIMHATPRNKATPVNLGQHTYWNLGGEGSGDILSNSVQIFSSKMTPLDKNLIPTGEITTVAGTPYDFRKPMTVGSRIKETNGGYNINYVLDSPTEDQGMRKAAVVKDSKTGRVLELWTNQPGVQFYTSNYLNVKGKGGHVYNQYGALCLETQGFPDAVNHPTFPTTIVNPGQVYRHYMAHKFSFQ >DRNTG_09438.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21210898:21214187:-1 gene:DRNTG_09438 transcript:DRNTG_09438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNITAIKISSSGVWQGDNPLNFAFPLLIIQTILVLFLSRSLALLFKPLRQPKVIAEIVGGILLGPSALGRNQTYMQRVFPAWSTTILESVANIGLIFFLFIVGLDLELRSIQRSGRRALSIATAGIAFPFTLGIGIAFILRNAISGEHSVGYAPFLVFIGVALSITAFPVLARILAELKLLNTPLGETAMAAAAFNDVAAWILLALAIAISGGDHHKSPLVSLLVLASGVVFVLVEMILVKPVMAWVAKRAEGREAENEIWISLTMAGVLVSGFMTDMIGIHSIFGAFVFGLTVPKEGEFAGKLIDRIEDFVTGLLLPLYFASSGLKTNVATISGGKAWGLVVLVIAVASAGKIIGTFLVALVCKMTVKEAFTLGVIMNTKGLVELIVLNIGKEKKVLNDETFAIMVVMALFTTFMTTPAVMAIYKPAMYNGRKVQQSTTHPCDEFKEFRVLACVHGNRDVSSLVNFIEMIRGGTKKSALKLYILHLVELTERFSSIIMARASALPFGCASIAPDSVSVTFETYGQLGRVQVRAMKAVSAMSSMHEEVFRVAGEKQASLVVVPLVENMGVEWRAVKQKVLEKSPCTVAVLVDRGLSRRASQEGTEEVGWKVCVVFFGGPDDREVLQLAGKMVEHPGVELTVMNFVKSKEEYCEKDVYTFSTADTEKEFDNAAVEEFRKRTEGRMRYEERSVRSAVEVVIEIGRSGEYELVIVGKGQSYCPMVAELGGRTAELGLVGDTLMSSAHSIASSVLVVQRHDIETPVSLVLDNSEVAVDMP >DRNTG_10106.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20802541:20807147:1 gene:DRNTG_10106 transcript:DRNTG_10106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRASLSPGDYVYFKSRVPLHKISVGAKQWRYYDFGPKDVPPLICIPGIAGTADVFYKQIMFLSMKGYRVISIDIPCIWNHHEWIHAFEKFLDAINVHHVHLYGTSLGGFLSQLFAQHRPRRVKSLVLSNTFLDTHKFSAAMPWSSVVSWSPSFLLKRYILSGIHDGPHEPFIADSVDFVVGQVETLSRDDLASRLTLNANAVAVGALFLSDSFITIMDTNDYCAIPQQLKDQVSERYSGARRAILKTGGDFPFLSRPDEVNLHLQLHLMRVGVEAKLDLVQSTSKDGTAGSSSGDEKKGDKRFDNPADDNGNTGHDGTSHQPDESSGSGSSAFDEHLLSNAKLHVFVALHTVLISMQASSSIMPFMQQNCIAINVQ >DRNTG_10106.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20802541:20807147:1 gene:DRNTG_10106 transcript:DRNTG_10106.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRASLSPGDYVYFKSRVPLHKISVGAKQWRYYDFGPKDVPPLICIPGIAGTADVFYKQIMFLSMKGYRVISIDIPCIWNHHEWIHAFEKFLDAINVHHVHLYGTSLGGFLSQLFAQHRPRRVKSLVLSNTFLDTHKFSAAMPWSSVVSWSPSFLLKRYILSGIHDGPHEPFIADSVDFVVGQVETLSRDDLASRLTLNANAVAVGALFLSDSFITIMDVSFSDPL >DRNTG_10106.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20802541:20807147:1 gene:DRNTG_10106 transcript:DRNTG_10106.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRASLSPGDYVYFKSRVPLHKISVGAKQWRYYDFGPKDVPPLICIPGIAGTADVFYKQIMFLSMKGYRVISIDIPCIWNHHEWIHAFEKFLDAINVHHVHLYGTSLGGFLSQLFAQHRPRRVKSLVLSNTFLDTHKFSAAMPWSSVVSWSPSFLLKRYILSGIHDGPHEPFIADSVDFVVGQVETLSRDDLASRLTLNANAVAVGALFLSDSFITIMDMMLSINLINENFVTSFL >DRNTG_04157.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:436704:442859:1 gene:DRNTG_04157 transcript:DRNTG_04157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLVLVLSPTRELATQIQDEAVKFGRSSRVSSVCLYGGAPKGPQLRDLSKGAHVVVATPGRLNDILEMKKVSLHQVSYLVLDEADRMLDMGFEPQIRRIVKEIPPRRQTLMYTATWPKEVRKIAADLLVNPVQVNIGSIDELVANKSITQHVEMISPMEKRKRLEQILHSQEPGSKIIIFCSTKRMCDQLARNLGHQFGANAIHGDKSQNERDLVLNQFRTGKSPILVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGVSYTFLSDQDARYASDLVKVLEGADQCVPSELRDMAAQGGYGGRFRRWRSDSGAHDRVHSYGGTCTGSSYDKSPSFGGVCNDSSYDKGGGWGQPLSSGWSDSFCNHRPSPPFERCDHSGGKHLDSQERGNMKTIQEASNSSAGCSKRSRSRSRSRSPSSKCHGWGVNLTADPL >DRNTG_04157.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:436704:442385:1 gene:DRNTG_04157 transcript:DRNTG_04157.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKSSDSPRYAPYDPSLPKPWRALVDGRNGNLYFWNPLTKVTQYERPSASEPENRGAQSCSAPSSDGPAIINASTSGSGQTSLPNPRVPALTAGNDSPAGLSAEAYRRHHEITVIGNHVPPPYTTFQSAGFPSEILRELQHAGFSAPTPIQAQSWPIALDGRDIVAVAKTGSGKTLGYLIPGFIRLKKLGSNSQMGPLVLVLSPTRELATQIQDEAVKFGRSSRVSSVCLYGGAPKGPQLRDLSKGAHVVVATPGRLNDILEMKKVSLHQVSYLVLDEADRMLDMGFEPQIRRIVKEIPPRRQTLMYTATWPKEVRKIAADLLVNPVQVNIGSIDELVANKSITQHVEMISPMEKRKRLEQILHSQEPGSKIIIFCSTKRMCDQLARNLGHQFGANAIHGDKSQNERDLVLNQFRTGKSPILVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGVSYTFLSDQDARYASDLVKVLEGADQCVPSELRDMAAQGGYGGRFRRWRSDSGAHDRVHSYGGTCTGSSYDKSPSFGGVCNDSSYDKGGGWGQPLSSGWSDSFCNHRPSPPFERCDHSGGKHLDSQERGNMKTIQEASNSSAGCSKRSRSRSRSRSPSSKCHGWGVNLTADPL >DRNTG_04157.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:436704:442385:1 gene:DRNTG_04157 transcript:DRNTG_04157.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLVLVLSPTRELATQIQDEAVKFGRSSRVSSVCLYGGAPKGPQLRDLSKGAHVVVATPGRLNDILEMKKVSLHQVSYLVLDEADRMLDMGFEPQIRRIVKEIPPRRQTLMYTATWPKEVRKIAADLLVNPVQVNIGSIDELVANKSITQHVEMISPMEKRKRLEQILHSQEPGSKIIIFCSTKRMCDQLARNLGHQFGANAIHGDKSQNERDLVLNQFRTGKSPILVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGVSYTFLSDQDARYASDLVKVLEGADQCVPSELRDMAAQGGYGGRFRRWRSDSGAHDRVHSYGGTCTGSSYDKSPSFGGVCNDSSYDKGGGWGQPLSSGWSDSFCNHRPSPPFERCDHSGGKHLDSQERGNMKTIQEASNSSAGCSKRSRSRSRSRSPSSKCHGWGVNLTADPL >DRNTG_20156.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:967664:983007:1 gene:DRNTG_20156 transcript:DRNTG_20156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKFRTGACTVHARGVTRFQPYLKPIQPQFCSDNTCCLEEGYWESFRRYLSGEVYPRPDKGSLATSRGLSTRPSTQPSRGFLYGFIAFTFDFFDYTTDELVDEYVQEMFNPDPYEGSEFVNMFRIVLLSLQVFLYHPEKTHQACEFPCTLGVYQQLIREGTGAHTYHAATPMNDHATGARLWVISARAYKLLQSWANFPESTQGRGLTPVGDLVNLTR >DRNTG_28942.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001461.1:33888:35015:-1 gene:DRNTG_28942 transcript:DRNTG_28942.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSPAPSPLSPLPALIRPSRNLRADFSLPSFASSRRPRRHPKHHLLSRRPGITPSSRSCGRLMTLWNLMPIKSM >DRNTG_12116.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7090856:7092166:1 gene:DRNTG_12116 transcript:DRNTG_12116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTVWHAFPPCQINLNARVIQKLKDRPLYKVIDIQKDILREHGVHVPYKFKLMRMLCNRREQANK >DRNTG_20130.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:235566:237718:1 gene:DRNTG_20130 transcript:DRNTG_20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMAVMDGVDFSKLPEGCISNVLSLTSPPEVCRFAMVSHVFFSAAASDTVWERFIPSDIDEILLRAVDHIECSSKRELYFRLCNPILINDGKMSFFLEKSSGKKCYMLSAKLLSITWADTSHYWRWISVDNTRFEEVAELLDVCWLEIRGSLDTRQLSQLTKYAAYLVFNLTEASRGLDHPSQETSVKLGAHVSSHITRLMTPLETEYSAYGYDWMENELSDHSDNDDYEDLVAQVIELQSTTEVVSREDGWMEIELGEFDTECGDDGEVEMNFMEIKGGHWKRGLIVQGIEIRPRK >DRNTG_07667.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23397304:23398088:1 gene:DRNTG_07667 transcript:DRNTG_07667.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQWANVKNQDYENLDYSQPSHDDS >DRNTG_07667.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23395488:23398088:1 gene:DRNTG_07667 transcript:DRNTG_07667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQWANVKNQDYENLDYSQPSHDDS >DRNTG_28228.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11903051:11903571:-1 gene:DRNTG_28228 transcript:DRNTG_28228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCIKIYYLKHSNRCLDRNKLTGPVPPILNSLASIKELYLSNNMLSGPCQT >DRNTG_09266.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21732140:21734937:-1 gene:DRNTG_09266 transcript:DRNTG_09266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNDCFVTNGTTKKESREEEETVAYTSALRLGCSTSVAMALKAVIELNVLEVIAAAGPGARLSPEEIVSQIETTNPNAGEVLDRLLRFLASYNVLKCEVVDGEKGMMKRKYGLAPICRFFTKDEDGVSVAPLLLMNQDKVLVDAWINLKYAVSEGTTPFVKAHGESAFQYHGKDHRFSEVFNQGMFNHTAMLMKKILETYKGFESLDVLVDVGGGIGATLAIILSKYPHIKAINFDLPFVISEAKPIPGVEFVGGDMFASVPTGDAIFMKWILHDWDDEHCVKILKNCTEALPDNGKVIVVEGVIPEIPEDSDDARNGYMGDLCMLTYNVGGKERNEKEFKYLAKESGFSGFKIACCVYGFSVLEFSK >DRNTG_17758.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:685966:686583:1 gene:DRNTG_17758 transcript:DRNTG_17758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDNDTKPLSSETPPTSKRRRKKSLVWEHFTIEAMPGGCTRACCKLCKQTFAYSSGSKIAGTSHLKRHIALGSCPKIKNQEKKLALTSAQTDGNITEPPQKRRYRGAGWDNALFDQETSCLNLAKMIILHEYPIHIVKHPGFIAFAQSLQPRFKMASVDDIEGEIISVYLKEKQNLLQVLGIMPRKISLTISLCTASLLDKRRR >DRNTG_26178.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29182477:29190561:1 gene:DRNTG_26178 transcript:DRNTG_26178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAATHSDALAEYTREAQERGCDPLTWAVQLSSMMAAAGAQLPSTELAGILVSHLFWANSNVRLAWKYLDRALSAGLVPPVLVLALLSTRHALISIFVSLSVHWVIPCRKSRPVPYRLYLELLKMHAFNFASQIKGPYFKKIMASVDDVLHLSQAFGLQACELGVLVVEFVFCVLWQLVDATIEDEGLQDLTPERKPIWFTRQDMDIDREANVGVKTEHIEKIQKTNIGLATEFIKEFLHHKVISRLLSLARDNMPSQWGVFTLCLRVLEANSSSLPSGSLLQLAVDDHKKISQECHRGHHEELNALIASESSTSSGGQCHGTSCSALWIPLDLYLEDCIDLSVAPSNAIEILSGLIKALQVVNGTTWHDVFLGLWLASLRLVQRERDPIEGPVPHLNSRLSMLLSITTLSVADVIEEEELALAKDAEYTSQWENKTASSGKRRKDLVSSLQVLGDYKSLLVPPSSVVLAANQAAAKATMFVSGLTVGSGYMDMINMNDKTLNFSGNMWHLIVEACISRNLLDTSAYYWPGYVSGLINQIPHSMPGQVPGWSAFMKGAPLTAPMVNALVATPASSLAELEKVFEIAVNGSDDDKISAATILCGASLTCGWNVQEHTVRFALNLLSPPPPVDYSGSDSHLTGHGPVLYVVLAGISSVDCAQVFSYHGLVPELAGALMELSEVFGSYVPSISWTLTSGEEITTYAVFSSAFILLMRLWQFNRPPLECCLMGDSAPVGSQLNPEYLLLQRNSRVLDSSNVTDNGKAFRSLSTSAKSSSAHPIFVDSFPKLKVWYRQHQACLASTLSGLVHGTPVHKNVDALLNMMFRKVNKGTNQTGSTTSGSSSLSSSSGPGSEETSIRPKLPAWDIMEAAPFVVDAALTACAYGRLSPRELATGLKDLADFLPASLATIVSYFSAEVTRGIWKPAFMNGTDWPSPAANLSTVEEHIKKILAATGVDVPSLVVGGSSPATLPLPLAAFVSFTITYKLDKASERFLNLAGPALESLAASCPWPSMPIVAALWTQKVKRWSDFLIFSASRTVFYHNNDAVVQLLRSCFTAMLDLSTSLLSSDEGVGSLLGHGFGSHFSGGISPVAPGVLYLRVYRCIKNVVILADEILSLLMWSVKEIALKVFSKERAEKLNKAKYGMRYGQVSLAAAITQVRVAAGLGATLVWLSGGPGLVQSLIHEILPSWFLSVRELDIDGASAWMVHKLAGCALAHFAMIGGIFAWGIGSSGLSKRRPKVLGAHMEFIARAFDKKISVGCDSTLWHTYVVCFLGLMVKCAPSWVLEINSSVLKRLSWGLKEWEKDELALALLQKGGVTTMGAAAEMIILAGE >DRNTG_33716.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1201712:1206134:-1 gene:DRNTG_33716 transcript:DRNTG_33716.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRSTPKAQKLSELLQLSILTLVSNPIQDDEGNGMRH >DRNTG_33716.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1201712:1203157:-1 gene:DRNTG_33716 transcript:DRNTG_33716.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRNASSHNHPSSHQVALKATRVKRG >DRNTG_33716.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1201712:1206134:-1 gene:DRNTG_33716 transcript:DRNTG_33716.2 gene_biotype:protein_coding transcript_biotype:protein_coding RRSTPKAQKLSELLQLSILTLVSNPIQDDEGNGMRH >DRNTG_33716.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1201462:1203090:-1 gene:DRNTG_33716 transcript:DRNTG_33716.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRNASSHNHPSSHQVALKATRVKRG >DRNTG_33716.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1201712:1203090:-1 gene:DRNTG_33716 transcript:DRNTG_33716.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRNASSHNHPSSHQVALKATRVKRG >DRNTG_33716.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1201712:1202347:-1 gene:DRNTG_33716 transcript:DRNTG_33716.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNSKQNQRNKKNSSKKQKEKENKQYPVDPHRRLKSSVNCFNSRSLHWFPIPYKTMKGMECDIEASTAKRTRMIGSRGRSHPFRRLGFLSFSLS >DRNTG_08170.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11860515:11863895:1 gene:DRNTG_08170 transcript:DRNTG_08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGDPNSSPAPEPEPEHPPLAIILHHLLPLLLSASLSVQSLVGRWRLLHSKLSLLRSSLSAAVDSSSDHPLLLHLLPSLLSTLRSLHSLSSRCLDPSLPSGKLLLQSDLDIASSSLSLHLHDLDLLLRSGLLVHPDPHSNAIVLSSSTSDLPLLLRDLFARLQIGSIDLKHQALDSLLSLLSSDPSKLSPIIIQHGDIPFLVRLLDPSTHSLLRDLATSVISILSTASDSSRRAVFDEGALGPLLRILESGSTSLKERTAAAIEAITSDPSNAWAILAYNGVPILINACRSVSSSSPVQALAAGSLNNISAVDDIRSAMVEEGAIPVLVDLVLSGSTAVQKHAVLCLWNLASSGEDEIRHLIVQEGGLQKLLQLIRDPPNPEIQEHALRAIHAFSASPTAAKTLSLSPGIFTHLGELITLGAATTQQAAAAVLCNLFPSEESKRAMAPCMASLVKIMEQAKPASAQESAARALVELLAVRPNRREFVRDEKSMARLVQMLDVKREEVCKEYPVSVVLALAGAGAGTRKRLADAGASHHLQKLVDAGVPGAKKALQRLAGSRLKTLFSMAWRE >DRNTG_08170.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11860515:11862381:1 gene:DRNTG_08170 transcript:DRNTG_08170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGDPNSSPAPEPEPEHPPLAIILHHLLPLLLSASLSVQSLVGRWRLLHSKLSLLRSSLSAAVDSSSDHPLLLHLLPSLLSTLRSLHSLSSRCLDPSLPSGKLLLQSDLDIASSSLSLHLHDLDLLLRSGLLVHPDPHSNAIVLSSSTSDLPLLLRDLFARLQIGSIDLKHQALDSLLSLLSSDPSKLSPIIIQHGDIPFLVRLLDPSTHSLLRDLATSVISILSTASDSSRRAVFDEGALGPLLRILESGSTSLKERTAAAIEAITSDPSNAWAILAYNGVPILINACRSVSSSSPVQALAAGSLNNISAVDDIRSAMVEEGAIPVLVDLVLSGSTAVQKHAVLCLWNLASSGEDEIRHLIVQEGGLQKLLQLIRDPPNPEIQEHALRAIHAFSASPTAAKTLSLSPGIFTHLGELITLGAATTQQAAAAVLCNLFPSEESKRAMAPCMASLVKIMEQAKPASAQESAARALVELLAVRPNRREFVRDEKSMARLVQMLDVKREEVCKEYPVSVVLALAGAGAGTRKRLADAGASHHLQKLVDAGVPGAKKALQRLAGSRLKTLFSMAWRE >DRNTG_34957.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1887404:1887959:1 gene:DRNTG_34957 transcript:DRNTG_34957.1 gene_biotype:protein_coding transcript_biotype:protein_coding RCAGANPLKKHNETEYTIPPTQYTNKNSHTSYNLSSQTEFSSRMRTMQA >DRNTG_02201.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1304915:1306832:1 gene:DRNTG_02201 transcript:DRNTG_02201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNALAWGVVTVAISASLQLNHFRSCLRSCLYRRSLCNCSFSFSTSISKEKSPAQVVGISSVCKLRLLTMAFNLVNGRCYLTPVVETLAELKDNMTPRHWEIIRRTPFAAFTELEAIYQERALLDSLLQRYDGRTNKFRIGESLLSFRPQDVALVLGLRCDGDAVMFKKNKIRSAFEGRYLSNTYERHRDSIKSTLVQLVRQRGEKENFVKLLMVYLMGTVLFPNTSCLVPNWIVDYVDDLPAMGRYAWAQATHKWLMEDIPQVAARVQDRCAGKKTNTRYIKGCSVALNVWFYELTGTGKESPIRQDPKNLVLR >DRNTG_27664.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8492100:8495556:-1 gene:DRNTG_27664 transcript:DRNTG_27664.3 gene_biotype:protein_coding transcript_biotype:protein_coding CRPSPSSSHQRAHQNPKFGPHTHQSPPSRTPSLTLSLISPPHLNQRADPFNTAPIAGDKIRISEFNP >DRNTG_27664.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8494022:8495556:-1 gene:DRNTG_27664 transcript:DRNTG_27664.4 gene_biotype:protein_coding transcript_biotype:protein_coding QYVVFLLIICLGFMLSNVTSIIKWWSFNHILKYLFNYF >DRNTG_27664.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8494302:8495556:-1 gene:DRNTG_27664 transcript:DRNTG_27664.5 gene_biotype:protein_coding transcript_biotype:protein_coding CRPSPSSSHQRAHQNPKFGPHTHQSPPSRTPSLTLSLISPPHLNQRADPFNTAPIAGDKIRISEFNP >DRNTG_27664.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8490713:8495556:-1 gene:DRNTG_27664 transcript:DRNTG_27664.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRPSPSSSHQRAHQNPKFGPHTHQSPPSRTPSLTLSLISPPHLNQRADPFNTAPIAGDKIRISEFNP >DRNTG_27664.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8491920:8495556:-1 gene:DRNTG_27664 transcript:DRNTG_27664.2 gene_biotype:protein_coding transcript_biotype:protein_coding CRPSPSSSHQRAHQNPKFGPHTHQSPPSRTPSLTLSLISPPHLNQRADPFNTAPIAGDKIRISEFNP >DRNTG_34184.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7069863:7070524:-1 gene:DRNTG_34184 transcript:DRNTG_34184.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKATRPVGISDSSTKGADVKAPSASKQAPKKTESKASESKKAKGGKSSAKKK >DRNTG_15741.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20370798:20373169:1 gene:DRNTG_15741 transcript:DRNTG_15741.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLNQQLPLKCSMICLKDVQTRIKCSTICFRESKALQRAQSHHLLKLRASKALDLVLGNRSDDDDDEDSSDDDGPFLMSGEERMELRRKIREVMDLHPEVEEEMDPEKLRMKALKLARDYSLVVDEEDPDWPEDAEGRGFKLDQFFDKFYIKNVKKDDADEDDEEEKEIVWKDDNYIKAVKDITSSEWEDTVFKDFNPLVILVHHRYRRPKENEKARIELEKAVQMFWDTGLPFTQSNNIKNLLTLKLVCSYS >DRNTG_15741.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20370798:20372525:1 gene:DRNTG_15741 transcript:DRNTG_15741.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLNQQLPLKCSMICLKDVQTRIKCSTICFRESKALQRAQSHHLLKLRASKALDLVLGNRSDDDDDEDSSDDDGPFLMSGEERMELRRKIREVMDLHPEVEEEMDPEKLRMKALKLARDYSLVVDEEDPDWPEDAEGRGFKLDQFFDKFYIKNVKKDDADEDDEEEKEIVWKDDNYIKAVKDITSSEWEDTVFKDFNPLVILVHHRYRRPKENEKARIELEKAVQMFWDTGLPFTQSNNI >DRNTG_34708.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:744271:747690:-1 gene:DRNTG_34708 transcript:DRNTG_34708.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTVAGLLLRPFLDDVFGSELYSLQHSKHNPSTSSPPSSSLSQLHRCRSSLPP >DRNTG_13217.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2690481:2692624:-1 gene:DRNTG_13217 transcript:DRNTG_13217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDKPEVLASKVKEQEHKEGDKGGIIEKVKEFIHEVEEKVEELILGKPTVEVTGIHISQISLEKVEIVVDILVTNPTPLPIPLTDINYSVESDGRKLVSGLIPDAGTIHGHGSETVKIPVTLIFNDIKNILLDIRPGSIIPYKLKVEFIVDIPIFGKLTLPLEKTGEIPIPCKPDIDLVKISFDKFTFEETVATLHLKLENKNDFELGLTGLDCEIWLSDMNIGSVELKKSEKIEKHGTGTIEIPISFRPKDFGNSLWNMISGKGIGYTLKGYLYVDSPFGPMKLPIGKVGATICENQKHG >DRNTG_02550.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:7944577:7945008:-1 gene:DRNTG_02550 transcript:DRNTG_02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSETLSITNHQITAEDTSAAPNPAPQKEINHFRGVRKRPWGRYAAEIRDPWKKTRKWLGTFDTAEEAARAYDEAARSLRGPKAKTNFGYGAGSVPAWRSPPVYGRAGADRTEKKVDGEEMMVMMNKKKPFSFDLNLPAPLF >DRNTG_20397.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19348214:19348964:-1 gene:DRNTG_20397 transcript:DRNTG_20397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGVAIEGRHETRAQWTEIHKAHLVKLLGEYNTPAYHSQNGWTKEAWNKIVHDMITKFSNPSINTSQVKALEQELKKPYKLLKGFTELSGFGWDYERHIVLAPDDVWAPLLERNKDARKWHTRQFPYLMALHEVYEGRYAEGKRSHGIEDNEDISQSPVHTPSPSVFTPNDSRQPSPTHETEDDDIMQVEPPSSQPRNPQT >DRNTG_26467.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:537417:538341:1 gene:DRNTG_26467 transcript:DRNTG_26467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRIEAQIQVEVGVDVLWRTFAEEFRVTWPKVVPDIVVNVEVVEGDGGLGSLLFLQFKPGGPTVPYQKTKIVELDSTKHKLGLEVVEGGHLSNGFSKYVTFFKLTSNGERETQVDVAVECEPVAEEADLQGKTTKFPLLFFRCLENYLQITTDA >DRNTG_35190.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18698676:18702531:1 gene:DRNTG_35190 transcript:DRNTG_35190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVSPNETYNSDSQRKEKTIDEWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGITILILSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLIVEVGTNIIYMVTGGKSLKKFHDVVCPDCKNIKLTYFIMIFASVHFVLSQLPNFNSISGVSLAAAVMSLSYSTIAWGASVDKGKQPGVEYGYRASSTAGTVFNFFSALGAVAFAYAGHNVVLEIQANIPSTPEKPSKKPMWRGVVVAYIIVAICYFPVAFVGYWAFGNSVSDDVLITLEKPRWLIAMANMMVVIHVIGGYQIYAMPVFDMMETILVKKLHFTPSLTLRLIARSLYVAFTMFMGITFPFFSELLGFFGGFAFAPTTYFLPCVMWLAIYKPRRGSLSWISNWICIILGLCLMILSPIGGLRQIIIKAKTYTFYS >DRNTG_25590.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29808210:29809880:-1 gene:DRNTG_25590 transcript:DRNTG_25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPIHSMHLCHLDELHKKKSRERAKTKSNRNGQMKLNKTTSEHDRSTKVMANEGLLISVRHQVCVHVTGCMQSK >DRNTG_19140.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000983.1:1:2653:-1 gene:DRNTG_19140 transcript:DRNTG_19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSNISGCERSNPSSSAGATWNPLHLISSFI >DRNTG_04257.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9594793:9597117:-1 gene:DRNTG_04257 transcript:DRNTG_04257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGTFSDLRRSSISTEGLGPSRICAVAPSAARSL >DRNTG_02614.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21341538:21344707:-1 gene:DRNTG_02614 transcript:DRNTG_02614.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEYRSRKRRAHREEPSGRGTMTYTTLLKALNTKIHKGWIDLQHGSHNADDLNATLFHLDSNTTATTAGRTMINAHTGTCHCAQYPKPSPLSAIIAHEPK >DRNTG_02614.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21340074:21344707:-1 gene:DRNTG_02614 transcript:DRNTG_02614.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSYVCMSHKMILIMIKGKMILSISNHAGFTPVQQLSHNSPDLTPITSPSRSRSPASKNKLSYSVSRSSFFRRNS >DRNTG_30183.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5554394:5558114:1 gene:DRNTG_30183 transcript:DRNTG_30183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMVPSSALLLVVVCLAFAAFALATDMSIIAYDEAHGVKGVLRSEAEIRNLYEGWLVKHGKAYNALGEKDQRYEIFKDNLRFIDEHNAGDHGFKLGLNRFADLTNEEFRAKFLGAKMGGRKRVESDRYRGDVAGELPDNVDWRALGAVAPVKDQGGCGSCWAFSTVAAVEGINKIVTGDMIVLSEQELVDCDTTYNQGCNGGLMDYAFQFIIKNGGIDTEEDYPYNGKDGKCDPYRKNAKVVSITSYEDVPVNNEKALKTAVAHQPVSVAIEAGGREFQLYQSGVFTGRCGTELDHGVTAVGYGTDKGKDYWIVKNSWGKDWGENGFVRMERNINATTGKCGIAMEASYPIKKGQNPPKPAPSPPTPVKPPTVCDNYYSCPESSTCCCVYEYGRYCFAWGCCPLESATCCEDHYSCCPHDYPVCNVRAGTCLMSKDNPLGVKALARTPAKPAWAYSNA >DRNTG_24440.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10234215:10234759:1 gene:DRNTG_24440 transcript:DRNTG_24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIARGVLYLHQDSRLRIIHRDLKASSILLDEAMNPKTSDFGMARIFCADEAVGNTMKVVGTFEYMSPEYAMDGIFSQKSDVFSFGVIVLEIITGKKNRGVYLAVPHTNLFDHASMDFMEGGH >DRNTG_14578.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3842967:3844225:1 gene:DRNTG_14578 transcript:DRNTG_14578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNFLQCLQVFLRHPVKTHGRVEFPHARGFVLRAHPEKAQRLAAAPVNYYATVTRPWVRGLAPVGDLVNHTRAWVISTRPCEMLQRSSLHPEKTQGRVAALVSWACECPRPCGISARACRTLGNFLGCSGKPQGRAAAPVGRAHGRGYFLHVHPRAVRVEGVFSQERTGACVRPCGSVVMRRTDVGNIHTPVCMVPRVRKNEVKHLRVTPPEPIHMDFSNPEHQTRFGKLSALGFGQTHFVDLQVL >DRNTG_12251.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24944116:24945184:1 gene:DRNTG_12251 transcript:DRNTG_12251.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCA2 [Source:Projected from Arabidopsis thaliana (AT5G62940) UniProtKB/TrEMBL;Acc:A0A178UF22] MDSSSSPSGDMIVCSRQPLMERRLRPQHDHALKCPRCDSTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGSLRNVPVGGGCRKNKRSNNKKHSEQLLHQPQPISSFHDGTTDLHLSFPPMQLPLLESLPDTPRNLNFMEFKYNPILESPVNGLDLMDGKFGSMLGSARGHMFMNGCDLGVVGGDHHVNHQMFNNGASGAPFGFSVEGGHGALMEACQRLALPFGGHEEDPNAIEVKPAGRVLSLEWQDQGCSDVGRDSFGYSNGLGLWTGMIGSQGSSAAI >DRNTG_12251.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24943179:24945184:1 gene:DRNTG_12251 transcript:DRNTG_12251.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCA2 [Source:Projected from Arabidopsis thaliana (AT5G62940) UniProtKB/TrEMBL;Acc:A0A178UF22] MASSSMQVCLDTSDWLKGIVSEEGGMDSSSSPSGDMIVCSRQPLMERRLRPQHDHALKCPRCDSTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGSLRNVPVGGGCRKNKRSNNKKHSEQLLHQPQPISSFHDGTTDLHLSFPPMQLPLLESLPDTPRNLNFMEFKYNPILESPVNGLDLMDGKFGSMLGSARGHMFMNGCDLGVVGGDHHVNHQMFNNGASGAPFGFSVEGGHGALMEACQRLALPFGGHEEDPNAIEVKPAGRVLSLEWQDQGCSDVGRDSFGYSNGLGLWTGMIGSQGSSAAI >DRNTG_12251.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24943458:24945504:1 gene:DRNTG_12251 transcript:DRNTG_12251.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCA2 [Source:Projected from Arabidopsis thaliana (AT5G62940) UniProtKB/TrEMBL;Acc:A0A178UF22] MASSSMQVCLDTSDWLKGIVSEEGGMDSSSSPSGDMIVCSRQPLMERRLRPQHDHALKCPRCDSTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGSLRNVPVGGGCRKNKRSNNKKHSEQLLHQPQPISSFHDGTTDLHLSFPPMQLPLLESLPDTPRNLNFMEFKYNPILESPVNGLDLMDGKFGSMLGSARGHMFMNGCDLGVVGGDHHVNHQMFNNGASGAPFGFSVEGGHGALMEACQRLALPFGGHEEDPNAIEVKPAGRVLSLEWQDQGCSDVGRDSFGYSNGLGLWTGMIGSQGSSAAI >DRNTG_12251.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24943405:24945184:1 gene:DRNTG_12251 transcript:DRNTG_12251.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCA2 [Source:Projected from Arabidopsis thaliana (AT5G62940) UniProtKB/TrEMBL;Acc:A0A178UF22] MASSSMQVCLDTSDWLKGIVSEEGGMDSSSSPSGDMIVCSRQPLMERRLRPQHDHALKCPRCDSTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGSLRNVPVGGGCRKNKRSNNKKHSEQLLHQPQPISSFHDGTTDLHLSFPPMQLPLLESLPDTPRNLNFMEFKYNPILESPVNGLDLMDGKFGSMLGSARGHMFMNGCDLGVVGGDHHVNHQMFNNGASGAPFGFSVEGGHGALMEACQRLALPFGGHEEDPNAIEVKPAGRVLSLEWQDQGCSDVGRDSFGYSNGLGLWTGMIGSQGSSAAI >DRNTG_12251.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24943458:24945184:1 gene:DRNTG_12251 transcript:DRNTG_12251.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCA2 [Source:Projected from Arabidopsis thaliana (AT5G62940) UniProtKB/TrEMBL;Acc:A0A178UF22] MASSSMQVCLDTSDWLKGIVSEEGGMDSSSSPSGDMIVCSRQPLMERRLRPQHDHALKCPRCDSTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGSLRNVPVGGGCRKNKRSNNKKHSEQLLHQPQPISSFHDGTTDLHLSFPPMQLPLLESLPDTPRNLNFMEFKYNPILESPVNGLDLMDGKFGSMLGSARGHMFMNGCDLGVVGGDHHVNHQMFNNGASGAPFGFSVEGGHGALMEACQRLALPFGGHEEDPNAIEVKPAGRVLSLEWQDQGCSDVGRDSFGYSNGLGLWTGMIGSQGSSAAI >DRNTG_12251.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24943458:24945392:1 gene:DRNTG_12251 transcript:DRNTG_12251.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCA2 [Source:Projected from Arabidopsis thaliana (AT5G62940) UniProtKB/TrEMBL;Acc:A0A178UF22] MASSSMQVCLDTSDWLKGIVSEEGGMDSSSSPSGDMIVCSRQPLMERRLRPQHDHALKCPRCDSTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGSLRNVPVGGGCRKNKRSNNKKHSEQLLHQPQPISSFHDGTTDLHLSFPPMQLPLLESLPDTPRNLNFMEFKYNPILESPVNGLDLMDGKFGSMLGSARGHMFMNGCDLGVVGGDHHVNHQMFNNGASGAPFGFSVEGGHGALMEACQRLALPFGGHEEDPNAIEVKPAGRVLSLEWQDQGCSDVGRDSFGYSNGLGLWTGMIGSQGSSAAI >DRNTG_12251.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24943405:24945504:1 gene:DRNTG_12251 transcript:DRNTG_12251.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCA2 [Source:Projected from Arabidopsis thaliana (AT5G62940) UniProtKB/TrEMBL;Acc:A0A178UF22] MASSSMQVCLDTSDWLKGIVSEEGGMDSSSSPSGDMIVCSRQPLMERRLRPQHDHALKCPRCDSTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGSLRNVPVGGGCRKNKRSNNKKHSEQLLHQPQPISSFHDGTTDLHLSFPPMQLPLLESLPDTPRNLNFMEFKYNPILESPVNGLDLMDGKFGSMLGSARGHMFMNGCDLGVVGGDHHVNHQMFNNGASGAPFGFSVEGGHGALMEACQRLALPFGGHEEDPNAIEVKPAGRVLSLEWQDQGCSDVGRDSFGYSNGLGLWTGMIGSQGSSAAI >DRNTG_12251.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24943405:24945392:1 gene:DRNTG_12251 transcript:DRNTG_12251.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCA2 [Source:Projected from Arabidopsis thaliana (AT5G62940) UniProtKB/TrEMBL;Acc:A0A178UF22] MASSSMQVCLDTSDWLKGIVSEEGGMDSSSSPSGDMIVCSRQPLMERRLRPQHDHALKCPRCDSTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGSLRNVPVGGGCRKNKRSNNKKHSEQLLHQPQPISSFHDGTTDLHLSFPPMQLPLLESLPDTPRNLNFMEFKYNPILESPVNGLDLMDGKFGSMLGSARGHMFMNGCDLGVVGGDHHVNHQMFNNGASGAPFGFSVEGGHGALMEACQRLALPFGGHEEDPNAIEVKPAGRVLSLEWQDQGCSDVGRDSFGYSNGLGLWTGMIGSQGSSAAI >DRNTG_12251.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24943179:24945392:1 gene:DRNTG_12251 transcript:DRNTG_12251.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCA2 [Source:Projected from Arabidopsis thaliana (AT5G62940) UniProtKB/TrEMBL;Acc:A0A178UF22] MASSSMQVCLDTSDWLKGIVSEEGGMDSSSSPSGDMIVCSRQPLMERRLRPQHDHALKCPRCDSTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGSLRNVPVGGGCRKNKRSNNKKHSEQLLHQPQPISSFHDGTTDLHLSFPPMQLPLLESLPDTPRNLNFMEFKYNPILESPVNGLDLMDGKFGSMLGSARGHMFMNGCDLGVVGGDHHVNHQMFNNGASGAPFGFSVEGGHGALMEACQRLALPFGGHEEDPNAIEVKPAGRVLSLEWQDQGCSDVGRDSFGYSNGLGLWTGMIGSQGSSAAI >DRNTG_27754.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24462624:24463835:1 gene:DRNTG_27754 transcript:DRNTG_27754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKGALKYEDESMELRRGPWTLEEDSLLVHYIASHGEGRWNLLARSSGLKRTGKSCRLRWLNYLKPDVKRGNLTLEEKLLIFELHSKWGNRWSRIAQHLPGRTDNEIKNYWRTRVQKQAKHMKIDGIRCYWNSSSSNTNNNIIMNQTMHENPQEFINPAAMQIQDELQNMVLSSFSNSGDHQQHYHNSINELQTLDLAFMADSSNGAVADQDIDAGNLIEMAHGQWMTCGLLENYCMNGVAFDQLS >DRNTG_18846.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2569834:2574432:1 gene:DRNTG_18846 transcript:DRNTG_18846.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHSVASSLLSDHHLAALPSLILKLARHRRFSLSFSLLRRLPSPSPSLLSSLSSVFSSLARPDLLLLLLPILRPPLPPKHLHRLLRSLSSHPSSLPAALSLLRSSPPDSHSHSILIHAFSRCGRISLAYSLFNRVFTLGLTPDLQAYRSLMQALCRKSQVSTASDLLEDMLNKGFVPDALTYSSLLNSLCRKKRLREAYKLLCRMKVRGCNPDIVHYNTIILGFCREGRPFDACKVLDDMPENGCLPNLVSYTTLVNGLCAGGFVERAMEFLEVMVGKGLVPHFSVFHGLVKGFCNVGKVEEACRVLEEMLKLGVVPHVDTWVMVLVRVCCDDDGEMLKQTSSWFLKIVDEEEWRRRTKLVHLGTGLVHFN >DRNTG_18846.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2569834:2574432:1 gene:DRNTG_18846 transcript:DRNTG_18846.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHSVASSLLSDHHLAALPSLILKLARHRRFSLSFSLLRRLPSPSPSLLSSLSSVFSSLARPDLLLLLLPILRPPLPPKHLHRLLRSLSSHPSSLPAALSLLRSSPPDSHSHSILIHAFSRCGRISLAYSLFNRVFTLGLTPDLQAYRSLMQALCRKSQVSTASDLLEDMLNKGFVPDALTYSSLLNSLCRKKRLREAYKLLCRMKVRGCNPDIVHYNTIILGFCREGRPFDACKVLDDMPENGCLPNLVSYTTLVNGLCAGGFVERAMEFLEVMVGKGLVPHFSVFHGLVKGFCNVGKVEEACRVLEEMLKLGVVPHVDTWVMVLVRVCCDDDGEMLKQTSSWFLKIVDEEEWRRRTKLVHLGTGLVHFN >DRNTG_18846.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2569588:2574432:1 gene:DRNTG_18846 transcript:DRNTG_18846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSSSSSSIGSPTRIRKLIAAQRDPLLAMEIHSVASSLLSDHHLAALPSLILKLARHRRFSLSFSLLRRLPSPSPSLLSSLSSVFSSLARPDLLLLLLPILRPPLPPKHLHRLLRSLSSHPSSLPAALSLLRSSPPDSHSHSILIHAFSRCGRISLAYSLFNRVFTLGLTPDLQAYRSLMQALCRKSQVSTASDLLEDMLNKGFVPDALTYSSLLNSLCRKKRLREAYKLLCRMKVRGCNPDIVHYNTIILGFCREGRPFDACKVLDDMPENGCLPNLVSYTTLVNGLCAGGFVERAMEFLEVMVGKGLVPHFSVFHGLVKGFCNVGKVEEACRVLEEMLKLGVVPHVDTWVMVLVRVCCDDDGEMLKQTSSWFLKIVDEEEWRRRTKLVHLGTGLVHFN >DRNTG_18846.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2569834:2574432:1 gene:DRNTG_18846 transcript:DRNTG_18846.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHSVASSLLSDHHLAALPSLILKLARHRRFSLSFSLLRRLPSPSPSLLSSLSSVFSSLARPDLLLLLLPILRPPLPPKHLHRLLRSLSSHPSSLPAALSLLRSSPPDSHSHSILIHAFSRCGRISLAYSLFNRVFTLGLTPDLQAYRSLMQALCRKSQVSTASDLLEDMLNKGFVPDALTYSSLLNSLCRKKRLREAYKLLCRMKVRGCNPDIVHYNTIILGFCREGRPFDACKVLDDMPENGCLPNLVSYTTLVNGLCAGGFVERAMEFLEVMVGKGLVPHFSVFHGLVKGFCNVGKVEEACRVLEEMLKLGVVPHVDTWVMVLVRVCCDDDGEMLKQTSSWFLKIVDEEEWRRRTKLVHLGTGLVHFN >DRNTG_18846.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2569834:2574432:1 gene:DRNTG_18846 transcript:DRNTG_18846.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHSVASSLLSDHHLAALPSLILKLARHRRFSLSFSLLRRLPSPSPSLLSSLSSVFSSLARPDLLLLLLPILRPPLPPKHLHRLLRSLSSHPSSLPAALSLLRSSPPDSHSHSILIHAFSRCGRISLAYSLFNRVFTLGLTPDLQAYRSLMQALCRKSQVSTASDLLEDMLNKGFVPDALTYSSLLNSLCRKKRLREAYKLLCRMKVRGCNPDIVHYNTIILGFCREGRPFDACKVLDDMPENGCLPNLVSYTTLVNGLCAGGFVERAMEFLEVMVGKGLVPHFSVFHGLVKGFCNVGKVEEACRVLEEMLKLGVVPHVDTWVMVLVRVCCDDDGEMLKQTSSWFLKIVDEEEWRRRTKLVHLGTGLVHFN >DRNTG_35281.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:682232:685825:1 gene:DRNTG_35281 transcript:DRNTG_35281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSQSIDEIVQEIMTIHRSLPPRPCIDEVEAAVVLVRNVEQEEQSRIDAIARQKKAFEVPDELFSVLQEMQRSLVFFQCKEQKREAVKLLDLENIHQLFDELLQRASRCVPSSSNGSSGSISMSSTSTVTASSSHTGFDSSSSTVSSALYPERTVTRVRSFEQVTRDDSYLGKMKSTVYTDGNGLELNNKPRGGLLVNSTLKPSAILPPLPTSGGIGNEKLSLIKVASVIEVSSKKGTKDLNLQNKLMDQIDWLPDSIGKLSDLVTLDLSENRLLALPVTIGTLSSLTRLDLHSNRIAELPDSIGDLLSLQYLDLKGNQLTKLPSTIGKLANLVELDMSSNQLSVLTEAIGRLISLKKLSVETNNLEELPHTIGNCASVVELRVDYNRLKGLPEAVGRLASLEVLSVRYNNIKSLPTSMASLLKLKELDASFNELELIPESLCFATNLVKLNIGNNFADLRSLPRSIGNLELLEELDISNNQIRVLPDSFGMLSHLRVLRAEENPLEVPPRHVAELGAQVKLFLFLHRYIYIYI >DRNTG_35281.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:682589:685825:1 gene:DRNTG_35281 transcript:DRNTG_35281.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSQSIDEIVQEIMTIHRSLPPRPCIDEVEAAVVLVRNVEQEEQSRIDAIARQKKAFEVPDELFSVLQEMQRSLVFFQCKEQKREAVKLLDLENIHQLFDELLQRASRCVPSSSNGSSGSISMSSTSTVTASSSHTGFDSSSSTVSSALYPERTVTRVRSFEQVTRDDSYLGKMKSTVYTDGNGLELNNKPRGGLLVNSTLKPSAILPPLPTSGGIGNEKLSLIKVASVIEVSSKKGTKDLNLQNKLMDQIDWLPDSIGKLSDLVTLDLSENRLLALPVTIGTLSSLTRLDLHSNRIAELPDSIGDLLSLQYLDLKGNQLTKLPSTIGKLANLVELDMSSNQLSVLTEAIGRLISLKKLSVETNNLEELPHTIGNCASVVELRVDYNRLKGLPEAVGRLASLEVLSVRYNNIKSLPTSMASLLKLKELDASFNELELIPESLCFATNLVKLNIGNNFADLRSLPRSIGNLELLEELDISNNQIRVLPDSFGMLSHLRVLRAEENPLEVPPRHVAELGAQVKLFLFLHRYIYIYI >DRNTG_35281.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:682589:685825:1 gene:DRNTG_35281 transcript:DRNTG_35281.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSQSIDEIVQEIMTIHRSLPPRPCIDEVEAAVVLVRNVEQEEQSRIDAIARQKKAFEVPDELFSVLQEMQRSLVFFQCKEQKREAVKLLDLENIHQLFDELLQRASRCVPSSSNGSSGSISMSSTSTVTASSSHTGFDSSSSTVSSALYPERTVTRVRSFEQVTRDDSYLGKMKSTVYTDGNGLELNNKPRGGLLVNSTLKPSAILPPLPTSGGIGNEKLSLIKVASVIEVSSKKGTKDLNLQNKLMDQIDWLPDSIGKLSDLVTLDLSENRLLALPVTIGTLSSLTRLDLHSNRIAELPDSIGDLLSLQYLDLKGNQLTKLPSTIGKLANLVELDMSSNQLSVLTEAIGRLISLKKLSVETNNLEELPHTIGNCASVVELRVDYNRLKGLPEAVGRLASLEVLSVRYNNIKSLPTSMASLLKLKELDASFNELELIPESLCFATNLVKLNIGNNFADLRSLPRSIGNLELLEELDISNNQIRVLPDSFGMLSHLRVLRAEENPLEVPPRHVAELGAQAVVQFHG >DRNTG_23758.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21162216:21164265:-1 gene:DRNTG_23758 transcript:DRNTG_23758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVVLIGMGASSRDISREISKVAKEVHIASRAKDTVVGKLDNHDNIWQHKMVKCVHEDCEVEFDDGASLHADCIFYCTGYAVLILPGDGTSYLIT >DRNTG_09421.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21128385:21131101:-1 gene:DRNTG_09421 transcript:DRNTG_09421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISAQRQWRERGISPERRKVWIEPKPRGVVEKKVAVVYYLSRNGQLEHPHFMEVPLSKSDGLYLRDAMNRLDLLRGKGMASSYSWSSKRSYKNGFVWHDLSEDDLIHPVHGHEYVLKGSELHHVESSPSSRSERSGEIPRSRDDAEFSVEQRKKTTWSSVDLNEYKVYKADLASDSAVKAAADASTQTDDRKQRRRALHVPEEQPPAELNSDEISPPPSSSSPETLESLMKSDGRGIPIATEDLDRTVGSSVSGRMRASAVLINLISCGSISVKDLVTAQCKRRVPRVGSDLMGKETMDGLMDNPSFSSGITLEDKEYFSGSFIEIKKKGCDAGAEFPALKRSSSCNADRSSKTELLDKEIDGVRTKCIPRKPRQLGAKKDTSITRSTHGSKRFIEGTSINTTITTITPQTPASN >DRNTG_23732.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15702182:15703428:-1 gene:DRNTG_23732 transcript:DRNTG_23732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRVCGLETRMTDLEKALTRFVQSSDTRFQSIEATLRNHTSSLHNLENQVGQIVKSLSERPQGSLPSNTETNPREHVKAISLRNDHTTDEIVDEYIQKMFNPDPYEVLFDQEKENKEIMMLGLNERSTVYSWDP >DRNTG_20879.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1674335:1676054:-1 gene:DRNTG_20879 transcript:DRNTG_20879.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLRRRKSPSPVLHDTILLPVLSKLKISPPYLWHNSRCLGNVSSSTDFDLTDLTRPHMWYPNARRKKRNVFLHVGPTNSGKTHNALKQLELSSSGIYCGPLRLLAWEVARRLNKANVP >DRNTG_20879.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1674532:1676054:-1 gene:DRNTG_20879 transcript:DRNTG_20879.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLRRRKSPSPVLHDTILLPVLSKLKISPPYLWHNSRCLGNVSSSTDFDLTDLTRPHMWYPNARRKKRNVFLHVGPTNSGKTHNALKQLELSSS >DRNTG_34945.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2269453:2269842:1 gene:DRNTG_34945 transcript:DRNTG_34945.1 gene_biotype:protein_coding transcript_biotype:protein_coding PQVPSTGHVNTSPKNRTNVQPNLGMKMKRLRVVVLVQVMILRRRRST >DRNTG_23008.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3061164:3061463:-1 gene:DRNTG_23008 transcript:DRNTG_23008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLMSSLFVLSFISMVLLLLLLQSSTVMSVDCNVNELSSCADPISTGVATPDMECCSKLKEQEQCLCQYKNNPLFESFVNSPNAKKVADACNVTFTSC >DRNTG_34601.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23212572:23213051:1 gene:DRNTG_34601 transcript:DRNTG_34601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLQKQRPEKNIAGKWRWPRRRTGEEDESWEVKAFAEDTNSALGTTWPPRSYTCTFCRREFRSAQALGGHMNVHRRDRAKLRQSFQASEQSSPVMFKPPEFVVKGDHGAFHSMSFFYSFPVIAQSPVTGKRSETSESGDGGGGAVVEEGIDLELRLGW >DRNTG_06106.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20065966:20067381:1 gene:DRNTG_06106 transcript:DRNTG_06106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVLRLSDSCDRRDRREADGGAKEGTTVSKAAEGDGEHGSGDGFRELDAWGDGELGGSERGH >DRNTG_08880.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27667133:27670538:-1 gene:DRNTG_08880 transcript:DRNTG_08880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHNKSSDVFIDGACKIRKRGCSSSSSASSVLQNYKFKKAILIPRRNVGSPPSSKKASFFESSPNQPLNIVGIGAQQGSVSARKLASALWETNKIPSSHRIVDIPGKISKKEIKGRGRIVKSVLPDYLPPHLSDPSHSPVSVISGRSRSRSLRKMMLVVPWSSQHNHRNSRASDFVTDVAEKEIKTCTQGFTLRSRIGRNKKLLKDLTSGFTSCKELLRTLNHICTREEQHSSSIPLVSALRHELDRVQILVDQLIEEQKLSCDEIAHLKKSYMAEKSAWKSKEQEMIRAVLNSITEKFESEKRQRRKSEKLNNKLGMELAKTKSTLLKAIKELDNERRTRELIEQFCSDLVRGIGQDKAEVEEMKLESAKLLEELEKEREMLQLADGWREERIQMKLSEAKFQFEEKNAAVDQLRNELEAFLHDKMTKDRMATDLKTDERVAGKLQYRQEHDEDEKNDINSTDGDFHSIELNMDSVNKSYRWSHATDTANDASKKGLVGNLEKTSRRSFSPDGVMPERWYLNLGCQLHQGRQCRKKSLELNVNMESPKWQWSKPQDLQDMDDEVGAKGSMHFELVKSIRDECSNSFKS >DRNTG_08880.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27667133:27670538:-1 gene:DRNTG_08880 transcript:DRNTG_08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHNKSSDVFIDGACKIRKRGCSSSSSASSVLQNYKFKKAILIPRRNVGSPPSSKKASFFESSPNQPLNIVGIGAQQGSVSARKLASALWETNKIPSSHRIVDIPGKISKKEIKGRGRIVKSVLPDYLPPHLSDPSHSPVSVISGRSRSRSLRKMMLVVPWSSQHNHRNSRASDFVTDVAEKEIKTCTQGFTLRSRIGRNKKLLKDLTSGFTSCKELLRTLNHICTREEQHSSSIPLVSALRHELDRVQILVDQLIEEQKLSCDEIAHLKKSYMAEKSAWKSKEQEMIRAVLNSITEKFESEKRQRRKSEKLNNKLGMELAKTKSTLLKAIKELDNERRTRELIEQFCSDLVRGIGQDKAEVEEMKLESAKLLEELEKEREMLQLADGWREERIQMKLSEAKFQFEEKNAAVDQLRNELEAFLHDKMTKDRMATDLKTDERVAGKLQYRQEHDEDEKNDINSTDGDFHSIELNMDSVNKSYRWSHATDTANDASKKGLVGNLEKTSRRSFSPDGVMPERWYLNLGCQLHQGRQCRKKSLELNVNMESPKWQWSKPQDLQDMDDEVGAKGSMHFELVKSIRDECSNSFKS >DRNTG_32957.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30864394:30864773:-1 gene:DRNTG_32957 transcript:DRNTG_32957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIKEEDSAPGWISLSHSRRQRRRSGAWREHQGTSPYGPKWAASSASPGACGTCESMASQ >DRNTG_19052.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000976.1:23854:27891:-1 gene:DRNTG_19052 transcript:DRNTG_19052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAAINLALQLCISNDWLPSSLFSDCAGIAQLLKNFNACTAWHIKEEYQLLKRNLGFFPYLSIETIPREDNAIADSLASFGRNCSQLSLFFQGLDRPHWLDDLCDRHHLFF >DRNTG_00881.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21791806:21798507:1 gene:DRNTG_00881 transcript:DRNTG_00881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIQSYRNGAEIYNGDAFCKKKSIQLLEEIGLPNGLFPLDDIEEFGYNREAGFVWLIQKKKKDHTFKKIKRAVSYAPEVTAFVENGKMKKMTGVKTKELMLWLSVVEMYIEDPSSKKITFKTGTGLSDSFPVSAFELEE >DRNTG_00881.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21791806:21798507:1 gene:DRNTG_00881 transcript:DRNTG_00881.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIQSYRNGAEIYNGDAFCKKKSIQLLEEIGLPNGLFPLDDIEEFGYNREAGFVWLIQKKKKDHTFKKIKRAVSYAPEVTAFVENGKMKKMTGVKTKELMLWLSVVEMYIEDPSSKKITFKTGTGLSDSFPVSAFELEE >DRNTG_26443.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:377249:382007:-1 gene:DRNTG_26443 transcript:DRNTG_26443.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIISSQVLKNHQKKLSNKFTKTKAR >DRNTG_27285.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22518920:22523621:-1 gene:DRNTG_27285 transcript:DRNTG_27285.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G17740) UniProtKB/Swiss-Prot;Acc:O23614] MEFTTRFSSFPPLALSSSSNGNPRIIVSIRSQMHPKVAWKWKVSVSTVDRSFNGRTLCCKLSDGVESLSTRSSLLRPRWALWSLSFRYYSSIFSNSNQILRRCRLSIHKFVKWHEKLGKNISVVALRLALGMVLVVSLSLAVNQSPSWSLTEENLLFLEAWKMVDRAYYDKTFNGQSWFRYRENALRNEPMNTREETYTAIRKMLSTLDDPFTRFLEPEKFRSLRSGTQGALTGVGLSIGYPTKLESSVGLVVMSSASGGPANKAGIIPGDIILAIDNRSTEDMDIYDAAELLQGPEGSSVDISIRSGSEIKNVVLRRERVSLNPVKSKFCEVPGSGNDSSRIGYIKLTSFNQNASGAVREAIEKLRENNVKAFVLDLRNNSGGLFPEGIEIAKIWLEKGVIVYICDSRGVRDIYEADGSNVLAGSEPLAVLVNKGTASASEILAGALKDNKRAVLFGEPTFGKGKIQSVFELSDGSGLAVTVARYETPAHTDINKVGVVPDHPLPMSFPLDEDGFCTCLKDPTSPCNINTTTLFSR >DRNTG_27285.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22518920:22519732:-1 gene:DRNTG_27285 transcript:DRNTG_27285.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G17740) UniProtKB/Swiss-Prot;Acc:O23614] VNKGTASASEILAGALKDNKRAVLFGEPTFGKGKIQSVFELSDGSGLAVTVARYETPAHTDINKVGVVPDHPLPMSFPLDEDGFCTCLKDPTSPCNINTTTLFSR >DRNTG_27285.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22518920:22519546:-1 gene:DRNTG_27285 transcript:DRNTG_27285.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G17740) UniProtKB/Swiss-Prot;Acc:O23614] KIQSVFELSDGSGLAVTVARYETPAHTDINKVGVVPDHPLPMSFPLDEDGFCTCLKDPTSPCNINTTTLFSR >DRNTG_27285.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22522017:22523621:-1 gene:DRNTG_27285 transcript:DRNTG_27285.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G17740) UniProtKB/Swiss-Prot;Acc:O23614] MEFTTRFSSFPPLALSSSSNGNPRIIVSIRSQMHPKVAWKWKVSVSTVDRSFNGRTLCCKLSDGVESLSTRSSLLRPRWALWSLSFRYYSSIFSNSNQILRRCRLSIHKFVKWHEKLGKNISVVALRLALGMVLVVSLSLAVNQSPSWSLTEENLLFLEAWKMVDRAYYDKTFNGQSWFRYRENALRNEPMNTREETYTAIRKMLSTLDDPFTRFLEPEKFRSLRSGTQGALTGVGLSIGYPTKLESSVGLVVMSSASGGPANKAGIIPGDIILAIDNRSTEDMDIYDAAELLQ >DRNTG_27285.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22518920:22523621:-1 gene:DRNTG_27285 transcript:DRNTG_27285.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G17740) UniProtKB/Swiss-Prot;Acc:O23614] MSSASGGPANKAGIIPGDIILAIDNRSTEDMDIYDAAELLQGPEGSSVDISIRSGSEIKNVVLRRERVSLNPVKSKFCEVPGSGNDSSRIGYIKLTSFNQNASGAVREAIEKLRENNVKAFVLDLRNNRWTLCRKLMF >DRNTG_10357.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4925073:4928470:-1 gene:DRNTG_10357 transcript:DRNTG_10357.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGKTTLLEKIYQSLLDDANMGFDLVLFIEVSQNFMLEKLQKGIAKKLHLASFAGKEDIFNVLKTKNFVLLLDDLWVGVDLADVGIPHMYSGHNYTKRYKHKVIFTTRSKDVCAWMGASKGITVECLEPDEAWDLFKDNVNLDVIESNQRINEIAKEVMNECNGLPLALKVIGKAMSNQRTLQEWEFILRSLKDSGTKIIPGVEQSVIPILKFSYDNLPDNIKDCFLSASMLQGKSKYEILECWMGLGLIGDFVYLQEAYDKSEYILNILEKSCLVNVSNHSVVRLHDVIYEMAMWIASYLGMNKKKWIVKQYGGVAKLSTDNTQNCRFAGRVIIDVIMLVPIFPHRCSNLLYLMIHSHFLEKIPEGFFRQMPKLEYLDLSETGIKGLPKDIKCLVNLQYLNISFTNITSLPEELVYLNKLQYLICRNVRWLGKVVDGLMPRLHKLKVIDLYPTGWVKPEELKILKKHVKAIGMCVESEEVLQQLSCLPTTQLCIEYLDNLISLLFDTLSHKNHGFLHSLQIRSCPNLEKLVMNGSATHLNDLIIYDVQNLQNIIWPDLLPPQFFHMLKTLEISKCNLASFAWALRLPCLFILNIEDCGETETLFYVEEGEIQQISEWPMFPALQSLFLRKLPKLVSISNFALDFPQLSWLSVRQCPKLKKLPFKSGINGCQMININCERKWWTSLEFHAHLSPHYVWSDDSDAGSISYSA >DRNTG_35252.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3424881:3426577:1 gene:DRNTG_35252 transcript:DRNTG_35252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLSDNLKAFINTAFLLLLCFFFTSHIRSPTTFIHPTNLHAPRITTCIGLQKLNGNQAKCFYLKTHAPCVSQGYVNYLHIFYCLCGKYPFLGHTLLALWLLVLFYVLGNTASQYFCSSVENLSRVLRLSPTIAGVTLLSLGNGSPDVFASIVSFRSGSGEVGLSSVLGGAFFVSCVVVGIINVCGSSLSIRIDRSSFVRDVCFFIVVLSSLLVILIVGKINIWGAMAFTSLYFVYVSVVSATHFCREKYEDLVVPMLDSKELDEPISKESSPEGGGGGGGGGESNNQESIVPGWSKIRASTTYYIGWFFYLIDMPLYLPRRLTIPDVTEERWSRPYAVAAATLAPVLVATLWNSKRGGMGTKLGLTIYLYAGLLGLVLGLIAVHTTTKSSPSKEVLVSMACRRVPYECFMDLYHS >DRNTG_13385.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22903858:22904370:-1 gene:DRNTG_13385 transcript:DRNTG_13385.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMETCSPPWYQEDIKEKEWCGLEKDGVFKVKVVLTRRELEWLMLQLKDKRERRLHDLVMEIDRERRQSMKVQKWTPALASIMESPEFQTFETTT >DRNTG_13385.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22903965:22904471:-1 gene:DRNTG_13385 transcript:DRNTG_13385.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMETCSPPWYQEDIKEKEWCGLEKDGVFKVKVVLTRRELEWLMLQLKDKRERRLHDLVMEIDRERRQSMKVQKWTPALASIMESPEFQTFETTT >DRNTG_13385.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22903805:22904370:-1 gene:DRNTG_13385 transcript:DRNTG_13385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMETCSPPWYQEDIKEKEWCGLEKDGVFKVKVVLTRRELEWLMLQLKDKRERRLHDLVMEIDRERRQSMKVQKWTPALASIMESPEFQTFETTT >DRNTG_13385.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22903965:22904370:-1 gene:DRNTG_13385 transcript:DRNTG_13385.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMETCSPPWYQEDIKEKEWCGLEKDGVFKVKVVLTRRELEWLMLQLKDKRERRLHDLVMEIDRERRQSMKVQKWTPALASIMESPEFQTFETTT >DRNTG_20619.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20608206:20611732:1 gene:DRNTG_20619 transcript:DRNTG_20619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLRLLNLWMDLLCGDTVLWQGHDIVVVDSPVGRLDLTVSYDLRFPELYQLLRFQQDAQVVAAAQARKHNEKRESYGDSLIIDPWRRITARLPDHLSTGIAIADIDLSNIVRIRMPISEVILN >DRNTG_20619.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20608206:20611638:1 gene:DRNTG_20619 transcript:DRNTG_20619.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLRLLNLWMDLLCGDTVLWQGHDIVVVDSPVGRLDLTVSYDLRFPELYQLLRFQQDAQVVAAAQARKHNEKRESYGDSLIIDPWRRITARLPDHLSTGIAIADIDLSNIVRIRMPISEHQKLGAWRKASCL >DRNTG_20619.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20608206:20611638:1 gene:DRNTG_20619 transcript:DRNTG_20619.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLRLLNLWMDLLCGDTVLWQGHDIVVVDSPVGRLDLTVSYDLRFPELYQLLRFQQDAQVSVLIVNFCIDVFLIVNSCMDVCLCFTIFFFFVFISSMWPFSHFELL >DRNTG_20619.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20608206:20611638:1 gene:DRNTG_20619 transcript:DRNTG_20619.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLRLLNLWMDLLCGDTVLWQGHDIVVVDSPVGRLDLTVSYDLRFPELYQLLRFQQDAQVLLVPAAFTRVTGEAHFYVVGQLRLSVMLFPFIIYVYFSYFNQIFCPYKWSTY >DRNTG_23179.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1849011:1850606:-1 gene:DRNTG_23179 transcript:DRNTG_23179.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSGSDSGSDSDSEPSEKQAVAKVEPAAESGKKRQRISAPSEVENSEKKQKLFQRVWGQEDETKILETIISFYSNKGSEHPSNAGTSTTDLDGILNLLKKSVTAEFTKGQLGTKIRNLRRKFQTNASREKKNAGLKFSKPHDQEVYELSKKIWQLTEPLGDGIGSVKPVKSKGVKSVKSKGKSSSLKKVKEQDGDDLEVDACYEYLAKAIAWAKPLNMDNLSINKGLKLIDPAKARPLEKRLRALESERFGHRMKWMDKLEEASQMIKDAVEKSG >DRNTG_23179.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1849011:1850578:-1 gene:DRNTG_23179 transcript:DRNTG_23179.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSGSDSGSDSDSEPSEKQAVAKVEPAAESGKKRQRISAPSEVENSEKKQKLFQRVWGQEDETKILETIISFYSNKGSEHPSNAGTSTTDLDGILNLLKKSVTAEFTKGQLGTKIRNLRRKFQTNASREKKNAGLKFSKPHDQEVYELSKKIWQLTEPLGDGIGSVKPVKSKGVKSVKSKGKSSSLKKVKEQDGDDLEVDACYEYLAKAIAWAKPLNMDNLSINKGLKLIDPAKARPLEKRLRALESERFGHRMKWMDKLEEASQMIKDAVEKSG >DRNTG_23179.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1848518:1850578:-1 gene:DRNTG_23179 transcript:DRNTG_23179.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSGSDSGSDSDSEPSEKQAVAKVEPAAESGKKRQRISAPSEVENSEKKQKLFQRVWGQEDETKILETIISFYSNKGSEHPSNAGTSTTDLDGILNLLKKSVTAEFTKGQLGTKIRNLRRKFQTNASREKKNAGLKFSKPHDQEVYELSKKIWQLTEPLGDGIGSVKPVKSKGVKSVKSKGKSSSLKKVKEQDGDDLEVDACYEYLAKAIAWAKPLNMDNLSINKGLKLIDPAKARPLEKRLRALESERFGHRMKWMDKLEEASQMIKDAVEKSAAYAFCHLATAQSPLEGSTLT >DRNTG_23179.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1848518:1850578:-1 gene:DRNTG_23179 transcript:DRNTG_23179.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSGSDSGSDSDSEPSEKQAVAKVEPAAESGKKRQRISAPSEVENSEKKQKLFQRVWGQEDETKILETIISFYSNKGSEHPSNAGTSTTDLDGILNLLKKSVTAEFTKGQLGTKIRNLRRKFQTNASREKKNAGLKFSKPHDQEVYELSKKIWQLTEPLGDGIGSVKPVKSKGVKSVKSKGKSSSLKKVKEQDGDDLEVDACYEYLAKAIAWAKPLNMDNLSINKGLKLIDPAKARPLEKRLRALESERFGHRMKWMDKLEEASQMIKDAVEKSG >DRNTG_23179.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1848376:1850578:-1 gene:DRNTG_23179 transcript:DRNTG_23179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSGSDSGSDSDSEPSEKQAVAKVEPAAESGKKRQRISAPSEVENSEKKQKLFQRVWGQEDETKILETIISFYSNKGSEHPSNAGTSTTDLDGILNLLKKSVTAEFTKGQLGTKIRNLRRKFQTNASREKKNAGLKFSKPHDQEVYELSKKIWQLTEPLGDGIGSVKPVKSKGVKSVKSKGKSSSLKKVKEQDGDDLEVDACYEYLAKAIAWAKPLNMDNLSINKGLKLIDPAKARPLEKRLRALESERFGHRMKWMDKLEEASQMIKDAVEKSAAYAFCHLATAQSPLEGSTLT >DRNTG_23179.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1849089:1850578:-1 gene:DRNTG_23179 transcript:DRNTG_23179.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSGSDSGSDSDSEPSEKQAVAKVEPAAESGKKRQRISAPSEVENSEKKQKLFQRVWGQEDETKILETIISFYSNKGSEHPSNAGTSTTDLDGILNLLKKSVTAEFTKGQLGTKIRNLRRKFQTNASREKKNAGLKFSKPHDQEVYELSKKIWQLTEPLGDGIGSVKPVKSKGVKSVKSKGKSSSLKKVKEQDGDDLEVDACYEYLAKAIAWAKPLNMDNLSINKGLKLIDPAKARPLEKRLRALESERFGHRMKWMDKLEEASQMIKDAVEKSG >DRNTG_23179.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1848593:1850578:-1 gene:DRNTG_23179 transcript:DRNTG_23179.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSGSDSGSDSDSEPSEKQAVAKVEPAAESGKKRQRISAPSEVENSEKKQKLFQRVWGQEDETKILETIISFYSNKGSEHPSNAGTSTTDLDGILNLLKKSVTAEFTKGQLGTKIRNLRRKFQTNASREKKNAGLKFSKPHDQEVYELSKKIWQLTEPLGDGIGSVKPVKSKGVKSVKSKGKSSSLKKVKEQDGDDLEVDACYEYLAKAIAWAKPLNMDNLSINKGLKLIDPAKARPLEKRLRALESERFGHRMKWMDKLEEASQMIKDAVEKSAAYAFCHLATAQSPLEGSTLT >DRNTG_11234.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21934526:21936010:-1 gene:DRNTG_11234 transcript:DRNTG_11234.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAHD acyltransferase DCR [Source:Projected from Arabidopsis thaliana (AT5G23940) UniProtKB/Swiss-Prot;Acc:Q9FF86] MAIENGTMTSSKETQVKVLNKTKVLPTNPLNKPSILLTTFDLPYITFYYNQKLLLYKCSEDDFEGIVTKLKDSLAVVLESFYPLAGRLAKDEDGVLVVECHGDSIIGAEVVEAMADTILVQDLSEGDAAASASLLQDVVPYTGVMNLEGQHLPLLAIQFTKLKDGIAVGIAFNHAVVDGNSTWQFMTAWSEQCRVSSISPTIFPFHDRSKARSIKTKITLPTAAEHEKADPNTPQKPLTAKIFSFSSSAIDQLKASANANMITSNSISMFQSLGAHVWRAVCRARRLKAEDITVFAVFTDCRKRLDPPMPDNYFGNVIQAIFTVTASGLLLANPPEFGAELIHKVVNSHDNNAITARLAEYEEKPKMFYFSDAGINTVAVGSSPRFKVYDVDFGFGRPERVMSGSNNKFDGMMYLYPGRDGGRSIDVELTLDFEAMENLEKDEEFLLVNALA >DRNTG_04555.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22632554:22637029:1 gene:DRNTG_04555 transcript:DRNTG_04555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCIHPSIQPTTTMTPSSPITQPSPTIPVAQPPLIPHGETSAHASEDYSPTMEVPSNESESTGPSWLITPDSLIVDYEVKRAIHELVKGHYKEAWTGWGKVPKDVRQRIFTAVKRYWESEEFKRISEKNKQHRAKIGSSSIVIYRGGSVSTAVPRLRMAEELGREPTPKECFIWTHGRKDVTLEAGRATKIVEQFEKAIVDKCRQGVDEDSINQDELWDEIAIGSRNKVVGKGNIVRQMSSSNYKPRSGPSESIEQLRNKVKELQEELARSRAEAEADAELNRTVLNTVPKTMTNLTVPKTVTNLTVTNITVPNTVTNITVPNTVTNTTVPNTVTTCSVPKPMTNSTLPKSVTKTMTINTVPTTVPKYTPCQKPLQTLSRSIKP >DRNTG_16966.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20997097:20997695:-1 gene:DRNTG_16966 transcript:DRNTG_16966.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPVASLSNRENSLSVSHTRVTIPHGRGSLLSNSQGPTHTLVSSRDGGEILYKETHARAEIPHWRGPSQGHSQGRVYDPVS >DRNTG_12886.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20732461:20733197:1 gene:DRNTG_12886 transcript:DRNTG_12886.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYDGRPGDPGSYRDRRSDVSFGGGASRAFESSGRRDADGGGGADLAGLTPFEKNFYVESPSVAAMTEDDVEAYRRKREITVEGKDVPKPVRDFRDVGFSRYVLFRLVY >DRNTG_07261.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:487032:489902:1 gene:DRNTG_07261 transcript:DRNTG_07261.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVGNGTLVSAMPKPMQITSHGVWQGESPLDSALPLLIVQICIVVALTRLLSILLKPLRQPRVIAEIIGGILLGPSALGRNKAYLSMIFPSRSLTVLDTVSNVGLLFFLFLVGLELDLRSLHRTGKTAFLIALAGISLPFVMGIGTSLVLRATISKGAPSAPFLIFMGVSLSITAFPVLARILAELKLLTTDVGRIAMSAAAVNDVTAWILLALAIALSGEGSPLISLWVFFTGVGFVAAAFFFLVPVLKWMTRRSPEGEPVKEVYICSTLIAVLVCSFLTDAIGIHALFGAFLVGVMVPKEGPFAGVLIDKTEDLISGLILPLYFVSSGLKTNVATINGGKSWALLILVIATTCIGKIGGTLLVSMLVKMPPKEGLALGLLMNTKGLVELIVLNIGKDRKVLNDETFAIMVLMALFTTFITSPLVMAVYKPARLAAPYKHRSIQRKDKESELRLVICFHGNRNIPTLINLVESSRGIRKRGLTVYAMHLMELSERSSAISMVHKARNNGLPFWNKRPIGQDGGDQVVVAFQAYQQLSQVSIRPMTAISDLHTIHEDVVTSAHQKRAALILLPFHKLQQLDGSLESIGNAYQHVNQRVLRYATCSVGILVDRGLGGTTQVSSSDVSYTITVLFFGGPDDREALAYGARMAEHPGIALKVLRFTAQPGTSSLLGSQGGASEGSVSIKIEANELSLDLASINELKNQVPDAATSQSIEYQEKVVGGKQDITAGISTLSRCNLFLVGRSPRIAPLMGKVEFPELGPVGSYLASSDFSTTASVLVMKNYDPIVDASPVVQETFDLEEVPDTPMCNAAVQSNP >DRNTG_12376.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1830286:1833650:-1 gene:DRNTG_12376 transcript:DRNTG_12376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYMCARLHYLNESMVSEVFRTGTVAIPGNDAKNLTTPLAYVPQVHRFFEVIKSQAHPKDESRDVWT >DRNTG_21218.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001211.1:14112:19103:1 gene:DRNTG_21218 transcript:DRNTG_21218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTENPHGRVEIIHGRVEIPHGRVFRTRPWSRPILALFKAYFSPDFNILFSIFSPTFERTLARVSWGIGQGFGEVLRLRHCDSIRKKVGRGASIEAYPIPDEG >DRNTG_05148.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30703888:30706083:-1 gene:DRNTG_05148 transcript:DRNTG_05148.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome DASH, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G24850) UniProtKB/Swiss-Prot;Acc:Q84KJ5] MLSSASKQPLVTVPDLTADETALVSKQAFQRYTASDLNRRNGTGVAIVWFRNDLRLLDNEALLRAWASSAAVLPVYCVDPRNFGTTHYFGFPKTGAIRVQFLIECLTDLKNNLMKKGLNLLIQHGKPEEILPTVVKATGAHTVYAHKETCSEEVFVERLVHKGLQQVVLPIGSSNQKPLNPKLQLIWGSTLYHIDDLPFDANNLPDVYTQFRKSVESRCSIRVCCKLPLSLGPGPSNGLDEIGGWGTIPSLEQLGVHERTASQCDNGMHFVGGESAALGRLHDYFWKKASSSSLVLLELFSNPQC >DRNTG_05148.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30701683:30706083:-1 gene:DRNTG_05148 transcript:DRNTG_05148.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome DASH, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G24850) UniProtKB/Swiss-Prot;Acc:Q84KJ5] MFLICLYCIVNCSDFLLFTGGPRKIMSKWSQDQSLFESWRDGRTGYPLIDANMKELSTTGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPCSNYGNWTYGAGVGNDPREDRYFSIPKQAKTYDPDGEYVAYWLPELRSIPKERRHFPGNSYIKQIVPLKFGSPSLKPNPVSAEKNRQRNQRGR >DRNTG_05148.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30701683:30706083:-1 gene:DRNTG_05148 transcript:DRNTG_05148.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome DASH, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G24850) UniProtKB/Swiss-Prot;Acc:Q84KJ5] MLSSASKQPLVTVPDLTADETALVSKQAFQRYTASDLNRRNGTGVAIVWFRNDLRLLDNEALLRAWASSAAVLPVYCVDPRNFGTTHYFGFPKTGAIRVQFLIECLTDLKNNLMKKGLNLLIQHGKPEEILPTVVKATGAHTVYAHKETCSEEVFVERLVHKGLQQVVLPIGSSNQKPLNPKLQLIWGSTLYHIDDLPFDANNLPDVYTQFRKSVESRCSIRVCCKLPLSLGPGPSNGLDEIGGWGTIPSLEQLGVHERTASQCDNGMHFVGGESAALGRLHDYFWKKDLLKVYKETRNGMLGPDYSTKFSPWLASGSLSPRYIYEEVKRYEKERLANDSTYWVLFELIWRDYFRFLSIKCGNSIFHIGGPRKIMSKWSQDQSLFESWRDGRTGYPLIDANMKELSTTGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPCSNYGNWTYGAGVGNDPREDRYFSIPKQAKTYDPDGEYVAYWLPELRSIPKERRHFPGNSYIKQIVPLKFGSPSLKPNPVSAEKNRQRNQRGR >DRNTG_05148.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30701683:30703697:-1 gene:DRNTG_05148 transcript:DRNTG_05148.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome DASH, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G24850) UniProtKB/Swiss-Prot;Acc:Q84KJ5] MLGPDYSTKFSPWLASGSLSPRYIYEEVKRYEKERLANDSTYWVLFELIWRDYFRFLSIKCGNSIFHIGGPRKIMSKWSQDQSLFESWRDGRTGYPLIDANMKELSTTGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPCSNYGNWTYGAGVGNDPREDRYFSIPKQAKTYDPDGEYVAYWLPELRSIPKERRHFPGNSYIKQIVPLKFGSPSLKPNPVSAEKNRQRNQRGR >DRNTG_11098.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30665531:30666349:-1 gene:DRNTG_11098 transcript:DRNTG_11098.8 gene_biotype:protein_coding transcript_biotype:protein_coding MHQSKSESSANEGLRKTPSRPDNQNLGINPHTPLARAFLSGESSGLGQ >DRNTG_11098.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30660791:30666349:-1 gene:DRNTG_11098 transcript:DRNTG_11098.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDGERTCPLCAEEMDLTDQQLKPCKCGYEVCNLNRTLFLLFG >DRNTG_11098.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30660791:30666349:-1 gene:DRNTG_11098 transcript:DRNTG_11098.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHQSKSESSANEGLRKTPSRPDNQNLDVSTTTIVGPGPVIDFLKLIGQKLIGKTDTEEFKDKSSFFKHRV >DRNTG_11098.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30664446:30666354:-1 gene:DRNTG_11098 transcript:DRNTG_11098.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMSDDGERTCPLCAEEMDLTDQQLKPCKCGYEFSIRRCCARCG >DRNTG_11098.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30660791:30666354:-1 gene:DRNTG_11098 transcript:DRNTG_11098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMSDDGERTCPLCAEEMDLTDQQLKPCKCGYEFSIRRCCARCG >DRNTG_11098.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30660791:30665369:-1 gene:DRNTG_11098 transcript:DRNTG_11098.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDGERTCPLCAEEMDLTDQQLKPCKCGYEVCNLNRTLFLLFG >DRNTG_11098.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30660791:30665369:-1 gene:DRNTG_11098 transcript:DRNTG_11098.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDGERTCPLCAEEMDLTDQQLKPCKCGYEFSIRRCCARCG >DRNTG_11098.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30660791:30666349:-1 gene:DRNTG_11098 transcript:DRNTG_11098.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDGERTCPLCAEEMDLTDQQLKPCKCGYEFSIRRCCARCG >DRNTG_24357.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:441138:442196:-1 gene:DRNTG_24357 transcript:DRNTG_24357.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESQGAYACMHGVETVPSYSLVKKFLVCGAKSFRSMCSDRVELPEGHIWVCVGKSDEEVKRFEVETHYLNHPLFEDLLSMSVQELGYSYQGALRIACEADLFLHLLDLIKTSHPSVHCLELHDLIHRFRAAGTDHEKSPKAGKPRSPWI >DRNTG_09558.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22639213:22643296:-1 gene:DRNTG_09558 transcript:DRNTG_09558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKLVLFGDSITEGSFMEGGWGATLAHHFSRTADVVLRGYSGYNTRWARLVVERAMDGVGVGDASPKAVTVFFGANDACLPDRAGASQHVPLLEYQDNLRSICSFFKAQWPTTIIVLITPPPIDEDGRLKNPYENNSSGLPDRTNEFAGAYAKACVAIAKESDLPVIDIWSKMQSFPGWEKSFLSDGLHLTALGNRIVFEEVVLKLKEKGISLEAVPIDLPLFTDIDTDNPHKSLCQ >DRNTG_12925.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1477138:1492001:1 gene:DRNTG_12925 transcript:DRNTG_12925.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQKLTQTSIFLTGHDVFALKSKINKPKTTTFEVITALLWRAWACFLALDCETRLVFPIDTRRSHTPVLPVGYYGVALITPCTIIHAKRLVSQPLSFAVGLISELKSKVVDHKEYRTSAIDFIEMNGRSGFCNKVAFAVSDLSKLRFDKVDMGWGQCLYGGFARAGVGDVPGLMVAPLVRYKREEDGLEGLLAIVSLPPQAVDVFQKEVRRQIDSSYAFTSSL >DRNTG_12925.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1477138:1478398:1 gene:DRNTG_12925 transcript:DRNTG_12925.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQKLTQTSIFLTGHDVFALKSKINKPKTTTFEVITALLWRAWACFLALDCETRLVFPIDTRRSHTPVLPVGYYGVALITPCTIIHAKQLVSQPLSFAVGLISELKSKVQDHKEYRSSAIDFIEMNGRSRFCNKVAFAVSDLSKLRFDKVDMGWGQCLYGGFARAGVGDVPGLMVAPLVRYKREEDGLEGLLAIVSLPPQAVDVFQKEVRREIDSSYAFTSSL >DRNTG_05342.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18545771:18547311:1 gene:DRNTG_05342 transcript:DRNTG_05342.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRQEEKVDQSTACPAQPSPTSQLNQLSSLETRSQVGNSLPLEGSFATLDRSLIALKSLGGRSLR >DRNTG_29782.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27469354:27469826:1 gene:DRNTG_29782 transcript:DRNTG_29782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPVSTTTTERSFLAMKIIKTRLRNNMEDGFLTDYMIVYIEKEIAEKFTTYMIIDDFYAMKHRRAQLKK >DRNTG_00146.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2404965:2407818:1 gene:DRNTG_00146 transcript:DRNTG_00146.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLDSEEEFVDHYLVLCLPSGEEGSKLSLKQIDKAYRDQSRKRHPDKRPNDPDATADFQRLTTSYEILRDVSSRAVFDAHLRSIREKAFRASLFDGKRRKLASDLEERERAAAAPQFDPAELAARKEKMVAAELQQELAAFQSRKAKKVASAPTSVHEKAREDVGASVDEEKVLKVSWERDGRDYDSVQLTKLFERFGMVEDVVIRSRGSKKKGSAIVVMSSKDAAVSTICLC >DRNTG_00146.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2400604:2409173:1 gene:DRNTG_00146 transcript:DRNTG_00146.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLDSEEEFVDHYLVLCLPSGEEGSKLSLKQIDKAYRDQSRKRHPDKRPNDPDATADFQRLTTSYEILRDVSSRAVFDAHLRSIREKAFRASLFDGKRRKLASDLEERERAAAAPQFDPAELAARKEKMVAAELQQELAAFQSRKAKKVASAPTSVHEKAREDVGASVDEEKVLKVSWERDGRDYDSVQLTKLFERFGMVEDVVIRSRGSKKKGSAIVVMSSKDAAVAATQTMSGDISNPLLVLPLRASSSASNARHAEPAVPKHDDIVGAGFRDYEESILKKLEKAKEKDGAT >DRNTG_00146.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2400523:2408631:1 gene:DRNTG_00146 transcript:DRNTG_00146.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLDSEEEFVDHYLVLCLPSGEEGSKLSLKQIDKAYRDQSRKRHPDKRPNDPDATADFQRLTTSYEILRDVSSRAVFDAHLRSIREKAFRASLFDGKRRKLASDLEERERAAAAPQFDPAELAARKEKMVAAELQQELAAFQSRKAKKVASAPTSVHEKAREDVGASVDEEKVLKVSWERDGRDYDSVQLTKLFERFGMVEDVVIRSRGSKKKGSAIVVMSSKDAAVAATQTMSGDISNPLLVLPLRASSSASNARHAEPAVPKHDDIVGAGFRDYEESILKKLEKV >DRNTG_00146.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2406138:2409173:1 gene:DRNTG_00146 transcript:DRNTG_00146.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLDSEEEFVDHYLVLCLPSGEEGSKLSLKQIDKAYRDQSRKRHPDKRPNDPDATADFQRLTTSYEILRDVSSRAVFDAHLRSIREKAFRASLFDGKRRKLASDLEERERAAAAPQFDPAELAARKEKMVAAELQQELAAFQSRKAKKVASAPTSVHEKAREDVGASVDEEKVLKVSWERDGRDYDSVQLTKLFERFGMVEDVVIRSRGSKKKGSAIVVMSSKDAAVAATQTMSGDISNPLLVLPLRASSSASNARHAEPAVPKHDDIVGAGFRDYEESILKKLEKAKEKDGAT >DRNTG_00146.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2400604:2409173:1 gene:DRNTG_00146 transcript:DRNTG_00146.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLDSEEEFVDHYLVLCLPSGEEGSKLSLKQIDKAYRDQSRKRHPDKRPNDPDATADFQRLTTSYEILRDVSSRAVFDAHLRSIREKAFRASLFDGKRRKLASDLEERERAAAAPQFDPAELAARKEKMVAAELQQELAAFQSRKAKKVASAPTSVHEKAREDVGASVDEEKVLKVSWERDGRDYDSVQLTKLFERFGMVEDVVIRSRGSKKKGSAIVVMSSKDAAVAATQTMSGDISNPLLVLPLRASSSASNARHAEPAVPKHDDIVGAGFRDYEESILKKLEKAKEKDGAT >DRNTG_00146.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2400604:2409173:1 gene:DRNTG_00146 transcript:DRNTG_00146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLDSEEEFVDHYLVLCLPSGEEGSKLSLKQIDKAYRDQSRKRHPDKRPNDPDATADFQRLTTSYEILRDVSSRAVFDAHLRSIREKAFRASLFDGKRRKLASDLEERERAAAAPQFDPAELAARKEKMVAAELQQELAAFQSRKAKKVASAPTSVHEKAREDVGASVDEEKVLKVSWERDGRDYDSVQLTKLFERFGMVEDVVIRSRGSKKKGSAIVVMSSKDAAVAATQTMSGDISNPLLVLPLRASSSASNARHAEPAVPKHDDIVGAGFRDYEESILKKLEKAKEKDGAT >DRNTG_31871.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21119501:21123480:-1 gene:DRNTG_31871 transcript:DRNTG_31871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVAVGVAVVCAATAVAVAAVVVRRRMRSSGKWARAEAVVRELEEKCATPIGKLRQVADAMTVEMHAGLASEGGSKLKMLISYVDNLPTGDEHGLFYALDLGGTNFRVLRVQLGGREGRVVKEESMEVSISPNLMVGSSDELFDFIAAELAKFVASEGEGFSPFLRAGSENLVLLSLSQSGRLRLHQEL >DRNTG_29455.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:527935:530933:1 gene:DRNTG_29455 transcript:DRNTG_29455.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDDGGDVVGAAVREVEEETGICLKIEAMVNLTSFLDPKTGCKIFPSPVVY >DRNTG_29455.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:527935:530933:1 gene:DRNTG_29455 transcript:DRNTG_29455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSNSSTRSPVFMSSSRCEAPSVPPTHTITLAGGHHVQIVASPGLSESDLRNVVDSALFKNWLKNMQSETGILAGGEISLRQVLIQGVDMFGRGAGFLKFKADVVEKETGTKIPGIVFARGQSVAVLVILESGGKDYVVLTEQVRVPVGRFTLELPAGMVDDDGGDVVGAAVREVEEETGICLKIEAMVNLTSFLDPKTGCKIFPSPVVY >DRNTG_29455.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:527935:530671:1 gene:DRNTG_29455 transcript:DRNTG_29455.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSNSSTRSPVFMSSSRCEAPSVPPTHTITLAGGHHVQIVASPGLSESDLRSIPLSSQDFFHFDQKFFDVSEGRIMK >DRNTG_05585.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22102693:22108821:-1 gene:DRNTG_05585 transcript:DRNTG_05585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRQRLHDAFKETVERMTGPRMVSAFKEKGVLSVHEFVKAGDNLVSKCPTWSWEAGEPSKRKSFLPADKQYLITRNVPCLRRAYAVEEEYEAAGGEVLLDNEDNDGWLATHGKPKETKNEEEDDLPSMETLEISKGRAIQSIPSYFGGEEDDDIPDMADFDDSGNLVEPDDPATLRNQYFVAHEPEDENILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLQP >DRNTG_05585.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22104044:22108821:-1 gene:DRNTG_05585 transcript:DRNTG_05585.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRQRLHDAFKETVERMTGPRMVSAFKEKGVLSVHEFVKAGDNLVSKCPTWSWEAGEPSKRKSFLPADKQYLITRNVPCLRRAYAVEEEYEAAGGEVLLDNEDNDGWLATHGKPKETKNEEEDDLPSMETLEISKGRAIQSIPSYFGGEEDDDIPDMADFDDSGNLVEPDDPATLRNQYFVAHEPEDENILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLQP >DRNTG_15294.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4639518:4640573:-1 gene:DRNTG_15294 transcript:DRNTG_15294.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP21 [Source:Projected from Arabidopsis thaliana (AT4G27670) UniProtKB/TrEMBL;Acc:A0A178UVU5] MATSAATFLCSPPLPITSRTLFASQTKKPNSISFKTSYKTNKGLIRVTAMAENKEESHSLNVEVNKGTTKQKGTAPERRPRPSTLDISPFDPMSPMKTMRRMLDTMDRLFEDVVTFPGSIGMSGAGEIRTPWDVTEDETEVKMRFDMPGLSKEEVKVSVEDKVLVITGQHKEGEGEAESWWRSRSSYDMRLLLPDECEKENVKAELRNGVLLVTVPKINKGDKKVIDVQVQ >DRNTG_15294.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4639576:4640573:-1 gene:DRNTG_15294 transcript:DRNTG_15294.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP21 [Source:Projected from Arabidopsis thaliana (AT4G27670) UniProtKB/TrEMBL;Acc:A0A178UVU5] MATSAATFLCSPPLPITSRTLFASQTKKPNSISFKTSYKTNKGLIRVTAMAENKEESHSLNVEVNKGTTKQKGTAPERRPRPSTLDISPFGLVDPMSPMKTMRRMLDTMDRLFEDVVTFPGSIGMSGAGEIRTPWDVTEDETEVKMRFDMPGLSKEEVKVSVEDKVLVITGQHKEGEGEAESWWRSRSSYDMRLLLPDECEKENVKAELRNGVLLVTVPKINKGDKKVIDVQVQ >DRNTG_15294.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4639518:4640573:-1 gene:DRNTG_15294 transcript:DRNTG_15294.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP21 [Source:Projected from Arabidopsis thaliana (AT4G27670) UniProtKB/TrEMBL;Acc:A0A178UVU5] MATSAATFLCSPPLPITSRTLFASQTKKPNSISFKTSYKTNKGLIRVTAMAENKEESHSLNVEVNKGTTKQKGTAPERRPRPSTLDISPFGLVDPMSPMKTMRRMLDTMDRLFEDVVTFPGSIGMSGAGEIRTPWDVTEDETEVKMRFDMPGLSKEEVKVSVEDKVLVITGQHKEGEGEAESWWRSRSSYDMRLLLPDECEKENVKAELRNGVLLVTVPKINKGDKKVIDVQVQ >DRNTG_14032.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27564225:27565568:1 gene:DRNTG_14032 transcript:DRNTG_14032.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSKTDRVLRVTPILLSLSRSRSRHPIPFVVIPLFLFLLDAPSSLMASSLEDLLVQEGFHRPKPRRSKSKLSDASHPPPAPATICNNRRSVELYEKKDLPSFSSMNSGHDREQPLIDEVAVRAVVSILSSYAGRFSKDGEFRERVRERCMVCLAVSKGAAHAVLTNLELGMDNIERLAGDPPGSKESKIRSLRNSIRLLSIVASLNSPRSKRNGFTCGVPNSHLSAIAQLYLAFVYRIERNDRLSSRHLLQMFCDAPFLARRNLLPNLWEHFFLPHLLHLKVWYAKEEDVVSGWDAEEKDHRLKMLSRVYNDSLDAGTVKFALYYKEWLNVGGEAPPLPSVPLPSRPESIEPVVMTSIVAISSSKNAGLCVRCVFLVLLHICGFFLILL >DRNTG_14032.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27564399:27568926:1 gene:DRNTG_14032 transcript:DRNTG_14032.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIVSLKIVFTQIDKELPQRPSNNTVEQQPPVEVKPEPRRSHSFQLYSCMNHSNKFLVHETEIAKNKPARSKRTTPPKSKQTAPLPDLDQAIALLSNSNSLSYCKVAIRCVAKAWLDSHGDSHVKKALSTSSVIQGILDLLFTCKDNEVLELAMSILAELVVMNEVNRQVVLNADPQLEIFLRLLRSDTLCLKGAVLLYMLKPKAKQMLSPGWMPTVLRVLECGDQPQTLFSVQSTPKLAAFYFLDQLLMGFDVDRNVENGKQLVALGGLDMLIRSLEIGEDHERRISASLLAKCVQAEGSCRDYLAANIKKASIIELLQGNQLKSDGVALSLLAELICLSRRTQITKFLDELKKEGFLNTMHILLVYLQQAPTEQRPLAAAILLQLDLLVPLPLTILLIGFVSKLHYCFGFLYILVILQGDPLQYSVYREEAIDAIVAVMERNSHNKKVQEQCSRALLLLAGRFSSTGMVTAEAWLLKKAGLDDGPQDSFTSRELLVTDFQRMVCFHSSWRKRQGQMKPGLEIWQLSC >DRNTG_14032.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27564399:27565568:1 gene:DRNTG_14032 transcript:DRNTG_14032.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLEDLLVQEGFHRPKPRRSKSKLSDASHPPPAPATICNNRRSVELYEKKDLPSFSSMNSGHDREQPLIDEVAVRAVVSILSSYAGRFSKDGEFRERVRERCMVCLAVSKGAAHAVLTNLELGMDNIERLAGDPPGSKESKIRSLRNSIRLLSIVASLNSPRSKRNGFTCGVPNSHLSAIAQLYLAFVYRIERNDRLSSRHLLQMFCDAPFLARRNLLPNLWEHFFLPHLLHLKVWYAKEEDVVSGWDAEEKDHRLKMLSRVYNDSLDAGTVKFALYYKEWLNVGGEAPPLPSVPLPSRPESIEPVVMTSIVAISSSKNAGLCVRCVFLVLLHICGFFLILL >DRNTG_14032.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27566065:27568926:1 gene:DRNTG_14032 transcript:DRNTG_14032.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSNKFLVHETEIAKNKPARSKRTTPPKSKQTAPLPDLDQAIALLSNSNSLSYCKVAIRCVAKAWLDSHGDSHVKKALSTSSVIQGILDLLFTCKDNEVLELAMSILAELVVMNEVNRQVVLNADPQLEIFLRLLRSDTLCLKGAVLLYMLKPKAKQMLSPGWMPTVLRVLECGDQPQTLFSVQSTPKLAAFYFLDQLLMGFDVDRNVENGKQLVALGGLDMLIRSLEIGEDHERRISASLLAKCVQAEGSCRDYLAANIKKASIIELLQGNQLKSDGVALSLLAELICLSRRTQITKFLDELKKEGFLNTMHILLVYLQQAPTEQRPLAAAILLQLDLLVPLPLTILLIGFVSKLHYCFGFLYILVILQGDPLQYSVYREEAIDAIVAVMERNSHNKKVQEQCSRALLLLAGRFSSTGMVTAEAWLLKKAGLDDGPQDSFTSRELLVTDFQRMEEEARTNETWLRNLAIVLLTSGHKRLLIALSNCIGDWIPNLARSCLVTIAWISCSISSSKGAHRLMSFACSILAPRLLESLNYDRALEERVLASLSLLHFAKQTDCLLKFTPFGKETTDLLKDLSRVTWTAQELLFACC >DRNTG_14032.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27564399:27568926:1 gene:DRNTG_14032 transcript:DRNTG_14032.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLEDLLVQEGFHRPKPRRSKSKLSDASHPPPAPATICNNRRSVELYEKKDLPSFSSMNSGHDREQPLIDEVAVRAVVSILSSYAGRFSKDGEFRERVRERCMVCLAVSKGAAHAVLTNLELGMDNIERLAGDPPGSKESKIRSLRNSIRLLSIVASLNSPRSKRNGFTCGVPNSHLSAIAQLYLAFVYRIERNDRLSSRHLLQMFCDAPFLARRNLLPNLWEHFFLPHLLHLKVWYAKEEDVVSGWDAEEKDHRLKMLSRVYNDSLDAGTVKFALYYKEWLNVGGEAPPLPSVPLPSRPESIEPVVMTSIVAISSSKNAGLYQAVFGSSLERDGVGDQITIKLHKEKEEVLNEKNSEPEDSVHIDKELPQRPSNNTVEQQPPVEVKPEPRRSHSFQLYSCMNHSNKFLVHETEIAKNKPARSKRTTPPKSKQTAPLPDLDQAIALLSNSNSLSYCKVAIRCVAKAWLDSHGDSHVKKALSTSSVIQGILDLLFTCKDNEVLELAMSILAELVVMNEVNRQVVLNADPQLEIFLRLLRSDTLCLKGAVLLYMLKPKAKQMLSPGWMPTVLRVLECGDQPQTLFSVQSTPKLAAFYFLDQLLMGFDVDRNVENGKQLVALGGLDMLIRSLEIGEDHERRISASLLAKCVQAEGSCRDYLAANIKKASIIELLQGNQLKSDGVALSLLAELICLSRRTQITKFLDELKKEGFLNTMHILLVYLQQAPTEQRPLAAAILLQLDLLVPLPLTILLIGFVSKLHYCFGFLYILVILQGDPLQYSVYREEAIDAIVAVMERNSHNKKVQEQCSRALLLLAGRFSSTGMVTAEAWLLKKAGLDDGPQDSFTSRELLVTDFQRMEEEARTNETWLRNLAIVLLTSGHKRLLIALSNCIGDWIPNLARSCLVTIAWISCSISSSKGAHRLMSFACSILAPRLLESLNYDRALEERVLASLSLLHFAKQTDCLLKFTPFGKETTDLLKDLSRVTWTAQELLFACC >DRNTG_14032.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27564399:27568926:1 gene:DRNTG_14032 transcript:DRNTG_14032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLEDLLVQEGFHRPKPRRSKSKLSDASHPPPAPATICNNRRSVELYEKKDLPSFSSMNSGHDREQPLIDEVAVRAVVSILSSYAGRFSKDGEFRERVRERCMVCLAVSKGAAHAVLTNLELGMDNIERLAGDPPGSKESKIRSLRNSIRLLSIVASLNSPRSKRNGFTCGVPNSHLSAIAQLYLAFVYRIERNDRLSSRHLLQMFCDAPFLARRNLLPNLWEHFFLPHLLHLKVWYAKEEDVVSGWDAEEKDHRLKMLSRVYNDSLDAGTVKFALYYKEWLNVGGEAPPLPSVPLPSRPESIEPVVMTSIVAISSSKNAGLYQAVFGSSLERDGVGDQITIKLHKEKEEVLNEKNSEPEDSVHIDKELPQRPSNNTVEQQPPVEVKPEPRRSHSFQLYSCMNHSNKFLVHETEIAKNKPARSKRTTPPKSKQTAPLPDLDQAIALLSNSNSLSYCKVAIRCVAKAWLDSHGDSHVKKALSTSSVIQGILDLLFTCKDNEVLELAMSILAELVVMNEVNRQVVLNADPQLEIFLRLLRSDTLCLKGAVLLYMLKPKAKQMLSPGWMPTVLRVLECGDQPQTLFSVQSTPKLAAFYFLDQLLMGFDVDRNVENGKQLVALGGLDMLIRSLEIGEDHERRISASLLAKCVQAEGSCRDYLAANIKKASIIELLQGNQLKSDGVALSLLAELICLSRRTQITKFLDELKKEGFLNTMHILLVYLQQAPTEQRPLAAAILLQLDLLGDPLQYSVYREEAIDAIVAVMERNSHNKKVQEQCSRALLLLAGRFSSTGMVTAEAWLLKKAGLDDGPQDSFTSRELLVTDFQRMEEEARTNETWLRNLAIVLLTSGHKRLLIALSNCIGDWIPNLARSCLVTIAWISCSISSSKGAHRLMSFACSILAPRLLESLNYDRALEERVLASLSLLHFAKQTDCLLKFTPFGKETTDLLKDLSRVTWTAQELLFACC >DRNTG_17744.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4189505:4190394:1 gene:DRNTG_17744 transcript:DRNTG_17744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFALSGKAWDNLLQNKTAFTSKKDYGKGEREAQWALAQRTLHGLQPPDTSNLFQDKNSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIETIQQHYTV >DRNTG_35115.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8726816:8730801:-1 gene:DRNTG_35115 transcript:DRNTG_35115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLIVSWPFFFFPRSLKVSQEVSQKPSPNPSKKSL >DRNTG_02752.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000126.1:89856:91803:1 gene:DRNTG_02752 transcript:DRNTG_02752.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPDPYEGLFDQEEGHEDVMMLGSMEEVPSTPGILNKVLRKMKRARRHHRKHSKAVGDVSERKELDESLLGKPQGHAAAPIGRAHGRGYFPHACMVPRVRKNEVKHLRVTPPQPIHMDFSNPEHQTRFEKLSALGFGQTHFVDLQVQRDVQRGDELADEIDEMLVVGSWRRLLTIS >DRNTG_34995.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24077567:24077983:1 gene:DRNTG_34995 transcript:DRNTG_34995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAVNQRWSLKGMTALVTGGTKGIGKSVVEELAGFGAAVHTCSRNEEDLKVSLKLWADKGFNVTGSICDVTSRAQREKLIA >DRNTG_26612.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:243959:248006:1 gene:DRNTG_26612 transcript:DRNTG_26612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDPRSGYSPATKTFHSLRPAVAFPPVSQPLSITAYAVSLLPNRLPSHPAFIDASNSVSLSYPNLVSQIHSLASSLHSHLGISEGHVAFILCPSCLEIPVLYLALLSLGAIITPANPASTPSELSRLIDVAVPTHFFATSSSSSKLPRHVTPILIDSHEFRSFLESGSPLSDPIEIRQSDPAAILFSSGTTGHVKGVLLSHRNIITETIPFISILRLQDRPHPTVHMLTAPPFHVYGVVFLIKSVVVGETTVIQTERFDPEKMIGAIERFGVTHLALSPPALLAMVRVCEQVGDRRRGLGSLEVVNCGGAPSPPVLIRRFNGLFPNVAFQQGYGLTESTGGVFRCLGLEENRRVGSCGRLAAHCEAKVVDPESGVALPPGKPGELWIRGPTIMAGYVGDSEATAAVLDSEGWLKTGDLCYIDEDGFLFVVDRLKELIKCKGYQVPPAELEQLLQEHPDITEAAVVPYPDEEAGQIPMAFIVRQSHITLSEAQVMDFINKQVAHYKKIRRVKFVNSIPRNASGKILRRDLIKLATLTLSSKL >DRNTG_02194.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1339420:1340528:1 gene:DRNTG_02194 transcript:DRNTG_02194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLITKKMKTEKTERMRTCSEMRMERTSSIEFEPLTLTLEQLQFAREAAMCILSTRTIEEAMEVFTEGMQPVFGVKKEMDLMDLEYDDGESENVGVLRDLRDIVTAPF >DRNTG_31522.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19781431:19781704:1 gene:DRNTG_31522 transcript:DRNTG_31522.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALGLQQRRNLRRLLKQKRAQYKALPRQT >DRNTG_04124.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30153703:30154294:-1 gene:DRNTG_04124 transcript:DRNTG_04124.6 gene_biotype:protein_coding transcript_biotype:protein_coding MACFKVFFVMIVMVVYLAFVSESRISRMDLGLGAGLGLGAGVGLGEGGVSGSGSGSGSASGSGLGLGSGSVSGSRSGSISEGSGAGSSAGSGAGSSAGSGDGSGAGSSAGSGAGLGSGSGSGSGFGEGEGYGEGHGN >DRNTG_04124.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30153610:30154229:-1 gene:DRNTG_04124 transcript:DRNTG_04124.5 gene_biotype:protein_coding transcript_biotype:protein_coding MACFKVFFVMIVMVVYLAFVSESRISRMDLGLGAGLGLGAGVGLGEGGVSGSGSGSGSASGSGLGLGSGSVSGSRSGSISEGSGAGSSAGSGAGSSAGSGDGSGAGSSAGSGAGLGSGSGSGSGFGEGEGYGEGHGN >DRNTG_04124.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30153610:30154348:-1 gene:DRNTG_04124 transcript:DRNTG_04124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFKVFFVMIVMVVYLAFVSESRISRMDLGLGAGLGLGAGVGLGEGGVSGSGSGSGSASGSGLGLGSGSVSGSRSGSISEGSGAGSSAGSGAGSSAGSGDGSGAGSSAGSGAGLGSGSGSGSGFGEGEGYGEGHGN >DRNTG_04124.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30153703:30154348:-1 gene:DRNTG_04124 transcript:DRNTG_04124.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACFKVFFVMIVMVVYLAFVSESRISRMDLGLGAGLGLGAGVGLGEGGVSGSGSGSGSASGSGLGLGSGSVSGSRSGSISEGSGAGSSAGSGAGSSAGSGDGSGAGSSAGSGAGLGSGSGSGSGFGEGEGYGEGHGN >DRNTG_04124.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30153661:30154348:-1 gene:DRNTG_04124 transcript:DRNTG_04124.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACFKVFFVMIVMVVYLAFVSESRISRMDLGLGAGLGLGAGVGLGEGGVSGSGSGSGSASGSGLGLGSGSVSGSRSGSISEGSGAGSSAGSGAGSSAGSGDGSGAGSSAGSGAGLGSGSGSGSGFGEGEGYGEGHGN >DRNTG_04124.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30153661:30154294:-1 gene:DRNTG_04124 transcript:DRNTG_04124.4 gene_biotype:protein_coding transcript_biotype:protein_coding MACFKVFFVMIVMVVYLAFVSESRISRMDLGLGAGLGLGAGVGLGEGGVSGSGSGSGSASGSGLGLGSGSVSGSRSGSISEGSGAGSSAGSGAGSSAGSGDGSGAGSSAGSGAGLGSGSGSGSGFGEGEGYGEGHGN >DRNTG_04124.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30153703:30154229:-1 gene:DRNTG_04124 transcript:DRNTG_04124.8 gene_biotype:protein_coding transcript_biotype:protein_coding MACFKVFFVMIVMVVYLAFVSESRISRMDLGLGAGLGLGAGVGLGEGGVSGSGSGSGSASGSGLGLGSGSVSGSRSGSISEGSGAGSSAGSGAGSSAGSGDGSGAGSSAGSGAGLGSGSGSGSGFGEGEGYGEGHGN >DRNTG_04124.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30153661:30154229:-1 gene:DRNTG_04124 transcript:DRNTG_04124.7 gene_biotype:protein_coding transcript_biotype:protein_coding MACFKVFFVMIVMVVYLAFVSESRISRMDLGLGAGLGLGAGVGLGEGGVSGSGSGSGSASGSGLGLGSGSVSGSRSGSISEGSGAGSSAGSGAGSSAGSGDGSGAGSSAGSGAGLGSGSGSGSGFGEGEGYGEGHGN >DRNTG_24833.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31710733:31711042:1 gene:DRNTG_24833 transcript:DRNTG_24833.1 gene_biotype:protein_coding transcript_biotype:protein_coding LWFSARCRLSADILKADKVLVVERVIESLGLQAVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSLLILDE >DRNTG_18589.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14549177:14553786:-1 gene:DRNTG_18589 transcript:DRNTG_18589.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase 1B [Source:Projected from Arabidopsis thaliana (AT3G06110) UniProtKB/Swiss-Prot;Acc:Q9M8K7] MASMDDDAYLRRMAALLQAISLARVAKQDSVICQIEDGLYLGSVGAAQDKEALKKLNITHVLTVAKSLLPAYPNEFVYKRIDVLDNSTTNLAQYFDECFEFIDEARRSGGGVLVHCFAGISRSATVVVAYLMKEYRMPLSQALELVRSKRPQICPNFGFRKQLEDFEKSLEVIQNPKAIESMN >DRNTG_18589.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14549177:14553786:-1 gene:DRNTG_18589 transcript:DRNTG_18589.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase 1B [Source:Projected from Arabidopsis thaliana (AT3G06110) UniProtKB/Swiss-Prot;Acc:Q9M8K7] MASMDDDAYLRRMAALLQAISLARVAKQDSVICQIEDGLYLGSVGAAQDKEALKKLNITHVLTVAKSLLPAYPNEFVYKRIDVLDNSTTNLAQYFDECFEFIDEARRSGGGVLVHCFAGISRSATVVVAYLMKEYRMPLSQALELVRSKRPQICPNFGFRKQLEDFEKSLEVIQNPKAIESMN >DRNTG_02191.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1349714:1351552:1 gene:DRNTG_02191 transcript:DRNTG_02191.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSFPFVLRRNNSSSKSQPSPLPPLHHRTFRSRAALDSLHSHIQSATAAGGAQPHHLALYNYPSFSGAFSALFAHLFHSRLHLPFLALPFSSIDPFRIQDFHSQCIDTCYLLDHIGPGHFAVELAQRVQRSHFYN >DRNTG_02191.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1349714:1352008:1 gene:DRNTG_02191 transcript:DRNTG_02191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSFPFVLRRNNSSSKSQPSPLPPLHHRTFRSRAALDSLHSHIQSATAAGGAQPHHLALYNYPSFSGAFSALFAHLFHSRLHLPFLALPFSSIDPFRIQDFHSQCIDTCYLLDHIGPGHFAVELAQRVQRVVAFDHRKSTASRISQLGHCPNNLELHIDTMKSSACAVYDHFSESLPQNESLLMAENERDRVADVVKYIENMDLLRLDLPDVKAFSIGIKGMRAKLNSIINPNVFEQLLELNSTDLIAKGKAYNNTREDAAKKLLDKAFMIRLGRGLYGECLAIRADGSSNLSHEIGVELAKRSAAAGLRPIGAVVFMQRRNLKMCLRSTSSTTDTSLIAKAYGGGGTLKSSSFIIRMDEYNLWTSPTSL >DRNTG_02191.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1349714:1350515:1 gene:DRNTG_02191 transcript:DRNTG_02191.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSFPFVLRRNNSSSKSQPSPLPPLHHRTFRSRAALDSLHSHIQSATAAGGAQPHHLALYNYPSFSGAFSALFAHLFHSRLHLPFLALPFSSIDPFRIQDFHSQCIDTCYLLDHIGPGHFAVELAQRVQRVVAFDHRKSTASRISQLGHCPNNLELHIDTMKSSACAVYDHFSESLPQNESLLVCL >DRNTG_18120.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:463876:466202:-1 gene:DRNTG_18120 transcript:DRNTG_18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable enoyl-CoA hydratase 1, peroxisomal [Source:Projected from Arabidopsis thaliana (AT4G16210) UniProtKB/Swiss-Prot;Acc:Q6NL24] MELIVVEREDSGVAVVTINRPKSLNSLTRQMIVDLARVFKVLDGDDTVGAIVITGRGRAFCSGVDLTAAEEVFKGDVKDDDSDTVFQMEHCRKPIIGAINGFAVTAGFEIALACDILVAGKDTKFIDTHARFGIFPSWGLSQKLSRVIGVSRAREVSLTAMPITAEMAEKWGLVNHVVDNSEVLKKAKEVAQAILRNNRDMVLRYKSVINDGFKLDLRHALALEKERGHSYYDGMSKEQFAKMQEFISGRSGSKKKTSSKL >DRNTG_09982.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22113161:22115004:-1 gene:DRNTG_09982 transcript:DRNTG_09982.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHFPRITYGDLLRATGGFSDDNLVGRGRHGSVYKGILEILNTTTVAVKVFNLAEQGASRSFSSECKVLRTVRHRNIIKAFTSCSSIDSRGHDFKALVYEFMSNGSLETWLHPQTEEEQHKSQSLTFIHRLSIAIDIADALEYLHEGCQPPMVHCDIKPGNILLDNEMVAHVADFGLAKVLSGSSRASSRSSIAIRGSIGYVPPGDIQFINYFFSFLLHYYSHLDTICRVWSWWSSDHFWRCVQLRNSSP >DRNTG_09982.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22113161:22115004:-1 gene:DRNTG_09982 transcript:DRNTG_09982.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGTIPPSLSNIRGLQILNLSNNSLSGSIPQSFGSMKGLQELLLSHNNLSGSIPKVMEDMTGLIYLELSYNNLQGEIPKKGIFSNASAISVTGNPGLCGGLSQIHLTACPLKTFEKRTRWRLLLTVGTPIACFILFSSFAFLIYKRQSRKRASSEISMNSHFPRITYGDLLRATGGFSDDNLVGRGRHGSVYKGILEILNTTTVAVKVFNLAEQGASRSFSSECKVLRTVRHRNIIKAFTSCSSIDSRGHDFKALVYEFMSNGSLETWLHPQTEEEQHKSQSLTFIHRLSIAIDIADALEYLHEGCQPPMVHCDIKPGNILLDNEMVAHVADFGLAKVLSGSSRASSRSSIAIRGSIGYVPPEYGVGGQATTSGDVYSYGILLLEMLTGKRPADDMFKNGLTLRKLVEMQISSEGFLNIVDPLMLPQNHASKEEECFVSVALVGLSCSIDSPYERPNIAEVATKMHAIKTGIKN >DRNTG_20485.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21753982:21755612:-1 gene:DRNTG_20485 transcript:DRNTG_20485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQTTIINGFNNNTTTTPPHVVIVPLMAQGHIIPMLDMARLLAERGVLVTFITTPVNASRIKPIIARMHESNLHINFIELPFPCAEAGLPLGCENCDLLPSKDLVVNFFDAIRLFDHSIEQRLKDLVPRPTCMINDMSNPWAANVARSLNIRRLVFHGPSCIYIYCSYVCQQHKIYETVTDEFEEISVPGLADNDDDVVGQSFKVCKLHAPGWFNAPGFEKLRDEILHAEETTDGVVMNTFHDVEPMFVEAYKKVIGKDVWTVGPLCLYDKDDFCARIERGNKAAVDPEKLFGWLESMEERSVLYVSFGSLTRMNVGQILEIGSGLEASGVPLLWVIKDVEKSPEVEEWLKGFEQRMSLRSFVINGWAPQAAILSHKSVGGFVSHCGWNSTLEAVSNGVPMITWPQFADQFLNGRLVVELLRIGIAIGVKKPAFYYGKDEIPVKKDDVERAVRSLMGDGEEAEERRIRAIEIKKKAIKAMEEGGSSYENITRLVEYIKHEPGKDVQGTQV >DRNTG_05095.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1876339:1877074:-1 gene:DRNTG_05095 transcript:DRNTG_05095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIPQAAARVQDRCAGKKTNTGYIKGWSIALNIWFYELTGTRKKVCFGKIPRMLCYGESTYQKQATIETSLSSLEGKEFSELVPANAEEDIFVRANQRMDAIALEPLARRQDERTTSFVHARRRSPTSSPPRARIPRRERSLPLARPIAINPPTTGALRPWQPHQRH >DRNTG_02709.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19591216:19595899:-1 gene:DRNTG_02709 transcript:DRNTG_02709.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIRLSKAPPGDGGAGPAVTRPPPPSDTVTVACPDHLVIADLPVAKSLGAITSSAAPALRSLGRRSRRLPGDRVHFCVRCDYPIAVYGRLIPCEHVFCLACARNDSICYLCDERIQKIQTIKMMEGIFICAAPHCLKSFLKKSEFESHIHETHADILQPNVEKSMNDVDASNNQRLSLDSHKQSSQQPESSTARAPSRPAFSPNSDFQMQDFDERTRRYPSRDQQSAKPQLQPKLSPFPGRPSYQPNDQLLDNNPPQGFDKPSQNWYNQGQGFENQSNFQYPPPDKQTGIPQESPIPTYPPVQPLQQPNFQLPMNANQAPAPTPSYNYPIPPEGLQSYYNAPYEMPRPESMPQSGPDQGSVLGFPPAQAAGLASFPEGFPRPWSMGYMNVPFNSLAMGQGIPEGFANPTDSHSGSAEQNDGKGVLASVPLQMPLSMPLPPPPPLPPPMVQQLNRGGFSSSSNVNQDGQGY >DRNTG_21736.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13719281:13722255:1 gene:DRNTG_21736 transcript:DRNTG_21736.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAMASLTSPAPDPPFEPSAWYGNIQYLLNISIAGAASCLLLFLLVKLRSDHRLVPGPSALFSKLLAVYHATFSQIALHCGADASQFLLIERSSFSILLFVSLAALFFALPLNLLAPAPISDLFAATTISHVPPGSPLLWLHFALMVLVVALAHFGLSKMENDLRITRFRDGSGNPSDPSSNSVAIFTIMVQGVPKALAADKSPLEEYFKHRYPGMVYRVIVPFDLCSLEELSIKWVEVQNKICRLEARLDASESFPDGGPGVGDENQVLRRLKDAWAMACTRLGFTDEERLRKLLNLRLFLKNRLLDYKEGRAPGAGIAFVVLKDVYTTNKAVRDFRVERKKKPVGRFFPLMELQLERSRWRVERAPAASDIYWNHLGFSKLSLRLRRIAVNSCLLLMLLFCSSPLAVITGIKSAARIINAEAMDNAQQWLAWFEGSSWFGALVLQFFPNFLIFVSMYIIIPSVLSYLSKFERHLTVSGEQRAALLKMVCFFLVNLIILRGLVESTLESAILKMGRCYLDGADCQRIEQYMSPSFLTRSCLSTLAFLITCTFLGISFDLLAPIPWIKKTLRKFRKNDMIQLVPEQNEDYSADHNNDENNLRMPLVPGTDDSPRSNGVEGHDLSIYPINRSFHIPKQKFDFAQYYAFNLTIFGLTMIYSLFAPLVVPVGAFYFGYRYMVDKYNFLFVYRVRGFPAGNDGKLMDRVLCIMHFCVVLFLMSMLLFFSVQGDSTKLQAIFTLGLLFFYKVFPSRNDGFQPSILEGMQTVNSFVDGPTEYEVFSQPDFDWDIYQI >DRNTG_24565.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26017197:26018359:1 gene:DRNTG_24565 transcript:DRNTG_24565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLKCIILPCHQRRRCRTSTGYLGVRKRRSGRYAAEIRNPFTKKRHWLGTFDTPEEAAVAYSMSSITFRGIEKAQTNFCHKFLTMPSPSPPAPPPSPLARSNTRRRGRTSTGYLGVRRRPLGRYAAEIRNPSTKKRHWLGTFDTPEEAAVAYDMSSITFRGIEKAQTNFCHKFLTMPSPSPPSPPPSLLPSEKEKEYCFENNLEINDDHDHLVDRDDDEWINITIILQSFCQSNALPSSLIL >DRNTG_18601.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22201193:22211178:-1 gene:DRNTG_18601 transcript:DRNTG_18601.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLFTHCKRRVGRRKRSRRRRRRRRSSKSSKMASALLSTAVRFMSRSPKTFAFKMITSKSLCTLCLSTKQMTRSKSVHNPRSCAAIASMQVGGKAKKSQIVIPDPDYRIPIVLLGLAGIFVYQENLLVAAPVGLLGLLLLFQTTRVRFVFDEEALEVKLGDQLQESGENVFVGGKNRWKYSTFVNWELWWPNFPILVYFKETQTKPEGQVHFFPVIFNGKQLYDVMVERASSSKTSLPK >DRNTG_18601.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22201193:22211178:-1 gene:DRNTG_18601 transcript:DRNTG_18601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLFTHCKRRVGRRKRSRRRRRRRRSSKSSKMASALLSTAVRFMSRSPKTFAFKMITSKSLCTLCLSTKQMTRSKSVHNPRSCAAIASMVGGKAKKSQIVIPDPDYRIPIVLLGLAGIFVYQENLLVAAPVGLLGLLLLFQTTRVRFVFDEEALEVKLGDQLQESGENVFVGGKNRWKYSTFVNWELWWPNFPILVYFKETQTKPEGQVHFFPVIFNGKQLYDVMVERASSSKTSLPK >DRNTG_35179.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26045935:26048342:1 gene:DRNTG_35179 transcript:DRNTG_35179.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRTGSNDRNNPLVGIWRALLRMCMFIVGVAMRMLEEMRDMVRLVYAKVLAAVLRSSLAVMLVRMIIDKFGISAIRNSWYDASQIADHVLQGYTKPLRCKGWEMALLEYTIAMFTDSASKSKPPLTRRLAEISCPVLIVTGDTDRLVPSWNAERLSMAIPGSTFEVIRKCGHLPHEEKVDEFLSVVERFLQKVFGVSEGYASSNVSNSNSK >DRNTG_35179.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26045935:26048342:1 gene:DRNTG_35179 transcript:DRNTG_35179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLTPLVSLPLHFQSNLHFQNNTCNKKVHFLPFGSKLNLAVARAASIPGSTSDFPEKQLRKRSSVAGVDQDELLDPHELADPDSTFSDIHGVRVHHKVCHHDDEHLSRGSLNIGLPLVLLHGFGASVFSWSRVMRPLTRIAGSKVLAFDRPAFGLTARSAPVRDNGALNPYSMAFAVLATLAFIDMLGAQKAILIGHSAGCLVAVNAYFEAPERIAALILVAPAIVAPLLSWRGKDGKIRKENNMGGGRTGSNDRNNPLVGIWRALLRMCMFIVGVAMRMLEEMRDMVRLVYAKVLAAVLRSSLAVMLVRMIIDKFGISAIRNSWYDASQIADHVLQGYTKPLRCKGWEMALLEYTIAMFTDSASKSKPPLTRRLAEISCPVLIVTGDTDRLVPSWNAERLSMAIPGSTFEVIRKCGHLPHEEKVDEFLSVVERFLQKVFGVSEGYASSNVSNSNSK >DRNTG_10450.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4973491:4974852:-1 gene:DRNTG_10450 transcript:DRNTG_10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSRVVTPGFSHKGYSVNSSVHLLTKENSGLTTPPPIRPNRGTPHCSVGLK >DRNTG_13523.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21995876:21999804:-1 gene:DRNTG_13523 transcript:DRNTG_13523.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDEVQEKELDLTSPDVVTKYKTAAEILNKALQLVVSECKAKVKVVDICEKGDAFIREQTGNVYKNVKKKIERGVAFPTCVSVNNTVCHFSPLASDETVLEENDIVKIDMGCHIDGFIAVVAHTYVIQDGPLTGRAADVLAAASTAADVALRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVILSVTSPETRVDDAEFEENEVYAVDIVTSTGDGKPKLLDEKQTTIYKRAVDKNYHLKMKTSRFIFSEISQRFPIMPFTARALEEKRARLGLVECVNHDLLQPFPVLYEKPGDFVAHIKFTVLLMPNGSDRITSHPLQPLQPTKNIDDDPEIKAWLALGTKTKKKGGGKKKKGKKGDDAAEAEPMDNNNDGASQQD >DRNTG_24113.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31334661:31339300:1 gene:DRNTG_24113 transcript:DRNTG_24113.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTAC2 [Source:Projected from Arabidopsis thaliana (AT1G74850) UniProtKB/TrEMBL;Acc:A0A178WNJ2] MPPSLLSLPSPSPSPSPSLLKPFLNPNPLLHIHLHLHPRFLCNLTTAPSPKSRYATASSRGKTKELVLGTPTITLEKGKYSYDVETLINKLSSLPPRGSIARCLESFRNRLSLSDFAVVFKEFARRGDWQRSLRLFKYMQRQSWCRPNEHIHAILIGVLGRESLLEKANEVFDEMPAHGVPRSALSYTAIINAYGRSGFHERALELLSNMKAERIPPSTLTYNTVINACARGGVPWDALLGLFAEMRHDGAQPDIVTHNTLLAAAGSRGLADQAEMVFRTMLEAGIFPDYTTYSYLVETFAKLGQLGRVAELLGEMEESGHLPDASAYNVLMEAYARAGAAKDAVNVLRQMQGAGCTPNAATYSILLKLYGRNGQYEDVRELFLEMKVGNTAPDASTYNILIQVFGEGGYFKEVVTLFHDMVEENVEPNMETYEGLIFACGKGGLHEDAKGILSHMNSRGMVPSSKAYTGVVEAYGQAALYEEAFVAFNTMHEIGSLPTIETYSSLLHTYARGGLFKEAEAILTRMNNAGIWRNEDSFNGLIEAFCQGGQFEDAAKAYAEMQKSRCNPNERTLEAVLNVYCSAGLVDESKEQFQAIQSLGIMPSIMAYCWMLSAYAKNDRWEEVYQLLEEMRTNRTSNPHQVIASMIKGDYDDESNWQMVEYIFDRFNSEGCGFGMRFYNAILETLWWLGQRARAARVLREATSHALFPELYRESKLVWSVDVHRMSVGGALTAISIWLNDIYERVRRGDDLPNLATVVVVRGEMEKSLATRNLPVAKAVFSFLKDNVSPSFNFSGWNKGRIVCQRSQLKRLSSLAPSLSSEVPIEGLISITNSSFPLPGSQLYTTADVDTDQQSGSKIAAFKSENEIMETVMR >DRNTG_08466.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24915860:24919118:1 gene:DRNTG_08466 transcript:DRNTG_08466.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYGHLLLLHRCTIFNFFYFLIQWAFLHANSANLAMEILKTECTGSTYKQNSTFSTNLNSLLSALKDNSLSSMSINQTSGEAPATVFGLYFCTGDLSHGDCQFCIQRAIEDINGSCSNYKQAIIWYEYCQLRYSDTNFFGVPDTNGFSMVNYKEITTSSKPVEVVSQLVREAPLAQPLMFKSLALEIESLYALAQCSSDLTTKECSNCLTTILANIKACCSSAKGWRFLAPSCWIRYEATPFLRNLNGTRTYITKSYCSSDDFPASNGLNATAQLESLLSSLTEQAPSFNGFYNTSEGEDMNKIYGLALCRGDLQNKTDDCQSCLQDASKSIVEDCPNKTQAIEWYEKCFIKYSNQNFFGKVDTDSVQWLCSVNYLSAEASNATVNLALGLINDTVNNQMFVGAEEEEISNSLKAYFLLQCTRDLSKDGCRRCLQTGMSQVSNECNQTNGWRYLSSSCTLRFEVGPFFDTALISNLSSPVSPKLNNGGEGKKKLNSVSIAVIAAPVLGFILLGSCILYIWWRLRHRNGRRRKQFDEYRPLTSNDLPFMDLATIQAATGGFAVENKLGEGGFGPVYKGVLNNGTEIAVKRLSPKSKQGAIEFENEVKLIAKLQHRNLVRMLGWCAEREEKLLIYEYLPNKGLDALLFDSEKRAQLDWNRRLKIIGGIAKGLLYLHEDSLLKVIHRDLKASNVLLDNKMTPKISDFGMAKIFGGEENEANTNRVVGTYGYMAPEYAMGGLFSVKSDVFSFGVLLIEVLTGQRNGKEHFGEYGQTLIRNMWHLWIQDKALELMDPLLGHSYPVNEAKKYIKIGLLCVQENTEERPTMSIVVHMFRSSDETVFPAPSQPPTFMKHRDGSPFFNDNMVDGSSSSINSQSLGLHSINGITMSEIEAR >DRNTG_25238.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27518589:27528930:1 gene:DRNTG_25238 transcript:DRNTG_25238.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40C [Source:Projected from Arabidopsis thaliana (AT3G19840) UniProtKB/Swiss-Prot;Acc:Q9LT25] MSSPRLLAQETQVSAPGDSVQQQVSESPVGGTPAGCLNPVSASSISVSPACGPATDGVTPSTSFPCAVNGLSTAVSGSATPASSLPNPIGTPHGTVNEPWKEPICANLLTPPGYVVSSSSFSYGAPPPFNSASGSTQQSSINPALKLTPSVPAAALQPPVPGHSFGNRPSFSYNVVSQANVGSASGQQFQPAIATNLSPLPSGKFTPAMTAASLQPPVPGQLMRPHSSVLGTTAPNPSPQMRMPYPISKGHAPTPMSYSFGGTSRPPTLGAPDVFAHKSSTSDTAVPEGGTGSATSLGSQSTESSATLASSSVMPSAAGPSMNHPPTLPPMASSFPVHSGTAGQLGVANAMAFSPNGTTAWSSMGSSPSFGSVVPSHAPVPPNPAPTQIAQNVQQNYPPYASLPASGPSPQAPWLHPPFQGGMQHAPFVPYPGVAPSSFPQPARSMPFPSGSSPIVQPPGVSSAAAHGGTIPFNPASSQTGVNLEHERQGSELSMGGGIPKNEEVDAWTVHKTEAGTLYYYNRLTGKSTYEKPLNFKGEPKKANDQPTPASWEKLDGTDWTLVTTNDGKKYYYDTKNKVSSWQLPAEVAELRRNQDNVSLKGNASPVQNVSLGSDKGSTPVSLSAPALQTGGRDSLVLRTSAAPVSSSALDLIKKKLQDAGSPAACPPLPAASGPSASDLNGSRVVEATVKGAQSTASKDKVKDANVDDNGSDSLSDSDVESGPSKEECIIQFKEMLKERGIAPFSKWDKELPKIVFDPRFKAVPGYSTRRALFEQFVRTRAEEVRKEERAAQKAAIDGFKQLLEEVSEDIDHRTDYEMFKKKWGSDTRFTALKRKEQEVLLNEKILPLKKAAEEKIQAIRMAATSSFKAMLRDQGDITPISRWSRVKDSLRNDPRYKTVKHEDREILFNEYISELKSAEEELEQAAKAKKDEQEKLKERERELRKRKEREEQEMERVRLKIRKKEVVASYQALLVETIKDPKASWTECKPKLDRDPQGRATNPDLDQADAEKLFREHVKDLYERCVRDFRTLLAEVITPEMASQMTENGKTALTSWSEAKQRLKPDPRYTKMPRKDRESLWSRHAEDILRKQKTATSDQKKPDAAEGRSRKTADYSQRWHGRR >DRNTG_25238.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27518589:27528930:1 gene:DRNTG_25238 transcript:DRNTG_25238.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40C [Source:Projected from Arabidopsis thaliana (AT3G19840) UniProtKB/Swiss-Prot;Acc:Q9LT25] MSSPRLLAQETQVSAPGDSVQQQVSESPVGGTPAGCLNPVSASSISVSPACGPATDGVTPSTSFPCAVNGLSTAVSGSATPASSLPNPIGTPHGTVNEPWKEPICANLLTPPGYVVSSSSFSYGAPPPFNSASGSTQQSSINPALKLTPSVPAAALQPPVPGHSFGNRPSFSYNVVSQANVGSASGQQFQPAIATNLSPLPSGKFTPAMTAASLQPPVPGQLMRPHSSVLGTTAPNPSPQMRMPYPISKGHAPTPMSYSFGGTSRPPTLGAPDVFAHKSSTSDTAVPEGGTGSATSLGSQSTESSATLASSSVMPSAAGPSMNHPPTLPPMASSFPVHSGTAGQLGVANAMAFSPNGTTAWSSMGSSPSFGSVVPSHAPVPPNPAPTQIAQNVQQNYPPYASLPASGPSPQAPWLHPPFQGGMQHAPFVPYPGVAPSSFPQPARSMPFPSGSSPIVQPPGVSSAAAHGGTIPFNPASSQTGVNLEHERQGSELSMGGGIPKNEEVDAWTVHKTEAGTLYYYNRLTGKSTYEKPLNFKGEPKKANDQPTPASWEKLDGTDWTLVTTNDGKKYYYDTKNKVSSWQLPAEVAELRRNQDNVSLKGNASPVQNVSLGSDKGSTPVSLSAPALQTGGRDSLVLRTSAAPVSSSALDLIKKKLQDAGSPAACPPLPAASGPSASDLNGSRVVEATVKGAQSTASKDKVKDANVDDNGSDSLSDSDVESGPSKEECIIQFKEMLKERGIAPFSKWDKELPKIVFDPRFKVC >DRNTG_04601.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4069243:4075225:-1 gene:DRNTG_04601 transcript:DRNTG_04601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRIAHIVTLQNHRLNLILHQILSGFFSMGFGPLFRLCSQLTLLLDQLMIRGLTRLINLSHGKGPDLRRRFVDCCLVVKLMTQKKGSLPRLRKVLASNPFLISEWIDVQLLNYCNACGISFDTLHHQEKCFAHIRMLESTRSVTLAPIWVKLDQFLVNSHWITLFPKVLQNSLPRLGSDHVSIRLEVGFHLPIPRAFRFEQAWCLSDNFDSLIRDWWGSLHPCGCGAFILAKKIARIRASLKSWAKFGDKEGTVRDLLNRELAFPFGDFPQEGSLVTTFRLQNSTIKDGRHWKLSSNGMFSVKSFYQFLNNGGIRCRWIPSILKGGCPRKVNLFNWLAWDNKILILQNLADRRCNVLHDITYVICHAEVESADHLLT >DRNTG_10354.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9740900:9742557:-1 gene:DRNTG_10354 transcript:DRNTG_10354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVCISCALSEEIAMADEKVMMGREPLIEHEKTKSIKQILLKSIAGVLLVGFACYLFLDSFTSLTPETEINSLPLEKAVNEQHPKKEVCDVSTGEWIPNPSGPIYTNATCNHIQNHQNCMKNGRPDTGYLYWRWKPSNCDLPPFDPVKFLNKMRDKSWVFIGDSIFRNHFQSLTCMLSKVEELVETYHDSAYRCQTLFSPNYNFTLAVIWAPYLVQYESIDSETLNIHLDILDSKWSSEYNKYDYVVISGGQWFYRSAIMYENNKAVGCHNCPYENLRELGVVEPYRKALQLTLNFMARAEHKPFVIFRTWTPDHFEYGKWYNGGICNRTEPFKEGEINGYPVDLVMRNTEVEEFGKAAAIGERNGVRMELLDTYHLSLLRPDGHPGPYRTYHPFDGDKKKKVQNDCLHWCLPGPIDTWNELLMKMVINGDDHDSISALS >DRNTG_08043.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30274650:30279802:-1 gene:DRNTG_08043 transcript:DRNTG_08043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKQKLAIACQLAHLGVDIIEAGFPASSPDDLDAVRSIAIEVGNNPDENGYAPVICGLARCNKADIDAAWEAVRHAMRPRVHTFIATSEIHMKYKLRKSRAEVVRIASEMVAYARSLGCEDVEFSPEDAGRSDREFLYHVLAEVIKAGATTVNIPDTVGYTLPSEFGRLIADINANTRGIENVIISTHCQNDLGLATANTLAGAYSGARQLEVTVNGIGERAGNASLEEVVMAIKCRKELMGGLHTGINIKHIVMASKMVAEYSGLHVQPHKAIVGANAFAHESGIHQDGMLKNKSTYEIISPEDIGLTRSNESGIVLGKLSGRHALRSRLLEFGYDINGKELDDIFKRFKEVAEKKKHVTDADIEALISDEIFQTQVIWLLGDLQVTGGTLGLSTATVKLIASDGEEKIACSIGTGPVDAAYKAVDIIIGVPVILLEYSMNSVTEGIDAIASTRVVIRGDTTHSSTHALTGETVFRTFSGSGAGMDIVVSSVRAYVSALNKMLAFKDLSASKAARKVS >DRNTG_14133.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10869241:10869766:1 gene:DRNTG_14133 transcript:DRNTG_14133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDIFGKYGAIRQIRLGTNKDTRGTAFVVYEDIYDAKTAVNHLSGFNVANRYLIVLYYQQAKMSKKQDTKKKEEEIMKMQEKYGLSSKDK >DRNTG_08105.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13644346:13645010:-1 gene:DRNTG_08105 transcript:DRNTG_08105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERVPIHLGHILADYIRHQGQYARLGAIFSGPYITRLVLGMGLLDSIHGVEKTSVPAPLGLEMMQLMGMVRRVQTGVFVLVLPAPEIAEDEGDDTGASQPPEPQPRIDGDRGTSQCRGTIPECSSHHLEPMIALSGSRML >DRNTG_33828.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002041.1:13773:14489:1 gene:DRNTG_33828 transcript:DRNTG_33828.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIFFLNQWMPEFRRFAPNVPIVMVGTKLDPRDDRGYLADHLGTIAITPAQGEELRKQIGASAYIECSSKTQQNVKAVFDTAIKVVLQPP >DRNTG_33828.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002041.1:13073:14489:1 gene:DRNTG_33828 transcript:DRNTG_33828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEFRRFAPNVPIVMVGTKLDPRDDRGYLADHLGTIAITPAQGEELRKQIGASAYIECSSKTQQNVKAVFDTAIKVVLQPP >DRNTG_02285.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:842109:846520:1 gene:DRNTG_02285 transcript:DRNTG_02285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPLYATLLDRCYAARDSFLLLRAHARILTNGLADHDLLRTKLCAAYARCDRLHESLLLFSFATRRPTFLYNSLIRAHADRSRFALALSFFQFMLSDGVAANVACVASTLRCVAGVASLRLGRLLHSHAVVSSLLSQDPSIPNSLIAMYSSCGDLPSARKVFDDMRQRKNLVSYTSMISALGTHGHSKEAFSLFEKILEEGERPDSKAITAVLAACAREGLVEEGRRVFKMIRARRFGAVSMGVEHFTCMVDLLGTAGLVEETEVLIHGMDGKPDEAMLTALLKACQTHGKFDATERVWGVLLKQCRMRGRLDVAERIAEQVYGSGR >DRNTG_12343.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20784260:20784709:1 gene:DRNTG_12343 transcript:DRNTG_12343.2 gene_biotype:protein_coding transcript_biotype:protein_coding FDFWRTIEEVIEKANSTKNGLAAGIVTKDLNTANRVSRSIRSAVIWINCYFAFDRDCPYGGYKMSGFGRDLGLNALDKYLQVKSVVTPIFGSPWL >DRNTG_12343.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20776943:20784709:1 gene:DRNTG_12343 transcript:DRNTG_12343.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEEAIEKANSTKYGLAAGIVTKDLNTANRVSRSIRSGVIWINCYFAFDRDCPYGGYKMSGFGRDLGLNALDKYLQVKSVVTPIFGSPWL >DRNTG_12970.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30509779:30512212:-1 gene:DRNTG_12970 transcript:DRNTG_12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIKDGCPSLLVFVFFLSLNIVLLVHGQLLPIVSDRRENTSQIQTFIVHVLKPEGSNFLGGEGLENWHKSFLPNTTLDTGEPRLLFSYKEAISGFAARLTPEEVRDMEKMDGFLRANPSRTLHLQTTYTHDFLNLSTLFGAWSTSNSFYGEGIIIGVLDTGIHMPHPSFDDTGMPPRPAGWNVSCYLQTPCNDKVIAAQSFDTANSTTPATDIDQGHGTHVAGIAAGNFVDNAEVLDQALGRAAGMAPRAFISVYKVCWKPGGCGSAGVLAAIDKAMQDGVHILQMSFGARPPNLPTSFTEDDVAVATFSAMQKGIFPCTAAGNNGPDPKTLSHAAPWDMVVGATTTDRRIRATVTLGNGTQFHGESAYQPNMVTNQFYPLVFPGSNGQHSQLYCFNNSLNGTDVRDKIVMCNIGGLDNIEKGKVVRNAGGAGMILMNFERLGYTTFSDAHHLPVSHVSYKDAIQIKDYIISNSTPTAKITFGGTIFDIHPSPALAYFSSRGPAKYNGNIVKPDVTAPGVNILSAWPLEVGPFPSGLKIKTFNFESGTSMATPHVSGIVALIMSKLKNDNKRQWSTSEIQSALITTANTFDLDGKPIFDEATLNDRANILQRGAGQVNVAKAMDPGLVYNIEPDDYVAYLCGIFSNNSQDVQIFTKNNTQNCTRSISGEQLNYPSIGIQMASRSASTTISRTLTNVGDAREIYNATFIEPPFVRIYLSHYQLSFTRLEQKFTYSITLTMNGSHPGLGVIGEGELSWVSSKHNVTSPIYIAF >DRNTG_17223.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2698735:2699987:-1 gene:DRNTG_17223 transcript:DRNTG_17223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKTCSFQCKESAKCELMGFDSLPTFLKDNEFILNYYRSEWPWKQTILSIFSIHNETLNIWTHLIGFIIFLTLTLCTMHAFAPLTFSQSSSDKVMILNTTSATVFRAQVPAYQTNIINLLSIMKDDDVLESMITRWPFYAYLFGAMFCLLTSSVCHLLSCHSEHCANTMLRLDYTGISTLIVTSFYPLVYYTFMCDPFV >DRNTG_33358.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26603082:26609270:1 gene:DRNTG_33358 transcript:DRNTG_33358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCRGSLRSKYPESISNPSCRSTPAIPPSESGDPPKLQLASTATVVAAMRCGVETPSSCILGHKTTNIRDLYTLGRKLGQGQFGTTYLCTEIATGVCYACKSISKRKLISKEDFDDVRREIQIMHHLSGHKNVVSIKGAYEDPLYVHIVMELCSGGELFDRIIQRGHYSERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDLSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLRKKYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVLDFDSDPWPLISDSAKDLIRKMLCPRPCDRLKAHEVLCHPWICENGVAPDRALDSAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFQAMDTDNSGAITFDELKEGLRKYGSNLKESEIRDLMNAADVDKSGTIDYGEFIAATLHINKLEREEHLAAAFSYFDKDGSGYITVDELQQACKEQNMEDLSLEDIIKEVDQDNDGCIDYGEFVAMMRKGNVNMGIGRRTMRNALNLSIRDDRELAK >DRNTG_33358.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26603082:26609270:1 gene:DRNTG_33358 transcript:DRNTG_33358.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCRGSLRSKYPESISNPSCRSTPAIPPSESGDPPKLQLASTATVVAAMRCGVETPSSCILGHKTTNIRDLYTLGRKLGQGQFGTTYLCTEIATGVCYACKSISKRKLISKEDFDDVRREIQIMHHLSGHKNVVSIKGAYEDPLYVHIVMELCSGGELFDRIIQRGHYSERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDLSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLRKKYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVLDFDSDPWPLISDSAKDLIRKMLCPRPCDRLKAHEVLCHPWICENGVAPDRALDSAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFQAMDTDNSGAITFDELKEGLRKYGSNLKESEIRDLMNAADVDKSGTIDYGEFIAATLHINKLEREEHLAAAFSYFDKDGSGYITVDELQQACKEQNMEDLSLEDIIKEVDQDNDGCIDYGEFVAMMRKGNVNMGIGRRTMRNALNLSIRDDRELAK >DRNTG_33358.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26604265:26609270:1 gene:DRNTG_33358 transcript:DRNTG_33358.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLCPRPCDRLKAHEVLCHPWICENGVAPDRALDSAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFQAMDTDNSGAITFDELKEGLRKYGSNLKESEIRDLMNAADVDKSGTIDYGEFIAATLHINKLEREEHLAAAFSYFDKDGSGYITVDELQQACKEQNMEDLSLEDIIKEVDQDNDGCIDYGEFVAMMRKGNVNMGIGRRTMRNALNLSIRDDRELAK >DRNTG_33358.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26603082:26604042:1 gene:DRNTG_33358 transcript:DRNTG_33358.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCRGSLRSKYPESISNPSCRSTPAIPPSESGDPPKLQLASTATVVAAMRCGVETPSSCILGHKTTNIRDLYTLGRKLGQGQFGTTYLCTEIATGVCYACKSISKRKLISKEDFDDVRREIQIMHHLSGHKNVVSIKGAYEDPLYVHIVMELCSGGELFDRIIQRGHYSERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDLSLKAIDFGLSVFFKPGKQ >DRNTG_18557.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16369739:16370396:-1 gene:DRNTG_18557 transcript:DRNTG_18557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCIRAQGQCLLFIIVLAIFLHPCFCEWVSPPHSYITIHQRFDGKAIDQAIAYFLMFLALIFTYFLH >DRNTG_35401.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1598036:1598490:-1 gene:DRNTG_35401 transcript:DRNTG_35401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAGGMAPPPMNQQHMAQPQQQWGMMAPPPPMWGQQPPQIPPTQQQQQQQQYPAQYQAPQAQYQAPAAQPASSDEVRSLWIGDLQHWMDEAYLQSCFYQALQNG >DRNTG_06593.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15273199:15275892:1 gene:DRNTG_06593 transcript:DRNTG_06593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLEKHLARFVQSVNTRFESVEATLRNHTASLHNLENQVGQIAKSLFERPHGMLIKRPTDSIPRFSWKKVVGGALVGTDPCDTRVESSSW >DRNTG_31550.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20667336:20667956:-1 gene:DRNTG_31550 transcript:DRNTG_31550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKIFCLVLLIIFVLCSDLLCISGRPLHEWEFSASEIASPSLPKSGLKTKTSSASLVPNRRILPPLPEEVNSSGKWLPMPNLIPSLPVPSPSTIPSNGMPGFPLVLPPLLGWGSNPNPSQPRLPIGVTKTANVKP >DRNTG_26813.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20302629:20309043:-1 gene:DRNTG_26813 transcript:DRNTG_26813.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPVLEPTKDSSIYYIPNSLAKPYFWSKSRVCIHQLGASPIVWSLRGHAIIVVQCVVEEYTDSDFDVAALHMTSNLGCLAPQNKLEIEQKEQFPLTHHPSDDGLGILYNTEPPIWDPELGALFESNKGSRANLEDLTIKAYARKDHTEELMTTAYARKGSYKEQHSEVYGPALTTAVGILSGNFKTFLCVYPSMFFTF >DRNTG_32667.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18489264:18490748:1 gene:DRNTG_32667 transcript:DRNTG_32667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIEDKNKMKSFLTTTSQHVDETEKQLMLIREKLQELKAQEEEQKQLISSGNDLLSSTLSIDEMNQSLTDDLVKLEELGGGDNVLQTSSPLADARANLEKGKSSTLNILVYLLSLALFVSII >DRNTG_23499.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21788926:21792434:1 gene:DRNTG_23499 transcript:DRNTG_23499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPSASASDPRQPAAAKVYVPPAVAPQDMPFDYSGFFAVIFGVAGFMLRYKICSWLAIIFSVQAISNMKNFENDFKQISMAMMFGIMGLVATYLGPARPAKTQS >DRNTG_05841.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31623366:31624630:-1 gene:DRNTG_05841 transcript:DRNTG_05841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNNRRLQQNYIVIVTFSCYYILFSTEIRT >DRNTG_29666.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1345324:1349200:-1 gene:DRNTG_29666 transcript:DRNTG_29666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVGFVAGKLGNLLAQEAINLYGVRGEMEWLQRELGRMQCFLKDADAKKNKGDDERVKHWVTEMRDLAFDAEDAIDTFMYYKLRRKHPGSMGFMKRFVFIFHELVSRHKVHVDVEGIKTKLQELSRSREVYGISNIGETIGTTSQCRSQGVIPILPQLSDDIDMVGFDAEKKRIVQELVDINNTNRSVISIVGMGGLGKTTLAKSVYNDHQVRRNFDIFAWVIISHQYTIFEILKGILSEKLETSSEDTVQTLSVKVCEKLKKGKYLVVLDDVWKAGVWNELLKVFPDANNGSRVIITTRFENVINIADPTTKLHRLRYLNEKESRELFLCKVFPGQDIETCCPTYLVDYTQQLVQRCGGLPLALVVLGGLVSTKRQTQDAWHKVLESMKGQFVEDGKWCLEILALSYNDLPYYLKSCFLYFGCFKEDMNIPAKTLIRLWLAEGFLPNKNGTTTEEIGFDCLEELEQRCLIQVTEQEYDEKYCRIHDLLRDMCISEAKESRFLELYKNDTEDCTTMANAARRMIIFNEIETQNYSNSKLRGLFYCDGYSERLHIKALKGHLARYKLLRVLILNTLDMSEFPSQIKSLIHLKYLELYAGNMKEVPSWIGDLRNLQTFIVKGILLEIPDSLWTIGNLRHVELSMSPHVDPPNMGNHVSKNLQTLKWVNAGSWIGNTLPKLTNLCELSIGGVSNDHADALSSSLQKLGRLASLSIQGRAIPSDNIITAFSNQHCLKKLLLDGSLNRKQLPHNDVFPQQLVELHLKFSKLEQDPMATLEKLPCLKYLELCGAYRSKQMICSAAGFPQLLSLDILCFSELEEWKIEEKAMSCLKSLKMLGCERLKMIPEGLKNVPLHQLKLLGMAEEFARRMKENTGEDWYKIQHVPNISLKY >DRNTG_14360.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:303394:307609:1 gene:DRNTG_14360 transcript:DRNTG_14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKQALVIPSNISILFLKTYLKSGDLQSARQLLDKIPQPDLRSLSLLISAYTDHGRPREAISIYSKLHSEKNLKPDGFVLLSLAKACAASEDLVKAMEIHRDVIRYGFSLDLPLANCLIDMYGKCGCVEGSWKVFDEMPDKDVVSWTTVISSYLNCGMTSSALGLFNDMVFVGAKPNSVTLSKVLPACSELRALNPGREIHCFAMRKGLDDNVFVSSGLVDMYAKCSSVSKARIIFNRIPEKDVVSWNVILAAYFLNGEHQEGMNLFKQMKDEGARVNSSSWNCMISGCVNTGNFKLAFDILSQMQHFGLKCNKVTLASILPAFTGPESLMFGRESHGYIIRHDFEKDVMLMTAILLMYAKCGDLEKSRKVFDAMTTKDTVTWNTMIFANSMHGCGDEVLSLFKRMIQLDVRPTPVTFMAVLSGCSHSHLVDESREIFNSMSRDYGIEPDTNHYACIVDVLSRSGYLKEAYEFIQSMPMQPTVGVWGALLSGCREYKNVEFGKIAAEKLFEIEPENSVRRHGFKLGDGDLEL >DRNTG_06882.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14243175:14244177:1 gene:DRNTG_06882 transcript:DRNTG_06882.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPLPNEQSRMKISKIHAVGIAKHCEIDNEAVVKRAECFNEVDLLNVCTKVGMSVICAECDYVIHEDFMKV >DRNTG_09301.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:891720:893655:1 gene:DRNTG_09301 transcript:DRNTG_09301.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCHKCGDLFTKWEALEAHHVSKHAVTELVEGDSSRRIVEIICRTSWLKTENSFGRIERVLKVHNMQKTLARFEEYREMVKNRASKLPKKHPRCLADGNELLRFYGTTIGCSLGMNGSSSLCVLEKCDVCRIIRHGFSAKKEMKGGVGVFTSSTSGRAFESVEIYEDADERCIRKALLVCRVIAGRVHKPLDNIQEVTSQSGFDSLAGKVGLHANIEELYLLNTRALLPCFVVICKP >DRNTG_09301.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:892041:893655:1 gene:DRNTG_09301 transcript:DRNTG_09301.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCHKCGDLFTKWEALEAHHVSKHAVTELVEGDSSRRIVEIICRTSWLKTENSFGRIERVLKVHNMQKTLARFEEYREMVKNRASKLPKKHPRCLADGNELLRFYGTTIGCSLGMNGSSSLCVLEKCDVCRIIRHGFSAKKEMKGGVGVFTSSTSGRAFESVEIYEDADERCIRKALLVCRVIAGRVHKPLDNIQEVTSQSGFDSLAGKVGLHANIEELYLLNTRALLPCFVVICKP >DRNTG_09301.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:892938:893788:1 gene:DRNTG_09301 transcript:DRNTG_09301.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTLARFEEYREMVKNRASKLPKKHPRCLADGNELLRFYGTTIGCSLGMNGSSSLCVLEKCDVCRIIRHGFSAKKEMKGGVGVFTSSTSGRAFESVEIYEDADERCIRKALLVCRVIAGRVHKPLDNIQEVTSQSGFDSLAGKVGLHANIEELYLLNTRALLPCFVVICKP >DRNTG_09301.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:892041:893788:1 gene:DRNTG_09301 transcript:DRNTG_09301.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCHKCGDLFTKWEALEAHHVSKHAVTELVEGDSSRRIVEIICRTSWLKTENSFGRIERVLKVHNMQKTLARFEEYREMVKNRASKLPKKHPRCLADGNELLRFYGTTIGCSLGMNGSSSLCVLEKCDVCRIIRHGFSAKKEMKGGVGVFTSSTSGRAFESVEIYEDADERCIRKALLVCRVIAGRVHKPLDNIQEVTSQSGFDSLAGKVGLHANIEELYLLNTRALLPCFVVICKP >DRNTG_09301.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:891720:893788:1 gene:DRNTG_09301 transcript:DRNTG_09301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHKCGDLFTKWEALEAHHVSKHAVTELVEGDSSRRIVEIICRTSWLKTENSFGRIERVLKVHNMQKTLARFEEYREMVKNRASKLPKKHPRCLADGNELLRFYGTTIGCSLGMNGSSSLCVLEKCDVCRIIRHGFSAKKEMKGGVGVFTSSTSGRAFESVEIYEDADERCIRKALLVCRVIAGRVHKPLDNIQEVTSQSGFDSLAGKVGLHANIEELYLLNTRALLPCFVVICKP >DRNTG_09301.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:892938:893655:1 gene:DRNTG_09301 transcript:DRNTG_09301.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTLARFEEYREMVKNRASKLPKKHPRCLADGNELLRFYGTTIGCSLGMNGSSSLCVLEKCDVCRIIRHGFSAKKEMKGGVGVFTSSTSGRAFESVEIYEDADERCIRKALLVCRVIAGRVHKPLDNIQEVTSQSGFDSLAGKVGLHANIEELYLLNTRALLPCFVVICKP >DRNTG_19752.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19379849:19381225:-1 gene:DRNTG_19752 transcript:DRNTG_19752.3 gene_biotype:protein_coding transcript_biotype:protein_coding WIASKLAGMGWGAKDQSSGRARGNFTCGMWRIW >DRNTG_19752.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19379794:19381225:-1 gene:DRNTG_19752 transcript:DRNTG_19752.2 gene_biotype:protein_coding transcript_biotype:protein_coding WIASKLAGMGWGAKDQSSGRARGNFTCGMWRIW >DRNTG_19752.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19379655:19381225:-1 gene:DRNTG_19752 transcript:DRNTG_19752.1 gene_biotype:protein_coding transcript_biotype:protein_coding WIASKLAGMGWGAKDQSSGRARGNFTCGMWRIW >DRNTG_17818.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15696841:15719848:1 gene:DRNTG_17818 transcript:DRNTG_17818.1 gene_biotype:protein_coding transcript_biotype:protein_coding LITEADGYQPHLIAPEQGYRRLIESSLVSIRGPAEAAVDAVHSILKELVHKAINETSELKQYPSLKVEVGNAAVDSLERMRNESKRATLKLVDMECCYLTVDFFRKLPQDAEKGGNPTHSIFDRYNDSYLRRIGTTVLSYVNMVCAS >DRNTG_17818.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15717458:15719848:1 gene:DRNTG_17818 transcript:DRNTG_17818.2 gene_biotype:protein_coding transcript_biotype:protein_coding TVHSILKELVHKAINETSELKQYPSLKVEVGNAAVDSLERMRNESKRATLKLVDMECCYLTVDFFRKLPQDAEKGGNPTHSIFDRYNDSYLRRIGTTVLSYVNMVCAS >DRNTG_01100.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21469865:21472790:1 gene:DRNTG_01100 transcript:DRNTG_01100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYVDPLVSHLKNMLGYRKFRRGSKADLDELLKMEKAENPMRIVYCFGISHEHPGTFILSYIRNANPHHEYIGLYPKGFRFRKRDFEDIDRLVAYFQRNIDKPPDAGPSLRTVAAMVPMKSPASGGSAGGDWAGSNNDWRGSSHPDRERSSTPNSRTGGRYGGRDHPSGLPRSGRGFGRGRNNEGRDSGFGSGGWGSGPKNNDDGGMNSFPGAKVQNSPGREMFPGGWSGGNGGRRGGGDNATGNGGGDSRWGGSRDSNRVGRAAGGGRDSGNGGW >DRNTG_01100.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21469865:21472790:1 gene:DRNTG_01100 transcript:DRNTG_01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEYMLTKTLLRVGKDHKDITSLLRLGKTLTIDKENFEDLDEVMDRYVDPLVSHLKNMLGYRKFRRGSKADLDELLKMEKAENPMRIVYCFGISHEHPGTFILSYIRNANPHHEYIGLYPKGFRFRKRDFEDIDRLVAYFQRNIDKPPDAGPSLRTVAAMVPMKSPASGGSAGGDWAGSNNDWRGSSHPDRERSSTPNSRTGGRYGGRDHPSGLPRSGRGFGRGRNNEGRDSGFGSGGWGSGPKNNDDGGMNSFPGAKVQNSPGREMFPGGWSGGNGGRRGGGDNATGNGGGDSRWGGSRDSNRVGRAAGGGRDSGNGGW >DRNTG_32796.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12296092:12296621:-1 gene:DRNTG_32796 transcript:DRNTG_32796.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVTNPYSGAGKVSTALDREARVMRYREKRKNRRFEKTIRYASRKAYAETRPRIKGRFAKRSEIEPEVDHLFSAVSAAAALIADPGYGVVPSF >DRNTG_28208.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6396575:6399503:1 gene:DRNTG_28208 transcript:DRNTG_28208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYEGLFDQEEGNEEVMMLGSTEEVPSTPGILKKVLRKMKRARRRHRKHSKAIGDVREPKKLDEPLLGEQAHECVNMFRDFLQSLQIFLRHQEKTHGRVEFLHARGFTLRAYPKKAQGRAAASVNDHATVTHPWVISVRDV >DRNTG_29530.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2108012:2111276:-1 gene:DRNTG_29530 transcript:DRNTG_29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSPSPKEEQIEGGVVDLVSGNEHNKTKKAVGFIPLDIIIDILSRVPGSSLIRFRLVCKLWHSLTYDPRLVDLHLDRASRVAPPRVMLFFQNEEQSQRKFHFNMLEDTWKPRNGLTSDSDGILSTPPCNGLVCLYDYHHNIRLCNPTTREFLHLPRPTRNSKSVLSSFPKCFLGFHPVTKKYKVVRFFYHQMNHVTESYDLGCEVFTLGTWSWKYVGSIDCYLTGQGINANGYLYWTKGVNTVIPDQIVAFDLESEKFNSIAVPDFLSYQIIVQGAMFLVQLEGKLCVVNAPYDSPGSMDAWMLEDPINNVWIHRFSISLHSIPGYRRRPEPVFIHNGRVLLRWLEKLFYRSLDNEQEDTECVYTDNCLNSSAKAYAFVESLVSLDSENHHSFIS >DRNTG_29530.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2108012:2111015:-1 gene:DRNTG_29530 transcript:DRNTG_29530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFQNEEQSQRKFHFNMLEDTWKPRNGLTSDSDGILSTPPCNGLVCLYDYHHNIRLCNPTTREFLHLPRPTRNSKSVLSSFPKCFLGFHPVTKKYKVVRFFYHQMNHVTESYDLGCEVFTLGTWSWKYVGSIDCYLTGQGINANGYLYWTKGVNTVIPDQIVAFDLESEKFNSIAVPDFLSYQIIVQGAMFLVQLEGKLCVVNAPYDSPGSMDAWMLEDPINNVWIHRFSISLHSIPGYRRRPEPVFIHNGRVLLRWLEKLFYRSLDNEQEDTECVYTDNCLNSSAKAYAFVESLVSLDSENHHSFIS >DRNTG_23805.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29628497:29628844:-1 gene:DRNTG_23805 transcript:DRNTG_23805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGGHGLMPPGGHRKRKDRDSSDPPRAPPQPPSQAELKHEPVAGNRLLAGYLAHEFLTKGTLFGNRPGPEPNRKPDRAHQPKTYADVARVFKTDGVHVPGVVNPTQLARWLQM >DRNTG_27478.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26461817:26469313:1 gene:DRNTG_27478 transcript:DRNTG_27478.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLTLESSEIESMDMETIRSRFNQLSGLRAGALDFLSDSTNFDSKKLLEDCLLNFQRRMELIDSEAPNIAALRSEDLGDYIEQLKKELHSAQDENVKLCSGISTLTKAISADTAEFGANLESLSFLLNVIDSQGQQLDDKDPPADMGTLGFIDEGAIVLREDHKFKILELSGQLEKSKSNLSTLEYLDFALKRVEAIGQIEELLSDVRVIDFEGNHIRLLLKTPIPSIDSFPLQYNSACSTEPSVVDHELMIEVSEKTIAPMNLEIFPADVYVDEIMHSAKSSRHISTMMFSSYLGWLVRQVQKRILICTIRRYLVRDANKSRYSFEYSDRDETITAHMVGGIDAFIKTSQGWPLSSGSLTLISMKSSDDRSRSISLSFLCKVKDLANSLDTQIRRKLTSFIDAIEEILVREMRSELH >DRNTG_27478.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26461817:26468419:1 gene:DRNTG_27478 transcript:DRNTG_27478.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLTLESSEIESMDMETIRSRFNQLSGLRAGALDFLSDSTNFDSKKLLEDCLLNFQRRMELIDSEAPNIAALRSEDLGDYIEQLKKELHSAQDENVKLCSGISTLTKAISAGSAA >DRNTG_25647.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21770223:21776750:-1 gene:DRNTG_25647 transcript:DRNTG_25647.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALBINO3-like protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G24490) UniProtKB/Swiss-Prot;Acc:Q9FYL3] MQPSQVNDPSQKSAQAVTKLLPLMIGYFALSVPSGLSLYWLTNNILSTAQQIWLQKLGGAKNPVKQFSDRAAKEESFNMQSSLSEIQKSVQDLEIDKSKDSQREKVNSGGLQPGERFKQIKEQEARRRKQREEQRLKEAEEVSFVINDQQNLDNKLVEKKIEQINGNPKPTLEENNLEKDIPVESDGESNNHAGPRVTDESSPHGDA >DRNTG_25647.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21770223:21781519:-1 gene:DRNTG_25647 transcript:DRNTG_25647.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALBINO3-like protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G24490) UniProtKB/Swiss-Prot;Acc:Q9FYL3] MASLLHSFQTLRSPLSLYPSSGGAMAVAPLSHRPHLGFFTKSDSSSPFLRRDCVVARFSPGPMLPDLESVDELVREVLGRAEGVIYTIADAAVSSSDAVAAGQGSKQSADWLSGITNTLESVLKVLKDALSALHVPYSYGFAIILLTVIVKAATFPLTKKQVESALAMRSLQPQVKAIQQRYAGDQERIQLETARVYKLAGVNPLAGCLPTLLTIPVWIGLYRALSNVADEGLLTEGFFWIPSLSGPTTIAARQSGSGISWLFPFIDGHPPLGWSDTLAYLVLPVLLIASQYISAQVMQPSQVNDPSQKSAQAVTKLLPLMIGYFALSVPSGLSLYWLTNNILSTAQQIWLQKLGGAKNPVKQFSDRAAKEESFNMQSSLSEIQKSVQDLEIDKSKDSQREKVNSGGLQPGERFKQIKEQEARRRKQREEQRLKEAEEVSFVINDQQNLDNKLVEKKIEQINGNPKPTLEENNLEKDIPVESDGESNNHAGPRVTDESSPHGDA >DRNTG_16049.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25867861:25869883:1 gene:DRNTG_16049 transcript:DRNTG_16049.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKGVKSLALFPAKFQKSFWIKRGSYVVVDDSGREKALESGSKIACVVSQVLFHEQVRALEKSSQWPTIFQTKTANECKDLPEPSRSEIDHELSSDDEDGLPPLEANLNRNNPLELYSDSDSCVDSDGS >DRNTG_16049.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25867861:25869883:1 gene:DRNTG_16049 transcript:DRNTG_16049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGRKNLKKAVEEDGLALQEGQSIMQVVSLRGSNLIEVMDAKGVKSLALFPAKFQKSFWIKRGSYVVVDDSGREKALESGSKIACVVSQVLFHEQVRALEKSSQWPTIFQTKTANECKDLPEPSRSEIDHELSSDDEDGLPPLEANLNRNNPLELYSDSDSCVDSDGS >DRNTG_00988.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6711126:6712297:1 gene:DRNTG_00988 transcript:DRNTG_00988.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGTINIFSASDPEKTVTTFSGHMKSVSSLAFLHQSGQKIILSSSYDGLIVRWMQGVGYVGKLQRKDSTQIKCFAAVEEELVTSGFDNKVWRIPLNGDKCGEAVHVDV >DRNTG_31357.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22527578:22533120:-1 gene:DRNTG_31357 transcript:DRNTG_31357.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydropyrimidinase [Source:Projected from Arabidopsis thaliana (AT5G12200) UniProtKB/Swiss-Prot;Acc:Q9FMP3] MPGGIDPHTHLAMEFMGTETIDDFFSGQAAALAGGTTMHIDFVIPVNGNLSAGFDLYMNKAKNSVMDYGFHMAVTKWDETVSEDMQVLVNEKGINSFKFFMAYKGSFMINDELLLQALERCKNLGALPMVHAENGDAVAEGQRKMIELGITGPKGHALSRPPLFEGEATARAIRLARFVNTPLYVVHVMSIDATEEIARAKMSGQKVIGEPVVSGLVLNDSWLWNPDFAIAAQ >DRNTG_31357.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22527578:22533120:-1 gene:DRNTG_31357 transcript:DRNTG_31357.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydropyrimidinase [Source:Projected from Arabidopsis thaliana (AT5G12200) UniProtKB/Swiss-Prot;Acc:Q9FMP3] MAPFPPSTPLHLFLFFSISISILPISESQQLQGVCGLEWDPALCSTSGKILIKGGTVVNAHNKEVADVYIQDGVIASVRPNIIVSDDVTILDATGKYVMPGGIDPHTHLAMEFMGTETIDDFFSGQAAALAGGTTMHIDFVIPVNGNLSAGFDLYMNKAKNSVMDYGFHMAVTKWDETVSEDMQVLVNEKGINSFKFFMAYKGSFMINDELLLQALERCKNLGALPMVHAENGDAVAEGQRKMIELGITGPKGHALSRPPLFEGEATARAIRLARFVNTPLYVVHVMSIDATEEIARAKMSGQKVIGEPVVSGLVLNDSWLWNPDFAIAAQYVMSPPIRKSGHDKSLQA >DRNTG_31357.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22527578:22533120:-1 gene:DRNTG_31357 transcript:DRNTG_31357.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydropyrimidinase [Source:Projected from Arabidopsis thaliana (AT5G12200) UniProtKB/Swiss-Prot;Acc:Q9FMP3] MPGGIDPHTHLAMEFMGTETIDDFFSGQAAALAGGTTMHIDFVIPVNGNLSAGFDLYMNKAKNSVMDYGFHMAVTKWDETVSEDMQVLVNEKGINSFKFFMAYKGSFMINDELLLQALERCKNLGALPMVHAENGDAVAEGQRKMIELGITGPKGHALSRPPLFEGEATARAIRLARFVNTPLYVVHVMSIDATEEIARAKMSGQKVIGEPVVSGLVLNDSWLWNPDFAIAAQYVMSPPIRKSGHDKSLQA >DRNTG_33118.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32830048:32830326:1 gene:DRNTG_33118 transcript:DRNTG_33118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDIKFHDVQGKNYRLAIPKLNKEIVPQKCKVLVKPTKVIVTLFKASKGNWLDLHLKEDKVIHCN >DRNTG_17670.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24170855:24171417:-1 gene:DRNTG_17670 transcript:DRNTG_17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIYAPVASVPSSEVRLKNKKASNRSPEGSLHSSDRGSHEDNSVADNGATNSTSPSTSSSSQTTTVSPPY >DRNTG_25223.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20071346:20074034:-1 gene:DRNTG_25223 transcript:DRNTG_25223.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSSVSSTPRTVEEIYKDYSGRRSAIVRALTHDVDEFYSLCDPDKENLCLYGHPNESWEVTLPAEEVPPELPEPALGINFSRDGMQRRDWLSLVAVHSDSWLLSVAFYQGARLNRNERKRLFSMINDLPTVFEMVTDRKHQKDKGMDSGSKSKLSAKRSSDGQIKSHSKPVDDDFGEDDDEHSETLCGTCGGNYNADEFWIGCDVCERWFHGKCVKITPAKAESIKQYKCPNCSSKRGRP >DRNTG_25223.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20071346:20073991:-1 gene:DRNTG_25223 transcript:DRNTG_25223.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSSVSSTPRTVEEIYKDYSGRRSAIVRALTHDVDEFYSLCDPDKENLCLYGHPNESWEVTLPAEEVPPELPEPALGINFSRDGMQRRDWLSLVAVHSDSWLLSVAFYQGARLNRNERKRLFSMINDLPTVFEMVTDRKHQKDKGMDSGSKSKLSAKRSSDGQIKSHSKPVDDDFGEDDDEHSETLCGTCGGNYNADEFWIGCDVCERWFHGKCVKITPAKAESIKQYKCPNCSSKRGRP >DRNTG_25223.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20071346:20073891:-1 gene:DRNTG_25223 transcript:DRNTG_25223.11 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRDWLSLVAVHSDSWLLSVAFYQGARLNRNERKRLFSMINDLPTVFEMVTDRKHQKDKGMDSGSKSKLSAKRSSDGQIKSHSKPVDDDFGEDDDEHSETLCGTCGGNYNADEFWIGCDVCERWFHGKCVKITPAKAESIKQYKCPNCSSKRGRP >DRNTG_25223.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20071346:20073844:-1 gene:DRNTG_25223 transcript:DRNTG_25223.12 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSSVSSTPRTVEEIYKDYSGRRSAIVRALTHDVDEFYSLCDPDKENLCLYGHPNESWEVTLPAEEVPPELPEPALGINFSRDGMQRRDWLSLVAVHSDSWLLSVAFYQGARLNRNERKRLFSMINDLPTVFEMVTDRKHQKDKGMDSGSKSKLSAKRSSDGQIKSHSKPVDDDFGEDDDEHSETLCGTCGGNYNADEFWIGCDVCERWFHGKCVKITPAKAESIKQYKCPNCSSKRGRP >DRNTG_25223.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20070751:20073891:-1 gene:DRNTG_25223 transcript:DRNTG_25223.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSSVSSTPRTVEEIYKDYSGRRSAIVRALTHDVDEFYSLCDPDKENLCLYGHPNESWEVTLPAEEVPPELPEPALGINFSRDGMQRRDWLSLVAVHSDSWLLSVAFYQGARLNRNERKRLFSMINDLPTVFEMVTDRKHQKDKGMDSGSKSKLSAKRSSDGQIKSHSKPVDDDFGEDDDEHSETLCGTCGGNYNADEFWIGCDVCERWFHGKCVKITPAKAESIKQYKCPNCSSKRGRP >DRNTG_25223.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20071346:20073891:-1 gene:DRNTG_25223 transcript:DRNTG_25223.10 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSSVSSTPRTVEEIYKDYSGRRSAIVRALTHDVDEFYSLCDPDKENLCLYGHPNESWEVTLPAEEVPPELPEPALGINFSRDGMQRRDWLSLVAVHSDSWLLSVAFYQGARLNRNERKRLFSMINDLPTVFEMVTDRKHQKDKGMDSGSKSKLSAKRSSDGQIKSHSKPVDDDFGEDDDEHSETLCGTCGGNYNADEFWIGCDVCERWFHGKCVKITPAKAESIKQYKCPNCSSKRGRP >DRNTG_25223.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20071237:20073891:-1 gene:DRNTG_25223 transcript:DRNTG_25223.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRDWLSLVAVHSDSWLLSVAFYQGARLNRNERKRLFSMINDLPTVFEMVTDRKHQKDKGMDSGSKSKLSAKRSSDGQIKSHSKPVDDDFGEDDDEHSETLCGTCGGNYNADEFWIGCDVCERWFHGKCVKITPAKAESIKQYKCPNCSSKRGRP >DRNTG_25223.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20071237:20073891:-1 gene:DRNTG_25223 transcript:DRNTG_25223.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSSVSSTPRTVEEIYKDYSGRRSAIVRALTHDVDEFYSLCDPDKENLCLYGHPNESWEVTLPAEEVPPELPEPALGINFSRDGMQRRDWLSLVAVHSDSWLLSVAFYQGARLNRNERKRLFSMINDLPTVFEMVTDRKHQKDKGMDSGSKSKLSAKRSSDGQIKSHSKPVDDDFGEDDDEHSETLCGTCGGNYNADEFWIGCDVCERWFHGKCVKITPAKAESIKQYKCPNCSSKRGRP >DRNTG_25223.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20071237:20073991:-1 gene:DRNTG_25223 transcript:DRNTG_25223.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSSVSSTPRTVEEIYKDYSGRRSAIVRALTHDVDEFYSLCDPDKENLCLYGHPNESWEVTLPAEEVPPELPEPALGINFSRDGMQRRDWLSLVAVHSDSWLLSVAFYQGARLNRNERKRLFSMINDLPTVFEMVTDRKHQKDKGMDSGSKSKLSAKRSSDGQIKSHSKPVDDDFGEDDDEHSETLCGTCGGNYNADEFWIGCDVCERWFHGKCVKITPAKAESIKQYKCPNCSSKRGRP >DRNTG_25223.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20071237:20073844:-1 gene:DRNTG_25223 transcript:DRNTG_25223.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSSVSSTPRTVEEIYKDYSGRRSAIVRALTHDVDEFYSLCDPDKENLCLYGHPNESWEVTLPAEEVPPELPEPALGINFSRDGMQRRDWLSLVAVHSDSWLLSVAFYQGARLNRNERKRLFSMINDLPTVFEMVTDRKHQKDKGMDSGSKSKLSAKRSSDGQIKSHSKPVDDDFGEDDDEHSETLCGTCGGNYNADEFWIGCDVCERWFHGKCVKITPAKAESIKQYKCPNCSSKRGRP >DRNTG_25223.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20070751:20073991:-1 gene:DRNTG_25223 transcript:DRNTG_25223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSSVSSTPRTVEEIYKDYSGRRSAIVRALTHDVDEFYSLCDPDKENLCLYGHPNESWEVTLPAEEVPPELPEPALGINFSRDGMQRRDWLSLVAVHSDSWLLSVAFYQGARLNRNERKRLFSMINDLPTVFEMVTDRKHQKDKGMDSGSKSKLSAKRSSDGQIKSHSKPVDDDFGEDDDEHSETLCGTCGGNYNADEFWIGCDVCERWFHGKCVKITPAKAESIKQYKCPNCSSKRGRP >DRNTG_25223.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20070751:20073844:-1 gene:DRNTG_25223 transcript:DRNTG_25223.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSSVSSTPRTVEEIYKDYSGRRSAIVRALTHDVDEFYSLCDPDKENLCLYGHPNESWEVTLPAEEVPPELPEPALGINFSRDGMQRRDWLSLVAVHSDSWLLSVAFYQGARLNRNERKRLFSMINDLPTVFEMVTDRKHQKDKGMDSGSKSKLSAKRSSDGQIKSHSKPVDDDFGEDDDEHSETLCGTCGGNYNADEFWIGCDVCERWFHGKCVKITPAKAESIKQYKCPNCSSKRGRP >DRNTG_04725.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8236537:8239431:-1 gene:DRNTG_04725 transcript:DRNTG_04725.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:Projected from Arabidopsis thaliana (AT2G40880) UniProtKB/TrEMBL;Acc:A0A178VXG7] MAAPMLGGIKDLPENENSAEIDDLARFAVQEHNKKQNTLLEFMKVKRARVQVVAGNMYYLDVEVNNGGKKEVYEAKIWVKLWENFKELQEFKPVGDSSS >DRNTG_07328.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2551527:2551896:1 gene:DRNTG_07328 transcript:DRNTG_07328.1 gene_biotype:protein_coding transcript_biotype:protein_coding HHHHHCPHGGKHAAKPEHQHHHCPPLLDLSSASFNSIYSFTSSIHFAKTSSSMICCFLSSSSVPAAAPPIWVWNHLQ >DRNTG_35134.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1200291:1202696:1 gene:DRNTG_35134 transcript:DRNTG_35134.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVTYEDLQPDILRIANGDRSPILCSRPISEFLTSSGTSGGERKLMPTIADELDRRSLLYSLLMPVMNKFVPGLDKGKGMYLLFVKSEARTPGGLLARPVLTSYYKSRHFIERPFDPFNVYTSPNESILCPDSYQSMYTQLLCGLMQNTEVLRVGAVFASGFIRAIRFLEKHWPRLARDIRTGTLDPEITDRSVRDAVMKVLKPNASLADYIETECGRDSWHRIIPRLWPNTRYIDVIVTGAMSQYIPTLDFYSGGLPLACTMYASSECYFGLNLNPMNKPNDVSYTLIPTMAYFEFLPLNRTGSADLDHRDLVDLVNVKLGHEYELVVTTYSGLYRYRVGDVLRVAGFKNKAPQFTFVRRKNVALSIDSDKTDEVELHAAVRNAVNHLEPFGATLFEYTSYGDTSSIPGHYVLYWELRLGGSTAIPPSVFEDCCLTVEESLNSVYRQGRAADGSIGALELKIVEEGTFDKLMDYALSQGASINQYKAPRCVKAMPVVELLNAKVKSNYFSPKCPKWTPGHKQWNKEN >DRNTG_15546.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9078738:9089096:1 gene:DRNTG_15546 transcript:DRNTG_15546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHDVCPGEPKQLLVEYTYGGQKFEMTWDQ >DRNTG_31598.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:628930:631011:-1 gene:DRNTG_31598 transcript:DRNTG_31598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLPAQPPYGLFSNQFLHEHGRHLFACAAAWLIVDIPFYSSTLFQSHIYHKWVEKANVNPFQETYNVAKLQAIIAICSTIPGYWAAVYSIDRIGRRKLQMLGFFFMAVFLFALAGPYDVYWNDHTNGWFMVLYGLTFFFSNFGPNTTTFIIPAELFPARLRSTCHGISGAAGKVGAIIGTLGFLWASQDKSKDNNRNNGWKPGIGMTNSLIILGSICVLGLVLTYFLTPETKGKSLESNESEENQPSTIKTETDQNNEEIIELGICTPSPIAEAPLWWR >DRNTG_22829.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14776590:14780448:-1 gene:DRNTG_22829 transcript:DRNTG_22829.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTLRCHEASYKNLEHQLGGILDTLSKEQQAFEQAIHVPCRDDVVVNDNEEVGQIEYIGVENEKGEVEYHFEILDSVNEDCACERENFQGDLLVSYSFQAENTQEEVNPKVMEQAPLFGIDQFLNCKKEILGLGEDVGRRLKPSNYPPMLSLDNSQTKLFPWRPKMVLSSWELRLSHGHLQGASALGRVSFTTRWNTTPNELASSKRNTRSQGKAKNPHGRVEIIHGPVEIPHGRVYHPLPCNRPIRPYLKVDSAPIFSILFLHIFPQLAEGFG >DRNTG_33272.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23907716:23909882:1 gene:DRNTG_33272 transcript:DRNTG_33272.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair RAD52-like protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G47870) UniProtKB/Swiss-Prot;Acc:Q9FIJ4] MESVAFISRSSPLLPSRELRLFSGGAGSTIIGKRSRLGLVIAASSADKNGANGKKGVSGVPNTNYVVPFDKASTLTRPLAEILRDLNKRVPDKIINPDDNSIAWYHVNRMLSFYAPGWCGEIRDVIFSENGSVTVLYRVTIRGSDGEAHRESTGTVSSNDTRFNDHVAAAEQVAFCRACARFGFGLYLYHEDEVL >DRNTG_33309.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23700508:23702332:-1 gene:DRNTG_33309 transcript:DRNTG_33309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGANDDASREVSVLDEEEDTDEWKKVALMRAFVEKQDSAAKEEDDYMLRRFLRARELDLDKASNLFLKYLKWKKENIPKGFISESEVQNEISHKKMFMQGLDKKGRPIGVALAVKHYSSKRDLEEFKRFVVYILGKLCDRMPRGQEKFTVIGDLQGWGYSNCDIRAYLAALDILQNNYPERLGKVYLVHVPYLFMKAYKIIYPFIDKRTREKIIFVEDKNLKETLLEDIDEDQLPEIYGGKAPLVPIEESLTAE >DRNTG_33309.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23700508:23702332:-1 gene:DRNTG_33309 transcript:DRNTG_33309.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGANDDASREVSVLDEEEDTDEWKKVALMRAFVEKQDSAAKEEDDYMLRRFLRARELDLDKASNLFLKYLKWKKENIPKGFISESEVQNEISHKKMFMQGLDKKGRPIGVALAVKHYSSKRDLEEFKRFVVYILGKLCDRMPRGQEKFTVIGDLQGWGYSNCDIRAYLAALDILQVSRFQLISSLPFFIPY >DRNTG_05914.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5025357:5027924:-1 gene:DRNTG_05914 transcript:DRNTG_05914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQMGKKRKFVADGVFFAELIEVLTRELADDGYSGVEVRVTPMRTEIIRATRTQNVLGYC >DRNTG_05914.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5025406:5027869:-1 gene:DRNTG_05914 transcript:DRNTG_05914.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATQMGKKRKFVADGVFFAELIEVLTRELADDGYSGVEVRVTPMRTEIIRATRTQNVLGADPFLLLFSFGF >DRNTG_05914.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5025357:5027869:-1 gene:DRNTG_05914 transcript:DRNTG_05914.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATQMGKKRKFVADGVFFAELIEVLTRELADDGYSGVEVRVTPMRTEIIRATRTQNVLGYC >DRNTG_05914.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5025406:5027869:-1 gene:DRNTG_05914 transcript:DRNTG_05914.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATQMGKKRKFVADGVFFAELIEVLTRELADDGYSGVEVRVTPMRTEIIRATRTQNVLGYC >DRNTG_05914.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5025406:5027869:-1 gene:DRNTG_05914 transcript:DRNTG_05914.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATQMGKKRKFVADGVFFAELIEVLTRELADDGYSGVEVRVTPMRTEIIRATRTQNVLGADPFLLLFSFGF >DRNTG_05914.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5025406:5027924:-1 gene:DRNTG_05914 transcript:DRNTG_05914.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQMGKKRKFVADGVFFAELIEVLTRELADDGYSGVEVRVTPMRTEIIRATRTQNVLGYC >DRNTG_25114.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:902669:903490:1 gene:DRNTG_25114 transcript:DRNTG_25114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDSSARYASHSPASSTNVSMSACLSFGNLADGTCRKPRWYQWGFTVPH >DRNTG_08547.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17342883:17349429:-1 gene:DRNTG_08547 transcript:DRNTG_08547.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aldehyde dehydrogenase 11A3 [Source:Projected from Arabidopsis thaliana (AT2G24270) TAIR;Acc:AT2G24270] MAGSGVFEEIFDGEVFKYYVDGEWRKSSSGKSVAIVNPTTRKTQYRVQACTQEEVNKAIDLAKVAQKSWARTPLWKRAELLHRAAAILKEHKAPIAECLVKEIAKPAKDAVTEVVRSGDLVSYTAEEGVRILGEGKFLVSDSFPGNERSKYCLTSKIPLGVILAIPPFNYPVNLAVSKIGPALIAGNALVLKPPTQGAVAALHMVHCFHLAGFPKGLISCITGKGSEIGDFLTMHPGINCISFTGGDTGVAISKKAGMVPLQMELGGKDACIVLEDADLDLVASNIVKGGFSYSGQRCTAVKVVLVMESVADALVEKVNAKVAKLSVGAPEEDSDITPVVSESSANFIEGLVVDAKEKGATFCQEYKREGNLIWPLLLDNVRPDMRIAWEEPFGPVLPVIRISSVDEGIHHCNASNFGLQGCVFTKDINKAMMISDAMETGTVQINSAPARGPDHFPFQGIKDSGIGSQGITNSINMMTKIKSTVINLPSPTYTMG >DRNTG_15415.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4867728:4869185:-1 gene:DRNTG_15415 transcript:DRNTG_15415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKQPHKLVPLWISQFTPKKAGGARKPEIVFVAPTGEEIKSKRQLDQYLRSNPGGPPSSEFDWGTGDTPRRSARISEKAKATESPEAERPKKRERKSSSKKGNKEDKDGVDKEDEAATKAPPAQEDGEKVNEAATEEKAAADEAATKAPPAQEDGEKVNEAATEEKAAADDAEAKEDKNPTDSEMKDSEKPVKDDKEETSDIKDNDATGSDPADKTEVNVQDKAAAVEVPQQTTEKEKSEKGIPAAEADAKENKSADQEAKDLPEGGDNKNDQ >DRNTG_23486.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001265.1:5466:7226:-1 gene:DRNTG_23486 transcript:DRNTG_23486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNIEVVASEPLLLPERKKSISLMFFRFICVVLLAVAACYLFVSNFSSWTQEFEKEVCDLSAGKWISKPEGPSYTDESCDYMASYTNCLKNGRPNRDFLYWKWQPNGCDSPPFDPLKFLNAMRNKSFALIGDSIFRNHARSLLCLISPVAKPQEIYHDRTNTGTFYYPEYNFTIYDIWSPFLVSYKLEAYLPTMEVYLDKLDSKWTEKYDKYDYMLMSGSHWFYKRTIIYDNNKVIGCHYCPDLGLKDIDSDVVYRKALELTFKFITTSEHKPFVILRTWSPTHYEDGEFPGSRICNRTEPFKEGEINGDPTDLSMRNVEVEEFEKAAAIGERNGVKIKLLDTYHLSLLRPDGHPGAYRNYHPFDSGKKDVENDCLHWCVPGPIDTWNELLMKIAIP >DRNTG_07082.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2060239:2062978:1 gene:DRNTG_07082 transcript:DRNTG_07082.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIQIQRLKECIDSDEDPQILISDFLKSLSILRSVRHQYSKTIAQAEDIIAEAFVRLGEFGPALQHCQESIEILKKLFRRNHIVIGNELIKLSSIQISAGDRAAALSVIKRIEEIFSLYYGSHLVNVCPHVVRLQEEVINLN >DRNTG_07082.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2049410:2062978:1 gene:DRNTG_07082 transcript:DRNTG_07082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVKSPLQDGNYEPFPEHKHECGGSPWSVVLPLDVVLAGRVLAKLIDKRRLSGETCKPVQNLVLAHNYLQIPLKSKLEMHIYGVVLSCCLKHHYGSDYPFTGSSVSQLVLLLAKIKVNSMAVVHMKSLDGYRGFKKSISHSHSRNAFTCSFEQIRVGQALYLNGSFFNHSCQPNVHSYFLSRRLYLRTTEYVHGGYPLEISYGPQVGEWDLHDRQELLKEQYSFECRCTGCSQLNLSDLVLNAFKCAKANCPGAVTEKTFFDILEDDSVQISDAYSSFKLSLPACHFDTVHGQYITEVASRLLKGRSAAHHINQGCCLSCGTDLDIESSSTVSKNAMIQIQRLKECIDSDEDPQILISDFLKSLSILRSVRHQYSKTIAQAEDIIAEAFVRLGEFGPALQHCQESIEILKKLFRRNHIVIGNELIKLSSIQISAGDRAAALSVIKRIEEIFSLYYGSHLVNVCPHVVRLQEEVINLN >DRNTG_07082.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2049410:2060156:1 gene:DRNTG_07082 transcript:DRNTG_07082.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKLMIPDDLKRMISESTPENLTSICSSLLDFFLSLPEFQRVVEELTDTELGLCRKSKESTLDFKRKGNECFSKRDYVKAINFYSQALRYAPMDAEDMGKNLVAVLYVNRASSMHNAGLLEESLRDCDRAIAIMPSYVKAWFRRGKASALLKNYEGAIRDFEVALHMENSTSGKGQIKEELEMILSYSNKTHGIDNPCNVPKWKEMDSSAERSPAALLCVSTPSKGRGMTSVNDIPPGSLVHSEEPLAA >DRNTG_25342.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22288221:22306383:-1 gene:DRNTG_25342 transcript:DRNTG_25342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQVSYLQKTDVMLNGKNYKAWSSTLRVLLRGLNLWGHVDGTRPPPVSSSAASSGSSSSTITQ >DRNTG_33280.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23871341:23874065:-1 gene:DRNTG_33280 transcript:DRNTG_33280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ASHR2 [Source:Projected from Arabidopsis thaliana (AT2G19640) UniProtKB/Swiss-Prot;Acc:Q9ZUM9] MATATAAAAVVVEVAAAASPEPLVKLAEIPGRGRALVATRAIKPGEVLLSESPLLLYPATIASNYCSQCYRSLSAGGHRCLAGPPPSPWLSETIGRLRSHVGEPDLFSQACFLAAAYDLAAFSPSSFSLFLSLQGSHTDPSASVLHALLSSLAPSPLPPGFSPDLTAALLAKDKLNAFGLMEPFREEDSGERRVRAYGIYPKASFFNHDCLPNACRFDYVDGDGENNTAIVVRAIHEIPEGREVCLSYFPVNWNYKERQATLLQDYGFKCECDRCQVEKNWKDEDEDQEEEIVESMDEEDGMEGMEEDNGGVGGEDDGDFPHAYFFIRYVCDRENCGGTLAPLPPAPGGTTSSVMECNVCGRCKTDDGFGGDASMLDE >DRNTG_25280.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31562503:31566919:1 gene:DRNTG_25280 transcript:DRNTG_25280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKISWLLGVVALVLIMSWVHGFEVTAAPSVNPLLVGLTLIPSAADKGAGYHLHRGSGSGVNSWLIQLEGGGWCNDISTCVFRKKTRRGSSNLMEKVVEFTGILSNKPEENPGFYNWNRVKVRYCDGASFSGEGYNQAAGLFFRGQRIWTAAMEDLMSIGMRNADQALLSGCSAGGLASMLHCDEFRSLLPGTKVKCLADAGLFLDAVDVAGGHTLRSFFEGVVNLQGVSVNLPAACMNHMDATSCFFPENLLAYIQTPLFLLNAAYDVWQLQESLATKRADPHGYWQECKLNNANCNSSQIQFLNGFRNQMLNSVKEFSSSKENGLFINSCFAHCQTERQDTWFAKDSPRLANKEIATSVGEWYFDQAGVQAIDCQYPCDKTCHNLVFSRNHH >DRNTG_25280.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31562503:31566919:1 gene:DRNTG_25280 transcript:DRNTG_25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISWLLGVVALVLIMSWVHGFEVTAAPSVNPLLVGLTLIPSAADKGAVCLDGTLPGYHLHRGSGSGVNSWLIQLEGGGWCNDISTCVFRKKTRRGSSNLMEKVVEFTGILSNKPEENPGFYNWNRVKVRYCDGASFSGEGYNQAAGLFFRGQRIWTAAMEDLMSIGMRNADQALLSGCSAGGLASMLHCDEFRSLLPGTKVKCLADAGLFLDAVDVAGGHTLRSFFEGVVNLQGVSVNLPAACMNHMDATSCFFPENLLAYIQTPLFLLNAAYDVWQLQESLATKRADPHGYWQECKLNNANCNSSQIQFLNGFRNQMLNSVKEFSSSKENGLFINSCFAHCQTERQDTWFAKDSPRLANKEIATSVGEWYFDQAGVQAIDCQYPCDKTCHNLVFSRNHH >DRNTG_14423.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:18176031:18221750:1 gene:DRNTG_14423 transcript:DRNTG_14423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAKVLWHLAINKTHALRTLSSITWGVLRACDIIHARAVARFQPYLKPISAPISALFSTSFPQLVKGLRLGFRGVLAKVLEKFYGSDILIPLGRKLVGELRSRRILYHTKEFLDDE >DRNTG_09472.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1446879:1449542:-1 gene:DRNTG_09472 transcript:DRNTG_09472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPSLPSEKKHWWLSNKKVVEKYLRDARVLIASQQTADVTAALGLIEAALVLAPRLETTLELKARSLLFLRRFRDVADMLQDYIPSFRAAGDDDSGTSLSGAGSAEQLLPRERAKLLSSGEERSDGERSFRCFSVADLKKKVMAGLCKNSEKEGQWRYLVLGQACSHLGLMEDAIALLQTGRRLATAAFRRESVCWSEDSFSFTDNPSIPPPPSLPSSESEAASHLVSHIKFLLRRRAAAIAALDANLPNESVRHFSKILDGRRSVPQAFAAYCFVGRASAYRAAGRLAEAIADCNRALALDPASIPALRERADLLEAVRSHADCLHDLDHLKLLYDSILRDQKLPGPAWKPHYDVRYRDIPSNLRTLTARIQLLRLRVAAGEGSNVDYYALIGVRRGCSRSELERAHRLLSLKHRPDKAGGFVDRLEFVEEHRELDEIRDQARMSALLLYRLLQKGYSSIMATVMEEEMAEKMRVREAAAVVAAMKVSVAAEKVVQ >DRNTG_22541.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3666316:3675081:-1 gene:DRNTG_22541 transcript:DRNTG_22541.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGAPPSAGAGAAAQQRYFCHQCDRTVLITPDPDTDLVCPLCHGGFIEEFDLPSNPNPNSNPSRSSTRPSPFLALSSAMSPFFSASSSSSLLFPSAPTGFDVHQASDLTDFLNSDRFPPIPSLDGTEAFNPLAFLENYLNSLTAGGANIQVVLEGAPPLPAGANLGDYFIGPGLEQLIQQLAENDPNRYGTPPAAKSAVLGLPDILISAELLASDDAQCAVCRDTFEIGAEAKQMPCRHIYHKDCIMPWLELHNSCPVCRYELPTDDPDYEQRRGVQAPAALGTGGSGASGGSGGQGAASGDGNSPTQRMVERRFRISLPWPLRMLGSQAEGSNAGGGPNSDGGASGGNAGSGGSGDSRSEPRHEDMD >DRNTG_22541.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3666384:3675081:-1 gene:DRNTG_22541 transcript:DRNTG_22541.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGAPPSAGAGAAAQQRYFCHQCDRTVLITPDPDTDLVCPLCHGGFIEEFDLPSNPNPNSNPSRSSTRPSPFLALSSAMSPFFSASSSSSLLFPSAPTGFDVHQASDLTDFLNSDRFPPIPSLDGTEAFNPLAFLENYLNSLTAGGANIQVVLEGAPPLPAGANLGDYFIGPGLEQLIQQLAENDPNRYGTPPAAKSAVLGLPDILISAELLASDDAQCAVCRDTFEIGAEAKQMPCRHIYHKDCIMPWLELHNSCPVCRYELPTDDPDYEQRRGVQAPAALGTGGSGASGGSGGQGAASGDGNSPTQRMVERRFRISLPWPLRMLGSQAEGSNAGGGPNSDGGASGGNAGSGGSGDSRSEPRHEDMD >DRNTG_04866.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:6891273:6898957:-1 gene:DRNTG_04866 transcript:DRNTG_04866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTKEVEGIPSGKASVDISSVEHPPINEKPSASSVTDENEKDVNDSQTSTVTEETRISTPLDTPSDQVPISLKNPDATDEQSISGAHPLDESKEKVDNVQQQIEDEISITDSYTSAVSNRTSLDESGNTEDKDHDGRPHQIQDDSSAEAPVVEANNHHNSSSEIKKAEGNQVQIGNTVQETPQLPDPSDRAKQAISYRALVDTAAPFESVKEAVSKFGGIVDWKAHKAQTLERRKQVQLELQKVKEEIPGFKKQFEDAEVAKVEVLKELDHTKRLIEELKLNLERAQTEEAQAKQDCELVQLRVQEMEQGIGDEASVAAKAQIEVAKARHAAAVAELKSTIEVLEGLRGEYVSLVRERDMAIKKAEEAVCASKEIEKTVEELTLELIRTKESLESAHATHLEAEEHRIGAALARDQDVLTWEKELKQAEEEVQQLNEQLLLTEDLKSKLDSASTILLDLKGELATYMETKLKQESESNMEEKPDDGAETNKVQTSTQAALAATRKELEAVKDEY >DRNTG_24053.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26535960:26537752:-1 gene:DRNTG_24053 transcript:DRNTG_24053.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLSKRFQSRKNHRSIPSGTSSRVVSSSKRRASAWSVYLIVSSRLPRTYVGVTTNFERRLKQHNGELRSGAKASSAGRPWTLACIIRGFRNRSEGIHMWCIQFYPFVT >DRNTG_24053.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26534868:26537752:-1 gene:DRNTG_24053 transcript:DRNTG_24053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLSKRFQSRKNHRSIPSGTSSRVVSSSKRRASAWSVYLIVSSRLPRTYVGVTTNFERRLKQHNGELRSGAKASSAGRPWTLACIIRGFRNRSEACKFESKWKIISRRMPRKKSREERSLNFLLQHRETALSKLKASFDCSYLQIEWQSNSS >DRNTG_00916.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21519636:21523177:-1 gene:DRNTG_00916 transcript:DRNTG_00916.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPKPVVRECGLFEKRSVILRDSLGCSWAVKVKSRKDGRVDFATGWSTFRREKGLVYGDVCLFEFVQAGKVINVHIFRTKKEIAEPKSENHIEPQKRPLADNGGQSAFRAAKSFKSKHSHFIVSWKRAYKYKVRIPMVHVKIHRLDKKHKMIVYDPHGKSWPVELHARPKRGTDLSSGWHNVCNANNLQEGDACIFEFISADAIRLHIFRSGKVKEQQNSDSKPCITVPLP >DRNTG_00916.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21519636:21523177:-1 gene:DRNTG_00916 transcript:DRNTG_00916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPKKKKKNTVSFFKVMVGDFKSSMRIPPCFAKHLKGRVRSLLRSSNGGGAWRVRVDKLDGSLFFRGGWESFAEAHSITDGDFVVFVFDGDRGFDVTVYGKNGCEKELPSPVKSEEVVGCSIPDSREVDSALVHVRSSKTVDKEGIIEAVGDFKTVHPHFFGVCRKTRLNHMTVPKPVVRECGLFEKRSVILRDSLGCSWAVKVKSRKDGRVDFATGWSTFRREKGLVYGDVCLFEFVQAGKVINVHIFRTKKEIAEPKSENHIEPQKRPLADNGGQSAFRAAKSFKSKHSHFIVSWKRAYKYKVRIPMVHVKIHRLDKKHKMIVYDPHGKSWPVELHARPKRGTDLSSGWHNVCNANNLQEGDACIFEFISADAIRLHIFRSGKVKEQQNSDSKPCITVPLP >DRNTG_29602.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7203485:7205504:1 gene:DRNTG_29602 transcript:DRNTG_29602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYIPNYFEKGQLSKMFFLFPDPHFKEKNHRRRVISIQLLDEYAYALEVGGIIYTITDVEELGDWMRTCLENHPLFEAIPEEDLKADPVVELLTSATEEGQKVARNGGQTFKALFRRIAFKE >DRNTG_34632.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:150074:153229:1 gene:DRNTG_34632 transcript:DRNTG_34632.7 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGIKLKQEVLLCLIDQIIHSSENVDIVELLKHLHPKIMLGIPLLDGTNPASCFPRFAIGYEATCYSYLWSEVFAADIFVSKFQDDLLNQYAGLQFRNKVLAPGGAKEALEILSDFLGREPSIQPFIETKIRNSL >DRNTG_34632.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:136780:149331:1 gene:DRNTG_34632 transcript:DRNTG_34632.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPREFIKSLEEIEGRKLKIALKSYNVSAVLEHCKIGATRKLVAASYGKRRGKENLDILEKLVQLRHKVARLLGYPNYADFALESRMARTSAKVFEFLEDISDNLTDLATRELTILKDLKRKEEGDSQFGMEDIAYYMRRAEEQQFDLDLGEVKQYFPFDLVLTGIFRILQDLFGLRFEEIKDVEAWHESVRLFSVRDISSSELLGYFYLDLFSREGKYTHTCVLALQNGCLSPNGTRQIPIALVIAQCPKQIDGNPTLLRFTEVVTLLHEFTHVVHHICNRASFSRFSGLRLEADFCEIPGRLLENWCYESFSLKMMSGFHQVTILLCVCDDSCFLFSSVIVKC >DRNTG_34632.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:136780:153229:1 gene:DRNTG_34632 transcript:DRNTG_34632.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKEKEKRGINVLALAGGAALVAVAVNLAISAINTKKSKSHKKKDLPGSSVRIKLSASEIHKLTDQIIAKSKEIYDLVASVPIEKVTYLNVIAPLVELEAYQFPLVQSCLYPKMVSTCDDVRKASAYAEKELDSHFLMCRKREDVYRVIKAFVERGEKSDLESKHFFRCLVKDFERNGANLTLSKKEELERLTSQIDELTFQYINNLNGDNSFLLFHESELDGMPREFIKSLEEIEGRKLKIALKSYNVSAVLEHCKIGATRKLVAASYGKRRGKENLDILEKLVQLRHKVARLLGYPNYADFALESRMARTSAKVFEFLEDISDNLTDLATRELTILKDLKRKEEGDSQFGMEDIAYYMRRAEEQQFDLDLGEVKQYFPFDLVLTGIFRILQDLFGLRFEEIKDVEAWHESVRLFSVRDISSSELLGYFYLDLFSREGKYTHTCVLALQNGCLSPNGTRQIPIALVIAQCPKQIDGNPTLLRFTEVVTLLHEFTHVVHHICNRASFSRFSGLRLEADFCEIPGRLLENWCYESFSLKMMSGFHQDITKSIATELCRSLKRRRDMFSGIKLKQEVLLCLIDQIIHSSENVDIVELLKHLHPKIMLGIPLLDGTNPASCFPRFAIGYEATCYSYLWSEVFAADIFVSKFQDDLLNQYAGLQFRNKVLAPGGAKEALEILSDFLGREPSIQPFIETKIRNSL >DRNTG_34632.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:144777:153229:1 gene:DRNTG_34632 transcript:DRNTG_34632.6 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSAKVFEFLEDISDNLTDLATRELTILKDLKRKEEGDSQFGMEDIAYYMRRAEEQQFDLDLGEVKQYFPFDLVLTGIFRILQDLFGLRFEEIKDVEAWHESVRLFSVRDISSSELLGYFYLDLFSREGKYTHTCVLALQNGCLSPNGTRQIPIALVIAQCPKQIDGNPTLLRFTEVVTLLHEFTHVVHHICNRASFSRFSGLRLEADFCEIPGRLLENWCYESFSLKMMSGFHQDITKSIATELCRSLKRRRDMFSGIKLKQEVLLCLIDQIIHSSENVDIVELLKHLHPKIMLGIPLLDGTNPASCFPRFAIGYEATCYSYLWSEVFAADIFVSKFQDDLLNQYAGLQFRNKVLAPGGAKEALEILSDFLGREPSIQPFIETKIRNSL >DRNTG_34632.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:136742:152388:1 gene:DRNTG_34632 transcript:DRNTG_34632.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLEEIEGRKLKIALKSYNVSAVLEHCKIGATRKLVAASYGKRRGKENLDILEKLVQLRHKVARLLGYPNYADFALESRMARTSAKVFEFLEDISDNLTDLATRELTILKDLKRKEEGDSQFGMEDIAYYMRRAEEQQFDLDLGEVKQYFPFDLVLTGIFRILQDLFGLRFEEIKDVEAWHESVRLFSVRDISSSELLGYFYLDLFSREGKYTHTCVLALQNGCLSPNGTRQIPIALVIAQCPKQIDGNPTLLRFTEVVTLLHEFTHVVHHICNRASFSRFSGLRLEADFCEIPGRLLENWCYESFSLKMMSGFHQDITKSIATELCRSLKRRRDMFSGIKLKQEVLLCLIDQIIHSSENVDIVELLKHLHPKIMLGIPLLDGTNPASCFPRFAIGYEATCYSYLWSE >DRNTG_34632.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:136780:153229:1 gene:DRNTG_34632 transcript:DRNTG_34632.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLEEIEGRKLKIALKSYNVSAVLEHCKIGATRKLVAASYGKRRGKENLDILEKLVQLRHKVARLLGYPNYADFALESRMARTSAKVFEFLEDISDNLTDLATRELTILKDLKRKEEGDSQFGMEDIAYYMRRAEEQQFDLDLGEVKQYFPFDLVLTGIFRILQDLFGLRFEEIKDVEAWHESVRLFSVRDISSSELLGYFYLDLFSREGKYTHTCVLALQNGCLSPNGTRQIPIALVIAQCPKQIDGNPTLLRFTEVVTLLHEFTHVVHHICNRASFSRFSGLRLEADFCEIPGRLLENWCYESFSLKMMSGFHQDITKSIATELCRSLKRRRDMFSGIKLKQEVLLCLIDQIIHSSENVDIVELLKHLHPKIMLGIPLLDGTNPASCFPRFAIGYEATCYSYLWSEVFAADIFVSKFQDDLLNQYAGLQFRNKVLAPGGAKEALEILSDFLGREPSIQPFIETKIRNSL >DRNTG_34632.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:136742:152670:1 gene:DRNTG_34632 transcript:DRNTG_34632.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLEEIEGRKLKIALKSYNVSAVLEHCKIGATRKLVAASYGKRRGKENLDILEKLVQLRHKVARLLGYPNYADFALESRMARTSAKVFEFLEDISDNLTDLATRELTILKDLKRKEEGDSQFGMEDIAYYMRRAEEQQFDLDLGEVKQYFPFDLVLTGIFRILQDLFGLRFEEIKDVEAWHESVRLFSVRDISSSELLGYFYLDLFSREGKYTHTCVLALQNGCLSPNGTRQIPIALVIAQCPKQIDGNPTLLRFTEVVTLLHEFTHVVHHICNRASFSRFSGLRLEADFCEIPGRLLENWCYESFSLKMMSGFHQDITKSIATELCRSLKRRRDMFSGIKLKQEVLLCLIDQIIHSSENVDIVELLKHLHPKIMLGIPLLDGTNPASCFPRFAIGYEATCYSYLWSEVFAADIFVSKFQDDLLNQYAGLQFRNKVNL >DRNTG_33774.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:38484:40772:-1 gene:DRNTG_33774 transcript:DRNTG_33774.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVVPFLLLVLSSFVASACGIESPQYTVVHSESDFEIRLYRGSAWMSAPSDEISFNKATKLGFHRLFQYIQGANLNSSRIRMTTPVLTSLVPDAGPLHSSAYFVRFYLPTKFQSSPPVPLPELNIHLAEWAPQCIAVRKFSGFARDDNIVKEAEKLALSLRRSPWADATNLDGTNAYSIAQYNSPFRLIGRVNEVWVDLDGSKVKGCESSLVDVL >DRNTG_12250.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24947803:24951003:-1 gene:DRNTG_12250 transcript:DRNTG_12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVEATVSPEADETLPVKEPQEKGLMLFSIGDLVWGRKDADQWWPGMVCDPSRAPDESPGRSSDAVLVAYFGGDDSFTWCLPSQLKPFKLEFPKMAAQSESKSFVSAVENALEEMERCLELELSCSCVVDEFSKQESRKFSVTNYEPIEFLKLVFDAAKDALAVNMLEITMLRSWALAIGRGGGQHRRKILDLIDKIDFDAPRGELMREDDGRVEVGAMADVSEEKNQGIKKRNMTNVSEEKDQKTKKRSMTKLIAEMDLNAVEVSDTEEEIDVVVAAVVNEEKHEDVKDPELVNSVVEVDDGTGSGKRERKKSKYLSYPYTDYIENNKNSTSPEDIEMKTPKKRGVLIGSPRKSRFSGDGFDKEEDQKSPVYKIDATPVSEILAELQATAVDGLHLKWNRLAKAVRGFLAVYRNSVFSDGSEFQAYQKHLSECGCMNGKGLDTAKVDCLKEDNNERSDKLKKGEANGEILDDGKMKRSGKGNALQKKKARKDNAEGQTTLLDSAPSLTLLKRQMRIDGGAPVNVSVEFTDDLGKGKSMGGRRKGKNNSNFQVAVDSGPKLWNAAKLSEALEKPNASGELSNGGSFVNPEHMVVEPPKSGDKSGQKRRNSKESITDALEGGKSGKKRKRNKNGNSCARPEALLLSFAPGTILPSKAELVAEFSKYGSLNEAGTEVHEDSFSARVVYMNSSDVEKALNSQDKTGVFAPPYATYRVHYLPGNFSPSELKLSVPQPLPYIRKNLERMITTLTGSSVPGKHAGQADGLKVDAKENLVGEMQGLLEKVNQLLDEPPTGTS >DRNTG_02966.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22455559:22460605:-1 gene:DRNTG_02966 transcript:DRNTG_02966.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHEREEMAERCVRTAPSDRGVEKALVALKKGAHLLKCGRRGKPKFCPFRLSSDEKLLIWYSGEKEKHLKLSAVSKTVFGQKTINFLRQPQPGKESQSFSLIYLNGQQSLDLICKDKEQAETWFLGLTALVSCFHHPRPLMSLRNARDAQSCINSPMGYSRRKNNLGLLQDPPKLSKVRSLYGSPPRSILERYISDRVLDSPDIFHSSRQKSLSDMQLIVEKMVPQSPLLVSTNFKDHRDFSIVRKQRMSSSRIQVIDSESNAAEDTDVLKDVFMWGEGVGGILGGGLNGFETNDSNCDSVLPKLMESTRMLDVMNISCGQKHAALVTRQGEVFCWGEENGGRLGHKINMGVPSPKVVESLSNVNVNSIACGARHTCALTNSGEVYVWGDIGHGIGLSGEGCSRSRWLPQRMAGLLDGIHVSKVSCGEWHTAIVSSSGQLFTYGDGTFGVLGHGNLQSFLEPKEVQSLKGLRVKAVACGPWHTAAIVEVMASHYKSNALGGKLFTWGDGDKGKLGHGDMERKLLPTCVASLVDCDFLQVSCGRTLTVALTVTGIVFTMGSAMNGQLGNPQVEDRSIATVGGLLKAEFVKEISAGSFHVAALTTKGKVYTWGKGANGRLGLGDVNDRNSPALVEALEDRYVRSIACGSSFTAAICSHKSMSSKDQSICSGCKMDFGFTRKKHNCYNCGFAFCHACSSKKAMNASLAPNKSKSCRVCDPCFVQLTKVPYLKSRTELASPRLPFLSRKGLSESKVIRQETLFSGPNRSKTKSNEEEAMRTQGSNKHDQGPVALFISSTERWGQVPCPPQFNEHNGIIPFSDKEISDISHVHAWGSPLSVESFPPNATSLKQDLNVLDKMLTEEIKRLNAEATVLEQQCQYRSQKLQHYKRRIEETWLLSKDEAAKCKAAKDVIKVLTHQMNVLSEKASTAQPTNNIRSEPDENIHPTELSETGNSKTILVGSHLSHDARIMEGRQMEGSVRPSSNGLTGAGSVVKSQHETRSTDGTLVTKADRRTNEINGSKEEWVEQDEIGVYITFIALPSGQRGLKRVRFSRKHFSEKQAERWWKENETRIYTKYNVEQILTSGRNRTVR >DRNTG_02966.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22455559:22460255:-1 gene:DRNTG_02966 transcript:DRNTG_02966.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRNARDAQSCINSPMGYSRRKNNLGLLQDPPKLSKVRSLYGSPPRSILERYISDRVLDSPDIFHSSRQKSLSDMQLIVEKMVPQSPLLVSTNFKDHRDFSIVRKQRMSSSRIQVIDSESNAAEDTDVLKDVFMWGEGVGGILGGGLNGFETNDSNCDSVLPKLMESTRMLDVMNISCGQKHAALVTRQGEVFCWGEENGGRLGHKINMGVPSPKVVESLSNVNVNSIACGARHTCALTNSGEVYVWGDIGHGIGLSGEGCSRSRWLPQRMAGLLDGIHVSKVSCGEWHTAIVSSSGQLFTYGDGTFGVLGHGNLQSFLEPKEVQSLKGLRVKAVACGPWHTAAIVEVMASHYKSNALGGKLFTWGDGDKGKLGHGDMERKLLPTCVASLVDCDFLQVSCGRTLTVALTVTGIVFTMGSAMNGQLGNPQVEDRSIATVGGLLKAEFVKEISAGSFHVAALTTKGKVYTWGKGANGRLGLGDVNDRNSPALVEALEDRYVRSIACGSSFTAAICSHKSMSSKDQSICSGCKMDFGFTRKKHNCYNCGFAFCHACSSKKAMNASLAPNKSKSCRVCDPCFVQLTKVPYLKSRTELASPRLPFLSRKGLSESKVIRQETLFSGPNRSKTKSNEEEAMRTQGSNKHDQGPVALFISSTERWGQVPCPPQFNEHNGIIPFSDKEISDISHVHAWGSPLSVESFPPNATSLKQDLNVLDKMLTEEIKRLNAEATVLEQQCQYRSQKLQHYKRRIEETWLLSKDEAAKCKAAKDVIKVLTHQMNVLSEKASTAQPTNNIRSEPDENIHPTELSETGNSKTILVGSHLSHDARIMEGRQMEGSVRPSSNGLTGAGSVVKSQHETRSTDGTLVTKADRRTNEINGSKEEWVEQDEIGVYITFIALPSGQRGLKRVRFSRKHFSEKQAERWWKENETRIYTKYNVEQILTSGRNRTVR >DRNTG_18928.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12586190:12587163:1 gene:DRNTG_18928 transcript:DRNTG_18928.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAEKKVAKDLIREKRKDKALLALKKKKVQVELLKQVDAWFINVEQQLASVRMPPWARTNLNPTSQVLITSTELMNAVAGATYIIVVDKTVLTSARKNSFKDVN >DRNTG_27348.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:16653239:16656515:1 gene:DRNTG_27348 transcript:DRNTG_27348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLARWISQSSSVKGTGVSTPVPSDRALRA >DRNTG_08914.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27429918:27431382:1 gene:DRNTG_08914 transcript:DRNTG_08914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVQEKFSSSWMWSSHQWLQCTLSELDQNIAVISKLIQEQDGHSLANDKLDLMKTIKEFENSFRLLVKFFHQLSARSSINRHSIDSTSKLYSPNSTIENFTYNKKISISSSDAMLDDIAILVEDNHKHLKELATRYEEKRQVNRDLWIRVEKLMEEKHELMEEHNKLMHENETLHDELKRLKINDNKDYFSHKVMNKKNTNIGSHLFNLKTIILGALSRAH >DRNTG_16918.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5023232:5028869:-1 gene:DRNTG_16918 transcript:DRNTG_16918.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRARDSAAAPASSTANLVALRRWLIALCTIRFDLERGQIIEDSFPSDALSADAQLHIAFSSFPDSMSQHHHPPAPRRSSIHDSIFSFRLPSSSSPSSFLYGFVFNRRRQDDRLPRGGDQRSVVIVSHSPFSSVFRPVLQILAPLYFDIGATALSLVASHVSSWPAPLLGRPMELPIGNAALKVLLPPSPDDPSPSHGLFHDSDLFASFRGLLLNLWMLWELLIIGEPILLVAPSPPQCSEAVAALVSLVSPLLYSVDFRPYFTIHDPDFARLNALPEGAEFPPMLLGVTNLFFLKALKNIPHVISVGIPSPGPAKVVPSPPRSASTGNVRSSGPGRLNLEQLNKFSPLSLLNAMKLRREGPLCLMNEHKEAVWSSYAATTKPDTAVLNRLVDAGMTPRVEESMSVVNNEILRRHFMELTSNFLAPFGPYLRPTTPSEGSSPFVNPPPLPPFDAEEFLRGLAARGPGKFLSKRMRSNWLDLYRRFLKGKNFMPWFQRRRAAAEQEQQRLWRQARMNTEIQKLISKMSELEIVDSFNAIERHLLVEIQLHQSGNGGVDSAKACQKLKGDLQAVFNVLPKDTQQLLLVNPKRASLFQSNPELRKHPGHPSESTLSILPL >DRNTG_15395.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4701377:4709133:-1 gene:DRNTG_15395 transcript:DRNTG_15395.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVFGGVVPHLFPSLGPALMVSMGYIDLGKWVAAVEGGARFGFDLVSLVLFFNCTAILCQYLATCIGMVTGKNLAEICSQEYSKWTCILLGIQAEISMITSETTMVLGIAHGLNLLFGIELVSCIFLATASTVLLPLFVTTWDNIKAEAFYTAIAAVALVFYVLGVLISQPEFPLAMNGVFPKLNGQSAYSLMALLGSNIMVHNFYIHSSSVQQSRQIVTVGSLFNDHFFAILSIFTGIFLVNYVLMNSAATVFSNTDGVVNLQDLNFLMDQIFKSPIAPVAFFLVLFFSSQITALNWNIGGQVILRYFFGINLSVWAHHGLLKALAIIPALYYARNGGSEGIYQLLIFCQIILAMLLPSSVIPLFRVASSKCTMGRFKIPWYMEIFSLIAFFGMLASNMIFIVEALFGRSNWISNMQSSTGSSVIIPSSIIFLVAFTSVGLTLYLAVTPLKSASERPDIQIFPGYMQSTPELFEVVESDDMEKINYEEDQGSIEDVSSEKFIENQGEKLHSEANFDVSETIIDSDHESHQSTQSHQSAYDPGIMSACSSPAYHTEKSNLAIDEAAGENSSKVTGTVFPDVIASQEIEAKYPIEKDVEVSTDVQMDKNNEEEGAPLAEDVSRGILPYPSVEGSGSLSKLSGLGRAARRQLSAVLDEFWGHLFDYHGKLTQEATTRRLDVLLGIDSKLIGSSVKADAPADELSKSYFPDLDRSSIFQSSSREFSSPRHGVQMESRPYSQTMQTLDAHAQNSYTSLFGSNDRHSLYPPQSSDNRDYQPATIHGYQLSSYLKGASAGRAPYSSNISLDLPPTSSASSFIANCRDPLMYGSGQNDLGSLRASSFQNRQATSTIGRLPVDRSFYEASLLETGESSVSSAYGKKYHSSPDVSAIIASIRNSSLNDGNAQWAGPIGSRPSTGRMTYEQSQYLNPLSTRTGVPLAFDQLSPPKLHRDVFSPHSSLNSNTRSLWFRQPSEQLFGVIGKNQSARDRGVDSRPNVTAAKEAFSYTESETKLLQYFRICMMKLLKLEGSDWLFRQGSGIDEELIDRVAAKEKYLQEVDSGEINQAHMLYRRNSSAQRSEEGDPASLLSVTNCGDGCIWRAALVVSFGVWCIRRILELSVVESRPELWGKYTYVLNRLQGILEPAFLKSRPPLFACSCLEINTRNSSTSPQNGNLVSTEKTNKATSVTTANMVLDMIKDVEAAVSGRKGRTGTAAGDVAFPKGKENLVSVLKRYKRRLLNKSSAGNEGGSSSRRIPSPISSSVS >DRNTG_15395.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4701377:4709133:-1 gene:DRNTG_15395 transcript:DRNTG_15395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVFGGVVPHLFPSLGPALMVSMGYIDLGKWVAAVEGGARFGFDLVSLVLFFNCTAILCQYLATCIGMVTGKNLAEICSQEYSKWTCILLGIQAEISMITSETTMVLGIAHGLNLLFGIELVSCIFLATASTVLLPLFVTTWDNIKAEAFYTAIAAVALVFYVLGVLISQPEFPLAMNGVFPKLNGQSAYSLMALLGSNIMVHNFYIHSSSVQQQSRQIVTVGSLFNDHFFAILSIFTGIFLVNYVLMNSAATVFSNTDGVVNLQDLNFLMDQIFKSPIAPVAFFLVLFFSSQITALNWNIGGQVILRYFFGINLSVWAHHGLLKALAIIPALYYARNGGSEGIYQLLIFCQIILAMLLPSSVIPLFRVASSKCTMGRFKIPWYMEIFSLIAFFGMLASNMIFIVEALFGRSNWISNMQSSTGSSVIIPSSIIFLVAFTSVGLTLYLAVTPLKSASERPDIQIFPGYMQSTPELFEVVESDDMEKINYEEDQGSIEDVSSEKFIENQGEKLHSEANFDVSETIIDSDHESHQSTQSHQSAYDPGIMSACSSPAYHTEKSNLAIDEAAGENSSKVTGTVFPDVIASQEIEAKYPIEKDVEVSTDVQMDKNNEEEGAPLAEDVSRGILPYPSVEGSGSLSKLSGLGRAARRQLSAVLDEFWGHLFDYHGKLTQEATTRRLDVLLGIDSKLIGSSVKADAPADELSKSYFPDLDRSSIFQSSSREFSSPRHGVQMESRPYSQTMQTLDAHAQNSYTSLFGSNDRHSLYPPQSSDNRDYQPATIHGYQLSSYLKGASAGRAPYSSNISLDLPPTSSASSFIANCRDPLMYGSGQNDLGSLRASSFQNRQATSTIGRLPVDRSFYEASLLETGESSVSSAYGKKYHSSPDVSAIIASIRNSSLNDGNAQWAGPIGSRPSTGRMTYEQSQYLNPLSTRTGVPLAFDQLSPPKLHRDVFSPHSSLNSNTRSLWFRQPSEQLFGVIGKNQSARDRGVDSRPNVTAAKEAFSYTESETKLLQYFRICMMKLLKLEGSDWLFRQGSGIDEELIDRVAAKEKYLQEVDSGEINQAHMLYRRNSSAQRSEEGDPASLLSVTNCGDGCIWRAALVVSFGVWCIRRILELSVVESRPELWGKYTYVLNRLQGILEPAFLKSRPPLFACSCLEINTRNSSTSPQNGNLVSTEKTNKATSVTTANMVLDMIKDVEAAVSGRKGRTGTAAGDVAFPKGKENLVSVLKRYKRRLLNKSSAGNEGGSSSRRIPSPISSSVS >DRNTG_15349.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12310100:12311954:1 gene:DRNTG_15349 transcript:DRNTG_15349.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSWMMENKYSQRYASGVSFFMKFVRDNMGVRSKVRCPCLECLNVFIRSQDEVKDHLLLQGMSQSYTKWIYHGEKFNSEAPSIANPRNGNMNNSLDDNGGPMDDGMHDILEDIFPRIDDNEIGNNGEFNSNPSEEETKKFSKLLNHAQCRLLPEYTDMSMLTFIVKLLHAKVYTQMSNKSVNMILQLLREAFPTSTIPSSYYEATKTLRDLGLNYVPIHACKFDCALFWGEFEDKQYCPVCGTSRWKFIDKKIPWKVLRYFPLKPRLQRLFMSKKIAKDMQWHSEKRIDDDMLRHPADGDAWKEFDKEHEWFSQDPRNVRMGIATDGFNPFGIMSSTYSVWPVVVMPYNLPPWKCMKEPFVMMSLLIPGRNSPGKDIDVYLRPLINELRDLWEVGVHTY >DRNTG_34492.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12820013:12821280:1 gene:DRNTG_34492 transcript:DRNTG_34492.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESYHSGGKSYHLESVKATLAAVLENATLEDANVDHNAPILEEILLRFKREDIGEVLAKILERFYGSDIVSPLGRRLVGELRSRRILYRMKESLDDE >DRNTG_06479.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:18804015:18804400:-1 gene:DRNTG_06479 transcript:DRNTG_06479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTYTRKDALKDHPEELMTTTYARKDSYEKQNSDAYGLTYTAVSRTVIKLEDLRDGAYDHKSSRKSHDNLFQLTYGLVLMPIEGRLRKKRVNSPGP >DRNTG_34380.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17976654:17977032:-1 gene:DRNTG_34380 transcript:DRNTG_34380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISQTVFDGGRQVLGIIPKALMEKEIIGITIGEVKPVADMQERKVEMTSNSNAFIAMP >DRNTG_28332.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:111518:115703:1 gene:DRNTG_28332 transcript:DRNTG_28332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKQVGHSLDALISAFNSRIVELQELVIARNMYPSTSMADLSAMDTTLKTMESQIQVIKERLQEERNAIPKAKKLIEMSRRQQRMLQHMLVHKPTGMREVIDLPDSMPSCDIMDTRDYDLLSEASKVQGEPAMAPLKEKKGRISAPRWYITADELDSLSSYMRGRLTLEKVNIAINEIAVYAEANAQLITAPRKKLAEDSWEKALELRDLATSDTVKGKLFFLESDIKGPGLKLDNTGKAILTVLRHLGRIQEARIGHHRVITLLKPPLHG >DRNTG_32037.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001778.1:14497:15165:-1 gene:DRNTG_32037 transcript:DRNTG_32037.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERVPIHLGHILADYIRCQGQYARLGAIFSGPYITRLVLGMGLLDYIHGAEKTSVPAPLGLETMRLMGMVRRVQTGVFTLVLPAPEIAKDEGDDAEASQPALMETEAPPAADEPPPVRMFSPSRANDRFERLENAIGVVRAEAPSVSPAPPSLTPAPEDPLYASTSPAAAAEPESDSDT >DRNTG_30625.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17557257:17559604:1 gene:DRNTG_30625 transcript:DRNTG_30625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSRRVMSCFVGNDVRKLLKRKDSDASDRAKMVEELQASIFSELRTSEGANRLQQRVCGPTVSLTFNFLVSVAIILVNKLVLGKVGFNYPIFLTLIHYVLSWFLMVILHAFSLLPVSPPSKATPLSTLFALGLVMSLSTGLANISLKYNSVGFYQMAKIAVTPTIVLAEFMLFAKTVSYQKVLALTVVSVGVAVATVTDLEFDLFGACIALAWIIPSAVNKILWSNLQQRDNWTALALMWKTTPITIFFLVGMMPWLDPPGARSFNWNLYNTSAIFLSAALGFLLQLSGALALGATSATSHVVLGQFKTCVILLGGFLLFDSVPGMPSLCGAITALAGMSLYTYLNLQDTRQSSFLTTKMKLIKESSESCNGDCTAESV >DRNTG_30625.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17557257:17559604:1 gene:DRNTG_30625 transcript:DRNTG_30625.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSRRVMSCFVGNDVRKLLKRKDSDASDRAKMVEELQASIFSELRTSEGANRLQQRVCGPTVSLTFNFLVSVAIILVNKLVFFYGIYLFFPTHISYGLLFIDDFIWLVCSSKVLGKVGFNYPIFLTLIHYVLSWFLMVILHAFSLLPVSPPSKATPLSTLFALGLVMSLSTGLANISLKYNSVGFYQMAKIAVTPTIVLAEFMLFAKTVSYQKVLALTVVSVGVAVATVTDLEFDLFGACIALAWIIPSAVNKILWSNLQQRDNWTALALMWKTTPITIFFLVGMMPWLDPPGARSFNWNLYNTSAIFLSAALGFLLQLSGALALG >DRNTG_31146.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:342470:355085:-1 gene:DRNTG_31146 transcript:DRNTG_31146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEDDDDEEEEEEEEVEGVEEEHGCLRGVGGMLGMAGNWEMMSLSWGWIRFSEC >DRNTG_21340.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11404255:11405451:-1 gene:DRNTG_21340 transcript:DRNTG_21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDEPSDHSSFPSYSMIMAAPLKPEKSKLRPQHQSKQCSVGEEVSGDCVFSCSGQCKDSRADFSSGSNDGDDNGRGITIQTR >DRNTG_33187.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23549115:23550873:-1 gene:DRNTG_33187 transcript:DRNTG_33187.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSTSKLLLHLLVITVAMVPIFCARVGPPFASYQKPLHPDQMVAASLRSMSPPPPPTGNLMRNFHPLPSPPPPPATALPPPQSS >DRNTG_33187.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23548143:23548899:-1 gene:DRNTG_33187 transcript:DRNTG_33187.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGSSTRLLLALLFVLVMAMAPSICARVGPPLTTYQKPVPLDQLVIGGFKSSLRRSRGQQPPPPTGNLPRFPSPPPLQPPPPPPPPETSCKEQLP >DRNTG_33187.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23548143:23550873:-1 gene:DRNTG_33187 transcript:DRNTG_33187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKNKEYLHFSTVAAIQFKDLYMRDHWHYSIYILKVKGRLKETLPDGRIINIKAAASPTCDNSCNGSYFLCKSWSSICKLPEATASRSNGCCKFKVNVATTTSYWKLDEELPSTPFSAATTSNSTTTTTIKMMGGSSTRLLLALLFVLVMAMAPSICARVGPPLTTYQKPVPLDQLVIGGFKSSLRRSRGQQPPPPTGNLPRFPSPPPLQPPPPPPPPETSCKEQLP >DRNTG_33187.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23549115:23550873:-1 gene:DRNTG_33187 transcript:DRNTG_33187.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSTSKLLLHLLVITVAMVPIFCARVGPPFASYQKPLHPDQMVAASLRSMSPPPPPTGNLMRNFHPLPSPPPPPATALPPPQSS >DRNTG_11069.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5886104:5887263:1 gene:DRNTG_11069 transcript:DRNTG_11069.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSANRSWMYARLKDGLLNPNFLQGINEFIDFAKMHPECMDGIKIKCPCNHRKCQNRAFHMEDTVRYHLMKYGFVPYYYLWVLHGEPSISDSSTHGQDVGNESVLGVEPLSQNLCQQMVMDVAGPDFSRTNTEEPPNAVAQKLFEMLIAANQEAWLGCENHSQLSAVARMLNIKAEHHLTERCFDDFCQFLKELLPTDNVMPDNFYNTKKLVQGLGLPVEKIHCCPNGCMIYWGEDSELMSCKLCDFPRYKQRRVGSSKNKKNIPYKKMYYFPLTPRLQRLYASNVIAKEMRWHAEHEPEEGVMRHCSDSPAWKHFNST >DRNTG_11069.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5885668:5887263:1 gene:DRNTG_11069 transcript:DRNTG_11069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANRSWMYARLKDGLLNPNFLQGINEFIDFAKMHPECMDGIKIKCPCNHRKCQNRAFHMEDTVRYHLMKYGFVPYYYLWVLHGEPSISDSSTHGQDVGNESVLGVEPLSQNLCQQMVMDVAGPDFSRTNTEEPPNAVAQKLFEMLIAANQEAWLGCENHSQLSAVARMLNIKAEHHLTERCFDDFCQFLKELLPTDNVMPDNFYNTKKLVQGLGLPVEKIHCCPNGCMIYWGEDSELMSCKLCDFPRYKQRRVGSSKNKKNIPYKKMYYFPLTPRLQRLYASNVIAKEMRWHAEHEPEEGVMRHCSDSPAWKHFNST >DRNTG_28812.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28709930:28714031:1 gene:DRNTG_28812 transcript:DRNTG_28812.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVIDAGSKLLKAGFAAPDQDPALIIPTKMKRVIEEGDPAGDISISEEVTVDPIVRGFIKDWDAMEDLLHHVLYTGLGWEIGEEGQILFTDPLSTPKNVREQLVQLMFETFNVSGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIAPVCEGAVQHIASKRFQIGGIDLTKLLAQELHKSNPTVNIDISMVEKLKEQYACCAEDQDDFEETQKSCQTEKHTLPDGQVITIGREKYIVGEALFQPSILGLEEYGIIEQLVRCISSVSSENHRQLLENTVLCGGTMVMTGFEDRFQKEANLCSSSIRPSLVKPPEYMPENLPKNSAWMGGAILAKVVFPQNQHITKADYDESGPAIVHRKCF >DRNTG_28812.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28709930:28714031:1 gene:DRNTG_28812 transcript:DRNTG_28812.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVIDAGSKLLKAGFAAPDQDPALIIPTKMKRVIEEGDPAGDISISEEVTVDPIVRGFIKDWDAMEDLLHHVLYTGLGWEIGEEGQILFTDPLSTPKNVREQLVQLMFETFNVSGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIAPVCEGAVQHIASKRFQIGGIDLTKLLAQELHKSNPTVNIDISMVEKLKEQYACCAEDQDDFEETQKSCQTEKHTLPDGQVITIGREKYIVGEALFQPSILGLEEYGIIEQLVRCISSVSSENHRQLLENTVLCGGTMVMTGFEDRFQKEANLCSSSIRPSLVKPPEYMPENLPKNSAWMGGAILAKVVFPQNQHITKADYDESGPAIVHRKCF >DRNTG_30315.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001561.1:3839:9422:-1 gene:DRNTG_30315 transcript:DRNTG_30315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLISIAGEIVKPAWVAISRHFGYLICYKSNIEKLERKFNELDALKKEVQIKVDAARRERLEEAKGVVQTWFADVNTMEGEVKRIKDQATVISNNCFPHINLHYKLGREAAHHMETTDDLIRKGNFDSVSHKGPPPSTTDSLLFNEDYMIFDSRKSHEKKMLEALQVEAVHLIGLCGMGGGGKTTLVKEVAKQAEEQKLFCEVVMVTVSQNIDLKRIQKEMAAILGLELKEDNVRVVAANLAKRLTTTENKVLVILDDLWESFNLSDAGIQFPQMGTNCKVVITTRNKGVCEGMRCQEIVELKTLSDEESWSLFKSRAGDAVESPTIRNLAHNVARECAGLPLALVVLGTALKGKSPEIWEAVLMQLN >DRNTG_30315.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001561.1:8863:9422:-1 gene:DRNTG_30315 transcript:DRNTG_30315.2 gene_biotype:protein_coding transcript_biotype:protein_coding GLLVLGCCVSDCEKWLEAVELLKLILAHNNTESLREDLRKLSSLVVLNINHNKARVHSGGHWRVSEVNNSLSLPEEIGSATSLVK >DRNTG_23607.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26586871:26588768:1 gene:DRNTG_23607 transcript:DRNTG_23607.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLDKNLLKSDELHEYILKTNVFPREHEQLKELREATEKHPMGLMGVPPEEGQLLSIILKTLNAKNTLEVGVFTGYSLLTTALALPDDAKITAIDMDKSFYEIGLPFIKKAGVEHKINFIESEAHPALDKLIQEVKEDELFDFAFVDADKNNYIHYHEKLLKLVRVGGIIAYDNTLWFGTVATEGPVDPKYPDFVGILRDDVVKLNKSLASDPRIEISQLAIGDGLTICRRVY >DRNTG_23607.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26586594:26588768:1 gene:DRNTG_23607 transcript:DRNTG_23607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWPENTDDIEKNLLKSDALHEYILKTNVFPREHEQLKELREATEKHPMGLMGVPPEEGQLLSIILKTLNAKNTLEVGVFTGYSLLTTALALPDDAKITAIDMDKSFYEIGLPFIKKAGVEHKINFIESEAHPALDKLIQEVKEDELFDFAFVDADKNNYIHYHEKLLKLVRVGGIIAYDNTLWFGTVATEGPVDPKYPDFVGILRDDVVKLNKSLASDPRIEISQLAIGDGLTICRRVY >DRNTG_32055.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15329009:15329696:1 gene:DRNTG_32055 transcript:DRNTG_32055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPRPSPKITATLSFSLSSASKSSLTQSPTLARKRPRLIPNINPSLHQRFLSKLFEPSNISSKSSKPNSGTPKYTSLELQVLDLKSKHPDVILMAEVGYKYRFFGDDAKIDVKVLSIFAHMDHNFLSASFPMFLLNFHVQRLIGAGYKVGVVKQMETVAIMAHEGNRLGLFAKGLSALYTMVTIEAAEDMGGGGGGRGGEEGP >DRNTG_23212.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10114042:10114341:-1 gene:DRNTG_23212 transcript:DRNTG_23212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGREGSKPVKVKIIETKYVQTDATQFKSVVQQLTGKDPVNVTELKGSRSLDKNKKTVERSTGGHDGREDVWVQQDNEKMVVDASTSVTLDEFFKFLHD >DRNTG_26177.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29183268:29191299:-1 gene:DRNTG_26177 transcript:DRNTG_26177.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRHFESMKSKYGIEPNIKHYGCMVDLLGRAGCLEETEQMIESMPIEADVVIWGSLLAACRIHGDVKIGERAAERLARLDGKHGAGRVLLSNIYANAGRWDDVFLVRRAMQQSRFNREPGCSALHSFIDR >DRNTG_26177.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29187143:29191299:-1 gene:DRNTG_26177 transcript:DRNTG_26177.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRHFESMKSKYGIEPNIKHYGCMVDLLGRAGCLEETEQMIESMPIEADVVIWGSLLAACRIHGDVKIGERAAERLARLDGKHGAGRVLLSNIYANAGRWDDVFLVRRAMQQSRFNREPGCSALHSFIDR >DRNTG_26177.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29183268:29191299:-1 gene:DRNTG_26177 transcript:DRNTG_26177.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRHFESMKSKYGIEPNIKHYGCMVDLLGRAGCLEETEQMIESMPIEADVVIWGSLLAACRIHGDVKIGERAAERLARLDGKHGAGRVLLSNIYANAGRWDDVFLVRRAMQQSRFNREPGCSALHSFIDR >DRNTG_26177.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29182370:29191299:-1 gene:DRNTG_26177 transcript:DRNTG_26177.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRHFESMKSKYGIEPNIKHYGCMVDLLGRAGCLEETEQMIESMPIEADVVIWGSLLAACRIHGDVKIGERAAERLARLDGKHGAGRVLLSNIYANAGRWDDVFLVRRAMQQSRFNREPGCSALHSFIDR >DRNTG_26177.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29182370:29191299:-1 gene:DRNTG_26177 transcript:DRNTG_26177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRHFESMKSKYGIEPNIKHYGCMVDLLGRAGCLEETEQMIESMPIEADVVIWGSLLAACRIHGDVKIGERAAERLARLDGKHGAGRVLLSNIYANAGRWDDVFLVRRAMQQSRFNREPGCSALHSFIDR >DRNTG_26177.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29183268:29191299:-1 gene:DRNTG_26177 transcript:DRNTG_26177.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRHFESMKSKYGIEPNIKHYGCMVDLLGRAGCLEETEQMIESMPIEADVVIWGSLLAACRIHGDVKIGERAAERLARLDGKHGAGRVLLSNIYANAGRWDDVFLVRRAMQQSRFNREPGCSALHSFIDR >DRNTG_26177.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29183268:29191611:-1 gene:DRNTG_26177 transcript:DRNTG_26177.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEPNEITLLGVLSAVASSGTLEQGEWIHNYIRVSSIPLTDNLTAGLIDMYAKHGSIENALQVFDLVRHHTKSVSPWNAVICGLAMHGHESMSLQVFNDLLKTGIKLNSITFIGVLTACCHAGLVMEGRRHFESMKSKYGIEPNIKHYGCMVDLLGRAGCLEETEQMIESMPIEADVVIWGSLLAACRIHGDVKIGERAAERLARLDGKHGAGRVLLSNIYANAGRWDDVFLVRRAMQQSRFNREPGCSALHSFIDR >DRNTG_26177.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29182370:29182749:-1 gene:DRNTG_26177 transcript:DRNTG_26177.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSHAPAPRECTQRARPSASRPPSSELRRGARAPATAQLRRCSITIRALEFIFWVGIRSP >DRNTG_26177.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29185547:29191299:-1 gene:DRNTG_26177 transcript:DRNTG_26177.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRHFESMKSKYGIEPNIKHYGCMVDLLGRAGCLEETEQMIESMPIEADVVIWGSLLAACRIHGDVKIGERAAERLARLDGKHGAGRVLLSNIYANAGRWDDVFLVRRAMQQSRFNREPGCSALHSFIDR >DRNTG_14734.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:119464:131265:-1 gene:DRNTG_14734 transcript:DRNTG_14734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQEQLVSNGKVVKDEFLSGKATVTSGVFLNMGKSSTDNDRNVNRSISKDGITAGFPNEPSDSSNDRDVMHREVPISEPGALSKPIREINTSIKLQAEEVPNHQV >DRNTG_28177.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20685209:20697357:1 gene:DRNTG_28177 transcript:DRNTG_28177.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSASSKRPISSPSNSLQSTAKRFKVEESGSLKGDRANLKKSAVDSWQQASDPCASDPPRESLDKAPAQEERTSETAARGCGHAEAEPLTKLLTPLDLPKKKVVKTRPKLPWGRLISQCKQNPHVPICGSLFTVGQNKKCDLRLEDQSISPTLCKLRHTEHGGASVAVLEISGSKGFVRVNGKIIQQSSNIILSGGDEVVFSSTGRHSYIFEQLGIEKLTTPPLPSSLSMTESQVSPARGIQLEARSGDPSAVAGASILASLSNHRKDIPVPHPGSNCQNIHQGLETPTLPSVCDASDIVLPDLDISGDLERGPTEHNGVNGPPASDFAANEAFQLRSPAADLDLSGNMFKVPEDQREVRKDLDCSDVLPTNRFQIFKDGLRQGILSACDLQVSFDDFPYYLSENTKSMLLSTAYIHLECKEFIKFTSDISSASQRILLSGPTGSEIYQETLTKALAKHFNARLLIVDSLLLPGGLPSKDSEPIKEGGKSEKPSNPSKQRAGQVETVQLKRPASSVEADIVGASAFNSQSLLKQETSTASSKNYTFKEGDRVRYVGPLHSTGFSLQAPQRGPNYGYRGKVVLTFENESSKVGVRFDRQIPDGNDLGGVCEEDRGFFCHTDLLRLDYSGGEDSERLAINELLEVVCEESKSGPLIIFLKDIEKSLGGSTDSYLTLKAKLELVPAGVLVIGSHNQMDSRKEKSHPGGFLFTKFGSTQTALLDFAFPDNLGRLHDRNKEVPKAMKQLTRLFPNKITIQIPQDEAQLLDWKQQLDRDVETLKAKANILSIRSFLTRNGLDCCDLDTVCIKDQTLTNESVDRIVGFALSHHLKLHRTEVPVKDAKLTFSGDSIKHGLTMLQNVQADAKSSKKSLKDVVTENEFEKRLLTDVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCRGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDTSNREKILRVILAKEDLAPNVDLEALASMTDGYSGSDLKNLCVTAAHCPIREILEKEKKERNLALAEGRSSPTLHGSEDIRPLNMDDFKFAHDQVCASVSSESANMNELIQWNDLYGEGGSRKKTALSYFM >DRNTG_28177.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20685209:20697357:1 gene:DRNTG_28177 transcript:DRNTG_28177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSASSKRPISSPSNSLQSTAKRFKVEESGSLKGDRANLKKSAVDSWQQASDPCASDPPRESLDKAPAQEERTSETAARGCGHAEAEPLTKLLTPLDLPKKKVVKTRPKLPWGRLISQCKQNPHVPICGSLFTVGQNKKCDLRLEDQSISPTLCKLRHTEHGGASVAVLEISGSKGFVRVNGKIIQQSSNIILSGGDEVVFSSTGRHSYIFEQLGIEKLTTPPLPSSLSMTESQVSPARGIQLEARSGDPSAVAGASILASLSNHRKDIPVPHPGSNCQNIHQGLETPTLPSVCDASDIVLPDLDISGDLERGPTEHNGVNGPPASDFAANEAFQLSTIGSPAADLDLSGNMFKVPEDQREVRKDLDCSDVLPTNRFQIFKDGLRQGILSACDLQVSFDDFPYYLSENTKSMLLSTAYIHLECKEFIKFTSDISSASQRILLSGPTGSEIYQETLTKALAKHFNARLLIVDSLLLPGGLPSKDSEPIKEGGKSEKPSNPSKQRAGQVETVQLKRPASSVEADIVGASAFNSQSLLKQETSTASSKNYTFKEGDRVRYVGPLHSTGFSLQAPQRGPNYGYRGKVVLTFENESSKVGVRFDRQIPDGNDLGGVCEEDRGFFCHTDLLRLDYSGGEDSERLAINELLEVVCEESKSGPLIIFLKDIEKSLGGSTDSYLTLKAKLELVPAGVLVIGSHNQMDSRKEKSHPGGFLFTKFGSTQTALLDFAFPDNLGRLHDRNKEVPKAMKQLTRLFPNKITIQIPQDEAQLLDWKQQLDRDVETLKAKANILSIRSFLTRNGLDCCDLDTVCIKDQTLTNESVDRIVGFALSHHLKLHRTEVPVKDAKLTFSGDSIKHGLTMLQNVQADAKSSKKSLKDVVTENEFEKRLLTDVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCRGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDTSNREKILRVILAKEDLAPNVDLEALASMTDGYSGSDLKNLCVTAAHCPIREILEKEKKERNLALAEGRSSPTLHGSEDIRPLNMDDFKFAHDQVCASVSSESANMNELIQWNDLYGEGGSRKKTALSYFM >DRNTG_26243.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1842891:1844412:1 gene:DRNTG_26243 transcript:DRNTG_26243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAVSHELEVALPAEKIWTVYRGLRLAELIVELLPNLLNKIEIIEGDGEVGTILRLYWPPGTPMAAAIYKEKFTKIDDERRVKEVHTIEGGFLQLGFTTYMVRLEIIAKGEADSVIRSVIEYEVDDEFANNVSFVSTATLATIHETIGKYLVEQES >DRNTG_05869.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31425358:31429842:-1 gene:DRNTG_05869 transcript:DRNTG_05869.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSPPAPAPTSPPATPSPPSAPSPSNNSTSPPPSSPPPAPPSPPTPIPSPPPSSSPPSPSPPSPPSSPSPSSPSTPSPPSSPPPSSPSTPSPPSSPSPSSPSTPSPPSSPSPPPPSTGRSPPVPPSPPSAKTPPSSVKTPPPPSPSQSSSSSISTPMVVGIAIAGVVLLAALTVLYFCCCRNRKKRPPLPPPVYYGGHPPQQPPAAAVKDDFYGGQVHNWQHNAPPADHVVKIPSDPSPPPPFPSRPPNSPFLPPPPPPPPMMSSSGGSGSNYSGSDNPLPPPSPGTALGFPKGTFTFDELVRATDGFSEANLLGQGGFGYVHKGILPNGKEIAIKQLKTGSVQGDREFQAEVRIISRVHHKNLVTLVGHCDSDGQRLLVYEFVPNRTLEFHLHVCSGKDQPTMDWPTRLKIALGSARGLAYLHEDCHPKIIHRDIKAANILLEYNFEAKASHASSCMCIYIYYMS >DRNTG_05869.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31425358:31429842:-1 gene:DRNTG_05869 transcript:DRNTG_05869.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSPPAPAPTSPPATPSPPSAPSPSNNSTSPPPSSPPPAPPSPPTPIPSPPPSSSPPSPSPPSPPSSPSPSSPSTPSPPSSPPPSSPSTPSPPSSPSPSSPSTPSPPSSPSPPPPSTGRSPPVPPSPPSAKTPPSSVKTPPPPSPSQSSSSSISTPMVVGIAIAGVVLLAALTVLYFCCCRNRKKRPPLPPPVYYGGHPPQQPPAAAVKDDFYGGQVHNWQHNAPPADHVVKIPSDPSPPPPFPSRPPNSPFLPPPPPPPPMMSSSGGSGSNYSGSDNPLPPPSPGTALGFPKGTFTFDELVRATDGFSEANLLGQGGFGYVHKGILPNGKEIAIKQLKTGSVQGDREFQAEVRIISRVHHKNLVTLVGHCDSDGQRLLVYEFVPNRTLEFHLHVCSGKDQPTMDWPTRLKIALGSARGLAYLHEDCHPKIIHRDIKAANILLEYNFEAKATDFGLARLTSDNNTHISTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGRRPVDSTYSFMDDSLVDWARPLMTRAIEDGDCTPLIDPKLGDKYNYVEVARMVACAAACVRHSAKRRPRMGMVVRTLEGDASLTDLNDGVTPGHSSVYGSSDYDTSQYNEDMKKFRKVLDSRDYSTSEYSAPTSEYGQNPSVSSSEGQQTQEMDMNKFKKDS >DRNTG_30151.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3316831:3319495:1 gene:DRNTG_30151 transcript:DRNTG_30151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCEGGELFDRLLARGQYSESAAAAVIRTVAEVIHTCHENHVMHRDLKPENFLYANRKESSPLKVIDFGLSVFFRPGERFSEIVGSPYYMAPEVLKRNYGPEADVWSAGVILYILLSGVPPFWAETEQGVARAIVRGVIDFEQDPWPHVSKSAKNLVRLMLEPDPKRRLTAKQVLEHPWIEHAKKAPNVSLGDIVRARLKQFSMMNRLKKKALRVIAEHLSIEEVEVIRDMFRLMDTNNDGMVTFEELKAGLQKVGSKLTEIEMKMLMEAADIDGNGCPGLRRVCCNYSSLATN >DRNTG_00319.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2225041:2228614:1 gene:DRNTG_00319 transcript:DRNTG_00319.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLTLALDPCMAAEKWLFPILSLAFVSLILFLSAISGFTASSSLLPAAADLRRGPNNPASFAFYLSGSRGDAHRLLRLLLAVYHPRNRYLLHLSWDAPASERAYLSSAARAAIPAIRAFGNVDVLGRGDAMTYMGSSVLAATLHAASVMLRLDGEWDWFVTLNAADYPLVTPDDLIHVFSSVPRDLNFIDHTSDLGWKESHRVQPIVVDPGIYLARRTQIFHATVKRKTPDAFKFFTGSPWVILSRSFLEYCIVGWDNLPRTLLMYFTNVPLPQEGYFHSVLCNAPEFQNKTVNNDLRYMAWDNPPQMEPHLLNVTDFDEMSKSGVPFARQFKKDDPVLDMIDETILDRSYYRPVPGAWCSGKRRWWTDPCSQWKDVNIVKPSRWVENFGKVMKKLVDDWNSDLDSCK >DRNTG_05539.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16721820:16731750:-1 gene:DRNTG_05539 transcript:DRNTG_05539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAGEVPPAIPAPARAGRQQQQQGLGQSLSGIIRMAVIWYFAMKFFAPKKPSEPSIPISNLFQKGELLDMWLYVSEHEKFNDFGNEDALVWHETNVPYAVWGPAGTRTHSLKYFPSEAVKHNGSVYAHVFFARSGYPADPNDPEYQQLSAFGKTHPIVIYLPKPKDDRKRSLLGNSKDLGEQEPSPVVKDNIQDESKEEGPVEWISYWKPNITINLLDDFTRYAHNAIPPNVAGHLNVEPNTGNYYPTIYFNEFWLLRDKLIPLNDSISELLLNLELGPISLTKWQLFLQIEQSFQMHRSYGSMLEGEADELKRVFLEGNPYLLAVTMAVSVLHSFFDMLAFKNDIQFWNKNKSMEGLSARSVVVNFFCQLIVFLYLLDNETSWMILASSGIGCCIEFWKIGKAMHIEIDRSGRIPMLRFRDRDSYAKNKTKEYDDLAMKYLSYVLFFLVACSSIYSLMYKEHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHLPWRQMTYKFLNTIIDDLFAFVIKMPMLHRLSVFRDDLIFLIYLYQRWIYPVDKKRVNEFGFGGEDADQRLAATDASAAPQDGDSRTEDNKKTN >DRNTG_18343.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1599171:1601761:-1 gene:DRNTG_18343 transcript:DRNTG_18343.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFMKRFTAKHRNQTPSSKEPQNQTSINSQTPNSMTMMLKPVQDKMIHKSSLDELDTSISPAVIQKLRRYSQNNSSEDRIEWLQSQVIGNQMEFDTPFGQRTLTYADHTASGRSLKFIEDYIIDKVLPSYGNTHTDDSFVGERTTKLAHKASEFIKKCMGGGNDDSIIFCGSGTTAAIKRLQEVIGVAVSPIMRDRVLENVKEEERWVVFIGPYEHHSNILSWRQSLADVVEIGLNSDGLIDLEELERQLKSLKYLDRPKLGSFSACSNVTGIATDTRAVARLLHRHGAFACFDFAASGPYVEINMRSRDELGGYDAVYLSPHKFIGGPGTPGILLMSNSMYRLGSLPPSTCGGGTVAYVNGFNEEDTLYYDKIEEREDAGTPQIIQKIRAALAFWVKEYIGYELIDLHESFYIETALRRLRQNPNIKVLGNTKAKRLSILSFLVYPSTTRTHSSIDDLDNKIQPLNGRFVAKLLNDLFGIQARGGCACAGPYGHSLLNVDEKLSLGIRSVIQKGYNGMKPGWTRISFPYYMAKEEFAFIMAAVEFIGMYGHRFLPLYTFHWESGDWSFDKRAFKYHLMEAELANASRALLSGAIGGVDGTRDLHALIGIENTLVNVKEAKSVGKPHKFAAYLEAAKRLALSLPADPPRNNVPDEIVVTLKLYE >DRNTG_25888.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19740305:19742734:-1 gene:DRNTG_25888 transcript:DRNTG_25888.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ssDNA-binding transcriptional regulator [Source:Projected from Arabidopsis thaliana (AT5G09250) TAIR;Acc:AT5G09250] MWRRGKKRSDGEGAGGWGGDSDMAPASKRAKDSEDDFDGIVVGEIGKNRRVSVRSWQGRVVVDIREFYVKDGKTLPGRKGISLPMDQWKILRDHINEIDKLVKENS >DRNTG_01852.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21302857:21305109:-1 gene:DRNTG_01852 transcript:DRNTG_01852.8 gene_biotype:protein_coding transcript_biotype:protein_coding MYREWQEEKAKRISQKQEEIENKIETVDALAVKLLQRFSYSGSSMRTTAHNLAEDKQFIHCKLRLVNSKAD >DRNTG_01852.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21302857:21305109:-1 gene:DRNTG_01852 transcript:DRNTG_01852.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYREWQEEKAKRISQKQEEIENKIETVDALAVKLLQRFSYSGSSMRTTAHNLAEVHPLQVEVGELKGRLTEVISNCDAICKRIVTEGPESLRSSVTPFSVCSNAPTSCSLITKTESHD >DRNTG_01852.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21302857:21305109:-1 gene:DRNTG_01852 transcript:DRNTG_01852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASESVLSKRSDSKVIDEITTISERIEGVDPIVQRVQALRIAEPLLKSPLESETSLTDILVRKPTSSSSIPGTLNPKVLLELFSMYREWQEEKAKRISQKQEEIENKIETVDALAVKLLQRFSYSGSSMRTTAHNLAEVHPLQVEVGELKGRLTEVISNCDAICKRIVTEGPESLRSSVTPFSVCSNAPTSCSLITKTESHD >DRNTG_01852.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21302857:21305109:-1 gene:DRNTG_01852 transcript:DRNTG_01852.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGASESVLSKRSDSKVIDEITTISERIEGVDPIVQRVQALRIAEPLLKSPLESETSLTDILVRKPTSSSSIPGTLNPKVLLELFSMYREWQEEKAKRISQKQEEIENKIETVDALAVKLLQRFSYSGSSMRTTAHNLAEDKQFIHCKLRLVNSKAD >DRNTG_01852.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21302857:21305109:-1 gene:DRNTG_01852 transcript:DRNTG_01852.7 gene_biotype:protein_coding transcript_biotype:protein_coding MYREWQEEKAKRISQKQEEIENKIETVDALAVKLLQRFSYSGSSMRTTAHNLAEVHPLQVEVGELKGRLTEVISNCDAICKRIVTEGPESLRSSVTPFSVCSNAPTSCSLITKTESHD >DRNTG_01852.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21302857:21305109:-1 gene:DRNTG_01852 transcript:DRNTG_01852.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYREWQEEKAKRISQKQEEIENKIETVDALAVKLLQRFSYSGSSMRTTAHNLAEDKQFIHCKLRLVNSKAD >DRNTG_32031.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8382276:8400329:-1 gene:DRNTG_32031 transcript:DRNTG_32031.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQTLRPPSTLPNLTPTQNSNQITSATNVASSSTACDCVILRIDSSGSTVVKNVGVEVTPEPIDQSFSDVANNEQLPLEKNIPGLQAHSEPLYLNAFSGQTFAAAAAMASLQMV >DRNTG_32031.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8384591:8399185:-1 gene:DRNTG_32031 transcript:DRNTG_32031.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYMQLTNNVHDHPPQQPQNNKITEVKEEDNIIYLVKWKHK >DRNTG_12019.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:747315:751780:1 gene:DRNTG_12019 transcript:DRNTG_12019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEATLLGSQIGDNKGSRALSMSDKKKEAKKSSDLVNGRCHLAPLVSTLDELKKHMSQRHWEIIRMTPFSPLLDLHPIVQERGLLDGILQLFDDPTNTFKIGKSHVTFRPEDVSLILGLPCYGTVVKFKRQKPLSEFEEKYLKTSKDRQRDNIKKKLMEIVHHRSEENFVKLLVVYIMASFLFPHTTSSAPSWVGQYVDQLYTMGQYAWALAVHKFLMDGVPKAARCVKARRSDRKINTGYLRGCVIALNIWFYEVTGTGKKVHYGKVPRALCYGEKSFRKTVSISELIPLSNEENGILGTTWASRVDRPMTAEKVRKKAKVENINSPQQTPLHHSSNDSSKRSQEFNIMVHRLLESLELLMQAARNLRTCPEKPRESSSLTSLLDAIEWLDTIESVKEDV >DRNTG_07636.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000344.1:92975:97823:1 gene:DRNTG_07636 transcript:DRNTG_07636.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLMESEVGTATKEGSLERLNWETSLPPLEV >DRNTG_07636.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000344.1:92819:97823:1 gene:DRNTG_07636 transcript:DRNTG_07636.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLMESEVGTATKEGSLERLNWETSLPPLEV >DRNTG_07636.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000344.1:97462:97823:1 gene:DRNTG_07636 transcript:DRNTG_07636.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLMESEVGTATKEGSLERLNWETSLPPLEV >DRNTG_30143.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3268933:3270163:1 gene:DRNTG_30143 transcript:DRNTG_30143.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPGLENCSIADVCANGDQSAALTSNGQLYTWGRGFGGSSGSHIPQLLTSPLRFSKVVLGWNHALLIADGDTYILGGDHHGMLASAPKSQQASSPSSDDSSAIPNLRKVPGLDGEKAICIAAGAEHSALVTEHGTVMTWGWGEHGQLGLGNSCDQTCPQALDISYNGPFASSQFRVYCGSGFTFLAKLPS >DRNTG_30143.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3267023:3270163:1 gene:DRNTG_30143 transcript:DRNTG_30143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPASGEQEEEVCLWSWGAGTEGQLATGTLEDQFLPQRITSLPAISHIACGGAHAIALTGDGKVWTWGRGTKGQLGHEEMNNCLKPRVVKLLESFFVSDVAAGWNHSGFVTDTGRLFMCGDGSFGQLGTGNNESHSSPIEISFSDATHVEQVACGMRHSIALVKDASSSGDLVYGFGAGRHGQIGKPATRSSYLPMVVPGLENCSIADVCANGDQSAALTSNGQLYTWGRGFGGSSGSHIPQLLTSPLRFSKVVLGWNHALLIADGDTYILGGDHHGMLASAPKSQQASSPSSDDSSAIPNLRKVPGLDGEKAICIAAGAEHSALVTEHGTVMTWGWGEHGQLGLGNSCDQTCPQALDISYNGPFASSQFRVYCGSGFTFLAKLPS >DRNTG_30143.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3267023:3270163:1 gene:DRNTG_30143 transcript:DRNTG_30143.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPASGEQEEEVCLWSWGAGTEGQLATGTLEDQFLPQRITSLPAISHIACGGAHAIALTGDGKVWTWGRGTKGQLGHEEMNNCLKPRVVKLLESFFVSDVAAGWNHSGFVTDTGRLFMCGDGSFGQLGTGNNESHSSPIEISFSDATHVEQVACGMRHSIALVKGDLVYGFGAGRHGQIGKPATRSSYLPMVVPGLENCSIADVCANGDQSAALTSNGQLYTWGRGFGGSSGSHIPQLLTSPLRFSKVVLGWNHALLIADGDTYILGGDHHGMLASAPKSQQASSPSSDDSSAIPNLRKVPGLDGEKAICIAAGAEHSALVTEHGTVMTWGWGEHGQLGLGNSCDQTCPQALDISYNGPFASSQFRVYCGSGFTFLAKLPS >DRNTG_30143.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3267023:3270163:1 gene:DRNTG_30143 transcript:DRNTG_30143.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDGSFGQLGTGNNESHSSPIEISFSDATHVEQVACGMRHSIALVKDASSSGDLVYGFGAGRHGQIGKPATRSSYLPMVVPGLENCSIADVCANGDQSAALTSNGQLYTWGRGFGGSSGSHIPQLLTSPLRFSKVVLGWNHALLIADGDTYILGGDHHGMLASAPKSQQASSPSSDDSSAIPNLRKVPGLDGEKAICIAAGAEHSALVTEHGTVMTWGWGEHGQLGLGNSCDQTCPQALDISYNGPFASSQFRVYCGSGFTFLAKLPS >DRNTG_18764.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1345708:1346322:1 gene:DRNTG_18764 transcript:DRNTG_18764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQRVFNLQQLTEHNSKQDCWLAIHGKVVDVTKFLEDHPGRGSSAVRGCREGSHQRI >DRNTG_03532.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21553754:21555748:1 gene:DRNTG_03532 transcript:DRNTG_03532.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFIAPTANFSTAMARKFLGLFNSSDNGDSSNHIVAVELDTRQNIDNDDIDSNHIGIDINGVKSNLSHPAGYFNDLANEFHNLSLVSGEPMQVWVDYDGGEMKLNVTLSPIQIPKPKRPLLSMVVNLSSILFNSMYVGFSSSTGSLVSSDHCLLGWSFKLNGLVPEALNISTLPSLPEHAAIKEKPKYLTTIWLIVGSVFLLMSMAIAVILIMVRRRRIKFAELVEDWELQYSTHRFSYKTLFKATKGFNDKQLLGVGGFGKVYKGLLPKSNTEVAVKRVSHESRQGMTEFIAEIVTLGQLRHRNLVQLLGYCRRKGELLLVYDYMPNGNLGSFLHCKDRPALNWPQRLHIIKGVASGLQYLHEDWEQVVIHRDIKTNNVLLDSELNGRLSDFGLARLYDHDMDPQTTKVAGTMGYIAPELARTGRPTTLTDVFAFGVFVLEVACGRRPIDFKSKDDNQVVLVDWVLENWKKETILDSIDQRLGDEYNVDEKKLVLELGLLCSHTLPALRPSMKQVVQCLNGDAQLPSLSSLAFSNFNLLALQKNEGFDKYVLSHPNSSIPSSEFNIADFRSISSEGPSM >DRNTG_03532.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21553720:21555939:1 gene:DRNTG_03532 transcript:DRNTG_03532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELATLATVDSFIFTNGFTNTKFILDGVASITENGVLKLTNDTKDATGHAIYPTPFNFLQPSTGKAVSFSTTFVFAIIPQEYYGDGMAFFIAPTANFSTAMARKFLGLFNSSDNGDSSNHIVAVELDTRQNIDNDDIDSNHIGIDINGVKSNLSHPAGYFNDLANEFHNLSLVSGEPMQVWVDYDGGEMKLNVTLSPIQIPKPKRPLLSMVVNLSSILFNSMYVGFSSSTGSLVSSDHCLLGWSFKLNGLVPEALNISTLPSLPEHAAIKEKPKYLTTIWLIVGSVFLLMSMAIAVILIMVRRRRIKFAELVEDWELQYSTHRFSYKTLFKATKGFNDKQLLGVGGFGKVYKGLLPKSNTEVAVKRVSHESRQGMTEFIAEIVTLGQLRHRNLVQLLGYCRRKGELLLVYDYMPNGNLGSFLHCKDRPALNWPQRLHIIKGVASGLQYLHEDWEQVVIHRDIKTNNVLLDSELNGRLSDFGLARLYDHDMDPQTTKVAGTMGYIAPELARTGRPTTLTDVFAFGVFVLEVACGRRPIDFKSKDDNQVVLVDWVLENWKKETILDSIDQRLGDEYNVDEKKLVLELGLLCSHTLPALRPSMKQVVQCLNGDAQLPSLSSLAFSNFNLLALQKNEGFDKYVLSHPNSSIPSSEFNIADFRSISSEGPSM >DRNTG_03532.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21553754:21555812:1 gene:DRNTG_03532 transcript:DRNTG_03532.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFIAPTANFSTAMARKFLGLFNSSDNGDSSNHIVAVELDTRQNIDNDDIDSNHIGIDINGVKSNLSHPAGYFNDLANEFHNLSLVSGEPMQVWVDYDGGEMKLNVTLSPIQIPKPKRPLLSMVVNLSSILFNSMYVGFSSSTGSLVSSDHCLLGWSFKLNGLVPEALNISTLPSLPEHAAIKEKPKYLTTIWLIVGSVFLLMSMAIAVILIMVRRRRIKFAELVEDWELQYSTHRFSYKTLFKATKGFNDKQLLGVGGFGKVYKGLLPKSNTEVAVKRVSHESRQGMTEFIAEIVTLGQLRHRNLVQLLGYCRRKGELLLVYDYMPNGNLGSFLHCKDRPALNWPQRLHIIKGVASGLQYLHEDWEQVVIHRDIKTNNVLLDSELNGRLSDFGLARLYDHDMDPQTTKVAGTMGYIAPELARTGRPTTLTDVFAFGVFVLEVACGRRPIDFKSKDDNQVVLVDWVLENWKKETILDSIDQRLGDEYNVDEKKLVLELGLLCSHTLPALRPSMKQVVQCLNGDAQLPSLSSLAFSNFNLLALQKNEGFDKYVLSHPNSSIPSSEFNIADFRSISSEGPSM >DRNTG_03532.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21553720:21555748:1 gene:DRNTG_03532 transcript:DRNTG_03532.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAELATLATVDSFIFTNGFTNTKFILDGVASITENGVLKLTNDTKDATGHAIYPTPFNFLQPSTGKAVSFSTTFVFAIIPQEYYGDGMAFFIAPTANFSTAMARKFLGLFNSSDNGDSSNHIVAVELDTRQNIDNDDIDSNHIGIDINGVKSNLSHPAGYFNDLANEFHNLSLVSGEPMQVWVDYDGGEMKLNVTLSPIQIPKPKRPLLSMVVNLSSILFNSMYVGFSSSTGSLVSSDHCLLGWSFKLNGLVPEALNISTLPSLPEHAAIKEKPKYLTTIWLIVGSVFLLMSMAIAVILIMVRRRRIKFAELVEDWELQYSTHRFSYKTLFKATKGFNDKQLLGVGGFGKVYKGLLPKSNTEVAVKRVSHESRQGMTEFIAEIVTLGQLRHRNLVQLLGYCRRKGELLLVYDYMPNGNLGSFLHCKDRPALNWPQRLHIIKGVASGLQYLHEDWEQVVIHRDIKTNNVLLDSELNGRLSDFGLARLYDHDMDPQTTKVAGTMGYIAPELARTGRPTTLTDVFAFGVFVLEVACGRRPIDFKSKDDNQVVLVDWVLENWKKETILDSIDQRLGDEYNVDEKKLVLELGLLCSHTLPALRPSMKQVVQCLNGDAQLPSLSSLAFSNFNLLALQKNEGFDKYVLSHPNSSIPSSEFNIADFRSISSEGPSM >DRNTG_03532.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21553684:21555812:1 gene:DRNTG_03532 transcript:DRNTG_03532.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLKVLLWILMAELATLATVDSFIFTNGFTNTKFILDGVASITENGVLKLTNDTKDATGHAIYPTPFNFLQPSTGKAVSFSTTFVFAIIPQEYYGDGMAFFIAPTANFSTAMARKFLGLFNSSDNGDSSNHIVAVELDTRQNIDNDDIDSNHIGIDINGVKSNLSHPAGYFNDLANEFHNLSLVSGEPMQVWVDYDGGEMKLNVTLSPIQIPKPKRPLLSMVVNLSSILFNSMYVGFSSSTGSLVSSDHCLLGWSFKLNGLVPEALNISTLPSLPEHAAIKEKPKYLTTIWLIVGSVFLLMSMAIAVILIMVRRRRIKFAELVEDWELQYSTHRFSYKTLFKATKGFNDKQLLGVGGFGKVYKGLLPKSNTEVAVKRVSHESRQGMTEFIAEIVTLGQLRHRNLVQLLGYCRRKGELLLVYDYMPNGNLGSFLHCKDRPALNWPQRLHIIKGVASGLQYLHEDWEQVVIHRDIKTNNVLLDSELNGRLSDFGLARLYDHDMDPQTTKVAGTMGYIAPELARTGRPTTLTDVFAFGVFVLEVACGRRPIDFKSKDDNQVVLVDWVLENWKKETILDSIDQRLGDEYNVDEKKLVLELGLLCSHTLPALRPSMKQVVQCLNGDAQLPSLSSLAFSNFNLLALQKNEGFDKYVLSHPNSSIPSSEFNIADFRSISSEGPSM >DRNTG_03532.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21553893:21555812:1 gene:DRNTG_03532 transcript:DRNTG_03532.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFIAPTANFSTAMARKFLGLFNSSDNGDSSNHIVAVELDTRQNIDNDDIDSNHIGIDINGVKSNLSHPAGYFNDLANEFHNLSLVSGEPMQVWVDYDGGEMKLNVTLSPIQIPKPKRPLLSMVVNLSSILFNSMYVGFSSSTGSLVSSDHCLLGWSFKLNGLVPEALNISTLPSLPEHAAIKEKPKYLTTIWLIVGSVFLLMSMAIAVILIMVRRRRIKFAELVEDWELQYSTHRFSYKTLFKATKGFNDKQLLGVGGFGKVYKGLLPKSNTEVAVKRVSHESRQGMTEFIAEIVTLGQLRHRNLVQLLGYCRRKGELLLVYDYMPNGNLGSFLHCKDRPALNWPQRLHIIKGVASGLQYLHEDWEQVVIHRDIKTNNVLLDSELNGRLSDFGLARLYDHDMDPQTTKVAGTMGYIAPELARTGRPTTLTDVFAFGVFVLEVACGRRPIDFKSKDDNQVVLVDWVLENWKKETILDSIDQRLGDEYNVDEKKLVLELGLLCSHTLPALRPSMKQVVQCLNGDAQLPSLSSLAFSNFNLLALQKNEGFDKYVLSHPNSSIPSSEFNIADFRSISSEGPSM >DRNTG_03532.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21553754:21555939:1 gene:DRNTG_03532 transcript:DRNTG_03532.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFIAPTANFSTAMARKFLGLFNSSDNGDSSNHIVAVELDTRQNIDNDDIDSNHIGIDINGVKSNLSHPAGYFNDLANEFHNLSLVSGEPMQVWVDYDGGEMKLNVTLSPIQIPKPKRPLLSMVVNLSSILFNSMYVGFSSSTGSLVSSDHCLLGWSFKLNGLVPEALNISTLPSLPEHAAIKEKPKYLTTIWLIVGSVFLLMSMAIAVILIMVRRRRIKFAELVEDWELQYSTHRFSYKTLFKATKGFNDKQLLGVGGFGKVYKGLLPKSNTEVAVKRVSHESRQGMTEFIAEIVTLGQLRHRNLVQLLGYCRRKGELLLVYDYMPNGNLGSFLHCKDRPALNWPQRLHIIKGVASGLQYLHEDWEQVVIHRDIKTNNVLLDSELNGRLSDFGLARLYDHDMDPQTTKVAGTMGYIAPELARTGRPTTLTDVFAFGVFVLEVACGRRPIDFKSKDDNQVVLVDWVLENWKKETILDSIDQRLGDEYNVDEKKLVLELGLLCSHTLPALRPSMKQVVQCLNGDAQLPSLSSLAFSNFNLLALQKNEGFDKYVLSHPNSSIPSSEFNIADFRSISSEGPSM >DRNTG_03532.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21553720:21555812:1 gene:DRNTG_03532 transcript:DRNTG_03532.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAELATLATVDSFIFTNGFTNTKFILDGVASITENGVLKLTNDTKDATGHAIYPTPFNFLQPSTGKAVSFSTTFVFAIIPQEYYGDGMAFFIAPTANFSTAMARKFLGLFNSSDNGDSSNHIVAVELDTRQNIDNDDIDSNHIGIDINGVKSNLSHPAGYFNDLANEFHNLSLVSGEPMQVWVDYDGGEMKLNVTLSPIQIPKPKRPLLSMVVNLSSILFNSMYVGFSSSTGSLVSSDHCLLGWSFKLNGLVPEALNISTLPSLPEHAAIKEKPKYLTTIWLIVGSVFLLMSMAIAVILIMVRRRRIKFAELVEDWELQYSTHRFSYKTLFKATKGFNDKQLLGVGGFGKVYKGLLPKSNTEVAVKRVSHESRQGMTEFIAEIVTLGQLRHRNLVQLLGYCRRKGELLLVYDYMPNGNLGSFLHCKDRPALNWPQRLHIIKGVASGLQYLHEDWEQVVIHRDIKTNNVLLDSELNGRLSDFGLARLYDHDMDPQTTKVAGTMGYIAPELARTGRPTTLTDVFAFGVFVLEVACGRRPIDFKSKDDNQVVLVDWVLENWKKETILDSIDQRLGDEYNVDEKKLVLELGLLCSHTLPALRPSMKQVVQCLNGDAQLPSLSSLAFSNFNLLALQKNEGFDKYVLSHPNSSIPSSEFNIADFRSISSEGPSM >DRNTG_03532.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21553893:21555748:1 gene:DRNTG_03532 transcript:DRNTG_03532.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFIAPTANFSTAMARKFLGLFNSSDNGDSSNHIVAVELDTRQNIDNDDIDSNHIGIDINGVKSNLSHPAGYFNDLANEFHNLSLVSGEPMQVWVDYDGGEMKLNVTLSPIQIPKPKRPLLSMVVNLSSILFNSMYVGFSSSTGSLVSSDHCLLGWSFKLNGLVPEALNISTLPSLPEHAAIKEKPKYLTTIWLIVGSVFLLMSMAIAVILIMVRRRRIKFAELVEDWELQYSTHRFSYKTLFKATKGFNDKQLLGVGGFGKVYKGLLPKSNTEVAVKRVSHESRQGMTEFIAEIVTLGQLRHRNLVQLLGYCRRKGELLLVYDYMPNGNLGSFLHCKDRPALNWPQRLHIIKGVASGLQYLHEDWEQVVIHRDIKTNNVLLDSELNGRLSDFGLARLYDHDMDPQTTKVAGTMGYIAPELARTGRPTTLTDVFAFGVFVLEVACGRRPIDFKSKDDNQVVLVDWVLENWKKETILDSIDQRLGDEYNVDEKKLVLELGLLCSHTLPALRPSMKQVVQCLNGDAQLPSLSSLAFSNFNLLALQKNEGFDKYVLSHPNSSIPSSEFNIADFRSISSEGPSM >DRNTG_18457.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19543859:19544454:1 gene:DRNTG_18457 transcript:DRNTG_18457.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHEKHHLRLSFTKVTSAPEDDERRHLS >DRNTG_18457.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19543859:19544454:1 gene:DRNTG_18457 transcript:DRNTG_18457.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHEKHHLRLSFTKVTSAPEDDERRHLS >DRNTG_07335.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23457720:23460556:1 gene:DRNTG_07335 transcript:DRNTG_07335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRLMGLEVIRNVTRTQSMFTKPQTTSPELIEDFQIWDALRNMLLDGGEGSRILVTTRNQECSRVMDAKKHYILNLLSKESSQVLFEQNAFAAGAPKPQKLVEIGKRIAEKCGRLPLAIQVLGCIMCYKREESEWQAMLENIETWKFQHTKNKIMPELWQSYVDLPTHLKKCFAFCAIFPKDYNIEEVKLIQLWMAHGFIASQKGDNMEVKGREIFRELIKRSLLQNECFVHSSKKGSVCKMHDLIHDLAYFVMENECFPSLNISAGPEILISLCHLTFYADSYVDENYYQGDCSIIHTVRYCPGNLSVLSKLKLLRVLDLSYAYIDGLPASIEHLHHLRYLDISYTLIRKLPESIGMLVNLQTFTLYGCRELSELPKSITYMNNIRHLLFYCSTQLEAFPANLSQLPNLKTLLVHNVEDDVENNIRQLKSLNPFGELALYNLQKVKNADDARKADTEEVLEALKPHNKITLYGCGEWDKLPPLEILPCLEHLRLLKMDGIKHIVNVREGNVPQSFPALKKLILDGMKNLEGWCVEEAGEANLYLLPGLIQIKITKYPKLTTTMTSIPTLQELHMDNSFCETQISGMSWERRFFKHLKSLRSLSIKYCTEELVLLLENEEDIRAMKSSLESLEIFYCNQLSLRLVLQNLPSLRDLQVKSLEKLVSWPDELQGMNSLNNLTISSCKKLTSISSQGDCGPPFLKCLWVFGYDALRELPMCPKSLQSLIITDCRVMESLWPEMGHLTSIHIRSV >DRNTG_04147.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:9196469:9197942:-1 gene:DRNTG_04147 transcript:DRNTG_04147.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSLKSSSARKLPIKRRPPPLSSSSPPSPSPSPDPDPSSTLPYSDDAADDDPSSDSLHPPPFKFQRIWSESDEIRFLQGLLGCHAQSMVFPRDLNLFFDRFSEHMAQPFTRSQLSEKLRRLRTKFRIMSGRIHRGQDPSRLAPHDRDILHLCTRLWHPDYAATSPFSSPDAGGGSNKRRRRNPRPLPSASPSQPPPLLPALPAPQEDDKSAVNLLGENEPPQILAKEEEDDVVVAGEEEVDGLAINGVVPDEPEVKPNALPVKIAEATGFGTIRTHGGGNLVKKIVLDVFNECVKEVQKALDDRRVEHMETELSRRWREQQALELDVLSRRLRLVLEHVTP >DRNTG_04238.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:16088156:16104267:-1 gene:DRNTG_04238 transcript:DRNTG_04238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTEKSEKQRIHMCVWKLSTAVWKFRMGACTVHARGVVRFQPYLKPISAPISAFISPSFPQLVRGLRLGFRGVLANVLEKFYGSDIVIPLGRRLVGELRSRRILYRTKESLDDE >DRNTG_29749.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1651272:1652256:-1 gene:DRNTG_29749 transcript:DRNTG_29749.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENGELKESSTLSPLVTRMHKSRQGSPRKRYGSLPGMKGRNRLRTEESFYENPLPECICRN >DRNTG_29749.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1651272:1657724:-1 gene:DRNTG_29749 transcript:DRNTG_29749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRASSSSLDPLCSRFLSPSPISVYVLLLLVFSTVVVFFSHRQIAQVSHQPFVSELPPVLEDKHLWDSSHGYGYKQCIKPTHRYKPPQDVNRYLTVRSNGGLNQMRTGICDMVAVARIMNATLVIPELDKRSFWQDSSTFSDIFDENHFINALQGDIHIVRELPKELASLPRARKHFTSWASASYYEEVSHLFKDYKVIHVPKSDSRLANNDLPIDIQRLRCRALYHALQFSAPIQDLGKVLSMHDAGTTEACGAAEITRTIYRAASSL >DRNTG_29749.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1652315:1652492:-1 gene:DRNTG_29749 transcript:DRNTG_29749.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAPQKLVERLKSHGRYIALHLRYEKDMLSFTGCTYGLNDSEAEMLTALR >DRNTG_12856.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000577.1:20459:21085:-1 gene:DRNTG_12856 transcript:DRNTG_12856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGEEVTSRSEMDEIPAGITAEEYEELKATIEAHHQYRTGPGRCSSLLAQRVKAPSEKVWAVVRCFDRPQVYKHFVRSCTIPGDGEIRVGCLREVSVISGLPASFSTERLDILDDERRVFGFSIIGGEHRLRNYRSVTTVTQFGGGEGREIWSVVLESYAVDVPEGNTEDDTRLFADTVVKLNLQKLATVAEMEAMAAPAPAPPET >DRNTG_30782.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001626.1:3144:6626:-1 gene:DRNTG_30782 transcript:DRNTG_30782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMRFFKDGHSEVLQCHKNAILNCVCVLPRDLRILGPVFSRSSNILGLFFSLFF >DRNTG_05217.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6606951:6608486:1 gene:DRNTG_05217 transcript:DRNTG_05217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPQAIHQVYKDHADLRSTLKGEPFRFYPGLVDTYSITRPGVASRLIYFSILPRDEECYFADMLENIDVAEHLLFEAAQRSSLAFGLMRSLNKCVEDSNIHHKKLKLTIEDLRKHNKEITTHLQTSEAELASFRGYASKCSTLNDENKRLGDKVSSLCSTITSLEKDLASERQATSALKDEISSLFGDTFSKTGFYLARELLEKEHGRAYPELVFSKTSSIVEPLPWRSFDPTEEDVTISLNSSLHDDLDDLIGPWEFFGKNGVSSKIHDDEISTL >DRNTG_16907.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4955726:4961093:-1 gene:DRNTG_16907 transcript:DRNTG_16907.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIRERRVMDNAIDDSGRGQRNRRINQTRHEGAANDIPEYAPETEGDQNAQPSQGNQTGVLQLVEAFTRVLTDITKKGKLHNGILEFKKLDPPAFKGSADPLDADEWLEEIEKIFSVTNFDNDQKVLYATFMLQGAAYDWWKMEKHTHELNPEPYTWEKFKTTFYNRYFPRYLRRQKEKEFINLQQEEMSVYEYENEFYRLVKYSLEIFSTEETRVQWFQQGLKPEIQLGLAPFEFETVSEVASKAKLVEWRLNEVQKETERHQKKRFRSTNLQVGEDGPPKKLDTQGRDGKSTLDDGGQCCRCGGFHDETNCHLNSRACFGCGQKGHLVADCPHKS >DRNTG_16907.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4955726:4961093:-1 gene:DRNTG_16907 transcript:DRNTG_16907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRSSVKKLCEFCKTIKRRGRVYVICTANPKHKQRQGISTFAYEGPLPSVSSEVAAAAAQEPPPPKYSWPIGLASLINKNVK >DRNTG_27714.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3702908:3723203:-1 gene:DRNTG_27714 transcript:DRNTG_27714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIFTLLSLGLGLPAEKLETEAGGMENILFQMKINYYPKCPQPNLALGVEAHTDVSHLTFILHNNVPGLQVYYLGKWVTAKNVPDSIIVRIGDSLEILSNGLFKSVLHRGLVNKEKVRISWTISAEPHKDKVLLRPLPKLVTKASPPKFGLCTFAQLVRKKDSKIKDKESPAPAAAAK >DRNTG_33971.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2342611:2343141:-1 gene:DRNTG_33971 transcript:DRNTG_33971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIKHHSHHHKRHHKRHHNRHRVRRRQSHSNQHHHHFPSQPYHDPSHK >DRNTG_22853.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:16617961:16657243:-1 gene:DRNTG_22853 transcript:DRNTG_22853.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGDTLRGAERIVAPTPLAFDTIMMMGLVWRCGPGVYILTTATPETSRSEGVTAEGSPHIPRPPAAQGPRAYDRIERLESVVREIQTEMAELHAIQPSVPPAPSSPTPAPVDPPCASSPAAAVAPEPEGDTDI >DRNTG_15124.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18272058:18281925:1 gene:DRNTG_15124 transcript:DRNTG_15124.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLLILDVPFLTNIQIGNSPVYKIERKLGKGGFGQVYVGWRMSPANSNDRIPGTNAIEALSSANSW >DRNTG_15124.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18280634:18281925:1 gene:DRNTG_15124 transcript:DRNTG_15124.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVETQVGLGLDPMATNYCRYSSSRNTTASSNHLTECRDQLHQMEQRIQQLEEERERERVQYKILVTFLQNQFPGANFPFPDIGGPTSQSQVFQTCKLLLSSFLYAKHLILIIESIYWT >DRNTG_15124.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18275755:18281925:1 gene:DRNTG_15124 transcript:DRNTG_15124.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLLILDVPFLTNIQIGNSPVYKIERKLGKGGFGQVYVGWRMSPANSNDRIPGTNAIEALSSANSW >DRNTG_15124.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18272058:18281925:1 gene:DRNTG_15124 transcript:DRNTG_15124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLLILDVPFLTNIQIGNSPVYKIERKLGKGGFGQVYVGWRMSPANSNDRIPGTNAIEALSSANSW >DRNTG_15124.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18279910:18281925:1 gene:DRNTG_15124 transcript:DRNTG_15124.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVETQVGLGLDPMATNYCRYSSSRNTTASSNHLTECRDQLHQMEQRIQQLEEERERERVQYKILVTFLQNQFPGANFPFPDIGGPTSQSQKPSPGSQ >DRNTG_11668.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:225649:232254:-1 gene:DRNTG_11668 transcript:DRNTG_11668.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucan phosphorylase 2, cytosolic [Source:Projected from Arabidopsis thaliana (AT3G46970) UniProtKB/Swiss-Prot;Acc:Q9SD76] MFIYLKFMASTRKETHAADETPPSAVGYPLAEKTSEIAANIAYHAHYTPHFSPLKFDLEQAYYAAAESVRDLLIQRWDETYVHFHKADPKQTYYLSMEYLQGRALTNAIGNLDLVQGYADALNQLGHKLEEIVEQEKDAALGNGGLGRLASCFLDSMATLNLPAWGYGLRYRYGLFKQRISKEGQEEVAEDWLEKFSPWEIVRHDVTFPVIFFGHVEVSPDGSRQWVGGEVLQALAYDVPIPGYKTKNTISLRLWEAKATADDFNLFQFNDGQYESAAQLHSKAQQICAVLYPGDATENGKILRLKQQFFLCSASLQDIIARFKERRNGVGSLQWSEFPSKVAVQLNDTHPTLAIPELMRLLMDGEGLGWDEAWDVTTKTVAYTNHTVLPEALEKWPKAILAKLLPRHLEIIEEIDKRFMALIHSTRTDMEDKLSNMRILDNSNPQKPVIRMANLCVVSSHTVNGVAQLHSDILKSELFADYVSVWPTKFQNKTNGITPRRWLRFCSPELSNIITKWLKTDEWITNLDLLCGLRQFADDEALHEEWASAKMANKSRLAQYVLQVTGVSIDPNSLFDIQVKRIHEYKRQLLNILGAVYRYKNLKEMSIEERKKVTPRTVMIGGKAFATYTNAKRIVKLVNDVGAVVNNDPEISKYLKVVFIPNYNVSVAEKLIPGSELSQQTSTAGMEASGTGNMKFSLNGCLIIGTLDGANVEIREEIGEENFFLFGARADEVPKLRKERELGQFKPDPRFEEAKQFIRSGAFGSYDYNPLLDSLEGNSGYGRGDYFLVGHDFPSYMDAQAQVDEAYKDKRRWLKMSIMSTAGSGKFSSDRTISQYAKEIWDIKACPVP >DRNTG_04707.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3928416:3931949:-1 gene:DRNTG_04707 transcript:DRNTG_04707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRSYVMNDIGDDNEIPLIMDDELGSLLRVFLEHADVFYEIRVNIQRQTRCFETLDSSGLAINLRTEAKGSSNSSTKELEALSGMQKHVQLAHLDAFKKCLSSENMDEAFLHRRFFHLDHGVDHGVDETEYKRQCKVAETLSEKHFDLAFQVIYEFNLPVNEHHVDTILYQIFLLLSSLTVDIYAGVAASLTERPVNRVPEKHKGTIDEDNWD >DRNTG_33349.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20686379:20687124:-1 gene:DRNTG_33349 transcript:DRNTG_33349.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKCGSIRIAVKIFDTLTRRDIVSWNVMIATYGMHGCGEDALQIFYQMLESGERPTSVTFTSILSACSHSSLTDEGLEIFKIMHVVYGIVPDMLHYSCMVDLLSRAGYLDKAGDFIQSIPLEPYPSLWRAHLASYNIYSDAAIARYIGEKLI >DRNTG_05274.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19639478:19644072:1 gene:DRNTG_05274 transcript:DRNTG_05274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESALHLLILSISLSSVYNASAYNPTTAYFLNCGSSTNITFSDESRTFTTDSPFLISSSTSNTVSVTDPINQSNSLYNTARLFTHTSSYNFPIKTTGTYVLRLHFFPFITKSYNLINSSNFNVSSPQTQTFLLGSFSPSKSNTSTIKEYYLWLDTDGLILTFTPNSPSLAFISAIELFTAPLSLINDTEPTTVSTTGQDFNIGDLSRQSLETLYRVNVGGPLITPTNDTLWRTWIPDDPFLYSISSSSKEDSTSTDNIKYGLDTREVAPPFVYSTVREMNISSITRVANSNFNFNLTWTFKVPAGYKYFIRMHFCDIVSNQPLDLIFDVYIGDASAYPNLQLGSLTHLSLDAAHYLDFITDEDIVENSGLLNVSVGRSSKSTPDTANAIMNGLEIMKINNSVGSLNGSYNSFASNSVKRSTHVGITVIIVSVVAAALVSLIAVSITIVILMRKRTKPAPLNPKANTTTAVFCSPHHKDSVNKSSSGATPRMKMGLELYIPLSDIKLATNDFDEALVIGHGGFGKVYKGVLSDGTMVAVKRAIGKVFVEATREDDF >DRNTG_03929.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15193533:15206364:-1 gene:DRNTG_03929 transcript:DRNTG_03929.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPHLYFILIQFLPLFSHHVIATVPAIIVFGDSTVDAGNNNVIATLLKSNFGPYGRDFIGGEPTGRFCNGRLATDYIAEAFGLGSTIPAYLDPAFDIKDFMTSVCFASAGTGFDIATSEVLNVIPLEKEVEYFGEYQEKLRNYVGESKAKQIVNEAIYIVSIGTNDFLENYYALITGRFKQYTIEEFEDFLVELAAKFFSEIYYLGARKIAFTGLSPIGCLPLERTTNLLHGGACIEEYNKVAKDFNSKMMAKIYELNAELPGIRLIFLDIYNTVLHIIQNPSSYGFENSRRGCCATGLFEMSYLCNQWSHATCPDADKYVFWDSFHPTQKMNRIMAQYAVDTYMRFFI >DRNTG_28020.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23006815:23007191:-1 gene:DRNTG_28020 transcript:DRNTG_28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSIVIFVAGHSFYIKTEPNGSPLTRLAQVVIASIRKRNLVVNPNESNFLYQNKDLDAGISTSGMLLHTNKLMCLDKAAVVVEGDKKIN >DRNTG_17391.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000893.1:39682:40134:-1 gene:DRNTG_17391 transcript:DRNTG_17391.1 gene_biotype:protein_coding transcript_biotype:protein_coding GYVNIGTCQISPDHNFLAYTLDTRGSELFVLQLKDLRTGSMILGSEVEGVLRLMTVIICSTHVVMKINALTEFLVENWEQMWRMIYFLLKMI >DRNTG_07287.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3639487:3647436:1 gene:DRNTG_07287 transcript:DRNTG_07287.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRGDASAIRPLRTSDRLRQRRKLFGRRFMYYKPAMRKKPKTKTRTAASQIAKLLRPRNRPVRPPPSDSIAANLRRSTRKRKISINLEDYDTDSSSSEDDDLMRPKFRSSKRKPENNASHDEISNSPKRKKMLNKSAPRREGLRPRRSGIRARGQPYQESEDEQDSSEGNARQTEVENGNEVEEDDGDEGDEAEGDGGDEGEEDGDDEDGEEQGRRRYDLRNRAEVRRFFPEKEGKQRPRSPRRVLHQGMGSKNGKDLRKGGPRFHKRHPFTRPEDSDDSLLVDELDQGPAMPWARSGSRSGPPWLFGGMDMHGTTTTAWGLNVAASGWVHQGDSFPSLTAGAQTAGPSSKGGADIQPLQVDESVSFNDIGGLSEYIDALKEMVFFPLLYPDFFSNYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFIFPLPGCEARAEILDIHTRKWKEPLSKDLKMELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFVIDVDSIKVEKYHFLEAMSTITPAAHRGSIVHSRPLSPILSSCLKGHLMKIMGHISDIFPYHSASDTSKLAVLSYCSAFPLVYRPRLLLCGNETVGLDHVGPAVLHELEKFPVHSLGLPSLLSDPSAKTPEEALVHIFGEARRSTPSILFLPQFQLWWETANEQLKSVLMTLLGELPSDLPVLLLGTSTSPSTDLDEESAAIFAPQHVYQVEKPTRTDMLKFFEQLVESVFSFQLQESRSNSKEKTSLPELPKAPKEISGPKPSEMRAKAEAEQHALRRLRMCLRDVCNRILYDKRFSVFHYPVTDEDAPNYRSIIQNPMDMATLLQRVDSGQYLTCSAFLRDVDLIAANAKAYNGDDYNGARIVSRAHELRDAVQGMLSQMDPALVSFCDKIAADGGPVQVADDIGISSLTAGPVVQLTAVTRSSARLRHVQPEVNLQQSYEALKRPKKITETEQAASIMEDKSNAVTESETSKSGNSQPGSSQELQMNGASNRLDNPSHNELSEGLVTVSCAGPTDISTKEDVDMADAEISEQITSIKHRLMERAEGYGVPELERLYMRIVKGVIAVRSKEAEENHRLSILRYLLKFVEDDDNF >DRNTG_07287.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3639487:3647436:1 gene:DRNTG_07287 transcript:DRNTG_07287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRGDASAIRPLRTSDRLRQRRKLFGRRFMYYKPAMRKKPKTKTRTAASQIAKLLRPRNRPVRPPPSDSIAANLRRSTRKRKISINLEDYDTDSSSSEDDDLMRPKFRSSKRKPENNASHDEISNSPKRKKMLNKSAPRREGLRPRRSGIRARGQPYQESEDEQDSSEGNARQTEVENGNEVEEDDGDEGDEAEGDGGDEGEEDGDDEDGEEQGRRRYDLRNRAEVRRFFPEKEGKQRPRSPRRVLHQGMGSKNGKDLRKGGPRFHKRHPFTRPEDSDDSLLVDELDQGPAMPWARSGSRSGPPWLFGGMDMHGTTTTAWGLNVAASGWVHQGDSFPSLTAGAQTAGPSSKGGADIQPLQVDESVSFNDIGGLSEYIDALKEMVFFPLLYPDFFSNYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFIFPLPGCEARAEILDIHTRKWKEPLSKDLKMELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFVIDVDSIKVEKYHFLEAMSTITPAAHRGSIVHSRPLSPILSSCLKGHLMKIMGHISDIFPYHSASDTSKLAVLSYCSAFPLVYRPRLLLCGNETVGLDHVGPAVLHELEKFPVHSLGLPSLLSDPSAKTPEEALVHIFGEARRSTPSILFLPQFQLWWETANEQLKSVLMTLLGELPSDLPVLLLGTSTSPSTDLDEESAAIFAPQHVYQVEKPTRTDMLKFFEQLVESVFSFQLQESRSNSKEKTSLPELPKAPKEISGPKPSEMRAKAEAEQHALRRLRMCLRDVCNRILYDKRFSVFHYPVTDEDAPNYRSIIQNPMDMATLLQRVDSGQYLTCSAFLRDVDLIAANAKAYNGDDYNGARIVSRAHELRDAVQGMLSQMDPALVSFCDKIAADGGPVQVADDIGISSLTAGPVVQLTAVTRSSARLRHVQPEVNLQQSYEALKRPKKITETEQAASIMEDKSNAVTESETSKSGNSQPGSSQELQMNGASNRLDNPSHNELSEGLVTVSCAGPTDISTKEDVDMADAEISEQITSIKHRLMERAEGYGVPELERLYMRIVKGVIAVRSKEAEENHRLSILRYLLKFVEDDDNF >DRNTG_14697.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6149951:6150160:-1 gene:DRNTG_14697 transcript:DRNTG_14697.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNYEDEETQDPTMSAKENHDDITPK >DRNTG_14697.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6149797:6150160:-1 gene:DRNTG_14697 transcript:DRNTG_14697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNYEDEETQDPTMSAKENHDDITPK >DRNTG_31908.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17481194:17487144:1 gene:DRNTG_31908 transcript:DRNTG_31908.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPLGPQPPPPDRDVVMTDCRRPEVADDKEASVVDNNDTATGHIISTTIGGKNGEAKRTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQLMRSMDHPNVISLKHCFFSTTNRDELFLNLVMEFVPETLYRVLKHYSNVNQRMPLIYVKLYTYQIFRGLAYIHTVPGVCHRDVKPQNLLVDPLTHQVKLCDFGSAKVLVKGEANISYICSRYYRAPELIFGATEYTTTIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEYRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCSALEACAHPFFDELREPNARLPNGRPLPPLFNFKQELAGASPELINKLIPEHIRRQAGLSFAHPAGT >DRNTG_31579.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28276232:28279127:1 gene:DRNTG_31579 transcript:DRNTG_31579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVPFWRAAGMTYIGYSNMVAGAVRKCLKEPYRSEAVNREKVHFTISKWSQGKPEKPSTRTDSPEE >DRNTG_24313.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1385025:1387910:1 gene:DRNTG_24313 transcript:DRNTG_24313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFVFLFMMLFTQVLTQGEKPNFWLCPPAIWECVIGNLNYNYIEGDPKGPENWWKLHDNWALCGKGQMQSPIDLSDEEKDPTLGNLKLNYMATNATLVNHGREVVLEWDGDAGYLKIDSTQYSLKQMYWHYPSEHQIDSTRYDLEAHMVHESKDGKKAVIANLYKIGASNQFLEKVINSLIN >DRNTG_25334.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6455059:6458160:-1 gene:DRNTG_25334 transcript:DRNTG_25334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSTVELKISCLNQRILTCQTYTDLEGLRQQQMSPPTPRHHKHYILPNSNSRRMSGSLHSQTDVAQNHIQAEAHPCPPGTHASKTLSWHLASESINSPSNGAATPTRSIGDHTVSKVTSEVFHLLEEAVAPLPLSSHLQSAVRTPTSNVAQPKFGLMGSHLTEMQKPLTAFRSFGNAGTIEVYRPVRNKSMLATLFAKTKSAKQKRTLVS >DRNTG_08841.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27922215:27923332:1 gene:DRNTG_08841 transcript:DRNTG_08841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKAVIGETDMLQTMQQNAVKLASRALDEFDVTDSTEIARFIKKEFDRLYGPGWQCIVGRDFGSFVTHCRGCFIHFSVGGSLAILLFKGAATAEPESSGLLIPVESM >DRNTG_15599.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000772.1:29985:32697:1 gene:DRNTG_15599 transcript:DRNTG_15599.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARQEQQPIIIQKLTANPQLINAKALQDQPFFHNHSQYTRRILDEYYVNRGSQFLSMNSYRGIGDTSMISSASPVVANAPAEKGVSGFAVDFLMGGVSAGISKSVAAPIERVKLLIQNQDEMIKNGRLSKPYKGITDCFARTIKDEGFISLWRGNTANVIRYFPTQALNFAFKDYYKKLFNFKKDRDGYWKWFAGNMASGAAAGATSQTFVYSLDYARTRLANDAKSSKGAGERQFNGLIDVYRKTLRSDGIAGLYRGFTMSIVGIIVYRGLYFGLYDSLKPILLTGNLQDSFAASFALGWVITSGASVASYPMDTVRRRMMMTSGEAVKYKSSMDAFSQILKKEGAKSLFKGAGANILRAIAGAGALSGYDKLQLIIFGKQ >DRNTG_15599.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000772.1:29418:32697:1 gene:DRNTG_15599 transcript:DRNTG_15599.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQEQQPIIIQKLTANPQLINAKALQDQPFFHNHSQYTRRILDEYYVNRGSQFLSMNSYRGIGDTSMISSASPVVANAPAEKGVSGFAVDFLMGGVSAGISKSVAAPIERVKLLIQNQDEMIKNGRLSKPYKGITDCFARTIKDEGFISLWRGNTANVIRYFPTQALNFAFKDYYKKLFNFKKDRDGYWKWFAGNMASGAAAGATSQTFVYSLDYARTRLANDAKSSKGAGERQFNGLIDVYRKTLRSDGIAGLYRGFTMSIVGIIVYRGLYFGLYDSLKPILLTGNLQDSFAASFALGWVITSGASVASYPMDTVRRRMMMTSGEAVKYKSSMDAFSQILKKEGAKSLFKGAGANILRAIAGAGALSGYDKLQLIIFGKQ >DRNTG_11430.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1028550:1036903:1 gene:DRNTG_11430 transcript:DRNTG_11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SVP [Source:Projected from Arabidopsis thaliana (AT2G22540) UniProtKB/TrEMBL;Acc:A0A384KDB8] MAREKIKIRKIDNATARQVTFSKRRRGLFKKAEELSILCDAEVALIVFSATGKLFEFCSSSMKDVIEKHRIRSNEGTSSDQPALDFQLENSDFARLSKQAEESNHQLRQLKGEELQGLTIEELHKLEKSLETGLSRVLERKGAQIMEQIDLLRRKGVQLMEENIQLQQRVIEISKAGKQTIVDTENPVFEDGQSSESVTTAVQSGGPQDYDDSSDTSLKLGLSCSGWK >DRNTG_08507.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28918007:28921966:-1 gene:DRNTG_08507 transcript:DRNTG_08507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSMASSIPLTLHPRFPSPSLSFRRFYARPPPRNLHPFLRFRASSSVVAAEPAVLQETQVQSNKSSVFDFLSCPICYEPLTRKGPSGLNLPAIYRSGFKCEICNKTFSSKDMYLDLTVTSGTKEYNEFKPARTELFRSPLVSFLYERGWRQNFDRSGFPGPDEEFNMAQEFFKPVAGGLLVDVSCGSGLFSRKFAKSGTYSSVIALDFSENMLRQCYEFIKNDESILAANFALVRADVSRLPFASGSVDAVHAGAALHCWPSPSNAVAEISRVLRSGGVFVGTTFLSTPVNSPFASATLRPLRQIFGQVTNSYSYFTAKEIEDLCKTCGLVSYTSKIQRAFIMFTAQKP >DRNTG_21590.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19142498:19143303:1 gene:DRNTG_21590 transcript:DRNTG_21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIWAKGEHAYVVHPGAGRICNYDLVIGSWEIMCGAEHEFPLQIELQDVEVHVRGDVGYVSCLEVVRTGGSSWGKQMATNVFERIDGQWFICIHHASHVDM >DRNTG_01066.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9335009:9335620:-1 gene:DRNTG_01066 transcript:DRNTG_01066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSFLRKKVGRGASIEAYPIPDEEILGRRVEDFPQDHRHDYRGGASPFPSGVIGSTSVPRVLCGYNRVNGLRDELPLGLSCACNRVKHLDDLSI >DRNTG_29645.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2848700:2851268:-1 gene:DRNTG_29645 transcript:DRNTG_29645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWVYCHSKMAKFNKVECWRKFRDAATAGKDHGLQSRTGVERWRDTEEGVEAKGSIDLNGDKVTAPNSKCGRKYNTINQKPMGPPSPTTIYVESQDSIESTDSSSNTNGETRKSQNNSAEISTKGEPVEEELSKEIRAAIMVIAILATTVTYQAILNPPGGFWQDDLQSLNSTGQNHIAGNPVMATKHPWLYGIFVAINGAACVASYFVIEFLFVPSANTLGVRFEAVFLLILRKLVKFFSRSTKDDEQQNFPKGRNISSNSTAVVDELVHLMQYVHFVYFCFLLASALWVFQHLRGPGLVAFVIAFPSMYIFAWASVFIPRSYQMWKKHKKKEKEKKEKEKEEKEKEKQKEKV >DRNTG_33125.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20930751:20932169:1 gene:DRNTG_33125 transcript:DRNTG_33125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMYIVFLCWSALRSEPVTEICNKKAQAATNADWLTILSFVIAVLVIVMATFATGVDSNCFQLKKTEPESEDSVPYGYGFFHFVFSVGSMYFAMLFIGWNSHKTMKKWTIDVGWASTWVRIVNEWLATLVYMWMLVVPLIWKSRRRAEEST >DRNTG_33125.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20930911:20932169:1 gene:DRNTG_33125 transcript:DRNTG_33125.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFATGVDSNCFQLKKTEPESEDSVPYGYGFFHFVFSVGSMYFAMLFIGWNSHKTMKKWTIDVGWASTWVRIVNEWLATLVYMWMLVVPLIWKSRRRAEEST >DRNTG_30567.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001590.1:795:1573:1 gene:DRNTG_30567 transcript:DRNTG_30567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKNPPKARSGDLQPGPQHQIMKNFMDKQAYIRSRERIARTSEREALLV >DRNTG_14995.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23952434:23954251:-1 gene:DRNTG_14995 transcript:DRNTG_14995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNSINGHQEMDFFSEDKKNNKGMRNEELDLKTTPWLGIKKEDLTMSTGFQLMNIKMNDHSTVDNEDRSHELEAMQAELGRMDEENKRLRVLLSQVTNNYNTLQAHLLAVMKQRDQSGHEVVQRQFIDLGPTVNTVVVDTDEPSHSSTAGGSQEISTSPSNNIEVGSLGTEEKEKLSPNKNNDQASQEATMRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCADDRSILITTYEGTHNHPLPPAAAAMASTTSAAASMLLSGSMSSTDGLMNANFLARTILPSTSSMATISASAPFPTVTLDLTHSSSSNQLQLNRSPATAPSSLPNIFGQPVVHNQSKFTGLQMSPETHRPSTLTDTVSAATAAITADPNFSAALAAAITSILGGSHPTTNATSS >DRNTG_27593.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:819829:823886:-1 gene:DRNTG_27593 transcript:DRNTG_27593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILRRRRPAHPPPQIDGPSTSSAAPDDKEKPSLPIARKPRWNCLDSCCWIIGCVCSLWWILLFLYNAMPASFPQYVTEAITGPLPDPPGVKLRKEGLSALHPVVFVPGIVTGGLELWEGHQCADGLFRKRLWGGTFGEVYKRPLCWVEHMSLDNETGLDPPGVRVRPVTGLVAADYFAPGYFVWAVLIANLARIGYEEKTMYMAAYDWRLSFQNTEVRDQTLSRIKSNIELMVETNGGKKVVVIPHSMGVLYFLHFMKWVEAPAPMGGGGGSDWCQKHIKAVMNIGGPFLGVPKAVTGLFSAEAKDVAVARAIAPGVLDSDFLGLQTLRHVMRMTRTWDSTMSMIPKGGDTIWGGLDWSPEECYSCISKRKRSNDSIVSQDVKVENANHQPNITNYGRIISFGKDVAEAPSAQIEMIDFRDAVKGNNLAHSNGSCGDVWTEYHEVGWGGVQAVSDYKAYTAGSILDLLRFVAPKMMQRGSAHFSYGIADNLDDPKYKHYKYWSNPLET >DRNTG_17355.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32188771:32193801:1 gene:DRNTG_17355 transcript:DRNTG_17355.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEKIKVANPIVEMDGDEMTRVFWKSIKEKLIFPFLDLDIKYFDLGLPHRDATDDKVTVESAEATLKYNVAIKCATITPDEARVKEFNLKTMWKSPNGTIRNILNGTVFREPIICKNVPRLVPGWTKPICVGRHAFGDQYRATDTVIKGPGKLKLVFEGKEETVNLEVFNFTGAGGVALSMYNTDESIRSFAEASMNTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYETEWKAKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWSRGLAHRAKLDSNAKLLDFTKKLEAACIGCVEAGKMTKDLALLIHGPKVSRDQYLNTEEFIDAVAGELRARLSTITSKAE >DRNTG_17355.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32188771:32194765:1 gene:DRNTG_17355 transcript:DRNTG_17355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEKIKVANPIVEMDGDEMTRVFWKSIKEKLIFPFLDLDIKYFDLGLPHRDATDDKVTVESAEATLKYNVAIKCATITPDEARVKEFNLKTMWKSPNGTIRNILNGTVFREPIICKNVPRLVPGWTKPICVGRHAFGDQYRATDTVIKGPGKLKLVFEGKEETVNLEVFNFTGAGGVALSMYNTDESIRSFAEASMNTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYETEWKAKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWSRGLAHRAKLDSNAKLLDFTKKLEAACIGCVEAGKMTKDLALLIHGPKVSRDQYLNTEEFIDAVAGELRARLSTITSKL >DRNTG_17355.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32188771:32193801:1 gene:DRNTG_17355 transcript:DRNTG_17355.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEKIKVANPIVEMDGDEMTRVFWKSIKEKLIFPFLDLDIKYFDLGLPHRDATDDKVTVESAEATLKYNVAIKCATITPDEARVKEFNLKTMWKSPNGTIRNILNGTVFREPIICKNVPRLVPGWTKPICVGRHAFGDQYRATDTVIKGPGKLKLVFEGKEETVNLEVFNFTGAGGVALSMYNTDESIRSFAEASMNTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYETEWKAKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWSRGLAHRAKLDSNAKLLDFTKKLEAACIGCVEAGKMTKDLALLIHGPKVSRDQYLNTEEFIDAVAGELRARLSTITSKL >DRNTG_30808.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20798634:20815614:-1 gene:DRNTG_30808 transcript:DRNTG_30808.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase 1A [Source:Projected from Arabidopsis thaliana (AT2G45240) UniProtKB/Swiss-Prot;Acc:Q9SLN5] MENEAGTAGESVLSCANCGKPASLQCPKCLELKLPREDASFCTQDCFKASWASHKKVHLRANLAAAAPSEQVQDGWLYCLKKGQARTSELPYYDWSGTLRPHRISTMRSVPFTIERPDWANDGIPKVEPSSDLQNIVEIKTPEQIERMRETCRIAREVLDAAARVIRPGITTDEIDKVVHDATIAAGGYPSPLNYHFFPKSCCTSVNEVICHGIPDARKLEDGDIVNVDVTVYYKGVHGDLNETYFVGQVDDVSQKLVRCTYECLEKAISIVKPGVRFREVGEVINRHASMSGFSVVKSYCGHGIGELFHCAPNIPHYGRNKAVGMMKAGQTFTIEPMINAGVWRDRLWPDGWTAVTADGKRSAQFEHTLLVTETGVEVLTARLPSSPNVFPWLDS >DRNTG_07784.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1156701:1161611:1 gene:DRNTG_07784 transcript:DRNTG_07784.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDDLAKFRNAIEKAYLFEMYLDDLPFWGFIGYKDQIGSSSSKFILFNNLNFVISYDTDHRICSVNLASSGFVDISEDKEMEVEFLYSVKWKETSIPFERRMDVYISLDTQSNWFLTKSSSYLVIVVAGLLASMLIWLLHNDLAKYAINDDLITDQEETGWKNIHGDVFRYPRHKSLLAASLGSGTRLLFVFVLLLIIGFLGVFPPFNQGNFATALIVIYTIASFLAGYTSTSFYCQLEGANWVKNLILTECLFSGPLFLMFCFLNTIASMHSANAAMSVFRSSIPYVLFSGPLFLMFCFVYGFY >DRNTG_07784.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1160566:1161611:1 gene:DRNTG_07784 transcript:DRNTG_07784.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFIAGVLPFSMISIQLHYILSGLWGYRVYTIFSALSIVFALLLLVTGLVTITLTYFQLSLEHYKWWWSSFFYGGSTGLFIFGYCFYYYAQSDMSSFMQKSFFFGYMACLCYGVFLALGTIGFFTSMLFVRHIYGSIKCE >DRNTG_07784.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1155176:1161611:1 gene:DRNTG_07784 transcript:DRNTG_07784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDDLAKFRNAIEKAYLFEMYLDDLPFWGFIGYKDQIGSSSSKFILFNNLNFVISYDTDHRICSVNLASSGFVDISEDKEMEVEFLYSVKWKETSIPFERRMDVYISLDTQSNWFLTKSSSYLVIVVAGLLASMLIWLLHNDLAKYAINDDLITDQEETGWKNIHGDVFRYPRHKSLLAASLGSGTRLLFVFVLLLIIGFLGVFPPFNQGNFATALIVIYTIASFLAGYTSTSFYCQLEGANWVKNLILTECLFSGPLFLMFCFLNTIASMHSANAAMSVFRSSIPYVLFSGPLFLMFCFVYGFY >DRNTG_07784.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1155176:1156622:1 gene:DRNTG_07784 transcript:DRNTG_07784.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLHINFEFLVDFESKQLCKKRLKREDLAKFRDAIEKYYLFEMHLDDLPVWGFVGRKSWNDPSRPMPELHTSLSFEISYNGDRICSVRMASDRLVDISEDKEMEVEFLYSVKWTKTSIPFERRMDLYIRSPSSMFL >DRNTG_07784.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1155176:1161611:1 gene:DRNTG_07784 transcript:DRNTG_07784.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDDLAKFRNAIEKAYLFEMYLDDLPFWGFIGYKDQIGSSSSKFILFNNLNFVISYDTDHRICSVNLASSGFVDISEDKEMEVEFLYSVKWKETSIPFERRMDVYISLDTQSNWFLTKSSSYLVIVVAGLLASMLIWLLHNDLAKYAINDDLITDQEETGWKNIHGDVFRYPRHKSLLAASLGSGTRLLFV >DRNTG_15748.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20415058:20418948:-1 gene:DRNTG_15748 transcript:DRNTG_15748.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGVPSHSFSSSPPSPLPPMIPLLLSSPLPHLPFPFLSSPLSTIRTRRFPSFRPLSSLKETKNPTLRKASSNVPQSFKFDNSKGGSDGPGGPDGPEGGLGGETVAAGSVLAGILLVGLIGGVGAVGFLYKEQINAFLNQLSVFIEGYGPAGYALFVAVYTGLEVLAIPAIPLTMSAGLLFGTLTGTIIVSISGTLAASASFLIARYFARERILKMVKGNKKFMAIDKAIGENGFKVVTLLRLSPLLPFSLGNYLYGLTSVKFVPYVLGSWLGMLPGTWAYVSAGAFGRAIIQDESEFGVFGGNNQLWTLGLGLLATALAATYVTRLAKDAVKDIE >DRNTG_15748.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20415058:20418948:-1 gene:DRNTG_15748 transcript:DRNTG_15748.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGNKKFMAIDKAIGENGFKVVTLLRLSPLLPFSLGNYLYGLTSVKFVPYVLGSWLGMLPGTWAYVSAGAFGRAIIQDESEFGVFGGNNQLWTLGLGLLATALAATYVTRLAKDAVKDIE >DRNTG_03062.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12322711:12327831:1 gene:DRNTG_03062 transcript:DRNTG_03062.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:EHD1 [Source:Projected from Arabidopsis thaliana (AT3G20290) UniProtKB/TrEMBL;Acc:A0A178VAZ5] MVMLLGQYSTGKTTFIKHLLQSGYPGAHIGPEPTTDRFVVVMSGPDERSIPGNTIAVQADMPYSGLTTFGTAFLSKFQCSQMPHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVMRVYIGSFNDKPVNEAAIGPIGKELFEREQDDLLSDLKDIPKKACDRRINEFVKRARAAKIHAYIISHLRKEMPAMMGKAKAQQRLIDNLEDEFTKVQREFHLPAGDFPNTEHFREVLSGYSIDKFEKLKPKMIQAVDDMLGYDIPELLRNFRNPYE >DRNTG_03062.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12319845:12327831:1 gene:DRNTG_03062 transcript:DRNTG_03062.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EHD1 [Source:Projected from Arabidopsis thaliana (AT3G20290) UniProtKB/TrEMBL;Acc:A0A178VAZ5] MDLITPSISSCSKEHQKIYQQWFSYADSDGDGRITGNDALKFFAMSKLSRPELKQIWAIADSKRQGFLGLQEFITSMQLVALAQAGNEITKDTISSADLGSLNPPDMEGLDTLLAKMKTKPSPKKSDPDLDGTSQPQRPSANWFSSKSSKKIPLSSVTSIIDGLKRLYIEKLKPLEVTYRFNDFVSPSLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLQSGYPGAHIGPEPTTDRFVVVMSGPDERSIPGNTIAVQADMPYSGLTTFGTAFLSKFQCSQMPHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVMRVYIGSFNDKPVNEAAIGPIGKELFEREQDDLLSDLKDIPKKACDRRINEFVKRARAAKIHAYIISHLRKEMPAMMGKAKAQQRLIDNLEDEFTKVQREFHLPAGDFPNTEHFREVLSGYSIDKFEKLKPKMIQAVDDMLGYDIPELLRNFRNPYE >DRNTG_15971.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5097250:5097679:-1 gene:DRNTG_15971 transcript:DRNTG_15971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEDVSNVKNFTTVMDVNFWGSVYATYLAVPHLKKTRGKIIVKASAGGWLPYPRMSFY >DRNTG_29584.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:14791201:14792276:1 gene:DRNTG_29584 transcript:DRNTG_29584.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHRYGHILVVGSEPILRRYSINGKALSKIQCTPQSALSVYFTPPG >DRNTG_14346.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:322598:324957:-1 gene:DRNTG_14346 transcript:DRNTG_14346.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRKAGRISYFWKGVLSCLPALRGCVLHEVGSGTETLFWKDSWFAGRAPMFIWPEEFRRASEPNGTAVGDKKNWRLNGNGTFTVKSFYSFLIDSGVRCHIARFFWRKPCPKKVIMFNWLVEKNKILTMDVLARRSCNRLPTTTCVLCNSVIESADHLFLHCLVARKVNFREIGDLVAKAIVWNIWLVRNDFVEGSRETMEDPIAMLRQSTEALGTSGVESGGDPFSGVDHVHLTG >DRNTG_05819.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19008416:19010586:1 gene:DRNTG_05819 transcript:DRNTG_05819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGNKAQLSHVQSAKKTNKSIESCLKAMKKIDGKDSDRSTVCKMLNEAREVTISLLQSVAFSLSPSSAQKTSKWSIVSKALHKKKVTWIDADGIYFSFNSVYECVPCKNVDGLRVVKAQDEFSAMLSSLEGLEMDLETKVGVIWRLEGCEKPLKSWGKVCRPCSVKDARKGLPWEPCGCKDDRKAFSQEPCASRKPSGEVLALSKELVED >DRNTG_28325.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:55913:62128:1 gene:DRNTG_28325 transcript:DRNTG_28325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSNGQATMSVNLGTQQLPPTSKQIAQVDLLPRFHTTSVDKGSQPLSLAERQFAQSKVQTSLSANFGSYQFLPTNNQPVQMEPSPRTPNPMPVYFGLHSLSSTNRRPSDISRSLNIQTSIPNNPGLLPPLYANKRQVQMVPSPKLQAVTPATVGLQQLSSTNKRPAQTELPSKAQTEIESVRLKLRESLGAALAMVCNQQNKQQAPQKSMKAEETDAGTLKPTEINSQSDRSGTVQENALSGTSEASASNEHTEKQESQIPAGGIAATEMQDLQPSHGILEDDLLLNNNSVIKDELLQGHGLCWASDATITSEGISSFTSKKPKLALEEARGDPGGVSDQTSVTLAIKIEAELFKLHGGVNKKYKEKARSLLFNLKDRNNPELRERVFSGDIAPERLCSMTAEELASKELSQWRLAKAEELAQMVVLPDSDVDIRRLVKKTHKGEVQVEVERDDNVSVEVALGASVLTQIPAKVNEGETQAQGKTNDKSGVAASRLQEKASEVSLTGKKADLGDQNLGGNLDILNEKPDLMQELMVDELKGAELLPPIVSLDEFMEALDSEPPFENMSMGRGEDMPSFDGKTSDSVEPQKSTALDELSPKVDSASGSLDSKLNSAQAGSVSKMDTVGTCLVADNPEKVDSKDPDIDGETKSNSIQAGSEAIPSGSASKGGNIWEGLIQLAASSLVTVIGFFTSGERTSTREWPNILEIKGRVRLDAFEKFLQDLPLSRSRAVMIVQFCWKEGSPENGRVNLCETVDSYIADGRLGFAEPASGVELYFCPPHKRTTEMLAKHLSKEQIETLNSAENALIGVVVWRKAHVTVSPRMSSHSKNSSTKRQLSSRRHQNVNPTINSKNLQAPPPIAHPSLPSLPSDDETMDDVPPGFGPDARDEDDLPEFDFSHGNSQASVRNASHSSGLGIAGKLPADQMRELVHKYGQSETTKKPALEIQPWNEDDDDDDIPEWQPPQDSQPHPQPHSLTPPPTAPPTAQVQAYQTLNGQTALFNQQMMQVTTPQQQLAQPQQLVQFATALPMQLQPPLQSQIGMGYVQQVQQNVQPGWQPAQWWPPAPGPADAGPGMNAQVQPSQYGSQLGDGQFYGMQGHGASHNGTGWRHR >DRNTG_01569.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000089.1:1932:2978:1 gene:DRNTG_01569 transcript:DRNTG_01569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILWKVLYSSSKDSLVRRSPLSSWGIDGEKNTKIGAKSALNKAGIGGQHGRGCSTRPCGISTRALIISTCPCGFSVSLVPRPATLVELLFYVHKSECSNVTAFVPLQMDVPTRIRGGMNASLSYHTSTYQEVTSLFVLRPRTPQSPPASPSPPPPTSFDLAPAAVEEPERAKKDENIAVTIHSRPKKDENRESTRAGICIPDSSF >DRNTG_15258.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4379273:4380340:-1 gene:DRNTG_15258 transcript:DRNTG_15258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPLTISFTAKTKEEEDRQKQMYKRYDELILTLPAYTQNSIMLPESIKDSPSEEKLRQYRQYKGFWFWPDMMLPGFMAAKDHFIPRPSDILICTPLKVGTTWLKALAFATLNRNDQPCKQSLLLSHNPHDCVPNLEVILYGRKRLPDLTVVPSPRLLSTHVPYQLFPTSWLSSGCKFVFLCRNPKDTFISYWHYLNKLIEDVNHKQSLGDMFDAFLKGVHLNGPLWDHVLGFWNASLVNPDKVLFLKYEELKSDTAVNLKKLAEFMGCPFTEEEERDGVLEKILDMCSFESLRNLEVNKTGTMPLKLSHDIGNEVFFRKGEVGDWVNFLTPEMAQRLDQAMEQKFEGSGLSFKE >DRNTG_00612.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22416370:22420176:-1 gene:DRNTG_00612 transcript:DRNTG_00612.7 gene_biotype:protein_coding transcript_biotype:protein_coding MESFKEGNIGTKASIPLKYKPRKSSTRGGATSSMPRVRDPNNDSVPEASGQPLSLKLKESSNVLFGQETTGPSGTMTRRVPYSVEVNNQPVVASAPNNSAAQDGSWLSLKSDQVKEQSPRRHSFCSGVSAVHDFPIGTGKDTTTGHSIPARPRSTDDTMVLVGGSEKGPLTGSCDEIVSSWNEKNGKKSESSLGRRPFCSRVSSVRDFPIGCGQVIADGSIPARKRSASNAMVLLGGAETGSLAGCCDEVVPSLSEKDGKGVESSTGKKMKSANIELGMKADESEARAPLDNEDKELPREEDESKTIVITDHASEIEISKKVKDTLRLFQTMHRKLLQEEESEPKQTQCNRVDLKAFGIFKSKGKCLGNGGKFMGSIPGVQVGDEFFWRVEMCTIALHCQHMAGIDYLWRDGKPFAISVVSSGRYPHAEDVATSEELVYSGCGSPDKDQKLERGNLALRNSKEAQTPVRVIYGFKQYHTNDSQEARMGHKSTVTYIYDGLYLIERDSYEKGKNNHYVFLFYMKRIPGQPELPIKELLRSKRSKLRPGLCMQDVSQGQEKRPINVVNTVDDDKQMMPFEYTQKMIYPSQHRLTASEGCDCIRGCLFANNCSCAHKNGGEIPFNHNGAIVQAKPLVYECGPSCKCPPSCPNRVSQHGIKFPLEVFKTKSMGWGVRSLKSIPSGSFICEYAGELLEDEEAQKRDNDEYLFAIGNNYHDESVWEGLSMCIPELKKSASFQGVEEKGYTVDASKHGNVGRFINHSCSPNLYAQNVLYDHDDKSMPHIMLFAAENIPPLQELTYHYNYAVDQVHDSDGNIKRKDCHCGSDECTGRLY >DRNTG_00612.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22416370:22420176:-1 gene:DRNTG_00612 transcript:DRNTG_00612.6 gene_biotype:protein_coding transcript_biotype:protein_coding MESFKEGNIGTKASIPLKYKPRKSSTRGGATSSMPRVRDPNNDSVPEASGQPLSLKLKESSNVLFGQETTGPSGTMTRRVPYSVEVNNQPVVASAPNNSAAQDGSWLSLKSDQVKEQSPRRHSFCSGVSAVHDFPIGTGKDTTTGHSIPARPRSTDDTMVLVGGSEKGPLTGSCDEIVSSWNEKNGKKSESSLGRRPFCSRVSSVRDFPIGCGQVIADGSIPARKRSASNAMVLLGGAETGSLAGCCDEVVPSLSEKDGKGVESSTGKKMKSANIELGMKADESEARAPLDNEDKELPREEDESKTIVITDHASEIEISKKVKDTLRLFQTMHRKLLQEEESEPKQTQCNRVDLKAFGIFKSKGKCLGNGGKFMGSIPGVQVGDEFFWRVEMCTIALHCQHMAGIDYLWRDGKPFAISVVSSGRYPHAEDVATSEELVYSGCGSPDKDQKLERGNLALRNSKEAQTPVRVIYGFKQYHTNDSQEARMGHKSTVTYIYDGLYLIERDSYEKGKNNHYVFLFYMKRIPGQPELPIKELLRSKRSKLRPGLCMQDVSQGQEKRPINVVNTVDDDKQMMPFEYTQKMIYPSQHRLTASEGCDCIRGCLFANNCSCAHKNGGEIPFNHNGAIVQAKPLVYECGPSCKCPPSCPNRVSQHGIKFPLEVFKTKSMGWGVRSLKSIPSGSFICEYAGELLEDEEAQKRDNDEYLFAIGNNYHDESVWEGLSMCIPELKKSASFQGVEEKGYTVDASKHGNVGRFINHSCSPNLYAQNVLYDHDDKSMPHIMLFAAENIPPLQELTYHYNYAVDQVHDSDGNIKRKDCHCGSDECTGRLY >DRNTG_00612.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22416280:22420176:-1 gene:DRNTG_00612 transcript:DRNTG_00612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFKEGNIGTKASIPLKYKPRKSSTRGGATSSMPRVRDPNNDSVPEASGQPLSLKLKESSNVLFGQETTGPSGTMTRRVPYSVEVNNQPVVASAPNNSAAQDGSWLSLKSDQVKEQSPRRHSFCSGVSAVHDFPIGTGKDTTTGHSIPARPRSTDDTMVLVGGSEKGPLTGSCDEIVSSWNEKNGKKSESSLGRRPFCSRVSSVRDFPIGCGQVIADGSIPARKRSASNAMVLLGGAETGSLAGCCDEVVPSLSEKDGKGVESSTGKKMKSANIELGMKADESEARAPLDNEDKELPREEDESKTIVITDHASEIEISKKVKDTLRLFQTMHRKLLQEEESEPKQTQCNRVDLKAFGIFKSKGKCLGNGGKFMGSIPGVQVGDEFFWRVEMCTIALHCQHMAGIDYLWRDGKPFAISVVSSGRYPHAEDVATSEELVYSGCGSPDKDQKLERGNLALRNSKEAQTPVRVIYGFKQYHTNDSQEARMGHKSTVTYIYDGLYLIERDSYEKGKNNHYVFLFYMKRIPGQPELPIKELLRSKRSKLRPGLCMQDVSQGQEKRPINVVNTVDDDKQMMPFEYTQKMIYPSQHRLTASEGCDCIRGCLFANNCSCAHKNGGEIPFNHNGAIVQAKPLVYECGPSCKCPPSCPNRVSQHGIKFPLEVFKTKSMGWGVRSLKSIPSGSFICEYAGELLEDEEAQKRDNDEYLFAIGNNYHDESVWEGLSMCIPELKKSASFQGVEEKGYTVDASKHGNVGRFINHSCSPNLYAQNVLYDHDDKSMPHIMLFAAENIPPLQELTYHYNYAVDQVHDSDGNIKRKDCHCGSDECTGRLY >DRNTG_00612.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22416370:22420176:-1 gene:DRNTG_00612 transcript:DRNTG_00612.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESFKEGNIGTKASIPLKYKPRKSSTRGGATSSMPRVRDPNNDSVPEASGQPLSLKLKESSNVLFGQETTGPSGTMTRRVPYSVEVNNQPVVASAPNNSAAQDGSWLSLKSDQVKEQSPRRHSFCSGVSAVHDFPIGTGKDTTTGHSIPARPRSTDDTMVLVGGSEKGPLTGSCDEIVSSWNEKNGKKSESSLGRRPFCSRVSSVRDFPIGCGQVIADGSIPARKRSASNAMVLLGGAETGSLAGCCDEVVPSLSEKDGKGVESSTGKKMKSANIELGMKADESEARAPLDNEDKELPREEDESKTIVITDHASEIEISKKVKDTLRLFQTMHRKLLQEEESEPKQTQCNRVDLKAFGIFKSKGKCLGNGGKFMGSIPGVQVGDEFFWRVEMCTIALHCQHMAGIDYLWRDGKPFAISVVSSGRYPHAEDVATSEELVYSGCGSPDKDQKLERGNLALRNSKEAQTPVRVIYGFKQYHTNDSQEARMGHKSTVTYIYDGLYLIERDSYEKGKNNHYVFLFYMKRIPGQPELPIKELLRSKRSKLRPGLCMQDVSQGQEKRPINVVNTVDDDKQMMPFEYTQKMIYPSQHRLTASEGCDCIRGCLFANNCSCAHKNGGEIPFNHNGAIVQAKPLVYECGPSCKCPPSCPNRVSQHGIKFPLEVFKTKSMGWGVRSLKSIPSGSFICEYAGELLEDEEAQKRDNDEYLFAIGNNYHDESVWEGLSMCIPELKKSASFQGVEEKGYTVDASKHGNVGRFINHSCSPNLYAQNVLYDHDDKSMPHIMLFAAENIPPLQELTYHYNYAVDQVHDSDGNIKRKDCHCGSDECTGRLY >DRNTG_00612.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22416370:22420176:-1 gene:DRNTG_00612 transcript:DRNTG_00612.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESFKEGNIGTKASIPLKYKPRKSSTRGGATSSMPRVRDPNNDSVPEASGQPLSLKLKESSNVLFGQETTGPSGTMTRRVPYSVEVNNQPVVASAPNNSAAQDGSWLSLKSDQVKEQSPRRHSFCSGVSAVHDFPIGTGKDTTTGHSIPARPRSTDDTMVLVGGSEKGPLTGSCDEIVSSWNEKNGKKSESSLGRRPFCSRVSSVRDFPIGCGQVIADGSIPARKRSASNAMVLLGGAETGSLAGCCDEVVPSLSEKDGKGVESSTGKKMKSANIELGMKADESEARAPLDNEDKELPREEDESKTIVITDHASEIEISKKVKDTLRLFQTMHRKLLQEEESEPKQTQCNRVDLKAFGIFKSKGKCLGNGGKFMGSIPGVQVGDEFFWRVEMCTIALHCQHMAGIDYLWRDGKPFAISVVSSGRYPHAEDVATSEELVYSGCGSPDKDQKLERGNLALRNSKEAQTPVRVIYGFKQYHTNDSQEARMGHKSTVTYIYDGLYLIERDSYEKGKNNHYVFLFYMKRIPGQPELPIKELLRSKRSKLRPGLCMQDVSQGQEKRPINVVNTVDDDKQMMPFEYTQKMIYPSQHRLTASEGCDCIRGCLFANNCSCAHKNGGEIPFNHNGAIVQAKPLVYECGPSCKCPPSCPNRVSQHGIKFPLEVFKTKSMGWGVRSLKSIPSGSFICEYAGELLEDEEAQKRDNDEYLFAIGNNYHDESVWEGLSMCIPELKKSASFQGVEEKGYTVDASKHGNVGRFINHSCSPNLYAQNVLYDHDDKSMPHIMLFAAENIPPLQELTYHYNYAVDQVHDSDGNIKRKDCHCGSDECTGRLY >DRNTG_00612.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22416370:22420232:-1 gene:DRNTG_00612 transcript:DRNTG_00612.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESFKEGNIGTKASIPLKYKPRKSSTRGGATSSMPRVRDPNNDSVPEASGQPLSLKLKESSNVLFGQETTGPSGTMTRRVPYSVEVNNQPVVASAPNNSAAQDGSWLSLKSDQVKEQSPRRHSFCSGVSAVHDFPIGTGKDTTTGHSIPARPRSTDDTMVLVGGSEKGPLTGSCDEIVSSWNEKNGKKSESSLGRRPFCSRVSSVRDFPIGCGQVIADGSIPARKRSASNAMVLLGGAETGSLAGCCDEVVPSLSEKDGKGVESSTGKKMKSANIELGMKADESEARAPLDNEDKELPREEDESKTIVITDHASEIEISKKVKDTLRLFQTMHRKLLQEEESEPKQTQCNRVDLKAFGIFKSKGKCLGNGGKFMGSIPGVQVGDEFFWRVEMCTIALHCQHMAGIDYLWRDGKPFAISVVSSGRYPHAEDVATSEELVYSGCGSPDKDQKLERGNLALRNSKEAQTPVRVIYGFKQYHTNDSQEARMGHKSTVTYIYDGLYLIERDSYEKGKNNHYVFLFYMKRIPGQPELPIKELLRSKRSKLRPGLCMQDVSQGQEKRPINVVNTVDDDKQMMPFEYTQKMIYPSQHRLTASEGCDCIRGCLFANNCSCAHKNGGEIPFNHNGAIVQAKPLVYECGPSCKCPPSCPNRVSQHGIKFPLEVFKTKSMGWGVRSLKSIPSGSFICEYAGELLEDEEAQKRDNDEYLFAIGNNYHDESVWEGLSMCIPELKKSASFQGVEEKGYTVDASKHGNVGRFINHSCSPNLYAQNVLYDHDDKSMPHIMLFAAENIPPLQELTYHYNYAVDQVHDSDGNIKRKDCHCGSDECTGRLY >DRNTG_00612.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22416280:22419292:-1 gene:DRNTG_00612 transcript:DRNTG_00612.8 gene_biotype:protein_coding transcript_biotype:protein_coding MESFKEGNIGTKASIPLKYKPRKSSTRGGATSSMPRVRDPNNDSVPEASGQPLSLKLKESSNVLFGQETTGPSGTMTRRVPYSVEVNNQPVVASAPNNSAAQDGSWLSLKSDQVKEQSPRRHSFCSGVSAVHDFPIGTGKDTTTGHSIPARPRSTDDTMVLVGGSEKGPLTGSCDEIVSSWNEKNGKKSESSLGRRPFCSRVSSVRDFPIGCGQVIADGSIPARKRSASNAMVLLGGAETGSLAGCCDEVVPSLSEKDGKGVESSTGKKMKSANIELGMKADESEARAPLDNEDKELPREEDESKTIVITDHASEIEISKKVKDTLRLFQTMHRKLLQEEESEPKQTQCNRVDLKAFGIFKSKGKCLGNGGKFMGSIPGVQVGDEFFWRVEMCTIALHCQHMAGIDYLWRDGKPFAISVVSSGRYPHAEDVATSEELVYSGCGSPDKDQKLERGNLALRNSKEAQTPVRVIYGFKQYHTNDSQEARMGHKSTVTYIYDGLYLIERDSYEKGKNNHYVFLFYMKRIPGQPELPIKELLRSKRSKLRPGLCMQDVSQGQEKRPINVVNTVDDDKQMMPFEYTQKMIYPSQHRLTASEGCDCIRGCLFANNCSCAHKNGGEIPFNHNGAIVQAKPLVYECGPSCKCPPSCPNRVSQHGIKFPLEVFKTKSMGWGVRSLKSIPSGSFICEYAGELLEDEEAQKRDNDEYLFAIGNNYHDESVWEGLSMCIPELKKSASFQGVEEKGYTVDASKHGNVGRFINHSCSPNLYAQNVLYDHDDKSMPHIMLFAAENIPPLQELTYHYNYAVDQVHDSDGNIKRKDCHCGSDECTGRLY >DRNTG_00612.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22416370:22419292:-1 gene:DRNTG_00612 transcript:DRNTG_00612.9 gene_biotype:protein_coding transcript_biotype:protein_coding MESFKEGNIGTKASIPLKYKPRKSSTRGGATSSMPRVRDPNNDSVPEASGQPLSLKLKESSNVLFGQETTGPSGTMTRRVPYSVEVNNQPVVASAPNNSAAQDGSWLSLKSDQVKEQSPRRHSFCSGVSAVHDFPIGTGKDTTTGHSIPARPRSTDDTMVLVGGSEKGPLTGSCDEIVSSWNEKNGKKSESSLGRRPFCSRVSSVRDFPIGCGQVIADGSIPARKRSASNAMVLLGGAETGSLAGCCDEVVPSLSEKDGKGVESSTGKKMKSANIELGMKADESEARAPLDNEDKELPREEDESKTIVITDHASEIEISKKVKDTLRLFQTMHRKLLQEEESEPKQTQCNRVDLKAFGIFKSKGKCLGNGGKFMGSIPGVQVGDEFFWRVEMCTIALHCQHMAGIDYLWRDGKPFAISVVSSGRYPHAEDVATSEELVYSGCGSPDKDQKLERGNLALRNSKEAQTPVRVIYGFKQYHTNDSQEARMGHKSTVTYIYDGLYLIERDSYEKGKNNHYVFLFYMKRIPGQPELPIKELLRSKRSKLRPGLCMQDVSQGQEKRPINVVNTVDDDKQMMPFEYTQKMIYPSQHRLTASEGCDCIRGCLFANNCSCAHKNGGEIPFNHNGAIVQAKPLVYECGPSCKCPPSCPNRVSQHGIKFPLEVFKTKSMGWGVRSLKSIPSGSFICEYAGELLEDEEAQKRDNDEYLFAIGNNYHDESVWEGLSMCIPELKKSASFQGVEEKGYTVDASKHGNVGRFINHSCSPNLYAQNVLYDHDDKSMPHIMLFAAENIPPLQELTYHYNYAVDQVHDSDGNIKRKDCHCGSDECTGRLY >DRNTG_00612.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22416280:22420176:-1 gene:DRNTG_00612 transcript:DRNTG_00612.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESFKEGNIGTKASIPLKYKPRKSSTRGGATSSMPRVRDPNNDSVPEASGQPLSLKLKESSNVLFGQETTGPSGTMTRRVPYSVEVNNQPVVASAPNNSAAQDGSWLSLKSDQVKEQSPRRHSFCSGVSAVHDFPIGTGKDTTTGHSIPARPRSTDDTMVLVGGSEKGPLTGSCDEIVSSWNEKNGKKSESSLGRRPFCSRVSSVRDFPIGCGQVIADGSIPARKRSASNAMVLLGGAETGSLAGCCDEVVPSLSEKDGKGVESSTGKKMKSANIELGMKADESEARAPLDNEDKELPREEDESKTIVITDHASEIEISKKVKDTLRLFQTMHRKLLQEEESEPKQTQCNRVDLKAFGIFKSKGKCLGNGGKFMGSIPGVQVGDEFFWRVEMCTIALHCQHMAGIDYLWRDGKPFAISVVSSGRYPHAEDVATSEELVYSGCGSPDKDQKLERGNLALRNSKEAQTPVRVIYGFKQYHTNDSQEARMGHKSTVTYIYDGLYLIERDSYEKGKNNHYVFLFYMKRIPGQPELPIKELLRSKRSKLRPGLCMQDVSQGQEKRPINVVNTVDDDKQMMPFEYTQKMIYPSQHRLTASEGCDCIRGCLFANNCSCAHKNGGEIPFNHNGAIVQAKPLVYECGPSCKCPPSCPNRVSQHGIKFPLEVFKTKSMGWGVRSLKSIPSGSFICEYAGELLEDEEAQKRDNDEYLFAIGNNYHDESVWEGLSMCIPELKKSASFQGVEEKGYTVDASKHGNVGRFINHSCSPNLYAQNVLYDHDDKSMPHIMLFAAENIPPLQELTYHYNYAVDQVHDSDGNIKRKDCHCGSDECTGRLY >DRNTG_08838.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27925991:27926392:-1 gene:DRNTG_08838 transcript:DRNTG_08838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCFERASLPNLNDEERQKDLHFVVIGGGPTGVEFAAQ >DRNTG_34987.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002222.1:54961:65563:-1 gene:DRNTG_34987 transcript:DRNTG_34987.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLKNACQKGWFHLPATNEILRMTNELCSNFCTAANVTADPDKVLGTISEIIPRYYPQLKLCRLIVSLEAKPGYEILFDDFHIPRNMPPGEKIALFVAQTDNLETSSCIITPPQVSILINGKAVDKRNNVSLDHGPQFPTDITKFLKYGTNIIQALGYFSGNYIIAVAFISKMVSASPPTLLNYVHPVVSTIPSDSDIIEGPSRISLNCPISFRRIKTPVKGCLCKHHQCFDYDNYMEMNSRKPSWRCPCCNQPTSCIDLRVDQNVIKVMRSVAEDITDVVISADGSWEAVADHNGDSEQLQEGSVLGLQHGMIESRSSGQSINPAHVVDLTMEQNGDNDTGVIPGVVEALNGSDHQICDTLSTERKPFENYKGFSGFEFHFGSSFENCTSVKTREAGYPAGNDAWLRDSSSTVNELSASDALQGSNALGTLETLLPNCVPNPIMSNITGIYQEPTVNLGVSQSSFSLEQGSRARQFTENTQLQQSQLDNSVCGLLARRLSTSRHDHREPIAVLTAPVEIQTSTSSQRPGTNVLGSSSMFGSNLSSGSYPVGPSRLAVADPSSCDRDSGSSMQHFHSTKQVFGLPTSNSVGTSGVTSYRPFFPPSLSIQDFNPQQIVYRTNQIVSNSTSAHPHIPSDLSMQCRLVRNSAGQFSPMVGSLPAGTAYPQTSRISPSINIAHNGLMIAGGNSSHQMVGSPHYFETSDHLPQLPAETNLQPTGRMRGTLTGLAYLAALNHYSPHPMQEVLPRPSANLPAFNNDY >DRNTG_34987.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002222.1:54961:57685:-1 gene:DRNTG_34987 transcript:DRNTG_34987.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNSRKPSWRCPCCNQPTSCIDLRVDQNVIKVMRSVAEDITDVVISADGSWEAVADHNGDSEQLQEGSVLGLQHGMIESRSSGQSINPAHVVDLTMEQNGDNDTGVIPGVVEALNGSDHQICDTLSTERKPFENYKGFSGFEFHFGSSFENCTSVKTREAGYPAGNDAWLRDSSSTVNELSASDALQGSNALGTLETLLPNCVPNPIMSNITGIYQEPTVNLGVSQSSFSLEQGSRARQFTENTQLQQSQLDNSVCGLLARRLSTSRHDHREPIAVLTAPVEIQTSTSSQRPGTNVLGSSSMFGSNLSSGSYPVGPSRLAVADPSSCDRDSGSSMQHFHSTKQVFGLPTSNSVGTSGVTSYRPFFPPSLSIQDFNPQQIVYRTNQIVSNSTSAHPHIPSDLSMQCRLVRNSAGQFSPMVGSLPAGTAYPQTSRISPSINIAHNGLMIAGGNSSHQMVGSPHYFETSDHLPQLPAETNLQPTGRMRGTLTGLAYLAALNHYSPHPMQEVLPRPSANLPAFNNDY >DRNTG_34987.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002222.1:54961:66749:-1 gene:DRNTG_34987 transcript:DRNTG_34987.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSSAPRPFPLHLSTMPNSSTPPQAAAAATAAAPQPFKSASQANALRLEMVVRRLLMHFKTGVRHEEFSDLCFFLARGIDYALSKGDVPSIAPCLPLLIQQVYEQRKCASLQSAILVLMISLKNACQKGWFHLPATNEILRMTNELCSNFCTAANVTADPDKVLGTISEIIPRYYPQLKLCRLIVSLEAKPGYEILFDDFHIPRNMPPGEKIALFVAQTDNLETSSCIITPPQVSILINGKAVDKRNNVSLDHGPQFPTDITKFLKYGTNIIQALGYFSGNYIIAVAFISKMVSASPPTLLNYVHPVVSTIPSDSDIIEGPSRISLNCPISFRRIKTPVKGCLCKHHQCFDYDNYMEMNSRKPSWRCPCCNQPTSCIDLRVDQNVIKVMRSVAEDITDVVISADGSWEAVADHNGDSEQLQEGSVLGLQHGMIESRSSGQSINPAHVVDLTMEQNGDNDTGVIPGVVEALNGSDHQICDTLSTERKPFENYKGFSGFEFHFGSSFENCTSVKTREAGYPAGNDAWLRDSSSTVNELSASDALQGSNALGTLETLLPNCVPNPIMSNITGIYQEPTVNLGVSQSSFSLEQGSRARQFTENTQLQQSQLDNSVCGLLARRLSTSRHDHREPIAVLTAPVEIQTSTSSQRPGTNVLGSSSMFGSNLSSGSYPVGPSRLAVADPSSCDRDSGSSMQHFHSTKQVFGLPTSNSVGTSGVTSYRPFFPPSLSIQDFNPQQIVYRTNQIVSNSTSAHPHIPSDLSMQCRLVRNSAGQFSPMVGSLPAGTAYPQTSRISPSINIAHNGLMIAGGNSSHQMVGSPHYFETSDHLPQLPAETNLQPTGRMRGTLTGLAYLAALNHYSPHPMQEVLPRPSANLPAFNNDY >DRNTG_34987.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002222.1:54961:59536:-1 gene:DRNTG_34987 transcript:DRNTG_34987.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASPPTLLNYVHPVVSTIPSDSDIIEGPSRISLNCPISFRRIKTPVKGCLCKHHQCFDYDNYMEMNSRKPSWRCPCCNQPTSCIDLRVDQNVIKVMRSVAEDITDVVISADGSWEAVADHNGDSEQLQEGSVLGLQHGMIESRSSGQSINPAHVVDLTMEQNGDNDTGVIPGVVEALNGSDHQICDTLSTERKPFENYKGFSGFEFHFGSSFENCTSVKTREAGYPAGNDAWLRDSSSTVNELSASDALQGSNALGTLETLLPNCVPNPIMSNITGIYQEPTVNLGVSQSSFSLEQGSRARQFTENTQLQQSQLDNSVCGLLARRLSTSRHDHREPIAVLTAPVEIQTSTSSQRPGTNVLGSSSMFGSNLSSGSYPVGPSRLAVADPSSCDRDSGSSMQHFHSTKQVFGLPTSNSVGTSGVTSYRPFFPPSLSIQDFNPQQIVYRTNQIVSNSTSAHPHIPSDLSMQCRLVRNSAGQFSPMVGSLPAGTAYPQTSRISPSINIAHNGLMIAGGNSSHQMVGSPHYFETSDHLPQLPAETNLQPTGRMRGTLTGLAYLAALNHYSPHPMQEVLPRPSANLPAFNNDY >DRNTG_03247.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000148.1:31814:32551:1 gene:DRNTG_03247 transcript:DRNTG_03247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHKLSTKLYALKCIQGSLNENTHRQTCREIEILQTADSPFIIRCHGFHDNSGDTELLFEFMDGGSLEGHRVSVEPLLAEVSRQVLSAIAYLHSRRIVHRDIKPANILIDNHGFFKLADFGISRTVSPTTMGCKTSCGTILYLGPERFNNEEYDGFANDIWSFGITLLECYLGYFPFGENSKIDGTVSFAICQDEPPKPPETASSNFRDFISRCLQKDPASRSTAAQLLQHPFITECRLSCAPP >DRNTG_16409.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28866440:28867031:-1 gene:DRNTG_16409 transcript:DRNTG_16409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLETQEEQFEEKEEKPRGRSQSRRVTPTTNSSIVEKVLLNGDVYRGGFVGNTPHGVGKYLWTDGCMYEGEWRRGKAAGKGKFSWPSGATFEGEFRSGRMEGIGTFTGPDGCTYRGSWVADRKHGFGRKCYANGDYY >DRNTG_35366.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:28:4337:7178:1 gene:DRNTG_35366 transcript:DRNTG_35366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPRCYSLPRPLLLRLSSPIRESLSQTPYSPPEGSAVSVKSLLEGLLRHRDQVPEDEFRVEIRDFILCCAAMAASEGVESPAVYWVPAELAKAARSALREMAVAVGLNSEREMVAEMIPEVVVQLKGVIKESCVDPESVEVAAASAKAPVAYAVLAAHQFRWLVNQILFPNLGKLIWLVIPCALTALDHWSPEVKEQGMLTFMHVGKNVTMAELGWYEDAILDACCRNIASSDELWHCVVEVSVLMLTCLEGKNPRSSWFEQIMNEMLGHLERQPMKKDRRVAWLRLIQPVFNAMGLVLLAHFRRIFSLFFHWMHADDDKTILLVLERLNDIIKLTWIRKSPYFQRLVDELILLYKESATKKSREIIRNEIMQTLILLQKCQGLCFESAWNKHNDDPDLTVLTSSFSNLVCSGPESCT >DRNTG_01605.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:495728:498665:-1 gene:DRNTG_01605 transcript:DRNTG_01605.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAVSGASIASTGSSSSPDDDSTSAYAPEPIRLTMPIKRRVAKPNGAGLLTLSSPLTERIRPILNIRQVI >DRNTG_17368.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000892.1:8588:9600:1 gene:DRNTG_17368 transcript:DRNTG_17368.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNKKLMILGFILLLAIGLSSAARTLSDGREGYGSGYGAGEGGAGYGKGGGGGGGNGGGCGEGGGGYGKGGGGGGGHGGGGGQGGGGYGKGGGGGSGHGGGGGQSSGGGQGGGGHGGSGSGYGNGGEGEGGGGGGHP >DRNTG_17368.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000892.1:8588:9600:1 gene:DRNTG_17368 transcript:DRNTG_17368.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNKKLMILGFILLLAIGLSSAARTLSDGREGYGSGYGAGEGGAGYGKGGGGGGGNGGGCGEGGGGYGKGGGGGGGHSGGGGGGEGSGGGGSSGHGGGGEGGGGGYGKGGGSGGGQGGGGYGKGGGGGGGHGGGGGQGGGGYGKGGGGGSGHGGGGGQSSGGGQGGGGHGGSGSGYGNGGEGEGGGGGGHP >DRNTG_07888.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15062534:15062893:1 gene:DRNTG_07888 transcript:DRNTG_07888.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSPQSYKRDEAGSYIEERERVGDEGSGEMDSDRKPSKNFSKGE >DRNTG_32277.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001815.1:35365:44089:1 gene:DRNTG_32277 transcript:DRNTG_32277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKVIRLASKWCKFHLTRAAKEILINSVMLSIPVYTLIVYPIPDSIFSEISKAPTYLNMEVDFDEITFSDIITNDQWFVQDFNHIFGSNVGNLHSSLIAIDHNSENHWTWFSTESHTKISSAVYHTLNKHSVSPALSTSAFLHSIHLGPNNPCVFCGLHRAIDHLFCNWVIDLRHSTYTLALIAASAWFIWVCRCDYIFRDIRPIFSNIVLKAVAHVDEYSWSNFELMGRKLLLFNFSSADDNFLFTHATTNHTLKVNSVGFFFSSANYRISIAGSSSRQYSDSDTIALLAVEVALQIALDLHIRVRHILCDHAAVIQLLQCADHITSWRIEALGGNLPYPSVVVSLYKSKNINAMRFYDPYNVPLQALKGSNITFIVIVPNDNLQCFVSDASAANTWVQNNIKAYWPAVKFKYIAVGNEVIPGNLAQYVLQAMQNIHKALTSAGLPNQIKVSTAISTTVLGTSYPPSSSSFSSVASPTLGSIIKFLSSNGSPLLANVYPYFSYVGNTRSIDLRYALFTSPGTVVIDGSLQYQNLFDAIVDSLYSALEKVGGSNVGIVISDSGWSSAGGTAATIDNTWIYNQNLINHDSPNTNPEAILNFMWPENRSRGNLRKCKSRSTQTHILSESSAVLAPDSPAAQASSARASSACEAYRVLWASFVNLGSLTGGGELLLARLEAKCLCAGTARAHSARKYLDVRSQQAARGARGPRSAQAGTRAPARGWDPMTVARGHPRKPGNS >DRNTG_04059.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3705778:3706870:-1 gene:DRNTG_04059 transcript:DRNTG_04059.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase 2, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G76150) UniProtKB/Swiss-Prot;Acc:Q8VYI3] MVAKNAGFSRPILHGLCSLGIAVRAVIKFCCNGEPTWVKSIFGRFLLHVYPGETLITEMWLQGTKVIYQTKVKERNRPVLSGYVILKHIPSSL >DRNTG_04059.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3705805:3706870:-1 gene:DRNTG_04059 transcript:DRNTG_04059.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase 2, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G76150) UniProtKB/Swiss-Prot;Acc:Q8VYI3] MVAKNAGFSRPILHGLCSLGIAVRAVIKFCCNGEPTWVKSIFGRFLLHVYPGETLITEMWLQGTKVIYQTKVKERNRPVLSGYVILKHIPSSL >DRNTG_04059.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3705805:3707696:-1 gene:DRNTG_04059 transcript:DRNTG_04059.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase 2, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G76150) UniProtKB/Swiss-Prot;Acc:Q8VYI3] MVAKNAGFSRPILHGLCSLGIAVRAVIKFCCNGEPTWVKSIFGRFLLHVYPGETLITEMWLQGTKVIYQTKVKERNRPVLSGYVILKHIPSSL >DRNTG_04059.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3710536:3717146:-1 gene:DRNTG_04059 transcript:DRNTG_04059.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase 2, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G76150) UniProtKB/Swiss-Prot;Acc:Q8VYI3] MATSRPPSSSIDPELALSFNFSDTVFSYNERDVALYALGVGACGGDALDEKELKYVYHQDGQPFIQVLPTFGATFIYRNKQSLEVVPGLHFDPTLLLHGQQYLEIYKPFPSNCCIQNKVVIAGLHDKGKAAIIEVQTTSYDKNSGEALCMNRNTIYLRGAGGFSKSSKPYSYANYPANQIYPVKIPNSKPTFVYEDITQQSQACHLSLL >DRNTG_04059.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3705805:3717146:-1 gene:DRNTG_04059 transcript:DRNTG_04059.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase 2, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G76150) UniProtKB/Swiss-Prot;Acc:Q8VYI3] MATSRPPSSSIDPELALSFNFSDTVFSYNERDVALYALGVGACGGDALDEKELKYVYHQDGQPFIQVLPTFGATFIYRNKQSLEVVPGLHFDPTLLLHGQQYLEIYKPFPSNCCIQNKVVIAGLHDKGKAAIIEVQTTSYDKNSGEALCMNRNTIYLRGAGGFSKSSKPYSYANYPANQIYPVKIPNSKPTFVYEDITQQSQALIYRLSGDYNPLHSDPMVAKNAGFSRPILHGLCSLGIAVRAVIKFCCNGEPTWVKSIFGRFLLHVYPGETLITEMWLQGTKVIYQTKVKERNRPVLSGYVILKHIPSSL >DRNTG_14711.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20824103:20833562:1 gene:DRNTG_14711 transcript:DRNTG_14711.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper-transporting ATPase PAA2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G21930) UniProtKB/Swiss-Prot;Acc:B9DFX7] MSRMQVMLLGFVLLGRALEERARLKASSDMNELLSLVSSQARLVISSSDNSPSADSVLNADAITIEVPTDDIRVGDSVMVLPGETIPVDGKVLAGRSVVDESMLTGESLPVFKERGLLVSAGTINWDGPLRIEASTTGAMSTIAKIIRMVEDAQGHEAPVQRLADSIAGPFVYSVMSLSAATFAFWYYIGVNIFPEVLLNGIAGPDGNSLLLSLKLSVDVLVVSCPCALGLATPTAILVGTSLGAKQGLLIRGGDVLERLAQIDLVALDKTGTLTEGKPAVTGVASLAYEESEIIRLSAAVEKTASHPIAKAILHEAELMHLELPPTRGQLTEPGFGCLAEVDGSLVAVGTKHWVHERFQQKITQSELSNLEDHLAFLFPDQLSSSNHLKSVVYVGREGDGIIGAIVLSDPLRHDALSTVHRLQEKGIETFLLSGDREEAVASVGKMVGIPKHNISASLTPQHKSGAISSLKAKGHHVAMVGDGINDAPSLALADVGIALQIDAKENAASDAASVILLGNKLSQIVDALGLAQATIAKVHQNLAWAIAYNLVAIPIAAGILLPQFDFAMTPSLSGGLMALSSVFVVTNSLLLQRQGPLMKEEN >DRNTG_14711.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20824103:20833562:1 gene:DRNTG_14711 transcript:DRNTG_14711.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper-transporting ATPase PAA2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G21930) UniProtKB/Swiss-Prot;Acc:B9DFX7] MAASLLQLPIPRNPKLKSQCIVPRFWTPSVLLPFHRRRRHVAFTPLARAVEIGVPAGEPKQQNSPPSPLLLEVSGMMCGACAVRVKTILSADPRVESAVVNMVTETSAVKLKPGVLDGVDVVGVAEELAGRLTEMGFPAKRRGMGNGVGESVKKWREMKARKEELLVKSRNKLAFAWTLVALCCGAHASHLLHSVGIHVGHGSLWEILQNPYFKGGVAMLSLLGPGRDLLIDGLRAFVKRSPNMNSLVGFGSIAAFLISAVSLLNPELQWEETFFDEPVMLLGFVLLGRALEERARLKASSDMNELLSLVSSQARLVISSSDNSPSADSVLNADAITIEVPTDDIRVGDSVMVLPGETIPVDGKVLAGRSVVDESMLTGESLPVFKERGLLVSAGTINWDGPLRIEASTTGAMSTIAKIIRMVEDAQGHEAPVQRLADSIAGPFVYSVMSLSAATFAFWYYIGVNIFPEVLLNGIAGPDGNSLLLSLKLSVDVLVVSCPCALGLATPTAILVGTSLGAKQGLLIRGGDVLERLAQIDLVALDKTGTLTEGKPAVTGVASLAYEESEIIRLSAAVEKTASHPIAKAILHEAELMHLELPPTRGQLTEPGFGCLAEVDGSLVAVGTKHWVHERFQQKITQSELSNLEDHLAFLFPDQLSSSNHLKSVVYVGREGDGIIGAIVLSDPLRHDALSTVHRLQEKGIETFLLSGDREEAVASVGKMVGIPKHNISASLTPQHKSGAISSLKAKGHHVAMVGDGINDAPSLALADVGIALQIDAKENAASDAASVILLGNKLSQIVDALGLAQATIAKVHQNLAWAIAYNLVAIPIAAGILLPQFDFAMTPSLSGGLMALSSVFVVTNSLLLQRQGPLMKEEN >DRNTG_12512.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6732139:6732285:1 gene:DRNTG_12512 transcript:DRNTG_12512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNPPKHVTDVNGVILKFYGQKCLCMGSRDCSHFGGMRGSGGGVSVG >DRNTG_31019.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30474769:30475380:1 gene:DRNTG_31019 transcript:DRNTG_31019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDYWLAFETSDENEASFNASLFIEVYAGLAAVAIILVTVRAFLVAYLGLKTAQIFFEQILNSILHAPMSFFDTTPSGRILTR >DRNTG_19550.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001010.1:35463:49503:-1 gene:DRNTG_19550 transcript:DRNTG_19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPPDPSYENHRGPVGTFSPVSPSLLNLEIASSSLPEESDTSLMSELSRELLAEAWPPLPLPLKPPRVPRTANSFSNEASDEIRRGSFGKIPGSTGIGTFSGPIVNPASCLCSIVGPRRIRGGGGA >DRNTG_04028.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17045324:17047100:-1 gene:DRNTG_04028 transcript:DRNTG_04028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAYPCLAGSITPESFQKWVSRKVIQKLRDRPLYKAVDIQRDMLRDHGVRLPYKQAWRGKKLARGILHRCDKTSYDMLLWYASKVTETNPGSIVLIERDGEQFRWGFLCFHASLDGFKKGCRPMLFLDAFAIVDNETDDNWTWFISKLGAALYGDDEYHDIITFISDRSKGLVNAVAKVFPSAPHGYCLRHLQANFLKSNSQEARHLPICNMVDSIKFKMMNMMCDRCEHCQTWDTYLCPTIHKKIKDVVEESKALVVGCSDGEHFEVIDNQSNYVNLHERECSCRRWQVYGLPCKHVCAAIMLTDTNVHRFIERYHTVNLFQEAYGNQIFPIPDHDKLRDDNRVLQLWLPIAKKKPGRPRRRRIESQAFGVQEL >DRNTG_27843.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4655289:4657256:1 gene:DRNTG_27843 transcript:DRNTG_27843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFSVGHSKGLEVKSHCIEADGEAHCGSGCPQCSIIANIISHVANELKGPLLSFAATYPTFSSLHYPYFIRTTLSDEFQMQEVLDFQDLRQCGFIEDAMRSTAWMCTHSCGFDEKSGIESTSKKKRKSGNPHGHTTQSTAWMCTHSCGLNEKSGIGSTSKKKRKSGNLHCCVKIPAPRKAAILNILRDSLPIFFQTFPYLWRRSRLGFGEALARSLEWFYGLQHRVPSEDSYWGSFHRHRFGEVCPRLDDRTLGEDETIPQDHQYELQGGFIYG >DRNTG_08060.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18170413:18175760:1 gene:DRNTG_08060 transcript:DRNTG_08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPRKRVRSRSRSHSRSVSRSRSRGRSPSRSLSPRPRSRSRSGSRGRTDAVNPGNTLYVTGLSTRVTERDLEDHFSKEGKVVDCRLVVEPRTRISRGFAFVTMDTVDDADRCIKYLNQSVLEGRYITVEKSRRKRPRTPTPGNYLGMKSTRDSSYRSRYRGGVGRDDYGGGGGYRRSPRRSPYRGGREYSPRRSPYGGGRSRRERSRSLPYSPYGSPDRGGYGRRSNVYAR >DRNTG_08060.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18170413:18175760:1 gene:DRNTG_08060 transcript:DRNTG_08060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPRKRVRSRSRSHSRSVSRSRSRGRSPSRSLSPRPRSRSRSGSRGRTDAVNPGNTLYVTGLSTRVTERDLEDHFSKEGKVVDCRLVVEPRTRISRGFAFVTMDTVDDADRCIKYLNQSVLEGRYITVEKKTLHQLCLQQTIKMMSSSISTLSHSLMNGQLNSFPCITSTKHSKIAN >DRNTG_12270.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24818467:24821674:-1 gene:DRNTG_12270 transcript:DRNTG_12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVDPQSSKRAAAAAYDYDGDPRWAEYWSNVLIPPNLASRPDVIDHYKRKFYQRFIDPDFVVETMSSSSSSQSGRASGGSSSSPATETARSHNPGPNAAARSAPQNMAPVRLDRQTIYFSLNAWVLVMALIGIFLFVSPNLAQKAYRLSLLGTGCSSLCSLYAFYGKPRAWTLPAIQSWLHSIFPTKDFICFLYCLILVTSRMHFKFALIPVICRPLEYSAKFLRRNFVYSSLYRRYLEWPCFWIEAHTNTLNFMTTKAEIGVGFLLIMSLFSWQRNVLHTFMYWQLLKLMYHVPVTASYHRNVWARIGQVVNPFILHHAPFLRTPISAVQRWWFR >DRNTG_12270.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24818467:24821674:-1 gene:DRNTG_12270 transcript:DRNTG_12270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVDPQSSKRAAAAAYDYDGDPRWAEYWSNVLIPPNLASRPDVIDHYKRKFYQRFIDPDFVVETMSSSSSSQSGRASGGSSSSPATETARSHNPGPNAAARSAPQNMAPVRLDRQTIYFSLNAWVLVMALIGIFLFVSPNLAQKAYRLSLLGTGCSSLCSLYAFYGVMFCLVMHLILCSQILFSLKGRHSSTHHIHLTQKPRAWTLPAIQSWLHSIFPTKDFICFLYCLILVTSRMHFKFALIPVICRPLEYSAKFLRRNFVYSSLYRRYLEWPCFWIEAHTNTLNFMTTKAEIGVGFLLIMSLFSWQRNVLHTFMYWQLLKLMYHVPVTASYHRNVWARIGQVVNPFILHHAPFLRTPISAVQRWWFR >DRNTG_12270.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24818467:24821674:-1 gene:DRNTG_12270 transcript:DRNTG_12270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFLRLAFLFKLSSFFFPSFLCGSCQVLVMALIGIFLFVSPNLAQKAYRLSLLGTGCSSLCSLYAFYGKPRAWTLPAIQSWLHSIFPTKDFICFLYCLILVTSRMHFKFALIPVICRPLEYSAKFLRRNFVYSSLYRRYLEWPCFWIEAHTNTLNFMTTKAEIGVGFLLIMSLFSWQRNVLHTFMYWQLLKLMYHVPVTASYHRNVWARIGQVVNPFILHHAPFLRTPISAVQRWWFR >DRNTG_02953.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1412849:1421217:1 gene:DRNTG_02953 transcript:DRNTG_02953.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGREGEERKQRQLRHMLQVPSGPGAVTAAATVNLKQNSSDSFVKDGRKIQIGDCALFQAGNAPPFIGLIRWLNTGCGEHLKLGVNWLYRPADIKLSKGAQLEAAPNEVFYSFHRDEIPAASLLHPCKVAFLRKGVELPTGISSFVCRRVYDIANKCLWWLTDQDYINERQEEVDQLLDKTQLEMHAPQSGGRSPKPSNGSSSAQQLKTVSEGAQNSGTSFPQQVKVKKRERSETEPNKRERSVKVEDGDSVSSKLDSVIKGEIAKITEKGGLMSIEGVEKLVHLMQLDRAERKLDLGGRVLLADVISATDRYDCLGRFVQLRGVSVLDEWLQEAHRGKAGDGSSPKESDRPVEELLLALLRALDKLPVNLNALQTCNVGKSVNQLRSHKNLEIQKKARSLVETWKKRVDVEMNKLNDAKAASTWPVKQGFAEVSHGGNRRTGPAELVMKSSITQPSMCKTLSSKPGHTDSITKTAAVIPGPLKTSASLPSPVSSTLKDTVAKTTSGVSEVPSTTVKEEKSSGSNQSQNNSQSCSGDPMKATGSSWKEDARNLTAGPVISCKSSSSVSRHRRASNGFVGTTASGTQKDTTLGKSVPLNRTSTVDKVTQSGLTCERQPDVPVADLGNSSHRLIVRLPNPGRSPARSASGGSFEDPSMTGSRSSSPGVPDKTDHIDRRTKIKGEACRSNIITDGHTDLWQNNDTKEGLVGSDEVMKSQATNVEEETCRNAEDVGKFADLSRTSSSSLGNEKDIVPHDAAKSRNSFSSMNALIESCANEATALSAGDDIGINLLASVAAGEMSKSLASPSGSPGSSPMMEDNETKPRLPSDGQLAQSHFQPPENADVESGKHDKGAGPVVNKDDLRPSSLVSVDDKTVIPLLENKLIVGAKGVLNGEGTHQPGEEHKAVGITNDSFTDCKTKVKSLVSEENRSLDCLGEKIAEEGKSDFACVTAGDGGDAKDAASTMMIEKAVVCDSTCLHPKIEVQKQELVEEGAVSVALTDQHPAPLAANHSDAVDRSEHISDSSSVVQYANPPGLDDSKADKSSSSRGRSPLEPVDVERKDQTHLPHSAADVHLPSTLASKGPEVILDKKEPSHHCPAELGKSEASSIIPAHETEPTKSSGSKVSGPDVDHTEEPASSTDASLAASVGPDCTSKIDFDLNEGFLGDDLHQSEPVSVPQPVCSPVIHLPNLPHFASSSIPHGSPAPITVAAPAKGPFVPPENLLKSKAELGWKGSAATSAFRPAEPRKVLEMPLSTSDVPSSDVNTGKQSRPALDIDLNVPDERVLEDMASQSSAQTTGSESGVVNNRDAPVRVAGGLDLDLNRVDDSSESGVLTNNNRRTEFPLLPVRAAQTSLPNADTNNFRDFDLNGPGIEEAGSESGVRNNQAKGSNLTLLPPIAGLRMNTSELGNVSTWFPPSNSYPAVAIPSFLHDRGEHPYPIVAAAGAQRIPGPATGTTFGADIYRHQVLTSSPAMAFSHTPSFQYAGFPFGSSFPVASNSFAGAPPTYGDSSAGAGPFFPTIPSQIMGPAGAVSSHYHQRPYVISLPESSTTGGSDSNRKWARPGLDLNAGPGSVDAEARDERLSSAPRQLNIASTQAFVEEQARMFQMPGGGLKRKEPEGGWDAERFAYKQSSWH >DRNTG_02953.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1412849:1421217:1 gene:DRNTG_02953 transcript:DRNTG_02953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGREGEERKQRQLRHMLQVPSGPGAVTAAATVNLKQNSSDSFVKDGRKIQIGDCALFQAGNAPPFIGLIRWLNTGCGEHLKLGVNWLYRPADIKLSKGAQLEAAPNEVFYSFHRDEIPAASLLHPCKVAFLRKGVELPTGISSFVCRRVYDIANKCLWWLTDQDYINERQEEVDQLLDKTQLEMHAPQSGGRSPKPSNGSSSAQQLKTVSEGAQNSGTSFPQQVKVKKRERSETEPNKRERSVKVEDGDSVSSKLDSVIKGEIAKITEKGGLMSIEGVEKLVHLMQLDRAERKLDLGGRVLLADVISATDRYDCLGRFVQLRGVSVLDEWLQEAHRGKAGDGSSPKESDRPVEELLLALLRALDKLPVNLNALQTCNVGKSVNQLRSHKNLEIQKKARSLVETWKKRVDVEMNKLNDAKAASTWPVKQGFAEVSHGGNRRTGPAELVMKSSITQPSMCKTLSSKPGHTDSITKTAAVIPGPLKTSASLPSPVSSTLKDTVAKTTSGVSEVPSTTVKEEKSSGSNQSQNNSQSCSGDPMKATGSSWKEDARNLTAGPVISCKSSSSVSRHRRASNGFVGTTASGTQKDTTLGKSVPLNRTSTVDKVTQSGLTCERQPDVPVADLGNSSHRLIVRLPNPGRSPARSASGGSFEDPSMTGSRSSSPGVPDKTDHIDRRTKIKGEACRSNIITDGHTDLWQNNDTKEGLVGSDEVMKSQATNVEEETCRNAEDVGKFADLSRTSSSSLGNEKDIVPHDAAKSRNSFSSMNALIESCANEATALSAGDDIGINLLASVAAGEMSKSLASPSGSPGSSPMMEDNETKPRLPSDGQLAQSHFQPPENADVESGKHDKGAGPVVNKDDLRPSSLVSVDDKTVIPLLENKLIVGAKGVLNGEGTHQPGEEHKAVGITNDSFTDCKTKVKSLVSEENRSLDCLGEKIAEEGKSDFACVTAGDGGDAKDAASTMMIEKAVVCDSTCLHPKIEVQKQELVEEGAVSVALTDQHPAPLAANHSDAVDRSEHISDSSSVVQYANPPGLDDSKADKSSSSRGRSPLEPVDVERKDQTHLPHSAADVHLPSTLASKGPEVILDKKEPSHHCPAELGKSEASSIIPAHETEPTKSSGSKVSGPDVDHTEEPASSTDASLAASVGPDCTSKIDFDLNEGFLGDDLHQSEPVSVPQPVCSPVIHLPNLPHFASSSIPHGSPAPITVAAPAKGPFVPPENLLKSKAELGWKGSAATSAFRPAEPRKVLEMPLSTSDVPSSDVNTGKQSRPALDIDLNVPDERVLEDMASQSSAQTTGSESGVVNNRDAPVRVAGGLDLDLNRVDDSSESGVLTNNNRRTEFPLLPVRAAQTSLPNADTNNFRDFDLNGPGIEEAGSESGVRNNQAKGSNLTLLPPIAGLRMNTSELGNVSTWFPPSNSYPAVAIPSFLHDRGEHPYPIVAAAGAQRIPGPATGTTFGADIYRHQVLTSSPAMAFSHTPSFQYAGFPFGSSFPVASNSFAGAPPTYGDSSAGAGPFFPTIPSQIMGPAGAVSSHYHQRPYVISLPESSTTGGSDSNRKWARPGLDLNAGPGSVDAEARDERLSSAPRQLNIASTQAFVEEQARMFQMPGGGLKRKEPEGGWDAERFAYKQSSWH >DRNTG_02953.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1412849:1421217:1 gene:DRNTG_02953 transcript:DRNTG_02953.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHGREGEERKQRQLRHMLQVPSGPGAVTAAATVNLKQNSSDSFVKDGRKIQIGDCALFQAGNAPPFIGLIRWLNTGCGEHLKLGVNWLYRPADIKLSKGAQLEAAPNEVFYSFHRDEIPAASLLHPCKVAFLRKGVELPTGISSFVCRRVYDIANKCLWWLTDQDYINERQEEVDQLLDKTQLEMHAPQSGGRSPKPSNGSSSAQQLKTVSEGAQNSGTSFPQQVKVKKRERSETEPNKRERSVKVEDGDSVSSKLDSVIKGEIAKITEKGGLMSIEGVEKLVHLMQLDRAERKLDLGGRVLLADVISATDRYDCLGRFVQLRGVSVLDEWLQEAHRGKAGDGSSPKESDRPVEELLLALLRALDKLPVNLNALQTCNVGKSVNQLRSHKNLEIQKKARSLVETWKKRVDVEMNKLNDAKAASTWPVKQGFAEVSHGGNRRTGPAELVMKSSITQPSMCKTLSSKPGHTDSITKTAAVIPGPLKTSASLPSPVSSTLKDTVAKTTSGVSEVPSTTVKEEKSSGSNQSQNNSQSCSGDPMKATGSSWKEDARNLTAGPVISCKSSSSVSRHRRASNGFVGTTASGTQKDTTLGKSVPLNRTSTVDKVTQSGLTCERQPDVPVADLGNSSHRLIVRLPNPGRSPARSASGGSFEDPSMTGSRSSSPGVPDKTDHIDRRTKIKGEACRSNIITDGHTDLWQNNDTKEGLVGSDEVMKSQATNVEEETCRNAEDVGKFADLSRTSSSSLGNEKDIVPHDAAKSRNSFSSMNALIESCANEATALSAGDDIGINLLASVAAGEMSKSLASPSGSPGSSPMMEDNETKPRLPSDGQLAQSHFQPPENADVESGKHDKGAGPVVNKDDLRPSSLVSVDDKTVIPLLENKLIVGAKGVLNGEGTHQPGEEHKAVGITNDSFTDCKTKVKSLVSEENRSLDCLGEKIAEEGKSDFACVTAGDGGDAKDAASTMMIEKAVVCDSTCLHPKIEVQKQELVEEGAVSVALTDQHPAPLAANHSDAVDRSEHISDSSSVVQYANPPGLDDSKADKSSSSRGRSPLEPVDVERKDQTHLPHSAADVHLPSTLASKGPEVILDKKEPSHHCPAELGKSEASSIIPAHETEPTKSSGSKVSGPDVDHTEEPASSTDASLAASVGPDCTSKIDFDLNEGFLGDDLHQSEPVSVPQPVCSPVIHLPNLPHFASSSIPHGSPAPITVAAPAKGPFVPPENLLKSKAELGWKGSAATSAFRPAEPRKVLEMPLSTSDVPSSDVNTGKQSRPALDIDLNVPDERVLEDMASQSSAQTTGSESGVVNNRDAPVRVAGGLDLDLNRVDDSSESGVLTNNNRRTEFPLLPVRAAQTSLPNADTNNFRDFDLNGPGIEEAGSESGVRNNQAKGSNLTLLPPIAGLRMNTSELGNVSTWFPPSNSYPAVAIPSFLHDRGEHPYPIVAAAGAQRIPGPATGTTFGADIYRHQVLTSSPAMAFSHTPSFQYAGFPFGSSFPVASNSFAGAPPTYGDSSAGAGPFFPTIPSQIMGPAGAVSSHYHQRPYVISLPESSTTGGSDSNRKWARPGLDLNAGPGSVDAEARDERLSSAPRQLNIASTQAFVEEQARMFQMPGGGLKRKEPEGGWDAERFAYKQSSWH >DRNTG_02953.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1412849:1421217:1 gene:DRNTG_02953 transcript:DRNTG_02953.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHGREGEERKQRQLRHMLQVPSGPGAVTAAATVNLKQNSSDSFVKDGRKIQIGDCALFQAGNAPPFIGLIRWLNTGCGEHLKLGVNWLYRPADIKLSKGAQLEAAPNEVFYSFHRDEIPAASLLHPCKVAFLRKGVELPTGISSFVCRRVYDIANKCLWWLTDQDYINERQEEVDQLLDKTQLEMHAPQSGGRSPKPSNGSSSAQQLKTVSEGAQNSGTSFPQQVKVKKRERSETEPNKRERSVKVEDGDSVSSKLDSVIKGEIAKITEKGGLMSIEGVEKLVHLMQLDRAERKLDLGGRVLLADVISATDRYDCLGRFVQLRGVSVLDEWLQEAHRGKAGDGSSPKESDRPVEELLLALLRALDKLPVNLNALQTCNVGKSVNQLRSHKNLEIQKKARSLVETWKKRVDVEMNKLNDAKAASTWPVKQGFAEVSHGGNRRTGPAELVMKSSITQPSMCKTLSSKPGHTDSITKTAAVIPGPLKTSASLPSPVSSTLKDTVAKTTSGVSEVPSTTVKEEKSSGSNQSQNNSQSCSGDPMKATGSSWKEDARNLTAGPVISCKSSSSVSRHRRASNGFVGTTASGTQKDTTLGKSVPLNRTSTVDKVTQSGLTCERQPDVPVADLGNSSHRLIVRLPNPGRSPARSASGGSFEDPSMTGSRSSSPGVPDKTDHIDRRTKIKGEACRSNIITDGHTDLWQNNDTKEGLVGSDEVMKSQATNVEEETCRNAEDVGKFADLSRTSSSSLGNEKDIVPHDAAKSRNSFSSMNALIESCANEATALSAGDDIGINLLASVAAGEMSKSLASPSGSPGSSPMMEDNETKPRLPSDGQLAQSHFQPPENADVESGKHDKGAGPVVNKDDLRPSSLVSVDDKTVIPLLENKLIVGAKGVLNGEGTHQPGEEHKAVGITNDSFTDCKTKVKSLVSEENRSLDCLGEKIAEEGKSDFACVTAGDGGDAKDAASTMMIEKAVVCDSTCLHPKIEVQKQELVEEGAVSVALTDQHPAPLAANHSDAVDRSEHISDSSSVVQYANPPGLDDSKADKSSSSRGRSPLEPVDVERKDQTHLPHSAADVHLPSTLASKGPEVILDKKEPSHHCPAELGKSEASSIIPAHETEPTKSSGSKVSGPDVDHTEEPASSTDASLAASVGPDCTSKIDFDLNEGFLGDDLHQSEPVSVPQPVCSPVIHLPNLPHFASSSIPHGSPAPITVAAPAKGPFVPPENLLKSKAELGWKGSAATSAFRPAEPRKVLEMPLSTSDVPSSDVNTGKQSRPALDIDLNVPDERVLEDMASQSSAQTTGSESGVVNNRDAPVRVAGGLDLDLNRVDDSSESGVLTNNNRRTEFPLLPVRAAQTSLPNADTNNFRDFDLNGPGIEEAGSESGVRNNQAKGSNLTLLPPIAGLRMNTSELGNVSTWFPPSNSYPAVAIPSFLHDRGEHPYPIVAAAGAQRIPGPATGTTFGADIYRHQVLTSSPAMAFSHTPSFQYAGFPFGSSFPVASNSFAGAPPTYGDSSAGAGPFFPTIPSQIMGPAGAVSSHYHQRPYVISLPESSTTGGSDSNRKWARPGLDLNAGPGSVDAEARDERLSSAPRQLNIASTQAFVEEQARMFQMPGGGLKRKEPEGGWDAERFAYKQSSWH >DRNTG_07481.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4026863:4027853:-1 gene:DRNTG_07481 transcript:DRNTG_07481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDEEVKKDQIVEARARNISHNVRCTECGSQSIEDSQADVAILLRKVMMVAEICSDLQLRYCSFELRNYAS >DRNTG_08179.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000369.1:40063:42145:-1 gene:DRNTG_08179 transcript:DRNTG_08179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQDHPNLKIQTHNVGTPPKMKTCHEPWLARWKLL >DRNTG_08179.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000369.1:40063:41272:-1 gene:DRNTG_08179 transcript:DRNTG_08179.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQDHPNLKIQTHNVGTPPKMKTCHEPWLARWKLL >DRNTG_07934.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1206850:1208408:1 gene:DRNTG_07934 transcript:DRNTG_07934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGCNAVDDHKVKKVGGLASVLALGTANPPNVCYQDAFPDYYFRITNNEHRVELKEKFKRISLAVSKCRALTSGSSSSSAFHCPLIMLYCLGCYAGGTVLRIAKDLAENNQNARVLVVCSETTAISFRGTDDVHIDSLIGQAIFGDGSAAVVVGANPIPGVETPFFELVFTDQVIIPDSEKAIHCQLKEIGLTFHLQTDVPNTLSENIEKSLLKVFEPLGMHISDWNSLFWITHGGGRAIFDQIGEKLLLNPEKLEATRHVMSEYGNMVSCCVFFSMHEMRKRSIAEGLPTAGEGLEWGVLHGFGPGLTMETVVLRAPPLHGLVSNGNN >DRNTG_25504.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1104661:1107717:1 gene:DRNTG_25504 transcript:DRNTG_25504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAPMGHILYDEVMRYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDSVKEDDLKSFRQWGSRTPGHPENFETPGIEVTTGPLGQGIANAVGLALAEKHLSARFNKPDSEIVDHYTYVILGDGCQMEGVSNEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTEDVITRFEALGWHTIWVKNGNHGYDEIRAAIKEAKAVKDRPTLIKVTTTIGFGSPNKANSYSVHGSALGAKEVDATRQNLTWPFEPFHVPEDVKSHWSRHISEGASLEADWNSKFAEYEKKYPEEAAELKRIMSGELPAGWEKALPTYTIESPADATRNLSQQCLNALAKALPGFLGGSADLASSNMTLLKTFGDFQSTTPEERNLRFGVREHGMGAICNGIVSHSPGLIPYCATFFVFTDYMRAAIRISALSEGGVIYVMTHDSIGPREKMDPLISLLSI >DRNTG_07365.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000335.1:50156:50541:-1 gene:DRNTG_07365 transcript:DRNTG_07365.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSPSLRKHSQKHHTDISSQSDDSDPLRPITSQSSTT >DRNTG_02372.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15091407:15097356:1 gene:DRNTG_02372 transcript:DRNTG_02372.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIIAGVLEKNNLHGAIFTSFCGGAEMGQAIACDRWIPLVSFTGSSKVGQMVQQIGNEQFGKCLVELSGNNAIIVMDDANIQLSLLHESIYQTVFDQLIGVYKQVKIGDHLEKKVPCPVRTITQSCFKRKLRQVY >DRNTG_02372.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15092612:15097356:1 gene:DRNTG_02372 transcript:DRNTG_02372.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIIAGVLEKNNLHGAIFTSFCGGAEMGQAIACDRWIPLVSFTGSSKVGQMVQQIGNEQFGKCLVELSGNNAIIVMDDANIQLSLLHESIYQTVFDQLIGVYKQVKIGDHLEKKVPCPVRTITQSCFKRKLRQVY >DRNTG_02372.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15091407:15097356:1 gene:DRNTG_02372 transcript:DRNTG_02372.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQIGNEQFGKCLVELSGNNAIIVMDDANIQLSLLHESIYQTVFDQLIGVYKQVKIGDHLEKKVPCPVRTITQSCFKRKLRQVY >DRNTG_02372.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15092612:15097356:1 gene:DRNTG_02372 transcript:DRNTG_02372.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIIAGVLEKNNLHGAIFTSFCGGAEMGQAIACDRWIPLVSFTGSSKLLHESIYQTVFDQLIGVYKQVKIGDHLEKKVPCPVRTITQSCFKRKLRQVY >DRNTG_02372.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15091407:15097356:1 gene:DRNTG_02372 transcript:DRNTG_02372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIIAGVLEKNNLHGAIFTSFCGGAEMGQAIACDRWIPLVSFTGSSKLLHESIYQTVFDQLIGVYKQVKIGDHLEKKVPCPVRTITQSCFKRKLRQVY >DRNTG_02372.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15091407:15097356:1 gene:DRNTG_02372 transcript:DRNTG_02372.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQIGNEQFGKCLVELSGNNAIIVMDDANIQLSVRLENNPFIGHIGLPHYKTSFE >DRNTG_02372.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15091407:15095411:1 gene:DRNTG_02372 transcript:DRNTG_02372.9 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIIAGVLEKNNLHGAIFTSFCGGAEMGQAIACDRWIPLVSFTGSSKVGQMVQQIGNEQFGKCLVELSGNNAIIVMDDANIQLSLLHESIYQTVFDQLIGVYKQVKIGDHLEKKVPCPVRTITQSCFKRKLRQVY >DRNTG_02372.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15091407:15097356:1 gene:DRNTG_02372 transcript:DRNTG_02372.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIIAGVLEKNNLHGAIFTSFCGGAEMGQAIACDRWIPLVSFTGSSKVGQMVQQIGNEQFGKCLVELSGNNAIIVMDDANIQLSLLHESIYQTVFDQLIGVYKQVKIGDHLEKKVPCPVRTITQSCFKRKLRQVY >DRNTG_02372.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15091407:15097356:1 gene:DRNTG_02372 transcript:DRNTG_02372.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIIAGVLEKNNLHGAIFTSFCGGAEMGQAIACDRWIPLVSFTGSSKVGQMVQQIGNEQFGKCLVELSGNNAIIVMDDANIQLSLLHESIYQTVFDQLIGVYKQVKIGDHLEKKVPCPVRTITQSCFKRKLRQVY >DRNTG_00423.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21953908:21955194:1 gene:DRNTG_00423 transcript:DRNTG_00423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKMKATIFTERVAVALRKWHQTAKKHLKENQRSGSNTPMSISRPITPSHGSSPMHLLRYYRSEVDSPQASPMRHYADDNHFDIERSPSPAQHTVSGSNAQHLKANLQQMMMREMEEISSNSRTLPSKTDEIQIHVDNNGSSESREFSFERR >DRNTG_23685.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10218355:10221304:1 gene:DRNTG_23685 transcript:DRNTG_23685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRRSTVRVSNIPPTAVAGELFDYFDAVVGSVYACEIATARKNWKPRGFGRVQFDSFLAADRAHLLSTEDRLPLFQRARLSVLPSLDDIVVRAVDEGNRIDDAEVFVGNLIAERTMEVLESWDMVRVEVIPERKKVLVFLEDGSRLYKLEIMFGDLLRCCGCFLGGKGKGSEQDSILLQLNYAPRIFERISGSGLTLKCSGDRYRVCKEDFPFVWARTTDFSSTSSFGRSCYLCVRIKDGLSSAEILKSLPYVEELGYLSLTRHELSWSSSLLVPIIGIPQNKTVDYEVIFQLNSLLHMQKISVGQLNGDLFDALSGLPLDLAAKILKKMHKLNSTCFEPVQFIQSQVASIHKGQKTSQFDKSTFNENLMSCHRALITPSKIYFLGPEVETSNYVVKYFS >DRNTG_12332.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000541.1:4790:7403:-1 gene:DRNTG_12332 transcript:DRNTG_12332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKGIGLNANSSLHASLAVRQDSAATETTQSKPKKKICCACPDTKRLRDECVVTHGEAACTKWIEAHKRCLRAEGFNI >DRNTG_07693.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23156904:23158068:1 gene:DRNTG_07693 transcript:DRNTG_07693.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKASTFLKEMFSAIVAVVKAKSMAVKSKTSAMKNRLIIFGLLRNKRVLMSAISRKIHALIAQEKDGENGTGSAEEYNKALVLYNTAKNEAPPNNTRTEALELMGDEDEEEDGDGDGDDSYPDLRHSLFDLEDEEDDDGLDNGTGSVIDIVRNSKEDASNFRLEDEIDNVADLFIKRFHKQMKMQKLESFKRYREMLERGL >DRNTG_07693.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23156780:23158068:1 gene:DRNTG_07693 transcript:DRNTG_07693.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKASTFLKEMFSAIVAVVKAKSMAVKSKTSAMKNRLIIFGLLRNKRVLMSAISRKIHALIAQEKDGENGTGSAEEYNKALVLYNTAKNEAPPNNTRTEALELMGDEDEEEDGDGDGDDSYPDLRHSLFDLEDEEDDDGLDNGTGSVIDIVRNSKEDASNFRLEDEIDNVADLFIKRFHKQMKMQKLESFKRYREMLERGL >DRNTG_07693.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23156904:23162886:1 gene:DRNTG_07693 transcript:DRNTG_07693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKASTFLKEMFSAIVAVVKAKSMAVKSKTSAMKNRLIIFGLLRNKRVLMSAISRKIHALIAQEKDGENGTGSAEEYNKALVLYNTAKNEAPPNNTRTEALELMGDEDEEEDGDGDGDDSYPDLRHSLFDLEDEEDDDGLDNGTGSVIDIVRNSKEDASNFRLEDEIDNVADLFIKRFHKQMKMQKLESFKRYREMLERGIVQCRSCFFRL >DRNTG_07693.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23156728:23158068:1 gene:DRNTG_07693 transcript:DRNTG_07693.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKASTFLKEMFSAIVAVVKAKSMAVKSKTSAMKNRLIIFGLLRNKRVLMSAISRKIHALIAQEKDGENGTGSAEEYNKALVLYNTAKNEAPPNNTRTEALELMGDEDEEEDGDGDGDDSYPDLRHSLFDLEDEEDDDGLDNGTGSVIDIVRNSKEDASNFRLEDEIDNVADLFIKRFHKQMKMQKLESFKRYREMLERGL >DRNTG_14512.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000701.1:34677:38097:-1 gene:DRNTG_14512 transcript:DRNTG_14512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVPPHLDLETKRLMGMIHRYRGVYMLKIPPPERAEGGGDAAKGSQPAQEPQMETEIPPHSIGATSCRHCSKINVAVAVAPGEFQVRIWIMAYGLNAARYRARDDHYSYNRRYLLDGVCLPQIVSMLSSIASGIALRMEAIGSGIALGIALMMGAIGSCIALGMEAIKGGRILKGFWQPSLGGRRERKTPFFERVWLEAWEDQELELKGEASSSKEEKHSASLGLEEVSFGQHSLSSSSFGLGSVIYAFTSCFCCV >DRNTG_20081.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001095.1:8461:9286:1 gene:DRNTG_20081 transcript:DRNTG_20081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLLRVSLILHLIHTMLNYLPDKLRSLLKSFWFSAKHEDECKTTVQKNGKAVDQFELSRVFEMFDHDGDGRISRKELHESLDNLGIFISEEDLSVMIEKIDVNEDGCEDVKEAFDVFDVNGDGYISVEELRSVLSSLGLRQGWTKEDVKGMIGKVDEDGDGRVSFEEFKVMMKSGGFVGSL >DRNTG_03282.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8824805:8826700:-1 gene:DRNTG_03282 transcript:DRNTG_03282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSLGVCLFVLLLLISFTTQRAQAFVYDGKELENMVNNGEFSKNENFVFVKGPRRALMVDSRTRPDKSKRLSPCMKGLCILQGARRALMGVSWTPDSTSSSLVNTPTSTSGVEKGKKMIRTEDEEADVAADMEEKYRSGEITDVAASEGEDDVESNVHVTEFLLENPNSLTNVTKLNVNAHFNTF >DRNTG_20918.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25500160:25501971:-1 gene:DRNTG_20918 transcript:DRNTG_20918.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium-protoporphyrin IX monomethyl ester [oxidative] cyclase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56940) UniProtKB/Swiss-Prot;Acc:Q9M591] MAAAELSLIKPMKCATIKFNTPTTTSKLNSFRPKPMIPRASASTATPAKPKRTEKGIKETLLTPRFYTTDFDEMEMLFNTEINKNLNQSEFEALLHEFKTDYNQTHFVRNPEFKAAADKLQGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARTYTFFKPKFIFYATYLSEKIGYWRYITIYRHLKANPEFQVYPIFKYFENWCQDENRHGDFFSALLKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRTAFYEGIGLNTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDRMVEINEKLMAVGESNDNPLVKNLKKVPLITALVSEIIAAYLMPPVESGSLDFAEFEPQVVY >DRNTG_28461.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22410341:22416369:1 gene:DRNTG_28461 transcript:DRNTG_28461.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKVLQVPRNATDEDLKKAYRKLAMKWHPDKNPNNKNEAEAKFKQISEAYDVLSDPQKRAVYDQYGEEGLKGQVPPPGAGGSSFFGGGGGGGGGDGGRFNTRSADDIFAEFFGFTSTSGGMGGGKGGSRFPGGMFGDDFFSSAFGGEASQSRQPLKAPPIENQLPCSLEDLYKGTTKKMKISRDVTDMSGRTTPVEEILTIEIKPGWKKGTKITFPEKGNEMPNVIPADIVFIIDEKPHSIYSRDGNDLIVTQKISLVEALTGYTVNLVTLDGRNLSIPINTIIHPGYEEIVPREGMPIPKDRTMRGNLRIKFNIKFPSRLTAEQKASIKRVLAP >DRNTG_00185.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:98802:99762:1 gene:DRNTG_00185 transcript:DRNTG_00185.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit T, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G09350) UniProtKB/Swiss-Prot;Acc:Q9SMS0] MASTPSPSFSSTLPPLKTHTNSSKPKKPHRHLQLFAASSSSPTSEPSPKQPKRTPGVDTRIHWDNQEEGWLGKKSKPQNPKKSQTQTQTKQYLGEHFADLINNSSATHYQFLGVTPEADVEEIKAAYRKLSKEYHPDTTTLPLKTASEKFMRLREAYNVLSNETSRRFYDWTLAQEEESRREERMRMNLEDPYRQDIKNRVPVPDMVDRLGGKNMELSDQAYTALTIDIFIIFFCVCCFVYVAFFKEQY >DRNTG_02747.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000126.1:3374:4665:-1 gene:DRNTG_02747 transcript:DRNTG_02747.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVGRKGVGNFHTPIWLYRTSRGFLANSKLYSSTRQVGQLADEVKDLISVGCWRHPLLIKEPAIHALTLELGLYEEAFTDTEEYAQIPIDYHVALTPQKAYRALYGQDQYKPGVSNATCLSRPAYRHLHAILSMSVNDRCDTSGVLSQQELLYLYSMI >DRNTG_29575.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2300195:2300554:-1 gene:DRNTG_29575 transcript:DRNTG_29575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLSTQVQRTGVITIATNTARELISRYESITAPHHCHRSEKYNTLVVRAGEQGYTVASYLPLVPLDGIAKAFGNEPRAPIANNTSKPEVVAAE >DRNTG_15746.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20400712:20408833:-1 gene:DRNTG_15746 transcript:DRNTG_15746.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEIAAAEIPPPTDLDASASAPEPITTAPEPITSALEPIATAPETRDGEESPKKPEEDEASLAADHKRKHEELEPQVDESAPAPILEAPADEASKEDDGGESEAKRQRVDGDADGAVDGANEAHELEAPAVGNGQLSSAENVELENAEDVQNPDSLVSEEGNDPSAYGASTSRKIEVPSNKVGVLIGKSGETIKNLQINSGAKIQITRDADADPRSATRPVELIGKLEDINRAERLIKAVIAEADAGGSPSLVARGFDTTQTGAEQVEIQVPNEKVGLVIGKGGETIKNLQTKSGARIQLIPQHLPEGDLSKERTVRVTGNKRQIEVAKELIKDVLNQVPTRPSSLSGGYNQQSFRARGPTTSNQWGPRAPPQSQMGGGYDYQQRGMYPSQNQQYPSQPYGGYSQQPPPRSSFGSGWEQRPGGSAPPGSYDYYGQGGRPIDGQPPKCSCPSSSIFKLPLWPASRTNVWPATSIWTISPCPADLWTWV >DRNTG_15746.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20400712:20408833:-1 gene:DRNTG_15746 transcript:DRNTG_15746.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEIAAAEIPPPTDLDASASAPEPITTAPEPITSALEPIATAPETRDGEESPKKPEEDEASLAADHKRKHEELEPQVDESAPAPILEAPADEASKEDDGGESEAKRQRVDGDADGAVDGANEAHELEAPAVGNGQLSSAENVELENAEDVQNPDSLVSEEGNDPSAYGASTSRKIEVPSNKVGVLIGKSGETIKNLQINSGAKIQITRDADADPRSATRPVELIGKLEDINRAERLIKAVIAEADAGGSPSLVARGFDTTQTGAEQVEIQVPNEKVGLVIGKGGETIKNLQTKSGARIQLIPQHLPEGDLSKERTVRVTGNKRQIEVAKELIKDVLNQMWLLPFTWGQHWLLV >DRNTG_15746.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20400712:20408833:-1 gene:DRNTG_15746 transcript:DRNTG_15746.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEIAAAEIPPPTDLDASASAPEPITTAPEPITSALEPIATAPETRDGEESPKKPEEDEASLAADHKRKHEELEPQVDESAPAPILEAPADEASKEDDGGESEAKRQRVDGDADGAVDGANEAHELEAPAVGNGQLSSAENVELENAEDVQNPDSLVSEEGNDPSAYGASTSRKIEVPSNKVGVLIGKSGETIKNLQINSGAKIQITRDADADPRSATRPVELIGKLEDINRAERLIKAVIAEADAGGSPSLVARGFDTTQTGAEQVEIQVPNEKVGLVIGKGGETIKNLQTKSGARIQLIPQHLPEGDLSKERTVRVTGNKRQIEVAKELIKDVLNQVTS >DRNTG_15746.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20400712:20408833:-1 gene:DRNTG_15746 transcript:DRNTG_15746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEIAAAEIPPPTDLDASASAPEPITTAPEPITSALEPIATAPETRDGEESPKKPEEDEASLAADHKRKHEELEPQVDESAPAPILEAPADEASKEDDGGESEAKRQRVDGDADGAAVDGANEAHELEAPAVGNGQLSSAENVELENAEDVQNPDSLVSEEGNDPSAYGASTSRKIEVPSNKVGVLIGKSGETIKNLQINSGAKIQITRDADADPRSATRPVELIGKLEDINRAERLIKAVIAEADAGGSPSLVARGFDTTQTGAEQVEIQVPNEKVGLVIGKGGETIKNLQTKSGARIQLIPQHLPEGDLSKERTVRVTGNKRQIEVAKELIKDVLNQVPTRPSSLSGGYNQQSFRARGPTTSNQWGPRAPPQSQMGGGYDYQQRGMYPSQNQQYPSQPYGGYSQQPPPRSSFGSGWEQRPGGSAPPGSYDYYGQGGRPIDGQPPKCSCPSSSIFKLPLWPASRTNVWPATSIWTISPCPADLWTWV >DRNTG_15746.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20400712:20408833:-1 gene:DRNTG_15746 transcript:DRNTG_15746.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEIAAAEIPPPTDLDASASAPEPITTAPEPITSALEPIATAPETRDGEESPKKPEEDEASLAADHKRKHEELEPQVDESAPAPILEAPADEASKEDDGGESEAKRQRVDGDADGAAVDGANEAHELEAPAVGNGQLSSAENVELENAEDVQNPDSLVSEEGNDPSAYGASTSRKIEVPSNKVGVLIGKSGETIKNLQINSGAKIQITRDADADPRSATRPVELIGKLEDINRAERLIKAVIAEADAGGSPSLVARGFDTTQTGAEQVEIQVPNEKVGLVIGKGGETIKNLQTKSGARIQLIPQHLPEGDLSKERTVRVTGNKRQIEVAKELIKDVLNQVTS >DRNTG_12155.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12041643:12044040:-1 gene:DRNTG_12155 transcript:DRNTG_12155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLEPVVKRKGRRPMLRRRDPEEEEIEFIMGKQKEQHGNGSWDGQSVEEATEENSIRKAYQHPSKEITGNDVSQGDGNENMDAISSQIGEEHISRVYQ >DRNTG_31390.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28030028:28036517:1 gene:DRNTG_31390 transcript:DRNTG_31390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIERTTEKSSSKASGSKRGTPNKRWKAEYDAFLIPILLEQVRKGLKCDKSFKRAAFVFAAVAVNARFNTEFSVENVENHYRTLKSRYAEIKKDIRFGEDPRSNLMSYAR >DRNTG_28387.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17909035:17914687:1 gene:DRNTG_28387 transcript:DRNTG_28387.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKHKNEKERESARRKEGKGRAREKNFIF >DRNTG_28387.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17909035:17914687:1 gene:DRNTG_28387 transcript:DRNTG_28387.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKHKNEKERESARRKEGKGRAREKNFIF >DRNTG_28387.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17909035:17914687:1 gene:DRNTG_28387 transcript:DRNTG_28387.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKHKNEKERESARRKEGKGRAREKNFIF >DRNTG_28387.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17909035:17914687:1 gene:DRNTG_28387 transcript:DRNTG_28387.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKHKNEKERESARRKEGKGRAREKNFIF >DRNTG_21190.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001207.1:4411:5537:1 gene:DRNTG_21190 transcript:DRNTG_21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVQLSHPACQEQPSNPSRHSKPRDQTRAIRSNPDNSLTRAILSLGRLLRRRSFSCDPSPGLRASVPRRGFSRLGIRRWGSSTALDPRDLGSGGITPSGVLSGGAGFKPDHGAFQVDLDLRRPLPHGRGSPMLAFFLLLPSFVL >DRNTG_21749.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13441864:13449008:1 gene:DRNTG_21749 transcript:DRNTG_21749.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHVEPPAISNAVPFLVSPLKPSPMAFPSLLLLLSAAFLIAGGSTTFRLDIHHRFSATVRRWVESRSGTELGWPEKGTVEYLASLAAQDRALRGRALSNAPPPLTFSEGNATIRINSLGFLHYAMVSVGTPSVTFLVALDTGSDLFWVPCDCLSCALSASLNYRPDFEFSMYSPNMSLTSQRVPCNSNLCELQRECSGAANLCPYKVAYVSADTSSSGTLVEDVLYLMTEDARSEVVEAKIIFGCGQVQTGSFLDVAAPNGLFGLGMEKVSVPSILASAGLTSDSFSICFGRDGVGRISFGDRGSSDQNETPFSVNKVHPTYNISIIGMGVGNTTTKADFSALVDTGTSFTYFADPAYGQLSQTVRSK >DRNTG_21749.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13441864:13449008:1 gene:DRNTG_21749 transcript:DRNTG_21749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHVEPPAISNAVPFLVSPLKPSPMAFPSLLLLLSAAFLIAGGSTTFRLDIHHRFSATVRRWVESRSGTELGWPEKGTVEYLASLAAQDRALRGRALSNAPPPLTFSEGNATIRINSLGFLHYAMVSVGTPSVTFLVALDTGSDLFWVPCDCLSCALSASLNYRPDFEFSMYSPNMSLTSQRVPCNSNLCELQRECSGAANLCPYKVAYVSADTSSSGTLVEDVLYLMTEDARSEVVEAKIIFGCGQVQTGSFLDVAAPNGLFGLGMEKVSVPSILASAGLTSDSFSICFGRDGVGRISFGDRGSSDQNETPFSVNKVHPTYNISIIGMGVGNTTTKADFSALVDTGTSFTYFADPAYGQLSQTFHAQVQDKRYAPDPRIPFEYCYYMSSNSTVAPDVSLTTSGGDQFPVNDPIIVISIQQNEFVYCFAVVKSNKLNIIGQNFLTGLRIVFDRERLILGWKQFNCYDSDDSSPLPVGPRNSSRSPGAFGQGSYTPEATKEPGSGTQITVLTPPVNCSSSFNALSIIVLLLMLMHLVIL >DRNTG_13480.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:17611084:17613301:-1 gene:DRNTG_13480 transcript:DRNTG_13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERDRSTGNRGLRRTQRGRNQNSISKAWTRR >DRNTG_02307.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:734762:738880:-1 gene:DRNTG_02307 transcript:DRNTG_02307.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACR12 [Source:Projected from Arabidopsis thaliana (AT5G04740) UniProtKB/TrEMBL;Acc:A0A178UNY1] MAFTNLHTLSSSTLVALRLSNPPPNLGFLLHRSSSQLAIPTLALFGNRSVAPTLGFGGEIHGLAPLASGRSLVWRAFWTSINSTDHSTSSETDDNLVPMPKVTIDQDSTPDATVVKLSFGDRLGALLDTMKALKGLGLDVTKGTVITGDSVKQTEFFITRSGRKVEDPDMLEKIRLTIINNLLKYHPESSQRLALGEAFGIKPPEKKLYVDIPTQILVQDDGPKRSLLYIETTDRPGLLLEIIMILSDININVESAEIITEGLVAKDKFHVSYSGAALSDSVAKVLINCLRYHFRKPQTEDDSY >DRNTG_02307.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:734762:738880:-1 gene:DRNTG_02307 transcript:DRNTG_02307.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACR12 [Source:Projected from Arabidopsis thaliana (AT5G04740) UniProtKB/TrEMBL;Acc:A0A178UNY1] MAFTNLHTLSSSTLVALRLSNPPPNLGFLLHRSSSQLAIPTLALFGNRSVAPTLGFGGEIHGLAPLASGRSLVWRAFWTSINSTDHSTSSETSHDQDDNLVPMPKVTIDQDSTPDATVVKLSFGDRLGALLDTMKALKGLGLDVTKGTVITGDSVKQTEFFITRSGRKVEDPDMLEKIRLTIINNLLKYHPESSQRLALGEAFGIKPPEKKLYVDIPTQILVQDDGPKRSLLYIETTDRPGLLLEIIMILSDININVESAEIITEGLVAKDKFHVSYSGAALSDSVAKVLINCLRYHFRKPQTEDDSY >DRNTG_10169.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22975722:22977282:-1 gene:DRNTG_10169 transcript:DRNTG_10169.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g17670 [Source:Projected from Arabidopsis thaliana (AT3G17668) UniProtKB/TrEMBL;Acc:Q9LUN0] MAGFAALASMAIPEGFLPRLENASSSRLKASRASWIVRTESNVRKEKLKIPDPPCVVCNGSGRINCHYCYGRGITNCVHLPMLPKGEWPKWCRTCGGSGLGYCDRCLGTGEYRDVMGFHFMKMSTKLS >DRNTG_10169.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22975722:22977282:-1 gene:DRNTG_10169 transcript:DRNTG_10169.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g17670 [Source:Projected from Arabidopsis thaliana (AT3G17668) UniProtKB/TrEMBL;Acc:Q9LUN0] MAGFAALASMAIPEGFLPRLENASSSRLKASRASWIVRTESNVRKEKLKIPDPPCVVCNGSGRINCHYCYGRGMCSYSLFILHAYSTQTLV >DRNTG_15241.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23429221:23432628:-1 gene:DRNTG_15241 transcript:DRNTG_15241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKQEGDLPVYGFHDPAAFINSIQKPRVVIMLVKAGAPVDQTIQTLSAYMEKGDCIIDGGNEWYENTERREKAVTEQGLLYLGMGVSGGEEGARHGPSLMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLSNDELQQVFSEWNKGELLSFLIEITADIFGIKDDKGDGYLVDKVLDKTGMKGTGKWTVQQAADLSVAAPTIAASLDSRFLSGLKEERVEAAKVFKAGGFSDILGNQSVDKAKLIDDVRQALYASKICSYAQGMNLIRAKSTEKGWGLKLGELARIWKGGCIIRAIFLDRIKQAYDRNSDLANLLVDPEFAKEILDRQAAWRRVICLAINSGVSTPGMSTSLAYFDTYRRERLPANLVQAQRDYFGAHTYERVDMPGSFHTEWFKIAQQSKM >DRNTG_15241.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23429221:23432334:-1 gene:DRNTG_15241 transcript:DRNTG_15241.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKQEGDLPVYGFHDPAAFINSIQKPRVVIMLVKAGAPVDQTIQTLSAYMEKGDCIIDGGNEWYENTERREKAVTEQGLLYLGMGVSGGEEGARHGPSLMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLSNDELQQVFSEWNKGELLSFLIEITADIFGIKDDKGDGYLVDKVLDKTGMKGTGKWTVQQAADLSVAAPTIAASLDSRFLSGLKEERVEAAKVFKAGGFSDILGNQSVDKAKLIDDVRQALYASKICSYAQGMNLIRAKSTEKGWGLKLGELARIWKGGCIIRAIFLDRIKQAYDRNSDLANLLVDPEFAKEILDRQAAWRRVICLAINSGVSTPGMSTSLAYFDTYRRERLPANLVQAQRDYFGAHTYERVDMPGSFHTEWFKIAQQSKM >DRNTG_05608.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20457758:20466594:1 gene:DRNTG_05608 transcript:DRNTG_05608.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTGFGGRHKRVSRQEEREHINAMKPTMSVRKVHPTQVKVMQKKSFGEPVEVISL >DRNTG_00152.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26144678:26156793:-1 gene:DRNTG_00152 transcript:DRNTG_00152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICIASRFSENTIVFPKGPLQRKLLASCGDSSARRTLKLSFLPSEESPSLPDAMEKE >DRNTG_35008.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24138876:24148571:1 gene:DRNTG_35008 transcript:DRNTG_35008.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDKYQELSKKASDEALEVAARKAFIVQDKERYDQQLHDMAAMVERLENSRQKLLMEIDSQSSEIERLFEENSNMATSYQDAMSAAMQWENQVHYLACWHSLICSNYFWFGIIFDILLTVSFLFCCYLVYLLYAISKLMLWYHSLAHLVNVVNYTYH >DRNTG_35008.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24138474:24149028:1 gene:DRNTG_35008 transcript:DRNTG_35008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHHATLGRRTLEEIRQKRAAERLHKASSGPDLNSSNAYGAYSSEGGIRASERDSYALPSRVKELESRNAELEAENQKLLSKLEAKDADNDTLVKRVNELENNVLPGLRKALKDVSIEKDAAFVAKEDALSQLRTMKKRIKEAEEDQYRAEEDAAALRAELSALQQQGFGNSFGGFSSTGKSAEDIAAIEKEMGDLKLVLQQESQLRLREQQKLADEQLRLSSLMAEKNKCWKINIKNCPKRLQMRHWKLLLGKHL >DRNTG_26976.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001372.1:46584:47494:1 gene:DRNTG_26976 transcript:DRNTG_26976.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGLVEEEGRDETGAAGGLVDEEDEETEGGRETASALPHRVSSWLRQREQELEQVRIWAA >DRNTG_30784.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10562247:10567672:1 gene:DRNTG_30784 transcript:DRNTG_30784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARLRYLDESMDSGVFQAGTATGALERFYGSDITRRLEKGQIAKSLSERPRGSLPSNTKTNPREYVKAITLRCGREVEGKLLSEKPNEHAPEVIEVEKGANTTDEIVDEYIQEMFNLDPYEGLFDQEVDNEGIMMLGSTEELPSTSGILKKSLQSFLRHPEKTHRHVELTHARGFVLRAHPEKAQGRGLTPVNDLVILTRSAYRSIFGTSSLSTFDIKASMPDWSDLLGTIHYEISSGYGSLGHDSRAEKMSILVPLSLETMRLMGMVRRVRMGVYALVLPVPEIAEKESDDADASEPTPEPQQALIETEAPLMAEDPPPAHLFSPSRAHDCFERLESARILERDVALLFVLQPRTPPACPAPPSPIPAPVDPPCTSSPAPAPAEEPEHDTDT >DRNTG_06903.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:13984147:13985816:1 gene:DRNTG_06903 transcript:DRNTG_06903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTVIETNPSSIAIVESNGERFKRAFFSFSVCIMGFKRACRLLLFLDGTHLLGKYRGTLLGATSKNRNNGFFHVAFGIIDNETNADWTWFISKLGDALYDEGDYQEIIMSDRSKGLVNAIARVFPSSPHAYCLRHLEANFMKANARLGKALREECWSIYFRIALASTAKKFDDIINELQVQVDAHVYATTVSKRTNGRPICPDIHSKVEIFVEEIQNLHVGRCRRGRGVSAGRGKEGLLCCQGIRAWVGLSLGKRR >DRNTG_02115.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10826704:10827545:-1 gene:DRNTG_02115 transcript:DRNTG_02115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLMGTILFPNTSCSVANWIVDYVDDLPDMGRYAWVQATHKWLMEDIPQAAARVQARCAGKKTNTGYIKGCSVALNIWFYELTGTGKKVHFGKTPRMLCYGESSYRKQATIETCLSSLEGKEFPELVPMKLYVFGATAGWMPLLQNHLREGKINLFRARSTSFSYFQPYARTHSSTPEKPSPTPPDYNIPPLPQQRQSPQLP >DRNTG_08622.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12405767:12406372:1 gene:DRNTG_08622 transcript:DRNTG_08622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSCVVWKKVIGRAFVGTDPARCIVGRTKDPLRRVEDSPQDHRHDHRGEGREGESRGIGASSFSSDMIDSTSVPRVLCGYDRVNGLRDDPPLGLSCEYNGVKR >DRNTG_31233.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001676.1:43256:43931:-1 gene:DRNTG_31233 transcript:DRNTG_31233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICLEPALSLNDLVKLAMNLTAALACSLNLSLNPPRVSCRSRGKDVGRG >DRNTG_32665.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18904168:18904536:1 gene:DRNTG_32665 transcript:DRNTG_32665.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASACNTFMLMVIIVVHVLLASAATFAANQGGSQLRIHSPIGVEPQRIYSPPPPGPKTGPL >DRNTG_09135.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26834703:26841452:1 gene:DRNTG_09135 transcript:DRNTG_09135.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWGKRCKVLALKVKALIGRIIDEKRSTGFGHDFNKDDFLSVLLGLPQEDSLTDSDAIAVLWEMIFRGTDVVAILLEWIMARLVLHPDIQAQAKQELNLIVGARPVKDIDIPKLHYLQAIVKEVLRLHPPGPLLSWARLAVHDVHVGKFFVPAGTTAMVNMWAITHNESIWKDPWAFRPERFVEEEVSLLGSDLRLAPFGSGRRVCPGRALGLATVHLWLARLLQRYHWVPAQPVHLSECLRLSMEMKKPLICQVR >DRNTG_09135.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26838664:26841535:1 gene:DRNTG_09135 transcript:DRNTG_09135.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVWGKRCKVLALKVKALIGRIIDEKRSTGFGHDFNKDDFLSVLLGLPQEDSLTDSDAIAVLWEMIFRGTDVVAILLEWIMARLVLHPDIQAQAKQELNLIVGARPVKDIDIPKLHYLQAIVKEVLRLHPPGPLLSWARLAVHDVHVGKFFVPAGTTAMVNMWAITHNESIWKDPWAFRPERFVEEEVSLLGSDLRLAPFGSGRRVCPGRALGLATVHLWLARLLQRYHWVPAQPVHLSECLRLSMEMKKPLICQVR >DRNTG_09135.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26830965:26841452:1 gene:DRNTG_09135 transcript:DRNTG_09135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWGKRCKVLALKVKALIGRIIDEKRSTGFGHDFNKDDFLSVLLGLPQEDSLTDSDAIAVLWEMIFRGTDVVAILLEWIMARLVLHPDIQAQAKQELNLIVGARPVKDIDIPKLHYLQAIVKEVLRLHPPGPLLSWARLAVHDVHVGKFFVPAGTTAMVNMWAITHNESIWKDPWAFRPERFVEEEVSLLGSDLRLAPFGSGRRVCPGRALGLATVHLWLARLLQRYHWVPAQPVHLSECLRLSMEMKKPLICQVR >DRNTG_09135.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26838664:26841452:1 gene:DRNTG_09135 transcript:DRNTG_09135.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVWGKRCKVLALKVKALIGRIIDEKRSTGFGHDFNKDDFLSVLLGLPQEDSLTDSDAIAVLWEMIFRGTDVVAILLEWIMARLVLHPDIQAQAKQELNLIVGARPVKDIDIPKLHYLQAIVKEVLRLHPPGPLLSWARLAVHDVHVGKFFVPAGTTAMVNMWAITHNESIWKDPWAFRPERFVEEEVSLLGSDLRLAPFGSGRRVCPGRALGLATVHLWLARLLQRYHWVPAQPVHLSECLRLSMEMKKPLICQVR >DRNTG_31781.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6142431:6143625:1 gene:DRNTG_31781 transcript:DRNTG_31781.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDLLQNEAVVVIKFLTSQMATQSERLGYEFAKWLGVHTPQVQLVLIF >DRNTG_18611.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22276382:22277497:1 gene:DRNTG_18611 transcript:DRNTG_18611.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNTSFVEFPPVHQEMNADQCPPAKKPGPVSMDHVLLALRETREQRESRIRGLFNFFDSAGLGYLDYAQIEAGLSTMRIPAEYRYARDLLKVCDANRDGRVDYLEFRRYMDEKELDLYRIFEAIDVEHNGCILPEELWRLIICFLLFDYHLKGGMRPRPRNLVLFL >DRNTG_19904.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18302765:18303609:-1 gene:DRNTG_19904 transcript:DRNTG_19904.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQALSIIVIQMMELTGAMLLLSFTAKKEYQISSFFIGKLFSEKRSWIKASATGVVFLIGLMFLTSSIADSLLEAKEDVNDPIMKEMFLGSPLSEIATVSLLCFTTPLLEETIYRGFLLTSLAKEMKWWQAIIISACVFSIAHFSFESSLQLFLVGIILGFVYCWSGNLAAPFLVHSLYNAAVLLLDY >DRNTG_19904.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18302765:18305282:-1 gene:DRNTG_19904 transcript:DRNTG_19904.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKETRSSERMTSALCYPTTLPKLCREFTLNPKCSQFSPSFFPKSRFPSSASLFRPCCSRNGWGGEDPFEALSIIVIQMMELTGAMLLLSFTAKKEYQISSFFIGKLFSEKRSWIKASATGVVFLIGLMFLTSSIADSLLEAKEDVNDPIMKEMFLGSPLSEIATVSLLCFTTPLLEETIYRGFLLTSLAKEMKWWQAIIISACVFSIAHFSFESSLQLFLVGIILGFVYCWSGNLAAPFLVHSLYNAAVLLLDY >DRNTG_01472.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13457632:13458171:1 gene:DRNTG_01472 transcript:DRNTG_01472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNVIGIETNPRIADCIVDILKNRIRDHRQSLKKYYLNFSSYEDAKRKKPNEFITQENWEDLCDYWNNDKTKEKAEKAKVSRSYMKTPHNQGSKSFV >DRNTG_08680.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4851153:4857770:-1 gene:DRNTG_08680 transcript:DRNTG_08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNQGFSGEMESSVASAPASSSDEPPRVKFLCSFGGGVLPRPLDGRLRYVGGETRIVSVPRDVSYDELMARMRELFEGVSVIKYQQPDEDLDALVSVVNDDDVMNMMEEYDKLGAAGDGFTRLRIFLFSHQHSDLDVAGVVGSPFDSNERESERRYVDALNSLNDTMPQPGNDVSEQLFIDSGLHDHLHHLKIPHPSHGQRYGDIEGSWSPAYYSPGPHTPSSPSSARYHITPGELSDRIAEEYARHSPGFQQPPLDHQSPHFLENVVWLPPGAIVQEKAGFPSNLGNSHNAMEGNSICNNCRMVFQRGQSPVSDPRYLDPRWKHGQPQVEQPGNANEYVSQFPNSCGECYPNKEAYMLGQDLILDHGVYVKEQGEQRAFYNESHNHDRSRVVHHHQMNQRLEDPRSHLSGTGRVNEFVVDGNGLNPHPILHGGFYDGHPLPPSNCINHDDTRLPRPGIDLSNEVFLDQHSVGSAPHAHILSPEDPGARFGNHPAYGTENLYQGQQNLAPIQPLRRQMQVPVRPGYESPIFVMPNGVSPRFSHVGVDDSFQNPWTIQNGGTSQRIFGFDGPPPPEYMYDQVPKMNANISTVHDSRLSFSPDSAHCLPGIMPVEVPTETLPLTPPQSCLADEKLVDASSQLNHLKIEDDAKPSIRAAEDKILPCVASGQKHLDVGKEADVCLNAGPKKVDDTDSGSHEQKPIGEEGYIAKHSESDGTVAHGQAEVSEECLSFLPDLMASVKKAMLEEAEEVKARAQEDSDHNVSSLPDQKESSLLELEPGKGNEDLDIDSEDDDPKVSKIEPTTAEAEALAKGLQTIKNDDLEEIRELGSGTYGSVYHGKWKGSDVAIKRIKASCFAGKPSERERLIADFWREALILSSLHHPNVVSFYGVVRDGPDGSVATVTEFMVNGSLKQFLKKKDRTIDRRKRLIIAMDSAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPICKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKSNMVSEKIDVYSFGIVMWELLTGEEPYADMHCASIIGGIVNNTLRPQIPTWCDPEWRSLMESCWSADPAERPSFTEISQRLRKMSAAINLK >DRNTG_25487.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1679757:1686026:1 gene:DRNTG_25487 transcript:DRNTG_25487.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPPPLLRHLLRRFLPSHPPIPHPSIHSPLPPLTLFRFLSSLSSAVPAAAASDVAHSIAGELQKISSSVSTDLPGHLSLHFSDVRFTPSLLHDVLTHSPPTAGRAAADLFRHLAGTRCLPASDPSLALLVGLLARRHDFKAINELLHDFPRAVGHETLSTTLLSLASAGRPAAAVRLFQSLGKDLNVPRDPAALSALVTALCAHGFTGYAERAVKAAAAEIFPNVDICNALIGGYCTELKLGEARRLMEEILRGGFELSTPAYNSILDCVCRLARKKDPLQMQHEAEKILLEMESAGIPRNARTFHVLIYNLCKIRKTEDAMKLFGRMPEWGCSAEADTYLVLIRSLYQAARVSEGDEMIEWMRSAGFGSELDRKAYYGFIKILCGIERVDHAMKVFRMMKGYGHAPGIKTYDLLIGKLAAHNQGDRANALFKEAVARGVPVTPNVYKVDPRFVKQKKVKQGKKRETLPEKMARKRKRIRKLKMSFVKKPRSTRRVI >DRNTG_25487.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1679757:1681791:1 gene:DRNTG_25487 transcript:DRNTG_25487.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPPPLLRHLLRRFLPSHPPIPHPSIHSPLPPLTLFRFLSSLSSAVPAAAASDVAHSIAGELQKISSSVSTDLPGHLSLHFSDVRFTPSLLHDVLTHSPPTAGRAAADLFRHLAGTRCLPASDPSLALLVGLLARRHDFKAINELLHDFPRAVGHETLSTTLLSLASAGRPAAAVRLFQSLGKDLNVPRDPAALSALVTALCAHGFTGYAERAVKAAAAEIFPNVDICNALIGGYCTELKLGEARRLMEEILRGGFELSTPAYNSILDCVCRLARKKDPLQMQHEAEKILLEMESAGIPRNARTFHVLIYNLCKIRKTEDAMKLFGRMPEWGCSAEADTYLVLIRSLYQAARVSEGDEMIEWMRSAGFGSELDRKAYYGFIKILCGIERVDHAMKVFRMMKGYGHAPGIKTYDLLIGKLAAHNQGDRANALFKEAVARGVPVTPNVYKVDPRFVKQKKVKQGKKRETLPEKMARKRKRIRKLKMSFVKKPRSTRRVI >DRNTG_25487.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1679679:1686026:1 gene:DRNTG_25487 transcript:DRNTG_25487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPPPLLRHLLRRFLPSHPPIPHPSIHSPLPPLTLFRFLSSLSSAVPAAAASDVAHSIAGELQKISSSVSTDLPGHLSLHFSDVRFTPSLLHDVLTHSPPTAGRAAADLFRHLAGTRCLPASDPSLALLVGLLARRHDFKAINELLHDFPRAVGHETLSTTLLSLASAGRPAAAVRLFQSLGKDLNVPRDPAALSALVTALCAHGFTGYAERAVKAAAAEIFPNVDICNALIGGYCTELKLGEARRLMEEILRGGFELSTPAYNSILDCVCRLARKKDPLQMQHEAEKILLEMESAGIPRNARTFHVLIYNLCKIRKTEDAMKLFGRMPEWGCSAEADTYLVLIRSLYQAARVSEGDEMIEWMRSAGFGSELDRKAYYGFIKILCGIERVDHAMKVFRMMKGYGHAPGIKTYDLLIGKLAAHNQGDRANALFKEAVARGVPVTPNVYKVDPRFVKQKKVKQGKKRETLPEKMARKRKRIRKLKMSFVKKPRSTRRVI >DRNTG_25487.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1679679:1681791:1 gene:DRNTG_25487 transcript:DRNTG_25487.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPPPLLRHLLRRFLPSHPPIPHPSIHSPLPPLTLFRFLSSLSSAVPAAAASDVAHSIAGELQKISSSVSTDLPGHLSLHFSDVRFTPSLLHDVLTHSPPTAGRAAADLFRHLAGTRCLPASDPSLALLVGLLARRHDFKAINELLHDFPRAVGHETLSTTLLSLASAGRPAAAVRLFQSLGKDLNVPRDPAALSALVTALCAHGFTGYAERAVKAAAAEIFPNVDICNALIGGYCTELKLGEARRLMEEILRGGFELSTPAYNSILDCVCRLARKKDPLQMQHEAEKILLEMESAGIPRNARTFHVLIYNLCKIRKTEDAMKLFGRMPEWGCSAEADTYLVLIRSLYQAARVSEGDEMIEWMRSAGFGSELDRKAYYGFIKILCGIERVDHAMKVFRMMKGYGHAPGIKTYDLLIGKLAAHNQGDRANALFKEAVARGVPVTPNVYKVDPRFVKQKKVKQGKKRETLPEKMARKRKRIRKLKMSFVKKPRSTRRVI >DRNTG_25487.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1679757:1681791:1 gene:DRNTG_25487 transcript:DRNTG_25487.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPPPLLRHLLRRFLPSHPPIPHPSIHSPLPPLTLFRFLSSLSSAVPAAAASDVAHSIAGELQKISSSVSTDLPGHLSLHFSDVRFTPSLLHDVLTHSPPTAGRAAADLFRHLAGTRCLPASDPSLALLVGLLARRHDFKAINELLHDFPRAVGHETLSTTLLSLASAGRPAAAVRLFQSLGKDLNVPRDPAALSALVTALCAHGFTGYAERAVKAAAAEIFPNVDICNALIGGYCTELKLGEARRLMEEILRGGFELSTPAYNSILDCVCRLARKKDPLQMQHEAEKILLEMESAGIPRNARTFHVLIYNLCKIRKTEDAMKLFGRMPEWGCSAEADTYLVLIRSLYQAARVSEGDEMIEWMRSAGFGSELDRKAYYGFIKILCGIERVDHAMKVFRMMKGYGHAPGIKTYDLLIGKLAAHNQGDRANALFKEAVARGVPVTPNVYKVDPRFVKQKKVKQGKKRETLPEKMARKRKRIRKLKMSFVKKPRSTRRVI >DRNTG_31190.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26954727:26956359:1 gene:DRNTG_31190 transcript:DRNTG_31190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKDDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQMEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >DRNTG_26217.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:371473:372177:-1 gene:DRNTG_26217 transcript:DRNTG_26217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVKLAWIPNDSARRATFRKRRKGLMKKLEELSILCDVEACGIIYGPDEPQPEVWPSKAGTRRVLERFKSMPVMEQSKKMMDQEGFTRHQVMKLQDQLRKHERENQELETAIVMHKCLSGEQSLEYMNMEELTNLAIMVEAKLKAVHDRIELISVQMTSNDGAIVVQQNNNNNNNHQWELATMETMQKQQTWFTDVINPVNDPNVYYGGEEAMQPYMMSITNLNIDPPYWV >DRNTG_08529.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:19373532:19374884:-1 gene:DRNTG_08529 transcript:DRNTG_08529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSLHNIVFSFFISFTILLTSFLFFLTFFSPSLFFYHLPPLSFQNKSNTNIDIHLNNGGGECSSSTPTNTICCDQTSYHSDICFMHGDISTYPPSSSITLHSYSNISLKQNNTKIQPYTRKWEMYLKEKIDDLQLKMVGSSDPKLQCDVLHDVPALFFSAGGYTGNVFHAFNNGILPIYITSQHLNGSVIFVVLQYSEWWYTRYHEILSKLSNYPPIIFSKDELRTHCFHEAILGLRFHGTLAIDSTQMDDNKTIGDFHQLLDNAYRHRVIRYMHTTTNGKPKLVIISRNASRAIENEAELVQLSEKIGFHVEVIILESMELGAIYYALNSCNVIVGVHGAGLTHILFMRTGSILVQIVPLGLDQLAKTCFGEPAKKMGIKYEEYKILPKESSLYKKYKAYDPILRDPDSVISKGWKVTKAIYLQGQNVSLDLHRIRVPLVKAFQHVVS >DRNTG_03593.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:19886000:19890760:-1 gene:DRNTG_03593 transcript:DRNTG_03593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIPTQPGLLLRYPCTCGLHAYSDVSISTKQMLNAASGGSLCNKKPSAAYMLIEEMGCNGYQWSFEQRKLVKAAVDHLEIVGHPHGQQNRTPPPSTQSSQSSSSLNSIAELTGLLSQFMKGTESHLLNHEEMIRNTNATIRNLEDHMAQMYTFIKERLTGSFPNNTEINSKESLKGVSLRTGKKLPNSDMFMKDELLELLDDDHSDDETIVEGVQDLQHLDVVRVEATILPDPPTKKVSRATRWWKKLVNKKKKPTNLLPAPNHSNSWIFGEPRANHTNVLGGTHSEARFTGGFLDAMTEEESIKVGDEAPPKPQRPPLQTLVVSTINDRTKDEKE >DRNTG_30473.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11476781:11478895:1 gene:DRNTG_30473 transcript:DRNTG_30473.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHNDNDNNHSHREYTSHTQHKANQHIKVSKEERYTLGSITS >DRNTG_25800.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2744871:2747024:1 gene:DRNTG_25800 transcript:DRNTG_25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEASYSQSSFLPEPDIVGWDIRDATKSLVDLLVSPNEQKCFLFSIVGMGGIGKTTLAQQIYNDSKINDHLVLHSWIWVSKSFRSEADLLKEIIRNVGGSYGESTTVAELQKILSNVLHLKSLFLVLDDVWNADVWIDLIKNPIQIATTKCRVLVTTRDRNITMRMGAIHSHNVNKLSLDFGWELFCKKVFTDNDVSDMQRMKDIGLQIVEKCDGLLVAIKVIAGVLITKDRNKGEWQNLLNSDAWTMTGLQEELRGALYFSYEALPPALKQCFLFWSLYLEMLSTSSFTFENVPT >DRNTG_29741.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:14932339:14937439:1 gene:DRNTG_29741 transcript:DRNTG_29741.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamylcyclotransferase 2-3 [Source:Projected from Arabidopsis thaliana (AT1G44790) UniProtKB/Swiss-Prot;Acc:Q84QC1] MVLWVFGYGSLIWKAGFRYDERLIGFVQDYRRVFYQGSTDHRGTPDFPGRTVTLERVPGDVCWGVAYRVSGEEDEKIALSYLEVREKQYDVKSYLDFFTDPSSTSPSVSGVLVYIASPDKMINVNYLGPASLGEIAKQIIHAEGPSGPNRDYLFHLEDALIELGCEDSHVIELANTVRKILSDLETG >DRNTG_10002.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21649199:21651719:1 gene:DRNTG_10002 transcript:DRNTG_10002.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIKSPFKGVSEDFKGRAACYKQDWVRGFHSGFRILAPTMYIFFASALPVIAFGEQLSKETDGALSTVETLASTAICGIIHAILGGQPMLIVGVAEPTVIMYTYLYNFAKHRSDLGGRLYLAWAGWVCIWTACFLFLLAMFNASAIISRFTRVAGELFGMLITVLFIQEAIKGIVSEFNIPKGEDHSQSLYQFHWLYTNGLLGIIFSIGLLYTALKSRRARSWRYGTGWFRSFIADYGVPLMVLVWTAMSYAVPNKVPSGVPRRLFSPLPWEAESLHHWTVAKDLLSVPPTYIFAALIPAVMVAGLYFFDHSVASQMAQQKEFNLRNPPSYHYDILVLGLMVLICGLLGIPPSNGVLPQSPMHTKSLAVLKRQLIRKKMVNCAKESIRQKASSTEIFGKMEEIFIEMDERRTPTITVDKELKDLKDAVMRNEDNGMELNGPFDPEKHIDAHLPVRVNEQRVSNLLQSLL >DRNTG_10002.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21648268:21651719:1 gene:DRNTG_10002 transcript:DRNTG_10002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIKSPFKGVSEDFKGRAACYKQDWVRGFHSGFRILAPTMYIFFASALPVIAFGEQLSKETDGALSTVETLASTAICGIIHAILGGQPMLIVGVAEPTVIMYTYLYNFAKHRSDLGGRLYLAWAGWVCIWTACFLFLLAMFNASAIISRFTRVAGELFGMLITVLFIQEAIKGIVSEFNIPKGEDHSQSLYQFHWLYTNGLLGIIFSIGLLYTALKSRRARSWRYGTGWFRSFIADYGVPLMVLVWTAMSYAVPNKVPSGVPRRLFSPLPWEAESLHHWTVAKDLLSVPPTYIFAALIPAVMVAGLYFFDHSVASQMAQQKEFNLRNPPSYHYDILVLGLMVLICGLLGIPPSNGVLPQSPMHTKSLAVLKRQLIRKKMVNCAKESIRQKASSTEIFGKMEEIFIEMDERRTPTITVDKELKDLKDAVMRNEDNGMELNGPFDPEKHIDAHLPVRVNEQRVSNLLQSLL >DRNTG_11732.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3681160:3685352:-1 gene:DRNTG_11732 transcript:DRNTG_11732.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G22250) UniProtKB/TrEMBL;Acc:W8QNM9] MTQVTSMVLVPYPAHGHITPMLRLARALRSLGITSIVALPDFMHRNYNSTDDQVIIEPIPSGFKENDDAKDFFSIDASMEKIMPGNLERIVRELEFAGGVACLVVDLLASWAVGVGQRCGVQVAGFWPAMFATYRVVFAIPELILNGFISECGIPILHHHHKINQDIILERDLLTSNQAKLSTKDLPWLVGEPSSQKSRFAFWLRVINRTRSLPWILINSFPKEDNNKITILPSLLSNHQLQTFHVGPLMLSINDKKILMNNINNSSNKKEHDKSIIQWLEKQEPCTVIYISFGTWVGPIAKEKIIELAMALEEAKRPFLWVLKEEKQWREGLPEGYLDRNAKKGKVVAWAPQEEVLKFQAVGCYLTHCGWNSTMEAIRHEKRLLCYPISGDQFVNCNYIVGVWGIGIKLEGLERGVILDGIEKIMVGREAEMIQKKVSEMKVRVMGDEEICATASNLESFVEVVREANSG >DRNTG_11732.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3681160:3682459:-1 gene:DRNTG_11732 transcript:DRNTG_11732.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G22250) UniProtKB/TrEMBL;Acc:W8QNM9] MLSINDKKILMNNINNSSNKKEHDKSIIQWLEKQEPCTVIYISFGTWVGPIAKEKIIELAMALEEAKRPFLWVLKEEKQWREGLPEGYLDRNAKKGKVVAWAPQEEVLKFQAVGCYLTHCGWNSTMEAIRHEKRLLCYPISGDQFVNCNYIVGVWGIGIKLEGLERGVILDGIEKIMVGREAEMIQKKVSEMKVRVMGDEEICATASNLESFVEVVREANSG >DRNTG_07393.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7425628:7429598:-1 gene:DRNTG_07393 transcript:DRNTG_07393.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVLRVQMENTKKRAKVMTCLVKWRGVISVSLEGKENNEIAIVGEYIDPVSITQKLRKKMGCNSTVEMVKAENPDKDKDKEKEKEKEADKCKHPWCYYPQPQIYSCNEPPACSIL >DRNTG_07393.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7425628:7428764:-1 gene:DRNTG_07393 transcript:DRNTG_07393.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDKFFECRHLRSRPQATNGKMKVVLRVQMENTKKRAKVMTCLVKWRGVISVSLEGKENNEIAIVGEYIDPVSITQKLRKKMGCNSTVEMVKAENPDKDKDKEKEKEKEADKCKHPWCYYPQPQIYSCNEPPACSIL >DRNTG_07393.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7425628:7429598:-1 gene:DRNTG_07393 transcript:DRNTG_07393.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDKFFECRHLRSRPQATNGKMKVVLRVQMENTKKRAKVMTCLVKWRGVISVSLEGKENNEIAIVGEYIDPVSITQKLRKKMGCNSTVEMVKAENPDKDKDKEKEKEKEADKCKHPWCYYPQPQIYSCNEPPACSIL >DRNTG_07393.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7425628:7429598:-1 gene:DRNTG_07393 transcript:DRNTG_07393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDKFFECRHLRSRPQATNGKMKVVLRVQMENTKKRAKVMTCLVKWRGVISVSLEGKENNEIAIVGEYIDPVSITQKLRKKMGCNSTVEMVKAENPDKDKDKEKEKEKEADKCKHPWCYYPQPQIYSCNEPPACSIL >DRNTG_07393.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7425628:7428764:-1 gene:DRNTG_07393 transcript:DRNTG_07393.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVLRVQMENTKKRAKVMTCLVKWRGVISVSLEGKENNEIAIVGEYIDPVSITQKLRKKMGCNSTVEMVKAENPDKDKDKEKEKEKEADKCKHPWCYYPQPQIYSCNEPPACSIL >DRNTG_34335.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9511497:9513499:1 gene:DRNTG_34335 transcript:DRNTG_34335.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSGSHWFYRRAVIYENNKVIGCHYCPDLDLNKIETDVSYRKALELTFKFITTSEHKPFVVLRTWSPNHFEDGEFPGSRICNRTEPFKEGEINGDPADLLMRSVEVEEFEKAAATGEKNGVRIELLDTYHLSLLRPDGHPGAYRNYHPFDGGKKNVENDCIHWCVPGPIDTWSELLMKIVIP >DRNTG_34335.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9511497:9513499:1 gene:DRNTG_34335 transcript:DRNTG_34335.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSGSHWFYRRAVIYENNKVIGCHYCPDLDLNKIETDVSYRKALELTFKFITTSEHKPFVVLRTWSPNHFEDGEFPGSRICNRTEPFKEGEINGDPADLLMRSVEVEEFEKAAATGEKNGVRIELLDTYHLSLLRPDGHPGAYRNYHPFDGGKKNVENDCIHWCVPGPIDTWSELLMKIVIP >DRNTG_34335.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9511497:9513499:1 gene:DRNTG_34335 transcript:DRNTG_34335.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSGSHWFYRRAVIYENNKVIGCHYCPDLDLNKIETDVSYRKALELTFKFITTSEHKPFVVLRTWSPNHFEDGEFPGSRICNRTEPFKEGEINGDPADLLMRSVEVEEFEKAAATGEKNGVRIELLDTYHLSLLRPDGHPGAYRNYHPFDGGKKNVENDCIHWCVPGPIDTWSELLMKIVIP >DRNTG_34335.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9511497:9513499:1 gene:DRNTG_34335 transcript:DRNTG_34335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSGSHWFYRRAVIYENNKVIGCHYCPDLDLNKIETDVSYRKALELTFKFITTSEHKPFVVLRTWSPNHFEDGEFPGSRICNRTEPFKEGEINGDPADLLMRSVEVEEFEKAAATGEKNGVRIELLDTYHLSLLRPDGHPGAYRNYHPFDGGKKNVENDCIHWCVPGPIDTWSELLMKIVIP >DRNTG_11219.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2403196:2408458:1 gene:DRNTG_11219 transcript:DRNTG_11219.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSGFCMDRSTVGCLARALCKVGRWVEALNIIEKEDFTLDTILGTQMISGLLDASLFEEAMSFLHRMRSNSCAPNVVTYRTLLSGFLRKKQLGWCKRIINMMMKEGCNPSPSLFNSLVHAYCSCGDYAYAYKLLKRMSACGCQPGYVTYNILIGGICGNEELPSSDGLGLAEKAYEAMLDAGLVLNKVNVTNFTRCICAVGKFDKAFEVIKQMMSKGFVPDANTYANVISLLCGAQKVEKALLLFEEMKKNRVVPDVYVYTILIDSFCKIGLIHQAHIWFEEMIRDGCNPNVVTYTALIHAYLKSKQLSEASELFNKMLTMDCLPNVVTYTALIDGLCKAGQIDKACSIYSKMRGTCENAKSNIFFDGGNTDLVEPNVFTYGALVDGLCKAHKVVEARDLLDAMASAGCEPNHVVYDALIDGFCKAGRLDEAQEIFLRMSECGYIPNVFTYSALIDKLFKDRRLDLALKVLSQMLENSCAPNVVTYTEMIDGLCKVGKTEEAFKLLTMMEEKGCKPNVVTYTAIIDGFGKAKKVGMCLELYKQMTSKGCAPNFITFRVLINHCCAAGLLDEARNFLEEMKQTYWPRHVASYCKVIQGFSRKFIVSLGLLDEISEFNSAPIAAAYRLLIDSFSKAYRLETALELHEEIKNSSSCAAAVSLDMYSSLIEGLCLASKVEKAFQLYSEMTRKGHVPELPIFFYLIKGLTKSNRWDEALQLSYSIYHMDINWHKEGI >DRNTG_11219.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2403196:2408458:1 gene:DRNTG_11219 transcript:DRNTG_11219.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSGFCMDRSTVGCLARALCKVGRWVEALNIIEKEDFTLDTILGTQMISGLLDASLFEEAMSFLHRMRSNSCAPNVVTYRTLLSGFLRKKQLGWCKRIINMMMKEGCNPSPSLFNSLVHAYCSCGDYAYAYKLLKRMSACGCQPGYVTYNILIGGICGNEELPSSDGLGLAEKAYEAMLDAGLVLNKVNVTNFTRCICAVGKFDKAFEVIKQMMSKGFVPDANTYANVISLLCGAQKVEKALLLFEEMKKNRVVPDVYVYTILIDSFCKIGLIHQAHIWFEEMIRDGCNPNVVTYTALIHAYLKSKQLSEASELFNKMLTMDCLPNVVTYTALIDGLCKAGQIDKACSIYSKMRGTCENAKSNIFFDGGNTDLVEPNVFTYGALVDGLCKAHKVVEARDLLDAMASAGCEPNHVVYDALIDGFCKAGRLDEAQEIFLRMSECGYIPNVFTYSALIDKLFKDRRLDLALKVLSQMLENSCAPNVVTYTEMIDGLCKVGKTEEAFKLLTMMEEKGCKPNVVTYTAIIDGFGKAKKVGMCLELYKQMTSKGCAPNFITFRVLINHCCAAGLLDEARNFLEEMKQTYWPRHVASYCKVIQGFSRKFIVSLGLLDEISEFNSAPIAAAYRLLIDSFSKAYRLETALELHEEIKNSSSCAAAVSLDMYSSLIEGLCLASKVEKAFQLYSEMTRKGHVPELPIFFYLIKGLTKSNRWDEALQLSYSIYHMDINWHKEGI >DRNTG_11219.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2403196:2408458:1 gene:DRNTG_11219 transcript:DRNTG_11219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRAAAIALSRSPVVLASLRSNAFLRKEKSRLPFPCYSTDSSPDDELQRLIDPEFSFRSDGVFCERKDLRRSELSAKDFAFLEESVAERPADSGEFSEEAVLISSEIRDCRDGFDNKTEKFLRQFRGKLNEGLVVEVLRLTKDSELAVKFFIWAGRQIGFSHTGLTYDALIESLGFEVKTRVPDQILREIGEDGREVLGKLLNVIIQKCCRNGLWNEALEELGRLKDLGYKASKSTYNALILVFLTAERLDSALLVHREMSDSGFCMDRSTVGCLARALCKVGRWVEALNIIEKEDFTLDTILGTQMISGLLDASLFEEAMSFLHRMRSNSCAPNVVTYRTLLSGFLRKKQLGWCKRIINMMMKEGCNPSPSLFNSLVHAYCSCGDYAYAYKLLKRMSACGCQPGYVTYNILIGGICGNEELPSSDGLGLAEKAYEAMLDAGLVLNKVNVTNFTRCICAVGKFDKAFEVIKQMMSKGFVPDANTYANVISLLCGAQKVEKALLLFEEMKKNRVVPDVYVYTILIDSFCKIGLIHQAHIWFEEMIRDGCNPNVVTYTALIHAYLKSKQLSEASELFNKMLTMDCLPNVVTYTALIDGLCKAGQIDKACSIYSKMRGTCENAKSNIFFDGGNTDLVEPNVFTYGALVDGLCKAHKVVEARDLLDAMASAGCEPNHVVYDALIDGFCKAGRLDEAQEIFLRMSECGYIPNVFTYSALIDKLFKDRRLDLALKVLSQMLENSCAPNVVTYTEMIDGLCKVGKTEEAFKLLTMMEEKGCKPNVVTYTAIIDGFGKAKKVGMCLELYKQMTSKGCAPNFITFRVLINHCCAAGLLDEARNFLEEMKQTYWPRHVASYCKVIQGFSRKFIVSLGLLDEISEFNSAPIAAAYRLLIDSFSKAYRLETALELHEEIKNSSSCAAAVSLDMYSSLIEGLCLASKVEKAFQLYSEMTRKGHVPELPIFFYLIKGLTKSNRWDEALQLSYSIYHMDINWHKEGI >DRNTG_11219.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2403196:2408458:1 gene:DRNTG_11219 transcript:DRNTG_11219.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRAAAIALSRSPVVLASLRSNAFLRKEKSRLPFPCYSTDSSPDDELQRLIDPEFSFRSDGVFCERKDLRRSELSAKDFAFLEESVAERPADSGEFSEEAVLISSEIRDCRDGFDNKTEKFLRQFRGKLNEGLVVEVLRLTKDSELAVKFFIWAGRQIGFSHTGLTYDALIESLGFEVKTRVPDQILREIGEDGREVLGKLLNVIIQKCCRNGLWNEALEELGRLKDLGYKASKSTYNALILVFLTAERLDSALLVHREMSDSGFCMDRSTVGCLARALCKVGRWVEALNIIEKEDFTLDTILGTQMISGLLDASLFEEAMSFLHRMRSNSCAPNVVTYRTLLSGFLRKKQLGWCKRIINMMMKEGCNPSPSLFNSLVHAYCSCGDYAYAYKLLKRMSACGCQPGYVTYNILIGGICGNEELPSSDGLGLAEKAYEAMLDAGLVLNKVNVTNFTRCICAVGKFDKAFEVIKQMMSKGFVPDANTYANVISLLCGAQKVEKALLLFEEMKKNRVVPDVYVYTILIDSFCKIGLIHQAHIWFEEMIRDGCNPNVVTYTALIHAYLKSKQLSEASELFNKMLTMDCLPNVVTYTALIDGLCKAGQIDKACSIYSKMRGTCENAKSNIFFDGGNTDLVEPNVFTYGALVDGLCKAHKVVEARDLLDAMASAGCEPNHVVYDALIDGFCKAGRLDEAQEIFLRMSECGYIPNVFTYSALIDKLFKDRRLDLALKVLSQMLENSCAPNVVTYTEMIDGLCKVGKTEEAFKLLTMMEEKGCKPNVVTYTAIIDGFGKAKKVGMCLELYKQMTSKGCAPNFITFRVLINHCCAAGLLDEARNFLEEMKQTYWPRHVASYCKVIQGFSRKFIVSLGLLDEISEFNSAPIAAAYRLLIDSFSKAYRLETALELHEEIKNSSSCAAAVSLDMYSSLIEGLCLASKVEKAFQLYSEMTRKGHVPELPIFFYLIKGLTKSNRWDEALQLSYSIYHMDINWHKEGI >DRNTG_11219.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2403196:2408458:1 gene:DRNTG_11219 transcript:DRNTG_11219.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRAAAIALSRSPVVLASLRSNAFLRKEKSRLPFPCYSTDSSPDDELQRLIDPEFSFRSDGVFCERKDLRRSELSAKDFAFLEESVAERPADSGEFSEEAVLISSEIRDCRDGFDNKTEKFLRQFRGKLNEGLVVEVLRLTKDSELAVKFFIWAGRQIGFSHTGLTYDALIESLGFEVKTRVPDQILREIGEDGREVLGKLLNVIIQKCCRNGLWNEALEELGRLKDLGYKASKSTYNALILVFLTAERLDSALLVHREMSDSGFCMDRSTVGCLARALCKVGRWVEALNIIEKEDFTLDTILGTQMISGLLDASLFEEAMSFLHRMRSNSCAPNVVTYRTLLSGFLRKKQLGWCKRIINMMMKEGCNPSPSLFNSLVHAYCSCGDYAYAYKLLKRMSACGCQPGYVTYNILIGGICGNEELPSSDGLGLAEKAYEAMLDAGLVLNKVNVTNFTRCICAVGKFDKAFEVIKQMMSKGFVPDANTYANVISLLCGAQKVEKALLLFEEMKKNRVVPDVYVYTILIDSFCKIGLIHQAHIWFEEMIRDGCNPNVVTYTALIHAYLKSKQLSEASELFNKMLTMDCLPNVVTYTALIDGLCKAGQIDKACSIYSKMRGTCENAKSNIFFDGGNTDLVEPNVFTYGALVDGLCKAHKVVEARDLLDAMASAGCEPNHVVYDALIDGFCKAGRLDEAQEIFLRMSECGYIPNVFTYSALIDKLFKDRRLDLALKVLSQMLENSCAPNVVTYTEMIDGLCKVGKTEEAFKLLTMMEEKGCKPNVVTYTAIIDGFGKAKKVGMCLELYKQMTSKGCAPNFITFRVLINHCCAAGLLDEARNFLEEMKQTYWPRHVASYCKVIQGFSRKFIVSLGLLDEISEFNSAPIAAAYRLLIDSFSKAYRLETALELHEEIKNSSSCAAAVSLDMYSSLIEGLCLASKVEKAFQLYSEMTRKGHVPELPIFFYLIKGLTKSNRWDEALQLSYSIYHMDINWHKEGI >DRNTG_08882.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27657895:27662729:1 gene:DRNTG_08882 transcript:DRNTG_08882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWVIGAFINIVGSIAINFGTNLLKLGHDERERHLMLSSDGDNGKIIPKPIIYFHTWRVGIVFFSLGNCLNFVSFAYAAQSLLAALGSIQFVSNIAFAYFVLSKKVSIKVMVATAFIVSGNIFLVSFGNHQSPVFTPEQLKEKYINLVFLLYCLTLVLVVAVNQYIYRKGVAFLSLHGHDISPYWRMVLPFSYATVSGAVGSCSVLFAKSLSNMLRLTMNSSYQLHSWFTYSVLLLFFSTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSMCTGFVYFLEYQVFDTLRITMFLLGMTFVFIGISLLAPDDSKGGDSKDIPPLSSSTSQGLPTDINRLAKLQAEDTEVNDVGSFMNRLLQKSKFFVAKAKAACSVSLGLGEESINASSVLVMPMVSSKTTGFRGNIFERAKFIPLRTSGWNNYSIMDEDDDDESRDTRSLLS >DRNTG_05896.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18676976:18682032:-1 gene:DRNTG_05896 transcript:DRNTG_05896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLELGMVSKKNRRWNDVVLAYKTLGVVFGGLVTSPLYVYPSMNLKSPTEEDYLGIYSIIFWTLTLIGVVKYVCIALNADDHGEGGTFALYSLLCRHANVGILPSRDTDSKTNNLNAEQSSSGIKQSRLQKFIEQSMTARRILLLVAILGMCMLIGDGILTPAISVLSAMDGLRGPLPSIGKSAVEGSSAGVLIALFLLQKYGTSKVSFLFSPIMAAWTFTTPIIGVYSFLRYYPSIFKAISPHYIVNFFLRKGKTGWQLLGGTVLCITGAEAMFADLGHFNKKSIQMAFIFTIYPSLVLTYAGQTAYLTKNLNDHRDGFYKFVPGPVYWPMFVIATSAAVVASQSLISATFSVIKQSVALDYFPRVKIVHTSAIKEGEVYSPETNYILMILCVTAILGFGDGQDMGNAFGVVVILVMFITTILLTLVMIIVWRIPILVAGLYFIPFFIMEGVYVSAVCTKIVEGGWFPFVISIILASIMFGWYYGRQKKLDYEMTNKITVESLGGLISSSETERVPGLCLFYTNVQDGFTPILGHYIKNLHSFHKVTIFTTFRYLLAAKVDPNERIIVRKFGLTGIYGCIIQYGYADFHSPAADELVNQIISSLKTYISNNSNGLLPTLVDEEILQLEEAKSTSVVYVKGKTRFQTGKETGWFDRIILGFYEFLHRNCRSAVPNIGIPLQQNIEIGMLYKA >DRNTG_05896.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18676976:18682032:-1 gene:DRNTG_05896 transcript:DRNTG_05896.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLRGPLPSIGKSAVEGSSAGVLIALFLLQKYGTSKVSFLFSPIMAAWTFTTPIIGVYSFLRYYPSIFKAISPHYIVNFFLRKGKTGWQLLGGTVLCITGAEAMFADLGHFNKKSIQMAFIFTIYPSLVLTYAGQTAYLTKNLNDHRDGFYKFVPGPVYWPMFVIATSAAVVASQSLISATFSVIKQSVALDYFPRVKIVHTSAIKEGEVYSPETNYILMILCVTAILGFGDGQDMGNAFGVVVILVMFITTILLTLVMIIVWRIPILVAGLYFIPFFIMEGVYVSAVCTKIVEGGWFPFVISIILASIMFGWYYGRQKKLDYEMTNKITVESLGGLISSSETERVPGLCLFYTNVQDGFTPILGHYIKNLHSFHKVTIFTTFRYLLAAKVDPNERIIVRKFGLTGIYGCIIQYGYADFHSPAADELVNQIISSLKTYISNNSNGLLPTLVDEEILQLEEAKSTSVVYVKGKTRFQTGKETGWFDRIILGFYEFLHRNCRSAVPNIGIPLQQNIEIGMLYKA >DRNTG_21838.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6067300:6072358:-1 gene:DRNTG_21838 transcript:DRNTG_21838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSTQTSSSSSSNAHQEEESLAASTTPLPLLRAAFSNLSVPSALQRAFSLPAYQTLPPHASFSVPGFFPGILSQIGPSVVDLFFSPSTDDGDIDWLGFLRGYNRCCARASASSSLATLLRLYSMACSKAGIPTKLSFDLDDPIEGKIDGSLGASDLLALLWVCWIMGRLLKVSNGGEIGKGVVVLPDVSHLVISALVACGEIEDDEGIWDFDVAGSEKCVTAQKFCMWVLSTAPNLVHCFSQYVQERVRACASEEGDANSSLPITDNATLRDNIDTYLLTCGRAWAISLTLRNIGGVELLGQCFYGIGSELPDNLLYRSSIHGKGLTRFWSNVEGYHGPLLFLLAASSANTNEGSDSAKKWIIGVLTGQGFENRDTFFGSSGNLYAISPIFRVLSPLGKDKNFIYCRLHPSVRVYEPHPKPVCLAFGGTMGNERIHIDEDFAKITIRHHAVDKTYQHAPLIPNQGFLAVEASVLDVEVWGLGGKAVKDQQDKYKKRETLFSEQRRKVDLKTFASWDDLPEKMMMDMMSDPNTVKREDR >DRNTG_17968.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20349633:20350696:-1 gene:DRNTG_17968 transcript:DRNTG_17968.14 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGDGRRRDEAMAAAQQQQRIIVPKPEPTEMVAGLPVLRRPAGGRTKDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIRWLLQHAEPAIIAATGTGTVPAIATTVGGTLQIPTQSQTTPVTASSTTSAEEAEEAAKKRRRTKLQPTRAAGSSAATGYYQSVPVHDPMLGGAGAVSISTGLAPIGTAQGLVPMWALGGAGTVAGAGRVIPPTAVWMVPPSATTIAGPSGQQAQIWAFPSTTQIINLTPARPAAAVFPGLNITAGAVEHQVITPSEGKPELQLMHRREAETEAVVTTEENNDDDEDEEQQRQQQPLSSADD >DRNTG_17968.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20348858:20350740:-1 gene:DRNTG_17968 transcript:DRNTG_17968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGDGRRRDEAMAAAQQQQRIIVPKPEPTEMVAGLPVLRRPAGGRTKDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIRWLLQHAEPAIIAATGTGTVPAIATTVGGTLQIPTQSQTTPVTASSTTSAEEAEEAAKKRRRTKLQPTRAAGSSAATGYYQSVPVHDPMLGGAGAVSISTGLAPIGTAQGLVPMWALGGAGTVAGAGRVIPPTAVWMVPPSATTIAGPSGQQAQIWAFPSTTQIINLTPARPAAAVFPGLNITAGAVEHQVITPSEGKPELQLMHRREAETEAVVTTEENNDDDEDEEQQRQQQPLSSADD >DRNTG_17968.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20349506:20351139:-1 gene:DRNTG_17968 transcript:DRNTG_17968.6 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGDGRRRDEAMAAAQQQQRIIVPKPEPTEMVAGLPVLRRPAGGRTKDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIRWLLQHAEPAIIAATGTGTVPAIATTVGGTLQIPTQSQTTPVTASSTTSAEEAEEAAKKRRRTKLQPTRAAGSSAATGYYQSVPVHDPMLGGAGAVSISTGLAPIGTAQGLVPMWALGGAGTVAGAGRVIPPTAVWMVPPSATTIAGPSGQQAQIWAFPSTTQIINLTPARPAAAVFPGLNITAGAVEHQVITPSEGKPELQLMHRREAETEAVVTTEENNDDDEDEEQQRQQQPLSSADD >DRNTG_17968.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20349360:20350696:-1 gene:DRNTG_17968 transcript:DRNTG_17968.10 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGDGRRRDEAMAAAQQQQRIIVPKPEPTEMVAGLPVLRRPAGGRTKDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIRWLLQHAEPAIIAATGTGTVPAIATTVGGTLQIPTQSQTTPVTASSTTSAEEAEEAAKKRRRTKLQPTRAAGSSAATGYYQSVPVHDPMLGGAGAVSISTGLAPIGTAQGLVPMWALGGAGTVAGAGRVIPPTAVWMVPPSATTIAGPSGQQAQIWAFPSTTQIINLTPARPAAAVFPGLNITAGAVEHQVITPSEGKPELQLMHRREAETEAVVTTEENNDDDEDEEQQRQQQPLSSADD >DRNTG_17968.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20348961:20350740:-1 gene:DRNTG_17968 transcript:DRNTG_17968.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGDGRRRDEAMAAAQQQQRIIVPKPEPTEMVAGLPVLRRPAGGRTKDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIRWLLQHAEPAIIAATGTGTVPAIATTVGGTLQIPTQSQTTPVTASSTTSAEEAEEAAKKRRRTKLQPTRAAGSSAATGYYQSVPVHDPMLGGAGAVSISTGLAPIGTAQGLVPMWALGGAGTVAGAGRVIPPTAVWMVPPSATTIAGPSGQQAQIWAFPSTTQIINLTPARPAAAVFPGLNITAGAVEHQVITPSEGKPELQLMHRREAETEAVVTTEENNDDDEDEEQQRQQQPLSSADD >DRNTG_17968.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20349633:20351139:-1 gene:DRNTG_17968 transcript:DRNTG_17968.8 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGDGRRRDEAMAAAQQQQRIIVPKPEPTEMVAGLPVLRRPAGGRTKDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIRWLLQHAEPAIIAATGTGTVPAIATTVGGTLQIPTQSQTTPVTASSTTSAEEAEEAAKKRRRTKLQPTRAAGSSAATGYYQSVPVHDPMLGGAGAVSISTGLAPIGTAQGLVPMWALGGAGTVAGAGRVIPPTAVWMVPPSATTIAGPSGQQAQIWAFPSTTQIINLTPARPAAAVFPGLNITAGAVEHQVITPSEGKPELQLMHRREAETEAVVTTEENNDDDEDEEQQRQQQPLSSADD >DRNTG_17968.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20349506:20350905:-1 gene:DRNTG_17968 transcript:DRNTG_17968.9 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGDGRRRDEAMAAAQQQQRIIVPKPEPTEMVAGLPVLRRPAGGRTKDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIRWLLQHAEPAIIAATGTGTVPAIATTVGGTLQIPTQSQTTPVTASSTTSAEEAEEAAKKRRRTKLQPTRAAGSSAATGYYQSVPVHDPMLGGAGAVSISTGLAPIGTAQGLVPMWALGGAGTVAGAGRVIPPTAVWMVPPSATTIAGPSGQQAQIWAFPSTTQIINLTPARPAAAVFPGLNITAGAVEHQVITPSEGKPELQLMHRREAETEAVVTTEENNDDDEDEEQQRQQQPLSSADD >DRNTG_17968.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20348858:20350696:-1 gene:DRNTG_17968 transcript:DRNTG_17968.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGDGRRRDEAMAAAQQQQRIIVPKPEPTEMVAGLPVLRRPAGGRTKDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIRWLLQHAEPAIIAATGTGTVPAIATTVGGTLQIPTQSQTTPVTASSTTSAEEAEEAAKKRRRTKLQPTRAAGSSAATGYYQSVPVHDPMLGGAGAVSISTGLAPIGTAQGLVPMWALGGAGTVAGAGRVIPPTAVWMVPPSATTIAGPSGQQAQIWAFPSTTQIINLTPARPAAAVFPGLNITAGAVEHQVITPSEGKPELQLMHRREAETEAVVTTEENNDDDEDEEQQRQQQPLSSADD >DRNTG_17968.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20349633:20350905:-1 gene:DRNTG_17968 transcript:DRNTG_17968.11 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGDGRRRDEAMAAAQQQQRIIVPKPEPTEMVAGLPVLRRPAGGRTKDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIRWLLQHAEPAIIAATGTGTVPAIATTVGGTLQIPTQSQTTPVTASSTTSAEEAEEAAKKRRRTKLQPTRAAGSSAATGYYQSVPVHDPMLGGAGAVSISTGLAPIGTAQGLVPMWALGGAGTVAGAGRVIPPTAVWMVPPSATTIAGPSGQQAQIWAFPSTTQIINLTPARPAAAVFPGLNITAGAVEHQVITPSEGKPELQLMHRREAETEAVVTTEENNDDDEDEEQQRQQQPLSSADD >DRNTG_17968.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20349506:20350740:-1 gene:DRNTG_17968 transcript:DRNTG_17968.12 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGDGRRRDEAMAAAQQQQRIIVPKPEPTEMVAGLPVLRRPAGGRTKDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIRWLLQHAEPAIIAATGTGTVPAIATTVGGTLQIPTQSQTTPVTASSTTSAEEAEEAAKKRRRTKLQPTRAAGSSAATGYYQSVPVHDPMLGGAGAVSISTGLAPIGTAQGLVPMWALGGAGTVAGAGRVIPPTAVWMVPPSATTIAGPSGQQAQIWAFPSTTQIINLTPARPAAAVFPGLNITAGAVEHQVITPSEGKPELQLMHRREAETEAVVTTEENNDDDEDEEQQRQQQPLSSADD >DRNTG_17968.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20348961:20350696:-1 gene:DRNTG_17968 transcript:DRNTG_17968.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGDGRRRDEAMAAAQQQQRIIVPKPEPTEMVAGLPVLRRPAGGRTKDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIRWLLQHAEPAIIAATGTGTVPAIATTVGGTLQIPTQSQTTPVTASSTTSAEEAEEAAKKRRRTKLQPTRAAGSSAATGYYQSVPVHDPMLGGAGAVSISTGLAPIGTAQGLVPMWALGGAGTVAGAGRVIPPTAVWMVPPSATTIAGPSGQQAQIWAFPSTTQIINLTPARPAAAVFPGLNITAGAVEHQVITPSEGKPELQLMHRREAETEAVVTTEENNDDDEDEEQQRQQQPLSSADD >DRNTG_17968.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20349360:20350905:-1 gene:DRNTG_17968 transcript:DRNTG_17968.7 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGDGRRRDEAMAAAQQQQRIIVPKPEPTEMVAGLPVLRRPAGGRTKDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIRWLLQHAEPAIIAATGTGTVPAIATTVGGTLQIPTQSQTTPVTASSTTSAEEAEEAAKKRRRTKLQPTRAAGSSAATGYYQSVPVHDPMLGGAGAVSISTGLAPIGTAQGLVPMWALGGAGTVAGAGRVIPPTAVWMVPPSATTIAGPSGQQAQIWAFPSTTQIINLTPARPAAAVFPGLNITAGAVEHQVITPSEGKPELQLMHRREAETEAVVTTEENNDDDEDEEQQRQQQPLSSADD >DRNTG_17968.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20349360:20351139:-1 gene:DRNTG_17968 transcript:DRNTG_17968.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGDGRRRDEAMAAAQQQQRIIVPKPEPTEMVAGLPVLRRPAGGRTKDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIRWLLQHAEPAIIAATGTGTVPAIATTVGGTLQIPTQSQTTPVTASSTTSAEEAEEAAKKRRRTKLQPTRAAGSSAATGYYQSVPVHDPMLGGAGAVSISTGLAPIGTAQGLVPMWALGGAGTVAGAGRVIPPTAVWMVPPSATTIAGPSGQQAQIWAFPSTTQIINLTPARPAAAVFPGLNITAGAVEHQVITPSEGKPELQLMHRREAETEAVVTTEENNDDDEDEEQQRQQQPLSSADD >DRNTG_17968.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20349506:20350696:-1 gene:DRNTG_17968 transcript:DRNTG_17968.13 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGDGRRRDEAMAAAQQQQRIIVPKPEPTEMVAGLPVLRRPAGGRTKDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIRWLLQHAEPAIIAATGTGTVPAIATTVGGTLQIPTQSQTTPVTASSTTSAEEAEEAAKKRRRTKLQPTRAAGSSAATGYYQSVPVHDPMLGGAGAVSISTGLAPIGTAQGLVPMWALGGAGTVAGAGRVIPPTAVWMVPPSATTIAGPSGQQAQIWAFPSTTQIINLTPARPAAAVFPGLNITAGAVEHQVITPSEGKPELQLMHRREAETEAVVTTEENNDDDEDEEQQRQQQPLSSADD >DRNTG_30506.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:758828:763602:-1 gene:DRNTG_30506 transcript:DRNTG_30506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVMSKKAAPVTPALDSSGGPWEAQGTGDGAVLSLWDRIKVGSELMDQSARVSSYGGSSRSFRLGNFHKHVVGEQVAAGWPSWLSAVAGEAIKGWVPLKADSFEKLEKIGQGTYSSVFKARNLDTGKIVALKKVRFDNFEPESVRFMAREIQILRRLDHPNVIKLEGLITSHLSCNMYLVFEYMEHDLAGLVSAPSVQFSEAQVKCYMQQILSGLEHCHSLGVMHRDIKCANLLVSEEGIVKVADFGLANFLNPERKQPLTCRVVTLWYRPPELLLGSMEYGASVDLWSVGCVFGEMLLGRPILQGRTEVEQLHKIFKLCGSPPDEYWKKSKLPHTTLFRPQHNYENCLGEYFRNLSSSALSLLSTFLSVEPYKRGSASTALASEYFRTKPQACEPSSLPRYPPTKEIDVKRRESHRKKVGSKRHLELEATRKPSIAPRASREANSLCEFSYQYEGPRIKSRGIYDKDLPRLNIQSRASVDTQPASVVNLRCDHQHIKNLSQEDPSDSGPLRVSMSSGFAWAKKQREDHACGLRNNITNQLESLSISQDYKDKVNSRVQKPSELSRNAMLRHWTQLEQQDSFNADDLYRPNRLSRDQYGRDSVSFKHSILDEQNQGGKIGFSSAILSRSCKIDELLVRRVCHIQEADCKSWIQRERKQGQ >DRNTG_30506.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:758828:760929:-1 gene:DRNTG_30506 transcript:DRNTG_30506.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKRKKARPINKQAKHPSDTTEEHQDSMMKVISSASRTL >DRNTG_30506.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:761560:761883:-1 gene:DRNTG_30506 transcript:DRNTG_30506.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQQILSGLEHCHSLGVMHRDIKCANLLVSEEGIVKVADFGLANFLNPERKQPLTCRVVTLWYRPPELLLGSMEYGASVDLWSVGCVFGEMLLGRPILQGRTEV >DRNTG_30506.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:758828:761487:-1 gene:DRNTG_30506 transcript:DRNTG_30506.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKRKKARPINKQAKHPSDTTEEHQDSMMKVISSASRTL >DRNTG_30506.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:758828:763602:-1 gene:DRNTG_30506 transcript:DRNTG_30506.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVMSKKAAPVTPALDSSGGPWEAQGTGDGAVLSLWDRIKVGSELMDQSARVSSYGGSSRSFRLGNFHKHVVGEQVAAGWPSWLSAVAGEAIKGWVPLKADSFEKLEKIGQGTYSSVFKARNLDTGKIVALKKVRFDNFEPESVRFMAREIQILRRLDHPNVIKLEGLITSHLSCNMYLVFEYMEHDLAGLVSAPSVQFSEAQVKCYMQQILSGLEHCHSLGVMHRDIKCANLLVSEEGIVKVADFGLANFLNPERKQPLTCRVVTLWYRPPELLLGSMEYGASVDLWSVGCVFGEMLLGRPILQGRTEVEQLHKIFKLCGSPPDEYWKKSKLPHTTLFRPQHNYENCLGEYFRNLSSSALSLLSTFLSVEPYKRGSASTALASEYFRTKPQACEPSSLPRYPPTKEIDVKRRESHRKKVGSKRHLELEATRKPSIAPRASREANSLCEFSYQYEGPRIKSRGIYDKDLPRLNIQSRASVDTQPASVVNLRCDHQHIKNLSQEDPSDSGPLRVSMSSGFAWAKKQREDHACGLRNNITNQLESLSISQDYKDKVNSRVQKPSELSRNAMLRHWTQLEQQDSFNADDLYRPNRLSRDQYGRDSVSFKHSILDEQNQGGKIGFSSAILSRSCKIDELLVRRVCHIQEADCKSWIQRERKQGQ >DRNTG_30506.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:758828:762394:-1 gene:DRNTG_30506 transcript:DRNTG_30506.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAREIQILRRLDHPNVIKLEGLITSHLSCNMYLVFEYMEHDLAGLVSAPSVQFSEAQVKCYMQQILSGLEHCHSLGVMHRDIKCANLLVSEEGIVKVADFGLANFLNPERKQPLTCRVVTLWYRPPELLLGSMEYGASVDLWSVGCVFGEMLLGRPILQGRTEVEQLHKIFKLCGSPPDEYWKKSKLPHTTLFRPQHNYENCLGEYFRNLSSSALSLLSTFLSVEPYKRGSASTALASEYFRTKPQACEPSSLPRYPPTKEIDVKRRESHRKKVGSKRHLELEATRKPSIAPRASREANSLCEFSYQYEGPRIKSRGIYDKDLPRLNIQSRASVDTQPASVVNLRCDHQHIKNLSQEDPSDSGPLRVSMSSGFAWAKKQREDHACGLRNNITNQLESLSISQDYKDKVNSRVQKPSELSRNAMLRHWTQLEQQDSFNADDLYRPNRLSRDQYGRDSVSFKHSILDEQNQGGKIGFSSAILSRSCKIDELLVRRVCHIQEADCKSWIQRERKQGQ >DRNTG_19296.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:579763:582700:-1 gene:DRNTG_19296 transcript:DRNTG_19296.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVTARDARRRRLVDRGSDRLALITGLSRSIPPSTEQAPQSPSASLLEDATGLSLRQHHESKGPTASSAENASGIEPVIISKTIHETPRHESGHESMTPAVSNGATMTKFEADGSCVKASTASTVQTSIEPAIQSQTAPQINPHKTQSIFTARKISHSISLSENLRLICAVGIGLLVMLQYHAYSFGGNFVRSIITFRPLFLVLLTDATIVLGRMLLAKESNGGDNERTKLEADSTNSISQALEAALVLQKGLTAVTMDCSICAVIIICAFVFKGSI >DRNTG_28282.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11842253:11844599:1 gene:DRNTG_28282 transcript:DRNTG_28282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGPVSSNRRAPPTKQMAIRSGERSSTTGLVLGRTDLRGER >DRNTG_28282.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11843118:11844670:1 gene:DRNTG_28282 transcript:DRNTG_28282.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEKVALENRKTRNKISVSYDHVSGIGNPSRHISSAGGDSQNVARSRITSKPLMQSSTSIRFNLFLPAAASGPANLSKRASHPLSHPPLESHSSRLPFLHSVQLQPWSSPTPTTSDTQTPTHTALQGRHLAPLSGPGLPIDATGTPYLPGGNPYRLSVTTQKGVSFSCHATPSTSNCALNAVSEFSSRYPARSRLGINDNLDSAATEVFRSPTPSRSFRPP >DRNTG_28282.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11843118:11844670:1 gene:DRNTG_28282 transcript:DRNTG_28282.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEKVALENRKTRNKISVSYDHVSGIGNPSRHISSAGGDSQNVARSRITSKPLMQSSTSIRFNLFLPAAASGPANLSKRASHPLSHPPLESHSSRLPFLHSVQLQPWSSPTPTTSDTQTPTHTALQGRHLAPLSGPGLPIDATGTPYLPGGNPYRLSVTTQKGVSFSCHATPSTSNCALNAVSEFSSRYPARSRLGINDNLDSAATEVFRSPTPSRSFRPP >DRNTG_28282.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11842253:11844599:1 gene:DRNTG_28282 transcript:DRNTG_28282.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEKVALENRKTRNKISVSYDHVSGIGNPSRHISSAGGDSQNVARSRITSKPLMQSSTSIRFNLFLPAAASGPANLSKRASHPLSHPPLESHSSRLPFLHSVQLQPWSSPTPTTSDTQTPTHTALQGRHLAPLSGPGLPIDATGTPYLPGGNPYRLSVTTQKGVSFSCHATPSTSNCALNAVSEFSSRYPARSRLGINDNLDSAATEVFRSPTPSRSFRPP >DRNTG_11580.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:12369100:12374000:-1 gene:DRNTG_11580 transcript:DRNTG_11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGTRLGSKKKLQEGEVDYKTKAGTAWSHSYLNQKPWHPLSYPNQRRKWIAEQTHAHRERRAEEISREFAQEQEFFRQTALFSKKEKEKVEIMKAVSFMYVRPPGYNAESAKAAEIADEKKRLDHNTPSQDLAETASSSMPAPVSEKAKTGGDKKSRPKDVFGRTLPTEEEFQVLKNAPRMETGVPVRIKPFGVEIRNVRCVRCGTFGHQSGDRECPLKDAIMPNEESRLKRDDPLTTIMAQTDSSEPLKWELKQRPGMSPPRGGFEPDDPNQQIIADDIFDEYGGFLNGSGIPALLSNFSASKTRKHSKHRTRSKHQSSTILGAQSNKAQHDKHLSSLSSSSDSDTRKKRKLKGKHRKHKRRRSESSLSQSSDSDSYSRRHHHRVHHRHQHGHQNSGPTYKSD >DRNTG_11643.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:70374:74783:-1 gene:DRNTG_11643 transcript:DRNTG_11643.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOH2 [Source:Projected from Arabidopsis thaliana (AT3G19260) UniProtKB/TrEMBL;Acc:A0A178VL39] MGSILAEGSRPETADFLIAVFFALVLFCARFILDTFIYQPLSVQFVCGRFIPAKIDEAKRAKIIKCCESLWKLTYYISVQLWVFSIMKEGPSPLNTKAYLDGWPNQELKVSVKLFYMCQCGFYLYSIGALLIWETRRKDFGIMMSHHIITSLLIGYSFLTSFFRIGSVILALHDASDVFMETAKVFKYSEKELAASVCFGLFAISWLLLRLIFFPFWIIKTSSYHSFQYLKSPEGFPRTLYYGVNTMLLSLLIFHIYWWVLICSMIKRQLSNKGQVGEDIRSDSEGDD >DRNTG_27379.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14863678:14865343:1 gene:DRNTG_27379 transcript:DRNTG_27379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLRFGTIVLCTASACEEGGSTGAEIGDGRAWDVIGVWGLITNGDVTSSSWIRYKMSIRHLRSSQNNHKLKTEKTCAMEVAPVL >DRNTG_27295.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:135896:137002:-1 gene:DRNTG_27295 transcript:DRNTG_27295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSGGSRRRSLPYTPPPLPFHLLELNLISAQDLHPACRRTRAYAVAWAHPDHKLRSLPDNSGNTNPVWNERFVFRVDSAFLQSDTSAINIEIYAAGRRTSRILGTVRVLLSTIRPSTAGTSVAAFQVRRPSSLRPQGILNIGLTLLDSHVRSMPMFAAGLGGNAAHSFGGKGIPMPASSKMEDLKMEEKLERWKIEMSPEHGGEHRSDGRR >DRNTG_20051.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26206365:26210553:-1 gene:DRNTG_20051 transcript:DRNTG_20051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTREESAVAAAHAQVQQLHIFQLPVKSTPSERKHNRSFSDLSDPSTPRVIEDSRNISIYTNVIAFTLFELETITKSFRSDYVLGEGGFGTVYKGYINENVRVGLKSLPVAVKVLNKDGLQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDVHRLLVYEFMFRGSLENHLFRKTAAPLSWATRMMIALGAAKGLAFLHNAERPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPQGDETHVSTRVMGTYGYAAPEYVMTGRLTARSDVYSFGVVLLELLTGRKSVDKTRPSKEQSLVDWTRPKLNDKKKMLQIIDPRLEDQYSVRAAQKACSLAYYCLSQNPKARPLMSDVVETLEPLQCSSASKGSAHTPILDSLPDYRMHRRFSGNNNMSCRSSPSPKCSPSPITPCRV >DRNTG_28865.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22168940:22170852:1 gene:DRNTG_28865 transcript:DRNTG_28865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTKYDIWFLQRPPLLSQLPPQQQLSHQEATCPSLRSSSPVLSPSSSPQQNP >DRNTG_16505.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5073640:5074077:-1 gene:DRNTG_16505 transcript:DRNTG_16505.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHRSKKPYRSKAAKRNPRSALDNDDDPFFESDPKRRRRGDDEEIEDVSSDEGVGFDDREVGEEEKEEAPEDRIAKEYLQKVRALTQREE >DRNTG_16505.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5072874:5073219:-1 gene:DRNTG_16505 transcript:DRNTG_16505.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQWDVESGKSEKYMWPSEEVLISHHAKPHRNPSLKRSKQVLALAVSTDGRYLVTGGLDRHVHLWDTRAREHIQ >DRNTG_16505.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5072300:5074077:-1 gene:DRNTG_16505 transcript:DRNTG_16505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWDVESGKSEKYMWPSEEVLISHHAKPHRNPSLKRSKQVLALAVSTDGRYLVTGGLDRHVHLWDTRAREHIQAFPGHLAPVSCLVFQPGTSQLFSGSFDRTMKLWDVEDRSHINNLFGHQSEVLTVDCLGKLDDERLLTVGRDRTLRMWKVYLYIYIYIFKCMIAE >DRNTG_08401.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4751440:4754530:-1 gene:DRNTG_08401 transcript:DRNTG_08401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEVVDPKKYYEDTCKPKCVRPLRAYQACVERVKGDETGHKHCTGQYFDYWSCVDACVAPKLFDKLK >DRNTG_11817.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:323516:326798:-1 gene:DRNTG_11817 transcript:DRNTG_11817.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKMSAGVSSMSSKMKEFFQGQNQEAKIVEEATAEALDAPDWAANLDICDMINSEKVNSVEVIRAIKNRIMLKNHRVQYLALVLLETCVKNCEKAFSEVAAERVLDEMVKLIDDHQTIVNNRNKALVLIESWGESGDELRYLPVYEETYKSLKSRGIRFPGRDNESLAPIFTPPRSVSETQSHDNEFGFEHAHHEAPIQSFSVEQIKEAFDVSRNSVELLSTVLSSSPTQDALQDELTTTLVQQCHQSQTTIQRIIETANDEALLFEALNVNDELQKALSKYEQLKKPLVVQSEPAPAMIPVAVEPEDSPQFGKEDALIRKPAGSRARSSGDDDMMDDLDEMIFGKKQGDTSSQGQESKKPHQNDDLIKF >DRNTG_33698.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1233609:1235383:-1 gene:DRNTG_33698 transcript:DRNTG_33698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLFGYHGGVAIVVVLVALISSSCGVIMGSAQGVHHLVGGGHGWDASTSDLQAWSSQKVFRVGDNIWFAYSREKDSIVELGSRMEFESCDIKNPIRMYTSGFDKVVLEGEGARFFVSSKFDNCRNGLKLHVNVMPHNQDDNNKAMEMPSYFASALAAGPQPSSSPPPIVVAAGASLWAWIGIAACFMAF >DRNTG_01342.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:192010:193577:1 gene:DRNTG_01342 transcript:DRNTG_01342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKYKMKLKHKHKQGHETMEPKLWSQLPEDLLYRILSLLPIQSLISLRPTCKLFHSLLFNPSFLSLLSPSPSPPSLLLSHPQFSPHHLPLFSPSSDIWRSLSIPPSHPHLLSSSSGILLFSSSFSLLLLNPLTLSSRFLSCPCPPSLYSTLVLTSNGYTIILPSPNPNLLFLYNSQSMSWSSLRSPALPHSPQKPAFFDGCLYFTTHEPFSIARLCLSSRDWLPSPIDLPGDLAFARIVSSDGKGLFLVGGVGQDGISRSLKVWEMVQGGWEEVGRLPDMMMRKFVSVCYHNYSHVYCLCHEGIICVCCTTWPEVLFFKVVRGTWHWLPRCPSLQEKWSCGFRWFSFVPDLFAMV >DRNTG_06956.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:777619:778299:1 gene:DRNTG_06956 transcript:DRNTG_06956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDSNTFTCIGGTDYKVVFCPKNNATFEIVNRCSYTVWAAAIPGGGKQLDKDQTWTINVNIGTTGGRIWARTGCNFSNSGHGSCETGNCGPTNYSRFFKGFCPDAYSYPRDDPTSTFTCPGGASYKVVFCP >DRNTG_24134.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16249403:16249874:-1 gene:DRNTG_24134 transcript:DRNTG_24134.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIVSHASSHPLKALNMSQVLFCFTNDMLCRAILGEFSRDREGRNEIFLEMIEENMILFSGFNLEDYFPSIGWLTSLLGFDERAKRNFRRWDGVLSKMIEEHKIKKDGDLKDEDFVDILLSLKKDASLDFSLNDEHIKALLV >DRNTG_01441.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8251583:8254881:-1 gene:DRNTG_01441 transcript:DRNTG_01441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLINSNCLQPRTEMRSSTSSANSAPCCISHRVLVSRRRDCPNLNLDNRSKRT >DRNTG_22407.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22634847:22635936:1 gene:DRNTG_22407 transcript:DRNTG_22407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKMSMPQGLDLSNVPHWLHDINMLPSLLVLELFIANLQVGGIHDVTLLHHLNFKSLHVIDLSENYDLNIILFQWLFNLTSFVHLDFSTCGLSTKLPVTIGNLSILRVLSLSENHFDGVIPESL >DRNTG_33083.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001947.1:26276:31965:1 gene:DRNTG_33083 transcript:DRNTG_33083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGAHTITYLPQASQRPCHKNKSPYICTDGLDGEFQHLDEIHSEVKVADRFLDAMTEEESIKVGHGNVAAFCALPTVERDSEVEITLLRKLQSKAVVEADNDSDDKESVAMAERRRTLSKYERPQFTGEEFSIQAH >DRNTG_31065.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30704732:30712385:-1 gene:DRNTG_31065 transcript:DRNTG_31065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAMGRPMKYVVVTGGVVSGLGKGVTASSIGVVLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRDNNITTGKIYQSVIDKERRGDYLGKTVQVVPHITDAIKDWIGSVSSIPVDGNDGPADVCVIELGGTVGDIESMPFIEALRQLSFSVGKDNFCLIHVSLVPVLGVVGEQKTKPTQHSVRELRALGLTPNLLTCRSAQPLGTSTKEKLSQFCHVPVENILNIHDVPNLWHIPLLLRNQNAHEAILKQLNCASIATPPDLVGWTKMAELYDNLMGTVKIAMVGKYTGLTDSYLSVVKALLHACVACSLKPSIEWIAASDLEDESARTTPEVHNAAWESLKSCSCILVPGGFGDRGVPGMILAAKYARENKIPYLGICLGMQISVIEFSRFVLDLERANSDEFDSSTPYPVVIFMPEGSKTHMGSTMRLGSRRTFFKDADCLASKLYQNPSFVDERHRHRYEVNPDFVNRLEAAGLKFVGSDESGKRMEILELKDHPYYVGVQFHPEFKSRPGKPSALFLGLILAATGKLQAYLGRLPDDIANFRIELLKIEA >DRNTG_31065.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30704732:30712385:-1 gene:DRNTG_31065 transcript:DRNTG_31065.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERAMGRPMKYVVVTGGVVSGLGKGVTASSIGVVLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRDNNITTGKIYQSVIDKERRGDYLGKTVQVVPHITDAIKDWIGSVSSIPVDGNDGPADVCVIELGGTVGDIESMPFIEALRQLSFSVGKDNFCLIHVSLVPVLGVVGEQKTKPTQHSVRELRALGLTPNLLTCRSAQPLGTSTKEKLSQFCHVPVENILNIHDVPNLWHIPLLLRNQNAHEAILKQLNCASIATPPDLVGWTKMAELYDNLMGTVKIAMVGKYTGLTDSYLSVVKALLHACVACSLKPSIEWIAASDLEDESARTTPEVHNAAWESLKSCSCILVPGGFGDRGVPGMILAAKYARENKIPYLGICLGMQISVIEFSRFVLDLERANSDEFDSSTPYPVVIFMPEGSKTHMGSTMRLGSRRTFFKDADCLASKLCDINLYPTLFLLDCFLCDHTI >DRNTG_15600.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000772.1:32868:36770:-1 gene:DRNTG_15600 transcript:DRNTG_15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein SPC25 homolog [Source:Projected from Arabidopsis thaliana (AT3G48210) UniProtKB/Swiss-Prot;Acc:Q93VK9] MQRRMAELRESCAVEIGHHTESAGLAADYFNRSLLSLRSATSQTLAHREKLGKLKDYLSDLEADLEQILAVNSRKEAKHASTVESLSSTVNKIEELKEIVKDQREKKDAYASIIRQQLHALTALEEKCDQEISNRESIEEAILWYSRVLGFRTEGGEGVKFIFDKIDVKRPEKEYSFTVRLDGDVCDLLHCDPHLENLDKLIKDMNETNGLFKFVRLMREKFQAAALNGISPKTPPVLCYPDTSSVSLSSPPPISVDSRSGTLGNSDHLHHQPKQWQHNSLQRIGQHMNPSPRSISNHLQSPHF >DRNTG_15600.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000772.1:32868:34357:-1 gene:DRNTG_15600 transcript:DRNTG_15600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein SPC25 homolog [Source:Projected from Arabidopsis thaliana (AT3G48210) UniProtKB/Swiss-Prot;Acc:Q93VK9] MNETNGLFKFVRLMREKFQAAALNGISPKTPPVLCYPDTSSVSLSSPPPISVDSRSGTLGNSDHLHHQPKQWQHNSLQRIGQHMNPSPRSISNHLQSPHF >DRNTG_15600.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000772.1:32868:33880:-1 gene:DRNTG_15600 transcript:DRNTG_15600.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein SPC25 homolog [Source:Projected from Arabidopsis thaliana (AT3G48210) UniProtKB/Swiss-Prot;Acc:Q93VK9] GISPKTPPVLCYPDTSSVSLSSPPPISVDSRSGTLGNSDHLHHQPKQWQHNSLQRIGQHMNPSPRSISNHLQSPHF >DRNTG_16947.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:11637091:11647479:1 gene:DRNTG_16947 transcript:DRNTG_16947.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCY1 [Source:Projected from Arabidopsis thaliana (AT2G18710) UniProtKB/TrEMBL;Acc:A0A178VZD7] MSRSGGLQKSAYLPFKVNSSGVMPIIFSTSSLALPGTMARFTGVAALKKAAFALNPGGALYLPTNILLIAFFNYYYTFLQLDPDDVSEQLKRQGASIPLVRPGKSTAAFLKTVLSRISVLGSAFLAVLAAGPAIIEQTTHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDINNDNP >DRNTG_22972.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16204852:16207764:1 gene:DRNTG_22972 transcript:DRNTG_22972.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFWIDSLIASLKRISHRSYHEARQGPPYTRPSSEGGYFNATMSFPSNYPNSTPTVRFTSEMWHPNVYPDGCVCISILHALGDDPNGYELASDRWTPVHTVESIVLSIISIL >DRNTG_22972.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16204852:16205980:1 gene:DRNTG_22972 transcript:DRNTG_22972.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSISKGMRGCERGKKRKRVKKNPF >DRNTG_30020.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19360018:19364060:1 gene:DRNTG_30020 transcript:DRNTG_30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLCANIKVGDRCQIELGEKRGVVKFVGKAETAGPGYWVGIQYDEPLGKHDGTVKGKRYFDCPPQHGALLRPDKVKVPNASSAQ >DRNTG_04014.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29225687:29227999:-1 gene:DRNTG_04014 transcript:DRNTG_04014.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMPTKQSPTAISITQKKSKPSVPIHGIATTREGEERVNY >DRNTG_29855.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23111761:23113921:1 gene:DRNTG_29855 transcript:DRNTG_29855.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSALDNSVKKLIKIDVSSDTVCPWCFVGKKNLEKAMEQMKEQFDFEVRWHPFFLNPSAPKEGIKKSEFYEQKFGARQCAQILSRMSEIFRGLGLEYDMSGLTGNTLDSHRLITFAGHQGYDKQNALVSELFFNYFCEGKYIGDSQVLLDAAAKVGVEGAAELLGDPNNGLEEVNQEVEKYSANISGVPHFVINGKYKLSGGQPPETFLKAFQVAGKESSS >DRNTG_29855.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23111761:23113921:1 gene:DRNTG_29855 transcript:DRNTG_29855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSALDNSVKKLIKIDVSSDTVCPWCFVGKKNLEKAMEQMKEQFDFEVRWHPFFLNPSAPKEGIKKSEFYEQKFGARQCAQILSRMSEIFRGLGLEYDMSGLTGNTLDSHRLITFAGHQGYDKQNALVSELFFNYFCEGKYIGDSQVLLDAAAKVGVEGAAELLGDPNNGLEEVNQEVEKYSANISGVPHFVINGKYKLSGGQPPETFLKAFQVAGKESSS >DRNTG_08786.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5883251:5884109:1 gene:DRNTG_08786 transcript:DRNTG_08786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKLSRGNPNIIGSDLPITDTSPLRRLGGEIAQSQHLTPHMTTKHSRNSKRTHSEARFTGGLLDAMTEEESIKVRDEVPPKPQRPPLQTLAVSRLKSSTKDEKE >DRNTG_07442.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000338.1:29203:32843:1 gene:DRNTG_07442 transcript:DRNTG_07442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLQESFSSIWKVRANARFIKDELESMNAFLVRNAAMGQYTDDDLEFNVWMSQVRDLAYDLEDWAEEFTWLLCQPHWHGINSCFPDVVRFTKDLVARVKIANNVHELKGRVLEVGERSKRYGLRGRTTQEPTSSLIIIGPVARMQHDPRLGAHLTDDSMLVGIDGPRNTIMNWLMEGDGFMLRVISVVGMGGLGKTTLVKKLYENQQVMKHFPRRVWITVSQTFALKVLFRDMISQLLGSQVFSAETTEGQLVQQLRDELMKLNTRYMIVLDDVWSLHAWKSFLPALPDNNRLGSRIIVTTRNLDVASFCSQESGHIYHLKPLSPENSWLLFCKKAFPRHYSICPPTFTNLSKEILAKCDGLPLAIVTIGGVLASKPLLESEWQKLHDHLGTSMMSQSHQGFDAMRQILSFSYYDLPYYLKPFFLYLAIFPEDYQIRRKRLLRRWIAEGLVNATRDMSTQEVAEWYFKELMARSMILSSIINGDTTVHSCHVHDLMLEFALNMSDKENLVSIITRQQQQQQQQHQQQPPPQQPQDSFTQEHKKNEALTSA >DRNTG_08332.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19857187:19860999:-1 gene:DRNTG_08332 transcript:DRNTG_08332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSKGKLPLSLMAIIISAVAFSGVIFTEDLRFLTEEKEKSMRKDELIDEDKIGFNPSECQVMDGQWVFNSSMEPPYTEQTCPYIEKQVACQSHGRKDKDFLHWEWQLDDCTLPRFNARVVLEKLRGKRMMFVGDSLQRGQWLSFVCMLQAGIPEDQKFMNRSTRSLAVFGAKTQLKESFMWIQ >DRNTG_10690.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13887061:13888903:1 gene:DRNTG_10690 transcript:DRNTG_10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDKLWDDTVAGPQPDTGLSKLRKPASFSIRSISGKEEQVDEPRVTRSIMIKKPAGSPSTGNGTPPTSPAGSTPPVSPFSGGKQWNRFRRKSSSDAYERGAVVDVGPRNPPPPYEN >DRNTG_16251.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9663443:9663788:1 gene:DRNTG_16251 transcript:DRNTG_16251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENALAWGVVAAAISTECFFSTRVFSFSITEAVSEVVSTGAASVIAAFPFLLVSRRRSPAQVVGISSVCNLRGISRLGFC >DRNTG_15671.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28844988:28854185:-1 gene:DRNTG_15671 transcript:DRNTG_15671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPRDVEQAITALKKGSCLLKYGRRGKPKFCPFRLSKDGTILIWYSGKEEKQLTLSHVSKIIPGQRTAIFQRYPRPDKEYQSFSLIYNDRSLDLICKDKDEAEVWFVGLRALISRDGYRKVRLESIGDRTLSNKNASTTQKISPLTLPFCGGEIFQKDSGETQYTQLPVENPPANGYAKLFADVILQSSAAKSTTSDSASKDLLSSGCVDNANGRGSASETFRVSLSSAVSSSSHGSNHEDFDALGDVYIWGKGIGDGFLGGGHCRIGSSCATKMDASLPKALESAVVLDVQNIACGGRHAVVVTKKGEVFSWGEESGGRLGHGADADVSHPKLIDALGGMNIELVACGEYHTCAVTLSGDLYTWGDGIHSYGLLGHGSEASHWIPKQVTGQLEGLHVSSVSCGPWHTAVVTSAGQLFTFGEGTFGALGHGDHRSVNMPRQVEALKGLRTVRAACGVWHTAAVVEISAESSDSGSSSSGKLFTWGDGDKGRLGHCDKVSRLLPACVTSLSEQNFSQVACGHDITVSLTTTGRVYTMGSTLYGQLGNPEADGKVPVRVEGELSDCFVEEIACGSYHVAVLTSRTEVYTWGKGANGRLGHGDNDDRNAPTLVEALKDKQVKGVVCGSTFTAVICLHKWVSSVDQSICSGCRLPFGLRRKRHNCYNCGLVFCKACSSRKSVKASLAPNINKPYRVCDECYTKLKKSTRSGMVQQLLKHQVGIQNQISNGQADKDSLSSKQQGNFSRLSSVDSKGENKQAKLFCRSETNNIRASPNLSETTKWGSFYLSNFPSVLHGSSKKMFSASLPVSRIASRSTSPVSSAPSPTWTDYTTHLIVADDSKKTNDNLSQEVVRLKLQVEELTRKSQFLEAEMERTLKQLKEATAVAGDEAAKCKAAKEVIKSLAAKLKEMAEKIPEGDAYINDLAANGAQASHLLSLDSSVDLGGRITSQTAASSSHTINGLPNDANRTTEETEFVENAEPGVFFTVSVIPGGEKCLKRVRFSRKRFSEQQAEKWWAENKSRLQEMYSFLADKKSSICPSSMSDRNDGITD >DRNTG_15671.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28848575:28850861:-1 gene:DRNTG_15671 transcript:DRNTG_15671.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLPKALESAVVLDVQNIACGGRHAVVVTKKGEVFSWGEESGGRLGHGADADVSHPKLIDALGGMNIELVACGEYHTCAVTLSGDLYTWGDGIHSYGLLGHGSEASHWIPKQVTGQLEGLHVSSVSCGPWHTAVVTSAGQLFTFGEGTFGALGHGDHRSVNMPRQVEALKGLRTVRAACGVWHTAAVVEISAESSDSGSSSSGKLFTWGDGDKGRLGHCDKVSRLLPACVTSLSEQNFSQVACGHDITVSLTTTGRVYTMGSTLYGQLGNPEADGKVPVRVEGELSDCFVEEIACGSYHVAVLTSRTEVYTWGKGANGRLGHGDNDDRNAPTLVEALKDKQVKGVVCGSTFTAVICLHKWVSSVDQSICSGCRLPFGLRRKRHNCYNCGLVFCKACSSRKSVKASLAPNINKPYRVCDECYTKLKKSTRSGMVQQLLKHQVGIQNQISNGQADKDSLSSKQQGNFSRLSSVDSKGENKQAKLFCRSETNNIRASPNLSETTKWGSFYLSNFPSVLHGSSKKMFSASLPVSRIASRSTSPVSSAPSPTWTDYTTHLIVADDSKKTNDNLSQEVVRLKLQVGIAYQSNILCFSAV >DRNTG_15671.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28844988:28846257:-1 gene:DRNTG_15671 transcript:DRNTG_15671.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKIPEGDAYINDLAANGAQASHLLSLDSSVDLGGRITSQTAASSSHTINGLPNDANRTTEETEFVENAEPGVFFTVSVIPGGEKCLKRVRFSRKRFSEQQAEKWWAENKSRLQEMYSFLADKKSSICPSSMSDRNDGITD >DRNTG_06678.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3165286:3168436:1 gene:DRNTG_06678 transcript:DRNTG_06678.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein YLS8 [Source:Projected from Arabidopsis thaliana (AT5G08290) UniProtKB/Swiss-Prot;Acc:Q9FE62] MSYLLPHLHSGWAVDQAILAEEERLVVIRFGHDWDETCMQMDEVLASVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIIETVYRGARKGRGLVIAPKDYSTKYRY >DRNTG_13676.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22766869:22767262:1 gene:DRNTG_13676 transcript:DRNTG_13676.4 gene_biotype:protein_coding transcript_biotype:protein_coding NSRDVCASSPISTKQSSSSLPHSVAPSRKSSKKAQKWRSSNPISPLISLFQSQNPASIPPLCPPTPSSHLSSSPLLARRIPCLEPPPPSPSLPFLMTGLSLAAGWRPWTTSRSSMPSLCLMRKFGLKTCR >DRNTG_13676.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22766869:22771427:1 gene:DRNTG_13676 transcript:DRNTG_13676.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFKPYLPANLALPIPKPSLYTSSLPTNSKLTPFLFSSSCSKNPMPGTSSSFSLPPLPDDRVVLGCGMASVDYVALVDAFPVPDEKVRTENVQVLGGGNCGNALTCAARLGLKPRIITKVAEDANGRSILAGFDGDGVDTSYVAISKEGTSMFSFVIVDQQTKTRTCIYQPTLPTMVPDDLPRSRLSSAMDGARFVYLDCSLHEIDLLVAQEAARMNIPIVIDAERKTPVLNDLLHLASYVVCAENFPQVYEEF >DRNTG_13676.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22766869:22771721:1 gene:DRNTG_13676 transcript:DRNTG_13676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFKPYLPANLALPIPKPSLYTSSLPTNSKLTPFLFSSSCSKNPMPGTSSSFSLPPLPDDRVVLGCGMASVDYVALVDAFPVPDEKVRTENVQVLGGGNCGNALTCAARLGLKPRIITKVAEDANGRSILAGFDGDGVDTSYVAISKEGTSMFSFVIVDQQTKTRTCIYQPTLPTMVPDDLPRSRLSSAMDGARFVYLDCSLHEIDLLVAQEAARMNIPIVIDAERKTPVLNDLLHLASYVVCAENFPQSWASASSITSALMSLLLQFPRTKFVIVTLGCNGCIMLERSCLGEDLKMEAVDVDSLIESLKLRIDKSNTAPLCISSPIMRLSADGIGTVNGRLLLGTAESIPPSELVDTTGAGDAFVGAVLYALCAGMPPEKMLPFAAQVAAAGCRAMGARPGLPRRTDPRLAPFLY >DRNTG_13676.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22766869:22771427:1 gene:DRNTG_13676 transcript:DRNTG_13676.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFKPYLPANLALPIPKPSLYTSSLPTNSKLTPFLFSSSCSKNPMPGTSSSFSLPPLPDDRVVLGCGMASVDYVALVDAFPVPDEKVRTENVQVLGGGNCGNALTCAARLGLKPRIITKVAEDANGRSILAGFDGDGVDTSYVAISKEGTSMFSFVIVDQQT >DRNTG_21191.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:2156840:2160398:-1 gene:DRNTG_21191 transcript:DRNTG_21191.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLGLEEVGVELAKNGAIVVNEYSQTAVDSIWAVGDATDRINLTPVALMEGMAFAKTVFGGEPTKANHSAVPSAVF >DRNTG_12915.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16928590:16928919:-1 gene:DRNTG_12915 transcript:DRNTG_12915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPSRAHDRFERLESALGVTRTEVAEARAEIVEIRATQATQYIEFMARFDILQQILEQNVASSFVLRPRTPQAPSVPPASPSPTLALVDPPCASSPAAAPEPEGDTST >DRNTG_13381.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3932173:3935371:1 gene:DRNTG_13381 transcript:DRNTG_13381.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLYVALPFIASIVLLSLIYVIFWGRTNRKLVFFPEIKDETLLTSAESKLFDLSTLREATNNFSDANMLGEGGFGQVYKGALKDGQEIAVKRLSRTSEQGLLELRNEVVFVAKLQHRNLVRLLGCCLEEKEKLLVYEYLPNRSLDKILFDPLRSQSLEWCLRYKIIEGISRGLFYLHEDSRLKIIHRDLKASNVLLDKDMNPKISDFGLAKHFGANETHKDTGRIAGTHGYMAPEYAIYGRLSPKSDVFSYGVLALEIVTGGKNSDFEKCLAAME >DRNTG_13381.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3930403:3935371:1 gene:DRNTG_13381 transcript:DRNTG_13381.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLAETLLFLLLSLLACPALSDPLYQSCGTTGNYTTNSTYQSNLLTLLSSLYSNGSISGFYKNTVGTVPNDKIYGLVLCRGDINTTTCRNCLEVAMQDVLQICSNKKGALVWYDLCLLGYSNQNFLSSTNDSNPLIMYNAQNLSDPEKFNRLEVDLIVMDMIARSAANSSRRFATWETPVTVSYHKIYGLGQCTPDLSGDQCYLCLKGVFKVIPDFVYSRGLRVIGVRCNFRFELYQFYQYEEPLLPLSAPSPRSNDTNTTTTTTTTAEEGEGGFGQVYKGALKDGQEIAVKRLSRTSEQGLLELRNEVVFVAKLQHRNLVRLLGCCLEEKEKLLVYEYLPNRSLDKILFDPLRSQSLEWCLRYKIIEGISRGLFYLHEDSRLKIIHRDLKASNVLLDKDMNPKISDFGLAKHFGANETHKDTGRIAGTHGYMAPEYAIYGRLSPKSDVFSYGVLALEIVTGGKNSDFEKCLAAME >DRNTG_28566.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9935073:9941901:-1 gene:DRNTG_28566 transcript:DRNTG_28566.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLSINLQGKQGQTLNLEDPSNGPSRISTRKRIYKTTKENQTQLIKLFIPSLLHFGKSYDYEII >DRNTG_28603.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28545768:28548967:1 gene:DRNTG_28603 transcript:DRNTG_28603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETIPGGAKYAAIKAKLRELKLHTVCEEAKCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPNEPSNVAEAIASWGLEYVVITSVDRDDLLDQGSGHFAETVQKLKILKPKMLIEALVPDFRGDPSCVEKVATSGLDVFAHNIETVEELQGSVRDHRANFKQSIDVLKMAKDFAPAGTLTKTSIMLGCGETPGQIISTMEKVRAAGVDVMTFGQYMRPSKRHMPVSEYVTPEAFDKYQSLGMEMGFRYVASGPMVRSSYKAGEFYIKSMIEADRAASSSHSEP >DRNTG_20646.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20422705:20426648:-1 gene:DRNTG_20646 transcript:DRNTG_20646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESITLIDVSSENDLLISSPSQGLLTNIEGPGYSHSGSDKLKASANSREMSEEKLQVPELSASPKQKRGSSKCNLRKSLAWDTAFFTNEGVLNHEELAIVNSTFKKAEADKLPSIQEDSRNSMDSSTTLESDVWELENLEVDLFENVRASIQRSVGKLGKPSSVLPSTKPTYLGKLATSQHQSSNMAEISSRLKMKPPTACKRIVNSKQAAANTTKVTLCPMQVVTAGQKDMKPSIRPPRSASRATTVPTPPNKKVSTSVVTQMESNNIKSLPGKILNQQTLVAKKIAGDSCSTSFSGSLGKSPMKTTRKKGNIPDCLSSTTPKSPLKTSRTKIESRNAIKPPAMPKSRLRVSSSVSPQSSVDSWTSESSLAASTFIKHSHSSGSPDADSSSSSSPSFKVTFDIDAMQPQELGKPPIDKPYITKEITGTIPQSQITTEQPTRTQVSVKSFIGDNSTRNIRRSSEVNNAKPSGLRLPTPKIGYFDPGQSLLQNPSRYSLNATRKYLPKNTSVDSNTIGARKLDSGMCDPTGKDSKPSNQTNKEDACLKDSILFKEASMENDLCSSLRSSGKENFSYQDQDVVKVKSELLEQKLSSLSLFDEKDLNLNLDLVSSST >DRNTG_20646.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20422705:20424318:-1 gene:DRNTG_20646 transcript:DRNTG_20646.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTRKKGNIPDCLSSTTPKSPLKTSRTKIESRNAIKPPAMPKSRLRVSSSVSPQSSVDSWTSESSLAASTFIKHSHSSGSPDADSSSSSSPSFKVTFDIDAMQPQELGKPPIDKPYITKEITGTIPQSQITTEQPTRTQVSVKSFIGDNSTRNIRRSSEVNNAKPSGLRLPTPKIGYFDPGQSLLQNPSRYSLNATRKYLPKNTSVDSNTIGARKLDSGMCDPTGKDSKPSNQTNKEDACLKDSILFKEASMENDLCSSLRSSGKENFSYQDQDVVKVKSELLEQKLSSLSLFDEKDLNLNLDLVSSST >DRNTG_20646.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20423460:20425802:-1 gene:DRNTG_20646 transcript:DRNTG_20646.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEKLQVPELSASPKQKRGSSKCNLRKSLAWDTAFFTNEGVLNHEELAIVNSTFKKAEADKLPSIQEDSRNSMDSSTTLESDVWELENLEVDLFENVRASIQRSVGKLGKPSSVLPSTKPTYLGKLATSQHQSSNMAEISSRLKMKPPTACKRIVNSKQAAANTTKVTLCPMQVVTAGQKDMKPSIRPPRSASRATTVPTPPNKKVSTSVVTQMESNNIKSLPGKILNQQTLVAKKIAGDSCSTSFSGSLGKSPMKTTRKKGNIPDCLSSTTPKSPLKTSRTKIESRNAIKPPAMPKSRLRVSSSVSPQSSVDSWTSESSLAASTFIKHSHSSGSPDADSSSSSSPSFKVTFDIDAMQPQELGKPPIDKPYITKEITGTIPQSQITTEQPTRTQVSVKSFIGDNSTRNIRRSSEVNNAKPSGLRLPTPKIGYFDPGQSLLQNPSRYSLNATRKYLPKNTSVDSNTIGARKLDS >DRNTG_20646.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20422705:20424428:-1 gene:DRNTG_20646 transcript:DRNTG_20646.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTRKKGNIPDCLSSTTPKSPLKTSRTKIESRNAIKPPAMPKSRLRVSSSVSPQSSVDSWTSESSLAASTFIKHSHSSGSPDADSSSSSSPSFKVTFDIDAMQPQELGKPPIDKPYITKEITGTIPQSQITTEQPTRTQVSVKSFIGDNSTRNIRRSSEVNNAKPSGLRLPTPKIGYFDPGQSLLQNPSRYSLNATRKYLPKNTSVDSNTIGARKLDSGMCDPTGKDSKPSNQTNKEDACLKDSILFKEASMENDLCSSLRSSGKENFSYQDQDVVKVKSELLEQKLSSLSLFDEKDLNLNLDLVSSST >DRNTG_00147.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2410375:2411848:-1 gene:DRNTG_00147 transcript:DRNTG_00147.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQSNPQRPRLPVMPPPLLHPGGQPPLNQGLLPGVRPPVLPRPLIPGYGAPPTIPLPNMPPGALPRPPTLSPPVTGGVPTATSSSVIPGSFDAFNSAAASGFQRPPTSVPGAPGAATTSQDGYTYGQASETSH >DRNTG_23845.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001280.1:8806:20998:1 gene:DRNTG_23845 transcript:DRNTG_23845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLLRGLRSGARTAFSSSLCSSRALSFASPFRRFSAAPAAAAAAASANALDPSCLRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERAMDSISLERERGITIASKVTSISWKNSELNMVDTPGHADFGGEVERVVGMVEGAILVVDAGEGPLAQTKFVLAKALRYGLRPILLLNKVDRPAVSEETCNEVESLVFDLFANLGATEEQLDFPVLYASAKEGWASLTFTKAPPDDARNMSALLDAIIKHVPPPSASLDAPLQMLVSMMERDFYLGRILTGRISSGIIHVGDKIHALRNTENGFEKIEEGKVTKLMKKKGTNMVMIDSAGAGDIISLAGLAMPSIGHTVANVEVMAALPTVELDPPTISMTFGVNDSPLAGRDGTHLTGGKIGDRLMAEAETNLAINVLPGPLSDSYEVQGRGELQLGILIENMRREGFELSVSPPRVMYKIEKGEKLEPIEEVTIEVNEEHVGLVMEALSHRKAEVTDMGPVPGSIGRTRMSLTCPSRGLVGYRSVFSSDTRGTGFMHRAFLMYAKHRGSLGNVRKGVLISVGHGLITAHALMSLEARGTLFVAPGMETYDGMIVGEHSRDSDLDVNPVRTKELTNIRAPGKDENVRLSPPRLMSLEEAIGYVASDELIEVTPKAVRLRKRYLDVNKRKMMRNRPKE >DRNTG_31037.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30534709:30541402:-1 gene:DRNTG_31037 transcript:DRNTG_31037.2 gene_biotype:protein_coding transcript_biotype:protein_coding CSNWELTEPWTGAQIKVPTKFIVGDLDLTYHYPGIQDYIHKGGFKHDVPLLEEVVVMKGVAHFIQQEKAHEITQHILDFIKKF >DRNTG_31037.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30534709:30541402:-1 gene:DRNTG_31037 transcript:DRNTG_31037.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSNWELTEPWTGAQIKVPTKFIVGDLDLTYHYPGIQDYIHKGGFKHDVPLLEEVVVMKGVAHFIQQEKAHEITQHILDFIKKF >DRNTG_31037.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30541047:30541402:-1 gene:DRNTG_31037 transcript:DRNTG_31037.3 gene_biotype:protein_coding transcript_biotype:protein_coding CSNWELTEPWTGAQIKVPTKFIVGDLDLTYHYPGIQDYIHKGGFKHDVPLLEEVVVMKGVAHFIQQEKAHEITQHILDFIKKF >DRNTG_31976.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:654087:657658:1 gene:DRNTG_31976 transcript:DRNTG_31976.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKDTYRTELRAAVRHLSDRCLHAASKWAAELLVGIEPDHSTTVIPPPSIASSRATPSSSSSSSSAPHHHSAYVSSLRRRIRPSLEQTPLAGVSYVRTPVPDEDFGDGDNDRYLLAKSLFDCREYRRAAHALRDQTGKKSVFLRCYALYLAGEKRKEEEMLELEKPLGKSDAVNAELVSLERELSTLRRTGSIDSFGLYLYGIVLKEKGSEKLAITALVESVNSYPWNWSAWSELQSLCTTTEVLNNLNLKNHWMKDFFLASAYQELKMHEEALKRYEYLLNISRFSDYIQAQIAAALYNLREFDEAEVVFEELLRTDPYRVDAMDIYSNLLYAKESFSALSFLAHRVFLTDKYRPESCCIIANYYSLKGQHEKSVIYFKRALKLNKKYLSAWTLMGHEYVEMKNTPAAIDAYRRAVDINPRDYRAWYGLGQTYEMMGMPFYALYYFRKSSYLQPNDARLWIAMAQCYESVPLQMLEEAIKCYMRAANCNDREGIALHQLAKLHSVLGRSEQAAFYYKKDLEKLEADERQGPNMIEALLFLAKHCKAEKRFEEAEMYCTRLLDYTGPEKETAKNLLRGLKIAQSAFPSMDIDHFAP >DRNTG_07019.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3339400:3340040:1 gene:DRNTG_07019 transcript:DRNTG_07019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACINMYNSGQEHHHQGGIPMSPRISFSNDFVVEQAAAISSVPKLSPSPGDPDFEFSVGSRPMMAADELFFKGRLVPLREHCIMPRTTTLRDELLAGDEDDADVWGSTSRPMKSIKWKELLGLKKQGGHYGNKKQEMKSTIEGGTCRVDEGGKTILQEEED >DRNTG_15360.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26766392:26769671:1 gene:DRNTG_15360 transcript:DRNTG_15360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLITRNLSTIQTKNPRNPTAPSPNSNPLNSSSIASYLIDSFGISPEKAFAAIKKLNCRCKLDIKSDKIKARRDLNAVPSFFASNGFTKDQISKIITKFPRILLCRPDRTLKPKIDFFLGAGFSRSDLARLMSDDVEILQASLNKRIIPFFDFLKTILYSDKEVIAALKQTPRLLHYSAEKRISPNVETLRGFGMPEHRIYTLSKAHTRILLCETDRFRRSIERVRDLGFKVSDYSFAVALQCVSWLSAATWEGKVVALMSFGLSEDQILSAFKKKPAVMIISEDKLKRNMSFFVSRVNWSPEYVVSEPRLLGFSLERRLLPRTLTLEVLLSNGLISDKSFNHRVFVMNESRFFEKYVMRYQEEHPQVLEAYLAALKQQH >DRNTG_15360.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26766392:26769671:1 gene:DRNTG_15360 transcript:DRNTG_15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLITRNLSTIQTKNPRNPTAPSPNSNPLNSSSIASYLIDSFGISPEKAFAAIKKLNCRCKLDIKSDKIKARRDLNAVPSFFASNGFTKDQISKIITKFPRILLCRPDRTLKPKIDFFLGAGFSRSDLARLMSDDVEILQASLNKRIIPFFDFLKTILYSDKEVIAALKQTPRLLHYSAEKRISPNVETLRGFGMPEHRIYTLSKAHTRILLCETDRFRRSIERVRDLGFKVSDYSFAVALQCVSWLSAATWEGKVVALMSFGLSEDQILSAFKKKPAVMIISEDKLKRNMSFFVSRVNWSPEYVVSEPRLLGFSLERRLLPRTLTLEVLLSNGLISDKSFNHRVFVMNESRFFEKYVMRYQEEHPQVLEAYLAALKQQH >DRNTG_15360.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26766392:26769671:1 gene:DRNTG_15360 transcript:DRNTG_15360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLITRNLSTIQTKNPRNPTAPSPNSNPLNSSSIASYLIDSFGISPEKAFAAIKKLNCRCKLDIKSDKIKARRDLNAVPSFFASNGFTKDQISKIITKFPRILLCRPDRTLKPKIDFFLGAGFSRSDLARLMSDDVEILQASLNKRIIPFFDFLKTILYSDKEVIAALKQTPRLLHYSAEKRISPNVETLRGFGMPEHRIYTLSKAHTRILLCETDRFRRSIERVRDLGFKVSDYSFAVALQCVSWLSAATWEGKVVALMSFGLSEDQILSAFKKKPAVMIISEDKLKRNMSFFVSRVNWSPEYVVSEPRLLGFSLERRLLPRTLTLEVLLSNGLISDKSFNHRVFVMNESRFFEKYVMRYQEEHPQVLEAYLAALKQQH >DRNTG_31463.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1878574:1878834:1 gene:DRNTG_31463 transcript:DRNTG_31463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGLVWATAEDLARNKPVVLSLYRKILRSLNSPQLPLPLAARLAKKAEARTIFLFGSEERSLHNIADLIDAAQHSLSILKKGRLP >DRNTG_30370.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:135138:140586:1 gene:DRNTG_30370 transcript:DRNTG_30370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-rich interactive domain-containing protein 4 [Source:Projected from Arabidopsis thaliana (AT3G43240) UniProtKB/Swiss-Prot;Acc:Q6NQ79] MSNMIALPRPNCSLLAVLCGEFAGRRKEEGRNRASYPFPELVSSGRLEVQTLVNPTLDRFQEVRRSLEPNVLFFQGEKGEKDEETGSLVWGTVDVSDPELFCSLFSPPFPTIVYLEVPNSENIAQALHFKGVPYVIYWKNAFSSYAASHFRHSLFSVIQSSCSHACDAFQLAHASFRFYCVRNGVVLPDGCQKSTDKLGPHLIGDAPKINIEPLDKGPDDDAEDLSDSFPTIKIYDEDVEMKFLVCGYPCRLDACFLASLEDGLNALLNIEIRGSKLQNRVSATPPPLQAASVSRGVVTMRCDITTCSSAHISLLVSGSAQTCFDDQLLESHIKNELIEKTQLVHAVPTGEQSRPPFSDPSSYASIAAGASVFEITLKVPSWGSQVLKQLAPDFSYRSLVALGIASTHGTAVASFDKEDADRLLFFCSKQANDVHALDKVLNPLPNWASLSSKKRPRLGSETKPITHGNIGGEKELICPKVDPDYGNELKLTKVNMRPLPARQKTKVIAMRPVPYSRQHKMRPFWGISEADAYDGSLLKSNLPSAPIPKQNLVPAAPSTHRKSMSNSLHAQQIVSLNPLPMKKHGCSRSPIQVCSEEEFLRDVMQFLILRGHSRLVPQGGISEFPDAILNAKRLDLYNLYREVVSRGGFHVGNGINWKGQVFSKMRNHTMTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAPGDWVNCGLCGEWAHFGCDRRQGLGTFKDYAKTDGLEYICPHCSVNNFKRKSPKMANGFSHHTSTVSRPT >DRNTG_25164.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:9840672:9844722:-1 gene:DRNTG_25164 transcript:DRNTG_25164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRSWFDRLKRFFVSDAKAKQEKKERRKRWIFGRLKKKSQLALPPPTNQNMTRLKEAEEEQNKHAMAVAVATAAAAEAAVAAAQAAAQVVRLAGTQPLSYQRRRVSAAVKIQSAFRGYLARRALRALKGLVLLQALVRGQAVRRQTNITLRSLQSLIKIQSHARASRVKTVEDSEIYELNDKSQRSSKGFDYLRASLHQNSERRWDGSVLSMEEINAILRNKREAAQKRERAMQYASFFQERRNPGRPSTPVAEEYETEELNRRWSWLEQWVGTQTCEKDIPEVFPVPSPEDNNLNQQCHVPQSFRASDHLLHKEKTDVTPLGPMARRSFNRSRRNSPRDDDSFTSSPSIPSYMASTESTKARFRSISTPKQRMRMGDTFSDHCMPYTSRILSPFPKTSRHPSISNLKSPRLKGASAPVKSHRSSTYLSIDSEHSLRNWDRRYAFR >DRNTG_32084.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:32183:35584:-1 gene:DRNTG_32084 transcript:DRNTG_32084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARRLKSLFHTSSRLRDRPLSPHFLSWLYTSSEQAGRKSSFRRKAVPIVLISLTGGVALSALNDLAIFHGCSSKAIERASQNQKVVEILGEPIVRGPWYDASLAVGHRRHSVSCTFPVTGPLGSGTFQLKAIRNGEDTWFSFLRHHDWDILLLEALVHRPSNDDKDQTLRISIADQMASPPQN >DRNTG_10089.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000422.1:10081:11957:1 gene:DRNTG_10089 transcript:DRNTG_10089.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFEELAQRCMLQVSKRKYDNSARYCRIHDLLRDMCISEAKESRDLEIYKNDTIDCATTSNAARRLIIFNEIGTLNYSNSKLRGLFYYEYIYNPTTFKALNRQFSSFKLLRVLCFNSQYMQEFPNEIKSLIHLKYLELHSNHLKEVPSWIGQFRNLQTFIIASGNMKEISDSLWRIDNLRHVDFDNHSDARPPNMGNNIVLKNLHTLNGVHAGSWIGNMLPKLTNLRKLKNQEKSLMIMPMHYLHHSRNWVILLPYLYKEI >DRNTG_10089.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000422.1:10081:10790:1 gene:DRNTG_10089 transcript:DRNTG_10089.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFEELAQRCMLQVSKRKYDNSARYCRIHDLLRDMCISEAKESRDLEIYKNDTIDCATTSNAARRLIIFNEIGTLNYSNSKLRGLFYYEYIYNPTTFKALNRQFSSFKLLRVLCFNSQYMQEFPNEIKSLIHLKYLELHSNHLKEVPSWIGQFRNLQTFIIASGNMKEISDSLWRIDNLRHVDFDNHSDARPPNMGNNIVLKNLHTLNGVHAGSWIGNMLPKLTNL >DRNTG_10089.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000422.1:10081:11957:1 gene:DRNTG_10089 transcript:DRNTG_10089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFEELAQRCMLQVSKRKYDNSARYCRIHDLLRDMCISEAKESRDLEIYKNDTIDCATTSNAARRLIIFNEIGTLNYSNSKLRGLFYYEYIYNPTTFKALNRQFSSFKLLRVLCFNSQYMQEFPNEIKSLIHLKYLELHSNHLKEVPSWIGQFRNLQTFIIASGNMKEISDSLWRIDNLRHVDFDNHSDARPPNMGNNIVLKNLHTLNGVHAGSWIGNMLPKLTNLRKLKNQEKSLMIMPMHYLHHSRNWVILLPYLYKEI >DRNTG_10089.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000422.1:10075:10790:1 gene:DRNTG_10089 transcript:DRNTG_10089.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFEELAQRCMLQVSKRKYDNSARYCRIHDLLRDMCISEAKESRDLEIYKNDTIDCATTSNAARRLIIFNEIGTLNYSNSKLRGLFYYEYIYNPTTFKALNRQFSSFKLLRVLCFNSQYMQEFPNEIKSLIHLKYLELHSNHLKEVPSWIGQFRNLQTFIIASGNMKEISDSLWRIDNLRHVDFDNHSDARPPNMGNNIVLKNLHTLNGVHAGSWIGNMLPKLTNL >DRNTG_07021.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3359856:3382827:1 gene:DRNTG_07021 transcript:DRNTG_07021.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARP protein (REF) [Source:Projected from Arabidopsis thaliana (AT1G49670) TAIR;Acc:AT1G49670] MELKPGMSALVTGGASGIGKALCFALAKEGIHVTVVDYSEGEGQEVTFLIGKEIEKFYPGLKFPSSIFVKCDVTNAGDIAAAFKKHLETYGGLDICINNAGIGTSVLFHKDESDGNSTWRRTVDVNLIAVIDCTRHAIKAMRSTKKHGVIINLGSGSSFSPLYTDPVYSATKGGVVMLTRSLYSLIREGIRVTALCPEFVQTKLGSTVSPRLIESLGGFINMETVVDGAFKLIKDESKAGACLFISNRKGMEYIPAVIEGKRGILPSYNVKGSFIHPGVSNLNLPHSYEKIVVHSLDHNFRAATKIVPSQLSLPIKPGCALVKVLYAGVNASDVNFSSGRYFSGGKADITQRLPFDAGFEAVGLIAAVGESVHLKVGTPVALMTFGSYAEFTVVPAKHLLPVPRPDPEVVAMLTSGLTASIALERAGQMESGQVVLVTAAAGGTGQFAVQLARLAGNKVVATCGGESKASLLKSLGVDCVIDYRKENIKAVLKKEFPKGVDIIYESVGGEMFDLCLNALAVYGRLIVIGMISQYQGEHGWEPRNYTGLCEKILAKSQTVAGFFLVQYAHLWQQHLNKLFDLFSTGKLKVSVDPKKFLGLKSVADAVEYLHSGQSLGKVVVCIDPAFCHQSSKL >DRNTG_02659.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13476442:13478382:-1 gene:DRNTG_02659 transcript:DRNTG_02659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRSSKPRVVMTRKLNRRIKYDPNTSSKRKSKVTLAT >DRNTG_34520.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5057386:5057929:-1 gene:DRNTG_34520 transcript:DRNTG_34520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHQLGVLHNLCRPNLGYHNSNKARRRPERPQHHHPHSRLSLEWA >DRNTG_30922.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26044736:26045067:-1 gene:DRNTG_30922 transcript:DRNTG_30922.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYMSSSLTMINKNTSIILHVRAESIRQLCRYGVRRVGDDKVPTRARHGNPTPKTRKRRLRRLRHAVYLAITLW >DRNTG_00318.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2217434:2224170:1 gene:DRNTG_00318 transcript:DRNTG_00318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKRSADLMEEPEDEQQVAADETGEKSLKESRQSMKQMEKLKKRKALDKERHAKESGSMETFKAKKSREVLAATPTIAPAHGLRMDVFSDLASAEGSVREAAAEALVFELRKAQKVFEKVGKKGIKEGVAPLEAKKDEGFENCAPSVIYAIRRLVRGVSSSRECARQGFALGLAAIVGTIPSVNVESVMKLIEDELRVTAKMEGQDARESLLGRLFAYGSLARSGRISREWVLDNKTTLVKDFVGNVISLAAKKRYLHEPAVSVILEVVEKLPEEAVSSQVLGAPGMREWFGNATDTGDPDVLFLALKLQERGHLGNGMVGKLLPCPFSSNSFFTRDHLALLATCLKESTFCLPRIHSLWNVLVNILVPETSHNEDKSMCSTSSRKNKKNRKGGCFEEVTKNIRTFCEVVIEDSLLMSSHDRKHLALDILLLLLPRLPVSCIQIVLTPKLVLCLMDILSTKGSLLYNAAQHFVKELINWTGDDDDRRVGVIVSLQKHSNGRFDCITRTQTVKELVLKFKTDSGCLLFVQNLMSLFVNEGALTDEPSDQSQTTDENSELGTPEDESPAVSENADFLKKWVIDTMPRVLKNLNFNSNAKSLSHTELVKFIEAKYRVQTEIMKFLAVQGLFSASLGTEVTSFELQERFKWPKAATSSALCRMCIEQLMLLLEDARKGQVTLMHLLEAARKKEDAQKEKEIHMLSSCLEQNDLGHYFMCFLSTLCNIPSVSLFRTLNSEDEEAFKKLQKMESRLFMESRNIRPGPDAYRLQALRYLLIQLLLQVLLYPADFSEAALELVICCKKAFPTVAHSDTLENDDDDDDDDDDDDDDDDDCPELMDVMVDTFLSLLPHTSGPMFCVIEQVFRLFCDDITDTGLLQMLRVVKKDLKPARHQAPSSDADEEDDVDDFLAIEDAEETDDAEQVERGNSDDHADDSEGMDGVEQTDEEICTNAEVGSEGTSGDEGTDEEVDKKDEGNSGWRVEAEETDKEASGHARDDSDSDESDDSDGMDDDAMFRMDSYLARIFKERKLASTESAHSQLMPFKLRVLSLLEIYLHRNLGESQVMTICSSLAQAFANYHSVEGSEQLVQRIGAILQKKIFKQKEYPKGEDIQLLTLETLLEKSLKSASRSRYKRVSSMAQQSTFWLLKILQSRDFPKPELERVLEIFQQTLTDYFTNKKSRLKAGFVKDIIRRHPWIGFQLFEFLLEKCQTAKSEFRQVKSLELVDSIIKTWISANKGDEGKDTPTKPKFLKKHLPALCDLIHALLSNLPEKQSRRTEVRRFCSHLLHAISVLKLHKSFLKTLKPDAYSACESQLGPAFLQFKKLNE >DRNTG_05660.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18204757:18215948:1 gene:DRNTG_05660 transcript:DRNTG_05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCISVTVHMRPVCGRTLAREPHWRTYAGASLPVSLAVYRVHVQNHCSSHCSKITVHVRVSCGRKTPIIKKPMDFNTIKTRMEAKDGNGYKNVREIYAGVRLVFTNAMTYNGEKNEYYIMAKTLLCKFEDKWLNLLPMVLKEERKQEETQALAKVNRQIAEEATIIKKAQDTNNEGANRRRNILNLGHSSAKFCPSILYHPSGLKQQIVFSNIAQKATPTIALAVLLQPSPLLAVAVIGLRSHC >DRNTG_00016.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21549083:21551736:-1 gene:DRNTG_00016 transcript:DRNTG_00016.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLAVPLSFSIIHRSHRPKFSAKLQVFPQTLISPSVIRPQSLSSSSSRIQACALNESDRDRVPDEEVKDLGVKAALSMLKFYKREISPLLPPSCRYVPTCSQYSMEAYKKYGVAKGTILTAWRLCRCNPLGGSGFDPPRWFGEENKSEDM >DRNTG_00016.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21549083:21551736:-1 gene:DRNTG_00016 transcript:DRNTG_00016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLAVPLSFSIIHRSHRPKFSAKLQVFPQTLISPSVIRPQSLSSSSSRIQACALNESDRDRVPDEEVKDLGVKAALSMLKFYKREISPLLPPSCRYVPTCSQYSMEAYKKYGVAKGTILTAWRLCRCNPLGGSGFDPPRWFGEENKSEDM >DRNTG_00016.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21550162:21551736:-1 gene:DRNTG_00016 transcript:DRNTG_00016.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLAVPLSFSIIHRSHRPKFSAKLQVFPQTLISPSVIRPQSLSSSSSRIQACALNESDRDRVPDEEVKDLGVKAALSMLKFYKREISPLLPPSCRYVPTCSQYSMEAYKKYGVAKGTILTAWRLCRCNPLGGSGFDPPRWFGEENKSEDM >DRNTG_03962.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20051102:20054986:-1 gene:DRNTG_03962 transcript:DRNTG_03962.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETFTAFLDDENRADLQIAAIGILAFIPKSEVALTQCMTNLEMLPLLLNILRNGAMEAKENTLSVLSRFVDPSDIKMQLIVARMGLFSLLVTLLMSGSIAAKARAAALLGNLSLNSSKLTVLPANTGCWCFRRPSVPVCKLHGGICDATSSLCLIQANALPSLVKLLREVHDHVATYETLKTLGTLVQEDAPYQGAKVLHDAGAIAPMLDVFKWGSSDLIELVLGILEKLFKVHEVADFYYSDAKMPLIGLSTRSNENGILGLKAASVLAELERYSKSRSMPLLGA >DRNTG_03962.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20053771:20054986:-1 gene:DRNTG_03962 transcript:DRNTG_03962.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLETFTAFLDDENRADLQIAAIGILAFIPKSEVALTQCMTNLEMLPLLLNILRNGAMEAKENTLSVLSRFVDPSDIKMQLIVARMGLFSLLVTLLMSGSIAAKARAAALLGNLSLNSSKLTVLPANTGCWCFRRPSVPVCKLHGGICDATSSLCLIQANALPSLVKLLREVHDHVATYETLKTLGTLVQEDAPYQGAKVLHDAGAIAPMLDVFKWGSSDLIELVLGILEKLFKVHEVADFYYSDAKMPLIGLSTRSNENGILGLKAASVLAELERYSKSRSMPLLGA >DRNTG_27321.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:225104:227945:1 gene:DRNTG_27321 transcript:DRNTG_27321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKEMAGVDFSTLPEGCISHVLSLTSPLDACRAAMVSPVFRSAEASDTVWERFLPSDIAGILARAVDRVQYSSKRDLFFRLCGDPILIDDRKMSFFLDRMTGRKCYLLSARLLTIVWGDTPSYWRWIDDPNSRFSEVAELLDVCWLEIRGQLDTKELSPHTKYVAYLVFNLTEATRGLEHPSQETSVKVGAQVSSHTTCLQTIEDALAIRPRRLRPRRWIGLGFGPRLRRTFIEPPVLEPPEQATPATVSTTNIVLPRKREDDWMEIELGQFDTDCGDVGEVDMSFMEVKGGFWKSGLIVQGIEIRPTN >DRNTG_30063.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001541.1:31243:32311:-1 gene:DRNTG_30063 transcript:DRNTG_30063.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSQRAPPETDHIEFAIPEHQARLSDYRDLGSDKLAS >DRNTG_01412.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6707019:6709980:-1 gene:DRNTG_01412 transcript:DRNTG_01412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAKATAKKPDAKAQAVKVAKAVKSGDSTLKKKSKKIRTSVTFHRPKTLKKERNPKYPRISAPPRNKLDHYQILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKGAVKKMYDIQAKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >DRNTG_11023.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:787179:788715:-1 gene:DRNTG_11023 transcript:DRNTG_11023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAQEEHALVVQHHEPRLAALKIELCPTHMSEGCFWKIYFLLLHSRLSKHDAELLSTRQVVEARARWLQELQNQARPELLRTSKGLAFKNEGFGSFSPEEKLSTQGSSSTLYAQRPLLPEFGKASSLPITDFVSEKHPTEIMGINNSIDKSVIMKQSQVRTGNKCLPWSASENSIHDDDDDDDDEWLEEETGEWNNSRSRTITLGIEDVSFSDLEDEDDT >DRNTG_23778.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001277.1:4:1175:1 gene:DRNTG_23778 transcript:DRNTG_23778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDLEISWGDGRGKFLNNGNLLSLSLDKYSGSGFQSKNQYLFGRIDMQIKLVPGNSAGTVTTFFLSSQGPAHDEIDFEFLGNLSGNPYTLHTNVFAQGKGDKEQQFHLWFDPTKDFHTYSIVWNPKHIIFMVDNIPIRDFKNMEERGVGFPKDQPMRMYSSLWNADDWATMGGRVKTNWTQAPFTAYYQNYRANACVVSRGSSGCPGNRLKTASVSDNAWINQEVDSVSYRRMRWVQRKYMIYDYCADIKRFPQRLPAECPTPRPH >DRNTG_14335.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:7438244:7440549:1 gene:DRNTG_14335 transcript:DRNTG_14335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFPLHFHYEDVSRQDPLLKPNHANVMEVPGSCEIRLVPKAASDFRIKYGKLAMEIPRDSIAAHPGSLLRQIRALAGYTQEELVKFLATLPGS >DRNTG_28845.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001449.1:46549:47132:-1 gene:DRNTG_28845 transcript:DRNTG_28845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGSAAASGDEVHGGSSGAGIRDGGRVVTPIMKERSQCGAQCTDFGGNLAKVQT >DRNTG_35288.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:718911:719328:1 gene:DRNTG_35288 transcript:DRNTG_35288.4 gene_biotype:protein_coding transcript_biotype:protein_coding VERTKYRPFASGLLTPYQGVGFLGVQLLLGLGILLQLNNYWYASHLKVREYLELIKWCLSSLSKCFHDLFILIVYACITSLFATCDFSSFS >DRNTG_35288.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:717625:719328:1 gene:DRNTG_35288 transcript:DRNTG_35288.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRDAGCTVNDLLDRDIDVKVERTKYRPFASGLLTPYQGVGFLGVQLLLGLGILLQLNNYWYASHLKVREYLELIKWCLSSLSKCFHDLFILIVYACITSLFATCDFSSFS >DRNTG_35288.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:717625:722201:1 gene:DRNTG_35288 transcript:DRNTG_35288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLTFWPQAYLGLTFNWGALLGWAAIKGNLDPPIVLPLYSSGVFWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDLTKNWISGFGIACIGSLLVIGFNAELAWPYYLFLTGAAGQLGWQVLTVDLSDRSDCNRNPKALLNRQGCAQASVEQVGTGLAHKWTLGPPAHNQPLLTFPKNVPSSENRILITQ >DRNTG_12252.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24933895:24936215:1 gene:DRNTG_12252 transcript:DRNTG_12252.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAGRLGFPFRLRVRRSGEERSNRTHCGKSRCRSSPSVSRSSRTSTCSPELLSWSSMIPLHAFVGWISMLCS >DRNTG_12252.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24934048:24936304:1 gene:DRNTG_12252 transcript:DRNTG_12252.2 gene_biotype:protein_coding transcript_biotype:protein_coding LAGRLGFPFRLRVRRSGEERSNRTHCGKSRCRSSPSVSRSSRTSTCSPELLSWSSMIPLHAFVGWISMLCS >DRNTG_12252.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24934048:24936215:1 gene:DRNTG_12252 transcript:DRNTG_12252.4 gene_biotype:protein_coding transcript_biotype:protein_coding LAGRLGFPFRLRVRRSGEERSNRTHCGKSRCRSSPSVSRSSRTSTCSPELLSWSSMIPLHAFVGWISMLCS >DRNTG_12252.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24934048:24936215:1 gene:DRNTG_12252 transcript:DRNTG_12252.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTCYFLTKMCLFLIIYLAVFTISSCS >DRNTG_05245.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12992933:12994839:-1 gene:DRNTG_05245 transcript:DRNTG_05245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGDAQMVMEDIPQAAARVQDRCAEKKTNTGYIKGCSVALNVWFYELTGTGKKVRFGKIPRMLCYGENTYRKQATIETSLSSLEGKEFPELVPANAKEEIFVGANRRMDAIAPEALARRQDERAASIVRARRRSPTSSPPRARIPRCQRSPPLQRQIVTTPPTTTTIVPPIVAAPPTMAAPSTVAAPPTALGEDVTATLMQACQILMTENEAPGMNEASEFDDDDIIEVVIPRRPHSKRLAKKRRTLLPLSPPPADDKTIATPSAADAVIESVAVDDMAVAVEEILDDVAVAAVEKIVYSLVNEIPDPVELAAECAASKMDTIPENKNKLRVCLLLMLLPRPQLRRS >DRNTG_06596.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:946755:949352:-1 gene:DRNTG_06596 transcript:DRNTG_06596.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPEQNQNCIWPGDGNELARRIEECNAKTLAQKQELQSMNSPKIMMNSQPLDYVQVMHELDRVKHELSKLKLEVSSAIEAKANAESEIKASGSKVRTMEACAEDLQKEIEHINEEHVLVELARMEAERELQQIESQRLAEFSRYSKSIEEANNRIKGLRKEIRQTQELERSLAVTTADVDVLQNEMVLVRAMEKNFSKSNSMSNDEQKKEEQTRRELRNVEAELEVAKKELASYKYQGFQFMSAMDLIRKELIEIFKEKEQIEKNEKKTDSAVRNLNYKLLKAKSQLESALVADTRAKEIVANLSAALQQMKIDTEAVRREREQISEESRSIKIEMKSEETINSDNERLLTTMKELEAAKKAEESALKKLKTVAERAMRNRVCTQLHGSRITISSSEYEYLTSGAAATMDVANKKVAAILAWIEALKAEEKEMSLKIERTKSDIEELHKKKETMVDQMRTVEEDIDRSEAEAFHAQANVSVSQTRKSIKDNGFATSKRRAKIRRSSASSITRLPSRSPSFTIKKRGRVMPTFVLFLRRKRIIRQK >DRNTG_06596.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:947088:949352:-1 gene:DRNTG_06596 transcript:DRNTG_06596.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPEQNQNCIWPGDGNELARRIEECNAKTLAQKQELQSMNSPKIMMNSQPLDYVQVMHELDRVKHELSKLKLEVSSAIEAKANAESEIKASGSKVRTMEACAEDLQKEIEHINEEHVLVELARMEAERELQQIESQRLAEFSRYSKSIEEANNRIKGLRKEIRQTQELERSLAVTTADVDVLQNEMVLVRAMEKNFSKSNSMSNDEQKKEEQTRRELRNVEAELEVAKKELASYKYQGFQFMSAMDLIRKELIEIFKEKEQIEKNEKKTDSAVRNLNYKLLKAKSQLESALVADTRAKEIVANLSAALQQMKIDTEAVRREREQISEESRSIKIEMKSEETINSDNERLLTTMKELEAAKKAEESALKKLKTVAERAMRNRVCTQLHGSRITISSSEYEYLTSGAAATMDVANKKVAAILAWIEALKAEEKEMSLKIERTKSDIEELHKKKETMVDQMRTVEEDIDRSEAEAFHAQANVSVSQTRKSIKDNGFATSKRRAKIRRSSASSITRLPSRSPSFTIKKRGRVMPTFVLFLRRKRIIRQK >DRNTG_06596.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:942028:949352:-1 gene:DRNTG_06596 transcript:DRNTG_06596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPEQNQNCIWPGDGNELARRIEECNAKTLAQKQELQSMNSPKIMMNSQPLDYVQVMHELDRVKHELSKLKLEVSSAIEAKANAESEIKASGSKVRTMEACAEDLQKEIEHINEEHVLVELARMEAERELQQIESQRLAEFSRYSKSIEEANNRIKGLRKEIRQTQELERSLAVTTADVDVLQNEMVLVRAMEKNFSKSNSMSNDEQKKEEQTRRELRNVEAELEVAKKELASYKYQGFQFMSAMDLIRKELIEIFKEKEQIEKNEKKTDSAVRNLNYKLLKAKSQLESALVADTRAKEIVANLSAALQQMKIDTEAVRREREQISEESRSIKIEMKSEETINSDNERLLTTMKELEAAKKAEESALKKLKTVAERAMRNRVCTQLHGSRITISSSEYEYLTSGAAATMDVANKKVAAILAWIEALKAEEKEMSLKIERTKSDIEELHKKKETMVDQMRTVEEDIDRSEAEAFHAQANVSVSQTRKSIKDNGFATSKRRAKIRRSSASSITRLPSRSPSFTIKKRGRVMPTFVLFLRRKRIIRQK >DRNTG_06596.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:946755:949352:-1 gene:DRNTG_06596 transcript:DRNTG_06596.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPEQNQNCIWPGDGNELARRIEECNAKTLAQKQELQSMNSPKIMMNSQPLDYVQVMHELDRVKHELSKLKLEVSSAIEAKANAESEIKASGSKVRTMEACAEDLQKEIEHINEEHVLVELARMEAERELQQIESQRLAEFSRYSKSIEEANNRIKGLRKEIRQTQELERSLAVTTADVDVLQNEMVLVRAMEKNFSKSNSMSNDEQKKEEQTRRELRNVEAELEVAKKELASYKYQGFQFMSAMDLIRKELIEIFKEKEQIEKNEKKTDSAVRNLNYKLLKAKSQLESALVADTRAKEIVANLSAALQQMKIDTEAVRREREQISEESRSIKIEMKSEETINSDNERLLTTMKELEAAKKAEESALKKLKTVAERAMRNRVCTQLHGSRITISSSEYEYLTSGAAATMDVANKKVAAILAWIEALKAEEKEMSLKIERTKSDIEELHKKKETMVDQMRTVEEDIDRSEAEAFHAQANVSVSQTRKSIKDNGFATSKRRAKIRRSSASSITRLPSRSPSFTIKKRGRVMPTFVLFLRRKRIIRQK >DRNTG_21914.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1696136:1698426:1 gene:DRNTG_21914 transcript:DRNTG_21914.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent zinc metalloprotease FTSH 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G15250) UniProtKB/Swiss-Prot;Acc:Q1PDW5] MAPALSLTSLHFPLCKSNNSNKLPVTREFSNQRDANYTNLITRRELLKASGLALGTGVQAVKAEPESPLEGISSRMSYSRLLEYLDAGAVKKVDLFENGTVAIAEIFNPVLNKIQRVKVQLPGLQRELLKKLKDKEVDFAVIPVQPNVGLVVLDFMVNWGFPLLLLGTLLFRGSSGTGSPNLPFGLGRSKAKFQMEPNTGFTFDDVAGVDEAKQDFQEIVEFLKSPEKFTAIGARIPRGVLLVGPPGTGKTLLAKAIAGEAGVPFFSLSGSEFIEMFVGVGASRVRDLFNKAKQNSPCLVFIDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFSGDSGVVVIAATNRPEILDSALLRPGRFDRQVSVGLPDVRGREEILKVHSCNKKLDKNVSLSVIAMRTPGFSGADLANLMNEAAILAGRRGKQKITLKEIDDSIDRIVAGLEGTKMTDGKNKILVAYHEIGHAICATLTAGHDPVQKVTLIPRGQARGLT >DRNTG_26298.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21276265:21278813:-1 gene:DRNTG_26298 transcript:DRNTG_26298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSVLSSPSSMKDKQKKGEVMWPRLVANKLLGRQLGSYSFMADYPSFDIMQEMEDLNSISNPKKIFNHKDTQKYKVFVSTWNVGGVPPTDNLELEDWLDTKNNAYDIYVLGFQEIVPLSAKNVLGAEKSKVAMKWNSLIRATLNKSLSNSQRCEELKVGERVKVYPVKDGCKFTTQDFRCIISKQMVGIFVSVWVRGDLRRFIGYPSVSCVGCGIMSCLGNKGSVSVRFRLHETSFCFVCCHLASGGKEGDEMLRNSDAVEIFSRTGFPRGPFLDQPKKILDHDRVVLFGDLNYRISLPEESTRSLVAQKEWNTLLEKDQLRGEVSEGRAFDGWHEGPILFSPTYKYYPNSDEYYGCIQRKKGEKKRSPAWCDRILWRGNGLKQCLYDRCEAKLSDHRPVRAIFTAEVEVLRSANSLKSFFMSDRFHHIQDPLELFSNEDYSLNRRSSLEL >DRNTG_22374.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6180164:6183170:1 gene:DRNTG_22374 transcript:DRNTG_22374.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPLQKLAFFLWTLRYKVEHCISLTGQEDYNRLRPLSYRAADVFVFAFSLVSWASYENVLNNIMLLEYQWFLLALN >DRNTG_06640.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25471332:25471946:1 gene:DRNTG_06640 transcript:DRNTG_06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKATSLSWLSYRYLHSVLSRSVSGRGDNTTAFSRQDLLYLYSMVCNLPIHLGHIVAGILRHQGQSARVGLLFTGPYVTRLIMGMGLLDAIRGAEKTVVPTPLGFETIRVMGERLESAVGELRTEIAELRAIQTA >DRNTG_23579.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3089118:3093888:-1 gene:DRNTG_23579 transcript:DRNTG_23579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFQPISMSPTTVSLFSSSTSLLATGKPALLSFSFNKHSSLPSSKNKHSRRTSGRGGNIVCLRGGNITVQTSAPQTTTDAAVAFGRQSFPPGFTFGAATSAYQIEGAWNEGGRGPSIWDTFTQQHPEKIADGSNGNIAADSYHRYKEDVKLLKNMNVDSYRFSISWSRILPKGSLKGGINQEGINYYNNLINELIKNGITPYVTLFHWDVPQALEDEYGGFLNKKIMFDFKDYCEICFKEFGDRVKHWITLNEPWSLSSMGYGLGRHAPGRCSQILGCSVGNSIIEPYIVTHNLLLAHGAAARLYKDKYQTTQGGQVGITLVCLWYAPYDQSHRHVEASTRALDFMLAWYLDPLLHGDYPFNMKAIVRDRLPTFSKEEADMIKGSYDFIGINYYTARYARGVPFSHDQPPFLHINESFAEHLEAKDGVPIGESNGSWIYVYPRGLRDLLLYIKRRYENPAIYITENGISNVDKSDIPKEEALADEMRKNYLAVHLAELCDAIREGANVKGYFAWSLIDNFEWEKGYTERFGLNYVDFNTLERTPKDSAKWYSKFLQPKPQN >DRNTG_23316.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1116036:1116719:-1 gene:DRNTG_23316 transcript:DRNTG_23316.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKPSYGLLLRNSQHTTDSGEPSFHLDHKADQLSLMSKT >DRNTG_23316.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1115803:1116719:-1 gene:DRNTG_23316 transcript:DRNTG_23316.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRRGSLRQNSVSNWRDSSAIFSSRTSQVEDDEEALLWAALEKLPTYDRLRRAILPSGSQGRPTEFDVQDLSNQDRDTLIKRLLHASNDNQEFLLKLRDRLDRVGLDLPTIEIRFESLKLQVEAHLGSRALPTILNFL >DRNTG_21468.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2647174:2650412:-1 gene:DRNTG_21468 transcript:DRNTG_21468.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper-transporting ATPase PAA1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G33520) UniProtKB/Swiss-Prot;Acc:Q9SZC9] MEVASLPGIPMLALSRTMRLASKSSLHRRLPLPPIRAQRLLPASISPLCSSFVGLRTPRERFLISFIGNVLRSAALARPGFACVSSSAAGFSGVAGGGAGEGFGGGRGGGGGDGSGGQSAGDGEVKSPLAVDAGEASGMGADVIILDVGGMSCGGCAASVKRILESQPMVSSASVNLATEMAVVWTTPEAKVSQNWKQQLGEKLAMHLTTCGFKSSLRGEGFPIEGVQS >DRNTG_21468.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2646972:2650412:-1 gene:DRNTG_21468 transcript:DRNTG_21468.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper-transporting ATPase PAA1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G33520) UniProtKB/Swiss-Prot;Acc:Q9SZC9] MEVASLPGIPMLALSRTMRLASKSSLHRRLPLPPIRAQRLLPASISPLCSSFVGLRTPRERFLISFIGNVLRSAALARPGFACVSSSAAGFSGVAGGGAGEGFGGGRGGGGGDGSGGQSAGDGEVKSPLAVDAGEASGMGADVIILDVGGMSCGGCAASVKRILESQPMVSSASVNLATEMAVVWTTPEAKVSQNWKQQLGEKLAMHLTTCGFKSSLRGEGFPIEGVQS >DRNTG_21468.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2627613:2650412:-1 gene:DRNTG_21468 transcript:DRNTG_21468.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper-transporting ATPase PAA1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G33520) UniProtKB/Swiss-Prot;Acc:Q9SZC9] MCCVPCGACCSFSRKKWALVDACLPFHWISCITIFVYVSLVLGRRLILDGLRSLFKGSPNMNTLVGLGALSSFAVSSVAALLPRLGWKTFFEEPIMLIAFVLLGKNLEQRAKLKATSDMTGLLSILPSKARLMVNNDTEQISSLVEVPCDTLAVGDHIVVLPGDRVPADGIVKAGRSTVDESSFTGEPMPVTKLPGASVTAGSINLNGTLTVEVRRPGGETAMGDIVRLVEEAQTREAPVQRLADKVAGHFTYGVMAFSAATFMFWSLFGSQLVPAAIYHGSPISLALQLSCSVLVVACPCALGLATPTAVLVGTSLGATKGLLLRGGNVLEKFAGVDTIVFDKTGTLTIGKPVVTSILTTQPEENKFSKKWTENEILNLAAGVESNTNHPVGKAITEAARAAGCHNVKATDGTFKEEPGSGAVATVEGKMVVVGTFSWLSRHGIHHPMPLEAELNNQSVVYVGVDGEFVGTIYLEDQIREDARQVVESLTKQGLSTYMLSGDRKSTAEYVASVVGIPKDKVLSGVKPEEKKRFICELQKGRKGVAMVGDGINDAAALASSDVGIAMGEGVGAASDVSSIVLMGNKLSQLIDALELSKLTMETVKQNLWWAFAYNIVGIPIAAGALLPVTGTMLTPSIAGALMGLSSVGVMTNSLLLRLKLGSNPETPK >DRNTG_26714.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4923819:4929590:1 gene:DRNTG_26714 transcript:DRNTG_26714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVYAVEFSDRKARDLIKMARKQTNVILNFQSLKMPGLSNFGWCSRCYHL >DRNTG_26714.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4923819:4925416:1 gene:DRNTG_26714 transcript:DRNTG_26714.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVYAVEFSDRKARDLIKMARKQTNVILNFQSLKMPGLSNFGWCSRCYHL >DRNTG_25507.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1118658:1129801:-1 gene:DRNTG_25507 transcript:DRNTG_25507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVDFCDADFISRLEILDMARDLKIHVDGCSLWWNEKSSKLARLKELKSDSDVILMASSVGQNKIASVYVKVVTMDDPIGPNKSGNVASVGNSVKAAEANVEDELDEVEEELIDARDEDLDGGEEHVYGKSLQDDELQEEAGDKDLDAAKEHLDSESGQGRDPEDHVVNKGVQDNEGQEAEGDGQESDAKSDIHDSEYSLNSEGSDKAEGDEGDERNSGANQGSDAHVNVGQVVDDDEQDVQTNYADSDELQSCSSTDEEGIKLPKPKYSEFREHADMKDPQFKIGMKFRSFAQFKQAVKNYGIKNMVVMNFKLNSKVKCRAYCKKGCPFYLWASPMMHDKNTIQIKAGHLKHECTWDHNIRHVSVKWIAEEYLEQFRVDPSWKLSGIIQAPGNSKLRT >DRNTG_07344.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8918725:8921059:-1 gene:DRNTG_07344 transcript:DRNTG_07344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPDSALILTTLFIFLAFLHLLHRSFRRTTLPLPPGPKGYPILGALPLIGHTPHVSLANLAKLYGPLMHLKLGNSHIIVISSSSTAHSFFTTLDLQFANRPGKVISAKHISYNGNDMTFSNYTPKWKLFRRLTTLHMLGSKAMSTWSDIRHDEIHRMLQSMHESSQRGQLIVVPETLICATTNIIGRVMLSQRVFDASDPKLGSYKELLKKLMTGGGMFNVGDFVPAVAWMDLQGIQAKLKKGKEMMDQMIKAMLAQHAASAEERKGTPDFADLVMASDLRDDNGDKLSDVNIRGLLANIFKAGTDTSTIIVEWALAEILKNRDILESMQSEMDVIIGRERALQESDIPNLPYLQAVVKETLRLHPSTPLSLPHFSFESCEVNGYYIPANTRLITNIWAIGRDPDVWERPLEFDPMRFLPGGKAAKIEPYGTDFELIPFGAGRRICIGKQVGMVFVQYLLGALVHGFDWRLPDGVVIDMEETPGLVIPKAVPIKAFVTPRLLPTAYV >DRNTG_06448.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29935136:29937040:1 gene:DRNTG_06448 transcript:DRNTG_06448.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML50 [Source:Projected from Arabidopsis thaliana (AT5G04170) UniProtKB/Swiss-Prot;Acc:Q9FYE4] MSGFPHGSSGAGNPYGRPPAGQPYYGPPSAYGGPSQYGSPFASLVPSTFPPGTDPNVVACFQASDQDGSGFIDDYELQRALSSYNQSFSMRTVHLLMYLFTSSNTRKIGPKEFTSLFYSLQNWRNIFERFDRDRSGKIDSSELREALLSMGYAVSPVVLDLLVSKFDKTGGKNRAIEYDNFIECCLTVKGLTEKFKEKDTSYSGSATFSYESFMLTVLPFLIA >DRNTG_17438.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6303247:6304684:-1 gene:DRNTG_17438 transcript:DRNTG_17438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISQTVFDGGRNVIGVIPKALMDRKINGVTIREVKPVIDMHHRKAEMGSYVDAFIALPGGYGTLEELFEVISWAQLGIHKNPDWFVKC >DRNTG_19689.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001040.1:43868:44575:-1 gene:DRNTG_19689 transcript:DRNTG_19689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPIHVGHILTEYLRHQGQCTRVGVLFSDPYIAQIIIGMGLLGAIREARS >DRNTG_23394.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001263.1:43250:45292:1 gene:DRNTG_23394 transcript:DRNTG_23394.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWVYIIASATPKTAGSRGDTVEDSRQVPRSSAAEGPRAYDRIERLESDVREIRTEISELCAIQSAQCTDLMAHFDFLRDLLRSRPSTSPITAPVDPPYVSPPPAVAEEPTQRDTDI >DRNTG_10104.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20787947:20791446:-1 gene:DRNTG_10104 transcript:DRNTG_10104.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHSLLLLVFLSVADSSVVVLGSPELRALMEVKAALDPKGMVLASWTEDGNPCGDFDGVACNKNGKVANISLQGKGLTGSISPAIAQLKCLSGLYLHYNVLSGVIPKEISNLTELTDLYLNVNNLSGNIPEELGNMASLQVLQLCYNQLTGSIPTQLGSLNRLAVLALQSNHLSGAIPASLGDLTQLMRLDLSFNHLFGSIPVKLAQIPQLIVLDLRNNTLSGSVPPELKRLNEGFQYGNNTNLCGVGFSSLRECTSADVLNPNRPEPFGPGANGLTRQGIPQSADVNCNNTQCVKSSKSSAGAIVGGIAAVAVGGLVSGLLAFSWYRRRKQKIGSALEVSDSRLSTDHPKDIYRRSASPLISLEYSNGWDPLADGRSGVGFSQEVSQSFRFNLEEVECATQYFSDVNLLGKSNFAATYKGILRDGTMVAVKSINKTSCRTEESEFLKGLKILTMLRHENLVGLRGFCCSRGRGECFLVYDFISNGSLLQYLDVKGIEYLHSNRGNKPSLVHQSISAEKVLIDHHFKPLLSGSGLHKLLADDVVFSTLKASAAMGYLAPEYTTIGRFTEKSDVYSFGVIILQILTGKHKDPSSSQTRSGV >DRNTG_10104.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20788996:20791446:-1 gene:DRNTG_10104 transcript:DRNTG_10104.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHSLLLLVFLSVADSSVVVLGSPELRALMEVKAALDPKGMVLASWTEDGNPCGDFDGVACNKNGKVANISLQGKGLTGSISPAIAQLKCLSGLYLHYNVLSGVIPKEISNLTELTDLYLNVNNLSGNIPEELGNMASLQVLQLCYNQLTGSIPTQLGSLNRLAVLALQSNHLSGAIPASLGDLTQLMRLDLSFNHLFGSIPVKLAQIPQLIVLDLRNNTLSGSVPPELKRLNEGFQYGNNTNLCGVGFSSLRECTSADVLNPNRPEPFGPGANGLTRQGIPQSADVNCNNTQCVKSSKSSAGAIVGGIAAVAVGGLVSGLLAFSWYRRRKQKIGSALEVSDSRLSTDHPKDIYRRSASPLISLEYSNGWDPLADGRSGVGFSQEVSQSFRFNLEEVECATQYFSDVNLLGKSNFAATYKGILRDGTMVAVKSINKTSCRTEESEFLKGLKILTMLRHENLVGLRGFCCSRGRGECFLVYDFISNGSLLQYLDVKGDENHKLLEWSTRVSIIKGIA >DRNTG_25769.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21169305:21171546:-1 gene:DRNTG_25769 transcript:DRNTG_25769.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAYLGCAIFQKSLAAYIKRYACKNAKTDELWEVLSAESGISVKMMMETWSKQKGYPVIHVKFNDGFLEFRQSHFSLSGSVEDAYWIVPITLFVASYDKQKKLLLDSKMLKLELSEVLPLSKQNDNQTVLIKVNVEQTGFYRVNYDETITALLREGIKCGSLSAVGVLDDSFALCMAGQQPLSSLLSWMDVYKHELDYIVLSRLIT >DRNTG_15337.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13931300:13934780:1 gene:DRNTG_15337 transcript:DRNTG_15337.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LONG AFTER FAR-RED 3 [Source:Projected from Arabidopsis thaliana (AT3G55850) UniProtKB/Swiss-Prot;Acc:A0A1I9LN01] MMLVLLNKKLGPLGRIQDHICFKVSYQVEPGLLSVLIGRLQISTLSKVLKLH >DRNTG_15337.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13897153:13934780:1 gene:DRNTG_15337 transcript:DRNTG_15337.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LONG AFTER FAR-RED 3 [Source:Projected from Arabidopsis thaliana (AT3G55850) UniProtKB/Swiss-Prot;Acc:A0A1I9LN01] MAMVKNFLTILSAPVIAFLAILLRSRLSTTWRWAEVITADVVVVDATIYTSDPVLPFAEAMAIRNGRILRVGNYSDIKDLIGEVTCILNFEGKVVVPGFIDSHVHFLYGGLRMGHVELRGVKSQDEFIEKVKEAMRGKLPGEWVLGSGWNNDIWGGDLPIASWIDDVTPNNPVWLSRVDGHMGLANTLAMNIAGISKYTHDPVGGTISKTMDGEPTGLFADSAMKLLLDVIPEASVDDRRDALIRASKYALMRGVTMVVDVGRYFPGETVDHVWKDLSDVYRWADLAGRMLIRVCLFFPLQTWSSLAALIQENGRALSEWLYLGGVKAFFDGSLGSKSALFYEPYEDDPDNYGIQVTDFDWLLNMTLACDKSSLQVAIHAIGDKANDLVLDLYHSVASSNGIRDRRFRIEHAQHLVPATTTRFGQQRVIASVQPDHLLDDAGSAEQKIGAIRAHTGSYLFQSLLSGGARIAFGSDWPIADINPLKSIKTALTRRLPNWNTAWISSEIIALDDALNAYTISAAHAIFLDHEVGSLSPGKYADFVVFPSTSWEEFADDVPATVFATYINGVQAYP >DRNTG_32597.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22790964:22791500:1 gene:DRNTG_32597 transcript:DRNTG_32597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTEKCDVYSFGIVALEVIHGTHPGDLLSNFSLCMLVKDTLDPRLPLHIADQVITNQVLSVILVAMQCINNDPQARPTMQQVSQRLSSPKFLPAIDNYSFQALTLDHLINFVQVHIDDQVHE >DRNTG_20340.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23346380:23348132:-1 gene:DRNTG_20340 transcript:DRNTG_20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding YVLINWSCNCYNTEKIQPNPNSQDPSSYDLPSPTSNNLST >DRNTG_28946.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001461.1:49850:50583:1 gene:DRNTG_28946 transcript:DRNTG_28946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLWGVWRATLPAAVRVMGDGVFKAFVWAIWLARNDVIFADKCVSPHAVLVKADHFILSWLSAAPDGLRSTLDDSISSIRRSLDFTGPQSEGAMVPQSTGEALDDVVR >DRNTG_11103.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30652620:30655115:1 gene:DRNTG_11103 transcript:DRNTG_11103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNELKKFNMEEDEPVSPTGQYFNSSVLSVSILAFFESDKPIDDSPAIITLENSFLPVNPRFSSIMVKDEHGVQTWKRVNVNLEEHLKIPTFPAGLESYDEYVQEYIAKIAMEPLSQRRPLWELHLIKYPTKHAEGVMVFKLHHALGDGFSLMGALFSMVQRADKPSLPLTFPSSHAKPQNDDDHGSTIWETLCSAFSVGVNTICDFGWSFLKSTLIEDDKTPIRSGDPGVEFRPITISTVTFSLNDVRRIKAKLGGTVNDVMSGIIFYGTQLYLHTASKGSSKYSKVTALVLLNTRVISNYQNLQEMTKPDAKSPWGNQFGFIHVSVPSSTEDLEKGNPLDFVMKARETINAKRNSLGVFLTGRLLEMLRKMRGPEVTAQYVHSTLKNTSMTVSNLIGPIEQMQIAGQNCRGLYFMVVGVPQSLTITMVSYNGKIKVAMGTEKGFIDSELLVSCMEKSFQRIFTVSGAKTC >DRNTG_16801.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1835788:1838206:1 gene:DRNTG_16801 transcript:DRNTG_16801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENNHIKLLYHHFISNAIYIMLVPLLILSFNYLSSSTTDNLLHALWMTMRSNLPVVIICGCFLSFFTVFYIVSHPRQVYLVDFSCFKPGEDYKVTRETALKQFMINDQFTEESEAFQRKMLERCGIGQATYLPESLLGKELDICMTSGRKETTMVIFGAVDNLLKKTGVKPKDIGVLIVNCSLYNPTPSLSAMIVNHYKLRGNVISYSLGGMGCSAGLISVDLAKQLLQVHRNSCALIVSTENITLNGYMGNNRSMLVTNCLFRVGGAAILISNLPSDKCRSKYVLSNTVRTQKGAYDHCYNCVVQEEDEDGYVGVALSKSLMSVAAETLKANITTIGPLVLPMSEQLLFIATLFLRKVFNLNIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLNLRNWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRINKGDRVWQIAFGSGFKCNSAVWRALKVVNAMEEKDNPWIDEINDFPVVVPKMVSLSV >DRNTG_23820.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29529045:29529617:1 gene:DRNTG_23820 transcript:DRNTG_23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTIFSPSFTISSASLSITWSLLRSPNNGMSCASAGTGTARNGAARRRSDRRRVREWGEGGGEAARQWMAVRRGREWRRRSEGDVEAIGEDESFEVFVVASRDF >DRNTG_25734.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20024316:20024749:1 gene:DRNTG_25734 transcript:DRNTG_25734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVVILDEFLRSSDSLHDAQKTKSSSSPRCDERT >DRNTG_34530.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21124158:21126440:-1 gene:DRNTG_34530 transcript:DRNTG_34530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLPRGCRFHPSGFELIEYLSDKVSGCEDPGQGFIKDDVDAYASSPDLLFAKFCPDDEDGRLFCFTPIKFRITHHFRCRRTPDGHWKAIHATQMIKDRNGNLVGRMQKLVYCHGRTWLFGRRTNWTMKEYTLHTNPPQNVALCEIYSSLKKPNKESDGSLKPIQNQSSLSYSASSKDDVSNFASSQGSPSIHGVSISNSSGVGACSGSVNIDGTGGAGFWSLDEVLSSFSGDDLLSEAELYLPDNWCPDGGGTDIFKFEDTAGSSNWPEFFPEKVNSPKLDTELCNSDIDGTKPDSKM >DRNTG_00080.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21115275:21116418:1 gene:DRNTG_00080 transcript:DRNTG_00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLILKWNAFANHIPQEISHLKNLQILDLSSNNLSGPIPKGLSNLTVMQMLPETTNWLPILLQNSETVFLSFRGREDAYGENRIGYVNYIDFSSNKLLENIPEELTSLYGLQSLNLSGNTLEGEIPDKLGRMKQLQSLDLSRNELSGSIPATLSNLAFLSLFNVSHNNLSGRIPSGRAK >DRNTG_16306.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4423144:4425402:-1 gene:DRNTG_16306 transcript:DRNTG_16306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPKRNSWLRGCPLAFRGHDLCGLKGYRLGLSWMIRFSGDSFSENEKFVSWFRQAWPYIRGHRGSIFVVVISGEIVDSPHLDSILQVLNVLCGVIVELLRDLIVTGLLQASVDGLLRVILDGGLSRVFTPNDAKLLEEDLEILK >DRNTG_26771.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18400485:18401565:1 gene:DRNTG_26771 transcript:DRNTG_26771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHPCPPHGVTYEDDHQGAIHASIEGVDEAPHEKKPVMKKVKDKVKKIKNTLEKKMHGEDQYHHHELDVEEDDDDDNDGYEEDDEEQKTDPDVHGVGHHEPLDEVEIKDRVVVGESIAPLEVDPDAPAMETKAHRDGGEEVDLSQPLLTKLEEMTISSQHKKEGEREATRPHEETSAMEYGKKVANMVLEKVKPRGRVEEGEKVADKGVSVREYLVEKLRPGEDDKALSEVISEMVHGTKEEEEEKKIMKGERKMVERIRQTMASLLGASPEHDKQQEVVMQREAERERKVQEEDLQ >DRNTG_05895.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18700032:18700937:-1 gene:DRNTG_05895 transcript:DRNTG_05895.1 gene_biotype:protein_coding transcript_biotype:protein_coding QILVVPPSKSLALYANFHNEDQPANHIGQASPKIQLITDPLVQLASPIHCCSPKFSH >DRNTG_12094.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27270758:27275277:-1 gene:DRNTG_12094 transcript:DRNTG_12094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKENNQNGSVMVNDNDKTVQLWRSRKRRTMTRLILDLEKQEGNDRDTRQISAKMMEERKEIGSIPDLEMQEGNDHITAKMMEKREEIGLL >DRNTG_32530.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20249183:20251139:-1 gene:DRNTG_32530 transcript:DRNTG_32530.7 gene_biotype:protein_coding transcript_biotype:protein_coding IRFFAVNDERIKATKNSNGSHEPYDHRKSKRHHLSNSIRKHNGKRRSE >DRNTG_32530.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20249080:20251139:-1 gene:DRNTG_32530 transcript:DRNTG_32530.6 gene_biotype:protein_coding transcript_biotype:protein_coding IRFFAVNDERIKATKNSNGSHEPYDHRKSKRHHLSNSIRKHNGKRRSE >DRNTG_32530.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20249183:20251243:-1 gene:DRNTG_32530 transcript:DRNTG_32530.3 gene_biotype:protein_coding transcript_biotype:protein_coding IRFFAVNDERIKATKNSNGSHEPYDHRKSKRHHLSNSIRKHNGKRRSE >DRNTG_32530.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20249080:20251139:-1 gene:DRNTG_32530 transcript:DRNTG_32530.5 gene_biotype:protein_coding transcript_biotype:protein_coding IRFFAVNDERIKATKNSNGSHEPYDHRKSKRHHLSNSIRKHNGKRRSE >DRNTG_32530.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20249183:20251243:-1 gene:DRNTG_32530 transcript:DRNTG_32530.4 gene_biotype:protein_coding transcript_biotype:protein_coding IRFFAVNDERIKATKNSNGSHEPYDHRKSKRHHLSNSIRKHNGKRRSE >DRNTG_32530.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20249080:20251243:-1 gene:DRNTG_32530 transcript:DRNTG_32530.2 gene_biotype:protein_coding transcript_biotype:protein_coding IRFFAVNDERIKATKNSNGSHEPYDHRKSKRHHLSNSIRKHNGKRRSE >DRNTG_32530.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20249080:20249852:-1 gene:DRNTG_32530 transcript:DRNTG_32530.9 gene_biotype:protein_coding transcript_biotype:protein_coding IRFFAVNDERIKATKNSNGSHEPYDHRKSKRHHLSNSIRKHNGKRRSE >DRNTG_32530.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20249183:20251139:-1 gene:DRNTG_32530 transcript:DRNTG_32530.8 gene_biotype:protein_coding transcript_biotype:protein_coding IRFFAVNDERIKATKNSNGSHEPYDHRKSKRHHLSNSIRKHNGKRRSE >DRNTG_32530.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20249183:20249852:-1 gene:DRNTG_32530 transcript:DRNTG_32530.10 gene_biotype:protein_coding transcript_biotype:protein_coding QILQATYLLHINIFSNKTDKLLFSSFTISKSATIIYILYKIYATYINN >DRNTG_32530.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20249080:20251243:-1 gene:DRNTG_32530 transcript:DRNTG_32530.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRFFAVNDERIKATKNSNGSHEPYDHRKSKRHHLSNSIRKHNGKRRSE >DRNTG_30886.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21276125:21280401:1 gene:DRNTG_30886 transcript:DRNTG_30886.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQSFSFLSPSLPKTLAISRVRVYQSFSRNLPNPSLHFLPSSPSPRLPCLAMAAVSSSGAFNGSEPLGCRIGEVRRVTKETNVFVNVNLDGSGVSECSTGIPFLDHMLDQLASHGLFDLHVKATGDIHIDDHHTNEDVALAIGTALLQALGDRKGIHRFGHFSAPLDEAAIDVILDLSGRPHLNYDLHIPTERVGTYDTQLVEHFFQSLVNTSGMTLHILQRAGKNSHHIIEATFKAFARALRQATEYDLRRQGTVPSSKGVLSRS >DRNTG_30886.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21276125:21280401:1 gene:DRNTG_30886 transcript:DRNTG_30886.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQSFSFLSPSLPKTLAISRVRVYQSFSRNLPNPSLHFLPSSPSPRLPCLAMAAVSSSGAFNGSEPCCRIGEVRRVTKETNVFVNVNLDGSGVSECSTGIPFLDHMLDQLASHGLFDLHVKATGDIHIDDHHTNEDVALAIGTALLQALGDRKGIHRFGHFSAPLDEAAIDVILDLSGRPHLNYDLHIPTERVGTYDTQLVEHFFQSLVNTSGMTLHILQRAGKNSHHIIEATFKAFARALRQATEYDLRRQGTVPSSKGVLSRS >DRNTG_08708.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18084171:18086039:1 gene:DRNTG_08708 transcript:DRNTG_08708.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTSTSSDTSGLSAGATAATIALAVVGGIVVIVIAIAIIRCIQKNKMQARNTSMQITSVSAVNVDSNIDMVTIERFLADIVKERPVRFTTQNIIDFTQNFAQKLGSGGFGIVYKGQFPNGVQIAVKVLHKTQDKRAEEQFMAEIGTIGRTYHINLVRLYGFCFDNTLKALVYEYMEKGSLDSYLFDENQKLKWEKLHEIAIGTAKGIRYLHEECQKKIVHYDIKPGNVLIDANFSPKVADFGLATLCDRDNSHISLTGGRGTPGYAAPELWMPYPVTHKCDVYSYGMLLFEILGRRRNLNLSQAESQEWFPRWIWHKFEGGELDGVMTNCRIEHSNRDKAERMCKVALWCVQYQPDTRPSMNSVVRMLEGEEEIIAPTNPFQYMMPFDGSSSQWSESRGYSTSTATTTNESEANILIHQNQQ >DRNTG_15119.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18193337:18197722:-1 gene:DRNTG_15119 transcript:DRNTG_15119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKKLYPVIDAVEPLTGLTGEVHEREEEKDRVSVVHELMREKPEYSPRVSDMDELKNDDSSPLAGDDSVGTETSQSNEPDTTMIPDSVPRKFGKYFYYDSPLYEETGCWIPVSVPPLPESDHEDWQKGFFSNGAYFPEGEIGWDYFAGENKEMTMWDVVSEMLIMARGKVSALTSGRVCGMSWISAQFLDQAWSEMVHNLRETNIVINKEILDAEPPKWLADSSSSACMLCNVRFHPIMCSRHHCRLCGGLFCSECSKGRSLLPSKFRTADPQRVCDVCGVRLESVQPLLRDQVSHASQLPTHDLTDLSTLRSWLNFPWAQSMEHEIYKAANTIRGYNKVGSLRPEKPIPDAILKQAKGLAILSVVKVGVMVTYNIGTGLVIARREDGSWSPPSAISSFGIGWGAQAGGELTDFIIVLRNKDAIKTFSGDAHLSVGAGCSAAAGTIGRAVEADLRAGDGGYAACYTYSCSKGAFVGCSLNGSMVATRTSENCRFYGDSSIKASDILLGSLPKPPAAATLYNALSDLYQKLEK >DRNTG_17905.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22359273:22359803:1 gene:DRNTG_17905 transcript:DRNTG_17905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDVKHFLLLLLLFVLALVSQGHASYSLGKEKVTHLHFFYQENLSGDHPTAVLVAKPNNTTINAFNLASFGEVYVLDTPLTETQDPNSKVVGQLQGLSVSVVRDGTILVFMVDLGFTSGEFNGSSVRGRSFQGKWREVRKWGKCQFAEVGESDTLPGFLMFIYQKVRIKSKDLGVG >DRNTG_14502.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6275180:6276587:1 gene:DRNTG_14502 transcript:DRNTG_14502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSFRRVELGAIIYALVSFLPVTGQDPSLTPEKSGIDIIEQGFFLKPRALFTLPIGDAGPGIGVGIKKRARQHTMNAIKLLRTTASMGQVKVLERKSSMPSERVMRDRRTITGEMASQGWTAERDRNRHLALAAAAAATATATAIVDERRPSPSRSGHEDERLQCCPSVQRRYVIENDRRQQIRMTAATMLAMATAPQTHLSSTPLHALITPSSQYLLVSQQRRHQVAVTTPTKASGILDGIISAIQVTTAVSRSSGERQMACLAALFQPLKQP >DRNTG_26501.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:708981:710747:1 gene:DRNTG_26501 transcript:DRNTG_26501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSYHLRSLSPKKPRTTTTTTTTTMASLSLRFLIYLFLLLLCIHSSEQQQQPLNPVTVPAHPTSDDLFNQTCHLDLSAELFGGVAEACGQTLDRGRCCPVLAAWLFAAHARSALDLHSGQEQTPSINPTAAGDVLPVMPDSDSQRCVDALQSSLTSRDIRLPKPNATCDTVLCFCGIRLHDLGSLSCPAAFNVSGHRNATPTPALKELERSCGNASYAGCTRCLGALHKLEENEKNETRSSRMMNRDCQLMGLTWLLTRNRTAFIPTVSAVLRAILYTNHPQQYTYTCSPDQENMPLAVDSLQFRNLDSSSASYYPRFALNHYPYSIHVLIFYLLLFQY >DRNTG_02222.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1173812:1176650:1 gene:DRNTG_02222 transcript:DRNTG_02222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKKTREPKEENVTLGPTVRDGEHVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >DRNTG_19812.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5432881:5433882:1 gene:DRNTG_19812 transcript:DRNTG_19812.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNTANRSRGRRRTSIEDAATAFVGKWAAEIRDPVKAVRKWLGTFDTAEEAARAYDSAAIRFRGPRAKLNFPFPEGLQGEERRDEEGIWDGLQDLFTLDSGSGSGFELDRPPPASSS >DRNTG_09143.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26889473:26890701:1 gene:DRNTG_09143 transcript:DRNTG_09143.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFKSIQNSLRSRRAIGAHGELSGDGTASAGSAAAAASHAPRPSASAASDVSVRPSGAEASHPPRPVARRATRPPSGHRALLHHRLHQHRSSQHAEAGGELRDDDERTHGWISRGRWLKERWSSRGRCLSSVRWNACLLA >DRNTG_09143.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26882743:26890701:1 gene:DRNTG_09143 transcript:DRNTG_09143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAAGIFIPELLTKLGILNTPSWYTAGSLEYFTDTTTLFIIELILIGWAEGRRWADILKPGCVNVDPIFPNNKLTGTDVGYPGGLWFDPLGWGTGSPEKIKELRTKEIKNGRLAMLAVMGAWFQAIYTGTGPIDNLFAHLADPGHATVFAVSWIPPSPPKKKIK >DRNTG_08520.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28784733:28789753:1 gene:DRNTG_08520 transcript:DRNTG_08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFSWLLLQSSFSSFLALWRASARRASLAPIARTKTCKPALANAVFSTVSFLLGAVTSVVSGFLGMKIATYANARTTLEARKGVGKAFIAAFRSGAVMGFLLAANGLLVLYISINLFKLYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHDLTAMLYPLLISSMGIIVCLITTLFATDFFEIKQVKEIEPALKKQLIISTAIMTVGIAIVSWLALPPSFTIFNFWSPKRSQKLGAVLLCCNWFMGRPCYWICH >DRNTG_04166.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:477037:481592:1 gene:DRNTG_04166 transcript:DRNTG_04166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGLPFLLLVSLMVLASLEACSATLSPAGINYEVVALMAIKFGLNDPHNVLENWDINAVDPCSWRMVTCSADGYVSALGLPSQSLSGTLSPGIGNLTNLQSVLLQNNAISGPIPADIGKLGKLQTLDLSNNRLSGGIPGSLGNLKNLNYLRLNNNSLTGSCPDSLADIKGLTLLDLSYNNLSGSPPNVSARTINIIGNPLICGPNSVNNCSSVSPDPLSFPPDDLKTHSQSGGMRPRHVAIAFGATVGFLTILVIAVFLLLWRRQRRNQQIFYDVNDQYDPEICLGHLKRFSFKELRAATNHFHPKNILGKGGYGIVYKGCLRDGTIVAVKRLKDYNAIGGEVQFQTEVEMISLAVHRNLLRLCGFCTTENERLLVYPYMPNGSVASQLREHVHGRPALDWTLRKQIALGTARGLLYLHEQCDPKIIHRDVKAANILLDEDFEAIVGDFGLAKLLDHRESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDFGRAANQKGVMLDWVKKLHQEDKLSMMVDKDLKNNYDRVELEEIVQVALLCTQYHPSQRPKMAEVLRMLEGDGLAERWEASQKVDTPKFRSSEQPPLKYMDFVDESSLVEAIELSGPR >DRNTG_26863.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2084588:2085703:1 gene:DRNTG_26863 transcript:DRNTG_26863.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCLSPGVVSIRKLCSVVVQASTDDVNSDVASSSNPLFSLFNTPPWITWVGGAFVVLSVPFYRRIRKAQDQVEATVEEVAETVENMAEKVEKISGDMADALPEGALKEMLLKVEETADRVDQTAEKTQAILEKLDQIEAEVDELIEPLAKEEAALKEREERNKA >DRNTG_26863.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2084588:2085743:1 gene:DRNTG_26863 transcript:DRNTG_26863.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCLSPGVVSIRKLCSVVVQASTDDVNSDVASSSNPLFSLFNTPPWITWVGGAFVVLSVPFYRRIRKAQDQVEATVEEVAETVENMAEKVEKISGDMADALPEGALKEMLLKVEETADRVDQTAEKTQAILEKLDQIEAEVDELIEPLAKEEAALKEREERNKA >DRNTG_07056.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3823682:3827044:-1 gene:DRNTG_07056 transcript:DRNTG_07056.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L15, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25920) UniProtKB/Swiss-Prot;Acc:P25873] MASLRSLSAPSPSSHPLLTPFKGTIAPLLTTFNRFPLQNLQSSRRPFTLRAAAASVSSPVVLPPARFRLDNLGPQPGSRKRNKRKGRGIAAGQGNSCGFGMRGQKSRSGPGVRKGFEGGQMPLYRRLPKLRGIAGGMHAGLPKYVPFNIKDLETAGFKDGDEVSLESLKERGLINPSGRERSLPLKILGDGDLSVKLNIKARAFSAAAKAKLESSGCTLTVLPGRRKWVKPSVAKNLIRAEEYFAKKKENAVSE >DRNTG_32883.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23510943:23514947:1 gene:DRNTG_32883 transcript:DRNTG_32883.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAQEQRRRPMKPATIHDCALYNDLLGLRSKLEENPSLLNARSGYMMQTPLHVAAGHNNYGIVKFLLEWKGAEKIELEATNTFDETPLHMAAKNGCNESAKILIEHGAFLEAKAKNGMTPLHLAAWYAHQTDSCDTVSTLLEHNANCSATDDVCFA >DRNTG_32883.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23510943:23514947:1 gene:DRNTG_32883 transcript:DRNTG_32883.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAQEQRRRPMKPATIHDCALYNDLLGLRSKLEENPSLLNARSGYMMQTPLHVAAGHNNYGIVKFLLEWKGAEKIELEATNTFDETPLHMAAKNGCNESAKILIEHGAFLEAKAKNGMTPLHLAAWYAHQTDSCDTVSTLLEHNANCSATDDDQLTPLDHIKKGHRNDKLLALIQYHIDKQKKRKAMETCSKVKEKMDEFEAAISDIVGLQELKMQLRRWARGMLFDEKRRTLGLSISARRPPHMAFMGNPGTGIYYVHGHCYMMV >DRNTG_32883.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23510943:23514947:1 gene:DRNTG_32883 transcript:DRNTG_32883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAQEQRRRPMKPATIHDCALYNDLLGLRSKLEENPSLLNARSGYMMQTPLHVAAGHNNYGIVKFLLEWKGAEKIELEATNTFDETPLHMAAKNGCNESAKILIEHGAFLEAKAKNGMTPLHLAAWYAHQTDSCDTVSTLLEHNANCSATDDDQLTPLDHIKKGHRNDKLLALIQYHIDKQKKRKAMETCSKVKEKMDEFEAAISDIVGLQELKMQLRRWARGMLFDEKRRTLGLSISARRPPHMAFMGNPGTGKTMVARALGKLLHMVGILPSDKVTEVQRTDLVGEFVGHTGPKTRKKIMEADGGILFVDEAYRLIPMQKSDDKDYGLEALEEIMSVMDSGRIVVIFAGYREPMKRVIESNEGFCRRVTKFFYFDDFTTTELAQILHIKMTDVDEKSTLYGFKLHPSCTLEAVAELINRETTEELRKQMNGGLIEPLLMNARENLDLRLDFRLHRC >DRNTG_15265.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4444967:4449685:1 gene:DRNTG_15265 transcript:DRNTG_15265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRCFAVLTKTFGPIMTLRLSQVTNIVVTSPEIAREVFQKNDLIFSSRSVPDAIRALSIHEKSLVRLPGNQRWRNLHKICTTGLFTSRSLNSTKSLRSQKVRELIAYVSECCASQTAVDVRYVSSTTILNLLSNTLFSADFATLSSESKLEFVILT >DRNTG_15265.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4444967:4447529:1 gene:DRNTG_15265 transcript:DRNTG_15265.2 gene_biotype:protein_coding transcript_biotype:protein_coding VLSCEALGISHSKVLQVKRSNHNYHASTREPTCCDNHRDNPKERYSTT >DRNTG_13129.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000592.1:18400:19121:1 gene:DRNTG_13129 transcript:DRNTG_13129.1 gene_biotype:protein_coding transcript_biotype:protein_coding RCRRTQNSGVLVTSSTISYASARDANPLEGNVDYYGILTDIIELDYFNKFKVVLFRCDWADVNTSRGVKNDKYGFTMVNFSRTIHTGEHILDEPYV >DRNTG_15887.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17986275:17986841:-1 gene:DRNTG_15887 transcript:DRNTG_15887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLCFSPRSILTPPRHCLLSSSGHRPPSPSDVDEDDPHPSSRHRRSLLSNADQIFFILQQDDLGFESQTAFNDLWPNLTATLIHVVLRLIHSSMTTTNKSRSAKLAFKFFAWASD >DRNTG_09274.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21613022:21614846:-1 gene:DRNTG_09274 transcript:DRNTG_09274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNLSHSVSALAQFSRLKQKGRRTLNLQTSKKSKGQPIFFSAKKKKLSK >DRNTG_20979.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11402509:11407701:-1 gene:DRNTG_20979 transcript:DRNTG_20979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSLMDPKPSLSSPSPSPSPSPIPSPASGGAHRSFSKMVGTPQRFIYSDRFIPSRAGSNFALFDLTDTTPAREDSSSTYNTLLRSVLFGPDHVSVVPPSTPDRSASSPSVSSSSTPSPASSTPGRNIFRFRSEIPRRSLYHAFDDELPGFVPPQPKAPRKVSRSPYKVLDAPALQDDFYLNLVDWSSHNVLAVGLGNCVYLWNACSSKVTKLCDLGEDDSVCSVGWAQRGTHLAVGTNLGKVQIWDASRCRRIRTMDGHRYRVGAQAWSSSVLSSGSRDKTILQRDIRAQEDFVSKLTGHKSEVCGLKWSYDNRELASGGNDNRLFVWNQHSTQPVLKYCEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNSHLSSMDTGSQVCNLAWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHSYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKFQNTGSEIGASSLGRTQIR >DRNTG_01979.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13237320:13241349:-1 gene:DRNTG_01979 transcript:DRNTG_01979.8 gene_biotype:protein_coding transcript_biotype:protein_coding MENSDVSDPMLLNASDPALPAMDPHAALFLVLGYLRLPDLLAFQSVCRLFREAIAGDRLLWMRITVEPPLSGRLTDDALLELTSRAGGALKSLALLDCWKITDAGLLQVIDRNPTISELFIPGCTYLTADGVVSVVQRLSERKGKLKRLRLRGLCNISRDHLDSLNYYLGINDHPQCSKPSVYNHWMSLPLNDNDDRPIDMDICPKCNNAGLVFDCTRENCRLVTLVWRRLQL >DRNTG_01979.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13237088:13241437:-1 gene:DRNTG_01979 transcript:DRNTG_01979.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCHQMENSDVSDPMLLNASDPALPAMDPHAALFLVLGYLRLPDLLAFQSVCRLFREAIAGDRLLWMRITVEPPLSGRLTDDALLELTSRAGGALKSLALLDCWKITDAGLLQVIDRNPTISELFIPGCTYLTADGVVSVVQRLSERKGKLKRLRLRGLCNISRDHLDSLNYYLGINDHPQCSKPSVYNHWMSLPLNDNDDRPIDMDICPKCNNAGLVFDCTRENCRLVTLVWRRLQL >DRNTG_01979.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13237320:13241437:-1 gene:DRNTG_01979 transcript:DRNTG_01979.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCHQMENSDVSDPMLLNASDPALPAMDPHAALFLVLGYLRLPDLLAFQSVCRLFREAIAGDRLLWMRITVEPPLSGRLTDDALLELTSRAGGALKSLALLDCWKITDAGLLQVIDRNPTISELFIPGCTYLTADGVVSVVQRLSERKGKLKRLRLRGLCNISRDHLDSLNYYLGINDHPQCSKPSVYNHWMSLPLNDNDDRPIDMDICPKCNNAGLVFDCTRENCRSMKYRWSVCRGCFFCIARCQECGGCIDFEELGEETICAHLLCIDCWLQLPKCSLCNRPYCKGHINFRGSSPALFVCDQCGYDEFTTNDIM >DRNTG_01979.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13237088:13241349:-1 gene:DRNTG_01979 transcript:DRNTG_01979.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENSDVSDPMLLNASDPALPAMDPHAALFLVLGYLRLPDLLAFQSVCRLFREAIAGDRLLWMRITVEPPLSGRLTDDALLELTSRAGGALKSLALLDCWKITDAGLLQVIDRNPTISELFIPGCTYLTADGVVSVVQRLSERKGKLKRLRLRGLCNISRDHLDSLNYYLGINDHPQCSKPSVYNHWMSLPLNDNDDRPIDMDICPKCNNAGLVFDCTRENCRSMKYRWSVCRGCFFCIARCQECGGCIDFEELGEETICAHLLCIDCWLQLPKCSLCNRPYCKGHINFRGSSPALFVCDQCGYDEFTTNDIM >DRNTG_01979.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13237088:13241349:-1 gene:DRNTG_01979 transcript:DRNTG_01979.5 gene_biotype:protein_coding transcript_biotype:protein_coding MENSDVSDPMLLNASDPALPAMDPHAALFLVLGYLRLPDLLAFQSVCRLFREAIAGDRLLWMRITVEPPLSGRLTDDALLELTSRAGGALKSLALLDCWKITDAGLLQVIDRNPTISELFIPGCTYLTADGVVSVVQRLSERKGKLKRLRLRGLCNISRDHLDSLNYYLGINDHPQCSKPSVYNHWMSLPLNDNDDRPIDMDICPKCNNAGLVFDCTRENCRLVTLVWRRLQL >DRNTG_01979.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13237088:13241579:-1 gene:DRNTG_01979 transcript:DRNTG_01979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCHQMENSDVSDPMLLNASDPALPAMDPHAALFLVLGYLRLPDLLAFQSVCRLFREAIAGDRLLWMRITVEPPLSGRLTDDALLELTSRAGGALKSLALLDCWKITDAGLLQVIDRNPTISELFIPGCTYLTADGVVSVVQRLSERKGKLKRLRLRGLCNISRDHLDSLNYYLGINDHPQCSKPSVYNHWMSLPLNDNDDRPIDMDICPKCNNAGLVFDCTRENCRSMKYRWSVCRGCFFCIARCQECGGCIDFEELGEETICAHLLCIDCWLQLPKCSLCNRPYCKGHINFRGSSPALFVCDQCGYDEFTTNDIM >DRNTG_01979.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13237320:13241349:-1 gene:DRNTG_01979 transcript:DRNTG_01979.7 gene_biotype:protein_coding transcript_biotype:protein_coding MENSDVSDPMLLNASDPALPAMDPHAALFLVLGYLRLPDLLAFQSVCRLFREAIAGDRLLWMRITVEPPLSGRLTDDALLELTSRAGGALKSLALLDCWKITDAGLLQVIDRNPTISELFIPGCTYLTADGVVSVVQRLSERKGKLKRLRLRGLCNISRDHLDSLNYYLGINDHPQCSKPSVYNHWMSLPLNDNDDRPIDMDICPKCNNAGLVFDCTRENCRSMKYRWSVCRGCFFCIARCQECGGCIDFEELGEETICAHLLCIDCWLQLPKCSLCNRPYCKGHINFRGSSPALFVCDQCGYDEFTTNDIM >DRNTG_01979.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13237088:13241437:-1 gene:DRNTG_01979 transcript:DRNTG_01979.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCHQMENSDVSDPMLLNASDPALPAMDPHAALFLVLGYLRLPDLLAFQSVCRLFREAIAGDRLLWMRITVEPPLSGRLTDDALLELTSRAGGALKSLALLDCWKITDAGLLQVIDRNPTISELFIPGCTYLTADGVVSVVQRLSERKGKLKRLRLRGLCNISRDHLDSLNYYLGINDHPQCSKPSVYNHWMSLPLNDNDDRPIDMDICPKCNNAGLVFDCTRENCRSMKYRWSVCRGCFFCIARCQECGGCIDFEELGEETICAHLLCIDCWLQLPKCSLCNRPYCKGHINFRGSSPALFVCDQCGYDEFTTNDIM >DRNTG_30725.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:10923274:10924487:1 gene:DRNTG_30725 transcript:DRNTG_30725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQEMERQDDEEKESPYELKIGSMQIINALKAQMEKPRESKGRLFVEAKVKDQVMNALIDPGATHNFLEEKEARKLGIPYKMEHGMLKSINVEPTPIHGVARGVKVSLGDWHGTLDFSIVKMDEYTMVLGMDFFDQTKAVPVPFTDTMWILEGMMRMVPLKREAHLKEKKISAMTLVDNGGPSFNKGKWTESRKPRSKKRRGRRHDRWGSLSRPGTMGTGTTCQGA >DRNTG_00634.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000047.1:9741:11110:1 gene:DRNTG_00634 transcript:DRNTG_00634.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDIYIPRDERFGHLKMSDFLAYGIKALVKSVFPVLNSIFDKTPNEFDSFQDVLNLYEGGLKLPQIDLINQLKEQIPFELIKEIITIDGDALLKFPVPQVIREDKWAWRTDEEFGREMLAGENPVIIQLLKEFPPTSKLDPDRYGGQTSKITASHNENKLNGLSVDE >DRNTG_30082.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22821417:22826167:-1 gene:DRNTG_30082 transcript:DRNTG_30082.12 gene_biotype:protein_coding transcript_biotype:protein_coding MVILAAGKGSGSVEVCICHISNNEFQSIGIYDAHDQKVTGLAWSFNGCCLYSCSQDNSVRCWALLQNSVLEVFFPSATRKSSTKYLQVSDPCFGIALSPGGLMITLVHSFDANLLNPMYQARTQKAAAEFFWIGGQSLMIPSEKHLDYNPETISGSSENDLMFWESNILWSLECFENVDKPLSLWDIIAALVHFRKTIPLFLENLLIKWISKWFGLESVDSIEKILSHAGNMLSKISSRKLHLLNIICRRLMLIEAKASVPNGIQDKSSGLDDDEKILWDNLLNKVEGELRQRLVAFSFGAVLSHAASSSSVTSDVTNWLPNGIVQMEQWLSINLDFVHPRLKLLRAKIKEIKNSDCIKEESCSFCSASVPFESPEIATCASNKLNKNHQLPRCAVSMQLCSITEPMWFCVCCRRWAGRLIPQSYFTMLGTLLDEPLTVHGSLKPLCPFCGVLLQRLLPDFLLSTSPV >DRNTG_30082.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22821417:22823460:-1 gene:DRNTG_30082 transcript:DRNTG_30082.16 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSEKHLDYNPETISGSSENDLMFWESNILWSLECFENVDKPLSLWDIIAALVHFRKTIPLFLENLLIKWISKWFGLESVDSIEKILSHAGNMLSKISSRKLHLLNIICRRLMLIEAKASVPNGIQDKSSGLDDDEKILWDNLLNKVEGELRQRLVAFSFGAVLSHAASSSSVTSDVTNWLPNGIVQMEQWLSINLDFVHPRLKLLRAKIKEIKNSDCIKEESCSFCSASVPFESPEIATCASNKLNKNHQLPRCAVSMQLCSITEPMWFCVCCRRWAGRLIPQSYFTMLGTLLDEPLTVHGSLKPLCPFCGVLLQRLLPDFLLSTSPV >DRNTG_30082.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22821417:22826300:-1 gene:DRNTG_30082 transcript:DRNTG_30082.11 gene_biotype:protein_coding transcript_biotype:protein_coding MVILAAGKGSGSVEVCICHISNNEFQSIGIYDAHDQKVTGLAWSFNGCCLYSCSQDNSVRCWALLQNSVLEVFFPSATRKSSTKYLQVSDPCFGIALSPGGLMITLVHSFDANLLNPMYQARTQKAAAEFFWIGGQSLMIPSEKHLDYNPETISGSSENDLMFWESNILWSLECFENVDKPLSLWDIIAALVHFRKTIPLFLENLLIKWISKWFGLESVDSIEKILSHAGNMLSKISSRKLHLLNIICRRLMLIEAKASVPNGIQDKSSGLDDDEKILWDNLLNKVEGELRQRLVAFSFGAVLSHAASSSSVTSDVTNWLPNGIVQMEQWLSINLDFVHPRLKLLRAKIKEIKNSDCIKEESCSFCSASVPFESPEIATCASNKLNKNHQLPRCAVSMQLCSITEPMWFCVCCRRWAGRLIPQSYFTMLGTLLDEPLTVHGSLKPLCPFCGVLLQRLLPDFLLSTSPV >DRNTG_30082.19.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22821417:22821990:-1 gene:DRNTG_30082 transcript:DRNTG_30082.19 gene_biotype:protein_coding transcript_biotype:protein_coding SDCIKEESCSFCSASVPFESPEIATCASNKLNKNHQLPRCAVSMQLCSITEPMWFCVCCRRWAGRLIPQSYFTMLGTLLDEPLTVHGSLKPLCPFCGVLLQRLLPDFLLSTSPV >DRNTG_30082.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22821417:22823460:-1 gene:DRNTG_30082 transcript:DRNTG_30082.17 gene_biotype:protein_coding transcript_biotype:protein_coding MYQARTQKAAAEFFWIGGQSLMIPSEKHLDYNPETISGSSENDLMFWESNILWSLECFENVDKPLSLWDIIAALVHFRKTIPLFLENLLIKWISKWFGLESVDSIEKILSHAGNMLSKISSRKLHLLNIICRRLMLIEAKASVPNGIQDKSSGLDDDEKILWDNLLNKVEGELRQRLVAFSFGAVLSHAASSSSVTSDVTNWLPNGIVQMEQWLSINLDFVHPRLKLLRAKIKEIKNSDCIKEESCSFCSASVPFESPEIATCASNKLNKNHQLPRCAVSMQLCSITEPMWFCVCCRRWAGRLIPQSYFTMLGTLLDEPLTVHGSLKPLCPFCGVLLQRLLPDFLLSTSPV >DRNTG_30082.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22821417:22827792:-1 gene:DRNTG_30082 transcript:DRNTG_30082.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVILAAGKGSGSVEVCICHISNNEFQSIGIYDAHDQKVTGLAWSFNGCCLYSCSQDNSVRCWALLQNSVLEVFFPSATRKSSTKYLQVSDPCFGIALSPGGLMITLVHSFDANLLNPMYQARTQKAAAEFFWIGGQSLMIPSEKHLDYNPETISGSSENDLMFWESNILWSLECFENVDKPLSLWDIIAALVHFRKTIPLFLENLLIKWISKWFGLESVDSIEKILSHAGNMLSKISSRKLHLLNIICRRLMLIEAKASVPNGIQDKSSGLDDDEKILWDNLLNKVEGELRQRLVAFSFGAVLSHAASSSSVTSDVTNWLPNGIVQMEQWLSINLDFVHPRLKLLRAKIKEIKNSDCIKEESCSFCSASVPFESPEIATCASNKLNKNHQLPRCAVSMQLCSITEPMWFCVCCRRWAGRLIPQSYFTMLGTLLDEPLTVHGSLKPLCPFCGVLLQRLLPDFLLSTSPV >DRNTG_30082.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22821417:22827792:-1 gene:DRNTG_30082 transcript:DRNTG_30082.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVILAAGKGSGSVEVCICHISNNEFQSIGIYDAHDQKVTGLAWSFNGCCLYSCSQDNSVRCWALLQNSVLEVFFPSATRKSSTKYLQVSDPCFGIALSPGGLMITLVHSFDANLLNPMYQARTQKAAAEFFWIGGQSLMIPSEKHLDYNPETISGSSENDLMFWESNILWSLECFENVDKPLSLWDIIAALVHFRKTIPLFLENLLIKWISKWFGLESVDSIEKILSHAGNMLSKISSRKLHLLNIICRRLMLIEAKASVPNGIQDKSSGLDDDEKILWDNLLNKVEGELRQRLVAFSFGAVLSHAASSSSVTSDVTNWLPNGIVQMEQWLSINLDFVHPRLKLLRAKIKEIKNSDCIKEESCSFCSASVPFESPEIATCASNKLNKNHQLPRCAVSMQLCSITEPMWFCVCCRRWAGRLIPQSYFTMLGTLLDEPLTVHGSLKPLCPFCGVLLQRLLPDFLLSTSPV >DRNTG_30082.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22821417:22827395:-1 gene:DRNTG_30082 transcript:DRNTG_30082.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVILAAGKGSGSVEVCICHISNNEFQSIGIYDAHDQKVTGLAWSFNGCCLYSCSQDNSVRCWALLQNSVLEVFFPSATRKSSTKYLQVSDPCFGIALSPGGLMITLVHSFDANLLNPMYQARTQKAAAEFFWIGGQSLMIPSEKHLDYNPETISGSSENDLMFWESNILWSLECFENVDKPLSLWDIIAALVHFRKTIPLFLENLLIKWISKWFGLESVDSIEKILSHAGNMLSKISSRKLHLLNIICRRLMLIEAKASVPNGIQDKSSGLDDDEKILWDNLLNKVEGELRQRLVAFSFGAVLSHAASSSSVTSDVTNWLPNGIVQMEQWLSINLDFVHPRLKLLRAKIKEIKNSDCIKEESCSFCSASVPFESPEIATCASNKLNKNHQLPRCAVSMQLCSITEPMWFCVCCRRWAGRLIPQSYFTMLGTLLDEPLTVHGSLKPLCPFCGVLLQRLLPDFLLSTSPV >DRNTG_30082.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22821303:22823460:-1 gene:DRNTG_30082 transcript:DRNTG_30082.15 gene_biotype:protein_coding transcript_biotype:protein_coding MYQARTQKAAAEFFWIGGQSLMIPSEKHLDYNPETISGSSENDLMFWESNILWSLECFENVDKPLSLWDIIAALVHFRKTIPLFLENLLIKWISKWFGLESVDSIEKILSHAGNMLSKISSRKLHLLNIICRRLMLIEAKASVPNGIQDKSSGLDDDEKILWDNLLNKVEGELRQRLVAFSFGAVLSHAASSSSVTSDVTNWLPNGIVQMEQWLSINLDFVHPRLKLLRAKIKEIKNSDCIKEESCSFCSASVPFESPEIATCASNKLNKNHQLPRCAVSMQLCSITEPMWFCVCCRRWAGRLIPQSYFTMLGTLLDEPLTVHGSLKPLCPFCGVLLQRLLPDFLLSTSPV >DRNTG_30082.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22821417:22824165:-1 gene:DRNTG_30082 transcript:DRNTG_30082.13 gene_biotype:protein_coding transcript_biotype:protein_coding MVILAAGKGSGSVEVCICHISNNEFQSIGIYDAHDQKVTGLAWSFNGCCLYSCSQDNSVRCWALLQNSVLEVFFPSATRKSSTKYLQVSDPCFGIALSPGGLMITLVHSFDANLLNPMYQARTQKAAAEFFWIGGQSLMIPSEKHLDYNPETISGSSENDLMFWESNILWSLECFENVDKPLSLWDIIAALVHFRKTIPLFLENLLIKWISKWFGLESVDSIEKILSHAGNMLSKISSRKLHLLNIICRRLMLIEAKASVPNGIQDKSSGLDDDEKILWDNLLNKVEGELRQRLVAFSFGAVLSHAASSSSVTSDVTNWLPNGIVQMEQWLSINLDFVHPRLKLLRAKIKEIKNSDCIKEESCSFCSASVPFESPEIATCASNKLNKNHQLPRCAVSMQLCSITEPMWFCVCCRRWAGRLIPQSYFTMLGTLLDEPLTVHGSLKPLCPFCGVLLQRLLPDFLLSTSPV >DRNTG_30082.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22821417:22827792:-1 gene:DRNTG_30082 transcript:DRNTG_30082.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVILAAGKGSGSVEVCICHISNNEFQSIGIYDAHDQKVTGLAWSFNGCCLYSCSQDNSVRCWALLQNSVLEVFFPSATRKSSTKYLQVSDPCFGIALSPGGLMITLVHSFDANLLNPMYQARTQKAAAEFFWIGGQSLMIPSEKHLDYNPETISGSSENDLMFWESNILWSLECFENVDKPLSLWDIIAALVHFRKTIPLFLENLLIKWISKWFGLESVDSIEKILSHAGNMLSKISSRKLHLLNIICRRLMLIEAKASVPNGIQDKSSGLDDDEKILWDNLLNKVEGELRQRLVAFSFGAVLSHAASSSSVTSDVTNWLPNGIVQMEQWLSINLDFVHPRLKLLRAKIKEIKNSDCIKEESCSFCSASVPFESPEIATCASNKLNKNHQLPRCAVSMQLCSITEPMWFCVCCRRWAGRLIPQSYFTMLGTLLDEPLTVHGSLKPLCPFCGVLLQRLLPDFLLSTSPV >DRNTG_30082.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22821417:22827792:-1 gene:DRNTG_30082 transcript:DRNTG_30082.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVILAAGKGSGSVEVCICHISNNEFQSIGIYDAHDQKVTGLAWSFNGCCLYSCSQDNSVRCWALLQNSVLEVFFPSATRKSSTKYLQVSDPCFGIALSPGGLMITLVHSFDANLLNPMYQARTQKAAAEFFWIGGQSLMIPSEKHLDYNPETISGSSENDLMFWESNILWSLECFENVDKPLSLWDIIAALVHFRKTIPLFLENLLIKWISKWFGLESVDSIEKILSHAGNMLSKISSRKLHLLNIICRRLMLIEAKASVPNGIQDKSSGLDDDEKILWDNLLNKVEGELRQRLVAFSFGAVLSHAASSSSVTSDVTNWLPNGIVQMEQWLSINLDFVHPRLKLLRAKIKEIKNSDCIKEESCSFCSASVPFESPEIATCASNKLNKNHQLPRCAVSMQLCSITEPMWFCVCCRRWAGRLIPQSYFTMLGTLLDEPLTVHGSLKPLCPFCGVLLQRLLPDFLLSTSPV >DRNTG_30082.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22821417:22827792:-1 gene:DRNTG_30082 transcript:DRNTG_30082.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTCCFIILIVSSLGSCWCQNKQKVDLPLSGKKQASVKFPNALKEVMTLMVTAKQYSSRHALLSSTIVAWSPVLHSSANYHSSNSFCSSCSILATGGKSGNISFWRICEPPCYTIEHGRLSADPVLIGLLQAHNSWVTAISWAISSASSLEPQLILSTGSYDGSVKLWTADISVLIKSAEPNKACFSLLKEVTSSSSISISTISLVVPAQSPDMVILAAGKGSGSVEVCICHISNNEFQSIGIYDAHDQKVTGLAWSFNGCCLYSCSQDNSVRCWALLQNSVLEVFFPSATRKSSTKYLQVSDPCFGIALSPGGLMITLVHSFDANLLNPMYQARTQKAAAEFFWIGGQSLMIPSEKHLDYNPETISGSSENDLMFWESNILWSLECFENVDKPLSLWDIIAALVHFRKTIPLFLENLLIKWISKWFGLESVDSIEKILSHAGNMLSKISSRKLHLLNIICRRLMLIEAKASVPNGIQDKSSGLDDDEKILWDNLLNKVEGELRQRLVAFSFGAVLSHAASSSSVTSDVTNWLPNGIVQMEQWLSINLDFVHPRLKLLRAKIKEIKNSDCIKEESCSFCSASVPFESPEIATCASNKLNKNHQLPRCAVSMQLCSITEPMWFCVCCRRWAGRLIPQSYFTMLGTLLDEPLTVHGSLKPLCPFCGVLLQRLLPDFLLSTSPV >DRNTG_30082.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22821417:22827792:-1 gene:DRNTG_30082 transcript:DRNTG_30082.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVILAAGKGSGSVEVCICHISNNEFQSIGIYDAHDQKVTGLAWSFNGCCLYSCSQDNSVRCWALLQNSVLEVFFPSATRKSSTKYLQVSDPCFGIALSPGGLMITLVHSFDANLLNPMYQARTQKAAAEFFWIGGQSLMIPSEKHLDYNPETISGSSENDLMFWESNILWSLECFENVDKPLSLWDIIAALVHFRKTIPLFLENLLIKWISKWFGLESVDSIEKILSHAGNMLSKISSRKLHLLNIICRRLMLIEAKASVPNGIQDKSSGLDDDEKILWDNLLNKVEGELRQRLVAFSFGAVLSHAASSSSVTSDVTNWLPNGIVQMEQWLSINLDFVHPRLKLLRAKIKEIKNSDCIKEESCSFCSASVPFESPEIATCASNKLNKNHQLPRCAVSMQLCSITEPMWFCVCCRRWAGRLIPQSYFTMLGTLLDEPLTVHGSLKPLCPFCGVLLQRLLPDFLLSTSPV >DRNTG_30082.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22821417:22827395:-1 gene:DRNTG_30082 transcript:DRNTG_30082.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVILAAGKGSGSVEVCICHISNNEFQSIGIYDAHDQKVTGLAWSFNGCCLYSCSQDNSVRCWALLQNSVLEVFFPSATRKSSTKYLQVSDPCFGIALSPGGLMITLVHSFDANLLNPMYQARTQKAAAEFFWIGGQSLMIPSEKHLDYNPETISGSSENDLMFWESNILWSLECFENVDKPLSLWDIIAALVHFRKTIPLFLENLLIKWISKWFGLESVDSIEKILSHAGNMLSKISSRKLHLLNIICRRLMLIEAKASVPNGIQDKSSGLDDDEKILWDNLLNKVEGELRQRLVAFSFGAVLSHAASSSSVTSDVTNWLPNGIVQMEQWLSINLDFVHPRLKLLRAKIKEIKNSDCIKEESCSFCSASVPFESPEIATCASNKLNKNHQLPRCAVSMQLCSITEPMWFCVCCRRWAGRLIPQSYFTMLGTLLDEPLTVHGSLKPLCPFCGVLLQRLLPDFLLSTSPV >DRNTG_30082.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22821417:22827087:-1 gene:DRNTG_30082 transcript:DRNTG_30082.10 gene_biotype:protein_coding transcript_biotype:protein_coding MVILAAGKGSGSVEVCICHISNNEFQSIGIYDAHDQKVTGLAWSFNGCCLYSCSQDNSVRCWALLQNSVLEVFFPSATRKSSTKYLQVSDPCFGIALSPGGLMITLVHSFDANLLNPMYQARTQKAAAEFFWIGGQSLMIPSEKHLDYNPETISGSSENDLMFWESNILWSLECFENVDKPLSLWDIIAALVHFRKTIPLFLENLLIKWISKWFGLESVDSIEKILSHAGNMLSKISSRKLHLLNIICRRLMLIEAKASVPNGIQDKSSGLDDDEKILWDNLLNKVEGELRQRLVAFSFGAVLSHAASSSSVTSDVTNWLPNGIVQMEQWLSINLDFVHPRLKLLRAKIKEIKNSDCIKEESCSFCSASVPFESPEIATCASNKLNKNHQLPRCAVSMQLCSITEPMWFCVCCRRWAGRLIPQSYFTMLGTLLDEPLTVHGSLKPLCPFCGVLLQRLLPDFLLSTSPV >DRNTG_30082.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22821417:22827792:-1 gene:DRNTG_30082 transcript:DRNTG_30082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFFQSTTLVASASYPDSIAWSEENLVAIASGHIITILSPASIVSPRGLITLPPNKPFSVGAVKKEDLNAACLMPNLLSRENRPCSRSISWSPLGLAPNSGCLLAVCTTEGRVKIYRAPFCEFNSEWVEVVDVSDMLLYYLDSIKFGELLVPEQAESGLAIEWQETSISKVPKRIKRSYDSNESSQTLLQSKKCNLLSQVTAKQYSSRHALLSSTIVAWSPVLHSSANYHSSNSFCSSCSILATGGKSGNISFWRICEPPCYTIEHGRLSADPVLIGLLQAHNSWVTAISWAISSASSLEPQLILSTGSYDGSVKLWTADISVLIKSAEPNKACFSLLKEVTSSSSISISTISLVVPAQSPDMVILAAGKGSGSVEVCICHISNNEFQSIGIYDAHDQKVTGLAWSFNGCCLYSCSQDNSVRCWALLQNSVLEVFFPSATRKSSTKYLQVSDPCFGIALSPGGLMITLVHSFDANLLNPMYQARTQKAAAEFFWIGGQSLMIPSEKHLDYNPETISGSSENDLMFWESNILWSLECFENVDKPLSLWDIIAALVHFRKTIPLFLENLLIKWISKWFGLESVDSIEKILSHAGNMLSKISSRKLHLLNIICRRLMLIEAKASVPNGIQDKSSGLDDDEKILWDNLLNKVEGELRQRLVAFSFGAVLSHAASSSSVTSDVTNWLPNGIVQMEQWLSINLDFVHPRLKLLRAKIKEIKNSDCIKEESCSFCSASVPFESPEIATCASNKLNKNHQLPRCAVSMQLCSITEPMWFCVCCRRWAGRLIPQSYFTMLGTLLDEPLTVHGSLKPLCPFCGVLLQRLLPDFLLSTSPV >DRNTG_30082.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22826792:22827792:-1 gene:DRNTG_30082 transcript:DRNTG_30082.18 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFFQSTTLVASASYPDSIAWSEENLVAIASGHIITILSPASIVSPRGLITLPPNKPFSVGAVKKEDLNAACLMPNLLSRENRPCSRSISWSPLGLAPNSGCLLAVCTTEGRVKIYRAPFCEFNSEWVE >DRNTG_30082.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22821417:22823733:-1 gene:DRNTG_30082 transcript:DRNTG_30082.14 gene_biotype:protein_coding transcript_biotype:protein_coding MITLVHSFDANLLNPMYQARTQKAAAEFFWIGGQSLMIPSEKHLDYNPETISGSSENDLMFWESNILWSLECFENVDKPLSLWDIIAALVHFRKTIPLFLENLLIKWISKWFGLESVDSIEKILSHAGNMLSKISSRKLHLLNIICRRLMLIEAKASVPNGIQDKSSGLDDDEKILWDNLLNKVEGELRQRLVAFSFGAVLSHAASSSSVTSDVTNWLPNGIVQMEQWLSINLDFVHPRLKLLRAKIKEIKNSDCIKEESCSFCSASVPFESPEIATCASNKLNKNHQLPRCAVSMQLCSITEPMWFCVCCRRWAGRLIPQSYFTMLGTLLDEPLTVHGSLKPLCPFCGVLLQRLLPDFLLSTSPV >DRNTG_31528.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001714.1:4182:10329:1 gene:DRNTG_31528 transcript:DRNTG_31528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMATAAGAAALLYYTLNQKLKAAQCEEGEDGENSREPLNPSSSGRNQVSRRPAQAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGISFLLKRQGNLHVASIYAGEESVQLRGGQITAELKYLLNLLTLCWHFSKKPFPLFLEATGYSEEDVILQEPKAGILKPAFTIIADKNTNCILLLIRGTHSIRDTLTAATGAVVPFHHTVIKEGGVSDLVLGYAHCGMVAAARWIAKLATPCLTKALHDYPDYKLKIVGHSLGGGTAALLTYVLREQQEFLHHHLCNICSSCLYDMGVGRVRCGLYHLSN >DRNTG_31528.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001714.1:4182:10329:1 gene:DRNTG_31528 transcript:DRNTG_31528.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMATAAGAAALLYYTLNQKLKAAQCEEGEDGENSREPLNPSSSGRNQVSRRPAQAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGISFLLKRQVGEPTCGKYLCWRRECTT >DRNTG_31528.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001714.1:4182:10329:1 gene:DRNTG_31528 transcript:DRNTG_31528.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMATAAGAAALLYYTLNQKLKAAQCEEGEDGENSREPLNPSSSGRNQVSRRPAQAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGISFLLKRQVGEPTCGKYLCWRRECTT >DRNTG_30475.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21180754:21185153:1 gene:DRNTG_30475 transcript:DRNTG_30475.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGLDSDGRQFAKASEMWELEIGTGSAGGEGNGTCCGGGGDKRRDWYRKGIAYWQGVEASVDGVLGGYGCVNEPDVKGSDVFLKPLLADRFGKQKRHLVALDCGSGIGRVTKNLLLRYFNEVDLVEPASHFLEAAREKLSSEGDMDIDTHKAVNFFCVPLQEFTPEAGRYDVIWIQWCIGQLPDDDFVSFFKRAKVFNFQS >DRNTG_30475.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21180754:21185153:1 gene:DRNTG_30475 transcript:DRNTG_30475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGLDSDGRQFAKASEMWELEIGTGSAGGEGNGTCCGGGGDKRRDWYRKGIAYWQGVEASVDGVLGGYGCVNEPDVKGSDVFLKPLLADRFGKQKRHLVALDCGSGIGRVTKNLLLRYFNEVDLVEPASHFLEAAREKLSSEGDMDIDTHKAVNFFCVPLQEFTPEAGRYDVIWIQWCIGQLPDDDFVSFFKRAKAGLKPDGFFVVKENIARQGFVLDKEDNSITRSDLYFKELFNQCGLYIYKTKDQKGLPEELFAVKMYALVTDKPRVGKSKVPQYKPGIIK >DRNTG_30475.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21180754:21185153:1 gene:DRNTG_30475 transcript:DRNTG_30475.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGLDSDGRQFAKASEMWELEIGTGSAGGEGNGTCCGGGGDKRRDWYRKGIAYWQGVEASVDGVLGGYGCVNEPDVKGSDVFLKPLLADRFGKQKRHLVALDCGSGIGRVTKNLLLRYFNEVDLVEPASHFLEAAREKLSSEGDMDIDTHKAVNFFCVPLQEFTPEAGRYDVIWIQWCIGQLPDDDFVSFFKRAKAGLKPDGFFVVKENIARQGLMLSLF >DRNTG_30930.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001644.1:41761:45303:1 gene:DRNTG_30930 transcript:DRNTG_30930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVKLCLSPLCSCMQSPVVRQDMSHVFSTKEKIDDLKNAMEQLLAKRNDIQRELDDPQNKGKLLRDELQLWLRNVGEKENKVERLLDEYHKSNCVAGSCSLNCFSRYKISIDANKLKEEINQLTTKQPEIKFTDIPPPKPVPESYRTVGKKISSNLDMARSYLADEAVGMIGIWGMGGVGKTTLLKKINKSLLDDANMGFNHVLFIEASKDIQLEELRKQITESLQLQGAGKNDTLNVLKISNFVLLLDNIWEEVDLIDLGIPHPYSDDNSTKQYKHKVIFTTRSEDVCAKMGAGENTIKVECLQSDEAWDLFKDNVNLAVIESDEKFKEIAWQVMEKCGGLPLALKVVGKAMSNKKTVQNWVVVLNKLEQSDTQVVQGVQESLFPFLKLSYDNLTDYFKQCFLSICMLRGQHKHNILEFLMGLGLIRDFDNFQEAYGTGENILKVLEESCLLSSDDDVVSLHDVIYEMAVWIASDSGRNMNKWIVKTYDWFPVELPAINTENWRFAKRVIISGKVELLPILSHQCSDLLCLMIQFNSWFKNIPEGFFRQMPNLTYLDLQNTGIEELPKGIKCLVNLQSLNISSTNISSLPKELVHLMKLQYLICGYLNGLGKVEENLMSRLQNLKIMDVFPSGWVDLKELKKLKIHVKAIGMRVVSKEVLQQLSCFPTMRLCLYNLDIISLSFDTLSCKNHGFLQELRIESCPQLKELVMDGSRSHLNYLSILNVKKLQNIFWKDLSPREFFHVLKMLLISRCNLDNLAWVLHLPCLSWLEIKDCAEIETLFYIEEEREIQQQEVSEHRPTFPALKFLIIKKLPKLVSISNFALDFPQLSLLHVGECLNLKKLPFKSGINNNQRIIDIDCEREWWESLEWDDATIPSHLRPRLLLG >DRNTG_30930.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001644.1:41761:46861:1 gene:DRNTG_30930 transcript:DRNTG_30930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVKLCLSPLCSCMQSPVVRQDMSHVFSTKEKIDDLKNAMEQLLAKRNDIQRELDDPQNKGKLLRDELQLWLRNVGEKENKVERLLDEYHKSNCVAGSCSLNCFSRYKISIDANKLKEEINQLTTKQPEIKFTDIPPPKPVPESYRTVGKKISSNLDMARSYLADEAVGMIGIWGMGGVGKTTLLKKINKSLLDDANMGFNHVLFIEASKDIQLEELRKQITESLQLQGAGKNDTLNVLKISNFVLLLDNIWEEVDLIDLGIPHPYSDDNSTKQYKHKVIFTTRSEDVCAKMGAGENTIKVECLQSDEAWDLFKDNVNLAVIESDEKFKEIAWQVMEKCGGLPLALKVVGKAMSNKKTVQNWVVVLNKLEQSDTQVVQGVQESLFPFLKLSYDNLTDYFKQCFLSICMLRGQHKHNILEFLMGLGLIRDFDNFQEAYGTGENILKVLEESCLLSSDDDVVSLHDVIYEMAVWIASDSGRNMNKWIVKTYDWFPVELPAINTENWRFAKRVIISGKVELLPILSHQCSDLLCLMIQFNSWFKNIPEGFFRQMPNLTYLDLQNTGIEELPKGIKCLVNLQSLNISSTNISSLPKELVHLMKLQYLICGYLNGLGKVEENLMSRLQNLKIMDVFPSGWVDLKELKKLKIHVKAIGMRVVSKEVLQQLSCFPTMRLCLYNLDIISLSFDTLSCKNHGFLQELRIESCPQLKELVMDGSRSHLNYLSILNVKKLQNIFWKDLSPREFFHVLKMLLISRCNLDNLAWVLHLPCLSWLEIKDCAEIETLFYIEEEREIQQQEVSEHRPTFPALKFLIIKKLPKLVSISNFALDFPQLSLLHVGECLNLKKLPFKSGINNNQRIIDIDCEREWWESLEWDDATIPSHLRPRLLLG >DRNTG_30930.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001644.1:41761:44781:1 gene:DRNTG_30930 transcript:DRNTG_30930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVKLCLSPLCSCMQSPVVRQDMSHVFSTKEKIDDLKNAMEQLLAKRNDIQRELDDPQNKGKLLRDELQLWLRNVGEKENKVERLLDEYHKSNCVAGSCSLNCFSRYKISIDANKLKEEINQLTTKQPEIKFTDIPPPKPVPESYRTVGKKISSNLDMARSYLADEAVGMIGIWGMGGVGKTTLLKKINKSLLDDANMGFNHVLFIEASKDIQLEELRKQITESLQLQGAGKNDTLNVLKISNFVLLLDNIWEEVDLIDLGIPHPYSDDNSTKQYKHKVIFTTRSEDVCAKMGAGENTIKVECLQSDEAWDLFKDNVNLAVIESDEKFKEIAWQVMEKCGGLPLALKVVGKAMSNKKTVQNWVVVLNKLEQSDTQVVQGVQESLFPFLKLSYDNLTDYFKQCFLSICMLRGQHKHNILEFLMGLGLIRDFDNFQEAYGTGENILKVLEESCLLSSDDDVVSLHDVIYEMAVWIASDSGRNMNKWIVKTYDWFPVELPAINTENWRFAKRVIISGKVELLPILSHQCSDLLCLMIQFNSWFKNIPEGFFRQMPNLTYLDLQNTGIEELPKGIKCLVNLQSLNISSTNISSLPKELVHLMKLQYLICGYLNGLGKVEENLMSRLQNLKIMDVFPSGWVDLKELKKLKIHVKAIGMRVVSKEVLQQLSCFPTMRLCLYNLDIISLSFDTLSCKNHGFLQELRIESCPQLKELVMDGSRSHLNYLSILNVKKLQNIFWKDLSPREFFHVLKMLLISRCNLDNLAWVLHLPCLSWLEIKDCAEIETLFYIEEEREIQQQEVSEHRPTFPALKFLIIKKLPKLVSISNFALDFPQLSLLHVGECLNLKKLPFKSGINNNQRIIDIDCEREWWESLEWDDATIPSHLRPRLLLGN >DRNTG_33618.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7550326:7551156:1 gene:DRNTG_33618 transcript:DRNTG_33618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFCGIARFNGEGRVLMFTAQTSWELVLAEICERWGLEVSLVRVKFITPDGYKTVCPIENDVDFQRMCHVHSIFKCAVVDLVVETENVPLPNPNENEVYSFVGRNSDSASAPIHPHGDPDGVGCLPSSSDHSEVLSLDIGQRFDGVEHFRDVLRNHAIKRNFDFKFIKNEKHRVTVECAADGCRWRLHASKEYNKNTFRIKTINPSHTC >DRNTG_24971.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6924038:6928234:1 gene:DRNTG_24971 transcript:DRNTG_24971.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acclimation of photosynthesis to environment [Source:Projected from Arabidopsis thaliana (AT5G38660) UniProtKB/TrEMBL;Acc:A0A219HZL6] MSIRAAAPLPLCASRSTGHAPLCPSRHHGLALWRGRFGSSTGGSHSPLSLLPLRCLVTLNQRRSRLVVVVDAVDSTQPSTSSDKTVVTDSDFSLAKVSFGTIGLTLGCTLLSYGFGAYFNILPGSEWSAIMLTYGFPIAIIGMALKYAELKPVPCVTYSDAQILREKCATPILKQVRDDVIRFRYGDEQHLDEALKRIFQYGQGGGISRRNAPILQMVREEVTANGKYCLVLVFEAKALQLSDFEQRKAKFTSFFGPGISTDISGGENNLYEVRLISETT >DRNTG_26416.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23894638:23897982:1 gene:DRNTG_26416 transcript:DRNTG_26416.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRTLGSTHCSVQDLEKFCPTTSIWTVDLAYLLHEYSVNFSFFTVTLGANPEYSTEAFYKTKRE >DRNTG_26416.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23894638:23899917:1 gene:DRNTG_26416 transcript:DRNTG_26416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRTLGSTHCSVQDLEKFCPTTSIWTVDLAYLLHEYSVNFSFFTVTLGANPEYSTEAFYKEFLEADLGRVDRLFEKAVEMGINIQCRSLSGKEISGLILSGTIYFYCFG >DRNTG_26416.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23894638:23899917:1 gene:DRNTG_26416 transcript:DRNTG_26416.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGDLSKNTSYTGHYIVICGYNSQTNEFEIRDPASPRKYERLSLECLDEARKSFGTDEDIILVSLNGKDTNNLLPQALT >DRNTG_23842.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:11714909:11716041:-1 gene:DRNTG_23842 transcript:DRNTG_23842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLNLRRKSLRRLFSFSSSQRSSVDEVDTEKSVCIYQESDAKPLQKPNWRCFTYAEIHIATNGFHQGNLVGSGGHAEVYRGVLADGRVIAVKRLTRGTSDERREKEFLTELGTVSHVQHPNVSELVGCCIDLDLHLIFEFSSHGSVSSHLHEENSLPLTWNLRYGIAVGTAQGLHYLHKGCQRRIIHRDIKASNILLTANY >DRNTG_23842.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:11714909:11715402:-1 gene:DRNTG_23842 transcript:DRNTG_23842.2 gene_biotype:protein_coding transcript_biotype:protein_coding GNLVGSGGHAEVYRGVLADGRVIAVKRLTRGTSDERREKEFLTELGTVSHVQHPNVSELVGCCIDLDLHLIFEFSSHGSVSSHLHEENSLPLTWNLRYGIAVGTAQGLHYLHKGCQRRIIHRDIKASNILLTANY >DRNTG_17481.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6782720:6784187:-1 gene:DRNTG_17481 transcript:DRNTG_17481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFVSALAVEAARGQDLVEQLMNGGLPWFLGTAVLFSVASLVPLFKGVTVQSRSGDLMSSDAELWNGRLAMLGLVALAFTEYLKGGPLV >DRNTG_33515.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:829975:831065:1 gene:DRNTG_33515 transcript:DRNTG_33515.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIISIPSRRQVSKPSTTSSSPELLPERPPYLDHPSLLPLRLSSSFHHLLQCQAHLTTSSLVRHPFAASLLLKLSCSLAPLPHTLLLFSHLPSTPYLLSFNTLLKSLSLSPSPHVAVSFFSSMLRSGLIPNIFTFLPLAVSCARSASQTDAEVVHAQAIRRGADSVVFCNSLIHAYAACGLVGYARAMFDEMPLRDLVSWNSLVDGYVKVGDLRSARCLFDKMPERNVVSWNIMISGCLKCQCPESGLELFREMERAGVLSDVKTIVSIATACGRLGLIRYGRSVHGYFVRSFREKNNLIFETALVDMYSKCKRVDVARKVFDRIVEKNLVSWNKMILGHCIHACAQDGLALFDEMVQIG >DRNTG_33515.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:829975:831877:1 gene:DRNTG_33515 transcript:DRNTG_33515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIISIPSRRQVSKPSTTSSSPELLPERPPYLDHPSLLPLRLSSSFHHLLQCQAHLTTSSLVRHPFAASLLLKLSCSLAPLPHTLLLFSHLPSTPYLLSFNTLLKSLSLSPSPHVAVSFFSSMLRSGLIPNIFTFLPLAVSCARSASQTDAEVVHAQAIRRGADSVVFCNSLIHAYAACGLVGYARAMFDEMPLRDLVSWNSLVDGYVKVGDLRSARCLFDKMPERNVVSWNIMISGCLKCQCPESGLELFREMERAGVLSDVKTIVSIATACGRLGLIRYGRSVHGYFVRSFREKNNLIFETALVDMYSKCKRVDVARKVFDRIVEKNLVSWNKMILGHCIHACAQDGLALFDEMVQIGEEDSEVKPDETTFVGILLGCSRAGLLDEGRRYFDKMTSIHGLKPTFAHYWCMANLYGGLGMVQEAEEVLMSMPEDTESLVWSTLLGSCRFHGDIELGEQIGKRLIELEPYNSSRYALLLNIYIVAERWEDFEKVKEMMKQRAVKTMPGHSLFDLKEIVHSFKAGDRSQPEMKDIYSMMDEIAAKLKLRAHSHHHQLPI >DRNTG_16235.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000801.1:18399:18989:1 gene:DRNTG_16235 transcript:DRNTG_16235.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCMILYSSSKFSNINLSFGVIIRVENEAFQVLKGVPDRPEVVLVKLREIKSKIERRVNAQDRSQSIVSTKDVARVLEGPFKGKQGSVEHIHRGILFIYDRYHIEHAGFICVKA >DRNTG_23877.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:40887:43219:-1 gene:DRNTG_23877 transcript:DRNTG_23877.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:17.4 kDa class III heat shock protein [Source:Projected from Arabidopsis thaliana (AT1G54050) UniProtKB/Swiss-Prot;Acc:Q9SYG1] MAETLLLDSLLHLPEVLEKIVFPPRARENHRGHSEAGTESRIAASDGGFGSTSVDILETPKAYSFFFDVPGIPKSDIQVTLEDEKVLVVKSNGKRKREEGEEEGSCKFLRLERKAVIKLMRKFRLPDDANPAAITAKCENGVLTVTVEKLPPPPESKPKTVEVAIA >DRNTG_23877.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:41084:43219:-1 gene:DRNTG_23877 transcript:DRNTG_23877.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:17.4 kDa class III heat shock protein [Source:Projected from Arabidopsis thaliana (AT1G54050) UniProtKB/Swiss-Prot;Acc:Q9SYG1] MAETLLLDSLLHLPEVLEKIVFPPRARENHRGHSEAGTESRIAASDGGFGSTSVDILETPKAYSFFFDVPGIPKSDIQVTLEDEKVLVVKSNGKRKREEGEEEGSCKFLRLERKAVIKLMRKFRLPDDANPAAITAKCENGVLTVTVEKLPPPPESKPKTVEVAIA >DRNTG_23877.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:40887:43275:-1 gene:DRNTG_23877 transcript:DRNTG_23877.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:17.4 kDa class III heat shock protein [Source:Projected from Arabidopsis thaliana (AT1G54050) UniProtKB/Swiss-Prot;Acc:Q9SYG1] MAETLLLDSLLHLPEVLEKIVFPPRARENHRGHSEAGTESRIAASDGGFGSTSVDILETPKAYSFFFDVPGIPKSDIQVTLEDEKVLVVKSNGKRKREEGEEEGSCKFLRLERKAVIKLMRKFRLPDDANPAAITAKCENGVLTVTVEKLPPPPESKPKTVEVAIA >DRNTG_23877.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:41084:43275:-1 gene:DRNTG_23877 transcript:DRNTG_23877.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:17.4 kDa class III heat shock protein [Source:Projected from Arabidopsis thaliana (AT1G54050) UniProtKB/Swiss-Prot;Acc:Q9SYG1] MAETLLLDSLLHLPEVLEKIVFPPRARENHRGHSEAGTESRIAASDGGFGSTSVDILETPKAYSFFFDVPGIPKSDIQVTLEDEKVLVVKSNGKRKREEGEEEGSCKFLRLERKAVIKLMRKFRLPDDANPAAITAKCENGVLTVTVEKLPPPPESKPKTVEVAIA >DRNTG_23877.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:40736:43219:-1 gene:DRNTG_23877 transcript:DRNTG_23877.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:17.4 kDa class III heat shock protein [Source:Projected from Arabidopsis thaliana (AT1G54050) UniProtKB/Swiss-Prot;Acc:Q9SYG1] MAETLLLDSLLHLPEVLEKIVFPPRARENHRGHSEAGTESRIAASDGGFGSTSVDILETPKAYSFFFDVPGIPKSDIQVTLEDEKVLVVKSNGKRKREEGEEEGSCKFLRLERKAVIKLMRKFRLPDDANPAAITAKCENGVLTVTVEKLPPPPESKPKTVEVAIA >DRNTG_24951.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17235829:17244695:-1 gene:DRNTG_24951 transcript:DRNTG_24951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQSGIKTSTSSTTSSTASKSHQYRTQFLVFEFTLLWLLI >DRNTG_24820.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31802548:31804579:1 gene:DRNTG_24820 transcript:DRNTG_24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLRTHNRLELLHPVPGFAERPCLSPSQRPQNQIFRSSSNKKSHQKWNRNGCARVSASSAILELVPEIKKENLDLDLPLYDPSKGITLDLAVVGGGPAGLAVAQRVSEAGLSVCSIDPFPKLIWPNNYGVWVDEFEALDLLDCLDASWPGAVVYINEQSKKLLDRPYARVNRKQLKSKMMNKCVSNGVKVSSSQGC >DRNTG_13787.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15430152:15432970:1 gene:DRNTG_13787 transcript:DRNTG_13787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFLRLIALVEALEGRSHSQSTAPSLQTNEALGTDAPLEFDIEDIIKVAIRRRPHSKRLAKKRKTIMLPSPPLADDETIAAPSAADGVTIDDMAVTMGEITDNAEIITVDKIIDSVVNDSMNTVEPASDITVEPTADRATSKVDTIPQQQEACKEVSPVDAAVVPPSKEGNAAEMETREKNNANKKIRRSSESLHSEEEKIRWPIAPQQVPAGVDKDLPQLPYG >DRNTG_03113.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14036785:14041120:1 gene:DRNTG_03113 transcript:DRNTG_03113.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g64930 [Source:Projected from Arabidopsis thaliana (AT5G64930) UniProtKB/TrEMBL;Acc:B4F7R3] MLLLRRLLVLSSQGVRIKGRRRGCEPCPRRNEDGVSDLALPLGMSFAAIISQVLDGKNRSGGRIPVDHLSMICTSALKESVTYIYGNRFDSFVRNFEKSFGSTLKTLRSIDETSSNNGGDPTCCSIGSNNQEAEEISAIEEPFSRTENFQNNLPFNCLNNQLIIHGGANLDLANVSERGTLSGFNQLILNTFEKSVMEQSRSNDLKTVEINLIMKRLQLKQSQLALNSYANLLEKIKLSMGISKATFKEEKLRNQIQDTRHAQLLRRCIDLLVTGLIIMCSFLVYGAFTFSYKRIMEATSSCTSTPKESKSWWVPKPMASFNSGWLMLRCHTVVLTRLSFGLLMILVVAYSAFQRSASSGPIMPVTFILLLLGALCGTAGKLCVDTLGGNGYRWLVYWQAFCLVHFLANAFPSGLYLVLYGPVSVTQSIKVIRIPYWIRRYTFYAVMLLVLPTLSGLLPFASLKDWKDHFSQKMNFWISSNEPDL >DRNTG_07734.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2562411:2567040:-1 gene:DRNTG_07734 transcript:DRNTG_07734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSCISYISSATVVSRSSHVVMRSSLYGFSSNSWKRGICHAGLRTIKLDFLFSRYRVRCYSARRARGSQPPKVNPETSMEEENKAFYVVRKGDVIGIYQNLSDCQAQVSSSVCDPPVSVYKGYSLHKETEQFLGSRGLTNALYTIHASHVKEDLFGTLVPCPFNQPDGLAFLIDKSHDKAHSKRPVEAANTVGVIGSSSVSTEVLQKRPKLGLAIEAQPLRSKKMSCTVEFDGASKGNPGKAGAGAILRAEDGSIVSRLRQGLGVVTNNVAEYRGLILGMQYAHKKGFKEIKVQGDSKLVCMQVQGLWQTKNQNMADLCKVVKELKDMFGSVQINHVRREFNSDADGEANLAVELPNGEVYEECG >DRNTG_21883.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19561987:19562484:1 gene:DRNTG_21883 transcript:DRNTG_21883.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIAQFHFSMLRNKRQQSHVGHELAQEQ >DRNTG_35405.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1569428:1582098:-1 gene:DRNTG_35405 transcript:DRNTG_35405.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLRDLSQPIDVALLDSTVAAFYGTGSKAERQAADQILRELQNNPDTWLQVVHILQKSQNLNTKFFALQVLESVIKYRWNALPVEQRDGIKNYISDVIVQLSSNEASFRQERLYVNKLNIILVQVLKHEWPARWQSFIPDLVSAAKSSETLCENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSVSQRTELIRATLATLHAFLSWIPLGYIFESQLLETLLKFFPVASYRNLTLQCLTEVASLQFGDFYDMQYVRMYTIFMVQLQNILPPSTNIPDAYANGSSDEQAFIQNLALFFTSFYKSHIRILESTAESRAALLMGLEYLINISYVDDTEVFKVCLDYWNLLVLELFEAHHNIDNPAAVASMMGLQVTSVPGLIDGLGSQLSQRRQLYAGPMSKLRLLMICRMAKPEEVIVVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHDDTEQQMLKKLNKQLNGEDWSWNNLNTLCWAIGSISGSMVEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHESHPGVQVNVIMFL >DRNTG_35405.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1569428:1582098:-1 gene:DRNTG_35405 transcript:DRNTG_35405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLRDLSQPIDVALLDSTVAAFYGTGSKAERQAADQILRELQNNPDTWLQVVHILQKSQNLNTKFFALQVLESVIKYRWNALPVEQRDGIKNYISDVIVQLSSNEASFRQERLYVNKLNIILVQVLKHEWPARWQSFIPDLVSAAKSSETLCENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSVSQRTELIRATLATLHAFLSWIPLGYIFESQLLETLLKFFPVASYRNLTLQCLTEVASLQFGDFYDMQYVRMYTIFMVQLQNILPPSTNIPDAYANGSSDEQAFIQNLALFFTSFYKSHIRILESTAESRAALLMGLEYLINISYVDDTEVFKVCLDYWNLLVLELFEAHHNIDNPAAVASMMGLQVTSVPGLIDGLGSQLSQRRQLYAGPMSKLRLLMICRMAKPEEVIVVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHDDTEQQMLKKLNKQLNGEDWSWNNLNTLCWAIGSISGSMVEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHESHPGVQDMACDTFLKIVQKCKRKFVLTQVGENEPFVSELLTSLPTTIADLEPHQIHTFYESVGHMIHAEPDNTKRDEYLKRLMDLPNQKWAEIIGQASQSVDILKNQDVIRTVLNILQTNTSVASSLGSYFFPQISLIFLDMLTVYRMYSELISSTIAEGGPFASKTSFVKLLRSVKRETLKLIETFVDKAEDQSHLGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKGAMMEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRSIATHCFHALVQLSSQQLKLVMDSIIWAFRHTERNIAETGLNLLLEMLRNFQVSEFRNQFHRTYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLVDSNSLTEPLWDAATVPYPYPNNTIFVREYTIKLLASSFPNMTPTEVTQFVDGLFQSKNDLSIFKDHIRDFLVQSKEFSAQDNKDLYAEEAAAQREKERQRMLSIPGLIAPSELQDEMVDS >DRNTG_32162.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30948232:30953008:1 gene:DRNTG_32162 transcript:DRNTG_32162.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLPSFISAAIDDDGFLFRGLHWLEGDEDVLLVLWKMWVEVRRAVVAPVLRFVTFSCMIMSVMLVLEFVWMGAVSLGVKLLRRRPEKRYKWEVFKEQDEDVELGTLVYPMVLVQIPMFNEKEVYKLSIGAACGLEWPPDRIIIQVLDDSTDPIIKALVELECKAWASKGRNINYEVRNNRKGYKAGALREGMGYSYVNQCDFVAIFDADFQPDPNFLLRAMPFLVNNPKIALVQARWDFVNIDECLMTRIQKMSLDYHFKVEQEAGSSAFAFFGFNGTAGIWRIAAINEVGGWKDRTTVEDMDLAVRASLKGWEFLYVGDLKVWILS >DRNTG_32162.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30948232:30953008:1 gene:DRNTG_32162 transcript:DRNTG_32162.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLPSFISAAIDDDGFLFRGLHWLEGDEDVLLVLWKMWVEVRRAVVAPVLRFVTFSCMIMSVMLVLEFVWMGAVSLGVKLLRRRPEKRYKWEVFKEQDEDVELGTLVYPMVLVQIPMFNEKEVYKLSIGAACGLEWPPDRIIIQVLDDSTDPIIKALVELECKAWASKGRNINYEVRNNRKGYKAGALREGMGYSYVNQCDFVAIFDADFQPDPNFLLRAMPFLVNNPKIALVQARWDFGSC >DRNTG_32162.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30948232:30953008:1 gene:DRNTG_32162 transcript:DRNTG_32162.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIQKMSLDYHFKVEQEAGSSAFAFFGFNGTAGIWRIAAINEVGGWKDRTTVEDMDLAVRASLKGWEFLYVGDLKVKSELPCNFKTYRHQQHRWTCGPANLFRKMAKDIVKAKEVSLWKKFYMVYSFFFVRKIISPIVTFFLYSVVIPASVMVPEVSIPTWVVVYIPTTISLLNAIRNPRSIHLMPLWILFENVMSMHRMKSMMIGLFEVGSVNEWVVTEKLGDALNANPEIHLLEKPPNKFRERLNFSELGFAVFLLFAASYDLAFGLNLYCIYIFLQAIAFLVVGFGMVGKHVSNS >DRNTG_32162.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30948232:30953008:1 gene:DRNTG_32162 transcript:DRNTG_32162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIQKMSLDYHFKVEQEAGSSAFAFFGFNGTAGIWRIAAINEVGGWKDRTTVEDMDLAVRASLKGWEFLYVGDLKVKSELPCNFKTYRHQQHRWTCGPANLFRKMAKDIVKAKEVSLWKKFYMVYSFFFVRKIISPIVTFFLYSVVIPASVMVPEVSIPTWVVVYIPTTISLLNAIRNPRSIHLMPLWILFENVMSMHRMKSMMIGLFEVGSVNEWVVTEKLGDALNANPEIHLLEKPPNKFRERLNFSELGFAVFLLFAASYDLAFGLNLYCIYIFLQAIAFLVVGFGMVGKHVSNS >DRNTG_32162.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30948232:30953008:1 gene:DRNTG_32162 transcript:DRNTG_32162.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLPSFISAAIDDDGFLFRGLHWLEGDEDVLLVLWKMWVEVRRAVVAPVLRFVTFSCMIMSVMLVLEFVWMGAVSLGVKLLRRRPEKRYKWEVFKEQDEDVELGTLVYPMVLVQIPMFNEKEVYKLSIGAACGLEWPPDRIIIQVLDDSTDPIIKALVELECKAWASKGRNINYEVRNNRKGYKAGALREGMGYSYVNQCDFVAIFDADFQPDPNFLLRAMPFLVNNPKIALVQARWDFVNIDECLMTRIQKMSLDYHFKVEQEAGSSAFAFFGFNGTAGIWRIAAINEVGGWKDRTTVEDMDLAVRASLKGWEFLYVGDLKVKSELPCNFKTYRHQQHRWTCGPANLFRKMAKDIVKAKEVSLWKKFYMVYSFFFVRKIISPIVTFFLYSVVIPASVMVPEVSIPTWVVVYIPTTISLLNAIRNPRSIHLMPLWILFENVMSMHRMKSMMIGLFEVGSVNEWVVTEKLGDALNANPEIHLLEKPPNKFRERLNFSELGFAVFLLFAASYDLAFGLNLYCIYIFLQAIAFLVVGFGMVGKHVSNS >DRNTG_10184.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2147328:2147951:1 gene:DRNTG_10184 transcript:DRNTG_10184.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPVGGRLSYKPPIDDINAPDLYVPFMAFVTYIILSGFTFGLLGKFSPEALSFQFTRGLAGWFLQVMMLKGLSYSLGSRDAPILDIVAYGGYTFAGLSVAILTKLVWNYSYYFILSWLCFCMGVFLVNTMKRVLFAEMRVYSNHSNRHHYIFIFIAIAQFPLFFWLG >DRNTG_10184.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2145415:2147951:1 gene:DRNTG_10184 transcript:DRNTG_10184.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNQSSQPGPQRPLLNPQQTTFGNAIYDAGSGLIQGGFEAYGERFLGSSSEFMQSNISSYFSNLQYYFQVNNKYVRSKLKLILLPFLHRGHWTRMSEPVGGRLSYKPPIDDINAPDLYVPFMAFVTYIILSGFTFGLLGKFSPEALSFQFTRGLAGWFLQVMMLKGLSYSLGSRDAPILDIVAYGGYTFAGLSVAILTKLVWNYSYYFILSWLCFCMGVFLVNTMKRVLFAEMRVYSNHSNRHHYIFIFIAIAQFPLFFWLG >DRNTG_15797.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21566147:21571434:-1 gene:DRNTG_15797 transcript:DRNTG_15797.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISCPRMGKALPPHVLSFTFDGQKFRTTIKEKDLNPSWNERFYFNISDPANLPNLALEAFVYSINKNSNTHSKPFLGKVRIAGTSFVPFSDAVPLYYPLEKRSIFSRVKGELGLKVFLTDDPSVKPSNPLPAIDPFPNNPLPGQVNLAQAQVPNSNSHAFPENKTESRNTFHSIPKEHNQYHSSTPAAEQPVKFVADEMKAEPPRIVRMYSSSSQQPVDYAIKETSPFLGGGQIVGGRVIRADKPASTYDLVEQMQYLFVRVVKARELPAKDVTGSLDPFVEVRLGNYRGITKHFEKKQNPEWNEVFAFSRDRMQASLLEVVVKDKDLVKDDFVGIVRFDLNDVPTRVPPDSPLAPEWYRLEDKKGDKTKGELMLAVWIGTQADEAFSDAWHSDAAASADASAVSAHLRSKVYHAPRLWYVRVNIIEAQDIVVSDKTRFPDVYVKAQIGNQFLKTKTVQARTMSPIWNEDLLFVAAEPFEEHLILSVEDRVGPNKDEVIGRVAIPVGSIERRADDKIVNSRWFNLEKPVAVDVDQLKKDKFSSRLHLRICLDGGYHVLDESTHYSSDLRPTAKQLWKPSIGLLELGILNAEGLHPMKTRDSKGTSDTYCVAKYGQKWVRTRTIINSLSPRYNEQYTWEVYDPATVLTVGVFDNCQLGEKGPNGNKDLKIGKVRIRLSTLETGRVYTHSYPLLVLHPSGVKKMGELHLAIRFSSASLVNMMYIYSRPLLPKMHYVRPLTVMQLDILRHQAVNIVAARLSRMEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMSVFSGLFAVGKWFGDVCAWKNPITTALVHVLFIMLVCFPELILPTVFLYMFLIGVWNYRYRPRYPPHMNTKISHAEAVHPDELDEEFDTFPTSRSAEIVRMRYDRLRSVAGRIQTVVGDVATQGERVQALLSWRDPRATAIFVLFCLVAALMLYVTPFQFIAVLAGFYAMRHPRFRHRMPSVPINFFRRLPARTDSML >DRNTG_15797.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21566147:21571434:-1 gene:DRNTG_15797 transcript:DRNTG_15797.4 gene_biotype:protein_coding transcript_biotype:protein_coding MISCPRMGKALPPHVLSFTFDGQKFRTTIKEKDLNPSWNERFYFNISDPANLPNLALEAFVYSINKNSNTHSKPFLGKVRIAGTSFVPFSDAVPLYYPLEKRSIFSRVKGELGLKVFLTDDPSVKPSNPLPAIDPFPNNPLPGQVNLAQAQVPNSNSHAFPENKTESRNTFHSIPKEHNQYHSSTPAAEQPVKFVADEMKAEPPRIVRMYSSSSQQPVDYAIKETSPFLGGGQIVGGRVIRADKPASTYDLVEQMQYLFVRVVKARELPAKDVTGSLDPFVEVRLGNYRGITKHFEKKQNPEWNEVFAFSRDRMQASLLEVVVKDKDLVKDDFVGIVRFDLNDVPTRVPPDSPLAPEWYRLEDKKGDKTKGELMLAVWIGTQADEAFSDAWHSDAAASADASAVSAHLRSKVYHAPRLWYVRVNIIEAQDIVVSDKTRFPDVYVKAQIGNQFLKTKTVQARTMSPIWNEDLLFVAAEPFEEHLILSVEDRVGPNKDEVIGRVAIPVGSIERRADDKIVNSRWFNLEKPVAVDVDQLKKDKFSSRLHLRICLDGGYHVLDESTHYSSDLRPTAKQLWKPSIGLLELGILNAEGLHPMKTRDSKGTSDTYCVAKYGQKWVRTRTIINSLSPRYNEQYTWEVYDPATVLTVGVFDNCQLGEKGPNGNKDLKIGKVRIRLSTLETGRVYTHSYPLLVLHPSGVKKMGELHLAIRFSSASLVNMMYIYSRPLLPKMHYVRPLTVMQLDILRHQAVNIVAARLSRMEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMSVFSGLFAVGKWFGDVCAWKNPITTALVHVLFIMLVCFPELILPTVFLYMFLIGVWNYRYRPRYPPHMNTKISHAEAVHPDELDEEFDTFPTSRSAEIVRMRYDRLRSVAGRIQTVVGDVATQGERVQALLSWRDPRATAIFVLFCLVAALMLYVTPFQFIAVLAGFYAMRHPRFRHRMPSVPINFFRRLPARTDSML >DRNTG_15797.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21566147:21571434:-1 gene:DRNTG_15797 transcript:DRNTG_15797.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISCPRMGKALPPHVLSFTFDGQKFRTTIKEKDLNPSWNERFYFNISDPANLPNLALEAFVYSINKNSNTHSKPFLGKVRIAGTSFVPFSDAVPLYYPLEKRSIFSRVKGELGLKVFLTDDPSVKPSNPLPAIDPFPNNPLPGQVNLAQAQVPNSNSHAFPENKTESRNTFHSIPKEHNQYHSSTPAAEQPVKFVADEMKAEPPRIVRMYSSSSQQPVDYAIKETSPFLGGGQIVGGRVIRADKPASTYDLVEQMQYLFVRVVKARELPAKDVTGSLDPFVEVRLGNYRGITKHFEKKQNPEWNEVFAFSRDRMQASLLEVVVKDKDLVKDDFVGIVRFDLNDVPTRVPPDSPLAPEWYRLEDKKGDKTKGELMLAVWIGTQADEAFSDAWHSDAAASADASAVSAHLRSKVYHAPRLWYVRVNIIEAQDIVVSDKTRFPDVYVKAQIGNQFLKTKTVQARTMSPIWNEDLLFVAAEPFEEHLILSVEDRVGPNKDEVIGRVAIPVGSIERRADDKIVNSRWFNLEKPVAVDVDQLKKDKFSSRLHLRICLDGGYHVLDESTHYSSDLRPTAKQLWKPSIGLLELGILNAEGLHPMKTRDSKGTSDTYCVAKYGQKWVRTRTIINSLSPRYNEQYTWEVYDPATVLTVGVFDNCQLGEKGPNGNKDLKIGKVRIRLSTLETGRVYTHSYPLLVLHPSGVKKMGELHLAIRFSSASLVNMMYIYSRPLLPKMHYVRPLTVMQLDILRHQAVNIVAARLSRMEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMSVFSGLFAVGKWFGDVCAWKNPITTALVHVLFIMLVCFPELILPTVFLYMFLIGVWNYRYRPRYPPHMNTKISHAEAVHPDELDEEFDTFPTSRSAEIVRMRYDRLRSVAGRIQTVVGDVATQGERVQALLSWRDPRATAIFVLFCLVAALMLYVTPFQFIAVLAGFYAMRHPRFRHRMPSVPINFFRRLPARTDSML >DRNTG_15797.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21566147:21571434:-1 gene:DRNTG_15797 transcript:DRNTG_15797.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISCPRMGKALPPHVLSFTFDGQKFRTTIKEKDLNPSWNERFYFNISDPANLPNLALEAFVYSINKNSNTHSKPFLGKVRIAGTSFVPFSDAVPLYYPLEKRSIFSRVKGELGLKVFLTDDPSVKPSNPLPAIDPFPNNPLPGQVNLAQAQVPNSNSHAFPENKTESRNTFHSIPKEHNQYHSSTPAAEQPVKFVADEMKAEPPRIVRMYSSSSQQPVDYAIKETSPFLGGGQIVGGRVIRADKPASTYDLVEQMQYLFVRVVKARELPAKDVTGSLDPFVEVRLGNYRGITKHFEKKQNPEWNEVFAFSRDRMQASLLEVVVKDKDLVKDDFVGIVRFDLNDVPTRVPPDSPLAPEWYRLEDKKGDKTKGELMLAVWIGTQADEAFSDAWHSDAAASADASAVSAHLRSKVYHAPRLWYVRVNIIEAQDIVVSDKTRFPDVYVKAQIGNQFLKTKTVQARTMSPIWNEDLLFVAAEPFEEHLILSVEDRVGPNKDEVIGRVAIPVGSIERRADDKIVNSRWFNLEKPVAVDVDQLKKDKFSSRLHLRICLDGGYHVLDESTHYSSDLRPTAKQLWKPSIGLLELGILNAEGLHPMKTRDSKGTSDTYCVAKYGQKWVRTRTIINSLSPRYNEQYTWEVYDPATVLTVGVFDNCQLGEKGPNGNKDLKIGKVRIRLSTLETGRVYTHSYPLLVLHPSGVKKMGELHLAIRFSSASLVNMMYIYSRPLLPKMHYVRPLTVMQLDILRHQAVNIVAARLSRMEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMSVFSGLFAVGKWFGDVCAWKNPITTALVHVLFIMLVCFPELILPTVFLYMFLIGVWNYRYRPRYPPHMNTKISHAEAVHPDELDEEFDTFPTSRSAEIVRMRYDRLRSVAGRIQTVVGDVATQGERVQALLSWRDPRATAIFVLFCLVAALMLYVTPFQFIAVLAGFYAMRHPRFRHRMPSVPINFFRRLPARTDSML >DRNTG_34420.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2658308:2659877:1 gene:DRNTG_34420 transcript:DRNTG_34420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPPVDDETIAAPSATDGVTVDDMAVTVEEIADDVAIVAVDKINDSVMNLVERAPESAASKMDTIPEEQEPANIVSPIDAVAVATVEKVVDSIVNKIIVTVEPKADIAASMADTIPQQQEAYKDMSQLDHDDPKTAVDEGQGNAAEITTREKINANKKLEEVRKVFILKKKKYVGQSRLNKYEQELIRIFLNYPMDNTVVWKNDSISLTRSRLFDVLEGKEMVANHVMDAFEDTHDTTMAMIGDAARNLHDVEIVILPIIMNDHFHVVILDNDKQECRHYTSCQSKEYDKDALDMQNLFDLCIDMEFGESATVKYLFVHDTETPRQKQRSVDCAVYVMRLSTSSYSQMRSYGYRKRTSLICD >DRNTG_08837.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27926809:27927764:-1 gene:DRNTG_08837 transcript:DRNTG_08837.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCHYRPSSSSEPSKLHNVSPTIPISCSSSQSVEDL >DRNTG_25055.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6323334:6324056:-1 gene:DRNTG_25055 transcript:DRNTG_25055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVYSSQCASKSQPTPVLLILAADVGDLARKENEMFSPILRKWHPLAAGVAAATLHTCYGNELKQFVSSAMELTPELVQVLKA >DRNTG_10022.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3013536:3017134:1 gene:DRNTG_10022 transcript:DRNTG_10022.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSDVLREAITQVVGDAKTKKRNFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGLDYMDVEGLKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGNFPPWLLIRNPWKPK >DRNTG_10022.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3013536:3017134:1 gene:DRNTG_10022 transcript:DRNTG_10022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSDVLREAITQVVGDAKTKKRNFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGLDYMDVEGLKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKYFMFVLFGYTSFSFLVLD >DRNTG_10022.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3013536:3017134:1 gene:DRNTG_10022 transcript:DRNTG_10022.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSDVLREAITQVVGDAKTKKRNFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGLDYMDVEGLKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVTHQESLEAKVNETKAMVKFQLKKVLCMGVAVGNCSMEDKQIFQNVQLSVNFLVSLLKKNWQNVRCLYLKSTMGKPVRVF >DRNTG_03369.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2263264:2264694:-1 gene:DRNTG_03369 transcript:DRNTG_03369.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIRIVLAIAAQLELQVYQLDVKSAFLNGKLEEEVYVEQPQGFEIKGKEEKVYRLKKALYGLKQAPRAWNSRINHYFQENGFQRSPNEPSLYIKRDGQNFIIVCIYVDDLIYMGTNLKLIADFKSSMMTEFEMTDLGMMKYFLGIQVKQQKGEIFISQEKYLVDLLQKFHMQNSKPIATPLAVNEKLKMEDGAKKVDDAMFRSLVGSLLYLTHTRPDIMQSVNMVSRFSSSPSTLHFAAAKRILRYLNGTRKLGIKYMKESKRSLIGFSDSDWGGSTDDRKSTSAYIFCIGSNVIAWNSKKQQTVALSSAEAEYSAATEAACEAVWLRRILEDLGQKQDGPTVIYCDNMSAIAMSKNPVFHARSKHIELRVHFIRDLVQKGEIQMEFLSTHEQPADMLTKAITAEKFEKHKKQLQLTN >DRNTG_14793.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29918824:29922665:1 gene:DRNTG_14793 transcript:DRNTG_14793.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 6 [Source:Projected from Arabidopsis thaliana (AT3G21350) UniProtKB/Swiss-Prot;Acc:F4IXJ7] MAATPAPPPNAAAGNPIVDGASTAPPTPGTDMTGICFRDQLWLNSFPLDRNLVFDYFAISPFYDWTCNNEQLRLRSIHPLDLSHLSKMTGNEYMLCEVMEPHLFVIRKQRRDGPEKTTPLLAYYVLDGSIYQAPQLCNVFAARIGRALYHISKAFTLTASKLEKIGYVDTEKEGTNSEGKTGKETIDMKELKRVDHILASLQRKLPPAPPPPPFPEGYAPPPTSEAEKGPDEQQNTETQPPPADPIIDQGPSKRPKYQ >DRNTG_12616.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20461000:20462279:-1 gene:DRNTG_12616 transcript:DRNTG_12616.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNALAACAASAAVEMGVWIHNYVRRSRWVLDVVLGTSLVDMYSKCGRVEDAVKVFDEMPEKNVWTWNSVIGGMAMVKCGDEALMWFLKMEEEGVRPDPVTLVGVLSACSHAGMIMMGRRLFRLMVEGKYGFRPGIKHYGCMVDMFCRAGMIGAAVEVVERMPWEVNVAIWGCLLKGSRAHGDLGLSEFAARRLVEIEPGNVAHYVVLGSLYAEMGR >DRNTG_28312.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29342918:29344287:1 gene:DRNTG_28312 transcript:DRNTG_28312.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLAKITSLSYPRGRIFFNGPIIVGSGPSGLAVAACLRDKGVPFLVLEKSNCIASLWQTRTYDRLKLHLTKHFCQLPKLPFPDHFPKYPSKKQFILYLESYAKHFNINPTFNETVLSAQYNKASGFWQVRSVNTGGVKEAEGILEHEYICRWLVVATGENAEMVIPEFDGLSSFDGRVIHTSEYKSGKEYHGQRVLVVGCGNSAMEVCLDLCHHNAIPFMVLRNSVHVLPREMFGKSTFELAVILMKWLPIWMVDKILLAYAYIVFGDIEKYGIKRPCIGPLELKNTQGKTPVLDTGTLEKIKSGEIKIVPGIKSFSSGKVEFVDGKFIDIDSVIFATGYRSNVPSWLQGSGEFLSENEWKGNAGLYAVGFTKRGLFGASMDAVKIAEDIADKFFACIP >DRNTG_00238.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26018363:26018800:-1 gene:DRNTG_00238 transcript:DRNTG_00238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFKLSMALFLLLSGMVLFKGIQCRTAQKICPLYCLRDLEYMTCESSGNEKLDPVCNCCLAPQNCTLHLTNGNQIHC >DRNTG_23883.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:70543:72859:1 gene:DRNTG_23883 transcript:DRNTG_23883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDYIPPARSRRLAAEILWPDLEKNNKASGFKSWEWEFDDDFEADFQQFIAEPEVKEKEKKAMGIGVTKPISSFQLSKVPFSHEAVAIPLKPKKLDEPLKKPAERKRKNLYRGIRRRPWGKWAAEIRDPRKGSRVWLGTFNTAEEAARAYDAEARKIRGDKAKVNFPEEAPLSTEKFTPKAIPSQMPKLDGSFNCVKNPVDGLHSTEFSDQKYEVAQSDFLNAYSLMKPQMNVQSGFSFEQDIKSTEITPVCAANTVKSNESPVCEDVNPHKKLKNNYGEAVPAEESTELEFYMKFLQMPCIDGNKSESIDNIFSNVAAPEYGGNDVDLWNFDDLPMISSIF >DRNTG_17791.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:7319214:7321230:-1 gene:DRNTG_17791 transcript:DRNTG_17791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLQLFLFLFLFLTTSNAASPNPFTAKAALIRYWDRKTPNQRPHPSFLLSKLSPLSALDSTTYSSLLSSNPSSLTPHLLIFCSAANLLCSPSLTSKSPKDSNFANYNNVNFTNYGTATASGQDSFKKYSKSQIVPVDVFRSYSRASAGHDETFTTYSPNGTVITDNFTSYATTATGGSGDFTSYGSFSNVPELTFKNYETGSNGHAQNFTSYSDDTRVGDETFTGYGKGATGVPTNFKSYANHSDLIISTFKSYGEDATGPSDTFTKYGNNPGISHQNFQSYSENANSGKERFSSYRGDSDVGDDKFQSYGKGGNHPIVDFKTYGHDYKTGEDHFKNYGEKANNPEISFTTYKGNPTDFKSYAKTGVIFKDYENTTTPSAKVESSGKTVNRWIEPGKFFRESSLKEGIVMPMPDIRDKMPERSFLPRDISGKLPFNAIELGNIFNAPAGTGLGSHG >DRNTG_33370.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20877897:20888988:1 gene:DRNTG_33370 transcript:DRNTG_33370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLGMGTMFLNNKAEKYSQLVPQQDIITGIEEVTSTAKPMLREIRRFPRRLKKLIERLPQQEINEEEASLFDMLWLLLASVVFVPLFQKIPGGSPVLGYLAAGILIGPYGFSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGTAQVLATATVVGLVAHFASGLPGPAAIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPSSSKGGIGFQAIAEALGLAGVKAVVAITAIIAGGRLFLRPIYKQIAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLLSNFPVIVGTLSLLIGGKTLLVSLLGRILGLSSTAAIRTGLLLAPGGEFAFVAFGEAVNQGIMSPQLSSLLFLVVGISMALTPWLAAGGQMIASRFDQQDVRSFMPVESETDDLQDHIILCGFGRVGQIIAQLLSERLIPFVALDVDCERVSQGRALDLPVYFGDAGNREVLHKVGAERACAAAITLDTPGASYRTVWALNKYFPNVKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLAAAVLAQVTKKY >DRNTG_33370.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20877897:20888988:1 gene:DRNTG_33370 transcript:DRNTG_33370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNNKAEKYSQLVPQQDIITGIEEVTSTAKPMLREIRRFPRRLKKLIERLPQQEINEEEASLFDMLWLLLASVVFVPLFQKIPGGSPVLGYLAAGILIGPYGFSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGTAQVLATATVVGLVAHFASGLPGPAAIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPSSSKGGIGFQAIAEALGLAGVKAVVAITAIIAGGRLFLRPIYKQIAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLLSNFPVIVGTLSLLIGGKTLLVSLLGRILGLSSTAAIRTGLLLAPGGEFAFVAFGEAVNQGIMSPQLSSLLFLVVGISMALTPWLAAGGQMIASRFDQQDVRSFMPVESETDDLQDHIILCGFGRVGQIIAQLLSERLIPFVALDVDCERVSQGRALDLPVYFGDAGNREVLHKVGAERACAAAITLDTPGASYRTVWALNKYFPNVKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLAAAVLAQVTKKY >DRNTG_07008.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3194646:3194826:-1 gene:DRNTG_07008 transcript:DRNTG_07008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFKNQGQTAAVDEKRMNKEQFKEWLKTVDVDGDGKISKEELRNGLRALGLHFAWWKAD >DRNTG_22380.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17009090:17010403:1 gene:DRNTG_22380 transcript:DRNTG_22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGHPCLEEELCFPPLFGEEFPLKLVIMLVNLVNGQCYLTPVEETIAELKVHMSGRHWEIIRRTLFAAFTENEAVFQERALLDSLLQRYDDRTNKFRIGESLLTGNKKKVRFGKTSRMLCYGETSDD >DRNTG_20222.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20988686:20990980:1 gene:DRNTG_20222 transcript:DRNTG_20222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLPRGCRFHPSGFELIEYLSDKVSGCEDPGQGFIKDDVDAYASSPDLLFAKFCPDDEDGRLFCFTPIKFRITHHF >DRNTG_20222.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20988686:20989295:1 gene:DRNTG_20222 transcript:DRNTG_20222.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLPRGCRFHPSGFELIEYLSDKVSGCEDPGQGFIKDDVDAYASSPDLLFGDSIFYLNFDPI >DRNTG_06108.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20059610:20063716:1 gene:DRNTG_06108 transcript:DRNTG_06108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDQRRKPSAELDFFTEYGEGSRYRIEEVIGKGSYGVVCSALDTQTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVIFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDAPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSQEVIARIRNEKARRYLSTMRRKKPIPFSQKFPNADSLALCLLEKMLAFEPKDRPSAEEALADPYFKSLARVEREPFAQPVTKMEFEFERRRITKEDVRELIYREILEYHPKLLKEFLEGTESTGFMYPSAVDQFKKQFAYLEEHYKNGSTGTPPERQHASLPRPCVVYPDNRPQSSGDVVGDLAKCSIKDVEKSQPDKNYAIHVKRTPLQVPQRAQGAAARPGKVVGSVLRYGSGLNSAAEVYDQRRVVRNPAVPLQYTSSSCSYPRRNPSCKNQRGDEDGIEGSSIAQPKPCIAREVTAAANGGTGGNW >DRNTG_16716.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9620889:9624832:1 gene:DRNTG_16716 transcript:DRNTG_16716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTSNTPATSRSVPSSRNSAPSSSSYLRPLISEIAKQLVRFEEE >DRNTG_28105.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27975863:27981631:-1 gene:DRNTG_28105 transcript:DRNTG_28105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLAWAADVVGGSGQSDEGEDDERRSVPALFTPEQQSRARELDDRSKSLRRSIQDLRLRIPPAHIAQRLPHLHAHSVASSNALALQLNAHSTTREQAQFREVTLLEENAAYEKAISNCQKKIDDKVHEAELLCSKLKELDMMEKILKDELEKALAENSQFGRLSDNIRSMQEPHLERQPSKSSKVKQLEDKKHELSLMEENLQSLENQWASVQHESARQPSPAQREKLLEKQLHGLVEQLTAKQAQAEGLMREIRNKEKDLEILNGLRRRVESETIDTNTTRNRFNRGSFGTFTDNSFELLRRPLHTGSRTENQQKLMLLRSALVLYILTLNVIVFIKLSF >DRNTG_18334.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1665934:1668770:-1 gene:DRNTG_18334 transcript:DRNTG_18334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHNVLSKLLFFSLILLLCNASDDHRLAYIIHMDVSAKPAPFSDLESWHMSILSSISSSTFGEKPATHLYSYSHVIQGFSAVLSLRQLENIKQVPGHVATYADSYGKLHTTHTPQFLGLSSGSALWPASNYGNGMIIGMIDTGIWPESQSFNDDGLPPVPERWKGTCVTGTEFNSSLCNRKLIGARYFSKGLKQAGINISNADDYESPRDYEGHGSHTSSTAAGSPVSGANYFGYAPGTAIGMAPKAHIAMYKVLFAADTWDSAATDVLAGMDQAIIDGVDLMSLSLGFFKTPYHDDIVAMGAFAALKKGIFVSCSAGNDGPHAYTIINGAPWLTSVAAGKIDREFVATVNLGNGDKIIRGLSVYPERLFVSNVSLYYGLGNTSKESCSSLSLDKNEVAGKIVFCTLTDDVNVYSQMDEVLRVEAEGAICVSDLGEFLFPKDYFMPFVVVSTSEGQLIKKYITGTTNMAAVSITFIETELGTMPAPKAAFFSSRGPSRISPGILKPDILAPGINILAAWAPNRAFAPIGNDYLVTDYALVSGTSMASPHIIGIAAIIKSVHRNWSSAAIRSAMMTTADTADNTGKPIIDMISGIAGSPLEYGAGHINPNKAMDPGLVYDITVQDYIDFLCGLNYTSLQIGMIIGKANYTCIRANLDLNYPSFMVILNNTNTTTGTFKRVLTNVGSSVTSSYRSVVRVPEGMKIYVEPQTLIFNEWNSTQEFSVRVDIDLSKSTGPQSEYLGNYGYLSWNEIGGEHAVTSTIVSAFAP >DRNTG_03169.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19571817:19576339:1 gene:DRNTG_03169 transcript:DRNTG_03169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAVSKEVDGDVLAFDLGLELLNKGLEESIDHHCLINCSIMKHSLSLLLFACYHLFTTPLVHGENEGERLLSMVRSKADWSNKIYDVRLHVDSTRELTEDNIDTVYFNKQDGLKEADKIARLPGQPNGVDFEQYAGYVMVDPQAGRALFYYFAEAPKKPSGDINKPLVLWLNGGPGCSSIGSGAMSELGPFYVKSDGKTLYRNAYAWNNFANILFLESPAGVGFSYSNTTADYSNTGDERTARDSYTFLINWLERFPQYKTSDFFIAGESYAGHYIPQLANLILKNNNKYKDHTAINLKGILIGNAFIDRAHNEEGMFDYVYRRYIITKKSYDQIKSTCKFAEKEYSAECREALSVAGEEKGRLNMYNIYGPTCNIKSKDSLQSSMNKGADPCSGYYVDSYMNLPEVQTALHARHYKVEPSMD >DRNTG_28162.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:501391:502375:-1 gene:DRNTG_28162 transcript:DRNTG_28162.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMDTPLETIPLLKNFVKGDDAYRNSRFKLIPYISKGSWIVKQSVGKKACLVGQGLRINYFSGKNYLEVCIDVGSSTVARGVVSLVLGYLNNLVIELAFLIQGNTQEELPEVLLGTCLVNHLDASRAVPVK >DRNTG_28162.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:501391:506132:-1 gene:DRNTG_28162 transcript:DRNTG_28162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISLVDGKMEGWLYLIRFNRLGLQNFKRRYIILDTNSLSCYKFVPTSRTQSPVRNVPIDSCTRVMDNGRESIHKNDFYVFTLYNAANHIDPLKLGARSSEEAARWIHSITDTALQELPNKGENFVPCSRRRKQPHRLNTKERARSSSIDWTGLLSPRSYSMSSDVIAPSPWTIFGCKNGLRFFKEARDGNSHGKWDDNQALMAVGVVDATSEAIFQTVMSLGPSRSEWDFCFSCGGVVEHLDSHTDVIHKKFSDALIPWGMKRRDLLLQRYWRREDDGTYVILYQSVFHPKCHPERGCIRAYLKSGGFVITPVNQGKQSVVKHMMAVDWRVWRAIVFSSSAKFMTMQMLGRVAALREFFRAKIGNCIFADVSSVELTREIGLPQSETEDIKMEVQSLGETGKCADSSEETSNSPSKHANINVSIPRRNDVVEEFFDVPDESEYDLDSTWPSDAKMLSQDKCHSLPTTAVFVHRLQNLTVERSNSMYSLDTRTGNMVTCRYGTTLPYDSSCASPCTWATADPSSFLIRGQTYLQNNQKVKATSTLMKLVGTDWLSSDKREDDLGGRLGEHSSGVCCTRRVANFFSLSTYRSPVRQHTILPFII >DRNTG_28162.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:501391:504611:-1 gene:DRNTG_28162 transcript:DRNTG_28162.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVVDATSEAIFQTVMSLGPSRSEWDFCFSCGGVVEHLDSHTDVIHKKFSDALIPWGMKRRDLLLQRYWRREDDGTYVILYQSVFHPKCHPERGCIRAYLKSGGFVITPVNQGKQSVVKHMMAVDWRVWRAIVFSSSAKFMTMQMLGRVAALREFFRAKIGNCIFADVSSVELTREIGLPQSETEDIKMEVQSLGETGKCADSSEETSNSPSKHANINVSIPRRNDVVEEFFDVPDESEYDLDSTWPSDAKMLSQDKCHSLPTTAVFVHRLQNLTVERSNSMYSLDTRTGNMVTCRYGTTLPYDSSCASPCTWATADPSSFLIRGQTYLQNNQKVKATSTLMKLVGTDWLSSDKREDDLGGRLGEHSSGVCCTRRVANFFSLSTYRSPVRQHTILPFII >DRNTG_22431.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23361732:23374880:1 gene:DRNTG_22431 transcript:DRNTG_22431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRIPNFAASSPVENILDKETFTLEELLDEEDIIQECKALNTRLINFLRDRTQVAQLLQYIIEDAPEDAENRRIFKFPFIACEIFTCEIDVILKSLVEDEELMDMLFSFLEPNRPHSSLLAGYFSKVVICLMLRKTMSLMNYVQNREGIFRRLVDLIGITSIMEVLVRLVNADNMYPNYMDVMQWLADSDLLEMVVDKLNPSMSPEVHANTAEVLWAIIRNAPSALASKLTSPCFIAKIFSHGLEDPLSKSALVHALSVCISFLDPKRASPTVTHSIRSQHVYEQCTDVNAETVSAMLTKLGDLLKILNVSCEENILPTTYGELRPPFGKHRLKIVEFVSVLLGTGDQRAENQLISCSAIQRILDLFFDYPFNNALHHHVESIIVSCLESKNAAIVDHLFRDCNLVQKFLEADKNPVLCCDANVLTSPTASRQPPRAGYIGHITRIANKIVQLSNNNEHIWAYLKDDSEWMNWQNVLSERNAVENVYKWACGRPTAMQDRRDNDEDEIYDRDYDVTALANNLSQAFRYCVYENDDTNEVHGSNDQDDEDEYFDDDSAEVVISSLRLGDDQERCLFTNSNWFAFQDEKISEEPVSTSALDRLEEIDLNGTESHNSSKGEVLMSGNGLPSLPSMNGLTCPDVPGAGAPVDLEPFQFETRGNDDLFNDANVPDWVGWCGPSDILVDGPTEDCSFPADTVVSVTNGSPMSISESSAEGETGEPDALLFEDDAEFVGVEMEGTVRIDEHVIEGGINGTAATNSRSQLPELRKNEAAGIMEFNDLNRWRVEPEVGVVQE >DRNTG_09945.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18319338:18322338:-1 gene:DRNTG_09945 transcript:DRNTG_09945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHRKAIEHLKQESEVKIHDLERQLSCSLLQQAADKTLINQLQQDLAAHRTQIDILKTNLEQSAAGFDKKYHQEIHDLKDWVLVEQEEKKELQKKLQNAECELLNLKTKQAEEQRESISIRQIETLKQKIMKLRKENESLKRRLHVSEL >DRNTG_09945.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18319338:18320239:-1 gene:DRNTG_09945 transcript:DRNTG_09945.3 gene_biotype:protein_coding transcript_biotype:protein_coding SCSLLQQAADKTLINQLQQDLAAHRTQIDILKTNLEQSAAGFDKKYHQEIHDLKDWVLVEQEEKKELQKKLQNAECELLNLKTKQAEEQRESISIRQIETLKQKIMKLRKENESLKRRLHVSEL >DRNTG_09945.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18319338:18322338:-1 gene:DRNTG_09945 transcript:DRNTG_09945.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAAAATSEEGMETLIRDFEHIYQGYKDAVLEIQSLKSSYSAEITRREALELACSDLKNDNEHLKKLYANSLTKLTHQMRCHIKCQSLKEELKDADDRLIGLDDNHRKAIEHLKQESEVKIHDLERQLSCSLLQQAADKTLINQLQQDLAAHRTQIDILKTNLEQSAAGFDKKYHQEIHDLKDWVLVEQEEKKELQKKLQNAECELLNLKTKQAEEQRESISIRQIETLKQKIMKLRKENESLKRRLHVSEL >DRNTG_33591.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9299533:9301148:1 gene:DRNTG_33591 transcript:DRNTG_33591.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATENPHEGDPLLPVGHPSLQWFGGACSVFWTIVRLDLPPPAMMPISRVPRSDRNSCQAQSYHDYALSPDFLSLETVSTHQGKHTQDAPSYVFHFLMSPTYQF >DRNTG_24379.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16715814:16727838:-1 gene:DRNTG_24379 transcript:DRNTG_24379.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFLNSLPAARAIVSEGNHMHPSRTSDFGALEQNIGLCIEETTILSRDPVIDPKPSSQAVVTDLQFSAYANTHPSSDIASLAARIGPLKLPQSGQQPHPASVSSSHFENWGESTMADASPRTDTSTDVDHDDKNPRVQPIAVVPSDSSDRSKDKIGDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQKARQQGIFISSTGDQSHAVGGNGALTFDMEYARWLDDHNRQISELRNAVNSHASDTDLRAIVDGIMSHYDDIFKLKNAAAKADVFHLLSGMWKTPAERCFMWLGGFRSSELLKLLASHLEPLTEQQLMGICNLQESSQQAEDALSQGMEALQQSLAETLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLQQMQRILTTRQAARALLAINDYFSRLRALSSLWLARPRD >DRNTG_24379.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16715814:16727838:-1 gene:DRNTG_24379 transcript:DRNTG_24379.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADASPRTDTSTDVDHDDKNPRVQPIAVVPSDSSDRSKDKIGDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQKARQQGIFISSTGDQSHAVGGNGALTFDMEYARWLDDHNRQISELRNAVNSHASDTDLRAIVDGIMSHYDDIFKLKNAAAKADVFHLLSGMWKTPAERCFMWLGGFRSSELLKLLASHLEPLTEQQLMGICNLQESSQQAEDALSQGMEALQQSLAETLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLQQMQRILTTRQAARALLAINDYFSRLRALSSLWLARPRD >DRNTG_24379.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16715814:16727838:-1 gene:DRNTG_24379 transcript:DRNTG_24379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFLNSLPAARAIVSEGNHMHPSRTSDFGALEQNIGLCIEETTILSRDPVIDPKPSSQAVVTDLQFSAYANTHPSSDIASLAARIGPLKLPQSGQQPHPASVSSSHFENWGESTMADASPRTDTSTDVDHDDKNPRVQPIAVVPSDSSDRSKDKIGDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQKARQQGIFISSTGDQSHAVGGNGALTFDMEYARWLDDHNRQISELRNAVNSHASDTDLRAIVDGIMSHYDDIFKLKNAAAKADVFHLLSGMWKTPAERCFMWLGGFRSSELLKLLASHLEPLTEQQLMGICNLQESSQQAEDALSQGMEALQQSLAETLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLQQMQRILTTRQAARALLAINDYFSRLRALSSLWLARPRD >DRNTG_24379.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16715814:16727838:-1 gene:DRNTG_24379 transcript:DRNTG_24379.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADASPRTDTSTDVDHDDKNPRVQPIAVVPSDSSDRSKDKIGDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQKARQQGIFISSTGDQSHAVGGNGALTFDMEYARWLDDHNRQISELRNAVNSHASDTDLRAIVDGIMSHYDDIFKLKNAAAKADVFHLLSGMWKTPAERCFMWLGGFRSSELLKLLASHLEPLTEQQLMGICNLQESSQQAEDALSQGMEALQQSLAETLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLQQMQRILTTRQAARALLAINDYFSRLRALSSLWLARPRD >DRNTG_11952.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5168803:5170047:-1 gene:DRNTG_11952 transcript:DRNTG_11952.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSLHSPPSSFIHGRYLPSPFAGPPKKGSPSSFSLSLSLTSQAHASAKLHLSLLPFLLQILGHELYFSYSSVIRRSS >DRNTG_20548.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:588064:589563:-1 gene:DRNTG_20548 transcript:DRNTG_20548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLKFREDQKPLVRAKIPISIFGLPFLSGFSAGDTRELRLDLATAFDSGPSLRASYRPNDPLNPFTLSLRTGVGARGSPTAAPMTITAEFNLLSRSSSSSLHSFSILFKPRLGDFSLKKCIDSTTISRPIKSTVDKADDSDHDGTPRKGMLGMISGTEVAASSMMPLRGSAAVRFRWGLKLPAELQTAFSDRDRTAGIPFARIPLLVMSKISIEHVATDTKVKKTKAEEPDDVAKGSGDVAQTCSLIRRQLEALRAENGAMSNALEEIRKEVGVADVAKREMCVADVAKRETRGAPPMPSPEELTQELAKALKK >DRNTG_09559.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22632233:22637555:1 gene:DRNTG_09559 transcript:DRNTG_09559.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALRKVSTMATGTPNPIGLSPRLASIVQQLRLYKPSSPPNDDEDAVEEMDARGKIFSQLGLAESSAPCRPEKLPPRSAAVLICLFEGDVGDLRVILTKRSSNLSSHSGEVSLPGGKTEEADVDDADTATREAKEEIGLDPSLITVVTVLEPYLSKHLLRVAPVISILTDKQAFKPVLNTDEVDVIFDAPLEMFLKDENHRSEEREWLGVKYLIHFFDYESKDNKFVIWGLTASILIRAASVVYQRPPSFLEQNPRFMLPSPSSGTCSI >DRNTG_25113.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:896327:897979:1 gene:DRNTG_25113 transcript:DRNTG_25113.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAEIVLEMDPLLDSIKEKLQVIGSQTGEVEEPCTIYRVVPSIRGEEGAAFDPKIISIGPFHRNKKHLLPMEDIKWCYLHKLLSRVQENTLEKLLGVVRAQAPQARKMYSEKLCLEPDAFVKMLLLDGCFIVEFLVRFLFEENHGQLSDTNWKMPLVRSDLLLLENQIPFFILQSLFDSSVASLFDLKNQNEPPITLKELALSYVTLGRLETLPEPVNNVKIHHLLHLFQISLTPNPALGGPQPFSCMKILMQFLRKCKRFIIDLIIWSLSICLCCFAVFRRHSYLLPSKRDSSRAPRTIPSATELQEAGIIFKKRKMNQEMQNMCYLDVKFEDGIMEIPCVPIQAMTISLFHNLIAFEQCYPNSGSHFTSYAALMDNLINTPMDVAVLRDCGIIESKLGSDNEVAIFFNQLCKGGYLDYENHYLAEVFKDVRKFSSSSGHRWRAMLVRDYFSNPWAIISFAAAFVLLGLTIVQTVFSILTYVCPPKQPT >DRNTG_15005.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23905046:23909008:1 gene:DRNTG_15005 transcript:DRNTG_15005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMVLGSGALLVELDLRWRLGKVVVCSLRKGRGGNGVKDGAGQAGEVKKGQNGGKDADRGMAPAPKAQVVGWPPIRSYRKNTMATNPSKNKDDAEGKQSAGCLYVKVSMDGAPYLRKVDLKMYCNYKELSSALEKMFSCFTIGQCGSHGIPGRDGLSESRLMDLLNGSEYVLTYEDKDGDWMLVGDVPWEMFTDSCRRLRIMKGSDAIGLAPRAMEKCKNRN >DRNTG_27245.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20152447:20155761:1 gene:DRNTG_27245 transcript:DRNTG_27245.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G26840) UniProtKB/Swiss-Prot;Acc:Q9ZVH3] MDISSIAAKLSLSDSKLLIRKASELRRLSDIQFDSSVMGVGEVCKAVICLDIAASRLQVLIDRQSAIKMSGLSEKAYIRSYNVMQNGLGVKTSLDVRELGIQFGCVRLIPFVQKGLSFYKERFLAELPASRRANTDFNRPVFTAVAFYLCAKKHKLKIDKLKLIELCGTSESEFSSVSSSMKDLCFDVFGISNEKKDPRSVKGHRDLLDALPGKRRRQNDEDASEDDNDELPSYKRHKKAEKHAYEDWKTTVISSNKQSDEKVSVKRKKQTKLNFGPKSPKTITLEAS >DRNTG_27245.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20152447:20154432:1 gene:DRNTG_27245 transcript:DRNTG_27245.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G26840) UniProtKB/Swiss-Prot;Acc:Q9ZVH3] MDISSIAAKLSLSDSKLLIRKASELRRLSDIQFDSSVMGVGEVCKAVICLDIAASRLQVLIDRQSAIKMSGLSEKAYIRSYNVMQNGLGVKTSLDVRELGIQFGCVRLIPFVQKGLSFYKERFLAELPASRRANTDFNRPVFTAVAFYLCAKKHKLKIDKLKLIELCGTSESEFSSVSSSMKDLCFDVFGISNEKKDPRSVKGHR >DRNTG_20917.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22189360:22192179:-1 gene:DRNTG_20917 transcript:DRNTG_20917.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTWISSVCKGALHILGSDLTGGIMNPASAFGWAFARGDHITPEHLLVYWVAPIHAAILGMWTFRLLTETSKNKEPRDELKKAKSE >DRNTG_20917.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22189360:22192958:-1 gene:DRNTG_20917 transcript:DRNTG_20917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTWISSVCKGALHILGSDLTGGIMNPASAFGWAFARGDHITPEHLLVYWVAPIHAAILGMWTFRLLTETSKNKEPRDELKKAKSE >DRNTG_14164.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10086612:10088409:1 gene:DRNTG_14164 transcript:DRNTG_14164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLRPCLPGDTHFQDLSLGHHEARIFKGTEHDHALPLLSLNTQHFLQFSLIWMLPSGDAHLLQATECERIQATDKSHAGHASQETHAPNKTGDKWTNKNRVLTTVSSLMPQRSDNNKPYVEGNLSLHAY >DRNTG_01421.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000079.1:29553:34479:-1 gene:DRNTG_01421 transcript:DRNTG_01421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEIEESLFVNGDAKLHGEMCRKLSTIVGRVLAIFPALEAGRPRSKSGIQALCSLHVSLDKAKNLLIHCSECSKLYLAITGDPILMKFKRARCSLLESLRRVEGIVPEDIGCQIMEIVGELEETIFTLDESEKAVGDEVISLLQKDRQCNGSLNDNEELEVFHQCTSRLGITSSRSALAERRALKKLIDRARAEEDKRKESIVSYLLRLMRKYSKIFRSEIGDDTDSQGSTPRSPTVLGSFEEISCAGTNGLAFERQLSKLRSFNFKQNSKTSGNMPIPPEEFRCPISLQLMSDPVIISSGQTYERICIEKWFNDGHSTCPKTQQQLCHLSLTPNYCVKGLIASWCEQNGIPIPCSPPESVGVSYSRLALEGTDSQSIGSVDSCKPKSIMMHHLDESCISEELEGNGVGTLEDNSSCQDRAAGELESYDSLLTVLYEGKSARRRCRAVEQLRFLLKDDEEARIYAGANGFVDALIHFLQSAIDDGDQKSQEAGAMALFNLAVNNNRNKGMLLSAGVMPLLEQMIFNTQIYESATALYLNLSCLDDAKPIIGSSQAVTFLVQLLQADSSASTSCKHDALYTLYNLSTHPSNIPSLLAAGIVDALYSLLVSSAESSRYAWAEKTLAVLINLSSSKAGKHEIVSTSGLIGALAMLLDTGESSEQEQAVSCLLLLCNGDEICSQMVLQEGVIPALVSISVSGTTRGIEKAQKLLKLFREQRQSEPALSCVQQHNEDCSNGNATVSETKSLCISKSKKFSRTLSSMWKNRTFSVYHC >DRNTG_01421.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000079.1:29553:31705:-1 gene:DRNTG_01421 transcript:DRNTG_01421.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVGELEETIFTLDESEKAVGDEVISLLQKDRQCNGSLNDNEELEVFHQCTSRLGITSSRSALAERRALKKLIDRARAEEDKRKESIVSYLLRLMRKYSKIFRSEIGDDTDSQGSTPRSPTVLGSFEEISCAGTNGLAFERQLSKLRSFNFKQNSKTSGNMPIPPEEFRCPISLQLMSDPVIISSGQTYERICIEKWFNDGHSTCPKTQQQLCHLSLTPNYCVKGLIASWCEQNGIPIPCSPPESVGVSYSRLALEGTDSQSIGSVDSCKPKSIMMHHLDESCISEELEGNGVGTLEDNSSCQDRAAGELESYDSLLTVLYEGKSARRRCRAVEQLRFLLKDDEEARIYAGANGFVDALIHFLQSAIDDGDQKSQEAGAMALFNLAVNNNRNKGMLLSAGVMPLLEQMIFNTQIYESATALYLNLSCLDDAKPIIGSSQAVTFLVQLLQADSSASTSCKHDALYTLYNLSTHPSNIPSLLAAGIVDALYSLLVSSAESSRYAWAEKTLAVLINLSSSKAGKHEIVSTSGLIGALAMLLDTGESSEQEQAVSCLLLLCNGDEICSQMVLQEGVIPALVSISVSGTTRGIEKAQKLLKLFREQRQSEPALSCVQQHNEDCSNGNATVSETKSLCISKSKKFSRTLSSMWKNRTFSVYHC >DRNTG_01421.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000079.1:29553:32450:-1 gene:DRNTG_01421 transcript:DRNTG_01421.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKRARCSLLESLRRVEGIVPEDIGCQIMEIVGELEETIFTLDESEKAVGDEVISLLQKDRQCNGSLNDNEELEVFHQCTSRLGITSSRSALAERRALKKLIDRARAEEDKRKESIVSYLLRLMRKYSKIFRSEIGDDTDSQGSTPRSPTVLGSFEEISCAGTNGLAFERQLSKLRSFNFKQNSKTSGNMPIPPEEFRCPISLQLMSDPVIISSGQTYERICIEKWFNDGHSTCPKTQQQLCHLSLTPNYCVKGLIASWCEQNGIPIPCSPPESVGVSYSRLALEGTDSQSIGSVDSCKPKSIMMHHLDESCISEELEGNGVGTLEDNSSCQDRAAGELESYDSLLTVLYEGKSARRRCRAVEQLRFLLKDDEEARIYAGANGFVDALIHFLQSAIDDGDQKSQEAGAMALFNLAVNNNRNKGMLLSAGVMPLLEQMIFNTQIYESATALYLNLSCLDDAKPIIGSSQAVTFLVQLLQADSSASTSCKHDALYTLYNLSTHPSNIPSLLAAGIVDALYSLLVSSAESSRYAWAEKTLAVLINLSSSKAGKHEIVSTSGLIGALAMLLDTGESSEQEQAVSCLLLLCNGDEICSQMVLQEGVIPALVSISVSGTTRGIEKAQKLLKLFREQRQSEPALSCVQQHNEDCSNGNATVSETKSLCISKSKKFSRTLSSMWKNRTFSVYHC >DRNTG_32230.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001809.1:5551:6450:1 gene:DRNTG_32230 transcript:DRNTG_32230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAFNDLSLHSYGIRIEGVMKHSIDYDSSSSYNKAVIDVEDYGAKGDGRDDSEAFEKAWGVACSSSQPVTFMVANDKKYKLKPLTFQGPCKSNVKVMIEGSIEASSDQSDWDGKDERHWLLFDEINDLEVGGGGTIDGNGNIWWQNSCKIKTSLVILTLKICQLNHFRYIC >DRNTG_11938.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18950237:18953420:1 gene:DRNTG_11938 transcript:DRNTG_11938.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enolase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74030) UniProtKB/Swiss-Prot;Acc:Q9C9C4] MAALSQSYHILNGSLPTTFRSRSRRPSIRASIATPSTAAAATTVKDSTLQSLKARQIIDSRGNPTVEVDLVAGGRVYRSAVPSGASTGIYEALELRDEDKAVYGGKGVMKAVRNINNVLALKLVGVDVRNQSDVDAIMLELDGTSNKSNLGANAILGVSLSVCRAGAGVKGIPLYKHIQEISGTKELVMPVPAFNVINGGSHAGNNLAMQEFMILPVGAASFAEALRMGSEVYHILKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLVMDAIEKAGYSGKIKIGMDVAASEFFMKDGRYDLDFKKKPNDGSYVRTAQSLGELYKEFIRDFPIVSIEDPFDQDDWGCWASLQSSVDIQLVGDDLLVTNPKRIAEAIQKKACNGLLLKVSISMSSF >DRNTG_29676.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4008127:4010268:1 gene:DRNTG_29676 transcript:DRNTG_29676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKRHPFEGGVGVCASCLRERLLELAAAQNAISLPPPLPPPPPPPPPLDFPRSVSPYLSRRRCLNPDESRHFPRFYSTPQCGGVAPKRFSIFSALFGNHRSGAIEPELEYAKGSKTGSWFSAFIRGRRRKDRDPPLESRESWHPGTRGMSPARFAYYDNDPEPESSSGDDAEWRMPAPTPARKFPAGGRHRAGSMTGFSVCLSPLVWASPNRRRFPAAEGCSASGEGRSYSRHVSGVSLGHNRSRKLADLGNYKI >DRNTG_29676.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4008127:4009117:1 gene:DRNTG_29676 transcript:DRNTG_29676.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKRHPFEGGVGVCASCLRERLLELAAAQNAISLPPPLPPPPPPPPPLDFPRSVSPYLSRRRCLNPDESRHFPRFYSTPQCGGVAPKRFSIFSALFGNHRSGAIEPELEYAKGSKTGSWFSAFIRGRRRKDRDPPLESRESWHPGTRGMSPARFAYYDNDPEPESSSGDDAEWRMPAPTPARKFPAGGRHRAGSMTGFSVCLSPLVWASPNRRRFPAAEGCSASGEGRSYSRHVSGVSLGHNRSRKLADLGRFQ >DRNTG_08199.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:41026:41970:-1 gene:DRNTG_08199 transcript:DRNTG_08199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASYHQVVSGYSYSRHHQGYSGHHGGYSRQYGGRRKEASETESSESEAEAADCFVRPQQNKGRRRCYDESDDEDSETEDESDNDLYVKGNNKYPRSRSHQYHKNKYESDEGDEEDDDEDEEEEEEEEERR >DRNTG_20550.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:587017:587416:-1 gene:DRNTG_20550 transcript:DRNTG_20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGDPDEGEGEIWGTWEELLLASAVSRHGTRRWDSVAMEVQSRIPTSSAHLLTPLRCRQRFHLLQNRFSTTAVAIADAEP >DRNTG_10956.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:238596:239374:-1 gene:DRNTG_10956 transcript:DRNTG_10956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSCVTALTLFMGMMLFASSLLVSAANVDFLYLVLMWPRAYCSQSVCCRPTTGMPAEDFFIRGLWTYNEAGKPVTRCTREPYNSTE >DRNTG_00168.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2890746:2892042:1 gene:DRNTG_00168 transcript:DRNTG_00168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIAENWIQRVSLELQRSPEEIREINFHSEGSVTPYGQLLENITLRQVWDELKASCQFSKVRDAVNHFNTRNRWRKRGISMIPTKFGISFTSKPMNQAGALVHVYVDGTVLVTHGGVEMGQGLHTKVAQIAASSLNIPLSSVFISETSTDKVICCP >DRNTG_00168.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2890746:2891319:1 gene:DRNTG_00168 transcript:DRNTG_00168.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIAENWIQRVSLELQRSPEEIREINFHSEGSVTPYGQLLENITLRQVWDELKASCQFSKVRDAVNHFNTRNRWRKRGISMIPTKFGISFTSKPMNQ >DRNTG_26956.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30188976:30189942:-1 gene:DRNTG_26956 transcript:DRNTG_26956.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVPKALQISNLFPSTLNPNPNPKPSTIRRRSPPSLPPPRAADDDPSDPKDSTTQPTTTTTSTDPDFESRLSQVRLKYRSGTGKKAELRRAKKTGGSATKKKGSVMLPPVPLLDPISGGLRVEIGFTPYGERLHGRLAGLGLAALLLVELGSGQGLLKYHSSPIIFIQVYTVAAATALFVKFEKEKISVWPEKPPESTTAGD >DRNTG_26956.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30188976:30189871:-1 gene:DRNTG_26956 transcript:DRNTG_26956.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVPKALQISNLFPSTLNPNPNPKPSTIRRRSPPSLPPPRAADDDPSDPKDSTTQPTTTTTSTDPDFESRLSQVRLKYRSGTGKKAELRRAKKTGGSATKKKGSVMLPPVPLLDPISGGLRVEIGFTPYGERLHGRLAGLGLAALLLVELGSGQGLLKYHSSPIIFIQVYTVAAATALFVKFEKEKISVWPEKPPESTTAGD >DRNTG_26956.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30188610:30189831:-1 gene:DRNTG_26956 transcript:DRNTG_26956.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVPKALQISNLFPSTLNPNPNPKPSTIRRRSPPSLPPPRAADDDPSDPKDSTTQPTTTTTSTDPDFESRLSQVRLKYRSGTGKKAELRRAKKTGGSATKKKGSVMLPPVPLLDPISGGLRVEIGFTPYGERLHGRLAGLGLAALLLVELGSGQGLLKYHSSPIIFIQVYTVAAATALFVKFEKEKISVWPEKPPESTTAGD >DRNTG_26956.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30188976:30189831:-1 gene:DRNTG_26956 transcript:DRNTG_26956.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVPKALQISNLFPSTLNPNPNPKPSTIRRRSPPSLPPPRAADDDPSDPKDSTTQPTTTTTSTDPDFESRLSQVRLKYRSGTGKKAELRRAKKTGGSATKKKGSVMLPPVPLLDPISGGLRVEIGFTPYGERLHGRLAGLGLAALLLVELGSGQGLLKYHSSPIIFIQVYTVAAATALFVKFEKEKISVWPEKPPESTTAGD >DRNTG_26956.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30188610:30189942:-1 gene:DRNTG_26956 transcript:DRNTG_26956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVPKALQISNLFPSTLNPNPNPKPSTIRRRSPPSLPPPRAADDDPSDPKDSTTQPTTTTTSTDPDFESRLSQVRLKYRSGTGKKAELRRAKKTGGSATKKKGSVMLPPVPLLDPISGGLRVEIGFTPYGERLHGRLAGLGLAALLLVELGSGQGLLKYHSSPIIFIQVYTVAAATALFVKFEKEKISVWPEKPPESTTAGD >DRNTG_26956.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30188610:30189871:-1 gene:DRNTG_26956 transcript:DRNTG_26956.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVPKALQISNLFPSTLNPNPNPKPSTIRRRSPPSLPPPRAADDDPSDPKDSTTQPTTTTTSTDPDFESRLSQVRLKYRSGTGKKAELRRAKKTGGSATKKKGSVMLPPVPLLDPISGGLRVEIGFTPYGERLHGRLAGLGLAALLLVELGSGQGLLKYHSSPIIFIQVYTVAAATALFVKFEKEKISVWPEKPPESTTAGD >DRNTG_24010.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16824303:16825608:-1 gene:DRNTG_24010 transcript:DRNTG_24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGRELNKCKSSRTSLSRPFGSLTLTASTAPSSTYLSKKTLTSFAVTSIGNPETLIVFPTISLETM >DRNTG_24010.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16824415:16825688:-1 gene:DRNTG_24010 transcript:DRNTG_24010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGRELNKCKSSRTSLSRPFGSLTLTASTAPSSTYLSKKTLTSFAVTSIGNPETLIVFPTISLETM >DRNTG_24010.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16824415:16825608:-1 gene:DRNTG_24010 transcript:DRNTG_24010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGRELNKCKSSRTSLSRPFGSLTLTASTAPSSTYLSKKTLTSFAVTSIGNPETLIVFPTISLETM >DRNTG_10534.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3448138:3454827:-1 gene:DRNTG_10534 transcript:DRNTG_10534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGPQNVTTAATIPNRDISPLNPRDVARINAINTL >DRNTG_12849.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:22669040:22669937:-1 gene:DRNTG_12849 transcript:DRNTG_12849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRHIGMPKVVNRHTRNTVTSLVIGGCLGDSPILSQYLCHHDPLQFF >DRNTG_04050.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26365167:26371176:-1 gene:DRNTG_04050 transcript:DRNTG_04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVLTTGDVSSSLPAATAGTASAPVPPSNLPLVSAFLAFSIAQFLKLFTTWFKEKRWDSRRLVGSGGMPSSHSATVTALAMAIGLQEGTGASSFAIAVILASIVMYDATGIRLHAGRQAELLNQIVCELPPEHPLSNNRPLREPLGHTPLQVFAGAVLGCVVSYLIRNSV >DRNTG_14919.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27216150:27216998:1 gene:DRNTG_14919 transcript:DRNTG_14919.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFLDLKITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASVRTTLMILMINCL >DRNTG_14919.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27216150:27217860:1 gene:DRNTG_14919 transcript:DRNTG_14919.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFLDLKITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFVLPFALVPLLKFTSSKTKMGPYANSFVIAATTWVISSLIMVINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLVAIFYLVFRKNREITQPLLFR >DRNTG_19962.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:433108:433500:-1 gene:DRNTG_19962 transcript:DRNTG_19962.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNSNKLGKLKCMIKRWNSSNRIARSPGSSSRSQDDDTWRSFHADDDVPSDLHTVYVGKSRRRYLISKNLASHPLFLNLIDHSATEHDGGAATIVGCEVVLFEHLLWMLENADPQPDTLDELVDFYACR >DRNTG_06597.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:881705:884790:-1 gene:DRNTG_06597 transcript:DRNTG_06597.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein kinase-like protein ZAR1 [Source:Projected from Arabidopsis thaliana (AT2G01210) UniProtKB/Swiss-Prot;Acc:Q9ZU46] MSVYVLLLLIIVPAVSGLNLTNEEVTALLSFKSSVSYDPDDSLSNWNSSIDENPCSWDGITCKQGKVVSLSIPRKGLVGYLPAALGSLSSLRHLNLRSNRLFGNLPLELFFVKSLQSLVLYGNSLSGPLPQEISNFTSLQNLDLSHNLFKGLIPSSLIRCQRLRSLHLSNNNFSGPLPNGFGRSLVFLERLDLSFNSLNGSIPGDIGELSSLQGTVDLSHNLFSGLIPPSLGNVPDNVYIDLSFNNLSGLIPQNGALVNRGPTAFIGNPALCGPPLKRPCSSSSVVVSSPSSPLPFQPNNYQPMSSVPNNGVSDNHRGMSKGLVIAIIISDVIVLFVIAFVFFYCYKRATSSLRKEKVEEIASNNNKGNNSRKECRCFFAKGESETISEDTEQIDLVPLDDLVRFDIDELLKASAFVLGKSWMGIVYKVVLEDGLNLAVRRLGEGSSQRLKEFKTEVEAIGKIRHPCIVSLRAYYWSNEEKLLIYDYITNGNLSAAIHGTPGMVEFKPMSWTMRLNIMRGIAQGLAFLHEFSPKKCVHGDLRPNNILLGQNMEPYISDLGLNRLVNIVRGSSLLPSERMNADKPQIQQTDVPGSPAPKLGSCYHAPETLRTLKPSQKWDVYSYGVILMEMISGRPPMVLLDTSEVDLVKWVEFCIEQKRPLADLLDPQLVPELQKENEMVYVLKIALACVQINAERRPSMRNVVDTLDKLTHVK >DRNTG_06597.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:881558:884790:-1 gene:DRNTG_06597 transcript:DRNTG_06597.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein kinase-like protein ZAR1 [Source:Projected from Arabidopsis thaliana (AT2G01210) UniProtKB/Swiss-Prot;Acc:Q9ZU46] MSVYVLLLLIIVPAVSGLNLTNEEVTALLSFKSSVSYDPDDSLSNWNSSIDENPCSWDGITCKQGKVVSLSIPRKGLVGYLPAALGSLSSLRHLNLRSNRLFGNLPLELFFVKSLQSLVLYGNSLSGPLPQEISNFTSLQNLDLSHNLFKGLIPSSLIRCQRLRSLHLSNNNFSGPLPNGFGRSLVFLERLDLSFNSLNGSIPGDIGELSSLQGTVDLSHNLFSGLIPPSLGNVPDNVYIDLSFNNLSGLIPQNGALVNRGPTAFIGNPALCGPPLKRPCSSSSVVVSSPSSPLPFQPNNYQPMSSVPNNGVSDNHRGMSKGLVIAIIISDVIVLFVIAFVFFYCYKRATSSLRKEKVEEIASNNNKGNNSRKECRCFFAKGESETISEDTEQIDLVPLDDLVRFDIDELLKASAFVLGKSWMGIVYKVVLEDGLNLAVRRLGEGSSQRLKEFKTEVEAIGKIRHPCIVSLRAYYWSNEEKLLIYDYITNGNLSAAIHGTPGMVEFKPMSWTMRLNIMRGIAQGLAFLHEFSPKKCVHGDLRPNNILLGQNMEPYISDLGLNRLVNIVRGSSLLPSERMNADKPQIQQTDVPGSPAPKLGSCYHAPETLRTLKPSQKWDVYSYGVILMEMISGRPPMVLLDTSEVDLVKWVEFCIEQKRPLADLLDPQLVPELQKENEMVYVLKIALACVQINAERRPSMRNVVDTLDKLTHVK >DRNTG_06597.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:881705:884699:-1 gene:DRNTG_06597 transcript:DRNTG_06597.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein kinase-like protein ZAR1 [Source:Projected from Arabidopsis thaliana (AT2G01210) UniProtKB/Swiss-Prot;Acc:Q9ZU46] MSVYVLLLLIIVPAVSGLNLTNEEVTALLSFKSSVSYDPDDSLSNWNSSIDENPCSWDGITCKQGKVVSLSIPRKGLVGYLPAALGSLSSLRHLNLRSNRLFGNLPLELFFVKSLQSLVLYGNSLSGPLPQEISNFTSLQNLDLSHNLFKGLIPSSLIRCQRLRSLHLSNNNFSGPLPNGFGRSLVFLERLDLSFNSLNGSIPGDIGELSSLQGTVDLSHNLFSGLIPPSLGNVPDNVYIDLSFNNLSGLIPQNGALVNRGPTAFIGNPALCGPPLKRPCSSSSVVVSSPSSPLPFQPNNYQPMSSVPNNGVSDNHRGMSKGLVIAIIISDVIVLFVIAFVFFYCYKRATSSLRKEKVEEIASNNNKGNNSRKECRCFFAKGESETISEDTEQIDLVPLDDLVRFDIDELLKASAFVLGKSWMGIVYKVVLEDGLNLAVRRLGEGSSQRLKEFKTEVEAIGKIRHPCIVSLRAYYWSNEEKLLIYDYITNGNLSAAIHGTPGMVEFKPMSWTMRLNIMRGIAQGLAFLHEFSPKKCVHGDLRPNNILLGQNMEPYISDLGLNRLVNIVRGSSLLPSERMNADKPQIQQTDVPGSPAPKLGSCYHAPETLRTLKPSQKWDVYSYGVILMEMISGRPPMVLLDTSEVDLVKWVEFCIEQKRPLADLLDPQLVPELQKENEMVYVLKIALACVQINAERRPSMRNVVDTLDKLTHVK >DRNTG_06597.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:881597:884699:-1 gene:DRNTG_06597 transcript:DRNTG_06597.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein kinase-like protein ZAR1 [Source:Projected from Arabidopsis thaliana (AT2G01210) UniProtKB/Swiss-Prot;Acc:Q9ZU46] MSVYVLLLLIIVPAVSGLNLTNEEVTALLSFKSSVSYDPDDSLSNWNSSIDENPCSWDGITCKQGKVVSLSIPRKGLVGYLPAALGSLSSLRHLNLRSNRLFGNLPLELFFVKSLQSLVLYGNSLSGPLPQEISNFTSLQNLDLSHNLFKGLIPSSLIRCQRLRSLHLSNNNFSGPLPNGFGRSLVFLERLDLSFNSLNGSIPGDIGELSSLQGTVDLSHNLFSGLIPPSLGNVPDNVYIDLSFNNLSGLIPQNGALVNRGPTAFIGNPALCGPPLKRPCSSSSVVVSSPSSPLPFQPNNYQPMSSVPNNGVSDNHRGMSKGLVIAIIISDVIVLFVIAFVFFYCYKRATSSLRKEKVEEIASNNNKGNNSRKECRCFFAKGESETISEDTEQIDLVPLDDLVRFDIDELLKASAFVLGKSWMGIVYKVVLEDGLNLAVRRLGEGSSQRLKEFKTEVEAIGKIRHPCIVSLRAYYWSNEEKLLIYDYITNGNLSAAIHGTPGMVEFKPMSWTMRLNIMRGIAQGLAFLHEFSPKKCVHGDLRPNNILLGQNMEPYISDLGLNRLVNIVRGSSLLPSERMNADKPQIQQTDVPGSPAPKLGSCYHAPETLRTLKPSQKWDVYSYGVILMEMISGRPPMVLLDTSEVDLVKWVEFCIEQKRPLADLLDPQLVPELQKENEMVYVLKIALACVQINAERRPSMRNVVDTLDKLTHVK >DRNTG_06597.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:881597:884790:-1 gene:DRNTG_06597 transcript:DRNTG_06597.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein kinase-like protein ZAR1 [Source:Projected from Arabidopsis thaliana (AT2G01210) UniProtKB/Swiss-Prot;Acc:Q9ZU46] MSVYVLLLLIIVPAVSGLNLTNEEVTALLSFKSSVSYDPDDSLSNWNSSIDENPCSWDGITCKQGKVVSLSIPRKGLVGYLPAALGSLSSLRHLNLRSNRLFGNLPLELFFVKSLQSLVLYGNSLSGPLPQEISNFTSLQNLDLSHNLFKGLIPSSLIRCQRLRSLHLSNNNFSGPLPNGFGRSLVFLERLDLSFNSLNGSIPGDIGELSSLQGTVDLSHNLFSGLIPPSLGNVPDNVYIDLSFNNLSGLIPQNGALVNRGPTAFIGNPALCGPPLKRPCSSSSVVVSSPSSPLPFQPNNYQPMSSVPNNGVSDNHRGMSKGLVIAIIISDVIVLFVIAFVFFYCYKRATSSLRKEKVEEIASNNNKGNNSRKECRCFFAKGESETISEDTEQIDLVPLDDLVRFDIDELLKASAFVLGKSWMGIVYKVVLEDGLNLAVRRLGEGSSQRLKEFKTEVEAIGKIRHPCIVSLRAYYWSNEEKLLIYDYITNGNLSAAIHGTPGMVEFKPMSWTMRLNIMRGIAQGLAFLHEFSPKKCVHGDLRPNNILLGQNMEPYISDLGLNRLVNIVRGSSLLPSERMNADKPQIQQTDVPGSPAPKLGSCYHAPETLRTLKPSQKWDVYSYGVILMEMISGRPPMVLLDTSEVDLVKWVEFCIEQKRPLADLLDPQLVPELQKENEMVYVLKIALACVQINAERRPSMRNVVDTLDKLTHVK >DRNTG_06597.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:881705:882576:-1 gene:DRNTG_06597 transcript:DRNTG_06597.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein kinase-like protein ZAR1 [Source:Projected from Arabidopsis thaliana (AT2G01210) UniProtKB/Swiss-Prot;Acc:Q9ZU46] MVEFKPMSWTMRLNIMRGIAQGLAFLHEFSPKKCVHGDLRPNNILLGQNMEPYISDLGLNRLVNIVRGSSLLPSERMNADKPQIQQTDVPGSPAPKLGSCYHAPETLRTLKPSQKWDVYSYGVILMEMISGRPPMVLLDTSEVDLVKWVEFCIEQKRPLADLLDPQLVPELQKENEMVYVLKIALACVQINAERRPSMRNVVDTLDKLTHVK >DRNTG_06597.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:881558:884699:-1 gene:DRNTG_06597 transcript:DRNTG_06597.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein kinase-like protein ZAR1 [Source:Projected from Arabidopsis thaliana (AT2G01210) UniProtKB/Swiss-Prot;Acc:Q9ZU46] MSVYVLLLLIIVPAVSGLNLTNEEVTALLSFKSSVSYDPDDSLSNWNSSIDENPCSWDGITCKQGKVVSLSIPRKGLVGYLPAALGSLSSLRHLNLRSNRLFGNLPLELFFVKSLQSLVLYGNSLSGPLPQEISNFTSLQNLDLSHNLFKGLIPSSLIRCQRLRSLHLSNNNFSGPLPNGFGRSLVFLERLDLSFNSLNGSIPGDIGELSSLQGTVDLSHNLFSGLIPPSLGNVPDNVYIDLSFNNLSGLIPQNGALVNRGPTAFIGNPALCGPPLKRPCSSSSVVVSSPSSPLPFQPNNYQPMSSVPNNGVSDNHRGMSKGLVIAIIISDVIVLFVIAFVFFYCYKRATSSLRKEKVEEIASNNNKGNNSRKECRCFFAKGESETISEDTEQIDLVPLDDLVRFDIDELLKASAFVLGKSWMGIVYKVVLEDGLNLAVRRLGEGSSQRLKEFKTEVEAIGKIRHPCIVSLRAYYWSNEEKLLIYDYITNGNLSAAIHGTPGMVEFKPMSWTMRLNIMRGIAQGLAFLHEFSPKKCVHGDLRPNNILLGQNMEPYISDLGLNRLVNIVRGSSLLPSERMNADKPQIQQTDVPGSPAPKLGSCYHAPETLRTLKPSQKWDVYSYGVILMEMISGRPPMVLLDTSEVDLVKWVEFCIEQKRPLADLLDPQLVPELQKENEMVYVLKIALACVQINAERRPSMRNVVDTLDKLTHVK >DRNTG_06597.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:881597:882576:-1 gene:DRNTG_06597 transcript:DRNTG_06597.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein kinase-like protein ZAR1 [Source:Projected from Arabidopsis thaliana (AT2G01210) UniProtKB/Swiss-Prot;Acc:Q9ZU46] MVEFKPMSWTMRLNIMRGIAQGLAFLHEFSPKKCVHGDLRPNNILLGQNMEPYISDLGLNRLVNIVRGSSLLPSERMNADKPQIQQTDVPGSPAPKLGSCYHAPETLRTLKPSQKWDVYSYGVILMEMISGRPPMVLLDTSEVDLVKWVEFCIEQKRPLADLLDPQLVPELQKENEMVYVLKIALACVQINAERRPSMRNVVDTLDKLTHVK >DRNTG_14773.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30070501:30074357:-1 gene:DRNTG_14773 transcript:DRNTG_14773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYAQEQEMEIEALQAILMDEFSEIDSSESGLATTNRCFRIVLSPQDDDIDEANYTPVQLALIFSHTESYPDEPPLLNVKSIRGIKPEDLKILKEKLEEEASENLGMAMVYTLVTSGKEWLAEKYGHAAEEEELEETDTLKDEIIVPHGEPVTVETFLAWRERFEAELALERAKLMPESALSAPKEKKLTGRQWFESGRAQGKGSAVVTERSEDEEEEDFDFDDDFEDDEDDMLEHYLAERSNDPSEGSKK >DRNTG_23900.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2053047:2054005:-1 gene:DRNTG_23900 transcript:DRNTG_23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKCHKMVSCADILTAVARDATVKIGGPFWEVPYGRKDGRVSLAKEADLVPMGHENITYLIEFFQSRGLNLLDLVVLSGAHTIGRSTCGSIQQRLYNYTGNGKPDPTINT >DRNTG_06917.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000317.1:48500:49226:1 gene:DRNTG_06917 transcript:DRNTG_06917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHMSSSRYKNVYQPPGIQYCSRPIENEISRESTQACGNSPRPCGCPILGLLKPRFSPISASSFPSFLKLLRGLRLDFREVLARILGRFYGFNTTLRLEEGYWGSFRRHRFGEVYPRPDKGTFGEDEATPQDHRYEYHGGFSMDYLFLHSISLLIATSSMES >DRNTG_26679.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:853508:855098:1 gene:DRNTG_26679 transcript:DRNTG_26679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIGSVLALCIILITPTATHALGNRVYWHKMLPNSPIPRAILDLDELDKEKFNVDLYDPVNNGFGYSINGYVSGASAPVHVHDVFNGTLFFLEKDVNPGSKFQLRFTKMASSSPLISRLQANTIPFSSNKLLEILTRIQVKPTSATASVMNKTLIECEGPTLDGESKHCATSLESMVEFIMLSLGTRDVQASSTTITEKSGNFEAKKTYNFASVGVHALGRDELVAACHLQPYPYAVFYCHTTEKTKAYTIALEGNDGTKVEAIAVCHCDTSKWNPKHLSFQVLKVKPGSVPVCHFMPEDDVLWTIRN >DRNTG_26679.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:854004:855098:1 gene:DRNTG_26679 transcript:DRNTG_26679.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPLISRLQANTIPFSSNKLLEILTRIQVKPTSATASVMNKTLIECEGPTLDGESKHCATSLESMVEFIMLSLGTRDVQASSTTITEKSGNFEAKKTYNFASVGVHALGRDELVAACHLQPYPYAVFYCHTTEKTKAYTIALEGNDGTKVEAIAVCHCDTSKWNPKHLSFQVLKVKPGSVPVCHFMPEDDVLWTIRN >DRNTG_07615.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000340.1:67482:71671:1 gene:DRNTG_07615 transcript:DRNTG_07615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISCSSILPPCPSTVRRSHGRSIAGVLIRGGSGGDLKVFNNRGILRIRKKTLLCYADESTKGPVANSRSADDSNSTAIQLYSQIERLMTETAKRSQDGWRNSGDWSEMEGAWVLKPRNMKPTSVIHFIGGIFVGAAPQLTYRFFLERLSEKGALVIATPFASGFDHFLIADEVQSKYDRCFRFLKDTVNDLPTFGVGHSLGSCIHLLIGSRYAIQRTGNVLMAFNNKEASSAIPLFSPVIVPMAQSFGPLLSQLTSSPTIIRGAEIAMKQLENLSPPIMKQVLPLVEQLPPLYTDLVKGREDFTPKPEEARRLVKSYYGVPRNLLIKFKDDTIDETPTLAQVLSVESAISSSLDMSIRSLPGDHGLPLQQILPDVPPAMADAVNRGGEILANLTAGTPWETVAKEVGNTFGSNSGILRAQISKEVNNLVDEIASWMDTNSSPKLLRP >DRNTG_35030.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23428765:23430381:1 gene:DRNTG_35030 transcript:DRNTG_35030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNLFKVWKNKMGEYSKESVKLPPSIEARIENGTRDEEKINEIVVDAHIEVLEVEEANDVASIPSEQMVEVSPQCEMEEEKGEDGIMVMKTCKEVEETQSTTCEDATCLNIDFSIHPSILVKCKNKIPGIVFEDVGRKPMSSLNPPMPGLDNSQPKPFPWRPKQMLWALEVHHTMVEKKIADRMLKPPIDPPMQSFTSSRPNLFPWKPKQLFLMIQGNFPRVEEENVGRRLRPSNDPPMPSLNNSRPKLFPWRPKQLCGGVLKGILVGTKEEAGRRFKPSKDPPKFKLHNSRPKLFSWRPKGNSCLAFNLTPSWKVFEVFIGFNGYTALLGRMVAV >DRNTG_24734.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2301548:2305738:1 gene:DRNTG_24734 transcript:DRNTG_24734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCCSKGTTSEANGYRYQRPEPSPPPPRPPPPSQRPAKPSTPPSHHQTHAPPPESRYAPPSPLKYSTPTPPPPKPESYSDTILGKAFADVRSFYTLGKELGRGQFGVTYLCTENATGLSYACKSVSKRKLVNKNDREDIKREIQIMQHLTGQANIVEFKGAYEDKHSVHLVMELCAGGELFDRIIAKGHYSERAAATICRAIVNVVHICNFMGVMHRDLKPENFLLATKDEGAMLKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLRRNYGKEIDIWSAGVILYILLSGVPPFWAETEKGIFDAILQGEIDFETAPWPSISNSAKDLVRRMLTQDPKKRITAAQVLEHPWIREDGNASDKPIDSAVLSRMKQFRAMNKLKKMALMVIAENLSEDEIKGLKQMFTNMDTDNSGTITYEELKTGLARLGSKLSEAEVKQLMEAADVDGNGTIDYIEFITATMHRHKLERDDHLYKAFQYFDKDSSGYITRDELESAMEKYGMGDAATIKEIISEVDTDNDGRINYDEFCAMMRSGAQPPAKLV >DRNTG_24734.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2301548:2305738:1 gene:DRNTG_24734 transcript:DRNTG_24734.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCCSKGTTSEANGYRYQRPEPSPPPPRPPPPSQRPAKPSTPPSHHQTHAPPPESRYAPPSPLKYSTPTPPPPKPESYSDTILGKAFADVRSFYTLGKELGRGQFGVTYLCTENATGLSYACKSVSKRKLVNKNDREDIKREIQIMQHLTGQANIVEFKGAYEDKHSVHLVMELCAGGELFDRIIAKGHYSERAAATICRAIVNVVHICNFMGVMHRDLKPENFLLATKDEGAMLKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLRRNYGKEIDIWSAGVILYILLSGVPPFWAETEKGIFDAILQGEIDFETAPWPSISNSAKDLVRRMLTQDPKKRITAAQVLEHPWIREDGNASDKPIDSAVLSRMKQFRAMNKLKKMALMVIAENLSEDEIKGLKQMFTNMDTDNSGTITYEELKTGLARLGSKLSEAEVKQLMEAADVDGNGTIDYIEFITATMHRHKLERDDHLYKAFQYFDKDSSGYITRDELESAMEKYGMGDAATIKEIISEVDTDNDGRINYDEFCAMMRSGAQPPAKLV >DRNTG_10509.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6442906:6443580:-1 gene:DRNTG_10509 transcript:DRNTG_10509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRNMFIQDIFGIIPGDTDYQIFAKDNGDIQGLDIIFVLGGYFYHTSYDTIERLLYALGSTVIQILCFEYLDLDIFTKWVLILECIDE >DRNTG_13433.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9569905:9572772:-1 gene:DRNTG_13433 transcript:DRNTG_13433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSPLFAVRNEDEEEEMAVSSCWVDTRRELRLVWGIAGPAILTSVFQYTLGATTQTLTGHIGTLELAAVGIQNLVVSGIAFGIMVGMGSALETLCGQAFGAKKSNMLGVYLQRSWIILLITALILTLVYIFATPILKLLGQTDEIAELAGRFSIWMIPELFAFAINFPIQKFLQAQSKVWEMAWISAGCLILHLVLSWLFIIKLGMGLLGAAITLNFSWWLLVAGQFGYVLSGYCKDTWTGFSWLAFKDLGAFFRLSVASAVMLCLEYWTFMIVIELAGLLKHPEIAVDAATICMNVEGWCFMVPLGLVAAISVRVSNELGAGNPKAAKFSVYVVTGISLIIQTTFVIIILVTREDFPILFTEDELVRERVSKVAYYLCASIFLGSIQPVLSGVAIGAGWQTTVAYVDLGSYYAVGLTIALLLGFTFNLGLEGIWGGVIIGVILQTIILIIITWRTDWEKEVSLANDRVLIWGGSVVTTIQYEKV >DRNTG_00278.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1771922:1772615:1 gene:DRNTG_00278 transcript:DRNTG_00278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPHINIVRLLGFCSEGTRRALVYEFMPNESLEKYIFSRDANGNRLFGMDKLLGIAIGIARGVEYLHQGCDQRILHFDIKPHNILLDYDFNPKISDFGLAKLCSRDQSIVTMTAIRGTMGYIAPDIYSRNFGTVSYKSDVYSFGMLLLEMVGGRKNIDPLVDNQSAIYLPEWVYEQLIGGHSFQVAIEMMNNEEEIVRKLVIVALWCIQWSPNDR >DRNTG_13615.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6205607:6208627:1 gene:DRNTG_13615 transcript:DRNTG_13615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKIISHRLWRASPLMARRRLFSEASSSRFSLCAPPVHRSSGSTCSSGVEADVLSVDWAPPSPKSATIPTLLQPRVLIYDGVCHLCHRGVKWVIQADEYEKIKFCCLQSKAAEPYLRLCCLTREDVLQRVLFVEGPESYYEGSTAALKVASYLPHPYAALSALLIIPASIRDAVYDYIAKRRYAWFGKDDKCLVMHDKDLLDRFIDREELFGDWDEN >DRNTG_00745.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27979524:27994974:-1 gene:DRNTG_00745 transcript:DRNTG_00745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFLEETRERGLIVSWCPQEVVLEHAAVGGFLTHNGWNSTLESLSGGVPMISW >DRNTG_00745.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27979524:27980815:-1 gene:DRNTG_00745 transcript:DRNTG_00745.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAEKPHAVCIPFPAQGHIAPMLHLAKLIHSHGFHITFVNTEYNHNRFLSSQGPAALLAADDFNFTTIPDGLPPSGELNVTQDIPSLCASTSTTCLSPLLHLIHKLNQKKPTVSCIVSGGFMTFSVDAAKELGIPVVLLWTTSACGFMCYLHFQQLFKDGLIPLLDEGKLSDGYLDTPVPSVPGMKDMRLRDFPTFIRTTNPNEILLNFTGTEAQRATQGSAIIINTIEELEPTVLNSLRSMLTIPIYTIGPLFLLSKHNVPSGPVSSLSSNLWKEDTTCLDWLDKKDRASVLYVNFGSITVLSKDQLVEFAWGLANSKCDFLWVLRSDLVKEKNYSGDDMEEFLEETRERGLIVSWCPQEVVLEHAAVGGFLTHNGWNSTLESLSGGVPMISW >DRNTG_00745.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27979524:27980218:-1 gene:DRNTG_00745 transcript:DRNTG_00745.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKDMRLRDFPTFIRTTNPNEILLNFTGTEAQRATQGSAIIINTIEELEPTVLNSLRSMLTIPIYTIGPLFLLSKHNVPSGPVSSLSSNLWKEDTTCLDWLDKKDRASVLYVNFGSITVLSKDQLVEFAWGLANSKCDFLWVLRSDLVKEKNYSGDDMEEFLEETRERGLIVSWCPQEVVLEHAAVGGFLTHNGWNSTLESLSGGVPMISW >DRNTG_01184.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29355170:29356510:1 gene:DRNTG_01184 transcript:DRNTG_01184.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 50 [Source:Projected from Arabidopsis thaliana (AT1G15000) UniProtKB/Swiss-Prot;Acc:Q9M9Q6] MASTLLLLTIFISIFISISKASSSPFPPEALPTKSGYLSINSTSNSSLFYTFYEAQHPSTSTSTPTPLLIWLQGGPGCSSMFANFFELGPFLISSTSPTLHPNPFTWNRFFSLLFIDNPIATGFSIAASFSDIPKDQPTVAAHLYTALQLFFSQNPPLRSRPLFLTGESYAGKYIPSFAYHILQRNSGAPPSQMINLHGVAIGNGLTHPVAQITTHADTAYFTGFVNAKQRQHMESLQRKTVKLVEEEQWVEATNARIRVLDYLQNVTGLATLYDYSKKKPYQSEMVTVLLNMEEVKVALGVEKGVVWEECSDVVGSALEEDVMKSVKEMVEEVVKKKSRVLLYYGMNDLRDGVVTGEEWVKEMEWEGLEEFLNAERKVWEVDGEMAGYVQRWGSLSLVVVAGAGHMVPTDKGRNAQVMIEDWVLESGLFGRDDGIGENHARLRAC >DRNTG_12533.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21132165:21138711:1 gene:DRNTG_12533 transcript:DRNTG_12533.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIKNLKIVRDGSKIIVMDTNGNRAKSIARSLKKLGVKKPYLLQGGFQSWVKKGLRIKELKPESTLTVLNEEAEAILADVKPTPALVVGSALGLSAAFYALLEWEKTLQVIAIIGLGLTVYRRFASYEKSEDLKEDMKLLFSPFQKGSSSHFLGSSKIGAKQDWVTNISLIDGCPRSCPPGSSEA >DRNTG_12533.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21132165:21138711:1 gene:DRNTG_12533 transcript:DRNTG_12533.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAPCSLMSGLRQETALREKDGVPDLRRAARSRYASVVLPEIDNSLRKLLKGGKEIDDTLMATVIKNLKIVRDGSKIIVMDTNGNRAKSIARSLKKLGVKKPYLLQGGFQSWVKKGLRIKELKPESTLTVLNEEAEAILADVKPTPALVVGSALGLSAAFYALLEWEKTLQVIAIIGLGLTVYRRFASYEKSEDLKEDMKLLFSPFQKGSSSHFLGSSKIGAKQDWVTNISLIDGCPRSCPPGSSEA >DRNTG_12533.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21132165:21138711:1 gene:DRNTG_12533 transcript:DRNTG_12533.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLSTRMLPVCSAAPSCSSYYQLSCHVGTRAFYPFQKAIQERNFIEERLFLCIHDGVHGRESSSRLHAAKCMNSLVVETPDDHGSYDSSILSPYNNDFDDIVRSFPKEYFDNDTQNPTNSSSEVIGLWPTSEDLPGSKFPSSKFSGTIEHKISNNLMDAPPRSSYAELPSTASPGGYELLNLPDSLSQGSTGLSASSSSGFTFAADSIPSISPEDSLPVSGSLEQTNGDFPSLRQSVEDFFSGASESVETSVARLEDTVRKAYDSFTFTISDAVESVKNSFDNAINGLFSTAYNTKEQAGSNLTGLSSELGENVYKASAFTIDVLRRTIFTVEDSLTNVSRYIVYFYGSAKSSLPPDVRNTLNLSEDKITEIFQPFGSAAQQASKIIEEFEKNVGLDPSDPVVSFVLLLGVSATLGVSYWLLVYGGYSGDISPELTLELLKNEDGTVLIDVRPEALREKDGVPDLRRAARSRYASVVLPEIDNSLRKLLKGGKEIDDTLMATVIKNLKIVRDGSKIIVMDTNGNRAKSIARSLKKLGVKKPYLLQGGFQSWVKKGLRIKELKPESTLTVLNEEAEAILADVKPTPALVVGSALGLSAAFYALLEWEKTLQVIAIIGLGLTVYRRFASYEKSEDLKEDMKLLFSPFQKGSSSHFLGSSKIGAKQDWVTNISLIDGCPRSCPPGSSEA >DRNTG_09339.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:681591:684117:-1 gene:DRNTG_09339 transcript:DRNTG_09339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEARKGANLANVGAGFAVLSAVLAVSIAINIALLGFLPGVYQPKQAGYGQSHTKTSTNTAVQQPLERAKDETSVSSTSGSLPASQGSVINLDHGDPTMFESFWRANGEEATIVIPGWQTMSYFSDVSNLCWFLEPEFAKQIRRLHGLVGNAVVEDRHIVVGTGSTQLFQAALYALSPSDGLQPIPVISAVPYYSSYPAVTDFLHSGLFRWAGDANAFQGENYIELVCSPNNPDGFMKEAVLKSDTGKTIHDLAYYWPQYTAISSPANHDIMLFTASKSTGHAGTRVGWALVKDQEIAKRMTKYIELNSLGVSKDSQLRAAKILKVISDGYEFPSSRDVKLFDFGRNHMRNRWERLREVVRETGIFSLPEFPSEDCSFTGDRTSSFPAFAWVKCEWENAQDCAAFLKSHNILTRSGKHFGVGLNYARVSMLDRDETFDIFIQKLYSIK >DRNTG_03110.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14112915:14114426:-1 gene:DRNTG_03110 transcript:DRNTG_03110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDELPISASQVIQNNPFANGIPQAVGEVFNVSNSSSSSSNESYTPFGMPMLNNPSTSRETIIPLNV >DRNTG_03110.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14112719:14114426:-1 gene:DRNTG_03110 transcript:DRNTG_03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDELPISASQVIQNNPFANGIPQAVGEVFNVSNSSSSSSNESYTPFGMPMLNNPSTSRETIIPLNV >DRNTG_25646.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21782535:21795723:1 gene:DRNTG_25646 transcript:DRNTG_25646.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPCGFYVTGGESWQPKSWLGSCCTKNAKKCPCSLYTWPNASKIGSTAEVSAFEKSSEILLRDEEEIRRPDLLSLVLTHQAQCILQGNSGDNSDKELEAEELEEILTKMKDSVLSDVKQAAVWNSLGLILMRTNRLQSAISILSSLLVFVPDYLDSLANLGVAYLQSGNLQLSGKCFQDLILKDHNHPAAFVNYAVYLLCYYGSVIAGAGACASEGADPHQVEATAVAKECLLSAIKSDPKSGPLWVNLANAYDVAGEHRNAKKCLEQVAKLEPSQMSARYAIAVHRIKDAERYQDSTEQLSWAANEMYSILKEGDPAIIDLTTAWAGLAMAHRAQQEISAAYETGSKDLAETEERALYVLKQAIEEDPDDSVQWHQLGLHYLYRLQFKTSVRFLKAALARRKECAYAWSNLGICLQLSGDPSSAEEAYKRAISLSTPQHVHATLSNLGNLYRQQRRYEHAKAILTKSLELSSGYAPAHNNLGLVFVAGRQLDEAIASFDKALQLDPLLDAAKSNMAKAIAMSKKPETT >DRNTG_25646.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21782535:21795723:1 gene:DRNTG_25646 transcript:DRNTG_25646.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSVLSDVKQAAVWNSLGLILMRTNRLQSAISILSSLLVFVPDYLDSLANLGVAYLQSGNLQLSGKCFQDLILKDHNHPAAFVNYAVYLLCYYGSVIAGAGACASEGADPHQVEATAVAKECLLSAIKSDPKSGPLWVNLANAYDVAGEHRNAKKCLEQVAKLEPSQMSARYAIAVHRIKDAERYQDSTEQLSWAANEMYSILKEGDPAIIDLTTAWAGLAMAHRAQQEISAAYETGSKDLAETEERALYVLKQAIEEDPDDSVQWHQLGLHYLYRLQFKTSVRFLKAALARRKECAYAWSNLGICLQLSGDPSSAEEAYKRAISLSTPQHVHATLSNLGNLYRQQRRYEHAKAILTKSLELSSGYAPAHNNLGLVFVAGRQLDEAIASFDKALQLDPLLDAAKSNMAKAIAMSKKPETT >DRNTG_25646.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21792648:21795750:1 gene:DRNTG_25646 transcript:DRNTG_25646.11 gene_biotype:protein_coding transcript_biotype:protein_coding MSARYAIAVHRIKDAERYQDSTEQLSWAANEMYSILKEGDPAIIDLTTAWAGLAMAHRAQQEISAAYETGSKDLAETEERALYVLKQAIEEDPDDSVQWHQLGLHYLYRLQFKTSVRFLKAALARRKECAYAWSNLGICLQLSGDPSSAEEAYKRAISLSTPQHVHATLSNLGNLYRQQRRYEHAKAILTKSLELSSGYAPAHNNLGLVFVAGRQLDEAIASFDKALQLDPLLDAAKSNMAKAIAMSKKPETT >DRNTG_25646.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21782535:21795723:1 gene:DRNTG_25646 transcript:DRNTG_25646.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSVLSDVKQAAVWNSLGLILMRTNRLQSAISILSSLLVFVPDYLDSLANLGVAYLQSGNLQLSGKCFQDLILKDHNHPAAFVNYAVYLLCYYGSVIAGAGACASEGADPHQVEATAVAKECLLSAIKSDPKSGPLWVNLANAYDVAGEHRNAKKCLEQVAKLEPSQMSARYAIAVHRIKDAERYQDSTEQLSWAANEMYSILKEGDPAIIDLTTAWAGLAMAHRAQQEISAAYETGSKDLAETEERALYVLKQAIEEDPDDSVQWHQLGLHYLYRLQFKTSVRFLKAALARRKECAYAWSNLGICLQLSGDPSSAEEAYKRAISLSTPQHVHATLSNLGNLYRQQRRYEHAKAILTKSLELSSGYAPAHNNLGLVFVAGRQLDEAIASFDKALQLDPLLDAAKSNMAKAIAMSKKPETT >DRNTG_25646.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21791339:21795723:1 gene:DRNTG_25646 transcript:DRNTG_25646.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSARYAIAVHRIKDAERYQDSTEQLSWAANEMYSILKEGDPAIIDLTTAWAGLAMAHRAQQEISAAYETGSKDLAETEERALYVLKQAIEEDPDDSVQWHQLGLHYLYRLQFKTSVRFLKAALARRKECAYAWSNLGICLQLSGDPSSAEEAYKRAISLSTPQHVHATLSNLGNLYRQQRRYEHAKAILTKSLELSSGYAPAHNNLGLVFVAGRQLDEAIASFDKALQLDPLLDAAKSNMAKAIAMSKKPETT >DRNTG_25646.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21782535:21795723:1 gene:DRNTG_25646 transcript:DRNTG_25646.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSREEKVGSLKAGLVHVARKMPKNAHAHFILGLMHQRLGQPQKAVSAFEKSSEILLRDEEEIRRPDLLSLVLTHQAQCILQGNSGDNSDKELEAEELEEILTKMKDSVLSDVKQAAVWNSLGLILMRTNRLQSAISILSSLLVFVPDYLDSLANLGVAYLQSGNLQLSGKCFQDLILKDHNHPAAFVNYAVYLLCYYGSVIAGAGACASEGADPHQVEATAVAKECLLSAIKSDPKSGPLWVNLANAYDVAGEHRNAKKCLEQVAKLEPSQMSARYAIAVHRIKDAERYQDSTEQLSWAANEMYSILKEGDPAIIDLTTAWAGLAMAHRAQQEISAAYETGSKDLAETEERALYVLKQAIEEDPDDSVQWHQLGLHYLYRLQFKTSVRFLKAALARRKECAYAWSNLGICLQLSGDPSSAEEAYKRAISLSTPQHVHATLSNLGNLYRQQRRYEHAKAILTKSLELSSGYAPAHNNLGLVFVAGRQLDEAIASFDKALQLDPLLDAAKSNMAKAIAMSKKPETT >DRNTG_25646.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21782535:21795723:1 gene:DRNTG_25646 transcript:DRNTG_25646.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSREEKVGSLKAGLVHVARKMPKNAHAHFILGLMHQRLGQPQKAVSAFEKSSEILLRDEEEIRRPDLLSLVLTHQAQCILQGNSGDNSDKELEAEELEEILTKMKDSVLSDVKQAAVWNSLGLILMRTNRLQSAISILSSLLVFVPDYLDSLANLGVAYLQSGNLQLSGKCFQDLILKDHNHPAAFVNYAVYLLCYYGSVIAGAGACASEGADPHQVEATAVAKECLLSAIKSDPKSGPLWVNLANAYDVAGEHRNAKKCLEQVAKLEPSQMSARYAIAVHRIKDAERYQDSTEQLSWAANEMYSILKEGDPAIIDLTTAWAGLAMAHRAQQEISAAYETGSKDLAETEERALYVLKQAIEEDPDDSVQWHQLGLHYLYRLQFKTSVRFLKAALARRKECAYAWSNLGICLQLSGDPSSAEEAYKRAISLSTPQHVHATLSNLGNLYRQQRRYEHAKAILTKSLELSSGYAPAHNNLGLVFVAGRQLDEAIASFDKALQLDPLLDAAKSNMAKAIAMSKKPETT >DRNTG_25646.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21782535:21795723:1 gene:DRNTG_25646 transcript:DRNTG_25646.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSVLSDVKQAAVWNSLGLILMRTNRLQSAISILSSLLVFVPDYLDSLANLGVAYLQSGNLQLSGKCFQDLILKDHNHPAAFVNYAVYLLCYYGSVIAGAGACASEGADPHQVEATAVAKECLLSAIKSDPKSGPLWVNLANAYDVAGEHRNAKKCLEQVAKLEPSQMSARYAIAVHRIKDAERYQDSTEQLSWAANEMYSILKEGDPAIIDLTTAWAGLAMAHRAQQEISAAYETGSKDLAETEERALYVLKQAIEEDPDDSVQWHQLGLHYLYRLQFKTSVRFLKAALARRKECAYAWSNLGICLQLSGDPSSAEEAYKRAISLSTPQHVHATLSNLGNLYRQQRRYEHAKAILTKSLELSSGYAPAHNNLGLVFVAGRQLDEAIASFDKALQLDPLLDAAKSNMAKAIAMSKKPETT >DRNTG_25646.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21782535:21795723:1 gene:DRNTG_25646 transcript:DRNTG_25646.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNAHAHFILGLMHQRLGQPQKAVSAFEKSSEILLRDEEEIRRPDLLSLVLTHQAQCILQGNSGDNSDKELEAEELEEILTKMKDSVLSDVKQAAVWNSLGLILMRTNRLQSAISILSSLLVFVPDYLDSLANLGVAYLQSGNLQLSGKCFQDLILKDHNHPAAFVNYAVYLLCYYGSVIAVSGAGACASEGADPHQVEATAVAKECLLSAIKSDPKSGPLWVNLANAYDVAGEHRNAKKCLEQVAKLEPSQMSARYAIAVHRIKDAERYQDSTEQLSWAANEMYSILKEGDPAIIDLTTAWAGLAMAHRAQQEISAAYETGSKDLAETEERALYVLKQAIEEDPDDSVQWHQLGLHYLYRLQFKTSVRFLKAALARRKECAYAWSNLGICLQLSGDPSSAEEAYKRAISLSTPQHVHATLSNLGNLYRQQRRYEHAKAILTKSLELSSGYAPAHNNLGLVFVAGRQLDEAIASFDKALQLDPLLDAAKSNMAKAIAMSKKPETT >DRNTG_25646.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21782535:21795723:1 gene:DRNTG_25646 transcript:DRNTG_25646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPQPSPDGSQSAWKHPKVEDASKILPPPPQPPQPQPSKPAMIADLNVDPPESDGEDCTLISHPDSNTRTNNDDSSLVKTTVIARDADVIEGEDLDQQCPVVSMSREEKVGSLKAGLVHVARKMPKNAHAHFILGLMHQRLGQPQKAVSAFEKSSEILLRDEEEIRRPDLLSLVLTHQAQCILQGNSGDNSDKELEAEELEEILTKMKDSVLSDVKQAAVWNSLGLILMRTNRLQSAISILSSLLVFVPDYLDSLANLGVAYLQSGNLQLSGKCFQDLILKDHNHPAAFVNYAVYLLCYYGSVIAGAGACASEGADPHQVEATAVAKECLLSAIKSDPKSGPLWVNLANAYDVAGEHRNAKKCLEQVAKLEPSQMSARYAIAVHRIKDAERYQDSTEQLSWAANEMYSILKEGDPAIIDLTTAWAGLAMAHRAQQEISAAYETGSKDLAETEERALYVLKQAIEEDPDDSVQWHQLGLHYLYRLQFKTSVRFLKAALARRKECAYAWSNLGICLQLSGDPSSAEEAYKRAISLSTPQHVHATLSNLGNLYRQQRRYEHAKAILTKSLELSSGYAPAHNNLGLVFVAGRQLDEAIASFDKALQLDPLLDAAKSNMAKAIAMSKKPETT >DRNTG_25646.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21782535:21795723:1 gene:DRNTG_25646 transcript:DRNTG_25646.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSVLSDVKQAAVWNSLGLILMRTNRLQSAISILSSLLVFVPDYLDSLANLGVAYLQSGNLQLSGKCFQDLILKDHNHPAAFVNYAVYLLCYYGSVIAGAGACASEGADPHQVEATAVAKECLLSAIKSDPKSGPLWVNLANAYDVAGEHRNAKKCLEQVAKLEPSQMSARYAIAVHRIKDAERYQDSTEQLSWAANEMYSILKEGDPAIIDLTTAWAGLAMAHRAQQEISAAYETGSKDLAETEERALYVLKQAIEEDPDDSVQWHQLGLHYLYRLQFKTSVRFLKAALARRKECAYAWSNLGICLQLSGDPSSAEEAYKRAISLSTPQHVHATLSNLGNLYRQQRRYEHAKAILTKSLELSSGYAPAHNNLGLVFVAGRQLDEAIASFDKALQLDPLLDAAKSNMAKAIAMSKKPETT >DRNTG_32320.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18288791:18294591:1 gene:DRNTG_32320 transcript:DRNTG_32320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCSELRIPFRPISTNFKATKKIPTRLSCSRQSPDGDDEPPRPSDGLKKRISEQSSWETKDLEGRDYLYRLGQEADNMNITVGARKGIVDDLFVGNFLGRDADIVFDYRQKVTRSFQYLQGDYYIAPLFLDKVACHIVKNYIAHLLDIKVPLILGVWGGKGQGKTFQTELIFRAMDIEPVIMSAGELESERAGEPGRLIRERYRTASQVIQNQGKMSCLMINDIDAGLGRFGNTQMTVNNQIVVGTLMNLSDNPTRVSIGQKWREFDVTHRVPIIVTGNDFSTLYAPLIREGRMEKFYWQPDREDIVNIVHKMYAKDGISKEEVETVVDAFPNQALDFYGALRSRTYDQSISKWVNDIGGYENLGKTLLKGRKSGDLQTFVPPEQTVEALLESGHSLIREQQLIVNSRLSKEYMKNIDD >DRNTG_32320.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18293431:18294591:1 gene:DRNTG_32320 transcript:DRNTG_32320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKDGISKEEVETVVDAFPNQALDFYGALRSRTYDQSISKWVNDIGGYENLGKTLLKGRKSGDLQTFVPPEQTVEALLESGHSLIREQQLIVNSRLSKEYMKNIDD >DRNTG_32320.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18288791:18289492:1 gene:DRNTG_32320 transcript:DRNTG_32320.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALCSELRIPFRPISTNFKATKKIPTRLSCSRQSPDGDDEPPRPSDGLKKRISEQSSWETKDLEGRDYLYRLGQEADNMNITVGARKGIVDDLFVGNFLGRDADIVFDYRQKVTRSFQYLQGDYYIAPLFLVS >DRNTG_32320.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18290210:18294591:1 gene:DRNTG_32320 transcript:DRNTG_32320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNMYLFLFSLAACHIVKNYIAHLLDIKVPLILGVWGGKGQGKTFQTELIFRAMDIEPVIMSAGELESERAGEPGRLIRERYRTASQVIQNQGKMSCLMINDIDAGLGRFGNTQMTVNNQIVVGTLMNLSDNPTRVSIGQKWREFDVTHRVPIIVTGNDFSTLYAPLIREGRMEKFYWQPDREDIVNIVHKMYAKDGISKEEVETVVDAFPNQALDFYGALRSRTYDQSISKWVNDIGGYENLGKTLLKGRKSGDLQTFVPPEQTVEALLESGHSLIREQQLIVNSRLSKEYMKNIDD >DRNTG_32320.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18288791:18294591:1 gene:DRNTG_32320 transcript:DRNTG_32320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEPVIMSAGELESERAGEPGRLIRERYRTASQVIQNQGKMSCLMINDIDAGLGRFGNTQMTVNNQIVVGTLMNLSDNPTRVSIGQKWREFDVTHRVPIIVTGNDFSTLYAPLIREGRMEKFYWQPDREDIVNIVHKMYAKDGISKEEVETVVDAFPNQALDFYGALRSRTYDQSISKWVNDIGGYENLGKTLLKGRKSGDLQTFVPPEQTVEALLESGHSLIREQQLIVNSRLSKEYMKNIDD >DRNTG_21971.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2259553:2260781:1 gene:DRNTG_21971 transcript:DRNTG_21971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIVREETSTTKNFHQRKKRHSQRIQSSRTLYSNDESMIDTNKFKFYFEHLWKNLSEENRRSSICLDSLWFSMYKSKVTKDKVLKWIKMKKILKKKYVFIPIVCW >DRNTG_28361.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2406037:2406768:-1 gene:DRNTG_28361 transcript:DRNTG_28361.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELYNVQRFSRQALATPTRSEDDEQQDSSYQYHSRVLSTSRESPITPIRGKDRCTSTSTSGGRQTTMYPGGYFPTVPDPTEHMLQQHFHPFAAINISGGGALAVAGVSGVRREAASSMDASRTTTSSRDEASISISNASDLEVTEWVEQDEPGVYITIRELADGTRELRRVRFRLVLST >DRNTG_28361.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2405100:2406768:-1 gene:DRNTG_28361 transcript:DRNTG_28361.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELYNVQRFSRQALATPTRSEDDEQQDSSYQYHSRVLSTSRESPITPIRGKDRCTSTSTSGGRQTTMYPGGYFPTVPDPTEHMLQQHFHPFAAINISGGGALAVAGVSGVRREAASSMDASRTTTSSRDEASISISNASDLEVTEWVEQDEPGVYITIRELADGTRELRRVRFSREKFGEVRAKLWWEENRERIQAQYL >DRNTG_28361.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2405100:2410215:-1 gene:DRNTG_28361 transcript:DRNTG_28361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACIACSKRPDDGGDDSTARAATPRTKDAVKSLTSQIKDMVLKISGGNRQCKASSSSSSYRSKSVHHRSPTYLNDDTSDAEQCTYLRSTSANSMAKWDFTNINRNTERKWIPGIGYPPEEEQDVFVEDNAEPKEWMTQVEPGVQITLVSLPGGAGNDLKRIRFSRELFNKWQAQRWWGENFDRIMELYNVQRFSRQALATPTRSEDDEQQDSSYQYHSRVLSTSRESPITPIRGKDRCTSTSTSGGRQTTMYPGGYFPTVPDPTEHMLQQHFHPFAAINISGGGALAVAGVSGVRREAASSMDASRTTTSSRDEASISISNASDLEVTEWVEQDEPGVYITIRELADGTRELRRVRFSREKFGEVRAKLWWEENRERIQAQYL >DRNTG_24922.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7054292:7057881:1 gene:DRNTG_24922 transcript:DRNTG_24922.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDDVMDAFVCIIQKSLSKEPYPYKKRASITRPLALFMSKQDDAHETIRAMVGDAVRNLHEVQIVILPIIMNDHFHVVVLDNDKQEYMHYSLCPGYDKDALDMDDHETSFQIELIFELSSDSSEYHTQEVDDEEEEEEDEEDDEDDEEW >DRNTG_02926.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4190079:4264485:-1 gene:DRNTG_02926 transcript:DRNTG_02926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHHLPLYRPSIFRSYLHRRTLNPRFPSLRPSRSSSDDSYLSMWKNAVERDRMSQDFQRLSFEPEAGDDDGDGESEETLVKKTEMFNKILEVPKEERDRVQRLQVIDRAAAAIAAARAIIKEDPLPKPAAASGSDSGGFDVTAGGETIFRRPPPSG >DRNTG_02401.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000112.1:43071:49838:1 gene:DRNTG_02401 transcript:DRNTG_02401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARTGQQIGLHYESWHKVPKTLKDELFKFIEDRANKKLAECETVDEDMKMVETEILTKVIGKEQCGRRSLNNGRADGWSSDGRREKSSRELAQIKVRGGGLTAGGATANRKALLVDLLKSGILDSWRVEVKDQLIQLI >DRNTG_00793.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:9591067:9595615:1 gene:DRNTG_00793 transcript:DRNTG_00793.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCIASLLLALIHLANLLNSATTQNAPVVPAVIVFGDSIVDPGNNNNLKTPAKANYPPYGQDFPGHFPSGRFSNGKIPGDFLVSGLGIKEYLPPFVGVELEPNDIITGVSLASSGSGYDTLTDSISGVFSVEEQLKFFDEYKEKLRGVAGDEKADSIISEALYIVCTGTVDFALTYFSTPFRSKHYDIPSYVELLVSSASTFLKELSLKGARKIGFVGLPPIGCVPSQRTAAGGLSRECVPVRNEAASLFNSRISEEIDSLQMGMLINGTRIIFIDIYPLLLDIIQRPHVYGFEEATKGCCGTGTIEVSFLCNSLTKTTCDDPSKFVFWDTFHPTERAYKILTDEIFENYLPYLQ >DRNTG_11651.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:131808:134767:1 gene:DRNTG_11651 transcript:DRNTG_11651.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgi nucleotide sugar transporter 2 [Source:Projected from Arabidopsis thaliana (AT1G07290) TAIR;Acc:AT1G07290] MNSNIKFNSFIDIEELNSRDQNESQFFIKRSSTFYNILHRFLKEGNKSITNIAENLARRATDNRILHEPQTRISDAIDENGKLHNGSKTKSGPLFSGLAYCISSCSMILLNKLVLSGYGFDAGVSLMLYQNFVSVMIVLALEFLGAVSTEKLTWKLVRVWIPVNLIFVGMLVTGMYSLKHINVAMVTILKNMTNILTAFGEIYLFKKRQNGKVWVALFLMIVSAVSGGITDLSFDAMGYTWQIMNCVLTASYSLTLRKVMDTAKQSTKSGSLNEVSMVLLNN >DRNTG_11651.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:131265:133816:1 gene:DRNTG_11651 transcript:DRNTG_11651.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgi nucleotide sugar transporter 2 [Source:Projected from Arabidopsis thaliana (AT1G07290) TAIR;Acc:AT1G07290] MNSNIKFNSFIDIEELNSRDQNESQFFIKRSSTFYNILHRFLKEGNKSITNIAENLARRATDNRILHEPQTRISDAIDENGKLHNGSKTKSGPLFSGLAYCISSCSMILLNKLVLSGYGFDAGVSLMLYQNFVSVMIVLALEFLGAVSTEKLTWKLVRVWIPVNLIFVGMLVTGMYSLKHINVAMVTILKNMTNILTAFGEIYLFKKRQNGKVWVALFLMVCSFFLVALHESIKLCL >DRNTG_11651.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:131808:133816:1 gene:DRNTG_11651 transcript:DRNTG_11651.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgi nucleotide sugar transporter 2 [Source:Projected from Arabidopsis thaliana (AT1G07290) TAIR;Acc:AT1G07290] MNSNIKFNSFIDIEELNSRDQNESQFFIKRSSTFYNILHRFLKEGNKSITNIAENLARRATDNRILHEPQTRISDAIDENGKLHNGSKTKSGPLFSGLAYCISSCSMILLNKLVLSGYGFDAGVSLMLYQNFVSVMIVLALEFLGAVSTEKLTWKLVRVWIPVNLIFVGMLVTGMYSLKHINVAMVTILKNMTNILTAFGEIYLFKKRQNGKVWVALFLMVCSFFLVALHESIKLCL >DRNTG_04608.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3988875:3990125:-1 gene:DRNTG_04608 transcript:DRNTG_04608.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMSILRKTENTEPVSSLGKVLIT >DRNTG_04608.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3988875:3991453:-1 gene:DRNTG_04608 transcript:DRNTG_04608.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGVDPMALMNQLAALIMDIIAGTYQLTNSECGGTVLGGRSLTEGELERLQQALKILSDAEKQLKVSSERSTWFTAALLQLGSGHDAQQTPAISHELSTVLMRITGRSPTPHGHRRSESLPSQLLPSKDQSTDHEMSKDLAEIWKRCIERCHSKKLRELLYDHGKLVSITESEGILVAFIGFTDDNIKSQVERLSSSIKNSLDMVLRHSVEIRIGLMSEYYTKGKSVVMVSARDQAENVKLLLKKKMNQSDEFDEYSEKDRKHGASEFSRKSPDYLRSIIQRTLQKHEHSAAMNGCINKSDAIHCRNENGRVHRTLMGTTDEQKLESAWLQAEEKCTPGSLSHLKREKNQIHPQNGASLSLSSVVPRSSSCREEDLNQQIEALKVCNSRLTYNEETSRRVDHSAISPSLLHNNNLAANSEKENTEYDSAPGCDGLFCWNIPKSNRGKLKQGTRLRSRRSTHISLFGECGKSKSLESAATDKTS >DRNTG_04608.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3989372:3991145:-1 gene:DRNTG_04608 transcript:DRNTG_04608.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKVSSFSSFAFLCMFLVTEGELERLQQALKILSDAEKQLKVSSERSTWFTAALLQLGSGHDAQQTPAISHELSTVLMRITGRSPTPHGHRRSESLPSQLLPSKDQSTDHEMSKDLAEIWKRCIERCHSKKLRELLYDHGKLVSITESEGILVAFIGFTDDNIKSQVERLSSSIKNSLDMVLRHSVEIRIGLMSEYYTKGKSVVMVSARDQAENVKLLLKKKMNQSDEFDEYSEKDRKHGASEFSRKSPDYLRSIIQRTLQKHEHSAAMNGCINKSDAIHCRNENGRVHRTLMGTTDEQKLESAWLQAEEKCTPGSLSHLKREKNQIHPQNGASLSLSSVVPRSSSCREEDLNQQIEALKVCNSRLTYNEETSRRVDHSAISPSLLHNNNLAANSEKENT >DRNTG_29201.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3147572:3151787:1 gene:DRNTG_29201 transcript:DRNTG_29201.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVKGTSLPQTQLRLSAFHGPQVLRTPPNRQFPLFSSDHRPSPAFDHRVFSDLCGKSPVGAEKDLISIPPLAARQPNLDLKQKSGMEDHKVNAGGTISSKKETKNEASNHMLASGSGTAGKRQRKPKSLKQRGIMQQTAELAEGSTFNAMGPSSSCRYDSSLGLLTKKFINLLQEAEDGTLDLNRAADVLEVQKRRIYDITNVLEGVGLIEKKLKNQIHWKGVDMSKPKELDDQVSALKSAVETLEAEDIQLDGMISDMQESLRLLSADENNQKWLYLSKEDIVNLPCFEDVMLIAVKAPHGTCLEVPDPEEGVEFPHRHYQILVRSALGPINCYLLSNHEETFETSNHNKNNTSPSPNDRDKSGLEYEKGRYQAVSGFSSDSVGSEECVDGIMKIVPSDVDV >DRNTG_29201.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3147572:3149270:1 gene:DRNTG_29201 transcript:DRNTG_29201.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVKGTSLPQTQLRLSAFHGPQVLRTPPNRQFPLFSSDHRPSPAFDHRVFSDLCGKSPVGAEKDLISIPPLAARQPNLDLKQKSGMEDHKVNAGGTISSKKETKNEASNHMLASGSGTAGKRQRKPKSLKQRGIMQQTAELAEG >DRNTG_29201.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3147572:3152570:1 gene:DRNTG_29201 transcript:DRNTG_29201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVKGTSLPQTQLRLSAFHGPQVLRTPPNRQFPLFSSDHRPSPAFDHRVFSDLCGKSPVGAEKDLISIPPLAARQPNLDLKQKSGMEDHKVNAGGTISSKKETKNEASNHMLASGSGTAGKRQRKPKSLKQRGIMQQTAELAEGSTFNAMGPSSSCRYDSSLGLLTKKFINLLQEAEDGTLDLNRAADVLEVQKRRIYDITNVLEGVGLIEKKLKNQIHWKGVDMSKPKELDDQVSALKSAVETLEAEDIQLDGMISDMQESLRLLSADENNQKWLYLSKEDIVNLPCFEDVMLIAVKAPHGTCLEVPDPEEGVEFPHRHYQILVRSALGPINCYLLSNHEETFETSNHNKNNTSPSPNDRDKSGLEYEKGRYQAVSGFSSDSVGSEECVDGIMKIVPSDVDVDADYWLSSDARLCVTDQWRTE >DRNTG_29201.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3151291:3151787:1 gene:DRNTG_29201 transcript:DRNTG_29201.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAVKAPHGTCLEVPDPEEGVEFPHRHYQILVRSALGPINCYLLSNHEETFETSNHNKNNTSPSPNDRDKSGLEYEKGRYQAVSGFSSDSVGSEECVDGIMKIVPSDVDV >DRNTG_03204.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12278269:12282396:1 gene:DRNTG_03204 transcript:DRNTG_03204.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCHTLLHLLKLIEILGTLALIICGTRGLCSGLSELLELGN >DRNTG_03204.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12278269:12282396:1 gene:DRNTG_03204 transcript:DRNTG_03204.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCHTLLHLLKLIEILGTLALIICGTRGLCSGLSELLELGN >DRNTG_03204.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12278269:12282396:1 gene:DRNTG_03204 transcript:DRNTG_03204.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCHTLLHLLKLIEILGTLALIICGTRGLCSGLSELLELGN >DRNTG_03204.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12278269:12282396:1 gene:DRNTG_03204 transcript:DRNTG_03204.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCHTLLHLLKLIEILGTLALIICGTRGLCSGLSELLELGN >DRNTG_35048.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23494684:23500016:-1 gene:DRNTG_35048 transcript:DRNTG_35048.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPASNNNWGINTAQEEIEDKIGKWSPKGM >DRNTG_31484.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1986620:1992577:-1 gene:DRNTG_31484 transcript:DRNTG_31484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLEDIGVSAFINIGFTFLFLLGFAFIRIQPWADRVYNTKWYIKGMRKSNNRGGVFQRFVNLDARSYVTFLNWMPEALRMSQADIIDHAGLDSAVYLRIYILGMKIFVPVTIAALLFLIPVNVSGGTLLSLKKEVVFTDIDKLSISNVSAGSQRFWIHLLMEYLFTFWACFILYKEYSNVAFMRLHFLATQQRRAEQFTVVVSNVPHVSGHSISESVDQFFQRNHPEHYLGHQAVYNANKFARLIRRKERLQNWLDYNHIKFEKHPDKRPTRMTGFLGLCGEKVDAIDFYAEQIRVLDKSITAERQRVLKDSKAIMPVVFVTFDSRWAAAVCAQTQQSKDPTKWLTDWAPEPRDVYWRNLAIPFVSLSIRRLLMSISLFALVFFYMIPIAFVQSLANLEGLEKEASFLRPVIEIKVIKSFLQGFLPGLALKIFLYILPTVLMIMSKVEGHLSLSALERRTASKYYYFMLVNVFLGSIVTGTAFEQLYSFLHQSPTQIPRTIGVSIPMKATFFITFIMVDGWAGIASEILRLKPLIIFLLKNTFIVKTERDREKAMDPGSISIPETLPTLQLYFLLGLVYAVVTPILLPFVLVFFAFAYFVYRHQIINVYDQEYESAGAFWPHVHGRIIGSLLISQLLLLGLLSTKKAADSTPLLIVLPVLTIWFHKYCKSRFEPAFRKYPLEEAMEKDNMEKDSESNLNLKAFLADAYLHPIFHSFDNDVVEAADIRVDKTQSYAASPVRSEITRSEASSPSPPHYVYHYDIEP >DRNTG_00188.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:111903:114543:1 gene:DRNTG_00188 transcript:DRNTG_00188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIDPIGPSISEVGTGTSCDPHTSITMEPAQLGLLIGKSISETIQKLQENFSVNSPNKPI >DRNTG_33582.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20070396:20076923:1 gene:DRNTG_33582 transcript:DRNTG_33582.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM1-like protein 5 [Source:Projected from Arabidopsis thaliana (AT5G63640) UniProtKB/Swiss-Prot;Acc:Q9FFQ0] MGGSGVAELVNAATSENLKEMDWTKSIEICELVARDHGLAKDVIKSIKKRLGKKSPNTQLFAVMLLEMLMNNCGEHIHKQVIDNGLLPILVKIVKKKTDLPVRERIFLLLDATQTALGGASGKFPQYYTAYYDLVSARVQFPQRPNIAPQEQPTSNVHEKEIPTQDSLYQKSEADKKNANGQIIPDSSIIHKAISVLDVLMEVLDAMNPQHPEGATDEFVLDLVEQCSFQKQRVMHLIMTSRDEKIVTQAIDLNDRLHKALAKHDALLSVRATSTVPVTTAREDSEEEDEERLFRRIRKGKACAEDYSGNSSRSFMSIPEEKMKRPLCIQPVDSDSKPPVPTSIIPPPPAKHMERERFFKEKQTDGSTLSGHMRGLSLHSRNGSSSRGGSSDFSERDAFGFRD >DRNTG_33582.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20070396:20076923:1 gene:DRNTG_33582 transcript:DRNTG_33582.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM1-like protein 5 [Source:Projected from Arabidopsis thaliana (AT5G63640) UniProtKB/Swiss-Prot;Acc:Q9FFQ0] MGGSGVAELVNAATSENLKEMDWTKSIEICELVARDHGLAKDVIKSIKKRLGKKSPNTQLFAVMLLEMLMNNCGEHIHKQVIDNGLLPILVKIVKKKTDLPVRERIFLLLDATQTALGGASGKFPQYYTAYYDLVSARVQFPQRPNIAPQEQPTSNVHEKEIPTQDSLYQKSEADKKNANGQIIPDSSIIHKAISVLDVLMEVLDAMNPQHPEGATDEFVLDLVEQCSFQKQRVMHLIMTSRDEKIVTQAIDLNDRLHKALAKHDALLSVRATSTVPVTTAREDSEEEDEERLFRRIRKGKACAEDYSGNSSRSFMSIPEEKMKRPLCIQPVDSDSKPPVPTSIIPPPPAKHMERERFFKEKQTDGSTLSGHMRGLSLHSRNGSSSRGGSSDFSERDAFGFRD >DRNTG_01468.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13302248:13316303:-1 gene:DRNTG_01468 transcript:DRNTG_01468.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 38 [Source:Projected from Arabidopsis thaliana (AT3G53110) UniProtKB/Swiss-Prot;Acc:Q93ZG7] MADPAKTEEKRRAWGDEDEEEDSSSVASSSLVTAASVAAEGKAAEGEASELKKIEELSITEKSRTLDDPEDSEIKAVTSGNTVYEAAVKFEDLNLSQDLIKGLYVEMGFTRPSKIQAVSLPMILTPPYKDLVAQAHNGSGKTTCFVLGMLSRVDPTKEGPQAMCICPTRELAQQNQAVLMRMGKYSGITSMCAIPSESAGYIPVYKRPEVKEHVVIGTPGTIKKLMTGRKLKTGNIKILVFDEADHMLAEGGFKDDSERIMKDIRKESGGCQVLLFSATFNETVKAFVSNVVRDGNQIFVKKEDLTLEKVKQYKVKCYRDRERPDERLKIEVIKKILEYGQKVGQTIIFVRTKESARNLHKQLSSEGYECTSIQGALVIEDRDKIIKEFKDGLTQVLITTDLLARGFDQAQVNLVVNHDLPVNYESYRRGSPEPDCEVYLHRVGRTGRFGRKGAVFNLLGSRDDEALLEMIENHFQHKIPMVDNGQNDDSIVNAIKDAGLL >DRNTG_18700.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14792782:14795101:1 gene:DRNTG_18700 transcript:DRNTG_18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAWVLRGYWPRFWRGSTALTSSFLRKKLDRGASIEAHPIPDEGILGQRVEDFPQDRRHDYRGEEKSNNLNTSHSHRVAMSSRDSK >DRNTG_35181.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26034146:26039215:1 gene:DRNTG_35181 transcript:DRNTG_35181.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGSLKSSLPSLSSVTICQPEPLGSHGEEIKLLSVSWNQDYGCFSAGTSYGFRIYNCEPLRESFRRDLKSGGFGIVEMLFRCNILALVGGGTNPHCPPNKVMIWDDHQSRSVGEFAYRSNVRAVKLRQDRIIVVLEYKIYVYNFTDLKLLHQIETLSNPKGLCCLTHDPHTLVLVCPGLHQGQVRVEHFSLKVTKFINAHDSTIACFTLTMDGLLLATASMKGTLIRIFNTMDGTRLQEVRRGVDRAEIYSIALSPSVKWLAVSSDKGTVHIFSLRSRLVGEDPPDHNVSGEAPGMIPQNSSSSLEVLIPSNAGANPSSSLSFMKGVLPKYFSSEWSFAQFHLPEITRYIIAFGSQNTVMIVGMDGSFYRCSFDPVCGGEMQQQEFVRFLKINHPRPRSTPT >DRNTG_35181.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26034146:26039271:1 gene:DRNTG_35181 transcript:DRNTG_35181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGSLKSSLPSLSSVTICQPEPLGSHGEEIKLLSVSWNQDYGCFSAGTSYGFRIYNCEPLRESFRRDLKSGGFGIVEMLFRCNILALVGGGTNPHCPPNKVMIWDDHQSRSVGEFAYRSNVRAVKLRQDRIIVVLEYKIYVYNFTDLKLLHQIETLSNPKGLCCLTHDPHTLVLVCPGLHQGQVRVEHFSLKVTKFINAHDSTIACFTLTMDGLLLATASMKGTLIRIFNTMDGTRLQEVRRGVDRAEIYSIALSPSVKWLAVSSDKGTVHIFSLRSRLVGEDPPDHNVSGEAPGMIPQNSSSSLEVLIPSNAGANPSSSLSFMKGVLPKYFSSEWSFAQFHLPEITRYIIAFGSQNTVMIVGMDGSFYRCSFDPVCGGEMQQQEFVRFLKINHPRPRSTPT >DRNTG_23794.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29705080:29705875:1 gene:DRNTG_23794 transcript:DRNTG_23794.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGRGSCTKVTLIVMLVIIWSPIESYAASHVVGDNQGWGFSVSYSDWAKGKSFASGDTLVFNYQPGLHNVVLVTAAGYKNCKAPGAAEAATSGNDNLTLKKGANYFICSIPGHCSAGMKLQVVAE >DRNTG_00135.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2325759:2326128:-1 gene:DRNTG_00135 transcript:DRNTG_00135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSKMEQRVDAVEKNLESMREEIKDLKLQGSDSEQKMSGMMDEILHSRQQLDEIQSLLA >DRNTG_28988.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16731058:16739402:-1 gene:DRNTG_28988 transcript:DRNTG_28988.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQSRSAGYSPYEDVYLCETYMEMTQYPIIGTNQSLEQLWSQVEEKYNLTRDKYWVERSARSLQCRMQTIEKAVKKLNGCIRQVENLSPSGASNEDIINRAKKMLLDDPNYKKGFKFDHVWHIGNATFVGKRLILNNFIATDGPFLFISTCWNPDRHLGAMGFFIANSNYSISIARCGHVSSDSSFALDLHALAKALCLLIEKSIHVQ >DRNTG_22680.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:13723916:13725173:-1 gene:DRNTG_22680 transcript:DRNTG_22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLEKALSRFMQTSDIRFQLVESYTSQLHHFIAQSRESSRGKLRSLYWRDHKKAY >DRNTG_16969.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:21005725:21007193:1 gene:DRNTG_16969 transcript:DRNTG_16969.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRTPLAPPAASSSVHAPIDQPLQLSPVEGHPLAHSLYYDLLPNVV >DRNTG_30931.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001644.1:45372:45687:-1 gene:DRNTG_30931 transcript:DRNTG_30931.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRPQTWKPNNNMSSHRHKLKTNQSVQI >DRNTG_35469.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3770339:3770945:1 gene:DRNTG_35469 transcript:DRNTG_35469.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTRAKSPKLGRRKSCGDATNPSTRDKQAGDRNRLNRHSLGSIKQEANKNNGRKNSNSSHKEKEGSKPAKDDLKDLDCEVAEPKATDDVSVNDSDDVSVKVIDDVSVES >DRNTG_25852.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8786456:8790081:-1 gene:DRNTG_25852 transcript:DRNTG_25852.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPENSNTEEVVVGEEVGRVRLITLNRPRQLNVISSQVVSLVAGFLEKWEKDDDAHLIIIKAVGRAFSAGGDLKMFYAGKTDDSCLEVVYRMYWLCYHIHTYKKTAVALVNGIAMGGGAAMAVPLKFTVVTEKTIFAVPEATIGLHTDCSFSYILPRLPGHLGEYLALTGARLNGKEMIAIGLATHFVPSEKLDELEKRLVSLNSGDEVAIKAVIEEFSLEVQPDEESIVHKLSIINKCFGEESVEEIIKSFEAEASREGNAWIASVLKGLKRSSPTGLKITLRSIREGRKQTLSECLKKEFRLTMNQLRSVISGDVYEGIRALNIDKDNSPKWNPASLNEVSSEKVDRVFQPFEAELELNIPPEGDAYRWSGKYENSVYPTLKGKQ >DRNTG_25852.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8786456:8790081:-1 gene:DRNTG_25852 transcript:DRNTG_25852.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPENSNTEEVVVGEEVGRVRLITLNRPRQLNVISSQVVSLVAGFLEKWEKDDDAHLIIIKAVGRAFSAGGDLKMFYAGKTDDSCLEVVYRMYWLCYHIHTYKKTAVALVNGIAMGGGAAMAVPLKFTVVTEKTIFAVPEATIGLHTDCSFSYILPRLPGHLGEYLALTGARLNGKEMIAIGLATHFVPSEKLDELEKRLVSLNSGDEVAIKAVIEEFSLEVQPDEESIVHKLSIINKCFGEESVEEIIKSFEAEASREGNAWIASVLKGLKRSSPTGLKITLRSIREGRKQTLSECLKKEFRLTMNQLRSVISGDVYEGIRALNIDKDNSPKWNPASLNEVSSEKVDRVFQPFEAELELNIPPEGDAYRWSGKYENSVYPTLKGKQ >DRNTG_25852.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8786456:8790081:-1 gene:DRNTG_25852 transcript:DRNTG_25852.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPENSNTEEVVVGEEVGRVRLITLNRPRQLNVISSQVVSLVAGFLEKWEKDDDAHLIIIKAVGRAFSAGGDLKMFYAGKTDDSCLEVVYRMYWLCYHIHTYKKTAVALVNGIAMGGGAAMAVPLKFTVVTEKTIFAVPEATIGLHTDCSFSYILPRLPGHLGEYLALTGARLNGKEMIAIGLATHFVPSEKLDELEKRLVSLNSGDEVAIKAVIEEFSLEVQPDEESIVHKLSIINKCFGEESVEEIIKSFEAEASREGNAWIASVLKGLKRSSPTGLKITLRSIREGRKQTLSECLKKEFRLTMNQLRSVISGDVYEGIRALNIDKDNSPKWNPASLNEVSSEKVDRVFQPFEAELELNIPPEGDAYRWSGKYENSVYPTLKGKQ >DRNTG_25852.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8786456:8790081:-1 gene:DRNTG_25852 transcript:DRNTG_25852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPENSNTEEVVVGEEVGRVRLITLNRPRQLNVISSQVVSLVAGFLEKWEKDDDAHLIIIKAVGRAFSAGGDLKMFYAGKTDDSCLEVVYRMYWLCYHIHTYKKTAVALVNGIAMGGGAAMAVPLKFTVVTEKTIFAVPEATIGLHTDCSFSYILPRLPGHLGEYLALTGARLNGKEMIAIGLATHFVPSEKLDELEKRLVSLNSGDEVAIKAVIEEFSLEVQPDEESIVHKLSIINKCFGEESVEEIIKSFEAEASREGNAWIASVLKGLKRSSPTGLKITLRSIREGRKQTLSECLKKEFRLTMNQLRSVISGDVYEGIRALNIDKDNSPKWNPASLNEVSSEKVDRVFQPFEAELELNIPPEGDAYRWSGKYENSVYPTLKGKQ >DRNTG_17255.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5686671:5692434:1 gene:DRNTG_17255 transcript:DRNTG_17255.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EARLY FLOWERING 3 [Source:Projected from Arabidopsis thaliana (AT2G25930) UniProtKB/Swiss-Prot;Acc:O82804] MPPHTPAHSAERVHSRSSGGINNNASRLQSESRSARPANERFLAATGSPAECSSLHQRQCPYGKKSSGNAIDDDDDFRVPTFVQPGNATCSNKDTPVLETEKGISICSKAQQKSFSTSNSSVQISKSYGKAMEQSKLSSINEKEKNEDLVINEPNQRAVGHIVARESLVGLSHSPKASSDHSKAVNVFESSCHETAVQGQETCGGSASAGSKCLNEEVLRAKNESCLRALVDANSQFLKVGEKQNPEINAKPSGSPAMGDAEGNDDTSDSSMVDSISGMEISPDDVVGIIGPKHFWKARRAIINQQRVFAIQVFELHRLIKVQKLIAASPHMLLEESSFLKKSSQKVVPAKILQHEPVPKPLLAATQPKDLQKSNQRIECSTEKTVDARPLPSTEDGSHGGHTVQNPRTGPYVGNPMPIPMSLDHQKPNPWCYHPPANQWLVPVMSPSEGLCYKPYTGPCPPPGGFIPPVYGGCCPMSLPPGDFMNPAYGIPASHQQQNMGVLSGNHHMAPNYFPAPYPVHVMNPMMSPSAVEQVSPLVGSRPPGQTEQSSCNISNLRSETISGRLWKAHGQVSKESGVQGSTASSPCEKTQADGRDALPLFPTAPQSEISARQPSASCGKANQTRVIKVVPHNARSATESAARIFRSIQEGRQQHDS >DRNTG_17255.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5686671:5692434:1 gene:DRNTG_17255 transcript:DRNTG_17255.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EARLY FLOWERING 3 [Source:Projected from Arabidopsis thaliana (AT2G25930) UniProtKB/Swiss-Prot;Acc:O82804] MKGGKEEEKIVGPLFPRLHVNDADKGGPRAPPRNKMALYEQLSIPSQRFSSAPVPAPSRPLPPQNGGLQVPSTSLSQGYGHERNGFPSFYMPPHTPAHSAERVHSRSSGGINNNASRLQSESRSARPANERFLAATGSPAECSSLHQRQCPYGKKSSGNAIDDDDDFRVPTFVQPGNATCSNKDTPVLETEKGISICSKAQQKSFSTSNSSVQISKSYGKAMEQSKLSSINEKEKNEDLVINEPNQRAVGHIVARESLVGLSHSPKASSDHSKAVNVFESSCHETAVQGQETCGGSASAGSKCLNEEVLRAKNESCLRALVDANSQFLKVGEKQNPEINAKPSGSPAMGDAEGNDDTSDSSMVDSISGMEISPDDVVGIIGPKHFWKARRAIINQQRVFAIQVFELHRLIKVCFNSRNWNKAADRYKMICQPHCLVLMPVSFSNRFRS >DRNTG_17255.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5686671:5692434:1 gene:DRNTG_17255 transcript:DRNTG_17255.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EARLY FLOWERING 3 [Source:Projected from Arabidopsis thaliana (AT2G25930) UniProtKB/Swiss-Prot;Acc:O82804] MKGGKEEEKIVGPLFPRLHVNDADKGGPRAPPRNKMALYEQLSIPSQRFSSAPVPAPSRPLPPQNGGLQVPSTSLSQGYGHERNGFPSFYMPPHTPAHSAERVHSRSSGGINNNASRLQSESRSARPANERFLAATGSPAECSSLHQRQCPYGKKSSGNAIDDDDDFRVPTFVQPGNATCSNKDTPVLETEKGISICSKAQQKSFSTSNSSVQISKSYGKAMEQSKLSSINEKEKNEDLVINEPNQRAVGHIVARESLVGLSHSPKASSDHSKAVNVFESSCHETAVQGQETCGGSASAGSKCLNEEVLRAKNESCLRALVDANSQFLKVGEKQNPEINAKPSGSPAMGDAEGNDDTSDSSMVDSISGMEISPDDVVGIIGPKHFWKARRAIINQQRVFAIQVFELHRLIKVQKLIAASPHMLLEESSFLKKSSQKVVPAKILQHEPVPKPLLAATQPKDLQKSNQRIECSTEKTVDARPLPSTEDGSHGGHTVQNPRTGPYVGNPMPIPMSLDHQKPNPWCYHPPANQWLVPVMSPSEGLCYKPYTGPCPPPGGFIPPVYGGCCPMSLPPGDFMNPAYGIPASHQQQNMGVLSGNHHMAPNYFPAPYPVHVMNPMMSPSAVEQVSPLVGSRPPGQTEQSSCNISNLRSETISGRLWKAHGQVSKESGVQGSTASSPCEKTQADGRDALPLFPTAPQSEISARQPSASCGKANQTRVIKVVPHNARSATESAARIFRSIQEGRQQHDS >DRNTG_17255.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5686671:5692434:1 gene:DRNTG_17255 transcript:DRNTG_17255.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EARLY FLOWERING 3 [Source:Projected from Arabidopsis thaliana (AT2G25930) UniProtKB/Swiss-Prot;Acc:O82804] MKGGKEEEKIVGPLFPRLHVNDADKGGPRAPPRNKMALYEQLSIPSQRFSSAPVPAPSRPLPPQNGGLQGYGHERNGFPSFYMPPHTPAHSAERVHSRSSGGINNNASRLQSESRSARPANERFLAATGSPAECSSLHQRQCPYGKKSSGNAIDDDDDFRVPTFVQPGNATCSNKDTPVLETEKGISICSKAQQKSFSTSNSSVQISKSYGKAMEQSKLSSINEKEKNEDLVINEPNQRAVGHIVARESLVGLSHSPKASSDHSKAVNVFESSCHETAVQGQETCGGSASAGSKCLNEEVLRAKNESCLRALVDANSQFLKVGEKQNPEINAKPSGSPAMGDAEGNDDTSDSSMVDSISGMEISPDDVVGIIGPKHFWKARRAIINQQRVFAIQVFELHRLIKVQKLIAASPHMLLEESSFLKKSSQKVVPAKILQHEPVPKPLLAATQPKDLQKSNQRIECSTEKTVDARPLPSTEDGSHGGHTVQNPRTGPYVGNPMPIPMSLDHQKPNPWCYHPPANQWLVPVMSPSEGLCYKPYTGPCPPPGGFIPPVYGGCCPMSLPPGDFMNPAYGIPASHQQQNMGVLSGNHHMAPNYFPAPYPVHVMNPMMSPSAVEQVSPLVGSRPPGQTEQSSCNISNLRSETISGRLWKAHGQVSKESGVQGSTASSPCEKTQADGRDALPLFPTAPQSEISARQPSASCGKANQTRVIKVVPHNARSATESAARIFRSIQEGRQQHDS >DRNTG_17255.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5686671:5692434:1 gene:DRNTG_17255 transcript:DRNTG_17255.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EARLY FLOWERING 3 [Source:Projected from Arabidopsis thaliana (AT2G25930) UniProtKB/Swiss-Prot;Acc:O82804] MPPHTPAHSAERVHSRSSGGINNNASRLQSESRSARPANERFLAATGSPAECSSLHQRQCPYGKKSSGNAIDDDDDFRVPTFVQPGNATCSNKDTPVLETEKGISICSKAQQKSFSTSNSSVQISKSYGKAMEQSKLSSINEKEKNEDLVINEPNQRAVGHIVARESLVGLSHSPKASSDHSKAVNVFESSCHETAVQGQETCGGSASAGSKCLNEEVLRAKNESCLRALVDANSQFLKVGEKQNPEINAKPSGSPAMGDAEGNDDTSDSSMVDSISGMEISPDDVVGIIGPKHFWKARRAIINQQRVFAIQVFELHRLIKVCFNSRNWNKAADRYKMICQPHCLVLMPVSFSNRFRS >DRNTG_02426.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000114.1:332044:333154:1 gene:DRNTG_02426 transcript:DRNTG_02426.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKIVDMLAVSSWDHLLSIRDAAIRALPLEVLASFEFDRSYSSFDSVSAIHFRALGQHHIMSVTKFAILIELYDVAYTEIEEYEELSTDYRGSLTPQPKVEGDNAGASQTASEPQPTPMETDASPVAEDTPQVRMFSPSRAHDRFERLESAVRVAPPASSSRDPPVPFNYPAEEPERGTNT >DRNTG_12576.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:813596:817778:1 gene:DRNTG_12576 transcript:DRNTG_12576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWRRKIHRLEQEAYSSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLTRVNADDIIRRIRLWRQSGGGLQSGLLNNAQPVHESIPSPTVSASRKRQKNSQSIPSLSMGAPSPALHSQPPVASMQPSSSAAKKSAAAKSKKAKSGQALPSVPLMKSAQYPSTGSSGRVQAANRNAPAALGVVEAAEARTLERSLIGQKVKTRWPEDNNFYEAVITDYNPTEDVHALVYDINTSHETWEWVNLKEISAEDIQWEGEDPGICRVGRNGVGRGNKKPTGRTGPHSWCW >DRNTG_32260.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001813.1:23003:24785:1 gene:DRNTG_32260 transcript:DRNTG_32260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLGKQLDNQGLLVISSHCHSLSELTLSFCSFINDTGLGYLVSCKNLASFKLNFAPAISSNGILSLVVGCKKLNTLHLIRCMKVSSVEWLEYLGRLGTLEDLVIKNCRAIGEDDLTKLGPGWRKLKHLEFEVDAYYRYPKICNNISVDRWLRQDVSCDELKELSLMNCIIASGRGLSFVLGKCRSLEKLHLDMCIGVKDADMAALSQCSKNLKCLSLHLPSQFLAPVLVNSPLQMTDDSLRALAQGCSMLEEVELCFSDGEFPSISCFTQNGILALIESCPIRVLVLNSCCFFNDVGLAALCTAHFLEHLELVKCQEITDEGIMLVMSFPYLNTLKLSKCLGVTDDGLKPLVGSGKLETLIVEDCPQISEHGVLGTARVVSYKQDLSWLY >DRNTG_32260.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001813.1:23003:24785:1 gene:DRNTG_32260 transcript:DRNTG_32260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERLPESLLMEIFRRIGKTSDRNSLSLVSKYIHFIEREQRNFLRVGCGLHPATEALTSLCIRFPNLKKIEIIYSGWMSNLGKQLDNQGLLVISSHCHSLSELTLSFCSFINDTGLGYLVSCKNLASFKLNFAPAISSNGILSLVVGCKKLNTLHLIRCMKVSSVEWLEYLGRLGTLEDLVIKNCRAIGEDDLTKLGPGWRKLKHLEFEVDAYYRYPKICNNISVDRWLRQDVSCDELKELSLMNCIIASGRGLSFVLGKCRSLEKLHLDMCIGVKDADMAALSQCSKNLKCLSLHLPSQFLAPVLVNSPLQMTDDSLRALAQGCSMLEEVELCFSDGEFPSISCFTQNGILALIESCPIRVLVLNSCCFFNDVGLAALCTAHFLEHLELVKCQEITDEGIMLVMSFPYLNTLKLSKCLGVTDDGLKPLVGSGKLETLIVEDCPQISEHGVLGTARVVSYKQDLSWLY >DRNTG_32260.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001813.1:23003:24785:1 gene:DRNTG_32260 transcript:DRNTG_32260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLPESLLMEIFRRIGKTSDRNSLSLVSKYIHFIEREQRNFLRVGCGLHPATEALTSLCIRFPNLKKIEIIYSGWMSNLGKQLDNQGLLVISSHCHSLSELTLSFCSFINDTGLGYLVSCKNLASFKLNFAPAISSNGILSLVVGCKKLNTLHLIRCMKVSSVEWLEYLGRLGTLEDLVIKNCRAIGEDDLTKLGPGWRKLKHLEFEVDAYYRYPKICNNISVDRWLRQDVSCDELKELSLMNCIIASGRGLSFVLGKCRSLEKLHLDMCIGVKDADMAALSQCSKNLKCLSLHLPSQFLAPVLVNSPLQMTDDSLRALAQGCSMLEEVELCFSDGEFPSISCFTQNGILALIESCPIRVLVLNSCCFFNDVGLAALCTAHFLEHLELVKCQEITDEGIMLVMSFPYLNTLKLSKCLGVTDDGLKPLVGSGKLETLIVEDCPQISEHGVLGTARVVSYKQDLSWLY >DRNTG_14083.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10351031:10352102:1 gene:DRNTG_14083 transcript:DRNTG_14083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLFRTPALSSGLSICPTCPPFFPTSLFIYVFLPFFTLGQSTRPAKNLFPSLSLSLSLSLSEMTISKVLMFILFIFFCTISIQGTRAKALHLYHNNGDSNLKQIKSRRSMIGSTAPTCTYNECRGCRYRCRAEQVPVDANDPANSAYHYRCVCHKWLN >DRNTG_24849.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29410779:29417752:1 gene:DRNTG_24849 transcript:DRNTG_24849.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division cycle 5-like protein [Source:Projected from Arabidopsis thaliana (AT1G09770) UniProtKB/Swiss-Prot;Acc:P92948] MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACVKDENYEPSDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIHTRQRKRKRKGIDYNSEIPFEKRPPPGFYDVVDEERPAQQPKFPTTIEELEGKRRADVEAQLRKLDIAKNKILQRQDAPSAILQANKLNDPQAVRKRSKLLLPPPQISDHELEEIAKMGYASDLILGNEELAEGSGATRALLANYSQTPRQGMTPLRTPQRTPGGKSDAIMMEAENLARLRESQTPLLGGENPELHPSDFSGVTPKKREIQTPNPMATPLASPGPMGLTPRIGMTPSRDAYAYGVTPRATPFRDELHINEDIEMQNSAKLELRRQAELKRNLQYGLSNLPQPKNEYQIVIQPIPEENEEVEEKIEEDMSDRIAREKAQEQARLEALLRKRSKVLQRELPRPPTAALELIKNLLMRGDEDKSSFVPPTLFEQAEEMINKELLSLLEHDNAKYPLDEKVEKEKKKRSKRAANGKSSLSIPEIEDFEEDELNEADSLITEEVEFLQVAMGHENESLDDFVKARDACLEDLMYLPTRNHYGLASVAANSDKLTALQSEFEIMKSRLDDEAKKATRLEQKIKVLTHGYQTRAGKLWSQIEATYKQIDTARTEVECFRTLQKQEQVAGSHRVNNLIEEVNKQRELERTLQNQYGELLVEYERVQTILAEHKEKLRIQEEIAMKNRELEEQTAAKNRSFEEEIAKLNCALEEEMAVKNQTPGESGERSLDQEMVDATEENPPSRSSQADLPKPNLSETDISPEESTVQKSISQLHVENSCPKEIDAQDQAGHSVNVVPSDKEIVTANSNNASIEQAGNSSPVSVSDHHKNIPVENPIINPLADETMIVDSSIQESGTLVGKPDSISDHMEETLASLTAQVPDPSSEEQIAHDNSSHPDSVSSLDIPNNKTSDEIPISELAPVGRDTTEV >DRNTG_17493.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6541364:6545580:1 gene:DRNTG_17493 transcript:DRNTG_17493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLNKDFKFEDVAKKLPPGHGSELPTPSTVFSMVSMLEVICRYLKEYMSGIVGIWGMGGVGKTTLLRSINNELVHNKDGMFDHVIWVVVSRNNAEKIRSDIAKYLGLSSADAGAICNFLRTKSFLLLLDDLWSSLDLEMIGVPDIQHHAQDKKKRMVVFTTRSEATCGSMEANKKIKMECLDDNAAWSLFKEKAGKELIASDKQIQRHAEDITKKCAGLPLALVTVGKAMSTKKTPGEWEYVATMMRKSKYHNILGMKESNFFPILKISYDSLESDYLRQCFLYCSLWGEDEQIPTDELIECWMGHGLLGYFDELNEAYIKGETIIGILKEACLLESGVVKRCVWKSSQLRSNVKVHDMIRDLALWITSGCQENNGGWLVKPDRNLERLPEDLNGREAISLAYNRIRSLHGSPNFHKLRTFILQGNKELCHISSSFFVTMHWLKYLDLSRTCVTFLPEEIGMLHELQYLNLSFSSLISLPSTLGDLNKLKYLYCVGAKELKDIPQDLIARLKNLNVLDLYSTGIYFYEGAYLDDLLILSNLKGVGFNIRGVSALEKLSYIPKQRVKLTDYDEYLTSISISQSLLGNNSKENLQELNIFSIYGLKELVMTTEDKISWCLSQLKSLCLGFLPNLRDVIWEDLEPSYFLPKLTYLEIFECENLISLCWVDLLPSLQILLISKCRRLRCIIAGDRHTMIEEGTPFRSLKTLDLDDLPNLESIYEGELSFPSIEVISLRNCWNLRNLPLGLHSAKNLDYISVYPRNLWDGMDWAFKHHFSPFVL >DRNTG_17493.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6542682:6545580:1 gene:DRNTG_17493 transcript:DRNTG_17493.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLNKDFKFEDVAKKLPPGHGSELPTPSTVFSMVSMLEVICRYLKEYMSGIVGIWGMGGVGKTTLLRSINNELVHNKDGMFDHVIWVVVSRNNAEKIRSDIAKYLGLSSADAGAICNFLRTKSFLLLLDDLWSSLDLEMIGVPDIQHHAQDKKKRMVVFTTRSEATCGSMEANKKIKMECLDDNAAWSLFKEKAGKELIASDKQIQRHAEDITKKCAGLPLALVTVGKAMSTKKTPGEWEYVATMMRKSKYHNILGMKESNFFPILKISYDSLESDYLRQCFLYCSLWGEDEQIPTDELIECWMGHGLLGYFDELNEAYIKGETIIGILKEACLLESGVVKRCVWKSSQLRSNVKVHDMIRDLALWITSGCQENNGGWLVKPDRNLERLPEDLNGREAISLAYNRIRSLHGSPNFHKLRTFILQGNKELCHISSSFFVTMHWLKYLDLSRTCVTFLPEEIGMLHELQYLNLSFSSLISLPSTLGDLNKLKYLYCVGAKELKDIPQDLIARLKNLNVLDLYSTGIYFYEGAYLDDLLILSNLKGVGFNIRGVSALEKLSYIPKQRVKLTDYDEYLTSISISQSLLGNNSKENLQELNIFSIYGLKELVMTTEDKISWCLSQLKSLCLGFLPNLRDVIWEDLEPSYFLPKLTYLEIFECENLISLCWVDLLPSLQILLISKCRRLRCIIAGDRHTMIEEGTPFRSLKTLDLDDLPNLESIYEGELSFPSIEVISLRNCWNLRNLPLGLHSAKNLDYISVYPRNLWDGMDWAFKHHFSPFVL >DRNTG_30873.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2271804:2276368:1 gene:DRNTG_30873 transcript:DRNTG_30873.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYHRVEKPRPESAINENEIRITTQGLIRNYLTYASSLLQEKRTGEIVLKAMGQAISKTVAIAEILKKRIPGLHQDTTISSVSITDVWEPIEEGLVPLEMTRHVSMISITLSTRELNKNSPGYQAPLYAEQPRLQSKQQQLPPPQQQQQHQIKQPQGEIEEAYGRGRGRGRGRGRGWGRGGYGGYGGYGNNQGGYNNNQGGYGNNQGRYNQGGYGYNQGGYGNNQGGYGNQGGYGRNQGGYGRNQGGYGYNQVIRYNLIELLEVMLLNGSVAKYKKMVDGTQIGVKAEVETEVVTGYRGAGYERGRGGGGRGYVRGRGRMGGRGRGN >DRNTG_18522.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:13550312:13559072:1 gene:DRNTG_18522 transcript:DRNTG_18522.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRWVEDGKRFSKQVSSRPHERAHIHVVDRIASSIKSHLTTILLALHKSEHMSVT >DRNTG_26437.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23968139:23969772:1 gene:DRNTG_26437 transcript:DRNTG_26437.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISFTAILSSSASSLSCPGPSEIPLL >DRNTG_26437.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23966343:23969772:1 gene:DRNTG_26437 transcript:DRNTG_26437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFTAILSSSASSLSCPGPSEIPLL >DRNTG_33445.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3020374:3023291:1 gene:DRNTG_33445 transcript:DRNTG_33445.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNAGGNPASRRSVRYGIIGVGMMGREHMINISHLRHEGVLLSCVSDPHPSSRDLAAQLGLSLDFPNLQVFSDHHELLDSGLCDVVVVSSPNMTHFEILMDIIKHRKPHHVLVEKPLCTTVQDCRKVIDAAKKRPEMLVQVGLEYRYMPPVAKLIEIVKSGALGQVRMVAIREHRFPFLVKVNNWNRFNVNTGGTLVEKCCHFFDLMRLFAGANPVSVMASGSIDVNHKDEVYDGKVPDIIDNAYVIIEFDNGSRGMLDLCMFAEGSKNEQEISVVGDIGKGEAFIPESIVHIGSRSAGRDGVKTIKADDDRIRYEGLHHGSSYLEHLNFLSAVRAQDGGKPTVGLEDGLLSVAMGVAGQRSIQERRFVSIEEVLLDH >DRNTG_26384.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17999609:18001907:-1 gene:DRNTG_26384 transcript:DRNTG_26384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPVNPKPFLNNLTGKSVIVKLKWGMEYKGYLVSVDSYMNLQLANSEEYIDGQFTGNLGEILIRCNNVLYLRGVPEDEDIEDAEAD >DRNTG_28338.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:146332:151640:-1 gene:DRNTG_28338 transcript:DRNTG_28338.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEGLGEELIKDCEQIMISELSEYHRQLKVQYEEHITLLKSTYDSQLEEGVTVDDESRAIHESQLQLMKHEFSSSGHGKFSEYSTTESSDDVGKRDLDELSDEDEPYFFDTEELFNDCNGTSSSMILGSEDNNSKGWACENHDHSADAANMHTGTVQSDHVTYPHIQRRKRLPEPTEKEKYVSLWSVIKDNVGKDLTRVCLPVYFNEPLSSLQKCCEEMEYSYLLDRAYEYGKMGNSLMRILNVAAFAVSGYASCDGRLCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPMLIACHCEGKGWKFWGDSNLRSKFWGRSIQLDPVGTLTLEFDDGEVFQWNKVTTTIYNLILGKVYCDHHGTMNIRGNSQYSCKLKFKEQSLLDRNPRQVQGFVEDCEGAKVAALRGKWDDSMYYTEGDAMFRTNDSRSPENTSLLWRRSKPPDNPTRYNLSSFAITLNELTPELQF >DRNTG_28338.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:146332:151640:-1 gene:DRNTG_28338 transcript:DRNTG_28338.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEGLGEELIKDCEQIMISELSEYHRQLKVQYEEHITLLKSTYDSQLEEGVTVDDESRAIHESQLQLMKHEFSSSGHGKFSEYSTTESSDDVGKRDLDELSDEDEPYFFDTEELFNDCNGTSSSMILGSEDNNSKGWACENHDHSADAANMHTGTVQSDHVTYPHIQRRKRLPEPTEKEKYVSLWSVIKDNVGKDLTRVCLPVYFNEPLSSLQKCCEEMEYSYLLDRAYEYGKMGNSLMRILNVAAFAVSGYASCDGRLCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPMLIACHCEGKGWKFWGDSNLRSKFWGRSIQLDPVGTLTLEFDDGEVFQWNKVTTTIYNLILGKVYCDHHGTMNIRGNSQYSCKLKFKEQSLLDRNPRQVQGFVEDCEGAKVAALRGKWDDSMYYTEGDAMFRTNDSRSPENTSLLWRRSKPPDNPTRYNLSSFAITLNELTPELQF >DRNTG_28338.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:146332:151640:-1 gene:DRNTG_28338 transcript:DRNTG_28338.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEGLGEELIKDCEQIMISELSEYHRQLKVQYEEHITLLKSTYDSQLEEGVTVDDESRAIHESQLQLMKHEFSSSGHGKFSEYSTTESSDDVGKRDLDELSDEDEPYFFDTEELFNDCNGTSSSMILGSEDNNSKGWACENHDHSADAANMHTGTVQSDHVTYPHIQRRKRLPEPTEKEKYVSLWSVIKDNVGKDLTRVCLPVYFNEPLSSLQKCCEEMEYSYLLDRAYEYGKMGNSLMRILNVAAFAVSGYASCDGRLCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPMLIACHCEGKGWKFWGDSNLRSKFWGRSIQLDPVGTLTLEFDDGEVFQWNKVTTTIYNLILGKVYCDHHGTMNIRGNSQYSCKLKFKEQSLLDRNPRQVQGFVEDCEGAKVAALRGKWDDSMYYTEGDAMFRTNDSRSPENTSLLWRRSKPPDNPTRYNLSSFAITLNELTPELQEKLPPTDSRLRPDQRHLENGEYEKANAEKQRLERRQRMSRKLQQDGWKPRWFQMDSETGTYRYVGGYWEAREKMNWDGCPHIFSETLVDNNVTSCS >DRNTG_28338.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:146332:152881:-1 gene:DRNTG_28338 transcript:DRNTG_28338.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKAMHPLCCISLDCPGIGAGDLSPPPPDLDAVTLASLVHGSSSEDDAAVAGVLYKWTNYGRGWRPRWFSLRNGVFSYSKIRRREDDGDEITGGINGARLIGNAARVARAGGRPVGIVYLKISSFRESKSDDRRFYIFSPTKTLHLKTESKKDRVAWIEALVSARRVISLNDKLTFSHNDIAFSTEKLRDRMRAEGLGEELIKDCEQIMISELSEYHRQLKVQYEEHITLLKSTYDSQLEEGVTVDDESRAIHESQLQLMKHEFSSSGHGKFSEYSTTESSDDVGKRDLDELSDEDEPYFFDTEELFNDCNGTSSSMILGSEDNNSKGWACENHDHSADAANMHTGTVQSDHVTYPHIQRRKRLPEPTEKEKYVSLWSVIKDNVGKDLTRVCLPVYFNEPLSSLQKCCEEMEYSYLLDRAYEYGKMGNSLMRILNVAAFAVSGYASCDGRLCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPMLIACHCEGKGWKFWGDSNLRSKFWGRSIQLDPVGTLTLEFDDGEVFQWNKVTTTIYNLILGKVYCDHHGTMNIRGNSQYSCKLKFKEQSLLDRNPRQVQGFVEDCEGAKVAALRGKWDDSMYYTEGDAMFRTNDSRSPENTSLLWRRSKPPDNPTRYNLSSFAITLNELTPELQEKLPPTDSRLRPDQRHLENGEYEKANAEKQRLERRQRMSRKLQQDGWKPRWFQMDSETGTYRYVGGYWEAREKMNWDGCPHIFSETLVDNNVTSCS >DRNTG_28338.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:146332:151640:-1 gene:DRNTG_28338 transcript:DRNTG_28338.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEGLGEELIKDCEQIMISELSEYHRQLKVQYEEHITLLKSTYDSQLEEGVTVDDESRAIHESQLQLMKHEFSSSGHGKFSEYSTTESSDDVGKRDLDELSDEDEPYFFDTEELFNDCNGTSSSMILGSEDNNSKGWACENHDHSADAANMHTGTVQSDHVTYPHIQRRKRLPEPTEKEKYVSLWSVIKDNVGKDLTRVCLPVYFNEPLSSLQKCCEEMEYSYLLDRAYEYGKMGNSLMRILNVAAFAVSGYASCDGRLCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPMLIACHCEGKGWKFWGDSNLRSKFWGRSIQLDPVGTLTLEFDDGEVFQWNKRYQFPDPSQVTTTIYNLILGKVYCDHHGTMNIRGNSQYSCKLKFKEQSLLDRNPRQVQGFVEDCEGAKVAALRGKWDDSMYYTEGDAMFRTNDSRSPENTSLLWRRSKPPDNPTRYNLSSFAITLNELTPELQEKLPPTDSRLRPDQRHLENGEYEKANAEKQRLERRQRMSRKLQQDGWKPRWFQMDSETGTYRYVGGYWEAREKMNWDGCPHIFSETLVDNNVTSCS >DRNTG_28338.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:146332:152881:-1 gene:DRNTG_28338 transcript:DRNTG_28338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKAMHPLCCISLDCPGIGAGDLSPPPPDLDAVTLASLVHGSSSEDDAAVAGVLYKWTNYGRGWRPRWFSLRNGVFSYSKIRRREDDGDEITGGINGARLIGNAARVARAGGRPVGIVYLKISSFRESKSDDRRFYIFSPTKTLHLKTESKKDRVAWIEALVSARRVISLNDKLTFSHNDIAFSTEKLRDRMRAEGLGEELIKDCEQIMISELSEYHRQLKVQYEEHITLLKSTYDSQLEEGVTVDDESRAIHESQLQLMKHEFSSSGHGKFSEYSTTESSDDVGKRDLDELSDEDEPYFFDTEELFNDCNGTSSSMILGSEDNNSKGWACENHDHSADAANMHTGTVQSDHVTYPHIQRRKRLPEPTEKEKYVSLWSVIKDNVGKDLTRVCLPVYFNEPLSSLQKCCEEMEYSYLLDRAYEYGKMGNSLMRILNVAAFAVSGYASCDGRLCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPMLIACHCEGKGWKFWGDSNLRSKFWGRSIQLDPVGTLTLEFDDGEVFQWNKVTTTIYNLILGKVYCDHHGTMNIRGNSQYSCKLKFKEQSLLDRNPRQVQGFVEDCEGAKVAALRGKWDDSMYYTEGDAMFRTNDSRSPENTSLLWRRSKPPDNPTRYNLSSFAITLNELTPELQF >DRNTG_28338.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:146332:151640:-1 gene:DRNTG_28338 transcript:DRNTG_28338.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEGLGEELIKDCEQIMISELSEYHRQLKVQYEEHITLLKSTYDSQLEEGVTVDDESRAIHESQLQLMKHEFSSSGHGKFSEYSTTESSDDVGKRDLDELSDEDEPYFFDTEELFNDCNGTSSSMILGSEDNNSKGWACENHDHSADAANMHTGTVQSDHVTYPHIQRRKRLPEPTEKEKYVSLWSVIKDNVGKDLTRVCLPVYFNEPLSSLQKCCEEMEYSYLLDRAYEYGKMGNSLMRILNVAAFAVSGYASCDGRLCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPMLIACHCEGKGWKFWGDSNLRSKFWGRSIQLDPVGTLTLEFDDGEVFQWNKVTTTIYNLILGKVYCDHHGTMNIRGNSQYSCKLKFKEQSLLDRNPRQVQGFVEDCEGAKVAALRGKWDDSMYYTEGDAMFRTNDSRSPENTSLLWRRSKPPDNPTRYNLSSFAITLNELTPELQEKLPPTDSRLRPDQRHLENGEYEKANAEKQRLERRQRMSRKLQQDGWKPRWFQMDSETGTYRYVGGYWEAREKMNWDGCPHIFSETLVDNNVTSCS >DRNTG_17528.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28958293:28962688:-1 gene:DRNTG_17528 transcript:DRNTG_17528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESERCQLGTVGALSLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHVALWMRLFEHKPFDPRAVMGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFRKMFSRSIQFALTILLLGVGIATVTDLQLNVLGSVLSLLAVITTCIAQIMTNTIQKRFKVSSTQLLYQSCPYQALTLFITGPFLDALLTNQNVFAFKYTPQVLVFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLHDPFSWRNIFGILIALVGMILYSYFCSVENQQKATEASSQIPQVKESESDPLLSVESGTGLVADNVAPKAPVWSSDKDLRA >DRNTG_22749.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4165586:4167950:1 gene:DRNTG_22749 transcript:DRNTG_22749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPNYRWFYNFLATNKANDGKRFLRQLGKEKQDLAERVMITRLHLYGKWIKKLDHAKMYQQISDENLQLMRERLIETVIWPSDDTNTEKIG >DRNTG_10352.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22972925:22973859:1 gene:DRNTG_10352 transcript:DRNTG_10352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNVVEQDECHHIKTLLSKLYMLDEVLYSFDIEDGLGVIMVGIFLSASELGHKEAMENKKISLRVEQRAFCWAYFGRPLERLWATLGRRRRARKLGDHSRPRSKTLKARRQHHSRGDLTRFEGRRPAARGSVIRHSFGWGSVI >DRNTG_24835.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31691753:31699313:1 gene:DRNTG_24835 transcript:DRNTG_24835.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 9 [Source:Projected from Arabidopsis thaliana (AT5G40200) UniProtKB/Swiss-Prot;Acc:Q9FL12] MATDHKRKRGRKAKQPLTETLDLQISASPAVPDDPEASNPSPPTTRKRRGRPRKVQRQSDAAKPSSTPTARCSHLVVANGDCTADGLKVVAGEPPPRWEQVARVVPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIISGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIALLTVNDDEFWEGVSPVEFGTLPALQDAVTVVGYPIGGDTISVTSGVVSRMEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGKCVGIAFQSLKHEDVENIGYVIPTPVIMHFIHDYEKSGEYTGTFKFFVLDFCYFYFWKSLH >DRNTG_24835.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31691753:31699313:1 gene:DRNTG_24835 transcript:DRNTG_24835.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 9 [Source:Projected from Arabidopsis thaliana (AT5G40200) UniProtKB/Swiss-Prot;Acc:Q9FL12] MATDHKRKRGRKAKQPLTETLDLQISASPAVPDDPEASNPSPPTTRKRRGRPRKVQRQSDAAKPSSTPTARCSHLVVANGDCTADGLKVVAGEPPPRWEQVARVVPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIISGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIALLTVNDDEFWEGVSPVEFGTLPALQDAVTVVGYPIGGDTISVTSGVVSRMEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGKCVGIAFQSLKHEDVENIGYVIPTPVIMHFIHDYEKSGEYTGFPSLGIEWQKMENPDLRKSMGMAPDQKGVRIRRIEPTSPEFQFLKPSDIIISFDGINIANDGTVPFRHGERIAFSYLVSQKYTEESVFVKVLRNSKVLEFNIKLSAHRRLIPAHIKAKPPSYYIIAGFVFTVVSVPYLRSEYGKDYEFEAPVKLLDKHLHAMAESPDEQIVVVSQVLVADINIGYEDIVNTQVLAFNGKPVKNLKSLATMVESCKDEFLQFDLEYQQIVVLHTKTAKAATLDILTTHCIPSAMSEDLKS >DRNTG_11222.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26315548:26316345:1 gene:DRNTG_11222 transcript:DRNTG_11222.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCDIGGSITCPTGGAGIYNPGYWGMNIEQGKTYKLTMYVRSMDAVNLSVSLTSSDGLQNLATANIVTAALDMANWTKVELLLEAEGT >DRNTG_11222.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26314892:26316345:1 gene:DRNTG_11222 transcript:DRNTG_11222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINALFCAIFMLCFSSCYCSANWLKANQAATLIVDGSPTSRKIPDTMFGIFFEEINHAGAGGLWAELVSNRGFEAGGSTTPSNIDPWSIIGNETNIIVGTDRTSCFSQNKVALRMEVLCDIGGSITCPTGGAGIYNPGYWGMNIEQGKTYKLTMYVRSMDAVNLSVSLTSSDGLQNLATANIVTAALDMANWTKVELLLEAEGT >DRNTG_19677.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3269302:3288284:1 gene:DRNTG_19677 transcript:DRNTG_19677.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKIELLEGYKRKLLGESLGSCSMFELHEIESQLEKSLRNVRGEKHNMLAEQIAELKEKEKTPTEENLVLRRKAEVSLQLNDNRESVENDENGEEDIEVETELFIGSPGSRRQCNINA >DRNTG_19677.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3326180:3341548:1 gene:DRNTG_19677 transcript:DRNTG_19677.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKTEMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGKLYEFASSSMQGTINRYMTHSKNINIEKRMPDQNAQQWMLEVAAMAQKVELLEGYKR >DRNTG_19677.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3269302:3340991:1 gene:DRNTG_19677 transcript:DRNTG_19677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKTEMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGKLYEFASSSMQGTINRYMTHSKNINIEKRMPDQNAQVKHLLCFCYSTVIDLFVFILWKLISKVL >DRNTG_12465.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20911738:20916881:-1 gene:DRNTG_12465 transcript:DRNTG_12465.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 24 [Source:Projected from Arabidopsis thaliana (AT3G16810) UniProtKB/Swiss-Prot;Acc:Q9LRZ3] MMCTRDGLKIGVLCIKHGSAKERKKIVKGMKDHVRKLALDQFGSLLLICVLSVVDDTKLVTKIVIRDLQTTIKELILDRNGRRPILELLHPRCSRYLSPDDLACLNLTVPSLCSQSDDRDGTVNLDSNEEMKSSETMVTDNNASETLSKDLHSTPGSKKDPLVRRQELLVDSGFAEAIIESCIENVAELLRSNFGREVLYEVAVGGADGILNSLTDRIGALHEAIASVASLPKKEESEVEHVFENFHSSRTIRKLILSSPTFATTLWMKAMKSKCEMWAQGHSCKVVSAYLELSDPNVKEMVKSELQPLIDRGVLKCPGPNPDHKQAKKES >DRNTG_12465.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20911738:20916881:-1 gene:DRNTG_12465 transcript:DRNTG_12465.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 24 [Source:Projected from Arabidopsis thaliana (AT3G16810) UniProtKB/Swiss-Prot;Acc:Q9LRZ3] MMCTRDGLKIGVLCIKHGSAKERKKIVKGMKDHVRKLALDQFGSLLLICVLSVVDDTKLVTKIVIRDLQTTIKELILDRNGRRPILELLHPRCSRYLSPDDLACLNLTVPSLCSQSDDRDGTVNLDSNEEMKSSETMVTDNNASETLSKDLHSTPGSKKDPLVRRQELLVDSGFAEAIIESCIENVAELLRSNFGREVLYEVAVGGADGILNSLTDRIGALHEAIASVASLPKKEESEVEHVFENFHSSRTIRKLILSSPTFATTLWMKAMKSKCEMWAQGHSCKVVSAYLELSDPNVKEMVKSELQPLIDRGVLKCPGPNPDHKQAKKES >DRNTG_27885.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001414.1:58308:60185:1 gene:DRNTG_27885 transcript:DRNTG_27885.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDKNSGCSKEMNTTKRKISAGAEGDDNVILNIDSVPLHDGDIEQGKGRKGPSYSGGLVLEPKKGLYDKYVLLLDFNSLYPSIIQEFNICFTTVEHSPDGAGANLPSLIPVGVLPELLKKLVDTRKTVKSLLENASGLQAQQYNIQQEALKLTANSMYGCLGFSNSRFYAKPLAELITRKGREILQSTVDVVQNHLNLEV >DRNTG_27885.9.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001414.1:60956:61052:1 gene:DRNTG_27885 transcript:DRNTG_27885.9 gene_biotype:protein_coding transcript_biotype:protein_coding VIYGDTDSIMIYTGLDDINKAKTIARKVIQE >DRNTG_27885.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001414.1:57598:62462:1 gene:DRNTG_27885 transcript:DRNTG_27885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSKIGRLKRSVMPKLTKGNSLYGSGASPGIMSCIAGRLLCDTCVYSRDLLREESYSLTQLAKTQLKKERKEIAPHDIPAMLQMSSTLLELVDHGENDAKLSLELMFHLSLLPLTRKLTSISGNLWGKTLQGARAQRVEYLLLHAFHAKKYMVPDKNSGCSKEMNTTKRKISAGAEGDDNVILNIDSVPLHDGDIEQGKGRKGPSYSGGLVLEPKKGLYDKYVLLLDFNSLYPSIIQEFNICFTTVEHSPDGAGANLPSLIPVGVLPELLKKLVDTRKTVKSLLENASGLQAQQYNIQQEALKLTANSMYGCLGFSNSRFYAKPLAELITRKGREILQSTVDVVQNHLNLEVIYGDTDSIMIYTGLDDINKAKTIARKVIQEVNKKYNCLEIDLDCLYKRMLLLKKKKYAAVKVKNGIPNEETDCKGVDMVRRDWSDLSKDTGKFCLKRILSEMSCEDVVDSIHSHLMKVQGQMRNGDIKIEKYVIRKTLTKPPEDYPDAKNQPHVQVALRLKQNGYPGCSAGDTVPYVICCQQESNSGSSAGIAQRARHPDEFKRDGGNWMIDINYYLSQQ >DRNTG_27885.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001414.1:58308:61695:1 gene:DRNTG_27885 transcript:DRNTG_27885.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDKNSGCSKEMNTTKRKISAGAEGDDNVILNIDSVPLHDGDIEQGKGRKGPSYSGGLVLEPKKGLYDKYVLLLDFNSLYPSIIQEFNICFTTVEHSPDGAGANLPSLIPVGVLPELLKKLVDTRKTVKSLLENASGLQAQQYNIQQEALKLTANSMYGCLGFSNSRFYAKPLAELITRKGREILQSTVDVVQNHLNLEVIYGDTDSIMIYTGLDDINKAKTIARKVIQEVNKKYNCLEIDLDCLYKRMLLLKKKKYAAVKVKNGIPNEETDCKGVDMVRRDWSDLSKDTGKFCLKRILSEMSCEDVVDSIHSHLMK >DRNTG_27885.10.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001414.1:59961:60037:1 gene:DRNTG_27885 transcript:DRNTG_27885.10 gene_biotype:protein_coding transcript_biotype:protein_coding SMYGCLGFSNSRFYAKPLAELITRK >DRNTG_27885.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001414.1:58308:61524:1 gene:DRNTG_27885 transcript:DRNTG_27885.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDKNSGCSKEMNTTKRKISAGAEGDDNVILNIDSVPLHDGDIEQGKGRKGPSYSGGLVLEPKKGLYDKYVLLLDFNSLYPSIIQEFNICFTTVEHSPDGAGANLPSLIPVGVLPELLKKLVDTRKTVKSLLENASGLQAQQYNIQQEALKLTANSMYGCLGFSNSRFYAKPLAELITRKGREILQSTVDVVQNHLNLEVIYGDTDSIMIYTGLDDINKAKTIARKVIQEVNKKYNCLEIDLDCLYKRMLLLKKKKYAAVKVKNGIPNEETDCKGVDMVRRDWSDLSKDTGKFCLKRILSEM >DRNTG_27885.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001414.1:57598:58226:1 gene:DRNTG_27885 transcript:DRNTG_27885.7 gene_biotype:protein_coding transcript_biotype:protein_coding MWSKIGRLKRSVMPKLTKGNSLYGSGASPGIMSCIAGRLLCDTCVYSRDLLREESYSLTQLAKTQLKKERKEIAPHDIPAMLQMSSTLLELVDHGENDAKLSLELMFHLSLLPLTRKLTSISGNLWGKTLQ >DRNTG_27885.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001414.1:57859:57976:1 gene:DRNTG_27885 transcript:DRNTG_27885.8 gene_biotype:protein_coding transcript_biotype:protein_coding ESYSLTQLAKTQLKKERKEIAPHDIPAMLQMSSTLLEL >DRNTG_27885.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001414.1:58308:61052:1 gene:DRNTG_27885 transcript:DRNTG_27885.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDKNSGCSKEMNTTKRKISAGAEGDDNVILNIDSVPLHDGDIEQGKGRKGPSYSGGLVLEPKKGLYDKYVLLLDFNSLYPSIIQEFNICFTTVEHSPDGAGANLPSLIPVGVLPELLKKLVDTRKTVKSLLENASGLQAQQYNIQQEALKLTANSMYGCLGFSNSRFYAKPLAELITRKGREILQSTVDVVQNHLNLEVIYGDTDSIMIYTGLDDINKAKTIARKVIQE >DRNTG_27885.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001414.1:57859:58628:1 gene:DRNTG_27885 transcript:DRNTG_27885.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLQMSSTLLELVDHGENDAKLSLELMFHLSLLPLTRKLTSISGNLWGKTLQGARAQRVEYLLLHAFHAKKYMVPDKNSGCSKEMNTTKRKISAGAEGDDNVILNIDSVPLHDGDIEQGKGRKGPSYSGGLVLEPKKGLYDKYVLLLDFNSLYPSIIQ >DRNTG_17335.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32036544:32038531:1 gene:DRNTG_17335 transcript:DRNTG_17335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKQKKANNGGKKKENNVEKKKEEEEVEQRGNVLMFSPRVLIPSLAARFMVNAPRMAWQSCSSCSQNRPPLVQLLSL >DRNTG_33843.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002047.1:23450:24003:-1 gene:DRNTG_33843 transcript:DRNTG_33843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCPKPNTVEARSCPSHWKSLAGVIFCSTVKHTLEAPKLRHAQEKHGPSITSTSSLSEISSKHLDDSLKTPSKRIKMSWRQKRSRANILGFVRGRLS >DRNTG_33172.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23447024:23454577:-1 gene:DRNTG_33172 transcript:DRNTG_33172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKRLMQDQVQEWKEYYINYKLMKKRVKLYVQQTQVDGKDHQQVLKEFSRILDEQIEKIVLFMLEQQGLLAIRLEKLGEVHGILLEQRDISRTYELQEAYRAVGHDLVKLLKFVDLNATGIRKILKKFDKRFGYKFTNYYVSTRSNHPYSQLQGIFKHVAIGAVVGALSRNLALLQDHQGSQLSIYDQPSVILKDPVIDLINASVDKLTHSTSFLHFLGQHALIVQEDIPCATDDHDDDQRYHFMSLLLNLANTFLYMVNTYIVVPTADDYSLSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNKSYFKPLIFSSIMLLLGNLLYALAYDLSSIAVLIIGRLLCGLGSARAVNRRYISDCVPLKLRMKASAGFVSASALGMACGPALAGLLQIKFKIYYLTFNKNTLPGWVMAVAWLIYLIWLWISFKEPVHDFEENHFPQDSGVVEQSEHGIVQPLLISEAKDDEEGERDYDESEEASVKCHEPASSISSAYRLLTPSVKVQLLIYFMLKYAMEILLAESSVITTFYFSWPTSSVAIFLAVLGLTVLPVNAIVGSYLTNIFEDRQILLASEIMLLVGVILSFRVTGPYSVSQYISSALITFVSAEVLEGVNLSLLSRVMSSRLARGTFNGGLLSTEAGTMARVVADGTITMVGYLGEEKLLNLTLLPSLFICIASIAATLLTFNTLF >DRNTG_33172.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23447024:23454577:-1 gene:DRNTG_33172 transcript:DRNTG_33172.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKRLMQDQVQEWKEYYINYKLMKKRVKLYVQQTQVDGKDHQQVLKEFSRILDEQIEKIVLFMLEQQGLLAIRLEKLGEVHGILLEQRDISRTYELQEAYRAVGHDLVKLLKFVDLNATGIRKILKKFDKRFGYKFTNYYVSTRSNHPYSQLQGIFKHVAIGAVVGALSRNLALLQDHQGSQLSIYDQPSVILKDPVIDLINASVDKLTHSTSFLHFLGQHALIVQEDIPCATDDHDDDQRYHFMSLLLNLANTFLYMVNTYIVVPTADDYSLSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNKSYFKPLIFSSIMLLLGNLLYALAYDLSSIAVLIIGRLLCGLGSARAVNRRYISDCVPLKLRMKASAGFVSASALGMACGPALAGLLQIKFKIYYLTFNKNTLPGWVMAVAWLIYLIWLWISFKEPVHDFEENHFPQDSGVVEQSEHGIVQPLLISEAKDDEEGERDYDESEEASVKCHEPASSISSAYRLLTPSVKVQLLIYFMLKYAMEILLAESSVITTFYFSWPTSSVAIFLAVLGLTVLPVNAIVGSYLTNIFEDRYVPYDLSQNSQFV >DRNTG_35012.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6868364:6869910:-1 gene:DRNTG_35012 transcript:DRNTG_35012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNRHRDAIKDSLLRIVHSKHGEEEIFVKLLAAYFMMTTLFPNTCLNAPPFVARYADDLGSLGHYAWAHATHKWMMEDIPATVVVSNFAVRESVLVQGSVKKQRIGQSPHLMCYGDSSFIKSASNEPLLNSIKGRKFLVLVPANDEENMLVGNIKHDQKLTPGRSSPSSYYTRQSR >DRNTG_02526.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8091916:8093056:-1 gene:DRNTG_02526 transcript:DRNTG_02526.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKWMGDNWQDQMDNIRSTATSEGLMVNEGEIYRDVTGESSHGRIFGLGVGIQEKDVYGGGSSQTCSKRCKEIQKRKEKEWEDCFKQMESTIDKLQQQVPIMGGDNDFRDVIANSQ >DRNTG_13110.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11195693:11198543:-1 gene:DRNTG_13110 transcript:DRNTG_13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWSGLVFPKGPLVNPRAHLARSVPIIVPPITFLRRKCGVKDLMVPLGREWMNISMDDFRDDAVVMLGWKGRPQSLSLLYRANRVSPSFVEENIVPSN >DRNTG_06960.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4690906:4693492:-1 gene:DRNTG_06960 transcript:DRNTG_06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKICVTHLLSMRRVHSFQAAREKEVAHLMDKIVSHVSSHPLKALNMSRVLFCFTNDMLCRAILGEFSRDQEGRNEIFLEMIEENMILFSGFNLEDYFPSLGWLTSLLGFDERAKRNFRRWDGVLSQMIEEHKNKEDGNLKDDDFVDVLLSLKKDPDLDFSLNDEHIKALLVDMFSAGIDTSYIVLEWGMAELLGNPNVMKKLQDEINGLASGKSMVNEDDLSKMCYLKAVVKEILRLHPPAPLLLPRESIGSCQIEGYKIPDKCRVFINYWAIARDPKVWDMPDNFIPERFVNNTIDFKGQDFEYIPFGSGRRICPGIGFSIPLVELALANLVFKFEWKLPDDHVGEVDMTEAPGLTTKMIKNLCLVPKPCF >DRNTG_02913.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23636298:23640341:1 gene:DRNTG_02913 transcript:DRNTG_02913.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 59 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G46200) UniProtKB/Swiss-Prot;Acc:Q8VYD3] MIPTPLFSGIAPQLQAMQPFQSVVSSFWESGNVHDHVKSLRETIDLLQAMEKELEEVHQMRRLSGNDAEGDEMQGMHLQIFETIKAKRISLDIQESLSLNAAKSLFFSLKNQLLPFSSIASGLASWEERSATVKLAQKFQKYKRNKHWKKKKRKRVAEMLRKERENCDKADKEVAEWRAREIAKDIAKRKVEDMKAIAKLKANEEKKRLESELELILVIEKLQELRSIRIQKLKKQGHFLPEEDDKFLERVRAAVEEEERQAAALSEVCATKDAIAAAEGSRKVNQNPITEEKDINHDKSDSTTMQDRSNATGSERNVDVDEFVRPAPDENPKERLTASYDSVTNLPFEFYHYYHGSNNDMGTLIEASYTSQ >DRNTG_02913.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23640382:23642262:1 gene:DRNTG_02913 transcript:DRNTG_02913.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 59 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G46200) UniProtKB/Swiss-Prot;Acc:Q8VYD3] MFIRLTILLLLLIRSFCLNRSCCSNSLPIMMYILCNFQVRRMWDAYIRPGGSRIPGHWIQPPPPADEIWASYLVTRPK >DRNTG_02913.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23636298:23642262:1 gene:DRNTG_02913 transcript:DRNTG_02913.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 59 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G46200) UniProtKB/Swiss-Prot;Acc:Q8VYD3] MIPTPLFSGIAPQLQAMQPFQSVVSSFWESGNVHDHVKSLRETIDLLQAMEKELEEVHQMRRLSGNDAEGDEMQGMHLQIFETIKAKRISLDIQESLSLNAAKSLFFSLKNQLLPFSSIASGLASWEERSATVKLAQKFQKYKRNKHWKKKKRKRVAEMLRKERENCDKADKEVAEWRAREIAKDIAKRKVEDMKAIAKLKANEEKKRLESELELILVIEKLQELRSIRIQKLKKQGHFLPEEDDKFLERVRAAVEEEERQAAALSEVCATKDAIAAAEGSRKVNQNPITEEKDINHDKSDSTTMQDRSNATGSERNVDVDEFVRPAPDENPKERLTASYDSVTNLPFEFYHYYHGSNNDMGTLIEVRRMWDAYIRPGGSRIPGHWIQPPPPADEIWASYLVTRPK >DRNTG_20038.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13380967:13387188:1 gene:DRNTG_20038 transcript:DRNTG_20038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHSVHEGEDCWEVTKRCHNGFTIAMNNKKYLIDIGELNFLMCKASENPQLTPASSLRTALISVFDDPMIYKSSPAQEQLGVEDYIAYARFTVSGHLLQFLIQSEMGNLIVYLFEQLSGSLKNHEAILNIKSTHLEGEKALHPGRGSLHYFDGPNRGGRGRGSSQAHTTKKAIAVGGSCASSCTFIRASSSSS >DRNTG_12249.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24947896:24951049:-1 gene:DRNTG_12249 transcript:DRNTG_12249.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLTQKPPDTQTLEPPMVVVEATVSPEADETLPVKEPQEKGLMLFSIGDLVWGRKDADQWWPGMVCDPSRAPDESPGRSSDAVLVAYFGGDDSFTWCLPSQLKPFKLEFPKMAAQSESKSFVSAVENALEEMERCLELELSCSCVVDEFSKQESRKFSVTNYEPIEFLKLVFDAAKDALAVNMLEITMLRSWALAIGRGGGQHRRKILDLIDKIDFDAPRGELMREDDGRVEVGAMADVSEEKNQGIKKRNMTNVSEEKDQKTKKRSMTKLIAEMDLNAVEVSDTEEEIDVVVAAVVNEEKHEDVKDPELVNSVVEVDDGTGSGKRERKKSKYLSYPYTDYIENNKNSTSPEDIEMKTPKKRGVLIGSPRKSRFSGDGFDKEEDQKSPVYKIDATPVSEILAELQATAVDGLHLKWNRLAKAVRGFLAVYRNSVFSDGSEFQAYQKHLSECGCMNGKGLDTAKVDCLKEDNNERSDKLKKGEANGEILDDGKMKRSGKGNALQKKKARKDNAEGQTTLLDSAPSLTLLKRQMRIDGGAPVNVSVEFTDDLGKGKSMGGRRKGKNNSNFQVAVDSGPKLWNAAKLSEALEKPNASGELSNGGSFVNPEHMVVEPPKSGDKSGQKRRNSKESITDALEGGKSGKKRKRNKNGNSCARPEALLLSFAPGTILPSKAELVAEFSKYGSLNEAGTEVHEDSFSARVVYMNSSDVEKALNSQDKTGVFAPPYATYRVHYLPGNFSPSELKLSVPQPLPYIRKNLERMITTLTGSSVPGKHAGQADGLKVDAKENLVGEMQGLLEKVNQLLDEPPTGTS >DRNTG_12249.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24947896:24950930:-1 gene:DRNTG_12249 transcript:DRNTG_12249.3 gene_biotype:protein_coding transcript_biotype:protein_coding METLTQKPPDTQTLEPPMVVVEATVSPEADETLPVKEPQEKGLMLFSIGDLVWGRKDADQWWPGMVCDPSRAPDESPGRSSDAVLVAYFGGDDSFTWCLPSQLKPFKLEFPKMAAQSESKSFVSAVENALEEMERCLELELSCSCVVDEFSKQESRKFSVTNYEPIEFLKLVFDAAKDALAVNMLEITMLRSWALAIGRGGGQHRRKILDLIDKIDFDAPRGELMREDDGRVEVGAMADVSEEKNQGIKKRNMTNVSEEKDQKTKKRSMTKLIAEMDLNAVEVSDTEEEIDVVVAAVVNEEKHEDVKDPELVNSVVEVDDGTGSGKRERKKSKYLSYPYTDYIENNKNSTSPEDIEMKTPKKRGVLIGSPRKSRFSGDGFDKEEDQKSPVYKIDATPVSEILAELQATAVDGLHLKWNRLAKAVRGFLAVYRNSVFSDGSEFQAYQKHLSECGCMNGKGLDTAKVDCLKEDNNERSDKLKKGEANGEILDDGKMKRSGKGNALQKKKARKDNAEGQTTLLDSAPSLTLLKRQMRIDGGAPVNVSVEFTDDLGKGKSMGGRRKGKNNSNFQVAVDSGPKLWNAAKLSEALEKPNASGELSNGGSFVNPEHMVVEPPKSGDKSGQKRRNSKESITDALEGGKSGKKRKRNKNGNSCARPEALLLSFAPGTILPSKAELVAEFSKYGSLNEAGTEVHEDSFSARVVYMNSSDVEKALNSQDKTGVFAPPYATYRVHYLPGNFSPSELKLSVPQPLPYIRKNLERMITTLTGSSVPGKHAGQADGLKVDAKENLVGEMQGLLEKVNQLLDEPPTGTS >DRNTG_12249.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24947411:24951049:-1 gene:DRNTG_12249 transcript:DRNTG_12249.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLTQKPPDTQTLEPPMVVVEATVSPEADETLPVKEPQEKGLMLFSIGDLVWGRKDADQWWPGMVCDPSRAPDESPGRSSDAVLVAYFGGDDSFTWCLPSQLKPFKLEFPKMAAQSESKSFVSAVENALEEMERCLELELSCSCVVDEFSKQESRKFSVTNYEPIEFLKLVFDAAKDALAVNMLEITMLRSWALAIGRGGGQHRRKILDLIDKIDFDAPRGELMREDDGRVEVGAMADVSEEKNQGIKKRNMTNVSEEKDQKTKKRSMTKLIAEMDLNAVEVSDTEEEIDVVVAAVVNEEKHEDVKDPELVNSVVEVDDGTGSGKRERKKSKYLSYPYTDYIENNKNSTSPEDIEMKTPKKRGVLIGSPRKSRFSGDGFDKEEDQKSPVYKIDATPVSEILAELQATAVDGLHLKWNRLAKAVRGFLAVYRNSVFSDGSEFQAYQKHLSECGCMNGKGLDTAKVDCLKEDNNERSDKLKKGEANGEILDDGKMKRSGKGNALQKKKARKDNAEGQTTLLDSAPSLTLLKRQMRIDGGAPVNVSVEFTDDLGKGKSMGGRRKGKNNSNFQVAVDSGPKLWNAAKLSEALEKPNASGELSNGGSFVNPEHMVVEPPKSGDKSGQKRRNSKESITDALEGGKSGKKRKRNKNGNSCARPEALLLSFAPGTILPSKAELVAEFSKYGSLNEAGTEVHEDSFSARVVYMNSSDVEKALNSQDKTGVFAPPYATYRVHYLPGNFSPSELKLSVPQPLPYIRKNLERMITTLTGSSVPGKHAGQADGLKVDAKENLVGEMQGLLEKVNQLLDEPPTEGYLVD >DRNTG_16123.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1459348:1460341:-1 gene:DRNTG_16123 transcript:DRNTG_16123.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLDANTIKNLPIESHQARSSTDESQCSICLANLVNGEKVKVLPSCKHAFHPDCVDLWLSSQSSCPLCRASLGEDHEELSN >DRNTG_16123.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1459348:1460404:-1 gene:DRNTG_16123 transcript:DRNTG_16123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLDANTIKNLPIESHQARSSTDESQCSICLANLVNGEKVKVLPSCKHAFHPDCVDLWLSSQSSCPLCRASLGEDHEELSN >DRNTG_16123.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1459815:1460404:-1 gene:DRNTG_16123 transcript:DRNTG_16123.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLDANTIKNLPIESHQARSSTDESQCSICLANLVNGEKVKVLPSCKHAFHPDCVDLWLSSQSSCPLCRASLGEDHEELSN >DRNTG_16123.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1459575:1460341:-1 gene:DRNTG_16123 transcript:DRNTG_16123.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLDANTIKNLPIESHQARSSTDESQCSICLANLVNGEKVKVLPSCKHAFHPDCVDLWLSSQSSCPLCRASLGEDHEELSN >DRNTG_16123.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1459815:1460341:-1 gene:DRNTG_16123 transcript:DRNTG_16123.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLDANTIKNLPIESHQARSSTDESQCSICLANLVNGEKVKVLPSCKHAFHPDCVDLWLSSQSSCPLCRASLGEDHEELSN >DRNTG_16123.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1459575:1460404:-1 gene:DRNTG_16123 transcript:DRNTG_16123.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLDANTIKNLPIESHQARSSTDESQCSICLANLVNGEKVKVLPSCKHAFHPDCVDLWLSSQSSCPLCRASLGEDHEELSN >DRNTG_11544.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21272782:21284402:-1 gene:DRNTG_11544 transcript:DRNTG_11544.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFATSLLCIIRTLLDQTRHDEMRILGCYTLVDFLNSQVDSTYMFNLEGLIPKLCQVGHEIGEDDRALRLRSAGLQALASMVQFMGDYSHIAMDFDDIVSITLDSYEVPEFYREKGKYDLPQSLLVQEVVRKEVALSDSPKALLDSIIDTSKSPSYWSKVCVHNMAKLAKEATTLRRVSESLFRVFDTGSHWTPDSGIALPVLSEMQILMEKSGENSHLLLSILIKHLDHKNVAKQPSVRINIINVTSYLSRQSNLEGSVALITAINELLRHLRKCIQVSIEASDLDDEAIKWNSLFHYAIEDCLKELTNKVGDVGPILDMMAVVLENIPTSAIVARTTISAVYRIAQIAASIPNLSYHKKAFPEALFHQLLLAMTHPDHMTRVGSHRVFSAVLMPLGMRDSGYHNVNEDIKLPKGYAPGSESDCMKLFPPCTVSEGAVSAESYKEKQMSLRLSSHQVGLLLSSIWTQATSQENTPANYEAMSHSYNLTLLFSRSKVSYTIFFLLGGHPLQLRSHKFIFFLFPTQI >DRNTG_11544.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21272782:21284402:-1 gene:DRNTG_11544 transcript:DRNTG_11544.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFATSLLCIIRTLLDQTRHDEMRILGCYTLVDFLNSQVDSTYMFNLEGLIPKLCQVGHEIGEDDRALRLRSAGLQALASMVQFMGDYSHIAMDFDDIVSITLDSYEVPEFYREKGKYDLPQSLLVQEVVRKEVALSDSPKALLDSIIDTSKSPSYWSKVCVHNMAKLAKEATTLRRVSESLFRVFDTGSHWTPDSGIALPVLSEMQILMEKSGENSHLLLSILIKHLDHKNVAKQPSVRINIINVTSYLSRQSNLEGSVALITAINELLRHLRKCIQVSIEASDLDDEAIKWNSLFHYAIEDCLKELTNKVGDVGPILDMMAVVLENIPTSAIVARTTISAVYRIAQIAASIPNLSYHKKAFPEALFHQLLLAMTHPDHMTRVGSHRVFSAVLMPLGMRDSGYHNVNEDIKLPKGYAPGSESDCMKLFPPCTVSEGAVSAESYKEMSLRLSSHQVGLLLSSIWTQATSQENTPANYEAMSHSYNLTLLFSRSKVSYTIFFLLGGHPLQLRSHKFIFFLFPTQI >DRNTG_11544.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21272782:21284402:-1 gene:DRNTG_11544 transcript:DRNTG_11544.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAKEATTLRRVSESLFRVFDTGSHWTPDSGIALPVLSEMQILMEKSGENSHLLLSILIKHLDHKNVAKQPSVRINIINVTSYLSRQSNLEGSVALITAINELLRHLRKCIQVSIEASDLDDEAIKWNSLFHYAIEDCLKELTNKVGDVGPILDMMAVVLENIPTSAIVARTTISAVYRIAQIAASIPNLSYHKKAFPEALFHQLLLAMTHPDHMTRVGSHRVFSAVLMPLGMRDSGYHNVNEDIKLPKGYAPGSESDCMKLFPPCTVSEGAVSAESYKEMSLRLSSHQVGLLLSSIWTQATSQENTPANYEAMSHSYNLTLLFSRSKVSYTIFFLLGGHPLQLRSHKFIFFLFPTQI >DRNTG_11544.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21272782:21284402:-1 gene:DRNTG_11544 transcript:DRNTG_11544.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVLPACGRLCFFCPSLRARSRQPVKRYKKLLADAFPKSQDGDPNDRMIAKLCEYASKNPLRIPKITQYLEQRCYKELRNEHFCMAKVVPCIYRKLLASCKEQMPFFATSLLCIIRTLLDQTRHDEMRILGCYTLVDFLNSQVDSTYMFNLEGLIPKLCQVGHEIGEDDRALRLRSAGLQALASMVQFMGDYSHIAMDFDDIVSITLDSYEVPEFYREKGKYDLPQSLLVQEVVRKEVALSDSPKALLDSIIDTSKSPSYWSKVCVHNMAKLAKEATTLRRVSESLFRVFDTGSHWTPDSGIALPVLSEMQILMEKSGENSHLLLSILIKHLDHKNVAKQPSVRINIINVTSYLSRQSNLEGSVALITAINELLRHLRKCIQVSIEASDLDDEAIKWNSLFHYAIEDCLKELTNKVGDVGPILDMMAVVLENIPTSAIVARTTISAVYRIAQIAASIPNLSYHKKAFPEALFHQLLLAMTHPDHMTRVGSHRVFSAVLMPLGMRDSGYHNVNEDIKLPKGYAPGSESDCMKLFPPCTVSEGAVSAESYKEMSLRLSSHQVGLLLSSIWTQATSQENTPANYEAMSHSYNLTLLFSRSKVSYTIFFLLGGHPLQLRSHKFIFFLFPTQI >DRNTG_11544.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21272782:21284402:-1 gene:DRNTG_11544 transcript:DRNTG_11544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVLPACGRLCFFCPSLRARSRQPVKRYKKLLADAFPKSQDGDPNDRMIAKLCEYASKNPLRIPKITQYLEQRCYKELRNEHFCMAKVVPCIYRKLLASCKEQMPFFATSLLCIIRTLLDQTRHDEMRILGCYTLVDFLNSQVDSTYMFNLEGLIPKLCQVGHEIGEDDRALRLRSAGLQALASMVQFMGDYSHIAMDFDDIVSITLDSYEVPEFYREKGKYDLPQSLLVQEVVRKEVALSDSPKALLDSIIDTSKSPSYWSKVCVHNMAKLAKEATTLRRVSESLFRVFDTGSHWTPDSGIALPVLSEMQILMEKSGENSHLLLSILIKHLDHKNVAKQPSVRINIINVTSYLSRQSNLEGSVALITAINELLRHLRKCIQVSIEASDLDDEAIKWNSLFHYAIEDCLKELTNKVGDVGPILDMMAVVLENIPTSAIVARTTISAVYRIAQIAASIPNLSYHKKAFPEALFHQLLLAMTHPDHMTRVGSHRVFSAVLMPLGMRDSGYHNVNEDIKLPKGYAPGSESDCMKLFPPCTVSEGAVSAESYKEMSLRLSSHQVGLLLSSIWTQATSQENTPANYEAMSHSYNLTLLFSRSKTSSHVALVRCFQLAVSLWSISCDSENCLQPSRRRSLFTMASSMLISSAKASDLPGLISSLKAMAIEKMVDPHIRLVEDGRLPAIYVTPSKEKATYGSEEDDNDALKFLETIKIDDEKLKQVVISHLIGKFKELPAEDLMGIKEQLLQKFSPDDIFLLGAPLFMETPQNCSPLAQKESQLLDEVMGITFTEDDDLFPEACGSQSDRKMSESLNGFDVLNVNQLMESVLETAREVANLPVLTTIVPYDQMKSQCEALVLGKQQKMSVLLSFKQQQQQEEPSPLKLLEYSSNNEAEVTQEMVQKRNHPVVDLRLTLPENVSIRSGDSQFSESEQSFRLPPSSPYDKFLKAAGC >DRNTG_11544.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21272782:21284402:-1 gene:DRNTG_11544 transcript:DRNTG_11544.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFATSLLCIIRTLLDQTRHDEMRILGCYTLVDFLNSQVDSTYMFNLEGLIPKLCQVGHEIGEDDRALRLRSAGLQALASMVQFMGDYSHIAMDFDDIVSITLDSYEVPEFYREKGKYDLPQSLLVQEVVRKEVALSDSPKALLDSIIDTSKSPSYWSKVCVHNMAKLAKEATTLRRVSESLFRVFDTGSHWTPDSGIALPVLSEMQILMEKSGENSHLLLSILIKHLDHKNVAKQPSVRINIINVTSYLSRQSNLEGSVALITAINELLRHLRKCIQVSIEASDLDDEAIKWNSLFHYAIEDCLKELTNKVGDVGPILDMMAVVLENIPTSAIVARTTISAVYRIAQIAASIPNLSYHKKAFPEALFHQLLLAMTHPDHMTRVGSHRVFSAVLMPLGMRDSGYHNVNEDIKLPKGYAPGSESDCMKLFPPCTVSEGAVSAESYKEMSLRLSSHQVGLLLSSIWTQATSQENTPANYEAMSHSYNLTLLFSRSKTSSHVALVRCFQLAVSLWSISCDSENCLQPSRRRSLFTMASSMLISSAKASDLPGLISSLKAMAIEKMVDPHIRLVEDGRLPAIYVTPSKEKATYGSEEDDNDALKFLETIKIDDEKLKQVVISHLIGKFKELPAEDLMGIKEQLLQKFSPDDIFLLGAPLFMETPQNCSPLAQKESQLLDEVMGITFTEDDDLFPEACGSQSDRKMSESLNGFDVLNVNQLMESVLETAREVANLPVLTTIVPYDQMKSQCEALVLGKQQKMSVLLSFKQQQQQEEPSPLKLLEYSSNNEAEVTQEMVQKRNHPVVDLRLTLPENVSIRSGDSQFSESEQSFRLPPSSPYDKFLKAAGC >DRNTG_02766.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11749991:11751537:-1 gene:DRNTG_02766 transcript:DRNTG_02766.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHDSTLPPELPHVAISRSTTPSQKTGRKDRLLQ >DRNTG_02766.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11749991:11752275:-1 gene:DRNTG_02766 transcript:DRNTG_02766.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHDSTLPPELPHVAISRSTTPSQKTGRKDRLLQ >DRNTG_02766.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11749991:11752275:-1 gene:DRNTG_02766 transcript:DRNTG_02766.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHDSTLPPELPHVAISRSTTPSQKTGRKDRLLIQTNERNG >DRNTG_02766.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11749991:11752275:-1 gene:DRNTG_02766 transcript:DRNTG_02766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHDSTLPPELPHVAISRSTTPSQKTGRKDRLLLNFKPNKIWQEEEKASH >DRNTG_01157.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23418834:23463686:-1 gene:DRNTG_01157 transcript:DRNTG_01157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDSSWGWALWMLYVVLRGQSCLLLWDLRDQDDGVGTQMWAWGIYYSCVHPGECWERNGCSGGSPTDYRASVCIDRDWSTPCSI >DRNTG_12138.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11597587:11598989:-1 gene:DRNTG_12138 transcript:DRNTG_12138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPTADSAASKADTIPQQQEALPHDDPKTAVDEGQGNAAEMATRKKINANQKFEKVRKVFIPKKKKYVGQSRLNKYEQELIRIFLNCPMDKLFNLLEGKEMVTDNVMDAFVRIIQNSLSIVPYRYKKRASITRPLSLFMSMQGDAHDTTMAMIGDAQRNLHDVEIVILPIIMNGHFHVVVLDNDKQEYKHYSSCQSKEYDKDSLEIVDCAVYVMRFIKQLLADEKLRLPQTDVPYLRLKYVSHILKKGRAADITAKGECSKAGS >DRNTG_33029.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21657770:21658286:1 gene:DRNTG_33029 transcript:DRNTG_33029.1 gene_biotype:protein_coding transcript_biotype:protein_coding VALQVGVSIVYLCSLALCSSCLSFLSVSLCMEIIGSFI >DRNTG_24451.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9680443:9691766:-1 gene:DRNTG_24451 transcript:DRNTG_24451.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSRRLTAPPPFNLKIKRIAVAAPASLPAQQQQFRVRRFLPGVRCCCKEPEFFKVSKGKRNAASEKGDDWWSEPRKGSSPRRVRARAMPALPFPSSRSKGMSKQQDFYPRCSPRNYSPQSRDTPPKRDTGIASEKDWGINLLDEHVNEVGTNEDGSTWYRESGEDLGDNGYRCRWARMGGRSADGSSEWKETVSTNYYLFDCCGLNIYT >DRNTG_24451.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9680443:9691766:-1 gene:DRNTG_24451 transcript:DRNTG_24451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSRRLTAPPPFNLKIKRIAVAAPASLPAQQQQFRVRRFLPGVRCCCKEPEFFKVSKGKRNAASEKGDDWWSEPRKGSSPRRVRARAMPALPFPSSRSKGMSKQQDFYPRCSPRNYSPQSRDTPPKRDTGIASEKDWGINLLDEHVNEVGTNEDGSTWYRESGEDLGDNGYRCRWARMGGRSADGSSEWKETWWEKSDWTGYKELGAEKFGKNAEGDSWWETWQEVLYQNEWSNLARIERSAQKQAKSGSGTAGWYEKWWEKYDAKGWTEKGAHKYGRLNDQSWWEKWGEHYDGRGFVLKWTDKWAETELGTRWGDKWEEKFFAGIGSRQGETWHVSPVGERWSRTWGEEHYGNGKVHKYGKSTTGESWDITVDEDTYYEAEPHYGWADVVGDSTQLLSIQPRERPPGVFPTLDFGLSTQEIEETDDPPDTPADTQ >DRNTG_24451.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9680443:9691038:-1 gene:DRNTG_24451 transcript:DRNTG_24451.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQQDFYPRCSPRNYSPQSRDTPPKRDTGIASEKDWGINLLDEHVNEVGTNEDGSTWYRESGEDLGDNGYRCRWARMGGRSADGSSEWKETVSTNYYLFDCCGLNIYT >DRNTG_22682.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:13757740:13771907:-1 gene:DRNTG_22682 transcript:DRNTG_22682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRGRGAGRLTQRLEEIYDRDDDARFEQRVSRLLDHRMEWMTEELTEQFTQMMGNINQGRVSESRSDSTIEEDNSYFPDIALAERRGGQDRGGDTRCHLDEDRHWESGIRVDILEFNSGLQEEKFLDWLTTIEKVLEFKGVPDDRRVALVATQLHGRATAWWNSHRGGVHHGVILVAGSERSSRIK >DRNTG_00466.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30769848:30773600:-1 gene:DRNTG_00466 transcript:DRNTG_00466.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLLRSLVSSRFSISRLVPLLSSLRILPLLSPSSSPIPIPLLCPQPYFFSSSSSSFCSSPSIQDPNLHYFHSGDGIYSHLRALDDGSAQVTRSEWEALTKTLRAFAKSPWAADQALAIYIPASFFPSAARKFRYFLLSRVPGDLLHHLLSFPPDDPDSAAKFLFPIFADFCLDRFADELRQFRSLMDSADLTRPHTWFPFARVMKRKIIYHCGPTNSGKTYNALQRFMEAGNGVYCSPLRLLAMEVFDKVNALGVYCNLHTGQEKKTLPFSNHIACTIEMVSTDDLFDVAVIDEIQMMADPTRGYAWTRALLGLKADEIHLCGDPSVLKIVKKICKETGDELQVNHYARFKPLVVEAKTLLGDLKNVRSGDCIVAFSRREIFEVKLSIEKFTKHKCCVIYGALPPETRRHQAELFNDQENEYDVLVASDAIGMGLNLNIRRVVFNTLSKYNGDKIVPVAASQVKQIAGRAGRRGSIYPDGLVTTFLSEDLDYLIECLQQPFDEVKKVGLFPFFEQVELFAAKFPKVTFCELLDKFRDNCRLDGSYFLCRHDGVNKVANMLEKVQGLSLQERFNFCFAPVNIRDPKAMYHLLRFASHYSEKRPVGIAMGMPKGSAKNDAELLDLETKHQILSMYLWLSHHFKEETFPYVQKAETMAISIASLLGESLAKACWKPESRQKGKPKPLKEVDDDHGEISAPEDGFERPRSLINIFEMKRQENSSLKHPLGKAPA >DRNTG_14864.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2846372:2847016:-1 gene:DRNTG_14864 transcript:DRNTG_14864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWCPEMASKAYIGAVKALADGEIEIEDSNVVEMVSAMAGGWRAEFIVEAWSAGVATSLGLATAAVETGGKRVCMVSDERTRVEYEQAMEHAGVAPAEVVVGEGEERVRELEGVDFMVVDMRRRDAARVLGGARPGLRGMVVVCKSAGGKDGGAVRMMGRGTRVVRTAFLPIGGGLEILHVGVGKGPGLQGGCGRWIKRVDRATGELHVFRR >DRNTG_07263.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:503215:504055:1 gene:DRNTG_07263 transcript:DRNTG_07263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKTVEGPAEGPATSQSEPHEAAPQFVNRSEAIASYKAPDPDQCKANLVAAAPLAREVVAPQEHPSFLHPLVLIPKPYRHSRPQQYRKPSV >DRNTG_27120.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1779306:1782200:1 gene:DRNTG_27120 transcript:DRNTG_27120.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1-interacting partner 15 [Source:Projected from Arabidopsis thaliana (AT1G76920) UniProtKB/Swiss-Prot;Acc:O49279] MEPESDPDRKEDPDPAPSSSSSSSPSPFELLPPDALHEIMGRLTLRPALAARAACRFLREALPPESLPPLRLLALRHPRHSPYTILVLDPSFASPLWLSIPLSFLPFPSPSPVASSPSLLLLWADTPPSRSLIVCNPLARAYRVLPPLGSAWSRHGTVLAAPGGAALVLTELAALSYTPGSPHWLKSPLNLPSKPRSPILMSGSIFTLCDIGSPWRSQWKLFSCSMNCLGGWTQLERHDWGDVFDILKRPRLIPGAGGNRILMIGGLRSSFAVDAPCSTVLILGLDLGTMEWDEFGRMPPEMYRCFAGVAGGSAGGNNKVKVFGGDGRVWFSGKRARGKLAMWEEDREVRKGGGGAWKWVDGVPGYTEGVYRGFVFDAGFTAMP >DRNTG_27120.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1779170:1782289:1 gene:DRNTG_27120 transcript:DRNTG_27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1-interacting partner 15 [Source:Projected from Arabidopsis thaliana (AT1G76920) UniProtKB/Swiss-Prot;Acc:O49279] MEPESDPDRKEDPDPAPSSSSSSSPSPFELLPPDALHEIMGRLTLRPALAARAACRFLREALPPESLPPLRLLALRHPRHSPYTILVLDPSFASPLWLSIPLSFLPFPSPSPVASSPSLLLLWADTPPSRSLIVCNPLARAYRVLPPLGSAWSRHGTVLAAPGGAALVLTELAALSYTPGSPHWLKSPLNLPSKPRSPILMSGSIFTLCDIGSPWRSQWKLFSCSMNCLGGWTQLERHDWGDVFDILKRPRLIPGAGGNRILMIGGLRSSFAVDAPCSTVLILGLDLGTMEWDEFGRMPPEMYRCFAGVAGGSAGGNNKVKVFGGDGRVWFSGKRARGKLAMWEEDREVRKGGGGAWKWVDGVPGYTEGVYRGFVFDAGFTAMP >DRNTG_27120.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1779306:1782340:1 gene:DRNTG_27120 transcript:DRNTG_27120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1-interacting partner 15 [Source:Projected from Arabidopsis thaliana (AT1G76920) UniProtKB/Swiss-Prot;Acc:O49279] MEPESDPDRKEDPDPAPSSSSSSSPSPFELLPPDALHEIMGRLTLRPALAARAACRFLREALPPESLPPLRLLALRHPRHSPYTILVLDPSFASPLWLSIPLSFLPFPSPSPVASSPSLLLLWADTPPSRSLIVCNPLARAYRVLPPLGSAWSRHGTVLAAPGGAALVLTELAALSYTPGSPHWLKSPLNLPSKPRSPILMSGSIFTLCDIGSPWRSQWKLFSCSMNCLGGWTQLERHDWGDVFDILKRPRLIPGAGGNRILMIGGLRSSFAVDAPCSTVLILGLDLGTMEWDEFGRMPPEMYRCFAGVAGGSAGGNNKVKVFGGDGRVWFSGKRARGKLAMWEEDREVRKGGGGAWKWVDGVPGYTEGVYRGFVFDAGFTAMP >DRNTG_27120.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1779306:1782289:1 gene:DRNTG_27120 transcript:DRNTG_27120.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1-interacting partner 15 [Source:Projected from Arabidopsis thaliana (AT1G76920) UniProtKB/Swiss-Prot;Acc:O49279] MEPESDPDRKEDPDPAPSSSSSSSPSPFELLPPDALHEIMGRLTLRPALAARAACRFLREALPPESLPPLRLLALRHPRHSPYTILVLDPSFASPLWLSIPLSFLPFPSPSPVASSPSLLLLWADTPPSRSLIVCNPLARAYRVLPPLGSAWSRHGTVLAAPGGAALVLTELAALSYTPGSPHWLKSPLNLPSKPRSPILMSGSIFTLCDIGSPWRSQWKLFSCSMNCLGGWTQLERHDWGDVFDILKRPRLIPGAGGNRILMIGGLRSSFAVDAPCSTVLILGLDLGTMEWDEFGRMPPEMYRCFAGVAGGSAGGNNKVKVFGGDGRVWFSGKRARGKLAMWEEDREVRKGGGGAWKWVDGVPGYTEGVYRGFVFDAGFTAMP >DRNTG_03953.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10016468:10065736:1 gene:DRNTG_03953 transcript:DRNTG_03953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDVSIRDMGKVQGAPEKVSATRIPGLDYCSNLLQCYQWNAREKKKVGGTHEIDAVTSLAAQVESLSKKLDLIASNKVAAVTNCTGCGGGHAPSDCPISFDTTDELVDEYMQEMFNPDPYEGLFDQEESNEEVMMLGSYEEVTSTPGILKKVLRKMKRARRRHRKHSKTVGDVHEP >DRNTG_22835.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5017883:5022080:1 gene:DRNTG_22835 transcript:DRNTG_22835.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylamine--glycine ligase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G09830) UniProtKB/Swiss-Prot;Acc:P52420] MACVGCHVGGSLSFLGSRAGRKLAGNPFCCGGSNSLVSFVGHSSWKSFASVCVVKPFICTRSEFIVSASKRSGANSDKFSYLSNANGSLKVSEDRVVVLVIGGGGREHALCYALQRSPSCDAVFCAPGNAGIAQSGNATCISDLDISDSQAVISFCHKWGVGLVVIGPEAPLVAGLANDLFKAGIPTFGPSSEAAVLEGSKDFMKKLCDKYDIPTAKYQTFTDPSNAKEYVRQQGAPIVVKADGLAAGKGVIVAMTVEEAFEAIDSMLVSGAFGSAGSRVIIEEFLEGEEASFFAIVDGETALPLESAQDHKRVGDGDTGPNTGGMGAYSPAPVLTKELQSVVMESIIYPTVKGMAAEGCKFVGVLYAGLMIEKKTGLPKLIEYNVRFGDPECQVLMMRLESDLAQVLLAACRGELDNVSLNWSPGSAMVVVMASKGYPGSYKKGTVIKNLEEAELCCSNGQDFPCRNSTGLR >DRNTG_22835.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5017883:5022080:1 gene:DRNTG_22835 transcript:DRNTG_22835.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylamine--glycine ligase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G09830) UniProtKB/Swiss-Prot;Acc:P52420] MACVGCHVGGSLSFLGSRAGRKLAGNPFCCGGSNSLVSFVGHSSWKSFASVCVVKPFICTRSEFIVSASKRSGANSDKFSYLSNANGSLKVSEDRVVVLVIGGGGREHALCYALQRSPSCDAVFCAPGNAGIAQSGNATCISDLDISDSQAVISFCHKWGVGLVVIGPEAPLVAGLANDLFKAGIPTFGPSSEAAVLEGSKDFMKKLCDKYDIPTAKYQTFTDPSNAKEYVRQQGAPIVVKADGLAAGKGVIVAMTVEEAFEAIDSMLVSGAFGSAGSRVIIEEFLEGEEASFFAIVDGETALPLESAQDHKRVGDGDTGPNTGGMGAYSPAPVLTKELQSVVMESIIYPTVKGMAAEGCKFVGVLYAGLMIEKKTGLPKLIEYNVRFGDPECQVLMMRLESDLAQVLLAACRGELDNVSLNWSPGSAMVVVMASKGYPGSYKKGTVIKNLEEAELCCSNGQDFPCRNSTGLR >DRNTG_22835.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5018790:5022080:1 gene:DRNTG_22835 transcript:DRNTG_22835.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylamine--glycine ligase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G09830) UniProtKB/Swiss-Prot;Acc:P52420] MACVGCHVGGSLSFLGSRAGRKLAGNPFCCGGSNSLVSFVGHSSWKSFASVCVVKPFICTRSEFIVSASKRSGANSDKFSYLSNANGSLKVSEDRVVVLVIGGGGREHALCYALQRSPSCDAVFCAPGNAGIAQSGNATCISDLDISDSQAVISFCHKWGVGLVVIGPEAPLVAGLANDLFKAGIPTFGPSSEAAVLEGSKDFMKKLCDKYDIPTAKYQTFTDPSNAKEYVRQQGAPIVVKADGLAAGKGVIVAMTVEEAFEAIDSMLVSGAFGSAGSRVIIEEFLEGEEASFFAIVDGETALPLESAQDHKRVGDGDTGPNTGGMGAYSPAPVLTKELQSVVMESIIYPTVKGMAAEGCKFVGVLYAGLMIEKKTGLPKLIEYNVRFGDPECQVLMMRLESDLAQVLLAACRGELDNVSLNWSPGSAMVVVMASKGYPGSYKKGTVIKNLEEAELCCSNGQDFPCRNSTGLR >DRNTG_07297.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3471651:3472054:-1 gene:DRNTG_07297 transcript:DRNTG_07297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSIQTFMQALLILMAGALLIVTAQNCGCASNLCCSQYGFCGTGEAYCGYKCQRGPCYTPTGNVGDIVTDSFFNGIAQCKQFYTRSAFLQAAAKYPYFGRSGTIDDKKREIAAYFAHVTQET >DRNTG_02571.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19438235:19456110:-1 gene:DRNTG_02571 transcript:DRNTG_02571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGSSFSNGHNRPRRAKGPSRDSRGAAHRLLTSSPASSHDRISPPECTESDRAYFDSYAHVGIHEEMIKDHVRTETYRAAIMRHRDSIAGRVVMDVGSGTGILSIFCAFAGALRVYAVEASEIAAQAKEVVRANNLSEKVIVLHGRVEDIKIDEKVDIIISEWMGYMLLYECMLASVIYARDKWLKPGGLILPSHATLYMAPVTHSDRYCESVDFWRDVYGIDMSSMIPLAKQCAFEEPSVETITGENVLTWPYVVKFVDCYTVEAHELESITTNYQFSSMMRAPLHGFAFWFDVEFNGPPTLSSANGLQFLPGGSYSDSYINGSNNRTKRTKSDETIVLSTAPEDDPTHWQQTLLYFYDPIDVQQDQIIEGSITLSQSKENARFLNIHLEYSSGGRSFVKESVMR >DRNTG_27408.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001396.1:223982:228013:-1 gene:DRNTG_27408 transcript:DRNTG_27408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHKVPLQHLKLRSDYKKHQSFQLAEEEKPENRENSPAMRLRKLYALIGGLLLIAVVYLNLVPGSGSGSGSAFEIRIPIPWLQPKMGFVTRNLTRFVDSETGLPVYVNGWNSYWLMSSGSKVRVSEMLRRGREMGMGVCRTWAFSDGGANALQLSPGRLDERVFEALDYVIYEARRNHIRLILCLVNNLDAFGGKAQYLQWAKDAGENVSSSADSFFSHPTIKGYYKDYVKTIVTRKNTYSGVTYSDEPAIFAWELMNEPRCISNSSGPLLQAWITEMASYIKSLDSNHLVTVGIEGFYGHPRTGRLGVNPGQWAASLGSDFIQNSAIEQIDFASVHAYPDSWIPHASLKEKVKYLARWVDSHVNDSQNVLKKPVLFTEVGSHLRIKENGSYDRDILLKTVYDKIYESAELGQAGAGALIWQLIIEGMGDYEDEFSFTAKEHPSTYKLIMQQSCRLRNLFRKTDIHSMKSSNCSEII >DRNTG_26207.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17358390:17369035:1 gene:DRNTG_26207 transcript:DRNTG_26207.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTRRCHEASYKNLEHQLGGILDTLSREQQVFEQASQVPYREDVVVNDNEEYVCEQEMVQGELVKTYCFQVEREEDANPKSLQNFLRRPEKKHGRVVFPHARGFTLRAHPEKAQGRAAAPVNDHATVTRPWVISARACEFLQKDLSILRRHRGVRLPLGVRLPLWVGHTAWVISARPCGFTDMLEPQMVLSSWELRLSHGHGQGASALSRRFYGSDIVIPLGRRLVGELRSRHILYQTKESLDDE >DRNTG_07829.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:154363:156890:-1 gene:DRNTG_07829 transcript:DRNTG_07829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHSYLLSSSCPPALTSKKNVPFSAVNGSVVACELNNNRKFTIACVSAEQQIRRSAHYQPNQWDYNFTMKSLNSDYDKVKARTSILFAKLKEEVRSLIKQENNLVARLELIDDVERLGLGHHFEEEINDALINSISTKNDNISVVMKQNLHAIALFFRLLRQHGLHVSQDVFSHFKDEKGSFRASLLEKGVQGMLSLYEASFLGFDGEETLEEARYFTSEHLVNLIPCMHPHLKDKVEHSLELPLHWRTPRLEARWYIDQYERSGKMNPSLLKLAKLDFNLVQSTHQMELKKMMEWWKNLGLGEKTGFARDRLLECFFCAAGVVFDPQHGFCREELTKASTLITTLDDVYDVYGSLDELQLFTKSVEKWERDGSEELPEYMKICYNSLYNTAEELANRIQRLEGWDAMPYIGQAWSDLCKAFLIEAEWHHSGHKPSLEEYLNNGWSSVSGHVVMVYVFLLSEQEKTKEALKHLMNYPNLIRSSSMIFRLCNDLATSAAELERGDTQTSIQCYMTEHNITEAEAREEIWNLISRSWKDLNEGLANCSPLSLFFSNAAVNLSRLIHCIYQHGDSHGAPDQEKKNQIKSLFFEPIKFQEDTSLNGQYHNNSII >DRNTG_22556.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001244.1:143876:148739:-1 gene:DRNTG_22556 transcript:DRNTG_22556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSFSSSSSSSSSSSSSYSSSSGYSCHHSCYFSSSYTLDDNAKLFLKLLGVLVIVIFISPGRTTRKAPNLDKEVIMGVLKAFEKIAENDEEMKLLQSPELAEVAKKILSQPISSSSAERNWSTYSYIHNVKRNRLNCTKVDKLVYIHSNIHLQECFSESYKHGPYRKWDINPDNTNLEDSTMKLIDMTWSSLGEDNFEFAKADAIDE >DRNTG_14753.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:212097:213183:1 gene:DRNTG_14753 transcript:DRNTG_14753.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKEKKPRAFVKAPAINDKEPHYRGVRKRPWGRYAAEIRDPVKKSRVWLGTFDTAEAAARAYDAAARDFRGSKAKTNFPSLDSRPGSPSSTVESSSRDPSATPPALDLGLDLLHRSFRFPFQPYTPASGIHPMFLFDPNRHRFAVDPSMGLRDPHGPAQSDSDSSSIVDLHHQLSPPPRKMVLDLDLNLPPPLDIL >DRNTG_14753.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:211874:213183:1 gene:DRNTG_14753 transcript:DRNTG_14753.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKEKKPRAFVKAPAINDKEPHYRGVRKRPWGRYAAEIRDPVKKSRVWLGTFDTAEAAARAYDAAARDFRGSKAKTNFPSLDSRPGSPSSTVESSSRDPSATPPALDLGLDLLHRSFRFPFQPYTPASGIHPMFLFDPNRHRFAVDPSMGLRDPHGPAQSDSDSSSIVDLHHQLSPPPRKMVLDLDLNLPPPLDIL >DRNTG_14753.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:212097:213430:1 gene:DRNTG_14753 transcript:DRNTG_14753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKEKKPRAFVKAPAINDKEPHYRGVRKRPWGRYAAEIRDPVKKSRVWLGTFDTAEAAARAYDAAARDFRGSKAKTNFPSLDSRPGSPSSTVESSSRDPSATPPALDLGLDLLHRSFRFPFQPYTPASGIHPMFLFDPNRHRFAVDPSMGLRDPHGPAQSDSDSSSIVDLHHQLSPPPRKMVLDLDLNLPPPLDIL >DRNTG_13128.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000592.1:12531:16047:1 gene:DRNTG_13128 transcript:DRNTG_13128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSWMHKSRLSQEYEHGVEEFLKFAFDNASQDGMIVCPCVKCVNIHWRTREVVLEHLICDGILQRYTCWFFHGEHVPSPTANTTTTFRDASISHNITS >DRNTG_32754.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001910.1:5051:5449:-1 gene:DRNTG_32754 transcript:DRNTG_32754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAHVISSIRPPSEKNNALIILTLGGVHTSKDIIIETISGVSVRLYLPEEITSQPTKKLPILIYYHGGGFCTKSVSSPTYHNYLNSLAFHAKVLGVSVEYRLAPEFPLPTAFNDSWTALQWVLSHVNGGDEQ >DRNTG_27877.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10443328:10445885:-1 gene:DRNTG_27877 transcript:DRNTG_27877.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRICLHAWIFFSLRAGQLLPRQTWPGPSWDHPRGPSWRQSECSQSMLLRSN >DRNTG_27877.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10443166:10445885:-1 gene:DRNTG_27877 transcript:DRNTG_27877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRICLHAWIFFSLRAGQLLPRQTWPGPSWDHPRGPSWRQSECSQSMLLRSN >DRNTG_27877.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10444267:10445885:-1 gene:DRNTG_27877 transcript:DRNTG_27877.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRICLHAWIFFSLRAGQLLPRQTWPGPSWDHPRGPSWRQSECSQSMLLRSN >DRNTG_11199.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2205122:2206930:1 gene:DRNTG_11199 transcript:DRNTG_11199.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQQAGRGTSYCSKISPNNHHNKHNSTQSIIAIHNHQSTTPRPFARGNDKSTSKAQTS >DRNTG_11199.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2205122:2206930:1 gene:DRNTG_11199 transcript:DRNTG_11199.1 gene_biotype:protein_coding transcript_biotype:protein_coding GEISTTPAEDTNNKNSNKSPTYILYQKEKNNSLERNHICKQTLKQYNKKIE >DRNTG_32979.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20989546:20989936:1 gene:DRNTG_32979 transcript:DRNTG_32979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIMQYVHPQPSEDSGNGEGEEGLVNGVVTYIIMDDLSVTPMSTISCITLLNKFSVKNVDVLEEKNVSLGMQEALELLKTSFESQTVLTEVFL >DRNTG_20901.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25175748:25181905:1 gene:DRNTG_20901 transcript:DRNTG_20901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHAKIVSTTAMVPHKIHGTDGMLHSETQGSNQLDDANVFGGSTAINAPNSAGSGKLRLRWTSDLHDRFVDAINQLGGPDKATPKGVLRIMGVPGLTIYHVKSHLQKYRLAKFLPESSADGSKDDMENAKDTLSNTETLQGGQISEALRMQMEVQKRLQEQLEVQRQLQLRIEAQGKYLEKIIEEQQKLSGAIKMCPPLKPGEKSKSFELLPPPEDNLSDLPCCMKKLRTSDQLPEHVDVPPRPDSNATRTEWNLEVYNEGEEGIRFDI >DRNTG_06954.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:783852:791947:-1 gene:DRNTG_06954 transcript:DRNTG_06954.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRQNPDIKTLFTDHTCAPPNGARAPSVSVPLAAVPKPATYTPLGPHAPFPPAAAANASALAGWMANAAGSSSVQSAVVAAPTISIPPNQVSILKHPRTPNTLTMTDYQNADSEQLMKRMRSSTHQADEVTYPAPIPQIAWSLDDLPRTVACAMNQGSNVTSMDFHPSHHTLLLVGTGTGEITLWEIGLRERLVSKPFKLRDIASCSSQFQAAMVKDTSISVTKVSWSPDGNLIGVAFSKHLIHLHAYQAPNELHQHIEIEAHMGGVNDIAFAHPNKQVSVVTCGEDKLIKVWDLTGQKLYTFEGHEAPIYSICPHHKENIQFVFSTAIDGKIKAWLYDNIGSRVDYDAPGRSCTTMLYSSDGSRLFSCGTSKEGDSFLVEWNESEGAIKRTYVGFRKKSAGVVQFDTTQNHFLAAGEENQIKFWDVDIVNMITSTDADGGLQSLPRLRFNKEGNLLAVTTVDNGFKILANAEGHRSLRAFGSRSFEAFRAQYESSPVKVSVAPVVASISPSISRVDRLDRSSPAKPSPILNGGSPSSRGMEIKPRILEDLSDKAKQWELSEILDPLHCRVVPMPEGTEPASKVARLLYTNSGGGLLALGSNAIQRLWKWSRNEQNPSGKATASIAPQHWQPNSGLLMINDVSETNLEEAVPCIALSKNDSYVMSACGGKVSLFNMMTFKIMTTFMPPPPTSTYLAFHLLDNNIIAIGMEDATIHIYNVRVDEVKTKLSGHQKRITGLAFSNSLGILVSSGADAQLCIWNTDNWEKKKSIAIQLPAGKPPAGDTRVQFHSDQIRLLVSHETQIAIYDTSKAERIRQWVPQGTLSAPISHAAYSCNSQLVYAAFCDANIGVFDADNLRLRCRIAPSAYLSSPAANSNPPVYPLVIATHPQEPNQFAIGLTDGSVKVIEPIESEGRWGNSSPVDNGVSNGRATASSATSNSAADQLQR >DRNTG_20245.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20836151:20843055:-1 gene:DRNTG_20245 transcript:DRNTG_20245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREAMASYHLYRGIGIGPGTGSPQQQQQQQQQGLHGMRPMSANPGSNLQVQQSSSIGGGPGGGSIGSGFQVESPPSISQHGVNVGAAGGGGGGAGAGGPGEPVKRKRGRPRKYGPGGSVSLALSPMSSSPPGSGMASGSVPGMSSGAPEKRGRGRPPGTGRKQLLASLGEWIVGSAGMGFTPHIITIAVGEDIASKIMSFSQQGPRAICILSATGSVSTVMLRQSSTSGGAVTYEGRFEILSLSGSYLMTDGGGSRNRSGGLSISLASPDGRVIGGGVGGLLIAASNVQVVVGSFIYGGSKQKSKAKTNQDPGMDSELQIGDKPGTTSGGLPIQSPPPVMTWPGSRQIDMRNAHIDIDLTRG >DRNTG_29629.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5956483:5964254:1 gene:DRNTG_29629 transcript:DRNTG_29629.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLDEADVGILCYVSSLPGFRGVLKQRYSDFIVNEVDLDGKIIHLTSFDLPPEFSEEKAPGKNPRSSDKDYSGEIEAFRSLSGELDAESLRDFLEKIVDSEASDVAPIILSPDSDKSHRAEVHNFFKRNFKFLVTDTVEEADTKCVRVRFCSGDGGAKNKRGKKRKEPGGSGPKNERPFDSRGSDNWPEHLGKFLRFHLFKENKDTQEALGVIGKMLGVQQRSFGFAGTKDKRSISTQRVTIFKQHAKRLAALNSRLIGIKVGDFCYVKEGLVLGQLMGNRFTITLRGVVAESQDIVKAAADGLRRNGFINYYGLQVGDKTNFYKRFCIFCTLM >DRNTG_29629.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5956483:5964254:1 gene:DRNTG_29629 transcript:DRNTG_29629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLDEADVGILCYVSSLPGFRGVLKQRYSDFIVNEVDLDGKIIHLTSFDLPPEFSEEKAPGKNPRSSDKDYSGEIEAFRSLSGELDAESLRDFLEKIVDSEASDVAPIILSPDSDKSHRAEVHNFFKRNFKFLVTDTVEEADTKCVRVRFCSGDGGAKNKRGKKRKEPGGSGPKNERPFDSRGSDNWPEHLGKFLRFHLFKENKDTQEALGVIGKMLGVQQRSFGFAGTKDKRSISTQRVTIFKQHAKRLAALNSRLIGIKVGDFCYVKEGLVLGQLMGNRFTITLRGVVAESQDIVKAAADGLRRNGFINYYGLQRFGSGSVPTHMIGAALLKGEWKHAVDLILNPREGDILKLLICFELGSSYGS >DRNTG_13067.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:929069:930224:1 gene:DRNTG_13067 transcript:DRNTG_13067.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAWENKWDTFTEGNNMQKQASRPPLRASSLPLEDLVKTEQLSSTFEMNLRVCFILQNAS >DRNTG_24099.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4691660:4695704:1 gene:DRNTG_24099 transcript:DRNTG_24099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGKPEIGPIGEGEGKMGSTKGGEKPESLVLGEEWPKLGDLGQRSGKREADAAVRVSDLESIGGVSRDRSSSLPCLQVQPQASKDIQRHYGDKIGNHYNGPYPRPAMIGGPQPSNYCHHPSSQFQEVGRHVYHAYPHPNPFPYYQQQFLAPPYDPFVNYHQTTSYVMNQAHTYRAEQNSRPNPPTLDHRFRSKAFIRQCLPKFYPDSGFINNPYYYGHVPPVPYSAAAPCSPAASVGVRTPPFDVSSTSHYHNSFQGQHKMDKRASIVHQVEYYFSDENLPSDHHLLSLLDKDGWVSIHYIASFRRLNNLAKNNTRLILDVLRSSNFIEIRGDKIRRRDWSKWIQASRHHTSSSKSHVTIDPSEGEQRTLVIAEQSNLNQIILQKDCLPCNNSTGNNDGLNTLT >DRNTG_24099.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4691660:4693531:1 gene:DRNTG_24099 transcript:DRNTG_24099.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGKPEIGPIGEGEGKMGSTKGGEKPESLVLGEEWPKLGDLGQRSGKREADAAVRVSDLESIGGVSRDRSSSLPCLQVQPQASKDIQRHYGDKIGNHYNGPYPRPAMIGGPQPSNYCHHPSSQFQEVGRHVYHAYPHPNPFPYYQQQFLAPPYDPFVNYHQTTSYVMNQAHTYRAEQNSRPNPPTLDHRFRSKAFIRQCLPKFYPDSGFINNPYYY >DRNTG_24099.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4693122:4695704:1 gene:DRNTG_24099 transcript:DRNTG_24099.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGPQPSNYCHHPSSQFQEVGRHVYHAYPHPNPFPYYQQQFLAPPYDPFVNYHQTTSYVMNQAHTYRAEQNSRPNPPTLDHRFRSKAFIRQCLPKFYPDSGFINNPYYYGHVPPVPYSAAAPCSPAASVGVRTPPFDVSSTSHYHNSFQGQHKMDKRASIVHQVEYYFSDENLPSDHHLLSLLDKDGWVSIHYIASFRRLNNLAKNNTRLILDVLRSSNFIEIRGDKIRRRDWSKWIQASRHHTSSSKSHVTIDPSEGEQRTLVIAEQSNLNQIILQKDCLPCNNSTGNNDGLNTLT >DRNTG_03123.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21606646:21609696:-1 gene:DRNTG_03123 transcript:DRNTG_03123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFHRIYSCILLLLCLLKTAPVGSVPSEKFSIIEYDNVAENAFELFEMWSSKHGKVYHHPSEKAMRFENFQKNLAYVLERNAQKELMPLGHTVGLNVFADLSNEEFRVKYLSKMKLAGKRKMAGMEGKKEECDAPYSLDWRKKGVVTGVKDQGQCGSCWAFSSTGAMEGINAIATGDLLSLSEQELVDCDTTNDGCDGGYMDYAFEWVIKNGGIDTEHDYPYTGQDGSCNTEKENIKAVTIDGYQDVAQNENALLCAVAKQPISVGIDASSMDFQLYTGGIYDGECSSDPDDIDHAVLIVGYGSEKNIGYWIVKNSWGTSWGMQGYFYIRRNTGLPYGACGINAMASYPMKESTSPSPFPSPAIPPPPPPPPPPSPMPIKCGGLSYCSAGETCCCIYQWMDFCLLYGCCAYENAVCCAGSIYCCPQDYPICDIEDGLCLQKNRDFIGIKPKKVKLAKHKLPWEAI >DRNTG_09104.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6028:6830:-1 gene:DRNTG_09104 transcript:DRNTG_09104.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAASVMFGRKEQVVIHTIYSTKARFRDDGKDHEITIKCKGDSWDVKDSELLVYVDKKKVVSEKRLHWNFRGNQIMFVDGSPVDLMWDLHDWWFGGPSGCGVFMFRARSSLESRLWLGHEVLQKEQDSPGFTLLIRALKS >DRNTG_00158.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26186835:26189922:-1 gene:DRNTG_00158 transcript:DRNTG_00158.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASWLHGVCNENSLAPLLRQLGLRMYRTSGDNSVLYDHDLESYALFDKDGHQVPQQLVIEVGGKFERILKETIKVRDEHADDMSVLQAITIVLDRHPELRQEGLAYEVMQWYICRMEAWFASDVDNISLKNWDQEHVLTGGHGLMVEGYNPLIKNLAKDLDIRLNHRVTQIVQCSNKVMLTIETGATFVADAAIITVPLGVLKANMIQFVPRLPEWKTSAISDLGVGNENKIALHFDTVFWPNVEVLGIVAPTSYACGYFLNLHKATGNPVLVYMAAGRIAYDIEKLSDEEAANFAMSELKKMLPHAKKPMDFLVSRWGMDPNSLGAYSCDLVGKPADLCHRLQAPVNNLYFAGEAMSIDHSGTVHGAYSSGKLAAEECRRRLSLQHGFPDLFQIVLRDDINEIMFPLQISRL >DRNTG_00158.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26186835:26190195:-1 gene:DRNTG_00158 transcript:DRNTG_00158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASWLHGVCNENSLAPLLRQLGLRMYRTSGDNSVLYDHDLESYALFDKDGHQVPQQLVIEVGGKFERILKETIKVRDEHADDMSVLQAITIVLDRHPELRQEGLAYEVMQWYICRMEAWFASDVDNISLKNWDQEHVLTGGHGLMVEGYNPLIKNLAKDLDIRLNHRVTQIVQCSNKVMLTIETGATFVADAAIITVPLGVLKANMIQFVPRLPEWKTSAISDLGVGNENKIALHFDTVFWPNVEVLGIVAPTSYACGYFLNLHKATGNPVLVYMAAGRIAYDIEKLSDEEAANFAMSELKKMLPHAKKPMDFLVSRWGMDPNSLGAYSCDLVGKPADLCHRLQAPVNNLYFAGEAMSIDHSGTVHGAYSSGKLAAEECRRRLSLQHGFPDLFQIVLRDDINEIMFPLQISRL >DRNTG_11300.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1550817:1553199:-1 gene:DRNTG_11300 transcript:DRNTG_11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMPSKSSLNLKPSPPPSLPTTMLCETRRRAPAGGFHRRRDSGHRLLITCRPPLHGLSSMGLLH >DRNTG_00454.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27258336:27268306:1 gene:DRNTG_00454 transcript:DRNTG_00454.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRSSPPGTAHGVLLLNSNGMDINYAGSHITYKAIGGVLDFYFFAGPSPLSVIEQYTDLIGRPAPMPYWSFGFHQCRYGYKNVSDLESVVAGYAKAGIPLEVMWTDIDYMDGYKDFTLDPVNFPLEKMTKFVNQLHENGQRYVMIIDPGISTNKSYPTFLRGMKAGIFLKRNGVNYLGSVWPGDVYFPDFWNPKAATFWSKEIEIFRKKIPVDGLWIDMNEISNFIDPSSENKLDDPPYKTNNSGVGRPLNNKTVPASSIHYGNLTEYDVHNLYGFLESKATHDALVKDTGKRAFVLSRSTFVGSGKYTAHWTGDNAATWNDLAYSIPTMLSFGLFGIPMVGADICGFARDTNEELCRRWIQLGAFYPFARDHSDKYSARQELYLWDSVARSARKALGLRYRLLPYFYTLMYEAHSKGRPIARPLFFSFPEDKETYSISTQFLIGEGVMVSPVLSPGKVSVNAYFPKGNWFNLFNYSQVVVASSGRYVPLDAPEDTINVHVKGGHVLVMQEAGMSTMAGSQSGFQLLVALDDNGKAEGKVFVDDGEVVEMGGEDLSQWSLVRFSAGVEGGDLKLKSKVINGIYASDKKISVKNVVVLGLKNESAVNGGLNSISGVNVTDNCVGFGVAEIDGLSLMLGEPFELKVTMAALNV >DRNTG_00454.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27257537:27261242:1 gene:DRNTG_00454 transcript:DRNTG_00454.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLYLLFFFFFCYFLFSYALADDSYIGYGYELRSIASDLSHKSLIAKLQLIRCSSEIYGFDVKNLDFFASFETNDRLRVRITDSDRRRWEIPPEIIPREAPNLLLRSPTETLILSSGDSDLILTLRRSSPFTFFISRRSTGDVLFDTAVPSSITPGIVFKDQYLELSSSLPGDRSSIYGLGEHTKRTFRLIPNDTFTLWNADIGSANLDLNLYGSHPFYMDLRSSPPGTAHGVLLLNSNGMDINYAGSHITYKAIGGVLDFYFFAGPSPLSVIEQYTDLIGRPAPMPYWSFGFHQCRYGYKNVSDLESVVAGYAKAGIPLEVMWTDIDYMDGYKDFTLDPVNFPLEKMTKFVNQLHENGQRYVMIIDPGISTNKSYPTFLRGMKAGIFLKRNGVNYLGSVWPGDVYFPDFWNPKAATFWSKEIEIFRKKIPVDGLWIDMNEISNFIDPSSENKLDDPPYKTNNSGVGRPLNNKTVPASSIHYGNLTEYDVHNLYGFLESKATHDALVKDTGKRAFVLSRSTFVGSGKYTAHWTGDNAATWNDLAYSIPTMLSFGLFGIPMVGADICGFARDTNEELCRRWIQLGAFYPFARDHSDKYSARQELYLWDSVARSARKALGLRYRLLPYFYTLMYEAHSKGRPIARPLFFSFPEDKETYSISTQFLIGEGVMVSPVLSPGKVSVNAYFPKGNWFNLFNYSQVVVASSGRYVTLDAPEDTINVHVKGGHVVVMQEAGMSTMAGSQSGFHLLVVLDDNGKAEGKVFVDDGEVVEMGGEDLSQWSLVRFSAGVEGGELKLKSEVINGTYALDKKISVKNVVLLGLKHEGAVNGGLKRIGGVNVIDNGIGFGVAEIDGLSLMLGEPFELKVTMAALNV >DRNTG_00454.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27265472:27268219:1 gene:DRNTG_00454 transcript:DRNTG_00454.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNEISNFIDPLPQNQLDDPPYKINNAGVQRPLSNKTVPASSIHYGNFTEYDVHNLYGFLESKATHDALVKDTGKRAFVLSRSTFVGSGKYAAHWTGDNAATWNDLAYSIPTMLSFGLFGIPMVGADICGFSRGTNEELCRRWIQLGAFYPFARDHSDKYSTRQELYLWDSVARSARKALGLRYRLLPYFYTLMYEAHSQGSPIARPLFFSFPDDTDTYGISTQFLIGEGVMVSPVLSPGAVSVNAYFPKGKWFNLFNYSLVVNASFGRYVPLDAPEDTINVHVKGGHVLVMQEAGMSTMAGSQSGFQLLVALDDNGKAEGKVFVDDGEVVEMGGEDLSQWSLVRFSAGVEGGDLKLKSKVINGIYASDKKISVKNVVVLGLKNESAVNGGLNSISGVNVTDNCVGFGVAEIDGLSLMLGEPFELKVTMAALNV >DRNTG_00454.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27258336:27261242:1 gene:DRNTG_00454 transcript:DRNTG_00454.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRSSPPGTAHGVLLLNSNGMDINYAGSHITYKAIGGVLDFYFFAGPSPLSVIEQYTDLIGRPAPMPYWSFGFHQCRYGYKNVSDLESVVAGYAKAGIPLEVMWTDIDYMDGYKDFTLDPVNFPLEKMTKFVNQLHENGQRYVMIIDPGISTNKSYPTFLRGMKAGIFLKRNGVNYLGSVWPGDVYFPDFWNPKAATFWSKEIEIFRKKIPVDGLWIDMNEISNFIDPSSENKLDDPPYKTNNSGVGRPLNNKTVPASSIHYGNLTEYDVHNLYGFLESKATHDALVKDTGKRAFVLSRSTFVGSGKYTAHWTGDNAATWNDLAYSIPTMLSFGLFGIPMVGADICGFARDTNEELCRRWIQLGAFYPFARDHSDKYSARQELYLWDSVARSARKALGLRYRLLPYFYTLMYEAHSKGRPIARPLFFSFPEDKETYSISTQFLIGEGVMVSPVLSPGKVSVNAYFPKGNWFNLFNYSQVVVASSGRYVTLDAPEDTINVHVKGGHVVVMQEAGMSTMAGSQSGFHLLVVLDDNGKAEGKVFVDDGEVVEMGGEDLSQWSLVRFSAGVEGGELKLKSEVINGTYALDKKISVKNVVLLGLKHEGAVNGGLKRIGGVNVIDNGIGFGVAEIDGLSLMLGEPFELKVTMAALNV >DRNTG_00454.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27252738:27255214:1 gene:DRNTG_00454 transcript:DRNTG_00454.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRSSPPGTTHGVLLLNSNGMDINYAGSYITYKVIGGVLDFYFFAGPTPLSVIEQYTDLIGRPAPMPYWSFGFHQCRWGYKNVSDLESVVAGYAKAGIPLEVMWTDIDYMDASKDFTLDPVNFPLEKMIKFVNQLHENGQRYVAIIDPGISTNKSYPTFLRGLKADVFLKRNGVNYLGSVWPGDVYFPDFWNPKAATFWSKEIEIFRKMIPIDGLWIDMNEISNFIDPLPENELDDPPYKINNSGVQRPLSNKTVPASSIHYGNLTEYDVHNLYGFLESKTTHDALVKDTGKRAFVLSRSTFVGSGKYTAHWTGDNAATWNDLAYSIPTMLSFGLFGIPMVGADICGFSGSTSEELCRRWIQLGAFYPFARNHYDMNSARQELYLWDSVSLSARKALGLRYRLLPYFYTLMHEAHSKGSPIARPLFFSFPEDKETYGINAQFLIGEGVMVSPVMAPGEVSVNAYFPKGKWFNLFNYSHVVVASSGRYVTLDAPEDTINVHVKGGHILVMQEAGMLTKAGSHNRFQLLVVLDDNGKAEGKVFVDDGEVVEMGGEDLSQWSLVRFSAGLEGGELKLKSEVINGTYASDKKIRVKNVVVLGLKHEGAVNGVLKRNIGGVNIIDKDVVFGVAEIDGLSLMLGEPFELKVTIAALI >DRNTG_00454.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27252738:27261242:1 gene:DRNTG_00454 transcript:DRNTG_00454.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRSSPPGTTHGVLLLNSNGMDINYAGSYITYKVIGGVLDFYFFAGPTPLSVIEQYTDLIGRPAPMPYWSFGFHQCRWGYKNVSDLESVVAGYAKAGIPLEVMWTDIDYMDGYKDFTLDPVNFPLEKMTKFVNQLHENGQRYVMIIDPGISTNKSYPTFLRGMKAGIFLKRNGVNYLGSVWPGDVYFPDFWNPKAATFWSKEIEIFRKKIPVDGLWIDMNEISNFIDPSSENKLDDPPYKTNNSGVGRPLNNKTVPASSIHYGNLTEYDVHNLYGFLESKATHDALVKDTGKRAFVLSRSTFVGSGKYTAHWTGDNAATWNDLAYSIPTMLSFGLFGIPMVGADICGFARDTNEELCRRWIQLGAFYPFARDHSDKYSARQELYLWDSVARSARKALGLRYRLLPYFYTLMYEAHSKGRPIARPLFFSFPEDKETYSISTQFLIGEGVMVSPVLSPGKVSVNAYFPKGNWFNLFNYSQVVVASSGRYVTLDAPEDTINVHVKGGHVVVMQEAGMSTMAGSQSGFHLLVVLDDNGKAEGKVFVDDGEVVEMGGEDLSQWSLVRFSAGVEGGELKLKSEVINGTYALDKKISVKNVVLLGLKHEGAVNGGLKRIGGVNVIDNGIGFGVAEIDGLSLMLGEPFELKVTMAALNV >DRNTG_00454.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27252738:27268219:1 gene:DRNTG_00454 transcript:DRNTG_00454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRSSPPGTTHGVLLLNSNGMDINYAGSYITYKVIGGVLDFYFFAGPTPLSVIEQYTDLIGRPAPMPYWSFGFHQCRWGYKNVSDLESVVAGYAKAGIPLEVMWTDIDYMDGYKDFTLDPVNFPLEKMTKFVNQLHENGQRYVMIIDPGISTNKSYPTFLRGMKAGIFLKRNGVNYLGSVWPGDVYFPDFWNPKAATFWSKEIEIFRKKIPVDGLWIDMNEISNFIDPSSENKLDDPPYKTNNSGVGRPLNNKTVPASSIHYGNLTEYDVHNLYGFLESKATHDALVKDTGKRAFVLSRSTFVGSGKYTAHWTGDNAATWNDLAYSIPTMLSFGLFGIPMVGADICGFARDTNEELCRRWIQLGAFYPFARDHSDKYSARQELYLWDSVARSARKALGLRYRLLPYFYTLMYEAHSKGRPIARPLFFSFPEDKETYSISTQFLIGEGVMVSPVLSPGKVSVNAYFPKGNWFNLFNYSQVVVASSGRYVTLDAPEDTINVHVKGGHVVVMQEAGMSTMAGSQSGFHLLVVLDDNGKAEGKVFVDDGEVVEMGGEDLSQWSLVRFSAGVEGGDLKLKSKVINGIYASDKKISVKNVVVLGLKNESAVNGGLNSISGVNVTDNCVGFGVAEIDGLSLMLGEPFELKVTMAALNV >DRNTG_00454.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27258336:27267424:1 gene:DRNTG_00454 transcript:DRNTG_00454.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRSSPPGTAHGVLLLNSNGMDINYAGSHITYKAIGGVLDFYFFAGPSPLSVIEQYTDLIGRPAPMPYWSFGFHQCRYGYKNVSDLESVVAGYAKAGIPLEVMWTDIDYMDGYKDFTLDPVNFPLEKMTKFVNQLHENGQRYVMIIDPGISTNKSYPTFLRGMKAGIFLKRNGVNYLGSVWPGDVYFPDFWNPKAATFWSKEIEIFRKKIPVDGLWIDMNEISNFIDPSSENKLDDPPYKTNNSGVGRPLNNKTVPASSIHYGNLTEYDVHNLYGFLESKATHDALVKDTGKRAFVLSRSTFVGSGKYTAHWTGDNAATWNDLAYSIPTMLSFGLFGIPMVGADICGFARDTNEELCRRWIQLGAFYPFARDHSDKYSTRQELYLWDSVARSARKALG >DRNTG_27311.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:189466:189749:1 gene:DRNTG_27311 transcript:DRNTG_27311.2 gene_biotype:protein_coding transcript_biotype:protein_coding KEGKEGEKARARRSDGQTSPDLTQTPGEDSLQEALSID >DRNTG_27311.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:189466:190106:1 gene:DRNTG_27311 transcript:DRNTG_27311.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEGKEGEKARARRSDGQTSPDLTQTPGEDSLQEALSID >DRNTG_35290.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:728055:731980:-1 gene:DRNTG_35290 transcript:DRNTG_35290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFCYKLAALFKRSRVVSTATNAAAPSQMEDGTLPKSILRTRLCIIGSGPAAHTAAIYAARAELRPILFEGWMANDIAAGGQLTTTTDVENFPGFPEGIMGGDLMDRCRAQSVRFGTNILSETVTSVDLSSRPFKVFSSSTQVHADAVVIATGAVARRLHFPGSDTLWNRGISACAVCDGAAPIFRDRPIAVIGGGDSAMEEANFLTKYGSRVYIVHRRDTFRASKIMQARALNNPKIEVLWNSEVVEAYPKERNGPLGGVKVNNVISREVSDLTVSGLFFAIGHEPATKFLDGQLELDADGYVVTKPGSTQTSIKGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQEIGAQEGKTD >DRNTG_10229.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:79761:80666:-1 gene:DRNTG_10229 transcript:DRNTG_10229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSVRTVVDPSTAHVIIPRSKSIITTRTKNKNGLRMIKSGETMVMPILLRRPQLPSVTITTVERNEEQERSRGRPSDVLRILDALHLPVDADTYSALIKECIDSRDAVQGASIHAHIQRRTRSSTRNSSDHLILADRLLLMYAACGRLRDARQLFDEMPIKDAISRLTMVSALSDRGDHVEAIKLFVDMHQNYSCAGSRGRGCRAHLFDAAMAILRSCIRAEDLQLGQQVHGLTHEAGRVRCLLRFTRGAPPPRPVIQRQIWPLGLREDGIQCDQQQHPRTNDAPHLRFLLAIGDESCQK >DRNTG_20780.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001155.1:45902:46308:-1 gene:DRNTG_20780 transcript:DRNTG_20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILHFDIKPHNILLDHNFNPKVSDFGLAKLYPKNNSLVSLSVARGTIGYIAPELISRSFGVISHKCDVYSFGMLLLEMAGGRRNSDPKAENTSQVYYPSWIYDKLIED >DRNTG_00629.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22559324:22561486:-1 gene:DRNTG_00629 transcript:DRNTG_00629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQDSCMAEKGLKLFGVRIVGDAAEDEEEEVMRKSSSMGNLAAAAPAPAESGGTEQGYLSDGGLVQSSRRRGGNERKRGVPWTEEEHRTFLTGLEKLGKGDWRGISRNFVTTRTPTQVASHAQKYFLRQNNPNKKKRRSSLFDVVINDKAPSTEPSNEVKEATSSNPLPEENCLVGIVPSPATQTTSQVFECPASLPLVMNPIDIPNFSASNVMVTSSSNTKSICVPDFLKLSLSHPHCSALSTATSPAKDITDLELSIAPPRPPGSTGAISVI >DRNTG_00629.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22559324:22561486:-1 gene:DRNTG_00629 transcript:DRNTG_00629.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQDSCMAEKGLKLFGVRIVGDAAEDEEEEVMRKSSSMGNLAAAAPAPAESGGTEQGYLSDGGLVQSSRRRGGNERKRGVPWTEEEHRTFLTGLEKLGKGDWRGISRNFVTTRTPTQVASHAQKYFLRQNNPNKKKRRSSLFDVVINDKAPSTEPSNEVKEATSSNPLPEENCLVGIVPSPATQTTSQVFECPASLPLVMNPIDIPNFSASNVMVIQSHNIVTKHYMLFVSLCTNSNSQVFI >DRNTG_12503.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29942537:29943766:1 gene:DRNTG_12503 transcript:DRNTG_12503.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIESTLPPGFRFHPSDEELVCHYLYKKVANKMVSNEGTMVEVNLHSLEPWELPEVAKLNAKEWYFFSFRDRKYATGLRTNRATKTGYWKATGKDRIVYHPSTKSIVGMRKTLVFYSGRAPNGLKTCWVMHEFRLDTPNSPPKEDWVLCRVFHKRKEERVSVINDQEDYSTSSLLTDQPLILDNCFDLLGSSCTSVQHQEDMIISDNPLLNMALMHYNFIDYPQDDDTSQMIEIGSRWKDDHCVLLFDEEIRP >DRNTG_01637.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18785755:18786736:-1 gene:DRNTG_01637 transcript:DRNTG_01637.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYGSPFLTDELSKRTVVFGLHMWVVVGIGIGAAFVLVLFLISLWFTSKRSTNHHHLKTSTSIPNTCKEIPEVRIDPYPNPSSHPQSIPVPRPEPNPSSVSTERRALLNPSGEESPNSHQRFHISSGKGRSGDQQAIVVAPEVSHLGWGHWYTLRELEAATNMFSPENVIGEGGYGIVYHGVLQDNTHVAIKNLLNNRGQAEREFKVEVDAIGRVRHKNLVRLLGYCAEGAHR >DRNTG_01637.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18784299:18786736:-1 gene:DRNTG_01637 transcript:DRNTG_01637.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYGSPFLTDELSKRTVVFGLHMWVVVGIGIGAAFVLVLFLISLWFTSKRSTNHHHLKTSTSIPNTCKEIPEVRIDPYPNPSSHPQSIPVPRPEPNPSSVSTERRALLNPSGEESPNSHQRFHISSGKGRSGDQQAIVVAPEVSHLGWGHWYTLRELEAATNMFSPENVIGEGGYGIVYHGVLQDNTHVAIKNLLNNRGQAEREFKVEVDAIGRVRHKNLVRLLGYCAEGAHRMLVYEYVDNGNMDQWLHGGCRSM >DRNTG_10241.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:8073981:8075089:1 gene:DRNTG_10241 transcript:DRNTG_10241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARLRYLNGSMDFGVFRDGIGAEYCSKHYKRTFHLDQRVRSTSRKRIYHLESLEPIAILFLLRNMYRVRHA >DRNTG_04842.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31012807:31064278:-1 gene:DRNTG_04842 transcript:DRNTG_04842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLPEHQFDKPHRHIVFTTADGGNHVLEVPNGHRMHVSSGDSVNKNITFTCLNRSSTILEVLLVMLLMEDAMLLFFIYSTSPSSLHFRNTFLMMVFGSAGVIGNPMGFVRNVGVGIKDFLSVSSKGIVQRPSGLFTGIAQGSKSLLSNTIHAISSATTQFSKAAHKGIVAFTFDEHTTNMDGQRRGLDSDNKVRKYNLGLESYTSCFFRSVVMPRT >DRNTG_09745.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3181856:3184732:1 gene:DRNTG_09745 transcript:DRNTG_09745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEFKNRLRKDNQMHISPKNYSFENS >DRNTG_18124.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:483482:488080:-1 gene:DRNTG_18124 transcript:DRNTG_18124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFHGFLGRFSRLSLLVSLLILVVLWYDPSEAALVAPVVAPSTGWSSVHPRGSPVVLELPLPVSLPLVRGKHQKRLSPRVSPSPVPAPALPPDYGSLTTAGHPSSSSSRLSKPSMQRNQNSAPGTALVPSPSGEPAPSDSNSSAVPSGLAQPPFAPHSGCCGPNMVQRRGIKDCHCVYPVKVELFLGNVSLSSNWSNKFLDELASQLNLLVSQFEIDNFYVVGVSGLNITMDIAPHTGISFSADQVRAMNYSLVMHKVHIDPALVGDYKLINLTWFKPLAPTPAPTLAASPSASPHSVSSSHTPSNNTGDDKRSNLVIILAICAGGLVVVIISALIIYSCTSRKQKKVVTKGTVKTGTMDATSIETSLPHPTSTRFLPYEELKEATNNFESASMLGEGGFGRVFKGVLSDGTAVAIKKLTSGGHQGDKEFLVEVEMLSRLHHRNLVKLVGYYCSRESSQNLLCYELIPNGSLEAWLHGPLGANCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVSDFGLAKQAPEGRANYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPSGQENLVTWARPILRDKDRLEELADPRLTGKYPKEDFVRVCTIAAACVAPEANQRPTMGEVVQSLKMVQRVTEYQDTMPTPSARPNIRQSSTTYESDTSSMFSSGPFSGLSQFDTDNISRTQILSEDLHEGR >DRNTG_21799.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17452443:17454870:1 gene:DRNTG_21799 transcript:DRNTG_21799.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRRGEQQEQHPAVDDVMRLLSKSNHDLLIVQRHLESEFQRSYPDHVNPCKIVLRIKKIQEELVSLGEMCRALLAEKQDLIDKARANLVGQRSSLQQLLASSGLPLMSESDDEAYTNLNKVIDEWTLQVRAKT >DRNTG_21799.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17452443:17455300:1 gene:DRNTG_21799 transcript:DRNTG_21799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRRGEQQEQHPAVDDVMRLLSKSNHDLLIVQRHLESEFQRSYPDHVNPCKIVLRIKKIQEELVSLGEMCRALLAEKQDLIDKARANLVGQRSSLQQLLASSGLPLMSESDDEAYTNLNKVIDEWTLQVRAKTGDEQNAEEDINQMLFSAIVQNN >DRNTG_31919.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18986173:18990871:-1 gene:DRNTG_31919 transcript:DRNTG_31919.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRLEAVQAPGEQQPMAPVMSLIKHLQQVQPMVRSECEQQARSFKDLKRSSKSRIKDMFKDR >DRNTG_29627.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5973281:5980123:-1 gene:DRNTG_29627 transcript:DRNTG_29627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPERSKVVTPISKFEDSPVFNFINNLSPIQPVKSVNAAQTFHSLSYASITSIFTSPHVNPQKELRSLLRHSFSELPKHETSSDIDNGHGLCVGEAQAIRSSGCTVKCSLNEANLDPPDECPDVSSDLAKSEPCDGGSPNHNITPFYIARSDLKWDVSLDKRKGLFLTQVEHQDKHDSKPNEEELTQCGWENLIGDDADNLLIFDPSTEADAFDGPNENSQENDVKLCNSFPSSLQRDVADDVAEGLQLNVSFLPCPEEMNSVPDVDHIKEQVEMDQTPQIFLENFQNHSLTSDRNHKLDYGFTSGISIACKVEFQQQRGTRRRCLNFEVPGVPKMSLQSDSTSHNLISCPSDEEHPSVDSHPVPLRAGSPCMLPGIGLHLNTLAKTSRDKLLTRGAMLSGRRLISMPSSAGPLSPFTGQEHQDKSLSIQRDLELPGNEIQDLMIVQNDASEVPALTYGEDLRPISPKKKRRKSEIGSESDSCKRCNCKKSQCLKLYCECFAAGVFCVEPCSCQGCFNKPIHQEKVLTTRKQIESRNPIAFAPKVIRASESSCDTGEDTNKTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSVSCRCEGCKNAFGRKDGISLLGDEEFDQEEEKNACEETDKPDEGQQNANIQTDIPQFPENMSQSTPTQDFRPPQTLAPTVGDSSQLESCTTLRNCDIPLPQCGKFGSLGKSILGDDTPAILRGNRSLISGVKASSPNRKRVSPPHIGTRLTPNHKGGRKLILKSIPSFPSLNTDVNSENPMK >DRNTG_03963.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:19901011:19901295:1 gene:DRNTG_03963 transcript:DRNTG_03963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSQGADRIGVSLGSHRPIFILKLPCLVEKKRTKVRSLALLFSASDWDRSPARPFRRKLEHHSLYENILPIFGDKGRNDLSIYLLQPRTGVVV >DRNTG_28198.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19683695:19687600:1 gene:DRNTG_28198 transcript:DRNTG_28198.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Farnesylcysteine lyase [Source:Projected from Arabidopsis thaliana (AT5G63910) UniProtKB/Swiss-Prot;Acc:P57681] MAPQAFLLLIFASLLLSIPTSISTPPPCICVIGSGIAGSSLAHFIKHYTCSSPARTQCLDNIHVFERRGHPGGRMATVTIGGDVFEAGASIIHPKNLHALHFASLLNLPHKPKPASGDDDSWFGIWNGEKFIFKTLPPPPPSSSALYRKLYSLLNSLLIFKRYGFSLLKMNAFVKGMLEKFLGYYKGLDSRPVFATVEEMLQWSKLYELTQQTLQEALQKMGLSAQLISELVTVITRINYGQSVSISGLAGAVSLAGSDDGLWSVEGGNWRIAAGLLNHSDVNLYLNEEIISVSCTGGSYSLKSKAGNDHNCEITVIATPLDELDISFTPPVSIPSRRLQHTFTTFVRGLINPKFFGLKSASEVPDLVGTIESPDIPFSCISVLKKYTDEEETYKMFSRAPVTDDLLNQMFSGIKETIRIDWPAYPHYEAPEVFAPIILDGQHLYYINSFENAASAIETGAVAAENVARLIISRLANSHSDAQTIKAHTNDLESLHIDL >DRNTG_07483.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3986754:3989091:-1 gene:DRNTG_07483 transcript:DRNTG_07483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVIYLIGTTVILSEEGDLKVSADMGITYLSLAKDARVLIVAGPAMGMRAYQRHKQKTNAHLMALNLARGVTLIPKEKQTMFELLTPSSSPRNKWWRQ >DRNTG_15221.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23317408:23318197:1 gene:DRNTG_15221 transcript:DRNTG_15221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKGFILGKDAVNKAKSFDEKHQFTSTATAKVASFDKKIGLSEKVTMGASAVNEKVKEMDQKFQVSEKTKSAFAAAEQKMSSAGSAILKNRYVFTGASWVTGAFNKVTKAATDVGSKTKEKVLSEEQKGLEDEFSQVHLSDSKKPAAPGDEHPSKPAPAQGLIL >DRNTG_21093.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3970969:3971310:-1 gene:DRNTG_21093 transcript:DRNTG_21093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWAITEFSNHMTPNNLAIGRAAVRWATYYLLNAAVATLGKLYVGVGNPNADHQCWERPEDMDTSRIVYFVSPEKPGSNVAGETVAATLVFRVADKKFSTMLLSVAKKVILE >DRNTG_26491.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:659892:660747:1 gene:DRNTG_26491 transcript:DRNTG_26491.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSHDPMGHIPAREKQIKMTMPKSKSSEVNGRLHQDRRDQMDFRAV >DRNTG_26491.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:659892:660747:1 gene:DRNTG_26491 transcript:DRNTG_26491.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSHDPMGHIPAREKQIKMTMPKSKSSEVNGRLHQDRRDQMDFRAV >DRNTG_01986.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13294271:13295000:-1 gene:DRNTG_01986 transcript:DRNTG_01986.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g17950 [Source:Projected from Arabidopsis thaliana (AT3G17950) UniProtKB/Swiss-Prot;Acc:Q6DR24] MEAEPIPSSPTISSVSSSDLDTESTGSFFPDRSTSLGTLMGVSFPEPPVRLPSRRVPTGDAARRAAPPPAPHHRRLRHRRRRRWWRLCRDESAHTSLGEFLQVERRLAEASSGHGHFLYGGVAPEHEAVGGGPLFENGRVLPPQDARGQRARPGHPPPAGSAIRFPVLLTGICSGGEG >DRNTG_01986.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13291123:13295000:-1 gene:DRNTG_01986 transcript:DRNTG_01986.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g17950 [Source:Projected from Arabidopsis thaliana (AT3G17950) UniProtKB/Swiss-Prot;Acc:Q6DR24] MEAEPIPSSPTISSVSSSDLDTESTGSFFPDRSTSLGTLMGVSFPEPPVRLPSRRVPTGDAARRAAPPPAPHHRRLRHRRRRRWWRLCRDESAHTSLGEFLQVERRLAEASSGHGHFLYGGVAPEHEAVGGGPLFENGRVLPPQDARGQRARPGHPPPAGSAIRFPVLLTGICSGGEGVRVRVFGSLDG >DRNTG_21603.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1333489:1346736:1 gene:DRNTG_21603 transcript:DRNTG_21603.11 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLFNISLSSIGFSLHGRPDYLNSTVSFSLAAKSYNDKHDAWEPLIEPMDGFLRYQFDQNAPGEATQLRMTSTRDLNLNISVSNANMICQAYSSWINLGQIQEKIENKDAVSPSYMRRSVVDVHHRKNYYIIPVNMLGQDIFIRATEIKRISDIIKLPSGDNKLVKVPVSKNMLDSHMKGRLGIISRLMVTMIIGDGELPVGEGMTKGPITLAIRLFLIEPSDTLLQQQSARTCGSIYEHSSSGFALVNWGEAFFFKVDSLDNYMVEFIVTDLGRGEPVGFYSAPLKQIAQVLHPKSTLDNFHFEMTWVELSRMNLRECQSDVHKNHGRVRCAVLISPRAVKDGKQGSSHSSKPGFIQISPAKEGPWTSVRLNYAAPAACWRLGYDVIASEVTVKDGNRYVSIRSLVSITNNTDFVIELRLKSKSSKESISSNGGNKDSGSSESDQKTVGTEEFFEIEKYSPSLGWISCSQHLPVSSQSKPESSGGENQ >DRNTG_21603.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1331356:1349810:1 gene:DRNTG_21603 transcript:DRNTG_21603.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVVSDIFMNFSFSILRLILAVEEDILAFLRMASKKVSVVCSQFDKVGIIQDSQKDRTYAFWRPRAPSGFAVLGDCLTPLNEPPAKGVLAVNPSFVRVKRPISFRLIWPCSIRNTSGGNHGPTGDILKHDDYGQEEGFSVWFPIAPKGFVAVGCVVSSGREVPSLSSALCIMSSLVSPSTLKDCISLGLTEGSSENVAFWRVENSFGSFLPADPIHTNVTAKPYDFRPIISSYSNSSTHAARNSSSRENSQSHDRALMPEGSAFLNSGRLFEAVASFKLIWWNQGSASRRKLSVWRPIVPPGMVYLGDIAVQGYEPPNSSIVMHDTGDETFLKAPQDFQLVGHIKKQRGIESISFWLPQAPPGFVVLGCVASKGPPKHDDFSSLRCIRSDMVSGDQFPDESIWDASDTKVSSKPFSLWSVGNESGTFLVRNGFKKPPKRFALRLAAPNVTSGSDNTVIDAEIKTFSAAVLDDYGGLMVPLFNISLSSIGFSLHGRPDYLNSTVSFSLAAKSYNDKHDAWEPLIEPMDGFLRYQFDQNAPGEATQLRMTSTRDLNLNISVSNANMICQAYSSWINLGQIQEKIENKDAVSPSYMRRSVVDVHHRKNYYIIPVNMLGQDIFIRATEIKRISDIIKLPSGDNKLVKVPVSKNMLDSHMKGRLGIISRLMVTMIIGDGELPVGEGMTKGPITLAIRLFLIEPSDTLLQQQSARTCGSIYEHSSSGFALVNWGEAFFFKVDSLDNYMVEFIVTDLGRGEPVGFYSAPLKQIAQVLHPKSTLDNFHFEMTWVELSRMNLRECQSDVHKNHGRVRCAVLISPRAVKDGKQGSSHSSKPGFIQISPAKEGPWTSVRLNYAAPAACWRLGYDVIASEVTVKDGNRYVSIRSLVSITNNTDFVIELRLKSKSSKESISSNGGNKDSGSSESDQKTVGTEEFFEIEKYSPSLGWISCSQHLPVSSQSKPESSGGENQGISNIELPEGWEWTDDWHVDKSPGCKDDGWIYAPDTGHIMWPESAGLSNPANSARQRRWIRHRQYMPSNEKDQILIGLLKPGSCIPLPLSGLTQPYILEFRPKNDNGQNEYSWSVVLEKHCLSDFSLELENSDEIYLSMLTESVGLLYCSEISGSSSNNRKGLWFCLNTEATEIGKDLHSNPIHDWNLKIYSPLSITNFLPLSTEYAVIDQLPTEESVACSEDIIVPGETINIYNADPRDPLYLSVLPQGGWKMLHGPVPITHHGKMTSQMLTLQNSFSERIVQIIVEENFEKKHLIAKVIKIYVPFWIASARCPPLIYRFIDMPGKRMRRHFPVPFRANEGNEKVRWQIVKDELVGGFTIVSALNFRHLGFSASISQPGKEQFGPAKDLTPLCDMDGSIDLRAYDTEGNCFHIFVTSKPSPYPSVPTKVISIRPFMTFTNRLGENIYLKFSIGDQQKVLSPSDSTVSFIYHEGEQEKLQVMFQSPH >DRNTG_21603.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1332357:1349810:1 gene:DRNTG_21603 transcript:DRNTG_21603.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGSAFLNSGRLFEAVASFKLIWWNQGSASRRKLSVWRPIVPPGMVYLGDIAVQGYEPPNSSIVMHDTGDETFLKAPQDFQLVGHIKKQRGIESISFWLPQAPPGFVVLGCVASKGPPKHDDFSSLRCIRSDMVSGDQFPDESIWDASDTKVSSKPFSLWSVGNESGTFLVRNGFKKPPKRFALRLAAPNVTSGSDNTVIDAEIKTFSAAVLDDYGGLMVPLFNISLSSIGFSLHGRPDYLNSTVSFSLAAKSYNDKHDAWEPLIEPMDGFLRYQFDQNAPGEATQLRMTSTRDLNLNISVSNANMICQAYSSWINLGQIQEKIENKDAVSPSYMRRSVVDVHHRKNYYIIPVNMLGQDIFIRATEIKRISDIIKLPSGDNKLVKVPVSKNMLDSHMKGRLGIISRLMVTMIIGDGELPVGEGMTKGPITLAIRLFLIEPSDTLLQQQSARTCGSIYEHSSSGFALVNWGEAFFFKVDSLDNYMVEFIVTDLGRGEPVGFYSAPLKQIAQVLHPKSTLDNFHFEMTWVELSRMNLRECQSDVHKNHGRVRCAVLISPRAVKDGKQGSSHSSKPGFIQISPAKEGPWTSVRLNYAAPAACWRLGYDVIASEVTVKDGNRYVSIRSLVSITNNTDFVIELRLKSKSSKESISSNGGNKDSGSSESDQKTVGTEEFFEIEKYSPSLGWISCSQHLPVSSQSKPESSGGENQGISNIELPEGWEWTDDWHVDKSPGCKDDGWIYAPDTGHIMWPESAGLSNPANSARQRRWIRHRQYMPSNEKDQILIGLLKPGSCIPLPLSGLTQPYILEFRPKNDNGQNEYSWSVVLEKHCLSDFSLELENSDEIYLSMLTESVGLLYCSEISGSSSNNRKGLWFCLNTEATEIGKDLHSNPIHDWNLKIYSPLSITNFLPLSTEYAVIDQLPTEESVACSEDIIVPGETINIYNADPRDPLYLSVLPQGGWKMLHGPVPITHHGKMTSQMLTLQNSFSERIVQIIVEENFEKKHLIAKVIKIYVPFWIASARCPPLIYRFIDMPGKRMRRHFPVPFRANEGNEKVRWQIVKDELVGGFTIVSALNFRHLGFSASISQPGKEQFGPAKDLTPLCDMDGSIDLRAYDTEGNCFHIFVTSKPSPYPSVPTKVISIRPFMTFTNRLGENIYLKFSIGDQQKVLSPSDSTVSFIYHEGEQEKLQVMFQSPH >DRNTG_21603.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1331751:1349810:1 gene:DRNTG_21603 transcript:DRNTG_21603.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVSPSTLKDCISLGLTEGSSENVAFWRVENSFGSFLPADPIHTNVTAKPYDFRPIISSYSNSSTHAARNSSSRENSQSHDRALMPEGSAFLNSGRLFEAVASFKLIWWNQGSASRRKLSVWRPIVPPGMVYLGDIAVQGYEPPNSSIVMHDTGDETFLKAPQDFQLVGHIKKQRGIESISFWLPQAPPGFVVLGCVASKGPPKHDDFSSLRCIRSDMVSGDQFPDESIWDASDTKVSSKPFSLWSVGNESGTFLVRNGFKKPPKRFALRLAAPNVTSGSDNTVIDAEIKTFSAAVLDDYGGLMVPLFNISLSSIGFSLHGRPDYLNSTVSFSLAAKSYNDKHDAWEPLIEPMDGFLRYQFDQNAPGEATQLRMTSTRDLNLNISVSNANMICQAYSSWINLGQIQEKIENKDAVSPSYMRRSVVDVHHRKNYYIIPVNMLGQDIFIRATEIKRISDIIKLPSGDNKLVKVPVSKNMLDSHMKGRLGIISRLMVTMIIGDGELPVGEGMTKGPITLAIRLFLIEPSDTLLQQQSARTCGSIYEHSSSGFALVNWGEAFFFKVDSLDNYMVEFIVTDLGRGEPVGFYSAPLKQIAQVLHPKSTLDNFHFEMTWVELSRMNLRECQSDVHKNHGRVRCAVLISPRAVKDGKQGSSHSSKPGFIQISPAKEGPWTSVRLNYAAPAACWRLGYDVIASEVTVKDGNRYVSIRSLVSITNNTDFVIELRLKSKSSKESISSNGGNKDSGSSESDQKTVGTEEFFEIEKYSPSLGWISCSQHLPVSSQSKPESSGGENQGISNIELPEGWEWTDDWHVDKSPGCKDDGWIYAPDTGHIMWPESAGLSNPANSARQRRWIRHRQYMPSNEKDQILIGLLKPGSCIPLPLSGLTQPYILEFRPKNDNGQNEYSWSVVLEKHCLSDFSLELENSDEIYLSMLTESVGLLYCSEISGSSSNNRKGLWFCLNTEATEIGKDLHSNPIHDWNLKIYSPLSITNFLPLSTEYAVIDQLPTEESVACSEDIIVPGETINIYNADPRDPLYLSVLPQGGWKMLHGPVPITHHGKMTSQMLTLQNSFSERIVQIIVEENFEKKHLIAKVIKIYVPFWIASARCPPLIYRFIDMPGKRMRRHFPVPFRANEGNEKVRWQIVKDELVGGFTIVSALNFRHLGFSASISQPGKEQFGPAKDLTPLCDMDGSIDLRAYDTEGNCFHIFVTSKPSPYPSVPTKVISIRPFMTFTNRLGENIYLKFSIGDQQKVLSPSDSTVSFIYHEGEQEKLQVMFQSPH >DRNTG_21603.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1324754:1349810:1 gene:DRNTG_21603 transcript:DRNTG_21603.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPGGSSFVELDFSSFNAADEDYSGYEYSLNGQLSEVRIVYLNRFVQEVASYFMGLAPSNVESVVKLKDHISNSEKWVTKSEIEGSPALKLDLSLTNPIILMPRRTDSSDYLKLDVLHITIQNTFHWLGGSKNDMNAVHMEILTIKVKDINLSVGFDSVSGENIIQDVKGLSVIVQRSLRDMLHLIPTTEADIKVEELKASLSNKEYEIITECALANLSETPHVVPPLGKIDDTSDSLLEHPVSLVTSSDKSDTQLRTWVVMKVSVSVNLAELSLHSGITRDSPLATVQASGAWLLYKSNSSGESYLFATLRGFSVIDDREGTKEESKLAIGKSGSITFESLFYDGDDIQRLNHSREKDALEYFGFEPIPSMLILDILFRKSSIDISLSIQRPKLLVALDFLLAITEFFTPSLRATLSNEEDDLLYIAGAIILDQPVYFQPSPEFSISPQKPLIADDERFDHFIYDGKGGRLYLRDREGKVLSVPRLETVIYIGNGKKLQFKNVTIVDGAYLDCSVFLGGNSSYSVSEGDHVYFEHENGASLHSHNEIMDGGITENNVVEKFTDLNLELKAIGVELTFYSTSKDVKESLLLSNKVMHANLDVLSRIVLKSDSFEISGNVLELKVESNGIRVLEPFDTSVKFSNASEKTNMHLVVSDIFMNFSFSILRLILAVEEDILAFLRMASKKVSVVCSQFDKVGIIQDSQKDRTYAFWRPRAPSGFAVLGDCLTPLNEPPAKGVLAVNPSFVRVKRPISFRLIWPCSIRNTSGGNHGPTGDILKHDDYGQEEGFSVWFPIAPKGFVAVGCVVSSGREVPSLSSALCIMSSLVSPSTLKDCISLGLTEGSSENVAFWRVENSFGSFLPADPIHTNVTAKPYDFRPIISSYSNSSTHAARNSSSRENSQSHDRALMPEGSAFLNSGRLFEAVASFKLIWWNQGSASRRKLSVWRPIVPPGMVYLGDIAVQGYEPPNSSIVMHDTGDETFLKAPQDFQLVGHIKKQRGIESISFWLPQAPPGFVVLGCVASKGPPKHDDFSSLRCIRSDMVSGDQFPDESIWDASDTKVSSKPFSLWSVGNESGTFLVRNGFKKPPKRFALRLAAPNVTSGSDNTVIDAEIKTFSAAVLDDYGGLMVPLFNISLSSIGFSLHGRPDYLNSTVSFSLAAKSYNDKHDAWEPLIEPMDGFLRYQFDQNAPGEATQLRMTSTRDLNLNISVSNANMICQAYSSWINLGQIQEKIENKDAVSPSYMRRSVVDVHHRKNYYIIPVNMLGQDIFIRATEIKRISDIIKLPSGDNKLVKVPVSKNMLDSHMKGRLGIISRLMVTMIIGDGELPVGEGMTKGPITLAIRLFLIEPSDTLLQQQSARTCGSIYEHSSSGFALVNWGEAFFFKVDSLDNYMVEFIVTDLGRGEPVGFYSAPLKQIAQVLHPKSTLDNFHFEMTWVELSRMNLRECQSDVHKNHGRVRCAVLISPRAVKDGKQGSSHSSKPGFIQISPAKEGPWTSVRLNYAAPAACWRLGYDVIASEVTVKDGNRYVSIRSLVSITNNTDFVIELRLKSKSSKESISSNGGNKDSGSSESDQKTVGTEEFFEIEKYSPSLGWISCSQHLPVSSQSKPESSGGENQGISNIELPEGWEWTDDWHVDKSPGCKDDGWIYAPDTGHIMWPESAGLSNPANSARQRRWIRHRQYMPSNEKDQILIGLLKPGSCIPLPLSGLTQPYILEFRPKNDNGQNEYSWSVVLEKHCLSDFSLELENSDEIYLSMLTESVGLLYCSEISGSSSNNRKGLWFCLNTEATEIGKDLHSNPIHDWNLKIYSPLSITNFLPLSTEYAVIDQLPTEESVACSEDIIVPGETINIYNADPRDPLYLSVLPQGGWKMLHGPVPITHHGKMTSQMLTLQNSFSERIVQIIVEENFEKKHLIAKVIKIYVPFWIASARCPPLIYRFIDMPGKRMRRHFPVPFRANEGNEKVRWQIVKDELVGGFTIVSALNFRHLGFSASISQPGKEQFGPAKDLTPLCDMDGSIDLRAYDTEGNCFHIFVTSKPSPYPSVPTKVISIRPFMTFTNRLGENIYLKFSIGDQQKVLSPSDSTVSFIYHEGEQEKLQVMFQSPH >DRNTG_21603.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1323579:1349810:1 gene:DRNTG_21603 transcript:DRNTG_21603.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPGGSSFVELDFSSFNAADEDYSGYEYSLNGQLSEVRIVYLNRFVQEVASYFMGLAPSNVESVVKLKDHISNSEKWVTKSEIEGSPALKLDLSLTNPIILMPRRTDSSDYLKLDVLHITIQNTFHWLGGSKNDMNAVHMEILTIKVKDINLSVGFDSVSGENIIQDVKGLSVIVQRSLRDMLHLIPTTEADIKVEELKASLSNKEYEIITECALANLSETPHVVPPLGKIDDTSDSLLEHPVSLVTSSDKSDTQLRTWVVMKVSVSVNLAELSLHSGITRDSPLATVQASGAWLLYKSNSSGESYLFATLRGFSVIDDREGTKEESKLAIGKSGSITFESLFYDGDDIQRLNHSREKDALEYFGFEPIPSMLILDILFRKSSIDISLSIQRPKLLVALDFLLAITEFFTPSLRATLSNEEDDLLYIAGAIILDQPVYFQPSPEFSISPQKPLIADDERFDHFIYDGKGGRLYLRDREGKVLSVPRLETVIYIGNGKKLQFKNVTIVDGAYLDCSVFLGGNSSYSVSEGDHVYFEHENGASLHSHNEIMDGGITENNVVEKFTDLNLELKAIGVELTFYSTSKDVKESLLLSNKVMHANLDVLSRIVLKSDSFEISGNVLELKVESNGIRVLEPFDTSVKFSNASEKTNMHLVVSDIFMNFSFSILRLILAVEEDILAFLRMASKKVSVVCSQFDKVGIIQDSQKDRTYAFWRPRAPSGFAVLGDCLTPLNEPPAKGVLAVNPSFVRVKRPISFRLIWPCSIRNTSGGNHGPTGDILKHDDYGQEEGFSVWFPIAPKGFVAVGCVVSSGREVPSLSSALCIMSSLVSPSTLKDCISLGLTEGSSENVAFWRVENSFGSFLPADPIHTNVTAKPYDFRPIISSYSNSSTHAARNSSSRENSQSHDRALMPEGSAFLNSGRLFEAVASFKLIWWNQGSASRRKLSVWRPIVPPGMVYLGDIAVQGYEPPNSSIVMHDTGDETFLKAPQDFQLVGHIKKQRGIESISFWLPQAPPGFVVLGCVASKGPPKHDDFSSLRCIRSDMVSGDQFPDESIWDASDTKVSSKPFSLWSVGNESGTFLVRNGFKKPPKRFALRLAAPNVTSGSDNTVIDAEIKTFSAAVLDDYGGLMVPLFNISLSSIGFSLHGRPDYLNSTVSFSLAAKSYNDKHDAWEPLIEPMDGFLRYQFDQNAPGEATQLRMTSTRDLNLNISVSNANMICQAYSSWINLGQIQEKIENKDAVSPSYMRRSVVDVHHRKNYYIIPVNMLGQDIFIRATEIKRISDIIKLPSGDNKLVKVPVSKNMLDSHMKGRLGIISRLMVTMIIGDGELPVGEGMTKGPITLAIRLFLIEPSDTLLQQQSARTCGSIYEHSSSGFALVNWGEAFFFKVDSLDNYMVEFIVTDLGRGEPVGFYSAPLKQIAQVLHPKSTLDNFHFEMTWVELSRMNLRECQSDVHKNHGRVRCAVLISPRAVKDGKQGSSHSSKPGFIQISPAKEGPWTSVRLNYAAPAACWRLGYDVIASEVTVKDGNRYVSIRSLVSITNNTDFVIELRLKSKSSKESISSNGGNKDSGSSESDQKTVGTEEFFEIEKYSPSLGWISCSQHLPVSSQSKPESSGGENQGISNIELPEGWEWTDDWHVDKSPGCKDDGWIYAPDTGHIMWPESAGLSNPANSARQRRWIRHRQYMPSNEKDQILIGLLKPGSCIPLPLSGLTQPYILEFRPKNDNGQNEYSWSVVLEKHCLSDFSLELENSDEIYLSMLTESVGLLYCSEISGSSSNNRKGLWFCLNTEATEIGKDLHSNPIHDWNLKIYSPLSITNFLPLSTEYAVIDQLPTEESVACSEDIIVPGETINIYNADPRDPLYLSVLPQGGWKMLHGPVPITHHGKMTSQMLTLQNSFSERIVQIIVEENFEKKHLIAKVIKIYVPFWIASARCPPLIYRFIDMPGKRMRRHFPVPFRANEGNEKVRWQIVKDELVGGFTIVSALNFRHLGFSASISQPGKEQFGPAKDLTPLCDMDGSIDLRAYDTEGNCFHIFVTSKPSPYPSVPTKVISIRPFMTFTNRLGENIYLKFSIGDQQKVLSPSDSTVSFIYHEGEQEKLQVMFQSPH >DRNTG_21603.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1328063:1349810:1 gene:DRNTG_21603 transcript:DRNTG_21603.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSVSVNLAELSLHSGITRDSPLATVQASGAWLLYKSNSSGESYLFATLRGFSVIDDREGTKEESKLAIGKSGSITFESLFYDGDDIQRLNHSREKDALEYFGFEPIPSMLILDILFRKSSIDISLSIQRPKLLVALDFLLAITEFFTPSLRATLSNEEDDLLYIAGAIILDQPVYFQPSPEFSISPQKPLIADDERFDHFIYDGKGGRLYLRDREGKVLSVPRLETVIYIGNGKKLQFKNVTIVDGAYLDCSVFLGGNSSYSVSEGDHVYFEHENGASLHSHNEIMDGGITENNVVEKFTDLNLELKAIGVELTFYSTSKDVKESLLLSNKVMHANLDVLSRIVLKSDSFEISGNVLELKVESNGIRVLEPFDTSVKFSNASEKTNMHLVVSDIFMNFSFSILRLILAVEEDILAFLRMASKKVSVVCSQFDKVGIIQDSQKDRTYAFWRPRAPSGFAVLGDCLTPLNEPPAKGVLAVNPSFVRVKRPISFRLIWPCSIRNTSGGNHGPTGDILKHDDYGQEEGFSVWFPIAPKGFVAVGCVVSSGREVPSLSSALCIMSSLVSPSTLKDCISLGLTEGSSENVAFWRVENSFGSFLPADPIHTNVTAKPYDFRPIISSYSNSSTHAARNSSSRENSQSHDRALMPEGSAFLNSGRLFEAVASFKLIWWNQGSASRRKLSVWRPIVPPGMVYLGDIAVQGYEPPNSSIVMHDTGDETFLKAPQDFQLVGHIKKQRGIESISFWLPQAPPGFVVLGCVASKGPPKHDDFSSLRCIRSDMVSGDQFPDESIWDASDTKVSSKPFSLWSVGNESGTFLVRNGFKKPPKRFALRLAAPNVTSGSDNTVIDAEIKTFSAAVLDDYGGLMVPLFNISLSSIGFSLHGRPDYLNSTVSFSLAAKSYNDKHDAWEPLIEPMDGFLRYQFDQNAPGEATQLRMTSTRDLNLNISVSNANMICQAYSSWINLGQIQEKIENKDAVSPSYMRRSVVDVHHRKNYYIIPVNMLGQDIFIRATEIKRISDIIKLPSGDNKLVKVPVSKNMLDSHMKGRLGIISRLMVTMIIGDGELPVGEGMTKGPITLAIRLFLIEPSDTLLQQQSARTCGSIYEHSSSGFALVNWGEAFFFKVDSLDNYMVEFIVTDLGRGEPVGFYSAPLKQIAQVLHPKSTLDNFHFEMTWVELSRMNLRECQSDVHKNHGRVRCAVLISPRAVKDGKQGSSHSSKPGFIQISPAKEGPWTSVRLNYAAPAACWRLGYDVIASEVTVKDGNRYVSIRSLVSITNNTDFVIELRLKSKSSKESISSNGGNKDSGSSESDQKTVGTEEFFEIEKYSPSLGWISCSQHLPVSSQSKPESSGGENQGISNIELPEGWEWTDDWHVDKSPGCKDDGWIYAPDTGHIMWPESAGLSNPANSARQRRWIRHRQYMPSNEKDQILIGLLKPGSCIPLPLSGLTQPYILEFRPKNDNGQNEYSWSVVLEKHCLSDFSLELENSDEIYLSMLTESVGLLYCSEISGSSSNNRKGLWFCLNTEATEIGKDLHSNPIHDWNLKIYSPLSITNFLPLSTEYAVIDQLPTEESVACSEDIIVPGETINIYNADPRDPLYLSVLPQGGWKMLHGPVPITHHGKMTSQMLTLQNSFSERIVQIIVEENFEKKHLIAKVIKIYVPFWIASARCPPLIYRFIDMPGKRMRRHFPVPFRANEGNEKVRWQIVKDELVGGFTIVSALNFRHLGFSASISQPGKEQFGPAKDLTPLCDMDGSIDLRAYDTEGNCFHIFVTSKPSPYPSVPTKVISIRPFMTFTNRLGENIYLKFSIGDQQKVLSPSDSTVSFIYHEGEQEKLQVMFQSPH >DRNTG_21603.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1329296:1349810:1 gene:DRNTG_21603 transcript:DRNTG_21603.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLILDILFRKSSIDISLSIQRPKLLVALDFLLAITEFFTPSLRATLSNEEDDLLYIAGAIILDQPVYFQPSPEFSISPQKPLIADDERFDHFIYDGKGGRLYLRDREGKVLSVPRLETVIYIGNGKKLQFKNVTIVDGAYLDCSVFLGGNSSYSVSEGDHVYFEHENGASLHSHNEIMDGGITENNVVEKFTDLNLELKAIGVELTFYSTSKDVKESLLLSNKVMHANLDVLSRIVLKSDSFEISGNVLELKVESNGIRVLEPFDTSVKFSNASEKTNMHLVVSDIFMNFSFSILRLILAVEEDILAFLRMASKKVSVVCSQFDKVGIIQDSQKDRTYAFWRPRAPSGFAVLGDCLTPLNEPPAKGVLAVNPSFVRVKRPISFRLIWPCSIRNTSGGNHGPTGDILKHDDYGQEEGFSVWFPIAPKGFVAVGCVVSSGREVPSLSSALCIMSSLVSPSTLKDCISLGLTEGSSENVAFWRVENSFGSFLPADPIHTNVTAKPYDFRPIISSYSNSSTHAARNSSSRENSQSHDRALMPEGSAFLNSGRLFEAVASFKLIWWNQGSASRRKLSVWRPIVPPGMVYLGDIAVQGYEPPNSSIVMHDTGDETFLKAPQDFQLVGHIKKQRGIESISFWLPQAPPGFVVLGCVASKGPPKHDDFSSLRCIRSDMVSGDQFPDESIWDASDTKVSSKPFSLWSVGNESGTFLVRNGFKKPPKRFALRLAAPNVTSGSDNTVIDAEIKTFSAAVLDDYGGLMVPLFNISLSSIGFSLHGRPDYLNSTVSFSLAAKSYNDKHDAWEPLIEPMDGFLRYQFDQNAPGEATQLRMTSTRDLNLNISVSNANMICQAYSSWINLGQIQEKIENKDAVSPSYMRRSVVDVHHRKNYYIIPVNMLGQDIFIRATEIKRISDIIKLPSGDNKLVKVPVSKNMLDSHMKGRLGIISRLMVTMIIGDGELPVGEGMTKGPITLAIRLFLIEPSDTLLQQQSARTCGSIYEHSSSGFALVNWGEAFFFKVDSLDNYMVEFIVTDLGRGEPVGFYSAPLKQIAQVLHPKSTLDNFHFEMTWVELSRMNLRECQSDVHKNHGRVRCAVLISPRAVKDGKQGSSHSSKPGFIQISPAKEGPWTSVRLNYAAPAACWRLGYDVIASEVTVKDGNRYVSIRSLVSITNNTDFVIELRLKSKSSKESISSNGGNKDSGSSESDQKTVGTEEFFEIEKYSPSLGWISCSQHLPVSSQSKPESSGGENQGISNIELPEGWEWTDDWHVDKSPGCKDDGWIYAPDTGHIMWPESAGLSNPANSARQRRWIRHRQYMPSNEKDQILIGLLKPGSCIPLPLSGLTQPYILEFRPKNDNGQNEYSWSVVLEKHCLSDFSLELENSDEIYLSMLTESVGLLYCSEISGSSSNNRKGLWFCLNTEATEIGKDLHSNPIHDWNLKIYSPLSITNFLPLSTEYAVIDQLPTEESVACSEDIIVPGETINIYNADPRDPLYLSVLPQGGWKMLHGPVPITHHGKMTSQMLTLQNSFSERIVQIIVEENFEKKHLIAKVIKIYVPFWIASARCPPLIYRFIDMPGKRMRRHFPVPFRANEGNEKVRWQIVKDELVGGFTIVSALNFRHLGFSASISQPGKEQFGPAKDLTPLCDMDGSIDLRAYDTEGNCFHIFVTSKPSPYPSVPTKVISIRPFMTFTNRLGENIYLKFSIGDQQKVLSPSDSTVSFIYHEGEQEKLQVMFQSPH >DRNTG_21603.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1330393:1349810:1 gene:DRNTG_21603 transcript:DRNTG_21603.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHANLDVLSRIVLKSDSFEISGNVLELKVESNGIRVLEPFDTSVKFSNASEKTNMHLVVSDIFMNFSFSILRLILAVEEDILAFLRMASKKVSVVCSQFDKVGIIQDSQKDRTYAFWRPRAPSGFAVLGDCLTPLNEPPAKGVLAVNPSFVRVKRPISFRLIWPCSIRNTSGGNHGPTGDILKHDDYGQEEGFSVWFPIAPKGFVAVGCVVSSGREVPSLSSALCIMSSLVSPSTLKDCISLGLTEGSSENVAFWRVENSFGSFLPADPIHTNVTAKPYDFRPIISSYSNSSTHAARNSSSRENSQSHDRALMPEGSAFLNSGRLFEAVASFKLIWWNQGSASRRKLSVWRPIVPPGMVYLGDIAVQGYEPPNSSIVMHDTGDETFLKAPQDFQLVGHIKKQRGIESISFWLPQAPPGFVVLGCVASKGPPKHDDFSSLRCIRSDMVSGDQFPDESIWDASDTKVSSKPFSLWSVGNESGTFLVRNGFKKPPKRFALRLAAPNVTSGSDNTVIDAEIKTFSAAVLDDYGGLMVPLFNISLSSIGFSLHGRPDYLNSTVSFSLAAKSYNDKHDAWEPLIEPMDGFLRYQFDQNAPGEATQLRMTSTRDLNLNISVSNANMICQAYSSWINLGQIQEKIENKDAVSPSYMRRSVVDVHHRKNYYIIPVNMLGQDIFIRATEIKRISDIIKLPSGDNKLVKVPVSKNMLDSHMKGRLGIISRLMVTMIIGDGELPVGEGMTKGPITLAIRLFLIEPSDTLLQQQSARTCGSIYEHSSSGFALVNWGEAFFFKVDSLDNYMVEFIVTDLGRGEPVGFYSAPLKQIAQVLHPKSTLDNFHFEMTWVELSRMNLRECQSDVHKNHGRVRCAVLISPRAVKDGKQGSSHSSKPGFIQISPAKEGPWTSVRLNYAAPAACWRLGYDVIASEVTVKDGNRYVSIRSLVSITNNTDFVIELRLKSKSSKESISSNGGNKDSGSSESDQKTVGTEEFFEIEKYSPSLGWISCSQHLPVSSQSKPESSGGENQGISNIELPEGWEWTDDWHVDKSPGCKDDGWIYAPDTGHIMWPESAGLSNPANSARQRRWIRHRQYMPSNEKDQILIGLLKPGSCIPLPLSGLTQPYILEFRPKNDNGQNEYSWSVVLEKHCLSDFSLELENSDEIYLSMLTESVGLLYCSEISGSSSNNRKGLWFCLNTEATEIGKDLHSNPIHDWNLKIYSPLSITNFLPLSTEYAVIDQLPTEESVACSEDIIVPGETINIYNADPRDPLYLSVLPQGGWKMLHGPVPITHHGKMTSQMLTLQNSFSERIVQIIVEENFEKKHLIAKVIKIYVPFWIASARCPPLIYRFIDMPGKRMRRHFPVPFRANEGNEKVRWQIVKDELVGGFTIVSALNFRHLGFSASISQPGKEQFGPAKDLTPLCDMDGSIDLRAYDTEGNCFHIFVTSKPSPYPSVPTKVISIRPFMTFTNRLGENIYLKFSIGDQQKVLSPSDSTVSFIYHEGEQEKLQVMFQSPH >DRNTG_21603.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1333954:1349810:1 gene:DRNTG_21603 transcript:DRNTG_21603.10 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTRDLNLNISVSNANMICQAYSSWINLGQIQEKIENKDAVSPSYMRRSVVDVHHRKNYYIIPVNMLGQDIFIRATEIKRISDIIKLPSGDNKLVKVPVSKNMLDSHMKGRLGIISRLMVTMIIGDGELPVGEGMTKGPITLAIRLFLIEPSDTLLQQQSARTCGSIYEHSSSGFALVNWGEAFFFKVDSLDNYMVEFIVTDLGRGEPVGFYSAPLKQIAQVLHPKSTLDNFHFEMTWVELSRMNLRECQSDVHKNHGRVRCAVLISPRAVKDGKQGSSHSSKPGFIQISPAKEGPWTSVRLNYAAPAACWRLGYDVIASEVTVKDGNRYVSIRSLVSITNNTDFVIELRLKSKSSKESISSNGGNKDSGSSESDQKTVGTEEFFEIEKYSPSLGWISCSQHLPVSSQSKPESSGGENQGISNIELPEGWEWTDDWHVDKSPGCKDDGWIYAPDTGHIMWPESAGLSNPANSARQRRWIRHRQYMPSNEKDQILIGLLKPGSCIPLPLSGLTQPYILEFRPKNDNGQNEYSWSVVLEKHCLSDFSLELENSDEIYLSMLTESVGLLYCSEISGSSSNNRKGLWFCLNTEATEIGKDLHSNPIHDWNLKIYSPLSITNFLPLSTEYAVIDQLPTEESVACSEDIIVPGETINIYNADPRDPLYLSVLPQGGWKMLHGPVPITHHGKMTSQMLTLQNSFSERIVQIIVEENFEKKHLIAKVIKIYVPFWIASARCPPLIYRFIDMPGKRMRRHFPVPFRANEGNEKVRWQIVKDELVGGFTIVSALNFRHLGFSASISQPGKEQFGPAKDLTPLCDMDGSIDLRAYDTEGNCFHIFVTSKPSPYPSVPTKVISIRPFMTFTNRLGENIYLKFSIGDQQKVLSPSDSTVSFIYHEGEQEKLQVMFQSPH >DRNTG_21603.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1323409:1324698:1 gene:DRNTG_21603 transcript:DRNTG_21603.15 gene_biotype:protein_coding transcript_biotype:protein_coding MRCDSDESRRSWQNRLQAAIYRASGSSAITGPPDKSFSVGTSETKSSDKIMMRSLSVEKLFVTGVLDELRICFSCSYQVALKINTLNQ >DRNTG_21603.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1323409:1349810:1 gene:DRNTG_21603 transcript:DRNTG_21603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCDSDESRRSWQNRLQAAIYRASGSSAITGPPDKSFSVGTSETKSSDKIMMRSLSVEKLFVTGVLDELRICFSCSYQRNQSLQKILLDKESPLFEFRAKGGQVELLMKANNILIGTILKSLEIEDQFGCAQTTTCRYLARSFINSTEATASASYPSSVGTAALGVGGDEAEQNDGEDDKFFEATDDVNDLVEQPFQRQGSMPEYFAAESSFPSKIFFIDPPSFSRIAGLIPDPGLEDRSNNLKENDALDSFVKAQIIIYGQDSPLYNNIDNQVLVALSMLTFFCYRPTIIAIMEFVDAINISQDSHDTDSFADNSSTVITESFAKVDTSGDHDFVVQEPVVKGLLGKGKNRVIFFMTLNMTTAEIVLMNEDGTSLATLLQNNLLTDIKVFPASFNLKASLGNLKISDDSLPVGHSYFWVCHMRNPGGSSFVELDFSSFNAADEDYSGYEYSLNGQLSEVRIVYLNRFVQEVASYFMGLAPSNVESVVKLKDHISNSEKWVTKSEIEGSPALKLDLSLTNPIILMPRRTDSSDYLKLDVLHITIQNTFHWLGGSKNDMNAVHMEILTIKVKDINLSVGFDSVSGENIIQDVKGLSVIVQRSLRDMLHLIPTTEADIKVEELKASLSNKEYEIITECALANLSETPHVVPPLGKIDDTSDSLLEHPVSLVTSSDKSDTQLRTWVVMKVSVSVNLAELSLHSGITRDSPLATVQASGAWLLYKSNSSGESYLFATLRGFSVIDDREGTKEESKLAIGKSGSITFESLFYDGDDIQRLNHSREKDALEYFGFEPIPSMLILDILFRKSSIDISLSIQRPKLLVALDFLLAITEFFTPSLRATLSNEEDDLLYIAGAIILDQPVYFQPSPEFSISPQKPLIADDERFDHFIYDGKGGRLYLRDREGKVLSVPRLETVIYIGNGKKLQFKNVTIVDGAYLDCSVFLGGNSSYSVSEGDHVYFEHENGASLHSHNEIMDGGITENNVVEKFTDLNLELKAIGVELTFYSTSKDVKESLLLSNKVMHANLDVLSRIVLKSDSFEISGNVLELKVESNGIRVLEPFDTSVKFSNASEKTNMHLVVSDIFMNFSFSILRLILAVEEDILAFLRMASKKVSVVCSQFDKVGIIQDSQKDRTYAFWRPRAPSGFAVLGDCLTPLNEPPAKGVLAVNPSFVRVKRPISFRLIWPCSIRNTSGGNHGPTGDILKHDDYGQEEGFSVWFPIAPKGFVAVGCVVSSGREVPSLSSALCIMSSLVSPSTLKDCISLGLTEGSSENVAFWRVENSFGSFLPADPIHTNVTAKPYDFRPIISSYSNSSTHAARNSSSRENSQSHDRALMPEGSAFLNSGRLFEAVASFKLIWWNQGSASRRKLSVWRPIVPPGMVYLGDIAVQGYEPPNSSIVMHDTGDETFLKAPQDFQLVGHIKKQRGIESISFWLPQAPPGFVVLGCVASKGPPKHDDFSSLRCIRSDMVSGDQFPDESIWDASDTKVSSKPFSLWSVGNESGTFLVRNGFKKPPKRFALRLAAPNVTSGSDNTVIDAEIKTFSAAVLDDYGGLMVPLFNISLSSIGFSLHGRPDYLNSTVSFSLAAKSYNDKHDAWEPLIEPMDGFLRYQFDQNAPGEATQLRMTSTRDLNLNISVSNANMICQAYSSWINLGQIQEKIENKDAVSPSYMRRSVVDVHHRKNYYIIPVNMLGQDIFIRATEIKRISDIIKLPSGDNKLVKVPVSKNMLDSHMKGRLGIISRLMVTMIIGDGELPVGEGMTKGPITLAIRLFLIEPSDTLLQQQSARTCGSIYEHSSSGFALVNWGEAFFFKVDSLDNYMVEFIVTDLGRGEPVGFYSAPLKQIAQVLHPKSTLDNFHFEMTWVELSRMNLRECQSDVHKNHGRVRCAVLISPRAVKDGKQGSSHSSKPGFIQISPAKEGPWTSVRLNYAAPAACWRLGYDVIASEVTVKDGNRYVSIRSLVSITNNTDFVIELRLKSKSSKESISSNGGNKDSGSSESDQKTVGTEEFFEIEKYSPSLGWISCSQHLPVSSQSKPESSGGENQGISNIELPEGWEWTDDWHVDKSPGCKDDGWIYAPDTGHIMWPESAGLSNPANSARQRRWIRHRQYMPSNEKDQILIGLLKPGSCIPLPLSGLTQPYILEFRPKNDNGQNEYSWSVVLEKHCLSDFSLELENSDEIYLSMLTESVGLLYCSEISGSSSNNRKGLWFCLNTEATEIGKDLHSNPIHDWNLKIYSPLSITNFLPLSTEYAVIDQLPTEESVACSEDIIVPGETINIYNADPRDPLYLSVLPQGGWKMLHGPVPITHHGKMTSQMLTLQNSFSERIVQIIVEENFEKKHLIAKVIKIYVPFWIASARCPPLIYRFIDMPGKRMRRHFPVPFRANEGNEKVRWQIVKDELVGGFTIVSALNFRHLGFSASISQPGKEQFGPAKDLTPLCDMDGSIDLRAYDTEGNCFHIFVTSKPSPYPSVPTKVISIRPFMTFTNRLGENIYLKFSIGDQQKVLSPSDSTVSFIYHEGEQEKLQVMFQSPH >DRNTG_21603.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1330028:1333656:1 gene:DRNTG_21603 transcript:DRNTG_21603.12 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTFFLCTRNEPPAKGVLAVNPSFVRVKRPISFRLIWPCSIRNTSGGNHGPTGDILKHDDYGQEEGFSVWFPIAPKGFVAVGCVVSSGREVPSLSSALCIMSSLVSPSTLKDCISLGLTEGSSENVAFWRVENSFGSFLPADPIHTNVTAKPYDFRPIISSYSNSSTHAARNSSSRENSQSHDRALMPEGSAFLNSGRLFEAVASFKLIWWNQGSASRRKLSVWRPIVPPGMVYLGDIAVQGYEPPNSSIVMHDTGDETFLKAPQDFQLVGHIKKQRGIESISFWLPQAPPGFVVLGCVASKGPPKHDDFSSLRCIRSDMVSGDQFPDESIWDASDTKVSSKPFSLWSVGNESGTFLVRNGFKKPPKRFALRLAAPNVTSGSDNTVIDAEIKTFSAAVLDDYGGLMVPLFNISLSSIGFSLHGRPDYLNSTVSFSLAAKSYNDKHDAWEPLIEPMDGFLR >DRNTG_15602.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000772.1:55424:56801:1 gene:DRNTG_15602 transcript:DRNTG_15602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVVNPEFTLVKRCAEPLTGGYQPSLLAPKEAQERGGRKEKRWRREKDSENNQRKPQKMGEKQGTPAGALYPRAAHKVTPSNALRAGCAHVRPAHSLPGVSCARLGGASDLAATNGHGHVAWPTAQARKASAHQHAQPLKLSMTRIHRTTWFNLQGKNK >DRNTG_13851.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13238812:13239612:-1 gene:DRNTG_13851 transcript:DRNTG_13851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLVGATAVSVILQVTLQGWVLGVATTHVVGGLIGWSNSLPNSTFYADWASSQSFIVGDILEFNFQTRSHDVVAVTKSGYDACSGNDQIASPVNNGPANIPLSSAGGYYYICSFSGHFYAGQKLTINVESLPSSSGNAPSPRLGAPPPPSS >DRNTG_22357.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20789373:20795284:1 gene:DRNTG_22357 transcript:DRNTG_22357.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPARGRADYDHLIKLLLIGDSGVGKSCLLLRFSDDTFTTSFITTIGIDFKIRNIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSRGQMLADEYGIKFFETSAKTNYNVEQVFFSIARDIKQRLVESETKAETIKISKPDATTAASTAAAKSSCCGS >DRNTG_22357.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20791550:20795284:1 gene:DRNTG_22357 transcript:DRNTG_22357.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSRGQMLADEYGIKFFETSAKTNYNVEQVFFSIARDIKQRLVESETKAETIKISKPDATTAASTAAAKSSCCGS >DRNTG_23068.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2374561:2375684:1 gene:DRNTG_23068 transcript:DRNTG_23068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFAELQSWRECPTWEDFFPSPFLAGNNVVFFSDPCDYFTVGKVKAMTLSLSVLVSIEMFNSLNALSEENSLLQMPPWTNPWLLMAMAISFGLHLVILYVPFLASVFGVVPLNFSEWFLVILVSAPVVVIDEVLKYIGKKQRWRTDKSKTS >DRNTG_23068.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2374561:2375297:1 gene:DRNTG_23068 transcript:DRNTG_23068.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFAELQSWRECPTWEDFFPSPFLAGNNVVFFSDPCDYFTVGKVKAMTLSLSVLVSIEMFNSLNALSEENSLLQMPPWTNPWLLMAMAISFGLHLVILYVPFLASVFGVVPLNFSEWFLVILVSAPVVVIDEVLKYIGKKQRWRTDKSKTS >DRNTG_01053.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17592693:17593385:1 gene:DRNTG_01053 transcript:DRNTG_01053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAVDEVENEGGEAEEEEEESEGDADGTEDGGGRGVAVEDMGFGRFGDFSHG >DRNTG_29795.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19048625:19050091:1 gene:DRNTG_29795 transcript:DRNTG_29795.1 gene_biotype:protein_coding transcript_biotype:protein_coding NDSWNGAGESEGGWRSGGGRGRGRGRNAGNNDNSWNGVGESEGGWRSGGGRGRGRNAWNNDYSWKGEGESEGGWRSGGGRGRGRNVRNNDDIAGGRSWGRGQGSGSGSGRFYSTDLKNDEDKFNGNFAGSSWTNNQYGGWDKSKADGQSEATGGISSRQGRWNGGNSAGSSWDNNDQYGGWDKPKTEFKNETAGGSSSQQGGWDGGKSAGSSWDKSQIGGLDKAKADGISEAAGGISSHGGGWDGGKSAGSSWDKSQIGGLDKAKTDGTSEAAGRSSGHRGCWDGGKSAGSSWDKSLISGLDKAKADGKSEAAEGSSSHRGGWNGGNSSGRNDSSGWDSSSQAAKNHSDWVKDVSFGEEAGEFSSWNSNKDEAQKTSTADKGGNSWDKPAGNWGNTKDSDGDKGGW >DRNTG_29795.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19048625:19050091:1 gene:DRNTG_29795 transcript:DRNTG_29795.2 gene_biotype:protein_coding transcript_biotype:protein_coding NDSWNGAGESEGGWRSGGGRGRGRGRNAGNNDNSWNGVGESEGGWRSGGGRGRGRNAWNNDYSWKGEGESEGGWRSGGGRGRGRNVRNNDDIAGGRSWGRGQGSGSGSGRFYSTDLKNDEDKFNGNFAGSSWTNNQYGGWDKSKADGQSEATGGISSRQGRWNGGNSAGSSWDNNDQYGGWDKPKTEFKNETAGGSSSQQGGWDGGKSAGSSWDKSQIGGLDKAKTDGTSEAAGRSSGHRGCWDGGKSAGSSWDKSLISGLDKAKADGKSEAAEGSSSHRGGWNGGNSSGRNDSSGWDSSSQAAKNHSDWVKDVSFGEEAGEFSSWNSNKDEAQKTSTADKGGNSWDKPAGNWGNTKDSDGDKGGW >DRNTG_29212.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3208843:3213515:1 gene:DRNTG_29212 transcript:DRNTG_29212.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSFCPDCKRSTEVVFDHSAGDTVCSECGLVLEAHSIDETSEWRTFANESADNDPVRVGGPSNPLLADGGLSTVVISRPSGTNSDSLPSSSLGRWQSRSSNPDRSLILAFRTIATMADRLGLVATIKDRANEIYKKVEDLKSIKGRNQDAILAACLYIACRQEDKPRTVKEICSVANGATKKEIGRAKEFIVKQLEIEMGQSMEMGTIHAGDFLRRFCSHLGMNNQAIKAAQEAVQKSEELDIRYSVGLL >DRNTG_29212.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3208843:3213515:1 gene:DRNTG_29212 transcript:DRNTG_29212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSFCPDCKRSTEVVFDHSAGDTVCSECGLVLEAHSIDETSEWRTFANESADNDPVRVGGPSNPLLADGGLSTVVISRPSGTNSDSLPSSSLGRWQSRSSNPDRSLILAFRTIATMADRLGLVATIKDRANEIYKKVEDLKSIKGRNQDAILAACLYIACRQEDKPRTVKEICSVANGATKKEIGRAKEFIVKQLEIEMGQSMEMGTIHAGDFLRRFCSHLGMNNQAIKAAQEAVQKSEELDIRRSPISIAAAVIYMITQLSEEKKPLRDISVATGVAEGTIKNSYKDLYPYASRLIPSFFAKEEDLKNLCSP >DRNTG_24135.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16265875:16267957:1 gene:DRNTG_24135 transcript:DRNTG_24135.1 gene_biotype:protein_coding transcript_biotype:protein_coding IQSILPSNPFSLETLAEKSRPFFINPFLLLKPYLRRASHFPGNETLSEKSRPFSWQWGSIEVSCDDEVFHGAWYEATVARLLNGSLRLSIIYTSLLSDFECFARR >DRNTG_00617.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22470501:22476184:-1 gene:DRNTG_00617 transcript:DRNTG_00617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENGASFVAVRRLSQGLDRGGGCHSSSAEVVHGSSAWIGRGLSCVCAQRRDSDARLSFDLTPSQEECLQKLQNRIEVSYDSSKVEHQEALRALWHAAFPGVELRGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLFFAKTFPKSFQDLLRKEEGDRAMWEYPFAVAGVNITFMLIQMLDLQAVKPRTLMGAIFLKLLSENDRAFDLLYCITFKLMDQQWLAMHASYMDFNAVMKATRRQLERELLLEDIQCLEDMPSYKLLSR >DRNTG_27368.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001389.1:2304:4287:1 gene:DRNTG_27368 transcript:DRNTG_27368.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTFNIHEDNLGNLRNREAIVRNQEVRMPEAGSKGAPKYPSELKDDTSASCEKKKPPRN >DRNTG_27368.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001389.1:2304:4287:1 gene:DRNTG_27368 transcript:DRNTG_27368.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAGSKGAPKYPSELKDDTSASCEKKKPPRN >DRNTG_27368.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001389.1:2304:3507:1 gene:DRNTG_27368 transcript:DRNTG_27368.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTFNIHEDNLGNLRNREAIVRNQEVRMPEAGSKGAPKYPSELKDDTSASCEKKKVMIP >DRNTG_05476.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23233856:23366191:1 gene:DRNTG_05476 transcript:DRNTG_05476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSCLSLLLLFLLLISLVVATVAKQTYIVHMSRSQMPSTFTHHLQWYISTLQSLSRETTEEGDDEAIIYSYETAFHGFAAKLSEKEAEELETSHGVLAVLPDTVYHLHTTRSPEFLGLEPETSNNAWSSTVRDHDVIVGVLDTGIWPESPSFSDRGMGPVPARWKGACETGRGFTTKNCNKKIIGARIFYRGYEASTGAIDDKDEFKSPRDQDGHGTHTAATATGVPVSGANLLGYARGTARGMAPRARVAAYKVCWSGGCFSSDILAAVDRAVADGVDVLSISLGGGVSSYYRDSLSIAAFGAMEMGVFVACSAGNSGPDPISLTNVSPWITTVGASTMDRDFPAVVKLGNGMNITGVSLYRGRRNLVVGKQYRLVSMGSNLTTLDPRSLCLEGTLDPQLVVGKIVICDCGVSPRVQKGQVVKDAGGVSMILANTAADGEELVADAHLLPAVAVGETSGKIIKHYSSTTPTRHRNLNFQKN >DRNTG_05476.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23233856:23237355:1 gene:DRNTG_05476 transcript:DRNTG_05476.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSCLSLLLLFLLLISLVVATVAKQTYIVHMSRSQMPSTFTHHLQWYISTLQSLSRETTEEGDDEAIIYSYETAFHGFAAKLSEKEAEELETSHGVLAVLPDTVYHLHTTRSPEFLGLEPETSNNAWSSTVRDHDVIVGVLDTGIWPESPSFSDRGMGPVPARWKGACETGRGFTTKNCNKKIIGARIFYRGYEASTGAIDDKDEFKSPRDQDGHGTHTAATATGVPVSGANLLGYARGTARGMAPRARVAAYKVCWSGGCFSSDILAAVDRAVADGVDVLSISLGGGVSSYYRDSLSIAAFGAMEMGVFVACSAGNSGPDPISLTNVSPWITTVGASTMDRDFPAVVKLGNGMNITGVSLYRGRRNLVVGKQYRLVSMGSNLTTPDPRSLCLEGTLDPHLVAGKIVICDRGVSPRVQKGQVVKDAGGVGMILANTAANGEELVADAHLLPAVAVGETSGKVIKHYSSTTPRATATLTFEGTKVGIRPSPVVAAFSSRGPNLLTLEILKPDIVAPGVNILAAWSGLASPSSLSTDHRRVGFNILSGTSMSCPHVGGVAALIKASHPEWSPAAIKSALMTTAYVHDNTYRPLKDAATGEASSPYDHGAGHIHPTKAMDPGLVFDISPDDYYDFLCSQKLTPLQLKAFTKTMNRTCRRTLVTAGDLNYPAISVVFPEKNAAELTVHRTVTNVGHAVSTYHVKVSPFKGADVVVQPDTLHFSHQNQKMTFKIIFKTRKPQMEPEFGGLVWSDGVHKVRSPVVLTWLPPM >DRNTG_02331.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:616941:620832:-1 gene:DRNTG_02331 transcript:DRNTG_02331.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSRGTSEIVEANEDLDLVHSSNGSCLPGFKPKAVQRDGKAYVYPVENDLSRLIEAIDLKTTKRVLAPSRTGVDLLQESRMKKPMKVGACQASGTGASESVTLKQALRRLCISQASEMAAIKRMSKPIGLSGVSEAGMITKLYSSVVVQGSESDVPVNEEKGTVEVSFYPEKIVINSSGKASEICEVNDVESSDKKESSHAQNTNAAGAIKTGIRGTSVSMSKKIVSKTRGSAKVENLKKKTHPKGSLSLSHILVESGKTNTNPRSLKPTFGKKVLSRKKGKPGPVSTLENSSICCEGDKAIISNKAKPKCQAELPLPSAGIANGVESGSMGKKDKDASKLDFSPEVSDGSRPVSLKMSGFSGSREKGECSQSSKSSMADCSSSASISDDSNQSGYCSHSSRPHMSKDVKWMAIHNVIEEHGNLGLKNFKLHRRLGCGDIGTVYLAELIGSECFFALKVMDNEFLMSRKKMLRSQTERDILQMLDHPFLPTLYAHFTSDNLSCLVMEYCPGGDLHVLRQKQPGRSFSEFAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCTVNPTLLRSSSVGAQESLKKLSGPCSESSCISPLCMEPSWVQTSCFTTPIVSSTKSKTWKPKSDRTIQVSPSLQLVVEPTDARSNSFVGTHEYLAPEIIKGDGHGSAVDWWTYGIFLYELLFGRTPFKGSGNDETLANVVSQSLVFPESPAVSGNAKDLIMGLLVKEPAERLGSVKGAAEIKQHPFFEGLNWALIRSSAPPETPKFHDPRTPVRVRKKKESRCLDFGLSGEDVEFELF >DRNTG_27585.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001400.1:1:7977:1 gene:DRNTG_27585 transcript:DRNTG_27585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINMFESFDTIVMMVEHVEQKVQTVAWNYISCYSYHGQCTTQQPLEESIEEYIARIQGQSCELDNMIKQFEESTSVSMSDQLEESIERILARFDSSYQDQKQELFSVGVAISNLEFCGIDMLISSVDCKEIDFQVEKEESNCEHEANDFEEIDKLKEGSLRPSIVEPPELELKTLPEHLEYAFLMEDSKLPIIVAEAQGCAPAPVDDHATGERPWVISARACEFLQSWADFPESTEGRGLSPVADLVNHTRGSTSARLRGTRSCSLHPEKTQGYGYQIEESNC >DRNTG_17157.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22776428:22780447:1 gene:DRNTG_17157 transcript:DRNTG_17157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSARFLNPSWSQARGLLYWKVTNPTLSPSHLQDLPGFTRSVYKRDHAVITPESHVFSPLPDWINTLGAYLITPAMGAHFVMYLAKMEVKSKSAVPPHDVERFLFVVQGSAVLKTDSGISHQLPVDSYAYLPANYKHSLESDETATIIIFERRYASVENLYPEPVIGSTEKQPLLETPGEVFELRKLLPASAPYDFNVHIMDFQPGEYLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQAGDAIWMAPFVPQWYAALGKTPSRYLLYKDVNRNPL >DRNTG_05950.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20008544:20012877:-1 gene:DRNTG_05950 transcript:DRNTG_05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGVGSHSPSHAPSHNPSTPYPTGSSSSTPLYGTSVSAPSGQPSRIPYNNYNPKNDISDRNRPRGRRLELETIDRKLLIDDGRLLNLTAHDPQKWTTIIEIWSQMVCRKYDELDYTKTLVEMYSYLEKFLGESARAAWESYKQNYPQDFARDLELGDNPYNFVNKIRLLLMGDSPNANYGKQQVEAIKKLEQIQIKNWAFIKPFLLDFMYYSSIASCFYDKTIGDKLFMKLPEPLGTLIHKKYKETPILPQLDNISTRIKFIIEQLVEKCTEIQITKLLKGKGEYSFCKNIYTPQRYDYNQHPRKQFKKKNYKPKKKYHLRRSSSKKPYLNKEKHVRKFDKSRDYKNKLSCFSCGSTNHLVRDCTKRKNYHNKESILIDCVNEDLLHVDEYVSDTESIYSIVSYLEQDEAKKDSSDEEEDYEFVDNIIESNKKYQDWHLRDLDQLDLVESMLAYL >DRNTG_09280.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5540063:5540491:1 gene:DRNTG_09280 transcript:DRNTG_09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLTEPPHHITLRLVGKSKSQIEN >DRNTG_08169.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11841196:11843825:1 gene:DRNTG_08169 transcript:DRNTG_08169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSEVNNNKPQVPCRASKLTYVLQDLAAHSRYVVNYVGDAKEKETPTEKVGWEETPQKITIFFGEGSEVQLLNCVFNTGAAGAKIGFGTISESIQFVKRTLSLEENLTVPHLAFRSHHPNVIVPAFEKNITDEKPRTSVLQRLSCLKKIASVDDEDDEPTLTVTVKGQESGIFRSEELSQEKVCFQG >DRNTG_34951.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18748834:18749910:-1 gene:DRNTG_34951 transcript:DRNTG_34951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCSLPKMDEGVRRQIVERGTAADR >DRNTG_34951.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18748834:18749910:-1 gene:DRNTG_34951 transcript:DRNTG_34951.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHKPPPKMDEGVRRQIVERGTAADR >DRNTG_11217.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2383348:2387593:-1 gene:DRNTG_11217 transcript:DRNTG_11217.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMNFDGFWSKTTLIGLGLGQFVSLLITSTGFSSSELSRQGIDAPTSQSFLNYVLLAIVYGGLLIHRKRALQMKWYYYLVLAIIDVEANFLVVKAYQYTSLTSVMLLDCWTIPSVIFLTWFFLKTKYRLRKFVGVAICVAGLVMVVFSDVHSSDRSGGSNPIKGDMLVIGGSMLYAISNVSEEFLVKEGDRIELMAMLGLFGAIVSACQISILERQELKSIHWTAGAAIPFVGFALAMFLFYSTVPIVLKLSGSTMLNLSLLTSDMWAVLIRIFAYHEKVDWMYFIAFAGVAVGLLIYSGGSKEDVHPALGEGVEEGAQNKERDEEAALDNATQEPTMASSQKGRDGKGPYYSLVNEER >DRNTG_11217.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2383348:2387593:-1 gene:DRNTG_11217 transcript:DRNTG_11217.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMNFDGFWSKTTLIGLGLGQFVSLLITSTGFSSSELSRQGIDAPTSQSFLNYVLLAIVYGGLLIHRKRALQMKWYYYLVLAIIDVEANFLVVKAYQYTSLTSVMLLDCWTIPSVIFLTWFFLKTKYRLRKFVGVAICVAGLVMVVFSDVHSSDRSGGSNPIKGDMLVIGGSMLYAISNVSEEFLVKEGDRIELMAMLGLFGAIVSACQISILERQELKSIHWTAGAAIPFVGFALAMFLFYSTVPIVLKLSGSTMLNLSLLTSDMWAVLIRIFAYHEKVDWMYFIAFAGVAVGLLIYSGGSKEDVHPALGEGVEEGAQNKERDEEAALDNATQEPTMASSQKGRDVGKGPYYSLVNEER >DRNTG_11217.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2383348:2387593:-1 gene:DRNTG_11217 transcript:DRNTG_11217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMNFDGFWSKTTLIGLGLGQFVSLLITSTGFSSSELSRQGIDAPTSQSFLNYVLLAIVYGGLLIHRKRALQMKWYYYLVLAIIDVEANFLVVKAYQYTSLTSVMLLDCWTIPSVIFLTWFFLKTKYRLRKFVGVAICVAGLVMVVFSDVHSSDRSGGSNPIKGDMLVIGGSMLYAISNVSEEFLVKEGDRIELMAMLGLFGAIVSACQISILERQELKSIHWTAGAAIPFVGFALAMFLFYSTVPIVLKLSGSTMLNLSLLTSDMWAVLIRIFAYHEKVDWMYFIAFAGVAVGLLIYSGGSKEDVHPALGEGVEEGAQNKERDEEAALDNATQEPTMASSQKGRDGKHQSSVPVVLTK >DRNTG_16541.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8730354:8730946:-1 gene:DRNTG_16541 transcript:DRNTG_16541.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIWSDDNTSVLLQVAVEFRQTTVYNKVHRLQRKAGHYCKTQNKRLNLASKAFAPAKTEPAGSDYEEDNEERIELEKVDAVVLQSYPFPFLKMGLEGMEKLMFEEVVKQGLVDKHILDKLEKKWKEISVKSCSFVLRVFCFMIKV >DRNTG_02622.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21365965:21375378:-1 gene:DRNTG_02622 transcript:DRNTG_02622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSTSSVQKVWLMSMVFNNALYTIDIGQNDLAGAFSSNKTYDEVIERIPLILSEIESAIKTLYDNGAKNFWVHNTGPLGCLPQKLALPRKDDSDIDQYGCLKTLNNAAREFNCRLSALCDNLSSNLNNVTIVYNDIFSIKYDLVANYTKYGFASPVMACCGLGGPPYNYDLNNQCGSSTAQACADGSKYISWDGVHYTEAANAIVAAKILSTDYSKPALKFDYFCNA >DRNTG_02622.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21365965:21366840:-1 gene:DRNTG_02622 transcript:DRNTG_02622.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACCGLGGPPYNYDLNNQCGSSTAQACADGSKYISWDGVHYTEAANAIVAAKILSTDYSKPALKFDYFCNA >DRNTG_08459.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21482469:21482985:1 gene:DRNTG_08459 transcript:DRNTG_08459.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDMARLLAQRGVLVTFITTPVNASRIKPIIARVHESKLPINFIEIPFPCAEAGIPIPLGCENFDLLPSPELFLNFFDAIRLLSHPLEQRLRDLVPLPTCMINDMWNLWTA >DRNTG_29938.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2355067:2355738:-1 gene:DRNTG_29938 transcript:DRNTG_29938.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRKRQHCPPDILSDNLHDALHALNSSIKSQPRLFLGTKNAQAKSTILAAQTNQHSSYSTTALPSVKTDVSALLDFRSKREHHSERSTLKPTLSKIAILSLEFSEALPFAAFASLLVEMAVRLDLIIDQVEKLAKEAHFKEFTEKNDIKIDVKICDSAIVLPTNVACGE >DRNTG_29938.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2355067:2356981:-1 gene:DRNTG_29938 transcript:DRNTG_29938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRKRQHCPPDILSDNLHDALHALNSSIKSQPRLFLGTKNAQAKSTILAAQTNQHSSYSTTALPSVKTDVSALLDFRSKREHHSERSTLKPTLSKIAILSLEFSEALPFAAFASLLVEMAVRLDLIIDQVEKLAKEAHFKEFTEKNDIKIDVKICDSAIVLPTNVACGE >DRNTG_01894.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21013510:21016726:1 gene:DRNTG_01894 transcript:DRNTG_01894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHLEDTKSYAEQNPRPNTPLLAAGNSNGDGIQPTKKNLIPSDGGAEEENRIQRSGAGESKRHRDLDRYYSINISSCSKRELHEHKTRLAWELEQVRNMIRWVGGSSAGNQTRLPPKKKAKKAEDLRSESEKGFAAMMRKCLEIVNKIMKQRGASWFNAPVDVLGLGQCPTEFAADVKLTFDNAMLYNPPEHVVHGLAKQLMVKFERMYYPAYEVYKKRIGLGEVWKRRVMTVEEKDGLRERLEGLGMEEMAEVIEMLRKRDVKMRANGDEIELQFEDLDNDTLWVIGSLSS >DRNTG_01894.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21013510:21014225:1 gene:DRNTG_01894 transcript:DRNTG_01894.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGRVRSNLRRGGGAYTIRLRPSSPQMSSSSSITPNSSNPRDTRFMIWPARFGPSLRRFIFRFYQEYDKRRRGLMGDEEKNAVREWMKGWLVATMAKVEVVSMLKEHNPDMILNEEPLVLDWRVLDRGTLMELDRLLPDRQPHRTALGSHSG >DRNTG_18531.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3342840:3344248:-1 gene:DRNTG_18531 transcript:DRNTG_18531.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVVVARYWCYMCSQMVSPNTEAEIKCPYCHSGFVEEMAGRGDADITDHGGFNQTPSIGRPIMLGMISTNASRPQRYQRHEQGDESNQDLESVTIFRRRRRNSAIFRLIQDLENRIQSDSENIDGERESGNAIVLQALFGNQNQGQDSDNTVDALSPGDYYPSSGLDILLQHLAENDPNRYGTPPAQKEAVNALPIVKVQESMSCSICLEDFVVDTEAKRNAMQALLS >DRNTG_18531.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3342840:3344767:-1 gene:DRNTG_18531 transcript:DRNTG_18531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVVVARYWCYMCSQMVSPNTEAEIKCPYCHSGFVEEMAGRGDADITDHGGFNQTPSIGRPIMLGMISTNASRPQRYQRHEQGDESNQDLESVTIFRRRRRNSAIFRLIQDLENRIQSDSENIDGERESGNAIVLQALFGNQNQGQDSDNTVDALSPGDYYPSSGLDILLQHLAENDPNRYGTPPAQKEAVNALPIVKVQESMSCSICLEDFVVDTEAKRNAMQALLS >DRNTG_35334.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2748715:2757153:1 gene:DRNTG_35334 transcript:DRNTG_35334.2 gene_biotype:protein_coding transcript_biotype:protein_coding GWQWRNLGGRNSWNSRYSRNSRNSWNSRYSRNSRNSRV >DRNTG_35334.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2728571:2757153:1 gene:DRNTG_35334 transcript:DRNTG_35334.1 gene_biotype:protein_coding transcript_biotype:protein_coding GWQWRNLGGRNSWNSRYSRNSRNSWNSRYSRNSRNSRV >DRNTG_35334.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2756536:2757153:1 gene:DRNTG_35334 transcript:DRNTG_35334.4 gene_biotype:protein_coding transcript_biotype:protein_coding TAKRFLIRKTNTTNHKNEQHSINKTLQTSYNVKHQENNHIK >DRNTG_34068.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002082.1:62192:66100:-1 gene:DRNTG_34068 transcript:DRNTG_34068.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHNDLQLPQPYAEQHNPAVIRAVGSAPETSSEAAAWLTGGILRLGGDPVSADHAGAGEDRERWKAEIVAHPLYEQLLSAHVACLRIATPVDQLPRIDAQLARSHEVVAKYSALGLANRMVGDNKELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQSLQSLTGVSPGEGTGATMSDDEDEQADSDTNLFDGGFDGSDSMGFGPLIPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSLLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSTSTSTKSKRKR >DRNTG_34068.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002082.1:62192:66100:-1 gene:DRNTG_34068 transcript:DRNTG_34068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHNDLQLPQPYAEQHNPAVIRAVGSAPETSSEAAAWLTGGILRLGGDPVSADHAGAGEDRERWKAEIVAHPLYEQLLSAHVACLRIATPVDQLPRIDAQLARSHEVVAKYSALGLANRMVGDNKELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQSLQSLTGVSPGEGTGATMSDDEDEQADSDTNLFDGGFDGSDSMGFGPLIPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSLLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSTSTSTKSKRKSNAGDIDHNPEHFM >DRNTG_21391.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19493184:19496242:-1 gene:DRNTG_21391 transcript:DRNTG_21391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLGRRVVHFANLPLKLLMPSPPFDSIREIAFKTIPSASKIEIRRVLESLYGFDVADVHTLNMEGKKRRRGPYLAAKPDYKKAYVTLRTPLSISPELFPVGLVQEERERIAAKAKAKASVVEEGKVSTHWLDERAERDHGEFSGRRGGRSVEMKMKMKNNKKKEEEAKEEKARFPWSSMKLWK >DRNTG_21391.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19493328:19496242:-1 gene:DRNTG_21391 transcript:DRNTG_21391.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLGRRVVHFANLPLKLLMPSPPFDSIREIAFKTIPSASKIEIRRVLESLYGFDVADVHTLNMEGKKRRRGPYLAAKPDYKKAYVTLRTPLSISPELFPVGLVQEERERIAAKAKAKASVVEEGKVSTHWLDERAERDHGEFSGRRGGRSVEMKMKMKNNKKKEEEAKEEKARFPWSSMKLWK >DRNTG_34029.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16363159:16364101:-1 gene:DRNTG_34029 transcript:DRNTG_34029.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPSPCSSLLFSSRPLRCEIHELPFSVSQLLVRYGFTRSIVDVVGHRSHGPVPEPGSIVVVRVTKVMAIMASADIMCVESKAVKEKFTGIISLLVCNVN >DRNTG_11091.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30735923:30738106:1 gene:DRNTG_11091 transcript:DRNTG_11091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLVIFLSLFLLGFVQSDPQVPCYFIFGDSLVDNGNNNDIASLARANYPPYGIDFPGGPSGRFCNGLTTVDIIAQLLGFQDFIPPFANARDQQLLTGVNFASAAAGIRDETGQQLGGRISFNGQLQNYKSAVQQLINILGDEDSTANYLSKCIFTVGMGSNDYLNNYFMPTVYSSSRQYTPEQYAQVLIDQYSQQLRTLYDYGARKVALIGVGQIGCSPNELAQSSPDGTACVERINSAIQLFNNKLIALVDQFNQLDGAHFTYINAYDMFRDILRNPSSYGFTVTNRGCCGVGRNNGQITCLPYQTPCSNRNEYIFWDAYHPAEAANVIIGKRSYNARSSYDAYPVDIRRLAMM >DRNTG_15227.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23346010:23348419:-1 gene:DRNTG_15227 transcript:DRNTG_15227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLSPVSSSKLFVPGLKPLTTCKRFHWEEDFDLTIAMECRYHDSLTIKDPEPEVTFAEDEQRNEKSLKKMRSLVNEYENNWSKVSEVSMYSSAYDSDLIMFMQNCCDGYADAESIMTDEMLCEDSVDDFLEGKGIMVISGHGEGGL >DRNTG_15605.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10263936:10265372:-1 gene:DRNTG_15605 transcript:DRNTG_15605.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTGVPMKIFSIKSTIVFHNPATFFSVYVLSTLQLYYSNIKIAAGQMEEFYESHNTGRIVRYGRSERDASAIVRGWVDAEQPRVGEASAKVPLNLTLVVRARANVLGELVKFLGCDLDGNAGLVRLVGSPENEAEEREDEDKAKMEEKNITLGRVIIITIITGGKKNKKKKCSGGGKWGTITECLNT >DRNTG_04407.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12558143:12559345:-1 gene:DRNTG_04407 transcript:DRNTG_04407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTYDVMDAFACIIQKSLSKVPYPYKKRASITRPLALFMSKQDDAHETTMAMIGDAVRSLHEVQIVILPIIMNGHFHVVVLDNDKQEYMHYSSCAGYEKDALDMRNLFDTAVDMQFGELVTAKYPLIYDMETPRQKQGRVDCAIYVMRFIEQLLWGEKLRLIAACIVPYLEIKSHCLHFRF >DRNTG_29285.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001474.1:67163:68049:-1 gene:DRNTG_29285 transcript:DRNTG_29285.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPIPPPMPLPIPPPIPPPCPPPMPPPCPPPMPPPCPPPMPPPCPPPIPPPAPPPFPIPPP >DRNTG_29285.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001474.1:67163:68049:-1 gene:DRNTG_29285 transcript:DRNTG_29285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPIPPPMPLPIPPPIPPPCPPPMPPPCPPPMPPPCPPPMPPPCPPPMPPPCPPPMPPPCPPPIPPPAPPPFPIPPP >DRNTG_29285.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001474.1:67163:68049:-1 gene:DRNTG_29285 transcript:DRNTG_29285.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPIPPPMPLPIPPPIPPPCPPPMPPPCPPPMPPPCPPPMPPPCPPPMPPPCPPPMPPPCPPPIPPPAPPPFPIPPP >DRNTG_29285.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001474.1:67163:68049:-1 gene:DRNTG_29285 transcript:DRNTG_29285.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPIPPPMPLPIPPPIPPPCPPPMPPPCPPPMPPPCPPPMPPPCPPPMPPPCPPPMPPPCPPPIPPPAPPPFPIPPP >DRNTG_29285.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001474.1:67163:68049:-1 gene:DRNTG_29285 transcript:DRNTG_29285.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPIPPPMPLPIPPPIPPPCPPPMPPPCPPPMPPPCPPPMPPPCPPPIPPPAPPPFPIPPP >DRNTG_29285.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001474.1:67163:68049:-1 gene:DRNTG_29285 transcript:DRNTG_29285.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPIPPPMPLPIPPPIPPPCPPPMPPPCPPPMPPPCPPPMPPPCPPPMPPPCPPPMPPPCPPPIPPPAPPPFPIPPP >DRNTG_17693.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28292555:28309524:-1 gene:DRNTG_17693 transcript:DRNTG_17693.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSAAVSNSSKLTTHDALAYLKAVKDIFHDTKEKYDEFLEVMKDFKSQRIDTSGVIARVKELFKGHRDLILGFNTFLPKGYEIKLPAEEKKPVEFQEAITFVNKIKNRFQNEEHVYKSFLDILNMYRRENKSITDVYEEVATLFRDHHDLLDEFTHFLPDTSATGAQQNVSAARPMFRWDGRPSIVPGLKLTSPGQRERTYNSHTDRDLTVDRPDLDHDRQRRRAEREKDRKEDRDKRDHERDEKDLEHDSRDLDNMQRRRKPSRIGNDAMPEQLHQGGEGAENFATYSISASSYDDKNALKSVYPQEVNYFDKVKEKLHPDGYQEFLKCIDIYSKEIISKSELKNLLCDVLEKYPDLMDGLDEIFANPGSIDGFLTGVINKRHIARPVKGEERDRDREYERDEKDKERDRERERDRERERLDKGVPFVSKDTASQRSTLTANKEKYNLSKPISELDLSNCQRCTPSYRLLPKNYPTPPASHRTELGASVLNDVWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNATTKRVEELLEKIQDNTVKIESPIRIEDHFTPLNLRCIERLYGDHGLDVMDVLRKNASLALPVILTRLKQKQEEWSRCRSDFNKVWAEIYSKNYHKSLDHRSFYFKQQDTKSLSTKALLSEIKEINEKKRKEDDVLLAIAAGNRRPIIPNMEFEYADPDIHEDLYHIIKYSCGEVCTSTDQLDKVLRIWTTFLEPMFGVLPRPQGAEDAVDVVRTKNRAVKSNLASSRENNGCPGAVVTGNNKPVNPIMNGDDVSLPEQGSLYKARLANGDTPVNRNGFHDADRSAGRSDNPCSTPLHVKVQSTPIADEISGVTIQTAAAEQLADNNSLASRGEQAHGRANHENTSGSTNAKSGHAGAERVLETCANNEVLPLEGGENLRQVASANGGCTTENTKVRRYHDPSPSQNNLKVEREEGELSPNGDFEEDNFVVFENSAADAAPKRKNSPSSRQYQPKPGEVASSSVAAGDNDADADDEGDESAQRSTEDSENASEAGEDVSGSESGDGEECSREDHEEEEDDADHDDPDGKAESEGEAEGMVDAHDADEGTSLPFPERQLHTAKPLAKHVHEALHDKEDKCSRIFYGNDSFYVLFRLHQTLYERILSAKMNSSSAERKWKTTKETSPPDLYAKFMSALYNLLDGSADNTKFEDDCRAIIGTQSYVLFTLDKLIYKVVKQLQAVASDDMDNKLLQLYAYEKSRRPGRFMDVVYHENARVLLHDESIYRFECISSPTQLSIQLMEYGHEKAEVTAVSIDPNFTAYLYNDFLSSDPDKKKRRAVFLGRNKRKFGTDDYSSYCTAMDGVRVVNGLECKISCSSSKVSYVLDTEDLLVRFVKRRRHSYGGNLSCRNQAHYLNKYAVKVQRFHRLMSSS >DRNTG_17693.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28292555:28309524:-1 gene:DRNTG_17693 transcript:DRNTG_17693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGREDSYSSSQIKRPNSSMSGQAHMANSAAVSNSSKLTTHDALAYLKAVKDIFHDTKEKYDEFLEVMKDFKSQRIDTSGVIARVKELFKGHRDLILGFNTFLPKGYEIKLPAEEKKPVEFQEAITFVNKIKNRFQNEEHVYKSFLDILNMYRRENKSITDVYEEVATLFRDHHDLLDEFTHFLPDTSATGAQQNVSAARPMFRWDGRPSIVPGLKLTSPGQRERTYNSHTDRDLTVDRPDLDHDRQRRRAEREKDRKEDRDKRDHERDEKDLEHDSRDLDNMQRRRKPSRIGNDAMPEQLHQGGEGAENFATYSISASSYDDKNALKSVYPQEVNYFDKVKEKLHPDGYQEFLKCIDIYSKEIISKSELKNLLCDVLEKYPDLMDGLDEIFANPGSIDGFLTGVINKRHIARPVKGEERDRDREYERDEKDKERDRERERDRERERLDKGVPFVSKDTASQRSTLTANKEKYNLSKPISELDLSNCQRCTPSYRLLPKNYPTPPASHRTELGASVLNDVWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNATTKRVEELLEKIQDNTVKIESPIRIEDHFTPLNLRCIERLYGDHGLDVMDVLRKNASLALPVILTRLKQKQEEWSRCRSDFNKVWAEIYSKNYHKSLDHRSFYFKQQDTKSLSTKALLSEIKEINEKKRKEDDVLLAIAAGNRRPIIPNMEFEYADPDIHEDLYHIIKYSCGEVCTSTDQLDKVLRIWTTFLEPMFGVLPRPQGAEDAVDVVRTKNRAVKSNLASSRENNGCPGAVVTGNNKPVNPIMNGDDVSLPEQGSLYKARLANGDTPVNRNGFHDADRSAGRSDNPCSTPLHVKVQSTPIADEISGVTIQTAAAEQLADNNSLASRGEQAHGRANHENTSGSTNAKSGHAGAERVLETCANNEVLPLEGGENLRQVASANGGCTTENTKVRRYHDPSPSQNNLKVEREEGELSPNGDFEEDNFVVFENSAADAAPKRKNSPSSRQYQPKPGEVASSSVAAGDNDADADDEGDESAQRSTEDSENASEAGEDVSGSESGDGEECSREDHEEEEDDADHDDPDGKAESEGEAEGMVDAHDADEGTSLPFPERQLHTAKPLAKHVHEALHDKEDKCSRIFYGNDSFYVLFRLHQTLYERILSAKMNSSSAERKWKTTKETSPPDLYAKFMSALYNLLDGSADNTKFEDDCRAIIGTQSYVLFTLDKLIYKVVKQLQAVASDDMDNKLLQLYAYEKSRRPGRFMDVVYHENARVLLHDESIYRFECISSPTQLSIQLMEYGHEKAEVTAVSIDPNFTAYLYNDFLSSDPDKKKRRAVFLGRNKRKFGTDDYSSYCTAMDGVRVVNGLECKISCSSSKVSYVLDTEDLLVRFVKRRRHSYGGNLSCRNQAHYLNKYAVKVQRFHRLMSSS >DRNTG_31618.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:742000:747790:1 gene:DRNTG_31618 transcript:DRNTG_31618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCVVIWDLKKWRVVIRDQNRIVKRNLRLGFRRWREMRWKRRMRLWRSLERLRSRWHWKRRRRRVRRVRNWGNHTEFLVEEIKEKENVVAEEIGRDELGENLKAVVVEDAKESESMVAEKGSDEAIESREIVVEEAKEAENIVERALESEDLVAEKGVEVVSEIHDEAPESKNVVAETSSEEVLKSHDLLIEEALEQKDVVAETGGEEISKSSDFVIDEAPESKDVVAEGGSDADEISSEEVVIKGSPVSENVLVEMDSDERVSEEKAEDAREYKNVETSSEQVSESPEPVIEEVVELKDVAAEQGNEEVTKVAELITEEALESEYVIVGSGSEQVSERTDLMITEEPESENVVTKTASEEVELMKKHDVLVDESRAHGQYEQEEPGLETNVDDAVPEAAKVEEKEHPEVLISVTATSESIVVKPEEALSTDVAQQTQKVDAFCEKDGPADQVRKDETLGENGKAGGPVKKDDALGEDGRPAAEIQANEASLENGTDDIGSDVVLCENGVARDLKMEAGSEAYENGHATLLANEKLEDHVVANGSEVSTCTDIDEPPLSVVADELVDSSNEADLPVSKDNDDKLSSNEPMTVKETGKVDKQAVKPQIYWLAKIPWYHNPELAAQIKHVQSQRAELAIAKNKISNEIRQQREIVNKSKALVEAAKEVERAARDTESEKKKEIQAVQLKIGNLIGDLKNANLIDGIDDQIASLERTLQHETMTLKQEKQLVEEIKKLKERREKLSSGMDPEMQIKEALDLKNQISASLKDLRKELDPVKFEIKQATKQRYAATEKHKKEEDCLIVLEQKQKRVDEDRKAAFWLEEKLKGENKHYLEYKADETTAKSYLASGDQRIACHCDNQVEKVMKLWNTDSLFRKKYVESNVRSTLRRLKTFDGRSLGLGEQAPEMPSIEAMGSANVSTMPSSNSKTSLPVSAQKPEKEKISAITEVQNKDSAATSNLLQKNQPVSLRKTTKVMSEDISVTVLDSKEIEDDKVEKKLTKEEEEMMRKAEELARKEEELRKAKLEAELKERLREEQKAKAKEAEDRKKKQAEKAQARAQLRAQKEAELKEKKKAKKEEKKRKVAFVATAETTDKNVEGDNDPNTKSTAPETVTEPEIKFTTTSRRPPLTKKYNKMEPIPLPLRNRSRRKMKSLVLKGLGITALLLVIVFCLYKFLPSSQSSQTNIGY >DRNTG_20868.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20534579:20537173:-1 gene:DRNTG_20868 transcript:DRNTG_20868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHPIFSSKACLHLGDVLRSRSPAGRVVRRPKRPKRKLHTKSSPKTSSFALEKSVSFISRQSMRASMRAHGAREAHSQMPRKHAGATVLSYSVFTTVFSLQYRVLR >DRNTG_08159.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16170605:16171399:1 gene:DRNTG_08159 transcript:DRNTG_08159.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGVKVDPRHSLLEIKHKSTLLSNEPFVLTDQAHQVCYIPYPSSKRDCRDW >DRNTG_08159.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16170605:16171479:1 gene:DRNTG_08159 transcript:DRNTG_08159.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGVKVDPRHSLLEIKHKSTLLSNEPFVLTDQAHQVCYIPYPSSKRDCRDW >DRNTG_08159.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16170085:16171399:1 gene:DRNTG_08159 transcript:DRNTG_08159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGVKVDPRHSLLEIKHKSTLLSNEPFVLTDQAHQVCYIPYPSSKRDCRDW >DRNTG_13281.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2672314:2672869:-1 gene:DRNTG_13281 transcript:DRNTG_13281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDNEAAPKSMPHELLEERQSQSSFIKTCSEYSLCCFSPLSISRSADIEGAREDGELPLVATVASSAEKPKTTSSSGVSDHEHSRSFTLLVKNLTPK >DRNTG_05402.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:621866:623841:1 gene:DRNTG_05402 transcript:DRNTG_05402.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSDAEGNGEWIERVKTKGAVPLLDPENCPNGWATPPGDKFMVRGPDYLSNKVKIPGGEYLLKPLGFDWIKGSTKISEVLNNQNHRVRKAVDDEVVAGGRPFVWAFNLQIPCKDNYSAIAYFFSPDSVEEGSLMDKFLKGDDSFRNSRLKLIANIVKGPWIVRTAVGEQAICILGRALTCKYVFGANFIEVDVDIGSSMVANAIVHLAFGSVTTLTVDLAFLIESQTELELPERILGAVRFSELNPASAALYEPQSEESFETPQSPLPLRLWKSIGQGFSNLLHTGNQDSPTSPEAVNGSPVGEDGIENMNNTTRNDP >DRNTG_05402.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:619520:623841:1 gene:DRNTG_05402 transcript:DRNTG_05402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSDAEGNGEWIERVKTKGAVPLLDPENCPNGWATPPGDKFMVRGPDYLSNKVKIPGGEYLLKPLGFDWIKGSTKISEVLNNQNHRVRKAVDDEVVAGGRPFVWAFNLQIPCKDNYSAIAYFFSPDSVEEGSLMDKFLKGDDSFRNSRLKLIANIVKGPWIVRTAVGEQAICILGRALTCKYVFGANFIEVDVDIGSSMVANAIVHLAFGSVTTLTVDLAFLIESQTELELPERILGAVRFSELNPASAALYEPQSEESFETPQSPLPLRLWKSIGQGFSNLLHTGNQDSPTSPEAVNGSPVGEDGIENMNNTTRNDP >DRNTG_11213.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2363987:2367233:1 gene:DRNTG_11213 transcript:DRNTG_11213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQAGGPFGGHLLPAIAAALALFLASSNVAIASTELPYIYASPPPPYLYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPDPSPPPPYYYKSPPPPEKSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPDPSPPPPYYYKSPPPPEKSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPDPSPPPPYYYKSPPPPEKSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYTSPPPPYHYSPIVKVVGSVYCYKCYNWKYPVESHIKKLFKGAVVKVTCTAGHDAYIAYGVTKGYGKYSVAIKGYPYWKYGAEGCKVELHAAPKGSVCNIPTSLNMGTKLRVVSKTHGHDQIVLKAKSLAYAPEKPYAECEKPKPPVYHYTSPPPPVESHPPVYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYFYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYIYSSPPPPIHY >DRNTG_01650.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2927380:2935414:1 gene:DRNTG_01650 transcript:DRNTG_01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPAALVVLPLGVLFLLSGLIVNLIQAAFFVTVRPFSKNLYRRINRVVAELLWLELVWLVDWWAGVEVRVYADSESFESMGKEHALVICNHRSDIDWLVGWILAQRAGCLGSTLAVMKKSSKFLPVIGWSMWFAEYLFLERSWAKDEITLKSGLQRLKDFPQPFWLALFVEGTRFTQAKLLAAREYAASQGLPIPRNVLIPRTKGFVSSVNIMRTFVPAIYDVTIDIPKDKPPPTMLRILKGQSAVVHVHMKRHAMSELPETDDGVAQWCRDIFVAKDALLDKHITEGTFGEEYYKPIGRPKKSLLVVIFWSSILSFALFKFFKWSSLFSTWKGIGFSMLGLLIVTVIMHIFVLFSQSERSTP >DRNTG_23613.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001270.1:11971:14701:-1 gene:DRNTG_23613 transcript:DRNTG_23613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHKGLHDFITYLRQRDCGGVIKIPAVNSMWTRVLFILPYSPETCSMLAIPPNPVDCLLALVLPKEE >DRNTG_00770.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:12848716:12851449:-1 gene:DRNTG_00770 transcript:DRNTG_00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKGFSGLSPIMEDTNIAADGSTILIALERVEELNLNCKHIYINNSDLWRFLHGLEEVNNWRAANSLANIKHQMRRFNEPSIHLIPARWNKIAATMASKGVNASQLSLFHKGMDLPRWLMRLLERSLFNF >DRNTG_33463.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20352008:20352326:-1 gene:DRNTG_33463 transcript:DRNTG_33463.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGCLCFLLTVYRFDDTNPEAEKREYIDHIQEIVQWLGWKPFKVTYTSDYFQELYDLAVKLIEKDLA >DRNTG_06756.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29407182:29407720:1 gene:DRNTG_06756 transcript:DRNTG_06756.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLTDRSKLPNLIDPAIRNTMDLKHLYQVAAVAVLCIQPEPSYRPLITDILHSLIPLVPIELGGTHRVIDPLPQA >DRNTG_06756.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29406574:29406859:1 gene:DRNTG_06756 transcript:DRNTG_06756.6 gene_biotype:protein_coding transcript_biotype:protein_coding IADFGLAVTSGNLSKGHVKISGTLGYVAPEYLLDGNPTFKMIFALIN >DRNTG_06756.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29406966:29407720:1 gene:DRNTG_06756 transcript:DRNTG_06756.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPVEKLGPSQCQTLVTWAMPQLTDRSKLPNLIDPAIRNTMDLKHLYQVAAVAVLCIQPEPSYRPLITDILHSLIPLVPIELGGTHRVIDPLPQA >DRNTG_06756.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29405407:29406859:1 gene:DRNTG_06756 transcript:DRNTG_06756.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNVIVEDNHHHMHKELLLAIILASIGVIMTIVSIFCVIVFYRRNRRNSGSKTTQSSDAGGRFPLGPIFSKFNTVKTTPRKGSMAMVDFALLEAVTNNFSDSNVLGQGGFGCVYKAQFEEGVLAAVKKLDGGGYECVREFENELNLLERIRHANIISLAGYCIHEETRFLVYELMQNGSLETLLHGPTRGSTLTWHIRMKIALDTARGLEYLHEHCDPPIIHRDLKSSNILLDSDFNAKIADFGLAVTSGNLSKGHVKISGTLGYVAPEYLLDGNPTFKMIFALIN >DRNTG_06756.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29404753:29407720:1 gene:DRNTG_06756 transcript:DRNTG_06756.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMKSLLVLLCFLFSAFFASMSHARRSPLLSPLFSPQPQPAPPITVVASPPLVMNVIVEDNHHHMHKELLLAIILASIGVIMTIVSIFCVIVFYRRNRRNSGSKTTQSSDAGGRFPLGPIFSKFNTVKTTPRKGSMAMVDFALLEAVTNNFSDSNVLGQGGFGCVYKAQFEEGVLAAVKKLDGGGYECVREFENELNLLERIRHANIISLAGYCIHEETRFLVYELMQNGSLETLLHGPTRGSTLTWHIRMKIALDTARGLEYLHEHCDPPIIHRDLKSSNILLDSDFNAKIADFGLAVTSGNLSKGHVKISGTLGYVAPEYLLDGKLSEKSDVYAFGVVLLELLMGRRPVEKLGPSQCQTLVTWAMPQLTDRSKLPNLIDPAIRNTMDLKHLYQVAAVAVLCIQPEPSYRPLITDILHSLIPLVPIELGGTHRVIDPLPQA >DRNTG_06756.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29405709:29407720:1 gene:DRNTG_06756 transcript:DRNTG_06756.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVDFALLEAVTNNFSDSNVLGQGGFGCVYKAQFEEGVLAAVKKLDGGGYECVREFENELNLLERIRHANIISLAGYCIHEETRFLVYELMQNGSLETLLHGPTRGSTLTWHIRMKIALDTARGLEYLHEHCDPPIIHRDLKSSNILLDSDFNAKIADFGLAVTSGNLSKGHVKISGTLGYVAPEYLLDGKLSEKSDVYAFGVVLLELLMGRRPVEKLGPSQCQTLVTWAMPQLTDRSKLPNLIDPAIRNTMDLKHLYQVAAVAVLCIQPEPSYRPLITDILHSLIPLVPIELGGTHRVIDPLPQA >DRNTG_28567.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9947300:9950832:-1 gene:DRNTG_28567 transcript:DRNTG_28567.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSPSSSTLSAHQEGSAAYDEFSVQQGLLFSESLKDLKNLRSQLYSAAEYFELSYTNDDHKQVVVNTLKDYAVKALVNTVDHLGSVSYKVDDLLNEKVDEVSASEIRVSCIEQRVQACQDYIDHQGLSQQTLLISTPKYHKRYILPVGVSMPESGRQATAVCQEIDVLEENYESEQFQAAVRSTIRYKTPPVSKKMRSPSPSPRARSSSPSHRKVNYSLPSNHSGNLLSTEKRRSVSPLPISNPFARSGSLSSRATVRNAPSTRRYPSEPKKSASVRLHAERNDSREFRGKIKQK >DRNTG_28567.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9947300:9950832:-1 gene:DRNTG_28567 transcript:DRNTG_28567.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLSPSSSTLSAHQEGSAAYDEFSVQQGLLFSESLKDLKNLRSQLYSAAEYFELSYTNDDHKQVVVNTLKDYAVKALVNTVDHLGSVSYKVDDLLNEKVDEVSASEIRVSCIEQRVQACQDYIDHQGLSQQTLLISTPKYHKRYILPVGVSMPESGRQATAVCQEIDVLEENYESEQFQAAVRSTIRYKTPPVSKKMRSPSPSPRARSSSPSHRKVNYSLPSNHSGNLLSTEKRRSVSPLPISNPFARSGSLSSRATVRNAPSTRRYPSEPKKSASVRLHAERNDSREFRGKIKQK >DRNTG_11294.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1470159:1472974:-1 gene:DRNTG_11294 transcript:DRNTG_11294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIEAQQHQEQEAQHEQEVQVSKTLRRVVLTVNFIMMFLGCTASPLLLRLYFVRGGHRIWLSSWIQTAGFPIVFIPLIISYYHRRKTDKHAKPYLITQNLFLSSLGLGILIGIDNLLYAYGVSYLPISTSSILISTQLGFTALFAFFIVKQKFTACSINAVALLSFGALILGLNSDGDRPEGESKGEYFEGFFMTLGAAILYAFVLPVVELTYTKANQAITYTLVMEMQLVMGVFATAFCTVGMFIAKDFQVIPREGKAFGLGEFNYYQVLVWSAILWQFCFLGIIGAIHYTSALVTGIFLMVLIPVTEVFAIIFFHEEFNSGKGISLALFLWGFVSYFYGELKAKKKKKKKQQQLAVSVLELPKGTA >DRNTG_02166.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29235056:29240800:-1 gene:DRNTG_02166 transcript:DRNTG_02166.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbamoyl-phosphate synthase small chain, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G27740) UniProtKB/Swiss-Prot;Acc:Q9LVW7] MATFLRFPSPTTIPRDGSFLSSSLVPLQNPSSHSFLPSFSSIPAPDAHRLCLKAQAASGAVHGHSDRNVSDLVERPWKTNDARLVLEDGSIWRAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNPDDEESRQCFLAGLVIRSLSICTSNWRCRESLGEYLAKRNIMGIYDVDTRAITRRLRQDGSLIGVLSTEESLTDEHLLDLARKWKIVGVDLITGVSCDAPYEWIEKTNTEWEFNKECSHSENFHVVAYDFGIKHNILRRLAAYGCRITVVPSTWPASETLNLKPDGVLFSNGPGDPAAVPYAVQTVKEIIGKVPVFGICMGHQLIGQALGGRTFKMKFGHHGGNHPVGNHVNGRVEISAQNHNYAVDPASLPAGVEVTHRNLNDNSCAGLACRELNLISLQYHPESSPGPHDSDPAFKEFIQLMKANKA >DRNTG_02166.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29235056:29240800:-1 gene:DRNTG_02166 transcript:DRNTG_02166.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbamoyl-phosphate synthase small chain, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G27740) UniProtKB/Swiss-Prot;Acc:Q9LVW7] MATFLRFPSPTTIPRDGSFLSSSLVPLQNPSSHSFLPSFSSIPAPDAHRLCLKAQAASGAVHGHSDRNDLVERPWKTNDARLVLEDGSIWRAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNPDDEESRQCFLAGLVIRSLSICTSNWRCRESLGEYLAKRNIMGIYDVDTRAITRRLRQDGSLIGVLSTEESLTDEHLLDLARKWKIVGVDLITGVSCDAPYEWIEKTNTEWEFNKECSHSENFHVVAYDFGIKHNILRRLAAYGCRITVVPSTWPASETLNLKPDGVLFSNGPGDPAAVPYAVQTVKEIIGKVPVFGICMGHQLIGQALGGRTFKMKFGHHGGNHPVGNHVNGRVEISAQNHNYAVDPASLPAGVEVTHRNLNDNSCAGLACRELNLISLQYHPESSPGPHDSDPAFKEFIQLMKANKA >DRNTG_08697.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18028629:18030523:1 gene:DRNTG_08697 transcript:DRNTG_08697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDSTQSNFQDSAQSTFQAWLIAVIVISCLSVAGTAVGAYFLYKCFTKHGLPQININSNSTPANPSSATDGDEKMTAQNSWIEDATVERFLNNIAKEKPIRFTRQQLAGLTRNYTIRLGSGGFGTVYKGQLPNGVQVAVKVLNTGGSEDKRLMEQQFMAEIGTIGRTFHANLVRLYGFCYDSIVRALIYEFMDKGSLDTYLFDKSHTIAWDKLHEIAIGTAKALSYLHDECEQRIIHYDIKPANC >DRNTG_08697.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18028629:18030523:1 gene:DRNTG_08697 transcript:DRNTG_08697.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDSTQSNFQDSAQSTFQAWLIAVIVISCLSVAGTAVGAYFLYKCFTKHGLPQININSNSTPANPSSATDGDEKMTAQNSWIEDATVERFLNNIAKEKPIRFTRQQLAGLTRNYTIRLGSGGFGTVYKGQLPNGVQVAVKVLNTGGSEDKRLMEQQFMAEIGTIGRTFHANLVRLYGFCYDSIVRALIYEFMDKGSLDTYLFDKSHTIAWDKLHEIAIGTAKALSYLHDECEQRIIHYDIKPANC >DRNTG_31356.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22562453:22567693:1 gene:DRNTG_31356 transcript:DRNTG_31356.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein arginine N-methyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT3G12270) UniProtKB/Swiss-Prot;Acc:Q0WVD6] MLVVEQEYLAQAGASKVIAVEASAKMAGLATQIAKDNCLLKEGDQDSQEKASSGVITVAHCMVEELNNCIQVKPHSIDVLISEWMGYCLLYESMLTSVLYARDHWLKPGGAILPDTATIFAAGFGKGGTSLPFWEDVYGFNMSCIGNEAVEDATQVPIVDVIETQDIITESAVVQAFDLTTMNSDEMDFTSNFELNLKSVCQADGSANSKSQIYWCHGIVLWFETGFTSRFCKEMATVLSTSPYSPRTHWSQTILTFKEPVAMESASSATEFPKSVAVGSVQCPAAQVRSRLSIVRSSAHRSIDISMELTAISLDGRKHSYPVQIFSL >DRNTG_31356.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22562453:22567693:1 gene:DRNTG_31356 transcript:DRNTG_31356.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein arginine N-methyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT3G12270) UniProtKB/Swiss-Prot;Acc:Q0WVD6] MVDKSISMEAGPSQAGDHDESDEELEQNEAWDDWRSDDDGESEPGLICLFCDSTYESSETLFDHCRSDHSFDFLSIRRVLGLDFYASFRLINFIRSQVADCKCWRCGLVFNCKTDLQNHFHETDVFEKEGKFPWENDMYLKPFLVDDALLHSFAGNEDEDDDPVSVDKEELMRELMSSGVLEEIRVDSELITEINSSDLDVCEKNGVQEGPCVDGNASKCSERILTKDSVGDLVIPPQKQKDKQLRVSFANVAAKEIKTVNEDYFGAYGSFGIHRVMLSDKPRMDAYRGALLNNPSLMNKATVMDVGCGTGILSLFAAQAGASKVIAVEASAKMAGLATQIAKDNCLLKEGDQDSQEKASSGVITVAHCMVEELNNCIQVKPHSIDVLISEWMGYCLLYESMLTSVLYARDHWLKPGGAILPDTATIFAAGFGKGGTSLPFWEDVYGFNMSCIGNEAVEDATQVPIVDVIETQDIITESAVVQAFDLTTMNSDEMDFTSNFELNLKSVCQADGSANSKSQIYWCHGIVLWFETGFTSRFCKEMATVLSTSPYSPRTHWSQTILTFKEPVAMESASSATEFPKSVAVGSVQCPAAQVRSRLSIVRSSAHRSIDISMELTAISLDGRKHSYPVQIFSL >DRNTG_31356.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22562453:22567693:1 gene:DRNTG_31356 transcript:DRNTG_31356.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein arginine N-methyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT3G12270) UniProtKB/Swiss-Prot;Acc:Q0WVD6] MYLKPFLVDDALLHSFAGNEDEDDDPVSVDKEELMRELMSSGVLEEIRVDSELITEINSSDLDVCEKNGVQEGPCVDGNASKCSERILTKDSVGDLVIPPQKQKDKQLRVSFANVAAKEIKTVNEDYFGAYGSFGIHRVMLSDKPRMDAYRGALLNNPSLMNKATVMDVGCGTGILSLFAAQAGASKVIAVEASAKMAGLATQIAKDNCLLKEGDQDSQEKASSGVITVAHCMVEELNNCIQVKPHSIDVLISEWMGYCLLYESMLTSVLYARDHWLKPGGAILPDTATIFAAGFGKGGTSLPFWEDVYGFNMSCIGNEAVEDATQVPIVDVIETQDIITESAVVQAFDLTTMNSDEMDFTSNFELNLKSVCQADGSANSKSQIYWCHGIVLWFETGFTSRFCKEMATVLSTSPYSPRTHWSQTILTFKEPVAMESASSATEFPKSVAVGSVQCPAAQVRSRLSIVRSSAHRSIDISMELTAISLDGRKHSYPVQIFSL >DRNTG_10587.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8709246:8712512:1 gene:DRNTG_10587 transcript:DRNTG_10587.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDPRFLTGNSSPTDPYEVGGYTHLGDHGTYGTYVAPPFSPAVPGRMHDFPGPMMNGFEFQPLETCPKNFIIFDQSDNKGRVMFHPALAQKFSYPSFDIHPTLAHNHGQNLEKVNEIRGKLSSSLKEDTEEIDALLSSEEEEEEEEEEDEDEEDDVISTGRTPSNWGYNSHYSSSSTEGSKSRKAKSSSSAHNSFSSSASSSDRKRERMRKMVKALRGIIPGGDHLDTPAVLDEAVRYLKSLKMEAKKLGIQNLEE >DRNTG_10587.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8709576:8712512:1 gene:DRNTG_10587 transcript:DRNTG_10587.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDPRFLTGNSSPTDPYEVGGYTHLGDHGTYGTYVAPPFSPAVPGRMHDFPGPMMNGFEFQPLETCPKNFIIFDQSDNKGRVMFHPALAQKFSYPSFDIHPTLAHNHGQNLEKVNEIRGKLSSSLKEDTEEIDALLSSEEEEEEEEEEDEDEEDDVISTGRTPSNWGYNSHYSSSSTEGSKSRKAKSSSSAHNSFSSSASSSDRKRERMRKMVKALRGIIPGGDHLDTPAVLDEAVRYLKSLKMEAKKLGIQNLEE >DRNTG_10587.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8709576:8712565:1 gene:DRNTG_10587 transcript:DRNTG_10587.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDPRFLTGNSSPTDPYEVGGYTHLGDHGTYGTYVAPPFSPAVPGRMHDFPGPMMNGFEFQPLETCPKNFIIFDQSDNKGRVMFHPALAQKFSYPSFDIHPTLAHNHGQNLEKVNEIRGKLSSSLKEDTEEIDALLSSEEEEEEEEEEDEDEEDDVISTGRTPSNWGYNSHYSSSSTEGSKSRKAKSSSSAHNSFSSSASSSDRKRERMRKMVKALRGIIPGGDHLDTPAVLDEAVRYLKSLKMEAKKLGIQNLEE >DRNTG_10587.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8709576:8712512:1 gene:DRNTG_10587 transcript:DRNTG_10587.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDPRFLTGNSSPTDPYEVGGYTHLGDHGTYGTYVAPPFSPAVPGRMHDFPGPMMNGFEFQPLETCPKNFIIFDQSDNKGRVMFHPALAQKFSYPSFDIHPTLAHNHGQNLEKVNEIRGKLSSSLKEDTEEIDALLSSEEEEEEEEEEDEDEEDDVISTGRTPSNWGYNSHYSSSSTEGSKSRKAKSSSSAHNSFSSSASSSDRKRERMRKMVKALRGIIPGGDHLDTPAVLDEAVRYLKSLKMEAKKLGIQNLEE >DRNTG_10587.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8709576:8712512:1 gene:DRNTG_10587 transcript:DRNTG_10587.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDPRFLTGNSSPTDPYEVGGYTHLGDHGTYGTYVAPPFSPAVPGRMHDFPGPMMNGFEFQPLETCPKNFIIFDQSDNKGRVMFHPALAQKFSYPSFDIHPTLAHNHGQNLEKVNEIRGKLSSSLKEDTEEIDALLSSEEEEEEEEEEDEDEEDDVISTGRTPSNWGYNSHYSSSSTEGSKSRKAKSSSSAHNSFSSSASSSDRKRERMRKMVKALRGIIPGGDHLDTPAVLDEAVRYLKSLKMEAKKLGIQNLEE >DRNTG_30892.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13446263:13446981:1 gene:DRNTG_30892 transcript:DRNTG_30892.2 gene_biotype:protein_coding transcript_biotype:protein_coding YQQARYLQREQGNHHRSPSVRDFTRSWY >DRNTG_30892.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13446263:13446934:1 gene:DRNTG_30892 transcript:DRNTG_30892.4 gene_biotype:protein_coding transcript_biotype:protein_coding YQQARYLQREQGNHHRSPSVRDFTRSWY >DRNTG_30892.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13446263:13446934:1 gene:DRNTG_30892 transcript:DRNTG_30892.3 gene_biotype:protein_coding transcript_biotype:protein_coding YQQARYLQREQGNHHRSPSVRDFTRSWY >DRNTG_30892.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13446263:13446981:1 gene:DRNTG_30892 transcript:DRNTG_30892.1 gene_biotype:protein_coding transcript_biotype:protein_coding YQQARYLQREQGNHHRSPSVRDFTRSWY >DRNTG_24405.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001301.1:7408:98559:-1 gene:DRNTG_24405 transcript:DRNTG_24405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLGEVLLELLSEALGLKPDHLKEMECAHGIVAACHYYPPCPEPHFALGTSKHSDPGFLTILLQDKSIGGLQILHKSKWVDVPPSPGCLIINIADLL >DRNTG_16056.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25737692:25739161:-1 gene:DRNTG_16056 transcript:DRNTG_16056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLEKENSVIEELMKGEEKVNMLVSFFPDEKAKELLREVRSSIMKAISVFNESSEVSEVCQESDRRSESTGRKRKGVTVKEGKGGSRRRMSSFPSRKVVAKTAEDGFSWRKYGQKEIFGSSSPRSYFRCTHKHDRNCQATRQVQKSEEDPSMYVITYMGEHTCKDPINATSQLLASAPHHASSCLISFASGNNGENIELPFGPFSSLKQENDEEVLSNMTSGSSPPPEFTTFEQMLPEMTPEETSGFDCSNSDCLDLGMIQGFFDFDSIINQEAMFPN >DRNTG_02805.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:989774:996709:1 gene:DRNTG_02805 transcript:DRNTG_02805.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMISWFLRTTVLWLLLLHITSSAEDYNFTFNGFHNANLSLDGNSSFSANGILQITNATQQSKGQAFYPSPLRFKMSPSSAARSFSTTFVFAIVSQYPGFSSYGFTFCISPTKALDGESGHYMGLLNSTNNGHPGNHIIGVEFDTILTPEFQDIDDNHVGIDIQSEISNSSHTTGYYTGNTNADFHNLSLSSGQRMQVWVEYDSKVLQLNVTLAPFRVPMPKRPLLSFNVDLSSQILEEMYVGFTSSEGDDLTSHSILGWSFNMDGKATDLDLDSLPSLPQSNTKNHKSMLWTVWLAVSVSLCLLTAVLIIRHVVARRAKFAELREDWEQEYGPHRFSYKELFQATEGFKDRHFLGFGGFGSVHRGVLPTTKAEVAVKKVSHESRQGIREFVAEIVSLGQLRQRNLVKLLGYCRRKGELLLVYEFMPNGSLDKYLFSQTTPCLDWNQRFRIIKGVASGLLYLHEEWVKVVIHRDIKASNVLLDSEFNARLGDFGLARLYDHGTDFQTTHVMGTMGYLAPELARRGKATTSSDVYAFGVFLLEVACGRRPIEPNVDLDGEDVVLIEWVLDSWRKGDIIASCDGRLQKQYVLEEMELVLKLGLLCCHPMASSRPSMRQAMQFLNGDSTLPEFSPFSLNLDFLISHADEGFDNFMLSCPSSVPSTVSLLSDGR >DRNTG_02805.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:989774:996709:1 gene:DRNTG_02805 transcript:DRNTG_02805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMISWFLRTTVLWLLLLHITSSAEDYNFTFNGFHNANLSLDGNSSFSANGILQITNATQQSKGQAFYPSPLRFKMSPSSAARSFSTTFVFAIVSQYPGFSSYGFTFCISPTKALDGESGHYMGLLNSTNNGHPGNHIIGVEFDTILTPEFQDIDDNHVGIDIQSEISNSSHTTGYYTGNTNADFHNLSLSSGQRMQVWVEYDSKVLQLNVTLAPFRVPMPKRPLLSFNVDLSSQILEEMYVGFTSSEGDDLTSHSILGWSFNMDGKATDLDLDSLPSLPQSNTKNHKSMLWTVWLAVSVSLCLLTAVLIIRHVVARRAKFAELREDWEQEYGPHRFSYKELFQATEGFKDRHFLGFGGFGSVHRGVLPTTKAEVAVKKVSHESRQGIREFVAEIVSLGQLRQRNLVKLLGYCRRKGELLLVYEFMPNGSLDKYLFSQTTPCLDWNQRFRIIKGVASGLLYLHEEWVKVVIHRDIKASNVLLDSEFNARLGDFGLARLYDHGTDFQTTHVMGTMGYLAPELARRGKATTSSDVYAFGVFLLEVACGRRPIEPNVDLDGEDVVLIEWVLDSWRKGDIIASCDGRLQKQYVLEEMELVLKLGLLCCHPMASSRPSMRQAMQFLNGDSTLPEFSPFSLNLDFLISHADEGFDNFMLSCPSSVPSTVSLLSDGR >DRNTG_02805.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:989774:996709:1 gene:DRNTG_02805 transcript:DRNTG_02805.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMISWFLRTTVLWLLLLHITSSAEDYNFTFNGFHNANLSLDGNSSFSANGILQITNATQQSKGQAFYPSPLRFKMSPSSAARSFSTTFVFAIVSQYPGFSSYGFTFCISPTKALDGESGHYMGLLNSTNNGHPGNHIIGVEFDTILTPEFQDIDDNHVGIDIQSEISNSSHTTGYYTGNTNADFHNLSLSSGQRMQVWVEYDSKVLQLNVTLAPFRVPMPKRPLLSFNVDLSSQILEEMYVGFTSSEGDDLTSHSILGWSFNMDGKATDLDLDSLPSLPQSNTKNHKSMLWTVWLAVSVSLCLLTAVLIIRHVVARRAKFAELREDWEQEYGPHRFSYKELFQATEGFKDRHFLGFGGFGSVHRGVLPTTKAEVAVKKVSHESRQGIREFVAEIVSLGQLRQRNLVKLLGYCRRKGELLLVYEFMPNGSLDKYLFSQTTPCLDWNQRFRIIKGVASGLLYLHEEWVKVVIHRDIKASNVLLDSEFNARLGDFGLARLYDHGTDFQTTHVMGTMGYLAPELARRGKATTSSDVYAFGVFLLEVACGRRPIEPNVDLDGEDVVLIEWVLDSWRKGDIIASCDGRLQKQYVLEEMELVLKLGLLCCHPMASSRPSMRQAMQFLNGDSTLPEFSPFSLNLDFLISHADEGFDNFMLSCPSSVPSTVSLLSDGR >DRNTG_02805.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:992675:993919:1 gene:DRNTG_02805 transcript:DRNTG_02805.5 gene_biotype:protein_coding transcript_biotype:protein_coding MREFVAEIVSLGKLRHKNLVQLLGYCRRKGELLLVYEYMPNGSLEKFLFSETKQTLDWSLRLQIIKGVAYGLQYLHEGWDQVVIHRDIKASNVLLDGDMNGRLGDFGLARLYDHGMAPQTTNVVGTLGFLAPELARACKVTTSSDVFAFGAFLLEVVCGRRAIEPNKQEMEQVLVDWVFTNWKMGTLYETKDPRLGKDYALEELDLILKLGLFCSHPLPSARPSMRQVTQILNGDALLPPLLPYQCHFSDIDSEVNKGASSFVAIDRTTLKHFTY >DRNTG_02805.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:989774:993919:1 gene:DRNTG_02805 transcript:DRNTG_02805.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSESLTNFCKMPLKIFFWAFLLKLALSASDHFTYNGFKGVNLSLDGLAGITSEGLLRLTNSTMHEQGHAFFPVPLRFKRSPANNVISFSTTFVFAIFPEHPMLGSAGFTFVLSPSKDLTKASPNYFLGLVNPTNNGNASNHILAVEFDTWYSPEAKDINNNHVGIDINSFISNQSTSAGFTSDYDGKFQNLILLSGKAMQVWIEYDEINMQFNVTLAPLWTPKPKIALLSSTINLSGIILDNMYVGFTAAVGTSYSYHYILGWSFKIDGKAPELNLSNLPPLPQTSTSFKKNQKILSLWLPLALSVFVLITAAAVLVTAAKKKKFSELHEDWELDFESYRFPYKQLYTATRGFKDEYLLGIGGFGRVYRGVLPASKVEVAVKRVSHESKQGMREFVAEIVSLGKLRHKNLVQLLGYCRRKGELLLVYEYMPNGSLEKFLFSETKQTLDWSLRLQIIKGVAYGLQYLHEGWDQVVIHRDIKASNVLLDGDMNGRLGDFGLARLYDHGMAPQTTNVVGTLGFLAPELARACKVTTSSDVFAFGAFLLEVVCGRRAIEPNKQEMEQVLVDWVFTNWKMGTLYETKDPRLGKDYALEELDLILKLGLFCSHPLPSARPSMRQVTQILNGDALLPPLLPYQCHFSDIDSEVNKGASSFVAIDRTTLKHFTY >DRNTG_06982.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15351754:15367231:1 gene:DRNTG_06982 transcript:DRNTG_06982.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDELTGVPINRATRFENKVGSLNVVAGESLKKKRIFERFFIDLVAGESLIKERAAARFNDFVGSLDVVAGEPLLLLPRRFRQKRAWMELKKIWRTKKKVNGFIIDKVKGGYSVAIAEGWIVSVDDLEDLIGGHVWLGSICILGGIWHILTKPFAWAHRAFVRSGEAYLSYSLGALSVFGFIACCFIWLNNTAEFDGPTGPEASQAQALTFLVRDQR >DRNTG_17052.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22257264:22261437:-1 gene:DRNTG_17052 transcript:DRNTG_17052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVCSTAGATGVAGAAAVVPPSRAQCRRLLPLAARDGPRRLMAVRCSREGSMAVSAPLETVVGEGKGLAEKLRLGRLLEDGLSYKESFIVRCYEVGINKTATVETIANLLQEVGCNHAQSVGFSTDGFATTNTMRELRLIWVTSRMHIEIYKYPAWGDVVEIETWCQGEGRIGTRRDWIIKDLATGAVIGRATSKWVMMNQDTRKLQRVTDEVREEYLVFCPRQPRLAFPEEDNASLKKIPKLKDPVENSRLGLTPRRADLDMNQHVNNVTYIGWVLESMPQEIIDTHELQMITLDYRRECQQDDVVDSLTSLELTEGEEKIVHHASTNGSASGKRDGLDHCQFLHFLRLAGTGLEINRGRTEWRKLVR >DRNTG_33273.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23901683:23906399:1 gene:DRNTG_33273 transcript:DRNTG_33273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKTSEASSPLSLTSEEKEGEEPEEYEEEEVEEEVIVEEEVEEEEEVGSLEKEEALDGGSVASIAGSLNNLRSVNDATSEFFRQYDGLQHALEVIRTSIEEKIEKFNAFRPQTQQVNQASDPQMEEKPVVQEDDRRSELEIICDAMGSRALRRYVASRLSDVERLREEVPAALQRAPNPPKLVLGCMGRFYLQGSKAYTRESDMVSSRRSCVLILEFYLLSGCASMKEEIFEEARVGALAWKARILSEGGYLFASEIDALGLILFLASFGIPRDFGTKDFYALLQRCNLNSKVNILRRSTILIDKMPEILKDMLSNKMDVQAVDLACSFGFEKEFPPIPLLSSFLDKHLQAATGDRRGQSSLKSQKELNAKELAVLESVVKCLEDHKLNQSQLAHFEIYKKIAKLEKDISYAERKLKERNLKRKAAVGGSLHATETQTKRPWPVTANVPHGLPLHLDHGTTVPSESRGQYNDLFSGNKPYQHRTEPLGPNIHGPAAVGSSLPAVAIGGPGHPDGSNIGSLMHTIGHLFGHTDTPYTTANTANQDLSGMPYTAGNDFPRPYGEKSFPGPSAAAGQNIHHYQSHLYGYGTHGSSLNMYQFPDTATEQQAYYDQVTHSAQQIGANSTYPPSYQT >DRNTG_08954.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17769909:17781296:1 gene:DRNTG_08954 transcript:DRNTG_08954.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEETESCGSRAVGSPTTHTPQQRQKLDVYNEVLRRLKDLDCPDARSPSFDDELWAHFNRLPARYAMDVNVERAEDVLTHKRLLQLAHDPANRPAFEVRVVQVSPVHDVNLINCSDPSAQGEEDAPCSSNYFSRQSTHPPPAFGSSANLEALALEASKPQVQDGDSAANSFTDLPRPMHEITFSTDDKPKLLSQLTSLLAEVGLNIQEAHAFSTNDGYSLDVFVVSGWPYEETDQLKYELQTELDKIEKQAGSKSYSWSPVMDNAHSGEESLPAHVQIPTDGTDVWEIDFRLLKFESKVASGSYGDLYRGTYCSQDVAIKVLKPERVNVDMQREFAQEVYIMRKVRHKNVVQFIGACTRPPKLCIITEFMSGGSVYDFLHKQKGVFKLPALLRVAIDVSKGMNYLHQNNIIHRDLKAANLLMDENEVVKVADFGVARVKAQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFSIVLWELLTGKLPYEYLTPLQAAVGVVQKGLRPTIPKNTHFKLTELLEKCWQQDPDLRPDFSEILEMLQVIAKEVGDEPDDKIKEKSSGGFLSVLRRGL >DRNTG_05001.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4432307:4435883:1 gene:DRNTG_05001 transcript:DRNTG_05001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCPPNSKTFNVRIIAPAARTANATAKTSDFFTDADQESVSPKNTMKKKGPQRSQSLSAFPGSSRLGFGNTTSFKLLTILNRSRFTVSHTGSVSVLDCDPNPSDAIPPPPEVAEEIGGRAGAVGGRGGGGLLGRLEG >DRNTG_21405.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:642559:643419:1 gene:DRNTG_21405 transcript:DRNTG_21405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITIKKSSNTTHPTSHFKHLQRRLQIPRSTQNRSITSKRPRRRMLKQSRRGKQQRESPRSRTTKTTRVQVKVRVWG >DRNTG_32343.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9498026:9500129:-1 gene:DRNTG_32343 transcript:DRNTG_32343.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEMFNPDLYEGLFDQEEDDEEVMILGSTEEVPSTLGILKKVLRKMKRARRRHRKCSKAARDVREPKKLDEPLLGKTNGHVVFPHAH >DRNTG_11390.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6003773:6009036:1 gene:DRNTG_11390 transcript:DRNTG_11390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVFVFDLFSEDGDEKKFFYHTAKTRELDNLLGDIYHKILDMERAIVRGLVSHVLQFAPQLIRAVDFAAELDCLLSLSLVALQNNYVRPILTEDSLIDIQHRRLIAEFTYMAVYSACKSYMFYKK >DRNTG_11390.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6006899:6009036:1 gene:DRNTG_11390 transcript:DRNTG_11390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVFVFDLFSEDGDEKKFFYHTAKTRELDNLLGDIYHKILDMERAIVRGLVSHVLQFAPQLIRAVDFAAELDCLLSLSLVALQNNYVRPILTEDSLIDIQHRRLIAEFTYMAVYSACKSYMFYKK >DRNTG_11390.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6007799:6009036:1 gene:DRNTG_11390 transcript:DRNTG_11390.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCIFDQQIDDCTLEKFQGFEFVFSEDGDEKKFFYHTAKTRELDNLLGDIYHKILDMERAIVRGLVSHVLQFAPQLIRAVDFAAELDCLLSLSLVALQNNYVRPILTEDSLIDIQHRRLIAEFTYMAVYSACKSYMFYKK >DRNTG_11390.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6006899:6010260:1 gene:DRNTG_11390 transcript:DRNTG_11390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVFVFDLFSEDGDEKKFFYHTAKTRELDNLLGDIYHKILDMERAIVRGLVSHVLQFAPQLIRAVDFAAELDCLLSLSLVALQNNYVRPILTEDSLIDIQHRRLIAEFTYMAVYSACKSYMFYKK >DRNTG_11390.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6003439:6010260:1 gene:DRNTG_11390 transcript:DRNTG_11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVFVFDLFSEDGDEKKFFYHTAKTRELDNLLGDIYHKILDMERAIVRGLVSHVLQFAPQLIRAVDFAAELDCLLSLSLVALQNNYVRPILTEDSLIDIQHRRLIAEFTYMAVYSACKSYMFYKK >DRNTG_03174.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4479881:4486115:-1 gene:DRNTG_03174 transcript:DRNTG_03174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTRCQTLVEQNQVGMRKESHGEGD >DRNTG_21032.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:175690:176672:-1 gene:DRNTG_21032 transcript:DRNTG_21032.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSIFAGDDANRKLEQPGRRLYNPYHDLQIPYKNIYDLPTSPEFLFQEESIAQRRSWGENLTYYTGIGYLSGAVVGGALGLREAIRGAEPGDTMKLRINRVLNSSGQSGRRIGNRLGVLGLLYAGMESGLVAVRDSDDWMNSVAAGLGTGALFKAASGPRSAAVAGAIGGLMVGAAVAGKQVLKRYVPI >DRNTG_21032.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:173460:176672:-1 gene:DRNTG_21032 transcript:DRNTG_21032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSIFAGDDANRKLEQPGRRLYNPYHDLQIPYKNIYDLPTSPEFLFQEESIAQRRSWGENLTYYTGIGYLSGAVVGGALGLREAIRGAEPGDTMKLRINRVLNSSGQSGRRIGNRLGVLGLLYAGMESGLVAVRDSDDWMNSVAAGLGTGALFKAASGPRSAAVAGAIGGLMVGAAVAGKQVLKRYVPI >DRNTG_04577.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:22251206:22251589:1 gene:DRNTG_04577 transcript:DRNTG_04577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPTCISIPTCPYEQVAHTDSFRPHHRRVHQSPGALCLIRSDLLRPLHHETSAGYGSLGYSARGKEDENTCVIEPRDDEVDGHGPQSSGRGLRFSSTSSGDS >DRNTG_15208.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16988147:16988617:-1 gene:DRNTG_15208 transcript:DRNTG_15208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTGQGSQLVSGGNPEHVGSHQDVQRLP >DRNTG_08814.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28062082:28063242:1 gene:DRNTG_08814 transcript:DRNTG_08814.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTLDVIFGRTSRQTAKLKALLKLCVQRITFIGNQHRIQCLQSRGDILQLLKLGYRDRAIARAEQAIKEQNVLDVFVMIEAYCHLLHEKATFLEHQNECPDELREAISSLIFAASRSGELPELQDVRRMFAEKFGREFSSDVVQLHGDFHVNQKMIQKLSKKHINLETKLMVVIEIAEKEGIEFKLEGLHF >DRNTG_02791.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1134424:1136190:1 gene:DRNTG_02791 transcript:DRNTG_02791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPSSPELITSFCCFSHSPRAQVRDFNNFKNSARPSRILFFSNDSWIDFARKVFDDLCAAFVAGKPAVDVTVDGKSCLVNFLSMSLIEVDTGILKSIAWIDCNGRCFFPRVLPERMHASQLKKRPLCDDHCWKAKKKEKIMESSDFDERSDESPDVCSVIASPEKQSWPDLLSLSEESASYKHVEDLFLAGVRPLDSDIVVTGVRKCTHASRSAKCRKSTFDMNVQSTKALRGDSNVKLGWYGASPKEVAGIIAHGFGQPNYKSFGHGAHGVGVHLSPPNFGFASSLLSTPDENGEKHLILCQVLMGNSEQIPAGSYQFHPSNDLFDTAVDDLKSPRWFIVWTTHMNTHILPLYVVTFKSSNQIHGSCRMVNVAEKPSFTSVPFPKLFAEVAKSLPEFQVRALEMQFHRHKEGKMSKEAFIRCLRTLAGDKLLSTSLQRIRGH >DRNTG_21239.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:251902:252768:-1 gene:DRNTG_21239 transcript:DRNTG_21239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQQVEEEHNLNDAITTTITTTDGRVLTISNFISTFDESAKKRLEIMNQKLRGMEMQMEALEAEMSKAITFSD >DRNTG_20812.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22116858:22118324:1 gene:DRNTG_20812 transcript:DRNTG_20812.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRVVDLESMIGEEEDMDGAPAALDSLSSQRDVLYSCGYCGYALNLSSSQRNTTNIGSKYGKAIKKGVVLFYSIDDSRFSHVDELRCLPFFTSKHSWGFLRQSTKLLCRKCGAYIGSSYDVGFTPVTPDSASDSNSSNGVAKKYKIRIGALQPSSDESGIPICA >DRNTG_20120.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22590332:22591326:-1 gene:DRNTG_20120 transcript:DRNTG_20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTGIMPDEGIYTALINCYSKMGKIVNAFRLLKNLCI >DRNTG_20120.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22590263:22591237:-1 gene:DRNTG_20120 transcript:DRNTG_20120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTGIMPDEGIYTALINCYSKMGKIVNAFRLLKNLCI >DRNTG_20120.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22590371:22591237:-1 gene:DRNTG_20120 transcript:DRNTG_20120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTGIMPDEGIYTALINCYSKMGKIVNAFRLLKNLCI >DRNTG_20120.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22590332:22591237:-1 gene:DRNTG_20120 transcript:DRNTG_20120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTGIMPDEGIYTALINCYSKMGKIVNAFRLLKNLCI >DRNTG_32118.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1358008:1359121:1 gene:DRNTG_32118 transcript:DRNTG_32118.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISQKPETTNGNGYAIKVGATGTIGSLMSRELESMKEGSQASSSTQKKPQSPPVSVPCGSTPRKTQLRRNSTSDSSGSSSSTSSSNSNTGLNKIPTNAQKPKQNPWKNGHRMPMLGADDVNADRMNNRDQKKPNSYIVEVVDLKCSNPMSSRLKKLGFSKLSESTA >DRNTG_32118.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1357194:1359121:1 gene:DRNTG_32118 transcript:DRNTG_32118.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISQKPETTNGNGYAIKVGATGTIGSLMSRELESMKEGSQASSSTQKKPQSPPVSVPCGSTPRKTQLRRNSTSDSSGSSSSTSSSNSNTGLNKIPTNAQKPKQNPWKNGHRMPMLGADDVNADRMNNRDQKKPNSYIVEVVDLKCSNPMSSRLKKLGFSKLSESTA >DRNTG_32118.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1356283:1359121:1 gene:DRNTG_32118 transcript:DRNTG_32118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQKPETTNGNGYAIKVGATGTIGSLMSRELESMKEGSQASSSTQKKPQSPPVSVPCGSTPRKTQLRRNSTSDSSGSSSSTSSSNSNTGLNKIPTNAQKPKQNPWKNGHRMPMLGADDVNADRMNNRDQKKPNSYIVEVVDLKCSNPMSSRLKKLGFSKLSESTA >DRNTG_19254.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:316203:316863:1 gene:DRNTG_19254 transcript:DRNTG_19254.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIPCLKGCGFFGNPDQRNLCSQCYKDEILASVRTSIQKQIHLEKEMTDLKVEPVVPLPAIKTKTVTKTTKRCESCKKKIGLTGFSCKCGGEFCSSHRLPETHHCSIDFKGSGKNSIAKNNPVVKADKVHRI >DRNTG_26740.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:27472353:27475829:-1 gene:DRNTG_26740 transcript:DRNTG_26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSITRMKAFCDIWGTKQSLGFVLDGPPYGPPYWAVWDAIQNTREAFSGYTAPRQAFLHDYSPSLVCKFLWNDDVPFILRRALRELGHKEAMENKKISFGRKREEKPEFHTTQEKGLFG >DRNTG_24576.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7598353:7599492:1 gene:DRNTG_24576 transcript:DRNTG_24576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVDGTSSSRPSIITSSFSTSWSRNPSYRSRFNI >DRNTG_22514.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3404986:3408480:-1 gene:DRNTG_22514 transcript:DRNTG_22514.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSLPFQSPNSQASASIASNSSPNSPSIPHLLITNSTKTNPNREKFNQKIRSLFGESEVFRVSPLTSFMASK >DRNTG_23471.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2803272:2805178:1 gene:DRNTG_23471 transcript:DRNTG_23471.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCGNCEKPKNHFKKGLWSPEEDQRLKDYILRNGHGCWSEVPVKAGLQRNGKSCRLRWINYLRPGLKRGIFSTEEEKIVINLHALLGNKWSQIANHLPGRTDNEVKNHWNSYLKKRVKNSQEASKSKELDNRNIACNQTIEPLASSSMDSSSSEGTTTTTITTQSSFIPKVIFADWFSMENVNGESSLMNGDTSSMSCILDLEQNDTNFLDGFGESECVYGFEAQGQMGSQDGFFDLFYLGDLWRRFRSSLHASFLEMVIASLFHEKLSKVMLAIENSI >DRNTG_16603.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31286948:31291494:-1 gene:DRNTG_16603 transcript:DRNTG_16603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRASFHILDKLKSLIAFFNYWKADLSLGLFLDAFKYIFQPI >DRNTG_16603.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31286948:31291494:-1 gene:DRNTG_16603 transcript:DRNTG_16603.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYRASFHILDKLKSLIAFFNYWKADLSLGLFLDAFKYIFQPI >DRNTG_16603.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31286948:31290094:-1 gene:DRNTG_16603 transcript:DRNTG_16603.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYRASFHILDKLKSLIAFFNYWKADLSLGLFLDAFKYEVDTFFESYSPFQIQFDIYSNFCRYIFQPI >DRNTG_16603.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31286948:31291494:-1 gene:DRNTG_16603 transcript:DRNTG_16603.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRASFHILDKLKSLIAFFNYWKADLSLGLFLDAFKYIFQPI >DRNTG_16603.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31286948:31290094:-1 gene:DRNTG_16603 transcript:DRNTG_16603.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYRASFHILDKLKSLIAFFNYWKADLSLGLFLDAFKYIFQPI >DRNTG_16576.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:83768:84547:1 gene:DRNTG_16576 transcript:DRNTG_16576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGSETFMSGIGHLDSRVNLYKKSEETFEIGSKALMDLCMMASKLAYENANVVHNIIVNHWKMHFVDFYNCWDDYQKEESTQVFMLCDKAKDASLILISFRGTEPFDANDWCTDFDYSWYEIPKMGKVHMGFLEALGLGSRAQVSTFHANFQPKDHACALKDASPDDYDDEKKI >DRNTG_07076.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2095189:2096556:1 gene:DRNTG_07076 transcript:DRNTG_07076.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDERRNLASELAKDVAEEKGVLPPPAEQKPDDSKALTIVEKVADPPAEKIADRDAILARVETEKRMSLIRAWEDSVKKLKLKNR >DRNTG_18841.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2602056:2604662:1 gene:DRNTG_18841 transcript:DRNTG_18841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVLMVSGLVGTQIVFALYLVFLNKVLNLGVHPLFLVVFSNLTGSLFLLPFAVVLERKKWPRTLTPTLGIQLASQAIGGVTVFQTLTLLGVEKTSPAIAAAMPNLAPGFTFIIAACLRLEKVEMRCKFTWTKIIGTILCLTGVIAMSFLQSPTTSSKLTYKVSLGDNNETEWIIGCGYLFISVVIISCITVLQAVTMQTFRAPFSLCFVTFSVGAIFTAITQIIVEGKMEIGSTAISLTGVIGIVLLGGAVTGVCVTFQTWCVKQKGPVLVSMFSPIQTVFSAVFAALILRQLISIGSTTGIALMFAGLYMFLWAKKKESYQVPDDIAKVTVNDVEKPLLS >DRNTG_00198.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:166689:169036:1 gene:DRNTG_00198 transcript:DRNTG_00198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVLPRRFSPSVSPLLLPRRGFSLPEAPLFTLIPRIKAFLCLAKKSDSPPYGQTVLKQSILDDANGFEDASEEVAENDGSDEFEEERGSLEEEFIEDLDDEILMEDDEDFEDDFEADVDNVNVGDGVGGGGVSLAGTWWDKKALSIAEEVSMLFDGDLKLYAFKTSANSSIRVRIEKLSNKSSSPSMADIQAFSSEYRARLDEAELAGTVPVNISLEVSSPGVERVVRIPEDLDRFKDRPMYVKYTADGDQESDGIFRLISFDLDLCNCTWGIADVKKNRQQAGKGRPLNNKQREWRLQTSFDSLRIVRLHSDC >DRNTG_00034.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21632983:21639615:1 gene:DRNTG_00034 transcript:DRNTG_00034.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTSADQDTRFSDKQAKLLKSQKFASELDHLVDITKVKMDVMRPWIATRTTELLGFEDEVLINFIYGLLDQKLVDGKKIQIQLTGFMEKNTVKFMKELWGLLLSAQENASGVPQQFLDAKEKEMREKKAEADRITQEIQRRKDREVREREVEKKNKMDVEPDVAKHTKPTSDLVAEPLSPRGFSRQPKEVDEKDGRHDHTIRKSVHFSLEFLLSFLRD >DRNTG_00034.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21632983:21639615:1 gene:DRNTG_00034 transcript:DRNTG_00034.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTSADQDTRFSDKQAKLLKSQKFASELDHLVDITKVKMDVMRPWIATRTTELLGFEDEVLINFIYGLLDQKLVDGKKIQIQLTGFMEKNTVKFMKELWGLLLSAQENASGVPQQFLDAKEKEMREKKAEADRITQEIQRRKDREVREREVEKKNKMLASPIIWVHTFFTSNYCRTWSLT >DRNTG_00034.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21632983:21639615:1 gene:DRNTG_00034 transcript:DRNTG_00034.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTSADQDTRFSDKQAKLLKSQKFASELDHLVDITKVKMDVMRPWIATRTTELLGFEDEVLINFIYGLLDQKLVDGKKIQIQLTGFMEKNTVKFMKELWGLLLSAQENASGVPQQFLDAKEKEMREKKAEADRITQEIQRRKDREVREREVEKKNKMDVEPDVAKHTKPTSDLVAEPLSPRGFSRQPKEVDEKDGRHDHTIRKHTSSPESNDHSLSPLRRARSPARSSSNSYSKSKSYSRNGHKSRSRSRSISYSPTRRYKSPKRRSISPDVLHKSTRGRPISPHHRSSPPKTRSPTRWRSPYTRRRSNSRSRRRSPSPIRRRSPYLRRRSPPSFYRRSPIQDRSSPPRRRSRTPRRSPRKRSPPITRRRSRSPLRRTSPLHKSPSTRQRSPTPSRRRSPQRRPPLSRRRSPTSRRSPQRRSPFARPRSPTPSRRRSPQRRSPLTRRRSATPARMISPRRMLSSPGRRRSNTPSRRRSPRRRSPVAHSPSRTPSPRRGSPYSRIKKSVSSASGKSSSPSRSPPSRSPKQKMLTVPSPRKVKVDPQTLIRQRYRSHSSDGGQSPAGRIGRGSNGDHIHHSTGVEQFRDYYVSERSHSLSESPVKQRREQMTNPKSKEISKDEDEISDCRENIPDETYSRNKTTKHDPAARMKKLGQSDAGHYDKKVGHVSPTSEEREYGPGTNLKETHSPDFNANKKIDSNNLLDTIDSRSEDSDDGHRKLEKQRHKKSHGRKRECDDDDDDSGRDERKEAKRKRKDEKRQRKEEKRQRREERRQKKLERRSAKLRVKSMINVTPPSGYEENQVSYTKRDSHSSDAEGTESEQKRLEIELRNKALESLKAKKATSH >DRNTG_19356.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5552478:5553689:-1 gene:DRNTG_19356 transcript:DRNTG_19356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVQIPPYFLCPISLEIMSDPVTLSTGITYERKTIEKWMFTDKHNTCPVTKQPVFDYDFTPNHNLRRLIQAWCATNASFGVERFPTPRPQVTKTDILSLLSEAKKPEYQINSLKKLRKIILESDRNKHCVESTPGALDFLISIIKKNISSFDTSACDEALMILSSIQISAQGLLNLIKSHDDDLIHLFTSVMKKSNYQNRFHATLVLSSLIEATTPSKLIALKDELFIEVVKVLSDRLSAKATKAALKILAELCPLGRNRVKAAEACAVSVLVELLLDEPERKTCEIMLMVLDQLCGCAEGRAAMVGHAAGIAIISKKVLRVSCVATEKAVRILHSVSKFSATPAVLQEMLNVGAVGKLCMAVQVDCGLKTKEKAKEILKLHSRVWRNSPCIAYRFQGSYPS >DRNTG_24445.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10113913:10114681:-1 gene:DRNTG_24445 transcript:DRNTG_24445.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVIYRPANIVCARVSVAWEWMNLSVDDFRDDVVVMLGWKGRPQSLSLLYRANRVSPCFVKESVTDAGSDPTLLVFTSLPLSTTGNGMN >DRNTG_20069.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1328452:1329044:-1 gene:DRNTG_20069 transcript:DRNTG_20069.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVCFNALYNVTNEIAEITLKEYGWNPINTLSKSWGKLCNAFLQEAKWFASKQVPNKDEYLRNAATSSGVFIVIVHLFFLMGQGLTLENIQHIENDPSFVSKSGTILRLWDDLGSAKVLLLIHSLFFSSS >DRNTG_20069.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1327704:1329753:-1 gene:DRNTG_20069 transcript:DRNTG_20069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGALTMVPQPHHSKCRIELTKAIAFIYIIDDIFDVYGTLDELSVFTQAINKWDISAIDNLPNYMKVCFNALYNVTNEIAEITLKEYGWNPINTLSKSWGKLCNAFLQEAKWFASKQVPNKDEYLRNAATSSGVFIVIVHLFFLMGQGLTLENIQHIENDPSFVSKSGTILRLWDDLGSAKDENQKGFDGSYLELHMKEHQDCTAKEAREHVMLMISRTWDALNKESFTQSSFPKPLINATLNLARMVRVMYSYDDNHHLPMLDDFVFSLLHHPL >DRNTG_20069.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1327704:1329044:-1 gene:DRNTG_20069 transcript:DRNTG_20069.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVCFNALYNVTNEIAEITLKEYGWNPINTLSKSWGKLCNAFLQEAKWFASKQVPNKDEYLRNAATSSGVFIVIVHLFFLMGQGLTLENIQHIENDPSFVSKSGTILRLWDDLGSAKDENQKGFDGSYLELHMKEHQDCTAKEAREHVMLMISRTWDALNKESFTQSSFPKPLINATLNLARMVRVMYSYDDNHHLPMLDDFVFSLLHHPL >DRNTG_17280.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5176104:5177837:-1 gene:DRNTG_17280 transcript:DRNTG_17280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQSVAVIDGEKYEEITEDEQRGSAEMTEPVEHEKYASDSSTNTIDSKMVEESQLICDRKETEAMDDKIGESIDPPVSSVCREENEDRTTTTPTEPIESTNDSGKALDTQPEYVEQMPNIVDEVEKIAAEIVPAQSEFAQDLEKQIMHQSDNKEKILAMPCNEEVIAESVALVEGDQIEKIAEEISAEKLKLKEESDGEKSVPAVGNEIAKIAEESATILTTDIDPTLSETSEISEEKTGNNEESSSIIQSVIADEAHTFVKIAAESATATTLDIVPTQSGQTELSEEKPDSTEESSLIMPLLTEGEGKIENVAEDSAAMEFDIVSTQSEHAEILEDKPDFNEESSSIMQSIAAERAKIEHIAEESAVIMTPDIVPTKNDSADNQVVNAQDTFSEEKRLLEENQKLREMLEKLFEAGKEQLEVISTLNRRVKNLEKKVTSSQKKKPRVKMNKTSKSTTYFTGNNNTVTSAA >DRNTG_17280.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5176104:5177837:-1 gene:DRNTG_17280 transcript:DRNTG_17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQSVAVIDGEKYEEITEDEQRGSAEMTEPVEHEKYASDSSTNTIDSKMVEESQLICDRKETEAMDDKIGESIDPPVSSVCREENEDRTTTTPTEPIESTNDSGKALDTQPEYVEQMPNIVDEVEKIAAETSTITPEIVPAQSEFAQDLEKQIMHQSDNKEKILAMPCNEEVIAESVALVEGDQIEKIAEEISAEKLKLKEESDGEKSVPAVGNEIAKIAEESATILTTDIDPTLSETSEISEEKTGNNEESSSIIQSVIADEAHTFVKIAAESATATTLDIVPTQSGQTELSEEKPDSTEESSLIMPLLTEGEGKIENVAEDSAAMEFDIVSTQSEHAEILEDKPDFNEESSSIMQSIAAERAKIEHIAEESAVIMTPDIVPTKNDSADNQVVNAQDTFSEEKRLLEENQKLREMLEKLFEAGKEQLEVISTLNRRVKNLEKKVTSSQKKKPRVKMNKTSKSTTYFTGNNNTVTSAA >DRNTG_23581.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7038122:7041218:1 gene:DRNTG_23581 transcript:DRNTG_23581.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVRGTVTSARRISVTKAAMIMARFADAGAETGARSDVAAYLRCASAGFEELAHVHRELRGERRRSQDEIHGGEEENEKGEKERKRKEKRNPRLEELGGKWKSSDLDGGIGEKSVGFEEKRDLEDNGLVLEVEKEKKRKKKKRKENDLDKTEAGEEEKSESMINEKKKRSKKDRNLDNSENLGEEEEDGMKKENKRRNSVMDETEFEVDGDGKKRKHSKDGVVDERELHKKKKRKTKEQQS >DRNTG_23581.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7030595:7041218:1 gene:DRNTG_23581 transcript:DRNTG_23581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRKGIETLTMRAVRGTVTSARRISVTKAAMIMARFADAGAETGARSDVAAYLRCASAGFEELAHVHRELRGERRRSQDEIHGGEEENEKGEKERKRKEKRNPRLEELGGKWKSSDLDGGIGEKSVGFEEKRDLEDNGLVLEVEKEKKRKKKKRKENDLDKTEAGEEEKSESMINEKKKRSKKDRNLDNSENLGEEEEDGMKKENKRRNSVMDETEFEVDGDGKKRKHSKDGVVDERELHKKKKRKTKEQQS >DRNTG_03838.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9813863:9817463:-1 gene:DRNTG_03838 transcript:DRNTG_03838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRLQMYSYAKTVHDPAEKSRKQRIHMGVWKLSMPVWKFHDGRVVAHARGVARFQHYLKPIQPRFWFLERFYGFDIARRLEEGYRESFRRHRSGEVYP >DRNTG_20389.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19272266:19273312:1 gene:DRNTG_20389 transcript:DRNTG_20389.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHSSQAEHDEVHIPRHPHYEGYRSFHSSDDINVTRSSHLHGFPLVEPSKYSVDFGMDFVRNLFEYQPHPPMECEQSSRSTSFRQQNWESPPNPSYFAANLHTQASAIYDLDESSDDDPPAEQARRPRPRGLPDRDIHPPQCFTERWQRRR >DRNTG_12395.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6459149:6462679:-1 gene:DRNTG_12395 transcript:DRNTG_12395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSSSSSSSSSSSSSSSSSFCISITREPLLLDPLLVVTKQQKSSKRRKLLFLFPSTRFVSHCFLALLALWGCFNISRIILFSSSLPCKSNQEQQVIQRPSVPLRPCLNFSEEYKNRTVKLHRRRYMMVVASGGLNQQRNQIVDAVVIARVIGAILVVPVLQVNQVWGDESEFGDIFDERHFKETLRDDVVVVSSLPSTHLLKRRVHAPPMPLHTDEDWLLANYMPKLTRQSILTLRAFDSRLSKNLSSDLQKLRCKVAFKAIKFQPRIEETADMLVSRMSKNGPYMALHLRLEKDVWVRTGCLPGLGEKADVEIKNERLSSPNLLTSRSNLLAEVRYLAGLCPLNGLETSMLLNGLDIPKNTRIYWAGGEPFGGVTALKPLKLLFPHLYNKWNLEKSGELDDFKQKPSILAALDYIVCLKSQVFMENHGGNMARALQGHRTYMGYGMHIKPNKRLLIQLFQNKSLEDSEKKKKIKQIHLEDLDLDLIKGRKRNMDAITFPAYHCMCNKDLRASNDTKW >DRNTG_12395.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6461996:6462679:-1 gene:DRNTG_12395 transcript:DRNTG_12395.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSSSSSSSSSSSSSSSSSFCISITREPLLLDPLLVVTKQQKSSKRRKLLFLFPSTRFVSHCFLALLALWGCFNISRIILFSSSLPCKSNQEQQVIQRPSVPLRPCLNFSEEYKNRTVKLHRRRYMMVVASGGLNQQRNQIVDAVVIARVIGAILVVPVLQVNQVWGDER >DRNTG_12395.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6461678:6462679:-1 gene:DRNTG_12395 transcript:DRNTG_12395.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSSSSSSSSSSSSSSSSSFCISITREPLLLDPLLVVTKQQKSSKRRKLLFLFPSTRFVSHCFLALLALWGCFNISRIILFSSSLPCKSNQEQQVIQRPSVPLRPCLNFSEEYKNRTVKLHRRRYMMVVASGGLNQQRNQIVDAVVIARVIGAILVVPVLQVNQVWGDESEFGDIFDERHFKETLRDDVVVVSSLPSTHLLKRRVHAPPMPLHTDEDWLLANYMPKVSSSTLNYIHWGVILS >DRNTG_18623.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2870641:2871410:-1 gene:DRNTG_18623 transcript:DRNTG_18623.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVIHGFSGGPVIFLSNSWIHSRSDNPQSRILFSNQAYLPSQTPNGLMDL >DRNTG_18623.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2870641:2875333:-1 gene:DRNTG_18623 transcript:DRNTG_18623.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVIHGFSGGPVIFLSNSWIHSRSDNPQSRILFSNQAYLPSQTPNGLMDL >DRNTG_15607.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10312776:10316154:-1 gene:DRNTG_15607 transcript:DRNTG_15607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDNTIGRDFKGYRRLLDCPNCNDEENVDITTTYTDASGNVRTYKVKSNDLSSSWIWASSADEEVSQYIGAQKGFSEQVVNRSQHGDVLLSNKEQQTNPVDPVKLKRQILRQRRREQRAAELIQVSDDAELQMQAAAIQRSRQFKNINRGKYSIWRREYQSPNSDSTLKLMKDQIIMAKVYASIARLKKNFELADSLTECIRQNQMVIGEASSDAELHWRAPHQAKIMGHLLSLAKDMLYECDDVARKLRVMIQSTEESINALKKQSTFLIQHAAKTTPRPLHCLPLQLTTDYFFFNYGNKEMEDKGKLVDPSLYHYAIFSDNVLATSVVVNSTAAHAKEPEKHVFHIVTDKLNFAAMKMWFILHPPPHATVDVENIDSFKWLNSSYCSVLRQLESSRLKEYYFKAHHPSSLSAGHENLKYRNPKYLSMLNHLRFYMPEVYPKLEKILFLDDDVVVQKDLTPLWSIDMNGMVNGAVETCKESFHRFDTYLNFSNPKISQNFDPQACGWAFGMNMFDLKEWTKRNITGIYHYWQDLNEDRTLWKLGSLPPGLITFYNLTYPLDRNWHVLGLGYDPAINPTEIENAGVIHYNGNYKPWLDLAISKYKSYWSKYVMSDNPYLQFCNINP >DRNTG_32692.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:10528694:10532724:1 gene:DRNTG_32692 transcript:DRNTG_32692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCPLIMLGSTLIYEVGDDLEEDVAASYNQNLDKVLAELPAPIISGTIVTIEDLQQEFSCNISIKHREDFDEENEPDGMVLSGWTSAPTEKDDRIKSNGESSSAALEKLDNADEVEITAGREPIESSGLKRKHFEISERPDKEASIAHHPGSSSANQGMPQDIDDNEEDDLVLLDDSPEIGKKKRLK >DRNTG_29053.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2827923:2830890:-1 gene:DRNTG_29053 transcript:DRNTG_29053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENIDVAERLFVEAAQRSFLAFGLMRSLNQHVEDSNIHQKKLQLTVEDLRKHNKEIITHLQTSEAKLASLRGYASKCSTLNDENKRLGDKGSSLSFDAERQSICATAVREYRESLNCYQRKCAVGVTFSKTGFYLARELLEKEHGRAYPELVFSKTSSIVEPLPWRSFDPTEEDVTISLNSGVHDDLDNLIGPWEFFGKNGVSSESHDDEISALQGLDEEQVFDEMPSPEPPVHPAP >DRNTG_00375.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18510304:18513149:-1 gene:DRNTG_00375 transcript:DRNTG_00375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGAQSVQQALTLEAASVLKQSLSLARRRGHAQVTPLHVAATLLSFSPSSSSCFPSPNVLRRACLKSHPHHPSSHPLQCRALELCFNVALNRLPTIPPPSSGPLTHPHPSLSNALIAALKRAQAHQRRGSIEQQQQQPQQSQQQQQQQQQQQQQQQQPLLAIKVELEQLIISILDDPSVSRVMREAGFSSTCVKANIEEYSLSSSSSSSSSSPCSSSSSSSCHREFINQVLKPSQKEDLKVVFEVMLRKQGKRNNTVVVGDSLASTEGLVTELICRIERGVDIPDELKCCHALRFHLSSLHLRLMSKTEIEIKVSELKKKISFLADGSSGVIVYVGDLKWVVNEEIEVLGFKPVEFMVAELQRLLIELKSFKVWLMATANYQTYIKCQTRQPSLENQWSLQAVVVPSGGLALSLQASSVKEEQEKLICCPECTLNYEKEASLYKHNNNSNMNETSLPSWLQIQRLDYNQKDTLVELKRKWSRLCQSLHHSRNKQIHLYPPLFSSSNPWWLSNSVTYQTPDRSINSAKQWLSELSLSSLKKCANTDVRTTLALSNPLISDSATSKDSLIQGMDDDRKQELRRRLEENISCHSEIIPSIIDALLDCVSTTTKKGACLLIEGSDHIAKQRIERVLADWFGGFSDRIIVKMNMKVSAMSENEFIDGVIGALSKHPKCVFFLDGIDQTQANLTKSLSQIGRKVNLANAIFVLITASDGDSAMNVLKMKLCTKENNELKRKQELQFPNKSKRVKIGGESELKLDLNISAEDEQDVPSDLTQETDNDNDNGDIDMPRITLNSDPAKDQQLSEKLMSKLRRAFKEIQEATGEFSVDSNTVKCMVKACGTFTEQVFDEWVKQVFQTSLLTVKKGGNVWLCLDSNKEGDVIGVVGFQGSC >DRNTG_12634.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1330169:1334133:-1 gene:DRNTG_12634 transcript:DRNTG_12634.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPVLALCFLFILIGAKAGNQNGTIGFSRPKALSLGALFTLNSTIGKAAKFAIELAVGDVNNDPSVLPGTRLNVIIQDTNCSGFLGIIEAFQIMEKDVVAVVGPQSSMLAHVISNIVNELHVPLLSFAATDPTLDSLQYSYFIRTIHSDYYQMNAIADVIQFYEWREVIAIFVDDDYGRSGITALGDALAKKRTKISYKAAIPPNADRTTINDVLVQVNLMESRVYVVHVNPDSGMTVFSIAKRLGMMTSGYVWIATDWLASVLDSSENPSPNTMNLIQGALTLRHYTPNTVLKRRFVSRWRDLLRKGKTTSSLNAYGLYAYDTIWMAAYAIDRLLNEGANLSFSGDPSFHDTNGSHLHLSSLRTFDSGNKLLEQLLSSNFTGLTGQLQFDSDKHLIHPAYEILNIGGTGTRRIGYWSNYSSLSVVTPEALYGKPLNTSSTRKQLYDVIWPGETTTKPRGWVFPNNGKPLRIGVPYRASYTDFVTKDNGPDNVKGYCIDVFIAALKLVPYPVPHTFILFGNGSANPSYNELVQKVADNTFDAAVGDISIVTNRTRIVDFTQPYTESGLVIVATVKELSTSPWAFLKPFTFQMWCVTGAFFLFIGLVVWILEHRTNDEFRGPPRQQIVTIFWFSFSTMFFAHRENTVSTLGRVVLIIWLFVVMIINSSYTASLTSILTVQQLSSKIEGLDSLISSSDPIGYQVGSFAKNYLMEELNIAESRLVVLKRPEDYARALDLGPKAEGGVAAIVDELPYVELFLSSYCRFKTVGQEFTKSGWGFAFQRDSPLAVDLSTAILELSENGDLQKIHDEWLTTNDCSSPDDVIESNRLSLKSFWGLFLICGIACVGAVIMFFIKLCLQSIKYPGEEIESGSIESTPSERRPSRLPSIQSLLTVFDKRETIVKEAIKKTKSDKRLQNCQSSDFSSD >DRNTG_12634.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1330169:1334133:-1 gene:DRNTG_12634 transcript:DRNTG_12634.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPVLALCFLFILIGAKAGNQNGTIGFSRPKALSLGALFTLNSTIGKAAKFAIELAVGDVNNDPSVLPGTRLNVIIQDTNCSGFLGIIEAFQIMEKDVVAVVGPQSSMLAHVISNIVNELHVPLLSFAATDPTLDSLQYSYFIRTIHSDYYQMNAIADVIQFYEWREVIAIFVDDDYGRSGITALGDALAKKRTKISYKAAIPPNADRTTINDVLVQVNLMESRVYVVHVNPDSGMTVFSIAKRLGMMTSGYVWIATDWLASVLDSSENPSPNTMNLIQGALTLRHYTPNTVLKRRFVSRWRDLLRKGKTTSSLNAYGLYAYDTIWMAAYAIDRLLNEGANLSFSGDPSFHDTNGSHLHLSSLRTFDSGNKLLEQLLSSNFTGLTGQLQFDSDKHLIHPAYEILNIGGTGTRRIGYWSNYSSLSVVTPEALYGKPLNTSSTRKQLYDVIWPGETTTKPRGWVFPNNGKPLRIGVPYRASYTDFVTKDNGPDNVKGYCIDVFIAALKLVPYPVPHTFILFGNGSANPSYNELVQKVADNTFDAAVGDISIVTNRTRIVDFTQPYTESGLVIVATVKELSTSPWAFLKPFTFQMWCVTGAFFLFIGLVVWILEHRTNDEFRGPPRQQIVTIFWFSFSTMFFAHRENTVSTLGRVVLIIWLFVVMIINSSYTASLTSILTVQQLSSKIEGLDSLISSSDPIGYQVGSFAKNYLMEELNIAESRLVVLKRPEDYARALDLGPKAEGGVAAIVDELPYVELFLSSYCRFKTVGQEFTKSGWGFAFQRDSPLAVDLSTAILELSENGDLQKIHDEWLTTNDCSSPDDVIESNRLSLKSFWGLFLICGIACVGAVIMFFIKLCLQSIKYPGEEIESGSIESTPSERRPSRLPSIQSLLTVFDKRETIVKEAIKKTKSDKRLQNCQSSDFSSD >DRNTG_12634.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1330169:1333077:-1 gene:DRNTG_12634 transcript:DRNTG_12634.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDVVAVVGPQSSMLAHVISNIVNELHVPLLSFAATDPTLDSLQYSYFIRTIHSDYYQMNAIADVIQFYEWREVIAIFVDDDYGRSGITALGDALAKKRTKISYKAAIPPNADRTTINDVLVQVNLMESRVYVVHVNPDSGMTVFSIAKRLGMMTSGYVWIATDWLASVLDSSENPSPNTMNLIQGALTLRHYTPNTVLKRRFVSRWRDLLRKGKTTSSLNAYGLYAYDTIWMAAYAIDRLLNEGANLSFSGDPSFHDTNGSHLHLSSLRTFDSGNKLLEQLLSSNFTGLTGQLQFDSDKHLIHPAYEILNIGGTGTRRIGYWSNYSSLSVVTPEALYGKPLNTSSTRKQLYDVIWPGETTTKPRGWVFPNNGKPLRIGVPYRASYTDFVTKDNGPDNVKGYCIDVFIAALKLVPYPVPHTFILFGNGSANPSYNELVQKVADNTFDAAVGDISIVTNRTRIVDFTQPYTESGLVIVATVKELSTSPWAFLKPFTFQMWCVTGAFFLFIGLVVWILEHRTNDEFRGPPRQQIVTIFWFSFSTMFFAHRENTVSTLGRVVLIIWLFVVMIINSSYTASLTSILTVQQLSSKIEGLDSLISSSDPIGYQVGSFAKNYLMEELNIAESRLVVLKRPEDYARALDLGPKAEGGVAAIVDELPYVELFLSSYCRFKTVGQEFTKSGWGFAFQRDSPLAVDLSTAILELSENGDLQKIHDEWLTTNDCSSPDDVIESNRLSLKSFWGLFLICGIACVGAVIMFFIKLCLQSIKYPGEEIESGSIESTPSERRPSRLPSIQSLLTVFDKRETIVKEAIKKTKSDKRLQNCQSSDFSSD >DRNTG_12634.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1330169:1334133:-1 gene:DRNTG_12634 transcript:DRNTG_12634.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPVLALCFLFILIGAKAGNQNGTIGFSRPKALSLGALFTLNSTIGKAAKFAIELAVGDVNNDPSVLPGTRLNVIIQDTNCSGFLGIIEAFQIMEKDVVAVVGPQSSMLAHVISNIVNELHVPLLSFAATDPTLDSLQYSYFIRTIHSDYYQMNAIADVIQFYEWREVIAIFVDDDYGRSGITALGDALAKKRTKISYKAAIPPNADRTTINDVLVQVNLMESRVYVVHVNPDSGMTVFSIAKRLGMMTSGYVWIATDWLASVLDSSENPSPNTMNLIQGALTLRHYTPNTVLKRRFVSRWRDLLRKGKTTSSLNAYGLYAYDTIWMAAYAIDRLLNEGANLSFSGDPSFHDTNGSHLHLSSLRTFDSGNKLLEQLLSSNFTGLTGQLQFDSDKHLIHPAYEILNIGGTGTRRIGYWSNYSSLSVVTPEALYGKPLNTSSTRKQLYDVIWPGETTTKPRGWVFPNNGKPLRIGVPYRASYTDFVTKDNGPDNVKGYCIDVFIAALKLVPYPVPHTFILFGNGSANPSYNELVQKVADNTFDAAVGDISIVTNRTRIVDFTQPYTESGLVIVATVKELSTSPWAFLKPFTFQMWCVTGAFFLFIGLVVWILEHRTNDEFRGPPRQQIVTIFWSASCMYHSKLQRCLLAKC >DRNTG_05719.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5299300:5300827:1 gene:DRNTG_05719 transcript:DRNTG_05719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIAPEPLARRQDERAASIVRARRSSPTSSPPRARNPRRRRSPPPPRQIATTPLPRQQQSPRLWQPPTMAAPPTVAAQPVTLGEDVTATLMQACQILMTEFPRLVARVIPRRPHSKRLAKKRRTILSLSPPLDRR >DRNTG_16274.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4443929:4447703:1 gene:DRNTG_16274 transcript:DRNTG_16274.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATFRWVLQLHRDVPRAARFYSEGLDFTVNVCTLRWAELQSGPLKLALMHSSSDIVSQKGYSSMLSFTVTDINSSVTKLMALGAELDGPIKYEIHGKVAALRCIDGHMLGLHEPA >DRNTG_16274.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4443929:4447703:1 gene:DRNTG_16274 transcript:DRNTG_16274.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATFRWVLQLHRDVPRAARFYSEGLDFTVNVCTLRWAELQSGPLKLALMHSSSDIVSQKGYSSMLSFTVTDINSSVTKLMALGAELDGPIKYEIHGKVAALRCIDGHMLGLHEPA >DRNTG_16274.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4443929:4447703:1 gene:DRNTG_16274 transcript:DRNTG_16274.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATFRWVLQLHRDVPRAARFYSEGLDFTVNVCTLRWAELQSGPLKLALMHSSSDIVSQKGYSSMLSFTVTDINSSVTKLMALGAELDGPIKYEIHGKVAALRCIDGHMLGLHEPA >DRNTG_16274.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4443929:4447703:1 gene:DRNTG_16274 transcript:DRNTG_16274.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATFRWVLQLHRDVPRAARFYSEGLDFTVNVCTLRWAELQSGPLKLALMHSSSDIVSQKGYSSMLSFTVTDINSSVTKLMALGAELDGPIKYEIHGKVAALRCIDGHMLGLHEPA >DRNTG_16274.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4443929:4447703:1 gene:DRNTG_16274 transcript:DRNTG_16274.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATFRWVLQLHRDVPRAARFYSEGLDFTVNVCTLRWAELQSGPLKLALMHSSSDIVSQKGYSSMLSFTVTDINSSVTKLMALGAELDGPIKYEIHGKVAALRCIDGHMLGLHEPA >DRNTG_16274.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4443929:4447703:1 gene:DRNTG_16274 transcript:DRNTG_16274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATFRWVLQLHRDVPRAARFYSEGLDFTVNVCTLRWAELQSGPLKLALMHSSSDIVSQKGYSSMLSFTVTDINSSVTKLMALGAELDGPIKYEIHGKVAALRCIDGHMLGLHEPA >DRNTG_16274.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4443929:4446182:1 gene:DRNTG_16274 transcript:DRNTG_16274.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATFRWVLQLHRDVPRAARFYSEGLDFTVNVCTLRWAELQSGPLKLALMHSSSDIVSQKGYSSMLSFTVTDINSSVTKLMALGAELDGPIKYEIHGKVAALRCIDGHMLGLHEPA >DRNTG_29709.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001499.1:13869:23985:1 gene:DRNTG_29709 transcript:DRNTG_29709.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVNQPVSTYRFRVVRFIPKPCKAGFPRESRIGLSSEIFAVREEEPKDPSERETNGLFGDGVDGGEDLVSWESRSLLVCRSQSKQRRTCSLWLSIPRMGITSSAKISLRTPFAILWHPACLLCPQQTMELQNGGVLGSDTNEEANSSRYEKQKEPNYVSQCAGVLRCRVMPPPCVKALYLKVNLANDLDLFRDSRSKLAELPRKLDDVLLAQSLWWLCRLLGAEKSRMTARAKALQFIHEHGLAHMDVKLENIYIKNGVYKLGDFGCATLAALHSHSQSNYFPLPFAIGPAGVPFFIGPVGGQCIRDFISLTQEFGVCLIWLKQVRRWTGIRGETLKFTKTEKKPSSFQVALSIVNMMNSDRDWSSLGDLAIHGISNLQNCIDHVRFRSVCSNWRRKDIIPLGDFVNLHQSFYCGSAFGWVFVSRITNYRVTGGRGNWQRLKITLINPFTGRVVRLPLLDRAPGGQVLLLESAPHVNAIPSVVLYYMVENGRVSNEVNCLKIADKTWTTFDFSHSERQFRFGPKDRGFYSIEQRPSDLIVFDGRCS >DRNTG_10769.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:12445569:12449976:1 gene:DRNTG_10769 transcript:DRNTG_10769.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPIVFNPLMAKPLFPFSDDVVPMEASSSSLPPSFTAIVQSTRRSTQDSSPDVIDIDMDDAVMETPVTVKSLSDYKNKQIVGYDSNWQNLVKDALAEDVIDSVAYNGPKEDDMLGFLNGSDPDSSNSNYYNEDEDEDEGEEEDEDDEFGYDEDDHVGHATNQDYNYSLAATFDGLGLPTGVEATLSWLQDSSVKMRSMQEKIQDEIDRRFKAFKQFDTVQDHSDHYFFRGKGGVALPEIKKPPKEWVTRIQNEWKLLEKDLPETIYVRVYEERMDLLRAVMVGPSGTPYHDGLFFFDICFPPNYPHSPPQAHYHSGGLRINPNLYSNGKVCLSLLNTWPGTGCENWNPKKSTMLQVLVSIQALILNAKPYFNEPGYEQYANTRHGEERSKHYNEETFLHSCKTMLYSLRRPPKACTILHQLLLP >DRNTG_10769.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:12445569:12449976:1 gene:DRNTG_10769 transcript:DRNTG_10769.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPIVFNPLMAKPLFPFSDDVVPMEASSSSLPPSFTAIVQSTRRSTQDSSPDVIDIDMDDAVMETPVTVKSLSDYKNKQIVGYDSNWQNLVKDALAEDVIDSVAYNGPKEDDMLGFLNGSDPDSSNSNYYNEDEDEDEGEEEDEDDEFGYDEDDHVGHATNQDYNYSLAATFDGLGLPTGVEATLSWLQDSSVKMRSMQEKIQDEIDRRFKAFKQFDTVQDHSDHYFFRGKGGVALPEIKKPPKEWVTRIQNEWKLLEKDLPETIYVRVYEERMDLLRAVMVGPSGTPYHDGLFFFDICFPPNYPHSPPQAHYHSGGLRINPNLYSNGKVCLSLLNTWPGTGCENWNPKKSTMLQVLVSIQALILNAKPYFNEPGYEQYANTRHGEERSKHYNEETFLHSCKTMLYSLRRPPKHFEDLVAGHFRERGKTILTACTAYMRGVEVASPITDDDDDNGVIPKVMIDDGRRSSFKPSLMKLFEDLLMEFTVKGAECTKFLDQKAKAGASNAKDAAALL >DRNTG_10769.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:12445569:12449976:1 gene:DRNTG_10769 transcript:DRNTG_10769.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRAVMVGPSGTPYHDGLFFFDICFPPNYPHSPPQAHYHSGGLRINPNLYSNGKVCLSLLNTWPGTGCENWNPKKSTMLQVLVSIQALILNAKPYFNEPGYEQYANTRHGEERSKHYNEETFLHSCKTMLYSLRRPPKHFEDLVAGHFRERGKTILTACTAYMRGVEVASPITDDDDDNGVIPKVMIDDGRRSSFKPSLMKLFEDLLMEFTVKGAECTKFLDQKAKAGASNAKDAAALL >DRNTG_31445.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:737584:742196:1 gene:DRNTG_31445 transcript:DRNTG_31445.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAVANDNIAADVFHTRHMNNVSDAFPVEMAANDCIFPVMMPSVDQPEAENATQTFQHDFIQNDVPHTVKEELGLSVICQDVQEVGQPETLQMNDSYENDGIKTKNVHAFDSTLSDHHCCGFDGSDSLISQMPECSGSLHQLGYSSPEPGVPSMWRTMNDISMPTFAIDRHFEDNQGARALLDFDNIEEMHSPGCHGAMSEAELNERISDGALKSSKTVVDSEYMDISNSYMNFTDDWENPCYNGLNSILLNSPSDSNQHPENACGSTELGAIGTVGACPVSPSFACLEKSSDLHEQKNVDHDGNDSVCISGMNDSCMTSKASHNTESHECFMACVLNTEDPEIPCNDHIFVPDQLLPQSPDFEYNPIEKSASVSPSNKALDSGKADVQPISQPFASSFKAELPMLSSVGHRHSSDGCKLEAEAIGSGSRIGVAFGDPNSCTSINVSLQSGAMSASKEESVGLNLGKHDGDFTSFGKKPIQSSDLAKLYPSKIPDICKQETEVQVEMQGHMVYQPQSSGEMLDKDPEKIKSELDQEDNLSDSENDLPNFSDIEAMILDMDLGPYDQEPYLFTKAVSRYQCVDTKKAIIRLEQGAHSYMHRAISRHGAFAVFYGRHLKYYIKSPKVSLGRATEGVKVDIDLGREGHTKKISRRQAIIKLEQDGSFFMINVGKGSIFINSKEVPAGKRINLTSGCLIEIRGMRFIFEMNQSAASQYLRNSQGSKKDQDTKFEWLPGQAS >DRNTG_31445.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:737584:742196:1 gene:DRNTG_31445 transcript:DRNTG_31445.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAPLANWIPEDDLLLKNAVETGASLESLAKGAVRFSRRYTIKELQDRWRSLLYDSDTAQEASARIIEIEIELSVSNAPKANRISCLRGKEHLGKRKGDSVRSHYHALRKRVCSEPHSSADLGFLVRPTSHFSGNIIGFQQNLNSRTAHIVNSDATAISSKVREPLLNDHQHLGIGASHSFPDLPMADSAVANDNIAADVFHTRHMNNVSDAFPVEMAANDCIFPVMMPSVDQPEAENATQTFQHDFIQNDVPHTVKEELGLSVICQDVQEVGQPETLQMNDSYENDGIKTKNVHAFDSTLSDHHCCGFDGSDSLISQMPECSGSLHQLGYSSPEPGVPSMWRTMNDISMPTFAIDRHFEDNQGARALLDFDNIEEMHSPGCHGAMSEAELNERISDGALKSSKTVVDSEYMDISNSYMNFTDDWENPCYNGLNSILLNSPSDSNQHPENACGSTELGAIGTVGACPVSPSFACLEKSSDLHEQKNVDHDGNDSVCISGMNDSCMTSKASHNTESHECFMACVLNTEDPEIPCNDHIFVPDQLLPQSPDFEYNPIEKSASVSPSNKALDSGKADVQPISQPFASSFKAELPMLSSVGHRHSSDGCKLEAEAIGSGSRIGVAFGDPNSCTSINVSLQSGAMSASKEESVGLNLGKHDGDFTSFGKKPIQSSDLAKLYPSKIPDICKQETEVQVEMQGHMVYQPQSSGEMLDKDPEKIKSELDQEDNLSDSENDLPNFSDIEAMVKKNLF >DRNTG_31445.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:737584:742196:1 gene:DRNTG_31445 transcript:DRNTG_31445.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAISRHGAFAVFYGRHLKYYIKSPKVSLGRATEGVKVDIDLGREGHTKKISRRQAIIKLEQDGSFFMINVGKGSIFINSKEVPAGKRINLTSGCLIEIRGMRFIFEMNQSAASQYLRNSQGSKKDQDTKFEWLPGQAS >DRNTG_31445.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:737584:742196:1 gene:DRNTG_31445 transcript:DRNTG_31445.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAVANDNIAADVFHTRHMNNVSDAFPVEMAANDCIFPVMMPSVDQPEAENATQTFQHDFIQNDVPHTVKEELGLSVICQDVQEVGQPETLQMNDSYENDGIKTKNVHAFDSTLSDHHCCGFDGSDSLISQMPECSGSLHQLGYSSPEPGVPSMWRTMNDISMPTFAIDRHFEDNQGARALLDFDNIEEMHSPGCHGAMSEAELNERISDGALKSSKTVVDSEYMDISNSYMNFTDDWENPCYNGLNSILLNSPSDSNQHPENACGSTELGAIGTVGACPVSPSFACLEKSSDLHEQKNVDHDGNDSVCISGMNDSCMTSKASHNTESHECFMACVLNTEDPEIPCNDHIFVPDQLLPQSPDFEYNPIEKSASVSPSNKALDSGKADVQPISQPFASSFKAELPMLSSVGHRHSSDGCKLEAEAIGSGSRIGVAFGDPNSCTSINVSLQSGAMSASKEESVGLNLGKHDGDFTSFGKKPIQSSDLAKLYPSKIPDICKQETEVQVEMQGHMVYQPQSSGEMLDKDPEKIKSELDQEDNLSDSENDLPNFSDIEAMILDMDLGPYDQEPYLFTKAVSRYQCVDTKKAIIRLEQGAHSYMHRAISRHGAFAVFYGRHLKYYIKSPKVSLGRATEGVKVDIDLGREGHTKKISRRQAIIKLEQDGSFFMINVGKGSIFINSKEVPAGKRINLTSGCLIEIRGMRFIFEMNQSAASQYLRNSQGSKKDQDTKFEWLPGQAS >DRNTG_31445.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:737584:742196:1 gene:DRNTG_31445 transcript:DRNTG_31445.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAPLANWIPEDDLLLKNAVETGASLESLAKGAVRFSRRYTIKELQDRWRSLLYDSDTAQEASARIIEIEIELSVSNAPKANRISCLRGKEHLGKRKGDSVRSHYHALRKRVCSEPHSSADLGFLVRPTSHFSGNIIGFQQNLNSRTAHIVNSDATAISSKVREPLLNDHQHLGIGASHSFPDLPMADSAVANDNIAADVFHTRHMNNVSDAFPVEMAANDCIFPVMMPSVDQPEAENATQTFQHDFIQNDVPHTVKEELGLSVICQDVQEVGQPETLQMNDSYENDGIKTKNVHAFDSTLSDHHCCGFDGSDSLISQMPECSGSLHQLGYSSPEPGVPSMWRTMNDISMPTFAIDRHFEDNQGARALLDFDNIEEMHSPGCHGAMSEAELNERISDGALKSSKTVVDSEYMDISNSYMNFTDDWENPCYNGLNSILLNSPSDSNQHPENACGSTELGAIGTVGACPVSPSFACLEKSSDLHEQKNVDHDGNDSVCISGMNDSCMTSKASHNTESHECFMACVLNTEDPEIPCNDHIFVPDQLLPQSPDFEYNPIEKSASVSPSNKALDSGKADVQPISQPFASSFKAELPMLSSVGHRHSSDGCKLEAEAIGSGSRIGVAFGDPNSCTSINVSLQSGAMSASKEESVGLNLGKHDGDFTSFGKKPIQSSDLAKLYPSKIPDICKQETEVQVEMQGHMVYQPQSSGEMLDKDPEKIKSELDQEDNLSDSENDLPNFSDIEAMILDMDLGPYDQEPYLFTKAVSRYQCVDTKKAIIRLEQGAHSYMHRAISRHGAFAVFYGRHLKYYIKSPKVSLGRATEGVKVDIDLGREGHTKKISRRQAIIKLEQDGSFFMINVGKGSIFINSKEVPAGKRINLTSGCLIEIRGMRFIFEMNQSAASQYLRNSQGSKKDQDTKFEWLPGQAS >DRNTG_02529.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8116884:8121570:-1 gene:DRNTG_02529 transcript:DRNTG_02529.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTENKQRHTTKKDKTTLKSKQKQITTKLEHELIKPKRVDSFHKPLGKIQALMKKRKAMLRTTM >DRNTG_02529.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8116640:8121570:-1 gene:DRNTG_02529 transcript:DRNTG_02529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTENKQRHTTKKDKTTLKSKQKQITTKLEHELIKPKRVDSFHKPLGKIQALMKKRKAMLRTTM >DRNTG_02529.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8116801:8121570:-1 gene:DRNTG_02529 transcript:DRNTG_02529.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTENKQRHTTKKDKTTLKSKQKQITTKLEHELIKPKRVDSFHKPLGKIQALMKKRKAMLRTTM >DRNTG_02529.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8116801:8121730:-1 gene:DRNTG_02529 transcript:DRNTG_02529.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHITEIRLKNLTRSLIKKHHSIQMCSLLSIRMSSIHDDIAMKAQYSFWRERAFLADFIEMQKTENKQRHTTKKDKTTLKSKQKQITTKLEHELIKPKRVDSFHKPLGKIQALMKKRKAMLRTTM >DRNTG_15782.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17165746:17168058:-1 gene:DRNTG_15782 transcript:DRNTG_15782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQKPAFGWAARDSSGVLSPFNFTRRSNGDEDVTLKVLYCGICHTDLGIIKNEFGNAMYPVVPGHEIVGVVTEVGSNVHRFKIGDKVGVGYVISSCTACDNCKQDFENYCPKFVPTSNGIGSDGKPTYGGFSNLLVANERYVVRLPNNLPLEKIVPLLCAGVTVYTPMKYHGLNVPGKHLGVVGLGGLGHVAVKFGKAHGMKVTVISTSPSKEKEAIEQLGADAFLVSRDPDQMKSAMGTMDGIIDTVAASHPIVPLISLLKAHGKLIMVGVVLKPMEIPVISLIQGGRSISGSNVGGMKDTQEMLDFAGKHNVTADVEVVGMDYVNTAMERLKKGDVRYRFVIDMANTIDAA >DRNTG_10888.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11666922:11669590:-1 gene:DRNTG_10888 transcript:DRNTG_10888.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARRRHQKHSKAVGDVREPKKLDEPLLGSECANMFRNFLRSLQVFLRHPGKTQGREVFPHARGFALRAHPQKAQGRAAAPVNDHANIARPWVISAWTCDFLQSLADYPESVQGRELTPVGDFVNFARAWITSAHPCKSLQRSSLHPEKAQGHAFAPVNWTCECPCRGVQLSLWIGRTGVGIFRTPVRECSELMDVFPESVQGRAPAPDFHEVFWPNLNSLISTRRLWSLGRRSKLISGRTQFLDTSILRDLQQGDEFADEVEDLVSVGGWRQLLTFREPAIRELALEVLASFEFERSYASFDSLNAIQFRALGHHHSLSITQFLVLLVLYEEAFTETEEYSQLPTDYPGALTPQRAYRALCGQGQYESGVSKATCLSRPAYRYLHALMSRSVNGRGDNTGVLSRQELLYLYSMVQRVPIHLGHIIVEVEKTSIPAPLSQETMRLMGMIRMVRTGVYALVLPAPETAEDEGDDAEASQPAPEHQSAPMETEAPQVAEGVPPPVHMFSPSRGHDRFERLKSAMGVIRKS >DRNTG_10143.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19201594:19205023:1 gene:DRNTG_10143 transcript:DRNTG_10143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLLRHKYDSDNEEKLEFGGGNVHVITSKESWDERISEANKDGKIVVANFSATWCGPCRMITPLFAELSEKYPSLVFLTVDVDELMDFSSSWDIRATPTFFFLKDGQQLDKLVGANKPELQKKIIMLSESYKPCL >DRNTG_04844.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31066160:31068910:1 gene:DRNTG_04844 transcript:DRNTG_04844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCLLVHVLLFFSSSSGTSSMALNGTLPGCKDKCGNFTIPYPFGLSDGCHLPGFNITCNDTNNNIPPKLFVDNGNIEIFNITDEVIVIDFVGAANYGPYGCDYRTTTGFALSYTWSHLADDRYPYTFSQDRNMFTAIGCSMMATFYDGLIPNSSISGCISVCRDKSRITDGQCFGNGCCQSSIPTGLKGIDINLGSIFLNGVSYITNCSKAFLVEKDTFVFNASFIDSFNGTGLKVVLDWAIRNQTCDVARMSTDYACRDNTLCVNSTNGPGYRCSCLPGYQGNPYLLAVPNGCQDINECAGDQTTNNCSMLCVNTPGSYYCSCPHGYQGDGKINGTGCSKKSKQLQIVLGCVFGSLVLLIVGIWLSYWAIRNRRMNKLREMFFEQNGGLLMQQLLSSHSMGTKSARIFTEKELELATDNYNESRVLGQGGYGIVYKGILTSNQIVAIKKSKFMDNNNQINQMDQFINEVVILSQVIHKNVVKILGCCLETPVPLLVYEYVPGGTLYHHIHERKGSLSWSIRLKIATETADALSYLHSATETQILHRDVKSANILLDDNYMAKVSDFGASRLIPQDADNKTTMVQGTPGYLDPECYSSGILTEKSDVYSFGVVLAELLTGEKPISHGRKQEEKSLAIYFVLSVKRNSMFDILEPRVKTEAKQEQLQGMTELIQGCLKMKGDERPTMKAVALELERLKSQDVDSLHEWPVLNECGVDESVVVKTKRFVKWKSLEDESLLGRSISSCASCHETGNTSDLLMGSSSTMFLSSTSSTMDTEIMLAALGPRKK >DRNTG_13045.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23831987:23833888:1 gene:DRNTG_13045 transcript:DRNTG_13045.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKIGKWSLKGMTALVTGGTKGIGKAVVEELATLGAVVHTCSFNEAELNASLQLWAGKGLQVTGSVCDVSSRPQRQQLITQVSSIFGGKLNILINNAGTTIVKPTVEYTEEETSLMWATNFESAFHLCQLSHPLLKASGSASIVFISSVAGVVAVPFGSPYASTKGAMNQLTKNLSCEWGKDNIRANSVTPWLTRTPLAESVFDFDNSELMEKLSERTPIGRAAEASEVSPLVAFLCLPVASYITGQTISVDGGLTVNGFFTS >DRNTG_14917.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20448384:20451118:1 gene:DRNTG_14917 transcript:DRNTG_14917.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSSSSFFRIGDEFNNTPTQPSATPSSSGPPPPPLPAKKKRNPGTPYPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLRQKNTKEVQRRKVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQETSRLPTSLSSLYGNNNIASLQTQGHLRPFDIHQPQPQPIFFGGGSSNQIDQSLHGLMQQQQQQQQLPELQNNQNSAAHLIMSSDQFSVGNGGGDHHHHHHHQATSLFSGNSLMSDNTSGHMFNQQVMPQMSATALLQKAAQMGATTSSNTSSLFRGFSSSSKLDNETQLQNLMNCMLNPKPNDTLTRDFLGVGSMVRNMGGGNMSQRDHHHHLHHHHQQQQQHQGMEMGSLDHSDMKSGGSSSRSFPGGSLL >DRNTG_14917.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20448384:20451118:1 gene:DRNTG_14917 transcript:DRNTG_14917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSSSSFFRIGDEFNNTPTQPSATPSSSGPPPPPLPAKKKRNPGTPYPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLRQKNTKEVQRRKVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQETSRLPTSLSSLYGNNNIASLQTQGHLRPFDIHQPQPQPIFFGGGSSNQIDQSLHGLMQQQQQQQQLPELQNNQNSAAHLIMSSDQFSVGNGGGDHHHHHHHQATSLFSGNSLMSDNTSGHMFNQQVMPQMSATALLQKAAQMGATTSSNTSSLFRGFSSSSKLDNETQLQNLMNCMLNPKPNDTLTRDFLGVGSMVRNMGGGNMSQRDHHHHLHHHHQQQQQHQGMEMGSLDHSDMKSGGSSSRSFPGGSLL >DRNTG_14917.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20448384:20451118:1 gene:DRNTG_14917 transcript:DRNTG_14917.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSSSSFFRIGDEFNNTPTQPSATPSSSGPPPPPLPAKKKRNPGTPYPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLRQKNTKEVQRRKVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQETSRLPTSLSSLYGNNNIASLQTQGHLRPFDIHQPQPQPIFFGGGSSNQIDQSLHGLMQQQQQQQQLPELQNNQNSAAHLIMSSDQFSVGNGGGDHHHHHHHQATSLFSGNSLMSDNTSGHMFNQQVMPQMSATALLQKAAQMGATTSSNTSSLFRGFSSSSKLDNETQLQNLMNCMLNPKPNDTLTRDFLGVGSMVRNMGGGNMSQRDHHHHLHHHHQQQQQHQGMEMGSLDHSDMKSGGSSSRSFPGGSLL >DRNTG_26107.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20824825:20826297:1 gene:DRNTG_26107 transcript:DRNTG_26107.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g01860 [Source:Projected from Arabidopsis thaliana (AT2G01860) UniProtKB/Swiss-Prot;Acc:Q5XET4] MKPVKQRPLPLPLPRPSQTPSPKHHVRLAARSVLSFRSLSSDRVRKNPETLASIAREIQSLPCDVHAHQVLDKWSPSLRKGSLSLIVRELGHMCLPDRALQALCWAQDHRPDFFPDDRVLASTVEVLARSGKLKIEAEMERKYLNSASRSVVEAMARGFIAAENLHRARRLLLLAKDNNRTLDTSIHAKLIMAAGKTPEGYKLALALLEELGEREDFDLKPQDCTGIMKVCIKIGKFEVVENLFKWFKDSGRSPNIVMYTTVIHSRYRNGQYREGMALIWEMEGLNFVLDLAAYRVVIKLCVVLNDLVRAFRYLSKMKEAGFSPTYDIYREMINAYAHSGRIAKCKQLCKEMKMVGMKLDKDTLRLLSQMEVV >DRNTG_14131.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10863981:10865266:1 gene:DRNTG_14131 transcript:DRNTG_14131.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELPTCPTSLQSLSINRCRGIESLGPEMGHLTSLSKLEVSRCPKLVSLSNGMQALTSLQYLSIEFCQALKSFPEGLQQLLPTLKELELKIKKCPELERLCNPGGDYYDLLSTISDKQIGEEPELESKPEQIIQVPNEISIGAKQALKCIVTDWFLLSAILICAIACFIKFLFNQLDNQNEKEFWYIPPT >DRNTG_14131.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10863981:10864981:1 gene:DRNTG_14131 transcript:DRNTG_14131.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRELPTCPTSLQSLSINRCRGIESLGPEMGHLTSLSKLEVSRCPKLVSLSNGMQALTSLQYLSIEFCQALKSFPEGLQQLLPTLKELELKIKKCPELERLCNPGGDYYDLLSTISDKQIGEEPELESKPEQIIQVPNEISIGAKQALKCIVTDWFLLSAILICAIACFIKFLFNQLDNQVPLSPFVFYFLFLILSLFRFVENIFDPISLEIQKENNIIYFVNSFQTYNMKINYVRHFFKKDYVLHFLSIESSFNWNLY >DRNTG_11756.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:712981:715902:-1 gene:DRNTG_11756 transcript:DRNTG_11756.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKCSSCSHNGHNARTCSARGVKLFGVRLTDGAIRKSASMGNLSHCAGLNIGGCGSLPADGLENGGRAADGYASEGFVKGSSSSCAARKKGVPWTEEEHRMFLLGLKKLGKGEWRGIARNFVVSRSPAQVASHAQKYFLRQMNTSGRKRRSSLFDMITDEASETQQNPTDGSEEAEAQGQNQQPPLPPLPDDESESMDSSTNDLQTSTPAPVPAPVPAPSTYPVVYPAYFMPVFLPTPYWPSYQLDSAGKEVHGIVRPTAVHPNTPIDVNELMGMSQLSLGDSIGRPPSALSLGGSDRQSAFHANPPRKDTSTKSGASPIHAV >DRNTG_25740.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18426313:18430753:1 gene:DRNTG_25740 transcript:DRNTG_25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKGITTYGWPNGCWFLQGLIDKVKHLMGLKASQAMVLNVMGYDSCDGRITFDKETNKIRFTQAHDPLLLRKIQALQSISKKLGGMLFMSRYRSTSVHLLGGCNAASDSLNGVCNPKGQVFDVSGDQPARHEGLYVCDGSLIPCSVGINPILTITTTSEYISKHLVQEVLNFKNRFKQNEVMTTGLVELANSTMHSKISGINNSRLDKGLQSHREVQTMKSKENVTFKETLKGFIGGMPCIAYLAVKINLPGQLTFSQNNIDKTYEHSLLRGKVGGYVVFQTINKEPLYVIDGEIDMLAINNRTPYTQYMHYKLVLASTSGSRYLLEGKKVMNPYILATYAWKESKTLHVTFKEFTGKDDKQSKICGDNNQQKVDLNGELHLSVLELLKSLISMQGNQKGLFVCLLLQSLWRTYILQIPRNAEPKFTNFDKNNKTYPTSCLHELKTGDGCLISCQQWRCNLKPWKSKRVHGFPILLLNGHSTESFYLPTEPTDLVRTLLEEGYDTWNLLTRTHPQHASNKFTIEDIAKFDIPAAITKIQELHGPKTKIHVIAHCVGGLAINIALLGGYVSAAHVASLSCINSSMFFKLTTSALLKMRLPLIPISMAVLGKNKIISMSEEPNESFRHWLVKSIVRLIPQYERCTLSGCDLLSGIFGNTFWHDNVTPKHS >DRNTG_04331.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31223195:31224219:1 gene:DRNTG_04331 transcript:DRNTG_04331.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKPSSSSSSGGGASGSGNDAAVAKAAGFIVFSGIAISLIKSLTFKTHHSNPQPPPPRESPNPVEKSDPAAFSGRTIQIARGDTLWGLSQKYGASVDSIKKANGITGNTIYAGKKLVIP >DRNTG_04331.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31223195:31224132:1 gene:DRNTG_04331 transcript:DRNTG_04331.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKPSSSSSSGGGASGSGNDAAVAKAAGFIVFSGIAISLIKSLTFKTHHSNPQPPPPRESPNPVEKSDPAAFSGRTIQIARGDTLWGLSQKYGASVDSIKKANGITGNTIYAGKKLVIP >DRNTG_04331.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31223120:31226291:1 gene:DRNTG_04331 transcript:DRNTG_04331.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLPRFKESPRISVEASKMPPKPSSSSSSGGGASGSGNDAAVAKAAGFIVFSGIAISLIKSLTFKTHHSNPQPPPPRESPNPVEKSDPAAFSGRTIQIARGDTLWGLSQKYGASVDSIKKANGITGNTIYAGKKLVIP >DRNTG_04331.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31223120:31224055:1 gene:DRNTG_04331 transcript:DRNTG_04331.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLPRFKESPRISVEASKMPPKPSSSSSSGGGASGSGNDAAVAKAAGFIVFSGIAISLIKSLTFKTHHSNPQPPPPRESPNPVEKSDPAAFSGRTIQIARGDTLWGLSQKYGASVDSIKKANGITGNTIYAGKKLVIP >DRNTG_04331.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31223195:31224055:1 gene:DRNTG_04331 transcript:DRNTG_04331.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKPSSSSSSGGGASGSGNDAAVAKAAGFIVFSGIAISLIKSLTFKTHHSNPQPPPPRESPNPVEKSDPAAFSGRTIQIARGDTLWGLSQKYGASVDSIKKANGITGNTIYAGKKLVIP >DRNTG_09442.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21245807:21246975:-1 gene:DRNTG_09442 transcript:DRNTG_09442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAMDSEDIVKIENGKSPTELSVITVNCQDKLGLACDLCRIILDFGLLITKGDLSTDGRWCFVVFWVVPRSSSTFVQWTNLKNRLISVCPTYPIPFIDLVDPVKSKVYLLKLFSLDRKGLLH >DRNTG_08436.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21300103:21301579:1 gene:DRNTG_08436 transcript:DRNTG_08436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSDHFEFETVREVPESHAWTSLDDHPSGEATGHDKLPLISLSNSSDDDDNTKFLLRHACQHFGVFYVTGHGISTNLLSELELQTRRLFSLPTNQKLKAARPPDGLSGYGLARISSFFSKLMWYEGFTISGSVLHHARQLFPDDYFRFCETIEEYGKEMQKLAEKIIRLMISSLGVKEEELNWSKDLSGVFQLNSYPACPDPDKAMGLAAHTDSSLLTILHQSGTSGLQVLRKEDGHGPTRWVTVPPVAGALIVHVGDLSHILSNARFHSVLHRAIVNRYRHRYSMAYICGPPPEVKVKPLNSLNESPVFRPMTWPEYLSLKGKLFNQALHSIRVTSDDEKFKFMHGP >DRNTG_21902.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19668577:19674271:1 gene:DRNTG_21902 transcript:DRNTG_21902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPSFVPRSDNKRKLDDSPPAPWPARRPSGFSSPTADAQPAPSYNSVPPPPDGIQLAKQRAQEIAAKLLTEAEAKRPRVDNGTAAGDESSDRGFGYGSTDHLQKPLSQPMHTLGGATTPSSFSSYGFQGSSKKIEIPNGRVGVIIGKGGETIKYLQLQSGARIQVTRDADSDPHSQSRNVELMGTAEQISKAEQLIKEVLAEAEAGGSVMVSSRKYGGPQVGVEHFTMKVPNNKVGLIIGKGGETIKSMQAKSGARIQLIPLHLPPGDTTTERNLHIDGTKEQIEAAKQLVDEVTSENRVRNPSMGGYPPQYGAPRPPTSWGPPGPPPMQQPGYGYMQPGTYPGQPPQYNMSQSAYGGYPSAPTSGGYSTSWDQSSNPPSQQTTPGSGYDYYNQQQSVGNSSAPADNNSSYNYGQPPTGSYNSQGSYSDAYPQSAAAGQQSSHGQDSYSGGYQAPSAQTGYDQQGYGSTHGYGGPPNAASDRSAASYGSQGGAAHAPPARQVPPVQQSSTPRSSQGYAAQQTGYGMPPPTSQAGGYASQTSQSGYGPSGYGQGQRLPSQPIYGQGQQPQTAQGSYNSGTVQPGGYGQLPPTQAGYSQESVHPQAPASGYQTGYGQQQAYGAPPQGQQPYGQQPYGDTYSGGYSQPPAYSGENATHGAYDAVAAASQPASTGVTKASPKS >DRNTG_10694.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13784128:13784710:-1 gene:DRNTG_10694 transcript:DRNTG_10694.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKLPCRSLPPNSRPSPHRSPPPCSSPLPSSIQFPCFL >DRNTG_30107.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31118599:31122034:1 gene:DRNTG_30107 transcript:DRNTG_30107.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYDNVVGGKLKLKGKALDVKAGGIKKKKKQKHRRDGEDPHIERDEVPQDGSSRLSADNNEDINEDEKPGDEGHASACDDHLTAAEKRYIEQRERIDSRRLAKTADKSHRDRIQDFNQYLANLSEHYDIPKVGPG >DRNTG_32383.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28047713:28067422:-1 gene:DRNTG_32383 transcript:DRNTG_32383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNDSSFDMTQLEYWLGNISNRAQSSTGTLESIFKGNSQLLSGKGNQAVYPSNASSSTAHAMTQTISSSKLKEPAPQTAFNLPYLQAQLGQWNPPGQQPFSCFEGSRPSSPVGEFSGVASFDEHANPSYLPGPTKDA >DRNTG_32383.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28047713:28048070:-1 gene:DRNTG_32383 transcript:DRNTG_32383.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTISSSEPKEPAPQTAFNLPYLQAQLGQWNPPGQQPFSCFEGSRPSSPVGEFSGVASFDEHANPSYLPGPTKDA >DRNTG_15826.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24982193:24984613:-1 gene:DRNTG_15826 transcript:DRNTG_15826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSECSFSISNLMCQEDRALLDDEDEEEEEDEEKSEVFLVLKDSETEDDEYIESLFSREASFDGRGVDSLAGNESSLLLVAGGDWLKCARSDAIQWMLRTKACLGFSIQTALLAVSYLDRFLARRSIEEGKCWAVKLAAVACLSLAAKMEELRVPALSEFRLEEYQFRSDIIQRMELLILNTLEWRMSSVTPFAYLSYFTAKFQFGSELKDLLPKAIALIFSTIEVMNLVDHRASEIAAAAILAASNQRLTKKLMESKMSSISLCASLQSEHVFACYTMMVQKSHKVGLKTSKILISSDLSTNRESGNSSIDVINVVAASSKRRRLE >DRNTG_01283.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2012694:2015430:-1 gene:DRNTG_01283 transcript:DRNTG_01283.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTRLTRPGAQTNGRRPTRREPHRPARPQNLIQDR >DRNTG_17566.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16587927:16588717:-1 gene:DRNTG_17566 transcript:DRNTG_17566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAGTVEQIHDAGVLGYRAPELAASKKPSPSFKSDIYAFGVVLLELLTGRCAGDVVSGDEGGVDLTDWVRLRVAEGRGSECFDSAMASDIANPTTSKGMKEMLGIALRCIRPVSERPGIKSVYEDLSSI >DRNTG_30801.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001631.1:4879:5615:1 gene:DRNTG_30801 transcript:DRNTG_30801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGNFLGLPAITVMVGCDRGGMPISIQFIGRPWSEATLLHLAYRLQAICSRSFKRPMIFYDLLKEETAGQ >DRNTG_30801.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001631.1:5159:5615:1 gene:DRNTG_30801 transcript:DRNTG_30801.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPISIQFIGRPWSEATLLHLAYRLQAICSRSFKRPMIFYDLLKEETAGQ >DRNTG_13879.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2564043:2564447:-1 gene:DRNTG_13879 transcript:DRNTG_13879.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVKPGKIKVHVEDENVLVISGERKRDEEEEDRKYLRMERRAGKFMRKFSFPENANADPPLIRQKTHQ >DRNTG_14654.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5835488:5838354:1 gene:DRNTG_14654 transcript:DRNTG_14654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVLMMSNDQEEHKGDTTKLLKRLKHWLILLHMMPTRDFEIDDQPAEHLSLHPLAKQAAKKHPSLIEEHFEPIIQHHEEGNIFLAKVDALPLCERVAALEQHQSSTKHNVGDNMTSTKPNIGAINDVCMFREESLLLATLDYIQKLAYFIHALGLAPKWCSYLENLTEEMEEG >DRNTG_01633.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1070516:1072630:-1 gene:DRNTG_01633 transcript:DRNTG_01633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGHHQFLNRDELDCPSRVTPFIPQTMDELNVKPVYCLSSLEQEKGQGLSKRKLHFLEERDEGLLSKRILRLSRSNKSRSALELFVSMEVTGLQPDAHACNSLIACLVRNGSLDDALEVFELMRKKEIATSHTYSLILKAVAGVQGCDSALRMFKALEGEVTTVKNFDVIVYNTMISVCGKVKNWFETEILWRKLKQNAVAGTMLTYDLLVSTFVQCDQTELALGAYHEMIQNGLEPSEDIMKAIIASCTKDGEWALALQVFEKMLSAGIKPNVIAYNSMINCLGKAGKYDLAFKIYGLMKNSEHKPDAYTWSALSSSLYRSGRYADALRLFEGIRKDQGDQLNAYLCNVALLSCQKLALWEHSLQLLWQMETNGIPMSTESYNHVIFTCEAARNPKVALQVYHRMIHNKCVPDLFTHLSLVRSCVWGSLWKEAVDILESVAPNASIYNTIIHGLLLRGKTTMAKKWYDRMCEIGFKPDGKTRALMLQVYSNERARPHPRYKVWKHS >DRNTG_29817.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23260170:23266794:-1 gene:DRNTG_29817 transcript:DRNTG_29817.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRTSTPQHTEICQAIFQRLLVNDCLSENTMQQLFCEICQRFLADRLVEGKCPTLGCNYESARGDQCEKCGKLLNPTELVDPKCKVCGSTPHFRDTKHLFLELSLLRERLEEYICTMSDAGFCSQNAIQATRAWLKEGLKARCITRDLKWGVPVPQEKFKDKVFYVWFDAPIGYISITSCYTPQWEKWWKDPENVELYQFMGKDNVPFHTVMFPSTLLGTGEKWTMVKTISATEYLNYESGKFSKSKGIGIFGNDVKDTNIPAEVWRYYLLTNRPEVSDTLFTWTDLQSKLNNELLNNLGNFTNRVLTFIAKPTGSFCL >DRNTG_29817.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23260170:23266794:-1 gene:DRNTG_29817 transcript:DRNTG_29817.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALMEDAPKLPVPGRRNILITSALPYVNNVPHLGNIIGCVLSADVFARFCRLRGYNVIYICGTDEYGTATETKALEEKCSPKEICDKYHSIHNEVYKWFHISFDMFGRTSTPQHTEICQAIFQRLLVNDCLSENTMQQLFCEICQRFLADRLVEGKCPTLGCNYESARGDQCEKCGKLLNPTELVDPKCKVCGSTPHFRDTKHLFLELSLLRERLEEYICTMSDAGFCSQNAIQATRAWLKEGLKARCITRDLKWGVPVPQEKFKDKVFYVWFDAPIGYISITSCYTPQWEKWWKDPENVELYQFMGKDNVPFHTVMFPSTLLGTGEKWTMVKTISATEYLNYESGKFSKSKGIGIFGNDVKDTNIPAEVWRYYLLTNRPEVSDTLFTWTDLQSKLNNELLNNLGNFTNRVLTFIAKPTGLGYNSIIPDASDPESHLLTKPFAVKVSKFVEQYVEAMEKVKLKLGLKIAMSLSDEGNAYLQESEFWKLFKTDLVSCSIVMRTSVGVVYLLASIMEPFMPSFSTEILKQLNLEPGSYLSFNAVEGNVDWARPWDFLPSGHRIGKPEPLFRELKDEVVEYLREKFAGRQAARGDTDGNDIIDQLKSTRVSGNEEKQQETPAHNNDTKPKAHDTDMFISRLDFRVGIIKKVWEHPNADSLYVEEIDVGEESSRTAVSGLVNHVPMEQMQDRKVCVLCNLKPVNKRGIKSHAMVLAAFNYDHSKVELVDPPALAVVGERVTFPGFSGKPDTVINPKSKLWEKVQTYLHTNSDLVACYNTVPFTTSAGVCKVSSILNGDIM >DRNTG_29817.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23260170:23266794:-1 gene:DRNTG_29817 transcript:DRNTG_29817.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALMEDAPKLPVPGRRNILITSALPYVNNVPHLGNIIGCVLSADVFARFCRLRGYNVIYICGTDEYGTATETKALEEKCSPKEICDKYHSIHNEVYKWFHISFDMFGRTSTPQHTEICQAIFQRLLVNDCLSENTMQQLFCEICQRFLADRLVEGKCPTLGCNYESARGDQCEKCGKLLNPTELVDPKCKVCGSTPHFRDTKHLFLELSLLRERLEEYICTMSDAGFCSQNAIQATRAWLKEGLKARCITRDLKWGVPVPQEKFKDKVFYVWFDAPIGYISITSCYTPQWEKWWKDPENVELYQFMGKDNVPFHTVMFPSTLLGTGEKWTMVKTISATEYLNYESGKFSKSKGIGIFGNDVKDTNIPAEVWRYYLLTNRPEVSDTLFTWTDLQSKLNNELLNNLGNFTNRVLTFIAKPTGSFCL >DRNTG_29817.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23260170:23265488:-1 gene:DRNTG_29817 transcript:DRNTG_29817.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKLKLGLKIAMSLSDEGNAYLQESEFWKLFKTDLVSCSIVMRTSVGVVYLLASIMEPFMPSFSTEILKQLNLEPGSYLSFNAVEGNVDWARPWDFLPSGHRIGKPEPLFRELKDEVVEYLREKFAGRQAARGDTDGNDIIDQLKSTRVSGNEEKQQETPAHNNDTKPKAHDTDMFISRLDFRVGIIKKVWEHPNADSLYVEEIDVGEESSRTAVSGLVNHVPMEQMQDRKVCVLCNLKPVNKRGIKSHAMVLAAFNYDHSKVELVDPPALAVVGERVTFPGFSGKPDTVINPKSKLWEKVQTYLHTNSDLVACYNTVPFTTSAGVCKVSSILNGDIM >DRNTG_29817.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23260170:23262271:-1 gene:DRNTG_29817 transcript:DRNTG_29817.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSDEGNAYLQESEFWKLFKTDLVSCSIVMRTSVGVVYLLASIMEPFMPSFSTEILKQLNLEPGSYLSFNAVEGNVDWARPWDFLPSGHRIGKPEPLFRELKDEVVEYLREKFAGRQAARGDTDGNDIIDQLKSTRVSGNEEKQQETPAHNNDTKPKAHDTDMFISRLDFRVGIIKKVWEHPNADSLYVEEIDVGEESSRTAVSGLVNHVPMEQMQVWLSSYFGVFFLTRV >DRNTG_33164.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5600590:5605396:1 gene:DRNTG_33164 transcript:DRNTG_33164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPDPYESLFDQEESNEEVMMLGSNGEETSTPRILKNVLRKMKRTRRRHRKCPKTIGDVH >DRNTG_26627.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:377096:378882:1 gene:DRNTG_26627 transcript:DRNTG_26627.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVYAYDSLENTSKAVGKFPDDECAVKGVCGLNSYCTFSGGKQVCLCLPHFDKISEDTQAGCHRNFISTACLGVGDNHTYYNTMDEVKNVQGFTELLPTMTKLTSKDDCRQTCLDDCNCDIAIYYENNGSCSKKSLPLKYAWKTTDTTDPDIVFIKRTAHRNTTVQGLDVVPRTVIKKEFSGAPLIVFVAIVSGLIISNLVFIFIVFKCQVGMCRMIWRSKQLALTDEIAPRSFSYYELYEATEGYKEEVGKGAFGTVFKGTLTSTRKLVAVKKLEKVVEEGEREFQTEMKAIGRTHHRNLVRLHGYCNEGSNRLLVYEFMSNGSLADIIFKPDHQNRPSWKERLRIALDVARGIHYLHEDCETHIIHCDIKPQNILMDENWTAKISDFGLAKLLMPTQSRTFTGIRGTRGYLAPEWHKNIPITVKTDVYSFGIVLLEILCCRKNMELETEADQIILSEWIYSCYLAGELEKVMLDEEVDMVEFQRVVKVALWCIQTDPTQCPTMKNVIIMLEGCAEISSPPHP >DRNTG_26627.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:377096:378946:1 gene:DRNTG_26627 transcript:DRNTG_26627.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVYAYDSLENTSKAVGKFPDDECAVKGVCGLNSYCTFSGGKQVCLCLPHFDKISEDTQAGCHRNFISTACLGVGDNHTYYNTMDEVKNVQGFTELLPTMTKLTSKDDCRQTCLDDCNCDIAIYYENNGSCSKKSLPLKYAWKTTDTTDPDIVFIKRTAHRNTTVQGLDVVPRTVIKKEFSGAPLIVFVAIVSGLIISNLVFIFIVFKCQVGMCRMIWRSKQLALTDEIAPRSFSYYELYEATEGYKEEVGKGAFGTVFKGTLTSTRKLVAVKKLEKVVEEGEREFQTEMKAIGRTHHRNLVRLHGYCNEGSNRLLVYEFMSNGSLADIIFKPDHQNRPSWKERLRIALDVARGIHYLHEDCETHIIHCDIKPQNILMDENWTAKISDFGLAKLLMPTQSRTFTGIRGTRGYLAPEWHKNIPITVKTDVYSFGIVLLEILCCRKNMELETEADQIILSEWIYSCYLAGELEKVMLDEEVDMVEFQRVVKVALWCIQTDPTQCPTMKNVIIMLEGCAEISSPPHP >DRNTG_26627.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:377866:378946:1 gene:DRNTG_26627 transcript:DRNTG_26627.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIGRTHHRNLVRLHGYCNEGSNRLLVYEFMSNGSLADIIFKPDHQNRPSWKERLRIALDVARGIHYLHEDCETHIIHCDIKPQNILMDENWTAKISDFGLAKLLMPTQSRTFTGIRGTRGYLAPEWHKNIPITVKTDVYSFGIVLLEILCCRKNMELETEADQIILSEWIYSCYLAGELEKVMLDEEVDMVEFQRVVKVALWCIQTDPTQCPTMKNVIIMLEGCAEISSPPHP >DRNTG_26627.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:376807:378946:1 gene:DRNTG_26627 transcript:DRNTG_26627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVYAYDSLENTSKAVGKFPDDECAVKGVCGLNSYCTFSGGKQVCLCLPHFDKISEDTQAGCHRNFISTACLGVGDNHTYYNTMDEVKNVQGFTELLPTMTKLTSKDDCRQTCLDDCNCDIAIYYENNGSCSKKSLPLKYAWKTTDTTDPDIVFIKRTAHRNTTVQGLDVVPRTVIKKEFSGAPLIVFVAIVSGLIISNLVFIFIVFKCQVGMCRMIWRSKQLALTDEIAPRSFSYYELYEATEGYKEEVGKGAFGTVFKGTLTSTRKLVAVKKLEKVVEEGEREFQTEMKAIGRTHHRNLVRLHGYCNEGSNRLLVYEFMSNGSLADIIFKPDHQNRPSWKERLRIALDVARGIHYLHEDCETHIIHCDIKPQNILMDENWTAKISDFGLAKLLMPTQSRTFTGIRGTRGYLAPEWHKNIPITVKTDVYSFGIVLLEILCCRKNMELETEADQIILSEWIYSCYLAGELEKVMLDEEVDMVEFQRVVKVALWCIQTDPTQCPTMKNVIIMLEGCAEISSPPHP >DRNTG_26627.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:377866:378882:1 gene:DRNTG_26627 transcript:DRNTG_26627.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIGRTHHRNLVRLHGYCNEGSNRLLVYEFMSNGSLADIIFKPDHQNRPSWKERLRIALDVARGIHYLHEDCETHIIHCDIKPQNILMDENWTAKISDFGLAKLLMPTQSRTFTGIRGTRGYLAPEWHKNIPITVKTDVYSFGIVLLEILCCRKNMELETEADQIILSEWIYSCYLAGELEKVMLDEEVDMVEFQRVVKVALWCIQTDPTQCPTMKNVIIMLEGCAEISSPPHP >DRNTG_26627.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:376807:378882:1 gene:DRNTG_26627 transcript:DRNTG_26627.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVYAYDSLENTSKAVGKFPDDECAVKGVCGLNSYCTFSGGKQVCLCLPHFDKISEDTQAGCHRNFISTACLGVGDNHTYYNTMDEVKNVQGFTELLPTMTKLTSKDDCRQTCLDDCNCDIAIYYENNGSCSKKSLPLKYAWKTTDTTDPDIVFIKRTAHRNTTVQGLDVVPRTVIKKEFSGAPLIVFVAIVSGLIISNLVFIFIVFKCQVGMCRMIWRSKQLALTDEIAPRSFSYYELYEATEGYKEEVGKGAFGTVFKGTLTSTRKLVAVKKLEKVVEEGEREFQTEMKAIGRTHHRNLVRLHGYCNEGSNRLLVYEFMSNGSLADIIFKPDHQNRPSWKERLRIALDVARGIHYLHEDCETHIIHCDIKPQNILMDENWTAKISDFGLAKLLMPTQSRTFTGIRGTRGYLAPEWHKNIPITVKTDVYSFGIVLLEILCCRKNMELETEADQIILSEWIYSCYLAGELEKVMLDEEVDMVEFQRVVKVALWCIQTDPTQCPTMKNVIIMLEGCAEISSPPHP >DRNTG_04371.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20496502:20497462:1 gene:DRNTG_04371 transcript:DRNTG_04371.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVVQSWEGVPGLARNPRTPGPTTAVVGPHGPAFRGGVVDLSIDFYEGQFRWERRALTGYSSGSRGSPTGEPMGQR >DRNTG_17534.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28992454:28999775:1 gene:DRNTG_17534 transcript:DRNTG_17534.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIILSLSRSKFARICVETDLSKPLKKGFWIRDDEHRVFVVVLYEKLPTFYYLYGMVGQGSNHCNRRSSGDLSRSSPPPLQVPGESNGMVAREAMDSLNNDMEAENDPLSQSARKYPK >DRNTG_17534.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28992454:28997405:1 gene:DRNTG_17534 transcript:DRNTG_17534.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKLDIEKTYDTMSWNAILATLYRMNFPSRKISWIPACISSASFSFLINKQPSSWIKSSRGLHQRDPLSSYLFILIAHRDVSGAGNGIPVPIPASHEARIPRRGKIIPTHSPAGIPRGRGIPAPRQFFLLHKILIILYIIYIYIYIK >DRNTG_17534.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28997821:28999775:1 gene:DRNTG_17534 transcript:DRNTG_17534.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGAGSPRGARRGGVFPRSPPRGGYFEESPPRRGGSPRGTGILRSIYIPNCTKFDCFFLTLP >DRNTG_17534.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28992454:28999775:1 gene:DRNTG_17534 transcript:DRNTG_17534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILSLSRSKFARICVETDLSKPLKKGFWIRDDEHRVFVVVLYEKLPTFYYLYGMVGQGSNHCNRRSSGDLSRSSPPPLQVPGESNGMVAREAMDSLNNDMEAENDPLSQSARKYPK >DRNTG_17534.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28992454:28999775:1 gene:DRNTG_17534 transcript:DRNTG_17534.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIILSLSRSKFARICVETDLSKPLKKGFWIRDDEHRVFVVVLYEKLPTFYYLYGMVGQGSNHCNRRSSGDLSRSSPPPLQVPGESNGMVAREAMDSLNNDMEAENDPLSQSARKYPK >DRNTG_17534.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28992454:28997405:1 gene:DRNTG_17534 transcript:DRNTG_17534.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKLDIEKTYDTMSWNAILATLYRMNFPSRKISWIPACISSASFSFLINKQPSSWIKSSRGLHQRDPLSSYLFILIAHRDVSGAGNGIPVPIPASHEARIPRRGKIIPTHSPAGIPRGRGIPAPRQFFLLHKILIILYIIYIYIYIK >DRNTG_23525.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22264914:22265669:-1 gene:DRNTG_23525 transcript:DRNTG_23525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATLCSFSSSSKPHPLSLRPQSLTLTLKSPTLVCKPRRRSLPFVRAAISRTKKEETVDNVKQQLESCYLIAGIRYTGFTVKQFQDLRGTLPDTVKLIVAKNTLVGKAIEGTAWEALKPCMKGMNAWLFVHTEEIPAALKPYREFQKERKLDDNDFTGAVFEGRFYAPDEFKVLETLPSREEIYAKLLGALQGPSISLVSTLQAPARELVLTLKAYVQKLEEQSTPA >DRNTG_25492.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1694934:1697617:-1 gene:DRNTG_25492 transcript:DRNTG_25492.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like protein 4 [Source:Projected from Arabidopsis thaliana (AT5G15630) UniProtKB/Swiss-Prot;Acc:Q9LFW3] MATLMNNGFILCVFLVSLMFFHAVAYDPLDPNGNITLKWDVMSWTADGYVAAVTMNNFQMYRHIMSPGWQLGWTWAKKEVIWSMVGAQATEQGDCSKFKGNIPHCCKRTPTIVDLLPGVPYNQQFQNCCKGGVVAAYGTDPAGSVSGFQVSVGLAGTTNKTVKLPKNFTLLGPGPGYTCGPAKIVPSTTFFTPDHRRKTQALMTWNVTCTYSQFLASKNPSCCVSFSSFYNETITPCPSCACGCQHKNCISSDSNLLSTVGINTPRKDNAPLLQCTRHMCPIRVHWHVKLNYKDYWRAKIAVTNFNYRLNYTQWTLVAQHPNLNNVTEVFSFDYKPLIPYQSINDTGMFYGMKYYNDLLMEAGPFGNVQSEVLLRKDPNTFTFKQGWAFPRKVYFNGDECMLPPPDSYPYLPNAATLRAQTALPSFLISAFLLALMVMW >DRNTG_07459.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26794317:26798394:1 gene:DRNTG_07459 transcript:DRNTG_07459.1 gene_biotype:protein_coding transcript_biotype:protein_coding EVSKEDLIPPVPPLTRYRKEVGIRAFVADLRSTEERRSHQAVDLRLSDERFHQIDALSTQSLCVRLNTLYYAITHLSKLEDNIHERWIRKKHENSNI >DRNTG_28615.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28476499:28480417:1 gene:DRNTG_28615 transcript:DRNTG_28615.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metacaspase-3 [Source:Projected from Arabidopsis thaliana (AT5G64240) UniProtKB/Swiss-Prot;Acc:Q9FMG1] MSSKARTQSCSSCGDRLLVPYHARTIRCTRCRSLSSVHSFFPSLVFPKVLMNNNSYNSYSSSQRYNYDRITTQQSSIRGMEGDWPDSFPKVTGKKRALLIGISYSSKRYELKGTVNDVNCMKYFLVNHFKFPDDSFLILTEEEKDPHRIPTRRNILKAMQWLISGVSSGNSLFFHFSGHGSQRPCLGGDENDGFDETLCPLDYETEGMIVDDDINNILVRPLPTGVRLHAIVDSCHSGTVLDLPYLCKLSRTGSFQWEDQSNSSNTYKGTSGGLAISFSGCGDHQTSADTAALSNDVTTGAMTFCFIQAVISEPGLTYGRILSAMRTAIRDAGMGAPFSGPIAALFKKVFKCGIDQEPQLASSEKFDIHRMPFIL >DRNTG_13146.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20231444:20232262:-1 gene:DRNTG_13146 transcript:DRNTG_13146.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRDPEPSLRRRITVVSPEDPVLGTIPASVDSTTDSGDDSVVDTTSDPDLTDGVVNGDQGEEKKNLASVLEGAEDRSGAEGGVPPMFLYRASAPAHRRMKESPLSSDAIFKQYGLLIRAGFWFSLGSVRDWPLLMCCFSLPAFALAAFAVEKLVWKKLISEP >DRNTG_13146.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20229407:20232262:-1 gene:DRNTG_13146 transcript:DRNTG_13146.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRDPEPSLRRRITVVSPEDPVLGTIPASVDSTTDSGDDSVVDTTSDPDLTDGVVNGDQGEEKKNLASVLEGAEDRSGAEGGVPPMFLYRASAPAHRRMKESPLSSDAIFKQYGLLIRAGFWFSLGSVRDWPLLMCCFSLPAFALAAFAVEKLVWKKLISEPVAVSIHILLTTAELVYPVYMISRSDSAVLSGLVLMLFTTIVWLKLVSYAHTNYDLRNFPDSDNKVIYSWRLVI >DRNTG_13146.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20228336:20232262:-1 gene:DRNTG_13146 transcript:DRNTG_13146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRDPEPSLRRRITVVSPEDPVLGTIPASVDSTTDSGDDSVVDTTSDPDLTDGVVNGDQGEEKKNLASVLEGAEDRSGAEGGVPPMFLYRASAPAHRRMKESPLSSDAIFKQYGLLIRAGFWFSLGSVRDWPLLMCCFSLPAFALAAFAVEKLVWKKLISEPVAVSIHILLTTAELVYPVYMISRSDSAVLSGLVLMLFTTIVWLKLVSYAHTNYDLRNFPDSDNKNYYTQGVDFQGLAYFMVAPTLCYQPSYPRTTSIRK >DRNTG_31691.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14119603:14119878:-1 gene:DRNTG_31691 transcript:DRNTG_31691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEGGAAAHHLHCNQGNPTTHPHHVVHPCTQCLHHH >DRNTG_23815.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29554787:29557714:1 gene:DRNTG_23815 transcript:DRNTG_23815.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSP1 [Source:Projected from Arabidopsis thaliana (AT5G35620) UniProtKB/TrEMBL;Acc:A0A178UEE3] MAEVEAAASAVAAVAEVTEAKGKELHRLERKWSFWFDNQSKPKQGAAWGSSLRKIYTFDTVEEFWCLYDQIFRPSRLIANADFHMFKAGIEPKWEDPECANGGKWTVTSSRKANLDTMWLETLMALIGEQFDESDEICGVVVSARQRQDKVALWTKTASNEAVQVAIGRKWKEIIDAQEKIFYTFHDDSRRDKSSRGGRYNV >DRNTG_18673.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13493674:13494843:-1 gene:DRNTG_18673 transcript:DRNTG_18673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHFLSVLVHILVKPRSVMAGAMKKILVECEVSSITVNEHNGDAEMKSSYSIAPTDVRVICREKLVSCHAQPYRYVVFYCYMSEEEQGIHGGVRGE >DRNTG_21354.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27038310:27039886:1 gene:DRNTG_21354 transcript:DRNTG_21354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAVSSMLVVNMIERAHQMYRQGQHDEALGLQSSRRPFTVGVAVASISSPIVLPPVRGTAAGSGCVARSRGPALVFAADECSAILELDVEHTGALMLQAQTLVTHKEYQSEMKERVFDVAHY >DRNTG_01179.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29305465:29306701:1 gene:DRNTG_01179 transcript:DRNTG_01179.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELFREMQLAGARPNEFTLTTVLAACSNLVALEQGKWIHMYIDKAKIKMNDRLLAALIDMYSKCGDAESALRLFNSADNALKSSIRPWNAMLSGFAIHGLSEAAIQHFERMKMENIAPDKVTFVSLLNACSHGRLVDKGRLYFESMKSIHGIDPEIEHYGCMVDLLGRAGHLKEAEEFIKSMPVTPDTAIWSALLAACKIHRDTVMGDRIGKLVNNSEPENLGCQVLLANIYSSSGRWVDAKDVRKNMGITGRRKTPGCSSIELDGMFHQFFVGDRSHPQTKQIYLFLEEMYTKLKMAGYVPEIGEVLLDIDGEDRETVLSRHSEKLAIAFALMNTPPGTPIRIVKNLRVCGDCHHATKFISKVYERVIIVRDRIRFHHFEDGFCSCKDYW >DRNTG_01179.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29303728:29306701:1 gene:DRNTG_01179 transcript:DRNTG_01179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSCLGLLQDARKVFDEMDERDLFSWNSLMAGYVGVGDVRMAREVFDDMPERDVVSWSTVIAGYVQEGSFTEAMELFREMQLAGARPNEFTLTTVLAACSNLVALEQGKWIHMYIDKAKIKMNDRLLAALIDMYSKCGDAESALRLFNSADNALKSSIRPWNAMLSGFAIHGLSEAAIQHFERMKMENIAPDKVTFVSLLNACSHGRLVDKGRLYFESMKSIHGIDPEIEHYGCMVDLLGRAGHLKEAEEFIKSMPVTPDTAIWSALLAACKIHRDTVMGDRIGKLVNNSEPENLGCQVLLANIYSSSGRWVDAKDVRKNMGITGRRKTPGCSSIELDGMFHQFFVGDRSHPQTKQIYLFLEEMYTKLKMAGYVPEIGEVLLDIDGEDRETVLSRHSEKLAIAFALMNTPPGTPIRIVKNLRVCGDCHHATKFISKVYERVIIVRDRIRFHHFEDGFCSCKDYW >DRNTG_00180.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:70950:73222:1 gene:DRNTG_00180 transcript:DRNTG_00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HHL1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67700) UniProtKB/Swiss-Prot;Acc:Q8LDL0] MEVGMAVRALVRIPLSARLQEDAFLRGSPLPTRSRSSSSSSSRNGGEKPGRALVVEAKGKKGMMGRQFQRPPPPPLPKIEDDGNPKFVIFIRSSNVYLWYPLTLVTGGTTAKIMVAAKDNFLGKYLYKDTIARNLAAVIYKDEKDIQKTAFKQFRVLRTAPSFRYGYKLVVNGNVRAALSTSDVIELPPQEELKTVIDKVKDFFGEATSGAKESFGKLTSLSAVSSSEPESESQVES >DRNTG_29497.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:6070601:6073431:-1 gene:DRNTG_29497 transcript:DRNTG_29497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIRDPHGPVDARVDFHTSMWKFHSPGTGTPWRTRRYNMGEKEKKTNTWGVFKAEIKSWHTASMLPVWILFGVHLRSPIAPNSVNGELNEVLIEVNLEEMIGNYMYGEIRNSTMSCGSDDAAQVVAAEVVPTDEDELRNNVKILAVLLSMRLSDATKSWCPCSSTCSCW >DRNTG_34092.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22988471:22990209:-1 gene:DRNTG_34092 transcript:DRNTG_34092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTFSFLQTHQQQQQQQQQQQQQLAAAN >DRNTG_06435.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29887887:29889968:1 gene:DRNTG_06435 transcript:DRNTG_06435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQSEEELLAQHLEQQKIDHDEPVVEEDEDDDDDDEDDDKDEDEAEGQVGDESGRSKQSRSEKKSRKAMLKLGMKPITGVSRVTVKKSKSILFVISKPDIYKSPNSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSHVISKPESSTMVQDDEDVDETGVEQKDIELVMTQAGVSRPKAVKALKAADGDIVSAIMELTN >DRNTG_29161.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5240574:5241623:-1 gene:DRNTG_29161 transcript:DRNTG_29161.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFSGVDRTGSEAACPSDAFLTLERADQFWRLALPRGATRDDPIGNPFGPGGPELIGSIELGPMMVVVGEKDMLRDRGVEYAEKLKEMGKKKVEVVELKGKEHGFFAMNSWSDDAGDLVRLIARFMEDN >DRNTG_29161.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5240276:5242003:-1 gene:DRNTG_29161 transcript:DRNTG_29161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFSGVDRTGSEAACPSDAFLTLERADQFWRLALPRGATRDDPIGNPFGPGGPELIGSIELGPMMVVVGEKDMLRDRGVEYAEKLKEMGKKKVEVVELKGKEHGFFAMNSWSDDAGDLVRLIARFMEDN >DRNTG_29161.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5240230:5241623:-1 gene:DRNTG_29161 transcript:DRNTG_29161.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFSGVDRTGSEAACPSDAFLTLERADQFWRLALPRGATRDDPIGNPFGPGGPELIGSIELGPMMVVVGEKDMLRDRGVEYAEKLKEMGKKKVEVVELKGKEHGFFAMNSWSDDAGDLVRLIARFMEDN >DRNTG_29161.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5240276:5241623:-1 gene:DRNTG_29161 transcript:DRNTG_29161.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFSGVDRTGSEAACPSDAFLTLERADQFWRLALPRGATRDDPIGNPFGPGGPELIGSIELGPMMVVVGEKDMLRDRGVEYAEKLKEMGKKKVEVVELKGKEHGFFAMNSWSDDAGDLVRLIARFMEDN >DRNTG_03226.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4586910:4591803:1 gene:DRNTG_03226 transcript:DRNTG_03226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHQARHVIRTSPLQPPRQPLIYCLFPKFTVFFTVLPKVTIFSTFPRENDRRREGERESEDQVTTSIFFFTCEKAIETKEVTDEKPSTLLGRASEGAWYEMADNWSVRTDGEERPSYSPNSEYFTIKMYFTIDDVCKMDDCRLAGYIDYCCADKISKLELISMSKELNLEVEGCSFWWVELTCGKNGLTEIKNDLDVVAMALGVGYSRVINVYAKVTKMDEDADVEGTSLGKESKEQEEDEESELHDSEERIKWKVDRQMDNLVGQPWLMSNDQDPMETIDPQVHFPSINNEGDYLIAQDQISVNPTSQHQDKATFSPNESLKGKQMLVEPPVKKNISTKKKPPTQVKIPALRSHVQDKNVGDMKDPYKKRLTAKKRKVWVPVGHGGSSGRSS >DRNTG_10538.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000444.1:6314:12493:1 gene:DRNTG_10538 transcript:DRNTG_10538.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDWQNRFLLKRVYHSKKYGQKKVCAESNTSLEEPRVFILEEPLAHASLVEWKNNSNLRYSGEGILACFNRVGPGVTPMQASSLDLHKARRFSGTEHDCALPVLSLNT >DRNTG_06612.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:487452:490012:-1 gene:DRNTG_06612 transcript:DRNTG_06612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEYEQKMERVERIRRMQNMFNRERDRHRRGYERWRENASGPYQQSPQDDWYWNTDTSYQHQRTNFRSPQWDNNSCSMSHHYSVLGLDRSRKTPYSDTEIKVAFRAKAMQYHPDQNQNNKEAAEAKFKEVMASYDAIKLERKNRC >DRNTG_06612.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:487452:489590:-1 gene:DRNTG_06612 transcript:DRNTG_06612.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEYEQKMERVERIRRMQNMFNRERDRHRRGYERWRENASGPYQQSPQDDWYWNTDTSYQHQRTNFRSPQWDNNSCSMSHHYSVLGLDRSRKTPYSDTEIKVAFRAKAMQYHPDQNQNNKEAAEAKFKEVMASYDAIKLERKNRC >DRNTG_06612.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:488061:490012:-1 gene:DRNTG_06612 transcript:DRNTG_06612.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQNMFNRERDRHRRGYERWRENASGPYQQSPQDDWYWNTDTSYQHQRTNFRSPQWDNNSCSMSHHYSVLGLDRSRKTPYSDTEIK >DRNTG_18653.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28983350:28983745:-1 gene:DRNTG_18653 transcript:DRNTG_18653.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDMGSTTKEKLREFSAKTEEKAEKATARNKEEKDMVKEKAKAKEAQAKAQLHQEKADHRADSAAGQRGSTRVPLTHHRPAAGNVNYPASGDLPAGEKYF >DRNTG_18653.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28983350:28984832:-1 gene:DRNTG_18653 transcript:DRNTG_18653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDMGSTTKEKLREFSAKTEEKAEKATARNKEEKDMVKEKAKAKEAQAKAQLHQEKADHRADSAAGQRGSTRVPLTHHRPAAGNVNYPASGDLPAGEKYF >DRNTG_18580.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3480853:3481638:-1 gene:DRNTG_18580 transcript:DRNTG_18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNGPYSVKLQSWMLDLGALISLAPAATWIWHTNLPMHYWNHSTILSIMKPLAPLPHEVEVFVRARGYCMMADIFSPSDRERHHSTTAPSSLPEALGVSVNLLHNPSLSVAHLAVTHLTTTLSEKEKAPFLFLTAHHHSWKPVPRGRWGQVARSRWGQIHDGQSHADKW >DRNTG_27784.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3321147:3325681:-1 gene:DRNTG_27784 transcript:DRNTG_27784.10 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRKGVLPRLLEEILSTRIMIKKAMKKLSPSQQVLQRIFNSRQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRITLETAISFVNNHDKWKARVVYGDTDSMFVLLKGRSVEEAFKIGNEIALAITGMNPDPVTLKMEKVYYPCFLLTKKRYVGYSFESPDQKNPKFDAKGIETVRRDACPAVAKTMEKSLRIFFEHKNIYEVKSYLERQWTRILAGRVSLQDFVFAKEVRLGTYSTRAASLPPAAIVATKAMRIDPRAVPHYGERIPYIVIHGEPGARLIDMVVHPSAVLDINSPYRLNDLYYITKQIIPALQRVFGLVNADLNQWFSGMPRPVRPTLSKSCSSASHSGSWGGREDNEIGLENSKKAMAKRSRIDTYYISKHCTICGELVQASAYFCPDCLKNSSLIVNTVVGRTSKLEREIRHLADICNHCGGGDWIVESGVKCTSLACAVYYERRKVQKEFQSISSFATAAGLYPCCFAELF >DRNTG_27784.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3320946:3324643:-1 gene:DRNTG_27784 transcript:DRNTG_27784.11 gene_biotype:protein_coding transcript_biotype:protein_coding MPCAELADSIVQCGRITLETAISFVNNHDKWKARVVYGDTDSMFVLLKGRSVEEAFKIGNEIALAITGMNPDPVTLKMEKVYYPCFLLTKKRYVGYSFESPDQKNPKFDAKGIETVRRDACPAVAKTMEKSLRIFFEHKNIYEVKSYLERQWTRILAGRVSLQDFVFAKEVRLGTYSTRAASLPPAAIVATKAMRIDPRAVPHYGERIPYIVIHGEPGARLIDMVVHPSAVLDINSPYRLNDLYYITKQIIPALQRVFGLVNADLNQWFSGMPRPVRPTLSKSCSSASHSGSWGGREDNEIGLENSKKAMAKRSRIDTYYISKHCTICGELVQASAYFCPDCLKNSSLIVNTVVGRTSKLEREIRHLADICNHCGGGDWIVESGVKCTSLACAVYYERRKVQKEFQSISSFATAAGLYPCCFAELF >DRNTG_27784.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3321147:3333618:-1 gene:DRNTG_27784 transcript:DRNTG_27784.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMKDCQLGSSFGSRVALGFKDSKVDREASDGKVGKTMATCSVRDLMRTKRFSRPEHLEPEMMAGCTVGSVFASEEGHHYSMPDEARHAARYGDEGLQEIAIDALSPLGTDHTENRLLHDAKKISENHNDEIGQGVSEGPHFCNPTDSNFALDTNAPLTKKQLDASINYNIFRNVQDAEYYEEDTEMGFMRKPPSVDDMVIISEDPLSSTTGAGVIMGGQKQDLEDIPPFFINNFTDRGQRNHTSNQDSTLGVSVHFQNDGAALYLLTHASSPPTLDTVKRWISKVAKHDEFNQSAGDLSSDIPPVPNNTHPLKHERLKSSQENRNLEGLECSSDVNIISSGQQSLGVPAKEEVLRCSEHDISQISGPDEKSNLTPLSQIGFRDPASMGGGQQLTIMSIEIHTESRGDLQPDPQFDAINVVALVVQEDTSHTFDVHVLIHGNNEEPCARCLDGVSDYHLLFFSEEKFLLINLSNIISSCDPDILMGWEIQSNSLGYLAERAANLGISLLKNMSRTPSHESDLRSRHSVKTEGGNPDVSPPDTLAADAIIQDTIIDDEWGRAHASGIHVGGRIVLNIWRLMRSEVKLNIYTAEAVAEEVLRQKVPSIPCRILYKWFLSGPGRARYRCIEYVAGRAKLNIQIMNQLDMINRTSELARVFGIDFFSVLSRGSQFRVESMLLRLAHTQNYLAISPGNQQVALQPAMECLPLVMEPESGFYADPVVVLDFQSLYPSMIIGYNLCFSTCLGKINPSRETVLGVSCYAPDQKILMNLKQEILITPNGVMYVPDKVRKGVLPRLLEEILSTRIMIKKAMKKLSPSQQVLQRIFNSRQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRITLETAISFVNNHDKWKARVVYGDTDSMFVLLKGRSVEEAFKIGNEIALAITGMNPDPVTLKMEKVYYPCFLLTKKRYVGYSFESPDQKNPKFDAKGIETVRRDACPAVAKTMEKSLRIFFEHKNIYEVKSYLERQWTRILAGRVSLQDFVFAKEVRLGTYSTRAASLPPAAIVATKAMRIDPRAVPHYGERIPYIVIHGEPGARLIDMVVHPSAVLDINSPYRLNDLYYITKQIIPALQRVFGLVNADLNQWFSGMPRPVRPTLSKSCSSASHSGSWGGREDNEIGLENSKKAMAKRSRIDTYYISKHCTICGELVQASAYFCPDCLKNSSLIVNTVVGRTSKLEREIRHLADICNHCGGGDWIVESGVKCTSLACAVYYERRKVQKEFQSISSFATAAGLYPCCFAELF >DRNTG_27784.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3321147:3323943:-1 gene:DRNTG_27784 transcript:DRNTG_27784.13 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDPRAVPHYGERIPYIVIHGEPGARLIDMVVHPSAVLDINSPYRLNDLYYITKQIIPALQRVFGLVNADLNQWFSGMPRPVRPTLSKSCSSASHSGSWGGREDNEIGLENSKKAMAKRSRIDTYYISKHCTICGELVQASAYFCPDCLKNSSLIVNTVVGRTSKLEREIRHLADICNHCGGGDWIVESGVKCTSLACAVYYERRKVQKEFQSISSFATAAGLYPCCFAELF >DRNTG_27784.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3321147:3326615:-1 gene:DRNTG_27784 transcript:DRNTG_27784.6 gene_biotype:protein_coding transcript_biotype:protein_coding MECLPLVMEPESGFYADPVVVLDFQSLYPSMIIGYNLCFSTCLGKINPSRETVLGVSCYAPDQKILMNLKQEILITPNGVMYVPDKVRKGVLPRLLEEILSTRIMIKKAMKKLSPSQQVLQRIFNSRQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRITLETAISFVNNHDKWKARVVYGDTDSMFVLLKGRSVEEAFKIGNEIALAITGMNPDPVTLKMEKVYYPCFLLTKKRYVGYSFESPDQKNPKFDAKGIETVRRDACPAVAKTMEKSLRIFFEHKNIYEVKSYLERQWTRILAGRVSLQDFVFAKEVRLGTYSTRAASLPPAAIVATKAMRIDPRAVPHYGERIPYIVIHGEPGARLIDMVVHPSAVLDINSPYRLNDLYYITKQIIPALQRVFGLVNADLNQWFSGMPRPVRPTLSKSCSSASHSGSWGGREDNEIGLENSKKAMAKRSRIDTYYISKHCTICGELVQASAYFCPDCLKNSSLIVNTVVGRTSKLEREIRHLADICNHCGGGDWIVESGVKCTSLACAVYYERRKVQKEFQSISSFATAAGLYPCCFAELF >DRNTG_27784.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3342303:3347177:-1 gene:DRNTG_27784 transcript:DRNTG_27784.9 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPENPSSDVFSLRIVSIDYYMAAPIPDLDFSYSDFQGKEVEEVPVIRIYGSTPAGQKGCMHVHGALPYLYIPCPELVIQNAEEGTIMVVDIYMLFLLQLRRL >DRNTG_27784.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3321147:3324349:-1 gene:DRNTG_27784 transcript:DRNTG_27784.12 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLLKGRSVEEAFKIGNEIALAITGMNPDPVTLKMEKVYYPCFLLTKKRYVGYSFESPDQKNPKFDAKGIETVRRDACPAVAKTMEKSLRIFFEHKNIYEVKSYLERQWTRILAGRVSLQDFVFAKEVRLGTYSTRAASLPPAAIVATKAMRIDPRAVPHYGERIPYIVIHGEPGARLIDMVVHPSAVLDINSPYRLNDLYYITKQIIPALQRVFGLVNADLNQWFSGMPRPVRPTLSKSCSSASHSGSWGGREDNEIGLENSKKAMAKRSRIDTYYISKHCTICGELVQASAYFCPDCLKNSSLIVNTVVGRTSKLEREIRHLADICNHCGGGDWIVESGVKCTSLACAVYYERRKVQKEFQSISSFATAAGLYPCCFAELF >DRNTG_27784.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3321147:3339388:-1 gene:DRNTG_27784 transcript:DRNTG_27784.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIHTSKVKFRSPLPDKFLLKRYISEDLRSDAVVSPAIWLSSSVRADLIWPVVPTGHNQLEDKIIHLVKRHSACGLELDSSVEDILNQNHKMYASLSQNLSDVKMVQSLIPLWEEEYKRTGTQEAVKSLDSTKPLPRDTLELFRRGLEYDQAFAEMLSEHHPYITHKEITPEMKMKFAEYIKTFSDIDRAVRFVQHTWASCSGEPLRQPRGADRNVGLSCSEGFEDATMYVTKHESQELESTSQKISSFEIKDEDSMAIDTEALGLLSWLASSQAIEELNTDDELVHEAILSPILSTKSFKQALEIAHLDYENASQQECLDILDSVYDDEKSVGLREQTSWPTYFDEKASDSLGNVIPQLDGSPDDHLLTTDKCKRPERPDLLNIEKEKDSHNQELKCASATVDCKSKHSKHFWGNLPLSKKLNECETSQTASGNSSPGDEMMKDCQLGSSFGSRVALGFKDSKVDREASDGKVGKTMATCSVRDLMRTKRFSRPEHLEPEMMAGCTVGSVFASEEGHHYSMPDEARHAARYGDEGLQEIAIDALSPLGTDHTENRLLHDAKKISENHNDEIGQGVSEGPHFCNPTDSNFALDTNAPLTKKQLDASINYNIFRNVQDAEYYEEDTEMGFMRKPPSVDDMVIISEDPLSSTTGAGVIMGGQKQDLEDIPPFFINNFTDRGQRNHTSNQDSTLGVSVHFQNDGAALYLLTHASSPPTLDTVKRWISKVAKHDEFNQSAGDLSSDIPPVPNNTHPLKHERLKSSQENRNLEGLECSSDVNIISSGQQSLGVPAKEEVLRCSEHDISQISGPDEKSNLTPLSQIGFRDPASMGGGQQLTIMSIEIHTESRGDLQPDPQFDAINVVALVVQEDTSHTFDVHVLIHGNNEEPCARCLDGVSDYHLLFFSEEKFLLINLSNIISSCDPDILMGWEIQSNSLGYLAERAANLGISLLKNMSRTPSHESDLRSRHSVKTEGGNPDVSPPDTLAADAIIQDTIIDDEWGRAHASGIHVGGRIVLNIWRLMRSEVKLNIYTAEAVAEEVLRQKVPSIPCRILYKWFLSGPGRARYRCIEYVAGRAKLNIQIMNQLDMINRTSELARVFGIDFFSVLSRGSQFRVESMLLRLAHTQNYLAISPGNQQVALQPAMECLPLVMEPESGFYADPVVVLDFQSLYPSMIIGYNLCFSTCLGKINPSRETVLGVSCYAPDQKILMNLKQEILITPNGVMYVPDKVRKGVLPRLLEEILSTRIMIKKAMKKLSPSQQVLQRIFNSRQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRITLETAISFVNNHDKWKARVVYGDTDSMFVLLKGRSVEEAFKIGNEIALAITGMNPDPVTLKMEKVYYPCFLLTKKRYVGYSFESPDQKNPKFDAKGIETVRRDACPAVAKTMEKSLRIFFEHKNIYEVKSYLERQWTRILAGRVSLQDFVFAKEVRLGTYSTRAASLPPAAIVATKAMRIDPRAVPHYGERIPYIVIHGEPGARLIDMVVHPSAVLDINSPYRLNDLYYITKQIIPALQRVFGLVNADLNQWFSGMPRPVRPTLSKSCSSASHSGSWGGREDNEIGLENSKKAMAKRSRIDTYYISKHCTICGELVQASAYFCPDCLKNSSLIVNTVVGRTSKLEREIRHLADICNHCGGGDWIVESGVKCTSLACAVYYERRKVQKEFQSISSFATAAGLYPCCFAELF >DRNTG_27784.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3332280:3337507:-1 gene:DRNTG_27784 transcript:DRNTG_27784.7 gene_biotype:protein_coding transcript_biotype:protein_coding MYASLSQNLSDVKMVQSLIPLWEEEYKRTGTQEAVKSLDSTKPLPRDTLELFRRGLEYDQAFAEMLSEHHPYITHKEITPEMKMKFAEYIKTFSDIDRAVRFVQHTWASCSGEPLRQPRGADRNVGLSCSEGFEDATMYVTKHESQELESTSQKISSFEIKDEDSMAIDTEALGLLSWLASSQAIEELNTDDELVHEAILSPILSTKSFKQALEIAHLDYENASQQECLDILDSVYDDEKSVGLREQTSWPTYFDEKASDSLGNVIPQLDGSPDDHLLTTDKCKRPERPDLLNIEKEKDSHNQELKCASATVDCKSKHSKHFWGNLPLSKKLNECETSQTASGNSSPGDEMMKDCQLGSSFGSRVALGFKDSKVDREASDGKVGKTMATCSVRDLMRTKRFSRPEHLEPEMMAGCTVGSVFASEEGHHYSMPDEARHAARYGDEGLQEIAIDALSPLGTDHTENRLLHDAKKISENHNDEIGQGVSEGPHFCNPTDSNFALDTNAPLTKKQLDASINYNIFRNVQDAEYYEEDTEMGFMRKPPSVDDMVIISEDPLSSTTGAGVIMGG >DRNTG_27784.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3321147:3347177:-1 gene:DRNTG_27784 transcript:DRNTG_27784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQSGAILNRSFQPFESHIPYLLQFLVDYNLHGMSHIHTSKVKFRSPLPDKFLLKRYISEDLRSDAVVSPAIWLSSSVRADLIWPVVPTGHNQLEDKIIHLVKRHSACGLELDSSVEDILNQNHKMYASLSQNLSDVKMVQSLIPLWEEEYKRTGTQEAVKSLDSTKPLPRDTLELFRRGLEYDQAFAEMLSEHHPYITHKEITPEMKMKFAEYIKTFSDIDRAVRFVQHTWASCSGEPLRQPRGADRNVGLSCSEGFEDATMYVTKHESQELESTSQKISSFEIKDEDSMAIDTEALGLLSWLASSQAIEELNTDDELVHEAILSPILSTKSFKQALEIAHLDYENASQQECLDILDSVYDDEKSVGLREQTSWPTYFDEKASDSLGNVIPQLDGSPDDHLLTTDKCKRPERPDLLNIEKEKDSHNQELKCASATVDCKSKHSKHFWGNLPLSKKLNECETSQTASGNSSPGDEMMKDCQLGSSFGSRVALGFKDSKVDREASDGKVGKTMATCSVRDLMRTKRFSRPEHLEPEMMAGCTVGSVFASEEGHHYSMPDEARHAARYGDEGLQEIAIDALSPLGTDHTENRLLHDAKKISENHNDEIGQGVSEGPHFCNPTDSNFALDTNAPLTKKQLDASINYNIFRNVQDAEYYEEDTEMGFMRKPPSVDDMVIISEDPLSSTTGAGVIMGGQKQDLEDIPPFFINNFTDRGQRNHTSNQDSTLGVSVHFQNDGAALYLLTHASSPPTLDTVKRWISKVAKHDEFNQSAGDLSSDIPPVPNNTHPLKHERLKSSQENRNLEGLECSSDVNIISSGQQSLGVPAKEEVLRCSEHDISQISGPDEKSNLTPLSQIGFRDPASMGGGQQLTIMSIEIHTESRGDLQPDPQFDAINVVALVVQEDTSHTFDVHVLIHGNNEEPCARCLDGVSDYHLLFFSEEKFLLINLSNIISSCDPDILMGWEIQSNSLGYLAERAANLGISLLKNMSRTPSHESDLRSRHSVKTEGGNPDVSPPDTLAADAIIQDTIIDDEWGRAHASGIHVGGRIVLNIWRLMRSEVKLNIYTAEAVAEEVLRQKVPSIPCRILYKWFLSGPGRARYRCIEYVAGRAKLNIQIMNQLDMINRTSELARVFGIDFFSVLSRGSQFRVESMLLRLAHTQNYLAISPGNQQVALQPAMECLPLVMEPESGFYADPVVVLDFQSLYPSMIIGYNLCFSTCLGKINPSRETVLGVSCYAPDQKILMNLKQEILITPNGVMYVPDKVRKGVLPRLLEEILSTRIMIKKAMKKLSPSQQVLQRIFNSRQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRITLETAISFVNNHDKWKARVVYGDTDSMFVLLKGRSVEEAFKIGNEIALAITGMNPDPVTLKMEKVYYPCFLLTKKRYVGYSFESPDQKNPKFDAKGIETVRRDACPAVAKTMEKSLRIFFEHKNIYEVKSYLERQWTRILAGRVSLQDFVFAKEVRLGTYSTRAASLPPAAIVATKAMRIDPRAVPHYGERIPYIVIHGEPGARLIDMVVHPSAVLDINSPYRLNDLYYITKQIIPALQRVFGLVNADLNQWFSGMPRPVRPTLSKSCSSASHSGSWGGREDNEIGLENSKKAMAKRSRIDTYYISKHCTICGELVQASAYFCPDCLKNSSLIVNTVVGRTSKLEREIRHLADICNHCGGGDWIVESGVKCTSLACAVYYERRKVQKEFQSISSFATAAGLYPCCFAELF >DRNTG_27784.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3321147:3331551:-1 gene:DRNTG_27784 transcript:DRNTG_27784.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLATFICSLLVVGQKQDLEDIPPFFINNFTDRGQRNHTSNQDSTLGVSVHFQNDGAALYLLTHASSPPTLDTVKRWISKVAKHDEFNQSAGDLSSDIPPVPNNTHPLKHERLKSSQENRNLEGLECSSDVNIISSGQQSLGVPAKEEVLRCSEHDISQISGPDEKSNLTPLSQIGFRDPASMGGGQQLTIMSIEIHTESRGDLQPDPQFDAINVVALVVQEDTSHTFDVHVLIHGNNEEPCARCLDGVSDYHLLFFSEEKFLLINLSNIISSCDPDILMGWEIQSNSLGYLAERAANLGISLLKNMSRTPSHESDLRSRHSVKTEGGNPDVSPPDTLAADAIIQDTIIDDEWGRAHASGIHVGGRIVLNIWRLMRSEVKLNIYTAEAVAEEVLRQKVPSIPCRILYKWFLSGPGRARYRCIEYVAGRAKLNIQIMNQLDMINRTSELARVFGIDFFSVLSRGSQFRVESMLLRLAHTQNYLAISPGNQQVALQPAMECLPLVMEPESGFYADPVVVLDFQSLYPSMIIGYNLCFSTCLGKINPSRETVLGVSCYAPDQKILMNLKQEILITPNGVMYVPDKVRKGVLPRLLEEILSTRIMIKKAMKKLSPSQQVLQRIFNSRQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRITLETAISFVNNHDKWKARVVYGDTDSMFVLLKGRSVEEAFKIGNEIALAITGMNPDPVTLKMEKVYYPCFLLTKKRYVGYSFESPDQKNPKFDAKGIETVRRDACPAVAKTMEKSLRIFFEHKNIYEVKSYLERQWTRILAGRVSLQDFVFAKEVRLGTYSTRAASLPPAAIVATKAMRIDPRAVPHYGERIPYIVIHGEPGARLIDMVVHPSAVLDINSPYRLNDLYYITKQIIPALQRVFGLVNADLNQWFSGMPRPVRPTLSKSCSSASHSGSWGGREDNEIGLENSKKAMAKRSRIDTYYISKHCTICGELVQASAYFCPDCLKNSSLIVNTVVGRTSKLEREIRHLADICNHCGGGDWIVESGVKCTSLACAVYYERRKVQKEFQSISSFATAAGLYPCCFAELF >DRNTG_27784.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3346793:3347177:-1 gene:DRNTG_27784 transcript:DRNTG_27784.15 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPENPSSDVFSLRIVSIDYYMAAPIPDLDFSYSDFQGKEVEEVPVIRIYGSTPAGQKGCMHVHG >DRNTG_27784.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3322137:3333618:-1 gene:DRNTG_27784 transcript:DRNTG_27784.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGWEIQSNSLGYLAERAANLGISLLKNMSRTPSHESDLRSRHSVKTEGGNPDVSPPDTLAADAIIQDTIIDDEWGRAHASGIHVGGRIVLNIWRLMRSEVKLNIYTAEAVAEEVLRQKVPSIPCRILYKWFLSGPGRARYRCIEYVAGRAKLNIQIMNQLDMINRTSELARVFGIDFFSVLSRGSQFRVESMLLRLAHTQNYLAISPGNQQVALQPAMECLPLVMEPESGFYADPVVVLDFQSLYPSMIIGYNLCFSTCLGKINPSRETVLGVSCYAPDQKILMNLKQEILITPNGVMYVPDKVRKGVLPRLLEEILSTRIMIKKAMKKLSPSQQVLQRIFNSRQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRITLETAISFVNNHDKWKARVVYGDTDSMFVLLKGRSVEEAFKIGNEIALAITGMNPDPVTLKMEKVYYPCFLLTKKRYVGYSFESPDQKNPKFDAKGIETVRRDACPAVAKTMEKSLRIFFEHKNIYEVKSYLERQWTRILAGRVSLQDFVFAKEVRLGTYSTRAASLPPAAIVATKAMRIDPRAVPHYGERIPYIVIHGEPGARLIDMVVHPSAVLDINSPYRLNDLYYITKQIIPALQRVFGLVNADLNQWFSGMPRPVRPTLSKSCSSASHSGSWGGREDNEIGLENSKKAMAKRSRIDTYYISKHCTICGELVQASAYFCPDCLKNSSLIVNTVVGRTSKLEREIRHLADICNHCGGGDWIVESGVKCTSLACAVYYERRKVQKEFQSISSFATAAGLYPCCFAELF >DRNTG_27784.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3326055:3328444:-1 gene:DRNTG_27784 transcript:DRNTG_27784.14 gene_biotype:protein_coding transcript_biotype:protein_coding MGWEIQSNSLGYLAERAANLGISLLKNMSRTPSHESDLRSRHSVKTEGGNPDVSPPDTLAADAIIQDTIIDDEWGRAHASGIHVGGRIVLNIWRLMRSEVKLNIYTAEAVAEEVLRQKVPSIPCRILYKWFLSGPGRARYRCIEYVAGRAKLNIQIMNQLDMINRTSELARVFGIDFFSVLSRGSQFRVESMLLRLAHTQNYLAISPGNQQVALQPAMECLPLVMEPESGFYADPVVVLDFQSLYPSMIIGYNLCFSTCLGKINPSRETVLGVSCYAPDQKILMNLKQEILITPNGVMYVPDKVIFINFILPFFPIYI >DRNTG_27784.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3336894:3341918:-1 gene:DRNTG_27784 transcript:DRNTG_27784.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIHTSKVKFRSPLPDKFLLKRYISEDLRSDAVVSPAIWLSSSVRADLIWPVVPTGHNQLEDKIIHLVKRHSACGLELDSSVEDILNQNHKMYASLSQNLSDVKMVQSLIPLWEEEYKRTGTQEAVKSLDSTKPLPRDTLELFRRGLEYDQAFAEMLSEHHPYITHKEITPEMKMKFAEYIKTFSDIDRAVRFVQHTWASCSGEPLRQPRGADRNVGLSCSEGFEDATMYVTKHESQELESTSQKISSFEIKDEDSM >DRNTG_31960.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23344226:23346077:1 gene:DRNTG_31960 transcript:DRNTG_31960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDWRTDHQHVGGDVVEAGAGGGEANSKGEVIDCISRIEIGRNNRAEAKGLGVEVTGEAAVAELASGVGFAKEVTAVGHEVALKEGGDSVVVAGVDGGVAEYDDYLDDGEGEERQR >DRNTG_31960.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23340542:23346077:1 gene:DRNTG_31960 transcript:DRNTG_31960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDWRTDHQHVGGDVVEAGAGGGEANSKGEVIDCISRIEIGRNNRAEAKGLGVEVTGEAAVAELASGVGFAKEVTAVGHEVALKEGGDSVVVAGVDGGVAEYDDYLDDGEGEERQR >DRNTG_31960.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23345035:23346077:1 gene:DRNTG_31960 transcript:DRNTG_31960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRDWRTDHQHVGGDVVEAGAGGGEANSKGEVIDCISRIEIGRNNRAEAKGLGVEVTGEAAVAELASGVGFAKEVTAVGHEVALKEGGDSVVVAGVDGGVAEYDDYLDDGEGEERQR >DRNTG_21585.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19175383:19177820:-1 gene:DRNTG_21585 transcript:DRNTG_21585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASTTTTTTNRFPTRNPNPNPNPKLPHLLSFKFSRYPSLLSHLHLRRRFSSISAASTGDQTIVPFDSEKPREECGVVGIVGHPDAAHLCSLALHALQHRGQEGAGIAASDLSTLRSSTGLGLVSEVFDPSKPGLSLSSLTGPAAIGHVRYSTAGAAASIHNVQPFLASYRFGQLAVAHNGNLVNYPSLRSSLEEKGSIFNTSSDTEAILHLIATSKSRPFLSRVAEACSYLRGAYSLLFLTADKLLAVRDPHGFRPLVLGRLPRANGAPSAVVFASETCALDLIGAKYEREVEPGEAIIVDARTLDISTSCLMPSKPRKACVFELIYFALPQSLVFSHPVYESRYSFGATLAAESPVPGADVVIPVPDSGFFAALGFSERSGVPFQQGLIRSHYVGRTFIQPSQAIRDLGVKLKLSPIKGILAGKSVVVVDDSIVRGTTSSKIVRLIRDAGAKEVHMRIASPPIIASCYYGVDTPSSEELISNRMDIEGVRKEIGADSLAFLSLESLRGLLGDEAPSFCEACFSGNYPVPPVEYEDVNSKEPLYVA >DRNTG_33507.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002012.1:30620:30996:1 gene:DRNTG_33507 transcript:DRNTG_33507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSNGAVSLSSSENNEGHENAEEWKPCRERIQVV >DRNTG_10255.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19968642:19969087:1 gene:DRNTG_10255 transcript:DRNTG_10255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARAYRLSCLKESSVYEVDFAEVLQIKAALLHEVTQSPKHRHIEIKAKALVRVPADISDGDFITSMPCKCFSQ >DRNTG_27155.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:654133:654403:-1 gene:DRNTG_27155 transcript:DRNTG_27155.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPLSSYSESCRFLDPGFQCRNNGRNDTQYLNWRWQPSHCDLLRAMLERNRNKRIVFVGDSIGRNQWE >DRNTG_21889.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19587717:19592311:-1 gene:DRNTG_21889 transcript:DRNTG_21889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGSPFDARNYDAKMNDLITAEGSQFFTTYEEVFESFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFVKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSAGVHVVVGTPGRVFDMLRRQSLRPDQIRMFVLDEADEMLSRGFKDQIYDIFQLLPSKVQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKGRLEARYSL >DRNTG_32109.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13305012:13306779:1 gene:DRNTG_32109 transcript:DRNTG_32109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKHWELIRRIPFAHFLEIEVVVQERIMSLQARVSVLEGTSPTRKDEDDEDMMKPNPKNDVIPFQKEASPVLQKHGEETIDGIDKLVDSVKVGEVQIKVDT >DRNTG_27334.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17100306:17104270:1 gene:DRNTG_27334 transcript:DRNTG_27334.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMCLTCILVDNMFDGFMGFRLVSMLFTTHDHGFEILAFPCNQFGFQEPGSNAQIKQFACTRFKAEFPIFDKIDVNGPNTAPVYQFLKSNAGGFLGDVIKWNFEKFLLDKNVRLLRDTHQQRRHFKLRKISGSSLQCRVLEWHNRRCKYRI >DRNTG_27334.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17100306:17104270:1 gene:DRNTG_27334 transcript:DRNTG_27334.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMAFSSALCAARSPWNGFVPQMGCFHLSGRFATGFPSSLFSVRRSSSENSGGFGSRRLRTGGFVSASAATEKSIHDFTVKDIDGKDFSLNKFKGKVLLIVNVASRCGLTTSNYTELSHVYEKYKAQEPGSNAQIKQFACTRFKAEFPIFDKIDVNGPNTAPVYQFLKSNAGGFLGDVIKWNFEKFLLDKNVRLLRDTHQQRRHFKLRKISGSSLQCRVLEWHNRRCKYRI >DRNTG_27334.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17100306:17104270:1 gene:DRNTG_27334 transcript:DRNTG_27334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMAFSSALCAARSPWNGFVPQMGCFHLSGRFATGFPSSLFSVRRSSSENSGGFGSRRLRTGGFVSASAATEKSIHDFTVKDIDGKDFSLNKFKGKVLLIVNVASRCGLTTSNYTELSHVYEKYKAQGFEILAFPCNQFGFQEPGSNAQIKQFACTRFKAEFPIFDKIDVNGPNTAPVYQFLKSNAGGFLGDVIKWNFEKFLLDKNVRLLRDTHQQRRHFKLRKISGSSLQCRVLEWHNRRCKYRI >DRNTG_15837.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25103629:25104263:-1 gene:DRNTG_15837 transcript:DRNTG_15837.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIESHREGAEIVKGDASCKKKAAEVLGELGLPNGLFPLDDIQEFGYNRAGGFIWLVQKKKKEHTFKKIKQVVSYATEVTAFVEKGKMMKISGVKTRELLLWLSVVEMYIEDPSSGKITFKTGTGLSDSFPVSAFELE >DRNTG_25848.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8779869:8781130:-1 gene:DRNTG_25848 transcript:DRNTG_25848.2 gene_biotype:protein_coding transcript_biotype:protein_coding ACRLMFLFWEMVKEFKLAFNFLPSGRLNSPSLSPITSDSCSRIVSL >DRNTG_25848.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8779869:8781336:-1 gene:DRNTG_25848 transcript:DRNTG_25848.1 gene_biotype:protein_coding transcript_biotype:protein_coding ACRLMFLFWEMVKEFKLAFNFLPSGRLNSPSLSPITSDSCSRIVSL >DRNTG_06175.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25294811:25295320:-1 gene:DRNTG_06175 transcript:DRNTG_06175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTCKKTQQFMGKNMANRQVKKRRWGT >DRNTG_21393.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19497710:19501455:-1 gene:DRNTG_21393 transcript:DRNTG_21393.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIKDTYSLLVLNISFRTTADDLYPLFDKYGKVVDVFIPRDRRTGESRGFAFVRYKYAEEAQKAVDRLDGRSVDGRNIMVQFAKYGPNAERIQKGRIVEAVPKSRGRSRSRSPRPRHRDDHRDRDHRRRSRSRSKGRYERDRYYDKEKDHYRRSRSRSISPDRGRGRDRRRYSDERKSRSRSFDSASPSRRSYSPKRSASPRRTPSRDASDDKYSNGKKSPRSQSASPKGRDDSRSPSPHNSAEQD >DRNTG_21393.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19497710:19501455:-1 gene:DRNTG_21393 transcript:DRNTG_21393.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIKDTYSLLVLNISFRTTADDLYPLFDKYGKVVDVFIPRDRRTGESRGFAFVRYKYAEEAQKAVDRLDGRSVDGRNIMVQFAKYGPNAERIQKGRIVEAVPKSRGRSRSRSPRPRHRDDHRDRDHRRRSRSRSKGRYERDRYYDKEKDHYRRSRSRSISPDRGRGRDRRRYSDERKSRSRSFDSASPSRRSYSPKRSASPRRTPSRDASDDKYSNGKKSPRSQSASPKGRDDSRSPSPHNSAED >DRNTG_21393.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19497710:19501455:-1 gene:DRNTG_21393 transcript:DRNTG_21393.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIKDTYSLLVLNISFRTTADDLYPLFDKYGKVVDVFIPRDRRTGESRGFAFVRYKYAEEAQKAVDRLDGRSVDGRNIMVQFAKYGPNAERIQKGRIVEAVPKSRGRSRSRSPRPRHRDDHRDRDHRRRSRSRSKGRYERDRYYDKEKDHYRRSRSRSISPDRGRGRDRRRYSDERKSRSRSFDSASPSRRSYSPKRSASPRRTPSRDASDDKYSNGKKSPRSQSASPKGRDDSRSPSPHNSAED >DRNTG_21393.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19497710:19501455:-1 gene:DRNTG_21393 transcript:DRNTG_21393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIKDTYSLLVLNISFRTTADDLYPLFDKYGKVVDVFIPRDRRTGESRGFAFVRYKYAEEAQKAVDRLDGRSVDGRNIMVQFAKYGPNAERIQKGRIVEAVPKSRGRSRSRSPRPRHRDDHRDRDHRRRSRSRSKGRYERDRYYDKEKDHYRRSRSRSISPDRGRGRDRRRYSDERKSRSRSFDSASPSRRSYSPKRSASPRRTPSRDASDDKYSNGKKSPRSQSASPKGRDDSRSPSPHNSAED >DRNTG_15862.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25313176:25313545:-1 gene:DRNTG_15862 transcript:DRNTG_15862.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLSNRIQRRKLSRGRGTPNPHPLENLKQLSLSSMVTATLHHHYLTSCPLEDLRRVTKSFFSSTSSLHFSDTISLIYFGIASL >DRNTG_31547.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17398428:17399304:1 gene:DRNTG_31547 transcript:DRNTG_31547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDVQMAQGKASHQKPAPHPNPAKLRSHLHDFRRRHHFSQKRPCSFSRSSRAR >DRNTG_25065.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18433618:18434328:1 gene:DRNTG_25065 transcript:DRNTG_25065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLHSEVGAAIPMNWPLLDKRDDKEAETGKAREILLAPNIEEEEFQLPSLGMLWTWSILLFDLLKLENHIPFFILTTLFHLLIALGDESLDLVNFAFKLFSDIHPSNHKSQASSELPKATEVQHLLHLFHLTLVPSKINHPLSIKVGMKASEWIPNTSELQQAKVKFVKKENARNFLDISFNINGIMEIPKLSLSDHTATLFRNMI >DRNTG_11011.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29357977:29358555:-1 gene:DRNTG_11011 transcript:DRNTG_11011.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKEQDDQSHEPDVAHDHESQTTPKQGGWITFPFIIGNVFGMSLIFSGAMGNFIVYLIKYYNFKSVDAAQLFNIINGSSSFSPLLGAIISDSFFGCLPVITFSTVASLFVRYLFIYFIYLFL >DRNTG_11011.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29315761:29358555:-1 gene:DRNTG_11011 transcript:DRNTG_11011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKEQDDQSHEPDVAHDHESQTTPKQGGWITFPFIIGNVFGMSLIFSGAMGNFIVYLIKYYNFKSVDAAQLFNIINGSSSFSPLLGAIISDSFFGCLPVITFSTVASLFSMILLTLTAGIKAFRPTNSHTAASSGQLALLYTALALLVVGTGGSRFNTMTMGADQLSNVDDQNIFFNWYFIVFYMAGVIGNTVITYIEDSISWELGYGICSAVNALVVLFMLLGVKYYRRPGMKENPFTAIVRVIVAGIRKRKLTLPAETETVTYYHRPSEKADQP >DRNTG_13443.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9090545:9091536:1 gene:DRNTG_13443 transcript:DRNTG_13443.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML40 [Source:Projected from Arabidopsis thaliana (AT3G01830) UniProtKB/Swiss-Prot;Acc:Q9SGI8] MLNSLNRPSFIQKLCCILSPKKLDKKPILMKHAASTAPVSTTTCSSKSGEFERVFHYFDEDKDGKISPTELRNCMRTVGEELSAEDAEALVVSTDSDGDGLLGFEDFVKLVDVEGEEEKRRNLRDAFKVYEMEGRDCITPKSLRRALSKLGESKTVEECTKMINRFDINGDGVLSFEEFSLMML >DRNTG_13443.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9090480:9091457:1 gene:DRNTG_13443 transcript:DRNTG_13443.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML40 [Source:Projected from Arabidopsis thaliana (AT3G01830) UniProtKB/Swiss-Prot;Acc:Q9SGI8] MLNSLNRPSFIQKLCCILSPKKLDKKPILMKHAASTAPVSTTTCSSKSGEFERVFHYFDEDKDGKISPTELRNCMRTVGEELSAEDAEALVVSTDSDGDGLLGFEDFVKLVDVEGEEEKRRNLRDAFKVYEMEGRDCITPKSLRRALSKLGESKTVEECTKMINRFDINGDGVLSFEEFSLMML >DRNTG_13443.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9090391:9091457:1 gene:DRNTG_13443 transcript:DRNTG_13443.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML40 [Source:Projected from Arabidopsis thaliana (AT3G01830) UniProtKB/Swiss-Prot;Acc:Q9SGI8] MLNSLNRPSFIQKLCCILSPKKLDKKPILMKHAASTAPVSTTTCSSKSGEFERVFHYFDEDKDGKISPTELRNCMRTVGEELSAEDAEALVVSTDSDGDGLLGFEDFVKLVDVEGEEEKRRNLRDAFKVYEMEGRDCITPKSLRRALSKLGESKTVEECTKMINRFDINGDGVLSFEEFSLMML >DRNTG_13443.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9090391:9091739:1 gene:DRNTG_13443 transcript:DRNTG_13443.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML40 [Source:Projected from Arabidopsis thaliana (AT3G01830) UniProtKB/Swiss-Prot;Acc:Q9SGI8] MLNSLNRPSFIQKLCCILSPKKLDKKPILMKHAASTAPVSTTTCSSKSGEFERVFHYFDEDKDGKISPTELRNCMRTVGEELSAEDAEALVVSTDSDGDGLLGFEDFVKLVDVEGEEEKRRNLRDAFKVYEMEGRDCITPKSLRRALSKLGESKTVEECTKMINRFDINGDGVLSFEEFSLMML >DRNTG_13443.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9090545:9091739:1 gene:DRNTG_13443 transcript:DRNTG_13443.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML40 [Source:Projected from Arabidopsis thaliana (AT3G01830) UniProtKB/Swiss-Prot;Acc:Q9SGI8] MLNSLNRPSFIQKLCCILSPKKLDKKPILMKHAASTAPVSTTTCSSKSGEFERVFHYFDEDKDGKISPTELRNCMRTVGEELSAEDAEALVVSTDSDGDGLLGFEDFVKLVDVEGEEEKRRNLRDAFKVYEMEGRDCITPKSLRRALSKLGESKTVEECTKMINRFDINGDGVLSFEEFSLMML >DRNTG_13443.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9090545:9091457:1 gene:DRNTG_13443 transcript:DRNTG_13443.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML40 [Source:Projected from Arabidopsis thaliana (AT3G01830) UniProtKB/Swiss-Prot;Acc:Q9SGI8] MLNSLNRPSFIQKLCCILSPKKLDKKPILMKHAASTAPVSTTTCSSKSGEFERVFHYFDEDKDGKISPTELRNCMRTVGEELSAEDAEALVVSTDSDGDGLLGFEDFVKLVDVEGEEEKRRNLRDAFKVYEMEGRDCITPKSLRRALSKLGESKTVEECTKMINRFDINGDGVLSFEEFSLMML >DRNTG_13443.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9090480:9091739:1 gene:DRNTG_13443 transcript:DRNTG_13443.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML40 [Source:Projected from Arabidopsis thaliana (AT3G01830) UniProtKB/Swiss-Prot;Acc:Q9SGI8] MLNSLNRPSFIQKLCCILSPKKLDKKPILMKHAASTAPVSTTTCSSKSGEFERVFHYFDEDKDGKISPTELRNCMRTVGEELSAEDAEALVVSTDSDGDGLLGFEDFVKLVDVEGEEEKRRNLRDAFKVYEMEGRDCITPKSLRRALSKLGESKTVEECTKMINRFDINGDGVLSFEEFSLMML >DRNTG_25953.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2086529:2096894:1 gene:DRNTG_25953 transcript:DRNTG_25953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNEVPDKVHNFFEQNNSSQHPHSQTVARSWSLANRNHWLSSQSQNGIRIGSNVNSHTVRYSESDSGNSFQSSQFPSGNLNHSGSKKEVITSQLRNQQSTSNGSTHGSQTFKSSTDHTRLLEDGRFSTMQSQQQLTRSQQPGMSQPQPREQAGSNDIQLLQQQLLYKQLQEFQRQQQFQQLNQVVRQQNSGSQLVGSKQAAVDKLPALLNGTPISNVGNSLRQNEFVGSDAKIASSSQMFDGNWAQHSGSSAMYGITNGLMLPNDQGQVMRSMGFSSQQPDQSLYGMPVSSARPHLNQYSQFQGMPNASSGVMTNSSQRGPPVFTEHGHTQDGIMVTKQDLRGSGLFVSATNQNQINGMATEQFHQIPHQSRNIQVQEIPKGQEQSDFLGNLQDKTFVQAGASQHVSSLDPTEEKLLFGTDNDASWVASFGRSGNSSTGEYLHGNPLGDNDCFGAFPSVQSGSWSALMLDALDASSSDTGVQEEWSGLSFQKTDTSIGNHSALLNNNEKQQAWNDNHLQNASLISKPFPPFNESEASPSCQTAPNFQPSSGRFNYGHNDQVSINVPNESSQHSTKEAEKHLDQDPQQKQFMEGGFQAQMRPDGSTGGAWVGHAYEQAMSAASAAELNLKTANTKGAWAHHQNTPLSNVDSGRPNHKHGGWHMNGPVPANNSDILSFPSDRQWHDQKADMNETMHAERDHGSGMWKTVSNKADLTGELEPLESHIGSTKMGAQSPYLSGFPGAMSASSVARNEGMNQQGFNIHQVNFGRPVSLDSYVKYKGNETIGSVQDQVGSGPQTWDSSMRNVDRGFSETGDKLPKIVLNESCSPSPSNSGNLSDRSVERRNQFVAGNVAHHFGVGNQNTIGQSGQQTIGSRKFQYHPMGNVGMNMELTDGSNRNIYRQSLAQHQGLKNINQGFAGHSQFISHVASNNAMNTGMEQVTNFQRTSKGPEETLSGTSASYAEPTKSTSFGGTTAQWSQNNRANQTSQNMLELLQKVDQSNENNSVPQFQFSADRMQSEIPHMAAASDGSASHHQYNQSSALQGFGLRLAPPSQRQAVANHALSRQISHDLNVQQLDPESVGYDHKLSNTTASAQAQSPLPADETSQRENSDNDSNLSGQADKFQSRHTTHSPTLGSSFSHHRNTSQHAPQLEAKSASSASGMSRQAGYSTMLNKVWKNLSANRLSGVQPQNFTPNILQSMIPSTSGRDANFLTPQKADDRNNKGSADLEGSTTCSINSQQISHGNPVRENSTPQIPPARMDAPPELGVGHYAQDPSAKHITGGDPAVSVPSLVRLHQLDLRRGKHMPNSAQDSQVHALSQKVASSSHDIGIHGHGPRASDDVQQQQYSLLQQMQAMKGVDSDQGKSTESKIKGADFSSLSSQMRWKAAQLFNYGQNTVFRVPTDGELGLNSHGPLSSEKKMLSFSPQENHDKSPSSSSQIVGREVSSQDLCTPGRNDVHPHSVPLSSQSAFVGGSERPFVSPQMAPLWFEQYGNYKNGQILALYDKQNAVKFGNQQHFFAKSSASMDNNAVLDQRNDIGSVTSLGQGTSSSVVDSDEVVPPVSLVHDSVLRPKKRKILTSDIAWHKEVSQGPRRRRSISIAELEWAQVSNRLIEKVEDEFELFEDGPSIPQPRRRIILTTQLMQLIFPSVPTTILTAEPSSCYENLTYFVARSALSDACNSTFSSGSSSSVDIHSGNMNSESIKTSEESSKHIILKFMEGVIGRTRKLESDSSRLDKRMSLLDVRVECQELERFSIINRLGKFHGRSQAEGVESSTSEGAPRRIFLQRYVTALPVTGNLPEGTPCFSL >DRNTG_20829.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19752563:19764628:1 gene:DRNTG_20829 transcript:DRNTG_20829.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLTLNLSSPLIRHSPHLRSRFMSWFSSTSVARAPMPAIAFGTWPVMSRAQDFPISGTDGALVGFITGKSKVTELAHSVWRSLVQKGDAVVDATCGNGHDTLALLKMVANGSGRGCVYGMDIQQSAIENTSLLLKESVNEDERELVKLFLVCHSKMETAIPKDTPIRLIVFNLGYLPGGDKTIITTSTTTLMALQAASHILHSEGLISMVVYVGHPGGREELQTVESFASSLPTESWVTCKFEVSNRPTGPVLVLIFKK >DRNTG_20829.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19763652:19764628:1 gene:DRNTG_20829 transcript:DRNTG_20829.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAASHILHSEGLISMVVYVGHPGGREELQTVESFASSLPTESWVTCKFEVSNRPTGPVLVLIFKK >DRNTG_16461.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15621530:15627266:-1 gene:DRNTG_16461 transcript:DRNTG_16461.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLANKFHRLLVFVLLVLPVLEASVISLGPRHLGGHGIYPLEASVELNITNFDAVLTDSPTTYAIVEFFAHWCPACRNYKPHYEKVARLFNGPEAVHPGIVLMAHVDCALKMNSDLCDQFSVRHYPMLLWGPPTKFVSGKWDSKQEKNEIQPIDDGRTAERLLNWINNKIGRQVINPFPLFLIVT >DRNTG_16461.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15612600:15627266:-1 gene:DRNTG_16461 transcript:DRNTG_16461.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANKFHRLLVFVLLVLPVLEASVISLGPRHLGGHGIYPLEASVELNITNFDAVLTDSPTTYAIVEFFAHWCPACRNYKPHYEKVARLFNGPEAVHPGIVLMAHVDCALKMNSDLCDQFSVRHYPMLLWGPPTKFVSGKWDSKQEKNEIQPIDDGRTAERLLNWINNKIGSSTVVTTS >DRNTG_27628.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3535560:3536723:-1 gene:DRNTG_27628 transcript:DRNTG_27628.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGLHPQMQWISYVTQSGRLMHVMMTKIHNVGKVYHFRARRQMAQSLGQVAKFKRRYEQNNQETDDK >DRNTG_27628.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3535538:3536723:-1 gene:DRNTG_27628 transcript:DRNTG_27628.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGLHPQMQWISYVTQSGRLMHVMMTKIHNVGKVYHFRARRQMAQSLGQVAKFKRRYEQNNQETDDK >DRNTG_27628.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3534154:3536748:-1 gene:DRNTG_27628 transcript:DRNTG_27628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGLHPQMQWISYVTQSGRLMHVMMTKIHNVGKVYHFRARRQMAQSLGQVAKFKRRYEQNNQETDDK >DRNTG_27628.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3535560:3536723:-1 gene:DRNTG_27628 transcript:DRNTG_27628.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGLHPQMQWISYVTQSGRLMHVMMTKIHNVGKVYHFRARRQMAQSLGQVAKFKRRYEQNNQETDDK >DRNTG_27628.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3535538:3536723:-1 gene:DRNTG_27628 transcript:DRNTG_27628.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGLHPQMQWISYVTQSGRLMHVMMTKIHNVGKVYHFRARRQMAQSLGQVAKFKRRYEQNNQETDDK >DRNTG_27628.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3535163:3536723:-1 gene:DRNTG_27628 transcript:DRNTG_27628.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGLHPQMQWISYVTQSGRLMHVMMTKIHNVGKVYHFRARRQMAQSLGQVAKFKRRYEQNNQETDDK >DRNTG_09612.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:951653:958512:1 gene:DRNTG_09612 transcript:DRNTG_09612.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanine nucleotide-binding protein alpha-1 subunit [Source:Projected from Arabidopsis thaliana (AT2G26300) UniProtKB/Swiss-Prot;Acc:P18064] MLSTVFGGMGSLCSRQKPLCEADTEETARAAEIERRITQETKAEQHIHKLLLLGAGESGKSTIFKQIKLLFQTGFSEAELRSYTSVIHANVFQTIKVLYDGTKELAQNDTNSSKYVVSLDNKEIGEKLSDIGSRWDYPCLTREIAKEIETLWNDAAIQETYACGGMLQIPDCTQYFMENLERFSDVNYVPTKEDVLYARVRTSGVVEIQFSPVGENRKSGEVYRLYDVGGQRNERRKWIHLFEGVTAIIFCAAISEYDQLLFEDETKNRMMETKELFDWVLKETCFEKTSFMLFLNKFDIFEKKVQKVPLNVCEWFKDYQPMASGKQEVEHAYEFVKKKFEELYFQSSRPDRVDRVFKIYRTTALDQKLVKKTFKLVDETLRRRNLIEAGLL >DRNTG_22322.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:495874:499555:1 gene:DRNTG_22322 transcript:DRNTG_22322.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinate/nicotinamide mononucleotide adenyltransferase [Source:Projected from Arabidopsis thaliana (AT5G55810) UniProtKB/TrEMBL;Acc:F4K688] MHLRMFELAKDALRERGYFVVGGYMSPVNDSYKKKGLASAIHRLQLCELACKSSSFIMVDPWEAKQRDYQRTLTVLSRIRNFLHESGLFSTGSLKVMLLCGSDLLESFSVPGVWIPDQLRAICRDFGVICIRREGKDIDNLISNNEILNENKSNIIAVDEIVPNQISSTLVRECIRRGLSVKYLTTDEVIGYIDEQKLYLKSDDSETG >DRNTG_26303.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21315204:21320950:-1 gene:DRNTG_26303 transcript:DRNTG_26303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHYFSIADVWNALQHAAWRKQQRRQFEHPALEKEFKRPGYGHRQGQGHRLENIKGSNSSLALSARDGEKGEEKTKKSQEGKLKGEELLSEGKSVEVATEREVVGDNPSSDVDFSEKDGEHQVEAGCGKLDPAASKGDCDKSHLSRTSNEACGHGVGGIPAQGEKHYHCPVPKEFFSKEANDGKMGNIVEGLKLYEKLLDSSEVSKLVSLVNELRVAGQRGEFQGPTVVVHKRPMKGHGRLMIQLGIPVAEAPLEDENFSGASWERRVKAIPSLLQDVLDRAIDLHILSDKPDFCVVDFFHEGDHSQPHLWPLWFGRPVCNLFLTECDMVFGKSVRIDSVGDYRGSLKLSLTPGDLLVIQGRSADVARHAIPSLRKERILLTFGKHQPMKNAPSEALSFSFINHTATLSFGTTTN >DRNTG_26303.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21315204:21320950:-1 gene:DRNTG_26303 transcript:DRNTG_26303.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVSVKKNFLFFFFSISCSFLVAYIHHISERRVKAIPSLLQDVLDRAIDLHILSDKPDFCVVDFFHEGDHSQPHLWPLWFGRPVCNLFLTECDMVFGKSVRIDSVGDYRGSLKLSLTPGDLLVIQGRSADVARHAIPSLRKERILLTFGKHQPMKNAPSEALSFSFINHTATLSFGTTTN >DRNTG_29993.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6568597:6569048:1 gene:DRNTG_29993 transcript:DRNTG_29993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGEFWLTTQLHTVNPLAKPLAQLKQLPNILEQTNILKPRFDAINNLIKAMLDVAKCIVEFRELPSEYISYDAPDMAMALAHIPIAVYWTIRGAIACTSQIVGLIGLGRE >DRNTG_23393.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001263.1:39410:40489:-1 gene:DRNTG_23393 transcript:DRNTG_23393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSTEEVTFTPGILKKNISAEPAERAQGRPAAPVDDHATGAHPWVISARACEFLQSWADFPENTQGCGLARVGDLMNHARAWVISARPCETLQVAPSIPRKHRDVGCPWKPHGRASGHVGLAHGRGYFSHTCGRSF >DRNTG_06980.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12046:16376:-1 gene:DRNTG_06980 transcript:DRNTG_06980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLKFFVFFEGLGGVLNVSKPAKGSLVVVFGLGAIGLAVLIYHFKHRG >DRNTG_06980.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12046:16376:-1 gene:DRNTG_06980 transcript:DRNTG_06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNLGFFPSSFSQDSLIATAGPHLRRWRHCQISSGCSISSGLGGVLNVSKPAKGSLVVVFGLGAIGLAVLIYHFKHRG >DRNTG_06980.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12046:13296:-1 gene:DRNTG_06980 transcript:DRNTG_06980.3 gene_biotype:protein_coding transcript_biotype:protein_coding LSGWSTHGKLSCPYCMANLKSFNLKHGRKSCFFDCHRQFLPPDHPFRRQFLPPDHPFRSSWKIILPLLYGQSCFFDWLFYLFVTKSYLTNIGRIPPYIFFLTMIGNL >DRNTG_06980.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15190:16376:-1 gene:DRNTG_06980 transcript:DRNTG_06980.4 gene_biotype:protein_coding transcript_biotype:protein_coding KNLGFFPSSFSQDSLIATAGPHLRRWRHCQISSGCSISSGLGGVLNVSKPAKGSLVVVFGLGAIGLAVLIYHFKHRG >DRNTG_30549.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:686772:687913:-1 gene:DRNTG_30549 transcript:DRNTG_30549.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDTEVIIDCPPVFKRYKSGRVERLMGTEFTPASIHPTNGSTVSSKDITINPETSITARLFFPNFFTTPPSTKLPILVYFHGGAFFVGSAFNPSYHNFLTSLVSKANIMAVSINYRLAPEHLLPTAYDDSWEAMQWVLRGGDGEPWLAEHGDLKSVFMAGDSAGANISHQMALRVKRNEVLGMVLIHPYFWGSEVIGEETRDPKTRSFMEGLWKMACVEEIGYVDHELYNPLMEGRLAELKCGKVMVMVAGKDVLRERGRVYCEKVKESGWDGEVELHESEEEDHVFHLNKPECDKALALLDKIVAFFNSF >DRNTG_24754.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2220141:2224029:-1 gene:DRNTG_24754 transcript:DRNTG_24754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPGGGTMQGQMDQQQMGQSQAQWGTMAPLQLQYQQPPPPPMWSQQQPQIPPQIPSQQQQQYPPQYHAPPPPPSQYQVAAAMQQPGSSDEIRSLWIGDLQYWMDEAYLQSCFSQPLQNGELLSMKIIRNRQTGHSEGYGFLEFATHAIAERILQTYNGQMMPNIDQAYKMNWASPGAGERRDIGADHTIFVGDLASDVTDYILEETFKAVYSSVKGAKVVIDRTTGRSKGYGFVRFGDVNEQNRAMTEMNGAYCSSRPMRIGPAASKKSADAPQQYTAKASYQTQGTDSESDPNNTTLFVGGLDANVSEDLLRETFCKYGELVHVKIPVGKGCGFVQFAYRANAEEALKLLHGTLLGGQNIRLSWGRSPNKQLQPDSNQWNGSHYGYGQGYDMYGYAPPPPQDPNMYAYTAYQGYGNYQQ >DRNTG_24649.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8048709:8049552:1 gene:DRNTG_24649 transcript:DRNTG_24649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDNLFNNGDNKVACETLVVGCGSSHQDQPPTDEPDLPAESYRIRIEDWFRIRSAAVYDREYSTKGSTNPKSMMAHSNRNPSSTSQRLCANSKSRALIIGLPNNFDLAGYLRRPVNSREVRRSAPSLAGVEPESPKGIVLREDLIGERTGSSAGIGAEAGCLGGLLGWISDGVWGFGVVEKRKQGGGGGGGGEEEEGREGGD >DRNTG_21688.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1007893:1010688:-1 gene:DRNTG_21688 transcript:DRNTG_21688.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAQACLFSQLFRSFDQDSGSFQKYILAYILLARNGEILKKVRESMCRELYRSGLDLIGQKKRCPAVKKQPGSDYNGQRSEDSLLPGLHDDIALDCLAWARRSDYPSLACLSKRFNLLIGSGYLYRLRRQLGIIEHWVYLACSLMPWEAFDPNRQRWMRLPRMPCDECFSYADKESLAVGTQLLVFGRELTGFAIWMYCLVRRNWSRCPSMNLSRCLFGSGSSGEIAIVAGGSNKNGHVLKCAELYNSELGTWETLPDMNLPRKMCSGFFMDGKFYVIGGMSSHTDSLTCGEEYNLETRMWKRIRNMYPGGNRATQSPPLVAVVNNQLYAADQAINVVKKYDKVNNTWNVVKPLPVRADSYNGWGLAFKACGDKLLVIGGHRGPQGEVIVLHSWCPEEGNTGPEWDVLAVRERAGAFVYNCAVMGC >DRNTG_21688.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1007848:1010688:-1 gene:DRNTG_21688 transcript:DRNTG_21688.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAQACLFSQLFRSFDQDSGSFQKYILAYILLARNGEILKKVRESMCRELYRSGLDLIGQKKRCPAVKKQPGSDYNGQRSEDSLLPGLHDDIALDCLAWARRSDYPSLACLSKRFNLLIGSGYLYRLRRQLGIIEHWVYLACSLMPWEAFDPNRQRWMRLPRMPCDECFSYADKESLAVGTQLLVFGRELTGFAIWMYCLVRRNWSRCPSMNLSRCLFGSGSSGEIAIVAGGSNKNGHVLKCAELYNSELGTWETLPDMNLPRKMCSGFFMDGKFYVIGGMSSHTDSLTCGEEYNLETRMWKRIRNMYPGGNRATQSPPLVAVVNNQLYAADQAINVVKKYDKVNNTWNVVKPLPVRADSYNGWGLAFKACGDKLLVIGGHRGPQGEVIVLHSWCPEEGNTGPEWDVLAVRERAGAFVYNCAVMGC >DRNTG_21688.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1007893:1010688:-1 gene:DRNTG_21688 transcript:DRNTG_21688.10 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAQACLFSQLFRSFDQDSGSFQKYILAYILLARNGEILKKVRESMCRELYRSGLDLIGQKKRCPAVKKQPGSDYNGQRSEDSLLPGLHDDIALDCLAWARRSDYPSLACLSKRFNLLIGSGYLYRLRRQLGIIEHWVYLACSLMPWEAFDPNRQRWMRLPRMPCDECFSYADKESLAVGTQLLVFGRELTGFAIWMYCLVRRNWSRCPSMNLSRCLFGSGSSGEIAIVAGGSNKNGHVLKCAELYNSELGTWETLPDMNLPRKMCSGFFMDGKFYVIGGMSSHTDSLTCGEEYNLETRMWKRIRNMYPGGNRATQSPPLVAVVNNQLYAADQAINVVKKYDKVNNTWNVVKPLPVRADSYNGWGLAFKACGDKLLVIGGHRGPQGEVIVLHSWCPEEGNTGPEWDVLAVRERAGAFVYNCAVMGC >DRNTG_21688.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1007893:1010688:-1 gene:DRNTG_21688 transcript:DRNTG_21688.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAQACLFSQLFRSFDQDSGSFQKYILAYILLARNGEILKKVRESMCRELYRSGLDLIGQKKRCPAVKKQPGSDYNGQRSEDSLLPGLHDDIALDCLAWARRSDYPSLACLSKRFNLLIGSGYLYRLRRQLGIIEHWVYLACSLMPWEAFDPNRQRWMRLPRMPCDECFSYADKESLAVGTQLLVFGRELTGFAIWMYCLVRRNWSRCPSMNLSRCLFGSGSSGEIAIVAGGSNKNGHVLKCAELYNSELGTWETLPDMNLPRKMCSGFFMDGKFYVIGGMSSHTDSLTCGEEYNLETRMWKRIRNMYPGGNRATQSPPLVAVVNNQLYAADQAINVVKKYDKVNNTWNVVKPLPVRADSYNGWGLAFKACGDKLLVIGGHRGPQGEVIVLHSWCPEEGNTGPEWDVLAVRERAGAFVYNCAVMGC >DRNTG_21688.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1007631:1010688:-1 gene:DRNTG_21688 transcript:DRNTG_21688.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAQACLFSQLFRSFDQDSGSFQKYILAYILLARNGEILKKVRESMCRELYRSGLDLIGQKKRCPAVKKQPGSDYNGQRSEDSLLPGLHDDIALDCLAWARRSDYPSLACLSKRFNLLIGSGYLYRLRRQLGIIEHWVYLACSLMPWEAFDPNRQRWMRLPRMPCDECFSYADKESLAVGTQLLVFGRELTGFAIWMYCLVRRNWSRCPSMNLSRCLFGSGSSGEIAIVAGGSNKNGHVLKCAELYNSELGTWETLPDMNLPRKMCSGFFMDGKFYVIGGMSSHTDSLTCGEEYNLETRMWKRIRNMYPGGNRATQSPPLVAVVNNQLYAADQAINVVKKYDKVNNTWNVVKPLPVRADSYNGWGLAFKACGDKLLVIGGHRGPQGEVIVLHSWCPEEGNTGPEWDVLAVRERAGAFVYNCAVMGC >DRNTG_21688.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1007848:1010749:-1 gene:DRNTG_21688 transcript:DRNTG_21688.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAQACLFSQLFRSFDQDSGSFQKYILAYILLARNGEILKKVRESMCRELYRSGLDLIGQKKRCPAVKKQPGSDYNGQRSEDSLLPGLHDDIALDCLAWARRSDYPSLACLSKRFNLLIGSGYLYRLRRQLGIIEHWVYLACSLMPWEAFDPNRQRWMRLPRMPCDECFSYADKESLAVGTQLLVFGRELTGFAIWMYCLVRRNWSRCPSMNLSRCLFGSGSSGEIAIVAGGSNKNGHVLKCAELYNSELGTWETLPDMNLPRKMCSGFFMDGKFYVIGGMSSHTDSLTCGEEYNLETRMWKRIRNMYPGGNRATQSPPLVAVVNNQLYAADQAINVVKKYDKVNNTWNVVKPLPVRADSYNGWGLAFKACGDKLLVIGGHRGPQGEVIVLHSWCPEEGNTGPEWDVLAVRERAGAFVYNCAVMGC >DRNTG_21688.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1007631:1010688:-1 gene:DRNTG_21688 transcript:DRNTG_21688.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAQACLFSQLFRSFDQDSGSFQKYILAYILLARNGEILKKVRESMCRELYRSGLDLIGQKKRCPAVKKQPGSDYNGQRSEDSLLPGLHDDIALDCLAWARRSDYPSLACLSKRFNLLIGSGYLYRLRRQLGIIEHWVYLACSLMPWEAFDPNRQRWMRLPRMPCDECFSYADKESLAVGTQLLVFGRELTGFAIWMYCLVRRNWSRCPSMNLSRCLFGSGSSGEIAIVAGGSNKNGHVLKCAELYNSELGTWETLPDMNLPRKMCSGFFMDGKFYVIGGMSSHTDSLTCGEEYNLETRMWKRIRNMYPGGNRATQSPPLVAVVNNQLYAADQAINVVKKYDKVNNTWNVVKPLPVRADSYNGWGLAFKACGDKLLVIGGHRGPQGEVIVLHSWCPEEGNTGPEWDVLAVRERAGAFVYNCAVMGC >DRNTG_21688.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1007848:1010688:-1 gene:DRNTG_21688 transcript:DRNTG_21688.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAQACLFSQLFRSFDQDSGSFQKYILAYILLARNGEILKKVRESMCRELYRSGLDLIGQKKRCPAVKKQPGSDYNGQRSEDSLLPGLHDDIALDCLAWARRSDYPSLACLSKRFNLLIGSGYLYRLRRQLGIIEHWVYLACSLMPWEAFDPNRQRWMRLPRMPCDECFSYADKESLAVGTQLLVFGRELTGFAIWMYCLVRRNWSRCPSMNLSRCLFGSGSSGEIAIVAGGSNKNGHVLKCAELYNSELGTWETLPDMNLPRKMCSGFFMDGKFYVIGGMSSHTDSLTCGEEYNLETRMWKRIRNMYPGGNRATQSPPLVAVVNNQLYAADQAINVVKKYDKVNNTWNVVKPLPVRADSYNGWGLAFKACGDKLLVIGGHRGPQGEVIVLHSWCPEEGNTGPEWDVLAVRERAGAFVYNCAVMGC >DRNTG_21688.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1007893:1010688:-1 gene:DRNTG_21688 transcript:DRNTG_21688.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAQACLFSQLFRSFDQDSGSFQKYILAYILLARNGEILKKVRESMCRELYRSGLDLIGQKKRCPAVKKQPGSDYNGQRSEDSLLPGLHDDIALDCLAWARRSDYPSLACLSKRFNLLIGSGYLYRLRRQLGIIEHWVYLACSLMPWEAFDPNRQRWMRLPRMPCDECFSYADKESLAVGTQLLVFGRELTGFAIWMYCLVRRNWSRCPSMNLSRCLFGSGSSGEIAIVAGGSNKNGHVLKCAELYNSELGTWETLPDMNLPRKMCSGFFMDGKFYVIGGMSSHTDSLTCGEEYNLETRMWKRIRNMYPGGNRATQSPPLVAVVNNQLYAADQAINVVKKYDKVNNTWNVVKPLPVRADSYNGWGLAFKACGDKLLVIGGHRGPQGEVIVLHSWCPEEGNTGPEWDVLAVRERAGAFVYNCAVMGC >DRNTG_21688.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1007893:1010688:-1 gene:DRNTG_21688 transcript:DRNTG_21688.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAQACLFSQLFRSFDQDSGSFQKYILAYILLARNGEILKKVRESMCRELYRSGLDLIGQKKRCPAVKKQPGSDYNGQRSEDSLLPGLHDDIALDCLAWARRSDYPSLACLSKRFNLLIGSGYLYRLRRQLGIIEHWVYLACSLMPWEAFDPNRQRWMRLPRMPCDECFSYADKESLAVGTQLLVFGRELTGFAIWMYCLVRRNWSRCPSMNLSRCLFGSGSSGEIAIVAGGSNKNGHVLKCAELYNSELGTWETLPDMNLPRKMCSGFFMDGKFYVIGGMSSHTDSLTCGEEYNLETRMWKRIRNMYPGGNRATQSPPLVAVVNNQLYAADQAINVVKKYDKVNNTWNVVKPLPVRADSYNGWGLAFKACGDKLLVIGGHRGPQGEVIVLHSWCPEEGNTGPEWDVLAVRERAGAFVYNCAVMGC >DRNTG_14856.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22940219:22947304:-1 gene:DRNTG_14856 transcript:DRNTG_14856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSLSNEVPDDPVVSKKSGLLFSRRLVEQHIKEYGKCPITKEELTLDDLMPVKTNKVAKPRPLQAASIPGLLGMFQNEWDALMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARMLLSQAERQIPLSTASAADNVVSNGKRAVEDGELGPDGKRIRPGINPVMIDELTECNSLLSTQRKRRQIPPTLAPVDALESYTQVSSHPLHKTNKPGILSVDIHPTKDVIATGGVDTNAVLFDRSTGQILCTLSGHSKKVNSVKFVPRDELFVTGSADKTVRVWQGVEDGNYDCRHVLKDHTAEVQSVTIHATNNYFVTASMDNTWCFYDLASGSCLTQVGEASGDEGYTSSAFHPDGLILGTGTSEAQVRIWDVKTQSNVAKFDGHVGAITSLSFSENGYFLATAALDGVKLWDLRKLRNFRSFSPYDSNTPTNAVEFDFSGSYLAIAGADVRVYQVANVKQEWNLIKTFPDLSGTGKVTSVKFGGDAKYLAVGSMDRNLRIFGLPGDESGDTKS >DRNTG_02893.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:754769:757483:1 gene:DRNTG_02893 transcript:DRNTG_02893.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRSGGGMGGGEVMRTWRAVGGVGGLNRSPPKAGGRGRTGSVGAFASSSSSSSSSQTSPTSPPPPPPPARPGSMAGLRSYPCSTDDDWNMVENLEKQGNDGGLQFYEKYVFGRAPSIVEYKEAISAFNDIFPFNISQVTEDGRQSWCEDLLDRDSSDDDSTDKVSSVDKDSVDNDSIHEGPSDTSVLDWTEPTLEVNTNNCLDIERSHNGFDIQRHMGVINFLRQIEQNSSLEKLVSSIGTDIGVWQAVMNNEVVQELRKSLYGGGGINPKSSPECHDAHSGGGGGGVGWAVNTTKAKIVEVFERIRKLLGSLFHSNEAGDSEAFKSALGLPMKIVVMLFIIVVVHRVQRS >DRNTG_16405.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4427352:4429618:1 gene:DRNTG_16405 transcript:DRNTG_16405.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein AGL12 [Source:Projected from Arabidopsis thaliana (AT1G71692) UniProtKB/Swiss-Prot;Acc:Q38841] MARGKVQMRRIENPVHRQVTFCKRRAGLLKKARELSVLCDAEIGIIIFSTHGKLYELATKGNMQSLIERYKNSCAETQAEGAETNQTQETVQEISKMKQEIDLLQKGLKYMFGERTMGHMTLDELQSLEKYLEIWMNHTRAAKVTNANHVHGNSSTEE >DRNTG_16405.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4427352:4429618:1 gene:DRNTG_16405 transcript:DRNTG_16405.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein AGL12 [Source:Projected from Arabidopsis thaliana (AT1G71692) UniProtKB/Swiss-Prot;Acc:Q38841] MARGKVQMRRIENPVHRQVTFCKRRAGLLKKARELSVLCDAEIGIIIFSTHGKLYELATKGNMQSLIERYKNSCAETQAEGAETNQTQETVQEISKMKQEIDLLQKGLKYMFGERTMGHMTLDELQSLEKYLEIWMNHTRAAKMQIMFMEIQALKNKVRSNIFSLINFTEMNRNKTDFPVMQEGILKAANELLQEKILEQNGVYDVSPMIADIQYPLTIHSDSLTIQNELFQF >DRNTG_16405.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4427352:4429618:1 gene:DRNTG_16405 transcript:DRNTG_16405.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein AGL12 [Source:Projected from Arabidopsis thaliana (AT1G71692) UniProtKB/Swiss-Prot;Acc:Q38841] MARGKVQMRRIENPVHRQVTFCKRRAGLLKKARELSVLCDAEIGIIIFSTHGKLYELATKGNMQSLIERYKNSCAETQAEGAETNQTQETVQEISKMKQEIDLLQKGLKYMFGERTMGHMTLDELQSLEKYLEIWMNHTRAAKMQIMFMEIQALKNKEGILKAANELLQEKILEQNGVYDVSPMIADIQYPLTIHSDSLTIQNELFQF >DRNTG_04938.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8070418:8071954:1 gene:DRNTG_04938 transcript:DRNTG_04938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIKDQRHKLRKRDEILGYLESSLNSLCPRDEDGMTRRKGEEKKMAGKEWWSDEDR >DRNTG_07886.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18794996:18795847:1 gene:DRNTG_07886 transcript:DRNTG_07886.1 gene_biotype:protein_coding transcript_biotype:protein_coding DHLGDVVYVELPDVGNAVTQGKSFGAVESVKATGDINSPVSGEVIDVNTELNGSPGLVNASPYDKGWIIKVQIKNEHELDSLMDSEKYAKFCEEEDAKH >DRNTG_07886.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18794996:18795247:1 gene:DRNTG_07886 transcript:DRNTG_07886.2 gene_biotype:protein_coding transcript_biotype:protein_coding DHLGDVVYVELPDVGNAVTQGKSFGAVESVKATGDINSPVSGEVIDVNTELNGSPGLVSVTEKN >DRNTG_30045.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18477702:18498558:-1 gene:DRNTG_30045 transcript:DRNTG_30045.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHMRYFKQGYELLSQMEPFIHEVLTYAQQSKEMASIEQDKLAQRIQEFRTQVALSNLRSSSNIEASTSGDGIHVVGINSYKNIEALMQSTAQGEVQTIKQGYLLKRSSNLRGDWKRRFFVLDSHGTLYYYRNKLKQGSQSQQSAGVLEHGSGVFSRFRFSHQRSSSLGDDHLWCRTVDLRTSTIKIDAEQTDLRFCFRIISPMKAYTLQAENAADRMEWIEKITGVIASLLNSNYVKQPSPRRVYMGSSSFHNAKDYDSLLLNHNHNMISKDNVIIKQHDSVLRLLRSIPGNDACAECGAPEPDWASLNLGILICIECSGVHRNLGVHISKV >DRNTG_30045.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18477702:18498558:-1 gene:DRNTG_30045 transcript:DRNTG_30045.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFINLEDSPMLRKQMYTFEQTADELKDRCQKLQKGCKKFMFSLEEGYDGDLAFADSLEAFGAGQDDPISVSIGGPVMSKFTTAFRELGTYKELLRSQVEHMLADRLTQFMNADVQNAKDSRRRFDKASLAYDQAREKFMSLKKGTRAEVVTELEEDLHNSKSAFERCRFNLVTALSNVEGKKKYEFLESVSAVMDAHMRYFKQGYELLSQMEPFIHEVLTYAQQSKEMASIEQDKLAQRIQEFRTQVALSNLRSSSNIEASTSGDGIHVVGINSYKNIEALMQSTAQGEVQTIKQGYLLKRSSNLRGDWKRRFFVLDSHGTLYYYRNKLKQGSQSQQSAGVLEHGSGVFSRFRFSHQRSSSLGDDHLWCRTVDLRTSTIKIDAEQTDLRFCFRIISPMKAYTLQAENAADRMEWIEKITGVIASLLNSNYVKQPSPRRVYMGSSSFHNAKDYDSLLLNHNHNMISKDNVIIKQHDSVLRLLRSIPGNDACAECGAPEPDWASLNLGILICIECSGVHRNLGVHISKV >DRNTG_26713.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4935050:4939432:1 gene:DRNTG_26713 transcript:DRNTG_26713.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLLLQCVFIDVFFIYLFTYAIVCVCVKQVPNEEAGQSPMAFVVRQPQSSIPKTKSWILFQNKYFLVLA >DRNTG_26713.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4935050:4939432:1 gene:DRNTG_26713 transcript:DRNTG_26713.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNFIYCHKLKLKTESRKEVEEKSHPDEIREDFETLAG >DRNTG_26713.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4935050:4939432:1 gene:DRNTG_26713 transcript:DRNTG_26713.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPETTLSTSLGQTGKSAFPPKFVNTNCVVAPLTTFFKLSYQIHSKNSSRKASFFS >DRNTG_26713.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4935050:4939432:1 gene:DRNTG_26713 transcript:DRNTG_26713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFIYCHKLKLKTESRKEVEEKSHPDEIREDFETLAG >DRNTG_26713.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4938744:4939432:1 gene:DRNTG_26713 transcript:DRNTG_26713.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNFIYCHKLKLKTESRKEVEEKSHPDEIREDFETLAG >DRNTG_01905.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20950933:20956584:-1 gene:DRNTG_01905 transcript:DRNTG_01905.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TORTIFOLIA1-like protein 2 [Source:Projected from Arabidopsis thaliana (AT2G07170) UniProtKB/Swiss-Prot;Acc:F4IK92] MRSSHLTSKHKMGGRVMNSQQTIFELKHRVLFSLNKLADRDTHQIGVEELEKITESLTPEGIAPFLSCIVDTDAEQKSAVRKECVRIMGSLARIHGSLLTQHIGKMVGSIVKRLKDADSVVRDSCIETVGVLATNIRSPACADGNGVVFVALARPFFEALGEQNKYVQSGSALCLARVIDEASNPPLALLSQMLARVVKLLKNPHYMAKPAIIELIRSILQAGGATTEHALSAAVKGILETLKSSDWTTRKAASVALAGIALSTGSLLGPFKTSCLRSLECCRFDKVKPVRDAIIHAIQCWRTLPGADSPEPSEAGSSTKENFIGDFTDVGSVSDGVWRDASFRKVGSVLSGNSTALTKKRTPLTAREACGNYVPKQQKIKPDDWHIEITLPKTHNMPLANDHHKEPKRSCNADALVRIIDPKGHDDYDLADEKPECSSVSDLVNASFETKHVTVTNGCLEDVDSANMVRMNRRSAKEEIDPESIMMQERKSLDSTVTDFCSQTMHGCCLHTANELAFIKKQLLEIEAKQSNLLDLLKVFMGNSVDSLSALQFQVHNLENAIEKIAQGTTPSENYPSIASSKLTRNQSVSSSPRLSNCTPRPSVDINYRQPSLLSARNREMWGENACSNSRSRISTKEDVELWKDSSVDVVRNPIVKGTKKSSGRSAIFSGSGQARDSKDLLPTSARNVSSKLSNLECKASFWKRIKEFLCKGDVESAYAEVLCSGDDRGLIELMNRTGPVLERLSLEMADEILCTLIPHLVDQKFMVSLIPWLQQMVNMSAAREARRLVQPTKARIEFLVAFQEAVTVELTDPAYRISMAQLAAKLSQIWSEAACRKLPLPT >DRNTG_26079.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4137633:4138950:-1 gene:DRNTG_26079 transcript:DRNTG_26079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIFLTLSLFFLSITRTLASYNIINYGAKPDGITDTAKPLLSAWAATCSSHQPASMYIPAGTFFVSTALFKGPCNNTNIRIFISGTLIAPSSYSATIQWLTFKYVQGVSILGGTIDGRGQAYWACKTSNRNCPSGAASIAIDESKDVLVSGLKSLNSEQFHMMIFSSQGITVQGAKISAPANSPNTDGIHIQMSSDVTITGSTIKTGDDCVSMGEGATNVWIEQVNCGPGHGISIGSLGETPNEMGVQNITVKNVIFTGTQNGVRIKTWGKPNTGFVKEVMFESLTMNNVQNPILVDQNYCPGNVDCPNKSSGIKISQVMYNNVQGSSATPVAVKFDCSPSNYCSGIGLQDIKLTYMNKPAQAYCKNAEGNASGYIVPPSCL >DRNTG_25831.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7368885:7372931:-1 gene:DRNTG_25831 transcript:DRNTG_25831.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFTLLACSFLAVATAGSFYQDFDITWGDKRANILNNGQLLTLSLDRVSGSGFQSKHEYLFGKIDMQLKLVAGNSAGTVTAYYVCVVLLT >DRNTG_25831.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7368885:7372931:-1 gene:DRNTG_25831 transcript:DRNTG_25831.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSSQGPTHDEIDFEFLGNLSGDPYTLHTNVFSQGKGNREQQFRLWFNPTKDFHTYSILCMNFSVSRLMVFQLETSRTLSLEVLLSRRTNR >DRNTG_25831.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7368885:7372931:-1 gene:DRNTG_25831 transcript:DRNTG_25831.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYSSLWDAEDWATRGGLVKTDWNKAPFTASYRNFTADACVWSSGASSCRSEKLKTRSSSNAAWLNQELDLTSQERMKWVQKNYMIYNYCTDLKRFPQGLPPECSIA >DRNTG_25831.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7368885:7373168:-1 gene:DRNTG_25831 transcript:DRNTG_25831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSSQGPTHDEIDFEFLGNLSGDPYTLHTNVFSQGKGNREQQFRLWFNPTKDFHTYSILCMNFSVSRLMVFQLETSRTLSLEVLLSRRTNR >DRNTG_27771.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24385199:24389971:-1 gene:DRNTG_27771 transcript:DRNTG_27771.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFDVMKRCDHYSYGPPQPPTGLMDSL >DRNTG_15341.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20726378:20726708:-1 gene:DRNTG_15341 transcript:DRNTG_15341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRLILLISLITTTSLLTISSSASGIGYLAWLEELVHGRARFISYGALRRNAIPCSHRGHLYYNCGRGRTANPYHRGCSIITKCARILN >DRNTG_25760.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21395757:21396160:-1 gene:DRNTG_25760 transcript:DRNTG_25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTDSLEGIEQEYVLVHRHLASLETLASSIEETSWKDSSGAKLPSKGTSVQLQKDETYITSLCQSESGQDNLPSHSSVLQQSFAAEKPQGAPSLHPSTKLQFLYHYIRAITDVVQEKVKKLKPH >DRNTG_12112.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5031146:5035254:-1 gene:DRNTG_12112 transcript:DRNTG_12112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCLESKEGEVLNGRTVRDEKRSERPMVPPKIDRLPATANRAKSRTNSVGSKTELLVQKDGAGVNISSQTFTFRELAAATRNFRPDCLLGEGGFGRVYKGRLESTGKVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFIPLGSLEDHLHDLPPDKESLDWNTRMKIAAGAARGLEYLHDKANPPVIYRDFKSSNILLDEGFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDSTKEHGEQNLVSWARPMFNDRRKLPKLADPKLRGRYPMRGLYQALAIASMCIQEQAASRPLIADVVTALSYLASQNYDATEKGRSRSRQDEKGARMLANTDGAGGSGRRLELGSEKEDSPREIIGIMNKDFNRERAIAEAKLWGENWREKRRQNNSNFPNVDG >DRNTG_27517.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21033881:21035115:1 gene:DRNTG_27517 transcript:DRNTG_27517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKPGLIALFDVDGTLTAPRKDVTPEMLEFMKKLREVVTVGVVGGSDLVKISEQLGKSVINDYDYVFSE >DRNTG_25614.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22062779:22063113:1 gene:DRNTG_25614 transcript:DRNTG_25614.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSSEEEYKTCNSSHPLFFSNNGRTEFKLERSGMFYFISGVLSHCEKGQKMMVKVIV >DRNTG_33257.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22152446:22155247:1 gene:DRNTG_33257 transcript:DRNTG_33257.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDIL5-1 [Source:Projected from Arabidopsis thaliana (AT1G07960) UniProtKB/TrEMBL;Acc:A0A178WDX4] MDLILRRRRSCSSRSLVSILLLFFLVASILESSAEVLTLTAESFNDKVKEKDTIWFVKFCVPWCKYCKNLGTLWEDLGNAVEGEDEIEIGEVDCSTSRTVCSKVDIHAYPTFKIFYDGEEVAKYTGPKDVESLKAFVLAEAEKAAQKKLEDDSEL >DRNTG_00372.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18547686:18553098:1 gene:DRNTG_00372 transcript:DRNTG_00372.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILPNNGLKSKPNSGPAEHIRAQKKAHKRPTTNSCWSNFKVLNGPIQAHYCPMKKPTRAPMSVLRPNFKGH >DRNTG_00372.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18547654:18550822:1 gene:DRNTG_00372 transcript:DRNTG_00372.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVYVGSGGNHGAFATPLLVSEGSQDPDRRPRCIDLAGE >DRNTG_00372.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18547686:18553098:1 gene:DRNTG_00372 transcript:DRNTG_00372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVYVGSGGNHGAFATPLLVSEGSQDPDRRPRCIDLAGE >DRNTG_23975.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30881545:30883927:-1 gene:DRNTG_23975 transcript:DRNTG_23975.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:Projected from Arabidopsis thaliana (AT5G10480) UniProtKB/TrEMBL;Acc:F4KGW0] MAGFLSVLKRIYLSIYNWTIFFGWAQVLYFAVITLRESGYQAVYDAVEKPLQLAQTAAVMEIIHGLVGLVRSPVSATLPQIGSRLYLTWGILYSFPEIRTHFLVSSLVISWSITEIIRYSFFGFRETIGFAPSWLLWLRYSTFLILYPSGISSEVGLTYIALPYIKASEKYCIKMPNKWNFSFDYFYASILVLLTYVPGSPHMYGYMLGQRKKALSKSKRE >DRNTG_11963.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16774369:16778783:-1 gene:DRNTG_11963 transcript:DRNTG_11963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHGEEARSCFIRALLEQDSARSVMGVHSLLADGTSIPKKTTRAIHVAAHVIQLKWATQTHYGIMMDNIGKHLKVNVKRVRYLETMGFSWKIVLANFIEVTDVCVQNIETTFRYHEASFKNLENQLGGILDTLSKEQQLFEQAIQVPYRNDVVVNDNEEVGQNGYDVVEKMEEEAKPKVIEQASLFGIDQLINYKKEILGLEEDVAEKSINLNSSHCAKLQDAIEIPSDKPYSNM >DRNTG_21525.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1472782:1474210:1 gene:DRNTG_21525 transcript:DRNTG_21525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIIHHEQTSLITKKIKKTHTEKQEQSLN >DRNTG_21525.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1472834:1474216:1 gene:DRNTG_21525 transcript:DRNTG_21525.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIIHHEQTSLITKKIKKTHTEKQEQSLN >DRNTG_21525.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1472834:1474210:1 gene:DRNTG_21525 transcript:DRNTG_21525.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHIIHHEQTSLITKKIKKTHTEKQEQSLN >DRNTG_21525.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1472897:1474210:1 gene:DRNTG_21525 transcript:DRNTG_21525.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHIIHHEQTSLITKKIKKTHTEKQEQSLN >DRNTG_04983.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4121693:4122400:-1 gene:DRNTG_04983 transcript:DRNTG_04983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQLTVLGRLRLDPLSSRTPRLPSRHRHRSRCRQHRR >DRNTG_05610.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20439323:20449322:-1 gene:DRNTG_05610 transcript:DRNTG_05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPANIGNLRNLQFLDLIGNKISGAIPESFGNLTLLQHFDGSGGNGLSGKLPETIGNLVHLQFLDLSHNALSGKLPESIGNLTQLQQLRMPGNGIMGGLPESAGKLSSLWELDLSGNNINGTLPKGMGNLCNLQSLILDDNFISGDIHDLVDGFSKCRVNKDGSASESSGGLQELSLRNNRLNGTVPEKISQLSKLGKLDLSSNSLVGLLTESHFANLANLDFVGFSYNLLQLNVSENWKPPFNCSTIRMCSCKLGPVFPIWLKTQIKLNDLCLSDAGISGSVPAWFWDPSFVTPSLLNLSHNSLEGMLPTLKTHGFTLLDLSSNKFEGLLPEFDPTLLLVIYLNNNSFSGSIPSYFAAATHIQVFSLSDNHINGSIPSFFCNLTYLTLLDVSNNDMSGGLPHCWDPTSNLEIIDLSDNNFTGKIPDGLVSFTNLRSLHLRKNGFSGDLPLSLKKANKLVTLDFGENKLSGSIPTWIGENLSSLIVLCLRSNLFEGIIPEQIAKLSSLQILDLAHNNLSGCIPHSFGDFKAMVVTTTHNGWWSLFSILSDIYYPCSMCGRVPYSNFSYSDSLLITTKGLQMEYTKLLSLVTSIDLSNNKLSCELPEELTRLHGLHFLNLSYNHFNGKIPESISDMEQLESLDLSDNNLFGTIPSSISTLNFLSHLNISHNNLSGKVPLGGQLQTFDPSAYNWNHDLCGSPLQNCANETQISLGANKEEGKGEGKGEGKGDWLEMLWLYIGLAMGYITGFWMIISIIMIKQTIRIAYFRSIDKVYDCLYLKMLMYSRRLKSTFPRRNEAQA >DRNTG_31907.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17488353:17493453:1 gene:DRNTG_31907 transcript:DRNTG_31907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAPLLLLLFLLLWMMMMLHLALSSDPPLSPKGLNYEVAALMAVKSWLRDEKGVMDGWDINSVDPCTWLMVCCSSDGFVISLEMANNGLSGSLSPSVGNLSHLQALLLQNNKITGPIPAEIGKLAQLKTLQLSNNQFVGQIPKNLGLLPLLDYLRLDRNNLSGQIPKSVADLAGLTYLDLSFNNLSGPFTKILAKDYSLAGNKFLCNSSILHGCTDVPLPTNETILPGKGSNHHLTIAICSSVGCVLVIFVLLLFYWLQRCRWHFSFCSDVEDHVFDIGHLKQFSFREIQIATDYFNSKNILGHGGFGVVYKGYLKNGTVVAVKRLKDPNFTGQVQFQTEVEMIGLALHRNLLRLYGFCMTQNERLLIYPYMSNGSVADRLRDKHHGKPSLDWSKRMQIALGAARGLLYLHEQCKPKIIHRDVKAANILLDESFEAVVGDFGLAKLLDLRDSHVSTAVRGTIGHIAPEYLSTGQSSEKTDVFGFGILLFELITGQKTISSGNGQVQKGMILDWVRTLREEKRLHMMADRDLKGSFDASELENAVSIILSCTQPNPAFRPKMSDVVKALEAGSRITEYQEESPGVAFCRRSCSFSGSYDDANEATSFIMEPMELSGPR >DRNTG_31907.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17488353:17489932:1 gene:DRNTG_31907 transcript:DRNTG_31907.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKSWLRDEKGVMDGWDINSVDPCTWLMVCCSSDGFVISLEMANNGLSGSLSPSVGNLSHLQALLLQNNKITGPIPAEIGKLAQLKTLQLSNNQFVGQIPKNLGLLPLLDYL >DRNTG_31907.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17490832:17493453:1 gene:DRNTG_31907 transcript:DRNTG_31907.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLALHRNLLRLYGFCMTQNERLLIYPYMSNGSVADRLRDKHHGKPSLDWSKRMQIALGAARGLLYLHEQCKPKIIHRDVKAANILLDESFEAVVGDFGLAKLLDLRDSHVSTAVRGTIGHIAPEYLSTGQSSEKTDVFGFGILLFELITGQKTISSGNGQVQKGMILDWVRTLREEKRLHMMADRDLKGSFDASELENAVSIILSCTQPNPAFRPKMSDVVKALEAGSRITEYQEESPGVAFCRRSCSFSGSYDDANEATSFIMEPMELSGPR >DRNTG_25449.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1496254:1496764:1 gene:DRNTG_25449 transcript:DRNTG_25449.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKRRTRVMITFLLSISIFIFIFIFSSSSSSSCIIIRVVQSMHEFITRKAMFIFCNVILLFLAKDSARFSSPKYSMVDIYDEYLIKREDLLNPSIFSSEFQQQEEEEEEEEYEDALMEKEEEGKDTESEINDVEEFNRRCDEFIENTKRHRRLEALHFVVS >DRNTG_25449.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1496132:1496958:1 gene:DRNTG_25449 transcript:DRNTG_25449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKRRTRVMITFLLSISIFIFIFIFSSSSSSSCIIIRVVQSMHEFITRKAMFIFCNVILLFLAKDSARFSSPKYSMVDIYDEYLIKREDLLNPSIFSSEFQQQEEEEEEEEYEDALMEKEEEGKDTESEINDVEEFNRRCDEFIENTKRHRRLEALHFVVS >DRNTG_25449.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1496132:1496764:1 gene:DRNTG_25449 transcript:DRNTG_25449.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKRRTRVMITFLLSISIFIFIFIFSSSSSSSCIIIRVVQSMHEFITRKAMFIFCNVILLFLAKDSARFSSPKYSMVDIYDEYLIKREDLLNPSIFSSEFQQQEEEEEEEEYEDALMEKEEEGKDTESEINDVEEFNRRCDEFIENTKRHRRLEALHFVVS >DRNTG_25449.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1496254:1496958:1 gene:DRNTG_25449 transcript:DRNTG_25449.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKRRTRVMITFLLSISIFIFIFIFSSSSSSSCIIIRVVQSMHEFITRKAMFIFCNVILLFLAKDSARFSSPKYSMVDIYDEYLIKREDLLNPSIFSSEFQQQEEEEEEEEYEDALMEKEEEGKDTESEINDVEEFNRRCDEFIENTKRHRRLEALHFVVS >DRNTG_26402.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18138555:18142530:-1 gene:DRNTG_26402 transcript:DRNTG_26402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAPSKLAISPGVKLPASIPTSIPSISLRGFRSRRSFLGSTVRLPAISPIARITESRHPHISAAAASVADAKPTVLVAEKLGEAGLALLREFSNVDCSYNLSQEELCAKISLCDALIVRSGTKVTREVFEAARSRLKVVGRAGVGIDNVDLQAATEHGCLVVNAPTANTIAAAEHGIALLTSMARNVAQADASMKAGKWQRNKYVGVSLVGKTLAVMGFGKVGSEVARRAKGLGMHVVAHDPYAPADRARAIGVELVSFDEAISNSDFISLHMPLTPTTSKIFNDDTFGKMKKGVRIINVARGGVIDEDALVRALDNGTVAQAALDVFTKEPPAADSKLVQHPNVTVTPHLGASTMEAQEGVAIEIAEAVVGALKGELAATAVNAPMVPAEVLSELAPYVILAEKLGRLAVQLVAGGSGIKGVKVVYTSARDPDDLDTRILRAMVTKGIIEPISSVFVNLVNADYTAKQRGLRISEERIFHDGSAEIPLDSIQLHLSNVESKFASAISDSGDIRVEGRVKDGIPHLTLVGSFAVDVSLEGNLILCRQVDQPGMIGRVGNILSEQNVNISFMSVGRTAPKKQAIMAIGVDEEPEKETLKKIGDIPAIEEFVFLKL >DRNTG_22571.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14550787:14556613:-1 gene:DRNTG_22571 transcript:DRNTG_22571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIIWFYEVTGNGKKIHFGRIPRILCYGVGGYKKQAVVSTLIESLVGKKRHPMLSKHKYVRTRRRKLDEKLKGEPSQRKGKEDVVKRNEEQPTLERMDDIGHSIDPSHDDPSEPRTKSSRKWCPSHEYQNPHWRTYLKRPKDYVRAKLALMPVALNKHYHLIVLDKDEKEYFHYSSIRSPVYDQAANAMGGQCQLSSVPDALHGTTFAWQGTQCTPLRCCSSATGVRHDIVDVRYARDEILAAAVTLHWRAFLDWGDGDEERR >DRNTG_16310.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000809.1:11977:19435:1 gene:DRNTG_16310 transcript:DRNTG_16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding DPRVVTPGFSHNRTPCQWLRAPLDQGKPGLTTPPPIRPDRGTPHCSVGLKSAVTIKTEC >DRNTG_16310.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000809.1:11977:12915:1 gene:DRNTG_16310 transcript:DRNTG_16310.2 gene_biotype:protein_coding transcript_biotype:protein_coding DPRVVTPGFSHNRTPCQWLRAPLDQGKPGLTTPPPIRPDRGTPHCSVGLKSAVTIKTECHI >DRNTG_21793.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:16941844:16943075:-1 gene:DRNTG_21793 transcript:DRNTG_21793.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSPAIAATAVNHCCMQTRCCYCRRAYQTYQFLQDSILEFFTKLLGFDLNQLKNEKFNRIDLAAKPISQLILRSHNPPLMTTIVSQTSLEDVKKGKAKIATGVLLPHEILAVIYKYKDKDGDDGDDNGITELQWARMVQDVLKLAGAMGGVITNERSTMVEIKDLSFTYPVIDGHPPPGSFPLINGFSLTLHGGNHFLLVWSSGSRKTMILKILGGKHMVGSEMVMVLGVGAQLSLTCYTLRILRRR >DRNTG_15894.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5911515:5912185:1 gene:DRNTG_15894 transcript:DRNTG_15894.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPFLSTTPPLITSISSSYKPHAGENL >DRNTG_15894.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5911515:5912185:1 gene:DRNTG_15894 transcript:DRNTG_15894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPFLSTTPPLITSISSSYKPHAGENL >DRNTG_15894.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5911515:5912185:1 gene:DRNTG_15894 transcript:DRNTG_15894.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPFLSTTPPLITSISSSYKPHAGENL >DRNTG_15894.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5911515:5912185:1 gene:DRNTG_15894 transcript:DRNTG_15894.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPFLSTTPPLITSISSSYKPHAGENL >DRNTG_31244.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3052793:3053968:1 gene:DRNTG_31244 transcript:DRNTG_31244.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LBD18 [Source:Projected from Arabidopsis thaliana (AT2G45420) UniProtKB/TrEMBL;Acc:A0A178VVX7] MSGCTNSTSGSSSGGGGGGGASGGASGGGGVGGGGGGPCGACKFLRRKCVNGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLLHIPAHKRLDAVVTICFEAQARLRDPVYGCVAHIFDLQQQVVNLQAELSYLQAHLATLELPSPPPPPPPPPLLAPSPFSISDLPFTSSPPTTLDLSTLFDPLNQPQLASQPVQQHGSSRDRSSLADQISSGGGDLQALARELLHRHHHHHHHQHAASSQSEPASSLPK >DRNTG_19241.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18875473:18878889:1 gene:DRNTG_19241 transcript:DRNTG_19241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKKEGTGFPSLPQLDPEKEIGRHSIPVFQAWIS >DRNTG_19241.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18878549:18878889:1 gene:DRNTG_19241 transcript:DRNTG_19241.2 gene_biotype:protein_coding transcript_biotype:protein_coding LKRKSEGTPFRFSKLGSLDKKHILFLSNTPGFPTAKPPKQTGRTRFPNPFAS >DRNTG_13075.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19428138:19428784:1 gene:DRNTG_13075 transcript:DRNTG_13075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPRSPIILRGSCPRKVNLFNWLAWEDKILSLENLALRRCNFVSSTTCFMCHADVESTDHLLT >DRNTG_18062.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:136159:140137:-1 gene:DRNTG_18062 transcript:DRNTG_18062.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLLRHITKYGHGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGTFSQQEEDLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCIKKKLRQRGIDPNTHKPLTETNEPGEDIKAAPPNSSDNKSSSKQLQLLVSTEPAPPPPPFMHLEDGYHQAECSTSNKNSITTTKELFQLVTCHESSSNSMSYVHLPQLTASNPLLWFSQNPELNCSNSTAIPSASTSSMMLPVPTSSMGLITPQCIPSYWEAGQPSSNSSGSTINSAANGIELQRGAASFFDNAIFQWSDLTHSSKEAQIQQPESEPEDLKWSEYLQGTFSMSAAIHGQNQNQNQSQSHSHSQPLYSDMKTENQFENMDELNSWHQNQHQQPLQPPDMYEKDFQRMNIHSF >DRNTG_18062.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:137240:140137:-1 gene:DRNTG_18062 transcript:DRNTG_18062.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLLRHITKYGHGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGTFSQQEEDLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCIKKKLRQRGIDPNTHKPLTETNEPGEDIKAAPPNSSDNKSSSKQLQLLVSTEPAPPPPPFMHLEDGYHQAECSTSNKNSITTTKELFQLVTCHESSSNSMSYVHLPQLTASNPLLWFSQNPELNCSNSTAIPSASTSSMMLPVPTSSMGLITPQCIPSYWEAGQPSSNSSGSTINSAANGIELQRGAASFFDNAIFQWSDLTHSSKEAQIQQPESEPEDLKWSEYLQGTFSMSAAIHGQNQNQNQSQSHSHSQPLYSDMKTENQFENMDELNSWHQNQHQQPLQPPDMYEKDFQRMNIHSF >DRNTG_18062.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:136159:140137:-1 gene:DRNTG_18062 transcript:DRNTG_18062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLLRHITKYGHGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGTFSQQEEDLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCIKKKLRQRGIDPNTHKPLTETNEPGEDIKAAPPNSSDNKSSSKQLQLLVSTEPAPPPPPFMHLEDGYHQAECSTSNKNSITTTKELFQLVTCHESSSNSMSYVHLPQLTASNPLLWFSQNPELNCSNSTAIPSASTSSMMLPVPTSSMGLITPQCIPSYWEAGQPSSNSSGSTINSAANGIELQRGAASFFDNAIFQWSDLTHSSKEAQIQQPESEPEDLKWSEYLQGTFSMSAAIHGQNQNQNQSQSHSHSQPLYSDMKTENQFENMDELNSWHQNQHQQPLQPPDMYEKDFQRMNIHSF >DRNTG_18062.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:137240:140137:-1 gene:DRNTG_18062 transcript:DRNTG_18062.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLLRHITKYGHGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGTFSQQEEDLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCIKKKLRQRGIDPNTHKPLTETNEPGEDIKAAPPNSSDNKSSSKQLQLLVSTEPAPPPPPFMHLEDGYHQAECSTSNKNSITTTKELFQLVTCHESSSNSMSYVHLPQLTASNPLLWFSQNPELNCSNSTAIPSASTSSMMLPVPTSSMGLITPQCIPSYWEAGQPSSNSSGSTINSAANGIELQRGAASFFDNAIFQWSDLTHSSKEAQIQQPESEPEDLKWSEYLQGTFSMSAAIHGQNQNQNQSQSHSHSQPLYSDMKTENQFENMDELNSWHQNQHQQPLQPPDMYEKDFQRMNIHSF >DRNTG_18062.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:137240:140137:-1 gene:DRNTG_18062 transcript:DRNTG_18062.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLLRHITKYGHGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGTFSQQEEDLIIELHAVLGNR >DRNTG_18062.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:136159:140137:-1 gene:DRNTG_18062 transcript:DRNTG_18062.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLLRHITKYGHGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGTFSQQEEDLIIELHAVLGNR >DRNTG_31975.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:648684:649911:1 gene:DRNTG_31975 transcript:DRNTG_31975.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of subcomplex B 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G16250) UniProtKB/Swiss-Prot;Acc:Q9LU21] MEIMGALQLHPPLPLFKHTTTKSYKLSSKLSMSRGFHKLNALETNSPSGITDLEEELPSIDFAFVSPKLLPDGSPDVHIRSAVGGQKLRDIMLDGNIDLYGPYDIPLSNCAGGGTCGTCMVEVVEGKELLSPRTDKEKEILKRKPKTWRLACQTVVGKPDSRGELIIQQLPEWKAHEWE >DRNTG_04328.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31239527:31240623:1 gene:DRNTG_04328 transcript:DRNTG_04328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNLMAKSDPFISDDQTMCSLEKRGPIFVLTLTGDGDHRLGPDVIAAIRVALATARKEALSTPDGAALVTTAQGRFFSNGFDLGWANAAGSPSAARARLESMVSLFAPVVADLLTLPMPTIAAITGHAAAAGFALAMSHDHVLMREDRGVVYMSEMDLGLPFPEYFMVLMRSKIVDPRTLRDVALGAMKIGGKEAKERGIVDRVYPGPEETLEAAMKLGEQLAGRGWVGTVYAETRRAAFPELCKAVVGVDDEEKEKVIAASKL >DRNTG_04328.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31239527:31240566:1 gene:DRNTG_04328 transcript:DRNTG_04328.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNLMAKSDPFISDDQTMCSLEKRGPIFVLTLTGDGDHRLGPDVIAAIRVALATARKEALSTPDGAALVTTAQGRFFSNGFDLGWANAAGSPSAARARLESMVSLFAPVVADLLTLPMPTIAAITGHAAAAGFALAMSHDHVLMREDRGVVYMSEMDLGLPFPEYFMVLMRSKIVDPRTLRDVALGAMKIGGKEAKERGIVDRVYPGPEETLEAAMKLGEQLAGRGWVGTVYAETRRAAFPELCKAVVGVDDEEKEKVIAASKL >DRNTG_04328.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31239647:31240566:1 gene:DRNTG_04328 transcript:DRNTG_04328.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYNLMAKSDPFISDDQTMCSLEKRGPIFVLTLTGDGDHRLGPDVIAAIRVALATARKEALSTPDGAALVTTAQGRFFSNGFDLGWANAAGSPSAARARLESMVSLFAPVVADLLTLPMPTIAAITGHAAAAGFALAMSHDHVLMREDRGVVYMSEMDLGLPFPEYFMVLMRSKIVDPRTLRDVALGAMKIGGKEAKERGIVDRVYPGPEETLEAAMKLGEQLAGRGWVGTVYAETRRAAFPELCKAVVGVDDEEKEKVIAASKL >DRNTG_20178.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:9257182:9258255:1 gene:DRNTG_20178 transcript:DRNTG_20178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMLPLMLEWEELDEQGFIAQRAKFRVVEGKQVVWPGLKTAISYDYLDTGDGMKIYLCNDRGDMPQSSNPDMSQSSNPDIP >DRNTG_06871.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17258607:17262781:-1 gene:DRNTG_06871 transcript:DRNTG_06871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSKTLITFTMELIDHHQHSHKDQTLSPDHHHHHHHHHHHHGGTQNPTCLRRQNHSHLQPFPPRQAKL >DRNTG_06871.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17258607:17262249:-1 gene:DRNTG_06871 transcript:DRNTG_06871.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENSKTLITFTMELIDHHQHSHKDQTLSPDHHHHHHHHHHHHGGTQNPTCLRRQNHSHLQPFPPRQAKL >DRNTG_06871.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17258607:17262781:-1 gene:DRNTG_06871 transcript:DRNTG_06871.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSKTLITFTMELIDHHQHSHKDQTLSPDHHHHHHHHHHHHGGTQNPTCLRRQNHSHLQPFPPRQAKL >DRNTG_20632.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20542088:20545390:-1 gene:DRNTG_20632 transcript:DRNTG_20632.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIPESFPNLNFSYLTFMDIGWNNFHNTCIPEWLFKIPNLRHLSMTDSGLIGNIPSSIGNATSLQFLDLSSNEGISGDMPRGFGDLCNLQSLHLDGTFMGKRLEDFRDAFSGCIRQSLNVLSFQFSSLKGPLPDWLGELRNLTFLDISSNPFNSSIPASIGKLSQLQKLYLYSSALNGFIPESLGRLSSLQHLDLHDNTLNGSIPQSLGRLSSLQYLDLHDNTLNGSIPQSLGRLSSLQYLDLSDNNFNYSVITEAHLANLTSLGYLSLNHFVLNISTNWIPVFQAYEIHLSYCHIGPKFPVWLANQVNLAVLDISNTRIKDLMPDWFWNITETMAILDVSNNEIKGRLPQRLKSQDEGYDLSILLGSNSFEGSVPYFSPDVYALDLSNNQISGNIPSDLGIFDGRTPQLNYLFLSSNNLSGIIPNSICDLVGLVLLELSNNHLEGAIPNCWNNLTSLRYLILANNSLAGEVPNSLISSSQSLEVLHLSNNQLRGKFPSFLKKCTFMTTLALDHNSLSGEIPSWVGKTMTSLMILTLKENNFSGNLPLLSNLTSLHFLDISHNSFVGFIPKSYGSLMGMINVSMNGGASFPSNTQEGLIIKILVYIKGIELQFGVTLSSLKFLDLSANNLLGQIPKEIVNLVGLQNLDLSCNNLSGEIPIDIGRMQSLESLDLSRNELIGAIPPSLSTLHFLGSLNLSYNNLSGKIPYASQLTTFNDPSIYAGNLNLCGAPLSKNCTSEESPSNSHVDDQEDDDDNDNPTIWFGIGLMSGFVVGFLIVLITLLFKNEWRYAYFRFMDHMYDMMYVKIVITINKIKRALAVMS >DRNTG_23555.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22432164:22433493:-1 gene:DRNTG_23555 transcript:DRNTG_23555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMESAVKLHNPLLLPLLPRPSSLSRPFSFSSSSSSSPRKPLLLRASHHPSPSTPISKALQTISISAAATVAAAAALLLARPHRSPFASLSATTVQSEPQPQTQPQPEFTLPEALADEDKEQALENHLASYPDDVKSLRALMEIKVRFRKLPDAVAVADRLILLEPGDADLPLLRSHFLFYNGDVEVAKEGFEGVLSTDPFVVEAYHGLVMAASKNEDELDGILNRVEEMMEKCKKDNRKVELRDFKLLKAQVKVIQGDYEEALEIYEGLVKEEPRDFRPYLCQGIIYTLLRKKEEAMKQFDKYRRLVPRGHPYAKYFEENMVAMGAMMENKTKASN >DRNTG_10108.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20809846:20810745:-1 gene:DRNTG_10108 transcript:DRNTG_10108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLKILQLPLGKTTRKTSSRNESLGHESACSGRFTVKKQKEIKWQPNTNAQVDCKAMLVCRYAQTEHVQA >DRNTG_05091.9.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000233.1:26049:27190:1 gene:DRNTG_05091 transcript:DRNTG_05091.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Psb27-H1 [Source:Projected from Arabidopsis thaliana (AT1G05385) UniProtKB/TrEMBL;Acc:A0A178WJM6] MPVLLEQLSPSLIQMRKQNQEKHCRKPT >DRNTG_05091.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000233.1:25916:27190:1 gene:DRNTG_05091 transcript:DRNTG_05091.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Psb27-H1 [Source:Projected from Arabidopsis thaliana (AT1G05385) UniProtKB/TrEMBL;Acc:A0A178WJM6] MMLGSFQLLENSCWFTSKQIERKPELVSQSNQPTKYLCGRRIVTSLPLCYLLSPLSIKAEEKKQEDASDASVIGAIKSFFDPNEKTKSGKTLPKAYLNAAREVVKTLRESLEEDAKDVAKFRRTADAAKESIREYLNGWKGQNFVSSEVH >DRNTG_05091.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000233.1:25702:27232:1 gene:DRNTG_05091 transcript:DRNTG_05091.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Psb27-H1 [Source:Projected from Arabidopsis thaliana (AT1G05385) UniProtKB/TrEMBL;Acc:A0A178WJM6] MPVLLEQLSPSLIQMRKQNQEKHCRKPT >DRNTG_05091.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000233.1:25702:27232:1 gene:DRNTG_05091 transcript:DRNTG_05091.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Psb27-H1 [Source:Projected from Arabidopsis thaliana (AT1G05385) UniProtKB/TrEMBL;Acc:A0A178WJM6] MMLGSFQLLENSCWFTSKQIERKPELVSQSNQPTKYLCGRRIVTSLPLCYLLSPLSIKAEEKKQEDASDASVIGAIKSFFDPNEKTKSGKTLPKAYLNAAREVVKTLRESLEEDAKDVAKFRRTADAAKESIREYLNGWKGQNFVSSEVH >DRNTG_05091.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000233.1:25702:27190:1 gene:DRNTG_05091 transcript:DRNTG_05091.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Psb27-H1 [Source:Projected from Arabidopsis thaliana (AT1G05385) UniProtKB/TrEMBL;Acc:A0A178WJM6] MPVLLEQLSPSLIQMRKQNQEKHCRKPT >DRNTG_05091.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000233.1:25609:27232:1 gene:DRNTG_05091 transcript:DRNTG_05091.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Psb27-H1 [Source:Projected from Arabidopsis thaliana (AT1G05385) UniProtKB/TrEMBL;Acc:A0A178WJM6] MPVLLEQLSPSLIQMRKQNQEKHCRKPT >DRNTG_05091.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000233.1:25609:27232:1 gene:DRNTG_05091 transcript:DRNTG_05091.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Psb27-H1 [Source:Projected from Arabidopsis thaliana (AT1G05385) UniProtKB/TrEMBL;Acc:A0A178WJM6] MMLGSFQLLENSCWFTSKQIERKPELVSQSNQPTKYLCGRRIVTSLPLCYLLSPLSIKAEEKKQEDASDASVIGAIKSFFDPNEKTKSGKTLPKAYLNAAREVVKTLRESLEEDAKDVAKFRRTADAAKESIREYLNGWKGQNFVSSEVH >DRNTG_05091.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000233.1:25916:27190:1 gene:DRNTG_05091 transcript:DRNTG_05091.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Psb27-H1 [Source:Projected from Arabidopsis thaliana (AT1G05385) UniProtKB/TrEMBL;Acc:A0A178WJM6] MPVLLEQLSPSLIQMRKQNQEKHCRKPT >DRNTG_05091.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000233.1:25916:27232:1 gene:DRNTG_05091 transcript:DRNTG_05091.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Psb27-H1 [Source:Projected from Arabidopsis thaliana (AT1G05385) UniProtKB/TrEMBL;Acc:A0A178WJM6] MPVLLEQLSPSLIQMRKQNQEKHCRKPT >DRNTG_12264.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24852638:24855809:-1 gene:DRNTG_12264 transcript:DRNTG_12264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRKVAESAIRTLGSRSCQSRQLHATPGSKKIVGVFYKAREHAEKNPNFVGCVERGLGIREWLESQGHKYIVTDDKEGPNCELEKHISDMHVLITTPFHPAYVTAERIKKAKNLELLLTAGIGSDHIDLNAAANAGLTVAEVTGSNVVSVAEDELMRILILVRNFLPGYHQVINGEWDVAAIAHRAYDLEGKTVGTVGSGRIGKRLLQRLKPFNCNLIYHDRLKMEPELEKQIGAKFEEDLDAMLPKCDVIVINTPLTEKTRGLFDKERIAKCKKGAVIVNNARGAIMDTQAVADACSSGHIAGYSGDVWYPQPAPKDHPWRYMPNQAMTPHISGTTIDAQLRYAAGVKDMLDRYFKGEDFPSQNYIVKEGKLASQYL >DRNTG_13609.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000625.1:54513:55823:-1 gene:DRNTG_13609 transcript:DRNTG_13609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVSNSLGAFSVASPSPLQFLEHLLQDPRGRWYFFRRTKHHFFFSVLLLVEQAFVWI >DRNTG_06648.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1728845:1732164:1 gene:DRNTG_06648 transcript:DRNTG_06648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYGTISEAAAALGRNLTAGETLWFRYTATVPDYHIYYINIAFLFIIFTLIPLPVALVELCFPSLISRFKLQPKIHLSPSSFLRCYLSVVRVFILAVGPLQVLSYPSVKLVGIRTGLPLPSLWEIGSQLLVYFLIEDYGNYWIHRALHHGWGYEKIHKVHHEYTAPIGFAAPYAHWAEVLVLGIPSFVGPALVPGHMITFWLWIALRQMEAIETHSGYDFPFAPTKLIPFYGGAEYHDYHHYVGGQSQSNFASVFTYCDYIYGTDKGYRYQKAHLTKLREKWRTEDQNGDSKLNQNGKHD >DRNTG_23493.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21814634:21817781:-1 gene:DRNTG_23493 transcript:DRNTG_23493.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQREKLGLSSSPKGKLSLRQYPTEPASALEKVEIEKAKQDDILCNLSNVLDQLKEMALDMGSEIGRQNEAIDNVHDDVEELNFRVKGANIRSQRLLRK >DRNTG_23493.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21814634:21817781:-1 gene:DRNTG_23493 transcript:DRNTG_23493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQREKLGLSSSPKGKLSLRQYPTEPASALEKVEIEKAKQDDILCNLSNVLDQLKEMALDMGSEIGRQNEAIDNVHDDVEELNFRVKGANIRSQRLLRK >DRNTG_23493.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21814634:21817781:-1 gene:DRNTG_23493 transcript:DRNTG_23493.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQREKLGLSSSPKGKLSLRQYPTEPASALEKVEIEKAKQDDILCNLSNVLDQLKEMALDMGSEIGRQNEAIDNVHDDVEELNFRVKGANIRSQRLLRK >DRNTG_23493.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21814634:21816381:-1 gene:DRNTG_23493 transcript:DRNTG_23493.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQREKLGLSSSPKGKLSLRQYPTEPASALEKVEIEKAKQDDILCNLSNVLDQLKEMALDMGSEIGRQNEAIDNVHDDVEELNFRVKGANIRSQRLLRK >DRNTG_28906.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7919025:7922016:-1 gene:DRNTG_28906 transcript:DRNTG_28906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRSMIALIPRSAAIGATSRSSFRFFSDDRGRILSEEEKAAENVYIQKMEREKMEKMKKKAEKEQAEAEKQKSEKKSEQPR >DRNTG_25930.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001339.1:74561:74902:1 gene:DRNTG_25930 transcript:DRNTG_25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLDGWEDQWVVSYWRKQIYMAGEWNHTSRKWNGDYKDKSIQTTNIYQFYAISAEFLQFSNKAKTLVRSFSINH >DRNTG_14057.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4988643:4993428:1 gene:DRNTG_14057 transcript:DRNTG_14057.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR7 [Source:Projected from Arabidopsis thaliana (AT5G21990) UniProtKB/TrEMBL;Acc:A0A178UNB9] MYNGMMDPELMRIAQEQFSRMSPEELSKMQQQVMSNPELVKMATESMKNMRPEDFRHAAEQLKHTRAEDMAEIRDKIANAKPEEIAAMKAQADAHISYQLDAAEMLKKQGNEFHCQGKFHDAADKYMRAKNNLTDIPGPKSRTLQLQCSLNLMSCYLKTNQYDNCIKEGSEVLAYDSNNVKALYRRGQAYKELGNLKAAVADLEKAHKASPDDETIADVLRDTEEKLLKSGDSNAHRGVVIEEIEEEEEEEENEPSSSGNQTDASAKYSVTQPVETTKSSQKVYGSDPGTSTVDAEYLKSFSNNPEAFRAFQNRFSNADPDTLAAMSAGGMSPDMMKTAADMMGKMKPEELQKIFEIGASMNSKNPSSIGNSSGFGSSKFPEITPELAKQASDMMSKMSPEEFQRVLKVASSFNGNGTPFAAPPNVASNQRSESGSQFSAPPRTPTADNPDRGENTYGASSRMGQSSSSFPTSTADLQQNMRNSMNDPAMRQMFTSMMKNMSPEMMANMGEQFGMKLSKEDAAKAQQAMSSLSPEDLDRMMRWAERAQRAVDTTKKAKNWLLGRSGLILAICMLILAFILHQLGFIGG >DRNTG_00697.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30010834:30014243:-1 gene:DRNTG_00697 transcript:DRNTG_00697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTRSVPLGVSSSLVLSVKALPLHPTTFSPSISSKVQFRVRVRVPLTTSNKLNKLSLRSESGICGASQAVDLFPSNYSEVIVRDARLEDCWEVADTHCSSFFPDYTFPIDLALRIDRFVALLSGFSVPLGCMRACLVAISGPSLNDNLYCGSEQIKFGDLEGKFSINRGSVAGILTIDTVADFLPRKGPLHQRRTGIAYISNVAVRETERRKGIAKKLVAKAEVRARSWGCRAVALHCDSENLAALKLYLGQGFKCIKVPDQAKWPQPKASSATRFNFMMKLLSPNRVS >DRNTG_12995.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7164090:7168982:1 gene:DRNTG_12995 transcript:DRNTG_12995.12 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMNFLRACWWPSSERYVHAGTDAAGRQDGLLWYKDLGQHITGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFASENQSISTDVIKKAYQATEEGFHSLVTKQWPSKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRLVKATGEVLAVQLSAEHNACFESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLLAKFRLREPLKRPILSAEPAISVQALQPHDQFLIFASDGLWEHLSNQEAVNIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDANLISRASTCKGPTLSLRGGGINLPANSLAPCTTPT >DRNTG_12995.21 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7164090:7168805:1 gene:DRNTG_12995 transcript:DRNTG_12995.21 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMNFLRACWWPSSERYVHAGTDAAGRQDGLLWYKDLGQHITGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFASENQSISTDVIKKAYQATEEGFHSLVTKQWPSKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRLVKATGEVLAVQLSAEHNACFESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQINW >DRNTG_12995.33 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7164090:7168528:1 gene:DRNTG_12995 transcript:DRNTG_12995.33 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMNFLRACWWPSSERYVHAGTDAAGRQDGLLWYKDLGQHITGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFASENQSISTDVIKKAYQATEEGFHSLVTKQWPSKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRLVKATGEVLAVQLSAEHNACFESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLLAKFRLREPLKRPILSAEPAISVQALQPHDQFLIFASDGLWEHLSNQEAVNIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDANLISRASTCKGPTLSLRGGGINLPANSLAPCTTPT >DRNTG_12995.31 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7164090:7168593:1 gene:DRNTG_12995 transcript:DRNTG_12995.31 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMNFLRACWWPSSERYVHAGTDAAGRQDGLLWYKDLGQHITGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFASENQSISTDVIKKAYQATEEGFHSLVTKQWPSKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRLVKATGEVLAVQLSAEHNACFESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLLAKFRLREPLKRPILSAEPAISVQALQPHDQFLIFASDGLWEHLSNQEAVNIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDANLISRASTCKGPTLSLRGGGINLPANSLAPCTTPT >DRNTG_12995.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7164007:7168982:1 gene:DRNTG_12995 transcript:DRNTG_12995.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMNFLRACWWPSSERYVHAGTDAAGRQDGLLWYKDLGQHITGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFASENQSISTDVIKKAYQATEEGFHSLVTKQWPSKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRLVKATGEVLAVQLSAEHNACFESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLLAKFRLREPLKRPILSAEPAISVQALQPHDQFLIFASDGLWEHLSNQEAVNIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDANLISRASTCKGPTLSLRGGGINLPANSLAPCTTPT >DRNTG_12995.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7164036:7168913:1 gene:DRNTG_12995 transcript:DRNTG_12995.13 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMNFLRACWWPSSERYVHAGTDAAGRQDGLLWYKDLGQHITGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFASENQSISTDVIKKAYQATEEGFHSLVTKQWPSKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRLVKATGEVLAVQLSAEHNACFESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLLAKFRLREPLKRPILSAEPAISVQALQPHDQFLIFASDGLWEHLSNQEAVNIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDANLISRASTCKGPTLSLRGGGINLPANSLAPCTTPT >DRNTG_12995.23 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7164007:7168655:1 gene:DRNTG_12995 transcript:DRNTG_12995.23 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMNFLRACWWPSSERYVHAGTDAAGRQDGLLWYKDLGQHITGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFASENQSISTDVIKKAYQATEEGFHSLVTKQWPSKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRLVKATGEVLAVQLSAEHNACFESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLLAKFRLREPLKRPILSAEPAISVQALQPHDQFLIFASDGLWEHLSNQEAVNIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDANLISRASTCKGPTLSLRGGGINLPANSLAPCTTPT >DRNTG_12995.34 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7164090:7168528:1 gene:DRNTG_12995 transcript:DRNTG_12995.34 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMNFLRACWWPSSERYVHAGTDAAGRQDGLLWYKDLGQHITGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFASENQSISTDVIKKAYQATEEGFHSLVTKQWPSKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRLVKATGEVLAVQLSAEHNACFESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLLAKFRLREPLKRPILSAEPAISVQALQPHDQFLIFASDGLWEHLSNQEAVNIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDANLISRASTCKGPTLSLRGGGINLPANSLAPCTTPT >DRNTG_12995.30 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7164090:7168593:1 gene:DRNTG_12995 transcript:DRNTG_12995.30 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMNFLRACWWPSSERYVHAGTDAAGRQDGLLWYKDLGQHITGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFASENQSISTDVIKKAYQATEEGFHSLVTKQWPSKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRLVKATGEVLAVQLSAEHNACFESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLLAKFRLREPLKRPILSAEPAISVQALQPHDQFLIFASDGLWEHLSNQEAVNIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDANLISRASTCKGPTLSLRGGGINLPANSLAPCTTPT >DRNTG_12995.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7164090:7169073:1 gene:DRNTG_12995 transcript:DRNTG_12995.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMNFLRACWWPSSERYVHAGTDAAGRQDGLLWYKDLGQHITGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFASENQSISTDVIKKAYQATEEGFHSLVTKQWPSKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRLVKATGEVLAVQLSAEHNACFESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLLAKFRLREPLKRPILSAEPAISVQALQPHDQFLIFASDGLWEHLSNQEAVNIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDANLISRASTCKGPTLSLRGGGINLPANSLAPCTTPT >DRNTG_12995.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7164036:7168982:1 gene:DRNTG_12995 transcript:DRNTG_12995.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMNFLRACWWPSSERYVHAGTDAAGRQDGLLWYKDLGQHITGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFASENQSISTDVIKKAYQATEEGFHSLVTKQWPSKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRLVKATGEVLAVQLSAEHNACFESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLLAKFRLREPLKRPILSAEPAISVQALQPHDQFLIFASDGLWEHLSNQEAVNIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDANLISRASTCKGPTLSLRGGGINLPANSLAPCTTPT >DRNTG_12995.24 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7164007:7168593:1 gene:DRNTG_12995 transcript:DRNTG_12995.24 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMNFLRACWWPSSERYVHAGTDAAGRQDGLLWYKDLGQHITGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFASENQSISTDVIKKAYQATEEGFHSLVTKQWPSKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRLVKATGEVLAVQLSAEHNACFESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLLAKFRLREPLKRPILSAEPAISVQALQPHDQFLIFASDGLWEHLSNQEAVNIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDANLISRASTCKGPTLSLRGGGINLPANSLAPCTTPT >DRNTG_12995.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7164007:7168913:1 gene:DRNTG_12995 transcript:DRNTG_12995.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMNFLRACWWPSSERYVHAGTDAAGRQDGLLWYKDLGQHITGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFASENQSISTDVIKKAYQATEEGFHSLVTKQWPSKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRLVKATGEVLAVQLSAEHNACFESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLLAKFRLREPLKRPILSAEPAISVQALQPHDQFLIFASDGLWEHLSNQEAVNIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDANLISRASTCKGPTLSLRGGGINLPANSLAPCTTPT >DRNTG_12995.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7164007:7168982:1 gene:DRNTG_12995 transcript:DRNTG_12995.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMNFLRACWWPSSERYVHAGTDAAGRQDGLLWYKDLGQHITGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFASENQSISTDVIKKAYQATEEGFHSLVTKQWPSKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRLVKATGEVLAVQLSAEHNACFESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLLAKFRLREPLKRPILSAEPAISVQALQPHDQFLIFASDGLWEHLSNQEAVNIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDANLISRASTCKGPTLSLRGGGINLPANSLAPCTTPT >DRNTG_12995.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7164090:7168805:1 gene:DRNTG_12995 transcript:DRNTG_12995.18 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMNFLRACWWPSSERYVHAGTDAAGRQDGLLWYKDLGQHITGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFASENQSISTDVIKKAYQATEEGFHSLVTKQWPSKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRLVKATGEVLAVQLSAEHNACFESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLLAKFRLREPLKRPILSAEPAISVQALQPHDQFLIFASDGLWEHLSNQEAVNIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDANLISRASTCKGPTLSLRGGGINLPANSLAPCTTPT >DRNTG_12995.20 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7164090:7168805:1 gene:DRNTG_12995 transcript:DRNTG_12995.20 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMNFLRACWWPSSERYVHAGTDAAGRQDGLLWYKDLGQHITGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFASENQSISTDVIKKAYQATEEGFHSLVTKQWPSKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRLVKATGEVLAVQLSAEHNACFESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLLAKFRLREPLKRPILSAEPAISVQALQPHDQFLIFASDGLWEHLSNQEAVNIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDANLISRASTCKGPTLSLRGGGINLPANSLAPCTTPT >DRNTG_12995.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7164007:7168982:1 gene:DRNTG_12995 transcript:DRNTG_12995.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMNFLRACWWPSSERYVHAGTDAAGRQDGLLWYKDLGQHITGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFASENQSISTDVIKKAYQATEEGFHSLVTKQWPSKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRLVKATGEVLAVQLSAEHNACFESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLLAKFRLREPLKRPILSAEPAISVQALQPHDQFLIFASDGLWEHLSNQEAVNIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDANLISRASTCKGPTLSLRGGGINLPANSLAPCTTPT >DRNTG_12995.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7164007:7168913:1 gene:DRNTG_12995 transcript:DRNTG_12995.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMNFLRACWWPSSERYVHAGTDAAGRQDGLLWYKDLGQHITGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFASENQSISTDVIKKAYQATEEGFHSLVTKQWPSKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRLVKATGEVLAVQLSAEHNACFESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLLAKFRLREPLKRPILSAEPAISVQALQPHDQFLIFASDGLWEHLSNQEAVNIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDANLISRASTCKGPTLSLRGGGINLPANSLAPCTTPT >DRNTG_12995.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7164007:7168913:1 gene:DRNTG_12995 transcript:DRNTG_12995.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMNFLRACWWPSSERYVHAGTDAAGRQDGLLWYKDLGQHITGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFASENQSISTDVIKKAYQATEEGFHSLVTKQWPSKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRLVKATGEVLAVQLSAEHNACFESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLLAKFRLREPLKRPILSAEPAISVQALQPHDQFLIFASDGLWEHLSNQEAVNIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDANLISRASTCKGPTLSLRGGGINLPANSLAPCTTPT >DRNTG_12995.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7164090:7168982:1 gene:DRNTG_12995 transcript:DRNTG_12995.11 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMNFLRACWWPSSERYVHAGTDAAGRQDGLLWYKDLGQHITGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFASENQSISTDVIKKAYQATEEGFHSLVTKQWPSKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRLVKATGEVLAVQLSAEHNACFESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLLAKFRLREPLKRPILSAEPAISVQALQPHDQFLIFASDGLWEHLSNQEAVNIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDANLISRASTCKGPTLSLRGGGINLPANSLAPCTTPT >DRNTG_12995.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7163977:7169073:1 gene:DRNTG_12995 transcript:DRNTG_12995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMNFLRACWWPSSERYVHAGTDAAGRQDGLLWYKDLGQHITGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFASENQSISTDVIKKAYQATEEGFHSLVTKQWPSKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRLVKATGEVLAVQLSAEHNACFESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLLAKFRLREPLKRPILSAEPAISVQALQPHDQFLIFASDGLWEHLSNQEAVNIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDANLISRASTCKGPTLSLRGGGINLPANSLAPCTTPT >DRNTG_12995.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7164036:7168913:1 gene:DRNTG_12995 transcript:DRNTG_12995.14 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMNFLRACWWPSSERYVHAGTDAAGRQDGLLWYKDLGQHITGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFASENQSISTDVIKKAYQATEEGFHSLVTKQWPSKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRLVKATGEVLAVQLSAEHNACFESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLLAKFRLREPLKRPILSAEPAISVQALQPHDQFLIFASDGLWEHLSNQEAVNIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDANLISRASTCKGPTLSLRGGGINLPANSLAPCTTPT >DRNTG_12995.25 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7164090:7168655:1 gene:DRNTG_12995 transcript:DRNTG_12995.25 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMNFLRACWWPSSERYVHAGTDAAGRQDGLLWYKDLGQHITGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFASENQSISTDVIKKAYQATEEGFHSLVTKQWPSKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRLVKATGEVLAVQLSAEHNACFESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLLAKFRLREPLKRPILSAEPAISVQALQPHDQFLIFASDGLWEHLSNQEAVNIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDANLISRASTCKGPTLSLRGGGINLPANSLAPCTTPT >DRNTG_12995.22 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7164007:7168655:1 gene:DRNTG_12995 transcript:DRNTG_12995.22 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMNFLRACWWPSSERYVHAGTDAAGRQDGLLWYKDLGQHITGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFASENQSISTDVIKKAYQATEEGFHSLVTKQWPSKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRLVKATGEVLAVQLSAEHNACFESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLLAKFRLREPLKRPILSAEPAISVQALQPHDQFLIFASDGLWEHLSNQEAVNIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDANLISRASTCKGPTLSLRGGGINLPANSLAPCTTPT >DRNTG_12995.26 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7164090:7168655:1 gene:DRNTG_12995 transcript:DRNTG_12995.26 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMNFLRACWWPSSERYVHAGTDAAGRQDGLLWYKDLGQHITGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFASENQSISTDVIKKAYQATEEGFHSLVTKQWPSKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRLVKATGEVLAVQLSAEHNACFESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLLAKFRLREPLKRPILSAEPAISVQALQPHDQFLIFASDGLWEHLSNQEAVNIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDANLISRASTCKGPTLSLRGGGINLPANSLAPCTTPT >DRNTG_12995.28 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7164007:7168528:1 gene:DRNTG_12995 transcript:DRNTG_12995.28 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMNFLRACWWPSSERYVHAGTDAAGRQDGLLWYKDLGQHITGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFASENQSISTDVIKKAYQATEEGFHSLVTKQWPSKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRLVKATGEVLAVQLSAEHNACFESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLLAKFRLREPLKRPILSAEPAISVQALQPHDQFLIFASDGLWEHLSNQEAVNIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDANLISRASTCKGPTLSLRGGGINLPANSLAPCTTPT >DRNTG_12995.29 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7164090:7168593:1 gene:DRNTG_12995 transcript:DRNTG_12995.29 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMNFLRACWWPSSERYVHAGTDAAGRQDGLLWYKDLGQHITGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFASENQSISTDVIKKAYQATEEGFHSLVTKQWPSKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRLVKATGEVLAVQLSAEHNACFESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLLAKFRLREPLKRPILSAEPAISVQALQPHDQFLIFASDGLWEHLSNQEAVNIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDANLISRASTCKGPTLSLRGGGINLPANSLAPCTTPT >DRNTG_12995.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7164090:7168913:1 gene:DRNTG_12995 transcript:DRNTG_12995.15 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMNFLRACWWPSSERYVHAGTDAAGRQDGLLWYKDLGQHITGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFASENQSISTDVIKKAYQATEEGFHSLVTKQWPSKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRLVKATGEVLAVQLSAEHNACFESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLLAKFRLREPLKRPILSAEPAISVQALQPHDQFLIFASDGLWEHLSNQEAVNIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDANLISRASTCKGPTLSLRGGGINLPANSLAPCTTPT >DRNTG_12995.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7164007:7169073:1 gene:DRNTG_12995 transcript:DRNTG_12995.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMNFLRACWWPSSERYVHAGTDAAGRQDGLLWYKDLGQHITGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFASENQSISTDVIKKAYQATEEGFHSLVTKQWPSKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRLVKATGEVLAVQLSAEHNACFESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLLAKFRLREPLKRPILSAEPAISVQALQPHDQFLIFASDGLWEHLSNQEAVNIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDANLISRASTCKGPTLSLRGGGINLPANSLAPCTTPT >DRNTG_12995.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7164036:7168805:1 gene:DRNTG_12995 transcript:DRNTG_12995.17 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMNFLRACWWPSSERYVHAGTDAAGRQDGLLWYKDLGQHITGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFASENQSISTDVIKKAYQATEEGFHSLVTKQWPSKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRLVKATGEVLAVQLSAEHNACFESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLLAKFRLREPLKRPILSAEPAISVQALQPHDQFLIFASDGLWEHLSNQEAVNIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDANLISRASTCKGPTLSLRGGGINLPANSLAPCTTPT >DRNTG_12995.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7164090:7168913:1 gene:DRNTG_12995 transcript:DRNTG_12995.16 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMNFLRACWWPSSERYVHAGTDAAGRQDGLLWYKDLGQHITGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFASENQSISTDVIKKAYQATEEGFHSLVTKQWPSKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRLVKATGEVLAVQLSAEHNACFESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLLAKFRLREPLKRPILSAEPAISVQALQPHDQFLIFASDGLWEHLSNQEAVNIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDANLISRASTCKGPTLSLRGGGINLPANSLAPCTTPT >DRNTG_12995.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7164090:7168805:1 gene:DRNTG_12995 transcript:DRNTG_12995.19 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMNFLRACWWPSSERYVHAGTDAAGRQDGLLWYKDLGQHITGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFASENQSISTDVIKKAYQATEEGFHSLVTKQWPSKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRLVKATGEVLAVQLSAEHNACFESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLLAKFRLREPLKRPILSAEPAISVQALQPHDQFLIFASDGLWEHLSNQEAVNIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDANLISRASTCKGPTLSLRGGGINLPANSLAPCTTPT >DRNTG_12995.32 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7164090:7168528:1 gene:DRNTG_12995 transcript:DRNTG_12995.32 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMNFLRACWWPSSERYVHAGTDAAGRQDGLLWYKDLGQHITGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFASENQSISTDVIKKAYQATEEGFHSLVTKQWPSKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRLVKATGEVLAVQLSAEHNACFESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLLAKFRLREPLKRPILSAEPAISVQALQPHDQFLIFASDGLWEHLSNQEAVNIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDANLISRASTCKGPTLSLRGGGINLPANSLAPCTTPT >DRNTG_12995.27 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7164007:7168528:1 gene:DRNTG_12995 transcript:DRNTG_12995.27 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLMNFLRACWWPSSERYVHAGTDAAGRQDGLLWYKDLGQHITGEFSMAVVQANNLLEDQSQIESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFASENQSISTDVIKKAYQATEEGFHSLVTKQWPSKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRLVKATGEVLAVQLSAEHNACFESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLLAKFRLREPLKRPILSAEPAISVQALQPHDQFLIFASDGLWEHLSNQEAVNIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDANLISRASTCKGPTLSLRGGGINLPANSLAPCTTPT >DRNTG_15634.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000775.1:4461:8437:1 gene:DRNTG_15634 transcript:DRNTG_15634.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYRDSAWDALDSPDTTILRDLQKGDEFADEVLSSFDFDRAYASFNSLGTIQFRVFGHHHSLSITQFSILLGLYEEAFTDSEEYAQLPTDYPGTLTTQRAYRVLCGQDQYETGFSKATCLSRPAYRYLHAIMSRSVNGRGDSTCVLSRQELLYLYSMMVRTGVFALVLPAPEIAEDEGDDAGASHPAPEPQPASIDTEAPSAVEEPPPVRMFSPSRANDRFKRLENAIGVVPSRGCLRLGATAATQDYRVHGCVLTYYNQILER >DRNTG_19029.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22548769:22553207:-1 gene:DRNTG_19029 transcript:DRNTG_19029.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSQASLLLQKQLKDLMKNPVDGFSAGLVDDANVFEWSVTIIGPPDTLYDGGYFNAIMTFPANYPNSPPSVRFTSEMWHPNVFPDGRVCISILHPPGDDPSGYELASERWTPVHTVESIVLSIISMLSSPNDESAANIEAAKEWREGRDEFKKKVSRIVRRSQEML >DRNTG_13438.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9282402:9285485:-1 gene:DRNTG_13438 transcript:DRNTG_13438.1 gene_biotype:protein_coding transcript_biotype:protein_coding AEHKPRKKKIFPARISGELQYQTYSSLTPESGGEASSKGKELADH >DRNTG_06103.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20072567:20074834:-1 gene:DRNTG_06103 transcript:DRNTG_06103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLLSSRMSTWTTFLLPFLQCCLMCSLVQAFYLPGTYPHWYVDGGLLFAKVNSLTSFNTELPFGYYTLPFCQPTGGVKQMAESLGELLMGDRVENSPYRFKMNTNEAGVFLCSTGPLSSHQVNTLKQRIDKQYNVNLILDGLPAIRYTEKDGQNLQGTGYPVGVRSNDSYYVFNHLKFKIMVHKSNVADYLHTIVGFKVTACSHNHIQGVDPEFKMYDKVSVKINCDPRIPAMEIKEKNEIMFSYDVSFVESDIKWPSRWDIYLEAGDQSHVHWFSIMNSLMIVTILAGIILVILFRTVRRDLMHYENVQQTQEEISGWKLLSGDVFRAPTNPDILCVMVGDGVQILAMGVITILFAAIGFMSPSSRGALINGMLFFYVALGFAGGYVSAKLYRTINNGRFRGWVLVSLKTSFFFTGIVFIDIIMLNFLLWGSQSSGALPLSSFGTLLLLWSCVSTPLTLVGSYFGARSDLIRYPTKPRQIPRDIPSQNYPSWMWTVGAGAIPFATLFMELFFIMSSFWHGQAHYVFGFLFIVMVLLVLVCAEISLVLTYIELCAEDWQWWWRSFFTSGSVAIYVLIYSVLYLVVDLQSLSGPVSAWLYIGYSLLIVFAVFLATGAVGFLSSFCFVYYLFSSVKQD >DRNTG_34413.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1192454:1195540:-1 gene:DRNTG_34413 transcript:DRNTG_34413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKPLLLLLFALLCNQIQARNASSMASDLRSCLSSAQVHNLSFSSSSSSFSNLLNISIQNLRFTDPSIPKPLAIILPSTKSQIQTSILCCRRTGVSIRIRSGGHSYEGQSSTSSSIPFAIIDLMNLKSIHFDISSNTSWVQAGATIGELYLTISNLSNLSLAISAGSCSTMGAGGHIAGGGFGFLSRKYGLAADSVVDALLIDYEGKVFDKRSMGTDVFWAIRGGGGGSWGVVYAWKLRAVPVPNTVTRFTLQRGRATVRDAALLLHKWQTIAPNLPDEFYLSTLISGDINGTLTTISISFSGLFLGSKGAAIDILTSRFPELQLNDLDCIEETWLDSAAHYAGLDSSAHLSNRSQSKDYFKAKSDYVRAPISKYDLEKVLDKLAGVSPAYLILDPYGGAMSRISESDLPFPHRAGILFSIQYLISWTAEDEMSGIGEKYIQDLRGFYEFMAPFVSKNPREAYVNYLDLDLGLNVGSVEDDRVWGERYFLRNFDRLVKAKTLIDPHNVFSNQQSIPPLSF >DRNTG_27407.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001396.1:218413:222688:1 gene:DRNTG_27407 transcript:DRNTG_27407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELDRQIEQLKRCEPLKESEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPQTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTDIFDYLSLSALIENKVFSVHGGLSPAITTLDQIRTIDRKQEVPHDGAMCDLLWSDPEEVVDGWGLSPRGAGFLFGGEVVTTFNHTNNIDYICRAHQLVMEGYKWMFNNQIVTVWSAPNYCYRCGNIAAILELDENLNQKFRVFEAAPHESRGVPSKRPAPDYFL >DRNTG_27407.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001396.1:218413:222688:1 gene:DRNTG_27407 transcript:DRNTG_27407.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSELDRQIEQLKRCEPLKESEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPQTNYLFLGDFVDRGFYSVETFLLLLALKVILICTL >DRNTG_24665.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6967211:6970017:-1 gene:DRNTG_24665 transcript:DRNTG_24665.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTILLLIAIFFFSFSISAAAFSTHGLTAAEAARIRRRQLLAVGDGGHVVPPSFVFANDHQRNAYIALQAWKQAIFSDPQNLTGDWQGPNVCSYSNVFCAPLPSNKSLIVVAGIDLNHGDIAGYLPDELGLLTDLALFHINSNRFCGIVPRTFDKLKLLFELDLSSNRFVGKFPDVVLRLPSLKFLDLRFNEFEGTVPSELFDRDLDAIFINDNRFVFDIPNNLGNSPVSVIVLANNKFHGCVPASIGNMTRLNEILLLNNGLKSCLPPEIGRLKNLTVFDVSFNELVGPLPDTIGGMVSLEQLDVAHNQFSGAIPKAICELPRLKNFTYSFNYFTGEPPQCLRVPSFDDRVNCLPGRPAQRSQAQCSSFLSHPVDCNSFKCAPFVPSLPPPPPPSPPPPSPVFSPPSPPVVLPPPVYSHPPPPPPPPSPPPPSPSPPPPPYSSPPLPPTPSLPQPSPSPSPSPCIRSPPPPPPSPSPPPPPLFSPPPPVLSSPPPHYSPPPPSPSPPPPSSSPPPSPIYPYLSPPPPPSPSPPPPNSPPPPPPCIEPPPPPPPCVEPPPPPPSPSPSTFRL >DRNTG_24665.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6967211:6970017:-1 gene:DRNTG_24665 transcript:DRNTG_24665.10 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTILLLIAIFFFSFSISAAAFSTHGLTAAEAARIRRRQLLAVGDGGHVVPPSFVFANDHQRNAYIALQAWKQAIFSDPQNLTGDWQGPNVCSYSNVFCAPLPSNKSLIVVAGIDLNHGDIAGYLPDELGLLTDLALFHINSNRFCGIVPRTFDKLKLLFELDLSSNRFVGKFPDVVLRLPSLKFLDLRFNEFEGTVPSELFDRDLDAIFINDNRFVFDIPNNLGNSPVSVIVLANNKFHGCVPASIGNMTRLNEILLLNNGLKSCLPPEIGRLKNLTVFDVSFNELVGPLPDTIGGMVSLEQLDVAHNQFSGAIPKAICELPRLKNFTYSFNYFTGEPPQCLRVPSFDDRVNCLPGRPAQRSQAQCSSFLSHPVDCNSFKCAPFVPSLPPPPPPSPPPPSPVFSPPSPPVVLPPPVYSHPPPPPPPPSPPPPSPSPPPPPYSSPPLPPTPSLPQPSPSPSPSPCIRSPPPPPPSPSPPPPPLFSPPPPVLSSPPPHYSPPPPSPSPPPPSSSPPPSPIYPYLSPPPPPSPSPPPPNSPPPPPPCIEPPPPPPPCVEPPPPPPSPSPSTFRL >DRNTG_24665.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6967211:6970017:-1 gene:DRNTG_24665 transcript:DRNTG_24665.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTILLLIAIFFFSFSISAAAFSTHGLTAAEAARIRRRQLLAVGDGGHVVPPSFVFANDHQRNAYIALQAWKQAIFSDPQNLTGDWQGPNVCSYSNVFCAPLPSNKSLIVVAGIDLNHGDIAGYLPDELGLLTDLALFHINSNRFCGIVPRTFDKLKLLFELDLSSNRFVGKFPDVVLRLPSLKFLDLRFNEFEGTVPSELFDRDLDAIFINDNRFVFDIPNNLGNSPVSVIVLANNKFHGCVPASIGNMTRLNEILLLNNGLKSCLPPEIGRLKNLTVFDVSFNELVGPLPDTIGGMVSLEQLDVAHNQFSGAIPKAICELPRLKNFTYSFNYFTGEPPQCLRVPSFDDRVNCLPGRPAQRSQAQCSSFLSHPVDCNSFKCAPFVPSLPPPPPPSPPPPSPVFSPPSPPVVLPPPVYSHPPPPPPPPSPPPPSPSPPPPPYSSPPLPPTPSLPQPSPSPSPSPCIRSPPPPPPSPSPPPPPLFSPPPPVLSSPPPHYSPPPPSPSPPPPSSSPPPSPIYPYLSPPPPPSPSPPPPNSPPPPPPCIEPPPPPPPCVEPPPPPPSPSPSTFRL >DRNTG_24665.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6967211:6970017:-1 gene:DRNTG_24665 transcript:DRNTG_24665.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTILLLIAIFFFSFSISAAAFSTHGLTAAEAARIRRRQLLAVGDGGHVVPPSFVFANDHQRNAYIALQAWKQAIFSDPQNLTGDWQGPNVCSYSNVFCAPLPSNKSLIVVAGIDLNHGDIAGYLPDELGLLTDLALFHINSNRFCGIVPRTFDKLKLLFELDLSSNRFVGKFPDVVLRLPSLKFLDLRFNEFEGTVPSELFDRDLDAIFINDNRFVFDIPNNLGNSPVSVIVLANNKFHGCVPASIGNMTRLNEILLLNNGLKSCLPPEIGRLKNLTVFDVSFNELVGPLPDTIGGMVSLEQLDVAHNQFSGAIPKAICELPRLKNFTYSFNYFTGEPPQCLRVPSFDDRVNCLPGRPAQRSQAQCSSFLSHPVDCNSFKCAPFVPSLPPPPPPSPPPPSPVFSPPSPPVVLPPPVYSHPPPPPPPPSPPPPSPSPPPPPYSSPPLPPTPSLPQPSPSPSPSPCIRSPPPPPPSPSPPPPPLFSPPPPVLSSPPPHYSPPPPSPSPPPPSSSPPPSPIYPYLSPPPPPSPSPPPPNSPPPPPPCIEPPPPPPPCVEPPPPPPSPSPSTFRL >DRNTG_24665.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6967211:6970017:-1 gene:DRNTG_24665 transcript:DRNTG_24665.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTILLLIAIFFFSFSISAAAFSTHGLTAAEAARIRRRQLLAVGDGGHVVPPSFVFANDHQRNAYIALQAWKQAIFSDPQNLTGDWQGPNVCSYSNVFCAPLPSNKSLIVVAGIDLNHGDIAGYLPDELGLLTDLALFHINSNRFCGIVPRTFDKLKLLFELDLSSNRFVGKFPDVVLRLPSLKFLDLRFNEFEGTVPSELFDRDLDAIFINDNRFVFDIPNNLGNSPVSVIVLANNKFHGCVPASIGNMTRLNEILLLNNGLKSCLPPEIGRLKNLTVFDVSFNELVGPLPDTIGGMVSLEQLDVAHNQFSGAIPKAICELPRLKNFTYSFNYFTGEPPQCLRVPSFDDRVNCLPGRPAQRSQAQCSSFLSHPVDCNSFKCAPFVPSLPPPPPPSPPPPSPVFSPPSPPVVLPPPVYSHPPPPPPPPSPPPPSPSPPPPPYSSPPLPPTPSLPQPSPSPSPSPCIRSPPPPPPSPSPPPPPLFSPPPPVLSSPPPHYSPPPPSPSPPPPSSSPPPSPIYPYLSPPPPPSPSPPPPNSPPPPPPCIEPPPPPPPCVEPPPPPPSPSPSTFRL >DRNTG_24665.17.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6967211:6970017:-1 gene:DRNTG_24665 transcript:DRNTG_24665.17 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTILLLIAIFFFSFSISAAAFSTHGLTAAEAARIRRRQLLAVGDGGHVVPPSFVFANDHQRNAYIALQAWKQAIFSDPQNLTGDWQGPNVCSYSNVFCAPLPSNKSLIVVAGIDLNHGDIAGYLPDELGLLTDLALFHINSNRFCGIVPRTFDKLKLLFELDLSSNRFVGKFPDVVLRLPSLKFLDLRFNEFEGTVPSELFDRDLDAIFINDNRFVFDIPNNLGNSPVSVIVLANNKFHGCVPASIGNMTRLNEILLLNNGLKSCLPPEIGRLKNLTVFDVSFNELVGPLPDTIGGMVSLEQLDVAHNQFSGAIPKAICELPRLKNFTYSFNYFTGEPPQCLRVPSFDDRVNCLPGRPAQRSQAQCSSFLSHPVDCNSFKCAPFVPSLPPPPPPSPPPPSPVFSPPSPPVVLPPPVYSHPPPPPPPPSPPPPSPSPPPPPYSSPPLPPTPSLPQPSPSPSPSPCIRSPPPPPPSPSPPPPPLFSPPPPVLSSPPPHYSPPPPSPSPPPPSSSPPPSPIYPYLSPPPPPSPSPPPPNSPPPPPPCIEPPPPPPPCVEPPPPPPSPSPSTFRL >DRNTG_24665.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6967211:6970017:-1 gene:DRNTG_24665 transcript:DRNTG_24665.14 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTILLLIAIFFFSFSISAAAFSTHGLTAAEAARIRRRQLLAVGDGGHVVPPSFVFANDHQRNAYIALQAWKQAIFSDPQNLTGDWQGPNVCSYSNVFCAPLPSNKSLIVVAGIDLNHGDIAGYLPDELGLLTDLALFHINSNRFCGIVPRTFDKLKLLFELDLSSNRFVGKFPDVVLRLPSLKFLDLRFNEFEGTVPSELFDRDLDAIFINDNRFVFDIPNNLGNSPVSVIVLANNKFHGCVPASIGNMTRLNEILLLNNGLKSCLPPEIGRLKNLTVFDVSFNELVGPLPDTIGGMVSLEQLDVAHNQFSGAIPKAICELPRLKNFTYSFNYFTGEPPQCLRVPSFDDRVNCLPGRPAQRSQAQCSSFLSHPVDCNSFKCAPFVPSLPPPPPPSPPPPSPVFSPPSPPVVLPPPVYSHPPPPPPPPSPPPPSPSPPPPPYSSPPLPPTPSLPQPSPSPSPSPCIRSPPPPPPSPSPPPPPLFSPPPPVLSSPPPHYSPPPPSPSPPPPSSSPPPSPIYPYLSPPPPPSPSPPPPNSPPPPPPCIEPPPPPPPCVEPPPPPPSPSPSTFRL >DRNTG_24665.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6967211:6970017:-1 gene:DRNTG_24665 transcript:DRNTG_24665.15 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTILLLIAIFFFSFSISAAAFSTHGLTAAEAARIRRRQLLAVGDGGHVVPPSFVFANDHQRNAYIALQAWKQAIFSDPQNLTGDWQGPNVCSYSNVFCAPLPSNKSLIVVAGIDLNHGDIAGYLPDELGLLTDLALFHINSNRFCGIVPRTFDKLKLLFELDLSSNRFVGKFPDVVLRLPSLKFLDLRFNEFEGTVPSELFDRDLDAIFINDNRFVFDIPNNLGNSPVSVIVLANNKFHGCVPASIGNMTRLNEILLLNNGLKSCLPPEIGRLKNLTVFDVSFNELVGPLPDTIGGMVSLEQLDVAHNQFSGAIPKAICELPRLKNFTYSFNYFTGEPPQCLRVPSFDDRVNCLPGRPAQRSQAQCSSFLSHPVDCNSFKCAPFVPSLPPPPPPSPPPPSPVFSPPSPPVVLPPPVYSHPPPPPPPPSPPPPSPSPPPPPYSSPPLPPTPSLPQPSPSPSPSPCIRSPPPPPPSPSPPPPPLFSPPPPVLSSPPPHYSPPPPSPSPPPPSSSPPPSPIYPYLSPPPPPSPSPPPPNSPPPPPPCIEPPPPPPPCVEPPPPPPSPSPSTFRL >DRNTG_24665.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6967211:6970017:-1 gene:DRNTG_24665 transcript:DRNTG_24665.11 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTILLLIAIFFFSFSISAAAFSTHGLTAAEAARIRRRQLLAVGDGGHVVPPSFVFANDHQRNAYIALQAWKQAIFSDPQNLTGDWQGPNVCSYSNVFCAPLPSNKSLIVVAGIDLNHGDIAGYLPDELGLLTDLALFHINSNRFCGIVPRTFDKLKLLFELDLSSNRFVGKFPDVVLRLPSLKFLDLRFNEFEGTVPSELFDRDLDAIFINDNRFVFDIPNNLGNSPVSVIVLANNKFHGCVPASIGNMTRLNEILLLNNGLKSCLPPEIGRLKNLTVFDVSFNELVGPLPDTIGGMVSLEQLDVAHNQFSGAIPKAICELPRLKNFTYSFNYFTGEPPQCLRVPSFDDRVNCLPGRPAQRSQAQCSSFLSHPVDCNSFKCAPFVPSLPPPPPPSPPPPSPVFSPPSPPVVLPPPVYSHPPPPPPPPSPPPPSPSPPPPPYSSPPLPPTPSLPQPSPSPSPSPCIRSPPPPPPSPSPPPPPLFSPPPPVLSSPPPHYSPPPPSPSPPPPSSSPPPSPIYPYLSPPPPPSPSPPPPNSPPPPPPCIEPPPPPPPCVEPPPPPPSPSPSTFRL >DRNTG_24665.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6967211:6970017:-1 gene:DRNTG_24665 transcript:DRNTG_24665.13 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTILLLIAIFFFSFSISAAAFSTHGLTAAEAARIRRRQLLAVGDGGHVVPPSFVFANDHQRNAYIALQAWKQAIFSDPQNLTGDWQGPNVCSYSNVFCAPLPSNKSLIVVAGIDLNHGDIAGYLPDELGLLTDLALFHINSNRFCGIVPRTFDKLKLLFELDLSSNRFVGKFPDVVLRLPSLKFLDLRFNEFEGTVPSELFDRDLDAIFINDNRFVFDIPNNLGNSPVSVIVLANNKFHGCVPASIGNMTRLNEILLLNNGLKSCLPPEIGRLKNLTVFDVSFNELVGPLPDTIGGMVSLEQLDVAHNQFSGAIPKAICELPRLKNFTYSFNYFTGEPPQCLRVPSFDDRVNCLPGRPAQRSQAQCSSFLSHPVDCNSFKCAPFVPSLPPPPPPSPPPPSPVFSPPSPPVVLPPPVYSHPPPPPPPPSPPPPSPSPPPPPYSSPPLPPTPSLPQPSPSPSPSPCIRSPPPPPPSPSPPPPPLFSPPPPVLSSPPPHYSPPPPSPSPPPPSSSPPPSPIYPYLSPPPPPSPSPPPPNSPPPPPPCIEPPPPPPPCVEPPPPPPSPSPSTFRL >DRNTG_24665.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6967211:6970017:-1 gene:DRNTG_24665 transcript:DRNTG_24665.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTILLLIAIFFFSFSISAAAFSTHGLTAAEAARIRRRQLLAVGDGGHVVPPSFVFANDHQRNAYIALQAWKQAIFSDPQNLTGDWQGPNVCSYSNVFCAPLPSNKSLIVVAGIDLNHGDIAGYLPDELGLLTDLALFHINSNRFCGIVPRTFDKLKLLFELDLSSNRFVGKFPDVVLRLPSLKFLDLRFNEFEGTVPSELFDRDLDAIFINDNRFVFDIPNNLGNSPVSVIVLANNKFHGCVPASIGNMTRLNEILLLNNGLKSCLPPEIGRLKNLTVFDVSFNELVGPLPDTIGGMVSLEQLDVAHNQFSGAIPKAICELPRLKNFTYSFNYFTGEPPQCLRVPSFDDRVNCLPGRPAQRSQAQCSSFLSHPVDCNSFKCAPFVPSLPPPPPPSPPPPSPVFSPPSPPVVLPPPVYSHPPPPPPPPSPPPPSPSPPPPPYSSPPLPPTPSLPQPSPSPSPSPCIRSPPPPPPSPSPPPPPLFSPPPPVLSSPPPHYSPPPPSPSPPPPSSSPPPSPIYPYLSPPPPPSPSPPPPNSPPPPPPCIEPPPPPPPCVEPPPPPPSPSPSTFRL >DRNTG_24665.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6967211:6970017:-1 gene:DRNTG_24665 transcript:DRNTG_24665.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTILLLIAIFFFSFSISAAAFSTHGLTAAEAARIRRRQLLAVGDGGHVVPPSFVFANDHQRNAYIALQAWKQAIFSDPQNLTGDWQGPNVCSYSNVFCAPLPSNKSLIVVAGIDLNHGDIAGYLPDELGLLTDLALFHINSNRFCGIVPRTFDKLKLLFELDLSSNRFVGKFPDVVLRLPSLKFLDLRFNEFEGTVPSELFDRDLDAIFINDNRFVFDIPNNLGNSPVSVIVLANNKFHGCVPASIGNMTRLNEILLLNNGLKSCLPPEIGRLKNLTVFDVSFNELVGPLPDTIGGMVSLEQLDVAHNQFSGAIPKAICELPRLKNFTYSFNYFTGEPPQCLRVPSFDDRVNCLPGRPAQRSQAQCSSFLSHPVDCNSFKCAPFVPSLPPPPPPSPPPPSPVFSPPSPPVVLPPPVYSHPPPPPPPPSPPPPSPSPPPPPYSSPPLPPTPSLPQPSPSPSPSPCIRSPPPPPPSPSPPPPPLFSPPPPVLSSPPPHYSPPPPSPSPPPPSSSPPPSPIYPYLSPPPPPSPSPPPPNSPPPPPPCIEPPPPPPPCVEPPPPPPSPSPSTFRL >DRNTG_24665.16.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6967211:6970017:-1 gene:DRNTG_24665 transcript:DRNTG_24665.16 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTILLLIAIFFFSFSISAAAFSTHGLTAAEAARIRRRQLLAVGDGGHVVPPSFVFANDHQRNAYIALQAWKQAIFSDPQNLTGDWQGPNVCSYSNVFCAPLPSNKSLIVVAGIDLNHGDIAGYLPDELGLLTDLALFHINSNRFCGIVPRTFDKLKLLFELDLSSNRFVGKFPDVVLRLPSLKFLDLRFNEFEGTVPSELFDRDLDAIFINDNRFVFDIPNNLGNSPVSVIVLANNKFHGCVPASIGNMTRLNEILLLNNGLKSCLPPEIGRLKNLTVFDVSFNELVGPLPDTIGGMVSLEQLDVAHNQFSGAIPKAICELPRLKNFTYSFNYFTGEPPQCLRVPSFDDRVNCLPGRPAQRSQAQCSSFLSHPVDCNSFKCAPFVPSLPPPPPPSPPPPSPVFSPPSPPVVLPPPVYSHPPPPPPPPSPPPPSPSPPPPPYSSPPLPPTPSLPQPSPSPSPSPCIRSPPPPPPSPSPPPPPLFSPPPPVLSSPPPHYSPPPPSPSPPPPSSSPPPSPIYPYLSPPPPPSPSPPPPNSPPPPPPCIEPPPPPPPCVEPPPPPPSPSPSTFRL >DRNTG_24665.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6967211:6970017:-1 gene:DRNTG_24665 transcript:DRNTG_24665.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTILLLIAIFFFSFSISAAAFSTHGLTAAEAARIRRRQLLAVGDGGHVVPPSFVFANDHQRNAYIALQAWKQAIFSDPQNLTGDWQGPNVCSYSNVFCAPLPSNKSLIVVAGIDLNHGDIAGYLPDELGLLTDLALFHINSNRFCGIVPRTFDKLKLLFELDLSSNRFVGKFPDVVLRLPSLKFLDLRFNEFEGTVPSELFDRDLDAIFINDNRFVFDIPNNLGNSPVSVIVLANNKFHGCVPASIGNMTRLNEILLLNNGLKSCLPPEIGRLKNLTVFDVSFNELVGPLPDTIGGMVSLEQLDVAHNQFSGAIPKAICELPRLKNFTYSFNYFTGEPPQCLRVPSFDDRVNCLPGRPAQRSQAQCSSFLSHPVDCNSFKCAPFVPSLPPPPPPSPPPPSPVFSPPSPPVVLPPPVYSHPPPPPPPPSPPPPSPSPPPPPYSSPPLPPTPSLPQPSPSPSPSPCIRSPPPPPPSPSPPPPPLFSPPPPVLSSPPPHYSPPPPSPSPPPPSSSPPPSPIYPYLSPPPPPSPSPPPPNSPPPPPPCIEPPPPPPPCVEPPPPPPSPSPSTFRL >DRNTG_24665.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6967211:6970017:-1 gene:DRNTG_24665 transcript:DRNTG_24665.9 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTILLLIAIFFFSFSISAAAFSTHGLTAAEAARIRRRQLLAVGDGGHVVPPSFVFANDHQRNAYIALQAWKQAIFSDPQNLTGDWQGPNVCSYSNVFCAPLPSNKSLIVVAGIDLNHGDIAGYLPDELGLLTDLALFHINSNRFCGIVPRTFDKLKLLFELDLSSNRFVGKFPDVVLRLPSLKFLDLRFNEFEGTVPSELFDRDLDAIFINDNRFVFDIPNNLGNSPVSVIVLANNKFHGCVPASIGNMTRLNEILLLNNGLKSCLPPEIGRLKNLTVFDVSFNELVGPLPDTIGGMVSLEQLDVAHNQFSGAIPKAICELPRLKNFTYSFNYFTGEPPQCLRVPSFDDRVNCLPGRPAQRSQAQCSSFLSHPVDCNSFKCAPFVPSLPPPPPPSPPPPSPVFSPPSPPVVLPPPVYSHPPPPPPPPSPPPPSPSPPPPPYSSPPLPPTPSLPQPSPSPSPSPCIRSPPPPPPSPSPPPPPLFSPPPPVLSSPPPHYSPPPPSPSPPPPSSSPPPSPIYPYLSPPPPPSPSPPPPNSPPPPPPCIEPPPPPPPCVEPPPPPPSPSPSTFRL >DRNTG_24665.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6967211:6970017:-1 gene:DRNTG_24665 transcript:DRNTG_24665.12 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTILLLIAIFFFSFSISAAAFSTHGLTAAEAARIRRRQLLAVGDGGHVVPPSFVFANDHQRNAYIALQAWKQAIFSDPQNLTGDWQGPNVCSYSNVFCAPLPSNKSLIVVAGIDLNHGDIAGYLPDELGLLTDLALFHINSNRFCGIVPRTFDKLKLLFELDLSSNRFVGKFPDVVLRLPSLKFLDLRFNEFEGTVPSELFDRDLDAIFINDNRFVFDIPNNLGNSPVSVIVLANNKFHGCVPASIGNMTRLNEILLLNNGLKSCLPPEIGRLKNLTVFDVSFNELVGPLPDTIGGMVSLEQLDVAHNQFSGAIPKAICELPRLKNFTYSFNYFTGEPPQCLRVPSFDDRVNCLPGRPAQRSQAQCSSFLSHPVDCNSFKCAPFVPSLPPPPPPSPPPPSPVFSPPSPPVVLPPPVYSHPPPPPPPPSPPPPSPSPPPPPYSSPPLPPTPSLPQPSPSPSPSPCIRSPPPPPPSPSPPPPPLFSPPPPVLSSPPPHYSPPPPSPSPPPPSSSPPPSPIYPYLSPPPPPSPSPPPPNSPPPPPPCIEPPPPPPPCVEPPPPPPSPSPSTFRL >DRNTG_24665.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6967211:6970017:-1 gene:DRNTG_24665 transcript:DRNTG_24665.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTILLLIAIFFFSFSISAAAFSTHGLTAAEAARIRRRQLLAVGDGGHVVPPSFVFANDHQRNAYIALQAWKQAIFSDPQNLTGDWQGPNVCSYSNVFCAPLPSNKSLIVVAGIDLNHGDIAGYLPDELGLLTDLALFHINSNRFCGIVPRTFDKLKLLFELDLSSNRFVGKFPDVVLRLPSLKFLDLRFNEFEGTVPSELFDRDLDAIFINDNRFVFDIPNNLGNSPVSVIVLANNKFHGCVPASIGNMTRLNEILLLNNGLKSCLPPEIGRLKNLTVFDVSFNELVGPLPDTIGGMVSLEQLDVAHNQFSGAIPKAICELPRLKNFTYSFNYFTGEPPQCLRVPSFDDRVNCLPGRPAQRSQAQCSSFLSHPVDCNSFKCAPFVPSLPPPPPPSPPPPSPVFSPPSPPVVLPPPVYSHPPPPPPPPSPPPPSPSPPPPPYSSPPLPPTPSLPQPSPSPSPSPCIRSPPPPPPSPSPPPPPLFSPPPPVLSSPPPHYSPPPPSPSPPPPSSSPPPSPIYPYLSPPPPPSPSPPPPNSPPPPPPCIEPPPPPPPCVEPPPPPPSPSPSTFRL >DRNTG_10194.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2200282:2200729:-1 gene:DRNTG_10194 transcript:DRNTG_10194.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGASQIALPVLGILAAAALTFYAVSFAELREKSFRDLDDESKEEEDGGGFQSSASSRERRAWRKAKKNARK >DRNTG_10194.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2200282:2200771:-1 gene:DRNTG_10194 transcript:DRNTG_10194.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGASQIALPVLGILAAAALTFYAVSFAELREKSFRDLDDESKEEEDGGGFQSSASSRERRAWRKAKKNARK >DRNTG_10194.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2200282:2200651:-1 gene:DRNTG_10194 transcript:DRNTG_10194.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDGASQIALPVLGILAAAALTFYAVSFAELREKSFRDLDDESKEEEDGGGFQSSASSRERRAWRKAKKNARK >DRNTG_10194.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2200186:2200729:-1 gene:DRNTG_10194 transcript:DRNTG_10194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVRLLYQCWEFLQQLPLLSMQSALQNSERNLLEIWMMNQRKKKMEGGSSLLQVQGKEGPGGKLKRMQGSDHFSFLLMEEKNTVCLS >DRNTG_10194.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2200186:2200651:-1 gene:DRNTG_10194 transcript:DRNTG_10194.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELVRLLYQCWEFLQQLPLLSMQSALQNSERNLLEIWMMNQRKKKMEGGSSLLQVQGKEGPGGKLKRMQGSDHFSFLLMEEKNTVCLS >DRNTG_06072.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8370347:8373239:1 gene:DRNTG_06072 transcript:DRNTG_06072.2 gene_biotype:protein_coding transcript_biotype:protein_coding GTSACPEGRFYCKNLGDAPRILFSSQVNDRICDCCDGSDEYESGLNCPNICHKNGNFLGERNINELNSMNFEHHTTQGRKSRFDMEDLMEKFEGLKLLIILELAFVVCLAAFCIQYQRRRSHRRFCLRRNQLYRSEIVL >DRNTG_06072.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8369449:8373239:1 gene:DRNTG_06072 transcript:DRNTG_06072.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIRRFMFFFVPACLLASPPAMLMAFSLVGVSPQDAKYYEGTVIKCRDGSKTFGKDRLNDGYCDCSDGTDEPGTSACPEGRFYCKNLGDAPRILFSSQVNDRICDCCDGSDEYESGLNCPNICHKNGNFLGERNINELNSMNFEHHTTQGRKSRFDMEDLMEKFEGLKLLIILELAFVVCLAAFCIQYQRRRSHRRFCLRRNQLYRSEIVL >DRNTG_14081.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10254581:10255661:1 gene:DRNTG_14081 transcript:DRNTG_14081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSTTSSPESHHQSTSSLGRRRLPWQFGLSLSVRQPPIRGSTVHATLLSPSHSM >DRNTG_26945.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30127913:30133218:-1 gene:DRNTG_26945 transcript:DRNTG_26945.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGWMMLNSFDEARHMEMIWPQKNFMSGMSKVGNQKGGMDSKVVSHKAYQAQLDDSYGWSDQRGKSSQEKIKSKYAQNGSTIVEHSKSHPMYVQGEDTESDSSEHGEEDVDVSLVVKKAAYPIVKSVYDHKRASIVGKKNKMHSSFVDGVTGVHSPDVRPHTLKEKQKGRTSELKYLHELVLQNKGQGLLPYEKKQQAPVSKSYSGEKKRKGMADPDTALIQSNYMHNYASGIKHEDFDSHVEGKASVNRKKFTNSHISEADHHEKVNMPLSTCNSTSKKRKGKSEAVNQGELDDAIYLHASPKKQIDDFSHKRKGKKNVDSGAGPLTAGNSELIIPEKDIEPEPKIQKKPFTLITPTVHTGFSFSIVHLLSAVRKALINSQIEDSTVIGKLEKDEGRLKQKREEQNKGSRDVVNGEHQTFAPDNMDLNTSESAGLKNLPSLTVQEIVSRVKSNPLDPCILETQEPLHDLVRGVLKIFSSKTAPLGAKGWKPLVSYEKSNKSWSWIGPVSLSLSDNDTVEEETSPEAWSIPHKMLVKLVDAFANWLKSGQETLQQIGSLPPPPIMPPCLDEKERFRDLRAQKSLSTISPSSDEVRSYFRREELLRYSVPDRAFSYTAADGKKSIVAPLRRGGGKPTAKARDHFMLKPDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYVVEDVNDVQVNQVVSGALDRLHYERDPCVQFDPDRKLWVYLHRDREEEDFEDDGTSSTKKWKRQRKDPSEQPELGTAGDASYQAGGDPGGGISSTGYDFNTDLNAGTSSIPADEKDELVYSDLRPSTDNIQTFIGSSSVSRNQNNGLNSDVLGMNALRENKILCQENFTSEDF >DRNTG_26945.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30127913:30133218:-1 gene:DRNTG_26945 transcript:DRNTG_26945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGWMMLNSFDEARHMEMIWPQKNFMSGMSKVGNQKGGMDSKVVSHKAYQAQLDDSYGWSDQRGKSSQEKIKSKYAQNGSTIVEHSKSHPMYVQGEDTESDSSEHGEEDVDVSLVVKKAAYPIVKSVYDHKRASIVGKKNKMHSSFVDGVTGVHSPDVRPHTLKEKQKGRTSELKYLHELVLQNKGQGLLPYEKKQQAPVSKSYSGEKKRKGMADPDTALIQSNYMHNYASGIKHEDFDSHVEGKASVNRKKFTNSHISEADHHEKVNMPLSTCNSTSKKRKGKSEAVNQGELDDAIYLHASPKKQIDDFSHKRKGKKNVDSGAGPLTAGNSELIIPEKDIEPEPKIQKKPFTLITPTVHTGFSFSIVHLLSAVRKALINSQIEDSTVIGKLEKDEGRLKQKREEQNKGSRDVVNGEHQTFAPDNMDLNTSESAGLKNLPSLTVQEIVSRVKSNPLDPCILETQEPLHDLVRGVLKIFSSKTAPLGAKGWKPLVSYEKSNKSWSWIGPVSLSLSDNDTVEEETSPEAWSIPHKMLVKLVDAFANWLKSGQETLQQIGSLPPPPIMPPCLDEKERFRDLRAQKSLSTISPSSDEVRSYFRREELLRYSVPDRAFSYTAADGKKSIVAPLRRGGGKPTAKARDHFMLKPDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYVVEDVNDVQVNQVVSGALDRLHYERDPCVQFDPDRKLWVYLHRDREEEDFEDDGTSSTKKWKRQRKDPSEQPELGTAGDASYQAGGDPGGGISSTGYDFNTDLNAGTSSIPADEKDELVYSDLRPSTDNIQTFIGSSSVSRNQNNGLNSDVLGMNALRENKILCQENFTSEDF >DRNTG_28578.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23007563:23013622:1 gene:DRNTG_28578 transcript:DRNTG_28578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAMRLLRPGIPLPGSEPRPPTTYVSVPFSDFLSKINNDQVQKVEVDGTHIRFRLKQDTSGVESKTDAVVRSEEAQVLIKGATPTKRIVYTTTRPGDIKTPYEKMVENNVEFGSPDKRSGGFLNSALVAVFYLALLAGLLQRFPVSFSQHSPGHLRSRKASGSNSAKASEHADVVTFADVAGVDEAKEELEEIVEFLRNPDRYIRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGRFRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRSDVLDPALRRPGRFDRVVMVETPDRLGRESILKVHVNKKELPLGEDVELSEIASMTTGFTGADLANLVNEAALLAGRASKIVVEKIDFIRAVERSIAGIEKKHAKLQGGEKAVVARHEAGHAVVGTAVANLLNGQPRVEKLSILPRSGGALGFTYTPPATEDRYLLFIDELRGRLVTLLGGRAAEEVVFSGRVSTGALDDIRRATDMAYKAIAEYGLNQTVGPISLATLSNGGLDDSGGAGPWTRDQGHLVDLVQREVKALLQSALEVALSVVRANPNVLEELGAYLEEKEKVEGEELQKWLKLVVAPTELAIFIEGKHENFLPQKALS >DRNTG_20971.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001183.1:30047:31309:-1 gene:DRNTG_20971 transcript:DRNTG_20971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWVDEVEKLLLVENWHKLLNIHDPRYPRLTLEFSTRLGIYDEEYTEIEEYENLPIDMSGLSPIVVYTLLCGKGRYESGVSKASCLSRPSYRYLHTSMSRSVNDRGDSTGVINKQELLLGIIFSGPYITRLIVGMGLGDKISRTEKAIIPAPLGLETMRLMGLVRKYSNGVYVLNIPFEDEAGASQPAPEPQPAPMETKTPPVAEEPPPVHTFPPSQAHDHFERLESALGVIRAEVAEAQAEIAEIRATQATQYIEFMARFDVLQQILERDVASSFVLWPRTPPAPSVPLAPPSTTSAPVDPLCASPSNA >DRNTG_30505.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:754074:759257:1 gene:DRNTG_30505 transcript:DRNTG_30505.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGACISTPHRRPRSRRRYIIRFRRCHGKISASVPDAPSAHFSNKANHLPEFGRSECGHNDTAAVSRRKSEVSNLTFHLTQLQWHHSQSDANVICQEDAWFDSVSILESDSDDDFSSVHGDCFPSVNNAIGTQIQPYENASRFADAICKFEEICDSTQLSLAVEQYFRKDGCRSEDLMNKVECKEDNKPSALSLQGYEPPMGKVDEANSGAQVFEHCMKPKKALAETHGSFKGFKECKTDTEDKSRENSLMQMASSCLPRLTTSVSFTNKNQQIQNVSSPCQKRKSAVIRLSFKRKSYDGDEPTEICASKKFLYRPRGGLSVPCSMGEKLKENCWSALEPSTFKLRGENFFRDKKKCPAPCHAPYTPIGVDLFLCPRKVNHIAQHIELPSIKAHEKVPALLIVNIQLPTYPAAMFLGDSDGEGMSLVLYFKVSEDFDKLIPSHVQDSIKKFVDDEIEKVKGFPLDSNIPFRERLKIMGRVVNPEDLHLSGAEKKLLNAYNEKPVLSRPQHSFYEGSNYFEIDLDIHRFSYISRKGLEAFRERLKHGILDVGLTIQAQKQEELPEQVLCCLRLNKIDFVSHGQIPTIMTLGDDRS >DRNTG_30505.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:754074:759257:1 gene:DRNTG_30505 transcript:DRNTG_30505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACISTPHRRPRSRRRYIIRFRRCHGKISASVPDAPSAHFSNKANHLPEFGRSECGHNDTAAVSRRKSEVSNLTFHLTQLQWHHSQSDANVICQEDAWFDSVSILESDSDDDFSSVHGDCFPSVNNAIGTQIQPYENASRFADAICKFEEICDSTQLSLAVEQYFRKDGCRSEDLMNKVECKEDNKPSALSLQGYEPPMGKVDEANSGAQVFEHCMKPKKALAETHGSFKGFKECKTDTEDKSRENSLMQMASSCLPRLTTSVSFTNKNQQIQNVSSPCQKRKSAVIRLSFKRKSYDGDEPTEICASKKFLYRPRGGLSVPCSMGEKLKENCWSALEPSTFKLRGENFFRDKKKCPAPCHAPYTPIGVDLFLCPRKVNHIAQHIELPSIKAHEKVPALLIVNIQLPTYPAAMFLGDSDGEGMSLVLYFKVSEDFDKLIPSHVQDSIKKFVDDEIEKVKGFPLDSNIPFRERLKIMGRVVNPEDLHLSGAEKKLLNAYNEKPVLSRPQHSFYEGSNYFEIDLDIHRFSYISRKGLEAFRERLKHGILDVGLTIQAQKQEELPEQVLCCLRLNKIDFVSHGQIPTIMTLGDDRS >DRNTG_01205.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:388911:389615:-1 gene:DRNTG_01205 transcript:DRNTG_01205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNLSHQKAVPKDDSMGWVLSEASVDQHVGEYLLKLVSSEKEKITRIWGFGADKVNQVPSSKAIVHDLLVHDGDQSETTVRCKICTEKFSDNQKLSLHWTEIHKKELRWLFRGFACSICMNSFTNRKVFETHVKEKHGMQFLGHSVLLRCMSCNSHFPSSDQLWQHVLSFHSSELCQQDLSQQQCRVVTEADQPDSELCNKVCQDKSAFEK >DRNTG_30668.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3723641:3725953:-1 gene:DRNTG_30668 transcript:DRNTG_30668.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFLPFLISFILIVSTVAAVSHGETSKGELYWNSVLPNTPMPSAIKLLSLIDDELKTKMTSVDVSKVGVNVDTRKGHTGVNVDPKNHHKKRQRVVVTVPKYGPFLYNYAASDTQLKDDPNVALFFLEKDLHPGTELKTNFNFTYNNKGTTFFVSSKKANSIPFSSSKLAQILTRLSIEPGSMDTYLMKKTLRECEEKVKEEKQCVTSLQSMVEFSTEKLGTKKVRVVSTEVKDKEKSGKQEYRIDGVEKMKGKRMVVCHAQPYAYAVFYCHVNEKVSGYKVRMEGKDNGGVVEVVGLCHKDTEGWNKEHLAFKVLKVKPGTVPVCHFLPQDHVVWAVKEGQG >DRNTG_09123.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:108365:109729:1 gene:DRNTG_09123 transcript:DRNTG_09123.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGNPRPYESHQNQRNSGRGDSRGGIRERKRSPSSTCPSQFPPQMLSRPSPRSQFLCHCVSEKER >DRNTG_09123.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:108365:109729:1 gene:DRNTG_09123 transcript:DRNTG_09123.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGNPRPYESHQNQRNSGRGDSNRGGIRERKRSPSSTCPSQFPPQMLSRPSPRSQFLCHCVSEKER >DRNTG_09123.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:108365:109729:1 gene:DRNTG_09123 transcript:DRNTG_09123.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGNPRPYESHQNQRNSGRGDSNRGGIRERKRSPSSTCPSQFPPQMLSRPSPRSQFLCHCVSEKER >DRNTG_09123.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:108365:109729:1 gene:DRNTG_09123 transcript:DRNTG_09123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGNPRPYESHQNQRNSGRGDSRGGIRERKRSPSSTCPSQFPPQMLSRPSPRSQFLCHCVSEKER >DRNTG_09123.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:108365:109729:1 gene:DRNTG_09123 transcript:DRNTG_09123.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGNPRPYESHQNQRNSGRGDSNRGGIRERKRSPSSTCPSQFPPQMLSRPSPRSQFLCHCVSEKER >DRNTG_08648.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2072982:2079433:-1 gene:DRNTG_08648 transcript:DRNTG_08648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTGSPRDRIPTDSLNNSKSDEKNSQLNETAQDEKINPRDLNSTVIKSSSSSELILPRDKIMPINSQIDATHSISVQTVDSNKKALPRDMFPTEIISIPFVESSLPRDKAIPFDSQTDPSHAISVNPKPNLIKLDQIADHNTRDYS >DRNTG_16540.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:24313012:24329692:1 gene:DRNTG_16540 transcript:DRNTG_16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAGFSVSGRFHRLHLPSRSCSSKSSIIFHRRTSSLRVSASRDDDPKLDKWDQMELEFGRLLGEDPKLTLAKIMSRKSNPDASYLDVEKEYIKKKGKLDDSMINALKDLALEGKLLEKPQSRYLSSQMPSAKPMLRNLNLSRPVMNKGLKASKLDRKPASNEKPPLPLTENDGTKNEVSSIPLRKPTTFQDDESEDSRSKLKIKPNLFLKMRRDTDEDLSSATLLKKPEVIKISINPSQENVASGDSIPSISTEMEKASDDVAILNHDGMSSEEIEIGNELGDSGGLTLSSVDNSAVEGLGVVTDAIGGPVDDTADAYIGQIKRPQPTKQSGIEFLEDHLSDTSSDSNLVNSASSKLTAAPLLEKPFRLQSSMMKVAQSSGNEKASLTGEGCNYAFEDGNLNFDCEEENGNDDWERAEQLCNTGERDEVELVSCSSRGFVVSFGSLVGFLPYRNVGAKWKFLAFESWLRKKGLDPSLYRQNLGISRKADHQVKNSTQNTKQGDEPLQPTVRIEDLLEAYDQEKAKFLSSFIGQRIRVSVQLADRKSRKLIFSGRPKEKKEIVEKKRRLMAKLGVGDIVKCSVKRITYFGIFVDVEEVPALIPQSEVSWDTALDPSSIFKIGQTLDAKVLELDYGLERITLSLKEVTPDPLMETLESVVGDGTSLGGRLEASQADVEWSDVDVLIKELQKIDGVQSVNKGRFFLSPGLAPTFQVYMASMLDNQYKLLARYGNKVQEVIVQSSMDREEMKTAILTCTNRV >DRNTG_16540.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:24313012:24329692:1 gene:DRNTG_16540 transcript:DRNTG_16540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILLMLTLVKSKPTKQSGIEFLEDHLSDTSSDSNLVNSASSKLTAAPLLEKPFRLQSSMMKVAQSSGNEKASLTGEGCNYAFEDGNLNFDCEEENGNDDWERAEQLCNTGERDEVELVSCSSRGFVVSFGSLVGFLPYRNVGAKWKFLAFESWLRKKGLDPSLYRQNLGISRKADHQVKNSTQNTKQGDEPLQPTVRIEDLLEAYDQEKAKFLSSFIGQRIRVSVQLADRKSRKLIFSGRPKEKKEIVEKKRRLMAKLGVGDIVKCSVKRITYFGIFVDVEEVPALIPQSEVSWDTALDPSSIFKIGQTLDAKVLELDYGLERITLSLKEVTPDPLMETLESVVGDGTSLGGRLEASQADVEWSDVDVLIKELQKIDGVQSVNKGRFFLSPGLAPTFQVYMASMLDNQYKLLARYGNKVQEVIVQSSMDREEMKTAILTCTNRV >DRNTG_33443.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3010657:3011406:-1 gene:DRNTG_33443 transcript:DRNTG_33443.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRRFLSKFIKPKLPFFIHKSKSSNTHSFRTPEEVKEEEVEEVKEIPTSNFPTSISKEACFKAETIQEVQEEEEEEEEEEDGSEIGKSSSSSVSEFFSCTSSVCDDFHSCSSFHELLTEANNTRNSVSSVSEYFSSLEEVGSKQQLNNLNVDAGEVSQRFFFLPSSSKSIIEKSTSASVTMALCSDDPYWDFRSSMEAMVEDHKLRDHWPHLLELLNCYMKLNEKRNHRIILIAFMDLILQLSAQDS >DRNTG_24047.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26561976:26567583:-1 gene:DRNTG_24047 transcript:DRNTG_24047.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCREERKGVMEGGNSSNQDGNDIHTENWRLCAGPNVSIPSAGDRVFYFPQGHIEQVEAYANQDSNRPMPSHGLPSKILCRVVNVQLKAERDTDEVFAQVSLVPEHEQQENTAVFATQEPTGLNPRVYSFCKILTASDTSTHGGFSILKRHAEKCLPPLDMSQQPPVQTLVAKDLHDVEWTFRHIYRGQPKRHLLTTGWSTFVCAKKLVAGDAFIFLRDENGELRVGVRRAMKKPGNSSASVISGQSMRLGVLASASHAITSGAMFTLYYWPRRSPEFLIPYDRYMESVKIEYPIGMRFQMKFEGDEGQEERLTGTIVGKEDSEPMSWLDSQWRCLKIQWDGTLTAMHPERISPWQIIPFTSADVHPLVNSRSKRVRNAYYRTPPQGPLNAPVYPIPRYSGVLQGQENMAQGQRSGCVIVEQPNLRELSTPGYLPPHTNKAEGNHNVVDYTKPMELENLSFPLRPKFSAAETSYRNPGSKTFDNPSLDSYWHFDKQNAERSLNETNAGTINNSGKQSMCKIFGVLIKGSTSTMSPNIVTSVPPQDVAPVAQPKVATYDIDQLSEPSKSTRPTESGCSASLNEQSSSAQLFQARSCTKVHKQGSALGRSVDLSRFEGYEELKKELDRMFEFNGALIDQSKGWKIIYTDDEGDIMMLGDYPWHAFCSMVRRIYIYTQEEVEKLKPGMLNG >DRNTG_24047.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26561976:26567583:-1 gene:DRNTG_24047 transcript:DRNTG_24047.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNSSNQDGNDIHTENWRLCAGPNVSIPSAGDRVFYFPQGHIEQVEAYANQDSNRPMPSHGLPSKILCRVVNVQLKAERDTDEVFAQVSLVPEHEQQENTAVFATQEPTGLNPRVYSFCKILTASDTSTHGGFSILKRHAEKCLPPLDMSQQPPVQTLVAKDLHDVEWTFRHIYRGQPKRHLLTTGWSTFVCAKKLVAGDAFIFLRDENGELRVGVRRAMKKPGNSSASVISGQSMRLGVLASASHAITSGAMFTLYYWPRRSPEFLIPYDRYMESVKIEYPIGMRFQMKFEGDEGQEERLTGTIVGKEDSEPMSWLDSQWRCLKIQWDGTLTAMHPERISPWQIIPFTSADVHPLVNSRSKRVRNAYYRTPPQGPLNAPVYPIPRYSGVLQGQENMAQGQRSGCVIVEQPNLRELSTPGYLPPHTNKAEGNHNVVDYTKPMELENLSFPLRPKFSAAETSYRNPGSKTFDNPSLDSYWHFDKQNAERSLNETNAGTINNSGKQSMCKIFGVLIKGSTSTMSPNIVTSVPPQDVAPVAQPKVATYDIDQLSEPSKSTRPTESGCSASLNEQSSSAQLFQARSCTKVHKQGSALGRSVDLSRFEGYEELKKELDRMFEFNGALIDQSKGWKIIYTDDEGDIMMLGDYPWHAFCSMVRRIYIYTQEEVEKLKPGMLNG >DRNTG_24047.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26561976:26566654:-1 gene:DRNTG_24047 transcript:DRNTG_24047.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLALDGNDIHTENWRLCAGPNVSIPSAGDRVFYFPQGHIEQVEAYANQDSNRPMPSHGLPSKILCRVVNVQLKAERDTDEVFAQVSLVPEHEQQENTAVFATQEPTGLNPRVYSFCKILTASDTSTHGGFSILKRHAEKCLPPLDMSQQPPVQTLVAKDLHDVEWTFRHIYRGQPKRHLLTTGWSTFVCAKKLVAGDAFIFLRDENGELRVGVRRAMKKPGNSSASVISGQSMRLGVLASASHAITSGAMFTLYYWPRRSPEFLIPYDRYMESVKIEYPIGMRFQMKFEGDEGQEERLTGTIVGKEDSEPMSWLDSQWRCLKIQWDGTLTAMHPERISPWQIIPFTSADVHPLVNSRSKRVRNAYYRTPPQGPLNAPVYPIPRYSGVLQGQENMAQGQRSGCVIVEQPNLRELSTPGYLPPHTNKAEGNHNVVDYTKPMELENLSFPLRPKFSAAETSYRNPGSKTFDNPSLDSYWHFDKQNAERSLNETNAGTINNSGKQSMCKIFGVLIKGSTSTMSPNIVTSVPPQDVAPVAQPKVATYDIDQLSEPSKSTRPTESGCSASLNEQSSSAQLFQARSCTKVHKQGSALGRSVDLSRFEGYEELKKELDRMFEFNGALIDQSKGWKIIYTDDEGDIMMLGDYPWHAFCSMVRRIYIYTQEEVEKLKPGMLNG >DRNTG_34215.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2047358:2050307:-1 gene:DRNTG_34215 transcript:DRNTG_34215.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 35 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G43370) UniProtKB/Swiss-Prot;Acc:Q8VY74] MIRMVIGKLVGDPYCTLFVGRLSPSTDEESLRKAMSEYGRVKNMRLVRHIVTGASCGYAFVEYETEREMRRAYEYAHHKIIDDRKIIVDYNRQQLMPGWIPRRLGQLQKLNYLFETYIYLA >DRNTG_34215.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2047358:2050307:-1 gene:DRNTG_34215 transcript:DRNTG_34215.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 35 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G43370) UniProtKB/Swiss-Prot;Acc:Q8VY74] MIRMVIGKLVGDPYCTLFVGRLSPSTDEESLRKAMSEYGRVKNMRLVRHIVTGASCGYAFVEYETEREMRRAYEYAHHKIIDDRKIIVDYNRQQLMPGWIPRRLGGGLGGVKESGQLRFGGRDRPFQAPLSEIPYEDLKKLGIPPPPEGHYMTRYQVPSPPRRSSHNSREDSPHVHGRPDDREELNSRRKRRRSSFDRDDSTPRDYKNREDTPHRHRRTPDGDVSTHKGYKNTEDSLPRRRTRDREDRHLRRKRSDDRDDSSHRDHRSHTEYAHQHKKQSASRDYIS >DRNTG_34215.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2047358:2050307:-1 gene:DRNTG_34215 transcript:DRNTG_34215.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 35 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G43370) UniProtKB/Swiss-Prot;Acc:Q8VY74] MIRMVIGKLVGDPYCTLFVGRLSPSTDEESLRKAMSEYGRVKNMRLVRHIVTGASCGYAFVEYETEREMRRAYEYAHHKIIDDRKIIVDYNRQQLMPGWIPRRWWPRRCEGIRSTSIWRA >DRNTG_26506.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:743984:745038:1 gene:DRNTG_26506 transcript:DRNTG_26506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLGGAREPYGGARLPINGARGRARNSLGGSRGGARPFHVGHHRDSRTSAPSHHFINGAPSDYPSQPPEARPSTNKNSPVKDGVSFAEVVRHSPPRRALVLPSRSWMLAHLPR >DRNTG_14848.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23009350:23010717:-1 gene:DRNTG_14848 transcript:DRNTG_14848.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADQFDEFDPKERAHKVSFFNWWSFSIFLGAFFATTILVYVQENVGWTLGYALPTLGLFISSIIFVIGTPFYRHKLPSGSPFSKMAKVLVATLRKWRIELPDDRKELHELGLEQYYNTNGNFRIEHTPTLRILDKAAVKSGPTSPWILCTVTQVEETKQMLRMMPILVTTFIPSTMVAQVNTLFIKQGTTLDRNIGALSPS >DRNTG_22827.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14784723:14793271:-1 gene:DRNTG_22827 transcript:DRNTG_22827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRKFHMGVDIHRPNSQGQTHAPVSSRDGESSSAEIRTAVRKLPTPVQFSQGRTQGRVHALVCSRDNLPNSGGLHSRTSLRLL >DRNTG_10518.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31016409:31018756:1 gene:DRNTG_10518 transcript:DRNTG_10518.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFLFTSESVNEGHPDKLCDQISDAVLDACLAQDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCREIGFVSDDVGLDADNCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCAWLRPDGKTQVTVEYGNEHGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGLARRCLVQVSYAIGVPEPLSVFVDTYGTGNIPDKEILKIVKENFRLQAGNDHHQP >DRNTG_32679.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16067586:16077104:1 gene:DRNTG_32679 transcript:DRNTG_32679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKVKEDEGTREEIEGKKEPEKEKITRTSLLPGNDQTEEVADVAPSPPRSCQAKPCRNVGIALKWCLDRGYGGSPDFISDDGNNATLLFHMGVKVEEESRPCLWREQEKLTLMGCILKYNISLPVIAFSADDDVLSSKFARALKEHFIFRRTLILSATTSNCSLPRCPPRPPSQAIPRHRSHLLVQDPLGIPHR >DRNTG_25950.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2103737:2105814:1 gene:DRNTG_25950 transcript:DRNTG_25950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLCSLHLFSAKALDEFRHVREGEVKLLASELVRAQGKEQGGVGEFKEMVVELMKLAGVFNIGDFVPWLRPLDVQGVVKRMKRLHKWYDEFLNKIMEEHRMEGGRKGTRDLLSVLLGLKEDVNGDEDAKLTDTNIKALLLNLFTAGTDTSASTVEWAMAELIRHPDILAAVQAEIDAVTGRSRLVSEPDLAKLPLLQAIIKETFRLHPSTPLSLPRTAAEPCEVGGFHVPGGATLLVNVWAIARDPEVWSDPLEFKPSRFLPGGSHADVDLKGAHFELIPFGAGRRICAGLSLGLRMVTLMVASLVHGFDWALADGLTPKTLNMDEDFGLTLQRAVPLSAHPIPRLTSEAYMV >DRNTG_25950.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2103737:2107581:1 gene:DRNTG_25950 transcript:DRNTG_25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLCSLHLFSAKALDEFRHVREGEVKLLASELVRAQGKEVSLGNLVNVCATNALSRAVVGRRVFEEGGVGEFKEMVVELMKLAGVFNIGDFVPWLRPFDVQGVVGRMKRLHKWYDGFLSKIMEEHKMEGGGKGIGDLLSVLLGLKEDVHGDEEAKLTDTNIKALLL >DRNTG_18868.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2383510:2387680:-1 gene:DRNTG_18868 transcript:DRNTG_18868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVILRAIGRRQLSSNLSSSLRSLSGNEKSIWSASCLSKSWSNVARPFSSKPAGNDVIGIDLGTTNSCVAVMEGKNAKVIENAEGARTTPSVVAFNQKGELLVGTPAKRQAVTNPTNTIFGTKRLIGRRFDDPQTQKEMKMVPFKIVKAPNGDAWVEANGKQYSPSQIGAFVLTKMKETAESYLGKSVSKAVITVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGMTNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNTLLEFLVSEFKRTDAIDLSKDRLALQRLREAAEKAKIELSSTSQTEINLPFITADASGAKHLNITLTRSKFESLVNNLIERTRNPCKSCLKDAGISTKEVDEVLLVGGMTRVPKVQEVVAEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGVRVLQGEREMASDNKLLGEFELVGIPPAPRGMPQIEVTFDIDANGIVIVSAKDKATGKEQQITIRSSGGLSDDEIQKMVKDAEQFAQKDQERKALIDIKNSADTTIYSIEKSLSEYRDKIPSEVASEIESAIEDLRKEMAGDNVDSIKAKLDAANKAVSKIGQHMSGGSSGSSSGGSQGGDQPPEAEYEEVKK >DRNTG_22254.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:884928:886398:1 gene:DRNTG_22254 transcript:DRNTG_22254.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQCIEKDEVRPTSSQRQPHQPLWLDSRSALPQCLKLQHLLPRRPPSPLQ >DRNTG_22254.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:885100:886398:1 gene:DRNTG_22254 transcript:DRNTG_22254.2 gene_biotype:protein_coding transcript_biotype:protein_coding FQCIEKDEVRPTSSQRQPHQPLWLDSRSALPQCLKLQHLLPRRPPSPLQ >DRNTG_14590.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:16150356:16152691:-1 gene:DRNTG_14590 transcript:DRNTG_14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDRLCCLNEKCGIGIVSAEYCSRKKVVGLHEIDVVTSLAAQVESLSRNLDTLTSPRVAAAISYTGYWGEPGPSNCPILIGGTTSIEQVDFVGNVMTGQGNPYSKTYNQRWRNHPNLSWSNQEDYGITGFPTTTTSPNMDNIV >DRNTG_16410.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28871599:28877425:-1 gene:DRNTG_16410 transcript:DRNTG_16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLIDQAYEWGGKGNSIMRMLNVAAFAISGYASTEGRHCKPFNPLLGETYEADYPDRGLRFFSEKVSHHPMIVACHCDGNGWKFWGDSNLKSKFWGRSIQLDPVGVLTLKFDDGETFQWSKVTTSIYNLILGKLYCDHYGTMRIQGNGEYSCKIKFKEQSIIDRNPHQVQGVVQDRNGKTVATIFGKWDESVHYVYGDFSGKGKGSEPFSEARLLWKRAKPSRFPTRYNLTRFAITMNELTPGLKEKLPPTDSRLRPDQRCLENGEYEMANAEKLRLEQRQRQARKMQERGWKPRWFAKDKDSDTYHYIGGYWEAREQGNWEGCPDIFGQVPTDQTID >DRNTG_16410.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28871599:28873886:-1 gene:DRNTG_16410 transcript:DRNTG_16410.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMHANFHIVFECPLKFMQGNSIMRMLNVAAFAISGYASTEGRHCKPFNPLLGETYEADYPDRGLRFFSEKVSHHPMIVACHCDGNGWKFWGDSNLKSKFWGRSIQLDPVGVLTLKFDDGETFQWSKVTTSIYNLILGKLYCDHYGTMRIQGNGEYSCKIKFKEQSIIDRNPHQVQGVVQDRNGKTVATIFGKWDESVHYVYGDFSGKGKGSEPFSEARLLWKRAKPSRFPTRYNLTRFAITMNELTPGLKEKLPPTDSRLRPDQRCLENGEYEMANAEKLRLEQRQRQARKMQERGWKPRWFAKDKDSDTYHYIGGYWEAREQGNWEGCPDIFGQVPTDQTID >DRNTG_16410.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28874440:28877425:-1 gene:DRNTG_16410 transcript:DRNTG_16410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLIDQA >DRNTG_16410.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28871599:28877425:-1 gene:DRNTG_16410 transcript:DRNTG_16410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLIDQAYEWGGKGNSIMRMLNVAAFAISGYASTEGRHCKPFNPLLGETYEADYPDRGLRFFSEKVSHHPMIVACHCDGNGWKFWGDSNLKSKFWGRSIQLDPVGVLTLKFDDGETFQWSKVTTSIYNLILGKLYCDHYGTMRIQGNGEYSCKIKFKEQSIIDRNPHQVQGVVQDRNGKTVATIFGKWDESVHYVYGDFSGKGKGSEPFSEARLLWKRAKPSRFPTRYNLTRFAITMNELTPGLKEKLPPTDSRLRPDQRCLENGEYEMANAEKLRLEQRQRQARKMQERGWKPRWFAKDKDSDTYHYIGGYWEAREQGNWEGCPDIFGQVPTDQTID >DRNTG_16410.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28871599:28876775:-1 gene:DRNTG_16410 transcript:DRNTG_16410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYMLQAYFLIYGIAYTYFNCNFQYLEASGSGSESDEDNERHDAAEEETDEEENAFFDTRDFLSSSSFKSSGSDFLRSEIDSDDDELYAGSFDGTDSVKHVGSNYPYVRRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLIDQAYEWGGKGNSIMRMLNVAAFAISGYASTEGRHCKPFNPLLGETYEADYPDRGLRFFSEKVSHHPMIVACHCDGNGWKFWGDSNLKSKFWGRSIQLDPVGVLTLKFDDGETFQWSKVTTSIYNLILGKLYCDHYGTMRIQGNGEYSCKIKFKEQSIIDRNPHQVQGVVQDRNGKTVATIFGKWDESVHYVYGDFSGKGKGSEPFSEARLLWKRAKPSRFPTRYNLTRFAITMNELTPGLKEKLPPTDSRLRPDQRCLENGEYEMANAEKLRLEQRQRQARKMQERGWKPRWFAKDKDSDTYHYIGGYWEAREQGNWEGCPDIFGQVPTDQTID >DRNTG_16410.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28871488:28873886:-1 gene:DRNTG_16410 transcript:DRNTG_16410.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMHANFHIVFECPLKFMQGNSIMRMLNVAAFAISGYASTEGRHCKPFNPLLGETYEADYPDRGLRFFSEKVSHHPMIVACHCDGNGWKFWGDSNLKSKFWGRSIQLDPVGVLTLKFDDGETFQWSKVTTSIYNLILGKLYCDHYGTMRIQGNGEYSCKIKFKEQSIIDRNPHQVQGVVQDRNGKTVATIFGKWDESVHYVYGDFSGKGKGSEPFSEARLLWKRAKPSRFPTRYNLTRFAITMNELTPGLKEKLPPTDSRLRPDQRCLENGEYEMANAEKLRLEQRQRQARKMQERGWKPRWFAKDKDSDTYHYIGGYWEAREQGNWEGCPDIFGQVPTDQTID >DRNTG_16410.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28874440:28876775:-1 gene:DRNTG_16410 transcript:DRNTG_16410.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLIDQA >DRNTG_00979.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20858290:20863988:-1 gene:DRNTG_00979 transcript:DRNTG_00979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISENMEKSVVPVAGSQNEDFNFAVGQQFPDVKTFRRTIKEAAIAQHFELKIIKSDLIRYFARCAKEDCPWRIRAVKLPDSPTFTIRSIEGTHTCGKDAQLGHHQASVDWIVNLIEERLRDNVNYKPKDILQDIQKQYGITIPYKQAWRAKERGLAAIYGSSEEGYGLLPAYCEQIKQSNPGSVAQVFTTGLDHRFQRLFVSFNASIHGFLNGCLPIISIGGFELKSKYLGTLMSVTSFDADGGLFPVAFGIVDVESDENWMWFLSEFHKLLEGSTERMPQLTILTDGRKNIADAVKRKFSTAHHGVCVRHLAESIGKEFKNTRLTHLLWKAAYSITTGGFRERMAEIEEVCVDAARWIEQFPPSQWALVYFEGSRFGHLSSNIDEFNRWILDAWELPIIQVIERIHNKLMAEFDERREKSMAWTMILAPSADKLFSEAAHCAGVYQVLRSDDVEFEVLSVDHSNIVNIGTRSCSCRNWQLHGIPCSHAIAALLSCRKNVYEYTEKHFTVTNYREAYSHVLHPIPDKSEWNKMNEGATEDETRIVRPPKFRRPPGRPEKKRNCLEESNREKHTVHCSRCNQTGHYKRTCKAEIVQRIEL >DRNTG_25717.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17217321:17223125:-1 gene:DRNTG_25717 transcript:DRNTG_25717.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVGDLLMIWNFCASFSRLLNLWPFSLEDFENSICHKESDLALIVEAHAALLRLLIKDESNYFMAIQKKKRKTKITLVNWAEYLCDFLEMGNQPELSGRLTTIKRGHYGLLDAHVKLSILRELVEEALLTGAVRVRLNEYVEQQQVLAATKRDQIRKQKEEQHLKKEESATSEMSQIHLLENGKTNLGNLDSQENGVRKEDTTNSKDAKRKLKSNKEGFAEKGNTASGAGGSLKVQKKGTTRSKESQEKTTEEQQKEHIEREMEKLSIRSSSLGKDRNHNRYWMFRREGRIFVESLDSKQWGYYSSKEELDALLGSLNPKGERERALKKQLEKLYNKISNALQKRSKDVNQKILLEEAVLRRSTRVRAQPRDSQATAYLKYVNKWKEG >DRNTG_25717.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17217321:17221417:-1 gene:DRNTG_25717 transcript:DRNTG_25717.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVGDLLMIWNFCASFSRLLNLWPFSLEDFENSICHKESDLALIVEAHAALLRLLIKDESNYFMAIQKKKRKTKITLVNWAEYLCDFLEMGNQPELSGRLTTIKRGHYGLLDAHVKLSILRELVEEALLTGAVRVRLNEYVEQQQVLAATKRDQIRKQKEEQHLKKEESATSEMSQIHLLENGKTNLGNLDSQENGVRKEDTTNSKDAKRKLKSNKEGFAEKGNTASGAGGSLKVQKKGTTRSKESQEKTTEEQQKEHIEREMEKLSIRSSSLGKDRNHNRYWMFRREGRIFVESLDSKQWGYYSSKEELDALLGSLNPKGERERALKKQLEKLYNKISNALQKRSKDVNQKILLEEAVLRRSTRVRAQPRDSQATAYLKYVNKWKEG >DRNTG_25717.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17217321:17223125:-1 gene:DRNTG_25717 transcript:DRNTG_25717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFKRKPFQLLEAPKDLDQNELVFQVRFTKEVFRDYQDYLDRLNLYRQRVWTCKVTGKSNLTYEEALVSEHRAAERVQQFPDELMAPVLQMIQYSTLTLRDLVDEIYAKLQEQLFVGIELFGKKDQSIYACKILKILGDGGTTRYAIGWLDKDKKVIDTSVVSAEDLVRKKPPYSRYILKAFVRESTRQGLPWVVHEKLAKKYGISTVPPAKLQNNGHVSSTRDRKRAGVNDILDIENVKKKRKTKEEEKVIAVPIKYPIDDLLVQPAADDPIFADRPPLCTDFKVPMDCVGDLLMIWNFCASFSRLLNLWPFSLEDFENSICHKESDLALIVEAHAALLRLLIKDESNYFMAIQKKKRKTKITLVNWAEYLCDFLEMGNQPELSGRLTTIKRGHYGLLDAHVKLSILRELVEEALLTGAVRVRLNEYVEQQQVLAATKRDQIRKQKEEQHLKKEESATSEMSQIHLLENGKTNLGNLDSQENGVRKEDTTNSKDAKRKLKSNKEGFAEKGNTASGAGGSLKVQKKGTTRSKESQEKTTEEQQKEHIEREMEKLSIRSSSLGKDRNHNRYWMFRREGRIFVESLDSKQWGYYSSKEELDALLGSLNPKGERERALKKQLEKLYNKISNALQKRSKDVNQKILLEEAVLRRSTRVRAQPRDSQATAYLKYVNKWKEG >DRNTG_25717.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17221580:17223125:-1 gene:DRNTG_25717 transcript:DRNTG_25717.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFKRKPFQLLEAPKDLDQNELVFQVRFTKEVFRDYQDYLDRLNLYRQRVWTCKVTGKSNLTYEEALVSEHRAAERVQQFPDELMAPVLQMIQYSKILNLFIPPHYNCIPSSAISGFQHFSKSCGSQSASCTKILNVACF >DRNTG_25717.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17217321:17223058:-1 gene:DRNTG_25717 transcript:DRNTG_25717.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVGDLLMIWNFCASFSRLLNLWPFSLEDFENSICHKESDLALIVEAHAALLRLLIKDESNYFMAIQKKKRKTKITLVNWAEYLCDFLEMGNQPELSGRLTTIKRGHYGLLDAHVKLSILRELVEEALLTGAVRVRLNEYVEQQQVLAATKRDQIRKQKEEQHLKKEESATSEMSQIHLLENGKTNLGNLDSQENGVRKEDTTNSKDAKRKLKSNKEGFAEKGNTASGAGGSLKVQKKGTTRSKESQEKTTEEQQKEHIEREMEKLSIRSSSLGKDRNHNRYWMFRREGRIFVESLDSKQWGYYSSKEELDALLGSLNPKGERERALKKQLEKLYNKISNALQKRSKDVNQKILLEEAVLRRSTRVRAQPRDSQATAYLKYVNKWKEG >DRNTG_25717.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17217321:17222581:-1 gene:DRNTG_25717 transcript:DRNTG_25717.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVGDLLMIWNFCASFSRLLNLWPFSLEDFENSICHKESDLALIVEAHAALLRLLIKDESNYFMAIQKKKRKTKITLVNWAEYLCDFLEMGNQPELSGRLTTIKRGHYGLLDAHVKLSILRELVEEALLTGAVRVRLNEYVEQQQVLAATKRDQIRKQKEEQHLKKEESATSEMSQIHLLENGKTNLGNLDSQENGVRKEDTTNSKDAKRKLKSNKEGFAEKGNTASGAGGSLKVQKKGTTRSKESQEKTTEEQQKEHIEREMEKLSIRSSSLGKDRNHNRYWMFRREGRIFVESLDSKQWGYYSSKEELDALLGSLNPKGERERALKKQLEKLYNKISNALQKRSKDVNQKILLEEAVLRRSTRVRAQPRDSQATAYLKYVNKWKEG >DRNTG_25717.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17217321:17223058:-1 gene:DRNTG_25717 transcript:DRNTG_25717.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVGDLLMIWNFCASFSRLLNLWPFSLEDFENSICHKESDLALIVEAHAALLRLLIKDESNYFMAIQKKKRKTKITLVNWAEYLCDFLEMGNQPELSGRLTTIKRGHYGLLDAHVKLSILRELVEEALLTGAVRVRLNEYVEQQQVLAATKRDQIRKQKEEQHLKKEESATSEMSQIHLLENGKTNLGNLDSQENGVRKEDTTNSKDAKRKLKSNKEGFAEKGNTASGAGGSLKVQKKGTTRSKESQEKTTEEQQKEHIEREMEKLSIRSSSLGKDRNHNRYWMFRREGRIFVESLDSKQWGYYSSKEELDALLGSLNPKGERERALKKQLEKLYNKISNALQKRSKDVNQKILLEEAVLRRSTRVRAQPRDSQATAYLKYVNKWKEG >DRNTG_26023.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23206453:23207968:-1 gene:DRNTG_26023 transcript:DRNTG_26023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSSTSSPSSPSHIPLPSSASSSSTPPHLGLSFSLLPLHILATRSRSLTPLSLARPSGSVGFSGFVFLKWSVRDALIQFLCICFFSEIADQAKLFKLFIKVKLMPFSHSGGGGLWDPELSGFLFAWMVLDTAASLLLSVHPWVMIMDHNERRRGRDLAREGFYLVSLMPVQAFCIKCLETVLCGSLGRWAAVMAGERSIAGLLHSMAEVYFMVVWLVYYFTVRCKDAELDGRRFGRGDLEDCLSGLR >DRNTG_19986.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:846973:848088:-1 gene:DRNTG_19986 transcript:DRNTG_19986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEFLKETGRTCSDFDVTVAALLQCRTRAINPDHAETLDFHLICPSNARPLLHELIPGYEGYYGNCTYRALVTAPASKIMRASVTDIVGWILDAKEEISEKFWKWLDGEHSDKSIIASASNYETIVVTDMDKLGSKDVNYGWGPPVQSGMLRYSDHMVFCVVDSSLKIEGGVRITGRLVREEHLQAFRDEINKVCN >DRNTG_06474.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16908207:16908576:1 gene:DRNTG_06474 transcript:DRNTG_06474.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVCNKAIEKSTEKSSVESHKQKPRMRGDEGWIPRLMRNHGAPKTTEMTRMPAKTCFLSPL >DRNTG_06474.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16906275:16908576:1 gene:DRNTG_06474 transcript:DRNTG_06474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPSAHEEPWGAEDNGDDEDAGEDVLLISAIEIVLHPRSEPAAIAARHRLLFRF >DRNTG_06474.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16908207:16908612:1 gene:DRNTG_06474 transcript:DRNTG_06474.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCNKAIEKSTEKSSVESHKQKPRMRGDEGWIPRLMRNHGAPKTTEMTRMPAKTCFLSPL >DRNTG_12439.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19629162:19630242:1 gene:DRNTG_12439 transcript:DRNTG_12439.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRKDRAASVKQAESVKPAEPVQPNESKEEGKKVTCSRAQEKQHCLFIGNIPKHWTEDVLREMVEAIGVGVTKVDLVMNTYRGCNKHFAFVEYRDHDRAKYSKEKMSDPTFKLDGNAPTVTYYNPSASQVNSIYVKNLPNNVTEDQLRRLFEHHGKINTVDLPTP >DRNTG_03023.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19780295:19783457:-1 gene:DRNTG_03023 transcript:DRNTG_03023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLYSIDFSYNKLTGPIPSGEVFWKPSMAYVGNADLCRDASSLFSCGFSTSDQGSHGHSDKGSHNPSDQGSNKKHTTLLITITVPVVGCSLMLLVAIAVACRRQRTNKVTETENYSLVWDTGLKFKFTDVMEAIDDFNEAYCIGKGSFGVVYKAELPSGQVLAVKRQHFSDESDIQENDVGSFLNEIKILLEVRHRNIVKLHGSCAKKGVMYLIYDYVERGSLGDVLYTYLHNDCLPNIVHSDISINNVLLNDEFEPKLCDFGTAKLLRHDASSWTAVVGSYGYIAPELAYMTKFTDKCDVYSFGVVTLEVMMGMHPGELLSNLPSISSSSEGNDLLLKDVLDNRLRPPTGQLAEQIVFIVKVALACTHTNPASRPAMLSISQKLSTRKKAYLSEPLGAITIKNLLRISRRGELLK >DRNTG_11099.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30661088:30663919:1 gene:DRNTG_11099 transcript:DRNTG_11099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKAGRWWEHGYVRRIELPEDANWKKIEAYIDDENLLQIKIPKNNSDSNALQTSAVEPKESEFV >DRNTG_12547.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21070805:21083619:1 gene:DRNTG_12547 transcript:DRNTG_12547.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion 1 protein 4 [Source:Projected from Arabidopsis thaliana (AT5G16270) UniProtKB/Swiss-Prot;Acc:Q8W1Y0] MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPEAPIALRLSSHLLLGVVRIYSRKVNYLFHDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFHLDDFELPDSAFLHGNSVDHHVSTREQITLQDNIDGLGYLTSQFGSDERFGDGDTSQFGLDLDEDVFLDKVTSSQHASVSFDTEYAVSHDQPIATFNDMDIGQSQYMDGDMDAKMSLDTGDIEINENPSGDHGYNIQTPDLNEVLFRKDQIEGQSPTSGHISSAFPVDDIPSPQLIECAQTPSTPILLEGSMSAHAQEIPPLIPPEKNSVSSFVDATERETEGILHPECDCPGATNNNLDALAISPESTDLVMPIPTSTAVESISLEPQRVTAAGNDHNFGGEQSVDGLQNKLMPSKTDGLPMPDEIDDNIEVALCDNCSPGAKNLMNHLDASLHNPNTLNDANDRDPISAVLQPCSSKLGKPNIVSVEGRTFSEDILSLSCKDMHYTSETPPREGVVHPSGSSVDVPGEDSCLADVLEPMVRVHQTSDSVLSENTTSAKKQKELTPIVSAKDNQLDQLNCTSSSEFPEPEKLLSAPNGNTDLPNDLGQLTSEKGVTESDESVDRNKSLSGKKHHLTDSMSALQSGSSAKSAGRPRLRRNREHIPDDDDLLASILVGRTPNFKSQPTPSHKINSVKRPRLNPKVSLLKRKVLFDDTMVLHADAIRQQLINSEDIRRIRKKAPCTRSEIWMVLSSSEELEFFEEPIFTGISAELHSLHARTYTSSGNPAFPSSSKYVGKTCNENVGEQVVVLADNVDGEIQVPSNLSVLTEPSSCKDAGDCDAQERVVSQPDLPQQEPLDNNTHNSTQEIDGRITADAHVVSSNFVGGVKVLTDSCIEVSCIHENHKSDASYVKSDDACMPTREQPVHESNELKEMNDEATVLSKNGPSNNFTSMEDADSANGADRLDNGATPHPIDSSSHDKDETCLQVDPCVLPGDENLSVPDVMMEFVEPNSATINGQQDVEQDKEDFLDVGPGDNAFQEQLFFNEEHPSALGVHEEMTEKASSSPFHTVDETENFPSTVGENSGFRVLNIEGVMDDESTPMDFSTVIESSDFCSAINGNTEFLNADDEEDHCEADNDLQNPEEAQSLENSGWSSRTRGVARYLKSLFDDEYGHGRKVVAMDHLIAGKTRKEASRMFFETLVLKTKDYIQVEQANPFDIINIKPQTKLLKSEF >DRNTG_12547.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21070805:21083619:1 gene:DRNTG_12547 transcript:DRNTG_12547.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion 1 protein 4 [Source:Projected from Arabidopsis thaliana (AT5G16270) UniProtKB/Swiss-Prot;Acc:Q8W1Y0] MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPEAPIALRLSSHLLLGVVRIYSRKVNYLFHDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFHLDDFELPDSAFLHGNSVDHHVSTREQITLQDNIDGLGYLTSQFGSDERFGDGDTSQFGLDLDEDVFLDKVTSSQHASVSFDTEYAVSHDQPIATFNDMDIGQSQYMDGDMDAKMSLDTGDIEINENPSGDHGYNIQTPDLNEVLFRKDQIEGQSPTSGHISSAFPVDDIPSPQLIECAQTPSTPILLEGSMSAHAQEIPPLIPPEKNSVSSFVDATERETEGILHPECDCPGATNNNLDALAISPESTDLVMPIPTSTAVESISLEPQRVTAAGNDHNFGGEQSVDGLQNKLMPSKTDGLPMPDEIDDNIEVALCDNCSPGAKNLMNHLDASLHNPNTLNDANDRDPISAVLQPCSSKLGKPNIVSVEGRTFSEDILSLSCKDMHYTSETPPREGVVHPSGSSVDVPGEDSCLADVLEPMVRVHQTSDSVLSENTTSAKKQKELTPIVSAKDNQLDQLNCTSSSEFPEPEKLLSAPNGNTDLPNDLGQLTSEKGVTESDESVDRNKSLSGKKHHLTDSMSALQSGSSAKSAGRPRLRRNREHIPDDDDLLASILVGRTPNFKSQPTPSHKINSVKRPRLNPKVSLLKRKVLFDDTMVLHADAIRQQLINSEDIRRIRKKAPCTRSEIWMVLSSSEELEFFEEPIFTGISAELHSLHARTYTSSGNPAFPSSSKYVGKTCNENVGEQVVVLADNVDGEIQVPSNLSVLTEPSSCKDAGDCDAQERVVSQPDLPQQEPLDNNTHNSTQEIDGRITADAHVVSSNFVGGVKVLTDSCIEVSCIHENHKSDASYVKSDDACMPTREQPVHESNELKEMNDEATVLSKNGPSNNFTSMEDADSGRIHNDITIATVNDDPAFLAENSFLANGADRLDNGATPHPIDSSSHDKDETCLQVDPCVLPGDENLSVPDVMMEFVEPNSATINGQQDVEQDKEDFLDVGPGDNAFQEQLFFNEEHPSALGVHEEMTEKASSSPFHTVDETENFPSTVGENSGFRVLNIEGVMDDESTPMDFSTVIESSDFCSAINGNTEFLNADDEEDHCEADNDLQNPEEAQSLENSGWSSRTRGVARYLKSLFDDEYGHGRKVVAMDHLIAGKTRKEASRMFFETLVLKTKDYIQVEQANPFDIINIKPQTKLLKSEF >DRNTG_12547.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21070805:21083619:1 gene:DRNTG_12547 transcript:DRNTG_12547.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion 1 protein 4 [Source:Projected from Arabidopsis thaliana (AT5G16270) UniProtKB/Swiss-Prot;Acc:Q8W1Y0] MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPEAPIALRLSSHLLLGVVRIYSRKVNYLFHDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFHLDDFELPDSAFLHGNSVDHHVSTREQITLQDNIDGLGYLTSQFGSDERFGDGDTSQFGLDLDEDVFLDKVTSSQHASVSFDTEYAVSHDQPIATFNDMDIGQSQYMDGDMDAKMSLDTGDIEINENPSGDHGYNIQTPDLNEVLFRKDQIEGQSPTSGHISSAFPVDDIPSPQLIECAQTPSTPILLEGSMSAHAQEIPPLIPPEKNSVSSFVDATERETEGILHPECDCPGATNNNLDALAISPESTDLVMPIPTSTAVESISLEPQRVTAAGNDHNFGGEQSVDGLQNKLMPSKTDGLPMPDEIDDNIEVALCDNCSPGAKNLMNHLDASLHNPNTLNDANDRDPISAVLQPCSSKLGKPNIVSVEGRTFSEDILSLSCKDMHYTSETPPREGVVHPSGSSVDVPGEDSCLADVLEPMVRVHQTSDSVLSENTTSAKKQKELTPIVSAKDNQLDQLNCTSSSEFPEPEKLLSAPNGNTDLPNDLGQLTSEKGVTESDESVDRNKSLSGKKHHLTDSMSALQSGSSAKSAGRPRLRRNREHIPDDDDLLASILVGRTPNFKSQPTPSHKINSVKRPRLNPKVSLLKRKVLFDDTMVLHADAIRQQLINSEDIRRIRKKAPCTRSEIWMVLSSSEELEFFEEPIFTGISAELHSLHARTYTSSGNPAFPSSSKYVGKTCNENVGEQVVVLADNVDGEIQVPSNLSVLTEPSSCKDAGDCDAQERVVSQPDLPQQEPLDNNTHNSTQEIDGRITADAHVVSSNFVGGVKVLTDSCIEVSCIHENHKSDASYVKSDDACMPTREQPVHESNELKEMNDEATVLSKNGPSNNFTSMEDADSDRLDNGATPHPIDSSSHDKDETCLQVDPCVLPGDENLSVPDVMMEFVEPNSATINGQQDVEQDKEDFLDVGPGDNAFQEQLFFNEEHPSALGVHEEMTEKASSSPFHTVDETENFPSTVGENSGFRVLNIEGVMDDESTPMDFSTVIESSDFCSAINGNTEFLNADDEEDHCEADNDLQNPEEAQSLENSGWSSRTRGVARYLKSLFDDEYGHGRKVVAMDHLIAGKTRKEASRMFFETLVLKTKDYIQVEQANPFDIINIKPQTKLLKSEF >DRNTG_09942.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18326898:18327287:-1 gene:DRNTG_09942 transcript:DRNTG_09942.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSLVASTFDASEQPNKPKCIVPTHKSHPFSRTKNAISPN >DRNTG_14941.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27062590:27071441:-1 gene:DRNTG_14941 transcript:DRNTG_14941.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30-kDa cleavage and polyadenylation specificity factor 30 [Source:Projected from Arabidopsis thaliana (AT1G30460) UniProtKB/Swiss-Prot;Acc:A9LNK9] MDDPDGGLSFDFEGGLDAAVPAAPVAGSASLMPSEASGNAGVVGVTGVPGDPSVVMAGGGAGRRSFRQTVCRHWLRSLCMKGDACGFLHQYDKSRMPVCRFYRLYGECREQDCVYKHTNEDIKECNMYKMGFCPNGPDCRYRHAKLPGPPPSVEEVLQKIQHLSSFGYGSSNKFFQHRNNGYTQSEKPQFSQGSAAPNQVTPVNSAVTLAPPNAQQTQSQPQQPQQQQASQVQAQNPLNGSSNQVNRIASPLPQGQSRYFIVKSCNRENLEISVQQGVWATQRSNEAKLNEAFESSENVILIFSINRTQRFQGCAKMTSKIGGFIGGGNWKYAHGTAHYGRNFSIKWLKLCELSFHKTRHLRNPYNDNLPVKISRDCQELEPFIGEQLASLLYLEPDSELMAMFVAAEAKREEEKAKGVTADAAADNQDIVLYEDNEEEEEEESEEEDDSFNQAVQGRGRGRGMPWQPPMPMIRGGRPMLSGFPPAMMGADGFGFGPVAPDGFATPDLFGMPPRVFGPFGGPAGMGFPARPAQPGAMFPMGSLGMMMGAGRTPFMGGMNMARANRPIGVAPFLHPPPPPLNNRIVKKDQKRLVGDQGSKGQDSSGGAGGEDDDRFQSSLKSQHEGKYGMDNEESESEDEAPRRSRHGEGKRKRRGSQGESASDQWETPLA >DRNTG_14941.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27062590:27071441:-1 gene:DRNTG_14941 transcript:DRNTG_14941.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:30-kDa cleavage and polyadenylation specificity factor 30 [Source:Projected from Arabidopsis thaliana (AT1G30460) UniProtKB/Swiss-Prot;Acc:A9LNK9] MNSRYFIVKSCNRENLEISVQQGVWATQRSNEAKLNEAFESSENVILIFSINRTQRFQGCAKMTSKIGGFIGGGNWKYAHGTAHYGRNFSIKWLKLCELSFHKTRHLRNPYNDNLPVKISRDCQELEPFIGEQLASLLYLEPDSELMAMFVAAEAKREEEKAKGVTADAAADNQDIVLYEDNEEEEEEESEEEDDSFNQAVQGRGRGRGMPWQPPMPMIRGGRPMLSGFPPAMMGADGFGFGPVAPDGFATPDLFGMPPRVFGPFGGPAGMGFPARPAQPGAMFPMGSLGMMMGAGRTPFMGGMNMARANRPIGVAPFLHPPPPPLNNRIVKKDQKRLVGDQGSKGQDSSGGAGGEDDDRFQSSLKSQHEGKYGMDNEESESEDEAPRRSRHGEGKRKRRGSQGESASDQWETPLA >DRNTG_23987.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30936094:30940680:-1 gene:DRNTG_23987 transcript:DRNTG_23987.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCWLTSPSSMMLRRRAPVMLSSRVLQLCSTFFKVLRLDPLCVCSFVVCLDGSPPGYYLHRGFGSGADSWVVHLEGGGWCDSIASCSSRSRTPLGSSHYFESQIHFYGILNNHPSLNPDFYNWNRVKVRYCDGASMAGDVDNESQNSTNLFFRGKHVWEAVMHELLSKGLANAKQALLTGCSAGGLATFIHCDDFQALLPKQTTVKCLPDAGFFLNAEDISGKRTLGSFYHNVVHLQDLTKHLSKGCISKTEPSQCFFPQEFIKYIRTPLFILNPAYDAWQVQHVLAPYKSDPQGDWQRCRLNIHNCDQKNIEALQGFRNKMLNALTEFRKSKNGGMFINSCFTHCQTHSNITWHSPTSPKINNRTIAEAVGDWFFNRREAKEIDCPYPCNPTCYHLDLNAIWPGA >DRNTG_23987.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30936094:30940573:-1 gene:DRNTG_23987 transcript:DRNTG_23987.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDVDNESQNSTNLFFRGKHVWEAVMHELLSKGLANAKQALLTGCSAGGLATFIHCDDFQALLPKQTTVKCLPDAGFFLNAEDISGKRTLGSFYHNVVHLQDLTKHLSKGCISKTEPSQCFFPQEFIKYIRTPLFILNPAYDAWQVQHVLAPYKSDPQGDWQRCRLNIHNCDQKNIEALQGFRNKMLNALTEFRKSKNGGMFINSCFTHCQTHSNITWHSPTSPKINNRTIAEAVGDWFFNRREAKEIDCPYPCNPTCYHLDLNAIWPGA >DRNTG_23987.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30936094:30940573:-1 gene:DRNTG_23987 transcript:DRNTG_23987.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRTLRTSSSEESMSGKPSCMNSCQKDWRMLSSLQALLTGCSAGGLATFIHCDDFQALLPKQTTVKCLPDAGFFLNAEDISGKRTLGSFYHNVVHLQDLTKHLSKGCISKTEPSQCFFPQEFIKYIRTPLFILNPAYDAWQVQHVLAPYKSDPQGDWQRCRLNIHNCDQKNIEALQGFRNKMLNALTEFRKSKNGGMFINSCFTHCQTHSNITWHSPTSPKINNRTIAEAVGDWFFNRREAKEIDCPYPCNPTCYHLDLNAIWPGA >DRNTG_23987.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30936094:30940573:-1 gene:DRNTG_23987 transcript:DRNTG_23987.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMVQLLRLWWRRGGRDRVVAFAGFGILVLTLLFTVSSLFHDAGERTAFVGQSDGPVLVDLTLVHDAEKKGAVCLDGSPPGYYLHRGFGSGADSWVVHLEGGGWCDSIASCSSRSRTPLGSSHYFESQIHFYGILNNHPSLNPDFYNWNRVKVRYCDGASMAGDVDNESQNSTNLFFRGKHVWEAVMHELLSKGLANAKQALLTGCSAGGLATFIHCDDFQALLPKQTTVKCLPDAGFFLNAEDISGKRTLGSFYHNVVHLQV >DRNTG_23987.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30936094:30940573:-1 gene:DRNTG_23987 transcript:DRNTG_23987.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMVQLLRLWWRRGGRDRVVAFAGFGILVLTLLFTVSSLFHDAGERTAFVGQSDGPVLVDLTLVHDAEKKGAVCLDGSPPGYYLHRGFGSGADSWVVHLEGGGWCDSIASCSSRSRTPLGSSHYFESQIHFYGILNNHPSLNPDFYNWNRVKVRYCDGASMAGDVDNESQNSTNLFFRGKHVWEAVMHELLSKGLANAKQALLTGCSAGGLATFIHCDDFQALLPKQTTVKCLPDAGFFLNAEDISGKRTLGSFYHNVVHLQDLTKHLSKGCISKTEPSQCFFPQEFIKYIRTPLFILNPAYDAWQVQHVLAPYKSDPQGDWQRCRLNIHNCDQKNIEALQGFRNKMLNALTEFRKSKNGGMFINSCFTHCQTHSNITWHSPTSPKINNRTIAEAVGDWFFNRREAKEIDCPYPCNPTCYHLDLNAIWPGA >DRNTG_23987.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30936094:30940573:-1 gene:DRNTG_23987 transcript:DRNTG_23987.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMVQLLRLWWRRGGRDRVVAFAGFGILVLTLLFTVSSLFHDAGERTAFVGQSDGPVLVDLTLVHDAEKKGAVCLDGSPPGYYLHRGFGSGADSWVVHLEGGGWCDSIASCSSRSRTPLGSSHYFESQIHFYGILNNHPSLNPDFYNWNRVKVRYCDGASMAGDVDNESQNSTNLFFRGKHVWEAVMHELLSKGLANAKQALLTGCSAGGLATFIHCDDFQALLPKQTTVKCLPDAGFFLNAEDISGKRTLGSFYHNVVHLQDLTKHLSKGCISKTEPSQCFFPQEFIKYIRTPLFILNPAYDAWQVQHVLAPYKSDPQGDWQRCRLNIHNCDQKNIEALQGFRNKMLNALTEFRKSKNGGMFINSCFTHCQTHSNITWHSPTSPKINNRTIAEAVGDWFFNRREAKEIDCPYPCNPTCYHLDLNAIWPGA >DRNTG_23987.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30936094:30940573:-1 gene:DRNTG_23987 transcript:DRNTG_23987.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMVQLLRLWWRRGGRDRVVAFAGFGILVLTLLFTVSSLFHDAGERTAFVGQSDGPVLVDLTLVHDAEKKGAVCLDGSPPGYYLHRGFGSGADSWVVHLEGGGWCDSIASCSSRSRTPLGSSHYFESQIHFYGILNNHPSLNPDFYNWNRVKVRYCDGASMAGDVDNESQNSTNLFFRGKHVWEAVMHELLSKGLANAKQALLTGCSAGGLATFIHCDDFQALLPKQTTVKCLPDAGFFLNAEDISGKRTLGSFYHNVVHLQDLTKHLSKGCISKTEPSQCFFPQEFIKYIRTPLFILNPAYDAWQVQHVLAPYKSDPQGDWQRCRLNIHNCDQKNIEALQGFRNKMLNALTEFRKSKNGGMFINSCFTHCQTHSNITWHSPTSPKINNRTIAEAVGDWFFNRREAKEIDCPYPCNPTCYHLDLNAIWPGA >DRNTG_12902.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26737679:26740583:-1 gene:DRNTG_12902 transcript:DRNTG_12902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLFVELNVYPHITIMVYDYSRYGQSTSKPSEYNTYADIEVIYVCLKTQYVANDEDLIIHGQSVSSGPTIDLASRLPNLKVVVLHSGILSGLQVLSPIKCSYWFDIYKNIDKIDSIDYHVLVIHSATDDVVDYSHRKLKTEKPKEFVLKKTKLLLE >DRNTG_05518.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2425468:2429601:-1 gene:DRNTG_05518 transcript:DRNTG_05518.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G37850) TAIR;Acc:AT5G37850] MGDEGKLYVPPELVSVYREKVVPVASMLTPNQFEAEQLTGLKITSERDGLEACNILHAAGPKKVVITSLHLNGTLLLIGSHQKEKDHPPEQFKIAIPKIPTYFTVRVAV >DRNTG_05518.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2425468:2428913:-1 gene:DRNTG_05518 transcript:DRNTG_05518.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G37850) TAIR;Acc:AT5G37850] MYVRNTILYLIVIVFVRSLILVFCCVSGYIGSVSFLNTVLQVVERLRSINPGLIYVCDPVMGDEGKLYVPPELVSVYREKVVPVASMLTPNQFEAEQLTGLKITSERDGLEACNILHAAGPKKVVITSLHLNGTLLLIGSHQKEKDHPPEQFKIAIPKIPTYFTGTGDLTTALLLGWSNKYPDNLEIASELAVSSLQALLQRTVSDYLKAGFDPQTSSLEIRLIQSQDDIKNPQIKYKAEKFL >DRNTG_05518.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2429689:2430140:-1 gene:DRNTG_05518 transcript:DRNTG_05518.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G37850) TAIR;Acc:AT5G37850] MAPPPILALALPSETGRVLSIQSHTVQGYVGNKSAVFPLQLLGYDVDPINSVQFSNHTGKMLYIIPSQGPLNSVRFHH >DRNTG_05518.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2425468:2430140:-1 gene:DRNTG_05518 transcript:DRNTG_05518.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G37850) TAIR;Acc:AT5G37850] MAPPPILALALPSETGRVLSIQSHTVQGYVGNKSAVFPLQLLGYDVDPINSVQFSNHTGYPSFKGQVLDGKQLWDLIEGLAGNDLLYYTHLLTGYIGSVSFLNTVLQVVERLRSINPGLIYVCDPVMGDEGKLYVPPELVSVYREKVVPVASMLTPNQFEAEQLTGLKITSERDGLEACNILHAAGPKKVVITSLHLNGTLLLIGSHQKEKDHPPEQFKIAIPKIPTYFTGTGDLTTALLLGWSNKYPDNLEIASELAVSSLQALLQRTVSDYLKAGFDPQTSSLEIRLIQSQDDIKNPQIKYKAEKFL >DRNTG_05518.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2425468:2428423:-1 gene:DRNTG_05518 transcript:DRNTG_05518.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G37850) TAIR;Acc:AT5G37850] MLTPNQFEAEQLTGLKITSERDGLEACNILHAAGPKKVVITSLHLNGTLLLIGSHQKEKDHPPEQFKIAIPKIPTYFTGTGDLTTALLLGWSNKYPDNLEIASELAVSSLQALLQRTVSDYLKAGFDPQTSSLEIRLIQSQDDIKNPQIKYKAEKFL >DRNTG_15164.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:476459:482814:-1 gene:DRNTG_15164 transcript:DRNTG_15164.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine sulfotransferase [Source:Projected from Arabidopsis thaliana (AT1G08030) UniProtKB/Swiss-Prot;Acc:Q3EDG5] MESTLRFSIILVLLYLVFASSHVSSDKDFSQCETTVKNWVESSIFTGSKDDKLSLKDLLFFLHIPRTGGRSYFYCFLRKLYTSYQECPRSYDKLRFDPSKPNCRLMVTHDDYSLMSKLPKEQTSVVTVLRNPIDRLFSSYEFAIEVAARFLVHPNLTSVTQMSRRLRPKSRGVSTLDIWPWKYLVPWMREDLFARRDARKSRKVAESERHNNPYNMEDMIMPLHAFINHPVVHEIIHNGATFQIAGLTNNSYLEESHFIRHCVRTHPELGKFVLDVAKIGSYVICWAY >DRNTG_15164.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:476459:482814:-1 gene:DRNTG_15164 transcript:DRNTG_15164.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine sulfotransferase [Source:Projected from Arabidopsis thaliana (AT1G08030) UniProtKB/Swiss-Prot;Acc:Q3EDG5] MESTLRFSIILVLLYLVFASSHVSSDKDFSQCETTVKNWVESSIFTGSKDDKLSLKDLLFFLHIPRTGGRSYFYCFLRKLYTSYQECPRSYDKLRFDPSKPNCRLMVTHDDYSLMSKLPKEQTSVVTVLRNPIDRLFSSYEFAIEVAARFLVHPNLTSVTQMSRRLRPKSRGVSTLDIWPWKYLVPWMREDLFARRDARKSRKVAESERHNNPYNMEDMIMPLHAFINHPVVHEIIHNGATFQIAGLTNNSYLEESHFIRHCVRTHPELGKFVLDVAKSRLDHMLYVGLTEDHKKSATMFANMVGAQVLSQSESLSLNFGQDAINITDPEDDESIQNQLSTNDQQQGEVPTPKSAKSTDKNMTVQKLMDDYEVCISNLRKSQSTRRTFSLRWIAPANFSKEARLMVPEEILQQILSLNSLDVELYNYAQDLFLQEQKHLMQTAENFFNRQRKNASAEVEMRKQVCQDFYHCIPWKTILLGITVLLMIGVVILVVTARRRTSKLKV >DRNTG_15164.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:476459:482814:-1 gene:DRNTG_15164 transcript:DRNTG_15164.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine sulfotransferase [Source:Projected from Arabidopsis thaliana (AT1G08030) UniProtKB/Swiss-Prot;Acc:Q3EDG5] MESTLRFSIILVLLYLVFASSHVSSDKDFSQCETTVKNWVESSIFTGSKDDKLSLKDLLFFLHIPRTGGRSYFYCFLRKLYTSYQECPRSYDKLRFDPSKPNCRLMVTHDDYSLMSKLPKEQTSVVTVLRNPIDRLFSSYEFAIEVAARFLVHPNLTSVTQMSRRLRPKSRGVSTLDIWPWKYLVPWMREDLFARRDARKSRKVAESERHNNPYNMEDMIMPLHAFINHPVVHEIIHNGATFQIAGLTNNSYLEESHFIRHCVRTHPELGKFVLDVAKSRLDHMLYVGLTEDHKKSATMFANMVGAQVLSQSESLSLNFGQDAINITDPEDDESIQNQLSTNDQQQGEVPTPKSAKSTDKNMTVQKLMDDYEVCISNLRKSQSTRRTFSLRWIAPANFSKEARLMVPEEILQQILSLNSLDVELYNYAQDLFLQEQKHLMQTAENFFNRQRKNASAEVEMRKQVCQDFYHCIPWKTILLGITVLLMIGVVILVVTARRRTSKLKV >DRNTG_33211.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:412369:417082:-1 gene:DRNTG_33211 transcript:DRNTG_33211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQYQRDDIDDMADNYEMADAEDDMDGDFHGRGVGDSDSDDEEYGHLNGRITDTSSAQARRGIDIQGIPWDRLSITREKYRQTRLEQYKNYENIPNSGELSLKESKLTKKGGSYYDFWCNTRSVKSTILHFQLRNLVWATSKHDVYLMSHYSVIHWSALTSEKTEVLNVSGHVTPCEKHPGNLLEGFSQTQVSTLAVKDNLLIAGGFQGELICKYLDRPGISFCCRTTYDDNAITNAVEIYNSNSGAVHFMSSNNDSGVRDFDMERFQLAKHFRFQWPVNHTSLSPDGKLLVIVGDNPEGLLVDAHTGKTIHELSGHIDYSFASAWNPNGFTFATGNQDKTCRVWDIRNLSKSAAVLRGNLGAIRSIRFTSDGRFMAMAEPADFVHVFDVTSEYEKKQELDFFGEISGISFSPDTESLFVGVWDRTYGSLLQYRRRRVLHIP >DRNTG_33130.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10573810:10576403:-1 gene:DRNTG_33130 transcript:DRNTG_33130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRTLIKRNHVYDHGDSTRVLSRQKLLYLYSMGYEAEYQRKKPMYTMNALFGGNLEKGQMRRHKSGFLDKFFGFDIVLHLG >DRNTG_26517.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2351807:2352751:1 gene:DRNTG_26517 transcript:DRNTG_26517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQEKAKFLEAMKEKECVIYVIQQQVSSLKRAFAELAEAAGSLKPSDMRFDNGGLHDTLEKIVSTHILDKLDLQYKSLLLVEAEKESLVLQKKLEIADKLSFDSKKEKLEAENKTVEIEKELKCLLSNNCILKGEIETLNAVIEQFSSAGEKMEDDIVCFTNLVMDLSNSEKELAKFLKNINAQDEEVAKSCCKEDNNYQLKNNKILETFATRLPLKEQNF >DRNTG_12884.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20698945:20701861:1 gene:DRNTG_12884 transcript:DRNTG_12884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPDPQAHGMRQLPQQSRGGIPRHSTLRTPQD >DRNTG_03472.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:886359:891730:-1 gene:DRNTG_03472 transcript:DRNTG_03472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRRKKNFLRKLYSFSCGKASLDEDHSQIGGPGFSRIAFANDPDCFEATNLGYPANYVSTTKYSLATFFPKALFEQFRRVANIYFLVTGCLSFTPLAPYSAVSAILPLIIVIGVTMAKEAVEDWRRYQQDNEMNNRKVKVHRGNATFDNTEWKNLRVGDIVKVEKDNFFPADLLLLSSSYEDAICYVETMNLDGETNLKLKQSLEVTSNLQDDSSFRHFKAVVRCEDPNANLYTFVGSLEYQDQQYPLSPQQLLLRDSKLRNTDYVYGVVIFTGHDTKVMQNATNPPSKRSKIERKMDKIIYFLLFSLVLISTVGSIFFGIATDDDIENHRMKRWYLRPDDTTIFYKPSEAVVAALLHFLTAMMLYGYFIPISLYVSIEIVKVLQTIFINNDHEMYHEESDKPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSIAGTAYGRGVTEVEKAMARRKGSPLLYEPDVEEEGHRDNNKPAVKGFNFTDNRVMNGNWVNEPHADIIQKFFRLLAICHTAIPETDDESGKISYEAESPDEAAFVIAASELGFQFYQRTQTNISIQELDPLSGKTVERSYRLLNILEFNSTRKRMSVIVRDEEGKLLLLSKGADNVMFERLAKDGRKFEDKTKEHMHNYADAGLRTLVLAYRELDEDEYAVFNEKFTEAKNSVSADRDEKVEEAADSIERDLILLGATAVEDKLQNGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQIIITLESPEIKLLEKDGNKDAIFKASKESVIHQITEGRKMTSSSDTQSFALIIDGKSLAYALEDNVKNLFLQLAVTCASVICCRSSPKQKALVTRLVKEGTGKVTLGIGDGANDVGMLQEADIGIGISGVEGMQAVMSSDVAIAQFRFLERLLLVHGHWCYRRIASMICYFFYKNIVFGVTLFIYEAYTSFSGTPLYNDWFLSVYNVFFTSLPAIALGVFDQDVSARLCRKFPLLYQEGVQNMLFSWTRIIGWMFNGVCNAVTIFFFCAAALQHQAFRKGGEVVSRDILAGTVYTCVVWVVNCQMALSVSYFTLIQHIFIWGSIALWYLFLVIYGAITPSFSTDAYMLFVEALAPAASYWIVTLFVVLATLIPYFSYAAIQMRFFPMYHNMIQWIRFEGKADDPEYCQVVRQRSVRPTTVGVSARIDARVSQINSRVHHAVQT >DRNTG_05751.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3624019:3627284:-1 gene:DRNTG_05751 transcript:DRNTG_05751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTVYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLHGKDMTQDSTFEFERKRNRPERYDRNVTENTLKAIKKIDKVRVAREARHHAMRMKGKKAIEQKAAAKELEQSIHMVKAPIALQQEPSLTLPKVKVKVSQSQAEDNMQE >DRNTG_05751.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3624019:3627284:-1 gene:DRNTG_05751 transcript:DRNTG_05751.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTVYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLHGKDMTQDSTFEFERKRNRPERYDRNVTENTLKAIKKIDKVRVAREARHHAMRMKGKKAIEQKAAAKELEQSIHMVKAPIALQQEPSLTLPKVKVKVSQSQAEDNMQE >DRNTG_05751.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3624019:3627284:-1 gene:DRNTG_05751 transcript:DRNTG_05751.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTVYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLHGKDMTQDSTFEFERKRNRPERYDRNVTENTLKAIKKIDKVRVAREARHHAMRMKGKKAIEQKAAAKELEQSIHMVKAPIALQQEPSLTLPKVKVKVSQSQAEDNMQE >DRNTG_09806.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26806163:26806751:1 gene:DRNTG_09806 transcript:DRNTG_09806.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKSEGNNQQITIFYGGRMCTCDVTEIQARAIICMAKRDMEERMARCNNNKAGDSSSEPSTQPPQLINPGLSMKRSLHHFLEKRKARISPP >DRNTG_09806.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26805813:26806751:1 gene:DRNTG_09806 transcript:DRNTG_09806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYDDYCDTELCLSPGGAVAARRHLHPTSNSNTTTTTTTTTINSGSLYKMMDKSEGNNQQITIFYGGRMCTCDVTEIQARAIICMAKRDMEERMARCNNNKAGDSSSEPSTQPPQLINPGLSMKRSLHHFLEKRKARISPP >DRNTG_03999.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25804000:25807324:1 gene:DRNTG_03999 transcript:DRNTG_03999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIGSQSFNELLRRSFFEKVDGHDDQLFSMHDLIHETIRAGNISNEVQHLYIDGNTFFSNEHEYLNLQTVIVEEKSCDNSPTLLDFNLLRNLRLLELKSCQIFELPDFIGNLKHLRYLHLECNQIKRLPESVSQLYNPQKSCSAPKRHRKTHESPASQTRTVKLSLNGDMNQCGIAELKDLVNLRGKLCISGLKTVTNLEDVKEANLWSKKHIKELRLDWNGSDHQYNDSDSSFQELQESVLESLKPHTNLTKLEIYNYNGAKFPAWLADPSFSNLTTIILIQCLNDKCEFLPPLGQLPSLTSLDIQKIHGIRYICRDIFQSLVELKLCHLYGLDTWNGDFPMLRNLTIENCPKQKQLPTFPSLSSLNLWGLDQLNTIMSLHDFVSLKHLTVKHCPELWLSPIAQGDQLPPGLLQSQDIEISGCPGLHEWCCEHGVLNWISSQQIGAEFYDDKKCLHCSISFTGDTGVQWIAQPTGIEQSRTAAACITLRLQSPSPHPWKAVDEDLNKLEAVTVRLQALDSNVEKKGMQDECVKHWLQELKHAAYDAEDILEDYE >DRNTG_00365.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18602625:18602885:-1 gene:DRNTG_00365 transcript:DRNTG_00365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRAFYNEIRGMRLRELPAYLKPRLSWENIKKSADQAVDRYIEKYIETSSVEPLFHVCIGGMIFSYLVALPHERRHLEHQQQHGH >DRNTG_26027.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23241495:23241922:-1 gene:DRNTG_26027 transcript:DRNTG_26027.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYITMNENREINGLLVVSILMRLVPLRLLVGGEPVDPVCKGEDVGGDEDDDEERDKVEEPWSRDRLPRHESSVWCRA >DRNTG_26027.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23241495:23246311:-1 gene:DRNTG_26027 transcript:DRNTG_26027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIHEQDPLQKPKQDRYALRTSPQWLGPQIEVIRMSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQISELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQSLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVEILKLMTSTYLVALCQAIDLRHLEENLKSTVKNTVSQVAKRVLTMGVNGELHPSRFCEKDLIKVIDREYVFAYIDDPCSYTYPLMQKLRQVLVEHALNNGEKEKNGNTSIFQKITAFEEELKAILPKEVEAARVAYENGSPEVANRIKECRSFPLYQFVREELGTALLTGEKVRSPGEEFDKVFTAISQGKVIDPLLECLRDWNGAPIPIC >DRNTG_22941.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16969287:16971014:-1 gene:DRNTG_22941 transcript:DRNTG_22941.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSSGSDTDVDRNLSNNISYRNDHSSRRKDKTLHKHKHYEDKHRSSSRSQSRKHHSHGHSSRKDDMKELTRGSVKKHRDIDEDYTPKYHKRHKKKHSPTEDMSMQQKEIVFEEQSKSDEYYKELRLPYQYSDYSRDDHHASNYRSSENLFSERNIDVNDRYDGKYCSDYMDSDKENLHRNKLCDRKRSSEERVHERYASNYFTDHVSDDHGQDKKGKSARQDAERVFSSDDNDQENNINRESHDSSQHNVSALSPSQVEPNEPRNSSDETGHAFSRRNKRHSKTERNRRHHRERYGDVKQETGHDNRHRHRSDRKRRHHDETSGHKEYQTRN >DRNTG_22941.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16969287:16977545:-1 gene:DRNTG_22941 transcript:DRNTG_22941.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRYFAGKQITCEFIGVTKWKVAICGEYMKSRLKTCSRGTACNFIHCFRNPGGDYEWANWDNPPPKYWIRKMAVLFGTSDEQGHDMQMELESQERPRGSKRRTPINNRRPSTRSTHGEMDYSSSGSDTDVDRNLSNNISYRNDHSSRRKDKTLHKHKHYEDKHRSSSRSQSRKHHSHGHSSRKDDMKELTRGSVKKHRDIDEDYTPKYHKRHKKKHSPTEDMSMQQKEIVFEEQSKSDEYYKELRLPYQYSDYSRDDHHASNYRSSENLFSERNIDVNDRYDGKYCSDYMDSDKENLHRNKLCDRKRSSEERVHERYASNYFTDHVSDDHGQDKKGKSARQDAERVFSSDDNDQENNINRESHDSSQHNVSALSPSQVEPNEPRNSSDETGHAFSRRNKRHSKTERNRRHHRERYGDVKQETGHDNRHRHRSDRKRRHHDETSGHKEYQTRN >DRNTG_22941.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16969287:16973251:-1 gene:DRNTG_22941 transcript:DRNTG_22941.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLFGTSDEQGHDMQMELESQERPRGSKRRTPINNRRPSTRSTHGEMDYSSSGSDTDVDRNLSNNISYRNDHSSRRKDKTLHKHKHYEDKHRSSSRSQSRKHHSHGHSSRKDDMKELTRGSVKKHRDIDEDYTPKYHKRHKKKHSPTEDMSMQQKEIVFEEQSKSDEYYKELRLPYQYSDYSRDDHHASNYRSSENLFSERNIDVNDRYDGKYCSDYMDSDKENLHRNKLCDRKRSSEERVHERYASNYFTDHVSDDHGQDKKGKSARQDAERVFSSDDNDQENNINRESHDSSQHNVSALSPSQVEPNEPRNSSDETGHAFSRRNKRHSKTERNRRHHRERYGDVKQETGHDNRHRHRSDRKRRHHDETSGHKEYQTRN >DRNTG_22941.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16977168:16977545:-1 gene:DRNTG_22941 transcript:DRNTG_22941.12 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPVPAGASPAPEIGDGSAVLSRKEKRKAAKEGEEEAGSERSGDPRARGGGGACQ >DRNTG_22941.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16969673:16973627:-1 gene:DRNTG_22941 transcript:DRNTG_22941.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRLKTCSRGTACNFIHCFRNPGGDYEWANWDNPPPKYWIRKMAVLFGTSDEQGHDMQMELESQERPRGSKRRTPINNRRPSTRSTHGEMDYSSSGSDTDVDRNLSNNISYRNDHSSRRKDKTLHKHKHYEDKHRSSSRSQSRKHHSHGHSSRKDDMKELTRGSVKKHRDIDEDYTPKYHKRHKKKHSPTEDMSMQQKEIVFEEQSKSDEYYKELRLPYQYSDYSRDDHHASNYRSSENLFSERNIDVNDRYDGKYCSDYMDSDKENLHRNKLCDRKRSSEERVHERYASNYFTDHVSDDHGQDKKGKSARQDAERVFSSDDNDQENNINRESHDSSQHNVSALSPSQVEPNEPRNSSDETGHAFSRRNKRHSKTERNRRHHRERYGDVKQETGHDNRHRHRSDRKRRHHDETSGHKEYQTRN >DRNTG_22941.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16969287:16977545:-1 gene:DRNTG_22941 transcript:DRNTG_22941.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMMIDLLQIRYLLNLKPLLPIQVHHLFLRKKFLKPCLSKFQILELNKIKQIVPFHLKTGACRFGSQCSRVHFHPDKSCTLLIKNMYNGPGLAWEQDEGLEYTDEEVDHCYEEFYEDVHTEFLKFGELINFKVCRNGSYHLRGNVYVHYKALESAILAFNAMNGRYFAGKQITCEFIGVTKWKVAICGEYMKSRLKTCSRGTACNFIHCFRNPGGDYEWANWDNPPPKYWIRKMAVLFGTSDEQGHDMQMELESQERPRGSKRRTPINNRRPSTRSTHGEMDYSSSGSDTDVDRNLSNNISYRNDHSSRRKDKTLHKHKHYEDKHRSSSRSQSRKHHSHGHSSRKDDMKELTRGSVKKHRDIDEDYTPKYHKRHKKKHSPTEDMSMQQKEIVFEEQSKSDEYYKELRLPYQYSDYSRDDHHASNYRSSENLFSERNIDVNDRYDGKYCSDYMDSDKENLHRNKLCDRKRSSEERVHERYASNYFTDHVSDDHGQDKKGKSARQDAERVFSSDDNDQENNINRESHDSSQHNVSALSPSQVEPNEPRNSSDETGHAFSRRNKRHSKTERNRRHHRERYGDVKQETGHDNRHRHRSDRKRRHHDETSGHKEYQTRN >DRNTG_22941.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16969287:16974527:-1 gene:DRNTG_22941 transcript:DRNTG_22941.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIWLIFYQYTDEEVDHCYEEFYEDVHTEFLKFGELINFKVCRNGSYHLRGNVYVHYKALESAILAFNAMNGRYFAGKQITCEFIGVTKWKVAICGEYMKSRLKTCSRGTACNFIHCFRNPGGDYEWANWDNPPPKYWIRKMAVLFGTSDEQGHDMQMELESQERPRGSKRRTPINNRRPSTRSTHGEMDYSSSGSDTDVDRNLSNNISYRNDHSSRRKDKTLHKHKHYEDKHRSSSRSQSRKHHSHGHSSRKDDMKELTRGSVKKHRDIDEDYTPKYHKRHKKKHSPTEDMSMQQKEIVFEEQSKSDEYYKELRLPYQYSDYSRDDHHASNYRSSENLFSERNIDVNDRYDGKYCSDYMDSDKENLHRNKLCDRKRSSEERVHERYASNYFTDHVSDDHGQDKKGKSARQDAERVFSSDDNDQENNINRESHDSSQHNVSALSPSQVEPNEPRNSSDETGHAFSRRNKRHSKTERNRRHHRERYGDVKQETGHDNRHRHRSDRKRRHHDETSGHKEYQTRN >DRNTG_22941.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16969673:16976694:-1 gene:DRNTG_22941 transcript:DRNTG_22941.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRYFAGKQITCEFIGVTKWKVAICGEYMKSRLKTCSRGTACNFIHCFRNPGGDYEWANWDNPPPKYWIRKMAVLFGTSDEQGHDMQMELESQERPRGSKRRTPINNRRPSTRSTHGEMDYSSSGSDTDVDRNLSNNISYRNDHSSRRKDKTLHKHKHYEDKHRSSSRSQSRKHHSHGHSSRKDDMKELTRGSVKKHRDIDEDYTPKYHKRHKKKHSPTEDMSMQQKEIVFEEQSKSDEYYKELRLPYQYSDYSRDDHHASNYRSSENLFSERNIDVNDRYDGKYCSDYMDSDKENLHRNKLCDRKRSSEERVHERYASNYFTDHVSDDHGQDKKGKSARQDAERVFSSDDNDQENNINRESHDSSQHNVSALSPSQVEPNEPRNSSDETGHAFSRRNKRHSKTERNRRHHRERYGDVKQETGHDNRHRHRSDRKRRHHDETSGHKEYQTRN >DRNTG_22941.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16969287:16976694:-1 gene:DRNTG_22941 transcript:DRNTG_22941.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRYFAGKQITCEFIGVTKWKVAICGEYMKSRLKTCSRGTACNFIHCFRNPGGDYEWANWDNPPPKYWIRKMAVLFGTSDEQGHDMQMELESQERPRGSKRRTPINNRRPSTRSTHGEMDYSSSGSDTDVDRNLSNNISYRNDHSSRRKDKTLHKHKHYEDKHRSSSRSQSRKHHSHGHSSRKDDMKELTRGSVKKHRDIDEDYTPKYHKRHKKKHSPTEDMSMQQKEIVFEEQSKSDEYYKELRLPYQYSDYSRDDHHASNYRSSENLFSERNIDVNDRYDGKYCSDYMDSDKENLHRNKLCDRKRSSEERVHERYASNYFTDHVSDDHGQDKKGKSARQDAERVFSSDDNDQENNINRESHDSSQHNVSALSPSQVEPNEPRNSSDETGHAFSRRNKRHSKTERNRRHHRERYGDVKQETGHDNRHRHRSDRKRRHHDETSGHKEYQTRN >DRNTG_22941.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16969287:16977545:-1 gene:DRNTG_22941 transcript:DRNTG_22941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRYFAGKQITCEFIGVTKWKVAICGEYMKSRLKTCSRGTACNFIHCFRNPGGDYEWANWDNPPPKYWIRKMAVLFGTSDEQGHDMQMELESQERPRGSKRRTPINNRRPSTRSTHGEMDYSSSGSDTDVDRNLSNNISYRNDHSSRRKDKTLHKHKHYEDKHRSSSRSQSRKHHSHGHSSRKDDMKELTRGSVKKHRDIDEDYTPKYHKRHKKKHSPTEDMSMQQKEIVFEEQSKSDEYYKELRLPYQYSDYSRDDHHASNYRSSENLFSERNIDVNDRYDGKYCSDYMDSDKENLHRNKLCDRKRSSEERVHERYASNYFTDHVSDDHGQDKKGKSARQDAERVFSSDDNDQENNINRESHDSSQHNVSALSPSQVEPNEPRNSSDETGHAFSRRNKRHSKTERNRRHHRERYGDVKQETGHDNRHRHRSDRKRRHHDETSGHKEYQTRN >DRNTG_30200.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001546.1:1475:3271:1 gene:DRNTG_30200 transcript:DRNTG_30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKFALALKTKTIEFFADDDDDDAISTVDLDPPPHELLTGQRVVVLKPDPLPRPQTLTLVPSLFSAVASFRAAYLRLQASHAPFDPAAAASADVAAVAHLRRLSDFKRSFFRSSGDASSIPSLSSLLESQVRENQSLLRSFETAVDRLQADIDCKDSEAAVLRSRLRDLDSAVMKLERRLERASSPPDEKVEALLTLSVFDSVLRDSCRGMHRFAKNLMDFMKKSGFDFVSAANSIHPDVNYAKSGHRRYALLSYISLIMLEGFDSQNYSLDEAGIGCNSGDLRFRRKNSLRHFVEHSAVDAFELMEANPGCDFARFCERKHDKLFCSIMESCPSSALVNWGSPGSLHLMNPLYDPFVSMASSVWMLHKLAWAYDPVVEIFQVGRGTEFSNVYMESVVRRIASKRLECGKGQQRPKVAFTVVPGFTVGRTVIQCRVYLDGS >DRNTG_22951.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9945172:9946966:1 gene:DRNTG_22951 transcript:DRNTG_22951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKCLSIIHNSRGHTKQTLPSTSRFTPMLCYHLKAFQKPQGEVLLKRGRRDL >DRNTG_32572.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001858.1:22664:29935:-1 gene:DRNTG_32572 transcript:DRNTG_32572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKVRLIPKRDSERSSHLSQRETTRRSDDNKG >DRNTG_04618.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3148329:3149793:-1 gene:DRNTG_04618 transcript:DRNTG_04618.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTISTVSLPKMPPLLPKFHSPLRSLSVHCSSSSSSSSSASPSPAFDLRHYWTSLISTIDSRLDAAVPLRYPFRVHEAMRYSVLSGGAKRAPSVMCIATFDLIAGHDRRDAAFPTACALEMVHAASLVHDDLPCMDAAALRRGRPSNHALFGVDMAILAGDALFPLAYEHVTSATPLDLVSENAVLQVLTEIARTVGSTGMTAGQFLDLEGSYRSEAEVLNVLERKFGEMAECSAVCGGLLGGAGEEEVRKLRRYGRAVGVLYQLVDDILMEEKEGIGSNGRSLGKMKSNASVVNVVGMDRALQIAEDLIGKAKNELQGFEEKYGERVQPLYSFVDYAVDRWFVIEEAIPASAV >DRNTG_04618.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3148274:3149793:-1 gene:DRNTG_04618 transcript:DRNTG_04618.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTISTVSLPKMPPLLPKFHSPLRSLSVHCSSSSSSSSSASPSPAFDLRHYWTSLISTIDSRLDAAVPLRYPFRVHEAMRYSVLSGGAKRAPSVMCIATFDLIAGHDRRDAAFPTACALEMVHAASLVHDDLPCMDAAALRRGRPSNHALFGVDMAILAGDALFPLAYEHVTSATPLDLVSENAVLQVLTEIARTVGSTGMTAGQFLDLEGSYRSEAEVLNVLERKFGEMAECSAVCGGLLGGAGEEEVRKLRRYGRAVGVLYQLVDDILMEEKEGIGSNGRSLGKMKSNASVVNVVGMDRALQIAEDLIGKAKNELQGFEEKYGERVQPLYSFVDYAVDRWFVIEEAIPASAV >DRNTG_04618.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3148372:3149793:-1 gene:DRNTG_04618 transcript:DRNTG_04618.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTISTVSLPKMPPLLPKFHSPLRSLSVHCSSSSSSSSSASPSPAFDLRHYWTSLISTIDSRLDAAVPLRYPFRVHEAMRYSVLSGGAKRAPSVMCIATFDLIAGHDRRDAAFPTACALEMVHAASLVHDDLPCMDAAALRRGRPSNHALFGVDMAILAGDALFPLAYEHVTSATPLDLVSENAVLQVLTEIARTVGSTGMTAGQFLDLEGSYRSEAEVLNVLERKFGEMAECSAVCGGLLGGAGEEEVRKLRRYGRAVGVLYQLVDDILMEEKEGIGSNGRSLGKMKSNASVVNVVGMDRALQIAEDLIGKAKNELQGFEEKYGERVQPLYSFVDYAVDRWFVIEEAIPASAV >DRNTG_04618.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3148088:3149793:-1 gene:DRNTG_04618 transcript:DRNTG_04618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTISTVSLPKMPPLLPKFHSPLRSLSVHCSSSSSSSSSASPSPAFDLRHYWTSLISTIDSRLDAAVPLRYPFRVHEAMRYSVLSGGAKRAPSVMCIATFDLIAGHDRRDAAFPTACALEMVHAASLVHDDLPCMDAAALRRGRPSNHALFGVDMAILAGDALFPLAYEHVTSATPLDLVSENAVLQVLTEIARTVGSTGMTAGQFLDLEGSYRSEAEVLNVLERKFGEMAECSAVCGGLLGGAGEEEVRKLRRYGRAVGVLYQLVDDILMEEKEGIGSNGRSLGKMKSNASVVNVVGMDRALQIAEDLIGKAKNELQGFEEKYGERVQPLYSFVDYAVDRWFVIEEAIPASAV >DRNTG_04618.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3148372:3149793:-1 gene:DRNTG_04618 transcript:DRNTG_04618.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTISTVSLPKMPPLLPKFHSPLRSLSVHCSSSSSSSSSASPSPAFDLRHYWTSLISTIDSRLDAAVPLRYPFRVHEAMRYSVLSGGAKRAPSVMCIATFDLIAGHDRRDAAFPTACALEMVHAASLVHDDLPCMDAAALRRGRPSNHALFGVDMAILAGDALFPLAYEHVTSATPLDLVSENAVLQVLTEIARTVGSTGMTAGQFLDLEGSYRSEAEVLNVLERKFGEMAECSAVCGGLLGGAGEEEVRKLRRYGRAVGVLYQLVDDILMEEKEGIGSNGRSLGKMKSNASVVNVVGMDRALQIAEDLIGKAKNELQGFEEKYGERVQPLYSFVDYAVDRWFVIEEAIPASAV >DRNTG_04618.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3148274:3149793:-1 gene:DRNTG_04618 transcript:DRNTG_04618.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTISTVSLPKMPPLLPKFHSPLRSLSVHCSSSSSSSSSASPSPAFDLRHYWTSLISTIDSRLDAAVPLRYPFRVHEAMRYSVLSGGAKRAPSVMCIATFDLIAGHDRRDAAFPTACALEMVHAASLVHDDLPCMDAAALRRGRPSNHALFGVDMAILAGDALFPLAYEHVTSATPLDLVSENAVLQVLTEIARTVGSTGMTAGQFLDLEGSYRSEAEVLNVLERKFGEMAECSAVCGGLLGGAGEEEVRKLRRYGRAVGVLYQLVDDILMEEKEGIGSNGRSLGKMKSNASVVNVVGMDRALQIAEDLIGKAKNELQGFEEKYGERVQPLYSFVDYAVDRWFVIEEAIPASAV >DRNTG_11562.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7989666:7994238:1 gene:DRNTG_11562 transcript:DRNTG_11562.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPDLFFNSATANKYLENYDRALRGFEAASLKDPGLKADEEVQKIINLLEKLESSIKGHFKAKRLASLASSLGDINLRSSHRKVSINALAEGLNKAVAVVAKILLFIKHESIAPLYYLACDSDQTCFILSVYGLHSDAVKEGDRVTLLEPCHRNIDFSWKEKHYQFKSIRVDFIQQILVNGKGPAPQHTIRSSIHAQHKA >DRNTG_11562.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7987083:7988495:1 gene:DRNTG_11562 transcript:DRNTG_11562.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVDGEKGEVIQRTAEAADELYRVRDTYFPKDPDEKISKLQSLADAALCLLDSLPPEERESPSQRASYEFLRGKILDVFPDYRKEAEDHLSKA >DRNTG_11562.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7991633:7994238:1 gene:DRNTG_11562 transcript:DRNTG_11562.4 gene_biotype:protein_coding transcript_biotype:protein_coding GHFKAKRLASLASSLGDINLRSSHRKVSINALAEGLNKAVAVVAKILLFIKHESIAPLYYLACDSDQTCFILSVYGLHSDAVKEGDRVTLLEPCHRNIDFSWKEKHYQFKSIRVDFIQQILVNGKGPAPQHTIRSSIHAQHKA >DRNTG_11562.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7987083:7994238:1 gene:DRNTG_11562 transcript:DRNTG_11562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVDGEKGEVIQRTAEAADELYRVRDTYFPKDPDEKISKLQSLADAALCLLDSLPPEERESPSQRASYEFLRGKILDVFPDYRKEAEDHLSKAVKLNPSLADAWLSLGNCIWKKGDLSSAKNCFSLALSKSPNKKILCQLSMLERRMAQGTENQEQLVEESIRHAREAVALDIKDGNSWYNLGNACLTSFFVTGAWDHSKLHQSLKAYQNAEKDELMASSPDLFFNSATANKYLENYDRALRGFEAASLKDPGLKADEEVQKIINLLEKLESSIKGHFKAKRLASLASSLGDINLRSSHRKVSINALAEGLNKAVAVVAKILLFIKHESIAPLYYLACDSDQTCFILSVYGLHSDAVKEGDRVTLLEPCHRNIDFSWKEKHYQFKSIRVDFIQQILVNGKGPAPQHTIRSSIHAQHKA >DRNTG_11562.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7987083:7989101:1 gene:DRNTG_11562 transcript:DRNTG_11562.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVDGEKGEVIQRTAEAADELYRVRDTYFPKDPDEKISKLQSLADAALCLLDSLPPEERESPSQRASYEFLRGKILDVFPDYRKEAEDHLSKAVKLNPSLADAWLSLGNCIWKKGDLSSAKNCFSLALSKSPNKKILCQLSMLERRMAQGKETQALGFIVNYFAHI >DRNTG_11562.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7987083:7991148:1 gene:DRNTG_11562 transcript:DRNTG_11562.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVDGEKGEVIQRTAEAADELYRVRDTYFPKDPDEKISKLQSLADAALCLLDSLPPEERESPSQRASYEFLRGKILDVFPDYRKEAEDHLSKAVKLNPSLADAWLSLGNCIWKKGDLSSAKNCFSLALSKSPNKKILCQLSMLERRMAQGTENQEQLVEESIRHAREAVALDIKDGNSWYNLGNACLTSFFVTGAWDHSKLHQSLKAYQNAEKDELMASSPDLFFNSATANKYLENYDRALRGFEAASLKDPGLKADEEVQKIINLLEKLESSIKVIQY >DRNTG_14705.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20869485:20872174:1 gene:DRNTG_14705 transcript:DRNTG_14705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQWWNKLFPLEPFELDLTTDDTSPACKYDDDVNGITYDLVAAVQRQSSFYPHVSGVTMKDDLFLEEALARYRGFLHLIKKNQSFSCVPTYDIDLLWHSHQLQPVSYYKDTVALLGRLLQHDETDAGADVCTDASQEMELESAFSETRKQWESSYGWSYWRAGATFKDYNPSPVLDSPYQPNTEIKNKITSPENNNYINLVQLKSVEVLLQVVEVKDIPETQQGNLFVAFAKHQDDSFLSGSYVLKIQSETEDQYVASFQCEATGDLVLTLIADSRCKFARSIEPIGKTSISIDKLAGSNSKLFLRDWLQLVGSHQSESTERDTNPISILVVISVSVPVLFLLHMFFT >DRNTG_14525.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:12942813:13001686:1 gene:DRNTG_14525 transcript:DRNTG_14525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKQQIHEPETQSPSATKNENEESKDVNEVEEIFGVWEVGWVEKGVSIPLEHVLGIEVNEHTKHKREISGIVEDVNRKSKPFRNPPIPGLDDSQPKIFPWKPKQCLSAIREHPTLVEEQNLGRSLTPCKNPPMPSLSNSQPKLFPWMPKQFSRLLEGDLTGCGIEVERMLKPSNDPPKPRLHNSRPKLFPWRPKGTMFKVFTSSSSRKYTGPYGGRMHLGRKGTFWLDFLLGIFWETLERLVATLGRRRRARKLEDHPSPRLVKDRMSLVCMHRPWHPTTLKLKQRFKDLLRRCPHHADTSEYAFVVSSGS >DRNTG_04403.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12589828:12590520:1 gene:DRNTG_04403 transcript:DRNTG_04403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISLAIILTTTVVSYGAISLDAAVVAARSTAGGAAIVSSSAGGGRDIIVFLLLAILFKCGTLRTTIPITSSSSKSDTIPLTSSAITGYLSCIRAFLGVAHARAGFLRRRKIRALVDLPLGERRRGYLEEDGLSSCLRASEQRFLHPHSTGLGN >DRNTG_11899.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21485964:21487144:1 gene:DRNTG_11899 transcript:DRNTG_11899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRQRRLALTLDLPVADPASDRRRLLPPLPPPPATSSSSSSSSATDDRISDFEKLSVLGNGNGGTVYKVRHRRTLALYALKLPSASSHSASLRRELELHLRASPHPYILPLLSPIPSPSGDHAFLLELMDLGSLDSLLRRHGPLPEPALASIARRLLLGLAHLHSLQIVHRDIKPANILVNSAGEVKIADFGAGRLLRRSLDTCLSYIGTCAYMSPERFQPAAHGYDGFAGDVWSLGLTVLELRLGRFPLLGEGERADWAALVCAICLGDGTPAEMDGYDASEELKSFVGRCLERDAAKRWTVTELLSHPFVERSDSDECDRVFRELVRTES >DRNTG_06198.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25187907:25190099:-1 gene:DRNTG_06198 transcript:DRNTG_06198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMNVFSLPRGFGFYPTDEELVSHYLKKKSLGQKIDHEIIPEVDIYKFEPWDLPGNFHLL >DRNTG_26670.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1047863:1054306:1 gene:DRNTG_26670 transcript:DRNTG_26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSFFSLSFAEFLNLSSMEIALPRLASLLSNPPSSRFSASRFAKRSLSPYIFHWAQSQNNSNISLCIRALPCLLRSAVMPIRNGDLAVTCDGLCNVLWTLEADIGDGYLIFLTGDLVSLGSWEPDMAVLLSPSKENANLWKTEIKVPCGVHFKYSYFMKKGNNSSNNLVWRPGPDFSLSVPNVSKENEVVVVRDSWLRTRIDRLPAPSWGSWMLDLDLVEHCFKHRVPQASVQGELKMLRNPNGVPSGRKQLSDVAVDDCMLKVRNTTINAGSSENELSEREQPVEEPWLLQAILLSKKDSGESQESLDSDRGKIEYPKKLYQDTETSVLKDEGKTAGTVESASTVILINSSVCTMQRIAVLEDGQLVELLLEPVKNNVQCDSVYLGVVTQFVPHMGGAFVDIGISRPSLMDIKPSREPFVCPPYDGKIIGEAPNSSLNVNPKDQVEAAEHDYNEDDMIDDEFLEDDPAQSIHEDFEEADEDLRIPDALEISKNNDGVIHSSDGESDFEEYELETNHQVEDETTEDLLPSEMDHSIDSDLSNLIQQGLESTHCDTC >DRNTG_26670.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1047863:1053841:1 gene:DRNTG_26670 transcript:DRNTG_26670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSFFSLSFAEFLNLSSMEIALPRLASLLSNPPSSRFSASRFAKRSLSPYIFHWAQSQNNSNISLCIRALPCLLRSAVMPIRNGDLAVTCDGLCNVLWTLEADIGDGYLIFLTGDLVSLGSWEPDMAVLLSPSKENANLWKTEIKVPCGVHFKYSYFMKKGNNSSNNLVWRPGPDFSLSVPNVSKENEVVVVRDSWLRTRIDRLPAPSWGSWMLDLDLVEHCFKHRVPQASVQGELKMLRNPNGVPSGRKQLSDVAVDDCMLKVRNTTINAGSSENELSEREQPVEEPWLLQAILLSKKDSGESQESLDSDRGKIEYPKKLYQDTETSVLKDEGKTAGTVESASTVILINSSVCTMQRIAVLEDGQLVELLLEPVKNNVQCDSVYLGVVTQFVPHMGGAFVDIGISRPSLMDIKPSREPFVCPPYDGKIIGEAPNSSLNVNPKDQVEAAEHDYNEDDMIDDEFLEDDPAQSIHEDFEEADEDLRIPDALEISKNNDGVIHSSDGESDFEEYELETNHQVEDETTEDLLPSEMDHSIDSDLSNLIQQGLESTHCDTC >DRNTG_32907.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1151347:1151985:1 gene:DRNTG_32907 transcript:DRNTG_32907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSINHSLIFIFISISTFIFSGELITISGKPTTTTTTTTDFIRTSCNVTLYPSLCINSLSSYSATVRHDPRQLAHAAISVSADRASAASAFVSRLSANSSKSLSSRDAGAVKDCVETMKDSVDKLRNSVKEMEKILGKVGKREFAWCVSNVQTWVSAALTDESTCMDGLKSMNNKNNGAVLVRVGINKKVVEVVHTTSNALALVNHMGSPTY >DRNTG_13367.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2320610:2323031:1 gene:DRNTG_13367 transcript:DRNTG_13367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLRRSRPISSNSRSFIPMSRTCSPRTGFLPSRKNHEGYIPQSCTAASSLSPSSPPQLPLLLQSRSPVVSAHLTPHDAPQRSEEWFALRKDKLTTSTFSTALGFWKGNRRSELWHQKVFIPDEIVFEAAAKAAMDWGVSREPAAIEQYKAITGREVGSLGFAIHAEARYGWLGASPDGLIGLHPDAGILEVKCPYNKGKPELALPWQVMPYYYMPQVQGQMEIMDRDWVDLYCWTPNGSALFRVLRDRSYWELMHGILRDFWWNNVVPAREAVLMGREDEAKVYEPKPKHGLTGFIIGRSRKLAAEARLLCRDIGGHLEFFQ >DRNTG_22170.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:847184:856679:-1 gene:DRNTG_22170 transcript:DRNTG_22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYFMKRNFQSTAKAFMAEAKVAAEPVAIDAPGGFLLEWWSVFWDIFIARTNEKHSEVAAAYLEAQQLKAKEQQLQMQQLQLIQQRHVQMQRRDANHQSFNGPINAIGSDGILGPSTATVLAAKLYQEQMKHTNGLDSKASPQLLDAGRMAMLNSATNHPGQLIQGNAGNVSAALQQIQARTQQSADIKGEMGVGQRSLPMDPSSMYGQGIIQSKSGIGSAGLSQGVSGMPLKGWPLTGLDQIRPNLGPQMQKPFIPTQNQFQHMSPQQQQQILAQAQGTGNLPNYGDLDPQRFRGLTRANLAGKEGQQGLNDGSVTSVGSPMQSNSPNVRLDQSEHLMKMKPTHMQQSLVQPQQEQMQQQQQLQQTTRKRKQTSSSGAANSTGTGNTVGPSNSAPSTPSTHTPGDGMAMGGNLQHVNNMSKSLMMYGADGAGVLASSSNQMGDLEHFPDVGSLDDNVESFLSHDDADARDIFSALKASPAEHKSELTKGFTFSEVGCTRASNNKIVCCHFSSDGKLLASAGHEKKVFLWNMDTKQTESTPEDHTLIITDVRFRPNSTHLATSSLDRTVRLWNAAEPNFCLHTYSGHTSQVTSLDFHPKKTDLLCSSDGISEIRFWNVGQYSCSHISKCGAAQVRFQPRVGQLLAAAAENTVSIFDVETDRKTLTLQDHNTEVHSVCWDANGEYLASVSQDYVKVWSIVSGECIHELSSNGNKFHSCVFHPTYTSLLVIGGYQSLALWNMVENRTMSVQAHDGLIAALAQSPLTGMVASGSHDKSVKLWK >DRNTG_17672.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24143154:24145167:-1 gene:DRNTG_17672 transcript:DRNTG_17672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFILFILTLFFLSINLFDLQRPLLASPVLSTNSRWIVDETGKRVKLACVNWVSHLEPMLAEGLGKQPLEAITRRVASMGFNCVRLTWPLYLATNDSLANLTVRQSFQSLNLSESIAAIQINNPSLVDLPLIQAFQAVVESLGNNNIMVILDNHISKPGWCCSSFDGNGFFGDKYFDPDLWISGLTRMATLFNGITNVIGMSLRNELRGSRQSISDWYSYMQRGAEAVHAANPNVLVILSGLNFDNDLSFLAKNQVQLTFSGKTVYELHWYGFSDGKAWVNGNPNQVCANVVSNIMRRGGFLLDQGWPLFLSEFGVDQRGTNINDNRYLSCMMGVAAELDLDWALWALQGSYYLREGQLGMDEKYGVLSWDWCSSRNSSLLQRITTLQSPFQGLGLSEVPTYKIIFHPATGLCVLRKSLLQPLELGSCSESEAWMYTDEQSMMLKDSIMCMRADDKGKEVKLSVLCSDSSSKWEMVSASKMHLASMLANSSSNVRLCLDVGMDGISLVTNSC >DRNTG_15615.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25709616:25711198:-1 gene:DRNTG_15615 transcript:DRNTG_15615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTENHPPSLKIYAGADAFGCDLKDALLSHLRSKPGIEVIDLGTDKYYTIAERIGHNVSSAAGKTTSGSETRGLLACGTGVGVSIFANKFPRVYAATCTSVDEAVNTRSINDCNVLSVGGMGTKAEEGVRILDAWLQTPFKAPCPASGGAPWPEDIQAFFDTSTQEMARIPSSVSNCAICCLRKGMEFEPVGIMPGGEMKIVRESPTSAILKFTAGSVEPAHHHTFGHDLLVIKGRKKVWNLTKGEAYELLEGDFLFTPAGDVHRVKYFEDTEFFIRWDGDWDIFLDEDLKAAADAIEKELGGNK >DRNTG_12471.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2785434:2787766:-1 gene:DRNTG_12471 transcript:DRNTG_12471.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYYNYSLCFIFILILLFLTKQNKKKTKLPPSPSLWTMACNLRALMHAPHSTLARLARTHGPLISLHLGHHHTIIASSSTTAESILKTHDRDLSGRVIHPSYQYHLRGFIEHSLVWSKPNDQWRKLRRILHSELLGQRMMKSQAWIREKKVVEMVKGLKERKEGEVVDVGQVVFETMLSLFCELVFSCELDGLGMSGSQFKKDFWRLLELMGMGGFKMEDHVPFLVKLFGGGKRDGDNVEVVVNRVIGSWEGIISKRRNMGSGSGSDFLDILLACGLSEREINVLLTDILIAGTDTATTTIEWAMSELIKHPETLSKLNQELQQVLLLEQEQDQDRCINYVKIIRESHLPHLHHLNACIKETFRLHPPAPLLPHCALESCQLMGYEIPKGYPVIVNLWAIGRDPEVWKNPLEFLPERFYSNDDKEGYWCNNKVEYNGSDFRLIPFGSGRRMCPGIGLAEKVVPLILASLVHGFEWRLPDGMELEDVQMNAKFGLTLKKDPPLLLLHKVKN >DRNTG_20984.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7313484:7315451:1 gene:DRNTG_20984 transcript:DRNTG_20984.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQSCFKRRKPAQLSKGGIYQKPTRDRDSIKSTLVQLIRQRGEEENFVKLLMVYLMGTVLFPNTSCSVLNWIVDYVDDLPVMGRYAWAQTTHKWLMEDIPQAVARVQDRCAGKKTNTGFPRIVTRVETLEGRSQSTASSLQRNEAPGTNETSEFDDDDIIRVAIPRRPHSKRLAKKRRTIMPMSPPPVDDETIATPSAADAVTESVVVDDMAVTVEEIVDDVAIAVVEKIIYSVVNEIPDPVEPAAENAALKMDTIPKEQEQAKVVSPVDVVAVATIEKIVESVAVAVADSTASKPDTFPQQQEACKDMSAVNVVVVPASKPDTIPQQQKACKDMYAVDAVVVPISKEDAAGTEHRQGSTTVPHDDPDQATRDMIKANKKLDEKARKVFVPKKKKMGWPIAS >DRNTG_34869.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21945731:21949547:1 gene:DRNTG_34869 transcript:DRNTG_34869.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALQAIASATQIVYSMIGAVSALEQASRNLSEAPNRIRVLDEFVSDLENLTRHIKLKHAHKLHNPQLDRQFSSLTGLIERLHLNLSKARRVVAKNKAKNFAKVVWSSMVGDPLSKLIQLIRDDLNWWLELQKLTENVERVIESTAENAPFLLKVSAEQGYPVLNKCNYVRKLLEQDETHRVILLVGLSGIGKSCLARHVAADPPGRFVHGAVELGFGQWCSRAACNGSRNEYHKRLAKRLGTFLVQIGFMKKVKNETNGDLEDMCYLLQTALVGKKLLVLLDDVWEQDIVERFTKLYDNDCKYLVTTRNEAVFEITEAEKVEICKEDIKEISREILLYHSLLSNEELPYVAETLLDRCGHHPLTVAVMGKALRNETRVEKWDKAISDLSTYATCAPGPVSYVNEKEAKNDTLTIFGSFEFSLEAMPENSHKFFIVLASVCWAEPVPEACLEALWSVLGQESLFSLVICKLVEGSLLIKMDAHMLYHVHDMVSLYLENKTDDSIRSLLLESSLKNIASISPWLYIFGKENVRMISEDKMKFLLTVSQEHQSEAAICLETIVHALMASKSISEFEASRKSFSIILCPRVAKLICTGSKVIIVAAANAITIIFTQEEYCECAPFFEDIGALDNLISVLEDSDSPSTQAIISSVIAKLAEHGSSITVSKVLPSIPMNQLGELLAPDAVEWHETIFTTLMSLTNAGKTKAVERMIASGVDKKLLILLENGSDVAQHNALILLKTFCELGGSFRLVTLNLLPWNARLSLERYFVSDRNILLSPKPQTFEDTLNKIITGDDDKQVLDAMQDLMMFVEKASEPRILDMILQSSMIERLALLLQPTFSEHNRIRSESAFLLMKLACAGGEPIICKILEQDIIHDLVKMMQCNISELQDVAYTTLHQIVFGKGGTLVLNRLLKTGLIERIIHSLDSNKSHKTREVCVLFLVDIIEVGSKSCIERMLALQVVEKLVNVEKTGGIFKGTIMKFLKGLDMCKNLSPAERRVMKQQVVRKVRSVIKGHKLEASLIASIESSLSESSRGASSSKPRKYL >DRNTG_34869.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21945731:21949547:1 gene:DRNTG_34869 transcript:DRNTG_34869.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALQAIASATQIVYSMIGAVSALEQASRNLSEAPNRIRVLDEFVSDLENLTRHIKLKHAHKLHNPQLDRQFSSLTGLIERLHLNLSKARRVVAKNKAKNFAKVVWSSMVGDPLSKLIQLIRDDLNWWLELQKLTENVERVIESTAENAPFLLKVSAEQGYPVLNKCNYVRKLLEQDETHRVILLVGLSGIGKSCLARHVAADPPGRFVHGAVELGFGQWCSRAACNGSRNEYHKRLAKRLGTFLVQIGFMKKVKNETNGDLEDMCYLLQTALVGKKLLVLLDDVWEQDIVERFTKLYDNDCKYLVTTRNEAVFEITEAEKVEICKEDIKEISREILLYHSLLSNEELPYVAETLLDRCGHHPLTVAVMGKALRNETRVEKWDKAISDLSTYATCAPGPVSYVNEKEAKNDTLTIFGSFEFSLEAMPENSHKFFIVLASVCWAEPVPEACLEALWSVLGQESLFSLVICKLVEGSLLIKMDAHMLYHVHDMVSLYLENKTDDSIRSLLLESSLKNIASISPWLYIFGKENVRMISEDKMKFLLTVSQEHQSEAAICLETIVHALMASKSISEFEASRKSFSIILCPRVAKLICTGSKVIIVAAANAITIIFTQEEYCECAPFFEDIGALDNLISVLEDSDSPSTQAIISSVIAKLAEHGSSITVSKVLPSIPMNQLGELLAPDAVEWHETIFTTLMSLTNAGKTKAVERMIASGVDKKLLILLENGSDVAQHNALILLKTFCELGGSFRLVTLNLLPWNARLSLERYFVSDRNILLSPKPQTFEDTLNKIITGDDDKQVLDAMQDLMMFVEKASEPRILDMILQSSMIERLALLLQPTFSEHNRIRSESAFLLMKLACAGGEPIICKILEQDIIHDLVKMMQCNISELQDVAYTTLHQIVFGKGGTLVLNRLLKTGLIERIIHSLDSNKSHKTREVCVLFLVDIIEVGSKSCIERMLALQVVEKLVNVEKTGGIFKGTIMKFLKGLDMCKNLSPAERRVMKQQVVRKVRSVIKGHKLEASLIASIESSLSESSRGASSSKPRKYL >DRNTG_34869.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21945731:21949547:1 gene:DRNTG_34869 transcript:DRNTG_34869.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDALQAIASATQIVYSMIGAVSALEQASRNLSEAPNRIRVLDEFVSDLENLTRHIKLKHAHKLHNPQLDRQFSSLTGLIERLHLNLSKARRVVAKNKAKNFAKVVWSSMVGDPLSKLIQLIRDDLNWWLELQKLTENVERVIESTAENAPFLLKVSAEQGYPVLNKCNYVRKLLEQDETHRVILLVGLSGIGKSCLARHVAADPPGRFVHGAVELGFGQWCSRAACNGSRNEYHKRLAKRLGTFLVQIGFMKKVKNETNGDLEDMCYLLQTALVGKKLLVLLDDVWEQDIVERFTKLYDNDCKYLVTTRNEAVFEITEAEKVEICKEDIKEISREILLYHSLLSNEELPVWKQ >DRNTG_08021.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30131487:30137171:1 gene:DRNTG_08021 transcript:DRNTG_08021.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein phosphatase 2A regulatory subunit B'' subunit TON2 [Source:Projected from Arabidopsis thaliana (AT5G18580) UniProtKB/Swiss-Prot;Acc:Q9FEE2] MYGGSSDGEGGGHDVASAAATAPQKKIPPASSMPWVRNLRRYIGTGAGLGSEALMELETKRILLEIFKDRQQKNAEAGSIPSFYKKKPEEGSISHRVQRLAKYRFLKSDLLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIASVCTEQIGPKCRRFFSPSNFMKFEKDEAGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQSHEMEAYIRGLIPNLAQLRDMPPAFIQMYCRIAAHKFFFFCDPHRRGKACIKKVLLSNCLQELMELHQESEEEATDTEQAENWFSLTSAQRICDMFLALDKDMNGTLSKQELKEYADGTLTEIFVERAFDEHVRRGKHGGGNAREMDFESFLDFVLALENKDTPEGLTYLFRCLDLQGRGFLTTADIHTLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPADPLRITLADLLACKQGGTVASMLIDVRGFWAHDNRENLLQEEEEPEEE >DRNTG_00281.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1901622:1903337:1 gene:DRNTG_00281 transcript:DRNTG_00281.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQALQKSVNEKEEEDQALIRSIFQ >DRNTG_00281.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1900989:1901690:1 gene:DRNTG_00281 transcript:DRNTG_00281.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFWNSQTYLGIKIFRFYLKCTKCSAEITCMTDPRNSDYVVESGATRNFEPWRDEDEATETAKRKREEEEMGDAMKSLENRAMDSKQDMDILAALEEMKSMKSRHATVSIDLMLQALQKSVNEK >DRNTG_00281.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1900078:1904859:1 gene:DRNTG_00281 transcript:DRNTG_00281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVVNKYYPPDFDPAKIPRRRQPKNKQIKVRMMLPMSIRCSTCGTYIYKGTKFNSRKEDVIGEALSLIQTYLGIKIFRFYLKCTKCSAEITCMTDPRNSDYVVESGATRNFEPWRDEDEATETAKRKREEEEMGDAMKSLENRAMDSKQDMDILAALEEMKSMKSRHATVSIDLMLQALQKSVNEKEEEDQALIRSIFQESSRSYLRRIDDDAEVLHQPSSIAGDASNSDTKNKHASEILARVGNPTDTLSKTSIFNASKSGDTVGASLPLKPKFMLKPKTVSSDVRKKPRTDPPENNKLKDANKNDEKEDDTPTGLLSLFQNYDSDES >DRNTG_00281.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1900078:1901167:1 gene:DRNTG_00281 transcript:DRNTG_00281.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVVNKYYPPDFDPAKIPRRRQPKNKQIKVRMMLPMSIRCSTCGTYIYKGTKFNSRKEDVIGEALSLIQTYLGIKIFRFYLKCTKCSAEITCMTDPRNSDYVVESGATRNFEPWRDEDE >DRNTG_00281.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1900078:1901690:1 gene:DRNTG_00281 transcript:DRNTG_00281.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVVNKYYPPDFDPAKIPRRRQPKNKQIKVRMMLPMSIRCSTCGTYIYKGTKFNSRKEDVIGEALSLIQTYLGIKIFRFYLKCTKCSAEITCMTDPRNSDYVVESGATRNFEPWRDEDEATETAKRKREEEEMGDAMKSLENRAMDSKQDMDILAALEEMKSMKSRHATVSIDLMLQALQKSVNEK >DRNTG_14186.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20253085:20257523:1 gene:DRNTG_14186 transcript:DRNTG_14186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVVVSPSEHASVVSITLFVALLCACIVIGHLLEESRWMNESITALAIGLCTGGVFLFTTKGRSSRVLVFSEELFFIYLLPPIIFNAGFQVKKKQFFRNFMTIMLFGAIGTLISFFIISLGALGLIRRIDIGLLDIGDYLAIGAILSATDSVCTLQVLNQDEIPLLYSLVFGEGVVNDATSVVLFNAIQNYGQEHIDVVVVLRFFANFFYLFLTSTALGAFAGLFSAYIIKKLYFGRHSTDREVALMMLLAYLSYMLAEFLNLSSILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFVAEIFIFLYVGMDALDIEKWTFVSNSPGKSIGVSSILLGLVLAGRAAFVFPLSFLSNLTKKSPDEKISFNQQVTIWWAGLMRGAVSMALAYNQFTRSGHTQLPGNAIMITSTITVVLVSTTIFGLMTKPLIRLLLPSSQKHGDCLSLEPSSSKFFLQPLLGNEQDSEVEVRGQNITRPTSLRMLLSKPSHTVHYYWRKFDDAFMRPVFGGRGFVSVLPTSPTDQHDHS >DRNTG_14186.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20254489:20257523:1 gene:DRNTG_14186 transcript:DRNTG_14186.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLAYLSYMLAEFLNLSSILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFVAEIFIFLYVGMDALDIEKWTFVSNSPGKSIGVSSILLGLVLAGRAAFVFPLSFLSNLTKKSPDEKISFNQQVTIWWAGLMRGAVSMALAYNQFTRSGHTQLPGNAIMITSTITVVLVSTTIFGLMTKPLIRLLLPSSQKHGDCLSLEPSSSKFFLQPLLGNEQDSEVEVRGQNITRPTSLRMLLSKPSHTVHYYWRKFDDAFMRPVFGGRGFVSVLPTSPTDQHDHS >DRNTG_14186.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20256150:20257523:1 gene:DRNTG_14186 transcript:DRNTG_14186.4 gene_biotype:protein_coding transcript_biotype:protein_coding DLNCKLGVLVIFFIILYSYADQHWFVLLCSPGKSIGVSSILLGLVLAGRAAFVFPLSFLSNLTKKSPDEKISFNQQVTIWWAGLMRGAVSMALAYNQFTRSGHTQLPGNAIMITSTITVVLVSTTIFGLMTKPLIRLLLPSSQKHGDCLSLEPSSSKFFLQPLLGNEQDSEVEVRGQNITRPTSLRMLLSKPSHTVHYYWRKFDDAFMRPVFGGRGFVSVLPTSPTDQHDHS >DRNTG_14186.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20253085:20253957:1 gene:DRNTG_14186 transcript:DRNTG_14186.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVVVSPSEHASVVSITLFVALLCACIVIGHLLEESRWMNESITALAIGLCTGGVFLFTTKGRSSRVLVFSEELFFIYLLPPIIFNAGFQVKKKQFFRNFMTIMLFGAIGTLISFFIISLGMILIWFYLSKRKT >DRNTG_14186.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20253805:20256487:1 gene:DRNTG_14186 transcript:DRNTG_14186.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMLFGAIGTLISFFIISLGALGLIRRIDIGLLDIGDYLAIGAILSATDSVCTLQVLNQDEIPLLYSLVFGEGVVNDATSVVLFNAIQNYGQEHIDVVVVLRFFANFFYLFLTSTALGAFVSFLPSSCHYLRKFLSL >DRNTG_00795.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8152756:8153345:-1 gene:DRNTG_00795 transcript:DRNTG_00795.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDLSIPILSPSIPNSNNDQQAIGVDDMLRLYAGEFGPWQLRNFIFTSIAWALNALHTMVVIFADREPTWSCTAELRCSQSMCALIPGTSTAAEWGLVCDSKYKVGLVQSAFFAGSMVGKLFTVALSFVLAISACILF >DRNTG_00795.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8129521:8153345:-1 gene:DRNTG_00795 transcript:DRNTG_00795.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDLSIPILSPSIPNSNNDQQAIGVDDMLRLYAGEFGPWQLRNFIFTSIAWALNALHTMVVIFADREPTWSCTAELRCSQSMCALIPGTSTAAEWGLVCDSKYKVGLVQSAFFAGSMVGAGIFGHFSDNFLGRKGTLTLTCIINTISGILTSFSPSYGAYMALRFITGISTGGLGLCAFLLATEPVGPTKRGAIGTSTFYFFSIGTILLSILAYISSSWRTLYLVSSIPSLLFLITTIPFISESLRWHLVHNNTSRAMKIIMSIAKCNGNYIPNHITLTLDHTVANESTPDQQAISSSIITVLKSPITRTRLLFVTIINLFSSVVYYGLSLNVVNLKTNLYLSVILNAIAEAPAYALTSIALRWCGRRPLTIGTMWLSGVFCVLGSLFMVDGDARMVCGVMGVFAMAATFDLLFVYTAELFPTVVRNAVLGCVTQAGQIGAIVAPLVVALGGQWPFIVFGACGLIGGVLGFYLPETFNQPLYDTMVGLEKGDLEKEKEGG >DRNTG_07981.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000356.1:13737:14790:1 gene:DRNTG_07981 transcript:DRNTG_07981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYPRLARAHAAYSELPAFQAALPERQPDYPT >DRNTG_31110.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001666.1:100978:107247:-1 gene:DRNTG_31110 transcript:DRNTG_31110.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] 3 [Source:Projected from Arabidopsis thaliana (AT5G18100) UniProtKB/Swiss-Prot;Acc:Q9FK60] MPAVASSPPTLKGIALIAGGDSENSAVRGSLQFIQDNTTGFTHVIGKITGLKPGPHGFHIHCYGDTTNGCNSTGPHFNPLNKAHGAPHDDQRHAGDLGNIFANQDGMAEVNLKDLQIPLSGPNSILGRAVVVHADPDDLGRGGHELSKSTGNAGARIG >DRNTG_31110.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001666.1:100978:107247:-1 gene:DRNTG_31110 transcript:DRNTG_31110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] 3 [Source:Projected from Arabidopsis thaliana (AT5G18100) UniProtKB/Swiss-Prot;Acc:Q9FK60] MPAVASSPPTLKGIALIAGGDSENSAVRGSLQFIQDNTTGFTHVIGKITGLKPGPHGFHIHCYGDTTNGCNSTGPHFNPLNKAHGAPHDDQRHAGDLGNIFANQDGMAEVNLKDLQIPLSGPNSILGRAVVVHADPDDLGRGIIGLRPAI >DRNTG_31110.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001666.1:100978:107247:-1 gene:DRNTG_31110 transcript:DRNTG_31110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] 3 [Source:Projected from Arabidopsis thaliana (AT5G18100) UniProtKB/Swiss-Prot;Acc:Q9FK60] MPAVASSPPTLKGIALIAGGDSENSAVRGSLQFIQDNTTGFTHVIGKITGLKPGPHGFHIHCYGDTTNGCNSTGPHFNPLNKAHGAPHDDQRHAGDLGNIFANQDGMAEVNLKDLQIPLSGPNSILGRAVVVHADPDDLGRGGHELSKSTGNAGARIGCGIIGLRPAI >DRNTG_18401.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3933110:3935660:1 gene:DRNTG_18401 transcript:DRNTG_18401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKERTRLRGRKSGGRTSQTHSNIERSSNADSVPFSNAPIPSVEETLNGIGSQQQNQNVVAVTDTQANYGSTQVASTIVRRLCITVVNRFKSIKYIETSNAWTAFRDNLA >DRNTG_27831.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19645647:19652964:1 gene:DRNTG_27831 transcript:DRNTG_27831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVFAKLRNLDAYPKVNEDFYSKTLSGGLITIASSIIMFLLFISELRLYLHSVTETKLVVDTSRAETLRINFDVTFPALACSMLSVDAMDISGEEHLDVKHDIIKKRIDSHGNVIETRQDGIGAPEIEKPLQKHGGRLEKNETYCGSCYGAETADEQCCNSCEDVREAYRKKGWGLSNPDVIDQCKREGFLQRIKDEDGEGCNVYGFLEVNKVAGNFHFAPGKSFQQSNVHVHDLLPFQKDSFNVSHKIHSLAFGERFPGVVNPLDGVEWMQWTPTGMYQYFLKVVPTVYTDINGHVIHSNQFSVTEHFKGDAAGRIQSLPGVFFFYDLSPIKVTFTELHVPFLHFLTNVCAIVGGIFTVSGILDAFIYHSERAIKKKMDLGKLT >DRNTG_26249.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1819781:1820619:-1 gene:DRNTG_26249 transcript:DRNTG_26249.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLGKSGRCIYVMSGDTIIAIGEFDEQGKCVVDHDNNLIIIHPDILLSGTRVASSFNCPRRTVLDERLKCNEHSTSALVGTMLHQIFQ >DRNTG_26249.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1820827:1822289:-1 gene:DRNTG_26249 transcript:DRNTG_26249.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKRATSSSSSRKPNQPNQPSQPSKFGIQHFFERHSQSQIAAVSSTNPNPNPDRPESTLSPGDQIQPLPAPAGADVVENVAEISPEVSKSVSQKRFKFSPGMLIKQSQDDGGDEISWKISPMTERLKSLAEKKLPEAIKFSWDAARTGVPSIHSCSQKQRTSCPVSKPGKLPVSTTAVEAVAGNDHVSELQSPFRTPPSVSCGPCESIGGVTCNGVPDQAGSWQHRKALLELLDQVEDAISEESDPVGSTICTDYNLINNKMDIEPDAIKKGLLRSPIQKVIGGDCSDETFLVLE >DRNTG_26249.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1813565:1822289:-1 gene:DRNTG_26249 transcript:DRNTG_26249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFLYWRSWRGMQVQMGHVIILSRFSVCLMNKLGKSGRCIYVMSGDTIIAIGEFDEQGKCVVDHDNNLIIIHPDILLSGTRVASSFNCPRRTVLDERLKCNEHSTSALVGTMLHQIFQAGLLKELPTRQFLEEYAGIVLKRNIESLYSCGANVEDVYSTLTDAIHKMLNWISCFRATEKSKTAMVDFGDCNIQKTVHITEVLDIEEIAWAPRYGLKGIIDASVRTKIHSSNGGSSEKIMPLEFKTGKRTSGQSAMEHSAQVILYTILMSERYSKEDIDSGLLYYLYTDQTRGIEVQRSDLVGLIMRRNELATDILKASSFQRFPPMLKNPILCKGCRQLNSCMIYHKAHGGNSESSGVGDLFNGHAEHLTVAYSNFLKHWDRLIDLEARSTQVAKKELLLRRSFRGGDNGNSISYTLDAMFGFLADGLPINGRFMYHFVRQNLSASSAGNMSGDQLDTVARSSNSDCSLRCGDYVV >DRNTG_26249.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1813565:1819893:-1 gene:DRNTG_26249 transcript:DRNTG_26249.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQIFQAGLLKELPTRQFLEEYAGIVLKRNIESLYSCGANVEDVYSTLTDAIHKMLNWISCFRATEKSKTAMVDFGDCNIQKTVHITEVLDIEEIAWAPRYGLKGIIDASVRTKIHSSNGGSSEKIMPLEFKTGKRTSGQSAMEHSAQVILYTILMSERYSKEDIDSGLLYYLYTDQTRGIEVQRSDLVGLIMRRNELATDILKASSFQRFPPMLKNPILCKGCRQLNSCMIYHKAHGGNSESSGVGDLFNGHAEHLTVAYSNFLKHWDRLIDLEARSTQVAKKELLLRRSFRGGDNGNSISYTLDAMFGFLADGLPINGRFMYHFVRQNLSASSAGNMSGDQLDTVARSSNSDCSLRCGDYVV >DRNTG_12617.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20464323:20476925:1 gene:DRNTG_12617 transcript:DRNTG_12617.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTSKDVGRQVRSRTSEVDDGDDGDDDSESEAARIRDQEARERLERNIRERDAAGTRKLMEPKVSKKEEEEMIRRSAAMEQDDTSDLRVVSRQMYLQKRREKKLLELRDDIIDHEYLFEGVKLTEAEEREFRYKKQIFELAKEHVENLDDIDEYRMPDAYDQEGGVNQEKRFAAAVQRYKDSAVGDKMNPFAEQEAWEEHQIGKATLKFGSKNKKQAVDDYQYVFEDGIDFIKESVMDGVQYEDALDGETPEDVAAKSALQKIQDDRKTLPIYPYREELLQAVNDHQVLVIVGETGSGKTTQIPQYLHEAGYTKHGKVGCTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTILKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKDISRFRKDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRFPVDIHFTKAPEADYIDAAIVTVLQIHVTQPPGDILVFLTGQEEIETVDEILKHRTRGLGTKIAELIICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVVDPGFCKIKSYNPRTGMESLQINPISKASAMQRAGRSGRTGPGKCFRLYTAYNYHHDLEDNTVPEIQRTNLANVVLTLKSLGIHDLVNFDFMDPPPSEAILKALELLFALSALNSKGELTMVGRRMAEFPLDPMLSKMIVASDKYKCSDEIISIAAMLSVGNSIFYRPKDKQVHADNARLNFHTGNVGDHIALLNVYNSWKETNFSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIEITASPNDLDAVKKAITSGFFHHSARLQKTGAYKTVKNPQTVYIHPSSGLAQVLPRWVIYHELVLTAKEYMRQVIELKPDWLVEIAPHYYKLKDVEDTGSKKMPRGQGRAAMD >DRNTG_12617.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20464323:20476925:1 gene:DRNTG_12617 transcript:DRNTG_12617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESYLKTWVSDKLMTLMGYSKSVVVQYVIRLSKESSSPSDLLSKLLEYGFSSSADTRSFAEEIFAKVPHRQSGMSDYQKQEKEAALFAKKQMSYKLLDADDDDDEGAGSVTATSAASQPGKKESHRKRFRRKNETQDDEDDEVTTSKDVGRQVRSRTSEVDDGDDGDDDSESEAARIRDQEARERLERNIRERDAAGTRKLMEPKVSKKEEEEMIRRSAAMEQDDTSDLRVVSRQMYLQKRREKKLLELRDDIIDHEYLFEGVKLTEAEEREFRYKKQIFELAKEHVENLDDIDEYRMPDAYDQEGGVNQEKRFAAAVQRYKDSAVGDKMNPFAEQEAWEEHQIGKATLKFGSKNKKQAVDDYQYVFEDGIDFIKESVMDGVQYEDALDGETPEDVAAKSALQKIQDDRKTLPIYPYREELLQAVNDHQVLVIVGETGSGKTTQIPQYLHEAGYTKHGKVGCTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTILKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKDISRFRKDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRFPVDIHFTKAPEADYIDAAIVTVLQIHVTQPPGDILVFLTGQEEIETVDEILKHRTRGLGTKIAELIICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVVDPGFCKIKSYNPRTGMESLQINPISKASAMQRAGRSGRTGPGKCFRLYTAYNYHHDLEDNTVPEIQRTNLANVVLTLKSLGIHDLVNFDFMDPPPSEAILKALELLFALSALNSKGELTMVGRRMAEFPLDPMLSKMIVASDKYKCSDEIISIAAMLSVGNSIFYRPKDKQVHADNARLNFHTGNVGDHIALLNVYNSWKETNFSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIEITASPNDLDAVKKAITSGFFHHSARLQKTGAYKTVKNPQTVYIHPSSGLAQVLPRWVIYHELVLTAKEYMRQVIELKPDWLVEIAPHYYKLKDVEDTGSKKMPRGQGRAAMD >DRNTG_12617.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20464323:20476925:1 gene:DRNTG_12617 transcript:DRNTG_12617.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTILKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKDISRFRKDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRFPVDIHFTKAPEADYIDAAIVTVLQIHVTQPPGDILVFLTGQEEIETVDEILKHRTRGLGTKIAELIICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVVDPGFCKIKSYNPRTGMESLQINPISKASAMQRAGRSGRTGPGKCFRLYTAYNYHHDLEDNTVPEIQRTNLANVVLTLKSLGIHDLVNFDFMDPPPSEAILKALELLFALSALNSKGELTMVGRRMAEFPLDPMLSKMIVASDKYKCSDEIISIAAMLSVGNSIFYRPKDKQVHADNARLNFHTGNVGDHIALLNVYNSWKETNFSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIEITASPNDLDAVKKAITSGFFHHSARLQKTGAYKTVKNPQTVYIHPSSGLAQVLPRWVIYHELVLTAKEYMRQVIELKPDWLVEIAPHYYKLKDVEDTGSKKMPRGQGRAAMD >DRNTG_18309.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2547827:2550829:-1 gene:DRNTG_18309 transcript:DRNTG_18309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVLTIKRVPTMVSNYQEEAGQELGCGRNCLGECCLLVSKLPLYAIGSEADGNELPAGFFLNTLLLGQWEDRMSRGLFRYDVTACETKVIPGEHGFIAQLNEGRHLKKRPTEFRVDRVLQSFDPSKFNFTKVGQEEVLFRFEASADGKTQYFERALVDKNHSPSVLAINVSPIEYGHVLLIPRVLDCLPQRIDPENFLLALHMAVEAGSPYFRLGYNSLGAFATINHLHFQAYYLSVPLPVEKVPTQAIPISKGLEKSGVKISYLLNYPVRTLVYEGGNSLKDLADLVATSCLCLQENNIPFNVLISDSGRRIFLFPQCYAEKQALGEVSQEILDTQVNPAVWEISGHMVLKRKVDYEGASEEYAWRILAEVSLSEERFQEVQAYIFNAAGLVESGKQENDANEKKTPIPTSQPLPTPYPEKCLVLQ >DRNTG_32214.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31491664:31494573:-1 gene:DRNTG_32214 transcript:DRNTG_32214.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPPTGNGEGTDFYNAMRKELRNAVEEIRTKLDKVNVRNGTTALAIDEDMQSKTSSTVHAIAQIRRKFTTEMEQSEKRKQELLAELAAEEERGHELTKIVRELLPSPKQTADMEKPSQPRRSKDRRTRMSQCLTEEAEKYFEDFLSNVEDTDISSFDGERSDTSSGLGAGGKLSDSRMQQGTGEIHAGMPTAASSLPVVTDGVVLPWLQWEASSKSPPSFKSKVVVPTSSGKNLFPESQVTITFSF >DRNTG_32214.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31491664:31495392:-1 gene:DRNTG_32214 transcript:DRNTG_32214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAFRSTTKRSSIGRAGQDTASSAARRRSRSLSRGPSRFPPSPPEPSEIPTPRGKFVNKARGSGFPEISLDDLADEYFRSKFEDEITPPDRRSSRRMSEISPRMENGEINRQRGRSVSRRGVDGKAFANGKKEEGFLENSAPRRRQRSLSVARHRCSDSESYSSTLTDDEARDACNNNNKTEKIIQASYSQKMTEPPTGNGEGTDFYNAMRKELRNAVEEIRTKLDKVNVRNGTTALAIDEDMQSKTSSTVHAIAQIRRKFTTEMEQSEKRKQELLAELAAEEERGHELTKIVRELLPSPKQTADMEKPSQPRRKSKDRRTRMSQCLTEEAEKYFEDFLSNVEDTDISSFDGERSDTSSGLGAGGKLSDSRMQQGTGEIHAGMPTAASSLPVVTDGVVLPWLQWEASSKSPPSFKSKVVVPTSSGKNLFPESQEQSPALGNSNHFTSSRGSWSPECNGNSSVVSKDMRGCQSGEVGSHSMSRFSISSSKGSSFDMDEYLSLQRSEDLLFESLRQRQRIDSGGLILCARSLI >DRNTG_01512.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:13879481:13881849:1 gene:DRNTG_01512 transcript:DRNTG_01512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPDLYEGLIDQDDVNEELMMFGLKEKVLPTPGIMKNMLRKMKRVRRRHKKCPKAVCDVHEWNKGDKPLSAHLEKAQGCGFAPVNDLTWEGGLAPVDDLVISTWAWVISARPCGSLKRSSLPSQEYTGRRHRSVGMPLWVGRIGAGILRTPMCMRSERLECFPESAQGRALAPVKLSCEATQAWSLDHLPDLAPLVLRVFIQSPCRFQSFHTHFVGMAPCSKKQEGKHPRHNPSELAHIEFSNPEHQTQFERLSRLRFGQSHFVDLSALSEVQIGDEWADEIDGLFTIGDWRRLFSIREPAIWPLTLDVLASFEFEQPYGRFDIIDVIQFRAFGRQFSMSVTEFYYYEKGVSKATCLSRPSYRYLSSVLSRSVNGRGDNTDFLRYQGQNRRVGVLFAGPYITRIIQRMGLVDAIRGAKKTVVPAFLGLDTIKMMGLVLRYGPGAYILTTSTIKNQEGGGDVAEGSAPMETEIPGHTLTLS >DRNTG_31823.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001751.1:2669:4288:1 gene:DRNTG_31823 transcript:DRNTG_31823.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLVLLLITPSTNAKCSFPAIFNFGDSNSDTGGFWAAFPAERYPFGMTYFKKPSGRASDGRLVIDFLAQGLGMPFISPYLQSIGTNFRHGANFATLASTVLLPNTSLFVSGISPFSLAIQLNQMKEFRTRVLEINPQGNNHRLPPKDIFSKSLYIFDIGQNDFTGNLAALGILGVKQYLPQVASQIAYTIKVTQAKPLNVVILAHGSDIILDVNPGHIQ >DRNTG_31823.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001751.1:2669:4288:1 gene:DRNTG_31823 transcript:DRNTG_31823.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLVLLLITPSTNAKCSFPAIFNFGDSNSDTGGFWAAFPAERYPFGMTYFKKPSGRASDGRLVIDFLAQGLGMPFISPYLQSIGTNFRHGANFATLASTVLLPNTSLFVSGISPFSLAIQLNQMKEFRTRVLEINPQGNNHRLPPKDIFSKSLYIFDIGQNDFTGNLAALGILGVKQYLPQVASQIAYTIKDIYSELGGRTFMVFNLAPIGCFPAFLTELPHNTSDLDMYGCMMSYNNAVLDYNLMLKDTLSQIRDQLPDATLVYVDTHSVKLKLFQHPKDHGLLNFTFCSIVNVYI >DRNTG_31823.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001751.1:2669:4288:1 gene:DRNTG_31823 transcript:DRNTG_31823.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLVLLLITPSTNAKCSFPAIFNFGDSNSDTGGFWAAFPAERYPFGMTYFKKPSGRASDGRLVIDFLAQGLGMPFISPYLQSIGTNFRHGANFATLASTVLLPNTSLFVSGISPFSLAIQLNQMKEFRTRVLEINPQGNNHRLPPKDIFSKSLYIFDIGQNDFTGNLAALGILGVKQYLPQVASQIAYTIKDIYSELGGRTFMVFNLAPIGCFPAFLTELPHNTSDLDMYGCMMSYNNAVLDYNLMLKDTLSQIRDQLPDATLVYVDTHSVKLKLFQHPKDHGLLYGTKACCGYGGESIISIEKVYCGNSKIIDGKHVTANACKDPDKYVSWDGIHATEAANKLVAWAVLNGSISDPVFPLF >DRNTG_26007.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23099579:23102927:-1 gene:DRNTG_26007 transcript:DRNTG_26007.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast J-like domain 1 [Source:Projected from Arabidopsis thaliana (AT1G08640) UniProtKB/TrEMBL;Acc:Q93WG3] MATATANAFFCTAPRVRFCRSSLPTRPFLVSVRFSRVSSRQQLSMVCRASSAAGNPYAGNDDNPYEVLGVSPIAGFDMMKTSYQRKRKEAERRGDEATVARLEKAYDQIMMSQLSNRKKGVTFGSFQVSKDIKYADKQPIVPWGPRASKSSLRDMQINMALAAVFTIWILIKRNAEWKPLQFLAFIFFYRIFEKLKAFEPVTTPVLDEYGEDENRGLRMGKRLLRSLSLVFGCIAVSSLGYTGILNLIEFLGRSIPLFLYNNQELFVTTATAIMLYIMASYYR >DRNTG_26007.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23099579:23102927:-1 gene:DRNTG_26007 transcript:DRNTG_26007.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast J-like domain 1 [Source:Projected from Arabidopsis thaliana (AT1G08640) UniProtKB/TrEMBL;Acc:Q93WG3] MATATANAFFCTAPRVRFCRSSLPTRPFLVSVRVFRFSRVSSRQQLSMVCRASSAAGNPYAGNDDNPYEVLGVSPIAGFDMMKTSYQRKRKEAERRGDEATVARLEKAYDQIMMSQLSNRKKGVTFGSFQVSKDIKYADKQPIVPWGPRASKSSLRDMQINMALAAVFTIWILIKRNAEWKPLQFLAFIFFYRIFEKLKAFEPVTTPVLDEYGEDENRGLRMGKRLLRSLSLVFGCIAVSSLGYTGILNLIEFLGRSIPLFLYNNQELFVTTATAIMLYIMASYYR >DRNTG_28471.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:387058:387922:-1 gene:DRNTG_28471 transcript:DRNTG_28471.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >DRNTG_02249.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1041846:1044543:-1 gene:DRNTG_02249 transcript:DRNTG_02249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLSMLASSPHAASPLASPASPTPRISQTLAVPKSFIGLRRSFTPRSSRIGSAPGSSRRSFVVNASQLPLVGNTAPDFEAEAVFDQEFINVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRYSDFEKLNTEILGVSIDSVFSHLAWIQTERKSGGLGDLKYPLVSDVTKSISKSYNVLILDQGIALRGLFIIDKEGIIQHSTINNLAIGRSVDETLRTLQALQYVKENPDEVCPAGWKPGEKSMKPDPKLSKEYFKAI >DRNTG_02249.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1041846:1044503:-1 gene:DRNTG_02249 transcript:DRNTG_02249.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRYSDFEKLNTEILGVSIDSVFSHLAWIQTERKSGGLGDLKYPLVSDVTKSISKSYNVLILDQGIALRGLFIIDKEGIIQHSTINNLAIGRSVDETLRTLQALQYVKENPDEVCPAGWKPGEKSMKPDPKLSKEYFKAI >DRNTG_19417.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000993.1:4955:9195:-1 gene:DRNTG_19417 transcript:DRNTG_19417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVHAQFRPFGFHRHVTPPHALDHITCSTVALWWLQRSVTRITKHLGVRLSPERPIHHIGVDTSDLGESQKDFTLSVFWSGRVFSKGPLVKPRSQLAGSVLMKVPPITLFRKKCSVEYRKPLQKPSQSLSKP >DRNTG_29211.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3206450:3206890:1 gene:DRNTG_29211 transcript:DRNTG_29211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATKTSPNVNYDFCLTALESNPKSHSADTKGLAIISTELTSTNATSTKAKIEVMLHHAKDQAIKSILKTCADVYSEMMDNLKFSMDALEGGRYADAVTYLSAALTEPGTCEDSFSEKGVNSLVAQEDKDALDLSAMALAITSSLG >DRNTG_30323.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1096767:1097303:-1 gene:DRNTG_30323 transcript:DRNTG_30323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCNLYVGFARVMTKSFTSEFPHVERYFWTLVNQPNFLKVIGEVKQAESVCPIQSQKKPRKKPPKSLQNQKLKLLLKMKKRHQNQRQRIHLTCCPQVR >DRNTG_21000.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001192.1:67489:68686:-1 gene:DRNTG_21000 transcript:DRNTG_21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDGVGMGVRREGAKEGEGGREGRGVGGEGSEETVEVLGRKRGAKGD >DRNTG_02952.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1404945:1410927:-1 gene:DRNTG_02952 transcript:DRNTG_02952.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNGEELAVGCTLAIRTTLGEEIEGQIITFDRTSNFLVSQEGSSKVGSRRNLRLLKTNYIKDFTFLGREDDPLDPKKCLLDLGSLQAREEAALRQAEIEAERIGVGVTSEAQSIFDALSKTLPVRWDKTVIVVMNEVRVHSPYLPENVSGGTPAANDRVKKVLELERKRLQARTPGQF >DRNTG_06022.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000275.1:26281:27290:1 gene:DRNTG_06022 transcript:DRNTG_06022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARRKIQKDKDLEPTEFEDSVAQALFDLENSNQELKSDLKDLFINSAL >DRNTG_07630.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000344.1:46869:47275:-1 gene:DRNTG_07630 transcript:DRNTG_07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPAPHTQLDADLAAGMGMPDNGPKLMSA >DRNTG_33713.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1192080:1193300:1 gene:DRNTG_33713 transcript:DRNTG_33713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLITHSGASFVGAMGKSTTHLVCWKFEGKKYELAKNLGTLIVSHRWFEDCLKEGKRLPEDPYAMQSGQQVGPISWELPNGDMHGKRRRLPMTESSMPSYKHNSVDSNEVENDTLHCSGVFNLPVSNLLDE >DRNTG_16821.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1451644:1460280:1 gene:DRNTG_16821 transcript:DRNTG_16821.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase 2 [Source:Projected from Arabidopsis thaliana (AT5G08370) UniProtKB/Swiss-Prot;Acc:Q8RX86] MAWSGGVLGFMMLWTVVMAGRMINDGVSMVGRRRELIDNGLAKTPQMGWNSWNHFHCGITEQLIKETADALVSTGLSSLGYQYVNLDDCWGESDRDSEGNLVAKRSTFPSGIKSLADYVHDKGLKLGIYSDAGSQTCSKTMPGSLDHEEQDANTFASWGVDYLKYDNCNNPGTSPQERYNTMSKALLNSGRSIFFSLCEWGQENPATWASGVGNSWRTTGDIEDNWESMLSKADENDQWASYAGPGGWNDPDMLEVGNGGMTTEEYRAHFSLWALAKAPLLIGCDVRSISSETKDILGNSEVIAVNQDGLGVQGRKVKSNDGLEVWAGALSGGRVAVVLLNRSGSQASITADLSDIGLQSKQAVVRDLWEHSTSKAKGQITATVDSHACKMYVLTPK >DRNTG_16821.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1451644:1487157:1 gene:DRNTG_16821 transcript:DRNTG_16821.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase 2 [Source:Projected from Arabidopsis thaliana (AT5G08370) UniProtKB/Swiss-Prot;Acc:Q8RX86] MAWSGGVLGFMMLWTVVMAGRMINDGVSMVGRRRELIDNGLAKTPQMGWNSWNHFHCGITEQLIKETADALVSTGLSSLGYQYVNLDDCWGESDRDSEGNLVAKGSTFPSGIKSLADYMHDKGLKLGIYSDAGSQTCSKTMPGSLDHEEQDANTFASWGVDYLKYDNCYNPGTSPQERYNTMGKALLNSGRNILFSLCEWGQENPATWANGVGNSWRTTGDIEDNWESMLSKADENDQWASYAGPGGWNDPDMLEVGNGGMTTEEYRAHFSLWALAKAPLLIGCDVRSMSSETKDILGNSEVIAVNQDGLGVQGRKVKSNDGLEVWAGALSGGRVAVVLLNRSGSQASITADWSDIGLQSSQAEVRDLWEHSTSKAEGQITATVDSHACKMYVLTSK >DRNTG_29723.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:555757:557885:-1 gene:DRNTG_29723 transcript:DRNTG_29723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVLGEIGGTRLVGVIGCFLACTAPEITGASPEVKGCLPVEVDEHCISSIGSNSVEASSSIGSNTSNSVDLESDGEGSQEAESRLKGPLETMDALEEALPFRQGISRFLSGKSKKPTNSNHSTSSVNNYASSSGSNSSNSEGKQ >DRNTG_14325.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17161672:17162156:-1 gene:DRNTG_14325 transcript:DRNTG_14325.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGTKAVTFAYPDLCIDSKIFTNENYYSIPLFAWKKVIGGAFVGIYPARCALGRTRGPLEKMMLLHKTID >DRNTG_15474.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16458986:16459353:-1 gene:DRNTG_15474 transcript:DRNTG_15474.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSYRASSDETKTTSCSRGHWRPGEDAKLRQLVGKYGPHNWNSIAEKLQGRS >DRNTG_15474.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16458186:16459353:-1 gene:DRNTG_15474 transcript:DRNTG_15474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSYRASSDETKTTSCSRGHWRPGEDAKLRQLVGKYGPHNWNSIAEKLQGRSGKSCRLRWFNQLDPRINRRPFTEEEEERLLSAHRINGNKWAHIARLFPGRTDNAVKNHWHVIMARRRRQRSKIISKTDHHHHHHHHRLSTNDNTVDETLSISAASLPFWSFSRSNTSSNPSSLSSMFGFSMSDVMNKYQLFRVGDHDKVHGKRRS >DRNTG_05636.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6270776:6274223:-1 gene:DRNTG_05636 transcript:DRNTG_05636.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRSRPRTSKTYFGANNPHTIKCRL >DRNTG_05636.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6270776:6273602:-1 gene:DRNTG_05636 transcript:DRNTG_05636.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRSRPRTSKTYFGANNPHTIKCRL >DRNTG_32266.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:7164858:7165915:1 gene:DRNTG_32266 transcript:DRNTG_32266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPCQFLQHTASMRTSLRPSAPYKEKGLGQTASMRSTLRASTPSSEK >DRNTG_17970.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20332955:20343364:1 gene:DRNTG_17970 transcript:DRNTG_17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKRLQADQVPEWKGYYINYKLMKKRVKQYAQQSRIDERDRRQVLKDFSRMLDEQIEKIVLFLLEQQGILASRLENLGKERALLAEQPDISKISELREAYRAVGHDLLKLLKFVDMNATGIRKILKKFDKRFGYKFTDYYVSTRSNHPYSQLQQVFKHVGIGAVVGALSRNLADLQDRQGSYLSIYDQPSLALKDPVIDLINSSVDKLTHSTNFLEFLGQGALIIQEDTPTEDHVDDERYHFMSLLLNLVNTFLYMVNTYIIVPTADDYALSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSIMLFLGNVLYALAYDLNSLAVLLIGRLLCGLGSARAVNRRYISDCVPAKIRMQASAGFVSASALGMACGPALAGLLQTRFKIYSLTFSQSTLPGWVMALAWLAYLIWLWISFKEPVRDFGKNHNTQDADAGRVEGLEDGIRHPLLTSEVEKDEEADQEFDESEESSEDSHKPANSISSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITTFYFSWSTSSVAIFLAILGLTVLPVNAIVGSYITNLFEDRQILLASEVMLLIGIVFSFRVTSPYSVPQYVTSALITFVSAEVLEGVNLSLLSRVMSSRLARGTFNGGLLSTEAGTLARVVADGTITLAGYFGEEKLLNITLIPSLLFCILSLAATLLTFNTLF >DRNTG_23021.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3199861:3203468:1 gene:DRNTG_23021 transcript:DRNTG_23021.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVQLGGHTLQSHGFKVARIHMHDWIILVLLGVIEIILNVIEPFHRFVGKDMMTDLRYPLKGNTVPFWAVPLIGIVLPFAIISAIYYKRRDVYDFHNAILGLLYSVLITGVLTDAIKDAVGRPRPDFFWRCFPDGRDVYDPVTTDVICHGEKSVIREGHKSFPSGHSSWSFAGLGFLAWYLSGKIKAFDRKGHIAKLCIVFLPLLCASLVAVSRVDDYWHHWQDVFVGGFLGLVIASFCYLQFFPPPYSADGWGPHAYFQMLRDSQNNERMNNANPHNSRQTEMETAHISSPHLAITMGD >DRNTG_23021.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3199861:3203468:1 gene:DRNTG_23021 transcript:DRNTG_23021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVQLGGHTLQSHGFKVARIHMHDWIILVLLGVIEIILNVIEPFHRFVGKDMMTDLRYPLKGNTVPFWAVPLIGIVLPFAIISAIYYKRRDVYDFHNAILGLLYSVLITGVLTDAIKDAVGRPRPDFFWRCFPDGRDVYDPVTTDVICHGEKSVIREGHKSFPSGHSSWSFAGLGFLAWYLSGKIKAFDRKGHIAKLCIVFLPLLCASLVAVSRVDDYWHHWQDVFVGGFLGLVIASFCYLQFFPPPYSADGWGPHAYFQMLRDSQNNERMNNANPHNSRQTEMETAHISSPHLAITMGD >DRNTG_14174.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22898472:22905341:1 gene:DRNTG_14174 transcript:DRNTG_14174.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04080) UniProtKB/TrEMBL;Acc:F4I448] MDASASIPDSGTYATSVAVDVEQPASSAVYVSGGGSDAADGHLPSTNLSAASQESAHVVALESKPDDTVPDVSENPVHENAMDVSAHAVGYESVNGGSSEMAGYQSTAVTENGSVENEIGGPVAQENFDDVLSPEEERLWNLVRVNCLDFNSWTALIEETEKVAENNIGKIRKVYDAFLVEFPLCFGYWKKYADHEGRLDSVDKVIEVYERAVLAVTYSVDIWLHYCVFTMSTYEDPDVIRRYNPSSFVSHCSYFSY >DRNTG_14174.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22898472:22905341:1 gene:DRNTG_14174 transcript:DRNTG_14174.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04080) UniProtKB/TrEMBL;Acc:F4I448] MDASASIPDSGTYATSVAVDVEQPASSAVYVSGGGSDAADGHLPSTNLSAASQESAHVVALESKPDDTVPDVSENPVHENAMDVSAHAVGYESVNGGSSEMAGYQSTAVTENGSVENEIGGPVAQENFDDVLSPEEERLWNLVRVNCLDFNSWTALIEETEKVAENNIGKIRKVYDAFLVEFPLCFGYWKKYADHEGRLDSVDKVIEVYERAVLAVTYSVDIWLHYCVFTMSTYEDPDVIRRLFERGLAYVGTDYLSYPLWDEYIRYEESHQAWSNLALIYTRILEHPIQQLDRYYNCFKDLAASHPLSEILTPEEATMLSASVEADTKGVEGEVRPDGLDQSSKLVSAGLTEAEELEKYIAIREEMYKKAKEFDSKIVDFETAIRRPYFHVRPLDDPELDNWHNYLDFIERGDDFNKLSHWLHNML >DRNTG_14174.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22905643:22907117:1 gene:DRNTG_14174 transcript:DRNTG_14174.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04080) UniProtKB/TrEMBL;Acc:F4I448] MPAAAAPQGAAYGAYPSTYPAQIYPQQNYAQPAAAAFPQPPASVPQQPYYGTYY >DRNTG_14174.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22898472:22906930:1 gene:DRNTG_14174 transcript:DRNTG_14174.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04080) UniProtKB/TrEMBL;Acc:F4I448] MDASASIPDSGTYATSVAVDVEQPASSAVYVSGGGSDAADGHLPSTNLSAASQESAHVVALESKPDDTVPDVSENPVHENAMDVSAHAVGYESVNGGSSEMAGYQSTAVTENGSVENEIGGPVAQENFDDVLSPEEERLWNLVRVNCLDFNSWTALIEETEKVAENNIGKIRKVYDAFLVEFPLCFGYWKKYADHEGRLDSVDKVIEVYERAVLAVTYSVDIWLHYCVFTMSTYEDPDVIRRLFERGLAYVGTDYLSYPLWDEYIRYEESHQAWSNLALIYTRILEHPIQQLDRYYNCFKDLAASHPLSEILTPEEATMLSASVEADTKGVEGEVRPDGLDQSSKLVSAGLTEAEELEKYIAIREEMYKKAKEFDSKIVDFETAIRRPYFHVRPLDDPELDNWHNYLDFIERGDDFNKVVKLYERCLIACASYPEYWIRYVLCMEASGSMELANNALARATQVFVKRQPEIHLFAARFKEYSGDIAGARAEYQVVYTEISPCYLEAIVKHANMEYRLGFKEAAAAVYEEIIAAEQAKEHSQILPMLLVQYSRFLFLVAGNAEKAREVLAGAHENVQLSKHFLEAVIHLESIQPSPKRVEYLDSLVEKYIVPNPESPSLAITSDREELSSIYLEFLDLFGDAQSIKKAENRHSMLFLRQKGLSVSKKHHADDFLSSDRAKIAKTYANASSPGHSGAGAYLNAQTQWPAGYGQKAQAWPQTSQAQAQGQQWNPAYAPQAGYSAYGGYPNYSHPQMPAAAAPQGAAYGAYPSTYPAQNYAQPAAAAFPQPPASVPQQPYYGTYY >DRNTG_14174.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22898472:22905341:1 gene:DRNTG_14174 transcript:DRNTG_14174.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04080) UniProtKB/TrEMBL;Acc:F4I448] MLCYGLRWFFSPPFQGPSMIVSGRLFERGLAYVGTDYLSYPLWDEYIRYEESHQAWSNLALIYTRILEHPIQQLDRYYNCFKDLAASHPLSEILTPEEATMLSASVEADTKGVEGEVRPDGLDQSSKLVSAGLTEAEELEKYIAIREEMYKKAKEFDSKIVDFETAIRRPYFHVRPLDDPELDNWHNYLDFIERGDDFNKVVKLYERCLIACASYPEYWIRYVLCMEASGSMELANNALARATQVFVKRQPEIHLFAARFKEYSGDIAGARAEYQVVYTEISPCYLEAIVKHANMEYRLGFKEAAAAVYEEIIAAEQAKEHSQILPMLLVQYSRFLFLVAGNAEKAREVLAGAHENVQLSKHFLEAVIHLESIQPSPKRVEYLDSLVEKYIVPNPESPSLAITSDREELSSIYLEFLDLFGDAQSIKKAENRHSMLFLRQKGLSVSKKHHADDFLSSDRAKIAKTYANASSPGHSGAGAYLNAQTQWPAGYGQKAQAWPQTSQAQAQGQQWNPAYAPQASKLLFAVSF >DRNTG_14174.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22898472:22905341:1 gene:DRNTG_14174 transcript:DRNTG_14174.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04080) UniProtKB/TrEMBL;Acc:F4I448] MLCYGLRWFFSPPFQGPSMIVSGRLFERGLAYVGTDYLSYPLWDEYIRYEESHQAWSNLALIYTRILEHPIQQLDRYYNCFKDLAASHPLSEILTPEEATMLSASVEADTKGVEGEVRPDGLDQSSKLVSAGLTEAEELEKYIAIREEMYKKAKEFDSKIVDFETAIRRPYFHVRPLDDPELDNWHNYLDFIERGDDFNKVVKLYERCLIACASYPEYWIRYVLCMEASGSMELANNALARATQVFVKRQPEIHLFAARFKEYSGDIAGARAEYQVVYTEISPCYLEAIVKHANMEYRLGFKEAAAAVYEEIIAAEQAKEHSQILPMLLVQYSRFLFLVAGNAEKAREVLAGAHENVQLSKHFLEAVIHLESIQPSPKRVEYLDSLVEKYIVPNPESPSLAITSDREELSSIYLEFLDLFGDAQSIKKAENRHSMLFLRQKGLSVSKKHHADDFLSSDRAKIAKTYANASSPGHSGAGAYLNAQTQWPAGYGQKAQAWPQTSQAQAQGQQWNPAYAPQASKLLFAVSF >DRNTG_14174.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22898472:22905341:1 gene:DRNTG_14174 transcript:DRNTG_14174.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04080) UniProtKB/TrEMBL;Acc:F4I448] MDASASIPDSGTYATSVAVDVEQPASSAVYVSGGGSDAADGHLPSTNLSAASQESAHVVALESKPDDTVPDVSENPVHENAMDVSAHAVGYESVNGGSSEMAGYQSTAVTENGSVENEIGGPVAQENFDDVLSPEEERLWNLVRVNCLDFNSWTALIEETEKVAENNIGKIRKVYDAFLVEFPLCFGYWKKYADHEGRLDSVDKVIEVYERAVLAVTYSVDIWLHYCVFTMSTYEDPDVIRRLFERGLAYVGTDYLSYPLWDEYIRYEESHQAWSNLALIYTRILEHPIQQLDRYYNCFKDLAASHPLSEILTPEEATMLSASVEADTKGVEGEVRPDGLDQSSKLVSAGLTEAEELEKYIAIREEMYKKAKEFDSKIVDFETAIRRPYFHVRPLDDPELDNWHNYLDFIERGDDFNKVVKLYERCLIACASYPEYWIRYVLCMEASGSMELANNALARATQVFVKRQPEIHLFAARFKEYSGDIAGARAEYQVVYTEISPCYLEAIVKHANMEYRLGFKEAAAAVYEEIIAAEQAKEHSQILPMLLVQYSRFLFLVAGNAEKAREVLAGAHENVQLSKHFLEAVIHLESIQPSPKRVEYLDSLVEKYIVPNPESPSLAITSDREELSSIYLEFLDLFGDAQSIKKAENRHSMLFLRQKGLSVSKKHHADDFLSSDRAKIAKTYANASSPGHSGAGAYLNAQTQWPAGYGQKAQAWPQTSQAQAQGQQWNPAYAPQASKLLFAVSF >DRNTG_14174.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22905643:22906930:1 gene:DRNTG_14174 transcript:DRNTG_14174.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04080) UniProtKB/TrEMBL;Acc:F4I448] MPAAAAPQGAAYGAYPSTYPAQIYPQQNYAQPAAAAFPQPPASVPQQPYYGTYY >DRNTG_13312.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23012736:23014096:1 gene:DRNTG_13312 transcript:DRNTG_13312.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPGFLLVSWSLLLSFSLLSYPAVQAQAQAPAPAPASDGTSIDQGIAYVLMLVALVLTYLIHPLDASSPYKLF >DRNTG_13388.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22913416:22916326:-1 gene:DRNTG_13388 transcript:DRNTG_13388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHSASRFPTPTVSGEALKRSLFSKTKIPLRFQRLVSGSREILDETSISASSDGLFPSCVLLLRLRGGKGGFGSLLRGAATKAGRKTNNFDACRDMSGRRLRHVNAEKRLEEWRAEAKDRKLEKLAEEFLKKKAKEVKQKNTGRR >DRNTG_06884.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14194729:14195678:1 gene:DRNTG_06884 transcript:DRNTG_06884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLFAHPSLSMYVESPLRTSPESKSYTSSMSQKYGVDESSHPKFDLQAWCDAIGGMETMRTHVYGFGTTSHGKNLISTPISIGEGSYSSACSPLVEAPRPSTEVDNLREEIVTVKNKLQSLKDSQNEIKTFLYRITEMLNPATFGRNARFSQIGMNDEDHEDETTDGED >DRNTG_10109.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20811963:20817660:1 gene:DRNTG_10109 transcript:DRNTG_10109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGLQLFSERAEDGTGQPRLDADAGEELMHVQPGVSIALGSHPPESPGNLYITSRRVVWLSDAEKDKGYAVDFLSVSLHAVSTDPEAYPLPCIYAQVETGCDEDEESGGSDSEQQEDFDLSKITEMRLVPSDPSQLDALFEIFCRCAELNPDIHEDGEEENEWFYGDEDIADDEENPEWHFSENLANPIGFANGDHDLAHSVLQLQINDQRFDDAEEMEQEPRHEHQ >DRNTG_19933.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18971244:18971800:1 gene:DRNTG_19933 transcript:DRNTG_19933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRQICIAEPGREFVACTQSASAHVTEVVKGPKYVHGQRGCEQQPGRGQQGWDDQANLVHP >DRNTG_18892.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2186677:2189174:-1 gene:DRNTG_18892 transcript:DRNTG_18892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSEPQAYAANTKAFFERLGSSNPISDAADRLRFFSDLLRSLLKADLVETGRIICSFVVNPALTNLYNTLHGGAVASVAEVLAVACAKSVAGDIELFTGETATSYLSAARVNEEVEVEGRVLRKGRRVIVTEVNLRIKKTGRMLYTNRSTFYVMPLAKL >DRNTG_05000.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4423830:4426328:-1 gene:DRNTG_05000 transcript:DRNTG_05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPIFTSVTSKDSLEVGGTFPFSSVSKKKSCARIWHFISLQSKKTNTGYMEDIPQAAARVQGRCAGKKTNTWYIKGCSIALNIRFYELTKTGKKVRFGKTPKMLCYGENSYWKQATIETSLSFLEGKELVPANADEDTFVRTNRRVDAISPELLARRQDERLTSSVRARCRSPISSLTRASIPRRRRSPPLPRPIVTPPPPDHNNDSPPDRGSPPDGTRR >DRNTG_03119.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21655530:21657090:-1 gene:DRNTG_03119 transcript:DRNTG_03119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNIIPSLSLTVLTLIFILLSIISTSSKAQVPSDQQFKYVNEGEFGPYITEYGADYRMLPLGTSPFQMGFYNTTPGAFYLALRMGTTRAESLFRWVWEANRGFPVAENATFSLLSNGNLVLAEADGKLVWSSQTANKGVVGFKILPNGNIVLYNRKGQFIWQSFDHPTDTLLAGQSLRRTGPNKLVSRSSAVDGSFGKYSLVLEYSGLSMYMYIDNTIPYYNHSTDDYLSGTPPLNSLLFTTRPETDEAYAYEVSLDIPTGGNILLARPKYNATLSILRLEVDGNLVVYTYYDPVDYRAWEKTFAFFSDEIGRVDGCRLPSKCGVLGVCEEEMCVACPTDKGLMGWSKKCAPPVSGSAGCSNGASNSHGYGTYYYKVEGVENYLTMYNEGEGKVGFEECQKRCSKMDCKCEGFLFWEETSQCWLAQAIGTLTKVSNAKHLAYIKKSSK >DRNTG_08449.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21364040:21364686:-1 gene:DRNTG_08449 transcript:DRNTG_08449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTFGSLANSTPYLGGILLMIVLAWLGAAKSLDSQFSPLAKQELEKEKREKAKVKEASVEVPVEVKAIESSGNGAALKQTLDTESESSSGASSPQVQ >DRNTG_21265.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:215798:219502:-1 gene:DRNTG_21265 transcript:DRNTG_21265.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific TFIIB-related protein 1 [Source:Projected from Arabidopsis thaliana (AT4G36650) UniProtKB/Swiss-Prot;Acc:O23215] MPRFCTLLQLNKSAQELAAHIGEVVVNKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPPNYTPAVPPEKAFPMTAISSGRSTSKSDIVEIPHPIPHQEKDKQPEMPKSSKALDAMESDHQVKVKEEMENQSNPHGRLPSSSRDLNQVAFWQTQASFTAQKNGGENKSMLSECDQKIDRDLKTGSNSRATSQSAALSSSKRFIHPWQINVQNVPPGSSVRFGEQQVSSDFVSSVPGIKSQRGGTDTDRATDKHQH >DRNTG_21265.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:215798:219569:-1 gene:DRNTG_21265 transcript:DRNTG_21265.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific TFIIB-related protein 1 [Source:Projected from Arabidopsis thaliana (AT4G36650) UniProtKB/Swiss-Prot;Acc:O23215] MPRFCTLLQLNKSAQELAAHIGEVVVNKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPPNYTPAVPPEKAFPMTAISSGRSTSKSDIVEIPHPIPHQEKDKQPEMPKSSKALDAMESDHQVKVKEEMENQSNPHGRLPSSSRDLNQVAFWQTQASFTAQKNGGENKSMLSECDQKIDRDLKTGSNSRATSQSAALSSSKRFIHPWQINVQNVPPGSSVRFGEQQVSSDFVSSVPGIKSQRGGTDTDRATDKHQH >DRNTG_21265.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:215916:219502:-1 gene:DRNTG_21265 transcript:DRNTG_21265.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific TFIIB-related protein 1 [Source:Projected from Arabidopsis thaliana (AT4G36650) UniProtKB/Swiss-Prot;Acc:O23215] MPRFCTLLQLNKSAQELAAHIGEVVVNKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPPNYTPAVPPEKAFPMTAISSGRSTSKSDIVEIPHPIPHQEKDKQPEMPKSSKALDAMESDHQVKVKEEMENQSNPHGRLPSSSRDLNQVAFWQTQASFTAQKNGGENKSMLSECDQKIDRDLKTGSNSRATSQSAALSSSKRFIHPWQINVQNVPPGSSVRFGEQQVSSDFVSSVPGIKSQRGGTDTDRATDKHQH >DRNTG_21265.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:216029:219569:-1 gene:DRNTG_21265 transcript:DRNTG_21265.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific TFIIB-related protein 1 [Source:Projected from Arabidopsis thaliana (AT4G36650) UniProtKB/Swiss-Prot;Acc:O23215] MPRFCTLLQLNKSAQELAAHIGEVVVNKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPPNYTPAVPPEKAFPMTAISSGRSTSKSDIVEIPHPIPHQEKDKQPEMPKSSKALDAMESDHQVKVKEEMENQSNPHGRLPSSSRDLNQVAFWQTQASFTAQKNGGENKSMLSECDQKIDRDLKTGSNSRATSQSAALSSSKRFIHPWQINVQNVPPGSSVRFGEQQVSSDFVSSVPGIKSQRGGTDTDRATDKHQH >DRNTG_21265.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:215916:217325:-1 gene:DRNTG_21265 transcript:DRNTG_21265.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific TFIIB-related protein 1 [Source:Projected from Arabidopsis thaliana (AT4G36650) UniProtKB/Swiss-Prot;Acc:O23215] MPRFCTLLQLNKSAQELAAHIGEVVVNKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPPNYTPAVPPEKAFPMTAISSGRSTSKSDIVEIPHPIPHQEKDKQPEMPKSSKALDAMESDHQVKVKEEMENQSNPHGRLPSSSRDLNQVAFWQTQASFTAQKNGGENKSMLSECDQKIDRDLKTGSNSRATSQSAALSSSKRFIHPWQINVQNVPPGSSVRFGEQQVSSDFVSSVPGIKSQRGGTDTDRATDKHQH >DRNTG_21265.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:215916:219569:-1 gene:DRNTG_21265 transcript:DRNTG_21265.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific TFIIB-related protein 1 [Source:Projected from Arabidopsis thaliana (AT4G36650) UniProtKB/Swiss-Prot;Acc:O23215] MPRFCTLLQLNKSAQELAAHIGEVVVNKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPPNYTPAVPPEKAFPMTAISSGRSTSKSDIVEIPHPIPHQEKDKQPEMPKSSKALDAMESDHQVKVKEEMENQSNPHGRLPSSSRDLNQVAFWQTQASFTAQKNGGENKSMLSECDQKIDRDLKTGSNSRATSQSAALSSSKRFIHPWQINVQNVPPGSSVRFGEQQVSSDFVSSVPGIKSQRGGTDTDRATDKHQH >DRNTG_21265.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:215798:217325:-1 gene:DRNTG_21265 transcript:DRNTG_21265.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific TFIIB-related protein 1 [Source:Projected from Arabidopsis thaliana (AT4G36650) UniProtKB/Swiss-Prot;Acc:O23215] MPRFCTLLQLNKSAQELAAHIGEVVVNKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPPNYTPAVPPEKAFPMTAISSGRSTSKSDIVEIPHPIPHQEKDKQPEMPKSSKALDAMESDHQVKVKEEMENQSNPHGRLPSSSRDLNQVAFWQTQASFTAQKNGGENKSMLSECDQKIDRDLKTGSNSRATSQSAALSSSKRFIHPWQINVQNVPPGSSVRFGEQQVSSDFVSSVPGIKSQRGGTDTDRATDKHQH >DRNTG_22316.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:441280:462077:1 gene:DRNTG_22316 transcript:DRNTG_22316.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSLTTLPIELRYAGDFILDPATTFPTNYRTFSGSYEEGLNHIARYVESFESDSKEIWLVFRYEGISLSKLMYTAKATKLTAADEMNRQARNIQVLHPSAWWHWLKTTEAGAKELQDIIWQLLMALKSCHDRNITHRDIKPENMIICFEDMETGKCLQEAPMGGKQYRLKMRIIDFGSAIDDVTLKYMYGSGPTRSEQTYEYTPPEALLNASWFREPKSVTLKYDMWSVGVVILELILGSPHVFQISDRTRALLDKHLEGWSEHTIELAYKLRSYMEMCILIPGISSQHHQNVRRDDPRKVWPASWKCSEESFSHQVKSRDPLGLGFPNAWALRLVRQLLVWHPEDRLNVDDALRHPYFQNHPGRRAHGGE >DRNTG_22316.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:433812:462077:1 gene:DRNTG_22316 transcript:DRNTG_22316.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSEDPHHSSEVNSSKLRARIRRRATYSAKKNGKFRPTKFGIPSYLVKELTKDHHPSRDDERNRVEAAGGYVVEWAGVFRVNGELAVTRAIGDMAFKSYGVISSPEVTDWHAITSNDSYLVVASDGIFEKLTTQEVCDLLWDREMEVNVNLEFVPVLGQSLADFIVKSAFERGTTDNMATIVVPLRSFAVPGVVLKAGCGPEGCFERSSRGSEKFIHGNSGNDAPCTSLMPMEYIDQIMLKFKRLLVAAKYKRVGCFYLSENLNETMDFAFQGPKGYQKDEVQDLSTALPASDRATYIGGGSPDLYDDHKFCSFIDIHHEPNKGQCASPEVFAKLLGLLDSIPYNETISESVQHEVPNLRYILKRRFDRGSYGEVWLAFHWNCSYDVDMPTKIKKNQFHSFSNFRLDQHNFNSSGEPSFEDPSDGNFFILKRIMVERGTAAYLSGLREKYFGEVFLNASLSLGSSMAARSLTTLPIELRYAGDFILDPATTFPTNYRTFSGSYEEGLNHIARYVESFESDSKEIWLVFRYEGISLSKLMYTAKATKLTAADEMNRQARNIQVLHPSAWWHWLKTTEAGAKELQDIIWQLLMALKSCHDRNITHRDIKPENMIICFEDMETGKCLQEAPMGGKQYRLKMRIIDFGSAIDDVTLKYMYGSGPTRSEQTYEYTPPEALLNASWFREPKSVTLKYDMWSVGVVILELILGSPHVFQISDRTRALLDKHLEGWSEHTIELAYKLRSYMEMCILIPGISSQHHQNVRRDDPRKVWPASWKCSEESFSHQVKSRDPLGLGFPNAWALRLVRQLLVWHPEDRLNVDDALRHPYFQNHPGRRAHGGE >DRNTG_19830.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001068.1:8029:10501:1 gene:DRNTG_19830 transcript:DRNTG_19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHGLVPPALFLCVGVLYDRHKTRLARYYGGSVSTMPNLPTISFSSTLANMSSPGACDGTDATSLISHGWHSPQQKSPFFFPFLYYPRGARVGLRERKDGGRPCMALLDRVSEGQLNERLMNAAGWPRRGNLGRKTRNISIDLAKAKDPLRAGRLSLPQLGESHPRAAPDRSREVFATAGKAVYEAKPIATPTIKYEIGPLLKSLMEWPTAPKSAYVIWELMAPYGFDIQ >DRNTG_00272.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1671795:1673714:1 gene:DRNTG_00272 transcript:DRNTG_00272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDDDRAPDWSALPDLVIESTAKLLNAVDHVHFRSVCSQWRSHTQEPRQKAPLVILIDRDNEDHTIKTLSFFDIIGKAIIPLRPLASQNVANSYYLGSSRGWIFVGQYTAAQNGNQEQLRIALLKPFTDDIINLPMLSNHPRGRVFIVDSQRNLQNNYDLTVVYYLDTDNIGHPDAQVNLIKLNGDENLQWVTFWLKQQPSDVIALEGHLCANYLGLLKVINLETQQVDDDLDLLLPGLLPILSSDPALFLRFFNDLCGQLHLLFTTSYRTSSYCFRPVFLRLLDHSGMLLYNPPVNIGPAPRILRSQGSGMMVKTDGNQWAGLLRVCYDQDIESSFREAYLRNEDNKTGHAMTVAQTAKHKSKVDAVGAAS >DRNTG_07028.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3456720:3457316:1 gene:DRNTG_07028 transcript:DRNTG_07028.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSPYERRGEHCEKKTTNGDQDCWMQSNMGGGGSSRNKCW >DRNTG_07028.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3454310:3457316:1 gene:DRNTG_07028 transcript:DRNTG_07028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSPYERRGEHCEKKTTNGDQDCWMQSNMGGGGSSRNKCW >DRNTG_21313.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1464407:1466869:-1 gene:DRNTG_21313 transcript:DRNTG_21313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQKKNDSTETNSTRSFQIKDVFSLENEGADDMTTLIDRKDNVFVMSNRKSKYPMLQVDLRLISDLVVIVSATIGGITFSCLGQPVIVGYLLAGSLIGPGGLNFINEMVQVETFAQFGVVFLLFALGLKFSLTKLKVVGPVAVLGGLLQIIIFMLLCGLTAMLCGANLSEGIFVSSFLSMSSTAVVMVMYISQILTLFSYFTVI >DRNTG_21313.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1464407:1466869:-1 gene:DRNTG_21313 transcript:DRNTG_21313.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQKKNDSTETNSTRSFQIKDVFSLENEGADDMTTLIDRKDNVFVMSNRKSKYPMLQVDLRLISDLVVIVSATIGGITFSCLGQPVIVGYLLAGSLIGPGGLNFINEMVQVETFAQFGVVFLLFALGLKFSLTKLKVVGPVAVLGGLLQIIIFMLLCGLTAMLCGANLSEGIFVSSFLSMSSTAVISKF >DRNTG_18305.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2570965:2573743:-1 gene:DRNTG_18305 transcript:DRNTG_18305.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >DRNTG_12594.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20534922:20535756:-1 gene:DRNTG_12594 transcript:DRNTG_12594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLILSDYIPNGNLYQHLHGIRGSGSSSGGVRGDLFWSRRFSIALGTARALAYLHHDCQPPILHLNIKSTNILLDEKYEAKLSDYGLGKLLPILGRNYALSKFHSAVGYVAPELASQSMSYSDKCDVYSFGVVLLEIVTGRKPVDSPGAAEVVVLHDFVRRVLEDGSASDCFDRSLTGGFQEAELVQVLKLGLICTADAPSRRPSMAEVVQFLESIKNNS >DRNTG_07105.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22297568:22298748:1 gene:DRNTG_07105 transcript:DRNTG_07105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLDSDSGCGMAVLDSIRQHLLEDPTGGDVKPCAVSLPQRSYCRTASFGSIVADHWGELPFRADDSDDMVVFGVLRDAYSHGWLPFGAAAVAVAPEVKIEPVVEPETEPETKLVKIEIPSVVPAKGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFETAEEAAMAYDRAAYRMRGSRALLNFPLRIATEDVTPVSSPGKEGVAGAGFVELVFGEGIAEEEEERGSSSSRGGDVWWAGFGSGAGSGAGWVGIWEEARGFQWNSAAADTCRSATGELKFKGN >DRNTG_27922.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22793216:22796274:-1 gene:DRNTG_27922 transcript:DRNTG_27922.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSWMYKPRQSRKYQEGVDQFLEFAFNNESVGGKIMCPCKHCINSLWQTRDEAKVHLICDGFLRGYTQWVCHGEFSSINDIASSSSTHILETSQVQEAIRANFRGFDNMEALLHDTMGMIGQLGGQELNFQMFRNTSDAQDDGDDNCQDSDEDIEELAEGISVEQFGEGCVEENSNFLKLLRDVEEELFPGCKTFSKLSFIIHLYHIKCLGGWTEKSFAKVLELLGRAFGEQSSWPKSSYEAKKIIKTLGLNYEKIHVCKQDCMLFWREYASAESCHICGTSRWVDSTKKRPVKVLRYFPLIPRLQRFFILEQTANDMRWHDEGRNKDGILRHPADGEAWRSFDARYPDFSSDSRNVRLALASDGFNPFRMMSSNYSVWPVVLVPYNLPPWIGMKQHSFILSMIIPGDKGPTNDIDVFLQPLIDELKKLWEGVETYDASKHQKFHMRAALMWTINDFPAYAY >DRNTG_14971.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24084444:24085177:1 gene:DRNTG_14971 transcript:DRNTG_14971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELMRNPEVIEKVQEEIEGVVKGKAKVSEEDIDEMSYLKAVIKEVLRLHPPIPLLVPRESTEYVKLHGFDIPEKTRVVINAWAIGRDPKSWERPEEFMPERFLNSEVDFKGQHFEFIPFGAGRRGCPGIMFAISTIELAAAALLHHFDWKLPDGMRTEELDMSESSGLTVHKKTSLLVQATPRF >DRNTG_05456.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23069169:23070883:1 gene:DRNTG_05456 transcript:DRNTG_05456.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGHFSSSGEILTEDYLLKQAGCYTNPINASVDYDDEIDEIISKDEEKAREEWSNNVALVLVSNGKRSLLDTLARCLASKNSGIVRVCLIVVAWLSHALSSLSAAGFELSKFIDVVPLLKECMKNDSNMENRVFASISLLNLSKISECRVLLRSFAKEMIIPLENLMEVTWTAEQLYTAMFGHN >DRNTG_05456.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23067600:23069998:1 gene:DRNTG_05456 transcript:DRNTG_05456.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFMQHKSSSLNIPEEKELIVECEDVRSYPSTKHPHPVPQVKDHELALKKLAKAVFQHHFNDVDDFTMPYPQQHPEHDLQQGNQSKGTKNGVKKNAVASTTNSGTEPFGCHEGIDQGSFFSSIPKEFMCPLTGHLFKDPVTLESGQTFERTAIEEWFKQGGKTCPVTSRALQSLSVPDTNFVLKRLINGWKSEHCRNLLVLATQIAGNFVKDHKSKDEAALFIIEQLLTGFDTEERKENARHLISLGGMHFLTRRLELGNLEEKICVVSLLLLCIEADGSCRSFLSVNIRGPWILELLHSKKTNSRANAVKLMIELICLDRRLAIGSFLNGLHTQAIVNTMHVLLVYLQTSLPSERALVAVLLLHLDLMVEPRRYSIYREEAADGIIAALECSIYEGKLTENSRRALLMLGGHFSSSGEILTEDYLLKQAGCYTNPINASVDYDDEIDEIISKDEEKAREEWSNNVALVLVSNGKRSLLDTLARCLASKNSGIVRVCLIVVAWLSHALSSLSAAGFELSKFIDVVPLLKECMKNDSNMENRVFASISLLNLSKISGDHSSTFNIF >DRNTG_05456.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23065795:23070883:1 gene:DRNTG_05456 transcript:DRNTG_05456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLEELLTDDDFKKGRLRQGPGRNLKPVSMPLYTATNQNPPYRHDKNPSKLSRRSNSSVTDAVRRPPDPALLFRPAGVIKIDGFDERERDDESGDGFSDMVQEVDTRYDQKNDRNPALAIDEAATRAIVSILAGYIRMFFKDDNFRASLRHSCLSWLQSCRDGHEDDRPVTNLENAIKAVERAVEGVPDPKDIKRASLKLSLMAGLSSEDMKKNGYTSGIPNSHLSACSHLYLSFIYKLQKKDKVSAKHLLQVFCDAPNQARTELLPAIWSHLLFPHLCHLKVWYEKETEAIARSPGRKKRLQVLDSVYSSALDEGTYKFATYYKEWLMEEKENPDLPIIDVPNYPEEASPVPSDPAPSQPIVSKRLYDNVFSHSNKITVIEEVEEEEEEDDDDKEEVTLEKHNTVEERTTPEIEDKDKNSGEDIGVVEQDMCAPSNGSHQHKSSSLNIPEEKELIVECEDVRSYPSTKHPHPVPQVKDHELALKKLAKAVFQHHFNDVDDFTMPYPQQHPEHDLQQGNQSKGTKNGVKKNAVASTTNSGTEPFGCHEGIDQGSFFSSIPKEFMCPLTGHLFKDPVTLESGQTFERTAIEEWFKQGGKTCPVTSRALQSLSVPDTNFVLKRLINGWKSEHCRNLLVLATQIAGNFVKDHKSKDEAALFIIEQLLTGFDTEERKENARHLISLGGMHFLTRRLELGNLEEKICVVSLLLLCIEADGSCRSFLSVNIRGPWILELLHSKKTNSRANAVKLMIELICLDRRLAIGSFLNGLHTQAIVNTMHVLLVYLQTSLPSERALVAVLLLHLDLMVEPRRYSIYREEAADGIIAALECSIYEGKLTENSRRALLMLGGHFSSSGEILTEDYLLKQAGCYTNPINASVDYDDEIDEIISKDEEKAREEWSNNVALVLVSNGKRSLLDTLARCLASKNSGIVRVCLIVVAWLSHALSSLSAAGFELSKFIDVVPLLKECMKNDSNMENRVFASISLLNLSKISECRVLLRSFAKEMIIPLENLMEVTWTAEQLYTAMFGHN >DRNTG_05456.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23067600:23070883:1 gene:DRNTG_05456 transcript:DRNTG_05456.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMQHKSSSLNIPEEKELIVECEDVRSYPSTKHPHPVPQVKDHELALKKLAKAVFQHHFNDVDDFTMPYPQQHPEHDLQQGNQSKGTKNGVKKNAVASTTNSGTEPFGCHEGIDQGSFFSSIPKEFMCPLTGHLFKDPVTLESGQTFERTAIEEWFKQGGKTCPVTSRALQSLSVPDTNFVLKRLINGWKSEHCRNLLVLATQIAGNFVKDHKSKDEAALFIIEQLLTGFDTEERKENARHLISLGGMHFLTRRLELGNLEEKICVVSLLLLCIEADGSCRSFLSVNIRGPWILELLHSKKTNSRANAVKLMIELICLDRRLAIGSFLNGLHTQAIVNTMHVLLVYLQTSLPSERALVAVLLLHLDLMVEPRRYSIYREEAADGIIAALECSIYEGKLTENSRRALLMLGGHFSSSGEILTEDYLLKQAGCYTNPINASVDYDDEIDEIISKDEEKAREEWSNNVALVLVSNGKRSLLDTLARCLASKNSGIVRVCLIVVAWLSHALSSLSAAGFELSKFIDVVPLLKECMKNDSNMENRVFASISLLNLSKISECRVLLRSFAKEMIIPLENLMEVTWTAEQLYTAMFGHN >DRNTG_02039.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000100.1:76774:77717:1 gene:DRNTG_02039 transcript:DRNTG_02039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTRLEEEKMESLLDEILHVMDCLWMPCLVGQVFLMTHHGWLQSHNSGYVQDDGNGSANAYWSSPISSYCSPSSVENQMLDEQRLLEGIRNVSTGLRTMAVFLEKLS >DRNTG_18034.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:8092092:8096605:1 gene:DRNTG_18034 transcript:DRNTG_18034.1 gene_biotype:protein_coding transcript_biotype:protein_coding WNKQHHSPNHAHPFFLEFNEKETSGTQPRRW >DRNTG_08417.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000378.1:30526:31280:-1 gene:DRNTG_08417 transcript:DRNTG_08417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEVAALRAIGVVSTIGMDLVPNPPLVVAGDFHSQPFPDDSFDFEFSNVFDHALYPDRFAAEIERTLRPGGVCVLHVTIKHKGDKYSANDLSGIDGVVMLFKRMEVVRGS >DRNTG_05893.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18802230:18803053:-1 gene:DRNTG_05893 transcript:DRNTG_05893.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQTMAVVGQANKFHQKMPLVRQATQPRYIPKRGRVLWRVLRAVLRSSIGLLQEINDTVINNNNHNHNHNHNSLINNNYHNHNGTTTNIKNHNHNGTTTNIKNHNVNSKNNNGNSKNHNGGSSNSKNHIGGSSNGKNYNSTICSSSSSNNYSNNYSYNTNHNNKNNRNIGPLLIRN >DRNTG_15853.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25191654:25195545:-1 gene:DRNTG_15853 transcript:DRNTG_15853.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNRSKSSNEESAGEGPFLGPADDGEGQPMGGNEGVKQRDGSNPSHGGDIGSAKSLHLGITTNTGASISRSR >DRNTG_04536.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18362539:18373174:1 gene:DRNTG_04536 transcript:DRNTG_04536.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDPSTSTSARFDGSFTGFLERFYGFDIARHLEEGYWESFRRHRSGEVYPRPDKGSLETSRGLSTRPLTRSSRGFLYGFIAFTFDFFDYTTDELVDEYVQEMFNPDPYEGLFDQDESNEEVMMLGSTGEETSTRGSRRRCSENEEGSETPPKKLQDYWRRT >DRNTG_22587.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17446684:17447905:1 gene:DRNTG_22587 transcript:DRNTG_22587.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPNDIDLLNPPADLEKRRHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRRKGD >DRNTG_29504.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21110990:21111406:-1 gene:DRNTG_29504 transcript:DRNTG_29504.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT4G12740) UniProtKB/Swiss-Prot;Acc:F4JRF4] GAKSIIQEGEFPQTASALRGVRGIGDYTAGAIASIAFNEAVPVVDGNVVRVISRLMAISSNPKETSTVKTFW >DRNTG_29504.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21110017:21110585:-1 gene:DRNTG_29504 transcript:DRNTG_29504.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT4G12740) UniProtKB/Swiss-Prot;Acc:F4JRF4] MMELGATLCSKTSPSCSACPVSKQCRAFLLSVSNPSVKVIDYPLKVAKVKQRHDFAAVCVVEIVEVLDQKLLKEDNNRPRYLLVKRPEQGLLAGLWEFPLVLIDEKDTKQNTRRKTIDRYLKKILELKNCNVNMREDVGEYVHVFSHIRLHMYVELMSLTLTG >DRNTG_29504.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21109387:21112813:-1 gene:DRNTG_29504 transcript:DRNTG_29504.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT4G12740) UniProtKB/Swiss-Prot;Acc:F4JRF4] MEVGIHETRAKAKAKRKRTPSRALVAKPSALRSGKAPEEAGDIEDFSSEDALRIRSSLLRWYDEHQRVLPWRTRSSGDGEEEEEDRAYAVWVSEVMLQQTRVQTVIEYYNRWMARWPTLRLLAGATQEEVNEMWAGLGYYRRARFLLEGAKSIIQEGEFPQTASALRGVRGIGDYTAGAIASIAFNEAVPVVDGNVVRVISRLMAISSNPKETSTVKTFWRLAGQLVDPDRPGDFNQAMMELGATLCSKTSPSCSACPVSKQCRAFLLSVSNPSVKVIDYPLKVAKVKQRHDFAAVCVVEIVEVLDQKLLKEDNNRPRYLLVKRPEQGLLAGLWEFPLVLIDEKDTKQNTRRKTIDRYLKKILELKNCNVNMREDVGEYVHVFSHIRLHMYVELMSLTLTGGSDLLVNEEESSTVTRKIVDDLLMKSMGLTSGVRKVYNMICDFKKSQLMQRAPRTKTRRSIP >DRNTG_29504.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21109387:21110585:-1 gene:DRNTG_29504 transcript:DRNTG_29504.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT4G12740) UniProtKB/Swiss-Prot;Acc:F4JRF4] MMELGATLCSKTSPSCSACPVSKQCRAFLLSVSNPSVKVIDYPLKVAKVKQRHDFAAVCVVEIVEVLDQKLLKEDNNRPRYLLVKRPEQGLLAGLWEFPLVLIDEKDTKQNTRRKTIDRYLKKILELKNCNVNMREDVGEYVHVFSHIRLHMYVELMSLTLTGGSDLLVNEEESSTVTRKIVDDLLMKSMGLTSGVRKVYNMICDFKKSQLMQRAPRTKTRRSIP >DRNTG_26233.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:456244:457804:1 gene:DRNTG_26233 transcript:DRNTG_26233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSFFVLQLISLVVIVLADFNTEMNITWGDGRATISNDGLNLHLSMDQTSGSGCNSKATYLYGRFDVQMQLIKGDSAGTVTTFYLSSDQAAHDEVDMEFLGNLDGKPYTLSTNVYTKGKGRREQKFHLWFDPTADFHHYAILWTPTQIILFVDGIPVRGFARGTAQLPYVDKAMTLHTTLWDGSSWATDGGKEKS >DRNTG_23785.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8732769:8752843:1 gene:DRNTG_23785 transcript:DRNTG_23785.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHAR3 [Source:Projected from Arabidopsis thaliana (AT5G16710) UniProtKB/TrEMBL;Acc:A0A178UIL9] MSASTTGLMAPAILARSSFLASAAHFPSISRSFSSTSPFPRYHRVFLSRPFSVKASSPPQPLDVCVKASTTVPDRLGDCPFSQRVLLTLEEKHLPYDLKLVDMSNKPEWFLKISPKGQVPVIKLDEKWIADSDVITQSLEEKYPLPTLATPPEKSSIGSKIFSTFIGFLKSKDPSDGTEEALVTELASFNDYIKDNGPFINGEMISAADLSLGPKLYHLEIALGHYKDWSIPDSLPLLKNYMKTIFSRDSFVKTRALREDIIAGWRPKVFG >DRNTG_21148.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2343393:2343799:1 gene:DRNTG_21148 transcript:DRNTG_21148.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKFIANPDHVIQFSDFELNNDMTYEERPVKVVDFKEQALRRRTIPYVKVQWSNHSEREATWELELEMRERYPYLFI >DRNTG_04192.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6976315:6976772:-1 gene:DRNTG_04192 transcript:DRNTG_04192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCINEFFVLVVAHLTRAIGGILRCILTTGQKNKITITNDKGRLNKEDAKKYKSEDEEHKKKVESKNALENYSYNMQNTTKDDNISSKLNSSGKKKIENAINGVIQWLDNNQLAKVNKIKQ >DRNTG_23094.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19822065:19823929:1 gene:DRNTG_23094 transcript:DRNTG_23094.12 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEDGHVEALMLLSSWEQSSVLLLPLYGLRVRVRVRVRVMVLFLLFELFKQQAKNKKTQRHQLPCIGSHHPSSLTHHSLTD >DRNTG_23094.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19822009:19823929:1 gene:DRNTG_23094 transcript:DRNTG_23094.11 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEDGHVEALMLLSSWEQSSVLLLPLYGLRVRVRVRVRVMVLFLLFELFKQQAKNKKTQRHQLPCIGSHHPSSLTHHSLTD >DRNTG_23094.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19821759:19823929:1 gene:DRNTG_23094 transcript:DRNTG_23094.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEDGHVEALMLLSSWEQSSVLLLPLYGLRVRVRVRVRVMVLFLLFELFKQQAKNKKTQRHQLPCIGSHHPSSLTHHSLTD >DRNTG_23094.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19821663:19823788:1 gene:DRNTG_23094 transcript:DRNTG_23094.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEDGHVEALMLLSSWEQSSVLLLPLYGLRVRVRVRVRVMVLFLLFELFKQQAKNKKTQRHQLPCIGSHHPSSLTHHSLTD >DRNTG_23094.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19821759:19823591:1 gene:DRNTG_23094 transcript:DRNTG_23094.13 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEDGHVEALMLLSSWEQSSVLLLPLYGLRVRVRVRVRVMVLFLLFELFKQQAKNKKTQRHQLPCIGSHHPSSLTHHSLTD >DRNTG_23094.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19821663:19823591:1 gene:DRNTG_23094 transcript:DRNTG_23094.10 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEDGHVEALMLLSSWEQSSVLLLPLYGLRVRVRVRVRVMVLFLLFELFKQQAKNKKTQRHQLPCIGSHHPSSLTHHSLTD >DRNTG_23094.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19821759:19823788:1 gene:DRNTG_23094 transcript:DRNTG_23094.9 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEDGHVEALMLLSSWEQSSVLLLPLYGLRVRVRVRVRVMVLFLLFELFKQQAKNKKTQRHQLPCIGSHHPSSLTHHSLTD >DRNTG_23094.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19822065:19823843:1 gene:DRNTG_23094 transcript:DRNTG_23094.14 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEDGHVEALMLLSSWEQSSVLLLPLYGLRVRVRVRVRVMVLFLLFELFKQQAKNKKTQRHQLPCIGSHHPSSLTHHSLTD >DRNTG_23094.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19821759:19824455:1 gene:DRNTG_23094 transcript:DRNTG_23094.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEDGHVEALMLLSSWEQSSVLLLPLYGLRVRVRVRVRVMVLFLLFELFKQQAKNKKTQRHQLPCIGSHHPSSLTHHSLTD >DRNTG_23094.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19822009:19824455:1 gene:DRNTG_23094 transcript:DRNTG_23094.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEDGHVEALMLLSSWEQSSVLLLPLYGLRVRVRVRVRVMVLFLLFELFKQQAKNKKTQRHQLPCIGSHHPSSLTHHSLTD >DRNTG_23094.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19822065:19824455:1 gene:DRNTG_23094 transcript:DRNTG_23094.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEDGHVEALMLLSSWEQSSVLLLPLYGLRVRVRVRVRVMVLFLLFELFKQQAKNKKTQRHQLPCIGSHHPSSLTHHSLTD >DRNTG_23094.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19822065:19823788:1 gene:DRNTG_23094 transcript:DRNTG_23094.15 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEDGHVEALMLLSSWEQSSVLLLPLYGLRVRVRVRVRVMVLFLLFELFKQQAKNKKTQRHQLPCIGSHHPSSLTHHSLTD >DRNTG_23094.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19821663:19823843:1 gene:DRNTG_23094 transcript:DRNTG_23094.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEDGHVEALMLLSSWEQSSVLLLPLYGLRVRVRVRVRVMVLFLLFELFKQQAKNKKTQRHQLPCIGSHHPSSLTHHSLTD >DRNTG_23094.16.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19822065:19823591:1 gene:DRNTG_23094 transcript:DRNTG_23094.16 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEDGHVEALMLLSSWEQSSVLLLPLYGLRVRVRVRVRVMVLFLLFELFKQQAKNKKTQRHQLPCIGSHHPSSLTHHSLTD >DRNTG_23094.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19821663:19824455:1 gene:DRNTG_23094 transcript:DRNTG_23094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEDGHVEALMLLSSWEQSSVLLLPLYGLRVRVRVRVRVMVLFLLFELFKQQAKNKKTQRHQLPCIGSHHPSSLTHHSLTD >DRNTG_23094.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19821663:19823929:1 gene:DRNTG_23094 transcript:DRNTG_23094.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEDGHVEALMLLSSWEQSSVLLLPLYGLRVRVRVRVRVMVLFLLFELFKQQAKNKKTQRHQLPCIGSHHPSSLTHHSLTD >DRNTG_26100.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20780414:20788918:-1 gene:DRNTG_26100 transcript:DRNTG_26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPPLAPGMEAFDAFFRRADLDQDGRISGAEAVAFFKGSNLPQQVLAQIWTYADQKQSGFLGRPEFYNALRLVTVAQKGRELTPDIVKAALYGPAAAKIPAPQINPVTSPATQMNSVPAPTSQVNSMLPTSNQMGITRPPVSPNLGFRPPQTPPNLGLNQQNFPAQGINAARPPPAPNAAALLPAQGVGQGLPGTVNVGGPRLPTSNTMNISTDWMGGRSSGSSTPLTSQVSNRGISPSTNIDGFSFALPGSSSGVATSTQTPSALTSSVPSKQQYPASSAQPTEKDAKALVVSGNGFSSDPVFGGDMFSAAPQAKPENSVANFSMNNLSNPPTSSGAQTSVMPGRFNSAQNVPSPSMGVSPVQAVQTFAKQTQQTITPNTTASTISNISTGPVSSASVQSQSPWPRISQSDIQKYTAVFVKVDRDRDGKITGEEARNLFLSWRLPREVLKQVWDLSDQDNDSMLSLKEFCTALYLMEKYREGRPLPAVLPNELRLDGTLPIATGQPSAAYAGSTWQPASGLPQQGISAGRPPIVPTTGRTATSQAKGRLQADGDAEPVQQKSKVPVLETHLVNQLSKEEQDALSSKLQDATDADKKVQELEKEILDAKEKFEFYRAKMQELVFYKSKCDNRLNEITERAAADKREVESLAKKYEEKCRKLGDVASKLTIEEATFRDLQERKLELYKSIVKLAQGGTADGQLQDHANQTQSDLEELVKTLNERCKEYGLRAKPTSLVELPFGWQPGIQEGAANWDDDWDKFEDADFTIIKELTVEVEKVAVSAKPKPATSSDEAPVDKASPDAPTPEADSKTEKPSHVVDQIAETEGPNVHSEDESAKSPAASPTKSALETQLQEHYSSHLGPNDFSPRTKESQSDHAGAESTVSSEKYADEPSWGATFDAGDDTDSVWGFSSFNTKEADHERNGHSFFGYGDSGLNPIKTGSPSAASVYGRDRGPFFDSVPSTPLFNSNSPRFSPRFNDGPDDHSFDSFSRFDSFNMHDSGLFPPRDNTLTRFDSMRSTTDSGFFPSRDTFARFDSFRSTADSGIFPQHDSFARFDSMRSSADHNRGFPSFDDADPFGSTGPFKASDSHSPRRDSDSWSAF >DRNTG_09919.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1627244:1627662:1 gene:DRNTG_09919 transcript:DRNTG_09919.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQYSSKSDIFSFGVLVLEILTGKKNSNFIETEEANNLLSYTWQRNNLRDTRPISGGSLVKK >DRNTG_01203.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:381221:382694:1 gene:DRNTG_01203 transcript:DRNTG_01203.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELLALSEQHARTLLIYYRWDVERIFELLEQRGKEKLFSEAGVTVIDKGNVIGLLNSSQTVNCTICFEDVTQNSVTEMDCGHSYCNDCWTEHFIVKINDGQSRRIRCMAPKCTAVCDEAIVRTLVSARHPDIADRF >DRNTG_01203.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:383411:385035:1 gene:DRNTG_01203 transcript:DRNTG_01203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYHNRYKAHTDSLNQESNLKESIQEKIAVSESKQSKIKDYSWVMNGLNRLFRSRRVLSYSYPFAFYMFGDELFKDEMTPQERDMKQNLFEDQQQQLEANVEKLSMFF >DRNTG_01203.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:382356:383977:1 gene:DRNTG_01203 transcript:DRNTG_01203.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWELWTRKCQDESETVNWMTVNTKSHAPSVTSLLKKNGGCNLVACICGQAFCWLCGGATGRDHTWSSIAGHSCGRFKEDIAKRTERARRDLYRYMHYHNRYKAHTDSLNQESNLKESIQEKIAVSESKQSKIKDYSWVMNGLNRLFRSRRVLSYSYPFAFYMFGDELFKDEMTPQERDMKQNLFEDQQQQLEANVEKLSMFF >DRNTG_01203.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:381221:382694:1 gene:DRNTG_01203 transcript:DRNTG_01203.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEDYASSSDMEEEDMSGFYLSDREEDVLEETVLQGLESQHEEDCHWSVSSVITKESLLAAQKEDLRKVMELLALSEQHARTLLIYYRWDVERIFELLEQRGKEKLFSEAGVTVIDKGNVIGLLNSSQTVNCTICFEDVTQNSVTEMDCGHSYCNDCWTEHFIVKINDGQSRRIRCMAPKCTAVCDEAIVRTLVSARHPDIADRF >DRNTG_25838.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:637226:641558:1 gene:DRNTG_25838 transcript:DRNTG_25838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVFFQWMLQNPSATSYMGSIGKDKFGEEMKKNSKAGGVNVQYYEDETAPTGTCAVCVVGGERSLIANLSAANCYKSEHLKRPENWALVEKAKYIYIAGFFLTVSPESIQLVAEHAAAHNKVFSMNLSAPFICEFFRDAQEKALPYVDYIFGNETEARTFSKVRGWETENVEEIAVKISALPKASGTHKRITVITQGSDPVVVAEDGKVKLFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKSIEECVRAGCYAANVIIQRSGCTYPEKPDFN >DRNTG_25838.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:637226:641558:1 gene:DRNTG_25838 transcript:DRNTG_25838.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYERILLGMGNPLLDISAVVDEDFLNRYDIKLNNAILAEEKHLPMYEELATKYNVDYIAGGATQNTIRVAQWMLQNPSATSYMGSIGKDKFGEEMKKNSKAGGVNVQYYEDETAPTGTCAVCVVGGERSLIANLSAANCYKSEHLKRPENWALVEKAKYIYIAGFFLTVSPESIQLVAEHAAAHNKVFSMNLSAPFICEFFRDAQEKALPYVDYIFGNETEARTFSKVRGWETENVEEIAVKISALPKASGTHKRITVITQGSDPVVVAEDGKVKLFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKSIEECVRAGCYAANVIIQRSGCTYPEKPDFN >DRNTG_25838.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:637226:639466:1 gene:DRNTG_25838 transcript:DRNTG_25838.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVFFQWMLQNPSATSYMGSIGKDKFGEEMKKNSKAGGVNVQYYEDETAPTGTCAVCVVGGER >DRNTG_31483.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1982279:1985774:-1 gene:DRNTG_31483 transcript:DRNTG_31483.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCFPSIDRQAPEEEKEELMAPALPRLGLTMEDGDQPETPTTWHDSAPEVIDDVEGENERPVRVYADGIYDLFHFGHARALEQAKKLFPNTYLLVGCCNDEITHMYKGKTVMTESERYESLRHCKWVDEVIPDAPWVITQEFINKHKIDYVAHDALPYADTSGAGNDVYEFVKAIGKFKETKRTDGISTSDIIMRILKDYNKYVMRNLARGYSRKDLGVSYVKEQQLRVNMGITKLREKVKEQQEKLHTVARTAGMHHSEWVENADRWVAGFLEKFEEGCHIMVRILEESIRSYYANRVFRPSAQHGDKCLQAFTYITHHCSCTIF >DRNTG_31483.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1982279:1985774:-1 gene:DRNTG_31483 transcript:DRNTG_31483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCFPSIDRQAPEEEKEELMAPALPRLGLTMEDGDQPETPTTWHDSAPEVIDDVEGENERPVRVYADGIYDLFHFGHARALEQAKKLFPNTYLLVGCCNDEITHMYKGKTVMTESERYESLRHCKWVDEVIPDAPWVITQEFINKHKIDYVAHDALPYADTSGAGNDVYEFVKAIGKFKETKRTDGISTSDIIMRILKDYNKYVMRNLARGYSRKDLGVSYVKEQQLRVNMGITKLREKVKEQQEKLHTVARTAGMHHSEWVENADRWVAGFLEKFEEGCHIMETAIKDRIQERLKRQQPKELAANLHQEPVVS >DRNTG_06768.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21631522:21633194:-1 gene:DRNTG_06768 transcript:DRNTG_06768.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHACKLCFRRFANGRALGGHMRSHVAAASPPSPSLSPASSSSSDDDAFDEAAGLGYVLRENPKKSFKLVDPEFDAGAGSSIVVQDRESETESPRGDNRRRSKRHRGAEQEPASSISDATTEEDVALSLMMLSRDSWSRSDPSDSSDDDERWILTVRACGGIGKFTRKRIP >DRNTG_14871.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2865185:2870824:-1 gene:DRNTG_14871 transcript:DRNTG_14871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLIKRAHGAMVLGQVISAGYHVITKLALNVGMNQLVFCVFRDILALSILAPLAFFYDRKVRVPLTPRLLVSFFILGLTGIFGNQLLFLIGLSYTTPTYAAAFQPAIPVFTFLLAAIMGAETVTLLTSEGRAKIGGTFICVSGALLMALYRGPAVIGSMAFDPLSDISAKSQPEPAGWLASSLMEFGLEKWHIGVLCLIGNCMCMATYLALQAPVLSKYPASLSLTAYSYFFGVTLMILCGFFATNDYTDWTLTQSEIIAVLYAGIAASALNYGLLTWSNKMLGPALVALYMPLQPAVAAILSRIFLGSPIYLGSIIGGLLIISGLYMVTWARYRERQTAAVIPYAKCASEPSSDDPPLIKKANIFSGPSIAFPRPWNEPHEL >DRNTG_23354.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5970709:5971501:-1 gene:DRNTG_23354 transcript:DRNTG_23354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKMMTMKKKKTTTMRGRAWRLIRIALIWARKGGAFKQSLLLSLKLAFKNITNYHQKSIHYLEREFSFDETPSFRFKLHRPHFPCIGFPDDHDQDNDDAVFFFSGHDNNVNNSISYDHHKNDDDNGIKEEEQQEDNDDDEVEQLEGINSQAEEFIAKFYQEMKLQRQVSFIQYNDMLLRSIS >DRNTG_23472.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2804999:2807754:-1 gene:DRNTG_23472 transcript:DRNTG_23472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNYSSMDGVLYCKPHFEQLFKETGNFTKNFQTSSKSGDKHNELSRTPSKLSSMFCGTQDKCAACHKTAYPLEKLTVEGESYHKTCFKCSYGGCMLTTSNYAALDGVLYCKHHFAQLFMEKGSYNHLKKRSMEAAPEPPPPEETTQDEQNTTQEQSS >DRNTG_16313.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000809.1:53256:60448:1 gene:DRNTG_16313 transcript:DRNTG_16313.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSVLRLTTPSLMRCRVFLPLLPVHRTVFFRTGSDLRFLSAGARRSVRPTRAKRRERDEAVGAAAAEQKGDDGNGGLMVKEKDGGGDGRVVPTELHKEATEAYMAYAMSVLLGRALPDVRDGLKPVHRRILFAMHELGLSSRKPFKKCARVVGEVLGKYHPHGDTAVYESLVRMAQDFSLRSPLIRGHGNFGSIDADPPAAMRYTECRLEALTEAMLLTDLELDTVDFVPNFDNSQKEPSLLPARIPTLLLNGSSGIAVGMATNIPPHNLEELVDALSVLIHNPEATLQELLEYMPGPDFPTGGLIMGNVGILEAYRTGRGRIIVRGKTDVEELDEKIKRTAIIIKEVPYQTNKSALVEKIWNLLKIRCLKALVIFGMRVIVQECG >DRNTG_16313.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000809.1:53256:57636:1 gene:DRNTG_16313 transcript:DRNTG_16313.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSVLRLTTPSLMRCRVFLPLLPVHRTVFFRTGSDLRFLSAGARRSVRPTRAKRRERDEAVGAAAAEQKGDDGNGGLMVKEKDGGGDGRVVPTELHKEATEAYMAYAMSVLLGRALPDVRDGLKPVHRRILFAMHELGLSSRKPFKKCARVVGEVLGKYHPHGDTAVYESLVRMAQDFSLRSPLIRGHGNFGSIDADPPAAMRYTECRLEALTEAMLLTDLELDTVDFVPNFDNSQKEPSLLPARIPTLLLNGSSGIAVGMATNIPPHNLEELVDALSVLIHNPEATLQELLEYMPGPDFPTGGLIMGNVGILEAYRTGRGRIIVRGKTDVEELDEKIKRTAIIIKEV >DRNTG_16313.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000809.1:58930:60734:1 gene:DRNTG_16313 transcript:DRNTG_16313.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVIELKRAADPSIVLNNLFRLTALQSSFSCNMVGILNGQPKLMGLKELLQAFLDFRCSVIERR >DRNTG_16313.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000809.1:53256:60734:1 gene:DRNTG_16313 transcript:DRNTG_16313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSVLRLTTPSLMRCRVFLPLLPVHRTVFFRTGSDLRFLSAGARRSVRPTRAKRRERDEAVGAAAAEQKGDDGNGGLMVKEKDGGGDGRVVPTELHKEATEAYMAYAMSVLLGRALPDVRDGLKPVHRRILFAMHELGLSSRKPFKKCARVVGEVLGKYHPHGDTAVYESLVRMAQDFSLRSPLIRGHGNFGSIDADPPAAMRYTECRLEALTEAMLLTDLELDTVDFVPNFDNSQKEPSLLPARIPTLLLNGSSGIAVGMATNIPPHNLEELVDALSVLIHNPEATLQELLEYMPGPDFPTGGLIMGNVGILEAYRTGRGRIIVRGKTDVEELDEKIKRTAIIIKEVPYQTNKSALVEKIWNLLKIRCLKALVIFGMRVIVQECG >DRNTG_22652.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20559181:20559556:-1 gene:DRNTG_22652 transcript:DRNTG_22652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLLPSFTSLVLILLHFFVLVSSTEVTHDGRAIIIDGEKKLLFSGSIHYPRSTPEMWPHLIQKAKLGGLDAIETYVFWNAHEPRQRE >DRNTG_28619.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28426273:28429754:-1 gene:DRNTG_28619 transcript:DRNTG_28619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASSSSLSSKALLPSQPLSGSGASDLRLPTVSIPSSKPSRRSIAVHAAEPAKNPVVVEKPSAPEVMTRPGKWAVDSWKSKKALQLPEYPNKKDLEAVLGTLESFPPIVFAGEARHLEERLAEAAMGRAFLLQGGDCAESFKEFNGNNIRDTFRILLQMSVVLMFGGQMPVVKVGRMAGQFAKPRSDPFEEKNGVKLPSYRGDNVNGDAFDEKSRVPDPQRMIRAYCQAAATLNLLRAFATGGYAAMQRVTQWNLDFTDHSEQGDRYKELAHRVDEALGFMSAAGLTVDHPIMTTTDFWTSHECLLLPYEQSLTREDSTTGLFYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMDPKELVKLIEILNPTNKPGRITIITRMGAENMRVKLPHLIRAVRNAGQIVTWVSDPMHGNTIKAPCGLKTRPFDSILAEVRAFFDVHEQEGSHAGGVHLEMTGQNVTECIGGSRTVTFDDLSSRYHTHCDPRLNASQSLELAFILAERLRKKRIRSPPANGVGYLPPLEF >DRNTG_29622.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11019643:11022466:1 gene:DRNTG_29622 transcript:DRNTG_29622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYCGKYHDELIANAAYIGTPGKGILAADESTGTIGKRLASINVENVESNRRALRELLFCTLGALQYISGVILFEETLYQKTASGKPFVDVLKEGGVLPGIKVDKGTIELAGTNGETTTQGHDDLGKRCAKYYEAGARFAKWRSVLKIGPTEPSQLAINLNADGLARYAIICQENGLVPIVEPEILVDGPHDIKRCADVTERVVAACYKALNDHHVLLEGTLLKPNMVTPGSDAAKVAPEVVAEYTVRALLRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLKGKKPWSLSFSFGRALQQSTLKTWQGKEENVQKAQAAFLARCKANSEATLGAYKGDAAQGGLASESLHEKDYKY >DRNTG_27167.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:711389:714734:-1 gene:DRNTG_27167 transcript:DRNTG_27167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPWPAAISSISRLAPRLPSSSFLKSGIKLVPMASMEDGEALLPLRRKLASSRICLVSGFSTELLEVRSEAPAFHVLVIPGNPGIALFYKEFIEALYQLLDGNASITAISHISHSRKDRERGRRFSLQEQIDHKLDFINQELRISEIPLILVGHSIGAYICLEIFKRHPNQVMFVVGLYPFLALNRDSLTQTIIRIIAGSTFLNVSASYLVSLLGSFPVRFLRTLVRKLLGKSWSTTAVDAVCDNILQYHTMRNVLYMVLTEFAEFTEEPDWEFMRDKKNQLALLFGTDDHWAPLSFFKLVSEKVLDLDLSIEMEGHTHAFSCTEAGSLWVARHVAALISDKLKIQLNANS >DRNTG_27167.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:711389:714734:-1 gene:DRNTG_27167 transcript:DRNTG_27167.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLEFLQVMFVVGLYPFLALNRDSLTQTIIRIIAGSTFLNVSASYLVSLLGSFPVRFLRTLVRKLLGKSWSTTAVDAVCDNILQYHTMRNVLYMVLTEFAEFTEEPDWEFMRDKKNQLALLFGTDDHWAPLSFFKLVSEKVLDLDLSIEMEGHTHAFSCTEAGSLWVARHVAALISDKLKIQLNANS >DRNTG_27167.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:711389:714734:-1 gene:DRNTG_27167 transcript:DRNTG_27167.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPAISHISHSRKDRERGRRFSLQEQIDHKLDFINQELRISEIPLILVGHSIGAYICLEIFKRHPNQVMFVVGLYPFLALNRDSLTQTIIRIIAGSTFLNVSASYLVSLLGSFPVRFLRTLVRKLLGKSWSTTAVDAVCDNILQYHTMRNVLYMVLTEFAEFTEEPDWEFMRDKKNQLALLFGTDDHWAPLSFFKLVSEKVLDLDLSIEMEGHTHAFSCTEAGSLWVARHVAALISDKLKIQLNANS >DRNTG_21619.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1230575:1233749:-1 gene:DRNTG_21619 transcript:DRNTG_21619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPGDLGSNGARRRLWIVLLVGFILCYLGYMALSPRSMALSSSLSAKNAEEDECCRGVDGMELWGAAVKWGTDHKVNTSKDCCLACKEMCERSDGPCLCDSWVFCGDRERCGEKFGECWLKKQKDILSPDVKQSDENGMWTSGLIFGKGEGIIGLETEYGTLHIKLLPDCAPHSMAYIVQLLGSRHCAGCQFYRAEGRGSSWDSKGNHIQDASLGPPYALIQGTLEAEGVPFKNVPIEACRSIRRGSVAWVGSGPEFFISLANHDEWHKTYTVFGSVLSDDMVIAEKIAQLPTRSDRWGNIDVSVLEKPVKLKLKRATESHGDLNLKAN >DRNTG_30529.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21383473:21385704:-1 gene:DRNTG_30529 transcript:DRNTG_30529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIEGVVMEIIITFALVYTVYATAADPKKGSLGTIAPNRHRLHRRRQHPRCRPIQRWIHEPSSILRSSRRQR >DRNTG_30529.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21383473:21385396:-1 gene:DRNTG_30529 transcript:DRNTG_30529.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIEGVVMEIIITFALVYTVYATAADPKKGSLGTIAPNRHRLHRRRQHPRCRPIQRWIHEPSSILRSSRRQR >DRNTG_30529.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21383473:21384139:-1 gene:DRNTG_30529 transcript:DRNTG_30529.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIEGVVMEIIITFALVYTVYATAADPKKGSLGTIAPNRHRLHRRRQHPRCRPIQRWIHEPSSILRSSRRQR >DRNTG_00703.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2727755:2731037:1 gene:DRNTG_00703 transcript:DRNTG_00703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLVNERMLESYVIARDRFLVSNGKMFPSIGRIHMAPFSDEYLYVEIANKVSFGN >DRNTG_00703.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2727755:2729599:1 gene:DRNTG_00703 transcript:DRNTG_00703.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLVNERMLESYVIARDRFLVSNGKMFPSIGR >DRNTG_19930.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18961861:18965435:-1 gene:DRNTG_19930 transcript:DRNTG_19930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLKSISGHPSIWVMSICLALASSVFSFCFETWMIVEHEKQGHRQDMLNDTFWLMFFFESASLVGSQAFANMAVKDADRSFLTLPKSASFLAILSILYINKKWNGCEQLTSIGNYTKSLSSLILNGRNSMFKQENLLDYVVQFEIFSLMHANSFGCFHRAVIFSWCYYLIQIIQTLNCKVYAYFSVLSCC >DRNTG_19930.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18961861:18965435:-1 gene:DRNTG_19930 transcript:DRNTG_19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVIESVPPWAPKPFIYLLLFASCFASLFLYPYFSKPGFLSRPTTASSPFDLGSYGSFQRFQRRFLILYSIASLMEGLGSLFGDYDGISRENVALCISVGAAAALLIGPILGIVSDVKGPKTACILFFILHLLMCFLKSISGHPSIWVMSICLALASSVFSFCFETWMIVEHEKQGHRQDMLNDTFWLMFFFESASLVGSQAFANMAVKDADRSFLTLPKSASFLAILSILYINKKWNGCEQLTSIGNYTKSLSSLILNDKILWTLTLAQASIYFSMSVFWILWAPTIVADGRVVHLSLIYPCFLGSRMLGSTVFPWFFSGSVLHNEDYLTTAFAVAGLAFSIVAYDYQEIEVLVTLFCIFHACVGFILPSLARLRTMYIPNQFRGGMISLSLAPANAAFLFVLVLGGYCRVLSNTMIMAFAAFGLICSAGCIHLLQRGRKHSHQNWHKL >DRNTG_10836.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4981297:4985580:1 gene:DRNTG_10836 transcript:DRNTG_10836.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAVIQPFKGNLKKALAGIRRINLDGLRWRVFDAKGQVLGRLASQIAVVVQGKDKPTYTPNRDDGDMCIVLNAKDVCVTGRKLTDKFYRWYTGYVGHLKERSLKDQLAKDPTEVIRSKYFMQCFLITSCVMTGTEN >DRNTG_10836.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4981297:4985580:1 gene:DRNTG_10836 transcript:DRNTG_10836.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAVIQPFKGNLKKALAGIRRINLDGLRWRVFDAKGQVLGRLASQIAVVVQGKDKPTYTPNRDDGDMCIVLNAKDVCVTGRKLTDKFYRWYTGYVGHLKERSLKDQLAKDPTEVIRSKYFMQCFLITSCVMTGTEN >DRNTG_10836.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4982699:4985580:1 gene:DRNTG_10836 transcript:DRNTG_10836.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNNKLRDDRDRKLRIFAESDHPFTDRPLEPYVMPPRTVREMRPRARRALIRAQKKEEQKATPPDKKKANGDEIVCVTHSSSA >DRNTG_28298.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001432.1:31688:32153:-1 gene:DRNTG_28298 transcript:DRNTG_28298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNHSKKSRRVWKGSGNNNNCRQVHRTEQR >DRNTG_31894.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4525554:4529506:1 gene:DRNTG_31894 transcript:DRNTG_31894.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTITCKSCSVIIFILWGHFFVLLTMGQIFPMLLWTRLLFKSLVLLIHRKLRYNYLLLLRNMFEIHNQVQVVDSDAPAKDVTTHEPTQQLGLKWAHPIPMPETGCVLVATEKLDGVRSFERSVVLLLRLGNRDPREGPFGVIINRPYNKKIRHMKQPNPDLATTFGDCSVHFGGPLDANMFLLTSRGNRSLQGFEQVVPGISFGARNNLDEAIALVKKGILSPQDFKFFSGYAGWQFDQLIDEIESEYWVVAACSSYVIGGTSTESPGLWEQILQLMGGQYLELSRKPKQDSS >DRNTG_31894.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4525554:4529506:1 gene:DRNTG_31894 transcript:DRNTG_31894.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWSLNLKGGVPVLGVGTRMEKGLAMRQSLGWRVSSADETLGFGGLLEVKYFPARRMASYSSGFRSFELRAANKKNNPENSSPSGNNDPSIPEGDGSEETNPANDKTESDDTTHRPQPSLSDWRDFRANLVIRGQVQVVDSDAPAKDVTTHEPTQQLGLKWAHPIPMPETGCVLVATEKLDGVRSFERSVVLLLRLGNRDPREGPFGVIINRPYNKKIRHMKQPNPDLATTFGDCSVHFGGPLDANMFLLTSRGNRSLQGFEQVVPGISFGARNNLDEAIALVKKGILSPQDFKFFSGYAGWQFDQLIDEIESEYWVVAACSSYVIGGTSTESPGLWEQILQLMGGQYLELSRKPKQDSS >DRNTG_31894.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4525518:4529389:1 gene:DRNTG_31894 transcript:DRNTG_31894.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWSLNLKGGVPVLGVGTRMEKGLAMRQSLGWRVSSADETLGFGGLLEVKYFPARRMASYSSGFRSFELRAANKKNNPENSSPSGNNDPSIPEGDGSEETNPANDKTESDDTTHRPQPSLSDWRDFRANLVIRGQVQVVDSDAPAKDVTTHEPTQQLGLKWAHPIPMPETGCVLVATEKLDGVRSFERSVVLLLRLGNRDPREGPFGVIINRPYNKKIRHMKQPNPDLATTFGDCSVHFGGPLDANMFLLTSRGNRSLQGFEQVVPGISFGARNNLDEAIALVKKGILSPQDFKFFSGYAGWQFDQLIDEIESEYWVVAACSSYVIGGTSTESPGLWEQILQLMGGQYLELSRKPKQDSS >DRNTG_31894.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4525518:4529506:1 gene:DRNTG_31894 transcript:DRNTG_31894.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWSLNLKGGVPVLGVGTRMEKGLAMRQSLGWRVSSADETLGFGGLLEVKYFPARRMASYSSGFRSFELRAANKKNNPENSSPSGNNDPSIPEGDGSEETNPANDKTESDDTTHRPQPSLSDWRDFRANLVIRGQVQVVDSDAPAKDVTTHEPTQQLGLKWAHPIPMPETGCVLVATEKLDGVRSFERSVVLLLRLGNRDPREGPFGVIINRPYNKKIRHMKQPNPDLATTFGDCSVHFGGPLDANMFLLTSRGNRSLQGFEQVVPGISFGARNNLDEAIALVKKGILSPQDFKFFSGYAGWQFDQLIDEIESEYWVVAACSSYVIGGTSTESPGLWEQILQLMGGQYLELSRKPKQDSS >DRNTG_31894.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4525554:4529662:1 gene:DRNTG_31894 transcript:DRNTG_31894.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWSLNLKGGVPVLGVGTRMEKGLAMRQSLGWRVSSADETLGFGGLLEVKYFPARRMASYSSGFRSFELRAANKKNNPENSSPSGNNDPSIPEGDGSEETNPANDKTESDDTTHRPQPSLSDWRDFRANLVIRGQVQVVDSDAPAKDVTTHEPTQQLGLKWAHPIPMPETGCVLVATEKLDGVRSFERSVVLLLRLGNRDPREGPFGVIINRPYNKKIRHMKQPNPDLATTFGDCSVHFGGPLDANMFLLTSRGNRSLQGFEQVVPGISFGARNNLDEAIALVKKGILSPQDFKFFSGYAGWQFDQLIDEIESEYWVVAACSSYVIGGTSTESPGLWEQILQLMGGQYLELSRKPKQDSS >DRNTG_31894.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4525554:4529389:1 gene:DRNTG_31894 transcript:DRNTG_31894.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTITCKSCSVIIFILWGHFFVLLTMGQIFPMLLWTRLLFKSLVLLIHRKLRYNYLLLLRNMFEIHNQVQVVDSDAPAKDVTTHEPTQQLGLKWAHPIPMPETGCVLVATEKLDGVRSFERSVVLLLRLGNRDPREGPFGVIINRPYNKKIRHMKQPNPDLATTFGDCSVHFGGPLDANMFLLTSRGNRSLQGFEQVVPGISFGARNNLDEAIALVKKGILSPQDFKFFSGYAGWQFDQLIDEIESEYWVVAACSSYVIGGTSTESPGLWEQILQLMGGQYLELSRKPKQDSS >DRNTG_31894.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4525554:4529389:1 gene:DRNTG_31894 transcript:DRNTG_31894.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWSLNLKGGVPVLGVGTRMEKGLAMRQSLGWRVSSADETLGFGGLLEVKYFPARRMASYSSGFRSFELRAANKKNNPENSSPSGNNDPSIPEGDGSEETNPANDKTESDDTTHRPQPSLSDWRDFRANLVIRGQVQVVDSDAPAKDVTTHEPTQQLGLKWAHPIPMPETGCVLVATEKLDGVRSFERSVVLLLRLGNRDPREGPFGVIINRPYNKKIRHMKQPNPDLATTFGDCSVHFGGPLDANMFLLTSRGNRSLQGFEQVVPGISFGARNNLDEAIALVKKGILSPQDFKFFSGYAGWQFDQLIDEIESEYWVVAACSSYVIGGTSTESPGLWEQILQLMGGQYLELSRKPKQDSS >DRNTG_31894.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4525306:4529506:1 gene:DRNTG_31894 transcript:DRNTG_31894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWSLNLKGGVPVLGVGTRMEKGLAMRQSLGWRVSSADETLGFGGLLEVKYFPARRMASYSSGFRSFELRAANKKNNPENSSPSGNNDPSIPEGDGSEETNPANDKTESDDTTHRPQPSLSDWRDFRANLVIRGQVQVVDSDAPAKDVTTHEPTQQLGLKWAHPIPMPETGCVLVATEKLDGVRSFERSVVLLLRLGNRDPREGPFGVIINRPYNKKIRHMKQPNPDLATTFGDCSVHFGGPLDANMFLLTSRGNRSLQGFEQVVPGISFGARNNLDEAIALVKKGILSPQDFKFFSGYAGWQFDQLIDEIESEYWVVAACSSYVIGGTSTESPGLWEQILQLMGGQYLELSRKPKQDSS >DRNTG_14206.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11845186:11846240:-1 gene:DRNTG_14206 transcript:DRNTG_14206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKCNNVNREKIPRNTSKNTCNSNPLIPLGSNRSAATLDVVLHCSVPSSSADSNNNEQEPNQNNSNRLENVPKVNDAENLNIVDSNGQQKKRPNNFEGVVVITTRREDSGECKSFGTTNWARSTIIRGFLDMLARTGQQISLHYESWHKVPKTLKDELFKFTEKGLGLLAENNKNILIPLDRSALPERKRKW >DRNTG_25912.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19922308:19922937:-1 gene:DRNTG_25912 transcript:DRNTG_25912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITASDFYTVMCAMLPLYFAMLLAFASVRWWRIFSPDQCSGINRFVATFAVPVLSFHFISQNNPYEMDTKFILADTVSKLVVLLLLALWLMFFGCSTVTAANDHHHHHHHHGADVFGWAITLFSVATLPNTLVMGIPLLQAMYGNFTQSLMVQLVVLQCIVWFALFI >DRNTG_04736.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:86395:87171:-1 gene:DRNTG_04736 transcript:DRNTG_04736.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASESQSSTPSIPIRSESELKTLHEEWLIKQNKSYKSEAEKEERFKIFKDNLKYIDEHNAGNHSYKLGLNVFSDLTHEEYRSTFLGFKPPPEVDNQRQSDHSVGGGDEDGEGDQLPPKVGLANQ >DRNTG_04736.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:86395:87091:-1 gene:DRNTG_04736 transcript:DRNTG_04736.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASESQSSTPSIPIRSESELKTLHEEWLIKQNKSYKSEAEKEERFKIFKDNLKYIDEHNAGNHSYKLGLNVFSDLTHEEYRSTFLGFKPPPEVDNQRQSDHSVGGGDEDGEGDQLPPKVGLANQ >DRNTG_04736.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:86379:87140:-1 gene:DRNTG_04736 transcript:DRNTG_04736.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASESQSSTPSIPIRSESELKTLHEEWLIKQNKSYKSEAEKEERFKIFKDNLKYIDEHNAGNHSYKLGLNVFSDLTHEEYRSTFLGFKPPPEVDNQRQSDHSVGGGDEDGEGDQLPPKVGLANQ >DRNTG_04736.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:86379:87171:-1 gene:DRNTG_04736 transcript:DRNTG_04736.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESQSSTPSIPIRSESELKTLHEEWLIKQNKSYKSEAEKEERFKIFKDNLKYIDEHNAGNHSYKLGLNVFSDLTHEEYRSTFLGFKPPPEVDNQRQSDHSVGGGDEDGEGDQLPPKVGLANQ >DRNTG_04736.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:86395:87140:-1 gene:DRNTG_04736 transcript:DRNTG_04736.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASESQSSTPSIPIRSESELKTLHEEWLIKQNKSYKSEAEKEERFKIFKDNLKYIDEHNAGNHSYKLGLNVFSDLTHEEYRSTFLGFKPPPEVDNQRQSDHSVGGGDEDGEGDQLPPKVGLANQ >DRNTG_04736.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:86379:87091:-1 gene:DRNTG_04736 transcript:DRNTG_04736.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASESQSSTPSIPIRSESELKTLHEEWLIKQNKSYKSEAEKEERFKIFKDNLKYIDEHNAGNHSYKLGLNVFSDLTHEEYRSTFLGFKPPPEVDNQRQSDHSVGGGDEDGEGDQLPPKVGLANQ >DRNTG_27268.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22436253:22442451:1 gene:DRNTG_27268 transcript:DRNTG_27268.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:7-hydroxymethyl chlorophyll a reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G04620) UniProtKB/Swiss-Prot;Acc:Q8GS60] MALVLGVSSSIAAVSVRSPSFLPISCVDRKSSGSVELRDDWRKRSKPIPPGGIYPAKEHCSRCGLCDTYYIAHVRNACAFLGDGMSRIEALEPVVHGRGRNADLQDMYFGVHEELLYARKIKPVEGAQWTGIVTTIAVEMLKANLVEAVVCVQSDPNDRLSPRPVLARTPDEVFAAKGVKPTLSPNLDTLALVEAAGVKRLLFCGVGCQVEE >DRNTG_27268.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22436253:22442451:1 gene:DRNTG_27268 transcript:DRNTG_27268.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:7-hydroxymethyl chlorophyll a reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G04620) UniProtKB/Swiss-Prot;Acc:Q8GS60] MALVLGVSSSIAAVSVRSPSFLPISCVDRKSSGSVELRDDWRKRSKPIPPGGIYPAKEHCSRCGLCDTYYIAHVRNACAFLGDGMSRIEALEPVVHGRGRNADLQDMYFGVHEELLYARKIKPVEGAQWTGIVTTIAVEMLKANLVEAVVCVQSDPNDRLSPRPVLARTPDEVFAAKGVKPTLSPNLDTLALVEAAGVKRLLFCGVGCQVEALRSIEKHLGLEKLYVLGTNCVDNGTREGLEKFLKSASNDPDTVLHYEFMQDYKVHLKHLDGHIEEVPYFSLPANELVDVIAPSCYSCFDYTNALADLVVGYMGVPKYEGLSMTQHPQYITIRNERGREMFSLVENLLEITPTTSSGNRQPFVMETVKADDKAKAGKGPSQPAPRFIGNLIAYILNLIGPKGLEFARYSLDYHTIRNYLYVMRKWGKTRADRHIPSYAKKIVESYNESGVVDQMLSQK >DRNTG_16402.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4413723:4414321:1 gene:DRNTG_16402 transcript:DRNTG_16402.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSVNDRTDKYGGSLENRCRFPLEVVEAVVNEIGADRVGIRLSPYASYMESGDSNPEALGLYMASSLNKFGILFCHMVEPRMITVGERLEVPHNLLPMRNAFKGTFIVAGGYNREEGNKAITDNYADLVAYGRWFLSNPDLPRRFELNAPLNQYDRSTFYVPDSVVGFTDYPFLKSDA >DRNTG_16402.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4412117:4414321:1 gene:DRNTG_16402 transcript:DRNTG_16402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIPLMTPYQMGKFNLSHRVVLAPLTRQRSFGNIPQPHAILYYSQRTSKGGLLITEATGVSDTAQGYPNTPGIWTKEQVEAWKPIVDAVHEKGGIFFCQIWHVGRVSNYGFQPNGQAPISCTDKPLKPQKRANGTDVAEFSPARRLSTEEIPLVVNDFRIAARNAIEAGFDGVEIHGAHGYLLDQFMKDSVNDRTDKYGGSLENRCRFPLEVVEAVVNEIGADRVGIRLSPYASYMESGDSNPEALGLYMASSLNKFGILFCHMVEPRMITVGERLEVPHNLLPMRNAFKGTFIVAGGYNREEGNKAITDNYADLVAYGRWFLSNPDLPRRFELNAPLNQYDRSTFYVPDSVVGFTDYPFLKSDA >DRNTG_03738.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18243001:18244061:-1 gene:DRNTG_03738 transcript:DRNTG_03738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVLGMGLLDSIRGAEKTSVPAPLSLETMRMMGMVRRVRTWVFSLVLPAQEIAEDEGDEAGASQPAPKPQPASRETEAPPVAEEPPQCIYPRARCCLIICPQPRTLQAPSVPPAHPSSTPAPVDPPCASTSSAAAALEPKSDSDT >DRNTG_25085.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:684746:685688:-1 gene:DRNTG_25085 transcript:DRNTG_25085.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMAREKAELFSDGDRSSFSFLDLLGMRDFIPSSLLEHPPESTISSTSVEADPPKLNKSRSEKKGQKRKKGPRFAFRTKSEIDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTCVTCGVKKRVERSCEDPSVVVTTYEGQHTHPCPVAPRGVMPEVAGLSFAPPPVQLNTFIGGYSYLPLDARHVYGLPSSSTSSPAYPTADNAPFLY >DRNTG_25085.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:681030:685688:-1 gene:DRNTG_25085 transcript:DRNTG_25085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAREKAELFSDGDRSSFSFLDLLGMRDFIPSSLLEHPPESTISSTSVEADPPKLNKSRSEKKGQKRKKGPRFAFRTKSEIDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTCVTCGVKKRVERSCEDPSVVVTTYEGQHTHPCPVAPRGVMPEVAGLSFAPPPVQLNTFIGGYSYLPLDARHVYGLPSSSTSSPAYPTADNAPFLY >DRNTG_25085.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:683531:685688:-1 gene:DRNTG_25085 transcript:DRNTG_25085.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAREKAELFSDGDRSSFSFLDLLGMRDFIPSSLLEHPPESTISSTSVEADPPKLNKSRSEKKGQKRKKGPRFAFRTKSEIDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTCVTCGVKKRVERSCEDPSVVVTTYEGQHTHPCPVAPRGVMPEVAGLSFAPPPVQLNTFIGGYSYLPLDARHVYGLPSSSTSSPAYPTADNAPFLY >DRNTG_15899.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5985476:5988334:1 gene:DRNTG_15899 transcript:DRNTG_15899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAPTLASLMAIYSNGEAVVLVGKSLPPLQTSESNPLNPMSNGGESVKEEVSHESSGRPPFAIPQSMREEQVQNAVNFLSHPKVKGSPVIFRGAFLEKKGLSKEEIDEAFRRVPDSAPSNAAGAGTNTTNQANQVSQPNPSPALQPVAGGSSLGASLQQPRLQWNHALFAIGVLTASRAATGVLFKKMVVPRLQSLVQRVVEKDRESKKEVELKSSLAEEAAEAAEAAKAAKADASAAAIVAKADQELVNAKNEERKHLELFMEMMDMQMKEMKSMSKSIRELEMRTENGRYEDKSIGVHSMGNGMLIR >DRNTG_15899.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5986581:5988334:1 gene:DRNTG_15899 transcript:DRNTG_15899.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGESVKEEVSHESSGRPPFAIPQSMREEQVQNAVNFLSHPKVKGSPVIFRGAFLEKKGLSKEEIDEAFRRVPDSAPSNAAGAGTNTTNQANQVSQPNPSPALQPVAGGSSLGASLQQPRLQWNHALFAIGVLTASRAATGVLFKKMVVPRLQSLVQRVVEKDRESKKEVELKSSLAEEAAEAAEAAKAAKADASAAAIVAKADQELVNAKNEERKHLELFMEMMDMQMKEMKSMSKSIRELEMRTENGRYEDKSIGVHSMGNGMLIR >DRNTG_15899.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5986581:5988695:1 gene:DRNTG_15899 transcript:DRNTG_15899.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGESVKEEVSHESSGRPPFAIPQSMREEQVQNAVNFLSHPKVKGSPVIFRGAFLEKKGLSKEEIDEAFRRVPDSAPSNAAGAGTNTTNQANQVSQPNPSPALQPVAGGSSLGASLQQPRLQWNHALFAIGVLTASRAATGVLFKKMVVPRLQSLVQRVVEKDRESKKEVELKSSLAEEAAEAAEAAKAAKADASAAAIVAKADQELVNAKNEERKHLELFMEMMDMQMKEMKSMSKSIRELEMRTENGRYEDKSIGVHSMGNDFGEFMVKDQEGSR >DRNTG_19390.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22201751:22208277:-1 gene:DRNTG_19390 transcript:DRNTG_19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PP2A regulatory subunit TAP46 [Source:Projected from Arabidopsis thaliana (AT5G53000) UniProtKB/Swiss-Prot;Acc:Q8LDQ4] MVEFKMEDMALPALFEHARKIHSASDSAVDQETLTKGIEALRICDDRVSKLGLFSANESKDDVSTANLKYLLVPFYLGELIEKVAQDDRIPVLKKSQDQLKEFISICEALELVPEEEIGNSALGATDTLAVQRAKKIARFRRQRAAEAKLQEIRERKERRDRSLRAAALSTPIEVGEEDAQDDDGEEEREAWLTTISLAVCKAFDLLDMLKKEEQMLLAVKEKQSQEGEHEFSREILDERAKKAESWHQKAASRAPLIRPMQPITCATFAQDVIEGRAKATEFHEHKHQPMIFGPASLVGGRLASEREMMAARVFQPGHRLPTMSIEQAGLREMEIMNKWQEMNKKLIEESNSSWHKDGPITASDDEEAAEEKARAWDDWKDDNPRGAGNKKLTPCG >DRNTG_24285.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29555190:29558842:1 gene:DRNTG_24285 transcript:DRNTG_24285.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLRMLFAEDKNKVKKIVQGSFNSFRAMYKPFLEEYASEGLLKVSSFAHQKVFKQDSGLSATSHLFTSLPSTVLKQVAPKLGLKKLIDDSGVVSPNIVIHSRDKVKNSMHKVLRRIVMISSARQAVSGLVAAGGVNAAVYLARKISKSWRSRTT >DRNTG_24285.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29555190:29558842:1 gene:DRNTG_24285 transcript:DRNTG_24285.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLRMLFAEDKNKVKKIVQGSFNSFRAMYKPFLEEYASEGLLKVSSFAHQKVFKQDSGLSATSHLFTSLPSTVLKQVAPKLGLKKLIDDSGVVSPNIVIHSRDKVKNSMHKVLRRIVMISSARQAVSGLVAAGGVNAAVYLARKISKSWRSRTT >DRNTG_24285.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29558056:29558842:1 gene:DRNTG_24285 transcript:DRNTG_24285.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHKVLRRIVMISSARQAVSGLVAAGGVNAAVYLARKISKSWRSRTT >DRNTG_24285.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29555190:29558842:1 gene:DRNTG_24285 transcript:DRNTG_24285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPSFPTNNDKTSMVDYILGVQNPLQWHQDNLERNRDHYSNCMARLGPKTITRISNGIGVGVYFNPFVEWRGKKIKYGVVSMQDLAWDVLTWEKFYLSGRLQKPVHFLVDNWEISKVNSINLKAATSAALLFLPDEFTEEDLYGKICSLSYMGDLRMLFAEDKNKVKKIVQGSFNSFRAMYKPFLEEYASEGLLKVSSFAHQKVFKQDSGLSATSHLFTSLPSTVLKQVAPKLGLKKLIDDSGVVSPNIVIHSRDKVKNSMHKVLRRIVMISSARQAVSGLVAAGGVNAAVYLARKISKSWRSRTT >DRNTG_24285.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29557134:29558842:1 gene:DRNTG_24285 transcript:DRNTG_24285.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLRMLFAEDKNKVKKIVQGSFNSFRAMYKPFLEEYASEGLLKVSSFAHQKVFKQDSGLSATSHLFTSLPSTVLKQVAPKLGLKKLIDDSGVVSPNIVIHSRDKVKNSMHKVLRRIVMISSARQAVSGLVAAGGVNAAVYLARKISKSWRSRTT >DRNTG_24285.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29555190:29556180:1 gene:DRNTG_24285 transcript:DRNTG_24285.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYILGVQNPLQWHQDNLERNRDHYSNCMARLGPKTITRISNGIGVGVYFNPFVEWRGKKIKYGVVSMQDLAWDVLTWEKFYLSGRLQKPVR >DRNTG_24285.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29555920:29557036:1 gene:DRNTG_24285 transcript:DRNTG_24285.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLAWDVLTWEKFYLSGRLQKPVHFLVDNWEISKVNSINLKAATSAALLFLPDEFTE >DRNTG_20297.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5328224:5330242:1 gene:DRNTG_20297 transcript:DRNTG_20297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRKPPLHPHHPPSTTLVPSIAPTHHL >DRNTG_20297.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5328224:5328861:1 gene:DRNTG_20297 transcript:DRNTG_20297.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRKPPLHPHHPPSTTLVPSIAPTHHL >DRNTG_05005.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11817023:11817558:-1 gene:DRNTG_05005 transcript:DRNTG_05005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSIVKLKPEERKAPKCYNASIQLTASDRTTSNPPVHADASLPPPSSCNGHTERE >DRNTG_07843.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:42758:43066:-1 gene:DRNTG_07843 transcript:DRNTG_07843.2 gene_biotype:protein_coding transcript_biotype:protein_coding RKLRDCVKVEEVLRCIHIGLLCVQEDPTKRPSMATVVVMLRSYSISLPTPSTPAFFLPTTTNREANMLDTGHTNQEAGRSRSISANQLSISIVEPR >DRNTG_07843.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:42451:43066:-1 gene:DRNTG_07843 transcript:DRNTG_07843.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKLRDCVKVEEVLRCIHIGLLCVQEDPTKRPSMATVVVMLRSYSISLPTPSTPAFFLPTTTNREANMLDTGHTNQEAGRSRSISANQLSISIVEPR >DRNTG_03608.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:183260:200528:-1 gene:DRNTG_03608 transcript:DRNTG_03608.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose:glycoprotein glucosyltransferases;transferases, transferring hexosyl groups;transferases, transferring glycosyl groups [Source:Projected from Arabidopsis thaliana (AT1G71220) TAIR;Acc:AT1G71220] MERRIGARVFGLVLIVVFFLSLAVGSGAAESRRPKNVQVSLRAKWPGTPLLLEAGELLSKEWKGLFWKFAEGWMQSDKASECSSAKCCVQKIVDDGRALLSEHLGSVLEFSLTLRSASPRLVLYQQLAEDSLSSFPMEDEGNGEERKSCCWVDNGSTLLFKVSELRPWLDSTKHALDTPEQPELFDFDHIYVGSNASAPVAILYGALGTECFSEFHAVLAEYAKKGKAKYVVRPVLPSGCQAVTSYCGGIGTSDAVHLGGYGVELALKNMEYKAMDDSAIKKGVSLEDPRTEDLSQEVRGFIFSKILERKPDLTAEIMAFRDYLLSSTISDTLEVWELKDLGHQTAQRIVHALDPLQSMQEINQNFPSIVSSLSRMKINDSIKDEIIANQRMVPPGKSLMALNGALINIEDIDLYQLLELVHEELSVADQFSELKIPQDAVLKLLSAARPSESNAFRVDFRSTHVHYLNNLEEDAMYRRWRSNINEILMPVFPGQLRYIRKNLFHAVYVIDPATSCGAKTIDMILSMYESSIPMRFGVLLYSSKMIKLIEEGRELPAASTMHDSQKVGEDISSSIIQLFLYIKENYSSQLAFQFLSNVNKLWHAGEDTDDDVLEMHHVEGAFVEVLLSKAKSPPQEMLLKIGKESKYKVEAEESSRFVFNLGLSELHCCLLMNGLVHESNEEASINAMNEELPRIQEQVYYGHISSHTDILEKFLSESGFQRYNPQIIGEGKGMKRFVSLFPTYLQKESILGDVNYLHSPGMADDLKPVTHLLAVNGASREGIRLLREGLHFLMGSSKRSRVGIIFHLSDGAVSPALLLAKIFEKTTSLFSHKDKVLEFLDQVCSFYEGQYVSSPFWDSNSLKLFAEKVSELAEANALPSEDYKTIISGISEDAVRLQMEKVSSFLYGQLGLEFGINSVITNGRVIVPVENKPILSADFSLLESVEYEQRIKYILEVINEVEWVDIDPDDLTSKFYSDVIMLVSSAMSTRERSTERAHFEILNAKYSAIVLESKNSSIHIDAVIDPLSPSGQKLSPLLRILWKCIKPSMRIVLNPISSLVDLPLKNYYRYVVPSMDDFSAVDHAVNGPRAFFANMPQSKTLTMNLDVPEPWLVEPVIAIHDVDNILLENIGEMRTLQAVFELEALLLTGHCSEKDHEPPRGLQLILGTKRMPHVVDTLVMANLGYWQMKLSPGVWYLQLAPGRSSDLYVLNDSNDDDQSYPLSKRIVINDLRGKLVHIAVKKKPGKEHEELLDASDDANPTERKKGNSNSWNTNLLRWASGFIGGNGQPRKPNEINEVQKKGGRHGITINIFSIASGHLYERFLKIMILSVFKNTERPVKFWFIKNYLSPQFKDVIPHMAQEYGFEYELITYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLSLEKVIFVDADQVVRADMGELYDMDIKGRPLAYTPFCDNNKEMDGYRFWRQGFWRDHLRGKPYHISALYVVDLVKFRQTAAGDTLRVFYETLSKDPNSLSNLDQDLPNYAQHSVPIFSLPQEWLWCESWCGNATKSKAKTIDLCNNPMTKEPKLQGARRIIHEWPDLDAEARRVTARIFGEEIEAPESITPSPVQPDGSTNTDDEDDTESRSEL >DRNTG_12922.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1464974:1466523:1 gene:DRNTG_12922 transcript:DRNTG_12922.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRFPVVIGEAELILPESPTPVEFKYLSNLDDLVFFRHHMPFIHYYNSRHEGELCDPAAVIRRALSKALVHYYPLAGRLRHGENGKLVVDCCAEGVVFRDVEADVTLEQLKMVAGGLRPPSPYLSEFLVDDVKGGAFITDSPLLCMQVTRLKCGGFVLAYRVNHCICDAYGAFQFIKYLSELVREPNRSSPTQPPVWSRELLVPNSTPCPLFPHTEYHLNINSKHDTSKLMRTQKLTQTSIFLTGHDVFALKSKINKPKTTTFEVITALLWRAWACFLALDCETRLVFPIDTRRSHTPVLPVGYYGVALITPCTIIHAKQLVSQPLSFAVGLISELKSKVQDHKEYRSSAIDFIEMNGRSRFCNKVAFAVSDLSKLRFDKVDMGWGQCLYGGFARAGVGDVPGLMVAPLVRYKERRMGWRVCLPLSHCLLRLWMCFKRRCVVRLIALMPSHPLSRFGYKY >DRNTG_12922.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1464974:1465443:1 gene:DRNTG_12922 transcript:DRNTG_12922.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRFPVVIGEAELILPESPTPVEFKYLSNLDDLVFFRHHMPFIHYYNSRHEGELCDPAAVIRRALSKALVHYYPLAGRLRHGENGKLVVDCCAEGVVFRDVEADVTLEQLKMVAGGLRPPSPYLSEFLVDDVKGGAFITDSPLLCMQ >DRNTG_33450.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3045236:3048117:-1 gene:DRNTG_33450 transcript:DRNTG_33450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSACSHNGHNSRTCPGRGVKIFGVRFTDGAIRKSASMGNLSHYAGSGSVGGGSSPADGPEHGGAAADGYASEDFAQGSSSSCRERKRGVPWTEEEHRMFLIGLQKLGKGDWRGISRNFVVSRSPTQVASHAQKYFIRQANASRRKRRSSLFDIVHDEAADAPPCTVTGKEPTTQGNNPPSCPDEECESMDSNNIEETLPKPVCPMPAPCTYPMIYPAYFSPFFPFPHPCWPGYIQDGAEKETHEIVKPTATHSKTTPINIDELIGMSKLSIGDSTGQSPSSLLLGQSDRQSAFQPNLPSRDAAMNSSTSPIRAG >DRNTG_06604.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:571958:573584:-1 gene:DRNTG_06604 transcript:DRNTG_06604.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan endotransglucosylase/hydrolase protein 7 [Source:Projected from Arabidopsis thaliana (AT4G37800) UniProtKB/Swiss-Prot;Acc:Q8LER3] MKIKLIPGDSAGTVTAFYMNSDTDTIRDELDFEFLGNRTGQPYTVQTNVYAHGKGDREQRVNLWFDPAADFHQYCILWTHNEVRFSVDDVPIRVFKNNEARGLPYPKFQPMGVYSTLWEADDWATRGGLEKIDWSKAPFYAYYKDFDIEGCTGPAAASCAENPNNWWEGPAYKQLDAAQARKYKWVRMNHMIYDYCTDKSRYPVPPPECMAGI >DRNTG_21681.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1048836:1051709:-1 gene:DRNTG_21681 transcript:DRNTG_21681.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAAPSPIQLCVKAAVGDPDTLGDCPFSQRVLLTLEEKKVPYEMKLINVSDKPQWFLEISPEGKVPVLQSHGGEWVPDSDVITQIIEEKYPSPSLVTPPACASVGSKIFPSFVKFLLSNDPSDGLEQALVDELRGLDEHLKEHGPFINGENISAADLSLAPKLFHMEIALDHFKGWKIPEDLTNVHEYMKLLFNRESFLKTKPTKEHLIAGWAPKVNS >DRNTG_21681.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1048836:1051709:-1 gene:DRNTG_21681 transcript:DRNTG_21681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAAPSPIQLCVKAAVGDPDTLGDCPFSQRVLLTLEEKKVPYEMKLINVSDKPQWFLEISPEGKVPVLQSHGGEWVPDSDVITQIIEEKYPSPSLVTPPACASVGSKIFPSFVKFLLSNDPSDGLEQALVDELRGLDEHLKEHGPFINGENISAADLSLAPKLFHMEIALDHFKGWKIPEDLTNVHEYMKLLFNRESFLKTKPTKEHLIAGWAPKVNS >DRNTG_14262.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:980201:984405:-1 gene:DRNTG_14262 transcript:DRNTG_14262.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cwf15 / Cwc15 cell cycle control family protein [Source:Projected from Arabidopsis thaliana (AT3G13200) UniProtKB/TrEMBL;Acc:Q9LK52] MTTAARPTWAPAKGGNEQGGTRIFGPSQKYSSRDLASHTNLKPREGQDTQEEVQKRNLRDELEERERRHFSSKDKSYSEDRDRRKGSSSGQFLLEGGKKEIEDRIFPKNIDADDSDVDAKSDNESDDDDDDDDEDDTEALLAELERIKKERAEEKLRKDREKQEEELKAKEAELLRGNPLINLNDSTSFNVKRRWDDDVVFKNQTRGETKAPKRFINDTIRNDFHRKFLQKYMK >DRNTG_30912.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7128862:7136296:1 gene:DRNTG_30912 transcript:DRNTG_30912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNRGPMPGLSPEAAARFGEGSALVLARWTALQMAVQNGWGGRESRQKADKLASAVLSWFSNDKAPLYIDDLENLLDENMVLSFNTEIEDGSVEEVAEQLMIMHEDCLQGNFELIDQLIN >DRNTG_33643.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1979129:1981336:1 gene:DRNTG_33643 transcript:DRNTG_33643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFTSFILFMLLLIFAMFCLYVVLPILSLLIFYLKESMNKSGGPPIAGTIFHQLMHFDTLLDFHLDMLHKHGTFRLIKPSYIELFTTDPTIIEHVLKTRFSSYSKGQYNYEIVSDLFGDGIFAVDGEKWRHQRKLASFEFSTKVLREFSSVVFRSNAAKFCKTISDAASFGKQIEMQDLLMKSTLDSIFKVGFGVELDTLSGCNEQGTLFSRAFDDSNHIVFHRYSDLFWKVKRYLNIGMEARLKKNLKVIDDFVFQLIHEKRELMKSGLERDKEDILSRFILESERDPETMTDKYLRDIILNFLIAGKDTSANTLTWFFYMLCKHPLVQEKIAAEIKEAMGDEGLNGDIKSFTSSSLTDQVLDKMQYLHAALTETLRLYPAVPVDAKCAEEDDVLPSGLKMKKGDGITYLIYAMARMVDLWGDDAEEFRPERWLKNGKFQPESPFKFVTFNAGPRICLGKEFAYRQMKILAAVVLNFFKFKLGDESYVARYRTMFTLHMDKGLPLLAFHR >DRNTG_35229.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8865853:8876747:1 gene:DRNTG_35229 transcript:DRNTG_35229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLAVKLPLWWAVTLLLILTPLSAMVDSGTVLKLDRLLPGGMGLEALRARDRARHARLLLGSSPPAAASVVDFPVEGSGDPYTIGLYFTRIKLGNPPKEFYVQIDTGSDILWVTCEACNGCPTRSGLNIPLEFFNPRESSTASLVGCSDVRCGSFLQIGEKKCATSDFPSPLCGYNFQYGDGSGTSGYYVSDTVHFATIDGNEQMTNSSAAVIFGCSNLQSGDLMKSDRAIDGIFGFGQQELSVISQLSSQGMAPKVFSHCLKGSDDGGGILVLGEIIQPSIVYTPIVQLQPHYNINLTSIAVNGQPLPLDSSFFLTSSAQGTIIDSGTTLAYLAEQAYDPFVNAVTASVSSSVNTLIVKGNQCYVTSSSPEEAFPSVTLYFEGSASMALKPQDYLIQEGQIDNARIWCIGWQKNTGTGVTILGDLVLKDKIFVYDLLNQRIGWTDYDCSLAVNVSTSSTKTEFLDAGQLSLGGSSHRAHLKLLPTCIAVFLVHILMYAKQYLEHTQMAKLTYIGGSHSILH >DRNTG_10361.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5022837:5024716:-1 gene:DRNTG_10361 transcript:DRNTG_10361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSGVLASSTAVSPPPSHWSTSSPSIYCSFTSSALVVKWLVEASLVPSRFLQERCEEEFLWESRNQVR >DRNTG_05801.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19151584:19152757:-1 gene:DRNTG_05801 transcript:DRNTG_05801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQSLPCALDTVLYFRLSVRAHSSAREKPSPTPPDCKTPPTTTTTIFPIVTGPSTMATPPMAL >DRNTG_31707.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16589474:16589785:1 gene:DRNTG_31707 transcript:DRNTG_31707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVFFWIVFETDFFTKHFGVKPIGQDKEQLTAVLYLQVSIISQALIFVTRSRSWSFIERPGLLLVGAFFAAQL >DRNTG_20866.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:8641977:8649768:1 gene:DRNTG_20866 transcript:DRNTG_20866.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCQKGLPSSTNLIRKRASPPPSSSPLKTVFDT >DRNTG_20866.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:8641977:8649768:1 gene:DRNTG_20866 transcript:DRNTG_20866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCQKGLPSSTNLIRKRASPPPSSSPLKTVFDT >DRNTG_20866.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:8641977:8649309:1 gene:DRNTG_20866 transcript:DRNTG_20866.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNCQKGLPSSTNLIRKRASPPPSSSPLKTVFDT >DRNTG_25226.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17232153:17238573:1 gene:DRNTG_25226 transcript:DRNTG_25226.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Co-chaperone protein p23-1 [Source:Projected from Arabidopsis thaliana (AT4G02450) UniProtKB/Swiss-Prot;Acc:Q8L7U4] MSRHPEVKWAERSDKVYLTVLLPDAKNVKVNLEPEGTFTFSATAGTENSLYELKLDLHDKVNVEESKINTGVRSIFCVLEKAEQKWWNKLLSGDGKAPHYVKVDWDKWMDEDDDGQDKVNLGGMDFSNFAGMDGLDGMGGLGGMGGMGDGMDDEFDDSDEEGQEAEKTGENEHAQAETSSEAKPETAPSS >DRNTG_32612.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001868.1:55293:58580:1 gene:DRNTG_32612 transcript:DRNTG_32612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVMNYVVKKFGDLILREAANLHGANDQLEWLKRQHRRMQCFLKDADAKSQGDDERVKNWVIDVRDLAYEVEKVIDTCMNIDLRDSIGLITWRIKVHKSVQGIRDKMLELSQSKTLYGIADIGETMGTTSQSIHMPPILPQLNDDDIDKFGFDEEKKMIVQQLVDTSNTNRSVIAIVDIDGLGKVALVEAIYNDPEVKTSFDLVVWITISQKYTIIEILQNILSRVSGISSMDAIEILAVTLINELKKYKYLIILVDVWEENVWDQLQNFFPDVNNGSRVIITTRFSNVSSSMHFRGKSVYPGTKYTQAVSSSLQAAPTSLNMPDSTPERRVLTCQTSHVSTRTRPHCAYCQPIHISQTFPVIPRTSLSIPITEEILKSSNELMKKSSIISVISGQTSLEHLQAELPVALDLSQGDRKVSPFGINTFVISFHSEKEARTAESMDPILLDGPHGPCTVSLDPWSLEFGSMRTVTGSYRRITIVNLPLHCRDWATLVEAVKPAGDLVAVYKDDKVTLEFVYVMVRLRRVIHLPLELELTVDTTQYLIHLEDSQTFQPYNSGRSTMEITLQKQGPEGHVQLGPTRSTHEIPRKEKGKMLPGDGDDI >DRNTG_19599.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001024.1:40092:44635:1 gene:DRNTG_19599 transcript:DRNTG_19599.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISIDLSKSPQDKVETQYCNYKDEKHRWSAKQVRDNLDVDIGDKHRNFIWEISKLELTPLPETKLKELPAHLEIQDLPNVDSMKKSLITNHVDVCSTMWLQKKRILAMVL >DRNTG_12000.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7756446:7758468:-1 gene:DRNTG_12000 transcript:DRNTG_12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGSVASCLSECAPSQPPLDWPTRSQIALGLWTTRIPCDTAVCGTIGHIAPEYLSTGKSSEKTNVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVSSLYYLTSCNTSL >DRNTG_04553.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22598121:22598782:-1 gene:DRNTG_04553 transcript:DRNTG_04553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSKEERGSRLSSWLQTTSKSGRRHIRNLKVRCVCQD >DRNTG_07501.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4210419:4213986:1 gene:DRNTG_07501 transcript:DRNTG_07501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSCLPCLQAQPQALKDIQRCNGDKIGNHYNGPFPRPAMIGGPQPSNYCHHPSSQFQEVGRHVYQAYPHPNPFPYYQQQFFAPPPYDPFVNNRQTTSFVCNQAHTYHVEQNSRPNPPTLDHRFRSKALVRQWHVPPVPYSAAAPCSPAASVGVRTPPFDLSSASHYHNSFQGQHKVDKRASIVHQVEYYFSDENFAIRPSPASLLDKDGWVSIHCIASFRRGDKIRRRDWSKWIQASRHHASSSKSHVTIDPSQGEQRTPVTAKQSNLNQIMLQKDCLPCNNSIDNNDELNTLTQNLYNVKIVAEPAKDLKNSPCSREDKN >DRNTG_30362.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001566.1:57166:58259:-1 gene:DRNTG_30362 transcript:DRNTG_30362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLAPLLIVLLCLTTSGQAEVIKHGAETSNLVNKNHARKLMVEISLDYDYGGANNKHDPKKGKPGNGGKNP >DRNTG_04619.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3148373:3149782:-1 gene:DRNTG_04619 transcript:DRNTG_04619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTISTVSLPKMPPLLPKFHSPLRSLSVHCSSSSSSSSSASPSPAFDLRHYWTSLISTIDSRLDAAVPLRYPFRVHEAMRYSVLSGGAKRAPSVMCIATFDLIAGHDRRDAAFPTACALEMVHAASLVHDDLPCMDAAALRRGRPSNHALFGVDMAILAGDALFPLAYEHVTSATPLDLVSENAVLQVLTEIARTVGSTGMTAGQFLDLEGSYRSEAEVLNVLERKFGEMAECSAVCGGLLGGAGEEEVRKLRRYGRAVGVLYQLVDDILMEEKEGIGSNGRSLGKMKSNASVVNVVGMDRALQIAEDLIGKAKNELQGFEEKYGERVQPLYSFVDYAVDRWFVIEEAIPASAV >DRNTG_06665.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3058780:3059493:-1 gene:DRNTG_06665 transcript:DRNTG_06665.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYVSSASFLLAVYSNYLSMANAKLYCPAGETQPFELLTFAQSQADYILGKNPKAMSYMVGYQDKYPAHVHHRGASIPSVSILKSPIGCLEGFELWYNRQEANPNIIAGALVGGPNQKDEFYDQRNNYEQTEPAIAGTAPLVGLFARLSSLSGGSGYVPRNSSPKQSRQSGNISLHY >DRNTG_13580.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1998653:2000953:-1 gene:DRNTG_13580 transcript:DRNTG_13580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPRESHTITAVGDAKIVIFGGSGEVKTNYLNDIHIFDLKSMTWSSPDVKGELPAPRDSHTAVSMGNKLLIYGGDCGDRYHGEVDVLDMENMTWSRLVIQGSSPGVRAGHAAVTFGSKVYVIGGVGDSQYYSDVWVLDATNCSWTQLDIGGQQPQGRFSHTAVITGTDIAIYGGCGEDERPLNELLILQLGSEHPNGRYNISMCKIFGNHLIQDKQKFLKASENSQKSMVSSNGVLNQGSHEAEAETKSPLIRDNMHAKRRKIGENKEWEIESEEEEHSGGHDTNFLNVESPRQPKTEQFLRVIPPMKCDAQFIGSDQCPVARPVFPSLVSCITYSCL >DRNTG_13580.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1998653:2000953:-1 gene:DRNTG_13580 transcript:DRNTG_13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPRESHTITAVGDAKIVIFGGSGEVKTNYLNDIHIFDLKSMTWSSPDVKGELPAPRDSHTAVSMGNKLLIYGGDCGDRYHGEVDVLDMENMTWSRLVIQGSSPGVRAGHAAVTFGSKVYVIGGVGDSQYYSDVWVLDATNCSWTQLDIGGQQPQGRFSHTAVITGTDIAIYGGCGEDERPLNELLILQLGSEHPNGRYNISMCKIFGNHLIQDKQKFLKASENSQKSMVSSNGVLNQGSHEAEAETKSPLIRDNMHAKRRKIGENKEWEIESEEEEHSGGHDTNFLNVESPRQPKTEQFLRVIPPMKCDAQFIGSDQCPVARPVFPSLIGAEVHGVVDGASDSGYLMTANVNGQIFQGVLFAPVTGFAVPRPPMYSQSSPLGSPTLVPKLCAAAAHDVPIHVRPSQPPTLAIPERSHHWLQANPVCVVKTQAARSSNDLQGDVLTLGGPGAG >DRNTG_13580.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1998653:2000953:-1 gene:DRNTG_13580 transcript:DRNTG_13580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPRESHTITAVGDAKIVIFGGSGEVKTNYLNDIHIFDLKSMTWSSPDVKGELPAPRDSHTAVSMGNKLLIYGGDCGDRYHGEVDVLDMENMTWSRLVIQGSSPGVRAGHAAVTFGSKVYVIGGVGDSQYYSDVWVLDATNCSWTQLDIGGQQPQGRFSHTAVITGTDIAIYGGCGEDERPLNELLILQLGSEHPNGRYNISMCKIFGNHLIQDKQKFLKASENSQKSMVSSNGVLNQGSHEAEAETKSPLIRGLSQFIFCSFFFLKIIKNILNDLMHCLAVIDNMHAKRRKIGENKEWEIESEEEEHSGGHDTNFLNVESPRQPKTEQFLRVIPPMKCDAQFIGSDQCPVARPVFPSLIGAEVHGVVDGASDSGYLMTANVNGQIFQGVLFAPVTGFAVPRPPMYSQSSPLGSPTLVPKLCAAAAHDVPIHVRPSQPPTLAIPERSHHWLQANPVCVVKTQAARSSNDLQGDVLTLGGPGAG >DRNTG_06992.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1246515:1248147:-1 gene:DRNTG_06992 transcript:DRNTG_06992.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLVKNRVHDNVPNDSHPFTIPDLPGPEIKMVKSELPEFLQKHDFLTQAWDDLKRSQLESYGVVVNTFYEFEREYCDLFKVVDSQRAWFVGPLALRGKREVEAGFNKERCMRWLEKKEIGSVVFVCFGSWCHFKDEQLRELALGLEGSGMDFIWVVRGGDDENMKMEWMPEGWEERVKDKGLVFKGWVPQAAILGHEGVGVFMTHCGWNSVMEGLSFGKPMLTWPLAFDHFISERLLVEVLKVGVRVWDGFRSTLQNEKVVVPAEAIARAMKKFSEKDSEEMKKRAMEWKKITGATVEKDGSSYKDLNCLIDELLMFYEKKKNDSQ >DRNTG_06992.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1239413:1248147:-1 gene:DRNTG_06992 transcript:DRNTG_06992.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLVKNRVHDNVPNDSHPFTIPDLPGPEIKMVKSELPEFLQKHDFLTQAWDDLKRSQLESYGVVVNTFYEFEREYCDLFKVVDSQRAWFVGPLALRGKREVEASFNKERCMRWLEKKEVGSVVFVCFGSWYHFKDEQLRELALGLEGSGKDFIWVVRGDDEKMKMEWMPEGYEERVKEKGLVVKGWVPQAAILGHEGVGVFMTHCGWNSVLEGLSFGKPVLTWPLVFDQFINERFLVEVLKVGVRVWDGFRSSSENDKDKVVVPAEAIARAMKKFSESNEEEMKKRAVQWKEIAGDAVEEGGSSYKDLNCLINELLMFNKKKKNGSQ >DRNTG_06992.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1239367:1248219:-1 gene:DRNTG_06992 transcript:DRNTG_06992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLVKNRVHDNVPNDSHPFTIPDLPGPEIKMVKSELPEFLQKHDFLTQAWDDLKRSQLESYGVVVNTFYEFEREYCDLFKVVDSQRAWFVGPLALRGKREVEASFNKERCMRWLEKKEVGSVVFVCFGSWYHFKDEQLRELALGLEGSGKDFIWVVRGDDEKMKMEWMPEGYEERVKEKGLVVKGWVPQAAILGHEGVGVFMTHCGWNSVLEGLSFGKPVLTWPLVFDQFINERFLVEVLKVGVRVWDGFRSSSENDKDKVVVPAEAIARAMKKFSESNEEEMKKRAVQWKEIAGDAVEEGGSSYKDLNCLINELLMFNKKKKNGSQ >DRNTG_06992.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1239367:1248147:-1 gene:DRNTG_06992 transcript:DRNTG_06992.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLVKNRVHDNVPNDSHPFTIPDLPGPEIKMVKSELPEFLQKHDFLTQAWDDLKRSQLESYGVVVNTFYEFEREYCDLFKVVDSQRAWFVGPLALRGKREVEASFNKERCMRWLEKKEVGSVVFVCFGSWYHFKDEQLRELALGLEGSGKDFIWVVRGDDEKMKMEWMPEGYEERVKEKGLVVKGWVPQAAILGHEGVGVFMTHCGWNSVLEGLSFGKPVLTWPLVFDQFINERFLVEVLKVGVRVWDGFRSSSENDKDKVVVPAEAIARAMKKFSESNEEEMKKRAVQWKEIAGDAVEEGGSSYKDLNCLINELLMFNKKKKNGSQ >DRNTG_06992.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1246571:1248147:-1 gene:DRNTG_06992 transcript:DRNTG_06992.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLVKNRVHDNVPNDSHPFTIPDLPGPEIKMVKSELPEFLQKHDFLTQAWDDLKRSQLESYGVVVNTFYEFEREYCDLFKVVDSQRAWFVGPLALRGKREVEAGFNKERCMRWLEKKEIGSVVFVCFGSWCHFKDEQLRELALGLEGSGMDFIWVVRGGDDENMKMEWMPEGWEERVKDKGLVFKGWVPQAAILGHEGVGVFMTHCGWNSVMEGLSFGKPMLTWPLAFDHFISERLLVEVLKVGVRVWDGFRSTLQNEKVVVPAEAIARAMKKFSEKDSEEMKKRAMEWKKITGATVEKDGSSYKDLNCLIDELLMFYEKKKNDSQ >DRNTG_06992.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1239413:1248219:-1 gene:DRNTG_06992 transcript:DRNTG_06992.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLVKNRVHDNVPNDSHPFTIPDLPGPEIKMVKSELPEFLQKHDFLTQAWDDLKRSQLESYGVVVNTFYEFEREYCDLFKVVDSQRAWFVGPLALRGKREVEASFNKERCMRWLEKKEVGSVVFVCFGSWYHFKDEQLRELALGLEGSGKDFIWVVRGDDEKMKMEWMPEGYEERVKEKGLVVKGWVPQAAILGHEGVGVFMTHCGWNSVLEGLSFGKPVLTWPLVFDQFINERFLVEVLKVGVRVWDGFRSSSENDKDKVVVPAEAIARAMKKFSESNEEEMKKRAVQWKEIAGDAVEEGGSSYKDLNCLINELLMFNKKKKNGSQ >DRNTG_06992.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1239413:1241049:-1 gene:DRNTG_06992 transcript:DRNTG_06992.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGEGGSDGQRKLRVFFIPFFAASHIIPMTELACLFAGHPGVEPTIVTTPANANLIRPTLDHHAASGCHVHLLLHPFPSVDLPASVENLSTVSAEESWKIYKAVELCRDIHHKLLTHHVPDAIIADIPYWWTTDIAGELGIPRITFHVVGVFPQIIMNNLAKNRVHDNVPNDSHPFTIPDLPGPSITMVKSELPEFLRLHDHVTHAWDNMKRSQLESYGVVVNTFYELEREYCDLFKVVDSQRAWFVGPLALRGKREVEASFNKERCMRWLEKKEVGSVVFVCFGSWYHFKDEQLRELALGLEGSGKDFIWVVRGDDEKMKMEWMPEGYEERVKEKGLVVKGWVPQAAILGHEGVGVFMTHCGWNSVLEGLSFGKPVLTWPLVFDQFINERFLVEVLKVGVRVWDGFRSSSENDKDKVVVPAEAIARAMKKFSESNEEEMKKRAVQWKEIAGDAVEEGGSSYKDLNCLINELLMFNKKKKNGSQ >DRNTG_07330.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23414717:23415583:1 gene:DRNTG_07330 transcript:DRNTG_07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRRCPKFSTVPRIPTLQELHMERSFCESQISLVSKERRFFKHLESLRSLTINSCTEELVLSLEDEEETRVMKASLESLDIGNCNQLSLTLVLQNLPSLRDLWVGSLGKLVFWPDGMQGMKSLNNLTISSCENFTGISSQSDCAPPFLKCLRISGCDALRELPMCPKSLQSLSIDSCSGIKSLWPELGHITSLSFLWLSKCPKLVSLSDGMQGLTSLRYLYIVDCPGLKSFPKGLQQLLPTLKELTIKGCPELERLCKPGGDYHNLLFT >DRNTG_00329.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2294477:2294893:-1 gene:DRNTG_00329 transcript:DRNTG_00329.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCEDGDEYAPVVVPPDEAMPVEDQAN >DRNTG_00329.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2294477:2300215:-1 gene:DRNTG_00329 transcript:DRNTG_00329.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSLQAFLQWKTLASLFLCCTEAFIEVIYWQLKYGFQKQKSTTAAEGKEISVFLDDAWFSKDIFLYRLCKDFFLLVLQSPVIDGDLLTWTRKLKGLLEDIFGWDFEESALDVMCEDGDEYAPVVVPPDEAMPVEDQAN >DRNTG_00329.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2294477:2300215:-1 gene:DRNTG_00329 transcript:DRNTG_00329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQLMEQLNSSKFSRTTPKDSHRHKCYYTKISQIVKRKDISGEELTAMNLDKTQLLETILLKDFKGAENLLLAELQFAFVAFMMGQSLQAFLQWKTLASLFLCCTEAPLRTRSQLFSKFIEVIYWQLKYGFQKQKSTTAAEGKEISVFLDDAWFSKDIFLYRLCKDFFLLVLQSPVIDGDLLTWTRKLKGLLEDIFGWDFEESALDVMCEDGDEYAPVVVPPDEAMPVEDQAN >DRNTG_00329.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2294477:2299298:-1 gene:DRNTG_00329 transcript:DRNTG_00329.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLITEPIGGDITIACESGLIPDVPRTAMEKQLMEQLNSSKFSRTTPKDSHRHKCYYTKISQIVKRKDISGEELTAMNLDKTQLLETILLKDFKGAENLLLAELQFAFVAFMMGQSLQAFLQWKTLASLFLCCTEAPLRTRSQLFSKFIEVIYWQLKYGFQKQKSTTAAEGKEISVFLDDAWFSKDIFLYRLCKDFFLLVLQSPVIDGDLLTWTRKLKGLLEDIFGWDFEESALDVMCEDGDEYAPVVVPPDEAMPVEDQAN >DRNTG_00329.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2294477:2300215:-1 gene:DRNTG_00329 transcript:DRNTG_00329.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQLMEQLNSSKFSRTTPKDSHRHKCYYTKISQIVKRKDISGEELTAMNLDKTQLLETILLKDFKGAENLLLAELQFAFVAFMMGQSLQAFLQWKTLASLFLCCTEAFIEVIYWQLKYGFQKQKSTTAAEGKEISVFLDDAWFSKDIFLYRLCKDFFLLVLQSPVIDGDLLTWTRKLKGLLEDIFGWDFEESALDVMCEDGDEYAPVVVPPDEAMPVEDQAN >DRNTG_11690.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:357667:358116:1 gene:DRNTG_11690 transcript:DRNTG_11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding HNRKDQHTSLQTHGLKKRNKKNYSAL >DRNTG_25041.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8819413:8821497:1 gene:DRNTG_25041 transcript:DRNTG_25041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELKEWMVRVGKVEDGVWMRRGASPRSPMVIAVDNKENLPPWRSARRRRSPLPAWYPRTPLRDITVIVRALERSRARMEAAAAERRRLGIGTESSPRLLLSPSPLPPVSSPSADQSLETLSPPLSVKPSAKTLNPIEAEEKLCRSIDVIEKSVLKNLKKTKEQNTRRTTTARRNILLSMR >DRNTG_25041.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8819606:8821497:1 gene:DRNTG_25041 transcript:DRNTG_25041.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPELKEWMVRVGKVEDGVWMRRGASPRSPMVIAVDNKENLPPWRSARRRRSPLPAWYPRTPLRDITVIVRALERSRARMEAAAAERRRLGIGTESSPRLLLSPSPLPPVSSPSADQSLETLSPPLSVKPSAKTLNPIEAEEKLCRSIDVIEKSVLKNLKKTKEQNTRRTTTARRNILLSMR >DRNTG_28762.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24204842:24207109:-1 gene:DRNTG_28762 transcript:DRNTG_28762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSTAAGVGSGGVVVGYADSVDSSPRSRGGESWDEPFPSSSPAPKLRLMCSYGGHIVPRPTDKSLCYLGGETRIVVVDRHSTLSDLCARLSRSLLGNRPFTLKYQLPNEDLDSLISVTTDEDLDNMIEEYDRLLHSNPNPSRSSRIRLFLFPSKPDSAPSSIGSLLDDSKSETWFVDALNNAIGVGGGGLPRGLSADSASVNCLLGLEDDSSTHSRAGGAPPPDPDHLVLHRPDSSGRLPSVPDSPMLETTSSFGSASSAPSLSNLPPIRVRPEDRPPDPRMGGLEDHFSQMNISNAPPQQPPPPQPSFPLPSTIVSPPTISPTENPNRVFSDDERSEKSDHGGVRRAPPQVHQHPQAQQTSIPESMPRTMYAANPVAEAKREMPFSDQSYRIPPPQQQQQQQQQQLPPQQIQATDQSYMMPSLTTDHPQQFITTGNPHYLHHPATGTAVPIQSYYHLPPSLQQSQQPHHFDPQISMYQYYVPVRPNPGPPLTNPQIQAARPIPSATAAATRAPMVPAGMGYHMVPQQAPAMPNYAAYDPSMYYTPTSAPPPQMLQAQYQAVSLAEAQSPPADSRPTRAS >DRNTG_12115.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7068786:7069577:-1 gene:DRNTG_12115 transcript:DRNTG_12115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDEHSFCSINKCGNQMATQHWIASKVCDWLRQEGDLSAKELRRRLNEKYNVDLPYQRVWRGKEEAMSTIHGNWEDSYMKITDFKDELMRRNHGSIVKIDKESKEEKSHFKRMFICLGLCSTWFLLGCRPFFGLDGCHLKGKYKGVMAAASAIDGCNRLFPVSYDILEFETLSLGHGS >DRNTG_20266.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001109.1:3723:9836:1 gene:DRNTG_20266 transcript:DRNTG_20266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDETDQRGMIDYAWDHAVISDKVYFGVKKSCNFSVPDSKICNAALDKYFDVYNLIDMYSLYAPTCVNSSISYSRQMNMIEGVAPKLFSKYRGWHQKPAGYDPCVSDYTEVYFNRQDVQEALHANTTKIRYNWTHCSDVITKWKEAPSSVLPVIRKLVDGGVRVWVFSGDTDGRIPVTATRYTLRKLGLKILHDWKAWYNNEQVGGWRIVYDGLTFVTIRGAGHQVPTFAPKQARQLVIHFLAGQQLPSSAF >DRNTG_25853.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8793123:8797632:-1 gene:DRNTG_25853 transcript:DRNTG_25853.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFLRINRHFFVRSLSSLSFSPSEVRPFSKFLIHRLMPRSGTMAARLSTAAGSPKLLFRQLFEKESSTYTYLLADVGHPEKPALIVDPVDRTVDRDLSLVKELGLKVIYAMNTHVHADHITGTGLIKSRVSGVKSVISTASNAKADILVEHGDKIYFGNLFLEVRATPGHTLGCLTYVTGDEPDQPHPRMAFTGDALLIRGCGRTDFQGGSSHKLYESVHSQIFTLPKDTLLYPAHDYNGFTVSTIEEEMLYNPRLSKDEDTFKTIMENLSLPYPKMIDAAVPANLVCGMQDLPAN >DRNTG_25853.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8793123:8796071:-1 gene:DRNTG_25853 transcript:DRNTG_25853.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHVHADHITGTGLIKSRVSGVKSVISTASNAKADILVEHGDKIYFGNLFLEVRATPGHTLGCLTYVTGDEPDQPHPRMAFTGDALLIRGCGRTDFQGGSSHKLYESVHSQIFTLPKDTLLYPAHDYNGFTVSTIEEEMLYNPRLSKDEDTFKTIMENLSLPYPKMIDAAVPANLVCGMQDLPAN >DRNTG_25853.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8793123:8793739:-1 gene:DRNTG_25853 transcript:DRNTG_25853.3 gene_biotype:protein_coding transcript_biotype:protein_coding QIFTLPKDTLLYPAHDYNGFTVSTIEEEMLYNPRLSKDEDTFKTIMENLSLPYPKMIDAAVPANLVCGMQDLPAN >DRNTG_01039.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18628212:18631513:-1 gene:DRNTG_01039 transcript:DRNTG_01039.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin-2 complex subunit H2 [Source:Projected from Arabidopsis thaliana (AT3G16730) UniProtKB/Swiss-Prot;Acc:Q9LUR0] MQITSGKVQDALLASIAETEKQTEMASRVSSWKQRIESTLEEQENHPAFDIHYYGERILGKLSLEPDSRGSTSFTNIVMGQSKHEVARAFSALLQLVNNGDVDLQRSQPCDKVICYTSTTPFHVRLLKNSKREEPVIRPGKKRVKSPLKKRGGKSTLSPKETSVPVKSSQHNGRSSVKLAKNSAGKCTPDGKRQRRSRLIDTVDILSAG >DRNTG_01039.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18628212:18633146:-1 gene:DRNTG_01039 transcript:DRNTG_01039.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin-2 complex subunit H2 [Source:Projected from Arabidopsis thaliana (AT3G16730) UniProtKB/Swiss-Prot;Acc:Q9LUR0] MSENEDFFAAPSDGGGEKFHILQPGRGLESNWEVDLARNLEDYLHKICSGEISGEQDNAHHSINFAEAALLLQGSIQVYSRKVEYLYSLVLHALEFLSQKRQDPQENDFNQPGGQSDVDISEQDETFWGLDDVPVEAKSFLDDRLNKDELNQFVRPPANLLVLEGDCLDASGDAGELETYLLATCSFYGDFLLLDPCDAGAVNSFLNADPFEKENTAPYRGSSVRSKSPHSFYPSSTGKSKGAAHLSSPSNDQDAKLNQVPVNSCNFEINNDNQWSAPHGDASFHQDDTWNDREPFPDVRDDSDDDSEDPWKPLNPHEPGNLKIKPFRKGKQNGWQPIRDNKLNFIKSQFPLAKLEGTISPEFAEVMEAQLHEKEQLHASHPPLFEKLRRSLVFGEQEYVSGDNDDGNDDDDDDDGDGTDLPDFGQAEFGFAHKIDSMDVDVPFNHEKHFDSTVDHEGAEAFPHDNVDSNASLEDLCKSHLDALLASIAETEKQTEMASRVSSWKQRIESTLEEQENHPAFDIHYYGERILGKLSLEPDSRGSTSFTNIVMGQSKHEVARAFSALLQLVNNGDVDLQRSQPCDKVICYTSTTPFHVRLLKNSKREEPVIRPGKKRVKSPLKKRGGKSTLSPKETSVPVKSSQHNGRSSVKLAKNSAGKCTPDGKRQRRSRLIDTVDILSAG >DRNTG_19559.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2934000:2935507:1 gene:DRNTG_19559 transcript:DRNTG_19559.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSALISLLVPRSSSSSPLRESHKEETKSLIKEEVGALRAELNRVSKENEKLTEMLQTMYVNYRSLQSKMAELMTESSEKRSVSPSASASPVKKRKSESLETNSNIVADNPNGMINFIRYHTETTSSCDSLKPIKDDSRPKISKVYVRTDASDSSLIVKDGYQWRKYGQKVTRDNPCPRAYFRCAFAPACQVKKKVQRSAEDQSILVATYEGDHTHGQPSRPEKNNGTAAIVPPTPTKKKACRSDESPEIQWRLVEQMASSLTKDPTFTAALASAISDKMLDHSPSRS >DRNTG_15974.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5108937:5115153:1 gene:DRNTG_15974 transcript:DRNTG_15974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQETAADPILAPSSSPSALSVRTTKPGTKRLLVTLSILLSGLVFLPIFYKSTEIYRSPLPFSSISFLSSHLHSLRPPIPTLLHVLFLRSHPIDSTDSHRISDLITLDLQSRTKSQVSVSISAHSGEGLESLDLSDDEAMDAFLLGMVDASVYTVVVVERAVKGNAKVVVGKHRHAWVVGLVEDSEVARVIAGVFWKYFVNGGREDGEMVGGEEGDALPVGSDGSLVLSFSLLNADPSDGVYDWNIQKADRVLAPILESLAPIANITVESQVLYHAPKSSISNWDDSLRGYALSTSALPFFVNSNEWHLDTSIAAPGRSKVLQFVLCSLRNRMASCVTISKWRDFSDQ >DRNTG_15974.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5108937:5115105:1 gene:DRNTG_15974 transcript:DRNTG_15974.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQETAADPILAPSSSPSALSVRTTKPGTKRLLVTLSILLSGLVFLPIFYKSTEIYRSPLPFSSISFLSSHLHSLRPPIPTLLHVLFLRSHPIDSTDSHRISDLITLDLQSRTKSQVSVSISAHSGEGLESLDLSDDEAMDAFLLGMVDASVYTVVVVERAVKGNAKVVVGKHRHAWVVGLVEDSEVARVIAGVFWKYFVNGGREDGEMVGGEEGDALPVGSDGSLVLSFSLLNADPSDGVYDWNIQKADRVLAPILESLAPIANITVESQVLYHAPKSSISNWDDSLRGYALSTSALPFFVNSNEWHLDTSIAAPGRSKVLQFVVYVPSATEWPLVLQFPNGETSQTNSFVSPMWGGVVVWNPPESSRNVQKPHFGKHIISTEDLQKIFQVFVGQLRVLFGLRSNNLDDAEIGVTKFLATPKGFTAWELDVLHRRHTYLNLLSCASTLDSLSKLVKSLPRMIIMDEIGKQVKLSVEVASLAQNYVSHKIYNASAVSSRNARALAEDAFYHPSIMSISYSSFEHTIAIYMPFFVPVSLQVLIAAKKELTRYKRERAKYLASIA >DRNTG_11682.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:330528:335054:1 gene:DRNTG_11682 transcript:DRNTG_11682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGHMPPSRNMRRSWFMKWISKLFGGGSSRGASNGRRLRISGEENTFWHEPARSMDDQSKAEKEELDRAIALSLAENGKRRNGQCDNDEDLDRALRESLSMSASHPYNPVQCVPRDSRVCGGCNKEIGYGHYLSCMGAFWHPQCFRCHACGLPICEKEFSLSGSHPYHKSCHKELHHPKCDVCHQFIPTNRSGLIEYRAHPFWRQNYCPSHEHDNTPRCCSCERLEVCTLLLIMYSCSHF >DRNTG_11682.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:330653:335054:1 gene:DRNTG_11682 transcript:DRNTG_11682.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDGHLSSHPCIYGHMPPSRNMRRSWFMKWISKLFGGGSSRGASNGRRLRISGEENTFWHEPARSMDDQSKAEKEELDRAIALSLAENGKRRNGQCDNDEDLDRALRESLSMSASHPYNPVQCVPRDSRVCGGCNKEIGYGHYLSCMGAFWHPQCFRCHACGLPICEKEFSLSGSHPYHKSCHKELHHPKCDVCHQFIPTNRSGLIEYRAHPFWRQNYCPSHEHDNTPRCCSCERLETRSVKYISLGDGRSLCLECLDSAIMDTGECQPLYHSIRDYYEGMYMKIDQQIPMLLVERQALNEAIEGEKDGHHHMPETRGLCLSEEQTVSSIHRKPKIGGNRVVDMRTHPQKLTRRCEVTAILVLYGLPRLLTGSILAHELMHGWLRLKGYRNLSPVVEEGICQVLSHMWLESEVMPESRSMPATNIASSSSSLPSSGKKGGKSDIEKRLGEFFLHQIAHDISPAYGEGFRAANAAVLKFGLRRTLDHIRFTGGFPE >DRNTG_28667.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6236952:6241037:1 gene:DRNTG_28667 transcript:DRNTG_28667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRREIPPSCKTYGFPIYCAAWAPLPHLSPSPTEGEEEEGEETREQGEEPCLIAVLGGGGGEGHSGIPNVLLLSRFDVPALSLSDQPVSKIVTDDNVPYRMAVHPRGDGIICAFPKDCRWFVWNFPHKKVYKPALKSSEQKLTKLEGVGLQLALAFNAEGSILAAGGEDGHLRVFKWPSMEIILYETDVHATVKDLAFSFDAKFLVSLGNSGPCRVWDLTSSKIAASLSREDGEVFGFCKFSRHADNTQLLYITAMHGDYGKIIIWNTDSWKRISTKKIIRDAISAFNVSTDGKLLAIGTVEGDVLILNSSNMGVQMRVRKAHLGIVTAVVFSQDSRFLISASFDSTARVIPVESKTKKGLNVWLIIFVILVAMMAYYYINRKEVLKGWGI >DRNTG_06571.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:488599:489630:1 gene:DRNTG_06571 transcript:DRNTG_06571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIENPARANGQIFNVGNPNNEVTVKQLAEMMIEVYSKVAGEPVLDVPTIDVSSREFYGEGYDDSDRRIPDMTIISNQLGWSPKASLSDLLESTLTYQHKTYAEAIKRVTARSATSNWILQT >DRNTG_06571.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:488935:489630:1 gene:DRNTG_06571 transcript:DRNTG_06571.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIISNQLGWSPKASLSDLLESTLTYQHKTYAEAIKRVTARSATSNWILQT >DRNTG_32009.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001772.1:11945:12679:-1 gene:DRNTG_32009 transcript:DRNTG_32009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKLRILILSTTSLRGDIPPWIGNMTSLTDLELSGNLLTGSIPATLGKLHNLQFLELYYNRLTGVIPEELGNLSKLIDIDLSVNQLTGEIPEKLCELPELQVLQLYSNKLTGEIPMELSNSTTLTMLSIYRNSLSGRVPPMLGKFSGLIVLELSENQFSGELPAETCAGGQLLYFLTLGNFFSGTLPESLGSCLSLIRFRVNDNHLTGTIPESIFGLPHASIIDLGFNQLHGSIPKSIA >DRNTG_01870.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21169026:21169658:-1 gene:DRNTG_01870 transcript:DRNTG_01870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTYCPDCKRSTKEVFDHSAGDTMCSECGLVLESRSIDETSEWRTFANESSDNDPVRVGGPSNPLLSDGGLSTLISSSTSGAGHRSDSLPSSSLGRWQNRGTNSDRSLIIAFRTIAVMADRLGLVATIK >DRNTG_01870.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21167824:21169658:-1 gene:DRNTG_01870 transcript:DRNTG_01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTYCPDCKRSTKEVFDHSAGDTMCSECGLVLESRSIDETSEWRTFANESSDNDPVRVGGPSNPLLSDGGLSTLISSSTSGAGHRSDSLPSSSLGRWQNRGTNSDRSLIIAFRTIAVMADRLGLVATIKDRANEIYKKVEDLKSVKGRNQDAVFAACIYIACRQEDKPRTVKEICSVANGATKKEIGRAKEFIVKQLEIEKGQSMEMGAIHAGDFLRRFCSGLGMNNQAIKAALEAVQKSEELDIR >DRNTG_01870.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21169264:21169658:-1 gene:DRNTG_01870 transcript:DRNTG_01870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADTYCPDCKRSTKEVFDHSAGDTMCSECGLVLESRSIDETSEWRTFANESSDNDPVRVGGPSNPLLSDGGLSTLISSSTSGAGHRSDSLPSSSLGRWQNRGTNSDRSLIIAFRTIAVMADR >DRNTG_26854.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14108384:14109986:-1 gene:DRNTG_26854 transcript:DRNTG_26854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFGMGLYGLFISNSPEVPAHRDRALKGSSLFGMFALKERPKWMKISSLDELKTKVGHVIVMILLVKMFERSKMVTIATGLDLLSYAVCIFLSSASLYILHNLHRE >DRNTG_26854.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14108384:14109694:-1 gene:DRNTG_26854 transcript:DRNTG_26854.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFGMGLYGLFISNSPEVPAHRDRALKGSSLFGMFALKERPKWMKISSLDELKTKVGHVIVMILLVKMFERSKMVTIATGLDLLSYAVCIFLSSASLYILHNLHRE >DRNTG_22865.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4376104:4385669:-1 gene:DRNTG_22865 transcript:DRNTG_22865.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter binding protein-like 7 [Source:Projected from Arabidopsis thaliana (AT5G18830) UniProtKB/TrEMBL;Acc:F4JZI4] MDSSSPPTTPRVSPMEDPLIAEDPSTWEWGTLFDFSVNDDDPLLFPWPGSSQDQPVVSLPPVSPPPAPESSPASPSDGLGRIRKRDPRLVCSNFLAGRVPCACPELDAQEREEEEVAEAIAGARKRVRMSGTAAVVRCQVPGCEVDIRELKGYHRRHRVCLKCANAPSVVLDGEHKRYCQQCGKFHILPDFDEGKRSCRRKLERHNKRRRRRSTNPSSNVEKEKELQGDLLADGNCDAQTKEMMKASATGTPINNKTLDIETILESDDGDGSPSSPVPSFQNVQCNSTMTLTASDETNKEEKIDYSKPALSSTFSNKSGYSSVCPTGRISFKLYDWNPAEFPRRLRHQIFQWLANMPVELEGYIRPGCTILTVFLAMPQFMWEMLSQDVAFYVRDMVSAPESLFCGRGNILVHLSNKIVQVMRDGSSLMNIKMEVQVPRLHYVYPTYFEAGKPMEFVACGSNLDRPKFRFLVSFAGKYLVCNSCYAITHETGMLNKENARNSTEIPDHEMLRISITQTESEVFGPAFIEVENESGLSNFIPVLFGNKRVCSELARIQEAFDKTSSGSEKGCHTMINTENPDSCESLTSRQTAMSDILLDIGWLIKNPSVEESEVAFSSINIQRITCLLEFLTRNEFVNILEEILQNIDHIIGEKGFHESVDKTSDADFELFMKQVNHARDTLSQIFEHGHGSVDVRTLSHGVLVPRSPKSRTIRTFPSTDKQQGSRNKQFPGSIDAISDSDETVPLVPNHSQHCSPSSVLGWPRESWRDIIPKTVMSSRFTAFIMVSAVMCFAACIAVLHPQKAKEFTVTLRRCLFGSER >DRNTG_22865.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4376104:4385669:-1 gene:DRNTG_22865 transcript:DRNTG_22865.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter binding protein-like 7 [Source:Projected from Arabidopsis thaliana (AT5G18830) UniProtKB/TrEMBL;Acc:F4JZI4] MDSSSPPTTPRVSPMEDPLIAEDPSTWEWGTLFDFSVNDDDPLLFPWPGSSQDQPVVSLPPVSPPPAPESSPASPSDGLGRIRKRDPRLVCSNFLAGRVPCACPELDAQEREEEEVAEAIAGARKRVRMSGTAAVVRCQVPGCEVDIRELKGYHRRHRVCLKCANAPSVVLDGEHKRYCQQCGKFHILPDFDEGKRSCRRKLERHNKRRRRRSTNPSSNVEKEKELQGDLLADGNCDAQTKEMMKASATGTPINNKTLDIETILESDDGDGSPSSPVPSFQNVQCNSTMTLTASDETNKEEKIDYSKPALSSTFSNKSGYSSVVAYHSSFMIGILLNFLAGCATKFSSGWLTCLLSWRVIYALDVQF >DRNTG_22865.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4376104:4385669:-1 gene:DRNTG_22865 transcript:DRNTG_22865.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter binding protein-like 7 [Source:Projected from Arabidopsis thaliana (AT5G18830) UniProtKB/TrEMBL;Acc:F4JZI4] MDSSSPPTTPRVSPMEDPLIAEDPSTWEWGTLFDFSVNDDDPLLFPWPGSSQDQPVVSLPPVSPPPAPESSPASPSDGLGRIRKRDPRLVCSNFLAGRVPCACPELDAQEREEEEVAEAIAGARKRVRMSGTAAVVRCQVPGCEVDIRELKGYHRRHRVCLKCANAPSVVLDGEHKRYCQQCGKFHILPDFDEGKRSCRRKLERHNKRRRRRSTNPSSNVEKEKELQGDLLADGNCDAQTKEMMKASATGTPINNKTLDIETILESDDGDGSPSSPVPSFQNVQCNSTMTLTASDETNKEEKIDYSKPALSSTFSNKSGYSSVCPTGRISFKLYDWNPAEFPRRLRHQIFQWLANMPVELEGYIRPGCTILTVFLAMPQFMWEMLSQDVAFYVRDMVSAPESLFCGRGNILVHLSNKIVQVMRDGSSLMNIKMEVQVPRLHYVYPTYFEAGKPMEFVACGSNLDRPKFRFLVSFAGKYLVCNSCYAITHETGMLNKENARNSTEIPDHEMLRISITQTESEVFGPAFIEVENESGLSNFIPVLFGNKRVCSELARIQEAFDKTSSGSEKGCHTMINTENPDSCESLTSRQTAMSDILLDIGWLIKNPSVEESEVAFSSINIQRITCLLEFLTRNEFVNILEEILQNIDHIIGEKGFHESVDKTSDADFELFMKQVNHARDTLSQIFEHGHGSVDVRTLSHGVLVPRSPKSRTIRTFPSTDKQGSRNKQFPGSIDAISDSDETVPLVPNHSQHCSPSSVLGWPRESWRDIIPKTVMSSRFTAFIMVSAVMCFAACIAVLHPQKAKEFTVTLRRCLFGSER >DRNTG_19397.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22163199:22163898:-1 gene:DRNTG_19397 transcript:DRNTG_19397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAPGLRQQKMPANENENNNTRKPYLSEAWLLSLRRSETPKLRWADQKSAEDKKKQLRSWVRALASNVRQEC >DRNTG_11420.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:914278:916166:1 gene:DRNTG_11420 transcript:DRNTG_11420.8 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRLKSRKGDAFPKLMMSSHHNKQNQKRELKGLPSSPPLVDSNTLISELAIESQAFPIGPSPPAPLHVLAFQE >DRNTG_11420.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:913842:916345:1 gene:DRNTG_11420 transcript:DRNTG_11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRLKSRKGDAFPKLMMSSHHNKQNQKRELKGLPSSPPLVDSNTLISELAIESQAFPIGPSPPAPLHVLAFQE >DRNTG_11420.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:914278:916098:1 gene:DRNTG_11420 transcript:DRNTG_11420.9 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRLKSRKGDAFPKLMMSSHHNKQNQKRELKGLPSSPPLVDSNTLISELAIESQAFPIGPSPPAPLHVLAFQE >DRNTG_11420.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:914129:916345:1 gene:DRNTG_11420 transcript:DRNTG_11420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRLKSRKGDAFPKLMMSSHHNKQNQKRELKGLPSSPPLVDSNTLISELAIESQAFPIGPSPPAPLHVLAFQE >DRNTG_11420.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:913842:916166:1 gene:DRNTG_11420 transcript:DRNTG_11420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRLKSRKGDAFPKLMMSSHHNKQNQKRELKGLPSSPPLVDSNTLISELAIESQAFPIGPSPPAPLHVLAFQE >DRNTG_11420.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:914187:916098:1 gene:DRNTG_11420 transcript:DRNTG_11420.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRLKSRKGDAFPKLMMSSHHNKQNQKRELKGLPSSPPLVDSNTLISELAIESQAFPIGPSPPAPLHVLAFQE >DRNTG_11420.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:914129:916098:1 gene:DRNTG_11420 transcript:DRNTG_11420.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRLKSRKGDAFPKLMMSSHHNKQNQKRELKGLPSSPPLVDSNTLISELAIESQAFPIGPSPPAPLHVLAFQE >DRNTG_11420.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:913842:916098:1 gene:DRNTG_11420 transcript:DRNTG_11420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRLKSRKGDAFPKLMMSSHHNKQNQKRELKGLPSSPPLVDSNTLISELAIESQAFPIGPSPPAPLHVLAFQE >DRNTG_11420.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:914187:916166:1 gene:DRNTG_11420 transcript:DRNTG_11420.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRLKSRKGDAFPKLMMSSHHNKQNQKRELKGLPSSPPLVDSNTLISELAIESQAFPIGPSPPAPLHVLAFQE >DRNTG_32060.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5327511:5328522:-1 gene:DRNTG_32060 transcript:DRNTG_32060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSPNNSCILCGTSCETIDHLFGDCRIAKQFWSHLSLRINIFVHFRFCFWPLAH >DRNTG_25643.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21814967:21816335:1 gene:DRNTG_25643 transcript:DRNTG_25643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTLHVFEHGHNTTPVIIKKALSQALVLYYPLAGRLVKSDSGELEVDCTGDGVLFIEAFANCTLEDVRYLELPLMISKDEILPFPLSENVKAIGALVMMQVTKFECGGYVIGLRFNHTVADGIGAAQFITAVGELARGYRQPVIGPAVWCREKLPLLKHLKPGPPPSSNTAKKLIYNTFDISNQYIDNLKMKYHLQTGLNCSKFDIMMAKVWKCRTKAIEPEPELKVHLTFAANTRHLLHQIGKGYYGNCIFPVTVSASSENIMNSSIVDIVDMIKDAKTELPAKYMKWLKGEMEMDPFQQKNEYDQYVYASDWTKVGFSEIDYGWGVPMYIGPVSMSDDIASCVLLRSPAYKDGARLITRCVKKEHLHAFQSEMMS >DRNTG_08505.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000381.1:46942:49519:1 gene:DRNTG_08505 transcript:DRNTG_08505.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWSCSGTRSPCFHGAEDIAVIDYARLTGWPFRVFSLDTGRLNPETYRFFDRVDKHYDMHIEYMVPDALEVQKLVRSKGLLSFYGDEHQKYCRVREVRPFRRAHKGLNAWIT >DRNTG_08505.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000381.1:46942:49519:1 gene:DRNTG_08505 transcript:DRNTG_08505.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVNEALELFGNEIAMLSVCGAEDIAVIDYARLTGWPFRVFSLDTGRLNPETYRFFDRVDKHYDMHIEYMVPDALEVQKLVRSKGLLSFYGDEHQKYCRVREVRPFRRAHKGLNAWIT >DRNTG_08505.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000381.1:46942:49519:1 gene:DRNTG_08505 transcript:DRNTG_08505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWSCSGTRSPCFHGAEDIAVIDYARLTGWPFRVFSLDTGRLNPETYRFFDRVDKHYDMHIEYMVPDALEVQKLVRSKGLLSFYGDEHQKYCRVREVRPFRRAHKGLNAWIT >DRNTG_08505.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000381.1:46942:49519:1 gene:DRNTG_08505 transcript:DRNTG_08505.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIVNEALELFGNEIAMLSVCGAEDIAVIDYARLTGWPFRVFSLDTGRLNPETYRFFDRVDKHYDMHIEYMVPDALEVQKLVRSKGLLSFYGDEHQKYCRVREVRPFRRAHKGLNAWIT >DRNTG_08505.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000381.1:46942:49519:1 gene:DRNTG_08505 transcript:DRNTG_08505.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIVNEALELFGNEIAMLSVCGAEDIAVIDYARLTGWPFRVFSLDTGRLNPETYRFFDRVDKHYDMHIEYMVPDALEVQKLVRSKGLLSFYGDEHQKYCRVREVRPFRRAHKGLNAWIT >DRNTG_12794.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2141423:2143467:-1 gene:DRNTG_12794 transcript:DRNTG_12794.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGKRVQLRGFAGEDATHGSAVDGKDADPRLLRLDLKHNQLTDDILPLPYSLRYLVLSSNNHSVAALANFIGAEFGKLDILVNNASVAGVIMDVEGLKALNVDPQSWVSSSVDALPLGKQPRDRKKPS >DRNTG_29511.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2225713:2226332:1 gene:DRNTG_29511 transcript:DRNTG_29511.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLHSISTSPSKHLPMVSIPSLSLKQSFLSFHHSPFLHSQQSYSSILELCASHKALLQGQQIHAHMLTSNALANDDGFLSTKLLFMYGKCGHLASAKLVFDEMPQRTVFAWNAMIGAYVSAGLPWEAVKLFRDMSVCGAVPDACTFASVLKACGEVGNICFGVEVHCLAVKHGLVSTTFVANA >DRNTG_06436.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29890331:29891557:-1 gene:DRNTG_06436 transcript:DRNTG_06436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMICSRCQGGFIQELNEVHGGGMGMDVHRNHAMHHNHGGMGGMGMDVHGNHAMHGGGGGGHNMIHNHGGMGGMGMHDMGGGHNMIHNHGGMGGMGMHDMGGGHNMYHNHGGMGGMGMNHHHGNHAMHDMGGGTHDHGMGMQFPAHGRGHFLRDEVDDAGMMMMNHGFDFMDNNGELDRPAAPVRSADTDSLPTVTITQRHVDDESNCPICMEEFELDSQVKETPCKHLFHGNCITPWLQQHTTCPVCRQALSSHGASGGSNGGGTTANRSSNNGGAAVNGSSHTGGAAANGSNNGGAAANGSNNGARNGRLDDGEGEPNPLPLIISTGLSLAVLLFINLQNFRR >DRNTG_20288.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5238816:5243039:1 gene:DRNTG_20288 transcript:DRNTG_20288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQPHEYAAAMAFTQHQQQQSGMQQQYGFHPQQQQYPQQFPQQAHAPFLPSHPSLQQLPFHRQMAPQQQFFPHHHHPLVHLQQPPQPPPAFAPHMPPHMTPPYMQPFDAVPPPAAPPSDPELQKRIDKSVEYAAKNGPEFEAMIREKQHDNPAYSFLFGGEGHAYYRYKLWLSTRPPSSAFNPAYPSSMQMMSSPHNPMLNPSALSPSPLGGAQASIMAQPQLQQPPFPPYYDPHQQPPHSQPMMAQARTDYDTSAKSFKGLSGPLPSDVAAELNGVLNNLNGTKESIKGAKIWFMQRSPFAPALAEALRDRIFALDDSERQLHIVFLANDILFDSLQRRVNMRELDNEAHAFKPVLGAMLARIYNNPHNKDANQSRLEKILQFWASKEVYDPETIGRLEQEMTGGLSLRLPREESTALDPSGLHQTLSQWQPEKQHSTPGSLEEKETEMQLPHGAVPPPQFAPPMAQPYHQVPAGMYPPVAQAPFPGPLPIPPAVPPTANVAADKTPPPYPLFPPGLIPGMVRKMQIGSGVPYSPMSPLDIPTAIPPSTVPQSEILEQVSKFFKDIGEVNPSEGPMKRSESNDEDDEYEREPPVRKGGACIPPPPNLQQVDPETGMFADGSIDRKSGSSGSGRLGLGATANPNEVSQYDDVYTSYRKQRSTSYHSSMSARASAR >DRNTG_23939.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15668818:15669751:-1 gene:DRNTG_23939 transcript:DRNTG_23939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFLTFSMAYAHTIIVCNLATMISVLEDLSGPLALLRSVHLIKGQTQAGLLMFLGSTIGMAFV >DRNTG_23957.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16209474:16211626:1 gene:DRNTG_23957 transcript:DRNTG_23957.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLMEKAPVDEIDSKFRLFKQFDSVQDYSDHHFRNNKFVQESKLQQRWIRKIRNEWTLLEKHLPEMIYVRIYEDRMDLLRAVIVGPAGTPYHNGLFFFDFHFPEGYPNTPPLVYHHSHGLGLNPNLYANGVVCVSLLNTWVGSISERWNPVMSTMLQVLVSIQGLILNERPYFNEPLLSYFSTTRLANIPSRSYNADIFLLSCKKMLYNLRKPPKHLEDFVAGHFSHTRAHNSEDMQKHTWLVFQLALLLLIACNLIHLGSNHQNLAQNLSYCL >DRNTG_23957.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16209243:16211626:1 gene:DRNTG_23957 transcript:DRNTG_23957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVCFSSSSSATAHQRKKNNSLATESQKAKMKDLMEKAPVDEIDSKFRLFKQFDSVQDYSDHHFRNNKFVQESKLQQRWIRKIRNEWTLLEKHLPEMIYVRIYEDRMDLLRAVIVGPAGTPYHNGLFFFDFHFPEGYPNTPPLVYHHSHGLGLNPNLYANGVVCVSLLNTWVGSISERWNPVMSTMLQVLVSIQGLILNERPYFNEPLLSYFSTTRLANIPSRSYNADIFLLSCKKMLYNLRKPPKHLEDFVAGHFSHTRAHNSEDMQKHTWLVFQLALLLLIACNLIHLGSNHQNLAQNLSYCL >DRNTG_23957.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16210071:16211626:1 gene:DRNTG_23957 transcript:DRNTG_23957.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLMEKAPVDEIDSKFRLFKQFDSVQDYSDHHFRNNKFVQESKLQQRWIRKIRNEWTLLEKHLPEMIYVRIYEDRMDLLRAVIVGPAGTPYHNGLFFFDFHFPEGYPNTPPLVYHHSHGLGLNPNLYANGVVCVSLLNTWVGSISERWNPVMSTMLQVLVSIQGLILNERPYFNEPLLSYFSTTRLANIPSRSYNADIFLLSCKKMLYNLRKPPKHLEDFVAGHFSHTRAHNSEDMQKHTWLVFQLALLLLIACNLIHLGSNHQNLAQNLSYCL >DRNTG_14843.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22968814:22972815:1 gene:DRNTG_14843 transcript:DRNTG_14843.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRSSRKKRNFVVEFEEECSGFAASELKSAFEKLVNRLSLLYLDAIRPEDSHQFKKQSCLCAESLKCSDMEESEACSTAPLPQFPLNLRSSELTFERSKHQKTKGENDLRDFIFDYFLEDGSENAFFSPSRQKLYDLIEKAIKAKILLNSSLFLNLDDLDDTCRELSESTCCSTPCGDASLQELKKEFDTFEKQISEMRISETLLVPSSSTNSTCSCQSTSSLNSSLTKGIIHCKWKGGIPCFVFTMTEDSSKVFIANSQKIESSTDKSLDCMYLFHSVAGSKKNTKSSGNCVTEFAAKMKVSMSFIMNSNGSKIAETEFVLFEASEDHSKQTQTSSSSAVKSKGLSKKVAEMFKPSHSFKDKSTRKTNELCFRYDELLQEPILSELCNSDSLVSKNFREYDFRPNLELAAIVVKDYQSNSQKRPAEGGWGLKFLETIRLGKADTSLESSSTLKTCNKRQSDRNMNVIIPAGMHGGPNDSNGGPSCLTERWKSGGYCECGGWDIGCPLTVLRSSILQGDTKSLDFFVEGVENGEPLLKYGENQ >DRNTG_14843.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22969828:22974038:1 gene:DRNTG_14843 transcript:DRNTG_14843.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRSSRKKRNFVVEFEEECSGFAASELKSAFEKLVNRLSLLYLDAIRPEDSHQFKKQSCLCAESLKCSDMEESEACSTAPLPQFPLNLRSSELTFERSKHQKTKGENDLRDFIFDYFLEDGSENAFFSPSRQKLYDLIEKAIKAKILLNSSLFLNLDDLDDTCRELSESTCCSTPCGDASLQELKKEFDTFEKQISEMRISETLLVPSSSTNSTCSCQSTSSLNSSLTKGIIHCKWKGGIPCFVFTMTEDSSKVFIANSQKIESSTDKSLDCMYLFHSVAGSKKNTKSSGNCVTEFAAKMKVSMSFIMNSNGSKIAETEFVLFEASEDHSKQTQTSSSSAVKSKGLSKKVAEMFKPSHSFKDKSTRKTNELCFRYDELLQEPILSELCNSDSLVSKNFREYDFRPNLELAAIVVKDYQSNSQKRPAEGGWGLKFLETIRLGKADTSLESSSTLKTCNKRQSDRNMNVIIPAGMHGGPNDSNGGPSCLTERWKSGGYCECGGWDIGCPLTVLRSSILQGDTKSLDFFVEGVENGEPLLKYGENQ >DRNTG_14843.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22969828:22972815:1 gene:DRNTG_14843 transcript:DRNTG_14843.17 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRSSRKKRNFVVEFEEECSGFAASELKSAFEKLVNRLSLLYLDAIRPEDSHQFKKQSCLCAESLKCSDMEESEACSTAPLPQFPLNLRSSELTFERSKHQKTKGENDLRDFIFDYFLEDGSENAFFSPSRQKLYDLIEKAIKAKILLNSSLFLNLDDLDDTCRELSESTCCSTPCGDASLQELKKEFDTFEKQISEMRISETLLVPSSSTNSTCSCQSTSSLNSSLTKGIIHCKWKGGIPCFVFTMTEDSSKVFIANSQKIESSTDKSLDCMYLFHSVAGSKKNTKSSGNCVTEFAAKMKVSMSFIMNSNGSKIAETEFVLFEASEDHSKQTQTSSSSAVKSKGLSKKVAEMFKPSHSFKDKSTRKTNELCFRYDELLQEPILSELCNSDSLVSKNFREYDFRPNLELAAIVVKDYQSNSQKRPAEGGWGLKFLETIRLGKADTSLESSSTLKTCNKRQSDRNMNVIIPAGMHGGPNDSNGGPSCLTERWKSGGYCECGGWDIGCPLTVLRSSILQGDTKSLDFFVEGVENGEPLLKYGENQ >DRNTG_14843.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22968814:23053666:1 gene:DRNTG_14843 transcript:DRNTG_14843.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRSSRKKRNFVVEFEEECSGFAASELKSAFEKLVNRLSLLYLDAIRPEDSHQFKKQSCLCAESLKCSDMEESEACSTAPLPQFPLNLRSSELTFERSKHQKTKGENDLRDFIFDYFLEDGSENAFFSPSRQKLYDLIEKAIKAKILLNSSLFLNLDDLDDTCRELSESTCCSTPCGDASLQELKKEFDTFEKQISEMRISETLLVPSSSTNSTCSCQSTSSLNSSLTKGIIHCKWKGGIPCFVFTMTEDSSKVFIANSQKIESSTDKSLDCMYLFHSVAGSKKNTKSSGNCVTEFAAKMKVSMSFIMNSNGSKIAETEFVLFEASEDHSKQTQTSSSSAVKSKGLSKKVAEMFKPSHSFKDKSTRKTNELCFRYDELLQEPILSELCNSDSLVSKNFREYDFRPNLELAAIVVKDYQSNSQKRPAEGGWGLKFLETIRLGKADTSLESSSTLKTCNKRQSDRNMNVIIPAGMHGGPNDSNGGPSCLTERWKSGGYCECGGWDIGCPLTVLRSSILQGDTKSLDFFVEGVENGEPLLKYGENQ >DRNTG_14843.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22969551:23053666:1 gene:DRNTG_14843 transcript:DRNTG_14843.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRSSRKKRNFVVEFEEECSGFAASELKSAFEKLVNRLSLLYLDAIRPEDSHQFKKQSCLCAESLKCSDMEESEACSTAPLPQFPLNLRSSELTFERSKHQKTKGENDLRDFIFDYFLEDGSENAFFSPSRQKLYDLIEKAIKAKILLNSSLFLNLDDLDDTCRELSESTCCSTPCGDASLQELKKEFDTFEKQISEMRISETLLVPSSSTNSTCSCQSTSSLNSSLTKGIIHCKWKGGIPCFVFTMTEDSSKVFIANSQKIESSTDKSLDCMYLFHSVAGSKKNTKSSGNCVTEFAAKMKVSMSFIMNSNGSKIAETEFVLFEASEDHSKQTQTSSSSAVKSKGLSKKVAEMFKPSHSFKDKSTRKTNELCFRYDELLQEPILSELCNSDSLVSKNFREYDFRPNLELAAIVVKDYQSNSQKRPAEGGWGLKFLETIRLGKADTSLESSSTLKTCNKRQSDRNMNVIIPAGMHGGPNDSNGGPSCLTERWKSGGYCECGGWDIGCPLTVLRSSILQGDTKSLDFFVEGVENGEPLLKYGENQ >DRNTG_14843.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22969551:22972815:1 gene:DRNTG_14843 transcript:DRNTG_14843.15 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRSSRKKRNFVVEFEEECSGFAASELKSAFEKLVNRLSLLYLDAIRPEDSHQFKKQSCLCAESLKCSDMEESEACSTAPLPQFPLNLRSSELTFERSKHQKTKGENDLRDFIFDYFLEDGSENAFFSPSRQKLYDLIEKAIKAKILLNSSLFLNLDDLDDTCRELSESTCCSTPCGDASLQELKKEFDTFEKQISEMRISETLLVPSSSTNSTCSCQSTSSLNSSLTKGIIHCKWKGGIPCFVFTMTEDSSKVFIANSQKIESSTDKSLDCMYLFHSVAGSKKNTKSSGNCVTEFAAKMKVSMSFIMNSNGSKIAETEFVLFEASEDHSKQTQTSSSSAVKSKGLSKKVAEMFKPSHSFKDKSTRKTNELCFRYDELLQEPILSELCNSDSLVSKNFREYDFRPNLELAAIVVKDYQSNSQKRPAEGGWGLKFLETIRLGKADTSLESSSTLKTCNKRQSDRNMNVIIPAGMHGGPNDSNGGPSCLTERWKSGGYCECGGWDIGCPLTVLRSSILQGDTKSLDFFVEGVENGEPLLKYGENQ >DRNTG_14843.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22969828:23053666:1 gene:DRNTG_14843 transcript:DRNTG_14843.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRSSRKKRNFVVEFEEECSGFAASELKSAFEKLVNRLSLLYLDAIRPEDSHQFKKQSCLCAESLKCSDMEESEACSTAPLPQFPLNLRSSELTFERSKHQKTKGENDLRDFIFDYFLEDGSENAFFSPSRQKLYDLIEKAIKAKILLNSSLFLNLDDLDDTCRELSESTCCSTPCGDASLQELKKEFDTFEKQISEMRISETLLVPSSSTNSTCSCQSTSSLNSSLTKGIIHCKWKGGIPCFVFTMTEDSSKVFIANSQKIESSTDKSLDCMYLFHSVAGSKKNTKSSGNCVTEFAAKMKVSMSFIMNSNGSKIAETEFVLFEASEDHSKQTQTSSSSAVKSKGLSKKVAEMFKPSHSFKDKSTRKTNELCFRYDELLQEPILSELCNSDSLVSKNFREYDFRPNLELAAIVVKDYQSNSQKRPAEGGWGLKFLETIRLGKADTSLESSSTLKTCNKRQSDRNMNVIIPAGMHGGPNDSNGGPSCLTERWKSGGYCECGGWDIGCPLTVLRSSILQGDTKSLDFFVEGVENGEPLLKYGENQ >DRNTG_14843.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22969828:22972815:1 gene:DRNTG_14843 transcript:DRNTG_14843.16 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRSSRKKRNFVVEFEEECSGFAASELKSAFEKLVNRLSLLYLDAIRPEDSHQFKKQSCLCAESLKCSDMEESEACSTAPLPQFPLNLRSSELTFERSKHQKTKGENDLRDFIFDYFLEDGSENAFFSPSRQKLYDLIEKAIKAKILLNSSLFLNLDDLDDTCRELSESTCCSTPCGDASLQELKKEFDTFEKQISEMRISETLLVPSSSTNSTCSCQSTSSLNSSLTKGIIHCKWKGGIPCFVFTMTEDSSKVFIANSQKIESSTDKSLDCMYLFHSVAGSKKNTKSSGNCVTEFAAKMKVSMSFIMNSNGSKIAETEFVLFEASEDHSKQTQTSSSSAVKSKGLSKKVAEMFKPSHSFKDKSTRKTNELCFRYDELLQEPILSELCNSDSLVSKNFREYDFRPNLELAAIVVKDYQSNSQKRPAEGGWGLKFLETIRLGKADTSLESSSTLKTCNKRQSDRNMNVIIPAGMHGGPNDSNGGPSCLTERWKSGGYCECGGWDIGCPLTVLRSSILQGDTKSLDFFVEGVENGEPLLKYGENQ >DRNTG_14843.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22968943:22972815:1 gene:DRNTG_14843 transcript:DRNTG_14843.11 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRSSRKKRNFVVEFEEECSGFAASELKSAFEKLVNRLSLLYLDAIRPEDSHQFKKQSCLCAESLKCSDMEESEACSTAPLPQFPLNLRSSELTFERSKHQKTKGENDLRDFIFDYFLEDGSENAFFSPSRQKLYDLIEKAIKAKILLNSSLFLNLDDLDDTCRELSESTCCSTPCGDASLQELKKEFDTFEKQISEMRISETLLVPSSSTNSTCSCQSTSSLNSSLTKGIIHCKWKGGIPCFVFTMTEDSSKVFIANSQKIESSTDKSLDCMYLFHSVAGSKKNTKSSGNCVTEFAAKMKVSMSFIMNSNGSKIAETEFVLFEASEDHSKQTQTSSSSAVKSKGLSKKVAEMFKPSHSFKDKSTRKTNELCFRYDELLQEPILSELCNSDSLVSKNFREYDFRPNLELAAIVVKDYQSNSQKRPAEGGWGLKFLETIRLGKADTSLESSSTLKTCNKRQSDRNMNVIIPAGMHGGPNDSNGGPSCLTERWKSGGYCECGGWDIGCPLTVLRSSILQGDTKSLDFFVEGVENGEPLLKYGENQ >DRNTG_14843.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22968814:23053666:1 gene:DRNTG_14843 transcript:DRNTG_14843.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRSSRKKRNFVVEFEEECSGFAASELKSAFEKLVNRLSLLYLDAIRPEDSHQFKKQSCLCAESLKCSDMEESEACSTAPLPQFPLNLRSSELTFERSKHQKTKGENDLRDFIFDYFLEDGSENAFFSPSRQKLYDLIEKAIKAKILLNSSLFLNLDDLDDTCRELSESTCCSTPCGDASLQELKKEFDTFEKQISEMRISETLLVPSSSTNSTCSCQSTSSLNSSLTKGIIHCKWKGGIPCFVFTMTEDSSKVFIANSQKIESSTDKSLDCMYLFHSVAGSKKNTKSSGNCVTEFAAKMKVSMSFIMNSNGSKIAETEFVLFEASEDHSKQTQTSSSSAVKSKGLSKKVAEMFKPSHSFKDKSTRKTNELCFRYDELLQEPILSELCNSDSLVSKNFREYDFRPNLELAAIVVKDYQSNSQKRPAEGGWGLKFLETIRLGKADTSLESSSTLKTCNKRQSDRNMNVIIPAGMHGGPNDSNGGPSCLTERWKSGGYCECGGWDIGCPLTVLRSSILQGDTKSLDFFVEGVENGEPLLKYGENQ >DRNTG_14843.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22968943:22972815:1 gene:DRNTG_14843 transcript:DRNTG_14843.12 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRSSRKKRNFVVEFEEECSGFAASELKSAFEKLVNRLSLLYLDAIRPEDSHQFKKQSCLCAESLKCSDMEESEACSTAPLPQFPLNLRSSELTFERSKHQKTKGENDLRDFIFDYFLEDGSENAFFSPSRQKLYDLIEKAIKAKILLNSSLFLNLDDLDDTCRELSESTCCSTPCGDASLQELKKEFDTFEKQISEMRISETLLVPSSSTNSTCSCQSTSSLNSSLTKGIIHCKWKGGIPCFVFTMTEDSSKVFIANSQKIESSTDKSLDCMYLFHSVAGSKKNTKSSGNCVTEFAAKMKVSMSFIMNSNGSKIAETEFVLFEASEDHSKQTQTSSSSAVKSKGLSKKVAEMFKPSHSFKDKSTRKTNELCFRYDELLQEPILSELCNSDSLVSKNFREYDFRPNLELAAIVVKDYQSNSQKRPAEGGWGLKFLETIRLGKADTSLESSSTLKTCNKRQSDRNMNVIIPAGMHGGPNDSNGGPSCLTERWKSGGYCECGGWDIGCPLTVLRSSILQGDTKSLDFFVEGVENGEPLLKYGENQ >DRNTG_14843.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22969551:22972815:1 gene:DRNTG_14843 transcript:DRNTG_14843.14 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRSSRKKRNFVVEFEEECSGFAASELKSAFEKLVNRLSLLYLDAIRPEDSHQFKKQSCLCAESLKCSDMEESEACSTAPLPQFPLNLRSSELTFERSKHQKTKGENDLRDFIFDYFLEDGSENAFFSPSRQKLYDLIEKAIKAKILLNSSLFLNLDDLDDTCRELSESTCCSTPCGDASLQELKKEFDTFEKQISEMRISETLLVPSSSTNSTCSCQSTSSLNSSLTKGIIHCKWKGGIPCFVFTMTEDSSKVFIANSQKIESSTDKSLDCMYLFHSVAGSKKNTKSSGNCVTEFAAKMKVSMSFIMNSNGSKIAETEFVLFEASEDHSKQTQTSSSSAVKSKGLSKKVAEMFKPSHSFKDKSTRKTNELCFRYDELLQEPILSELCNSDSLVSKNFREYDFRPNLELAAIVVKDYQSNSQKRPAEGGWGLKFLETIRLGKADTSLESSSTLKTCNKRQSDRNMNVIIPAGMHGGPNDSNGGPSCLTERWKSGGYCECGGWDIGCPLTVLRSSILQGDTKSLDFFVEGVENGEPLLKYGENQ >DRNTG_14843.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22968814:22972815:1 gene:DRNTG_14843 transcript:DRNTG_14843.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRSSRKKRNFVVEFEEECSGFAASELKSAFEKLVNRLSLLYLDAIRPEDSHQFKKQSCLCAESLKCSDMEESEACSTAPLPQFPLNLRSSELTFERSKHQKTKGENDLRDFIFDYFLEDGSENAFFSPSRQKLYDLIEKAIKAKILLNSSLFLNLDDLDDTCRELSESTCCSTPCGDASLQELKKEFDTFEKQISEMRISETLLVPSSSTNSTCSCQSTSSLNSSLTKGIIHCKWKGGIPCFVFTMTEDSSKVFIANSQKIESSTDKSLDCMYLFHSVAGSKKNTKSSGNCVTEFAAKMKVSMSFIMNSNGSKIAETEFVLFEASEDHSKQTQTSSSSAVKSKGLSKKVAEMFKPSHSFKDKSTRKTNELCFRYDELLQEPILSELCNSDSLVSKNFREYDFRPNLELAAIVVKDYQSNSQKRPAEGGWGLKFLETIRLGKADTSLESSSTLKTCNKRQSDRNMNVIIPAGMHGGPNDSNGGPSCLTERWKSGGYCECGGWDIGCPLTVLRSSILQGDTKSLDFFVEGVENGEPLLKYGENQ >DRNTG_14843.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22968454:23053666:1 gene:DRNTG_14843 transcript:DRNTG_14843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRSSRKKRNFVVEFEEECSGFAASELKSAFEKLVNRLSLLYLDAIRPEDSHQFKKQSCLCAESLKCSDMEESEACSTAPLPQFPLNLRSSELTFERSKHQKTKGENDLRDFIFDYFLEDGSENAFFSPSRQKLYDLIEKAIKAKILLNSSLFLNLDDLDDTCRELSESTCCSTPCGDASLQELKKEFDTFEKQISEMRISETLLVPSSSTNSTCSCQSTSSLNSSLTKGIIHCKWKGGIPCFVFTMTEDSSKVFIANSQKIESSTDKSLDCMYLFHSVAGSKKNTKSSGNCVTEFAAKMKVSMSFIMNSNGSKIAETEFVLFEASEDHSKQTQTSSSSAVKSKGLSKKVAEMFKPSHSFKDKSTRKTNELCFRYDELLQEPILSELCNSDSLVSKNFREYDFRPNLELAAIVVKDYQSNSQKRPAEGGWGLKFLETIRLGKADTSLESSSTLKTCNKRQSDRNMNVIIPAGMHGGPNDSNGGPSCLTERWKSGGYCECGGWDIGCPLTVLRSSILQGDTKSLDFFVEGVENGEPLLKYGENQ >DRNTG_14843.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22969551:23053666:1 gene:DRNTG_14843 transcript:DRNTG_14843.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRSSRKKRNFVVEFEEECSGFAASELKSAFEKLVNRLSLLYLDAIRPEDSHQFKKQSCLCAESLKCSDMEESEACSTAPLPQFPLNLRSSELTFERSKHQKTKGENDLRDFIFDYFLEDGSENAFFSPSRQKLYDLIEKAIKAKILLNSSLFLNLDDLDDTCRELSESTCCSTPCGDASLQELKKEFDTFEKQISEMRISETLLVPSSSTNSTCSCQSTSSLNSSLTKGIIHCKWKGGIPCFVFTMTEDSSKVFIANSQKIESSTDKSLDCMYLFHSVAGSKKNTKSSGNCVTEFAAKMKVSMSFIMNSNGSKIAETEFVLFEASEDHSKQTQTSSSSAVKSKGLSKKVAEMFKPSHSFKDKSTRKTNELCFRYDELLQEPILSELCNSDSLVSKNFREYDFRPNLELAAIVVKDYQSNSQKRPAEGGWGLKFLETIRLGKADTSLESSSTLKTCNKRQSDRNMNVIIPAGMHGGPNDSNGGPSCLTERWKSGGYCECGGWDIGCPLTVLRSSILQGDTKSLDFFVEGVENGEPLLKYGENQ >DRNTG_14843.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22968814:22972815:1 gene:DRNTG_14843 transcript:DRNTG_14843.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRSSRKKRNFVVEFEEECSGFAASELKSAFEKLVNRLSLLYLDAIRPEDSHQFKKQSCLCAESLKCSDMEESEACSTAPLPQFPLNLRSSELTFERSKHQKTKGENDLRDFIFDYFLEDGSENAFFSPSRQKLYDLIEKAIKAKILLNSSLFLNLDDLDDTCRELSESTCCSTPCGDASLQELKKEFDTFEKQISEMRISETLLVPSSSTNSTCSCQSTSSLNSSLTKGIIHCKWKGGIPCFVFTMTEDSSKVFIANSQKIESSTDKSLDCMYLFHSVAGSKKNTKSSGNCVTEFAAKMKVSMSFIMNSNGSKIAETEFVLFEASEDHSKQTQTSSSSAVKSKGLSKKVAEMFKPSHSFKDKSTRKTNELCFRYDELLQEPILSELCNSDSLVSKNFREYDFRPNLELAAIVVKDYQSNSQKRPAEGGWGLKFLETIRLGKADTSLESSSTLKTCNKRQSDRNMNVIIPAGMHGGPNDSNGGPSCLTERWKSGGYCECGGWDIGCPLTVLRSSILQGDTKSLDFFVEGVENGEPLLKYGENQ >DRNTG_14843.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22969551:22972815:1 gene:DRNTG_14843 transcript:DRNTG_14843.13 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRSSRKKRNFVVEFEEECSGFAASELKSAFEKLVNRLSLLYLDAIRPEDSHQFKKQSCLCAESLKCSDMEESEACSTAPLPQFPLNLRSSELTFERSKHQKTKGENDLRDFIFDYFLEDGSENAFFSPSRQKLYDLIEKAIKAKILLNSSLFLNLDDLDDTCRELSESTCCSTPCGDASLQELKKEFDTFEKQISEMRISETLLVPSSSTNSTCSCQSTSSLNSSLTKGIIHCKWKGGIPCFVFTMTEDSSKVFIANSQKIESSTDKSLDCMYLFHSVAGSKKNTKSSGNCVTEFAAKMKVSMSFIMNSNGSKIAETEFVLFEASEDHSKQTQTSSSSAVKSKGLSKKVAEMFKPSHSFKDKSTRKTNELCFRYDELLQEPILSELCNSDSLVSKNFREYDFRPNLELAAIVVKDYQSNSQKRPAEGGWGLKFLETIRLGKADTSLESSSTLKTCNKRQSDRNMNVIIPAGMHGGPNDSNGGPSCLTERWKSGGYCECGGWDIGCPLTVLRSSILQGDTKSLDFFVEGVENGEPLLKYGENQ >DRNTG_33702.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1123873:1128452:-1 gene:DRNTG_33702 transcript:DRNTG_33702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAMSGSTRTASASSASSVRVGSPLFISSRRSPPLPMAPPVASPAISPSTLRISQGKQNESMNHEAYLLFPVHLDGTLLDNAKTMQEKKEFFPTITVLEIFRQLCAGLKHMHSFEPPYAHNDVKPGNVLITHRKGQPPLAILMDFGSARPARKEIYSRSEALQLQEWAAEHCSAPFRAPELWDCPSNTKIDERTDIWSLGCTLYAIMYGVSPFEYVLGESGGSLQLAILNAQIKWPPGPNPPYPEALHQFVVWMLQPQPAVRPHIDDVIIHVDKLISKFSS >DRNTG_22807.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3798773:3799706:1 gene:DRNTG_22807 transcript:DRNTG_22807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILRSISSNTMAAMLKLFILLVLQLTISCNTEPFPINVWPIPTSISWPSPKAATISPAFQISAPYAHKHLRTAVKRHASLLFSEGYKPIVPPSLPISSTPLLSLTLHITDILALLHHGVDESYSLTISSSNGVANLSSTTVWGAIHGLESFSQLSWGSPPLIATDLYINDRPLFPHRGLMLDTSRNFYPVEDIMRTIATMGANKLNVFHWHITDSHSFPLVLPSEPNLAAAGSYGADMQYSPEDVKKIVDYAMSYGVRVVPEFDTP >DRNTG_12124.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4501861:4506686:-1 gene:DRNTG_12124 transcript:DRNTG_12124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSLHLGHAFSVSKLEFGAAFHRLCGRNVLLPFAFHCTGMPIKASADKLAREIQKYGNPPLFPSAEDDSAEDIAEETNGDEGIVVAPDKFKSKRSKAAAKSGGDKSQWEIMKSFGIPESEISKFADPHHWLTHFPPLAEADLKAFGLGCDWRRSFITTDMNPFYDSFVRWQMRKLKSMGKIVKDMRYTIYSPLDGQPCADHDRASGEGVQPQDYVLIKMEVVPPFTSKLKVLEGKKVYLAAATLRPETMYGQTNSWVLPDGKYGAFEINDTDVFIITQRAALNLAYQKLSRVPEKPTCLLELSGRDLIGLPLKSPLSFNEIIYSLPMLTILTDKGTGIVTSVPSDSPDDYMALQDLKSKPALRSKFGVKDEWVLPFEVVPIINIPEFGDKAAEKVCIDLKIKSQNDKEKLAEAKRLTYLKGFTDGSMLVGEFKGKKVQEAKPLIRNVLLETDQAVMYSEPEKKVMSRSGDECVVALTDQWYITYGEAEWKEKAEACLSGMNLYSDETRHGFEHTLSWLNQWACSRSFGLGTRLPWDEQFLVESLSDSTLYMAYYTVAHLLQNGNMYGSGSSMIKPEQMTDDVWDYIFCGGPVPVSDIPSSILSNMKQEFEYWYPFDLRVSGKDLIQNHLTFCIYNHTAILPKHQWPCGFRCNGHIMLNSEKMSKSTGNFRTLRQAIEEFSSDATRFSLADAGDGLDDANFVFETANAAILRLTKELTWMEEVLASESSLRVGGPATYADRVFTNEINIAVRLTEQHYQDFMFREALKTGFYDLQAARDEYRFSCGSGGMDRDLLWRFMDVQTRLITPICPHYAEHVWKNLLKKDGFVVNAGWPTAESPDLTLKSANKYLQDTIVLMRKLLQKQVSGSKKPKKGAAASPAVENKFNAGLIYVNEQYDGWKEECLTILKSKFDAEKLSFKPDQEILEALKQSNVGQDANFKQIQKLCMPFLRFKKDEAITVGPRALDLKLPFGEIDVLQENIDLIKRQLGLDHVEILSASDDSARRKAGPHISLLNQNPPSPGNPIAVFMNSADFETQAAA >DRNTG_14885.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2961904:2963369:1 gene:DRNTG_14885 transcript:DRNTG_14885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLTTPCSLAKLLVIVGVRIMAVVALTWLQLLGSVINFHVDLCCRVIALGVAVVTLPFRILTTLQRERELEVQVNDMRVQLECHVWENRGLEERLERAIRERELIETLFEEIEEAYEKAMDRIDVLENQLQSLKQENLRLCELHGKVLSTKKTEADSDDSLNTWSTGLNQKQMMPKVHGDPLGNLSAKIKHKEDDLNVANLCQFPSQLVSRNTTIDHVLEQRRVVAIHRSLFSSLLSLMVGIIIWKAENPCMPLVAALFTVVAMSLKSVVQFFSTIRNKPASDAVALLSINLFILGILTSPSLPTVAKVLTPKAIKLVDIMLIWFGFSS >DRNTG_01092.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000064.1:60598:60983:1 gene:DRNTG_01092 transcript:DRNTG_01092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGTSLHQTNNQIKKQAGKTLDAH >DRNTG_11449.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19957320:19958156:-1 gene:DRNTG_11449 transcript:DRNTG_11449.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 23 [Source:Projected from Arabidopsis thaliana (AT4G39700) UniProtKB/Swiss-Prot;Acc:O65657] MGIGGTLEYLSDMLGCAHHNHKKKKKQLNTVELKVRMDCEGCELKVKKALSSMKGVQKVDINRKQQKVTVVGFVEPNKVLKKAQSTGKKAEIWPYVPYGLVTQPYAAQAYDKKAPPGFVRNVEVVNASNQPVRQEDQMTNMFSDENPNSCSIM >DRNTG_11449.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19957320:19958188:-1 gene:DRNTG_11449 transcript:DRNTG_11449.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 23 [Source:Projected from Arabidopsis thaliana (AT4G39700) UniProtKB/Swiss-Prot;Acc:O65657] MGIGGTLEYLSDMLGCAHHNHKKKKKQLNTVELKVRMDCEGCELKVKKALSSMKGVQKVDINRKQQKVTVVGFVEPNKVLKKAQSTGKKAEIWPYVPYGLVTQPYAAQAYDKKAPPGFVRNVEVVNASNQPVRQEDQMTNMFSDENPNSCSIM >DRNTG_11449.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19957320:19958125:-1 gene:DRNTG_11449 transcript:DRNTG_11449.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 23 [Source:Projected from Arabidopsis thaliana (AT4G39700) UniProtKB/Swiss-Prot;Acc:O65657] MGIGGTLEYLSDMLGCAHHNHKKKKKQLNTVELKVRMDCEGCELKVKKALSSMKGVQKVDINRKQQKVTVVGFVEPNKVLKKAQSTGKKAEIWPYVPYGLVTQPYAAQAYDKKAPPGFVRNVEVVNASNQPVRQEDQMTNMFSDENPNSCSIM >DRNTG_11449.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19957223:19958125:-1 gene:DRNTG_11449 transcript:DRNTG_11449.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 23 [Source:Projected from Arabidopsis thaliana (AT4G39700) UniProtKB/Swiss-Prot;Acc:O65657] MGIGGTLEYLSDMLGCAHHNHKKKKKQLNTVELKVRMDCEGCELKVKKALSSMKGVQKVDINRKQQKVTVVGFVEPNKVLKKAQSTGKKAEIWPYVPYGLVTQPYAAQAYDKKAPPGFVRNVEVVNASNQPVRQEDQMTNMFSDENPNSCSIM >DRNTG_11449.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19957320:19958537:-1 gene:DRNTG_11449 transcript:DRNTG_11449.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 23 [Source:Projected from Arabidopsis thaliana (AT4G39700) UniProtKB/Swiss-Prot;Acc:O65657] MGIGGTLEYLSDMLGCAHHNHKKKKKQLNTVELKVRMDCEGCELKVKKALSSMKGVQKVDINRKQQKVTVVGFVEPNKVLKKAQSTGKKAEIWPYVPYGLVTQPYAAQAYDKKAPPGFVRNVEVVNASNQPVRQEDQMTNMFSDENPNSCSIM >DRNTG_11449.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19957223:19958156:-1 gene:DRNTG_11449 transcript:DRNTG_11449.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 23 [Source:Projected from Arabidopsis thaliana (AT4G39700) UniProtKB/Swiss-Prot;Acc:O65657] MGIGGTLEYLSDMLGCAHHNHKKKKKQLNTVELKVRMDCEGCELKVKKALSSMKGVQKVDINRKQQKVTVVGFVEPNKVLKKAQSTGKKAEIWPYVPYGLVTQPYAAQAYDKKAPPGFVRNVEVVNASNQPVRQEDQMTNMFSDENPNSCSIM >DRNTG_09523.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:17656444:17663163:1 gene:DRNTG_09523 transcript:DRNTG_09523.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEGSVGRIQIHSGGIGRAVASMATEASSSAVATDIDDETMWQMNLRQSDSMESGPYPERPGEPDCAYYIRTGLCRFGMTCRFSHPPNRQLALAAARIKGGYPERIGQPECQYYIKTGTCKFGATCKFHHPKDKAGIAGKVQLNILGYPLRPNEKECAYYLRTGECKFGNTCKFHHPQPSNAMVSLRGSPVYTSVHSPTTPGQQSYHGTIANWPLSRASFIASPRWQSPSSYPQLILPQGLVQVPGWNAYTGQLGSVSSPESQQQSPVTAQYYGTSRQSETGAGSQGAYPYRSGSVPMGLYALQRDNVFPERPDQPECQFYMKTGDCKFGAVCRFHHPKERLIPPPDCLLSPIGLPLRPGEPLCIFYSRYGICKFGPNCKFDHPMGTVTYNLSVSPTADISAVPRLLGSFSGSPGLTLTPELSLSESRQMTSVEENSEAEGSHTAGQVSSFS >DRNTG_02650.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22863118:22864835:1 gene:DRNTG_02650 transcript:DRNTG_02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMAEALEASVVESVNAIYLLFSSYLVFVMQLGFAMLCAGSVRAKNAMNIMLTNVVDAVVGTVSYYLFGFAFAFGSGTNPFIGTSLFALEGIPNESYDYSYFLYEWAFAIAVAGITSGSIAERTQFGAYLVFSFLLTGFVYPVVAHWVWSPTGWLSPNYSGSSGLLFGAGAIDFAGSRVVHMVGGVAGLWGAIIEGPRVGRFDAFGKPVAMRGHNATLVVLGTFLLWFGWFGFNPGSFNKILVPYPDAPYQGNWTGVGRTAVTTALAGSTAGLVTLFGRRLLVGHWDALDVCNGLLGGFVAITSGCSVVEPWAALVCGFVSAWVLIGLNALALKLRFDDPLEAAQLHGGCGAWGLLFTGLFAKEELVVQVYNSGEVGLRRPFGLLMGGGWGLLGAQVVELLAILGWVSITMALLFLVLSKLRLLRISVDEELAGLDVSRHGGYAYADDNHPRFYGEYLRIQDEARS >DRNTG_17015.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000866.1:24703:25866:1 gene:DRNTG_17015 transcript:DRNTG_17015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNEALEDKAKDLPNEYNYDYDDHVVDHEWESNIFATDAAKAVGKVLPELNGKLTGMAFRVPTPNVPVVDLTCRLEKNASFDDVKAAIKSSIFDAKAVIGLRSSFMKFIAWYDNEWGYSSRVLDLIECIALVSTLD >DRNTG_10056.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4667471:4668830:-1 gene:DRNTG_10056 transcript:DRNTG_10056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLHQIHALMLKTNAIADPFHLSKLLSFSSSPDSGDLHYAFKLFSLIPQRNSFHWNTLIHGFSLSSSPEQSLLLFVQLLEEEPSLVNPFTFPPVLRACGNMSAAFEGIQVHCYAVKCEAVADLFVLNSLVQMYFKCRLSDNACIIFDRMPERNVVSWNCVIGGFAEMGMWENVKSLFWDMVEDIVVKPNALTLVRMVTACTKSGDFESGKLVHRYIEDNGVSVCLTLGNALMNMYAKFGEMSEARRLYDRMSDPDVVSSTTLVSGYGSIGLMELASEVFDSMPIRNTVAWNAMMYGYVLNGYFDEAISLFKEMLILGAKTDEATMITILSACSKSGNLLIGRVVHGYIYKLCIFT >DRNTG_29750.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1640593:1641724:1 gene:DRNTG_29750 transcript:DRNTG_29750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGVDRSIECTGDVDAMISAFECVHDGWGVAVLVGVPHKDAVFKTHPMNLLHQRTLKGTLFGNYKPRTDLPAIVEKYMNKELVLEKFITHEVPFAEINKAYEFMLNGDSLRCIIRMDG >DRNTG_29750.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1640593:1641286:1 gene:DRNTG_29750 transcript:DRNTG_29750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGVDRSIECTGDVDAMISAFECVHDGWGVAVLVGVPHKDAVFKTHPMNLLHQRTLKGTLFGNYKPRTDLPAIVEKYMNK >DRNTG_29750.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1640593:1641724:1 gene:DRNTG_29750 transcript:DRNTG_29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGVDRSIECTGDVDAMISAFECVHDGWGVAVLVGVPHKDAVFKTHPMNLLHQRTLKGTLFGNYKPRTDLPAIVEKYMNKELVLEKFITHEVPFAEINKAYEFMLNGDSLRCIIRMDG >DRNTG_03800.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22331308:22331679:-1 gene:DRNTG_03800 transcript:DRNTG_03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQQLVEIGRMAASHTMFGGPEHVRPISEEEEAAAAATTVMKLVVGSMSIELSVDVSASAVCTKRKEKRRKREQNKTL >DRNTG_22120.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16528109:16529359:-1 gene:DRNTG_22120 transcript:DRNTG_22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASDAQVAGGGCPTSNCSSASEMSWEEDEHIVRQSFLGRIEHLVL >DRNTG_09873.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:384836:402986:1 gene:DRNTG_09873 transcript:DRNTG_09873.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRKFSTTHARAKVPRGRGHSQAQLTGANARPCVFSGWRDPPCVLSG >DRNTG_09071.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1241254:1242224:1 gene:DRNTG_09071 transcript:DRNTG_09071.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIVGTAFKLPRKPSKSNLSFFSSAQNSERSNLALEIEGCRVIKEGEAQVLLDDSNGVFCNKAQVNNRDMSIAVLRTFIAKRKEEHASKFCRENVNDPLKSHQELKEPMVLE >DRNTG_20140.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22618094:22620895:-1 gene:DRNTG_20140 transcript:DRNTG_20140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQPGEYLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQAGDAIWMAPFVPQWYAALGKTPSRYLLYKDVNRNPL >DRNTG_20140.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22618094:22622086:-1 gene:DRNTG_20140 transcript:DRNTG_20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFLALFLLLLLLPWRSLAAESDDGFCSLPQSIMESSSRLLYWKVTNPTLSPSHLQDLPGFTRSVYKRDHAVITPESHVFSPLPDWINTLGAYLITPAMGAHFVMYLAKMKVKSKSAVPPHDVERFLFVVQGSAVLKTDSGISHQLPVDSYAYLPANYKHSLESDETATIIIFERRYASVENLYPEPVIGSTEKQPLLETPGEVFELRKLLPASAPYDFNVHIMDFQPGEYLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQAGDAIWMAPFVPQWYAALGKTPSRYLLYKDVNRNPL >DRNTG_35202.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7906130:7907833:1 gene:DRNTG_35202 transcript:DRNTG_35202.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLCSICGHQHKHEDGERCPVCGHRKPTPSENLETQNISPWMKLFTALFGYPSPVPQDTTTTTSQIPNTVGGPMASHRGRPVGSPTPGGPMVSRRRPTNRRLV >DRNTG_03870.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3731255:3735988:-1 gene:DRNTG_03870 transcript:DRNTG_03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGKCCCCRLCCYTCNPCCCSDSGSQANNHCCVGDQRSPDHLLQRPTLTGDSVGTALIPSADLCLYYSTLSQRGHYPDSPDRENQDCFIVRTNLHGRVDAHFFAVFDGHGQLGARCAAFVRDRLVEALSADGSLFDDPARAYQSAFLATNSELHESEIDDSMSGTTAIAVLVSGATMCVANVGDSRAVAGVWRNGSVVAEDLSRDQTPFRKDEYERVRRCGARVLSVDQVEGVKDPDVQSWGDEENDDGDPPRLWVPNGMYPGTAFTRSVGDSTAESIGVIAEPEVLTLKISPEHLFFVVASDGVFEFLSSQAVVDMVAKFIDPREACSAIAAESHRLWLEHENRTDDITIIVVHIKDSGIGTIDAASQTTNKSSIEISDKGKDKTATQESDICHQEKGNLPIMQSCPVDSSTERSPACVAPSPTHSVLRNG >DRNTG_09254.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:453215:454981:-1 gene:DRNTG_09254 transcript:DRNTG_09254.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPWLSSITCPTNAGGMRSVSSITLKAAAMSTTDEKSRTTKKNLEKLGPRSSTHACVWCSAEDENPPSRLELSLLFPCQRRR >DRNTG_08295.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23808033:23808633:1 gene:DRNTG_08295 transcript:DRNTG_08295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSRVVVEPHRHDGVFIAKGKEDTLCTRNLVSGESVQVMVFQNPKVEIFVTFL >DRNTG_08295.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23808033:23808532:1 gene:DRNTG_08295 transcript:DRNTG_08295.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSRVVVEPHRHDGVFIAKGKEDTLCTRNLVSGESVQVMVFQNPKVEIFVTFL >DRNTG_01927.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32402127:32407793:-1 gene:DRNTG_01927 transcript:DRNTG_01927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEVGSSAMFLHHHHHHDVPAAAKKRDFSWSSSSSSPSPAFLYNQHLSGDWNPAMWNWDSRRFTANAQPHLQPVLEPETPRDMDEDAETLTLRLGGGLFDEEQPPPPPPQLPPQPVARPNKRVRSGSPGNHPMCQVDDCKVLLTEAKDYHRRHKVCELHSKTAKALVANQMQRFCQQCSRFHPLSEFDEGKRSCRRRLAGHNRRRRKTQPEDSASRLSVPANLDNNGNGNVDILSLLTILARLQGKSTDKVGSSAPDKDHLAQILSKINSLPAPNSSSMANVPKGLDLNAALVSQLPASEQQNKPNSHQSSTSSTMDLLTAFSTALANSSPDTSLGAVSQGSSNCSAVDNTSAGQLQPATGANLLAKPIQVPASVGAARSSSTLQSSVEVSDHQEREVEPSLPLQLFSSSAEDDSPPKFGGSSVKYLSSESSNPMDEQSPSSSPPIAQKLFPLQSEHKNLTNERMMPVCRKEDVMVEASTSAGWKAPLNLFKGPERQAENQADPSLTSHASYAFSSVPIHSDRQDRTGRIIFKLFDKDPSNFPVTLRTQILDWLSHFPSEMESYIRPGCVVLSIYISMPSVAWDELEDNLVQRVMSLIQHVDSDFWQSGRFLVQTNKQLASHKDDKVRLCKSWSTWIAPELTSVSPLAVVSGKETSLVLKGRNLTLPGTMIYCTYMGGYTSKEVLGSTHPGTLYDDSSTESFDFHGGPPKSFGRCFIEVEKGFKGNSFPLIIADDAICQELRALESELEQETTLTDTISEDYSTPNSREDILHFLNELGWLFQKKSSSSLLVEFSTTRFRFLLAFSVEHDWPALVKTLLDMLVERSSMGDELVQESLEILLEIHLLAKAVKRGCRNMVNLLLNYSVEVDSSNGSKIYLFPPNSSGPGGVTPLHLAACMQNSADMVDALTNDPQEIGLRCWNSILDDSGHSPFAYALMRNNHAYNKLVARKLVDRQNGQVSIAVGNDETSLDKSWIIEDHDEPRSHPMQVSSCARCVMMETVRVKRIVHTRGLLERPYIHSMLAIAAVCVCVCLFLRGLPDIGSVAPFKWENLDYGPR >DRNTG_14597.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16528364:16530354:-1 gene:DRNTG_14597 transcript:DRNTG_14597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPKTSPCSPFQLVSVVRVVWNMFGP >DRNTG_14597.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16529868:16530354:-1 gene:DRNTG_14597 transcript:DRNTG_14597.2 gene_biotype:protein_coding transcript_biotype:protein_coding YNLLWKFKSIDLRKVGFLFFNKILRQYWFFNGLRHPNPGLLKIVNNRGISEHKATRSTNKTNEPSTLMKTEKKTQV >DRNTG_24260.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18910848:18919961:1 gene:DRNTG_24260 transcript:DRNTG_24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-arabinokinase [Source:Projected from Arabidopsis thaliana (AT4G16130) UniProtKB/Swiss-Prot;Acc:O23461] MVGVSHLAGNKRRLVFAYYITGHGFGHATRVVDVVRHLVAAGHDVHVVTGAPDFVFTSDIQSPNLHIRKVLLDCGAVQADALTVDRLASLEKYSQTAVVPRASILATEVEWLNSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVMAAGLNHRSIVWQIAEDYSHCEFLLRLPGYCPMPAFRDVIDVPLVVRRIHKSRSEVRKELGIAEDVKVVIFNFGGQPAGWKLREEWLPAGWLCLVCGASDSQILPPNFIRLPKDAYTPDLIAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEYYHGGVEMIRRDLLVGHWKPYLERALKISPCYEGGINGGEVAALILQETAAGKNYSSDKLSGARRLRDAIVLGYQLQRAPGRDICIPDWYLLAENEVGLRPAVAENEITGNISLVEAHIEDFEILHGDLHGLPDTMAFLKSLSSLHFETDSGKGTEKRLMRERAAAAALFDWEEEIYIARAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQTNHPSKHKLWKHAEARQQATGQGPILQIVSFGSELSNRAPTFDMDLSDFLDGDKPISYEEAFKYFSQDPSQKWASYVAGTILVLMIELGVRFTESISILVSSAVPEGKGVSSSASVEVATMSAIAAAYGLNIDSRKLAILCQKVENRVVGAPCGVMDQMASACGEANKLLAMVCQPAEVKELVNIPTHIRFWGIDSGIRHSVGGTDYGSVRIGAFMGRKMIKSAANALLSQSPNNTPSQEVDEVNSDENDESEMNLFEAEAELDYLCNLTTHRFEAVYAKQLPESILGEAFLNKYIDHNDTVTTIDPNRNYAVKAPAKHPVYEDFRVKAFKALLTAATTDEQLSALGELMYQCHYSYSDCGLGSDGTDRLVKLVQEIQHRPSRDGQPSLLGAKITGGGSGGTVCVIGRNCLRSSEEILEIQRRYKAATGHLPFIFEGSSPGAGKFGYLKIRRKIDSSLKN >DRNTG_24260.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18911326:18919961:1 gene:DRNTG_24260 transcript:DRNTG_24260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-arabinokinase [Source:Projected from Arabidopsis thaliana (AT4G16130) UniProtKB/Swiss-Prot;Acc:O23461] MRIGCGESGISASKDHLVFAYYVTGHGLGHATRVVEVVRHLVAAGHDVHVVTGAPDFVFTSDIQSPNLHIRKVLLDCGAVQADALTVDRLASLEKYSQTAVVPRASILATEVEWLNSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVMAAGLNHRSIVWQIAEDYSHCEFLLRLPGYCPMPAFRDVIDVPLVVRRIHKSRSEVRKELGIAEDVKVVIFNFGGQPAGWKLREEWLPAGWLCLVCGASDSQILPPNFIRLPKDAYTPDLIAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEYYHGGVEMIRRDLLVGHWKPYLERALKISPCYEGGINGGEVAALILQETAAGKNYSSDKLSGARRLRDAIVLGYQLQRAPGRDICIPDWYLLAENEVGLRPAVAENEITGNISLVEAHIEDFEILHGDLHGLPDTMAFLKSLSSLHFETDSGKGTEKRLMRERAAAAALFDWEEEIYIARAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQTNHPSKHKLWKHAEARQQATGQGPILQIVSFGSELSNRAPTFDMDLSDFLDGDKPISYEEAFKYFSQDPSQKWASYVAGTILVLMIELGVRFTESISILVSSAVPEGKGVSSSASVEVATMSAIAAAYGLNIDSRKLAILCQKVENRVVGAPCGVMDQMASACGEANKLLAMVCQPAEVKELVNIPTHIRFWGIDSGIRHSVGGTDYGSVRIGAFMGRKMIKSAANALLSQSPNNTPSQEVDEVNSDENDESEMNLFEAEAELDYLCNLTTHRFEAVYAKQLPESILGEAFLNKYIDHNDTVTTIDPNRNYAVKAPAKHPVYEDFRVKAFKALLTAATTDEQLSALGELMYQCHYSYSDCGLGSDGTDRLVKLVQEIQHRPSRDGQPSLLGAKITGGGSGGTVCVIGRNCLRSSEEILEIQRRYKAATGHLPFIFEGSSPGAGKFGYLKIRRKIDSSLKN >DRNTG_23497.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21798007:21800914:1 gene:DRNTG_23497 transcript:DRNTG_23497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPSIEVVVVDISVARINAWNSDQLPIYEPGLDDVVKQCRGRNLFFSSDVEKHVCEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKTDKIVVEKSTVPVKTAEAIEKILSHNSKGINYSILSNPEFLAEGTAIQDLFNPDRVLIGGRETPEGQKAVQALKAVYAHWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGADVAEVAYSVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKNRFVNRVVSSMFNTVSGKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKATISIYDPQVTEDQIQRDLSMNKFDWDHPIHLQPMSPTAVKQVSVTWDAYEATKGAHGVCILTEWDEFKTLDYQRIYDNMQKPAFVFDGRNVVDHEKLREIGFIVYSIGKPLDAWLKDMPAMA >DRNTG_17455.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:8017364:8024086:1 gene:DRNTG_17455 transcript:DRNTG_17455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTPCGSAAVCLGTGSEKLSSLGSSWILVDHQLQVQLQENQLKGTTKWKFAADQFVRELPEKVIIHWLNGGPRLACFIHMLEMPPAAETLTVGKMESVDAAFRLLKKEHCLIFQRQKLSLLLVILLLTMAITTTWNQLLEQTRLRAESYIAYPTEGQPKAKEYLNKPILFFDELRLVAGDDHATGDHARTIYHQFGATTLEDDNAPPPNTLVDCEPMDSGDQRHEALRSCASKSTARASHGRRNNGDNVASENIGDKLGEFAASI >DRNTG_07352.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000332.1:39655:40953:1 gene:DRNTG_07352 transcript:DRNTG_07352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESVVEYISRIQEQNGKQDSVLDQFEKSALASMSDSLEENLERVLAQFDSSYHEQRLEIFSLGVSMSRKESGEIEELIAIEDPSEAHAQVEEVENEFVPEGFEGYWPKFWRGSRAPTSSFLKKKVGRGASVEAYPIPDEGILGRRVEDSLQDHQHDHRGGFFMDSLLLHSISLIVFSSMES >DRNTG_26646.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:293767:296798:-1 gene:DRNTG_26646 transcript:DRNTG_26646.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDIVCDVFSGVGPIAISAAKKVKHVYANDLNPIAVDYLERNVVLNKLDRKVQVFNMDGRRFISTVFAGQNRHPITQVVMNLPNDAVEFLGLFGTAYEL >DRNTG_26646.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:293767:296798:-1 gene:DRNTG_26646 transcript:DRNTG_26646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDIVCDVFSGVGPIAISAAKKVKHVYANDLNPIAVDYLERNVVLNKLDRKVQVFNMDGRRFISTVFAGQNRHPITQVVMNLPNDAVEFLDVFQGILGSKLKKDNCHLPKIHIYGFSKAENPEFDFHERINKVLCDKVIEIDMHRVRLVAPGKWMLCGSFILPPSIAFAD >DRNTG_23153.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17057344:17059719:1 gene:DRNTG_23153 transcript:DRNTG_23153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLGPTIYARKGDTIIVKVINHAGYNITIHWHGVDQPRNPWSDGPEYITQCPILPGNEFSYEIILSEEEGTMWWHAHSDWDRATVHGAIYVYPKLGSSFPFAKPYKEIPIILGEWWKADVNQVLAQALLTGGAPNISDAYTINGQPGDLYQCSKQGTFTAQVEHGKTYLLRVINAAINNEHFFSIAGHRLTVVGTDASYTKPFVTDFIMITPGQTMDLMLVADAPINTSSSSNNRFYMAARPYASAVGVTHDNTTTTAILEYITPGTNKTVVDSSPVFPSLPAENDTDAATAFVAELRSLATKEHPVDVPQTINERVIITVAVNVRPCEENNTCLGPFNNRFAASLNNISFEFPTIDILDAYYHQISGVYEEGFPPEPPLIYNFTGDNLPRYLLTPRRATEVRMVEYNTTIEVVFQGTNLLAGENHPMHLHGQRFYVVGRGFGNFDEDKDPQGYNLVDPPLENTVGVPTKGWAAVRFKAKNPGVWFMHCHFDRHLSWGMDTVFIVKNGATPDSKILPPPLDMPKC >DRNTG_23153.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17058014:17059719:1 gene:DRNTG_23153 transcript:DRNTG_23153.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITPGQTMDLMLVADAPINTSSSSNNRFYMAARPYASAVGVTHDNTTTTAILEYITPGTNKTVVDSSPVFPSLPAENDTDAATAFVAELRSLATKEHPVDVPQTINERVIITVAVNVRPCEENNTCLGPFNNRFAASLNNISFEFPTIDILDAYYHQISGVYEEGFPPEPPLIYNFTGDNLPRYLLTPRRATEVRMVEYNTTIEVVFQGTNLLAGENHPMHLHGQRFYVVGRGFGNFDEDKDPQGYNLVDPPLENTVGVPTKGWAAVRFKAKNPGVWFMHCHFDRHLSWGMDTVFIVKNGATPDSKILPPPLDMPKC >DRNTG_04049.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26326414:26329410:-1 gene:DRNTG_04049 transcript:DRNTG_04049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALILMHGNINIRTPVRCNQSMSVHCAVVDLVVETENVSLPNPNENEFYSLNSDSASAPVHPHGDLDGVGCLPSSSDHSEVLSLDIGQRFDGVEHFSDVLQNHAIKRNFDFKFIKNEKHRVTVECAADGCHWRLHASKEYNKNTFRIKTINPSHTCGGGIGSASHPKASKKWVSARVIQKLKDRPLYKAIDIQKDMLWEYGVHIPYKQAWLGKEHAWVVLGDSDISSYDCLLWSKGLVSAIARVFPSSPHAYCLRHLEANFMKANVRLGKTLREECWSICFRIAWVSAAKDFDDTVNELQATSPEAHHWLINKSDMAHWSNYLFRGNRWGEMYSNVAESFNAWIKEARHLPVTKMVDSIRYKLMRMLCNRQIIIEDSRNLRVGRCVDDRYEVIDQCSNSVDLAIRTCSCRRWQVYGIPCKHACAAMMQTDTNVYRFISGYFTVDNYKLAYKEAIFPIPDDDRPSDGNPAAMDPVTIVDLVMKLSPTRHCK >DRNTG_17446.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2023461:2034479:-1 gene:DRNTG_17446 transcript:DRNTG_17446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVTKITIHHQFVILLCLLWSSGSQQDQNLKWINDVDSDLAKIESNINALELSDLKHSSHHIPMDHYARLAALQRQSNIKWVQHTHMLWINDGNPALICINKDTQSAFKTCASPHAGQTTLKVSFESANGDNSAFLVSRSGTSLALDLGSIPVSNEGVGLDYNFSDDGVEEELFNDCGSRPNADIGGEAIEVDDGDLVGSDYANSNDPNWKIAGIVQAIKMNQAVDINRLKAYRAKCIALRIIDSDEASQMGRLHDYILEIMKTHPSSTFIIETNKGVFQGMYLCLAPLRAGFLAGCRRHILNPTHDKDSWLKSDQGPIIPPQLVNKRRGRKSVLRRKEMDEKPGFTKGKNNTNKLATTTMAHGSVTQIKAEQPCEVGFDLNTSHVINDQSGASGASQTQNRGLRLGADGAMKAKASTKKMLSVKKEIGQYSLPRGGSYGYHQELDPVQAQSALVLQYGAQHPPLPLLQNLDERKKKGRRTPRRCGKLTWLYLAEEDEQNQMDYPTWHEAQVLNADCEFEPLIQKPYTIVYLHSAASLQVQPDLGWMKRLEQILGRKHQHNLHAIYVLHLTLGLKATEFALQLLVDGEVWRTVVYVDRLLQLFRYVPREQLMILDFVFQRNLSKLAKMYWLEKAKGYKLMMINYVLAGDGHYDFVNFEWQSKIAAKELASVVAKQSKIQGLEVEL >DRNTG_34515.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002160.1:65382:69913:1 gene:DRNTG_34515 transcript:DRNTG_34515.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetate/butyrate--CoA ligase AAE7, peroxisomal [Source:Projected from Arabidopsis thaliana (AT3G16910) UniProtKB/Swiss-Prot;Acc:Q8VZF1] MAERDIDDLPKNPANFTALTPLWFLDRAALVHPNRPSVIHGSVRFTWADTYRRCRRLASALSRRSIGPGSTVAVIAPNIPAIYEAHFGVPMAGAVVNCVNIRLNASTIAFLLDHSSAEVVMVDQEFFTLAEESLKIIADKKKNAFRSPLLIVIGDQSCDPRSLQNALSKGAIEYEKFLETGDPEFHWKPPKDEWQSIALGYTSGTTSSPKGVVLHHRGAYLMALSDALIWGMNEGAVYLWTLPMFHCNGWCYTWCLAALCGTSICLRQVSPKAVYSAIANQGVTHFCAAPVVLNSILNAPPSDIIQPLPRIVDVMTAGAAPTPSLIAAFSRLGFRITHTYGLSETYGPSTVCAWKPEWNQLPPETQASLHARQGVRYISLEGLDVVNQKTMEPVPADGTTLGEIVMRGNLVMKGYLKNPKATQEAFEHGWYHSGDIGVKHPDGYIEVKDRAKDIIISGGENISSLEVEKILHMHPSVLEAAVAARPDEQWGESPCAFVTVKNNISTKDHTELSKDIITFCRSKMPRYWVPKSIVFTQLPKTATGKVQKHVLRAKAKEMGPVKKSKM >DRNTG_21323.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9337799:9338804:-1 gene:DRNTG_21323 transcript:DRNTG_21323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALSGMIGRKASVGGFSSPPSNPRAQPWTGGGNYQAGVR >DRNTG_03968.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26323593:26326703:1 gene:DRNTG_03968 transcript:DRNTG_03968.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHEPGYILEYADFLCRLNDDRNVRALFERALSSLPPEDSVEVWKRFSQFEQTYGDLTSMLK >DRNTG_03968.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26323593:26333034:1 gene:DRNTG_03968 transcript:DRNTG_03968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEPGYILEYADFLCRLNDDRNVRALFERALSSLPPEDSVEVWKRFSQFEQTYGDLTSMLKVEQRRKEALSRTTEEGALVLEGTLHDVMQRYSFMDLWPCSSKELDHLSRQEWLTKNMHKKVDKSLVQGANSIGSDKDLSKAFTPQSKVVYPDTSRMVIYDPRQSQAGPDLQAVSGMGTTTPAVALIAGGNTNANDELLKSVSPALAAFISHLPAVEGPSPEVDMVLSILLQSPISTGLPGKTSSVSQMPGGPAPSTSDLSGSSKSRMNPNGSSQRIVREGLSGKRKELERQEDDDTKTVQSRPLPRDVFKLRQMQMQRGRGVSVSQTGSAASGGSAFSGEQSISN >DRNTG_03968.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26328634:26333034:1 gene:DRNTG_03968 transcript:DRNTG_03968.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYSFMDLWPCSSKELDHLSRQEWLTKNMHKKVDKSLVQGANSIGSDKDLSKAFTPQSKVVYPDTSRMVIYDPRQSQAGPDLQAVSGMGTTTPAVALIAGGNTNANDELLKSVSPALAAFISHLPAVEGPSPEVDMVLSILLQSPISTGLPGKTSSVSQMPGGPAPSTSDLSGSSKSRMNPNGSSQRIVREGLSGKRKELERQEDDDTKTVQSRPLPRDVFKLRQMQMQRGRGVSVSQTGSAASGGSAFSGEQSISN >DRNTG_20730.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17824142:17828250:1 gene:DRNTG_20730 transcript:DRNTG_20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQSREQDDDVDDHQEDDEDDDDEHERPRSKPHKEKVLEQEPEILPCHPSASPLSPQLSLASTPRLLGPSIKVWDPCNVLLPPPFSNRGFDLDGGDRGTMTEVYLICHGECTVGLRPDLVGGRWPAAGLTGNGERQARALAVLLSSQGVRFSAVYSSPLDRARATAAFVCRELGFLEEQIQSSDALTEMSQGQWEGCLRSDIYTLEMVSLIERTQPDFLPPSGESLRQVEFRMIEFLNKTVLGLPQRLTSGDALMHQNDAKGFSRHSSTNSVQERDTAHMDLVHRLNRPGMQRKISGKSRLQFMTTGENDMEDEFSPREAIQSTGRNPATSIGIFTHATPIKCLLTGILNCSPVMSQKLCVDDSSITVLQHSLRTGWQVKRLNDTAHLRLL >DRNTG_23135.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7408239:7408853:-1 gene:DRNTG_23135 transcript:DRNTG_23135.1 gene_biotype:protein_coding transcript_biotype:protein_coding PQRTLGNGPLVQPKANLAGSMPTKAPPIIFFQAKCSVESREPIQ >DRNTG_30812.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18431008:18438699:-1 gene:DRNTG_30812 transcript:DRNTG_30812.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent diflavin oxidoreductase 1 [Source:Projected from Arabidopsis thaliana (AT3G02280) UniProtKB/Swiss-Prot;Acc:Q6NPS8] MGEKRLGLLVLYASQTGNAMDAGERVGREAERRGCSSVEVLSMDVFDPDCLPLELIVIFVVSTTGQGDVPDSMKEFWKFLLRRNLRHQWLHGLHYAVFGLGDSGYQKYNFTAKKLDKRLLDLGATPIIEKGLGDDQHRSGYEGALDPWLSSLWSALNQTFPTIISSDSDSGLSQIGTLDQVKFQIIYHGSDNVQQSSSIMKDYNNKEKVIEEARLMSPARYSHDDSRPHHFLKMVVNQRLTQVDYERDVRHFEFEAHSSSIDYQVGDVLEILPSQDPVAVDAFIQRCNLDPECYITVQPRSVNKHLNDVVGPVKLRTFVALTMDIASASPRRYFFEVMSFFATAEHEKERLQYFASAEGRDDLYQYNQKERRTVLEVLGA >DRNTG_30812.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18431008:18438699:-1 gene:DRNTG_30812 transcript:DRNTG_30812.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent diflavin oxidoreductase 1 [Source:Projected from Arabidopsis thaliana (AT3G02280) UniProtKB/Swiss-Prot;Acc:Q6NPS8] MGEKRLGLLVLYASQTGNAMDAGERVGREAERRGCSSVEVLSMDVFDPDCLPLELIVIFVVSTTGQGDVPDSMKEFWKFLLRRNLRHQWLHGLHYAVFGLGDSGYQKYNFTAKKLDKRLLDLGATPIIEKGLGDDQHRSGYEGALDPWLSSLWSALNQTFPTIISSDSDSGLSQIGTLDQVKFQIIYHGSDNVQQSSSIMKDYNNKEKVIEEARLMSPARYSHDDSRPHHFLKMVVNQRLTQVDYERDVRHFEFEAHSSSIDYQVGDVLEILPSQDPVAVDAFIQRCNLDPECYITVQPRSVNKHLNDVVGPVKLRTFVALTMDIASASPRRYFFEVMSFFATAEHEKERLQYFASAEGRDDLYQYNQKERRTVLEVLEDFPSVQLPFEWLVQLVPPLKTRAFSISSSPLAHPNQVHITVSIVSWTTPYKRKKYGLCSTWLARLDPHSSTGVHIPAWIRRGLLPPPPPSLPLILVGPGTGCAPFRAFVEERAVQSLKCPAAPVLFFFGCRNEDKDFLYKDFWFSHAQEHSVLATKHGGGFFVVFSRDQPHKIYVQHKIKEESEKVFRLLGEGASIYIAGSSTKMPADVTSAIEEIISKEAGITKESAVLWLRRLEKAGRFYIEAWS >DRNTG_30812.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18431008:18438699:-1 gene:DRNTG_30812 transcript:DRNTG_30812.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent diflavin oxidoreductase 1 [Source:Projected from Arabidopsis thaliana (AT3G02280) UniProtKB/Swiss-Prot;Acc:Q6NPS8] MDIASASPRRYFFEVMSFFATAEHEKERLQYFASAEGRDDLYQYNQKERRTVLEVLEDFPSVQLPFEWLVQLVPPLKTRAFSISSSPLAHPNQVHITVSIVSWTTPYKRKKYGLCSTWLARLDPHSSTGVHIPAWIRRGLLPPPPPSLPLILVGPGTGCAPFRAFVEERAVQSLKCPAAPVLFFFGCRNEDKDFLYKDFWFSHAQEHSVLATKHGGGFFVVFSRDQPHKIYVQHKIKEESEKVFRLLGEGASIYIAGSSTKMPADVTSAIEEIISKEAGITKESAVLWLRRLEKAGRFYIEAWS >DRNTG_30812.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18431008:18438699:-1 gene:DRNTG_30812 transcript:DRNTG_30812.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent diflavin oxidoreductase 1 [Source:Projected from Arabidopsis thaliana (AT3G02280) UniProtKB/Swiss-Prot;Acc:Q6NPS8] MSPARYSHDDSRPHHFLKMVVNQRLTQVDYERDVRHFEFEAHSSSIDYQVGDVLEILPSQDPVAVDAFIQRCNLDPECYITVQPRSVNKHLNDVVGPVKLRTFVALTMDIASASPRRYFFEVMSFFATAEHEKERLQYFASAEGRDDLYQYNQKERRTVLEVLEDFPSVQLPFEWLVQLVPPLKTRAFSISSSPLAHPNQVHITVSIVSWTTPYKRKKYGLCSTWLARLDPHSSTGVHIPAWIRRGLLPPPPPSLPLILVGPGTGCAPFRAFVEERAVQSLKCPAAPVLFFFGCRNEDKDFLYKDFWFSHAQEHSVLATKHGGGFFVVFSRDQPHKIYVQHKIKEESEKVFRLLGEGASIYIAGSSTKMPADVTSAIEEIISKEAGITKESAVLWLRRLEKAGRFYIEAWS >DRNTG_30812.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18430947:18432092:-1 gene:DRNTG_30812 transcript:DRNTG_30812.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent diflavin oxidoreductase 1 [Source:Projected from Arabidopsis thaliana (AT3G02280) UniProtKB/Swiss-Prot;Acc:Q6NPS8] MIDQIVKQVLEDFPSVQLPFEWLVQLVPPLKTRAFSISSSPLAHPNQVHITVSIVSWTTPYKRKKYGLCSTWLARLDPHSSTGVHIPAWIRRGLLPPPPPSLPLILVGPGTGCAPFRAFVEERAVQSLKCPAAPVLFFFGCRNEDKDFLYKDFWFSHAQEHSVLATKHGGGFFVVFSRDQPHKIYVQHKIKEESEKVFRLLGEGASIYIAGSSTKMPADVTSAIEEIISKEAGITKESAVLWLRRLEKAGRFYIEAWS >DRNTG_30812.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18431008:18438699:-1 gene:DRNTG_30812 transcript:DRNTG_30812.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent diflavin oxidoreductase 1 [Source:Projected from Arabidopsis thaliana (AT3G02280) UniProtKB/Swiss-Prot;Acc:Q6NPS8] MGEKRLGLLVLYASQTGNAMDAGERVGREAERRGCSSVEVLSMDVFDPDCLPLELIVIFVVSTTGQGDVPDSMKEFWKFLLRRNLRHQWLHGLHYAVFGLGDSGYQKYNFTAKKLDKRLLDLGATPIIEKGLGDDQHRSGYEGALDPWLSSLWSALNQTFPTIISSDSDSGLSQIGTLDQVKFQIIYHGSDNVQQSSSIMKGTWI >DRNTG_30812.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18431008:18438699:-1 gene:DRNTG_30812 transcript:DRNTG_30812.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent diflavin oxidoreductase 1 [Source:Projected from Arabidopsis thaliana (AT3G02280) UniProtKB/Swiss-Prot;Acc:Q6NPS8] GDVLEILPSQDPVAVDAFIQRCNLDPECYITVQPRSVNKHLNDVVGPVKLRTFVALTMDIASASPRRYFFEVMSFFATAEHEKERLQYFASAEGRDDLYQYNQKERRTVLEVLEDFPSVQLPFEWLVQLVPPLKTRAFSISSSPLAHPNQVHITVSIVSWTTPYKRKKYGLCSTWLARLDPHSSTGVHIPAWIRRGLLPPPPPSLPLILVGPGTGCAPFRAFVEERAVQSLKCPAAPVLFFFGCRNEDKDFLYKDFWFSHAQEHSVLATKHGGGFFVVFSRDQPHKIYVQHKIKEESEKVFRLLGEGASIYIAGSSTKMPADVTSAIEEIISKEAGITKESAVLWLRRLEKAGRFYIEAWS >DRNTG_30812.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18431008:18438699:-1 gene:DRNTG_30812 transcript:DRNTG_30812.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent diflavin oxidoreductase 1 [Source:Projected from Arabidopsis thaliana (AT3G02280) UniProtKB/Swiss-Prot;Acc:Q6NPS8] MSPARYSHDDSRPHHFLKMVVNQRLTQVDYERDVRHFEFEAHSSSIDYQVGDVLEILPSQDPVAVDAFIQRCNLDPECYITVQPRSVNKHLNDVVGPVKLRTFVALTMDIASASPRRYFFEVMSFFATAEHEKERLQYFASAEGRDDLYQYNQKERRTVLEVLGA >DRNTG_30812.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18431008:18438699:-1 gene:DRNTG_30812 transcript:DRNTG_30812.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent diflavin oxidoreductase 1 [Source:Projected from Arabidopsis thaliana (AT3G02280) UniProtKB/Swiss-Prot;Acc:Q6NPS8] MGEKRLGLLVLYASQTGNAMDAGERVGREAERRGCSSVEVLSMDVFDPDCLPLELIVIFVVSTTGQGDVPDSMKEFWKFLLRRNLRHQWLHGLHYAVFGLGDSGYQKYNFTAKKLDKRLLDLGATPIIEKGLGDDQHRSGYEGALDPWLSSLWSALNQTFPTIISSDSDSGLSQIGTLDQVKFQIIYHGSDNVQQSSSIMKDYNNKEKVIEEARLMSPARYSHDDSRPHHFLKMVVNQRLTQVDYERDVRHFEFEAHSSSIDYQVGDVLEILPSQDPVAVDAFIQRCNLDPECYITVQPRSVNKHLNDVVGPVKLRTFVALTMDIASASPRRYFFEVMSFFATAEHEKERLQYFASAEGRDDLYQYNQKERRTVLEVLGA >DRNTG_30812.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18430947:18438699:-1 gene:DRNTG_30812 transcript:DRNTG_30812.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent diflavin oxidoreductase 1 [Source:Projected from Arabidopsis thaliana (AT3G02280) UniProtKB/Swiss-Prot;Acc:Q6NPS8] MGEKRLGLLVLYASQTGNAMDAGERVGREAERRGCSSVEVLSMDVFDPDCLPLELIVIFVVSTTGQGDVPDSMKEFWKFLLRRNLRHQWLHGLHYAVFGLGDSGYQKYNFTAKKLDKRLLDLGATPIIEKGLGDDQHRSGYEGALDPWLSSLWSALNQTFPTIISSDSDSGLSQIGTLDQVKFQIIYHGSDNVQQSSSIMKDYNNKEKVIEEARLMSPARYSHDDSRPHHFLKMVVNQRLTQVDYERDVRHFEFEAHSSWVMFLRFYQVKILLLLMHLYNVVTWILSVT >DRNTG_09327.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1032328:1032788:1 gene:DRNTG_09327 transcript:DRNTG_09327.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLDGDIPDHSCEHSANHSIESPHLSADTPTGLVKSRSLTNLRVDSDSSTDAALLQELTVPRSKSFENLNLLNELNEEHSPHRADYGTMVGCNEVDIQGGDGSYLNEKSKSEYKSVAGGDFHN >DRNTG_12113.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5038740:5043999:-1 gene:DRNTG_12113 transcript:DRNTG_12113.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKNEVNLRRLLASAPQQQNQAKLVHYITTLREQLEQLGLEVTPEGLPSISKAKLNEYSEKIEALAARLAAPLVEHTDAVDEIKVEETSSKTEEVGYGSPPGLRRRFTAHVELEENTREATDKDSSNQVKLDAAAQAHIEKHRKLQEDLTDEMVVLARQLKESSHMMNKSLQDTEKILDSTERAVEHSLASTGRVNVRAMEVYSESFKTTCFTWLVIFAMICIFVMVVLLIRVT >DRNTG_12113.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5040013:5043999:-1 gene:DRNTG_12113 transcript:DRNTG_12113.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKNEVNLRRLLASAPQQQNQAKLVHYITTLREQLEQLGLEVTPEGLPSISKAKLNEYSEKIEALAARLAAPLVEHTDAVDEIKVEETSSKTEEVGYGSPPGLRRRFT >DRNTG_12113.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5040013:5043999:-1 gene:DRNTG_12113 transcript:DRNTG_12113.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKNEVNLRRLLASAPQQQNQAKLVHYITTLREQLEQLGLEVTPEGLPSISKAKLNEYSEKIEALAARLAAPLVEHTDAVDEIKVEETSSKTEEVGYGSPPGLRRRFTAHVELEENTREATDKDSSNQVKLDAAAQAHIEKHRKLQEDLTDEMVVLARQLKESSHMMNKSLQDTEKILDSTERAVEHSLASTGRVNVRAMEVYSESFKTTCFTWLVIFAMICIFVMVVLLIRVT >DRNTG_33123.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20926468:20929591:1 gene:DRNTG_33123 transcript:DRNTG_33123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHNEMDIEGASTRERYNNFMEESCCTQFCTGPNPSMARYVYGLMFLVTSLLAWTVRDYGHAALAELQRLKECEGTRYCLGAEGVLRISFGCFIFFFIMFLSTAGTKSWRILEIHGTLSGGLPRSYSV >DRNTG_02313.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:699439:702408:-1 gene:DRNTG_02313 transcript:DRNTG_02313.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGIPVVSEKWLVDSIEKERAQPLDAYDVVSDLAPEGKGIPWDKQDPSEEALQSLIAELKLYGKKAVYKDSKLEQQGAKIFERDGIIYNCAFSNCDLGRRVNEYICSAHLLYYQLLVMVLIYNGLFYRYCIMQLIVVPEANVHLYYKKGRVGDDPRAEERVEEMPNEDDAVKEFVRLFELITDNEFELWESEKKFVKKPLKFFSVDMDDGVDVRYGGLGLRQMGVAAAHCKLDSMVANFMKVLCSQEIYRYALMEMGHDNLDLPMGMLSELHLKRCEEVLLEFRDALKSQSHGEQDQKAYVKLVGLSSKWFTLMHSTRPFIIRNFEEFADHVAAPLESIRDIVVASHLVGDMTGATIDDPLFDRYKKLGCSVTPLDKESDDYKMIVKYLKKTYEPIKLGDTVYDASVENIFAVESSSCPSYDEIKKMANKVLLWCGTRSSNLSRHLQKGFLPSICSIPVPGYMFGRAIVCSDAAAEAAKYGFTAVDRPEGFLVLAIASLGQQITEISTAPEDPEALEDKKTAVKGIGRKTTDEAEHFVWKDDIKVPCGRLIESGNKNSPLEYNEYAVYDPKQASIRFLVGVKYEEQNMGNGHS >DRNTG_02313.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:702468:703592:-1 gene:DRNTG_02313 transcript:DRNTG_02313.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFFDSQDLMFYGPLERCPVCNGQLECTGRNYECRGAYSEWSACVYNTRDNPRKDESLKLPEGIESSKVNDLVKSQQLKSYPKRSLFPEDKPFAGMTISLSGRLTRRHEDWKEEIEKHGGVCSKSIIG >DRNTG_02313.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:699439:701263:-1 gene:DRNTG_02313 transcript:DRNTG_02313.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGHDNLDLPMGMLSELHLKRCEEVLLEFRDALKSQSHGEQDQKAYVKLVGLSSKWFTLMHSTRPFIIRNFEEFADHVAAPLESIRDIVVASHLVGDMTGATIDDPLFDRYKKLGCSVTPLDKESDDYKMIVKYLKKTYEPIKLGDTVYDASVENIFAVESSSCPSYDEIKKMANKVLLWCGTRSSNLSRHLQKGFLPSICSIPVPGYMFGRAIVCSDAAAEAAKYGFTAVDRPEGFLVLAIASLGQQITEISTAPEDPEALEDKKTAVKGIGRKTTDEAEHFVWKDDIKVPCGRLIESGNKNSPLEYNEYAVYDPKQASIRFLVGVKYEEQNMGNGHS >DRNTG_02313.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:702468:703384:-1 gene:DRNTG_02313 transcript:DRNTG_02313.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHLSVEEMRRILEVNGQDASGSDDAVVTRCQDLMFYGPLERCPVCNGQLECTGRNYECRGAYSEWSACVYNTRDNPRKDESLKLPEGIESSKVNDLVKSQQLKSYPKRSLFPEDKPFAGMTISLSGRLTRRHEDWKEEIEKHGGVCSKSIIG >DRNTG_02313.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:699439:701469:-1 gene:DRNTG_02313 transcript:DRNTG_02313.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAAAHCKLDSMVANFMKVLCSQEIYRYALMEMGHDNLDLPMGMLSELHLKRCEEVLLEFRDALKSQSHGEQDQKAYVKLVGLSSKWFTLMHSTRPFIIRNFEEFADHVAAPLESIRDIVVASHLVGDMTGATIDDPLFDRYKKLGCSVTPLDKESDDYKMIVKYLKKTYEPIKLGDTVYDASVENIFAVESSSCPSYDEIKKMANKVLLWCGTRSSNLSRHLQKGFLPSICSIPVPGYMFGRAIVCSDAAAEAAKYGFTAVDRPEGFLVLAIASLGQQITEISTAPEDPEALEDKKTAVKGIGRKTTDEAEHFVWKDDIKVPCGRLIESGNKNSPLEYNEYAVYDPKQASIRFLVGVKYEEQNMGNGHS >DRNTG_02313.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:699439:700373:-1 gene:DRNTG_02313 transcript:DRNTG_02313.6 gene_biotype:protein_coding transcript_biotype:protein_coding FSNWQFGRAIVCSDAAAEAAKYGFTAVDRPEGFLVLAIASLGQQITEISTAPEDPEALEDKKTAVKGIGRKTTDEAEHFVWKDDIKVPCGRLIESGNKNSPLEYNEYAVYDPKQASIRFLVGVKYEEQNMGNGHS >DRNTG_02313.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:699439:703592:-1 gene:DRNTG_02313 transcript:DRNTG_02313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHETRSQTHSSAEEEGKTGTRRQKTESRGHEGDHPSSKNKKAKVANNENGKDSGKSIVEVGEEFDEFCKTMKEHLSVEEMRRILEVNGQDASGSDDAVVTRCQDLMFYGPLERCPVCNGQLECTGRNYECRGAYSEWSACVYNTRDNPRKDESLKLPEGIESSKVNDLVKSQQLKSYPKRSLFPEDKPFAGMTISLSGRLTRRHEDWKEEIEKHGGVCSKSIIGVTCLVVSPAERERGGSSKLVEAMESGIPVVSEKWLVDSIEKERAQPLDAYDVVSDLAPEGKGIPWDKQDPSEEALQSLIAELKLYGKKAVYKDSKLEQQGAKIFERDGIIYNCAFSNCDLGRRVNEYCIMQLIVVPEANVHLYYKKGRVGDDPRAEERVEEMPNEDDAVKEFVRLFELITDNEFELWESEKKFVKKPLKFFSVDMDDGVDVRYGGLGLRQMGVAAAHCKLDSMVANFMKVLCSQEIYRYALMEMGHDNLDLPMGMLSELHLKRCEEVLLEFRDALKSQSHGEQDQKAYVKLVGLSSKWFTLMHSTRPFIIRNFEEFADHVAAPLESIRDIVVASHLVGDMTGATIDDPLFDRYKKLGCSVTPLDKESDDYKMIVKYLKKTYEPIKLGDTVYDASVENIFAVESSSCPSYDEIKKMANKVLLWCGTRSSNLSRHLQKGFLPSICSIPVPGYMFGRAIVCSDAAAEAAKYGFTAVDRPEGFLVLAIASLGQQITEISTAPEDPEALEDKKTAVKGIGRKTTDEAEHFVWKDDIKVPCGRLIESGNKNSPLEYNEYAVYDPKQASIRFLVGVKYEEQNMGNGHS >DRNTG_27380.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001394.1:42432:43778:-1 gene:DRNTG_27380 transcript:DRNTG_27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLMVLWRVLYSSSKDSLIRYRIRLDGSSPTNLLPKE >DRNTG_00053.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21726157:21728929:-1 gene:DRNTG_00053 transcript:DRNTG_00053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPMLSKEPSAILFNTEKLEEMKDDNEKWVLDSSVDHKGNVPVRATTGAWKAALFIIAIEFGERLSFFGLSANLMIYLTKYLHEDLKTAATTVNYWTGVTTMLPLVGGFIADAYLGRFSTILFSAFIYIGGLGLLILSQLAPSLKPCTISPCHGGSLRLHKIIFFLGLYLISIASGGHRPSLESFGADQFDDDHPKERKKKMSYFNWWNFGLCSGLLLGVTVIVYIQDNISFWVSYVVLTVVTVIALAIFLFGRPFYRHRVPKGSTLTPMIRVLVAALKKRHLPHPSNTGELYEVSNSVNSEKRRLSHTNQFMFLNKASIIEHRSNQAGGFEAEKHMNPWRLATVTQVEELKLIMNMIPIWLATIPFGISVAQISTFFLKQCAVMDRRLTGNFEVPPASVYALGAIGMIICVSLYDRILVPLFRRLTGNERGISILQRIGVGIVFSGIAMVTAAIVEAKRLRIAHEEQSKVVSMSVFWLAPQFVILGFGDGFSLVGLQEYFYDQVPDSMRSLGIGFYLSVIGASNFLSSLLITVVDHLTEKAGAGGSWFAKDLNKEPAG >DRNTG_18960.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11019450:11020285:1 gene:DRNTG_18960 transcript:DRNTG_18960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHLPRGRKLLTSEHASRRDDAFSPTLQCGVPRSGQMGDGVVNPEFTLVKRCAGPLTRGSFM >DRNTG_18960.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11018850:11020285:1 gene:DRNTG_18960 transcript:DRNTG_18960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHLPRGRKLLTSEHASRRDDAFSPTLQCGVPRSGQMGDGVVNPEFTLVKRCAGPLTRGSFM >DRNTG_18960.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11018850:11020588:1 gene:DRNTG_18960 transcript:DRNTG_18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSSFHCPELDGETSSKGKETADQ >DRNTG_16226.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30761413:30769345:-1 gene:DRNTG_16226 transcript:DRNTG_16226.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U3 small nucleolar RNA-associated protein [Source:Projected from Arabidopsis thaliana (AT1G17690) UniProtKB/TrEMBL;Acc:Q8H1E7] MARKESRKRPKATKVAENAKKGSFSAKRRRPDDKIIDVPMPTSSASDVSEEEIGGVYREPSMYDNLLKALGSGSDKLAKLYMRRQREQEGLSDEEEEEDEDGGSDSAGVSDKEDDREGSPGKFNFGKAARYEITGTEPANVDHGEEAASEDEEESYDGDDADDDEHNCDSANKTNDTSSFQLHLTHTLKSEEVDDLTKKKWKFKWEMPATNIPVSKWVGTSEHFMKEVSSDLCYGLKEKLFKHWLNIFGTQGSGDFHSSKQRLFFSLCNSYQDIMHCNKKPFYLKGREEDSSIMDAYIMHALNHIYRTRDLVTKNDAKQKKNEDGKKEDIIYGGSFLDHGFTRAKVMFLLPLRSIALRVVKRLIQLTPSSDKANVEYFDRFSEEFGAEDAEDDVSNNDASSKSQKSVKPTDFQLLFGGNHDDHFVVGIKFTKKSIKLYSDFYSSDIIVASPLGLVTKIGEAEIDKDKDVDYLSSIEVLIVDHADVIAMQNWSHVNTVVEQLNCTPSKQHGTDIMRIRPWYLDGQARFYRQTILLSSFLNPEMNALFNRLCVNYEGKVKLLTEHKGVLPKVLLQVRQVYEQFDAPSIAEVDDARLDYFTKRVFPKIKDSLEGGTMLFISSYFEFVRIRNFLKSQNASFCLLGEYTKPSDISRARVWFFEGKRKIMLYTERAHFYHRYKIRGVQNLIVYSLPERKEFYPEIINMLEASKNIACSVLFSRFDHLRLERIVGTHATKRMLSSDKDMFVFC >DRNTG_34814.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8116428:8123447:1 gene:DRNTG_34814 transcript:DRNTG_34814.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLEGVCPSTTLAPTSPIVTASMVPESPPASPTSPVSGRRYCFHILIDSDRFLKSTSTSIIGEDRM >DRNTG_10036.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1790223:1791644:-1 gene:DRNTG_10036 transcript:DRNTG_10036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAVLSSASPATTTGHRHPSPLLLPCRRPSFKTLALPPLPATVADLSLDSPATALAVLGGGSIAALATALSLSDPERRRRQQATEVGGDDKAVVREYFNTTGFDRWRKIYSDATEGVNKVQLDIRLGHSKTVEKTIEMLKDDSPLAGVSICDAGCGTGSLSIPLAREGAVVTATDISAAMVDEARRRASEELGEAQMPVFDVSDLEGLKGKWDTVVCLDVLIHYPQEKADGMIEHLASLAERRLVLSFAPKTFYYDLLKRIGELFPGPSKATRAYLHAERDVERALRRVGWKINKKGLINTQFYFANLIEAVPVSSTA >DRNTG_08927.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27367914:27369290:1 gene:DRNTG_08927 transcript:DRNTG_08927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTNKIHGIKNKRKHSDRKKRSNWRGDPGQEWRPDGGETRGATEGAIERRRRVAIHRLLQRDAFGITIDGVRRYHPGLVGVLRDIVGLRRRRRAVGVRNSQRWLFSLSLHLHCSLSLSLSLSLSR >DRNTG_18922.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12906958:12921484:-1 gene:DRNTG_18922 transcript:DRNTG_18922.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQMSWQQPNQQRRKAPLGLKNLGNSCYLNSVLQCLCYTPPLGQFCLSSQHSSRCKNWAVGGEKECPFCILERQITRCLSFEGPSEAPSKIHKCLTLFADSFRWGRQEDAHEFLRYVIDACHNSCLKLLTKSVPGKENACPVGSGANTVMKEIFGGALLSQVKCLSCKGESNKTDEMMDVSLDLFQCSSLNDALGRFFQSEVLDGNNKYSCSNCKKLTVARKQMFILRAPNVLVIQLKRFEAINGGKINRNIDFQEGLVLSRFMSTRSQDPQPEYNLFGSIVHSGCFRSLVLLQ >DRNTG_18933.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12376003:12384451:1 gene:DRNTG_18933 transcript:DRNTG_18933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPRLGLGFGLGLLLRKGKPARRTRDCRSVSLGGWRGFSSYSVEQFSDDEYECEFEDQKDMDNWKCHVCCEPNYHLVVSMGCRGIYLVTPCMSGRIGDRATSDVVVRLRTPEGRDNWVYCHSEILIEKSKYFADRLSENWPTCQILDSRNCVEVYCQELEFNSHVSLLRLLYLPEPHTWYGVRNTLGILQVAVNLGCHQMARACLDYLEAVPWEEAEEEEILRMVPTLGSQYEVILARLQPVDPMAITGIFISAFRFATSSPPCHLRELKTSVQEQLEYMLTEDDDIPLLSLRDAVLKSEVRNCVKDLLSRLDCVILSLSSMLQELLSLLSDIAWVCQILCKMEMMKDLVHYWVSASDNIVKALDCLNSDGNVLDSRLKVVEVTCKVLEAISSGNVILPTSSRIHVVNAWLPFARRTRLLVEPSAPVLDYDEDSPVDPDCEIGSPVKMDAEIWQVMESAFVSIVLTLPSEVQAEILAEWLKSEAARYPDLTEAFEVWCYRSKIAYRRCVFLKGRNT >DRNTG_15786.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17120106:17123368:-1 gene:DRNTG_15786 transcript:DRNTG_15786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRLRSAQGLFTAVRAGDIEEVRRIVEGADGGGGAALMAAQTEAGETALYIAAENNFGALVSYLVGFCDDETAKMRSRLDLDALQVAAKHGHAGVVKELLQLWPSLCKSCDSSNTSPLYSAAVKGHLDVVNAILDVDDSTIRIVRKNGKTSLHMAARNGLLEIVKVLLERDPGVVSIIDKKGQTALHMAVKGQNPDVVEEMLVTDLSILNIRDKKGNTALHIATRKWRPQMVRLLLSYESIEVNIINNQKETALDLVEKIPYGESQMDIVESLSEAGAKKARHVGQHDEASELRRTVSDIKHGVQNQLIQNAKTNKRVSGIAKELRKLHREAVQNTINSVTVVAVLIASIAFMAIFNLPGQYFQDGPDVGKANIADKMGFRVFCLLNAFALFISLAVVVVQITLVAWETGAQKRVVSVVNKLMWSACVSTCGAFLALAFVVVGRQASWMAFTIMVVGVPIMLGTLVIMMYLVLRRRFKFGDDSQRRIKRTSGSKSFSWSHYSAYSDPDAFSDHDKIYAL >DRNTG_22962.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1060618:1063061:1 gene:DRNTG_22962 transcript:DRNTG_22962.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGVSSGGGQSSLSYLFGADEAPKPAAPKPAATPTVVAEKPAPTPTVIAEKPAPNPNPAAAATADKYKQIPAGIQGHTTNNYFRADGQNTGNFITDRPSTKVHAAPGGGSSLDYLFGGAGK >DRNTG_22962.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1060618:1063061:1 gene:DRNTG_22962 transcript:DRNTG_22962.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGVSSGGGQSSLSYLFGADEAPKPAAPKPAATPTVVAEKPAPTPTVIAEKPAPNPNPAAAATADKYKQIPAGIQGHTTNNYFRADGQNTGNFITDRPSTKVHAAPGGGSSLDYLFGGAGK >DRNTG_27822.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20195920:20199379:-1 gene:DRNTG_27822 transcript:DRNTG_27822.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLATAEACDANQHLISSGDLRALQPIFQIYGRRQVFSGPIVTLKVFEDNVLVREFLEERGNGRVLVVDGGASMRCAILGGNPVQQAQNNGWAGIIINGCIRDVDEINGCDIGVRALASHPMKAYKKGIGEKHVPVHIAGTRICDGEWLYADTDGILISQSELSV >DRNTG_27822.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20195920:20199379:-1 gene:DRNTG_27822 transcript:DRNTG_27822.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLATAEACDANQHLISSGDLRALQPIFQIYGRRQVFSGPIVTLKVFEDNVLVREFLEERGNGRVLVVDGGASMRCAILGGNPVQQAQNNGWAGIIINGCIRDVDEINGCDIGVRALASHPMKAYKKGIGEKHVPVHIAGTRICDGEWLYADTDGILISQSELSV >DRNTG_27822.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20195920:20199379:-1 gene:DRNTG_27822 transcript:DRNTG_27822.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLATAEACDANQHLISSGDLRALQPIFQIYGRRQVFSGPIVTLKVFEDNVLVREFLEERGNGRVLVVDGGASMRCAILGGNPVQQAQNNGWAGIIINGCIRDVDEINGCDIGVRALASHPMKAYKKGIGEKHVPVHIAGTRICDGEWLYADTDGILISQSELSV >DRNTG_27822.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20195920:20199379:-1 gene:DRNTG_27822 transcript:DRNTG_27822.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLATAEACDANQHLISSGDLRALQPIFQIYGRRQVFSGPIVTLKVFEDNVLVREFLEERGNGRVLVVDGGASMRCAILGGNPVQQAQNNGWAGIIINGCIRDVDEINGCDIGVRALASHPMKAYKKGIGEKHVPVHIAGTRICDGEWLYADTDGILISQSELSV >DRNTG_27822.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20195920:20199379:-1 gene:DRNTG_27822 transcript:DRNTG_27822.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLATAEACDANQHLISSGDLRALQPIFQIYGRRQVFSGPIVTLKVFEDNVLVREFLEERGNGRVLVVDGGASMRCAILGGNPVQQAQNNGWAGIIINGCIRDVDEINGCDIGVRALASHPMKAYKKGIGEKHVPVHIAGTRICDGEWLYADTDGILISQSELSV >DRNTG_27822.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20195920:20199379:-1 gene:DRNTG_27822 transcript:DRNTG_27822.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLATAEACDANQHLISSGDLRALQPIFQIYGRRQVFSGPIVTLKVFEDNVLVREFLEERGNGRVLVVDGGASMRCAILGGNPVQQAQNNGWAGIIINGCIRDVDEINGCDIGVRALASHPMKAYKKGIGEKHVPVHIAGTRICDGEWLYADTDGILISQSELSV >DRNTG_27822.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20195648:20199379:-1 gene:DRNTG_27822 transcript:DRNTG_27822.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLATAEACDANQHLISSGDLRALQPIFQIYGRRQVFSGPIVTLKVFEDNVLVREFLEERGNGRVLVVDGGASMRCAILGGNPVQQAQNNGWAGIIINGCIRDVDEINGCDIGVRALASHPMKAYKKGIGEKHVPVHIAGTRICDGEWLYADTDGILISQSELSV >DRNTG_27822.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20195920:20199467:-1 gene:DRNTG_27822 transcript:DRNTG_27822.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLATAEACDANQHLISSGDLRALQPIFQIYGRRQVFSGPIVTLKVFEDNVLVREFLEERGNGRVLVVDGGASMRCAILGGNPVQQAQNNGWAGIIINGCIRDVDEINGCDIGVRALASHPMKAYKKGIGEKHVPVHIAGTRICDGEWLYADTDGILISQSELSV >DRNTG_22300.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:909948:910271:-1 gene:DRNTG_22300 transcript:DRNTG_22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding YNQNHCILQQQQQQQQRLALCSSSSSSSSSSSSPAAKQGKLCTHK >DRNTG_04077.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2236961:2240816:1 gene:DRNTG_04077 transcript:DRNTG_04077.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGCSASGERLVSAARDGDLIEARMLLEFNPSLAKYSTFGGLNSPLHFAAAKGHCEIVTLLLENGADVNSRNYCGQTALMQACRYGHWEVVQTLLLYRSNVMRADYLSGRTALHFAAVEGHIRCIRLLVADFIPSAPFDSAADGDIAVVRGTSPDSSSRNRSDQSALVRFINKVADGGITALHMAALNGYFDCVQLLLDLHADVSVVTFHYGSAMNLIGAGSTPLHYAACGGNLKCCQILIAKGASRLTLNCNGWLPLDVAKIWKRHWLEPLLSPNSDLTIPNFPPSNYLSLPLMSILNIARDTGLQSSTVTSDESDFCAVCLERACTVAAEGCGHEFCVRCALYLCSTSNVTTEMVGPPGAIPCPLCRNGIVSFVKLPSTPAKELKLNLALSLCNPCILPPRDPDTPATSCRSEYHKNCVASVSPEIMCPLTCSPFPSVSIPSCTCDDDPCSSDDPQGEIHEQSPRPSQSAPSEAEKTEEQRLEKTTCSNMFWNRRSCHREHQCNSEINA >DRNTG_19827.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4627202:4628046:-1 gene:DRNTG_19827 transcript:DRNTG_19827.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFITLTTKTRMVKNTKSNTKSKTPYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTPEAAARAYDAALLCVKGSSASLNFPHLSPHGVPDNVDLLSPKSIKKVAAAAASAAAAENTISLSDQKLMPLPSPPPLISSEFGVFESPKCIEEELYGCALFDTLCAEELEEDGYVHLWSFN >DRNTG_19827.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4627083:4628046:-1 gene:DRNTG_19827 transcript:DRNTG_19827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFITLTTKTRMVKNTKSNTKSKTPYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTPEAAARAYDAALLCVKGSSASLNFPHLSPHGVPDNVDLLSPKSIKKVAAAAASAAAAENTISLSDQKLMPLPSPPPLISSEFGVFESPKCIEEELYGCALFDTLCAEELEEDGYVHLWSFN >DRNTG_19827.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4627202:4627913:-1 gene:DRNTG_19827 transcript:DRNTG_19827.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNTKSNTKSKTPYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTPEAAARAYDAALLCVKGSSASLNFPHLSPHGVPDNVDLLSPKSIKKVAAAAASAAAAENTISLSDQKLMPLPSPPPLISSEFGVFESPKCIEEELYGCALFDTLCAEELEEDGYVHLWSFN >DRNTG_19827.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4627083:4627913:-1 gene:DRNTG_19827 transcript:DRNTG_19827.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNTKSNTKSKTPYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTPEAAARAYDAALLCVKGSSASLNFPHLSPHGVPDNVDLLSPKSIKKVAAAAASAAAAENTISLSDQKLMPLPSPPPLISSEFGVFESPKCIEEELYGCALFDTLCAEELEEDGYVHLWSFN >DRNTG_28670.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6255274:6259888:-1 gene:DRNTG_28670 transcript:DRNTG_28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein 55 [Source:Projected from Arabidopsis thaliana (AT2G34260) UniProtKB/Swiss-Prot;Acc:O80775] MEINLGKLPFDLDFHPSSPLVATGLINGDVHLYKYSSDSIPQKLLGIHAHDESCRAVRFVDSGRVFLTGSPDCSILALDVETGAQIARFKDAHEAAVNRLVNLTETTIASGDDDGCIKVWDTRQRACCNSFNAHEDFISDMTFATGSMQLIGTSGDGTLSVCNLRRNKVQAQSEFSEDELLSVVIMKHGRKVICGTQTGALLLYSWGHFKDCSDRFLGHPMSVDTLVKLDEDSLISGSEDGVIRLVSILPNRIVQPIAEHSEYPIERLAFSNDRKYLGSISHDQMLKLWDLEEVLDGNQAVQDNLAVEAESDDDGDDDMDVDVKPNKPPKDLKGRKVDNKQASSMKSANFFADL >DRNTG_15076.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3962981:3968152:1 gene:DRNTG_15076 transcript:DRNTG_15076.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSKRLRFSAKNFFLTYPQCSITKEDAMAQLIALPLPSNKKFICVAIELHEDGRPHLHVLIQLEDMLHITNKRLFDLYQHQPSHGFHPNMQSAKSSFDVKVYVENGGDYVGSIDELLQIMSLWLLSNRNGLTQVFTLLQEFSNG >DRNTG_31008.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30410803:30413726:-1 gene:DRNTG_31008 transcript:DRNTG_31008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRISSLPSNIIGIILMDLPLKDAVRSSILSSKWRYEWSTIPQLVFDRHSFPLPSRHALGEIVDQVLFLHAGPIEKFAFDGYTYDDSFTR >DRNTG_31008.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30410803:30411094:-1 gene:DRNTG_31008 transcript:DRNTG_31008.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRISSLPSNIIGIILMDLPLKDAVRSSILSSKWRYEWSTIPQLVFDRHSFPLPSRHALGEIVDQVLFLHAGPIEKFAFDGYTYDDSFTR >DRNTG_30723.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12532906:12540622:-1 gene:DRNTG_30723 transcript:DRNTG_30723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSALKRKQSKTKGFSVGDEVEVQSEDEGFRGAWYEATVVRPLPRHRRHSVVYTSLLEDAVSCRPLHEYVLDSHLRPRHPRRPGPPFQIHQVVDAFHQDGWWPGVVADVRGGRYAVYFPSTREEEEFVESDVRAHLRWVKGQWISDSEDSPGRTKEKFSLGDQVEVTRDKEIFGGAWYSGTIVKVIGKTYFLIEYASLLQGSGSGGHCEFLREIVDAQYIRPSPHKPEAVKFDLFDEVEAFWTNYWLPGVIKKVLSESMYVVKPTYQKEDLELNAIDLRHHYNWTNGQWVRATQGEYKKTKVGSGTKLSSYRKSKARKMPDCPSPACNTAEGEVTLDTCSDTGILKQENNRKLRLDDQLQPCRDSRKKELAKDNTKLVDLLPIERRSKISEKIGMALDSPRELAISPSLTNEHPHSEGLCRERRGESSSVVSKLPPNLSDVILHEQGMSVSKGTVKASSREPETYNSKDDMSENKTKALNLILSPSIQENNEFFSSGEWESYCCVSDVGLNLKKRRKKLVIRAPKRQRKIPDVVHTAPPGFVGKRGRPRKEGMQVKQVGTTADQAVEIDCRKEEAGLCDLDPSVNFSDAAQLQCDKNGSKQDESYLLDLNNAISEDLQGESVTIIHESKEQERGFDVYNQPHDKHVTSQFNSSSTVEKSVDDPTKQAAVAMVSSPNRAAEGMCNDGNEMSINEDNQALPPVEEVSATFQKGLVHDLPSSNKTEMPAVSAENSSDSPEKIALADNSSDSPEKNALAENSSDSPEKNVLVPFVRSSPMWQTIESMEIFRLLPQEPHFQPLEQHCPEFREGMAVGLMITFANFATSIRSLRITDPLTVLNEKLKALATLEANGFKVQRMRHRIEQLLDIRRKQEQSEIKRTELEGQLLDRKNEKGLLNSSIMDFDKDIMELEQKILRFKEKRASAIVQRELIDSEIAILQRDAEAAEEFLASAEHQFDGAVTAPW >DRNTG_26567.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20238623:20240572:-1 gene:DRNTG_26567 transcript:DRNTG_26567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVERFRARKAEKNQGKPRFRDDNPRSDTNEDDRSSRASEPSLDEAAIGALVSILMGSLRRFFKDENFRASLRHTCLSWLYPRGGNQKDGDNDSRVIANLREAIGIVEGAVKTMPDPRDLRRASLKLSVLAGLSYGDLKKGFTGGIPNLHLSACAHLYLSLFYRLQKKNKASGRHLLQVFCDVPYPGRTVLLLSLWERLIFPHLSHLKAWYEKEAELILRTSSRLQKLQALEDVYNNALDGGTHRFAIYYKEWLIEENGARAPPFPCVDVPDNPFARCPPEPSNMVGFKGASSSKRLDLYLEEVEEEEEEVAEERFNVLLRSSDQGHDEEDEGAWSSSPKSNEHIKEDQRKNHHQANAPSDARECVDEGSHMLNRLNIHEPDTCVASIVPNAKENVTALEKFAQDAFQDQVFDYSNELTSMRTKTKPDRNGMIPTNSQM >DRNTG_25040.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8815197:8817640:1 gene:DRNTG_25040 transcript:DRNTG_25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSYSELNQRIDYVFKVVLIGDSAVGKSQLLARFSRNEFSLDSKATIGVEFQTRTLAIDQKTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHVARWLDELRSHADKNIVIMLIGNKSDLGTLRAVPTEDAKEFAQRENLFFMETSALEATNVETAFITALTEIYRIISKKSLIANGDQDGNSGVPKGTKIVVPRQEPTVAASSSCCMST >DRNTG_19215.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000990.1:50891:54451:-1 gene:DRNTG_19215 transcript:DRNTG_19215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELVIQCKAELSGHPRSKVREFFSLDRNPLLFKLQLGKKLRNVNERINEFIEEMDKFKLRVVENNSKPLGNRHQTYSCVHELANEDRVYRFCQKLPADWDWAAILADGYSGGPSNACALCGLDLETIEHLFVQCPRAIDVWTLDCQKVNISISFLD >DRNTG_05728.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9163148:9167861:1 gene:DRNTG_05728 transcript:DRNTG_05728.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNPVELCEEEEEKALFVDSNLGTYLAISVPPDFTVALLKGKLAYEHFLCFPDFGEIKVQKVMVKQKSVLYQLPDSMVIKCAFHGLSKSWFIHMETTNSLKMKAVTETRNLASDIEKERDNYAAGEGLKESAVDKMATSAKGPNLSKSLSDLNLNKHETANSLKMKALTETRDLASDVQKGRDQYAAGEGLKESVVDKVATIAKRPNLSESLSGLNLNNDEAMNSRKMKVVTEIRYLPSDAAKGRDQYAAGEGLKESMVDKVATSAKGPNLSEALSGLNLNKNEHSSEEAENDDNNPKFDVSDRNGMLVTEETSVTDIIFRYFCESDEMSACSCRPGSAAKFNKSAKARKRSNDEDVCSSNAADLNAEQLKHGSLRVKKRSYIPRKRKKFSSSVVMNKHKTNRNGAIVNSKATSTQRISSLHSPFGKHINALSRNRDASEVGKRLVQAASNIGLSAHPSQSPISLLIQKRTRSIPCKSAPSVRHLVFEIDDNNEVEDHV >DRNTG_05728.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9166493:9167861:1 gene:DRNTG_05728 transcript:DRNTG_05728.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKCAFHGLSKSWFIHMETTNSLKMKAVTETRNLASDIEKERDNYAAGEGLKESAVDKMATSAKGPNLSKSLSDLNLNKHETANSLKMKALTETRDLASDVQKGRDQYAAGEGLKESVVDKVATIAKRPNLSESLSGLNLNNDEAMNSRKMKVVTEIRYLPSDAAKGRDQYAAGEGLKESMVDKVATSAKGPNLSEALSGLNLNKNEHSSEEAENDDNNPKFDVSDRNGMLVTEETSVTDIIFRYFCESDEMSACSCRPGSAAKFNKSAKARKRSNDEDVCSSNAADLNAEQLKHGSLRVKKRSYIPRKRKKFSSSVVMNKHKTNRNGAIVNSKATSTQRISSLHSPFGKHINALSRNRDASEVGKRLVQAASNIGLSAHPSQSPISLLIQKRTRSIPCKSAPSVRHLVFEIDDNNEVEDHV >DRNTG_05728.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9166298:9167861:1 gene:DRNTG_05728 transcript:DRNTG_05728.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQKSVLYQLPDSMVIKCAFHGLSKSWFIHMETTNSLKMKAVTETRNLASDIEKERDNYAAGEGLKESAVDKMATSAKGPNLSKSLSDLNLNKHETANSLKMKALTETRDLASDVQKGRDQYAAGEGLKESVVDKVATIAKRPNLSESLSGLNLNNDEAMNSRKMKVVTEIRYLPSDAAKGRDQYAAGEGLKESMVDKVATSAKGPNLSEALSGLNLNKNEHSSEEAENDDNNPKFDVSDRNGMLVTEETSVTDIIFRYFCESDEMSACSCRPGSAAKFNKSAKARKRSNDEDVCSSNAADLNAEQLKHGSLRVKKRSYIPRKRKKFSSSVVMNKHKTNRNGAIVNSKATSTQRISSLHSPFGKHINALSRNRDASEVGKRLVQAASNIGLSAHPSQSPISLLIQKRTRSIPCKSAPSVRHLVFEIDDNNEVEDHV >DRNTG_25025.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19579036:19582971:-1 gene:DRNTG_25025 transcript:DRNTG_25025.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium channel AKT2/3 [Source:Projected from Arabidopsis thaliana (AT4G22200) UniProtKB/Swiss-Prot;Acc:Q38898] MFIFFKGVSAEMLLFLVSNMKAEYIPPREDVIVQNEASDDVYIIVSGEVEIIHCDKDKENLVGLLSTGDMFGEISAVCNRPQGFTFRTRSLSQLLRLKQATLKEALQSKQDDSSVIIKNFLKHQIEFKDISIEDLVRENGQCDEANIPCNLLTVAATGNCCFLEELLKAGMDPDVGDSKGRTPLHISASKGYEDCVLVLLKYACNINIQDANGDTPLWAAITAKQHSIFNILLQYASVSNVHTSGNLLCLAAKRNDIHAMKELLKHGLDIDSESSEGLTALQVAMVEKKMEMVKFLIMNGANVDKTRESSMMSSEEFEEMVREREVGHSIRIFENNNSEKEGKVVEMNGNGNGKGGNMLKWERREVCPRVSVYEGHPLMRNLCSKAGKLINLPNSMEELKNIVGKKFGIDASNKIVTNEEGAEIDCIEVIRDNDRLFIVDEQDYQISS >DRNTG_24362.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2925867:2927146:-1 gene:DRNTG_24362 transcript:DRNTG_24362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKANQKLDQTARKAFIPKKKKWVGLSRLNKYEQELMRIFLNCSMDGTVVWKNDAVSTTRDRLYTLLEGKEMVTDDVMDAFVCIIQKSLSKEPYPYKKRASIIRPLALFMSKQDDAHDTIMAMIGDAVRNLHEVQIVILPIIMNGHFHVVVLDNDKQEYRHYSSCPGYDKDALDMRNLFDLCVDMELGESATAKYPLVHDTETPRQKQGSVDCAVYVMRFIEQLLWGEKLRLPQTDVPYLRLRYVTRILKEGRAAGVHDKGGSSQAG >DRNTG_24362.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2925867:2926763:-1 gene:DRNTG_24362 transcript:DRNTG_24362.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDDVMDAFVCIIQKSLSKEPYPYKKRASIIRPLALFMSKQDDAHDTIMAMIGDAVRNLHEVQIVILPIIMNGHFHVVVLDNDKQEYRHYSSCPGYDKDALDMRNLFDLCVDMELGESATAKYPLVHDTETPRQKQGSVDCAVYVMRFIEQLLWGEKLRLPQTDVPYLRLRYVTRILKEGRAAGVHDKGGSSQAG >DRNTG_25563.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3642498:3643214:-1 gene:DRNTG_25563 transcript:DRNTG_25563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLENLGENENTVENDIEDEVEALPVDTNQQSQFQAPSSSKSKKSKISNNHDDSFQDLSSVLGTVADAIIQSTNILVQASTTDPMKDYDVWGMLKDLELSYPILSNAYGLLIKDPKLLEGLMRCPPEKRKPLLLNWLGYGDDPHECNERLNKILGACVVACICILLDMVTKDDGQAIQEDPKATMELF >DRNTG_04883.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11060251:11060731:-1 gene:DRNTG_04883 transcript:DRNTG_04883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISCLAPATAYSRACQNDHGLESERKSTLVGAHELEGSPLSDLTSAMALKLPRPLYCVFLIHKWLWPIQRMSLVMYGPEKMIPSLG >DRNTG_16390.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16033816:16036236:-1 gene:DRNTG_16390 transcript:DRNTG_16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRENQDVQDNIPGIAPTPLPQSAAKQPLPVAKSVDAQSVLKRLQSELMALMMSGDPGVSAFPEGDNIFCWKGTITGSKDTVYEGMVYKLALSFPNDYPFKPPKVKFDSSCFHPNVDVYGNICLDILQDKWSSAYDVRTILISIQSLLGEPNNDSPLNTQAAALWGNQEEYRKMVEKLYKPAQQS >DRNTG_30689.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1823752:1826843:1 gene:DRNTG_30689 transcript:DRNTG_30689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCVNEMRSRGTRCSRRTRAQGSPMPRSLFSSMRVSGAKPDAFSITALVAGVTDVTDVRSGMALHALVVRSGFCASIPVSNSLVDMYGKCSCACDAWRVFDEMEEKNEVSWCSLLFSVVNSERLEDARRVFDEMPVKNAVAWNIMIMGHARNGGVEVSMALFKRMRSSGLDGDCTTFASLLNACSELQDPIFGRMIHGVVIRSGWNAFIEVNNSTLSFYARSGDVGDAAKVFVSMSTRNTVSWNAIIDASMRAGDVEGSISLFQSSPEKNIVSWTTMIGGLARNGYGDQALIFFRAMTRAHLRPDDYALGAVLHACAIFAVLETGTTDPRTRTPLRLLDLRLRWQWLSEHVRQVRRHQERTAGVRRNPQQRHSFLERYALRPRNSLGF >DRNTG_11414.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:880002:882108:1 gene:DRNTG_11414 transcript:DRNTG_11414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQQRKQGFFHGYVESLSLDSRRSLEFSQPPERSNGGVGTDSQRNTDVRSYFYSSPHQEYVELDDTENTLLSLHGCDQPPFARPCDTISSNGSDGSFCERLLVSQANQHVRSISICAEASLPSCSLPVRVPIYHARTQGPWHSVIAYDACVRLCLYSWATGCLEARIFLENECELLRNAFGLKQILLQSEEELLAEQPLQLVSEGVMAKPQKTIGKMKIQVREVKISPNLTPGCKVLAIKRPTINFGALHGHLSKLQFTLSSARKSFGKICVLHHRPANLSFSQYTLTHLRHGVQYIKKVSGLLKNKATALHKSFSYKNTEEMYSCHLRLKSSTEDGVIMQPGG >DRNTG_19430.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26998455:27002992:1 gene:DRNTG_19430 transcript:DRNTG_19430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEAMLRQLIGQIQELCGSPSLDRNQRCYMLNLEYNVLEDSLSDLVAERKPGAPKLLDSDKSPPAKKSRGEKVCENSIAASSSTEVMDEQIWKDFPEDLIEAVIARLPIATFFQFRSVCRKWNSLLTSPSFSEQCADVPRVNPWFFTNHRHVFTGAIYDPSLKKWHHPVFQFLPVRMATLPVASAGGLICLWDVNQLNFYVCNPLTLSFKGIPPCSDRGLAPAAVGMVLNGRTTSSGYKLIWLDCNGDHQVYDSLQNTWTCPGVVPPSIKHQLSLDLRSQTVSIGSTLYFMCANPDSVVSYDAVSGAWKRFVIPSPKHLTDRTLAECGGQLLLVGLLSNNAATCVYVWELQKMTLLWKEVDRMPNIWCLEFYGKHVTMTCLGNRGLLMLSLRSKRMNRLVTFDVSKRDWQRVPDCSFHHEKMRFWDSIGTAFFPCPTALP >DRNTG_19430.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26998455:27002940:1 gene:DRNTG_19430 transcript:DRNTG_19430.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEAMLRQLIGQIQELCGSPSLDRNQRCYMLNLEYNVLEDSLSDLVAERKPGAPKLLDSDKSPPAKKSRGEKVCENSIAASSSTEVMDEQIWKDFPEDLIEAVIARLPIATFFQFRSVCRKWNSLLTSPSFSEQCADVPRVNPWFFTNHRHVFTGAIYDPSLKKWHHPVFQFLPVRMATLPVASAGGLICLWDVNQLNFYVCNPLTLSFKGIPPCSDRGLAPAAVGMVLNGRTTSSGYKLIWLDCNGDHQVYDSLQNTWTCPGVVPPSIKHQLSLDLRSQTVSIGSTLYFMCANPDSVVSYDAVSGAWKRFVIPSPKHLTDRTLAECGGQLLLVGLLSNNAATCVYVWELQKMTLLWKEVDRMPNIWCLEFYGKHVTMTCLGNRGLLMLSLRSKRMNRLVTFDVSKRDWQRVPDCSFHHEKMRFWDSIGTAFFPCPTALP >DRNTG_19430.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26998455:27002992:1 gene:DRNTG_19430 transcript:DRNTG_19430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEAMLRQLIGQIQELCGSPSLDRNQRCYMLNLEYNVLEDSLSDLVAERKPGAPKLLDSDKSPPAKKSRGEKVCENSIAASSSTEVMDEQIWKDFPEDLIEAVIARLPIATFFQFRSVCRKWNSLLTSPSFSEQCADVPRVNPWFFTNHRHVFTGAIYDPSLKKWHHPVFQFLPVRMATLPVASAGGLICLWDVNQLNFYVCNPLTLSFKGIPPCSDRGLAPAAVGMVLNGRTTSSGYKLIWLDCNGDHQVYDSLQNTWTCPGVVPPSIKHQLSLDLRSQTVSIGSTLYFMCANPDSVVSYDAVSGAWKRFVIPSPKHLTDRTLAECGGQLLLVGLLSNNAATCVYVWELQKMTLLWKEVDRMPNIWCLEFYGKHVTMTCLGNRGLLMLSLRSKRMNRLVTFDVSKRDWQRVPDCSFHHEKMRFWDSIGTAFFPCPTALP >DRNTG_19430.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26998455:27002992:1 gene:DRNTG_19430 transcript:DRNTG_19430.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLEYNVLEDSLSDLVAERKPGAPKLLDSDKSPPAKKSRGEKVCENSIAASSSTEVMDEQIWKDFPEDLIEAVIARLPIATFFQFRSVCRKWNSLLTSPSFSEQCADVPRVNPWFFTNHRHVFTGAIYDPSLKKWHHPVFQFLPVRMATLPVASAGGLICLWDVNQLNFYVCNPLTLSFKGIPPCSDRGLAPAAVGMVLNGRTTSSGYKLIWLDCNGDHQVYDSLQNTWTCPGVVPPSIKHQLSLDLRSQTVSIGSTLYFMCANPDSVVSYDAVSGAWKRFVIPSPKHLTDRTLAECGGQLLLVGLLSNNAATCVYVWELQKMTLLWKEVDRMPNIWCLEFYGKHVTMTCLGNRGLLMLSLRSKRMNRLVTFDVSKRDWQRVPDCSFHHEKMRFWDSIGTAFFPCPTALP >DRNTG_19430.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27000882:27002992:1 gene:DRNTG_19430 transcript:DRNTG_19430.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLEYNVLEDSLSDLVAERKPGAPKLLDSDKSPPAKKSRGEKVCENSIAASSSTEVMDEQIWKDFPEDLIEAVIARLPIATFFQFRSVCRKWNSLLTSPSFSEQCADVPRVNPWFFTNHRHVFTGAIYDPSLKKWHHPVFQFLPVRMATLPVASAGGLICLWDVNQLNFYVCNPLTLSFKGIPPCSDRGLAPAAVGMVLNGRTTSSGYKLIWLDCNGDHQVYDSLQNTWTCPGVVPPSIKHQLSLDLRSQTVSIGSTLYFMCANPDSVVSYDAVSGAWKRFVIPSPKHLTDRTLAECGGQLLLVGLLSNNAATCVYVWELQKMTLLWKEVDRMPNIWCLEFYGKHVTMTCLGNRGLLMLSLRSKRMNRLVTFDVSKRDWQRVPDCSFHHEKMRFWDSIGTAFFPCPTALP >DRNTG_19430.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26998455:27002940:1 gene:DRNTG_19430 transcript:DRNTG_19430.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEAMLRQLIGQIQELCGSPSLDRNQRCYMLNLEYNVLEDSLSDLVAERKPGAPKLLDSDKSPPAKKSRGEKVCENSIAASSSTEVMDEQIWKDFPEDLIEAVIARLPIATFFQFRSVCRKWNSLLTSPSFSEQCADVPRVNPWFFTNHRHVFTGAIYDPSLKKWHHPVFQFLPVRMATLPVASAGGLICLWDVNQLNFYVCNPLTLSFKGIPPCSDRGLAPAAVGMVLNGRTTSSGYKLIWLDCNGDHQVYDSLQNTWTCPGVVPPSIKHQLSLDLRSQTVSIGSTLYFMCANPDSVVSYDAVSGAWKRFVIPSPKHLTDRTLAECGGQLLLVGLLSNNAATCVYVWELQKMTLLWKEVDRMPNIWCLEFYGKHVTMTCLGNRGLLMLSLRSKRMNRLVTFDVSKRDWQRVPDCSFHHEKMRFWDSIGTAFFPCPTALP >DRNTG_19430.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27000882:27002940:1 gene:DRNTG_19430 transcript:DRNTG_19430.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLEYNVLEDSLSDLVAERKPGAPKLLDSDKSPPAKKSRGEKVCENSIAASSSTEVMDEQIWKDFPEDLIEAVIARLPIATFFQFRSVCRKWNSLLTSPSFSEQCADVPRVNPWFFTNHRHVFTGAIYDPSLKKWHHPVFQFLPVRMATLPVASAGGLICLWDVNQLNFYVCNPLTLSFKGIPPCSDRGLAPAAVGMVLNGRTTSSGYKLIWLDCNGDHQVYDSLQNTWTCPGVVPPSIKHQLSLDLRSQTVSIGSTLYFMCANPDSVVSYDAVSGAWKRFVIPSPKHLTDRTLAECGGQLLLVGLLSNNAATCVYVWELQKMTLLWKEVDRMPNIWCLEFYGKHVTMTCLGNRGLLMLSLRSKRMNRLVTFDVSKRDWQRVPDCSFHHEKMRFWDSIGTAFFPCPTALP >DRNTG_19430.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27000882:27002940:1 gene:DRNTG_19430 transcript:DRNTG_19430.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLEYNVLEDSLSDLVAERKPGAPKLLDSDKSPPAKKSRGEKVCENSIAASSSTEVMDEQIWKDFPEDLIEAVIARLPIATFFQFRSVCRKWNSLLTSPSFSEQCADVPRVNPWFFTNHRHVFTGAIYDPSLKKWHHPVFQFLPVRMATLPVASAGGLICLWDVNQLNFYVCNPLTLSFKGIPPCSDRGLAPAAVGMVLNGRTTSSGYKLIWLDCNGDHQVYDSLQNTWTCPGVVPPSIKHQLSLDLRSQTVSIGSTLYFMCANPDSVVSYDAVSGAWKRFVIPSPKHLTDRTLAECGGQLLLVGLLSNNAATCVYVWELQKMTLLWKEVDRMPNIWCLEFYGKHVTMTCLGNRGLLMLSLRSKRMNRLVTFDVSKRDWQRVPDCSFHHEKMRFWDSIGTAFFPCPTALP >DRNTG_19430.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26998381:27002940:1 gene:DRNTG_19430 transcript:DRNTG_19430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEAMLRQLIGQIQELCGSPSLDRNQRCYMLNLEYNVLEDSLSDLVAERKPGAPKLLDSDKSPPAKKSRGEKVCENSIAASSSTEVMDEQIWKDFPEDLIEAVIARLPIATFFQFRSVCRKWNSLLTSPSFSEQCADVPRVNPWFFTNHRHVFTGAIYDPSLKKWHHPVFQFLPVRMATLPVASAGGLICLWDVNQLNFYVCNPLTLSFKGIPPCSDRGLAPAAVGMVLNGRTTSSGYKLIWLDCNGDHQVYDSLQNTWTCPGVVPPSIKHQLSLDLRSQTVSIGSTLYFMCANPDSVVSYDAVSGAWKRFVIPSPKHLTDRTLAECGGQLLLVGLLSNNAATCVYVWELQKMTLLWKEVDRMPNIWCLEFYGKHVTMTCLGNRGLLMLSLRSKRMNRLVTFDVSKRDWQRVPDCSFHHEKMRFWDSIGTAFFPCPTALP >DRNTG_19430.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26998455:27003086:1 gene:DRNTG_19430 transcript:DRNTG_19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEAMLRQLIGQIQELCGSPSLDRNQRCYMLNLEYNVLEDSLSDLVAERKPGAPKLLDSDKSPPAKKSRGEKVCENSIAASSSTEVMDEQIWKDFPEDLIEAVIARLPIATFFQFRSVCRKWNSLLTSPSFSEQCADVPRVNPWFFTNHRHVFTGAIYDPSLKKWHHPVFQFLPVRMATLPVASAGGLICLWDVNQLNFYVCNPLTLSFKGIPPCSDRGLAPAAVGMVLNGRTTSSGYKLIWLDCNGDHQVYDSLQNTWTCPGVVPPSIKHQLSLDLRSQTVSIGSTLYFMCANPDSVVSYDAVSGAWKRFVIPSPKHLTDRTLAECGGQLLLVGLLSNNAATCVYVWELQKMTLLWKEVDRMPNIWCLEFYGKHVTMTCLGNRGLLMLSLRSKRMNRLVTFDVSKRDWQRVPDCSFHHEKMRFWDSIGTAFFPCPTALP >DRNTG_01817.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3062286:3064231:-1 gene:DRNTG_01817 transcript:DRNTG_01817.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPITDLLLFPPPPSPFITAMSVISLTSLAYTGVSEVYGKHLHYSKFWNANPNGRHKGIELSSRAGMLLIYSPALAAALASFSIPGCALSSTRSLLLSAALSIHYFKRVFEVLFIHQYSGRTMLDSVLLISLSYFMSAVSTIYSQYLTENTAEPAFDLKYAGVLLFVIGIIGNFYHHYILSQLRGKDDKSYKIPKGGLFSLVICPHYLFEILGFIGVSLISQTVYAVSFTLGSMFYLLGRSYATRKWYLSKFDNFPKNVKALIPFVF >DRNTG_01817.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3065839:3067251:-1 gene:DRNTG_01817 transcript:DRNTG_01817.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDATAPQVVDDCRGVLRVFDDGSIWRSLNPSFTTTVFDDGSIEWKDLPFGSPDLGLHLRLYRPSNPSSSSPLPIFFYFHGGGFCIGSRTWPNCQNYCFRLARDLSAIIIAPDYRLSPEHPLPAAIHDGFASLDWLRSQASEANPDPWLSPSSADFSRIFISGDSAGGTITHHLSLRSSFSDPSPIRIRGFILLMPFFGGEIHTSSETECPPDAFLNLELNDRYWRLSLPRGATRDDPISNPFGPGGPDLESVRFESMMVVVGGKDLLRDRAVEYAGWLKELGKPVQLVEFVDQQHGFFTLDPWSQPSDQLMASIKRFMQDNHSA >DRNTG_01817.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3062286:3067251:-1 gene:DRNTG_01817 transcript:DRNTG_01817.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDATAPQVVDDCRGVLRVFDDGSIWRSLNPSFTTTVFDDGSIEWKDLPFGSPDLGLHLRLYRPSNPSSSSPLPIFFYFHGGGFCIGSRTWPNCQNYCFRLARDLSAIIIAPDYRLSPEHPLPAAIHDGFASLDWLRSQASEANPDPWLSPSSADFSRIFISGDSAGGTITHHLSLRSSFSDPSPIRIRGFILLMPFFGGEIHTSSETECPPDAFLNLELNDRYWRLSLPRGATRDDPISNPFGPGGPDLESVRFESMMVVVGGKDLLRDRAVEYAGWLKELGKPVQLVEFVDQQHGFFTLDPWSQPSDQLMASIKRFMQDNHSA >DRNTG_33532.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20694208:20697348:1 gene:DRNTG_33532 transcript:DRNTG_33532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLTILLLASFLMGLLPCQLVIAEHSEQAEPNRVYIAFLGERKHDDPNLVQKSHHDMLISLLGSKEEALKSIIYSYKHGFSGFAATLTESKAKQLSGFPGVISVKPNQKRQLQTTRSWDFLGLPYDHPEGTLLQKANNGDGAIVGVIDSGVWPESRSFRDDGFGPIPSRWKGKCQTGQQFTKSHCNKKLIGARWYSGGVDQDDLKGEYLSARDLNGHGTHTASTAAGVLVRNVSFNGLAAGDARGGAPHARLAVYKACWGTGGCYDAAILKAIDDAIHDGVDVLSLSLGSLDNDYGQGTLFAVSKGITIVFAAGNDGPSPQTVSPSVPWVINVAASTLDRSFPTVLNFDNRLKIVGQAMFYDINNSTSRTLVQGGSCNQEDLKNVDVTGKMVLCYSPNEVSTTFPSFGYSFALTNALAAGAGGVIYTEYPTDLLYSVIDICHGIPCVFVDIEAARKISNINSTVKVSLTRTVVGDEVWAPMVVSFSSRGPSHSFPSVIKPDVAAPGANILAAVGDSYAFESGTSMACPHISGIATLLKAAHPDWSPAAIRSAIVTTAMTTDRYGEPILANGVPRKFADPFDYGGGVVDPNRAVDPGLVYDVHPKDYLKFFNCTLALDSNCDVLTAPLYYLNVPSIAIPDLRTTQHVLRTVTNVGNVDATYKAIVEPPSGAKMVVEPSVLVFNAKKKKNKFKVTFMAIRKVQGQYTFGSLTWVDGKGHSVRIPIAVRTVIYDNYSDVS >DRNTG_13377.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000607.1:60101:63734:1 gene:DRNTG_13377 transcript:DRNTG_13377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNLVNGRFYLVSIAEMIAELRQNMSSMHLEIIRSTIFTSLMEIEPVLQERGLLDALLQRYDERSQKFKIDYVDDLPAMRRYAWAQATHKWLMDDVPQMAARVQARCSDEKTNTGYLRGCVVALNIWFYEVTGTGKKVRFGKTPRILCYGVNSFKKQASIGSLLSSVEGKEFSLAQKFFKLTFRTYVWNNNVSYTTRACVYSLLEGKEMVADDVMDVYVLIIIKQMNTEPYPYNVRVAITRPLALLMSSSKDTGEESLVMMADAVRRFADVDVILMPIILHGHLHLLVLDKVKKEYIHYSSSRELFDRCLAIEFGDNATEAYPLRHERNCSRQKPGTVDCPVYVMHFIKQILAGEKLKLP >DRNTG_32189.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001796.1:4741:6282:1 gene:DRNTG_32189 transcript:DRNTG_32189.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGENLGRGTKITLFLKEDQLEYLEERRLKDLVKKHSEFISYPISLWIEKTTEKEISDDEDEEDKKDEEGKVEDVDEEKEEKEKKTKKIKEVSHEWSLVNKQKPIWMRKPEEITKEEYGAFYKSLTNDWEEHLAVKHFSV >DRNTG_32189.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001796.1:4094:6282:1 gene:DRNTG_32189 transcript:DRNTG_32189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGENLGRGTKITLFLKEDQLEYLEERRLKDLVKKHSEFISYPISLWIEKTTEKEISDDEDEEDKKDEEGKVEDVDEEKEEKEKKTKKIKEVSHEWSLVNKQKPIWMRKPEEITKEEYGAFYKSLTNDWEEHLAVKHFSV >DRNTG_08930.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27351885:27356432:1 gene:DRNTG_08930 transcript:DRNTG_08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPHLAAEIVQVTESLSTFVSSPPPYGVIGSCSSDELGGSIDGKMAMDFPCSSLSDFHRKTTLGFPRGTDGPPKFKSSKDGAANFQTLRIEQRDKISSFNFKVGWNMHSPISRVVGFESTCPSSSDDSFANQVHSSASIVLSKTLVDQHGNQARKRLLSPLNRMPGHQFHGDPLDIAGDNGPSQTHSISTMNDCKKANMGSAETSLYSITRSSQLSSLLADNNDSFPAGMFTDDPLLDSNGSVTNNHQMSNWGLDCSKERSKVTRAITISKIKVDSPPLSLSPLGPRLPERMKEMDILKEMENDFSVLMDMEGSVDQGVTGILFSAEEDKCCTNDTFEDVGILHDGFDPFGPEKCFSFSQGSLPDSATGSHYSKFVRSLSALPVRRSLIGSFEESLLSGRLSSSKVCQKIDGFLAVLNVTGGNFSPPCRKLPFAVKSVDGDNYLLYYASIDLAGSLASNKARGPKLTRSLSNDDSRANRSRLRIPMKGRIQLVLSNPEMTPLHTFFCTYDLSEMPAGTKTFMRQKVTLTSKSSDASPTKEASKDQETGSDSVECKEVKTTNDMKISSRVLSPKNGFKHTNGSDFVMKSTDSTPFSIVQSQNTEEDDCSRSDACHLSSRSSTSNSSKVNDNSSSSGVLRYALHLRFLCPALKKNLKKFQKCKAEPSSVPITNNSDIEGERRFYLYNDLRVVFPQRHSDADEGELRVEYDLPEDPKYFDITN >DRNTG_08930.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27351885:27356432:1 gene:DRNTG_08930 transcript:DRNTG_08930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPHLAAEIVQVTESLSTFVSSPPPYGVIGSCSSDELGGSIDGKMAMDFPCSSLSDFHRKTTLGFPRGTDGPPKFKSSKDGAANFQTLRIEQRDKISSFNFKVGWNMHSPISRVVGFESTCPSSSDDSFANQVHSSASIVLSKTLVDQHGNQARKRLLSPLNRMPGHQFHGDPLDIAGDNGPSQTHSISTMNDCKKANMGSAETSLYSITRSSQLSSLLADNNDSFPAGMFTDDPLLDSNGSVTNNHQMSNWGLDCSKERSKVTRAITISKIKVDSPPLSLSPLGPRLPERMKEMDILKEMENDFSVLMDMEGSVDQGVTGILFSAEEDKCCTNDTFEDVGILHDGFDPFGPEKCFSFSQGSLPDSATGSHYSKFVRSLSALPVRRSLIGSFEESLLSGRLSSSKVCQKIDGFLAVLNVTGGNFSPPCRKLPFAVKSVDGDNYLLYYASIDLAGSLASNKARGPKLTRSLSNDDSRANRSRLRIPMKGRIQLVLGFLAFKIWLVIKYVSHILLDLMKVLVWIF >DRNTG_25544.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3027194:3031455:-1 gene:DRNTG_25544 transcript:DRNTG_25544.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein 4 [Source:Projected from Arabidopsis thaliana (AT1G28340) UniProtKB/Swiss-Prot;Acc:F4HWL3] MWRSSISLQRWQLFILVGVAGLCVSADHNKDPFAIRISCGARRNVHTPPTDTLWFRDFGYTGGRLANASKTSYTTPELETLRYFPLSDGPENCYIINRIPRGRHYLVRLFFAIVKDPAVDSEPLFDISIEGTQIYSLQPGWSTVEEQSFVEALVFVTGTSIAICFHSTGHGDPSVLSIEILQVDDNAYSFDPTHGKGTVLRTAKRLTCGTGKPAFDEDYDGDHWGGDRFWLGVKTFDQGSDMAISTESKIIQASVPPNFYPQRLYQSAIVSNDRQPEISFQMEVDPNKNYSIWLHFAEIDPRITKQGQRVFDILFNGDLKFNDVDVIQMAGKQFAALVLNQTIAMTGRTLTVTLRPANGSHAIINAIEVFEVITTEFKTSAEEVTALQSLRSALGLPLRFGWNGDPCVPQQHPWSGVDCQFDSQSSRWVIDGLGLDNQGLRGFLPSDISKLQHLQSINLSENSIHGTIPSALGTLTKLETLDLSYNELNGSIPESLGRLTALQILNLNGNLLSGRVPANLGGRPLHRASFNFTGNAGLCGIPGLLSCGPHLSIAAKVGIALGALFAFVLLLVCMTCWWKRRQNILRAQRIAASREAPYAKARTNFARDVQMTRNQHGQDQSRNATEGGPSLLT >DRNTG_28782.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21679750:21680181:-1 gene:DRNTG_28782 transcript:DRNTG_28782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCCEISFLPLKMVGKKAPNHIMSNLYVGLSACFLLDNVYKLHYIFLFFSSFGLFDFSSAGFVIRKRVRVKFIDINRFVQQKMKLTSSGLVKCTPSSNAL >DRNTG_16975.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19911027:19911861:-1 gene:DRNTG_16975 transcript:DRNTG_16975.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSWILFRPSPQTGHIICSFIVTNQCLLLLFLAC >DRNTG_16975.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19910823:19911751:-1 gene:DRNTG_16975 transcript:DRNTG_16975.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSWILFRPSPQTGHIICSFIVTNQCLLLLFLAC >DRNTG_16975.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19911027:19911829:-1 gene:DRNTG_16975 transcript:DRNTG_16975.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSWILFRPSPQTGHIICSFIVTNQCLLLLFLAC >DRNTG_16975.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19910997:19911861:-1 gene:DRNTG_16975 transcript:DRNTG_16975.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSWILFRPSPQTGHIICSFIVTNQCLLLLFLAC >DRNTG_16975.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19909973:19911829:-1 gene:DRNTG_16975 transcript:DRNTG_16975.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSWILFRPSPQTGHIICSFIVTNQCLLLLFLAC >DRNTG_16975.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19911027:19911751:-1 gene:DRNTG_16975 transcript:DRNTG_16975.12 gene_biotype:protein_coding transcript_biotype:protein_coding MSWILFRPSPQTGHIICSFIVTNQCLLLLFLAC >DRNTG_16975.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19909973:19911861:-1 gene:DRNTG_16975 transcript:DRNTG_16975.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWILFRPSPQTGHIICSFIVTNQCLLLLFLAC >DRNTG_16975.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19911027:19912039:-1 gene:DRNTG_16975 transcript:DRNTG_16975.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSWILFRPSPQTGHIICSFIVTNQCLLLLFLAC >DRNTG_16975.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19910997:19911751:-1 gene:DRNTG_16975 transcript:DRNTG_16975.11 gene_biotype:protein_coding transcript_biotype:protein_coding MSWILFRPSPQTGHIICSFIVTNQCLLLLFLAC >DRNTG_16975.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19910997:19911829:-1 gene:DRNTG_16975 transcript:DRNTG_16975.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSWILFRPSPQTGHIICSFIVTNQCLLLLFLAC >DRNTG_16975.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19906664:19911751:-1 gene:DRNTG_16975 transcript:DRNTG_16975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWILFRPSPQTGHIICSFIVTNQCLLLLFLAC >DRNTG_16975.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19910823:19911861:-1 gene:DRNTG_16975 transcript:DRNTG_16975.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSWILFRPSPQTGHIICSFIVTNQCLLLLFLAC >DRNTG_12399.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12382205:12383274:-1 gene:DRNTG_12399 transcript:DRNTG_12399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTVASTVLSRLRNQRNRESTRACGNSARARLSSTPVELPDSSPI >DRNTG_34671.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002177.1:14244:17276:-1 gene:DRNTG_34671 transcript:DRNTG_34671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMSKLIEERLLESLPGNIEINPKKTLKVVTFRNGVQLPKPVERKPEMEKVELAIVSESFRGKHGLSMSVLNRHFGELRQID >DRNTG_03362.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18020857:18021914:-1 gene:DRNTG_03362 transcript:DRNTG_03362.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSIEDSVPGHAMRPNTFMSTPQIDAQEHQEALMQPEQVEIVQEYADARVDRNRKKGGRT >DRNTG_03362.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18020201:18024024:-1 gene:DRNTG_03362 transcript:DRNTG_03362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLIGAINLTNTLGMIRMIGMICDWNDLHDWDDFFAYRACSDTETQATQNTKNRRNLTMHHILGSKSFARLENELVITFIS >DRNTG_03362.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18020201:18021131:-1 gene:DRNTG_03362 transcript:DRNTG_03362.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLIGAINLTNTLGMIRMIGMICDWNDLHDWDDFFAYRACSDTETQATQNTKNRRNLTMHHILGSKSFARLENELVITFIS >DRNTG_02873.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:8706295:8709559:-1 gene:DRNTG_02873 transcript:DRNTG_02873.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTIGRWLGFREALARLLEWFYDFDTAFLLEDSYWESFRWHRSCE >DRNTG_17485.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6642163:6643938:1 gene:DRNTG_17485 transcript:DRNTG_17485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWLKYLDLSKTCVTFLPEEIGMLHELQYLNLSFSSLISLPSALVDLNKLKYLYCGGAKELNDIPQDLIARLKNLYALDLYSTGIFFFQGAYLDDLLSLSNLKGVGFNIDGLSALEKLLYVPKQRVRLIDSDECLTSISISPSLLGSNSELHLQELSIFFITELKELVMTSEDKTSWCLSHLKSLYLIFLPNLRDVIWEDLEPSYFLPKLAYMEIFECGSLTSLCWVAQLPSLQILKIARCRELRSIIAGDRHTMIEEGTAFRSLKTLALDDLPNLESIYEEGILSFPSIEVITMFNCWNLRNLSLGLHSAKNLVYIRVLPPNLWDDMDWEFKHHFSSFVL >DRNTG_18572.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000964.1:11518:11996:1 gene:DRNTG_18572 transcript:DRNTG_18572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICRQGPYESRVSKVTYLSRPAYRYIHAILSRSVNGHGNSTGVLSRQELPYIYSMAHHWDGSAGRYQRSEEVDHTCSPGYRDDEIDGDGMQVPTGLITYAPISVEAEGDTTEGSQPIPKLQPE >DRNTG_33483.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002004.1:9082:11091:-1 gene:DRNTG_33483 transcript:DRNTG_33483.2 gene_biotype:protein_coding transcript_biotype:protein_coding KSKREATSEDLSVIIKRFVFQLHPSFNNPTRAVESAPFEVSESGWGEFEIAISLFFHNDVCDKQLDLFFKAFRRLFCLRPKPSCCWFKPATHSTYLLKL >DRNTG_33483.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002004.1:9082:11091:-1 gene:DRNTG_33483 transcript:DRNTG_33483.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVVETYDEIVFSKPSDAFFVCVQNRPAAGLNLPPTVRTF >DRNTG_33483.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002004.1:9082:11091:-1 gene:DRNTG_33483 transcript:DRNTG_33483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVVETYDEIVFSKPSDAFFVCVQNRPAAGLNLPPTVRTF >DRNTG_23753.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21088774:21105317:-1 gene:DRNTG_23753 transcript:DRNTG_23753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLDYPFPGPSESFVDPRAFPVHEEVLAFLEGFARDFGVLEMVRFGAEVVRVWLARDGGEWSVEWRNDDGSVAEERFEAVVVANGHYSVPRIADIPGIDKWRGEQIHSHNYRIPEPFRDQVVVLIGMSASSFDISREISKVAKEVHITSRAKDTVVGKLDKHDNIWQHKMVKCVHEDCEVEFDDGASLHADCIFYCTGYEYHFPFLETNEFISIEDNRVGPLYKHVFPPAVAPWLSFVGIPNKASFFMTLELQSKWIAQVLSGKVTLSSQETLMASIEAYYQELEHVGQPKHHTHSLHPNEIEYLNWLASEVSLPSIEEWKIQMYHAVIESFKSGIDGFRDDWDTEYWTGKSISAFSKGARTH >DRNTG_23753.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21101628:21105317:-1 gene:DRNTG_23753 transcript:DRNTG_23753.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLDYPFPGPSESFVDPRAFPVHEEVLAFLEGFARDFGVLEMVRFGAEVVRVWLEGDGGEWSVEWRNEDGSVAEERFEAVVVANGHFSVPRIPEIPGIDKWRGKQIHSHNYRTPEPFRDQVVVLIGMGASALDISREISKVVKEVHISSRAKDTVVGKLDNHNNIWQHKMVKYVHEDCEVEFDDGASLHADCIFYCTGYKYHFPFLETNEFISIEDNRVGPLYKHVFSPAVAPWLSFVGIPNKVIFNITLQLQSKWIAHVLSSKVVLPSEKTMMASVEAYYQELERVGRPKHHTHFLHPNEIEYLNWLTSVVSLPPIEEWRIQMYRAAIESLKSHNDGYRDNWDAEYWTKKSISVL >DRNTG_23753.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21101554:21105317:-1 gene:DRNTG_23753 transcript:DRNTG_23753.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLDYPFPGPSESFVDPRAFPVHEEVLAFLEGFARDFGVLEMVRFGAEVVRVWLEGDGGEWSVEWRNEDGSVAEERFEAVVVANGHFSVPRIPEIPGIDKWRGKQIHSHNYRTPEPFRDQVVVLIGMGASALDISREISKVVKEVHISSRAKDTVVGKLDNHNNIWQHKMVNTLSV >DRNTG_23753.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21088774:21098586:-1 gene:DRNTG_23753 transcript:DRNTG_23753.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLDYPFPGPSESFVDPRAFPAHEEVLAFLEGFGRDFGVLEMVRFGAEVVRVWLARDGGEWSVEWRNDDGSVAEERFEAVVVANGHYSVPRIADIPGIDKWRGEQIHSHNYRIPEPFRDQVVVLIGMSASSFDISREISKVAKEVHITSRAKDTVVGKLDKHDNIWQHKMVKCVHEDCEVEFDDGASLHADCIFYCTGYEYHFPFLETNEFISIEDNRVGPLYKHVFPPAVAPWLSFVGIPNKASFFMTLELQSKWIAQVLSGKVTLSSQETLMASIEAYYQELEHVGQPKHHTHSLHPNEIEYLNWLASEVSLPSIEEWKIQMYHAVIESFKSGIDGFRDDWDTEYWTGKSISAFSKGARTH >DRNTG_23753.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21101628:21102788:-1 gene:DRNTG_23753 transcript:DRNTG_23753.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIKDFPCKQLINHNESILGEATQSLAVKDLIILCYYAQVIFNITLQLQSKWIAHVLSSKVVLPSEKTMMASVEAYYQELERVGRPKHHTHFLHPNEIEYLNWLTSVVSLPPIEEWRIQMYRAAIESLKSHNDGYRDNWDAEYWTKKSISVL >DRNTG_30103.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31151460:31152074:1 gene:DRNTG_30103 transcript:DRNTG_30103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIHMSSFSLPTSTPKPKRKPFRPIIASSFSISDQDLQSRGFTQHRSSTDLDLDALNTVFARVGFPRRDLTRIRRALDHSSSLLWLSHSKPGEPVAFARATGDGVFNAVVWDVVVDPSFQGIGLGRAVMERIVADLRGMGIVNIALYAEPRVIGFYRPLGFAADPDGIKGMVFSKKNIINR >DRNTG_14924.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27179177:27181025:1 gene:DRNTG_14924 transcript:DRNTG_14924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLAPEYAVRGQVTWKSDVYSFGVLLLEIVSGRCNTNTRLPFAERFLLDRVWSLYEVCELREIVDMSITDDMEIEEACKFLKVGLLCTQDVSNLRPTMSTVVHMLTGEKDVDIQKITKPGLISDLMNFRNPKKTDETNTSSSSEMATFPAMSSETTTYISFTFTAISERD >DRNTG_04413.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000207.1:35549:37348:1 gene:DRNTG_04413 transcript:DRNTG_04413.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g52630 [Source:Projected from Arabidopsis thaliana (AT5G52630) UniProtKB/Swiss-Prot;Acc:Q9LTF4] MPLHVSIPKLPPSFYLPTSTPRFLADLLLSLSATSSAAAAAKGSQLHGHLLKSGLIPSISLLSNHLITFYARCHLPHLSRLAFLDSPSKTSAVWASLIAALAQNGLSADALLAFRSMLSHSIPVCDRTLPSATKSCAALSLPGLARSLHSLALRSPFSSDVFVASSIIDMYSKCSLLTDARAVFDEMPHRNVVSWSSLIYGHALAGLNLDALHLFKLALADNLINDFTYSTIIRVCSAAPLLDLGTQIHAHCSKSIFMSSPFVGSSLISLYSKSGLVDDAYKLFDEMPEKNLGAWNAVLIASAQHGHIRTAFDRFTGMERAGHTPNFITFLCLLTACSHAGLVDDGKRYFNLMISRGIEPEEQHYACMVDLFSRVGRLKEAMDFIKTMPLAPTESVWGALLTGCRIHKDTDTAAFAAGKLFETCSSAGGSGAHMLLANAYAAAGRYTDAARARKEMRDRGIKKETGLSWVEHEGKVHTFVSDDTCHYMIKEIHEVVNKLGERMEKEGYVVDTSCVLRDVDGEEKRRAVWYHSERLAIGFGLLSVGVGRPIRVMKNLRVCGDCHTAIKFVSKVTERVVILRDNNRFHRFEDGVCSCGDYW >DRNTG_15904.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6032041:6036134:-1 gene:DRNTG_15904 transcript:DRNTG_15904.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMLLLAPLPDSNTPGNQVLNNCSCTQDRSH >DRNTG_15904.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6032041:6036134:-1 gene:DRNTG_15904 transcript:DRNTG_15904.2 gene_biotype:protein_coding transcript_biotype:protein_coding IPENSMPTHELRTQERGDTFSLPTNN >DRNTG_07440.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000338.1:14527:19335:1 gene:DRNTG_07440 transcript:DRNTG_07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSGTAAGTTAGGLPPLTSRFTAAFGVKPESQLPSSLDGDAGGRLFSKDVSSMPDLPPRNHGHRRARSEILSLPDDISFDSDLGVVGADGPSLSDETDEDVISLFMDVEKLAASCAESSGKALVGFQMENAASELNARPKIRHQHSLSMDGSASIKPEFLMQGNEVPTLAETKKAMSAQQLAELARIDPKRAKRIWANRQSAARSKERKMRYIQELERRVQTLQTEATTLSAQLTMLQRDTTGLTAENNELKLRLQTMEQQVHLQDALNDALREEVQRLKLATGQLNMVSNSGQMVGFGGSNYGLNQQFFSQNQSMQSLLAAQQLQQLQIHSHQHPQQQQQQQLSHQNQLQHQPQQTQQQTSMKTMGVLNSPNQNETSSSSNAGPKE >DRNTG_32235.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10014420:10016375:1 gene:DRNTG_32235 transcript:DRNTG_32235.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSGSSTRVSDEYYVGVAREAKASSPPSASRSTPALRLPPDLPTYDPLSGAGKKEALRTRFAESMVHLIPLVLILCAVVLWFFSHPEIGISSSKDGSIITRIENTTIDGYNSLKGGASAAGA >DRNTG_07524.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19233537:19235385:-1 gene:DRNTG_07524 transcript:DRNTG_07524.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKVYTDRMSQPSRAIIIFCKMNRIDFEEVRIDLAKAQQRSPEFQEINPMRQVPAIVDGRFKLFESHAILRYLVCTFPGVPDNWYPTDVSNRARIEAILDWYLWDRKYASDIMKKTSDSISKHKYHICFMGSFIPNRDKPLLLITPD >DRNTG_07524.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19234332:19235385:-1 gene:DRNTG_07524 transcript:DRNTG_07524.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKVYTDRMSQPSRAIIIFCKMNRIDFEEVRIDLAKAQQRSPEFQEINPMRQVPAIVDGRFKLFESHAILRYLVCTFPGVPDNW >DRNTG_07524.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19234693:19235385:-1 gene:DRNTG_07524 transcript:DRNTG_07524.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKVYTDRMSQPSRAIIIFCKMNRIDFEEVRIDLAKAQQRSPEFQEINPMRQVPAIVDGRFKLFER >DRNTG_27125.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1810014:1811660:-1 gene:DRNTG_27125 transcript:DRNTG_27125.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g20540 [Source:Projected from Arabidopsis thaliana (AT2G20540) UniProtKB/Swiss-Prot;Acc:Q9SIL5] MKFLSFACKQIEDQITPLLRNCTSFRELEKIHARIVVCSLSSSNYLATQMINLCNSIGYIDYAALVFEQAVDPNVFVYNALIRAFAQHHKHWQAIQVYRQMMRRERDQSLVFANEFTYPFVLKACAGLAELDLGKQVHAQVLRSGLVFVPIVLNSAVELYAKCDDLVHAHKVFDEMLERDVVSWNTLISAYARLGDMKIARSLFDSMPNRTVVSWTALISGYASIGRYSKAAEAFQQMQLAGFAPDDISVVSVLPACARLGALELGKWIHKYANKHGLLKRTFVCNALIEMYAKCGSIDQARQLFDGMRNRDVISWSTMISGLAMHGEACEAIELFVEMKTEGMVKPNSITFLGVLSACAHAGLSDEGLNYFDSMLQVYGIVPEIEHYGCLIDILGKSGCISRALEVINGMPMPADAAIWGSLLSACRTHGDVDTAVIAMEHLLELEPEDTGNYVLLSNIYAAAGRWDGVAKMRKLIRSRSMKKTPGCSAIEVNNVVCEFAAADVLNPEYGEIAKMLALLGAQLGEAGNADNDLGFKHDDFSWMGIIS >DRNTG_33579.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2560894:2561691:1 gene:DRNTG_33579 transcript:DRNTG_33579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTPPPPQGYPSKDGHPPPGYAQGYSAQGYPPSGYPPPSYGPPPGYPPQGYAPYPPPQYVQAPPPQRPTTGSSFCEGWVLPDSGDGSDSGRWPNRDPLRMDRVWIGLV >DRNTG_14557.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17621502:17625116:1 gene:DRNTG_14557 transcript:DRNTG_14557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSKKVDDEMELKKDRTVRFYPDDKQNLTKTIYQTPKYGSFLATRFGIASPGRSKVFQEDHEPWSKRILDPGSDLVLKWNRVFLVSCLVALFIDPLYFYLPSIDDGNNTLCIKMDKRLSITVTVFRTLADLFYILHMIIKFRTAYVAPSSRVFGRGELVMDPKKIARRYLRSDFFIDLAAALPLPQFVIWLVIPSIRSSNADHSNNALVLIVLIQYIPRLYVMFPLSHQIIKSAGVVTKTAWAGAAYNLLLYMLASHVLGAAWFLLTVERHTTCWKSECRTEANTTSCQSRFLDCDYLSHGDRQKWAAVTSVFNNCDPNSASLDFKYGIFAPGLQTGAVSSAFLKKYFYCLWWGLQNLSSYGQTLTTSTFIGETLFAILIAILGLVLFAHLIGNMQTYLQSLTVRLEEWRLKRRDTEEWMRHRQLPHYLRERVRRFVQYKWLATRGVDEDSILRALPADLRRDIQHHLCLDLVRRVPFFSQMDDQLLDAICERLVSTLSTEGTCIVREGDPVTEMLFIIRGRLESSTTNGGRTGFFNSITLRPGDFCGEELLAWALLPKSTVNLPSSTRTVKALVEVEAFALQAEDLKFVSNQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRRFKRRKMAKDLSMRESFSSRSEEQADDVYEQEEEEPSPRSGTVSQPQQNFNVTILASKFAASTRKKGAQKMKAIDMPKLQKPEEPDFSADQFD >DRNTG_14557.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17621502:17625116:1 gene:DRNTG_14557 transcript:DRNTG_14557.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFYPDDKQNLTKTIYQTPKYGSFLATRFGIASPGRSKVFQEDHEPWSKRILDPGSDLVLKWNRVFLVSCLVALFIDPLYFYLPSIDDGNNTLCIKMDKRLSITVTVFRTLADLFYILHMIIKFRTAYVAPSSRVFGRGELVMDPKKIARRYLRSDFFIDLAAALPLPQFVIWLVIPSIRSSNADHSNNALVLIVLIQYIPRLYVMFPLSHQIIKSAGVVTKTAWAGAAYNLLLYMLASHVLGAAWFLLTVERHTTCWKSECRTEANTTSCQSRFLDCDYLSHGDRQKWAAVTSVFNNCDPNSASLDFKYGIFAPGLQTGAVSSAFLKKYFYCLWWGLQNLSSYGQTLTTSTFIGETLFAILIAILGLVLFAHLIGNMQTYLQSLTVRLEEWRLKRRDTEEWMRHRQLPHYLRERVRRFVQYKWLATRGVDEDSILRALPADLRRDIQHHLCLDLVRRVPFFSQMDDQLLDAICERLVSTLSTEGTCIVREGDPVTEMLFIIRGRLESSTTNGGRTGFFNSITLRPGDFCGEELLAWALLPKSTVNLPSSTRTVKALVEVEAFALQAEDLKFVSNQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRRFKRRKMAKDLSMRESFSSRSEEQADDVYEQEEEEPSPRSGTVSQPQQNFNVTILASKFAASTRKKGAQKMKAIDMPKLQKPEEPDFSADQFD >DRNTG_34501.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1506259:1506796:1 gene:DRNTG_34501 transcript:DRNTG_34501.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRSVQKPQGAVSRSGKGNGFFSRCNFSSYLRFVSSGASTVASTVRSAGASVASSIVGDGNDSRRDQ >DRNTG_34501.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1506259:1507544:1 gene:DRNTG_34501 transcript:DRNTG_34501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRSVQKPQGAVSRSGKGNGFFSRCNFSSYLRFVSSGASTVASTVRSAGASVASSIVGDGNDSRRDQVHWAGFDKLEYEGVLREVLLLGYRSGFQVWDVEEADDVRQLVSKYE >DRNTG_08223.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14506110:14509131:1 gene:DRNTG_08223 transcript:DRNTG_08223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMKGFGRLLVRRSRLLLPRSLEPIANPIEKNYLGSVRLFSSSISAPLGIPAPFSPLSPGKPSFVAPWSGYLGQKRSMFIQTQSTPNPLSLMFYPGKPVMEVGSADFPNARVAMNSHLAKSLFSIDGITRVFFGSDFVTVTKSEEATWDFLKPEIFAAIMDFYSSGKPLFLDSNVAASMDTEIKEDDSEIVAMIKELLETRIRPAVQDDGGDIEYRGFDEETGIVKLRMQGACSGCPSSSVTLKSGIENMLMHYVPEVKGVEQELDNGGEVVSSAG >DRNTG_04641.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19136008:19146432:-1 gene:DRNTG_04641 transcript:DRNTG_04641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHRFFPFFSQSTFKLGISFNQYGGVPSGYKQEGPLLMQGTPKNAVFDVEFIRFAFLSIV >DRNTG_31094.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21305946:21306944:-1 gene:DRNTG_31094 transcript:DRNTG_31094.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSSATMSLFLIVNLLFFTLGSSCGNCPTPNPPKPTPSPCPPSGGNGGNGGNGGNGGSGGKCPVDTVKLAACANVLGGLLNLNIGKVPKAPCCSLINGLVDLEAALCLCTVIKANVLGLINLNLPVNLSLLLNYCGKRTPKGFQCP >DRNTG_31094.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21305842:21306944:-1 gene:DRNTG_31094 transcript:DRNTG_31094.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSSATMSLFLIVNLLFFTLGSSCGNCPTPNPPKPTPSPCPPSGGNGGNGGNGGNGGSGGKCPVDTVKLAACANVLGGLLNLNIGKVPKAPCCSLINGLVDLEAALCLCTVIKANVLGLINLNLPVNLSLLLNYCGKRTPKGFQCP >DRNTG_31094.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21305946:21306705:-1 gene:DRNTG_31094 transcript:DRNTG_31094.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSSATMSLFLIVNLLFFTLGSSCGNCPTPNPPKPTPSPCPPSGGNGGNGGNGGNGGSGGKCPVDTVKLAACANVLGGLLNLNIGKVPKAPCCSLINGLVDLEAALCLCTVIKANVLGLINLNLPVNLSLLLNYCGKRTPKGFQCP >DRNTG_31094.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21305842:21307036:-1 gene:DRNTG_31094 transcript:DRNTG_31094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSSATMSLFLIVNLLFFTLGSSCGNCPTPNPPKPTPSPCPPSGGNGGNGGNGGNGGSGGKCPVDTVKLAACANVLGGLLNLNIGKVPKAPCCSLINGLVDLEAALCLCTVIKANVLGLINLNLPVNLSLLLNYCGKRTPKGFQCP >DRNTG_31094.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21305946:21307036:-1 gene:DRNTG_31094 transcript:DRNTG_31094.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSSATMSLFLIVNLLFFTLGSSCGNCPTPNPPKPTPSPCPPSGGNGGNGGNGGNGGSGGKCPVDTVKLAACANVLGGLLNLNIGKVPKAPCCSLINGLVDLEAALCLCTVIKANVLGLINLNLPVNLSLLLNYCGKRTPKGFQCP >DRNTG_30337.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:16642792:16644060:1 gene:DRNTG_30337 transcript:DRNTG_30337.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDIHKLNSQGQARDPGSSRDREDSSAEIHTGVRKLPTPMRFSQGRPQERVHAPMRSRDNLTNSTGNHTPVRKFHTGVDIHMPNSQGHPHAPVSSRDEEGSPAKFHTGVQKLRTPVYFLQGLPQGRVHAPLCSWDNRPNSAGIHMPDKCLCDMNAKHSFLMLSITFLRKQANVDHNAPILEEILLRFKREDIGQSTVEITVAALFTAGREIRETENPDRCVEIIHARVEIPRRALYRHAVELPDSTLFKSRFTTIFGILFLHLFPQLARGLRLGFRGVLAKVLERFYGLDIVIPLGRRLVGELRSSRILYRTKESLD >DRNTG_24599.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28785312:28788080:-1 gene:DRNTG_24599 transcript:DRNTG_24599.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent alkenal/one oxidoreductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G23740) UniProtKB/Swiss-Prot;Acc:Q9ZUC1] MEALFTSQSHPFFFPTTSTITTKPSYLTLISFSTPSTHLRTPTITIKPSNTRRGGRLRTSDVLKVSQTSETLVTSSSLPSKMKAWVYEEYGDEQVLKLVDEIDVPQVKDDQVLVKVFAAALNPVDFKRRLGKFKATDSPLPTVPGYDVTGVVVKVGSQVKGLKEGDEVYGDVNEKALENPKQFGSLAEYTAVEEKLLAVKPKNLNFTEAASLPLAIETAYEGLERAGFSAGKSILVLGGAGGVGSLVIQLAKQVFGASKVAATSSTGKLELLKSLGADLAIDYTKENFEDLPEKFDVVYDTVGQCEKAVKAVKEGGSVVVLTGAVTPPGFRFVVTSDGGALAKLNPYLESGKVKPVLDPNGPFPFSQLVQAFSYLETGRAIGKVVIFPIP >DRNTG_18262.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:9532235:9532801:-1 gene:DRNTG_18262 transcript:DRNTG_18262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRCWSRRTSPKPWPIPLKTLAEVLSQVGEKMEKRIPKSGLKSALNRAGIGRLHGHV >DRNTG_14545.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:27:4463:6005:1 gene:DRNTG_14545 transcript:DRNTG_14545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSNSWCNTAIQVFQPALMEKPENQLKVEDEALSSIPQVKFDDMKSWLWLQQAIHPELDYDIGLRKKWFSLRITQHWKDMSIKKWIKEIKQKRKEKDRLKRAEVHAAISVARLSASLAAIAAENTVSNTINNSKENAVAAAAALIAKHCATVAETIGAPHDHLASEINNAIIATDANNLLTLTAAAATSHTNVNMYVSALKGAATLKGIRPHDFDFGRCRSSLAKGEELIVHAMDGKWKIRLVSVVLNRDAKVILRMRKTSILMSLSNAHECVVYDMKTEMVDEEVINKDENSFYCVDMSTSDGKIELKIKDYVQFKKWSMTINQMLMLSTTFSAYELQFYRS >DRNTG_02108.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10733449:10738351:1 gene:DRNTG_02108 transcript:DRNTG_02108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSLKRPKLEVVSRNNGVEEGKEDLESLVIHHTSEVQHLKKQLEEAEKRLVAAQLKLRRRSSSSELQAGNQIAGNGSSSGASSRPQLLIPSVDRSSKTPMAAKGPLLIGSTPKPTSRFNAEDSRVGSSSRPTASLGSQTRHKIEQKDHKDLIPGVGNCSSARLFNFAPMAGIPSRHNRKIRCLEANPTDDRMFVTSALNGTVNIWKLQADGSKPNALLLSTIDCEIPERKKWPEDLAWHPNGEMLFCAYGADGGGPQVSTVDLKATGKDRVSFLDKKPHLKGTINSIIFLHGIDMCFATGGSDHAVILWKRRNGFWKPKVLHQNQHSSTVKGVASLQHMKILLSVGLDKKIIGFDILADKCGFQHQINHQCMSVLPNPCDVNLYMVQTSEHGRQLRLYDIRSREREIHTFGWKQSSESKSGLISQSWSHDGWHLSSGSLDPAIHLFDIRCNGKGPSQTIHAHQRRVFKAIWHQSIPLMTSVSSDHNIGLHEME >DRNTG_02108.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10735931:10738351:1 gene:DRNTG_02108 transcript:DRNTG_02108.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCAYGADGGGPQVSTVDLKATGKDRVSFLDKKPHLKGTINSIIFLHGIDMCFATGGSDHAVILWKRRNGFWKPKVLHQNQHSSTVKGVASLQHMKILLSVGLDKKIIGFDILADKCGFQHQINHQCMSVLPNPCDVNLYMVQTSEHGRQLRLYDIRSREREIHTFGWKQSSESKSGLISQSWSHDGWHLSSGSLDPAIHLFDIRCNGKGPSQTIHAHQRRVFKAIWHQSIPLMTSVSSDHNIGLHEME >DRNTG_33012.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26178256:26180824:-1 gene:DRNTG_33012 transcript:DRNTG_33012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTVEKSSSGREYKVKDLSQADFGRLEIELAEVEMPGLMSCRAEFGSSKPFAGARISGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERCLDWGPDGGPDLIVDDGGDATLLIHEGVKAEEEYEKTGKVPDPSSTDNAEFQIVLGLIRDGLKVDPKRYRKMRDRLVGVSEETTTGVKRLYQMQASGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGIPVLTLDDVISEVDIVVTTTGNKDIIMVDHMKKMKNNAIVCNIGHFDNEIDMLGLENYPGVKRITIKPQTDRWVFPETNSGVIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKERASGKYEKKVYVLPKHLDEKVAALHLSKLGAKLTKLTPSQAEYISVPVEGPYKPPHYRY >DRNTG_28204.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9229690:9230121:-1 gene:DRNTG_28204 transcript:DRNTG_28204.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSNGLSEAPTNSIPLLLIIAAAGWISSIVRCLRLFSSPSSSSSAISASHGLASLIALAETLNSSRPFSYDASGEDCLVCLSGLEAGDRVRRLACGHVFHCDCLDGWLDEMNLRCPLCRSSLVSSLQHYH >DRNTG_35246.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3484030:3486475:1 gene:DRNTG_35246 transcript:DRNTG_35246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERRFGRANQGTMEEFPERNPHEILFKPHKSKSIQGPIWWKSTPKFLASIPLPNPTKIPHQINLQHQSIA >DRNTG_11908.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:419064:421031:1 gene:DRNTG_11908 transcript:DRNTG_11908.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G15570) UniProtKB/TrEMBL;Acc:F4IIH6] MVEAYPAPLCLSCATLMAPEPSPLLSLARLPNRFFSFSRGLDGRGIRSIPSSYSPLLAWKRRWRRGSATRNFSSNVCCALSEAPEVITASSWNTAILQSDAPVLVEFWASWCGPCKMVNRVFEEIAREYAGRVKFYKLNTDDYPQVAISNSVERIPTVILFKNGEKIQSITGTLPKSVYVTAIEQSLTN >DRNTG_26067.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:14396604:14442919:1 gene:DRNTG_26067 transcript:DRNTG_26067.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHGARETPSQMAAARTMTHGHARIAWSFTWASPHPVPSLHENDSASLFGSRMSPTTLEHFRWRLLALFIFWSTFFKIPGVDGTASVEPSIMISLLSSSWSNKPSYGSRLNI >DRNTG_08790.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5825738:5826565:1 gene:DRNTG_08790 transcript:DRNTG_08790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATDETMATIADEPAPNTGPEAAAEEPKAEQKKAKASKEPKPRKPRSAPTHPPYLEMITEAISALKERSGSSQYAIGKYLEEKHKDKLPANFKKQLLYQLKKLAAGEKLAKVKNSYKLPATRARPKPLTKKPAASPAKPKLKAKPKPKAAVAASKSKA >DRNTG_08790.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5825738:5827478:1 gene:DRNTG_08790 transcript:DRNTG_08790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDETMATIADEPAPNTGPEAAAEEPKAEQKKAKASKEPKPRKPRSAPTHPPYLEIGLQMITEAISALKERSGSSQYAIGKYLEEKHKDKLPANFKKQLLYQLKKLAAGEKLAKVKNSYKLPATRARPKPLTKKPAASPAKPKLKAKPKPKAAVAASKSKAAKPQG >DRNTG_08790.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5825738:5827478:1 gene:DRNTG_08790 transcript:DRNTG_08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDETMATIADEPAPNTGPEAAAEEPKAEQKKAKASKEPKPRKPRSAPTHPPYLEMITEAISALKERSGSSQYAIGKYLEEKHKDKLPANFKKQLLYQLKKLAAGEKLAKVKNSYKLPATRARPKPLTKKPAASPAKPKLKAKPKPKAAVAASKSKAAKPQG >DRNTG_18123.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:479962:483292:-1 gene:DRNTG_18123 transcript:DRNTG_18123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMPSRALRLALAAVRRLSTASSLASAPAVVKKDLRPLYRRLSALGGAPDGSVVKVLNKWVREGKTVTSPELIKQVKELRKYGKYGSALEVVDWMVKTKGMNLSITNHAIYLDLVSKVKGIESAELYFSSLPEAAKKQQTYGALLNCYCNEKLPEKAIPLYEKMKKLGLASNNLVQNNMMAFYMKLGQPEKAQRQFEEMKSENIAPDNFSYCILMNSYASKGDIDSVEEVAQDMEEASDITLTWSAYSTLAGIYNAAGLFEKAEAALKKLEQLIDSCDREPYHFLMTLYASTGNLAEVNRVWNTLKTTFPKLTNMSYLIILQALNKLDDLDGMERCFKEWESVHVAYDVRLMNLMIAAYLRKDMIKDAESLEVGASLRVSQFDFKTAELFIDYHLKKQEMGPALKWLKEASSHVKPDKWKLNGDHVKMFLKNYEEAKDVKGAEEFCAILKQFKRLDSRAYDALIRTYAAAGKKEPSLQQRIKEDQIILSSKTKKMLDRISKA >DRNTG_03927.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15142127:15158283:1 gene:DRNTG_03927 transcript:DRNTG_03927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQIQLPDLNVDGATVIDLNLSPTFSIFEITSSNHLQFDLNSAPCEEIVEDYTPINLNLNESDALGEVTSTMAVRLHQETNSILMNIGGRVQQCHAPTLVEQVAIGTTTRSGPYSVLPPSCRRSTACSAQPSPTSQSEKEERRVRNEGPKFLDMMEICFKDVVDMALVPYVEPSSKNEVSNNNAYAQMNGEDKEVENFEDDGYKIKMESLSNLDTESKKPMSNSKEEANNMHMGDYIGQIMGSNDLNVLKRLEDINQIQEGECGFEDDDSETSFVWQQPTNEDTKQIITLRNNIRDELSTQYSSSPISSLEAPAIEESLPYTSISPESLEEKIKLRFGGLLWGLISLLAIWLL >DRNTG_09084.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6007770:6008323:1 gene:DRNTG_09084 transcript:DRNTG_09084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGIVDGMSKEITTLAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPSIVHMKRF >DRNTG_28139.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23985770:23989240:-1 gene:DRNTG_28139 transcript:DRNTG_28139.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNSSTDTQNDTFNGLKPKYRQNTNLPQQITTTRYTTSMFSY >DRNTG_28139.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23985770:23988638:-1 gene:DRNTG_28139 transcript:DRNTG_28139.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSELERSKRDSDSMDKQTPRGDRTMMFSELE >DRNTG_28139.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23985770:23987052:-1 gene:DRNTG_28139 transcript:DRNTG_28139.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIHQPNNTRKKLQTSTKHSVSSSITHEVQPNNQDKGNLEEKQTQKEGFIMSSPRTKGIHNKESNFEKSLKL >DRNTG_28139.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23985770:23988638:-1 gene:DRNTG_28139 transcript:DRNTG_28139.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSELERSKRDSDSMDKQTPRGDRTMMFSELE >DRNTG_28139.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23985770:23989240:-1 gene:DRNTG_28139 transcript:DRNTG_28139.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNSSTDTQNDTFNGLKPKYRQNTNLPQQITTTRYTTSMFSY >DRNTG_28139.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23985770:23989843:-1 gene:DRNTG_28139 transcript:DRNTG_28139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTDYYQKQREEEEGHHRMGESSTSKLLFLLLLLPAMAVAMVPCACARVCPLFASCQRPLHQDQMVTASLRSMPTPTTPIKIKLPCPPPPSQSPPVNHHHQAKDD >DRNTG_19624.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001033.1:18591:21358:1 gene:DRNTG_19624 transcript:DRNTG_19624.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLIDITPREPLPRPSAGPLDADCGTEGLIVCDRERIRGQQGVSLDALVGILTRLGREMEEIGVIRSYKLAEQVASVVLEAKPLLAKIEAMAEDIQPLLAEVSDGALLKDVETLTKSLAEATGELRKTQSSILTPENMDLFKHSLATLIFTLKNIQNISSDISDFTADEATRNNLKLLIKSLSRLL >DRNTG_10294.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20359171:20364158:-1 gene:DRNTG_10294 transcript:DRNTG_10294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFSLLGGFMCPPSSSSTPAQPLMNMHPHHPHHHHHHHHHNTNPNSIMKPEASSSAQQDGTTRDNEIKAKIISHPQYSALLSAYLDCQKVGAPADIVAKMEEKLRTVSSCRHDTSTPTISDPELDQFMEAYCDMLGKYKDEISRPIQEAMDFLKRAESQLNSITNGTVSCIFSHDDKCDGVGSSDDDQDGSGGEAEPPEIDPRAEEKELKHHLLRKYSGYLSSLRQELSKKKKKGKLPKEARQKLLSWWELHYKWPYPSETEKVALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDGYHPQNAAAFYMEGQFMGDGPYRLGP >DRNTG_10294.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20359171:20364158:-1 gene:DRNTG_10294 transcript:DRNTG_10294.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQETMKSRRRSSLTLSTQLFSLPTLIAKRHPSHVGAPADIVAKMEEKLRTVSSCRHDTSTPTISDPELDQFMEAYCDMLGKYKDEISRPIQEAMDFLKRAESQLNSITNGTVSCIFSHDDKCDGVGSSDDDQDGSGGEAEPPEIDPRAEEKELKHHLLRKYSGYLSSLRQELSKKKKKGKLPKEARQKLLSWWELHYKWPYPSETEKVALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDGYHPQNAAAFYMEGQFMGDGPYRLGP >DRNTG_13517.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21973120:21973560:1 gene:DRNTG_13517 transcript:DRNTG_13517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRKGVGKRSGGGRTIKLSTEPQSIAARQRRHRISDRFKVLKSLVPGGNKMDTVSMLEEAIHYVKFLKTQISLHQAALMPPPPPFPFSSSDITMNASHLSTTIDASPLPPSSSSSSSSSSSLLPYPPFISFKGEAEMLSYVGLNY >DRNTG_32421.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17785398:17789270:1 gene:DRNTG_32421 transcript:DRNTG_32421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVVKTMIGQTATSNGGLSNAPGFPGTQFPFPPIAPSAPRATSST >DRNTG_21816.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17569398:17571992:-1 gene:DRNTG_21816 transcript:DRNTG_21816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISNWFERCKPVLAMVVVQCIYAGMALSAKQAFTEGMNTMVFVVYRQAIATLVLVPISILSRRGRVDHLALGIKGFSLVFVASLVGATLNQYLYYKGMDLSSSSMATAMTNLIPAVTFIMALFFGMEKVKLNSLRSMAKILGTLACVGGAMCMAMYKGPTLLNEQLRQLLTLSSLLQSVKESWMIGCLFLMGSTCCWSFWLILQVPICKNYLDPLSLSAWMCFLSTFQSAILTVLIEPNINAWKIKSLFELGCCLFAGIFGSGVTFYLQSWSIAIRGPLFSALFNPLSTIMTTILGFLWLNETIHLGSLVGGIAVILGLYIVLWGKANEYNKKSEPELKDDSAVIPISIESQQEIIENDLSKPLLVGELDDVENQVEV >DRNTG_10174.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22993075:22994353:-1 gene:DRNTG_10174 transcript:DRNTG_10174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSFDKFIKRRELSLVLKLQIYTAGSSTAAATIGLFASGEWRGLKGEMDGFKKGRLSYVITLVMTAICWQANIVSAVALVYEVSSLFSNVIYSLGTPIVPVFAVFLFHDNMNGIKVMSLFMALWGFSSYFYQHYLEYKLEKREKIGVGEPMVA >DRNTG_19115.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29698060:29702481:-1 gene:DRNTG_19115 transcript:DRNTG_19115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDEIWHAARDQGSDVDWYVKRTVLGGIYSTSEVYMLTDHSPGFRDTWNFLEHRIKDAFDLQKTIQEATYLAEAVGAGLGNSMQGFMKRMFQK >DRNTG_13597.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23700261:23701355:1 gene:DRNTG_13597 transcript:DRNTG_13597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPSETICTVQPLSADKQSKRPVTGRRPTAQLRVRASA >DRNTG_26981.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4256029:4256969:1 gene:DRNTG_26981 transcript:DRNTG_26981.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLLPQAIQQKMRPLTLKNAPAQTQSRGEGGFWEGCCAALCCCCLLDMCT >DRNTG_26981.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4256029:4263548:1 gene:DRNTG_26981 transcript:DRNTG_26981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLLPQAIQQKMRPLTLKNAPAQTQSRGEGGFWEGCCAALCCCCLLDMCT >DRNTG_26981.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4256029:4256969:1 gene:DRNTG_26981 transcript:DRNTG_26981.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQQQAPPPSYPPPGQAYPAPTEGYPTYAAPPPAGYPTKDEATNPQKCSGTDSEPW >DRNTG_03208.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000146.1:44862:51113:1 gene:DRNTG_03208 transcript:DRNTG_03208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEKSVELLDFWVSPFGQRVRIALAEKRVNYAYKEADLPNNNTTRPLVDLWPVVGGRLEHRWIAGVSTEASRADHQWITGVPPEAGGLVVGPVPSCRKPAKPPVDHRRVTGGRWTSDQTVGGPMTGRRRPVGPPVRPVAGGRRLDEPPVDCTMNSCEYTLKYHHGGSLVKEGKIRYVNGNINEFVVDLDRLCHWDLLGDIKELGYDIREDVKLFYIDDEGVVKIVCDDASIDKPLPEVLMFDFDNTVGLDVDLQQDALELDVEQESESASETNSSRDDADTLVDVPFLDFNSDADDEIVRARDKVRKFIQLKKEIQGKDSENVRNVDEAGGNEGNFGAEWQAACMEHSHDTNRGKVCGYESDYMGLSDPGSYDDTSDGSAGDDARRHKSCRTIYDPKTTLVDFSLDLSNILETSTQNKNADVTIKGRKKSSAAMPPIQVLRGAHNEGIIVGREPSNSFSFITTAELIGRRNLRASVGRKKHEEGNKQSNTSLDLIEILTQESRTITTSIQNLGASTMEK >DRNTG_13106.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11172027:11173056:1 gene:DRNTG_13106 transcript:DRNTG_13106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVTMCPRWIGMRCISEYKYRSSCQLRTPVLSPWPFTDLLMMACKYLYAGRERHMALDNPGSYWP >DRNTG_34086.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22919232:22920781:1 gene:DRNTG_34086 transcript:DRNTG_34086.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMFPRQGSGDDAWLMNAGNPASSLPISSANDEQGNVRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEGLKEQKLSSTSRNEYKVELISASWLAGEFRNEHRQLNK >DRNTG_34086.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22919232:22920933:1 gene:DRNTG_34086 transcript:DRNTG_34086.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFPSNYSSASADQDVSAMVSALSHVIRTNQTAGQGSGDDAWLMNAGNPASSLPISSANDEQGNVRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEGAAMAYDEAALRFNVEIYLVV >DRNTG_34086.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22919232:22920933:1 gene:DRNTG_34086 transcript:DRNTG_34086.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFPRQGSGDDAWLMNAGNPASSLPISSANDEQGNVRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEGAAMAYDEAALRFNVEIYLVV >DRNTG_34086.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22919232:22920781:1 gene:DRNTG_34086 transcript:DRNTG_34086.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMFPSNYSSASADQDVSAMVSALSHVIRTNQTAGQGSGDDAWLMNAGNPASSLPISSANDEQGNVRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEGAAMAYDEAALRFKGTKAKLNFPERVQGRTDIGFLVSRGVPERAPAVEQVIQPPTSSYPDLLQYAQILQSSRDEDMHNVASELYAGRDPYLMGYPTQTSPASVSSSSQEYIDFSSSSASSSSWSYGDHQRGKDI >DRNTG_11326.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:8626575:8627549:1 gene:DRNTG_11326 transcript:DRNTG_11326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMIEGFGLRRRSAFYAFIFFCCWGVISGAVEYVNYKDLKKPLNIRINDLLGRMTLAKKIGQMTQIERENATTEVINKYFIGSVLSGGGSVPSPQASVETWVNMVNEMQKGANIGLGIRMICCIDAVHGHNNVYKATIFPHNIGLGATSWFCMWPGGAKHCQYDHDC >DRNTG_01690.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23761290:23764669:-1 gene:DRNTG_01690 transcript:DRNTG_01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTPLYRKYRDALRSVRIPSVPSPSSYASASSSSRNGPVIEMATTSLLRPNRSYTPLSTEDPSNSRGTAVVGLPPAWVDLSEEISGNIQLVRTKMSELMKAHAKALMPSFGDGKEDQHAIEVLTQEITGLLKKSEKQLKRFSTSGPSEDVNVRKNVQISLATNLQNLSMDLRKKQSAYLKRLQQQKEGPDGVDLEMNLNGSSSRYEDEDFGDIGFDELQMSKLKKSEAFTREREREIAQVVESVNELAQIMKDLSVLVIDQGTIIDRIDYNIQNVAASVEEGYKQLQRAERTQRKGGMVMCATVLVIMCFVMLVLLVLKTILF >DRNTG_31435.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:696935:698741:1 gene:DRNTG_31435 transcript:DRNTG_31435.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLIVLSTATSSIPDVFTTGPWQSAHATFYGGNDASGTMGGACGYGNLYSQGYGVETAALSTALFNEGFSCGACFEIKCVDDPRWCRGGNPSIFITATNFCPPNYALPSDDGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVSYRRVPCKKEGGIRFTINGFKYFNLVLVTNVAGAGDISQVSVKGSSTGWMPLSRNWGQNWQSDTVLVGQSLSFRVTGSDHRTLTSWNIIPSDWQFGQTFSGDNFKV >DRNTG_31435.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:697187:703036:1 gene:DRNTG_31435 transcript:DRNTG_31435.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMFLKIAEYRAGIVPVSYRRVPCKKEGGIRFTINGFKYFNLVLVTNVAGAGDISQVSVKGSSTGWMPLSRNWGQNWQSDTVLVGQSLSFRVTGSDHRTLTSWNIIPSDWQFGQTFSGDNFKLQ >DRNTG_31435.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:696935:704402:1 gene:DRNTG_31435 transcript:DRNTG_31435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLIVLSTATSSIPDVFTTGPWQSAHATFYGGNDASGTMGGACGYGNLYSQGYGVETAALSTALFNEGFSCGACFEIKCVDDPRWCRGGNPSIFITATNFCPPNYALPSDDGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVSYRRVPCKKEGGIRFTINGFKYFNLVLVTNVAGAGDISQVSVKGSSTGWMPLSRNWGQNWQSDTVLVGQSLSFRVTGSDHRTLTSWNIIPSDWQFGQTFSGDNFKLQ >DRNTG_28239.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4538327:4540332:1 gene:DRNTG_28239 transcript:DRNTG_28239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAKANTKKPEAKTQALKVAKAVKTGASTLKKRSKKIRTSVTFHRPKTLKKERNPKYPRISAPPRNKLDHYQILKFPLTTESAMKKIEDNNTLVFIVDIRADKKKIKAAVKKMYDIQAKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >DRNTG_18154.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:665080:670852:-1 gene:DRNTG_18154 transcript:DRNTG_18154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDKVGVKSGGFFHLFDWNHKSRKKLFSNATNSQEGSTQENKIEDNLSVSRLRMIDDDEIAGVSSIKGSSDFSCASSVTDEEGAGSRVPGVVARLMGLDSLPTACVSEPHCTPLFDTRSLRGNHGQKRNPEFCINDQFSNVHHRAEVYSKKPMELRTQKMPSSPIERFQTEMLPFRSAKSLPINHSKLLSPIRNPGFTPPKNAAHIVEAAAKMIEPGLQLSTKSKTPLFGSSSIALKHRDSKESITVAQRTSKLLQSSRKTADLSDFKQLRGQSLDRSWNGSDDTSVSRSTVSLNENYTGDERVSRRLTGGQDSTLSRPTVSVDEGNQSGPRGRGRSVSLAIQAKVNVQKREGLSTSSRNTLTRSDRDDCKQNSTVKNSSITHRSKLQKKVSTSNGSGVLRQNNQKQNCSSVKEKLPPKQSVSSQQGRKVLSGDTSSTRVRNLNKLNGNNKNGYEKESMVVSSDFDKEGLSSINKDFPRKKRLIERTFYLEKSMFTDNTLSNKYGKHVKPNIVIGEHSSAKEDNGRNGTDVVSFTFTSPMIKSVPGQSYSQAADKWDKSNVYSFTTSHEKLGLNRNEERSRSLGLNAIKGDALSLLLEQKLRELTSGDKFAEAGPRANSAPVLQGSSAFDSPSTSVSEHDSEITRRSWKDNLGIVFDYGSSSSNDQVHKISHKSQVTEGIQCSTSSDAHKMPDHQHPSPMSILEVSFSNESCNSSESSTSINGGKCSSAQTQSFTNANATNKTTTSESEIDLSDSASSSFTETADAASEDLNGLDYVKDILFSTGSMFKDLGSCYVAHVGEALDPLLYEKLENKRSKESRLKRKVLFDCVNECLDLKCGRYFRAGYRTWSQGVAVVGKDLAEELYQEISSWKSMGDWMVDELVDKDMSSHLGRWTDFQIESFEAGVDIEEQILSSLIDELVTDSCV >DRNTG_18154.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:665080:665987:-1 gene:DRNTG_18154 transcript:DRNTG_18154.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDHQHPSPMSILEVSFSNESCNSSESSTSINGGKCSSAQTQSFTNANATNKTTTSESEIDLSDSASSSFTETADAASEDLNGLDYVKDILFSTGSMFKDLGSCYVAHVGEALDPLLYEKLENKRSKESRLKRKVLFDCVNECLDLKCGRYFRAGYRTWSQGVAVVGKDLAEELYQEISSWKSMGDWMVDELVDKDMSSHLGRWTDFQIESFEAGVDIEEQILSSLIDELVTDSCV >DRNTG_32704.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10862619:10865585:1 gene:DRNTG_32704 transcript:DRNTG_32704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPQETHALYNTSEKRAHKNGISLSISGQIVWHEQNGEH >DRNTG_12386.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6557842:6558895:-1 gene:DRNTG_12386 transcript:DRNTG_12386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTFMASTSKPLLSNPHHPPRSLLTTPKTIPAPFRSLIKSISISPRTLVLGAASLVASPLPALASEIEKAALFDFNLTLPAIAIEFLLLMIALDKIYFTPLGKFMDSRDAAIRAQLGEVKDTSEEVKQLEEQAAAVMKAARAEITAALNKMKKETTAELEVKLVEGRKKVERELAEALENLEKQKEDTIKALDSQIAALSNEIVKKVLPQL >DRNTG_20997.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001191.1:9419:10818:-1 gene:DRNTG_20997 transcript:DRNTG_20997.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDLFYCFSLFLFSIPISFGLCPDGQALLALSKNLVLPSSIKSSWNSSDPNPCDWVGVECDLHFNMVSFNLSQQGVSGKLGPEIGLLRHLQTVDLSNNEIAGSIPSELGNCTHLEYLDFSNNSLTGDIPNVLNFKRLSFLSLFTNALSGTIPASLFQNGHLETVYLNQNKLTGPIPASIGKLSSLKYLWLSENQLSGNVPDSIGNCTKLEELSLFDNQLTGAIPETLNNIKGLSYVDANTNDFQGRIPLSSSSCGQLQMFILSFNRFKGEIPAWLGNCSNLTVFAVVSNQLSGLIPPSISLLENLEILYLSQNSLSGPIPRV >DRNTG_23835.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29444660:29446385:-1 gene:DRNTG_23835 transcript:DRNTG_23835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLASAASSFVFTSNITNNCTPRTSSIIFANKHGLKLEKARRPGRGGIGRYRNKGGSKAASNWSPREGLR >DRNTG_24813.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31833709:31834302:1 gene:DRNTG_24813 transcript:DRNTG_24813.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate carbamoyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G20330) UniProtKB/Swiss-Prot;Acc:P49077] MENIEKNSPGSKILRGYLMATLFYEPSTRTRLSFESAMKRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVMRHFESGAARRAASTASIPVINAGDGPGQHPTQ >DRNTG_24813.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31833709:31835554:1 gene:DRNTG_24813 transcript:DRNTG_24813.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate carbamoyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G20330) UniProtKB/Swiss-Prot;Acc:P49077] MENIEKNSPGSKILRGYLMATLFYEPSTRTRLSFESAMKRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVMRHFESGAARRAASTASIPVINAGDGPGQHPTQALLDVYTIAREIGRLDGIKLALVGDLANGRTVRSLAYLIAMYKDVKIYFVSPDVVKMKDDIKDHLTSMGVEWEESTDLLEVASKCDVVYQTRIQRERFGERIDLYEAARGKIHCRSEGVRCIAQNMLSSCILSQGLMR >DRNTG_24813.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31833709:31835911:1 gene:DRNTG_24813 transcript:DRNTG_24813.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate carbamoyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G20330) UniProtKB/Swiss-Prot;Acc:P49077] MENIEKNSPGSKILRGYLMATLFYEPSTRTRLSFESAMKRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVMRHFESGAARRAASTASIPVINAGDGPGQHPTQALLDVYTIAREIGRLDGIKLALVGDLANGRTVRSLAYLIAMYKDVKIYFVSPDVVKMKDDIKDHLTSMGVEWEESTDLLEVASKCDVVYQTRIQRERFGERIDLYEAARGKIHCRSEGVRCIAQNMLSSCILSQGLMR >DRNTG_24813.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31833709:31835554:1 gene:DRNTG_24813 transcript:DRNTG_24813.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate carbamoyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G20330) UniProtKB/Swiss-Prot;Acc:P49077] MENIEKNSPGSKILRGYLMATLFYEPSTRTRLSFESAMKRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVMRHFESGAARRAASTASIPVINAGDGPGQHPTQALLDVYTIAREIGRLDGIKLALVGDLANGRTVRSLAYLIAMYKDVKIYFVSPDVVKMKDDIKDHLTSMGVEWEESTDLLEVASKCDVVYQTRIQRERFGERIDLYEAARGKIHCRSEGVRCIAQNMLSSCILSQGLMR >DRNTG_24341.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:373767:374686:1 gene:DRNTG_24341 transcript:DRNTG_24341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEGEEVTKPKSFKDEEYCNRRVFLRSYPLQWEENQVLEAEQEEQEQEEEEVPHSPSSLKGKLIALIHWNEGKLLLLRRVKNKMTFYLVSCYSFAFKSSKKLITL >DRNTG_31247.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3046387:3048469:-1 gene:DRNTG_31247 transcript:DRNTG_31247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTPIPTPLLLPSLLSSSATTMMTMTISTTTSSLTSNHPLLLPATLTLTLTLDPNLAPDQQQQQQRPPPPPPLVDPAPHISSQFYTFNRESHGLMVRCILEGRLATPDEIRAATPRPVLKSWRSVWKDRNEDTAYLTAWKRIQDKLYAGAGGVLYFKNNPSQRVSYVDQWQDIVAASHADADLRHLGLKETVDRIKQSWTVGAKFYGIPESFIRVCLAACPVCSSPSLTAGGGAHFALAGVRSKRRRFEYTESFDVPASDVPRRLQQLAAKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGEPSSATGSSSKKARVLKREPYASKRCGCGFRIRAIVPITNYNEKDKTFVYQEEGTAVFKLFAVHSGHEPGPLDGNARIIHRLVGNKGGFDRDPEIYGIREDTEPESFVGLLGKDDGVDPHLAVLQQVQAIRVEVGLLEGRISKMPQELLGSLSGELSDILHRLRSVGEHHHSDGPLVVGDDDVQHWTTDHDHHLDGQDSVFSKGNEIIEEDESDFDRALGAIVPWDRMAAECQDRKMLMSESCKSEKWLMKEDCSDFDEKSILNCGEEEEEESKLIKPLRHHGSMVADTNLVAIQVDGFYHDNAKWYDSPGGLDPGGDAGDGGFRHGRIV >DRNTG_27750.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:27:86178:86844:-1 gene:DRNTG_27750 transcript:DRNTG_27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQMRVIAGGFVIEVFEAAVKRLPYALPFEYFNYSSSDGKSTGSYDLLARQVADKKYDAVVADMTITSNRSEYVDFTLPYTVAGISMVVPIKNQRSKNAWIFVKPLTPDLWLVSLGFFVFTGSIIWVLEHRINPEFRGPPTNQIGTIFYFTFSTLVFAHS >DRNTG_20108.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:291417:296162:1 gene:DRNTG_20108 transcript:DRNTG_20108.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFILLSEIKQYFPFINAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQTLLLDEMIEKGIVAIIIKVAAMGLVPAKHLGKELADLQPHLLQMKEFCGINVCGEGGEYETLTLDCPLFKNARIVLDKFQILLHSPDSIAPVGILHPIAFHLEYKKDISSLRGAGGNIDGCSDKMGCVHVVEGSSTDSCIVRCQSSHPVPDNYSYEHLRLCISTTKRDVFSVGCWIEGPSLGLPEDLTAVLWRIESLLRTEGFDWVDVLYIHLYISDMKHFALANEVYVKFITEKKCSLGVPSRSTIELPLKQVGYGHAYVEVLVASDRSKRVLHVQSISCWAPSCIGPYSQATLDKEVLYMAGQLGLDPPTMELCAGGLVAELVQALENSEAIANCFNSSLASAILIVVYCSAYLQSSERYKIQQMITDFLKQKVSEMQTACICSAFDPIFLYVLAPDLPKGYIFLLSSCITLKCPPLSKMKCPHFFLLSLHQS >DRNTG_20108.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:291417:296162:1 gene:DRNTG_20108 transcript:DRNTG_20108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVALVSGGKDSCYAMMRCIHYGHEIVALANLMPLDDSVDELDSYMYQTVGHQIITSYAECMGIPLFRRRIRGSTRHAHLSYSITPGDEVEDMFILLSEIKQYFPFINAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQTLLLDEMIEKGIVAIIIKVAAMGLVPAKHLGKELADLQPHLLQMKEFCGINVCGEGGEYETLTLDCPLFKNARIVLDKFQILLHSPDSIAPVGILHPIAFHLEYKKDISSLRGAGGNIDGCSDKMGCVHVVEGSSTDSCIVRCQSSHPVPDNYSYEHLRLCISTTKRDVFSVGCWIEGPSLGLPEDLTAVLWRIESLLRTEGFDWVDVLYIHLYISDMKHFALANEVYVKFITEKKCSLGVPSRSTIELPLKQVGYGHAYVEVLVASDRSKRVLHVQSISCWAPSCIGPYSQATLDKEVLYMAGQLGLDPPTMELCAGGLVAELVQALENSEAIANCFNSSLASAILIVVYCSAYLQSSERYKIQQMITDFLKQKVSEMQTACICSAFDPIFLYVLAPDLPKGALVEVKPVLHIPENSEESVETNLHQDHDKKPHDRVLEYARWHDSCCQNYIVSEKICAVLVSVTKDVAADICGKNLQNAQGFEANGFVKDMKTIVKFCINLLDKILVENNFSWEDLKSLRVYFTTDLSTTADVLSLTISEVLGEFAEVGKRVQVNEPIFNLIPVLGSGGSSFMDDLITCELFASKL >DRNTG_20108.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:293736:296162:1 gene:DRNTG_20108 transcript:DRNTG_20108.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHVVEGSSTDSCIVRCQSSHPVPDNYSYEHLRLCISTTKRDVFSVGCWIEGPSLGLPEDLTAVLWRIESLLRTEGFDWVDVLYIHLYISDMKHFALANEVYVKFITEKKCSLGVPSRSTIELPLKQVGYGHAYVEVLVASDRSKRVLHVQSISCWAPSCIGPYSQATLDKEVLYMAGQLGLDPPTMELCAGGLVAELVQALENSEAIANCFNSSLASAILIVVYCSAYLQSSERYKIQQMITDFLKQKVSEMQTACICSAFDPIFLYVLAPDLPKGALVEVKPVLHIPENSEESVETNLHQDHDKKPHDRVLEYARWHDSCCQNYIVSEKICAVLVSVTKDVAADICGKNLQNAQGFEANGFVKDMKTIVKFCINLLDKILVENNFSWEDLKSLRVYFTTDLSTTADVLSLTISEVLGEFAEVGKRVQVNEPIFNLIPVLGSGGSSFMDDLITCELFASKL >DRNTG_20108.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:293113:296162:1 gene:DRNTG_20108 transcript:DRNTG_20108.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHVVEGSSTDSCIVRCQSSHPVPDNYSYEHLRLCISTTKRDVFSVGCWIEGPSLGLPEDLTAVLWRIESLLRTEGFDWVDVLYIHLYISDMKHFALANEVYVKFITEKKCSLGVPSRSTIELPLKQVGYGHAYVEVLVASDRSKRVLHVQSISCWAPSCIGPYSQATLDKEVLYMAGQLGLDPPTMELCAGGLVAELVQALENSEAIANCFNSSLASAILIVVYCSAYLQSSERYKIQQMITDFLKQKVSEMQTACICSAFDPIFLYVLAPDLPKGALVEVKPVLHIPENSEESVETNLHQDHDKKPHDRVLEYARWHDSCCQNYIVSEKICAVLVSVTKDVAADICGKNLQNAQGFEANGFVKDMKTIVKFCINLLDKILVENNFSWEDLKSLRVYFTTDLSTTADVLSLTISEVLGEFAEVGKRVQVNEPIFNLIPVLGSGGSSFMDDLITCELFASKL >DRNTG_20108.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:292882:296162:1 gene:DRNTG_20108 transcript:DRNTG_20108.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVPAKHLGKELADLQPHLLQMKEFCGINVCGEGGEYETLTLDCPLFKNARIVLDKFQILLHSPDSIAPVGILHPIAFHLEYKKDISSLRGAGGNIDGCSDKMGCVHVVEGSSTDSCIVRCQSSHPVPDNYSYEHLRLCISTTKRDVFSVGCWIEGPSLGLPEDLTAVLWRIESLLRTEGFDWVDVLYIHLYISDMKHFALANEVYVKFITEKKCSLGVPSRSTIELPLKQVGYGHAYVEVLVASDRSKRVLHVQSISCWAPSCIGPYSQATLDKEVLYMAGQLGLDPPTMELCAGGLVAELVQALENSEAIANCFNSSLASAILIVVYCSAYLQSSERYKIQQMITDFLKQKVSEMQTACICSAFDPIFLYVLAPDLPKGALVEVKPVLHIPENSEESVETNLHQDHDKKPHDRVLEYARWHDSCCQNYIVSEKICAVLVSVTKDVAADICGKNLQNAQGFEANGFVKDMKTIVKFCINLLDKILVENNFSWEDLKSLRVYFTTDLSTTADVLSLTISEVLGEFAEVGKRVQVNEPIFNLIPVLGSGGSSFMDDLITCELFASKL >DRNTG_20108.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:294709:296162:1 gene:DRNTG_20108 transcript:DRNTG_20108.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQLGLDPPTMELCAGGLVAELVQALENSEAIANCFNSSLASAILIVVYCSAYLQSSERYKIQQMITDFLKQKVSEMQTACICSAFDPIFLYVLAPDLPKGALVEVKPVLHIPENSEESVETNLHQDHDKKPHDRVLEYARWHDSCCQNYIVSEKICAVLVSVTKDVAADICGKNLQNAQGFEANGFVKDMKTIVKFCINLLDKILVENNFSWEDLKSLRVYFTTDLSTTADVLSLTISEVLGEFAEVGKRVQVNEPIFNLIPVLGSGGSSFMDDLITCELFASKL >DRNTG_20108.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:292486:296162:1 gene:DRNTG_20108 transcript:DRNTG_20108.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVPAKHLGKELADLQPHLLQMKEFCGINVCGEGGEYETLTLDCPLFKNARIVLDKFQILLHSPDSIAPVGILHPIAFHLEYKKDISSLRGAGGNIDGCSDKMGCVHVVEGSSTDSCIVRCQSSHPVPDNYSYEHLRLCISTTKRDVFSVGCWIEGPSLGLPEDLTAVLWRIESLLRTEGFDWVDVLYIHLYISDMKHFALANEVYVKFITEKKCSLGVPSRSTIELPLKQVGYGHAYVEVLVASDRSKRVLHVQSISCWAPSCIGPYSQATLDKEVLYMAGQLGLDPPTMELCAGGLVAELVQALENSEAIANCFNSSLASAILIVVYCSAYLQSSERYKIQQMITDFLKQKVSEMQTACICSAFDPIFLYVLAPDLPKGALVEVKPVLHIPENSEESVETNLHQDHDKKPHDRVLEYARWHDSCCQNYIVSEKICAVLVSVTKDVAADICGKNLQNAQGFEANGFVKDMKTIVKFCINLLDKILVENNFSWEDLKSLRVYFTTDLSTTADVLSLTISEVLGEFAEVGKRVQVNEPIFNLIPVLGSGGSSFMDDLITCELFASKL >DRNTG_20108.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:292486:296162:1 gene:DRNTG_20108 transcript:DRNTG_20108.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVPAKHLGKELADLQPHLLQMKEFCGINVCGEGGEYETLTLDCPLFKNARIVLDKFQILLHSPDSIAPVGILHPIAFHLEYKKDISSLRGAGGNIDGCSDKMGCVHVVEGSSTDSCIVRCQSSHPVPDNYSYEHLRLCISTTKRDVFSVGCWIEGPSLGLPEDLTAVLWRIESLLRTEGFDWVDVLYIHLYISDMKHFALANEVYVKFITEKKCSLGVPSRSTIELPLKQVGYGHAYVEVLVASDRSKRVLHVQSISCWAPSCIGPYSQATLDKEVLYMAGQLGLDPPTMELCAGGLVAELVQALENSEAIANCFNSSLASAILIVVYCSAYLQSSERYKIQQMITDFLKQKVSEMQTACICSAFDPIFLYVLAPDLPKGALVEVKPVLHIPENSEESVETNLHQDHDKKPHDRVLEYARWHDSCCQNYIVSEKICAVLVSVTKDVAADICGKNLQNAQGFEANGFVKDMKTIVKFCINLLDKILVENNFSWEDLKSLRVYFTTDLSTTADVLSLTISEVLGEFAEVGKRVQVNEPIFNLIPVLGSGGSSFMDDLITCELFASKL >DRNTG_24284.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29559273:29561753:1 gene:DRNTG_24284 transcript:DRNTG_24284.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSFSLSSLRYADGLLVAGASTLTAILCESLSWLLIYRTPTYKTLRSSIDRASKKLESMKSEDLKESSSSLSSKKSSSKSKKIDRVEAGLKESSRELSMAKFKSGAVVAAVLFVVFGLLNSLFEGRAVAKLPFEPVGFVMKMSHRGVPGTDPTDCSMAFLYFLCSISIRTNLQKLLGFAPPRGAAGAGLFPMPDPKAS >DRNTG_24284.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29559398:29561753:1 gene:DRNTG_24284 transcript:DRNTG_24284.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSFSLSSLRYADGLLVAGASTLTAILCESLSWLLIYRTPTYKTLRSSIDRASKKLESMKSEDLKESSSSLSSKKSSSKSKKIDRVEAGLKESSRELSMAKFKSGAVVAAVLFVVFGLLNSLFEGRAVAKLPFEPVGFVMKMSHRGVPGTDPTDCSMAFLYFLCSISIRTNLQKLLGFAPPRGAAGAGLFPMPDPKAS >DRNTG_24284.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29559398:29561720:1 gene:DRNTG_24284 transcript:DRNTG_24284.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSFSLSSLRYADGLLVAGASTLTAILCESLSWLLIYRTPTYKTLRSSIDRASKKLESMKSEDLKESSSSLSSKKSSSKSKKIDRVEAGLKESSRELSMAKFKSGAVVAAVLFVVFGLLNSLFEGRAVAKLPFEPVGFVMKMSHRGVPGTDPTDCSMAFLYFLCSISIRTNLQKLLGFAPPRGAAGAGLFPMPDPKAS >DRNTG_26255.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:7106858:7109504:-1 gene:DRNTG_26255 transcript:DRNTG_26255.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEKEVRRQGLKHIHKSGRLRSSCSRHGGFDGDYRL >DRNTG_26255.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:7106858:7107447:-1 gene:DRNTG_26255 transcript:DRNTG_26255.2 gene_biotype:protein_coding transcript_biotype:protein_coding APTGLKHIHKSGRLRSSCSRHGGFDGDYRL >DRNTG_13495.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21825857:21828144:1 gene:DRNTG_13495 transcript:DRNTG_13495.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQSPERLTSKTSILESGAEEGDALPDISHGDCLEMRTDSFSRHYRTMV >DRNTG_14684.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000738.1:17580:18548:-1 gene:DRNTG_14684 transcript:DRNTG_14684.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSKKQTEKRPRESSSEPEGMSFTIPEHQVRFDRLLRVQFGLTRFLGTSILRDLQQGDEFADEVEDLVSLGVWRRLLTIKEPAIRELALEVLSSFEFDRSYASFHSVDTIQFIVFGHHYSLSLT >DRNTG_34598.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23189638:23190221:-1 gene:DRNTG_34598 transcript:DRNTG_34598.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIAGDSSKRKLLSVHGLLERTKMMPMSLWRSLQKVGRDDPRRAIYALKVGTALTLVSLLYLLEPLFQGIGKDAMWAVMTVVVVFEFTAGATFYKGFNRALGTIFAGSLAFFIECIAAELGTVSRAIFVGGSVFLIG >DRNTG_34598.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23187726:23190221:-1 gene:DRNTG_34598 transcript:DRNTG_34598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIAGDSSKRKLLSVHGLLERTKMMPMSLWRSLQKVGRDDPRRAIYALKVGTALTLVSLLYLLEPLFQGIGKDAMWAVMTVVVVFEFTAGATFYKGFNRALGTIFAGSLAFFIECIAAELGTVSRAIFVGGSVFLIGFAATYVRFIPRIKKNYDYGVMIFILTFNLITVSSFREPNVLKIARQRLYTIGIGCAVCIFMSLFVFPNWSGEDLHNSTADKIEALARSIEACIMEYFNDDMSKETAERKSTRETIQKGYRAVLDSKSVEESLASFASWEPRHSSYRYPWQQYVKLGVTLRHFAYTAVALHGCLESEIQTPQSVRSLFREPCCRVAGEVSKILRELAMSIRKRQHCPPDILSDNLHDALHAFEFIHQVPASPLSRHQKCSSQVYHTRSSNQPTLIVQHHRLAFGED >DRNTG_26481.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:599637:600335:1 gene:DRNTG_26481 transcript:DRNTG_26481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEKKYNTRSSQRELKCSGLEFVQKTCILGRKRVAVVSSMIEESTFASPVSTKMQKRLNHLEALPQDILVRVLCHVGHSDLRQLLLVSKSVQEATVIAKELHFTFSTPSKPKIRGDSGLGGGVETPDAPKRNRIAKSRLNDKKLASIAVALFVSPDN >DRNTG_04298.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30090197:30092143:1 gene:DRNTG_04298 transcript:DRNTG_04298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSHHRGGSSSSFLFPIPSCFFFFFIILVLFSLSSCFSSSANALLMTSHSQQCLDLLNLKKGFRLFDALTSLPHWHDGTDCCGWEGVSCDEASGLVVSLDLSERHMGGNIMPSLFNLTSLQTLNLAYNDFNQLSAVLLSDFEKLVNLTHLNLSNSGIDGQVPMGISRLTKLISLDLSSYTLKLEKPDLGTLIRHLSNLKELYLDGANISSSGTEWCHAISDSVPGLQVLSFRFCSLSGPIDSSLSKLQNLSILRLDGNDLLSQVPGFFANFSSLTELSLSRCGLRGLFPTRVFELLNLKKLDLSYNKMLEGVFPEFPLNSSLERLTISDTNFSGSLPNSLGNLESLISLDLFYCNFSGSIPWSIGNLSELVYLDLSSNHLSGHLPPMLAGSKISILDLSYNNFSGPIPWSIRNLRELVYLDLSSNHLSGHLPPMLVVSKISILRLYDNNFSGPIPWSIRNLSELVYLDLSSNHLSGHLPPMPANSKISILQLYDNNFTGSIPNTLSSARHLVLLDLGRNSLTGSILMSFFTLPQLKELNLADNKLSGQLQEFTKASSTLQSIFLDGNNLQGKLPKSLDDLSALMSLYLGSNNFGDSVVELELFGHLQHLSDLDLSGINVLISNQIADSSG >DRNTG_09314.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:946317:948945:-1 gene:DRNTG_09314 transcript:DRNTG_09314.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiple organellar RNA editing factor 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G11430) UniProtKB/Swiss-Prot;Acc:Q9LPZ1] MASISQAPSSSVVCRISRSSFPSSMIPRASVAPPMLPNLTAQLSSHRAMVVRRRNRVSGVRAMATDGEYSSRRSSSSEPRETILLPGCDYNHWLIVMEFPKDPAPTREQMIETYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVSEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYINGEIIPCKYPTYQPKQRTSKYESRRYERRRDGPPQERRRPRQETQPKPAS >DRNTG_28339.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:160703:162214:-1 gene:DRNTG_28339 transcript:DRNTG_28339.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATGWVKSLQCISNALDDVVSTTSPSPSANTNPIKKKPSMSILLPITCGNPSHTLQDVVLLFPKHPPPPPPTKKVKPPSSTNAHHPNPKRPKRPNPNPNPRSLATVPPPKSLPTLTELPAGHSSRRVVEIIFASSWSSRGTPFPGEIEMLFRVHNPTRTVSRFEDYRAAVQGMAEGANDGRCAADGNEMMRFQCAPPSSSASAVAVAAAATADDVIYDARVVACSTGGIRTFAGSGGAHESVGGGSGRRSMLVCRVIAGRVRSGTCEPSGRFESVSSARGELLVFDSRAVLPCFLIIYRL >DRNTG_28339.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:160703:161968:-1 gene:DRNTG_28339 transcript:DRNTG_28339.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATGWVKSLQCISNALDDVVSTTSPSPSANTNPIKKKPSMSILLPITCGNPSHTLQDVVLLFPKHPPPPPPTKKVKPPSSTNAHHPNPKRPKRPNPNPNPRSLATVPPPKSLPTLTELPAGHSSRRVVEIIFASSWSSRGTPFPGEIEMLFRVHNPTRTVSRFEDYRAAVQGMAEGANDGRCAADGNEMMRFQCAPPSSSASAVAVAAAATADDVIYDARVVACSTGGIRTFAGSGGAHESVGGGSGRRSMLVCRVIAGRVRSGTCEPSGRFESVSSARGELLVFDSRAVLPCFLIIYRL >DRNTG_28339.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:159790:162214:-1 gene:DRNTG_28339 transcript:DRNTG_28339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGWVKSLQCISNALDDVVSTTSPSPSANTNPIKKKPSMSILLPITCGNPSHTLQDVVLLFPKHPPPPPPTKKVKPPSSTNAHHPNPKRPKRPNPNPNPRSLATVPPPKSLPTLTELPAGHSSRRVVEIIFASSWSSRGTPFPGEIEMLFRVHNPTRTVSRFEDYRAAVQGMAEGANDGRCAADGNEMMRFQCAPPSSSASAVAVAAAATADDVIYDARVVACSTGGIRTFAGSGGAHESVGGGSGRRSMLVCRVIAGRVRSGTCEPSGRFESVSSARGELLVFDSRAVLPCFLIIYRL >DRNTG_28339.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:159790:161968:-1 gene:DRNTG_28339 transcript:DRNTG_28339.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGWVKSLQCISNALDDVVSTTSPSPSANTNPIKKKPSMSILLPITCGNPSHTLQDVVLLFPKHPPPPPPTKKVKPPSSTNAHHPNPKRPKRPNPNPNPRSLATVPPPKSLPTLTELPAGHSSRRVVEIIFASSWSSRGTPFPGEIEMLFRVHNPTRTVSRFEDYRAAVQGMAEGANDGRCAADGNEMMRFQCAPPSSSASAVAVAAAATADDVIYDARVVACSTGGIRTFAGSGGAHESVGGGSGRRSMLVCRVIAGRVRSGTCEPSGRFESVSSARGELLVFDSRAVLPCFLIIYRL >DRNTG_11255.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21797268:21798567:1 gene:DRNTG_11255 transcript:DRNTG_11255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVKLGANKYSERQPIGTSAQGDKDYKEPPPAPLFEPEELKSWSFYRAGIAEFMATFLFLYITILTVMGVKNSSSQCSTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALYYMVMQCLGAICGAGVVKGFKKGLYESNGGGANVVNPGIH >DRNTG_17570.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17844844:17860210:1 gene:DRNTG_17570 transcript:DRNTG_17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQHPVATRPTLLNMTEFLLDAPESATFNLPVPSVRLVAVDSSRAPPTCSCFLPQALICTHSIKILVFKLQFGLGLTLNLVYDIQHLFKLQLLVARGNCRLFFANYNRVWAPL >DRNTG_26613.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:255217:259996:1 gene:DRNTG_26613 transcript:DRNTG_26613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSMGFFMVMNNIMRQETVVVVVPSPGPMSLTISLAAKYKISQMLAVPPLVIALTQSDEAMRLKSSPLRRVICGGAPLAPEVAKRFNALFPNVELSQGYGSTEAGLISAMIGPEECCRLGSVGKLNDKIEAKIMDLGTCQALSVGQQGELYVRGPAVMIGYVGDSEANTLAFDSEGWLKTGDICYFDEDGFLYIVDRLKEMIKYKAYQVAPVELEQILHILPDIVEAAVVPYPHAVAGQIPMAFVVRRPGSNINVDDIIEFVAKQVAPYKKIRKVAFIDAIPKTPSGKILRRELASLAASGSLSKL >DRNTG_26613.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:255217:259018:1 gene:DRNTG_26613 transcript:DRNTG_26613.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSMGFFMVMNNIMRQETVVVVVPSPGPMSLTISLAAKYKISQMLAVPPLVIALTQSDEAMRLKSSPLRRVICGGAPLAPEVAKRFNALFPNVELSQGYGSTEAGLISAMIGPEECCRLGSVGKLNDKIEAKIMDLGTCQALSVGQQGELYVRGPAVMIGYVGDSEANTLAFDSEGWLKTGDICYFDEDGFLYIVDRLKEMIKYKAYQ >DRNTG_26613.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:255217:257419:1 gene:DRNTG_26613 transcript:DRNTG_26613.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSMGFFMVMNNIMRQETVVVVVPSPGPMSLTISLAAKYKISQMLAVPPLVIALTQSDEAMRLKSSPLRRVICGGAPLAPEVAKRFNALFPNVELSQAFHLRASP >DRNTG_34769.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3034110:3034659:1 gene:DRNTG_34769 transcript:DRNTG_34769.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGTTLFIGCATLSDLDFLHYLHQENSYAQAFMPAIQELQRTLFEEMKNRMPPKIATSSECSANGFLSTLSRLFSLYGYWI >DRNTG_19349.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5476292:5479903:1 gene:DRNTG_19349 transcript:DRNTG_19349.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSVGQVFDGPKAFRDALHKYAIANSFTYKFIKNDGVRVTAECSAENCPWRVHASKSPAKQEFTIKKMNNIHTCRREIGKGHRLASQRWVASVIKEKLREAPNYKPREIANDLNREYGLNMKYAQAWRGKFVAKKELRNPHEEARNQLPWYCERIMETNPGSVATLQTTEDLKCHIFVSFHASLSGFEHGCRPLLFLDGICLKANKHWKLLVATAVDGESNFFPVSFSVAESETFEQWHWFLLQLKSALTMSRTVTFVSNKQNGLENAVARVFEDSYHAYCVHQLTEDFNQQVDNSLTQESKNTVLDAFQRSIYACKAEEFNECIETIKVESKGLAEWVLSTKPEFWSNAFFKGLRYGQYSSKSVETFNSWISPKYEPSVMQMIDIIRCKMMELMYTRRKSLESWSEVLTPSAKQRVEEEMTKAQGLNVICTSSSVFEVHDHLINVVNMETWECTCRRWQVGGLPCAHALAVIEQNHGFVYDYTSKYFTTEFFRLTYSLSINPIPDAGKPACSDIVDLAASCPPRIRRMVGRPKLKPADPRITIKRAVRCGKCQGYGHNKQTCKAPF >DRNTG_19349.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5475543:5479903:1 gene:DRNTG_19349 transcript:DRNTG_19349.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVLAICQSGGEFVIGRDGSMSYSGGEAHAVEVTSDMKLNDFKAEISCMFNCRADTFIIKYFLPSNRKTLITISNDKDLQRMVDFSASSNTTDVYILKKVEHRTSRSVVADSGTPADAPAATLVTVDDTKRRKLCHTGWENMLNSVGQVFDGPKAFRDALHKYAIANSFTYKFIKNDGVRVTAECSAENCPWRVHASKSPAKQEFTIKKMNNIHTCRREIGKGHRLASQRWVASVIKEKLREAPNYKPREIANDLNREYGLNMKYAQAWRGKFVAKKELRNPHEEARNQLPWYCERIMETNPGSVATLQTTEDLKCHIFVSFHASLSGFEHGCRPLLFLDGICLKANKHWKLLVATAVDGESNFFPVSFSVAESETFEQWHWFLLQLKSALTMSRTVTFVSNKQNGLENAVARVFEDSYHAYCVHQLTEDFNQQVDNSLTQESKNTVLDAFQRSIYACKAEEFNECIETIKVESKGLAEWVLSTKPEFWSNAFFKGLRYGQYSSKSVETFNSWISPKYEPSVMQMIDIIRCKMMELMYTRRKSLESWSEVLTPSAKQRVEEEMTKAQGLNVICTSSSVFEVHDHLINVVNMETWECTCRRWQVGGLPCAHALAVIEQNHGFVYDYTSKYFTTEFFRLTYSLSINPIPDAGKPACSDIVDLAASCPPRIRRMVGRPKLKPADPRITIKRAVRCGKCQGYGHNKQTCKAPF >DRNTG_30491.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:654761:655703:-1 gene:DRNTG_30491 transcript:DRNTG_30491.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPILAPTISTSSPMFPPFTATDPPTAAAPMLETPSPTSPPLVTATPPAAAPTLPTPTPTLPPFIPTDQPSPAPTFTKSSPTSPPLAAATHEPAAAPTLSTPTPALSPLVVTPIHPPIAASEPPVSTAPAPTLQAEVPAQAPSPSKKPSPAPAIFPTPPTTASPPDAEETISPAPNPATISDDKVHV >DRNTG_35468.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3765691:3770905:1 gene:DRNTG_35468 transcript:DRNTG_35468.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVIEMSADEELDSVLISTDGLSSKLLEESALDKVMMGISDSSILDEAVETKAEEKLFIKESPDKAALIHQDRICNNGNGIAAVNNSSVQPDAPEMVSVTCEAQSPLNQKQDSSIKPKTKAASGTSRSNYTVPQPFALATYKRALGGIRDSIPDAAGNGNKPANQSNKLISNMTKKSEKKLSLESRKPLQPDNTMHHDDEDACSVASSTTASVRGMKVGSTLASAPVFRVSERAQKRKEFYSKLEEKHQALEAEKNQSEARTKEEREAAIRQLRKSLNFKATPMPSFYHEGPPPKVELKKVPPTRAKSPKLGRRKSCGDATNPSTRDKQAGDRNRLNRHSLGSIKQEANKNNGRKNSNSSHKEKEGSKPAKDDLKDLDCEVAEPKATDDVSVNDSDDVSVKVIDDVSVES >DRNTG_35468.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3765691:3770905:1 gene:DRNTG_35468 transcript:DRNTG_35468.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVIEMSADEELDSVLISTDGLSSKLLEESALDKVMMGISDSSILDEAVETKAEEKLFIKESPDKAALIHQDRICNNGNGIAAVNNSSVQPDAPEMVSVTCEAQSPLNQKQDSSIKPKTKAASGTSRSNYTVPQPFALATYKRALGGIRDSIPDAAGNGNKPANQSNKLISNMTKKSEKKLSLESRKPLQPDNTMHHDDEDACSVASSTTASVRGMKVGSTLASAPVFRVSERAQKRKEFYSKLEEKHQALEAEKNQSEARTKEEREAAIRQLRKSLNFKATPMPSFYHEGPPPKVELKKVPPTRAKSPKLGRRKSCGDATNPSTRDKQAGDRNRLNRHSLGSIKQEANKNNGRKNSNSSHKEKEGSKPAKDDLKDLDCEVAEPKATDDVSVNDSDDVSVKVIDDVSVES >DRNTG_17012.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000865.1:465:3226:-1 gene:DRNTG_17012 transcript:DRNTG_17012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSARSLLSRTIFDCLFSRSPSIPKPHVAGLTLVSPPRRWLTAGGEAAEYGKEIDEINLKFAEAREEIEAAMESKETVYFNEEAECAREAANAALGMFDGLLARLPETERAALQRSMGLKMEQLKAELKQLDD >DRNTG_33822.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2949983:2952223:-1 gene:DRNTG_33822 transcript:DRNTG_33822.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVFLFLCLLHPSFVLSSENCNNLTIDEDMELERQLKLLNKPAIKTLVDNNGDIYDCVDIYKQPAFDHPSLKDHKLQVEPRLYGDSQTRLSTAWTNDGFHGTGCHDVVCPGFVQVSSEIPVGTPIGPLSIIHGQQYSLDTYIYLLQFGGVVGYSGTDRPPMGSGLLAELGAGSACYFKRVQYVNEQNQLLDLKPSDTFEHETYPDCYEIGNYVETRDVERNMFYFGGDGHC >DRNTG_32541.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20125351:20127077:-1 gene:DRNTG_32541 transcript:DRNTG_32541.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin-2 [Source:Projected from Arabidopsis thaliana (AT2G19580) UniProtKB/Swiss-Prot;Acc:Q9ZUN5] MGVSNNIVAVVNFIAMLCSIPIIGAGIWLASKQDNACVHLFRWPVIIIGVLLLLVSLFGFVGAVWDRPCLLATHLFCMAALVLLLLTLLVFAFVVTRPDGSYPAPARAYSEYRLEGYSGWLKTYILDHWPQIRACLSANDVCGKLARRDAFFTADQFFRSGDLSPIQSGCCKPPTLCGYNYVNPTLWINPTNPMADPDCYTWNTDQSLLCYGCNSCKAGILGSLRHEWRKANIALIVATVALIWVYIIGCSAFKNAQTEELFRRYKRGWV >DRNTG_32541.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20126479:20127077:-1 gene:DRNTG_32541 transcript:DRNTG_32541.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin-2 [Source:Projected from Arabidopsis thaliana (AT2G19580) UniProtKB/Swiss-Prot;Acc:Q9ZUN5] MGVSNNIVAVVNFIAMLCSIPIIGAGIWLASKQDNACVHLFRWPVIIIGVLLLLVSLFGFVGAVWDRPCLLATHLFCMAALVLLLLTLLVFAFVVTRPDGSYPAPARAYSEYRLEGYSGWLKTYILDHWPQIRACLSANDVCGKLARRDAFFTADQFFRSGDLSPIQASFSLFGFTLRFLFIFSNA >DRNTG_32541.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20126330:20127077:-1 gene:DRNTG_32541 transcript:DRNTG_32541.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin-2 [Source:Projected from Arabidopsis thaliana (AT2G19580) UniProtKB/Swiss-Prot;Acc:Q9ZUN5] MGVSNNIVAVVNFIAMLCSIPIIGAGIWLASKQDNACVHLFRWPVIIIGVLLLLVSLFGFVGAVWDRPCLLATHLFCMAALVLLLLTLLVFAFVVTRPDGSYPAPARAYSEYRLEGYSGWLKTYILDHWPQIRACLSANDVCGKLARRDAFFTADQFFRSGDLSPIQASFSLFGFTLRFLFIFSNATLGALLVAGYFFFWGLFI >DRNTG_32541.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20125557:20127077:-1 gene:DRNTG_32541 transcript:DRNTG_32541.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin-2 [Source:Projected from Arabidopsis thaliana (AT2G19580) UniProtKB/Swiss-Prot;Acc:Q9ZUN5] MGVSNNIVAVVNFIAMLCSIPIIGAGIWLASKQDNACVHLFRWPVIIIGVLLLLVSLFGFVGAVWDRPCLLATHLFCMAALVLLLLTLLVFAFVVTRPDGSYPAPARAYSEYRLEGYSGWLKTYILDHWPQIRACLSANDVCGKLARRDAFFTADQFFRSGDLSPIQSGCCKPPTLCGYNYVNPTLWINPTNPMADPDCYTWNTDQSLLCYGCNSCKAGILGSLRHEWRKANIALIVATVALIWVYIIGCSAFKNAQTEELFRRYKRGWV >DRNTG_16325.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1574641:1590449:-1 gene:DRNTG_16325 transcript:DRNTG_16325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKISDFGLARLFECDQTGGTTSRVVGTL >DRNTG_16325.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1574641:1580392:-1 gene:DRNTG_16325 transcript:DRNTG_16325.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKISDFGLARLFGCDQTGGTTNRVVGTL >DRNTG_16325.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1574641:1579991:-1 gene:DRNTG_16325 transcript:DRNTG_16325.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKISDFGLARLFECDQTGGTTSRVVGTL >DRNTG_13857.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000644.1:264710:269214:-1 gene:DRNTG_13857 transcript:DRNTG_13857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIISFSQQGPRGICILSANGVISNVTLRQPDFTGGTLTYETLGQVQDNNKELQQSLLEMKEERDQYCAEMMRQMKNMMMSFERRILQ >DRNTG_02232.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1123593:1125035:-1 gene:DRNTG_02232 transcript:DRNTG_02232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLSYIGYDTVSTMAEEVEDPVHDIPIGVSGSVVLVTLLYCLMAASISMLVPYDTIDTEAPFSSAFKGSDGWDWVSKVIGVGATLGIVASLLVAMLGQARYLCVIARSNMVPLWLARVHPTTATPVNASVFLGVVTASIALFTDLNILLNLVSIGTLFVFYMVANAVIFQRYVACASTNICPTIIFLFSFSFISITFTIIWNLNAPGKTTPFLLCGCIVVAVMI >DRNTG_04974.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4024946:4033412:-1 gene:DRNTG_04974 transcript:DRNTG_04974.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCNFCTNICIFILFFLNQHKDQHDQQSTSGGISKDELFGKFYAALDRSNFFLTSQGEVEDPAQVAKATSFFDDAYKEMEKSQVQIFDLSNLAEALKLKGNQSMQLQLYSEAAEIYACAIALDEKNAVYYCNRAAAYTQINRYDEAIEDCLKSIEIDPSYSKAYSRLGLVYYAQGKYDEALSKGFLKALQLDPDNTTTRNNIQLAQQKLMEWHQRAESDRNTRPCHGQGSSSQQQATGSSNSGTTFTSFSTNAPLPPDLANLIRNMAASASGYQSHPDSRNDHAREPEIDGIRVEANVNLGDAPPEQLPGQMSDVLRTVMGMFTSQFQPENNRPPGADES >DRNTG_04974.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4024946:4033412:-1 gene:DRNTG_04974 transcript:DRNTG_04974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLRSDSPICRRIVLAFLDFIRSVEPAPGVDLEGLDVVKDCLEEVFKVNTSSSNDGIQPGLLADLFSSEVAKSRGLELDSVPEAIECTPCTSSSSHQSMHKDQHDQQSTSGGISKDELFGKFYAALDRSNFFLTSQGEVEDPAQVAKATSFFDDAYKEMEKSQVQIFDLSNLAEALKLKGNQSMQLQLYSEAAEIYACAIALDEKNAVYYCNRAAAYTQINRYDEAIEDCLKSIEIDPSYSKAYSRLGLVYYAQGKYDEALSKGFLKALQLDPDNTTTRNNIQLAQQKLMEWHQRAESDRNTRPCHGQGSSSQQQATGSSNSGTTFTSFSTNAPLPPDLANLIRNMAASASGYQSHPDSRNDHAREPEIDGIRVEANVNLGDAPPEQLPGQMSDVLRTVMGMFTSQFQPENNRPPGADES >DRNTG_04974.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4024946:4033412:-1 gene:DRNTG_04974 transcript:DRNTG_04974.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLRSDSPICRRIVLAFLDFIRSVEPAPGVDLEGLDVVKDCLEEVFKVNTSSSNDGIQPGLLADLFSSEVAKSRGLELDSVPEAIECTPCTSSSSHQSMVYTDMHKDQHDQQSTSGGISKDELFGKFYAALDRSNFFLTSQGEVEDPAQVAKATSFFDDAYKEMEKSQVQIFDLSNLAEALKLKGNQSMQLQLYSEAAEIYACAIALDEKNAVYYCNRAAAYTQINRYDEAIEDCLKSIEIDPSYSKAYSRLGLVYYAQGKYDEALSKGFLKALQLDPDNTTTRNNIQLAQQKLMEWHQRAESDRNTRPCHGQGSSSQQQATGSSNSGTTFTSFSTNAPLPPDLANLIRNMAASASGYQSHPDSRNDHAREPEIDGIRVEANVNLGDAPPEQLPGQMSDVLRTVMGMFTSQFQPENNRPPGADES >DRNTG_01090.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000064.1:50738:53066:-1 gene:DRNTG_01090 transcript:DRNTG_01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKGQKGFSSSVYDLADLKFAPLFVLFLFVFRVFLSGPMWALVRAVGRSRPCGRCRKAPHFSTGDFEAKIQRDNDASSITDLSSSRQNEGADNTTASHLTTNPFVNVYRALNDQKSMADRFERWIVEHGRHYKDASEKQLRFEIFKAKVAYIEYFNAGNHMYTLAINKFADLTKEEVTAQYTGFIPPGEDEDFGHIDSSSEDESLV >DRNTG_01090.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000064.1:50738:52326:-1 gene:DRNTG_01090 transcript:DRNTG_01090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWALVRAVGRSRPCGRCRKAPHFSTGDFEAKIQRDNDASSITDLSSSRQNEGADNTTASHLTTNPFVNVYRALNDQKSMADRFERWIVEHGRHYKDASEKQLRFEIFKAKVAYIEYFNAGNHMYTLAINKFADLTKEEVTAQYTGFIPPGEDEDFGHIDSSSEDESLV >DRNTG_29764.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20371747:20372315:1 gene:DRNTG_29764 transcript:DRNTG_29764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLESLDLSSNNFSGIIPPSISALNFLSRLNLSHNKLSGEIPSGNQLRTLDASGFFYNDGLCGYPLSNCIDVTPSQGSFHGGNQDERGDWFDDLWLYIGLASGFIVGFWMFIVFIMIKKSRRISYFRSVDKVYDWIYVRLVVYSRRLKSILTRKN >DRNTG_20246.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20846222:20846843:-1 gene:DRNTG_20246 transcript:DRNTG_20246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGQGHYEVERERSQNPNSCQQTRRNHFQ >DRNTG_20040.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13406457:13407080:1 gene:DRNTG_20040 transcript:DRNTG_20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPENSSFLESLPTWNHITNIKPFETSIGSMTELMPSSYGENNGKKPALDPPPGLPKHKHQFSTDSLQLCTEGLGWMMVEKEGKMMDRQSRCYLEVKARSNGKRFLSPISTLGKSGKPWMYFKSYRNDGRFVLREMRIPTQELFHASREDGRLKLQLVHQEDEVYMEEENDEGDEESEHSDHVDDG >DRNTG_14130.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10863387:10863903:1 gene:DRNTG_14130 transcript:DRNTG_14130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGERQQFQYLHRIELSECIECEQLPPLETLPSLAYLSISGMDGIKHIINNSRGNNALQSFPALKELSLYRMMNLEGWCVEEGREANLCLFPCLTEMHITECPKLTTMPSIPTLQELYINQSFCETQISLVSEVRRFFKHLESSQSLSMKSCTDKLVLLSEVEEE >DRNTG_14130.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10861027:10863306:1 gene:DRNTG_14130 transcript:DRNTG_14130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQEARCVNSFSLDHNPLLFKLQLGKKLSNVNERINELIEEMGKFKLRVLENNNKPLKNRPQTYSYVDESPVIFGRDEDKEKLVHMLIRDSFDEKVAVVSIVGMGGLGKTTLAQLVYADERVKNHFKQCIWVCVSNDFDVLKLARGIIHTATGENYDHTNMEVLQKDLRDVLGQERYLLVLDDVWNEDFEKWDALRNMLLDGGEGSRILVTTRNEKCSRLMGAQRHHFLRGLSEESSWVLFEHKAFAVGAPKPLPKLVEIGQQILDKCQGLPLAIEELGSFMHYKSKESEWQAVLENIETWKLQSTQNEIIQELWLSYVDLPTQLKKCFAFCAIFPKDHDIKEEQLIQFWMAQGFIPSQEGTDMEVEGREIFTELIRRSLLQNDCSLQPLEKGRVCKMHGHIHDLAQLVMENECFFPLLKSTAAPKIPIKARHWNLYADENCEKGDCSIIHTVLYCRRDLSVLPKLKLVRVLDLSHTNINELPASIEHLHHLRYLDISYTLIGKLPESICMLVNLQTLKLHDCYKLSEGSQEHNIHEQS >DRNTG_14130.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10861027:10863903:1 gene:DRNTG_14130 transcript:DRNTG_14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQEARCVNSFSLDHNPLLFKLQLGKKLSNVNERINELIEEMGKFKLRVLENNNKPLKNRPQTYSYVDESPVIFGRDEDKEKLVHMLIRDSFDEKVAVVSIVGMGGLGKTTLAQLVYADERVKNHFKQCIWVCVSNDFDVLKLARGIIHTATGENYDHTNMEVLQKDLRDVLGQERYLLVLDDVWNEDFEKWDALRNMLLDGGEGSRILVTTRNEKCSRLMGAQRHHFLRGLSEESSWVLFEHKAFAVGAPKPLPKLVEIGQQILDKCQGLPLAIEELGSFMHYKSKESEWQAVLENIETWKLQSTQNEIIQELWLSYVDLPTQLKKCFAFCAIFPKDHDIKEEQLIQFWMAQGFIPSQEGTDMEVEGREIFTELIRRSLLQNDCSLQPLEKGRVCKMHGHIHDLAQLVMENECFFPLLKSTAAPKIPIKARHWNLYADENCEKGDCSIIHTVLYCRRDLSVLPKLKLVRVLDLSHTNINELPASIEHLHHLRYLDISYTLIGKLPESICMLVNLQTLKLHDCYKLSEGSQEHNIHEQS >DRNTG_22733.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31581215:31585381:1 gene:DRNTG_22733 transcript:DRNTG_22733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVTSYWCYRCRRVVRAPSADSVLCSDCGGGFVEEVETPLSADEAPRRRRRHENLASDSVSNVDVRLRRNRRGSGGDRSPFNPVIVLRGPGDGGGDGDISPTRSFELYYDDGTGSGLRPMPSGVSDFLMGSGLERLLDQLAQFGISGFASGRGCENPPASKAAIESMPTIEIADGHVIMESHCAVCKEAFKLGDDAREMPCKHIYHQDCILPWLSLRNSCPVCRHEMPTDVRSGGGVDVHGDEDSNSIVGNEEEMVGLTIWRLPGGGFAVGRFPGGRPAGERELPVVYTEMDGGFNAGAGAPRRILWASRGNHSREHGRISGAFRSFFSFFRRMRSSSSSRPRLESSASSNWSRRRSFFSRSRRMESSRWY >DRNTG_22733.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31581215:31582950:1 gene:DRNTG_22733 transcript:DRNTG_22733.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVTSYWCYRCRRVVRAPSADSVLCSDCGGGFVEEVETPLSADEAPRRRRRHENLASDSVSNVDVRLRRNRRGSGGDRSPFNPVIVLRGPGDGGGDGDISPTRSFELYYDDGTGSGLRPMPSGVSDFLMGSGLERLLDQLAQFGISGFASGRGCENPPASKAAIESMPTIEIADGHVIMESHCAVCKEAFKLGDDAREMPCKHIYHQDCILPWLSLRNSCPVCRHEMPTDVRSGGGVDVHGDEDSNSIVGNEEEMVGLTIWRLPGGGFAVGRFPGGRPAGERELPVVYTEMDGGFNAGAGAPRRILWASRGNHSREHGRISGAFRSFFSFFRRMRSSSSSRPRLESSASSNWSRRRSFFSRSRRMESSRWY >DRNTG_22733.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31581215:31582873:1 gene:DRNTG_22733 transcript:DRNTG_22733.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVTSYWCYRCRRVVRAPSADSVLCSDCGGGFVEEVETPLSADEAPRRRRRHENLASDSVSNVDVRLRRNRRGSGGDRSPFNPVIVLRGPGDGGGDGDISPTRSFELYYDDGTGSGLRPMPSGVSDFLMGSGLERLLDQLAQFGISGFASGRGCENPPASKAAIESMPTIEIADGHVIMESHCAVCKEAFKLGDDAREMPCKHIYHQDCILPWLSLRNSCPVCRHEMPTDVRSGGGVDVHGDEDSNSIVGNEEEMVGLTIWRLPGGGFAVGRFPGGRPAGERELPVVYTEMDGGFNAGAGAPRRILWASRGNHSREHGRISGAFRSFFSFFRRMRSSSSSRPRLESSASSNWSRRRSFFSRSRRMESSRWY >DRNTG_28167.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18103762:18107449:1 gene:DRNTG_28167 transcript:DRNTG_28167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVNQLNNKEQRRAMRRFLPRAHQSSQRA >DRNTG_02822.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20249048:20249678:1 gene:DRNTG_02822 transcript:DRNTG_02822.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTALATGLFDYVWVQFYNNPPCQYYSSNAVNVLNSWNQWTSSVTATKFFVGLPASPEAAGSGYMSPDALVSQVLPNIMYSDEYGGIMLWSRYYDLLSGYSSQIRHVNLVSSPGNTSAIRASA >DRNTG_03545.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:291606:292059:-1 gene:DRNTG_03545 transcript:DRNTG_03545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSMNPFSSRCTSLLNPRTKSIEERPRHRSSGEQSFPVCGRFHRVAHWVGSGVINAFFGSLDRCSCIVIKTKDDDDLPLLLSDGNNAREGSLKRRRV >DRNTG_17595.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7433465:7437826:1 gene:DRNTG_17595 transcript:DRNTG_17595.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTENARRSLLPSFLYTSSSSRSLAIAKLMIPCCKPVPLAEADPEVPSGGGSVLIQAPNEPRKIEMYSPMFYAACTAGGIASCGLTHMAVTPLDLVKCNMQIDPAKYKSISSGFGILLREQGLRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAAKYKTLIYLAGSASAEVIADVALCPMEAVKVRVQTQPGFARGLSDGLPKFVKSEGCTKGWFHFGVVRFLIQ >DRNTG_01440.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8255008:8255547:1 gene:DRNTG_01440 transcript:DRNTG_01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVMGMGLLDAIRGAKKTSIPAPLSLEMMRLMGMIRRVLSGGILEQDVTSSFVLRPRTPPTPPASSSPDPPAPFEYPAVAEPTVDDIDI >DRNTG_16153.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000797.1:44303:45409:-1 gene:DRNTG_16153 transcript:DRNTG_16153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLSIRDPAIWELTLEVLSSFEFDRSYARFGDLDTVQFRALGHHYSLNITHLYEEVFKDTEEYSQLLTNYPGPLTPQRAYRALCGQGQYEPGVSKATYLSRPTYRYLHAIMSWSVNGRGDSTDVLSRQELLYLDSREEGDDSEASQPTPEPQSAPMETEVLPVTEDPPPVCMFSPSRAHDYFERLGRAVRVIQTEEVEARAEIADIRATKAAQYMEFMHVPSASPAPPSPIPAPVDQPCTSSPAAAEPEADIDT >DRNTG_34573.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1470874:1471689:1 gene:DRNTG_34573 transcript:DRNTG_34573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRRRQEAHELHLHQWPLLLEISSQACRTDNEIKNHWNTHIKKKLEKMGIDPQTHKPIISDSAIEKINEDHDDNLKASINASSSFSSSSCSSGIIDEINLSCMDYFWEELDDFIGLDFSTFSVDDEHEDYERLSLAHESNVIDHQLWNIV >DRNTG_23329.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6174874:6179322:1 gene:DRNTG_23329 transcript:DRNTG_23329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGGGGGAAPAPKQDELQPHPVKDQLPNISYCITSPPPWPEAILLGFQHYLVMLGTTVIIPTVLVPQMGGGNDEKARVIQTLLFVAGLNTLLQTLFGTRLPAVIGGSYTFVVPTISIILAGRYNDIVDPHEKFKHIMRGTQGALIVASTLQIIIGFSGLWRNVTRYLSPLSAVPLVALAGFGLYEFGFPGVAKCIEIGLPQLIFLIVFSQYIPHVIRSEKPVFDRFAVIFSVAIVWLYAYLLTVGGAYKNAAPKTQSHCRVDRSGLVSAAPWIRIPYPFQWGAPTFDAGEAFAMMAASFVALVESTGAFIAVSRYASATQVPPSILSRGIGWQGVGILLDGLFGTANGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAIFASIPAPIVAALYCLFFAYVGAVGLSYLQFCNLNSFRTKFILGFSIFMGLSVPQYFNEYTSVAGYGPVHTHARWFNDIINVPFSSEAFVAGVVAYVLDNTLHRHDNAIRKDRGRHFWDKFRGFKTDPRSDEFYSLPFKLNKFFPSV >DRNTG_23189.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1766042:1767141:-1 gene:DRNTG_23189 transcript:DRNTG_23189.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic blue protein [Source:Projected from Arabidopsis thaliana (AT2G02850) UniProtKB/Swiss-Prot;Acc:Q8LG89] MAMGRGSAKMSFGVAFICLLVLQQCFVISHAAIYTVGGNSGWTFNTMNWPQGKRFKAGDVLVFKYDPKVHNTVSVNKAGYNGCTAPRGSKVFTSGNDRIRLVKGTNYFICSFAGHCQAGMKVAVTAS >DRNTG_12506.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:443207:449411:1 gene:DRNTG_12506 transcript:DRNTG_12506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTRAFLKDVKRIIIKVGTAVVTRTDGRLALGRIGALCEQIKELNSCGFEVILVTSGAVGVGRQRLRYRKLINSSFADLQKPQVELDGKACAAVGQSGLMALYDALFSQLDVASSQLLVTDNDFRDSDFRTQLTETVNSLLALKVIPVFNENDAISTRKAPYEDSSGIFWDNDSLAALLALELKADLLVLLSDVEGLYSGPPGERDSRIIHTYVKEKHQGEITFGDKSRVGRGGMTAKVKAAVYAASAGTPVVITSGFATDNIIKVLQGERVGTLFHRDAHLWVLSKEVGAREMAVSARECSRRLQSLSSNDRRKILLAIADALEANENLIKIENEADVVAAQQAAYDKSLISRLTLKPGKISSLSNSIRILANMEEPIGQVLKRTELADGLILEKTSCPLGVLLIVFESRPDALVQIASLAVRSGNGLLLKGGKEAMRSNAILHKVITGAIPSSVGEKLIGLVTSRDEISDLLKLDDVIDLVIPRGSNKLVTLIKESTKIPVLGHADGICHVYIDKSANMDMAKGIVADAKLDYPAACNAMETLLVHEHLLKTEELNDLIVALRGEGVVLYGGPRASTELKIPAAHSLHHEYNSLACTIEIVDDVFAAIDHIHRHGSAHTDCIVADDQEVAQIFLNQVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTTRWILRGSGQVVDGDKGVVYTHKNLTL >DRNTG_04311.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22025925:22026583:1 gene:DRNTG_04311 transcript:DRNTG_04311.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTQKGQHAQTKETIIKTHYQKTNSRH >DRNTG_19852.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001076.1:31952:33978:-1 gene:DRNTG_19852 transcript:DRNTG_19852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTHHRLNKFSSHFLPFLFPSLYLSLCETLLRKFKELLVCRKSSHSYAALDLHLLPISSSLFALELIRSRFVKPLKFALDLGLEFVVISVITGRVCQPSTDGKSGLLRADYDHGSNSPYPRNLVNKFSETNGELTAQEAPDSSFSKIDVRRIEIDDIEILGPRIGYLPLLVPLLKPYFSSTLPLSVDTVWFDYKGLALKW >DRNTG_33246.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7668722:7669889:1 gene:DRNTG_33246 transcript:DRNTG_33246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKRRTARSLVDRLQSVGHEEVLPEIRLMSKHDAEMRPLLADAGVTPHLVHLLSSTVSVSISPDSIENATATLLNLSISCPEALMSTPGLLDALSTALLPPTPPTASQHAAATLFSLLSVESFRPIIGSKRSIVSALVELVRSPKSRTRSIKDAVKALFGISLYPMNRAMMVEIGVVAVLFSLVVKDGRTGIVEDATAVIAQVAGCVESLEAFRKVAGVRGPGGSGGFGDRERVRDQENAASALLNLVMSGGESAVGDIAEVEIAEEVVRELAEKGSLRAKSKAGPLLKAMNSGRRDQWPSCPRMFEDLDSPAGAGDLTQPPSSAVSF >DRNTG_33246.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7668722:7669378:1 gene:DRNTG_33246 transcript:DRNTG_33246.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKRRTARSLVDRLQSVGHEEVLPEIRLMSKHDAEMRPLLADAGVTPHLVHLLSSTVSVSISPDSIENATATLLNLSISCPEALMSTPGLLDALSTALLPPTPPTASQHAAATLFSLLSVESFRPIIGSKRSIVSALVELVRSPKSRTRSIKDAVKALFGISLYPMNRAMMVEIGVVAVLFSLVVKDGRTGIVEDATAVIAQVAGCVESLEAFRKVA >DRNTG_33246.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7668548:7669378:1 gene:DRNTG_33246 transcript:DRNTG_33246.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKRRTARSLVDRLQSVGHEEVLPEIRLMSKHDAEMRPLLADAGVTPHLVHLLSSTVSVSISPDSIENATATLLNLSISCPEALMSTPGLLDALSTALLPPTPPTASQHAAATLFSLLSVESFRPIIGSKRSIVSALVELVRSPKSRTRSIKDAVKALFGISLYPMNRAMMVEIGVVAVLFSLVVKDGRTGIVEDATAVIAQVAGCVESLEAFRKVA >DRNTG_33246.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7668606:7669378:1 gene:DRNTG_33246 transcript:DRNTG_33246.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKRRTARSLVDRLQSVGHEEVLPEIRLMSKHDAEMRPLLADAGVTPHLVHLLSSTVSVSISPDSIENATATLLNLSISCPEALMSTPGLLDALSTALLPPTPPTASQHAAATLFSLLSVESFRPIIGSKRSIVSALVELVRSPKSRTRSIKDAVKALFGISLYPMNRAMMVEIGVVAVLFSLVVKDGRTGIVEDATAVIAQVAGCVESLEAFRKVA >DRNTG_23196.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5651495:5654803:1 gene:DRNTG_23196 transcript:DRNTG_23196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGGRKVLVEVSNARNLMPKDGQGTASAYAIVDFDGQRRRTKTKLRDLNPQWDERLEFFVHDPESMVSETLEINVYNDKKTGKKGTFLGKVKISGSSFAKLGSESLIYYPLEKRSVFSQIKGEIGVKVWYEDEAPPPATEGEAPAASKPDEAAATDEKKTEEKEKKPEDEKKGEEDKKPEEKPAAAEEKKAPPADAKPEEAKPKEEKPAPAADSTVVLAPPPPPPPPSPAKEPASPGFTDLELRPPSSDRATTSSYDLVDRVPYLFVRFLRAKRDPSTDRSIFAKAVIGSHTVRTRAVKCSDWDQVFAFHKASLNSTALDVSVWEETEEKKEDGTTVTAEVSLGSVSFDLQEVPKRASQDSPLAPQWYNLEGPAEEITGNDVMLAVWVGTQADEAFQEAWQSDSGGLIVHTRSKAYLSPKLWYLRLTVIQTQDLRLPTSSSDLKARELYVKAQLGGQVFKTGQGLNGFGSELR >DRNTG_25914.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19926336:19928077:-1 gene:DRNTG_25914 transcript:DRNTG_25914.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stearoyl-[acyl-carrier-protein] 9-desaturase 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G43800) UniProtKB/Swiss-Prot;Acc:Q84VY3] MQAHFLAGHRVSPPPHRRSPVSAVLAPPQLRRKVTHSLPPEKAEVFRSLDGWASSNLLPLLKPVEKCWQPMDFLPDPAKPTDEFQHEVRELRARSGELPDEYFVVLVGDMITEEALPTYQTMINTLDGVRDETGASSTPWAVWTRAWTAEENRHGDLLSKYLYLSGKVDMMMVEKTVQYLIGAGMDPGTENNPYLGYIYTSFQERATFISHGNTARLAKNYGDSVLARICGTIAADEKRHETAYTRIVEKLIELDPDGALISIENMMRKRITMPAHLMSDGCDPHLFENFSALAQRLGVYTAGDYADILEFLVGRWKLESVEGLSSAGRRAQEFVCGLPARIRKMQERADERAKTMAPHVVKFSWIFNKDVAL >DRNTG_26198.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29039815:29040293:-1 gene:DRNTG_26198 transcript:DRNTG_26198.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFPKTKRIRKKRKLLKVMIEKKQSRVKFDVLLTSYEMINMDSVSLKPIQWECMIVDEGHRLKNKDSKLFLQLQLYSTNHRVLLTGTPLQVGMLLA >DRNTG_26198.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29039396:29040293:-1 gene:DRNTG_26198 transcript:DRNTG_26198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFPKTKRIRKKRKLLKVMIEKKQSRVKFDVLLTSYEMINMDSVSLKPIQWECMIVDEGHRLKNKDSKLFLQLQLYSTNHRVLLTGTPLQWQT >DRNTG_01099.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21463500:21464198:1 gene:DRNTG_01099 transcript:DRNTG_01099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRAVLSDEEEEFEDEEDAGGSRKHAVDNEGRDDDDDEEEEEDEGQDEYEKDGFIVDDVEEEEEEEEEEERADSDQERHRKKRKKKRESEKNYVLDEDDYELLQDNNITGFHRP >DRNTG_25076.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:646084:646767:1 gene:DRNTG_25076 transcript:DRNTG_25076.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTYVQNCYDDPQTNGEKLRYNKLCSHFTKAAELGAMSDDKYMSLIKHVDEAIEKLMDNTTCKENFTHTLSEATNVPHQKFLTPLKVRSKGRPPSKRKKSKVEEIIIKNKKKKSQSKGDALAQRIIQDDHCTQESVVNSNSISINLDMHHSSSLGFTSQCNEL >DRNTG_14914.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20471549:20472501:1 gene:DRNTG_14914 transcript:DRNTG_14914.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRGLTISHVKSHLQMFRSMRNDYNKQITSDVHGDHHQDDNSKPTVKRARVETQPICNTRETSQCSFDNFQKEVNLFNGAGSGFFKVGKEEEDEEVDDCSLLLSLSSHKKRKNMVNTCSASESSCIFSSSGYSDGYCSINLELSMSTCGSYSYSVI >DRNTG_14914.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20471347:20472501:1 gene:DRNTG_14914 transcript:DRNTG_14914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRGLTISHVKSHLQMFRSMRNDYNKQITSDVHGDHHQDDNSKPTVKRARVETQPICNTRETSQCSFDNFQKEVNLFNGAGSGFFKVGKEEEDEEVDDCSLLLSLSSHKKRKNMVNTCSASESSCIFSSSGYSDGYCSINLELSMSTCGSYSYSVI >DRNTG_12964.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30471358:30472267:1 gene:DRNTG_12964 transcript:DRNTG_12964.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVYVCKEILYFGVVNQRETIETRRFYCSNSENTVAQKTVYFLGPNRNPSYLEAFGPNFPSVVRHLPRGRKLLTSERVSRRDDGFTDLGPTLQYGVPRSGLMGGSVVNPEFTLVKRCAEPLTRGLLCEKPGVTTWGSQWANTKEGSICEF >DRNTG_10967.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1060121:1060936:1 gene:DRNTG_10967 transcript:DRNTG_10967.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHQDQSQLEQGTHKHMVNQLELE >DRNTG_24026.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18039389:18041960:1 gene:DRNTG_24026 transcript:DRNTG_24026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQKLHFVVKKAFQDKREDPQKDSIENVIFIHGFLSSSLVWVETVFPNISENAGLNLLAVDLLGFGKSPKPMDCLYRLKDHLDMIEKSLIEPFHLESFHLVAHSMGCTIALALAAKYPKHIKSITLVGVPYLSSKSTGEKASLTAMNAMCEKKIWPPLAFSSAIMTWYEHLGRTTCLIFCRNHAFWEWLMKLFTRDIHFMLRDMTRHTHHSAWHTMHNVICGEAKYLDNYLETVKREGIPVKIIQGDKDQVTPLEYGFKLKEKLPHSELQIIPNADHQTVFLGREKEFTRELQQFWLSSIECKSASKVA >DRNTG_09358.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:14961751:14962563:1 gene:DRNTG_09358 transcript:DRNTG_09358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCFRLRDSNPRASAGSYNPSLLIIAAILIFVIAASASIHLLLRLFSRFHSPFSPPIPNSNPNPNPQSSFGSTSTTDHDTKALIASLPISTARPTSLPAIAVCLSHLRPSGSAPHPPACRHAFHLSCVGARLRSSPSCPLCRSPITTSGLEIPTPPIPSPLPRSFSIGSEEEDIESVVARVRRDLENAMKEETPPPLESTGGRGGWLKDYVDRLASSASSSFSSMRRGSHRSGAGGEREGLDLEDGSIYTATLYRWLANGDGDGEKLKN >DRNTG_12590.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15773927:15779627:1 gene:DRNTG_12590 transcript:DRNTG_12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSADVEDLRFLEPWDQEMGTLADSLVEYATKSIEEDGMLPVVEGVEGGIEKSSAGTEAESETHHIHEEGDRCLASSTARCRHLWSLESWTL >DRNTG_23214.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10176005:10176413:-1 gene:DRNTG_23214 transcript:DRNTG_23214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYIAFRERENKEYFEVLKGTQVVEKHTIEDCMKVFNRMSGIFTEEEMFKATQIFIKDKSYRELFLCLQEDHRVPWLKMMFTKID >DRNTG_35303.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22677047:22680499:-1 gene:DRNTG_35303 transcript:DRNTG_35303.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNYFPEEVLEHIFDFLTSDRDRNNVSTVCQAWYRIERYSRKHVFVGNCYAVRPERMAARFPRLRSLKVKGKHHFADFNLVPPGWGGFLHSWIETAARECPFLEELQLKRMVVLDESLELLAQSIPNLKSLVLISCEGFSTDGLAAIAAHCRVLRELDLLENEVEDRGSQWLSYFPESGTSLVTLNIACLKGEVNAGALERLVSRSPNLRSLRLNRAVSVETLAKILACAPQLVDLGTGSFMLTDRHSDAYHKLYGMFHKCNSLRSMSGFWNAAPHCLPALYPICSNFTVLNLSYAPTIDGSDLMKLIQQCSRLQKLWILDCIGDKGLEVVATTCRELQELRVFPSDPGGAIADVTEEGLVSISSGCKKLSSLLYFCFQMTNAALITVAKNCTRFTCFRLCILDPKKPDPVTDEPLDEGFGAIVRSNKDLRRLSVSGLLSDRVFMYIGKYAERLEMLSIAFAGETDMAMMHVLNGCKSLRKLEIMDSPFGDAALLQDVEKYETMRSLWMSSCDVTLAGCKTLAAKMPRLNVEIMNHRDGTDVDLDDTDKVDKLYVYRTMAGPRSDAPRFVLTL >DRNTG_35303.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22677047:22680499:-1 gene:DRNTG_35303 transcript:DRNTG_35303.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNYFPEEVLEHIFDFLTSDRDRNNVSTVCQAWYRIERYSRKHVFVGNCYAVRPERMAARFPRLRSLKVKGKHHFADFNLVPPGWGGFLHSWIETAARECPFLEELQLKRMVVLDESLELLAQSIPNLKSLVLISCEGFSTDGLAAIAAHCRVLRELDLLENEVEDRGSQWLSYFPESGTSLVTLNIACLKGEVNAGALERLVSRSPNLRSLRLNRAVSVETLAKILACAPQLVDLGTGSFMLTDRHSDAYHKLYGMFHKCNSLRSMSGFWNAAPHCLPALYPICSNFTVLNLSYAPTIDGSDLMKLIQQCSRLQKLWILDCIGDKGLEVVATTCRELQELRVFPSDPGGAIADVTEEGLVSISSGCKKLSSLLYFCFQMTNAALITVAKNCTRFTCFRLCILDPKKPDPVTDEPLDEGFGAIVRSNKDLRRLSVSGLLSDRVFMYIGKYAERLEMLSIAFAGETDMAMMHVLNGCKSLRKLEIMDSPFGDAALLQDVEKYETMRSLWMSSCDVTLAGCKTLAAKMPRLNVEIMNHRDGTDVDLDDTDKVDKLYVYRTMAGPRSDAPRFVLTL >DRNTG_35303.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22677047:22680499:-1 gene:DRNTG_35303 transcript:DRNTG_35303.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNYFPEEVLEHIFDFLTSDRDRNNVSTVCQAWYRIERYSRKHVFVGNCYAVRPERMAARFPRLRSLKVKGKHHFADFNLVPPGWGGFLHSWIETAARECPFLEELQLKRMVVLDESLELLAQSIPNLKSLVLISCEGFSTDGLAAIAAHCRVLRELDLLENEVEDRGSQWLSYFPESGTSLVTLNIACLKGEVNAGALERLVSRSPNLRSLRLNRAVSVETLAKILACAPQLVDLGTGSFMLTDRHSDAYHKLYGMFHKCNSLRSMSGFWNAAPHCLPALYPICSNFTVLNLSYAPTIDGSDLMKLIQQCSRLQKLWILDCIGDKGLEVVATTCRELQELRVFPSDPGGAIADVTEEGLVSISSGCKKLSSLLYFCFQMTNAALITVAKNCTRFTCFRLCILDPKKPDPVTDEPLDEGFGAIVRSNKDLRRLSVSGLLSDRVFMYIGKYAERLEMLSIAFAGETDMAMMHVLNGCKSLRKLEIMDSPFGDAALLQDVEKYETMRSLWMSSCDVTLAGCKTLAAKMPRLNVEIMNHRDGTDVDLDDTDKVDKLYVYRTMAGPRSDAPRFVLTL >DRNTG_35303.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22677047:22680499:-1 gene:DRNTG_35303 transcript:DRNTG_35303.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNYFPEEVLEHIFDFLTSDRDRNNVSTVCQAWYRIERYSRKHVFVGNCYAVRPERMAARFPRLRSLKVKGKHHFADFNLVPPGWGGFLHSWIETAARECPFLEELQLKRMVVLDESLELLAQSIPNLKSLVLISCEGFSTDGLAAIAAHCRVLRELDLLENEVEDRGSQWLSYFPESGTSLVTLNIACLKGEVNAGALERLVSRSPNLRSLRLNRAVSVETLAKILACAPQLVDLGTGSFMLTDRHSDAYHKLYGMFHKCNSLRSMSGFWNAAPHCLPALYPICSNFTVLNLSYAPTIDGSDLMKLIQQCSRLQKLWILDCIGDKGLEVVATTCRELQELRVFPSDPGGAIADVTEEGLVSISSGCKKLSSLLYFCFQMTNAALITVAKNCTRFTCFRLCILDPKKPDPVTDEPLDEGFGAIVRSNKDLRRLSVSGLLSDRVFMYIGKYAERLEMLSIAFAGETDMAMMHVLNGCKSLRKLEIMDSPFGDAALLQDVEKYETMRSLWMSSCDVTLAGCKTLAAKMPRLNVEIMNHRDGTDVDLDDTDKVDKLYVYRTMAGPRSDAPRFVLTL >DRNTG_35303.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22676983:22680499:-1 gene:DRNTG_35303 transcript:DRNTG_35303.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNYFPEEVLEHIFDFLTSDRDRNNVSTVCQAWYRIERYSRKHVFVGNCYAVRPERMAARFPRLRSLKVKGKHHFADFNLVPPGWGGFLHSWIETAARECPFLEELQLKRMVVLDESLELLAQSIPNLKSLVLISCEGFSTDGLAAIAAHCRVLRELDLLENEVEDRGSQWLSYFPESGTSLVTLNIACLKGEVNAGALERLVSRSPNLRSLRLNRAVSVETLAKILACAPQLVDLGTGSFMLTDRHSDAYHKLYGMFHKCNSLRSMSGFWNAAPHCLPALYPICSNFTVLNLSYAPTIDGSDLMKLIQQCSRLQKLWILDCIGDKGLEVVATTCRELQELRVFPSDPGGAIADVTEEGLVSISSGCKKLSSLLYFCFQMTNAALITVAKNCTRFTCFRLCILDPKKPDPVTDEPLDEGFGAIVRSNKDLRRLSVSGLLSDRVFMYIGKYAERLEMLSIAFAGETDMAMMHVLNGCKSLRKLEIMDSPFGDAALLQDVEKYETMRSLWMSSCDVTLAGCKTLAAKMPRLNVEIMNHRDGTDVDLDDTDKVDKLYVYRTMAGPRSDAPRFVLTL >DRNTG_35303.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22677047:22680640:-1 gene:DRNTG_35303 transcript:DRNTG_35303.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYFPEEVLEHIFDFLTSDRDRNNVSTVCQAWYRIERYSRKHVFVGNCYAVRPERMAARFPRLRSLKVKGKHHFADFNLVPPGWGGFLHSWIETAARECPFLEELQLKRMVVLDESLELLAQSIPNLKSLVLISCEGFSTDGLAAIAAHCRVLRELDLLENEVEDRGSQWLSYFPESGTSLVTLNIACLKGEVNAGALERLVSRSPNLRSLRLNRAVSVETLAKILACAPQLVDLGTGSFMLTDRHSDAYHKLYGMFHKCNSLRSMSGFWNAAPHCLPALYPICSNFTVLNLSYAPTIDGSDLMKLIQQCSRLQKLWILDCIGDKGLEVVATTCRELQELRVFPSDPGGAIADVTEEGLVSISSGCKKLSSLLYFCFQMTNAALITVAKNCTRFTCFRLCILDPKKPDPVTDEPLDEGFGAIVRSNKDLRRLSVSGLLSDRVFMYIGKYAERLEMLSIAFAGETDMAMMHVLNGCKSLRKLEIMDSPFGDAALLQDVEKYETMRSLWMSSCDVTLAGCKTLAAKMPRLNVEIMNHRDGTDVDLDDTDKVDKLYVYRTMAGPRSDAPRFVLTL >DRNTG_35303.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22677047:22680640:-1 gene:DRNTG_35303 transcript:DRNTG_35303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYFPEEVLEHIFDFLTSDRDRNNVSTVCQAWYRIERYSRKHVFVGNCYAVRPERMAARFPRLRSLKVKGKHHFADFNLVPPGWGGFLHSWIETAARECPFLEELQLKRMVVLDESLELLAQSIPNLKSLVLISCEGFSTDGLAAIAAHCRVLRELDLLENEVEDRGSQWLSYFPESGTSLVTLNIACLKGEVNAGALERLVSRSPNLRSLRLNRAVSVETLAKILACAPQLVDLGTGSFMLTDRHSDAYHKLYGMFHKCNSLRSMSGFWNAAPHCLPALYPICSNFTVLNLSYAPTIDGSDLMKLIQQCSRLQKLWILDCIGDKGLEVVATTCRELQELRVFPSDPGGAIADVTEEGLVSISSGCKKLSSLLYFCFQMTNAALITVAKNCTRFTCFRLCILDPKKPDPVTDEPLDEGFGAIVRSNKDLRRLSVSGLLSDRVFMYIGKYAERLEMLSIAFAGETDMAMMHVLNGCKSLRKLEIMDSPFGDAALLQDVEKYETMRSLWMSSCDVTLAGCKTLAAKMPRLNVEIMNHRDGTDVDLDDTDKVDKLYVYRTMAGPRSDAPRFVLTL >DRNTG_00445.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27313188:27314180:1 gene:DRNTG_00445 transcript:DRNTG_00445.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGGELEGDAAGDTSNGLRGPMLIGGELEGDAAGDIISGLFDRCRAA >DRNTG_03651.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27385908:27386427:-1 gene:DRNTG_03651 transcript:DRNTG_03651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKLSVGLELQDNMSVTESTPLKPWGTRQRDWG >DRNTG_24340.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:364443:373168:1 gene:DRNTG_24340 transcript:DRNTG_24340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat protein SKI3 [Source:Projected from Arabidopsis thaliana (AT1G76630) UniProtKB/Swiss-Prot;Acc:F4I3Z5] MFTAALKSYGRAIELENSRIFAFIQSGNIQLMLGSYKKGVEQFRYALDIAPHDISAQLGLASGLLGLSKDCVNSGAFGWGAVLLEEASTVVEAGTRLTGNIFSAWKLHGDIKIAYAKCFPWEGERICHEMNEEIFSSSISDWKKTCHLAAVSARKSYQRALHLVPWQANVYTDISIALDLIGSLEESFTADTDVWHLPEKMSLGGLMLEGANSEFWIILGCVSHSYPLKQHALIRGLQLDGSQSAAWAFLGKLYRILGDKKLARQAFDHARSIDPSLALPWAGMSVDSSDGKYSVVEAYESCQLAAQILPLPEFQVGLGMLAASSGQLLSPQVFVAVRQAAQRAPFYAESHNLKGLVYEARRDYDLATGAYKCARWALNTMRNADPAFKSCLTDVSINLARSLCQAGHANDAVRECEALRINGTFNAEGFQIYAFALWQLGRNDEALVLARTLISNVPTMERRYAVAALGLVCKLIYFISGQHSVSAVIQKLPKELLRNKRMGFIVATLTALDPNSQLQMLLSSLLQTVKSHDAATELHSIVAISKMMTNGQILEIENGLKYLRNVLHVYPSSSLIRNQLSSLLLSKEDWMASERAIKCSLAATRDHVNNGLKSAFEIHGAVRVACYAGGATCPKFSFSTCKDQLMQGTMTVQHLQKWLHQEPWNYKARYLLILNIYQKAREEKFPQYLCTTLKRLLVAALSQEIYLKESEQCRYQKFLLLLCASEISLQCGDHIGCFNRTENCNSACPF >DRNTG_24340.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:364443:373168:1 gene:DRNTG_24340 transcript:DRNTG_24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat protein SKI3 [Source:Projected from Arabidopsis thaliana (AT1G76630) UniProtKB/Swiss-Prot;Acc:F4I3Z5] MGIEDPLKSLQEALDSDPSNPSNHFNLGLLFWRKGEEAGGDESKELKDRAAEHFLASAKLNPSDGASFRLLGHYYSRVSLDVQRASKCYQRAVSLDPEDFEAGEALCDLLDASGKESLEVSTCREISEKSRRAFWAFRRLGYLQVHQKKWLEAVQNLQHAIRGYPTCADLWEALGLAYHHLGMFTAALKSYGRAIELENSRIFAFIQSGNIQLMLGSYKKGVEQFRYALDIAPHDISAQLGLASGLLGLSKDCVNSGAFGWGAVLLEEASTVVEAGTRLTGNIFSAWKLHGDIKIAYAKCFPWEGERICHEMNEEIFSSSISDWKKTCHLAAVSARKSYQRALHLVPWQANVYTDISIALDLIGSLEESFTADTDVWHLPEKMSLGGLMLEGANSEFWIILGCVSHSYPLKQHALIRGLQLDGSQSAAWAFLGKLYRILGDKKLARQAFDHARSIDPSLALPWAGMSVDSSDGKYSVVEAYESCQLAAQILPLPEFQVGLGMLAASSGQLLSPQVFVAVRQAAQRAPFYAESHNLKGLVYEARRDYDLATGAYKCARWALNTMRNADPAFKSCLTDVSINLARSLCQAGHANDAVRECEALRINGTFNAEGFQIYAFALWQLGRNDEALVLARTLISNVPTMERRYAVAALGLVCKLIYFISGQHSVSAVIQKLPKELLRNKRMGFIVATLTALDPNSQLQMLLSSLLQTVKSHDAATELHSIVAISKMMTNGQILEIENGLKYLRNVLHVYPSSSLIRNQLSSLLLSKEDWMASERAIKCSLAATRDHVNNGLKSAFEIHGAVRVACYAGGATCPKFSFSTCKDQLMQGTMTVQHLQKWLHQEPWNYKARYLLILNIYQKAREEKFPQYLCTTLKRLLVAALSQEIYLKESEQCRYQKFLLLLCASEISLQCGDHIGCFNRTENCNSACPF >DRNTG_11619.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27481218:27481422:-1 gene:DRNTG_11619 transcript:DRNTG_11619.2 gene_biotype:protein_coding transcript_biotype:protein_coding EIWAHLQTQVVEYINSEAPSLTESKHRGLIQRLKGKQGRFRGNLSGKRVEYTARTVISPDPNLKITEV >DRNTG_11619.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27480957:27483543:-1 gene:DRNTG_11619 transcript:DRNTG_11619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKGSDECRAALSHLRGNQSSQVLHVLTPLTVLSLFKKMLDEDCELLNLYDRPEKLIVTDVAIPPLAIRPSAFVDFGRSSNEDSLTSILRLIINTNSFLREELEGSGYLFKCWEIWAHLQTQVVEYINSEAPSLTESKHRGLIQRLKGKQGRFRGNLSGKRVEYTARTVISPDPNLKITEVAIPILVAKVLTFPERVSCHNIEKLRQCVRNGPFKYPGANFVVLLDGTRL >DRNTG_27203.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:783517:783792:-1 gene:DRNTG_27203 transcript:DRNTG_27203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRMLNLREIEKVGGEVGEKLSPEMVNELFTMIFGRNPSQEILRRWNNHGISFSLGMNENVKFQLDGHRN >DRNTG_09818.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:12892397:12893289:1 gene:DRNTG_09818 transcript:DRNTG_09818.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQENQNKSKTEAIIPAHHLSHHGAVEKKLPIQSSSGHNTAARDGAAGGKKTIEETYQKVTQLEHIHPQS >DRNTG_28248.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2692256:2696520:-1 gene:DRNTG_28248 transcript:DRNTG_28248.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERSAILCSSANWVVSDGSIEGSISFDTSSSPVADDGPAAIPTGVFLLRPPSMDSIPCEITICFRSKYEIHRVYVRSTARVFEIYYTTDKKDKSNEYLCTVRCGEVTSGDDNQEYVTECQKESNETAASHEKLGQNDDGAGDEDEWIEVKVPHSPQQANETNSLGKMVHTNTKKIKEVFYEATAEIADASPCVTLSLRLLSLKNKACVHVEEIYIYADPVELTDPDNFGNTTGSIERSSLLAMLVPNLLQLSKSGSGRIQDRCSSDVLMAQKPQNSLEKAAESSMIAEMKVPQEINPNAANQKLGMDESGKDKAEITNSFMSSEKYTPVPEQDNLVYNRVEKVLDELVSRVGKIEAFCSRFEENMMKPLNCIETRLQSLEHQFEAFAARMPSSEGLCCSKISAPEFSFDESDSEHNDSNSSHLIEKDNSTLNESSVVDDVPDSLPDSQMSPGLVVKAPEFSSEDNEPGLVVKAPEFSTEDEEQTDNNDTGDTLDSTEKDPPQVKKFLSIEDALTSALKAFLSSTSDRFPPTGPNVTEKQKSSDGENNAVAPIILEGPPEGASCLSGDIDFGKKHCGLSDTVISEETTFDAVLPFVGPEKDHVFDSQMFPSPASYFPTNEDDLINHNETADSELWELRPVSSFSFLISSTEEVPEVSYGKKNVLASSVGMPCEGDKMAGMDNCCTKDMTNDAELPHAANFLHVLFEEPNEPATCEKQSSMGAPAGGSNPNGSLPEVPLEQKEHFLKWQKYSSAAEFDEVFTHPHSFASGSNSSYSSFPDGRWADESDGEENSFQNLGFGQLGRRWTEDSCTDSSIDEVFLKNKLAMGWSDASSAGSLCQLDGCITDDFGSNQATEDSTTIRSILSEPSIGNNHDKLKIETEWNYTLDSEHPVLDVKFPPVRTWITETPLEVLLGESSEPLEQYRDNDDHNLDTQQHDSFSYQDLDRSQSLLDVEDLMQPTEFPGSQDAPHGDQIDNGQPFSSLI >DRNTG_28248.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2692256:2696520:-1 gene:DRNTG_28248 transcript:DRNTG_28248.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERSAILCSSANWVVSDGSIEGSISFDTSSSPVADDGPAAIPTGVFLLRPPSMDSIPCEITICFRSKYEIHRVYVRSTARVFEIYYTTDKKDKSNEYLCTVRCGEVTSGDDNQEYVTECQKESNETAASHEKLGQNDDGAGDEDEWIEVKVPHSPQQANETNSLGKMVHTNTKKIKEVSLLAQHT >DRNTG_28248.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2691987:2696520:-1 gene:DRNTG_28248 transcript:DRNTG_28248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERSAILCSSANWVVSDGSIEGSISFDTSSSPVADDGPAAIPTGVFLLRPPSMDSIPCEITICFRSKYEIHRVYVRSTARVFEIYYTTDKKDKSNEYLCTVRCGEVTSGDDNQEYVTECQKESNETAASHEKLGQNDDGAGDEDEWIEVKVPHSPQQANETNSLGKMVHTNTKKIKEVFYEATAEIADASPCVTLSLRLLSLKNKACVHVEEIYIYADPVELTDPDNFGNTTGSIERSSLLAMLVPNLLQLSKSGSGRIQDRCSSDVLMAQKPQNSLEKAAESSMIAEMKVPQEINPNAANQKLGMDESGKDKAEITNSFMSSEKYTPVPEQDNLVYNRVEKVLDELVSRVGKIEAFCSRFEENMMKPLNCIETRLQSLEHQFEAFAARMPSSEGLCCSKISAPEFSFDESDSEHNDSNSSHLIEKDNSTLNESSVVDDVPDSLPDSQMSPGLVVKAPEFSSEDNEPGLVVKAPEFSTEDEEQTDNNDTGDTLDSTEKDPPQVKKFLSIEDALTSALKAFLSSTSDRFPPTGPNVTEKQKSSDGENNAVAPIILEGPPEGASCLSGDIDFGKKHCGLSDTVISEETTFDAVLPFVGPEKDHVFDSQMFPSPASYFPTNEDDLINHNETADSELWELRPVSSFSFLISSTEEVPEVSYGKKNVLASSVGMPCEGDKMAGMDNCCTKDMTNDAELPHAANFLHVLFEEPNEPATCEKQSSMGAPAGGSNPNGSLPEVPLEQKEHFLKWQKYSSAAEFDEVFTHPHSFASGSNSSYSSFPDGRWADESDGEENSFQNLGFGQLGRRWTEDSCTDSSIDEVFLKNKLAMGWSDASSAGSLCQLDGCITDDFGSNQATEDSTTIRSILSEPSIGNNHDKLKIETEWNYTLDSEHPVLDVKFPPVRTWITETPLEVLLGESSEPLEQYRDNDDHNLDTQQHDSFSYQDLDRSQSLLDVEDLMQPTEFPGSQDAPHGDQIDNGQPFSSLI >DRNTG_28248.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2692120:2696520:-1 gene:DRNTG_28248 transcript:DRNTG_28248.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERSAILCSSANWVVSDGSIEGSISFDTSSSPVADDGPAAIPTGVFLLRPPSMDSIPCEITICFRSKYEIHRVYVRSTARVFEIYYTTDKKDKSNEYLCTVRCGEVTSGDDNQEYVTECQKESNETAASHEKLGQNDDGAGDEDEWIEVKVPHSPQQANETNSLGKMVHTNTKKIKEVFYEATAEIADASPCVTLSLRLLSLKNKACVHVEEIYIYADPVELTDPDNFGNTTGSIERSSLLAMLVPNLLQLSKSGSGRIQDRCSSDVLMAQKPQNSLEKAAESSMIAEMKVPQEINPNAANQKLGMDESGKDKAEITNSFMSSEKYTPVPEQDNLVYNRVEKVLDELVSRVGKIEAFCSRFEENMMKPLNCIETRLQSLEHQFEAFAARMPSSEGLCCSKISAPEFSFDESDSEHNDSNSSHLIEKDNSTLNESSVVDDVPDSLPDSQMSPGLVVKAPEFSSEDNEPGLVVKAPEFSTEDEEQTDNNDTGDTLDSTEKDPPQVKKFLSIEDALTSALKAFLSSTSDRFPPTGPNVTEKQKSSDGENNAVAPIILEGPPEGASCLSGDIDFGKKHCGLSDTVISEETTFDAVLPFVGPEKDHVFDSQMFPSPASYFPTNEDDLINHNETADSELWELRPVSSFSFLISSTEEVPEVSYGKKNVLASSVGMPCEGDKMAGMDNCCTKDMTNDAELPHAANFLHVLFEEPNEPATCEKQSSMGAPAGGSNPNGSLPEVPLEQKEHFLKWQKYSSAAEFDEVFTHPHSFASGSNSSYSSFPDGRWADESDGEENSFQNLGFGQLGRRWTEDSCTDSSIDEVFLKNKLAMGWSDASSAGSLCQLDGCITDDFGSNQATEDSTTIRSILSEPSIGNNHDKLKIETEWNYTLDSEHPVLDVKFPPVRTWITETPLEVLLGESSEPLEQYRDNDDHNLDTQQHDSFSYQDLDRSQSLLDVEDLMQPTEFPGSQDAPHGDQIDNGQPFSSLI >DRNTG_24782.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19957686:19962761:-1 gene:DRNTG_24782 transcript:DRNTG_24782.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNITVILNNEHVGKEMADNPSNNIQIPTPEPQKQSQPQVVGITTKGFYVESTSGFGQNNDSIIIVKDGNMSELKEVFRGGSIFEKIIGPLSKGNLSLINTNVTSNPAVTFNYFAEPEDLRRCVTGVSLLLKIAKTRPMIELMGNLSYTDEMLLNMSVNAKINLIPKSGNETKSLAEFCKRSVTTLWHYHGGCIVGKVVDKDYKVIGVGNLRVGDSSLFVESPGTNPQATVMMLGRMMGVKMIKERGRLARDHHYKKLMNYKPKFRNKIFSVSNCNRLETESKSVSKLEMEYSSVSNI >DRNTG_24782.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19957686:19962761:-1 gene:DRNTG_24782 transcript:DRNTG_24782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQKDDLFFFLFIFILASLYPSHGNFSQANNKQPNFRNASSFSSMPNLPYDYIVVGGGTAGCPLAATLSEKFRVLVLERGGSPYGNANISRLENFNINLAHSTPTSPVQRFLSTDGVFNHRARVLGGNTCINGGFYSRAQPSFVRNAGWDEVLVNESYKWVEDKIVFLKAAAPWQSAVKDGLLEVGVTPFNGYTYQHINGTKFGGSIFDNNGFRHTAADLLAAGNPRNLHVFIYATVQKIVFDTKDTNPKAIGVIFIDENGNQHEASIKANERSEVLLTSGAIGTPQLLLLSGVGPEEDLQKMNITVILNNEHVGKEMADNPSNNIQIPTPEPQKQSQPQVVGITTKGFYVESTSGFGQNNDSIIIVKDGNMSELKEVFRGGSIFEKIIGPLSKGNLSLINTNVTSNPAVTFNYFAEPEDLRRCVTGVSLLLKIAKTRPMIELMGNLSYTDEMLLNMSVNAKINLIPKSGNETKSLAEFCKRSVTTLWHYHGGCIVGKVVDKDYKVIGVGNLRVGDSSLFVESPGTNPQATVMMLGRMMGVKMIKERGRLARDHHYKKLMNYKPKFRNKIFSVSNCNRLETESKSVSKLEMEYSSVSNI >DRNTG_24782.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19957686:19960810:-1 gene:DRNTG_24782 transcript:DRNTG_24782.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNITVILNNEHVGKEMADNPSNNIQIPTPEPQKQSQPQVVGITTKGFYVESTSGFGQNNDSIIIVKDGNMSELKEVFRGGSIFEKIIGPLSKGNLSLINTNVTSNPAVTFNYFAEPEDLRRCVTGVSLLLKIAKTRPMIELMGNLSYTDEMLLNMSVNAKINLIPKSGNETKSLAEFCKRSVTTLWHYHGGCIVGKVVDKDYKVIGVGNLRVGDSSLFVESPGTNPQATVMMLGRMMGVKMIKERGRLARDHHYKKLMNYKPKFRNKIFSVSNCNRLETESKSVSKLEMEYSSVSNI >DRNTG_14704.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20876432:20878495:1 gene:DRNTG_14704 transcript:DRNTG_14704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNWEVRHAWDEAPEYLPDTGLFTIKMHYKLGEVEAIVGYIDYCHADQISKVELIAMARELNLKIDGCSIWWLDIISNDRGYKEVKTDLDALKMANSVECSKEMCVCVGLPNGGKPMMEDEEDSVHDENMEDILIRGHGRRNSKDDECDFEDSDYKFSDDGEEEEINHVG >DRNTG_00650.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16528467:16532544:1 gene:DRNTG_00650 transcript:DRNTG_00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSVLSRLARSKALVSGASSAFSQASTHLNASHGSLLPQRWAAAARVFSSKPAGADVIGIDLGTTNSCVSVMEGKTPKVIENAEGARTTPSVVAFNQKGELLVGTPAKRQAVTNPTNTLFGTKRLIGRRFDDPQTQKELKMVPYKIVKAPNGDAWVEMNGQQYSPSQIGAFVLTKMKETAEAYLGKSVSKAVITVPAYFNDAQRQATKDAGRIAGLDVLRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDSALLDYLVNEFKRSDNIDLSKDRLALQRLREAAEKAKVELSSTTQTEINLPFITADASGAKHFNVTLTRSKFEALVNNLIERTRIPCKNCLKDAGITAKEVDEVLLVGGMTRVPKVQEIVSQIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMASDNKLLGEFELTGIPPAPRGMPQIEVTFDIDANGIVKVSAKDKATSREQEITIRSSGGLSDDEIEKMVKEAELHAKKDQERKNLIDIRNSADTTIYSIEKSLSEYRDKIPSEVAQEIEAAVSELRDAMGKDDVDKIKEKLDAANKAVSKIGHSMNQRGGNEGSSGPGSDGGDQTQEAEYKEAKM >DRNTG_12020.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:761561:762189:-1 gene:DRNTG_12020 transcript:DRNTG_12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYATTSLSVQWHSRTAVIHHHLVTQVMGHIVLARRAEPQLYDAGVLGQAKGMAVGTAPKAHLSVYKVVFEGRGWDSDFLAGIDQAIRDGVHVLSMSLGSGPKHFHESGIAVSSFLRYNSRNSSLCCSGK >DRNTG_33513.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:842247:849692:1 gene:DRNTG_33513 transcript:DRNTG_33513.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGILPKPYRGQPARRPPTLAKWIPILPLRALSKNRFDSLLSPVTKIWSPRWVAIPRHSEEEEERNRDELIQERKELSQGEDQHRYRFPRRRNLKSSSRGLEFMVLLWISVL >DRNTG_33513.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:842247:843765:1 gene:DRNTG_33513 transcript:DRNTG_33513.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGILPKPYRGQPARRPPTLAKWIPILPLRALSKNRFDSLLSPVTKIWSPRWVAIPRHSEEEEERNRDELIQERKELSQGEDQHRYRFPRRRNLKSSSRGLEFMVLLWISVL >DRNTG_33513.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:842247:844843:1 gene:DRNTG_33513 transcript:DRNTG_33513.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGILPKPYRGQPARRPPTLAKWIPILPLRALSKNRFDSLLSPVTKIWSPRWVAIPRHSEEEEERNRDELIQERKELSQGEDQHRYRFPRRRNLKSSSRGLEFMVLLWISVL >DRNTG_33513.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:842909:845339:1 gene:DRNTG_33513 transcript:DRNTG_33513.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNAQAHLSGHISGQVPNQPSQMHNFGGHNLDLDLSAKRRLVYDHMVRRRVGFQFMYIRGLGFYSIKTQQGLLTFMAVRSTTVYRWTGPNQKHD >DRNTG_18768.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1354555:1357178:-1 gene:DRNTG_18768 transcript:DRNTG_18768.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CONSERVED IN THE GREEN LINEAGE AND DIATOMS 27, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G67370) UniProtKB/Swiss-Prot;Acc:Q9FN15] MLTAAQFSSHAIFGTRINVRRNSSPEFFHVWSLKLQQRWKLVTFKALKDGAEGGTGDLAGQRWNPGLEIEVPFEQRPVNEYSSLKDGILYSWGELSPGSFFFRLGGLWFVTFIVLGGPIAAASFDPSKDPLRFALAAGTGTLLLVSLVVLRIYLGWSYVGNRLLSAVVPYEETGWYDGQMWVKPPEVLARDRLLGSYKVKPVIKLLKQTLVGTGVLLVTTISLFVFATPFEDLLHSGVSITRKQFNFKCQAEFKQRRAAEITS >DRNTG_34084.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002086.1:13477:14863:1 gene:DRNTG_34084 transcript:DRNTG_34084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSTMSSTVIAMSSTATDSVTASADGVAIVSSSAGGGDRGNIVLLFFASLFECGRLGMATPMMSSSSNSDTSFFPGTSFLWRDGAVDCERPSSASTRATSRGNSVINMVGGTATIIETIVVVVTGLLQSGRVGEGFSGVEECVRVVGWK >DRNTG_21384.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19456031:19459798:-1 gene:DRNTG_21384 transcript:DRNTG_21384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGASGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCIPFREQLLEYYANNKKQGDLEENLLTCLADLFTQISSQKKKTGVIAPKRFVQRVKKENELFRGYMHQDAHEFLIFLLNELVDILERESKAAEGSLGPPTPSEMLNNGQVWPQANGVHKEALVTWVHKSFQGILTNETRCLRCETVTAREETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPSILVIHLKRFKYMEQLGRYKKLSYRVVFPMELKLNNTVDATDMEYSLFAVVVHVGIGPNHGHYISLVKSHDHWLFFDDENAEMIDESTVQTFFGSAQEFTSNTDHGYILFYESISARR >DRNTG_33160.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12516123:12519478:1 gene:DRNTG_33160 transcript:DRNTG_33160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAYAHKGCHRKQNSGTYGSALTAISWTITETKNLTDVIYGRKAFLAFLFGDSWMQSEERNRTNLQQSRGYSKGNLDRHSRGLEIVDCKLTLGRSEAYMSIVLLCTMGVWSFVGAAGCAMKPNLHPLQCPPGGEMNPRTKIMAKL >DRNTG_30669.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3721035:3721999:1 gene:DRNTG_30669 transcript:DRNTG_30669.3 gene_biotype:protein_coding transcript_biotype:protein_coding VHDELVLEVDPKFIKEAGNLLQMSMENAASLLVPLRVKLKFGKTWGSLQPFVPET >DRNTG_30669.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3719853:3721364:1 gene:DRNTG_30669 transcript:DRNTG_30669.2 gene_biotype:protein_coding transcript_biotype:protein_coding SPCLKGNCRIILQVHDELVLEVDPKFIKEAGNLLQMSMENAASLLVPLRVKLKFGKTWGSLQPFVPET >DRNTG_30669.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3721035:3723105:1 gene:DRNTG_30669 transcript:DRNTG_30669.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHDELVLEVDPKFIKEAGNLLQMSMENAASLLVPLRVKLKFGKTWGSLQPFVPET >DRNTG_20996.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001191.1:8133:9215:-1 gene:DRNTG_20996 transcript:DRNTG_20996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGNQFSGGIPDFLSGFEMLLELQLGANNFKRMIPSSLGRVSSLQIALNLSSNGLTGQIPSEFANLNRLQTLDVSNNNLTGELTSLRDLSSLLQVNVSYNQFTGSLPKNLLNLLVSFPSSFTGNAGLCCPEGEFSCLKNSSLTLCGGSRIRKDLSTIAIVLISLGSFFFCMLLFLGCGCIL >DRNTG_19902.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18279721:18281566:-1 gene:DRNTG_19902 transcript:DRNTG_19902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSIHDLQPNQNPPDFKPVFVSKSHRQTLPILPRRPSSSIDAGNHDLKDDAGNRDRVREKELEAIREQFFGSSKKKRVLSKPGPLNSHWDDTDDTSGDVDVLCRVNPLCGSGFIAGIDRREQRKLAARQKKEAIAQIRYDAFDMRVERHWSEKRLEEMTERDWRIFREDYGISYKGSKIPPPMRGWGESRLSPELLKAVERAGFKTPSPIQMAAIPLGLEQRDVIGIAETGSGKTAAFVLPMLVYISKLPPMNEDSEAEGPYALVLAPTRELALQIEEETVKLARYLGINVVSIVGGQSIEEQGFKLRQGCEVVVATPGRLLDCLERRLLVF >DRNTG_23439.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21272821:21274168:-1 gene:DRNTG_23439 transcript:DRNTG_23439.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKENMVSGCGLPTGRITRSRAAALCRRAEVLPLEQPTAKPDETHVQGGNSKRAVLDDSTCAASHTAVLKHKKRAVLKDVSNACCESSCENLTSAAGIQAMGILQAKSAPSKSKSRRGSKNSKLGSISDGPVMGKDARKNKIPEQVQEEEFSEEEERTGDLVDSEETVLIPQVAGKSTFDKTSIQKCNRKEEPGLLHDLTNAEERELEDPRSLNPLEFTDIDVDHANPQMCSLYATDIYTNLRANEV >DRNTG_23439.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21272462:21274168:-1 gene:DRNTG_23439 transcript:DRNTG_23439.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKENMVSGCGLPTGRITRSRAAALCRRAEVLPLEQPTAKPDETHVQGGNSKRAVLDDSTCAASHTAVLKHKKRAVLKDVSNACCESSCENLTSAAGIQAMGILQAKSAPSKSKSRRGSKNSKLGSISDGPVMGKDARKNKIPEQVQEEEFSEEEERTGDLVDSEETVLIPQVAGKSTFDKTSIQKCNRKEEPGLLHDLTNAEERELEDPRSLNPLEFTDIDVDHANPQMCSLYATDIYTNLRANELIQRPSSNFMEIKAT >DRNTG_10074.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26504983:26506176:-1 gene:DRNTG_10074 transcript:DRNTG_10074.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKLGIKKGPWTPEEDIILVSYIQENGPGNWRSVPTNTGLMRCSKSCRLRWTNYLRPGDQERQLHTS >DRNTG_10074.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26504983:26506176:-1 gene:DRNTG_10074 transcript:DRNTG_10074.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKMKMSSSEVQSQSSTSNDLTFKGLNTETSNSYSSSYASSAQNISRLLEGWKRSPAINNTQAMQQEHSIGNEGFGSLISFEKDSELQSMSMLETWLLDEASGQPFFSNSFSS >DRNTG_31412.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001699.1:77223:78680:-1 gene:DRNTG_31412 transcript:DRNTG_31412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSSSSETECFTPNSILSEGKKPRTKKNAKKQQRKKPIEDEPWPRRSKSGVMLEGYVDVSDGSDTKSDGGDGLVGRTKSLTGEDLEELKGCLDLGFGFSYDGIPELCGTLPALELCYSLSQRFLDEQQQHQQQNPSEPCSSPSPSTPLANWKISSPGDHPEDVKARLKYWAQAVACTVRLCS >DRNTG_03268.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10635071:10641378:1 gene:DRNTG_03268 transcript:DRNTG_03268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNNKCYLLDCGSEIFIWVGRATQLEDRKATSRAAEEFTINQSRPKMTRITQVIQGFETHSFKSNFESWSASTVTASGEEGRGKVAALLKQQRVDMKGMTKGSPLNEEVPPLLEAGGKLEVWCINGSAKTPLPMEEIGKFYGGDCYIIHYTYHSGEKKEEYFLSSWLGKDSIQDDQIMSVQLTNAMFTSLKGRPTLGRIIQGKEPPQFIALFQPMVVLKGGVSSGYKMSILEKNLNDDTYSSDGIALLQIFGTSSHNNKALQVDAVSFNHFLWLVPIGIDVAELACDATEGMSATARSYKEERPTQAGTVVRLVAGTVLVDRDEHRSTESDCVFSLT >DRNTG_34571.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1462349:1463212:-1 gene:DRNTG_34571 transcript:DRNTG_34571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGGSQAAVSFLTNVARIAFGLGAGATLLNSSLYTVDGGQRAVLFDRFRGVLDQTVGEGTHFLIPWLQKPYIFDIRTRPHTFTSNSGTKDLQMVNLTLRLLSRPDVPYLPTIFKSLGTEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPHVSALVRDALIRRARDFNIVLDDVAITHLSYGAEFSSAVEKKQVAQQEAERSKFLVAKAEQERRAAVIRAEGESEAAKLISEATAATGMGLLELRRIEAAREIAGTLAKSPNVAYLPGGNQMLLGLNPNSLGR >DRNTG_27876.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10439493:10442121:1 gene:DRNTG_27876 transcript:DRNTG_27876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVRLTHYADIPDGHSFLGIPRYYRHSLLVLQRAVDKWNNHKELKFSVNFGDIVDGFCPKEKSLETMQTVIKEFEKFNGPVYHMIGNHCLYNLPRSKLVPLLKMPANHGCAYFDFSPYPGYRFVVLDAYDISAIGWPKDHPKTMAAMKFLQEKNPNADKNSPSGLSGLEKRFVMFNGAVGKEQLTWLDNILQDSTRQQQNVIICCHLPLDPNAAAAESLCWNYDEVMEVIHRYKCVKACLAGHDHRGGHSLDSHGIHHHVFEAALECPPNTNAFGYIDVYHGKITLFGIDRMVTVEMLFN >DRNTG_05999.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19577123:19582449:-1 gene:DRNTG_05999 transcript:DRNTG_05999.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAKTIQMAPTKKNTPEISKEREMRGGGGGGTAAGYFEGSIEERQNEEEKQAYQA >DRNTG_05999.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19577123:19582449:-1 gene:DRNTG_05999 transcript:DRNTG_05999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAKTIQMAPTKKNTPEISKEREMRGGGGGGTAAGYFEGSIEERQNEEEKQAYQA >DRNTG_05999.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19577123:19582449:-1 gene:DRNTG_05999 transcript:DRNTG_05999.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAKTIQMAPTKKNTPEISKEREMRGGGGGGTAAGYFEGSIEERQNEEEKQAYQA >DRNTG_05999.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19577123:19577492:-1 gene:DRNTG_05999 transcript:DRNTG_05999.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAKTIQMAPTKKNTPEISKEREMRGGGGGGTAAGYFEGSIEERQNEEEKQAYQA >DRNTG_26560.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20287388:20289551:-1 gene:DRNTG_26560 transcript:DRNTG_26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin-A13 [Source:Projected from Arabidopsis thaliana (AT3G03220) UniProtKB/Swiss-Prot;Acc:Q9M9P0] MPQFPFPLLLLILFPLAGATRPPSSYSSAALTEWRHARASYYAAADPRDSVGGACGYGDLSRAGYGMATAGLSEALFEKGAACGGCYEVRCVEELRFCIAGTSIAVTATNFCAPNYGLPSDAGGLCNPPNHHFVMPIAAFEKIAIWKAGVMPIQYRRVKCVREGGLRFTIAGQGYFYSVLISNVAGAGDVTAVKIKGSMTGWLSMGRNWGQNWHINADLKGQALSFEVTTSDGVTLTTYNVAPKDWSFGRTYIGKQFPF >DRNTG_33546.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002017.1:3659:6978:-1 gene:DRNTG_33546 transcript:DRNTG_33546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSGFQQQQQAPQVENRISGLETRMTDLEKHLARFVQSANTRFESVEAILHNHTASLHNLENQVVQIAKSLSERPHGSLPSNTETNLREHVKAIALRSGREVEGRLPNTTDEIVDEYIQEMFNPDPYEGLFDQEESNEEVMMVGSTGEESSTLGILKKVLRKMKRARRRHRKCPKTVGDIMAPRTKK >DRNTG_02085.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10331697:10334462:1 gene:DRNTG_02085 transcript:DRNTG_02085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYCGKYHDELIANAAYIGTPGKGILAADESTGTIGKRLASINVENVESNRRALRELLFCTPGALQYISGVILFEETLYQKTASGKPFVDVLKEAGVLPGIKVDKGTIELAGTNGETTTQGHDDLGKRCAKYYEAGARFAKWRSVLKIGPTEPSQLAINLNADGLARYAIICQENGLVPIVEPEILVDGPHDIKLCADVTERVVAACYKALNDHHVLLEGTLLKPNMVTPGSDAAKVAPEVVAEYTVRALLRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLKGKKPWSLSFSFGRALQQSTLKTWQGKEENVQKAQAAFLARCKANSEATLGAYKGDAAQGGLASESLHEKDYKY >DRNTG_20872.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:249380:257997:-1 gene:DRNTG_20872 transcript:DRNTG_20872.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPDKRFSEFVDLVKSWMSRRSEPTNVSRDFWMPDHSCRVCYECDSQFTVFNRRHHCRLCGRVFCAKCTANSVPVPSDDPKNSREEWERIRVCNYCFKQWGQDVTLVDNGIQVSSPVLSPSISTTSLVSSKSSGTENSSIATAVSTSHSTAGFQRASYVFVPSPSHTVQIEPCSDKQAMTISGRNIDSVMDANHVGNPSSNQLSFCINRSDDEDEEYGACHFDSGSQHFPHFGGYYGPAEFDDVDQGYPMNNVCHAEENGDPTEIVSPICNNTEFHTSLGAGKVAEAEHVNSFDCDASSTIYRIEDTDADPVDYENTRQLWLPPEPEDEEDEKEAILYDDDEEDASGEWGYLRSSNSFGSGEFRSRDRSTEEHKKAMKNVVDGHFRALVAQLLQVENISVGDEEGKESWLEIITSLSWEAATILKPDTSKGGGMDPGGYVKIKCIACGHRSESMVVKGVVCKKNIAHRRMASKMIKPRFLILGGALEYHRITNQLSSFDTLLQQVPPSSLVTGNGSLEDGSCQDRVSPPKCSVG >DRNTG_20872.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:249380:257415:-1 gene:DRNTG_20872 transcript:DRNTG_20872.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPDKRFSEFVDLVKSWMSRRSEPTNVSRDFWMPDHSCRVCYECDSQFTVFNRRHHCRLCGRVFCAKCTANSVPVPSDDPKNSREEWERIRVCNYCFKQWGQDVTLVDNGIQVSSPVLSPSISTTSLVSSKSSGTENSSIATAVSTSHSTAGFQRASYVFVPSPSHTVQIEPCSDKQAMTISGRNIDSVMDANHVGNPSSNQLSFCINRSDDEDEEYGACHFDSGSQHFPHFGGYYGPAEFDDVDQGYPMNNVCHAEENGDPTEIVSPICNNTEFHTSLGAGKVAEAEHVNSFDCDASSTIYRIEDTDADPVDYENTRQLWLPPEPEDEEDEKEAILYDDDEEDASGEWGYLRSSNSFGSGEFRSRDRSTEEHKKAMKNVVDGHFRALVAQLLQVENISVGDEEGKESWLEIITSLSWEAATILKPDTSKGGGMDPGGYVKIKCIACGHRSESMVVKGVVCKKNIAHRRMASKMIKPRFLILGGALEYHRITNQLSSFDTLLQQVPPSSLVTGNGSLEDGSCQDRVSPPKCSVG >DRNTG_20872.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:249380:257997:-1 gene:DRNTG_20872 transcript:DRNTG_20872.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPDKRFSEFVDLVKSWMSRRSEPTNVSRDFWMPDHSCRVCYECDSQFTVFNRRHHCRLCGRVFCAKCTANSVPVPSDDPKNSREEWERIRVCNYCFKQWGQDVTLVDNGIQVSSPVLSPSISTTSLVSSKSSGTENSSIATAVSTSHSTAGFQRASYVFVPSPSHTVQIEPCSDKQAMTISGRNIDSVMDANHVGNPSSNQLSFCINRSDDEDEEYGACHFDSGSQHFPHFGGYYGPAEFDDVDQGYPMNNVCHAEENGDPTEIVSPICNNTEFHTSLGAGKVAEAEHVNSFDCDASSTIYRIEDTDADPVDYENTRQLWLPPEPEDEEDEKEAILYDDDEEDASGEWGYLRSSNSFGSGEFRSRDRSTEEHKKAMKNVVDGHFRALVAQLLQVENISVGDEEGKESWLEIITSLSWEAATILKPDTSKGGGMDPGGYVKIKCIACGHRSESMVVKGVVCKKNIAHRRMASKMIKPRFLILGGALEYHRITNQLSSFDTLLQQEMDHLKMAVAKIESHHPNVLLVEKSVSRFAQDYLLDRNISLVLNIKRPLLERIARCTGAQIIPSIDHLSTPKLGHCDYFHVEKFVEEHGSAGQKGKKLLKTLMFFEGCPKPLGCTILLKGSNGDELKKVKHVVQYGVFAAYHLALETSFLADEGASLPELPLKSPITVALPDKPSSVDRSISTIPGFTTSASKSVGSDIERSKTSSNEKMEAMFSCLTGIVGSKCSQPHSTIITPCSNMNDFAQSNNEECGVEPKIRSCLSSQLSL >DRNTG_08246.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:116358:116810:1 gene:DRNTG_08246 transcript:DRNTG_08246.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTGSNSVNSVPTCLATSHPKEVSCEHGGVYLFILSTPKSKHPSHQKANVPSPCEAHHPEEP >DRNTG_22164.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3791129:3796769:1 gene:DRNTG_22164 transcript:DRNTG_22164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSRKENNWYENWSRRSRLRSGTRLLASVLCAKEEAPSNANQGSVSDTSETFSNKVAENHIQEHSDDDDDDDDDDDDDVDADYQLFLKHLRFDGKSYVTELIIDGIPTLVRYEGEDDVFSDLTESKPMRMFDVSKEEKSCVGGMELKRDGHGPNSGESLHSEHPLVGNGVVQGTLTDEEYRMFLDHVRDDDGLMVFEMDNGGIVRYEEGVVYEEERRYEKSSGNDEDMVKEGSINSSKMELQPYMGSTISLDSDDDDVSLAFEDRLKGILEVPYDQNEYEELLKVASVRMPIIRHRQLRHRSSPYPTKDLGFSYFDHYPDLAEKVHHADHPEGLNLLRGFFFWLKNVSHEGAYMPWASPSSKDMLADGSDSVIPLQIEMNID >DRNTG_22164.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3791818:3796769:1 gene:DRNTG_22164 transcript:DRNTG_22164.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSRKENNWYENWSRRSRLRSGTRLLASVLCAKEEAPSNANQGSVSDTSETFSNKVAENHIQEHSDDDDDDDDDDDDDVDADYQLFLKHLRFDGKSYVTELIIDGIPTLVRYEGEDDVFSDLTESKPMRMFDVSKEEKSCVGGMELKRDGHGPNSGESLHSEHPLVGNGVVQGTLTDEEYRMFLDHVRDDDGLMVFEMDNGGIVRYEEGVVYEEERRYEKSSGNDEDMVKEGSINSSKMELQPYMGSTISLDSDDDDVSLAFEDRLKGILEVPYDQNEYEELLKVASVRMPIIRHRQLRHRSSPYPTKDLGFSYFDHYPDLAEKVHHADHPEGLNLLRGFFFWLKNVSHEGAYMPWASPSSKDMLADGSDSVIPLQIEMNID >DRNTG_33795.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32508292:32511263:-1 gene:DRNTG_33795 transcript:DRNTG_33795.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRFPSDVSPMPSSISHPSLTLAINADDGQHPFVSSQSSGMSTTSAHNHRTVDTQFSSLSSKKKRRRKRSEMVQKEVIQIESGGESDTDSVVFISANSSDHKKKQPVVYVNDDEEEIKPNLAPESTVPCEQKEVVGEEIDINVKAFKQFDLVCDHSDHYYSKATVVRTRNWAKSIQNEWKVLENNLPETIFVRAFEDRMDILRAVIIGPDETPYHDGLFFFDIQFPANYPQSPPVVYYHSGGLRLNPNLYANGKVCLSLLNTWSGSGCELWKPSKSTMLQVLVSIQALILNSKPYFNEPGFPRLANTKKGQESSIAYNRETFLSSCRTMLYSLRRPPKHFEDFVTEHFRNKGIAILLACKSYMEGALVGCAGKRDESCPPQFKSSLKTLFQDLRKEFMTKGVDCEQVCVAEMNGTDKPLQSALPQLPMAWTAAALPQPSSFMPMPMFPAGPLTDPQTNAPWNFPGFPIAMPPGTSLAPAEMSDFLGVINGAFPFT >DRNTG_12120.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1868999:1870183:-1 gene:DRNTG_12120 transcript:DRNTG_12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEISDELLSAVVPVIVYWVFSFFYEFLAQHCVNYRLHPIGEEEEKNTVSRSKVIKGVLTHQALQILTVCIVTKVWEEKGEAITVQHSLPRIAVQFVIGMAMIDTVQYFGHRYMHENKFLYKHTHSAHHALVVPYVYGAQYGSLLDGLVLDTMGSALAFVVSGMTVRTSIYFYPSPSSRT >DRNTG_11657.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:175718:178334:1 gene:DRNTG_11657 transcript:DRNTG_11657.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit F [Source:Projected from Arabidopsis thaliana (AT2G39990) UniProtKB/Swiss-Prot;Acc:O04202] MASSKQTVLPFLPSSAGFTARIHPVVLFNICDCYVRRPDQAERVIGTLLGSVSPDGTVEIRNTYAVPHSEPGDQVAIDVDYHHNMYASHQKVNPKEVIVGWFSTGLGVSGRSALIHEFYSREVTNPVHLTVDTGFTDGEASIKAYISINLSLGDRQLAAQFQEIPLDFRMVEAEQVGFDILKTTMVDKLPNDLEGMEASMERLLALIDDVYKYVDGVVEGNVAPDNNNGRLISDALSSIPKMSPAVFDKVFNDKIQDNLALVYLSSLTRTQLSIAEKLNTAAQIL >DRNTG_11067.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5891047:5891543:1 gene:DRNTG_11067 transcript:DRNTG_11067.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAIKMAWQRKAAERYRALMCSLRKGKEKSMHVSDSAWKTWTEAWNSPEFKTRCEKATANRLTEIAGPGSGISRHTGGSISHASHADRLRSRLGRDPRPFELFEVTHTKKGTSMLVDT >DRNTG_11067.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5890226:5891543:1 gene:DRNTG_11067 transcript:DRNTG_11067.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAIDNPTSSMDCLPLTIDELRRPRIKLVNGMLHPSDVCARKITFIFKERMDENGYSWKNVSKETKDFYWNEFQKFFVWDESMLSAIKMAWQRKAAERYRALMCSLRKGKEKSMHVSDSAWKTWTEAWNSPEFKTRCEKATANRLTEIAGPGSGISRHTGGSISHASHADRLRSRLGRDPRPFELFEVTHTKKGTSMLVDT >DRNTG_00656.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16459095:16466125:1 gene:DRNTG_00656 transcript:DRNTG_00656.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHRPIPGPGSGSGLHFLNSPFGDTTFTKVFVGGLAWETQSDTLRRHFEQFGDILEAVVITDKNTGRSKGYGFVSISSKNLDFQWFY >DRNTG_00656.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16459095:16466125:1 gene:DRNTG_00656 transcript:DRNTG_00656.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHRPIPGPGSGSGLHFLNSPFGDTTFTKVFVGGLAWETQSDTLRRHFEQFGDILEAVVITDKNTGRSKGYGFVTFSDPESARRACVDPSPIIDGRRANCNLASLGRPRPPLPYSQVRSTGPYYGAIPVVRGAYVGSPSYNQPIPYNYQQGFAYPQYGYTSYGPEYVYPQNVYTPYTGQQYIQVYGIPGTINPTNYPISQMGHALPPGHGYAAVQGYTMPGHHLVQIAGPNVSGATAAPVPAIPAYHAGLAAGPFPAQPQFLGPAHSPQFTQGSGSDQTAS >DRNTG_15942.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000790.1:34557:37592:1 gene:DRNTG_15942 transcript:DRNTG_15942.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLVQQVHSHYCRQHHHLHLNLLFQMTLSHHHHHHHHHMHLLSQLARSHHCRHHYHNHHQMNFLTPVTYSSPHHPFPPPHHHDHQHFPPHSLPTHHHRHHHPFRPHPLPPHHIPPPPHHHHHQPHLLLKMFHQMMTDQPLLFSWDSLLP >DRNTG_15942.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000790.1:34557:36804:1 gene:DRNTG_15942 transcript:DRNTG_15942.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLVQQVHSHYCRQHHHLHLNLLFQMTLSHHHHHHHHHMHLLSQLARSHHCRHHYHNHHQMNFLTPVTYSSPHHPFPPPHHHDHQHFPPHSLPTHHHRHHHPFRPHPLPPHHIPPPPHHHHHQPV >DRNTG_31645.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:867504:870902:-1 gene:DRNTG_31645 transcript:DRNTG_31645.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHALLLLFIFIIFISTSSPSSDQDLLLTFKTSINGSLQSLSNWSPTTPTCNFTGISCSTSSPPSITSLDLQNLNLSGSISFSSLCQLHHLSQLNLAHNLFNQPIPLSISQCTSLTSLNFSNNLLWGTLPDQFTQLTFLTVLDLSHNQLEGQIPLVLGSLQSLQVLNLGSNLFSGSVHPSIFANLTHLMHLDLSKNPSLSSELPVELGKLSKIKRIFMQGCGFFAGIPESILVLHELEVLDLSRNNLTGRIPLGFGLGLPKLLSLDLSQNRMYGSFPADVCYGKSLQELSLHANSFTGFVPDSIGKCSSLERIQIQDNEFYGQFPSGLWSLPEIRIVRAENNRFSGELPDFVKVPSLLEQIQIDNNSFTGRIPKGIGQINTLYRFSASFNGFHGDIPDNLCDSPVLSIIDLSHNSLSGSIPDLRKCKKLVSLYLADNSFTGSIPLSLAYLPVLTYIDLSSNNLSGEIPQELQNLKLALFNVSFNQLSGRVPFSLVSGLPASFLQGNPGLCGPGLPNQCGNTTSAKSSPGSRLIFAAIAISFVFGFMVLALGLFVVYRLSCKKSQTGNWRSIFFYSLGIREDELLMSLDEKNIIGRGIFGDVYKIKLPTGECIAVKKLLNSSKLPFRTAKTEIRKLAKTRQQNLAKLLGFCHSESVVLLIHEYLSNGSLGDSLCSLESPLDWRVRLQIALSAARGVAYLHKDHSPRLLHRNIKSNNILLDMDFEPKITGFALDHVIGESSFQSILASELDSCCYIAPEQKCSKKATEQMDVYSFGVVLLELITGKKAEIPDSRDSIDIVTWVRRKINMTNAEHQILDSNISNSFQQEMLSVLELALCCISVVPDKRPSMFEVVQSLQSVYTGFQSPKFNSGESSFSIEH >DRNTG_31645.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:867456:870902:-1 gene:DRNTG_31645 transcript:DRNTG_31645.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHALLLLFIFIIFISTSSPSSDQDLLLTFKTSINGSLQSLSNWSPTTPTCNFTGISCSTSSPPSITSLDLQNLNLSGSISFSSLCQLHHLSQLNLAHNLFNQPIPLSISQCTSLTSLNFSNNLLWGTLPDQFTQLTFLTVLDLSHNQLEGQIPLVLGSLQSLQVLNLGSNLFSGSVHPSIFANLTHLMHLDLSKNPSLSSELPVELGKLSKIKRIFMQGCGFFAGIPESILVLHELEVLDLSRNNLTGRIPLGFGLGLPKLLSLDLSQNRMYGSFPADVCYGKSLQELSLHANSFTGFVPDSIGKCSSLERIQIQDNEFYGQFPSGLWSLPEIRIVRAENNRFSGELPDFVKVPSLLEQIQIDNNSFTGRIPKGIGQINTLYRFSASFNGFHGDIPDNLCDSPVLSIIDLSHNSLSGSIPDLRKCKKLVSLYLADNSFTGSIPLSLAYLPVLTYIDLSSNNLSGEIPQELQNLKLALFNVSFNQLSGRVPFSLVSGLPASFLQGNPGLCGPGLPNQCGNTTSAKSSPGSRLIFAAIAISFVFGFMVLALGLFVVYRLSCKKSQTGNWRSIFFYSLGIREDELLMSLDEKNIIGRGIFGDVYKIKLPTGECIAVKKLLNSSKLPFRTAKTEIRKLAKTRQQNLAKLLGFCHSESVVLLIHEYLSNGSLGDSLCSLESPLDWRVRLQIALSAARGVAYLHKDHSPRLLHRNIKSNNILLDMDFEPKITGFALDHVIGESSFQSILASELDSCCYIAPEQKCSKKATEQMDVYSFGVVLLELITGKKAEIPDSRDSIDIVTWVRRKINMTNAEHQILDSNISNSFQQEMLSVLELALCCISVVPDKRPSMFEVVQSLQSVYTGFQSPKFNSGESSFSIEH >DRNTG_31645.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:867504:868223:-1 gene:DRNTG_31645 transcript:DRNTG_31645.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDYKALALLMIENVITEQKCSKKATEQMDVYSFGVVLLELITGKKAEIPDSRDSIDIVTWVRRKINMTNAEHQILDSNISNSFQQEMLSVLELALCCISVVPDKRPSMFEVVQSLQSVYTGFQSPKFNSGESSFSIEH >DRNTG_31645.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:867504:870936:-1 gene:DRNTG_31645 transcript:DRNTG_31645.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHALLLLFIFIIFISTSSPSSDQDLLLTFKTSINGSLQSLSNWSPTTPTCNFTGISCSTSSPPSITSLDLQNLNLSGSISFSSLCQLHHLSQLNLAHNLFNQPIPLSISQCTSLTSLNFSNNLLWGTLPDQFTQLTFLTVLDLSHNQLEGQIPLVLGSLQSLQVLNLGSNLFSGSVHPSIFANLTHLMHLDLSKNPSLSSELPVELGKLSKIKRIFMQGCGFFAGIPESILVLHELEVLDLSRNNLTGRIPLGFGLGLPKLLSLDLSQNRMYGSFPADVCYGKSLQELSLHANSFTGFVPDSIGKCSSLERIQIQDNEFYGQFPSGLWSLPEIRIVRAENNRFSGELPDFVKVPSLLEQIQIDNNSFTGRIPKGIGQINTLYRFSASFNGFHGDIPDNLCDSPVLSIIDLSHNSLSGSIPDLRKCKKLVSLYLADNSFTGSIPLSLAYLPVLTYIDLSSNNLSGEIPQELQNLKLALFNVSFNQLSGRVPFSLVSGLPASFLQGNPGLCGPGLPNQCGNTTSAKSSPGSRLIFAAIAISFVFGFMVLALGLFVVYRLSCKKSQTGNWRSIFFYSLGIREDELLMSLDEKNIIGRGIFGDVYKIKLPTGECIAVKKLLNSSKLPFRTAKTEIRKLAKTRQQNLAKLLGFCHSESVVLLIHEYLSNGSLGDSLCSLESPLDWRVRLQIALSAARGVAYLHKDHSPRLLHRNIKSNNILLDMDFEPKITGFALDHVIGESSFQSILASELDSCCYIAPEQKCSKKATEQMDVYSFGVVLLELITGKKAEIPDSRDSIDIVTWVRRKINMTNAEHQILDSNISNSFQQEMLSVLELALCCISVVPDKRPSMFEVVQSLQSVYTGFQSPKFNSGESSFSIEH >DRNTG_31645.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:867504:870838:-1 gene:DRNTG_31645 transcript:DRNTG_31645.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHALLLLFIFIIFISTSSPSSDQDLLLTFKTSINGSLQSLSNWSPTTPTCNFTGISCSTSSPPSITSLDLQNLNLSGSISFSSLCQLHHLSQLNLAHNLFNQPIPLSISQCTSLTSLNFSNNLLWGTLPDQFTQLTFLTVLDLSHNQLEGQIPLVLGSLQSLQVLNLGSNLFSGSVHPSIFANLTHLMHLDLSKNPSLSSELPVELGKLSKIKRIFMQGCGFFAGIPESILVLHELEVLDLSRNNLTGRIPLGFGLGLPKLLSLDLSQNRMYGSFPADVCYGKSLQELSLHANSFTGFVPDSIGKCSSLERIQIQDNEFYGQFPSGLWSLPEIRIVRAENNRFSGELPDFVKVPSLLEQIQIDNNSFTGRIPKGIGQINTLYRFSASFNGFHGDIPDNLCDSPVLSIIDLSHNSLSGSIPDLRKCKKLVSLYLADNSFTGSIPLSLAYLPVLTYIDLSSNNLSGEIPQELQNLKLALFNVSFNQLSGRVPFSLVSGLPASFLQGNPGLCGPGLPNQCGNTTSAKSSPGSRLIFAAIAISFVFGFMVLALGLFVVYRLSCKKSQTGNWRSIFFYSLGIREDELLMSLDEKNIIGRGIFGDVYKIKLPTGECIAVKKLLNSSKLPFRTAKTEIRKLAKTRQQNLAKLLGFCHSESVVLLIHEYLSNGSLGDSLCSLESPLDWRVRLQIALSAARGVAYLHKDHSPRLLHRNIKSNNILLDMDFEPKITGFALDHVIGESSFQSILASELDSCCYIAPEQKCSKKATEQMDVYSFGVVLLELITGKKAEIPDSRDSIDIVTWVRRKINMTNAEHQILDSNISNSFQQEMLSVLELALCCISVVPDKRPSMFEVVQSLQSVYTGFQSPKFNSGESSFSIEH >DRNTG_31645.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:867456:870838:-1 gene:DRNTG_31645 transcript:DRNTG_31645.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHALLLLFIFIIFISTSSPSSDQDLLLTFKTSINGSLQSLSNWSPTTPTCNFTGISCSTSSPPSITSLDLQNLNLSGSISFSSLCQLHHLSQLNLAHNLFNQPIPLSISQCTSLTSLNFSNNLLWGTLPDQFTQLTFLTVLDLSHNQLEGQIPLVLGSLQSLQVLNLGSNLFSGSVHPSIFANLTHLMHLDLSKNPSLSSELPVELGKLSKIKRIFMQGCGFFAGIPESILVLHELEVLDLSRNNLTGRIPLGFGLGLPKLLSLDLSQNRMYGSFPADVCYGKSLQELSLHANSFTGFVPDSIGKCSSLERIQIQDNEFYGQFPSGLWSLPEIRIVRAENNRFSGELPDFVKVPSLLEQIQIDNNSFTGRIPKGIGQINTLYRFSASFNGFHGDIPDNLCDSPVLSIIDLSHNSLSGSIPDLRKCKKLVSLYLADNSFTGSIPLSLAYLPVLTYIDLSSNNLSGEIPQELQNLKLALFNVSFNQLSGRVPFSLVSGLPASFLQGNPGLCGPGLPNQCGNTTSAKSSPGSRLIFAAIAISFVFGFMVLALGLFVVYRLSCKKSQTGNWRSIFFYSLGIREDELLMSLDEKNIIGRGIFGDVYKIKLPTGECIAVKKLLNSSKLPFRTAKTEIRKLAKTRQQNLAKLLGFCHSESVVLLIHEYLSNGSLGDSLCSLESPLDWRVRLQIALSAARGVAYLHKDHSPRLLHRNIKSNNILLDMDFEPKITGFALDHVIGESSFQSILASELDSCCYIAPEQKCSKKATEQMDVYSFGVVLLELITGKKAEIPDSRDSIDIVTWVRRKINMTNAEHQILDSNISNSFQQEMLSVLELALCCISVVPDKRPSMFEVVQSLQSVYTGFQSPKFNSGESSFSIEH >DRNTG_31645.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:867456:870936:-1 gene:DRNTG_31645 transcript:DRNTG_31645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHALLLLFIFIIFISTSSPSSDQDLLLTFKTSINGSLQSLSNWSPTTPTCNFTGISCSTSSPPSITSLDLQNLNLSGSISFSSLCQLHHLSQLNLAHNLFNQPIPLSISQCTSLTSLNFSNNLLWGTLPDQFTQLTFLTVLDLSHNQLEGQIPLVLGSLQSLQVLNLGSNLFSGSVHPSIFANLTHLMHLDLSKNPSLSSELPVELGKLSKIKRIFMQGCGFFAGIPESILVLHELEVLDLSRNNLTGRIPLGFGLGLPKLLSLDLSQNRMYGSFPADVCYGKSLQELSLHANSFTGFVPDSIGKCSSLERIQIQDNEFYGQFPSGLWSLPEIRIVRAENNRFSGELPDFVKVPSLLEQIQIDNNSFTGRIPKGIGQINTLYRFSASFNGFHGDIPDNLCDSPVLSIIDLSHNSLSGSIPDLRKCKKLVSLYLADNSFTGSIPLSLAYLPVLTYIDLSSNNLSGEIPQELQNLKLALFNVSFNQLSGRVPFSLVSGLPASFLQGNPGLCGPGLPNQCGNTTSAKSSPGSRLIFAAIAISFVFGFMVLALGLFVVYRLSCKKSQTGNWRSIFFYSLGIREDELLMSLDEKNIIGRGIFGDVYKIKLPTGECIAVKKLLNSSKLPFRTAKTEIRKLAKTRQQNLAKLLGFCHSESVVLLIHEYLSNGSLGDSLCSLESPLDWRVRLQIALSAARGVAYLHKDHSPRLLHRNIKSNNILLDMDFEPKITGFALDHVIGESSFQSILASELDSCCYIAPEQKCSKKATEQMDVYSFGVVLLELITGKKAEIPDSRDSIDIVTWVRRKINMTNAEHQILDSNISNSFQQEMLSVLELALCCISVVPDKRPSMFEVVQSLQSVYTGFQSPKFNSGESSFSIEH >DRNTG_08161.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000368.1:32516:34042:-1 gene:DRNTG_08161 transcript:DRNTG_08161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPALKVLVLKENRFFGHLTGICEGNHFMMLQIFDISSNRYTGSFSSDCFKSMKAMMVHQGPTETIGYTNDSLVTSSYRDIVTVNLKGLEIELVKILTTFTSIDLSNNRFVGNIPQVLGDLKSLHALNMSLNAFTGEIPQALGDMIELEALDLSGNQLSGVIPSSLTSLYFLAFLNLSNNNLVGRIPQSNQFSTFLNSSFEGNPGLCGNPLSRDCINSTSVEPSSNSTNAPTEFDVDKIWFWMFTGLGYGVGFASVIIYQLFFPKWKMWYKRRFMNR >DRNTG_04567.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:7820908:7826269:1 gene:DRNTG_04567 transcript:DRNTG_04567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGQYSNTIKNALLLYCTWENEGTTSVQIETSTCRTGSFVRPRIHLAGSMPTKALPIAFFQTTRDASLKIGAESALNRVGIGQLHRRGRYTRPCGFSVSLISRPAVNSAATVLATLLLRCSATVFDLNNVPIPYFHRDGMLECDCLCAPPNGCSHSHARRLAHTLASHTSPMSSRLNLSKISSKIEYTLEKLRDISLPSNKIFIRVARELHEHGSPHSLVLIQLEGRAQVTNQRLFDIVSPSSSVIFHPNIQSPKSSKDVKAYIEKGGYFVDWGTFHEVSRKWRNRGQALDDVYDDALNSTSAEEALQIIRRNAPRIFTL >DRNTG_34858.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21998791:22001394:1 gene:DRNTG_34858 transcript:DRNTG_34858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITEGVNNLNVSDTHKKNRIQVSNTKKPLFFYVNLAKRYMQQHEEVELSALGMAIATVVTIAEILKNNGLAVEKKIMTSTVDMKDETRGRPIQKAKIEILLGKTENFDELMAAAAEEREAADAEGQN >DRNTG_03587.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8221756:8224302:1 gene:DRNTG_03587 transcript:DRNTG_03587.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCIQRIFVNYLQGNPVDAIDKSIDKHFPKWFENFVANEVNQVIDPLLQSLAWGPSMKATTWPGYFINGYNFHTVTHSMEKATMNSGICVQGSNVEDTCTDFYGLLQDVVQLEYYGNRWNRIVLFECTWFDPINGTRVHPIYNLVDVNRKKMYPKYDPFVLAQQAIQVNYIEYPSMKKDKVDWLAVCKTKARRMVEASWPGKDNTAYQMEEITSNPVVSILQEIPSLVDPQGIQQFVDLSEGLQDISESETEEDEEDEEIV >DRNTG_03587.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8221756:8224302:1 gene:DRNTG_03587 transcript:DRNTG_03587.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKATTWPGYFINGYNFHTVTHSMEKATMNSGICVQGSNVEDTCTDFYGLLQDVVQLEYYGNRWNRIVLFECTWFDPINGTRVHPIYNLVDVNRKKMYPKYDPFVLAQQAIQVNYIEYPSMKKDKVDWLAVCKTKARRMVEASWPGKDNTAYQMEEITSNPVVSILQEIPSLVDPQGIQQFVDLSEGLQDISESETEEDEEDEEIV >DRNTG_03587.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8223285:8224302:1 gene:DRNTG_03587 transcript:DRNTG_03587.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKATTWPGYFINGYNFHTVTHSMEKATMNSGICVQGSNVEDTCTDFYGLLQDVVQLEYYGNRWNRIVLFECTWFDPINGTRVHPIYNLVDVNRKKMYPKYDPFVLAQQAIQVNYIEYPSMKKDKVDWLAVCKTKARRMVEASWPGKDNTAYQMEEITSNPVVSILQEIPSLVDPQGIQQFVDLSEGLQDISESETEEDEEDEEIV >DRNTG_03587.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8221756:8224302:1 gene:DRNTG_03587 transcript:DRNTG_03587.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKATTWPGYFINGYNFHTVTHSMEKATMNSGICVQGSNVEDTCTDFYGLLQDVVQLEYYGNRWNRIVLFECTWFDPINGTRVHPIYNLVDVNRKKMYPKYDPFVLAQQAIQVNYIEYPSMKKDKVDWLAVCKTKARRMVEASWPGKDNTAYQMEEITSNPVVSILQEIPSLVDPQGIQQFVDLSEGLQDISESETEEDEEDEEIV >DRNTG_03587.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8216451:8224302:1 gene:DRNTG_03587 transcript:DRNTG_03587.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFLPSTSGVANEVNQVIDPLLQSLAWGPSMKATTWPGYFINGYNFHTVTHSMEKATMNSGICVQGSNVEDTCTDFYGLLQDVVQLEYYGNRWNRIVLFECTWFDPINGTRVHPIYNLVDVNRKKMYPKYDPFVLAQQAIQVNYIEYPSMKKDKVDWLAVCKTKARRMVEASWPGKDNTAYQMEEITSNPVVSILQEIPSLVDPQGIQQFVDLSEGLQDISESETEEDEEDEEVDNKYEETDDDDDDDDDLGEHDF >DRNTG_35077.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19578346:19580155:-1 gene:DRNTG_35077 transcript:DRNTG_35077.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDQRRGDHQGAAGELDNEESREWDLDLSPRHGHQRSRKPSKLCFLGMETTT >DRNTG_00848.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11380593:11380963:-1 gene:DRNTG_00848 transcript:DRNTG_00848.1 gene_biotype:protein_coding transcript_biotype:protein_coding RERELRKSTHNMDLNYNIKNKKKCSKSN >DRNTG_13880.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2556683:2560963:1 gene:DRNTG_13880 transcript:DRNTG_13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDFKDYCEICFKEFGDRVKHWITLNEPWSFSSMGYGLGRHAPGRCSQILGCSVGDSIVEPYIVTHNLLLAHGAAARLYKDKYQGTQGGQVGITLVCMWYHPYDQTHKHVEAASRALDFMLAWYLDPLLHGDYPFNMRAIVRDRLPTFSKEEADMIKGSYDFIGINYYTARYAREVPYSQAPPFLHINESYAEQLEAKDGVPIGVSNGSWIYVYPRGLRDLLLYIKRRYENPAIYITENGISNVDKSDIPKEEALADEMRKNYLAVHLAEICDAIREGANVKGYFAWSLMDNYEWEKGYTERFGLNYVDYNTLERTPKDSAKWFSKFLQPKPQN >DRNTG_13880.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2557408:2560963:1 gene:DRNTG_13880 transcript:DRNTG_13880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFDFKDYCEICFKEFGDRVKHWITLNEPWSFSSMGYGLGRHAPGRCSQILGCSVGDSIVEPYIVTHNLLLAHGAAARLYKDKYQGTQGGQVGITLVCMWYHPYDQTHKHVEAASRALDFMLAWYLDPLLHGDYPFNMRAIVRDRLPTFSKEEADMIKGSYDFIGINYYTARYAREVPYSQAPPFLHINESYAEQLEAKDGVPIGVSNGSWIYVYPRGLRDLLLYIKRRYENPAIYITENGRALTKYNRKI >DRNTG_13880.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2556683:2560963:1 gene:DRNTG_13880 transcript:DRNTG_13880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNFLSFQGTQGGQVGITLVCMWYHPYDQTHKHVEAASRALDFMLAWYLDPLLHGDYPFNMRAIVRDRLPTFSKEEADMIKGSYDFIGINYYTARYAREVPYSQAPPFLHINESYAEQLGKFFQKLKEFYLANFF >DRNTG_01733.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4106911:4108859:1 gene:DRNTG_01733 transcript:DRNTG_01733.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:Projected from Arabidopsis thaliana (AT2G18370) UniProtKB/TrEMBL;Acc:A0A178VTK3] MINMRIQTVVTLLLMIIFLQNFIINSEGVIQCSDVLKDISSCTSYLKSGSGSPSAACCSGISKLNSAASTSADKKAACKCLLSAAQKIKPDAAAAKGLPSSCGISLPYTISTSIDCSKVN >DRNTG_31381.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11317407:11318296:1 gene:DRNTG_31381 transcript:DRNTG_31381.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSRRGEYWGKMYSNITESFNAWIKEARHLLVTKMVYSIRFKLMRMLCNRCEQANKWETNLCPDIHLKTDTNVHRFISGYFTIDNYKLAYKEAIFPIPDNNKPTDGNRELRLR >DRNTG_06932.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16832521:16835560:-1 gene:DRNTG_06932 transcript:DRNTG_06932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLIWKDRCNYIFKNLAPRFDILISRACSICSDYFIASGKIYREFSNPLSPLPTLPSITLFTDASWHSETTGKILLAGSKGAVHSSPISAEIDAICWALELCKDHDLIHLKICCDCAGISNSMVKHHPCIAWRINSELQKLKILLKSFPHIFFDNIP >DRNTG_35055.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20304452:20306823:1 gene:DRNTG_35055 transcript:DRNTG_35055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLLVVVVDGRRGGSGRKLWRSSSRGSSRRSASEVASDASSVDAFSAAAATVARAPHRDFVVVRQEWAAIRIQTAFRGFLARRALRALKGLVRLQALVRGRQVRKQAAVTLRCMQALVRVQARIRARRVRMSTEGQAVQKMLESRRTTMDIMKEAEEGWCDSQGTLDEIREKLQSRKEGAIKRERALAYSLSRQQCRQTSNGMSNTPMVSLKHHELDKSNSGWSWLERWMAAKPWENRLMEQQTQTDPSAMHFSKKCEDLHGFHSTPEPVSVRIKKNNVTTRISARPPPLLNSNRVRTRSGSTPSTEFHCDESSPSSSSICTSTPISGSTALASERSEQSSSLRPNYMNLTESIKAKQKPGNNFRTTVIRQPSGELQYYNKLNASTIDSKSSDGSDPSVTYSKVLNVMPRRDRKEVRSIDKENCYFDA >DRNTG_35055.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20305885:20306823:1 gene:DRNTG_35055 transcript:DRNTG_35055.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFQQCRQTSNGMSNTPMVSLKHHELDKSNSGWSWLERWMAAKPWENRLMEQQTQTDPSAMHFSKKCEDLHGFHSTPEPVSVRIKKNNVTTRISARPPPLLNSNRVRTRSGSTPSTEFHCDESSPSSSSICTSTPISGSTALASERSEQSSSLRPNYMNLTESIKAKQKPGNNFRTTVIRQPSGELQYYNKLNASTIDSKSSDGSDPSVTYSKVLNVMPRRDRKEVRSIDKENCYFDA >DRNTG_26312.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21367075:21368426:-1 gene:DRNTG_26312 transcript:DRNTG_26312.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane ascorbate ferrireductase 3 [Source:Projected from Arabidopsis thaliana (AT1G14730) UniProtKB/Swiss-Prot;Acc:Q67ZF6] MALAMRPSFALAASHSTTAAHLFALLAGILTLVWALHYRGGVAIHTDNKDLIINAHPLIMVLGFIIVAGEGIMAYKLPLMRQMQKTIHLMLHMIALTLGIFGVYVAFKYHKETQLSDMTSLHSWLGLVSICLFGIQWLFGFLYFWFPKAPQPTRVVLVPVHAAAGMAVFLTIICTAETGFAQSMPTDTGTLAESRVINFTGLFILIFAVAVCISTAIRRITF >DRNTG_25141.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:9049404:9051091:1 gene:DRNTG_25141 transcript:DRNTG_25141.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVHSEEVRRLCNIYVDTEFQVRDTKMIWVDRLGFDLYVFSGDSVFAVRIPFPSEVTDENGVKSSFNSMSHLAWEIEKNYIALEFEKVKTLKKIR >DRNTG_25141.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:9046932:9051091:1 gene:DRNTG_25141 transcript:DRNTG_25141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTSFSLSATSRLPLPTIPKFPLPTSTTFSRFSLHLPRCSLCIATEPSPLTEEEERQVKPSLAEVSRTIMELASVGTLSTTVDDGWPLGIGARFVVDAQGLPALCLNDSDRFFQACGKSSFHVGFEQSGLRNAQCTILGSLNKTEDELLLKRLCMKWERKFGEEVDKDFLYVISVERVLQVENFNEGGLWVNSLEYINAEPDPLRNFAAKIVNEMNSVHSEEVRRLCNIYVDTEFQVRDTKMIWVDRLGFDLYVFSGDSVFAVRIPFPSEVTDENGVKSSFNSMSHLAWEIEKNYIALEFEKVKTLKKIR >DRNTG_27469.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26409531:26410478:-1 gene:DRNTG_27469 transcript:DRNTG_27469.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGIEFLKLCGFEKLKQNGIEYLYIPRDKVDHRILRNAALELNSALNNPYFGMFSRKKDDY >DRNTG_27469.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26409531:26412163:-1 gene:DRNTG_27469 transcript:DRNTG_27469.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPEVNKEMLTELESMGFPTAHAIRGLHFSGNASIEGAIDWIAEHENDPDIDQMLLVPINIEIESGKPPFALEYVKIKAQELRQKLKAEEEKRMEKEREKERRRAGKEQLQAKKILEENERKRASAWRKAEQEEVKQARERILKRLEDDKAERRRNLGLPSEDHSSKKITKHLQKQQNTKISDEHNSTTVDNLQECLRSLKQNHLDEDARVKRAFQTLLTYIANVAKNPENEKFRKIRLSNPAFMDRVGSMFGGIEFLKLCGFEKLKQNGIEYLYIPRDKVDHRILRNAALELNSALNNPYFGMFSRKKDDY >DRNTG_27469.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26409531:26412163:-1 gene:DRNTG_27469 transcript:DRNTG_27469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPEVNKEMLTELESMGFPTAHAIRGLHFSGNASIEGAIDWIAEHENDPDIDQMLLVPINIEIESGKPPFALEYVKIKAQELSRQKLKAEEEKRMEKEREKERRRAGKEQLQAKKILEENERKRASAWRKAEQEEVKQARERILKRLEDDKAERRRNLGLPSEDHSSKKITKHLQKQQNTKISDEHNSTTVDNLQECLRSLKQNHLDEDARVKRAFQTLLTYIANVAKNPENEKFRKIRLSNPAFMDRVGSMFGGIEFLKLCGFEKLKQNGIEYLYIPRDKVDHRILRNAALELNSALNNPYFGMFSRKKDDY >DRNTG_18208.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1131737:1133025:1 gene:DRNTG_18208 transcript:DRNTG_18208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRKNRSIQEPPTPSVEIELDGRDRMRLPIDQ >DRNTG_20286.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5220990:5221653:1 gene:DRNTG_20286 transcript:DRNTG_20286.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTYIYIMEDESDEEMKKEMGSVWDCLMKFQDLDCINMLGDEVPMMLREFMGRVGDTHRKLQGKLAKAHRDQMMKKMARVRDILTELQERLEMAYRKLQYADDKMMIVPYDTTNTWFDNLRGVSNDLKNISDELFKYMDTMEIMFSKVHWYSPFIYLYQQDLCFPWQINSQIRTLGTIESRLEEILKQELNLGLT >DRNTG_01390.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28271916:28274157:-1 gene:DRNTG_01390 transcript:DRNTG_01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDPQDPHPTLDTRVLPAPVMAVQVTKFESGGFAVGVAVHHSMVDGRGLWQFIEAWATACREAEKSEVSAVIHDRTVIRHHPRGDEIARRILKMMAPELPINLSLKHKNQIISEKLNIEEGRSELMRRTFTISRDMIQSIKQRANEVGNLQHSTFTVLSSLTWISLIKTKTIEDANEETILGFIMDCRTRLNPPLKDNYLGNCLKLCCAKAKVMELVGNAGLSKACTRIKEQIDESSKDVLGGCEDWVGEIKRNAKSVNVLIAGSSSFRAYEIDFGVGCPSRTELVSMNHEGQVVLVGGRKQGEIQMSVCLSTSHMEEFTKEFSRELCV >DRNTG_05922.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5178917:5180576:-1 gene:DRNTG_05922 transcript:DRNTG_05922.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTRGEITIVPFPGHGHVFPATELAHRLASLNYHITLLLPFSTSSSPSSTPLLHPLIHIVESDATPEPHHHHDRSSPLRDLLTKRTDGRDPSSLPLCVIVDVMMRRELEICKEFSIPAVSFFTSSACSTAFDHATRKISLDDLSSREILTVPGLPDDISITLSDLAPRRPPPPRGGHPPGPPAQSGHPLPSGPPPPPGLEQTDGTIALLFNTCDDLERPFIEYIAKETKRPVWAVGPLLPARFWEASLGSSVMIHDDEIRPTRDSSFSEMEIIQWLDSKPRGSVIYVSFGTVVGLGEDELAELAAGLEESNRPFIWVLHAGSETSRELARQAGDRGLVVEGWAPQLLILSHESTGGFISHCGWNSTVEAIGLGVPMLTWPVHGDQVYNAKLVTSRLKTGYPVADGSGVTKDHVVQGIERLMMDFEMRTRAASLRAIFKDGFPRSSSASLETFLDFLSTKLM >DRNTG_08048.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30303212:30306725:-1 gene:DRNTG_08048 transcript:DRNTG_08048.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPSSPAEFQATGSGSSGTGAGAPAELLVSLQETVSLAHAVSLPALASLLRRLTIAVHSLPPSESVIEPMAADLRRLCAVMRSSVGTEPSEVVSEVREVVRDLGRSLGILMLEPAGVRDGMAALHREMMAARFEGPEGRWGGPVPDLEEAVVRIKSGEEMEGAIAELGFLVREVLVREEDGPGVVSVLVNRLGSVKDGNRLRILSLLRSLAALSEENKEMMTSIGALSNIVRSLSREADERREAVGLLLDLSEISKVRQRLGRVQGCIVMLVAMLNGDDPSAACDSGKILGSLSSNTQNVLLMAEAGFFVPLVQYLKEGSDMNKILMASAISRMEITDLMKASLGQEGSIKALVKMFTNGKLEAKLSALGALRNLSTVKANIPRLISAGIVPPLLQLLFSVTSVLMTLREPASAILASISQSELILTKKGVASQMLSLLSLSSPAIQCHLLQALNNIASHPNASKIRAEMEENGAVLLLLPLLAEGNPEIRVAALSFLYTLSKDFTGEMADLVGENHLNILVDIISSPASENEKAASVGILSNIPVNDKKVTELFKRKNLLPVLINLVEVSITKTSVSTRRWLLESIAGVLVRFTIPSDKKLQRLSASHGIIPCLLKLLSCGSVAAKAKAATSLAQLSQNSLALGKIKSPRWFCVPHPAEAFCAVHNSHCTVKTTLCLVKAGAIPPLVQVLESKEREADESALSALATLLQDEIWECGCSAIEKASGVQAIIGVLKAGSLKAQMKAVWMLERFFRINANREKYGEAAQVLLIDLAQEGDPTLKPMIAKILAHLQLLQMQSSYF >DRNTG_24276.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10721073:10721568:1 gene:DRNTG_24276 transcript:DRNTG_24276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALQGLGYVVFMIMVVVMAGDASGNWRVMGDDDCGGTLPNLISQCQQYVGIPGPKTDPSSGCCDAIQKADVPCVCAHITPEVEKIISMQKVTYVAQKCGRALPPGTKCGSKLYCSSQDMSMDGERGGAGY >DRNTG_00761.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13270714:13271610:1 gene:DRNTG_00761 transcript:DRNTG_00761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPFSAIDAECGHLSISQDSRLVRFPFLDDEGYSPSSSSNDDDDDGPSLPPADAPILFYSPPNSPVAGGNTSDPTVVATDAYPADVANNVDDAGAANNVDDAGAANNVDDADVAGADTTTDVADVVTAVGVGTTTTDAAVPDLGLPPKEILTDHPPKRFKKGSRGPKLRSPDSEEDDDDKYRYQSVEILKDLLETRSCTIEVDGRRRLPYSFVEQALEDDREKHRKGVNFPRDLPELVRIFFNKCDKRFANTDILDIVKMKGMDFPMVKWWRAGGYE >DRNTG_20195.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12631464:12638528:1 gene:DRNTG_20195 transcript:DRNTG_20195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCPKERKNQMYTGSSSAILSRKMDESSSLSGESKCTSVCSNEQPHLPPKTSQIKKPVILPDSDIDGLLECPVCSNAMFPPIQQCPSGHTLCSTCKANVNNKCPVCRKEIGNIRCLALEKLAVSLHLPCAFHSLGCEEMFPYYSKLQHEAQCVHRPYSCPHPGSDCPFTGDIPSLLSHLRESHKVDLQTGYTFNHRYVKQDPCSVDNVSWTLTLFSCFGHYFCLHFEAFLLGTEPVYMAFLRFMGEEMEARKFAYCLEVGGNGRKITWHGVPRSIRTHHRTVRDSHDGLIVQRSLALYFSGGDRKELKLRVTGRIWREM >DRNTG_20195.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12631464:12638528:1 gene:DRNTG_20195 transcript:DRNTG_20195.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCPKERKNQMYTGSSSAILSRKMDESSSLSGESKCTSVCSNEQPHLPPKTSQIKKPVILPDSDIDGLLECPVCSNAMFPPIQQCPSGHTLCSTCKANVNNKCPVCRKEIGNIRCLALEKLAVSLHLPCAFHSLGCEEMFPYYSKLQHEAQCVHRPYSCPHPGSDCPFTGDIPSLLSHLRESHKVDLQTGYTFNHRYVKQDPCSVDNVSWTLTVSLTPVLINFYFLYAWSTRSFC >DRNTG_11299.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1529160:1532388:-1 gene:DRNTG_11299 transcript:DRNTG_11299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAPLPLSNDHAIKELAESQAFPSSIPSNYHALRNPDEELRPEDFIDEEIPVIDFSLLIGGTAMERDEVIHHLCMACREWGFFMVVNHEIPKRLTDEMLDAFEKFFNQSAEEKNEYINKNVLNPIRFGTSINNHEDKIRYWRDYLKVVVNPEFHFPAKPFGFRNTLFEYAACTRKMGKELLKAIWESLELKTDDIEVALDIKSCFQSIIGNFYPPCPQPELALGLPPHSDHGLLTILLQNGINGLQVKHNNKWLHIKPLPNSFLVNIGDQMELVSNGRYNSVLHRAEVNGKSTRMSIATIIGPSHEAIVAPPPQLVEHDHHLAFNGMRYKDFLEQQQ >DRNTG_12468.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20900959:20903408:-1 gene:DRNTG_12468 transcript:DRNTG_12468.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIDSEDNELEILEIIHYFVEILDRYFGSVCELDLIFNFHKAYYILDEILIAGELQESSKKTIARLIAAQDALVETAKEQANSISNVIAQATK >DRNTG_12468.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20900959:20903408:-1 gene:DRNTG_12468 transcript:DRNTG_12468.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINFVLLFSRQGKVRLTKWYSPYSQKERSKVIRELSGAILSRGPKLCKFVEWRGCKVVYRRYASLYFCMCIDSEDNELEILEIIHYFVEILDRYFGSVCELDLIFNFHKAYYILDEILIAGELQESSKKTIARLIAAQDALVETAKEQANSISNVIAQATK >DRNTG_12468.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20900959:20903212:-1 gene:DRNTG_12468 transcript:DRNTG_12468.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCIDSEDNELEILEIIHYFVEILDRYFGSVCELDLIFNFHKAYYILDEILIAGELQESSKKTIARLIAAQDALVETAKEQANSISNVIAQATK >DRNTG_16385.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15938893:15964751:1 gene:DRNTG_16385 transcript:DRNTG_16385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKPPVRCSENEEVAAYLWLKRQEMADQNGISENLDLTLSIAYRNLCKSKTPVRTLKELSQIKGVGKWILRLMQGYFPKDVSEKSPTKAAAKKTKGPKRYLPQKNSAAYALLITLYRGIANESSFMMKQELIDAAEASGLSRTSILMEKGTGKPGQFGSSGRDWYSGWNCMKNLIAKGLVVKSSCPAKYMLTEEGQEAARECLLRSGLIDSAEKLNQIGISQKGASEGNASHSNFVRAASADQDTSSSSSYLANQMKSNLVQIVLSDKDISTSGQVDSSESDEEGFTEKSFPGKLTACTYMNKVSVSASSAPSSFNLQACSSSVSPVNKISCACVVEETVNILAMPPHRFGEKFEDVYDVILILDDRENFGPRSRNIVDSIRSQFKIHVEVRRLPIGDGVWIVRDRQSNNEYVLDFIVERKKVDDLCSSIRDNRYRDQKLRLQRCGLQKLIYLVEGDPNSLEAAESIKTACFTTEILEGFDVQRTKGLIDTAKRYGYLTQAISQYYSDHFSRGSDILSSHKVCPTFGDFVKKCQDLERMTVSDVFALQLMQVPQVTEEVALAVVDLYPTVISLAHKYAQLEGDVRAQEEMLKNQNKSISGAASKNIFKLIWGG >DRNTG_16385.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15938893:15942225:1 gene:DRNTG_16385 transcript:DRNTG_16385.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENKPPVRCSENEEVAAYLWLKRQEMADQNGISENLDLTLSIAYRNLCKSKTPVRTLKELSQIKGVGKWILRLMQGYFPKDVSEKSPTKAAAKKTKGPKRYLPQKNSAAYALLITLYRGIANESSFMMKQELIDAAEASGLSRTSILMEKGTGKPGQFGSSGRDWYSGWNCMKNLIAKGLVVKSSCPAKYMLTEEGQEAARECLLRSGLIDSAEKLNQIGISQKGASEGNASHSNFVRAASADQDTSSSSSYLANQMKSNLVQIVLSDK >DRNTG_16385.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15938893:15939253:1 gene:DRNTG_16385 transcript:DRNTG_16385.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRTSTSRCLSRIVTSANPRPPSEPSRNSHRS >DRNTG_16385.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15961395:15964751:1 gene:DRNTG_16385 transcript:DRNTG_16385.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSDVFALQLMQVPQVTEEVALAVVDLYPTVISLAHKYAQLEGDVRAQEEMLKNQNKSISGAASKNIFKLIWGG >DRNTG_16385.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15961395:15964005:1 gene:DRNTG_16385 transcript:DRNTG_16385.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHKGQPLQRSKTEATEMWTPKADIPG >DRNTG_16385.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15938893:15945620:1 gene:DRNTG_16385 transcript:DRNTG_16385.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENKPPVRCSENEEVAAYLWLKRQEMADQNGISENLDLTLSIAYRNLCKSKTPVRTLKELSQIKGVGKWILRLMQGYFPKDVSEKSPTKAAAKKTKGPKRYLPQKNSAAYALLITLYRGIANESSFMMKQELIDAAEASGLSRTSILMEKGTGKPGQFGSSGRDWYSGWNCMKNLIAKGLVVKSSCPAKYMLTEEGQEAARECLLRSGLIDSAEKLNQIGISQKGASEGNASHSNFVRAASADQDTSSSSSYLANQMKSNLVQIVLSDKDISTSGQVDSSESDEEGFTEKSFPGKLTACTYMNKVSVSASSAPSSFNLQACSSSVSPVNKISCACVVEETVNILAMPPHRFGEKFEDVYDVILILDDRENFG >DRNTG_05823.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18983090:18983920:1 gene:DRNTG_05823 transcript:DRNTG_05823.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGFHTRSNSMPTRSHPMIATAEEEVNKLKACVMVSPNMICKSLRSLVVFYDCIEELLHLPSSTQQALSHSQEKKWVEEELDSSLRLVELCGTLRDTLAATKEHAQELEMVLRRKRSMNTEGKHQCHIQTDKKTSKSIKTCLKSLKQIDGKDSGRSTAVSKMFAEARDVTISLLQSVASSLSPSSTQKTSKWSTVSKALHKKKVTCLNANGIDFSFNVIYECVSCKDVDGLRAVKIQEQLTAMMSSLEGVEMELESLYRKLIRIRVSLLNMLSQ >DRNTG_26880.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001370.1:19483:21178:1 gene:DRNTG_26880 transcript:DRNTG_26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARRRHRKRSKTVGDVRESGKLDEPLLGWRMGMDIFRMPVGDRSKSIMAPRSKKQDDKRPHESSSEPEGMRFVIPEHQVRYERLSRLRFGQTGFLDATIVRELQQGDEFADEIEDLVSEGGWRQLLTIREPAI >DRNTG_32599.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22794321:22794801:-1 gene:DRNTG_32599 transcript:DRNTG_32599.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNKRRAKRQWRAKRMRNGHGEENPEVWRRSSRAHSLRPKAVLCFLAKEKNAMMCKVYN >DRNTG_32599.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22793823:22794801:-1 gene:DRNTG_32599 transcript:DRNTG_32599.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAHAERARRRKSRSVEEKQPSSFPSAQSSALFPGQGKKCNDVQSPPMLTNNSLWLWLLRKSSAQSTSSAQGNPTFLAIQILILLWSRVLHCQLC >DRNTG_32599.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22793823:22794801:-1 gene:DRNTG_32599 transcript:DRNTG_32599.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNKRRAKRQWRAKRMRNGHGEENPEVWRRSSRAHSLRPKAVLCFLAKEKNAMMCKVYN >DRNTG_29724.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:562657:564603:1 gene:DRNTG_29724 transcript:DRNTG_29724.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKMNIFLALVCACILWLCMALGR >DRNTG_29724.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:560742:564603:1 gene:DRNTG_29724 transcript:DRNTG_29724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKMNIFLALVCACILWLCMALGR >DRNTG_27447.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28759157:28762397:1 gene:DRNTG_27447 transcript:DRNTG_27447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGHETDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQISRVTKMLGDEYGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWENLDVNRYVLKHSVSGEMVIKHLNKDQEADQNQFRDPAANAELEVQEKTSLLEWFATEYKRFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRTFDEQSDDEGYNDSD >DRNTG_13988.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27799223:27807013:-1 gene:DRNTG_13988 transcript:DRNTG_13988.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVMKSSFCGAFCLCFILCLMVLVQRCSAQAATDPSEVAALNTILGRWGKAAAAGKWNISGNPCTGWAIDSTDFENPNFNPAIKCDCSYVNNTVCHITQLRVYALNVVGTIPDELQNLTHLINLKLDQNYLTGPLPAFIGNLTALQKLSVAINALSGPLPKELGNLKNLIVLGIGTNKFNGSLPLELGNLSNLKEVYMDSCGASGEIPPALANLKQLTILWASDNNFTGKIPDFIGSWTNLTVLRMQGNSFQGPIPSSFANLTKLTDLRIGDLLSSGSSLACISNMTSLSTLVLRNNKISDTIPQDFAKYTSLQMLDLSFNNITGTLPDSLFNLTSLSYLFLGNNSLSGNLPTQKSSSLVYVDLSYNKLAGSFPSWVSQGNLHLNLVANNFVIDDSNSSILPSGLKCLQQDIPCNHGSPIYSSFAIKCGGNRSMQASDGFVYEADNADLTAASYFVTEENKWAVSNVGRFADASNFSYIINTLSQFQNTLDTELFQTARLSPSSLRYYGIGLENGNYSVKLQFAEFLYQDSSTWQSLGRRVFDIYIQGNLQEKDFDIRKEAGGFSDRAVVKNYVVPVTNNFLEIHFFWAGKGTCCVPTQGYYGASVSAVSASPYDFTPTVSNEPPSTSSKKNHTGLAVGIAAAVAALGLIAIFGIFIWRRKRKLSSDDREELLGISAKAEIFSYAEVRNATGDFNPDNKLGQGGFGSVYKGKLSDGRVVAVKQLLEASRHGKHQFMTEIATISEVQHRNLVQLYGCCIEGNNRLLVYEYLENKSLDQALFEKKIFLDWSTRFEICLGTARGLAYLHEESRLRIVHRDVKASNILLDADLNPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLTEKADIFGFGVVALEAVSGRGNCDQNLEPEKIYLLEWAWNLKEKNSALEMIDPMLPSFSKEEVGRVISVALLCTQASPALRPPMSRVVAMLAGDIEINEVSSRPGYLTDWKWNDMSNYANSSSNAGTSTDISFQTHRTKPSFEIENKESCPPSPSEPIIHNLIAEGR >DRNTG_17070.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:101418:102835:1 gene:DRNTG_17070 transcript:DRNTG_17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRFHRILRPLRRSQLVFPLDRRLSMAPTSPETRPISPETTRIGWIGTGVMGRAMAGHLISAGYSLTVFNRTPSKAKTLIDLGARYAESPLAVAQASDVLFLIVGYPSDVRLVGFQALPGLSPGSVLVDMTTSDPSLAAELSSAAIAASCQSIDAPVSGGDRGARSASLSIFAGGDASTVERLKPLFGCMGTVRERSWGTR >DRNTG_25454.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1517755:1520783:1 gene:DRNTG_25454 transcript:DRNTG_25454.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter 11 [Source:Projected from Arabidopsis thaliana (AT1G55910) UniProtKB/Swiss-Prot;Acc:Q94EG9] MNKGRNGGGFHGGAGRNGETFETEKGIVGSNSHAQSETEDSAHGHSAAKSLVYASSLGDSIMLIVALCFHSVFEGIAIGVAETKADAWKALWTVSLHKIFAAIAMGIALLRMIPDRPLLSCASYSFAFAISSPIGVAIGIIIDATTQGKVADWIYAISMGLACGIFIYVAINHLLSKGYVPLKNITADTPLNRWIAVVLGVGVIAVVMIWDT >DRNTG_25439.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4401385:4406299:1 gene:DRNTG_25439 transcript:DRNTG_25439.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFGGSTAVEASPAPAAPPGTLTGLAGNNANVLYIFNRNGVCLLYREWHRPLRTLDAAQDQKLMFGLLFSLRSFTAKMDPTSSDKGNLGVPLLPGQGCSFHSFRTNTYKLSFMESPSGIKLILVTHAKTSDLREALRYIYNLYVEYVVKNPLYVPGTPIKSELFNTNLDQYVKTLI >DRNTG_29158.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5265226:5265806:-1 gene:DRNTG_29158 transcript:DRNTG_29158.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQQIMIQEGRLLLDYITMNSIAIRKILKKYDKIHRSVNGRNFKTKMRAEHLELLQSPWLIELAAFYINLSGSSIGEPGEFFRMFSCDLCDTQPRMIIMLSDCVMYEYSLTCSICLVRLCVLFF >DRNTG_29158.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5262372:5265806:-1 gene:DRNTG_29158 transcript:DRNTG_29158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQQIMIQEGRLLLDYITMNSIAIRKILKKYDKIHRSVNGRNFKTKMRAEHLELLQSPWLIELAAFYINLSGSSIGEPGEFFRMFSCDLCDTQPRMIIMLSDCVMYEYSLTCSICLEIVFNPYALGCGHLFCKECACSAASVLLFQGLKAASHEAKCPVCREVGVYSNAVHMTELDLLVKNRCKDYWKDRLRAERAVMVKQSKRYWELQTVTVFGY >DRNTG_32082.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:38308:40628:1 gene:DRNTG_32082 transcript:DRNTG_32082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMKGSTSTAWSAPDACARHKEWDEVSCAICMDYPHNAVLLICSSHEKGCRSFICDTSYRHSNCLDRFKQSRVDRQDITSHSDFSINDNVDEQRFDEGNNGIPSQPTLEETDVINLFDTGEDYIMDENDFSVSAGLPVVLENNNDIQEQSGLTEAQTDERISSEQSGEQEKVKLDNLKCPLCRGTVMGWIIVKEARQYMDLKTRSCSRESCAFSGNYRELRRHARRVHPTTRPAEVDPSRQRAWRRMEHQREYGDIISAIRSAMPGAIVFGDYVLDGGEGLPLERDIGEGDGPFWTTFFLLRMISSPNGSLNGRRGITRPWRMHHRSARRRYLWGENLLGLQDEDDDDDDVRILDEEDVSIPRRRRRRFVRARTDELP >DRNTG_16176.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7090066:7090730:1 gene:DRNTG_16176 transcript:DRNTG_16176.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSMPNVGEAFFPFMIVLLPLGLLKDGVRWVVLHVMTSDCGDAHFEVVDDDGDEEEEEKEVEVLKLEEVEKDGDWCCVCLHGFEEGEEVSQVVSCRHFFHKECLGRWLGLSHRTCPLCRSQL >DRNTG_16176.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7090192:7090994:1 gene:DRNTG_16176 transcript:DRNTG_16176.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSMPNVGEAFFPFMIVLLPLGLLKDGVRWVVLHVMTSDCGDAHFEVVDDDGDEEEEEKEVEVLKLEEVEKDGDWCCVCLHGFEEGEEVSQVVSCRHFFHKECLGRWLGLSHRTCPLCRSQL >DRNTG_16176.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7089842:7090730:1 gene:DRNTG_16176 transcript:DRNTG_16176.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSMPNVGEAFFPFMIVLLPLGLLKDGVRWVVLHVMTSDCGDAHFEVVDDDGDEEEEEKEVEVLKLEEVEKDGDWCCVCLHGFEEGEEVSQVVSCRHFFHKECLGRWLGLSHRTCPLCRSQL >DRNTG_16176.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7090192:7090730:1 gene:DRNTG_16176 transcript:DRNTG_16176.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSMPNVGEAFFPFMIVLLPLGLLKDGVRWVVLHVMTSDCGDAHFEVVDDDGDEEEEEKEVEVLKLEEVEKDGDWCCVCLHGFEEGEEVSQVVSCRHFFHKECLGRWLGLSHRTCPLCRSQL >DRNTG_07234.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14980718:14982795:-1 gene:DRNTG_07234 transcript:DRNTG_07234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASSLTSVNAMVAPANPLLITHRTNLFLSGFRVTTSQKSSSSFQSLKVEATGSNKETKPKSIVCGDCDGNGAILCTQCKGTGVNSVDHFNGQFKAGGLCWLCRGKRDILCGNCNGAGFIGGFMNAPDD >DRNTG_29176.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24284668:24288412:-1 gene:DRNTG_29176 transcript:DRNTG_29176.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISEEYLHRFVEETDWYNGIVLGNLLPDGAWKGLPRPLQSWVRNYVGGTILYLVSGLLWCFYIYYLKRNVYVPKDAIPSRKAMFLQIVVAMKAMPCYCALPTLSEYMVESGWTRCFSSISEVGWLAYITYLIIYLVFCEFGIYWMHRELHDIKPLYKHLHATHHIYNKQNTLSPFAGLAFHPLDGILQASPHVMALFLIPTHFMTHILLLFWEAIWTANIHDCIHAKVWPVMGAGYHTIHHTTYRHNYGHYTIWMDWMFGSLRDPEEPLMSKAE >DRNTG_20910.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25429407:25430659:1 gene:DRNTG_20910 transcript:DRNTG_20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSSSRREATPEYRHKPPVGCISGILRLLSGRHSRKRLTSASKKDKITGPSPVKPSPEPVKEVEKKGSEHTLMPEKKTLAMLAIGEPRRRSCETPRSPTITPEIRRSSADSPRRSSALVARLMGLDDAPTSFPESAAEKRRKLLGALERCDEDLKALKRIIETVQLAELRTKQINTVGSLDERGQVKVRCRLDCGDLTVKAGSKDINGEQPSPVSVLDVITSPRFRANKSDKSIGSRTQETSPLGVFSQPGWLI >DRNTG_09686.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1394010:1395484:-1 gene:DRNTG_09686 transcript:DRNTG_09686.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGLSGVCPSSGSSRRLSRGGPAGRDVTILLVSELLVKLIAIFCSCLRSSLVVVSGST >DRNTG_09686.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1394217:1395484:-1 gene:DRNTG_09686 transcript:DRNTG_09686.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGLSGVCPSSGSSRRLSRGGPAGRDVTILLVSELLVKLIAIFCSCLRSSLVVVSGST >DRNTG_20306.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5523516:5527061:1 gene:DRNTG_20306 transcript:DRNTG_20306.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPP1 [Source:Projected from Arabidopsis thaliana (AT3G58490) UniProtKB/TrEMBL;Acc:A0A178VD76] MKGSMSVWQAASLSCVLGWIIASSLLSFTVRLRSLVQPWVTRHVVADTPLILKIQAFQCAFLDNFFSVLSCAVSVPFYTAFLPLLFWSGHSKLARQMTLLMAFCDYVGCCIKDMVSAPRPSCPPVRRVTATQDEEEHAMEYGLPSSHALNTVCLSGFADICFTMF >DRNTG_20306.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5523516:5527061:1 gene:DRNTG_20306 transcript:DRNTG_20306.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPP1 [Source:Projected from Arabidopsis thaliana (AT3G58490) UniProtKB/TrEMBL;Acc:A0A178VD76] MKGSMSVWQAASLSCVLGWIIASSLLSFTVRLRSLVQPWVTRHVVADTPLILKIQAFQCAFLDNFFSVLSCAVSVPFYTAFLPLLFWSGHSKLARQMTLLMAFCDYVGCCIKDMVSAPRPSCPPVRRVTATQDEEEHAMEYGLPSSHALNTVCLSGYLLYYVLTSEAEMNITMTLFGLTLVCLLVALTGIGRIYLGMHSLIDVVTGISMGLIMLAFWLLVHGYIDDFIISGQNVTSFWACLSCLLFFAYPTPELPTPSFEYHSAFTGVAFGIVSGIQQTYNSFHSEEVPHIFGPQLPLMVFTGRVIVGIPTILVVKFCSKALAKWLLPVVCNTLGIRIRSSCYIPALKGNENGMKSENKQPGYLQKMVSIFPPKTYEVDNGIRFVQYAGLAWSVVDLVPSLFSHLNM >DRNTG_20306.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5523811:5527061:1 gene:DRNTG_20306 transcript:DRNTG_20306.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPP1 [Source:Projected from Arabidopsis thaliana (AT3G58490) UniProtKB/TrEMBL;Acc:A0A178VD76] MNITMTLFGLTLVCLLVALTGIGRIYLGMHSLIDVVTGISMGLIMLAFWLLVHGYIDDFIISGQNVTSFWACLSCLLFFAYPTPELPTPSFEYHSAFTGVAFGIVSGIQQTYNSFHSEEVPHIFGPQLPLMVFTGRVIVGIPTILVVKFCSKALAKWLLPVVCNTLGIRIRSSCYIPALKGNENGMKSENKQPGYLQKMVSIFPPKTYEVDNGIRFVQYAGLAWSVVDLVPSLFSHLNM >DRNTG_25074.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:633807:638452:-1 gene:DRNTG_25074 transcript:DRNTG_25074.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha-4 [Source:Projected from Arabidopsis thaliana (AT1G09270) UniProtKB/Swiss-Prot;Acc:O80480] MSLRPGTRVEVRKKGYKTGVDVEEARRRREDNLVEIRKNKREDNLLKKRREAILLAASAAPSLDPASLPSAPIDKKLESLPAMVQGVWSENPTLQLEATTQFRKLLSIERSPPIEEVIKAGVVPRFVEFLSRHDLPQLQFEAAWALTNVASGTSEHTRVVIEHGAVPKFVQLLSSPSDDVREQAVWALGNVAGDSPSCRDLVLGHGALIPLLAQLNEHSKISMLRNATWTLSNFCRGKPPAPFEQTRPALQALQHLIHSTDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPTVLIPCSEDSG >DRNTG_30707.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1752237:1752988:-1 gene:DRNTG_30707 transcript:DRNTG_30707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRVFFDIAIGKARAGRIIMELFADVVPKTAENFRCLCTGEKGIGISGKPLHYKGSSFHRIIPGFMCQGGDFTRGNGTGGESIYGARFEDENFKKKHTGPGMVSMANAGANTNGSQFFICTARTGWLDGKHVVFGKVVDGYGVVEAMEKEGSGSGETKTKVVIEDCGEITTESS >DRNTG_21609.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1255736:1262967:-1 gene:DRNTG_21609 transcript:DRNTG_21609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSTSKPDPKQKKDDKKDEDLSEEDLALKQQLELYVERVQDSDPGVQKFALESMRQEIRTSTSSMTSVPKPLKFLRPHYGTLKAYYETMPDCDLKKYLADILSVLALTMSAEGERESLKYRLLGSEGDIGSWGHEYVRNLAGEISQEFTKRQYEDKPIDNLMELVQQIVAFHMKHNAEPEAVDLLMEVEDLDLLVEHVDASNYKRACLYLTSSSSYLPGPDDLLALDIAYTIYMKFDDLASALRIALFLDNSQYVKQVYTSTADLLLKKQFSYIVARHGHTLELDEEMVAKDEDRDQLQDIINNTKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRTSASSSLDSARQNLAATFVNAFVNAGFGQDKLMTVSSESTSSGSSGSWLFKNKEHGKASAAASLGMVLLWDVDSGLAQIDKYLHSNDNHVVAGALLGVGIVNCGIKNDCDPAMALLMEYIVKDDTITRIGAILGLGIAYAGSHKDELRIQLTNILSDVKTPLEVHVFTAITLGLVFVGSCNEEIAQSIIFALMDRSETELSEPLTSLLPVALGLLYLGKQESVEATAEVSKTFNDKIRKYCDVTLLSLAYAGTGNVLKIQKLLGYCAQHLDKGETHQGPAVLGIALVAMAEELGLDMAIRSLEHLLQYGEQNIRRAVPLALGILCISNPKVNVMDTLSRLSHDADGEVSMAAIISLGFIGAGTNNARIAGMLRNLSSYYYKEAGHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPTALAGLVTVMHACLDMKSIIIGKYHYMLYILVLAMQPRMLMTVDENLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGDRAELATEKYIPLTPVLEGFVILKENPDYKEDN >DRNTG_14011.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27670190:27671393:1 gene:DRNTG_14011 transcript:DRNTG_14011.1 gene_biotype:protein_coding transcript_biotype:protein_coding LYKWYGINQNKNSKKHTQTLTENQTENALSLSLS >DRNTG_27579.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6644593:6647688:1 gene:DRNTG_27579 transcript:DRNTG_27579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLFRAAAISSPFSISAKAYTLGRLARRTLSPIRSMASSAADERFQKVQIQRDDTVFDAYVVGKENAPGIVVLQEWWGVDFEVKNHALKISQMGPGYRALIPDLYRGKVGLDVAEAQHLMEGLDWPGAIKDIHASVKWLKANGSPKVGVTGFCMGGALSIASGVLVPEIDAVVAFYGTPSSELADPSKSKAPVQAHFGELDSFVGFSDITAAKALQEKLNSSGVPFEVHIYPGLSHAFMNTSPEGVQRRKTMGSKDEDYAAVDLAWSCFESWMSKHLRSA >DRNTG_29692.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3838201:3849726:1 gene:DRNTG_29692 transcript:DRNTG_29692.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase III subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G45140) UniProtKB/Swiss-Prot;Acc:F4KD38] MTRISPQFEKTRKVSGPRALQPSQWGMLCPCDTPEGEACGLTKNLALMTHVTTDDEEGPLISLCYSLGVEDLALLSGEELHAPNSFLVILNGLILGKHRQPRRFAGALRKLRRLGKIGEFVSVFVNDKQRCVYVASDGGRVCRPLVIADKGVSRIKEHHIQELRAGVRNFDDFLHEGLIEYLDVNEENNALIALYEGDIDKDDADNEKDANKSKITHIEIEPFTILGVCAGLIPFPHHNQSPRNTYQCAMGKQAMGNIGYNQLCRADTLLYLLVYPQRPLLTTKTIELVGFDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYSCVNEIYSNGARDKIVRPDTDPSARKSTMQILDNDGIAAPGEIVRNHDVLVNKYAPKNTGDRHPSGTPIDYRPANATYKGPEGETTVIDRVLLSSDPNGKLCIKFIVRHTRRPELGDKFSSRHGQKGVCGTIVQQEDFPFTERGICPDLIMNPHGYPSRMTVGKMIELLGSKAGVSCGRFHYGSAFGEPSGHADKVEDISATLVKHGFSYSGKDFLYSGITGYPLQAYIFMGPIYYQKLKHMVLDKMHARAKGPRVTLTRQPTEGRSRDGGLRLGEMERDCLIAYGTSMILFERLMLSSDPYQVQVCRKCGLLGYYNYKLKTAFCSMCKNGDNISTMKLPYACKLLFQELQSMNVVPRLKLTEA >DRNTG_29692.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3841858:3849726:1 gene:DRNTG_29692 transcript:DRNTG_29692.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase III subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G45140) UniProtKB/Swiss-Prot;Acc:F4KD38] MGKQAMGNIGYNQLCRADTLLYLLVYPQRPLLTTKTIELVGFDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYSCVNEIYSNGARDKIVRPDTDPSARKSTMQILDNDGIAAPGEIVRNHDVLVNKYAPKNTGDRHPSGTPIDYRPANATYKGPEGETTVIDRVLLSSDPNGKLCIKFIVRHTRRPELGDKFSSRHGQKGVCGTIVQQEDFPFTERGICPDLIMNPHGYPSRMTVGKMIELLGSKAGVSCGRFHYGSAFGEPSGHADKVEDISATLVKHGFSYSGKDFLYSGITGYPLQAYIFMGPIYYQKLKHMVLDKMHARAKGPRVTLTRQPTEGRSRDGGLRLGEMERDCLIAYGTSMILFERLMLSSDPYQVQVCRKCGLLGYYNYKLKTAFCSMCKNGDNISTMKLPYACKLLFQELQSMNVVPRLKLTEA >DRNTG_29692.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3830859:3849726:1 gene:DRNTG_29692 transcript:DRNTG_29692.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase III subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G45140) UniProtKB/Swiss-Prot;Acc:F4KD38] MGADPNVDLSSLAAPVSSPVDKFPLLPAFLKVRGLVKQHIDSFDYFINHGIKKIMKANDRIVCRHDPAMYLRYTNIYVARPSRITDTINEPLTPHGCRLSDSTYAADILVDVEYTIGHGTAKTPKKGELKDGPPTLQMRRKVIIGRMPIMLRSSHCVLTGKDETELAKLGECPLDPGGYFVVKGTERVILIQEQLSKNRIIIDMDSKGRVTASVTSSTHETKSKTVFFMEKEKIYLQLNQFAKPIPILVVMKAMGMESDQEVVQMLGRDPRYGSLLLPSIEECASERIYTQQQALSYLEGKLTSFSSSRDGGRREGMAGTILLDVFLANVPVINGNFRPKCIYASVMLRRMMDAILNTDTFDDKDYVGNKRLELSGQLISLLFEDLFKSMNSCAADLLNKAYENTSHHSHFHLFQPSFILKQDMITNGLEKAISTGNWDLKRFKMHRKGVSQVVSRLSFIAALGHMTRISPQFEKTRKVSGPRALQPSQWGMLCPCDTPEGEACGLTKNLALMTHVTTDDEEGPLISLCYSLGVEDLALLSGEELHAPNSFLVILNGLILGKHRQPRRFAGALRKLRRLGKIGEFVSVFVNDKQRCVYVASDGGRVCRPLVIADKGVSRIKEHHIQELRAGVRNFDDFLHEGLIEYLDVNEENNALIALYEGDIDKDDADNEKDANKSKITHIEIEPFTILGVCAGLIPFPHHNQSPRNTYQCAMGKQAMGNIGYNQLCRADTLLYLLVYPQRPLLTTKTIELVGFDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYSCVNEIYSNGARDKIVRPDTDPSARKSTMQILDNDGIAAPGEIVRNHDVLVNKYAPKNTGDRHPSGTPIDYRPANATYKGPEGETTVIDRVLLSSDPNGKLCIKFIVRHTRRPELGDKFSSRHGQKGVCGTIVQQEDFPFTERGICPDLIMNPHGYPSRMTVGKMIELLGSKAGVSCGRFHYGSAFGEPSGHADKVEDISATLVKHGFSYSGKDFLYSGITGYPLQAYIFMGPIYYQKLKHMVLDKMHARAKGPRVTLTRQPTEGRSRDGGLRLGEMERDCLIAYGTSMILFERLMLSSDPYQVQVCRKCGLLGYYNYKLKTAFCSMCKNGDNISTMKLPYACKLLFQELQSMNVVPRLKLTEA >DRNTG_29692.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3839922:3849726:1 gene:DRNTG_29692 transcript:DRNTG_29692.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase III subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G45140) UniProtKB/Swiss-Prot;Acc:F4KD38] MGKQAMGNIGYNQLCRADTLLYLLVYPQRPLLTTKTIELVGFDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYSCVNEIYSNGARDKIVRPDTDPSARKSTMQILDNDGIAAPGEIVRNHDVLVNKYAPKNTGDRHPSGTPIDYRPANATYKGPEGETTVIDRVLLSSDPNGKLCIKFIVRHTRRPELGDKFSSRHGQKGVCGTIVQQEDFPFTERGICPDLIMNPHGYPSRMTVGKMIELLGSKAGVSCGRFHYGSAFGEPSGHADKVEDISATLVKHGFSYSGKDFLYSGITGYPLQAYIFMGPIYYQKLKHMVLDKMHARAKGPRVTLTRQPTEGRSRDGGLRLGEMERDCLIAYGTSMILFERLMLSSDPYQVQVCRKCGLLGYYNYKLKTAFCSMCKNGDNISTMKLPYACKLLFQELQSMNVVPRLKLTEA >DRNTG_29692.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3848181:3849726:1 gene:DRNTG_29692 transcript:DRNTG_29692.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase III subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G45140) UniProtKB/Swiss-Prot;Acc:F4KD38] MHARAKGPRVTLTRQPTEGRSRDGGLRLGEMERDCLIAYGTSMILFERLMLSSDPYQVQVCRKCGLLGYYNYKLKTAFCSMCKNGDNISTMKLPYACKLLFQELQSMNVVPRLKLTEA >DRNTG_29692.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3830859:3832389:1 gene:DRNTG_29692 transcript:DRNTG_29692.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase III subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G45140) UniProtKB/Swiss-Prot;Acc:F4KD38] MGADPNVDLSSLAAPVSSPVDKFPLLPAFLKVRGLVKQHIDSFDYFINHGIKKIMKANDRIVCRHDPAMYLRYTNIYVARPSRITDTINEPLTPHGCRLSDSTYAADILVDVEYTIGHGTAKTPKKGELKDGPPTLQMRRKVIIGRMPIMLRSSHCVLTGKDETELAKLG >DRNTG_29692.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3842021:3849726:1 gene:DRNTG_29692 transcript:DRNTG_29692.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase III subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G45140) UniProtKB/Swiss-Prot;Acc:F4KD38] MGKQAMGNIGYNQLCRADTLLYLLVYPQRPLLTTKTIELVGFDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYSCVNEIYSNGARDKIVRPDTDPSARKSTMQILDNDGIAAPGEIVRNHDVLVNKYAPKNTGDRHPSGTPIDYRPANATYKGPEGETTVIDRVLLSSDPNGKLCIKFIVRHTRRPELGDKFSSRHGQKGVCGTIVQQEDFPFTERGICPDLIMNPHGYPSRMTVGKMIELLGSKAGVSCGRFHYGSAFGEPSGHADKVEDISATLVKHGFSYSGKDFLYSGITGYPLQAYIFMGPIYYQKLKHMVLDKMHARAKGPRVTLTRQPTEGRSRDGGLRLGEMERDCLIAYGTSMILFERLMLSSDPYQVQVCRKCGLLGYYNYKLKTAFCSMCKNGDNISTMKLPYACKLLFQELQSMNVVPRLKLTEA >DRNTG_29692.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3846136:3849726:1 gene:DRNTG_29692 transcript:DRNTG_29692.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase III subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G45140) UniProtKB/Swiss-Prot;Acc:F4KD38] MTVGKMIELLGSKAGVSCGRFHYGSAFGEPSGHADKVEDISATLVKHGFSYSGKDFLYSGITGYPLQAYIFMGPIYYQKLKHMVLDKMHARAKGPRVTLTRQPTEGRSRDGGLRLGEMERDCLIAYGTSMILFERLMLSSDPYQVQVCRKCGLLGYYNYKLKTAFCSMCKNGDNISTMKLPYACKLLFQELQSMNVVPRLKLTEA >DRNTG_29692.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3843219:3849726:1 gene:DRNTG_29692 transcript:DRNTG_29692.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase III subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G45140) UniProtKB/Swiss-Prot;Acc:F4KD38] MSYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYSCVNEIYSNGARDKIVRPDTDPSARKSTMQILDNDGIAAPGEIVRNHDVLVNKYAPKNTGDRHPSGTPIDYRPANATYKGPEGETTVIDRVLLSSDPNGKLCIKFIVRHTRRPELGDKFSSRHGQKGVCGTIVQQEDFPFTERGICPDLIMNPHGYPSRMTVGKMIELLGSKAGVSCGRFHYGSAFGEPSGHADKVEDISATLVKHGFSYSGKDFLYSGITGYPLQAYIFMGPIYYQKLKHMVLDKMHARAKGPRVTLTRQPTEGRSRDGGLRLGEMERDCLIAYGTSMILFERLMLSSDPYQVQVCRKCGLLGYYNYKLKTAFCSMCKNGDNISTMKLPYACKLLFQELQSMNVVPRLKLTEA >DRNTG_03785.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1772209:1778833:1 gene:DRNTG_03785 transcript:DRNTG_03785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLEQDIHPTIALGDGETTGWNGLI >DRNTG_03785.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1772209:1773327:1 gene:DRNTG_03785 transcript:DRNTG_03785.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLEQDIHPTIALGDGETTGWNGLI >DRNTG_29540.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18127232:18127763:-1 gene:DRNTG_29540 transcript:DRNTG_29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRMIPTEFSCNQINLTSYLIILSCLICR >DRNTG_14760.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:253500:255573:-1 gene:DRNTG_14760 transcript:DRNTG_14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLDRRNMLIALGGGLYGAAATGTPHAKGLPIQPPDVSQCQLADGGGNQINCCPPYKSNSKIIDFKLPSPTSPMRVRPAAHLVNNEYLKKYRRAVQLMRELPASDPRNFMQQANVHCAYCDGAYDQVGFPDLELQVHNSWLFFPWHRYYLYFNERILGKLIGDETFALPYWNWDAQAGMRLPSIYTTPSTSLYDPLRDAKHQPPTVIDLDYNLVDQNLPDQEQIDQNLTIMYRQVVANKTPELFLGAAYRRGDQPNPGAGSLENVPHGPVHLWTGDRTQPNIEDMGTFYSAGRDPIFFAHHGNIDRLWYIWQTKVAAKNNTGFKDKDWLDAAFLFYDENAQLVRVKVRDCLDNKLLRYTYQEVDIPWLRKRPTPKAARAAARSAITEATFPLTLTAAASATVKRPRVGRSQSEKAAETEVLVVEGIQFPRDKAIKFDVFINAPSDFGQMRANASEFVGSFVNVAHTHRSTDSQMAVGRTRLKLSITESLDDIGADGDENIIVTLVPRTGTENVIIGGLSIDFSSSA >DRNTG_28074.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21413607:21414852:-1 gene:DRNTG_28074 transcript:DRNTG_28074.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYACYYSGLKDKEMDHLELMGNKHAMKFTLKHCNCVVDLLGRSACLDEGEDLIKSMLISANHVIWKTLSSAHKSQKKVEMVKHRSR >DRNTG_03567.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5697444:5699844:1 gene:DRNTG_03567 transcript:DRNTG_03567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEYVQNKQISRRVCWKSSRDQRRNGKEVQAIQGSSQVQIAQFLTQAISMEAKRVKNVSFWRWFMAFQRRENTSGGCAGCAQPAHTSQSVARSIVRVAHRSYTPDRRVCGLVCRPHIKPHTGPRMVCGLSTARTQTAQPFQPIFSTQFNINGADFFTFL >DRNTG_07579.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22114001:22117905:-1 gene:DRNTG_07579 transcript:DRNTG_07579.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSASTFFTTRDDVLDHHNIQVKQQQQQQQQQHHHHHHQQPPPQQQSSPLPIPSSSVTAVPPPKKRRNLPGNPTPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLRQKNPKEVRRKVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESGRLPTSLSTIGGHLYGNSSMALGLSQMNSQLSSIQEQGHTSSDVLRLGRGGGGSQFDHLIAPSSGHSTFGASNPPLSSTFFLGGGAGSNQGYNEEGLVLQSKPFHGLMQLPDLQPNNNSSTSTGPGAANLFNLSFFPNSNSSSNINSSNNNNVGSLLMSNQFSNGNGDGETGSHFTGNLMSGHHIGMSSMFHTMQNESMIPQMSATALLQKAAQMGSSSSGGTMLRGIGIGGGGGGGGGGGDNLRTQIDSETHFHDLMNTLNNGSNGMFGVSGGLSTFGGNCTGNADQEQVTGFGGISSAGLCNIGQSDGVLTRDFLGVGSMVRSMASGINQREQHAGFDIGSLEPEVKPASSSRSFAS >DRNTG_07579.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22114001:22117905:-1 gene:DRNTG_07579 transcript:DRNTG_07579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSASTFFTTRDDVLDHHNIQVKQQQQQQQQQHHHHHHQQPPPQQQSSPLPIPSSSVTAVPPPKKRRNLPGNPTPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLRQKNPKEVRRKVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESGRLPTSLSTIGGHLYGNSSMALGLSQMNSQLSSIQEQGHTSSDVLRLGRGGGGSQFDHLIAPSSGHSTFGASNPPLSSTFFLGGGAGSNQGYNEEGLVLQSKPFHGLMQLPDLQPNNNSSTSTGPGAANLFNLSFFPNSNSSSNINSSNNNNVGSLLMSNQFSNGNGDGETGSHFTGNLMSGHHIGMSSMFHTMQNESMIPQMSATALLQKAAQMGSSSSGGTMLRGIGIGGGGGGGGGGGDNLRTQIDSETHFHDLMNTLNNGSNGMFGVSGGLSTFGGNCTGNADQEQVTGFGGISSAGLCNIGQSDGVLTRDFLGVGSMVRSMASGINQREQHAGFDIGSLEPEVKPASSSRSFAS >DRNTG_19593.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001023.1:54407:55343:1 gene:DRNTG_19593 transcript:DRNTG_19593.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTEKLMNQIMELKFTSKSLQRQARKCEKEEKSEKLKVKKAIEKGNMDGARIYAENSIRKRNEQMNYLRLASRLDAVVARLDTQAKMQTIGKSMGSIVKALESSLATGNLQKMSETMDQFERQFVNMEVQAEFMEGSMAGSTSLSTPEGEVNSLMQQVADDYGLEVTVGLPQAAAHAIPAKEKEKVDEDDLSRRLAELKARG >DRNTG_19593.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001023.1:54407:69393:1 gene:DRNTG_19593 transcript:DRNTG_19593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTEKLMNQIMELKFTSKSLQRQARKCEKEEKSEKLKVKKAIEKGNMDGARIYAENSIRKRNEQMNYLRLASRLDAVVARLDTQAKMQTIGKSMGSIVKALESSLATGNLQKMSETMDQFERQFVNMEVQAEFMEGSMAGSTSLSTPEGEVNSLMQQVADDYGLEVTVGLPQAAAHAIPAKEKEKVDEDDLSRRLAELKARG >DRNTG_02933.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4140268:4143214:-1 gene:DRNTG_02933 transcript:DRNTG_02933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDSHPNYCQYNRLTGHTIEEWDAPVALKNELPQNPPIIQEAIQEDESISSLGQTPSASFIKDASSSMESDGGNTHVESVPCDSKNANIENTPQNSGNVNVDATTLGMQNEDVQEIGKGVHTPTKELESDGSNADVGITNLPKQAQGSKNIPNVVLRLQDEMINNIKSLNCHNYQGIIDKVKESKLLFQPFNVDLNNLEPFIEKIARCASYAKEASEDPNVQACIRSQQAKACVHERTLALEHLNNLRTNWTNDINANKEEYQKLAEREYQLQAELYEIQGTMKTLGEKIADSTDLFKASEPTQANLQVALDEAKTQLAENEGENIDTPLMAIFTKWLDELEEAKESLLKFTGH >DRNTG_30576.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001593.1:43228:45458:1 gene:DRNTG_30576 transcript:DRNTG_30576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPSRNNRSRGLLRCLAKDGNNGDVFSEDPFGPYPWESSLSSGDGTAIQWMPEDRITLFTSEGLIQIGGSLVPRRFPTSDKQKSRQKAPQRFQRFQESDYMDPNQGLCLGALFDIAATNGLDMGRRLCIFGFCRSIEMLSDVVEDIVLENGGEVVAAEKESKEGLQEKLNMTVAVPLLWGVPPASDTLRFAVRSGGGVVEKVYWQWDFL >DRNTG_19236.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18921219:18922829:1 gene:DRNTG_19236 transcript:DRNTG_19236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKYELGKLLGQGTFAKVYHGRDLKNSQSVAIKVIDKEKVSKVGLVDQIKREISVMRLIRHPHVVRLFEVMANKNKIYFVMEYVKGGELFNKLLKGKLKEDVVRKYFQQLISSVDFCHSRGVYHRDLKPENLLLDDEGDLKVSDFGLSALTESKRQDGLLHTTCGTPAYVAPEVISRRGYEGAKADIWSCGVILFVLLAGYLPFHDQNLMEMYRKIGKAEFKCPGWFPSDVRKLLSKILDPNPSTRITIEEIMENSWFRKGFDYKPMMMINDTNTEAAEEVLNSSENSPVMKPTSLKCF >DRNTG_29019.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22244468:22250447:1 gene:DRNTG_29019 transcript:DRNTG_29019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDSPFHFSFISLVSVVHGFICLRFYHSLIMITEATKMICWNCRGISSRDTVSRVLHLLKKFNPSIFCLVETRANDERLDRFCNRLKSKWKWAAIVAEGYSGGIIVIWDKNIGSVTPLVKTKFVLHLVITTGNSNTWIISTVYNSNTLQGQYILWRELSGLNSLNLPWIIMGDFNAVTTQDEHRGGSHYYYSRKASVFSDFINSNNLLDIEYVGSQFTWCNNRHGLARRWARLDRCLANSLWLNHFESCLVKHLPRFLSDHSPLLLSIFPRNLTKKRIFRFDNYWLNYIGCHSVVREAWLFKSRSNPMHTVSHLIARTRSLLLEWKSKGLDPLETNINKLESAIFEAELKDDTGELDDSSIQSLSNMYNKLSALQRQNSIKWAQRARLMWVHCGDNNSSFFHNSVRFRSHINSITHIMDSDGNVFLDQRDINQVFINYFTDLWHNSSTSDFQGILQALPSDLPQISNSEGELLIKDITLKEVHEALLSLPTGKSPGPDGLNAEFYRFYWHEIGDTLFTAIKHFFEHSTMPNSWGRTFITLIPKKPNPKYATDYRPISLCNVCYKIISKILANRLKRVLPNLIGKEQCGFVSGRIPFDNIITLQEVAHSLDRDISSLPRMLIKLDIEKAYDTLSWNAILATLTKMNFPGRWINWIYACISNVSFALLINRSSTSWFKSTRGLRQGDPLSSYLFILVAQNLTSMLNFAMTNNMIPGFNSRLSHNFNHLMYADDLILITQASRKASRYVKLCLSIYGNLTGQNVNIAKSEIIFPSRFNCRLKHSISSILGYKIGSFPFTYLGILISPKKLALSYFSNMVNKIEKSVTFWRKSRISQAGKTIIINSAIMSIPLYYLSAYPVPDYILDRITKAARTFFWSKDSNRKGIHSVGWNEITLNRSEGGLSIRDLGLSKISLMAKNVFRMLNNANVWWVSLMNLKYGHFKIWTNVVPACCSWFYRGLHRNAKLIRPYLWINTLNPNLTSFMYDPWYFEIPLSHKPTFLNMDFDLENFDINDLVSNNRWNINYLHRIFGNCLHDYILCHGHINFAHDNNWVWFPKSKGAKLSSMIYSHFNQIKSGNDLWAGWSTLWCLKVAPRVKYFLWLMFHNAVKTQEYLYNLNLGPNGLCYLCNLYPESAEHLFVSCHKTQEIWIQISNAIRKPIHIPNGISSGNWLNQDYSGNDSHTQSVIAATVWFIWKARCNKVFNNTPFNSGVVSSQAIGYAREYHYSSSSYIGKTFINYNISITDSPALFSAVIYNEETSSAGCGFLVYDHQAKFIMAGSCWCPAASELEAELMALCFGLHCCRSGGTNIKTILASNEILVEAFKHGFFIDCWRLNWQVSAIKELFAHFGDPKMNTIPRCWNQATSSIALHGLNHHNISLFHQGKDLPKWLMNCISRFGVSC >DRNTG_15421.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17844243:17846931:-1 gene:DRNTG_15421 transcript:DRNTG_15421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCISYPGTVTSRSRTLAATSTWPTTPRCEAEEESSEIAIRSVPFGMELKGEGGHLGIENG >DRNTG_19521.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:143049:145617:-1 gene:DRNTG_19521 transcript:DRNTG_19521.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAIADERSTTVEIKDLSFTYPGIDGHPPPGSAPLIDGFSLTLHAGNRCLLVGSNGAGKTTILKILGGKHMVGPEMVRVLGRSAFHDTALTSSGDLSYLGGEWRRDVAFAGFEVSIQMDVSAEKMIYGVTGVDPQRRDELIKVLDIDLSWRMHKSSDGQRRRVQICMGLLKPFKVLLLDEITVDLDVLARANLLKYLSKECEERGATIIYATHIFDGLENWPTHIVYVAHGKLQLALPLAKVKEMSNLSLMRTVESWLRKERDEDRKRRKERKLKGLEEFDRAVEGTRVIGDPAKSAVRVVNNGWAAGRLHSTVAGEENFTFSSNRVLRQ >DRNTG_16703.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2556692:2561134:1 gene:DRNTG_16703 transcript:DRNTG_16703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNLENNPLILSRASVVPEDSPSDSWHVQIFRSIDSGSIKGFPNAVQEAKQMNLVCEKNLVIDRSIHTAYVNAIRSAKKFIYIENQFFLGSSYAWPSNQNAGFKQFLVQI >DRNTG_12450.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20977379:20982166:1 gene:DRNTG_12450 transcript:DRNTG_12450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVEVATEERTPLLNSEVEEILPTQSDAPAKPDPIGRRLVSLDVFRGLTVALMILVDDAGGAFPVINHSPWFGVTLADFVMPFFLFGVGVSIALVCKKIPNKVVSTNKVIVRTVKLFLLGLILQGGYFHGRDSLTYGVDIDRIRWLGVLQRISIGYFLAAMSEIWLVSNISVDSPMSFVKKYCIEWVVAILLSSLYVGLVYGLHVPNWTFELPSTNSTLSIPSYGGRAETVQCGVRGSLEPPCNAVGLIDRLLLGERHLYQRPVYRRTKDCSINSPDYGPLPPNSPVWCLAPFDPEGILSSLMASITCFAGLHFGHFIVHYKSHAQRLLLWSMNSLVFFLCGYIVQFLGMPFSKPLYTLSYMFLTTGASGFLLTLIYYIVDSKGFRKPFILLQWMGMNALIVYALAACELFPAAMQGFYWRSPSNNLVCIFAS >DRNTG_12450.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20977379:20982073:1 gene:DRNTG_12450 transcript:DRNTG_12450.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVEVATEERTPLLNSEVEEILPTQSDAPAKPDPIGRRLVSLDVFRGLTVALMILVDDAGGAFPVINHSPWFGVTLADFVMPFFLFGVGVSIALVCKKIPNKVVSTNKVIVRTVKLFLLGLILQGGYFHGRDSLTYGVDIDRIRWLGVLQQCRKYGS >DRNTG_12450.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20977379:20982073:1 gene:DRNTG_12450 transcript:DRNTG_12450.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVEVATEERTPLLNSEVEEILPTQSDAPAKPDPIGRRLVSLDVFRGLTVALMILVDDAGGAFPVINHSPWFGVTLADFVMPFFLFGVGVSIALVCKKIPNKVVSTNKVIVRTVKLFLLGLILQGGYFHGRDSLTYGVDIDRIRWLGVLQRISIGYFLAAMSEIWLVSNISVDSPMSFVKKYCIEWVVAILLSSLYVGLVYGLHVPNWTFELPSTNSTLSIPSYGGRAETVQCGVRGSLEPPCNAVGLIDRLLLGERHLYQRPVYRRTKDCSINSPDYGPLPPNSPVWCLAPFDPEGILSSLMASITCFAGLHFGHFIVHYKSHAQRLLLWSMNSLVFFLCGYIVQFLGMPFSKPLYTLSYMFLTTGASGFLLTLIYYIVDSKGFRKPFILLQWMGMNALIVYALAACELFPAAMQGFYWRSPSNNLVNATESFLQTVFHSKKWGNVGFCVAGDPFLVPLRRISPHETNLLQIVVDELPEPHIIAFSLLDLSFFLHLDSRL >DRNTG_12450.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20977379:20982073:1 gene:DRNTG_12450 transcript:DRNTG_12450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVEVATEERTPLLNSEVEEILPTQSDAPAKPDPIGRRLVSLDVFRGLTVALMILVDDAGGAFPVINHSPWFGVTLADFVMPFFLFGVGVSIALVCKKIPNKVVSTNKVIVRTVKLFLLGLILQGGYFHGRDSLTYGVDIDRIRWLGVLQRISIGYFLAAMSEIWLVSNISVDSPMSFVKKYCIEWVVAILLSSLYVGLVYGLHVPNWTFELPSTNSTLSIPSYGGRAETVQCGVRGSLEPPCNAVGLIDRLLLGERHLYQRPVYRRTKDCSINSPDYGPLPPNSPVWCLAPFDPEGILSSLMASITCFAGLHFGHFIVHYKSHAQRLLLWSMNSLVFFLCGYIVQFLGMPFSKPLYTLSYMFLTTGASGFLLTLIYYIVDSKGFRKPFILLQWMGMNALIVYALAACELFPAAMQGFYWRSPSNNLVNATESFLQTVFHSKKWGNVGFCVAGDPFLVPLRRISPHETNLLQIVVDELPEPHIIAFSLLDLSFFLHLDSRL >DRNTG_12450.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20977379:20982166:1 gene:DRNTG_12450 transcript:DRNTG_12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVEVATEERTPLLNSEVEEILPTQSDAPAKPDPIGRRLVSLDVFRGLTVALMILVDDAGGAFPVINHSPWFGVTLADFVMPFFLFGVGVSIALVCKKIPNKVVSTNKVIVRTVKLFLLGLILQGGYFHGRDSLTYGVDIDRIRWLGVLQQCRKYGS >DRNTG_12450.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20977379:20982073:1 gene:DRNTG_12450 transcript:DRNTG_12450.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVEVATEERTPLLNSEVEEILPTQSDAPAKPDPIGRRLVSLDVFRGLTVALMILVDDAGGAFPVINHSPWFGVTLADFVMPFFLFGVGVSIALVCKKIPNKVVSTNKVIVRTVKLFLLGLILQGGYFHGRDSLTYGVDIDRIRWLGVLQQCRKYGS >DRNTG_12450.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20977379:20982073:1 gene:DRNTG_12450 transcript:DRNTG_12450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVEVATEERTPLLNSEVEEILPTQSDAPAKPDPIGRRLVSLDVFRGLTVALMILVDDAGGAFPVINHSPWFGVTLADFVMPFFLFGVGVSIALVCKKIPNKVVSTNKVIVRTVKLFLLGLILQGGYFHGRDSLTYGVDIDRIRWLGVLQRISIGYFLAAMSEIWLVSNISVDSPMSFVKKYCIEWVVAILLSSLYVGLVYGLHVPNWTFELPSTNSTLSIPSYGGRAETVQCGVRGSLEPPCNAVGLIDRLLLGERHLYQRPVYRRTKDCSINSPDYGPLPPNSPVWCLAPFDPEGILSSLMASITCFAGLHFGHFIVHYKSHAQRLLLWSMNSLVFFLCGYIVQFLGMPFSKPLYTLSYMFLTTGASGFLLTLIYYIVDSKGFRKPFILLQWMGMNALIVYALAACELFPAAMQGFYWRSPSNNLVNATESFLQTVFHSKKWGNVGFCVAGDPFLVPLRRISPHETNLLQIVVDELPEPHIIAFSLLDLSFFLHLDSRL >DRNTG_03250.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000149.1:25683:26059:1 gene:DRNTG_03250 transcript:DRNTG_03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVGFVVRKLGELLAQEAINLYGVSDQVEWLERELGRMQCFLKDADAKKNKGDERVKNWVKEMRDLAFEVEDIVDTFMYFKLRRPERDGCIGFIER >DRNTG_24761.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2171771:2177625:-1 gene:DRNTG_24761 transcript:DRNTG_24761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLPNVSKQVYQSIELSYKFLESHAVKLCFLLCCVFPEDHDIDEIELMRLMVGEGLLIDGADTMDLAQSRVRGLTDILKGSNLLLESQKERHVKMHDVVRDVAIWISQREQGFHVQSGVNLIQWPHTQQLQECRRLSLMRNEIAELPDMIDCPKLQTLILSHNRISRIPDQFFSQMGSLLVLDLSFTNISSLPKSVSCLTNLKTLNLECCQSLKDVSRIRELKKLEILNLGRTQLSFFPREAAALIHLRCLDLTAAYSTSKSLASHLVRVPYKAEQSSRVLTNILIKLGNLEQLFMDNFDVEFGELARLTRLTHLFVHVEDSASLSQDLGSSQLWAQLTNFCICFVPRIRQHSNPLSRHGKTLHLTKTKGLAGWVKVLLDRTTSLELFDCEETTLLSQSSSDTDFPASLFSNLKHLRVDGWKNLIELGDDQSLPEGAFDKLRDMSVVSCPKLRSLLPCKLWTRMNQLKKLVVKECHEMLQLFPYITGMVEDKEKPSSSSTTLQGLGIFNSLRVLHVVSCPKLTYIFLLKQAGGMQHLEELHVEDCAALERIVVSEEEKQVDDCLFPLLKKLLLISLHELTALVTQTVAWEWPSLEYLELRSCPKIMKTALIGPLTSERIGLLVDGGNPKSHAIQILEALNNETVHLIGLWGMGGVGKTTLVKDVANQAKEQSLFGEVVIVTISQTIDLKRIQTEIAECLGFHLNEESVGVRVVKLAERLLIVIYITQVYAIANKAGDAVESSTIRELTWNVMRECAGLPMVPVVIGTTLKGKNSGTWEAVSMRLKRAKEVELPDYEIPKEELMHMMAGGDLLADVETLNEAQCSVDSLLDQLKDCGLLLQDRDERYVKMHDVVRDATIQIGAETDRAFYARAGQGLTEWPRTTKSVMQKCRRLSLMKNDIKDLPPNPKDYQNLEMLILRRNERLSSIPEKFFKKMESLMVLDLSNTGIESPPNSLSCLTNLRVLNLRNCESLKDISHINGLNMLEIVILKSCPVSIAPEGVEWA >DRNTG_16646.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:19124573:19125561:1 gene:DRNTG_16646 transcript:DRNTG_16646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYGSITAAGGDEVHGGSTGVGTGNRGSVVRAIMKERSQCGSQCTDFGGNLAKVQTRRHRVLERFYGSDIARRLEEGYWESFRRHRSGEVYPRPDKGSLVTSRGLSTRPST >DRNTG_18788.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:302782:303412:1 gene:DRNTG_18788 transcript:DRNTG_18788.2 gene_biotype:protein_coding transcript_biotype:protein_coding FSHCSGRTSQHDLGSQTRHRMRHTNPKPVYGAVEAAVVATLVKIRTQVLVVRLSLDNMSTCEAAWTIKFLPMP >DRNTG_18788.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:302782:303412:1 gene:DRNTG_18788 transcript:DRNTG_18788.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSHCSGRTSQHDLGSQTRHRMRHTNPKPVYGAVEAVKQHGL >DRNTG_31188.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26970856:26971893:-1 gene:DRNTG_31188 transcript:DRNTG_31188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGKEKDEDFGLEETHPGFTEGQGLIFPLSSPISKA >DRNTG_11384.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000505.1:20091:20437:-1 gene:DRNTG_11384 transcript:DRNTG_11384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKQWIEEELGISASLLLLSCCFEGL >DRNTG_30106.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31122868:31124900:1 gene:DRNTG_30106 transcript:DRNTG_30106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTLAPFLTTTPTPINNNNNKNKILNPPSKHQHQHHQQQHPYLSLLQLCSHVHEAHQVHALIIKSSQSLDPYYAGRLAEFYAILSSNPSLSLPHASKLLSSFPNPPPTFLYNTLIRAHLNARDPLQSLLLYHQLLSRSALPDRFSFTFALKACTQLRALPLGKQLHSQVFKLGLVTDAHIRNKLLHLYATLGELTHARKVFDECPEPDIIAWNSLLEGYASANDAESLHEVFDLMPVRDVVSWNTFMGSLYRNQRVRRSHCDIQSNAREQGV >DRNTG_15768.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17585217:17588693:1 gene:DRNTG_15768 transcript:DRNTG_15768.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKEKEEFEQARQVSPGHDEAMSTIEEVGQIEYIRGRK >DRNTG_35446.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002248.1:48430:49423:1 gene:DRNTG_35446 transcript:DRNTG_35446.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSTLPVCLLRVPWKSWGRITIFRKGGNGKPRNLVVSGL >DRNTG_27802.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27175582:27179273:-1 gene:DRNTG_27802 transcript:DRNTG_27802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKAKKSLKKKMIKKVVAKRAVSRPMDEPSNFLPLEGGSAGRQLQGEKPVKNVATVLYIGRIPHGFYEDQMEGFFKQFGEIKHLRIVRNKKTGKSKHYGFIEFEDPEVAKVVADEIHGYLLFEHRLQLHLIPPERVPPKLWKGIGRWYKPFDWKEFARKKHNKDRTVEEHEKMVEGILKRNEERQKRIAAAGIEYECPDFVGMVPKAKKIKFDDEDED >DRNTG_12402.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12372875:12373276:-1 gene:DRNTG_12402 transcript:DRNTG_12402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRVRLGKNENEEREKVTRFLGKLNLILLLGLGQIALMRARKCHRGHEGTIWAKKRRKPGHSTVAIEEDDLLEGILAQ >DRNTG_06050.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32572187:32573618:1 gene:DRNTG_06050 transcript:DRNTG_06050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQLLFIDISVTISFLRKPLYGRKLTTTERKKIFQTFIDVICVIPLVVLMLLPVSTC >DRNTG_06050.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32570284:32573618:1 gene:DRNTG_06050 transcript:DRNTG_06050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQLLFIDISVTISFLRKPLYGRKLTTTERKKIFQTFIDVICVIPLVVLMLLPVSTC >DRNTG_06050.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32570284:32571068:1 gene:DRNTG_06050 transcript:DRNTG_06050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRICKMVYIFQFFLLILMFFSCHCSFFCLCL >DRNTG_06050.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32570284:32573618:1 gene:DRNTG_06050 transcript:DRNTG_06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQLLFIDISVTISFLRKPLYGRKLTTTERKKIFQTFIDVICVIPLVVLMLLPVTAIGYVIILAVIKKLMPNLVPSSFSTERLDVIKQLTRAKKMKGHPSCGHVS >DRNTG_16718.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3057008:3074433:-1 gene:DRNTG_16718 transcript:DRNTG_16718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLTKNLQQRPPSNKFFGDSNANAARQLPHHFCSYMSSPWHSFILQNGHRTNTHQSLSQVKLTRSYFLGCFPEESGNVLL >DRNTG_12516.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6710238:6715031:-1 gene:DRNTG_12516 transcript:DRNTG_12516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKLDIEKAYDTISWNEILTILKKMNFYNNWISCISTCLKSSSFSIIINGVASPWFSSSRGVHQGDPISFHLFIVVSQILTTLLNSELRRGIIPGFCSNLKHNFNHLMYADDLILIMHATRSAAITIVRCLNFYSYIFG >DRNTG_03766.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18138226:18138718:1 gene:DRNTG_03766 transcript:DRNTG_03766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARLHYLDENINDKVPLRISRKCTGLSKSVVISVKMIQFSKVKTTSKRAREKEEVRQSIKMGYSFNAPPKIIVSSARTPIMLPNRCNEERLDNLSTSHSNRVAKSSRDSK >DRNTG_20608.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2282166:2286395:1 gene:DRNTG_20608 transcript:DRNTG_20608.7 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSLCVTQAMQHELAQKLGNQVS >DRNTG_20608.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2279498:2286395:1 gene:DRNTG_20608 transcript:DRNTG_20608.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSLCVTQAMQHELAQKLGNQVS >DRNTG_20608.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2279498:2286395:1 gene:DRNTG_20608 transcript:DRNTG_20608.4 gene_biotype:protein_coding transcript_biotype:protein_coding ESQESCQKLWNYASGKFLKVYTGHVNQAYCITSTFSVTNGKYIVSGSEDNCIYIWDLQGDSIITGSCGVIKTVLVVD >DRNTG_20608.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2279498:2286395:1 gene:DRNTG_20608 transcript:DRNTG_20608.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSLCVTQAMQHELAQKLGNQVS >DRNTG_20608.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2282166:2286395:1 gene:DRNTG_20608 transcript:DRNTG_20608.6 gene_biotype:protein_coding transcript_biotype:protein_coding YFDLPSFGETPTRENTWKNGSGETFEKFFGRMADGSLCVTQAMQHELAQKLGNQVS >DRNTG_20608.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2279498:2286395:1 gene:DRNTG_20608 transcript:DRNTG_20608.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSLCVTQAMQHELAQKLGNQVS >DRNTG_20608.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2279498:2286395:1 gene:DRNTG_20608 transcript:DRNTG_20608.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSLCVTQAMQHELAQKLGNQVS >DRNTG_27510.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17043340:17044026:1 gene:DRNTG_27510 transcript:DRNTG_27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNESTNSRDGSQARNNYNNNHATVEMRPIQVEEIESMQASQPHRSQGPLLEVISTLVLGETTEIEKKLHTKGGKGATTIARSRTQFTNKGIDRGP >DRNTG_13949.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21301577:21305655:-1 gene:DRNTG_13949 transcript:DRNTG_13949.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalase isozyme B (EC 1.11.1.6) (CAT-B) [Source: Projected from Oryza sativa (Os06g0727200)] MEKFIMLKFHWRPTCGVKCLLDNEAVNVGGSNHSHATKDLYDSISTGNYPEWKLFIQTMDPDHEDRFDFDPLDVTKTWPEDILPLQPVGRLVLNKNIDNFFAENEQLAFCPAIVVPGIYYSDDKLLQTRIFSYADTQRHRLGPNYLMLPVNAPKCAHHNNHHDGFMNFMHRDEEVNYFPSRYDSVGHAEEFPIPPPILNGKREKCIIHKENNFKQAGERYRSWAPDRQERFVNRCVDALSDQRVTHELRNIWISYWSQCDKSLGEKLANQLSMKPSM >DRNTG_01848.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21328407:21330832:1 gene:DRNTG_01848 transcript:DRNTG_01848.9 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPLMDSSPNDKQRKSDASLEDQPSLIGFHSPTMPSPQQSDQAQRGNFSAFNSKNTGESASDV >DRNTG_01848.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21322541:21328598:1 gene:DRNTG_01848 transcript:DRNTG_01848.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEVENLGRVYGEPRMRRSSPRSSSPHFAPAPDFAYENFQQFQKENEFLTARLLSMEEETKMLKEALSKRNGELQTTRNLCAKTVNRLHTIESHMLVLNQQTGPSKPDINLILEDSLNESNLPSKSSMPLDVIDEEGSCLESGEGTLSEFSNLNKEEEVDKAKKTDKSSHMDLMDDFLEMERFACLSTGTDKNVILPKSETGKAISGNADTTQIVQNDGGKGQSKLMFSCTGQLEKQVASKGNNVPLSELQSRIVLLFQSQLVEPNLNKVLEEINHIVQHVQEDLPLNSSSCIIEENLSANATNDQQQYPEDARETAKSGTSLEQISTSFIDTKHAIDQKLKNAISQVHDFVISFGRDALKIQSTFSDSHELDEEFKKFSACVDRVLSEKMVLDEFVIALSNTMFAMSGLTFNMFKTKHSEGENSSDYVDKVTLLEKKITQHEPTKERSLLDSHQPPTLKVEEPIDSAYGVMPTIDECSSEELEQLKLENKNLKVDLARCTASLEQTKIQLVETEQHLSELILELGACQKSNSLAQTQLKCMTESYKLLETQTQELVTEINLLQLKAETLEKDLQEEKHSHQDYLDKYKNLKEKMERNEKLASCSLPLDADAGPKAQQVW >DRNTG_01848.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21325921:21328598:1 gene:DRNTG_01848 transcript:DRNTG_01848.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEVENLGRVYGEPRMRRSSPRSSSPHFAPAPDFAYENFQQFQKENEFLTARLLSMEEETKMLKEALSKRNGELQTTRNLCAKTVNRLHTIESHMLVLNQQTGPSKPDINLILEDSLNESNLPSKSSMPLDVIDEEGSCLESGEGTLSEFSNLNKEEEVDKAKKTDKSSHMDLMDDFLEMERFACLSTGTDKNVILPKSETGKAISGNADTTQIVQNDGGKGQSKLMFSCTGQLEKQVASKGNNVPLSELQSRIVLLFQSQLVEPNLNKVLEEINHIVQHVQEDLPLNSSSCIIEENLSANATNDQQQYPEDARETAKSGTSLEQISTSFIDTKHAIDQKLKNAISQVHDFVISFGRDALKIQSTFSDSHELDEEFKKFSACVDRVLSEKMVLDEFVIALSNTMFAMSGLTFNMFKTKHSEGENSSDYVDKVTLLEKKITQHEPTKERSLLDSHQPPTLKVEEPIDSAYGVMPTIDECSSEELEQLKLENKNLKVDLARCTASLEQTKIQLVETEQHLSELILELGACQKSNSLAQTQLKCMTESYKLLETQTQELVTEINLLQLKAETLEKDLQEEKHSHQDYLDKYKNLKEKMERNEKLASCSLPLDADAGPKAQQVW >DRNTG_01848.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21323613:21330747:1 gene:DRNTG_01848 transcript:DRNTG_01848.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEVENLGRVYGEPRMRRSSPRSSSPHFAPAPDFAYENFQQFQKENEFLTARLLSMEEETKMLKEALSKRNGELQTTRNLCAKTVNRLHTIESHMLVLNQQTGPSKPDINLILEDSLNESNLPSKSSMPLDVIDEEGSCLESGEGTLSEFSNLNKEEEVDKAKKTDKSSHMDLMDDFLEMERFACLSTGTDKNVILPKSETGKAISGNADTTQIVQNDGGKGQSKLMFSCTGQLEKQVASKGNNVPLSELQSRIVLLFQSQLVEPNLNKVLEEINHIVQHVQEDLPLNSSSCIIEENLSANATNDQQQYPEDARETAKSGTSLEQISTSFIDTKHAIDQKLKNAISQVHDFVISFGRDALKIQSTFSDSHELDEEFKKFSACVDRVLSEKMVLDEFVIALSNTMFAMSGLTFNMFKTKHSEGENSSDYVDKVTLLEKKITQHEPTKERSLLDSHQPPTLKVEEPIDSAYGVMPTIDECSSEELEQLKLENKNLKVDLARCTASLEQTKIQLVETEQHLSELILELGACQKSNSLAQTQLKCMTESYKLLETQTQELVTEINLLQLKAETLEKDLQEEKHSHQDYLDKYKNLKEKMERNEKLASCSLPLDADAGPKAQQERELAAAAEKLAGCQETIFLLSKQLRVMHPPLMDSSPNDKQRKSDASLEDQPSLIGFHSPTMPSPQQSDQAQRGNFSAFNSKNTGESASDV >DRNTG_01848.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21322659:21330747:1 gene:DRNTG_01848 transcript:DRNTG_01848.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEVENLGRVYGEPRMRRSSPRSSSPHFAPAPDFAYENFQQFQKENEFLTARLLSMEEETKMLKEALSKRNGELQTTRNLCAKTVNRLHTIESHMLVLNQQTGPSKPDINLILEDSLNESNLPSKSSMPLDVIDEEGSCLESGEGTLSEFSNLNKEEEVDKAKKTDKSSHMDLMDDFLEMERFACLSTGTDKNVILPKSETGKAISGNADTTQIVQNDGGKGQSKLMFSCTGQLEKQVASKGNNVPLSELQSRIVLLFQSQLVEPNLNKVLEEINHIVQHVQEDLPLNSSSCIIEENLSANATNDQQQYPEDARETAKSGTSLEQISTSFIDTKHAIDQKLKNAISQVHDFVISFGRDALKIQSTFSDSHELDEEFKKFSACVDRVLSEKMVLDEFVIALSNTMFAMSGLTFNMFKTKHSEGENSSDYVDKVTLLEKKITQHEPTKERSLLDSHQPPTLKVEEPIDSAYGVMPTIDECSSEELEQLKLENKNLKVDLARCTASLEQTKIQLVETEQHLSELILELGACQKSNSLAQTQLKCMTESYKLLETQTQELVTEINLLQLKAETLEKDLQEEKHSHQDYLDKYKNLKEKMERNEKLASCSLPLDADAGPKAQQERELAAAAEKLAGCQETIFLLSKQLRVMHPPLMDSSPNDKQRKSDASLEDQPSLIGFHSPTMPSPQQSDQAQRGNFSAFNSKNTGESASDV >DRNTG_01848.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21330006:21330747:1 gene:DRNTG_01848 transcript:DRNTG_01848.11 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPLMDSSPNDKQRKSDASLEDQPSLIGFHSPTMPSPQQSDQAQRGNFSAFNSKNTGESASDV >DRNTG_01848.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21322659:21330747:1 gene:DRNTG_01848 transcript:DRNTG_01848.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEVENLGRVYGEPRMRRSSPRSSSPHFAPAPDFAYENFQQFQKENEFLTARLLSMEEETKMLKEALSKRNGELQTTRNLCAKTVNRLHTIESHMLVLNQQTGPSKPDINLILEDSLNESNLPSKSSMPLDVIDEEGSCLESGEGTLSEFSNLNKEEEVDKAKKTDKSSHMDLMDDFLEMERFACLSTGTDKNVILPKSETGKAISGNADTTQIVQNDGGKGQSKLMFSCTGQLEKQVASKGNNVPLSELQSRIVLLFQSQLVEPNLNKVLEEINHIVQHVQEDLPLNSSSCIIEENLSANATNDQQQYPEDARETAKSGTSLEQISTSFIDTKHAIDQKLKNAISQVHDFVISFGRDALKIQSTFSDSHELDEEFKKFSACVDRVLSEKMVLDEFVIALSNTMFAMSGLTFNMFKTKHSEGENSSDYVDKVTLLEKKITQHEPTKERSLLDSHQPPTLKVEEPIDSAYGVMPTIDECSSEELEQLKLENKNLKVDLARCTASLEQTKIQLVETEQHLSELILELGACQKSNSLAQTQLKCMTESYKLLETQTQELVTEINLLQLKAETLEKDLQEEKHSHQDYLDKYKNLKEKMERNEKLASCSLPLDADAGPKAQQERELAAAAEKLAGCQETIFLLSKQLRVMHPPLMDSSPNDKQRKSDASLEDQPSLIGFHSPTMPSPQQSDQAQRGNFSAFNSKNTGESASDV >DRNTG_01848.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21323613:21330747:1 gene:DRNTG_01848 transcript:DRNTG_01848.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEVENLGRVYGEPRMRRSSPRSSSPHFAPAPDFAYENFQQFQKENEFLTARLLSMEEETKMLKEALSKRNGELQTTRNLCAKTVNRLHTIESHMLVLNQQTGPSKPDINLILEDSLNESNLPSKSSMPLDVIDEEGSCLESGEGTLSEFSNLNKEEEVDKAKKTDKSSHMDLMDDFLEMERFACLSTGTDKNVILPKSETGKAISGNADTTQIVQNDGGKGQSKLMFSCTGQLEKQVASKGNNVPLSELQSRIVLLFQSQLVEPNLNKVLEEINHIVQHVQEDLPLNSSSCIIEENLSANATNDQQQYPEDARETAKSGTSLEQISTSFIDTKHAIDQKLKNAISQVHDFVISFGRDALKIQSTFSDSHELDEEFKKFSACVDRVLSEKMVLDEFVIALSNTMFAMSGLTFNMFKTKHSEGENSSDYVDKVTLLEKKITQHEPTKERSLLDSHQPPTLKVEEPIDSAYGVMPTIDECSSEELEQLKLENKNLKVDLARCTASLEQTKIQLVETEQHLSELILELGACQKSNSLAQTQLKCMTESYKLLETQTQELVTEINLLQLKAETLEKDLQEEKHSHQDYLDKYKNLKEKMERNEKLASCSLPLDADAGPKAQQERELAAAAEKLAGCQETIFLLSKQLRVMHPPLMDSSPNDKQRKSDASLEDQPSLIGFHSPTMPSPQQSDQAQRGNFSAFNSKNTGESASDV >DRNTG_01848.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21330006:21330998:1 gene:DRNTG_01848 transcript:DRNTG_01848.10 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPLMDSSPNDKQRKSDASLEDQPSLIGFHSPTMPSPQQSDQAQRGNFSAFNSKNTGESASDV >DRNTG_01848.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21322541:21330747:1 gene:DRNTG_01848 transcript:DRNTG_01848.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEVENLGRVYGEPRMRRSSPRSSSPHFAPAPDFAYENFQQFQKENEFLTARLLSMEEETKMLKEALSKRNGELQTTRNLCAKTVNRLHTIESHMLVLNQQTGPSKPDINLILEDSLNESNLPSKSSMPLDVIDEEGSCLESGEGTLSEFSNLNKEEEVDKAKKTDKSSHMDLMDDFLEMERFACLSTGTDKNVILPKSETGKAISGNADTTQIVQNDGGKGQSKLMFSCTGQLEKQVASKGNNVPLSELQSRIVLLFQSQLVEPNLNKVLEEINHIVQHVQEDLPLNSSSCIIEENLSANATNDQQQYPEDARETAKSGTSLEQISTSFIDTKHAIDQKLKNAISQVHDFVISFGRDALKIQSTFSDSHELDEEFKKFSACVDRVLSEKMVLDEFVIALSNTMFAMSGLTFNMFKTKHSEGENSSDYVDKVTLLEKKITQHEPTKERSLLDSHQPPTLKVEEPIDSAYGVMPTIDECSSEELEQLKLENKNLKVDLARCTASLEQTKIQLVETEQHLSELILELGACQKSNSLAQTQLKCMTESYKLLETQTQELVTEINLLQLKAETLEKDLQEEKHSHQDYLDKYKNLKEKMERNEKLASCSLPLDADAGPKAQQERELAAAAEKLAGCQETIFLLSKQLRVMHPPLMDSSPNDKQRKSDASLEDQPSLIGFHSPTMPSPQQSDQAQRGNFSAFNSKNTGESASDV >DRNTG_01848.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21322659:21330747:1 gene:DRNTG_01848 transcript:DRNTG_01848.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEVENLGRVYGEPRMRRSSPRSSSPHFAPAPDFAYENFQQFQKENEFLTARLLSMEEETKMLKEALSKRNGELQTTRNLCAKTVNRLHTIESHMLVLNQQTGPSKPDINLILEDSLNESNLPSKSSMPLDVIDEEGSCLESGEGTLSEFSNLNKEEEVDKAKKTDKSSHMDLMDDFLEMERFACLSTGTDKNVILPKSETGKAISGNADTTQIVQNDGGKGQSKLMFSCTGQLEKQVASKGNNVPLSELQSRIVLLFQSQLVEPNLNKVLEEINHIVQHVQEDLPLNSSSCIIEENLSANATNDQQQYPEDARETAKSGTSLEQISTSFIDTKHAIDQKLKNAISQVHDFVISFGRDALKIQSTFSDSHELDEEFKKFSACVDRVLSEKMVLDEFVIALSNTMFAMSGLTFNMFKTKHSEGENSSDYVDKVTLLEKKITQHEPTKERSLLDSHQPPTLKVEEPIDSAYGVMPTIDECSSEELEQLKLENKNLKVDLARCTASLEQTKIQLVETEQHLSELILELGACQKSNSLAQTQLKCMTESYKLLETQTQELVTEINLLQLKAETLEKDLQEEKHSHQDYLDKYKNLKEKMERNEKLASCSLPLDADAGPKAQQERELAAAAEKLAGCQETIFLLSKQLRVMHPPLMDSSPNDKQRKSDASLEDQPSLIGFHSPTMPSPQQSDQAQRGNFSAFNSKNTGESASDV >DRNTG_10339.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:12786787:12789795:-1 gene:DRNTG_10339 transcript:DRNTG_10339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLDPYEEFLDQGVEDEEVLMLGQEEKVQPTAGIMKKILRMMKRARRSHKKRPKTNDDEQEQSKDDEPLGSEGYPEKTQRRVSVPVFDPVNYTQAWYGGHEVFMPHGSTQSCGISTRPTKKVAGKHPCESSPEPEYLEFSILEHQTRFKHLWKLKFGHIVAEYLSHQGEYTRIRVIFSSPYITRLIVGMGLLDAIRGAEKTIVPAPLGLRPCNAVRVLWIKIAKVRPTQFAQHTEMMARLDILQQLLERDTASPFIMSPFTPQAPPTSPSPYPLTPFDLAPPPIVLEQPAQTPTLGASFS >DRNTG_20474.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001121.1:39124:39915:1 gene:DRNTG_20474 transcript:DRNTG_20474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTLERKEGGKCQKCDEGDGGSPATVSATTTTTTNITMPDGQVLTLSDFISRFDEAAKRRVEVMKQKLMYMEMQMEAIENEMSKANGSSNWN >DRNTG_06073.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8377207:8384460:1 gene:DRNTG_06073 transcript:DRNTG_06073.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDTVGQNDADGNVNIALKRAKIKGSQHPYSSQWMDHWMQSRSNSTSQIRKGFTVPGTGNNSEFREAKMLSIEVMRSKITEYRDVCRSEPGKKYVNSDIHSEKGIADDKKIYSLRNNEDASADWKKFSACHFPRSENMNFEGNHFPMFDINRKIEHILSSKKKSKCDTVAIRTAESQFWDCESVLASHAVKFASEEHRFQIWSTAESTDHGTSSSKSAEDSPSDHVDHSGPVFNYHRLNMAAPMYTSKQVSPLSSRPPCDIVNKETYRTFLEYDQYNSCKRLPFPPCLPSEMKDDNQMEGRSTSQFKNKTVQLRTTCYQDGISFPILIHERDKEILSDSNKVSPSLDCEPGLIKFSDFRSPNQHKMLKFSDSTRYFPLIQNMGINLSGGGKIIQEPINSAASKEAASVEAIALSAMSQSHERRPMSLKLLENSISSEDQDRSGINPNTVKNQLESSVKTKRIHSDARQSPAHTAGVNSSRPQKQTYSLVKEAIVRHAESDLPRVNQHLKDSEIRESSTSKTESMDEDHILCHVEKMDNLESTRPVESSFCLESSNRWVKRLRHDPSEYFAHGTKRLKVADALGTGKGCPLYNGVLNCKGSSSDLSPSSKEQNESDKAVVLKEKGECSSKEHTKAVNSWVRRWCYSSQQTTTPQVTQTVPVHTKMGDTNTMPERTKVKQLPSLAAMALMGRSVSSFRRCEFQRRGSSVVWNTEQP >DRNTG_06073.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8377207:8384460:1 gene:DRNTG_06073 transcript:DRNTG_06073.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDTVGQNDADGNVNIALKRAKIKGSQHPYSSQWMDHWMQSRSNSTSQIRKGFTVPGTGNNSEFREAKMLSIEVMRSKITEYRDVCRSEPGKKYVNSDIHSEKGIADDKKIYSLRNNEDASADWKKFSACHFPRSENMNFEGNHFPMFDINRKIEHILSSKKKSKCDTVAIRTAESQFWDCESVLASHAVKFASEEHRFQIWSTAESTDHGTSSSKSAEDSPSDHVDHSGPVFNYHRLNMAAPMYTSKQVSPLSSRPPCDIVNKETYRTFLEYDQYNSCKRLPFPPCLPSEMKDDNQMEGRSTSQFKNKTVQLRTTCYQDGISFPILIHERDKEILSDSNKVSPSLDCEPGLIKFSDFRSPNQHKMLKFSDSTRYFPLIQNMGINLSGGGKIIQEPINSAASKEAASVEAIALSAMSQSHERRPMSLKLLENSISSEDQDRSGINPNTVKNQLESSVKTKRIHSDARQSPAHTAGVNSSRPQKQTYSLVKEAIVRHAESDLPRVNQHLKDSEIRESSTSKTESMDEDHILCHVEKMDNLESTRPVESSFCLESSNRWVKRLRHDPSEYFAHGTKRLKVADALGTGKGCPLYNGVLNCKGSSSDLSPSSKEQNESDKAVVLKEKGECSSKEHTKAVNSWVRRWCYSSQQTTTPQVTQTVPVHTKMGDTNTMPERTKVKQLPSLAAMALMGRSVSSFRRCEFQRRGSSVVWNTEQP >DRNTG_21094.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3972778:3976352:-1 gene:DRNTG_21094 transcript:DRNTG_21094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEIQRKAILEKIIALSSDCPQSTNGTGRREEEIEELESQTRNFVDAHPNYIDALEKSILFFEGQRSGRLPTDQNLEI >DRNTG_32000.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1442951:1444935:1 gene:DRNTG_32000 transcript:DRNTG_32000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGNVGLDVMSPAIGGEGEGTWFMPDVLVNVRRAGEDYNIGVVREVLMDGSYKVALGSTGNGDLVTALQTELEKVRPKKSDKIKIMNGSLRGYTGKLIGIDGSDGIVKLDETYEVKILDMDILAKLAMQ >DRNTG_32000.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1441489:1444935:1 gene:DRNTG_32000 transcript:DRNTG_32000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGNVGLDVMSPAIGGEGEGTWFMPDVLVNVRRAGEDYNIGVVREVLMDGSYKVALGSTGNGDLVTALQTELEKVRPKKSDKIKIMNGSLRGYTGKLIGIDGSDGIVKLDETYEVKILDMDILAKLAMQ >DRNTG_34371.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22728560:22730403:-1 gene:DRNTG_34371 transcript:DRNTG_34371.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVALCPGLVKLSSRPEDRPQVLEFLGVREHAWQEAVGVVTSENQPTVELCSQEEGLGHNAMEVPTTVESRTQDGEDLSYPWLQRQGIIGQTEERRWIVGQNAVQTSARNWVVIVTYWWSDRGFIVSIRELPIQKDHTNSLQGQVSSEELLRETKPRSRLYANRRAAAPVLAIAPRWTNVCSCDILSAGSSLFSLCPFSGGVPSILAHQNWSRVSVSVVPRPRTSPQLSSCPQVFEGVCACEGAMHNPYPKESSMEDWVINREFVWIDDREEVIGSHVGGVPIEKEEKFIEVDDPKAVKIKELEKFSSGGTDPKAKERLAINGSGPHAKEKLVFRGVAGAPEGKRAILEISIQIFRPCLELTKPYANIAGGYHQHIGFLDFSFHQISGREDRLVLTDRLGKKFRVKCNEDDTIGDLKKLVPAQTGTKANKIHIQKWYTIYKDHITLKDYVIHDGMGLKLYYKERFVLRECFDGI >DRNTG_10176.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23007305:23010237:1 gene:DRNTG_10176 transcript:DRNTG_10176.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGTIMDSEFSDFHLSSPQALDGVVRCVPGDPAPPALARAPRTIRPQQLSFLSQALPSRAYPLLVSLPLRRTLAPSPSNPSSMAPPPTTGGQH >DRNTG_34933.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18804264:18806435:-1 gene:DRNTG_34933 transcript:DRNTG_34933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCLSAPKQQENSNSGDDSYHDGPGTNVNPPHHQPSQPPDGETVPFRQYTLEELSAATHEFARAPVHYLSRRRRTPEHHLQGHLDGGQQIAVKRFSKYAWPDEEQFREQAIKAGRLRHRRLARLIGYCFQEDMRLLVAEFMPNDSLTRRLLYSTTDKTMEWSMRLRVTFYIAEALEYCINEGQALYFDLNSNKVR >DRNTG_10349.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22930169:22934866:1 gene:DRNTG_10349 transcript:DRNTG_10349.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVGILVDVKVMVNIATVYGRLGLIKYGRSMHGYFVRRFWEKNNMIFVNEWISKMLAEVIQIMEVTTMAIVHACSELGNADEGNSVYELLLRNALCSDSSVMNCLITMYYKCEGLDIATKIL >DRNTG_31883.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4628082:4633493:-1 gene:DRNTG_31883 transcript:DRNTG_31883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFGACSCLFACVVLGLSIFTSAQAQARVLLVNGDGFVKIQRNQFVLNGSPFLFNGFNSYWMMHIGVDPAQRGKVSEVFRDAAAAGLSVCRTWAFSDGGYQALQVSPGVYDERVFQSLDFVVSEAKRYGIRLILSLANNFKDFGGKAQYVQWAKDAGQWVSGEDDFFTNTVVKNYYKNHIKKVLTRYNTITKVVYKDDPTIMAWELINEPRCQVDYSGKTVHAWVEEMAAYTKSIDNKHLLEIGMEGFYGDSMPEKKQYNPGYQVGTDFITSNLLHTIDFATIHAYPDVWLSGKSEADQTTFAQRWMWSHWNDASKILKKPIVIAEFGKSDKDPGYSMIARDKYMSTIYWNIYNFAKSSDGTFGGGLVWQLMAEGMQNYYDGYEIILSEHPSTAGLITRQSHAMASLSHMIN >DRNTG_22915.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8895036:8895644:1 gene:DRNTG_22915 transcript:DRNTG_22915.1 gene_biotype:protein_coding transcript_biotype:protein_coding CCKHADSSRCLLGEGLVLINYTVGYIFEYDEKHPERSRIGFKEELTPLLTLLDPSTPKPKQCYLILSSSY >DRNTG_11810.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:356393:358035:-1 gene:DRNTG_11810 transcript:DRNTG_11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEPTPTRYRVGYALAPKKQQSFIQPSLVSLAASRGIDLIAIDPSVSLCSQGPVDLIIHKLSTTEWKSQLEMFVLENPYTPVIDRLDAIERLHNRISMLQAVSDLRIPQKDETFGIPKQIVIYDSASLSDPAVIETLKFPVIAKPLIADGSAKSHKMSLVFHREGLLKLKPPIVLQEFVNHGGVIFKVYVVGDYVQCVKRKSLPDVSEDISEHSEGSVSFSQVSNLGGSQDQDFETTHLEEAEMPPMDFITDIARGLGKALELHLFNFDVIRDAKIGNHYLVIDINYFPGYAKMPGYEEVLTNFFWNLLHEKKADDADKKKKIVSINHGVVAGEKENVG >DRNTG_01980.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13242107:13242457:1 gene:DRNTG_01980 transcript:DRNTG_01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNLSPSHTNLIKPWTLGIEEGFSYVFSNCEGIHIGDRGEFSKEQASSAITVLSNTKKPDLAPTLLSLASRLAKKAEAQVIFLFGSEKRSLHNIVDLIDAAQHSLSKLYKRGRLS >DRNTG_02334.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:603480:606060:-1 gene:DRNTG_02334 transcript:DRNTG_02334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVKTSRVKYPEGWELIEPTLRELEAKMREAENDPHDGKRKCEALWPIFKIAHQKSRYIYDLYFRRKEISKELYEFCLDQGYADRNLIAKWKKSGYERLCCLRCMQPRDHNFQTTCVCRVPKHLREEKVIECVHCGCRGCASGD >DRNTG_32134.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001787.1:91717:92085:1 gene:DRNTG_32134 transcript:DRNTG_32134.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRVKKRRLVDRTYAMSSVSHESRPHPPVIPLPRS >DRNTG_27608.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16065804:16071311:1 gene:DRNTG_27608 transcript:DRNTG_27608.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDQKEESSSESVEDVEEGEGSIEDDELSSSIDLDDSDIDDDVVEDYLEGIGGSSELLGSEWLANRSLEEYDEDGLLKSGSNSDGDDVKIGGSALMNASMEYGMKKGSVRKAKEKKKKNVHGVSSIVDAEIAAFYDDLLTKESKRGARPIPDAEISELYDALVIKDSRRGARHRKLVSSQVAQSWPGGKKKHRKEFIAVKRRERMLNRGVDLDEINLKLREMVLDELDTLSFAPMHSRDCKQVQRLASIYHLRSGCQGSGKKRFVVVTRTTHTCLPSSTDRVRLEKLLGAGIENYDFTVDDIKSKPQKISRKMSSKSLSRIHSSAPSKLIKNEPSGSKKQASKKSSLSERPVSFVSYGAMQHDQVPEPLVTDLSEISTIETVVHSCPPKLGAFEVHTKGFGSRMMAKMGFIEGSGLGKDGKGMVRPIEPTRRPKSLGLGVLNL >DRNTG_27608.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16065804:16071311:1 gene:DRNTG_27608 transcript:DRNTG_27608.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNKKAGFGSRPRSVSSQSPNPNPTKKPNNGSVGSFVDGGMLTDWQPSSSGKPHRKIKDFRRLRGNTIPFKYPSVSEADDSPLVGSEEEEESKYKRKNEGFLLIGGLRLYTKDVWSPDEAMDEDQKEESSSESVEDVEEGEGSIEDDELSSSIDLDDSDIDDDVVEDYLEGIGGSSELLGSEWLANRSLEEYDEDGLLKSGSNSDGDDVKIGGSALMNASMEYGMKKGSVRKAKEKKKKNVHGVSSIVDAEIAAFYDDLLTKESKRGARPIPDAEISELYDALVIKDSRRGARHRKLVSSQVAQSWPGGKKKHRKEFIAVKRRERMLNRGVDLDEINLKLREMVLDELDTLSFAPMHSRDCKQVQRLASIYHLRSGCQGSGKKRFVVVTRTTHTCLPSSTDRVRLEKLLGAGIENYDFTVDDIKSKPQKISRKMSSKSLSRIHSSAPSKLIKNEPSGSKKQASKKSSLSERPVSFVSYGAMQHDQVPEPLVTDLSEISTIETVVHSCPPKLGAFEVHTKGFGSRMMAKMGFIEGSGLGKDGKGMVRPIEPTRRPKSLGLGVLNL >DRNTG_10139.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19171689:19174599:1 gene:DRNTG_10139 transcript:DRNTG_10139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLVLVEKTLLGLFTAIIVAIVVSKLRNKRFKLPPGPLPVPIFGNWLQVGDDLNHRNLTALARRFGDILLLRMGQRNLVVVSSPDHARDVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVQQNRSGWEAEAQAVIDDVKANPIAATDGIILRRRLQLMMYNNMYRIMFNRRFESEDDALFLKLKALNGERSRLAQSFEYNYGDFIPILRPFLRGYLKICKDVKERRLQLFKDYFLEERKKLVSSGGMDKSGMKCAIDHILEAEQKGEINEDNVLYIIENINVAAIETTLWSIEWGIAELVNHPDIQHKLRNELDTVLGPGVPVTEPDIQNLPYLQAVIKETLRLRMAIPLLVPHMNLHDAKLGGYDIPAESKILVNAWWLANNPELWKNPEEFRPERFFEEETKVETNGNDFRYLPFGVGRRSCPGIILALPILSITIGRLVQNFELFPPPGEKKIDTSEKGGQFSLHILKHSTIVCKPRVL >DRNTG_33031.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21689716:21690305:1 gene:DRNTG_33031 transcript:DRNTG_33031.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFSKGRIRKELEKSGLSPLPFNE >DRNTG_33659.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2103091:2104070:-1 gene:DRNTG_33659 transcript:DRNTG_33659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKKHTSLSSSDTDRGYATISTAPPKRRAGRTKFRETRHPIYRGVRRRGRGEGRWVCEIREPNKKSRIWLGTYATAEMAARAHDVAAITLRGRSACLNFADSAWLLPVPVSRSPRSIRAAAAVAAERFGRGEEVKVGTVVEGVANAGDGPRDTGDEAMGRSEWFDCAEMEMAEGYYYASMAEGLLLDPPPMSECDDVEYDADVELWSYSI >DRNTG_30976.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2494919:2496533:-1 gene:DRNTG_30976 transcript:DRNTG_30976.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDVVIRSRGSKKKGSAIVVMSSKDAAVAATQTMSGDISNPLLVVPLRASSSASNARHAEPVVPKHDDIIGAGFRDYEESILKKLEKAKEKDGAT >DRNTG_03450.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000158.1:24338:25387:1 gene:DRNTG_03450 transcript:DRNTG_03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAKGSRKGKKAWRANISTADIEDYFDNTTKEALAGVSAPPQSLFFENKSDDLPPKRKIEKKREKVLNYELILQKNEFVQPVPSSTLKKSSKRKKEKACEDKSQALVTSKVDEGLDSENLDLWSEKDEINGKIKKVKFYES >DRNTG_30656.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8379027:8382465:-1 gene:DRNTG_30656 transcript:DRNTG_30656.1 gene_biotype:protein_coding transcript_biotype:protein_coding KIHNTRIRKSKNTKYRNTGVENNKNSKFTTG >DRNTG_06365.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24418966:24427578:-1 gene:DRNTG_06365 transcript:DRNTG_06365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRILAIVLQQPEMLVSLSPLVFNEGRRSDRRILPWWLESIQESLALLQILHPVSLLVSSSPEEVTDYGPWLLVSRRRGLSRSCAAVFALPMRLRVWQPLRETMTGLPEAPLFTAYVAGCEVALVGGIPDSHATLHDSPSPIVSGPSDTPDIAAPPVTLSKLENPNPLTQPQQLFPRAREPLDRRHRSPPPVLLSSLVEDPSLNAPNLSPDHTKLVDHVTAALDDGSMEEDSDNDDDASEDSEDQMSEGDEPDDHMTLDQYQEEARRVALIRKGSLLDVETLKKGRLEMAKSLVPGLGLPSLQRVSLEAFLSAGRKVLVRRSLCWSGTSSGLKGAMERASMLLIEVVLLIVNLRGGLGLRNLPFAKISLMAKNVFKYLNSDNNFWVDIARHKYGRLNFWTDPIPTNCSWFFRGLCRTASILKQNLRIKTFNPVQTSFLVDPWLFDIPLAFKPTFLNVNADLNDYHLSVLFDNGQWNHGILCFIFGNLLGALIPCICAYDCGSNNYWVWQPLPSNLKISSAIYHHLNLNTDSNMAWLGWDKLWKLHVAPRVKHFIWLMLHGRISTTDFLNRINIGPHSVCAFCNIELESIDHIFLDCRCAQLVWNMLNYNLGFNISFPDLISAGLWISDYNLSFYTISVIAVTIWFLWKARCDLIFSNIKPNFSNIPSKAISHVQEFMQATCSLLGRRLLLNNFSIFDGLFLFYAHRWNDGSKLGKLGFLISNHNCVISCAGCCTFQADSHLEAGFKALCIALQISSDRQLDIRRILHYNHDISLALLDSRNPANWRFEQDLYDISHLLQIAGNPSMINIPSRWNGPTSALAIFAGSNLHNLNLFLSGRDLPRWIMKSFSEHGFVF >DRNTG_06584.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:557155:560277:1 gene:DRNTG_06584 transcript:DRNTG_06584.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVNKPDGMIREERGPYGDPQHPYFYDEEDVWMAPGFINQFYEVPDYWKVYVQEVDQEREMWLNSFYKAPLRLPMPAELEYWWSRDDTPEFVLINKEPEPDPKDPSKLIYTEDPLILHTRTGRLINYVEDEEHGVRLFWQPRVEDGEDMDPEKIEFLPLGFDEFYGRATPGTEKEVKPSGVIAAIDNAFKPLLNRIQKWIEEKKKTSEANLKLYEKELEFIDAELDLEEAMEDMEIILKEKQKEEEKRAAVENDTDEMPASTGQDEARPDDGEDGDDDEDDEGAPTSFGTVGEGADGDILDGSEEKKSGRSPFSSLSMSLASWSLASMVPYKIQESFLSWKNGRQHPSAEFPPKMDCRRFTEYQQGLDSVAFHTRFNQQINLRAAIDKRSPRKMNNGHWQLKSLARILSAGHSYNAGKMIQIKEETKIEASLSHSILSFHVPITDNL >DRNTG_06584.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:557155:560277:1 gene:DRNTG_06584 transcript:DRNTG_06584.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKEPLYPSVGILIVSFYLGTNLLVSSTCFLLIVIREERGPYGDPQHPYFYDEEDVWMAPGFINQFYEVPDYWKVYVQEVDQEREMWLNSFYKAPLRLPMPAELEYWWSRDDTPEFVLINKEPEPDPKDPSKLIYTEDPLILHTRTGRLINYVEDEEHGVRLFWQPRVEDGEDMDPEKIEFLPLGFDEFYGRATPGTEKEVKPSGVIAAIDNAFKPLLNRIQKWIEEKKKTSEANLKLYEKELEFIDAELDLEEAMEDMEIILKEKQKEEEKRAAVENDTDEMPASTGQDEARPDDGEDGDDDEDDEGAPTSFGTVGEGADGDILDGSEEKKSGRSPFSSLSMSLASWSLASMVPYKIQESFLSWKNGRQHPSAEFPPKMDCRRFTEYQQGLDSVAFHTRFNQQINLRAAIDKRSPRKMNNGHWQLKSLARILSAGHSYNAGKMIQIKEETKIEASLSHSILSFHVPITDNL >DRNTG_06584.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:557155:560277:1 gene:DRNTG_06584 transcript:DRNTG_06584.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEKIEFLPLGFDEFYGRATPGTEKEVKPSGVIAAIDNAFKPLLNRIQKWIEEKKKTSEANLKLYEKELEFIDAELDLEEAMEDMEIILKEKQKEEEKRAAVENDTDEMPASTGQDEARPDDGEDGDDDEDDEGAPTSFGTVGEGADGDILDGSEEKKSGRSPFSSLSMSLASWSLASMVPYKIQESFLSWKNGRQHPSAEFPPKMDCRRFTEYQQGLDSVAFHTRFNQQINLRAAIDKRSPRKMNNGHWQLKSLARILSAGHSYNAGKMIQIKEETKIEASLSHSILSFHVPITDNL >DRNTG_19667.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001037.1:29826:30619:-1 gene:DRNTG_19667 transcript:DRNTG_19667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVEKSVDDVAITAVDNIIDSIVNEISIMMELTADSAVSKVDMIPEEQELAKGVSSVDAVAVAVVEKIIDSVVNEIIVTVEPATDSVTSKAVIIPQQQEAYKEMVTVVWMNDSLSTTRSRLFTLLDGKEMVLDDVMNAFEDASDMTFAMIGGAVRKLHDVDIVILPIIMNGHFHLVVLDNNK >DRNTG_13683.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000632.1:19269:20545:1 gene:DRNTG_13683 transcript:DRNTG_13683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAILLPNISCSMPNWIIDYVDDLPGMGRYAWTQRLQEVMFGNTPQIPFYGENSYQKQALLGPMLSSLVGKKSNTTSLPTNEGPGTDATSNGSRRKSTMSPPPSPNVEITVTPPVVDWPYTRTSSAKVAPIVDPPAVDQPPTDVSPVDDVVTTDVDRITESLANEISVLVELADYSTALKKDTIL >DRNTG_15695.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6527694:6528626:1 gene:DRNTG_15695 transcript:DRNTG_15695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVPRDLVIDDSLVNDIQIPFTHSKPFTSSKTLTLKRNPSRDLYSDDDSCSLKNLSSRDKHSDDGSSLLSSLPRDQDSAPSLKAYVSKKHMDMDDPNPIPMETFEGDMPRDHNSGNDSINLISSETIHSNDPDHLLSSGINVSSMVSHQTIPNSSTSSETLPNHLKHNPPNLVIPDGYKWIFIHGGWTLIPCINTEKFYDQDPSPQKTSLDIPSDEELLDWGEDDDFLTEEIAEDEDFLDDTIINPSDNDLNSVSQLLDQQTDPLPDQVRADDESLVDIAPIVTPDPTSKDITLKLIRRSDRNKKPSGR >DRNTG_14811.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29805758:29810428:1 gene:DRNTG_14811 transcript:DRNTG_14811.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEELRRIMDLKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRQDEAERGITIKSTGISLYYEMSDESLKNYKGERHGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFQRVIENANVIMATYEDALLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENYFDPATKKWTNKSTGTPTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTMKSEEKDLVGKALMKRVMQTWLPASNALLEMMIFHLPSPSKAQRYRVENLYEGPLDDMYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFAGKVSTGLKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQYITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTMEESGEHIVAGAGELHLEICLKDLQEDFMGGAEIIVSDPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLPEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYGVLNQKRGHVFEELQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLEANSQAGQLVADIRKRKGLKEQMTPLSEFEDKL >DRNTG_14811.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29805863:29810428:1 gene:DRNTG_14811 transcript:DRNTG_14811.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEELRRIMDLKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRQDEAERGITIKSTGISLYYEMSDESLKNYKGERHGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFQRVIENANVIMATYEDALLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENYFDPATKKWTNKSTGTPTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTMKSEEKDLVGKALMKRVMQTWLPASNALLEMMIFHLPSPSKAQRYRVENLYEGPLDDMYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFAGKVSTGLKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQYITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTMEESGEHIVAGAGELHLEICLKDLQEDFMGGAEIIVSDPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLPEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYGVLNQKRGHVFEELQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLEANSQAGQLVADIRKRKGLKEQMTPLSEFEDKL >DRNTG_14811.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29805919:29810428:1 gene:DRNTG_14811 transcript:DRNTG_14811.10 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEELRRIMDLKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRQDEAERGITIKSTGISLYYEMSDESLKNYKGERHGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFQRVIENANVIMATYEDALLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENYFDPATKKWTNKSTGTPTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTMKSEEKDLVGKALMKRVMQTWLPASNALLEMMIFHLPSPSKAQRYRVENLYEGPLDDMYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFAGKVSTGLKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQYITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTMEESGEHIVAGAGELHLEICLKDLQEDFMGGAEIIVSDPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLPEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYGVLNQKRGHVFEELQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLEANSQAGQLVADIRKRKGLKEQMTPLSEFEDKL >DRNTG_14811.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29805919:29810492:1 gene:DRNTG_14811 transcript:DRNTG_14811.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEELRRIMDLKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRQDEAERGITIKSTGISLYYEMSDESLKNYKGERHGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFQRVIENANVIMATYEDALLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENYFDPATKKWTNKSTGTPTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTMKSEEKDLVGKALMKRVMQTWLPASNALLEMMIFHLPSPSKAQRYRVENLYEGPLDDMYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFAGKVSTGLKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQYITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTMEESGEHIVAGAGELHLEICLKDLQEDFMGGAEIIVSDPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLPEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYGVLNQKRGHVFEELQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLEANSQAGQLVADIRKRKGLKEQMTPLSEFEDKL >DRNTG_14811.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29805919:29810566:1 gene:DRNTG_14811 transcript:DRNTG_14811.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEELRRIMDLKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRQDEAERGITIKSTGISLYYEMSDESLKNYKGERHGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFQRVIENANVIMATYEDALLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENYFDPATKKWTNKSTGTPTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTMKSEEKDLVGKALMKRVMQTWLPASNALLEMMIFHLPSPSKAQRYRVENLYEGPLDDMYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFAGKVSTGLKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQYITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTMEESGEHIVAGAGELHLEICLKDLQEDFMGGAEIIVSDPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLPEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYGVLNQKRGHVFEELQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLEANSQAGQLVADIRKRKGLKEQMTPLSEFEDKL >DRNTG_14811.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29805863:29810492:1 gene:DRNTG_14811 transcript:DRNTG_14811.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEELRRIMDLKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRQDEAERGITIKSTGISLYYEMSDESLKNYKGERHGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFQRVIENANVIMATYEDALLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENYFDPATKKWTNKSTGTPTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTMKSEEKDLVGKALMKRVMQTWLPASNALLEMMIFHLPSPSKAQRYRVENLYEGPLDDMYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFAGKVSTGLKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQYITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTMEESGEHIVAGAGELHLEICLKDLQEDFMGGAEIIVSDPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLPEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYGVLNQKRGHVFEELQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLEANSQAGQLVADIRKRKGLKEQMTPLSEFEDKL >DRNTG_14811.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29805507:29810428:1 gene:DRNTG_14811 transcript:DRNTG_14811.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEELRRIMDLKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRQDEAERGITIKSTGISLYYEMSDESLKNYKGERHGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFQRVIENANVIMATYEDALLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENYFDPATKKWTNKSTGTPTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTMKSEEKDLVGKALMKRVMQTWLPASNALLEMMIFHLPSPSKAQRYRVENLYEGPLDDMYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFAGKVSTGLKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQYITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTMEESGEHIVAGAGELHLEICLKDLQEDFMGGAEIIVSDPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLPEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYGVLNQKRGHVFEELQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLEANSQAGQLVADIRKRKGLKEQMTPLSEFEDKL >DRNTG_14811.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29805560:29810428:1 gene:DRNTG_14811 transcript:DRNTG_14811.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEELRRIMDLKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRQDEAERGITIKSTGISLYYEMSDESLKNYKGERHGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFQRVIENANVIMATYEDALLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENYFDPATKKWTNKSTGTPTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTMKSEEKDLVGKALMKRVMQTWLPASNALLEMMIFHLPSPSKAQRYRVENLYEGPLDDMYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFAGKVSTGLKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQYITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTMEESGEHIVAGAGELHLEICLKDLQEDFMGGAEIIVSDPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLPEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYGVLNQKRGHVFEELQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLEANSQAGQLVADIRKRKGLKEQMTPLSEFEDKL >DRNTG_14811.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29805560:29810492:1 gene:DRNTG_14811 transcript:DRNTG_14811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEELRRIMDLKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRQDEAERGITIKSTGISLYYEMSDESLKNYKGERHGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFQRVIENANVIMATYEDALLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENYFDPATKKWTNKSTGTPTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTMKSEEKDLVGKALMKRVMQTWLPASNALLEMMIFHLPSPSKAQRYRVENLYEGPLDDMYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFAGKVSTGLKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQYITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTMEESGEHIVAGAGELHLEICLKDLQEDFMGGAEIIVSDPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLPEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYGVLNQKRGHVFEELQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLEANSQAGQLVADIRKRKGLKEQMTPLSEFEDKL >DRNTG_14811.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29805758:29810492:1 gene:DRNTG_14811 transcript:DRNTG_14811.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEELRRIMDLKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRQDEAERGITIKSTGISLYYEMSDESLKNYKGERHGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFQRVIENANVIMATYEDALLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENYFDPATKKWTNKSTGTPTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTMKSEEKDLVGKALMKRVMQTWLPASNALLEMMIFHLPSPSKAQRYRVENLYEGPLDDMYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFAGKVSTGLKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQYITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTMEESGEHIVAGAGELHLEICLKDLQEDFMGGAEIIVSDPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLPEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYGVLNQKRGHVFEELQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLEANSQAGQLVADIRKRKGLKEQMTPLSEFEDKL >DRNTG_00298.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2054997:2064818:1 gene:DRNTG_00298 transcript:DRNTG_00298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYAAVLRGRLRPLRWLIMPFPSSPSFLPHHSPRNSTGIPMKDGGGGGGVYVPPIPRLRSVIASANGNVGTASRSCDCDWRDGRGVSVEPPMAPSGSGYFRQQRSPYSRYAFEDWSEDDSDRDIDPPSASGKGASTLDNVDEWKWKLSMLLRNQHEQEVVSREKKDRRDYEQLAALAERMGLHSRQYAKVVVFSKVPLPNYRSDLDDKRPQREVSIPIGLQREVDALLGDYLSRKRANRESFPTAPFSRSSSTDSFATDEGLFEQQEPQSSTRPVMEKILRRRSLHLRNQQQNWQESPEGQKMQQFRRSLPANKEREALLAAISRHQVVVISGETGCGKTTQLPQYILESEIDAARGASCSIICTQPRRISAMSVSERVAAERGEKLGESVGYKVRLEGIKGRDTRLLFCTTGVLLRRLLVDRNLKGVSHVIVDEIHERGMNEDFLLIVLKDLLPHRPDLRLILMSATLNAELFSSYFGGAPMLHIPGFTYPVRSHFLENILEITGHRLTPYNQIDDYGQEKMWKMQKQAAFRKRKSQIASVVEDALEAADFREYGSRTRESLLCWNPDSIGFNLIESVLCHICRKERSGAVLVFMTGWDDINSLKDQLQANPLLGDPSKVLLLACHGSMASSEQRLIFDKPPDGVRKIVLATNMAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPTWISKASARQRRGRAGRVQPGECYHLYPRCVYDVFADYQLPELLRTPLQSLCLQIKSLRLGTISEFLSRALQSPEHLSVQNAIEYLKVIGALDEREELTILGRHLSMLPVEPKLGKMLIYGAIFSCLDPILTVVAGLSVRDPFLMPFDKKDLADAARSQFSCHDYSDHLALVRAYNGWKDAERDHSGYEYCWKNFLSAQTLKAIDSLRKQFFFLLKDTGLVDEENHMCNKWSRDENLVRAVICAGLYPGISSVVNKEKSIALKTMEDGQVMLYSNSVNGREAKIPYPWLVFNEKVKVNSVFLRDSTGVSDSVLLLFGGNISQGGLDGHLKMLGGYLEFFMKPDLASTYLSLKRELDELIQSKILNPRMDIQARDELLSAIRLLVSEDPCGGRFVFGRTEPKPKKSGSMLPMNSSGGGGGGGGGGGGDNPKSQLQTLLTRAGHDNPVYKTKQLKNSQFRSTVEFNHMQFVGSPCNNKKLAEKNAASEALEWLLGGASPGSGDIDHMSMLLKKSKKKHHRT >DRNTG_27098.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19122037:19130409:1 gene:DRNTG_27098 transcript:DRNTG_27098.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVQVTPLCGVYSESPLSYLVTVDGFNFLMDCGWNDLFDPAILQPLSRVSSKVDAVLLSHPDILHLGALPYAMKQLGLSAPVYATEPVYRLGLLTMYDYYLSRKQISDNDLFTLDDIDSAFQNVTRLTYSQNHHLSEKGEGIVIAPNVAGHLLGGTIWKITKDGEEVIYAVDFNHRKERLLNGTVLDSFVRPAVLITDAYNALNTQVPKRQREQEFLETILKSLRAEGNVLLPVDTAGRALELILMLEQYWAERHLTFPIFFLSNVATSTIEYVKSFLEWMSDSIAKSFEHTRDNAFLLRNVTLIINKSELEKLSDVPKVVLASMASLELGFSHDLFVEWASEAKNLVLFSEKGQFGTLSRMLQADPPPKAVKVTMSKRVPLVGDELQAYEEEQNRLKREAALKANIVKEEELKASRSSENNVPEPMAIDSGSSHAPSDGASSRFFGYRDIVIDGFVPPATGVAPMFPFFDNSAEWDDFGEVINPNDYIIKEDDMDQAMHGTGVDLDNKLDEGSTHLLLDATPSKVVSNELTVQVKCALAFMDFEGRSDGRSIKSIISHVAPLKLVLIHGSAEATEHLKQYC >DRNTG_27098.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19122037:19143434:1 gene:DRNTG_27098 transcript:DRNTG_27098.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVQVTPLCGVYSESPLSYLVTVDGFNFLMDCGWNDLFDPAILQPLSRVSSKVDAVLLSHPDILHLGALPYAMKQLGLSAPVYATEPVYRLGLLTMYDYYLSRKQISDNDLFTLDDIDSAFQNVTRLTYSQNHHLSEKGEGIVIAPNVAGHLLGGTIWKITKDGEEVIYAVDFNHRKERLLNGTVLDSFVRPAVLITDAYNALNTQVPKRQREQEFLETILKSLRAEGNVLLPVDTAGRALELILMLEQYWAERHLTFPIFFLSNVATSTIEYVKSFLEWMSDSIAKSFEHTRDNAFLLRNVTLIINKSELEKLSDVPKVVLASMASLELGFSHDLFVEWASEAKNLVLFSEKGQFGTLSRMLQADPPPKAVKVTMSKRVPLVGDELQAYEEEQNRLKREAALKANIVKEEELKASRSSENNVPEPMAIDSGSSHAPSDGASSRFFGYRDIVIDGFVPPATGVAPMFPFFDNSAEWDDFGEVINPNDYIIKEDDMDQAMHGTGVDLDNKLDEGSTHLLLDATPSKVVSNELTVQVKCALAFMDFEGRSDGRSIKSIISHVAPLKLVLIHGSAEATEHLKQYCSKQFYPHIYAPQIEETIDVTSDLCAYK >DRNTG_27098.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19122037:19143607:1 gene:DRNTG_27098 transcript:DRNTG_27098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVQVTPLCGVYSESPLSYLVTVDGFNFLMDCGWNDLFDPAILQPLSRVSSKVDAVLLSHPDILHLGALPYAMKQLGLSAPVYATEPVYRLGLLTMYDYYLSRKQISDNDLFTLDDIDSAFQNVTRLTYSQNHHLSEKGEGIVIAPNVAGHLLGGTIWKITKDGEEVIYAVDFNHRKERLLNGTVLDSFVRPAVLITDAYNALNTQVPKRQREQEFLETILKSLRAEGNVLLPVDTAGRALELILMLEQYWAERHLTFPIFFLSNVATSTIEYVKSFLEWMSDSIAKSFEHTRDNAFLLRNVTLIINKSELEKLSDVPKVVLASMASLELGFSHDLFVEWASEAKNLVLFSEKGQFGTLSRMLQADPPPKAVKVTMSKRVPLVGDELQAYEEEQNRLKREAALKANIVKEEELKASRSSENNVPEPMAIDSGSSHAPSDGASSRFFGYRDIVIDGFVPPATGVAPMFPFFDNSAEWDDFGEVINPNDYIIKEDDMDQAMHGTGVDLDNKLDEGSTHLLLDATPSKVVSNELTVQVKCALAFMDFEGRSDGRSIKSIISHVAPLKLVLIHGSAEATEHLKQYCSKQFYPHIYAPQIEETIDVTSDLCAYKVQLTEKLMSNVIF >DRNTG_28357.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2435828:2439188:-1 gene:DRNTG_28357 transcript:DRNTG_28357.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVFGSIQDMLHGRKWRERQIRKITDKVFDRIKEDSGKDTLTFEDVCIAVLYVYNDINKYLPGPHNNPPSKAELRALMQEYDVNLNGLLDREEFADFIQKLTTDMLTAVSRNLVIALIVAPAVALLTKRATEGVPGVGKVVQKVPNSVYASVVTLGVVLVQNSSEGFE >DRNTG_30247.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:236464:239734:-1 gene:DRNTG_30247 transcript:DRNTG_30247.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTQGMNHAFGKLPDHLLIEVFLRIPICEWAQISCVSKQWASIFRGESLWQTAIARTWPFASHRKRWPGPIPRGSCRRRYAALYASEHIIAPGVEADELIGHAFLFLKEQLERSILPPPSSILHGTIIDQFIACGKSKDNAHELASHIWLAVINNLEENQRTFILLKRLAQEGDFVLPYPYSRSYKVLWRVFDKLFTDFRDCLNRVDYSELLSCAKSLFQPLPSSWLGY >DRNTG_30247.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:236464:239734:-1 gene:DRNTG_30247 transcript:DRNTG_30247.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQGMNHAFGKLPDHLLIEVFLRIPICEWAQISCVSKQWASIFRGESLWQTAIARTWPFASHRKRWPGPIPRGSCRRRYAALYASEHIIAPGVEADELIGHAFLFLKEQLERSILPPPSSILHGTIIDQFIACGKSKDNAHELASHIWLAVINNLEENQRTFILLKRLAQEGDFVLPYPYSRSYKVLWRVFDKLFTDFRDCLNRVDYSELLSCAKSLFQPLPSSWLGY >DRNTG_30247.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:236464:239734:-1 gene:DRNTG_30247 transcript:DRNTG_30247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQGMNHAFGKLPDHLLIEVFLRIPICEWAQISCVSKQWASIFRGESLWQTAIARTWPFASHRKRWPGPIPRGSCRRRYAALYASEHIIAPGVEADELIGHAFLFLKEQLERSILPPPSSILHGTIIDQFIACGKSKDNAHELASHIWLAVINNLEENQRTFILLKRLAQEGDFVLPYPYSRSYKVLWRVFDKLFTDFRDCLNRVDYSELLSCAKSLFQPLPSSWLGY >DRNTG_07171.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31728668:31733802:-1 gene:DRNTG_07171 transcript:DRNTG_07171.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAM71, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G64150) UniProtKB/Swiss-Prot;Acc:Q94AX5] MQTLILCEVSFRAPFQLRSLGKPSVSPFLTYSIPRRNWSHSHRISLRSKIESSRYVNGVVDGLLTHFGRTPRLDKDGMENRILEDSSRNTTLDSSEIDCVFNNVDGVHEDTGLMDGSHSSSCQENSGFSLSDIYNGIKFAALCGLLAFQGSPYAVAEMEFTGGIQAMSYLGDLSDLSTGFASAFLLIFFSELGDKTFFIAALLAARNSGAVIFVGTFGALGAMTIISVIIGRTFHYVDGILPFRIGETDLPIDDIAAACLLIYFGVSTLLDAASDDDLKMKEEQKKAELAISELRGNGAGILAAASTIISTFVLVFVAEWGDKSFFSTIALAAASSPLGVVGGALAGHAVATLIAVVGGSLLGTFLSEKVIAYVGGTLFLVFALATMIEVVT >DRNTG_07171.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31728668:31731931:-1 gene:DRNTG_07171 transcript:DRNTG_07171.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAM71, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G64150) UniProtKB/Swiss-Prot;Acc:Q94AX5] MTIISVIIGRTFHYVDGILPFRIGETDLPIDDIAAACLLIYFGVSTLLDAASDDDLKMKEEQKKAELAISELRGNGAGILAAASTIISTFVLVFVAEWGDKSFFSTIALAAASSPLGVVGGALAGHAVATLIAVVGGSLLGTFLSEKVIAYVGGTLFLVFALATMIEVVT >DRNTG_14462.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000693.1:41095:42721:1 gene:DRNTG_14462 transcript:DRNTG_14462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRGSTALTSSFLRKKVGRGASIEAYPIPDKIILGQQDALRGAKRTFVFTPLAFETIRMMGLIPRTLAAQGPRAYDRIERLESDVGEIRTEIAELQAIQAVQYVEILDRFDMIQRLLMGRPLVSPPLPPASPSPLPPAPFNLAPPAAATVEPEDDADT >DRNTG_10084.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1557371:1559321:-1 gene:DRNTG_10084 transcript:DRNTG_10084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVGALVRKLGDLVVQEAINLHGVKDQLEWLERELRRMQCFLKDADARKNNGDDERVKNWVTDMRDLAFEAEDIIDSYMDFKLRRQQKQPGCIGFIKRYICSPNTNLFIHHCPYKCPYKCLWSF >DRNTG_27133.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19086405:19093431:-1 gene:DRNTG_27133 transcript:DRNTG_27133.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP59 [Source:Projected from Arabidopsis thaliana (AT1G53720) UniProtKB/Swiss-Prot;Acc:Q6Q151] MASAGENYNASQFYFTLRDDLDYLDGKHTVFGVVEEKEGLDTLAKINEAYADDKGRPFKDIRIKHTYVLDDPFDDPPQLPEFIPEKSPEGKPPEEIAEERLEDDWVPFDETVGPGELEEVIRKKEAFTNAALLESIGDIPDAEIKPPENVLFVCKLNPVTQDEDLHTIFSRFGTVTSADIIRDFKTGDSLCYAFIEFETKEACERAYFKMDNTLIDDRRIHVDFSQSVAKLWGQFRHGTRNNTNKGGCFKCGATDHIARECGNSSNNKQQGPKYVLKDDTQRGGGGGDNKRYEMLFDEDDLQGQEKSKKRKVDHRDAERSKQRDNERISTRGPDDDDERRGGRDRSDRHGRDDDPKQTGRERVDRPRRDDDHPRRRTERDYERNRGTERADAHNRDKGARERYEVREQHKRHESNRHKGNVDHRSSSSSRHAKEGSDSDKHRKYEGDQGRRNTDSNLQSRGDEFHRERQHRDDKRK >DRNTG_27133.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19086405:19093431:-1 gene:DRNTG_27133 transcript:DRNTG_27133.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP59 [Source:Projected from Arabidopsis thaliana (AT1G53720) UniProtKB/Swiss-Prot;Acc:Q6Q151] MDNTLIDDRRIHVDFSQSVAKLWGQFRHGTRNNTNKGGCFKCGATDHIARECGNSSNNKQQGPKYVLKDDTQRGGGGGDNKRYEMLFDEDDLQGQEKSKKRKVDHRDAERSKQRDNERISTRGPDDDDERRGGRDRSDRHGRDDDPKQTGRERVDRPRRDDDHPRRRTERDYERNRGTERADAHNRDKGARERYEVREQHKRHESNRHKGNVDHRSSSSSRHAKEGSDSDKHRKYEGDQGRRNTDSNLQSRGDEFHRERQHRDDKRK >DRNTG_24343.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:379954:382881:1 gene:DRNTG_24343 transcript:DRNTG_24343.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVPSLFSSVNPLPASSARSKPLSVSARIADTESSRSMAAKWAQKTVVFPPQKRGCHLVTPKILREIEQDLSEFKCGLAHLFLQHTSASLTINENYDSDVQEDTETFLSRVVPEGRSAPWKHTLEGPDDMPAHIKSSMFGCALTWAP >DRNTG_04799.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2404314:2405239:-1 gene:DRNTG_04799 transcript:DRNTG_04799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRATLAFAMLALTIAAAVAQSPAASPSAATASPPSKPTTPSPTSSPPSNPPPPPPPVSPSPPQSLPLLPHHRPKPHPPPLPSPHRQPKPHPLPLPSLHRQPKPLRLRHLSLPADPRTGQLPSRPRPRCCHPNPVRRPRPSAEQDEAIEEEEGLYSISVSRRCSPQVSRRRPRCLLPWPRLPRRSQRHEWSDEDDGKCADLDAGRSDLGRCDDLIEMKIIVELLSCNLFWGFMIELVL >DRNTG_32757.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1717132:1717377:-1 gene:DRNTG_32757 transcript:DRNTG_32757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICSATGFPQLLSLKILFLNKLEEWKIEEKAMSCLKYLEIYECQRFKMIPEGLKNVPLEQLTIIGMPEEFKTRIKENTGEDW >DRNTG_02032.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13099177:13102052:1 gene:DRNTG_02032 transcript:DRNTG_02032.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g35130, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G35130) UniProtKB/Swiss-Prot;Acc:O49619] MDQALSLFSTATKPDTFLWNTMIRGFTDIGLFSEAIDFYHAMQAMNTKPDHFTFPFVLKSCAALSCYSEGVKVHAILFKLGFDADVFICNSLVAFYAKLGLVDLAEKVFDEMPVRDLVSWNSMIGGYVLNFDVLSAVLSFQEMQLVHGMKPDEFGIMNALEVVCCLDKCAGGRQGKSVHCFAMKNGLDSDIKVQSSVLDMYCKFGNLLYAERCFRMIHNPNVVAWNALIGGYVMNEQADKALSCLRKMQVDLIDVDAVTLVNLLPCCANNEKEIHGLAIRRSFLPHLVLETALIDMYAKCGSLRQAEFLFKSMDARSLVSWNTMIATYVQNCKYTEALELFLQLLKGALDPDPFTFSSIIPAYAELASLRHGMQIHALSIKLVLRACSISGLVDEAWKHFKSMEQQYGINPQIEHYCCMVDILGRSGDLKTAIDFIDGMPMTPTARLWGSLLTASRNNNNIEVAEYAVKHIFELNHDNTGCYVLLASLYADAGRLKDVEDLTRLMKEQGIQRITARSMVELISKTCSFVDGDKTHKESYLIHKVFDILQSQISASEGSLRRRHSVRLAVSFGLISSSVGMPILVKKNVRVCNECHSAMKQQMSCGVYPEVVLLGNAFFSMYLIAG >DRNTG_27330.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17126278:17129316:-1 gene:DRNTG_27330 transcript:DRNTG_27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQKNKRIHLSAQKQCLNSNKNRKNVSRVWILPFYSESATPDDGKKGEKRSKAVINPG >DRNTG_27330.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17126278:17129316:-1 gene:DRNTG_27330 transcript:DRNTG_27330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPIPGEKRTEHEFSNAKNFAKIKKHDSMN >DRNTG_00824.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19603544:19604222:1 gene:DRNTG_00824 transcript:DRNTG_00824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPYKATCDLSMVPMDSPVFAHASAAKGFASFAIDFLMGGASVAVSKTAAAPIERVKLLRQNQDEMIKAGHLSEPYKKISDCFACTIKDETMKFDGIAGLYHGFNISFVRIIVYRGPYFGIRMMITSGEAMKYKSSFDAFSQILKNEGAKPLFKGAGANILCTIAVAGLLTGYDKLRLIVFG >DRNTG_08710.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18086633:18089348:1 gene:DRNTG_08710 transcript:DRNTG_08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGRGTPGYAAPEMWMMGQVTYKCDVYSFGILLFEIAGRRRSFDASLEEDERWFSKWVWERYKNGEMEKVMEKIGVDNEHKGETERMLMVALKCVQYQPERRPPMDKVFGGKKRNDGTSGDGTTLTGRWNHPYTITIYNSQKICLQLRIKLSPFGESYHRPRCIDNLKSPLASHEQGCEDVRRGDGDCASIDQFPAPTSSVAPSTDKWRGPVFIIKWSSIPRTKMLPLFFKIFF >DRNTG_04517.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19160788:19166237:1 gene:DRNTG_04517 transcript:DRNTG_04517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPEPATEILNNGGHVSAAAEQKKARESERRRRRRKQKKNSSKKSSAATDGSDDDANENSDQKPEVEVAVEIEYVPEKAEIDDDFLEEFKHVLEKFISKDASAGAEDDAKKDEAAENTGQKKKNDSDSDSEEEQDTQQKDKGISNKKKKLLRRMKIADLKQICSRPDVVEVWDATAADPRLLVFLKSYRNTVPVPRHWSQKRKFLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTTHGDLYYEGKEFEVKLREMKPGMLSKELKEALGMPDGSPPPWLINMQRYGPPPSYPQLKIPGLNAPIPPGASFGFHPGGWGKPPVDEFGRPLYGDVFGVQQLDQPNYEEEPVDKSKHWGDLEEEEEEEEEEEEEEQMEEEEMEAGIQSVDSLSSTPTGVETPDVIDLRKQQRKEPEKPLYQVLEEKEERIAPGTLLGTTHTYVVGTQDKTGAKRVDLLRGQKADKVDVTIQPEELDLMDEVLPAKYEEAREEEKLRNQKEDFSDMVAEHLQAEKKRKRKLQEKDGKSKKKDFKF >DRNTG_31917.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18982304:18982927:1 gene:DRNTG_31917 transcript:DRNTG_31917.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILTSPFIHGALPSLRLPKLQPFSSSPPPPPPSISISIKAMKTMQGRVVCATNDKTVAVEVVRLAPHPKYKRRVRKKKIYQAHDADNRFRVGDFVQLEQSRPISKTKSFIALPVTAKNVPKGSESIPEELLGIPLQSQQQE >DRNTG_31917.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18982304:18983867:1 gene:DRNTG_31917 transcript:DRNTG_31917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTSPFIHGALPSLRLPKLQPFSSSPPPPPPSISISIKAMKTMQGRVVCATNDKTVAVEVVRLAPHPKYKRRVRKKKIYQAHDADNRFRVGDFVQLEQSRPISKTKSFIALPVTAKNVPKGSESIPEELLGIPLQSQQQEFCERKELD >DRNTG_24118.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:257860:260927:-1 gene:DRNTG_24118 transcript:DRNTG_24118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFELYRRSTIGMCLTETLDEMVSNGTLSPELAIQVLVQFDKSMTEALETQVKSKVAIKGHLHTYRFCDNVWTFILQDATFKSDECQETVGRVKIVACDSKLLGQ >DRNTG_31737.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001737.1:3806:5653:-1 gene:DRNTG_31737 transcript:DRNTG_31737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLKLKGIKMVQSDHAAKNASGCDAGGPTRSDPLIDVTPHSSITPIHAGSTRSAPLPDVTSHSSTPSSSDDSNLNDEVATNNTSTRLDNLHPINEGSDLNSVDNQGQQRRRRGRTTIKELWTLPPQERILVSSNQLGQPIGPEAQLLAAFLGMLARSGQHIGLQYESWHKVPKTLKDELFKFIELRFSLEISREYVLKSLGKKWRDYKHDLKTRHFKREEGLQANKNKHPSATIRWQWEQLVDFWYSKKGEEVSTGRSIGRLELFRATHTKKDGSHMNAVTEHVMESANEKLVGCQTTDEYMQMVESEILTQVIGKERCGRVRGVGLGPTPKSYYGGLSNRKSTNSNTQSSELVERLHQMEEEMQKMKDKRLQERAQVEQQNNQYNALLSFLQNQFPGVTIPGINNIGSSSQPQDNSSPDQ >DRNTG_34032.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16328874:16331364:1 gene:DRNTG_34032 transcript:DRNTG_34032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGSIPTQLAELYLIKAFLVAYNKLSGPTLGRVSQFNTFDESSYEGNPYLCGPPLIKNCTPMPSPQQGIGPYNMLLDKFNT >DRNTG_21724.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:665605:668283:-1 gene:DRNTG_21724 transcript:DRNTG_21724.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPKSMEGFYQESGRAGRDLLPSRSLLYYGLDDRKKMEFILRNAGGKKSQASSSSDDLLKKSLADFSQMVEYCASPGCRRKKILQSFGEQVSTSFCQKSCDACKHPNQVSQRLEELGRIQNISRRGGPSPIFMSLSSTNLERHDSEFWNRDDEASLSDEDISASDDEVELQSGLSRSKLSSKVGLDEKIEILQRAEELYYRNGDTNKQKSGFSDKNAVSEALREASKMRLLTALEQARQRFVNLLLDPEVSASFLEMECFKRYGKVGKTFYNSQMAATIRWLSSATQEQISHRLIANSSLADNQSEPNSSRSSSSRLVDQEQMLPETTNEKTESTAQVQLSCQLPQLMKISKEKVELPPIPSFAEFANKKRKETEGDLSSSKAHTSGTRQNPVKKTRQQ >DRNTG_21724.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:665605:667433:-1 gene:DRNTG_21724 transcript:DRNTG_21724.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSTNLERHDSEFWNRDDEASLSDEDISASDDEVELQSGLSRSKLSSKVGLDEKIEILQRAEELYYRNGDTNKQKSGFSDKNAVSEALREASKMRLLTALEQARQRFVNLLLDPEVSASFLEMECFKRYGKVGKTFYNSQMAATIRWLSSATQEQISHRLIANSSLADNQSEPNSSRSSSSRLVDQEQMLPETTNEKTESTAQVQLSCQLPQLMKISKEKVELPPIPSFAEFANKKRKETEGDLSSSKAHTSGTRQNPVKKTRQQ >DRNTG_21724.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:665605:667094:-1 gene:DRNTG_21724 transcript:DRNTG_21724.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLTALEQARQRFVNLLLDPEVSASFLEMECFKRYGKVGKTFYNSQMAATIRWLSSATQEQISHRLIANSSLADNQSEPNSSRSSSSRLVDQEQMLPETTNEKTESTAQVQLSCQLPQLMKISKEKVELPPIPSFAEFANKKRKETEGDLSSSKAHTSGTRQNPVKKTRQQ >DRNTG_21724.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:665605:668283:-1 gene:DRNTG_21724 transcript:DRNTG_21724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEYCASPGCRRKKILQSFGEQVSTSFCQKSCDACKHPNQVSQRLEELGRIQNISRRGGPSPIFMSLSSTNLERHDSEFWNRDDEASLSDEDISASDDEVELQSGLSRSKLSSKVGLDEKIEILQRAEELYYRNGDTNKQKSGFSDKNAVSEALREASKMRLLTALEQARQRFVNLLLDPEVSASFLEMECFKRYGKVGKTFYNSQMAATIRWLSSATQEQISHRLIANSSLADNQSEPNSSRSSSSRLVDQEQMLPETTNEKTESTAQVQLSCQLPQLMKISKEKVELPPIPSFAEFANKKRKETEGDLSSSKAHTSGTRQNPVKKTRQQ >DRNTG_21724.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:665605:666588:-1 gene:DRNTG_21724 transcript:DRNTG_21724.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHQASTYDQNYLVKCQKSGFSDKNAVSEALREASKMRLLTALEQARQRFVNLLLDPEVSASFLEMECFKRYGKVGKTFYNSQMAATIRWLSSATQEQISHRLIANSSLADNQSEPNSSRSSSSRLVDQEQMLPETTNEKTESTAQVQLSCQLPQLMKISKEKVELPPIPSFAEFANKKRKETEGDLSSSKAHTSGTRQNPVKKTRQQ >DRNTG_11911.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:428411:431427:-1 gene:DRNTG_11911 transcript:DRNTG_11911.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTDDDPNVERYSRRRDAYDDGAINSLEVDDLSSAKLVVSVRHFPMIICPLSPRSFVFPSEGIIAEACLSNESEDALSPGLPSICSGLPSDGDDIPPGATLTAHFLYHMAGKMDLKMEIFSLGDLSKTIGKMLTDMSSLYDVGRRNRKSAGLLLVDRTLDLLTPCCHGDSIVDRVFSSLPRRKRIASISASRSSQVPSKSAARVERPSLDLRVPFETVFSEEPAMSNPQLRENIKAFSSGWNSNGVNGSADIVEHAEKDQDNLLDNEISLLSGSFLAETIGGNYMEELLVRKSKDGVMLIKKLILEALKRENVTPNTKGRLSLAKDLELHGLVKKLAMNELSLIRNRWIIQLALASEKALSEPHSSHWDAFLSAERILNINSLDTSHSLSSQIRDLINTSVTVRSCDANNSTGASQSLLSFQDALLLSMIGYILAGENFPTSGSIGPFSWEEEHSLKEAVVDAILENPACTNLRFLHGLEKELEANSRKTESEEHGGGAAANSTTVVEDFDDQWGSWDDEDTDQNNDQAYGDMQFKLELRDRVDNLFKLFHKLSSLKQRHSTFKEGLIPSESNYLGDANSRKGLLYKLLNTLLAKYDVPGLQYHSSAVGRFLKSGFGRFGLGQAKPLLGDQNAIIIFVVGGISSVEIVEIMEAVSESSRPEIEFIVGGTTLLTPDDMSGLLLGSSSYL >DRNTG_11911.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:428411:431427:-1 gene:DRNTG_11911 transcript:DRNTG_11911.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKMEIFSLGDLSKTIGKMLTDMSSLYDVGRRNRKSAGLLLVDRTLDLLTPCCHGDSIVDRVFSSLPRRKRIASISASRSSQVPSKSAARVERPSLDLRVPFETVFSEEPAMSNPQLRENIKAFSSGWNSNGVNGSADIVEHAEKDQDNLLDNEISLLSGSFLAETIGGNYMEELLVRKSKDGVMLIKKLILEALKRENVTPNTKGRLSLAKDLELHGLVKKLAMNELSLIRNRWIIQLALASEKALSEPHSSHWDAFLSAERILNINSLDTSHSLSSQIRDLINTSVTVRSCDANNSTGASQSLLSFQDALLLSMIGYILAGENFPTSGSIGPFSWEEEHSLKEAVVDAILENPACTNLRFLHGLEKELEANSRKTESEEHGGGAAANSTTVVEDFDDQWGSWDDEDTDQNNDQAYGDMQFKLELRDRVDNLFKLFHKLSSLKQRHSTFKEGLIPSESNYLGDANSRKGLLYKLLNTLLAKYDVPGLQYHSSAVGRFLKSGFGRFGLGQAKPLLGDQNAIIIFVVGGISSVEIVEIMEAVSESSRPEIEFIVGGTTLLTPDDMSGLLLGSSSYL >DRNTG_11911.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:428411:432666:-1 gene:DRNTG_11911 transcript:DRNTG_11911.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLDTVVDWNSTFDSPAKKMIIMTSRLLSDAHRYILRCLDTHQTIVHCTIFTSISEIAHSAYVDSPLGPDAFHEYESLLLQDYEEHRKRYEKKGPPLLQNKNIRQSTDGDPLPPLQHEKISEPEGVGHQAAPNLEDVGWAAMDSSTDDDPNVERYSRRRDAYDDGAINSLEVDDLSSAKLVVSVRHFPMIICPLSPRSFVFPSEGIIAEACLSNESEDALSPGLPSICSGLPSDGDDIPPGATLTAHFLYHMAGKMDLKMEIFSLGDLSKTIGKMLTDMSSLYDVGRRNRKSAGLLLVDRTLDLLTPCCHGDSIVDRVFSSLPRRKRIASISASRSSQVPSKSAARVERPSLDLRVPFETVFSEEPAMSNPQLRENIKAFSSGWNSNGVNGSADIVEHAEKDQDNLLDNEISLLSGSFLAETIGGNYMEELLVRKSKDGVMLIKKLILEALKRENVTPNTKGRLSLAKDLELHGLVKKLAMNELSLIRNRWIIQLALASEKALSEPHSSHWDAFLSAERILNINSLDTSHSLSSQIRDLINTSVTVRSCDANNSTGASQSLLSFQDALLLSMIGYILAGENFPTSGSIGPFSWEEEHSLKEAVVDAILENPACTNLRFLHGLEKELEANSRKTESEEHGGGAAANSTTVVEDFDDQWGSWDDEDTDQNNDQAYGDMQFKLELRDRVDNLFKLFHKLSSLKQRHSTFKEGLIPSESNYLGDANSRKGLLYKLLNTLLAKYDVPGLQYHSSAVGRFLKSGFGRFGLGQAKPLLGDQNAIIIFVVGGISSVEIVEIMEAVSESSRPEIEFIVGGTTLLTPDDMSGLLLGSSSYL >DRNTG_11911.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:428411:432666:-1 gene:DRNTG_11911 transcript:DRNTG_11911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIDVLRSCLDSIRQISDEVSDAVVYLDAGCLEAFQFIGAFPLLLQLGVRAVCSLENMSSLDTVVDWNSTFDSPAKKMIIMTSRLLSDAHRYILRCLDTHQTIVHCTIFTSISEIAHSAYVDSPLGPDAFHEYESLLLQDYEEHRKRYEKKGPPLLQNKNIRQSTDGDPLPPLQHEKISEPEGVGHQAAPNLEDVGWAAMDSSTDDDPNVERYSRRRDAYDDGAINSLEVDDLSSAKLVVSVRHFPMIICPLSPRSFVFPSEGIIAEACLSNESEDALSPGLPSICSGLPSDGDDIPPGATLTAHFLYHMAGKMDLKMEIFSLGDLSKTIGKMLTDMSSLYDVGRRNRKSAGLLLVDRTLDLLTPCCHGDSIVDRVFSSLPRRKRIASISASRSSQVPSKSAARVERPSLDLRVPFETVFSEEPAMSNPQLRENIKAFSSGWNSNGVNGSADIVEHAEKDQDNLLDNEISLLSGSFLAETIGGNYMEELLVRKSKDGVMLIKKLILEALKRENVTPNTKGRLSLAKDLELHGLVKKLAMNELSLIRNRWIIQLALASEKALSEPHSSHWDAFLSAERILNINSLDTSHSLSSQIRDLINTSVTVRSCDANNSTGASQSLLSFQDALLLSMIGYILAGENFPTSGSIGPFSWEEEHSLKEAVVDAILENPACTNLRFLHGLEKELEANSRKTESEEHGGGAAANSTTVVEDFDDQWGSWDDEDTDQNNDQAYGDMQFKLELRDRVDNLFKLFHKLSSLKQRHSTFKEGLIPSESNYLGDANSRKGLLYKLLNTLLAKYDVPGLQYHSSAVGRFLKSGFGRFGLGQAKPLLGDQNAIIIFVVGGISSVEIVEIMEAVSESSRPEIEFIVGGTTLLTPDDMSGLLLGSSSYL >DRNTG_21111.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2651860:2654714:1 gene:DRNTG_21111 transcript:DRNTG_21111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLLPFILLMFPYSTEPQPSPDYYPSSKFSYLSFNQAYNTLWGPENQALSQDHSTLSIWLNKNSGSGFKSISSYRNGYFAASIKVQSGYTAGVNTAFYLSNGQAYPGYHDEIDIELLGTTPGKPYTLQTNVFVRGSGDGGRIVGREMRFHLWFDPIADFHSYAILWNPDEIIFFVDDIPIRRYPKKVSQHFRKEKCGCMDPFGMRRLGQPKEVSTK >DRNTG_15245.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23441349:23446663:1 gene:DRNTG_15245 transcript:DRNTG_15245.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:STRS1 [Source:Projected from Arabidopsis thaliana (AT1G31970) UniProtKB/TrEMBL;Acc:A0A178W5E1] MLGLSSWMAEISLGLLPLAPVKLWHLVYQPLCISYRRRKRKHQLRWFLAALSSHLRGNSLSKLQMFYVMLGSPCGLETVCLYGGTSKGPQISSLKSGVDIVIGTPGRMKDLIEMGICNLGDVSFVVLDEADRMLDMGFEPEVRSILSQTSSARQMVMFSATWPLAVHQLAQEFMDPDPVKVVIGSEDLAANHDVMQIVEVLDDRSRDARLVALLEKYHQSQRNRVLVFVLYKKEAARVETMLQRRGWKAVSVHGDKSQHDRTKALALFKEGKCPLMIATDVASRGLDIPDVEVVINYSFPLTTEDYVHRIGRTGRAGKKGVAHTFFTQENKALAGELVNVLREAGQVVPEALTKFGTHVKKKESKLYGSHFKEIKADAPKSTKITFANSDDEE >DRNTG_19941.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:19011827:19013197:-1 gene:DRNTG_19941 transcript:DRNTG_19941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQSRINLSYDYEDPDTNPDPDMVQSKKMASLVMGGHHCLPSSSSSSSMVNYDIATISRRRKTRMMSGMVTRRDDVKQARIQKPKSRKAESCTIKCVTTCIRGGAGSPGEGPLNVRRPLVVFKQGFRSRRYCLAECSDICNLIKDGDDGP >DRNTG_29869.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1959963:1965886:1 gene:DRNTG_29869 transcript:DRNTG_29869.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-isopropylmalate dehydratase large subunit, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13430) UniProtKB/Swiss-Prot;Acc:Q94AR8] MTSRILVISRPILIIKGVCHIALAQEGHCRPGEVLVGTDSHTCNAGAFGQFATGIGNTDAGFVLGTGKLLLKVPPTLRFILDGEMPDYLLAKDLILQIIGEISVSGATYKSMEFIGSTVESLSMEERMTLCNMVVEAGGKNGVVPADQTTFNYLKDKTSVDFEPVYSDGNARFLQDYKFDVSKLEPLVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFLAAAKVFLASGKKVKVPTFLVPATQKVWMDVYSLPVPGSGGKTCSQIFEEAGCDTPASPNCGACLGGPRDTYARMNEPMVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASAISGYVTDPREFLR >DRNTG_17007.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000864.1:19240:20341:1 gene:DRNTG_17007 transcript:DRNTG_17007.1 gene_biotype:protein_coding transcript_biotype:protein_coding NQPSPQHLQHSHQHPWPHPQQRTQLTAQLV >DRNTG_28706.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:398340:406062:-1 gene:DRNTG_28706 transcript:DRNTG_28706.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSMSMGTARSFMVYLMVASFIAVAATFFISAPKVPHDQIFLSHTASVRVWPKLELSWRSILATVIGFLGSAFGTVGGVGGGGIFVPMLNLVVGFDTKSAAALSKCMIMGASASSVWYNLRVSHPSKEAPIIDYHLSLLFQPMLMLGITIGVELSIVFPYWLITILIIILFLGTSSRSFFKAIQMWKEETLIKMEIEKQEQAKCKANDQDVAIGSEYEPLLPPPEKSPLEILLFNIRWKGIMVLMLVWCSFLFLQIIKNNASDCSTLYWTANILQFPVALSVFGWEAAKLWKESHQRRLHGNWECVCEASIEWTPLQLLFCASAGLLGGTVGGLLGSGGGFILGPLLLEIGVIPQVASATATFVMMFSSSLSVIEFYFLKRFPIPYALYLTFVSIMAGFWGQFFIRKLVKWLKRASIIIFILSAVIFASALTMGVVGGEKSIQMINRHEYMGFLSFCDR >DRNTG_28706.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:398340:402002:-1 gene:DRNTG_28706 transcript:DRNTG_28706.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSMSMGTARSFMVYLMVASFIAVAATFFISAPKVPHDQIFLSHTASVRVWPKLELSWRSILATVIGFLGSAFGTVGGVGGGGIFVPMLNLVVGFDTKSAAALSKCMIMGASASSVWYNLRVSHPSKEAPIIDYHLSLLFQPMLMLGITIGVELSIVFPYWLITILIIILFLGTSSRSFFKAIQMWKEETLIKMEIEKQEQAKCKANDQDVAIGSEYEPLLPPPEKSPLEILLFNIRWKGIMVLMLVWCSFLFLQIIKNNASDCSTLYWTANILQFPVALSVFGWEAAKLWKESHQRRLHGNWECVCEASIEWTPLQLLFCASAGLLGGTVGGLLGSGGGFILGPLLLEIGVIPQVASATATFVMMFSSSLSVIEFYFLKRFPIPYALYLTFVSIMAGFWGQFFIRKLVKWLKRASIIIFILSAVIFASALTMGVVGGEKSIQMINRHEYMGFLSFCDR >DRNTG_26562.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20276502:20281212:-1 gene:DRNTG_26562 transcript:DRNTG_26562.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPPSLLISTSPPRRSLSSTSPTPFTILSELIVFLWMILVMSFCLILLGLLGLSLNYPPKWIDHHKTALEALHGNASLNENVTKIIDMDRSGATIAFDFFREKIRENAHGLKIEGDLDASCEFDLVPNGKFEKVNRLFKFIEDGDLWRWKLPHSKAFSSGLNDLNIEYNVNRNPALFNQLLDLDPELTISCGQESLSKKQRLIDEVLAQSYEIALACGEFGHCLAVDADSISNLRSELGNQLAEKSLNLSLRAVGAVVYRVPELKNNQLLKISLRSLGSEDTTIISKKYGGGGHQNASSFMLSCEEYERWKVK >DRNTG_26562.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20276502:20281212:-1 gene:DRNTG_26562 transcript:DRNTG_26562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVKKSAVLYHYPCPDGAFAALAAHLYFSSTSLPVLYFPNTVYDPIRVDSLPLDDIGDVFLLDFVGPPGLVAELSSKVDSVTILDHHKTALEALHGNASLNENVTKIIDMDRSGATIAFDFFREKIRENAHGLKIEGDLDASCEFDLVPNGKFEKVNRLFKFIEDGDLWRWKLPHSKAFSSGLNDLNIEYNVNRNPALFNQLLDLDPELTISCGQESLSKKQRLIDEVLAQSYEIALACGEFGHCLAVDADSISNLRSELGNQLAEKSLNLSLRAVGAVVYRVPELKNNQLLKISLRSLGSEDTTIISKKYGGGGHQNASSFMLSCEEYERWKVK >DRNTG_26562.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20276502:20281212:-1 gene:DRNTG_26562 transcript:DRNTG_26562.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSGATIAFDFFREKIRENAHGLKIEGDLDASCEFDLVPNGKFEKVNRLFKFIEDGDLWRWKLPHSKAFSSGLNDLNIEYNVNRNPALFNQLLDLDPELTISCGQESLSKKQRLIDEVLAQSYEIALACGEFGHCLAVDADSISNLRSELGNQLAEKSLNLSLRAVGAVVYRVPELKNNQLLKISLRSLGSEDTTIISKKYGGGGHQNASSFMLSCEEYERWKVK >DRNTG_33434.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2936524:2942150:-1 gene:DRNTG_33434 transcript:DRNTG_33434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAFKLWVHPFSAPPMVSKPQIHTFPSSFVSARHRTILAMPRVHSNISLSKNLAAGCSEDYDEQQRQHQSLLVEAYHHTNSLKTLLAELSMKNSCPIRLLERDGDWLRDQLWVAVRFLIEEERPVDALKVFDAWKNKDSSRMNVANYSKFIRLLCDENLMDEAILIFQGMEKHGLTASLTLYNDIIHGFARKKEFDNSACFLKKVVDAGLQPMAETYHGIIRAYGTCGMYDELSKCVKQMESVGCSPNEVTYNILIVEFAQGGLVDTMEGVYRTVLSKRMNLQPSTLVAMLEAYANLGIVEKMEKVYHMVMKTNAYIKDTVVRKLAAVYIENYRFARLEELGNDISARTGRTDLVWCILLLASACFLSRKGIESIIREMKVAKVEFQVTFVNILALFLLKVKDFSKLDAVLSQTGKHNRKPDIITVGILFDACRIGYDGTRILEIWRRSGFLETEAEMRTDPLVLNTFGKGLFMRDCERIFSSAGSNLKEKKHWTYHSLISLVFGKTVD >DRNTG_33434.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2936524:2937781:-1 gene:DRNTG_33434 transcript:DRNTG_33434.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQMSPHKRAFTRHQAPTYKPLLI >DRNTG_00705.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2842919:2844533:1 gene:DRNTG_00705 transcript:DRNTG_00705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCINSTAAADDSPSVPPTIPRSTRKAISTVATDAATLHSLSFTALRAAASALIDVNRDALDNIGQLKDEISSNPDLLLFVKYYLQTSIDTLRFFTALKDSLSKARETELLVRDAVLLFEEGHDHSGALEKLQEFRDEGDPFTEKFVDEFKLVCERQQSILHDLLLRKKVLDQKLREVKAWRKVWNIVYSAVFAAVLISSVVLAAVAAPPAVTAAAAAASGAMAPLQQWLDSMWDNFQKPSEEERKIIDSLGKETSFAIHELNSIRSLVDSLEGKIRSMIHRAELAIDGEEEEEVKVVMIEIKLKAGEFAKSVEQLEKEVDRRGDELKRATATILQTVTD >DRNTG_00705.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2842919:2844236:1 gene:DRNTG_00705 transcript:DRNTG_00705.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCINSTAAADDSPSVPPTIPRSTRKAISTVATDAATLHSLSFTALRAAASALIDVNRDALDNIGQLKDEISSNPDLLLFVKYYLQTSIDTLRFFTALKDSLSKARETELLVRDAVLLFEEGHDHSGALEKLQEFRDEGDPFTEKFVDEFKLVCERQQSILHDLLLRKKVLDQKLREVKAWRKVWNIVYSAVFAAVLISSVVLAAVAAPPAVTAAAAAASGAMAPLQQWLDSMWDNFQKPSEEERKIIDSLGKETSFAIHELNSIRSLVDSLEGKIRSMIHRAELAIDGEEEEEVKVVMIEIKLKAGEFAKSVEQLEKEVDRRGDELKRATATILQTVTD >DRNTG_00705.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2842864:2844236:1 gene:DRNTG_00705 transcript:DRNTG_00705.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCINSTAAADDSPSVPPTIPRSTRKAISTVATDAATLHSLSFTALRAAASALIDVNRDALDNIGQLKDEISSNPDLLLFVKYYLQTSIDTLRFFTALKDSLSKARETELLVRDAVLLFEEGHDHSGALEKLQEFRDEGDPFTEKFVDEFKLVCERQQSILHDLLLRKKVLDQKLREVKAWRKVWNIVYSAVFAAVLISSVVLAAVAAPPAVTAAAAAASGAMAPLQQWLDSMWDNFQKPSEEERKIIDSLGKETSFAIHELNSIRSLVDSLEGKIRSMIHRAELAIDGEEEEEVKVVMIEIKLKAGEFAKSVEQLEKEVDRRGDELKRATATILQTVTD >DRNTG_22079.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20289400:20302087:1 gene:DRNTG_22079 transcript:DRNTG_22079.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFSFLRRYSVPLVLFVLGLFFQLILLPSSYPRSRYEVLGVRHLATIEEVTEAYEKISLKWASGANPTTAEFVDVRYAFELLTNPTWKRDYDLYGVDEQLDVIEKIKAQYDGQSFSNIKLPLLDAAIFGQFEGSADHAFNPLTPKEFASLIGGTKAVLIQVFSSGSHRCAKFLNSWKRIGSMLDGVVDVGMLEVGEVQVVPFMAETKFNRPFFRNGLPDLVAFPPYCKSFDCLVRYQGDLSTDAVVDWIATSILGLPRIPYYSRDTLGPNFIGKTALHKVKVIGFSKTGERAPPFMRQAVRDYQAYASFAFVLWREEESSLWWNTFGVDTAPALVFLKDPGLEPTIYQGNLNSSYFEKLMEENKYFVLPQLRSLTSMELGCDARGYSRGGNNLVSWYCVILVGRPSLELNKMRETIRRALNLLAHDFDPERAEKISVPAPAIDALKEKRLTFVWLDGELQKDYCLFYLHSDTIYETCGPRRDLANVPRLFIVRYKRTSKVDDLNSVKNPKKKNIWKTFHEEDENKASQLVAKYNGTEDLQEIISWISQIIEDGDTRNLPDFTVSTPELLPEEGDRTWSKGTQNIVSSSKGIVQKFQNAIMNIPDYMTDPRVGPFMLLCACLSFGAIWFQSSSSPQKISPSEEAVGKEKPRNHRRRREATSNQERPPSITDTEPRDAYQWSASDTNSE >DRNTG_22079.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20289400:20302087:1 gene:DRNTG_22079 transcript:DRNTG_22079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFSFLRRYSVPLVLFVLGLFFQLILLPSSYPRSRYEVLGVRHLATIEEVTEAYEKISLKWASGANPTTAEFVDVRYAFELLTNPTWKRDYDLYGVDEQLDVIEKIKAQYDGQSFSNIKLPLLDAAIFGSADHAFNPLTPKEFASLIGGTKAVLIQVFSSGSHRCAKFLNSWKRIGSMLDGVVDVGMLEVGEVQVVPFMAETKFNRPFFRNGLPDLVAFPPYCKSFDCLVRYQGDLSTDAVVDWIATSILGLPRIPYYSRDTLGPNFIGKTALHKVKVIGFSKTGERAPPFMRQAVRDYQAYASFAFVLWREEESSLWWNTFGVDTAPALVFLKDPGLEPTIYQGNLNSSYFEKLMEENKYFVLPQLRSLTSMELGCDARGYSRGGNNLVSWYCVILVGRPSLELNKMRETIRRALNLLAHDFDPERAEKISVPAPAIDALKEKRLTFVWLDGELQKDYCLFYLHSDTIYETCGPRRDLANVPRLFIVRYKRTSKVDDLNSVKNPKKKNIWKTFHEEDENKASQLVAKYNGTEDLQEIISWISQIIEDGDTRNLPDFTVSTPELLPEEGDRTWSKGTQNIVSSSKGIVQKFQNAIMNIPDYMTDPRVGPFMLLCACLSFGAIWFQSSSSPQKISPSEEAVGKEKPRNHRRRREATSNQERPPSITDTEPRDAYQWSASDTNSE >DRNTG_11799.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:433700:434080:-1 gene:DRNTG_11799 transcript:DRNTG_11799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAKEKMKDMVSVAKEKVKKHTAKAEEKAEKVTARTELDKEIAHEKSKAKQAEAKMELHREKADHRAEKLYGGIHHHQPPVTAAGTTTYPVSGGKHHNQPTVTTTGTTTTTTYPVSGAPPSSKYY >DRNTG_21634.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:71455:78706:-1 gene:DRNTG_21634 transcript:DRNTG_21634.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferrochelatase-1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G26030) UniProtKB/Swiss-Prot;Acc:P42043] MDALGCRVSNTNFSGLCHRRTFSPPILVCNRQYVFLFNGSHGQFSLRLNASSDKFKMNGKPNVQLPVQERRLLGKTFSSIRECTLEENFAGVQMNTSQEKIGVLLLNLGGPEKLHDVQPFLFNLFSDPDIIRLPRLFRFLQRPLAQLISVFRAPKSKEGYVAIGGGSPLRRITDEQACALKEALKEKKVNANVYVAMRYWHPFTEMAIHQIKKDNVTKLVVLPLYPQFSISTSGSSIKLLMRIFREDDHFAQLPISIIESWYQREGYIKSMADLIENELSNFANPQEVMIFFSAHGVPVSYVEDAGDPYRDQMEDCINLIMDELKSRGIGNPHTLAYQSRVGPVQWLKPYTDEVLVELGQQGVKSLLAVPISFVSEHIETLEEIDMEYKHLALESGIENWGRVPALGCTPSFISDLADAVIEALPTASLISSSANDTSSETETDPLRYVINLFFGSILAFLLLLTPKFISAFRNFLV >DRNTG_24142.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26294381:26297774:-1 gene:DRNTG_24142 transcript:DRNTG_24142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPVLRNQSKSSTNHTSEERGEHDSRFPSIR >DRNTG_24142.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26294381:26297023:-1 gene:DRNTG_24142 transcript:DRNTG_24142.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPVLRNQSKSSTNHTSEERGEHDSRFPSIR >DRNTG_08497.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:16212187:16224017:1 gene:DRNTG_08497 transcript:DRNTG_08497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNAPILEEILLRFKREDIGRCEMLECVPTSWYSSMAHPFGGAQRQSHSSILTYAHRTRAPLTTDTVAEHYSNTVASTMTALFTAGQENRSSDDPHGCVEIIHAHVEIPHGRVKHPRQVLRGIGQGFGEVLWLRHRHSLGRRDEHRRRICKGKMEEESQSVKVGYSGIAPLGIEPTRS >DRNTG_33412.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1578111:1578498:1 gene:DRNTG_33412 transcript:DRNTG_33412.2 gene_biotype:protein_coding transcript_biotype:protein_coding RPRSKLTTSIARGREVSSLLAQRVKAPSEKVWAVVRRFDRPQVYKHFVRSCTIPGDGEIRVGCLREVSVISGPPASF >DRNTG_33412.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1570454:1578498:1 gene:DRNTG_33412 transcript:DRNTG_33412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKIPLHIIILFLFACILEARDRRWMRFRRGSRRRSMRS >DRNTG_23405.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001264.1:8344:10104:1 gene:DRNTG_23405 transcript:DRNTG_23405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLLLLLFFFFSPSHSLPCSPSPTPCPPFLSSPSFPFSSIPGCGHPSFQINCSSSSIIINSIPFLILSISISSNSLHLSPLLPSPPFSHCPSLPLHPINLSSSPFLLLHNVCHSLPCFLNSSSSSSCSLSYFQNQLLSQPHRLFTSCHSSNHSCRSHPHSTNLLLNTSFSVTWNRNDSYFSNCSSCHGVCAFNDSLPSKPFLCIPFGNSHSSHRSLLLALFFVGVFLVLSILVVALLRRCRPERGGGGGESATAVFIQCHFPRPPSFSYEILRAATNGFDHRCKIGDGGFGSVFLAHLPDGRAAAVKRLHARPNTSVSSSSTSDSFCNEIFILSSVKHPNLVRLHGYCCDPRGLLLVYDYVPNGTLADHIHHKRSLSWPVRVEIAVQIAAALEYLHFELKPPVVHRDVTSSNIFVERDMRIKVGDFGLSRLLALPQDSSDEYIRRTGPQGTPGYLDPEYHRSFRLTEKSDVYSFGVVMLELVTGMMAVDTGRDERDVSLAEMVVGRIQVGMLHQVVDPMLMRHVERPALASIEAVAELAFRCLAGDQDDRPDSREVGEELKRIRNQLQDYLR >DRNTG_22577.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14423700:14427069:1 gene:DRNTG_22577 transcript:DRNTG_22577.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxypyruvate reductase [Source:Projected from Arabidopsis thaliana (AT1G68010) TAIR;Acc:AT1G68010] MAKPISIEVWNPSGKYRVVSTKPMPGTRWINLLTAQDCRVEICTEKKTILSVEDILALIGDKCDGVIGQLTEDWGEVLFSALKRAGGTAFSNMAVGYNNVDVSAANKYGVAVGNTPGVLTETTAELAAALSLAAARRIVEADQFMRAGLYDGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMVEGFKMNLIYYDLYQATRLEKFVTAYGQFLKANGEQPVTWKRTATMVDVLREADLISLHPVLDKTTYHLINKDSLAIMKKEAILVNASRGPVIDEAALIEHLKANPMFRVGLDVFEDEPYMKPGLAELKNAVVVPHIASASKWTREGMATLAALNVLGKIKGFPIWSDPNQVVVFLEEKSTPPLACPSIVNAKHLGLTASKL >DRNTG_11010.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29310970:29313137:-1 gene:DRNTG_11010 transcript:DRNTG_11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESFKAEMKKMFEMNDLGIMSYFLGLEVKQDKLGIHISQRKYIEDLLKSYNMMNCKTMPTPISASIKLQGDDNSGEVDAKSYRSLIGKLMYITHSRPDITYSVHLLSRFMNKPTKLHFSAGKRILRYLAGTLNYGIWYKRTDSLNLEGFSDSDWGGCLEDRKSTTGVVFSLGSGAISWLSKKQEVIALSSTEAEYIALCAAICQGIWLSRVLGDCGVKLANPFVMWCDNKACIQIANNPVQHGRTKHIDVKFHFIRDMVAKGIVDLKFCSSDGQAADGFTKPLSVQKHMQMCNMLGVNNPQTRGGIVGLCLQDYDCIPVEDQLKT >DRNTG_00210.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:8779856:8781875:1 gene:DRNTG_00210 transcript:DRNTG_00210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEEMLRSMSLDYLNLLINGQAFSDVSFSVEGRLVHAHRCILAARSLFFRKFFCGPESPPGSSRVASPRGGVAAGGIVIPVHSVTFEVFMLVLQFLYSGQVSIIPQKHEPRPNCPDRGCWHTHCTAAVDLALDTLSAARIFGVEQLALLTQKQLASMVEKASIEDVMKVLTASRKQDMHQLWTTCSHLVSKSGLPPEVLAKHLPIDVVAKIEELRIKSSLSRRPTSFMDVVTGPPAELEDHHHKIRRMRRALDSSDVELVKLMVMGEGLNLDAAFALHYAVENCSREVVKALLELGAADVNCPAGPAGKTPLHIAAEMVCPDMVAVLLDHHADPNIRTVDGVTPLDILRSLTSDFLFKGAVPGLTHIEPNKLRLCLELVQSAAMVLSREEASAAAAAADNSNTVVHHHLNPEPGNNNSSMVNLSLDSRMVYLNLGMAAAAAQYRCKMNDGNEDDTRSNRSQGSGTIGPPSMYSPHDYP >DRNTG_00210.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:8779908:8781997:1 gene:DRNTG_00210 transcript:DRNTG_00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEEMLRSMSLDYLNLLINGQAFSDVSFSVEGRLVHAHRCILAARSLFFRKFFCGPESPPGSSRVASPRGGVAAGGIVIPVHSVTFEVFMLVLQFLYSGQVSIIPQKHEPRPNCPDRGCWHTHCTAAVDLALDTLSAARIFGVEQLALLTQKQLASMVEKASIEDVMKVLTASRKQDMHQLWTTCSHLVSKSGLPPEVLAKHLPIDVVAKIEELRIKSSLSRRPTSFMDVVTGPPAELEDHHHKIRRMRRALDSSDVELVKLMVMGEGLNLDAAFALHYAVENCSREVVKALLELGAADVNCPAGPAGKTPLHIAAEMVCPDMVAVLLDHHADPNIRTVDGVTPLDILRSLTSDFLFKGAVPGLTHIEPNKLRLCLELVQSAAMVLSREEASAAAAAADNSNTVVHHHLNPEPGNNNSSMVNLSLDSRMVYLNLGMAAAAAQYRCKMNDGNEDDTRSNRSQGSGTIGPPSMYSPHDYP >DRNTG_21372.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19342705:19343202:-1 gene:DRNTG_21372 transcript:DRNTG_21372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTVVWMNDSLSTTRVKLFTLLEGKEMVSDDVMDAFICIIQKPLSRVPYPYKKRASITRPLTLFMSKQDDASDTTFAMIGDATCKLHDVDIVILPIISNDHFHVVVLDNNK >DRNTG_27358.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6653587:6657405:-1 gene:DRNTG_27358 transcript:DRNTG_27358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPENHRGYAYPPDHQNSTSSSPTQPPSFSDTAPANSGNVDRVLFKNLVEMVPLVESLMDRRASASYSRRASMVYTPAPSQSRKGSELSGRKAGQIGSARKRRDNRDNEEDYDGLSAISSKDAQRDRDELIKLLQDVNELQKKLSEKEEALKSAEDLLNQMSTAYASLEDLRSQVAEKDSLVKSSNLELYNVKIRLAEKQAAMEKLVWETKMSNRKIEELEGNLTSKDLEVNALMQLFEEIAEKGSTRYPDHSITYSDPFNQYPVQIDDDDDDDDDDDEEDKIQMSKMEEARTAYINAVSIAKMNPCDETLAAAAEARFRLQAFVL >DRNTG_26164.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29259652:29264171:1 gene:DRNTG_26164 transcript:DRNTG_26164.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 50 [Source:Projected from Arabidopsis thaliana (AT1G61770) UniProtKB/Swiss-Prot;Acc:Q8GUN6] MVARALRSPSLLLLLLVFVFVAFLRPASAIYCDEDDCYDLLGVSQSANVSEIKKAYYKLSLKYHPDKNPDPESRKLFVKIANAYEILKDEATREQYDYAIAHPEEVFYNTARYYQAYYGHKTDPRAVLVGLLLVLSAFQYLNQWSRYNQAISMVKKTPAYKNRLRALELERSGGVVNKKKNNKQMDKKVEEELSNELELQIHGAERPSVWKLFGVQFLLLPYTLGKIAFWRLCWFWRYQIKKAPYSWNDACYLTQTALRLPFDAWWNIDETTKDNLVQKRLWETDNMQRYHMEMRKESKRRR >DRNTG_29463.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:799077:807472:-1 gene:DRNTG_29463 transcript:DRNTG_29463.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRIMPIPSLEKTLYSSSQKEPNHNKITRQFEQIIKDYCGLFPRQKLSYVSPRR >DRNTG_29463.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:799077:807472:-1 gene:DRNTG_29463 transcript:DRNTG_29463.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPSLYYSFHNNGNEKLRLESEEEIASEGERSLKMRLRKPATTQ >DRNTG_29463.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:799077:805275:-1 gene:DRNTG_29463 transcript:DRNTG_29463.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTKKGNIQSKLFLKSPEALRAPKVSSSSNKPLVPSTMDSSR >DRNTG_01591.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9614464:9615726:1 gene:DRNTG_01591 transcript:DRNTG_01591.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHPGKKSDYIESVKATLAATLEKATLEDNSRESTQECGISTGPCRNSTGACGTSTGPCGCPILAYLKLFFSPISALFSPFFPQLESGLRLKFRGVLATLWEWFYDLDTALHLKEGYWGSFRRQRFSKVCPKLDKGIFGDDETTPQDH >DRNTG_14579.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3833906:3838388:1 gene:DRNTG_14579 transcript:DRNTG_14579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRGSTAPTSSFLRKNVGRGASDEAYPIPDEGILGRRVEDFRQDHRYDYRGELIATLSECEVERLSNLSSGTCIELAIVDLRFGTIFVQFANTRFELVEATLRNHTGSSHNLENQVGQITKPLSERPHGSLPSNTETNPREHVLTYRLAEAMCHSLDFNDTLYFLDTTDEIVDEYMQEINNPDPYEGFFDQEEDYEEVMMLGSTEEVLSTPGILKNVLRKMKRARRRHLKHSKSVGDVREPKKLDESLLGGPKPDNSPSTLKRLSTSCFEAMGKRAVTLKPDNSPPHI >DRNTG_17847.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8307431:8309364:1 gene:DRNTG_17847 transcript:DRNTG_17847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGNFHTPVRVFPETARAIPRAHWGVRLPLWPSTVETHGPRSKKQAEKRPLESSSEFEGMSFTIPDHQAHFVRLSRLWCGQTRYLDSSILRELQQGDEFAEEVEDLVLVGGWSQLLTIREPAIHELTLEVLSSFEFDRSYERFDSMDAIQFRAFGHHYCMRITQFSVSLGLYEEAFTDTEEYSLPPTDYLGALTPQRAYRVLCGQGQYELGVSKGTCLSRPAYRYLHAIMSRSVNGRGDSIADPSVRCYRTFCTMTANRPDAPASPSLDPPAPSDPSPVAKEPERGADT >DRNTG_03420.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3268940:3271154:-1 gene:DRNTG_03420 transcript:DRNTG_03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSNLAEQLKEYQIRSKNEWASLSFFSSTSNISSRMEVISVIWELLTLALIVLSAVSLYFGYIRVTFFLVFVAILIFIFAKIAKEVRQSRKSKRRMLLPLSM >DRNTG_08512.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28884421:28889206:-1 gene:DRNTG_08512 transcript:DRNTG_08512.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPTTKKKKHVGLNISDTSSKHGKQSEHSPRKVMDADTSIFVDMSNEIKEEGNKLFQKKDYEGALLKYEKAIKLLPKNHADAAYLHSNMAACFMQMGPKEYPRAINECNLALEISPKYTKALLKRAKCFEALNRLDLAYKDVEVVLESEPNNLTGLEISERVKKGLEKKGIKPEEIALVPSMEPLVVKVKPKKKKSHKTRDKMIVNEEKHSAAKEEPHRLVKLVFVEDIRWAQLPPKCSILQLREIIRGKFPNLKAMLVKYKDNEGDLVTITTSEELRWAEECAESQGSLRLFIVEVSPEQDPHFDEEKSGAEIQGSERNPTSASENGSSRHEEEKVTSCIEDWIVQFALLFKNHVGFNSDSYLDLHELGMKLYTEAIEETVTSEEAQGIFEIATDKFQEMTALALFNWGNVHMSRALKRLFFQEDSSKESVLTQVKSGYEWAQGEYAKAGRRYEEALEIKPDFYEGYLALGRQQFEQARLTWYFTIGNKVDLDNWPSSEVLELFNNSEDSMEKGIELWEEMEEQQLKGLRDSNKDTLLKEMGLDGLFKGPSAEEAAEVASNMRSQINLFWGTMLYERSTIEFKLSLPTWEDCLMAAVEKFKLAGVPPSDIAVMIKNHSANKTTEEGLSFNIDEIVQAWNEIYDTKRWMSGVPSSRLEPLFRRKVPKLHNVLETL >DRNTG_08512.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28884421:28889206:-1 gene:DRNTG_08512 transcript:DRNTG_08512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPTTKKKKHVGLNISDTSSKHGKQSEHSPRKVMDADTSIFVDMSNEIKEEGNKLFQKKDYEGALLKYEKAIKLLPKNHADAAYLHSNMAACFMQMGPKEYPRAINECNLALEISPKYTKALLKRAKCFEALNRLDLAYKDVEVVLESEPNNLTGLEISERVKKGLEKKGIKPEEIALVPSMEPLVVKVKPKKKKSHKTRDKMIVNEEKHSAAKEEPHRLVKLVFVEDIRWAQLPPKCSILQLREIIRGKFPNLKAMLVKYKDNEGDLVTITTSEELRWAEECAESQGSLRLFIVEVSPEQDPHFDEEKSGAEIQGSERNPTSASENGSSRHEEEKVTSCIEDWIVQFALLFKNHVGFNSDSYLDLHELGMKLYTEAIEETVTSEEAQGIFEIATDKFQEMTALALFNWGNVHMSRALKRLFFQEDSSKESVLTQVKSGYEWAQGEYAKAGRRYEEALEIKPDFYEGYLALGRQQFEQARLTWYFTIGNKVDLDNWPSSEVLELFNNSEDSMEKGIELWEEMEEQQLKGLRDSNKDTLLKEMGLDGLFKGPSAEEAAEVASNMRSQINLFWGTMLYERSTIEFKLSLPTWEDCLMAAVEKFKLAGVPPSDIAVMIKNHSANKTTEEGLSFNIDEIVQAWNEIYDTKRWMSGVPSSRLEPLFRRKVPKLHNVLETL >DRNTG_08512.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28884421:28889206:-1 gene:DRNTG_08512 transcript:DRNTG_08512.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPTTKKKKHVGLNISDTSSKHGKQSEHSPRKVMDADTSIFVDMSNEIKEEGNKLFQKKDYEGALLKYEKAIKLLPKNHADAAYLHSNMAACFMQMGPKEYPRAINECNLALEISPKYTKALLKRAKCFEALNRLDLAYKDVEVVLESEPNNLTGLEISERVKKGLEKKGIKPEEIALVPSMEPLVVKVKPKKKKSHKTRDKMIVNEEKHSAAKEEPHRLVKLVFVEDIRWAQLPPKCSILQLREIIRGKFPNLKAMLVKYKDNEGDLVTITTSEELRWAEECAESQGSLRLFIVEVSPEQDPHFDEEKSGAEIQGSERNPTSASENGSSRHEEEKVTSCIEDWIVQFALLFKNHVGFNSDSYLDLHELGMKLYTEAIEETVTSEEAQGIFEIATDKFQEMTALALFNWGNVHMSRALKRLFFQEDSSKESVLTQVKSGYEWAQGEYAKAGRRYEEALEIKPDFYEGYLALGRQQFEQARLTWYFTIGNKVDLDNWPSSEVLELFNNSEDSMEKGIELWEEMEEQQLKGLRDSNKDTLLKEMGLDGLFKGPSAEEAAEVASNMRSQINLFWGTMLYERSTIEFKLSLPTWEDCLMAAVEKFKLAGVPPSDIAVMIKNHSANKTTEEGLSFNIDEIVQAWNEIYDTKRWMSGVPSSRLEPLFRRKVPKLHNVLETL >DRNTG_23531.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22305716:22307336:-1 gene:DRNTG_23531 transcript:DRNTG_23531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVEFPVIPTHSGKFLQFNIFGNHFEITSKYKLPIMPIGRGAYGIVCSVMNTESGEMVAMKKIANAFDNHLDAKRTLREIKLMKHLDHENVIAIKDVVPPPSFQSFSDVYIATELMDTDLHNVLRSNQDLSEEHCQYFLYQILRGLKYIHSAKVIHRDLKPSNLLLNSNCDLKICDFGLARPTSESDVMTEYVVTRWYRAPELLLNSTDYTAAIDVWSVGCIFMELMNRHPLFPGRDHMHQMRLITELVGTPNESELGFVRNEEARKYVRHLPRFARQSFASLYPHVHPSAIDLVEKMLTFNPLQRITVEEALAHPYLAKLHDIADEPICMDPFSFDFEQQPLNEEQMKELIYKESLFFNPHHAQ >DRNTG_29565.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:2701004:2702168:-1 gene:DRNTG_29565 transcript:DRNTG_29565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRSLFPFMRRFLKAVEFDKKVSVISSFTYHGYKPLQKALKPLHKSYCSSLAATAFAPVAIVSLSRPDPRAHGTRQSPQRSRGGIPRHLTLRTPQG >DRNTG_16963.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20988283:20991863:1 gene:DRNTG_16963 transcript:DRNTG_16963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPPIAIFQKNAMLKAVEPRPNPRQSLSNPSLDTMMEEESIKVGDEAPLKPQRPPIQTLAVSPLKSTPIQPSMEAICTVAAGWFKAVSLGPFGHLSMEILGGSEHTSSARGRGAKEKMFE >DRNTG_25744.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001335.1:2399:2737:1 gene:DRNTG_25744 transcript:DRNTG_25744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVPATAPTHRLRTLRHPRRPRLRRPKLLV >DRNTG_19857.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19651646:19653536:1 gene:DRNTG_19857 transcript:DRNTG_19857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSILLSPQELIFFDTSILQTQSKIQKEFIWPQTERPYSLQDLQAPLIDLQGFFSGHHDSTYTASKLIDTACRSHGFFQVINHGVDLSLSHQALNFLDDFFSLPLSLKLHASRKPGTMWGYAGAHADRFSSKLPWKETLSFPYHNSTISNSNPVVLDYFTSNFGNDFKQFGLVYQKYCEEMEKLALAIMELLAISLGVERMYFRDFFKNSDSIMRCNYYPPCQEPELVFGTGPHCDPTSLTILQQDHVGGLQVFANDQWKAVPPVPDALVINIGDTFMALSNGKYKSCLHRAVVNRHRARKSLAYFLCPQEDKVVRAPPVIVEGPRKYPDFSWAELREFTQKHYRADMNTLQSFSQWLLSSSSASIELS >DRNTG_03660.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13516533:13516982:-1 gene:DRNTG_03660 transcript:DRNTG_03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding RIKPRLGNPSFKPQKHHILAAVENFVDLLKIRYSSPLFRLRTTNAIQERVRFHNVGPSSILGLIVMSIEDGHEGVPGIAQ >DRNTG_33873.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26243031:26249577:1 gene:DRNTG_33873 transcript:DRNTG_33873.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEYAAMVENANGESLLLIRRAFIEYASAVEDQSSEIADSEIQISTKLVTVLPVDQTEAIDKDEYYPPVPLAPRLKELQTAPDGSHGVFFSRLSAICKQNKRGKYKLTMFVRSVDALNLSVSLTRSDGLQNLVIANIVRDAPDSIEFAKDQCNASHGKVFDKGTTTNSTRLQRLHVQTFNLFSTVMVLLASWIILLISMIFMYKDTLLRSH >DRNTG_32932.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19033235:19039986:1 gene:DRNTG_32932 transcript:DRNTG_32932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLARSVSSIKHGQKHYRASPPDSSDYVEKTHFYLAF >DRNTG_32604.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18402226:18404256:1 gene:DRNTG_32604 transcript:DRNTG_32604.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLGGLYGATTGMNARANPIMPPDLNEGAACKIAVEDNFPPGSDFLKCCPPYQGDTTLVVTDYTFPKTPLRVRRPIQEVVNDSEYMEKFKTAIKKMKELPPTDPRNFIQQSKIHCAYCNEAYPQNGDVNATISVHNSWIFLPWHRYYLHFFERILGSLIGDDTFALPYWNFDNPDGMTIPTIYTDQNSSLYDTYRDTSHYPPKIVDYKYKYTDTDVTDDDLIAENLAYMRKTFKEGVPLPELFMGDQLRAMENPSLTSPGQLEVIHNSIHMWVGERELPHRDMGTFVTASRDCIFYGLHANVDRLWSFYRALRGNRLEFKDNDWLDATFVFYDEEKQVVRCKISDSLNTSKNVLHLCRVHCTMAGRGAQKEDEGQGPRLHSASERVRR >DRNTG_14064.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5026265:5030431:1 gene:DRNTG_14064 transcript:DRNTG_14064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYEILVKGLCRVGNMADAVKLVDDMENSSAMDSKVNGCLIDGFLQKNDSQKALELLHHSRKSGTLPAVSSYTQIIQHLFRSDEYEKACELHDEMLENGIEPDVVAMTAMVAGHVQNHCISKAWEVFESMKQKEIWPSWKAYTVFIKELCKASKPYEAVKLLNEMSESNINAGDDIVHLVECSLTRKGDAEKAKEVRRMFRSFKPHLQDEHSVFVAHEASNRFAEPHVHTVQTSNDHAECSDNDVECVCKIISSPTNWSSIQEALEQSTIRFTPELVRVALQNCQMHGFAALNFFAWVGNQDGYRHDTETYNMAIKIAGGGKDFVHMRNLYREMKRRGCTITPNTWTIMISQYGQAGLTEISLKTYKEMKSKGHQPSGSTYKFLIVFLCGKKGRKVEEAIKIFQEMINAGYMPDREMLGIYLSSLCESGRLEHARKAVKSLCKRGFMPQLGYSLLTKSMCRAGKLEEALKLADEMEHLGCKMDQYIYGSIVHALLRSGNLDKALDKLKEMKRAGIPQSVQINTSLIVHFCKEKQIEKAIDIFRKMIEDGCRSEEGLQLLHEMLQNGIVPSAVNFRTVFHGLNREGKANLAHNVSKNSSKIKKPAAIVAPRRSSPENGSPVIVHMHSPKVIHARPQDFMRVVQLLTGNKPPSSFSCKTISD >DRNTG_26789.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17203181:17205289:1 gene:DRNTG_26789 transcript:DRNTG_26789.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVWELIVEFGFGFVDEIGPTMVVLREDMQRNIERVEEIYLSNPSIFSSLEEVLQSDVDSGLARKGDSFSQAVLWLARSLNFSLALLEQLVKDSDASLALVVEEAYQLTIKPWHGWISRAAYKVAMKLIPEREDFVALLMKQEQDYDALKSDIKEFVSLFKPLLDEIQAILKKFRLDRLKST >DRNTG_26789.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17203959:17205289:1 gene:DRNTG_26789 transcript:DRNTG_26789.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIPEREDFVALLMKQEQDYDALKSDIKEFVSLFKPLLDEIQAILKKFRLDRLKST >DRNTG_26789.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17203181:17205289:1 gene:DRNTG_26789 transcript:DRNTG_26789.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRLPPWLSCVFSKLLVNVLDEIGPTMVVLREDMQRNIERVEEIYLSNPSIFSSLEEVLQSDVDSGLARKGDSFSQAVLWLARSLNFSLALLEQLVKDSDASLALVVEEAYQLTIKPWHGWISRAAYKVAMKLIPEREDFVALLMKQEQDYDALKSDIKEFVSLFKPLLDEIQAILKKFRLDRLKST >DRNTG_26789.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17203000:17205289:1 gene:DRNTG_26789 transcript:DRNTG_26789.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIPEREDFVALLMKQEQDYDALKSDIKEFVSLFKPLLDEIQAILKKFRLDRLKST >DRNTG_26789.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17203181:17205289:1 gene:DRNTG_26789 transcript:DRNTG_26789.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRLPPWLSCVFSKLLVNVLDEIGPTMVVLREDMQRNIERVEEIYLSNPSIFSSLEEVLQSDVDSGLARKGDSFSQAVLWLARYFITQLTGFLV >DRNTG_32443.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9134053:9139400:-1 gene:DRNTG_32443 transcript:DRNTG_32443.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASILSWIMLERNSGRPRGFGFVTFADQRGMDRAINEMHGQELGGRIISVNKAQPKVGSDDSGYGYGSGGGGGGGGGSGGGGYSSGGRSYRGDGPPPPPPPPPGRSDCFKCGRTGHWARECPSAGGGSGGKFPSRPRYGGGGGGGGGGARGDHFGGDRYGDRYGDRYIDDRYDGGRYGDRDRVDSRDSKYGGGRDRYGNDRYPSGGGGDRYSGDRYGGPDRYPSNGYGKERAYDRDVGPRGGAGGDRYGSGGPVRYEGSYRDRPGPYDRPSRGGRPSSYDRYP >DRNTG_32443.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9134053:9140613:-1 gene:DRNTG_32443 transcript:DRNTG_32443.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEEMRIFVGGLSWATTERHLEDAFSRFGKVLEAQIMLERNSGRPRGFGFVTFADQRGMDRAINEMHGQELGGRIISVNKAQPKVGSDDSGYGYGSGGGGGGGGGSGGGGYSSGGRSYRGDGPPPPPPPPPGRSDCFKCGRTGHWARECPSAGGGSGGKFPSRPRYGGGGGGGGGGARGDHFGGDRYGDRYGDRYIDDRYDGGRYGDRDRVDSRDSKYGGGRDRYGNDRYPSGGGGDRYSGDRYGGPDRYPSNGYGKERAYDRDVGPRGGAGGDRYGSGGPVRYEGSYRDRPGPYDRPSRGGRPSSYDRYP >DRNTG_10314.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27657996:27660003:1 gene:DRNTG_10314 transcript:DRNTG_10314.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLKDMSIGAYDYMKNIDPRHWTRSHFQSKFKCDLLLNNMCECFNKNILEARLKGIVTMNEMIRTQLMIRIQRRRNSMKKCTTLHCPRIMKKLEKLKQSSFLYTTTWSGGDQYQVLGPDGQFVVDKIRATCSCRRWQLTGVPCSHAISVIYYNSDRVENYLHNCYKISTYMDTYGHTLSPTHDKNACPKSDQGPMIPP >DRNTG_24727.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7817232:7817594:1 gene:DRNTG_24727 transcript:DRNTG_24727.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin-C9 [Source:Projected from Arabidopsis thaliana (AT1G28480) UniProtKB/Swiss-Prot;Acc:Q9SGP6] MEQLLMVAIKEEVLISIVEGSMVVIIGRRGCHMNYVAQRLLEGLKAYPTMYEVSEGFVARMMLVSNVRRILSGDDKTFVPSLFPMVFIGGELIGSLDRLIAIHVSGELIPMLKVAGAIWL >DRNTG_05313.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:13296466:13297001:1 gene:DRNTG_05313 transcript:DRNTG_05313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVWNWEAIQRFYSSDIVRCLEEGYWESFRRHRSGEVYPRPDKGSLATSRGLSTRPSTRPSRGFLYGFIAFTFDFFDCT >DRNTG_35230.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6803710:6804285:-1 gene:DRNTG_35230 transcript:DRNTG_35230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDRILGTALIDMYAKCGCIENALKVFDEMTERDVFAYTPMISGLSNHGHSEKVIELFDSMENEGVRPNEVTFICVLSASSQMGPVDRGRQIFDSMSRVYGIQPRVEHYRAFVDLLNRAGLLEEARRIVLEMPMEPDPYVLGALLNACRVHREVELGKETVESLVKLGINHSGVLRIVKCLLVLFPLDEY >DRNTG_21568.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8926789:8940527:1 gene:DRNTG_21568 transcript:DRNTG_21568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNVDDERETTIENVRKYAQLKKTIQDNEDANDDEDDGSIRQPIDINANANVMRKVSGYESDYIASSDPRSYEDTSENSNANDVNRNRTCKKEKLFVVKHYISEHTCFLGVARNKRVTTTAIANKFGEEEGSLLPLREYRSRCPHSPSLSPKSLERGATIRHARAFVSRVVISAVDVLTPKVRLIICAMLPYWFSAISMKSVGRDALRIVEEVHRQFNTIPGLMEITTKPDYTTCAKISTNASIKEVIPMGALVMLTPLIVDILFGVETLSSILAGSLVSGVQIVISTSNTVGGGVGGGGIFVVMLNLVTILIIILFLGISSRSFFKEIQMWKEETLIQMEIKKTRSKQSAKLMIKMWPLAQNMNLYFLHQRTCLDGKQQSYGRRVTSVDFMATGSVFVRLLLN >DRNTG_01303.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1872743:1876694:-1 gene:DRNTG_01303 transcript:DRNTG_01303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPRKINHIAQHIELPSVKPHDKFPSLLIVNIQLPTYPAAIFLGDSDGEGMSLVLYFKISENYEDEVSAHFLDSITRFINDECERVKGFAMDCTIPYRERLKIIGGIVNPEDLHLSSAEKKLVNVYNEKPVLSRPQHNFYRGANYFEIDLDVHRFSYISRKGLESFRERLKNGTIDLGLTIQAQKQEELPEQVLCCVRLNKIDFVDHGQIPTIVTLED >DRNTG_01303.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1872743:1873269:-1 gene:DRNTG_01303 transcript:DRNTG_01303.6 gene_biotype:protein_coding transcript_biotype:protein_coding GANYFEIDLDVHRFSYISRKGLESFRERLKNGTIDLGLTIQAQKQEELPEQVLCCVRLNKIDFVDHGQIPTIVTLED >DRNTG_01303.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1872743:1874417:-1 gene:DRNTG_01303 transcript:DRNTG_01303.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCPRKINHIAQHIELPSVKPHDKFPSLLIVNIQLPTYPAAIFLGDSDGEGMSLVLYFKISENYEDEVSAHFLDSITRFINDECERVKGFAMDCTIPYRERLKIIGGIVNPEDLHLSSAEKKLVNVYNEKPVLSRPQHNFYRGANYFEIDLDVHRFSYISRKGLESFRERLKNGTIDLGLTIQAQKQEELPEQVLCCVRLNKIDFVDHGQIPTIVTLED >DRNTG_01303.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1872743:1875062:-1 gene:DRNTG_01303 transcript:DRNTG_01303.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCPRKINHIAQHIELPSVKPHDKFPSLLIVNIQLPTYPAAIFLGDSDGEGMSLVLYFKISENYEDEVSAHFLDSITRFINDECERVKGFAMDCTIPYRERLKIIGGIVNPEDLHLSSAEKKLVNVYNEKPVLSRPQHNFYRGANYFEIDLDVHRFSYISRKGLESFRERLKNGTIDLGLTIQAQKQEELPEQVLCCVRLNKIDFVDHGQIPTIVTLED >DRNTG_01303.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1875317:1875885:-1 gene:DRNTG_01303 transcript:DRNTG_01303.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSKSNGRPRSSRKRLRSRQCHSKISASIPGSPKGKAGKNGNRTTDFALSEFHVETAGTTLRKSEVSNLTFHLTQMQWHHQKDING >DRNTG_01303.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1872743:1874006:-1 gene:DRNTG_01303 transcript:DRNTG_01303.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCPRKINHIAQHIELPSVKPHDKFPSLLIVNIQLPTYPAAIFLGDSDGEGMSLVLYFKISENYEDEVSAHFLDSITRFINDECERVKGFAMDCTIPYRERLKIIGGIVNPEDLHLSSAEKKLVNVYNEKPVLSRPQHNFYRGANYFEIDLDVHRFSYISRKGLESFRERLKNGTIDLGLTIQAQKQEELPEQVLCCVRLNKIDFVDHGQIPTIVTLED >DRNTG_20269.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23660893:23661926:1 gene:DRNTG_20269 transcript:DRNTG_20269.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEMKAIERNSTWYLTKLPEGKKAIGLKWVYRTKLHSDGTIVKRKARLVVKGYAQTQGIDFEETFAPVVRMETVQVFLCVAAQRQWPVFQLDVKSAFLNGELNEEVYVQQPKGFIVQGKEQLVYRLNKALYGLRQAPRAWYSKID >DRNTG_11267.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21735765:21736437:-1 gene:DRNTG_11267 transcript:DRNTG_11267.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIFWLMPVCKNNKLRKNGFHGPSPRFPFGNLMEMRNKNLHQLPSSSSCFSPSGDHLRTTISHDIHSTAFSYFAQWRKTFGRVFVYWLGTEPFLYVSEPEFLKKVTSGSLSKSWGKPNVFKYDRRPMFGNGLVMVEGDEWDHHRHIIVPAFSIKNLN >DRNTG_11267.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21734528:21736437:-1 gene:DRNTG_11267 transcript:DRNTG_11267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIFWLMPVCKNNKLRKNGFHGPSPRFPFGNLMEMRNKNLHQLPSSSSCFSPSGDHLRTTISHDIHSTAFSYFAQWRKTFGRVFVYWLGTEPFLYVSEPEFLKKVTSGSLSKSWGKPNVFKYDRRPMFGNGLVMVEGDEWDHHRHIIVPAFSIKNLNGMQNLMVETTTNMLEEWKKLMDIGKHEINVDEYIIRNAAEIIAKTSFGISEEDGKMVFEKLQTMQTMLFKSNRLVGVPFSKLLSPKKSQEAWKLGKEIDKLLLRIITSRKDQACTINGQQDLISILLEGNKALKKKLTTQELVDESKTFFFGGHETTALALTWTLFLLALYPQWQKLLREEIMEVSNGDPLDSTMLTKLVKMGWVFNETLRLYSPAPNAQRQAREDIKVGDIFIPKGTNMWIDIVAMHHDRELWGDDVNEFKPERFMEHNNGGCKHRMGFMPFGFGGRVCVGRNLSITEYKIVLSLILQKFSFSLSPSYLHSPKIMLTLRPSLGVPLIV >DRNTG_24637.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31204744:31205474:1 gene:DRNTG_24637 transcript:DRNTG_24637.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERGFTGNKFQLLRNITGAIRPGILSVLMGVSGAGKTTLLDVLSGRKTGGFIGGDIRIGGYHKTPETFARISGYCEQTDIHSPHITVEESVIFSAWLRLPNEIDSKTR >DRNTG_18534.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3321397:3321963:-1 gene:DRNTG_18534 transcript:DRNTG_18534.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQKNTVSEHKQSHEPFGKNKPSSLMIGLLLASKQATSLEMAHIYKV >DRNTG_29672.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:125938:126241:-1 gene:DRNTG_29672 transcript:DRNTG_29672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSPRAAKIFTFSIVSSGRFSTIALATSSIFHDRSRSDSSSSASTHSSPSLCSLFSSSLSPDPFIKEQNMSGHTLSQIGI >DRNTG_12754.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25868897:25869920:-1 gene:DRNTG_12754 transcript:DRNTG_12754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTNRWRSIPAFGNWEFYEELPITQYFEVHAKPSQNHHKKVRQSAKGTGAVVHQNQNQKQRQKQKQSKVCDVLPQTPPKRVKHPKAVDEDLYKIPPELLQQKPKRKRMLVSFLIGCIGMNCISA >DRNTG_35352.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18386431:18387019:1 gene:DRNTG_35352 transcript:DRNTG_35352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGNARPAPEQGSTSEAPNSGSSSNSGRRGSILTPEAPNRGSSSSSGRRGSLLSGIIYLARTRSAAS >DRNTG_14484.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14794813:14796117:1 gene:DRNTG_14484 transcript:DRNTG_14484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFRTPMQSSANAFSSSQEDTRRAAAPVSLACECPRSCEISARVCETLKDSFRLDREATAACDCPCGVGTWAWVLASFEFDRSYADFDSIDTIQLRAFGRYYSMSLTQFSIQLGLYDEEFTKIEEYDEMPTNYCGSLTP >DRNTG_26598.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19979967:19983201:-1 gene:DRNTG_26598 transcript:DRNTG_26598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKASLMFCTAFSTSKLYNVKRMIPLDVLVIDEAAQLKECETLIPLQLSVVRHAILIGDECQLPAMVKSKASENALFGRSLFERLSLLGYKKQLLNVQYRMHPSISRFPNANFYNNKISDGPSVIDKKHTRCFLPGPMFGPYSFINIEFGNEVADGLTHSKKNLVEVAVISDIISRLFSECVRTKQRVSVGIICPYTAQVSAIREKLGKAYKGHSFFSFRVNSVDGFQGSEEDIIMFSAVRSNTAGTVGFLYNHQRTNVALTRARHCLWVLGNEPTLSSSGTIWSKLVCDAKNRGCFFDGKDDKNIMNVMMKSCNGFGKINEQIYNMTSLDISKTQEKKYGEMHVLSNQFVQLSMDNTSEAPKCDSRKIETSEGKRMENNTKMEDKRIETTPKEKNVVKHEKLSNLSSKVVHHSEHPQLIKSEGYMQTGTLRNWSNQNNDASSSTIKMTTAPKPKQINEEEKEEPMLPSSLQLLLQSLAQLFL >DRNTG_02719.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19524817:19535030:1 gene:DRNTG_02719 transcript:DRNTG_02719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSAVYQGDSLIGEVEIHPQNSYTGPWIREIRIADFSPPSDRCPPLAVLLTIAAAGVRFKMESTSDDSPLFSLHAACLREQKTAIFPLGDEEIHMVAMPSRKNLLQSSCFWGFSVAPGLYTSCLVMLNLRCLGIVFDLDETLIVANTTRSFEDRIDALQRKVNNEMDPQRVAGILAEIKRYQDDKSILKQYVENDQVVDNGKVYLVQPEIVPPLSDNHQLISRPVIRLQEKNIILTRVNPAIRDTSVLVRLRPAWEDLRAYLTARGRKRFEVYVCTMAERDYAFEMWRLLDPESNLISPRELLDRIVCVKSGSRKSLLNVFQDGICHPRMALVIDDRLKVWDEKDQPRVHVVPAFAPYYAPQAEANSTIPVLCVARNVACNVRGGFFKEFDEGMLPRISNISYEDEMVDFPSAPDVSNYLVSEEDMTTLNGSKDPLCFEGITDAEVQRRLKDPNYTAQAVPPMFSSLDPRIISSLQHVMASSGAVPLPTAQILMSLPNSQSLQSIAVGKPLVQSILSEPSLQGSPAREEGEVPESELDPDTRRRLLILQHGQDNRELPPPPPPPPPPPPFPVGPPLQVSGPPVQSRGNWLPYDEELSPKQRNRAHKDFSLQPDNMRFSKKRSRLPSFSRNGPAGDNPSPQDRLLYDNQRLPLQAHRSDDRLRSNDPISNYNSYPGEEMPIGRNPNHRDAQLEPGPAIMQYPETSAGVLQEIAVKCGAKVEYRTALCDTSELQFSIEVWFLGERIGEGIGKTRKEAQDQAAENSLRTLANKYLSNVLLDPTSVHGDLNKIPYSKEKSFLNDSNPFVNPAFARDDLPLAASNSEESRFLDQKLEESKKLMASVVALKDLCTTEGFTLGFQCPPSLSANSDSIGEINAQVEVAGQILGKGIGTTWEEAKLQAAEEALGALKSMLGQFTQKRLGSPRSLHSVPNKRLKAEFSRVVQQIPSSNRYSKHDTPVH >DRNTG_26812.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20267427:20269271:1 gene:DRNTG_26812 transcript:DRNTG_26812.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQNRTEYQQPELDLRPQSERTRMSGNTTTEKHVHMAWTCSS >DRNTG_26812.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20268863:20269271:1 gene:DRNTG_26812 transcript:DRNTG_26812.2 gene_biotype:protein_coding transcript_biotype:protein_coding IDNQDSRTKNNSQGKAPISTLAEELPEKVAFGRQNSQR >DRNTG_20836.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5850914:5921111:-1 gene:DRNTG_20836 transcript:DRNTG_20836.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYDDMYIGSEEYGSLPTDFPSTMNPHQAYRILCGYEQYEPGMSKATSLSRLSYRHLHVVLSRLILGMGLMDAIRGAERMVVPTPLAFETIRMIGLVRRWGPEHPLQHRRHSGIHYPFLLFLELMIVLRALRVLWMVMSSWELRTLSWARPRCFGTCCIYGSQYTCLINARSQTHHVLQSQ >DRNTG_32155.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001793.1:39093:40557:1 gene:DRNTG_32155 transcript:DRNTG_32155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGQKQRIAIARALIKSPKILLLDEATSALDLESEKIVQEALDKASLGRTTIVIAHRLSTIRHADLIAVIQAGQVMEIGSHNELIGEEDGLYSSLVHLQQSSEGAIKDKDRPSASSSNKGNNKDPKSQTINRSFSTDYELDFAKSVDNNEEPKDKSKTKKLPTISFHRLLLMSSPEWKQLLLGILSSILSGGVQPLFSYVQGSVTSAYFLKDHKEIKDKMQTYSLILLGLSIFSLFINVLQHYNISAMGEYLTKRLREKMFSKILTFEVGWFDQTENSTGAICSRLTNDALFEMQCLQVRSLVGDRMAFLIQTFSTVAIACTIGLVIAWKLALVIIAVQPLVIMSYWARK >DRNTG_06200.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25175207:25179400:1 gene:DRNTG_06200 transcript:DRNTG_06200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDTEYYDILGVSVDSSAADIKKAYYIKARRVHPDKNPGDPTAAHNFQVLGEAYQVLSDPGKREAYDKNGKAGVPQDSMVDPAAVFGMLFGSELFEDYIGQLALASIASVDIEEESQDPEVRQQKIQARLKEMQKEREQKLIQILKDRLHLYVEGQKDEFVSWANAEARRLSQAAFGEAMLHTIGYIYARQAAKEIGKNIFMGVPFIAEWVRDKGHHIKSQVHAASGAISLIQIREGMKKLEESESREENFVKSFEEKKDAMVNSLWKINVVDIESTLSHVCHAVLRDNTVSKDVLKLRAKALKKLGIIFQGAKVIYHRESSLRCENACNKGPASSSTS >DRNTG_06200.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25175207:25179400:1 gene:DRNTG_06200 transcript:DRNTG_06200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDTEYYDILGVSVDSSAADIKKAYYIKARRVHPDKNPGDPTAAHNFQVLGEAYQVLSDPGKREAYDKNGKAGVPQDSMVDPAAVFGMLFGSELFEDYIGQLALASIASVDIEEESQDPEVRQQKIQARLKEMQKEREQKLIQILKDRLHLYVEGQKDEFVSWANAEARRLSQAAFGEAMLHTIGYIYARQAAKEIGKNIFMGVPFIAEWVRDKGHHIKSQVHAASGAISLIQIREGMKKLEESESREENFVKSFEEKKDAMVNSLWKINVVDIESTLSHVCHAVLRDNTVSKDVLKLRAKALKKLGIIFQGAKVIYHRESSLRCENACNKGPASSSTS >DRNTG_06200.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25175207:25180427:1 gene:DRNTG_06200 transcript:DRNTG_06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDTEYYDILGVSVDSSAADIKKAYYIKARRVHPDKNPGDPTAAHNFQVLGEAYQVLSDPGKREAYDKNGKAGVPQDSMVDPAAVFGMLFGSELFEDYIGQLALASIASVDIEEESQDPEVRQQKIQARLKEMQKEREQKLIQILKDRLHLYVEGQKDEFVSWANAEARRLSQAAFGEAMLHTIGYIYARQAAKEIGKNIFMGVPFIAEWVRDKGHHIKSQVHAASGAISLIQIREGMKKLEESESREENFVKSFEEKKDAMVNSLWKINVVDIESTLSHVCHAVLRDNTVSKDVLKLRAKALKKLGIIFQGAKVIYHRESSLRCENACNKGPASSSTS >DRNTG_28184.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20755062:20760577:1 gene:DRNTG_28184 transcript:DRNTG_28184.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMILSRSFGMGARANSAPKQRATTSENFFDARVQSKEASDTTRRSSTSSSTIRKASSVTNIVDDLTSIFGGSPSSGEYQEVEGESEERRRARFERHQRTLDRTAKALAEKNERDMQTRMEQEERHRIAETLDIEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPASLTDLITSVSVKKVYRRATLCVHPDKVQQKGANLQQKYIAEKVFDILKEAWNKFNAEELF >DRNTG_04872.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13623995:13624537:1 gene:DRNTG_04872 transcript:DRNTG_04872.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDTSRDTRATRWGRRGRPGERIEVGEKNTEIGPESLGEIELARRIEVRETLDKRVNAIKHLLLFHAEDLLLR >DRNTG_04872.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13624215:13624537:1 gene:DRNTG_04872 transcript:DRNTG_04872.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDTSRDTRATRWGRRGRPGERIEVGEKNTEIGPESLGEIELARRIEVRETLDKRVNAIKHLLLFHAEDLLLR >DRNTG_12365.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26026593:26028643:-1 gene:DRNTG_12365 transcript:DRNTG_12365.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGFRANSDDLTGKAYARKDARKDHPEQLMIITYAKKGSRKEQNSEAYGAAFVAVSWTTT >DRNTG_15433.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14904234:14910738:-1 gene:DRNTG_15433 transcript:DRNTG_15433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVQSSSSMEFIDSTFSDTGMGSLSYKHLELKWLIREHLFSLFYDFPSFIPSAENYIHNDGTVVHLLNVSGYLTVPPSKLPILMTIWLKEDYPFTAPLVYISSHSEAKIHHQHPFIDPSSCSTHCPYLETWKYPRSNLSDLTREIVEVLKICTPYPDFVDESLEMITTNRKDIELQLARQVHHDKGCFRAQVEEDIEHLLGLQGMLLERADRLNFFIHELEKERMSLRDAVLNMALDVVVILEWLRANDDIEEVVDVVDEASKCLIESEAVDRAIDDVMEAIWKEVDEGRINVDLAVNLIARSCAAGMPARACREPFCL >DRNTG_27915.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22952190:22969342:1 gene:DRNTG_27915 transcript:DRNTG_27915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKLSILISIIICLIYIVSDVAAMPIGAPDLSKCQPFFAANCCLINSRSIVDFKLPSTGALRVRPAAHLVTKEYILKYANATRLMRSLPLNHPWNFMQQANVHCAYCEGIYNLSNFPNMTLEVHSSWLFIPFHRMYLYFHEKILGKLIGDESFALPFWNWDSPEGMGIPTMYTDPNSSLFDNTRVQNHQPPNILNYGSTNEGNNNISSQIEANLEVMYRQMVSSAKTAGLLLGGPYRAGGDELWNPGTVEMAPHPYVHIWTGFDMSSLATAGRDPIFYSHHSNVDRMWEVWKTLPGNNSTGVNYINDTDWLDSSFLFWDENLQLVRIKIRDVLDTTKLGYVYQQVPIPWSNKTAAKLWDGEEMSSLRLVELPVVLDSAVKINVELPKMKMKMNMEEVLVVDEIEFDARVHVGFDVFLGGLRTSKIGFAGRFEHLAVKKWRKDVAMGGLLMKKRLVLGLKDLVKELKLERGELLVVTLMPSHGTGVLIKGLKKKALRALPKLSFDPASTVQGKLAECPICLTEFTEGDEIRILPHCGHGFHVACVDTWLSSHSSCPSCRRILVVTAVAPPSRCQRCGACSDSSAAATAENGAKAREDADANSWCGRWKVT >DRNTG_23742.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21017269:21020454:1 gene:DRNTG_23742 transcript:DRNTG_23742.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-ureidopropionase [Source:Projected from Arabidopsis thaliana (AT5G64370) UniProtKB/Swiss-Prot;Acc:Q8H183] MEAAGERSSENGEVAEKKAGPSIKEGSIHGFESLHSLLEASLSPQLFQEASRMLLGLNCGCPLELIALPEFSTSLSEKHDFDLQAFCFRADKEALREPRVVRAGVIQNSIALPTDAPFSEQKTAIMQKVKPMIDAAGASGVNVLCLQEAWMMPFAFCTREKRWCEFAEPIDGESTQFLQDLARKYNMVIISPILERDLNHGETIWNTAIVIGNHGNIIGKHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIAVNICYGRHHPLNWMAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEVFPNPFTSGNGKPQHSDFGHFYGSSHFSAPDASCTPSLSRYRDGLMIADMDLNLCRQLKDKWGFRMTARYELYSELLARYLKPDFMPQVIVDPLMQKRP >DRNTG_23742.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21018751:21020454:1 gene:DRNTG_23742 transcript:DRNTG_23742.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-ureidopropionase [Source:Projected from Arabidopsis thaliana (AT5G64370) UniProtKB/Swiss-Prot;Acc:Q8H183] MQKVKPMIDAAGASGVNVLCLQEAWMMPFAFCTREKRWCEFAEPIDGESTQFLQDLARKYNMVIISPILERDLNHGETIWNTAIVIGNHGNIIGKHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIAVNICYGRHHPLNWMAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEVFPNPFTSGNGKPQHSDFGHFYGSSHFSAPDASCTPSLSRYRDGLMIADMDLNLCRQLKDKWGFRMTARYELYSELLARYLKPDFMPQVIVDPLMQKRP >DRNTG_34309.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002119.1:379:4005:1 gene:DRNTG_34309 transcript:DRNTG_34309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLEMELEDGFPASRLFSQGVSYTYDDVIMLPHYIDFPADAVDLSTRLSRRVSLSIPCVASPMDTVSESSMAVAMASLGGVAIIHCNSSPALQASLVRSAKSRRTPFVHGPSVLSGSDAIIRTFDDILPAVVVTDPEKVPIGVVARSDWERLENKDVPVSAYMRSAPPPVPSKYDFEEIAAFLAEEKLDLAPLVDEDGEVVGVITMEDIERIRGFPKLGKPSLGRDGRLLVGASIGTRENDKERLEQLVKAGVDVVVVDSSQGNSVYQIEMIKHAKKSFPELDVIGGNVVTIAQAQNLIQAGADGLRVGMGSGSICTTQEVCAVGRGQATAVYKVSSYAKDHDVPVIADGGISNSGHIVKALVLGASTVMMGSFLAGSHEAPGVYENQGGRRVKKYRGMGSLEAMT >DRNTG_34309.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002119.1:379:4005:1 gene:DRNTG_34309 transcript:DRNTG_34309.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLEMELEDGFPASRLFSQGVSYTYDDVIMLPHYIDFPADAVDLSTRLSRRVSLSIPCVASPMDTVSESSMAVAMASLGGVAIIHCNSSPALQASLVRSAKSRRTPFVHGPSVLSGSDAIIRTFDDILPAVVVTDPEKVPIGVVARSDWERLENKDVPVSAYMRSAPPPVPSKYDFEEIAAFLAEEKLDLAPLVDEDGEVVGVITMEDIERIRGFPKLGKPSLGRDGRLLVGASIGTRENDKERLEQLVKAGVDVVVVDSSQGNSVYQIEMIKHAKKSFPELDVIGGNVVTIAQAQNLIQAGADGLRVGMGSGSICTTQEVCAVGRGQATAVYKVSSYAKDHDVPVIADGGISNSGHIVKALVLGASTVMMGSFLAGSHEAPGVYENQGGRRVKKYRGMGSLEAMT >DRNTG_24019.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16971438:16971941:1 gene:DRNTG_24019 transcript:DRNTG_24019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEVVPSAGYDYSPIPSARLFRPFLNPVNLLLPFSLLRSIFISWTILRRFRPQIVLGTGSYVAAPVCFAAALAGIKFVI >DRNTG_11250.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21830337:21834185:1 gene:DRNTG_11250 transcript:DRNTG_11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWKFPGSFIRGNQESPSSANSQDIPTQSWYPPSVIGSSRPSTPGGSSSSAHQRVERTESLSSSHGQPSPAEAAGIISRLKDKSVDELKKLLSDKEAYNQFFHSLDQVKTQNKLRDDLQRETLQLARENLEKEPRILELRNQCTIIRTTELAAAQEKLTELEKQKEELLRSYSPSALLQKLHDAMDKVEEESEVLHRQLLEKEIDLATFVPKYKKLRTIYHRRALLHLSARTTM >DRNTG_26126.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:4076795:4087664:-1 gene:DRNTG_26126 transcript:DRNTG_26126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSSFFRLSLLLLLLAAIVTACITLPVEKILKDFLIWIKQNLGPWGPLVLAIAYIPLTILAVPASILTLGGGYLFGLPVGFVADSIGATIGATAAFLLGRTIGKPYVISKLKDYPKFQAVAIAIQRSGFKIVLLLRLVPLLPFNMLNYLLSVTPVGIVEYMLASWLGMMPITFALVYVGTTLKDIADVTHGWSEVSTSRWLY >DRNTG_24568.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6541913:6564694:1 gene:DRNTG_24568 transcript:DRNTG_24568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSRIIWKKVIGRAFVGIDPARFFERFYGSNIAHHLEEGYWESFRRHRSGELYPRPDKGSLAMSRGLSTRPSTRPSRGFFMDSLLLHSISLIVL >DRNTG_18328.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1742615:1744256:-1 gene:DRNTG_18328 transcript:DRNTG_18328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVQALIAAVASFFIVSFLIGVLLLLCRRPSKPPSLAAVSPAFRPGPTLPVASATFDPSISHISMTELAIATKNFSADAIIGDGGFGFVYKAQLTSGATVAVKRLSADAFHGPREFCAEMETLGRIRHRNLARLLGYCISGPDRLLIYEFLPGGSLDHWLHQSDSAESGPGRLSWTDRVAILRGVATGLAFLHEECKPMIIHRDIKASNVLLDAELGARIADFGLARRVDSARSHVSTQVAGTMGYMAPEYREGNTAATWMGDVYSFGILMFEVATGKRPNWPIKGEDGKDVSFVKWAKGMVEAGRGWEILDPWMEKEGVRCDDVDGFLWIAHRSTDESCRKRPSMVEVVSLLNQLPCHSFKISI >DRNTG_04045.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11595551:11600360:1 gene:DRNTG_04045 transcript:DRNTG_04045.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTGTAAGNQSRDSNIRRDGDDGSGDSGGMSSAQMPDAQPRTSSHSLDTDSNHNGDDENQVHGNIHENTGGLINVNTGMIAHSRQKIGLQYESWHKVPKTLKDELLNFIEELRSGKKIGHFEFFKVTHTKKDGSYLNKETEDIVEKANEKLAEYESIDGDEGMVEIEILTQVIGKERHSRVRGLGLGPTPTTYYCHSSSHRHKTCGDHSSECIDFHQQMDEKFQKMNLGENVLITTLFILSCNNKSQEQLSPRLP >DRNTG_00526.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30385890:30386765:1 gene:DRNTG_00526 transcript:DRNTG_00526.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDDVMDAFVCILQKTMSVVPYRYKRRASITRPLALFMSKQGDDAHESTMAMIGDAARNLHEVDIVILPIIMSGHFHVVVLDNEKQEYKHYSSCQSEEYDKNALEMKKGSVDCSVYVMRFIEQLLAGEKLRVPQTDVPYLRLRC >DRNTG_15733.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11631762:11632901:-1 gene:DRNTG_15733 transcript:DRNTG_15733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSPVKGLERMQHIGHLATSCGGGGVGSLLPSSFLLCSASFSWLSIFFWEQQQRWRQRQATLAVAMAVMETAPTSSSSSFSISLLSLGFGCRHHR >DRNTG_01821.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16237839:16239288:1 gene:DRNTG_01821 transcript:DRNTG_01821.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMYLFMMLWYADRGVVPPRDYSPVYFPMGNGATGFFVIFSLISGVVGAASSIAGLSKMLPWGSDHGWLPAARSSGFTAWVLTLLSASLAIKEIALEGRNARLRTMEAFNIILAVTQFASIVAIHAA >DRNTG_01821.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16237404:16239288:1 gene:DRNTG_01821 transcript:DRNTG_01821.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSQNCVILLLLLNFCISLILACIGGWALNYSVQNDYFIDRGVVPPRDYSPVYFPMGNGATGFFVIFSLISGVVGAASSIAGLSKMLPWGSDHGWLPAARSSGFTAWVLTLLSASLAIKEIALEGRNARLRTMEAFNIILAVTQFASIVAIHAA >DRNTG_26283.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22947823:22950105:1 gene:DRNTG_26283 transcript:DRNTG_26283.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRQGERVRLYVRGTVLGYKRSKSNQKENTSLIQIEGVNTKEEVAWYCGKRLAYIYKAKVKKEGSHYRCIWGKVTRPHGNSGVVRAKFRSNLPPKSMGSKVRVFMYPSNI >DRNTG_10014.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3068986:3069801:-1 gene:DRNTG_10014 transcript:DRNTG_10014.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGKNSLNDGTNGKVGTKGSTLWVCV >DRNTG_10014.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3063093:3064952:-1 gene:DRNTG_10014 transcript:DRNTG_10014.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAKETEQVPLQLTPSLPAVLYPVTAKSSYSSAFVYFIIANAIACGYSIISLGGAIANRGRRGKLAMLIFIIDELMLALLFSGNGAAAAIGNVGENGNSHVGWNKICNVFTKFCSHVKISVILSLVGALNYVFLALISIISLHKKDK >DRNTG_10014.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3063093:3069801:-1 gene:DRNTG_10014 transcript:DRNTG_10014.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCELVFRLVCVATTLAAAIVMGEAKETEQVPLQLTPSLPAVLYPVTAKSSYSSAFVYFIIANAIACGYSIISLGGAIANRGRRGKLAMLIFIIDELMLALLFSGNGAAAAIGNVGENGNSHVGWNKICNVFTKFCSHVKISVILSLVGALNYVFLALISIISLHKKDK >DRNTG_05064.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3765170:3773166:-1 gene:DRNTG_05064 transcript:DRNTG_05064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYARINVLFSSPYITRLIIRMGLLDAIRGAKKIIVPSPLGLEMVRIMWMIRSYRDRVYVLNMPLPQIAKAEGDAAKESQPTQEPQQEQMETETPPIAQEPSPVHSLSPSRAYNHFEKLESVVGLLERDAASPFVMRPRTPLSSPAAPSPPEAPSTSSLVAVEPEIAPDTDPESPTG >DRNTG_18188.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4693509:4700512:1 gene:DRNTG_18188 transcript:DRNTG_18188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDPLALDSLLAARRSLRAGLDKSKSLSAALARAGPRLDEIRARLPSLESAVRPARAPRAALSSAASHIDRAVGPAAAVLKVFDAVHGLEPPLLLPSPLPSPLSSYLSLLSRLQEALRFLSDNSPLALQWLDDILDYLSDHSLADPPFLSGLQSLLASLRDSKAPLDAGLLDAVLAKLLSEFRRLLSDHSNPLPMNPNPNPSAAASIAPSPLPVPVIHSLTSILNRMISHGRLDDCVSAFIDVRGSTARAALDALGLDYLRIGPADFDDVQSIEADIGKWGRHLEFAVKHLLDAEYRLCHEVMENAGVPELPSKCFLEIADRAGFRSFLNFGMTVTESKKDPIKLLKLLDIFASLNKLRLDFNRLFGGKACVEIQNLTRDLIKRVIDGACDIFWELLVQVELQRSSPPPPDGRVPRLVTFITDYCDRLLGEDYRPVLTQVLVIHRSWKQEKFQDRLLLDAILNIIKALEANFDAWSKGYEDVTLSYLFMMNTHWHFYKQLKGTKLGELLGDVWLREHEQYKEYYAAVFLRETWGKLPGLLSRDGLILFSGGRATARDLVKKRLKAFNETLDAMYQKQSNWVVTDKELREKTCNLVVQAIVPAYRSYMQNYGPLVEQDASASKYAKYTAQSLEKMLGSLFQHKPVKSVSFRVSHSNGKVDSVVSSQYRSAPTVV >DRNTG_18188.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4693509:4696201:1 gene:DRNTG_18188 transcript:DRNTG_18188.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDPLALDSLLAARRSLRAGLDKSKSLSAALARAGPRLDEIRARLPSLESAVRPARAPRAALSSAASHIDRAVGPAAAVLKVFDAVHGLEPPLLLPSPLPSPLSSYLSLLSRLQEALRFLSDNSPLALQWLDDILDYLSDHSLADPPFLSGLQSLLASLRDSKAPLDAGLLDAVLAKLLSEFRRLLSDHSNPLPMNPNPNPSAAASIAPSPLPVPVIHSLTSILNRMISHGRLDDCVSAFIDVRGSTARAALDALGLDYLRIGPADFDDVQSIEADIGKWGRHLEFAVKHLLDAEYRLCHEVMENAGVPELPSKCFLEIADRAGFRSFLNFGMTVTESKKDPIKLLKLLDIFASLNKLRLDFNRLFGGKACVEIQNLTRDLIKRVIDGACDIFWELLVQVELQRSSPPPPDGRVPRLVTFITDYCDRLLGEDYRPVLTQVLVIHRSWKQEKFQDRLLLDAILNIIKALEANFDAWSKGYEDVTLSYLFMMNTHWHFYKQLKGTKLGELLGDVWLREHEQYKEYYAAVFLRETWGKLPGLLSRDGLILFSGGRATARDLVKKRLKAFNETLDAMYQKQSNWVVTDKELREKTCNLVVQAIVPAYRSYMQNYGPLVEQDASASKYAKYTAQSLEKMLGSLFQHKPVKSVSFRVSHSNGKVDSVVSSQYRSAPTVV >DRNTG_18188.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4693509:4700512:1 gene:DRNTG_18188 transcript:DRNTG_18188.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDPLALDSLLAARRSLRAGLDKSKSLSAALARAGPRLDEIRARLPSLESAVRPARAPRAALSSAASHIDRAVGPAAAVLKVFDAVHGLEPPLLLPSPLPSPLSSYLSLLSRLQEALRFLSDNSPLALQWLDDILDYLSDHSLADPPFLSGLQSLLASLRDSKAPLDAGLLDAVLAKLLSEFRRLLSDHSNPLPMNPNPNPSAAASIAPSPLPVPVIHSLTSILNRMISHGRLDDCVSAFIDVRGSTARAALDALGLDYLRIGPADFDDVQSIEADIGKWGRHLEFAVKHLLDAEYRLCHEVMENAGVPELPSKCFLEIADRAGFRSFLNFGMTVTESKKDPIKLLKLLDIFASLNKLRLDFNRLFGGKACVEIQNLTRDLIKRVIDGACDIFWELLVQVELQRSSPPPPDGRVPRLVTFITDYCDRLLGEDYRPVLTQVLVIHRSWKQEKFQDRLLLDAILNIIKALEANFDAWSKGYEDVTLSYLFMMNTHWHFYKQLKGTKLGELLGDVWLREHEQYKEYYAAVFLRETWGKLPGLLSRDGLILFSGGRATARDLVKKRLKAFNETLDAMYQKQSNWVVTDKELREKTCNLVVQAIVPAYRSYMQNYGPLVEQDASASKYAKYTAQSLEKMLGSLFQHKPVKSVSFRVSHSNGKVDSVVSSQYRSAPTVV >DRNTG_18188.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4693509:4699286:1 gene:DRNTG_18188 transcript:DRNTG_18188.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDPLALDSLLAARRSLRAGLDKSKSLSAALARAGPRLDEIRARLPSLESAVRPARAPRAALSSAASHIDRAVGPAAAVLKVFDAVHGLEPPLLLPSPLPSPLSSYLSLLSRLQEALRFLSDNSPLALQWLDDILDYLSDHSLADPPFLSGLQSLLASLRDSKAPLDAGLLDAVLAKLLSEFRRLLSDHSNPLPMNPNPNPSAAASIAPSPLPVPVIHSLTSILNRMISHGRLDDCVSAFIDVRGSTARAALDALGLDYLRIGPADFDDVQSIEADIGKWGRHLEFAVKHLLDAEYRLCHEVMENAGVPELPSKCFLEIADRAGFRSFLNFGMTVTESKKDPIKLLKLLDIFASLNKLRLDFNRLFGGKACVEIQNLTRDLIKRVIDGACDIFWELLVQVELQRSSPPPPDGRVPRLVTFITDYCDRLLGEDYRPVLTQVLVIHRSWKQEKFQDRLLLDAILNIIKALEANFDAWSKGYEDVTLSYLFMMNTHWHFYKQLKGTKLGELLGDVWLREHEQYKEYYAAVFLRETWGKLPGLLSRDGLILFSGGRATARDLVKKRLKAFNETLDAMYQKQSNWVVTDKELREKTCNLVVQAIVPAYRSYMQNYGPLVEQDASASKYAKYTAQSLEKMLGSLFQHKPVKSVSFRVSHSNGKVDSVVSSQYRSAPTVV >DRNTG_24061.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26493637:26496022:-1 gene:DRNTG_24061 transcript:DRNTG_24061.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAD1 [Source:Projected from Arabidopsis thaliana (AT5G48870) UniProtKB/TrEMBL;Acc:A0A178USD1] MSHNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLRGFDVYVNMVLEDVTEYEITAEGRRITKLDQILLNGNNIAILVPGGSPDPE >DRNTG_24978.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6966629:6969693:1 gene:DRNTG_24978 transcript:DRNTG_24978.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLESKKYSKFMAELVQVSLRIHLFFFFFFFFLNLSITTFCHSEVFIRSTLIYPLTCTPPTSCNSYLYHVSHGLSMQTLASLYSANISLIKPINNNGNTDYLISVPCTCETIDNGTTVGFFHDTLYMVKAGDFADNITSFLFSGQAYNVSKKLFAGVSIIVHLPCGCYSSSASYHSLVTYTVQQGDTLSAIAELLSSDVSSIRMMNPRLTADPEYLYPGWVLFVPMGLQQSNKQEQGEKNKIGIGLEISMPIVILLVGGLIFLIYWRHKSKKADKDPTQLKDKSISKISVLENKFMHKESNKELLPYESERPLIFSLEEIEEATANFDETRKIGSGGYGSVYFGMLGKQEVAVKKMKSSKSKEFFAELKVLCKVHHINVVELIGYASGDDHLYLVYEFVKNGSLSEHLHDPLLNGHQPLSWNARAQIALDAARGIEYIHDHTKARYVHRDIKDI >DRNTG_24978.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6966629:6969693:1 gene:DRNTG_24978 transcript:DRNTG_24978.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLESKKYSKFMAELVQVSLRIHLFFFFFFFFLNLSITTFCHSEVFIRSTLIYPLTCTPPTSCNSYLYHVSHGLSMQTLASLYSANISLIKPINNNGNTDYLISVPCTCETIDNGTTVGFFHDTLYMVKAGDFADNITSFLFSGQAYNVSKKLFAGVSIIVHLPCGCYSSSASYHSLVTYTVQQGDTLSAIAELLSSDVSSIRMMNPRLTADPEYLYPGWVLFVPMGLQQSNKQEQGEKNKIGIGLEISMPIVILLVGGLIFLIYWRHKSKKADKDPTQLKDKSISKISVLENKFMHKESNKELLPYESERPLIFSLEEIEEATANFDETRKIGSGGYGSVYFGMLGKQEVAVKKMKSSKSKEFFAELKVLCKVHHINVVELIGYASGDDHLYLVYEFVKNGSLSEHLHDPLLNGHQPLSWNARAQIALDAARGIEYIHDHTKARYVHRDIKDI >DRNTG_16270.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7721049:7721548:1 gene:DRNTG_16270 transcript:DRNTG_16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMDMVRRVQTRVFALVLPAQEIAEDEGDEARASQPAPEPQPTMMETEASPLAEEPPPRVVRAEVAEIRATQATQYTEFMPCFDVLQQILERDIASSFVLRPRTPQAPSVPPAPPSLTPALVDPPCASQSTTGHEGAREPSTRHL >DRNTG_16150.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000797.1:26444:28018:-1 gene:DRNTG_16150 transcript:DRNTG_16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSQVHPHAPVSSRDGENSSAEFRTGVGKLPTPVHGSQGYPQGRVEAP >DRNTG_17117.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000878.1:13723:15015:-1 gene:DRNTG_17117 transcript:DRNTG_17117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQERLLAAKMYALEKQMEELDAKRASHSNQYTDVYNPYWGGHPHFSAHDHTQQWEYPHQGLLQEPSPQDSWHYHPPVVHTKPLNEWGFNTQDVFARFMMQTDAEFKAMDTAPFNARPSFQSMMNMIAESSNISTELPRTIDALSENGTMNEEKNSEIEVETDIEVLEVEEASDVASIPFEQIVEVPPQWEIEEEKGEDGIMAKETLS >DRNTG_34839.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28969616:28973563:-1 gene:DRNTG_34839 transcript:DRNTG_34839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRIVVGRDEENALGTDEDSPTSKKTAGARLDSSKFPLNRWETAAALSVFLIFSAGLFCIFLTMPKAEYDKILRFPRTISDLRILKDNIAVYARDYQAKFMLGYCATYIFMQTFMIPGTIFMSLLAGALFGVVRGILLVVFTATSGASSCYFLSKLIGRPLANWLWPEKLRFFQSEIAKRRDKLLNYMLFLRITPTLPNTFINVASPIVDIPFHVFFLATLIGLIPTSYITVRAGLALGDLKSVRDLYDFKTLVVLFFIGFVSISPTILKKKRTYE >DRNTG_27503.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17116385:17117823:-1 gene:DRNTG_27503 transcript:DRNTG_27503.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPLPPLIRSLTLLSTKSPSRWNRRPTVRHRMWTQSLKNKNQLRV >DRNTG_31695.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14067371:14069715:-1 gene:DRNTG_31695 transcript:DRNTG_31695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHLSIITLTALLLLFSFTSSEAGAVGVNYGRVANNLPSATKVAQLLKSHSISQIKLYDADPSVLRALSNSGIKVVVTLPNEQLYSASTRSSFALSWVQRNVAAYHPSTLIQAIAVGNEVFVDPRNLTSYLVPAMQNIHAALVLLHLDDTVKVSSPIALTALRSSYPPSAGAFRNDLIELVLHPMLDLIRQSQSYLMVNAYPFFAYEANTDVISLDYALFRPNPGVVDAGTNLRYYSLFDAQIDAVFAALSALKYDDIKVVVSETGWPSKGETNETGAGIDNAAAYNGNLVRRVLSGLAGTPLRPNLDLEVYVFALFNENMKPGPTSERNYGLFYPDEGKVYDIQFSGNGGSGNGNGGLRWVEDRGRSKGSNGSSGKVSQRTTGETWCVANGMVGKKRLQEALDYACGQGRADCKDIQPGAACYDPNTVEAHASFAFNSYYQKMGRGAGTCDFEGAAYVVSQVPKIGKCALPTRY >DRNTG_12306.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29648830:29650452:1 gene:DRNTG_12306 transcript:DRNTG_12306.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWMYFYAKTVAEKAALEFAEKNGLDLVTVLPSVINGPFLMSTVPLTMVAALALITRNESFYYVLNPAQFVHLDDLCNAHIFLFEHPEAKGRYICSSENVTITELADFLREKYPEYEIPTKIEGIDEVKDVIKLSSKKLLDLGFEFRYSLDDMYDGVFKSCREKGLLPPLPKKD >DRNTG_12306.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29648523:29650872:1 gene:DRNTG_12306 transcript:DRNTG_12306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNTTVCVTGASSFISSWLTMQLLHHGYSVKATINNPEDLEKVKYLLELPGAEQRLTLWKADLSIEGSFDEVINGCVGVFHVPPRIDLNVNDIENDLIKPTIDGVVNLFKSCVKAKTVKRVVYTSTAATVNIQPFRKTVYDETSWTDVEFCKNVKMTSWMYFYAKTVAEKAALEFAEKNGLDLVTVLPSVINGPFLMSTVPLTMVAALALITRNESFYYVLNPAQFVHLDDLCNAHIFLFEHPEAKGRYICSSENVTITELADFLREKYPEYEIPTKIEGIDEVKDVIKLSSKKLLDLGFEFRYSLDDMYDGVFKSCREKGLLPPLPKKD >DRNTG_05810.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19071908:19075304:-1 gene:DRNTG_05810 transcript:DRNTG_05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMNHRLPLHLIAATRQRDPQPAADINPIRIRYIVRIGDLTDGHEAVKDPAGDGVEVVTGTDGVDR >DRNTG_06691.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3373053:3376120:1 gene:DRNTG_06691 transcript:DRNTG_06691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEGGQRIAINDALTDDELRAVLARLEREVDRDAFGLVCKRWLHIQSSERRVLRARAGHAMLLRMADRFPGLVELDLSQFASRSFFPGVTDSDLGAIATGFRSLRVLDLRECKGVTDVGMISLGTGLHSLQCLNVSGCRRVTDKGLVAVSLGCSNLKSLYLANCKLATDKLLEALSKNCHHLEELGLAGCVKITDSGLSILADGCKYIKSLDVSKCSKIGDIGVSRVAEVCTSLKSLKLLDCGNVTNNSIISLSQSCVNIETLVISGCHNVSDESIRSLALDCGPNLKVLRMEWCSKITDLSVSVVLQNSRNLVALDIGCCDHVTDSAFQALGISGTSGLRVLKISNCPRITVSGIDTILQSCKSLEYLDVRSCQHVTKQSCYQAGLQFSEGCKVNFNGSLSESDSLDETYF >DRNTG_06691.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3373053:3375361:1 gene:DRNTG_06691 transcript:DRNTG_06691.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEGGQRIAINDALTDDELRAVLARLEREVDRDAFGLVCKRWLHIQSSERRVLRARAGHAMLLRMADRFPGLVELDLSQFASRSFFPGVTDSDLGAIATGFRSLRVLDLRECKGVTDVGMISLGTGLHSLQCLNVSGCRRVTDKGLVAVSLGCSNLKSLYLANCKLATDKLLEALSKNCHHLEELGLAGCVKITDSGLSILADGCKYIKSLDVSKCSKIGDIGVSRVAEVCTSLKSLKLLDCGNVTNNSIISLSQSCVNIETLVISGCHNVSDESIRSLALDCGPNLKVLRMEWCSKITDLSVSVVLQNSRNLVALDIGCCDHVTDSAFQALGISGTSGLRVLKISNCPRITVSGIDTILQSCKSLEYLDVRSCQHVTKQSCYQAGLQFSEGCKVNFNGSLSESDSLDETYF >DRNTG_06046.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32621612:32624479:-1 gene:DRNTG_06046 transcript:DRNTG_06046.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin TIP4-1 [Source:Projected from Arabidopsis thaliana (AT2G25810) UniProtKB/Swiss-Prot;Acc:O82316] MAKLALGNREEATHPDCLRAVLAEIVLTFLFVFAGVASAMAAGKMFGGGDSIMGLTAVALTHALVVAVMVSVGLHISGGHINPAVTLGLAVGGHITLVRSVLYIIAQLIGSSLACLLLRFLTGGLKAITPGLGPLLVGLVVGANVLAGGPFSGASMNPARSFGPALATWNWTDHWVYWVGPLIGGPLASLVYELVFLVRSHAPLPRDDDGAF >DRNTG_29195.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3117591:3119879:-1 gene:DRNTG_29195 transcript:DRNTG_29195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEHQVRASHILIKHEGSRRKASWKDPDGRIISATTRDAAVSELRSLRDDIVSGKAHFEEVASRYSHCSSAKRGGDLGRFGRGQMQKPFEDATFSLKVGEISDIVDTDSGVHIILRTG >DRNTG_19786.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001060.1:104513:115911:1 gene:DRNTG_19786 transcript:DRNTG_19786.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROT3 [Source:Projected from Arabidopsis thaliana (AT4G36380) UniProtKB/TrEMBL;Acc:A0A178V4B0] MEMMLMSARVNNNGNKGRIPNGSLGWPLIGETLEFIACDCSSNPLKFMHNHSALYGKVFKTHILGRPIIISSDSEVNKVVLQNDGRTFIPFYIRSIIELLGKSSILQINGNLHKRVHGLVGAFLKSSSLKEIITRDLENLLRLSFITWSDAQQIYMQNEAKEAKQRIMKLIEKIIEEKMIKCNNGDENGELNDVVDVLLKQMKDDDDDDDQNITIDFICSNITEMMIPGEDSVPMLITLALKFLGDSPSLNQLKVISETLRMGNIIMGAWRKALTDVEIKVGWLRTEEDMIITFPTVKMKKRLPIILKTLVTSHV >DRNTG_18460.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4932879:4934328:1 gene:DRNTG_18460 transcript:DRNTG_18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTDESNKHLQHDLQECMKELTSTRGVLLKVSEERDHLWEEVKHSGETIINLKHEVDLLKKKIEALDEDVLIKEGQITILKDSLGDKPFGSIYSPESVKEFALE >DRNTG_02631.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21403169:21404311:-1 gene:DRNTG_02631 transcript:DRNTG_02631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLSKIRLDQENRVQTLRKEVDHCV >DRNTG_02631.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21403369:21404311:-1 gene:DRNTG_02631 transcript:DRNTG_02631.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELTSVKSVDDKEPTNFDESGGDAHEKSKETSKNSKNKNAHGANKKLNDGARPNKSTLKTLLGDALNYGPALSEHIILDARAYSNMKVEIALIAR >DRNTG_02631.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21403839:21404311:-1 gene:DRNTG_02631 transcript:DRNTG_02631.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELTSVKSVDDKEPTNFDESGGDAHEKSKETSKNSKNKNAHGANKKLNDGARPNKSTLKTLLGDALNYGPALSEHIILDARAYSNMKVEIALIAR >DRNTG_14755.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:223126:224481:1 gene:DRNTG_14755 transcript:DRNTG_14755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARTILFGARSTILKTNVTKSLPPLRPKANKLCFPAASKSSKARDGGTSSSSDDERELRDKTKEAAERTKEKAKEGVQKAEETSEMAKENTREKLDKAKEGTKSMGEKAEEKVKEGAKKAQEGAETAKEKTKEGTSKVTETAQNLGEKAKQTVQGAWGAAKETTHKIKETVVGKAEDDLNAAAAADAKREADLKAEAKRRAREATTKDDF >DRNTG_07609.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000340.1:8630:9594:1 gene:DRNTG_07609 transcript:DRNTG_07609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDFFSISSSFFSSKEEEDIKVMAKRKALLEEMNFDDHPELSLAPSFSTFTDNTYTSSTESEANSCRKRKMLHASIELHLNHPLPFDWEQCLDLQSGRVYYMNRETLKRSWSRPKERKVDLELNISRNSSMEEMIKKEEESIITTSSMIAVPCFNCHLLVMLCKSSPTCPNCKYVYPLHALETPTNPSPPPPAPKSLETLSLLN >DRNTG_02667.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000122.1:47036:47373:1 gene:DRNTG_02667 transcript:DRNTG_02667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKETFPPHADMTYESFFESAMQGTASSRLVSDTSLIIKKTTVCCQCCGAILAVALPLGFCSFRLHFHNLWIAFDPRATKPP >DRNTG_10457.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1898553:1900299:1 gene:DRNTG_10457 transcript:DRNTG_10457.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g16835, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G16835) UniProtKB/Swiss-Prot;Acc:Q9M4P3] MPSSTTSAMATSTQLATSSTLSPTRPTSPGTPCSLPTPTNQGIFTMPFNWYMGVGEILVALKMFDEMPVRNSVTWNAVIAGFVENGRSEDGLKLFRRMLDEMEVRPNPSSFSSVLLGCSNLSALELGKQIHQLACKSPIGSNLTVCTSLLSMYCKCGDLVDACKLFDRIHRKDVVTWNAMISGYAQHGYGVKAIQLFDKMRKLGKPKPNHITFVAVLTACNHAGLLEVATRCFESMKSDYGLEPQSDHYSCMVDIFCRAGSLVKAIGLIEQMPVNVKPHPAVYGTLLGACRVHRNLEFAEFAAKKLVELEPQSAGAYVQLANVYASMNKWTDVSRIRKWMKENDVVKMPGYSWIELKGVVHQFRSGDRLHSQLGLIHAKLNELEVASKRLGYEHDLDSALHDVGVAQRRMLLWRHSEKLAIAFGLINTPPGKTLRVFKNLRVCGDCHRAMKFIAGIERRSIVLRDATRFH >DRNTG_25211.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20143888:20144606:-1 gene:DRNTG_25211 transcript:DRNTG_25211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPSGSGGIDSDYRRRALAGAEQKHM >DRNTG_05171.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:15402238:15405424:1 gene:DRNTG_05171 transcript:DRNTG_05171.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQLLLQPPTPEDGRKASRKCGGGSPNGQGKVYHFCRGCIRGAYGLHMGCMGTASFLGLFSSCFCQMMSSCYPWLSCTPHMAHIQGRYKGTFLGHQRGLLGSHHLGEDRGE >DRNTG_26783.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3444940:3447079:1 gene:DRNTG_26783 transcript:DRNTG_26783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNLSKCCFFMFRYDWDAATTLSFLQKYGLSGILIWHLLFDLNFPKPHYISNIIIFQCIKNTCNSLRTL >DRNTG_16942.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:43733:49635:1 gene:DRNTG_16942 transcript:DRNTG_16942.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase [ubiquinone] flavoprotein subunit, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G66760) UniProtKB/TrEMBL;Acc:A0A178UAN3] MWRSCVSRGLRFASRNPSIVARSPFPLLASRTLSTDSAGGSSSYTLVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLNFGKGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLLMDKNGACQGVIALNMEDGTLHRFRAASTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGVPTNYHGEVVDIKGDDPDAVIPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEIHKPGEKQKPLEKDAGEKTIAWLDELRNANGSLPTSKIRLNMQRVMQNNAAVFRTQETLEEGCQLIDKAWDSFHDVKIRDRSLIW >DRNTG_31341.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:857083:858745:-1 gene:DRNTG_31341 transcript:DRNTG_31341.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDYQGSSSPFASIGRSILSIRRDQVHSMDSHHEHGSSQEKELDGFQRHAADLLLDLAGGGGGGEELLSLPWIRKLLNVFVICQEEFRVILFNNRACVSRAPVDRLISEFFERNVKALDVCNAIRDGIEQVRQWHKHLEIVTVALDPSLRTIGEGQLRRAKKALADLAVGMLEEKDVAGSALAHRNRNRSFGRSNHASSSRDHHRHPGGHFRSLSWSVSRSWSAARQLQAIGNNLSAPRGHEIVASNGLAVPVFTMSSVLLFVMWALVAAIPCQDRGLQTHFSFSRSFSWASPILSMHERIMEESKKRERKNSNGLLKEIHQIEKCARQLSELMDAAHFPLPVNKEMEIRQVTQELTQIVNTMREELDLLERQVREVFHRIIRSRTEGLDCLSHHPE >DRNTG_31341.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:855418:858745:-1 gene:DRNTG_31341 transcript:DRNTG_31341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDYQGSSSPFASIGRSILSIRRDQVHSMDSHHEHGSSQEKELDGFQRHAADLLLDLAGGGGGGEELLSLPWIRKLLNVFVICQEEFRVILFNNRACVSRAPVDRLISEFFERNVKALDVCNAIRDGIEQVRQWHKHLEIVTVALDPSLRTIGEGQLRRAKKALADLAVGMLEEKDVAGSALAHRNRNRSFGRSNHASSSRDHHRHPGGHFRSLSWSVSRSWSAARQLQAIGNNLSAPRGHEIVASNGLAVPVFTMSSVLLFVMWALVAAIPCQDRGLQTHFSFSRSFSWASPILSMHERIMEESKKRERKNSNGLLKEIHQIEKCARQLSELMDAAHFPLPVNKEMEIRQVTQELTQIVNTMREELDLLERQVREVFHRIIRSRTEGLDCLSHHPE >DRNTG_08911.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27447363:27449495:1 gene:DRNTG_08911 transcript:DRNTG_08911.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFVRRLSGSFNDDSTKERIRRLEGKIALITGGASGLGKATARQFLLEGATVILADINSKLGSEAADELGSRAKFIECDVTVEKQVSNAVDFAMARHGRLDILHNSAGITGPPAAPDIASLNLAHFDQVMAVNVRGTVVAIKHAARVMGPKGSGSILCTASISGLLGGLGPHPYSISKFTIPGIVRSAASELCHRGIRINCISPFVIGTPLVVEQFARLYQGAERERVLEIIKGLGELKGANCEEIDVAKAAVYLASDDAKYVSGHNLVVDGGFTGCKQLNLPMLDLMDRS >DRNTG_08911.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27447166:27449495:1 gene:DRNTG_08911 transcript:DRNTG_08911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLTSSFFEVIPRRVMFVRRLSGSFNDDSTKERIRRLEGKIALITGGASGLGKATARQFLLEGATVILADINSKLGSEAADELGSRAKFIECDVTVEKQVSNAVDFAMARHGRLDILHNSAGITGPPAAPDIASLNLAHFDQVMAVNVRGTVVAIKHAARVMGPKGSGSILCTASISGLLGGLGPHPYSISKFTIPGIVRSAASELCHRGIRINCISPFVIGTPLVVEQFARLYQGAERERVLEIIKGLGELKGANCEEIDVAKAAVYLASDDAKYVSGHNLVVDGGFTGCKQLNLPMLDLMDRS >DRNTG_08911.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27447363:27449389:1 gene:DRNTG_08911 transcript:DRNTG_08911.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLTSSFFEVIPRRVMFVRRLSGSFNDDSTKERIRRLEGKIALITGGASGLGKATARQFLLEGATVILADINSKLGSEAADELGSRAKFIECDVTVEKQVSNAVDFAMARHGRLDILHNSAGITGPPAAPDIASLNLAHFDQVMAVNVRGTVVAIKHAARVMGPKGSGSILCTASISGLLGGLGPHPYSISKFTIPGIVRSAASELCHRGIRINCISPFVIGTPLVVEQFARLYQGAERERVLEIIKGLGELKGANCEEIDVAKAAVYLASDDAKYVSGHNLVVDGGFTGCKQLNLPMLDLMDRS >DRNTG_08911.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27447363:27449546:1 gene:DRNTG_08911 transcript:DRNTG_08911.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLTSSFFEVIPRRVMFVRRLSGSFNDDSTKERIRRLEGKIALITGGASGLGKATARQFLLEGATVILADINSKLGSEAADELGSRAKFIECDVTVEKQVSNAVDFAMARHGRLDILHNSAGITGPPAAPDIASLNLAHFDQVMAVNVRGTVVAIKHAARVMGPKGSGSILCTASISGLLGGLGPHPYSISKFTIPGIVRSAASELCHRGIRINCISPFVIGTPLVVEQFARLYQGAERERVLEIIKGLGELKGANCEEIDVAKAAVYLASDDAKYVSGHNLVVDGGFTGCKQLNLPMLDLMDRS >DRNTG_08911.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27447363:27449495:1 gene:DRNTG_08911 transcript:DRNTG_08911.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLTSSFFEVIPRRVMFVRRLSGSFNDDSTKERIRRLEGKIALITGGASGLGKATARQFLLEGATVILADINSKLGSEAADELGSRAKFIECDVTVEKQVSNAVDFAMARHGRLDILHNSAGITGPPAAPDIASLNLAHFDQVMAVNVRGTVVAIKHAARVMGPKGSGSILCTASISGLLGGLGPHPYSISKFTIPGIVRSAASELCHRGIRINCISPFVIGTPLVVEQFARLYQGAERERVLEIIKGLGELKGANCEEIDVAKAAVYLASDDAKYVSGHNLVVDGGFTGCKQLNLPMLDLMDRS >DRNTG_25875.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9059916:9061795:-1 gene:DRNTG_25875 transcript:DRNTG_25875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPDGKGRNARRRSSVSVEESSARFTA >DRNTG_27908.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10273825:10279826:-1 gene:DRNTG_27908 transcript:DRNTG_27908.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLKRLAELPGIIWKRSQQPHKETLEEYEQKERVLITLRDYFPEEVEELLRELTEKIENEEDVQVETCRVISGGEPLYNPEDDDEYNSFSEPEESYDRYSWRYTPKYKVLKKVMPAEDIPAFIQRVTEESANRLRQLHVEDAEWDESGIYPPESSGQNFISEVVDSPAPAKETNTKEIFIKKDKRKELFDQAIENGLDLPKTKRRRLMHRDSHPNYCQYHWLSQHSSVCTMH >DRNTG_01074.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6644901:6651133:-1 gene:DRNTG_01074 transcript:DRNTG_01074.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARIFSFLKKAWDWSKNGDSVASTYVQSRDTHVKSPEEIEFESTPSTQSDERLKHKLRSCCCGTDHDADDRQATSLIGSSFAGIRSVTAIALGVLDVPQSSLSSPFREFQTDAEQLEYAEILHFMRRKFTDFALVRKEIQDAADRLTGKTKQISHVPIQLNIYAPNGDNVLVIIKVLNWLINIGNQFQ >DRNTG_15955.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000793.1:16417:17185:-1 gene:DRNTG_15955 transcript:DRNTG_15955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSFDIKERLTSMASKCCRNWEGALKIL >DRNTG_11065.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000483.1:54271:55061:1 gene:DRNTG_11065 transcript:DRNTG_11065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELVKAKGHATKGSQPALESQPEHDKTKASLIAQEPPPLLERDVTLPFVMRLTTPQAFLVPSSPVPAPVDPPSSSAQAIAPAETTEDTNA >DRNTG_31310.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3949480:3957265:1 gene:DRNTG_31310 transcript:DRNTG_31310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIQHWGHCLGFQCRSFAASMAAATRSPSRVSAFSTGSPAPSSKRVGTHHGSFHCDEALGCFMIRLTSKFSGAEIVRTRDPQLLDTLDAVLDVGGVYDSSQDRYDHHQKGFDEVFGHGFNSKLSSAGLIYKHYGREIIAKELKLDETHEDVNHVYLAVYKNFVEAIDAIDNGINQYDTDQPPKYVNNTYLSSRVGRLNLNWMDPDQSAEKENAAFQQAMIVAGSEFLESVHFYAISWLPARSIVIDCLKSRGDIDPSGEIMLLNKFCPWKLHLFELEAELKIDPYVKYVIYQDDRSKNWRIQAVAISPEKFESRKPLPILWRGLQDDELSRESGIPGCVFVHMSGFIGGNQTYEGALAMARASLKF >DRNTG_10460.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000439.1:14073:16728:-1 gene:DRNTG_10460 transcript:DRNTG_10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPHVGMSPDGRWTQQPHIDGDHRWEWNSTVARHRKGAPLPVWK >DRNTG_12342.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20775644:20775943:1 gene:DRNTG_12342 transcript:DRNTG_12342.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGIPDGVINVVTGFGPTAGAALASHMDVDAVMDFAH >DRNTG_05191.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22629968:22633255:-1 gene:DRNTG_05191 transcript:DRNTG_05191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRAQLRRPSLKRSGIPACSGASLGPRVLGHDFGISQRKKVKFSDMGKAHQESSIKQSIPCSTERIHAPSANKVDEAKTLEYRSFKRLREETCSEFNPNSLHKKDNNLKTSQASDCIQELNSMNSSSYDVHESPPLVQKMAPRWFSPTPKSPPKFDSKEGGLFSLKRESILQFASAALSKETDDLKSDRLNLIPEFLQRLGIKGKSGNVGLYVF >DRNTG_18975.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22758508:22760578:-1 gene:DRNTG_18975 transcript:DRNTG_18975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAFYSIPINLKKSSNGTILPFSTTFVFAIQPKGEDANGHGLAFAISSPKDISEAMPSQYLGIFSNDSAKGEEHIFAVEFDTVQNLDLGDINDNHVGIDINSLKSVNSTPVSYVDDKDGVLKNLNLVSGNPMQVWIDYSAMDMKLDVVISPLGVPKPKHSLLSTKLVNLSTVILDDMYVGFSASNGAATSSHYILGWSFSINGKAQDLDISQLPSLPQQGKSKKNQTLLMVALPLAAVILLLLCIGGVILILNRRKKYAEVLEEWEVEFGPHRFSYKDLFKATKGFKEENLLGAGGFGQVYKGLLPGSKMEIAVKKISHESRQGMREFVSEIVSMGRLRHRNLVQLLGYCRRQGELLLVYDFMPNGSLDKFLFDKTTPSLSWSQRFQVIKGVASGLLYLHEEWEQVVIHRDIKASNILLDFEFNAKVSDFGLARLYDHGSNPQTTRIVGTIGYLAPELSKTGKATVSTDMYAFGTFLLEVACGRRPLEVRSVGRTCRLV >DRNTG_31171.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17714651:17720553:-1 gene:DRNTG_31171 transcript:DRNTG_31171.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEERSSRLPLPVPLPVPVCRSDRRRSFPTKEKMRPDNGRGGERGSLHDFKRGFGSGWKWAFIRGLLPPLQCNMHYVEDLLVLTMGGLEDLVADGTRGKLEDASSAIQCSLEFLGPCVEESGGALPFKMLWHMKLKLPLKFLIIFACTRTLDVAADGIISEEVARDVRMTDTRQDKAEQGITIESTGISLYYKMNDKSLKNFKGNKSGNECFLKLQVEGEEAYQAFRRVYPEKDIVAFSAGLHGWAFTLTDFTEMCSSKFGVDESKMMERLWDENYSDHATKKWTSKSSGSPTCKHGFKLGVTWKSEEKELVGKAMMKRVMQTWLPASNALLEMLIFHLPSSSKAQKYWVHNLYEGPLDDIYANAIRNYDFEGPLILYILKMIPTSDKGRFFAFGCVFSGNVSTGLKVRIMGPNFVPGQKKDLYVKSVRRTVIWMGKKQESVEDVPCGNTVAMVGFDHFIIKNATLTNDNELDAHPIKAM >DRNTG_33605.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002026.1:10447:12684:-1 gene:DRNTG_33605 transcript:DRNTG_33605.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSKRNKRNKSEVSALSSGSTATATGGAKSKGAGGSGEGDAGGGGGGTSGLPISSRCIMSKWSNISVYCTAWITRSFAIWVRISPTIRS >DRNTG_09186.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7497168:7500440:-1 gene:DRNTG_09186 transcript:DRNTG_09186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARPLVSVQILEGDMATDGNSVPLPDVLKAPIRPDVVRFVHAGLSRNKRQPYAVSKRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRRVNTAQRRLAVASALAASAVPSLVLARGHRIEAVPELPLVISDSTESIEKTSSALKILKQIGASADAERAKDSHAIRAGKGKMRNRRYVSRKGPLIVYGTEGSKIVKAFRNIPGVDVANVERLNLLKLAPGGHVGRFIIWTKSAFEKLDSVFGTFDKPSEKKKGWVLPRPKMANADLGRIINSDEVQSVVRPIDKTVKRRSLKKNPLKNLNAMLKLNPYAKTARRMALLAEAQRVKAKKEKLDKKRTQLPKEEAAAIKAAGKAWYKTMISDSDYTEFENFTKWLGVTQ >DRNTG_23719.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:775182:778052:1 gene:DRNTG_23719 transcript:DRNTG_23719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKDTPHFCSALSSAFLEWLLMLLLLFDVLIQYLGSKLARFCKLQMPCLLCTRRDRIFGNAKAEFFGDLICDDHKMEISSLVYCDTHENLADVHGMCQACFPVFAIGKKLNSGVYNPSMNFEHNDDACLQKKSYEDDIVYGEHSQRTRHCSCCSEPFKSKLHRNGLLRNDNFGDDVAEVGFSSGLLSFHPSGKLGTDDRLFHVGYSGLKDASDSDVDFAYSDDELGNVIVLGTETINKGVTLTDFSNDMIQEKLIQTEPLIPELSFSVCEKQLHPVESNDSAMQGLEELECVQVKSVLNGPLSSELISEQIPTEESNSKGSLGDAPNFSLSGDATKTLIPDGNLKASQLLNDTLQTATNASKLDFGLKGSLLSPRFAEIVSRRCGNRVPDDLKSVQQPLPNSRGLEFSWNDFVSSPRVPGQIDEFKPSDCSNSIIMQNITSKRLSADRNETVSECSDVSTVHRFFGDTNFDQSKQQAELDMDFVKVLYKELEEERSASAISANQAMNMINRLQEEKAAMQMEALQYLRMMEEQAEYDQEALQNANELLTQREKEIQDLEAVVESYRRRYGDEPLSEIVPELLNDLQEREACQS >DRNTG_23719.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:775267:778052:1 gene:DRNTG_23719 transcript:DRNTG_23719.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKDTPHFCSALSSAFLEWLLMLLLLFDVLIQYLGSKLARFCKLQMPCLLCTRRDRIFGNAKAEFFGDLICDDHKMEISSLVYCDTHENLADVHGMCQACFPVFAIGKKLNSGVYNPSMNFEHNDDACLQKKSYEDDIVYGEHSQRTRHCSCCSEPFKSKLHRNGLLRNDNFGDDVAEVGFSSGLLSFHPSGKLGTDDRLFHVGYSGLKDASDSDVDFAYSDDELGNVIVLGTETINKGVTLTDFSNDMIQEKLIQTEPLIPELSFSVCEKQLHPVESNDSAMQGLEELECVQVKSVLNGPLSSELISEQIPTEESNSKGSLGDAPNFSLSGDATKTLIPDGNLKASQLLNDTLQTATNASKLDFGLKGSLLSPRFAEIVSRRCGNRVPDDLKSVQQPLPNSRGLEFSWNDFVSSPRVPGQIDEFKPSDCSNSIIMQNITSKRLSADRNETVSECSDVSTVHRFFGDTNFDQSKQQAELDMDFVKVLYKELEEERSASAISANQAMNMINRLQEEKAAMQMEALQYLRMMEEQAEYDQEALQNANELLTQREKEIQDLEAVVESYRRRYGDEPLSEIVPELLNDLQEREACQS >DRNTG_00591.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29877142:29878525:1 gene:DRNTG_00591 transcript:DRNTG_00591.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNQIVGVGMDYSPMSKLAVRWAVENFVNIGDRFVVIHVSSKFDHPQKNLWENSGSPLIPLDEFKEMNVSKHYGVNKDQEILDMLDTASKTRKVIVFFKIYWGDPRLKLCEAVEDLKLNSLVVGSRGLGVLKRECQRLHSHTCNLPGDSG >DRNTG_27437.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28669063:28672955:-1 gene:DRNTG_27437 transcript:DRNTG_27437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTKDQLLDRLKELQINFVCYDHPVVLTVEAQAKYVGHLGGLLSKNLLLKDKKHRYYVVSALANTNVDLKVLSQRLGLGKGGLRMAPEESLQEILQVPLGCVTPFALINESAGTVSFLLDQGFKSQECCFFHPLSNDATISLGSSGLEKFLTSLGRKPAYVDLEATPLVGKDNPPDLADFVPSGVPALSDSAEKAPPIQPPVVTQAPENVKSTSAGKASIKAKVQSGTTEKPKSTVNPLIEATDVEKVIEEIFAKFASAVQSENKQEEIETSLFDGVRKRITTDLQMQMMSFKNAAYSQGFQAGVEAVRIAMKNMSFRS >DRNTG_29823.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23236078:23240888:-1 gene:DRNTG_29823 transcript:DRNTG_29823.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKEKAHDFVEWREEFVSRERGSRVVQYYLEDSSGGSHLAVVGTERSLRHMFYAIAEEFCHEYGADSCLRWRSKREVVDWLSSFISPRQGSQKNDSLPKTGADITADKVTSPGTCPRKPLDQPGRNLRSHKSDITWTGDSWTCGKLLRHYKAFCRNGTMITTHSFVLVMSEGESRYLAYLDDMYEDKKGQKKVKVRWFHQNQEFACAIPPPTPHPREVFITPYFQVISAECVDDLATVLTPDHFEKCLAALPYDSSSGIHLCFRQYSKNKFKSFDLSSLSGYYDQAVLLCLNICTVSCEDEDEIGHRNSMKNCKPRKIRIVKGNKQFFTGPLGVRVSSRASHIASCKSPYQNMRFGLHAMGSLSTRFRNPLHCLNLPYKVDQKVEMLCQDSGIRGCWFRCTVLRLSEKRLKVQYDDVMDEDGCGNLEEWVPALKAAAPDKLGMRCSGRLTIRPCQPCNSLPDDRPLQIGAPVDAWWNDGWWEGVIIGVESPLDDSIQVYFPGEDVFLTCTRKCLRISMDWMVDRWVDIDAKPDVLSAISSFSPGPKLSPCSTFSKVAESGSSVMSDRDTAPIKANATDEDKKITASLGNMADELIGNMNMANPGKRLKLTDDDGGGEGDVH >DRNTG_33305.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23733110:23738991:1 gene:DRNTG_33305 transcript:DRNTG_33305.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADMELVLPEKKNPSLTPMDWVQFLISAVIGLVTLITSLEMPKADFWVVIAVLSGLIGYCAKIYFSFQQNMATYQNLITQSMYDKQLDSGRGTLLHLCDDVIQQEVKEVIISYFILMEQGKATLEDLDIQCEQLIQEEFDEKCNFDVEDAVQKLEKLGIVARDSIGRIYCHSLKRSNEIIGTTTEELVLKAAQGLAA >DRNTG_33305.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23733110:23738991:1 gene:DRNTG_33305 transcript:DRNTG_33305.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLNGGGPNERPKDVIRLERESVIPILKPKLIVKLAELIEHSSDRTEFLKLCKRVEYTVRAWYLLQFEDLMQLYSLFDPIYGAEKLKQQHLSSEEVDVLEQNFLTYLFQVMEKSNFKIVTDEEIEVAHSGQYLLNLPIKVDESKLDCKLLSRYFKEHPKENLPEFADKYIIFRRGIGIDRTTDFFVMEKLDMIIARMWAWFLRVTWIQKLLPKKSTKSKRDPKRTDEISTDVEDQDLFVERIRIENMELSTKKLLGKITIQEPTFDRMIVVYRRASSKTSTDRGIYVKHFKNIPMADMELVLPEKKNPSLTPMDWVQFLISAVIGLVTLITSLEMPKADFWVVIAVLSGLIGYCAKIYFSFQQNMATYQNLITQSMYDKQLDSGRGTLLHLCDDVIQQEVKEVIISYFILMEQGKATLEDLDIQCEQLIQEEFDEKCNFDVEDAVQKLEKLGIVARDSIGRIYCHSLKRSNEIIGTTTEELVLKAAQGLAA >DRNTG_01164.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29046057:29056163:1 gene:DRNTG_01164 transcript:DRNTG_01164.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable starch synthase 4, chloroplastic/amyloplastic [Source:Projected from Arabidopsis thaliana (AT4G18240) UniProtKB/Swiss-Prot;Acc:Q0WVX5] MPMASEACAVLLGSVGPVGPGLGCLKMPPDRPLFASSQRFLSISCKMRQRNLGSQPKRQRSKKFPPKSSTVNMKSQSNDDEDNGAQDASGGHQLNSDSRTLSSANGDSNSIAMTVETLPSANDGVTQIEKVHGRQIPSVRLEDLIAMIRNAEKNILLLNEARVQALEDLDKILSEKEALQTDINNLEMKLTETDARTKAAAQEKIHVELLENQLEKLKDEMTSNRFLGEKHDLRDNEEKLNLDSVTLGAKNSPLAQELSTLHKENMLLKDDIQNLKAELDDVKETEQRVLMLEKERSILEISLKELESKLAIAQEDVSKLFAVKSDCKALSEKVESLQGLLDQATKQAEQAVTVLHHNHELQRKVDLLEASLQEAIAVNYSSEKALKYNELLEQKVKLLEERLQISDEEINSHIHMYQESVKEFQDIVDKLKEEIKKKSLEETMDDMPSEFWSRLLLTIDGLLLEKKISLNDASSLREMAWKKDNLIRDAYLACKGKVDNENLSSFLKLALSRPSSGLNIIHIAAEMAPIAKVGGLGDVVSGLGKALQMKGHLVEIILPKYDCMQYDRICDLKVLDVALQSYFDGQLFKNQIWVGTVEGLPVYLIEPLHPAKFFWRGQVYGEHDDFKRFSYFSHAALELLYQSGKKPNIIHCHDWHTAFVAPLYWDIYATRGFNSARICFTCHNFEYQGVTSASELASCGLDVHHLHRPDRMQDNSAHDKVNPIKGAIVYSNIVTTVSPTYAQEVRTTEGGRGLQETLNFHSRKFVGILNGIDTDAWNPSTDMFLKVQYSPDDLQGKTQNKKALRKYLNLSTSEAFRPLVGCITRLVPQKGVHLIRHAIYRTLELGGQFVLLGSSPVPHIQREFEGIANHFQSDPHVRLLLKFDEALSHTIYAATDMLIIPSLFEPCGLTQMIAMRYGSVPIVRSTGGLNDSVFDIDDDSVPLQFRNGFKFTRPDEQGLSEAMERAINYYFRSRESWQQLVQKVMRMDFGWDASAAQYEELYEKAVARARASKNL >DRNTG_18596.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22148980:22153140:-1 gene:DRNTG_18596 transcript:DRNTG_18596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLRCSSNNPSPLLLLPRAITTKLLSFSSSSSSSNASPSHSFPPLLPIAHSSLNLDLDSSLIATSFRQWFKDGAAPLSLLDRIYAALASCPDDDPSVDAALAPLHLPLSESLVLRVLRHRPTPSIPNLLLLRLKFFDWSGRQRHYRHSISVFHAIFRILSRCSLTSIVHSLLRDFSDRAQHSFVPRFHHTLVVGYSVASRPDLALLILARIRFHGLDLDPFSYHVLTNSLIDSSDFDLADSLLSHLQSQGHSGPVSTSLRIKSLCRQNKLDDAMVYLRDIERSKLGHRRELIDGGVGVLVHALCARGRFRDAGRIVHDFGAPYTYGVWIADLVTAGRLNAAMEFLEAKKSSEDYVPESEHYNMLISRMLQKNQLDKVYDLLVEMREEGVVPDRATMNTTLCFFCKAGMVDVAIQLYNSRVELGLAPNKLVYNYLITALCRDGSVDEVCQVLEESMAHGYFPGRETFKILSNLLCREGRLDRMRKLLDDALQRDIRPVTYVYARYLAALCKAGHVEEACMVPHMVSGENAGIGRFRYTYTSLIHAFVVLRRVDVLPQLIVQMQERGHSPSRRLYREVICCMCESGKYDNVLNLLSEQLELKVLDQRICYNYFIDGASNAKKPELARKVYDRLVAAGIEPNLDTEILLLQSYLKSKRIGDARLYFYTLTEKREPSTKLYNIFITGLLEAGKTEQAVVFWREARRKGLIPSLQCYEELVNELCLSKQYDIAVKVLDDFKETSRPVSSYLCNVLMLHTLKSRDLLRAWVHSREDWHRQSKPEEISEETSASGRLVLGQLIAAFSGGIRMTENLDKLDEVVETFFPVDLFTYNLLLRALTMVGRMDYACDLFNRICKKGLEPNTWTYDIIVHGFCKLGRRKDAERWMMNMYRNGFHPTWYTMSLYNKTP >DRNTG_14615.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15972944:15974203:1 gene:DRNTG_14615 transcript:DRNTG_14615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTVSRRSEPSSRTALMGEQPNPWNILQPQVAKSRHRGAKPSRRCELLGKISLLSLE >DRNTG_22617.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17826659:17829378:-1 gene:DRNTG_22617 transcript:DRNTG_22617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRTPIHREIEEKSMEIGIEKENEGFLHRNSTSGDRFSGGKDRSFVGFGRESETEKALLF >DRNTG_20553.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:12504571:12505698:1 gene:DRNTG_20553 transcript:DRNTG_20553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRDELAVETHGHVEISHGREFSLDALENSTGSAENS >DRNTG_05108.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2086223:2089180:-1 gene:DRNTG_05108 transcript:DRNTG_05108.2 gene_biotype:protein_coding transcript_biotype:protein_coding FCVGCFVFAGSCYHDVIEHPMDFWTIRKKLDLCDEIEELLYSLVENSLHE >DRNTG_05108.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2086223:2089180:-1 gene:DRNTG_05108 transcript:DRNTG_05108.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKIGSCYHDVIEHPMDFWTIRKKLDLCDEIEELLYSLVENSLHE >DRNTG_05108.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2086223:2086784:-1 gene:DRNTG_05108 transcript:DRNTG_05108.5 gene_biotype:protein_coding transcript_biotype:protein_coding FCVGCFVFAGSCYHDVIEHPMDFWTIRKKLDLCDEIEELLYSLVENSLHE >DRNTG_05108.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2086925:2089180:-1 gene:DRNTG_05108 transcript:DRNTG_05108.3 gene_biotype:protein_coding transcript_biotype:protein_coding ISLSPDSILPFLSLSRNSSTSFAPGHLPPIVTPTTTWPPPSSAPHSPGSDRRSLSHHSGDFPQSSLTPPFRGFQVCQRRMIV >DRNTG_14315.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14622204:14626767:1 gene:DRNTG_14315 transcript:DRNTG_14315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPMFKREDIGQVLDKGMCANLRALEFAQLFGAAQGQSHSNITDEIVDEYMHEMFYPDSYEGLFNQEEDNEEIIMLGSTEEVPSTPGILKKVLRKMKRARRRHRKRSKLLETCVN >DRNTG_01492.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13992624:13995878:1 gene:DRNTG_01492 transcript:DRNTG_01492.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCVSRPEGCVRVRRKSGDGPRKRRRRMGIRRRVSSSRKSMETIDEIPGEERGDDQPVYSNPTFQGSLEEAWFDTIAIMESDCDDDFLSVQEDVLSLNGLEGAPTLSLQSLKERHCGELHSNVPLATSTEQKQRSSKLGDQSTGTSVNGVNFQSDVNFNGAKPFVSHDDISSQPVDEGLGTGGGILDNCGILPNNCLPCLAPTALTIEKRRSPSSSPPNSKKKAPLKISFKWRSGEGHNAAALFSAKGFIERPLAGSQVPFCPAEKNMLNCWSKIEPCTFRVRGENYFRSV >DRNTG_01492.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13995990:13997700:1 gene:DRNTG_01492 transcript:DRNTG_01492.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVLYFKLSESYLKELPLNFQENIRRLIDDEVERVKGFPMDAIVPFRERLKILGRVVNVDELPLNAAERKLMHAYNEKPVLSRPQHEFYL >DRNTG_01492.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13992624:14003377:1 gene:DRNTG_01492 transcript:DRNTG_01492.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCVSRPEGCVRVRRKSGDGPRKRRRRMGIRRRVSSSRKSMETIDEIPGEERGDDQPVYSNPTFQGSLEEAWFDTIAIMESDCDDDFLSVQEDVLSLNGLEGAPTLSLQSLKERHCGELHSNVPLATSTEQKQRSSKLGDQSTGTSVNGVNFQSDVNFNGAKPFVSHDDISSQPVDEGLGTGGGILDNCGILPNNCLPCLAPTALTIEKRRSPSSSPPNSKKKAPLKISFKWRSGEGHNAAALFSAKGFIERPLAGSQVPFCPAEKNMLNCWSKIEPCTFRVRGENYFRDKKKDYALNYAAYYPFGVDVYLSQRKIDHIARFVELPTVDSSCKLPPLLVVNVQVPLYPPTIFQSETDGEGMSFVLYFKLSESYLKELPLNFQENIRRLIDDEVERVKGFPMDAIVPFRERLKILGRVVNVDELPLNAAERKLMHAYNEKPVLSRPQHEFYLWPGSICVTSPFMM >DRNTG_01492.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13992624:14003271:1 gene:DRNTG_01492 transcript:DRNTG_01492.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCVSRPEGCVRVRRKSGDGPRKRRRRMGIRRRVSSSRKSMETIDEIPGEERGDDQPVYSNPTFQGSLEEAWFDTIAIMESDCDDDFLSVQEDVLSLNGLEGAPTLSLQSLKERHCGELHSNVPLATSTEQKQRSSKLGDQSTGTSVNGVNFQSDVNFNGAKPFVSHDDISSQPVDEGLGTGGGILDNCGILPNNCLPCLAPTALTIEKRRSPSSSPPNSKKKAPLKISFKWRSGEGHNAAALFSAKGFIERPLAGSQVPFCPAEKNMLNCWSKIEPCTFRVRGENYFRDKKKDYALNYAAYYPFGVDVYLSQRKIDHIARFVELPTVDSSCKLPPLLVVNVQVPLYPPTIFQSETDGEGMSFVLYFKLSESYLKELPLNFQENIRRLIDDEVERVKGFPMDAIVPFRERLKILGRVVNVDELPLNAAERKLMHAYNEKPVLSRPQHEFYLWPGSICVTSPFMM >DRNTG_01492.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13992624:14003219:1 gene:DRNTG_01492 transcript:DRNTG_01492.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCVSRPEGCVRVRRKSGDGPRKRRRRMGIRRRVSSSRKSMETIDEIPGEERGDDQPVYSNPTFQGSLEEAWFDTIAIMESDCDDDFLSVQEDVLSLNGLEGAPTLSLQSLKERHCGELHSNVPLATSTEQKQRSSKLGDQSTGTSVNGVNFQSDVNFNGAKPFVSHDDISSQPVDEGLGTGGGILDNCGILPNNCLPCLAPTALTIEKRRSPSSSPPNSKKKAPLKISFKWRSGEGHNAAALFSAKGFIERPLAGSQVPFCPAEKNMLNCWSKIEPCTFRVRGENYFRDKKKDYALNYAAYYPFGVDVYLSQRKIDHIARFVELPTVDSSCKLPPLLVVNVQVPLYPPTIFQSETDGEGMSFVLYFKLSESYLKELPLNFQENIRRLIDDEVERVKGFPMDAIVPFRERLKILGRVVNVDELPLNAAERKLMHAYNEKPVLSRPQHEFYLGENYLEIDLDMHRFSYISRKGFDAFLDRLKLCVLDIGLTIQGNKPEDLPEQILCCLRLNGINFIEYHQLAVHSLS >DRNTG_01492.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13993498:13995878:1 gene:DRNTG_01492 transcript:DRNTG_01492.7 gene_biotype:protein_coding transcript_biotype:protein_coding DVLSLNGLEGAPTLSLQSLKERHCGELHSNVPLATSTEQKQRSSKLGDQSTGTSVNGVNFQSDVNFNGAKPFVSHDDISSQPVDEGLGTGGGILDNCGILPNNCLPCLAPTALTIEKRRSPSSSPPNSKKKAPLKISFKWRSGEGHNAAALFSAKGFIERPLAGSQVPFCPAEKNMLNCWSKIEPCTFRVRGENYFRSV >DRNTG_01492.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:14002112:14003377:1 gene:DRNTG_01492 transcript:DRNTG_01492.9 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFSYISRKGFDAFLDRLKLCVLDIGLTIQGNKPEDLPEQILCCLRLNGINFIEYHQLAVHSLS >DRNTG_01492.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13992624:14003219:1 gene:DRNTG_01492 transcript:DRNTG_01492.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCVSRPEGCVRVRRKSGDGPRKRRRRMGIRRRVSSSRKSMETIDEIPGEERGDDQPVYSNPTFQGSLEEAWFDTIAIMESDCDDDFLSVQEDVLSLNGLEGAPTLSLQSLKERHCGELHSNVPLATSTEQKQRSSKLGDQSTGTSVNDEGLGTGGGILDNCGILPNNCLPCLAPTALTIEKRRSPSSSPPNSKKKAPLKISFKWRSGEGHNAAALFSAKGFIERPLAGSQVPFCPAEKNMLNCWSKIEPCTFRVRGENYFRDKKKDYALNYAAYYPFGVDVYLSQRKIDHIARFVELPTVDSSCKLPPLLVVNVQVPLYPPTIFQSETDGEGMSFVLYFKLSESYLKELPLNFQENIRRLIDDEVERVKGFPMDAIVPFRERLKILGRVVNVDELPLNAAERKLMHAYNEKPVLSRPQHEFYLGENYLEIDLDMHRFSYISRKGFDAFLDRLKLCVLDIGLTIQGNKPEDLPEQILCCLRLNGINFIEYHQLAVHSLS >DRNTG_01492.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13995990:14003219:1 gene:DRNTG_01492 transcript:DRNTG_01492.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVLYFKLSESYLKELPLNFQENIRRLIDDEVERVKGFPMDAIVPFRERLKILGRVVNVDELPLNAAERKLMHAYNEKPVLSRPQHEFYLGENYLEIDLDMHRFSYISRKGFDAFLDRLKLCVLDIGLTIQGNKPEDLPEQILCCLRLNGINFIEYHQLAVHSLS >DRNTG_27870.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10350091:10351046:-1 gene:DRNTG_27870 transcript:DRNTG_27870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKHLVLVKFKEGIVVEELLEGMKKLATEIDAVKGFEW >DRNTG_27870.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10350091:10351046:-1 gene:DRNTG_27870 transcript:DRNTG_27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKHLVLVKFKEGIVVEELLEGMKKLATEIDAVKGFEWGEDVGSEQMMSQGFTHAFILTFNCAEDFCSLLQPSKPCCICRPFCCSN >DRNTG_27870.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10350091:10351046:-1 gene:DRNTG_27870 transcript:DRNTG_27870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKHLVLVKFKEGIVVEELLEGMKKLATEIDAVKGFEWGEDVGSEQMMSQGFTHAFILTFNCAEDFCSLLQPSKPCCICRPFCCSN >DRNTG_02130.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28773530:28774196:-1 gene:DRNTG_02130 transcript:DRNTG_02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSDEDDQENAPHLLPLLQDQHQDQSPLSEHQQEHYLNSISALLSIRQLKSQGLSFQLWPAAHSLVSLLDSNPQALLPLRQGRGGQCSTPALASSALLPPPFSSPTSPSLTSPTSSPISVSTPTPTPVPSQLRLIFITLNPNTQLPLKPRGLHHRRKTRIGWPPP >DRNTG_22041.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001228.1:38567:40918:-1 gene:DRNTG_22041 transcript:DRNTG_22041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLPKCGANYTPLSPITFLQRAAAVYSQRTSIIYNSTRFTWKQTYDRCLRLASSLRSLNITKNDVVSVLAPNIPAMYEMHFAVPMAGAVLNTINTRLDAGHVATNLKHSEAKLLFVDYQYVPLARETLDHLTADGAPVPLVVVIDEIDSPTGVRMGELEYEQLIMSGEEYQYNGVDDEWDAIALNYTSGTTSAPKGVVYSHRGAYLSTMSLLLQWGVGNEPVYLWSLPMFHCNGWTFTWGMAARGGTNVCIRSTAPAEMYRAIADHKVTHMCCAPVVFNIILEAKNEDRRPITSRIEVLTGGAPPPAALLEKIEDMGFHITHAYGMTEATGPALVCEWMAEWDLRPAEERAELKARQGISVLSLQEVDVKDAKTMSSVPRDGRSLGEVVLRGSNVMKGYLKNSEGHGCCFQGWLVSHR >DRNTG_17948.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4838535:4848337:-1 gene:DRNTG_17948 transcript:DRNTG_17948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKSFDVKSHQRLSGADKKKLRRTVKDKFPHASDADLDLILPPKAEIVLAKYPNRAHVYSIEGGFPIFFDVDGRGSEIYPTVYALWKAPELLPCFLLKGGEVSRFVIGGADLMFPGISIPPEGLPSFLAGQPWAVKVPGNPAPIAVGSTTMSSQDALKAGLRGKALRITHYYRDSLWESVDVCYVPNGGFLDDVVIEDPALVSAMPQNDLNDTHYVTVKEDEGDLHDVSEANADVVIDTSASADMPNVSEEIATDMSGLHVTENAAGEEPGVEKETTSLSSEDVDFSSGQMPFTSST >DRNTG_17948.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4838535:4848337:-1 gene:DRNTG_17948 transcript:DRNTG_17948.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKSFDVKSHQRLSGADKKKLRRTVKDKFPHASDADLDLILPPKAEIVLAKYPNRAHVYSIEGGFPIFFDVDGRGSEIYPTVYALWKAPELLPCFLLKGGEVSRFVIGGADLMFPGISIPPEGLPSFLAGQPWAVKVPGNPAPIAVGSTTMSSQDALKAGLRGKALRITHYYRDSLWESVDVCYVPNGGFLDDVVIEDPALVSAMPQNDLNDTHYVTVKEDEGDLHDVSEANADVVIDTSASADMPNVSEEIATDMSGLHVTENAAGEEPGVEKETTSLSSEDVDFSSGQMPFTSST >DRNTG_17948.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4838535:4847149:-1 gene:DRNTG_17948 transcript:DRNTG_17948.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKSFDVKSHQRLSGADKKKLRRTVKDKFPHASDADLDLILPPKAEIVLAKYPNRAHVYSIEGGFPIFFDVDGRGSEIYPTVYALWKAPELLPCFLLKGGEVSRFVIGGADLMFPGISIPPEGLPSFLAGQPWAVKVPGNPAPIAVGSTTMSSQDALKAGLRGKALRITHYYRDSLWESVDVCYVPNGGFLDDVVIEDPALVSAMPQNDLNDTHYVTVKEDEGDLHDVSEANADVVIDTSASADMPNVSEEIATDMSGLHVTENAAGEEPGVEKETTSLSSEDVDFSSGQMPFTSST >DRNTG_11479.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15369182:15372641:-1 gene:DRNTG_11479 transcript:DRNTG_11479.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTFVVTLVCIQFGFTSGFSSLTQEDIIRDLGIALSKERVRFHNVGPSSILRLVVMSIEDGHEGVPGIAQLDPLYSFIAVVFNARPTDVLLSIPALIAKRLQLHPVQF >DRNTG_11479.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15363137:15370215:-1 gene:DRNTG_11479 transcript:DRNTG_11479.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEDGHEGVPGIAQLDPLYSFIAVVFNARPTDVLLSIPALIAKRLQLHPVQF >DRNTG_11479.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15362222:15362696:-1 gene:DRNTG_11479 transcript:DRNTG_11479.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAIKMAWQRKAAERYRALMCSLRKRKEKTMHVSDSAWKTWTEAWNSPEFKTRCEKATANRLTEITGPGSGISRHTGGSISHASHADRLVICLIFI >DRNTG_11479.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15361497:15372641:-1 gene:DRNTG_11479 transcript:DRNTG_11479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKGCKGGPSYRGSSDSLHSIARDAPALVTSAPNVLSRPLPPSVANACAGLSSSSASTPCASGGVTPNGEPTMHARDTPTSSMDCLPLTIDESRRPRIKLVNGMLLSSDVCARKITFIFKERMDENGYSWKNVSKETKDFYWNEFQKFFVWDESMLSAIKMAWQRKAAERYRALMCSLRKRKEKTMHVSDSAWKTWTEAWNSPEFKTRCEKATANRLTEITGPGSGISRHTGGSISHASHADRLRSRLGRDPRSFELFEVTNTNKGIYMLVDACAQSVKDRYLELVEQAS >DRNTG_11479.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15361497:15361936:-1 gene:DRNTG_11479 transcript:DRNTG_11479.11 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQVQDNNKELQQSLLEMKEERDQYR >DRNTG_11479.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15370087:15372641:-1 gene:DRNTG_11479 transcript:DRNTG_11479.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTFVVTLVCIQFGFTSGFSSLTQEDIIRDLGIALSKDQAKTRESIFQATKTSHPCCS >DRNTG_11479.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15361497:15362696:-1 gene:DRNTG_11479 transcript:DRNTG_11479.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAIKMAWQRKAAERYRALMCSLRKRKEKTMHVSDSAWKTWTEAWNSPEFKTRCEKATANRLTEITGPGSGISRHTGGSISHASHADRLRSRLGRDPRSFELFEVTNTNKGIYMLVDACAQSVKDRYLELVEQAS >DRNTG_11479.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15361497:15372641:-1 gene:DRNTG_11479 transcript:DRNTG_11479.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKGCKGGPSYRGSSDSLHSIARDAPALVTSAPNVLSRPLPPSVANACAGLSSSSASTPCASGGVTPNGEPTMHARDTPTSSMDCLPLTIDESRRPRIKLVNGMLLSSDVCARKITFIFKERMDENGYSWKNVSKETKDFYWNEFQKFFVWDESMLSAIKMAWQRKAAERYRALMCSLRKRKEKTMHVSDSAWKTWTEAWNSPEFKTRCEKATANRLTEITGPGSGISRHTGGSISHASHADRLRSRLGRDPRSFELFEVTNTNKGIYMLVDACAQSVKDRYLELVEQAS >DRNTG_11479.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15362816:15367751:-1 gene:DRNTG_11479 transcript:DRNTG_11479.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKGCKGGPSYRGSSDSLHSIARDAPALVTSAPNVLSRPLPPSVANACAGLSSSSASTPCASGGVTPNGEPTMHARDTPTSSMDCLPLTIDESRRPRIKLVNGMLLSSDVCARKITFIFKERMDENGYSWKNVSKETKDFYWNEFQVNLYNI >DRNTG_11479.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15370420:15372641:-1 gene:DRNTG_11479 transcript:DRNTG_11479.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTFVVTLVCIQFGFTSGFSSLTQEDIIRDLGIALSKDQAKTRESIFQATKTSHPCCS >DRNTG_11479.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15361497:15362184:-1 gene:DRNTG_11479 transcript:DRNTG_11479.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQVQDNNKELQQSLLEMKEERDQYR >DRNTG_09846.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20606796:20612330:1 gene:DRNTG_09846 transcript:DRNTG_09846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKVKRRVGKYELGRTIGEGTFAKVRFAKNSETGEHVAIKILDKDKVLKNKMVEQIKREIATMKLIKHPNVVRLFEVMGSKTKIFIVMEFVTGGELFDKIVNHGRMREDEARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSKQVKDDGLLHTTCGTPNYVAPEVLNDRGYDGATADLWSCGVILYVLLAGYLPFDDANLITLYKKISAAEFSCPSWISFGAMRLITRILDPNPMTRVTIPEILEDPWFKVGYKPPVFEETYEANLDDVETVFKDSEEHHVTEKKEEEQPTSMNAFELISMSQGLNLGNLFDHNQEFKRETRFTSKCPANEIINKIEEAAKPMGFDVHKKNYKMKLENVKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFHKFYKNLSTSLEDVVWKSEEDMQEQAKK >DRNTG_09846.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20607413:20612330:1 gene:DRNTG_09846 transcript:DRNTG_09846.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKVKRRVGKYELGRTIGEGTFAKVRFAKNSETGEHVAIKILDKDKVLKNKMVEQIKREIATMKLIKHPNVVRLFEVMGSKTKIFIVMEFVTGGELFDKIVNHGRMREDEARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSKQVKDDGLLHTTCGTPNYVAPEVLNDRGYDGATADLWSCGVILYVLLAGYLPFDDANLITLYKKISAAEFSCPSWISFGAMRLITRILDPNPMTRVTIPEILEDPWFKVGYKPPVFEETYEANLDDVETVFKDSEEHHVTEKKEEEQPTSMNAFELISMSQGLNLGNLFDHNQEFKRETRFTSKCPANEIINKIEEAAKPMGFDVHKKNYKMKLENVKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFHKFYKNLSTSLEDVVWKSEEDMQEQAKK >DRNTG_09846.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20606796:20612330:1 gene:DRNTG_09846 transcript:DRNTG_09846.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKVKRRVGKYELGRTIGEGTFAKVRFAKNSETGEHVAIKILDKDKVLKNKMVEQIKREIATMKLIKHPNVVRLFEVMGSKTKIFIVMEFVTGGELFDKIVNHGRMREDEARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSKQVKDDGLLHTTCGTPNYVAPEVLNDRGYDGATADLWSCGVILYVLLAGYLPFDDANLITLYKKISAAEFSCPSWISFGAMRLITRILDPNPMTRVTIPEILEDPWFKVGYKPPVFEETYEANLDDVETVFKDSEEHHVTEKKEEEQPTSMNAFELISMSQGLNLGNLFDHNQEFKRETRFTSKCPANEIINKIEEAAKPMGFDVHKKNYKMKLENVKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFHKFYKNLSTSLEDVVWKSEEDMQEQAKK >DRNTG_09846.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20609372:20612330:1 gene:DRNTG_09846 transcript:DRNTG_09846.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRLITRILDPNPMTRVTIPEILEDPWFKVGYKPPVFEETYEANLDDVETVFKDSEEHHVTEKKEEEQPTSMNAFELISMSQGLNLGNLFDHNQEFKRETRFTSKCPANEIINKIEEAAKPMGFDVHKKNYKMKLENVKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFHKFYKNLSTSLEDVVWKSEEDMQEQAKK >DRNTG_09846.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20608319:20612330:1 gene:DRNTG_09846 transcript:DRNTG_09846.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIKHPNVVRLFEVMGSKTKIFIVMEFVTGGELFDKIVNHGRMREDEARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSKQVKDDGLLHTTCGTPNYVAPEVLNDRGYDGATADLWSCGVILYVLLAGYLPFDDANLITLYKKISAAEFSCPSWISFGAMRLITRILDPNPMTVSKFHDDIKFSLCSFLSFRYISQDNGICCH >DRNTG_03744.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000175.1:30960:35263:-1 gene:DRNTG_03744 transcript:DRNTG_03744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGSSLKQHLSEKTDLFGLQLWVVISITVMILLIFILTVLVICINAQSRRRRRAPNRLPVTQIPAVSKEIREVRVEQVPANDFVASDGILLTIHDKARENDSDKVMVHLGLGKSRPADSNSLSGSFHHTEKEGGSLSGEDGNSGIVSVYRPASSSYRITAPSPLTGLPEFSHLGWGHWFTLRDLEIATNRFSRENILGEGGYGVVYHGRLINGSPVAVKRLLNNLGQAEKEFRVEVDAIGLVRHKNLVRLLGYCVEGTHRMLVYEYVNNGNLEQWLHGAMRQKGTLTWEARMKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDNDLNAKVSDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVVLLEAITGRDPVDYGRPVHEVNLVDWLKMMVTNRRSEEVVDPSIEIKPSTRALKRALLTALRCVDPDSEKRPKMGQVVLMLESEDPIPREDRRNRRNRGGSIEIDSQRENSDTDKSDNP >DRNTG_22686.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:13804872:13806960:-1 gene:DRNTG_22686 transcript:DRNTG_22686.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKPLCYSTPPKTLLNPHSSSRKHKQAHVYAVDRVASSVRDNIDEDLEVS >DRNTG_17614.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4540725:4544690:-1 gene:DRNTG_17614 transcript:DRNTG_17614.13 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVVSVVMLLACGLGVLGSESDHRYKEGERVPLYANKVGPFHNPSETYRFYDLPFCVPEHVTDKKEALGEILNGDRLVDAPYELNFREDKQLKSVCKKTLLKEDVRKLRDAVSKDYYFQMYYDDLPFWGFLGKVEKDKLDPSEYKYLLYKHVHFDIYYNDDRVIELNIQTDPNLAVDITEDKEVNVEFSYSVSWKRTDTPFEKRMEKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHDEESLEDQEESGWKYIHGDVFRFPQHKSLFSAVIGSGTQLLALAIFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYLQLEGTNWVRNLLLTGFLFCGPLFLTFCFLNTVAISYSATAALPFGTILVIILIWALVTAPLLVLGGVAGKNGKTEFQAPCRTTKYPREIPQLPWYRGTIPQMAMAGFLPFSAIYIELYYIFASVWGHKIYTIYSILFIVFIILIIVTAFITVALTYFQLAVEDHEWWWRSVLCGGSTGIFILCYCVYYYHARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRASLLFVRHIYHSIKCE >DRNTG_17614.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4540361:4544690:-1 gene:DRNTG_17614 transcript:DRNTG_17614.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVVSVVMLLACGLGVLGSESDHRYKEGERVPLYANKVGPFHNPSETYRFYDLPFCVPEHVTDKKEALGEILNGDRLVDAPYELNFREDKQLKSVCKKTLLKEDVRKLRDAVSKDYYFQMYYDDLPFWGFLGKVEKDKLDPSEYKYLLYKHVHFDIYYNDDRVIELNIQTDPNLAVDITEDKEVNVEFSYSVSWKRTDTPFEKRMEKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHDEESLEDQEESGWKYIHGDVFRFPQHKSLFSAVIGSGTQLLALAIFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYLQLEGTNWVRNLLLTGFLFCGPLFLTFCFLNTVAISYSATAALPFGTILVIILIWALVTAPLLVLGGVAGKNGKTEFQAPCRTTKYPREIPQLPWYRGTIPQMAMAGFLPFSAIYIELYYIFASVWGHKIYTIYSILFIVFIILIIVTAFITVALTYFQLAVEDHEWWWRSVLCGGSTGIFILCYCVYYYHARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRASLLFVRHIYHSIKCE >DRNTG_17614.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4540725:4544690:-1 gene:DRNTG_17614 transcript:DRNTG_17614.12 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVVSVVMLLACGLGVLGSESDHRYKEGERVPLYANKVGPFHNPSETYRFYDLPFCVPEHVTDKKEALGEILNGDRLVDAPYELNFREDKQLKSVCKKTLLKEDVRKLRDAVSKDYYFQMYYDDLPFWGFLGKVEKDKLDPSEYKYLLYKHVHFDIYYNDDRVIELNIQTDPNLAVDITEDKEVNVEFSYSVSWKRTDTPFEKRMEKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHDEESLEDQEESGWKYIHGDVFRFPQHKSLFSAVIGSGTQLLALAIFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYLQLEGTNWVRNLLLTGFLFCGPLFLTFCFLNTVAISYSATAALPFGTILVIILIWALVTAPLLVLGGVAGKNGKTEFQAPCRTTKYPREIPQLPWYRGTIPQMAMAGFLPFSAIYIELYYIFASVWGHKIYTIYSILFIVFIILIIVTAFITVALTYFQLAVEDHEWWWRSVLCGGSTGIFILCYCVYYYHARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRASLLFVRHIYHSIKCE >DRNTG_17614.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4538528:4544690:-1 gene:DRNTG_17614 transcript:DRNTG_17614.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVVSVVMLLACGLGVLGSESDHRYKEGERVPLYANKVGPFHNPSETYRFYDLPFCVPEHVTDKKEALGEILNGDRLVDAPYELNFREDKQLKSVCKKTLLKEDVRKLRDAVSKDYYFQMYYDDLPFWGFLGKVEKDKLDPSEYKYLLYKHVHFDIYYNDDRVIELNIQTDPNLAVDITEDKEVNVEFSYSVSWKRTDTPFEKRMEKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHDEESLEDQEESGWKYIHGDVFRFPQHKSLFSAVIGSGTQLLALAIFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYLQLEGTNWVRNLLLTGFLFCGPLFLTFCFLNTVAISYSATAALPFGTILVIILIWALVTAPLLVLGGVAGKNGKTEFQAPCRTTKYPREIPQLPWYRGTIPQMAMAGFLPFSAIYIELYYIFASVWGHKIYTIYSILFIVFIILIIVTAFITVALTYFQLAVEDHEWWWRSVLCGGSTGIFILCYCVYYYHARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRASLLFVRHIYHSIKCE >DRNTG_17614.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4540361:4544690:-1 gene:DRNTG_17614 transcript:DRNTG_17614.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVVSVVMLLACGLGVLGSESDHRYKEGERVPLYANKVGPFHNPSETYRFYDLPFCVPEHVTDKKEALGEILNGDRLVDAPYELNFREDKQLKSVCKKTLLKEDVRKLRDAVSKDYYFQMYYDDLPFWGFLGKVEKDKLDPSEYKYLLYKHVHFDIYYNDDRVIELNIQTDPNLAVDITEDKEVNVEFSYSVSWKRTDTPFEKRMEKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHDEESLEDQEESGWKYIHGDVFRFPQHKSLFSAVIGSGTQLLALAIFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYLQLEGTNWVRNLLLTGFLFCGPLFLTFCFLNTVAISYSATAALPFGTILVIILIWALVTAPLLVLGGVAGKNGKTEFQAPCRTTKYPREIPQLPWYRGTIPQMAMAGFLPFSAIYIELYYIFASVWGHKIYTIYSILFIVFIILIIVTAFITVALTYFQLAVEDHEWWWRSVLCGGSTGIFILCYCVYYYHARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRASLLFVRHIYHSIKCE >DRNTG_17614.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4539845:4544690:-1 gene:DRNTG_17614 transcript:DRNTG_17614.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVVSVVMLLACGLGVLGSESDHRYKEGERVPLYANKVGPFHNPSETYRFYDLPFCVPEHVTDKKEALGEILNGDRLVDAPYELNFREDKQLKSVCKKTLLKEDVRKLRDAVSKDYYFQMYYDDLPFWGFLGKVEKDKLDPSEYKYLLYKHVHFDIYYNDDRVIELNIQTDPNLAVDITEDKEVNVEFSYSVSWKRTDTPFEKRMEKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHDEESLEDQEESGWKYIHGDVFRFPQHKSLFSAVIGSGTQLLALAIFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYLQLEGTNWVRNLLLTGFLFCGPLFLTFCFLNTVAISYSATAALPFGTILVIILIWALVTAPLLVLGGVAGKNGKTEFQAPCRTTKYPREIPQLPWYRGTIPQMAMAGFLPFSAIYIELYYIFASVWGHKIYTIYSILFIVFIILIIVTAFITVALTYFQLAVEDHEWWWRSVLCGGSTGIFILCYCVYYYHARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRASLLFVRHIYHSIKCE >DRNTG_17614.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4539845:4544690:-1 gene:DRNTG_17614 transcript:DRNTG_17614.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVVSVVMLLACGLGVLGSESDHRYKEGERVPLYANKVGPFHNPSETYRFYDLPFCVPEHVTDKKEALGEILNGDRLVDAPYELNFREDKQLKSVCKKTLLKEDVRKLRDAVSKDYYFQMYYDDLPFWGFLGKVEKDKLDPSEYKYLLYKHVHFDIYYNDDRVIELNIQTDPNLAVDITEDKEVNVEFSYSVSWKRTDTPFEKRMEKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHDEESLEDQEESGWKYIHGDVFRFPQHKSLFSAVIGSGTQLLALAIFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYLQLEGTNWVRNLLLTGFLFCGPLFLTFCFLNTVAISYSATAALPFGTILVIILIWALVTAPLLVLGGVAGKNGKTEFQAPCRTTKYPREIPQLPWYRGTIPQMAMAGFLPFSAIYIELYYIFASVWGHKIYTIYSILFIVFIILIIVTAFITVALTYFQLAVEDHEWWWRSVLCGGSTGIFILCYCVYYYHARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRASLLFVRHIYHSIKCE >DRNTG_17614.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4538528:4544690:-1 gene:DRNTG_17614 transcript:DRNTG_17614.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVVSVVMLLACGLGVLGSESDHRYKEGERVPLYANKVGPFHNPSETYRFYDLPFCVPEHVTDKKEALGEILNGDRLVDAPYELNFREDKQLKSVCKKTLLKEDVRKLRDAVSKDYYFQMYYDDLPFWGFLGKVEKDKLDPSEYKYLLYKHVHFDIYYNDDRVIELNIQTDPNLAVDITEDKEVNVEFSYSVSWKRTDTPFEKRMEKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHDEESLEDQEESGWKYIHGDVFRFPQHKSLFSAVIGSGTQLLALAIFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYLQLEGTNWVRNLLLTGFLFCGPLFLTFCFLNTVAISYSATAALPFGTILVIILIWALVTAPLLVLGGVAGKNGKTEFQAPCRTTKYPREIPQLPWYRGTIPQMAMAGFLPFSAIYIELYYIFASVWGHKIYTIYSILFIVFIILIIVTAFITVALTYFQLAVEDHEWWWRSVLCGGSTGIFILCYCVYYYHARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRASLLFVRHIYHSIKCE >DRNTG_17614.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4540725:4544690:-1 gene:DRNTG_17614 transcript:DRNTG_17614.11 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVVSVVMLLACGLGVLGSESDHRYKEGERVPLYANKVGPFHNPSETYRFYDLPFCVPEHVTDKKEALGEILNGDRLVDAPYELNFREDKQLKSVCKKTLLKEDVRKLRDAVSKDYYFQMYYDDLPFWGFLGKVEKDKLDPSEYKYLLYKHVHFDIYYNDDRVIELNIQTDPNLAVDITEDKEVNVEFSYSVSWKRTDTPFEKRMEKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHDEESLEDQEESGWKYIHGDVFRFPQHKSLFSAVIGSGTQLLALAIFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYLQLEGTNWVRNLLLTGFLFCGPLFLTFCFLNTVAISYSATAALPFGTILVIILIWALVTAPLLVLGGVAGKNGKTEFQAPCRTTKYPREIPQLPWYRGTIPQMAMAGFLPFSAIYIELYYIFASVWGHKIYTIYSILFIVFIILIIVTAFITVALTYFQLAVEDHEWWWRSVLCGGSTGIFILCYCVYYYHARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRASLLFVRHIYHSIKCE >DRNTG_17614.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4540655:4544690:-1 gene:DRNTG_17614 transcript:DRNTG_17614.10 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVVSVVMLLACGLGVLGSESDHRYKEGERVPLYANKVGPFHNPSETYRFYDLPFCVPEHVTDKKEALGEILNGDRLVDAPYELNFREDKQLKSVCKKTLLKEDVRKLRDAVSKDYYFQMYYDDLPFWGFLGKVEKDKLDPSEYKYLLYKHVHFDIYYNDDRVIELNIQTDPNLAVDITEDKEVNVEFSYSVSWKRTDTPFEKRMEKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHDEESLEDQEESGWKYIHGDVFRFPQHKSLFSAVIGSGTQLLALAIFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYLQLEGTNWVRNLLLTGFLFCGPLFLTFCFLNTVAISYSATAALPFGTILVIILIWALVTAPLLVLGGVAGKNGKTEFQAPCRTTKYPREIPQLPWYRGTIPQMAMAGFLPFSAIYIELYYIFASVWGHKIYTIYSILFIVFIILIIVTAFITVALTYFQLAVEDHEWWWRSVLCGGSTGIFILCYCVYYYHARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRASLLFVRHIYHSIKCE >DRNTG_17614.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4540361:4544690:-1 gene:DRNTG_17614 transcript:DRNTG_17614.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVVSVVMLLACGLGVLGSESDHRYKEGERVPLYANKVGPFHNPSETYRFYDLPFCVPEHVTDKKEALGEILNGDRLVDAPYELNFREDKQLKSVCKKTLLKEDVRKLRDAVSKDYYFQMYYDDLPFWGFLGKVEKDKLDPSEYKYLLYKHVHFDIYYNDDRVIELNIQTDPNLAVDITEDKEVNVEFSYSVSWKRTDTPFEKRMEKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHDEESLEDQEESGWKYIHGDVFRFPQHKSLFSAVIGSGTQLLALAIFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYLQLEGTNWVRNLLLTGFLFCGPLFLTFCFLNTVAISYSATAALPFGTILVIILIWALVTAPLLVLGGVAGKNGKTEFQAPCRTTKYPREIPQLPWYRGTIPQMAMAGFLPFSAIYIELYYIFASVWGHKIYTIYSILFIVFIILIIVTAFITVALTYFQLAVEDHEWWWRSVLCGGSTGIFILCYCVYYYHARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRASLLFVRHIYHSIKCE >DRNTG_17614.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4540655:4544690:-1 gene:DRNTG_17614 transcript:DRNTG_17614.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVVSVVMLLACGLGVLGSESDHRYKEGERVPLYANKVGPFHNPSETYRFYDLPFCVPEHVTDKKEALGEILNGDRLVDAPYELNFREDKQLKSVCKKTLLKEDVRKLRDAVSKDYYFQMYYDDLPFWGFLGKVEKDKLDPSEYKYLLYKHVHFDIYYNDDRVIELNIQTDPNLAVDITEDKEVNVEFSYSVSWKRTDTPFEKRMEKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHDEESLEDQEESGWKYIHGDVFRFPQHKSLFSAVIGSGTQLLALAIFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYLQLEGTNWVRNLLLTGFLFCGPLFLTFCFLNTVAISYSATAALPFGTILVIILIWALVTAPLLVLGGVAGKNGKTEFQAPCRTTKYPREIPQLPWYRGTIPQMAMAGFLPFSAIYIELYYIFASVWGHKIYTIYSILFIVFIILIIVTAFITVALTYFQLAVEDHEWWWRSVLCGGSTGIFILCYCVYYYHARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRASLLFVRHIYHSIKCE >DRNTG_17614.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4540655:4544690:-1 gene:DRNTG_17614 transcript:DRNTG_17614.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVVSVVMLLACGLGVLGSESDHRYKEGERVPLYANKVGPFHNPSETYRFYDLPFCVPEHVTDKKEALGEILNGDRLVDAPYELNFREDKQLKSVCKKTLLKEDVRKLRDAVSKDYYFQMYYDDLPFWGFLGKVEKDKLDPSEYKYLLYKHVHFDIYYNDDRVIELNIQTDPNLAVDITEDKEVNVEFSYSVSWKRTDTPFEKRMEKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHDEESLEDQEESGWKYIHGDVFRFPQHKSLFSAVIGSGTQLLALAIFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYLQLEGTNWVRNLLLTGFLFCGPLFLTFCFLNTVAISYSATAALPFGTILVIILIWALVTAPLLVLGGVAGKNGKTEFQAPCRTTKYPREIPQLPWYRGTIPQMAMAGFLPFSAIYIELYYIFASVWGHKIYTIYSILFIVFIILIIVTAFITVALTYFQLAVEDHEWWWRSVLCGGSTGIFILCYCVYYYHARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRASLLFVRHIYHSIKCE >DRNTG_14840.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23062966:23064377:-1 gene:DRNTG_14840 transcript:DRNTG_14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGSIPRNISTLSKPPCSIPKREVFQKSTYGAHDGNSAFCGNATVVVAVIILACRRWKGSGRVANAENYAMIWERGVEFKFIDIMEATHNFNEKYCIGRGNSGAVYKAELPSGQVLAVKRLYLEGDIDIFQINRKSFMNEIQMLTEVRHRNIVKLHGFCSRNGVMYLVYDYVERGSLSSVLYSDLGGTMFDWPNRVKVIHGVAHALAYLHNGCSPGIVHRDISINSILLDAQFEPQISDFGTSKLLIFDAHHWTAIVGSCGYIAPEIAYTMKYVDKCDVYSFGVAALEIIMGKPPAEVLKCSLRSGGNDVLLKDVLDQRLLPPTGQLAEHLVFLVMVALACTRTDPCLRPGMQEIAQEMSTRK >DRNTG_26213.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:355484:356796:1 gene:DRNTG_26213 transcript:DRNTG_26213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLDDEERAVFPEVDDEEDESDEVDDSEDDEDEDEDGEIEPSSAPLPPSVPVTDLATMDPNPGMIPNPNPIAVHAAVSAAENGSVQVQPVLSDVATEDLTTPTADERRLVPCQTGSSCDDSRRLFQRLWTDEDELVILKGFLEFVSQRGTIEASHQHDTGLFYEKIKTLLQLEFTKNQLIEKLRWMKKKFRNVVSRIANAGKDFSFKTPHEQATFEIARQIWSSALKRSREISDDEILNVPALGGGSEIKLEGGTGEVSRSRRRRRRHSSTVNAGHTVGVPVEAAMSHTPLALSSTGVPVIPSMIEETVRSCLSPLFKELIGSAAGGVGMNLMMPMSLWGGGECVAVAGVEAPEDERCT >DRNTG_18244.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:21596437:21597792:-1 gene:DRNTG_18244 transcript:DRNTG_18244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEVPLEAKDAPTVHVSSPSRAHDRFERLESTVGVVWTEIAEARTEIAEMRATQANQYTELMACFDILQQISERDVASSFILRPRTPQAPSASSPPPPSPAPAPIDPPHTSSPPAAAPAEAPERGTDT >DRNTG_25732.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20016229:20018328:-1 gene:DRNTG_25732 transcript:DRNTG_25732.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAFKPDVNGELDTKNFLKFDELEPPPTRSGSGPLRKTLLTPTDLSFVGYTYKNFEAIKEMKRSGLPNPASADTFMGHCAASSGNKSIKEETDGHRSSSSDPMSL >DRNTG_25732.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20016229:20019054:-1 gene:DRNTG_25732 transcript:DRNTG_25732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEMLVGYPPFYSDDPVTTCRKIVHWRKTFKVS >DRNTG_04395.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1149208:1150731:-1 gene:DRNTG_04395 transcript:DRNTG_04395.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g44745 [Source:Projected from Arabidopsis thaliana (AT2G44745) UniProtKB/TrEMBL;Acc:Q1PEU5] MEGGREGAQRRYVFEVPPLASPIEFAQQEENFHGLSFMIPSQTSTPFNPLNAAFSFENPNPVSGNEEVGTSDPKVGHDQNFSARDGVNSWWKSSSISEKGKMKVRRKMREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHNNCRVKKRVERLSEDCRMVITTYEGRHNHSPCDDTNSSEHEYYNSY >DRNTG_04395.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1149208:1150603:-1 gene:DRNTG_04395 transcript:DRNTG_04395.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g44745 [Source:Projected from Arabidopsis thaliana (AT2G44745) UniProtKB/TrEMBL;Acc:Q1PEU5] MEGGREGAQRRYVFEVPPLASPIEFAQQEENFHGLSFMIPSQTSTPFNPLNAAFSFENPNPVSGNEEVGTSDPKVGHDQNFSARDGVNSWWKSSSISEKGKMKVRRKMREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHNNCRVKKRVERLSEDCRMVITTYEGRHNHSPCDDTNSSEHEYYNSY >DRNTG_29009.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22290623:22294468:-1 gene:DRNTG_29009 transcript:DRNTG_29009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVGHHRERALMAIGRTKLGLPAVLFGFLFFIALLLGPVLFIFKDSSGDAALTRSRLMEMSDDETELRAMPHGLSGESHASSIPFQILSWKPRALYFPNFATPEQCQNVVKLAKSRLRPSTLALRAGETEEGTKGIRTSSGTFISSSEDSTGTLGDIENKIARATMIPRDHGEAFNVLRYEIGQRYASHYDAFNPAEYGPQKSQRIASFLLYLSDVEEGGETMFPFEGGSNMNIGYDYEKCIGLKVKPHQGDGLLFYSLLTNGTIDPTSLHGSCPVIRGEKWVATKWIRDQGQGFI >DRNTG_27701.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21754715:21756682:-1 gene:DRNTG_27701 transcript:DRNTG_27701.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRTITEQINRIDSDMRFEIMVDASKGGCDSSGQHQAAENKRVTTSSPSESKIYGRDHEKQQLIKLLKEPKESGNVSVVPIVGMGGIGKTTLAQFVFNNTEIAYHFERKAWICVSDYFNRLRITKEILDSLCIGEASSSTITTSCDVLEREIKRQVTGKKFLLVLDDVWSDEWRELLNFLRCAQAEAIKLIVTCRDPEVLGVLADGQNQITLKGLSDKDNWSLFVKYAFADKNPDNSPELHAIGKQIVGKLKGSPLAAKTVGRLLGSCLTEEHWKDILESDLWKLETDAHGVMSALALSYYHLPHPLQLCFTFCSVFPKDFVYDMFNVIDMWIAHGYIPEIKGSSKTLEDIGEEYWHELEARCFFEKVIEFENSFEFVKVIEGFKMHDLLHDLAQSVSHGETYIYEGRKDEEIPK >DRNTG_27701.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21754715:21756721:-1 gene:DRNTG_27701 transcript:DRNTG_27701.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKTIVFFPNEQKSKTESLCIFSSLPKLQSCNRSSQSIHPSIHPFIMVLGIAGAILAPILPQFVLNKLLDSLFEHLSRDQPSSSSHVDKLQQLVNERKAFAEANLKLEIIQSEVRRLHKRDKQNMRLIHINNKLRDVSNMIKDLKDDLEYMELQRKVEEINLQDEAADNNPSTTPQLGSWIPWTTGQSSDKRRRLSSSESTDDIVNKMRTITEQINRIDSDMRFEIMVDASKGGCDSSGQHQAAENKRVTTSSPSESKIYGRDHEKQQLIKLLKEPKESGNVSVVPIVGMGGIGKTTLAQFVFNNTEIAYHFERKAWICVSDYFNRLRITKEILDSLCIGEASSSTITTSCDVLEREIKRQVTGKKFLLVLDDVWSDEWRELLNFLRCAQAEAIKLIVTCRDPEVLGVLADGQNQITLKGLSDKDNWSLFVKYAFADKNPDNSPELHAIGKQIVGKLKGSPLAAKTVGRLLGSCLTEEHWKDILESDLWKLETDAHGVMSALALSYYHLPHPLQLCFTFCSVFPKDFVYDMFNVIDMWIAHGYIPEIKGSSKTLEDIGEEYWHELEARCFFEKVIEFENSFEFVKVIEGFKMHDLLHDLAQSVSHGETYIYEGRKDEEIPK >DRNTG_27701.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21754466:21756682:-1 gene:DRNTG_27701 transcript:DRNTG_27701.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRTITEQINRIDSDMRFEIMVDASKGGCDSSGQHQAAENKRVTTSSPSESKIYGRDHEKQQLIKLLKEPKESGNVSVVPIVGMGGIGKTTLAQFVFNNTEIAYHFERKAWICVSDYFNRLRITKEILDSLCIGEASSSTITTSCDVLEREIKRQVTGKKFLLVLDDVWSDEWRELLNFLRCAQAEAIKLIVTCRDPEVLGVLADGQNQITLKGLSDKDNWSLFVKYAFADKNPDNSPELHAIGKQIVGKLKGSPLAAKTVGRLLGSCLTEEHWKDILESDLWKLETDAHGVMSALALSYYHLPHPLQLCFTFCSVFPKDFVYDMFNVIDMWIAHGYIPEIKGSSKTLEDIGEEYWHELEARCFFEKVIEFENSFEFVKVIEGFKMHDLLHDLAQSVSHGETYIYEGRKDEEIPKDVCHLCVHRFSDVKFVCKTDNLRTLILYGAGDIHDILKHEAFKRIRVLVISRTNVQKLSDNIAHLKHLQYLDLVGTSIESIPE >DRNTG_27701.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21754466:21756682:-1 gene:DRNTG_27701 transcript:DRNTG_27701.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGIAGAILAPILPQFVLNKLLDSLFEHLSRDQPSSSSHVDKLQQLVNERKAFAEANLKLEIIQSEVRRLHKRDKQNMRLIHINNKLRDVSNMIKDLKDDLEYMELQRKVEEINLQDEAADNNPSTTPQLGSWIPWTTGQSSDKRRRLSSSESTDDIVNKMRTITEQINRIDSDMRFEIMVDASKGGCDSSGQHQAAENKRVTTSSPSESKIYGRDHEKQQLIKLLKEPKESGNVSVVPIVGMGGIGKTTLAQFVFNNTEIAYHFERKAWICVSDYFNRLRITKEILDSLCIGEASSSTITTSCDVLEREIKRQVTGKKFLLVLDDVWSDEWRELLNFLRCAQAEAIKLIVTCRDPEVLGVLADGQNQITLKGLSDKDNWSLFVKYAFADKNPDNSPELHAIGKQIVGKLKGSPLAAKTVGRLLGSCLTEEHWKDILESDLWKLETDAHGVMSALALSYYHLPHPLQLCFTFCSVFPKDFVYDMFNVIDMWIAHGYIPEIKGSSKTLEDIGEEYWHELEARCFFEKVIEFENSFEFVKVIEGFKMHDLLHDLAQSVSHGETYIYEGRKDEEIPKDVCHLCVHRFSDVKFVCKTDNLRTLILYGAGDIHDILKHEAFKRIRVLVISRTNVQKLSDNIAHLKHLQYLDLVGTSIESIPE >DRNTG_27701.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21754281:21756682:-1 gene:DRNTG_27701 transcript:DRNTG_27701.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGIAGAILAPILPQFVLNKLLDSLFEHLSRDQPSSSSHVDKLQQLVNERKAFAEANLKLEIIQSEVRRLHKRDKQNMRLIHINNKLRDVSNMIKDLKDDLEYMELQRKVEEINLQDEAADNNPSTTPQLGSWIPWTTGQSSDKRRRLSSSESTDDIVNKMRTITEQINRIDSDMRFEIMVDASKGGCDSSGQHQAAENKRVTTSSPSESKIYGRDHEKQQLIKLLKEPKESGNVSVVPIVGMGGIGKTTLAQFVFNNTEIAYHFERKAWICVSDYFNRLRITKEILDSLCIGEASSSTITTSCDVLEREIKRQVTGKKFLLVLDDVWSDEWRELLNFLRCAQAEAIKLIVTCRDPEVLGVLADGQNQITLKGLSDKDNWSLFVKYAFADKNPDNSPELHAIGKQIVGKLKGSPLAAKTVGRLLGSCLTEEHWKDILESDLWKLETDAHGVMSALALSYYHLPHPLQLCFTFCSVFPKDFVYDMFNVIDMWIAHGYIPEIKGSSKTLEDIGEEYWHELEARCFFEKVIEFENSFEFVKVIEGFKMHDLLHDLAQSVSHGETYIYEGRKDEEIPKDVCHLCVHRFSDVKFVCKTDNLRTLILYGAGDIHDILKHEAFKRIRVLVISRTNVQKLSDNIAHLKHLQYLDLVGTSIESIPESLCTLYLLRVLKLMCPPPRLPNRFHNLINLRRFCMPDFSLIRSLQTSYYVIYQVNREGGYQ >DRNTG_27701.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21754715:21756682:-1 gene:DRNTG_27701 transcript:DRNTG_27701.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGIAGAILAPILPQFVLNKLLDSLFEHLSRDQPSSSSHVDKLQQLVNERKAFAEANLKLEIIQSEVRRLHKRDKQNMRLIHINNKLRDVSNMIKDLKDDLEYMELQRKVEEINLQDEAADNNPSTTPQLGSWIPWTTGQSSDKRRRLSSSESTDDIVNKMRTITEQINRIDSDMRFEIMVDASKGGCDSSGQHQAAENKRVTTSSPSESKIYGRDHEKQQLIKLLKEPKESGNVSVVPIVGMGGIGKTTLAQFVFNNTEIAYHFERKAWICVSDYFNRLRITKEILDSLCIGEASSSTITTSCDVLEREIKRQVTGKKFLLVLDDVWSDEWRELLNFLRCAQAEAIKLIVTCRDPEVLGVLADGQNQITLKGLSDKDNWSLFVKYAFADKNPDNSPELHAIGKQIVGKLKGSPLAAKTVGRLLGSCLTEEHWKDILESDLWKLETDAHGVMSALALSYYHLPHPLQLCFTFCSVFPKDFVYDMFNVIDMWIAHGYIPEIKGSSKTLEDIGEEYWHELEARCFFEKVIEFENSFEFVKVIEGFKMHDLLHDLAQSVSHGETYIYEGRKDEEIPK >DRNTG_27701.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21754466:21756721:-1 gene:DRNTG_27701 transcript:DRNTG_27701.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKTIVFFPNEQKSKTESLCIFSSLPKLQSCNRSSQSIHPSIHPFIMVLGIAGAILAPILPQFVLNKLLDSLFEHLSRDQPSSSSHVDKLQQLVNERKAFAEANLKLEIIQSEVRRLHKRDKQNMRLIHINNKLRDVSNMIKDLKDDLEYMELQRKVEEINLQDEAADNNPSTTPQLGSWIPWTTGQSSDKRRRLSSSESTDDIVNKMRTITEQINRIDSDMRFEIMVDASKGGCDSSGQHQAAENKRVTTSSPSESKIYGRDHEKQQLIKLLKEPKESGNVSVVPIVGMGGIGKTTLAQFVFNNTEIAYHFERKAWICVSDYFNRLRITKEILDSLCIGEASSSTITTSCDVLEREIKRQVTGKKFLLVLDDVWSDEWRELLNFLRCAQAEAIKLIVTCRDPEVLGVLADGQNQITLKGLSDKDNWSLFVKYAFADKNPDNSPELHAIGKQIVGKLKGSPLAAKTVGRLLGSCLTEEHWKDILESDLWKLETDAHGVMSALALSYYHLPHPLQLCFTFCSVFPKDFVYDMFNVIDMWIAHGYIPEIKGSSKTLEDIGEEYWHELEARCFFEKVIEFENSFEFVKVIEGFKMHDLLHDLAQSVSHGETYIYEGRKDEEIPKDVCHLCVHRFSDVKFVCKTDNLRTLILYGAGDIHDILKHEAFKRIRVLVISRTNVQKLSDNIAHLKHLQYLDLVGTSIESIPE >DRNTG_27701.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21754281:21756721:-1 gene:DRNTG_27701 transcript:DRNTG_27701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKTIVFFPNEQKSKTESLCIFSSLPKLQSCNRSSQSIHPSIHPFIMVLGIAGAILAPILPQFVLNKLLDSLFEHLSRDQPSSSSHVDKLQQLVNERKAFAEANLKLEIIQSEVRRLHKRDKQNMRLIHINNKLRDVSNMIKDLKDDLEYMELQRKVEEINLQDEAADNNPSTTPQLGSWIPWTTGQSSDKRRRLSSSESTDDIVNKMRTITEQINRIDSDMRFEIMVDASKGGCDSSGQHQAAENKRVTTSSPSESKIYGRDHEKQQLIKLLKEPKESGNVSVVPIVGMGGIGKTTLAQFVFNNTEIAYHFERKAWICVSDYFNRLRITKEILDSLCIGEASSSTITTSCDVLEREIKRQVTGKKFLLVLDDVWSDEWRELLNFLRCAQAEAIKLIVTCRDPEVLGVLADGQNQITLKGLSDKDNWSLFVKYAFADKNPDNSPELHAIGKQIVGKLKGSPLAAKTVGRLLGSCLTEEHWKDILESDLWKLETDAHGVMSALALSYYHLPHPLQLCFTFCSVFPKDFVYDMFNVIDMWIAHGYIPEIKGSSKTLEDIGEEYWHELEARCFFEKVIEFENSFEFVKVIEGFKMHDLLHDLAQSVSHGETYIYEGRKDEEIPKDVCHLCVHRFSDVKFVCKTDNLRTLILYGAGDIHDILKHEAFKRIRVLVISRTNVQKLSDNIAHLKHLQYLDLVGTSIESIPESLCTLYLLRVLKLMCPPPRLPNRFHNLINLRRFCMPDFSLIRSLQTSYYVIYQVNREGGYQ >DRNTG_27701.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21754715:21756682:-1 gene:DRNTG_27701 transcript:DRNTG_27701.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGIAGAILAPILPQFVLNKLLDSLFEHLSRDQPSSSSHVDKLQQLVNERKAFAEANLKLEIIQSEVRRLHKRDKQNMRLIHINNKLRDVSNMIKDLKDDLEYMELQRKVEEINLQDEAADNNPSTTPQLGSWIPWTTGQSSDKRRRLSSSESTEFYIADDIVNKMRTITEQINRIDSDMRFEIMVDASKGGCDSSGQHQAAENKRVTTSSPSESKIYGRDHEKQQLIKLLKEPKESGNVSVVPIVGMGGIGKTTLAQFVFNNTEIAYHFERKAWICVSDYFNRLRITKEILDSLCIGEASSSTITTSCDVLEREIKRQVTGKKFLLVLDDVWSDEWRELLNFLRCAQAEAIKLIVTCRDPEVLGVLADGQNQITLKGLSDKDNWSLFVKYAFADKNPDNSPELHAIGKQIVGKLKGSPLAAKTVGRLLGSCLTEEHWKDILESDLWKLETDAHGVMSALALSYYHLPHPLQLCFTFCSVFPKDFVYDMFNVIDMWIAHGYIPEIKGSSKTLEDIGEEYWHELEARCFFEKVIEFENSFEFVKVIEGFKMHDLLHDLAQSVSHGETYIYEGRKDEEIPK >DRNTG_20586.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3260909:3268166:1 gene:DRNTG_20586 transcript:DRNTG_20586.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDSSTELEACEVPGEKFHHDDEDVKPFIPSYIQMNVVGLKKTDPDASLVPDICEAKNVPRVEQTEGVRDQDETGHRCRVFSENCENQAFIKDRNQEMGHGSTSGISIACKIESQLQRGMRRRCLNFEGVPEMGLQNDSTASHSNGAHPTSNILPVPFKAGNPSTCIVPGIGLHLNTLGLKDRFLSRETLVSGQRLISMPSPICPFHSTTGLEPHDKSLSVDKDLVTGSGIHNLSIMHDDVSQSSALISGKDLHQSSPKKKRRKLEIGAENREGCKRCNCKKSKCLKLYCECFAAGVFCAEPCSCQSCFNKPIHQETVLNTRKQIESRNPLAFAPKVIRPSDPDQEIGEELNKTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSVSCRCEGCKNAFGRKDGSLPIEDEEFEQEEEQKDANLNVSDGLDAGEQSADPTNDELQYPESVLQLTPFQVIRPSMDLAILSSGQTPLSSSLTTVTSASFNSFATLRRFEMPLSQCKLGKLARVDSEDETPAILKGNVSPINSVKATSPNQKRVSPPQFGTRLSPNHKGGRKLILKSIPSFPSLNNDLSHEISANYYDSSLDMPSQS >DRNTG_20586.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3260909:3266169:1 gene:DRNTG_20586 transcript:DRNTG_20586.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDSSTELEACEVPAKNVPRVEQTEGVRDQDETGHRCRVFSENCENQAFIKDRNQEMGHGSTSGISIACKIESQLQRGMRRRCLNFEGVPEMGLQNDSTASHSNGAHPTSNILPVPFKAGNPSTCIVPGIGLHLNTLGLKDRFLSRETLVSGQRLISMPSPICPFHSTTGLEPHDKSLSVDKDLVTGSGIHNLSIMHDDVSQSSALISGKDLHQSSPKKKRRKLEIGAENREGCKRCNCKKSKCLKLYCECFAAGVFCAEPCSCQSCFNKPIHQETVLNTRKQIESRNPLAFAPKVIRPSDPDQEIGEELNKTPASARHKRGCNCKKSSCLKKYCECYQ >DRNTG_20586.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3260909:3268166:1 gene:DRNTG_20586 transcript:DRNTG_20586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDSSTELEACEVPDICEAKNVPRVEQTEGVRDQDETGHRCRVFSENCENQAFIKDRNQEMGHGSTSGISIACKIESQLQRGMRRRCLNFEGVPEMGLQNDSTASHSNGAHPTSNILPVPFKAGNPSTCIVPGIGLHLNTLGLKDRFLSRETLVSGQRLISMPSPICPFHSTTGLEPHDKSLSVDKDLVTGSGIHNLSIMHDDVSQSSALISGKDLHQSSPKKKRRKLEIGAENREGCKRCNCKKSKCLKLYCECFAAGVFCAEPCSCQSCFNKPIHQETVLNTRKQIESRNPLAFAPKVIRPSDPDQEIGEELNKTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSVSCRCEGCKNAFGRKDGSLPIEDEEFEQEEEQKDANLNVSDGLDAGEQSADPTNDELQYPESVLQLTPFQVIRPSMDLAILSSGQTPLSSSLTTVTSASFNSFATLRRFEMPLSQCKLGKLARVDSEDETPAILKGNVSPINSVKATSPNQKRVSPPQFGTRLSPNHKGGRKLILKSIPSFPSLNNDLSHEISANYYDSSLDMPSQS >DRNTG_20586.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3266243:3268166:1 gene:DRNTG_20586 transcript:DRNTG_20586.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAILSSGQTPLSSSLTTVTSASFNSFATLRRFEMPLSQCKLGKLARVDSEDETPAILKGNVSPINSVKATSPNQKRVSPPQFGTRLSPNHKGGRKLILKSIPSFPSLNNDLSHEISANYYDSSLDMPSQS >DRNTG_20586.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3260909:3268166:1 gene:DRNTG_20586 transcript:DRNTG_20586.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDSSTELEACEVPAKNVPRVEQTEGVRDQDETGHRCRVFSENCENQAFIKDRNQEMGHGSTSGISIACKIESQLQRGMRRRCLNFEGVPEMGLQNDSTASHSNGAHPTSNILPVPFKAGNPSTCIVPGIGLHLNTLGLKDRFLSRETLVSGQRLISMPSPICPFHSTTGLEPHDKSLSVDKDLVTGSGIHNLSIMHDDVSQSSALISGKDLHQSSPKKKRRKLEIGAENREGCKRCNCKKSKCLKLYCECFAAGVFCAEPCSCQSCFNKPIHQETVLNTRKQIESRNPLAFAPKVIRPSDPDQEIGEELNKTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSVSCRCEGCKNAFGRKDGSLPIEDEEFEQEEEQKDANLNVSDGLDAGEQSADPTNDELQYPESVLQLTPFQVIRPSMDLAILSSGQTPLSSSLTTVTSASFNSFATLRRFEMPLSQCKLGKLARVDSEDETPAILKGNVSPINSVKATSPNQKRVSPPQFGTRLSPNHKGGRKLILKSIPSFPSLNNDLSHEISANYYDSSLDMPSQS >DRNTG_31847.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21414230:21418498:1 gene:DRNTG_31847 transcript:DRNTG_31847.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g59380 [Source:Projected from Arabidopsis thaliana (AT3G59380) UniProtKB/TrEMBL;Acc:B3LF91] MSSDDGEECPPLSQRPEWADVRPVPQDDGPNPVVPIHYRENFREAMDYFRAVYLADERSLRSLNLTSEVIGLNPGNYTVWHFRRLVLEALNADLHEERDYVDRVAERFPKNYQIWHHRRWLAEKLGPKAADKELEFTKKIFTIDAKNYHTWSHRQWVLQALGGWDDELDYCQKLIEDDVFNNSAWNQRYFVITKSPLLGGLQAMRESEVSFAIKAILTNPQNESPWRYLRGLYKGDIEQLLSDNQVPEVCLKVLKSGRNFLFALSMLLDLLCFGFQPTDEFKRIVKGLTNSESSSSDANLATSVCNILETIDPLRANYWAWRRSTLPSIVC >DRNTG_34089.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22969183:22969369:-1 gene:DRNTG_34089 transcript:DRNTG_34089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKACRLISRALQRKLHHNSQQPHNPNVWNKPEKGVLKLNFDEASRRKTKDASVGGVFRNYK >DRNTG_31226.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001676.1:116:16693:1 gene:DRNTG_31226 transcript:DRNTG_31226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPDPYEGLFDQEEDNEEIMILSSMEEVPSTPGILNKVLRRLKRARRRHRKCSKTVGDVREPNNLEPAEFSKYPEKTHGRVELTHARGFVLRAHPEKAQGRGLASVDDHAIITRLWVIFTPAHEVLQRLADFPESTQGHGLVPVSDLVKIARAWVIFTRPCLKQPQRRAAAPVSRAHGHGYLSHTHVFALRRMSPHSRRHEDKRPREPSPELAHIVFMNLEHQARFEWLSELRFGQSRFMDLSVLSGVQRGDELIRELEALMAVGGWQRLLSIREQVFKPLTLEVLASFEFVDDIQFRVFGCQFAMSISDFFSSHGLVRRGIYRNRGV >DRNTG_33260.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22167062:22168855:-1 gene:DRNTG_33260 transcript:DRNTG_33260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRQGHEYLGGFEPSHGHGEGLESSLDYVMLVCCR >DRNTG_28442.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20639566:20642207:-1 gene:DRNTG_28442 transcript:DRNTG_28442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGRKKKEEIAEDYCFICKDGGLLLVCDYKNCLKAYHTSCIGKDDSFLETDKRWTCGWHTCSNCSKSSTFQCFCCPNSFCQACFYGADFVQVKKRTRGFCGNCLKLTTLIEENVDADSDGVFLLFLLLFLFSFLFFIFLPCFYYKIYGIQNTRFSFYPCLFN >DRNTG_28442.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20639566:20642207:-1 gene:DRNTG_28442 transcript:DRNTG_28442.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGRKKKEEIAEDYCFICKDGGLLLVCDYKNCLKAYHTSCIGKDDSFLETDKRWTCGWHTCSNCSKSSTFQCFCCPNSFCQACFYGADFVQVKKRTRGFCGNCLKLTTLIEENVDADSDGVFLLFLLLFLFSFLFFIFLPCFYYKIYGIQNTRFSFYPCLFN >DRNTG_22443.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23438822:23440012:1 gene:DRNTG_22443 transcript:DRNTG_22443.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWVSRKIHLYNVTIGLYMLDWWERCLFNILMLVLLWFICYNGSRFASQIYNGHLMPKFMLGNSGIVGAVPS >DRNTG_23321.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1081851:1088769:-1 gene:DRNTG_23321 transcript:DRNTG_23321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGDTIRAGSMRLNSSSIWRRGDDIFSRSSRDDDDEEALKWAALEKLPTYDRVRRGILTSAAGERKEVDVNNLGIQERTHLLDRLVRVAEEDNEKFLLKLKDRIDRVGLDLPTIEVRYEHLSIDAEAYVGNRGLPSAINFALNAVEALLNFLHVLPNKKRSINILNDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGKLDSELKVTGKVTYNGHGMHEFVAQRTAAYIGQHDLHIGEMTVRETLAFSARCQGVGTRYDMLTELSRREKEANIKPDPDLDVFMKAAAMEGQETSVITDYTLKLLGLDICADTMVGDEMLRGISGGQKKRVTTGEMVVGPARALFMDEISTGLDSSTTYQIVNSIRQSIHILGGTAVISLLQPAPETYDLFDDIILLSDGLIVYQGPRENVLEFFESMGFKCPVRKGVADFLQEVTSRKDQQQYWSRHNEPYRYVPVKEFSEAFLSFHVGKKLERELAEPFDKSKSHPAALTTSKYGVGKMELLKACTDRELLLMKRNSFVYAFRAFQLLLMGIITMTLFFRTNMHRDSTTDGGIYLGALFFSIVMLMFNGFSELGLTIMKLPVFFKQRDYLFYPAWAYALPSWILKIPISFVEVGVWVFINYYVIGFDPSAARLFKQYLLLLVLNQMASGLFRFVSVVGRDLVVANTLSAFTLLIMIILGGFILKHDNIKKWWIWGYWVSPLMYAQNAISTNEFLGHSWSKVLNGSSKALGVEVLESRGMFTEAKWYWIGFAALLGYVVLFNAFFTMALDFLKPLGKNQQSISEETLKEKHLNLTGEMIELSSRGRRSGNTSASGSIVNNSTRQTSSPTDIDSNANKKGMVLPFTPQSITFDEIRYSVDMPEEMKAEGIVEDKLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNITVSGYPKKQETFARISGYCEQNDIHSPYVTVYESLVYSAWLRLPAEVDSKTGKMFIDEVMELVELTPLKEALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGRHSCHLIEYFEGIEGVSKIKDGYNPATWMLEVTTQAQEEILGVNFTDVYKNSELFQRNKALIKELSIPPPGSSDLHFPTKYSQPFIMQCLACLWKQRLSYWRNPAYTAVRFFFTLIIALVFGTVFWDMGKKTGKQQDLINAMGSMYASVLFLGVANSASVQPVVSVERTVFYREKAAGMYSALPYAFGQVAIEFPYVFVQASVYGILVYAMIGFEWTAAKFFWYLFFMYFTLLYFTFYGMMAVGLTPNYNVASIVSSAFYGIWNLFSGFIIPRPRMPVWWRWYFWACPVSWTLYGLVASQFGDLTTTFENSDIRVNQFLKSYFGFRHDFLGVVAVMVVVFTLLFAVLFSLAIRTLNFQRR >DRNTG_21387.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19480835:19483000:-1 gene:DRNTG_21387 transcript:DRNTG_21387.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRITRHSTSRLLLLPFSSHYSPTPPSSHRLHSLQAPTFPLPSPQSIPETACLLVSRAYEDGDRKLRSFQLEITQEQALCIVYLLAEREGSMVALSFFHWAIARSEFRHFMRLFLTTASSLVLRGNLEKAREVMRCMVMCFSEIGRYTEAVDMVLEMRNQGLPLDVHIMNYILRVAVELGFIDHAHQLFDEMPLNGILPDFVTFKTMITGYCNAGCVSDVETLLILVESRGFRIDNVTCTRIVEAFCKKGWHGKVFGVFRKMLDMGLPPNVINYTALIDGLCKRGSVKQAFQVLEEMVGKGMKPNVYTHTTLIDGLCKIGWTERAFRLFLKLVRSESYKPNTFTYTAMIAGYCKERKLGRAEMLLARMQEQGLTPNVNTYTALIDGYCKEGNIEQARGLINIMTKEGCIPNICTYNAVIDGLCNKGRVDEAYRLVKAARRHGLLLDKVSYTILICNHCRRGQTEQALELFNQMVQAGCSPGIHTYTTLISLFCKQRKMEESERLFGECLKLGLVPTKQTYSSMISGYCKDGQVSAGMKLFEKMIKNGCIADSVTYGALISGLCKESRLEEARVLYDAMLDKSFVPCDVTRATLAYEYCKKDQPDNALSILDRLDKKHWIRTANTLVRKLCSEAEVETSSIFLDRILNKDYELDHITYIAFLSACYSRNKYSMASEFSQRISKKVNSSCIQNSPRIT >DRNTG_05782.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000269.1:172501:172864:-1 gene:DRNTG_05782 transcript:DRNTG_05782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEDVSRSSNCYKVSKRAITSACCTDYIEVPWSQSKRTEARG >DRNTG_33776.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:52755:60174:1 gene:DRNTG_33776 transcript:DRNTG_33776.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLPX [Source:Projected from Arabidopsis thaliana (AT5G53350) UniProtKB/TrEMBL;Acc:A0A178USB7] MAADFNVAAAQQGIVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTVVNVPEKGARKHPRGDNIQIDTKDILFICGGAFIDLEKTISERRQDSSIGFGAPVRSNMRTGGLTNAFVTSSLLETVESGDLIAYGLIPEFIGRFPILVSLSALNEDQLVQVLTEPKNALGRQYKKLFQMNDVKLHFTNNALRLIAKKAMAKNTGARGLRSILESVLMEAMYEIPDIKTGKDKIDAVVVDEESIGSSDSRGCGAKILSGEGALEQYLSRQDGKQPGITLEKPEGEAEVEPELLASGAANM >DRNTG_30297.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5879651:5882052:-1 gene:DRNTG_30297 transcript:DRNTG_30297.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLVQLQSKAKHASQFVAKHGCEYYKQLMEKNKQYVVEPPTIEKCQELSKQLFYTRLASIPGRYESFWKELDGVKNIWRNRHELKVEDAGIAALFGIELYAWFCVGEIVGRGFTFTGYYV >DRNTG_30297.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5879651:5882052:-1 gene:DRNTG_30297 transcript:DRNTG_30297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLVQLQSKAKHASQFVAKHGCEYYKQLMEKNKQYVVEPPTIEKCQELSKQLFYTRLASIPGRYESFWKELDGVKNIWRNRHELKVEDAGIAALFGIELYAWFCVGEIVGRGFTFTGYYV >DRNTG_26205.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17327809:17333092:-1 gene:DRNTG_26205 transcript:DRNTG_26205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYKANNRRLSAKRASNLTKYMQSAYYIYI >DRNTG_18141.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:566645:568583:-1 gene:DRNTG_18141 transcript:DRNTG_18141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKGVELNEYYCSSSRLCLCRICHEEEDESSTSMETPCSCSGTLKFAHRGCIQRWCEEKGSIVCEICLQKFEPGYTVPPKKALVDVPVTIRGSLEVPRLNYDPSSDEEDEYPACTEAAERSFSYCRSIALMFTLFLLFRHLVVALSITADHYALTVLMVFALRATGILLPFYLMMRIITFLQQQQQRHFEGADESSSMQEMEADESRDHVIDIDS >DRNTG_18141.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:566645:568583:-1 gene:DRNTG_18141 transcript:DRNTG_18141.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKGVELNEYYCSSSRLCLCRICHEEEDESSTSMETPCSCSGTLKFAHRGCIQRWCEEKGSIVCEICLQKFEPGYTVPPKKALVDVPVTIRGSLEVPRLNYDPSSDEEDEYPACTEAAERSFSYCRSIALMFTLFLLFRHLVVALSITADHYALTVLMVFALRATGILLPFYLMMRIITFLQQQQQRHFEVSSQACISEKYL >DRNTG_14600.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7111300:7111949:-1 gene:DRNTG_14600 transcript:DRNTG_14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKATRPVGISDSSTKGADVKAPSASKQAPKKTESKASESKKAKGGKSSAKKK >DRNTG_01160.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23495777:23499230:1 gene:DRNTG_01160 transcript:DRNTG_01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLFLNIARPLKDTSMKRSFKEKYCSKVLFTCQHGNSCSPHGRVENPHGRGS >DRNTG_09463.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21335073:21338007:1 gene:DRNTG_09463 transcript:DRNTG_09463.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGVSCSRSSYEHEFFTAVQSGDLELVELALRRDPEMVFQTTIYDRLSALHIAAANGRVEVICMILDQSGNPDLLNRHKQTPLMLAAMHGKVACVHKLLQAGANILMFDSLHGRTCLHYAAYYGHSDCLQVILDAARSSPVTDSWGFTRFVNVRDDTGATPLHLAARHRRPNCVHILLENGALSCASTGGYGFPGSTPLHFAARGGCLDCVRELLVWGADRLQRDSSGRIPFMIALKKKHGRCATLLNPSSPGPLVWPSPLKFISELDQEAKLLLEAALMEANREREKSILKGAAYSVQSPIHSDDGTDDNVSEVFHSPLHKVTINIIEHVSSGCYP >DRNTG_09463.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21335073:21338007:1 gene:DRNTG_09463 transcript:DRNTG_09463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGVSCSRSSYEHEFFTAVQSGDLELVELALRRDPEMVFQTTIYDRLSALHIAAANGRVEVICMILDQSGNPDLLNRHKQTPLMLAAMHGKVACVHKLLQAGANILMFDSLHGRTCLHYAAYYGHSDCLQVILDAARSSPVTDSWGFTRFVNVRDDTGATPLHLAARHRRPNCVHILLENGALSCASTGGYGFPGSTPLHFAARGGCLDCVRELLVWGADRLQRDSSGRIPFMIALKKKHGRCATLLNPSSPGPLVWPSPLKFISELDQEAKLLLEAALMEANREREKSILKGAAYSVQSPIHSDDGTDDNVSETSESELCCICFDQVCTIEVQACGHQMCAHCILSLCCHNKPDPTTLCVPAPLCPFCRSDIARLVVVKTKTLDDGGADTPSRSRRSRRSRNLSEGSNSFKGLSTLGSFTKMTGRGSGLKADSSDTTDKP >DRNTG_17978.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5502331:5524164:1 gene:DRNTG_17978 transcript:DRNTG_17978.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSLVDNNCLHPPIRTRSSTSSTNSSPCCKSLNMLMSRNRVCPNRSLDRRSKRA >DRNTG_15070.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6124929:6125561:-1 gene:DRNTG_15070 transcript:DRNTG_15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNNEQNKDKALKKEDMTPFPSRLSSSPSSICLTLFPLCLQLFIDTFKMCGEA >DRNTG_12988.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24775721:24778170:-1 gene:DRNTG_12988 transcript:DRNTG_12988.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to TaWIN2 [Source: Projected from Oryza sativa (Os08g0480800)] MAVTSREDYVYMAKLAEQAERYEEMVEFMEKVVASATDGEELSVEERNLLSVAYKNVIGARRASWRIVSSIEQKEDTRGNAQHVAAIRECRSQIETELSNTCAQILTLLDSSLVPSASGSDSKVFYLKMKGDYHRYLAEFKTGSDRKDAAENTLSAYKAAQDIAVQELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKRAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGTDEIKETTKPEDES >DRNTG_25980.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3529348:3532928:-1 gene:DRNTG_25980 transcript:DRNTG_25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNIDSPVQTQMAVEVLNRSFSSDYHGSRTREEKHVGRRRVFVQTETGSVLGIELDRGDNVHTVKRRLQLALNVPTEETSLTYGDLVLKNDLSAIRNDSPLLLTRNHLHRSSSTPCLSPTGKDLQQRDWSGPIEILGCSSRCSRMKQLVKDVVKAIKSGVDPVRVNGGLGGAYYFRNSGGENVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAYLLDYDHFANVPPTILVKITHSVFHVNEGVDCSKVIRKKRRATSKIASFQQFIPHDFDASDHGTSSFPVAAIHRIGILDVRILNTDRHSGNLLVRKVEGRDGMFGSQVELIPIDHGLCLPENLEDPYFEWIHWPQASIPFSDDELNYIARLDPMKDSDMLRMELPMIREACLRVLILCTVFLKEAAASGFCLAEIGEMMSREIRGIEEEPSELEVICIEARNLVAEPEVYLSETGSEHEDETQFLIECTEEVEQVTPSTPRSYISKLESLEEEDENYYNEESNNTNEITHGISMSLNGLSLVEKKVQGDISSTKTNRRSANEQLPSSQSFVKLADMNEEEWGAFLDKFQELLYTVLRNRKSNNVNQRQRQRLGTSCQF >DRNTG_09896.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29278634:29279064:1 gene:DRNTG_09896 transcript:DRNTG_09896.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSDQGYTDGEDTWGGVQGRVRVHNV >DRNTG_09896.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29276992:29279064:1 gene:DRNTG_09896 transcript:DRNTG_09896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKGFSDEYLIFHHEMIGFLDILSLIFLRRKLTSYKFVEASNATSLKFNNVRADWVSALILLLQKFLGFIKKPLKFTGIAVEYLLNLVSINGGIWSLIKNLATFSVKWPTPNSKEYRSFIGHIDGRTELHRSVPVSIYFQLADPEIGLNEDINHTDLFAMAAKLAYENEAYIREVVTESWKMNFVAYFDCWNESLQAKTTQAFMFTDKLLNTPLIIIAFRGTQPFDADDWSTDIDLSFLSLGKMGNVHAGFLHALGFKSSPTKRSTELEDQTQDLVRPLAYNTIRDSLKAQLLVHQNAKIIITGHSLGGALAVLFPALLEYNQETTLLNSMQAVFTFGQPRVGDETFAKYMESTAWLNYNRMVYRFDIVPRIPPDYSPISLFKHFGNCYYYDGWYKFEKMEDVPNPNYLDLTFWPTMYANAWGDLIKGTQMGKTHGEEYKEGFVSIMFRAFGLIIPGVASHSPRDYVNAARLGQTSYNKL >DRNTG_23769.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14324295:14325024:-1 gene:DRNTG_23769 transcript:DRNTG_23769.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNINVMLSLLFLLVIVQFGSCIWDAEELNMEMDSEINKRMLWMMQKKRYISYEALKSNVVPCTRPGAPYYNCHGMSRANPYTRGCNIITRCSRDANP >DRNTG_23769.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14324406:14325024:-1 gene:DRNTG_23769 transcript:DRNTG_23769.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNINVMLSLLFLLVIVQFGSCIWDAEELNMEMDSEINKRMLWMMQKKRYISYEALKSNVVPCTRPGAPYYNCHGMSRANPYTRGCNIITRCSRDANP >DRNTG_23769.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14324295:14324966:-1 gene:DRNTG_23769 transcript:DRNTG_23769.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNINVMLSLLFLLVIVQFGSCIWDAEELNMEMDSEINKRMLWMMQKKRYISYEALKSNVVPCTRPGAPYYNCHGMSRANPYTRGCNIITRCSRDANP >DRNTG_23769.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14324406:14325079:-1 gene:DRNTG_23769 transcript:DRNTG_23769.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNINVMLSLLFLLVIVQFGSCIWDAEELNMEMDSEINKRMLWMMQKKRYISYEALKSNVVPCTRPGAPYYNCHGMSRANPYTRGCNIITRCSRDANP >DRNTG_23769.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14324406:14324966:-1 gene:DRNTG_23769 transcript:DRNTG_23769.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNINVMLSLLFLLVIVQFGSCIWDAEELNMEMDSEINKRMLWMMQKKRYISYEALKSNVVPCTRPGAPYYNCHGMSRANPYTRGCNIITRCSRDANP >DRNTG_27338.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17083860:17089234:1 gene:DRNTG_27338 transcript:DRNTG_27338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMACVSLNPSCPTSFPGKPARISISKLPFTIYFPRKSSSRVRFRSTSLIAVARYGGGSRRSFPDVRSPDVDYDPALDLDRIQSTSVRLLDEEQNMVGVVSKSEAIRMANNSELVLAILSADADPPVLRLFDVLDYKKYKYGQQKKKRVQQKRSAANRMDLKELKMGYNIDSHDYSVRLRAAQRILKDGDKVKVIVNLKGRENEFRNIAIELIKRFQNDIGELATEESQNLRDRNIFIVLVPNKAVIQKEEAKKKETPVSEVSANV >DRNTG_30221.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:116945:123039:1 gene:DRNTG_30221 transcript:DRNTG_30221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGLFEEFSSWSMAMALVFLFLLSWLILRFFSSRLLRSRNLHSLRIETPSPNGNPSMGICSIISDDDLRNFMRDLEGTFNGETWENVVEKKNGLVFYSAKCCRPRDGGPLKYLSFTRFEKCCTELLRDFYMDNEYRKQWDKTVIFHNQLQVNEISGTEVGRTIKKFPLFTPREYVLAWRVWEGHDKTFYCFIKDCEHPLAPWQKKFVRVQNYRSGWRIRKVPGNDACEITMVHQEDAGMNIEMAKMAFSRGIWNYMCKMNHALREYSSRNRSRSASVASMRKLIQKVPSGWEAEAGSTSQGLSGGSVARQSVRVDASSGVETISRKSSKKWIRRLLVIGGIVCLSRGQPSLGTSLAMACILKKITKQSPASGQVTPTPALQSRGERGRRG >DRNTG_30694.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1810526:1811135:-1 gene:DRNTG_30694 transcript:DRNTG_30694.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQADDKGEEEKTVPKKEDDKEKKVEESSGAKESPTGSRQSKKEKLRHGIGRLTMKAKEPRTLMVNVVTAVILLIIGAAIYMRHKMRESGQVDNYEAL >DRNTG_25026.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19588855:19597338:-1 gene:DRNTG_25026 transcript:DRNTG_25026.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRVKQWILGSYVKVKCVASGQRSQSQVIKGWVFKKNTAHKHMPTKYKNPRLLLLKGVLGHSATSLSSFVSMEQERDSLKSIIEMIETCQPNVVLVEKTVSRDIQESLLTKGITLAFDMKLTRLERIARCTGSEIISSADIIMKPKLKQCEYFHIEKFTEDYNCSGEGGRRPIKTLMFLEGFSKPLGCTILLRGAPSDELKKIKRVIHYTVFAAYHLILETSFFADQRAFFSDLNVEVNKSLSDNQNSGGYAVEPYSSPSDIEASAGSIAPPVLEIQVTDGSPESFLSEGEHLISNLDAKNPSLPGFGPGFDTTQNLKDRDVFFKPNSGEVQQMDAFGQHLSGRLLSSVSGSLRRYLGGGFPPLTSDSVLPYIGFKDEVNHQSIDIMPVSPSPQTLSHEIKANGKISQLKSDDEVDKSEKMGFSSDSIRPFGNCMSGEGNKVGVQNRDDIESVLDPQSILVLLSSQCISKRTVCEQSHLSRIKYYGNFDVSLGRFLQDILLSQKHSCSACGEPPEGHIYRYTHQNGNITVLVKRLSSKLTLSGEDEGKIWMWTRCLRCEHESGIPRTSQRVVMSTAACGLSFGKFLELSFSSHSAANRLSRCGHSLHRDCLRFFGLGSKVAMFRYSSVEIYAACKPPPVIDFSNTKGLEWLQREAKDMLLKGDKFFLEVRDSLEKLRTRFSSSLMKQSLSLGSVKEFHEIEEMLVHDKADFEATLVRTTNCGGQIGRNGHEIVDVSWLSQELLLLLYVWDHRLNSLRMYIQDGNANHEPDHEFSKEDVDATSSSSRVKTSASESDGKGLEVSVQVPIDVVESLAPNGDLYPHSIRTASEFDSAENSGNTTITVSTSNLSGDSLEGPASLVDHDISSKPYELSSVTVPILDPMQVDLSNSSVKEPMRHIEKIDGSGLKTEETEAGGLLSATSLPKEQHFGSSYKSFSFRAEGPEGWVWSSFSELQKELRKDLHGGYLQKFSFIYSFRPLYLSPIGDMIYRDMDKLHFPVGLGGNVISVCEDEISSIIACALALSESQCGLLGSADNMESWDCKGEADKSVDSTYGLKSDISTISYWSSTGSIDERMRTSHSYSSLISDELSASISDVLSSADRMLASDNQHPEIPVGVGKVAGKSKYSVVCINAKQFYDLRKRCCPSELAYISSLSRCKKWDAQGGKSKAFFAKSMDERFIIKQIKKTELDSFLKFAPDYFNHITGSLDSGSQTCLAKILGIYQVRQNRSGKEIKIDLMVMENLLFGRNISRTYDLKGAVFSRYISDTNDSEKVLLDQNFVEDMCVSPIYVGGRTKHLLQRAIWNDTSFLTSINVMDYSLLVGVDKQRRELVFGIIDYLRQYTWDKQLETWVKTSLVVPKNALPTVISPKEYKKRFRNFMTKYFLTVPDTWCQEKCSGPCRFCSDSCRNASFSESVKLPER >DRNTG_25026.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19588855:19597338:-1 gene:DRNTG_25026 transcript:DRNTG_25026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRVKQWILGSYVKVKCVASGQRSQSQVIKGWVFKKNTAHKHMPTKYKNPRLLLLKGVLGHSATSLSSFVSMEQERDSLKSIIEMIETCQPNVVLVEKTVSRDIQESLLTKGITLAFDMKLTRLERIARCTGSEIISSADIIMKPKLKQCEYFHIEKFTEDYNCSGEGGRRPIKTLMFLEGFSKPLGCTILLRGAPSDELKKIKRVIHYTVFAAYHLILETSFFADQRAFFSDLNVEVNKSLSDNQNSGGYAVEPYSSPSDIEASAGSIAPPVLEIQVTDGSPESFLSEGEHLISNLDAKNPSLPGFGPGFDTTQNLKDRDVFFKPNSGEVQQMDAFGQHLSGRLLSSVSGSLRRYLGGGFPPLTSDSVLPYIGFKDEVNHQSIDIMPVSPSPQTLSHEIKANGKISQLKSDDEVDKSEKMGFSSDSIRPFGNCMSGEGNKVGVQNRDDIESVLDPQSILVLLSSQCISKRTVCEQSHLSRIKYYGNFDVSLGRFLQDILLSQKHSCSACGEPPEGHIYRYTHQNGNITVLVKRLSSKLTLSGEDEGKIWMWTRCLRCEHESGIPRTSQRVVMSTAACGLSFGKFLELSFSSHSAANRLSRCGHSLHRDCLRFFGLGSKVAMFRYSSVEIYAACKPPPVIDFSNTKGLEWLQREAKDMLLKGDKFFLEVRDSLEKLRTRFSSSLMKQSLSLGSVKEFHEIEEMLVHDKADFEATLVRTTNCGGQIGRNGHEIVDVSWLSQELLLLLYVWDHRLNSLRMYIQDGNANHEPDHEFSKEDVDATSSSSRVKTSASESDGKGLEVSVQVPIDVVESLAPNGDLYPHSIRTASEFDSAENSGNTTITVSTSNLSGDSLEGPASLVDHDISSKPYELSSVTVPILDPMQVDLSNSSVKEPMRHIEKIDGSGLKTEETEAGGLLSATSLPKEQHFGSSYKSFSFRAEGPEGWVWSSFSELQKELRKDLHGGYLQKFSFIYSFRPLYLSPIGDMIYRDMDKLHFPVGLGGNVISVCEDEISSIIACALALSESQCGLLGSADNMESWDCKGEADKSVDSTYGLKSDISTISYWSSTGSIDERMRTSHSYSSLISDELSASISDVLSSADRMLASDNQHPEIPVGVGKVAGKSKYSVVCINAKQFYDLRKRCCPSELAYISSLSRCKKWDAQGGKSKAFFAKSMDERFIIKQIKKTELDSFLKFAPDYFNHITGSLDSGSQTCLAKILGIYQVRQNRSGKEIKIDLMVMENLLFGRNISRTYDLKGAVFSRYISDTNDSEKVLLDQNFVEDMCVSPIYVGGRTKHLLQRAIWNDTSFLTSINVMDYSLLVGVDKQRRELVFGIIDYLRQYTWDKQLETWVKTSLVVPKNALPTVISPKEYKKRFRNFMTKYFLTVPDTWCQEKCSGPCRFCSDSCRNASFSESVKLPER >DRNTG_25026.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19588855:19594583:-1 gene:DRNTG_25026 transcript:DRNTG_25026.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKYKNPRLLLLKGVLGHSATSLSSFVSMEQERDSLKSIIEMIETCQPNVVLVEKTVSRDIQESLLTKGITLAFDMKLTRLERIARCTGSEIISSADIIMKPKLKQCEYFHIEKFTEDYNCSGEGGRRPIKTLMFLEGFSKPLGCTILLRGAPSDELKKIKRVIHYTVFAAYHLILETSFFADQRAFFSDLNVEVNKSLSDNQNSGGYAVEPYSSPSDIEASAGSIAPPVLEIQVTDGSPESFLSEGEHLISNLDAKNPSLPGFGPGFDTTQNLKDRDVFFKPNSGEVQQMDAFGQHLSGRLLSSVSGSLRRYLGGGFPPLTSDSVLPYIGFKDEVNHQSIDIMPVSPSPQTLSHEIKANGKISQLKSDDEVDKSEKMGFSSDSIRPFGNCMSGEGNKVGVQNRDDIESVLDPQSILVLLSSQCISKRTVCEQSHLSRIKYYGNFDVSLGRFLQDILLSQKHSCSACGEPPEGHIYRYTHQNGNITVLVKRLSSKLTLSGEDEGKIWMWTRCLRCEHESGIPRTSQRVVMSTAACGLSFGKFLELSFSSHSAANRLSRCGHSLHRDCLRFFGLGSKVAMFRYSSVEIYAACKPPPVIDFSNTKGLEWLQREAKDMLLKGDKFFLEVRDSLEKLRTRFSSSLMKQSLSLGSVKEFHEIEEMLVHDKADFEATLVRTTNCGGQIGRNGHEIVDVSWLSQELLLLLYVWDHRLNSLRMYIQDGNANHEPDHEFSKEDVDATSSSSRVKTSASESDGKGLEVSVQVPIDVVESLAPNGDLYPHSIRTASEFDSAENSGNTTITVSTSNLSGDSLEGPASLVDHDISSKPYELSSVTVPILDPMQVDLSNSSVKEPMRHIEKIDGSGLKTEETEAGGLLSATSLPKEQHFGSSYKSFSFRAEGPEGWVWSSFSELQKELRKDLHGGYLQKFSFIYSFRPLYLSPIGDMIYRDMDKLHFPVGLGGNVISVCEDEISSIIACALALSESQCGLLGSADNMESWDCKGEADKSVDSTYGLKSDISTISYWSSTGSIDERMRTSHSYSSLISDELSASISDVLSSADRMLASDNQHPEIPVGVGKVAGKSKYSVVCINAKQFYDLRKRCCPSELAYISSLSRCKKWDAQGGKSKAFFAKSMDERFIIKQIKKTELDSFLKFAPDYFNHITGSLDSGSQTCLAKILGIYQVRQNRSGKEIKIDLMVMENLLFGRNISRTYDLKGAVFSRYISDTNDSEKVLLDQNFVEDMCVSPIYVGGRTKHLLQRAIWNDTSFLTSINVMDYSLLVGVDKQRRELVFGIIDYLRQYTWDKQLETWVKTSLVVPKNALPTVISPKEYKKRFRNFMTKYFLTVPDTWCQEKCSGPCRFCSDSCRNASFSESVKLPER >DRNTG_31339.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4172706:4176310:1 gene:DRNTG_31339 transcript:DRNTG_31339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVLETRGKQQQVDVHYMNAPVSCVVEEDFLPLPEVLQEQETVYESSQREANMARASSSSDTRSDPGHGQSSGEGSSSGTMNIEAQLAMDEALARELQEMEDDIAGFSIGGITVTESDISTNNSSSSAASGQNTSNASEQVERQDDVDPDNMTYEELQSLGEAIGTESRGLSDELIGFLPSSTYKTGFFSRKEKQECVICRLAYKKRDELITLPCQHIYHSHCVSRWLKINKACPICNEEVFG >DRNTG_31339.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4172706:4176310:1 gene:DRNTG_31339 transcript:DRNTG_31339.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVLETRGKQQQVDVHYMNAPVSCVVEEDFLPLPEVLQEQETVYESSQREANMARASSSSDTRSDPGHGQSSGEGSSSGTMNIEAQLAMDEALARELQEMEDDIAGFSIGGITVTESDISTNNSSSSAASGQNTSNASEQVERQDDVDPDNMTYEELQSLGEAIGTESRGLSDELIGFLPSSTYKTGFFSRKEKQECVICRLAYKKRDELITLPCQHIYHSHCVSRWLKINKACPICNEEVFG >DRNTG_28479.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:443107:444582:1 gene:DRNTG_28479 transcript:DRNTG_28479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLLFPSSTNNINNNNNNSNNISIQYQLQQLLQSQSQPQPWSHAIIWSSSPDLLLLSWHAGIFIPNNTNSNSTNINGSNNSTIIDINYNSSSNCSKTAGRYSNSNETMVDDAEWFYIISSNRSFHCNDLNTLPSRSFSTSTSIWLSGSHHLQSASSCPRAADARFHGINTLVYIPLPIFSSVLELASPVVIPRDSLFIQQAQFSIFLSTSPNTLSVISPPHPPSQGPSPSPSPLQAQAQAQQAQQVQQATVAIVTSLKKESSSSDHYDSDQHQPRRRKRDRQDGIPVNHVEAERQRREKLNHRFYALRSVVPNVSRMDKASLLADAVTYINELRNRVSELEAHEQQQHQQQQQQPSTIITPMTFKKENNNNNNNNMDQSMSSITSSATSACNIINGGGGVGRMDLEVRLIGQEAVIRAQSCTGGHPAARLMNAMSELELQVSHASVTKVKELMLQDVVVTVPHGLQREDVLTAALLSKLDTHHHQQQHQ >DRNTG_11959.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000523.1:23019:25296:1 gene:DRNTG_11959 transcript:DRNTG_11959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFREATGACGCPCGSGTWAWVFSACLCESSQS >DRNTG_13704.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5348220:5350898:-1 gene:DRNTG_13704 transcript:DRNTG_13704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRMLGLENPLFSTLQHLVEIPEEMEKALSNAPSRAYVRDAKAMAATPADVKELPGKYVFEVDMPGVKSGDINVQVEENVLVISGERKREEDKEGKYLRMERRVGKFMRKFSLPENADTEKVAAVCKDGVLTVTVEKLPPPEPKKPKTIEVKIA >DRNTG_13704.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5348191:5349047:-1 gene:DRNTG_13704 transcript:DRNTG_13704.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRMLGLENPLFSTLQHLVEIPEEMEKALSNAPSRAYVRDAKAMAATPADVKELPGKYVFEVDMPGVKSGDINVQVEENVLVISGERKREEDKEGKYLRMERRVGKFMRKFSLPENADTEKVAAVCKDGVLTVTVEKLPPPEPKKPKTIEVKIA >DRNTG_13704.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5348220:5349179:-1 gene:DRNTG_13704 transcript:DRNTG_13704.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRMLGLENPLFSTLQHLVEIPEEMEKALSNAPSRAYVRDAKAMAATPADVKELPGKYVFEVDMPGVKSGDINVQVEENVLVISGERKREEDKEGKYLRMERRVGKFMRKFSLPENADTEKVAAVCKDGVLTVTVEKLPPPEPKKPKTIEVKIA >DRNTG_13704.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5348220:5349047:-1 gene:DRNTG_13704 transcript:DRNTG_13704.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRMLGLENPLFSTLQHLVEIPEEMEKALSNAPSRAYVRDAKAMAATPADVKELPGKYVFEVDMPGVKSGDINVQVEENVLVISGERKREEDKEGKYLRMERRVGKFMRKFSLPENADTEKVAAVCKDGVLTVTVEKLPPPEPKKPKTIEVKIA >DRNTG_18678.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2139556:2139905:-1 gene:DRNTG_18678 transcript:DRNTG_18678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDYGQFHGTDYNVTHRLRILVTRFSSVLDGRVVLSINFRESPVKVVIEDLDGYTVYIGTGCGDLASFDMRTGVLGQALQSYSDDIMN >DRNTG_29746.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001506.1:1554:2959:1 gene:DRNTG_29746 transcript:DRNTG_29746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVKRSQENSLQGGHEFKTEIELLTRVHHKNLVNLVGFCFDQGEQMLVYEYLPNGTLKESLSGMSVIRLDWKKRLWIALDAARGLSYLHYLADPPIVHRDIKSNNILLDSHLHAKVADFGLSKPMADDRKGHVTTQVKGTMGYLDPEYYMTQLLTEKSDVYSFGVLLLELITARKPIERNKYIVREVKAAIDRKKELYGLGQLVDSTIGLSNTLAGFNRFVDLALMCVEESGTDRPTMSEVVKQIESIMQLAGINPNPESASTSASYDDSSRGHLYGNEALVNYSGAIHSPRSDTK >DRNTG_29746.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001506.1:2288:2959:1 gene:DRNTG_29746 transcript:DRNTG_29746.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLLTEKSDVYSFGVLLLELITARKPIERNKYIVREVKAAIDRKKELYGLGQLVDSTIGLSNTLAGFNRFVDLALMCVEESGTDRPTMSEVVKQIESIMQLAGINPNPESASTSASYDDSSRGHLYGNEALVNYSGAIHSPRSDTK >DRNTG_00576.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29996397:29998061:1 gene:DRNTG_00576 transcript:DRNTG_00576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGLQFKKLKDRKNPKWLGSLLKKKFFRVCEDHRSARKSEMNIYCIDCDLCMCSHCLVSPARPSGGHRSHKILQIRRYIYQDVIRILDMQSLLDCSGVQPYTVNNAKVVLLRRRKQSKPSRASTSCEMCGRAITDPNRYCSIACKVSKGPTKMVPSSPSISSLDGDHEAAEEISCCVSSIEPQPSDSSEVAMRRKINDRKGIPKRAPLF >DRNTG_30857.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001636.1:40813:41315:-1 gene:DRNTG_30857 transcript:DRNTG_30857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHYSKYCSSTVHSRPRNQRNRESTRACGNYPRPCGNSARARVPSTPVESPDSSPI >DRNTG_30343.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23233224:23235434:-1 gene:DRNTG_30343 transcript:DRNTG_30343.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSPTPAKSVLPSHSSHCSRPSSFSSSSSSWISSPYNISNGSIGSSNSRHGGSRLRIISRICDRVPWKNQGGTCSSSSTSSGSSACNGNGGFGFVLGERVEEKRRREKAARVVICGAGAGGIGREEEAIRIPERAKVVALVSLVMCLCNADRVVMSVAVVPLAAKYGWSSSFLGIVQSSFLWGYLFSSVAGGALADRYGGKRVMAWGVALWSLATFLTPWAADHSTAMLLAVRALFGLAEGVALPSMNTLLSRWFPCHERASAVGLSMAGFHLGNVISFLATPVIMSSIGLAGAFSLFASLGFMWLSLWVNGVTNDPGDSPLISTYELQLIRAGKTDVSSSSGSKFPPLRHLLSKLPTWAIIFANVTNNWGYFVLLSWMPVYFKTV >DRNTG_30343.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23232035:23235434:-1 gene:DRNTG_30343 transcript:DRNTG_30343.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSPTPAKSVLPSHSSHCSRPSSFSSSSSSWISSPYNISNGSIGSSNSRHGGSRLRIISRICDRVPWKNQGGTCSSSSTSSGSSACNGNGGFGFVLGERVEEKRRREKAARVVICGAGAGGIGREEEAIRIPERAKVVALVSLVMCLCNADRVVMSVAVVPLAAKYGWSSSFLGIVQSSFLWGYLFSSVAGGALADRYGGKRVMAWGVALWSLATFLTPWAADHSTAMLLAVRALFGLAEGVALPSMNTLLSRWFPCHERASAVGLSMAGFHLGNVISFLATPVIMSSIGLAGAFSLFASLGFMWLSLWVNGVTNDPGDSPLISTYELQLIRAGKTDVSSSSGSKFPPLRHLLSKLPTWAIIFANVTNNWGYFVLLSWMPVYFKTVYNVNLKQAAWFSAVPWGMMAISGYIAGAASDSLIASGYPVTRVRKIMQSIGFIGPALALLCLKYAQTPNAAAFLMTVALSFSSFSQAGFLLNMQDIAPRYAGFLHGITNSVGTLAAIISTIGTGYFVQWLGSFQAFLTLTSALYFITTIFWNLFATGEQVFY >DRNTG_33449.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3043968:3045015:1 gene:DRNTG_33449 transcript:DRNTG_33449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTRRFLKISRSWHHQNAEEQSTMALSSFNLKVPSFSLRLLTASCKAMETYNVQGKFYRGLAALAMETHSSMISYLTIALGEAASLILTVVTGVVGTGSVILATLLVDRLSRRELFLIGKVMAPQLGDNGGMSRSYTWIVLVVICVYIAGFGLSWEPLAWLVPSEIFRALWLASALCSSSSLLRVFTLCFAISCLGFSSSSKVGC >DRNTG_17488.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6618951:6625184:-1 gene:DRNTG_17488 transcript:DRNTG_17488.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRDEYNQLLYACCVPIAASSSQVIKFGEKVTVLCSINEIQPLFPAILKPKPLWTGKSFLQFSAMSQEAGSLLLLKKMGESQKNILERILLNTSCLY >DRNTG_17488.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6618951:6625184:-1 gene:DRNTG_17488 transcript:DRNTG_17488.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDDCVQALAQHACIQPLVTLAVWKGLLKENKGFFHSYLHEISPRH >DRNTG_17488.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6618951:6625184:-1 gene:DRNTG_17488 transcript:DRNTG_17488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRDEYNQLLYACCVPIAASSSQVIKFGEKVTVLCSINEIQPLFPAILKPKPLWTGKSFLQFSAMSQEAGSLLLLKKMGESQKNILERILLNTSCLY >DRNTG_17488.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6618951:6624646:-1 gene:DRNTG_17488 transcript:DRNTG_17488.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHHSQLPCLQCHPQSYIAYIRMVQHLIERCLILRMNRDDCVQALAQHACIQPLVTLAGIFIYLFIYLFIYFLS >DRNTG_12778.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000572.1:15546:16622:1 gene:DRNTG_12778 transcript:DRNTG_12778.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEVKERKTWDAFVNWRDTRRGRTGSTERSNEKVSEGKPRRKREARKERQLSLWVGMATGTEEEERVFAVERKRIGEGMEGAARVSE >DRNTG_12778.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000572.1:15525:16523:1 gene:DRNTG_12778 transcript:DRNTG_12778.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEVKERKTWDAFVNWRDTRRGRTGSTERSNEKVSEGKPRRKREARKERQLSLWVGMATGTEEEERVFAVERKRIGEGMEGAARVSE >DRNTG_12778.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000572.1:15546:16523:1 gene:DRNTG_12778 transcript:DRNTG_12778.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEVKERKTWDAFVNWRDTRRGRTGSTERSNEKVSEGKPRRKREARKERQLSLWVGMATGTEEEERVFAVERKRIGEGMEGAARVSE >DRNTG_12778.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000572.1:15446:16523:1 gene:DRNTG_12778 transcript:DRNTG_12778.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEVKERKTWDAFVNWRDTRRGRTGSTERSNEKVSEGKPRRKREARKERQLSLWVGMATGTEEEERVFAVERKRIGEGMEGAARVSE >DRNTG_12778.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000572.1:15546:16622:1 gene:DRNTG_12778 transcript:DRNTG_12778.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEVKERKTWDAFVNWRDTRRGRTGSTERSNEKVSEGKPRRKREARKERQLSLWVGMATGTEEEERVFAVERKRIGEGMEGAARVSE >DRNTG_12778.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000572.1:15525:16622:1 gene:DRNTG_12778 transcript:DRNTG_12778.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEVKERKTWDAFVNWRDTRRGRTGSTERSNEKVSEGKPRRKREARKERQLSLWVGMATGTEEEERVFAVERKRIGEGMEGAARVSE >DRNTG_12778.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000572.1:15525:16622:1 gene:DRNTG_12778 transcript:DRNTG_12778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEVKERKTWDAFVNWRDTRRGRTGSTERSNEKVSEGKPRRKREARKERQLSLWVGMATGTEEEERVFAVERKRIGEGMEGAARVSE >DRNTG_12778.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000572.1:15525:16523:1 gene:DRNTG_12778 transcript:DRNTG_12778.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEVKERKTWDAFVNWRDTRRGRTGSTERSNEKVSEGKPRRKREARKERQLSLWVGMATGTEEEERVFAVERKRIGEGMEGAARVSE >DRNTG_33170.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23431248:23432181:1 gene:DRNTG_33170 transcript:DRNTG_33170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAVEAAEQGLIVPKAEPMEMMTGLPVIRRPEGPRTKDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIRWLLQHAEPAIIAATGTGIVPAIATTVGGTLKIPTQAPNSSTTVADESAKKQRRTKLQPSRAGSSAATTVAAGYYQSNQEHQQLGGAVAPQGVVQMWALGGAGAGAIWMLPPAATAIAGSSVQQAHIWAFPPGPQMFNLAAARPITGAGAASAIYAGLSTDSSAAAGKQELQLMGETTEDGRNQKEKPPEDVPSAATEDNESEEKHSSLA >DRNTG_00312.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2171653:2175381:1 gene:DRNTG_00312 transcript:DRNTG_00312.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIRWPPEDSDLFPARPAGATVTELISDDDRSVAADSWSIKSDYGSTLDDEQRHVDAAEVLSASNFPAASDYSSDKEEPDANEVEPSMLGLQSYWDATYAEDLVNFHEHGHAGEIWFGAEVMDVVVSWTKNLCANMSSAKDAAEGSSSNSESGETVGDLSTWSVLDVGTGNGLLLQELAKQGFSDLTGIDYSEAGIELAHNLAVRDGFSGINFVAADVLDAKLDRKYQLVMDKGTLDAIGLHPDGPVKRMMYWESVANMVASGGLLVITSCNSTKDELLQEVDNFNKRFGIQDQVQEGGTGPADVFRYVDHVRTFPTIIFGGVEGSRVSTVAFVRN >DRNTG_02205.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1289259:1290452:1 gene:DRNTG_02205 transcript:DRNTG_02205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSIPHLFLCPISLDLLTDPVTLSTGQTYDRLSIERWLAGGNLTCPVTMQRLQDTTLVPNHTLRHLIDQWLLTDCLTSNDKSCNFSLGTLKLNLQSSDTSLNVKLESVKKVRILSMESDTGQVCLIQLGFFPLLLQLILEASHEFNDDFQLVEVALDCILSLSPSSSSSSSSSSHLEFLNILKKDQNLVSLLHLLEQGNGRVKTSICYLIERIASSPVTLDLCSVIGQSQKVLQVLVSLITDADSQASEAAVRALSGICSLEANRSNAIKEGATDNLVAYLSGSKRRNATQALSAIEILSAMDEGKKMLIKNRNAVKILVKMLFKVSSDHQGSEHAVSALLLLCRESPRVRSEAVIAGALTQLLLLLQSQCSAKAKSKARALLKLLSCTCHSYHSM >DRNTG_31654.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:918600:927557:1 gene:DRNTG_31654 transcript:DRNTG_31654.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADQVHHPSVIQKVAGQYQISSGFSRDLKACQSFYAPSLYARRFTTGNYVNGALQNPLMQPYKATCDLSMVPSVSPVFAHAPAEKGFASFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGISDCFARTIKDEGFASLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDSKAAKKGGERQFNGLIDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGSLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSFDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLAGYDKLQLIVFGKKYGSGGA >DRNTG_31654.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:918600:927557:1 gene:DRNTG_31654 transcript:DRNTG_31654.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQVHHPSVIQKVAGQYQISSGFSRDLKACNQSFYSPSLYARRFTTGNYVNGALQNPLMQPYKATCDLSMVPSVSPVFAHAPAEKGFASFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGISDCFGRTIKDEGFASLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDSKAAKKGGERQFNGLIDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGSLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSFDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLAGYDKLQLIVFGKKYGSGGA >DRNTG_31654.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:918600:927557:1 gene:DRNTG_31654 transcript:DRNTG_31654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQVHHPSVIQKVAGQYQISSGFSRDLKACNQSFYSPSLYARRFTTGNYVNGALQNPLMQPYKATCDLSMVPSVSPVFAHAPAEKGFASFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGISDCFGRTIKDEGFASLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDSKAAKKGGERQFNGLIDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGSLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSFDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLAGYDKLQLIVFGKKYGSGGA >DRNTG_31654.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:923938:927557:1 gene:DRNTG_31654 transcript:DRNTG_31654.6 gene_biotype:protein_coding transcript_biotype:protein_coding MADQVHHPSVIQKVAGQYQISSGFSRDLKACQSFYAPSLYARRFTTGNYVNGALQNPLMQPYKATCDLSMVPSVSPVFAHAPAEKGFASFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGISDCFARTIKDEGFASLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDSKAAKKGGERQFNGLIDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGSLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSFDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLAGYDKLQLIVFGKKYGSGGA >DRNTG_31654.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:918600:922626:1 gene:DRNTG_31654 transcript:DRNTG_31654.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADQVHHPSVIQKVAGQYQISSGFSRDLKACNQSFYSPSLYARRFTTGNYVNGALQNPLMQPYKATCDLSMVPSVSPVFAHAPAEKGFASFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGISDCFGRTIKDEGFASLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDSKAAKKGGERQFNGLIDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGSLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSFDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLAGYDKLQLIVFGKKYGSGGA >DRNTG_31654.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:918600:927557:1 gene:DRNTG_31654 transcript:DRNTG_31654.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADQVHHPSVIQKVAGQYQISSGFSRDLKACNQSFYSPSLYARRFTTGNYVNGALQNPLMQPYKATCDLSMVPSVSPVFAHAPAEKGFASFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGISDCFGRTIKDEGFASLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDSKAAKKGGERQFNGLIDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGSLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSFDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLAGYDKLQLIVFGKKYGSGGA >DRNTG_31231.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001676.1:36217:37989:1 gene:DRNTG_31231 transcript:DRNTG_31231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVKTAILGRKSYHLESVKATREASWERATLELGKRFVTNFDRFLLHHSIKEATGEPSFGEGHKEVMENKKISFERKEKKIVISYYPHTTQYEGCMHCSSRMIQSVYPDFHTTQYMGPYGGRMYPDRKEIFWLDFLLGIFWETLERLVATLGRRRRARKLEDHPSPRSKTLKARRQLHSWGDLPRFEGRRPAARGSVIGHSFGRESIIRHILHLLLHYFI >DRNTG_01243.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15642888:15647505:-1 gene:DRNTG_01243 transcript:DRNTG_01243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVQMEKVLWCLGRGFDVTCDFKAKYCKGEERLVFINEEEKVELFVPGFGSLGKVSTDVKCDKGDRIRYQSDVQEFNKMSELFNKRSSLAGKIPSGKFNASFGFDGGSWAKDASETKCLAIDGYYISLFNLCIDRHQLTLSDHVVEAVPSSWDTKALARFIERFGTHVIVGLSVGGQDVVYMRQDKSSNMASSEVKQHLDKLGDQLFTGTCTLPPPHLKSKDHKLKVPEAFNVFQPTLVDNLTSASSKDGITVICSRRGGDPSTSSHCEWLLTVPSMPDVINFTFVPITSLLKGVSGTGFLTHAINLYVRYKPPISDLQYFLDFQCHKDWAPKLNELPLGPSSNRSKHGPYLHFNFMGPKLRVNTTQVVVGRMPVTGMRLHLEGKKNNKLGVHLQHLTSTPTFLEAQSNKTPAWRGSDDFADERYSEPVKRKKLSNVCTAAVKYDRQWSTTGCSAFIVTGAQLQVKTQDSVSVLHLRLLFSEVPGYTVSQSKWEHCPCSNSQKFGFFSNISTKFSSNIEREKQEKPVIIVDSGVFPTGPPVPVQAQKMLKFVDTSQMCMGPQESPGHWLVTGAKLEVNKGKIGLHVKFSLLTPVS >DRNTG_25824.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1051375:1053821:-1 gene:DRNTG_25824 transcript:DRNTG_25824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRSKRTKRTAPRSDDVYLKLLVKLYRFLVRRTGSNFNAVVLKRLFMSKTNRPPISLRRLIKFMDGKDDKIAVIVGTVTDDKRVYEVPAMKVTALRFTETARARILNSGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSNTKPYVRAKGRKFEKARGRRNSRGFRV >DRNTG_10991.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18864471:18866489:1 gene:DRNTG_10991 transcript:DRNTG_10991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGAKFTGFMGAIHGNDNMNSFYDMVQYRKLGEGSNMSIDSFASLQTSNGGSVPMSKENSSVGSNDSRTGILQYPGIHSIPVINYSSGHSVHPGRVSHALNDDALAQALMDPRYPTETLQNYDEWAIDLRMLNMGMAFAQGAFGKLYKGTYNGEDVAIKLLERPENDPERAQLMEQQFAQEVMMLANLKHPNIVRFIGACRKPVVWCIVTEYAKGGSLRQFLMKRQNRSVPLKLAVKQALDVARGMVYVHGLGLIHRDLKSDNLLIFADKSIKIADFGVARIEVQTEGMTPETGTYRWMAP >DRNTG_21225.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32744122:32750031:1 gene:DRNTG_21225 transcript:DRNTG_21225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASIVATILKDSGMYHEWTIELKAMADRIISMRHQLFDALRARGTPGDWSHIIKQIGMFTFTGLNTEQVAFMTKEYHIYMTSDGRISMAGLRSRTVPHLADAIHAAVTQI >DRNTG_06329.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20090680:20093386:-1 gene:DRNTG_06329 transcript:DRNTG_06329.2 gene_biotype:protein_coding transcript_biotype:protein_coding QTDGSVPNTLVIANCEIVKKRIAAAEHISQFNEEARSPFVKKFKTIIHPGEVNRIRELPQNSRIVATHTDSPD >DRNTG_06329.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20093945:20095686:-1 gene:DRNTG_06329 transcript:DRNTG_06329.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRGKSGPASVDERYTQWKTLVPVLYDWLANHNLVWPSLSCRWGPQLDQAKFKNRQRLYLSEQASGFIIVYFILRKGFMVLLFLLRYYNSYFLV >DRNTG_06329.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20090514:20095686:-1 gene:DRNTG_06329 transcript:DRNTG_06329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRGKSGPASVDERYTQWKTLVPVLYDWLANHNLVWPSLSCRWGPQLDQAKFKNRQRLYLSEQTDGSVPNTLVIANCEIVKKRIAAAEHISQFNEEARSPFVKKFKTIIHPGEVNRIRELPQNSRIVATHTDSPDVLIWDVETQSNRHAVL >DRNTG_00743.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27972006:27972995:1 gene:DRNTG_00743 transcript:DRNTG_00743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQLLFIDISVTISFLRKPLYGRKLTTTERKKIFQTFIDVICVIPLVVLMLLPVTAIGYVIILAIIKKLMPNLVNLIRLITFKSNLCLISEISEQVPSSFSTERLDVIKQLTRAKKMKGHPSCGHVS >DRNTG_00743.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27972006:27972995:1 gene:DRNTG_00743 transcript:DRNTG_00743.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLCMGIQLLFIDISVTISFLRKPLYGRKLTTTERKKIFQTFIDVICVIPLVVLMLLPVTAIGYVIILAIIKKLMPNLVPSSFSTERLDVIKQLTRAKKMKGHPSCGHVS >DRNTG_00743.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27972006:27972995:1 gene:DRNTG_00743 transcript:DRNTG_00743.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLCMGIQLLFIDISVTISFLRKPLYGRKLTTTERKKIFQTFIDVICVIPLVVLMLLPVTAIGYVIILAIIKKLMPNLVNLIRLITFKSNLCLISEISEQVPSSFSTERLDVIKQLTRAKKMKGHPSCGHVS >DRNTG_34541.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:963762:966555:1 gene:DRNTG_34541 transcript:DRNTG_34541.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSLINGESPHHHHNNNNNNHHAHISCNLSSPFSDSSPSSSSGVRRTRSDGNLHSLLSDHHHESSSSSSRRSNPMLESIPSFSVYNSKLPQNLEEEKEEEDEKDEDQEEGLGIEREFSFSRGKQGPLFLARGLGIDRVASGMMLVGGGGGGGGGGEMVEMEMYYKKMVDEDPSNALVLRNYAKFLYEIKSDLPKAEEYYSRAILAEPGDGEILSQYAKLVWELHKDEERACTYFEQAIQASPHDSHVAAAYASFLWETEEDEEEGVNEFNGAQVSHGTLTSATA >DRNTG_35298.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22629484:22631992:-1 gene:DRNTG_35298 transcript:DRNTG_35298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSEGEEEKLGTKLMGAPASPDAHPENQRAAAFWTPRSSDDHHYVLEHKPVPRPAGSPMESILDVFNAWTKKTDELATNIWHNLKTAPSKSDAAIGKLNLTVKAITEGGFEALFKQAFPTDPSERLKKSFACYLSTTTGPVAGTLYLSTMHVAFCSDRPLSFTAPSGQETWSYYKVMIPLSKIANVNPVTLKENPPEKYIQIITADAHEFWFMGFVSYDKAVTHLLDAVANFAAASQLMPGPQIIQ >DRNTG_19228.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18956662:18960250:-1 gene:DRNTG_19228 transcript:DRNTG_19228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPAKPARRFLARLLILGVIAFVVPFAYVVTIRGGGGCSGESCFFSSSDSLTVSAGGSAAYVRHTDGGVVRDLWTSREWRKAVDFYSSSFQTLAAEGFISPASKALCVGSASGHEVLALKEIGVSNTVGISRTRSPPLVVSGNLYSQPFKNASFDFIFSANHIELSPRPVDLAVEISRTLKPEGFIVIHTASAGDAYSLRSLLDLFPSCRLVRSHEIDGPLSSMKLREIILQKQPGSEIDNGDSVHECSVVPDHKLRILASAEPLIQEEPLKPWITLKKNIKNVKYLPSMVDINFKRRYVYIDIGARSYGSSIGSWFKKQYPKQNRTFEIYAIEADRAFHEEYAKKKGVSLLPFAAWVRNETLTFEVNHELDQKAEKKSLGRGMGRIRPSVGDSANGLASNDLHSIHGFDFAEWLKSTVSEKDFVVVKMDIEGTEFDLVPRLFETGAICLIDELFLECHYNRWQRCCPGERSPKYKNTYGQCLELFSSLRESGVLVHQWW >DRNTG_19228.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18956662:18960250:-1 gene:DRNTG_19228 transcript:DRNTG_19228.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPAKPARRFLARLLILGVIAFVVPFAYVVTIRGGGGCSGESCFFSSSDSLTVSAGGSAAYVRHTDGGVVRDLWTSREWRKAVDFYSSSFQTLAAEGFISPASKALCVGSASGHEVLALKEIGVSNTVGISRTRSPPLVVSGNLYSQPFKNASFDFIFSANHIELSPRPVDLAVEISRTLKPEGFIVIHTASAGDAYSLRSLLDLFPSCRLVRSHEIDGPLSSMKLREIILQKQPGSEIDNGDSVHECSVVPDHKLRILASAEPLIQEEPLKPWITLKKNIKNVKYLPSMVDINFKRRYVYIDIGARSYGSSIGSWFKKQYPKQNRTFEIYAIEADRAFHEEYAKKKGVSLLPFAAWVRNETLTFEVNHELDQKAEKKSLGRGMGRIRPSVGDSANGLASNDLHSIHGFDFAEWLKSTVSEKDFVVVKMDIEGTEFDLVPRLFETGAICLIDELFLECHYNRWQRCCPGERSPKYKNTYGQCLELFSSLRESGVLVHQWW >DRNTG_23822.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29521313:29524683:-1 gene:DRNTG_23822 transcript:DRNTG_23822.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-(hydroxymethyl)glutathione dehydrogenase [Source:Projected from Arabidopsis thaliana (AT5G43940) UniProtKB/TrEMBL;Acc:F4K7D6] MATQGQVITCKAAVAWEANKPLVIEDVQVAPPQAGEVRIKILFTALCHTDAYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTEVQPGDHVIPCYQAECRECKFCKSGKTNLCGKVRAATGVGVMMNDKKSRFSINGKPIYHFMGTSTFSQYTVVHDVSVAKVDPQAPLEKICLLGCGVPTGLGAVWNTAKVEAGSIVAVFGLGTVGLAVAEGAKAAGASRIIGVDIDSKKYDTAKNFGVTEFVNPKDHDKPIQQVLVDLTDGGVDYSFECIGNVSVMRAALECCHKGWGTSVIVGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRSQVPWLVDKYMKKEIKVDEYITHELTLGEINKAFDLMHEGGCLRCVLSMHE >DRNTG_13659.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1340859:1341725:-1 gene:DRNTG_13659 transcript:DRNTG_13659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPNYHFENIHKGFFRQTPNLTYLNLKATWIKELPKDIKCLVNLQYLNISDTIISSLPKELVYLKKLQYLICRGLNRLDKIEEDLMSRLQKLKVIDVFPSGWVDLEALKKLKKHNSIKGIGMRVVSKEVLQQLSCLPTTRLCLANVDNLISLSFDTLSCKNHGLLQSLYIESCPQLEQIVMNGSRSHLNDLKIRNVKNCEHCWRSIRLRIFHVLKVLYIWGCNLDNLAWLLHLPCLSFLHMRDCANIETLFYIEE >DRNTG_26118.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20387001:20392695:1 gene:DRNTG_26118 transcript:DRNTG_26118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFIWWIIGFYWVSAGGQALTRDAPQLYWLSIVFLAFDVFFVVFCVALACLIGIAVCCCLPCIIAILYAVADQQEGASEEDIHQLPKYKFRRIGPSEKLGGEISGPFGGVMAECGSDPPNEHVLSPEDAECCICLSSYDDGVELRELPCGHHFHCGCIDKWLYINATCPLCKYNIIKSSNNHGREEV >DRNTG_26118.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20387001:20392695:1 gene:DRNTG_26118 transcript:DRNTG_26118.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFIWWIIGFYWVSAGGQALTRDAPQLYWLSIVFLAFDVFFVVFCVALACLIGIAVCCCLPCIIAILYAVADQEGASEEDIHQLPKYKFRRIGPSEKLGGEISGPFGGVMAECGSDPPNEHVLSPEDAECCICLSSYDDGVELRELPCGHHFHCGCIDKWLYINATCPLCKYNIIKSSNNHGREEV >DRNTG_08433.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21291169:21294006:1 gene:DRNTG_08433 transcript:DRNTG_08433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLHTCGGGNGKDGNRRASRQWLTGFIKEKLHESLQCKPKEIVKELYDIFGVNVSYSQVWRGREVAQREYINTIKETHNQLPWFCGRILETNPGSAVFHITSPDYKFQRFFVAFNASLHGFEHGCRPLLFLDRISLRSNTQYKLLSAVAVDGDDAVFPVAFALVEDESYNSWLWFLEHLRYALPTTTGSITFVSNRQKGLEEAIPQVFVDCHHCYCLHHLMEEFKEELKKGMWPQQVKDAMANDFTRAAQACTIDEFNSCIQNIRNISTDVADWVIASKPENWSDALFKGSRYDHFATNIMDSLNSWIPLKHESTIVQIVNSILCKLLEVMQLRKEVSSTWCSILTPATEQKLEKEIANARKLDVLCSSESVFEVRGNMVHVVNTGSWECTCRRWQVSGLPCMHAIAALNKIGRSVYDYCSKYFRADVYQMMYSVCVQPIPDIETVDTIDFAGEGNLFPMPVRRPPGRPRRKRINPNKPVTMQRLCSKCKEA >DRNTG_26650.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:275914:278402:1 gene:DRNTG_26650 transcript:DRNTG_26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRPTIIQGPWNGKIFSGVPEMRKSYIYDFNYEDDPQQSYFIYYVNGSSMISRFIMDVSGQIKMLNWIDISQEWIEFWAKAKKTPCEVTRLCGDFGSCTEQTDQYCSCLTGFSPNSEEDWNLSDHSGGCKRNIQLQCSSNNSSTNVEPDKFFQMSSVKLPVNGQPLQVGGEDECELACLSNCSCTAYSYSTNCTVWYGELINLQDQYSESDAGTLQLRLNAAELPSSKSKKGTKGIILGVVVGAVAACLVCVALLWILIWRRKKEVNLLLLQSLWRGH >DRNTG_34060.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002082.1:279:1514:-1 gene:DRNTG_34060 transcript:DRNTG_34060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFDSPMRFDTMPFILFFLLLLFLVITMAQGVVMSLEVRNNEEMIKSSVKERIDDGANVGVLFNVLRSRKLMIILRKEEEDDEKDRYRYDLKGEKKQEHSTDHGHDHQTYPDLLDIAGMDYSPAKRKPPIHN >DRNTG_09683.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1385372:1389986:1 gene:DRNTG_09683 transcript:DRNTG_09683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLNSVSVSLLLLLTLSVSLSDPLYQHCETTGNYTTNSTYESNLSKLLTFLSTKGSIEGFSKKSIGNTPNQVYGMVLCRGDINTTECRSCLNLAVQEVVQLCPFNKGATIWNYHCVLRHSNQYFLNSANDSDVFYLRSNEHVNEQGPFNKTVIEMMDSVFRWAAYNTSKKFATGEAYFNQKFHKIYGLGQCTPDLSGDQCLQCLRGTCKMMRNMIAGRQLGSILGVRCNVRYSTNPFFNGRPAVLYANSQSPPGTLVPTPAASPSSASLPGNEGSSNHAGMIALFVVLPFIASMVLLSVIYVGFRRRTGRKMVFLPETEDETLLASAESKLFDLSTLREATDHFSDANMLGEGGFGPVYKGALKDGQEIAVKRLSRTSAQGLLEFRNEVVFVAKLQHRNLVKLLGCCLEENEKLLVYEYLSNTSLDKILFDPLRSQVLEWCTRYKIIEGISRGLLYLHEDSRLRIIHRDLKASNVLLDKDMNPKISDFGLAKHFGANETHKNTTKIAGTYGYMAPEYAIRGIFSTKSDVFSYGVLALEIVTGRKNSDFQKSNPTTNLLSHVWRQWNEGNALELVDLILGNRFIKEQVLRCIHIGLLCVQEDPTKRPSMASIANMLSSHSIPLPTSTAPAFFILPDLVLDFVKEEDVIGYTVHAQMISENEVSISEMDPR >DRNTG_25755.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21470862:21471707:-1 gene:DRNTG_25755 transcript:DRNTG_25755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVSKYLFSSHQNAGLEPLDATFDSSLLRLFIFGYKGSNMTALLSTQFEHKCCPDELEMHGLSLFTPYLYLSSFGVDEVFLLRAQWEIYCDMVITFTLSISEEMPTLRDLMGGVKIVPTN >DRNTG_13161.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:15660835:15662711:-1 gene:DRNTG_13161 transcript:DRNTG_13161.1 gene_biotype:protein_coding transcript_biotype:protein_coding TKPKPSQLDLIKPNSTKPIQLNPFQFG >DRNTG_16046.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25885288:25889302:-1 gene:DRNTG_16046 transcript:DRNTG_16046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSFMCCCMNMLSCFSNSKGRNRTHAMEIMEEKEWGMVGKRGCQFVVEDKPFFINGFNTYWLMVLSAYERKKEVTKVLHQASSVGLNVCRTWAFYDAGSRALQITPGVYNEKVFEGLDFVLSEAKRFGIRLILSFVNNWNAFGGKAQYVNWGRDAGLSITCDDDFFSDPTIKGYYKAHVKAILNRVNTITNVMYKDDPTIFAWELINEPRCPSDPSGDKLQAWIEEMASYVKSIDPVHLLEIGEEGFYGYSNPDKMLLNPSRCAGEGGTDFIRNHQASGIDFASVHMYPDSWIKQPDSKARLNFGRTWMQSHIDDADNVLGMPVVFGEFGVSRKHEGFSFSFRDTFINMVHQTLLRSTKKGGSGAGSLLWQLFTEGTENMDDGYAVNLAKAPSVSHMLALQSKRLKNLVTKSSRKWFWSGKNKNLLNKFTTNHEEPQK >DRNTG_16046.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25885288:25887696:-1 gene:DRNTG_16046 transcript:DRNTG_16046.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGRTHAMEIMEEKEWGMVGKRGCQFVVEDKPFFINGFNTYWLMVLSAYERKKEVTKVLHQASSVGLNVCRTWAFYDAGSRALQITPGVYNEKVFEGLDFVLSEAKRFGIRLILSFVNNWNAFGGKAQYVNWGRDAGLSITCDDDFFSDPTIKGYYKAHVKAILNRVNTITNVMYKDDPTIFAWELINEPRCPSDPSGDKLQAWIEEMASYVKSIDPVHLLEIGEEGFYGYSNPDKMLLNPSRCAGEGGTDFIRNHQASGIDFASVHMYPDSWIKQPDSKARLNFGRTWMQSHIDDADNVLGMPVVFGEFGVSRKHEGFSFSFRDTFINMVHQTLLRSTKKGGSGAGSLLWQLFTEGTENMDDGYAVNLAKAPSVSHMLALQSKRLKNLVTKSSRKWFWSGKNKNLLNKFTTNHEEPQK >DRNTG_16046.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25885288:25886275:-1 gene:DRNTG_16046 transcript:DRNTG_16046.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASYVKSIDPVHLLEIGEEGFYGYSNPDKMLLNPSRCAGEGGTDFIRNHQASGIDFASVHMYPDSWIKQPDSKARLNFGRTWMQSHIDDADNVLGMPVVFGEFGVSRKHEGFSFSFRDTFINMVHQTLLRSTKKGGSGAGSLLWQLFTEGTENMDDGYAVNLAKAPSVSHMLALQSKRLKNLVTKSSRKWFWSGKNKNLLNKFTTNHEEPQK >DRNTG_16046.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25885288:25887696:-1 gene:DRNTG_16046 transcript:DRNTG_16046.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMEEKEWGMVGKRGCQFVVEDKPFFINGFNTYWLMVLSAYERKKEVTKVLHQASSVGLNVCRTWAFYDAGSRALQITPGVYNEKVFEGLDFVLSEAKRFGIRLILSFVNNWNAFGGKAQYVNWGRDAGLSITCDDDFFSDPTIKGYYKAHVKAILNRVNTITNVMYKDDPTIFAWELINEPRCPSDPSGDKLQAWIEEMASYVKSIDPVHLLEIGEEGFYGYSNPDKMLLNPSRCAGEGGTDFIRNHQASGIDFASVHMYPDSWIKQPDSKARLNFGRTWMQSHIDDADNVLGMPVVFGEFGVSRKHEGFSFSFRDTFINMVHQTLLRSTKKGGSGAGSLLWQLFTEGTENMDDGYAVNLAKAPSVSHMLALQSKRLKNLVTKSSRKWFWSGKNKNLLNKFTTNHEEPQK >DRNTG_16046.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25885108:25888184:-1 gene:DRNTG_16046 transcript:DRNTG_16046.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMEEKEWGMVGKRGCQFVVEDKPFFINGFNTYWLMVLSAYERKKEVTKVLHQASSVGLNVCRTWAFYDAGSRALQITPGVYNEKVFEGLDFVLSEAKRFGIRLILSFVNNWNAFGGKAQYVNWGRDAGLSITCDDDFFSDPTIKGYYKAHVKAILNRVNTITNVMYKDDPTIFAWELINEPRCPSDPSGDKLQAWIEEMASYVKSIDPVHLLEIGEEGFYGYSNPDKMLLNPSRCAGEGGTDFIRNHQASGIDFASVHMYPDSW >DRNTG_21630.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:29209:29761:1 gene:DRNTG_21630 transcript:DRNTG_21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNHPHKFLVHNTNTITTHTRKQHPYKLLQIHSHDIRK >DRNTG_00581.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29963956:29966228:1 gene:DRNTG_00581 transcript:DRNTG_00581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLLHLVILMKLFMLSCGEFQRFDEKIKSDGSLSIFVVGDWGRNGNYNQSQVATQMGKIGEELGIDFVISTGDNFYEDGLQGVYDNQFQQSFTDIYTAKSLQKQWYSVLGNHDYRGDVLAQLSPILQKFDSKWFCMRSFIVNTENVDFFFVDTTPFERKYWTHPKDHHYDWRNVEPRDQYIENLLKDLDLALKESRAPWKFVVGHHTMRSVSDHGDTQELLQELLPTLKANDVDLYINGHDHCLEHISSRDSPIQYFTSGGGSKAWRGKFTPNADKLRFFYDGQGFMSMKITSTSVDLVFYDIFGTNLYQWSMNKQLLTVM >DRNTG_00841.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11709827:11710180:1 gene:DRNTG_00841 transcript:DRNTG_00841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTYKTRLGTSESTEQRHDRVKELETELARSHAEANDWLQPADDRLQAVSAHLKLFESSLLAAFCGQGIDLSSMPIFARMPHAPRAPTGESQTHVVNHSPIPKRVHISPSTDNFL >DRNTG_24827.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31769192:31772867:-1 gene:DRNTG_24827 transcript:DRNTG_24827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIMPSISHLLFLLSLLSLSPTCNSLQLVSMVFTVERGPLPSQAWQIDDEIERHMDLLREIQDNPLDINAVVARRRKDFTGEFFYQLTALSDTYDSLEDRDSMSRLGAKCLSAVSTYDNVVDQLETLDGAQSKFDDILDSPSLDMACEKVRSLAKAKELDSTLILLINGAWAAAKESPTMKNEVKNIMYHIYKATQKSLKSIVPQEIKFLKHLLNIEDPEERFSALATAFSPGSEHEVKDPDAFYTTPKELHKWIKIMLDAHQMNKEESDMKEVREMSDPMVIQRLFILKETIEEEYMKRTPSGIEESKPEDSKSQDNVNQKDDDDEEEYI >DRNTG_23510.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:859041:861640:-1 gene:DRNTG_23510 transcript:DRNTG_23510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2-5 [Source:Projected from Arabidopsis thaliana (AT2G03620) UniProtKB/Swiss-Prot;Acc:Q9ZPR4] MKDQNSTLLLSGIHRRVSFQEGAELDGVSIPLLHGFGTSGVKKRGLGSRSWVQIDKNGKSQTLVLDKATLLKRCGLPARDLRLLDPLFVYPSTILGREKAIVVSLEKVRCIIMADDVFLLKSIDSCVLQYESELCQRLQTVKDQNDDLPFEFKALELALELASSSLDSQVTGLELEIYPVLEELASSISTVNLEHVRRLKSDLLALTHRVQKVRDEIEQLMDDDGDMAEMYLTEKKARMETYFATEQYVNNLSTEDAVSRSLPCSPTGSSIGESGKAWSSAIMSLSKHDSSASRSSSNRRWNVEELEMLLEAYFVVMDYTLSKLLSLKEYIDDTEDFINIKLNNVQNQLIQFELLLTAATFFVTIFAVVTGVFGMNFVDSVFDNPSNFNSVVIYSSICCAVAYLFFLLYFKHKRLLPL >DRNTG_23510.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:859041:861640:-1 gene:DRNTG_23510 transcript:DRNTG_23510.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2-5 [Source:Projected from Arabidopsis thaliana (AT2G03620) UniProtKB/Swiss-Prot;Acc:Q9ZPR4] MDDDGDMAEMYLTEKKARMETYFATEQYVNNLSTEDAVSRSLPCSPTGSSIGESGKAWSSAIMSLSKHDSSASRSSSNRRWNVEELEMLLEAYFVVMDYTLSKLLSLKEYIDDTEDFINIKLNNVQNQLIQFELLLTAATFFVTIFAVVTGVFGMNFVDSVFDNPSNFNSVVIYSSICCAVAYLFFLLYFKHKRLLPL >DRNTG_23510.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:859041:862817:-1 gene:DRNTG_23510 transcript:DRNTG_23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2-5 [Source:Projected from Arabidopsis thaliana (AT2G03620) UniProtKB/Swiss-Prot;Acc:Q9ZPR4] MKDQNSTLLLSGIHRRVSFQEGAELDGVSIPLLHGFGTSGVKKRGLGSRSWVQIDKNGKSQTLVLDKATLLKRCGLPARDLRLLDPLFVYPSTILGREKAIVVSLEKVRCIIMADDVFLLKSIDSCVLQYESELCQRLQTVKDQNDDLPFEFKALELALELASSSLDSQVTGLELEIYPVLEELASSISTVNLEHVRRLKSDLLALTHRVQKVRDEIEQLMDDDGDMAEMYLTEKKARMETYFATEQYVNNLSTEDAVSRSLPCSPTGSSIGESGKAWSSAIMSLSKHDSSASRSSSNRRWNVEELEMLLEAYFVVMDYTLSKLLSLKEYIDDTEDFINIKLNNVQNQLIQFELLLTAATFFVTIFAVVTGVFGMNFVDSVFDNPSNFNSVVIYSSICCAVAYLFFLLYFKHKRLLPL >DRNTG_11661.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:193144:198657:1 gene:DRNTG_11661 transcript:DRNTG_11661.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Imidazole glycerol phosphate synthase hisHF [Source:Projected from Arabidopsis thaliana (AT4G26900) UniProtKB/TrEMBL;Acc:A0A178UW68] MGNVHAVQFHPEKSGEVGLSVLKNFLDPSHSSKTKKWVNKKSSKLAKRVIACLDVRTNDKGDLVVTKGDQYDVREHTEENEVRNLGKPVDLARQYYKDGADEVSFLNITGFRDFPLGDLPMLQVLRLTSENVFVPLTVGGGIRDFTDANGRYYPSLEVAAEYFRSGADKVSIGSDAVFAAEAYLRTGVKTGKSSLEQISRVYGNQAVVVSIDPRRVYLKGPDDVKFKTVKVSAPGPNGEEYAWYQCTVSGGREGREIGAYELAKSVEELGAGEILLNCIDCDGQGKGFDIDLIKLVSEAVTIPVIASSGAGIVEHFSDVFQKTSASAALAAGIFHRKEVPIKCVKEHLLEEGIEVRL >DRNTG_11661.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:192043:198657:1 gene:DRNTG_11661 transcript:DRNTG_11661.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Imidazole glycerol phosphate synthase hisHF [Source:Projected from Arabidopsis thaliana (AT4G26900) UniProtKB/TrEMBL;Acc:A0A178UW68] MGNVHAVQFHPEKSGEVGLSVLKNFLDPSHSSKTKKWVNKKSSKLAKRVIACLDVRTNDKGDLVVTKGDQYDVREHTEENEVRNLGKPVDLARQYYKDGADEVSFLNITGFRDFPLGDLPMLQVLRLTSENVFVPLTVGGGIRDFTDANGRYYPSLEVAAEYFRSGADKVSIGSDAVFAAEAYLRTGVKTGKSSLEQISRVYGNQAVVVSIDPRRVYLKGPDDVKFKTVKVSAPGPNGEEYAWYQCTVSGGREGREIGAYELAKSVEELGAGEILLNCIDCDGQGKGFDIDLIKLVSEAVTIPVIASSGAGIVEHFSDVFQKTSASAALAAGIFHRKEVPIKCVKEHLLEEGIEVRL >DRNTG_11661.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:192043:198657:1 gene:DRNTG_11661 transcript:DRNTG_11661.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Imidazole glycerol phosphate synthase hisHF [Source:Projected from Arabidopsis thaliana (AT4G26900) UniProtKB/TrEMBL;Acc:A0A178UW68] MLQVLRLTSENVFVPLTVGGGIRDFTDANGRYYPSLEVAAEYFRSGADKVSIGSDAVFAAEAYLRTGVKTGKSSLEQISRVYGNQAVVVSIDPRRVYLKGPDDVKFKTVKVSAPGPNGEEYAWYQCTVSGGREGREIGAYELAKSVEELGAGEILLNCIDCDGQGKGFDIDLIKLVSEAVTIPVIASSGAGIVEHFSDVFQKTSASAALAAGIFHRKEVPIKCVKEHLLEEGIEVRL >DRNTG_11661.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:192043:198657:1 gene:DRNTG_11661 transcript:DRNTG_11661.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Imidazole glycerol phosphate synthase hisHF [Source:Projected from Arabidopsis thaliana (AT4G26900) UniProtKB/TrEMBL;Acc:A0A178UW68] MGNVHAVQFHPEKSGEVGLSVLKNFLDPSHSSKTKKWVNKKSSKLAKRVIACLDVRTNDKGDLVVTKGDQYDVREHTEENEVRNLGKPVDLARQYYKDGADEVSFLNITGFRDFPLGDLPMLQVLRLTSENVFVPLTVGGGIRDFTDANGRYYPSLEVAAEYFRSGADKVSIGSDAVFAAEAYLRTGVKTGKSSLEQISRVYGNQAVVVSIDPRRVYLKGPDDVKFKTVKVSAPGPNGEEYAWYQCTVSGGREGREIGAYELAKSVEELGAGEILLNCIDCDGQGKGFDIDLIKLVSEAVTIPVIASSGAGIVEHFSDVFQKTSASAALAAGIFHRKEVPIKCVKEHLLEEGIEVRL >DRNTG_11661.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:192043:198657:1 gene:DRNTG_11661 transcript:DRNTG_11661.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Imidazole glycerol phosphate synthase hisHF [Source:Projected from Arabidopsis thaliana (AT4G26900) UniProtKB/TrEMBL;Acc:A0A178UW68] MEAPPFSSSSQLQHCAHPLSRIHGAWNRRKPNLQSKKHFSIRASSAGDSTVTLLDYGAGNVRSLRNAIRYLGFDVKDVQNPKDILNANRLLFPGVGAFAAAMEVLNQNGLAEALCTYIENDRPFLGICLGLQLLFESSDENGPVKGLGLIPGAVGRFDSANGLQVPHIGWNAVQISKDPGILEGTEGHHVYFVHSYRAIPSEANKNWVSSTCNYGDHFISSIAMGNVHAVQFHPEKSGEVGLSVLKNFLDPSHSSKTKKWVNKKSSKLAKRVIACLDVRTNDKGDLVVTKGDQYDVREHTEENEVRNLGKPVDLARQYYKDGADEVSFLNITGFRDFPLGDLPMLQVLRLTSENVFVPLTVGGGIRDFTDANGRYYPSLEVAAEYFRSGADKVSIGSDAVFAAEAYLRTGVKTGKSSLEQISRVYGNQAVVVSIDPRRVYLKGPDDVKFKTVKVSAPGPNGEEYAWYQCTVSGGREGREIGAYELAKSVEELGAGEILLNCIDCDGQGKGFDIDLIKLVSEAVTIPVIASSGAGIVEHFSDVFQKTSASAALAAGIFHRKEVPIKCVKEHLLEEGIEVRL >DRNTG_30629.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1102422:1110303:-1 gene:DRNTG_30629 transcript:DRNTG_30629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLGVISSDNVFENPEELRKQLKKLKSAAVDG >DRNTG_09232.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:920466:941970:1 gene:DRNTG_09232 transcript:DRNTG_09232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSSLANKKVSANYFNKNERNSQP >DRNTG_07646.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5456098:5456477:1 gene:DRNTG_07646 transcript:DRNTG_07646.1 gene_biotype:protein_coding transcript_biotype:protein_coding HPGFQDPTVKNTTVRGIINVKGGKQ >DRNTG_23260.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:265364:269698:-1 gene:DRNTG_23260 transcript:DRNTG_23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSESLLGASSTTILIGAACFALGYALALKSLRSPSSLRFLFSKSRVTDDQKTAKQQKPALLEIENLADFLEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLYKKLNNRAPKALNRWEMCGQIKVVLKIESEEDMLILQDRAKSLKLPTHITIDAGRTQIAPNSRTVMAILGPADVVDDVTGGLKLL >DRNTG_01116.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:14584496:14590024:-1 gene:DRNTG_01116 transcript:DRNTG_01116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVWRGGLCGFGGASSPTLIDSSCIIASRKPPVNLASEWVLQEIEALHQSHQFIYKGVYFYGFNLQVDDPRQFLDAAAGGTLGSKTPDKARQLIEEMGLNIYQWNAREKKKVAGIHEIDAVTSLAAQVENLIKKLDFLTSNRVVAVGQIAKSLSERPHGSLPCNTETNPRELVKAITLRSGREVEGRVLGEKPKEHAPEVIEVEEGTSKEKEILTPSVAPGTRAYDCIEMLETDVREIQTEVAELQAMQSAQYTDLMAQFDFIRDLLRSRPSVLLAPPSSTPAPVDPLCASPAAAAVPVHESDTDT >DRNTG_21884.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19564784:19566808:-1 gene:DRNTG_21884 transcript:DRNTG_21884.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 711A1 [Source:Projected from Arabidopsis thaliana (AT2G26170) UniProtKB/Swiss-Prot;Acc:B9DFU2] MCRHSSYFFNMEIMSIVSCILILKAILLLVFLVYFYVPYWKVRKVPGPPCTLFVGHLPLLDKYGPDILRVYAKTYGPIFRFHMGRQPLVIVADPELVKEVGIKKFKFMKNRNIRSPTSGSPLHEQGLFLTRDSRWSSMRNTIISLYQPAHLAKLIPTMQSYIENLTLNASKCREKQEDIVFSDLSLKMAIDIIGKTTFGVEFGLCEEVSNVDDGDELSSFLKHYMRSFESLKMDLTSSLSTVLGLLVPVLQKPAREILKRIPGTADHKMLRSNEQLCEKLDAVITKRASERTRESKDFLSRILNASESGVAKDLFTHNYIRALAFEHLLAGTKTSAFTLTMAIYLVSKHPEVEKKLLNEIDNYGPHEQIPSAEDLQCKFPYLDQVLKETMRFYTVSPLVARETSQEVEIGGYVLPKGTWVWLALGVLAKDAKQFPNPDEFIPERFDPECEEEKQRHPYAHIPFGIGPRACIGSKFAIQEIKLSLIHLYRNFVFRHSTDMESPLEFDYGLVLSFKNRVKFRAFKREI >DRNTG_24004.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001285.1:47837:49675:-1 gene:DRNTG_24004 transcript:DRNTG_24004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLKDSFVMSIDETSIERNIVELGTQSPILKPDYGMENFFKQVKEVENLMQKLSKQLQRLQEANEESKTVTQASTMKAIKQRMEKDVDNGLKVARCIKAKLEDIDKDNLINRQKIGCEKGSGVDRCRMATTAFLKKRLKDRMNDFQNLRENIQNEYRNVIERRVFTVTGQQPSEEMIDNLIANGNSEKIFQKAIQEMGRGQVMDTLKEIQERHDAVRDIEKKLLDLHQVFMDMAVLVETQGEMLDNIEIQVINAKNHILSGNEALHTAKKLQKSSRKCLVISVLILTIIAIIIGLSVLKPWTRN >DRNTG_00301.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2070659:2072751:-1 gene:DRNTG_00301 transcript:DRNTG_00301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEAKLIRSFSNVEPKKLGFGLLFACFMVSIAYISITKPYEQLPFSSISSRLSSVRINPVITVSMTSRVLDEGSEDAANQTALDVKEKENIIRLHQSSAQNHSDGRQEEFINCNVSDQRSNTCEMNGNIRIHGISSSVELLISDTAKTNQSWRIKPYARKKDNVAMANVREVSLKTSLNDRKLLRCDINHTAPAIVFSIGGYTGNFFHDFTDVLVPLFLTSHHFNGEVHFLVTNMRSWWINKYSLVFKKLSKYQVIDFDKDDKLRCFPKAIVGLRSHKELSIDPKRSPNNYTMLDFTKFMRSTYSLERETPIKYSIRKHNKPRLLIICRRRTRRFTNVNKITKMAEKLGFEVVVGEADSRTSMEKFAWMVNSCDVMMGVHGAGLTNLVFLPTNAILIQVVPWGNLDWISRSYFKEPAMDMKLRYLEYRISEEESTLMEVYPKDHPVFKDSFSIHKLGWNAIKDVFLDKQDVKLNVRRFRGVLVKALKLLHS >DRNTG_08175.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1675703:1679905:-1 gene:DRNTG_08175 transcript:DRNTG_08175.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPADSEKQPYVARVEKIEADHRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCVVHSFKNYTKLENVGAEDYFCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEQAKKLEHFLCSDCTSEDDAKRSLNSFPVSPISEPKVEPKRRKR >DRNTG_08175.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1675703:1679905:-1 gene:DRNTG_08175 transcript:DRNTG_08175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPGKKDLDSYTIKGTNKVVKVGDCVLMRPADSEKQPYVARVEKIEADHRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCVVHSFKNYTKLENVGAEDYFCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEQAKKLEHFLCSDCTSEDDAKRSLNSFPVSPISEPKVEPKRRKR >DRNTG_22842.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2486838:2488366:-1 gene:DRNTG_22842 transcript:DRNTG_22842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLIQTFSAVIIACTMGLVIAWRLALVMIAVQPIIIICFYARRVLLKSMSGKSMKAQTESSKLAAEAVSNLRTVTAFSSQDRILHLFELAQEGPRRESVKQSWYAGIGLGSSQSLMTCTWALDFWYGGKLVSHGYITAKALLQTFMILVSTGRVIADAGSMTSDLAKGGDAVVSVFAVLDRYTRIEPEDPDGQMPEKINGSVDIRGVDFAYPARPDVIIFKNFSLSIQAGKSTALVGQSGSGKSTIIGLIERFYDPLKGMIKIDGRDIKTYHLRALRQHIALVGQEPTLFAGTIKENIKYGAEEATEVEVEAAARVANAHDFISCLKDGYETSCGDRGVQLSGGQKQRIAIARAVLKNPAILLLDEATSALDSQSEKVVQEALERVMVGRTSVVVAHRLSTIQNCDLIAVLEKGMVVEKGSHASLLAKGPSGSYFSLVNLQQGPKRTQ >DRNTG_25124.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20179178:20182105:1 gene:DRNTG_25124 transcript:DRNTG_25124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHRSRREIPTKYDLDAKWDACLDLTIRRSFHSTLAGAGAALLLFRTPTSRWATVAFGAGVGIGRAYSECSAIFAGSSSNGSSPISAVHSVASQEEGD >DRNTG_12888.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20739735:20740900:-1 gene:DRNTG_12888 transcript:DRNTG_12888.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPISPTKLNQDNQSDHHLFNPRTTSFPCTIFFKTLQDQAASGSDHTNPDHQHDHHQQKKKDDLQTHQNNTCDDQCSRDHEEDTNKSTKWMSSTMRLMRKMTNQESIRNSPSGCNTFIRVCSDCNTTKTPLWRSGPQGPKVS >DRNTG_12888.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20739735:20740900:-1 gene:DRNTG_12888 transcript:DRNTG_12888.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPISPTKLNQDNQSDHHLFNPRTTSFPCTIFFKTLQDQAASGSDHTNPDHQHDHHQQKKKDDLQTHQNNTCDDQCSRDHEEDTNKSTKWMSSTMRLMRKMTNQESIRNSPSGCNTFIRVCSDCNTTKTPLWRSGPQGPKRKARRAMAAAAVAGDTGIIPTDTLKKVKKQKGSNVDQSMPFKKRCKILSSQENDKKKHWFELSKSLSLYSVLPPDERDAAILLMALSYGLICG >DRNTG_12888.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20739735:20740900:-1 gene:DRNTG_12888 transcript:DRNTG_12888.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPISPTKLNQDNQSDHHLFNPRTTSFPCTIFFKTLQDQAASGSDHTNPDHQHDHHQQKKKDDLQTHQNNTCDDQCSRDHEEDTNKSTKWMSSTMRLMRKMTNQESIRNSPSGCNTFIRVCSDCNTTKTPLWRSGPQGPKSLCNACGIRQRKARRAMAAAAVAGDTGIIPTDTLKKVKKQKGSNVDQSMPFKKRCKILSSQENDKKKHWFELSKSLSLYSVLPPDERDAAILLMALSYGLICG >DRNTG_33880.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7245226:7245657:1 gene:DRNTG_33880 transcript:DRNTG_33880.1 gene_biotype:protein_coding transcript_biotype:protein_coding AQITTRDLYQTGISQPRSDTKRCHTHPFY >DRNTG_10371.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:7997308:7998344:-1 gene:DRNTG_10371 transcript:DRNTG_10371.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKRRGNTEIGNTQSEGERRHSTTSWLTLSTLF >DRNTG_31724.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:196963:197690:1 gene:DRNTG_31724 transcript:DRNTG_31724.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPSLLHSQTKFMSSYTVTRVSKSLVTPSEPTPTNETLTLSVIDRVPGLRHCVRSIHAFKHGQEPAEVIKEALSKALVHYYPFAGRFIDPVSPATGEVTVVCSGEGVYLMKAKANCSLEDVKYLDLPLMIPEQELLPEPHPDVPYLDMPLLMQVNR >DRNTG_31724.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:196963:199425:1 gene:DRNTG_31724 transcript:DRNTG_31724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPSLLHSQTKFMSSYTVTRVSKSLVTPSEPTPTNETLTLSVIDRVPGLRHCVRSIHAFKHGQEPAEVIKEALSKALVHYYPFAGRFIDPVSPATGEVTVVCSGEGVYLMKAKANCSLEDVKYLDLPLMIPEQELLPEPHPDVPYLDMPLLMQVTEFNCGGFAVGIISVHSIADGLGVGQFIAAIGELARGLINPTIKPFNNRNIIPNPPKLPPGPPPSLQNLNLKYSTFIISQDFINNIKTKYLNKTGNYCSTFDISVAKAWQARTRAIKLENNAIVHLCFFANTRPYLNQLAPPGFYGNCFYPVSVSSTSVEVASMDLTDLVKLIKEAKLNLPKEFAKWAHGGFKHDPYELTFGYNRLFVSDWTKLGFQEVDYGWGTPLHVVPFAYSDYMAVAILGLPVVPKKGSQVMTQCVNNDHLANFQMEMANL >DRNTG_08370.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4290371:4294985:1 gene:DRNTG_08370 transcript:DRNTG_08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQGRSFQGKWGEVRGSVLSSKLRTSRSRRKLPPGPRRFPVVGNLHQLGELPHQSLCRLAEKYGPIMNLQLGQIPAFIVSSPEIASEIMKTHDLKFCSRPTTLVMKKFSYGGLDIAFSKYGVQWRQMRKLGMLEIFSTKRVQSFKSVREEEVHILIQSIRHSCSQTVNLSDMFLCMSNNIICREVFGKRFSDDGECNRSEHHDLVLEIMELIGGFSLGDFFPSLKYWLNLFTGWHGKLERNFKRMDELFEREIEEHSSSLYDDHGHEEEDFLDVLLKLQKDFSLGFSLTKDHIKAILMNMFLGGTDTSALTLEWAMTELMRNPTLMKKAQDEVRGVVGSKGKVEESDLQQLQYMKLIVSETLRLHCIARLLLPRESMEECQVNGYIIPEKSRVYINAWAICNDPKAWENPEVFMPERFEGNDISYRGQHFEFIPFGAGRRICPGMQKGIAVVEITLANMLYHFKWKTPSETGYKGIDTRESFGVVLHKKSPLVLQAAPIET >DRNTG_35471.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3775732:3790988:-1 gene:DRNTG_35471 transcript:DRNTG_35471.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGARLCAVLAELGFGGHESLDPDSFEWPFQHDDVRPLLDWFCSSLRPSNVLSPSELSQYEQFVHEGKLLEGEDLDSAFDSISAFSNRRDNQEAVFGTEERLIDIREAKLAYKAEVLELQKQLARQQSQFDLLASQVSAMIQGRRSRIATTSAVNGQLIALDEKLSARNLEMNAVLGKITSTTQELAHYHSGDEDGVYLAYSDFHSYSVRDLACTKELNQWFSKQFEKGPLHLVAEEGKSKCSWVSVDDITNCLMRGDSEKYHHHRVAELQRLRSIFATSERQWIEAQVDNAKQQAILSMVKSQISSDEAHIHRDIQSLRRKNSELSVELANLSRKEQKFLTESIPRLCSELAQLQDTYILQGDYDLKVMRQEYYIGQQKMFIHHLVNQLARHQFLKVACQLEQKAMLGAYSMLKVIESELQGYLSAANGRVGHCLSLIQAASDVQEQGAVDDRDTFLHGVRDLLSIHSNVQAVLPTYVSAQGIIQQISGLQSELLSLQFELDNSLPEDRRRCINELCTLIQNLEQLLFASSTIADPLLTPRVVASPNQFIFFIFYHQFLHSC >DRNTG_35471.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3775591:3777098:-1 gene:DRNTG_35471 transcript:DRNTG_35471.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVNSQLSASVEEVTDVHRQKAEIVKHHPHEVGRERQVFVDFFCNPDRLRNQVRELTARVKALHE >DRNTG_35471.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3776093:3790988:-1 gene:DRNTG_35471 transcript:DRNTG_35471.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGARLCAVLAELGFGGHESLDPDSFEWPFQHDDVRPLLDWFCSSLRPSNVLSPSELSQYEQFVHEGKLLEGEDLDSAFDSISAFSNRRDNQEAVFGTEERLIDIREAKLAYKAEVLELQKQLARQQSQFDLLASQVSAMIQGRRSRIATTSAVNGQLIALDEKLSARNLEMNAVLGKITSTTQELAHYHSGDEDGVYLAYSDFHSYSVRDLACTKELNQWFSKQFEKGPLHLVAEEGKSKCSWVSVDDITNCLMRGDSEKYHHHRVAELQRLRSIFATSERQWIEAQVDNAKQQAILSMVKSQISSDEAHIHRDIQSLRRKNSELSVELANLSRKEQKFLTESIPRLCSELAQLQDTYILQGDYDLKVMRQEYYIGQQKMFIHHLVNQLARHQFLKVACQLEQKAMLGAYSMLKVIESELQGYLSAANGRVGHCLSLIQAASDVQEQGAVDDRDTFLHGVRDLLSIHSNVQAVLPTYVSAQGIIQQISGLQSELLSLQFELDNSLPEDRRRCINELCTLIQNLEQLLFASSTIADPLLTPRALLRALDEMEKVNSQLSASVEEVTDVHRQKAEIVKHHPHEVGRERQVFVDFFCNPDRLRNQVRELTARVKALHE >DRNTG_20652.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20378770:20379820:1 gene:DRNTG_20652 transcript:DRNTG_20652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCENNSSPPSSPPIILSPCAACKILRRRCVEKCVLAPYFPPTEPLKFTTAHRVFGASNIIKFLQELPENQRADAVSSMVYEANARIRDPVYGCAGAICQLQKQVTELQAQLARAQAELVNMQAQQANLITLVYSEMAKSQQQCSPQPCGNFDSFEAFFFDQGGQDEDFIHGYPLEPLWT >DRNTG_13451.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19277315:19280125:-1 gene:DRNTG_13451 transcript:DRNTG_13451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARRRHWKHSKAVRDIRKPKELDEPSLGSECVNMFRNFLQSLQVFLRHPVKMHMRVEFPHARGFVSRAHPKKSQGRAAAPVNDHANITRPWRSPLHPKKTQGRVSALLSWACDVHARVEFPHGHVEHLAIFLGCPEKKQGRAAAPVGQAHGRGYFPHAHVRAFRDSASFSRERTGACISPCGALWMSILLLSFFDHFPLFILQTCFVDLQVLRDIQRGDELADEIDDMLAVRRWRRLLTIREPAYRALTLEVLATFELSTEEYGRFPVDFPVSMTPDYVHRVLYGHGQIELGMSKGTSVSRLSYKYLHAVLSRSVSGRGNNTTALTRQDLFFLYSMARNVLIHLGCIVADVLRYQVVPTPLAFETIRMMGLVRRCGPGVYIIASDTPETAGSVGDTVEDSGQVPRSRTAQGPRAYDRIERLESDMRKIRTEIAELRAIQSAQYTNFMARFDFLRDLLRSRPLASPAPPSPIPASVDPPYVSPPPAVVEEPVECDTNI >DRNTG_17648.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4089722:4093782:-1 gene:DRNTG_17648 transcript:DRNTG_17648.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPA [Source:Projected from Arabidopsis thaliana (AT2G35210) UniProtKB/TrEMBL;Acc:A0A178VQN0] MAPDKNAVFKKLKSRSENKVCFDCNAKNPTWASVTYGIFLCLDCSAVHRGLGVHISFVRSTNLDSWSPEQLKMMAFGGNNRAQVFFKQHGWTDGGKIEAKYTSRAAELYRQMLSKEVARAASDDSVFPSSPVASQSPRAADDFPDLKLPNSVIDHLSGKHEVLEPSNPPSPRAPIRSAVLGSAKKSVGGKKIGSKTGGLGVRKLTTKPNESLYEQKPEEPTPVATSSSDSNTRSASSLPSRFEYVESIQSGGTGPGGGQVINHVAPPKASSFFADFGMDYGFEKKSSSTPKVQIQEGNEARQKFSNAKSISSAQFFGDQVKDSDREAKVSLQKFSNSSAISSADFFGHDGDDSEFDLTATDLINRISLQASHDLSSLKDIAGETGKKLTSFASSLMNDLQDRVL >DRNTG_25807.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2649647:2653841:1 gene:DRNTG_25807 transcript:DRNTG_25807.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 32 [Source:Projected from Arabidopsis thaliana (AT4G30935) UniProtKB/Swiss-Prot;Acc:P59583] MPIQQKQISHPHLNRKTPSARVIVKAASSDGYNWRKYGQKQVKSSDRSRSYYKCTNTDCLAKKKVEHCQDGRVTEITYRNVHNHDPPQKYRCSKEKGSQSVGAYGENENIDPPSGELNETDPTASKVEQNSGNETPEQQLHCSSDCEEDIAIKTEEDTGSEPDPKRRLTESAVPYSTPVLKTVKEPKVVVQTASDAGLVSDGYRWRKYGQKIVKGNPNPRSYYRCTHGGCPVRKHVERASEDAKAMVITYEGKHNHDLPTPKNSSDTTTSVLTIAGSATITAHLQSSDSVSDQKPSTESLQDTDGAITGKNALELGGEKALESAETLLSIGYNKKPGDGCR >DRNTG_15581.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7666206:7667709:1 gene:DRNTG_15581 transcript:DRNTG_15581.5 gene_biotype:protein_coding transcript_biotype:protein_coding MERYERLESQNRRFESQNRCLESQNSMMENDITDLKNKVDILIQTASRQSGVEGGVAAILSPISRK >DRNTG_15581.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7666206:7669473:1 gene:DRNTG_15581 transcript:DRNTG_15581.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERYERLESQNRRFESQNRCLESQNSMMENDITDLKNKVDILIQTASRQSGVEGGVAAILSPISRKGPGTSHSQNNDIGYSNPNEDGDQDHIASTGLQ >DRNTG_15581.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7666206:7672386:1 gene:DRNTG_15581 transcript:DRNTG_15581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYERLESQNRRFESQNRCLESQNSMMENDITDLKNKVDILIQTASRQSGVEGGVAAILSPISRKGPGTSHSQNNDIGYSNPNEDGDQDHIASTGLQ >DRNTG_15581.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7671903:7672386:1 gene:DRNTG_15581 transcript:DRNTG_15581.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKINKATKACDQGTSGLGCNGRQGSASGNANEQDKIKFSICY >DRNTG_05694.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1687973:1688215:-1 gene:DRNTG_05694 transcript:DRNTG_05694.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAASVLNRLLQNASRPKKPSQPPPSPSLILHHINSNNFAAAFSALF >DRNTG_18413.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4113842:4116937:1 gene:DRNTG_18413 transcript:DRNTG_18413.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDMYESKWMAENQRIASPSNITTVAQDLSSYEPVATASSSSLLELDALSMNWRREIPRSNDHLANWFPSPNPMHALKQGQIKSELFLISSKHQLSSQTDEASSQSLESIVNNQLLSSNEAQSQKPSPESSSIASQQKRTVSTISTLKLQVRKEKLGDRITTLQQLVSPFGKTDTASVLLDTIEYIKSLHDQVNVLFAPYSRTNQWIVEGESDLTSKGLCLVPISTISNAAYDTSMDVWTPTFGSTSVQVINQQSAIPQSY >DRNTG_18413.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4113842:4116937:1 gene:DRNTG_18413 transcript:DRNTG_18413.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDMYESKWMAENQRIASPSNITTVAQDLSSYEPVATASSSSLLELDALSMNWRREIPRSNDHLANWFPSPNPMHALKQGQIKSELFLISSKHQLSSQTDEASSQSLESIVNNQLLSSNEAQSQKPSPESSSIASQQKRTVSTISTLKLQVRKEKLGDRITTLQQLVSPFGKVRYHLVYDTSFNYANFELTVI >DRNTG_18413.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4113842:4116937:1 gene:DRNTG_18413 transcript:DRNTG_18413.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDMYESKWMAENQRIASPSNITTVAQDLSSYEPVATASSSSLLELDALSMNWRREIPRSNDHLANWFPSPNPMHALKQGQIKSELFLISSKHQLSSQTDEASSQSLESIVNNQLLSSNEAQSQKPSPESSSIASQQKRTVSTISTLKVRKEKLGDRITTLQQLVSPFGKTDTASVLLDTIEYIKSLHDQVNVLFAPYSRTNQWIVEGESDLTSKGLCLVPISTISNAAYDTSMDVWTPTFGSTSVQVINQQSAIPQSY >DRNTG_18413.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4113842:4116937:1 gene:DRNTG_18413 transcript:DRNTG_18413.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDMYESKWMAENQRIASPSNITTVAQDLSSYEPVATASSSSLLELDALSMNWRREIPRSNDHLANWFPSPNPMHALKQGQIKSELFLISSKHQLSSQTDEASSQSLESIVNNQLLSSNEAQSQKPSPESSSIASQQKRTVSTISTLKLQVRKEKLGDRITTLQQLVSPFGKVRYHLVYDTSFNYANFELTVI >DRNTG_18413.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4113842:4116937:1 gene:DRNTG_18413 transcript:DRNTG_18413.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDMYESKWMAENQRIASPSNITTVAQDLSSYEPVATASSSSLLELDALSMNWRREIPRSNDHLANWFPSPNPMHALKQGQIKSELFLISSKHQLSSQTDEASSQSLESIVNNQLLSSNEAQSQKPSPESSSIASQQKRTVSTISTLKLQVRKEKLGDRITTLQQLVSPFGKTDTASVLLDTIEYIKSLHDQVNVLFAPYSRTVGTSSF >DRNTG_18413.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4113842:4116937:1 gene:DRNTG_18413 transcript:DRNTG_18413.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDMYESKWMAENQRIASPSNITTVAQDLSSYEPVATASSSSLLELDALSMNWRREIPRSNDHLANWFPSPNPMHALKQGQIKSELFLISSKHQLSSQTDEASSQSLESIVNNQLLSSNEAQSQKPSPESSSIASQQKRTVSTISTLKVRCTNVSHDSYSTILQMTSYHP >DRNTG_18413.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4113842:4116937:1 gene:DRNTG_18413 transcript:DRNTG_18413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDMYESKWMAENQRIASPSNITTVAQDLSSYEPVATASSSSLLELDALSMNWRREIPRSNDHLANWFPSPNPMHALKQGQIKSELFLISSKHQLSSQTDEASSQSLESIVNNQLLSSNEAQSQKPSPESSSIASQQKRTVSTISTLKVRKEKLGDRITTLQQLVSPFGKTDTASVLLDTIEYIKSLHDQVNVLFAPYSRTNQWIVEGESDLTSKGLCLVPISTISNAAYDTSMDVWTPTFGSTSVQVINQQSAIPQSY >DRNTG_23823.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29517414:29519893:1 gene:DRNTG_23823 transcript:DRNTG_23823.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRMEQGEADLVTPGEKLGDATVLIAGKGAYLSPNGRTIHASLTGLRVLTPPPSGSSDQRSIVDVVGHRSHGPVPEPGSIVVVRVTKVMARMASADIMCVGSKAVKEKFTGIIRQQDVRATEIDKVDMYLSFRPGDIVRALVLSLGDARAYHLSTARNELGVISAQSISGGVMVPISWTEMQCPLTGQIEHRKVAKVPPKSEVKDE >DRNTG_18319.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2492950:2496576:1 gene:DRNTG_18319 transcript:DRNTG_18319.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRVHEVWKGSNKFIFGGRLIFGPDAISLPFTISLIVVPMVIFCVFVARHLRHKFASYDAGYAILVVAIAFTIYVLVLLLLTSGRDPGIVPRASHPPEEEFSYDTSVATEPGGRQTPSLQFPRSKEVIVNGQPVKVKYCDTCMVYRPPRCSHCSICDNCVERFDHHCPYVGQCIGQRNYRYFLLFVSSATLLCIYVFAMSAWYIKFLMDEDYPTVWKAMRHSPASVALMIYCFITLWFVGGLTGFHLYLITSNQTTYENLRYKLDNRPNPYDRGCMHNFREVFCTKIKPSRNNFRAYVQEEVTRPQSISRSREIEHESDSNPRSKVEDDLELGGDLLRISQRRNFVSVDEQIVGNSSRGMQSVISESDLIPVSDAHISSRGRSSNWEISSDVLSTSAIVNESSVATRKESQ >DRNTG_19453.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000998.1:254455:256271:1 gene:DRNTG_19453 transcript:DRNTG_19453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTNPTNEENLTNHLIAIQLNDTATEALNPANASCDIANNDTNHTISTSTISQASNDPVDATANGVEAQVKVAWVVKASQQYKDFLRGPSSHTGGSISNVEHGKRLASSLGHIPTSHELFLFTHSKKRDGQTFIDEKSKSLNSQNQEEIQNELATMKKKIEAQDNLIVGLKRTIEMLYNHIGMPPLHGTQNASNNNPDECEGTRDGDGDGSEDPGLL >DRNTG_30009.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001537.1:14666:18538:-1 gene:DRNTG_30009 transcript:DRNTG_30009.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNSGGMPSSHSALCMALTSSVALCHGVSDSLFPVCLGFSLIVMYDAIGVRRHAGMQAEVLNKIIDDLFQGHPISQRKLKELLGHTPSQVIAGALLGILVACFCCQGIVPI >DRNTG_30009.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001537.1:14666:18538:-1 gene:DRNTG_30009 transcript:DRNTG_30009.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNSGGMPSSHSALCMALTSSVALCHGVSDSLFPVCLGFSLIVMYDAIGVRRHAGMQAEVLNKIIDDLFQGHPISQRKLKELLGHTPSQVIAGALLGILVACFCCQGIVPI >DRNTG_30009.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001537.1:13891:18538:-1 gene:DRNTG_30009 transcript:DRNTG_30009.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNSGGMPSSHSALCMALTSSVALCHGVSDSLFPVCLGFSLIVMYDAIGVRRHAGMQAEVLNKIIDDLFQGHPISQRKLKELLGHTPSQVIAGALLGILVACFCCQGIVPI >DRNTG_30009.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001537.1:13891:18538:-1 gene:DRNTG_30009 transcript:DRNTG_30009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNSGGMPSSHSALCMALTSSVALCHGVSDSLFPVCLGFSLIVMYDAIGVRRHAGMQAEVLNKIIDDLFQGHPISQRKLKELLGHTPSQVIAGALLGILVACFCCQGIVPI >DRNTG_30009.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001537.1:16764:18613:-1 gene:DRNTG_30009 transcript:DRNTG_30009.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNSGGMPSSHSALCMALTSSVALCHGVSDSLFPVCLGFSLIVMYDAIGVRRHAGMQAEVLNKIIDDLFQGHPISQRKLKELLGHTPSQVIAGALLGILVACFCCQGIVPI >DRNTG_33366.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26458911:26461332:1 gene:DRNTG_33366 transcript:DRNTG_33366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRKDPLEEDTRFTKGKVCRKKVTIKCSIYRVAGHNRRFHGLQCGSTEEDPMETIDPQVLQEHIIEAEVMRDNHASEDNADGMTSRTQEQLSQVIDIPIQSHVGIPTASSVEQVSNVPVDILPKESIQVSGNISVSSLAHQNLVEDETRAASSSTKLIPKVPTPTTDVPQKPFSTIATAIELLLHCFLGRLLQPVIGHERTAPLSSLSHP >DRNTG_08764.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18488901:18496321:-1 gene:DRNTG_08764 transcript:DRNTG_08764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTINVNEVLDYAVFQISSSQNRYETFVCCQGKTEKLNGGILDQLALHLPKAKEIQEQQTTDSFRLHVLEGHGDSAWFTKSTIARFLNIVSAPEALKNANAIENEMSQLEDTRNFQLALYAKDHPEQFGGGGADVSSMKMMGVTHKLKNERSSSDATKNELLRAMDLRITALKEELSSTFHKAMGATCSPKQISDVMTFAQYFGAIDLRDSLVKYLDLCFLDENTDLSIEQSPLDDISNNSENIIDGTSLQSSQINPPRPATNGISPAKIAQAERQSLTESEESSDSGNDEEPYVERSRPMVRSATPRRSASPMRRVQIGRSGSRRSTALTIKSLGYFPPRDRIPFNRDADGSKSGDEESEEQPKRTDNAVRRMSVQDAISLFESKQKDQTSDAPKRKTTVEASVSTNKSVLRRWSAGMGDSFSPCTQENASNTGTHITSDPVVGVEEKKSTEDKTAPDLPEDVSNQNQFAESPSSSEAKKIDSPPIIEPAEVVIPQSEETNDRTTSSAEWNRQKEAELNEMLMKMMENKPGKYRAASAGHQDVANEQRGGFYSQYKEKRDEKLRAENAGKQAAKVAQFKVMQETLEQSKVQMTSKSTTKGKQDSVNHSQRPRRNSSPPVLTKKDASVLTKKEASKPAGTRKASPKSSPLSSVRNSSTGSLPRTVGTPPARPSPGTSTATPPTRRKPQPSPSPTRPSPRTERQPQPKEKKGRTVSDSKPSLKVKEDTRQKTPTRNRQSTKPKNPSASGDDPVETLTKPSFYSKVTKKSTVVPLESKPFLKKGTGIGPGVGPVIAKTKASQSDESLKDSGSLTQTEEKESTSLVAELTAEAVESCEQVQPEPTNSVEVNLEIPEESELNHEKADDSSQAPVAENSFMHSVEPVSEIQSDVDMGISSAAWVEVEHQDISSSLDNCLPEAAISPELVPIPSSSPRVRHSLSQMLQADTSEPEIVEWGNAENPPALVYQKDAPKGLKRLLKFARKSKGEANVTGWASPSVFSEGEDDSEESKAASKRNPDALLRKAALQTKGYGQQKALLSESFDGGNSSKRATDYRGMHSILSGQASMSGAEKLREGHSSATSTSTKATRSFFSLSTFRSGKSSETKLR >DRNTG_08764.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18488901:18496321:-1 gene:DRNTG_08764 transcript:DRNTG_08764.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTINVNEVLDYAVFQISSSQNRYETFVCCQGKTEKLNGGILDQLALHLPKAKEIQEQQTTDSFRLHVLEGHGDSAWFTKSTIARFLNIVSAPEALKNANAIENEMSQLEDTRNFQLALYAKDHPEQFGGGGADVSSMKMMGVTHKLKNERSSSDATKNELLRAMDLRITALKEELSSTFHKAMGATCSPKQISDVMTFAQYFGAIDLRDSLVKYLDLCFLDENTDLSIEQSPLDDISNNSENIIDGTSLQSSQINPPRPATNGISPAKIAQAERQSLTESEESSDSGNDEEPYVERSRPMVRSATPRRSASPMRRVQIGRSGSRRSTALTIKSLGYFPPRDRIPFNRDADGSKSGDEESEEQPKRTDNAVRRMSVQDAISLFESKQKDQTSDAPKRKTTVEASVSTNKSVLRRWSAGMGDSFSPCTQENASNTGTHITSDPVVGVEEKKSTEDKTAPDLPEDVSNQNQFAESPSSSEAKKIDSPPIIEPAEVVIPQSEETNDRTTSSAEWNRQKEAELNEMLMKMMENKPGKYRAASAGHQDVANEQRGGFYSQYKEKRDEKLRAENAGKQAAKVAQFKVMQETLEQSKVQMTSKSTTKGKQDSVNHSQRPRRNSSPPVLTKKDASVLTKKEASKPAGTRKASPKSSPLSSVRNSSTGSLPRTVGTPPARPSPGTSTATPPTRRKPQPSPSPTRPSPRTERQPQPKEKKGRTVSDSKPSLKVKEDTRQKTPTRNRQSTKPKNPSASGDDPVETLTKPSFYSKVTKKSTVVPLESKPFLKKGTGIGPGVGPVIAKTKASQSDESLKDSGSLTQTEEKESTSLVAELTAEAVESCEQVQPEPTNSVEVNLEIPEESELNHEKADDSSQAPVAENSFMHSVEPVSEIQSDVDMGISSAAWVEVEHQDISSSLDNCLPEAAISPELVPIPSSSPRVRHSLSQMLQADTSEPEIVEWGNAENPPALVYQKDAPKGLKRLLKFARKSKGEANVTGWASPSVFSEGEDDSEESKAASKRNPDALLRKAALQTKGYGQQKALLSESFDGGNSSKRATDYRGMHSILSGQASMSGAEKLREGHSSATSTSTKATRSFFSLSTFRSGKSSETKLR >DRNTG_03629.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11707284:11711790:1 gene:DRNTG_03629 transcript:DRNTG_03629.1 gene_biotype:protein_coding transcript_biotype:protein_coding YPVEATTTRIEVEPPTSSSPTEKLRLSLATPALPSFGFSILIPTIINDLDILVKLRLLKIKPPTSSLSTECSKGLDESRINMLIGRVNSLQFLLL >DRNTG_03629.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11707284:11707659:1 gene:DRNTG_03629 transcript:DRNTG_03629.2 gene_biotype:protein_coding transcript_biotype:protein_coding YPVEATTTRIEVEPPTSSSPTEKLRLSLATPALPSFGFSILIPTIINDLDILVKLRLLKIKPPTSSLSTECSKGLDESRINMLIGRVNSLQFLLL >DRNTG_23867.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20848429:20852572:1 gene:DRNTG_23867 transcript:DRNTG_23867.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKFSFEDISAQNQVKTSVQRKIRQSIAEEYPGLEPLMEDLLPKKSPLLVAKCQNHLNLVVVNNVPLFFNVRDGPYMPTLRLLHQYPDIMKKFQVDRGAIKFVLAGANIMCPGLTSPGGAMDDEVSEETPVAVMAEGKQHALAIGFTKLSAKDIRAVNKGIGVDNMHYLNDGLWKMERLE >DRNTG_05798.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19163365:19166360:1 gene:DRNTG_05798 transcript:DRNTG_05798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLAPEYAMHGQLTKKADVYSFGVLILEIISGRSTSKSTHSDTEQALLEWTWQLYEEEKLTDLVDPNLKEYPEEDVKRFIKVALFCIQAATARRPSMTQVVEMLSKPTIRIKEKELTAPGLWHKSSATSTTSSSKSQANDTSPMLSVTPLTAPSVTLMLAR >DRNTG_05798.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19163848:19166360:1 gene:DRNTG_05798 transcript:DRNTG_05798.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCCFDALFAGIKDKVTPYSQENTNYENSPVKKNIKFFTYNELSSATNDFHPSNRIGRGGFGIVYKGVLRNGSTIAAKVLSAESKQGVNEFLTEINTISNVKHPNLVELVGCCVQGSSRILVYEYVENNSLDRALLGPKGNRCKLSWSSRSAICLGAAKGLVFLHEETRPHIVHRDIKASNILLDRNFVPKIGDFGLAKLFPDNVTHLSTRIAGTMGYLAPEYAMHGQLTKKADVYSFGVLILEIISGRSTSKSTHSDTEQALLEWTWQLYEEEKLTDLVDPNLKEYPEEDVKRFIKVALFCIQAATARRPSMTQVVEMLSKPTIRIKEKELTAPGLWHKSSATSTTSSSKSQANDTSPMLSVTPLTAPSVTLMLAR >DRNTG_09276.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21583070:21589624:-1 gene:DRNTG_09276 transcript:DRNTG_09276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLAFADAVEVGCGIALPMTLKAMIELDVLEVMAAAGPGALLSPEEILSKIQSSNPDAHEVLDRMLRFLAANKVMMCDEMDGEEDGKSKRRYGLGPVCKFFTKDEDGVSLAPFMLVHLSKAWADTWANIKHIVLDGSAPFVKTHGTTLFEYE >DRNTG_32735.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001905.1:15567:16194:-1 gene:DRNTG_32735 transcript:DRNTG_32735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICLMPYIPDDPVFRGVKHMVQGNRELHHAETGTQVPSGV >DRNTG_26810.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20252486:20253909:-1 gene:DRNTG_26810 transcript:DRNTG_26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASTSFSLALTLFILATIATSTGVAAIGYKAPPKLIFRPSSWSQAHATFYGDESASETMGGACGYENLFNNGYGTATAALSSTLFKKGYACGTCYQIRCTGSKACFRGSPITTVTATNLCPPNWSKDSNDGGWCNPPRVHFDMSKPAFMNIANWKAGIVPILFRRVPCMKKGGLRFSFQGNGYWLLVYVMNVGGGGDIGSMWVKGSKTGWISMSHNWGASYQAFATLSGQSLSFKLTSYTTRQTIIAINVAPTNWHVGFTYHSSVNFS >DRNTG_13334.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1052588:1059162:1 gene:DRNTG_13334 transcript:DRNTG_13334.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISRKLLPACGNICVCCPALRPSSRRPVKRYKKLLAEIFPKSLDGPPNERKILKLCEYATKNPIRIPKIAKYLEQRSYKELRTENVNSIKIIIEAYSKLLSICKDQMAYFAGSLLNVIVELLDNKHSDTIKILGCQTLTRFICSQADSTYTHNIESLASKVCSLARQRGERCKSLRAASLQCLSSMVWFMTEYSHIFADIDEIIRVTLDNYGADEDIEGSGERRESDHHWVDEVVRCETRGGALICNDFNPINMTMRPHPEIKDSSMLTREESENPKVWARICINKLAELAKESTTMRRLLDPMLVYFDIGKHWPPLNGLALIVLSDMAYFVKGSGNEQVILTGIIRHLDHKNVVHDPQTKADIVLAATSLVRQLKSREVVLEVGVVSDLCRHLRKTLQAAIESVGQQQTECYTSLQNSIEDCLLEIAKGVSDACSLFDMMAISLEKLPTNAVVARATIGSLLILAHIISLASFNSKSELVLPEVLLLQLLKAMMHPDVETRVGAHQIFSAVLIRTPNHPRQGLEYPYEPRKWNSKTSSAFASATALLEKLRKGKECMDVDKQLNDTADDIKEKEIVWKGSPNVYKMNYAIMDKSGTSTPPLETKIIMLTEEQTAQLLSAFWLQAIQPDNLPSNFEAIAHSFSLTLVSSRLKNSNYSNTIRAFQLCFSLRNAAFDSSGILAPCYRRSLFTLATGMLGFAGKIYQIPELIDPHKYLLSCIVDPYLGVGDDMQVYTKYQADIKDYGSDFDQQAATSSLINLRKVVSETEEHIFDIIVRVLASSTGLEMDELSKQLSETFIPEDDLLFCANPSLDWKTIKALATCDETLSFDEERSRSSSMDADMIAESPATDLLRFIPKMPAPILPQVISVGQLLESALHVAGQVAGSSISTSPLPYGAMASQCEALGMGTRKKLSSWLGNGHESIPEKPTIIGKMNSEKSKPGSYVPSEPWVALRLPPASPFDNFLKAAGC >DRNTG_13334.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1052588:1059162:1 gene:DRNTG_13334 transcript:DRNTG_13334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISRKLLPACGNICVCCPALRPSSRRPVKRYKKLLAEIFPKSLDGPPNERKILKLCEYATKNPIRIPKIAKYLEQRSYKELRTENVNSIKIIIEAYSKLLSICKDQMAYFAGSLLNVIVELLDNKHSDTIKILGCQTLTRFICSQADSTYTHNIESLASKVCSLARQRGERCKSLRAASLQCLSSMVWFMTEYSHIFADIDEIIRVTLDNYGADEDIEGSGERRESDHHWVDEVVRCETRGGALICNDFNPINMTMRPHPEIKDSSMLTREESENPKVWARICINKLAELAKESTTMRRLLDPMLVYFDIGKHWPPLNGLALIVLSDMAYFVKGSGNEQVILTGIIRHLDHKNVVHDPQTKADIVLAATSLVRQLKSREVVLEVGVVSDLCRHLRKTLQAAIESVGQQQTECYTSLQNSIEDCLLEIAKGVSDACSLFDMMAISLEKLPTNAVVARATIGSLLILAHIISLASFNSKSELVLPEVLLLQLLKAMMHPDVETRVGAHQIFSAVLIRTPNHPRQGLEYPYEPRKWNSKTSSAFASATALLEKLRKGKECMDVDKQLNDTADDIKEKEIVWKGSPNVYKMNYAIMDKSGTSTPPLETKIIMLTEEQTAQLLSAFWLQAIQPDNLPSNFEAIAHSFSLTLVSSRLKNSNYSNTIRAFQLCFSLRNAAFDSSGILAPCYRRSLFTLATGMLGFAGKIYQIPELIDPHKYLLSCIVDPYLGVGDDMQVYTKYQADIKDYGSDFDQQAATSSLINLRKVVSETEEHIFDIIVRVLASSTGLEMDELSKQLSETFIPEDDLLFCANPSLDWKTIKALATCDETLSFDEERSRSSSMDADMIAESPATDLLRFIPKMPAPILPQVISVGQLLESALHVAGQVAGSSISTSPLPYGAMASQCEALGMGTRKKLSSWLGNGHESIPEKPTIIGKMNSEKSKPGSYVPSEPWVALRLPPASPFDNFLKAAGC >DRNTG_23762.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21194720:21195145:1 gene:DRNTG_23762 transcript:DRNTG_23762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSSATICDLFLIFNLLFFTFGTSSLTSIPVLHPPTPAATPPRSVQPGSGGHAPAPTPASPTPGNGGHGVPIPNPITPPASPPSGNGHGGPSPPARPPSGNGGPCPVKPIGFEGCAHVLDGSDVDLNGQC >DRNTG_25077.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:652442:658413:1 gene:DRNTG_25077 transcript:DRNTG_25077.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear poly(A) polymerase 3 [Source:Projected from Arabidopsis thaliana (AT3G06560) UniProtKB/Swiss-Prot;Acc:Q56XM9] MAYAHPVQRRVVVPGQAPLIVVDPRTLVFSPAPPPPPVGYLPGAIPAIASPAFPGVVVAPVALRLNPASLLRIDEQRTHELIQFMVSEGLVPTPEEDMKRRDAMEQLKQIVLAWIKLVACRHGLPKEVISSTSATILPFGSYGLGVHGSDSDIDALCIGPSFATLEEDFFDVLKNMIQSRPEVSEVHCVKSAKVPLMRFKFGGINIDFPYTQLQAISVPEFVDIFDPYLVVSNETSWRSLSGVRANIRILQLVPSLKNFQSMLRCIKTLGKKAWSLFTFAWVLWRNSSGYPRCSCLPEISKCQYKCFDGYFL >DRNTG_25077.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:652442:658413:1 gene:DRNTG_25077 transcript:DRNTG_25077.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear poly(A) polymerase 3 [Source:Projected from Arabidopsis thaliana (AT3G06560) UniProtKB/Swiss-Prot;Acc:Q56XM9] MAYAHPVQRRVVVPGQAPLIVVDPRTLVFSPAPPPPPVGYLPGAIPAIASPAFPGVVVAPVALRLNPASLLRIDEQRTHELIQFMVSEGLVPTPEEDMKRRDAMEQLKQIVLAWIKLVACRHGLPKEVISSTSATILPFGSYGLGVHGSDSDIDALCIGPSFATLEEDFFDVLKNMIQSRPEVSEVHCVKSAKVPLMRFKFGGINIDFPYTQLQAISVPEFVDIFDPYLVVSNETSWRSLSGVRANIRILQLVPSLKNFQSMLRCIKTLGKKAWSLFTFAWVLWRNSSGYPRCSCLPEISKCQYKCFDGYFL >DRNTG_25077.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:652442:658413:1 gene:DRNTG_25077 transcript:DRNTG_25077.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear poly(A) polymerase 3 [Source:Projected from Arabidopsis thaliana (AT3G06560) UniProtKB/Swiss-Prot;Acc:Q56XM9] MAYAHPVQRRVVVPGQAPLIVVDPRTLVFSPAPPPPPVGYLPGAIPAIASPAFPGVVVAPVALRLNPASLLRIDEQRTHELIQFMVSEGLVPTPEEDMKRRDAMEQLKQIVLAWIKLVACRHGLPKEVISSTSATILPFGSYGLGVHGSDSDIDALCIGPSFATLEEDFFDVLKNMIQSRPEVSEVHCVKSAKVPLMRFKFGGINIDFPYTQLQAISVPEFVDIFDPYLVVSNETSWRSLSGVRANIRILQLVPSLKNFQSMLRCIKTLGKKAWSLFTFAWVLWRNSSGYPRCSCLPEISKCQYKCFDGYFL >DRNTG_16889.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29948684:29952298:1 gene:DRNTG_16889 transcript:DRNTG_16889.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDPQAEKTKSVVVILIGAPGSGKTTFCNDVMSAAVRPWVRVCQDVIANGKAGTKLQCLKTATEALKDGKSVFIDRCNLEQEQRADFVKLGGTQAEIHAVVLDLPARVCISRSVKRTGHEGNLQGGKAAAVVNRMLQKKELPKLSEGFTRITFCLNEKDVKQAVDTYIALGLSDSLPSGIFGQKSDDSKVQLGIMKFLKKIEVPKNVAPNENSSQSSAAKQEKEGDNPCSMELGKECNKKDELCGKSVADICSQDDFHTLAFPSISTSDFQFNHEKASDIITEVVANFLIKVDNVRLVLVDLSHKSNILSLVRAKAAKKHIDNKRFLINVGDITRLHTEGGLHCNVIANAANWRLKPGGGGVNAAIFKAAGEALEISTKQHAETLSPGNSVVVPLPSTSPLHQREGVTHVIHVLGPNMNPQRPNCLNDDYVQGCNILRNAYSSLFKNFASISHSQNNQDSDRNSKAGYSDTQRDLGKGTTLENHQKAKREISHDCEKNKKCKGVDSEDELMELDCAGPAKSLDRSTFSAKHDDKRNILPILTSRPEEIIHTDQMISKVVKKNWGFMGTSSSSNSHAS >DRNTG_16889.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29948658:29951860:1 gene:DRNTG_16889 transcript:DRNTG_16889.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDPQAEKTKSVVVILIGAPGSGKTTFCNDVMSAAVRPWVRVCQDVIANGKAGTKLQCLKTATEALKDGKSVFIDRCNLEQEQRADFVKLGGTQAEIHAVVLDLPARVCISRSVKRTGHEGNLQGGKAAAVVNRMLQKKELPKLSEGFTRITFCLNEKDVKQAVDTYIALGLSDSLPSGIFGQKSDDSKVQLGIMKFLKKIEVPKNVAPNENSSQSSAAKQEKEGDNPCSMELGKECNKKDELCGKSVADICSQDDFHTLAFPSISTSDFQFNHEKASDIITEVVANFLIKVDNVRLVLVDLSHKSNILSLVRAKAAKKHIDNKRFLINVGDITRLHTEGGLHCNVIANAANWRLKPGGGGVNAAIFKAAGEALEISTKQHAETLSPGNSVVVPLPSTSPLHQREGVTHVIHVLGPNMNPQRPNCLNDDYVQGCNILRNAYSSLFKNFASISHSQNNQDSDRNSKAGYSDTQRDLGKGTTLENHQKAKREISHDCEKNKKCKGVDSEDELMELDCAGPAKSLDRSTFSAKHDDKRNILPILTSRPEEIIHTDQMISKVVKKNWGFMGTSSSSNSHAS >DRNTG_16889.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29948684:29951860:1 gene:DRNTG_16889 transcript:DRNTG_16889.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDPQAEKTKSVVVILIGAPGSGKTTFCNDVMSAAVRPWVRVCQDVIANGKAGTKLQCLKTATEALKDGKSVFIDRCNLEQEQRADFVKLGGTQAEIHAVVLDLPARVCISRSVKRTGHEGNLQGGKAAAVVNRMLQKKELPKLSEGFTRITFCLNEKDVKQAVDTYIALGLSDSLPSGIFGQKSDDSKVQLGIMKFLKKIEVPKNVAPNENSSQSSAAKQEKEGDNPCSMELGKECNKKDELCGKSVADICSQDDFHTLAFPSISTSDFQFNHEKASDIITEVVANFLIKVDNVRLVLVDLSHKSNILSLVRAKAAKKHIDNKRFLINVGDITRLHTEGGLHCNVIANAANWRLKPGGGGVNAAIFKAAGEALEISTKQHAETLSPGNSVVVPLPSTSPLHQREGVTHVIHVLGPNMNPQRPNCLNDDYVQGCNILRNAYSSLFKNFASISHSQNNQDSDRNSKAGYSDTQRDLGKGTTLENHQKAKREISHDCEKNKKCKGVDSEDELMELDCAGPAKSLDRSTFSAKHDDKRNILPILTSRPEEIIHTDQMISKVVKKNWGFMGTSSSSNSHAS >DRNTG_16889.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29948658:29952298:1 gene:DRNTG_16889 transcript:DRNTG_16889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDPQAEKTKSVVVILIGAPGSGKTTFCNDVMSAAVRPWVRVCQDVIANGKAGTKLQCLKTATEALKDGKSVFIDRCNLEQEQRADFVKLGGTQAEIHAVVLDLPARVCISRSVKRTGHEGNLQGGKAAAVVNRMLQKKELPKLSEGFTRITFCLNEKDVKQAVDTYIALGLSDSLPSGIFGQKSDDSKVQLGIMKFLKKIEVPKNVAPNENSSQSSAAKQEKEGDNPCSMELGKECNKKDELCGKSVADICSQDDFHTLAFPSISTSDFQFNHEKASDIITEVVANFLIKVDNVRLVLVDLSHKSNILSLVRAKAAKKHIDNKRFLINVGDITRLHTEGGLHCNVIANAANWRLKPGGGGVNAAIFKAAGEALEISTKQHAETLSPGNSVVVPLPSTSPLHQREGVTHVIHVLGPNMNPQRPNCLNDDYVQGCNILRNAYSSLFKNFASISHSQNNQDSDRNSKAGYSDTQRDLGKGTTLENHQKAKREISHDCEKNKKCKGVDSEDELMELDCAGPAKSLDRSTFSAKHDDKRNILPILTSRPEEIIHTDQMISKVVKKNWGFMGTSSSSNSHAS >DRNTG_16889.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29948658:29951860:1 gene:DRNTG_16889 transcript:DRNTG_16889.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDPQAEKTKSVVVILIGAPGSGKTTFCNDVMSAAVRPWVRVCQDVIANGKAGTKLQCLKTATEALKDGKSVFIDRCNLEQEQRADFVKLGGTQAEIHAVVLDLPARVCISRSVKRTGHEGNLQGGKAAAVVNRMLQKKELPKLSEGFTRITFCLNEKDVKQAVDTYIALGLSDSLPSGIFGQKSDDSKVQLGIMKFLKKIEVPKNVAPNENSSQSSAAKQEKEGDNPCSMELGKECNKKDELCGKSVADICSQDDFHTLAFPSISTSDFQFNHEKASDIITEVVANFLIKVDNVRLVLVDLSHKSNILSLVRAKAAKKHIDNKRFLINVGDITRLHTEGGLHCNVIANAANWRLKPGGGGVNAAIFKAAGEALEISTKQHAETLSPGNSVVVPLPSTSPLHQREGVTHVIHVLGPNMNPQRPNCLNDDYVQGCNILRNAYSSLFKNFASISHSQNNQDSDRNSKAGYSDTQRDLGKGTTLENHQKAKREISHDCEKNKKCKGVDSEDELMELDCAGPAKSLDRSTFSAKHDDKRNILPILTSRPEEIIHTDQMISKVVKKNWGFMGTSSSSNSHAS >DRNTG_33395.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:15384:18519:-1 gene:DRNTG_33395 transcript:DRNTG_33395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLLLMFYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPTSSGEISTTTLLLSLSTSSGEVSATTLLLSLPSSAGEVATTTVLL >DRNTG_31594.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18563503:18564964:-1 gene:DRNTG_31594 transcript:DRNTG_31594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLSSTAARSLATICWFSTWTGLRVMPTPLQKSFLLPHMHAAFDTWRGERWGEIYLNVAESINVRIKEARHLPVMKMVDSIWYLNIDFIPWICSDEAIFPIPDHDKPMDNNRELHLRPLITRKQPGRPRQKGIESQASE >DRNTG_06262.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:152915:155439:1 gene:DRNTG_06262 transcript:DRNTG_06262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMIGKTETVKQTTQVSKLEAEMVNAMQRRAAEGSSMKSFNSLILKFPKIDENLKKCRSIFQQFDEDSNGAIDQEELKHCFIKLEISFTEDEISDLFEACDINKDMGMKFNEFIVLLCLVYLLKELGAVHGKRRMGLPDLEATFETLVEAFVFLDKNKDGYVSRNEMVQAINETVGGERSSGRIAMRRFEEMDWDKNGMVTFKEFLFAFTHWIGFEDNDDDEDDEE >DRNTG_06262.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:152915:155439:1 gene:DRNTG_06262 transcript:DRNTG_06262.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMIGKTETVKQTTQVSKLEAEMVNAMQRRAAEGSSMKSFNSLILKFPKIDENLKKCRSIFQQFDEDSNGAIDQEELKHCFIKLEISFTEDEISDLFEACDINKDMGMKFNEFIVLLCLVYLLKELGAVHGKRRMGLPDLEATFETLVEAFVFLDKNKDGYVSRNEMVQAINETVGGERSSGRIAMRRFEEMDWDKNGMVTFKEFLFAFTHWIGFEDNDDDEDDEE >DRNTG_07761.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19415771:19416198:1 gene:DRNTG_07761 transcript:DRNTG_07761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRKASVSTADLIDAKLEEHQICGSMQCPSCGHKLDRKPNWVGLPAGVKFDPTDQELIEHLESKVLSEDTA >DRNTG_04828.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5466747:5471908:-1 gene:DRNTG_04828 transcript:DRNTG_04828.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSHSATVTSLAIAVGLHDGFGSSSFATAMIFACVVMYDAFGVLLHAGKQAEC >DRNTG_04828.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5466747:5471908:-1 gene:DRNTG_04828 transcript:DRNTG_04828.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSHSATVTSLAIAVGLHDGFGSSSFATAMIFACVVMYDAFGVLLHAGKQAEV >DRNTG_04828.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5466747:5471908:-1 gene:DRNTG_04828 transcript:DRNTG_04828.12 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSHSATVTSLAIAVGLHDGFGSSSFATAMIFACVVMYDAFGVLLHAGKQAEC >DRNTG_04828.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5466747:5471935:-1 gene:DRNTG_04828 transcript:DRNTG_04828.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSHSATVTSLAIAVGLHDGFGSSSFATAMIFACVVMYDAFGVLLHAGKQAEV >DRNTG_04828.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5466747:5471908:-1 gene:DRNTG_04828 transcript:DRNTG_04828.11 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSHSATVTSLAIAVGLHDGFGSSSFATAMIFACVVMYDAFGVLLHAGKQAEC >DRNTG_04828.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5466747:5471908:-1 gene:DRNTG_04828 transcript:DRNTG_04828.10 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSHSATVTSLAIAVGLHDGFGSSSFATAMIFACVVMYDAFGVLLHAGKQAEC >DRNTG_04828.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5466747:5471908:-1 gene:DRNTG_04828 transcript:DRNTG_04828.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSHSATVTSLAIAVGLHDGFGSSSFATAMIFACVVMYDAFGVLLHAGKQAEC >DRNTG_04828.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5466747:5471908:-1 gene:DRNTG_04828 transcript:DRNTG_04828.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSHSATVTSLAIAVGLHDGFGSSSFATAMIFACVVMYDAFGVLLHAGKQAEC >DRNTG_04828.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5466747:5471908:-1 gene:DRNTG_04828 transcript:DRNTG_04828.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSHSATVTSLAIAVGLHDGFGSSSFATAMIFACVVMYDAFGVLLHAGKQAEV >DRNTG_04828.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5466699:5471908:-1 gene:DRNTG_04828 transcript:DRNTG_04828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSHSATVTSLAIAVGLHDGFGSSSFATAMIFACVVMYDAFGVLLHAGKQAEC >DRNTG_04828.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5466747:5471908:-1 gene:DRNTG_04828 transcript:DRNTG_04828.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSHSATVTSLAIAVGLHDGFGSSSFATAMIFACVVMYDAFGVLLHAGKQAEV >DRNTG_04828.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5466747:5471908:-1 gene:DRNTG_04828 transcript:DRNTG_04828.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSHSATVTSLAIAVGLHDGFGSSSFATAMIFACVVMYDAFGVLLHAGKQAEC >DRNTG_11786.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:542594:544210:-1 gene:DRNTG_11786 transcript:DRNTG_11786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVQSVGFPVLIIYIFIYNITTTYNKKHPLIISSSTAFPSIIFIYIMLGIIIAGDNLMYSYGLLYLPVSTYSLICASQLAFNALFSYFINSQKLTPFIFNSVILLTFSAALLGVRSDSGEASEYNKYPLGFVLTVGASATYSLILSLIQLTFQKVLKKESFTIVVELQICTSVVASLVSVIGLLASGEWRGLKEEMEGFEEGKVAYVMVLVWIGIGWQVAAVGLIGLVFVVSSLFSNMISTLSLPLVPIFAVIFFHDRMDGVKIMAMLIAVWGFLSYIYQHYLDDLETKNKKQPCSSFSSSNDGDNGSDVIHDRVV >DRNTG_32838.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:42156:50052:-1 gene:DRNTG_32838 transcript:DRNTG_32838.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFAGKLVERLSKVIEEKAIMVLGVKDELQRLRRRMERIARVLKDAEKRKIQDETVKGWVNELKDLMYDADDIIDLCMIQGTGLLQDVDHPSQLAESSTTTASTRVRCCHFPLLSCVRSVPSRYEIADKIKSLNDKLEEISEDKDRFNFITSSNSSDAYPMNEASYRQSSSLPEPDIVGWDIKDATNSLVELLVSQPEEKCRLFAIVGMGGIGKTTLAQQIYNDPKINDAFVLRSWIWVSKSFPSRIDLLKEIIRNIGGKYGECTTISELQNILCDFLCEKSLFLILDDVWDENIWVDLIKNAIERTTTKCRVLVTTRDRNTAVKVGATHIHHVNKLPSDVGWELLCKKVFTNNNTQDMQRLKDIGMKIVEKCDGLPVAIKAIAGVLVTKDQNKREWENVLNSDAWTITGLPEELQGALYLSYEALPSALKHCFLYCALYPLGRKFHSKDLVCHWTAEGYIEAKGNASMEDVAKSYYMELICRSFLQPDPEYVDMSSCTIHDLLRELAEFFAGDESFSGDPQEVQSTESMKKLRRLTVTSNRESVSIPHLDCLRSLRLWTPPSLNTQMIGNLKHLRLLLLNGDKIENIPDSIGGLVHLRLLNLEHTCIHNLPDSLGNLINLQFLLLDDCKSLHILPKSITKLYNLRWLGLNDTPLNYVPKGIGKLEHLHRVKGLIIGDSGDDGEEGCNLEEIQMLEKLSYLSIRNLEKSSIKSSSVLSSKTHLRSLGLRCTFNYTDGHIQQQEMDKIVQVFDELRPPPCLEVLLIKNFFGGRYPKWMSSTSITTALQELTLLVLINCSNCPHLPQLGQLPQLKVLKIVGAIAVVSIGLEFLGNYNGEPTEIAFPKLETLILENMSNWEEWSLISGEEEDNEPESSKPLMFFPHLKSIFIVKCPKLKALPSGLNRVNIPELFIRGAHSLSRVSHLSTLKELEVTDCPMLECVEKLESLQSLKVIDYEEGNASLPQWLISFLQQREEKPREDDLFKLYLECSVQALKGCLKGCPHWSFIQRVPHFIGYAEDQSMYLKYTKEPYYYETNITDED >DRNTG_32838.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:41533:50052:-1 gene:DRNTG_32838 transcript:DRNTG_32838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFAGKLVERLSKVIEEKAIMVLGVKDELQRLRRRMERIARVLKDAEKRKIQDETVKGWVNELKDLMYDADDIIDLCMIQGTGLLQDVDHPSQLAESSTTTASTRVRCCHFPLLSCVRSVPSRYEIADKIKSLNDKLEEISEDKDRFNFITSSNSSDAYPMNEASYRQSSSLPEPDIVGWDIKDATNSLVELLVSQPEEKCRLFAIVGMGGIGKTTLAQQIYNDPKINDAFVLRSWIWVSKSFPSRIDLLKEIIRNIGGKYGECTTISELQNILCDFLCEKSLFLILDDVWDENIWVDLIKNAIERTTTKCRVLVTTRDRNTAVKVGATHIHHVNKLPSDVGWELLCKKVFTNNNTQDMQRLKDIGMKIVEKCDGLPVAIKAIAGVLVTKDQNKREWENVLNSDAWTITGLPEELQGALYLSYEALPSALKHCFLYCALYPLGRKFHSKDLVCHWTAEGYIEAKGNASMEDVAKSYYMELICRSFLQPDPEYVDMSSCTIHDLLRELAEFFAGDESFSGDPQEVQSTESMKKLRRLTVTSNRESVSIPHLDCLRSLRLWTPPSLNTQMIGNLKHLRLLLLNGDKIENIPDSIGGLVHLRLLNLEHTCIHNLPDSLGNLINLQFLLLDDCKSLHILPKSITKLYNLRWLGLNDTPLNYVPKGIGKLEHLHRVKGLIIGDSGDDGEEGCNLEEIQMLEKLSYLSIRNLEKSSIKSSSVLSSKTHLRSLGLRCTFNYTDGHIQQQEMDKIVQVFDELRPPPCLEVLLIKNFFGGRYPKWMSSTSITTALQELTLLVLINCSNCPHLPQLGQLPQLKVLKIVGAIAVVSIGLEFLGNYNGEPTEIAFPKLETLILENMSNWEEWSLISGEEEDNEPESSKPLMFFPHLKSIFIVKCPKLKALPSGLNRVNIPELFIRGAHSLSRVSHLSTLKELEVTDCPMLECVEKLESLQSLKVIDYEEGNASLPQWLISFLQQREEKPREDDLFKLYLECSVQALKGCLKGCPHWSFIQRVPHFIGYAEDQSMYLKYTKEPYYYETNITDED >DRNTG_32838.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:42156:50052:-1 gene:DRNTG_32838 transcript:DRNTG_32838.12 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFAGKLVERLSKVIEEKAIMVLGVKDELQRLRRRMERIARVLKDAEKRKIQDETVKGWVNELKDLMYDADDIIDLCMIQGTGLLQDVDHPSQLAESSTTTASTRVRCCHFPLLSCVRSVPSRYEIADKIKSLNDKLEEISEDKDRFNFITSSNSSDAYPMNEASYRQSSSLPEPDIVGWDIKDATNSLVELLVSQPEEKCRLFAIVGMGGIGKTTLAQQIYNDPKINDAFVLRSWIWVSKSFPSRIDLLKEIIRNIGGKYGECTTISELQNILCDFLCEKSLFLILDDVWDENIWVDLIKNAIERTTTKCRVLVTTRDRNTAVKVGATHIHHVNKLPSDVGWELLCKKVFTNNNTQDMQRLKDIGMKIVEKCDGLPVAIKAIAGVLVTKDQNKREWENVLNSDAWTITGLPEELQGALYLSYEALPSALKHCFLYCALYPLGRKFHSKDLVCHWTAEGYIEAKGNASMEDVAKSYYMELICRSFLQPDPEYVDMSSCTIHDLLRELAEFFAGDESFSGDPQEVQSTESMKKLRRLTVTSNRESVSIPHLDCLRSLRLWTPPSLNTQMIGNLKHLRLLLLNGDKIENIPDSIGGLVHLRLLNLEHTCIHNLPDSLGNLINLQFLLLDDCKSLHILPKSITKLYNLRWLGLNDTPLNYVPKGIGKLEHLHRVKGLIIGDSGDDGEEGCNLEEIQMLEKLSYLSIRNLEKSSIKSSSVLSSKTHLRSLGLRCTFNYTDGHIQQQEMDKIVQVFDELRPPPCLEVLLIKNFFGGRYPKWMSSTSITTALQELTLLVLINCSNCPHLPQLGQLPQLKVLKIVGAIAVVSIGLEFLGNYNGEPTEIAFPKLETLILENMSNWEEWSLISGEEEDNEPESSKPLMFFPHLKSIFIVKCPKLKALPSGLNRVNIPELFIRGAHSLSRVSHLSTLKELEVTDCPMLECVEKLESLQSLKVIDYEEGNASLPQWLISFLQQREEKPREDDLFKLYLECSVQALKGCLKGCPHWSFIQRVPHFIGYAEDQSMYLKYTKEPYYYETNITDED >DRNTG_32838.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:42156:50052:-1 gene:DRNTG_32838 transcript:DRNTG_32838.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFAGKLVERLSKVIEEKAIMVLGVKDELQRLRRRMERIARVLKDAEKRKIQDETVKGWVNELKDLMYDADDIIDLCMIQGTGLLQDVDHPSQLAESSTTTASTRVRCCHFPLLSCVRSVPSRYEIADKIKSLNDKLEEISEDKDRFNFITSSNSSDAYPMNEASYRQSSSLPEPDIVGWDIKDATNSLVELLVSQPEEKCRLFAIVGMGGIGKTTLAQQIYNDPKINDAFVLRSWIWVSKSFPSRIDLLKEIIRNIGGKYGECTTISELQNILCDFLCEKSLFLILDDVWDENIWVDLIKNAIERTTTKCRVLVTTRDRNTAVKVGATHIHHVNKLPSDVGWELLCKKVFTNNNTQDMQRLKDIGMKIVEKCDGLPVAIKAIAGVLVTKDQNKREWENVLNSDAWTITGLPEELQGALYLSYEALPSALKHCFLYCALYPLGRKFHSKDLVCHWTAEGYIEAKGNASMEDVAKSYYMELICRSFLQPDPEYVDMSSCTIHDLLRELAEFFAGDESFSGDPQEVQSTESMKKLRRLTVTSNRESVSIPHLDCLRSLRLWTPPSLNTQMIGNLKHLRLLLLNGDKIENIPDSIGGLVHLRLLNLEHTCIHNLPDSLGNLINLQFLLLDDCKSLHILPKSITKLYNLRWLGLNDTPLNYVPKGIGKLEHLHRVKGLIIGDSGDDGEEGCNLEEIQMLEKLSYLSIRNLEKSSIKSSSVLSSKTHLRSLGLRCTFNYTDGHIQQQEMDKIVQVFDELRPPPCLEVLLIKNFFGGRYPKWMSSTSITTALQELTLLVLINCSNCPHLPQLGQLPQLKVLKIVGAIAVVSIGLEFLGNYNGEPTEIAFPKLETLILENMSNWEEWSLISGEEEDNEPESSKPLMFFPHLKSIFIVKCPKLKALPSGLNRVNIPELFIRGAHSLSRVSHLSTLKELEVTDCPMLECVEKLESLQSLKVIDYEEGNASLPQWLISFLQQREEKPREDDLFKLYLECSVQALKGCLKGCPHWSFIQRVPHFIGYAEDQSMYLKYTKEPYYYETNITDED >DRNTG_32838.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:42156:50052:-1 gene:DRNTG_32838 transcript:DRNTG_32838.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFAGKLVERLSKVIEEKAIMVLGVKDELQRLRRRMERIARVLKDAEKRKIQDETVKGWVNELKDLMYDADDIIDLCMIQGTGLLQDVDHPSQLAESSTTTASTRVRCCHFPLLSCVRSVPSRYEIADKIKSLNDKLEEISEDKDRFNFITSSNSSDAYPMNEASYRQSSSLPEPDIVGWDIKDATNSLVELLVSQPEEKCRLFAIVGMGGIGKTTLAQQIYNDPKINDAFVLRSWIWVSKSFPSRIDLLKEIIRNIGGKYGECTTISELQNILCDFLCEKSLFLILDDVWDENIWVDLIKNAIERTTTKCRVLVTTRDRNTAVKVGATHIHHVNKLPSDVGWELLCKKVFTNNNTQDMQRLKDIGMKIVEKCDGLPVAIKAIAGVLVTKDQNKREWENVLNSDAWTITGLPEELQGALYLSYEALPSALKHCFLYCALYPLGRKFHSKDLVCHWTAEGYIEAKGNASMEDVAKSYYMELICRSFLQPDPEYVDMSSCTIHDLLRELAEFFAGDESFSGDPQEVQSTESMKKLRRLTVTSNRESVSIPHLDCLRSLRLWTPPSLNTQMIGNLKHLRLLLLNGDKIENIPDSIGGLVHLRLLNLEHTCIHNLPDSLGNLINLQFLLLDDCKSLHILPKSITKLYNLRWLGLNDTPLNYVPKGIGKLEHLHRVKGLIIGDSGDDGEEGCNLEEIQMLEKLSYLSIRNLEKSSIKSSSVLSSKTHLRSLGLRCTFNYTDGHIQQQEMDKIVQVFDELRPPPCLEVLLIKNFFGGRYPKWMSSTSITTALQELTLLVLINCSNCPHLPQLGQLPQLKVLKIVGAIAVVSIGLEFLGNYNGEPTEIAFPKLETLILENMSNWEEWSLISGEEEDNEPESSKPLMFFPHLKSIFIVKCPKLKALPSGLNRVNIPELFIRGAHSLSRVSHLSTLKELEVTDCPMLECVEKLESLQSLKVIDYEEGNASLPQWLISFLQQREEKPREDDLFKLYLECSVQALKGCLKGCPHWSFIQRVPHFIGYAEDQSMYLKYTKEPYYYETNITDED >DRNTG_32838.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:41759:50052:-1 gene:DRNTG_32838 transcript:DRNTG_32838.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFAGKLVERLSKVIEEKAIMVLGVKDELQRLRRRMERIARVLKDAEKRKIQDETVKGWVNELKDLMYDADDIIDLCMIQGTGLLQDVDHPSQLAESSTTTASTRVRCCHFPLLSCVRSVPSRYEIADKIKSLNDKLEEISEDKDRFNFITSSNSSDAYPMNEASYRQSSSLPEPDIVGWDIKDATNSLVELLVSQPEEKCRLFAIVGMGGIGKTTLAQQIYNDPKINDAFVLRSWIWVSKSFPSRIDLLKEIIRNIGGKYGECTTISELQNILCDFLCEKSLFLILDDVWDENIWVDLIKNAIERTTTKCRVLVTTRDRNTAVKVGATHIHHVNKLPSDVGWELLCKKVFTNNNTQDMQRLKDIGMKIVEKCDGLPVAIKAIAGVLVTKDQNKREWENVLNSDAWTITGLPEELQGALYLSYEALPSALKHCFLYCALYPLGRKFHSKDLVCHWTAEGYIEAKGNASMEDVAKSYYMELICRSFLQPDPEYVDMSSCTIHDLLRELAEFFAGDESFSGDPQEVQSTESMKKLRRLTVTSNRESVSIPHLDCLRSLRLWTPPSLNTQMIGNLKHLRLLLLNGDKIENIPDSIGGLVHLRLLNLEHTCIHNLPDSLGNLINLQFLLLDDCKSLHILPKSITKLYNLRWLGLNDTPLNYVPKGIGKLEHLHRVKGLIIGDSGDDGEEGCNLEEIQMLEKLSYLSIRNLEKSSIKSSSVLSSKTHLRSLGLRCTFNYTDGHIQQQEMDKIVQVFDELRPPPCLEVLLIKNFFGGRYPKWMSSTSITTALQELTLLVLINCSNCPHLPQLGQLPQLKVLKIVGAIAVVSIGLEFLGNYNGEPTEIAFPKLETLILENMSNWEEWSLISGEEEDNEPESSKPLMFFPHLKSIFIVKCPKLKALPSGLNRVNIPELFIRGAHSLSRVSHLSTLKELEVTDCPMLECVEKLESLQSLKVIDYEEGNASLPQWLISFLQQREEKPREDDLFKLYLECSVQALKGCLKGCPHWSFIQRVPHFIGYAEDQSMYLKYTKEPYYYETNITDED >DRNTG_32838.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:42156:50052:-1 gene:DRNTG_32838 transcript:DRNTG_32838.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFAGKLVERLSKVIEEKAIMVLGVKDELQRLRRRMERIARVLKDAEKRKIQDETVKGWVNELKDLMYDADDIIDLCMIQGTGLLQDVDHPSQLAESSTTTASTRVRCCHFPLLSCVRSVPSRYEIADKIKSLNDKLEEISEDKDRFNFITSSNSSDAYPMNEASYRQSSSLPEPDIVGWDIKDATNSLVELLVSQPEEKCRLFAIVGMGGIGKTTLAQQIYNDPKINDAFVLRSWIWVSKSFPSRIDLLKEIIRNIGGKYGECTTISELQNILCDFLCEKSLFLILDDVWDENIWVDLIKNAIERTTTKCRVLVTTRDRNTAVKVGATHIHHVNKLPSDVGWELLCKKVFTNNNTQDMQRLKDIGMKIVEKCDGLPVAIKAIAGVLVTKDQNKREWENVLNSDAWTITGLPEELQGALYLSYEALPSALKHCFLYCALYPLGRKFHSKDLVCHWTAEGYIEAKGNASMEDVAKSYYMELICRSFLQPDPEYVDMSSCTIHDLLRELAEFFAGDESFSGDPQEVQSTESMKKLRRLTVTSNRESVSIPHLDCLRSLRLWTPPSLNTQMIGNLKHLRLLLLNGDKIENIPDSIGGLVHLRLLNLEHTCIHNLPDSLGNLINLQFLLLDDCKSLHILPKSITKLYNLRWLGLNDTPLNYVPKGIGKLEHLHRVKGLIIGDSGDDGEEGCNLEEIQMLEKLSYLSIRNLEKSSIKSSSVLSSKTHLRSLGLRCTFNYTDGHIQQQEMDKIVQVFDELRPPPCLEVLLIKNFFGGRYPKWMSSTSITTALQELTLLVLINCSNCPHLPQLGQLPQLKVLKIVGAIAVVSIGLEFLGNYNGEPTEIAFPKLETLILENMSNWEEWSLISGEEEDNEPESSKPLMFFPHLKSIFIVKCPKLKALPSGLNRVNIPELFIRGAHSLSRVSHLSTLKELEVTDCPMLECVEKLESLQSLKVIDYEEGNASLPQWLISFLQQREEKPREDDLFKLYLECSVQALKGCLKGCPHWSFIQRVPHFIGYAEDQSMYLKYTKEPYYYETNITDED >DRNTG_32838.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:42156:50052:-1 gene:DRNTG_32838 transcript:DRNTG_32838.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFAGKLVERLSKVIEEKAIMVLGVKDELQRLRRRMERIARVLKDAEKRKIQDETVKGWVNELKDLMYDADDIIDLCMIQGTGLLQDVDHPSQLAESSTTTASTRVRCCHFPLLSCVRSVPSRYEIADKIKSLNDKLEEISEDKDRFNFITSSNSSDAYPMNEASYRQSSSLPEPDIVGWDIKDATNSLVELLVSQPEEKCRLFAIVGMGGIGKTTLAQQIYNDPKINDAFVLRSWIWVSKSFPSRIDLLKEIIRNIGGKYGECTTISELQNILCDFLCEKSLFLILDDVWDENIWVDLIKNAIERTTTKCRVLVTTRDRNTAVKVGATHIHHVNKLPSDVGWELLCKKVFTNNNTQDMQRLKDIGMKIVEKCDGLPVAIKAIAGVLVTKDQNKREWENVLNSDAWTITGLPEELQGALYLSYEALPSALKHCFLYCALYPLGRKFHSKDLVCHWTAEGYIEAKGNASMEDVAKSYYMELICRSFLQPDPEYVDMSSCTIHDLLRELAEFFAGDESFSGDPQEVQSTESMKKLRRLTVTSNRESVSIPHLDCLRSLRLWTPPSLNTQMIGNLKHLRLLLLNGDKIENIPDSIGGLVHLRLLNLEHTCIHNLPDSLGNLINLQFLLLDDCKSLHILPKSITKLYNLRWLGLNDTPLNYVPKGIGKLEHLHRVKGLIIGDSGDDGEEGCNLEEIQMLEKLSYLSIRNLEKSSIKSSSVLSSKTHLRSLGLRCTFNYTDGHIQQQEMDKIVQVFDELRPPPCLEVLLIKNFFGGRYPKWMSSTSITTALQELTLLVLINCSNCPHLPQLGQLPQLKVLKIVGAIAVVSIGLEFLGNYNGEPTEIAFPKLETLILENMSNWEEWSLISGEEEDNEPESSKPLMFFPHLKSIFIVKCPKLKALPSGLNRVNIPELFIRGAHSLSRVSHLSTLKELEVTDCPMLECVEKLESLQSLKVIDYEEGNASLPQWLISFLQQREEKPREDDLFKLYLECSVQALKGCLKGCPHWSFIQRVPHFIGYAEDQSMYLKYTKEPYYYETNITDED >DRNTG_32838.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:42156:50052:-1 gene:DRNTG_32838 transcript:DRNTG_32838.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFAGKLVERLSKVIEEKAIMVLGVKDELQRLRRRMERIARVLKDAEKRKIQDETVKGWVNELKDLMYDADDIIDLCMIQGTGLLQDVDHPSQLAESSTTTASTRVRCCHFPLLSCVRSVPSRYEIADKIKSLNDKLEEISEDKDRFNFITSSNSSDAYPMNEASYRQSSSLPEPDIVGWDIKDATNSLVELLVSQPEEKCRLFAIVGMGGIGKTTLAQQIYNDPKINDAFVLRSWIWVSKSFPSRIDLLKEIIRNIGGKYGECTTISELQNILCDFLCEKSLFLILDDVWDENIWVDLIKNAIERTTTKCRVLVTTRDRNTAVKVGATHIHHVNKLPSDVGWELLCKKVFTNNNTQDMQRLKDIGMKIVEKCDGLPVAIKAIAGVLVTKDQNKREWENVLNSDAWTITGLPEELQGALYLSYEALPSALKHCFLYCALYPLGRKFHSKDLVCHWTAEGYIEAKGNASMEDVAKSYYMELICRSFLQPDPEYVDMSSCTIHDLLRELAEFFAGDESFSGDPQEVQSTESMKKLRRLTVTSNRESVSIPHLDCLRSLRLWTPPSLNTQMIGNLKHLRLLLLNGDKIENIPDSIGGLVHLRLLNLEHTCIHNLPDSLGNLINLQFLLLDDCKSLHILPKSITKLYNLRWLGLNDTPLNYVPKGIGKLEHLHRVKGLIIGDSGDDGEEGCNLEEIQMLEKLSYLSIRNLEKSSIKSSSVLSSKTHLRSLGLRCTFNYTDGHIQQQEMDKIVQVFDELRPPPCLEVLLIKNFFGGRYPKWMSSTSITTALQELTLLVLINCSNCPHLPQLGQLPQLKVLKIVGAIAVVSIGLEFLGNYNGEPTEIAFPKLETLILENMSNWEEWSLISGEEEDNEPESSKPLMFFPHLKSIFIVKCPKLKALPSGLNRVNIPELFIRGAHSLSRVSHLSTLKELEVTDCPMLECVEKLESLQSLKVIDYEEGNASLPQWLISFLQQREEKPREDDLFKLYLECSVQALKGCLKGCPHWSFIQRVPHFIGYAEDQSMYLKYTKEPYYYETNITDED >DRNTG_32838.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:42156:50052:-1 gene:DRNTG_32838 transcript:DRNTG_32838.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFAGKLVERLSKVIEEKAIMVLGVKDELQRLRRRMERIARVLKDAEKRKIQDETVKGWVNELKDLMYDADDIIDLCMIQGTGLLQDVDHPSQLAESSTTTASTRVRCCHFPLLSCVRSVPSRYEIADKIKSLNDKLEEISEDKDRFNFITSSNSSDAYPMNEASYRQSSSLPEPDIVGWDIKDATNSLVELLVSQPEEKCRLFAIVGMGGIGKTTLAQQIYNDPKINDAFVLRSWIWVSKSFPSRIDLLKEIIRNIGGKYGECTTISELQNILCDFLCEKSLFLILDDVWDENIWVDLIKNAIERTTTKCRVLVTTRDRNTAVKVGATHIHHVNKLPSDVGWELLCKKVFTNNNTQDMQRLKDIGMKIVEKCDGLPVAIKAIAGVLVTKDQNKREWENVLNSDAWTITGLPEELQGALYLSYEALPSALKHCFLYCALYPLGRKFHSKDLVCHWTAEGYIEAKGNASMEDVAKSYYMELICRSFLQPDPEYVDMSSCTIHDLLRELAEFFAGDESFSGDPQEVQSTESMKKLRRLTVTSNRESVSIPHLDCLRSLRLWTPPSLNTQMIGNLKHLRLLLLNGDKIENIPDSIGGLVHLRLLNLEHTCIHNLPDSLGNLINLQFLLLDDCKSLHILPKSITKLYNLRWLGLNDTPLNYVPKGIGKLEHLHRVKGLIIGDSGDDGEEGCNLEEIQMLEKLSYLSIRNLEKSSIKSSSVLSSKTHLRSLGLRCTFNYTDGHIQQQEMDKIVQVFDELRPPPCLEVLLIKNFFGGRYPKWMSSTSITTALQELTLLVLINCSNCPHLPQLGQLPQLKVLKIVGAIAVVSIGLEFLGNYNGEPTEIAFPKLETLILENMSNWEEWSLISGEEEDNEPESSKPLMFFPHLKSIFIVKCPKLKALPSGLNRVNIPELFIRGAHSLSRVSHLSTLKELEVTDCPMLECVEKLESLQSLKVIDYEEGNASLPQWLISFLQQREEKPREDDLFKLYLECSVQALKGCLKGCPHWSFIQRVPHFIGYAEDQSMYLKYTKEPYYYETNITDED >DRNTG_32838.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:42156:50052:-1 gene:DRNTG_32838 transcript:DRNTG_32838.13 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFAGKLVERLSKVIEEKAIMVLGVKDELQRLRRRMERIARVLKDAEKRKIQDETVKGWVNELKDLMYDADDIIDLCMIQGTGLLQDVDHPSQLAESSTTTASTRVRCCHFPLLSCVRSVPSRYEIADKIKSLNDKLEEISEDKDRFNFITSSNSSDAYPMNEASYRQSSSLPEPDIVGWDIKDATNSLVELLVSQPEEKCRLFAIVGMGGIGKTTLAQQIYNDPKINDAFVLRSWIWVSKSFPSRIDLLKEIIRNIGGKYGECTTISELQNILCDFLCEKSLFLILDDVWDENIWVDLIKNAIERTTTKCRVLVTTRDRNTAVKVGATHIHHVNKLPSDVGWELLCKKVFTNNNTQDMQRLKDIGMKIVEKCDGLPVAIKAIAGVLVTKDQNKREWENVLNSDAWTITGLPEELQGALYLSYEALPSALKHCFLYCALYPLGRKFHSKDLVCHWTAEGYIEAKGNASMEDVAKSYYMELICRSFLQPDPEYVDMSSCTIHDLLRELAEFFAGDESFSGDPQEVQSTESMKKLRRLTVTSNRESVSIPHLDCLRSLRLWTPPSLNTQMIGNLKHLRLLLLNGDKIENIPDSIGGLVHLRLLNLEHTCIHNLPDSLGNLINLQFLLLDDCKSLHILPKSITKLYNLRWLGLNDTPLNYVPKGIGKLEHLHRVKGLIIGDSGDDGEEGCNLEEIQMLEKLSYLSIRNLEKSSIKSSSVLSSKTHLRSLGLRCTFNYTDGHIQQQEMDKIVQVFDELRPPPCLEVLLIKNFFGGRYPKWMSSTSITTALQELTLLVLINCSNCPHLPQLGQLPQLKVLKIVGAIAVVSIGLEFLGNYNGEPTEIAFPKLETLILENMSNWEEWSLISGEEEDNEPESSKPLMFFPHLKSIFIVKCPKLKALPSGLNRVNIPELFIRGAHSLSRVSHLSTLKELEVTDCPMLECVEKLESLQSLKVIDYEEGNASLPQWLISFLQQREEKPREDDLFKLYLECSVQALKGCLKGCPHWSFIQRVPHFIGYAEDQSMYLKYTKEPYYYETNITDED >DRNTG_32838.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:42156:50052:-1 gene:DRNTG_32838 transcript:DRNTG_32838.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFAGKLVERLSKVIEEKAIMVLGVKDELQRLRRRMERIARVLKDAEKRKIQDETVKGWVNELKDLMYDADDIIDLCMIQGTGLLQDVDHPSQLAESSTTTASTRVRCCHFPLLSCVRSVPSRYEIADKIKSLNDKLEEISEDKDRFNFITSSNSSDAYPMNEASYRQSSSLPEPDIVGWDIKDATNSLVELLVSQPEEKCRLFAIVGMGGIGKTTLAQQIYNDPKINDAFVLRSWIWVSKSFPSRIDLLKEIIRNIGGKYGECTTISELQNILCDFLCEKSLFLILDDVWDENIWVDLIKNAIERTTTKCRVLVTTRDRNTAVKVGATHIHHVNKLPSDVGWELLCKKVFTNNNTQDMQRLKDIGMKIVEKCDGLPVAIKAIAGVLVTKDQNKREWENVLNSDAWTITGLPEELQGALYLSYEALPSALKHCFLYCALYPLGRKFHSKDLVCHWTAEGYIEAKGNASMEDVAKSYYMELICRSFLQPDPEYVDMSSCTIHDLLRELAEFFAGDESFSGDPQEVQSTESMKKLRRLTVTSNRESVSIPHLDCLRSLRLWTPPSLNTQMIGNLKHLRLLLLNGDKIENIPDSIGGLVHLRLLNLEHTCIHNLPDSLGNLINLQFLLLDDCKSLHILPKSITKLYNLRWLGLNDTPLNYVPKGIGKLEHLHRVKGLIIGDSGDDGEEGCNLEEIQMLEKLSYLSIRNLEKSSIKSSSVLSSKTHLRSLGLRCTFNYTDGHIQQQEMDKIVQVFDELRPPPCLEVLLIKNFFGGRYPKWMSSTSITTALQELTLLVLINCSNCPHLPQLGQLPQLKVLKIVGAIAVVSIGLEFLGNYNGEPTEIAFPKLETLILENMSNWEEWSLISGEEEDNEPESSKPLMFFPHLKSIFIVKCPKLKALPSGLNRVNIPELFIRGAHSLSRVSHLSTLKELEVTDCPMLECVEKLESLQSLKVIDYEEGNASLPQWLISFLQQREEKPREDDLFKLYLECSVQALKGCLKGCPHWSFIQRVPHFIGYAEDQSMYLKYTKEPYYYETNITDED >DRNTG_32838.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:42156:50052:-1 gene:DRNTG_32838 transcript:DRNTG_32838.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFAGKLVERLSKVIEEKAIMVLGVKDELQRLRRRMERIARVLKDAEKRKIQDETVKGWVNELKDLMYDADDIIDLCMIQGTGLLQDVDHPSQLAESSTTTASTRVRCCHFPLLSCVRSVPSRYEIADKIKSLNDKLEEISEDKDRFNFITSSNSSDAYPMNEASYRQSSSLPEPDIVGWDIKDATNSLVELLVSQPEEKCRLFAIVGMGGIGKTTLAQQIYNDPKINDAFVLRSWIWVSKSFPSRIDLLKEIIRNIGGKYGECTTISELQNILCDFLCEKSLFLILDDVWDENIWVDLIKNAIERTTTKCRVLVTTRDRNTAVKVGATHIHHVNKLPSDVGWELLCKKVFTNNNTQDMQRLKDIGMKIVEKCDGLPVAIKAIAGVLVTKDQNKREWENVLNSDAWTITGLPEELQGALYLSYEALPSALKHCFLYCALYPLGRKFHSKDLVCHWTAEGYIEAKGNASMEDVAKSYYMELICRSFLQPDPEYVDMSSCTIHDLLRELAEFFAGDESFSGDPQEVQSTESMKKLRRLTVTSNRESVSIPHLDCLRSLRLWTPPSLNTQMIGNLKHLRLLLLNGDKIENIPDSIGGLVHLRLLNLEHTCIHNLPDSLGNLINLQFLLLDDCKSLHILPKSITKLYNLRWLGLNDTPLNYVPKGIGKLEHLHRVKGLIIGDSGDDGEEGCNLEEIQMLEKLSYLSIRNLEKSSIKSSSVLSSKTHLRSLGLRCTFNYTDGHIQQQEMDKIVQVFDELRPPPCLEVLLIKNFFGGRYPKWMSSTSITTALQELTLLVLINCSNCPHLPQLGQLPQLKVLKIVGAIAVVSIGLEFLGNYNGEPTEIAFPKLETLILENMSNWEEWSLISGEEEDNEPESSKPLMFFPHLKSIFIVKCPKLKALPSGLNRVNIPELFIRGAHSLSRVSHLSTLKELEVTDCPMLECVEKLESLQSLKVIDYEEGNASLPQWLISFLQQREEKPREDDLFKLYLECSVQALKGCLKGCPHWSFIQRVPHFIGYAEDQSMYLKYTKEPYYYETNITDED >DRNTG_23365.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5877106:5877773:-1 gene:DRNTG_23365 transcript:DRNTG_23365.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELNRSFRILSFFVLPCFFIESAYRIWWYASGHGPGQSIPFLGDRIATDVFCCLMELGSWIYRIGIFFLLCVLFRLGCHLQILRLQDFSNGFREELDVALVLKEHLRIRKQLTVISHRYRVFIVSVLFLVTISNFTSLLLTTRPHANVTFSNAGELAVSLSLSLSL >DRNTG_23365.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5875019:5877773:-1 gene:DRNTG_23365 transcript:DRNTG_23365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELNRSFRILSFFVLPCFFIESAYRIWWYASGHGPGQSIPFLGDRIATDVFCCLMELGSWIYRIGIFFLLCVLFRLGCHLQILRLQDFSNGFREELDVALVLKEHLRIRKQLTVISHRYRVFIVSVLFLVTISNFTSLLLTTRPHANVTFSNAGELAVQISLKEKSFRCRCV >DRNTG_05314.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1929292:1930072:1 gene:DRNTG_05314 transcript:DRNTG_05314.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDITAAEKPEYPVIDRNPPFTKTVANFSTLDYLRLFTITGVSVTVGYLSGIKPNIRGPSMVTGGLIGVMGGFMYAYQNSAGRLMGFFPNDEEVKRYKK >DRNTG_07602.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15176495:15180326:-1 gene:DRNTG_07602 transcript:DRNTG_07602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANRSWMYARLNDGLLNPNFLRGINEFIDFAKMHPECMDGIKIKCPCNHRKCQNL >DRNTG_07602.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15176495:15177164:-1 gene:DRNTG_07602 transcript:DRNTG_07602.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANRSWMYARLNDGLLNPNFLRGINEFIDFAKMHPECMDGIKIKCPCNHRKCQNL >DRNTG_29271.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28604092:28605713:-1 gene:DRNTG_29271 transcript:DRNTG_29271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFDVSNNDMSGELPNCRNLTSALEIIDLSDNNFVGKIPDGLVSFTNLRSLHLRNNGFSGNLPLSLKMANKLVILDIGENKLSGSIPTWIGDSLSSLIVLCLRSNLFEGVIPKQLSKLSSLQILDLAHNNLSGCIPHSFGDFKAMVVTNYNKWWSLFFLTSRGKAFSGPISWTNTFMHLESLLINAKGLQMDYSMVLSLVTMIDLSKNKLSCELPEELTKLHGLHFLNLSHNLFTGKIPESISDMEQLESLDLSINNFSGVIPPSISALNFLSHLNLSHNKLSGKIPSGNQLQTLDASGFFYNDGLCGFPLSDCTNETPSLGSLDGGHQDGNREWFDNLWLYIGLASGFIVGFWMFISFIMIKKSRRISYFQSIDRVNDWIYVKLVLQSKRLKLILTRRN >DRNTG_28217.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11805882:11807647:1 gene:DRNTG_28217 transcript:DRNTG_28217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVSMMWPSASTATSLPVKAIPGSYGPPVVGPLKDRLDYFWFQGTETFFRSRMATYKSSVFRTNMPPTFPFFTQVDPRVIAVLDCSSFSALFDISLVDKRNVLIGDFMPSLKFTGGTRVGVYLDPTEPKHKLVKNFCFDILKRSSRVWISEFLRHSDLMLNNFEKEIDEKGSTSFFIPMQKCIFAFLCKGIAGADPSKSKEIEDTGFALLDSWLALQLLPTVKTGAIPQPLEELLLHSFPYPFFFVSGAYRKLYEFIEKEGQETIELAESEYNLGKEEAIHNILFVLGFNAYGGFSIFFNSLISTIGKDKNGLRPRLKSEVRRVLLNGKRSINFETVKEMELVRSTVYEVLRLNPPVPLQYGRARVDFELPSHDSLFQVSKGELLCGYQPLAMRDPMVFKNPEEFVPDRFAKDKELLKYLFWSNGPETSEPTTGNKQCAAKDHVVWTSCMLVAQIFNRYDSFECDEAGSSIVKLEKAT >DRNTG_19598.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001023.1:166432:172090:-1 gene:DRNTG_19598 transcript:DRNTG_19598.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFFSLAPSSLSCFGPFFSLANARLGRVSRRTSSISLLWITSIAFSFPPLSIPPPSRAQLLSTKLLIWLQENFLHWLLLDKENSRKTSIICTESEVEALGGCVGSTPEQLSLQQNRLKQKLQHERQSMMKSHERVKKQ >DRNTG_12672.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000558.1:6097:12743:1 gene:DRNTG_12672 transcript:DRNTG_12672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLITSLEWLESTTATSRLSGLHHHCPKQWRALVLRRPDEFYFNHLRPGLLGSRRVVEALIDASRRRRGIPRRQRLPLSRPPSSSRRSHGLSPRASTPRERSVIMLHYASTVLVKSPFSDRLRARTHVIEVPLPFPVSSNHEIIDEFEKALSLGKSVRAACRWRSLTTSLDAECGDSGLKN >DRNTG_15233.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23378666:23388096:-1 gene:DRNTG_15233 transcript:DRNTG_15233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKNVKVLGNGKKAITDVNHATIFADCFTDGDGGPVLGHQQRILDQSPENCYRNAATEDGKAGFYCCSQKHMLQNYQNFMKSGLPQRVLFYQDDEWKDFPSSINSLVREDFKRKKAVTEVIHESQRLLLNFFSMVLINLGTGFQKPVAWIDEHGKCFFPELYSEFCAPNGCFHSDKGHDVHMSCRQNGTREPETKNVFVSAAESSNSEVPDYAIISNGNHTKEISTGFQERAEIYETTGENELCPLLATGPIQEKSAKPATVPDVYSAVQNILLLGLGQYIAPKDIVGIFRTPLLDNIGQVRFKCFQEHVDLTKSRRGNANVRYAWLASSKDVVEDVMLKGVMQIKMPACGPMYGLGVHLAPANCSDICASYSGVDENGIVYMVLCRVIMGNVELVQLGSKQSQPSSGSFDSGVDDLQKPKHYIIWNTHTDTHIYPEFVVTFKLPSKAKESLVGKESMSNISGVTNSSSHSASLDGICCQSLSAQTRAFQGKVQASEKATKTPTSPWMPFSMLFAAISTKVPSQDMDLISTQYEQFKRRKITRIDLVKKLRQIIGDKLLISTITRLQHKLPPMAKSETPKPWYKSEAKP >DRNTG_15233.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23378666:23383654:-1 gene:DRNTG_15233 transcript:DRNTG_15233.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKNVKVLGNGKKAITDVNHATIFADCFTDGDGGPVLGHQQRILDQSPENCYRNAATEDGKAGFYCCSQKHMLQNYQNFMKSGLPQRVLFYQDDEWKDFPSSINSLVREDFKRKKAVTEVIHESQRLLLNFFSMVLINLGTGFQKPVAWIDEHGKCFFPELYSEFCAPNGCFHSDKGHDVHMSCRQNGTREPETKNVFVSAAESSNSEVPDYAIISNGNHTKEISTGFQERAEIYETTGENELCPLLATGPIQEKSAKPATVPDVYSAVQNILLLGLGQYIAPKDIVGIFRTPLLDNIGQVRFKCFQEHVDLTKSRRGNANVRYAWLASSKDVVEDVMLKGVMQIKMPACGPMYGLGVHLAPANCSDICASYSGVDENGIVYMVLCRVIMGNVELVQLGSKQSQPSSGSFDSGVDDLQKPKHYIIWNTHTDTHIYPEFVVTFKLPSKAKESLVGKESMSNISGVTNSSSHSASLDGICCQSLSAQTRAFQGKVQASEKATKTPTSPWMPFSMLFAAISTKVPSQDMDLISTQYEQFKRRKITRIDLVKKLRQIIGDKLLISTITRLQHKLPPMAKSETPKPWYKSEAKP >DRNTG_15233.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23378552:23383410:-1 gene:DRNTG_15233 transcript:DRNTG_15233.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELKNVKVLGNGKKAITDVNHATIFADCFTDGDGGPVLGHQQRILDQSPENCYRNAATEDGKAGFYCCSQKHMLQNYQNFMKSGLPQRVLFYQDDEWKDFPSSINSLVREDFKRKKAVTEVIHESQRLLLNFFSMVLINLGTGFQKPVAWIDEHGKCFFPELYSEFCAPNGCFHSDKGHDVHMSCRQNGTREPETKNVFVSAAESSNSEVPDYAIISNGNHTKEISTGFQERAEIYETTGENELCPLLATGPIQEKSAKPATVPDVYSAVQNILLLGLGQYIAPKDIVGIFRTPLLDNIGQVRFKCFQEHVDLTKSRRGNANVRYAWLASSKDVVEDVMLKGVMQIKMPACGPMYGLGVHLAPANCSDICASYSGVDENGIVYMVLCRVIMGNVELVQLGSKQSQPSSGSFDSGVDDLQKPKHYIIWNTHTDTHIYPEFVVTFKLPSKAKESLVGKESMSNISGVTNSSSHSASLDGICCQSLSAQTRAFQGKVQASEKATKTPTSPWMPFSMLFAAISTKVPSQDMDLISTQYEQFKRRKITRIDLVKKLRQIIGDKLLISTITRLQHKLPPMAKSETPKPWYKSEAKP >DRNTG_15233.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23378666:23383410:-1 gene:DRNTG_15233 transcript:DRNTG_15233.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLIVLFSASYSGVDENGIVYMVLCRVIMGNVELVQLGSKQSQPSSGSFDSGVDDLQKPKHYIIWNTHTDTHIYPEFVVTFKLPSKAKESLVGKESMSNISGVTNSSSHSASLDGICCQSLSAQTRAFQGKVQASEKATKTPTSPWMPFSMLFAAISTKVPSQDMDLISTQYEQFKRRKITRIDLVKKLRQIIGDKLLISTITRLQHKLPPMAKSETPKPWYKSEAKP >DRNTG_15233.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23378651:23383410:-1 gene:DRNTG_15233 transcript:DRNTG_15233.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELKNVKVLGNGKKAITDVNHATIFADCFTDGDGGPVLGHQQRILDQSPENCYRNAATEDGKAGFYCCSQKHMLQNYQNFMKSGLPQRVLFYQDDEWKDFPSSINSLVREDFKRKKAVTEVIHESQRLLLNFFSMVLINLGTGFQKPVAWIDEHGKCFFPELYSEFCAPNGCFHSDKGHDVHMSCRQNGTREPETKNVFVSAAESSNSEVPDYAIISNGNHTKEISTGFQERAEIYETTGENELCPLLATGPIQEKSAKPATVPDVYSAVQNILLLGLGQYIAPKDIVGIFRTPLLDNIGQVRFKCFQEHVDLTKSRRGNANVRYAWLASSKDVVEDVMLKGVMQIKMPACGPMYGLGVHLAPANCSDICASYSGVDENGIVYMVLCRVIMGNVELVQLGSKQSQPSSGSFDSGVDDLQKPKHYIIWNTHTDTHIYPEFVVTFKLPSKAKESLVGKESMSNISGVTNSSSHSASLDGICCQSLSAQTRAFQGKVQASEKATKTPTSPWMPFSMLFAAISTKVPSQDMDLISTQYEQFKRRKITRIDLVKKLRQIIGDKLLISTITRLQHKLPPMAKSETPKPWYKSEAKP >DRNTG_15233.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23378666:23383410:-1 gene:DRNTG_15233 transcript:DRNTG_15233.5 gene_biotype:protein_coding transcript_biotype:protein_coding MELKNVKVLGNGKKAITDVNHATIFADCFTDGDGGPVLGHQQRILDQSPENCYRNAATEDGKAGFYCCSQKHMLQNYQNFMKSGLPQRVLFYQDDEWKDFPSSINSLVREDFKRKKAVTEVIHESQRLLLNFFSMVLINLGTGFQKPVAWIDEHGKCFFPELYSEFCAPNGCFHSDKGHDVHMSCRQNGTREPETKNVFVSAAESSNSEVPDYAIISNGNHTKEISTGFQERAEIYETTGENELCPLLATGPIQEKSAKPATVPDVYSAVQNILLLGLGQYIAPKDIVGIFRTPLLDNIGQVRFKCFQEHVDLTKSRRGNANVRYAWLASSKDVVEDVMLKGVMQIKMPACGPMYGLGVHLAPANCSDICASYSGVDENGIVYMVLCRVIMGNVELVQLGSKQSQPSSGSFDSGVDDLQKPKHYIIWNTHTDTHIYPEFVVTFKLPSKAKESLVGKESMSNISGVTNSSSHSASLDGICCQSLSAQTRAFQGKVQASEKATKTPTSPWMPFSMLFAAISTKVPSQDMDLISTQYEQFKRRKITRIDLVKKLRQIIGDKLLISTITRLQHKLPPMAKSETPKPWYKSEAKP >DRNTG_35052.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20275767:20278090:-1 gene:DRNTG_35052 transcript:DRNTG_35052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVRVRPLMNGGEGAKRGLTIDGGEAPEKRIERLIRENPVVIFSRRACCMCHVMRRLLATVGVHPTVIELEDAEAAAIVPPGSPAVFIGGDPVGGLEGLMALHLSGRLVPRLHEVGALAGAQLSAKPSVDRQCVIEGRSTGGRPPGALLASCGRPVVSPAKLVASRRRLAG >DRNTG_35052.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20277535:20278090:-1 gene:DRNTG_35052 transcript:DRNTG_35052.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVRVRPLMNGGEGAKRGLTIDGGEAPEKRIERLIRENPVVIFSRRACCMCHVMRRLLATVGVHPTVIELEDAEAAAIVPPGSPAVFIGGDPVGGLEGLMALHLSGRLVPRLHEQWYGCK >DRNTG_04242.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:15905706:15907472:1 gene:DRNTG_04242 transcript:DRNTG_04242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERALLVGVVFVLLFGVGMSIPFNEKDLESEESLWDLYERWRSYHTVTRDIVEKHRKFNVFKANVRYIHEFNKNDAPYKLRLNKFGDLTNEEFRKTYAGSRINHHMMFRGEPRGTSNFTHAKTNDLPVSMDWRQKGAVTGVKDQGYCGSCWAFSTVVAVEGINKIKTNKLLSLSEQELIDCDKGENQGCNGGLMDLAFDFIKKIGGLTTEVNYPYEAEEGTCDTLKENSPLVVIDGHVNVPENDEDALLKAVANQPVSVAIEASGTDFQFYSEGVFTGECGTDLDHGVAIVGYGITQDGTKYWTVKNSWGPEWGEKGYIRMQRGTSRKGGLCGIAMEASYPIKTSSNPTEKKTKTHKDEL >DRNTG_03698.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8251523:8252631:-1 gene:DRNTG_03698 transcript:DRNTG_03698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRRPQNAKELFNLRHSQLRLRIERAFGILKNRFWMLDTKSFYPFTTQVDVVLACCVLHNFIKEVDPDDILLQADVPDEEEVDMHERPITRGERRDAQVQWRQLREKITNDLWHDYVREGYNIE >DRNTG_13084.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13246547:13248010:-1 gene:DRNTG_13084 transcript:DRNTG_13084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLMQILLIFALVWMFSSSNMETMAQTSSNSCTSELANLVPCLNYITGNESKPSNSCCTPLASVVQSQPLCLCLLLNGTFSSSGLTINQTRALGLPGLCSINTPSTSLCNASGGPSSSPNSPSTPTTPSGGGSNSVIPSGTGSLKGSWIQAPLTLIISIILIVSYSTQSMNFL >DRNTG_16754.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11165404:11216885:-1 gene:DRNTG_16754 transcript:DRNTG_16754.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASTEMRKERVTQDDFGSHVKVLRKVSAGARSQSPACSPAGPSVRPLQSLRSPFLNIHLESSKP >DRNTG_16754.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11165404:11216885:-1 gene:DRNTG_16754 transcript:DRNTG_16754.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHRGCQTTPSIRALGSHQPVIPGVPLIR >DRNTG_16754.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11139492:11140128:-1 gene:DRNTG_16754 transcript:DRNTG_16754.7 gene_biotype:protein_coding transcript_biotype:protein_coding ACLTVRPTGRTETKVGHSDPGSPVWKGSRSTDQRYAGDNRLMTPKSSYRRSRLAPRCRLITSWG >DRNTG_16754.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11165404:11216885:-1 gene:DRNTG_16754 transcript:DRNTG_16754.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHRGCQTTPSIRALGSHQPVIPGVPLIR >DRNTG_16754.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11139492:11216885:-1 gene:DRNTG_16754 transcript:DRNTG_16754.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGNRTVSRRSKPNSRTTFIGEQPNPWDSSVPIYRWC >DRNTG_16754.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11214895:11216885:-1 gene:DRNTG_16754 transcript:DRNTG_16754.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASTEMRKERVTQDDFGSHVKVLRKVSAGARSQSPACSPAGPSVRPLQSLRL >DRNTG_02206.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1288229:1288846:-1 gene:DRNTG_02206 transcript:DRNTG_02206.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYEVLGVASSATEEEIRRAYYLKV >DRNTG_02206.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1285922:1287286:-1 gene:DRNTG_02206 transcript:DRNTG_02206.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGVPFLAEWVRNKGHFWKSQITAAKGALQLLRLQEDLCQQFNEDGCSTDKDAELHMKMNRDLMINSLWKLNVVDIEVTLLHVCQLVLHENDVKKDELKARALALKILGKTFQREKQESQAEVPRKNLSEDDGDSSDDSSVEEIPRMVTYRTPFITQGIGRLFRCLCNPAYDVDDEP >DRNTG_02206.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1285922:1288653:-1 gene:DRNTG_02206 transcript:DRNTG_02206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYEVLGVASSATEEEIRRAYYLKARLVHPDKNPNDPQAAEKFQELGEAYQVLSDPVQRKAYDGNGKCSISRDNLLDATAVFTILFGSEQFENYIGHLAMASMASSELISENDNPEKLQERLKAVQREREEKLAQLLRDFLNQYVRGDKEGFIRHADTEAKRLTATAFGVEILHTIGYIYARQAAKELGKKVMYLGVPFLAEWVRNKGHFWKSQITAAKGALQLLRLQEDLCQQFNEDGCSTDKDAELHMKMNRDLMINSLWKLNVVDIEVTLLHVCQLVLHENDVKKDELKARALALKILGKTFQREKQESQAEVPRKNLSEDDGDSSDDSSVEEIPRMVTYRTPFITQGIGRLFRCLCNPAYDVDDEP >DRNTG_02206.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1285922:1287071:-1 gene:DRNTG_02206 transcript:DRNTG_02206.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGVPFLAEWVRNKGHFWKSQITAAKGLYVYLLRDYDYRTLIPDDLANFLRSDPGALQLLRLQEDLCQQFNEDGCSTDKDAELHMKMNRDLMINSLWKLNVVDIEVTLLHVCQLVLHENDVKKDELKARALALKILGKTFQREKQESQAEVPRKNLSEDDGDSSDDSSVEEIPRMVTYRTPFITQACLYFVSVISFS >DRNTG_02206.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1285922:1288653:-1 gene:DRNTG_02206 transcript:DRNTG_02206.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMASSELISENDNPEKLQERLKAVQREREEKLAQLLRDFLNQYVRGDKEGFIRHADTEAKRLTATAFGVEILHTIGYIYARQAAKELGKKVMYLGVPFLAEWVRNKGHFWKSQITAAKGALQLLRLQEDLCQQFNEDGCSTDKDAELHMKMNRDLMINSLWKLNVVDIEVTLLHVCQLVLHENDVKKDELKARALALKILGKTFQREKQESQAEVPRKNLSEDDGDSSDDSSVEEIPRMVTYRTPFITQGIGRLFRCLCNPAYDVDDEP >DRNTG_12623.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000557.1:3611:5400:1 gene:DRNTG_12623 transcript:DRNTG_12623.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGLTLRVKDDKLTYRLTEAMRHSLDFDDTLYFLDTTNELIDDDYVYEMLCPDPLERVTRSREIILQSCNFSESSREDTWAYGNFTWACVSIQSSTCPSREDTGVCKHPSFTHKDPHKRVEFPHEGMAPHSKKIASKHPRERSPQQLEFAILEHQAQFKCL >DRNTG_20299.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5386452:5393422:1 gene:DRNTG_20299 transcript:DRNTG_20299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIIENKKDGTSFELEISFFSTVLEIKEKIHKYHDVPVDKQTLHIHGAAMENDRDTVYYEVVQGSKIELVVHKDDQEEEQDSLQAMQAIQEGGGDEVMETEEDNVVNQDKEEIQEGLVGGHEVVSMEDVLGQQECFVGAGLVGSKEVVVVDDDDDDDDDDDDDYHRKGKRKSNTIKSNLNVLAEGLQQGLQNVFGQQQGLVGGHEMVTMGNVLGEQQGLQHIFGQQQQSLVGSHEMVTMGNVLGQQQKLCIMFSATNRACSIMFSANNRACSMFSANNNKAWLVVMRW >DRNTG_02196.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1328883:1335265:-1 gene:DRNTG_02196 transcript:DRNTG_02196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGPPTPGGAQSVTPSLLRSNSSILSGGQQPGSMPSQPPFSSLVSPRTQFNSNNNNSMNLLGNMANVSSLLNPSFGNGVPGPNAGFSGAQTLQHRANLGVVGGGGSSAVDMVGASETDPLSFASASTAAQGQQHFQNTAGNQLGQDHLQSQQLDGVQSFQQQFNAQHGQPQPQLRGAMGGVGNLAPVKMEPQMGPVKLETQMGPGDQNGPQQQLQSLHGNMGAAMKMEHQQQQLQSLHGGMGAMKMESQQQLQSMRGSIGGVKIEPQQLQSLRNLGPVKLEQSHSDASLFLQQQQQQQQQQQHQQQLLQLSRQSSQVAHAAQMNLLQQQQQQRILQMQQHQHQQQQQQQHQQILKSIPQQRTPLQQQLLQQGLPLRSQVKPNIYEPGMCAQRLTHYMYHQQHRPEDNNIDFWRKFVAEYFAPNAKKRWCVSLYASGRQTTGVFPQDVWHCEICNRKPGRGFETTVEVLPRLCQIKYASGTLEELLYVDMPHETQSPSGQIVLDYAKAIQESVFEQLRVVRDGQLRVVFNPDLKIASWEFCARRHEELIPRRLIIPQVSQLGAVVQKYHSATQNASSSLSAQELQNSCNSFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNCMKDLIDYSRETGTGPMDSLINFPRKTSGSSALRAHQAQQTEEQQQGMAQNSNHNEQSSAHPVGVPLTAGSNGVVGVNNSINVPSSTPTSGATVASLLHQNSMNSRQENPMSSVNSPYTGNTVQIPSSGSSTSLPPSQPNPSSPFTSPSTSNNTTLPNMATMQPPSTQPQSHDADHNDPQSSVQQILHEMLMSSGASTLGNEVKGMAQSLNGGNCLVGNGMTNNSGISGMASFGNMGGLGPTATTSGIRAAMANNATAMTVNGRIGMNQISQDPSAMNHQQMEVSNRLLGGLGSVNSFNNLNLQYDWKTSP >DRNTG_02196.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1328883:1335265:-1 gene:DRNTG_02196 transcript:DRNTG_02196.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGPPTPGGAQSVTPSLLRSNSSILSGGQQPGSMPSQPPFSSLVSPRTQFNSNNNNSMNLLGNMANVSSLLNPSFGNGVPGPNAGFSGAQTLQHRANLGVVGGGGSSAVDMVGASETDPLSFASASTAAQGQQHFQNTAGNQLGQDHLQSQQLDGVQSFQQQFNAQHGQPQPQLRGAMGGVGNLAPVKMEPQMGPVKLETQMGPGDQNGPQQQLQSLHGNMGAAMKMEHQQQQLQSLHGGMGAMKMESQQQLQSMRGSIGGVKIEPQQLQSLRNLGPVKLEQSHSDASLFLQQQQQQQQQQQHQQQLLQLSRQSSQVAHAAQMNLLQQQQQQRILQMQQHQHQQQQQQQHQQILKSIPQQRTPLQQQLLQQGLPLRSQVKPNIYEPGMCAQRLTHYMYHQQHRPEDNNIDFWRKFVAEYFAPNAKKRWCVSLYASGRQTTGVFPQDVWHCEICNRKPGRGFETTVEVLPRLCQIKYASGTLEELLYVDMPHETQSPSGQIVLDYAKAIQESVFEQLRVVRDGQLRVVFNPDLKIASWEFCARRHEELIPRRLIIPQVSQLGAVVQKYHSATQNASSSLSAQELQNSCNSFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNCMKDLIDYSRETGTGPMDSLINFPRKTSGSSALRAHQAQQTEEQQQGMAQNSNHNEQSSAHPVGVPLTAGSNGVVGVNNSINVPSSTPTSGATVASLLHQNSMNSRQENPMSSVNSPYTGNTVQIPSSGSSTSLPPSQPNPSSPFTSPSTSNNTTLPNMATMQPPSTQPQSHDADHNDPQSSVQQILHEMLMSSGASTLGNEVKGMAQSLNGGNCLVGNGMTNNSGISGMASFGNMGGLGPTATTSGIRAAMANNATAMTVNGRIGMNQISQDPSAMNHQQMEVSNRLLGGLGSVNSFNNLNLQYDWKTSP >DRNTG_12316.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29585492:29587540:-1 gene:DRNTG_12316 transcript:DRNTG_12316.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTLRSSTSSHHLHLPHPSTFETNEQLKTLINSHRLHDARHLFDQMPHRDSISWTTIISGYLRSSNSSEALSLFSLLLSSHPSLPLDPFLLSLALKACSFSPSFLPLASSLHSLSLKSGLLPSSPFISTSLLHAYSNSNLLSHSLQLFDEMPQRNTVSYTAAITALVRSANYSTALRLFTSMPQRRIPWDSHSYAIILKACADARLLPRGREIHSFTAKLGLDSTSFIANTLASMYSKCGDTSSAFILFNRIRSPDVVSWTNIIAAHAQIGHNADAIRAFLSMRDSGVSPNAYTYAAVLSACTALARAEWGEQLHAHVYNRGFARAISVANSLVTLYSRVGRLHSADILFREIPVKDLVSWSAIVSAYAQEGHVEESFQLFNQMRDSGPAPNEFTLASLLSACASAALLEPGRQVHARAQRAGLAQEAAVASAVINMYSKCGCIAEAKKVFNELDHDDVVSWTAMINGYAEHGLSSVAIKMFDEMPAAGLVPDHVTFVGVLNACSHAGLVDLGLKYFERMKEEYGIEPWKEHYGCMVDVLGRAGRLRDAEKVIDEMPEKGDDVVWSALLRASRVHGDVECGKRAAEKILEEMPECAGTHITMANVYAEKGMWREVATMRRAMRAKGVKKEVGWSWIEVGDDVAVFVAGDRRHEGINGMVTLVDCMARLVTVEEVFEFEFVT >DRNTG_30462.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18655126:18657124:-1 gene:DRNTG_30462 transcript:DRNTG_30462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHIVREVDKPGSKLHKKETCEAVTIIETPPMVVVGVVGYVKTPHGLRTLNTVWAQHLSEEVKRRFYKNWYRAKRTAFKSYSKKFESDEGKKEIQSQLEKMKKYASVVRVLAHTQVNGGTIAEKVDFAYGFFEKANPN >DRNTG_30462.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18655126:18655645:-1 gene:DRNTG_30462 transcript:DRNTG_30462.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLLQRRWISHMDSSKKQIPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKAGDEKSQGTH >DRNTG_28144.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19693548:19697248:1 gene:DRNTG_28144 transcript:DRNTG_28144.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIHKVQIGVISPSKLRMKLLGAQNSRKKEDESRTSRTTSPSKLEEMEIAKDCLLAGDLDENEGQFVLQLNMHARYLIEWLSFFIYCVFECIDSSKNSKVSSVMPVNQGNQSNSCSKDVAKQIQSRSSDDDGNEYDSGHDNGSTCSFEFHKGERTLQNQVATPFFRHLPSKWNDAEKWLVNRQALHANVPKKSYLHNQGNRHIVPNWGRVAPESMILDHKQFATQSMHLRITESGGLASQHTAEKFSFATMCSPSNSGSAKETSGVTDVSQHSDDSYNTPRLQKEMNQQSAESRALHSAQTVCTMRDIGTEMTPIPSQDPSRTGTPLGSTTPHSPISSLPSTPKRGAPAPSPVHTGTDDEPDSQKKLSEKEIQLKTRREIVALGMKLGKMNIASWASKDDAEVENTNQSPLKTFDADQQVKKEYEARAAAWEEAEMSKNMARFKHEEVKIQVWEKEQKAKIEAEMRKIEAQAEQMKANAQERMVEKLALTRRRVVEKQAKAEAKRNQLAVRAAQQVEQIRNTGRMPKSHHHRCCSWIL >DRNTG_28144.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19693548:19697248:1 gene:DRNTG_28144 transcript:DRNTG_28144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIHKVQIGVISPSKLRMKLLGAQNSRKKEDESRTSRTTSPSKLEEMEIAKDCLLAGDLDENEDSSKNSKVSSVMPVNQGNQSNSCSKDVAKQIQSRSSDDDGNEYDSGHDNGSTCSFEFHKGERTLQNQVATPFFRHLPSKWNDAEKWLVNRQALHANVPKKSYLHNQGNRHIVPNWGRVAPESMILDHKQFATQSMHLRITESGGLASQHTAEKFSFATMCSPSNSGSAKETSGVTDVSQHSDDSYNTPRLQKEMNQQSAESRALHSAQTVCTMRDIGTEMTPIPSQDPSRTGTPLGSTTPHSPISSLPSTPKRGAPAPSPVHTGTDDEPDSQKKLSEKEIQLKTRREIVALGMKLGKMNIASWASKDDAEVENTNQSPLKTFDADQQVKKEYEARAAAWEEAEMSKNMARFKHEEVKIQVWEKEQKAKIEAEMRKIEAQAEQMKANAQERMVEKLALTRRRVVEKQAKAEAKRNQLAVRAAQQVEQIRNTGRMPKSHHHRCCSWIL >DRNTG_02209.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1274115:1274521:1 gene:DRNTG_02209 transcript:DRNTG_02209.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT4G33470) UniProtKB/TrEMBL;Acc:A0A178V1U6] MLASNIKQLAQELCGGRCVFFLEGGYNLQSLSNSVADSFRAFLTEPSMASQFDNPAALYEEPLSKVKQVIQKVKYIHSL >DRNTG_02209.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1271553:1274521:1 gene:DRNTG_02209 transcript:DRNTG_02209.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT4G33470) UniProtKB/TrEMBL;Acc:A0A178V1U6] MELPALLAGNFFSKAVKPRFGMRFGCGYGLNNRVITRDFKCCSSSLSDARVLYCVAPAMGHNKESHPESNLRVPAIVDALERMELTPKHRGPVVYELQKFRPASMDDIASVHARAYVAGLEKAMSQASEEGLIFIDGSGPTYATPTTFQESLVAAGAGISLVDSVVTASSMNSNPPIGFALIRPPGHHAVPDGPMGFCVFGNIAVAARHAQRVHGLKRVFIIDFDVHHGNGTCDAFYDDPDIFFLSTHQVSVFKGKCILAKLYDILITFDHKVYHSVTFLLTVVNRIFLLMLCRWEATLVQVKLIRLVKVAVKVRH >DRNTG_02209.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1271553:1274521:1 gene:DRNTG_02209 transcript:DRNTG_02209.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT4G33470) UniProtKB/TrEMBL;Acc:A0A178V1U6] MELPALLAGNFFSKAVKPRFGMRFGCGYGLNNRVITRDFKCCSSSLSDARVLYCVAPAMGHNKESHPESNLRVPAIVDALERMELTPKHRGPVVYELQKFRPASMDDIASVHARAYVAGLEKAMSQASEEGLIFIDGSGPTYATPTTFQESLVAAGAGISLVDSVVTASSMNSNPPIGFALIRPPGHHAVPDGPMGFCVFGNIAVAARHAQRVHGLKRVFIIDFDVHHGNGTCDAFYDDPDIFFLSTHQVGSYPGTGKIDQVGQGSGEGTTLNMPLPGGSGDTSMRSVFDEVIVPCAQRFKPDIILISAGYDAHVLDPLAGLQFTTATYYMLASNIKQLAQELCGGRCVFFLEGGYNLQSLSNSVADSFRAFLTEPSMASQFDNPAALYEEPLSKVKQVIQKVKYIHSL >DRNTG_28967.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001462.1:44227:46388:1 gene:DRNTG_28967 transcript:DRNTG_28967.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVDEAIGKLIDNTTCKEKFTPTLSKATILPHQQFLTPLKVRSKVRPPSKRKKSKVEEILIKNKKKKAQTKGDASAQKFVQDDHCTQESVVILL >DRNTG_21996.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5512261:5515315:-1 gene:DRNTG_21996 transcript:DRNTG_21996.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPMTLFVPLFSNSSTPSSAGTNSNIALIASAPTIQADANRSCPSQALSPSQTNIPVGTLQKGAQPPASSEPLANSVRATAIAAGARIASPTAAASIIKAMQLKTPIHIKAGASSPARSTSPSDLGSPVLKPSSLPTDTSMAQNVLMDPGLNAGNSGGAVESPEKRGMDFTDSSDDEEMTYAGD >DRNTG_21996.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5512261:5516243:-1 gene:DRNTG_21996 transcript:DRNTG_21996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPMTLFVPLFSNSSTPSSAGTNSNIALIASAPTIQADANRSCPSQALSPSQTNIPVGTLQKGAQPPASSEPLANSVRATAIAAGARIASPTAAASIIKAMQLKTPIHIKAGASSPARSTSPSDLGSPVLKPSSLPTDTSMAQNVLMDPGLNAGNSGGAVESPEKRGMDFTDSSDDEEMTYAGD >DRNTG_21996.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5512261:5513004:-1 gene:DRNTG_21996 transcript:DRNTG_21996.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKTPIHIKAGASSPARSTSPSDLGSPVLKPSSLPTDTSMAQNVLMDPGLNAGNSGGAVESPEKRGMDFTDSSDDEEMTYAGD >DRNTG_07037.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3568013:3571393:1 gene:DRNTG_07037 transcript:DRNTG_07037.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVNSGPPPKVIRTTTTEPCFKVAKNEDVVVRPTAKFHPSVWGDYFITNPSLPSTHQEEQIKQRVQVLVEDVKVLLKDAKGSMREEMQLIDALQRLGVAYHFEQEINEALCFINTTSSSEQHSYSDDDLHFVALQFRLLRQHHYYVPPDVFNQFINDKGKFKEEMSNDLNGLLSLYEAAYLGIPGEDRLDEAIDFTRSHLQSLAKHIGPRLARKVKHALETPLRRRMSRLNARLYIAIYEEDIETRNDVVLELAKLDFHILQLLHREEVKMISMWWKDLSVPTKLTFARDRIVELYFWILGVYFEPHYSRARLMLVKVLAMFSLMDDIYDSYGTMAELLHFTNAIQRWDLKAADEMEECIQVVFLAIYHTIGEIEDEVLKDDKLYRIGYLRREFEKMTIAWLEEAKWRDDCYLPSLAEHLELSIRTTGYHAIACASFLGMGEIAGKESFDWATSFPQISKDISKISRLMDDVVGYEIDNKMERNHVVSTIHCCMNEFGDSLEEAKERLLQMVEDAWKDVNQECLHLTIPYALLARFVNLASMMETMYKNTDGYTQPSLLKNSISLLLVQPILC >DRNTG_29860.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23069357:23073368:-1 gene:DRNTG_29860 transcript:DRNTG_29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAEDKLKGYTVIKEGEAEILMHSSNAVFYNKAQVNNRDMSIAVLRTFISKRKEEHAASLNKKVKSVSKEHESTPSESAEEETSNAGDGKQNGEHHAHCMDEPEGTSKETVQVSSWKRHEELKAPKVLEALAASGLRALRYAREIDGIGQVVALDNDKVSIEACSKNIQFNGSIACSKVEAHLADARLYMLSHQKEFDAVDLDPYGSPSVFLDSAVQSVADGGLLMCTATDMAVLCGGNGEVCYSKYGSYPLKGKYCHEMALRILLACIESHANRYKRYIVPILSVQMDFYIRVFVRIYTSASAMKNTPLKLSYVYQCVGCDSFHLQSVGRTVTKNNSLKYAPGFGPIVPQECSDCGKKFNMGGPIWSAPIHDQEWVSSILANVKASKERYPAYERISAVLTTISEELPDVPLFVSLHNLCATLKCTSPSAVIFRSAVINAGYRISSSHVNPLGLKTDAPMDAIWDIMRCWVKNHPVKAQPPDQSGTVILSREPSLQANFARAVASLSKAQAKKVARFLPNPERHWGPKVKAGRQIKSKHASLLGPEQLNEHLKHIENRGTDEQINNDEDEQKAKRPKLSEDETTAMS >DRNTG_04125.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30156813:30159284:1 gene:DRNTG_04125 transcript:DRNTG_04125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNWIFARAAVRRRVEDHLTRPRRLSRQLSNVDYKKLRKLIVSGKLAPCFDALDDAFFDDLEECPICFFYYPSLNRSRCCEKGICTECFLQMKPTDVSQKIQCPYCKYSCYAVEYRGARTTEEKDIDLAEEQKVIEAKMRMQFESQNEKNISLPDQSHLISSEVQSPASTNAEQSGDVNGSNVEDQNGTLIQESTENNYSLTRNICRARHERVHTSLEEVMLMETIWQSIQDARVDRSENKEPSESSDTIESQIEMNPELNNSKISASFDDGECHSSKSSSPDNNSPQDNPLKVIDVVGSSNSTSTS >DRNTG_04125.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30156813:30159284:1 gene:DRNTG_04125 transcript:DRNTG_04125.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNWIFARAAVRRRVEDHLTRPRRLSRQLSNVDYKKLRKLIVSGKLAPCFDALDDAFFDDLEECPICFFYYPSLNRSRCCEKGICTECFLQMKPTDVSQKIQCPYCKYSCYAVEYRGARTTEEKDIDLAEEQKVIEAKMRMQFESQNEKNISLPDQSHLISSEVQSPASTNAEQSGDVNGSNVEDQNGTLIQESTENNYSLTRNICRARCRHERVHTSLEEVMLMETIWQSIQDARVDRSENKEPSESSDTIESQIEMNPELNNSKISASFDDGECHSSKSSSPDNNSPQDNPLKVIDVVGSSNSTSTS >DRNTG_13414.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5928065:5930446:-1 gene:DRNTG_13414 transcript:DRNTG_13414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRHLRRLRRGPPPRYNRERVERYFLEEQQREEEEQRREVEEQRRREEERMREEEMETHIAAQSLTMVQQLSNGIGKGVIQSWEGVSGLGRNPRTPGELVVAIILNN >DRNTG_06313.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2153103:2158028:1 gene:DRNTG_06313 transcript:DRNTG_06313.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQKMRKKKKKAGDEIVRRMDSAHGDMVYSSEKVVEEDSGKSEKKKKSKKKNQKESGGLEDNGVTNKETVDMRESENGLDLDGSEVKSGENRKEKKSKKLVPIANNDFGEKLDYEGRINENEKELDSEKLSTSSSSKETMKEVKEKKKRKDKSSKLVSSASLDTAAKATDSLGSEECEDLSGNKSERKRRRDADTVEAEKHGNSKKKKVKQASRIVAPSVTEEDVGGTPSMANENGEKSNNMKVKYSGTEHFDKPTSKKGAKNKAAKKEPKSKKRDTEYLKTSASKQKKKVSFSGDVEVFPVNNATNCEEEDEENLIRGQRYTREEDELLKKAVLDYVEENGLGEDGVKKVMNCRNYPEVKNCWKIITTALPRRPYFSVYTRAHVIFERSERHNWLPEEVEYVKKFHEEHGPDWATLAKILGKHRIHVKDTWRRVKLPAAKSGKWSQDEYQTLFDLVNMDLRMKAFTEQKPNHKIVVPSSDVSFT >DRNTG_06313.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2153103:2158028:1 gene:DRNTG_06313 transcript:DRNTG_06313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQKMRKKKKKAGDEIVRRMDSAHGDMVYSSEKVVEEDSGKSEKKKKSKKKNQKESGGLEDNGVTNKETVDMRESENGLDLDGSEVKSGENRKEKKSKKLVPIANNDFGEKLDYEGRINENEKELDSEKLSTSSSSKETMKEVKEKKKRKDKSSKLVSSASLDTAAKATDSLGSEECEDLSGNKSERKRRRDADTVEAEKHGNSKKKKVKQASRIVAPSVTEEDVGGTPSMANENGEKSNNMKVKYSGTEHFDKPTSKKGAKNKAAKKEPKSKKRDTEYLKTSASKQKKKVSFSGDVEVFPVNNATNCEEEDEENLIRGQRYTREEDELLKKAVLDYVEENGLGEDGVKKVMNCRNYPEVKNCWKIITTALPRRPYFSVYTRAHVIFERSERHNWLPEEVEYVKKFHEEHGPDWATLAKILGKHRIHVKDTWRRVKLPAAKSGKWSQDEYQTLFDLVNMDLRMKAFTEQKPNHKILRDNISWDPIS >DRNTG_10067.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000421.1:13373:15279:1 gene:DRNTG_10067 transcript:DRNTG_10067.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMPFSLLPELIICLMALTSLRVLLDGSTNGRTMVDILSQLLGFRNYIPAYANARTPSEFLRGLNFASGAAGIREETGDNLGQHYSFTEQVENFGNAVRVIRRIFRGNTARVADHLSQCIFFSGMGSNDYLNNYFMPNLYSSSYEYSPKTFASILLEEYTRQLTNVYNLGARKVAVIGVGQIGCIPYELARYNTNDNNNGTQSHCNNKINKAIAIFNKGLVKMVNRFNDQFPGAKFIYVNTFESSKDLVENASSYGFEVIDKGCCGVGRNNGQITCLPLQQPCQDRRKYLFWDAFHPTEYANIIYAKKAYSSTSKSEVYPVNIRQLVMA >DRNTG_09955.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6682780:6685372:-1 gene:DRNTG_09955 transcript:DRNTG_09955.1 gene_biotype:protein_coding transcript_biotype:protein_coding GIEARGFIFGSPIALAIGAKFVPSRKPRKLPGCWRY >DRNTG_10138.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19156332:19156783:1 gene:DRNTG_10138 transcript:DRNTG_10138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQCRRRSTLVASGGFLRSNSSSSS >DRNTG_23654.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5229880:5232538:1 gene:DRNTG_23654 transcript:DRNTG_23654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKRKRSSTNFIEARTHIWNHILRYLDSLSLKCAVEVGVPDAIHNHGNPMTLSELVQALHIPTSRAPFLQRIIRTLVNSGFFFSLTRKELDGSNEEEVYGLTTSSELLIKGSTNSLAPLVVFISGLEAEMAGQAMSPWIKRASDGGDDENKTPFHVAYGKSVFEFASERSEFNALFNEAMASDSKLFMGEVVKEWGDVLFGKLRSLVDVGGGTGGAALMIAEAFQSVKCSVLDLAHVVDMQPENGLVEFVKGDMFVHVPAADAVLLKWILHDWSDEECVKILKKCKEAIPNGGKVIIIEAVVEHDVDSDETTKTQYLLDIHMMTFTTGKERDENEWKSIFLQAGFPSYQIICDLGVHCVIEVYP >DRNTG_23654.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5232031:5232538:1 gene:DRNTG_23654 transcript:DRNTG_23654.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTFTTGKERDENEWKSIFLQAGFPSYQIICDLGVHCVIEVYP >DRNTG_19774.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17653518:17656258:-1 gene:DRNTG_19774 transcript:DRNTG_19774.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSTLLLPLLLLLATNSARSQPFDYPAANLSTIWTNDESLKHNVSYPDGSTIRALLLRGSFGQSFAFGFYCSTSSCTSFILCLCLVYTNSGSYITKPMQAPPQVLWSPNRSRPVREKASLHLTSNGDLILYDADSTVVWSTNTSGLAVSGLNLTLNGNLILFNRNNIPVWQSFDHLTDTLIVGQSLKLGQRLTANSSTTNSTESSLYFTLLSDGLSAFIDSNPPQMYYHSSVKGSQNITYSNGSLVFSPNGESISLPSAPSMQYMRFEFDGHLKVYSWTDASGWSIIGNVFAGSIVDDCGYPTVCGAYGICSGGQCSCPANGSTPFFDQVDGRQPNLGCKLKTPLSCQALQNHQLLTLNNVSYFNYVDSSAKIHSIKTEAACKLACLTNCPCKAAFFQYGGDISDGSCYLLTQVFSMRNNVPDVSHYNSSAYIKVQVNPSPPSLTTGERSSNLAIILGSVFGGLVVVSLVACIVLVVWRRKMASELEEDDEFDQVPGMPARFSFEELRIATENFSKKLGQGGFGSVFEGELADGVKVAVKRLDEIGQGKKEFLAEVQTIGSIHHIKLVRLIGFCAEKTYRLLVYEYMPNGSLDKWIFHASKADDLDWNTRRRIITDIAKGLSYLHEECRQRIAHLDIKPQNILLDEHFNAKVSDFGLSKLIDREQSQVMTRMRGTPGYLAPEWLTSIITEKVDIYSFGVVVMEIVCGRKNLDYSQPEESMHLVRQLQKFIQESKVEDLVDSHSHDMQLHKKEVVEIIWLAMWCLQADSSRRPLMSEVVKVLEGSISVEHELDFDFLSSTPAVAPNAKYWSDSAPMMDSVLSGPR >DRNTG_19774.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17653483:17656258:-1 gene:DRNTG_19774 transcript:DRNTG_19774.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSTLLLPLLLLLATNSARSQPFDYPAANLSTIWTNDESLKHNVSYPDGSTIRALLLRGSFGQSFAFGFYCSTSSCTSFILCLCLVYTNSGSYITKPMQAPPQVLWSPNRSRPVREKASLHLTSNGDLILYDADSTVVWSTNTSGLAVSGLNLTLNGNLILFNRNNIPVWQSFDHLTDTLIVGQSLKLGQRLTANSSTTNSTESSLYFTLLSDGLSAFIDSNPPQMYYHSSVKGSQNITYSNGSLVFSPNGESISLPSAPSMQYMRFEFDGHLKVYSWTDASGWSIIGNVFAGSIVDDCGYPTVCGAYGICSGGQCSCPANGSTPFFDQVDGRQPNLGCKLKTPLSCQALQNHQLLTLNNVSYFNYVDSSAKIHSIKTEAACKLACLTNCPCKAAFFQYGGDISDGSCYLLTQVFSMRNNVPDVSHYNSSAYIKVQVNPSPPSLTTGERSSNLAIILGSVFGGLVVVSLVACIVLVVWRRKMASELEEDDEFDQVPGMPARFSFEELRIATENFSKKLGQGGFGSVFEGELADGVKVAVKRLDEIGQGKKEFLAEVQTIGSIHHIKLVRLIGFCAEKTYRLLVYEYMPNGSLDKWIFHASKADDLDWNTRRRIITDIAKGLSYLHEECRQRIAHLDIKPQNILLDEHFNAKVSDFGLSKLIDREQSQVMTRMRGTPGYLAPEWLTSIITEKVDIYSFGVVVMEIVCGRKNLDYSQPEESMHLVRQLQKFIQESKVEDLVDSHSHDMQLHKKEVVEIIWLAMWCLQADSSRRPLMSEVVKVLEGSISVEHELDFDFLSSTPAVAPNAKYWSDSAPMMDSVLSGPR >DRNTG_19774.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17653483:17656364:-1 gene:DRNTG_19774 transcript:DRNTG_19774.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSTLLLPLLLLLATNSARSQPFDYPAANLSTIWTNDESLKHNVSYPDGSTIRALLLRGSFGQSFAFGFYCSTSSCTSFILCLCLVYTNSGSYITKPMQAPPQVLWSPNRSRPVREKASLHLTSNGDLILYDADSTVVWSTNTSGLAVSGLNLTLNGNLILFNRNNIPVWQSFDHLTDTLIVGQSLKLGQRLTANSSTTNSTESSLYFTLLSDGLSAFIDSNPPQMYYHSSVKGSQNITYSNGSLVFSPNGESISLPSAPSMQYMRFEFDGHLKVYSWTDASGWSIIGNVFAGSIVDDCGYPTVCGAYGICSGGQCSCPANGSTPFFDQVDGRQPNLGCKLKTPLSCQALQNHQLLTLNNVSYFNYVDSSAKIHSIKTEAACKLACLTNCPCKAAFFQYGGDISDGSCYLLTQVFSMRNNVPDVSHYNSSAYIKVQVNPSPPSLTTGERSSNLAIILGSVFGGLVVVSLVACIVLVVWRRKMASELEEDDEFDQVPGMPARFSFEELRIATENFSKKLGQGGFGSVFEGELADGVKVAVKRLDEIGQGKKEFLAEVQTIGSIHHIKLVRLIGFCAEKTYRLLVYEYMPNGSLDKWIFHASKADDLDWNTRRRIITDIAKGLSYLHEECRQRIAHLDIKPQNILLDEHFNAKVSDFGLSKLIDREQSQVMTRMRGTPGYLAPEWLTSIITEKVDIYSFGVVVMEIVCGRKNLDYSQPEESMHLVRQLQKFIQESKVEDLVDSHSHDMQLHKKEVVEIIWLAMWCLQADSSRRPLMSEVVKVLEGSISVEHELDFDFLSSTPAVAPNAKYWSDSAPMMDSVLSGPR >DRNTG_19774.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17653518:17656364:-1 gene:DRNTG_19774 transcript:DRNTG_19774.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSTLLLPLLLLLATNSARSQPFDYPAANLSTIWTNDESLKHNVSYPDGSTIRALLLRGSFGQSFAFGFYCSTSSCTSFILCLCLVYTNSGSYITKPMQAPPQVLWSPNRSRPVREKASLHLTSNGDLILYDADSTVVWSTNTSGLAVSGLNLTLNGNLILFNRNNIPVWQSFDHLTDTLIVGQSLKLGQRLTANSSTTNSTESSLYFTLLSDGLSAFIDSNPPQMYYHSSVKGSQNITYSNGSLVFSPNGESISLPSAPSMQYMRFEFDGHLKVYSWTDASGWSIIGNVFAGSIVDDCGYPTVCGAYGICSGGQCSCPANGSTPFFDQVDGRQPNLGCKLKTPLSCQALQNHQLLTLNNVSYFNYVDSSAKIHSIKTEAACKLACLTNCPCKAAFFQYGGDISDGSCYLLTQVFSMRNNVPDVSHYNSSAYIKVQVNPSPPSLTTGERSSNLAIILGSVFGGLVVVSLVACIVLVVWRRKMASELEEDDEFDQVPGMPARFSFEELRIATENFSKKLGQGGFGSVFEGELADGVKVAVKRLDEIGQGKKEFLAEVQTIGSIHHIKLVRLIGFCAEKTYRLLVYEYMPNGSLDKWIFHASKADDLDWNTRRRIITDIAKGLSYLHEECRQRIAHLDIKPQNILLDEHFNAKVSDFGLSKLIDREQSQVMTRMRGTPGYLAPEWLTSIITEKVDIYSFGVVVMEIVCGRKNLDYSQPEESMHLVRQLQKFIQESKVEDLVDSHSHDMQLHKKEVVEIIWLAMWCLQADSSRRPLMSEVVKVLEGSISVEHELDFDFLSSTPAVAPNAKYWSDSAPMMDSVLSGPR >DRNTG_19774.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17653442:17656258:-1 gene:DRNTG_19774 transcript:DRNTG_19774.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSTLLLPLLLLLATNSARSQPFDYPAANLSTIWTNDESLKHNVSYPDGSTIRALLLRGSFGQSFAFGFYCSTSSCTSFILCLCLVYTNSGSYITKPMQAPPQVLWSPNRSRPVREKASLHLTSNGDLILYDADSTVVWSTNTSGLAVSGLNLTLNGNLILFNRNNIPVWQSFDHLTDTLIVGQSLKLGQRLTANSSTTNSTESSLYFTLLSDGLSAFIDSNPPQMYYHSSVKGSQNITYSNGSLVFSPNGESISLPSAPSMQYMRFEFDGHLKVYSWTDASGWSIIGNVFAGSIVDDCGYPTVCGAYGICSGGQCSCPANGSTPFFDQVDGRQPNLGCKLKTPLSCQALQNHQLLTLNNVSYFNYVDSSAKIHSIKTEAACKLACLTNCPCKAAFFQYGGDISDGSCYLLTQVFSMRNNVPDVSHYNSSAYIKVQVNPSPPSLTTGERSSNLAIILGSVFGGLVVVSLVACIVLVVWRRKMASELEEDDEFDQVPGMPARFSFEELRIATENFSKKLGQGGFGSVFEGELADGVKVAVKRLDEIGQGKKEFLAEVQTIGSIHHIKLVRLIGFCAEKTYRLLVYEYMPNGSLDKWIFHASKADDLDWNTRRRIITDIAKGLSYLHEECRQRIAHLDIKPQNILLDEHFNAKVSDFGLSKLIDREQSQVMTRMRGTPGYLAPEWLTSIITEKVDIYSFGVVVMEIVCGRKNLDYSQPEESMHLVRQLQKFIQESKVEDLVDSHSHDMQLHKKEVVEIIWLAMWCLQADSSRRPLMSEVVKVLEGSISVEHELDFDFLSSTPAVAPNAKYWSDSAPMMDSVLSGPR >DRNTG_24009.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001286.1:14297:20474:1 gene:DRNTG_24009 transcript:DRNTG_24009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLHAISSCSSSRISLLSSAQAEWPVRRKKISCVKRPCSFQEAGAVSSGFLGHLSIHHSLHQAPSSRTKAILNVLSSEKDGGLTSPGTTENGRGLRGQLKKVVLAYSGGLDTSVIVPWLRENYGCDVVCFTADVGQGDMEMEGLERKAKASGACQLVVKDLKEEFVRDFIFPCLRAGAVYERKYLLGTSMARPVIAKAMVDVAKEVGADAVAHGCTGKGNDQVRFELTFFALNPELNVVAPWREWDIKGREDAIEYAKKHNVPVPVSKKSIYSRDRNLWHLSHEGDILEDPANEPKKEMYMMTVDPEDAPNQPEYVEIGIVAGIPVSLNGKDLSPASLLSALNELGGQHGIGRVDMVENRLVGMKSRGVYETPGGTILYAAVRELESLTLDRETMQFKDTIALKYAELVYAGRWFDPLRESMDAFMENITKTTTGSVTLKLYKGSVTVVSRRSPSSLYREDISSFENGDIYNQADAAGFIRLYGLPTRVRSMLERGI >DRNTG_18093.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:324778:328414:1 gene:DRNTG_18093 transcript:DRNTG_18093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDPLLKDLSEKKQNFRRSVVSLAAELKDVRGRLASQEKSFAHETMTRKMAETKAMNMELEVGMLQKCLEEKNDCLQKSAATSEQYIKELDDLRSQLSITQATAEASAASAESAQSQCLSLLKELESKNNSLKEHEIRVNKLGEQLDLLQKDLQTRELSQRQLKDEIIRIEEEIMVAIAKSGADKDSELMRILDEVSPKNIENMKKHLNSKDEEIIRLRDEIRFLSAHWKQKTKDLESQIEKHRRADQELKKRIVKLEFCLQEARSQTRKLQRMGERRDQALKELRDQLAMKQCSCIDKPNFWESSGLKVIVSMSMLVLVVFSRR >DRNTG_18093.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:324778:328414:1 gene:DRNTG_18093 transcript:DRNTG_18093.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDPLLKDLSEKKQNFRRSVVSLAAELKDVRGRLASQEKSFAHETMTRKMAETKAMNMELEVGMLQKCLEEKNDCLQKSAATSEQYIKELDDLRSQLSITQATAEASAASAESAQSQCLSLLKELESKNNSLKEHEIRVNKLGEQLDLLQKDLQTRELSQRQLKDEIIRIEEEIMVAIAKSGADKDSELMRILDEVSPKNIENMKKHLNSKDEEIIRLRDEIRFLSAHWKQKTKDLESQIEKHRRADQELKKRIVKLEFCLQEARSQTRKLQRMGERRDQALKELRDQLAMKQCSCIDKPNFWESSGLKVIVSMSMLVLVVFSRR >DRNTG_31995.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13550959:13556895:1 gene:DRNTG_31995 transcript:DRNTG_31995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPWTPRIFSQSSPPKLGSLLVKSCVVDRRREEWGGSLRGPRENCPSKSSPFCPTKSVSFYIPSVRGL >DRNTG_08328.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19835361:19839213:-1 gene:DRNTG_08328 transcript:DRNTG_08328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDEANKEEKELDLSSPDVVTKYKSAADIVNKALQFVVSQCKTGVKVVDLCEKGDVFIRDQAGSVYKNVKKKIERGVAFPTCISVNNVVCHFSPLATDETVLVENDIVKIDMGCHIDGFIAVVAHTHAIHEGPVTGRAADVIAAANTAAEVALRLVRPGKHNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVVLSVASPETRVDEAEFEENEVYAIDIVTSTGEGKPRLLDERQTTIYKRAVDKNYHLKMKSSRFIFSEISQKFPIMPFTARALEEKRARLGLVECVNHDLLQPYPVLHEKPGDLVAHIKFTVLLMPNGSDRITSHSLQQLQSTKTVDDDAEIKAWLALGTKTKKKGGGKKKKGKKADAQEDQVEAVPMDEA >DRNTG_33719.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1213355:1220324:1 gene:DRNTG_33719 transcript:DRNTG_33719.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDNKKCDIFRGEWVPNPKAPYYTNNTCWAIHEHQNCMKFGRPDTDFLKWRWKPDGCELPVFNPAQFLELVKGKSLAFLGDSVAVDTWNDFLHHMLKMERGRPSASEQLHITDVQAFLHFNN >DRNTG_33719.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1218782:1220324:1 gene:DRNTG_33719 transcript:DRNTG_33719.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFIDRFPHCMEIKTNLNHETRDEQGQEEIDKPLRPEITKKKIFFFERKKRTIKKGKQTTGSEVCVSDFFEFYQSAKSIVVGAEMEMELGSNGREIDGEEEEMWATLERERERERE >DRNTG_33719.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1213355:1213963:1 gene:DRNTG_33719 transcript:DRNTG_33719.10 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPQQAPWTTTTKPRKQNSKSSHHSNPNPNSPHHHPTLPLPFTKCNIIIISSISFINFTDFLKWRWKPDGCELPVFNPAQFLELVKGKSLAFLGDSVARNQMQSLICLLNRVRQTYKFNTH >DRNTG_33719.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1213355:1220324:1 gene:DRNTG_33719 transcript:DRNTG_33719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDNKKCDIFRGEWVPNPKAPYYTNNTCWAIHEHQNCMKFGRPDTDFLKWRWKPDGCELPVFNPAQFLELVKGKSLAFLGDSVDTWNDFLHHMLKMERGRPSASEQLHITDVQAFLHFNN >DRNTG_33719.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1215354:1220324:1 gene:DRNTG_33719 transcript:DRNTG_33719.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLFKLYLDEPDANWTSQIAEFDYVIVSAGHWFFRPTKYYELDRLVGCHYCLDPNITDLTMYYGYRMAFRTTFKTLYNLKGFKGMTFLRTFAPSHFENGEWNKGGDCVRKRPFRSNETRLEGINLELYMTQMEEFRAAEKEASKRGLKFRLLDTTEAMLMRPDGHPSRYGHPLNVNITMYNDCVHWCLPGPVDTWNDFLHHMLKMERGRPSASEQLHLSERMKRV >DRNTG_33719.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1213355:1213963:1 gene:DRNTG_33719 transcript:DRNTG_33719.9 gene_biotype:protein_coding transcript_biotype:protein_coding MRDNKKCDIFRGEWVPNPKAPYYTNNTCWAIHEHQNCMKFGRPDTDFLKWRWKPDGCELPVFNPAQFLELVKGKSLAFLGDSVARNQMQSLICLLNRVRQTYKFNTH >DRNTG_33719.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1215354:1220324:1 gene:DRNTG_33719 transcript:DRNTG_33719.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLFKLYLDEPDANWTSQIAEFDYVIVSAGHWFFRPTKYYELDRLVGCHYCLDPNITDLTMYYGYRMAFRTTFKTLYNLKGFKGMTFLRTFAPSHFENGEWNKGGDCVRKRPFRSNETRLEGINLELYMTQMEEFRAAEKEASKRGLKFRLLDTTEAMLMRPDGHPSRYGHPLNVNITMYNDCVHWCLPGPVDTWNDFLHHMLKMERGRPSASEQLHITDVQAFLHFNN >DRNTG_33719.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1213355:1220324:1 gene:DRNTG_33719 transcript:DRNTG_33719.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGRPDTDFLKWRWKPDGCELPVFNPAQFLELVKGKSLAFLGDSVAVDTWNDFLHHMLKMERGRPSASEQLHQSAKSIVVGAEMEMELGSNGREIDGEEEEMWATLERERERERE >DRNTG_33719.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1218782:1220324:1 gene:DRNTG_33719 transcript:DRNTG_33719.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELGSNGREIDGEEEEMWATLERERERERE >DRNTG_33719.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1215354:1220324:1 gene:DRNTG_33719 transcript:DRNTG_33719.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLFKLYLDEPDANWTSQIAEFDYVIVSAGHWFFRPTKYYELDRLVGCHYCLDPNITDLTMYYGYRMAFRTTFKTLYNLKGFKGMTFLRTFAPSHFENGEWNKGGDCVRKRPFRSNETRLEGINLELYMTQMEEFRAAEKEASKRGLKFRLLDTTEAMLMRPDGHPSRYGHPLNVNITMYNDCVHWCLPGPVDTWNDFLHHMLKMERGRPSASEQLHQSAKSIVVGAEMEMELGSNGREIDGEEEEMWATLERERERERE >DRNTG_17545.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29078255:29081085:-1 gene:DRNTG_17545 transcript:DRNTG_17545.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSNKTRSCPANRATKTSGSESDSNNSVRPSRTFTDRSPKAIVHRRSSKSPVTENKRSSRVSELELQFAQLQEEVKKTKDQLNSSESWKRKAQHEAEETKKQLMTVSVQLEDKEQQLVEFSAAEEDRLQELRKISLDRDRAWQSELEVVQKQHSMDSTALASALNEIQRLKMQLDIVIKSEVAKNSEIQMLKQEMESLRAISSETEQLLSMKELELEESNAQVMKMSVKYDEIEQLKVALDEQQIQNTLQIHSLHELVERMKIECEQMGLMEDVTELKAKVMDKETELQSIAEENKEMKQELSIAQAVNAEMEVELRRIRVQSEQWRKAAEAAAAVLMTGNDGGFMEIVGNPMSSPSEYDELSDESPKKKNSNNMLKKIGWLLKKGSK >DRNTG_17545.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29078255:29081279:-1 gene:DRNTG_17545 transcript:DRNTG_17545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSNKTRSCPANRATKTSGSESDSNNSVRPSRTFTDRSPKAIVHRRSSKSPVTENKRSSRVSELELQFAQLQEEVKKTKDQLNSSESWKRKAQHEAEETKKQLMTVSVQLEDKEQQLVEFSAAEEDRLQELRKISLDRDRAWQSELEVVQKQHSMDSTALASALNEIQRLKMQLDIVIKSEVAKNSEIQMLKQEMESLRAISSETEQLLSMKELELEESNAQVMKMSVKYDEIEQLKVALDEQQIQNTLQIHSLHELVERMKIECEQMGLMEDVTELKAKVMDKETELQSIAEENKEMKQELSIAQAVNAEMEVELRRIRVQSEQWRKAAEAAAAVLMTGNDGGFMEIVGNPMSSPSEYDELSDESPKKKNSNNMLKKIGWLLKKGSK >DRNTG_07867.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18985085:18986321:-1 gene:DRNTG_07867 transcript:DRNTG_07867.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component EXO84C [Source:Projected from Arabidopsis thaliana (AT1G10180) UniProtKB/Swiss-Prot;Acc:Q9SY60] MNGSLLNPASPQSTSLTPRRGSGRFVQNFWI >DRNTG_07867.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18980253:18986321:-1 gene:DRNTG_07867 transcript:DRNTG_07867.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component EXO84C [Source:Projected from Arabidopsis thaliana (AT1G10180) UniProtKB/Swiss-Prot;Acc:Q9SY60] MESSEEEDDFPTHEWITPQSSITAIYQSDTEKGVRKICTELLDLKDAVENLCGNMQSKYLAFLRLSEEVIEIEQEIIELQKHVSAQGILVQDLMSGVCRELEVWHKCNSEAVSTEENVQASKADFSSTSESEDIKINFLETMDVFLAEHKVEEALLAFDAEEKRCAELNDSGENSSDEISAYKADFLRRKGMLVDQLVEISEQSSVSNGELKKALSGLVKLGKGSLGHQLLLKAYGSRLQKKIEAFLPLCSIYLETYSATLSQIVFSTISLAKKESVSIFGDAPAYTNRIVQWAECEIEAFIHIVKENAPPSETASALRSASICLQASLSHCSFLESQGLKILKIAYGSFPSLS >DRNTG_07867.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18980253:18984900:-1 gene:DRNTG_07867 transcript:DRNTG_07867.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component EXO84C [Source:Projected from Arabidopsis thaliana (AT1G10180) UniProtKB/Swiss-Prot;Acc:Q9SY60] MSGVCRELEVWHKCNSEAVSTEENVQASKADFSSTSESEDIKINFLETMDVFLAEHKVEEALLAFDAEEKRCAELNDSGENSSDEISAYKADFLRRKGMLVDQLVEISEQSSVSNGELKKALSGLVKLGKGSLGHQLLLKAYGSRLQKKIEAFLPLCSIYLETYSATLSQIVFSTISLAKKESVSIFGDAPAYTNRIVQWAECEIEAFIHIVKENAPPSETASALRSASICLQASLSHCSFLESQGLKILKIAYGSFPSLS >DRNTG_07867.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18980253:18985284:-1 gene:DRNTG_07867 transcript:DRNTG_07867.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component EXO84C [Source:Projected from Arabidopsis thaliana (AT1G10180) UniProtKB/Swiss-Prot;Acc:Q9SY60] MQSKYLAFLRLSEEVIEIEQEIIELQKHVSAQGILVQDLMSGVCRELEVWHKCNSEAVSTEENVQASKADFSSTSESEDIKINFLETMDVFLAEHKVEEALLAFDAEEKRCAELNDSGENSSDEISAYKADFLRRKGMLVDQLVEISEQSSVSNGELKKALSGLVKLGKGSLGHQLLLKAYGSRLQKKIEAFLPLCSIYLETYSATLSQIVFSTISLAKKESVSIFGDAPAYTNRIVQWAECEIEAFIHIVKENAPPSETASALRSASICLQASLSHCSFLESQGLKILKIAYGSFPSLS >DRNTG_07867.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18980253:18986321:-1 gene:DRNTG_07867 transcript:DRNTG_07867.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component EXO84C [Source:Projected from Arabidopsis thaliana (AT1G10180) UniProtKB/Swiss-Prot;Acc:Q9SY60] MESSEEEDDFPTHEWITPQSSITAIYQSDTEKGVRKICTELLDLKDAVENLCGNMQSKYLAFLRLSEEVIEIEQEIIELQKHVSAQGILVQDLMSGVCRELEVWHKCNSEAVSTEENVQASKADFSSTSESEDIKINFLETMDVFLAEHKVEEALLAFDAEEKRCAELNDSGENSSDEISAYKADFLRRKGMLVDQLVEISEQSSVSNGELKKALSGLVKLGKGSLGHQLLLKAYGSRLQKKIEAFLPLCSIYLETYSATLSQIVFSTISLAKKESVSIFGDAPAYTNRIVQWAECEIEAFIHIVKENAPPSETASALRSASICLQASLSHCSFLESQGLKILKIAYGSFPSLS >DRNTG_27477.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26456813:26461065:1 gene:DRNTG_27477 transcript:DRNTG_27477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGARQPAKRAGRVTRRKMARGIDLNAPPTLDEHQPVAAPLQPSQGSVSQWPELGTLGRSTWPIDVELIEDDVVCLSERMNSTQVVYGRSRSRRNEPVQVVEDDEPQVILGSSATAALPHNNNKRRRVPPNPTVIESDEDGENSKIKRGKHAAEIKFSCPVCLNTLTEPSSTICGHIFCHGCIRRSVQTLKSCPTCRRKLSMNNFHRVYLPAAD >DRNTG_11645.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:82942:83703:-1 gene:DRNTG_11645 transcript:DRNTG_11645.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S31, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G38140) UniProtKB/Swiss-Prot;Acc:O80439] MALLVLGAGTLLPNSSIASLSFSRTLSEASSLSICFSALQPPPSHLVYCGRGDRKTAKGKRFNHSFGNARPRDKKKKGRGPPRAPMPPAPPRKDRFDDDEVVKIDIDESLFS >DRNTG_24428.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8388304:8391934:1 gene:DRNTG_24428 transcript:DRNTG_24428.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLFHALLCKSLLLLLLLQNGTPVMSLTIKNSSRCNLYQGSWVYDESYPLYDAAKCPHIRREFDCLKYGRPDKDYLKYRWQPTFCNVPRFDGEEFLKMWSGKMIMFVGDSLSLNQWQSLLCILHAAVPDVVTSSSSSGRNPISSLTYKGYNVTVMYYRAPYLVDIINEKIGRVLKLDSMQAGNQWLQANLLIFNTWHWWLRSGQYQPWDFIQDGNKILKDMNRTVAFSKALTTWAKWVNSSVNPNTTKVFFQGVSPSHFHANEWGKSFNKSCYGETEPVKGPRYPGGPVPQENTIKDILKTISKPVSLLDITYLSQLRKDAHPSSYNGIHLRLDCSHWCVPGLPDIWNQLMY >DRNTG_16423.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7500230:7502127:-1 gene:DRNTG_16423 transcript:DRNTG_16423.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKESRSYIETFHDHKESLVYLTADAETVLDELDPQKIYIIGGLVDRNRWKGITMKKATDQGIQSAKLPIGSYLKMSSSQVYFVVLMSKILCIGL >DRNTG_16423.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7499625:7502127:-1 gene:DRNTG_16423 transcript:DRNTG_16423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELATAGDVAGAGPALSKSAKKRLMKQQRLEARKAERKVAEKERRRQNLEIRRREWDEKLSSATEEDRARMVEERKGMRKERMGRRAEEREMRIQRLTRAKETGPKVVLDLEFSDLMSSGEVHSLVHQIMYCYAVNGKCPSPVHLWLTGCNGEIQAQLEKLPGFEKWMIEKESRSYIETFHDHKESLVYLTADAETVLDELDPQKIYIIGGLVDRNRWKGITMKKATDQGIQSAKLPIGSYLKMSSSQVLTVNQVVEILLKFLETKDWRSAFFQVIPQRKRGEIESKLEDNEFNESVVNDIQNQKESIVDEDEDDDDDDDDDDDDDGVGDEAVAVEEEGVLMKKQRIGEVTNAETQKLL >DRNTG_25441.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1453044:1455861:1 gene:DRNTG_25441 transcript:DRNTG_25441.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAAEFRRPQRRRFSGWIWWMLGAFFVVGLFMFVIHHHHHEDTFRPPLMETSPEIEEATESSLNYTQELLSSTSFARQLTDQMALAKTYVVMAKEHNNLRLAWELSSQIRNSQRLLSQAAVRGQAVSLEEAHPIIRKLSQLIYKAQDSHYDISTTITTLKKHVQALEERFNAATVQSAAYGELAAEAVPKNLHCLHVKLTMEWFRNPSLRKLAEEQRNSPRLVDNNLYHFCVFSDNVLATSVVVNSTVSTADHPQQFVFHVVTNRVNYQAMAAWFLMNDFKGCTVEVRVIEELNWLNASYSPLLKQLLSEEGTSKGEVNFRHPNAPSLLNNLRFYVPELLPLLEKVVFLDDDVVVQKDLTLLFSLDLHGNVNGAVETCLEAFHRFYKYLNFSNPLISSKFDPQACAWAFGMNIFDLISWKKANLTARYHYWLEQNTDRMLWKTGTLPPGLLTFYGLVEPLDRRWHVLGLGYDMDIDDRLIESAAVLHFSGHLKPWMRLAIGRYKSLWERYINYSHPHLRDCIVH >DRNTG_25441.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1453044:1456272:1 gene:DRNTG_25441 transcript:DRNTG_25441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAAEFRRPQRRRFSGWIWWMLGAFFVVGLFMFVIHHHHHEDTFRPPLMETSPEIEEATESSLNYTQELLSSTSFARQLTDQMALAKTYVVMAKEHNNLRLAWELSSQIRNSQRLLSQAAVRGQAVSLEEAHPIIRKLSQLIYKAQDSHYDISTTITTLKKHVQALEERFNAATVQSAAYGELAAEAVPKNLHCLHVKLTMEWFRNPSLRKLAEEQRNSPRLVDNNLYHFCVFSDNVLATSVVVNSTVSTADHPQQFVFHVVTNRVNYQAMAAWFLMNDFKGCTVEVRVIEELNWLNASYSPLLKQLLSEEGTSKGEVNFRHPNAPSLLNNLRFYVPELLPLLEKVVFLDDDVVVQKDLTLLFSLDLHGNVNGAVETCLEAFHRFYKYLNFSNPLISSKFDPQACAWAFGMNIFDLISWKKANLTARYHYWLEQNTDRMLWKTGTLPPGLLTFYGLVEPLDRRWHVLGLGYDMDIDDRLIESAAVLHFSGHLKPWMRLAIGRYKSLWERYINYSHPHLRDCIVH >DRNTG_34234.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1405621:1408218:-1 gene:DRNTG_34234 transcript:DRNTG_34234.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear intron maturase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G46920) UniProtKB/Swiss-Prot;Acc:Q9FJR9] MLLEPVYEARFSSKSFAFRPGRTAHTVIRTIRRNFAGYLWYVKGDLSSVFESIDTGLVLNAVIRDVRDKKIVDLIKSALVTPVIVGRPENEEERKKKKKKRKYQKKKVLAEDEPKPDPYWLQTFFGFAPEEAAKVPDWGHCGSLSPLLANLVLDELDKWVEEKIKEFYKPSKSDVIWNSEEGDGEQGNTSWPEFVPTSGPDKTRKMDYIRYGGHFLIGIRGPRADAAVLRKQLIEFVDQRFQLKLDNESLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQCIKQFRRLEFLKGDRDPDPQPCFRMFHATQAHTNAQMNKFLSTMVEWYRYADNRKKIVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIASRNLSRPLKDKKGQSPEYQNLLRMGLLDSIDGLQFTRMSLVPDTDYTPFPSGWRPEHEKIILEYLRLEDPMTLEEQRKALREEGLITPQDYMSILVWNYKKNALMLPPLGAGNTQRAKEELLRLDKHEGKFESGDEEESGSVMQAAQM >DRNTG_34234.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1404209:1408218:-1 gene:DRNTG_34234 transcript:DRNTG_34234.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear intron maturase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G46920) UniProtKB/Swiss-Prot;Acc:Q9FJR9] MLLEPVYEARFSSKSFAFRPGRTAHTVIRTIRRNFAGYLWYVKGDLSSVFESIDTGLVLNAVIRDVRDKKIVDLIKSALVTPVIVGRPENEEERKKKKKKRKYQKKKVLAEDEPKPDPYWLQTFFGFAPEEAAKVPDWGHCGSLSPLLANLVLDELDKWVEEKIKEFYKPSKSDVIWNSEEGDGEQGNTSWPEFVPTSGPDKTRKMDYIRYGGHFLIGIRGPRADAAVLRKQLIEFVDQRFQLKLDNESLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQCIKQFRRLEFLKGDRDPDPQPCFRMFHATQAHTNAQMNKFLSTMVEWYRYADNRKKIVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIASRNLSRPLKDKKGQSPEYQNLLRMGLLDSIDGLQFTRMSLVPDTDYTPFPSGWRPEHEKIILEYLRLEDPMTLEEQRKALREEGLITPQDYMSILVWNYKKNALMLPPLGAGNTQRAKEELLRLDKHEGKFESGDEEESGSVMQAAQM >DRNTG_00839.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11722369:11723926:-1 gene:DRNTG_00839 transcript:DRNTG_00839.4 gene_biotype:protein_coding transcript_biotype:protein_coding MITYCCYNSKIRMEKIISIVVPLLCALMVCCESIERGFRVDLTHVDSMGNFTKLQLLQRAALRSKHRMSRLVAKAAALSSTDTSKSFKSPVHAGNGEFLMDLSIGTPSSPFLAILDTGSDLIWTQCKPCVQCFQQPTPIFDPSKSSTYSKLACSSNLCEALPTSSCKSDCEYLYTYGDSSSTQGVLASETFTFGSSGASVSNIGFGCGDSNQGSGFSQASGLVGLGRGPLSLISQLELGKFSYCLTSLDDSKKSPLLFGSDAELKGSAPQSTPLAINPSQPSFYYLTLKGITVGGTLLKIPSSTFALNSDGTGGLIIDSGTSITYLEEAGYKQVKKAFISQVKLPVADGSDVGLDLCFSLPSDTSSVEVPKLVFHFDGADLDLPGDNYMVLDSSTGLLCLTVMESNGLSILGNFQQQNIQILYDLDNEKLSFVSAQCDQL >DRNTG_00839.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11722369:11723767:-1 gene:DRNTG_00839 transcript:DRNTG_00839.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIISIVVPLLCALMVCCESIERGFRVDLTHVDSMGNFTKLQLLQRAALRSKHRMSRLVAKAAALSSTDTSKSFKSPVHAGNGEFLMDLSIGTPSSPFLAILDTGSDLIWTQCKPCVQCFQQPTPIFDPSKSSTYSKLACSSNLCEALPTSSCKSDCEYLYTYGDSSSTQGVLASETFTFGSSGASVSNIGFGCGDSNQGSGFSQASGLVGLGRGPLSLISQLELGKFSYCLTSLDDSKKSPLLFGSDAELKGSAPQSTPLAINPSQPSFYYLTLKGITVGGTLLKIPSSTFALNSDGTGGLIIDSGTSITYLEEAGYKQVKKAFISQVKLPVADGSDVGLDLCFSLPSDTSSVEVPKLVFHFDGADLDLPGDNYMVLDSSTGLLCLTVMESNGLSILGNFQQQNIQILYDLDNEKLSFVSAQCDQL >DRNTG_00839.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11722218:11723980:-1 gene:DRNTG_00839 transcript:DRNTG_00839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITYCCYNSKIRMEKIISIVVPLLCALMVCCESIERGFRVDLTHVDSMGNFTKLQLLQRAALRSKHRMSRLVAKAAALSSTDTSKSFKSPVHAGNGEFLMDLSIGTPSSPFLAILDTGSDLIWTQCKPCVQCFQQPTPIFDPSKSSTYSKLACSSNLCEALPTSSCKSDCEYLYTYGDSSSTQGVLASETFTFGSSGASVSNIGFGCGDSNQGSGFSQASGLVGLGRGPLSLISQLELGKFSYCLTSLDDSKKSPLLFGSDAELKGSAPQSTPLAINPSQPSFYYLTLKGITVGGTLLKIPSSTFALNSDGTGGLIIDSGTSITYLEEAGYKQVKKAFISQVKLPVADGSDVGLDLCFSLPSDTSSVEVPKLVFHFDGADLDLPGDNYMVLDSSTGLLCLTVMESNGLSILGNFQQQNIQILYDLDNEKLSFVSAQCDQL >DRNTG_00839.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11722218:11723926:-1 gene:DRNTG_00839 transcript:DRNTG_00839.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITYCCYNSKIRMEKIISIVVPLLCALMVCCESIERGFRVDLTHVDSMGNFTKLQLLQRAALRSKHRMSRLVAKAAALSSTDTSKSFKSPVHAGNGEFLMDLSIGTPSSPFLAILDTGSDLIWTQCKPCVQCFQQPTPIFDPSKSSTYSKLACSSNLCEALPTSSCKSDCEYLYTYGDSSSTQGVLASETFTFGSSGASVSNIGFGCGDSNQGSGFSQASGLVGLGRGPLSLISQLELGKFSYCLTSLDDSKKSPLLFGSDAELKGSAPQSTPLAINPSQPSFYYLTLKGITVGGTLLKIPSSTFALNSDGTGGLIIDSGTSITYLEEAGYKQVKKAFISQVKLPVADGSDVGLDLCFSLPSDTSSVEVPKLVFHFDGADLDLPGDNYMVLDSSTGLLCLTVMESNGLSILGNFQQQNIQILYDLDNEKLSFVSAQCDQL >DRNTG_00839.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11722218:11723767:-1 gene:DRNTG_00839 transcript:DRNTG_00839.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIISIVVPLLCALMVCCESIERGFRVDLTHVDSMGNFTKLQLLQRAALRSKHRMSRLVAKAAALSSTDTSKSFKSPVHAGNGEFLMDLSIGTPSSPFLAILDTGSDLIWTQCKPCVQCFQQPTPIFDPSKSSTYSKLACSSNLCEALPTSSCKSDCEYLYTYGDSSSTQGVLASETFTFGSSGASVSNIGFGCGDSNQGSGFSQASGLVGLGRGPLSLISQLELGKFSYCLTSLDDSKKSPLLFGSDAELKGSAPQSTPLAINPSQPSFYYLTLKGITVGGTLLKIPSSTFALNSDGTGGLIIDSGTSITYLEEAGYKQVKKAFISQVKLPVADGSDVGLDLCFSLPSDTSSVEVPKLVFHFDGADLDLPGDNYMVLDSSTGLLCLTVMESNGLSILGNFQQQNIQILYDLDNEKLSFVSAQCDQL >DRNTG_00839.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11722369:11723980:-1 gene:DRNTG_00839 transcript:DRNTG_00839.3 gene_biotype:protein_coding transcript_biotype:protein_coding MITYCCYNSKIRMEKIISIVVPLLCALMVCCESIERGFRVDLTHVDSMGNFTKLQLLQRAALRSKHRMSRLVAKAAALSSTDTSKSFKSPVHAGNGEFLMDLSIGTPSSPFLAILDTGSDLIWTQCKPCVQCFQQPTPIFDPSKSSTYSKLACSSNLCEALPTSSCKSDCEYLYTYGDSSSTQGVLASETFTFGSSGASVSNIGFGCGDSNQGSGFSQASGLVGLGRGPLSLISQLELGKFSYCLTSLDDSKKSPLLFGSDAELKGSAPQSTPLAINPSQPSFYYLTLKGITVGGTLLKIPSSTFALNSDGTGGLIIDSGTSITYLEEAGYKQVKKAFISQVKLPVADGSDVGLDLCFSLPSDTSSVEVPKLVFHFDGADLDLPGDNYMVLDSSTGLLCLTVMESNGLSILGNFQQQNIQILYDLDNEKLSFVSAQCDQL >DRNTG_21754.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3134733:3148260:-1 gene:DRNTG_21754 transcript:DRNTG_21754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASFLTRRLTGARDHQQQCSFRDGHPMTNGDGSAPLVQMDPHSAPTEQEPERGKDVVTSISSGRKLLSMVLTGLSPAHKELRAGLAAQEGPASHFSDRGATIGEPYKHAYAHKGEFRAKLDDLMGRTYAHKDHLDELTTRAYVCKDGHKGSIVKLTVQCLRPKERRRISRAHRCLLKRFGSDIKEREIVAVKLTLVVFAEVVTRESPVVGVGLQPNPLIELTSWELVLGEIYERWVSKFLLSGLSSSHRWI >DRNTG_08556.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17262314:17263398:-1 gene:DRNTG_08556 transcript:DRNTG_08556.3 gene_biotype:protein_coding transcript_biotype:protein_coding GIHRTSRGTDRVFIQSHISQHKTLGDLNIKVRENKDEPVSFFSNGAEDEEKKKAAAASNR >DRNTG_08556.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17262451:17263247:-1 gene:DRNTG_08556 transcript:DRNTG_08556.6 gene_biotype:protein_coding transcript_biotype:protein_coding GIHRTSRGTDRVFIQSHISQHKTLGDLNIKVRENKDEPVSFFSNGAEDEEKKKAAAASNR >DRNTG_08556.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17262314:17263247:-1 gene:DRNTG_08556 transcript:DRNTG_08556.5 gene_biotype:protein_coding transcript_biotype:protein_coding GIHRTSRGTDRVFIQSHISQHKTLGDLNIKVRENKDEPVSFFSNGAEDEEKKKAAAASNR >DRNTG_08556.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17262451:17263398:-1 gene:DRNTG_08556 transcript:DRNTG_08556.4 gene_biotype:protein_coding transcript_biotype:protein_coding GIHRTSRGTDRVFIQSHISQHKTLGDLNIKVRENKDEPVSFFSNGAEDEEKKKAAAASNR >DRNTG_08556.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17262451:17263536:-1 gene:DRNTG_08556 transcript:DRNTG_08556.2 gene_biotype:protein_coding transcript_biotype:protein_coding GIHRTSRGTDRVFIQSHISQHKTLGDLNIKVRENKDEPVSFFSNGAEDEEKKKAAAASNR >DRNTG_08556.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17262314:17263536:-1 gene:DRNTG_08556 transcript:DRNTG_08556.1 gene_biotype:protein_coding transcript_biotype:protein_coding GIHRTSRGTDRVFIQSHISQHKTLGDLNIKVRENKDEPVSFFSNGAEDEEKKKAAAASNR >DRNTG_05766.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3535639:3536137:-1 gene:DRNTG_05766 transcript:DRNTG_05766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLEETYVRSRSSSDDNAGCSSESFSREKRPSKLEKIWRMSSAMVSVSPKVLAMWRAMAISLSSLFSPILS >DRNTG_05680.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:132587:133542:1 gene:DRNTG_05680 transcript:DRNTG_05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRATLLSVAVTTFFYLLCGCMGYAAFGDASKGNLLTGFGFYNPYWLLDIANAAVVVHLVGAYQVYCQPLFAFIESWAIKQFPNSDFITREISVSYWPSKTYKLNVFRLVWRTAFVILTTIISMLLPFFNDVVGLLGALSFWPLTVYFPIEMYIVQKKVPRWSTKWVCLQMLSLACLMISISSATGSIAGVVGDLGVYKPFKSNN >DRNTG_29459.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14380440:14382521:-1 gene:DRNTG_29459 transcript:DRNTG_29459.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEFLKIQTCDLKVNIHCDGCKQKVRKLLQKIDGVYTTSIDAEQGKVTVSGNVDPATLIKKLAKHGKHAQLWASKGAINPQFNLSNQFPKLHLDSGKVQKDNGKPQKGGGGGDGGGKDQKIQQQHPPPQLQQQQAQHLNQQQQQLLQQMKGFNGINLPNLKDMKLPLPVMKDSQVSQVQSPTRG >DRNTG_01819.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3049357:3055882:1 gene:DRNTG_01819 transcript:DRNTG_01819.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVLDSSSHQNFPFGRVQDDVKMKRKTPSELRAEQLNRRSGVIAANEESASSLVSERVNSGAYGLQKSTQPKIPKYIDTRVDVVYPVKKSSERCRMLYGKEKAKESVSASGTFGNLDVAHVESNFATKGKPLLPCEKIGAASIPVCPDSFAKDQSDKCFRKMEKCSQSVLQDVIGLHLGSDKPESSNVNMEKALKGLVVQDAPAISNSVADSSGKVGDIPSLSSGKFSSEFHVQGHRIPLDFTLKTNLRLVSSSSVKWCHSLSASPTNVGVNHFTYQFGRKKDNNLGCNPAHGLSDDALFSNALISWVYPQSSLPPSLISAMAMSTVRGEIDFLSKRQQDWEDSFQSLYFMLRKGLCNIFYVYTSQFVVLFVGGNFMGKNKLSCNAYVSQSTSGLRSLLRKHDICFSMPLCHAEVERASEDDLVELSEIEKRNLGQTFLIDSLSDVDNSPRSLLAFIGNENVHSLYDFVLNYRFFFNSLTGADVPLLYSPVPFQNASLSIPQVRCKEMKRADLIVSSGGINREDIEAISGLSSAGVCYSIEVKDTILPPWVVCKICAAMSSDGRSYETSLTTDPSSLGLNAALDPVCLKSELSNNCSKENCNAFGIPEAVLSSNLRKASLRHIKFTNGSQVVDINLI >DRNTG_01819.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3049357:3055882:1 gene:DRNTG_01819 transcript:DRNTG_01819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVLDSSSHQNFPFGRVQDDVKMKRKTPSELRAEQLNRRSGVIAANEESASSLVSERVNSGAYGLQKSTQPKIPKYIDTRVDVVYPVKKSSERCRMLYGKEKAKESVSASGTFGNLDVAHVESNFATKGKPLLPCEKIGAASIPVCPDSFAKDQSDKCFRKMEKCSQSVLQDVIGLHLGSDKPESSNVNMEKALKGLVVQDAPAISNSVADSSGKVGDIPSLSSGKFSSEFHVQGHRIPLDFTLKTNLRLVSSSSVKWCHSLSASPTNVGVNHFTYQFGRKKDNNLGCNPAHGLSDDALFSNALISWVYPQSSLPPSLISAMAMSTVRGEIDFLSKRQQDWEDSFQSLYFMLRKGLCNIFYVYTSQFVVLFVGGNFMGKNKLSCNAYVSQSTSGLRSLLRKHDICFSMPLCHAEVERASEDDLVELSEIEKRNLGQTFLIDSLSDVDNSPRSLLAFIGNENVHSLYDFVLNYRFFFNSLTGADVPLLYSPVPFQNASLSIPQVRCKEMKRADLIVSSGGINREDIEAISGLSSAGVCYSIEVKDTILPPWVVCKICAAMSSDGRSYETSLTTDPSSLGLNAALDPVCLKSELSNNCSKENCNAFGIPEAVLSSNLRKASLRHIKFTNGSQVVDINLI >DRNTG_10513.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4306858:4309999:-1 gene:DRNTG_10513 transcript:DRNTG_10513.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDFASSANSTLNSAELSINGEKESPIIHMDVGQSFLPLPSPVKASIFESFARQNMIESETDVRSGIQELVKNKYGFLSDSSSEVIYGNSPLALFNRLVLCCVQEGGTFLFPSGANGNHVSAAKFMKANVVTIQTQAEEGFKIAPKALVSLLGTVKKPWLYISGPTVNPTGMLYSNKEIQQILFICADMGVRVVIDTSFSGLEFREGWGGWDLQQSLSHIKCANSSFCMSLIGGLSSKLLTGGLEFGFLILNHPSLVDLFYASPSLSRPHSTIRYAIKKLLGLREKKDQCFSEAISQQKEILRTQSEQLIKVLESCGWDTIACNGGVSMVAKPTAYLGNILKIEGFEAKLDDFNFREAIFRATGLCINSGSWTGIPGYCRFSIALESSEFEKALKCIMQFKNLVLGS >DRNTG_10513.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4306858:4311179:-1 gene:DRNTG_10513 transcript:DRNTG_10513.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDFASSANSTLNSAELSINGEKESPIIHMDVGQSFLPLPSPVKASIFESFARQNMIESETDVRSGIQELVKNKYGFLSDSSSEVIYGNSPLALFNRLVLCCVQEGGTFLFPSGANGNHVSAAKFMKANVVTIQTQAEEGFKIAPKALVSLLGTVKKPWLYISGPTVNPTGMLYSNKEIQQILFICADMGVRVVIDTSFSGLEFREGWGGWDLQQSLSHIKCANSSFCMSLIGGLSSKLLTGGLEFGFLILNHPSLVDLFYASPSLSRPHSTIRYAIKKLLGLREKKDQCFSEAISQQKEILRTQSEQLIKVLESCGWDTIACNGGVSMVAKPTAYLGNILKIEGFEAKLDDFNFREAIFRATGLCINSGSWTGIPGYCRFSIALESSEFEKALKCIMQFKNLVLGS >DRNTG_02621.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21360827:21364557:-1 gene:DRNTG_02621 transcript:DRNTG_02621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNLEETFVPFRGIKNDLEGRLRCYKQDWSGGFRAGIRILAPTTYIFFASAIPVISFGEQLERDTDGVLTAVQTLASTAICGIIHSLVGGQPLLILGVAEPTVLMYTFLFNFVKDRADLGPKLFLAWTGWVCVWTAFLLFLLAILGACSLINRFTRVAGELFGLLIAMLFMQQAIKGLVDEFRIPERENPKALQFIPSWRFSNGMFALVLSFGLLLTGLKSRKARSWRYGTGCLRGFIADYGVPLMILVWTGVSYMPGNSVPKGIPRRLFSPNPWSPGAYKNWTVIKEMLNVPFLYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLILGFLTLLCGLIGIPPANGVIPQSPMHTKSLATLKHQLLRNRLVATARKSMNQNSSLGQLYGNMQEAYQQIQTPLIYQQPAARGLNQLKDSTIQLASSMGSIDAPVDESVFDIEKEIDDLLPVEVKEQRLSNFLQALMVGGCVAAMPVLKMIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEEYHATFVETVPFKTITAFTVFQTAYLLFCFGMTWIPLAGVLFPLMIMLLVPVRQYVLPKLFKGAHLTDLDAAEYEESPALPFHLTTEIDMALSSRSFAGSGEILDEIVTRGRGEIRRMNSSKVTSSTATPIGLNSPRFSDKAYSPRVSELRREQSPRLSGKEGISPRPSKLGESSHASTSSK >DRNTG_17299.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31824055:31827239:-1 gene:DRNTG_17299 transcript:DRNTG_17299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKFLLPTLLELLLILIPCSTPLPTSNLTDLQSLLALKSKLITTHTTFFSNWNTTSFCNWNGVSCNTAKHRLISLNLQSLFLQGTISPSIANLSFLTSLNLSNNSLHGYIPQDIGNLRRLKYLDLSINELTGAIPPSIFTSSSLHSIALGKNKLSGVITDHLVRLPHIEFISLSYNQITGSIPTSLGQCRKLHTLSLSYNEFTGSIPPELGSLLSLQVLNLGVNNLTGFIPTSLANLTRLQILSVSDNHLEGNIPEEFGSLHGLLFLNLAENFLSGVMPNSIFNLSSLEKLSFSFNNLSGSLPMDIGYRLPKLQGLYVSENKFSGEVPSSLSNASMLSILEFSDNFFSGSVPYSLGDLEYLQVLSLQGNQLENGVQFIDTLTRCRYLENLLIGENNFGGYLPDSIGNLSTKLLRFRAPNCQLKGRIPESIGNMTGLIYLNLHDNQFSGVIPSSIALLQNLQLIYLYTNRIGGSIPPELCQLTSLSILSLFENKFTGSIPDCLSNITVLQRLSIAGNSLTSTIPASIWTLEGLYFLNLSLNSLVGPLSSDVGKLKALGELDLSYNNLNGSIPFSIGNLQMLKYLILSFNSFSGLIPQSFGKLLNIEGMDISSNSLTGTIPDSLANLSYFSFINMSFNHLEGAIPSGGVFSNLTSESFMGNLALCGETKLGVSPCKFSHSTKSKTKNIRLIYILPAIIVAIIAAVLVSSACFFIKKKKKKQQQQQQVTVMYHPTLTYYELVRATENFSEANLVGVGSHGSVYKGVLQLQEANVVAIKVLNLDLDDAIKSFDVECEVLRMVRHRNLVKIISTCSNLDFKALVLQFMPCGSLERWLHSGDCYLSFNQRVSIMLDVALALEYLHQEYIQVVVHCDLKPSNVLLDDELIAHVSDFGIAKLLAGDRDDQSLIQSVAQGTIGYMAPEYGSTGRISVKGDVYSFGILLLETFTGRKPTDEMFSGGESLRQWVNGAFPASILQVMDAGLLENEDSMEECLCSVIELGLLCSKESPQERISMKDVTVKLKKIKLELNATTSIA >DRNTG_19579.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2056277:2091309:-1 gene:DRNTG_19579 transcript:DRNTG_19579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHSDHCFSFFASLLRRGLPSVALSVRYASSSSVGLPMDPARVLRTLLSSRQFTKASQVFLSFTSPSISDPSLLDSMLLCYCKLRDLPGAQSHFNSIIQLGTLPSLASYGTLLRLLCVKEQVSHALSLFFRMAKAGVLPPASSYHVLITWLCSEGYLNEACFLFDVMLGDGIRPSHPLLKSLAYGFCKRQRMLDAERVCRLMKLHGFVLDCKLCTAMIHGYVREGKINLALDLFKELKERIGCELDVYLYNTIINGLLKFNFVDEGWELFHEMVGCGLKPNVVTFNTMISWYCKNSDVDSALALLDTMKSYGLTPNLHCYTAVITTLCRAKRLVEVEKWFEKMLDCGLIPDDSMFQLLIKNLPFDHMSWMMGKVLDHLSRNGCNISVSRFVRLCTSDSDEELQRGVRLLFDEMAGNNIISLKVVLHILLGSVCSLGKFNIAHLLLENMVDHGSAPSISHYNFLMTCLCKEDRIDDAYSLLCLMRSRGVLPDLATHSIVINFHCKRGDIDLALIAFDEMIQQGFRLPVDVYNSIIRSLCKAGRMMEAELTFDRMLQAGIMPDKGIYTALINSYSKMGKIVDARYLFDEMVCRDIRPSSHAYNALINGLVKTNMFRMAGKYLHMMLEDGFVPNTVLYTMLINQFLKKGDVRFGLDLFALMVRNQVEPNIITFGAVINGICRNVSRHEKMKLSLAVKLEEARCLLFKLLSRNTFVPGKLTQNVRCGTTKEKIELAMEYILHLPDVGLVPDLHIYNGMINGFCRANMRNNVNALIDSMDKAGVVINQVACTILIGAHINSGEIDCATELFNQMNRNGCMADNVTLDTLIKGYSIAERGMEALSLFYMMRKRGFFPSKSSCHRLLDCLCLSHASDLAFRLFEEMVLLGYTPQHDKYNKLLFMLLEEESFQAAHKTFDMMLKRGKTPDNEAKKQLLNVCYKHGEYDLAFVIDKNIPVYE >DRNTG_19579.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2056277:2059721:-1 gene:DRNTG_19579 transcript:DRNTG_19579.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSDHCFSFFASLLRRGLPSVALSVRYASSSSVGLPMDPARVLRTLLSSRQFTKASQVFLSFTSPSISDTSLLDSMLLCYCKLRDLPGAQSHFNSIIQLGTLPSLASYGTLLRLLCVKEQVSHALSLFFRMAKAGVLPPASSYHVLITWLCSEGYLNEACFLFDVMLGDGIRPSHPLLKSLAYGFCKRQRMLDAERVCRLMKLHGFVLDCKLCTAMIHGYVREGKINLALDLFKELKERIGCELDVYLYNTIINGLLKFNFVDEGWELFHEMVGCGLKPNVVTFNTMISWYCKNSDVDSALALLDTMKSYGLTPNLHCYTAVITTLCRAKRLVEVEKWFEKMLDCGLIPDDSMFQLLIKNLPFDHMSWMMGKVLDHLSRNGCNISVSRFVRLCTSDSDEELQRGVRLLFDEMAGNNIISLKVVLHILLGSVCSLGKFNIAHLLLENMVDHGSAPSISHYNFLMTCLCKEDRIDDAYSLLCLMRSRGVLPDLATHSIVINFHCKRGDIDLALIAFDEMIQQGFRLPVDVYNSIIRSLCKAGRMMEAELTFDRMLQAGIMPDKGIYTALINSYSKMGKIVDARYLFDEMVCRDIRPSSHAYNALINGLVKTNMFRMAGKYLHMMLEDGFVPNTVLYTMLINQFLKKGDVRFGLDLFALMVRNQVEPNIITFGAVINGICRNVSRHEKMKLSLAVKLEEARCLLFKLLSRNTFVPGKLTQNVRCGTTKEKIELAMEYILHLPDVGLVPDLHIYNGMINGFCRANMRNNVNALIDSMDKAGVVINQVACTILIGAHINSGEIDCATELFNQMNRNGCMADNVTLDTLIKGYSIAERGMEALSLFYMMRKRGFFPSKSSCHRLLDCLCLSHASDLAFRLFEEMVLLGYTPQHDKYNKLLFMLLEEESFQAAHKTFDMMLKRGKTPDNEAKKQLLNVCYKHGEYDLAFVIDKNIPVYE >DRNTG_26439.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23973510:23974042:-1 gene:DRNTG_26439 transcript:DRNTG_26439.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSALHKKMEAASNAIKRDIVFAASLYVTGI >DRNTG_26439.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23973510:23973926:-1 gene:DRNTG_26439 transcript:DRNTG_26439.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSALHKKMEAASNAIKRDIVFAASLYVTGI >DRNTG_17402.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7995275:7996698:-1 gene:DRNTG_17402 transcript:DRNTG_17402.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLTIFLIIFFTLENSLTCALLERDIANLHVQDKLLLSSALAPESITFDTDGKGPYTGISNGHILKWRGCSLGWQEFATTSNIRTSNYDSIKYFHVSLESKYGRPLGLQFNKGTGDLYITDAYFGLLTIGLEGGEVAQVAVATMAVDDQPFGLTNGLDVDQQNDMVYFTDNSTHFQR >DRNTG_17402.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7992508:7994603:-1 gene:DRNTG_17402 transcript:DRNTG_17402.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGESVKEEVSHESSGRPPFAIPQSMREEQVQNAVNFLSHPKVKGSPVIFRCAFLEKKGLSKEEIDEAFRRVPDSAPSNAAGAGTNTTNQANQVSQPNPSPALQPVAGGSSLGASLQQPRLQWNHALFAIGVLTASRAATGVLFKKMVVPRLQSLVQRVVEKDRESKKEVELKSSLAEEAAEAAKAAKAAASAAAIVAKADQELVNAKNEERKRLELFMEMMDMQMKEMKSMSKSIRELEMRTENGRYEDKSIGVHSMGNDFGEFMVKDQEGSR >DRNTG_17402.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7992508:7994603:-1 gene:DRNTG_17402 transcript:DRNTG_17402.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGESVKEEVSHESSGRPPFAIPQSMREEQVQNAVNFLSHPKVKGSPVIFRCAFLEKKGLSKEEIDEAFRRVPDSAPSNAAGAGTNTTNQANQVSQPNPSPALQPVAGGSSLGASLQQPRLQWNHALFAIGVLTASRAATGVLFKKMVVPRLQSLVQRVVEKDRESKKEVELKSSLAEEAAEAAKAAKAAASAAAIVAKADQELVNAKNEERKRLELFMEMMDMQMKEMKSMSKSIRELEMRTENGRYEDKSIGVHSMGNGMLIR >DRNTG_17402.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7992508:7996698:-1 gene:DRNTG_17402 transcript:DRNTG_17402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTSSFSHQLWPLKASPSTPMAKAPTLASLMAIYSNGEAVVLVGKSLPPLQTSESNPLNPMSNGGESVKEEVSHESSGRPPFAIPQSMREEQVQNAVNFLSHPKVKGSPVIFRCAFLEKKGLSKEEIDEAFRRVPDSAPSNAAGAGTNTTNQANQVSQPNPSPALQPVAGGSSLGASLQQPRLQWNHALFAIGVLTASRAATGVLFKKMVVPRLQSLVQRVVEKDRESKKEVELKSSLAEEAAEAAKAAKAAASAAAIVAKADQELVNAKNEERKRLELFMEMMDMQMKEMKSMSKSIRELEMRTENGRYEDKSIGVHSMGNGMLIR >DRNTG_23310.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1141320:1141663:-1 gene:DRNTG_23310 transcript:DRNTG_23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSSQATWPAKAV >DRNTG_16400.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4397673:4405226:-1 gene:DRNTG_16400 transcript:DRNTG_16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNNIKSTIEKYKKACAANSNSAAIIEVNSQQYYQQEAAKLRHQINILQNANRHLVGEALGSLSVKELKQLENRLERGIARIRSKKHELLFAEIEYMQKREVELQNDNMYLRAKISENERVQQARIVQAGAEFDTFPNFRLEELLPH >DRNTG_20628.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20554034:20557324:-1 gene:DRNTG_20628 transcript:DRNTG_20628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWGDQTDECCHWAGVRCDNVSSNVIRLDLQGDDYLSWGLGGNISESLLVLQHLKYLNLSNNCFSNFFIPKWLGSLENLVHLDLRFNCFAGVIPHELGNLTRLRYLNLASPELLKVDDAEWLSDLSSLRYLSMDGVDFSDVNNVMQSLNKLLHLEHVSMYDCSMHSIPESLPYLNFGSLAFMDISFNNFDKTMASIPEWLFRIPNLRHLSMGNSGFAGAIPSSNIENTTSLQFLDLSFNEGVSGNMPRAFGDLCNLQSLDLSGTFMGKSLEDFREAFYGCIRQNLKDFSFQTSSLKGHLPDWFAEFKNLTSLDLFGNFLHGSIPESLGRLSGLQYLDLSYNALNGSIPASLGRLSALQHLDLQHNSLNGSIPASLGRLSALQHLDLQHNSLNGSIPASLGRLSALQTMDVTGNKLNEPIPKSLGRLSRLLSLFLSNNALNGPIPGSMGRLSRLQYLDLSNNVLNGPIPESMGRLSRLHYLDLSNNALNGSIPESLARLSGALDLTNNSFSRIVASDFVNFRGMLPSLKVLHLSSNNLTGSIPNSFCNLVDLQLLELSNNHLEGVLPNCWNNLTGLQYLILANNSLAGEIPSSLINSSQSLRVLHLSNNQLHGGFPSFLKKCTSIITLALDHNNLSGEIPSWVGETMISLKILTLKANNFTGNLPLLPNLTLLHFLDLSQNSFVGRIPQSYGNLTGMTNYSGRISNSMLDVGYQVIIKIIVFTKGIEIQFGAILSSFKFIDLSTNNLSGPIPKEIVNLAGLQDLDLSYNNLSGEIPSDIGRMRSLESLDLSRNELIGSIPPSLSTIDFLGSLNLSHNNLSGKIPYASHLTTFNDPSIYASNLNLCGTPLDNNCTSEEPTSNSEADDQEDDDNDSPPIWFCIGLMPGFVVGFWIVWGILLFKKEWRYVYFKYIDHMYDMMYVKVIVTVNKIKRKLFAM >DRNTG_35418.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20369162:20370245:-1 gene:DRNTG_35418 transcript:DRNTG_35418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDVDHEYRDWSLLEWETLIKSITNDLNAVDHVRCRSVCSQWRRHTQERQKAPLVILIDRESEEDTIKALSFFDIISKAIIPLRPLASQNVANSYYLGSSRGWIFVGRYTAAQNGNQEELRITLLNPFTDDIINLPMLSNHPRGRVFLLNSPRNLQMNLVLTVVLLP >DRNTG_06092.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4815087:4819779:1 gene:DRNTG_06092 transcript:DRNTG_06092.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g19350 [Source:Projected from Arabidopsis thaliana (AT4G19350) UniProtKB/TrEMBL;Acc:Q8LAF5] MDHQADPVMSVRFLNLKKSFQLGIRALLTAFSKEEVIKAFPSLTDAQRESLYRMFIQAIKSLHANIEEEFESICHETQVSKILAMIEQLVEEQSLDMLSMDKENVADIKEKISKVKKDEAQYLTSMLEKAEEQNIALRARIETLKKQQNNGYASADIVEKLRSWNSNYESFS >DRNTG_18135.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:530716:531943:-1 gene:DRNTG_18135 transcript:DRNTG_18135.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor 53 [Source:Projected from Arabidopsis thaliana (AT3G62420) UniProtKB/Swiss-Prot;Acc:Q9LZP8] MSSTPPRQSSGSEADQKTGIDERKRKRMLSNRESARRSRMKKQQHLDDLISQAAQLKNENSQILMQINLLTQQFSKVDMDNTVLRTQVMELTDRLQSLNSVLRFVEEFSGMAMDIPEIPDPLLKPWQLPCPAMPITAASASMFQC >DRNTG_10681.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13314242:13322370:-1 gene:DRNTG_10681 transcript:DRNTG_10681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWHYMHLVFFILSHLYPSSRKLIVNALLSDDRVGMAVILDAASGARYADPKAAYLALNVLVNLVCPPPSISNKPSATIQSQQSISLCTAIGAGAGIRDGNGESNLIERGGLTLFPGGCSQTAMPGMPTGVVGCCRISLGPGAGCAGLAAQLEQGYRQAWEAVQANNGIKVLLQFFRSTVISPDILDTFQALACGVWLGLAREDVIAHILPKRQVSFEWPSGRLGGFLLNAPKPNSRDENVGLRSNLVSNSFKKSLSLSSSLSQLKSHPLSLTASKPSSTINGPFVGGSEIPPISALMSTLDVDQTLKSPISLPRKHKLMELKDIGPASPSKQQTTVDSVTQSPICRTQIIGCKNQMLDYRVQSPSLNLSLQDSQSCTKSSDFVDHSDKTLCASTFQSLMTPDAQIGLHADPQPGDVERMTLDSLVVQHLKHQHRQCPAPITTLSSKARLSLNAPANVTLRVSSREFLKEYGQNHARRRDRKFVYSRFKPFRAFEGQSCITFVGDSSRIATGTREGHLNIFDTYNGNLLESYNLDTYNSNFLGDHASAGSSHVISIRSAFSGGRQLILACGSTWVNFYIDLFAASSILSGPLHRFDKCTVGQFSHPGTTLACGSSPPGPEVRLMIWVIPLPPTMHPKAAWVILRSEVWDVRKFKLLRSVPSLDQTVITFNSTGDVIYAILWLNDFPFLS >DRNTG_22303.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19333802:19334500:-1 gene:DRNTG_22303 transcript:DRNTG_22303.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSHLQIPQNNPLHHENSSMISKQIDPNSIFGELCAMDHRRSASDDDVVTRPEVRWQQWCLIDHH >DRNTG_34480.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18854687:18859355:-1 gene:DRNTG_34480 transcript:DRNTG_34480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGSSSTERVQEAVDCLPKDAEFAVNSNSDSDFRRWTIMDYSRAYSSRQTTPHLVAMRFLAAVKESSEPHINMSFFINYNPEDILRQAKESTLRYENGTPISVLDGVLVAVKDEIDCMSYPTTGGTKWYHKFRFSIEDAHIVKQLRSCGAILVGKTNMHEIGAGTSGINPHYGAVRNPYDIKKISGGSSSGSAAVVSAGLCPIALGVDGGGSVRIPASLCGVVGFKPTFGRVSHAGILPLNWTVGMAGVLAGTVEDALIAYAAISGDLQVRQPTPTPSLVPATNLPLLAITNSIGNIKLAKYEKWFNDSADEVRTSCEHALDMLRDHYGWETVEVTLPEIEEMRLAHYVTIASECNASLAPHLAKINFADMGWDVRVAHQVYRSFHSREYLNAQKIRNRQMYFHKEIFKHADVIVTPTTGVTAYPLQRDTFKTGELDYINGAALVRFMIAGNFLGLPAITVMVGCDRGGMPISIQFIGRPWSEATLLHLAYRLQAICSRSFKRPMIFYDLLKEETAGQ >DRNTG_34480.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18854687:18858636:-1 gene:DRNTG_34480 transcript:DRNTG_34480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGSSSTERVQEAVDCLPKDAEFAVNSNSDSDFRRWTIMDYSRAYSSRQTTPHLVAMRFLAAVKESSEPHINMSFFINYNPEDILRQAKESTLRYENGTPISVLDGVLVAVKDEIDCMSYPTTGGTKWYHKFRFSIEDAHIVKQLRSCGAILVGKTNMHEIGAGTSGINPHYGAVRNPYDIKKISGGSSSGSAAVVSAGLCPIALGVDGGGSVRIPASLCGVVGFKPTFGRVSHAGILPLNWTVGMAGVLAGTVEDALIAYAAISGDLQVRQPTPTPSLVPATNLPLLAITNSIGNIKLAKYEKWFNDSADEVRTSCEHALDMLRDHYGWETVEVTLPEIEEMRLAHYVTIASECNASLAPHLAKINFADMGWDVRVAHQVYRSFHSREYLNAQKIRNRQMYFHKEIFKHADVIVTPTTGVTAYPLQRDTFKTGELDYINGAALVRFMIAGNFLGLPAITVMVGCDRGGMPISIQFIGRPWSEATLLHLAYRLQAICSRSFKRPMIFYDLLKEETAGQ >DRNTG_10003.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21664860:21665492:-1 gene:DRNTG_10003 transcript:DRNTG_10003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLQHLKEQKDPVMEAVFREIEKVLARGRLDLPLTLCFAAIRGDDLLLQQLLRRGLDPNESDNNGRTPL >DRNTG_05140.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30664984:30665586:1 gene:DRNTG_05140 transcript:DRNTG_05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-box zinc finger protein 32 [Source:Projected from Arabidopsis thaliana (AT3G21150) UniProtKB/Swiss-Prot;Acc:Q9LJB7] MKKSATAAAVGEACELCGAAATVHCEADAAFLCRSCDASVHGANFLVARHLRRPIHPSASPTSSSTSSSCISSAESTAEAPGSRWKRGSPEGARAERVLEWWSRRMGVGRGCAVVAARVLTSCAGRMTSLPFRVGLAAALWFAVKACGDGACRRAVLGRLEECSGVPAKLIVIAESRLTRVSRTFATRRVAEEGWGECSS >DRNTG_22707.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1430175:1433318:1 gene:DRNTG_22707 transcript:DRNTG_22707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIADAIDKVGPDGVLSIESSSSFETSVDVEEGMEIDKGYISPQFANNTEKMLVEFENARVLVTDQKISSVKEIIPLLEKAAQLRSPLLIIAEDVAGEALATLVVNKLRGILNVCAIKAPGFGDRRKALLQDIAIMTGAEFQAKDLGLLIENVSDEQLGTARKVTISQNSTTIIADEATKDAIQARITQIKKELAETDSVYDSEKLAERIAKLSGGVAVIKVGAATETELEDRKLRVEDAKNATFAAIEEGIVPGGGAAYVHLSTCVPSIKATLEDDDERLGADIIQKALVAPAALIARNAGVEGEVVVEKIKENQWEVGYNAMTDKYENLVESGVIDPAKVARCALQNSASVAGMVLTTQAIVVEKPKRKAPVAAPPQGLTV >DRNTG_12026.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:802060:803776:-1 gene:DRNTG_12026 transcript:DRNTG_12026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRMSNALSGMGVSDESKSTFMELQRKKVHRYVIYKIDEKKKEVVVEKTGGAGESYDEFTASLPENDCRYAVYDFDFVTEDNCQKSKIFFIAWSPSTSRIRAKMLYATSKDRFRHELEGVHYEIQATDSMEMDLQVLRDRAN >DRNTG_27212.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:813102:814725:1 gene:DRNTG_27212 transcript:DRNTG_27212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQRSKKKNKGDGAPMEVSSDKAVDMPQSMDTCEAATSNPALKPSIGKIKKGIPLRRAKNMKKQKTIARAVINSEKSAEKVLKSKNKMSRIQSAKTLYE >DRNTG_35137.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1214532:1216419:1 gene:DRNTG_35137 transcript:DRNTG_35137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIPLFTFLILTLIPFAAPSPSIHDLLRSHGLPGGLFPKSVESFVFDNQTGLLEAQLYCPCYAKYDGMAFFDQMVRGNLSHGELRGVVGLSQEELFVWLPVKEITVSDPASGVIYFDIGLARKQMSLSLFEDPPDCRPKGAALLGYGEGLQGRKERASQYQR >DRNTG_17708.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4007080:4008826:1 gene:DRNTG_17708 transcript:DRNTG_17708.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLTTIRRGFKGIQIPNCRKIGGKGKRQRADLRQELQSLRRELPEKEEEEEGEEERRKRTRHRDLRSMGWDGTY >DRNTG_17708.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4007080:4008826:1 gene:DRNTG_17708 transcript:DRNTG_17708.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLTTIRRGFKGIQIPNCRKIGGKGKRQRADLRQELQSLRRELPEKEEEEEGEEERRKRTRHRDLRSMGWDGTY >DRNTG_17708.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4007080:4008826:1 gene:DRNTG_17708 transcript:DRNTG_17708.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLTTIRRGFKGIQIPNCRKIGGKGKRQRADLRQELQSLRRELPEKEEEEEGEEERRKRTRHRDLRSMGWDGTY >DRNTG_17708.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4007579:4008826:1 gene:DRNTG_17708 transcript:DRNTG_17708.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLTTIRRGFKGIQIPNCRKIGGKGKRQRADLRQELQSLRRELPEKEEEEEGEEERRKRTRHRDLRSMGWDGTY >DRNTG_17708.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4007780:4008826:1 gene:DRNTG_17708 transcript:DRNTG_17708.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLTTIRRGFKGIQIPNCRKIGGKGKRQRADLRQELQSLRRELPEKEEEEEGEEERRKRTRHRDLRSMGWDGTY >DRNTG_17708.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4005795:4008826:1 gene:DRNTG_17708 transcript:DRNTG_17708.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLTTIRRGFKGIQIPNCRKIGGKGKRQRADLRQELQSLRRELPEKEEEEEGEEERRKRTRHRDLRSMGWDGTY >DRNTG_16544.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8685038:8686182:-1 gene:DRNTG_16544 transcript:DRNTG_16544.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCEVKLFGMWAAPAVRRVEWALKLKGIEYEYIEEDLSNKSPSLLQYNPINRQVPVLLHNGKPLVESLVILEYIDETWKDNPILPKDPYERAMARIWALYSDYQCRDASKDVFLAMGEEQEKAIKCLEETLEVLEKELKGKRFFAGQSIGYLDLALGWMAFWLGVSEEVACYEVLDVEKFPRFVTWIENFLKVPVIRDNLPDRDKTVEFFHEYRKLQQSALGKV >DRNTG_16544.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8685038:8690976:-1 gene:DRNTG_16544 transcript:DRNTG_16544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIYSSALSFQNSNPNRNFHLFSKFHLLSRVRFPLRSSSRNRLRVSASFALPPFTNPLFNSANSLSSMLSLLNTQLREVSTSVAPRLLAAFMNHRADFVLPMTAVLARAVRWLDIFDQVLMVRVLLSWYPNIPWDRQPFNALSDLCDPFLNLFRKIIPRVGAMDVSPLLAFVVLRIIRSLLGAPDPVMY >DRNTG_05399.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:588345:591916:1 gene:DRNTG_05399 transcript:DRNTG_05399.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRAYQRGAGFLFAHGLIPPMNNSNSSTRSPVFMSSSRCEAPSVPPTHTITLAGGHHVQIVASPGLSESDLRNAVDSALFKIWLKNMQSETGILAGGEISLRQVLIQGVDMFGRGAGFLKFKADVVDKETGMKVRVPVGRFTLELPAGMLDDDGGDVVGAAVREVEEETGICLKLEAMVNLTSFLDPKTGCKIFPSPGGCDEELSLFLYRGCVEEEIINSLQGKEMGLRDHGELIKVHVVPYDKLWRMTADAKALAAVTLYEMAQRNGFLPLRRLS >DRNTG_05399.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:588345:591916:1 gene:DRNTG_05399 transcript:DRNTG_05399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDDGGDVVGAAVREVEEETGICLKLEAMVNLTSFLDPKTGCKIFPSPGGCDEELSLFLYRGCVEEEIINSLQGKEMGLRDHGELIKVHVVPYDKLWRMTADAKALAAVTLYEMAQRNGFLPLRRLS >DRNTG_19429.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27003525:27006487:-1 gene:DRNTG_19429 transcript:DRNTG_19429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNEVGENLWLGFPFWRAARRRFGLGDSFFASGNIERELLAKQVALDLTEDERYQIHQMEDACNNNVFCPIVGCATKLKCLEDFEDHYYARHTASCSVCSRVYPTSLLLSIHISEAHDSFFQAKVARGFPMYECLVEGCGMKLKSYKSRQQHLVDKHKFPASFEFFKKAHPSKRHRLKHQHKQAGQRREEMKERVMDVEQQALMQTHQDGEIKDTEMVDEEKMNDLVSAVSKLSTSDSSPTSIRFGHRHSRGITFLPRSVQQNTNRPSQSTKKR >DRNTG_19429.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27003525:27006487:-1 gene:DRNTG_19429 transcript:DRNTG_19429.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNEVGENLWLGFPFWRAARRRFGLGDSFFASGNIERELLAKQVALDLTEDERYQIHQMEDACNNNVFCPIVGCATKLKCLEDFEDHYYARHTASCSVCSRVYPTSLLLSIHISEAHDSFFQAKVARGFPMVSCFSLMIAKVLNFVHATSQFCFQLCFQLLQFMQIFEILCMLIEGYHSAF >DRNTG_31768.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001739.1:52361:57779:1 gene:DRNTG_31768 transcript:DRNTG_31768.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVGSCFSIALLLLFLSLNRFSCATETSDPQSSADKKHRVKDLNADQRAQPDPGKEGEVKKDKHEFVGSGEEQKVHEKSDPKGSVSEEKDKPQEESEVKGVGENGQGGEVSDSKTEPLKNSYVEVCDPMNQCNDEKNKLVACLRVPGEDSLSLSLLIQNKGSQSLTLNIIAPDFVSLGQSTVKILAKKDKKVSVYVKDGANEAVIQLKTETEEICTFRYGNTNSDAMKKTEVSLLTRYIISAHVSFIYLIIGAAVIIGAVWLCMKLRRMNQPKDDHEYQKMEMGLPVSIGGKKEADDTDVWDKSWGDGWDDEEAPVTPSKPASTPSSKGLAPRRFNKDGWKD >DRNTG_09419.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21112263:21115559:-1 gene:DRNTG_09419 transcript:DRNTG_09419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIPIPTSTHKLKFGERAALTKTLLPDLQGTPLIFSSGSFWEKQNSSKISLIFFSENYNQWLPDLNPPRFGPDPLTVSSSPPHDNLRMFVGTWNVGGRAPNSSLDLREWFDRTCYPADIYVLGFQEIVPLNAGNVLGAEDKGPAATWVSLIGQALNSRKSGAGLAVNCGNATEFMEWEINGRDFFDENESANLEFVLAASKQMVGVFMCVWVRSGLVPHVRELRVSRVGRGIMGYMGNKGAISISMTLHGTTFCFVCTHLASGEKDGDELRRNSDVSEILKRTKFPQSRRRSQSPDTILEHNKVIWLGDLNYRLTTSLNDTHELLQKNDWQALLEKDQLRIEQKAGRVFRGWKEGKIYFPPTYKYLANSDNYVANAAKSKEKRRNPAWCDRILWRGKGMKQMWYVRGETRFSDHRPVYSMFTVEVDRECHVEEGEGREEGLACNKHCSSNSGGGVLSCGKVQAEEQLLFYTSRSQSCLETSRF >DRNTG_29883.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23542566:23542849:1 gene:DRNTG_29883 transcript:DRNTG_29883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLEKAMKSLKWILLNVALLGEECSDFLSFCTPKAQVQGGVSRLVNGSVYRQHADPSGFLVFCREARDEL >DRNTG_26116.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20867897:20869080:1 gene:DRNTG_26116 transcript:DRNTG_26116.2 gene_biotype:protein_coding transcript_biotype:protein_coding TELRALRSEVRVPARSLANGEGFKALKKRSEARDPKKGRMRGRDRETMPTVR >DRNTG_26116.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20868584:20869080:1 gene:DRNTG_26116 transcript:DRNTG_26116.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESDAEDGDRRDDRREAGIRYLRALRSEVRVPARSLANGEGFKALKKRSEARDPKKGRMRGRDRETMPTVR >DRNTG_26116.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20867558:20869080:1 gene:DRNTG_26116 transcript:DRNTG_26116.1 gene_biotype:protein_coding transcript_biotype:protein_coding TELRALRSEVRVPARSLANGEGFKALKKRSEARDPKKGRMRGRDRETMPTVR >DRNTG_06481.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22957028:22958088:-1 gene:DRNTG_06481 transcript:DRNTG_06481.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRSSNERNGRNAKCLSSTRAKHSHALSP >DRNTG_07380.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20585681:20589572:-1 gene:DRNTG_07380 transcript:DRNTG_07380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIRLTGQHLRRRQHPERFWSGSTGKGWTYFSTGKMRFALLSACLATGRAPRLLGRFPNGRIEEFIHARTLSAADLRDPGISALIASKLREFHELDMPGPKNVFLWDRLRNWLKAAKSLCTIDEAKEFRLDDMEEEIATLENELTGKNQRIGFCHNDLQYGNIMIDEETSLVTIIDYEYASFNSIAFDIANHFCEMASDYHTDTPHVLDFKKYPDFEERKRFVEIYLSSSGEKTDDIEANQNLEDIEKYTLASHLVWGLWGIISEHVNEIDFNYIEYARQRFQQYWFRKPLLMNSSTKVDDRASFGN >DRNTG_07380.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20585681:20589572:-1 gene:DRNTG_07380 transcript:DRNTG_07380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIRLTGQHLRRRQHPERFWSGSTGKGWTYFSTGKMRFALLSACLATGRAPRLLGRFPNGRIEEFIHARTLSAADLRDPGISALIASKLREFHELDMPGPKNVFLWDRLRNWLKAAKSLCTIDEAKEFRLDDMEEEIATLENELTGKNQRIGFCHNDLQYGNIMIDEETSLVTIIDYEYASFNSIAFDIANHFCEMASDYHTDTPHVLDFKKYPDFEERKRFVEIYLSSSGEKTDDIEANQNLEDIEKYTLASHLVWGLWGIISEHVNEIDFNYIEYARQRFQQYWFRKPLLMNSSTKVDDRASFGN >DRNTG_07380.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20585681:20587861:-1 gene:DRNTG_07380 transcript:DRNTG_07380.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPKNVFLWDRLRNWLKAAKSLCTIDEAKEFRLDDMEEEIATLENELTGKNQRIGFCHNDLQYGNIMIDEETSLVTIIDYEYASFNSIAFDIANHFCEMASDYHTDTPHVLDFKKYPDFEERKRFVEIYLSSSGEKTDDIEANQNLEDIEKYTLASHLVWGLWGIISEHVNEIDFNYIEYARQRFQQYWFRKPLLMNSSTKVDDRASFGN >DRNTG_07380.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20585681:20589775:-1 gene:DRNTG_07380 transcript:DRNTG_07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIRLTGQHLRRRQHPERFWSGSTGKGWTYFSTGKMRFALLSACLATGRAPRLLGRFPNGRIEEFIHARTLSAADLRDPGISALIASKLREFHELDMPGPKNVFLWDRLRNWLKAAKSLCTIDEAKEFRLDDMEEEIATLENELTGKNQRIGFCHNDLQYGNIMIDEETSLVTIIDYEYASFNSIAFDIANHFCEMASDYHTDTPHVLDFKKYPDFEERKRFVEIYLSSSGEKTDDIEANQNLEDIEKYTLASHLVWGLWGIISEHVNEIDFNYIEYARQRFQQYWFRKPLLMNSSTKVDDRASFGN >DRNTG_07380.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20585681:20586104:-1 gene:DRNTG_07380 transcript:DRNTG_07380.7 gene_biotype:protein_coding transcript_biotype:protein_coding GEKTDDIEANQNLEDIEKYTLASHLVWGLWGIISEHVNEIDFNYIEYARQRFQQYWFRKPLLMNSSTKVDDRASFGN >DRNTG_07380.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20585681:20589775:-1 gene:DRNTG_07380 transcript:DRNTG_07380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPKNVFLWDRLRNWLKAAKSLCTIDEAKEFRLDDMEEEIATLENELTGKNQRIGFCHNDLQYGNIMIDEETSLVTIIDYEYASFNSIAFDIANHFCEMASDYHTDTPHVLDFKKYPDFEERKRFVEIYLSSSGEKTDDIEANQNLEDIEKYTLASHLVWGLWGIISEHVNEIDFNYIEYARQRFQQYWFRKPLLMNSSTKVDDRASFGN >DRNTG_07380.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20585681:20589572:-1 gene:DRNTG_07380 transcript:DRNTG_07380.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIRLTGQHLRRRQHPERFWSGSTGKGWTYFSTGKMRFALLSACLATGRAPRLLGRFPNGRIEEFIHARTLSAADLRDPGISALIASKLREFHELDMPGPKNVFLWDRLRNWLKAAKSLCTIDEAKEFRLDDMEEEIATLENELTGKNQRIGFCHNDLQYGNIMIDEETSLVTIIDYEYASFNSIAFDIANHFCEMASDYHTDTPHVLDFKKYPDFEERKRFVEIYLSSSGEKTDDIEANQNLEDIEKYTLASHLVWGLWGIISEHVNEIDFNYIEYARQRFQQYWFRKPLLMNSSTKVDDRASFGN >DRNTG_17345.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32127541:32131292:1 gene:DRNTG_17345 transcript:DRNTG_17345.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTENHKEIPEEGDFVIMSGTEGVSENSDLGSGRWRRAEIRRGRSDNDRELETGSADENMKRIRGENQSSDDSSSGEPSSSSSSSTSHVSAPSSDSETIVVYGDGGLTRASVSVVGRRREMEDAVTMAPGFSEGYDFYGVYDGHGGAKVARVCRDRMHVVLTEEVRVGAEDEVGWKEALIASFAKVDGEVVEAVLSPRAEERTVGSTAVVAVVDPKRIMVANCGDSRAVLSRGGVAVPLSTDHKPERPDEMERIEAAGGRVINWNGYRVLGVLATSRSIGDYYLKPYVISEPEVTVVDRTEKDEFIILATDGLWDVVSNQVACKVARQCLSGRAAKMFPEAVRGRTAVEAATLLVELAMSRGSKDNISVVVIELKKLKGTKTSRGS >DRNTG_17345.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32126782:32131292:1 gene:DRNTG_17345 transcript:DRNTG_17345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPRELFFRLLSMTENHKEIPEEGDFVIMSGTEGVSENSDLGSGRWRRAEIRRGRSDNDRELETGSADENMKRIRGENQSSDDSSSGEPSSSSSSSTSHVSAPSSDSETIVVYGDGGLTRASVSVVGRRREMEDAVTMAPGFSEGYDFYGVYDGHGGAKVARVCRDRMHVVLTEEVRVGAEDEVGWKEALIASFAKVDGEVVEAVLSPRAEERTVGSTAVVAVVDPKRIMVANCGDSRAVLSRGGVAVPLSTDHKPERPDEMERIEAAGGRVINWNGYRVLGVLATSRSIGDYYLKPYVISEPEVTVVDRTEKDEFIILATDGLWDVVSNQVACKVARQCLSGRAAKMFPEAVRGRTAVEAATLLVELAMSRGSKDNISVVVIELKKLKGTKTSRGS >DRNTG_17345.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32127541:32131434:1 gene:DRNTG_17345 transcript:DRNTG_17345.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTENHKEIPEEGDFVIMSGTEGVSENSDLGSGRWRRAEIRRGRSDNDRELETGSADENMKRIRGENQSSDDSSSGEPSSSSSSSTSHVSAPSSDSETIVVYGDGGLTRASVSVVGRRREMEDAVTMAPGFSEGYDFYGVYDGHGGAKVARVCRDRMHVVLTEEVRVGAEDEVGWKEALIASFAKVDGEVVEAVLSPRAEERTVGSTAVVAVVDPKRIMVANCGDSRAVLSRGGVAVPLSTDHKPERPDEMERIEAAGGRVINWNGYRVLGVLATSRSIGDYYLKPYVISEPEVTVVDRTEKDEFIILATDGLWDVVSNQVACKVARQCLSGRAAKMFPEAVRGRTAVEAATLLVELAMSRGSKDNISVVVIELKKLKGTKTSRGS >DRNTG_17345.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32127541:32131156:1 gene:DRNTG_17345 transcript:DRNTG_17345.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTENHKEIPEEGDFVIMSGTEGVSENSDLGSGRWRRAEIRRGRSDNDRELETGSADENMKRIRGENQSSDDSSSGEPSSSSSSSTSHVSAPSSDSETIVVYGDGGLTRASVSVVGRRREMEDAVTMAPGFSEGYDFYGVYDGHGGAKVARVCRDRMHVVLTEEVRVGAEDEVGWKEALIASFAKVDGEVVEAVLSPRAEERTVGSTAVVAVVDPKRIMVANCGDSRAVLSRGGVAVPLSTDHKPERPDEMERIEAAGGRVINWNGYRVLGVLATSRSIGDYYLKPYVISEPEVTVVDRTEKDEFIILATDGLWDVVSNQVACKVARQCLSGRAAKMFPEAVRGRTAVEAATLLVELAMSRGSKDNISVVVIELKKLKGTKTSRGS >DRNTG_17345.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32127541:32131706:1 gene:DRNTG_17345 transcript:DRNTG_17345.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTENHKEIPEEGDFVIMSGTEGVSENSDLGSGRWRRAEIRRGRSDNDRELETGSADENMKRIRGENQSSDDSSSGEPSSSSSSSTSHVSAPSSDSETIVVYGDGGLTRASVSVVGRRREMEDAVTMAPGFSEGYDFYGVYDGHGGAKVARVCRDRMHVVLTEEVRVGAEDEVGWKEALIASFAKVDGEVVEAVLSPRAEERTVGSTAVVAVVDPKRIMVANCGDSRAVLSRGGVAVPLSTDHKPERPDEMERIEAAGGRVINWNGYRVLGVLATSRSIGDYYLKPYVISEPEVTVVDRTEKDEFIILATDGLWDVVSNQVACKVARQCLSGRAAKMFPEAVRGRTAVEAATLLVELAMSRGSKDNISVVVIELKKLKGTKTSRGS >DRNTG_17345.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32127541:32131000:1 gene:DRNTG_17345 transcript:DRNTG_17345.9 gene_biotype:protein_coding transcript_biotype:protein_coding MTENHKEIPEEGDFVIMSGTEGVSENSDLGSGRWRRAEIRRGRSDNDRELETGSADENMKRIRGENQSSDDSSSGEPSSSSSSSTSHVSAPSSDSETIVVYGDGGLTRASVSVVGRRREMEDAVTMAPGFSEGYDFYGVYDGHGGAKVARVCRDRMHVVLTEEVRVGAEDEVGWKEALIASFAKVDGEVVEAVLSPRAEERTVGSTAVVAVVDPKRIMVANCGDSRAVLSRGGVAVPLSTDHKPERPDEMERIEAAGGRVINWNGYRVLGVLATSRSIGDYYLKPYVISEPEVTVVDRTEKDEFIILATDGLWDVVSNQVACKVARQCLSGRAAKMFPEAVRGRTAVEAATLLVELAMSRGSKDNISVVVIELKKLKGTKTSRGS >DRNTG_17345.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32127463:32131292:1 gene:DRNTG_17345 transcript:DRNTG_17345.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPRELFFRLLSMTENHKEIPEEGDFVIMSGTEGVSENSDLGSGRWRRAEIRRGRSDNDRELETGSADENMKRIRGENQSSDDSSSGEPSSSSSSSTSHVSAPSSDSETIVVYGDGGLTRASVSVVGRRREMEDAVTMAPGFSEGYDFYGVYDGHGGAKVARVCRDRMHVVLTEEVRVGAEDEVGWKEALIASFAKVDGEVVEAVLSPRAEERTVGSTAVVAVVDPKRIMVANCGDSRAVLSRGGVAVPLSTDHKPERPDEMERIEAAGGRVINWNGYRVLGVLATSRSIGDYYLKPYVISEPEVTVVDRTEKDEFIILATDGLWDVVSNQVACKVARQCLSGRAAKMFPEAVRGRTAVEAATLLVELAMSRGSKDNISVVVIELKKLKGTKTSRGS >DRNTG_17345.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32126782:32131000:1 gene:DRNTG_17345 transcript:DRNTG_17345.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPRELFFRLLSMTENHKEIPEEGDFVIMSGTEGVSENSDLGSGRWRRAEIRRGRSDNDRELETGSADENMKRIRGENQSSDDSSSGEPSSSSSSSTSHVSAPSSDSETIVVYGDGGLTRASVSVVGRRREMEDAVTMAPGFSEGYDFYGVYDGHGGAKVARVCRDRMHVVLTEEVRVGAEDEVGWKEALIASFAKVDGEVVEAVLSPRAEERTVGSTAVVAVVDPKRIMVANCGDSRAVLSRGGVAVPLSTDHKPERPDEMERIEAAGGRVINWNGYRVLGVLATSRSIGDYYLKPYVISEPEVTVVDRTEKDEFIILATDGLWDVVSNQVACKVARQCLSGRAAKMFPEAVRGRTAVEAATLLVELAMSRGSKDNISVVVIELKKLKGTKTSRGS >DRNTG_17345.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32127463:32131156:1 gene:DRNTG_17345 transcript:DRNTG_17345.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPRELFFRLLSMTENHKEIPEEGDFVIMSGTEGVSENSDLGSGRWRRAEIRRGRSDNDRELETGSADENMKRIRGENQSSDDSSSGEPSSSSSSSTSHVSAPSSDSETIVVYGDGGLTRASVSVVGRRREMEDAVTMAPGFSEGYDFYGVYDGHGGAKVARVCRDRMHVVLTEEVRVGAEDEVGWKEALIASFAKVDGEVVEAVLSPRAEERTVGSTAVVAVVDPKRIMVANCGDSRAVLSRGGVAVPLSTDHKPERPDEMERIEAAGGRVINWNGYRVLGVLATSRSIGDYYLKPYVISEPEVTVVDRTEKDEFIILATDGLWDVVSNQVACKVARQCLSGRAAKMFPEAVRGRTAVEAATLLVELAMSRGSKDNISVVVIELKKLKGTKTSRGS >DRNTG_12038.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:90483:90578:1 gene:DRNTG_12038 transcript:DRNTG_12038.3 gene_biotype:protein_coding transcript_biotype:protein_coding GRRSTSSFTRRASMVYTPAPSHPPKVNQLCL >DRNTG_12038.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:91100:93724:1 gene:DRNTG_12038 transcript:DRNTG_12038.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAASMQLDELKRQITEKDSLIKSSSSQLSNAQVNDLNRLVSHTCRYGCFVV >DRNTG_12038.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:90212:93724:1 gene:DRNTG_12038 transcript:DRNTG_12038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAASMQLDELKRQITEKDSLIKSSSSQLSNAQVNDLNRLVSHTCRYGCFVV >DRNTG_18887.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2230679:2231160:1 gene:DRNTG_18887 transcript:DRNTG_18887.1 gene_biotype:protein_coding transcript_biotype:protein_coding FNREVDIVGIFRYKDTWPLCIEFLRTGKIDVKPLITHRFGFSQQEVEEAFEVSARGSTAIKVMFNL >DRNTG_30832.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:536863:537810:1 gene:DRNTG_30832 transcript:DRNTG_30832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKENVNGGVPDSQGFSMLTSVNPKAMDNSFSISKQQNPFEGASSRTDFGLFSTDALLNTPRNSYSDHMELKDQQTQAHPLRHFFDDWPKNRSDRSTITWPEVEETHSNKTQLSISIPMSDFSSSSSSPNHEKLTLSPLKLSREFDPIPMGLSVGVLNEASQRQASWIPISWESSMGGPLGEVLTNTNNTTPKECSKNYSSSSLNLLTDGWDSSPRMVSSPTGVLQKTTFGSLSSSTGSSPRTESHKAHDEDLLGANLVHASTIPSL >DRNTG_12583.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:22650341:22652631:1 gene:DRNTG_12583 transcript:DRNTG_12583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFFIIFIFISIISIIPIFITIFIFIFIFIFINFIFSLLFF >DRNTG_19873.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001079.1:15338:15778:1 gene:DRNTG_19873 transcript:DRNTG_19873.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDDDEPILEKAIGTEIDWYPEKCLTLEDSEKKPKERIKECQAHHKN >DRNTG_19873.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001079.1:15338:16132:1 gene:DRNTG_19873 transcript:DRNTG_19873.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDYDIGSTIRDKIIPHAVSWFTGEAVEGVELE >DRNTG_24324.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:288964:293391:-1 gene:DRNTG_24324 transcript:DRNTG_24324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNVNYEIPYQKKQVQKIQQQLTELERKEADIKRNAALSATKYVEACQELGLQGINVRAELLETAKSLPSTFHKILESLNNETVLRAIEYYANFVRDAHTEKESTPTAVLQNLRHLHEHPPCLNVTVSLEVENSLKQPSVLESSHPFTGEQPLNPDITIDGIDWNVTLDDNQIDWDIGDVGNPEESGDGFGSYEIIDSNVDLKDSENGNGIAVDQASLVNVEEGITSGTSESEICWDISIDNTQVASAEDVALPDASLETQPLVSIEQSNTQHLGEERSQLLETEYRNKILDDLFEIKAFLNQRLMEMRSEDTSSLQHQVQAVAPFVLQQYTADAVQSMLSVISSAISMLTNQKTRDLIMILNSKRFLDRLVSTLEQKKNHELKLRHSLNDLSVRRMELHNALSSSWPKQEVAISKTRELKKLCESTLSSMFDGRPVNIIGEINTLLSSSVSA >DRNTG_12940.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1613279:1622340:1 gene:DRNTG_12940 transcript:DRNTG_12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVAHHRSPRPICSLKTLVSGSLFSIVSPSRWRQGEKEFMRKDMQIRSKVVVTSIAVVTKRQAPLFVSTSIYFILDIMLLLDVMFYAKTGLRPCMPIGFRPLRMRPFVSALVECLRRMPPRRAASRSVAELFGYASPAREAPAEERPEASDNQDVMLELMREVVGLVRNQRQNQAPAPPPPPPPSGGPKEKTIMEFKRSGPPPFEGTTNPDEAEVWVKEMEKAFAVMKCTEEEKLSKKRGRNFNSQGSQHSGVDQRRARQGNRSQSEFQTVNGPPTQRSRGASPIHPNPSQRRCTTCGGTHATVDCRKASGACYRCGSLEHQIAKCSHQSSGAQKAVSGQNSRYIPTPKPQASSVSGSLFSIVSPSRWRQGEKEFMRKDMQV >DRNTG_34333.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002128.1:159107:159651:-1 gene:DRNTG_34333 transcript:DRNTG_34333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAMEFEKLKVDNCPIWKIMMEDHLVYKELNLSLLENDVKPSSMSGEQWKAIKVNFDEDVLCLLLLSSLPPSWDTLVTHRGKYNGEQVQV >DRNTG_10442.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4671901:4673819:-1 gene:DRNTG_10442 transcript:DRNTG_10442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHSDLDDWELLSDSGEASSVVVASIESNYFSLNFTEPSEIMVMEEKREEERREDLFRDPMFCVSDDLGSALVNVQESRNGEPIEMVNDSEDAASIKEQSPMDVRIAEDFSSEDGVDLGGFVEELGSSEPEKVEGGAEDGVDLGGIDRELNSSELEKVEFEAGDEEVLGGVDWELNSSEPEVVVVVGDEDGGSGCDFGSNDLPVAERKDESMAWWRVPLEMVKHCARNVSPVWCLPLAATVFGLAIVGARIYNMKHQTRRQSRNVIPLRVSMDQQKAYQVMVRAARLNETCSVTRRVPVIRSSQHHDGAISWPILSLT >DRNTG_14920.41 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27204789:27205833:1 gene:DRNTG_14920 transcript:DRNTG_14920.41 gene_biotype:protein_coding transcript_biotype:protein_coding MDISQPQFISSHRNRQDSIGTTVPLIDNVEADQIIIAEKKGWKNLFAYMGPGILVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALIIQSLASRLGVVT >DRNTG_14920.31 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27204591:1 gene:DRNTG_14920 transcript:DRNTG_14920.31 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQPQFISSHENRRDSVGAAVPLIDNVEADQIIIAEKKGWKNFFAYMGPGFLVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLASKLGVVTGKHLAEHCRAEYPRIPNFILWILAEIAVVACDIPEVIGTAFALNMLFKLPVWCGVLVTGLSTLVLLLLQQYGIRKLEFFIAFLVATMAGCFFAELGYAKPDLSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQINCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSYANSFLIAATTWVISSLIMAINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLGAIFYLVFRKNRKITQLLLSDDTELGPTGNNASIVTHLPREDIISMQLPQTRSAMNLD >DRNTG_14920.37 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27203796:1 gene:DRNTG_14920 transcript:DRNTG_14920.37 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQPQFISSHENRRDSVGAAVPLIDNVEADQIIIAEKKGWKNFFAYMGPGFLVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLASKLGVVTGKHLAEHCRAEYPRIPNFILWILAEIAVVACDIPEVIGTAFALNMLFKLPVWCGVLVTGLSTLVLLLLQQYGIRKLEFFIAFLVATMAGCFFAELGYAKPDLSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQINCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSYANSFLIAATTWVISSLIMAINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLGAIFYLVFRKNRKITQLLLSDDTELGPTGNNASIVTHLPREDIISMQLPQTRSAMNLD >DRNTG_14920.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27208717:1 gene:DRNTG_14920 transcript:DRNTG_14920.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQPQFISSHENRRDSVGAAVPLIDNVEADQIIIAEKKGWKNFFAYMGPGFLVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLASKLGVVTGKHLAEHCRAEYPRIPNFILWILAEIAVVACDIPEVIGTAFALNMLFKLPVWCGVLVTGLSTLVLLLLQQYGIRKLEFFIAFLVATMAGCFFAELGYAKPDLSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQINCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSYANSFLIAATTWVISSLIMAINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLAAIFYLIFRKNRKTTQPLLSDDAELGSTGNNASIVTHLPRETSQACNFLEQDLQ >DRNTG_14920.40 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27204789:27208717:1 gene:DRNTG_14920 transcript:DRNTG_14920.40 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRKLEFFIAFLLATMASCFFAEVGYARPDPSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQISCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLDLRITPWIRNLLTRSLAIIPSLIVAIVGGSAGAGKLIIIASMILSFVLPFALVPLLKFTSCKTKMGPYANSSLITAITWVISSLVMAINTYYLVTGFIKILLHSGLHTAAIVFAGIFGFGGMLVYLAAIFYLIFRKNRKTTQPLLSDDAELGSTGNNASIVTHLPRETSQACNFLEQDLQ >DRNTG_14920.23 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27208717:1 gene:DRNTG_14920 transcript:DRNTG_14920.23 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRKLEFFIAFLLATMASCFFAEVGYARPDPSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQISCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLDLRITPWIRNLLTRSLAIIPSLIVAIVGGSAGAGKLIIIASVRTIPNDSHE >DRNTG_14920.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27208717:1 gene:DRNTG_14920 transcript:DRNTG_14920.19 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQPQFISSHENRRDSVGAAVPLIDNVEADQIIIAEKKGWKNFFAYMGPGFLVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLASKLGVVTGKHLAEHCRAEYPRIPNFILWILAEIAVVACDIPEVIGTAFALNMLFKLPVWCGVLVTGLSTLVLLLLQQYGIRKLEFFIAFLVATMAGCFFAELGYAKPDLSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQINCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSYANSFLIAATTWVISSLIMAINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLGAIFYLVFRKNRKITQLLLSDDTELGPTGNNASIVTHLPREDIISMQLPQTRSAMNLD >DRNTG_14920.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27208717:1 gene:DRNTG_14920 transcript:DRNTG_14920.17 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQPQFISSHENRRDSVGAAVPLIDNVEADQIIIAEKKGWKNFFAYMGPGFLVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLASKLGVVTGKHLAEHCRAEYPRIPNFILWILAEIAVVACDIPEVIGTAFALNMLFKLPVWCGVLVTGLSTLVLLLLQQYGIRKLEFFIAFLVATMAGCFFAELGYAKPDLSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQINCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSYANSFLIAATTWVISSLIMAINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLGAIFYLVFRKNRKITQLLLSDDTELGPTGNNASIVTHLPREDIISMQLPQTRSAMNLD >DRNTG_14920.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27208717:1 gene:DRNTG_14920 transcript:DRNTG_14920.15 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQPQFISSHENRRDSVGAAVPLIDNVEADQIIIAEKKGWKNFFAYMGPGFLVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLASKLGVVTGKHLAEHCRAEYPRIPNFILWILAEIAVVACDIPEVIGTAFALNMLFKLPVWCGVLVTGLSTLVLLLLQQYGIRKLEFFIAFLVATMAGCFFAELGYAKPDLSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQINCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSYANSFLIAATTWVISSLIMAINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLGAIFYLVFRKNRKITQLLLSDDTELGPTGNNASIVTHLPREDIISMQLPQTRSAMNLD >DRNTG_14920.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27208717:1 gene:DRNTG_14920 transcript:DRNTG_14920.16 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRKLEFFIAFLLATMASCFFAEVGYARPDPSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQISCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLDLRITPWIRNLLTRSLAIIPSLIVAIVGGSAGAGKLIIIASVRTIPNDSHE >DRNTG_14920.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27208717:1 gene:DRNTG_14920 transcript:DRNTG_14920.14 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQPQFISSHENRRDSVGAAVPLIDNVEADQIIIAEKKGWKNFFAYMGPGFLVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLASKLGVVTGKHLAEHCRAEYPRIPNFILWILAEIAVVACDIPEVIGTAFALNMLFKLPVWCGVLVTGLSTLVLLLLQQYGIRKLEFFIAFLVATMAGCFFAELGYAKPDLSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQINCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSYANSFLIAATTWVISSLIMAINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLGAIFYLVFRKNRKITQLLLSDDTELGPTGNNASIVTHLPREDIISMQLPQTRSAMNLD >DRNTG_14920.36 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27204067:1 gene:DRNTG_14920 transcript:DRNTG_14920.36 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQPQFISSHENRRDSVGAAVPLIDNVEADQIIIAEKKGWKNFFAYMGPGFLVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLASKLGVVTGKHLAEHCRAEYPRIPNFILWILAEIAVVACDIPEVIGTAFALNMLFKLPVWCGVLVTGLSTLVLLLLQQYGIRKLEFFIAFLVATMAGCFFAELGYAKPDLSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQINCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSYANSFLIAATTWVISSLIMAINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLGAIFYLVFRKNRKITQLLLSDDTELGPTGNNASIVTHLPREDIISMQLPQTRSAMNLD >DRNTG_14920.35 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27204067:1 gene:DRNTG_14920 transcript:DRNTG_14920.35 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQPQFISSHENRRDSVGAAVPLIDNVEADQIIIAEKKGWKNFFAYMGPGFLVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLASKLGVVTGKHLAEHCRAEYPRIPNFILWILAEIAVVACDIPEVIGTAFALNMLFKLPVWCGVLVTGLSTLVLLLLQQYGIRKLEFFIAFLVATMAGCFFAELGYAKPDLSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQINCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSYANSFLIAATTWVISSLIMAINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLGAIFYLVFRKNRKITQLLLSDDTELGPTGNNASIVTHLPREDIISMQLPQTRSAMNLD >DRNTG_14920.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27208717:1 gene:DRNTG_14920 transcript:DRNTG_14920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQPQFISSHENRRDSVGAAVPLIDNVEADQIIIAEKKGWKNFFAYMGPGFLVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLASKLGVVTGKHLAEHCRAEYPRIPNFILWILAEIAVVACDIPEVIGTAFALNMLFKLPVWCGVLVTGLSTLVLLLLQQYGIRKLEFFIAFLVATMAGCFFAELGYAKPDLSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQINCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSYANSFLIAATTWVISSLIMAINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLGAIFYLVFRKNRKITQLLLSDDTELGPTGNNASIVTHLPREDIISMQLPQTRSAMNLD >DRNTG_14920.33 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27204591:1 gene:DRNTG_14920 transcript:DRNTG_14920.33 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQPQFISSHENRRDSVGAAVPLIDNVEADQIIIAEKKGWKNFFAYMGPGFLVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLASKLGVVTGKHLAEHCRAEYPRIPNFILWILAEIAVVACDIPEVIGTAFALNMLFKLPVWCGVLVTGLSTLVLLLLQQYGIRKLEFFIAFLVATMAGCFFAELGYAKPDLSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQINCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSYANSFLIAATTWVISSLIMAINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLGAIFYLVFRKNRKITQLLLSDDTELGPTGNNASIVTHLPREDIISMQLPQTRSAMNLD >DRNTG_14920.29 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27198512:27208717:1 gene:DRNTG_14920 transcript:DRNTG_14920.29 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKLPVWCGVLVTGLSTLVLLLLQQYGIRKLEFFIAFLVATMAGCFFAELGYAKPDLSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQINCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSYANSFLIAATTWVISSLIMAINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLGAIFYLVFRKNRKITQLLLSDDTELGPTGNNASIVTHLPREDIISMQLPQTRSAMNLD >DRNTG_14920.38 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27203796:1 gene:DRNTG_14920 transcript:DRNTG_14920.38 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQPQFISSHENRRDSVGAAVPLIDNVEADQIIIAEKKGWKNFFAYMGPGFLVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLASKLGVVTGKHLAEHCRAEYPRIPNFILWILAEIAVVACDIPEVIGTAFALNMLFKLPVWCGVLVTGLSTLVLLLLQQYGIRKLEFFIAFLVATMAGCFFAELGYAKPDLSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQINCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSYANSFLIAATTWVISSLIMAINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLGAIFYLVFRKNRKITQLLLSDDTELGPTGNNASIVTHLPREDIISMQLPQTRSAMNLD >DRNTG_14920.25 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27208717:1 gene:DRNTG_14920 transcript:DRNTG_14920.25 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQPQFISSHENRRDSVGAAVPLIDNVEADQIIIAEKKGWKNFFAYMGPGFLVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLASKLGVVTGKHLAEHCRAEYPRIPNFILWILAEIAVVACDIPEVIGTAFALNMLFKLPVWCGVLVTGLSTLVLLLLQQYGIRKLEFFIAFLVATMAGCFFAELGYAKPDLSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQINCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSYANSFLIAATTWVISSLIMAINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLGAIFYLVFRKNRKITQLLLSDDTELGPTGNNASIVTHLPREDIISMQLPQTRSAMNLD >DRNTG_14920.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27208717:1 gene:DRNTG_14920 transcript:DRNTG_14920.13 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQPQFISSHENRRDSVGAAVPLIDNVEADQIIIAEKKGWKNFFAYMGPGFLVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLASKLGVVTGKHLAEHCRAEYPRIPNFILWILAEIAVVACDIPEVIGTAFALNMLFKLPVWCGVLVTGLSTLVLLLLQQYGIRKLEFFIAFLVATMAGCFFAELGYAKPDLSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQINCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSYANSFLIAATTWVISSLIMAINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLAAIFYLIFRKNRKTTQPLLSDDAELGSTGNNASIVTHLPRETSQACNFLEQDLQ >DRNTG_14920.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27208717:1 gene:DRNTG_14920 transcript:DRNTG_14920.10 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRKLEFFIAFLLATMASCFFAEVGYARPDPSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQISCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLDLRITPWIRNLLTRSLAIIPSLIVAIVGGSAGAGKLIIIASMILSFVLPFALVPLLKFTSCKTKMGPYANSSLITAITWVISSLVMAINTYYLVTGFIKILLHSGLHTAAIVFAGIFGFGGMLVYLAAIFYLIFRKNRKTTQPLLSDDAELGSTGNNASIVTHLPRETSQACNFLEQDLQ >DRNTG_14920.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27208717:1 gene:DRNTG_14920 transcript:DRNTG_14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQPQFISSHENRRDSVGAAVPLIDNVEADQIIIAEKKGWKNFFAYMGPGFLVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLASKLGVVTGKHLAEHCRAEYPRIPNFILWILAEIAVVACDIPEVIGTAFALNMLFKLPVWCGVLVTGLSTLVLLLLQQYGIRKLEFFIAFLVATMAGCFFAELGYAKPDLSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQINCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSYANSFLIAATTWVISSLIMAINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLGAIFYLVFRKNRKITQLLLSDDTELGPTGNNASIVTHLPREDIISMQLPQTRSAMNLD >DRNTG_14920.21 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27208717:1 gene:DRNTG_14920 transcript:DRNTG_14920.21 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQPQFISSHENRRDSVGAAVPLIDNVEADQIIIAEKKGWKNFFAYMGPGFLVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLASKLGVVTGKHLAEHCRAEYPRIPNFILWILAEIAVVACDIPEVIGTAFALNMLFKLPVWCGVLVTGLSTLVLLLLQQYGIRKLEFFIAFLVATMAGCFFAELGYAKPDLSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQINCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSYANSFLIAATTWVISSLIMAINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLGAIFYLVFRKNRKITQLLLSDDTELGPTGNNASIVTHLPREDIISMQLPQTRSAMNLD >DRNTG_14920.26 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27208717:1 gene:DRNTG_14920 transcript:DRNTG_14920.26 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQPQFISSHENRRDSVGAAVPLIDNVEADQIIIAEKKGWKNFFAYMGPGFLVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLASKLGVVTGKHLAEHCRAEYPRIPNFILWILAEIAVVACDIPEVIGTAFALNMLFKLPVWCGVLVTGLSTLVLLLLQQYGIRKLEFFIAFLVATMAGCFFAELGYAKPDLSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQINCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSYANSFLIAATTWVISSLIMAINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLGAIFYLVFRKNRKITQLLLSDDTELGPTGNNASIVTHLPREDIISMQLPQTRSAMNLD >DRNTG_14920.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27208717:1 gene:DRNTG_14920 transcript:DRNTG_14920.18 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQPQFISSHENRRDSVGAAVPLIDNVEADQIIIAEKKGWKNFFAYMGPGFLVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLASKLGVVTGKHLAEHCRAEYPRIPNFILWILAEIAVVACDIPEVIGTAFALNMLFKLPVWCGVLVTGLSTLVLLLLQQYGIRKLEFFIAFLVATMAGCFFAELGYAKPDLSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQINCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSYANSFLIAATTWVISSLIMAINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLGAIFYLVFRKNRKITQLLLSDDTELGPTGNNASIVTHLPREDIISMQLPQTRSAMNLD >DRNTG_14920.28 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27208717:1 gene:DRNTG_14920 transcript:DRNTG_14920.28 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQPQFISSHENRRDSVGAAVPLIDNVEADQIIIAEKKGWKNFFAYMGPGFLVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLASKLGVVTGKHLAEHCRAEYPRIPNFILWILAEIAVVACDIPEVIGTAFALNMLFKLPVWCGVLVTGLSTLVLLLLQQYGIRKLEFFIAFLVATMAGCFFAELGYAKPDLSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQINCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSYANSFLIAATTWVISSLIMAINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLGAIFYLVFRKNRKITQLLLSDDTELGPTGNNASIVTHLPREDIISMQLPQTRSAMNLD >DRNTG_14920.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27208717:1 gene:DRNTG_14920 transcript:DRNTG_14920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQPQFISSHENRRDSVGAAVPLIDNVEADQIIIAEKKGWKNFFAYMGPGFLVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLASKLGVVTGKHLAEHCRAEYPRIPNFILWILAEIAVVACDIPEVIGTAFALNMLFKLPVWCGVLVTGLSTLVLLLLQQYGIRKLEFFIAFLVATMAGCFFAELGYAKPDLSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQINCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSYANSFLIAATTWVISSLIMAINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLGAIFYLVFRKNRKITQLLLSDDTELGPTGNNASIVTHLPREDIISMQLPQTRSAMNLD >DRNTG_14920.20 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27208717:1 gene:DRNTG_14920 transcript:DRNTG_14920.20 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQPQFISSHENRRDSVGAAVPLIDNVEADQIIIAEKKGWKNFFAYMGPGFLVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLASKLGVVTGKHLAEHCRAEYPRIPNFILWILAEIAVVACDIPEVIGTAFALNMLFKLPVWCGVLVTGLSTLVLLLLQQYGIRKLEFFIAFLVATMAGCFFAELGYAKPDLSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQINCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSYANSFLIAATTWVISSLIMAINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLGAIFYLVFRKNRKITQLLLSDDTELGPTGNNASIVTHLPREDIISMQLPQTRSAMNLD >DRNTG_14920.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27208717:1 gene:DRNTG_14920 transcript:DRNTG_14920.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQPQFISSHENRRDSVGAAVPLIDNVEADQIIIAEKKGWKNFFAYMGPGFLVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLASKLGVVTGKHLAEHCRAEYPRIPNFILWILAEIAVVACDIPEVIGTAFALNMLFKLPVWCGVLVTGLSTLVLLLLQQYGIRKLEFFIAFLVATMAGCFFAELGYAKPDLSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQINCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSYANSFLIAATTWVISSLIMAINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLGAIFYLVFRKNRKITQLLLSDDTELGPTGNNASIVTHLPREDIISMQLPQTRSAMNLD >DRNTG_14920.22 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27208717:1 gene:DRNTG_14920 transcript:DRNTG_14920.22 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQPQFISSHENRRDSVGAAVPLIDNVEADQIIIAEKKGWKNFFAYMGPGFLVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLASKLGVVTGKHLAEHCRAEYPRIPNFILWILAEIAVVACDIPEVIGTAFALNMLFKLPVWCGVLVTGLSTLVLLLLQQYGIRKLEFFIAFLVATMAGCFFAELGYAKPDLSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQINCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSYANSFLIAATTWVISSLIMAINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLGAIFYLVFRKNRKITQLLLSDDTELGPTGNNASIVTHLPREDIISMQLPQTRSAMNLD >DRNTG_14920.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27208717:1 gene:DRNTG_14920 transcript:DRNTG_14920.11 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQPQFISSHENRRDSVGAAVPLIDNVEADQIIIAEKKGWKNFFAYMGPGFLVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLASKLGVVTGKHLAEHCRAEYPRIPNFILWILAEIAVVACDIPEVIGTAFALNMLFKLPVWCGVLVTGLSTLVLLLLQQYGIRKLEFFIAFLVATMAGCFFAELGYAKPDLSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQINCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSYANSFLIAATTWVISSLIMAINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLGAIFYLVFRKNRKITQLLLSDDTELGPTGNNASIVTHLPREDIISMQLPQTRSAMNLD >DRNTG_14920.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27208717:1 gene:DRNTG_14920 transcript:DRNTG_14920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQPQFISSHENRRDSVGAAVPLIDNVEADQIIIAEKKGWKNFFAYMGPGFLVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLASKLGVVTGKHLAEHCRAEYPRIPNFILWILAEIAVVACDIPEVIGTAFALNMLFKLPVWCGVLVTGLSTLVLLLLQQYGIRKLEFFIAFLVATMAGCFFAELGYAKPDLSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQINCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSYANSFLIAATTWVISSLIMAINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLGAIFYLVFRKNRKITQLLLSDDTELGPTGNNASIVTHLPREDIISMQLPQTRSAMNLD >DRNTG_14920.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27208717:1 gene:DRNTG_14920 transcript:DRNTG_14920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRKLEFFIAFLLATMASCFFAEVGYARPDPSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQISCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLDLRITPWIRNLLTRSLAIIPSLIVAIVGGSAGAGKLIIIASMILSFVLPFALVPLLKFTSCKTKMGPYANSSLITAITWVISSLVMAINTYYLVTGFIKILLHSGLHTAAIVFAGIFGFGGMLVYLAAIFYLIFRKNRKTTQPLLSDDAELGSTGNNASIVTHLPRETSQACNFLEQDLQ >DRNTG_14920.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27208717:1 gene:DRNTG_14920 transcript:DRNTG_14920.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQPQFISSHENRRDSVGAAVPLIDNVEADQIIIAEKKGWKNFFAYMGPGFLVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLASKLGVVTGKHLAEHCRAEYPRIPNFILWILAEIAVVACDIPEVIGTAFALNMLFKLPVWCGVLVTGLSTLVLLLLQQYGIRKLEFFIAFLVATMAGCFFAELGYAKPDLSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQINCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSYANSFLIAATTWVISSLIMAINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLGAIFYLVFRKNRKITQLLLSDDTELGPTGNNASIVTHLPREDIISMQLPQTRSAMNLD >DRNTG_14920.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27208717:1 gene:DRNTG_14920 transcript:DRNTG_14920.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQPQFISSHENRRDSVGAAVPLIDNVEADQIIIAEKKGWKNFFAYMGPGFLVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLASKLGVVTGKHLAEHCRAEYPRIPNFILWILAEIAVVACDIPEVIGTAFALNMLFKLPVWCGVLVTGLSTLVLLLLQQYGIRKLEFFIAFLVATMAGCFFAELGYAKPDLSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQINCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSYANSFLIAATTWVISSLIMAINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLGAIFYLVFRKNRKITQLLLSDDTELGPTGNNASIVTHLPREDIISMQLPQTRSAMNLD >DRNTG_14920.34 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27204067:1 gene:DRNTG_14920 transcript:DRNTG_14920.34 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQPQFISSHENRRDSVGAAVPLIDNVEADQIIIAEKKGWKNFFAYMGPGFLVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLASKLGVVTGKHLAEHCRAEYPRIPNFILWILAEIAVVACDIPEVIGTAFALNMLFKLPVWCGVLVTGLSTLVLLLLQQYGIRKLEFFIAFLVATMAGCFFAELGYAKPDLSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQINCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSYANSFLIAATTWVISSLIMAINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLGAIFYLVFRKNRKITQLLLSDDTELGPTGNNASIVTHLPREDIISMQLPQTRSAMNLD >DRNTG_14920.27 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27208717:1 gene:DRNTG_14920 transcript:DRNTG_14920.27 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQPQFISSHENRRDSVGAAVPLIDNVEADQIIIAEKKGWKNFFAYMGPGFLVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLASKLGVVTGKHLAEHCRAEYPRIPNFILWILAEIAVVACDIPEVIGTAFALNMLFKLPVWCGVLVTGLSTLVLLLLQQYGIRKLEFFIAFLVATMAGCFFAELGYAKPDLSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQINCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSYANSFLIAATTWVISSLIMAINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLGAIFYLVFRKNRKITQLLLSDDTELGPTGNNASIVTHLPREDIISMQLPQTRSAMNLD >DRNTG_14920.32 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27204591:1 gene:DRNTG_14920 transcript:DRNTG_14920.32 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQPQFISSHENRRDSVGAAVPLIDNVEADQIIIAEKKGWKNFFAYMGPGFLVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLASKLGVVTGKHLAEHCRAEYPRIPNFILWILAEIAVVACDIPEVIGTAFALNMLFKLPVWCGVLVTGLSTLVLLLLQQYGIRKLEFFIAFLVATMAGCFFAELGYAKPDLSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQINCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSYANSFLIAATTWVISSLIMAINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLGAIFYLVFRKNRKITQLLLSDDTELGPTGNNASIVTHLPREDIISMQLPQTRSAMNLD >DRNTG_14920.30 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27205833:1 gene:DRNTG_14920 transcript:DRNTG_14920.30 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQPQFISSHENRRDSVGAAVPLIDNVEADQIIIAEKKGWKNFFAYMGPGFLVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLASKLGVVTGKHLAEHCRAEYPRIPNFILWILAEIAVVACDIPEVIGTAFALNMLFKLPVWCGVLVTGLSTLVLLLLQQYGIRKLEFFIAFLVATMAGCFFAELGYAKPDLSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQINCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSYANSFLIAATTWVISSLIMAINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLGAIFYLVFRKNRKITQLLLSDDTELGPTGNNASIVTHLPREDIISMQLPQTRSAMNLD >DRNTG_14920.39 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27203796:1 gene:DRNTG_14920 transcript:DRNTG_14920.39 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQPQFISSHENRRDSVGAAVPLIDNVEADQIIIAEKKGWKNFFAYMGPGFLVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLASKLGVVTGKHLAEHCRAEYPRIPNFILWILAEIAVVACDIPEVIGTAFALNMLFKLPVWCGVLVTGLSTLVLLLLQQYGIRKLEFFIAFLVATMAGCFFAELGYAKPDLSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQINCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSYANSFLIAATTWVISSLIMAINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLGAIFYLVFRKNRKITQLLLSDDTELGPTGNNASIVTHLPREDIISMQLPQTRSAMNLD >DRNTG_14920.24 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27208717:1 gene:DRNTG_14920 transcript:DRNTG_14920.24 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQPQFISSHENRRDSVGAAVPLIDNVEADQIIIAEKKGWKNFFAYMGPGFLVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLASKLGVVTGKHLAEHCRAEYPRIPNFILWILAEIAVVACDIPEVIGTAFALNMLFKLPVWCGVLVTGLSTLVLLLLQQYGIRKLEFFIAFLVATMAGCFFAELGYAKPDLSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQINCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRITPWIRNLLTRSLAIVPSLIVAIVGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSYANSFLIAATTWVISSLIMAINTYYLVTGFIKLLLHSGLHTAAIVFAGIFGFGGMLVYLGAIFYLVFRKNRKITQLLLSDDTELGPTGNNASIVTHLPREDIISMQLPQTRSAMNLD >DRNTG_14920.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27197730:27208717:1 gene:DRNTG_14920 transcript:DRNTG_14920.12 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQPQFISSHENRRDSVGAAVPLIDNVEADQIIIAEKKGWKNFFAYMGPGFLVCIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLASKLGVVTGKHLAEHCRAEYPRIPNFILWILAEIAVVVCDIPEVIGTAFALNMLFKLPVWCGVLITGLSTLVFLLLQQFGIRKLEFFIAFLLATMASCFFAEVGYARPDPSQVMRGLFVPQLKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVQGIKEACRFYTIESAFALAVAFLINVSVISVSGAVCSSANLSPEDQISCKDLDLNKASFLLKNVTGRWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLDLRITPWIRNLLTRSLAIIPSLIVAIVGGSAGAGKLIIIASMILSFVLPFALVPLLKFTSCKTKMGPYANSSLITAITWVISSLVMAINTYYLVTGFIKILLHSGLHTAAIVFAGIFGFGGMLVYLAAIFYLIFRKNRKTTQPLLSDDAELGSTGNNASIVTHLPRETSQACNFLEQDLQ >DRNTG_32370.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1577442:1581469:1 gene:DRNTG_32370 transcript:DRNTG_32370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRASLALSLRSPAPEPFSFGGDGAVVALPSLSSVLRLSKKGPGGGALVAMATDSRTLSGVVFEPFEELKGEGSHRVPMSSQESLARQLYSDDCEIAINEQINVEYNVSYVYHALYAYFDRDNVALKGLAKFFKESSEEEREQAEMLMEYQNKRGGRVKLNSIVLPHSEFDHPEKGDALYAMELALSLEKVTNEKLLHLHSVACNNNDPQMQEFVESEFLGEQVEAIKKISDYVSQLRRVGKGHGVWHFDQMLLHDEEEEQAVA >DRNTG_30137.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3215109:3215560:1 gene:DRNTG_30137 transcript:DRNTG_30137.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRARGTFSRTSGRADSGRRILMKRTCSSSQSRATRCGER >DRNTG_30137.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3215109:3219128:1 gene:DRNTG_30137 transcript:DRNTG_30137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSPPANIPAFSIQRLLLALAFLTLITFTFLSLRSLRSSPQLPSRFADPSTPLPLSLAYHSPEVFKLNYAEMEKRFKVFIYPDGDPSTFYQTPRKMTGKYASEGYFFQNIRESRFRTEDPDEAHLFFIPISCHKMRGKGTSYENMTIIVQDYVEGLIKKYPYWNRTLGADHFVVTCHDVGVRAFEGLPFLIKNSIRVVCSPSYDVGYIPHKDVALPQVLQPFPLPAGGNDIENRTILGFWAGHRNSKIRVILARVWENDTELAISNNRINRAIGELVYQKQFYRTKFCICPGGSQVNSARISDSIHYGCIPVILSNYYDLPFNDILDWKKFSVILKESDVYNLKSILKSILNEEFITLHNNLVEVQKHFEWHSPPISYDAFHMVMYELWLRHNLIKY >DRNTG_08262.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17608523:17611983:1 gene:DRNTG_08262 transcript:DRNTG_08262.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQVAKRLYQVWKGSNRFFCGGRLIFGPDVISLLMTITLIAGPSIAFACQIIVKIHNREKLAHHHHMYEHSHILGYPVLIVTLIVTLADLIFLFLTSSRDPGIVPRSVRPPESEETFDGATPSMEWVSGRTPHLRLPRTKDVSVNGFVVKVKYCETCFLYRPPRASHCSICNNCVQKFDHHCPWVGQCIGLRNYRFFFLFISSSTFLCIYVFIISWLNIIGERKHYTSLWKSMTSEVLSLVLIIYTFVAVWFVGGLTVFHAYLISTNQTTYENFRYRYDKKENPYNKGLFGNFKDVFFSKIPSSMNDFRSWVFEDSKETGTHISTNGISIISSKEKIDIEMGMKCSPDSSTPIPSILQNLDYSIIDDNLKMNNRQEADVNPDPFDFPTAQEPLVEGSGDADVCCNETHGQIVTDTPNVTISTNEISNPNIGDGELPQT >DRNTG_11680.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:304225:305590:-1 gene:DRNTG_11680 transcript:DRNTG_11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSKILSFSSASELGSAVVVDDLEITTRCQGSSTSRKRSRSQSALKSSIKNVPSSTKRIPPRRCSNAIVDSKGEQCCDDSNGEPLVVSSPKTLRQERSSDYCFQRSTWNPRENEW >DRNTG_11680.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:305071:305590:-1 gene:DRNTG_11680 transcript:DRNTG_11680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSKILSFSSASELGSAVVVDDLEITTRCQGSSTSRKRSRSQSALKSSIKNVPSSTKRIPPRRCSNAIVDSKGEQCCDDSNGEPLVVSSPKTLRQERSSDYCFQRSTWNPRGP >DRNTG_33474.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002001.1:20599:22866:1 gene:DRNTG_33474 transcript:DRNTG_33474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRSRALRSHEAVLVKINTGSTTPPLKAGPWGPTHLYKS >DRNTG_07604.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2448789:2450746:-1 gene:DRNTG_07604 transcript:DRNTG_07604.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLLSAPATPPTTSTSSTTLRLGLPLSSSAHTRLSPISCAKASIASKSCLIFPNLKLFRFRSEAILEIKSHCSLFEGALELCGFLDSKKIR >DRNTG_07604.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2445849:2450823:-1 gene:DRNTG_07604 transcript:DRNTG_07604.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLLSLLYFMRIMACLSREILTIQAKGDKAAAKLLLLEYAKLTTPLDVSLKKLESIQVIDVRLVVDPWSRESRGFGFVTMERIEDANRYLKFLDGLCLKVRLSLKSVFRSRLAVRSHSSSNFKSFILTIKSKLIKQN >DRNTG_07604.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2445849:2450823:-1 gene:DRNTG_07604 transcript:DRNTG_07604.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLLSLLYFMRIMACLSREILTIQAKGDKAAAKLLLLEYAKLTTPLDVSLKKLESIQVIDVRLVVDPWSRESRGFGFVTMERIEDANRYLKFLDGLCLKVRLSLKR >DRNTG_07604.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2445849:2450746:-1 gene:DRNTG_07604 transcript:DRNTG_07604.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLLSLLYFMRIMACLSREILTIQAKGDKAAAKLLLLEYAKLTTPLDVSLKKLESIQVIDVRLVVDPWSRESRGFGFVTMERIEDANRYLKFLDGLCLKVRLSLKRLGGDMVEYPLQADILGKFLHQKGGMNMNMETQCSTLRKYDSKKTNCSAICCFYPSRGYKQAGMKEQKQQNQYILSLLQSQGIQVNFEIALCTSHATIRILNSLNLQPNRKIRMSMKLQQN >DRNTG_07604.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2445849:2450746:-1 gene:DRNTG_07604 transcript:DRNTG_07604.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLLSLLYFMRIMACLSREILTIQAKGDKAAAKLLLLEYAKLTTPLDVSLKKLESIQVIDVRLVVDPWSRESRGFGFVTMERIEDANRYLKFLDGLCLKVRLSLKR >DRNTG_07604.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2445849:2450823:-1 gene:DRNTG_07604 transcript:DRNTG_07604.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLLSLLYFMRIMACLSREILTIQAKGDKAAAKLLLLEYAKLTTPLDVSLKKLESIQVIDVRLVVDPWSRESRGFGFVTMERIEDANRYLKFLDGLCLKVRLSLKRLGGDMVEYPLQADILGKFLHQKGGMNMNMETQCSTLRKYDSKKTNCSAICCFYPSRGYKQAGMKEQKQQNQYILSLLQSQGIQVNFEIALCTSHATIRILNSLNLQPNRKIRMSMKLQQN >DRNTG_19233.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18927837:18929540:-1 gene:DRNTG_19233 transcript:DRNTG_19233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSITRLNTYISTTFIGRRFKLTERNTTFTTELRAGTATFLTMAYILAVNASILSDSGATCSISDCTSPSPSCKFPPIDPGYSSCLSRVRRDLIVATAASSTIGSFIMGFFSNLPLALAPGMGTNAYFAYTVVGYHGSGNLPYKTALAAVFIEGLFFLTISALGLRAKLAKLVPKPVRISSSAGIGLFLAFIGLQNNQGVGLVGYSSSTLVTLAACPRSDRVSLAPVLTFPNGTTSLLPGATVSSPILCLHHKMLSPTFWLAAVGFLIIAYCLIKNIKGAMIYGIVFVTTVSWFRHTSVTAFPDSPSGDDSFNYFKKVVDVHKIQSTAGALSFSGISRGYFWEALFTFLYVDILDTTGTLYSMARFAGFVDDNGDFEGQYFAFMSDATAIVAGSLLGTSPVTAFIESSTGIREGGRTGLTAIIVAGYFMLSFFFTPLLASIPAWAVGPPLVLVGVLMMKSVVEIDWEDMREAIPAFMTLILMPLTYSIAYGLIGGIGTYMVLHAGDWSAVGWRRFVGPSLRMRVKDQTATVTLTCRSNGGDASSTASTSMRVDDGDANEKIHALV >DRNTG_23706.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:668175:670620:1 gene:DRNTG_23706 transcript:DRNTG_23706.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSSPETLSKIIQNKKQGTSIAEPSNLTSHRDLGNGGDPFLNKAEYWKPLNCLVEAANRTKPLRSSSPQNPVVKVEQTNGLDIDAHLPKIKAREHANKSKAQDDKNGNVSLPQIPPKAKRSSGAGRKRKDNATSVQALIDAAGKRERRISPVWFSLLASANQEGNPPFPQISANYLRIKDGNLLASILHKYLAKKLDLQSEAEVSSQS >DRNTG_23706.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:668689:669127:1 gene:DRNTG_23706 transcript:DRNTG_23706.3 gene_biotype:protein_coding transcript_biotype:protein_coding QGTSIAEPSNLTSHRDLGNGGDPFLNKAEYWKPLNCLVEAANRTKPLRSSSPQNPVVKVEQTNGLDIDAHLPKIKAREHANKSKAQDDKNGNVSLPQIPPKAKRSSGAGRKRKDNATSVQALIDAAGKRERRISPVWFSLLASANQ >DRNTG_23706.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:665850:672851:1 gene:DRNTG_23706 transcript:DRNTG_23706.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGRRGGPVTREAAAAAAAAAAARETPPQVVKVKREVVAGCMTCPVCHKLLSEATTISECLHTFCKKCILEKFNDEEIDYCPVCKTDLGCAPEDKLRPDHSLQDIRAKIFPPKGKKVTAPEVTPSVPSPVRRKERSISSLVVNTPRIAAQSTLTGKRTKAVVRRAANLSAPSPPINECGKKENDDDLMEDLSSPETLSKIIQNKKQGTSIAEPSNLTSHRDLGNGGDPFLNKAEYWKPLNCLVEAANRTKPLRSSSPQNPVVKVEQTNGLDIDAHLPKIKAREHANKSKAQDDKNGNVSLPQIPPKAKRSSGAGRKRKDNATSVQALIDAAGKRERRISPVWFSLLASANQEGNPPFPQISANYLRIKDGNLLASILHKYLAKKLDLQSEAEVEITCRGQSVAPTMALHNLVDLWMRAGSSSRVQATLGNPAKEFVMVLSYGRKVIAS >DRNTG_30499.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:715792:720363:-1 gene:DRNTG_30499 transcript:DRNTG_30499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEERVVAVIMVGGPTKGTRFRPLSLNVPKPLFPLAGQPMVNHPISACRRIPNLAQVYLIGFYEEREFALYVSAISNELRVPVRYLREDKPRGSAGGFYSFRNYIMEDSPSHIFLLNCDVCCSFPLPEMLDAHKSYGGMGTILVIKVSAESAHQFGELVADPDTKELLHYAEKPETFVSDLINCGVYVFTPNIFAAIEEAIVERRGKAELRRITSFEALQSATKAIPADYVRLDQDILSPFAGKKEFYTYETLDFWEQIKTPGMSLKCSALYLHQFRYTSPQLLANANDAKGATIIGDVYIHPSAKVHPTAKVGPNVSISANARIGAGVRLISCIILDDVEVLENAVVIHSIVGWKSSIGKWSRVQGEGDCNAKLGITILGESVAVEDEVVVINSIVLPNKTLSVSVQEEIIL >DRNTG_09877.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:315874:316453:1 gene:DRNTG_09877 transcript:DRNTG_09877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAPVRNFHTGVDNFHTAVWILCFSNFSAGCKQ >DRNTG_22053.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28515304:28517866:1 gene:DRNTG_22053 transcript:DRNTG_22053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIALHCGAMLRECIRHQSIAMYLFFFYAFFRQVFLIVSVIIVFLKVHPC >DRNTG_34955.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002214.1:43397:44145:-1 gene:DRNTG_34955 transcript:DRNTG_34955.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFKRSIFEDPLSVLSDWNSFDENPCGWSGVTSA >DRNTG_10172.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22987356:22990700:-1 gene:DRNTG_10172 transcript:DRNTG_10172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFSISILSFVSLALIMDAVLMVIWSAFMGIKRHGRVCIEDRSINQTAKELAKGLEDHVCDSYAQILCGKAGKIWVPESDILNALDESKSDKYIHLEDNVFEFAKRRALESIVNSLETRPDISGNKDFKCPDMSAEMHKPLLCCARQWMYKHLLHILAVAVMLIGLIRVLWRIKKRHTLLARAEELYEQVCEILEQNAVMAKNAKGGDEKWVVASWLRDHLLLPKERKDAKLWKEVEKLILEDSRISQYPKLIKGESKIVLEWQVEGSLGPKVRRKEASMNTEIKRHHSISSTNKQKQVKECDSLYT >DRNTG_10172.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22987356:22990700:-1 gene:DRNTG_10172 transcript:DRNTG_10172.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEMHKPLLCCARQWMYKHLLHILAVAVMLIGLIRVLWRIKKRHTLLARAEELYEQVCEILEQNAVMAKNAKGGDEKWVVASWLRDHLLLPKERKDAKLWKEVEKLILEDSRISQYPKLIKGESKIVLEWQVEGSLGPKVRRKEASMNTEIKRHHSISSTNKQKQVKECDSLYT >DRNTG_12484.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3145419:3152218:-1 gene:DRNTG_12484 transcript:DRNTG_12484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVEEWYKQMPIITRSYLTAAVITTVGCSLEIISPYHLYLNPKLVVQHYQIWRLITNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTGIVLIGGMIPYVSENFAKILFLSNSLTFMMVYVWSKHNPFIHMSFLGLFTFTAAYLPWVLLGFSFLVGTSTWVDILGMVAGHAYYFLEDVYPRMTGRRPLKTPSFIKSLFADETVVVTRPANARFAAPPAQELHQD >DRNTG_18162.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3983035:3984928:-1 gene:DRNTG_18162 transcript:DRNTG_18162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNMVVSFGEMLIDFVPDIAGVSLAESPGFIKAPGGAPANVAVAITKHGGNSAFVGKFGDDEFGHMLVDILKKNSVNVDGVLFDEHARTALAFVTLRSDGEREFMFYRNPSADMLLTEAELNMSLIKNAKIFHYGSISLITEPCRSAHMAAMKAARDAGALLSYDPNVRLPLWPSEQAAREGIMSIWKHADFIKVSDDEVAFLTQGDPYNEDVVLSLWYEGLKLLLVTDGEKGCRYFTKNFKGKVGGYSVKTVDTTGAGDAFVGAFLFSVAKDGASLFQDEGKLKEVLKFANACGAIATTKKGAIPALPTTSMALELISTSN >DRNTG_18162.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3984075:3984928:-1 gene:DRNTG_18162 transcript:DRNTG_18162.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNMVVSFGEMLIDFVPDIAGVSLAESPGFIKAPGGAPANVAVAITKHGGNSAFVGKFGDDEFGHMLVDILKKNSVNVDGVLFDEHARTALAFVTLRSDGEREFMFYRNPSADMLLTEAELNMSLIKNAKIFHYGSISLITEPCRSAHMAAMKAARDAGALLSYDPNVRLPLWPSEQAAREGIMSIWKHADFIK >DRNTG_18162.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3983035:3983705:-1 gene:DRNTG_18162 transcript:DRNTG_18162.3 gene_biotype:protein_coding transcript_biotype:protein_coding NFKGKVGGYSVKTVDTTGAGDAFVGAFLFSVAKDGASLFQDEGKLKEVLKFANACGAIATTKKGAIPALPTTSMALELISTSN >DRNTG_19273.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:452684:454609:1 gene:DRNTG_19273 transcript:DRNTG_19273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEKVFGEISVKDPVPCNCLISGYSKSGNVQAARRVFDVMPVRTVASWNSMISCYAHVGDPVQGLRLFERMRDEKEKPNEMTLVTVLSICAKLGDLSTGLKVKEMVDAHDLRTNLIVRTAVLEMYVKCGAVDEARKVFDEMCQRDVVAWSAMIAGYAQNGRSIDALELFEKMRLVNVEPNEVTLVSVLSACAQLGSVEVGEQIGRYIESQGFASGVYVGSALVDMYAKCGNIKRARAVYDNMRHKDVVSWNSMIRGLAFNGLADEAIDLYEKMIREQLKPNDVTFVGLLSACVHGGLVAAGIQFFNSMQKVHNVLPKVEHCACMVDLYCRSGRLEEAYKFISDMEVEPNIVIWGTLLSACRVHSDVELAERSMEKLLVLEPDNSANYVLLSSIYADAGRWEEARKMRNLMRRKDVQKVAGYSWIELDDTVHKFLAGDTYHPRCDDIYDVVDGLSLQLKWVDKNTEYDQEVWEAL >DRNTG_25906.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19859314:19864143:-1 gene:DRNTG_25906 transcript:DRNTG_25906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMANTSLAPGFRFHPTDAELVCFYLKGKIMQKALPCKIIAEIDLYKFPPWDLPAKSFLKSKDLEWYFFCPRDLKYATGSRTKRATDLGYWKTTGRDKAIVNKSDTVGMRKTLVFHEGKAPGGSRTDWVIYEYRLKDKDLTDTGNLQDKLVLCKLFQKSGRGPKNGEHHGAPFNEEEWEDDDTGNDYSGSVSCMVSAQDPHDDQATMLEPGPPVPVDSGIGDAHAVSGTGEAHAVIGTGEVSSLPDGEEELWTELIEALRYSPDNLGDILGEAPGSHVLHCQTSAAGTHLGGIYDGLYDLPPPAEPNEPNYGTYFNTEEFLMDIDPSELYVHDPFENLAIFQNSNGHGVSALAVDDTPPPAAAITDPCQCPNTNHNQYTLNVEINAFGEDDNQQFEALFHEFLKDPVWQTEYGANVCSTSGAGGNDSKKSFFYSDPSCSNRYPDR >DRNTG_05882.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000271.1:20230:30648:-1 gene:DRNTG_05882 transcript:DRNTG_05882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGSTEEVPSTLGILKRMLRKMKRVRRRYRKCSKAVGDTRELKKLHAPLRGGPKPDNFTSTFKRPCSSCFQAMGKRATFIHEPPCGMPNTKRLASKRLRTTGPLSTPGEPVFKFSHHRERYDKLKTK >DRNTG_27151.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:690487:696687:-1 gene:DRNTG_27151 transcript:DRNTG_27151.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRILVQRGGSGAAASSSNPNRQPPGSQQQPPAPSKEEEQEREFLPDSSGLDTLVQHPAGAEEILIEGSQSQATAVQSGSEDAEKGGSCDDEGNENAILTTELTGCQNGDCSEVINDPVGSGIGSSPIVTGGSYPPPPPVPPPKPSAVSSSRRVVTESSNAGRIGATASRRPSTWPLVPARSSPTGSRPSSPRAYGDGDGYNSSDEHNTCFVFSYDDMERERLFELEIRRVKGLEVKRMIGDGNCLFRAVADQVYGDPEEYDTARQMCVDYMERERDHFSQFITEGFTSYCKRKRRDKAYGNNAEIQAFSEMYNRPIHIYSYSTEPINIFHGNYTTDTPPIRLSFHQGNHYNSLFDPRRETIGAGLGFNTLRGGNIDKDQVKAAIKAHQDQQIDNALLAEARIYSDVELTEKEIERMVMEASRAEYLAEDRLRQQLLSSETSTSGAEPSSSGARTGPSGSHRVQERALPSDTVLSGSMQTLLAMGFGYLQVIEAYTIFGEDVDSMICYLLEMESSRAPSGSSQRKGKAAE >DRNTG_27151.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:690487:696687:-1 gene:DRNTG_27151 transcript:DRNTG_27151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRILVQRGGSGAAASSSNPNRQPPGSQQQPPAPSKEEEQEREFLPDSSGLDTLVQHPAGAEEILIEGSQSQATAVQSGSEDAEKGGSCDDEGNENAILTTELTGCQNGDCSEVINDPVGSGIGSSPIVTGGSYPPPPPVPPPKPSAVSSSRRVVTESSNAGRIGATASRRPSTWPLVPARSSPTGSRPSSPRAYGDGDGYNSSDEHNTCFVFSYDDMERERLFELEIRRVKGLEVKRMIGDGNCLFRAVADQVYGDPEEYDTARQMCVDYMERERDHFSQFITEGFTSYCKRKRRDKAYGNNAEIQAFSEMYNRPIHIYSYSTEPINIFHGNYTTDTPPIRLSFHQGNHYNSLFDPRRETIGAGLGFNTLRGGNIDKDQVKAAIKAHQDQQIDNALLAEARIYSDVELTEKEIERMVMEASRAEYLAEDRLRQQLLSSETSTSGAEPSSSGARTGPSGSHRVQERALPSDTVLSGSMQTLLAMGFGYLQVIEAYTIFGEDVDSMICYLLEMESSRAPSGSSQRKGKAAE >DRNTG_27151.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:690487:696687:-1 gene:DRNTG_27151 transcript:DRNTG_27151.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDGNCLFRAVADQVYGDPEEYDTARQMCVDYMERERDHFSQFITEGFTSYCKRKRRDKAYGNNAEIQAFSEMYNRPIHIYSYSTEPINIFHGNYTTDTPPIRLSFHQGNHYNSLFDPRRETIGAGLGFNTLRGGNIDKDQVKAAIKAHQDQQIDNALLAEARIYSDVELTEKEIERMVMEASRAEYLAEDRLRQQLLSSETSTSGAEPSSSGARTGPSGSHRVQERALPSDTVLSGSMQTLLAMGFGYLQVIEAYTIFGEDVDSMICYLLEMESSRAPSGSSQRKGKAAE >DRNTG_09489.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21560344:21562396:-1 gene:DRNTG_09489 transcript:DRNTG_09489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRNPKLSPGPNKRDDERERERERE >DRNTG_18283.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1816669:1821455:1 gene:DRNTG_18283 transcript:DRNTG_18283.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRMSSTWRLTVNEKKFIETALLADLRVDGRRPFDYRPLSIKFGREDGSSEVQLGETHVMGYVTSQLVQPYRDRPNEGTLAIYTEFSPMADPTFEAGRPGESAVELGRVIDRGLRESRAVDMESLCVVAGKSVWAVRVDLHILDNGGNLIDAANIAALAALSTFRRPECTVGGEDGQEVIMHPPEVREPIPLTIHHLPVAVTFAFFSEGNILVIDPTYKEEAVMGGRMTATMNMNGDVCAVQKAGGEGVMMSVIMQCLRIASMKAADITSKIKNAVDAYNTERSLRNVKRHPSSIAIDVGVSEVAMQEDQVDDLQKDAQILEDKSRASSSGNIGLIGVETSSSRRREKKTGGNNGEPFIGGPSNWDPYPKEISSSYIRSSQALPGPSTLNDEQEVTKMAQKSSLSHVDTAANVSDAPTETITSMNPQTSSPKSLKDAVKKKNRKRR >DRNTG_18283.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1816669:1821455:1 gene:DRNTG_18283 transcript:DRNTG_18283.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRMSSTWRLTVNEKKFIETALLADLRVDGRRPFDYRPLSIKFGREDGSSEVQLGETHVMGYVTSQLVQPYRDRPNEGTLAIYTEFSPMADPTFEAGRPGESAVELGRVIDRGLRESRAVDMESLCVVAGKSVWAVRVDLHILDNGGNLIDAANIAALAALSTFRRPECTVGGEDGQEVIMHPPEVREPIPLTIHHLPVAVTFAFFSEGNILVIDPTYKEEAVMGGRMTATMNMNGDVCAVQKAGGEGVMMSVIMQCLRIASMKAADITSKIKNAVDAYNTERSLRNVKRHPSSIAIDVGVSEVAMQEDQVDDLQKDAQILEDKSRASSSGNIGLIGVETSSSRRREKKTGGNNGEPFIGGPSNWDPYPKEISSSYIRSSQALPGPSTLNDEQEVTKMAQKSSLSHVDTAANVSDAPTETITSMNPQTSSPKSLKDAVKKKNRKRR >DRNTG_03972.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26447765:26449272:-1 gene:DRNTG_03972 transcript:DRNTG_03972.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEAVLSTTLALIIKLSASQALQYLRPICGVADELEKLRRSLLSIQSVIGDAEERQLKDKAVKSWLTELKHVAYEADNILDEVNTLVLRRSSDIRNQMKNKVSDLFSLDNPILYRLLLGSKLRNVLKKIDGIMIEMHKFNLRVGNQLPQRNRPQTHSFIIEADVIGRDEDREYIVNMLTTNSLDDKMLVVCVVGMMGLGKTTVAQLVYGDLHVQKHFELRIWICASDDFDLEKIAKRIIESATGKQCDLTNMELLQQQLRTLVEAKRYLLVLDDVWNEDSHKWEELKNLLVCGDHSSRILVTTRKEQCLTVMDADMVYHPKCLSEESSWVLFEKSVFGRNAEKLLEYPLL >DRNTG_07933.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1222114:1223670:-1 gene:DRNTG_07933 transcript:DRNTG_07933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSFAADHKVKKAVGLAKVLALGTANPPNVVYQDTFHDYYFRITNNEHKVELKQKFKRICEKSMIKKRHFFLNEEMLKERPNLCSFMDHSSLNTRHDIMVEEVPKLGAKAAIKALEEWGRPRSDITHLIFCTTGGVDLPGADYQIIKLLGLSPYTKRVMLYSQGCFAGGTVLRIAKDLAENNVNARVLIVCSEITAICFRGADDVHIDNLVGQAMFADGSAAAVIGADPIPGVENPYFELVSTDQLILPDSDGAIEGHLREVGLTFHLHNQVPNMIGNNIEKSLVKAFEPLGIWDWNSLFWIAHPGGRAILDRIEEKLELKPEKLKATRNVLSEYGNMSSVCVFFIMDEMRKRSVAEEKGTAGEGLEWGVLYGFGPGLTMETVVLHALPLHGVVSNEN >DRNTG_07472.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28907225:28909197:1 gene:DRNTG_07472 transcript:DRNTG_07472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVRREEKLRCTKEPFIEDVGPRRIKSLRFSMFSGDEIRKLAEVQVWNNKLYNESAKPVDHGILDARM >DRNTG_24254.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20672131:20676044:1 gene:DRNTG_24254 transcript:DRNTG_24254.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ISI1 [Source:Projected from Arabidopsis thaliana (AT4G27750) UniProtKB/TrEMBL;Acc:A0A178URL9] MRVDHIFGVEPMKITSPATDSEVALALRVLEGCCLLHKESTVLAHEHDAIKVLVNILSTRGTLEQGACLDALISLMLDSVANQMDFEGCYGIEKIAELVKDEEGDDNIRLKCGEFLLLLAGQVKRRERAPLATIHEDIRKLLGEKCASLVWAVGQFGSTLAPEDRQVALQIQARRVLESLTLH >DRNTG_08691.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17986138:17988437:-1 gene:DRNTG_08691 transcript:DRNTG_08691.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRFFSNGAFSHPPLLAMLERWPSSQKLEQIHAHAITLGLSRFTYITSRILALYALHNNGVMHHAHQLFDLIPQPTIFNWNTMIRGYSRSREPETALRVYMDMKHRGVFPNMHTFPFVVKACACCLSLSQIHGQVFKFGFDLDVYVTCSLIKCYCDLGELELACQVFEENSNRNVVCWTSLLTGFCVNGLVDRGRDVFDRMPERNEVAWSAMIAGYVQNKRYDEAIELFHVLRKDHNCVKFSGSLLVSVLTACANLGALEEGKWIHSYLDSKSEYGLELGTALIDFYAKCGLIEAAKEVFSKMLSRDVTAWSAMVMGLAVNGCCHSAFQVFSDMQRSRIRPNAVTFVGVLTACSHGGLIDEGQAYFEDMQKVYRLSPTIEHYGCMVDLLSRAGKTLEAEKLIQSMPMEPDGAIWGSLLNGCLMHGHIEQGERVGRHVIELDPQHCGRYVGLANVYASMGRWESALMVRKTMKQRGVMSAPGWSLIEMHGISYRFLVDDRRHPCHEEICKMLSYLYSEMVYDQSETDDL >DRNTG_08691.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17985641:17988437:-1 gene:DRNTG_08691 transcript:DRNTG_08691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRFFSNGAFSHPPLLAMLERWPSSQKLEQIHAHAITLGLSRFTYITSRILALYALHNNGVMHHAHQLFDLIPQPTIFNWNTMIRGYSRSREPETALRVYMDMKHRGVFPNMHTFPFVVKACACCLSLSQIHGQVFKFGFDLDVYVTCSLIKCYCDLGELELACQVFEENSNRNVVCWTSLLTGFCVNGLVDRGRDVFDRMPERNEVAWSAMIAGYVQNKRYDEAIELFHVLRKDHNCVKFSGSLLVSVLTACANLGALEEGKWIHSYLDSKSEYGLELGTALIDFYAKCGLIEAAKEVFSKMLSRDVTAWSAMVMGLAVNGCCHSAFQVFSDMQRSRIRPNAVTFVGVLTACSHGGLIDEGQAYFEDMQKVYRLSPTIEHYGCMVDLLSRAGKTLEAEKLIQSMPMEPDGAIWGSLLNGCLMHGHIEQGERVGRHVIELDPQHCGRYVGLANVYASMGRWESALMVRKTMKQRGVMSAPGWSLIEMHGISYRFLVDDRRHPCHEEICKMLSYLYSEMVYDQSETDDL >DRNTG_31317.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3992419:3994905:-1 gene:DRNTG_31317 transcript:DRNTG_31317.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEVVVSDPDRSLSFSDSRTVCHVCQKQFSQYTCPRCNSRYCSLQCYKRHSTRCTESFMRENVMEELKQIQPDDETKRKTLDILKRVHLEDEMSFDEEEDVDDVDAMLSEKTIQKVLSGNDVRLEDLSPEEIKQFRRAVASGELSKLIQPWTPWWTTPSARTISLSNEGSQLVMPLQEQEETMLCSSSSSGDDFSQIPAGPENPLPSLCQLSRVEASPLLSIHLVDILFSYCFTLRLYNGDWHSDALGAATVVLSVSKVLDDEVRPETVAEALGACLEQACSPAYRQAGGFKFGIGLIDDIICLLSLGSNALVCTLCDLQRLIQAGERMFKSEKIGKAKRSESSRKLKSAERKVYFLMCWVHEQPNEVWTSLASIVDVEKTSILSGSRGETVKAGTKRESEPKVLIEEA >DRNTG_13363.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2295058:2299651:1 gene:DRNTG_13363 transcript:DRNTG_13363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSQVHIDLPTLSSSDKQAISTWGLHNEVDIISLSYTRHVEDVRELRAFLEAHNLHKTLIFAKVENAEGLKHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKSAVDKCNMAGKPAIITRVVDSMTDNLRPTRAEATDVANAVLDGTDGILLGAETLRGLYPVETVQTVGRICAEAECVYNQNQQFKRISKHVGEPMSQAESMASSAVRAAIKVRAPVIVVFTSSGRAARLVAKYRPPMPVLAIVMSSSNTDSSVLSFGTTQARQCLTMRGIFPILGGPSKGDAASSSDDNIKQAIKYGKSISVIKDHHRVVVFQKIGDECVVKIVESNDF >DRNTG_33312.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23692933:23697243:1 gene:DRNTG_33312 transcript:DRNTG_33312.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFTSTLLRPQTLFQSQPQSKTLISLLLPLLYRCPISSSTSPMASSSSSEATLREVLAEKQAAVEAQAEAVRTLKARPGTPQSEIDSAVESLKGLKLEVAAVAKRLQAEGSANGEGGTGGLSKDAFRQTVVNTLERRLFFIPSFKIYRGVAGLYDYGPPGCAVKSNVLAFWRQHFVLEENMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGTCYRADHLLKDYCKEKLEKDLTLAPAKVAELNHVIAVLDDLSAEELGAKLKEYGITAPDTKNPLSDPYPFNLMFQTSIGPTGLSTGYMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPDDKSHPKFHDVAKLEFLMFPREDQLTGKSARKIVLGEAVSKGTINNETLGYFIGRVFLFLTHLGINKERLRFRQHLPNEMAHYAADCWDAEIESSFGWIECVGIADRSAYDLRAHTDKSGVPLVAHEKFSEPREVEKLVITPSKKDLGLAFKGSQKMVVEALEAMPEKEALELKATLESKGEAEFLVCTLGKTVTITKNMVSISMEKKKEHQRVFTPSVIEPSFGIGRIIYCLYEHSFYTRPSKSEGEQLNVFRFPPIVAPIKCTVFPLVKNDQFDEVARNIARALTKAGISNIIDITGTSIGKRYARTDEIGVPFAVTVDSVATVTIRERDSKEQIRVAVDEVASVVKEVTEGQSTWADVMWRYPTHAASSDE >DRNTG_04516.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19128461:19138062:1 gene:DRNTG_04516 transcript:DRNTG_04516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCCCFGAFAPAKKDLPQSQTGFSGFSSDKNVKLFTYNELRSATDNFNPRNRIGRGGFGMVFKGTLRNGTVVAAKVLSTESKQGIHEFLTEIDTITNVRHPNLVELLGCCVQENNRILVYEYVENKSLDRILLGPNNSAANLNWELRSAICKGAASGLKFLHEELDPPIVHRDIKASNILLDSNYLPKIGDFGLAKLFPDNVTHISTRVAGTTGYLAPEYALHGQLTKKADVYSFGVLIIEIISGRSVSKGWLSDMDKLLLEWTWQLYEEGRLKELVDSNLKEYPEEEVLRYIKVALFCTQASANRRPPMPQVIEMLSKPIRLNEKELTPPGFIEGSMSTSKASKATITSNLRTKDASSSDSSIPFSSAPVTCTELIPR >DRNTG_04516.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19128461:19138062:1 gene:DRNTG_04516 transcript:DRNTG_04516.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCCCFGAFAPAKKDLPQSQTGFSGFSSDKNVKLFTYNELRSATDNFNPRNRIGRGGFGMVFKGTLRNGTVVAAKVLSTESKQGIHEFLTEIDTITNVRHPNLVELLGCCVQENNRILVYEYVENKSLDRILLGKFVRTFL >DRNTG_04516.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19134766:19138114:1 gene:DRNTG_04516 transcript:DRNTG_04516.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVIEMLSKPIRLNEKELTPPGFIEGSMSTSKASKATITSNLRTKDASSSDSSIPFSSAPVTCTELIPR >DRNTG_05633.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6282662:6284380:-1 gene:DRNTG_05633 transcript:DRNTG_05633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFLNHITMYGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGTFSEQEENLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCIKKKLKQRGIDPVTHKPLLSETEGGTAAGGEDHNKPTAATNINDKPKLLSPASAMTTTCTTSYLPPLELMNHNQSAPSAFLWFNSQHTSSSSSSKN >DRNTG_05633.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6282662:6284380:-1 gene:DRNTG_05633 transcript:DRNTG_05633.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVGALFPNLQRCGKSCRLRWINYLRPDLKRGTFSEQEENLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCIKKKLKQRGIDPVTHKPLLSETEGGTAAGGEDHNKPTAATNINDKPKLLSPASAMTTTCTTSYLPPLELMNHNQSAPSAFLWFNSQHTSSSSSSKN >DRNTG_30072.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22737683:22738963:-1 gene:DRNTG_30072 transcript:DRNTG_30072.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGERGHRCKVCRKSFSTGRSLGGHMRSHVVMNSMVVAVEKEEEKKIRNSVGFVNGYGLRENPRKTKRFTISLKKRFEKECGESFKSLSGDMRYVAAAAAAAADDDDEDHGQEDEEEDEQEEEEEEEEEEEEGSWSVLSDNEATGLVVPAKKKRSRRMSMAMEAQASSSSALEQEEQEDVAVALMMLSRDTGHWNVSESSDKNSVVEEEQQQLLVSDNNFRFKKQKMIVSDDNDSSEQCDSRKRSKYECSTCKKSFQSYQALGGHRAAHKRYPRGCLGLRIDENGIENNINSDASMDVLDTKKGKSHECLICGKCFSSGQALGGHKRSHLALSNIDAGSSDQNAVIPDLIASSIDSRGDQNAVIPDLIDLNLPAPVDDESKFKNWWNCGTAVGMISN >DRNTG_30072.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22737419:22739365:-1 gene:DRNTG_30072 transcript:DRNTG_30072.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGERGHRCKVCRKSFSTGRSLGGHMRSHVVMNSMVVAVEKEEEKKIRNSVGFVNGYGLRENPRKTKRFTISLKKRFEKECGESFKSLSGDMRYVAAAAAAAADDDDEDHGQEDEEEDEQEEEEEEEEEEEEGSWSVLSDNEATGLVVPAKKKRSRRMSMAMEAQASSSSALEQEEQEDVAVALMMLSRDTGHWNVSESSDKNSVVEEEQQQLLVSDNNFRFKKQKMIVSDDNDSSEQCDSRKRSKYECSTCKKSFQSYQALGGHRAAHKRYPRGCLGLRIDENGIENNINSDASMDVLDTKKGKSHECLICGKCFSSGQALGGHKRSHLALSNIDAGSSDQNAVIPDLIASSIDSRGDQNAVIPDLIDLNLPAPVDDESKFKNWWNCGTAVGMISN >DRNTG_30072.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22737683:22739309:-1 gene:DRNTG_30072 transcript:DRNTG_30072.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGERGHRCKVCRKSFSTGRSLGGHMRSHVVMNSMVVAVEKEEEKKIRNSVGFVNGYGLRENPRKTKRFTISLKKRFEKECGESFKSLSGDMRYVAAAAAAAADDDDEDHGQEDEEEDEQEEEEEEEEEEEEGSWSVLSDNEATGLVVPAKKKRSRRMSMAMEAQASSSSALEQEEQEDVAVALMMLSRDTGHWNVSESSDKNSVVEEEQQQLLVSDNNFRFKKQKMIVSDDNDSSEQCDSRKRSKYECSTCKKSFQSYQALGGHRAAHKRYPRGCLGLRIDENGIENNINSDASMDVLDTKKGKSHECLICGKCFSSGQALGGHKRSHLALSNIDAGSSDQNAVIPDLIASSIDSRGDQNAVIPDLIDLNLPAPVDDESKFKNWWNCGTAVGMISN >DRNTG_30072.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22737589:22739309:-1 gene:DRNTG_30072 transcript:DRNTG_30072.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGERGHRCKVCRKSFSTGRSLGGHMRSHVVMNSMVVAVEKEEEKKIRNSVGFVNGYGLRENPRKTKRFTISLKKRFEKECGESFKSLSGDMRYVAAAAAAAADDDDEDHGQEDEEEDEQEEEEEEEEEEEEGSWSVLSDNEATGLVVPAKKKRSRRMSMAMEAQASSSSALEQEEQEDVAVALMMLSRDTGHWNVSESSDKNSVVEEEQQQLLVSDNNFRFKKQKMIVSDDNDSSEQCDSRKRSKYECSTCKKSFQSYQALGGHRAAHKRYPRGCLGLRIDENGIENNINSDASMDVLDTKKGKSHECLICGKCFSSGQALGGHKRSHLALSNIDAGSSDQNAVIPDLIASSIDSRGDQNAVIPDLIDLNLPAPVDDESKFKNWWNCGTAVGMISN >DRNTG_30072.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22737419:22738963:-1 gene:DRNTG_30072 transcript:DRNTG_30072.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGERGHRCKVCRKSFSTGRSLGGHMRSHVVMNSMVVAVEKEEEKKIRNSVGFVNGYGLRENPRKTKRFTISLKKRFEKECGESFKSLSGDMRYVAAAAAAAADDDDEDHGQEDEEEDEQEEEEEEEEEEEEGSWSVLSDNEATGLVVPAKKKRSRRMSMAMEAQASSSSALEQEEQEDVAVALMMLSRDTGHWNVSESSDKNSVVEEEQQQLLVSDNNFRFKKQKMIVSDDNDSSEQCDSRKRSKYECSTCKKSFQSYQALGGHRAAHKRYPRGCLGLRIDENGIENNINSDASMDVLDTKKGKSHECLICGKCFSSGQALGGHKRSHLALSNIDAGSSDQNAVIPDLIASSIDSRGDQNAVIPDLIDLNLPAPVDDESKFKNWWNCGTAVGMISN >DRNTG_30072.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22737589:22738963:-1 gene:DRNTG_30072 transcript:DRNTG_30072.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGERGHRCKVCRKSFSTGRSLGGHMRSHVVMNSMVVAVEKEEEKKIRNSVGFVNGYGLRENPRKTKRFTISLKKRFEKECGESFKSLSGDMRYVAAAAAAAADDDDEDHGQEDEEEDEQEEEEEEEEEEEEGSWSVLSDNEATGLVVPAKKKRSRRMSMAMEAQASSSSALEQEEQEDVAVALMMLSRDTGHWNVSESSDKNSVVEEEQQQLLVSDNNFRFKKQKMIVSDDNDSSEQCDSRKRSKYECSTCKKSFQSYQALGGHRAAHKRYPRGCLGLRIDENGIENNINSDASMDVLDTKKGKSHECLICGKCFSSGQALGGHKRSHLALSNIDAGSSDQNAVIPDLIASSIDSRGDQNAVIPDLIDLNLPAPVDDESKFKNWWNCGTAVGMISN >DRNTG_30072.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22737589:22739365:-1 gene:DRNTG_30072 transcript:DRNTG_30072.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGERGHRCKVCRKSFSTGRSLGGHMRSHVVMNSMVVAVEKEEEKKIRNSVGFVNGYGLRENPRKTKRFTISLKKRFEKECGESFKSLSGDMRYVAAAAAAAADDDDEDHGQEDEEEDEQEEEEEEEEEEEEGSWSVLSDNEATGLVVPAKKKRSRRMSMAMEAQASSSSALEQEEQEDVAVALMMLSRDTGHWNVSESSDKNSVVEEEQQQLLVSDNNFRFKKQKMIVSDDNDSSEQCDSRKRSKYECSTCKKSFQSYQALGGHRAAHKRYPRGCLGLRIDENGIENNINSDASMDVLDTKKGKSHECLICGKCFSSGQALGGHKRSHLALSNIDAGSSDQNAVIPDLIASSIDSRGDQNAVIPDLIDLNLPAPVDDESKFKNWWNCGTAVGMISN >DRNTG_30072.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22737683:22739365:-1 gene:DRNTG_30072 transcript:DRNTG_30072.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGERGHRCKVCRKSFSTGRSLGGHMRSHVVMNSMVVAVEKEEEKKIRNSVGFVNGYGLRENPRKTKRFTISLKKRFEKECGESFKSLSGDMRYVAAAAAAAADDDDEDHGQEDEEEDEQEEEEEEEEEEEEGSWSVLSDNEATGLVVPAKKKRSRRMSMAMEAQASSSSALEQEEQEDVAVALMMLSRDTGHWNVSESSDKNSVVEEEQQQLLVSDNNFRFKKQKMIVSDDNDSSEQCDSRKRSKYECSTCKKSFQSYQALGGHRAAHKRYPRGCLGLRIDENGIENNINSDASMDVLDTKKGKSHECLICGKCFSSGQALGGHKRSHLALSNIDAGSSDQNAVIPDLIASSIDSRGDQNAVIPDLIDLNLPAPVDDESKFKNWWNCGTAVGMISN >DRNTG_30072.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22737419:22739309:-1 gene:DRNTG_30072 transcript:DRNTG_30072.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGERGHRCKVCRKSFSTGRSLGGHMRSHVVMNSMVVAVEKEEEKKIRNSVGFVNGYGLRENPRKTKRFTISLKKRFEKECGESFKSLSGDMRYVAAAAAAAADDDDEDHGQEDEEEDEQEEEEEEEEEEEEGSWSVLSDNEATGLVVPAKKKRSRRMSMAMEAQASSSSALEQEEQEDVAVALMMLSRDTGHWNVSESSDKNSVVEEEQQQLLVSDNNFRFKKQKMIVSDDNDSSEQCDSRKRSKYECSTCKKSFQSYQALGGHRAAHKRYPRGCLGLRIDENGIENNINSDASMDVLDTKKGKSHECLICGKCFSSGQALGGHKRSHLALSNIDAGSSDQNAVIPDLIASSIDSRGDQNAVIPDLIDLNLPAPVDDESKFKNWWNCGTAVGMISN >DRNTG_33397.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:19381879:19383126:1 gene:DRNTG_33397 transcript:DRNTG_33397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDVDVRGLAKGSASSVVPRAHLAIYQSCTKTSCLSVDILMAMDQAIVDGVDVLSISIGHHLDPYYLDNMAIGTFSAIQKGLVVSMIASNSGPELSSIENDEPLVTTVGPSSHDLRVKATVKLGDGTQIVGETGYFNATLLLVFHGLLGNGTEDLDRNPITDDYNGTRDAVDLIALGAAQVNPQAANELGLVYDIMPFNYIQYLCGMGYSDANVSTAASRQI >DRNTG_16738.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13969634:13971165:1 gene:DRNTG_16738 transcript:DRNTG_16738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYLEEILRRIKREDISRAEYARMCGRAFVSTDPTSRSVWKKVSGKAFVGTDPARVQLQVITQGNPSILIEGDPKLERTLRRRGKEPMQEPSNQAEIEVEGSDNMAE >DRNTG_02814.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13256536:13258134:-1 gene:DRNTG_02814 transcript:DRNTG_02814.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPVIRMGNGNEDLGPPWLTPLLRTSFFIPCEIHLESTKNECNMYCLDCMGNALCSYCLSHHKDHHVVQIRRSSYHNVIRVAEVSKFIDISCVQTYIINSAKIVFLNERPQPRPGKGVTNTCEICCRSLLDSFRFCSLGCKLGGMRKGDPDLTFNLRSNKLSRDSLHGSESDGDSTPKKLRKTSAFALSEDEGGNFNNSISPGTPPIINYRTSRRKGIPHRAPF >DRNTG_33109.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32783822:32785399:1 gene:DRNTG_33109 transcript:DRNTG_33109.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMESEGRPRPPNPAMPYREDCWSEGATEALIDSWGGRYLELNRGNLRQKDWQEVADSVNSRPGAVHRPRRSDVQCKNRIDTLKKKYKAEKVRVSNSGGVLGSQWPFYSRLDDLIGSITPMKMPLLLPPPAFPANGAPSAVAS >DRNTG_33109.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32783590:32785399:1 gene:DRNTG_33109 transcript:DRNTG_33109.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMESEGRPRPPNPAMPYREDCWSEGATEALIDSWGGRYLELNRGNLRQKDWQEVADSVNSRPGAVHRPRRSDVQCKNRIDTLKKKYKAEKVRVSNSGGVLGSQWPFYSRLDDLIGSITPMKMPLLLPPPAFPANGAPSAVAS >DRNTG_33109.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32783590:32787677:1 gene:DRNTG_33109 transcript:DRNTG_33109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESEGRPRPPNPAMPYREDCWSEGATEALIDSWGGRYLELNRGNLRQKDWQEVADSVNSRPGAVHRPRRSDVQCKNRIDTLKKKYKAEKVRVSNSGGVLGSQWPFYSRLDDLIGSITPMKMPLLLPPPAFPANGAPSAVAS >DRNTG_33109.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32783822:32785103:1 gene:DRNTG_33109 transcript:DRNTG_33109.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMESEGRPRPPNPAMPYREDCWSEGATEALIDSWGGRYLELNRGNLRQKDWQEVADSVNSRPGAVHRPRRSDVQCKNRIDTLKKKYKAEKVRVSNSGGVLGSQWPFYSRLDDLIGSITPMKMPLLLPPPAFPANGAPSAVAS >DRNTG_33109.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32783822:32787677:1 gene:DRNTG_33109 transcript:DRNTG_33109.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESEGRPRPPNPAMPYREDCWSEGATEALIDSWGGRYLELNRGNLRQKDWQEVADSVNSRPGAVHRPRRSDVQCKNRIDTLKKKYKAEKVRVSNSGGVLGSQWPFYSRLDDLIGSITPMKMPLLLPPPAFPANGAPSAVAS >DRNTG_22763.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4058745:4060951:-1 gene:DRNTG_22763 transcript:DRNTG_22763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSAGGGGGGATPPPFRPYRLLRTLNAHQRAVSCVKFSNDGRHFASASLDKTLIVWSVQSLSQIAVLSGHSEGISDLAWSSDSHYVCSASDDRTVRVWDVRPPNFECVRVLRGHSDFVFCVNFNLQSNLIVSGSFDRTIRVWDVKTGRCLRSMNAHSLPVTSVHFIRDGSLIVSGSHDGTCKCWDANSGACLKVLIDDKIAVSFAKFSPNGKFILVATLDDTLKLWNYASGKFLKVYTGHVNQAYCITSTFSVTNGKYIVSGSEDNCIYIWDLQGKNLVQKLEGHKDAVISVSCHPTENKIVSAALDRDRSIKIWAQN >DRNTG_03534.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:230897:231211:1 gene:DRNTG_03534 transcript:DRNTG_03534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGLVSDCKLVNSDVPYVENNFGGSRAEFTDIDAVNSCLSEKQYYDYDSNTCAVGKQCGHYTHVMWRSSTSIGYAHMKCNSGAIFIICNYKPAKNIAGHRPY >DRNTG_09608.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:13137274:13137669:1 gene:DRNTG_09608 transcript:DRNTG_09608.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQLRCEGGLKALSSGQKGDPEFYFSVEITAFWRHALGAGVTRPRKAGSGYSIPLDRFAARLYGQIFYSDMIWFRDRERSSEPLNYQDM >DRNTG_13344.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1105177:1107959:1 gene:DRNTG_13344 transcript:DRNTG_13344.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional TENA-E protein [Source:Projected from Arabidopsis thaliana (AT3G16990) UniProtKB/Swiss-Prot;Acc:Q9ASY9] MDGKGTVATWKDKHRRMYDQATRHPFIMSIRDGAVDYSAFKRWLGQDYIFVRQFIPFTASVIIKSCKHSDEESDMQVLLSGISSLNDEISWFKTQAQNWDVHLHSLVPLQANLNYCIWRA >DRNTG_23071.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2380167:2384914:-1 gene:DRNTG_23071 transcript:DRNTG_23071.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVEFRVAVAEEEILEKIESGAQDRSPGRAYANSLLTLIADAMGIPKDPSLLKKELDEFRSEIEDTKLRKDLAEAMQMEQIIAFLSRADAASSFEEKMVKYYSKRNSLGSQPLEPLESFYCPITRDVMEEPVETSSRQTFEKKAIEKWFADGNSVCPLTMVPLDTSVLRPNNSLRKAIEEWKERNTIIVIASIKSKLEWCDEQDVMDCLRKLQELCEEKESNREYMVLENYLPILVGFLSRSNSQIRSRALSILCILAKDSYDNKGKIAEVDNAIESIVRSLGRRSEERKSSVALLLELSKNNMVRGSIGKVQGCILLLVTTSNNDSNQAANDAKELLENLSFLDENVVQMAKANYFKPLLRQLDSGSDDAKKIMVSTLAEMELTDHSKGTLFKDGALQPLLKLISHTDTDLKVASVKALQNLSSLPENALQMIREGAVRPLLDLLHHHISSSPSLQEQVAATIMNIAVSAKELDSNENFVLLESDDDVFWLLSLINFTGSNIQRSILRTFCALCELPTGTTIRAKLRQCSAIQVLISHCERNDLVLRANAVKLLSCLNEDGDDAATSEFMEQSFLKTLLSIIQDSVDEEEKASALSIISNLRSGYPQIHQWLVDAEAIPIIIGCLKDTRPYVSSKNQLLENAVGALCHFTLSTNLDFQKRAAELGVIPLLVQLLGYGTALTKKYAATSLAQFSESSPGLSRRIRKHTGFLCCSGPQETGCPVHMGICSVVSSFCLLEADAVGPLVRLLTEMDPKVCEASLRALSTLIEGERLQSGFKILSDSKAILPMIKLLSLDSPDLQLSVLHILERIFTLEDCRRMHGMAAQMPLVDITQRGTGPIRPLAARVLAHLNVLHDQSSYF >DRNTG_23071.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2380167:2384914:-1 gene:DRNTG_23071 transcript:DRNTG_23071.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERVEFRVAVAEEEILEKIESGAQDRSPGRAYANSLLTLIADAMGIPKDPSLLKKELDEFRSEIEDTKLRKDLAEAMQMEQIIAFLSRADAASSFEEKMVKYYSKRNSLGSQPLEPLESFYCPITRDVMEEPVETSSRQTFEKKAIEKWFADGNSVCPLTMVPLDTSVLRPNNSLRKAIEEWKERNTIIVIASIKSKLEWCDEQDVMDCLRKLQELCEEKESNREYMVLENYLPILVGFLSRSNSQIRSRALSILCILAKDSYDNKGKIAEVDNAIESIVRSLGRRSEERKSSVALLLELSKNNMVRGSIGKVQGCILLLVTTSNNDSNQAANDAKELLENLSFLDENVVQMAKANYFKPLLRQLDSGSDDAKKIMVSTLAEMELTDHSKGTLFKDGALQPLLKLISHTDTDLKVASVKALQNLSSLPENALQMIREGAVRPLLDLLHHHISSSPSLQEQVAATIMNIAVSAKELDSNENFVLLESDDDVFWLLSLINFTGSNIQRSILRTFCALCELPTGTTIRAKLRQVII >DRNTG_23071.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2380167:2384914:-1 gene:DRNTG_23071 transcript:DRNTG_23071.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTLAEMELTDHSKGTLFKDGALQPLLKLISHTDTDLKVASVKALQNLSSLPENALQMIREGAVRPLLDLLHHHISSSPSLQEQVAATIMNIAVSAKELDSNENFVLLESDDDVFWLLSLINFTGSNIQRSILRTFCALCELPTGTTIRAKLRQCSAIQVLISHCERNDLVLRANAVKLLSCLNEDGDDAATSEFMEQSFLKTLLSIIQDSVDEEEKASALSIISNLRSGYPQIHQWLVDAEAIPIIIGCLKDTRPYVSSKNQLLENAVGALCHFTLSTNLDFQKRAAELGVIPLLVQLLGYGTALTKKYAATSLAQFSESSPGLSRRIRKHTGFLCCSGPQETGCPVHMGICSVVSSFCLLEADAVGPLVRLLTEMDPKVCEASLRALSTLIEGERLQSGFKILSDSKAILPMIKLLSLDSPDLQLSVLHILERIFTLEDCRRMHGMAAQMPLVDITQRGTGPIRPLAARVLAHLNVLHDQSSYF >DRNTG_19440.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000998.1:47955:57632:-1 gene:DRNTG_19440 transcript:DRNTG_19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQELTGKNILLIDHISKGEWLDYGQARHSKDTTSLIAATIWFLWKTRCDVIFRRITPNYPQIALNAITHTNEFLFHTINFQFLSFYMQNQPYLGAMGTFSSAVWNEGTDKDVEELQVLKDCEWITVTTFPNALILNIGDQMESEAKRSGESPILQQVPGENFFDSRAIVHGADFLGIGVSLAEIRERLGLDVLRVRVKFITPDGYKMVCPIENEVNFQRMFKLMRMLCNRREHSKVQILVEESRNLNRRTRIDSQVSDVREDIVNVVDVVNVVGFYRPLLTSRRFSFAVDRLLARTSHCSIFLTSEEKLQDNGGKRQWWKATMVENEEELHAKEPVMIVSMD >DRNTG_34263.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10972398:10972765:1 gene:DRNTG_34263 transcript:DRNTG_34263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACTVHARGVARFQPYLKPIHPGFCSGIACHLEEGYWESFRRHRSGEVYPRPDKGSLATSRGLSTRQSTQPSRGFLYGFIAFTFDFFDCT >DRNTG_12055.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15292418:15292991:-1 gene:DRNTG_12055 transcript:DRNTG_12055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTKQNMRNTPSKNEGRRSLQRCHKRGGFPCLQPPLHKS >DRNTG_08958.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000392.1:8247:11215:-1 gene:DRNTG_08958 transcript:DRNTG_08958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPNPFMAAHAALLILFILLLLLHSPATFAGEEQQDEAKALLQFKNSLTVSSTVLSSWISNTSPCKTQPTWSGVFCINGLVAALRLDNMNLTGKLNPSPLLNLRGLRAISLISNSLSGPLPDSFSSLTSLRALYLQGNQFSGPIPPDFFSKMTRLKKLWLSKNQFTGAIPGSISYAKNLIELRLEGNKLTGQIPDLSSLKLLKGLNLSENNLEGEIPASLSHFSESSFVGNPGLCGPQLKNQPCKPNSSATTNSSVEFIDAKQQSQPEEKKSNVGIFVLMVILACAVGFACMSIIARSRTKSDSFETLGHEQMFSSKRSSSATMATTALAAAQSLPMRTTERSAGEGAVAQKRQENGNGNGNGNNNGTGRGTGKGNGGELVMVNEGKGIIRLGDLMKAAAEVLGNGGLGSAYKAVMGNGVAVVVKRMRDMNRVGREGFEAEMRRFGRMNHGNVLPPLAYHYRKDEKLVVSEFVPKGSLLYLLHGDRGQDHAALNWPRRLNIILGIVRGLAYIHAELVTTDIPHGNLKSGNVLINSNFDPSHS >DRNTG_20642.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20453489:20454907:1 gene:DRNTG_20642 transcript:DRNTG_20642.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair (Rad51) family protein [Source:Projected from Arabidopsis thaliana (AT2G28560) TAIR;Acc:AT2G28560] MVFKDALSLPESDLMALLDLGRDQVLSAIARISEITCPPYQTVLSLMEDRRSSGCHGGRLRTLLKGLDEALGGGIPPGLLTELVGPSGIGKTQFCLKLSLIAALPTCYGGLNGRVIYIDTESKFSSRRIIEIGENSFPQIFQTEGMAQEVF >DRNTG_20642.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20453276:20457393:1 gene:DRNTG_20642 transcript:DRNTG_20642.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair (Rad51) family protein [Source:Projected from Arabidopsis thaliana (AT2G28560) TAIR;Acc:AT2G28560] MANKLISQMGLPLPIANVFAARSIITAKDALSLPESDLMALLDLGRDQVLSAIARISEITCPPYQTVLSLMEDRRSSGCHGGRLRTLLKGLDEALGGGIPPGLLTELVGPSGIGKTQFCLKLSLIAALPTCYGGLNGRVIYIDTESKFSSRRIIEIGENSFPQIFQTEGMAQEMAGRIVVLRPASLSEFTQSLEKIKLSLIQREVNLLIIDSMAGLISVNPRENESCTRGSRQQPLGWTLSFLKSVAEFSRIPIVVTNQVRGQSTEAFHYPFEGLGGDDNVTSERLESHLVAALGIQWAHAVTIRLVLEAHSGQRYIKVAKSPFSPPLAFPFIVDSSGIVPLSDDGIELTGQEISTIRFQVQQI >DRNTG_20642.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20453276:20457002:1 gene:DRNTG_20642 transcript:DRNTG_20642.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair (Rad51) family protein [Source:Projected from Arabidopsis thaliana (AT2G28560) TAIR;Acc:AT2G28560] MANKLISQMGLPLPIANVFAARSIITAKDALSLPESDLMALLDLGRDQVLSAIARISEITCPPYQTVLSLMEDRRSSGCHGGRLRTLLKGLDEALGGGIPPGLLTELVGPSGIGKTQFCLKLSLIAALPTCYGGLNGRVIYIDTESKFSSRRIIEIGENSFPQIFQTEGMAQEMAGRIVVLRPASLSEFTQSLEKIKLSLIQREVNLLIIDSMAGLISVNPRENESCTRGSRQQPLGWTLSFLKSVAEFSRIPIVVTNQVRGQSTEAFHYPFEGLGGDDNVTSERLESHLVAALGIQWAHAVTIRLVLEAHSGQRYIKVAKSPFSPPLAFPFIVDSSGIVPLSDDGIELTGQEISTIRFQVQQI >DRNTG_22830.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7737689:7740559:1 gene:DRNTG_22830 transcript:DRNTG_22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMNKKEIWRLVFVLFLGQLVSFSLAVTSFIASYIAYLGIDTPLTQSFCTYLALSLVYGSIFLCRRSKLVVPWYWYLALGFVDVQGNYLVVKAYQFSSITSVTLLDCWTIPWVMILTWFAIKTRYSLWQFVGAAICVIGLALVLLSDSKSSSGDGNKPLLGDALVIAGTFFYAMSNVGEEFCVKRKNLFEVLTMLGVFGALVSVCEISIVERKTLESIQWSPSVIALYVGYGAAGLSFYTLLPFILKMSGSALFNLSLLTSDLWAVVIRICIYHEQVSWLYYLAYSVVAIGLIIYSFNDTGSAAETTIDDEETANLQEPLSPENAVTYVS >DRNTG_22770.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4002295:4003773:1 gene:DRNTG_22770 transcript:DRNTG_22770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSGAGRRKKEPVRSTREEKNLISDTMIEKDRKKCM >DRNTG_22770.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4002295:4019104:1 gene:DRNTG_22770 transcript:DRNTG_22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNENNEKGIRRLPVMRRIRPKEKERVY >DRNTG_14855.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22956465:22960684:-1 gene:DRNTG_14855 transcript:DRNTG_14855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPDLNQPLLLQNKSYVHLQASAFLYCLHNRNQYQDPRKHTTVEHPTRHTPVATAEGNHPHRLMANPEAA >DRNTG_03274.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30614784:30617793:1 gene:DRNTG_03274 transcript:DRNTG_03274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEASHHRGDERKAEMGEKLRLMVYTMDQWHQGIDGSNREKRESARLMAATERKGKCSIDGSNGEKREGARSMAATNRWQQRREKGRVLRGIGKGFARGSLTPEHRALFGRRLVGELERHRSGEESKLGRKATPHDLFLHIHTKKLDGETFVDLKSKTINDKMLTLKQHAISTESGSTNSGPTPVDELTLYIKVVGGEKKSSIYGLGSQAYSYYRFFNSNINNSTATSIMQNNEDLQNELASIRN >DRNTG_10543.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3338134:3338607:1 gene:DRNTG_10543 transcript:DRNTG_10543.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFTLLQRLKSSQLSPLLHRFFTAIPAQSIASSTQALSSTLSHFSHFSSLPIPLRNPLSLRSFSHGSVNLVLSQGKPKFEVIEPELPKKEKWRTKKRLKMQRKREKQKRRGANKTDPRRIRPKGIKKKQRFPTAESRLKYKIEK >DRNTG_10543.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3338134:3339831:1 gene:DRNTG_10543 transcript:DRNTG_10543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKRSNYVPVGRRGVFGGVILNMHLHWKKHETVKVICKPCKPGQVREYANEIARLSGGIPIQVIGDDTIIFYRGKNYVQPEVMSPIDTLSK >DRNTG_07588.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22187652:22189347:-1 gene:DRNTG_07588 transcript:DRNTG_07588.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEHICIDNLVEHLRGDMDFPSPGAFYGVFDGHGGTDAASFVRKNILKFILEDKDFPTSIEKAMQSAYVKADHAFADHPHLDCSSGTTALTVLIFDRKMLIANAGDCRAVLGKRGRAIELSKDHKPNCMSEKLRIEKLGGTVTDEYLNGQLSVARAIGDWHIKGSKGSVCPLSAEPELQELTLTEEDEFLIIGCDGLWDVMSSQCAVTLARKELMFHNDPARCSRELVREAMRRNTCDNLTVVVVCFSLDPPIRIQVSRSRVRKSLSMEGLHVLKGALDCDF >DRNTG_07588.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22187652:22189347:-1 gene:DRNTG_07588 transcript:DRNTG_07588.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAFVILDNGFRTKDNNVSSINREEPGKPEGLKKTSAIGRPPRHLPDLRHCRSSNQLPTLSDFDSGFRSPGLISPSDARKNFLPVYRSGSCSEIGPKQHMEDEHICIDNLVEHLRGDMDFPSPGAFYGVFDGHGGTDAASFVRKNILKFILEDKDFPTSIEKAMQSAYVKADHAFADHPHLDCSSGTTALTVLIFDRKMLIANAGDCRAVLGKRGRAIELSKDHKPNCMSEKLRIEKLGGTVTDEYLNGQLSVARAIGDWHIKGSKGSVCPLSAEPELQELTLTEEDEFLIIGCDGLWDVMSSQCAVTLARKELMFHNDPARCSRELVREAMRRNTCDNLTVVVVCFSLDPPIRIQVSRSRVRKSLSMEGLHVLKGALDCDF >DRNTG_07588.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22187652:22189661:-1 gene:DRNTG_07588 transcript:DRNTG_07588.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAFVILDNGFRTKDNNVSSINREEPGKPEGLKKTSAIGRPPRHLPDLRHCRSSNQLPTLSDFDSGFRSPGLISPSDARKNFLPVYRSGSCSEIGPKQHMEDEHICIDNLVEHLRGDMDFPSPGAFYGVFDGHGGTDAASFVRKNILKFILEDKDFPTSIEKAMQSAYVKADHAFADHPHLDCSSGTTALTVLIFDRKMLIANAGDCRAVLGKRGRAIELSKDHKPNCMSEKLRIEKLGGTVTDEYLNGQLSVARAIGDWHIKGSKGSVCPLSAEPELQELTLTEEDEFLIIGCDGLWDVMSSQCAVTLARKELMFHNDPARCSRELVREAMRRNTCDNLTVVVVCFSLDPPIRIQVSRSRVRKSLSMEGLHVLKGALDCDF >DRNTG_15092.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000752.1:5211:6691:-1 gene:DRNTG_15092 transcript:DRNTG_15092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETRLRSLDIVPPPAAPHSILAASQQILLPTTAPLLSPVPPLLSSPGLVLPFVLFHSLRDWDLVHHSDCLFGVTTVILRVTFVLSVASSSGLCK >DRNTG_17927.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:234132:235605:-1 gene:DRNTG_17927 transcript:DRNTG_17927.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTPISILLLLLLLFQALTPSISLNISIGTGPGISIGIGTGTPSPSPSPPNSCSCNEPQPSDFPNLKQYYAYLVIQRFKQTITSDPLNITSTWTGFLPCTYKGFYCASPPYSPQTPTIFSIDFNNFHLSAPTLSGFIDNLPDLSLFHANSNFFSGNLPNLTSLPYFYEFDISNNKFSGPFPSTSLLPLSQLTFLDLRYNQFSGTVPSFLFSKDLDVLFLNNNLFGQTLPQNLGNSPVAYLTLANNGFTGTIPASLCNAADTLAEVLFLHNKLSGCLPYQIGLLRIATVFDAGDNLLTGPIPWSFACLQSIEQLNLANNYLYGRVPDVVCRLAKFGHLANFSLSGNYFTSLGISCWPLIKSGELDVRRNCIPRLAGATDGGGVCMVFYEA >DRNTG_17927.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:233895:235856:-1 gene:DRNTG_17927 transcript:DRNTG_17927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPISILLLLLLLFQALTPSISLNISIGTGPGISIGIGTGTPSPSPSPPNSCSCNEPQPSDFPNLKQYYAYLVIQRFKQTITSDPLNITSTWTGFLPCTYKGFYCASPPYSPQTPTIFSIDFNNFHLSAPTLSGFIDNLPDLSLFHANSNFFSGNLPNLTSLPYFYEFDISNNKFSGPFPSTSLLPLSQLTFLDLRYNQFSGTVPSFLFSKDLDVLFLNNNLFGQTLPQNLGNSPVAYLTLANNGFTGTIPASLCNAADTLAEVLFLHNKLSGCLPYQIGLLRIATVFDAGDNLLTGPIPWSFACLQSIEQLNLANNYLYGRVPDVVCRLAKFGHLANFSLSGNYFTSLGISCWPLIKSGELDVRRNCIPRLAGATDGGGVCMVFYEA >DRNTG_17927.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:234045:235605:-1 gene:DRNTG_17927 transcript:DRNTG_17927.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTPISILLLLLLLFQALTPSISLNISIGTGPGISIGIGTGTPSPSPSPPNSCSCNEPQPSDFPNLKQYYAYLVIQRFKQTITSDPLNITSTWTGFLPCTYKGFYCASPPYSPQTPTIFSIDFNNFHLSAPTLSGFIDNLPDLSLFHANSNFFSGNLPNLTSLPYFYEFDISNNKFSGPFPSTSLLPLSQLTFLDLRYNQFSGTVPSFLFSKDLDVLFLNNNLFGQTLPQNLGNSPVAYLTLANNGFTGTIPASLCNAADTLAEVLFLHNKLSGCLPYQIGLLRIATVFDAGDNLLTGPIPWSFACLQSIEQLNLANNYLYGRVPDVVCRLAKFGHLANFSLSGNYFTSLGISCWPLIKSGELDVRRNCIPRLAGATDGGGVCMVFYEA >DRNTG_17927.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:233895:235605:-1 gene:DRNTG_17927 transcript:DRNTG_17927.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPISILLLLLLLFQALTPSISLNISIGTGPGISIGIGTGTPSPSPSPPNSCSCNEPQPSDFPNLKQYYAYLVIQRFKQTITSDPLNITSTWTGFLPCTYKGFYCASPPYSPQTPTIFSIDFNNFHLSAPTLSGFIDNLPDLSLFHANSNFFSGNLPNLTSLPYFYEFDISNNKFSGPFPSTSLLPLSQLTFLDLRYNQFSGTVPSFLFSKDLDVLFLNNNLFGQTLPQNLGNSPVAYLTLANNGFTGTIPASLCNAADTLAEVLFLHNKLSGCLPYQIGLLRIATVFDAGDNLLTGPIPWSFACLQSIEQLNLANNYLYGRVPDVVCRLAKFGHLANFSLSGNYFTSLGISCWPLIKSGELDVRRNCIPRLAGATDGGGVCMVFYEA >DRNTG_17927.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:234045:235856:-1 gene:DRNTG_17927 transcript:DRNTG_17927.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPISILLLLLLLFQALTPSISLNISIGTGPGISIGIGTGTPSPSPSPPNSCSCNEPQPSDFPNLKQYYAYLVIQRFKQTITSDPLNITSTWTGFLPCTYKGFYCASPPYSPQTPTIFSIDFNNFHLSAPTLSGFIDNLPDLSLFHANSNFFSGNLPNLTSLPYFYEFDISNNKFSGPFPSTSLLPLSQLTFLDLRYNQFSGTVPSFLFSKDLDVLFLNNNLFGQTLPQNLGNSPVAYLTLANNGFTGTIPASLCNAADTLAEVLFLHNKLSGCLPYQIGLLRIATVFDAGDNLLTGPIPWSFACLQSIEQLNLANNYLYGRVPDVVCRLAKFGHLANFSLSGNYFTSLGISCWPLIKSGELDVRRNCIPRLAGATDGGGVCMVFYEA >DRNTG_12764.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:16661699:16663122:-1 gene:DRNTG_12764 transcript:DRNTG_12764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPRLVEPHECVEFPQDRVDSYSMDLCLSLINFSSNLTSMAPRTKIPAAKHPREHSLESEHMEFSIPEHRTRFKRLSKLKFCQTRFPDSSTVREIQLAFDNADEIEEMLAVDSWRRLLTIHDPVIRMPTLAVMASFEFDRSYSSFDSGNAIQFRVFGHHYRVSKATCLSQPSYRYMQAVLIRSVNGRGDSTNVLSRQELLYLYSLVQRQPVNLSHILAEYLRHQCLSAMICVLFSGPYIARLIIGMGLRHAIRGTEKTIIPTPLGIETIRQMGMLRRYGLEVYVLIVLDQGIAEGVVVVGSQPAPKPQPKPMETNVPPAAQDPPSVRMFSPSRAYDLFERLGSAVGVLQTKITELLERDGASPVIMRPQTPPASPASPLPDPPAPFDLAAAIELISACVI >DRNTG_11852.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:117169:117551:-1 gene:DRNTG_11852 transcript:DRNTG_11852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRILAGGRDGSCTSHRGKSSPSTWLWPAP >DRNTG_30889.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19796846:19804018:-1 gene:DRNTG_30889 transcript:DRNTG_30889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPLLTPRFSPSASARACNAVALLQVVAAHPDTRILFLRAQMPLYLFVFLHITSITKPIEELRLTTFAVIGALLKMPHHHHVCLDMVSDMATKALIMDATGVDDDDVVTILFANGDNTSMHAQHGL >DRNTG_14353.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21675908:21676854:1 gene:DRNTG_14353 transcript:DRNTG_14353.1 gene_biotype:protein_coding transcript_biotype:protein_coding LETDASQKPNQTYKSHFTTSHQLQVQIHKYHEYNNSNLRVQPLQDHN >DRNTG_26147.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29367618:29376188:-1 gene:DRNTG_26147 transcript:DRNTG_26147.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 7, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G27600) UniProtKB/Swiss-Prot;Acc:Q8LKS5] MDFAAQRRLRAVSGHLLGGVDREQSSRLESNATAGEFVSGQGYSVVLPEKLHTGKWNVYRSALSPLKLVDAYPEQPEIRTLHDNFVYAVDTFSDYKYLGTRIRSDGTVGEYKWMTYGEAGTSRSAIGSGLVYHGILKGACVGLYFINRPEWIIVDHSCSAYSYISVPLYDTLGPDAVKYIINHATVQAIFCVPQTLSNLLSFLSQMPSVRLVVVVGGIEENMPTLPSTSGVEIISYSRLHDEGHRNLQPFCPPKPDDIATICYTSGTTGTPKGAILSHENLIANVAGSSLKIKFYPSDIYISYLPLAHIYERTNQIAMVHFGVAIGFYQGDNLKLMDDIAALRPTLFSSVPRLYNRIYSGIMNAVKSSGGLREKLFNAAYNAKMQAILNGKTPSPMWDRLVFNKIREKLGGRVRCLTSGASPLSPDVMDFLRVCFGGLVLEGYGMTETSCVITLMDVGDNLTGHVGSLNPSCEVKLVDVPEMNYTSDDQPYPRGEICVRGPIVFQGYYKDDAQTREVIDVDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFVAQCFVYGDSFNSSLVAIIAVDHDALKSWAASGGIKYDDLQQLCNDQRARAAVLADMDNLGKQAQLRGFEFVKAVTLVLEPFTVENGLLTPTFKVKRPQAKAYFAKAIENMYTELSISDPSPQRPL >DRNTG_09312.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:939238:941319:1 gene:DRNTG_09312 transcript:DRNTG_09312.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHGGGEGSGAALCRRWEDLSHDLLVSIFSRIGVEDLIDGAPYVCKAWRDASKDRSCWHSFDFQDWMTISRRLKCRRDPKVDFADLIRFCVSRANQDSINSIHFPPFAGEEDLLFVAHRCPGLLYFSLEYPRFR >DRNTG_33543.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002016.1:693:1175:-1 gene:DRNTG_33543 transcript:DRNTG_33543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIKCRCSALAPLLLLGTQKVQVGIVVGMQEGPEPRAPRFWSEAHPQHSRASQY >DRNTG_13603.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000625.1:2391:9201:1 gene:DRNTG_13603 transcript:DRNTG_13603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVLWSSVVLSKGPLVKTKPYCSSFTVAITLTHLRRKLKFLRCRHRAGILARLPIGIWAYKRLIRASFGGLLDTTLHHHPLELQGCGRRAMDFERKLLGAKMYALEREMEELDAKRAYQNDSDLDFQEKLLGTKIFALEREMEELDAKRAYQNDTTLDFQEKLLAAKLYALEQQICMDTANTYALEDRFMFGTELRFAQNEKKLDELVIILRSVQTSIQALENQVRQLVRANSKPSSSSPLSNIENSPSETLKAIDFSGEKQVEIMGGIRDIKHFLPLSSTMIFGGHLDLMDFKAH >DRNTG_11485.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3864710:3875198:-1 gene:DRNTG_11485 transcript:DRNTG_11485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVADRSRRQQWQATMEATLVGDGDDSSNHPNYIGRER >DRNTG_32830.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001921.1:30833:32709:1 gene:DRNTG_32830 transcript:DRNTG_32830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEALSAYRALLRAARKSFAGDTMMINGSTAEIRKKFEENRAVSSEAEIKETLGRGP >DRNTG_35354.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18366840:18368781:-1 gene:DRNTG_35354 transcript:DRNTG_35354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSSLRPGRLAFPFALKSAAALSHPSLAFSLHAAASKVSLDLDSFVRTSLADMYVKLGFLDCALKVFDETPDSHRAGNVLLWNVVLNGLCRDGDVERARELFDVMPERSVASWNSLVHGLLKRGDLDGAVELFEGMPERNVVSWTTMVAGLSRNGDNQRAVWMFDRMLENEVRGNEFTVAAALSACARMGALESGLRVHEYALANGFRENGAVGTALVDMYSKCGKIECASEVFDRMERRDVLTWTAMIMGWAIHGHWRKAFQCFEDMKCARVKPDDGVFLAVLMACVHSGKVEKGLELFDSMRFDYQIEPTMKHYTCIVDLFGRAGRLQEALEFMKAMPVEPDFVLWGALFSACRAHKNIEMAELAAENLLRLKPSHSGGYVFLSNMYSSARRWDDAEKVRITMKDQSVGKSPGWSYIEIRGQTHCFYAGDRSHPQSNEIHNKLEGLVLRAKEQGYEPDRECVLHDIEDEDKEDSLECHSEKLALAFGLISTADGEEIRIVKNLKVCGDCHSLMKVASLICGREIVLRDNKRFHHFNSGVCSCG >DRNTG_12324.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4191753:4195489:-1 gene:DRNTG_12324 transcript:DRNTG_12324.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor G, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G62750) UniProtKB/Swiss-Prot;Acc:Q9SI75] MAGETIRVTASCGHRVQPPFLPSSRRFCFLASAQPRGALASGFLGAGLRLRSRSKLTASQEHRRKLSVVAMAGDDSKRAIPLKDYRNIGIMAHIDAGKTTTTERILYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTTFWNNHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADRYGVPRICFVNKMDRLGANFFRTRDMIVTNLGAKPLVLQLPIGSEDSFRGIVDLVRMQAVIWSGEELGAKFVYEDIPADLQELAQEYRTLMIETVVELDDSAMENYLEGVEPDVETLKRLIRKGTIAGNFVPVLCGSAFKNKGVQPLLDAVVDYLPSPIDLPPMKGSDPDNPELTIERLPGDNEPFAGLAFKIMSDPFVGSLTFVRVYSGTLSAGSYVLNSNKGKKERIGRLLEMHANSREDIKSALTGDIIALAGLKDTITGETLCDPEKPIVLERMDFPDPVIKVAIEPKTKADVDKMAVGLIKLAQEDPSFHFSRDEEINQTVIEGMGELHLEIIVDRLKREFKVEANVGAPQVNYRESISRVSEVQYIHKKQSGGAGQFADITVRFEPLEAGSGYEFKSEIKGGVVPKEYIPGVMKGLEECMSNGVLAGYPVVDVRAVLVDGSYHDVDSSVLAFQLAARGAFRDGMRKAAPKLLEPIMKVEVVTPEEHLGDVIGDLNSRRGQINNFGDKPGGLKVVDALVPLAEMFQYVSTLRGMTKGRASYTMQLAKFDVVPQHIQNQLSSKQETVSA >DRNTG_11696.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:379795:381930:-1 gene:DRNTG_11696 transcript:DRNTG_11696.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFRLLIPDHPSTAQSVDCGDPARTFGSAPGNATVSSPTSRKE >DRNTG_11696.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:379795:381930:-1 gene:DRNTG_11696 transcript:DRNTG_11696.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFRLLIPDHPSTAQSVDCGDPARTFGSAPGNATVSSPTSRKE >DRNTG_22190.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1505158:1508339:-1 gene:DRNTG_22190 transcript:DRNTG_22190.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQNKRLKDVEVSFPIVYGTISFWLGKKASEYQSHKWTVYVRGATNEDLSVIIKRAVFQLHPSFNNPTRVVESAPFEVSESGWGEFEIAISLFFHNDVCDKQLDLYHQLKLYPEDDSGPQTTKKPVVVETYDEIVFSEPSEAFFVRVQNHPAAVVPRLPAGLNLPPPGPSEVMNEKKRGDIKDHPLSQWFMNFSEADELLKLAAARQQVQAHIAKLRRQLSMIDAPPQQSNAASGQ >DRNTG_22190.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1505083:1508339:-1 gene:DRNTG_22190 transcript:DRNTG_22190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKKRGDIKDHPLSQWFMNFSEADELLKLAAARQQVQAHIAKLRRQLSMIDAPPQQSNAASGQ >DRNTG_22190.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1505158:1508339:-1 gene:DRNTG_22190 transcript:DRNTG_22190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKKRGDIKDHPLSQWFMNFSEADELLKLAAARQQVQAHIAKLRRQLSMIDAPPQQSNAASGQ >DRNTG_22190.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1505158:1508339:-1 gene:DRNTG_22190 transcript:DRNTG_22190.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQNKRLKDVEVSFPIVYGTISFWLGKKASEYQSHKWTVYVRGATNEDLSVIIKRAVFQLHPSFNNPTRVVESAPFEVSESGWGEFEIAISLFFHNDVCDKQLDL >DRNTG_22190.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1505158:1508339:-1 gene:DRNTG_22190 transcript:DRNTG_22190.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQNKRLKDVEVSFPIVYGTISFWLGKKASEYQSHKWTVYVRGATNEDLSVIIKRAVFQLHPSFNNPTRVVESAPFEVSESGWGEFEIAISLFFHNDVCDKQLDLYHQLKLYPEDDSGPQTTKKPVVVETYDEIVFSEPSEAFFVRVQNHPAAVVPRLPAGLNLPPPGPSEVMNEKKRGDIKDHPLSQWFMNFSEADELLKLAAARQQVQAHIAKLRRQLSMIDAPPQQSNAASGQ >DRNTG_22190.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1505158:1508339:-1 gene:DRNTG_22190 transcript:DRNTG_22190.8 gene_biotype:protein_coding transcript_biotype:protein_coding MMFVTSNWTCKLIANWCQTACCFCFSSSSSLHTIVLVYSLLYRYHQLKLYPEDDSGPQTTKKPVVVETYDEIVFSEPSEAFFVRVQNHPAAVVPRLPAGLNLPPPGPSEVMNEKKRGDIKDHPLSQWFMNFSEADELLKLAAARQQVQAHIAKLRRQLSMIDAPPQQSNAASGQ >DRNTG_22190.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1505158:1508506:-1 gene:DRNTG_22190 transcript:DRNTG_22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKKRGDIKDHPLSQWFMNFSEADELLKLAAARQQVQAHIAKLRRQLSMIDAPPQQSNAASGQ >DRNTG_22190.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1505158:1508339:-1 gene:DRNTG_22190 transcript:DRNTG_22190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKKRGDIKDHPLSQWFMNFSEADELLKLAAARQQVQAHIAKLRRQLSMIDAPPQQSNAASGQ >DRNTG_32381.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28055955:28056415:-1 gene:DRNTG_32381 transcript:DRNTG_32381.2 gene_biotype:protein_coding transcript_biotype:protein_coding NRVRMKSSCQGKEDMQSFGSTDQQMMALIQFQ >DRNTG_32381.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28055955:28076994:-1 gene:DRNTG_32381 transcript:DRNTG_32381.1 gene_biotype:protein_coding transcript_biotype:protein_coding IIVLENGKVVEQGPHEILLSRAGRYAELWNQQNNNDAINTVSVV >DRNTG_02543.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:11999791:12003596:1 gene:DRNTG_02543 transcript:DRNTG_02543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLEKALTMFVQSSDTRFQSVEATLHNHTDSLHNLENHVGQIAKSLSERPHGSLPSNTETNPREHVKAIILRSGREVEGKFLSEKPNEHAPEEDNEEVMMLGSIEEVPSTPGILKKVFRKLKRARRRHRKCTKAVGDVPEPNKLGESLLVGSECVNMFRNFLQRLQNFLRYSGKTQGRVELTHARCFVLRAHLEKAQGRVLAPVNGHVILARSWVISARACEFLQRLADFPESTHGHGLAPMGDLVKIARAWQPQGRMVAMWGHGHFSQARVFAFRGSECFPKSTHEHAPAHVSCIRALVHCFPTSYRLIWKDFCLVSVAFQSFLISTRQGDEFTGEIEDLVSVGGWRQLLSIREPAIWELSLEVLSTFGFNRSYARFDNLDVVQFKALGRHYSVSITHFLVLLGLYEEAFTDTEEYSQLTTDYPGALTPQRAYRALCGQGQCELGRAPIHLGHIVAEYIRHRGRCQIGSDLLGSIYYEIRSRYGSLWHDSRGQEDEYTYAPVPGDDEIDGHGVRGLSDGVYALVLPVPEIAEEEGDDAEASQPILEPQSAPMETETPPVTENPPPVCMFSPSQA >DRNTG_20452.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4589773:4592250:1 gene:DRNTG_20452 transcript:DRNTG_20452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALDSVVDPLREFAKDSVRLVKRCHKPDRKEFTKVAVRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >DRNTG_01953.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32574543:32576003:1 gene:DRNTG_01953 transcript:DRNTG_01953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQGNENSAKLFKAVNKNKKKVDA >DRNTG_33738.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32333629:32335568:1 gene:DRNTG_33738 transcript:DRNTG_33738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASLCLSSSIVLLHHYLIIIIIFSLLQSSQLRSAMADCNCFCSIINFGDSSADNGNLLYYLHYQNHVGYPPNGETFFGHPTGRFSDGRIISDFLAEWMGLQFLPAYLRGPDGHDFEKGVNFAFGGATAVNTTFFTDHGLPLPVRNISLYDQIGWFKDMLHDHCSSHSDCEDMLNRTLFIVGQIGGNDFYNPLLQGTAVHQIKTIIFPAIIQSVISAIKTLIELGARTIVVPNLHPEGCMPALLSTFQSSGQDYYDKRTGCIKWLNELTEFYNQLLQVELQQIQHLFHNITIFFADFYGLMYEILESPQRYGFGSQPLLACCGGNGPYNIEYGLDCGDKGSKTFGDPSSFVFWDSHHPTEAVNKIFATLLFNALCGLPLSTSSWEE >DRNTG_33738.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32334767:32335568:1 gene:DRNTG_33738 transcript:DRNTG_33738.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLSTFQSSGQDYYDKRTGCIKWLNELTEFYNQLLQVELQQIQHLFHNITIFFADFYGLMYEILESPQRYGFGSQPLLACCGGNGPYNIEYGLDCGDKGSKTFGDPSSFVFWDSHHPTEAVNKIFATLLFNALCGLPLSTSSWEE >DRNTG_33738.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32334508:32335568:1 gene:DRNTG_33738 transcript:DRNTG_33738.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRTLFIVGQIGGNDFYNPLLQGTAVHQIKTIIFPAIIQSVISAIKTLIELGARTIVVPNLHPEGCMPALLSTFQSSGQDYYDKRTGCIKWLNELTEFYNQLLQVELQQIQHLFHNITIFFADFYGLMYEILESPQRYGFGSQPLLACCGGNGPYNIEYGLDCGDKGSKTFGDPSSFVFWDSHHPTEAVNKIFATLLFNALCGLPLSTSSWEE >DRNTG_34855.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22020672:22023960:1 gene:DRNTG_34855 transcript:DRNTG_34855.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 6.2 [Source:Projected from Arabidopsis thaliana (AT2G26690) UniProtKB/Swiss-Prot;Acc:Q9SZY4] MDLKKSWTVGDSLDYKGFPAEKSKTGGWIPAALILVIEINERLSTMGIAVNLVTYLGGTMHLSSSESANIVTDFMGTSFLLCLLGGFLADSFLGRYLTIGIFAVIQAMGTGLLALSTRLPQLQPPPCGMSNKCQKASGMQMGALYLSLYLIAFGTGGLKSSVSGFGTDQFDETDEKEKSQMAYFFNRFFFLITTGTLLGVTVMVYIQDEVGRSWAYGICCMSMIIAILMFLSGTKKYRYKKCSDSPVVHILQVISAAVRKRNLKCPSSNALLYEDSSETMTSRIPRTDQFRFLDRAAIMTEDDTEVNVLNGTSTLNPWKLNSVTKIEEVKMVIRLLPVWATTILFWTIYAQMITFSVEQASTMERTIGGFQIPAGSLTVFFVGAILITLAFNDRIIMPLCKKYWNGRPAGFTNLERIGIGLVLSVIGMAVAALAEMKRLAVARQAGEAVAQRGATLPISVFLLIPQFLLVGAGEAFIYTGQLDFFITRSPKGMKTMSTGLFLTTLSLGFFFSSLLVSIVNRITGGGGGGGGANGQGWLADNINYGRLDCFYGLLSALSALNCAAYLLCAAWSKPRITNINNDMQQQQQQQQQQIETMTKTSMDNINDNC >DRNTG_24338.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:355932:359160:-1 gene:DRNTG_24338 transcript:DRNTG_24338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSVVNLAPYLAIRDQGGCRREEEKEQMAALCAAVSRSLRETGALLVKDPRCSAEDNDRFIDMMERYFECPDSFKRLQERPHLHYQVGVTPEGVEVPRSLVDEDMKEKLSKMPEVFQPSTPEGPDPKWRYMWRVGPRPSNTRFQELNSEPVIPEGFPDWQETMDLWGLKMISAIEVVAEMAATGFGLPKDAFTSLMKQGPHLLAPTGSDLKRHGVEGKVFAGYHYDLNFLTIHGRSRFPGLNIWLRNGQKGPS >DRNTG_30456.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:331680:333158:-1 gene:DRNTG_30456 transcript:DRNTG_30456.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEEILDGGDRMQIDGRDSELQRSSKVPQGFNVDYLKVYYGKLFPYGDIFKWMSYGNAGKHPACDPSYFGRREFSFSLGNDTYCRYQSFDSVTEFENSIKKNCPFKIDIGPVYRVNPAKRFAYAQSGSDGFTPVERELVFDVVSTGFGSWFIHFIT >DRNTG_30456.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:331076:333489:-1 gene:DRNTG_30456 transcript:DRNTG_30456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPKSLRRSLLSSLLFRLDIMSNEEILDGGDRMQIDGRDSELQRSSKVPQGFNVDYLKVYYGKLFPYGDIFKWMSYGNAGKHPACDPSYFGRREFSFSLGNDTYCRYQSFDSVTEFENSIKKNCPFKIDIGPVYRVNPAKRFAYAQSGSDGFTPVERELVFDVDISDYDDVRHCCSGADVCTNCWPLMTIAIKVIDTTLRDDFGFNHILWVFSGRRGVHCWVCDGRARRLNNDERASIAKYFHVYKVTFSL >DRNTG_30456.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:331241:333158:-1 gene:DRNTG_30456 transcript:DRNTG_30456.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEEILDGGDRMQIDGRDSELQRSSKVPQGFNVDYLKVYYGKLFPYGDIFKWMSYGNAGKHPACDPSYFGRREFSFSLGNDTYCRYQSFDSVTEFENSIKKNCPFKIDIGPVYRVNPAKRFAYAQSGSDGFTPVERELVFDVDISDYDDVRHCCSGADVCTNCWPLMTIAIKVIDTTLRDDFGFNHILWVFSGRRGVHCWVCDGRARR >DRNTG_30456.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:331076:333158:-1 gene:DRNTG_30456 transcript:DRNTG_30456.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEEILDGGDRMQIDGRDSELQRSSKVPQGFNVDYLKVYYGKLFPYGDIFKWMSYGNAGKHPACDPSYFGRREFSFSLGNDTYCRYQSFDSVTEFENSIKKNCPFKIDIGPVYRVNPAKRFAYAQSGSDGFTPVERELVFDVDISDYDDVRHCCSGADVCTNCWPLMTIAIKVIDTTLRDDFGFNHILWVFSGRRGVHCWVCDGRARRLNNDERASIAKYFHVYKVTFSL >DRNTG_20235.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3930783:3935958:1 gene:DRNTG_20235 transcript:DRNTG_20235.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAMGDGMDVEIGSSSESMVEKEEEVVVEVRGSSSVAHGDGWELLGLARQLASQGGSGHKISWWRTSCYADAGPCSGNSTRTNSDQMPLLMSSPPCLLNVS >DRNTG_32373.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19168649:19169372:1 gene:DRNTG_32373 transcript:DRNTG_32373.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLNRKPTYGELFNRTHKREKGQGDFVDHKSKNVCESYTSSMSQKYGPDEANHPEFDPEVWCDAIGGQGTTRTHFYGFGITPRGKNFISTSINAGDASYSACSRPNHERE >DRNTG_32373.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19169039:19169372:1 gene:DRNTG_32373 transcript:DRNTG_32373.2 gene_biotype:protein_coding transcript_biotype:protein_coding ESYTSSMSQKYGPDEANHPEFDPEVWCDAIGGQGTTRTHFYGFGITPRGKNFISTSINAGDASYSACSRPNHERE >DRNTG_29684.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3911477:3913273:1 gene:DRNTG_29684 transcript:DRNTG_29684.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 20 [Source:Projected from Arabidopsis thaliana (AT2G35380) UniProtKB/Swiss-Prot;Acc:Q9SLH7] MEKVLLMFPLLILTFFSVPCNCESLYPHYYRESCPLAEEIVRQNVASVLYRDPLMAAALLRLHFHDCFVLGCDASVLLDDTLDIVSEKQATPNKNSLRGFEVIDQIKVALEEVCPLTVSCADILALVARDAVELRGGPGWEVELGRRDSLEASFSGANQFIPAPNFTLEQLIANFNSHGLDIQDLVALSGGHTIGRSRCLSFRSRIYNPQTYEEQKYYDRSYVFRRTLSSICPESGRDNGLAPLDFKTSRRFDNQYYHNILEGKGLLESDGALVSEDSDGDVVRLVWAYALSQPLFFRDFVSSIIKMGSINVLTGDDGEIRRNCRFINL >DRNTG_01879.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21119149:21121078:1 gene:DRNTG_01879 transcript:DRNTG_01879.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGSGAGGAMKEEEEINGEHHTLQTPPAGKFRLNSPLVQVCLIGLVCFCCPGMFNALSGMGGGGQVNHDAANNANTALYTTFAIFGVLGGGIYNVLGPRLTLLSGCSTYVLYAGSFLYYNHYQHQLFAIIAGAILGIGAGLLWAGQGAIMTSYPPATRKGTYISIFWSIFNMGGVIGGLIPFSLNYNRTTAVSVNDGTYIAFMAFMLAGAVLSLAILPPRQVVRDDGSRATNVKYSSVSKESVEILKLFTNWKMLLMVPASWASNFFYSYQFNNVNGLLFNLRTSGLNNVFYWGAQMIGSASIGYVLDFSFKRRRVRGFVGIGLVALLSTGIWAGGLANQLRYKDGNWPDKLDFKTSGSKYAGPFVLYFFYGFLDAIFQTLCYWVIGALANDSETLSRYSGFYKGVQSAGAAVAWQVDTHKVHLVTQLVVNWCLTTVSYPLLVLLVILAVKDEDTAVVAGKDEEKQPVPAAKPSGDASSLTVDPAN >DRNTG_01879.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21119264:21121144:1 gene:DRNTG_01879 transcript:DRNTG_01879.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGSGAGGAMKEEEEINGEHHTLQTPPAGKFRLNSPLVQVCLIGLVCFCCPGMFNALSGMGGGGQVNHDAANNANTALYTTFAIFGVLGGGIYNVLGPRLTLLSGCSTYVLYAGSFLYYNHYQHQLFAIIAGAILGIGAGLLWAGQGAIMTSYPPATRKGTYISIFWSIFNMGGVIGGLIPFSLNYNRTTAVSVNDGTYIAFMAFMLAGAVLSLAILPPRQVVRDDGSRATNVKYSSVSKESVEILKLFTNWKMLLMVPASWASNFFYSYQFNNVNGLLFNLRTSGLNNVFYWGAQMIGSASIGYVLDFSFKRRRVRGFVGIGLVALLSTGIWAGGLANQLRYKDGNWPDKLDFKTSGSKYAGPFVLYFFYGFLDAIFQTLCYWVIGALANDSETLSRYSGFYKGVQSAGAAVAWQVDTHKVHLVTQLVVNWCLTTVSYPLLVLLVILAVKDEDTAVVAGKDEEKQPVPAAKPSGDASSLTVDPAN >DRNTG_01879.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21119264:21121078:1 gene:DRNTG_01879 transcript:DRNTG_01879.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGSGAGGAMKEEEEINGEHHTLQTPPAGKFRLNSPLVQVCLIGLVCFCCPGMFNALSGMGGGGQVNHDAANNANTALYTTFAIFGVLGGGIYNVLGPRLTLLSGCSTYVLYAGSFLYYNHYQHQLFAIIAGAILGIGAGLLWAGQGAIMTSYPPATRKGTYISIFWSIFNMGGVIGGLIPFSLNYNRTTAVSVNDGTYIAFMAFMLAGAVLSLAILPPRQVVRDDGSRATNVKYSSVSKESVEILKLFTNWKMLLMVPASWASNFFYSYQFNNVNGLLFNLRTSGLNNVFYWGAQMIGSASIGYVLDFSFKRRRVRGFVGIGLVALLSTGIWAGGLANQLRYKDGNWPDKLDFKTSGSKYAGPFVLYFFYGFLDAIFQTLCYWVIGALANDSETLSRYSGFYKGVQSAGAAVAWQVDTHKVHLVTQLVVNWCLTTVSYPLLVLLVILAVKDEDTAVVAGKDEEKQPVPAAKPSGDASSLTVDPAN >DRNTG_01879.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21119264:21121248:1 gene:DRNTG_01879 transcript:DRNTG_01879.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGSGAGGAMKEEEEINGEHHTLQTPPAGKFRLNSPLVQVCLIGLVCFCCPGMFNALSGMGGGGQVNHDAANNANTALYTTFAIFGVLGGGIYNVLGPRLTLLSGCSTYVLYAGSFLYYNHYQHQLFAIIAGAILGIGAGLLWAGQGAIMTSYPPATRKGTYISIFWSIFNMGGVIGGLIPFSLNYNRTTAVSVNDGTYIAFMAFMLAGAVLSLAILPPRQVVRDDGSRATNVKYSSVSKESVEILKLFTNWKMLLMVPASWASNFFYSYQFNNVNGLLFNLRTSGLNNVFYWGAQMIGSASIGYVLDFSFKRRRVRGFVGIGLVALLSTGIWAGGLANQLRYKDGNWPDKLDFKTSGSKYAGPFVLYFFYGFLDAIFQTLCYWVIGALANDSETLSRYSGFYKGVQSAGAAVAWQVDTHKVHLVTQLVVNWCLTTVSYPLLVLLVILAVKDEDTAVVAGKDEEKQPVPAAKPSGDASSLTVDPAN >DRNTG_17343.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32102293:32105251:-1 gene:DRNTG_17343 transcript:DRNTG_17343.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A1 PLIP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G61680) UniProtKB/Swiss-Prot;Acc:Q7Y220] MACSSVGITGASASNAASSASNGMRQSFSTNEIRCDISGMRRSRSEPQLRYSLSVSSCTAPAPAPALKSSRSIGIFPFGFSGSTIPNSLRSFLFESEEYRDRLPTVDPEDQEGDPAVGTENRANWVERLMELRRRWRDRQHKEEEEEEEEGSDYNCGVSYDSEAEDEEKIEWDRDSFSNLLNRASWYETKLFSRLAFLSNMAYVIPEIKEEDLRKYYGLRLVTSSLEKKMEAAAIKAKLEMDSIRPLHAQPGIGPRSSSVRPLLRPSVAYEIAASAASYVHTRAKGLLSLGRHRPESYVGGELPEPGGLEGGPHARVCNSEVAAFVAATTMTAVVAAEEEARHEAAKDLRSLHSSPCEWFVCDDPGTCTRYLVIQGSDSLASWQANLFFEPTKFEGTEVLVHRGIYEAAKGIYEQFLPLIQDHIMQHGSAAKVRFSGHSLGGSLSLLVSLMFLSRGAIPRSTILPVVTFGSPAVFCGGQQVLEELDLDEGHVCSVMMHRDIVPRAFSCNYPNQVAQVLKRLNGSFRSHPCLNKQKLLYSPLGQLFILQPDEKSSPPHHLLPPGAALYSVDRNGHSVANAVRAFLNSPHPLETLSDLTAYGSEGTILRDHDSSNYLKAINGLLRQHTKIVARRSKTQKLHQWLPVLSTTTAELPGHSWSIHHEPADLDKQLI >DRNTG_17343.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32102293:32105251:-1 gene:DRNTG_17343 transcript:DRNTG_17343.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A1 PLIP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G61680) UniProtKB/Swiss-Prot;Acc:Q7Y220] MQHGSAAKVRFSGHSLGGSLSLLVSLMFLSRGAIPRSTILPVVTFGSPAVFCGGQQVLEELDLDEGHVCSVMMHRDIVPRAFSCNYPNQVAQVLKRLNGSFRSHPCLNKQKLLYSPLGQLFILQPDEKSSPPHHLLPPGAALYSVDRNGHSVANAVRAFLNSPHPLETLSDLTAYGSEGTILRDHDSSNYLKAINGLLRQHTKIVARRSKTQKLHQWLPVLSTTTAELPGHSWSIHHEPADLDKQLI >DRNTG_32965.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30817809:30820392:-1 gene:DRNTG_32965 transcript:DRNTG_32965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEEVAVDRMVDSKDMQQQSKALDKLTDRVEDRQLNSTRVQEAMAALASSKEADWNAMRMREKELAAVKINPADVDIIANELELDKKVAEQTLREHKGDAVAAIRYLLR >DRNTG_14058.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4993675:4994111:-1 gene:DRNTG_14058 transcript:DRNTG_14058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRVVYGVVFLSVSCACFKLLHLFLHPIFYLTS >DRNTG_21291.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27345597:27351524:-1 gene:DRNTG_21291 transcript:DRNTG_21291.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLSGEGRNSDLDKGFVAFGGGEGDGMRFDAKQYAFFGKGVMEEIELGGLEDDNGVASAALSGLEEDYPFSAIGDREEGEVLESLSDIDDLTSTFAKLNRAVSDPRNVGVIGDRGSISRESSSTADWTRESDLNWLDLQTFDAENAQEGKRWWSQPSPSKMCFQDSKPLCRTSSYPQQQQQQQHSLSEPVLVPKSNFTSYPPPGARLQLSPSQSRQSSLPSLASGLHMPFSSPNLSAFSGTQHPLAGPHPGFNYGGNMAQFGPGSSINSRQQSNWLNQPCPFPGEHSNMLPNLLPQQFPPNGLLHSQLLLQQQQHRLPQLQPPLSHFSQMQHHLIGPHLSQPQIMNKFEATLGINDTRDQRIKPAHRGRPNTRYPPQAADASNLKNDSGWPKFRSKYMSADEIESIQRMQHAATHSNDPYVDDYYHQACLAKKSAGSRLKHHFAPSSVKDLHSRARSNNEQHPYLQVDALGRISFSSIRRPRPLLEVDAQSASGDFIDQKSSVKPLEQEPMLAARITVEDGLCLLLDVDDIDRLLQFSPLQDGGSQLKRKRQVLLEGLAASLQLVDPLGPAKAGHSDGLSPKDDLVFLRLVSLPKGRKLLSRYLQLLFPGSELTRIVCMAIFRHLRFLFGGLPMDSDAAETTINLAKTVSTCVRGMDLSALSACLAAVVCSSEQPPLRPLGSSAGDGASIIIKSVLERATELLSDGHAASNYGMPNRTLWQASFDAFFGLLTKYCLSKYDSIMQSLLMQAPNTAVFGPEATRAISREMPVELLRASLPHTDERQRKQLLDFARRSMPVTGFSSHGGSSGPRTSESVPS >DRNTG_02023.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12983748:12986542:1 gene:DRNTG_02023 transcript:DRNTG_02023.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSCTNERIQSDNRHCTSKLICELVLSTLRANLSLSIAQVQAMVKDMYHVDVGYTKAWKGKNKALKRIFGSWEQSYAELRMYFTALTISNPGTIIDFDSEWGPGWERLKRVFWSFGPSIIGFNSCHPVLSVDGTFLHGKYKGTLLMATGEDAEDHIFPYAFAIDEGENRESWLWFLHNVYKTLDPTRPICIISDRFRGNVNVVRDAFPPQYGHVHRYYLRHLTDNFLQLCKSKSDADLFWRASTVVSAQKFEELKNILTERYPMFVDFSSSIGPREMWTMVHDNGRRCGRHNTNLSESFNSVLKGARGLPVRALVSSTFHCTMMYFFKNCERGLAMNQTLTKKQEARMHDGIEKGRYLSVRRFNDNEFQVTKCVNEDTIDYKVVLNGIHSTCTCRFMVARRFPCIHVLKVCNATNANINPYVLCPPWYIL >DRNTG_02023.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12983748:12986542:1 gene:DRNTG_02023 transcript:DRNTG_02023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSCTNERIQSDNRHCTSKLICELVLSTLRANLSLSIAQVQAMVKDMYHVDVGYTKAWKGKNKALKRIFGSWEQSYAELRMYFTALTISNPGTIIDFDSEWGPGWERLKRVFWSFGPSIIGFNSCHPVLSVDGTFLHGKYKGTLLMATGEDAEDHIFPYAFAIDEGENRESWLWFLHNVYKTLDPTRPICIISDRFRGNVNVVRDAFPPQYGHVHRYYLRHLTDNFLQLCKSKSDADLFWRASTVVSAQKFEELKNILTERYPMFVDFSSSIGPREMWTMVHDNGRRCGRHNTNLSESFNSVLKGARGLPVRALVSSTFHCTMMYFFKNCERGLAMNQTLTKKQEARMHDGIEKGRYLSVRRFNDNEFQVTKCVNEDTIDYKVVLNGIHSTCTCRFMVARRFPCIHVLKVCNATNANINPYVLCPPWYIL >DRNTG_02023.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12983748:12986542:1 gene:DRNTG_02023 transcript:DRNTG_02023.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSCTNERIQSDNRHCTSKLICELVLSTLRANLSLSIAQVQAMVKDMYHVDVGYTKAWKGKNKALKRIFGSWEQSYAELRMYFTALTISNPGTIIDFDSEWGPGWERLKRVFWSFGPSIIGFNSCHPVLSVDGTFLHGKYKGTLLMATGEDAEDHIFPYAFAIDEGENRESWLWFLHNVYKTLDPTRPICIISDRFRGNVNVVRDAFPPQYGHVHRYYLRHLTDNFLQLCKSKSDADLFWRASTVVSAQKFEELKNILTERYPMFVDFSSSIGPREMWTMVHDNGRRCGRHNTNLSESFNSVLKGARGLPVRALVSSTFHCTMMYFFKNCERGLAMNQTLTKKQEARMHDGIEKGRYLSVRRFNDNEFQVTKCVNEDTIDYKVVLNGIHSTCTCRFMVARRFPCIHVLKVCNATNANINPYVLCPPWYIL >DRNTG_02023.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12983748:12986542:1 gene:DRNTG_02023 transcript:DRNTG_02023.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSCTNERIQSDNRHCTSKLICELVLSTLRANLSLSIAQVQAMVKDMYHVDVGYTKAWKGKNKALKRIFGSWEQSYAELRMYFTALTISNPGTIIDFDSEWGPGWERLKRVFWSFGPSIIGFNSCHPVLSVDGTFLHGKYKGTLLMATGEDAEDHIFPYAFAIDEGENRESWLWFLHNVYKTLDPTRPICIISDRFRGNVNVVRDAFPPQYGHVHRYYLRHLTDNFLQLCKSKSDADLFWRASTVVSAQKFEELKNILTERYPMFVDFSSSIGPREMWTMVHDNGRRCGRHNTNLSESFNSVLKGARGLPVRALVSSTFHCTMMYFFKNCERGLAMNQTLTKKQEARMHDGIEKGRYLSVRRFNDNEFQVTKCVNEDTIDYKVVLNGIHSTCTCRFMVARRFPCIHVLKVCNATNANINPYVLCPPWYIL >DRNTG_09455.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21286674:21289246:-1 gene:DRNTG_09455 transcript:DRNTG_09455.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESLLTTLSMENNHHPSTLLSMDPCATSAVADEDIDRELMIQPPRQHPHQHHLSRPPDINLPLSVDPSPPPPPLHWSSSGHSPDPCDLLDVGLAPHPLYDPDSILNLPRPSSAAASGAIPRKCARRGDSIWGAWFFFNFYFKPVLSDKSKSKIITRDASTGGASFDKSDLRLDIFLVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRLGEPQFPFSVDRGFVRSHRMQRKQYRGLSNPQCVHGIEVVRTPNLSHVSDVDRKKWAELTGRDLNFSIPPEASDFGSWRNLPNTEFELERPPPSLKNTMSQTHSRKLLNGSGLNLSTQPSNGGDGMDLSPMCSKRRKDFFPHAMEEDCCLPVGSSSPQDMDIHPIEPLWLNEFSGVMRHAYGPVTAAKTIYEDDEGYLIMVSLPFSDQQRVKVSWKNSLTHGIVKISCVSTARMPYIKRHDRTFKLTDPSPEHCPPGEFIREIPLATRIPEDAKLEAYYDETGTVLEIMVPKHSGGPEEHEVHVCMRPPHLGANELLLT >DRNTG_09455.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21286674:21289386:-1 gene:DRNTG_09455 transcript:DRNTG_09455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESLLTTLSMENNHHPSTLLSMDPCATSAVADEDIDRELMIQPPRQHPHQHHLSRPPDINLPLSVDPSPPPPPLHWSSSGHSPDPCDLLDVGLAPHPLYDPDSILNLPRPSSAAASGAIPRKCARRGDSIWGAWFFFNFYFKPVLSDKSKSKIITRDASTGGASFDKSDLRLDIFLVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRLGEPQFPFSVDRGFVRSHRMQRKQYRGLSNPQCVHGIEVVRTPNLSHVSDVDRKKWAELTGRDLNFSIPPEASDFGSWRNLPNTEFELERPPPSLKNTMSQTHSRKLLNGSGLNLSTQPSNGGDGMDLSPMCSKRRKDFFPHAMEEDCCLPVGSSSPQDMDIHPIEPLWLNEFSGVMRHAYGPVTAAKTIYEDDEGYLIMVSLPFSDQQRVKVSWKNSLTHGIVKISCVSTARMPYIKRHDRTFKLTDPSPEHCPPGEFIREIPLATRIPEDAKLEAYYDETGTVLEIMVPKHSGGPEEHEVHVCMRPPHLGANELLLT >DRNTG_01839.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:17343300:17344310:-1 gene:DRNTG_01839 transcript:DRNTG_01839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDLLGPLHNKASHAHGPLGHDSRGREDEYSCSPESGDDEIDGYDPSIRAEIAEARAEIAEVCATQAAQFTEFMARFDALQQILQRDVVAPFFLRLRTSQMPPASPSWDPPVFSNPAPTTEEPECGADT >DRNTG_31548.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22157609:22160164:-1 gene:DRNTG_31548 transcript:DRNTG_31548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKYSFSMSPRKGCVSTPVSTPVKINAGQAHGRGQFPYARVDVLRVNLESTEGMCLPLEVQLADDMEDEVEVLLTIGSWHRLLSICDPAIRMLTLENTPIHLGHILAEYLWHQGQYARIGVLFSGAYITKPIIRMGLLDMIRVAKKTIVPPPMAIETMRLMEMVHMYWPGVYVLVTPALEIVEGGGDTAKGSQPIREPQEEQMETEAPPTKQEPSPFWIFFLT >DRNTG_06180.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25278550:25282929:-1 gene:DRNTG_06180 transcript:DRNTG_06180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FIP37 [Source:Projected from Arabidopsis thaliana (AT3G54170) UniProtKB/TrEMBL;Acc:A0A178V9T4] MSSGTTPEPESVVAYLENLKSSDESSKEQLEKAKKREAAFIVTLAKREQEIADLKSAVRDLRTQLRPPSMQTRRLLLDPAIHEEFTRLKNLVEEKEKKIKELQDNVSAMNFTTSSKLGKLLMAKCRTLQEENEEIGSVASEGKIHELGMKIAMQKSQNAELRSQFEGLYKHMEGLTNDVERSNEMVFILQDKLEAKEYELRKLKEILAQKELAEKENGDTEAQIEVDETIPKAGADTGTLEEV >DRNTG_06180.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25278550:25284884:-1 gene:DRNTG_06180 transcript:DRNTG_06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FIP37 [Source:Projected from Arabidopsis thaliana (AT3G54170) UniProtKB/TrEMBL;Acc:A0A178V9T4] MASSPNLDFGDDFGGDIPGSHSSGRRPGDKRPYAEVEDDEEDELGPRKGKSKIEESGPGAATGMILSLRESLQNCKDSLDACQVELEAARKEIRRWHSAFQNGPAMSSGTTPEPESVVAYLENLKSSDESSKEQLEKAKKREAAFIVTLAKREQEIADLKSAVRDLRTQLRPPSMQTRRLLLDPAIHEEFTRLKNLVEEKEKKIKELQDNVSAMNFTTSSKLGKLLMAKCRTLQEENEEIGSVASEGKIHELGMKIAMQKSQNAELRSQFEGLYKHMEGLTNDVERSNEMVFILQDKLEAKEYELRKLKEILAQKELAEKENGDTEAQIEVDETIPKAGADTGTLEEV >DRNTG_08416.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000378.1:15753:17106:1 gene:DRNTG_08416 transcript:DRNTG_08416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTLPHGHTYYLQQSNITWSRKLSKPSPWPWLGSEPPRYSCP >DRNTG_17723.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4054657:4055037:1 gene:DRNTG_17723 transcript:DRNTG_17723.2 gene_biotype:protein_coding transcript_biotype:protein_coding LAEGESEPYFIFVASILLLFFQKRHWFSCFYENMFARFNSGLCLAHCLSSSSSDLGILVTLLIEVMINFKLCMYI >DRNTG_17723.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4054657:4056932:1 gene:DRNTG_17723 transcript:DRNTG_17723.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAEGESEPYFIFVASILLLFFQKRHWFSCFYENMFARFNSGLCLAHCLSSSSSDLGILVTLLIEVMINFKLCMYI >DRNTG_12027.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:811925:813134:1 gene:DRNTG_12027 transcript:DRNTG_12027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPCCEKMGLKKGPWTSEEDQILVSYINNFGHGNWRALPKLAGLLRCGKSCRLRWTNYLRPDIKRGNFSKEEEDTIISLHQSLGNRWSAIAAQLPGRTDNEIKNVWHTYLKKKIDNNVPGSKPKPKPKKNNKNVKCLETNAAKISAVNLSESPSRSCSTDLSSSSMITEWTDTAIEFPVVDESFWSEVMSVNGDEPSPELLSRSNGDESMDFWLRVFMEAGEFGELPEI >DRNTG_31330.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4090542:4093543:1 gene:DRNTG_31330 transcript:DRNTG_31330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDLKNRTAILVALTLVLGSWCYTEYIRPPPPTPCGTEGGPPVTASRIKLRDGRFLAYKETGVQRDRAEFKIVFCHGFLSSRLFNIGASPELMEELGVYIVSYDRAGHGESDPNPKRSLKSEASDIEELADALNLGPRFYVVGYSLGGHAIWASIKYIPGRLAGAAFLAPVINYWWPGFPKNLSSEAYNKQVLGDQWALGVAHYAPWLLHWWMNQSWLPTSTVIKGTTFLPNQLDARMRDFARTSGIAEERMKLATQQGAQESLYRDMAVMFGKWEFDPMDLLQPSFPVHLWQGDEDGLVPVTLQRYICSRLPWIDYHELSETGHYYFGMPGFADILLKTLLVQTSSF >DRNTG_23507.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:838744:843555:1 gene:DRNTG_23507 transcript:DRNTG_23507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYLLILLGITSSLFSFGASSGEQPLSRIAIHRTTFAVHSSAYVKASPTVLGLKGENKEWVTLNFGHPNPSSDDWIGVFSPANFSASVCAPENERVHPPILCTSPIKFQYANYKDNGYNKTGKGSLKLQLINQREDFSFALFSGGLLNPKLVAVSNTVTFAYPKAPVYPRLAQGKSWNEMTVTWTSGYSIDEAIPFVEWVPQGGAPMHSPAGTLTFSRSSMCGAPARTVGWRDPGYIHTSFLKDLWPNMKYAYRLGHKLNNGSYVWSHLYSFRASPFPGQESLQRVIIFGDMGKAEADGSNEYNDFQPASLNTTNQLIKDLENIDIVLHIGDICYANGYISQWDQFTAQIEPIASTVPYMVGSGNHERDWPGTGSFYGNMDSGGECGVIAETMFFVPADNRAKFWYKTDYGMFRFCIADTEHDWRPGTEQYKFIEHCLASVDRRKQPWLIFLAHRVLGYSSDYSYAVEGSFEEPMGRESLQKLWQKYKVDIAFYGHAHNYERTCPVYQNTCVRNASNLYTGPFAATTHVVVGGAGASLSGFTTLRTHWSYFQDFDHGFAKLTAFNHSTLLFEYKKSSDGKVYDHFIIARDYRDILACAFDSCERTTLAT >DRNTG_23507.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:842519:843555:1 gene:DRNTG_23507 transcript:DRNTG_23507.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGECGVIAETMFFVPADNRAKFWYKTDYGMFRFCIADTEHDWRPGTEQYKFIEHCLASVDRRKQPWLIFLAHRVLGYSSDYSYAVEGSFEEPMGRESLQKLWQKYKVDIAFYGHAHNYERTCPVYQNTCVRNASNLYTGPFAATTHVVVGGAGASLSGFTTLRTHWSYFQDFDHGFAKLTAFNHSTLLFEYKKSSDGKVYDHFIIARDYRDILACAFDSCERTTLAT >DRNTG_23507.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:840132:843555:1 gene:DRNTG_23507 transcript:DRNTG_23507.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTWTSGYSIDEAIPFVEWVPQGGAPMHSPAGTLTFSRSSMCGAPARTVGWRDPGYIHTSFLKDLWPNMKYAYRLGHKLNNGSYVWSHLYSFRASPFPGQESLQRVIIFGDMGKAEADGSNEYNDFQPASLNTTNQLIKDLENIDIVLHIGDICYANGYISQWDQFTAQIEPIASTVPYMVGSGNHERDWPGTGSFYGNMDSGGECGVIAETMFFVPADNRAKFWYKTDYGMFRFCIADTEHDWRPGTEQYKFIEHCLASVDRRKQPWLIFLAHRVLGYSSDYSYAVEGSFEEPMGRESLQKLWQKYKVDIAFYGHAHNYERTCPVYQNTCVRNASNLYTGPFAATTHVVVGGAGASLSGFTTLRTHWSYFQDFDHGFAKLTAFNHSTLLFEYKKSSDGKVYDHFIIARDYRDILACAFDSCERTTLAT >DRNTG_23507.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:840132:843555:1 gene:DRNTG_23507 transcript:DRNTG_23507.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTWTSGYSIDEAIPFVEWVPQGGAPMHSPAGTLTFSRSSMCGAPARTVGWRDPGYIHTSFLKDLWPNMKYAYRLGHKLNNGSYVWSHLYSFRASPFPGQESLQRVIIFGDMGKAEADGSNEYNDFQPASLNTTNQLIKDLENIDIVLHIGDICYANGYISQWDQFTAQIEPIASTVPYMVGSGNHERDWPGTGSFYGNMDSGGECGVIAETMFFVPADNRAKFWYKTDYGMFRFCIADTEHDWRPGTEQYKFIEHCLASVDRRKQPWLIFLAHRVLGYSSDYSYAVEGSFEEPMGRESLQKLWQKYKVDIAFYGHAHNYERTCPVYQNTCVRNASNLYTGPFAATTHVVVGGAGASLSGFTTLRTHWSYFQDFDHGFAKLTAFNHSTLLFEYKKSSDGKVYDHFIIARDYRDILACAFDSCERTTLAT >DRNTG_10413.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6671836:6674134:-1 gene:DRNTG_10413 transcript:DRNTG_10413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPLKGSQKLDQHLERDVSLRKTA >DRNTG_01139.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000070.1:8102:10082:-1 gene:DRNTG_01139 transcript:DRNTG_01139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEEEDNTTDLGPPVIKEGNLDEEVVKGGISSTLVVQSERIKVLVSFKTGTVSHNRACLPEKLNLKTVSSKQEQYEMEDLLMTMHRLPIFVERKGLQLTLVLLSNTYKQRWRKYPNFTWSQPSQGQGAQQLCKPPLQAQQPSSSSSIEPSNEPVGLLT >DRNTG_15049.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5638265:5639475:-1 gene:DRNTG_15049 transcript:DRNTG_15049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDLLQNEAVVVIKFSTSQMATQSERLGYEFAKWLGVHTPQVEAIEVHLLPIMTLKIISVLLAFR >DRNTG_07793.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1223120:1226829:-1 gene:DRNTG_07793 transcript:DRNTG_07793.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTARGDSLFTQSWTPVVKATRGLVVLMHGLNEHSGRYNHFATQLNDHGFKVYAMDWIGHGGSDGLHGYVPSLDYAVSDLKAFLEKVLTNNPGLPCFCFGHSTGGAIVLKAVLDPNIESRIQGVVLTSPAIHVQPSHPIIVKLAPIISLIAPKYQFTAASMGPPVSRDPEALKAKYSDPLVFTGSIRVRTGYEILRITSYLQQNLSKVKTPFLVLHGNDDTITAPEASRRLYEEASSSDKSIKLYEGLLHDLLFEPEKEEIAKDIIDWLCSRLDDSSRELER >DRNTG_07793.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1223120:1226829:-1 gene:DRNTG_07793 transcript:DRNTG_07793.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPVSRDPEALKAKYSDPLVFTGSIRVRTGYEILRITSYLQQNLSKVKTPFLVLHGNDDTITAPEASRRLYEEASSSDKSIKLYEGLLHDLLFEPEKEEIAKDIIDWLCSRLDDSSRELER >DRNTG_07793.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1223120:1226829:-1 gene:DRNTG_07793 transcript:DRNTG_07793.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPVSRDPEALKAKYSDPLVFTGSIRVRTGYEILRITSYLQQNLSKVKTPFLVLHGNDDTITAPEASRRLYEEASSSDKSIKLYEGLLHDLLFEPEKEEIAKDIIDWLCSRLDDSSRELER >DRNTG_34582.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002166.1:15186:16704:-1 gene:DRNTG_34582 transcript:DRNTG_34582.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAVTVAASVSAAVASSADERRSVKVVGFLPSLPLKLRRSLLSPLHLCEMEEGGSLSHPSPTAGPADRSAASNGTGPHWVQGLN >DRNTG_34582.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002166.1:14266:16704:-1 gene:DRNTG_34582 transcript:DRNTG_34582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAVTVAASVSAAVASSADERRSVKVVGFLPSLPLKLRRSLLSPLHLCEMEEGGSLSHPSPTAGPADRSAASNGTGPHWVQGLN >DRNTG_23839.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29420860:29423957:1 gene:DRNTG_23839 transcript:DRNTG_23839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYDKALVLLGSMGALSLMTILSVIIGRVFQSVPAQFQT >DRNTG_05318.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1971821:1978300:-1 gene:DRNTG_05318 transcript:DRNTG_05318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIEDIVIVGAGLAGLATALGLHRKGVKSVVLESSDVLRAAGFAFSTWANAWRALDALGVGDELRHHHLHLEGLTVYSESNGEVGTKIPFTANEGLEIRCLQRDLLLKTLAKELPSDTIRYSSKVVSIEESDNVKILQLVDGSTLRTKVLIGCDGVNSVVSKWLGLKKASFSGRSGIRGFAEFPDGHGFDANFFQFFGEGFKAGILPCDDKMVYWFFTWSPSFKDKKMEDGVEIQQLVINKFKEAKVPQNFIKVIEKSDINGLLCSPLRLRWPLELLYGNICKSNVTVTGDAFHPMTPDLGQGGCSALEDGVVLARCLSEALKGGNNGDAKEEHVRVRLGLEKYVKERKWRGFDLITTSYVLGILQQSDNTFIRFLREKVLAGILGRAMVKRSYYDCGKL >DRNTG_04869.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7052381:7054277:1 gene:DRNTG_04869 transcript:DRNTG_04869.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALICFLDEELKDIRGLNIEANFVEVTCGCTSRRLGDTIGKLRIFKSGHLEIRCDCTPGCNDGWLDLHFLHSMLNFILSLVFDNSSKHRKKEPFHR >DRNTG_22598.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17589422:17591083:1 gene:DRNTG_22598 transcript:DRNTG_22598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAESSFSSLLSDIQMAIEAATKESKLREQQDQSCVMRVPWRNTKCMNDYEPQMVSLGPYHHGKRNLQAFEDYKWEALVHFIRRSEIQADEFVKVVRQDAALLMKCYDKLEKKWSSSSQDFVKLMLTDGCFILEIVRLAFEYNKEGYPPDQEPFLGPDINWLHKIILIREDMLLLENQLPFRLLYSLLTVLHKSSPNKSNLERDAKRVLRFIHWDNPLKMVGVDDLKTALHPTGLPLFQHESQVSRRIAAARRLRITDYKNGT >DRNTG_08977.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10467800:10470617:1 gene:DRNTG_08977 transcript:DRNTG_08977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHKVAHRGEFTPMCRIWMSSKISFLAIYELINPKQRCLFNYRRVCFFFHFNLKAICLHQAFLHHSLFTNTQSIEAIPTLKERFFLHPLTLYNTIPILSYFFIVIHYHIISIRNLNCLLKYLLFLRECVKYPSYLMLKVFKGGFMTSLRISADEGSPITIFEVNHCVKVGEPLQKPCQNPSKP >DRNTG_31118.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:81444:84302:1 gene:DRNTG_31118 transcript:DRNTG_31118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAVNVIEASDLMPKDKHGSSSPFVEVYFDNQHHRTQTIPKNLNPSWQETLLFNISDPSNLSNHSIDVSVHHHGHHRDYFLGRVRISASSISPSLSSTNAPVLRYPLEKRGLFSHIRGDIALRLYVLAADHETKFEEGDGGAPKVKEQRVFYSVGREEQRQHGNGKQVEQHGVTVMRMQAPMARPQAEFGLVETRPPLAARLGYHGRDKIASTYDLVEQMHYLYVNVVKARDLPAMDITGSLDPYVEVKLGNYKGITKHIEKNQSPVWHQVFAFSKERLQADKVEVLVKDKDVGKDDFVGRVVFDLNEVPMRVPPDSPLAPQWYRLEDRAGDHKRNRGEIMLAVWMGTQADEAFPDAWHSDAHSISLDGLAHTRSKVYFSPKLYYLRVLAIEAQDLVPFDKGRVPDVCLRIQLGNQLRRTRPASTGRSLNPAWNEEFLFVVAEPFDEPIVFTVEDHVGPNKDEPLGRLVLPVHAAAPRTDKLVDTKWFNLVKSSSGDAEKETKFSSKIHLRLSLDIGYHVLDESTHYSSDLQPSSRFLRKPSIGMLELGILSAKNLMPMKSKDELLTDAYCVVKYGPKWVRTRTILNSLAPRWNEQYTWEVFDPCTVITVAVFDNCHVHGSNNAKDQRIGKVRIRLSTLETDRIYTHYYPLLVLQPSGLKKTGELHMAVRFTCTAWVNMVTLYSKPLLPKMHYLQPIPVLQADYLRHNAMQIVAARLARAEPPLQRESVEYMLDVDSHMWSLRRSKANFYRITSLLSGFAAIGKWFDALRYWKNPMTTILVHILFLILVCYPELILPTIFLYLFMIGIWNYRYRPRHPPHMDTKLSHAEWTNLDELDEEFDTFPTSRNPDIVRARYDRLRSVAGRVQTVVGDMATQGERAQALLSWRDPRATGIFIMVALACALFLYVAPFQIVAMLAGLYLLRHPKFRTKMPSVPFNFYRRLPAKSDMLL >DRNTG_02280.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:875303:881965:1 gene:DRNTG_02280 transcript:DRNTG_02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLFSLGSTDRDVNKALVALKKGAQLLKYGRKGKPKFYPFRLSNDESSLIWLSSGEKRTLKLASVSKIIPGQRTPVFQRYLRPEKDYLSFSLIYDNGKRSLDLICKDKVEAEVWFAGLKALISSSQCGRSKIDGWCDGELSFDDTKDLISNSASDSSISTVLDGSPDNNLNLNALPPLVYSSENLENSEKSDVMNMQGKGVSSEVFRVSVSSAPSTSSHGSAQDDCDALGDIYVWGEVICDNSARASTDSNSCPIIARNDVLLPKPLESNLVLDVQYVACGVRHAALVTRQGEVFSWGEESGGRLGHGVGADVIRPRLVESLSMCNTDIIACGEFHTCAVTSAGELYTWGDGTHNVGLLGHGTDVSHWIPKRVSGPLDGLQVAFVTCGTWHTALITTAGQLFTFGDGTFGVLGHGNRESVSYPKEVESLMGLKTIAVACGVWHTAAVVDVIVTQSSASVSSGKLFTWGDGDKYRLGHGDKEPRLKPTCIPSLIDYNFHKLACGHSLTIGLTTSGHVFTMGSNVYGQLGNPQSDGKLPCLVEDKLVGESVAEVACGSYHVAVLTTRSEVYTWGKGANGRLGHGDLEDRKTPTLVEALKDRTVKRVACGSNFTAAICSHKWVSGAEQSQCSACRQAFGFTRKRHNCYNCGLVHCHSCSSRKALRAALAPNPGKPYRVCDSCYIKLSKVLEGGINNKRNAIARLSGESRDRFDKVDKLSKASSPSNVDLIKNLDIKAAKHGRRTDSLALIRASQVPSMLQFKDIVFSGGFDLTRAVPRPVHTSVPQSVNHSRAVSPFSRKPSPPRSATPVPTTSGLSFSKSVADSLKKTNELLSLEVQKLRSQVDSLRQRCELQEFELQKSAKKAQEAMALAAEESAKSKAAKEVIKTLTAQLKDMAERLPPGVYENDQITPVYMPNGVESHTSYSSDLRREGQLRNDATSNAVNSSSINSVVTNGLSLQNHLTRNALEANELSTRFQNNWSVQSNKSNDNLYATARDSNAEPHISSSRAEDHDYKETEPRVNGENSSSKSRISAAPGNQVEAEWIEQYEPGVYITLVALRDGTRDLKRVRFSRRRFGEHQAETWWSENREKVYEKYNVRGSDRTSAAPSMLSARRSDGEFT >DRNTG_17097.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17420551:17422768:-1 gene:DRNTG_17097 transcript:DRNTG_17097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWMGKSAQRCEELGREPTPKECFIRTHGRKDDTLEAGHATEIVEQFEKAIVDKCSQGVDKDCINQDELCDEIAIKSRNRFVGKELQEELARSRAEADTELARLELFESSLLAILRRIRASPSTDNSLDDFEDDVGRD >DRNTG_04372.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20518425:20518823:1 gene:DRNTG_04372 transcript:DRNTG_04372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNIPAKPGHGGWHHKKLRRLPHLFSNTLELPLQRDTDVVVHSGLTSLWFTVAMKEGWKEIQAHPIEILPSMTKLVIRDCEGGDPKAGCADIKVDRWRCRLLDSARPCLATARVIDRELILTVPLGTDGSD >DRNTG_11499.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19650708:19653393:-1 gene:DRNTG_11499 transcript:DRNTG_11499.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKITISSSDIISSLSSELKVKILEHLPIRDAVRTSVLSTKWRYVWASLPKLVFDEHKFMHDRINFRFSESVDRVLLLHTGRILQFKLVVEFQRSENIDRWIFVLSRKKIQDFRLILFEPMDYNVHSSLFFCHELRHVRLYNCNIALPRNFNGFSKLTTLRLCEVTISNGDLKSLVSSCTQLQRLELMYMKNSTCLDIEGKEAQHLTIHGFSLCLILANSHLIDKDIDLITGVVNLPTNLLACQSLVHLKVCDGELTVPENFRGLDKLESLRLSNVRFSPNALKTLTLSCPQLKELALSPSPGCNDLNIQSENLQSLKIVSGFEHLHLVAPLLNDASIYLLSENRWEHAKIQQEIQVKNDQLLEVLANSHAKVTLPLTFNYLLNLYFEVEFGNVISEYAAFCFLEKTNAVQKLEIKACSAREYSSPNIWEHLKSSRLEFSFDCLLILRFLGFSGAETELSFLEFILNSAPVLEKIVITSVGEIIMQTDILKKLLKFKRLSKQAEIIYV >DRNTG_11499.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19649677:19653393:-1 gene:DRNTG_11499 transcript:DRNTG_11499.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKITISSSDIISSLSSELKVKILEHLPIRDAVRTSVLSTKWRYVWASLPKLVFDEHKFMHDRINFRFSESVDRVLLLHTGRILQFKLVVEFQRSENIDRWIFVLSRKKIQDFRLILFEPMDYNVHSSLFFCHELRHVRLYNCNIALPRNFNGFSKLTTLRLCEVTISNGDLKSLVSSCTQLQRLELMYMKNSTCLDIEGKEAQHLTIHGFSLCLILANSHLIDKDIDLITGVVNLPTNLLACQSLVHLKVCDGELTVPENFRGLDKLESLRLSNVRFSPNALKTLTLSCPQLKELALSPSPGCNDLNIQSENLQSLKIVSGFEHLHLVAPLLNDASIYLLSENRWEHAKIQQEIQVKNDQLLEVLANSHAKVTLPLTFNYLLNLYFEVEFGNVISEYAAFCFLEKTNAVQKLEIKVYKILPLAREYSVPY >DRNTG_11499.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19650708:19653393:-1 gene:DRNTG_11499 transcript:DRNTG_11499.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKITISSSDIISSLSSELKVKILEHLPIRDAVRTSVLSTKWRYVWASLPKLVFDEHKFMHDRINFRFSESVDRVLLLHTGRILQFKLVVEFQRSENIDRWIFVLSRKKIQDFRLILFEPMDYNVHSSLFFCHELRHVRLYNCNIALPRNFNGFSKLTTLRLCEVTISNGDLKSLVSSCTQLQRLELMYMKNSTCLDIEGKEAQHLTIHGFSLCLILANSHLIDKDIDLITGVVNLPTNLLACQSLVHLKVCDGELTVPENFRGLDKLESLRLSNVRFSPNALKTLTLSCPQLKELALSPSPGCNDLNIQSENLQSLKIVSGFEHLHLVAPLLNDASIYLLSENRWEHAKIQQEIQVKNDQLLEVLANSHAKVTLPLTFNYLLNLYFEVEFGNVISEYAAFCFLEKTNAVQKLEIKVYKILPLAREYSVPY >DRNTG_11499.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19650708:19652913:-1 gene:DRNTG_11499 transcript:DRNTG_11499.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKKITISSSDIISSLSSELKVKILEHLPIRDAVRTSVLSTKWRYVWASLPKLVFDEHKFMHDRINFRFSESVDRVLLLHTGRILQFKLVVEFQRSENIDRWIFVLSRKKIQDFRLILFEPMDYNVHSSLFFCHELRHVRLYNCNIALPRNFNGFSKLTTLRLCEVTISNGDLKSLVSSCTQLQRLELMYMKNSTCLDIEGKEAQHLTIHGFSLCLILANSHLIDKDIDLITGVVNLPTNLLACQSLVHLKVCDGELTVPENFRGLDKLESLRLSNVRFSPNALKTLTLSCPQLKELALSPSPGCNDLNIQSENLQSLKIVSGFEHLHLVAPLLNDASIYLLSENRWEHAKIQQEIQVKNDQLLEVLANSHAKVTLPLTFNYLLNLYFEVEFGNVISEYAAFCFLEKTNAVQKLEIKACSAREYSSPNIWEHLKSSRLEFSFDCLLILRFLGFSGAETELSFLEFILNSAPVLEKIVITSVGEIIMQTDILKKLLKFKRLSKQAEIIYV >DRNTG_11499.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19650708:19653393:-1 gene:DRNTG_11499 transcript:DRNTG_11499.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKKITISSSDIISSLSSELKVKILEHLPIRDAVRTSVLSTKWRYVWASLPKLVFDEHKFMHDRINFRFSESVDRVLLLHTGRILQFKLVVEFQRSENIDRWIFVLSRKKIQDFRLILFEPMDYNVHSSLFFCHELRHVRLYNCNIALPRNFNGFSKLTTLRLCEVTISNGDLKSLVSSCTQLQRLELMYMKNSTCLDIEGKEAQHLTIHGFSLCLILANSHLIDKDIDLITGVVNLPTNLLACQSLVHLKVCDGELTVPENFRGLDKLESLRLSNVRFSPNALKTLTLSCPQLKELALSPSPGCNDLNIQSENLQSLKIVSGFEHLHLVAPLLNDASIYLLSENRWEHAKIQQEIQVKNDQLLEVLANSHAKVTLPLTFNYLLNLYFEVEFGNVISEYAAFCFLEKTNAVQKLEIKACSAREYSSPNIWEHLKSSRLEFSFDCLLILRFLGFSGAETELSFLEFILNSAPVLEKIVITSVGEIIMQTDILKKLLKFKRLSKQAEIIYV >DRNTG_11499.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19649677:19653393:-1 gene:DRNTG_11499 transcript:DRNTG_11499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKITISSSDIISSLSSELKVKILEHLPIRDAVRTSVLSTKWRYVWASLPKLVFDEHKFMHDRINFRFSESVDRVLLLHTGRILQFKLVVEFQRSENIDRWIFVLSRKKIQDFRLILFEPMDYNVHSSLFFCHELRHVRLYNCNIALPRNFNGFSKLTTLRLCEVTISNGDLKSLVSSCTQLQRLELMYMKNSTCLDIEGKEAQHLTIHGFSLCLILANSHLIDKDIDLITGVVNLPTNLLACQSLVHLKVCDGELTVPENFRGLDKLESLRLSNVRFSPNALKTLTLSCPQLKELALSPSPGCNDLNIQSENLQSLKIVSGFEHLHLVAPLLNDASIYLLSENRWEHAKIQQEIQVKNDQLLEVLANSHAKVTLPLTFNYLLNLYFEVEFGNVISEYAAFCFLEKTNAVQKLEIKACSAREYSSPNIWEHLKSSRLEFSFDCLLILRFLGFSGAETELSFLEFILNSAPVLEKIVITSVGEIIMQTDILKKLLKFKRLSKQAEIIYV >DRNTG_08114.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11877204:11879656:1 gene:DRNTG_08114 transcript:DRNTG_08114.4 gene_biotype:protein_coding transcript_biotype:protein_coding IVFATYFEALKLVVFWSGSSESTPFELKFYNKLGTWQAEPGVVGQAVITAVKACYRHIDCAQTYGNENEIGLALKKLFDDGVVKREVLFITSKLRFVSSHAHKFI >DRNTG_08114.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11877204:11879656:1 gene:DRNTG_08114 transcript:DRNTG_08114.3 gene_biotype:protein_coding transcript_biotype:protein_coding IVFATYFEALKLVVFWSGSSESTPFELKFYNKLGTWQAEPGVVGQAVITAVKACYRHIDCAQTYGNENEIGLALKKLFDDGVVKREVLFITSKLRCGDHDPEDVPEAPEATFERLTT >DRNTG_08114.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11877204:11879738:1 gene:DRNTG_08114 transcript:DRNTG_08114.2 gene_biotype:protein_coding transcript_biotype:protein_coding IVFATYFEALKLVVFWSGSSESTPFELKFYNKLGTWQAEPGVVGQAVITAVKACYRHIDCAQTYGNENEIGLALKKLFDDGVVKREVLFITSKLRLQVW >DRNTG_08114.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11876961:11879656:1 gene:DRNTG_08114 transcript:DRNTG_08114.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVFATYFEALKLVVFWSGSSESTPFELKFYNKLGTWQAEPGVVGQAVITAVKACYRHIDCAQTYGNENEIGLALKKLFDDGVVKREVLFITSKLRCGDHDPEDVPEAPEATFERLTT >DRNTG_08114.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11877204:11879070:1 gene:DRNTG_08114 transcript:DRNTG_08114.5 gene_biotype:protein_coding transcript_biotype:protein_coding IVFATYFEALKLVVFWSGSSESTPFELKFYNKLGTWQAEPGVVGQAVITAVKACYRHIDCAQTYGNENEIGLALKKLFDDGVVKREVLFITSKLRFVSSHAHKFI >DRNTG_34443.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:12371930:12372719:-1 gene:DRNTG_34443 transcript:DRNTG_34443.1 gene_biotype:protein_coding transcript_biotype:protein_coding NWRRSQLGFGEALARLLEWFYSLQHHIPSEDSCWGSFRLHRYSESEVLREELHWGLVMQATDRSVAVILSARA >DRNTG_00393.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17889616:17892128:-1 gene:DRNTG_00393 transcript:DRNTG_00393.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLVAGASLAVAALGARYAIQAWQAFKARPFVPRARRFYPGGFQQVMTRREAALILGIREHAVVEKIKEAHRRVMVANHPDSGGSHYLASKINEAKDIMMGQGKNNGSAF >DRNTG_00393.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17889616:17892128:-1 gene:DRNTG_00393 transcript:DRNTG_00393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLVAGASLAVAALGARYAIQAWQAFKARPFVPRARRFYPGGFQQVMTRREAALILGIREHAVVEKIKEAHRRVMVANHPDSGGSHYLASKINEAKDIMMGQGKNNGSAF >DRNTG_15537.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2918006:2922209:1 gene:DRNTG_15537 transcript:DRNTG_15537.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit d, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G52300) UniProtKB/Swiss-Prot;Acc:Q9FT52] MSGVKKVGEVAAKAGKAIDWDGMAKMLVSNEARKEFSNLRRAFDEVNHALQTKFSQEPEPINWEYYRKGIGSKLVDMYKEAYESIEIPKYVDTVTPEYKPKIDALLVELKEAEQKSLKESERLEKEIAEVQEMKKKISTMTAEEYFQKHPELKKKFDDEIRNDYWGY >DRNTG_12277.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24771567:24778953:1 gene:DRNTG_12277 transcript:DRNTG_12277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPQKKGWAAGWSLTRARAGGTEGSTPASRRGSVLGREKGKGVLGDEVTPIPPPPLGSLGDGRGCLGEGGEDVEAWERFRQEGLLDETGLLRKERDALRTTVSELQAELHEYQYNMGLLLIEKKDWTCKFDEINERLAETEEILKREQTSHLIAIAEVEKREENSRNALGVEKQCVADLEKALREMRAQTAEVKFTSDRKLVEAHALEASLEERSLEVEQKLHAADAKLAEASRKSSEIERKLEEVEARERKIQRDYVSLDNERKAYEKDIAKQREHLRDWEKNLQERQKRLVDEQRSLNEREHRANEADQDLRKKEGELTEARKKLEIFSNSLEEKDNDINSRFRALTTKEKEVNIKQMNLEQKAQDLLALEEKLNARERVEIQKLIDDHKALLDSKKQEFDLEMENKRKSFDEEIKNKLEEMKKKEHEINLKEEQVFKREQALESKKGSLKDKEKEIDTKWKKLKEMEKAIKNEEMKLDEENKKLGKETQALVASKTQLESLKAKIEAEKDQILRDMESLKLTQEERDQHLQLQLKLKDEIEDCGLMKKSLEQQIEDLKSEKERFESEWEVLDVKRSVLNAELKHYTDECEKFEKWRHNEEERLRKERLDSRADVERELAELNQKKEAFEKLKAHELAEVHEELERGRADISAEIERREHELNIRLQRKEKEAMEKLQEEMSGFNMRRDADLTNIRASENLNDVRSRKLKVEEDRLEREKEELSMRRKSLETDQSEIQKDIDALRVLSRNLKDQREEFIKERDCFFSVAEQCKVCKNCGVTISELELVGLQVSRGIEDAGNLLLPSLADGYLEDCIKGKNAETTPQVTTGHQSGGSGGRMSWLQKCSKIFHFSPAKKPESSSEAKEQHSMLFTTQLDQDTSDVEAENRTSLPLSVGNDSFDTQRVAQSDGMFRANEESVRCDGVDEQEPSFGVADNGMKEIEVEHVGPSNFEQNERAVSLLPVADDSQPEPSQNKKCQPGRKGKSRTLRRNYSVKAVVEDAKAFLGEASEGGDRQLNGDGKISMNTRDESEGDSIHTNQMQASSRQNKRPADTSMTTSDLDAEDSDVRSGSVSVGGRRKRRHISTAPGTQPSIPGERRYNFRRSTIAGTVGAAQAVPDQSKGRKTGHRQTDESKMVKGDAVEDGEGSSRAAPTDEPSYACSGENKRTYSQQKTTLVETVVEAEISSQNIIQSGNKEAEVVTNDYIIKRSEISVESVDGEDEVNGTELEAATPATPSDGDSESDDDDGSDEDDEKHNASIGKKLWTFFTT >DRNTG_11826.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:258034:261425:-1 gene:DRNTG_11826 transcript:DRNTG_11826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRMGEGVLMIVVLVLVCGLGVKGDASDHRYKEGEHVPLYANKVGPFHNPSETYRYYDLPFCAPDNVADKKEALGEILNGDRLVDAPYELNFREDKQSKSICKKTLSKEDVAKLRDAVAKDYYFQMYYDDLPLWGFLGKFDKDKIDPTEYKYLLFKHIHFDVAYNDDRVIEINVQTDNNLAVDITEDKEVNVEFSYSVTWKKTDIPFEKRMEKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHDDEALEDQEETGWKYIHGDVFRFPKHKSLFSAVIGSGTQLLALAIFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYLQLEGTNWVRNLLLTGFLFCGPLFLTFSFLNTVAIAYSATAALPFGTILVIILIWTLVTAPLLVLGGVAGKNGKTEFQAPCRTTKYPREIPQLPWYRGTIPQMAMAGFLPFSAIYIELYYIFASVWGHKIYTIYSILFIVFIILIIVTAFITVALTYFQLAVEDHEWWWRSVLCGGSTGIFIFCYCLYYYHARSDMSGFMQTSFFFGYMACVCYGFFLMLGTVGFRASLLFVRHIYRSIKCE >DRNTG_09318.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:983270:987306:-1 gene:DRNTG_09318 transcript:DRNTG_09318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDLGKLDSILINPGLNCSWPEVRPINLVGQVTVGVEDLKVVGDHECRAMGPNVWTVVAQRKAISSSNQPGVRIENIFWGVPGDELVPKDAAIPAPQLAVNVGPVDDPSNELSLCGSPSGEDLPEVDYETEESEFDFEKSIRSLLPGFRSNPPKDQHPQPPGARRSKRQKKPSSRWTEDAGFVPEPPRSVKKKCTRDELREEIPNAIWREVGGPKLDNFAFLPARGSVGGVVIGWNSSLLMGQFRPVYLGLDLIMSRFVLKWGTFFIAQAFPFMAWCTIPRDLSGWGILDLKVFNAALLGMWWWKLTTDADWCGLPIVLHNYGIFCCLPALRGCTEQIVRGGQGNLELLLSAKDQYLQLARLEKYDPHSC >DRNTG_04582.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:22304805:22307554:1 gene:DRNTG_04582 transcript:DRNTG_04582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKPENHTKSLAKTPLKPSCKPLQGWRKHGKKDAEIGLENDLKLVGIGPITARKDQQELPCPIKARKDTTQATKYLPKLPYPIKARKDQQEQ >DRNTG_13865.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4718529:4719890:-1 gene:DRNTG_13865 transcript:DRNTG_13865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPDSENERDDQGQSTMGPDGSKKLFIQEEKNGLASSRFNKYEQELMRIFLNCSMDGPSLWKNDAADSSHGTNYTRAEGKEMVTDDVMDARCIIQKVSEQRAISLQEARLHHRNRSYVVYVKARRRT >DRNTG_30712.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21992973:21993896:-1 gene:DRNTG_30712 transcript:DRNTG_30712.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVHHFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDIFRLSQMNSRFVWGVDAVVKYVLEGHDRGANWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTSIQTF >DRNTG_13820.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28342947:28348734:1 gene:DRNTG_13820 transcript:DRNTG_13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPEGFRPLDEKSLVEYIKATPALSSRLGNQLDSLSVKEVGDGNLNFVYIVIGPAGSLVIKQALPYVRCVGDSWPLSKERAYFESSALKDHGRLCPDHVPEVYHFDRPMSLIGMRYIEPPHIILRKGLIAGIEYPLLAEHMADYMAKTLYFTSLLYCSTLDHRAAVAEYCGNVDLCRLTEQVIFSDPYKIAKYNRWTSPYLDQDAEAIREDDILKVEVAELKSMFCERAQALIHGDLHTGSIMVTSDSTQVIDPEFAFYGPMGYDIGAFLGNLILAFFAQDGHSGEGNDHGPYKKWILKTIEETWNLFHQKFIFLWNEHKDGAGEAYPSDIYNKPGVQLLAQKKYMSNLFHDTLGFGAAKMIRRIVGIAHVEDFESIQDAQKRAYCERRALECAKKLLKERCQFESIDQVISVIQEISV >DRNTG_13888.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2470884:2478165:1 gene:DRNTG_13888 transcript:DRNTG_13888.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSMKKLNVNGGGGGGSVKQVDSETEASQRLVEAVHSGDARAVAELLADPMVDVNYAGAVWLRLRRPEVVLREEVSDEVRIEYEELRTDASPLFLASHSGSLALVRKLLSVGADVNQKLFRGHATTAAAREGRRDVLELLVKAGASQRACEEALLEACAHGHAKLTRLLMDSDQIRPQVAVHALLTASSRGFADVVQTLIKCGVDANAAGRVLLRSLKPTLHANTDCTPLFAAIVGRQESVVRLLLQAGVRTDVKVSLGAWSWDTTTGEEFRVGAGLSEPYNAAWCAVEYFESTGSILRMLLQHYSPNALHCGRSLLHYAILCSNHRAIDTLLACGADCELRVKTSGKNEFRPLHMAAWLGNLKILQSLIDAKCDLNARMDSGETALMLCARFKHEECFRVLVSAGADLGMVTSTGVTASLIAASNKWSFTYQQTLLNLIHSGRIPGSSNTNIFSPLMFVAHHGDVSALDVLLKHPGIDLDEQDENGYSAIMITAQEGHIDAFRSLAFAGANVKLRNKAGETAISIFQSSKNRDLFEQVMLESALEKGNAEEFYALHCAARQGNMAAMRLLMNLGLDVNGINGDGYTPLMLAAREGHSTICELLLACGAEYDIRTPEGETALSLARVNKVFDNKAEDVIMDVMAMDLVLQGSRVKKHTKFGKGRPHKKMLKMVMDLGVLRWGKARRRNVACREAEIGGSLSFLKNRKRKGDGYEPGLFRIVTMKGREVHFVCDGGDEMAELWVRGIKLIISKSGRQWR >DRNTG_13888.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2474044:2478491:1 gene:DRNTG_13888 transcript:DRNTG_13888.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQHYSPNALHCGRSLLHYAILCSNHRAIDTLLACGADCELRVKTSGKNEFRPLHMAAWLGNLKILQSLIDAKCDLNARMDSGETALMLCARFKHEECFRVLVSAGADLGMVTSTGVTASLIAASNKWSFTYQQTLLNLIHSGRIPGSSNTNIFSPLMFVAHHGDVSALDVLLKHPGIDLDEQDENGYSAIMITAQEGHIDAFRSLAFAGANVKLRNKAGETAISIFQSSKNRDLFEQVMLESALEKGNAEEFYALHCAARQGNMAAMRLLMNLGLDVNGINGDGYTPLMLAAREGHSTICELLLACGAEYDIRTPEGETALSLARVNKVFDNKAEDVIMDVMAMDLVLQGSRVKKHTKFGKGRPHKKMLKMVMDLGVLRWGKARRRNVACREAEIGGSLSFLKNRKRKGDGYEPGLFRIVTMKGREVHFVCDGGDEMAELWVRGIKLIISKSGRQWR >DRNTG_13888.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2473124:2476378:1 gene:DRNTG_13888 transcript:DRNTG_13888.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDQIRPQVAVHALLTASSRGFADVVQTLIKCGVDANAAGRVLLRSLKPTLHANTDCTPLFAAIVGRQESVVRLLLQAGVRTDVKVSLGAWSWDTTTGEEFRVGAGLSEPYNAAWCAVEYFESTGSILRMLLQHYSPNALHCGRSLLHYAILCSNHRAIDTLLACGADCELRVKTSGKNEFRPLHMAAWLGNLKILQSLIDAKCDLNARMDSGETALMLCARFKHEECFRVLVSAGADLGMVTSTGVTASLIAASNKWSFTYQQTLLNLIHSGRIPGSSNTNIFSPLMFVAHHGDVSALDVLLKHPGIDLDEQDENGYSAIMITAQEGHIDAFRSLAFAGANVKLRNKAGETAISIFQSSKNRDLFEQVMLESALEKGNAEEFYALHCAARQGNMAAMRLLMNLGLDVNGINGDGYTPLMLAAREGHSTICELLLACGAEYDIRTPEGETALSLARVNKVFDNKAEDVIMDVMAMDLVLQGSRVKKHTKFGKGRPHKKMLKMVMDLGVLRWGKARRRNVACREAEIGGSLSFLKNRKRKGDGYEPGLFRIVTMKGREVHFVCDGGDEMAELWVRGIKLIISKSGRQWR >DRNTG_13888.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2476986:2478165:1 gene:DRNTG_13888 transcript:DRNTG_13888.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDQIKTIVRRFPSFAYYSLDRKIKPLVDFLLELGVPRPDIPAILYKRPQLCGISLSENLKPMMTYLEGLGIDKNQWAKVIYRFPALLTYSRQKVKATIDYLHELGISDKNIGKILTRCPHIISYSVDDKLRPTAEYFDSLGINVSSLIIRCPQTFGLNIEASLKPVTEFFIERGYSIEEISTMVLRYGALYTFSIVDNLLPKWKYFLTMDYPRSELVKFPHYFGYSLEERIKPRYRRVKECGVRLVLNQVLSYSDTEFEKILKRKMVKQFGEH >DRNTG_13888.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2476444:2478165:1 gene:DRNTG_13888 transcript:DRNTG_13888.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDQIKTIVRRFPSFAYYSLDRKIKPLVDFLLELGVPRPDIPAILYKRPQLCGISLSENLKPMMTYLEGLGIDKNQWAKVIYRFPALLTYSRQKVKATIDYLHELGISDKNIGKILTRCPHIISYSVDDKLRPTAEYFDSLGINVSSLIIRCPQTFGLNIEASLKPVTEFFIERGYSIEEISTMVLRYGALYTFSIVDNLLPKWKYFLTMDYPRSELVKFPHYFGYSLEERIKPRYRRVKECGVRLVLNQVLSYSDTEFEKILKRKMVKQFGEH >DRNTG_13888.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2474044:2476378:1 gene:DRNTG_13888 transcript:DRNTG_13888.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQHYSPNALHCGRSLLHYAILCSNHRAIDTLLACGADCELRVKTSGKNEFRPLHMAAWLGNLKILQSLIDAKCDLNARMDSGETALMLCARFKHEECFRVLVSAGADLGMVTSTGVTASLIAASNKWSFTYQQTLLNLIHSGRIPGSSNTNIFSPLMFVAHHGDVSALDVLLKHPGIDLDEQDENGYSAIMITAQEGHIDAFRSLAFAGANVKLRNKAGETAISIFQSSKNRDLFEQVMLESALEKGNAEEFYALHCAARQGNMAAMRLLMNLGLDVNGINGDGYTPLMLAAREGHSTICELLLACGAEYDIRTPEGETALSLARVNKVFDNKAEDVIMDVMAMDLVLQGSRVKKHTKFGKGRPHKKMLKMVMDLGVLRWGKARRRNVACREAEIGGSLSFLKNRKRKGDGYEPGLFRIVTMKGREVHFVCDGGDEMAELWVRGIKLIISKSGRQWR >DRNTG_13888.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2470884:2476378:1 gene:DRNTG_13888 transcript:DRNTG_13888.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSMKKLNVNGGGGGGSVKQVDSETEASQRLVEAVHSGDARAVAELLADPMVDVNYAGAVWLRLRRPEVVLREEVSDEVRIEYEELRTDASPLFLASHSGSLALVRKLLSVGADVNQKLFRGHATTAAAREGRRDVLELLVKAGASQRACEEALLEACAHGHAKLTRLLMDSDQIRPQVAVHALLTASSRGFADVVQTLIKCGVDANAAGRVLLRSLKPTLHANTDCTPLFAAIVGRQESVVRLLLQAGVRTDVKVSLGAWSWDTTTGEEFRVGAGLSEPYNAAWCAVEYFESTGSILRMLLQHYSPNALHCGRSLLHYAILCSNHRAIDTLLACGADCELRVKTSGKNEFRPLHMAAWLGNLKILQSLIDAKCDLNARMDSGETALMLCARFKHEECFRVLVSAGADLGMVTSTGVTASLIAASNKWSFTYQQTLLNLIHSGRIPGSSNTNIFSPLMFVAHHGDVSALDVLLKHPGIDLDEQDENGYSAIMITAQEGHIDAFRSLAFAGANVKLRNKAGETAISIFQSSKNRDLFEQVMLESALEKGNAEEFYALHCAARQGNMAAMRLLMNLGLDVNGINGDGYTPLMLAAREGHSTICELLLACGAEYDIRTPEGETALSLARVNKVFDNKAEDVIMDVMAMDLVLQGSRVKKHTKFGKGRPHKKMLKMVMDLGVLRWGKARRRNVACREAEIGGSLSFLKNRKRKGDGYEPGLFRIVTMKGREVHFVCDGGDEMAELWVRGIKLIISKSGRQWR >DRNTG_01350.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:259156:264276:1 gene:DRNTG_01350 transcript:DRNTG_01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYLNQNFGGVKSKNSSDEALQRWRRLCSVVKNPKRRFRFTANLSKRSEAAAMKRTNQEKLRVAVLVSKAALQFIHGLALRSEYKVPEEVKAAGFEICAEELGSIVEGHDVKKLKSHGGVDGIAKKLSTSTSDGLVGSQGSLKLRQEIFGINKFAESQVRSFWVFVWEALQDMTLMILAVCAFVSLVVGIAMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKISIQVTRNGFRQKLSIYELLPGDIVHLAIGDQVPADGLFVSGFSLLINESSLTGESDPVMVNSENPFLLSGTKVQDGSCKMLITTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVLAQGLISRKYYDGTYLIWSGDDALEMLEYFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSSTSICSDKTGTLTTNHMTVVKACICGNIKDVADSEVAISMRSQLPDIVIKTLLQSIFNNTGGEVVINQDGKREILGTPTETALLEFGLSIGGDFLATRQECKLLKVEPFNSVKKRMGVLLQLPEGSCRGHCKGAAEIILAACDKVLDHEGNVVPLDEAMVSHLNKTIDSFASEALRTLCLAYMEMENGFSDEEQIPVKGFTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIARECGILTDGGVAIEGPVFREKSPEELKDLIPKIQVMARSSPLDKHTLVKHLRTMFNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALVVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPTDDLMKRTPVGRTGNFISNVMWRNILGQSFYQFVVIWYLQTEGKGLFRLEGPIADLTLNTLIFNSFVFCQVFNEISSREMEKINVFHGMFKNYVFVAVLACTVLFQFIIIQFLGDFANTTPLSFLQWFLSIFIGFLGMPIAAVVKLIPVGSK >DRNTG_34247.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28097544:28099338:-1 gene:DRNTG_34247 transcript:DRNTG_34247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGFSLVQHPSKEPIILYKEMIRRGFAYPNSYTLAFVMKACSIVLAFWEGMQIHSHAYKHGLDSSMFVQNALLNFYAKCEEIDYARSVFDEIPDKNLVVRSTMISGYARVGLVNEALELFREMQEVGIEPDEVTMVSVISAFAKAGALDLGRWVHAFIERKKIKFDLELGTALIDMYAKCGQIERARNMFNEMEEKDTKAWSSMIVGFAIHGLVDDALEHFSRMLESKVKPNHVTFIGVLSACAHSGLVDDGRRFWSVMHELGIDPMIEHYGCMVDLLCRTGHLDEAFVFVNDMPISPNSIIWRTLLMGCKIRGSSDKIEIAAERLLELEPHNPENYVMLSNLYASRAQWEEVSYMRKKMKDSGAKIVPGCSCIELDGIVHRFVVGDESHPDIKEIRKVLIDVSERVHRAGHVPWTRGVLHDVAEEEKEIALCEHSERLAIAYGLLKTKSPTVIRVVKNLRACDDCHEITKIVSRVFD >DRNTG_34247.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28097544:28098296:-1 gene:DRNTG_34247 transcript:DRNTG_34247.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHELGIDPMIEHYGCMVDLLCRTGHLDEAFVFVNDMPISPNSIIWRTLLMGCKIRGSSDKIEIAAERLLELEPHNPENYVMLSNLYASRAQWEEVSYMRKKMKDSGAKIVPGCSCIELDGIVHRFVVGDESHPDIKEIRKVLIDVSERVHRAGHVPWTRGVLHDVAEEEKEIALCEHSERLAIAYGLLKTKSPTVIRVVKNLRACDDCHEITKIVSRVFD >DRNTG_05568.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000255.1:14071:15497:1 gene:DRNTG_05568 transcript:DRNTG_05568.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQSSTRMRGFTTNKKLFKLSQEG >DRNTG_05568.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000255.1:12927:15497:1 gene:DRNTG_05568 transcript:DRNTG_05568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLSNSVVKDGKVENHEGTSNAPKELLLKSLKAKN >DRNTG_23467.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2736248:2739843:1 gene:DRNTG_23467 transcript:DRNTG_23467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPCDDFVVVRQPETSGEPTVITVNCPDKTGLGCDLCRIILFFGLSIVRGDVSTDGKWCFILFWVVGRDSGAQPRWGLLKKRLLGACPAASRASGLYNSYYRLQELLLLEQQPQVFLIKFCCYDRLGLLHDVTQVLCELELIIRRVKVSTTPEGRVMDLFFITDTRELLHTKKRQEETMDALRAVLGDSMTSCEIELASEDIAACLQASSCLPPAIIEEMSSFELPEEPPGGYRPLSHNLSVTMDNSLSPAHSLIQIVCPDHKGLLYDIMRTLKDYNIQISYGRFYSSLNGLCELDLFVMQTDGKKIIDPNKQKALCERLRLEIFHPLRVTVVSRGPDTELLVANPVEVSGKGRPLSVL >DRNTG_17245.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000886.1:30412:31729:-1 gene:DRNTG_17245 transcript:DRNTG_17245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERILKSGLKSALNRAGIGHPHGPGHTTLHPLR >DRNTG_04984.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4158369:4159951:-1 gene:DRNTG_04984 transcript:DRNTG_04984.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYTLSSALKVCINLQNLKIGMQVHSLIVTNGCHFDSIVGSVLVDLYTKCCRLDDARKVFAGLPSKDAIAWSGLITGCVQQGSHGLAFSLFRDMISSSVGLDHYVVSSIVKACSVLSAIQSGKQAHTLCIKSGVDTENVIVASLIDMYSKCGDIDDGLAVFESVTKKDAVIWTGMIIGCGNNGRAMGAINLFEKMLESGEKPNEITFLGVLSACRHAGMVGEACAFFKQMNDTHGLAPTSEHYFCMVDILSRDGRFEEAKKLIGDMPCEANETILNSLLAACAIHQNADLSKLVSKELLQDPIHDTSHYVTLSNVCASLGLWDGSAKLREMIRRVSQKEAGRSWLEASP >DRNTG_18718.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1082078:1086571:-1 gene:DRNTG_18718 transcript:DRNTG_18718.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGPLLVVCPAVLRYSWAEELEHWLPFLLPKDIHLVFGHQNNLDRLERDPKVVVISYNMLNRLQQSMLEKRWEVMIIDESHNIRCTKKTSESGETKAILDLAPKVNHIILLSGTPSLTRPYDIYHQVNILWPRLLGDDKYEYAKNYCSMKLVHGCQGKIFKDFSKGIRFEELNVLLRQTLMIRRLKEHVLAQLPPKRRQIIRLMLKAADILFATKTSKVQEAIVGRENQNESITDQCCHKSDDGGDAKVVNLNTCHGNRTLKSLSDKEIGLAKLSGFREWFSNHFILREPEDASTLEVGLNSQKMIIFGHHLKVLDEVQEFICEKEIKFVRIDGSTVARDRQMAVEAFRSSSEVKIAIIGITAGGVGLDFSSAQNVVFLELPKSASE >DRNTG_18718.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1082078:1082473:-1 gene:DRNTG_18718 transcript:DRNTG_18718.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAFRSSSEVKIAIIGITAGGVGLDFSSAQNVVFLELPKSASE >DRNTG_18718.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1086984:1088416:-1 gene:DRNTG_18718 transcript:DRNTG_18718.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMEITEEQRRRAEANRMAAIERRRRAEEAGLVNHDAWRLFKCRKIPSPTHSSAVPSAAFRVVLEICSPDEFSATPEPLQGLPFPGDAWCFGIIEESLYKVVPFRCIESQGRQRGVYMLKDYELVAGCLKKIPGVQLQGVPFRTRSVVEKFSCCIRDHWEPCVDGHYSDDKVDELLKALPNSLRDALLPYQLDGVKFGLQRGGRCLIADEMGLGKTIQAMPFALPFFVFCFLVDVNFHFVVPVHALNLFF >DRNTG_18718.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1085178:1088416:-1 gene:DRNTG_18718 transcript:DRNTG_18718.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMEITEEQRRRAEANRMAAIERRRRAEEAGLVNHDAWRLFKCRKIPSPTHSSAVPSAAFRVVLEICSPDEFSATPEPLQGLPFPGDAWCFGIIEESLYKVVPFRCIESQGRQRGVYMLKDYELVAGCLKKIPGVQLQGVPFRTRSVVEKFSCCIRDHWEPCVDGHYSDDKVDELLKALPNSLRDALLPYQLDGVKFGLQRGGRCLIADEMGLGKTIQAIAIACCFMEAGPLLVVCPAVLRYSWAEELEHWLPFLLPKDIHLVFGHQNNLDRLERDPKVVVISYNMLNRLQQSMLEKRWEVMIIDESHNIRCTKKTSESGETKAILDLAPKVNHIILLSGTPSLTRPYDIYHQVNILWPRLLGDDKYEYAKNYCSMKLVHGCQGKIFK >DRNTG_18718.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1083437:1086571:-1 gene:DRNTG_18718 transcript:DRNTG_18718.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGPLLVVCPAVLRYSWAEELEHWLPFLLPKDIHLVFGHQNNLDRLERDPKVVVISYNMLNRLQQSMLEKRWEVMIIDESHNIRCTKKTSESGETKAILDLAPKVNHIILLSGTPSLTRPYDIYHQVNILWPRLLGDDKYEYAKNYCSMKLVHGCQGKIFKDFSKGIRFEELNVLLRQTLMIRRLKEHVLAQLPPKRRQIIRLMLKAADILFATKTSKVQEAIVGRENQNESITDQCCHKSDDGGDAKVVNLNTCHG >DRNTG_18718.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1083437:1088416:-1 gene:DRNTG_18718 transcript:DRNTG_18718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMEITEEQRRRAEANRMAAIERRRRAEEAGLVNHDAWRLFKCRKIPSPTHSSAVPSAAFRVVLEICSPDEFSATPEPLQGLPFPGDAWCFGIIEESLYKVVPFRCIESQGRQRGVYMLKDYELVAGCLKKIPGVQLQGVPFRTRSVVEKFSCCIRDHWEPCVDGHYSDDKVDELLKALPNSLRDALLPYQLDGVKFGLQRGGRCLIADEMGLGKTIQAIAIACCFMEAGPLLVVCPAVLRYSWAEELEHWLPFLLPKDIHLVFGHQNNLDRLERDPKVVVISYNMLNRLQQSMLEKRWEVMIIDESHNIRCTKKTSESGETKAILDLAPKVNHIILLSGTPSLTRPYDIYHQVNILWPRLLGDDKYEYAKNYCSMKLVHGCQGKIFKDFSKGIRFEELNVLLRQTLMIRRLKEHVLAQLPPKRRQIIRLMLKAADILFATKTSKVQEAIVGRENQNESITDQCCHKSDDGGDAKVVNLNTCHG >DRNTG_33789.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:118458:125290:-1 gene:DRNTG_33789 transcript:DRNTG_33789.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFQADAVNLSVEELAMIGAALPVIERFSAIGSTGEEEESKTPGHNSYTVGDKRKHEALIDKETQAVIVESGVLWRVNFEKFVYCLKKKACATNVRSRYGLDAGIVLEAMIESNTSEGNKNSVQSSIENIIEAVRGKPGGIGMTMEHVRVVLEKLGSSISSKESGVLYEIGNEVYMCIIIITDVTNLQ >DRNTG_11803.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:404318:409474:1 gene:DRNTG_11803 transcript:DRNTG_11803.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLAVVHASSLRLVPHRHRISHPENHHTTRLSVAASSRRLRPISAAASLSSVHPSGAVDSDPCGFLERCLFSTEAGAKSPSLSIGLVARPMATVSPEMMGQYGSFGAVTLEKGKLDLSQKTSKSSPETAIGGGGGDNGKKIFHGGGGGDDGDDDDDYFGDFDEGDEGEEGGLFRRRIFLQEFYDRQFVDAVLQEWYKTITDLPAGFRQACEMGMVSSAQMAQFLAMNARPTMARLISRSLPESISRAFIGRMLADPSFLYKLLLEQVATIGCSVWWEFKNRKERIKEEWDLALINVLTAAACNVAIVWSLAPCRSYGSTFQFDLQNTIQKLPNNIFERSYPFREFDLQKRVQSFFYKAAELSLFGLIAGSVQGTLSKLSSRRKEPRLSMTMPSVRTNALGSGSFLGIYTNFRYQLLYGIDRALLDYFDVLGVAIFFGFALRILNVQVGETSKVAWLGVEANPLIQSEDHSKFYSRPSEALDTPSSKWFISKNTIISGLGRLGIRKDSKDLNSSTSKARRKRIVRTKVSESSV >DRNTG_11803.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:404318:409474:1 gene:DRNTG_11803 transcript:DRNTG_11803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLAVVHASSLRLVPHRHRISHPENHHTTRLSVAASSRRLRPISAAASLSSVHPSGAVDSDPCGFLERCLFSTEAGAKSPSLSIGLVARPMATVSPEMMGQYGSFGAVTLEKGKLDLSQKTSKSSPETAIGGGGGDNGKKIFHGGGGGDDGDDDDDYFGDFDEGDEGEEGGLFRRRIFLQEFYDRQFVDAVLQEWYKTITDLPAGFRQACEMGMVSSAQMAQFLAMNARPTMARLISRSLPESISRAFIGRMLADPSFLYKLLLEQVATIGCSVWWEFKNRKERIKEEWDLALINVLTAAACNVAIVWSLAPCRSYGSTFQFDLQNTIQKLPNNIFERSYPFREFDLQKRVQSFFYKAAELSLFGLIAGSVQGTLSKLSSRRKEPRPCCRLSMTMPSVRTNALGSGSFLGIYTNFRYQLLYGIDRALLDYFDVLGVAIFFGFALRILNVQVGETSKVAWLGVEANPLIQSEDHSKFYSRPSEALDTPSSKWFISKNTIISGLGRLGIRKDSKDLNSSTSKARRKRIVRTKVSESSV >DRNTG_28418.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4574224:4574754:-1 gene:DRNTG_28418 transcript:DRNTG_28418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNRNLPGRKGLTDEFIAGVDEFIQFAVSQDHSYKNGENIRCPCFKCKNTRFLAPDEVVLHLYHRGFKQCYWNWTCHGEELFPINEELNENHDQIVEPVMNWGDYEQMTWDQRMVYDCMGSRVPTWDPSHCNDEAGPSVQPPLDEPIPGFQIDDRSQLTDRFFNVLKIADQPLYEGC >DRNTG_12792.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2156146:2160166:1 gene:DRNTG_12792 transcript:DRNTG_12792.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATSIK [Source:Projected from Arabidopsis thaliana (AT3G08760) UniProtKB/TrEMBL;Acc:A0A384KX76] MGCFIVLRCKKKIYEHFVGRKSVNVKEKKDTTLPDSRLREPSLQSAPPSFKSRAKPIQSVNNSRARALSAPSSLHLADQEAFAVLESLEQDDLKNRGVIANPLPLPLPYPHGSSNFKNAGSFNGRGPIDTSGPLPLPPLGSGVLRNFSFDEISAACQHFSVDRCVSESISSAVYKASFGDDSRKLEATVTRLLPSSQGLKDFVNEVNTIASLQHPQLCKLLGFHAREGSEHRMLVYERLYHGSLDRLLYGRFEGPFIDWSTRMKVALCAAKGLVYLHEEGPFQAMYNEFSTANIQVDKDFSAKLSGYGCVSYNPDVEISNSSVATGNLSVETSERVILTPKSNVWSFGIVLLELLTGRKNYDSRHPKEERNIVKWSRPFLADDCRLALIIDSRIKARVPQKAARIVTDIVLKCLQKDPSERPTMRAIVESLNSIQDMKYPCRFPLQEPSAVAGKPMIKSPSFNNITSPAPPLNFSSSPPSKIPPSISSPRSSSVMLQPLQPCSSSKKLSPTPAQRWPGVEGF >DRNTG_07658.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23173169:23175212:-1 gene:DRNTG_07658 transcript:DRNTG_07658.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLTRFLNCYVLNMVGLQRESLLGKYLLLFFYKRSFAALVSIVDDEGSSIEGLLMPLTVNYSVLCIAKNGPMNLNQLLMIARNCSSESLTSGIGFDYGVKNDRRKRKRVNSTLPSDISWGSFRELVFGQVDGCALGFELEEVYFAKELNKAKKLQFLKCWMRQIKESSEVHQLESNEVMAVPKARERNEAESGSPIKPEQQLVSPSSAGQACPSRSVTEDASAFSSMGDVESFFHKYPPED >DRNTG_07658.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23171159:23175212:-1 gene:DRNTG_07658 transcript:DRNTG_07658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLTRFLNCYVLNMVGLQRESLLGKYLLLFFYKRSFAALVSIVDDEGSSIEGLLMPLTVNYSVLCIAKNGPMNLNQLLMIARNCSSESLTSGIGFDYGVKNDRRKRKRVNSTLPSDISWGSFRELVFGQVDGCALGFELEEVYFAKELNKAKKLQFLKCWMRQIKESSEVHQLESNEVMAVPKARERNEAESGSPIKPEQQLVSPSSAGQACPSRSVTEDASAFSSMGDVESFFHKYPPED >DRNTG_07978.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2273077:2274790:-1 gene:DRNTG_07978 transcript:DRNTG_07978.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLKKLFKSNILYSYTFCFSTTIIHSKTITKTQSIVVDYLLSRFGFSREKAAWASKHLDRLKFLEKAETVLDFLKSYSFDDSQIKKLVSLYPKALCCNVERNLKPKFEGLQSLGFSGQELVHLILSNYMVLGCNFERNVRPKIEFWEEILGSFELMSKSLRGKQCILSFSLEQRALPNLAFLREFGVSNERITLIVQRHPRFLAQKPDELKELAEKVEGMGIRRDSRMFVWALNTLRMVSKTKFDGKLEVLKSLGWSEADFLLAFQKNPIFLTVSETMLKKKIDFLVNEAGCKPSELAQSPTLLMFSLEKRLIPRYHVMQVLKSKRLNNVNYSLLSTMSYSEKMFVKNFLLCRKKDAPELYDWYISSCKGSEHSHSVLKPVDKCVL >DRNTG_06277.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8225635:8227473:-1 gene:DRNTG_06277 transcript:DRNTG_06277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVKVRHRGPGSDSQLQRAGDVLLQRERLLLEKDSRNDSDDDEFAEVGCELAMVGDQTCSVPYDLYDLSNLKDVLSLETWNSCLTEEERFSLAAYLPDMDQETFWLTMQDLLRGDNLFFGSPLEELFQRLKGGYYSPKVTQFREVLQLLMRHKYYHSLRSYHERMSQKFVDMKNTWRDRAPNGSVEERINIWNSLKTSKPRLLVDLNAVPSDEEFPFKADKMVASIPLRKKPKYLDKEGVGHFIAPNTVSDLGVNTKLKAKGVLKIKPVEILSKQNHVVQPLPGDPWGSCRQPPKGVLKIKPKQNPSVLPERLTALPLLPELTSAEVSSLPEPKFPRQQFAFDCKPENFNNELPLLHQTGRDVKIYRSPDLPDVVQSKQRDNFPYMGTQISKRKMKILNDVRAHSAAEIQDDFPLNLNQNLRMYSNDSGMVGEYGNGENLWLKPGDLTKGYYGSPVEPCSFPLEHQGRRQIRPVPQMVSETISRVSSVGSDKHHVFPMSPDESEDSEHNHIDKINEFETSFRQTISGVPKEVHVFPITYKRKKPHVKLNTVDSLKQPVVIANSESMVLSTTDCRPTEKTKPLKIKVKGFGDYNAKFKQGMLNGLQRGSPST >DRNTG_31844.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21390453:21403653:1 gene:DRNTG_31844 transcript:DRNTG_31844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDDMHDANDLESLEDDFYSGGTGIGSEEEDDYDDDDDDDDDEDYGFVDNDSDDIMEIAANRYQNYTILNEASIQQCQEDDITRVSTVLSIPRVASCILLRHYNWSVNKVHDEWFADEENARKTVGLLEKLIEIPKEKELVCGICFDTYPREKMNAAACGHPFCHVCWRGYIGTSISDGPGCLMLRCPDPSCDAAIGQDMISLLATHDDAEKYSKYLIRSYIENNRKTKWCPAPGCEFAVDFVLGSGNFDVCCNCSHTFCWNCTEEAHRPVDCGTVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKYEFCWLCLGAWSDHGERTGGFYACNRYEAARQEGVYDETERRREMAKNSLERYTHYYERWATNQSSRQKALADLHSMQTEKLEKLSEKQSQPESQLKFIIEAWSQIVECRRVLKWTYAYGYYLPDHEHAKRQFFEYLQGEAESGLERLHQCVEKELQIYFDAANSVQDFNDFRTKLAGLTSVTRNYFENLVRALEMGLKDVGPVNNQATSSKTLSSKNLGSRGGKSGKSKM >DRNTG_24153.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19660598:19661062:-1 gene:DRNTG_24153 transcript:DRNTG_24153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEDEDKRELQLLLSMASSRSSPESSKTSERTRVLTESPVLDLNLSISTGSGQTLASDETVKSSIRNVQILKQQTAEQIRLATVEKAYAERVRELTRRELELAEKEFARARLVWERAREEVEKAERMKELATRRIGSTCMEITCQGCRQRFQP >DRNTG_28408.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24632805:24634826:1 gene:DRNTG_28408 transcript:DRNTG_28408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSASEKKSDDLEIFSAENLQSNMKSIYYSRTFLSIVGGVVAGIWGFTGLMGFVFYFLVMAIASLGLAAKAKFSVRAYFDSWNRIVVDGFLGGLMSYVLFWTFAYDIVHIF >DRNTG_20401.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19415176:19421958:-1 gene:DRNTG_20401 transcript:DRNTG_20401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAPILFLYRYAPHVEVILDDFEHFAINQLLDMARSVLKFDKSFKRQVFVDVANVMNSRLTTVLKDEREPIEAFQALNDEVGALSASVEQGQDKLCGSHLAYGRSYGHELTITAYARKGGCKEQNSECYGPALTAVSWTATQTKDLTDEAYYRKCSFKAHDHLL >DRNTG_03107.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15643359:15644332:-1 gene:DRNTG_03107 transcript:DRNTG_03107.11 gene_biotype:protein_coding transcript_biotype:protein_coding METLTLTPSRSLLYYQISALLLTFSAYAAFHASRKPPSIVKPVLGPDPSEANAGWPPFNGPRGPHRLGELDLAFLSAYSLGMYFAGHAGDRLDLRFLLSTGMFLSGASTITFGLGFFLKIHRFTFFVAVQVLAGLFHSIGWPCVVSVVGNWFGKSRRGLIMGIWSSHTSIGNILGSVMASSVLEFGWGWSFILPGLLIILVGLFIRVFLVVDPRDVGFEAPEDHEVITEMEMNPGECLDDLGDIGEEEEKIGFVESKWKKDEEDALKAIGFMEAWRLEGVAEFALCLFFSKLVAFTFLYWLPFYLRHT >DRNTG_03107.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15641009:15644332:-1 gene:DRNTG_03107 transcript:DRNTG_03107.9 gene_biotype:protein_coding transcript_biotype:protein_coding METLTLTPSRSLLYYQISALLLTFSAYAAFHASRKPPSIVKPVLGPDPSEANAGWPPFNGPRGPHRLGELDLAFLSAYSLGMYFAGHAGDRLDLRFLLSTGMFLSGASTITFGLGFFLKIHRFTFFVAVQVLAGLFHSIGWPCVVSVVGNWFGKSRRGLIMGIWSSHTSIGNILGSVMASSVLEFGWGWSFILPGLLIILVGLFIRVFLVVDPRDVGFEAPEDHEVITEMEMNPGECLDDLGDIGEEEEKIGFVESKWKKDEEDALKAIGFMEAWRLEGVAEFALCLFFSKLVAFTFLYWLPFYLRHTAVAGEHLSQKSAGMLSTIFDIGGVLGGISAGFLSDSIDARAVTSILFLVCSIPVLIIYRIFGSISMSLNIGLMFLSGYFVNAPYSLITTAVSADLGTQDTVKGNSRALATVTAIIDATGSVGATLGPLLTGYISTRGWNSVFFMLVSANSLAIMFLIRLAKTEIKSKMNDRK >DRNTG_03107.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15641009:15641573:-1 gene:DRNTG_03107 transcript:DRNTG_03107.13 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTIFDIGGVLGGISAGFLSDSIDARAVTSILFLVCSIPVLIIYRIFGSISMSLNIGLMFLSGYFVNAPYSLITTAVSADLGTQDTVKGNSRALATVTAIIDATGSVGATLGPLLTGYISTRGWNSVFFMLVSANSLAIMFLIRLAKTEIKSKMNDRK >DRNTG_03107.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15640933:15644494:-1 gene:DRNTG_03107 transcript:DRNTG_03107.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLTLTPSRSLLYYQISALLLTFSAYAAFHASRKPPSIVKPVLGPDPSEANAGWPPFNGPRGPHRLGELDLAFLSAYSLGMYFAGHAGDRLDLRFLLSTGMFLSGASTITFGLGFFLKIHRFTFFVAVQVLAGLFHSIGWPCVVSVVGNWFGKSRRGLIMGIWSSHTSIGNILGSVMASSVLEFGWGWSFILPGLLIILVGLFIRVFLVVDPRDVGFEAPEDHEVITEMEMNPGECLDDLGDIGEEEEKIGFVESKWKKDEEDALKAIGFMEAWRLEGVAEFALCLFFSKLVAFTFLYWLPFYLRHTAVAGEHLSQKSAGMLSTIFDIGGVLGGISAGFLSDSIDARAVTSILFLVCSIPVLIIYRIFGSISMSLNIGLMFLSGYFVNAPYSLITTAVSADLGTQDTVKGNSRALATVTAIIDATGSVGATLGPLLTGYISTRGWNSVFFMLVSANSLAIMFLIRLAKTEIKSKMNDRK >DRNTG_03107.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15640933:15644332:-1 gene:DRNTG_03107 transcript:DRNTG_03107.6 gene_biotype:protein_coding transcript_biotype:protein_coding METLTLTPSRSLLYYQISALLLTFSAYAAFHASRKPPSIVKPVLGPDPSEANAGWPPFNGPRGPHRLGELDLAFLSAYSLGMYFAGHAGDRLDLRFLLSTGMFLSGASTITFGLGFFLKIHRFTFFVAVQVLAGLFHSIGWPCVVSVVGNWFGKSRRGLIMGIWSSHTSIGNILGSVMASSVLEFGWGWSFILPGLLIILVGLFIRVFLVVDPRDVGFEAPEDHEVITEMEMNPGECLDDLGDIGEEEEKIGFVESKWKKDEEDALKAIGFMEAWRLEGVAEFALCLFFSKLVAFTFLYWLPFYLRHTAVAGEHLSQKSAGMLSTIFDIGGVLGGISAGFLSDSIDARAVTSILFLVCSIPVLIIYRIFGSISMSLNIGLMFLSGYFVNAPYSLITTAVSADLGTQDTVKGNSRALATVTAIIDATGSVGATLGPLLTGYISTRGWNSVFFMLVSANSLAIMFLIRLAKTEIKSKMNDRK >DRNTG_03107.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15640868:15644385:-1 gene:DRNTG_03107 transcript:DRNTG_03107.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLTLTPSRSLLYYQISALLLTFSAYAAFHASRKPPSIVKPVLGPDPSEANAGWPPFNGPRGPHRLGELDLAFLSAYSLGMYFAGHAGDRLDLRFLLSTGMFLSGASTITFGLGFFLKIHRFTFFVAVQVLAGLFHSIGWPCVVSVVGNWFGKSRRGLIMGIWSSHTSIGNILGSVMASSVLEFGWGWSFILPGLLIILVGLFIRVFLVVDPRDVGFEAPEDHEVITEMEMNPGECLDDLGDIGEEEEKIGFVESKWKKDEEDALKAIGFMEAWRLEGVAEFALCLFFSKLVAFTFLYWLPFYLRHTAVAGEHLSQKSAGMLSTIFDIGGVLGGISAGFLSDSIDARAVTSILFLVCSIPVLIIYRIFGSISMSLNIGLMFLSGYFVNAPYSLITTAVSADLGTQDTVKGNSRALATVTAIIDATGSVGATLGPLLTGYISTRGWNSVFFMLVSANSLAIMFLIRLAKTEIKSKMNDRK >DRNTG_03107.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15641059:15644494:-1 gene:DRNTG_03107 transcript:DRNTG_03107.5 gene_biotype:protein_coding transcript_biotype:protein_coding METLTLTPSRSLLYYQISALLLTFSAYAAFHASRKPPSIVKPVLGPDPSEANAGWPPFNGPRGPHRLGELDLAFLSAYSLGMYFAGHAGDRLDLRFLLSTGMFLSGASTITFGLGFFLKIHRFTFFVAVQVLAGLFHSIGWPCVVSVVGNWFGKSRRGLIMGIWSSHTSIGNILGSVMASSVLEFGWGWSFILPGLLIILVGLFIRVFLVVDPRDVGFEAPEDHEVITEMEMNPGECLDDLGDIGEEEEKIGFVESKWKKDEEDALKAIGFMEAWRLEGVAEFALCLFFSKLVAFTFLYWLPFYLRHTAVAGEHLSQKSAGMLSTIFDIGGVLGGISAGFLSDSIDARAVTSILFLVCSIPVLIIYRIFGSISMSLNIGLMFLSGYFVNAPYSLITTAVSADLGTQDTVKGNSRALATVTAIIDATGSVGATLGPLLTGYISTRGWNSVFFMLVSANSLAIMFLIRLAKTEIKSKMNDR >DRNTG_03107.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15641009:15644385:-1 gene:DRNTG_03107 transcript:DRNTG_03107.7 gene_biotype:protein_coding transcript_biotype:protein_coding METLTLTPSRSLLYYQISALLLTFSAYAAFHASRKPPSIVKPVLGPDPSEANAGWPPFNGPRGPHRLGELDLAFLSAYSLGMYFAGHAGDRLDLRFLLSTGMFLSGASTITFGLGFFLKIHRFTFFVAVQVLAGLFHSIGWPCVVSVVGNWFGKSRRGLIMGIWSSHTSIGNILGSVMASSVLEFGWGWSFILPGLLIILVGLFIRVFLVVDPRDVGFEAPEDHEVITEMEMNPGECLDDLGDIGEEEEKIGFVESKWKKDEEDALKAIGFMEAWRLEGVAEFALCLFFSKLVAFTFLYWLPFYLRHTAVAGEHLSQKSAGMLSTIFDIGGVLGGISAGFLSDSIDARAVTSILFLVCSIPVLIIYRIFGSISMSLNIGLMFLSGYFVNAPYSLITTAVSADLGTQDTVKGNSRALATVTAIIDATGSVGATLGPLLTGYISTRGWNSVFFMLVSANSLAIMFLIRLAKTEIKSKMNDRK >DRNTG_03107.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15640868:15644332:-1 gene:DRNTG_03107 transcript:DRNTG_03107.3 gene_biotype:protein_coding transcript_biotype:protein_coding METLTLTPSRSLLYYQISALLLTFSAYAAFHASRKPPSIVKPVLGPDPSEANAGWPPFNGPRGPHRLGELDLAFLSAYSLGMYFAGHAGDRLDLRFLLSTGMFLSGASTITFGLGFFLKIHRFTFFVAVQVLAGLFHSIGWPCVVSVVGNWFGKSRRGLIMGIWSSHTSIGNILGSVMASSVLEFGWGWSFILPGLLIILVGLFIRVFLVVDPRDVGFEAPEDHEVITEMEMNPGECLDDLGDIGEEEEKIGFVESKWKKDEEDALKAIGFMEAWRLEGVAEFALCLFFSKLVAFTFLYWLPFYLRHTAVAGEHLSQKSAGMLSTIFDIGGVLGGISAGFLSDSIDARAVTSILFLVCSIPVLIIYRIFGSISMSLNIGLMFLSGYFVNAPYSLITTAVSADLGTQDTVKGNSRALATVTAIIDATGSVGATLGPLLTGYISTRGWNSVFFMLVSANSLAIMFLIRLAKTEIKSKMNDRK >DRNTG_03107.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15641059:15644385:-1 gene:DRNTG_03107 transcript:DRNTG_03107.8 gene_biotype:protein_coding transcript_biotype:protein_coding METLTLTPSRSLLYYQISALLLTFSAYAAFHASRKPPSIVKPVLGPDPSEANAGWPPFNGPRGPHRLGELDLAFLSAYSLGMYFAGHAGDRLDLRFLLSTGMFLSGASTITFGLGFFLKIHRFTFFVAVQVLAGLFHSIGWPCVVSVVGNWFGKSRRGLIMGIWSSHTSIGNILGSVMASSVLEFGWGWSFILPGLLIILVGLFIRVFLVVDPRDVGFEAPEDHEVITEMEMNPGECLDDLGDIGEEEEKIGFVESKWKKDEEDALKAIGFMEAWRLEGVAEFALCLFFSKLVAFTFLYWLPFYLRHTAVAGEHLSQKSAGMLSTIFDIGGVLGGISAGFLSDSIDARAVTSILFLVCSIPVLIIYRIFGSISMSLNIGLMFLSGYFVNAPYSLITTAVSADLGTQDTVKGNSRALATVTAIIDATGSVGATLGPLLTGYISTRGWNSVFFMLVSANSLAIMFLIRLAKTEIKSKMNDR >DRNTG_03107.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15641059:15641573:-1 gene:DRNTG_03107 transcript:DRNTG_03107.14 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTIFDIGGVLGGISAGFLSDSIDARAVTSILFLVCSIPVLIIYRIFGSISMSLNIGLMFLSGYFVNAPYSLITTAVSADLGTQDTVKGNSRALATVTAIIDATGSVGATLGPLLTGYISTRGWNSVFFMLVSANSLAIMFLIRLAKTEIKSKMNDR >DRNTG_03107.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15640933:15641573:-1 gene:DRNTG_03107 transcript:DRNTG_03107.12 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTIFDIGGVLGGISAGFLSDSIDARAVTSILFLVCSIPVLIIYRIFGSISMSLNIGLMFLSGYFVNAPYSLITTAVSADLGTQDTVKGNSRALATVTAIIDATGSVGATLGPLLTGYISTRGWNSVFFMLVSANSLAIMFLIRLAKTEIKSKMNDRK >DRNTG_03107.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15640933:15644385:-1 gene:DRNTG_03107 transcript:DRNTG_03107.4 gene_biotype:protein_coding transcript_biotype:protein_coding METLTLTPSRSLLYYQISALLLTFSAYAAFHASRKPPSIVKPVLGPDPSEANAGWPPFNGPRGPHRLGELDLAFLSAYSLGMYFAGHAGDRLDLRFLLSTGMFLSGASTITFGLGFFLKIHRFTFFVAVQVLAGLFHSIGWPCVVSVVGNWFGKSRRGLIMGIWSSHTSIGNILGSVMASSVLEFGWGWSFILPGLLIILVGLFIRVFLVVDPRDVGFEAPEDHEVITEMEMNPGECLDDLGDIGEEEEKIGFVESKWKKDEEDALKAIGFMEAWRLEGVAEFALCLFFSKLVAFTFLYWLPFYLRHTAVAGEHLSQKSAGMLSTIFDIGGVLGGISAGFLSDSIDARAVTSILFLVCSIPVLIIYRIFGSISMSLNIGLMFLSGYFVNAPYSLITTAVSADLGTQDTVKGNSRALATVTAIIDATGSVGATLGPLLTGYISTRGWNSVFFMLVSANSLAIMFLIRLAKTEIKSKMNDRK >DRNTG_03107.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15641059:15644332:-1 gene:DRNTG_03107 transcript:DRNTG_03107.10 gene_biotype:protein_coding transcript_biotype:protein_coding METLTLTPSRSLLYYQISALLLTFSAYAAFHASRKPPSIVKPVLGPDPSEANAGWPPFNGPRGPHRLGELDLAFLSAYSLGMYFAGHAGDRLDLRFLLSTGMFLSGASTITFGLGFFLKIHRFTFFVAVQVLAGLFHSIGWPCVVSVVGNWFGKSRRGLIMGIWSSHTSIGNILGSVMASSVLEFGWGWSFILPGLLIILVGLFIRVFLVVDPRDVGFEAPEDHEVITEMEMNPGECLDDLGDIGEEEEKIGFVESKWKKDEEDALKAIGFMEAWRLEGVAEFALCLFFSKLVAFTFLYWLPFYLRHTAVAGEHLSQKSAGMLSTIFDIGGVLGGISAGFLSDSIDARAVTSILFLVCSIPVLIIYRIFGSISMSLNIGLMFLSGYFVNAPYSLITTAVSADLGTQDTVKGNSRALATVTAIIDATGSVGATLGPLLTGYISTRGWNSVFFMLVSANSLAIMFLIRLAKTEIKSKMNDR >DRNTG_14667.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5748914:5754209:1 gene:DRNTG_14667 transcript:DRNTG_14667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQAMEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKSQQ >DRNTG_10431.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22786579:22787056:-1 gene:DRNTG_10431 transcript:DRNTG_10431.1 gene_biotype:protein_coding transcript_biotype:protein_coding DEATASIDSATDNIIQQVINQEFSGCTVITIAHRVPTVIDSQMVMLLSYGEVVEYDKPSTLMQCDSSFSKLVAEYWFNHKRNVV >DRNTG_19524.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:131929:132717:-1 gene:DRNTG_19524 transcript:DRNTG_19524.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKNFFHGREDLFLLQVDTAKLGDGLIYEAVDENNFFPHFYGPSRSFSPLSLDTVRKAEKLQLVNGEFSCNILTESLN >DRNTG_19524.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:131929:133041:-1 gene:DRNTG_19524 transcript:DRNTG_19524.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKEEFVYRVSTAAEWDELQANGNTLGGDLDRRTGCIHLSTINQVKMVLKNFFHGREDLFLLQVDTAKLGDGLIYEAVDENNFFPHFYGPSRSFSPLSLDTVRKAEKLQLVNGEFSCNILTESLN >DRNTG_29060.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001464.1:20613:26561:1 gene:DRNTG_29060 transcript:DRNTG_29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MININENTTSSRPVEVVSQLVQEAPLAQPLMFKSQAFISESLYALAQCSSDLSSKGCGDCLTTILANIKACCTSAKGWRFLAPSCWIRYEATPFLQNLNGTSTSITQSYCSSHDFPASNGLNATAQLESLLSSLTEQASAFNGFYNTSEGEGMNKIYGLALCRGDLQNKKDDCQSCLKDASKSIVEDCPNKAQATEWYEKCFVRYSNQ >DRNTG_21988.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5430244:5437231:-1 gene:DRNTG_21988 transcript:DRNTG_21988.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDPNLSLLRRNLKVDDPWISPKTWESISSESGGGAAPSSKLRQREDPIYDTPIVSESALVRLAINALLGVKSALVEIDKLSTEFSVSPADRTSHRIPDFWRRWSSTSALAKLLNSFFHSGLVFFLLQRFVDYNSSEGHNATQSLVNQAFSVAVGKVLEGYVCALNTLLASVKLRRSSSGVTAEESSLDGVGSLTSVVHSEVTVLEVFLHTKELRTRIEALGNICFADVVNLSREDLSVDVEIEFSKFPRGADLLSYLYVQLRDADPVHYALLKFLFICSSDPYCGFIKSWIYRASIADPYKEFHVVDSDSDGATSSITKTGPLDDLSLAYVKELDFISVPCFLEDASRPLIRAGQQLQVLVKLLKLCNYGIFGYNNYGRENDPKDLPNLEDVLPCWEGVSSDSAFLLNPMMFCRKDLGDLVEKRNTMYQMMLDKLHHFFTKLDVKYHQLGYTGIPCPSMPSSDCKRNGMDSSLSLPLDLDLIWTPTIHEQGASGAEAQKDNDDSSTSDDLCYVVDPSQLSDSSSFDSCEDEDAPSVLGISSQPDAYLLSRVSICYDSRNVLQKLCETVRPNSLHPSHHNSSEGPVQVSPLVHPNHEDVELGKISTGQQFGDSKCSKILKTINEGFQSGKCWPLGGLLENPFYANMKYKSPKQLHFTEFVSEIADENSDNIDSGKSYFHEVFVSESSELDPFRRIKLMNDTFGGQSKGIPEPWACHDSYDLSTNPILRKSSFLHSTWNLRDRSSLNKKGSFFSYFDFSSVSDPCKVYSEHEFQVEAPIPTESGVPSPGTESVSSEQCAPKHMTDKLTIKTVISSNEDFQKEPLQNASSGANWEGSLSYSGENSTVSTRDKCGLFAAYETPLDVVISKCIVQGIMLQYNYVSNFTIKLLEQGFDLHGHLLALRRYHFMELADWADSFILSLRNQKWYGIEPEQKITEIQGQLDLALRRSSCENDQYRERLFLFMKGKNLTARPHSTTGIHWFDFLVLGYRVDWPVSVVITPEALEIYAGIFSYLIQIRLAAFSLADVWCSLKTLMYPTCHNRNLMHGEMKDFNILIKMRQQIDHFVSTLQHYVHSQLSHVSWSHFQHSLKYKVGIFFEFCCLS >DRNTG_21988.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5429740:5437231:-1 gene:DRNTG_21988 transcript:DRNTG_21988.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDPNLSLLRRNLKVDDPWISPKTWESISSESGGGAAPSSKLRQREDPIYDTPIVSESALVRLAINALLGVKSALVEIDKLSTEFSVSPADRTSHRIPDFWRRWSSTSALAKLLNSFFHSGLVFFLLQRFVDYNSSEGHNATQSLVNQAFSVAVGKVLEGYVCALNTLLASVKLRRSSSGVTAEESSLDGVGSLTSVVHSEVTVLEVFLHTKELRTRIEALGNICFADVVNLSREDLSVDVEIEFSKFPRGADLLSYLYVQLRDADPVHYALLKFLFICSSDPYCGFIKSWIYRASIADPYKEFHVVDSDSDGATSSITKTGPLDDLSLAYVKELDFISVPCFLEDASRPLIRAGQQLQVLVKLLKLCNYGIFGYNNYGRENDPKDLPNLEDVLPCWEGVSSDSAFLLNPMMFCRKDLGDLVEKRNTMYQMMLDKLHHFFTKLDVKYHQLGYTGIPCPSMPSSDCKRNGMDSSLSLPLDLDLIWTPTIHEQGASGAEAQKDNDDSSTSDDLCYVVDPSQLSDSSSFDSCEDEDAPSVLGISSQPDAYLLSRVSICYDSRNVLQKLCETVRPNSLHPSHHNSSEGPVQVSPLVHPNHEDVELGKISTGQQFGDSKCSKILKTINEGFQSGKCWPLGGLLENPFYANMKYKSPKQLHFTEFVSEIADENSDNIDSGKSYFHEVFVSESSELDPFRRIKLMNDTFGGQSKGIPEPWACHDSYDLSTNPILRKSSFLHSTWNLRDRSSLNKKGSFFSYFDFSSVSDPCKVYSEHEFQVEAPIPTESGVPSPGTESVSSEQCAPKHMTDKLTIKTVISSNEDFQKEPLQNASSGANWEGSLSYSGENSTVSTRDKCGLFAAYETPLDVVISKCIVQGIMLQYNYVSNFTIKLLEQGFDLHGHLLALRRYHFMELADWADSFILSLRNQKWYGIEPEQKITEIQGQLDLALRRSSCENDQYRERLFLFMKGKNLTARPHSTTGIHWFDFLVLGYRVDWPVSVVITPEALEIYAGIFSYLIQIRLAAFSLADVWCSLKTLMYPTCHNRNLMHGEMKDFNILIKMRQQIDHFVSTLQHYVHSQLSHVSWSHFQHSLKYKILILLFCTSIERFIDGLN >DRNTG_21988.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5429740:5437231:-1 gene:DRNTG_21988 transcript:DRNTG_21988.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFCRKDLGDLVEKRNTMYQMMLDKLHHFFTKLDVKYHQLGYTGIPCPSMPSSDCKRNGMDSSLSLPLDLDLIWTPTIHEQGASGAEAQKDNDDSSTSDDLCYVVDPSQLSDSSSFDSCEDEDAPSVLGISSQPDAYLLSRVSICYDSRNVLQKLCETVRPNSLHPSHHNSSEGPVQVSPLVHPNHEDVELGKISTGQQFGDSKCSKILKTINEGFQSGKCWPLGGLLENPFYANMKYKSPKQLHFTEFVSEIADENSDNIDSGKSYFHEVFVSESSELDPFRRIKLMNDTFGGQSKGIPEPWACHDSYDLSTNPILRKSSFLHSTWNLRDRSSLNKKGSFFSYFDFSSVSDPCKVYSEHEFQVEAPIPTESGVPSPGTESVSSEQCAPKHMTDKLTIKTVISSNEDFQKEPLQNASSGANWEGSLSYSGENSTVSTRDKCGLFAAYETPLDVVISKCIVQGIMLQYNYVSNFTIKLLEQGFDLHGHLLALRRYHFMELADWADSFILSLRNQKWYGIEPEQKITEIQGQLDLALRRSSCENDQYRERLFLFMKGKNLTARPHSTTGIHWFDFLVLGYRVDWPVSVVITPEALEIYAGIFSYLIQIRLAAFSLADVWCSLKTLMYPTCHNRNLMHGEMKDFNILIKMRQQIDHFVSTLQHYVHSQLSHVSWSHFQHSLKYKILILLFCTSIERFIDGLN >DRNTG_26978.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001372.1:49153:56160:1 gene:DRNTG_26978 transcript:DRNTG_26978.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQWVPVAPPGNCSQNHQSSCLNRSTLIPDKLPRISPLFIRLTSHPALSSSA >DRNTG_35378.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1730298:1731946:-1 gene:DRNTG_35378 transcript:DRNTG_35378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISICLSRGPIPNPHHHSLFFKPSPPSPYLLRPLLFPTRRRRHRHRHRILLLRPRASHLLSALDSAPSVLEASAVLAAIIVVHELGHFLAASLQGIHVSKFAIGFGPVLARFVSGSVEYSLRAFPLGGFVGFPDDDPDSGIPPDDADLLKNRPIPDRLLVVSAGVAANLLFAYLIVFSEVISVGLPVQKPLAGVLVPEVRSGSAAARDGLRPGDVILGVENIAFPSAPSVSEVVDVIKMSPKKSVPLRVLRGGAGSEPMVVNVVPDQSSDGSGRIGVQLSPNYSVSKLRASDLAEATRLAGKEFWGLATVVVDGLKQTFLNFSQSASKVSGPVAIIAVGAEVAKSSSDGLFQFAAVINLNLAVINLLPLPALDGGSLALLLVEAARGGRKLPRELEQRIMSSGILVVLMLGLFLIVRDTLNLDFIKEML >DRNTG_11040.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10596538:10597712:-1 gene:DRNTG_11040 transcript:DRNTG_11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCFQIHRKPISKEASKSPRVIPSPQHAKEDSSTKALGVASMSSSHPQLVRKDNGLESFWVNVLIERVKSSCPHEHESLREKTTKLHKNLMTHGTDISITRDNIYEVLDIAKIAHDTNNGGNDLNSVEKEELMAKEKRLKKTKLSLTAMSQHMDEANMELMQIR >DRNTG_31004.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30404998:30406428:1 gene:DRNTG_31004 transcript:DRNTG_31004.2 gene_biotype:protein_coding transcript_biotype:protein_coding VQQLSGETGCILRVYINVAFSKKTMFRGKIEQSTKDECREVYALWINNANDLLKQKKDVKLEDTISTNVGLGSSSEPAGDLMHNVIFEEIIETVSDKISPQSESPMTVNLEKWRSALVIFREAWASLCSYCGSQSLLPFVIAAAFLA >DRNTG_31004.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30404889:30406428:1 gene:DRNTG_31004 transcript:DRNTG_31004.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRICVHRIWDVQQLSGETGCILRVYINVAFSKKTMFRGKIEQSTKDECREVYALWINNANDLLKQKKDVKLEDTISTNVGLGSSSEPAGDLMHNVIFEEIIETVSDKISPQSESPMTVNLEKWRSALVIFREAWASLCSYCGSQSLLPFVIAAAFLA >DRNTG_28864.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22165647:22171214:-1 gene:DRNTG_28864 transcript:DRNTG_28864.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRNADSPKPPAGKKTPPAKKGAVPSPKSTAGQKGAKGKQAAAKTQAKVEEAPGSVEEKKMVKAPEKKSGGKGKSPLGAKAVAVVVVEKAEEVAEETLTSIVVPILEVMESKEGNKPGQELNLEPVLDYKDAAGGEEQRMEEDSEVVAVEEAVEGDEVVEEEAGGEGEGEGDEGDDDKGARMEEQIEISNMAKARMIKKEQEIFVGGLDRDAVEDDLKKVFERVGEVVEIRLHKDFNTNKNKGFAFVKFASKEQAAKALTELKNPMIRGKRCGVAVSEDNDTLFLGNICNTWTKEAIKNKLKEYCVDGVERITLVADSQNEGLSRGFAFLEFSCHAEAMLAYKRLQKPDVIFGHHERTAKVAFAEPLHEPDPEVMSQVKSVFIDGLPPYWDEDRVKEQFKEYGEIERVALARNMSKARRNDFGFVNFTTHEAAVACANGVKRYRIG >DRNTG_28864.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22165647:22171214:-1 gene:DRNTG_28864 transcript:DRNTG_28864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRNADSPKPPAGKKTPPAKKGAVPSPKSTAGQKGAKGKQAAAKTQAKVEEAPGSVEEKKMVKAPEKKSGGKGKSPLGAKAVAVVVVEKAEEVAEETLTSIVVPILEVMESKEGNKPGQELNLEPVLDYKDAAGGEEQRMEEDSEVVAVEEAVEGDEVVEEEAGGEGEGEGDEGDDDKGARMEEQIEISNMAKARMIKKEQEIFVGGLDRDAVEDDLKKVFERVGEVVEIRLHKDFNTNKNKGFAFVKFASKEQAAKALTELKNPMIRGKRCGVAVSEDNDTLFLGNICNTWTKEAIKNKLKEYCVDGVERITLVADSQNEGLSRGFAFLEFSCHAEAMLAYKRLQKPDVIFGHHERTAKVAFAEPLHEPDPEVMSQVKSVFIDGLPPYWDEDRVKEQFKEYGEIERVALARNMSKARRNDFGFVNFTTHEAAVACANGVKRYRIG >DRNTG_25877.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9066436:9066799:1 gene:DRNTG_25877 transcript:DRNTG_25877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQNVFETLIGKQQQILLATQVVKMILKIDDVIAPSEY >DRNTG_21756.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23170548:23172395:1 gene:DRNTG_21756 transcript:DRNTG_21756.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVDTSAHFLPPFHHAHLRPQQQQQQQHSLRRVPSTCDRHPDELVTGFCASCLRERLAGLEIRRNSTSSSSSSSAFKSLFVKSNPNAPLRRCKSFSCSRRDGIAASFEPQRKSCDVRGRSTLWSLFNQDDRLKEPGRDSFGVAGIENEAGCPNLGVPSFGIADPVHESREDDDGEEAEIRPADPEVVVETREEIVREEGLEEQAELKPMKDHIDLETQAKKPAPKDLKEIAGSFWMAASVFSKKLQKWRRKQKLKKQGSSKTGHGVPTEKPSNSRRFRDTQSEIAVDAFGRRSCDTDPRFSLDIGRFSLDYSWDEPRASWDGHLIGGRAPFHRVPPSMLSVIEDHPVPPAVQRTDGQIPVEEDSMMNPGGSAQTRDYYLDSSSQRRRRSLDRSNSVRKLSVELNEPKPLSNAKVSPAGAAELYHGYNNNGIRLERDARELSSNSLRDDCSESFESSYRYPCKEGEAAKKPKRWGKKWSIWGFIHWRSGGKGGDNAVGRSFSESWPELRRRGSNGRKVLRCNSNVSARHSFSSSSGSGRMMRSCVETNGGHGRKRRDEFVLERNRSARYSPSQGDNGMLRFYLTPMQSNWRNRALGKNRNTGSQFLARSMLQLY >DRNTG_16937.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:67058:69624:1 gene:DRNTG_16937 transcript:DRNTG_16937.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDFLLFFSPLIILSFIILIIISTFKINGKINTKSMKLPPGTMGLPFIGHTIPFMQPHSSSSLGLFINQNITKHGRIFKMSLLGKPTIVSADGDFNRYILQSEGRMFKNSCPTSIAEILGRWSMLALEGEIHKEMRSIAVNFMSNAKLRTYFLPDIEQQALKILNSWKVGSTFSAHVEGKKFAFNLMVKHLMSMNPGLPETEKLRKEYNTFMKGMASMPLNLPFTSYKKALQSRSVILNIMGRCFDERVEKIREACNGLEKDDLLASLSAETNLTRDQILDLILGMLFAGHETSSAAICLAIYFLESSPKALQQLREEHEYINRMKSESGLTWDDYKQMEFTQCVINETLRLGNIVKFLHRKAIKDVQYKGYDIPCGWEVVPIISAAHLDSNIYDDPQTYNPWRWQNTSTGKSKNKSIMSFSGGPRMCPGAELSKMEMAVFLHHLVLKFNWELVEHDYPVSFPFLGFPKNLPIKVHELKQN >DRNTG_31526.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19738619:19741193:1 gene:DRNTG_31526 transcript:DRNTG_31526.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAMVGVEGGGADDKHEGYGDGRPKEDTFIIREYIDETVKEKEKGTHEEGGTSPRRHLKEQDNDLRYYRLCTSRRSLKMNLGFTMGQIPRSRFYWPSMFISTISLR >DRNTG_26578.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20173656:20178924:-1 gene:DRNTG_26578 transcript:DRNTG_26578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWSPSLLLFLIVVAGFLISGALTPSSWTAGSHAFPLTSDEVLAAEDLWPPSQKTPGLPSGLALPPPVVSIKLFPAEDPARTAETLAPASRSILSFDSVGEFGKILVVRENGTLRLRDTKTSDYEWEISTGSRLKEQWTGNGTEHFYSIGEDWALYEHHKEFGKRKLDMTLEESIYKLAKVDGRTAVLGSISTTIIYVDESSGKILFRSTLPSVDDAEFAVDEKAIQEFQNHARTGSIMTIVRKDYSLKSLSFGETLWSLRAAQFTAYRGNKENQLLPSANNAPAVFPLSGENVPVYFMPEDYVHPLPSDPHDPIALDSSNETQTLFKFPLFLHGTGNVSFGEGEGAPSTISSTVLSASSGPSWSFVASTPQLDNTSHILTFSPPDMQTHIQPYRDDDTSLVRPSHSAEVLQATYGIFALLVILIVILACALYVKLRDEVKSDKQLIGSKGIENTVPYSKKRRNRKAANAKNGVIANRINSPNSSENENFDATKHKNNERYPFLHLVRDNDANDGRWIGRLLVLNSEIAVGSNGTVVFEGVYDGRPVAVKRLLRAHHDIAFKEIQNLIASDQHPNIVRWYGVEQDIDFVYISLERCLSSLGDLIQLCANSSSDTDISKTPDSTYISELKLRLDFPNNIDNLELWREDGFPSSRLLKLMRDVVSGLVHLHEIGIIHRDLKPQNILISSDKFLTAKLSDMGISKRLPENMSSLGHHATGYGSSGWQAPEQLLHGRQTRAVDLFSLGCILFFCITKGKHPFGNHFERDANIINNRFDLFLVDHIPEAVHLLSQLLDAEPDKRPSAIGVLHHPFFWSSEMRLSFLRDVSDRVEQEDKGSPSELLEALENVSPVAFGGKWNDKLDSAFISDMGRYRKYNFEFTRDLLRVIRNKLSHYRELANELQEILGPVPEGFDNYFSKRFPNLLIEVYKVISRYCRQEVCFQKYFESILF >DRNTG_22859.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4508330:4515661:1 gene:DRNTG_22859 transcript:DRNTG_22859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFFRGSSRSPRSTPQSDLAKAIHDSLLALDSKTASKALEEVEKNIATMRQVLSGDGDAEPNPEQVSKLALDICKEDVLSLLVQNLPTLGWKARKDLVHCWCILLGQTDGFTYCCVDYLEKHVELLDFLVMCYNNKEIALNCGSMLRECVKYPTLAKYILESASFELFFKYVELANFDIASDALCTFKELLTRHETAVSQFLSGHYEQFFKLYEKLLTSSNYVTRRQSLKILSEFLLEPPNSQIMKQYITEVRFLKIMMALLEDSSKNIQISAFHMFKVFVANPNKPPEIIDTLSKNCEALLRQLHNLPTSKGGEDEQFEEERDLIINEITRVSQLSNAIT >DRNTG_02460.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19444715:19449238:-1 gene:DRNTG_02460 transcript:DRNTG_02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRNRPLTIEELPSHLVLEILSSGRLAAADLASLEASSRMFRGSNGVLPKAFRSMVEFAAFHSCESHLIFAALAPSAQKELLDRCGGNWKKVLRFLQSVEQSSGIVETSAGNMQVATGSYHTLLIHDFSVYSCGSSLCGVLGHGPDTKHCAVFSRINFFPSQRVLHISASHNHAAFVMQSGEVFTCGNNSSFCCGHGEVRRTIFRPTRIETLKGVPCKQVATGLSFTVILTAQGEVYSCGSNTHGQLGLGDTLDRPTPKKVAWFASVGRVVQIAAGASYTFAVTDNGTVYSFGSCTNFCLGHGDQHDELLPRAIQSFKRRNIHVVHVSAGDEHAVALDSNGFVYTWGRGYCGALGHGDENDKTSPELLIRLKGHLAVQVCARKRKTFVLTDAGAVFAFGWMGFGSLGFLDRGCSDKVMKPRILDSLRPYHVSQISTGLYHTVAVTNRGLVFGLGDNERAQLGQECLRGCLKPTEIALEKAIDNMAIAAQSG >DRNTG_32967.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30788287:30794818:-1 gene:DRNTG_32967 transcript:DRNTG_32967.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Solute carrier family 40 member 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G26820) UniProtKB/Swiss-Prot;Acc:Q8W4E7] MDSFPRIPAYYSLNIVQTIAQLLSVAMIIHALKTIPHSPASAVILQPWFIVLVLAGAIERVAGLALGVTVERDWVVLLAGANRPIALAQANAMLNRIDLLCEIAGASLFGILLSKYDPVTCLKLASGLMIFALPVLILLGQLINKLSSGVLDSFRLPQTGVNSFKADALLNPWRIVQKGLDVIKRGWLEYKQQPVLPASVAYVFLYFNVALAPGAIMTAFLTHHGISPSIIGGFSGLCAFMGVSATFMSAALVKEFGVLKAGAAGLIFQSSLLMIAVAVLLSGSVSRQNSLYVFLYLVVLSRLGHMSYDIVGTQILQTGIPTNKANLIGTTEISIASLAELVMLGVAILANDVSHFGFLAILSVSSVICATWIFCQWLANPTDEHRKLFNFDPQFGPNVR >DRNTG_32967.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30788287:30797930:-1 gene:DRNTG_32967 transcript:DRNTG_32967.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Solute carrier family 40 member 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G26820) UniProtKB/Swiss-Prot;Acc:Q8W4E7] MGLVIISSRPPISSFLQSRSSRISRTPPVSSRSRRWILAPGSPLPPVRRPIFRLKNFISNCSITNVDIDTESITTEDQGQGDLSSLSSCCSIPVVHITQDILDAQPLNLVTEENYKTSLFTTLPVLSEEEQVALSATPAHPAGLYALYAICSAGNLVEQLWNFAWPAAVAILHPSLLPVAVLGFFTKLAICVGSPLVGDLMDSFPRIPAYYSLNIVQTIAQLLSVAMIIHALKTIPHSPASAVILQPWFIVLVLAGAIERVAGLALGVTVERDWVVLLAGANRPIALAQANAMLNRIDLLCEIAGASLFGILLSKYDPVTCLKLASGLMIFALPVLILLGQLINKLSSGVLDSFRLPQTGVNSFKADALLNPWRIVQKGLDVIKRGWLEYKQQPVLPASVAYVFLYFNVALAPGAIMTAFLTHHGISPSIIGGFSGLCAFMGVSATFMSAALVKEFGVLKAGAAGLIFQSSLLMIAVAVLLSGSVSRQNSLYVFLYLVVLSRLGHMSYDIVGTQILQTGIPTNKANLIGTTEISIASLAELVMLGVAILANDVSHFGFLAILSVSSVICATWIFCQWLANPTDEHRKLFNFDPQFGPNVR >DRNTG_32967.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30788287:30794818:-1 gene:DRNTG_32967 transcript:DRNTG_32967.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Solute carrier family 40 member 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G26820) UniProtKB/Swiss-Prot;Acc:Q8W4E7] MDSFPRIPAYYSLNIVQTIAQLLSVAMIIHALKTIPHSPASAVILQPWFIVLVLAGAIERVAGLALGVTVERDWVVLLAGANRPIALAQANAMLNRIDLLCEIAGASLFGILLSKYDPVTCLKLASGLMIFALPVLILLGQLINKLSSGVLDSFRLPQTGVNSFKADALLNPWRIVQKGLDVIKRGWLEYKQQPVLPASVAYVFLYFNVALAPGAIMTAFLTHHGISPSIIGGFSGLCAFMGVSATFMSAALVKEFGVLKAGAAGLIFQSSLLMIAVAVLLSGSVSRQNSLYVFLYLVVLSRLGHMSYDIVGTQILQTGIPTNKANLIGTTEISIASLAELVMLGVAILANDVSHFGFLAILSVSSVICATWIFCQWLANPTDEHRKLFNFDPQFGPNVR >DRNTG_32967.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30788287:30794818:-1 gene:DRNTG_32967 transcript:DRNTG_32967.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Solute carrier family 40 member 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G26820) UniProtKB/Swiss-Prot;Acc:Q8W4E7] MDSFPRIPAYYSLNIVQTIAQLLSVAMIIHALKTIPHSPASAVILQPWFIVLVLAGAIERVAGLALGVTVERDWVVLLAGANRPIALAQANAMLNRIDLLCEIAGASLFGILLSKYDPVTCLKLASGLMIFALPVLILLGQLINKLSSGVLDSFRLPQTGVNSFKADALLNPWRIVQKGLDVIKRGWLEYKQQPVLPASVAYVFLYFNVALAPGAIMTAFLTHHGISPSIIGGFSGLCAFMGVSATFMSAALVKEFGVLKAGAAGLIFQSSLLMIAVAVLLSGSVSRQNSLYVFLYLVVLSRLGHMSYDIVGTQILQTGIPTNKANLIGTTEISIASLAELVMLGVAILANDVSHFGFLAILSVSSVICATWIFCQWLANPTDEHRKLFNFDPQFGPNVRIGNSSA >DRNTG_32967.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30788287:30797930:-1 gene:DRNTG_32967 transcript:DRNTG_32967.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Solute carrier family 40 member 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G26820) UniProtKB/Swiss-Prot;Acc:Q8W4E7] MDSFPRIPAYYSLNIVQTIAQLLSVAMIIHALKTIPHSPASAVILQPWFIVLVLAGAIERVAGLALGVTVERDWVVLLAGANRPIALAQANAMLNRIDLLCEIAGASLFGILLSKYDPVTCLKLASGLMIFALPVLILLGQLINKLSSGVLDSFRLPQTGVNSFKADALLNPWRIVQKGLDVIKRGWLEYKQQPVLPASVAYVFLYFNVALAPGAIMTAFLTHHGISPSIIGGFSGLCAFMGVSATFMSAALVKEFGVLKAGAAGLIFQSSLLMIAVAVLLSGSVSRQNSLYVFLYLVVLSRLGHMSYDIVGTQILQTGIPTNKANLIGTTEISIASLAELVMLGVAILANDVSHFGFLAILSVSSVICATWIFCQWLANPTDEHRKLFNFDPQFGPNVR >DRNTG_30472.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11413597:11415722:1 gene:DRNTG_30472 transcript:DRNTG_30472.2 gene_biotype:protein_coding transcript_biotype:protein_coding RNKPESSKLQSLSRPSSSPLLQSLRREAVGW >DRNTG_30472.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11413597:11415796:1 gene:DRNTG_30472 transcript:DRNTG_30472.1 gene_biotype:protein_coding transcript_biotype:protein_coding RNKPESSKLQSLSRPSSSPLLQSLRREAVGW >DRNTG_35351.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18399769:18402726:1 gene:DRNTG_35351 transcript:DRNTG_35351.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDLKMPPWDMAELGRDSEPEIGSVATTKPDCSVDLKLGGLGDFEASDKWKELQQHHHQQQQEQEQQHQQQQLRISMSTPTKRARAMSNGSQNVSCLVDGCKSDLSNCREYHRRHKVCEVHSKTPMVMVGGQEQRFCQQCSRFHLLIEFDEVKRSCRKRLDGHNRRRRKPQPDSTINPGSMFANNRGLYSHEFLNFSL >DRNTG_35351.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18399769:18402726:1 gene:DRNTG_35351 transcript:DRNTG_35351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDLKMPPWDMAELGRDSEPEIGSVATTKPDCSVDLKLGGLGDFEASDKWKELQQHHHQQQQEQEQQHQQQQLRISMSTPTKRARAMSNGSQNVSCLVDGCKSDLSNCREYHRRHKVCEVHSKTPMVMVGGQEQRFCQQCSRFHLLIEFDEVKRSCRKRLDGHNRRRRKPQPDSTINPGSMFANNRGTTSSRFLPYAQMFPTTSLEPNWANTIKTEQNVVYVHPSSMHPIDRQQGFPVSSSSSYEASKHFPFLPDTGPILGSRTAIETSVCQPLLETMLVTSENSSIKMFSSDGLTQVLDSDCALSLLSSPTPGRIPMPYDTLGRYSSQQDSSNISPTVLVSSAADTYLPCQNMFQVDGTGSSVDTSNALPFSWH >DRNTG_25249.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19280824:19282965:-1 gene:DRNTG_25249 transcript:DRNTG_25249.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQELLVSMADKVDYVEGFLVLNEKSLHSSSIAFSANLDNLLPHLQPSKIHYCIEFAIHDSSSSSSTVEQVVEEISRMLRYMPTQVYSVEVSYFDFLNRVRLEELSLRKRNMWDVPHPWMNMFVPKQGINDFKDLLMESISAQNFEGLILIYPLLRDKWDTNTSALLPESGGSEKMVYVVGVLRSANPTTCSTKCLNEILRSHNHIAQIAGEERIGARQYLPHHPSPAHWRRHFGRRWDRFAARKARFDPLCLLSPGQGIFPRALPSLKFS >DRNTG_25249.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19280824:19282965:-1 gene:DRNTG_25249 transcript:DRNTG_25249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFALFCTRVNVVILLLALCSPCKFIQSPMEFGPLNNFLQTSSTASVDFGRINFNFPAAVLRPESPKDISLLLSFLSASSSSKVSVAARGAGHSIHGQAQAQDGIVIEMESLPSAIEIHKKKEEEDEDDGVSYADVSGGVLWIELLEETLKQGLAPRSWTDYLYLSIGGTLSNAGISGQTFKHGPQISNVLQLDVVTGKGELVTCSPTKNSELFNAVLGGLGQFGIITRARILLQDAPQKVKWVRAFYNDFQTFMEDQELLVSMADKVDYVEGFLVLNEKSLHSSSIAFSANLDNLLPHLQPSKIHYCIEFAIHDSSSSSSTVEQVVEEISRMLRYMPTQVYSVEVSYFDFLNRVRLEELSLRKRNMWDVPHPWMNMFVPKQGINDFKDLLMESISAQNFEGLILIYPLLRDKWDTNTSALLPESGGSEKMVYVVGVLRSANPTTCSTKCLNEILRSHNHIAQIAGEERIGARQYLPHHPSPAHWRRHFGRRWDRFAARKARFDPLCLLSPGQGIFPRALPSLKFS >DRNTG_17360.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32266064:32274634:-1 gene:DRNTG_17360 transcript:DRNTG_17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNMKTLTQALAKASAVIEKTVQTTVQEVTGPRPLQDYDLLDQIGSGGPGMAWRLYSARPRSSAPQTPYPLLCVWLLDKRSLSEARSRAGLSKSAEDAFLDLLRADAARLVRLRHPGVVHVVQALDETKNAMAMVTEPLFASVANALGNLENVPKPPKDLQGMDMGILEVKHGLLQVAESLDFLHNNAHLIHRAISPETVFITSSGAWKLGGFGFAISSDQASAGATSMPPFHYAEYDVEDAVLPLQPSLNYTAPELVRSKELSVGASSDMFSLGCLAYHLITHKPLLDCHNNVKMYMNSLAYLSNEAFSRIPPDLVVDLQRMLSMDGASRPTAMDFTGSSFFRDDTRLRALRFLDHMLERDNMQKTEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNLVMQPMILPMVLTIAESQDKADFELSTLPALVPVLSSASGETLLLLVKHADLIINKASQEHLIAHVLPLLVRAYDDTDPRVQEEVLRRTFPLARQLDGQLVKQAILPRVHGLALKTTVAAVRVNALRCLGDLVPSLDKQCVLDILQTLQRCTAVDRSAPTLMCTLGVVNSMYKQYGVEFAAEHVIPMLFPLLTAQQLNVQQFAKYMLFVKDVLRKIEDKRGVTVTESGVSEVRVSPLSSNGLHSVPTQAQSNPISLAKKSPAWDEDWGPTTKGTAISSVPVESNLLPKQTMPTPQPAAVTNTMLQPSTSVPSQQTSSCTPVDIEWPPSSSSFGYQFGNSEKEKQNNGGLSDTAFDDIDPFANWPPKPNSSVATLAAPTKTTYGHGMSALSTNYMSSLSNTNPIGLSNTQQGNSVSSNIQNGAGLNMHNIGLLYQGNTTSGAGNSNLPLGVGYPKTNNTIGSQNTGPKSADLGSIFASGNNANPTPKLAPPPATAVGRGRGRNQGPGGLSQLSRANHAKSSTTDKPLLDLL >DRNTG_09310.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:928291:931205:-1 gene:DRNTG_09310 transcript:DRNTG_09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAVAVVRALKAGRSGISRFSTFSALSPPPPAEAPPNAEPDTNLFVAGLSKRTTSEGLREAFAKFGEVISAKVVTDRVSGYSKGFGFVRYATLEDAAKGIEGMDGKFLDGWVIFAEYARSRPTTPPPPPPPPSEGPSGHQT >DRNTG_31742.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21718334:21730913:1 gene:DRNTG_31742 transcript:DRNTG_31742.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:SETH2 [Source:Projected from Arabidopsis thaliana (AT3G45100) UniProtKB/TrEMBL;Acc:A0A178VAH7] MDKQLRHRILMVSDFYYPNFGGVENHIYYLSQCLLQLGHKVVVLTHSYANRSGVRYMTGSLKVYYMPWRPFVLQNTLPTIYLSLPIIRTILIRERITVVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADVGSIHMNKVLQFSLADIDQAICVSHTSKENTVLRSGIPPEKVFVIPNAVDTAMFTPAHKRLDCDTIVIVVISRLVYRKGADLLVEVIPEVCNLFPNVRFIVGGDGPKRVRLEEMREKHSLQDRVDMLGAVPHAQVRSVLISGHIFLNRYAFCAIICIPCPKA >DRNTG_31742.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21718334:21730913:1 gene:DRNTG_31742 transcript:DRNTG_31742.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SETH2 [Source:Projected from Arabidopsis thaliana (AT3G45100) UniProtKB/TrEMBL;Acc:A0A178VAH7] MDKQLRHRILMVSDFYYPNFGGVENHIYYLSQCLLQLGHKVVVLTHSYANRSGVRYMTGSLKVYYMPWRPFVLQNTLPTIYLSLPIIRTILIRERITVVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADVGSIHMNKVLQFSLADIDQAICVSHTSKENTVLRSGIPPEKVFVIPNAVDTAMFTPAHKRLDCDTIVIVVISRLVYRKGADLLVEVIPEVCNLFPNVRFIVGGDGPKRVRLEEMREKHSLQDRVDMLGAVPHAQVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTKVGGVPEVLPDDMIVLAEPDPYDMVQAIKKAIHMLPFIDPHLMHNRMKSLYSWHDVAKRTEVVYDRARQCSKKDFLHCLSRYLRCGAWAGKIFFLVMLLNFLLLSLLQIWQPWENIEEVPDMELTQDQQEDIQLNS >DRNTG_31742.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21718334:21730913:1 gene:DRNTG_31742 transcript:DRNTG_31742.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SETH2 [Source:Projected from Arabidopsis thaliana (AT3G45100) UniProtKB/TrEMBL;Acc:A0A178VAH7] MDKQLRHRILMVSDFYYPNFGGVENHIYYLSQCLLQLGHKVVVLTHSYANRSGVRYMTGSLKVYYMPWRPFVLQNTLPTIYLSLPIIRTILIRERITVVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADVGSIHMNKVLQFSLADIDQAICVSHTSKENTVLRSGIPPEKVFVIPNAVDTAMFTPAHKRLDCDTIVIVVISRLVYRKGADLLVEVIPEVCNLFPNVRFIVGGDGPKRVRLEEMREKHSLQDRVDMLGAVPHAQVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTKVGGVPEVLPDDMIVLAEPDPYDMVQAIKKAIHMLPFIDPHLMHNRMKSLYSWHDVAKRTEVVYDRARQCSKKDFLHCLSRYLRCGAWAGKIFFLVMLLNFLLLSLLQIWQPWENIEEVPDMELTQDQQEDIQLNS >DRNTG_31742.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21718334:21730913:1 gene:DRNTG_31742 transcript:DRNTG_31742.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:SETH2 [Source:Projected from Arabidopsis thaliana (AT3G45100) UniProtKB/TrEMBL;Acc:A0A178VAH7] MDKQLRHRILMVSDFYYPNFGGVENHIYYLSQCLLQLGHKVVVLTHSYANRSGVRYMTGSLKVYYMPWRPFVLQNTLPTIYLSLPIIRTILIRERITVVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADVGSIHMNKVLQFSLADIDQAICVSHTSKENTVLRSGIPPEKVFVIPNAVDTAMFTPAHKRLDCDTIVIVVISRLVYRKGADLLVEVIPEVCNLFPNVRFIVGGDGPKRVRLEEMREKHSLQDRVDMLGAVPHAQVRSVLISGHIFLNRYAFCAIICIPCPKA >DRNTG_31742.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21718334:21730913:1 gene:DRNTG_31742 transcript:DRNTG_31742.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:SETH2 [Source:Projected from Arabidopsis thaliana (AT3G45100) UniProtKB/TrEMBL;Acc:A0A178VAH7] MDKQLRHRILMVSDFYYPNFGGVENHIYYLSQCLLQLGHKVVVLTHSYANRSGVRYMTGSLKVYYMPWRPFVLQNTLPTIYLSLPIIRTILIRERITVVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADVGSIHMNKVLQFSLADIDQAICVSHTSKENTVLRSGIPPEKVFVIPNAVDTAMFTPAHKRLDCDTIVIVVISRLVYRKGADLLVEVIPEVCNLFPN >DRNTG_31742.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21718334:21730913:1 gene:DRNTG_31742 transcript:DRNTG_31742.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:SETH2 [Source:Projected from Arabidopsis thaliana (AT3G45100) UniProtKB/TrEMBL;Acc:A0A178VAH7] MDKQLRHRILMVSDFYYPNFGGVENHIYYLSQCLLQLGHKVVVLTHSYANRSGVRYMTGSLKVYYMPWRPFVLQNTLPTIYLSLPIIRTILIRERITVVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADVGSIHMNKVLQFSLADIDQAICVSHTSKENTVLRSGIPPEKVFVIPNAVDTAMFTPAHKRLDCDTIVIVVISRLVYRKGADLLVEVIPEVCNLFPN >DRNTG_31742.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21718334:21730913:1 gene:DRNTG_31742 transcript:DRNTG_31742.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SETH2 [Source:Projected from Arabidopsis thaliana (AT3G45100) UniProtKB/TrEMBL;Acc:A0A178VAH7] MDKQLRHRILMVSDFYYPNFGGVENHIYYLSQCLLQLGHKVVVLTHSYANRSGVRYMTGSLKVYYMPWRPFVLQNTLPTIYLSLPIIRTILIRERITVVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADVGSIHMNKVLQFSLADIDQAICVSHTSKENTVLRSGIPPEKVFVIPNAVDTAMFTPAHKRLDCDTIVIVVISRLVYRKGADLLVEVIPEVCNLFPNVRFIVGGDGPKRVRLEEMREKHSLQDRVDMLGAVPHAQVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTKVGGVPEVLPDDMIVLAEPDPYDMVQAIKKAIHMLPFIDPHLMHNRMKSLYSWHDVAKRTEVVYDRARQCSKKDFLHCLSRYLRCGAWAGKIFFLVMLLNFLLLSLLQIWQPWENIEEVPDMELTQDQQEDIQLNS >DRNTG_31742.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21718334:21730913:1 gene:DRNTG_31742 transcript:DRNTG_31742.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SETH2 [Source:Projected from Arabidopsis thaliana (AT3G45100) UniProtKB/TrEMBL;Acc:A0A178VAH7] MDKQLRHRILMVSDFYYPNFGGVENHIYYLSQCLLQLGHKVVVLTHSYANRSGVRYMTGSLKVYYMPWRPFVLQNTLPTIYLSLPIIRTILIRERITVVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADVGSIHMNKVLQFSLADIDQAICVSHTSKENTVLRSGIPPEKVFVIPNAVDTAMFTPAHKRLDCDTIVIVVISRLVYRKGADLLVEVIPEVCNLFPNVRFIVGGDGPKRVRLEEMREKHSLQDRVDMLGAVPHAQVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTKVGGVPEVLPDDMIVLAEPDPYDMVQAIKKAIHMLPFIDPHLMHNRMKSLYSWHDVAKRTEVVYDRARQCSKKDFLHCLSRYLRCGAWAGKIFFLVMLLNFLLLSLLQIWQPWENIEEVPDMELTQDQQEDIQLNS >DRNTG_28260.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2768405:2773921:1 gene:DRNTG_28260 transcript:DRNTG_28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKADRSGIQEAQHAAKVPAKEAGWATEFSREYHRWQCMLYQDASCIPRGNQGLLYTTRKSSHLRFTCKN >DRNTG_28260.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2768405:2769329:1 gene:DRNTG_28260 transcript:DRNTG_28260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATVSPPSLAPQHRPPSQSLTSPSLYPLKEPDSDWTILSSPMTFMMGGNIKAW >DRNTG_28260.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2771542:2773921:1 gene:DRNTG_28260 transcript:DRNTG_28260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCARQIIGSHCLQESTLGSTSPLIYACCVVQMFTLIFPSSSLLTPYCLFFL >DRNTG_11380.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000505.1:4933:5735:1 gene:DRNTG_11380 transcript:DRNTG_11380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRARIGSRSDKVKGMEKKENRTEIKVVNRLMDTNKASSRIKSRRERKLALQQDV >DRNTG_11380.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000505.1:4933:5874:1 gene:DRNTG_11380 transcript:DRNTG_11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRARIGSRSDKVKGMEKKENRTEIKVVNRLMDTNKASSRIKSRRERKLALQQDVDKLKKKLRHEENVHRAL >DRNTG_11380.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000505.1:5182:5735:1 gene:DRNTG_11380 transcript:DRNTG_11380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRARIGSRSDKVKGMEKKENRTEIKVVNRLMDTNKASSRIKSRRERKLALQQDV >DRNTG_13001.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26642481:26642862:1 gene:DRNTG_13001 transcript:DRNTG_13001.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITGLHPSRNPAFNGDKQTYIPSKTPSRHLNLIVDRGCVLISSSL >DRNTG_31977.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:655352:657314:1 gene:DRNTG_31977 transcript:DRNTG_31977.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYLSAWTLMGHEYVEMKNTPAAIDAYRRAVDINPRDYRAWYGLGQTYEMMGMPFYALYYFRKSSYLQPNDARLWIAMAQCYESVPLQMLEEAIKCYMRAANCNDREGIALHQLAKLHSVLGRSEQAAFYYKKDLEKLEADERQGPNMIEALLFLAKHCKAEKRFEEAEMYCTRLLDYTGPVSFYNFFSSRY >DRNTG_31977.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:655352:657314:1 gene:DRNTG_31977 transcript:DRNTG_31977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELEKPLGKSDAVNAELVSLERELSTLRRTGSIDSFGLYLYGIVLKEKGSEKLAITALVESVNSYPWNWSAWSELQSLCTTTEVLNNLNLKNHWMKDFFLASAYQELKMHEEALKRYEYLLNISRFSDYIQAQIAAALYNLREFDEAEVVFEELLRTDPYRVDAMDIYSNLLYAKESFSALSFLAHRVFLTDKYRPESCCIIANYYSLKGQHEKSVIYFKRALKLNKKYLSAWTLMGHEYVEMKNTPAAIDAYRRAVDINPRDYRAWYGLGQTYEMMGMPFYALYYFRKSSYLQPNDARLWIAMAQCYESVPLQMLEEAIKCYMRAANCNDREGIALHQLAKLHSVLGRSEQAAFYYKKDLEKLEADERQGPNMIEALLFLAKHCKAEKRFEEAEMYCTRLLDYTGPVSFYNFFSSRY >DRNTG_08249.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:145610:146742:1 gene:DRNTG_08249 transcript:DRNTG_08249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYDAGQRQIECYVRAYILRLIGRVLMPDMSQNRVHQKWLPLLRDFTEAGRYSWGSAYLATLISSISPRTRAIVSFPLARRWAHIEVDDDSRNNKHNVKIYRQLLDRLEMQQTFMDCVYIIDLLRSCRVAPNRLSDKTIWLRPRIDTDWALMHKRWIGYWRDRASRCLSLYVPARNNAMSVEHYDWYTTNTILFLSTDQDLLDPRTRGNTLLTPLRAFPTVVVNVSPPSPPVRRHRRHSSRAEHDEAHIP >DRNTG_32301.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001817.1:89507:90524:-1 gene:DRNTG_32301 transcript:DRNTG_32301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWSGIVSSKGPLVWPRAYLARSVPMKAPPIIFFQVECDVEASTCPCGFSIWACENSARAWITFYSVLLQCPLQYSTCYNAGLKHSRIHAFIEYLLQCLAKNTPDSHFSSRQHKWAHVYTIDRVTSSIKGFVGEDLDIIAKVGIRK >DRNTG_04900.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11155646:11176864:-1 gene:DRNTG_04900 transcript:DRNTG_04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosylglycoprotein endo-beta-mannosidase [Source:Projected from Arabidopsis thaliana (AT1G09010) UniProtKB/Swiss-Prot;Acc:Q75W54] MAKIEKTRLDSGWLAARSTEIDLTGVQLTTTHPPSGPSSPWMEAAVPGTVLGTLVKNKLVPDPFYGLNNEAILDIADSGREYYTFWFFTTFECKLSGNQHVNLNFRAINYSAELYLNGHKEILPKGMFRRHSLDITDILHLDGKNLLAVLVHPPDHPGTIPHEGGQGGDHEIGKDVAAQYVEGWDWMAPIRDRNTGIWDEVSISVTGPVKISDPHLVSSFFDDYRRVYLHSTVELENKSSWTAECTLTLQVTTELEKDVLLVENVQTEELSIPPGTTKQHTLLPAFFYKPNLWWPNGMGKQSLYNVVITVDVKGFGESDSWSHHFGFRKIESVIDNATGGRLFKVNGQPIFIRGGNWILSDGLLRLSKERYMADIKFHADMNFNMMRCWGGGLAERPEFYHYCDIYGLLVWQEFWITGDCDGRGIPVSNPNGPLDHDLFLLCARDTVKLLRNHASLALWVGGNEQVPPKDINTALKNDLQLHPLFRTEIPESEEDLLLKSDQDPSQYLDGTRVYVQGSMWDGFANGKGDFTDGPYEIQNPEDFFKDDYYKYGFNPEVGSVGMPVAATIHATMPPEGWAIPLFKKLASGFIEEVPNPIWDYHKYIPYSKPGKVHDQIEMYGHPKNLDDFCEKAQLVNYFQYRALLEGWTSRMWTKYTGVLIWKTQNPWTGLRGQFYDHLHDQTAGFYGCRSAAEPVHVQLNLISYFVEVVNTTSDELTDVAVEMSVWDLDGSCPYYKVTDKISVPQKKVLPIIEMKYPKSKDAKPVYFLLLKLFKLSDNTVLSRNFYWLYLSGNDYQLLEPYRKKKIPLKITSQALVSGSSYKIEMHVQNTSKSSHSTNNGFKRMESEKYIVDYDINLSNSNEKANPETDQTSGLNKICRCLFSRSSNSPKVLLTEGADSGVAFFLHFSVHAVKKAAENEVDDRILPVHYSDNYFSLVPGETLPITIFFKAPEGVTPRVVLNGWNYDEEHSVL >DRNTG_29150.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5316495:5322968:-1 gene:DRNTG_29150 transcript:DRNTG_29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNIVTEAGLPTRLNQWWAGVPFITSGVVIVCLAIYLVCLLIGYDSFYEICFLPSKVVSGFQVYRIYTAVLFHGSLLHVVFNMLALVPLGTELERIMGSVRLLYLIFLLTTSNAVFHLIIALVVGHNPISSTLYLMQECAIGFSGILFSFIVIETNLSGAQSRSVFGLFNVPSKLYPFILLVLIQLLATNVSLLGHLCGILSGFAYTYGLFNCLLPGSSFYSSIESSSLLSTCIRRPGFILCTGGTTYGNLPTHSYTNGTSSGIISGSLWRNLSSWMPRRETTVQSTQDPRFPGRGRTLASTHNQSASAAEPDMGLQTRLLDDSVTSHPSETPLMTGPRASDARNLTGDTQVAASLAAYTQATDSFDEELKKLVAMGFEKTQAEVALAAADGDPNVAIEILMSQEG >DRNTG_11585.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:12723625:12726870:1 gene:DRNTG_11585 transcript:DRNTG_11585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALSEDDGLMRDSGNMDLNNNNGDPHMALALGALGVELNQHIQSHLLQMENSDIGEPLIGMEFDTDEAAKEYYVAYANRVGFGVRMNKSRRSRKDDTVIMRRFVCTREGFHSKRVIYDDGKKKRKRGTTREGCMAMIEVIRKDHGKWVVTKLVTDHTHMVALPGKIRPQLEKSSFLNDSAYLVHGESSGGFNNLKNFNRGIRVNPFGEGGEAQGLLEYLKRMQAENPAFFYAIQVDNNNCMTNVFWADAKSRLAYQYFGDTVTFDTTYKKTKYMMPFATFRGVNHHLQSVTFGCALLMDETKGSYIWLFETWLAAMGGQHPHSLVTDRDKAMEGAITRVFPNTRHLFCKWHILSRCKQKLSDVYLKYPTLKAELKKCVNESETIEEFETNWEYMLDRYNLWDNTWLQSLYDIRRKWATVYQKDTFFPELSGSQRSESLNKFFKRNFNTKTSLLLFIQRFDQAMATQYEKEAEADFATVYSKPPLKSPSPMEKQAAEIYTNAVFDKFQEEFVESLGYYVERFENGPVSKYSVAKEEDSSKTYIVSFSESEKKATCSCCKFESSGILCRHILRVFFIVGVRVLPEEYILKRWSRNAMGSVVLDERVIEPGLSFQEYLVAWYNDLCLDAVKYGMEGAISAEVYKVAKIALHNAFAEVVAAKNMQKKGQQNLQRFARLQKMHYKMPLPKLQTKKTPTKSGQSEVRSKSSKEDKLDAADSGLDGGADQR >DRNTG_35266.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3345111:3345675:-1 gene:DRNTG_35266 transcript:DRNTG_35266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIIHKIEEKLHMGGGGGEHKKEEEQHKKVEEHKKEEEKKHHEGSGGGIVEKIKDKIHGGDEEHGEKKEKKEKKKKEKKKKEGDHHGHKSSSDSDSD >DRNTG_11497.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19604086:19608837:1 gene:DRNTG_11497 transcript:DRNTG_11497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCCSRRAEINRAPIYVYCPQTSDGGPLSSANGPASSVPASVLVDTNLDTSIPDTFRAPPAPLPYDVGLTHAQTLPSSFGNSGNKTDHVQPADSLSLGEAVSGGTSEGLATAESLKGSVLKSTSDDAHDSAKIAEDEPSKFCEPVSSAVDEEDVCPICLEEYDLENPRILTKCEHHYHLACILEWMERSDTCPVCDQIMVFDQTLYE >DRNTG_08069.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18350885:18354807:-1 gene:DRNTG_08069 transcript:DRNTG_08069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHSSSSSSSSSSSSSSASCSKYPHNCLNAEDSPAVDDGQAIKRAFRLGFKLMRMLCNRREHSNIQTLVSFYEGRVTSWELVLAEICERWGLEISLVRVKFITPDGYKTVCPIENDVDFQRMCHVHSIFKCAVVDLVVETEDVPLPNPNENEFYSLNSDSASAPVHPHGDPDGVGCLPSSSDHSEVLSLDIGQHFNGVEHFRDVLRNHAIKRNFDFKFIKNEKHRVTVECAADGCRWRLHASKEYNKNTFRIKTINPSHTCGGGIGSASHPKASKKWVSARVI >DRNTG_27374.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001391.1:73437:80208:1 gene:DRNTG_27374 transcript:DRNTG_27374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRHHLHPQLSLGLVGLTQKPLSEPCGPCPSTTSSLDPGEWVHSSSRLRESNPRDPCPKH >DRNTG_18016.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24535309:24535692:-1 gene:DRNTG_18016 transcript:DRNTG_18016.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLLEESDILNLPYLQAVVKEALRLHPSTPLSLPHYSFESCEINGYHIPANTRLNTNVWAIGRDPDAWDNPLEFDPDRFLPGGKAYNIEPHGNDFEFIPFGAGRRICPGKQIGILFLQYLLGAVVHGF >DRNTG_10626.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:216549:221669:-1 gene:DRNTG_10626 transcript:DRNTG_10626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALPSAVICSPAVSLKLAGNRVPLLSYHITKAGLVRNVFLGTKGKCRDVDMAGVDTLFPQTSVKKRGGIFCTFSSSSDNNGNTAGDFMENGEEYVDSSVIEAVEVKSGSDGFLIKMRDGKNLRCVHNNPHGGLLPDYAPHPAMVLKIEDGSGLLLPIIVLETPSVMLMAAIRNIPIARPTIYYVVREMIEKMGYEAQLVRVTKRVHEAYFAQMYLSKVGNEQECVCFDLRPSDAINIAVRCKVPIQVNKHLAYSDGMRVVEPAKQALPGSLFDGMLFSELDRPDGQPCLDSKEFNIVRNMLIAAVEERYRDAAQWRDKLMELRAKKRNR >DRNTG_08978.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10459380:10460991:1 gene:DRNTG_08978 transcript:DRNTG_08978.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLMGLVRRVLSGVYALITTTPQIAKDEGDDAEGSQPAHKPLPAPMETEAPPSENKPPQYICSHLLDPMIILRGFRVLWG >DRNTG_06039.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32654854:32658469:-1 gene:DRNTG_06039 transcript:DRNTG_06039.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-gulonolactone oxidase 3 [Source:Projected from Arabidopsis thaliana (AT5G11540) UniProtKB/Swiss-Prot;Acc:Q9LYD8] MATTTITITLFLFCFITTTMSFPPSPPIQCNTTICLLSNAYGIFNTRNPCPVPSVLYPTSEQDLLAAIAMATKSNLKIKLVSSFSHTIPKFSCPNPTSNALLISTSKYNTNIEINPSQRTVTADAGVGLRALIDRVEAAGMSLVAAPYWEGVSIGGLVSTGSHGSSWWGNGGAVHDHVVGMRLVVPAGRSEGYAKVVTVVAGDPLFDAARVSLGIIAAISKVTLSLEPRFKRSITFSFQNDSSFEDDFMDLAKKHEFADISWFPSQKKAIYRIDDRVPMSTPGEGVNDFIGFQSTATLTSTAVRASEKAAEKAKSIKGKCTLASMELSAKKFVGFGLKNNKIIFTGYPVVGYQGKMQTSGSCLYSSSLDLLSSCAWDPRIKGLIFYETTAIFSPQKFKDFIRDVKKLRDLNPDNFCGIDNYNGMLIRFVKRSTAYLGQPEDSVVVDFNYFRADDAMTPRLSEDVWEEVEQMAFFKHGARPHWAKNRKVAFLGVQEKYPYMSLFIAARKKIDPLGVFYSSWSEEILLGHVTDKDDGCALEGQCVCSEDRHCSPSNGYFCKPGLVYQEARVCRYSSTAIE >DRNTG_29485.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4575227:4584458:1 gene:DRNTG_29485 transcript:DRNTG_29485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKGMFTWTLDSTSSSLVNIATSTSEGKKRKKMIRTENEEADVAVNMEEKCTSGEITDVVASEGEDDVESDVYVTEF >DRNTG_16344.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21266896:21268166:1 gene:DRNTG_16344 transcript:DRNTG_16344.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNKAMFNQTTMLMKKMLENYKGFESINVLVDVAGGHGGILSIILSKYPHIKGVNFDLPKVVSQAQPIEGVEFAGGDMFESVPSGDAILLKEVLHNWSDADCMKVLKNCLKALPDDGKLIVIEQVIPKNIDEAKNALLLDIVMLTFFTGGKERTEQEYQFLAKESGFSKVKFVCNIYSFSVMEFYK >DRNTG_16344.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21265803:21268166:1 gene:DRNTG_16344 transcript:DRNTG_16344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHLSKAWADTWANIKHIVLDGSAPFVKTHGTTLFEYEDKDPHFSEMFNKAMFNQTTMLMKKMLENYKGFESINVLVDVAGGHGGILSIILSKYPHIKGVNFDLPKVVSQAQPIEGVEFAGGDMFESVPSGDAILLKEVLHNWSDADCMKVLKNCLKALPDDGKLIVIEQVIPKNIDEAKNALLLDIVMLTFFTGGKERTEQEYQFLAKESGFSKVKFVCNIYSFSVMEFYK >DRNTG_16045.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25889870:25890487:1 gene:DRNTG_16045 transcript:DRNTG_16045.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCRQYFDRHRPLVLLTNAHTDPVQKESLDLREKPVEMRTR >DRNTG_12064.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12137719:12140161:-1 gene:DRNTG_12064 transcript:DRNTG_12064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENDGSTKSSSRGPSVGLPYPLNPNDRVHLTPIMYLYDALCVSVFVEKGVATTVTCIIKKHFKGLWPIWRKVPSDVKELMWKTFQEYCKWNLEHNCKIKNVFDKTGKTRLRDMLVDERMKAMKEVGATNIKECKRMEREWITKDVWDALIDNECGTDAWQSKSGKAKANCLTEKEGSITKHTGGSRPFAVHGIKLEKYNASLIDKYGDDISSHPSFDAQSWYDAIGGLKATRTSVYGFGSRIDSRQLFSGASTCPSTSDSACGPSTYQPF >DRNTG_12064.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12139152:12140161:-1 gene:DRNTG_12064 transcript:DRNTG_12064.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGENDGSTKSSSRGPSVGLPYPLNPNDRVHLTPIMYLYDALCVSVFVEKGVATTVTCIIKKHFKGLWPIWRKVPSDVKELMWKTFQEYCKWNLEHNCKIKNVFDKTGKTRLRDMLVDERMKAMKEVGATNIKECKRMEREWITKDVWDALIDNECGTDAWQSKSGKAKANCLTEKEGSITKHTGGSRPFAVHGIKLVCIYIL >DRNTG_28910.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17959601:17960572:-1 gene:DRNTG_28910 transcript:DRNTG_28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITQFSVQPGLYKEAFTDTEEYSQLLTNYPGALTLQRAYRALCGQDHYEPGRIPIHLGHIIAEYIRHHGQYARLGAIFSGPYITRLVLGMGLLDAIRGAEKTSTLVPLSLETMRLMDMVRGVWTGVYALVLSAPEIAEDEGDDAKASHPAPEPPPAPMETEAPPMAEDPPPVRMFSPSRADDRFERLDIDVGMIRTEVTEVQAEITKAPPASPSPSTPAPFDLAPKAAEEPELGTDT >DRNTG_07260.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22937719:22938358:-1 gene:DRNTG_07260 transcript:DRNTG_07260.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic large subunit of ADP-glucose pyrophosphorylase (AGP), Starch synthesis in rice polle [Source: Projected from Oryza sativa (Os07g0243200)] MTGALSQMFSSSSSSSMRASVGHSAKHRCDCPTKLLRSPSSFFGDRISYSMSEKQKHHRNISGPRSELGVGRAAINSSVFADVAKEYLALQEQSLGMTPADPKTVASIILGGGAGTRLFPLTRRRAKPAV >DRNTG_07260.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22936882:22937266:-1 gene:DRNTG_07260 transcript:DRNTG_07260.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic large subunit of ADP-glucose pyrophosphorylase (AGP), Starch synthesis in rice polle [Source: Projected from Oryza sativa (Os07g0243200)] MSNCINSGINKIYILTQFNSQSLNRHLARTYDFVNGVNFSHGFVEVLAATQTPGESGKRWFQGTADAVRQFIWLFEVVLGNS >DRNTG_07260.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22933024:22938358:-1 gene:DRNTG_07260 transcript:DRNTG_07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic large subunit of ADP-glucose pyrophosphorylase (AGP), Starch synthesis in rice polle [Source: Projected from Oryza sativa (Os07g0243200)] MTGALSQMFSSSSSSSMRASVGHSAKHRCDCPTKLLRSPSSFFGDRISYSMSEKQKHHRNISGPRSELGVGRAAINSSVFADVAKEYLALQEQSLGMTPADPKTVASIILGGGAGTRLFPLTRRRAKPAVPIGGSYRLIDVPMSNCINSGINKIYILTQFNSQSLNRHLARTYDFVNGVNFSHGFVEVLAATQTPGESGKRWFQGTADAVRQFIWLFEDAKLRHIENILILSGDHLYRMDYMDFVQKHIDSGADISVSCIPMDECRASDFGLMKIDGNGRICQFLEKPKGERLRTMQVDTSILGLFPEEAKSFPYIASMGIYLFKTDVLLKLLRQRYPNANDFGSEIIPLAAKEYNVQAYLFSGYWEDIGTIKSFFDANLALTDQPPKFHFYDPSKPIFTSPRYLPPTKAEKCRVSNSIISHGCFLAGCCVEHSVVGVRSRLEHGVELKDTMMMGADYYQTETERNFCLRQGKVPIGVGANTKIRNCIIDKNARIGKNVVIANGDNVQEADRPEDGFYIRSGITVVLKNSTIADNTII >DRNTG_07260.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22933024:22934906:-1 gene:DRNTG_07260 transcript:DRNTG_07260.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic large subunit of ADP-glucose pyrophosphorylase (AGP), Starch synthesis in rice polle [Source: Projected from Oryza sativa (Os07g0243200)] MMMGADYYQTETERNFCLRQGKVPIGVGANTKIRNCIIDKNARIGKNVVIANGDNVQEADRPEDGFYIRSGITVVLKNSTIADNTII >DRNTG_07260.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22933024:22936546:-1 gene:DRNTG_07260 transcript:DRNTG_07260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic large subunit of ADP-glucose pyrophosphorylase (AGP), Starch synthesis in rice polle [Source: Projected from Oryza sativa (Os07g0243200)] MDECRASDFGLMKIDGNGRICQFLEKPKGERLRTMQVDTSILGLFPEEAKSFPYIASMGIYLFKTDVLLKLLRQRYPNANDFGSEIIPLAAKEYNVQAYLFSGYWEDIGTIKSFFDANLALTDQPPKFHFYDPSKPIFTSPRYLPPTKAEKCRVSNSIISHGCFLAGCCVEHSVVGVRSRLEHGVELKDTMMMGADYYQTETERNFCLRQGKVPIGVGANTKIRNCIIDKNARIGKNVVIANGDNVQEADRPEDGFYIRSGITVVLKNSTIADNTII >DRNTG_07260.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22933024:22935487:-1 gene:DRNTG_07260 transcript:DRNTG_07260.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic large subunit of ADP-glucose pyrophosphorylase (AGP), Starch synthesis in rice polle [Source: Projected from Oryza sativa (Os07g0243200)] MMMGADYYQTETERNFCLRQGKVPIGVGANTKIRNCIIDKNARIGKNVVIANGDNVQEADRPEDGFYIRSGITVVLKNSTIADNTII >DRNTG_10958.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22403766:22405375:-1 gene:DRNTG_10958 transcript:DRNTG_10958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVIIQSLSLLLALVVRVMIPTRREYYDSDEDFLVLRRPLLNPQPILTYAPHSVENPGHQPDMWSSRMRQKYGLSQSS >DRNTG_19683.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4665638:4672858:-1 gene:DRNTG_19683 transcript:DRNTG_19683.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMGRFLDFSQRLEIAIDVAHGLTYLHLYAEKTIIHRDIKSSNILLTESYRAKVSDFGFARFGPAAEQTHIMTRVKGTAGYLDPEYLQTYQLTPKSDVFSYGILLLEILSARRPVDLKRDQDERILVRWAFNLYNASNLRGMLDPLLEEEVDEEVLGKLFGLAFQCAAPTRHDRPTMKQVGEQLWEIRKDYGRSRMRM >DRNTG_19683.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4665638:4672858:-1 gene:DRNTG_19683 transcript:DRNTG_19683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMGRFLDFSQRLEIAIDVAHGLTYLHLYAEKTIIHRDIKSSNILLTESYRAKVSDFGFARFGPAAEQTHIMTRVKGTAGYLDPEYLQTYQLTPKSDVFSYGILLLEILSARRPVDLKRDQDERILVRWAFNLYNASNLRGMLDPLLEEEVDEEVLGKLFGLAFQCAAPTRHDRPTMKQVGEQLWEIRKDYGRSRMRM >DRNTG_30410.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21905467:21905913:1 gene:DRNTG_30410 transcript:DRNTG_30410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRIIEEFGCMVNACGFTVTATPAGSRTTTWYLFAIGNNYHDESVWEGLSMCIPELKKSASFQGVEEKGYTVDASKHGNVGRFINHSCSP >DRNTG_34168.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17627377:17630839:-1 gene:DRNTG_34168 transcript:DRNTG_34168.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFAVSPSVFVAAAPRLHLNPWRINSYPSSSLLSRAYSPATASSITGAPSHSKQNPCSIVRCSRSVRCGALGEGSQQSFDDTVYQGFYGPWTVDSSDVREVVLYRSGLVTAVTAFVIAASSAFLPEGNVVADVIKQDIDLLYVFGAGGLGLSLYLIHIYVTPIKRFLQALWVIGVIGSLGTYLTLARPLEQGLVQYVLDNPAALWFVGPLFAALTGLVFKEGLCYGKLEAGVLTFIIPGLLLGHLSGLMDNQAKLSLLGVWMALFVVFAARKFQQPIKDDIGDKSIFMFNALPEEEKNALLQKLEEKRSD >DRNTG_34168.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17627377:17630839:-1 gene:DRNTG_34168 transcript:DRNTG_34168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFAVSPSVFVAAAPRLHLNPWRINSYPSSSLLSRAYSPATASSITGAPSHSKQNPCSIVRCSRSVRCGALGEGSQQSFDDTVYQGFYGPWTVDSSDVREVVLYRSGLVTAVTAFVIAASSAFLPEGNVVADVIKQDIDLLYVFGAGGLGLSLYLIHIYVTPIKRFLQALWVIGVIGSLGTYLTLARPLEQGLVQYVLDNPAALWFVGPLFAALTGLVFKEGLCYGKLEAGVLTFIIPGLLLGHLSGLMDNQAKLSLLGVWMALFVVFAARKFQQPIKDDIGDKSIFMFNALPEEEKNALLQKLEEKRSD >DRNTG_22445.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23449758:23450817:-1 gene:DRNTG_22445 transcript:DRNTG_22445.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIALTNYKPGELYLGAKQEEKQASRRIGQAGTSNSLPCRKGSMLER >DRNTG_22445.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23449758:23451662:-1 gene:DRNTG_22445 transcript:DRNTG_22445.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERGSQSTEAVARISQSHSPCSSLNPLKKQELGLRCL >DRNTG_22445.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23449758:23451662:-1 gene:DRNTG_22445 transcript:DRNTG_22445.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLERGSQSTEAVARISQSHSPCSSLNPS >DRNTG_22445.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23449758:23451662:-1 gene:DRNTG_22445 transcript:DRNTG_22445.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLERGSQSTEAVARISQSHSPCSSLNPS >DRNTG_22445.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23449758:23451662:-1 gene:DRNTG_22445 transcript:DRNTG_22445.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLERGSQSTEAVARISQSHSPCSSLNPSSEEARTWFEMSLILMM >DRNTG_04731.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8181154:8184710:-1 gene:DRNTG_04731 transcript:DRNTG_04731.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALGSLPPLLASARLPEGRRRFNHLGECGRSGGGGCWKAMVQPQTLQGASATYAKEMERVSAKESLLLSFKDAGGFKSLVGGKTTETQQIDVNERIIDLERLNPTSRPTTSPFLEGRWNFEWVGANSPGSIASRILFERTPAILANLLGLDLLIQDGYAKATANLKILNSIDSKFILSAKLSIEGPLRLKAEYLEGHFEMPIVSEVALPGQLKAALGQASGALQQLPAPVKDVFNNGLTVPLSGTFQRLFMISYLDEEILIMRDSLGAPDVLTRLEDPASSVSADSTFSEFEP >DRNTG_29705.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001499.1:1843:2301:-1 gene:DRNTG_29705 transcript:DRNTG_29705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGELPAGWEKALPTYTIESPADATRNLSQQCLNALAKALPGFLGKC >DRNTG_04917.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:9310461:9311654:-1 gene:DRNTG_04917 transcript:DRNTG_04917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTQFSIYLGLYDQDYTDTIEYTQLLTDYPHDLTPGQVFHDLCGGRQYSPGQSKASCLTRPAHRYIHAVLSRSVTGRGDGTGSLRRTDLLYLHSMVHGVPLHLGYVVADYFRHQSEYLRLGALFAGPYITRLMFKMGLIRSIRGEERVSSPVPLCLVTLHLMGIVRRTGSGGYALVELSSKDDEEPAEATEAPSAAEPRITEAAPTASTDPESSTSRVHERLARLEAAVATILENQVRILERLDRIQQTLDEEVSSVSVPASAPAPAPAPDADA >DRNTG_25216.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20125813:20126444:1 gene:DRNTG_25216 transcript:DRNTG_25216.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDKEFGLRHRKSFRHYLNQSSLHKRGKNDND >DRNTG_04419.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:16385878:16389636:1 gene:DRNTG_04419 transcript:DRNTG_04419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVAGLHEIDVLTSLAAQVESLIVIGDVSSVKNVDFVGNGMRPQGNPYSDTYNSGWKNHPNFSWSNQGPQKTMGPPGFQQQQQAPQVENRISGLETRMTDLEKHLVRFVQSANTRFESVEATLRNHTASLHNLENQVGQIAKSLSERPHGSLPSNTETNPREHVKAITLRSGREIKGRLPSERPKEHAPEVVEVEEGANKEKEEMFNLDPYEGLFDQEESNEEVMMLGSNGEETSTPGILKEVLRKMKMARRRHRQCPKTVGDVHEPRKLDEPLLGGPKPDSTPSTLKRLCSSCFQEKAQGRAAAPVDDHATGAHPWVISVRACEFLQSWADFPESTQGRGLAPVGDLVNHTGVGNFRTPVRNFAGCSLHPEKTQGRAAAPVSWACEYPRPWGISARACVRLDIFLGCPGKPQGRASAPMGACVRPWRVFEVRRTGVRNFHTPVWMHRMPEVIMAPRSKKQADKRPRESSPEVEDMRFAIPEHQGDEFADEVEDLVSDGGWRQLLTIREPAIREFALEVLSSFEFDRAYTSFDSLGTIQFRVFGRHHSLSITQSVNGRGDNTGILSRQELLYLRD >DRNTG_07153.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:7705351:7706726:-1 gene:DRNTG_07153 transcript:DRNTG_07153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGKFLHSNSLFIVLHFPLFSADLGTLRAMYNFSSHLDFCRFVLVEFFTFEISGLVEALGTWRRNWG >DRNTG_06824.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15602109:15604476:1 gene:DRNTG_06824 transcript:DRNTG_06824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPHQISLRKGIVFNPEALELFVMNKAFSAYSWLKEQNVSKPKLKIKDMARMLGFGLSDELFDLIEQYPDNHS >DRNTG_01580.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5500145:5500825:-1 gene:DRNTG_01580 transcript:DRNTG_01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTPPLPPPPPSSSIPTIEDNNKKERKKRIIGKGRKKIEIKKIENKKKLDICFSKRYRGFLSMVEELSILCGVDVAAVVFSPTGKAYSFSNTSVEQLIDKLPPMILHQDSHAIMEKLVDEKFKEKEEDDDDDDDDDDDDDEDEDEEELNMKYDDEMVQEKMEELRGIISLDSDNAGVVSSSTSVIRSDHDDHSLVPCVFNPFLAAIGDLHLVLGPTAPSPSVPFI >DRNTG_15542.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15183443:15190023:-1 gene:DRNTG_15542 transcript:DRNTG_15542.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECDCLCAPPNGSTNVMDNNALATKVETTALAAKVDALTKSVMDNNALATKEVLALNPLDEYLEGFEINEVEAKVPSPPPTHKVAQMEASPPPHGQKKKTMEKRALTGALVPARTPVRHPESSHGCPCARKGTHEPSREPLRLPLRLQGHPQGHPCMRVKKVAYKKARRDPSPPPNEPKFKNEEHKTRYALLSRKGFGTIRRIDWDVLKLLGLDGIILELISNSGWDKLISIEEPTYKELTLEVLSTVEVAKHCPFTQQPSSISFRAFRKKHRVTQDHLGVILGLYTEAYTLAPGFKDLSQDFPYPVTSEKYWASMATCWKTRKVSQMSNPVHRYRHALLTRGIGGRLDFMGVVSRTNLLMLYSITERHPLHMGHLVAEVLAHQG >DRNTG_05162.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:14499193:14503425:-1 gene:DRNTG_05162 transcript:DRNTG_05162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVIGGEELRAHLGMTMVQLLYGGYHVITKMALNVGMNQVVFCVYRDLVAVSILAPAAFFKERRVRPPLNGRLFFSFVLLGFTGIFCNQLLFLLGLSFTNPTYAAAVQPGIPVFTFILAVFTGAETVRILRKDGQVKVGGTLFCITGAVLMIFYRGPALIGHDGFKHVFPSGISTLMQPGPVGRFASAGLVYSELSLWHIGVVCLIGNCFFMAAYLVLQAPVLVKYPCSLSLTAYSYFFGAVFMVLTGLLTVEDHSAWIMTPSETIAIVYAGIFASAVNYGVVTWSNRILGPTLVALYNPLQPAASTILSTLFLGSPIYLGSIIGGVLIISGLYLVTWARYQESQVQTNIPYANEAEPLLCGDPSIIKTANVSSESSV >DRNTG_22485.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2615442:2616105:-1 gene:DRNTG_22485 transcript:DRNTG_22485.6 gene_biotype:protein_coding transcript_biotype:protein_coding QFANTDDELVLCSLYKSLILGLFLLLCLQYNEVLGKGSSKTVYRGFDEYEGIEVAWNQVRLNDFLQSSEDLERLYCEIHLLKNLNHKNIIKFFTSWVDISNRNINFVTEIFTSGTLRQY >DRNTG_22485.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2613876:2616105:-1 gene:DRNTG_22485 transcript:DRNTG_22485.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVECPEFVEVDPTGRYGRYNEVLGKGSSKTVYRGFDEYEGIEVAWNQVRLNDFLQSSEDLERLYCEIHLLKNLNHKNIIKFFTSWVDISNRNINFVTEIFTSGTLRQYRQKHKRIGIRAVKHWCLQILKGLVYLHSHEPPIIHRDLKCDNLFINGNQGEVKIGDLGLAAILHKSNAVHCVVGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECTHHAQIYKKVISGTKPEALCRVKDPEVKQFIEKCLVSVSQRLSARELLNDPFLRAEEFSLIPIVRQPSFSRVKTNNGHRCVSVSAIDNDVKTHCFDLFNSHENESFGNVDITINGKMEEDGGIFLRLRIADKEGRVRNIYFPFDIEADTALTVAMEMVAELCITEHDVTRIAESIDGEISKLVPEWKPWSGN >DRNTG_22485.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2613876:2616105:-1 gene:DRNTG_22485 transcript:DRNTG_22485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVECPEFVEVDPTGRYGRYNEVLGKGSSKTVYRGFDEYEGIEVAWNQVRLNDFLQSSEDLERLYCEIHLLKNLNHKNIIKFFTSWVDISNRNINFVTEIFTSGTLRQYRQKHKRIGIRAVKHWCLQILKGLVYLHSHEPPIIHRDLKCDNLFINGNQGEVKIGDLGLAAILHKSNAVHCVGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECTHHAQIYKKVISGTKPEALCRVKDPEVKQFIEKCLVSVSQRLSARELLNDPFLRAEEFSLIPIVRQPSFSRVKTNNGHRCVSVSAIDNDVKTHCFDLFNSHENESFGNVDITINGKMEEDGGIFLRLRIADKEGRVRNIYFPFDIEADTALTVAMEMVAELCITEHDVTRIAESIDGEISKLVPEWKPWSGN >DRNTG_22485.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2613876:2616105:-1 gene:DRNTG_22485 transcript:DRNTG_22485.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVECPEFVEVDPTGRYGRYNEVLGKGSSKTVYRGFDEYEGIEVAWNQVRLNDFLQSSEDLERLYCEIHLLKNLNHKNIIKFFTSWVDISNRNINFVTEIFTSGTLRQYRQKHKRIGIRAVKHWCLQILKGLVYLHSHEPPIIHRDLKCDNLFINGNQGEVKIGDLGLAAILHKSNAVHCVVGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECTHHAQIYKKVISVSVPSYYHRHQDHCFI >DRNTG_22485.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2613876:2616105:-1 gene:DRNTG_22485 transcript:DRNTG_22485.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLYSAGRVRNIYFPFDIEADTALTVAMEMVAELCITEHDVTRIAESIDGEISKLVPEWKPWSGN >DRNTG_22485.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2613876:2616105:-1 gene:DRNTG_22485 transcript:DRNTG_22485.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGGIFLRLRIADKEGRVRNIYFPFDIEADTALTVAMEMVAELCITEHDVTRIAESIDGEISKLVPEWKPWSGN >DRNTG_06058.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:24938172:24940282:-1 gene:DRNTG_06058 transcript:DRNTG_06058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLILFLSFFLFSFSSFYLVINAARSEHEIKLLYEGWLVEHHKNYNDLFEKDKRYEIFKDNLKFIDEHNAGNHSFTLGLNVFADLTNEEYRNTFLGFKQPTRQEINLHQNSVYNFSESLLLPDYVDWRRAGAVTPVKNQGQCNSCWAFSAIAAIEGLNQIITGNLVSLSEQEIVDCYKGSCGRGYMADAFQFIIDNGGIDTDDDYPYRGYFAGCDRNKIERRVVWIDGYESIPAYNENYIKMVAAYQPVSVAIEAYGQQFQFYTSGIFDGYCGTNIDHAVTVIGYGSENGIDYWLIKNSWGQRWGENGYVKLHRNINMPQGKCGIALYASYPTKSSGMNNVNPLKAQEQSSANVEGKRASA >DRNTG_33251.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3537877:3539324:-1 gene:DRNTG_33251 transcript:DRNTG_33251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLVVSFGEMLIDFVPDVAGVSLAESQGFIKAPGGAPANVAVAITKHGGNSAFIGKFGDDEFGHMLVDILKENSVNVEGVLFDEHARTALAFVTLRSDGEREFMFYRNPSADMLLTEAELNMSLIKNAKIFHYGSISLITEPCRSAHIAAMKAARDAGALLSYDPNVRLPLWPSEQAARKGIMSIWKHADFIKVSDDEVAFLTQGDPYNEDVVLSLWYEGLKLLLVTDGEKGCRYYTKDFKGKVGGYSVKTVDTTGAGDAFVGAFLFAVASVVEGSLFENEEQLRKVLKFANACGAIATTKKGAIPAFPTAPMAVELISTSN >DRNTG_08596.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30365738:30367888:-1 gene:DRNTG_08596 transcript:DRNTG_08596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAISFVLGVRSVQLRGAQLKDLIYAFDDREKEQKGRRAFVRLVYQTGNGSELQFTRTITGSGGSEYRIDGRVVGWDEYNGKLKSLGILVKARNFLVFQGDVESIASKNPKELTALLEQISGSDELKKDYEDLEIQKAKAEENSALVYNQKRTIVMERKQKKAQKEEAEKHLQLQDQLRSLKKEHFLWQLLNIERDMEKIDGELEEENKHLNDVLKLKGESDLELDARKKEQASYLKEIAQCEKKISKKKTELDKKQPELLKLKEEISRINSKIKSSKKELDKKKEDQRKHAREIVKLQAALRDVEGAIKDLNEKGKDEAGKLQLSGDKLEEYHRIKEIAGRETAYLRDEKEVLDRRLHTVEEAYKNLDENFQQLTIREAELKSQREQMLTRLKKLADGIAKHKEELSRAKKELVE >DRNTG_07857.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000349.1:22030:24705:-1 gene:DRNTG_07857 transcript:DRNTG_07857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPSRGGVRGGRDQFTWEDVKVDKHRENYLGHSIKAPVGRWQKGKDLHWYNRDKKSSAANAEAAKEEIRRIKEEEEQAMREVLGLAPKRATRPHGNQLDKHEYAELVKRESTAEDLGAGHAEAAQVQGLGLYKVPREQAESSSFQAELKEVQHETEEEALPMPCEPKITEEYHDEDERRKKRRREERREEKERKREKKHSRDLDEKWRHRKDKERRRHDSD >DRNTG_13736.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5520820:5523243:-1 gene:DRNTG_13736 transcript:DRNTG_13736.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEYETSMHLKDYGESGLRTLALAYRKLEPTEYNAWKTELLNAKTSIGPDRETQLEHVSDMIERELILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGYACSLLRQCMKQISISTMSNEFPSQDAKKAIKDDILLQISNASQMIKLDKDPHAAFALIIDGRALSYALEDDLKHHFLNLAIDCASVICCRVSPRQKALVTKLVKEGTGKTTLAIGDGANDVGMIQAADIGVGISGVEGMQAVMASDFSIAQFRFLETLLLVHGHWCYKRIAKMICYFIYKNIAFGFTLFYFEAFTAFSGQSIYEDWYMLLFNVVLTSLPVIALGVFEQDVPSEVFSQFPALYQQGPNNLFFDWKRILGWMLNGVYASIIIFIININNIGGNQAFRESGQTPDLTAVGTTMFTCIIWSVNIQIALTMSNFTWIQHLFIWGSIIAWYMFLSIYGAFSPLISGNAFLILREVLGPAPAYWLVTLVVTVACNLPYMVHNSYQRLLYPLDHHVIQEIKHFKKDVEDQRMWTREKSKARQCTKIGFSARVEERIRIIKRAGCIGKPGTFSTQLVRS >DRNTG_12720.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000563.1:35187:37561:-1 gene:DRNTG_12720 transcript:DRNTG_12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRLVVLGHRRGDVLRRLLFLIPAILPSTFIFALESVSLKQNPYDFPMDLETLASHLEASRTLGGRGRLAVTGTLDATLRGLSPSSPLLLPHLRLLRNLCAGEPANQDAFLRFDGPDRILPIVLSSPPDGVRVGLQVLCNVALAGEEHRAKVWARLFPVGFLELARICDRGILDPLCMLLDTCCSADGGRRRLGELCEDEKGLPILIEIITTACTVGYQEEWLEWLLSKVCIEEQYFFMLFQKLGQFSDSNSCNDIRDEHTVFSGEQAFLLRLMSNCLSERPNDFTISDSFALSVLRVLKEASCAVNFNHRGNSPLPTGSPAIDVLGYALNILRDICASDDPLSAPKASVDSLISSGLLQLLLCFLSELEPPSTIKKSMANGTSQMPTMADALKVCPYKGFRRDVVSVISNCLYRRKQVQDEIRRKNGIPLLLQQCVVDEDNPFLREWGLMAVRNLLEGNTENQREVAQLELQGTVNTTEIAELGLRVEVDERNQRPKLINIS >DRNTG_34062.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002082.1:16588:18986:-1 gene:DRNTG_34062 transcript:DRNTG_34062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAGHAADRLNLRRFLALGMLLSGLSTIAFGLGFFFGIHRLAFFMAVQIAGGLVQSIGWPCVVSVVGNWFGKSRRGLIMGVWNSHTSFGNILGSVLASSVLEFGWGWSFVIPGVLIIVVGFFVLGFLVVDPRDAGFELEVPVMEVEMSDGVADLGNGEEVGLLEDGVKLDSAPAKAIGFVEAWRLPGVAPFAFCLFFSKLVAYTFLYWLPFYIRHTAVAGQQLSHKTAGMLSTIFDVGGVLGGISAGFISDRLDARAVTSIIFLAIL >DRNTG_30347.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001563.1:10670:12561:-1 gene:DRNTG_30347 transcript:DRNTG_30347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNQLLGVSNESEIDEMAHLFSDFVDGCLSIPIKLPGFSYHTAMKARETIIRKIKKITETTGVLPRPEMKNGVLGRLVEEENLSDDAIADFIINLLFAGNETTAKTMLFAVYFLTHCHKALEQLVNEHESTRKSRAKMLEWEDYKAMPFTQCVIAETLRLGGIAIWLMREAKDDVHYQDFIVPKGFFVVPFLSAVHLNENLYKGALDFNPWRWMDENNKETCNWRTDPIFTPFGGGARYCPGAELARLQISSFLHYFVTKYRWIQLKDDRMSFFPSARLVNGFQI >DRNTG_12370.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1802604:1809705:1 gene:DRNTG_12370 transcript:DRNTG_12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGSEDDSRGLLSACFFDLGVMIDLERSPTGVQKLSTPVRQTHRGRRTSLWLPGVSPRPCVFSGKSAQLYRKAHARAEITHGRAPVALSSTGAAARPCRLYRTSLN >DRNTG_03067.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12276231:12277887:-1 gene:DRNTG_03067 transcript:DRNTG_03067.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKMKGAATTSLSWWGCMAVACMVGMLVMAKHAKGVSCGDAVNALIPCGSYLIGDGAEDPSAQCCASAQGLKKMAKTVEARRELCQCFKDTGPSFGVNPKRAKNLPSFCKLNLTISINSNVNCSMIG >DRNTG_30274.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5160638:5165074:-1 gene:DRNTG_30274 transcript:DRNTG_30274.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKPVAMSSTAMPYTGGDIKKSGELGKMFDLHVENSKSRKSGPINAPSRTGSFGAMPGSGATLVTGGSNRQKSNSGPLNRHGDPVKKSSGPQSGAINSMGRQNSGPLTPVLPATGLITSGPIYSGPLNTSGVPRKVSGPLDSVGSMKLHSAAIAHNQAVTNLGKEDDYSFKRNFPKTILWAIILLFVMGFIAGGFILGAVHNAVLLVVVVIIFAVVAALFTWNTCWGTRAVTGFIAQYPDAELRTARDGQFVKVSGIVTCGNVPLESSFQKVPRCVYTSTSLYEYRGWDSKAANPQHRRFTWGLRSLERHVVDFYISDFQSGLRALVKTGYGARVTPYVDESVVIDVNPNNKDLSPEFLRWLSQRNLSSDDRVMRLKEGYIKEGSTVSVMGVVQRNENVLMIVPPSEPISTGCQWGKCLLPASLEGIVLRCEDTSKIDVIPV >DRNTG_30274.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5160638:5165074:-1 gene:DRNTG_30274 transcript:DRNTG_30274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKPVAMSSTAMPYTGGDIKKSGELGKMFDLHVENSKSRKSGPINAPSRTGSFGAMPGSGATLVTGGSNRQKSNSGPLNRHGDPVKKSSGPQSGAINSMGRQNSGPLTPVLPATGLITSGPIYSGPLNTSGVPRKVSGPLDSVGSMKLHSAAIAHNQAVTNLGKEDDYSFKRNFPKTILWAIILLFVMGFIAGGFILGAVHNAVLLVVVVIIFAVVAALFTWNTCWGTRAVTGFIAQYPDAELRTARDGQFVKVSGIVTCGNVPLESSFQKVPRCVYTSTSLYEYRGWDSKAANPQHRRFTWGLRSLERHVVDFYISDFQSGLRALVKTGYGARVTPYVDESVVIDVNPNNKDLSPEFLRWLSQRNLSSDDRVMRLKEGYIKEGSTVSVMGVVQRNENVLMIVPPSEPISTGCQWGKCLLPASLEGIVLRCEDTSKIDVIPV >DRNTG_19959.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:461086:465090:-1 gene:DRNTG_19959 transcript:DRNTG_19959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWQRRRKAPPEELLFNSSSSPPPPPPPSTPTPTPCLAATTSVLDAILEDFASHIKAKKCTPLPPPPPPEKASYEEEANPAGPPSSSGGGDDLAEEEGSTTTGGTGGIGNKISISSMMFSPLTLNTCQHKLSNICSDL >DRNTG_09893.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29300524:29303224:1 gene:DRNTG_09893 transcript:DRNTG_09893.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESEFFDVHDSGVVPFTANPRSHPPQVNFKNLLNGILAILTGKNSAFIVTQPCLSSSSNFEFLASGINGDSFLHPSVYLPSAPPLFEVEAINYSPYQEILKADPPEWLPDSCTTSCMQCRSPFTALTRGRHHCRFCGGIFCRTCSKGRCLMPIKFQQREPQRVCDACYDRLDPLQGILINLISNSVQSAKHDVTDWTCTKRVVKLACGLVNGT >DRNTG_09893.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29300524:29303224:1 gene:DRNTG_09893 transcript:DRNTG_09893.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEFFDVHDSGVVPFTANPRSHPPQVNFKNLLNGILAILTGKNSAFIVTQPCLSSSSNFEFLASGINGDSFLHPSVYLPSAPPLFEVEAINYSPYQEILKADPPEWLPDSCTTSCMQCRSPFTALTRGRHHCRFCGGIFCRTCSKGRCLMPIKFQQREPQRVCDACYDRLDPLQGILINLISNSVQSAKHDVTDWTCTKRVVKLACGLVNGT >DRNTG_09893.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29300524:29303224:1 gene:DRNTG_09893 transcript:DRNTG_09893.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEFFDVHDSGVVPFTANPRSHPPQVNFKNLLNGILAILTGKNSAFIVTQPCLSSSSNFEFLASGINGDSFLHPSVYLPSAPPLFEVEAINYSPYQEILKADPPEWLPDSCTTSCMQCRSPFTALTRGRHHCRFCGGIFCRTCSKGRCLMPIKFQQREPQRVCDACYDRLDPLQGILINLISNSVQSAKHDVTDWTCTKRVVKLACGLVNGT >DRNTG_09893.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29300524:29303224:1 gene:DRNTG_09893 transcript:DRNTG_09893.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESEFFDVHDSGVVPFTANPRSHPPQVNFKNLLNGILAILTGKNSAFIVTQPCLSSSSNFEFLASGINGDSFLHPSVYLPSAPPLFEVEAINYSPYQEILKADPPEWLPDSCTTSCMQCRSPFTALTRGRHHCRFCGGIFCRTCSKGRCLMPIKFQQREPQRVCDACYDRLDPLQGILINLISNSVQSAKHDVTDWTCTKRVVKLACGLVNGT >DRNTG_06051.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32557027:32560992:-1 gene:DRNTG_06051 transcript:DRNTG_06051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISPQMASSSAYLLLLFSTFILLLPSHKQACFTSIFSFGDSLADTGNLLFLVNNTHRVNYLPYGQTFFHHPTGRFSDGRLVIDFLAEAIGLPLVPPYLASGDSRNFEKGANFAVAGATALNSDFFEKNKIYLDLNKFSLDVQVELFKQLLPSICSTTSECDEKLSSALFLMGEIGGNDYNHPFFQGRSLEEVVTFVPLVINAISRAITEVIELGARTLLVPGNLPIGCSSAYLTLFHVSNNESYDQQTGCINWLNEFSQYHN >DRNTG_08399.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4745141:4750588:1 gene:DRNTG_08399 transcript:DRNTG_08399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLARLRRHHLSLPFSSSPNLNLNPKPNPKPPSESIRAIIKALNDESDLDKLVTSFKSAAAIPRFRAIHNVYEITVRRLAIAGRLASIEDILESQKTYSDISREGFGIRLISLYARAGMLSHAATTFDQLPSLGCPRTVASFNALLTACTHVKDIDRLAEVFRDVPASDPSIVPNAISYNILILALCEKGDPHAALDALKLMEKNEMEPNLITFNTLLNGFYSKSFFLDGEKVWEKMKDKNIDPDIRSYNAKLRGLVLQGRTMEAVELIGKLNDLGSKPDIFSFNAVIKGYLKDGKLEEAKKVYSELMKNDCAPNRWTFEMLIPELYEAGELDMALKLSYESLSRRCFVEVGVLQKVVDGLAKASRVGEAKKLVERGKFKSFARKGLKLPLSSLVG >DRNTG_08399.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4745141:4746494:1 gene:DRNTG_08399 transcript:DRNTG_08399.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLARLRRHHLSLPFSSSPNLNLNPKPNPKPPSESIRAIIKALNDESDLDKLVTSFKSAAAIPRFRAIHNVYEITVRRLAIAGRLASIEDILESQKTYSDISREGFGIRLISLYARAGMLSHAATTFDQLPSLGCPRTVASFNALLTACTHVKDIDRLAEVFRDVPASDPSIVPNAISYNILILALCEKGDPHAALDALKLMEKNEMEPNLITFNTLLNGFYSKSFFLDGEKVWEKMKDKNIDPDIRSYNAKLRGLVLQGRTMEAVELIGKLNDLGSKPDIFSFNAVIKGYLKDGKLEEAKKVYSELMKNDCAPNRWTFEMLIPELYEAGELDMALKLSYESLSRRCFVEVGVLQKVVDGLAKASRVGEAKKLVERGKFKSFARKGLKLPLSSLVG >DRNTG_20603.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3890783:3892514:1 gene:DRNTG_20603 transcript:DRNTG_20603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTPFALLHISEFDQVVLKEFTNKFTCFSFFGIDFASYSRKEHFFFQAEKLDEVAYSTTLKQNSCMLNEVIFVKKKMLGIQEFVDKKKEPFNDPHVHVPLLDNSQPKLFPWRPKSSLSKLIICIPPWQKCTFDDLSRERATSRVYETTTHLVESEIRSLLAKLIQHYMRNIN >DRNTG_15644.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14550670:14554468:-1 gene:DRNTG_15644 transcript:DRNTG_15644.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 21 [Source:Projected from Arabidopsis thaliana (AT3G49260) UniProtKB/TrEMBL;Acc:F4IWT1] MGKKSSWLSSVKRVFKSNSTKEPEPKIVQVDEEMPEIVQVEHFPGETSPDMTNDVDVDVYVEGDGEEEEEERERARVVAEATAAAAQAAVVAARAAERVVRLAGGYGRVSRVEDKAAVLIQSCYRGYLARRALRALRGLVRLQALVRGHNVRKQAQMTMRSMQALVRVQARVRARRLQRLRDKDPQHLFHNARTSMHQHEVDKESDQEEKMINSSEDGNIMMMKDMGMSWDGRKQSLETIKAHSMRKHDALIKRERALAYAFACQRPEMEKPQWGWNWLERWMATQQWQCRNSAPEQHPETSFTTMTSTDDLSEKTVEMDTGRTSFPNNSSSYNNNNNNYNDDNIISNNNNGRRNVPSYMAATKSARAKVRTSNELHHQGGQMRNRGHYGNWPRVHARRQQTGYSPDSSCGGGGGGLDGDDLTPPRGRRSLYV >DRNTG_25941.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001341.1:35011:37060:1 gene:DRNTG_25941 transcript:DRNTG_25941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNDFWGLIPKKFENSSNLIRVRHERNKFTGDISGSFGVYQHLLYIDISFNRIPGIVLSSSAECLNLMELYTF >DRNTG_21820.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13609914:13623131:1 gene:DRNTG_21820 transcript:DRNTG_21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSPYSASSLPPPPPSSLHFGPDHQELGLGFRFQYRPGGTCLLLFVLLELL >DRNTG_12254.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24922657:24924225:1 gene:DRNTG_12254 transcript:DRNTG_12254.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHIFFLPMLTPGHMLPMVDMARLFANRGVRATIVTTPFNATNFCSGPNLNILTIPFSSAVTGLPDGVENLTNLPTPEIPPAFVKALDLLESPFRNLLSDHHPDCIISDIFYSWSSDVAAEFGIPRLVFQGMGFFSLILTGIVSQLKTHETVTGDDEPFIVPMIPHEIKLMRSELPTYVLKPNDDIRRMAKAQDKSYGMIMNTFHELEAEYVGLLKMSWHMRVWLVGPVSLCNQGLLDRGSEAPAHVSHQCLKWLDSKEPGSVLYVCFGSLGKFTPMQMREIASGLLGSGHQFIWVVKSSGETPPLVDEEKGLVVDGWAPQVLILNHPAVGGFMTHCGWNSSLEGITAGLPMITWPLFAEQFFNEKLIVEVLRVGVSVGFKQCCMDMERRKVVKGEVIEVAVKRLMGGEVEAVERKKRAKELSKKAKMAFGQGGSSHSDVSGLIQELVDLKANKTKAT >DRNTG_25016.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19518499:19522983:1 gene:DRNTG_25016 transcript:DRNTG_25016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRWMHRGRGCQVEKELDSGGSHSCCYNLVLFVGYGCCCCCYCFYAGLNLLMHQLVFH >DRNTG_28586.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23051542:23053778:-1 gene:DRNTG_28586 transcript:DRNTG_28586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSKQTQIKQHFPVILNLFLLLLLNFHPYTHTHIYIYICMVDLQRKSRKMATPEISARSARLPSDKSHLSVPSSSPVEDASATAAYELYLRLPELASLWNAKAFPEWRNETILKPALQALEITFRFISMGLSDPRPYSNHGEWKRRLESLAMHEVELIALICEDEEEGGGSGAPIVELRSPSGVLTRDRSSQEVWQVPGASPVVSRTSEASLLPRLATWEKSEGIASRILLNIECQMHRCPFTLGLGEPNLAGKPNLEYDLVVRPSDLHSVKKPSSPPSIRNLENETLFTIHQILESWLFVARELLKRIVVRLDGKEWEKAASDCWILEQIWKLLSRVEDLHLLMDPDDFLHLKNQLAIQATPGSSEALCFRSAALLELTRLSNDLKKRVPFILGVEVDPKGGPRVQDAAMILFHKQSRDDGAVSRVHLLQAFQAIEGAMKSFFFAYRQLTVTVMGSLEATGESLAHRFLEPPYFPSLDAAKTFLGEFWRRERGGGGAAAAAFTVNTSASASK >DRNTG_28586.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23051594:23053390:-1 gene:DRNTG_28586 transcript:DRNTG_28586.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSKQTQIKQHFPVILNLFLLLLLNFHPYTHTHIYIYICMVDLQRKSRKMATPEISARSARLPSDKSHLSVPSSSPVEDASATAAYELYLRLPELASLWNAKAFPEWRNETILKPALQALEITFRFISMGLSDPRPYSNHGEWKRRLESLAMHEVELIALICEDEEEGGGSGAPIVELRSPSGVLTRDRSSQEVWQVPGASPVVSRTSEASLLPRLATWEKSEGIASRILLNIECQMHRCPFTLGLGEPNLAGKPNLEYDLVVRPSDLHSVKKPSSPPSIRNLENETLFTIHQILESWLFVARELLKRIVVRLDGKEWEKAASDCWILEQIWKLLSRVEDLHLLMDPDDFLHLKNQLAIQATPGSSEALCFRSAALLELTRLSNDLKKRVPFILGVEVDPKGGPRVQDAAMILFHKQSRDDGAVSRVHLLQAFQAIEGAMKSFFFAYRQLTVTVMGSLEATGESLAHRFLEPPYFPSLDAAKTFLGEFWRRERGGGGAAAAAFTVNTSASASK >DRNTG_28586.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23051542:23053390:-1 gene:DRNTG_28586 transcript:DRNTG_28586.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSKQTQIKQHFPVILNLFLLLLLNFHPYTHTHIYIYICMVDLQRKSRKMATPEISARSARLPSDKSHLSVPSSSPVEDASATAAYELYLRLPELASLWNAKAFPEWRNETILKPALQALEITFRFISMGLSDPRPYSNHGEWKRRLESLAMHEVELIALICEDEEEGGGSGAPIVELRSPSGVLTRDRSSQEVWQVPGASPVVSRTSEASLLPRLATWEKSEGIASRILLNIECQMHRCPFTLGLGEPNLAGKPNLEYDLVVRPSDLHSVKKPSSPPSIRNLENETLFTIHQILESWLFVARELLKRIVVRLDGKEWEKAASDCWILEQIWKLLSRVEDLHLLMDPDDFLHLKNQLAIQATPGSSEALCFRSAALLELTRLSNDLKKRVPFILGVEVDPKGGPRVQDAAMILFHKQSRDDGAVSRVHLLQAFQAIEGAMKSFFFAYRQLTVTVMGSLEATGESLAHRFLEPPYFPSLDAAKTFLGEFWRRERGGGGAAAAAFTVNTSASASK >DRNTG_28586.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23051594:23053778:-1 gene:DRNTG_28586 transcript:DRNTG_28586.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSKQTQIKQHFPVILNLFLLLLLNFHPYTHTHIYIYICMVDLQRKSRKMATPEISARSARLPSDKSHLSVPSSSPVEDASATAAYELYLRLPELASLWNAKAFPEWRNETILKPALQALEITFRFISMGLSDPRPYSNHGEWKRRLESLAMHEVELIALICEDEEEGGGSGAPIVELRSPSGVLTRDRSSQEVWQVPGASPVVSRTSEASLLPRLATWEKSEGIASRILLNIECQMHRCPFTLGLGEPNLAGKPNLEYDLVVRPSDLHSVKKPSSPPSIRNLENETLFTIHQILESWLFVARELLKRIVVRLDGKEWEKAASDCWILEQIWKLLSRVEDLHLLMDPDDFLHLKNQLAIQATPGSSEALCFRSAALLELTRLSNDLKKRVPFILGVEVDPKGGPRVQDAAMILFHKQSRDDGAVSRVHLLQAFQAIEGAMKSFFFAYRQLTVTVMGSLEATGESLAHRFLEPPYFPSLDAAKTFLGEFWRRERGGGGAAAAAFTVNTSASASK >DRNTG_16524.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7989479:7996298:1 gene:DRNTG_16524 transcript:DRNTG_16524.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSYTSRLTLSTLSNLGLSNTRGVSLTHKFSQPAVNSADTVLCYNIRLKYLLNPYFHVVDHFDSSMMEKLVEILFYMHKSECLSMTAIVSLQMVVLTQIRGGKGLSPLRALSEDVCYQTLHRCSYMTRGNSSSLLVSPDTKLEKTQHRRLRPDPWVEDDLSNLLDDKGSPNGLVEKLHPQYNIEENEREMKSSIVMLIAMQFFRSCENSMFRGNHGRALARVGFVPEEARSSSPFIFSCLTSSLFLLDTTDSTLHQPCSTIDEFTTLPALAAPKLVYVGVDTIGLESKGSKSSDSEGRDNSGSELGPLSRDEPPLLPMSLVLMTLIRQSLSPTEQHSTLYHFSFHFQY >DRNTG_23644.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001273.1:524:1489:1 gene:DRNTG_23644 transcript:DRNTG_23644.2 gene_biotype:protein_coding transcript_biotype:protein_coding EDDAHIFKIIIKKKKGKKTHKSKNSKPSINPELHLHSKQPNTNKDPTIKNPTFSSKIKENPKNHNSHSPIRLPRKTHNIPHKQTKSSHQTTFKPQ >DRNTG_23644.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001273.1:1:1489:1 gene:DRNTG_23644 transcript:DRNTG_23644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTFQLFSEKQRMPPKESECESKIGRTAEK >DRNTG_34796.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1377057:1377763:1 gene:DRNTG_34796 transcript:DRNTG_34796.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTATGGADDGSAQQNPPDPDIREKDPTGRYICYKEILGKEVFWT >DRNTG_30066.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22694877:22697270:1 gene:DRNTG_30066 transcript:DRNTG_30066.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDELYNNSSPQSLILAPGGFFDQSWYAHLLQFSGDRIVNGMTHHIYNLGPGDDPHIASKILDPKYLDQIANTFINLQLTIEKYGPWSSPWVGEAGGAYNSGSQQVSNKFLNSFWYMDQLGMASKYNTQVYCRQTLIGGNYGLLDTNTFMPNPDYYSTLLWHRLMGIDVLSVRVNGSPYLRAYAHCSKDNTGITILLINLSNSTQFNVTVQNDVGEYKTRILEKSANQQEYHLTAENNNYLNQTILLNGSPLELTGDGDIPSLDPIFVGDSPVSVGPLSIVFVVMPDFDTSTCN >DRNTG_30066.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22694237:22697270:1 gene:DRNTG_30066 transcript:DRNTG_30066.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDELYNNSSPQSLILAPGGFFDQSWYAHLLQFSGDRIVNGMTHHIYNLGPGDDPHIASKILDPKYLDQIANTFINLQLTIEKYGPWSSPWVGEAGGAYNSGSQQVSNKFLNSFWYMDQLGMASKYNTQVYCRQTLIGGNYGLLDTNTFMPNPDYYSTLLWHRLMGIDVLSVRVNGSPYLRAYAHCSKDNTGITILLINLSNSTQFNVTVQNDVGEYKTRILEKSANQQEYHLTAENNNYLNQTILLNGSPLELTGDGDIPSLDPIFVGDSPVSVGPLSIVFVVMPDFDTSTCN >DRNTG_30066.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22694237:22697270:1 gene:DRNTG_30066 transcript:DRNTG_30066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMGVDLLLFLFLNMLTGITSQNTENLTIIVNGSKTIAETDDNFVCATLDWWPPEKCNYNQCPWGQASVLNLNLNHSTLAKAIKAFGSFRLRIGGSLQDQVDYGVGDLAQPCLPFEKKQGGLFGFSNGCLSMKRWDDLNLFFEKTGVIVTFGLNALKGRQQKKKGVWGGRWNYSNAFDFIQYTVSQGYPVDSWEFGNELSGHGIGASVDAKQYGKDLIALKSMLDELYNNSSPQSLILAPGGFFDQSWYAHLLQFSGDRIVNGMTHHIYNLGPGDDPHIASKILDPKYLDQIANTFINLQLTIEKYGPWSSPWVGEAGGAYNSGSQQVSNKFLNSFWYMDQLGMASKYNTQVYCRQTLIGGNYGLLDTNTFMPNPDYYSTLLWHRLMGIDVLSVRVNGSPYLRAYAHCSKDNTGITILLINLSNSTQFNVTVQNDVGEYKTRILEKSANQQEYHLTAENNNYLNQTILLNGSPLELTGDGDIPSLDPIFVGDSPVSVGPLSIVFVVMPDFDTSTCN >DRNTG_30066.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22694877:22697270:1 gene:DRNTG_30066 transcript:DRNTG_30066.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMGVDLLLFLFLNMLTGITSQNTENLTIIVNGSKTIAETDDNFVCATLDWWPPEKCNYNQCPWGQASVLNLNLNHSTLAKAIKAFGSFRLRIGGSLQDQVDYGVGDLAQPCLPFEKKQGGLFGFSNGCLSMKRWDDLNLFFEKTGVIVTFGLNALKGRQQKKKGVWGGRWNYSNAFDFIQYTVSQGYPVDSWEFGNELSGHGIGASVDAKQYGKDLIALKSMLDELYNNSSPQSLILAPGGFFDQSWYAHLLQFSGDRIVNGMTHHIYNLGPGDDPHIASKILDPKYLDQIANTFINLQLTIEKYGPWSSPWVGEAGGAYNSGSQQVSNKFLNSFWYMDQLGMASKYNTQVYCRQTLIGGNYGLLDTNTFMPNPDYYSTLLWHRLMGIDVLSVRVNGSPYLRAYAHCSKDNTGITILLINLSNSTQFNVTVQNDVGEYKTRILEKSANQQEYHLTAENNNYLNQTILLNGSPLELTGDGDIPSLDPIFVGDSPVSVGPLSIVFVVMPDFDTSTCN >DRNTG_05589.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11157320:11158070:-1 gene:DRNTG_05589 transcript:DRNTG_05589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRDNLLSPCRKAHGRAEITHGRGPSQGRTQGQWYIQFVQFTPISNSLGTFLMAFPRPLHLPKNLLQDPRSRWYFFS >DRNTG_20475.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13169526:13171214:1 gene:DRNTG_20475 transcript:DRNTG_20475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLGFKSPFSYLFLILSTLFHEPFSSPLSSSSRTFISASQTHYPSP >DRNTG_03686.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9791422:9791845:-1 gene:DRNTG_03686 transcript:DRNTG_03686.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTYTHSSKIHQEKLLPIKTISPASSLRRSPSPSP >DRNTG_22393.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16842807:16845739:1 gene:DRNTG_22393 transcript:DRNTG_22393.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSCTNIILGRLQKLEPENAQRIMGYLLLSHTQEEMIDYAIGPDSQIHELINEAKTCLASSVNVAASSPTQPHNHSIFGQYVPFSSTVSRPFSSSSSLRVAAPSPLWDAYVASEQQQEVAMPNLVLAHPSSVDFRIEDQPEYSSHYLYQDAALACSLGPRSGLRLASGLHEYPLKPCHYYYKGFCRNGNNCRYFHGREISDGFSRSNGLDINETVNEDHAFGSGLLEKLEMEIRELLLSRNGVPISIASLPLLYQEKYGKPLQADGYQTESQRHRKAGYSLTKLLGRLKNGIRLVDRPHGQHSVVLSEDALRYMDLRNERNELLEAAASSCQIYLTFPADSTFNEEDVLKYFNQFGPVRDVRIPRQEKRMFGFVSFMYPETVKLILSKGFPHFICGSRILVKPYKEKPKLNDRQKGLWREWSIIQFTILLILLNQMSSLPTLILYRHHLVNQDSSHGWASKKHEHGIEEERRHLLNLQLNPVTHTPNIRFSRSLQDFKFSDDYLSSPGTATGFRATSTGNNHIDQDFDHIELPDSPFTSPH >DRNTG_22393.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16841888:16845739:1 gene:DRNTG_22393 transcript:DRNTG_22393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSCTNIILGRLQKLEPENAQRIMGYLLLSHTQEEMIDYAIGPDSQIHELINEAKTCLASSVNVAASSPTQPHNHSIFGQYVPFSSTVSRPFSSSSSLRVAAPSPLWDAYVASEQQQEVAMPNLVLAHPSSVDFRIEDQPEYSSHYLYQDAALACSLGPRSGLRLASGLHEYPLKPCHYYYKGFCRNGNNCRYFHGREISDGFSRSNGLDINETVNEDHAFGSGLLEKLEMEIRELLLSRNGVPISIASLPLLYQEKYGKPLQADGYQTESQRHRKAGYSLTKLLGRLKNGIRLVDRPHGQHSVVLSEDALRYMDLRNERNELLEAAASSCQIYLTFPADSTFNEEDVLKYFNQFGPVRDVRIPRQEKRMFGFVSFMYPETVKLILSKGFPHFICGSRILVKPYKEKPKLNDRRAMERMEHHPIYNPSHSFESDVESPDSNSIPTSFGKSRFQSWLGIEET >DRNTG_22393.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16841888:16845739:1 gene:DRNTG_22393 transcript:DRNTG_22393.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSCTNIILGRLQKLEPENAQRIMGYLLLSHTQEEMIDYAIGPDSQIHELINEAKTCLASSVNVAASSPTQPHNHSIFGQYVPFSSTVSRPFSSSSSLRVAAPSPLWDAYVASEQQQEVAMPNLVLAHPSSVDFRIEDQPEYSSHYLYQDAALACSLGPRSGLRLASGLHEYPLKPCHYYYKGFCRNGNNCRYFHGREISDGFSRSNGLDINETVNEDHAFGSGLLEKLEMEIRELLLSRNGVPISIASLPLLYQEKYGKPLQADGYQTESQRHRKAGYSLTKLLGRLKNGIRLVDRPHGQHSVVLSEDALRYMDLRNERNELLEAAASSCQIYLTFPADSTFNEEDVLKYFNQFGPVRDVRIPRQEKRMFGFVSFMYPETVKLILSKGFPHFICGSRILVKPYKEKPKLNDRQSICSSFHLHLLFVHPLYLTASNFCFQQLFICQLT >DRNTG_18877.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2304452:2305872:-1 gene:DRNTG_18877 transcript:DRNTG_18877.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIADGVVNVKELDVNLESIGGLGHIKKKLHETIILPLQRPEIFNDEHFLGPQKGVLLYGPPGTGKTMLAKALAKESSAVFINVRMSTVMSKWFSEAQKLGNYDSFIINTPYLYQLLIKFCLHCVIMIFSVCYLQPG >DRNTG_18877.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2303833:2305872:-1 gene:DRNTG_18877 transcript:DRNTG_18877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIADGVVNVKELDVNLESIGGLGHIKKKLHETIILPLQRPEIFNDEHFLGPQKGVLLYGPPGTGKTMLAKALAKESSAVFINVRMSTVMSKWFSEAQKLGNYDSFIINTPYLYQLLIKFCLHCVIMIFSVCYLQPG >DRNTG_27820.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27314512:27316384:-1 gene:DRNTG_27820 transcript:DRNTG_27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPFGHRHHHHQCCDEDPKPNPPPAYQSSPYATNEYPPPPSSYQPGYGPPPPPYGGGPDQPSYPYQRPPSHAHHASHENYSSIASLLRQPSVRVYTTADENYSLSIRDGQVVLVPANPRDEYQHWIKDMKYSTRVKDEEGFPAFALVNKVTGEAIKHSVGATQPVRLVPYNPDYLDESVLWAESKDTGGGFRCIRMVNNIRLNFDAFHGDEDHGGVRDGTTVVLWEWLKGKNQRWKIAPY >DRNTG_01318.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:11472:12510:1 gene:DRNTG_01318 transcript:DRNTG_01318.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAMSLLLNNPQTLKKLIAELDANIKQGSLLQETDLPKLPYLDAVIKETLRMYPVGPLLEPHESSQDCTVGGFHVPSGTILLVNAWKIHRDPELWEEPNKFIPERFLRRSDEEINEGLKMMAFGLGRRRCPGEGLAMRVVALVVGTLVQCFEWERIGAEEIDMSEGRGLTLPKGKPLEVLYKPRGDMA >DRNTG_01318.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:10116:12510:1 gene:DRNTG_01318 transcript:DRNTG_01318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLIFSIITIFSILLLFPLLLHLLLKNSNPNPNPPSPPALPILGHLHLLKHPIHRALAHLSDLHGPILLLRFGSRRVLLVSSYSGADECFTINDITFANRPCLLSGKHLGCNHQSLLWAPYGPHWRNLRRIATVELLSTHRLLSSSHLRSDEVLSLVETLHRDYSGSGFHLTELKTKFFGLAYNVVMRMIANKKYYGEVDESSSEAGKEFRDIVKETFLVGGASNSADFLPVLRWLGVGGYEKRLKRLRKRRDEFFQLLIDEHRANRECGSLGVESSPAGRSTVIDLLLSMQDVDPEYYNDDMIKGFIAQLLIAGTDTSATTMEWAMSLLLNNPQTLKKLIAELDANIKQGSLLQETDLPKLPYLDAVIKETLRMYPVGPLLEPHESSQDCTVGGFHVPSGTILLVNAWKIHRDPELWEEPNKFIPERFLRRSDEEINEGLKMMAFGLGRRRCPGEGLAMRVVALVVGTLVQCFEWERIGAEEIDMSEGRGLTLPKGKPLEVLYKPRGDMA >DRNTG_29768.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1901978:1903250:1 gene:DRNTG_29768 transcript:DRNTG_29768.1 gene_biotype:protein_coding transcript_biotype:protein_coding EAPSSSASSEAAVLGAGDNQTRPRQLIRDVGKPRPTTGAGNRRRRTSKTPAPTVSTSSSSDSLCPLKNPLPIPLMLFPFL >DRNTG_32038.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001778.1:19986:20432:1 gene:DRNTG_32038 transcript:DRNTG_32038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWKVLYSSSKDSFVRYRIRLDRSSPTNPLPNGITMAGIGQLHGRGRYTRQCGISTRAWIISAHPCGVSVSLISRPAVNSAATVIFYIGATVISSSARTLYYAS >DRNTG_12168.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12338463:12346007:1 gene:DRNTG_12168 transcript:DRNTG_12168.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonine dehydratase [Source:Projected from Arabidopsis thaliana (AT3G10050) UniProtKB/TrEMBL;Acc:A0A178VHF4] MDSIAAALPHASMAAIHAFQAPPRRLRFCISASTSSPAAITPQLEASRSPALPLLKVTTESLQYESGYLGGISEKTPLRSGERSGEDGALNPMAYLTSILSSKVYDVAIESPLQLASKLSERLGVNLWLKREDLQPVFSFKLRGAYNMMARLSKEQLEKGVICSSAGNHAQGVALSAQRLGCDAVIAMPVTTPEIKWKSVERLGATVVLVGDSYDEAQLYAKKRAEQEGRTFIPPFDHPDVIMGQGTIGMEIIRQLKSPVHAIFVPVGGGGLIAGIAAYVKRVRPEIKIIGVEPYDANAMALSLHHGQRVMLEQVGGFADGVAVKVVGEETFRLCRELVDGVVLVGRDAICASIKNMFEEKRSILEPAGALSLAGAEAYCKYYGLRDETVVAITSGANMNFDRLRLVTELADVGRKREAVLATFLPEERGSFKHFVEMVGPMNITEFKYRYDARGEKALVLYSVGLHTESDLGAMVNRMESSQLKTVNLTNNDLAKDHLRHLMGGRTNVGNELLCRFVFPERPGALMKFLDAFSPRWNISLFHYRAQGETGANVLVGIQVPNEERKEFQNQAQTLGYEYAYEINNEAYRLLMQ >DRNTG_19300.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:592588:594772:1 gene:DRNTG_19300 transcript:DRNTG_19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKPLPGKKTSSATAGTTTAAGDARFLHEIEALSKALSLDPKSHRRSLPSSSSSRSVSAGRSHPSKPSKKSSSSSSSSSSIWNWKPFRALSHIGRHRFDCLFTLHVHSIDAIPQSLAGAGLAVNWRRTTDPSASAACTRTARAFHSVAEFDETLTHICSVYASRGSGGSAKYEARHVLIYVAVNDAPGLDLGRHLVDLTRILPLSLEELAEEKASGRWSTSFKLSGKAKGAVLNVSLGYSFVRNQSKPEPEKKVPEILNASVNQQNSMGAVRDDDDHDPSPMEDVKVLHAVLPSSHSDVSGHADVHKELVAKLESLEVDFIVDQEKDSPELKVCTLPAFEKEMEKEEVDEPPEFMVVEQGVELAERELECHEVDIAQVAVKPEKEVDGALSGEQSTGESKCALLPEGLMIEDLESSVLDNLSALESEDCLVTPSSKELSYNEAKSNYKSGGPRSKSLSLDDATDSVAAEFLTLLGIEHSPFGVSSDSDPESPRERLWKQFKMESLADGDGIFGLDIGVGKELGFEEYSEDIDLSSIVLEAETELQKATQAMDSKSRAKMMEDAETEALMRQWGLNEMAFQHSPPGSRSGFGSPISLPPEKPIELPPLGEGLGPFLQTKDGGFLRSMSPSLFRNAKNNGGLVMQVSSPLVVPAEMGSGIMDILQNLASVGIEKLSMQASKLMPLEDITGKTMHQVA >DRNTG_19307.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:629616:630806:-1 gene:DRNTG_19307 transcript:DRNTG_19307.4 gene_biotype:protein_coding transcript_biotype:protein_coding YHINGHANPDKNENHPIPQPKQGRGLANIPYFKSSSSFSKKYFAV >DRNTG_19307.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:629563:630806:-1 gene:DRNTG_19307 transcript:DRNTG_19307.2 gene_biotype:protein_coding transcript_biotype:protein_coding YHINGHANPDKNENHPIPQPKQGRGLANIPYFKSSSSFSKKYFAV >DRNTG_19307.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:629616:630806:-1 gene:DRNTG_19307 transcript:DRNTG_19307.5 gene_biotype:protein_coding transcript_biotype:protein_coding DQGCNCTYQSLQKLINEYCYSADCVIAEQNSIQLNQKERERERERETYSYCRSSKTSSFSWIFVPCEV >DRNTG_19307.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:629616:630806:-1 gene:DRNTG_19307 transcript:DRNTG_19307.3 gene_biotype:protein_coding transcript_biotype:protein_coding YHINGHANPDKNENHPIPQPKQGRGLANIPYFKSSSSFSKKYFAV >DRNTG_19307.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:629795:630806:-1 gene:DRNTG_19307 transcript:DRNTG_19307.7 gene_biotype:protein_coding transcript_biotype:protein_coding DQGCNCTYQSLQKLINEYCYSADCVIAEQNSIQLNQKERERERERETYSYCRSSKTSSFSWIFVPCEV >DRNTG_19307.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:629616:631569:-1 gene:DRNTG_19307 transcript:DRNTG_19307.1 gene_biotype:protein_coding transcript_biotype:protein_coding YHINGHANPDKNENHPIPQPKQGRGLANIPYFKSSSSFSKKYFAV >DRNTG_19307.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:629795:630806:-1 gene:DRNTG_19307 transcript:DRNTG_19307.6 gene_biotype:protein_coding transcript_biotype:protein_coding YHINGHANPDKNENHPIPQPKQGRGLANIPYFKSSSSFSKKYFAV >DRNTG_16000.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5239188:5241428:-1 gene:DRNTG_16000 transcript:DRNTG_16000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYGSSSRSSGFGNRTSRRSVEYGRTYVVKPKGRHQATIVWLHGLGDNGASWSQILETLPHPNIKWICPTAPTRPVASFGGFSCTAWFDAGDFSEEGPDDIEGMDASAAHVANLLSTEPANIKLGIGGFSMGAAIALYSATCYIHGKFGNGNPYPINLSVIIGFSGWLPCYRSLKSKVEVSQDAIRRAAALPVLLCHGRGDDVVMYEQGEKSAEVLRSAGFQNFSFKTYNG >DRNTG_16000.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5238858:5241428:-1 gene:DRNTG_16000 transcript:DRNTG_16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYGSSSRSSGFGNRTSRRSVEYGRTYVVKPKGRHQATIVWLHGLGDNGASWSQILETLPHPNIKWICPTAPTRPVASFGGFSCTAWFDAGDFSEEGPDDIEGMDASAAHVANLLSTEPANIKLGIGGFSMGAAIALYSATCYIHGKFGNGNPYPINLSVIIGFSGWLPCYRSLKSKVEVSQDAIRRAAALPVLLCHGRGDDVVMYEQGEKSAEVLRSAGFQNFSFKTYNGLGHYTSPEEMDDFCKWLRMKMGLDGSRSY >DRNTG_34018.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31588173:31593218:1 gene:DRNTG_34018 transcript:DRNTG_34018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSTKDGLHSIELSEFTKEPPSVKIIEEVNDSPIEEVRLIVPITDDPNIPCLTFRTWVIGLTSCMLLAFVNQFFSYRQNPIGVSSVCIQLVSLPIGKLMAATLPTKLIRVPLTNWSFSLNPGPFSLKEHVLITLFAGAGYGGFALDIVTLMKAFYHRRLNPIAAMLLAQTTQLLGYGFAGMFRKLLVDSPYMWWPGNLVGVSLFRALNEDEKRPKGHLSRLQFFIVVLVSSFAYYIVPGYFFPSISALSFVCWIWKNSVTAQQIGSGFSGFGIGSFGLDWTTVSGFLGSPLANPAFSIFNTMAGFVLVVYVLVPIAYYTNAYNAKRYPIFTSNVYDSAGNQYNITRILDDKTFTIDYAAYDSYDRMNVSMFFAYRYGFAFASLMSSLTHVVLFYGSTILDLWRKASVTAEDKFLDVHGRIMKRNYEPVPQWWFATILVISLCLSFFTCQGFNGQLQLPYWGIILACAMALFFLFPVAVLVATTNQGAGIGVITEMIFGYLYPGRPLANMVFRCYGVTGMGQALWFISDFKLGHYMKIPPKSMFIMQLAGTIAASTVSFATAWWILTNIENICHANLLPAGSPWTCPGEDVSYNITIIWGVVGPNRIFGSRGLYTKMNWFFLIGLLAPVPVWLLARAFPKKKWIPLINMPVIFGSIMAMPAAKSVHYITWCIVGIFFNYFVYRRYKNWWARHTYVLSAGLDAGVAFMGVIAFFALQNYEIYGVNWWGQQSNDHCPLAACPTAPGIVKEGCPTF >DRNTG_32110.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13307505:13308112:1 gene:DRNTG_32110 transcript:DRNTG_32110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASALHDYSLIEVVLMPIVSHKHYHLLVLEKDKQEYLHYSSTRSVVHDQAARDMRPDSLDCSIYKMRFMEQRLDYVVRILIDRIPRKPLLKEDNNSGTIVDATGQENTSDSNLAQADENPLETIKLTKLPETTTTTETFVEEQ >DRNTG_22285.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21872390:21875552:-1 gene:DRNTG_22285 transcript:DRNTG_22285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKFLRVFSDENSDLKKQIGCMAGFFQMFERHQFLSPRLSHRLTHKRSISSGHAHSSSNSSSPITEFVLEKSHSKNCSENQRVSMESSRTSFSSSSCSSSFSSLDCNKSTQEPQPNESLDFRDVVKDSIYKEARGLSIKTLTKEKDEFKKKNAFKHRDSPRPDSKSRIAMQMNESLRVLARLKEAHCEEEPRPSFESKTSSKIHLVSRDSPRYSYDGRDDAKLVSKLKESPRLSLDSSTDSCKISMNRKSPKSLSFEQESSSQIRHSVVVAKLMGLEAMPNNVQEQPTIISSSFNNNVCGKKKKNKCQERKPMTTTARIPIETAPWRQQEKLHVAKKAPFRHRPEFVHSEIEKRLKELEILQTNKDLMDLKLLLDAIQVPKSTNRASESPIVVMKPMKPISKASASPSSAVVPLEGLSKLKMSRRSETLEKKNRPVTVNNKTEENCLPRPPSRIQLPRKEINGNSIKTSGSISPRLQQKKLGEEKKIRRPPTPSSDLNQPQRQSPSKQSSESVSPRGKLGRKPAKKTVSVDAQSSEASTETRKDETSLKSYSNISLVSTQADIEVTSADRSSEHNKSSVNLKEDAATAAPPEQPSPMSVLDASFCQVDMMQSPVKTISNSFKDSSSEINHKKLKSIEILVQKLSQLSSEDGETQTTDHIALLCDTQKPDHRYVSEILLASSLLMKDLTAMPLQLHSSGHPINPDLFLVLEQTKSQTNILRPKNDTEKLHRKLIFDVVNEVLVQKLELTSPYIKTRKLSRILPTGQRLLRQVCSEIDQLENEKLER >DRNTG_33785.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:108041:111166:1 gene:DRNTG_33785 transcript:DRNTG_33785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNGKTPKGSPPGGNLPSSTSILSSSSSSSSSFPRPPPTPAPLDDGGADGPPGCERASRSSSSASAAKGASSSGGIGDSGGDSCSSAAASKGSFIAANELENSRANKTMNQC >DRNTG_00867.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21917423:21921418:-1 gene:DRNTG_00867 transcript:DRNTG_00867.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISKVMAQLFTGLVFLSVFFRYCYSIAADTLSPNQPLHDGQTLVSAKETFALGFFSPGESKNRYVGIWYHKLPGGQATTVVWVANRRSPLSGTNGSLELNGNGSLTINSMMFLPMPTVALTNPVAQLLDDGNFVIREANSNEFAWQSFDYPTDTILSGMKLGWDLRTGLNRNLTAWRSYDDPAPGTYVLSMDLQGIPQFNLWSGSTKKWRSGPWTGIKFSNLEEQPRTYGLSFGFVNNNDEVYYMYNTTGTQIVSRALVDQSGMTSNFVWIESTGMWNLFMNYPMNECQQYSRCGTYSVCDIDVWPICSCLQGFKPKSPQEWPFMDVSAGCERLTELDCKNRSDGFMVVTLAALPETSNAILYTNISLDECGARCLKKCSCTAYATANINGAGFGCVIWVMELIDLRMSSHPTQDVFVRLAADDIGKLPDQQYANENFDMASVKLASHPIPQLSSSPLLNLS >DRNTG_33962.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1018004:1018748:1 gene:DRNTG_33962 transcript:DRNTG_33962.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRLQSQRNTINKNGPSAQEKLLHIRFQP >DRNTG_22963.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1062223:1062529:1 gene:DRNTG_22963 transcript:DRNTG_22963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGVSSGGGQSSLSYLFGADEAPKPAAPKPAATPTVVAEKPAPNPNPAAAATADKYKQIPAGIQGHTTNNYFRADGQNTGNFIT >DRNTG_10250.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19938145:19938962:-1 gene:DRNTG_10250 transcript:DRNTG_10250.6 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLSSNLIASVFPFRKHFDNISCKLCMFSGAYFHLFLLQKMFQVEYEVLPGWQCDISSIRNYNDLPPAACRYIERIEELVGVPIHYIGVGPGRDALITK >DRNTG_10250.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19938088:19941687:-1 gene:DRNTG_10250 transcript:DRNTG_10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATAALWLDPGLTPSHSIISKGHSALPSRISARSCLGKGKPLDLALSSLVSGNRPCLFPVASAVSSTTEVEAGGVVDRVGELSQVTGVLGCQWGDEGKGKLVDILAQHFDVVARCQGGANAGHTIYNSEGKKFALHLVPSGILNEQTICVVGNGVVVHLPGFFKEIDGLEANGISCEGRILVSDRAHLLFDFHQEVDGLREVELAKSFIGTTRRGIGPCYSSKVIRNGIRVCDLRHMDTFGQKLEILLKDAASRFEGFKYSADMLKEEVERYKGYAERLEPYITDTVHVMNQYILQGKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGISTGLGIAPRSIGDLVGVVKAYTTRVGSGPFPTEILGKGGDLLRIAGMEFGTTTGRPRRCGWLDLVGLKYCCQINGFSTLNLTKLDVLSDLPEIKLGVSYKLINGQSIESYPADLNVLERLQVEYEVLPGWQCDISSIRNYNDLPPAACRYIERIEELVGVPIHYIGVGPGRDALITK >DRNTG_10250.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19936576:19938962:-1 gene:DRNTG_10250 transcript:DRNTG_10250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLSSNLIASVFPFRKHFDNISCKLCMFSGAYFHLFLLQKMFQVEYEVLPGWQCDISSIRNYNDLPPAACRYIERIEELVGVPIHYIGVGPGRDALITK >DRNTG_10250.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19939401:19941687:-1 gene:DRNTG_10250 transcript:DRNTG_10250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATAALWLDPGLTPSHSIISKGHSALPSRISARSCLGKGKPLDLALSSLVSGNRPCLFPVASAVSSTTEVEAGGVVDRVGELSQVTGVLGCQWGDEGKGKLVDILAQHFDVVARCQGGANAGHTIYNSEGKKFALHLVPSGILNEQTICVVGNGVVVHLPGFFKEIDGLEANGISCEGRILVSDRAHLLFDFHQEVDGLREVELAKSFIGTTRRGIGPCYSSKVIRNGIRVCDLRHMDTFGQKLEILLKDAASRFEGFKYSADMLKEEVERYKGYAERLEPYITDTVHVMNQYILQGKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGISTGLGIAPRSIGDLVGVVKAYTTRVGSGPFPTEILGKGGDLLRIAGMEFGTTTGRPRRCGWLDLVGLKYCCQINGFSTLNLTKLDVLSDLPEIKLGVSYKLINGQSIESYPADLNVLERLQ >DRNTG_10250.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19937985:19938962:-1 gene:DRNTG_10250 transcript:DRNTG_10250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLSSNLIASVFPFRKHFDNISCKLCMFSGAYFHLFLLQKMFQVEYEVLPGWQCDISSIRNYNDLPPAACRYIERIEELVGVPIHYIGVGPGRDALITK >DRNTG_10250.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19938088:19938962:-1 gene:DRNTG_10250 transcript:DRNTG_10250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLSSNLIASVFPFRKHFDNISCKLCMFSGAYFHLFLLQKMFQVEYEVLPGWQCDISSIRNYNDLPPAACRYIERIEELVGVPIHYIGVGPGRDALITK >DRNTG_16675.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16442228:16444929:1 gene:DRNTG_16675 transcript:DRNTG_16675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRTSFGTRFRIHFLCISTTALFITASLAYHHHHQGGGEEEEESLIAMATLGGVKESEGFQNSVEIEDLARFAVEEHNKKENKLLEFARVVKAKEQVVAGTLHHLTVEAIDAGTKKLYEAKVWVKPWLNFKELQEFKHAGDSPSLTASDLGVKRDGHEPGWRNVPAHDPVVKDAANHAVTTIQQRSNSIVPYELLDILHAKAEVIEDSAKFDMLLKLKRGSKEEKFKVEVHKNLEGTFHLNQMQQEHVDSV >DRNTG_08583.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9825970:9832190:1 gene:DRNTG_08583 transcript:DRNTG_08583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDHDLIEFWLRCGRPQDPSTSRSCLVRVDATQISRSRSQATSLSPRLLITQSPRKGATR >DRNTG_28007.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1948436:1952067:-1 gene:DRNTG_28007 transcript:DRNTG_28007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIREEDASADVLFRWYWVCDFSLSRLKHGAFSFLKLTAGTPKWMAPAVLCNESSNEK >DRNTG_19996.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21047875:21048701:1 gene:DRNTG_19996 transcript:DRNTG_19996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRCRSLCFFNNGFIGEDLGINAKAEILEYDCLCAPPNQCANLNTLSSYFRGGSFHSSHEIALSLIRV >DRNTG_29850.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23130570:23133473:-1 gene:DRNTG_29850 transcript:DRNTG_29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSWDSDDEYMKFIQRMNPPRVVIDNEASQNATVVKVDSANKYGILLEVVQVLTDLNLIIKKAYISSDGKWFMDVFNVTDRDGKKLRDAITINGIGDYIRKSLGADSCFVPTRRRSVDVALSTDHTSIELTGTDRPGLLSEVSAVLNDLKCNVVNAEVWTHNTRAAAVMHVTDDLTGSAITDPERLSKIKELLCNVLMGSNKVQGAKMVVSGEVTHTERRLHQMMLADRDYKRSDKDLFDVNVRPSVTVANCYDKDYSVVIVKCKDRPKLLFDIVCTLTDMKYVVFHGNVDAESPEAYQEFFIRHVDGSPVNSEAERQRVIQCLEAAIERRVSEGLKLELCTGDRVGLLTDVTRIFRENSLTVTRAEVSTRGGKAINTFYVRDAAGNPVDAKIIHSIRQVIGQTILQVKGYSEHPTSSPPQESPIRFLFAGLFKSRSLCNFTSVLSSS >DRNTG_29850.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23130570:23132908:-1 gene:DRNTG_29850 transcript:DRNTG_29850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSWDSDDEYMKFIQRMNPPRVVIDNEASQNATVVKVDSANKYGILLEVVQVLTDLNLIIKKAYISSDGKWFMDVFNVTDRDGKKLRDAITINGIGDYIRKSLGADSCFVPTRRRSVDVALSTDHTSIELTGTDRPGLLSEVSAVLNDLKCNVVNAEVWTHNTRAAAVMHVTDDLTGSAITDPERLSKIKELLCNVLMGSNKVQGAKMVVSGEVTHTERRLHQMMLADRDYKRYEVCCFPWECRCRES >DRNTG_29850.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23130570:23132431:-1 gene:DRNTG_29850 transcript:DRNTG_29850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTDDLTGSAITDPERLSKIKELLCNVLMGSNKVQGAKMVVSGEVTHTERRLHQMMLADRDYKRSDKDLFDVNVRPSVTVANCYDKDYSVVIVKCKDRPKLLFDIVCTLTDMKYVVFHGNVDAESPEAYQEFFIRHVDGSPVNSEAERQRVIQCLEAAIERRVSEGLKLELCTGDRVGLLTDVTRIFRENSLTVTRAEVSTRGGKAINTFYVRDAAGNPVDAKIIHSIRQVIGQTILQVKGYSEHPTSSPPQESPIRFLFAGLFKSRSLCNFTSVLSSS >DRNTG_15184.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5974219:5978053:-1 gene:DRNTG_15184 transcript:DRNTG_15184.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPFPYKCNLLIASHIWHDVPDYPLKDKVIFDGSAHVAYQHDPDQVTHPGEADKCPRNILKYCGKDARMNWLSLLWVIIDYICKEMKEMINREYFPFLLSSLLFSPLCVSSASSLSLIATMVLKIGDVRSMYRKFKPHLLMVLIQLGYTFLNFITEASFNEGLNPHVYITYRHAVSGFIMLPFAYFLERRIRPRLTWALFVELFILSLLGVGLTLNAYFASLRYTSPTVVASMVNTISSLTFIIAISLGMEKLDLKNPRGMAKVIGTLASLAGVTLMTLYKGKSFRSIWSAPIHMGSSSIHENWLKGSILGVASCITWSMWYVMQAFTLKRYQARLSLTTWMSLIGGAQSAVFTVCVEHKPAAWIIEFDIKLWSILYGGIVCSGLIIFIQLWCTKEKGPVFVTMFNPLLTIMVAILAYFVLGEGLYMGSILGGIIIIIIGLYLVLWGKENDEDIPMRS >DRNTG_05786.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11858727:11860547:-1 gene:DRNTG_05786 transcript:DRNTG_05786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSASSVGSRLPTEISLYINTRSGELGMVLPQLDCGKMGRWGLSTKTSRLASGGLSPRRQRSTPASIAPRMTGRDSI >DRNTG_20190.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12829668:12830891:1 gene:DRNTG_20190 transcript:DRNTG_20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIRKVAAIAYRCRMKMKLEVQVVEKAIEVVKKPRERKKAISSMNNKGKTKKEKKSKNPNLPKCLPIAFFLFMDDFRKEYKATNPCSKSVAVAAKEDGERWKSMSDEEKNVYVDAHKGCSGTKHQHIFSKELYERLEL >DRNTG_05825.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18964855:18965085:-1 gene:DRNTG_05825 transcript:DRNTG_05825.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTGEKEKGRRLEGGQQLDGGRRR >DRNTG_05825.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18964331:18965085:-1 gene:DRNTG_05825 transcript:DRNTG_05825.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTGEKEKGRRLEGGQQVDGGCRRR >DRNTG_05899.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18627912:18635784:1 gene:DRNTG_05899 transcript:DRNTG_05899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPFKIICWSSFAPTLREASACTFHRLSPVSRLPSPSENPTSSQENEEEPVSWLEYSCKRWRKISTSRSCVDNLLEQGTPSFFLTPSKTFL >DRNTG_05899.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18627912:18634087:1 gene:DRNTG_05899 transcript:DRNTG_05899.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPFKIICWSSFAPTLREASACTFHRLSPVSRLPSPSENPTSSQENEEEPVSWLEYSCKRWRKISTSRSCVDNLLEQGTPSFFLTPSKTFL >DRNTG_14956.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1047385:1051078:-1 gene:DRNTG_14956 transcript:DRNTG_14956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFEIVCGLVIYKVFTRFIYGHDPIPDLPSDSDLSFSLASRLESIYGGKSFVGLRIPDPETSTRQHIDVVLVTKKEVMVVAVKNIRGFVEVGKDGDWVCVGDKKHKPESFSDPVLEVRRQAEALELYLEQRGLPLPKGGVIGKVLLPNPECRLAYKIASQPEIISFDDWSDLKPEPKSKISSWIKDAFHGGKSDPQDGFYEKLHFILSTAPMWDRLELKGDRFILGEFMEFKGTQGDMQALINVKRSKVSKFIIQSSTMLGLGRSRVQVLYAPRDYRSEGLSASDWKEVAVKPSTEVLFQPRNSKKVKKLRITSIVSVCLSA >DRNTG_16732.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000845.1:23394:24468:1 gene:DRNTG_16732 transcript:DRNTG_16732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLIVNNCLQPPTDTRSSTSSTNSSPCCRSLSILVSRNRVCPK >DRNTG_28067.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21183417:21196217:1 gene:DRNTG_28067 transcript:DRNTG_28067.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKEAEDAMSSTALSPPPPPLEWKFSQVFGERTAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDVRDHARRRELEMLDNPISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTTNGGLFLLSTNDKTIKYWRVQEKKVKRISEMNLSSAQDAGDGSFASSSASNPAAYLPNGGCSGGAYSSFSNDFTFPPGGFPSLRLPKVVTSQETSIVARCRRIFAHAHDYHINSISTNSDGETFISADDLRINLWNLDISNQSFNIVDVKPANMEDLTEVITSAEFHPVHCNTLAYSSSRGSIRLIDLRQSALCDNHSQLFHEPETPGSKSFFTEIIASISDIKFAKDGRHILSRDYMTLKLWDVSMNSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGSRVATGSYSNLFRVFGCVPGITEATTLEASKNPTRRQVQNPARPARSLGGLTRVVRRGAENAGLDAANNFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >DRNTG_28067.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21183417:21196217:1 gene:DRNTG_28067 transcript:DRNTG_28067.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKEAEDAMSSTALSPPPPPLEWKFSQVFGERTAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDVRDHARRRELEMLDNPISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTTNGGLFLLSTNDKTIKYWRVQEKKVKRISEMNLSSAQDAGDGSFASSSASNPAAYLPNGGCSGGAYSSFSNDFTFPPGGFPSLRLPKVTSQETSIVARCRRIFAHAHDYHINSISTNSDGETFISADDLRINLWNLDISNQSFNIVDVKPANMEDLTEVITSAEFHPVHCNTLAYSSSRGSIRLIDLRQSALCDNHSQLFHEPETPGSKSFFTEIIASISDIKFAKDGRHILSRDYMTLKLWDVSMNSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGSRVATGSYSNLFRVFGCVPGITEATTLEASKNPTRRQVQNPARPARSLGGLTRVVRRGAENAGLDAANNFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >DRNTG_14508.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:9183625:9184116:1 gene:DRNTG_14508 transcript:DRNTG_14508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTEAHFAQNEKKLDEFGAVLKNVQASIQSLENQVEQ >DRNTG_31098.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21276970:21280781:1 gene:DRNTG_31098 transcript:DRNTG_31098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRTPHHLGFPITNSFHHQATNTKGHTIFAGSYIIRFIRGMGLLGNVEHLSIAGGSSPISLRSLHKMGLVTPRPTVTTEKPPCGCTLIPNEVYSALWTIVHLPLLHPPMILSPRVPVLIGQHPYVFISLSCRYLSFCRLCSSDNELRFISPSLANGKRIVRFHSSEVIQEEDRWRNVLMKLGFASILVEMESSGDFPDMVELVDEKGGEITNVYMLYEAPVGSRGSQQQERGISSQAQSQQDCAFPPDYSSSKIAFVKWGKHDQDAPSTVVHWRSMGEARPHSSPDSPRRALNRFTQKSQRDSTGFFFSTGRTFDEGERRSSFTTLSKEFKDESEAQGKWGQYMELN >DRNTG_01015.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18011308:18013009:-1 gene:DRNTG_01015 transcript:DRNTG_01015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSLVTLFSLLCFICFSNGFTSTNILDNIQTVSFDEGYTQLFGDTNLMLLSDGKSVHLSLDQRTGAGFASQDLYLHGFFSASIKLPSDYAAGVVVAFYMTNGDLFEKNHDELDFEFLGNVRGKEWRVQTNVYGNGSTAVGREERYGLWFDPTEAFHHYSILWNHDQIIFYIDGIPIREIIRNESSMGGAFPSKPMSLYATIWDGSNWATSGGRYKVNYKFSPYIAKFSDFVLNGCAVNPVDHSSACDKAESNNYNTISLSTKQKYAMQSFRNKYMIYSYCYDRKRYAKPLKECSSNRRESLKSYRSNGVKLSKRHRHGAGNSATI >DRNTG_01015.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18011308:18013009:-1 gene:DRNTG_01015 transcript:DRNTG_01015.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGDLFEKNHDELDFEFLGNVRGKEWRVQTNVYGNGSTAVGREERYGLWFDPTEAFHHYSILWNHDQIIFYIDGIPIREIIRNESSMGGAFPSKPMSLYATIWDGSNWATSGGRYKVNYKFSPYIAKFSDFVLNGCAVNPVDHSSACDKAESNNYNTISLSTKQKYAMQSFRNKYMIYSYCYDRKRYAKPLKECSSNRRESLKSYRSNGVKLSKRHRHGAGNSATI >DRNTG_13401.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000610.1:27709:29763:1 gene:DRNTG_13401 transcript:DRNTG_13401.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRGVKGKDGGGGCRRRQRGSERCDWFDCAEMGMMKGYYYASMAKELYRSTKSRPHTKEK >DRNTG_13401.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000610.1:27709:29763:1 gene:DRNTG_13401 transcript:DRNTG_13401.4 gene_biotype:protein_coding transcript_biotype:protein_coding GRGVKGKDGGGGCRRRQRGSERCDWFDCAEMGMMKGYYYASMAKELYRSTKSRPHTKEK >DRNTG_13401.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000610.1:27709:29763:1 gene:DRNTG_13401 transcript:DRNTG_13401.3 gene_biotype:protein_coding transcript_biotype:protein_coding GRGVKGKDGGGGCRRRQRGSERCDWFDCAEMGMMKGYYYASMAKELYRSTKSRPHTKEK >DRNTG_13401.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000610.1:27709:29763:1 gene:DRNTG_13401 transcript:DRNTG_13401.2 gene_biotype:protein_coding transcript_biotype:protein_coding GRGVKGKDGGGGCRRRQRGSERCDWFDCAEMGMMKGYYYASMAKELYRSTKSRPHTKEK >DRNTG_20402.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19423145:19426626:-1 gene:DRNTG_20402 transcript:DRNTG_20402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILLIFILLLLPLLLYIIKTRFQTLPLPPGPKGYPIIGNILMNNHLTHRGLMQLSLNYGGLLHLRLGFLHTIAISTPQMAQQVLQHQDLLFSNRPATLAIKYLTYNRADMAFAHYGPFWRQMRKLCVVNLFSRHRAESWSSVREEIDKTIQTIFVSFSHHRCVNHGETVFNLTKNITFRAAFGSQSHEEQDKFIEILQEFSKLFGAFNVGDFIPWLGWLDLQGLNKRLVRARVSLDKFIDRIIDEHMKKPKAIDAVDADMVDVMLAFLDDDESKLMKDDDELKASLKLTRDNIKAIIMDVMFGGTETVASAIEWAMAELLHSPGEMAIVQAELASVVGLHRQVLDSDLDHLRHLKRAIKETLRLHPPIPLLLHETSQPTQLSGFFIPSKSRVMINAFAIGRDPSSWKDPNSFRPSRFAPGGDAEGIDFKGNFFELIPFGSGRRSCPGMQLGLYGLELAVAQLLHCFTWELPDGMRPEELDMEDVFGLTAPKAVRLCAVPTYRLTCSLV >DRNTG_20402.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19423145:19423816:-1 gene:DRNTG_20402 transcript:DRNTG_20402.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGTETVASAIEWAMAELLHSPGEMAIVQAELASVVGLHRQVLDSDLDHLRHLKRAIKETLRLHPPIPLLLHETSQPTQLSGFFIPSKSRVMINAFAIGRDPSSWKDPNSFRPSRFAPGGDAEGIDFKGNFFELIPFGSGRRSCPGMQLGLYGLELAVAQLLHCFTWELPDGMRPEELDMEDVFGLTAPKAVRLCAVPTYRLTCSLV >DRNTG_19216.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000990.1:56850:63538:1 gene:DRNTG_19216 transcript:DRNTG_19216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERKLPILHSLESLDISWEARNLTPEEIVHESDINHTLAMILQQEEVYWRRPQHHVYTCVIFKGVVQSFSRSTLPLPDVVLDTLQLQSGLPSLDILDHKSWSPTLSGSFSVKSFYCVLINRETRCNVTPCISRVLAHMQSQLLKGISWMNLYRESDVVSSSQGFPHAQHLSPLLQAITCERDETRSLLLLNNNNPQQQRLSTGDDDASTNRPNLDPDSDLDDEDEGPHGNEVLRHKRVLMQHPFCHQRTNLPNVVNGHHLHVKASWVDGPGNIAAGGC >DRNTG_00657.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16441672:16441945:1 gene:DRNTG_00657 transcript:DRNTG_00657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVLNKQHVGIIIILNQVIKQIQMHRVMVF >DRNTG_01406.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6895415:6895808:1 gene:DRNTG_01406 transcript:DRNTG_01406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATIVSTVGIFVFPALQVALERLVAYLSKDQPSHSAFDDDLERLRLSMSNIPALACAAEHTPSPDAGFLDLLCQLKDASDSANDLLDDLEYHSIHLQARSYTPVSTPSPTFESQ >DRNTG_16637.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1956018:1958371:-1 gene:DRNTG_16637 transcript:DRNTG_16637.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIQFENSCEVGVFSKLTNAYCLVAIGGSESFYSAFEAELADVIPVVKTSIGGTRIIGRLCAGNKNGLLLPHTTTDQELQHLRNSLPDQVVVQRIDERLSALGNCIACNDHVALTHTDLDKETEELIADVLGVEVFRQTIAGNILVGSYCTFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGADTTATELSVIESVFKLREAQPSAIVDEMRKSLIDTYV >DRNTG_28871.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001452.1:47707:48977:-1 gene:DRNTG_28871 transcript:DRNTG_28871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWNRYPDRKNYKPGLGLILAVISCLAGVCSCFICYSSNSLFFNLNFSVIL >DRNTG_31417.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:619181:620193:-1 gene:DRNTG_31417 transcript:DRNTG_31417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPTLVLFLIFSILIMAEQKQGETGQQGSRRIGSGAKTEWPEAVGLTAEEAKAKIKEDAPGLNIQVIPPNNFVTMDYNTGRVRDLHGCYR >DRNTG_31417.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:619444:620193:-1 gene:DRNTG_31417 transcript:DRNTG_31417.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPTLVLFLIFSILIMAEQKQDGVEGETGQQGSRRIGSGAKTEWPEAVGLTAEEAKAKIKEDAPGLNIQVIPPNNFVTMDYNTGRVRDLHGCYR >DRNTG_31417.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:619444:620193:-1 gene:DRNTG_31417 transcript:DRNTG_31417.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPTLVLFLIFSILIMAEQKQVTISDGVEGETGQQGSRRIGSGAKTEWPEAVGLTAEEAKAKIKEDAPGLNIQVIPPNNFVTMDYNTGRVRDLHGCYR >DRNTG_31417.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:619444:620193:-1 gene:DRNTG_31417 transcript:DRNTG_31417.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPTLVLFLIFSILIMAEQKQGETGQQGSRRIGSGAKTEWPEAVGLTAEEAKAKIKEDAPGLNIQVIPPNNFVTMDYNTGRVRDLHGCYR >DRNTG_28751.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6999580:7006206:1 gene:DRNTG_28751 transcript:DRNTG_28751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTDESQPSILTAPLLPSTSASTSISGAVSSSGAVSDDDDHFPPISFNHGRRPIRDIPAFILFLLLSLATLSLGIVALIRRNPSFSHSHSFVFDPISSSCVPSRRLSNSFLLSGSPFFKDLLVVLILTFLLSLPIVLFLLWLLKHFAKQVIYACIPFFIIIPTFLNVYWFVSCTVSSSCRSGFPLAYRVLVLIFVFLLVMIILWIIVANWNRVELTVHIVRVAADALFHNLALFLVLPGLTVGFLINVGLVSVFLVFARFNGSIEPMVGADGKYYCEWRQEGWVPAYFALAIVTLVWTAASMVEAQVFVISGTIAQWYFCKEGVKPRRSIRTSLRNAFGPSFGTVCFSGMIMGAVRVIRAIVDSAKREGIAPGIVNFITQCCAKVLLSACDFVNKFTIIFTAITGEGYCSSAKMTYELLKRNLLSAVFVETVSTRVLVGIIFVLSTLYAIVVCAILKAVSDLNGEIYLVALFAWLLLIILLGFFVHVLDNVIDTVYVCYAIDRDKGEVCKQDVHEVYVQLPLSRNHRPTLNPRSTLLV >DRNTG_29991.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6467504:6468748:-1 gene:DRNTG_29991 transcript:DRNTG_29991.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVGLLFFISVFWGFFPVFTAIFTFPQERAMLSKERCVDMYRLSAYFMARTTSDLPLDLILPILFMLIVYFMAGLKLSPGPFFLSLVIVFLDIIAAEGVGLVIGASLMDIKKATTLASVTVMTFMLSGGFFVKRVPVFISWMRYISFNYHTYRLLLKVQYGHVPAYVNVIDQGHGVREVVAMIAMIFGYRLLAYMSLRRMKLQAAA >DRNTG_29991.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6467504:6471463:-1 gene:DRNTG_29991 transcript:DRNTG_29991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGVKQLKSLSRTASAEMINGSAQHINYNKSSFRKKLANISPGKSRSSGAGNHIRKSRSAQLNYKLDLEDVSSGTALSRASSASLGMSFSFTGFTPPPQDILAHLDNFSDDDTCAGDHASHEADDARRNRMIIEPTLPLYLKFTEVKYKVIIKGVTSTRDKEILRGITGSAGPGEVLALMGPSGSGKTTLLSLLGGRISGNITEGIITYNDESYSKSLKSRIGFVTQDDVLFAHLTVKETLTYAALLRLPKTMTKQQKKDRAIDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEILTNPSLLFLDEPTSGLDSTTALRIVQILHDIAAAGKTVVTTIHQPSSRIFHKFDKLILLAKGSLLYYGKASEAMVYFSSIGCNPLISMNPAEFLLDLANNNMIDVSIPSELKDRVHMENLKSDPRSIDRLSSKDVHEYLVEAYETRVACKEKKKLLLPIPINEDVKARVSSPMREWGASWWQQYTILFWRGLKERRYDHLSWMRITQVIATAAILGLLLVAL >DRNTG_20293.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5302892:5304999:-1 gene:DRNTG_20293 transcript:DRNTG_20293.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLFLTLLCFSFFSSVQSDLQLGFYSKTCPKAEQLIQEFVKQHISNAPSLAPPLLRMHFHDCFVRGCDASVLINSTNNNPSEKTANPNLTLRGFDFIDRVKSLVENECPGVVSCADVLALTARDAVGVIGGPFWRVPTGRRDGVISNQSEANSQIPAPTFNFTQLQTSFANKGLNLTDLVLLSGAHTIGVAHCSSFSNRLYNFTGKGDQDPSLDSEYASNLKQNKCKSINDNTTIVEMDPGSFLTFDLGYYKLLLKRRGLFQSDGALTTNNGTKSSIIALVDSPLSTFLGEFALSVEKMGRIDVKTGSSGEIRKHCAVVNS >DRNTG_18398.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3882302:3883965:-1 gene:DRNTG_18398 transcript:DRNTG_18398.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIDLHLALPLIRPLININIKNIPRASRLSTFCSSAQPIKNKDKVIVISGPTGAGKSRLALELAKILNGEIISADSVQVYRGLDIGSAKPSAADRNEVAHHLLDILHPSQEYSAGQFYEDARKATCEILANQRVPIVAGGTGLYLRWYMYGKPDVPKSSMGISSQVFSELMQLQDRGKWDEAVELVVNAGDLRARSVPYNNWYRLRRSLEIIRASGSPPSAFAVPYDEFQGGDVAKNLDYDFICLFLSGPRVDLYRAIDLRCEEMLMETEGLLSEASWLLDIGLHPSTNSATRAIGYRQAMEYLLSCREAKSCSAEGFIDFLSEFQKASRNFAKRQMTWFRNEKIYHWLDASRPMREVIDFVCSVYQEAGTGKVIVPQKLKMKKDVNNQRETYELKSYRPRNKLFIEDEDCHRVLDWVRRTQCQTVEVSRNPAL >DRNTG_01771.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:2896093:2905865:1 gene:DRNTG_01771 transcript:DRNTG_01771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGNPSILIEGDPELERTLRRKGKEPVQEQHNPADLEVEESENMAEQNEQQRTLSDYARHTTDELVDEYVEEMFNPNPYEGLFDQEESNEEVMMLGSTGEETSTPGILKKSLQVFLSHPEKTHGRVEFPHDRGCILRAHPEKAQGRAATPVNDHAIGARPEATGAYICPCGSGARAWVISARPYMAPRAKKNKVKRLRVISPEPIHLEFLNPEHQACFERLSALGFGQTCFANVQVLRNIQQGDEVINEIDEMLAVGSWRRLLTISEPAYHTLMLEDGPVRYRLYRYRRVWQTTSRFFSVSHSISCVQDFVWRG >DRNTG_14212.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000663.1:3946:4495:1 gene:DRNTG_14212 transcript:DRNTG_14212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEVKNVPNDTFPAKGVPNDASSSRGSPSFKSW >DRNTG_28163.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:496093:496525:-1 gene:DRNTG_28163 transcript:DRNTG_28163.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLERVREKVWVRVVSVFSSHKEAIRLEIDAGFSFWECDLW >DRNTG_28163.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:496093:496506:-1 gene:DRNTG_28163 transcript:DRNTG_28163.2 gene_biotype:protein_coding transcript_biotype:protein_coding DLERVREKVWVRVVSVFSSHKEAIRLEIDAGFSFWECDLW >DRNTG_00476.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30689671:30695118:-1 gene:DRNTG_00476 transcript:DRNTG_00476.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP96 [Source:Projected from Arabidopsis thaliana (AT1G80680) UniProtKB/Swiss-Prot;Acc:Q8LLD0] MIDSDHLSITDVGRSGSPGYSPFKKQRMSDNLDVESSLPILFSSDYFTEPCISELAVREAIDPGYCSRVPDFVVGRVGYGYIKFLETTDVRCLYLDHIVKFERHSVVVYENEIEKPSVGCGLNKAAEVTLVLQLNSLNPRGLESDVLVNKLKKSTNRQGARFLSFDILTGEWKFLVCHFSRFGLDDDEEEDVVMDDAMAQPSAPIKGPQVQSTELVLSHSLPAHLGLDPQKMQEMRMLMFPADEDEMEDFDGHFPVHKRNFSKDHMKADSPSSGAKSPINKLPLQDSSRKNSSKASPSTVRRAPQALLEYNVNSSDNSPSRSILLTGQNKGLPLKEMKVQGFKLEVKNATPVTGSYSKNIVDAALFMGRSFRVGWGPNGVLVHTGAPVGSTGNVLSSVINVEKVAIDTVVRDEKGRVKDELIDLCFSSPLNLHKSLDHESVEVEYGSYHIKLQKVVCSHLTLADICRSYVGIIEKQLEVPGLSMSSRVLLMHQVTIWELIKVLFSEKEMTGSLKPSMDEDIEEMMLDKKDISLDIDPEASPFVRRAEFSYWLQDSVCHRVQEEVSCLNESNELEHILLLLSGRQLDAAVELAASRGDVRLAILLSQAGGSMVNRSDMAQQIDLWKINGLDFSFIESDRLKLYELLAGNVQGAFQDASIDWKRYLGLVMWYQLAPDTSLSVIIHTYQQLLNEGRAPFPVPVYIDEGPLEETLDWQAGDRFDIAYYLMLLHANEGKGFDLLKTMFSAFSSTYDPLDYHMIWHQRAILEAIGTFSSNDLHVLDMSLVSQLLCLGQIHWAIYVVIHMTYHEDYPYLQANTIKEILLQYCESWSGQEIQRQFIIDLGVPSAWMHEALGIYFHYYGDLPQALEHFLECSNWQKAHSIFMTAVAHSLFLTSKHSEIWRITSFMEEHKSEIADWDLGAGIYIDFYVLKSSLQEEDITDEPDPLEKKNEACRDFFSRLNESVLIWGRRLPVDARVTYSKMVEELCNLLISTPGVSSTPSVQMSCFETMLSAPMPEDIRSCHLQDALSVFTYLLSETAS >DRNTG_02202.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1299030:1299923:-1 gene:DRNTG_02202 transcript:DRNTG_02202.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNSSVLACSYVLSAGSDLSSKLASAPTTAIATPKLPAIRAQQSRTVLQAKAAEGRRAALLSLAAIVFTTTAATSSANASIFDEYLEKSKANKELNDKKRLATTGANFARAYTVEFGTCKFPENFTGCQDLAKKKKVPFISDDLDLECEGKDKFKCGSNVFWKW >DRNTG_02202.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1299091:1304518:-1 gene:DRNTG_02202 transcript:DRNTG_02202.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTMAVALRVPMLPSAGDRRRHCSIGMVPGRRSVVMAEALAGRTSIHRLIESEGTVLLPGCYDALSAAIVQMSGFSAGFISGYALSASLLGKPDFGLLTPPEMAESARLVCAAAPKIPIIADADTGGGNALNVQRTVRDLIAAGAAGCFLEDQAWPKKCGHMRGKQVISAQEHAAKIASARDAIGDADFFLVARTDARATSAKTGLGEAIYRANLYMEAGADACFVEAPRNDAELIEIGRETKGYRVCNMLEGGVTPLHTPQELKAMGFHIIVHPLTTLYASARAMIDILKVIKESGSTRDQLHKLATFEEFNKLIGLDTWFELEAKFAKISTAVDRKA >DRNTG_02202.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1298833:1299923:-1 gene:DRNTG_02202 transcript:DRNTG_02202.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNSSVLACSYVLSAGSDLSSKLASAPTTAIATPKLPAIRAQQSRTVLQAKAAEGRRAALLSLAAIVFTTTAATSSANASIFDEYLEKSKANKELNDKKRLATTGANFARAYTVEFGTCKFPENFTGCQDLAKKKKVPFISDDLDLECEGKDKFKCGSNVFWKW >DRNTG_02202.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1299091:1299923:-1 gene:DRNTG_02202 transcript:DRNTG_02202.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNSSVLACSYVLSAGSDLSSKLASAPTTAIATPKLPAIRAQQSRTVLQAKAAEGRRAALLSLAAIVFTTTAATSSANASIFDEYLEKSKANKELNDKKRLATTGANFARAYTVEFGTCKFPENFTGCQDLAKKKKVPFISDDLDLECEGKDKFKCGSNVFWKW >DRNTG_07456.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26743852:26745187:1 gene:DRNTG_07456 transcript:DRNTG_07456.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKTFHFAGVASMNVTLGVPRIKEIINASKNISTPIITAILNSDTLFSARMVKGCIERTVLGEVCFLFL >DRNTG_07456.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26743852:26746568:1 gene:DRNTG_07456 transcript:DRNTG_07456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKTFHFAGVASMNVTLGVPRIKEIINASKNISTPIITAILNSDTLFSARMVKGCIERTVLGEVAESIKIVLKRQASIVIKLDVKKSEDLRLGI >DRNTG_23172.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001260.1:60056:71201:1 gene:DRNTG_23172 transcript:DRNTG_23172.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIFNIAVLLLNRVYEGYSFTLFGANLAFLDSYRGTFRWHICFNLVILRMLSFGLDYHWFSFQDSRFDQKKHMQGCYTCRSGKACYFALQERSVPIDKYSFNIYLSYLIYAPLYIAGPITSFNAFATQLDIPQKNHSVGQIAWYGVRWAISLFLMEILTHFFYYNSYASSDIWKNLSPLEIFIIGYGVINFMWLKFSLIWRFFRFWSLIGGVETPENMPRCVNNCYDLESFWKSWHASFNKWLVRYMYIPLGGSQRKLLNVWVIFTFVALWHDLEWKLICWAWLTCIFLIPEIVIKSAAKTFQVRSALGGFIFRELSAISGAVTITCLMVANLVGYVIGPNGINWLISRLLQKDGLHVLFGIFTSFYIGTKLMFHIRDAKQKCW >DRNTG_23172.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001260.1:60056:71201:1 gene:DRNTG_23172 transcript:DRNTG_23172.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGFSWKLWELAFIVLYALAFYALVIHRSLQLSHDNSHRLFGLRPGWILGRLNDLSDPQWRNFRGNLPILMLVFGIFTLVANTIRRFYHLRARGMSLIWSFLSFCYLSYLHGACVVFILLISSMNFFLVKIFARTKYFVYMLWIFNIAVLLLNRVYEGYSFTLFGANLAFLDSYRGTFRWHICFNLVILRMLSFGLDYHWFSFQDSRFDQKKHMQGCYTCRSGKACYFALQERSVPIDKYSFNIYLSYLIYAPLYIAGPITSFNAFATQLDIPQKNHSVGQIAWYGVRWAISLFLMEILTHFFYYNSYASSDIWKNLSPLEIFIIGYGVINFMWLKFSLIWRFFRFWSLIGGVETPENMPRCVNNCYDLESFWKSWHASFNKWLVRYMYIPLGGSQRKLLNVWVIFTFVALWHDLEWKLICWAWLTCIFLIPEIVIKSAAKTFQVRSALGGFIFRELSAISGAVTITCLMVANLVGYVIGPNGINWLISRLLQKDGLHVLFGIFTSFYIGTKLMFHIRDAKQKCW >DRNTG_23172.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001260.1:60056:71201:1 gene:DRNTG_23172 transcript:DRNTG_23172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGFSWKLWELAFIVLYALAFYALVIHRSLQLSHDNSHRLFGLRPGWILGRLNDLSDPQWRNFRGNLPILMLVFGIFTLVANTIRRFYHLRARGMSLIWSFLSFCYLSYLHGACVVFILLISSMNFFLVKIFARTKYFVYMLWIFNIAVLLLNRVYEGYSFTLFGANLAFLDSYRGTFRWHICFNLVILRMLSFGLDYHWFSFQDSRFDQKKHMQGCYTCRSGKACYFALQERSVPIDKYSFNIYLSYLIYAPLYIAGPITSFNAFATQLDIPQKNHSVGQIAWYGVRWAISLFLMEILTHFFYYNSYASSDIWKNLSPLEIFIIGYGVINFMWLKFSLIWRFFRFWSLIGGVETPENMPRCVNNCYDLESFWKSWHASFNKWLVRYMYIPLGGSQRKLLNVWVIFTFVALWHDLEWKLICWAWLTCIFLIPEIVIKSAAKTFQVRSALGGFIFRELSAISGAVTITCLMVANLVGYVIGPNGINWLISRLLQKDGLHVLFGIFTSFYIGTKLMFHIRDAKQKCW >DRNTG_14295.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4975922:4977067:-1 gene:DRNTG_14295 transcript:DRNTG_14295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVTVMMLNKSGKDFYGKNGNTSGNPRSEFFMNCSPSTA >DRNTG_00177.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:56023:62983:1 gene:DRNTG_00177 transcript:DRNTG_00177.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUG6 [Source:Projected from Arabidopsis thaliana (AT5G40740) UniProtKB/TrEMBL;Acc:A0A178UQ56] MATEREKEREAELESAMYTNCLLLGLDPAVLGLPAGAGGGPSPRAGFFRHSNPKMGEQLLYFLLSALRGPEQSAKDFGKVWPIFDSGQSRDFRKIVQGIISELESQGALPRSNSRVSSLATCCGPRFVELLWQLSVHALREVHKRSFAADVATNPLPAPLTDVSYLHAAALLPVTKARIALERRKFLKNASMAVHRQATWSNLANEMTAEFRGLCAEEAYLQQELEKLQDMRNKAKLEGELWDDRISSSAAQSSHLVSKATRLWESLLARKSQHEVLASGPIEDLIAHREHRYRISGSSLLAAMDLSSHVPYSDVLSAPAGEMSPPTENQKEMDSPQLQGSGETLSRANDRSGRPHPTVDVAEILRRWTHALQRIHKQSLQLAKANDGEGPELLRCASDTGSSDHAESLASALSEHRQHLASIQGLINQLKEAVPAMQKSISQLTEEVNSISSISADEFSGRSTSPAQAQSSGRPLEKNDEVAEMTSKLSTVQLDKVSASPVKLPHLFSLTPNSSGKSTQTPKRHVLNTQSSQMDSFPTGKTINPPFTIDQPDGVIQDNDLSFIQNLKRAVREAALAKPLNKEWSQDKSSDDGSEHFFMPLSTGGLSHKEAADAVPNRRKLRLVFSSPENQVRSVTDRSSDTSSQITTARSVYDSHGFEEYENQLTGVQSATDSDYATMFQRKFPDIDDDLDNVFSPPLLIDSSFFSDAYEDLLAPLSETDAALMER >DRNTG_23167.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001260.1:37826:41632:1 gene:DRNTG_23167 transcript:DRNTG_23167.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein NPY1 [Source:Projected from Arabidopsis thaliana (AT4G31820) UniProtKB/Swiss-Prot;Acc:Q8H1D3] MLSSRMGVASVSELATDIAVIVGEVKFYLHKFPLLSKSNRLQRLVLKANEDSTDEIYMLDFPGGPKTFETCAKFCYGMTVTLNAYNVVAVRCAAEYLEMTEGVEKGNLIFKIEVFLNSSLLRSWKDSIIALQTTKYLLPWSEELKVVGRCIDSIASKTSVDPSYVNWSYSYNKRSGASNQIVEHHQKKMNVVPKDWWVEDICELDIALYKRVMIAIKSKGRMSSDVIGEALKAYAFRWLPDSFDSLVSDDYAIKYKCLVETIIWLLPADKSSGCSCSFLLKLLKVVILVGAGDLLKEELMNRISLQLHKASVKDLFIPANSSEETVYDINLVKSLVRRYMMLEGSNVDSSYFENSENKGDELRLGNASLLTVGKLVDGYLAEVASDPNLSLSSFIELSASIPDMARPVNDGLYTAIDIYLKEHPNLAKAEKKMLCSLLDVKKLSTDACVHAAQNERLPLRVVVQVLFFEQVRAAAVGLTSEANGTTNGSTRSTANIEADWAIPITDDCNSLKKQLRTVKIEEECRANEEKKKNAKNTKNKSGGLLLPSRSRRIFDKLWASSKGHSEINRSSETSGSSQSPPTTVNPGEAKSSGSSSRHRRHSIS >DRNTG_07073.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2100842:2103144:-1 gene:DRNTG_07073 transcript:DRNTG_07073.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB41 [Source:Projected from Arabidopsis thaliana (AT3G61250) UniProtKB/Swiss-Prot;Acc:Q9M2D9] MGRAPCCDKQGLKKGPWTPEEDKILIDFIQANGHGSWRSLPKLAGLLRCGKSCRLRWTNYLRPDIKRGPFTPEEQKSIIQLHGIVGNKYALIFLFPAFVVLL >DRNTG_07073.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2100842:2102859:-1 gene:DRNTG_07073 transcript:DRNTG_07073.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB41 [Source:Projected from Arabidopsis thaliana (AT3G61250) UniProtKB/Swiss-Prot;Acc:Q9M2D9] MGRAPCCDKQGLKKGPWTPEEDKILIDFIQANGHGSWRSLPKLAGLLRCGKSCRLRWTNYLRPDIKRGPFTPEEQKSIIQLHGIVGNKWSTIAAQLPGRTDNEIKNYWNTHLKKRLLRMGLNPDTYAPSSSPSSSTNSSSSSSARHMAQWESARLEAEARLSRESLLFSSSSSSTAPTPTLSASSSDLIAARPEPDYFLRMWNSDIGDAFRRPVTATPPLDEGKSYASSSNGDAEDDEDDDDDDTYELYLDFSGSGGATAAAAASSAGDDLNMSFFQGQFGNFTSLFESSDLELSPEMK >DRNTG_07073.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2100804:2102859:-1 gene:DRNTG_07073 transcript:DRNTG_07073.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB41 [Source:Projected from Arabidopsis thaliana (AT3G61250) UniProtKB/Swiss-Prot;Acc:Q9M2D9] MGRAPCCDKQGLKKGPWTPEEDKILIDFIQANGHGSWRSLPKLAGLLRCGKSCRLRWTNYLRPDIKRGPFTPEEQKSIIQLHGIVGNKYALIFLFPAFVVLL >DRNTG_07073.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2100842:2103144:-1 gene:DRNTG_07073 transcript:DRNTG_07073.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB41 [Source:Projected from Arabidopsis thaliana (AT3G61250) UniProtKB/Swiss-Prot;Acc:Q9M2D9] MGRAPCCDKQGLKKGPWTPEEDKILIDFIQANGHGSWRSLPKLAGMHSLISSTVEYSLLFFIGCLFLVWQVC >DRNTG_07073.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2100842:2103144:-1 gene:DRNTG_07073 transcript:DRNTG_07073.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB41 [Source:Projected from Arabidopsis thaliana (AT3G61250) UniProtKB/Swiss-Prot;Acc:Q9M2D9] MGRAPCCDKQGLKKGPWTPEEDKILIDFIQANGHGSWRSLPKLAGMHSLISSTVEYSLLFFIGCLFLVWQVC >DRNTG_07073.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2100804:2103144:-1 gene:DRNTG_07073 transcript:DRNTG_07073.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB41 [Source:Projected from Arabidopsis thaliana (AT3G61250) UniProtKB/Swiss-Prot;Acc:Q9M2D9] MGRAPCCDKQGLKKGPWTPEEDKILIDFIQANGHGSWRSLPKLAGLLRCGKSCRLRWTNYLRPDIKRGPFTPEEQKSIIQLHGIVGNKWSTIAAQLPGRTDNEIKNYWNTHLKKRLLRMGLNPDTYAPSSSPSSSTNSSSSSSARHMAQWESARLEAEARLSRESLLFSSSSSSTAPTPTLSASSSDLIAARPEPDYFLRMWNSDIGDAFRRPVTATPPLDEGKSYASSSNGDAEDDEDDDDDDTYELYLDFSGSGGATAAAAASSAGDDLNMSFFQGQFGNFTSLFESSDLELSPEMK >DRNTG_07073.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2100842:2102859:-1 gene:DRNTG_07073 transcript:DRNTG_07073.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB41 [Source:Projected from Arabidopsis thaliana (AT3G61250) UniProtKB/Swiss-Prot;Acc:Q9M2D9] MGRAPCCDKQGLKKGPWTPEEDKILIDFIQANGHGSWRSLPKLAGMHSLISSTVEYSLLFFIGCLFLVWQVC >DRNTG_07073.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2100842:2103144:-1 gene:DRNTG_07073 transcript:DRNTG_07073.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB41 [Source:Projected from Arabidopsis thaliana (AT3G61250) UniProtKB/Swiss-Prot;Acc:Q9M2D9] MGRAPCCDKQGLKKGPWTPEEDKILIDFIQANGHGSWRSLPKLAGLLRCGKSCRLRWTNYLRPDIKRGPFTPEEQKSIIQLHGIVGNKWSTIAAQLPGRTDNEIKNYWNTHLKKRLLRMGLNPDTYAPSSSPSSSTNSSSSSSARHMAQWESARLEAEARLSRESLLFSSSSSSTAPTPTLSASSSDLIAARPEPDYFLRMWNSDIGDAFRRPVTATPPLDEGKSYASSSNGDAEDDEDDDDDDTYELYLDFSGSGGATAAAAASSAGDDLNMSFFQGQFGNFTSLFESSDLELSPEMK >DRNTG_04868.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:6964370:6968896:-1 gene:DRNTG_04868 transcript:DRNTG_04868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKASALKALAIKINILFLAFIILIYISIILQPPYPFDDKSASPFSQCHPSGVCDVDKMGGGRVEDQIKLPSFQSVFKDKRIGLVNAGDSEMIKWNNIGKMISVIKFDKVSEKLEWKELFPEWIDEEEEKETPECPKIPMPDFSLYDELDVVVTSVPCRQPEIGWSRDIQRLQVHLVAANLALRRVVRDKRGRVKMVFVSACMPMTEVFKCENLVKREGEWWLYEVEVERLGEKMSLPVGSCKLALPLWQEGTNKAPANFLRREAYATVLHSSDIYVCGAITLAQSLINTGTNRDLLLLHDYSIPPPKLRALATAGWSLIPIGRIRNPFASKNSYNEYNYSKLRLWQLTNYNKLVFLDSDILVLRNLDLLFRFPELSAAGNDGYIFNSGVMVIEPSNCTFNRFMKQIKEVVSYNGGDQGFLNEAFPWWHRLPRKVNFLKNFWSNTTEEMTEKNRLFKAEPPELYVIHYLGLKPWLCYRDYDCNWNVGDQRVYASDSAHRRWWKVYDGMKSELQKFCGLSQRRNWELRKEMKKARESGFGDGHWRLRILDIRVNEI >DRNTG_27209.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:833794:835441:-1 gene:DRNTG_27209 transcript:DRNTG_27209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVRPYAVVIFIQLLSAGSFMITKAAFTFGLNPLVFVFYRQAAGTVLLVPLAMILVISMSIHFDMCGIALSYVSATAASSIINSLPVFTFIFAVLFSTIQSFIIAIIFQRDFAKWKLHWDIGLLAIGYCGVLVTGLSYYFASLVC >DRNTG_15431.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14792976:14801166:1 gene:DRNTG_15431 transcript:DRNTG_15431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMLDILAEYLSLRGFQFQRLDGSTRADLRHQAMEHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKETKKGNSMFDKNELSAILRFGAEELFKEEKNDEESKGRLESMDIDEILERAEKVETKAADGEPGSELLSAFKVANFCSAEDDGTFWSRLIQPEAVDHDAALAPRAARTTKSYAETNQPEKSTKRKKRGLESQDNRPQRRSTRATDTAVHSLPIIDGASAQVRGWSYGNLSKKDASLFVRAVKRFGNQSQISLIVSEVGGTIEAAPYDSQIELFELLLEGCQEAIRGNVDMKGTLLDFFGVSVKAHELLNRVEELQLLAKRISRYPDPVSQFRLVTHHKSPQWSKSCGWNPVDDSRLLLGIYYHGFGNWEKIRLDSRLGLTRKIAPVSLGERETFLPRAPNLDNRASALLQKEFANVNGKNSKSKGPRKVAKSEGENIIKQSNSRLKDMASKTGSPKLNAKSNKERLHKRQKVEPRVKEEGEISESEEQERYQQFKEEKWMEWCADVMEEEEQTLNRLERLQTTSLDLPKEKVLSRIRKYLQLIGRKIDRIVQQHEVSYKQSRMAMRLWNYVATFSNLSGERLCEIYSKLKEEKAEMGAGPSHSNISAALPTDRENDSNQFSNVGDFRNKPRSHQLPNQSSDMLLRNQTTGKTGSWKRWRRSKTDAQALQPSYQHAAMVNGNRPQEPNNSAGILGWGPAELRRFGNERSTRAHPGRFAPGQGHI >DRNTG_29508.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2238996:2239942:1 gene:DRNTG_29508 transcript:DRNTG_29508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDNSQARAPDTRPSVSQEPLSQGFIPIQNLSKPPTSDYNPTADGPMETSSVSQVNSRIDKPTSTPSAPAVTRGPARQHLSKS >DRNTG_19647.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3073305:3074815:-1 gene:DRNTG_19647 transcript:DRNTG_19647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVLAYLKKKGFLQTELALQEELGRLSSSSHPDIPRPESGVLRYHDGYSKLRSWAYNSLDLYKEGILCLLPFSWGSIHISNTFRAKALVLNIPQIMVSMDEEIKKMVLAYLKKKGFLQTKLALQEELGQLSFFSYPDIPRQESGALRYHDGYSKLRSWV >DRNTG_13422.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16824428:16826925:1 gene:DRNTG_13422 transcript:DRNTG_13422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDPRVSNVFKSFTSPSGFKQIVSVRPSSNQWFVDNLAAAIRFGYRFIYSECSSPSYMIAGSASKSKIGNSEVRLAAVKALYNALDFIQANFEDEMERSFIMKIICETAMPNELEIKKASLECLVSISSMYYEIL >DRNTG_13416.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17355514:17359357:1 gene:DRNTG_13416 transcript:DRNTG_13416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSSSSDFIRTPTRRTISSISTSLVALTMILALVFDKCFIEMLSPGLLCATPLTAVEDLATEKIRFRIGVYLGVIFPCSDIIFVVILFMFKEAFELFERMRDEGVALDKFVVASMLAACTGLGALEQGKWIHGYIENSGIELDPKLMTTMIDMYCKCGCLEKAFKVLMGWKRKVYDIELKVEHFGCLVDLLGGAGMFEEAKKIMAEMPMEADSSVLGTLLVACKIHGNVELGDKIGRQVIELDSNNSGRYVLLANLYASAGRWDEVAHMRKLMNDRGVNKEPGCSMIEMCSVVSEFIAGGMSHPQAKEIYEKVYEMLQRIKSEGYVADTAGVLHDVDEEDKENPLYYHSEKLAIAFGLLHTKPGETIRISKNLRVCNDCHSASKLISKVFDLMIIVRDRNRFHHFCAGECSCKDYW >DRNTG_20253.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20938083:20938925:-1 gene:DRNTG_20253 transcript:DRNTG_20253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGTIPPQLENLTYLHLIDVSNNHLSGAIPHSFGNFTAMKTNNLGRVWSGVEYYVNNIEINMKGREFQLEGRIPSIFICIDLSNNMLSGEIPEELAHLSFLQSLNLSRNQLSGQLSEKMGQLRWLEVLDLSVNNLSGVIPPTMTNLTSLNHLNLSYNNFYGEIPYGGQLQALPDPSIYSGNQGLCGFPLDKKCEITTPAQPPSLPNNEDDDNNLETIWFYLSMSLGFIFGFWAISGALILKKWWRYAYFRFIDHIYDKIYVIVAVNVKKMKRKGGLASE >DRNTG_30303.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001560.1:279:2883:-1 gene:DRNTG_30303 transcript:DRNTG_30303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGEIPIYVQISIRIRTSRLKRVEKVSHEIVKYPLSPPSGVFYLHSLDSMQPYLVGDVR >DRNTG_33325.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3398520:3398894:1 gene:DRNTG_33325 transcript:DRNTG_33325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQAEQNQRTAAPVDVPEWLTSKYVVAVARPRARHRRNSADFSVVDTAPFLKACSLCKRRLGPGRDIFMYRGEMAFCSLECRQQRMNYNERKEKCSLSSMKKDTPSVSTGNDSSSSGETVAAA >DRNTG_05380.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13416916:13418567:1 gene:DRNTG_05380 transcript:DRNTG_05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRCITHTSLSGKTKTYFFTISCCLSSSSC >DRNTG_32927.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001931.1:17717:21628:-1 gene:DRNTG_32927 transcript:DRNTG_32927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLVKLVVTKLSDLVTHEVQLLRGVRDKVSWLENELRWIKGFLKDADAKGKRDERVKNWVIDVTEVAYQAEATIDAFLVQVRSSSIFNRLKARRYVSKEIGKIKEKLNEIKARIKLYRIQLSGDDGDALNLMTVRRRHFLPQPDDADIVGRFNDEKILLERLLMNHHCQQQQQGSCVISIVGIGGLGKTTLARKLCKSNAVSNHFHKCIWLTVSQENSLMELLRKMLIQVPGIREEDLKNKEENDLMDMINDSLRTQRILIVLDDIWLEDVCEWMQGIFRNVNNGSRVLITTRFHNVAKRADSRSTPYQLQLLNEDESMDLLLKKAFPHHEDPEENCSSDLLDICCCLMRKCCGLPLALVVLGGFLADKALLSGAECWRR >DRNTG_32927.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001931.1:17643:18596:-1 gene:DRNTG_32927 transcript:DRNTG_32927.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLERLQYLVTLKFFASVYFGETMVCSAGGFPSLEILFITCWVQDKVNGGWERSQWNSMPNLEEWRIEKGAMPKLTFLHFTSCEKLKMLPDFHHVTSLQKLELFGMSKKLIRRTKREDSHKTRHVPKICSSTGQEPPFGAGCWVDSDEGELSDAGEGISTVAKGDEEVGAEDKADNQEVRAKEDD >DRNTG_30928.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001644.1:19138:20399:1 gene:DRNTG_30928 transcript:DRNTG_30928.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSRSHLNDLKIRNVKKLQNIIWRDLSPPDFFHVLKVLYIWECNLDNLAWLLHLPCLSFLHIRDCANIETLFYIEEEREIQQQEVSEHCPTFPALKFLLIKKLPKLVSISNFALDFPQLSRLLVYECLNLKKLPFKSGINNNNQRILIDCEREWRESLDWDDATIPSHLRPRFKTDENPNECSTSGSK >DRNTG_28240.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4515445:4526585:1 gene:DRNTG_28240 transcript:DRNTG_28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQNTSYFHNLILWSSNSSHSIDTYFAAMPDDLVVLNEGDKELLIKPFSKREVYQTLKSMPCDKSPGLDGSLGLKIDSLIKVEMAAVKLALQQCQNHGWIPDNIYCDCPGLAQILKDYNHCIAWHLIDDIQILKDEIEQLVDDDGDIVEKYLTKKKRRMESSFYGKQPLNGYSSIGGALSVSTPVSSPPESRRLEKTISFSRNRHEKLMENDDITMKILYYGICHSDLHDIKNEWGMTKYPVIPGHEIVVVVSEIGRNVTQVKTGDKEDCIVGSRGSCHNCKQDLENYCPKVILTYDSIYHDGRRTYGGYSNMIVVNEHIAVQFPKNMPLDKSALLYVGINVYCPMKTFGLNEPSCYGHHGWYYQHSVYCSPAYALNLFTEDPRKGDLGGSSKEAIRATSFHFDHGQAIAYHAKVAPPTVTIHRLKAMVSGAIGGFPDVAFNFNTQSFLDDNLLG >DRNTG_29650.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001491.1:35242:37677:-1 gene:DRNTG_29650 transcript:DRNTG_29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQISGSIPSSFGKLLNLNSLAISENFLVGSIPLVLGNLTKLNSLYLWRNGLTGSISKEIGNLMNLRDLEISDNQITGPIPYSIGKLTKLETFYLDNNTINGSIPREIGNLVSLRDFEIYDNQITGPIPHSIRNLTKLETFYMFDNSINGYIPCEIGNLVNFRDLEIFDNQITGPIPHSIGNLTKLETFYLYNNSINGSIPCEIGNLVNLRDFEIPDNQIIGPIPHSI >DRNTG_00244.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25987295:25989433:-1 gene:DRNTG_00244 transcript:DRNTG_00244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDLFDGAGSSDEEVPELEIDHEFARRFEHNKRRAALHRLEELKARGVAQSSSSSSDDDEDSDESEDDDELAGNSGKDLKFYDALVRIKKQDPVLHEKDAKLFSSDDEEFDENPKPLKKEKREGPQYLKDVMAKHLIEHGPEFDDDDAVTLKKNPKIYKKEQMDDIKAFLEAEKEALGSDDDEEFFKEKEKEEGEAEDEDAGQIQMRLDEYFGDDEKLDENEMFLKNFFKEKMWVDKDKDKKPVFDDIGVSEDEGELEKQDKYEAEYNFRHEEAEGDDRVWGHARVTEGSVRKKSSSRKVQRKSKEERMALAEYERKEELKHLKNLKKKEIQEKLEKIRAIAGIEDGDCKIHADDLEEDFDPEEYDRKMREVFDTRYYDAEDADPGFGSDAEGDLEKPDFDKEDELLGLGKDWDVVNSNEGFAAVRERMLKKKAEEDNDDEEEEEDVERRIGREGKRKRKRKISLKEKVELEKELEEYYKLDYEDTIGDLKTRFKYTSVPAKSYGLSASEILMTDDKNLNQFVSLKKLAPYRQKEWKVTYHHKVEEGFDTSWKETRR >DRNTG_00610.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22407089:22409092:-1 gene:DRNTG_00610 transcript:DRNTG_00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVKPYSSVEGLLLEVKYQGQKFTPEPVGVTPCSFQKLTLEALVCSSTVASIIDAAPEKRKPVDVVLIGAKTKLLAQKDKMVEGMTVDDGADFGDFLGLVSTLCEPTIGSLLGFHSAITGYKLADVAPTPLLGFQRGFMIFENRRLWSMNEKIKVHSGPVAA >DRNTG_23412.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001264.1:57838:61065:-1 gene:DRNTG_23412 transcript:DRNTG_23412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELSGPPSTEELDAPLHLIGFEIIEVSAKRVNGRLKITDSCCQPFKVLHGGVSALISEALASIGAHVASGFMRIAGIQLSINHHRPARSGDLIFAEATPVHPGKTIQVWEVHIWKLDPSTLEKGLVLASSKVTFLSNMPVPDNAREAGEKLKKFAKL >DRNTG_09213.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4606945:4615212:1 gene:DRNTG_09213 transcript:DRNTG_09213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTPNPVCGKETLDLLNCVASSQFDREQCLRFLDALRSCVLEKKVKKFSLAEQQQAAGGPNVKQNS >DRNTG_06398.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14484108:14486381:1 gene:DRNTG_06398 transcript:DRNTG_06398.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPHVRVDPRGMKTARPPRPCDSTRGLTGGATRPCVLSG >DRNTG_08580.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9907614:9907938:-1 gene:DRNTG_08580 transcript:DRNTG_08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPSKQYFQHLTSHRQTTITSKNTEKPHQLSS >DRNTG_06573.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:495625:499075:-1 gene:DRNTG_06573 transcript:DRNTG_06573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTHLLLEEPIRMASILEPSKPSFFPAMTKIVGTLGPKSRSVEVISSCLKAGMSVARFDFSWGDIDYHQETLENLKLAVKSTKKLCAVMLDTVGPELQVLNKSERAISLEAEAFVTLTPDQEKEASSELLPINFSGLSKAVKTGDTIFIGQYLFTGSETTSVWLEVTELKGDDVVCVIKNTATLAGSLFTLHISQIRIDLPTLSDADKDVICKWGVKNNIDFLSLSYTRHAEDVRQAREFLSKLGDLHQTQIFAKIENIEVPNKETGLPFIYQSILSVHLIYIFKLWFFFGPSCAGVNPL >DRNTG_06573.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:495908:499075:-1 gene:DRNTG_06573 transcript:DRNTG_06573.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTHLLLEEPIRMASILEPSKPSFFPAMTKIVGTLGPKSRSVEVISSCLKAGMSVARFDFSWGDIDYHQETLENLKLAVKSTKKLCAVMLDTVGPELQVLNKSERAISLEAEAFVTLTPDQEKEASSELLPINFSGLSKAVKTGDTIFIGQYLFTGSETTSVWLEVTELKGDDVVCVIKNTATLAGSLFTLHISQIRIDLPTLSDADKDVICKWGVKNNIDFLSLSYTRHAEDVRQAREFLSKLGDLHQTQIFAKIENIEGLTHFDEILQEADGIILSRGNLGIDLPPEK >DRNTG_06573.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:495908:499075:-1 gene:DRNTG_06573 transcript:DRNTG_06573.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTHLLLEEPIRMASILEPSKPSFFPAMTKIVGTLGPKSRSVEVISSCLKAGMSVARFDFSWGDIDYHQETLENLKLAVKSTKKLCAVMLDTVGPELQVLNKSERAISLEAEAFVTLTPDQEKEASSELLPINFSGLSKAVKTGDTIFIGQYLFTGSETTSVWLEVTELKGDDVVCVIKNTATLAGSLFTLHISQIRIDLPTLSDADKDAREFLSKLGDLHQTQIFAKIENIEGLTHFDEILQEADGIILSRGNLGIDLPPEK >DRNTG_17358.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32248415:32250215:1 gene:DRNTG_17358 transcript:DRNTG_17358.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVQPYIPTTKITGIAVGNEVYTTEDEALMSNLVPAMIALHSALVQLSLDSSIYISTANSLAVLENSYPPSLGSFKPELVPLLAPFLQFLDTTKAPFWINAYPYFAYKNDPIRVPLDYVLFNPNSTGMVDPYTNLHYDNMLYAQVDAVTFAIARMGYEGIEVRVSETGWPSKGDGDEIGATMDNARVYNRNLLLRQMENEGTPLKPNQKLDVYLFALFNEDLKPGPTSERNYGLYQPDGTLAYNVGLTTLTSGASISLTSSATLGCREEVGSLWCWILMLALLRIMMIL >DRNTG_17358.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32248415:32250602:1 gene:DRNTG_17358 transcript:DRNTG_17358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVQPYIPTTKITGIAVGNEVYTTEDEALMSNLVPAMIALHSALVQLSLDSSIYISTANSLAVLENSYPPSLGSFKPELVPLLAPFLQFLDTTKAPFWINAYPYFAYKNDPIRVPLDYVLFNPNSTGMVDPYTNLHYDNMLYAQVDAVTFAIARMGYEGIEVRVSETGWPSKGDGDEIGATMDNARVYNRNLLLRQMENEGTPLKPNQKLDVYLFALFNEDLKPGPTSERNYGLYQPDGTLAYNVGLTTLTSGASISLTSSATLGCREEVGSLWCWILMLALLRIMMIL >DRNTG_13058.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:879029:879876:1 gene:DRNTG_13058 transcript:DRNTG_13058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAKAELDELKAKKSEIELASNKSKQQFEQFINKLNTVPLEVKEMDMKSLEEEHKALLADKAGETEYLHSLQAQINQLKAISHAVKCPCGEEYTVNLMD >DRNTG_02846.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19969857:19973146:1 gene:DRNTG_02846 transcript:DRNTG_02846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSALEYINQMFPTEASLSGVEPLMQKIHSEIRRVDAGILAAVRQQSNSGTKAKEDLTAATHAVQELMYKIREIKSKAEQSEAMVQEICRDIKKLDFAKKHITTTITALHHLTMLVSAVEQLQVMASKASIRRLLLSWRQ >DRNTG_13033.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:685675:687061:1 gene:DRNTG_13033 transcript:DRNTG_13033.1 gene_biotype:protein_coding transcript_biotype:protein_coding SYGEVYYGDLNGTEVVVKKSLDQDFDGDALDEFQREVLVF >DRNTG_08137.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7552952:7555740:1 gene:DRNTG_08137 transcript:DRNTG_08137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRSGSSHGSNFKELREFKHARRLALTSSLMALVSSEMDMNLDGEMCPAHDHVSSIELGSPKLTIFLGEGSEVQLPNCVFNTGAAGAEMRFDTISEFVQFVKKTSYPEENLTVPRLPSRGCRPNVIIPAFEKNTTDEKPKSSVFQRLSCPKKIVFADDEDDEPTFTHIAKGKESGFSNLKKLSQGKVCFQG >DRNTG_14830.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3730078:3730687:-1 gene:DRNTG_14830 transcript:DRNTG_14830.2 gene_biotype:protein_coding transcript_biotype:protein_coding KKVSPYGDELGRSKVAKRPPVSELESPKVNYGREFAWCARGSLE >DRNTG_14830.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3698986:3730687:-1 gene:DRNTG_14830 transcript:DRNTG_14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKVSPYGDELGRSKVAKRPPVSELESPKLIMAGNLHGVQEEAWSDRNRVRHVMRRFIFIF >DRNTG_22630.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001246.1:29677:31099:-1 gene:DRNTG_22630 transcript:DRNTG_22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding NNTRYTSTNKTTTLKQHPISGHDSTHTLTRHH >DRNTG_13188.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000600.1:30578:32534:-1 gene:DRNTG_13188 transcript:DRNTG_13188.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g04750, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G04750) UniProtKB/Swiss-Prot;Acc:Q9SR01] MLFWICMSSVRRWDSAMNIFNRISEKDSVSWNIMIMGFNNVGEFDLAYKAFEEMPEKDLVSWNSLLSGYLQKGNYKRVIELFHFMLSQNDVKPDKVTAVTLIGAAAEMGVLDQGRVAHGWVSKFYGISDAFVGSAVIDMYCKCGSIERARVVFEMVSERDITLWTAMMSGLALHGHGTKALELFWDMQREGLLPNNVTLLAALTACAHGGLVDQGVRIFQSMKHVYGITPGVEHYGCLVDVLTRSGRLMEAMNVIQMMPIKPSSSIWGSVLSAAKTCRNIKLAEDALKELVKLEPEEEGGYILLSNVYAACRRWTYSGKIRGVMETKGAKKLPGCSSVVVNGLVHYFFSSDKRHTRWPDIYIMLCNLHREMSSGESTSHVFGSVGTVSC >DRNTG_03215.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000146.1:426091:426826:1 gene:DRNTG_03215 transcript:DRNTG_03215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPPMSAYEWQAHESVINGGNSPRQATAQPHLP >DRNTG_08864.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27767669:27769072:-1 gene:DRNTG_08864 transcript:DRNTG_08864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGDRTPEGSEEQQKRSEIYTYEAPWHIYAMNWSVRRDKKYRLAIASLLEQYPNRVEIVQLDDSTGEIRSDPALSFEHPYPPTKTIFIPDRECVRPDLLATSADFLRVWRIHDDRVELKSVLNGNKNSEFCGPLTSFDWNEAEPRRIGTSSIDTTCTIWDVEREAVDTQLIAHDKEVYDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYEASDSVDTPLVRLGWNKQDPRYMATIIMDSAKVVVLDIRFPTLPVVELQRHQASVNAIAWAPHSSCHICTAGDDSQALIWDLSSVGNGGGGSGQQATASAEGGLDPILAYTAGAEIEQLQWSSSQPDWVAIAFSTKLQILRV >DRNTG_27405.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001396.1:194860:196610:-1 gene:DRNTG_27405 transcript:DRNTG_27405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPKFKSMFICFAFLATIFIIFLDVAKARELAEETKDEKLNKDTKENEKNHEENFSSKSTYTSSPSESKWYNRGTPGGGDHGYYGGYPQPGGDHGYYGGYPKGHGGYGYGGYPKYGYGGHGYYGGYPKGHGGYKGGGEHGYYGGYPKYHGSNGGYHGYPKNGGGYNGYPSHGGGYIGGYNPGNGGGYNGGYNPGNGGGYNGGYPGNGGGYYGGYPGNGGGYYGGYQGNGGGGYNGGYPGNGDLPGDDP >DRNTG_14668.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5731118:5732113:1 gene:DRNTG_14668 transcript:DRNTG_14668.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLPLAGRTAIITGASRGIGRAIAIHLASLGANIVVNYSSNSTQAELVAAEINSSSAPSRAITVHADVSNPEDIKALFDRAEEEFKTKPHILVNSAGVIDASYSSLPTTSLQIWDDLFNINARGSFLCCREAANRLVRGGGGRIITLTSSQVALLQPGFGAYAASKAAVEAMIKVMAKELKGSGITANCVAPGPIATDMFFSGKAEETMKAVVGDCPMGRLGETKDVAPVVGFLATDAAEWVNGQVVRVNGGTV >DRNTG_14668.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5731060:5732113:1 gene:DRNTG_14668 transcript:DRNTG_14668.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLPLAGRTAIITGASRGIGRAIAIHLASLGANIVVNYSSNSTQAELVAAEINSSSAPSRAITVHADVSNPEDIKALFDRAEEEFKTKPHILVNSAGVIDASYSSLPTTSLQIWDDLFNINARGSFLCCREAANRLVRGGGGRIITLTSSQVALLQPGFGAYAASKAAVEAMIKVMAKELKGSGITANCVAPGPIATDMFFSGKAEETMKAVVGDCPMGRLGETKDVAPVVGFLATDAAEWVNGQVVRVNGGTV >DRNTG_14668.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5731118:5731962:1 gene:DRNTG_14668 transcript:DRNTG_14668.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLPLAGRTAIITGASRGIGRAIAIHLASLGANIVVNYSSNSTQAELVAAEINSSSAPSRAITVHADVSNPEDIKALFDRAEEEFKTKPHILVNSAGVIDASYSSLPTTSLQIWDDLFNINARGSFLCCREAANRLVRGGGGRIITLTSSQVALLQPGFGAYAASKAAVEAMIKVMAKELKGSGITANCVAPGPIATDMFFSGKAEETMKAVVGDCPMGRLGETKDVAPVVGFLATDAAEWVNGQVVRVNGGTV >DRNTG_07160.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31689474:31691570:1 gene:DRNTG_07160 transcript:DRNTG_07160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGEEKRYISSEELKKHNSASDLWISIQGKVYDVTDWLKDHPGGEIPLLDLAGQDATDAFVAFHPGSVWTRLDRFFIGYYSDYRVSEVSKDYRKLVAEFVKMGLFEKKGHGVFFSMCLMWCLFMMAVYGVLATSSVFIHLLCGGMMGFLWIQSGWIGHDSGHYQVMTSPKFNRLAQILSGNCLAGISIGWWKRNHNAHHIACNSLEFDPDLQHMPLFAVSSKLFASLTSYFYERKMYFDAVSRFLVSYQHWTFYPVMCFARVNLFAQSILLLVSKKKVPYRWHEILGVIVFWIWYPCLVSFLPTWSERVMFVIASFVVTGIQHVQFCLNHFSSCVYVGPPKGNDWFEKQTMGTLDISCSPWMDWFHGGLQFQVEHHLFPRLPRCHLRAISPLVRELCKKHKLAYSSVSFWEANRLTIKTLRTAALQAREMVGPIPKNLVWEAVNTHG >DRNTG_07160.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31689474:31691677:1 gene:DRNTG_07160 transcript:DRNTG_07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGEEKRYISSEELKKHNSASDLWISIQGKVYDVTDWLKDHPGGEIPLLDLAGQDATDAFVAFHPGSVWTRLDRFFIGYYSDYRVSEVSKDYRKLVAEFVKMGLFEKKGHGVFFSMCLMWCLFMMAVYGVLATSSVFIHLLCGGMMGFLWIQSGWIGHDSGHYQVMTSPKFNRLAQILSGNCLAGISIGWWKRNHNAHHIACNSLEFDPDLQHMPLFAVSSKLFASLTSYFYERKMYFDAVSRFLVSYQHWTFYPVMCFARVNLFAQSILLLVSKKKVPYRWHEILGVIVFWIWYPCLVSFLPTWSERVMFVIASFVVTGIQHVQFCLNHFSSCVYVGPPKGNDWFEKQTMGTLDISCSPWMDWFHGGLQFQVEHHLFPRLPRCHLRAISPLVRELCKKHKLAYSSVSFWEANRLTIKTLRTAALQAREMVGPIPKNLVWEAVNTHG >DRNTG_07160.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31689445:31691474:1 gene:DRNTG_07160 transcript:DRNTG_07160.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGEEKRYISSEELKKHNSASDLWISIQGKVYDVTDWLKDHPGGEIPLLDLAGQDATDAFVAFHPGSVWTRLDRFFIGYYSDYRVSEVSKDYRKLVAEFVKMGLFEKKGHGVFFSMCLMWCLFMMAVYGVLATSSVFIHLLCGGMMGFLWIQSGWIGHDSGHYQVMTSPKFNRLAQILSGNCLAGISIGWWKRNHNAHHIACNSLEFDPDLQHMPLFAVSSKLFASLTSYFYERKMYFDAVSRFLVSYQHWTFYPVMCFARVNLFAQSILLLVSKKKVPYRWHEILGVIVFWIWYPCLVSFLPTWSERVMFVIASFVVTGIQHVQFCLNHFSSCVYVGPPKGNDWFEKQTMGTLDISCSPWMDWFHGGLQFQVEHHLFPRLPRCHLRAISPLVRELCKKHKLAYSSVSFWEANRLTIKTLRTAALQAREMVGPIPKNLVWEAVNTHG >DRNTG_07160.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31689474:31691529:1 gene:DRNTG_07160 transcript:DRNTG_07160.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGEEKRYISSEELKKHNSASDLWISIQGKVYDVTDWLKDHPGGEIPLLDLAGQDATDAFVAFHPGSVWTRLDRFFIGYYSDYRVSEVSKDYRKLVAEFVKMGLFEKKGHGVFFSMCLMWCLFMMAVYGVLATSSVFIHLLCGGMMGFLWIQSGWIGHDSGHYQVMTSPKFNRLAQILSGNCLAGISIGWWKRNHNAHHIACNSLEFDPDLQHMPLFAVSSKLFASLTSYFYERKMYFDAVSRFLVSYQHWTFYPVMCFARVNLFAQSILLLVSKKKVPYRWHEILGVIVFWIWYPCLVSFLPTWSERVMFVIASFVVTGIQHVQFCLNHFSSCVYVGPPKGNDWFEKQTMGTLDISCSPWMDWFHGGLQFQVEHHLFPRLPRCHLRAISPLVRELCKKHKLAYSSVSFWEANRLTIKTLRTAALQAREMVGPIPKNLVWEAVNTHG >DRNTG_07160.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31689445:31691570:1 gene:DRNTG_07160 transcript:DRNTG_07160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGEEKRYISSEELKKHNSASDLWISIQGKVYDVTDWLKDHPGGEIPLLDLAGQDATDAFVAFHPGSVWTRLDRFFIGYYSDYRVSEVSKDYRKLVAEFVKMGLFEKKGHGVFFSMCLMWCLFMMAVYGVLATSSVFIHLLCGGMMGFLWIQSGWIGHDSGHYQVMTSPKFNRLAQILSGNCLAGISIGWWKRNHNAHHIACNSLEFDPDLQHMPLFAVSSKLFASLTSYFYERKMYFDAVSRFLVSYQHWTFYPVMCFARVNLFAQSILLLVSKKKVPYRWHEILGVIVFWIWYPCLVSFLPTWSERVMFVIASFVVTGIQHVQFCLNHFSSCVYVGPPKGNDWFEKQTMGTLDISCSPWMDWFHGGLQFQVEHHLFPRLPRCHLRAISPLVRELCKKHKLAYSSVSFWEANRLTIKTLRTAALQAREMVGPIPKNLVWEAVNTHG >DRNTG_07160.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31689445:31691529:1 gene:DRNTG_07160 transcript:DRNTG_07160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGEEKRYISSEELKKHNSASDLWISIQGKVYDVTDWLKDHPGGEIPLLDLAGQDATDAFVAFHPGSVWTRLDRFFIGYYSDYRVSEVSKDYRKLVAEFVKMGLFEKKGHGVFFSMCLMWCLFMMAVYGVLATSSVFIHLLCGGMMGFLWIQSGWIGHDSGHYQVMTSPKFNRLAQILSGNCLAGISIGWWKRNHNAHHIACNSLEFDPDLQHMPLFAVSSKLFASLTSYFYERKMYFDAVSRFLVSYQHWTFYPVMCFARVNLFAQSILLLVSKKKVPYRWHEILGVIVFWIWYPCLVSFLPTWSERVMFVIASFVVTGIQHVQFCLNHFSSCVYVGPPKGNDWFEKQTMGTLDISCSPWMDWFHGGLQFQVEHHLFPRLPRCHLRAISPLVRELCKKHKLAYSSVSFWEANRLTIKTLRTAALQAREMVGPIPKNLVWEAVNTHG >DRNTG_07160.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31689474:31691474:1 gene:DRNTG_07160 transcript:DRNTG_07160.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGEEKRYISSEELKKHNSASDLWISIQGKVYDVTDWLKDHPGGEIPLLDLAGQDATDAFVAFHPGSVWTRLDRFFIGYYSDYRVSEVSKDYRKLVAEFVKMGLFEKKGHGVFFSMCLMWCLFMMAVYGVLATSSVFIHLLCGGMMGFLWIQSGWIGHDSGHYQVMTSPKFNRLAQILSGNCLAGISIGWWKRNHNAHHIACNSLEFDPDLQHMPLFAVSSKLFASLTSYFYERKMYFDAVSRFLVSYQHWTFYPVMCFARVNLFAQSILLLVSKKKVPYRWHEILGVIVFWIWYPCLVSFLPTWSERVMFVIASFVVTGIQHVQFCLNHFSSCVYVGPPKGNDWFEKQTMGTLDISCSPWMDWFHGGLQFQVEHHLFPRLPRCHLRAISPLVRELCKKHKLAYSSVSFWEANRLTIKTLRTAALQAREMVGPIPKNLVWEAVNTHG >DRNTG_25129.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20216423:20220485:1 gene:DRNTG_25129 transcript:DRNTG_25129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIRKFAPKIFFYCLEPAPEGGETAIVRSDVIVEEMEKKVPEVVEKLTGEGFKFVLHTKVPYKHGNHDGLDDTNTTVWQRMLKTKDKLEAQKRALETLACNAVKFNEDGTAEFTYGPMNPIKEFNGKRVWFNTILGYQTSERDGRVSFTDGTSIPTAATDTYSAVLEANCVDIKWLKGDVLLVDNLALQHARRAGKPPRSVLVSLCI >DRNTG_25129.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20216423:20217235:1 gene:DRNTG_25129 transcript:DRNTG_25129.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALIRKFAPKIFFYCLEPAPEGGETAIVRSDVIVEEMEKKVPEVVEKLTGEGFKFVLHTKVPYKHGNHDGLDDTNTTVWQRMLKTKDKLEAQKR >DRNTG_02994.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9149221:9149754:-1 gene:DRNTG_02994 transcript:DRNTG_02994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNGSFGATWADQWDYGGDSAHGGYNNNNKNNNKKNSGLEKTKAVASTGLQKTKAVASTGFKKVKEGTTLGFHWIKDKYQKKTQKD >DRNTG_20944.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28093938:28095040:1 gene:DRNTG_20944 transcript:DRNTG_20944.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDKKAKKTSSITMANDTKITNTTNKKCEKNWN >DRNTG_20944.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28093894:28106469:1 gene:DRNTG_20944 transcript:DRNTG_20944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSKNTSQIIRSSLPSAGRDSLENF >DRNTG_20944.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28099912:28100514:1 gene:DRNTG_20944 transcript:DRNTG_20944.5 gene_biotype:protein_coding transcript_biotype:protein_coding AYDLGKSIDVPGDLATLRQKHRDGMYQSLEEFKVRSPFYWF >DRNTG_20944.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28100819:28106469:1 gene:DRNTG_20944 transcript:DRNTG_20944.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKNAVNDDASNRRAQSSRTKKNPKQRVGSSKTSSWMDHKCSEGEVLNCWILIERQGTR >DRNTG_20944.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28093938:28106469:1 gene:DRNTG_20944 transcript:DRNTG_20944.2 gene_biotype:protein_coding transcript_biotype:protein_coding AYDLGKSIDVPGDLATLRQKHRDGMYQSLEEFKVRSPFYWF >DRNTG_21089.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4314832:4328729:1 gene:DRNTG_21089 transcript:DRNTG_21089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYPQPPGAQPLGPPIVGPTPPSQNFVPPLPIQFRPMLPAGPVQQPHQFVSAASPQFRPVGQPLPPANVGIPSCQTQPPSFPQLMQQMPPRLVQPGPGSAPSSSQAGPVGYIPSNMPISSGSMLPQQTVQPLSNPPIIGGVGMPLSSSYTFASSYGMPPSSVNGPSQYQPISQMQTPNMQSGSQPWALTGGQGGVSVTPLVHPTPISSVAAVTIPDKGVQLSAAPNGPHISSDWQEHIAADGKRYYYNKMTRQSSWEKPLELLTPIERVDATTNWKEHTTPEGRKYYYNKVTKQSKWTIPDELKLARELAENIGVESAHSEIGASPTVQVTAVVTATEPHPSVKSQSISLSTYVITSSPGSVTTSNSVNPSTNTSSVSPTVAAIPSNETDTSAVKPTNTIDITDVKTSDAPSTIPASACPGNVADSIDTLESPIKIDDNISLLPHTANVPDGTPVPYLEEAKLNSVFSAKNTIAPLEEKSVTDESLVYANKLEAKDAFRALLDSANIKSDWTWEQAMRVIINDKRYSALKTLAERKQAFSEFLSQKIKQEAEDRRIKHKKAREEFTRMLEECKELTSSTRWSKAITMFEDDVRFTAIERARDREDLFESYLADLQKKERARMVEEHKRNIVDYKAFLESCDFIKANSQWRKVQDRLESDPRCSHLEKIDRLQIFQDYVNDLEKEEEEQRKIKKEQLRRAERRNRDDFRKLMEGHIVSGVLTAKTHWRDYCLKIKDLPAFVAVSSNTSGATAKNLFEDVVEELEKQYHDDRTRIKEAVKSEVG >DRNTG_24216.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22616716:22621616:-1 gene:DRNTG_24216 transcript:DRNTG_24216.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G40190) UniProtKB/TrEMBL;Acc:W8PUX5] MAIWVSLISLLSALLSILVFSVGVAVISGRRSRRRAVGFFHPFTNDGGGGERVLWCAVRAVQEERSDLDCAVYTGDEASPESLAARALDRFGVRLLRPLIVVRLSKRKWVEEKTYPHFTMIGQSLGSMYLSWEAIRKFTPYFYFDTSGYAFTYPIARLFGCKVICYTHYPTISTDMLSRVRHRSSMYNNDPLIATSIWLSWGKIIYYTIFSWLYGMVGSCAHLAMVNSSWTRAHIEELWKIPKRTMRVYPPCDTSALQVLPLERSGKTPTFISVAQFRPEKAHAVQLEAFSLAVQRLDSSMPRPKLQFVGSCRNKEDKERLQKLKDRASELNMEELVEFHRDVSYRDLIRLLGGAIAGLHSMIDEHFGISVVEYMAAGAIPIAHNSAGPKMDIVADEDGHQTGFLASDTEGYAEAILKILKMSETERLTIAAAARKRAQKFSEKKFCEDFKAAIRPVISSLHSVN >DRNTG_13624.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6271943:6279211:1 gene:DRNTG_13624 transcript:DRNTG_13624.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trigger factor-like protein TIG, Chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55220) UniProtKB/Swiss-Prot;Acc:Q8S9L5] MELVFGSTLHLHRPSLFSFTSSPSSYACKLSSSWSLDRASSSCHLYPCLSSSSRRVVNPFVVAAGVSVKPAEKERFPADIEVTETKMPHSSVKLSVAVPPAVCQECYRKVLDEFSKQLKVPGFRPGKKIPENILLNYAGRENVQRATIEAILKKTLPKAVSSVQGRALADSVRITTKFSEMEDDFSQQDVFRYDVAADVAPEIKWLSENQYKNLKVVVEIDKIVTAENASERELRRRHRDLGSLRIVTDRGLQVGDLVVLDIHAETFKQDGSESEKIPSAERKGFHLDTEETNNLLPGFLDAIIGIQQGETKSFILTFPESWEQENLRGINAQFMVECKELFYRDLPELDDSLAEKLLPGCSTLDEVKQAILQRCREIEQTAIEQATDNAILEQLSKIVEVDVPHSLFEEQGRQLYGAKLMEMQAGRRINEDQLASLSSEKTVNEFLESQRVNITAIIKQMLAVGEIFKCEGLQFSTDEVVNEVKKAVTEFEQHNQEYDEERIKEQVQDVLEGAKVLEWLRENLEIQYVYR >DRNTG_02410.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000113.1:176743:177854:-1 gene:DRNTG_02410 transcript:DRNTG_02410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLCPNPNPSFLHLHHHRHRHRRRPFFVRSLDAAQSFDFESRAVDRLNAKSKLKIAVVGFGNYGQFLARTFAAQGHTLLAHSRSDHSAAAAAIGASFFRDPHDLCEQHPDVVLLSTSILSAADVLNTLPLGRLRRSTLFADVLSVKEFPRNLLLSALPPDFDILCTHPMFGPESARHGWAGLPFVFDKVRIGESEERISRCNRFLEIFEKEGCRMVEMSCAEHDHKTAESQFLTHTIGRILAKLGPEDVDIGTKGYQTLLELVQNTCNDSFDLYNGLFMYNKNATELLDRLDFAFTELKKELFGNLHDILRKQLFENPEESNE >DRNTG_02410.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000113.1:169329:177854:-1 gene:DRNTG_02410 transcript:DRNTG_02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLCPNPNPSFLHLHHHRHRHRRRPFFVRSLDAAQSFDFESRAVDRLNAKSKLKIAVVGFGNYGQFLARTFAAQGHTLLAHSRSDHSAAAAAIGASFFRDPHDLCEQHPDVVLLSTSILSAADVLNTLPLGRLRRSTLFADVLSVKEFPRNLLLSALPPDFDILCTHPMFGPESARHGWAGLPFVFDKVRIGESEERISRCNRFLEIFEKEGCRMVEMSCAEHDHKTAESQFLTHTIGRILAKLGPEDVDIGTKGYQTLLELVQNTCNDSFDLYNGLFMYNKNATELLDRLDFAFTELKKELFGNLHDILRKQLFENPEESNEYIARHNLLVGKCDWVQACQTEVT >DRNTG_17632.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4336127:4338023:-1 gene:DRNTG_17632 transcript:DRNTG_17632.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKQCCHLMIIFMIIGISALDMIEGRMIGEDVPMSVMHEEWMTKHDRFYKDDAEKERRLKIFKENVEHIEYVNKFGGLKYKLGINKFTDLTNEEFKAIYNGFRFSNVGLKKGTRGFRYENFTDLPASVDWRARGAVTPIKDQGQCGCCWAFSAVASVEGITKITSGKLISLSEQELVDCDTNQDQGCNGGIMDDAFEFIIKNGGLTTEANYPYKAIDSTCNNMKEASHIATISGYEDVPTNSESSLMKAVENQPVSVAIDAGGSDFQHYSSGVFTGDCGTNLDHGVAVVGYGSASDGTKYWLVRNSWGSSWGENGYIRMQRDVGDDEGLCGIAMQASYPTT >DRNTG_30071.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22735044:22735483:-1 gene:DRNTG_30071 transcript:DRNTG_30071.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGGGEGGAGEPPFGAPPLLPPAT >DRNTG_30071.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22733028:22735483:-1 gene:DRNTG_30071 transcript:DRNTG_30071.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIACSLISESLCLCVLCGFRKMIFNSEMKDFSLLDVDSDLFIRWK >DRNTG_30071.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22734987:22735625:-1 gene:DRNTG_30071 transcript:DRNTG_30071.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGYTSYVFILPENFIFVSDSTKSESFSLVMIEGGGEGGAGEPPFGAPPLLPPAT >DRNTG_30071.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22735044:22735625:-1 gene:DRNTG_30071 transcript:DRNTG_30071.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGYTSYVFILPENFIFVSDSTKSESFSLVMIEGGGEGGAGEPPFGAPPLLPPAT >DRNTG_30071.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22734987:22735483:-1 gene:DRNTG_30071 transcript:DRNTG_30071.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGGGEGGAGEPPFGAPPLLPPAT >DRNTG_30071.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22734940:22735483:-1 gene:DRNTG_30071 transcript:DRNTG_30071.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGGGEGGAGEPPFGAPPLLPPAT >DRNTG_30071.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22734697:22735483:-1 gene:DRNTG_30071 transcript:DRNTG_30071.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGGGEGGAGEPPFGAPPLLPPAT >DRNTG_33121.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20913612:20916421:1 gene:DRNTG_33121 transcript:DRNTG_33121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKGLPWILVLLLLLSFLLLSCSAFSSTGLSDADAARIRRRQLLSYNDEFSDRGEAVTVPPYFHFPNENQRNAYIALQAWKLAIFSDPLNLTGDWVGPGVCNYTGVYCAFLPSNSSLFVVAGIDLNHGDIAGYLPEELGLLTDLTLFHINSNRFCGIIPHKFEKLKLLFELDLSNNRFAGKFPGVVLRLPSLKFLDLRFNEFEGTVPKELFDKDLDAIFINNNRFVFDLPDNFGNSPVSVIVVANNHFHGCVPSSIGNMSRLNEILLLNNGLSSCFPPEIGRLKNLTVFDVSFNKLVGPLPDSLGGLVSLEQLDVAHNLLSGTIPKSICELPHLKNFTYSYNFFTGEPPQCLAVPSFDDRRNCLPGRPAQRSADKCKSFLSHPVDCNSFRCAPFVPSLPPPPPPSPPPPVILPPPPPPPSPPPPSPSPPPPSPPPPSPSPPPPPSPPPPSPSPPPPSPPPPSPPPPSPPPPSPSPPPPSPPPPSPPPPSPPPPSPPPPSPSPPYCVRSPPPSPPPPPPLFFPSSHPLTASSLSLLFSAAAFSFSSATFTFSTTSSTTLPSTSRLPIPLSSTSTTTSLLSSTTSTKLTSSSSSPTSMHRTPTSSFPISISTTTHLQTTTITIPSTTIPTLSLLLTSSSFTFSSTTKHLLITTTTTTFSIAL >DRNTG_33121.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20913612:20916421:1 gene:DRNTG_33121 transcript:DRNTG_33121.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKGLPWILVLLLLLSFLLLSCSAFSSTGLSDADAARIRRRQLLSYNDEFSDRGEAVTVPPYFHFPNENQRNAYIALQAWKLAIFSDPLNLTGDWVGPGVCNYTGVYCAFLPSNSSLFVVAGIDLNHGDIAGYLPEELGLLTDLTLFHINSNRFCGIIPHKFEKLKLLFELDLSNNRFAGKFPGVVLRLPSLKFLDLRFNEFEGTVPKELFDKDLDAIFINNNRFVFDLPDNFGNSPVSVIVVANNHFHGCVPSSIGNMSRLNEILLLNNGLSSCFPPEIGRLKNLTVFDVSFNKLVGPLPDSLGGLVSLEQLDVAHNLLSGTIPKSICELPHLKNFTYSYNFFTGEPPQCLAVPSFDDRRNCLPGRPAQRSADKCKSFLSHPVDCNSFRCAPFVPSLPPPPPPSPPPPVILPPPPPPPSPPPPSPSPPPPSPPPPSPSPPPPPSPPPPSPSPPPPSPPPPSPPPPSPPPPSPSPPYCVRSPPPSPPPPPPLFFPSSHPLTASSLSLLFSAAAFSFSSATFTFSTTSSTTLPSTSRLPIPLSSTSTTTSLLSSTTSTKLTSSSSSPTSMHRTPTSSFPISISTTTHLQTTTITIPSTTIPTLSLLLTSSSFTFSSTTKHLLITTTTTTFSIAL >DRNTG_06035.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32678850:32680406:1 gene:DRNTG_06035 transcript:DRNTG_06035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRENWKNQLIWQPFMNPHETGLSGLSYHLHANEELHRTPWSTTTATSPILSTPSLKSCATSPSSSSMLDFSNSLPAEIKVHKLDHSISECNSIITSNATFKKARTETSSSSSSAQSTFKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQNQIEALSSPYLVTGPGNMKHHSGVHGEAKEDLKSRGLCLVPISYTLHYGSENGADYWAPSST >DRNTG_15377.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26929892:26934328:1 gene:DRNTG_15377 transcript:DRNTG_15377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSSNIASKA >DRNTG_13274.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2597765:2599401:-1 gene:DRNTG_13274 transcript:DRNTG_13274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASPDVEVASVRRKKEKMRKHKATDLNESDGNGNNLNSGMHCDILHVNLS >DRNTG_21815.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17568628:17569905:1 gene:DRNTG_21815 transcript:DRNTG_21815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSSNLLIIINLLTFLLSIPILSTGIDLSLHGATNCDKFLQTPLILIGILLLASSLVGFVGVSSRNAFLLWVYLFTTFMLILAALVFSVFAFVVTNKGAGEAVSGARFYEYKLGDYSNWLQKRVSNPKNWMKIKSCLQDTKVCKSLQQANPTKDEFYNKNLTPIQSGCCKPPIECGFTYQNATVWDKPSGFSASNPDCNTWQNNGSVLCYDCQSCKAGVVDYLKTHWKKVATINIIFIALLIIVYTIGCHAFRRVRTERFFDRYMI >DRNTG_12632.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1344131:1346206:-1 gene:DRNTG_12632 transcript:DRNTG_12632.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTKMVHILLKHDVSMAYVSDKDGYFPIHVAAGMGHVKIIDELLKHCPDSFDLLDDYKGRNFLHIAAERKRVKVVRYVCNSKNKKFEKLLNQRDNIDGNTPLHLVVSNYKHFNNYKAAASLLKDPRVETSIMNNQGRTQLDLSRKLKPGMSFRLNIQTVVMQCLANCGAVLSPQRTDHVRHEMENAIDVNEESKKRTTLSRNLAVASVLAVSVTFAAGFTVPRSGNNTGDGTTLLLKKFPFKAFLISNACAMVCSFASTCVTIYAGTPMVDNTLRYYHLNRSTKLLWTSFACMAMAFAMATYAFVVPKAWRIGVLVCVISLAAPVVSEITMMHQLIYMRLIIAVRNKGIRLNLDPHTARKFLRNVFIFNDCSD >DRNTG_23978.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30894980:30896924:1 gene:DRNTG_23978 transcript:DRNTG_23978.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILHALAIDVVYTELLLKADPLLESVIMLNKRLRGRVCRTSSKWSISARALKAAAYGAVFEAAILSEHCLIRIDPNGALNVPTDEKTAVQKNSIIVTNGKMYRRTGADIGGMDGDEPSFGGNCVGPKIEVD >DRNTG_25028.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17046856:17049366:1 gene:DRNTG_25028 transcript:DRNTG_25028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHRKHSKTVGGVSQPMTLRVSQCRAEISHGHASFCRDLAHFPESTQGRELAPVGNRVIYTRAWPEGLRFATPEHQVRYERLSRLPFGQTRFLDTSILRDLQQGDEFTAEVEDLISTGGWRQLLMTREPAIRELALEVLSTFEFDRSYASFDSVDAIQFRARGHHHCLSVTQFSIMLGLYEEAFTDTEEYSQLPTDYRGVLTLQRAYRILCGQGQYEPGVSKATWLSRPAYRYQRALMSRSVSGRGDSTGVLSLQELLYLYSMVQRIPIHLGHIIAEYISHQGHYARFGAIFSGPYITRLALGMGLSDRVHEAEKINTSAPLSLETMRLMGMVRRVRAGVYTLVLPAPETAEEAEAS >DRNTG_10506.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6389961:6390721:1 gene:DRNTG_10506 transcript:DRNTG_10506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGFGELWELVEKGVAKTEDEAIDRENRKRDA >DRNTG_24878.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32741474:32744701:1 gene:DRNTG_24878 transcript:DRNTG_24878.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTGNLVLVDANSSDLIWQSFNFPTDTILPTQVLSLINAFINTQLQSKLTSTNYSSGRFIFSLKGGDVSLRQLASPINANQSYDSYWSTSTSGSGSKLIFDPLGIVYLELINNTRINITSAGVGSNTAADFYQRATLDFDGVFRHYVYHKGSNGSWAQGWTSQGHVPDDICRAITNDVGSGACGFNSYCQLDGDQRAVCQCPSKYSFIDSNNEYRGCMPDFVAQRCDVDDSARFQLVEMVNTDWPLSDYEHYTPIVEDQCRDYCLSDCFCAVAIFRNGECWKKKLPLSNGKIGGYVGGKALIKVTKDNASSYEPGTITTIVVKKNDRKGLIIAGSSLLGGSVFINILFVVAILFMIFCYPCKRKRPHHQDVSNVSEHGLRCFTYQELREATNGFSEELGSGAFSTVYKGAFLKGKDSICIAVKKLNKVCQDVNKEFLAEVRSIGQTHHKNLVRLLGYCNEEENRLLVYQFLSNGSLTSFLFGEMKLEWNRRVNIILGVARGLLYLHEECITPIIHCDIKSQNILLDDNLVAKISDFGMAKLLGANQTRTNTGIRGTRGFVAPEWFKSMPITVKVDVYSFGVMLLETICCRKHLEESENEEAVVLVYWAYDCYREGRLDILVGSEREALLDMRRVERFVMVAIWCIQEDPSLRPTMPKVVLMLEGSVSVPVPPDPSSLHELNPRRKISP >DRNTG_24878.25.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32743129:32744701:1 gene:DRNTG_24878 transcript:DRNTG_24878.25 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFVAQRCDVDDSARFQLVEMVNTDWPLSDYEHYTPIVEDQCRDYCLSDCFCAVAIFRNGECWKKKLPLSNGKIGGYVGGKALIKVTKDNASSYEPGTITTIVVKKNDRKGLIIAGSSLLGGSVFINILFVVAILFMIFCYPCKRKRPHHQDVSNVSEHGLRCFTYQELREATNGFSEELGSGAFSTVYKGAFLKGKDSICIAVKKLNKVCQDVNKEFLAEVRSIGQTHHKNLVRLLGYCNEEENRLLVYQFLSNGSLTSFLFGEMKLEWNRRVNIILGVARGLLYLHEECITPIIHCDIKSQNILLDDNLVAKISDFGMAKLLGANQTRTNTGIRGTRGFVAPEWFKSMPITVKVDVYSFGVMLLETICCRKHLEESENEEAVVLVYWAYDCYREGRLDILVGSEREALLDMRRVERFVMVAIWCIQEDPSLRPTMPKVVLMLEGSVSVPVPPDPSSLHELNPRRKISP >DRNTG_24878.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32741921:32745030:1 gene:DRNTG_24878 transcript:DRNTG_24878.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTGNLVLVDANSSDLIWQSFNFPTDTILPTQVLSLINAFINTQLQSKLTSTNYSSGRFIFSLKGGDVSLRQLASPINANQSYDSYWSTSTSGSGSKLIFDPLGIVYLELINNTRINITSAGVGSNTAADFYQRATLDFDGVFRHYVYHKGSNGSWAQGWTSQGHVPDDICRAITNDVGSGACGFNSYCQLDGDQRAVCQCPSKYSFIDSNNEYRGCMPDFVAQRCDVDDSARFQLVEMVNTDWPLSDYEHYTPIVEDQCRDYCLSDCFCAVAIFRNGECWKKKLPLSNGKIGGYVGGKALIKVTKDNASSYEPGTITTIVVKKNDRKGLIIAGSSLLGGSVFINILFVVAILFMIFCYPCKRKRPHHQDVSNVSEHGLRCFTYQELREATNGFSEELGSGAFSTVYKGAFLKGKDSICIAVKKLNKVCQDVNKEFLAEVRSIGQTHHKNLVRLLGYCNEEENRLLVYQFLSNGSLTSFLFGEMKLEWNRRVNIILGVARGLLYLHEECITPIIHCDIKSQNILLDDNLVAKISDFGMAKLLGANQTRTNTGIRGTRGFVAPEWFKSMPITVKVDVYSFGVMLLETICCRKHLEESENEEAVVLVYWAYDCYREGRLDILVGSEREALLDMRRVERFVMVAIWCIQEDPSLRPTMPKVVLMLEGSVSVPVPPDPSSLHELNPRRKISP >DRNTG_24878.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32741646:32744795:1 gene:DRNTG_24878 transcript:DRNTG_24878.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTGNLVLVDANSSDLIWQSFNFPTDTILPTQVLSLINAFINTQLQSKLTSTNYSSGRFIFSLKGGDVSLRQLASPINANQSYDSYWSTSTSGSGSKLIFDPLGIVYLELINNTRINITSAGVGSNTAADFYQRATLDFDGVFRHYVYHKGSNGSWAQGWTSQGHVPDDICRAITNDVGSGACGFNSYCQLDGDQRAVCQCPSKYSFIDSNNEYRGCMPDFVAQRCDVDDSARFQLVEMVNTDWPLSDYEHYTPIVEDQCRDYCLSDCFCAVAIFRNGECWKKKLPLSNGKIGGYVGGKALIKVTKDNASSYEPGTITTIVVKKNDRKGLIIAGSSLLGGSVFINILFVVAILFMIFCYPCKRKRPHHQDVSNVSEHGLRCFTYQELREATNGFSEELGSGAFSTVYKGAFLKGKDSICIAVKKLNKVCQDVNKEFLAEVRSIGQTHHKNLVRLLGYCNEEENRLLVYQFLSNGSLTSFLFGEMKLEWNRRVNIILGVARGLLYLHEECITPIIHCDIKSQNILLDDNLVAKISDFGMAKLLGANQTRTNTGIRGTRGFVAPEWFKSMPITVKVDVYSFGVMLLETICCRKHLEESENEEAVVLVYWAYDCYREGRLDILVGSEREALLDMRRVERFVMVAIWCIQEDPSLRPTMPKVVLMLEGSVSVPVPPDPSSLHELNPRRKISP >DRNTG_24878.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32742042:32744795:1 gene:DRNTG_24878 transcript:DRNTG_24878.14 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTGNLVLVDANSSDLIWQSFNFPTDTILPTQVLSLINAFINTQLQSKLTSTNYSSGRFIFSLKGGDVSLRQLASPINANQSYDSYWSTSTSGSGSKLIFDPLGIVYLELINNTRINITSAGVGSNTAADFYQRATLDFDGVFRHYVYHKGSNGSWAQGWTSQGHVPDDICRAITNDVGSGACGFNSYCQLDGDQRAVCQCPSKYSFIDSNNEYRGCMPDFVAQRCDVDDSARFQLVEMVNTDWPLSDYEHYTPIVEDQCRDYCLSDCFCAVAIFRNGECWKKKLPLSNGKIGGYVGGKALIKVTKDNASSYEPGTITTIVVKKNDRKGLIIAGSSLLGGSVFINILFVVAILFMIFCYPCKRKRPHHQDVSNVSEHGLRCFTYQELREATNGFSEELGSGAFSTVYKGAFLKGKDSICIAVKKLNKVCQDVNKEFLAEVRSIGQTHHKNLVRLLGYCNEEENRLLVYQFLSNGSLTSFLFGEMKLEWNRRVNIILGVARGLLYLHEECITPIIHCDIKSQNILLDDNLVAKISDFGMAKLLGANQTRTNTGIRGTRGFVAPEWFKSMPITVKVDVYSFGVMLLETICCRKHLEESENEEAVVLVYWAYDCYREGRLDILVGSEREALLDMRRVERFVMVAIWCIQEDPSLRPTMPKVVLMLEGSVSVPVPPDPSSLHELNPRRKISP >DRNTG_24878.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32741474:32744795:1 gene:DRNTG_24878 transcript:DRNTG_24878.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTGNLVLVDANSSDLIWQSFNFPTDTILPTQVLSLINAFINTQLQSKLTSTNYSSGRFIFSLKGGDVSLRQLASPINANQSYDSYWSTSTSGSGSKLIFDPLGIVYLELINNTRINITSAGVGSNTAADFYQRATLDFDGVFRHYVYHKGSNGSWAQGWTSQGHVPDDICRAITNDVGSGACGFNSYCQLDGDQRAVCQCPSKYSFIDSNNEYRGCMPDFVAQRCDVDDSARFQLVEMVNTDWPLSDYEHYTPIVEDQCRDYCLSDCFCAVAIFRNGECWKKKLPLSNGKIGGYVGGKALIKVTKDNASSYEPGTITTIVVKKNDRKGLIIAGSSLLGGSVFINILFVVAILFMIFCYPCKRKRPHHQDVSNVSEHGLRCFTYQELREATNGFSEELGSGAFSTVYKGAFLKGKDSICIAVKKLNKVCQDVNKEFLAEVRSIGQTHHKNLVRLLGYCNEEENRLLVYQFLSNGSLTSFLFGEMKLEWNRRVNIILGVARGLLYLHEECITPIIHCDIKSQNILLDDNLVAKISDFGMAKLLGANQTRTNTGIRGTRGFVAPEWFKSMPITVKVDVYSFGVMLLETICCRKHLEESENEEAVVLVYWAYDCYREGRLDILVGSEREALLDMRRVERFVMVAIWCIQEDPSLRPTMPKVVLMLEGSVSVPVPPDPSSLHELNPRRKISP >DRNTG_24878.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32741921:32746926:1 gene:DRNTG_24878 transcript:DRNTG_24878.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTGNLVLVDANSSDLIWQSFNFPTDTILPTQVLSLINAFINTQLQSKLTSTNYSSGRFIFSLKGGDVSLRQLASPINANQSYDSYWSTSTSGSGSKLIFDPLGIVYLELINNTRINITSAGVGSNTAADFYQRATLDFDGVFRHYVYHKGSNGSWAQGWTSQGHVPDDICRAITNDVGSGACGFNSYCQLDGDQRAVCQCPSKYSFIDSNNEYRGCMPDFVAQRCDVDDSARFQLVEMVNTDWPLSDYEHYTPIVEDQCRDYCLSDCFCAVAIFRNGECWKKKLPLSNGKIGGYVGGKALIKVTKDNASSYEPGTITTIVVKKNDRKGLIIAGSSLLGGSVFINILFVVAILFMIFCYPCKRKRPHHQDVSNVSEHGLRCFTYQELREATNGFSEELGSGAFSTVYKGAFLKGKDSICIAVKKLNKVCQDVNKEFLAEVRSIGQTHHKNLVRLLGYCNEEENRLLVYQFLSNGSLTSFLFGEMKLEWNRRVNIILGVARGLLYLHEECITPIIHCDIKSQNILLDDNLVAKISDFGMAKLLGANQTRTNTGIRGTRGFVAPEWFKSMPITVKVDVYSFGVMLLETICCRKHLEESENEEAVVLVYWAYDCYREGRLDILVGSEREALLDMRRVERFVMVAIWCIQEDPSLRPTMPKVVLMLEGSVSVPVPPDPSSLHELNPRRKISP >DRNTG_24878.24.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32743129:32745030:1 gene:DRNTG_24878 transcript:DRNTG_24878.24 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFVAQRCDVDDSARFQLVEMVNTDWPLSDYEHYTPIVEDQCRDYCLSDCFCAVAIFRNGECWKKKLPLSNGKIGGYVGGKALIKVTKDNASSYEPGTITTIVVKKNDRKGLIIAGSSLLGGSVFINILFVVAILFMIFCYPCKRKRPHHQDVSNVSEHGLRCFTYQELREATNGFSEELGSGAFSTVYKGAFLKGKDSICIAVKKLNKVCQDVNKEFLAEVRSIGQTHHKNLVRLLGYCNEEENRLLVYQFLSNGSLTSFLFGEMKLEWNRRVNIILGVARGLLYLHEECITPIIHCDIKSQNILLDDNLVAKISDFGMAKLLGANQTRTNTGIRGTRGFVAPEWFKSMPITVKVDVYSFGVMLLETICCRKHLEESENEEAVVLVYWAYDCYREGRLDILVGSEREALLDMRRVERFVMVAIWCIQEDPSLRPTMPKVVLMLEGSVSVPVPPDPSSLHELNPRRKISP >DRNTG_24878.21.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32742338:32744795:1 gene:DRNTG_24878 transcript:DRNTG_24878.21 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTGNLVLVDANSSDLIWQSFNFPTDTILPTQVLSLINAFINTQLQSKLTSTNYSSGRFIFSLKGGDVSLRQLASPINANQSYDSYWSTSTSGSGSKLIFDPLGIVYLELINNTRINITSAGVGSNTAADFYQRATLDFDGVFRHYVYHKGSNGSWAQGWTSQGHVPDDICRAITNDVGSGACGFNSYCQLDGDQRAVCQCPSKYSFIDSNNEYRGCMPDFVAQRCDVDDSARFQLVEMVNTDWPLSDYEHYTPIVEDQCRDYCLSDCFCAVAIFRNGECWKKKLPLSNGKIGGYVGGKALIKVTKDNASSYEPGTITTIVVKKNDRKGLIIAGSSLLGGSVFINILFVVAILFMIFCYPCKRKRPHHQDVSNVSEHGLRCFTYQELREATNGFSEELGSGAFSTVYKGAFLKGKDSICIAVKKLNKVCQDVNKEFLAEVRSIGQTHHKNLVRLLGYCNEEENRLLVYQFLSNGSLTSFLFGEMKLEWNRRVNIILGVARGLLYLHEECITPIIHCDIKSQNILLDDNLVAKISDFGMAKLLGANQTRTNTGIRGTRGFVAPEWFKSMPITVKVDVYSFGVMLLETICCRKHLEESENEEAVVLVYWAYDCYREGRLDILVGSEREALLDMRRVERFVMVAIWCIQEDPSLRPTMPKVVLMLEGSVSVPVPPDPSSLHELNPRRKISP >DRNTG_24878.23.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32742338:32744701:1 gene:DRNTG_24878 transcript:DRNTG_24878.23 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTGNLVLVDANSSDLIWQSFNFPTDTILPTQVLSLINAFINTQLQSKLTSTNYSSGRFIFSLKGGDVSLRQLASPINANQSYDSYWSTSTSGSGSKLIFDPLGIVYLELINNTRINITSAGVGSNTAADFYQRATLDFDGVFRHYVYHKGSNGSWAQGWTSQGHVPDDICRAITNDVGSGACGFNSYCQLDGDQRAVCQCPSKYSFIDSNNEYRGCMPDFVAQRCDVDDSARFQLVEMVNTDWPLSDYEHYTPIVEDQCRDYCLSDCFCAVAIFRNGECWKKKLPLSNGKIGGYVGGKALIKVTKDNASSYEPGTITTIVVKKNDRKGLIIAGSSLLGGSVFINILFVVAILFMIFCYPCKRKRPHHQDVSNVSEHGLRCFTYQELREATNGFSEELGSGAFSTVYKGAFLKGKDSICIAVKKLNKVCQDVNKEFLAEVRSIGQTHHKNLVRLLGYCNEEENRLLVYQFLSNGSLTSFLFGEMKLEWNRRVNIILGVARGLLYLHEECITPIIHCDIKSQNILLDDNLVAKISDFGMAKLLGANQTRTNTGIRGTRGFVAPEWFKSMPITVKVDVYSFGVMLLETICCRKHLEESENEEAVVLVYWAYDCYREGRLDILVGSEREALLDMRRVERFVMVAIWCIQEDPSLRPTMPKVVLMLEGSVSVPVPPDPSSLHELNPRRKISP >DRNTG_24878.18.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32742288:32744844:1 gene:DRNTG_24878 transcript:DRNTG_24878.18 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTGNLVLVDANSSDLIWQSFNFPTDTILPTQVLSLINAFINTQLQSKLTSTNYSSGRFIFSLKGGDVSLRQLASPINANQSYDSYWSTSTSGSGSKLIFDPLGIVYLELINNTRINITSAGVGSNTAADFYQRATLDFDGVFRHYVYHKGSNGSWAQGWTSQGHVPDDICRAITNDVGSGACGFNSYCQLDGDQRAVCQCPSKYSFIDSNNEYRGCMPDFVAQRCDVDDSARFQLVEMVNTDWPLSDYEHYTPIVEDQCRDYCLSDCFCAVAIFRNGECWKKKLPLSNGKIGGYVGGKALIKVTKDNASSYEPGTITTIVVKKNDRKGLIIAGSSLLGGSVFINILFVVAILFMIFCYPCKRKRPHHQDVSNVSEHGLRCFTYQELREATNGFSEELGSGAFSTVYKGAFLKGKDSICIAVKKLNKVCQDVNKEFLAEVRSIGQTHHKNLVRLLGYCNEEENRLLVYQFLSNGSLTSFLFGEMKLEWNRRVNIILGVARGLLYLHEECITPIIHCDIKSQNILLDDNLVAKISDFGMAKLLGANQTRTNTGIRGTRGFVAPEWFKSMPITVKVDVYSFGVMLLETICCRKHLEESENEEAVVLVYWAYDCYREGRLDILVGSEREALLDMRRVERFVMVAIWCIQEDPSLRPTMPKVVLMLEGSVSVPVPPDPSSLHELNPRRKISP >DRNTG_24878.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32742288:32745030:1 gene:DRNTG_24878 transcript:DRNTG_24878.15 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTGNLVLVDANSSDLIWQSFNFPTDTILPTQVLSLINAFINTQLQSKLTSTNYSSGRFIFSLKGGDVSLRQLASPINANQSYDSYWSTSTSGSGSKLIFDPLGIVYLELINNTRINITSAGVGSNTAADFYQRATLDFDGVFRHYVYHKGSNGSWAQGWTSQGHVPDDICRAITNDVGSGACGFNSYCQLDGDQRAVCQCPSKYSFIDSNNEYRGCMPDFVAQRCDVDDSARFQLVEMVNTDWPLSDYEHYTPIVEDQCRDYCLSDCFCAVAIFRNGECWKKKLPLSNGKIGGYVGGKALIKVTKDNASSYEPGTITTIVVKKNDRKGLIIAGSSLLGGSVFINILFVVAILFMIFCYPCKRKRPHHQDVSNVSEHGLRCFTYQELREATNGFSEELGSGAFSTVYKGAFLKGKDSICIAVKKLNKVCQDVNKEFLAEVRSIGQTHHKNLVRLLGYCNEEENRLLVYQFLSNGSLTSFLFGEMKLEWNRRVNIILGVARGLLYLHEECITPIIHCDIKSQNILLDDNLVAKISDFGMAKLLGANQTRTNTGIRGTRGFVAPEWFKSMPITVKVDVYSFGVMLLETICCRKHLEESENEEAVVLVYWAYDCYREGRLDILVGSEREALLDMRRVERFVMVAIWCIQEDPSLRPTMPKVVLMLEGSVSVPVPPDPSSLHELNPRRKISP >DRNTG_24878.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32741921:32744701:1 gene:DRNTG_24878 transcript:DRNTG_24878.13 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTGNLVLVDANSSDLIWQSFNFPTDTILPTQVLSLINAFINTQLQSKLTSTNYSSGRFIFSLKGGDVSLRQLASPINANQSYDSYWSTSTSGSGSKLIFDPLGIVYLELINNTRINITSAGVGSNTAADFYQRATLDFDGVFRHYVYHKGSNGSWAQGWTSQGHVPDDICRAITNDVGSGACGFNSYCQLDGDQRAVCQCPSKYSFIDSNNEYRGCMPDFVAQRCDVDDSARFQLVEMVNTDWPLSDYEHYTPIVEDQCRDYCLSDCFCAVAIFRNGECWKKKLPLSNGKIGGYVGGKALIKVTKDNASSYEPGTITTIVVKKNDRKGLIIAGSSLLGGSVFINILFVVAILFMIFCYPCKRKRPHHQDVSNVSEHGLRCFTYQELREATNGFSEELGSGAFSTVYKGAFLKGKDSICIAVKKLNKVCQDVNKEFLAEVRSIGQTHHKNLVRLLGYCNEEENRLLVYQFLSNGSLTSFLFGEMKLEWNRRVNIILGVARGLLYLHEECITPIIHCDIKSQNILLDDNLVAKISDFGMAKLLGANQTRTNTGIRGTRGFVAPEWFKSMPITVKVDVYSFGVMLLETICCRKHLEESENEEAVVLVYWAYDCYREGRLDILVGSEREALLDMRRVERFVMVAIWCIQEDPSLRPTMPKVVLMLEGSVSVPVPPDPSSLHELNPRRKISP >DRNTG_24878.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32741729:32744844:1 gene:DRNTG_24878 transcript:DRNTG_24878.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTGNLVLVDANSSDLIWQSFNFPTDTILPTQVLSLINAFINTQLQSKLTSTNYSSGRFIFSLKGGDVSLRQLASPINANQSYDSYWSTSTSGSGSKLIFDPLGIVYLELINNTRINITSAGVGSNTAADFYQRATLDFDGVFRHYVYHKGSNGSWAQGWTSQGHVPDDICRAITNDVGSGACGFNSYCQLDGDQRAVCQCPSKYSFIDSNNEYRGCMPDFVAQRCDVDDSARFQLVEMVNTDWPLSDYEHYTPIVEDQCRDYCLSDCFCAVAIFRNGECWKKKLPLSNGKIGGYVGGKALIKVTKDNASSYEPGTITTIVVKKNDRKGLIIAGSSLLGGSVFINILFVVAILFMIFCYPCKRKRPHHQDVSNVSEHGLRCFTYQELREATNGFSEELGSGAFSTVYKGAFLKGKDSICIAVKKLNKVCQDVNKEFLAEVRSIGQTHHKNLVRLLGYCNEEENRLLVYQFLSNGSLTSFLFGEMKLEWNRRVNIILGVARGLLYLHEECITPIIHCDIKSQNILLDDNLVAKISDFGMAKLLGANQTRTNTGIRGTRGFVAPEWFKSMPITVKVDVYSFGVMLLETICCRKHLEESENEEAVVLVYWAYDCYREGRLDILVGSEREALLDMRRVERFVMVAIWCIQEDPSLRPTMPKVVLMLEGSVSVPVPPDPSSLHELNPRRKISP >DRNTG_24878.20.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32742338:32744844:1 gene:DRNTG_24878 transcript:DRNTG_24878.20 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTGNLVLVDANSSDLIWQSFNFPTDTILPTQVLSLINAFINTQLQSKLTSTNYSSGRFIFSLKGGDVSLRQLASPINANQSYDSYWSTSTSGSGSKLIFDPLGIVYLELINNTRINITSAGVGSNTAADFYQRATLDFDGVFRHYVYHKGSNGSWAQGWTSQGHVPDDICRAITNDVGSGACGFNSYCQLDGDQRAVCQCPSKYSFIDSNNEYRGCMPDFVAQRCDVDDSARFQLVEMVNTDWPLSDYEHYTPIVEDQCRDYCLSDCFCAVAIFRNGECWKKKLPLSNGKIGGYVGGKALIKVTKDNASSYEPGTITTIVVKKNDRKGLIIAGSSLLGGSVFINILFVVAILFMIFCYPCKRKRPHHQDVSNVSEHGLRCFTYQELREATNGFSEELGSGAFSTVYKGAFLKGKDSICIAVKKLNKVCQDVNKEFLAEVRSIGQTHHKNLVRLLGYCNEEENRLLVYQFLSNGSLTSFLFGEMKLEWNRRVNIILGVARGLLYLHEECITPIIHCDIKSQNILLDDNLVAKISDFGMAKLLGANQTRTNTGIRGTRGFVAPEWFKSMPITVKVDVYSFGVMLLETICCRKHLEESENEEAVVLVYWAYDCYREGRLDILVGSEREALLDMRRVERFVMVAIWCIQEDPSLRPTMPKVVLMLEGSVSVPVPPDPSSLHELNPRRKISP >DRNTG_24878.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32741729:32745030:1 gene:DRNTG_24878 transcript:DRNTG_24878.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTGNLVLVDANSSDLIWQSFNFPTDTILPTQVLSLINAFINTQLQSKLTSTNYSSGRFIFSLKGGDVSLRQLASPINANQSYDSYWSTSTSGSGSKLIFDPLGIVYLELINNTRINITSAGVGSNTAADFYQRATLDFDGVFRHYVYHKGSNGSWAQGWTSQGHVPDDICRAITNDVGSGACGFNSYCQLDGDQRAVCQCPSKYSFIDSNNEYRGCMPDFVAQRCDVDDSARFQLVEMVNTDWPLSDYEHYTPIVEDQCRDYCLSDCFCAVAIFRNGECWKKKLPLSNGKIGGYVGGKALIKVTKDNASSYEPGTITTIVVKKNDRKGLIIAGSSLLGGSVFINILFVVAILFMIFCYPCKRKRPHHQDVSNVSEHGLRCFTYQELREATNGFSEELGSGAFSTVYKGAFLKGKDSICIAVKKLNKVCQDVNKEFLAEVRSIGQTHHKNLVRLLGYCNEEENRLLVYQFLSNGSLTSFLFGEMKLEWNRRVNIILGVARGLLYLHEECITPIIHCDIKSQNILLDDNLVAKISDFGMAKLLGANQTRTNTGIRGTRGFVAPEWFKSMPITVKVDVYSFGVMLLETICCRKHLEESENEEAVVLVYWAYDCYREGRLDILVGSEREALLDMRRVERFVMVAIWCIQEDPSLRPTMPKVVLMLEGSVSVPVPPDPSSLHELNPRRKISP >DRNTG_24878.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32741921:32744795:1 gene:DRNTG_24878 transcript:DRNTG_24878.11 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTGNLVLVDANSSDLIWQSFNFPTDTILPTQVLSLINAFINTQLQSKLTSTNYSSGRFIFSLKGGDVSLRQLASPINANQSYDSYWSTSTSGSGSKLIFDPLGIVYLELINNTRINITSAGVGSNTAADFYQRATLDFDGVFRHYVYHKGSNGSWAQGWTSQGHVPDDICRAITNDVGSGACGFNSYCQLDGDQRAVCQCPSKYSFIDSNNEYRGCMPDFVAQRCDVDDSARFQLVEMVNTDWPLSDYEHYTPIVEDQCRDYCLSDCFCAVAIFRNGECWKKKLPLSNGKIGGYVGGKALIKVTKDNASSYEPGTITTIVVKKNDRKGLIIAGSSLLGGSVFINILFVVAILFMIFCYPCKRKRPHHQDVSNVSEHGLRCFTYQELREATNGFSEELGSGAFSTVYKGAFLKGKDSICIAVKKLNKVCQDVNKEFLAEVRSIGQTHHKNLVRLLGYCNEEENRLLVYQFLSNGSLTSFLFGEMKLEWNRRVNIILGVARGLLYLHEECITPIIHCDIKSQNILLDDNLVAKISDFGMAKLLGANQTRTNTGIRGTRGFVAPEWFKSMPITVKVDVYSFGVMLLETICCRKHLEESENEEAVVLVYWAYDCYREGRLDILVGSEREALLDMRRVERFVMVAIWCIQEDPSLRPTMPKVVLMLEGSVSVPVPPDPSSLHELNPRRKISP >DRNTG_24878.17.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32742042:32744701:1 gene:DRNTG_24878 transcript:DRNTG_24878.17 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTGNLVLVDANSSDLIWQSFNFPTDTILPTQVLSLINAFINTQLQSKLTSTNYSSGRFIFSLKGGDVSLRQLASPINANQSYDSYWSTSTSGSGSKLIFDPLGIVYLELINNTRINITSAGVGSNTAADFYQRATLDFDGVFRHYVYHKGSNGSWAQGWTSQGHVPDDICRAITNDVGSGACGFNSYCQLDGDQRAVCQCPSKYSFIDSNNEYRGCMPDFVAQRCDVDDSARFQLVEMVNTDWPLSDYEHYTPIVEDQCRDYCLSDCFCAVAIFRNGECWKKKLPLSNGKIGGYVGGKALIKVTKDNASSYEPGTITTIVVKKNDRKGLIIAGSSLLGGSVFINILFVVAILFMIFCYPCKRKRPHHQDVSNVSEHGLRCFTYQELREATNGFSEELGSGAFSTVYKGAFLKGKDSICIAVKKLNKVCQDVNKEFLAEVRSIGQTHHKNLVRLLGYCNEEENRLLVYQFLSNGSLTSFLFGEMKLEWNRRVNIILGVARGLLYLHEECITPIIHCDIKSQNILLDDNLVAKISDFGMAKLLGANQTRTNTGIRGTRGFVAPEWFKSMPITVKVDVYSFGVMLLETICCRKHLEESENEEAVVLVYWAYDCYREGRLDILVGSEREALLDMRRVERFVMVAIWCIQEDPSLRPTMPKVVLMLEGSVSVPVPPDPSSLHELNPRRKISP >DRNTG_24878.22.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32742288:32744701:1 gene:DRNTG_24878 transcript:DRNTG_24878.22 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTGNLVLVDANSSDLIWQSFNFPTDTILPTQVLSLINAFINTQLQSKLTSTNYSSGRFIFSLKGGDVSLRQLASPINANQSYDSYWSTSTSGSGSKLIFDPLGIVYLELINNTRINITSAGVGSNTAADFYQRATLDFDGVFRHYVYHKGSNGSWAQGWTSQGHVPDDICRAITNDVGSGACGFNSYCQLDGDQRAVCQCPSKYSFIDSNNEYRGCMPDFVAQRCDVDDSARFQLVEMVNTDWPLSDYEHYTPIVEDQCRDYCLSDCFCAVAIFRNGECWKKKLPLSNGKIGGYVGGKALIKVTKDNASSYEPGTITTIVVKKNDRKGLIIAGSSLLGGSVFINILFVVAILFMIFCYPCKRKRPHHQDVSNVSEHGLRCFTYQELREATNGFSEELGSGAFSTVYKGAFLKGKDSICIAVKKLNKVCQDVNKEFLAEVRSIGQTHHKNLVRLLGYCNEEENRLLVYQFLSNGSLTSFLFGEMKLEWNRRVNIILGVARGLLYLHEECITPIIHCDIKSQNILLDDNLVAKISDFGMAKLLGANQTRTNTGIRGTRGFVAPEWFKSMPITVKVDVYSFGVMLLETICCRKHLEESENEEAVVLVYWAYDCYREGRLDILVGSEREALLDMRRVERFVMVAIWCIQEDPSLRPTMPKVVLMLEGSVSVPVPPDPSSLHELNPRRKISP >DRNTG_24878.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32742042:32744844:1 gene:DRNTG_24878 transcript:DRNTG_24878.12 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTGNLVLVDANSSDLIWQSFNFPTDTILPTQVLSLINAFINTQLQSKLTSTNYSSGRFIFSLKGGDVSLRQLASPINANQSYDSYWSTSTSGSGSKLIFDPLGIVYLELINNTRINITSAGVGSNTAADFYQRATLDFDGVFRHYVYHKGSNGSWAQGWTSQGHVPDDICRAITNDVGSGACGFNSYCQLDGDQRAVCQCPSKYSFIDSNNEYRGCMPDFVAQRCDVDDSARFQLVEMVNTDWPLSDYEHYTPIVEDQCRDYCLSDCFCAVAIFRNGECWKKKLPLSNGKIGGYVGGKALIKVTKDNASSYEPGTITTIVVKKNDRKGLIIAGSSLLGGSVFINILFVVAILFMIFCYPCKRKRPHHQDVSNVSEHGLRCFTYQELREATNGFSEELGSGAFSTVYKGAFLKGKDSICIAVKKLNKVCQDVNKEFLAEVRSIGQTHHKNLVRLLGYCNEEENRLLVYQFLSNGSLTSFLFGEMKLEWNRRVNIILGVARGLLYLHEECITPIIHCDIKSQNILLDDNLVAKISDFGMAKLLGANQTRTNTGIRGTRGFVAPEWFKSMPITVKVDVYSFGVMLLETICCRKHLEESENEEAVVLVYWAYDCYREGRLDILVGSEREALLDMRRVERFVMVAIWCIQEDPSLRPTMPKVVLMLEGSVSVPVPPDPSSLHELNPRRKISP >DRNTG_24878.16.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32742338:32745030:1 gene:DRNTG_24878 transcript:DRNTG_24878.16 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTGNLVLVDANSSDLIWQSFNFPTDTILPTQVLSLINAFINTQLQSKLTSTNYSSGRFIFSLKGGDVSLRQLASPINANQSYDSYWSTSTSGSGSKLIFDPLGIVYLELINNTRINITSAGVGSNTAADFYQRATLDFDGVFRHYVYHKGSNGSWAQGWTSQGHVPDDICRAITNDVGSGACGFNSYCQLDGDQRAVCQCPSKYSFIDSNNEYRGCMPDFVAQRCDVDDSARFQLVEMVNTDWPLSDYEHYTPIVEDQCRDYCLSDCFCAVAIFRNGECWKKKLPLSNGKIGGYVGGKALIKVTKDNASSYEPGTITTIVVKKNDRKGLIIAGSSLLGGSVFINILFVVAILFMIFCYPCKRKRPHHQDVSNVSEHGLRCFTYQELREATNGFSEELGSGAFSTVYKGAFLKGKDSICIAVKKLNKVCQDVNKEFLAEVRSIGQTHHKNLVRLLGYCNEEENRLLVYQFLSNGSLTSFLFGEMKLEWNRRVNIILGVARGLLYLHEECITPIIHCDIKSQNILLDDNLVAKISDFGMAKLLGANQTRTNTGIRGTRGFVAPEWFKSMPITVKVDVYSFGVMLLETICCRKHLEESENEEAVVLVYWAYDCYREGRLDILVGSEREALLDMRRVERFVMVAIWCIQEDPSLRPTMPKVVLMLEGSVSVPVPPDPSSLHELNPRRKISP >DRNTG_24878.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32741646:32744701:1 gene:DRNTG_24878 transcript:DRNTG_24878.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTGNLVLVDANSSDLIWQSFNFPTDTILPTQVLSLINAFINTQLQSKLTSTNYSSGRFIFSLKGGDVSLRQLASPINANQSYDSYWSTSTSGSGSKLIFDPLGIVYLELINNTRINITSAGVGSNTAADFYQRATLDFDGVFRHYVYHKGSNGSWAQGWTSQGHVPDDICRAITNDVGSGACGFNSYCQLDGDQRAVCQCPSKYSFIDSNNEYRGCMPDFVAQRCDVDDSARFQLVEMVNTDWPLSDYEHYTPIVEDQCRDYCLSDCFCAVAIFRNGECWKKKLPLSNGKIGGYVGGKALIKVTKDNASSYEPGTITTIVVKKNDRKGLIIAGSSLLGGSVFINILFVVAILFMIFCYPCKRKRPHHQDVSNVSEHGLRCFTYQELREATNGFSEELGSGAFSTVYKGAFLKGKDSICIAVKKLNKVCQDVNKEFLAEVRSIGQTHHKNLVRLLGYCNEEENRLLVYQFLSNGSLTSFLFGEMKLEWNRRVNIILGVARGLLYLHEECITPIIHCDIKSQNILLDDNLVAKISDFGMAKLLGANQTRTNTGIRGTRGFVAPEWFKSMPITVKVDVYSFGVMLLETICCRKHLEESENEEAVVLVYWAYDCYREGRLDILVGSEREALLDMRRVERFVMVAIWCIQEDPSLRPTMPKVVLMLEGSVSVPVPPDPSSLHELNPRRKISP >DRNTG_24878.19.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32742288:32744795:1 gene:DRNTG_24878 transcript:DRNTG_24878.19 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTGNLVLVDANSSDLIWQSFNFPTDTILPTQVLSLINAFINTQLQSKLTSTNYSSGRFIFSLKGGDVSLRQLASPINANQSYDSYWSTSTSGSGSKLIFDPLGIVYLELINNTRINITSAGVGSNTAADFYQRATLDFDGVFRHYVYHKGSNGSWAQGWTSQGHVPDDICRAITNDVGSGACGFNSYCQLDGDQRAVCQCPSKYSFIDSNNEYRGCMPDFVAQRCDVDDSARFQLVEMVNTDWPLSDYEHYTPIVEDQCRDYCLSDCFCAVAIFRNGECWKKKLPLSNGKIGGYVGGKALIKVTKDNASSYEPGTITTIVVKKNDRKGLIIAGSSLLGGSVFINILFVVAILFMIFCYPCKRKRPHHQDVSNVSEHGLRCFTYQELREATNGFSEELGSGAFSTVYKGAFLKGKDSICIAVKKLNKVCQDVNKEFLAEVRSIGQTHHKNLVRLLGYCNEEENRLLVYQFLSNGSLTSFLFGEMKLEWNRRVNIILGVARGLLYLHEECITPIIHCDIKSQNILLDDNLVAKISDFGMAKLLGANQTRTNTGIRGTRGFVAPEWFKSMPITVKVDVYSFGVMLLETICCRKHLEESENEEAVVLVYWAYDCYREGRLDILVGSEREALLDMRRVERFVMVAIWCIQEDPSLRPTMPKVVLMLEGSVSVPVPPDPSSLHELNPRRKISP >DRNTG_24878.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32741729:32744701:1 gene:DRNTG_24878 transcript:DRNTG_24878.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTGNLVLVDANSSDLIWQSFNFPTDTILPTQVLSLINAFINTQLQSKLTSTNYSSGRFIFSLKGGDVSLRQLASPINANQSYDSYWSTSTSGSGSKLIFDPLGIVYLELINNTRINITSAGVGSNTAADFYQRATLDFDGVFRHYVYHKGSNGSWAQGWTSQGHVPDDICRAITNDVGSGACGFNSYCQLDGDQRAVCQCPSKYSFIDSNNEYRGCMPDFVAQRCDVDDSARFQLVEMVNTDWPLSDYEHYTPIVEDQCRDYCLSDCFCAVAIFRNGECWKKKLPLSNGKIGGYVGGKALIKVTKDNASSYEPGTITTIVVKKNDRKGLIIAGSSLLGGSVFINILFVVAILFMIFCYPCKRKRPHHQDVSNVSEHGLRCFTYQELREATNGFSEELGSGAFSTVYKGAFLKGKDSICIAVKKLNKVCQDVNKEFLAEVRSIGQTHHKNLVRLLGYCNEEENRLLVYQFLSNGSLTSFLFGEMKLEWNRRVNIILGVARGLLYLHEECITPIIHCDIKSQNILLDDNLVAKISDFGMAKLLGANQTRTNTGIRGTRGFVAPEWFKSMPITVKVDVYSFGVMLLETICCRKHLEESENEEAVVLVYWAYDCYREGRLDILVGSEREALLDMRRVERFVMVAIWCIQEDPSLRPTMPKVVLMLEGSVSVPVPPDPSSLHELNPRRKISP >DRNTG_24878.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32741646:32745030:1 gene:DRNTG_24878 transcript:DRNTG_24878.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTGNLVLVDANSSDLIWQSFNFPTDTILPTQVLSLINAFINTQLQSKLTSTNYSSGRFIFSLKGGDVSLRQLASPINANQSYDSYWSTSTSGSGSKLIFDPLGIVYLELINNTRINITSAGVGSNTAADFYQRATLDFDGVFRHYVYHKGSNGSWAQGWTSQGHVPDDICRAITNDVGSGACGFNSYCQLDGDQRAVCQCPSKYSFIDSNNEYRGCMPDFVAQRCDVDDSARFQLVEMVNTDWPLSDYEHYTPIVEDQCRDYCLSDCFCAVAIFRNGECWKKKLPLSNGKIGGYVGGKALIKVTKDNASSYEPGTITTIVVKKNDRKGLIIAGSSLLGGSVFINILFVVAILFMIFCYPCKRKRPHHQDVSNVSEHGLRCFTYQELREATNGFSEELGSGAFSTVYKGAFLKGKDSICIAVKKLNKVCQDVNKEFLAEVRSIGQTHHKNLVRLLGYCNEEENRLLVYQFLSNGSLTSFLFGEMKLEWNRRVNIILGVARGLLYLHEECITPIIHCDIKSQNILLDDNLVAKISDFGMAKLLGANQTRTNTGIRGTRGFVAPEWFKSMPITVKVDVYSFGVMLLETICCRKHLEESENEEAVVLVYWAYDCYREGRLDILVGSEREALLDMRRVERFVMVAIWCIQEDPSLRPTMPKVVLMLEGSVSVPVPPDPSSLHELNPRRKISP >DRNTG_08004.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30040091:30041684:-1 gene:DRNTG_08004 transcript:DRNTG_08004.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase F13 [Source:Projected from Arabidopsis thaliana (AT3G62760) UniProtKB/Swiss-Prot;Acc:Q9LZI9] MVFKLYGSPMSTCTARVKAVLEELNLKYELIPINFGTGEHKQPPHIHRNPFGQVPALEDGDLTLFESRAITRYLANNYHSSGAPDLLKKNDRKAGGVVNVWIEVESQQFNPAISPIFYQIFVAPRFGAAPDQNIIKDNVDKLAKILDVYEARLSKCKYLAGDFFSLADLHHIPYTYYLMATPHASLINSRPHVKAWWEDISSRTDCKKVTDDMQAAAASASK >DRNTG_31469.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1913714:1917517:-1 gene:DRNTG_31469 transcript:DRNTG_31469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWGLIADSFVVLPAGGGFRSPPSDSKLLLSSSSLFPLESRSSLLSNKSCSMFGFARSGVRFESFQPRSVRVKAMEGSGESQTPVAPLQFESPTGQFLSQIMVTHPHLLPAAIDQQLERLQTDSEAQKRGSAPSPQDLLYRRIAEVKDKDRQRTLEEIIYCLIVQKFMDKDISMIPPISPTLDPAVQVDSWPNQEKKLEAVHSPDALEMIESHLSLILGERVVGPLNTIVQISKLKLGKLYAASIMYGYFLKRVDERYQLERTMNTLPTGGKGQRILGDLKPNPLWDMESLVQMSPDEGSIEAVDPLNLEEGKSYRLRSYVMYLDAETLQMYATIRSKEAISLIEKQTQALFGRPDVKILDDGSVDASKDEVIAITFSGLTMLVLEAVAFGSFLWVAESYVESKYHFFPN >DRNTG_16134.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5980277:5983674:1 gene:DRNTG_16134 transcript:DRNTG_16134.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKPGILNLVVGRAEARNRPTGRDAA >DRNTG_16134.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5991122:5991471:1 gene:DRNTG_16134 transcript:DRNTG_16134.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPTTIARNAGFSQFGTSDRGQEEDTTDDEV >DRNTG_16134.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5980277:5981591:1 gene:DRNTG_16134 transcript:DRNTG_16134.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKPGILNLVVGRAEARNRPTGRDAA >DRNTG_16134.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5980277:5991471:1 gene:DRNTG_16134 transcript:DRNTG_16134.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPTTIARNAGFSQFGTSDRGQEEDTTDDEV >DRNTG_31138.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:258329:259703:-1 gene:DRNTG_31138 transcript:DRNTG_31138.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPELAGKSLTPKLSSCIADVFVAKFGKYAGWAQNVLFIGKLPSQKLLDNKAGVSKSAKKKQRIKKAAVELTLEGD >DRNTG_31138.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:258329:261196:-1 gene:DRNTG_31138 transcript:DRNTG_31138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSFGESLGSVGGFEFHHFPALERLALVSEQELRNAGFGYRAKYIVGTVRALQEKPGGGVNWLASLRGLELPHVIDALCILPGVGPKVAACRAVFSLEQHHAIPVDTHVWQIAT >DRNTG_09911.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7177168:7183028:-1 gene:DRNTG_09911 transcript:DRNTG_09911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRTKKQTDKRPRESSSEPEGMQFSIPEHQARYERLSRLYFGHTRFLDTTILRDLQQGDEFVEEIEDLVSKRGWRQLLTIREPAIREFALEVLSSFEFDRAYASFNSLGTIQFRVFGHHHSLSIMQFSILLGLYEETFTDSEEYAQLPTDYPGTLTPQRAYRMLCGQGQYEPRVSKATCLSRPAYRYLHAIMSRSVNGRGDSTGVLSRQELLYLYSMVERVPIHLGHILADYIRHQGQYTSLGAIFSGPYITRLVLGMGLLDSIRGAEKMSVPAPLGLEMMRLMGMVRRVRTGVFALVLPAPEIAEDEGDDAGASQPAPEPQPTSMDTEAPPAAEEPPPVRHSVHRVHGAFRHIKADPRARRCLIIRPAAEDSSGIFSTSSISILDPTTRGPTICFHFSSSSSGDRE >DRNTG_24545.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2434116:2440135:1 gene:DRNTG_24545 transcript:DRNTG_24545.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTVLVEYTEEKELKRKSRDLSFVMMGKSQKFSKGYNSGFVPDYRHTVETMGDSEGFDYLGGVDCEEFCPPKRKCISLNEDRLDVCSVPTEVISLSKLSAAERKELQMRFTEELQQVQAVHKRILSMSVKGGNAISVSSINQGHSNKRDSAAQNGANLKRGVTGRFVSAKRAPAIPPVSSSHAMLMKQCEVILKRVMSHQYGWVFNTPVDVVKLNIPDYHTIIKHPMDLGTVKSKIASSAYSSPWDFLADVRLTFTNAMTYNPPGNDVHGMADTLSKFFEARWKSVEKKLATADIRNKKQEATKPVQLQKNRKASPMRNKTFDPAIAKPKMTDEEKISLGRHVESLLSDMPVHIVEFLRRHCDPSNQSSEDGVEIDFATLSDDTLFELRQLLDDDLQKEQTGQPATTKACEMEMLNEYGTSNSPMNHPSKGMEPADEDVDIGGNDPPIPCYPPLEIEKDAALKSSKCSSSSSSSSDSDSSSDSDSGSSSGSDSDAQITSPPKTAKEHVQPESVITKGKSDMHSLDVNGSVDGLDQFKQDTHPITVSVDMNGNKEGENAPCERQVSPDKLYRAALLRSRFADTILKAREKALDQGEKLDPEKLRREKEELERQQREEKARLQAEAKAAEEVRRRAEAEAAAEAKRKRELEREAARQALLKVEKTVEINENSLILHDLEMLRTVPAENGSESSIINETSPDISPDSLFNLGGGNALEQLGLYIKPDDEEEEEGEPGNSPMHDDNPEEGEID >DRNTG_24545.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2434116:2440135:1 gene:DRNTG_24545 transcript:DRNTG_24545.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTVLVEYTEEKELKRKSRDLSFVMMGKSQKFSKGYNSGFVPDYRHTVETMGDSEGFDYLGGVDCEEFCPPKRKCISLNEDRLDVCSVPTEVISLSKLSAAERKELQMRFTEELQQVQAVHKRILSMSVKGGNAISVSSINQGHSNKRDSAAQNGANLKRGVTGRFVSAKRAPAIPPVSSSHAMLMKQCEVILKRVMSHQYGWVFNTPVDVVKLNIPDYHTIIKHPMDLGTVKSKIASSAYSSPWDFLADVRLTFTNAMTYNPPGNDVHGMADTLSKFFEARWKSVEKKLATADIRNKKQEATKPVQLQKNRKASPMRNKTFDPAIAKPKMTDEEKISLGRHVESLLSDMPVHIVEFLRRHCDPSNQSSEDGVEIDFATLSDDTLFELRQLLDDDLQKEQTGQPATTKACEMEMLNEYGTSNSPMNHPSKGMEPADEDVDIGGNDPPIPCYPPLEIEKDAALKSSKCSSSSSSSSDSDSSSDSDSGSSSGSDSDAQITSPPKTAKEHVQPESVITKGKSDMHSLDVNGSVDGLDQFKQDTHPITVSVDMNGNKEGKHEI >DRNTG_24545.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2434116:2440135:1 gene:DRNTG_24545 transcript:DRNTG_24545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTVLVEYTEEKELKRKSRDLSFVMMGKSQKFSKGYNSGFVPDYRHTVETMGDSEGFDYLGGVDCEEFCPPKRKCISLNEDRLDVCSVPTEVISLSKLSAAERKELQMRFTEELQQVQAVHKRILSMSVKGGNAISVSSINQGHSNKRDSAAQNGANLKRGVTGRFVSAKRAPAIPPVSSSHAMLMKQCEVILKRVMSHQYGWVFNTPVDVVKLNIPDYHTIIKHPMDLGTVKSKIASSAYSSPWDFLADVRLTFTNAMTYNPPGNDVHGMADTLSKFFEARWKSVEKKLATADIRNKKQEATKPVQLQKNRKASPMRNKTFDPAIAKPKMTDEEKISLGRHVESLLSDMPVHIVEFLRRHCDPSNQSSEDGVEIDFATLSDDTLFELRQLLDDDLQKEQTGQPATTKACEMEMLNEYGTSNSPMNHPSKGMEPADEDVDIGGNDPPIPCYPPLEIEKDAALKSSKCSSSSSSSSDSDSSSDSDSGSSSGSDSDAQITSPPKTAKEHVQPESVITKGKSDMHSLDVNGSVDGLDQFKQDTHPITVSVDMNGNKEGENAPCERQVSPDKLYRAALLRSRFADTILKAREKALDQGEKLDPEKLRREKEELERQQREEKARLQAEAKAAEEVRRRAEAEAAAEAKRKRELEREAARQALLKVEKTVEINENSLILHDLEMLRTVPAENGSESSIINETSPDISPDSLFNLGGGNALEQLGLYIKPDDEEEEEGEPGNSPMHDDNPEEGEID >DRNTG_24283.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29562209:29566221:-1 gene:DRNTG_24283 transcript:DRNTG_24283.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:Projected from Arabidopsis thaliana (AT3G58060) UniProtKB/TrEMBL;Acc:A0A178VEZ3] MEVEDQVRVPLLGGKVPQNENGAPVPRRRNSGISLRREFLSRLPEKVRRSVDPETPYHIDLSRTKGLIKGEKEYYERQFSTLRSFEEVDAISAPGVLDEEQELAEQAQSEFAMRISNYANIALLILKIYATVRSGSIAIAASTLDSLLDLMAGGILWFTHLTMKNVNIYKYPIGKLRMQPVGIIVFAAVMATLGFQVLIQAVEQLIENDPKPKMTSTQLIWLYSIMLSATVVKLALWLYCRTSGNDIVRAYAKDHYFDVVTNVVGLAAAVLGDKFYWWIDPAGAVLLAIYTISNWSGTVWENAVTLVGQSAPPEMLQKLTYLVIRHDSQIKRVDTVRAYTFGVLYFVEVDIELPEELPLKEAHAIGESLQIKLEQLPEVERAFVHLDFECDHKPEHSVLSKLPNNQS >DRNTG_33967.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1933420:1938623:-1 gene:DRNTG_33967 transcript:DRNTG_33967.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDVGVRSFKVRLEDDGKPIIRSKLIHGSASVKNPVASSFSRHPEPPGNLQPTDKSSDGPSLVKESRSSGDCSAAVPQIPLVSRERNKEGDVLFMHYAHVEKELTTATRLPRDQLSSDHIGGLLVADSSRDPSLSDSFNNSNSNQSLGNQITSLVSQELSAPWDPVAPEFKENPSPALGSPRDGSDSLNTLINSNQSTHSHSSPILQIPNPDLPPISATIQPTSLSDPSIKIKGKEIVIPMEIDSNNTDVLPTHLKSLPPIIPIPDGYNWIFVHGGWTLVPTINSEKFYSQDPNPPVTPLDHSDDELLDWGDDDDIPIDGIADDEIILNEENLHQLDSEILTDDLISNIAPDPGNSPIRDMGRNSDTIGLETHDSLPKEKLPAAVTIPTQAQQQIRRSDRQKKPSSRWNKDAGFIPNPPRSSKKKVPDDPRDGCSGLSINFSKSCLYSTFFGYQPNPSSRKNS >DRNTG_24108.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27289923:27297718:1 gene:DRNTG_24108 transcript:DRNTG_24108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGGDGFVRADQIDLKSLDEQLERHLSRAWTMEKKKDDERGGSGGGAREREEWEIDPSKLVIKGVIARGTFGTVHRGVYDGVDVAVKLLDWGEEGNRTEAEINSLRTAFSQEVSVWHKLDHPNVTKFIGATMGATGLNIQTENGHIGMPSNVCCVVVEYLPGGALKSYLIKNRRRKLAFKVVIQLALDMARGLSYLHSKKIVHRDVKTENMLLDKSRTVKIADFGVARVEAQNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEITSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDRRPEMDEVVAMLEAIDTSKGGGMIPIDEPQGCFSCFRKYRGP >DRNTG_13353.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4379036:4379418:-1 gene:DRNTG_13353 transcript:DRNTG_13353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIVEIIPRFFMQGNSISTAQLHIGLDKSCVEQKHHSNRALAIHKQGQWEINLGAQSLHTQSAKAGTSPFSSPSYGHQASCSCSLPKLINFKMRNDATK >DRNTG_14188.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20262788:20263156:1 gene:DRNTG_14188 transcript:DRNTG_14188.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIITSFLLSNFITTTLCYYISTSPSFLPTFPTPSSSSSLPALSPEMMPEFPTPGRATPDNALPTIPSTPSPPDPDVSGPEFAFAPSGLSSTASTEKSLLSLHRSDLCFILLVVLGM >DRNTG_14188.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20262788:20263727:1 gene:DRNTG_14188 transcript:DRNTG_14188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITSFLLSNFITTTLCYYISTSPSFLPTFPTPSSSSSLPALSPEMMPEFPTPGRATPDNALPTIPSTPSPPDPDVSGPEFAFAPSGLSSTASTEKSLLSLHRSDLCFILLVVLGLL >DRNTG_13700.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5324436:5325963:-1 gene:DRNTG_13700 transcript:DRNTG_13700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMLELSELIMPFYHHSLPSDVHVSPQNPNLASGFPFMNTYNHLASNDASLLENLDILAEGTGESSGLLNIQTQRMRLEIGAGRRIGFRTKSEVENLDDGYKWRKYGKKAVKNSPNPRNYYRCSSLGCTVKKRVERDRDDPSFVITTYEGVHNHPSPSPVSCASPFEHMPAMDPFAWNRKNIVNLRI >DRNTG_13700.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5324436:5325963:-1 gene:DRNTG_13700 transcript:DRNTG_13700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALISRFFCFICWLSYTKFIGSRNIQTQRMRLEIGAGRRIGFRTKSEVENLDDGYKWRKYGKKAVKNSPNPRNYYRCSSLGCTVKKRVERDRDDPSFVITTYEGVHNHPSPSPVSCASPFEHMPAMDPFAWNR >DRNTG_13700.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5324436:5325963:-1 gene:DRNTG_13700 transcript:DRNTG_13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMLELSELIMPFYHHSLPSDVHVSPQNPNLASGFPFMNTYNHLASNDASLLENLDILAEGTGESSGLFRNIQTQRMRLEIGAGRRIGFRTKSEVENLDDGYKWRKYGKKAVKNSPNPRNYYRCSSLGCTVKKRVERDRDDPSFVITTYEGVHNHPSPSPVSCASPFEHMPAMDPFAWNR >DRNTG_22668.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20437056:20439942:1 gene:DRNTG_22668 transcript:DRNTG_22668.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIPPARSRRLIAEYLWPDLKKGGGSYGTKKKQHHRRVDVKDDDFEADFREFNDDPEESEDEAEVVDVKPFAFAPKAPLSRDSVTPKHEDFSSSLDNSSKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDAEARRIRGKKAKLNFPEEPSRATQKRIQMPISSRTPKPTVPEKSSPSQSTTNLDEPDPDYYSAFGFLEEKEPPTLEYQTPFSPMKPLVPSDGVAVNFHSDQGSNTLDCSDYGFEFDSKNPEITSMITPTIAEGVETNFSEGGSPQKKLKNNAGEAVSAEENTAMKLSEELSAFESYMKFLQIPYLEGSSDESIDSLFGGELTQDAGNEVDLWTFDDLPMVGNSF >DRNTG_22668.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20437056:20439942:1 gene:DRNTG_22668 transcript:DRNTG_22668.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIPPARSRRLIAEYLWPDLKKGGGSYGTKKKQHHRRVDVKDDDFEADFREFNDDPEESEDEAEVVDVKPFAFAPKAPLSREDSVTPKHEDFSSSLDNSSKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDAEARRIRGKKAKLNFPEEPSRATQKRIQMPISSRTPKPTVPEKSSPSQSTTNLDEPDPDYYSAFGFLEEKEPPTLEYQTPFSPMKPLVPSDGVAVNFHSDQGSNTLDCSDYGFEFDSKNPEITSMITPTIAEGVETNFSEGGSPQKKLKNNAGEAVSAEENTAMKLSEELSAFESYMKFLQIPYLEGSSDESIDSLFGGELTQDAGNEVDLWTFDDLPMVGNSF >DRNTG_16165.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14943819:14950312:1 gene:DRNTG_16165 transcript:DRNTG_16165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLRRFPPLRYHALKSCEFSSLEEESAAQITSEGFSYGYTTWILHEEQQTGESSQSRADDAPICQGQNENLYARMVMDVAMGNFDANQAWGPSTYQPFATNESMLQTQQLVEKVENLESTVLDIQQGQAGLQSKLGKILELVERGHPTLFSQASQSGINSRDATIGDVVEDA >DRNTG_31386.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27364842:27366116:1 gene:DRNTG_31386 transcript:DRNTG_31386.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDREQAEDSSSEDVHLSTSSTANTVQPKQPLDLHKSSSLSELLNLLFDNPSEILGAEPAAAGGGGGGGGNYSGAIMGHSTNATSSNQAQFISNSSGNYNAYFYQRHTHNEQQLPAFLSDNSLKRQRPEDQGLMQPPKKLNSSCINPSFSNQFSGPQYNLLSHQPLLNQQILLDSHLRLH >DRNTG_31386.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27364842:27369715:1 gene:DRNTG_31386 transcript:DRNTG_31386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREQAEDSSSEDVHLSTSSTANTVQPKQPLDLHKSSSLSELLNLLFDNPSEILGAEPAAAGGGGGGGGNYSGAIMGHSTNATSSNQAQFISNSSGNYNAYFYQRHTHNEQQLPAFLSDNSLKRQRPEDQGLMQPPKKLNSSCINPSFSNQFSGPQYNLLSHQPLLNQQILLDSHLRLH >DRNTG_25599.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12475811:12476928:1 gene:DRNTG_25599 transcript:DRNTG_25599.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILLLYLTIILIITKVIAQNTSTNFIFNGFAGVSNLTTEGAAAVTTSGVLQLTNTTTNVIGRAFFPSSIPSLLPGNKTISFSTTFVFQILKSATSSGGGHGLAFTFSPAKTTPSPGCCPYLGLFGRENNGNSSNHVFAVEFDTARGFGFFTDESHVGIDINSIVSVSSASPSYYDNTTNSDVNLDFLQGDPLQAWIDYDGVSKVLNVTLASLNVVKPSKPLISYATNLFDVFKENMYIGFSASTGTQPNSHYISGWSFCVNGEAQALDLSSLPFPQRPSTGGSSSSKLSNAAIIGITFSVTIVVVASIIGVILYLRQRARLAETIEEWELDYPHRFPYK >DRNTG_23553.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22423667:22426533:1 gene:DRNTG_23553 transcript:DRNTG_23553.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 5 [Source:Projected from Arabidopsis thaliana (AT2G18410) UniProtKB/Swiss-Prot;Acc:F4IQJ2] MTANLEPIMKPNEGQRRVSENLYNIEQNSYRGKLHVHLKRRNGRVKLHSEEVHVEQVGIKILAMSSENAIVNQSLLPKVQFNLQLSEKERDDRAKVVLPFEHQGNGETIQIYDGRQSLSEGQKDPHLKGPSITGKTNTDTSTGNGEIHYLRDSDDEQPDSDEDPDDDLDI >DRNTG_23553.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22423667:22426533:1 gene:DRNTG_23553 transcript:DRNTG_23553.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 5 [Source:Projected from Arabidopsis thaliana (AT2G18410) UniProtKB/Swiss-Prot;Acc:F4IQJ2] MAESVCRSLRDGSLEGEHAPALSISDTLHSPLGSHVFHHFLYSLASNIAASKSQARALVLVAYRRSPSFYFDLLKSSGVDPSLFDKKLLVLDCHSDPLGWKDRLLKSANSAQNSAKSLSSVFKSVKDISKLLSLILELGQGLVGHGKEKFAVAIDSVSDMLRHASLQSVARLISNLRSHDNISCIFWLIHSDLHEPRVSSSLEYISTMTANLEPIMKPNEGQRRVSENLYNIEQNSYRGKLHVHLKRRNGRVKLHFHIPLG >DRNTG_23553.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22423667:22426533:1 gene:DRNTG_23553 transcript:DRNTG_23553.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 5 [Source:Projected from Arabidopsis thaliana (AT2G18410) UniProtKB/Swiss-Prot;Acc:F4IQJ2] MYFSCVNGVRLLVLDCHSDPLGWKDRLLKSANSAQNSAKSLSSVFKSVKDISKLLSLILELGQGLVGHGKEKFAVAIDSVSDMLRHASLQSVARLISNLRSHDNISCIFWLIHSDLHEPRVSSSLEYISTMTANLEPIMKPNEGQRRVSENLYNIEQNSYRGKLHVHLKRRNGRVKLHSEEVHVEQVGIKILAMSSENAIVNQSLLPKVQFNLQLSEKERDDRAKVVLPFEHQGNGETIQIYDGRQSLSEGQKDPHLKGPSITGKTNTDTSTGNGEIHYLRDSDDEQPDSDEDPDDDLDI >DRNTG_08254.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17696203:17701398:-1 gene:DRNTG_08254 transcript:DRNTG_08254.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGAKEADNGAGGPDEEAGSDGEPRSEGVRRVGSSDSIDNTPPESPGRSRSPLMFAPQVPVAPLVRGAEISPFFSQLRMNDSHGTLNAPLGKGVPTMIIWSHGGNEVLVEGSWDNWTARKAMYKSGMEHSVLMVLPSGVYQYRFIVDGEQRYIPDLPHTQDEMGFISNLLDVHEYVPDDLQSVSEFEPPPSPDSTYNQRFPADEDFAKEPLAVPPHLHLTVLGKEEPATKPPHVILNHLFIERGWAAQSLVALGLTHRFQSKYVTVVLYKPMLR >DRNTG_08018.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30116738:30122744:1 gene:DRNTG_08018 transcript:DRNTG_08018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYGRGSGSGIPRPDPDTGLEESMWQLGLGGPGDAAVVVAYPERPGEPDCAYFMRTGTCGYGDRCRYNHPRERVLGAGGLRGGLMDYPERVGEPVCQYFLRTGTCKFGPTCKYHHPRQGSGSARPVSLNYFGYPLRPGEKECSYYVRTGQCKFGATCKFHHPQPAGLSVPSPASSYYPSAQSPSIPSAQPYATMANWQVARPPSVLPAPYMQGPYGPMLLSPGVVSVPGWSPYPAPSSSVVSPGGQQPVQTGSVYGISGQLSPSASAYPVSYPPLSPPTGPSSSSQKENLYPERPGQPECQYYMRTGDCKFGSTCKYHHPPGPGWSIPQTNCTLSPIGFPLRPGAPTCTFYAQHGVCKFGPTCKFDHPMGGLSYSPSASSLADIPVAPYPVGSSMATLAPSSSSSELRPDFISNKDSFSTRMPSSETLSSGSIGSIFSKGGSSMAHGEKSSSI >DRNTG_08018.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30116738:30122744:1 gene:DRNTG_08018 transcript:DRNTG_08018.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYPERVGEPVCQYFLRTGTCKFGPTCKYHHPRQGSGSARPVSLNYFGYPLRPGEKECSYYVRTGQCKFGATCKFHHPQPAGLSVPSPASSYYPSAQSPSIPSAQPYATMANWQVARPPSVLPAPYMQGPYGPMLLSPGVVSVPGWSPYPAPSSSVVSPGGQQPVQTGSVYGISGQLSPSASAYPVSYPPLSPPTGPSSSSQKENLYPERPGQPECQYYMRTGDCKFGSTCKYHHPPGPGWSIPQTNCTLSPIGFPLRPGAPTCTFYAQHGVCKFGPTCKFDHPMGGLSYSPSASSLADIPVAPYPVGSSMATLAPSSSSSELRPDFISNKDSFSTRMPSSETLSSGSIGSIFSKGGSSMAHGEKSSSI >DRNTG_19703.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001043.1:7566:8552:1 gene:DRNTG_19703 transcript:DRNTG_19703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASRSSVQIRSVWADNLETEFELIRSTVDRFPYAAMDTEFPGVIHRSRRHPNLLSPGDRYTLLKSNVDSLNLIQIGLTLSNANGELPDLGTDDGARFIWEFNFNDFDPLRDRHAPESIDLLRSNGIDFEMNREKGISSVRFAELMASSGLICNDSAVAWVTFHSAYDFGYLIKVLTGRTLPKGLPEFMALVRVFFGERVFDVKHMMRYCEGLYGGLERMAKELKVERVVGKCHQAGSDSLLTWHAFLKIKDRFFSDDVGADHHRHHAGVLYGLELQVY >DRNTG_07689.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23179285:23181916:1 gene:DRNTG_07689 transcript:DRNTG_07689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKFEIWLWYRRLGHVSFGYLKRLFPSLFLEIDIWNFKCDVCELSKSHRTT >DRNTG_13357.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2260290:2268371:-1 gene:DRNTG_13357 transcript:DRNTG_13357.2 gene_biotype:protein_coding transcript_biotype:protein_coding LIQELVLEKFITHEVPFAEINKAYEFMLNGDSLRCIIRMDG >DRNTG_13357.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2260290:2268371:-1 gene:DRNTG_13357 transcript:DRNTG_13357.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIQELVLEKFITHEVPFAEINKAYEFMLNGDSLRCIIRMDG >DRNTG_09258.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:485674:486367:-1 gene:DRNTG_09258 transcript:DRNTG_09258.4 gene_biotype:protein_coding transcript_biotype:protein_coding GSVPRSRQPHEFQEGCTPPCNQSSSQILEIN >DRNTG_09258.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:464161:465391:-1 gene:DRNTG_09258 transcript:DRNTG_09258.3 gene_biotype:protein_coding transcript_biotype:protein_coding RSVPRSQQPHEFQEGCTPPCKRSSSLILEIN >DRNTG_09258.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:464858:486367:-1 gene:DRNTG_09258 transcript:DRNTG_09258.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSVPRSRQPHEFQEGCTPPCKRSSSLILEIN >DRNTG_09258.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:484800:486367:-1 gene:DRNTG_09258 transcript:DRNTG_09258.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFHLRSGDRGFNDEQRTRDQERFQVFDKNCSKNPGV >DRNTG_11573.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8129044:8131195:1 gene:DRNTG_11573 transcript:DRNTG_11573.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 73 [Source:Projected from Arabidopsis thaliana (AT4G28500) UniProtKB/Swiss-Prot;Acc:O49459] MTWCDGCNDDSKSPTTSSTSLVGKRSLETTVRTCPSCGHRIHCTKEQATPIQDLPGLPAGVKFDPTDQELLEHLEGKARLDSQKLHPLIDEFIPTIEGENGICYTHPEKLPGVSRDGLIRHFFHRPSKAYTTGTRKRRKVHTDEQGGETRWHKTGKTRPVFIGGKLKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEEKDGELVVSKVFYQTQPRQCGSMAKDSSNPLKLKGLNDQNENTILKDGNVVDYYHQSLMTYNQGVHNRSGNSPHLLANFSMHADGSPFLG >DRNTG_07941.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1110312:1111308:1 gene:DRNTG_07941 transcript:DRNTG_07941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWTEEMLKQKPNMCAFMEENSLDTRHDIVVEEVQKLGAKATIKALEEWGRPLSEITHLIFCNLSSVYLPGSDFQLIKLLGLSLSTKRVIYALRPWLLRRRFRGTDDVHIDNLIGQAIFGDGSAAMVVGANPIPGVETPFFELVSTDQYIIPDTEESIRSHLGEVGISDRNSLFWVTHSGGRAIFDKIEENLGLKLKKLKVARHMMSEYGNMISCSVFFAMDEMRKRSILERLPTTGEGLEWGVLHGFGPRLTVETVVLRAPPLHGLVSNGNY >DRNTG_04508.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18997067:18997618:1 gene:DRNTG_04508 transcript:DRNTG_04508.11 gene_biotype:protein_coding transcript_biotype:protein_coding VLSILLSIVLRALGPDDGDYYDSDDDSDPPRLPLLRRQVQQQAPISPESPPSVKYTFWNGGNRG >DRNTG_04508.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18993999:18996777:1 gene:DRNTG_04508 transcript:DRNTG_04508.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWVRSCVQTMLKVVNSVIGMTGMAMILYALWMIRAWQRTIHGSWVLYGTDSSPPWFIYTFLGLGISLCLITCSGHIAAEAANGHCLSCYMLFILLLVLLEAAITADVFLNPNWEEVS >DRNTG_04508.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18996643:18997618:1 gene:DRNTG_04508 transcript:DRNTG_04508.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLFILLLVLLEAAITADVFLNPNWEEDFPQDPTGRFDEFKNFVNSNFEMCKWIGFSIIGAQVLSILLSIVLRALGPDDGDYYDSDDDSDPPRLPLLRRQVQQQAPISPESPPSVKYTFWNGGNRG >DRNTG_04508.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18994110:18997246:1 gene:DRNTG_04508 transcript:DRNTG_04508.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWVRSCVQTMLKVVNSVIGMTGMAMILYALWMIRAWQRTIHGSWVLYGTDSSPPWFIYTFLGLGISLCLITCSGHIAAEAANGHCLSCYMLFILLLVLLEAAITADVFLNPNWEEDFPQDPTGRFDEFKNFVNSNFEMCKWIGFSIIGAQVLSILLSIVLRALGPDDGDYYDSDDDSDPPRLPLLRRQVQQQAPISPESPPSVKYTFWN >DRNTG_04508.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18994110:18997618:1 gene:DRNTG_04508 transcript:DRNTG_04508.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWVRSCVQTMLKVVNSVIGMTGMAMILYALWMIRAWQRTIHGSWVLYGTDSSPPWFIYTFLGLGISLCLITCSGHIAAEAANGHCLSCVSFNKYMLFILLLVLLEAAITADVFLNPNWEEDFPQDPTGRFDEFKNFVNSNFEMCKWIGFSIIGAQVLSILLSIVLRALGPDDGDYYDSDDDSDPPRLPLLRRQVQQQAPISPESPPSVKYTFWNGGNRG >DRNTG_04508.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18993999:18997618:1 gene:DRNTG_04508 transcript:DRNTG_04508.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWVRSCVQTMLKVVNSVIGMTGMAMILYALWMIRAWQRTIHGSWVLYGTDSSPPWFIYTFLGLGISLCLITCSGHIAAEAANGHCLSCVSFNKYMLFILLLVLLEAAITADVFLNPNWEEDFPQDPTGRFDEFKNFVNSNFEMCKWIGFSIIGAQVLSILLSIVLRALGPDDGDYYDSDDDSDPPRLPLLRRQVQQQAPISPESPPSVKYTFWNGGNRG >DRNTG_04508.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18994110:18997618:1 gene:DRNTG_04508 transcript:DRNTG_04508.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWVRSCVQTMLKVVNSVIGMTGMAMILYALWMIRAWQRTIHGSWVLYGTDSSPPWFIYTFLGLGISLCLITCSGHIAAEAANGHCLSCYMLFILLLVLLEAAITADVFLNPNWEEDFPQDPTGRFDEFKNFVNSNFEMCKWIGFSIIGAQVLSILLSIVLRALGPDDGDYYDSDDDSDPPRLPLLRRQVQQQAPISPESPPSVKYTFWNGGNRG >DRNTG_04508.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18993676:18997618:1 gene:DRNTG_04508 transcript:DRNTG_04508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWVRSCVQTMLKVVNSVIGMTGMAMILYALWMIRAWQRTIHGSWVLYGTDSSPPWFIYTFLGLGISLCLITCSGHIAAEAANGHCLSCYMLFILLLVLLEAAITADVFLNPNWEEDFPQDPTGRFDEFKNFVNSNFEMCKWIGFSIIGAQVLSILLSIVLRALGPDDGDYYDSDDDSDPPRLPLLRRQVQQQAPISPESPPSVKYTFWNGGNRG >DRNTG_04508.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18993575:18996777:1 gene:DRNTG_04508 transcript:DRNTG_04508.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWVRSCVQTMLKVVNSVIGMTGMAMILYALWMIRAWQRTIHGSWVLYGTDSSPPWFIYTFLGLGISLCLITCSGHIAAEAANGHCLSCYMLFILLLVLLEAAITADVFLNPNWEEVS >DRNTG_04508.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18993676:18997618:1 gene:DRNTG_04508 transcript:DRNTG_04508.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWVRSCVQTMLKVVNSVIGMTGMAMILYALWMIRAWQRTIHGSWVLYGTDSSPPWFIYTFLGLGISLCLITCSGHIAAEAANGHCLSCVSFNKYMLFILLLVLLEAAITADVFLNPNWEEDFPQDPTGRFDEFKNFVNSNFEMCKWIGFSIIGAQVLSILLSIVLRALGPDDGDYYDSDDDSDPPRLPLLRRQVQQQAPISPESPPSVKYTFWNGGNRG >DRNTG_04508.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18994110:18997618:1 gene:DRNTG_04508 transcript:DRNTG_04508.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWVRSCVQTMLKVVNSVIGMTGMAMILYALWMIRAWQRTIHGSWVLYGTDSSPPWFIYTFLGLGISLCLITCSGHIAAEAANGHCLSCYMLFILLLVLLEAAITADVFLNPNWEEDFPQDPTGRFDEFKNFVNSNFEMCKWIGFSIIGAQVLSILLSIVLRALGPDDGDYYDSDDDSDPPRLPLLRRQVQQQAPISPESPPSVKYTFWNGGNRG >DRNTG_01570.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000089.1:8004:9326:-1 gene:DRNTG_01570 transcript:DRNTG_01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRPPYGRMPLTKRLVFKRPRTTAPSSTPDEPVFQLAHHRERYDRLKTKPFGTLCLIDWGLVENLGIANQVKEWLSHNCYDKFFAINEATIHQLTLELGIYDDEFINSMPGTRLKLDFPSEVGRSNYWVTLTHTSQATGESS >DRNTG_17330.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31998532:32001577:-1 gene:DRNTG_17330 transcript:DRNTG_17330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDGIVLESWSRWAAYGVLQDPDMRLMALKFIKHLGEALHSVTSTTNSSHHLELIYVIPAPRSQNLGEYDFGPQDIQELKNAVDGFSIMTYDFSSPQNPGPNAPLKWIQSSLQLLLNDAKDGHMIFLGINFYGNDYILSGGSGGGAITGRDYIFLLEKHKPSIKWEEKSEEHYFIYTSNNQKHAVFYPSLMSISTRLNEAKKWGAALSIWEIGQGLDYFFDLL >DRNTG_17330.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31998532:31999616:-1 gene:DRNTG_17330 transcript:DRNTG_17330.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTYDFSSPQNPGPNAPLKWIQSSLQLLLNDAKDGHMIFLGINFYGNDYILSGGSGGGAITGRDYIFLLEKHKPSIKWEEKSEEHYFIYTSNNQKHAVFYPSLMSISTRLNEAKKWGAALSIWEIGQGLDYFFDLL >DRNTG_17330.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32001266:32001577:-1 gene:DRNTG_17330 transcript:DRNTG_17330.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKRRDRRALPDSSAGGRPGNPARTHRTRPMTSSIYRSPFVFFFPFALVFVPLALYFILRNPRSVSPILSVYERGLVKPNVAFHEILA >DRNTG_17330.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31998532:32000868:-1 gene:DRNTG_17330 transcript:DRNTG_17330.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDGIVLESWSRWAAYGVLQDPDMRLMALKFIKHLGEALHSVTSTTNSSHHLELIYVIPAPRSQNLGEYDFGPQDIQELKNAVDGFSIMTYDFSSPQNPGPNAPLKWIQSSLQLLLNDAKDGHMIFLGINFYGNDYILSGGSGGGAITGRDYIFLLEKHKPSIKWEEKSEEHYFIYTSNNQKHAVFYPSLMSISTRLNEAKKWGAALSIWEIGQGLDYFFDLL >DRNTG_17330.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31998532:32001577:-1 gene:DRNTG_17330 transcript:DRNTG_17330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLFSSKLTHVSPVWYELKSKGKDFVLEGRHNADAGWISDLQKNGHSLVLPRVVLEAFPAEFITKKKQWRKAIDIIVKECEEMGYDGIVLESWSRWAAYGVLQDPDMRLMALKFIKHLGEALHSVTSTTNSSHHLELIYVIPAPRSQNLGEYDFGPQDIQELKNAVDGFSIMTYDFSSPQNPGPNAPLKWIQSSLQLLLNDAKDGHMIFLGINFYGNDYILSGGSGGGAITGRDYIFLLEKHKPSIKWEEKSEEHYFIYTSNNQKHAVFYPSLMSISTRLNEAKKWGAALSIWEIGQGLDYFFDLL >DRNTG_17330.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31998532:32001577:-1 gene:DRNTG_17330 transcript:DRNTG_17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKRRDRRALPDSSAGGRPGNPARTHRTRPMTSSIYRSPFVFFFPFALVFVPLALYFILRNPRSVSPILSVYERGLVKPNVAFHEILAEHSRVSENRTRKHFENPVLAYVTPWNSRGYEMAKLFSSKLTHVSPVWYELKSKGKDFVLEGRHNADAGWISDLQKNGHSLVLPRVVLEAFPAEFITKKKQWRKAIDIIVKECEEMGYDGIVLESWSRWAAYGVLQDPDMRLMALKFIKHLGEALHSVTSTTNSSHHLELIYVIPAPRSQNLGEYDFGPQDIQELKNAVDGFSIMTYDFSSPQNPGPNAPLKWIQSSLQLLLNDAKDGHMIFLGINFYGNDYILSGGSGGGAITGRDYIFLLEKHKPSIKWEEKSEEHYFIYTSNNQKHAVFYPSLMSISTRLNEAKKWGAALSIWEIGQGLDYFFDLL >DRNTG_17330.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31998532:32000868:-1 gene:DRNTG_17330 transcript:DRNTG_17330.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDGIVLESWSRWAAYGVLQDPDMRLMALKFIKHLGEALHSVTSTTNSSHHLELIYVIPAPRSQNLGEYDFGPQDIQELKNAVDGFSIMTYDFSSPQNPGPNAPLKWIQSSLQLLLNDAKDGHMIFLGINFYGNDYILSGGSGGGAITGRDYIFLLEKHKPSIKWEEKSEEHYFIYTSNNQKHAVFYPSLMSISTRLNEAKKWGAALSIWEIGQGLDYFFDLL >DRNTG_17330.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31998532:32001577:-1 gene:DRNTG_17330 transcript:DRNTG_17330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDGIVLESWSRWAAYGVLQDPDMRLMALKFIKHLGEALHSVTSTTNSSHHLELIYVIPAPRSQNLGEYDFGPQDIQELKNAVDGFSIMTYDFSSPQNPGPNAPLKWIQSSLQLLLNDAKDGHMIFLGINFYGNDYILSGGSGGGAITGRDYIFLLEKHKPSIKWEEKSEEHYFIYTSNNQKHAVFYPSLMSISTRLNEAKKWGAALSIWEIGQGLDYFFDLL >DRNTG_07926.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20314898:20315144:-1 gene:DRNTG_07926 transcript:DRNTG_07926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRTRTINFQKEGTQSPSRREWEDNP >DRNTG_19821.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25026151:25027877:-1 gene:DRNTG_19821 transcript:DRNTG_19821.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSNYKLRSGPSESTEQLRNKVKELQEELARSRAEADAELARCELFESSLLVALRVQGIDLSSIPTTARTPHAPR >DRNTG_19821.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25026151:25026590:-1 gene:DRNTG_19821 transcript:DRNTG_19821.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQFEKAIVDKCSQGVDDDSINQDELWDEIAIGSRNRVVGKGNIVRQMTSSNYKLRSGPSESTEQLRNKVKELQEELARSRAEADAELARCELFESSLLVALRVQGIDLSSIPTTARTPHAPR >DRNTG_17980.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5495763:5497947:1 gene:DRNTG_17980 transcript:DRNTG_17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVNGRGDSTGVLSPQELLYLYSMVKRVPIHLGHILADYIRHQGQYARLGAIFSGPYITRLVLGMGLLDSIRGAEKTSVPSPLCLKTMRLMGIVRRVRTGVFALVLLAPEIAKDEGDDAGASQPAPEPQPASMETEAPPAAEEPPPALRGSRML >DRNTG_07556.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21758621:21758850:1 gene:DRNTG_07556 transcript:DRNTG_07556.3 gene_biotype:protein_coding transcript_biotype:protein_coding NFKVGDHVAVGTFVDSCRDCEHCIERNEVLCSEHPIFTFNSLDKYGMITMGGYSGHIVVSE >DRNTG_07556.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21755872:21758850:1 gene:DRNTG_07556 transcript:DRNTG_07556.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFKVGDHVAVGTFVDSCRDCEHCIERNEVLCSEHPIFTFNSLDKYGMITMGGYSGHIVVSE >DRNTG_07556.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21757941:21758850:1 gene:DRNTG_07556 transcript:DRNTG_07556.2 gene_biotype:protein_coding transcript_biotype:protein_coding AKLCRAPCSDDVSLNIKHCGVCYADIIWARNEFGDAKYPLVPGHEIVGVVKEIGSDVKLQSW >DRNTG_01745.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4287914:4291947:1 gene:DRNTG_01745 transcript:DRNTG_01745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGTKDYISGHWYSVPDLSLRDHRFSVPLDYSSLSDRIIVFAREVVSVGKEEKQLPYLLYLQGGPGFESPRPAEASGWMKRACEEYRVILLDQRGTGLSTPLTVSSLSQLGSATKLADYMKHFRADSIVNDAEFIRVRLVPDAEPWTILGQSYGGFCAVTYLSFAPKGLKSVLLTGGLPPIGNGCTADTVYKACFEQVLSQNEKYYKRFPQDIAVVCEVVNYLAKLEGGGALLPSGGILTPKGLQTLGLVALGSGGGFERLHFMFERVWDPILVPGAKKQISHYFLKAYESWIQFDTNPIYALLHEAIYCQGASSRWSAHKIRGEHEDIFDPIQAAKEARPVYFTGEMIFPWMFDEIHALRPFKETAHLLAEKQDWPPLYDVEVLNNNKVPVAAAVYYEDMYVNFNVAMETASQIAGIRLWVTNEFMHSGLRDGGPQVFDQLMGMLQGRKPWF >DRNTG_26895.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:16887173:16887775:1 gene:DRNTG_26895 transcript:DRNTG_26895.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGYRKPLSLFTTLCLARLSLAPFARLSYRIGFVRQATASSSIDSEDELANPNIIYEEPDDEASY >DRNTG_00927.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21355811:21356642:1 gene:DRNTG_00927 transcript:DRNTG_00927.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKLGPAFMLRLGSRRTLVISSWEVAKECFTINDKALASRPSNTAAVTHLAYKAAFFGLAPYGSFWRSMRKIATTELLSNTRLDKLKHVMLAEIDTCMKELHNLCGNNNIIRLDMKEWFGDLNFNIVLQMVAGKRFFGSGGGSDEAWRFRKAVHKFFHLLFVSVPSDMFPWLEWMDLGGYVKAMKAAAKEMDSVMVRLVEEHRERRASGVAASDTDFMDMMLSIMQDDHELQSYFDKETLIKAASLV >DRNTG_00927.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21355811:21357427:1 gene:DRNTG_00927 transcript:DRNTG_00927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKLGPAFMLRLGSRRTLVISSWEVAKECFTINDKALASRPSNTAAVTHLAYKAAFFGLAPYGSFWRSMRKIATTELLSNTRLDKLKHVMLAEIDTCMKELHNLCGNNNIIRLDMKEWFGDLNFNIVLQMVAGKRFFGSGGGSDEAWRFRKAVHKFFHLLFVSVPSDMFPWLEWMDLGGYVKAMKAAAKEMDSVMVRLVEEHRERRASGVAASDTDFMDMMLSIMQDDHELQSYFDKETLIKAASLNLIVAGTDTTNISLTRALGYLLNNHDALKKLKTELDEQVGKDRVVNKLDINNLIYLQAVIKESLRLGSPSELLVPRETLDDCNVAGFHIPAGTKVIVNAWKLHRDPHVWPDPFNFKPERFLSSDVATCIDVRGKNYELIPFGAGRRICPAISMALQVMHLTLARLIQGFELKSVSSVPTEIFEGLFSLSSYSAPLMVEISPRLSPELYQP >DRNTG_34705.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:14356415:14358601:-1 gene:DRNTG_34705 transcript:DRNTG_34705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAKTLYLALLIILIPTSINGFPYTNFNSSNTKDDFFFSNDAGVRLGALQITPDNNNNNLLVNRSGRVIYNTPFKLWQPLNSTTNQSASFSTSFLINIADVTLTPGEGIAFVIVPSVAEPPLASFGGYLGLTNETLDGKPSNQIIAVEFDTVKQEANNDPDDNHVGLNINSVKSVATTSLSPLGIKIASFPATNYTVWIDYDGVAHRINVSIASQGVAKPSNPALSEHLDISLYVASLSNFGFAGSTSTNVELNCVLEWNLNVEALPCDDKKDGIAVWIIAVIVIVSIALVMVVGYLVYRRRMKKRNPVVLLGALKRLPGTPKEFKFKELKKATKNFDEKMRLGQGGFGVVYKGVLPEDSTEVAVKKFSRDSTKCVDDFLSELIIINKLRHKHLVKLVGWCHENGVLLLVYDYMPNGSLDTHLFGGPVLKWSLRYNIISGVASALHYLHNEYDERVVHRDLKASNIMLDAAFNARLGDFGLARALETDKTSYAEMEQLGVPGTVGYIAPECFHTGKATRESDVYAFGAVILEIVCGRRPLCDVYGFQSLVDWVWKLHREGTILDAVDVRLAGVYDPEEAQRLLQLGLACSHPAPGERPKAEAVVQIIGGAVAPPLVPPFKPAFIWPAAVVDYDILASTSTISLSATSASWTPHSLSKD >DRNTG_12544.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21091183:21091692:-1 gene:DRNTG_12544 transcript:DRNTG_12544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWDQLKSSSFQLNEEMIETLFVCNAAETTTTAMKETSKRQVLPSPKQENRVVLDPKKSQNIAISLRALNVTKEEVCEALLEG >DRNTG_33802.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2500099:2501763:1 gene:DRNTG_33802 transcript:DRNTG_33802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMDSSLDDLSKKVDRILARLVQEEEWITRLNQDEQYNKMEQTIEAIYKYFEAQSFANNVQVIPSPTSSFLSDSYKKSTYKLYEFKVVEVTLELFDEMHERDLGSCNAETRVLPHVESMANPSSSSNSFVNGSNDVGDGGTSNDVEYCETFQILSIGDQGIDMTKENLIKNLGTITTLAFVEKIQIGGNLNITGQLGKNPLHHGQIFAKRALSWLISHLYQEKYMTVEVSSLSKNVLMKVHVSLLPIGSIYIFLHMILLKHLTMILQICERNVLMHRLLLMGVEGMSP >DRNTG_10770.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:12419147:12421960:1 gene:DRNTG_10770 transcript:DRNTG_10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKEPEKLEKGVYRLLSQDPFHEVKSKVKGRRRLKRFPRTGANPIEKLEVILTGGHCNLHLDTRIVGHRSMADVVKMGKLQGESPSVPDVTNDSSYIPSYSTPEKIKFRFGGVRELYCLRYQAAKARSCSVSVSSILFVLLCYCSYLLVRVNSDQVKTEALHPVGPSPIGCGRSVRGPVVDESPAVTVPSVPETSSYSTLYAETPTLADGNNLHVNPHLNETEELEDNATNEDLHIEHTESVSLSDDRQVQLEDREDGPILLITISRKNPLFIGCFVSLVV >DRNTG_29434.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001477.1:54938:57409:-1 gene:DRNTG_29434 transcript:DRNTG_29434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATERSTYIVHMDREMMPKAFTHHQQWYTASLQSLNVTTSVNHEASSETPTNPFYVYENVMNGFSAVLSENEVEALKKIPGVLGVHKDREVKIDTTHTYEFLNLNVASGLWPASNYGEDVIIGVIDTGVWPESESYNDRGMSEIPKRWKGICQAGDEFNISMCNSKLIGVRYFNKGVIAARPGVKISMNSARDTFGHGTHTSSTAAGSYASADYFGYAPGIARGVAHRARLAMYKVIWDEGRYSSDVIAGMDQAVADGVNIISISMGFDDVPLYEDPVAIASFAAMEKGILVSASAGNEGPDLSTLHNGIPWVMTVAAGTIDRQLAGTLILGNGQTIIGATQYPENAFLVDMTLVYNETILECNSPSLLSATAGGQVVICKDNGTARLQEREILQSTVAGAIFITNRTISFSFQSPLIAITPEEGVTLLNYAVNNPSTATITMKFKQTFLGNVRAPRVASFSSRGPSRNVPNVLKPDIMAPGVDVIAAWPSNSPAAFIGNAPLNEKS >DRNTG_11955.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000523.1:6432:13495:-1 gene:DRNTG_11955 transcript:DRNTG_11955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHSGGKSYHLESVKATLAAALERATLEDLQVMTRGNPSILIEGDPELERTLRRKGKEPVQEQPNPADLEVEGSDNMAEQNEQQRTLSDYARPSVLGTQSSITLLMRLLMNIYNPDLYEGLFDQEESNEEVMMLGSKGEETSTPGILKKVLRKMKRARRRHRKCPKTVGDVHEPRKLDERLLERAQGRAAAPVNDHATSACPWVISARACEFLQSWADFFENTQGCGLAPMGDLVNYRQAWVISARPCETLQHGRVEHLISFSDVQGSHRGVRLPLWNEVRDIIELYFWIVDAWPAVLKPRESTRIMAPRTKKQADKRQRESSSEPEGMRFAIPKHQVHYERLLRLCFGRLDSWTRLYYEIFSREMSLLMREPVIREFALEVLSSFEFDRAYASFNSLGTIQFRVFGRHHSLSITQFSILHGLYEEAFTDSEEYVQLPTDYPGTLTPQIAYSVLCCQGQCEPVVSKAMCLSRLAYRYLHAFMSRSMNGRGDNTGVLSRQELLYLYSMVERVPIHIGHIFADYIRH >DRNTG_27504.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17098024:17108201:-1 gene:DRNTG_27504 transcript:DRNTG_27504.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMALRSEEREGAVAAPPPFLLEKLKNYGQDHVFSLWDQLSAEERDLLIEEIESLDLPRIDRIIKCSLESKGLPVPTFEPVPETSVSTVEDRTPDERERLWKKGLKAISQGKLAVVLLSGGQGTRLGSSDPKGCFNIGLPSGKSLFQLQAERILRIQSLASQSIEDSARVDPIHWYIMTSPFTDEATCKYFESHKYFGLESNQITFFQQGTLPCVSKDGKFIMETPYKVAKAPDGNGGLYSALKSSKLLEDMAMRGVEYVDCYGVDNVLVRVADPTFLGYFIDKGVAAAAKVVRKAYPQEKVGAFVQRGKDGPLIVVEYSEMDPAMSSEINQITGNLRYCWSNVCLHMFTLDFLNQVATGLENDGIYHLAEKKIPSIYGSTVGLKLEQFIFDAFAYSPSTILFEVG >DRNTG_27504.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17081780:17108201:-1 gene:DRNTG_27504 transcript:DRNTG_27504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMALRSEEREGAVAAPPPFLLEKLKNYGQDHVFSLWDQLSAEERDLLIEEIESLDLPRIDRIIKCSLESKGLPVPTFEPVPETSVSTVEDRTPDERERLWKKGLKAISQGKLAVVLLSGGQGTRLGSSDPKGCFNIGLPSGKSLFQLQAERILRIQSLASQSIEDSARVDPIHWYIMTSPFTDEATCKYFESHKYFGLESNQITFFQQGTLPCVSKDGKFIMETPYKVAKAPDGNGGLYSALKSSKLLEDMAMRGVEYVDCYGVDNVLVRVADPTFLGYFIDKGVAAAAKVVRKAYPQEKVGAFVQRGKDGPLIVVEYSEMDPAMSSEINQITGNLRYCWSNVCLHMFTLDFLNQVATGLENDGIYHLAEKKIPSIYGSTVGLKLEQFIFDAFAYSPSTILFEVSREEEFAPVKNANGASHDTPDSSCLMLLRLHANWVIAAGGSLTHSVPLDLTGVEISPLHSYAGENLESICRGKTFHAPSETTF >DRNTG_30321.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1097701:1098634:-1 gene:DRNTG_30321 transcript:DRNTG_30321.2 gene_biotype:protein_coding transcript_biotype:protein_coding NGVSNKTPEFIKMNPMGKVPVLETPDGPVFESNAI >DRNTG_30321.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1097701:1099210:-1 gene:DRNTG_30321 transcript:DRNTG_30321.1 gene_biotype:protein_coding transcript_biotype:protein_coding NGVSNKTPEFIKMNPMGKVPVLETPDGPVFESNAI >DRNTG_03607.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:201023:204692:-1 gene:DRNTG_03607 transcript:DRNTG_03607.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGGVIGLVLNSRWYEPLRNISDDYIAAQRALAFDIGWFLDPIILGDYPTEMREILGSKLPTFTREDRIKLRHKIDFIGINHYTTHYVQDCIFSHCELDKYNGNALVFASGEINGQLIGPPTPMKSLYVVPYGIEKMIMYFKERYNNTPMYITENGLSQKNSNDTSKDDIVNDTDRIDFIHDYLSYVAKAMRRGADVRGYFVWSLMDSFEWLYGYTVRFGLFHVDWATLKRTPKQSAKWYQEFLAGQRMLQKAEKNSKTQGFM >DRNTG_03607.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:201023:204692:-1 gene:DRNTG_03607 transcript:DRNTG_03607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKKNLGLALIFQLLQLFLVSGSLHRNQFPDSFLFGTATSCYQIEGAWLEGNKSLTIWDVFSHLPGKITDGSNGDVADDHYHHYLEDVKMMHSLGINAYRFSISWSRILPRGRFGGINTEGVEFYNNIINALLSKGIQPVVTICHFDLPQELQDRYGAWLSSEIQEDFGYFAEVCFKEFGDRVKYWITINEPNLILKFGYATGGYPPSHCSQPFGNCTSGDSTREPYIAAHNSILSHAIAVDIYKSNYQMKQGGVIGLVLNSRWYEPLRNISDDYIAAQRALAFDIGWFLDPIILGDYPTEMREILGSKLPTFTREDRIKLRHKIDFIGINHYTTHYVQDCIFSHCELDKYNGNALVFASGEINGQLIGPPTPMKSLYVVPYGIEKMIMYFKERYNNTPMYITENGLSQKNSNDTSKDDIVNDTDRIDFIHDYLSYVAKAMRRGADVRGYFVWSLMDSFEWLYGYTVRFGLFHVDWATLKRTPKQSAKWYQEFLAGQRMLQKAEKNSKTQGFM >DRNTG_25146.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1839979:1843518:-1 gene:DRNTG_25146 transcript:DRNTG_25146.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSM4 [Source:Projected from Arabidopsis thaliana (AT5G27720) UniProtKB/TrEMBL;Acc:A0A178UIB9] MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETTKTRSDRKPPGVGRGRGRGREEGPSGRPAKGIGRGQDDGNNKGGGRGRGVPGGKGGGGRGGGRGRG >DRNTG_14434.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000681.1:17532:21722:1 gene:DRNTG_14434 transcript:DRNTG_14434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGRINQVAPSRATAGGRLRGAPPSRPRAAPRATGTAGGEAGVPLGGDVAGGTRDGRVFPSNPRRDGTRAGDAIDRGRRGGTAPTPATDHQLGHRIRERSRRPGRVS >DRNTG_30296.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001556.1:11720:14739:1 gene:DRNTG_30296 transcript:DRNTG_30296.1 gene_biotype:protein_coding transcript_biotype:protein_coding PGGEPSDNSRNGVLSGQAWFEHDRAHPPNYSSLKIISAQWRKHAQDAPSSVVHRRSKTVHLPLILPVEHLADLLRNINEGKRGYHTELNYEEDLEKEVVIKRISALSPSMVKHDLHLLQCPPNRESMIMLPPCFHVS >DRNTG_14888.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2979610:2981393:-1 gene:DRNTG_14888 transcript:DRNTG_14888.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPLVFTVQRQMPVLVTPAKPTPHEFKYLSDIDDQESLRFQIPLIHFYRNEPCMSGVDPAKVIREALARALVFYYPFAGRLREESGRKLVVNCTGEGVLFIEADANVRLQDFGDNLQPPFPCMEELLFDVEGSAGVLNCPLLLIQVTRLLCGGFIFALRVNHTMADGPGMALFMNAVAEMARGATAPSVLPVWSRELLRARNPPRVTFEHREYDEDMPHDSHNTINTPPDDITHRSFYFGPREISALRMRLPEHLRKSSTFEILTACLWRCRTMALQLEPEEEVRMMGIVNARGKKEGDFLPIGYYGNAFTYPTAVSKVKHLCNEPVEYALELVKKAKSQGMTREYLQSVADLMVLRGRPHFTIAKSYLVSDLTRAGLENVDFGWGKAVYGSVAKGGIGDIPLVSFYVPFRNGIVVPVCLPSAAMDRFVKEIENMTSDQITAPPPPPSRLLSVL >DRNTG_04693.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30465289:30466274:-1 gene:DRNTG_04693 transcript:DRNTG_04693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRPVSPTLLPKLQAITTAVSTNKVKRSEEQQKKKNPKDGLACFSSTHEKEECLSYLEI >DRNTG_07674.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23299921:23304437:-1 gene:DRNTG_07674 transcript:DRNTG_07674.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDKILPSPNELCVSFGYQCDTHQNSSHGAEHVFELSSDTEMEESDIKMRSRSFSCLSGAALSANATLANTNICNGVLGEEILPGLDSPKSFRRLASSPSLSKLELLSSSSQSSMSTLGGSLPTESDIFEGTRIWTPMSAPSRTESSSFLNTMDVQMAGGAAGEDRVQAVCSGSNGWLFCGIYDGFNGRDAADFLAATLYETIGFYLHLLECQSKLQGHSSVLSHQNSKYEVLPNHGSVISGLSLALDEQNSNSLEGELLSEAMHHGVLNCLVQALSQAEIDFMNMVEREMEDRPDLVSVGSCVLAAVLHGSNLYVLNLGDSRAVLATANVQEGGSLQAIQLTETHTVDNEIEYKKVLADHPDDPLAVIGGRVKGKLKVTRAFGVGYLKKRELNDALMGILRVHNLCSPPYIYTHPFTMNHLVSENDLFVILGSDGLFDFFKNDDVVEIVHQYIKANPFGDPAKYLIEQLICKAAENAGFSTEELMRVPAGRRRKYHDDVTVIVIILGNKHRTSKASTSI >DRNTG_07674.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23299921:23304437:-1 gene:DRNTG_07674 transcript:DRNTG_07674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDKILPSPNELCVSFGYQCDTHQNSSHGAEHVFELSSDTEMEESDIKMRSRSFSCLSGAALSANATLANTNICNGVLGEEILPGLDSPKSFRRLASSPSLSKLELLSSSSQSSMSTLGGSLPTESDIFEGTRIWTPMSAPSRTESSSFLNTMDVQMAGGAAGEDRVQAVCSGSNGWLFCGIYDGFNGRDAADFLAATLYETIGFYLHLLECQSKLQGHSSVLSHQNSKYEVLPNHGSVISGLSLALDEQNSNSLEGELLSEAMHHGVLNCLVQALSQAEIDFMNMVEREMEDRPDLVSVGSCVLAAVLHGSNLYVLNLGDSRAVLATANVQEGGSLQAIQLTETHTVDNEIEYKKVLADHPDDPLAVIGGRVKGKLKVTRAFGVGYLKKRELNDALMGILRVHNLCSPPYIYTHPFTMNHLVSENDLFVILGSDGLFDFFKNDDVVEIVHQYIKANPFGDPAKYLIEQLICKAAENAGFSTEELMRVPAGRRRKYHDDVTVIVIILGNKHRTSKASTSI >DRNTG_23406.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001264.1:12477:16069:-1 gene:DRNTG_23406 transcript:DRNTG_23406.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVETTMKLDRWGYPIRTTSDACIAAINACYEQLLVFGRNRAVILDATRHDPTCALANVLAAHFLASQDSAKAASFLSAAASSIEGASSYEKAVFQALSCLLGDGKDEYVALDRHFQLLKEYPKDLASLKRAQGLCFYMGRPDLSLNLVEQVMPHNQDQDYVYGMLAFPLLELGRMSEAEMAARKGFELNKNDPWSQHCLCHVLQYECHFKEAVSFMESCSSSWKSCSSFMYTHNWWHVALCYLEGHAPLGKVLEVYDNHIWKELERSDAVPAEVYLNALGLFLRIYVRGYMESVEDRFTSLANAFKNKVCE >DRNTG_23406.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001264.1:12477:16069:-1 gene:DRNTG_23406 transcript:DRNTG_23406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVETTMKLDRWGYPIRTTSDACIAAINACYEQLLVFGRNRAVILDATRHDPTCALANVLAAHFLASQDSAKAASFLSAAASSIEGASSYEKAVFQALSCLLGDGKDEYVALDRHFQLLKEYPKDLASLKRAQGLCFYMGRPDLSLNLVEQVMPHNQDQDYVYGMLAFPLLELGRMSEAEMAARKGFELNKNDPWSQHCLCHVLQYECHFKEAVSFMESCSSSWKSCSSFMYTHNWWHVALCYLEGHAPLGKVLEVYDNHIWKELERSDAVPAEVYLNALGLFLRIYVRGYMESVEDRFTSLANAFKNKAVWHLEWLLDLLGLWALASSKNTHKANELLMSMKSRFHSMSKEKQHTMQKGILLAEAIYEYGCGNYRKVFDKLGPDFQAIEFRVVGASEEQIDVFNEVWYDVLLKIGCVSKAITEIENQIKKKGESPFLWRMLEKACILEGKEYAHIAGEKAKYLETAYFP >DRNTG_09115.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:82500:84411:1 gene:DRNTG_09115 transcript:DRNTG_09115.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVRSMVIMAPSNLHTRIRLCLQLLLLLLQQLLITSLPQALPQLNRKPSDTDALIIFRYRADAHGNLDVNWSTPDACKGSWRGVQCSRAGRIISLSLPSLDLRGPLDALSHLDQLRLLDLHDNRLNGTLLTLLPSLPNLKHLYLAHNDLSGPIPPSVGLLKRLLRLDLSDNDLSGPIPANSIANLTRLVSLRLQNNLLSGLVPDLSKVLPRLDEFNASNNELYGRVPDGMRNKFGLTSLNGNAGLCGPSPPLPLCSFRPQEPPSSSSSQAVVPSNPSSMPDSSTANGGQVRGRVMDKEGRREGLSTGTIVGIVVGNALFLLVVVSFSVAYCCSRRFGGGRDEDKRSNGEGHDGGSHYTDERGKGNGRDSGDSGAALATQTKLVFFEGDEGDEEEEETGSDGSRRRGRHRRFELEDLLRASAEMVGKGTLGTVYRAVLEDGCMVAVKRLRDANPCPRKEFDSYMGIIGRLRHTNLVNLRAYYYAKQEKLLIYDYLPNGSLYTLLHGNIY >DRNTG_09115.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:82500:84411:1 gene:DRNTG_09115 transcript:DRNTG_09115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVRSMVIMAPSNLHTRIRLCLQLLLLLLQQLLITSLPQALPQLNRKPSDTDALIIFRYRADAHGNLDVNWSTPDACKGSWRGVQCSRAGRIISLSLPSLDLRGPLDALSHLDQLRLLDLHDNRLNGTLLTLLPSLPNLKHLYLAHNDLSGPIPPSVGLLKRLLRLDLSDNDLSGPIPANSIANLTRLVSLRLQNNLLSGLVPDLSKVLPRLDEFNASNNELYGRVPDGMRNKFGLTSLNGNAGLCGPSPPLPLCSFRPQEPPSSSSSQAVVPSNPSSMPDSSTANGGQVRGRVMDKEGRREGLSTGTIVGIVVGNALFLLVVVSFSVAYCCSRRFGGGRDEDKRSNGEGHDGGSHYTDERGKGNGRDSGDSGAALATQTKLVFFEGDEGDEEEEETGSDGSRRRGRHRRFELEDLLRASAEMVGKGTLGTVYRAVLEDGCMVAVKRLRDANPCPRKEFDSYMGIIGRLRHTNLVNLRAYYYAKQEKLLIYDYLPNGSLYTLLHGNIY >DRNTG_05768.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3555703:3570093:-1 gene:DRNTG_05768 transcript:DRNTG_05768.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDDKKKKQEGKKGGERVSFFKLFSFADPKDVALTAVGTIAAMANGFSSPLMIFISGDLINAFGVANKDNVVHFVCKVIIKYIYLAIGSGVASFLHTVLMLDGYWRNASNTDMRFYLKTILRQDIAFFDNETTTGEVIGRMSRDTILIKEAIGEKITTGAMPNMVIFSDYHITCGNLSTLPGTKRPLIVISGGIISIITSRLSTTGQNAYVDVGNVVEQTIGAMRTISSSLCTSSSKGSFLGLEYTAALVWYQSRIDHATARSRCDHHTQRLEEIYDRDDDARFEQQVGRLLDCQMEWMIEELTERMAPCRAASRSIRDLFGRASTSVDAPTEGGAGRVDREEAMMELVREMEPKEKTIMEFKRSGPLLFEGTTNPNEVEVWVEDMEKIFVVMKCNEEEKLRFRVYMLKGPTNHWYRGELRIRQGQEFESWEELMEALFCKYFTRDKMVLFDRKYIDLTLGSITVDEYEMEFDRLSRDQSRRFQKKRDRSFDNQGNHKSGNRRRSMFDAGQGKSQTVSEPPGMLQRHVILEHHIADCP >DRNTG_25414.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24596481:24603296:1 gene:DRNTG_25414 transcript:DRNTG_25414.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aminopeptidase P1 [Source:Projected from Arabidopsis thaliana (AT4G36760) TAIR;Acc:AT4G36760] MADTLAAIRSLMASHSPPLDALIVPSEDSHQSEYVSERDKRRHFVSGFTGSAGLALITTSGAFLWTDGRYFLQATQQLSDQWKLMKIGEDPTVENWIADNLPKDAAVGVDPWCVSVETAQRWEQAFSKKNQRLIQLSVNLVDEVWKDRPPAQYSPVIVQPLEFAGQAVSDKLVNVREKLTREKAYGIIITALDEVAWLYNIRGNDVDYNPVVHAFAIVTIESAFFYVDKRKVSSEVHNHMVQNGIEIKEYNLVEFDVSLLGSGQLSCSGVGEEVKHLVTWIDPNSCCLKLYSKLSSDQVLMQQSPLALPKALKNPIELDGLRKAHVRDGVAVVQYLVWLDNQMKEICGASGYFLEPKKTCESNKLGYIKLTEVTVSDKLESFRAKQEHFKGLSFPTISSVGPNAAVIHYSPKAETCRELDAESIYLFDSGAQYQDGTTDITRTVHFGTPSNHEKACYTAVLQGHIALDTAVFPNGTTGHALDVLARVPLWKDGLDYRHGTGHGVGSYLNVHEGPHLISFRPYARNVPLQASMTVTNEPGYYEDECFGIRLENVLIVKDANTKFNFGQKGYLSFENITWVPYQKKLMDLTLLNAKEIKWIDSYHSACRQILAPRLNEQERAWLNKATEPIGATA >DRNTG_28328.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:87765:92731:-1 gene:DRNTG_28328 transcript:DRNTG_28328.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTPLNLQVRGVGQRVIEEEKGQIVVGKQQQQQQQQQQQQQQKQLVCGIKRKKEDFGSFNFKEALAEPRSVLDHRRSPSPPNSTSTSTLSSSLGGTSSDTAGVAAVSDAPATAPAQKWPSSPPPPAADRREDWPSDLQSIPASLVSSQKTSALAAEDWETMLSDPAPSPGQEQTFLRWIMGDIDDSSPALKHHLVSQGTLDLDPSPNLSFGLVDPSFGFDATAINATPHLPSAPSPAMFHSMTGGTNNKITPPTPQNQLPAPLPGMKVPNFGAPPGAGLSLPPGMLFQESMDEKPQPQLFPPGLLQSHAAQNPAAFFYPDPTHQLLLPPQPKRHHPIDPHSHPLPKIPIPDPGGPDLFLRRNNPQVQPQPSQLQPLPFQPQRPMKPKLPTGDEQQQQQQALVDQLFKAAGLVEAGNSVGARGILARLNHQLPPSHVGKPLIRSAFYFREALQLLLSPSPSSPSTLSNPLDVVLKLSAYMTFSEVSPVLQFTNFTSIQAILEELGGSDRIHIIDFDIGVGGQWSSFMQELAQRRCASAPPPMLKITAFVPLNSAHHPLELHLTRENLSHFARDLSIPFEFNVMSLESFDPVAIIGMCQDEAIAVNLPVGSAIGSSIPTILRLVKQLSPKIVVSVDHGFDRSDLSFSQHFLHALQSNMVLLDSIDAAGTSPDAAGKIERFLLQPRIEAAVLGRHRAEKMLPWRTLFASTGFVPLPFSNFTETQAECLLKRVQVRGFHVEKRQASLVLYWQRGELASVSAWRC >DRNTG_28328.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:89518:92731:-1 gene:DRNTG_28328 transcript:DRNTG_28328.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTPLNLQVRGVGQRVIEEEKGQIVVGKQQQQQQQQQQQQQQKQLVCGIKRKKEDFGSFNFKEALAEPRSVLDHRRSPSPPNSTSTSTLSSSLGGTSSDTAGVAAVSDAPATAPAQKWPSSPPPPAADRREDWPSDLQSIPASLVSSQKTSALAAEDWETMLSDPAPSPGQEQTFLRWIMGDIDDSSPALKHHLVSQGTLDLDPSPNLSFGLVDPSFGFDATAINATPHLPSAPSPAMFHSMTGGTNNKITPPTPQNQLPAPLPGMKVPNFGAPPGAGLSLPPGMLFQESMDEKPQPQLFPPGLLQSHAAQNPAAFFYPDPTHQLLLPPQPKRHHPIDPHSHPLPKIPIPDPGGPDLFLRRNNPQVQPQPSQLQPLPFQPQRPMKPKLPTGDEQQQQQQALVDQLFKAAGLVEAGNSVGARGILARLNHQLPPSHVGKPLIRSAFYFREALQLLLSPSPSSPSTLSNPLDVVLKLSAYMTFSEVSPVLQFTNFTSIQAILEELGGSDRIHIIDFDIGVGGQWSSFMQELAQRRCASAPPPMLKITAFVPLNSAHHPLELHLTRENLSHFARDLSIPFEFNVMSLESFDPVAIIGMCQDEAIAVNLPVGSAIGSSIPTILRLVKQLSPKIVVSVDHGFDRSDLSFSQHFLHALQSNMVLLDSIDAAGTSPDAAGKIERFLLQPRIEAAVLGRHRAEKMLPWRTLFASTGFVPLPFSNFTETQAECLLKRVQVRGFHVEKRQASLVLYWQRGELASVSAWRC >DRNTG_28328.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:87765:92731:-1 gene:DRNTG_28328 transcript:DRNTG_28328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTPLNLQVRGVGQRVIEEEKGQIVVGKQQQQQQQQQQQQQQKQLVCGIKRKKEDFGSFNFKEALAEPRSVLDHRRSPSPPNSTSTSTLSSSLGGTSSDTAGVAAVSDAPATAPAQKWPSSPPPPAADRREDWPSDLQSIPASLVSSQKTSALAAEDWETMLSDPAPSPGQEQTFLRWIMGDIDDSSPALKHHLVSQGTLDLDPSPNLSFGLVDPSFGFDATAINATPHLPSAPSPAMFHSMTGGTNNKITPPTPQNQLPAPLPGMKVPNFGAPPGAGLSLPPGMLFQESMDEKPQPQLFPPGLLQSHAAQNPAAFFYPDPTHQLLLPPQPKRHHPIDPHSHPLPKIPIPDPGGPDLFLRRNNPQVQPQPSQLQPLPFQPQRPMKPKLPTGDEQQQQQQALVDQLFKAAGLVEAGNSVGARGILARLNHQLPPSHVGKPLIRSAFYFREALQLLLSPSPSSPSTLSNPLDVVLKLSAYMTFSEVSPVLQFTNFTSIQAILEELGGSDRIHIIDFDIGVGGQWSSFMQELAQRRCASAPPPMLKITAFVPLNSAHHPLELHLTRENLSHFARDLSIPFEFNVMSLESFDPVAIIGMCQDEAIAVNLPVGSAIGSSIPTILRLVKQLSPKIVVSVDHGFDRSDLSFSQHFLHALQSNMVLLDSIDAAGTSPDAAGKIERFLLQPRIEAAVLGRHRAEKMLPWRTLFASTGFVPLPFSNFTETQAECLLKRVQVRGFHVEKRQASLVLYWQRGELASVSAWRC >DRNTG_17538.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29036473:29048402:-1 gene:DRNTG_17538 transcript:DRNTG_17538.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHWPQYADLQVNAVQVRTTNRPGTQLLGTNGRDDGPTIKVCSMEGVNKIMLSMCDSRAFCLGVRIVQRRTLQQVLNLIPKEAEGESFEDALARVRRCLRGGATAEDEDGDSDLEVVADSVTVNLRCPMSGSRIRVAGRFKPCAHLACFDLDTFVELNQRSRKWQCPICLKNYQLENIIIDPYFNRITSLMRNCEEDVTELDVKPDGMWRVKNGTELGNFARWHLPDGDLCASNDLEIEPRPEIKRVKQEGAPEGQTSLILGIKRDRNGNWELSKPEENYVFDKFENYGQNAIPMSSSATGSYKDGEDVSVNQDGGGHFDFSLNNGHELDSLSLGFDPTHDVSRIPSATFKDPVVIEISDSEEDNNKIVSPKDGYGAGTSVPTGVTFSINQSEISGRMHDDPVLGTSSTPSPVFFDNNVDDLELPPWPLQSGPQTGNGFRLFETETDAPDSLMDAAMNGYDLVANDVLGNASQVQDHPTTHSDAEMNLSLVDNPLAFDHDDPSLQIFLPSRSTVVPLQSDLTGHAEMGSIGHSDDWISLRLAAGGDKNDAPTNQMTSQHKFAPKGSRMESLANTASLLLNDCGNNQSNKPRTNNQRSEDAFFTYPRQQRSVRQRQYLRIPSDSD >DRNTG_17538.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29040404:29048402:-1 gene:DRNTG_17538 transcript:DRNTG_17538.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVMDLDFVTNCRDKVPQFRIKELKDVLGQLGLSKQGKKQDLIDRILSLVSDEQVPKPTSWGRRSSIGLEGLSKIVDDAFRKLQFPNGTDFAIRSDSGSDFDHVKPNQDMDDSYQINMKVRCPCGDRSSISESMIQCEDPQCHVWQHIRCVIIPEKPTDGISPDLPPRFYCEICRVNRADPFLVTIRHPLPPLRLASFGASSDESNAVQRIEKTFNLSGADMEMLQRSEFDLQVGCILLNDKVQFRMHWPQYADLQVNAVQVRTTNRPGTQLLGTNGRDDGPTIKVCSMEGVNKIMLSMCDSRAFCLGVRIVQRRTLQQVILFIYLSV >DRNTG_17538.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29040404:29048402:-1 gene:DRNTG_17538 transcript:DRNTG_17538.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVMDLDFVTNCRDKVPQFRIKELKDVLGQLGLSKQGKKQDLIDRILSLVSDEQVPKPTSWGRRSSIGLEGLSKIVDDAFRKLQFPNGTDFAIRSDSGSDFDHVKPNQDMDDSYQINMKVRCPCGDRSSISESMIQCEDPQCHVWQHIRCVIIPEKPTDDFW >DRNTG_17538.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29040404:29045407:-1 gene:DRNTG_17538 transcript:DRNTG_17538.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSYQINMKVRCPCGDRSSISESMIQCEDPQCHVWQHIRCVIIPEKPTDGISPDLPPRFYCEICRVNRADPFLVTIRHPLPPLRLASFGASSDESNAVQRIEKTFNLSGADMEMLQRSEFDLQVGCILLNDKVQFRMHWPQYADLQVNAVQVRTTNRPGTQLLGTNGRDDGPTIKVCSMEGVNKIMLSMCDSRAFCLGVRIVQRRTLQQVILFIYLSV >DRNTG_17538.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29036473:29048402:-1 gene:DRNTG_17538 transcript:DRNTG_17538.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRIRVAGRFKPCAHLACFDLDTFVELNQRSRKWQCPICLKNYQLENIIIDPYFNRITSLMRNCEEDVTELDVKPDGMWRVKNGTELGNFARWHLPDGDLCASNDLEIEPRPEIKRVKQEGAPEGQTSLILGIKRDRNGNWELSKPEENYVFDKFENYGQNAIPMSSSATGSYKDGEDVSVNQDGGGHFDFSLNNGHELDSLSLGFDPTHDVSRIPSATFKDPVVIEISDSEEDNNKIVSPKDGYGAGTSVPTGVTFSINQSEISGRMHDDPVLGTSSTPSPVFFDNNVDDLELPPWPLQSGPQTGNGFRLFETETDAPDSLMDAAMNGYDLVANDVLGNASQVQDHPTTHSDAEMNLSLVDNPLAFDHDDPSLQIFLPSRSTVVPLQSDLTGHAEMGSIGHSDDWISLRLAAGGDKNDAPTNQMTSQHKFAPKGSRMESLANTASLLLNDCGNNQSNKPRTNNQRSEDAFFTYPRQQRSVRQRQYLRIPSDSD >DRNTG_17538.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29036473:29048402:-1 gene:DRNTG_17538 transcript:DRNTG_17538.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRIRVAGRFKPCAHLACFDLDTFVELNQRSRKWQCPICLKNYQLENIIIDPYFNRITSLMRNCEEDVTELDVKPDGMWRVKNGTELGNFARWHLPDGDLCASNDLEIEPRPEIKRVKQEGAPEGQTSLILGIKRDRNGNWELSKPEENYVFDKFENYGQNAIPMSSSATGSYKDGEDVSVNQDGGGHFDFSLNNGHELDSLSLGFDPTHDVSRIPSATFKDPVVIEISDSEEDNNKIVSPKDGYGAGTSVPTGVTFSINQSEISGRMHDDPVLGTSSTPSPVFFDNNVDDLELPPWPLQSGPQTGNGFRLFETETDAPDSLMDAAMNGYDLVANDVLGNASQVQDHPTTHSDAEMNLSLVDNPLAFDHDDPSLQIFLPSRSTVVPLQSDLTGHAEMGSIGHSDDWISLRLAAGGDKNDAPTNQMTSQHKFAPKGSRMESLANTASLLLNDCGNNQSNKPRTNNQRSEDAFFTYPRQQRSVRQRQYLRIPSDSD >DRNTG_17538.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29036473:29048402:-1 gene:DRNTG_17538 transcript:DRNTG_17538.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVMDLDFVTNCRDKVPQFRIKELKDVLGQLGLSKQGKKQDLIDRILSLVSDEQVPKPTSWGRRSSIGLEGLSKIVDDAFRKLQFPNGTDFAIRSDSGSDFDHVKPNQDMDDSYQINMKVRCPCGDRSSISESMIQCEDPQCHVWQHIRCVIIPEKPTDGISPDLPPRFYCEICRVNRADPFLVTIRHPLPPLRLASFGASSDESNAVQRIEKTFNLSGADMEMLQRSEFDLQVGCILLNDKVQFRMHWPQYADLQVNAVQVRTTNRPGTQLLGTNGRDDGPTIKVCSMEGVNKIMLSMCDSRAFCLGVRIVQRRTLQQVLNLIPKEAEGESFEDALARVRRCLRGGATAEDEDGDSDLEVVADSVTVNLRCPMSGSRIRVAGRFKPCAHLACFDLDTFVELNQRSRKWQCPICLKNYQLENIIIDPYFNRITSLMRNCEEDVTELDVKPDGMWRVKNGTELGNFARWHLPDGDLCASNDLEIEPRPEIKRVKQEGAPEGQTSLILGIKRDRNGNWELSKPEENYVFDKFENYGQNAIPMSSSATGSYKDGEDVSVNQDGGGHFDFSLNNGHELDSLSLGFDPTHDVSRIPSATFKDPVVIEISDSEEDNNKIVSPKDGYGAGTSVPTGVTFSINQSEISGRMHDDPVLGTSSTPSPVFFDNNVDDLELPPWPLQSGPQTGNGFRLFETETDAPDSLMDAAMNGYDLVANDVLGNASQVQDHPTTHSDAEMNLSLVDNPLAFDHDDPSLQIFLPSRSTVVPLQSDLTGHAEMGSIGHSDDWISLRLAAGGDKNDAPTNQMTSQHKFAPKGSRMESLANTASLLLNDCGNNQSNKPRTNNQRSEDAFFTYPRQQRSVRQRQYLRIPSDSD >DRNTG_31557.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:733785:735334:-1 gene:DRNTG_31557 transcript:DRNTG_31557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVVLVANLGNAKAILAQSSNESHQSTSEAGSTLKAILLTREHKAIYPQERARIQKPLLFRFSFGIVGVNGGNRLLYRFEDNIQARSKDPAPLVNPTILTSVNSSIGDISIIAIRYMV >DRNTG_22757.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4083650:4084843:1 gene:DRNTG_22757 transcript:DRNTG_22757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEDKLYEMTDDQFKSNVNALIDMKLEKYKNLREESTVYCREISDGTLRFDRQESEVAALRELTKQELFEFFNSYIKVDAPQRKTLSIQVYGCLHSAEFQSSIHESSSLQNCQIRDIFSFKRSRPFYGSFRGGLGQMKL >DRNTG_30440.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3224427:3225918:-1 gene:DRNTG_30440 transcript:DRNTG_30440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKKSTASKFKSICVFCGSSAGKKKSYQDAAINLGKELVLKNIDLVYGGGSIGLMGLISQTVFDGGRNVIGVIPKALMGREITGVTVGEVKPVINMHHRKAEMGSYADAFIDLPGGYGTLEELFEIGLLNVDGYYNSLLSFIDKVLEEGFINLAARQIIISSSNAKELIEKLEVIIIKF >DRNTG_03171.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19595445:19597286:1 gene:DRNTG_03171 transcript:DRNTG_03171.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILEVSQYLENYLWPNFNPETATLEHVMSMILMVNEKFRENVAAWICFHDRKDAFRGFLGSVLRLKEEGRDLSIAEKTNYLLFMIHAFQSLEDEIVSGTVLKLVSLQLW >DRNTG_03171.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19595445:19595920:1 gene:DRNTG_03171 transcript:DRNTG_03171.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILEVSQYLENYLWPNFNPETATLEHVMSMILMVNEKFRENVAAWICFHDRKDAFRGFLGSVLRLKEE >DRNTG_16447.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17449698:17453810:-1 gene:DRNTG_16447 transcript:DRNTG_16447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPPMEVLFLRIVFVFFSICFMVGTKIVCWNCRGLSSKATVNRIRHLMQTLHPSLVYLVEARANADRADRFCAMFTRNWNWAALLADGFSGVIILWDKQLGQVSPIAVSRRTLHLVISSSRFTSCIISVIYNSNHFISQQKLWVELSKISALSFPWLIIGDLNTIVCPNEHRSGSFRYYSCKASALTDFIDSNNLIDLNFSGCSFSSYSLVHLPRISSDHAPLFLSLSLLPSRHKINFKFSNFWLEYLGCIDAVREAWSSSPNGNPLHAFTHRLSRARTNINSWRSAALTPLDAAIKETEDPIPTYYLFVLPIPDSVLCEISKIVRSFFWHKSGNGKGIHAVSWSRITMAKSEGGLAHRNLAITKHSLKAKHVFNYLNKADITWVNIVQLKYGHVNFWKDSIPCNCSWFFQGLYHGVKFGL >DRNTG_32353.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9573891:9574339:-1 gene:DRNTG_32353 transcript:DRNTG_32353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRRPSNLSKALPKPLQTLAAGASKDGERWKKR >DRNTG_09025.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31263267:31266261:-1 gene:DRNTG_09025 transcript:DRNTG_09025.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR45a [Source:Projected from Arabidopsis thaliana (AT1G07350) UniProtKB/Swiss-Prot;Acc:Q84TH4] MSYSRRSRYASRSPSPYGDARSGSSSLSRSRSRSPDFSDVENPGNNLYVTGLSSRLTKDELEKHFATEGKVSDVHLVVDPWSRESRGFGFVTMETIEDANRCLKYLDGSVLEGRAITVEKCFWKQASCA >DRNTG_09025.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31263267:31266261:-1 gene:DRNTG_09025 transcript:DRNTG_09025.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR45a [Source:Projected from Arabidopsis thaliana (AT1G07350) UniProtKB/Swiss-Prot;Acc:Q84TH4] MSYSRRSRYASRSPSPYGDARSGSSSLSRSRSRSPDFSDVENPGNNLYVTGLSSRLTKDELEKHFATEGKVSDVHLVVDPWSRESRGFGFVTMETIEDANRCLKYLDGSVLEGRAITVEKARRRRGRTPTPGRYLGARTFHSRRRSPSDSRCYRSPSYTCERDRSYSPYYRRYRSYSGSRSPYYRRGSVSPVNETSFLLS >DRNTG_09025.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31263267:31265702:-1 gene:DRNTG_09025 transcript:DRNTG_09025.15 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR45a [Source:Projected from Arabidopsis thaliana (AT1G07350) UniProtKB/Swiss-Prot;Acc:Q84TH4] MSYSRRSRYASRSPSPYGDARSGSSSLSRSRSRSPDFSDVENPGNNLYVTGLSSRLTKDELEKHFATEGKVSDVHLVVDPWSRESRGFGFVTMETIEDANRCLKYLDGSVLEGRAITVEKCFWKQASCA >DRNTG_09025.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31263267:31266261:-1 gene:DRNTG_09025 transcript:DRNTG_09025.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR45a [Source:Projected from Arabidopsis thaliana (AT1G07350) UniProtKB/Swiss-Prot;Acc:Q84TH4] MSYSRRSRYASRSPSPYGDARSGSSSLSRSRSRSPDFSDVENPGNNLYVTGLSSRLTKDELEKHFATEGKVSDVHLVVDPWSRESRGFGFVTMETIEDANRCLKYLDGSVLEGRAITVEKCFWKQASCA >DRNTG_09025.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31263267:31266261:-1 gene:DRNTG_09025 transcript:DRNTG_09025.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR45a [Source:Projected from Arabidopsis thaliana (AT1G07350) UniProtKB/Swiss-Prot;Acc:Q84TH4] MINCCWLMSYSRRSRYASRSPSPYGDARSGSSSLSRSRSRSPDFSDVENPGNNLYVTGLSSRLTKDELEKHFATEGKVSDVHLVVDPWSRESRGFGFVTMETIEDANRCLKYLDGSVLEGRAITVEKARRRRGRTPTPGRYLGARTFHSRRRSPSDSRCYRSPSYTCERDRSYSPYYRRYRSYSGSRSPYYRRGSVSPVNETSFLLS >DRNTG_09025.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31263267:31266261:-1 gene:DRNTG_09025 transcript:DRNTG_09025.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR45a [Source:Projected from Arabidopsis thaliana (AT1G07350) UniProtKB/Swiss-Prot;Acc:Q84TH4] MSYSRRSRYASRSPSPYGDARSGSSSLSRSRSRSPDFSDVENPGNNLYVTGLSSRLTKDELEKHFATEGKVSDVHLVVDPWSRESRGFGFVTMETIEDANRCLKYLDGSVLEGRAITVEKVKQHFKYHDLVHSIIKLEFVH >DRNTG_09025.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31263267:31266261:-1 gene:DRNTG_09025 transcript:DRNTG_09025.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR45a [Source:Projected from Arabidopsis thaliana (AT1G07350) UniProtKB/Swiss-Prot;Acc:Q84TH4] MSYSRRSRYASRSPSPYGDARSGSSSLSRSRSRSPDFSDVENPGNNLYVTGLSSRLTKDELEKHFATEGKVSDVHLVVDPWSRESRGFGFVTMETIEDANRCLKYLDGSVLEGRAITVEKARRRRGRTPTPGRYLGARTFHSRRRSPSDSRCYRSPSYTCERDRSYSPYYRRYRSYSGSRSPYYRRGSVSPVNETSFLLS >DRNTG_09025.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31263267:31266261:-1 gene:DRNTG_09025 transcript:DRNTG_09025.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR45a [Source:Projected from Arabidopsis thaliana (AT1G07350) UniProtKB/Swiss-Prot;Acc:Q84TH4] MSYSRRSRYASRSPSPYGDARSGSSSLSRSRSRSPDFSDVENPGNNLYVTGLSSRLTKDELEKHFATEGKVSDVHLVVDPWSRESRGFGFVTMETIEDANRCLKYLDGSVLEGRAITVEKVKQHFKYHDLVHSIIKLEFVH >DRNTG_09025.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31263267:31266261:-1 gene:DRNTG_09025 transcript:DRNTG_09025.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR45a [Source:Projected from Arabidopsis thaliana (AT1G07350) UniProtKB/Swiss-Prot;Acc:Q84TH4] MSYSRRSRYASRSPSPYGDARSGSSSLSRSRSRSPDFSDVENPGNNLYVTGLSSRLTKDELEKHFATEGKVSDVHLVVDPWSRESRGFGFVTMETIEDANRCLKYLDGSVLEGRAITVEKVKQHFKYHDLVHSIIKLEFVH >DRNTG_09025.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31263267:31265702:-1 gene:DRNTG_09025 transcript:DRNTG_09025.14 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR45a [Source:Projected from Arabidopsis thaliana (AT1G07350) UniProtKB/Swiss-Prot;Acc:Q84TH4] MSYSRRSRYASRSPSPYGDARSGSSSLSRSRSRSPDFSDVENPGNNLYVTGLSSRLTKDELEKHFATEGKVSDVHLVVDPWSRESRGFGFVTMETIEDANRCLKYLDGSVLEGRAITVEKVKQHFKYHDLVHSIIKLEFVH >DRNTG_09025.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31263267:31266261:-1 gene:DRNTG_09025 transcript:DRNTG_09025.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR45a [Source:Projected from Arabidopsis thaliana (AT1G07350) UniProtKB/Swiss-Prot;Acc:Q84TH4] MSYSRRSRYASRSPSPYGDARSGSSSLSRSRSRSPDFSDVENPGNNLYVTGLSSRLTKDELEKHFATEGKVSDVHLVVDPWSRESRGFGFVTMETIEDANRCLKYLDGSVLEGRAITVEKCFWKQASCA >DRNTG_09025.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31263267:31266261:-1 gene:DRNTG_09025 transcript:DRNTG_09025.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR45a [Source:Projected from Arabidopsis thaliana (AT1G07350) UniProtKB/Swiss-Prot;Acc:Q84TH4] MINCCWLMSYSRRSRYASRSPSPYGDARSGSSSLSRSRSRSPDFSDVENPGNNLYVTGLSSRLTKDELEKHFATEGKVSDVHLVVDPWSRESRGFGFVTMETIEDANRCLKYLDGSVLEGRAITVEKVKQHFKYHDLVHSIIKLEFVH >DRNTG_09025.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31263267:31266261:-1 gene:DRNTG_09025 transcript:DRNTG_09025.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR45a [Source:Projected from Arabidopsis thaliana (AT1G07350) UniProtKB/Swiss-Prot;Acc:Q84TH4] MSYSRRSRYASRSPSPYGDARSGSSSLSRSRSRSPDFSDVENPGNNLYVTGLSSRLTKDELEKHFATEGKVSDVHLVVDPWSRESRGFGFVTMETIEDANRCLKYLDGSVLEGRAITVEKCFWKQASCA >DRNTG_09025.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31263267:31266261:-1 gene:DRNTG_09025 transcript:DRNTG_09025.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR45a [Source:Projected from Arabidopsis thaliana (AT1G07350) UniProtKB/Swiss-Prot;Acc:Q84TH4] MSYSRRSRYASRSPSPYGDARSGSSSLSRSRSRSPDFSDVENPGNNLYVTGLSSRLTKDELEKHFATEGKVSDVHLVVDPWSRESRGFGFVTMETIEDANRCLKYLDGSVLEGRAITVEKCFWKQASCA >DRNTG_09025.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31263267:31266261:-1 gene:DRNTG_09025 transcript:DRNTG_09025.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR45a [Source:Projected from Arabidopsis thaliana (AT1G07350) UniProtKB/Swiss-Prot;Acc:Q84TH4] MSYSRRSRYASRSPSPYGDARSGSSSLSRSRSRSPDFSDVENPGNNLYVTGLSSRLTKDELEKHFATEGKVSDVHLVVDPWSRESRGFGFVTMETIEDANRCLKYLDGSVLEGRAITVEKARRRRGRTPTPGRYLGARTFHSRRRSPSDSRCYRSPSYTCERDRSYSPYYRRYRSYSGSRSPYYRRGSVSPVNETSFLLS >DRNTG_17309.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31876629:31879725:-1 gene:DRNTG_17309 transcript:DRNTG_17309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSAGIWDQKSEFEVVKDWNGISQVVLRSPRGASARVSLHGGQVISWRNDRGEELLFTSSKAIFKPPKAMRGGIPICFPQFGNCGSLEQHGFARNKMWSIDNDPPPLHPSDSDGKVFVDLLLSPAEEDLKCWPHCFEFRLRVSLGMNGDLAMISRIRNIDAKPFNFSFAYHTYLSVSDISEVRIEGLETLDYLDNLCQRERFTEQGDAITFESEVDRIYLGSPNIIAVIDHEKKRTFVIKKEGLPDVVVWNPWEKKSKSMTDLGDEEYKQMLCVDGAAIERQITLKPGEEWTGRLELSVVPSSYCSDDLDHFRS >DRNTG_16210.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30856491:30858157:-1 gene:DRNTG_16210 transcript:DRNTG_16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRGRPRRGPANPPPEPMQEEQEAPDQSDEEVQQEELPDPASMTDIMREVVLLLRAQRQQHTPGGGRDLSAEFRRHAPPLFSGTTDPTVAGYWVSQIERTFRAMQCPDRDKVRLATFMLQDSAAQWFENELRLKGESSFRTWKQFKEAFYAKYFSMSRRAQMERQFLSLRQGSLSVEEYEAEFDRLSQFATTLVSDESSRSRRFVDGLKTHIRRAIVPFLNQTYAEIVDIAKNLEITWQETQDQGRHEHPRHRQNPRKSQSSGSSSGHSRGEHRSQPYSRPPSSSSGSGGRRSFGSVAQAVQCPTCGGGHSQAECRRAVGACYRCGSRDHFVAQCPQSPPWPQGGDRTRSAPVEQPRSSDGSRHTGAPGRSQQSASRGRPGKAPMMHRPSSSS >DRNTG_15328.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15593289:15597334:-1 gene:DRNTG_15328 transcript:DRNTG_15328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQLVFFASSKIPKSFLSTLPKECLSKVCAFNAKLVTDIGVGLEVTRTKGIVGRFSSDHIARGIQHVMIDEERVSIRRKSKEMAKIITNRVEKEEIDVLVQKMVAPNVEKEKI >DRNTG_01272.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:10521382:10522644:-1 gene:DRNTG_01272 transcript:DRNTG_01272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKWSQIVFEIFGAKAWEKAKPPCVPQAVVAMPWSSFIVPPISTVASLGTIRGCLHPSLRGRGFGSKGRNDSLACHGKGRLGDEDRKKDQGDETLRRIHSRRSGGAS >DRNTG_12781.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000572.1:57284:59337:-1 gene:DRNTG_12781 transcript:DRNTG_12781.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHGIAYSLSQESCHHLHSRKHHRACITTELKYSPSTSREEDLVRKPVGSQAKYRGDDDMMNDLNEMILGTKHCTRNTNAQFNWHLLNKHPSLIKPPSIANEIDHHSIVFYSDQRKAVGHSTGHS >DRNTG_16477.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1965133:1966244:-1 gene:DRNTG_16477 transcript:DRNTG_16477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNIPEIAKCPPNLLPSKQWEEAFLSEFLEIRKAFSGLENPCNQLFNSEISQNSCKKFEGKQWPEGT >DRNTG_32458.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2428183:2429489:-1 gene:DRNTG_32458 transcript:DRNTG_32458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRDCGGAGEPEKKVGEYVISARRMAARKALSRSANPKIVRISFADPDATEESSSEDDEEEKEVRRERRRFVHEIGIEVAEEPPRRRRPVTKREAGRVVEPVERKRFRGVRRRPWGRWAAEIRDPTQRKRVWLGTFDTAEEAAFVYDSAAVRLKGDKAVTNFPTSKASATAAVTAEVSVTATATGTVESGEEVSKDDGSAAFPSPTSVLRYGVEETPFDCLVYGDVDAFGLSVEETPLNLTEFGWPETQCWGEVEFGEFDAADFSLEVVTF >DRNTG_23032.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3255354:3258798:1 gene:DRNTG_23032 transcript:DRNTG_23032.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECLNGSSLRNLGWEHSPILNWNAHADRLHLETRKLGFLSCASASFPWSGKIRVSMYPINRFFGKQSSWRVFAAHVGLDGDPSSLSHEDQLSNIDEHAQRDDDDASNGVLPKKLGPDELKLLLVDSERAKLIRKLSEANQYNRFLKRQLQINDTALVDIKDKLASLESELQVLVALAEEIADSGVQPGTRKISGKYIHSHLVSRLEAMHEKIKNGLTAVDSVKVEEIFLYWIGMAESVQVMGSFDGWSRGEEMSPEYNGAYALFSTTLKLRPGRYEIKFLVDGEWKLSPELPTVGEGLLKNNLLIV >DRNTG_23032.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3255354:3258798:1 gene:DRNTG_23032 transcript:DRNTG_23032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLNGSSLRNLGWEHSPILNWNAHADRLHLETRKLGFLSCASASFPWSGKIRVSMYPINRFFGKQSSWRVFAAHVGLDGDPSSLSHEDQLSNIDEHAQRDDDDASNGVLPKKLGPDELKLLLVDSERAKLIRKLSEANQYNRFLKRQLQINDTALVDIKDKLASLESELQVLVALAEEIADSGVQPGTRKISGKYIHSHLVSRLEAMHEKIKNGLTAVDSVKVEEIFLYWIGMAESVQVMGSFDGWSRGEEMSPEYNGAYALFSTTLKLRPGRYEIKFLVDGEWKLSPELPTVGEGLLKNNLLIV >DRNTG_26649.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:281037:282841:1 gene:DRNTG_26649 transcript:DRNTG_26649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKARELEAFDQQNHGAVPQYNGKSHISDKNGFHGANSVRVTSYEEEALRNMKAFWLPSATPEAPVKIDAPSTSTICPEGKEKLTLKSLFPIYLTDESNGQTKKSKSLENNFICPSCKVTITNTTTLVAISTCGHVFCKKCSDRFLAVDKVCLVCNKECKERHLVTLEKGGTGFAGHGDHLQASDFKHLGSGSGLGLVRPATKT >DRNTG_21654.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001224.1:42541:45857:1 gene:DRNTG_21654 transcript:DRNTG_21654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSREMEREYSLTATLASSSMNSRSRGEIPYSSSVSSLSSSENPPSWLEAGGTIGKGFFKQQELTFFREE >DRNTG_08174.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1669279:1674763:1 gene:DRNTG_08174 transcript:DRNTG_08174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRRYSGNFLVNLLGKWKESEYSGGQFIPVGGIAYYITAPSSLADMAANPFHALFYIVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGL >DRNTG_35458.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3685689:3691547:1 gene:DRNTG_35458 transcript:DRNTG_35458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPQPPPDCITDDFFEQILSMPSYAGTDPSAAGIGLQLNSGEGSAASLASASGGYSGSLFPLGLSLEQGRSGFGVADDGSGGGKRFRDENNDKAAMKPELASFFPAFGHVQAHQIRPNPPPQMFNAKVTNIGVASVAPLQPPAPRPRVRARRGQATDPHSIAERLRRERIAERMRALQELLPNSNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPVSSVEGDTSESTNKQQQVWEKWSTDGTEKQVAKLMEEDIGAAMQFLQSKALCIMPVSLASAIYHSNHQPDSPTVVKPEPNPSS >DRNTG_20695.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1672957:1674601:-1 gene:DRNTG_20695 transcript:DRNTG_20695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRASLALSLRSPAPEPFPFGGDGAVVALPSLSSVLRLSKKGPRGGALVVMAADSRPLSGVIFEPFEELKAETAPLVPLSPHESLARQCYSHDCETAVNDQINVEYNVSYVYHALYAYFDRDNVALKGFAKFFKESSEEEREHAEKLMKYQNKRGGRVKLHSIVRPPSEFDHPEKGEALHGKLLTMNAVYFRFQCAKLVLFD >DRNTG_10261.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20036690:20038702:1 gene:DRNTG_10261 transcript:DRNTG_10261.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKFFRFLKIVGVGFKARAETEGRQLFLKLGYSHEVELPVPPAVRVFCFKPNIICCTGLDKQRVHQFAATVRSCKPPEVYKGKGILYIDEVVKKKQGKKSK >DRNTG_10261.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20036690:20038584:1 gene:DRNTG_10261 transcript:DRNTG_10261.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKFFRFLKIVGVGFKARAETEGRQLFLKLGYSHEVELPVPPAVRVFCFKPNIICCTGLDKQRVHQFAATVRSCKPPEVYKGKGILYIDEVVKKKQGKKSK >DRNTG_10261.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20036690:20038584:1 gene:DRNTG_10261 transcript:DRNTG_10261.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKFFRFLKIVGVGFKARAETEGRQLFLKLGYSHEVELPVPPAVRVFCFKPNIICCTGLDKQRVHQFAATVRSCKPPEVYKGKGILYIDEVVKKKQGKKSK >DRNTG_10261.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20036690:20038702:1 gene:DRNTG_10261 transcript:DRNTG_10261.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKFFRFLKIVGVGFKARAETEGRQLFLKLGYSHEVELPVPPAVRVFCFKPNIICCTGLDKQRVHQFAATVRSCKPPEVYKGKGILYIDEVVKKKQGKKSK >DRNTG_10261.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20036690:20038671:1 gene:DRNTG_10261 transcript:DRNTG_10261.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKFFRFLKIVGVGFKARAETEGRQLFLKLGYSHEVELPVPPAVRVFCFKPNIICCTGLDKQRVHQFAATVRSCKPPEVYKGKGILYIDEVVKKKQGKKSK >DRNTG_10261.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20036690:20038671:1 gene:DRNTG_10261 transcript:DRNTG_10261.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKFFRFLKIVGVGFKARAETEGRQLFLKLGYSHEVELPVPPAVRVFCFKPNIICCTGLDKQRVHQFAATVRSCKPPEVYKGKGILYIDEVVKKKQGKKSK >DRNTG_10261.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20036667:20038671:1 gene:DRNTG_10261 transcript:DRNTG_10261.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKFFRFLKIVGVGFKARAETEGRQLFLKLGYSHEVELPVPPAVRVFCFKPNIICCTGLDKQRVHQFAATVRSCKPPEVYKGKGILYIDEVVKKKQGKKSK >DRNTG_20805.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22078718:22079140:1 gene:DRNTG_20805 transcript:DRNTG_20805.3 gene_biotype:protein_coding transcript_biotype:protein_coding VLSNAVYKSVEHRVIVNAEVERISIAFFYNPKSDLPVGPARQLITPDRPPLYRSMTFNEYRLYIRKKGPRGKTQVESLKAA >DRNTG_20805.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22077909:22079140:1 gene:DRNTG_20805 transcript:DRNTG_20805.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMSLSLGLDVGDFQEAFGGEEVGACMRVNYYPKCPQPELTLGLSPHSDPGGLTVLLPDHHVQGLQVRKAGSWVTVQPFPNSFIVNIGDQIQVLSNAVYKSVEHRVIVNAEVERISIAFFYNPKSDLPVGPARQLITPDRPPLYRSMTFNEYRLYIRKKGPRGKTQVESLKAA >DRNTG_20805.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22077165:22079140:1 gene:DRNTG_20805 transcript:DRNTG_20805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLDAWPEPVVAVQSLAEAGIETIPKNYIKPESERPSLTDVSSPNTLQIPVIDLGGLAEGVVECRATMKAISDACRDWGFFQVVNHGVSVELMSKMKEIWRAFFHLPMDQKQSYANSPTTYEGYGSRLGVDKDSILDWGDYFYLHLLPFAIKNPNKWPSLPSSIRETIEEYGSEVVKLCELLMKVMSLSLGLDVGDFQEAFGGEEVGACMRVNYYPKCPQPELTLGLSPHSDPGGLTVLLPDHHVQGLQVRKAGSWVTVQPFPNSFIVNIGDQIQVLSNAVYKSVEHRVIVNAEVERISIAFFYNPKSDLPVGPARQLITPDRPPLYRSMTFNEYRLYIRKKGPRGKTQVESLKAA >DRNTG_32042.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14557265:14562571:-1 gene:DRNTG_32042 transcript:DRNTG_32042.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSATNSSPTSPNGSSAHPPLTTSPVSPTSPPTSLSF >DRNTG_07840.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:55889:57255:-1 gene:DRNTG_07840 transcript:DRNTG_07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCTRDLSDSDCAKCLNQAVGVVRGYCSGNIGCQALSMSCTARYETYPFFNVSWLAPSPAPPPVPPFLENNTNSISPAPTIGSGSKTPGNSPNGRKTRNTVRLVVVIVIPLVAAFVLLSGAYFWLQRRRVSKRIVKRAPTELYHYEITSLKREEKLLVYEYLPNTSLDTILFDPIRCKQLDWAKRYNITKGISRGLLYLHEDSRLRIIHRDLKASNILLDQDMNPKISDFGLAKLFGVDETQNTSRIVGT >DRNTG_03243.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17969892:17974461:-1 gene:DRNTG_03243 transcript:DRNTG_03243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVGSWDQEIWLHPLGLSKTRRLMGLEVVRNVIRTQSSQESGSCSTISSTTSATFNITEILSKYPDFSSFNDLLSVTGVADQINSRQSITILAVDDSGIDAVSGRPVDVVKRILSVHVILDYFDAAKLEKLSGKTTILTTLFQSSGIAANKAGFLNVTDMEDELVSFGSAVPGAGIGANLVKEVFTKPYDLSILQVSDIIVPPGIENLQSSSPTNSPATPPPNSATTTTTTTTTTASPPTNAPSSKIGTTTTTTAPPPTNAPPPKTATTTTTTASAAASPPANAPPPKTGTTTTTAAPTKSSSPAAAPTKSTSGTPSSKSAPAPTKTSPAPAKSAAAPTTTTSPAPSSGDSTALTPSSNTAASPTSEATSPTTTDIAGGPTFEASSPGPATDNSGAARMVAGASLAVAMTFFAAF >DRNTG_20651.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20386803:20389116:-1 gene:DRNTG_20651 transcript:DRNTG_20651.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGSNGLIGIVNFVTFLISIPILGGGIWLSSRANSTECLRFLQWPLIIIGVTVMVISLMGFAGACYKLTWLMWLYLFAMFFVVAALLGFIVFAFAVTDRGHGEVVVSRKFLEYQLSDYSGWLKERVSDPQYWSKISSCLRDAKVCKGMARYVRDPATGMIVQESSDMFSQRDLSPIESGCCKPPTSCGFVYRNETYWDQSSTMMLGDPDCTRWSNDQQQLCYQCDSCKAGVLASIRHSWRKVSVINIIMLIILVIFYVVGCAAFRNNRRIDNSEPYSESRMTKTRPSRFQF >DRNTG_20651.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20386803:20389116:-1 gene:DRNTG_20651 transcript:DRNTG_20651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGSNGLIGIVNFVTFLISIPILGGGIWLSSRANSTECLRFLQWPLIIIGVTVMVISLMGFAGACYKLTWLMWLYLFAMFFVVAALLGFIVFAFAVTDRGHGEVVVSRKFLEYQLSDYSGWLKERVSDPQYWSKISSCLRDAKVCKGMARYVRDPATGMIVQESSDMFSQRDLSPIESGCCKPPTSCGFVYRNETYWDQSSTMMLGDPDCTRWSNDQQQLCYQCDSCKAGVLASIRHSWRKVSVINIIMLIILVIFYVVGCAAFRNNRRIDNSEPYSESRMTKTRPSRFQF >DRNTG_20651.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20386803:20389116:-1 gene:DRNTG_20651 transcript:DRNTG_20651.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGSNGLIGIVNFVTFLISIPILGGGIWLSSRANSTECLRFLQWPLIIIGVTVMVISLMGFAGACYKLTWLMWLYLFAMFFVVAALLGFIVFAFAVTDRGHGEVVVSRKFLEYQLSDYSGWLKERVSDPQYWSKISSCLRDAKVCKGMARYVRDPATGMIVQESSDMFSQRDLSPIESGCCKPPTSCGFVYRNETYWDQSSTMMLGDPDCTRWSNDQQQLCYQCDSCKAGVLASIRHSWRKVSVINIIMLIILVIFYVVGCAAFRNNRRIDNSEPYSESRMTKTRPSRFQF >DRNTG_34108.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002087.1:67907:74180:-1 gene:DRNTG_34108 transcript:DRNTG_34108.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGETGKGPSSSNSLQRVKLYRLNGDGKWDDQGTGHVSIDFLERSENLGLIVVDEEDNDTILMHPISSNEMYRRQEETIISWQDSETEVALSFQEASCCSYIWDHICGVQRNLHFSALSNLDVGPRPTIGTLEAAGCSHSNDESFNSANNELRELPSVEMSTLPLLLKTVLECGVTDQIRVSELILQDPDFFPKLLDLFNMSEDLENVDDLHMIFRLVKGIILLNSLQIFDRIFRDEFILDIIGCLECRFLTHLLDPMQF >DRNTG_34108.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002087.1:59581:74180:-1 gene:DRNTG_34108 transcript:DRNTG_34108.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGETGKGPSSSNSLQRVKLYRLNGDGKWDDQGTGHVSIDFLERSENLGLIVVDEEDNDTILMHPISSNEMYRRQEETIISWQDSETEVALSFQEASCCSYIWDHICGVQRNLHFSALSNLDVGPRPTIGTLEAAGCSHSNDESFNSANNELRELPSVEMSTLPLLLKTVLECGVTDQIRVSELILQDPDFFPKLLDLFNMSEDLENVDDLHMIFRLVKGIILLNSLQIFDRIFRDEFILDIIGCLEYDPEVPQVQRHRAFLKEHVIFKEAIPIKDPFVLSKIHQTYRIGYIKDVILPRVLDEGTIATLNGIITANNAAVVSLLKDDNTFIQELFARMRSDSIPHESKRNLVLFLHEFCSLSRSLPLVQQLQLFRWVIH >DRNTG_34108.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002087.1:59581:74180:-1 gene:DRNTG_34108 transcript:DRNTG_34108.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGETGKGPSSSNSLQRVKLYRLNGDGKWDDQGTGHVSIDFLERSENLGLIVVDEEDNDTILMHPISSNEMYRRQEETIISWQDSETEVALSFQEASCCSYIWDHICGVQRNLHFSALSNLDVGPRPTIGTLEAAGCSHSNDESFNSANNELRELPSVEMSTLPLLLKTVLECGVTDQIRVSELILQDPDFFPKLLDLFNMSEDLENVDDLHMIFRLVKGIILLNSLQIFDRIFRDEFILDIIGCLEYDPEVPQVQRHRAFLKEHVIFKEAIPIKDPFVLSKIHQTYRIGYIKDVILPRVLDEGTIATLNGIITANNAAVVSLLKDDNTFIQELFARMRSDSIPHESKRNLVLFLHEFCSLSRSLPLVQQLQLFRDLANEGVFDIITNTLQSNDRKLLLTGTDILILFLNQDPVLLRSYIIQQEGHTLLGLLVKGMMNDFGEEMHCQFLEIVRILLDTYSLTGSQRDIIIVEIFYEKHLDQLMDVIASSCPPKVSYGRLHPHAATKPEILSNICELLCFCVLHHPYRIKSSFLSNNAIEKVLSLTHRREKSLVIAAVRFMRAIISRNDEHLHCHIVKNNLLKPIMDVFFENGNRYNMLHSVVLELFEHIHKKMCRRTIKH >DRNTG_34108.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002087.1:59581:74180:-1 gene:DRNTG_34108 transcript:DRNTG_34108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETGKGPSSSNSLQRVKLYRLNGDGKWDDQGTGHVSIDFLERSENLGLIVVDEEDNDTILMHPISSNEMYRRQEETIISWQDSETEVALSFQEASCCSYIWDHICGVQRNLHFSALSNLDVGPRPTIGTLEAAGCSHSNDESFNSANNELRELPSVEMSTLPLLLKTVLECGVTDQIRVSELILQDPDFFPKLLDLFNMSEDLENVDDLHMIFRLVKGIILLNSLQIFDRIFRDEFILDIIGCLEYDPEVPQVQRHRAFLKEHVIFKEAIPIKDPFVLSKIHQTYRIGYIKDVILPRVLDEGTIATLNGIITANNAAVVSLLKDDNTFIQELFARMRSDSIPHESKRNLVLFLHEFCSLSRSLPLVQQLQLFRDLANEGVFDIITNTLQSNDRKLLLTGTDILILFLNQDPVLLRSYIIQQEGHTLLGLLVKGMMNDFGEEMHCQFLEIVRILLDTYSLTGSQRDIIIVEIFYEKHLDQLMDVIASSCPPKVSYGRLHPHAATKPEILSNICELLCFCVLHHPYRIKSSFLSNNAIEKVLSLTHRREKSLVIAAVRFMRAIISRNDEHLHCHIVKNNLLKPIMDVFFENGNRYNMLHSVVLELFEHIHKENYKTLIVYIFDSFWERLMKFQHLGVYQSF >DRNTG_34108.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002087.1:59581:74180:-1 gene:DRNTG_34108 transcript:DRNTG_34108.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGETGKGPSSSNSLQRVKLYRLNGDGKWDDQGTGHVSIDFLERSENLGLIVVDEEDNDTILMHPISSNEMYRRQEETIISWQDSETEVALSFQEASCCSYIWDHICGVQRNLHFSALSNLDVGPRPTIGTLEAAGCSHSNDESFNSANNELRELPSVEMSTLPLLLKTVLECGVTDQIRVSELILQDPDFFPKLLDLFNMSEDLENVDDLHMIFRLVKGIILLNSLQIFDRIFRDEFILDIIGCLEYDPEVPQVQRHRAFLKEHVIFKEAIPIKDPFVLSKIHQTYRIGYIKDVILPRVLDEGTIATLNGIITANNAAVVSLLKDDNTFIQELFARMRSDSIPHESKRNLVLFLHEFCSLSRSLPLVQQLQLFRVMIESFY >DRNTG_34108.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002087.1:66940:74180:-1 gene:DRNTG_34108 transcript:DRNTG_34108.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGETGKGPSSSNSLQRVKLYRLNGDGKWDDQGTGHVSIDFLERSENLGLIVVDEEDNDTILMHPISSNEMYRRQEETIISWQDSETEVALSFQEASCCSYIWDHICGVQRNLHFSALSNLDVGPRPTIGTLEAAGCSHSNDESFNSANNELRELPSVEMSTLPLLLKTVLECGVTDQIRVSELILQDPDFFPKLLDLFNMSEDLENVDDLHMIFRLVKGIILLNSLQIFDRIFRDEFILDIIGCLECRFLTHLLDPMQF >DRNTG_34108.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002087.1:59581:74180:-1 gene:DRNTG_34108 transcript:DRNTG_34108.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGETGKGPSSSNSLQRVKLYRLNGDGKWDDQGTGHVSIDFLERSENLGLIVVDEEDNDTILMHPISSNEMYRRQEETIISWQDSETEVALSFQEASCCSYIWDHICGVQRNLHFSALSNLDVGPRPTIGTLEAAGCSHSNDESFNSANNELRELPSVEMSTLPLLLKTVLECGVTDQIRVSELILQDPDFFPKLLDLFNMSEDLENVDDLHMIFRLVKGIILLNSLQIFDRIFRDEFILDIIGCLEYDPEVPQVQRHRAFLKEHVIFKEAIPIKDPFVLSKIHQTYRIGYIKDVILPRVLDEGTIATLNGIITANNAAVVSLLKDDNTFIQELFARMRSDSIPHESKRNLVLFLHEFCSLSRSLPLVQQLQLFRWVIH >DRNTG_34108.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002087.1:61334:74180:-1 gene:DRNTG_34108 transcript:DRNTG_34108.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGETGKGPSSSNSLQRVKLYRLNGDGKWDDQGTGHVSIDFLERSENLGLIVVDEEDNDTILMHPISSNEMYRRQEETIISWQDSETEVALSFQEASCCSYIWDHICGVQRNLHFSALSNLDVGPRPTIGTLEAAGCSHSNDESFNSANNELRELPSVEMSTLPLLLKTVLECGVTDQIRVSELILQDPDFFPKLLDLFNMSEDLENVDDLHMIFRLVKGIILLNSLQIFDRIFRDEFILDIIGCLEYDPEVPQVQRHRAFLKEHVIFKEAIPIKDPFVLSKIHQTYRIGYIKDVILPRVLDEGTIATLNGIITANNAAVVSLLKDDNTFIQELFARMRSDSIPHESKRNLVLFLHEFCSLSRSLPLVQQLQLFRDLANEGVFDIITNTLQSNDRKLLLTGTDILILFLNQDPVLLRSYIIQQEGHTLLGLLVKGMMNDFGEEMHCQFLEIVRILLDTYSLTGSQRDIIIVEIFYEKHLDQLMDVIASSCPPKVSYGRLHPHAATKPEILSNICELLCFCVLHHPYRIKSSFLSNNAIEKVLSLTHRREKSLVIAAVRFMRAIISRNDEHLHCHIVKNNLLKPIMDVFFENGNRYNMLHSVVLELFEHIHK >DRNTG_22420.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23298433:23300423:1 gene:DRNTG_22420 transcript:DRNTG_22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPNSQSLAARMLVIGAGKMGKLVIKHLAAKGCKKVVVVNRSVERVDAIREELKEIEIIYRPFTEMLSAAAEADVVFTSTASETPLFMKEDVETLPQVSKLVGGLRLFLDISVPRNVGSCVSGVESAQVYNVDDLKEVVEANKEDRLRKAMEAESIITEELKRFEAWRDSLETVPTIKKLRSYADRIRAAELEKCFQKIGDDALTKKIRRAVDDLSNGIVNKLLHGPLQHLRCDGSDSRTLDETLENMHALNRMFSLDTEKSIIEQKIKAKVEKAQN >DRNTG_35152.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1267258:1268263:1 gene:DRNTG_35152 transcript:DRNTG_35152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHHTSISNGDSTQKLGQLLIIPYCQLDVSGHYSGLLVISSRIASKLQHLRSKVLKNGSEIDRGTSTNTLGILAGLEEPCYPPNGELKPSFATPRSRLLRSSGSDGLPLPAMASTRSRVRVSVSNNK >DRNTG_03537.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:252590:254553:1 gene:DRNTG_03537 transcript:DRNTG_03537.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVVKKKMNQMIHSMKRLKLQSLWISLSLMIIGIGAMILLGFVFVLDVIKCMKWLILKHFTRVLFFG >DRNTG_03537.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:254164:254553:1 gene:DRNTG_03537 transcript:DRNTG_03537.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLATPSKMSAKKNTNMKGKKQTTIPKEPNFQRIHLPKGCTKRQEQPVK >DRNTG_03286.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8921425:8923737:1 gene:DRNTG_03286 transcript:DRNTG_03286.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSGHSTPPSHRSDPRLRPRSSSRLAHSFDHPIDPSSPINSSLQDLLLLSPPSSFHHPKLLPQPSQDLPEPIPNVIPRKKSKSSNQLPTTCASPRSARRARRRLEKEIIRDDRDFGCGIDDDVAKPRKRRQSTKPKVSRKERMILVSPPPIPPPPPPISIPVPRIVDEDCCSSFDGLLEMILELIMWKNVAKSSFWFGLGSVFFLSSWFSRDFSFSFITAISHLCILVLAIAFFCDSFSRSKQKMRGVFKLTEDDVLRVSRVVLPLANAALVKAQGVFSGEPLMTLKVVPVFIFGAKFGHLITPWRISATGFFLLFTVPKLLACYSQQIHKKAENIRNHICEAWRSCQHKKFAGGSAAILLWNLLSIKARIFVAFFSIVMLRYHHQQLLVGEDKDKKEMPEGEQQEALELVE >DRNTG_03286.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8923058:8923737:1 gene:DRNTG_03286 transcript:DRNTG_03286.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYHHQQLLVGEDKDKKEMPEGEQQEALELVE >DRNTG_03286.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8921425:8923737:1 gene:DRNTG_03286 transcript:DRNTG_03286.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSGHSTPPSHRSDPRLRPRSSSRLAHSFDHPIDPSSPINSSLQDLLLLSPPSSFHHPKLLPQPSQDLPEPIPNVIPRKKSKSSNQLPTTCASPRSARRARRRLEKEIIRDDRDFGCGIDDDVAKPRKRRQSTKPKVSRKERMILVSPPPIPPPPPPISIPVPRIVDEDCCSSFDGLLEMILELIMWKNVAKSSFWFGLGSVFFLSSWFSRDFSFSFITAISHLCILVLAIAFFCDSFSRSSKQKMRGVFKLTEDDVLRVSRVVLPLANAALVKAQGVFSGEPLMTLKVVPVFIFGAKFGHLITPWRISATGFFLLFTVPKLLACYSQQIHKKAENIRNHICEAWRSCQHKKFAGGSAAILLWNLLSIKARIFVAFFSIVMLRYHHQQLLVGEDKDKKEMPEGEQQEALELVE >DRNTG_10468.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3948020:3948411:-1 gene:DRNTG_10468 transcript:DRNTG_10468.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEPRNRREEEAWSWHPRHLLLLLLYLLLYLLLFVFVISPPPPPPLPPIQTQAPTPATIPLLSFSTSSSSSSPSSPPPSSSSPSSPTSSTSSPSSSSLLSPSPSAPSPSSSLPSPSPTSSVPSPSPLPPLS >DRNTG_07206.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27872277:27875974:1 gene:DRNTG_07206 transcript:DRNTG_07206.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKCPNTCWEAGRAARENLKKRIATYQEGVRIRKGDVNKAEQAFDKDEADLAKLKAEEESLSALVQKLKENKERIEKAEEEERKRKEEEKKWMSEESEKVNVQEIAPEETLHSNAEALHAELSASADKPLDAQDDPSLKAEAGAESEAKEVSPEHVTDQVQENVDMEKLSKEELGRLVASRWTGENAAQKMDGDTTIKEEHSDDNNLDMSNSGNVRGDDDDDSYTSGTDADSKYDDDDDDDEDDDDISDDEFEDVDTSRSFNPENDEKAEVSGL >DRNTG_07206.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27873817:27877845:1 gene:DRNTG_07206 transcript:DRNTG_07206.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEESEKVNVQEIAPEETLHSNAEALHAELSASADKPLDAQDDPSLKAEAGAESEAKEVSPEHVTDQVQENVDMEKLSKEELGRLVASRWTGENAAQKMDGDTTIKEEHSDDNNLDMSNSGNVRGDDDDDSYTSGTDADSKYDDDDDDDEDDDDISDDEFEDVDTSRSFNPENDEKAEVSDLTSSSTSSWLEKLQQTVQNVLQAFNFFKTPVEISEGARVRKEYDDLSSKLSKLRSRIARLTDMLKHDFGKEKEFYSFYDHCFESKRNKYTYKVCPFKEAIQVEGHSTTRLGNWDKFEDSYRIMQFSSGAKCWNGPDRSLKVRLRCGLKNELTDIDEPSRCEYKAMLSTPAVCSEEKLQ >DRNTG_07206.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27871963:27878184:1 gene:DRNTG_07206 transcript:DRNTG_07206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMGADLRVSLAIALFSLLWISGFSVVPSKDSLGIAPQDEGYYKSSVIQCKDGSKKFTKEQLNDEFCDCLDGTDEPGTSACPEGKFYCRNAGHIPLTIFSSRVNDGICDCCDGSDEYHSHMKCPNTCWEAGRAARENLKKRIATYQEGVRIRKGDVNKAEQAFDKDEADLAKLKAEEESLSALVQKLKENKERIEKAEEEERKRKEEEKKWMSEESEKVNVQEIAPEETLHSNAEALHAELSASADKPLDAQDDPSLKAEAGAESEAKEVSPEHVTDQVQENVDMEKLSKEELGRLVASRWTGENAAQKMDGDTTIKEEHSDDNNLDMSNSGNVRGDDDDDSYTSGTDADSKYDDDDDDDEDDDDISDDEFEDVDTSRSFNPENDEKAEVSDLTSSSTSSWLEKLQQTVQNVLQAFNFFKTPVEISEGARVRKEYDDLSSKLSKLRSRIARLTDMLKHDFGKEKEFYSFYDHCFESKRNKYTYKVCPFKEAIQVEGHSTTRLGNWDKFEDSYRIMQFSSGAKCWNGPDRSLKVRLRCGLKNELTDIDEPSRCEYKAMLSTPAVCSEEKLQELQQKLEQMNSNQPLPHDEL >DRNTG_07206.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27876074:27878184:1 gene:DRNTG_07206 transcript:DRNTG_07206.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHDFGKEKEFYSFYDHCFESKRNKYTYKVCPFKEAIQVEGHSTTRLGNWDKFEDSYRIMQFSSGAKCWNGPDRSLKVRLRCGLKNELTDIDEPSRCEYKAMLSTPAVCSEEKLQELQQKLEQMNSNQPLPHDEL >DRNTG_07206.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27876251:27878184:1 gene:DRNTG_07206 transcript:DRNTG_07206.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHDFGKEKEFYSFYDHCFESKRNKYTYKVCPFKEAIQVEGHSTTRLGNWDKFEDSYRIMQFSSGAKCWNGPDRSLKVRLRCGLKNELTDIDEPSRCEYKAMLSTPAVCSEEKLQELQQKLEQMNSNQPLPHDEL >DRNTG_07206.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27872507:27878184:1 gene:DRNTG_07206 transcript:DRNTG_07206.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCPNTCWEAGRAARENLKKRIATYQEGVRIRKGDVNKAEQAFDKDEADLAKLKAEEESLSALVQKLKENKERIEKAEEEERKRKEEEKKWMSEESEKVNVQEIAPEETLHSNAEALHAELSASADKPLDAQDDPSLKAEAGAESEAKEVSPEHVTDQVQENVDMEKLSKEELGRLVASRWTGENAAQKMDGDTTIKEEHSDDNNLDMSNSGNVRGDDDDDSYTSGTDADSKYDDDDDDDEDDDDISDDEFEDVDTSRSFNPENDEKAEVSDLTSSSTSSWLEKLQQTVQNVLQAFNFFKTPVEISEGARVRKEYDDLSSKLSKLRSRIARLTDMLKHDFGKEKEFYSFYDHCFESKRNKYTYKVCPFKEAIQVEGHSTTRLGNWDKFEDSYRIMQFSSGAKCWNGPDRSLKVRLRCGLKNELTDIDEPSRCEYKAMLSTPAVCSEEKLQELQQKLEQMNSNQPLPHDEL >DRNTG_34885.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21870767:21881489:-1 gene:DRNTG_34885 transcript:DRNTG_34885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRGRPIFDLNELPTEGEDVNDDVVCINPQKTIPSSNFNTTSLFPPSESSRIANNNAFKHASSVSGFQPFVRCKDQQDLKEDSKQKQDESKPSEARPSISGSHAEDNNTALNSASGALDAQGLEREEGEWSDMEAETDGTGNNSSDKQDEVDLGNGSKPEATEEKVPDPVKISENDSHDSVFHGNSNGDSGNTTNDSKSSVVLVSDCSRNPESGFKGHVAVDGTEEASLPVKPKDIKGVEASHALRVGSNLGRRPKLDEHKEAMLGKKRARQTMFINVEDAKQAGSIKTSTPRRQSSFPAPMITRTVKDTLRASPAAVERIVEHHNMSSGKDQKQSDTSNTEGGAAVDSGNHKVDSNGDYSSGLQAWSKKPIVGDFNMDAHSVSIPRQGSLKQPLDTRQSKSFPVLQRKPNVGGQSIVEHKAGNKKHLPAKKQTADNFQYQDTSVERLLREVTNEKFWHQAEETELQCVPGQFESVEEYVRVFEPLLFEECRAQLYSTWEEFTETVSRDVHIAVRVKTVERRERGWYDAIMFPVQECKWNFKEGDVAVISSPRPCSAIRSNKRNIHAGASEEDVETEVTGRVVGTVRRHIPTDTRDPLGAILHFYVGDSYDCSSKGVDDHIVSKFKPKSIWYLTVLGSLATTQREYIALHAFRRLNSQMQSAILQPSPEHFPKCEEQPPSMPDCFTPNFVDYLHRTFNSPQLAAIQWAAMHTAAGTNNGSTKRQDPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTALLKKLAPESYKQISESTSESIGSSGSVGSIDEVLQSMDKNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQTRAAQAVSVERRTEQLLQKGRDEVLGWMHQLKAREVQFSLQISSFQRELLATAVAGRSQGSVGVDPDVLVARDHNRDILLQNLAAAVEGRDKVLVEMSRLCILESRFSPTNNFNLEDARAKLEASFANEAEVVFTTVSSSGRKLFSASRMDLIWL >DRNTG_34885.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21870767:21879536:-1 gene:DRNTG_34885 transcript:DRNTG_34885.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRGRPIFDLNELPTEGEDVNDDVVCINPQKTIPSSNFNTTSLFPPSESSRIANNNAFKHASSVSGFQPFVRCKDQQDLKEDSKQKQDESKPSEARPSISGSHAEDNNTALNSASGALDAQGLEREEGEWSDMEAETDGTGNNSSDKQDEVDLGNGSKPEATEEKVPDPVKISENDSHDSVFHGNSNGDSGNTTNDSKSSVVLVSDCSRNPESGFKGHVAVDGTEEASLPVKPKDIKGVEASHALRVGSNLGRRPKLDEHKEAMLGKKRARQTMFINVEDAKQAGSIKTSTPRRQSSFPAPMITRTVKDTLRASPAAVERIVEHHNMSSGKDQKQSDTSNTEGGAAVDSGNHKVDSNGDYSSGLQAWSKKPIVGDFNMDAHSVSIPRQGSLKQPLDTRQSKSFPVLQRKPNVGGQSIVEHKAGNKKHLPAKKQTADNFQYQDTSVERLLREVTNEKFWHQAEETELQCVPGQFESVEEYVRVFEPLLFEECRAQLYSTWEEFTETVSRDVHIAVRVKTVERRERGWYDAIMFPVQECKWNFKEGDVAVISSPRPCSAIRSNKRNIHAGASEEDVETEVTGRVVGTVRRHIPTDTRDPLGAILHFYVGDSYDCSSKGVDDHIVSKFKPKSIWYLTVLGSLATTQREYIALHAFRRLNSQMQSAILQPSPEHFPKCEEQPPSMPDCFTPNFVDYLHRTFNSPQLAAIQWAAMHTAAGTNNGSTKRQDPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTALLKKLAPESYKQISESTSESIGSSGSVGSIDEVLQSMDKNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQTRAAQAVSVERRTEQLLQKGRDEVLGWMHQLKAREVQFSLQISSFQRELLATAVAGRSQGSVGVDPDVLVARDHNRDILLQNLAAAVEGRDKVLVEMSRLCILESRFSPTNNFNLEDARAKLEASFANEAEVVFTTVSSSGRKLFSASRMDLIWL >DRNTG_19179.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:120:4504:1 gene:DRNTG_19179 transcript:DRNTG_19179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNENNSRSYSNSKERAHVKRSRKASPRLVYFALLKNL >DRNTG_19179.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:120:4504:1 gene:DRNTG_19179 transcript:DRNTG_19179.15 gene_biotype:protein_coding transcript_biotype:protein_coding MNENNSRSYSNSKERAHVKRSRKASPRLVYFALLKNL >DRNTG_19179.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:120:4504:1 gene:DRNTG_19179 transcript:DRNTG_19179.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNENNSRSYSNSKERAHVKRSRKASPRLVYFALLKNL >DRNTG_19179.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:120:4504:1 gene:DRNTG_19179 transcript:DRNTG_19179.12 gene_biotype:protein_coding transcript_biotype:protein_coding MNENNSRSYSNSKERAHVKRSRKASPRLVYFALLKNL >DRNTG_19179.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:120:4504:1 gene:DRNTG_19179 transcript:DRNTG_19179.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNENNSRSYSNSKERAHVKRSRKASPRLVYFALLKNL >DRNTG_19179.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:120:4504:1 gene:DRNTG_19179 transcript:DRNTG_19179.10 gene_biotype:protein_coding transcript_biotype:protein_coding MNENNSRSYSNSKERAHVKRSRKASPRLVYFALLKNL >DRNTG_19179.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:120:4504:1 gene:DRNTG_19179 transcript:DRNTG_19179.8 gene_biotype:protein_coding transcript_biotype:protein_coding MNENNSRSYSNSKERAHVKRSRKASPRLVYFALLKNL >DRNTG_19179.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:120:4504:1 gene:DRNTG_19179 transcript:DRNTG_19179.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNENNSRSYSNSKERAHVKRSRKASPRLVYFALLKNL >DRNTG_19179.16.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:120:1274:1 gene:DRNTG_19179 transcript:DRNTG_19179.16 gene_biotype:protein_coding transcript_biotype:protein_coding MNENNSRSYSNSKERAHVKRSRKASPRLVYFALLKNL >DRNTG_19179.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:120:4504:1 gene:DRNTG_19179 transcript:DRNTG_19179.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNENNSRSYSNSKERAHVKRSRKASPRLVYFALLKNL >DRNTG_19179.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:120:4504:1 gene:DRNTG_19179 transcript:DRNTG_19179.11 gene_biotype:protein_coding transcript_biotype:protein_coding MNENNSRSYSNSKERAHVKRSRKASPRLVYFALLKNL >DRNTG_19179.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:120:4504:1 gene:DRNTG_19179 transcript:DRNTG_19179.13 gene_biotype:protein_coding transcript_biotype:protein_coding MNENNSRSYSNSKERAHVKRSRKASPRLVYFALLKNL >DRNTG_19179.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:120:4504:1 gene:DRNTG_19179 transcript:DRNTG_19179.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNENNSRSYSNSKERAHVKRSRKASPRLVYFALLKNL >DRNTG_19179.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:120:4504:1 gene:DRNTG_19179 transcript:DRNTG_19179.9 gene_biotype:protein_coding transcript_biotype:protein_coding MNENNSRSYSNSKERAHVKRSRKASPRLVYFALLKNL >DRNTG_19179.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:120:4504:1 gene:DRNTG_19179 transcript:DRNTG_19179.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNENNSRSYSNSKERAHVKRSRKASPRLVYFALLKNL >DRNTG_19179.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:120:4504:1 gene:DRNTG_19179 transcript:DRNTG_19179.14 gene_biotype:protein_coding transcript_biotype:protein_coding MNENNSRSYSNSKERAHVKRSRKASPRLVYFALLKNL >DRNTG_17009.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3798757:3800588:-1 gene:DRNTG_17009 transcript:DRNTG_17009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTKTSKFHGFTKTISHYSSKSNSSSSSSFSSSSSSCLKMITLKLRRLCSRLGRPLHRRPKPQVVIQKLNKIKSNGEQDKSTSFRQLPSIRLATFNAAMFSMAPAVPWADPRPAKLNHIDTDIRGKSTNDRPKSILKQQKLASKSAKLRVSINLPDNEISLGRSKRLGEGDEVSKKAHKGKAPLMAHSLSFPNGEREKEEKIKSDRTVLDVLREVGADIFALQNVRAEEEKGMHPLSDLAEGLGMKYIFAESWAPDYGNAILSKWPIKHWKVQKIFDDTDFRNVLKATIEVPRVGEINLHCTHLDHLDENWRMKQIKAILRPEDGPHILLGGLNSLDESDYSSERWNDIIKYYEEIGKPTPKVEVMKFLKSKEYVDAKNYAGECEAVVVVAKGQDVQGTCKYGTRVDYILASPNSPYEFVPGSYGVISSKRTSDHHIVKVDITISNNREEKQKPRVVKIDKNSSRGIWSVNS >DRNTG_02875.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:8675017:8675745:-1 gene:DRNTG_02875 transcript:DRNTG_02875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHWLSFLWTHCSGLKMGFTPLRTKTTAICGTLCMSCFDWNYENNTIMCDKGAYDEYVRAHKEAAGLYNKSFPFFNDLAPVSTKDTAFGSSARDIGDYSSQYENEVNIILEKGAGLSQMPMDHFFMHMQEPSQEPTESPSPIPSDSSTSKTSRRRKRKRLTLDPTMEQISSNFCSFVDVVGP >DRNTG_34861.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21985451:21986494:-1 gene:DRNTG_34861 transcript:DRNTG_34861.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKGVALSLTSLNHISLVCRSVEESLDFYQNVLGFFPIRRPGSFDFDGAWLFNYGIGIHLLQSENPETMPEKTEINPKDNHVSFQCESMAAVENKLKEMEIHYIQRRVEEGGIFVDQLFFHDPDGFMIEICNCDNLPVIPLAGDTVRVCKTVSLQQKQHHQQQQKPQCHPASIQIMDVV >DRNTG_23440.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21276595:21279389:1 gene:DRNTG_23440 transcript:DRNTG_23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQPRLGFESVRVIAGDAEGFSCRNASRCADLDLRSQGKNLKISDATRCSIGPGTVEPTRGSFEEAPPDKKTRTKTRPAWLVDYEG >DRNTG_34450.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8027182:8028747:-1 gene:DRNTG_34450 transcript:DRNTG_34450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGEITSSVSSIRPKHLKAMVRKELGVFITDKVCRNARSLVIKKIEEQFKEDFKVLNNYALELKTTNPGSSVHIMTERQKLDELPLFKRIYICIAAIREGFINGCRRVIGIDGCFLKGSVKGQILTAVGRDGNNQMFPVAWAVVDKETSETWLWFIELLKVDLLIEDGLGWVVLSDMQKGLQHAVNALLPLIEHRMCARHIYARWGKIHPGKDLQIQFWNVAKSSSQPEMRKQLDRMKSLKGGVKAAEELLERWPISGWCLEFFNDIVKCDVIDNNMCETFNGVILDSRSKPIITMLEDIRQYVMTRVVVKREYCMEMEKCMWP >DRNTG_25206.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20187021:20188258:-1 gene:DRNTG_25206 transcript:DRNTG_25206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGPYFNMRTGRRDSKESYSQDVINSIPNHNDSLSFILSRFQSIGIDAEGTTALLGAHSVGRVHCINVVGRLYPTVDPSIDPIYAEYLKGRCPSPEPDPKAVAYARNDRDTPMIIDNMYYKNLLKHKGLMLVDQQLVTDPSTSQFVEKMAVDNDYFYEKFTEALLLMSENNPVTGDEGEIRKDCRFVN >DRNTG_02640.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000121.1:62863:63288:1 gene:DRNTG_02640 transcript:DRNTG_02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKKLDKMASSCSLCRSSALIFFYLMDVVRANFDFIKKIGLDRWCFHDRDITPDDKTLAESNANLDEVVALAK >DRNTG_15651.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12545727:12546023:-1 gene:DRNTG_15651 transcript:DRNTG_15651.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GLUTAMINE DUMPER 6 [Source:Projected from Arabidopsis thaliana (AT3G30725) UniProtKB/Swiss-Prot;Acc:Q3EAV6] MRPISSTITSNGVRRIWSSPIPYLFVGLFLMMVLIAIALIILVCSHRKSSGQDSTSTTMMTMKESPEKFDMEPKVVVIMPGDDLPRFLAKASSSSSSI >DRNTG_32583.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20932048:20942242:1 gene:DRNTG_32583 transcript:DRNTG_32583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRFEVRNEYGLGDPELYGEPGRKEDAKALLDGVAVSGLVGILRQLGDLAEFAADVFRDLHEQVMATSARGRKMMSRMQRIEAVLPSLEKAVQSQTSHIHLAYVAGTNWHATAQTDESLVLGSDLPQFIMDSYEECRDPPRLYLLDKFDSAGPGACLKRYSDPSYFKRACVTLELAKGEKVQREKKNQRNKRKGSRHRRGEIQHHAISISPRNTSARFASPGSDGHSYSTENTFVSDLRQKPEVASESPSFDSKAMLNYVEEVSNGTNPSGLPDDLEYDDFSISKLDINNSEPSATFTQSESRDGRDGAYDACQQEPLQEKIVNTSSTVTWDEKTEISKPASPRSFDDILVDRVEDPNPLPACCETSNADTEIAKLERALDQEDILFDIANVPSSLTGGNHFDEVNSETDNFMDALNTMESETETDSEYQTKREVRLLPSYNISAVESRNETAHEIVSSASDSSDSDTPVVSPDSLNQDAHLELHNTLPSESLGHMESPSLDNVVDNDCSESTHDLSRISNSEVCGNDQSAELNAPILLTDLCNGITTSTSKDLTSTSGDAPIMPAVNFWTNGNLFGVEPSKPPDFSFPSISREDAPPNAGITGFYHSSYMVTNKLHNVESAAKPAATQTPYGEIVNGSTDISSSLDQDPTSNLSKSGNLSGKRSLQGSLEDRHSRNTSAFKDTSDLPEVKLFVPGAELSSATGVDHQAGKSGQAAMTISSSFSGLAQRFLSNSLQKRVSFPSAEPSRPSGNTSSQIRGSQENSVSNWDVENSMEGVSKASNAHKTTNKIEQTLLKRSLSSGSRYSEQSSPPLEHMKISFRPMNQFEASNLKLDFSNDDLHENCEDLIFPSFQLLPGPTAPLRNDDNSDSDDDTFCRSYPYSSEELMSPRSDSNSDLWEQDINDTSERHEIDELHRSPSSVASISNYAVFGHMNEYSLDPKNGILNLDDNDEGISFRSNQIIDLPGLDSVKSQKTHREEPCGSLPVNCEDPQLQSKNELPPPPPLPPMQWRITKPDLASIEDTNSVITELDDHSDALQGQRSIADKNQEPNAMTLETTTKSMISPDKQQLDNGHKLNGQIEANQGAHDKEPDDKEDFLQQIKNKTFSLRRTTTAKPTNVSQPTTNVHVAAILEKANAIRQACVGSDDGGDDDTWSDS >DRNTG_00636.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000047.1:23724:33852:1 gene:DRNTG_00636 transcript:DRNTG_00636.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKTTKLTQKKVSFLPLKPHFGSDTESSEEEKDHEDIQIAHSTACRHKRSQYFGSDDSNREEISFLPIKKEDDDDEVPVESSLYVKRIPEGLYKALDELHVNSAERLITFYVPSRHQEERGILFYKSDPNKSDDKDILMSKPMEDEEPCPLRIPNYYPPKLRKLIKQAGINLKHLKDRHILFERLWHPFKIAMQGQGLTLLGSSTYGIPCSVSFGTGAEKRAAQRPVVLPRNEFARQR >DRNTG_32040.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001778.1:23855:30500:1 gene:DRNTG_32040 transcript:DRNTG_32040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSCEDILYEPGVSKATCLSQPVYRYIQAVLSRSVNGRDHVTSHKQRSSRRDSRGREGENTCSPGYRDIEANGNGLQSPVQSPAPEPQPTLVETKAPPVAEEPPQYRADGLVNMGIENLSLTRPRESPQLVVQGFPRPKIGYASTEALLPTFFLRNDDVGALEPLQNLG >DRNTG_07094.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1978122:1980413:1 gene:DRNTG_07094 transcript:DRNTG_07094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGAGPISGLRPLLSRTPSLRPDSLGHTALHLISNLCFAFFVLVVLVFTLLAATYHPDDPLLHPSSSPLTSFLSSSSNATFHSDLDSAVRTGEDFLQPNSTSDSSLEAPAIAIAIADASPTDADVIPSEDCSAPIDCLHPDVHHLIMRVAIERFPDLHFYRFGKPVPVPGFDSNCDIAWRFRPKDAKRASFYKDYRRFVLSRNDNCTISVTQIGEYHSGVLARRKRKKQSSSQSQDQEPKVEQQLSVPEVGEAVNDTLPKVESESAFSRGKYLIYSGGGDRCKSMNHYLWSFLCSLGEAQYLNRTLVMDLSICLSSTYTSTNQDEEGKDFRFYFDFEHLRDSASVLDQKEFWNDWGQWQKKDKLGLYLVEDFRVTPMKLADVKDTLIMRKFRQC >DRNTG_07094.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1978122:1980308:1 gene:DRNTG_07094 transcript:DRNTG_07094.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGAGPISGLRPLLSRTPSLRPDSLGHTALHLISNLCFAFFVLVVLVFTLLAATYHPDDPLLHPSSSPLTSFLSSSSNATFHSDLDSAVRTGEDFLQPNSTSDSSLEAPAIAIAIADASPTDADVIPSEDCSAPIDCLHPDVHHLIMRVAIERFPDLHFYRFGKPVPVPGFDSNCDIAWRFRPKDAKRASFYKDYRRFVLSRNDNCTISVTQIGEYHSGVLARRKRKKQSSSQSQDQEPKVEQQLSVPEVGEAVNDTLPKVESESAFSRGKYLIYSGGGDRCKSMNHYLWSFLCSLGEAQYLNRTLVMDLSICLSSTYTSTNQDEEGKDFRFYFDFEHLRDSASVLDQKEFWNDWGQWQKKDKLGLYLVEDFRVTPMKLADVKDTLIMRKFRQC >DRNTG_07094.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1978122:1980184:1 gene:DRNTG_07094 transcript:DRNTG_07094.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGAGPISGLRPLLSRTPSLRPDSLGHTALHLISNLCFAFFVLVVLVFTLLAATYHPDDPLLHPSSSPLTSFLSSSSNATFHSDLDSAVRTGEDFLQPNSTSDSSLEAPAIAIAIADASPTDADVIPSEDCSAPIDCLHPDVHHLIMRVAIERFPDLHFYRFGKPVPVPGFDSNCDIAWRFRPKDAKRASFYKDYRRFVLSRNDNCTISVTQIGEYHSGVLARRKRKKQSSSQSQDQEPKVEQQLSVPEVGEAVNDTLPKVESESAFSRGKYLIYSGGGDRCKSMNHYLWSFLCSLGEAQYLNRTLVMDLSICLSSTYTSTNQDEEGKDFRFYFDFEHLRDSASVLDQKEFWNDWGQWQKKDKLGLYLVEDFRVTPMKLADVKDTLIMRKFRQC >DRNTG_15740.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20370791:20371070:1 gene:DRNTG_15740 transcript:DRNTG_15740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLNQQLPLKCSMICLKDVQTRIKCSTICFRESKALQRAQSHHLLKLRASKALDLVLGNRSDDDDDEDSSDDDGPFLMSGEERMEL >DRNTG_15740.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20370791:20385162:1 gene:DRNTG_15740 transcript:DRNTG_15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLNQQLPLKCSMICLKDVQTRIKCSTICFRESKALQRAQSHPLLKLRASKALDLVLGNRSDDDDDDEESSDDDGPFLMSGEERMELRRKIRQVLDLQPEVEEEMDPEKLRMKALKLARDYSLVVDEEDPDWPEDAEGRGFKLDQFFDKFYIKNVKKDDADEDDEEEKEIVWKDDNYIKAVKDITSSEWEDTVFKDFNPLVILVHHRYR >DRNTG_21197.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001208.1:53872:55288:-1 gene:DRNTG_21197 transcript:DRNTG_21197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHGGPRMGEDGYKKEHDRGGVGGEGVDGGGLNGAVS >DRNTG_13239.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2902414:2909260:-1 gene:DRNTG_13239 transcript:DRNTG_13239.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g16260 [Source:Projected from Arabidopsis thaliana (AT5G16260) UniProtKB/TrEMBL;Acc:Q9LF02] MESSGVDYGAVAEAGWYILGDNQESLGPYAISELQDHFLSGYISESTFLWADGRSDWLPLSSIPELSTVISVQKASSSSIRDATDNDDDFTKWEKEVKEAEAAAAALKKGTVISGLGSVQQEESVLEEADTGLDNRPATPPDGEEEFTDDDGTTYKWDRGLRAWVPQDNLNGKGQDYGLEEMTYSVEEEVFPTLAPTETTAVEEPKTTVEQVETKSEGKRKLPDKPTEKKEANKPPDTWFDLKINTHVYVLGLPDDVTAEEVVEVFSKCGIIKEDPETKKPRVKIYVDKETGRVKGDALVTYLKEPSVALAVQLLDGTPLRPGGKTLMSVTQAKFEQKGDKFVPKQQDKKKKKKLKRVEDKILGWGGHDDAKLSIPTTIILRHMFTPAELRADESLLPELEADVREECAKLGPLDSIKICENHPQGVVLVKFKDRKDGLKCIELMNGRWFGGRQIHASVDDGSINHAQIRDYDADAARLEQFGAELEAE >DRNTG_00068.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21801902:21804944:-1 gene:DRNTG_00068 transcript:DRNTG_00068.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructokinase-like 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54090) UniProtKB/Swiss-Prot;Acc:Q9M394] MHPDIYSSWKQLQWSPPEFVRAPGGPPSNVAISHVRLGGRAAFMGKVGDDDFGNDLVYKMNLERVQTRAVKIDASVKTATSYMKLRFQDDGGRKKLVADTVKRCAEDCFSMSELNISVLKEARIFHFNSEVLLSPEMHSALFKAIKWSKRFGSNVFFDLNLPLPLWRSREETKEMIKEAWNKADIIEVSKQELEFLLDEEYYEKKRNYRPQYYCESYEETKNMRHYYHYTKEEIAPLWHDGLKLLFVTDGTLRIHYYSPKFDGAVVGTEDVLITPFTCDRTGSGDAVVAAMMRKLTTHPEMYDDQDVLERQLRFAVAAGIIAQWTIGGVRGFPTESATQNLKEQVYVPSMW >DRNTG_00068.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21801902:21804854:-1 gene:DRNTG_00068 transcript:DRNTG_00068.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructokinase-like 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54090) UniProtKB/Swiss-Prot;Acc:Q9M394] MHPDIYSSWKQLQWSPPEFVRAPGGPPSNVAISHVRLGGRAAFMGKVGDDDFGNDLVYKMNLERVQTRAVKIDASVKTATSYMKLRFQDDGGRKKLVADTVKRCAEDCFSMSELNISVLKEARIFHFNSEVLLSPEMHSALFKAIKWSKRFGSNVFFDLNLPLPLWRSREETKEMIKEAWNKADIIEVSKQELEFLLDEEYYEKKRNYRPQYYCESYEETKNMRHYYHYTKEEIAPLWHDGLKLLFVTDGTLRIHYYSPKFDGAVVGTEDVLITPFTCDRTGSGDAVVAAMMRKLTTHPEMYDDQDVLERQLRFAVAAGIIAQWTIGGVRGFPTESATQNLKEQVYVPSMW >DRNTG_00068.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21802917:21805143:-1 gene:DRNTG_00068 transcript:DRNTG_00068.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructokinase-like 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54090) UniProtKB/Swiss-Prot;Acc:Q9M394] MHPDIYSSWKQLQWSPPEFVRAPGGPPSNVAISHVRLGGRAAFMGKVGDDDFGNDLVYKMNLERVQTRAVKIDASVKTATSYMKLRFQDDGGRKKLVADTVKRCAEDCFSMSELNISVLKEARIFHFNSEVLLSPEMHSALFKAIKWSKRFGSNVFFDLNLPLPLWRSREETKEMIKEAWNKADIIEVSKQELEFLLDEEYYEKKRNYRPQYYCESYEETKNMRHYYHYTKEEIAPLWHDGLKLLFVTDGTLRIHYYSPKFDGAVVGTEDVLITPFTCDRTGSGDAVVAAMMRKLTTHPEMYDDQDVLERQLRFAVAAGIIAQWTIGGVRGFPTESATQNLKEQVYVPSMW >DRNTG_00068.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21801902:21805143:-1 gene:DRNTG_00068 transcript:DRNTG_00068.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructokinase-like 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54090) UniProtKB/Swiss-Prot;Acc:Q9M394] MHPDIYSSWKQLQWSPPEFVRAPGGPPSNVAISHVRLGGRAAFMGKVGDDDFGNDLVYKMNLERVQTRAVKIDASVKTATSYMKLRFQDDGGRKKLVADTVKRCAEDCFSMSELNISVLKEARIFHFNSEVLLSPEMHSALFKAIKWSKRFGSNVFFDLNLPLPLWRSREETKEMIKEAWNKADIIEVSKQELEFLLDEEYYEKKRNYRPQYYCESYEETKNMRHYYHYTKEEIAPLWHDGLKLLFVTDGTLRIHYYSPKFDGAVVGTEDVLITPFTCDRTGSGDAVVAAMMRKLTTHPEMYDDQDVLERQLRFAVAAGIIAQWTIGGVRGFPTESATQNLKEQVYVPSMW >DRNTG_00068.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21802917:21804854:-1 gene:DRNTG_00068 transcript:DRNTG_00068.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructokinase-like 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54090) UniProtKB/Swiss-Prot;Acc:Q9M394] MHPDIYSSWKQLQWSPPEFVRAPGGPPSNVAISHVRLGGRAAFMGKVGDDDFGNDLVYKMNLERVQTRAVKIDASVKTATSYMKLRFQDDGGRKKLVADTVKRCAEDCFSMSELNISVLKEARIFHFNSEVLLSPEMHSALFKAIKWSKRFGSNVFFDLNLPLPLWRSREETKEMIKEAWNKADIIEVSKQELEFLLDEEYYEKKRNYRPQYYCESYEETKNMRHYYHYTKEEIAPLWHDGLKLLFVTDGTLRIHYYSPKFDGAVVGTEDVLITPFTCDRTGSGDAVVAAMMRKLTTHPEMYDDQDVLERQLRFAVAAGIIAQWTIGGVRGFPTESATQNLKEQVYVPSMW >DRNTG_00068.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21801874:21804854:-1 gene:DRNTG_00068 transcript:DRNTG_00068.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructokinase-like 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54090) UniProtKB/Swiss-Prot;Acc:Q9M394] MHPDIYSSWKQLQWSPPEFVRAPGGPPSNVAISHVRLGGRAAFMGKVGDDDFGNDLVYKMNLERVQTRAVKIDASVKTATSYMKLRFQDDGGRKKLVADTVKRCAEDCFSMSELNISVLKEARIFHFNSEVLLSPEMHSALFKAIKWSKRFGSNVFFDLNLPLPLWRSREETKEMIKEAWNKADIIEVSKQELEFLLDEEYYEKKRNYRPQYYCESYEETKNMRHYYHYTKEEIAPLWHDGLKLLFVTDGTLRIHYYSPKFDGAVVGTEDVLITPFTCDRTGSGDAVVAAMMRKLTTHPEMYDDQDVLERQLRFAVAAGIIAQWTIGGVRGFPTESATQNLKEQVYVPSMW >DRNTG_00068.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21802917:21804944:-1 gene:DRNTG_00068 transcript:DRNTG_00068.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructokinase-like 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54090) UniProtKB/Swiss-Prot;Acc:Q9M394] MHPDIYSSWKQLQWSPPEFVRAPGGPPSNVAISHVRLGGRAAFMGKVGDDDFGNDLVYKMNLERVQTRAVKIDASVKTATSYMKLRFQDDGGRKKLVADTVKRCAEDCFSMSELNISVLKEARIFHFNSEVLLSPEMHSALFKAIKWSKRFGSNVFFDLNLPLPLWRSREETKEMIKEAWNKADIIEVSKQELEFLLDEEYYEKKRNYRPQYYCESYEETKNMRHYYHYTKEEIAPLWHDGLKLLFVTDGTLRIHYYSPKFDGAVVGTEDVLITPFTCDRTGSGDAVVAAMMRKLTTHPEMYDDQDVLERQLRFAVAAGIIAQWTIGGVRGFPTESATQNLKEQVYVPSMW >DRNTG_00068.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21804075:21804854:-1 gene:DRNTG_00068 transcript:DRNTG_00068.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructokinase-like 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54090) UniProtKB/Swiss-Prot;Acc:Q9M394] MHPDIYSSWKQLQWSPPEFVRAPGGPPSNVAISHVRLGGRAAFMGKVGDDDFGNDLVYKMNLERVQTRAVKIDASVKTATSYMKLRFQDDGGRKKLVADTVKRCAEDCFSMSELNISVLKE >DRNTG_17316.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31912473:31919051:-1 gene:DRNTG_17316 transcript:DRNTG_17316.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGATDLNESLENAACPPHMKRKENDQPPQQPENCNVALENPTPIISTEAGWAEQLSMETLAGEEVNTSQSGSEALCTNPRLPDNPGMMVEELTLNNYKSSHLAMGGCSSSGDSSRKGFWQNFRNIAGGSRDAACRESLVMGDVVDTNCLFTPQFWAPRPQPFLQSDNYHSKVSDHKSESEHNVASANARFPSGVRTKILSSSGFPQFQVKSNLKGKGVAYKHQGIPDSPGSVIQDNNEKQAGNLEKASDTLQKPITKPDDASLLRGGRLGTPCLQNDGINLREWLKVRRQKLNKAEMLHIFKQILELVDISHSQGQYLQHLRPSYFTILPSNQVKYVGSFRSNCQTELPSDPVDQDVHYLENNLKRKRFSEQAMDKREVSPKYLRQSKHSYIAMQQYSVGAFGGASKGDHVRGSDAGSFRPGNSGCDFREQIKFGELYKSQTMPSNPTVSSGGLCKSISEIVKLEDRWYASPEELNKNTSAFASNIYSLGVLLFELFCFFESWEAHSSAMSDLLHRILPPNFLSESPKEAGFCLWLLHPEPSLRPKSRDILLSDLISEGRDLSSLDHSSASIDEEDAEAELLLHFLLVLKEQKEKKAEKLVSDVGCLTSDIEEVERRQLARLTPLAVAGNPQANVGDNLDVHPWEGPNLETSSSLRMPSMGAERLMRNMDQLENAYFSIRANVELPVTNVTTRADTDVLKIRDKWGRFQNDDDMLNPGRESSDRLGAFFDGLCKYARYSKFEVRGCLRNIDILNSANVICSLSFDRDEDFFAAAGVSKKIKIFELDALLNDNVDIHYPVIEMSSRSKLSCVCWNSYIKNYLASTDYEGVIQLWDASTGQGFTQYVEHQKRAWSVDFSPVDPTKLASGSDDCSVKLWSINEKNCINTIRNVANVCCVQFSSHSSHLLAFGSADYKIYCYDLRNTRIPWCTLDGHGKAVSYVKFVDPETLVSASTDNSLKLWDLNKTNATGLSASACTMSLTGHVNEKNFVGLSVCDGYIACGSESNEVYSYYKTLPMPVTSHKFGSIDPITGQETGDDNGQFVSSVCWRGKSSMVVAANSSGSIKLLQMV >DRNTG_17316.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31912473:31915797:-1 gene:DRNTG_17316 transcript:DRNTG_17316.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMGAERLMRNMDQLENAYFSIRANVELPVTNVTTRADTDVLKIRDKWGRFQNDDDMLNPGRESSDRLGAFFDGLCKYARYSKFEVRGCLRNIDILNSANVICSLSFDRDEDFFAAAGVSKKIKIFELDALLNDNVDIHYPVIEMSSRSKLSCVCWNSYIKNYLASTDYEGVIQLWDASTGQGFTQYVEHQKRAWSVDFSPVDPTKLASGSDDCSVKLWSINEACF >DRNTG_17316.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31912473:31919509:-1 gene:DRNTG_17316 transcript:DRNTG_17316.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGATDLNESLENAACPPHMKRKENDQPPQQPENCNVALENPTPIISTEAGWAEQLSMETLAGEEVNTSQSGSEALCTNPRLPDNPGMMVEELTLNNYKSSHLAMGGCSSSGDSSRKGFWQNFRNIAGGSRDAACRESLVMGDVVDTNCLFTPQFWAPRPQPFLQSDNYHSKVSDHKSESEHNVASANARFPSGVRTKILSSSGFPQFQVKSNLKGKGVAYKHQGIPDSPGSVIQDNNEKQAGNLEKASDTLQKPITKPDDASLLRGGRLGTPCLQNDGINLREWLKVRRQKLNKAEMLHIFKQILELVDISHSQGQYLQHLRPSYFTILPSNQVKYVGSFRSNCQTELPSDPVDQDVHYLENNLKRKRFSEQAMDKREVSPKYLRQSKHSYIAMQQYSVGAFGGASKGDHVRGSDAGSFRPGNSGCDFREQIKFGELYKSQTMPSNPTVSSGGLCKSISEIVKLEDRWYASPEELNKNTSAFASNIYSLGVLLFELFCFFESWEAHSSAMSDLLHRILPPNFLSESPKEAGFCLWLLHPEPSLRPKSRDILLSDLISEGRDLSSLDHSSASIDEEDAEAELLLHFLLVLKEQKEKKAEKLVSDVGCLTSDIEEVERRQLARLTPLAVAGNPQANVGDNLDVHPWEGPNLETSSSLRMPSMGAERLMRNMDQLENAYFSIRANVELPVTNVTTRADTDVLKIRDKWGRFQNDDDMLNPGRESSDRLGAFFDGLCKYARYSKFEVRGCLRNIDILNSANVICSLSFDRDEDFFAAAGVSKKIKIFELDALLNDNVDIHYPVIEMSSRSKLSCVCWNSYIKNYLASTDYEGVIQLWDASTGQGFTQYVEHQKRAWSVDFSPVDPTKLASGSDDCSVKLWSINEACF >DRNTG_05471.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23188400:23190498:1 gene:DRNTG_05471 transcript:DRNTG_05471.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEET [Source:Projected from Arabidopsis thaliana (AT5G51720) UniProtKB/TrEMBL;Acc:A0A178UGQ5] MAMASSIVVGMKPSLGYYGVAEVLRSRQQQPQPQPQRQGTRRMAVLVRAQGTINPEIRKDEAKVVDSVLVSDLSKPLTAYCRCWRSGTFPLCDGSHVKHNKETGDNVGPLLLKTK >DRNTG_05471.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23188400:23189045:1 gene:DRNTG_05471 transcript:DRNTG_05471.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEET [Source:Projected from Arabidopsis thaliana (AT5G51720) UniProtKB/TrEMBL;Acc:A0A178UGQ5] MAMASSIVVGMKPSLGYYGVAEVLRSRQQQPQPQPQRQGTRRMAVLVRAQGTINPEIRKDEAKVVDSVLVSDLSKPLTAYCRCWRSGTFPLCDGSHVKHNKETGDNVGPLLLKTK >DRNTG_07133.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:11599319:11599855:-1 gene:DRNTG_07133 transcript:DRNTG_07133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIREVRTSWLAWENAMLLFILFLSAC >DRNTG_33882.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15120899:15122207:-1 gene:DRNTG_33882 transcript:DRNTG_33882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTYKNILNPTHDKDSWPKSDQGPVIPPEPVNQRRGRKTLLRRKEAEENQGFTNGKVSKRGVKMRCSVCGETGHNKRYHGLKGNRNASGGQTHGEASQGESITADDQTAMNDALRLIDENERAEANTEAGGEYHITPPALDHQVSQFITIPVQAIHEATPLVEYSMRQQQHESGLPVTRVPTIPNQKAHVELPTGETPVRRPKITIRSKKARINEGPSSDANPPFKNPISAAIVPKLRSQETEKMSKKGVG >DRNTG_25371.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24218610:24223900:1 gene:DRNTG_25371 transcript:DRNTG_25371.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGALDLATGVGGKINKKEVQSAVEQYEKYHVCFGGDEETRKTNYSDMVNKYYDLATSFYEFGWGESFHFAHRWVGESLRESIKRHEHFLALQLGLKRGMKVLDVGCGIGGPLREIARFSSTSIIGLNNNEYQISRGIELNRVAGLDNTCDFVKGDFMKMSFPDNTYDAVYAIEATCHAPDAMGCYKEIYRVLKPGQCFAAYEWCMTDHYDPNNETHKKIKAEIELGNGLPDVRSTAQCLEALKQAGFEVIWEKDLAADSPVTWYLPLDTSRFSITSFRLTAFGRFVTRTMVKTLEYVGLAPAGSERVSSFLEKAAEGLVEGGRKEIFTPMYFFLVRKPLSDS >DRNTG_25371.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24218610:24223900:1 gene:DRNTG_25371 transcript:DRNTG_25371.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGALDLATGVGGKINKKEVQSAVEQYEKYHVCFGGDEETRKTNYSDMVNKYYDLATSFYEFGWGESFHFAHRWVGESLRESIKRHEHFLALQLGLKRGMKVLDVGCGIGGPLREIARFSSTSIIGLNNNEYQISRGIELNRVAGLDNTCDFVKGDFMKMSFPDNTYDAVYAIEATCHAPDAMGCYKEIYRVLKPGQCFAAYEWCMTDHYDPNNETHKKIKAEIELGNGLPDVRSTAQCLEALKQAGFEVIWEKDLAADSPVTWYLPLDTSRFSITSFRLTAFGRFVTRTMVKTLEYVGLAPAGSERVSSFLEKAAEGLVEGGRKEIFTPMYFFLVRKPLSDS >DRNTG_25371.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24219072:24223900:1 gene:DRNTG_25371 transcript:DRNTG_25371.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGALDLATGVGGKINKKEVQSAVEQYEKYHVCFGGDEETRKTNYSDMVNKYYDLATSFYEFGWGESFHFAHRWVGESLRESIKRHEHFLALQLGLKRGMKVLDVGCGIGGPLREIARFSSTSIIGLNNNEYQISRGIELNRVAGLDNTCDFVKGDFMKMSFPDNTYDAVYAIEATCHAPDAMGCYKEIYRVLKPGQCFAAYEWCMTDHYDPNNETHKKIKAEIELGNGLPDVRSTAQCLEALKQAGFEVIWEKDLAADSPVTWYLPLDTSRFSITSFRLTAFGRFVTRTMVKTLEYVGLAPAGSERVSSFLEKAAEGLVEGGRKEIFTPMYFFLVRKPLSDS >DRNTG_25371.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24218303:24223900:1 gene:DRNTG_25371 transcript:DRNTG_25371.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGALDLATGVGGKINKKEVQSAVEQYEKYHVCFGGDEETRKTNYSDMVNKYYDLATSFYEFGWGESFHFAHRWVGESLRESIKRHEHFLALQLGLKRGMKVLDVGCGIGGPLREIARFSSTSIIGLNNNEYQISRGIELNRVAGLDNTCDFVKGDFMKMSFPDNTYDAVYAIEATCHAPDAMGCYKEIYRVLKPGQCFAAYEWCMTDHYDPNNETHKKIKAEIELGNGLPDVRSTAQCLEALKQAGFEVIWEKDLAADSPVTWYLPLDTSRFSITSFRLTAFGRFVTRTMVKTLEYVGLAPAGSERVSSFLEKAAEGLVEGGRKEIFTPMYFFLVRKPLSDS >DRNTG_02878.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:8595602:8598731:-1 gene:DRNTG_02878 transcript:DRNTG_02878.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMAHANHGNTTIVPNMKKRFEVGGEKRRVQLEQEVSKLQKMLENEEKVHQVLERALLPCANGTMLQIPSFLPKKAKELLAELVMVEEEIARLEGEIGKIQQDISDEQELRTKYRRKNDDDDKFDMSARIMSQASDQHSASFNQSSRITSFHEKVTLETKPMFFINQAINGGYIMHGFNNKVKKEIAEKKENQRFRLKKSEIVVENQSSPKLLLPRQHITKDSADNLAKKSILNLQANKLSESIMKCFDLRFSEVVENNKDIRD >DRNTG_35094.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1450533:1454942:-1 gene:DRNTG_35094 transcript:DRNTG_35094.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEVRHAVEEIKQELEKVMVKTEPEAIVNHDLNGSNVIQAISEIRRAYTSKLEESEKRRLDLLAELAVEEQRGQELSNIVRELLPAPKENAAPERPLFTRRRTNDRTRISKRLTEEAEKYFEDFLSNVDDTDLSFDGDRSDASSTIRGCTNLRDPRVYNIMDEGHCKMAKATSVPPETDGVVLPWLQWETSNDCSPSPCKTNGTTGLVIDSTKIKDPMDTHNNISWESENYASYATVTKKSSAHVSISAVVGGPKQSSFDIDEYMQLQHDQDLLFETVRQHQRIFSGSLLLCRRNWI >DRNTG_35094.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1454347:1454942:-1 gene:DRNTG_35094 transcript:DRNTG_35094.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAFKSTTKRSSNGGAVDHRRSRSLSRGPDRFPPASRAVDSAELLTPRGRFVNTLRGSGFPEISLDDLTDEFFKAGRDSGGDRRGSDVSPGRRGRSASRQSGVSVGDRLRRQRSASVARHRDSESNVCFLFFFVLLQVLLL >DRNTG_35094.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1450533:1454942:-1 gene:DRNTG_35094 transcript:DRNTG_35094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAFKSTTKRSSNGGAVDHRRSRSLSRGPDRFPPASRAVDSAELLTPRGRFVNTLRGSGFPEISLDDLTDEFFKAGRDSGGDRRGSDVSPGRRGRSASRQSGVSVGDRLRRQRSASVARHRDSESNPISNGNLQHHKLASSNVLKRSMSHKDLFRSHDSSSSHSSTLTDDEAQSAPSNRSGPENTIQAVYAIKKSEHPAVDGDESGLYDVMRKEVRHAVEEIKQELEKVMVKTEPEAIVNHDLNGSNVIQAISEIRRAYTSKLEESEKRRLDLLAELAVEEQRGQELSNIVRELLPAPKENAAPERPLFTRRRTNDRTRISKRLTEEAEKYFEDFLSNVDDTDLSFDGDRSDASSTIRGCTNLRDPRVYNIMDEGHCKMAKATSVPPETDGVVLPWLQWETSNDCSPSPCKTNGTTGLVIDSTKIKDPMDTHNNISWESENYASYATVTKKSSAHVSISAVVGGPKQSSFDIDEYMQLQHDQDLLFETVRQHQRIFSGSLLLCRRNWI >DRNTG_24947.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001319.1:8032:8377:1 gene:DRNTG_24947 transcript:DRNTG_24947.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVLWSVLVLSKGPLVRHRAHLAGSVPMKAPPITIFQEKCGVEAGIGHPHSPVKFPQAPVEIPHGHVDSQEF >DRNTG_29812.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23292148:23292420:1 gene:DRNTG_29812 transcript:DRNTG_29812.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRGSRRQDRRRQSDLLGRCESAGRDADVQIHRRA >DRNTG_06040.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32652471:32655426:1 gene:DRNTG_06040 transcript:DRNTG_06040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRQGSTLLSTPVSTCSSPPVSAPSPPQPPPPLLLASLSPSLSLFSSALPSFTPISPAPAPVLVSPPTTRSPASPFSGVEASKGLPPISDLLASLGVGRSKQGKMVEWTSKDLLKALEEFVPIYETRPIQNNMYGMGFDHSFGLWFMARWLKPDLMIESGAFKGHSTWVLRQARPETRIISLSPRHPEKYLRKGPAYVDVNCTYYAGKDFVDFGNVDWRSVLKKHHITDLSRVLVFFDDHQNELKRVKQALKAGFQNLIFEDNYDTGTGDHYSLRQICDQFYIRGGGHSCFKDGDEARIRMKRKKFWDKAVNINELCGPGEAWWGVRGYMRDDFNHSNKAISYAEHFQNSRFIESILDVYWELSPVAGPSLTHQTRYDPARSSEPIIEDGRFGMFKRLGLTKFDSSVFNGYTQMVYLQISASPS >DRNTG_06040.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32653196:32656371:1 gene:DRNTG_06040 transcript:DRNTG_06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEWTSKDLLKALEEFVPIYETRPIQNNMYGMGFDHSFGLWFMARWLKPDLMIESGAFKGHSTWVLRQARPETRIISLSPRHPEKYLRKGPAYVDVNCTYYAGKDFVDFGNVDWRSVLKKHHITDLSRVLVFFDDHQNELKRVKQALKAGFQNLIFEDNYDTGTGDHYSLRQICDQFYIRGGGHSCFKDGDEARIRMKRKKFWDKAVNINELCGPGEAWWGVRGYMRDDFNHSNKAISYAEHFQNSRFIESILDVYWELSPVAGPSLTHQTRYDPARSSEPIIEDGRFGMFKRLGLTKFDSSVFNGYTQMVYLQISASPS >DRNTG_06040.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32652471:32655426:1 gene:DRNTG_06040 transcript:DRNTG_06040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLESTLSARRAPPGAEENDGDDESKTRKHAPLHSRLNMLLSPRLGPFTAATTASPSPCLAFAFAVPLLVCFAFVYAYFSRPSTCACVSTHDSLSRIAFLGGGSLEGLASDFGSLGVPWCRSKQGKMVEWTSKDLLKALEEFVPIYETRPIQNNMYGMGFDHSFGLWFMARWLKPDLMIESGAFKGHSTWVLRQARPETRIISLSPRHPEKYLRKGPAYVDVNCTYYAGKDFVDFGNVDWRSVLKKHHITDLSRVLVFFDDHQNELKRVKQALKAGFQNLIFEDNYDTGTGDHYSLRQICDQFYIRGGGHSCFKDGDEARIRMKRKKFWDKAVNINELCGPGEAWWGVRGYMRDDFNHSNKAISYAEHFQNSRFIESILDVYWELSPVAGPSLTHQTRYDPARSSEPIIEDGRFGMFKRLGLTKFDSSVFNGYTQMVYLQISASPS >DRNTG_05963.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20292211:20298955:1 gene:DRNTG_05963 transcript:DRNTG_05963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVGQSSRASMLWDIYGHFARWLCDDFLGLSIPLVFLDKKDAGNNDGSLISVKQLSSKSGQGNREFVNEIGIVSALHHPNLVKLYGCCIEGNQLLLIYIFMENNSLANALHVGSLFSNQPVKDIDFLRTSVTLDNLGHRTTHPPIIKKVIERIDREDAIHSEHSLFLIPVSPIDEHSPSLMYRMLTMRPLVSHLKMKFKRRVKAFWLVSLATLSPPSSSRASRWWEKANLRSKSKGEEGEIVLSYALYHLSSRLAPLLMMN >DRNTG_24806.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31891639:31894661:1 gene:DRNTG_24806 transcript:DRNTG_24806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQNSSPNPNPCSPDSGEPSPDEKKQALVRELALKLSHGDAVARVQAARDIRRHARSSPKARSLFASAAVVQPLVSMLPSPDHETREASLLALLNLAVRNERNKDKIVKTGAVPHLVELLRSENCGLRELATAAILTLSASDANKTIIAASGVVPLLVQILISGGIQGKVDAVTALYNLSICKENTFLEISVEAITPLLALLKDSKKYSKFAEKATSLLGILAESEEGRCVIAESDGAILTLVETVEEGSPFSTEYAVGILLSLCKGCREKYRELILKEGAIPGLLLLTADGTTKARVSAHELLDLLRDESKPKRVPSEDLETIVYDIATRVDGPERAEETAKKLLHDMVRRNMELSINKLQHRAALHTPNVPLT >DRNTG_12494.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2403459:2404817:-1 gene:DRNTG_12494 transcript:DRNTG_12494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRTTPNSSPSSKTTSEPALKLLNYSVPSRNALDEAHSTVYLAGRAVYLFECGMPISSVFEDLEQLKTQGNPFHLFPQNYVDKLKSLCEVHGSYIQKLSEREKDLDRKLGKVEYWKTVGSCVCFVAVVGLLIGSAVLAIMGAPPVAITILGLAGSAIALLEKEIELLTDKRKSVIEGERDIIIELKKQIYELDDINELVKQLEELVNSVDGYAGFRMEKRNNDEEVKKEVKLTKAMYGIKIKAKRLNKRVKDMKKEVNLRRENLRTAVATILMAVKTDKYECVSCVGSMP >DRNTG_20937.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28193887:28196470:1 gene:DRNTG_20937 transcript:DRNTG_20937.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPSPVLEEEVDVLGFDAHFQSLARMLIGDDVNQLRRAVVSITGMGGAGKTTLAKKIFSDSGIRRHFTCHAWIWVSQKYRPREVLETIAKDVISMPKKESKYRSDKELNQEVIKYLKEKKYLVILDDVWSKRAWDSIKEVLPDMMNGSRVLLTTRNQDVALYADRQSPPYDLRFLGEEDSWRLFCRKAIPTKCSNDCPPDLEDIGRKMVAKCCGLPLAIIVLGGLVLTKRQSKEEWKKMLKSANWQLRQGEEQISEMLALSYHHLPYYIKPCFLYFSIFPKGSLISAKRLMRIWIAEGFIQPRGQETILEEVAEDYLEELVHWSMIQVVERHDHGGIKICQIHELLHDLSISLAQDIRELPSSIGKLTNLQTLDVKNSMYISELPSQVWKMQRNLRHLEGTGFSIKGQPSTESLPNLQTLSSAKGDTWLENGLQKMTSLRKLGVHGVTGTCKVALLDCLSKLDNLNKLAWKAGQDGMVPSSILSTSQHKNKLQVLYLHGRLEGLPDVTCMPASLTKLTFELTMLREDPLLKLGKLDNLQVLRLRHHAFVGREMICSEKGFPQLKVLELNSLLELKLWSIEDEAMPKLRELEIETCPLRMLPQGLPKVTSLQELKVIGMNDNFCKRLRPNDGEDWEKIKHIPSVAIIPARSVKMSPLSGKVTARKRFSKNIGIKTIFG >DRNTG_34825.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16840698:16841129:1 gene:DRNTG_34825 transcript:DRNTG_34825.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVQGTVKWFNGSRGFGFISPNDGTEDLFVHQSCIKADGFRTLSDGELVEFSVAQGDDGRIKAVDVTGPDGSAIQGGGGGGGGGGGRRDAFGGGWG >DRNTG_12405.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12367380:12368506:1 gene:DRNTG_12405 transcript:DRNTG_12405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNFRTPWICIVSSSREGACEFLQSLADYPESTQGCELAPMGDLVTSARAWVISACPCESLQRSSLHPEKTQGRAFAPIVLSSWELRLCHGHGQGASALARVSLITRRNITHKDLASSNATLGVRGVLF >DRNTG_06641.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1674569:1676197:1 gene:DRNTG_06641 transcript:DRNTG_06641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCDKANVKKGPWSPEEDNKLKEFIEKYGTGGNWIALPHKAGLRRCGKSCRLRWLNYLRPNIKHGEFSPDEDMIICNLFANIGSRWSVIAAQLPGRTDNDIKNYWNTKLKKRVLGITSTTTTSSSSHERINKPPIQMSSSSSSSLNTSTVFQTASLQQYYKMEDINGSVLMFGSDYNQNVDLGNYIFGGVLPYDHHNVYEDEFSQLFTNSSHEGAGKFMY >DRNTG_12164.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12196790:12199477:1 gene:DRNTG_12164 transcript:DRNTG_12164.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:Projected from Arabidopsis thaliana (AT2G47420) UniProtKB/TrEMBL;Acc:A0A178VWJ8] MAGGKVRKQREHGTRQHMQGGIPFEKSKGQHILKNPMLVDTIVQKSGIKPTDVVLEIGPGTGNLTKKLLEVAKSVVAVELDPRMVLELQRRFQGTPFSSRLKVIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLSHTPPFRCAVIMFQREFAMRLVANAGDNLYCRLSVNTQLLARVSHLLKVGRNNFRPPPKVDSSVVRIEPRRPLPPVSFKEWDGLVRICFNRKNKTLGAIFRQKRVLSLLEKNYKTIQALQHLQNSSINEQNVTSEDVLVLANMVDDLSMDIESGKEDDDMEIEDGDLCGGERSAFKEKVLEVLKQGDFLEKRAAKLTQVDFLYLLSLFNKIGIHFS >DRNTG_12164.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12196741:12199361:1 gene:DRNTG_12164 transcript:DRNTG_12164.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:Projected from Arabidopsis thaliana (AT2G47420) UniProtKB/TrEMBL;Acc:A0A178VWJ8] MAGGKVRKQREHGTRQHMQGGIPFEKSKGQHILKNPMLVDTIVQKSGIKPTDVVLEIGPGTGNLTKKLLEVAKSVVAVELDPRMVLELQRRFQGTPFSSRLKVIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLSHTPPFRCAVIMFQREFAMRLVANAGDNLYCRLSVNTQLLARVSHLLKVGRNNFRPPPKVDSSVVRIEPRRPLPPVSFKEWDGLVRICFNRKNKTLGAIFRQKRVLSLLEKNYKTIQALQHLQNSSINEQNVTSEDVLVLANMVDDLSMDIESGKEDDDMEIEDGDLCGGERSAFKEKVLEVLKQGDFLEKRAAKLTQVDFLYLLSLFNKIGIHFS >DRNTG_12164.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12196790:12199385:1 gene:DRNTG_12164 transcript:DRNTG_12164.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:Projected from Arabidopsis thaliana (AT2G47420) UniProtKB/TrEMBL;Acc:A0A178VWJ8] MAGGKVRKQREHGTRQHMQGGIPFEKSKGQHILKNPMLVDTIVQKSGIKPTDVVLEIGPGTGNLTKKLLEVAKSVVAVELDPRMVLELQRRFQGTPFSSRLKVIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLSHTPPFRCAVIMFQREFAMRLVANAGDNLYCRLSVNTQLLARVSHLLKVGRNNFRPPPKVDSSVVRIEPRRPLPPVSFKEWDGLVRICFNRKNKTLGAIFRQKRVLSLLEKNYKTIQALQHLQNSSINEQNVTSEDVLVLANMVDDLSMDIESGKEDDDMEIEDGDLCGGERSAFKEKVLEVLKQGDFLEKRAAKLTQVDFLYLLSLFNKIGIHFS >DRNTG_12164.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12196790:12197252:1 gene:DRNTG_12164 transcript:DRNTG_12164.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:Projected from Arabidopsis thaliana (AT2G47420) UniProtKB/TrEMBL;Acc:A0A178VWJ8] MAGGKVRKQREHGTRQHMQGGIPFEKSKGQHILKNPMLVDTIVQKSGIKPTDVVLEIGPGTGNLTKKLLEVAKSVVAVELDPRMVLELQRRFQGTPFSSRLKVLWRSDFDDSYALVRVILGLICLFDLFLVVCVSF >DRNTG_12164.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12196790:12199361:1 gene:DRNTG_12164 transcript:DRNTG_12164.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:Projected from Arabidopsis thaliana (AT2G47420) UniProtKB/TrEMBL;Acc:A0A178VWJ8] MAGGKVRKQREHGTRQHMQGGIPFEKSKGQHILKNPMLVDTIVQKSGIKPTDVVLEIGPGTGNLTKKLLEVAKSVVAVELDPRMVLELQRRFQGTPFSSRLKVIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLSHTPPFRCAVIMFQREFAMRLVANAGDNLYCRLSVNTQLLARVSHLLKVGRNNFRPPPKVDSSVVRIEPRRPLPPVSFKEWDGLVRICFNRKNKTLGAIFRQKRVLSLLEKNYKTIQALQHLQNSSINEQNVTSEDVLVLANMVDDLSMDIESGKEDDDMEIEDGDLCGGERSAFKEKVLEVLKQGDFLEKRAAKLTQVDFLYLLSLFNKIGIHFS >DRNTG_12164.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12198222:12199361:1 gene:DRNTG_12164 transcript:DRNTG_12164.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:Projected from Arabidopsis thaliana (AT2G47420) UniProtKB/TrEMBL;Acc:A0A178VWJ8] MFQREFAMRLVANAGDNLYCRLSVNTQLLARVSHLLKVGRNNFRPPPKVDSSVVRIEPRRPLPPVSFKEWDGLVRICFNRKNKTLGAIFRQKRVLSLLEKNYKTIQALQHLQNSSINEQNVTSEDVLVLANMVDDLSMDIESGKEDDDMEIEDGDLCGGERSAFKEKVLEVLKQGDFLEKRAAKLTQVDFLYLLSLFNKIGIHFS >DRNTG_12164.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12196741:12199385:1 gene:DRNTG_12164 transcript:DRNTG_12164.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:Projected from Arabidopsis thaliana (AT2G47420) UniProtKB/TrEMBL;Acc:A0A178VWJ8] MAGGKVRKQREHGTRQHMQGGIPFEKSKGQHILKNPMLVDTIVQKSGIKPTDVVLEIGPGTGNLTKKLLEVAKSVVAVELDPRMVLELQRRFQGTPFSSRLKVIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLSHTPPFRCAVIMFQREFAMRLVANAGDNLYCRLSVNTQLLARVSHLLKVGRNNFRPPPKVDSSVVRIEPRRPLPPVSFKEWDGLVRICFNRKNKTLGAIFRQKRVLSLLEKNYKTIQALQHLQNSSINEQNVTSEDVLVLANMVDDLSMDIESGKEDDDMEIEDGDLCGGERSAFKEKVLEVLKQGDFLEKRAAKLTQVDFLYLLSLFNKIGIHFS >DRNTG_12164.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12196741:12199477:1 gene:DRNTG_12164 transcript:DRNTG_12164.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:Projected from Arabidopsis thaliana (AT2G47420) UniProtKB/TrEMBL;Acc:A0A178VWJ8] MAGGKVRKQREHGTRQHMQGGIPFEKSKGQHILKNPMLVDTIVQKSGIKPTDVVLEIGPGTGNLTKKLLEVAKSVVAVELDPRMVLELQRRFQGTPFSSRLKVIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLSHTPPFRCAVIMFQREFAMRLVANAGDNLYCRLSVNTQLLARVSHLLKVGRNNFRPPPKVDSSVVRIEPRRPLPPVSFKEWDGLVRICFNRKNKTLGAIFRQKRVLSLLEKNYKTIQALQHLQNSSINEQNVTSEDVLVLANMVDDLSMDIESGKEDDDMEIEDGDLCGGERSAFKEKVLEVLKQGDFLEKRAAKLTQVDFLYLLSLFNKIGIHFS >DRNTG_11323.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:8787909:8788406:-1 gene:DRNTG_11323 transcript:DRNTG_11323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMGLIRKYSNDDAGVSQSAPKPQPVPMETETPPAAEEPPPVRICPPSRAHDHFEKLKSALGVIWTEQILERDVASSFVLQPRTLQAPPVPPAPPSSTPAPEDPLYASTSAAAAAQEPESDSDT >DRNTG_07492.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3875895:3883658:1 gene:DRNTG_07492 transcript:DRNTG_07492.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSPEFILRRTSTFLDEAFSQTDLRRSVLSSARRRLTSPDPTTLQALALLSQPTDSSFSASSSASTGTTSRPSPSALRTAEKLLLTLPSKNPLSSLLLAFVHALRQRHREAALSLLDLFALAPFAARYEVAAPVFEDLFIPHLLPAIQWFADQRSRILASQPSSGIDDDAGRSIEVTAAISLLSRMSGDQAEELKELERGYEDVLDENTMAYAGYLKEVLESRDGEWEALLPPQLILTKVKRNKGVFEGIQEEDEDDEDDDEGQGFGSRPKLSSRNGRYNPMWLEEERSDEFLSRQSSRVQDKNVKVSAKYSQRPSPQRITRQPSTDSSNGNANLFSDFRPGSSSSSENSVVSDAEFDPGILQEKKTRKASFGSDENQYQEQEQTSSETTCSSNHQMVDPDGILASGKPTPPKDFVCPITSNIFDDPVTLETGQTYERKAIQEWLDRGNSTCPITRQTLNITQLPKTNYVLKRLIASWREQNNAYSTPARSENPSPKDARDFNLLKRAPSPTSVISQASIDGATGDLRQAISRLCTSEILGESEKAVLQIERLWREAGTDPEILPVLSKPAVVNGFVEILLNSVNADILRSAVFMLTELASRDNFVIQTLTRVDSDVDCLVSLFKEGLVEAVVLIYVLSCPPESLIEMDMLDALVMAINRKEDESFAMCLKPRIASLFILNQMIRVENQKNVSEFMGALISRNIVEGVIPCLESDLLDEMLAAVEILLRCMEEDGNCREDIADTAEFGPLLESFSLANDAQRFQIVQFLNELVKLSRRTFNEQILHIIKDGGAYSTKHVLLVYLQTALHDQSLPVASLLLQLDILMEPRKMSIYREEAIDALISCLRNTDFPKSQLLAAETIMGFQGRYSSAGKSLAKAYLLKKAGMSKSYRAIMRTEQMGHALGNSEENLEEEKAAEEWERRIAFALVSHEFGLIFEALAEGIKSRRAELFSTCLVSATWLTHMLSILPDMGLRGAARVCLLKLFVSILKSARDVDDKALAMLALRSFMYDPDGLHDMASYVKEIIKSLRELKKSSSLAYEMLKLFSDGQESSAEMWNHKELVQVDCSTHGEVLSIVCFKNRIITGHSDGTIKVWSGVESLLNLIQEAHDHSKAVTSLTVSGERIYSGSTDKTMRVWILRNGEIRCSEIHDVKDQVHNLVVANSISCFIPQGAGVKVILLNGGSKLLNQSKNVKSLALTQGKLYCGCQDSSIQEIDLATGTVGTIQSGNRKLLGKSIPIQTMQVHDGFLYTASNSIEGPAVKVWNTSNYNLVGSLPTNSEVRSTIASSDLIYLGCKMGTVEIWSRQKLSKVSTLQTGTNGKVQCMAIDSDAELLVVGTSDGKIQAWGLT >DRNTG_07492.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3877189:3883658:1 gene:DRNTG_07492 transcript:DRNTG_07492.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEEERSDEFLSRQSSRVQDKNVKVSAKYSQRPSPQRITRQPSTDSSNGNANLFSDFRPGSSSSSENSVVSDAEFDPGILQEKKTRKASFGSDENQYQEQEQTSSETTCSSNHQMVDPDGILASGKPTPPKDFVCPITSNIFDDPVTLETGQTYERKAIQEWLDRGNSTCPITRQTLNITQLPKTNYVLKRLIASWREQNNAYSTPARSENPSPKDARDFNLLKRAPSPTSVISQASIDGATGDLRQAISRLCTSEILGESEKAVLQIERLWREAGTDPEILPVLSKPAVVNGFVEILLNSVNADILRSAVFMLTELASRDNFVIQTLTRVDSDVDCLVSLFKEGLVEAVVLIYVLSCPPESLIEMDMLDALVMAINRKEDESFAMCLKPRIASLFILNQMIRVENQKNVSEFMGALISRNIVEGVIPCLESDLLDEMLAAVEILLRCMEEDGNCREDIADTAEFGPLLESFSLANDAQRFQIVQFLNELVKLSRRTFNEQILHIIKDGGAYSTKHVLLVYLQTALHDQSLPVASLLLQLDILMEPRKMSIYREEAIDALISCLRNTDFPKSQLLAAETIMGFQGRYSSAGKSLAKAYLLKKAGMSKSYRAIMRTEQMGHALGNSEENLEEEKAAEEWERRIAFALVSHEFGLIFEALAEGIKSRRAELFSTCLVSATWLTHMLSILPDMGLRGAARVCLLKLFVSILKSARDVDDKALAMLALRSFMYDPDGLHDMASYVKEIIKSLRELKKSSSLAYEMLKLFSDGQESSAEMWNHKELVQVDCSTHGEVLSIVCFKNRIITGHSDGTIKVWSGVESLLNLIQEAHDHSKAVTSLTVSGERIYSGSTDKTMRVWILRNGEIRCSEIHDVKDQVHNLVVANSISCFIPQGAGVKVILLNGGSKLLNQSKNVKSLALTQGKLYCGCQDSSIQEIDLATGTVGTIQSGNRKLLGKSIPIQTMQVHDGFLYTASNSIEGPAVKVWNTSNYNLVGSLPTNSEVRSTIASSDLIYLGCKMGTVEIWSRQKLSKVSTLQTGTNGKVQCMAIDSDAELLVVGTSDGKIQAWGLT >DRNTG_09072.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1232627:1233088:-1 gene:DRNTG_09072 transcript:DRNTG_09072.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVNSTEHLCYVRCTYCNTVLAVGVPCKRLMDTVTVKCGHCNHLSFLSPRSLLHSLSSSSS >DRNTG_26358.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11708668:11716302:-1 gene:DRNTG_26358 transcript:DRNTG_26358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRRWSFSANPSGGFASSSKSDKSSFNLVSCTK >DRNTG_02416.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000113.1:269147:270336:1 gene:DRNTG_02416 transcript:DRNTG_02416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTLMSLLLFPLILPLSYAATFDIVNQCSYTVWAAAVPGGGRQLNNGETWTINVNAGTTGARVWARTGCNFDSSGHGSCETGDCNGLLECQVYGKPPNTLAEFALNQFQNLDFIDISVEMVIEDKTQTVGMRHFVEHLKPAALHITTISLVDGFNVPMDFSPTGGCAGGIQCLADINGQCPAVLKAPGGCNNPCTVFKTDEYCCNSGSCGPSDYSKFFKGLCPDVYSYPKDDQNSTVVFTCPGGTNYKVVFC >DRNTG_01242.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15641421:15644216:1 gene:DRNTG_01242 transcript:DRNTG_01242.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWACRLMQREDFSFEKYRWQPQGCETPKFEASEFLERMQDKTIAMIGDSLGRQQFQSLLCMASGGKASPEVQNVGWEYGLVKARGALRPDGWAYRFPKTNTTILFYWSASLCELEPLNRSDPATSYAMHLDRPATFLKRYLPRFHVVVLNTGHHWNRGKFHANRWEMYVGGKPNIDKKLQEISNARNFTVHSIVKWLDSQLPKYPLLKAFFRSISPRHFVNGDWNTGGSCDNTIPLSSGSEVLQDGSKDHAAESAVKGTKVKLLDITALSELRNEGHISKYSIRAPTGMSDCLHWCLPGIPDTWNEILCAQV >DRNTG_01242.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15641421:15644072:1 gene:DRNTG_01242 transcript:DRNTG_01242.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATQIETPVVAIVKDEQEQPPMYGTISGGGTTVKYSNHEKTYHVSAPPPIALSRESSLKQKEDVKNVTKLHKVEKGCNYAKGKWVPDNKWPLYSGSGCKQWLSSMWACRLMQREDFSFEKYRWQPQGCETPKFEASEFLERMQDKTIAMIGDSLGRQQFQSLLCMASGGKASPEVQNVGWEYGLVKARGALRPDGWAYRFPKTNTTILFYWSASLCELEPLNRSDPATSYAMHLDRPATFLKRYLPRFHVVVLNTGHHWNRGKFHANRWEMYVGGKPNIDKKLQEISNARNFTVHSIVKWLDSQLPKYPLLKAFFRSISPRHFVNGDWNTGGSCDNTIPLSSGSEVLQDGSKDHAAESAVKGTKVKLLDITALSELRNEGHISKYSIRAPTGMSDCLHWCLPGIPDTWNEILCAQV >DRNTG_01242.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15642555:15644072:1 gene:DRNTG_01242 transcript:DRNTG_01242.8 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGCNYAKGKWVPDNKWPLYSGSGCKQWLSSMWACRLMQREDFSFEKYRWQPQGCETPKFEASEFLERMQDKTIAMIGDSLGRQQFQSLLCMASGGKASPEVQNVGWEYGLVKARGALRPDGWAYRFPKTNTTILFYWSASLCELEPLNRSDPATSYAMHLDRPATFLKRYLPRFHVVVLNTGHHWNRGKFHANRWEMYVGGKPNIDKKLQEISNARNFTVHSIVKWLDSQLPKYPLLKAFFRSISPRHFVNGDWNTGGSCDNTIPLSSGSEVLQDGSKDHAAESAVKGTKVKLLDITALSELRNEGHISKYSIRAPTGMSDCLHWCLPGIPDTWNEILCAQV >DRNTG_01242.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15640728:15644072:1 gene:DRNTG_01242 transcript:DRNTG_01242.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGIFCRSTSIKVSITITALLCMTLSLLIWEKTPFIAFLIPPDQLDVLSPATQIETPVVAIVKDEQEQPPMYGTISGGGTTVKYSNHEKTYHVSAPPPIALSRESSLKQKEDVKNVTKLHKVEKGCNYAKGKWVPDNKWPLYSGSGCKQWLSSMWACRLMQREDFSFEKYRWQPQGCETPKFEASEFLERMQDKTIAMIGDSLGRQQFQSLLCMASGGKASPEVQNVGWEYGLVKARGALRPDGWAYRFPKTNTTILFYWSASLCELEPLNRSDPATSYAMHLDRPATFLKRYLPRFHVVVLNTGHHWNRGKFHANRWEMYVGGKPNIDKKLQEISNARNFTVHSIVKWLDSQLPKYPLLKAFFRSISPRHFVNGDWNTGGSCDNTIPLSSGSEVLQDGSKDHAAESAVKGTKVKLLDITALSELRNEGHISKYSIRAPTGMSDCLHWCLPGIPDTWNEILCAQV >DRNTG_01242.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15641421:15644072:1 gene:DRNTG_01242 transcript:DRNTG_01242.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGIFCRSTSIKVSITITALLCMTLSLLIWEKTPFIAFLIPPDQLDVLSPATQIETPVVAIVKDEQEQPPMYGTISGGGTTVKYSNHEKTYHVSAPPPIALSRESSLKQKEDVKNVTKLHKVEKGCNYAKGKWVPDNKWPLYSGSGCKQWLSSMWACRLMQREDFSFEKYRWQPQGCETPKFEASEFLERMQDKTIAMIGDSLGRQQFQSLLCMASGGKASPEVQNVGWEYGLVKARGALRPDGWAYRFPKTNTTILFYWSASLCELEPLNRSDPATSYAMHLDRPATFLKRYLPRFHVVVLNTGHHWNRGKFHANRWEMYVGGKPNIDKKLQEISNARNFTVHSIVKWLDSQLPKYPLLKAFFRSISPRHFVNGDWNTGGSCDNTIPLSSGSEVLQDGSKDHAAESAVKGTKVKLLDITALSELRNEGHISKYSIRAPTGMSDCLHWCLPGIPDTWNEILCAQV >DRNTG_01242.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15640728:15644072:1 gene:DRNTG_01242 transcript:DRNTG_01242.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATQIETPVVAIVKDEQEQPPMYGTISGGGTTVKYSNHEKTYHVSAPPPIALSRESSLKQKEDVKNVTKLHKVEKGCNYAKGKWVPDNKWPLYSGSGCKQWLSSMWACRLMQREDFSFEKYRWQPQGCETPKFEASEFLERMQDKTIAMIGDSLGRQQFQSLLCMASGGKASPEVQNVGWEYGLVKARGALRPDGWAYRFPKTNTTILFYWSASLCELEPLNRSDPATSYAMHLDRPATFLKRYLPRFHVVVLNTGHHWNRGKFHANRWEMYVGGKPNIDKKLQEISNARNFTVHSIVKWLDSQLPKYPLLKAFFRSISPRHFVNGDWNTGGSCDNTIPLSSGSEVLQDGSKDHAAESAVKGTKVKLLDITALSELRNEGHISKYSIRAPTGMSDCLHWCLPGIPDTWNEILCAQV >DRNTG_01242.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15641421:15644072:1 gene:DRNTG_01242 transcript:DRNTG_01242.7 gene_biotype:protein_coding transcript_biotype:protein_coding MYGTISGGGTTVKYSNHEKTYHVSAPPPIALSRESSLKQKEDVKNVTKLHKVEKGCNYAKGKWVPDNKWPLYSGSGCKQWLSSMWACRLMQREDFSFEKYRWQPQGCETPKFEASEFLERMQDKTIAMIGDSLGRQQFQSLLCMASGGKASPEVQNVGWEYGLVKARGALRPDGWAYRFPKTNTTILFYWSASLCELEPLNRSDPATSYAMHLDRPATFLKRYLPRFHVVVLNTGHHWNRGKFHANRWEMYVGGKPNIDKKLQEISNARNFTVHSIVKWLDSQLPKYPLLKAFFRSISPRHFVNGDWNTGGSCDNTIPLSSGSEVLQDGSKDHAAESAVKGTKVKLLDITALSELRNEGHISKYSIRAPTGMSDCLHWCLPGIPDTWNEILCAQV >DRNTG_01242.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15640728:15644117:1 gene:DRNTG_01242 transcript:DRNTG_01242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGIFCRSTSIKVSITITALLCMTLSLLIWEKTPFIAFLIPPDQLDVLSPATQIETPVVAIVKDEQEQPPMYGTISGGGTTVKYSNHEKTYHVSAPPPIALSRESSLKQKEDVKNVTKLHKVEKGLLIMFVGCNYAKGKWVPDNKWPLYSGSGCKQWLSSMWACRLMQREDFSFEKYRWQPQGCETPKFEASEFLERMQDKTIAMIGDSLGRQQFQSLLCMASGGKASPEVQNVGWEYGLVKARGALRPDGWAYRFPKTNTTILFYWSASLCELEPLNRSDPATSYAMHLDRPATFLKRYLPRFHVVVLNTGHHWNRGKFHANRWEMYVGGKPNIDKKLQEISNARNFTVHSIVKWLDSQLPKYPLLKAFFRSISPRHFVNGDWNTGGSCDNTIPLSSGSEVLQDGSKDHAAESAVKGTKVKLLDITALSELRNEGHISKYSIRAPTGMSDCLHWCLPGIPDTWNEILCAQV >DRNTG_10765.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:12559150:12567066:-1 gene:DRNTG_10765 transcript:DRNTG_10765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGAPLRPSPAASGSLASRLLLILTVLPLSLAVFAFVLQWRGGLDDPAARWPADTQKFPGMENSPLGSSQTFFSSSGSHSQAAGSSDCVEILGHSSSPSFPYYRGWKFDFNSDLKPKICIISSTSASLEQILPWLFYHKVLGVTTFYLFVEGKAAKPNVTVVLESIPGVKIIYRTKELEEKQARSRIWNETWLAGFFYKPCNYELFVKQSLNMEMAIVMARDAGMDWIIHLDTDELIHPAGSQEYSLKRLLSDVPNNVDMVIFPNYESSIEKDDIKDPFSEVSMFKKNYDHLLKETYFGMYKEATRGNPNYFLTYGNGKSAARIQDHLRPNGAHRWHNYMKTPNEIKLEEAAVLHFTYTKFSDLTSRRDRCGCKPTKEDVKRCFMLDFDRSAFIIASTATEEEMLRWFREHVVWTDKELNLKLMRKGILTRIYAPMSIVQGLRESGVFSSAIASAQMRSKGSLLPSLEPKTKNNSSTLISNSRGSGSQSISDSSHTRTGGREIQTSARKILEVADNSLKAIPPLSPPGLDELHALT >DRNTG_08496.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6506633:6518388:1 gene:DRNTG_08496 transcript:DRNTG_08496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDDVRDGSGGVRRAAAERDEVSVAKITDDLDFVGGGDVSDGGDVGDVSGGCVGGGMMKKTRSATPSAADAVTESVVVDDMAMTVEDIVDDVAVAAVEKIVYSLVNEILDLVEPAAKSAASKMDTIPEEQEQAKGVSPVDAVVVATVEKFVESVVVAVAVANSNASKQDTIPQQEKACKGISAVDAVVIPASKPDTIPQQQQPCKDVSAVDVVAVVPASMPDTIPQQQQQPCKNVSAVDVVAVVPASKRDTIPQQQQLCKDVSTVDAVAIVTASKEDAAGVDEDLPQLPYGQHCRVEEWRCQHPRDKLYTLLEGKEMVTDDVMDAFVCIIQKSLSKVPYPYKKPASITRPLALFMSKQDDAHETTMAMIGDTVRNLHEVQIVILLIIINGHFYVLVLDNDKQEYKHYSSCAGYDKDALDMFIHGIIEFFSRGYPRNAKA >DRNTG_33229.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19426024:19426377:1 gene:DRNTG_33229 transcript:DRNTG_33229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFEDTITMVALILLAISFAAVSREFAYEPSSANAITGDDPFYTGIFDITIFANEPSYAEGPNEYMDQVVNKDNDYPVSDGFVINDSILGLGLGFINPFGWLSSIWDFVKSYLTDE >DRNTG_25621.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21991485:21996057:-1 gene:DRNTG_25621 transcript:DRNTG_25621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSIADQMRSLGLPFSPSLFSSIILSYADHGLADLAVDVFNRTRHFNCPQTTAVYNALLTALCNVRNFHGAYALIRRMARKQVAPDRDTFSILVRAWCSAGKLREAQEFLEEMSQRGFSPPVRGRDLLVDGLINAGYLESAKEMVVKMTKEGFLPDVATFNSLVEALTKSEEVDFCIDLLHDASRLGLCPDISTYKVLIPAVSKAGRIEEAFRLLYGSMEDGHKPFPSLYAPIVKELCRAGRFGDAVSFFSDMKVRGHPPNRPVYTMLVKMCVRGGRFVEAANFLVEMTEMGLMPRSQSFDMVVDGLKHCGKLDLARRMEQLEVSLRGN >DRNTG_25621.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21991485:21992178:-1 gene:DRNTG_25621 transcript:DRNTG_25621.12 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRSNPFLIFLLLLVLSSSTIYSQELEEEESLKLLLLEQVGSRRVGGRTEVRDVEKNMEVQELGNFAVKEFNRRRRTCHFDHDHEMLFSKVVHAQSQVVSGIKYYLTVVVEVQAGENEGEKVFEAVVVLKPWLHDYKQLLSFKPSQNA >DRNTG_25621.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21991485:21995482:-1 gene:DRNTG_25621 transcript:DRNTG_25621.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRGFSPPVRGRDLLVDGLINAGYLESAKEMVVKMTKEGFLPDVATFNSLVEALTKSEEVDFCIDLLHDASRLGLCPDISTYKVLIPAVSKAGRIEEAFRLLYGSMEDGHKPFPSLYAPIVKELCRAGRFGDAVSFFSDMKVRGHPPNRPVYTMLVKMCVRGGRFVEAANFLVEMTEMGLMPRSQSFDMVVDGLKHCGKLDLARRMEQLEVSLRGN >DRNTG_25621.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21991618:21995886:-1 gene:DRNTG_25621 transcript:DRNTG_25621.7 gene_biotype:protein_coding transcript_biotype:protein_coding MWSIADQMRSLGLPFSPSLFSSIILSYADHGLADLAVDVFNRTRHFNCPQTTAVYNALLTALCNVRNFHGAYALIRRMARKQVAPDRDTFSILVRAWCSAGKLREAQEFLEEMSQRGFSPPVRGRDLLVDGLINAGYLESAKEMVVKMTKEGFLPDVATFNSLVEALTKSEEVDFCIDLLHDASRLGLCPDISTYKVLIPAVSKAGRIEEAFRLLYGSMEDGHKPFPSLYAPIVKELCRAGRFGDAVSFFSDMKVRGHPPNRPVYTMLVKMCVRGGRFVEAANFLVEMTEMGLMPRSQSFDMVVDGLKHCGKLDLARRMEQLEVSLRGN >DRNTG_25621.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21991618:21996057:-1 gene:DRNTG_25621 transcript:DRNTG_25621.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWSIADQMRSLGLPFSPSLFSSIILSYADHGLADLAVDVFNRTRHFNCPQTTAVYNALLTALCNVRNFHGAYALIRRMARKQVAPDRDTFSILVRAWCSAGKLREAQEFLEEMSQRGFSPPVRGRDLLVDGLINAGYLESAKEMVVKMTKEGFLPDVATFNSLVEALTKSEEVDFCIDLLHDASRLGLCPDISTYKVLIPAVSKAGRIEEAFRLLYGSMEDGHKPFPSLYAPIVKELCRAGRFGDAVSFFSDMKVRGHPPNRPVYTMLVKMCVRGGRFVEAANFLVEMTEMGLMPRSQSFDMVVDGLKHCGKLDLARRMEQLEVSLRGN >DRNTG_25621.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21991485:21995886:-1 gene:DRNTG_25621 transcript:DRNTG_25621.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWSIADQMRSLGLPFSPSLFSSIILSYADHGLADLAVDVFNRTRHFNCPQTTAVYNALLTALCNVRNFHGAYALIRRMARKQVAPDRDTFSILVRAWCSAGKLREAQEFLEEMSQRGFSPPVRGRDLLVDGLINAGYLESAKEMVVKMTKEGFLPDVATFNSLVEALTKSEEVDFCIDLLHDASRLGLCPDISTYKVLIPAVSKAGRIEEAFRLLYGSMEDGHKPFPSLYAPIVKELCRAGRFGDAVSFFSDMKVRGHPPNRPVYTMLVKMCVRGGRFVEAANFLVEMTEMGLMPRSQSFDMVVDGLKHCGKLDLARRMEQLEVSLRGN >DRNTG_25621.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21991485:21992291:-1 gene:DRNTG_25621 transcript:DRNTG_25621.11 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRSNPFLIFLLLLVLSSSTIYSQELEEEESLKLLLLEQVGSRRVGGRTEVRDVEKNMEVQELGNFAVKEFNRRRRTCHFDHDHEMLFSKVVHAQSQVVSGIKYYLTVVVEVQAGENEGEKVFEAVVVLKPWLHDYKQLLSFKPSQNA >DRNTG_25621.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21991601:21995482:-1 gene:DRNTG_25621 transcript:DRNTG_25621.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRGFSPPVRGRDLLVDGLINAGYLESAKEMVVKMTKEGFLPDVATFNSLVEALTKSEEVDFCIDLLHDASRLGLCPDISTYKVLIPAVSKAGRIEEAFRLLYGSMEDGHKPFPSLYAPIVKELCRAGRFGDAVSFFSDMKVRGHPPNRPVYTMLVKMCVRGGRFVEAANFLVEMTEMGLMPRSQSFDMVVDGLKHCGKLDLARRMEQLEVSLRGN >DRNTG_25621.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21991601:21992178:-1 gene:DRNTG_25621 transcript:DRNTG_25621.15 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRSNPFLIFLLLLVLSSSTIYSQELEEEESLKLLLLEQVGSRRVGGRTEVRDVEKNMEVQELGNFAVKEFNRRRRTCHFDHDHEMLFSKVVHAQSQVVSGIKYYLTVVVEVQAGENEGEKVFEAVVVLKPWLHDYKQLLSFKPSQNA >DRNTG_25621.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21991601:21992291:-1 gene:DRNTG_25621 transcript:DRNTG_25621.13 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRSNPFLIFLLLLVLSSSTIYSQELEEEESLKLLLLEQVGSRRVGGRTEVRDVEKNMEVQELGNFAVKEFNRRRRTCHFDHDHEMLFSKVVHAQSQVVSGIKYYLTVVVEVQAGENEGEKVFEAVVVLKPWLHDYKQLLSFKPSQNA >DRNTG_25621.16.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21991618:21992178:-1 gene:DRNTG_25621 transcript:DRNTG_25621.16 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRSNPFLIFLLLLVLSSSTIYSQELEEEESLKLLLLEQVGSRRVGGRTEVRDVEKNMEVQELGNFAVKEFNRRRRTCHFDHDHEMLFSKVVHAQSQVVSGIKYYLTVVVEVQAGENEGEKVFEAVVVLKPWLHDYKQLLSFKPSQNA >DRNTG_25621.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21991601:21996171:-1 gene:DRNTG_25621 transcript:DRNTG_25621.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRALAALATPRVNLAPFVRALATLSANPPLARARPSRSTDDYFAAVHLISNVVRTDHYLERTLNRLRLPPLSPDLVYRVLRACASAPTPSRRFFSWARSRPSYRPTTLEFEALLQSHARARLWSSMWSIADQMRSLGLPFSPSLFSSIILSYADHGLADLAVDVFNRTRHFNCPQTTAVYNALLTALCNVRNFHGAYALIRRMARKQVAPDRDTFSILVRAWCSAGKLREAQEFLEEMSQRGFSPPVRGRDLLVDGLINAGYLESAKEMVVKMTKEGFLPDVATFNSLVEALTKSEEVDFCIDLLHDASRLGLCPDISTYKVLIPAVSKAGRIEEAFRLLYGSMEDGHKPFPSLYAPIVKELCRAGRFGDAVSFFSDMKVRGHPPNRPVYTMLVKMCVRGGRFVEAANFLVEMTEMGLMPRSQSFDMVVDGLKHCGKLDLARRMEQLEVSLRGN >DRNTG_25621.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21991618:21992291:-1 gene:DRNTG_25621 transcript:DRNTG_25621.14 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRSNPFLIFLLLLVLSSSTIYSQELEEEESLKLLLLEQVGSRRVGGRTEVRDVEKNMEVQELGNFAVKEFNRRRRTCHFDHDHEMLFSKVVHAQSQVVSGIKYYLTVVVEVQAGENEGEKVFEAVVVLKPWLHDYKQLLSFKPSQNA >DRNTG_25621.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21991618:21995482:-1 gene:DRNTG_25621 transcript:DRNTG_25621.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRGFSPPVRGRDLLVDGLINAGYLESAKEMVVKMTKEGFLPDVATFNSLVEALTKSEEVDFCIDLLHDASRLGLCPDISTYKVLIPAVSKAGRIEEAFRLLYGSMEDGHKPFPSLYAPIVKELCRAGRFGDAVSFFSDMKVRGHPPNRPVYTMLVKMCVRGGRFVEAANFLVEMTEMGLMPRSQSFDMVVDGLKHCGKLDLARRMEQLEVSLRGN >DRNTG_25621.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21991618:21996171:-1 gene:DRNTG_25621 transcript:DRNTG_25621.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRALAALATPRVNLAPFVRALATLSANPPLARARPSRSTDDYFAAVHLISNVVRTDHYLERTLNRLRLPPLSPDLVYRVLRACASAPTPSRRFFSWARSRPSYRPTTLEFEALLQSHARARLWSSMWSIADQMRSLGLPFSPSLFSSIILSYADHGLADLAVDVFNRTRHFNCPQTTAVYNALLTALCNVRNFHGAYALIRRMARKQVAPDRDTFSILVRAWCSAGKLREAQEFLEEMSQRGFSPPVRGRDLLVDGLINAGYLESAKEMVVKMTKEGFLPDVATFNSLVEALTKSEEVDFCIDLLHDASRLGLCPDISTYKVLIPAVSKAGRIEEAFRLLYGSMEDGHKPFPSLYAPIVKELCRAGRFGDAVSFFSDMKVRGHPPNRPVYTMLVKMCVRGGRFVEAANFLVEMTEMGLMPRSQSFDMVVDGLKHCGKLDLARRMEQLEVSLRGN >DRNTG_25621.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21991601:21995886:-1 gene:DRNTG_25621 transcript:DRNTG_25621.6 gene_biotype:protein_coding transcript_biotype:protein_coding MWSIADQMRSLGLPFSPSLFSSIILSYADHGLADLAVDVFNRTRHFNCPQTTAVYNALLTALCNVRNFHGAYALIRRMARKQVAPDRDTFSILVRAWCSAGKLREAQEFLEEMSQRGFSPPVRGRDLLVDGLINAGYLESAKEMVVKMTKEGFLPDVATFNSLVEALTKSEEVDFCIDLLHDASRLGLCPDISTYKVLIPAVSKAGRIEEAFRLLYGSMEDGHKPFPSLYAPIVKELCRAGRFGDAVSFFSDMKVRGHPPNRPVYTMLVKMCVRGGRFVEAANFLVEMTEMGLMPRSQSFDMVVDGLKHCGKLDLARRMEQLEVSLRGN >DRNTG_28073.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21412217:21413483:1 gene:DRNTG_28073 transcript:DRNTG_28073.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATCVASPSLSFSSSSIFFSSSRITYKPPPCSPGILNRTLTIRSDLSTLPILSFSGEKVGDASLDLKSAPPDTARSVVHRGIITDQQNQRRGTASTLTRAEVSGGGKKPYQQKKTGRARRGSQRTPLRPGGGVVFGPRPRDWSIKINRKEKQLALSTAIASAVAAADAFVVEDFGKEFAGGPKTKEFVAAMRRWGLNPNEKAMFLMTEVPDNVMLSCRNIGTLKMLTPRTLNLFDILDSKKLVFTRSALEYLNSMYGLDAVQDEDQEEIS >DRNTG_28073.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21412217:21413483:1 gene:DRNTG_28073 transcript:DRNTG_28073.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCVASPSLSFSSSSIFFSSSRITYKPPPCSPGILNRTLTIRSDLSTLPILSFSGEKVGDASLDLKSAPPDTARSVVHRGIITDQQNQRRGTASTLTRAEVSGGGKKPYQQKKTGRARRGSQRTPLRPGGGVVFGPRPRDWSIKINRKEKQLALSTAIASAVAAADAFVVEDFGKEFAGGPKTKEFVAAMRRWGLNPNEKAMFLMTEVPDNVMLSCRNIGTLKMLTPRTLNLFDILDSKKLVFTRSALEYLNSMYGLDAVQDEDQEEIS >DRNTG_33448.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3032953:3034903:1 gene:DRNTG_33448 transcript:DRNTG_33448.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGFDVSGATTSVYNGRMTIFVVMSCLMAAMGGLIFGYDIGISGGVTSMESFLKKFFPVVYRKMKEDSTTSNYCKFDSQLLTAFTSSLYIAGLIASFLASWVTRAFGRRTSMFFGGVAFLIGSAISGASVNVYMLILGRVFLGIGVGFANQSVPLYLSEMAPARYRGAFSNGFQFSIGVGGLTANLINYGTEKIRQGWGWRLSLSLAAVPATLLIIGALLLPDTPNSLLQHKIEIDKAKQLLQRIRGTDDVDDELNDLIKASEISKTMRHPFRKILHREYRPQLVMAIALPFFQQVTGINVIAFYSPVLFRTIGLGESASLMSAVVSGVIGMVSTLISMFVVDKFGRRTLFLIGGFQMFVTQVMVGGIIAAKLGDQGGISRGYALLVLILICIYVAGFGWSWGPLGWLVPSEIFQLEIRSAAQSITVAVSFVFTFVIAQSFLAMLCHLKAGIFFFFAGWLIIMTAFVCLLLPETKNQPIEQMDRVWRKHWFWKKFY >DRNTG_33448.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3032888:3034876:1 gene:DRNTG_33448 transcript:DRNTG_33448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGFDVSGATTSVYNGRMTIFVVMSCLMAAMGGLIFGYDIGISGGVTSMESFLKKFFPVVYRKMKEDSTTSNYCKFDSQLLTAFTSSLYIAGLIASFLASWVTRAFGRRTSMFFGGVAFLIGSAISGASVNVYMLILGRVFLGIGVGFANQSVPLYLSEMAPARYRGAFSNGFQFSIGVGGLTANLINYGTEKIRQGWGWRLSLSLAAVPATLLIIGALLLPDTPNSLLQHKIEIDKAKQLLQRIRGTDDVDDELNDLIKASEISKTMRHPFRKILHREYRPQLVMAIALPFFQQVTGINVIAFYSPVLFRTIGLGESASLMSAVVSGVIGMVSTLISMFVVDKFGRRTLFLIGGFQMFVTQVMVGGIIAAKLGDQGGISRGYALLVLILICIYVAGFGWSWGPLGWLVPSEIFQLEIRSAAQSITVAVSFVFTFVIAQSFLAMLCHLKAGIFFFFAGWLIIMTAFVCLLLPETKNQPIEQMDRVWRKHWFWKKF >DRNTG_31924.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2445111:2472783:1 gene:DRNTG_31924 transcript:DRNTG_31924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLCGSVQQYAELFDTIQKSLATQQTVMSDMMFKLSKIERGPPPPLLSSPPVSPGTGYPHLMAASSAVGHPSQPL >DRNTG_31924.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2472328:2472783:1 gene:DRNTG_31924 transcript:DRNTG_31924.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGMTTRSRVVEESIHHLNDRQDALLAKMEDLCGSVQQYAELFDTIQKSLATQQTVMSDMMFKLSKIERGPPPPLLSSPPVSPGTGYPHLMAASSAVGHPSQPL >DRNTG_09532.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000400.1:17079:18072:-1 gene:DRNTG_09532 transcript:DRNTG_09532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAVTATVSVMATATMRNDSSNGRKQQQACLVHYLSHSSSNGDGVGDGNINNGDGYMKFRIASGCVFGLS >DRNTG_28466.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22445433:22448025:-1 gene:DRNTG_28466 transcript:DRNTG_28466.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAT5 [Source:Projected from Arabidopsis thaliana (AT2G34960) UniProtKB/TrEMBL;Acc:A0A178VPZ9] MKAGAGTEADAGAKGNESQGRSYWSRSWRDLFPEESFQSWGAYWRAVADTPHRLNDRFQSRSSDAAELGSVRRRSENDMKRCLTWWDLTWFGFGSVIGAGIFVLTGQEAHDHAGPSIILSYIASGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFAAFIAAANLILESIIGSAAVARAWTSYFTTLLNRPSNSLRIHTNLAQDFNLLDPIAVVILGITGTLAMVSTKKTSYFNWVASAVHVGVFRAAAIVYFAYGGFDNIATMAEETKNPSRDIPLGLLGSMSIITVIYCLMALSLTMMQRYSDIDTNAAYSVAFRNVGMKWAQYIVALGALKGMTTVLLVGALGNARYMTHIARSHIIPPLFALVHPKTGTPIYATILITFCSACIAFFSSLEILASLLSISTLFIFMMMAIALLVRRYYVSGVTTKEEQVKLVGFLLLIVASSMGISAYWGIRPHGWVGYVVTVPVWVLGTLGLELFVPQRRKAKVWGVPLVPWLPSLSIATNLFLMGSLGYESFIRFGICTVIMLVYYVFFGVHATYDVAHGEDGEIDKLVDGSDQDKVAAGGEKA >DRNTG_18138.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:549692:558985:-1 gene:DRNTG_18138 transcript:DRNTG_18138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQGKANGSINSMQRVKVYQLKHDGKWDDKGTGHVSVDYLERSEDLGLIVIDEEDHNTLISHRISSDEIYRKQEDTIISWRDPELSTEVALSFQEPTGCSYIWDHICGVQRNLHFNTLSNLEVGPRPTMETFDSVGRSHSNDEPFHAVNSELQELPSVELSTLPLILKTLLECGVTDPLRVADLILQDLEFFPKLVDLFRMCEDSENMDGLHMIFRLVKAIILLNSQ >DRNTG_33736.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32326472:32329598:1 gene:DRNTG_33736 transcript:DRNTG_33736.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSTLSSLLSACLLSFLLGSSTIHGAVIGVLVHKRSKNHHDNGGGGGGGGGALDQNCNFFQGSWVYDESYPLYDSASCPFIEPEFDCQKYGRPDKLYLKYRWQPTACDLPRFNGQDFLLRWKGKKIMFVGDSISLNQWESLNCMLHAALPNTKTTFFRKDTLSSLIFEDYGVSIMYYRTTYLVDIVSEKIGRVLKLDSIEGGSAWLGADMLIFNTWHWWTHKGNSQPWDFVQEGDQIYKDMDRLLAFSKGLATWGRWIDTNINPATTKVFFQGISPTHYQGQDWGGSSSKNCYKETEPVSGSTYPAGSLPEQATVRSVLSNISKPVYLLDITLLSQLRKDAHPSAYSGEHAGIDCSHWCVAGLPDTWNTILYAALS >DRNTG_33736.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32326472:32329598:1 gene:DRNTG_33736 transcript:DRNTG_33736.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWQDYGVSIMYYRTTYLVDIVSEKIGRVLKLDSIEGGSAWLGADMLIFNTWHWWTHKGNSQPWDFVQEGDQIYKDMDRLLAFSKGLATWGRWIDTNINPATTKVFFQGISPTHYQGQDWGGSSSKNCYKETEPVSGSTYPAGSLPEQATVRSVLSNISKPVYLLDITLLSQLRKDAHPSAYSGEHAGIDCSHWCVAGLPDTWNTILYAALS >DRNTG_31729.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14246723:14247804:-1 gene:DRNTG_31729 transcript:DRNTG_31729.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKNSRNGVRIYHAGGERDAPAPPRLTLSTLSNL >DRNTG_19226.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18967484:18968100:1 gene:DRNTG_19226 transcript:DRNTG_19226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVISGRMASTLNPNAEPFVPAVYRSVEDFSDDWWALVQSTPWFRDYWLRECFYEELISDADLDLLGFDDPELSDVEADLLFHSYPSLQQEEEKKRMEVPKNNNEKAAKIVNLRVSPRMIQQPR >DRNTG_26090.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20703095:20723208:1 gene:DRNTG_26090 transcript:DRNTG_26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRRGADQPPQRRILRTQTAGNLGDSIFDSEVVPSSLNEIAPILRVANEVESSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKKSDAREMQSFYTHYYKKYIQALQNAADKADRAQLTKAYQTAAVLFEVLKAVNLNQSVEVDHEISEIHNKVEEKTKIYVPYNILPLDPDSANQAIMRYPEIQAAVSALRNTRGLPWPKDHIQNVDEDLLDWLRAMFGFQKDNVANQREHLILLLANVHIRQFPKPDQQPKLDDRALTEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENIKPAYGGDEEAFLRRVVTPIYKVIAKEAERSKLEKSKHSQWRNYDDLNEYFWSVDCFRLGWPMRVDADFFCQPHASQQESSWRENSEDHKPQIGEWTGKINFVEIRSFWHIFRSFDRMWSFFILSLQAMIILSWNGGSPSDIFDSGVFKKVLSIFITAAILKLGQAVLDVILSWKARRSMALSVKLRYILKVISAAAWVVILPVTYAYTSENPTGFARTIKSWIGNGQNQPSLYIMAVAIYLAPNMLAAILFLFPFLRRFLERSNNKIIMLIMWWSQPRLYVGRGMHESSWSLFKYTMFWVLLIVTKLALSYYIEIKPLVGPTKVIMENPIRKFSWHEFFPQAKNNIGVVIALWSPIILVYFMDTQIWYAIFSTLVGGIYGACRRLGEIRTLGMLRSRFESLPGAFNARLIPLERPDIEKRRGFRDSLSSKVPKTSNDNKDKEAAKFAQMWNKIISSFRDEDLINNREKDLLLVPYWADRELGLIQWPPFLLASKIPIALDMAKDSNGKDYELKKRINSDSYMLCAVQECYRSFKNIIKRLVTSGREKKVIDQIFDVVDGHIENDTLFTGLKMHALPVLHGHFVKLIKFLLENKEEDGRHVVILFQDMLEVVTRDIMEGVEGQFATLLDSVHGSSNGGHEGMTPLDQQVQLFASAGAIKFPYPESDAWTEKIKRLNLLLTVKESAMDVPSNLEARRRISFFSNSLFMNMPSAPKVRNMLSFSVLTPYYKEDVLFSLDHLEETNEDGVSILFYLQKIYPDEWTNFLERVECKSEEELRLTPELEEELRLWASYRGQTLTRTVRGMMYYRKALELQSFLDMAKEEDLLDGYKAVELTSEENSKVERSLWAQCQAVADMKFTYVVSCQQYGIDKRSGDLRAQDILKLMTTYSSLRVAYIDEVEAPSKDKSSKSEKVYYSALVKAALAKPDNPAESVQNLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEAFKMRNLLQEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLRVRFHYGHPDVFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLVTVLTVYIFLYGRLYLVLSGLEEGLASNRKFIHDKPLQVALASQSFVQLGFLMALPMMMEIGLERGFRTALSEFILMQLQLASVFFTFSLGTKTHYYGRTLLHGGAEYRATGRGFVVFHAKFADNYRFYSRSHFIKGIELLILLVVYQIFGQSYRSVVGYLFITVSMWFLVGTWLFAPFLFNPSGFEWQKIVDDWSDWNKWISNRGGIGVLPEKSWESWWEKEQEHLKYSGIRGIICEIALALRFFLYQYGLVYHLNMTNDKSVLVYGVSWLVIFAILLVMKTVSVGRRKFSANFQLVFRLIKGLIFITFVAILIILIAIAHMTLRDIIVCFLAFMPTGWGLLLIAQACRPLVNRAGIWGSVKALARGYEIIMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGPKKDRASRNKE >DRNTG_28987.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16724331:16727618:-1 gene:DRNTG_28987 transcript:DRNTG_28987.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLMGASPGLLEPRHRTCGTLEDSNKRKPHNSKDFKEVEKLIGVHSPERITSCKFHSLKIFLFFIICGTILMLLHLPNMHHNDHFKHSGSRFLNIRWMWSRTALDSRYISHLDVQWTQISKVIGNLDGRKSNLKVGLLNFNNTEVKYWQELLTYSEFLAIHLEHAKSNITWDDLYPEWIDEEEESEVPSCPHLPEPRIPKGLQFDLVAVKLPCNKSGSWSRDVARLHLQLTAARLAAFSRGDHKPVHVLFVTNCFPIPNLFSCKSLVNREGDAWLYKPDLKLLKQKVELPVGSCKLAIPLKAKVKPYSKSRNREAYATILHSAHVYVCGAIAAAQSIRLAGSTRDLIILVDETISNHHRTGLEGAGWKVRTIQRIRNPKAERDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFAMPEITATGNNATLFNSGVMVIEPSNCTFQLLMDHINEIESYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWFGDKEEIKAKKTSLFAADPPILYVLHYLGMKPWLCFRDYDCNWNVDILQEFASDVAHAQWWKIHDQMPKNLQNFCLLRSKQKAGLEWDRRQAEKANYTDGHWKRKIKDSRLNICFENFCFWESMLWHWGETNWTDDNPITTTVTGKLPS >DRNTG_28987.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16724331:16726066:-1 gene:DRNTG_28987 transcript:DRNTG_28987.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRTALDSRYISHLDVQWTQISKVIGNLDGRKSNLKVGLLNFNNTEVKYWQELLTYSEFLAIHLEHAKSNITWDDLYPEWIDEEEESEVPSCPHLPEPRIPKGLQFDLVAVKLPCNKSGSWSRDVARLHLQLTAARLAAFSRGDHKPVHVLFVTNCFPIPNLFSCKSLVNREGDAWLYKPDLKLLKQKVELPVGSCKLAIPLKAKVKPYSKSRNREAYATILHSAHVYVCGAIAAAQSIRLAGSTRDLIILVDETISNHHRTGLEGAGWKVRTIQRIRNPKAERDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFAMPEITATGNNATLFNSGVMVIEPSNCTFQLLMDHINEIESYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWFGDKEEIKAKKTSLFAADPPILYVLHYLGMKPWLCFRDYDCNWNVDILQEFASDVAHAQWWKIHDQMPKNLQNFCLLRSKQKAGLEWDRRQAEKANYTDGHWKRKIKDSRLNICFENFCFWESMLWHWGETNWTDDNPITTTVTGKLPS >DRNTG_23937.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5844335:5846749:-1 gene:DRNTG_23937 transcript:DRNTG_23937.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKNSFGPLNMVKKNATTVELRYQSYDRKGGGPPKSYKTFFGKNLYKIYAESKKLQSDKLKPKEFYQKKKQLVLKACKTVFDRIALQNDQVSQLQASQGQASVSYSKFDRKKRSEDEYMSDGDIEIHIVRMITKARGDPTTEQEHPDQSQDHVDVHQILKLKDAFRVRVWRCR >DRNTG_00078.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21089359:21092166:1 gene:DRNTG_00078 transcript:DRNTG_00078.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEIKRGGGNTTKHQIKVLGHHDQDQHHLPPEWHHPRLRLLS >DRNTG_11557.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15795988:15800994:1 gene:DRNTG_11557 transcript:DRNTG_11557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFYTNFQVDEIGRVVSVGDGIAHVYGLNEIQDGKMVEFASGVKGIDLNLENENVGIVVVGSDTAIKEGDLVKRTRSIVDVPAGKAMLGRVVDALGVPIDVRGALSDHERRCVELKAPRIIELKSVHEPMQTGLKVVDSLKRSTMAQLVQILPEANALEYSIFVVATALDPAPLQFLAPYSGFADCPSFYVHEDRLAKGRIEVIDGQKDRL >DRNTG_27969.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3435060:3437948:1 gene:DRNTG_27969 transcript:DRNTG_27969.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFTLLASEVAVVFLLLFKTPFRKLAVLGLDRVKRGRGPVMAKTVAGTIFIVLGSSVYSMSKIRERSSEIGSLTPTDQVLMSRHLLEASLMGYSLFLALIIDRLHHYIRELRGLRKNVEAVTKQNRALEEAKNGSSEESKAKEKQLSELHEKVKQLELDSESRLNEAKAAEANAFALKRQSEDFLLEYDRMLEENQNLRSQLESIDRLLSHTDSKKSS >DRNTG_01994.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13400364:13402785:1 gene:DRNTG_01994 transcript:DRNTG_01994.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNLKVVDNSGAKRVMCIQALKGRKGARLGDTIIASVKEAQPKGKVKKGEVVYGVVVRAAMQRGRCDGSEIKFDDNAVVLVNKQGEPIGTRVFGPVPHELREKKHVKILTLAEHIA >DRNTG_01994.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13400364:13402785:1 gene:DRNTG_01994 transcript:DRNTG_01994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNLKVVDNSGAKRVMCIQALKGRKGARLGDTIIASVKEAQPKGKVKKGEVVYGVVVRAAMQRGRCDGSEIKFDDNAVVLVNKQGEPIGTRVFGPVPHELREKKHVKILTLAEHIA >DRNTG_01994.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13400364:13402785:1 gene:DRNTG_01994 transcript:DRNTG_01994.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNLKVVDNSGAKRVMCIQALKGRKGARLGDTIIASVKEAQPKGKVKKGEVVYGVVVRAAMQRGRCDGSEIKFDDNAVVLVNKQGEPIGTRVFGPVPHELREKKHVKILTLAEHIA >DRNTG_11124.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30480935:30482620:1 gene:DRNTG_11124 transcript:DRNTG_11124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSRSREMTGFAVRVACLASSLVSLCLMVTAEQHGEIELYGLRLPLHSKWSFSDSLEYLVGISAAVAAHSVLQLALSGRRMLKMVPVIPSRSHAWALFAGDQVFAYAMMSAGSAATGVTNLNRTGIRHSALPDFCKPLHRFCDRMAISLTFAFISCLFLAVSAVIDVLWLSNY >DRNTG_16101.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25436346:25436945:-1 gene:DRNTG_16101 transcript:DRNTG_16101.1 gene_biotype:protein_coding transcript_biotype:protein_coding WVSEHKLTSVGSLWAAAVAASLAYSRKNFPAKTSLRLIHARMHAQALTLAVLSGAALVHYYDTKNSAVDDGKQA >DRNTG_17755.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26085010:26086375:-1 gene:DRNTG_17755 transcript:DRNTG_17755.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAIHLEPFLFDMERDLITPTFKLKRPQLLKYYKASKRKILG >DRNTG_17755.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26085010:26086375:-1 gene:DRNTG_17755 transcript:DRNTG_17755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAIHLEPFLFDMERDLITPTFKLKRPQLLKYYKERIDELYQEAKEKKV >DRNTG_02925.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4180896:4264464:-1 gene:DRNTG_02925 transcript:DRNTG_02925.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATHHLPLYRPSIFRSYLHRRTLNPRFPSLRPSRSSSDDSYLSMWKNAVERDRMSQDFQRLSFEPEAGDDDGDGESEETLVKKTEMFNKILEVPKEERDRVQRLQVIDRAAAAIAAARAIIKEDPLPKPAAASGSDSRWV >DRNTG_02925.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4180896:4264486:-1 gene:DRNTG_02925 transcript:DRNTG_02925.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHHLPLYRPSIFRSYLHRRTLNPRFPSLRPSRSSSDDSYLSMWKNAVERDRMSQDFQRLSFEPEAGDDDGDGESEETLVKKTEMFNKILEVPKEERDRVQRLQVIDRAAAAIAAARAIIKEDPLPKPAAASGSDSRWV >DRNTG_02925.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4180896:4190720:-1 gene:DRNTG_02925 transcript:DRNTG_02925.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWKNAVERDRMSQDFQRLSFEPEAGDDDGDGESEETLVKKTEMFNKILEVPKEERDRVQRLQVIDRAAAAIALRVRLL >DRNTG_02925.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4180896:4264464:-1 gene:DRNTG_02925 transcript:DRNTG_02925.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHHLPLYRPSIFRSYLHRRTLNPRFPSLRPSRSSSDDSYLSMWKNAVERDRMSQDFQRLSFEPEAGDDDGDGESEETLVKKTEMFNKILEVPKEERDRVQRLQVIDRAAAAIAAARAIIKEDPLPKPAAASGSDSRWV >DRNTG_02925.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4261471:4264464:-1 gene:DRNTG_02925 transcript:DRNTG_02925.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATHHLPLYRPSIFRSYLHRRTLNPRFPSLRPSRSSSDDSYLSMWKNAVERDRMSQDFQRLSFEPEAGDDDGDGESEETLVKKTEMFNKILEVPKEERDRVQRLQVIDRAAAAIAAARAIIKEDPLPKPAAASGSDSRWV >DRNTG_02925.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4180896:4264464:-1 gene:DRNTG_02925 transcript:DRNTG_02925.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATHHLPLYRPSIFRSYLHRRTLNPRFPSLRPSRSSSDDSYLSMWKNAVERDRMSQDFQRLSFEPEAGDDDGDGESEETLVKKTEMFNKILEVPKEERDRVQRLQVIDRAAAAIAAARAIIKEDPLPKPAAASGSDSRWV >DRNTG_00394.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17887426:17889459:1 gene:DRNTG_00394 transcript:DRNTG_00394.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGHIASSSPESGVAFYFHMRRSLAPPNNYTFALLIKACTLAGVAIGVARQAHGQVFKCGAEDWLVVKNSLLSMYCNLGHLDDAFELFNKNLDLDVISWNTMISAFGKNGDVWNARKMFDEMPERSLVSWSSVIDGYVRSGDACEALRLFRMMQEERMKPDCVVLASVLKACAQLGALAQGRWIHAYIDRNEIGKDGNVILLTALVDMYCKCGCVDVARQVFDCVRDVRDVVLWNSMIGGLAMNGCGADALELFQGMKERELRPNETTFIEVLCACAHNGLVEEGIKIFDSMKSYGCEPQREHYGCLVDVLARSGRLKEAEQVLVNMPMEPQASQWGALMSACRTHNNIDVGERAGRRSIMLEPHDGGRYVLLANMYANVGRWKEASNTRRAMEAKGVNKEIGCSFIEWEGIVHEFKVGDMGHDQTREIYAMLEEIERKLEINGYVKDASQLVVDVSDEEEKGIALAYHSEKLAIAFGMINMASGLPIRIVKNLRVCRDCHEYTKLVSKVYQRELIVRDRNRFHRFADGQCSCKDFW >DRNTG_11731.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3748172:3749862:1 gene:DRNTG_11731 transcript:DRNTG_11731.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGQAVRLWKVIEDGISSEDPTKKEIEDDAKALFLLQQAVNETILHRIMRFDSAKGAWYHIKNENQGTSRMVSMRQQTLRQRGMGNELKDSDVILKVMRSLASRFIHVVTSIKEARDASKVTLDELSGSLQAYEARETLEVAEVIREEEEGLVVSEEEEELGILQEEMIRELICKDNRIFMKTVEMAQGHLADLVEVRGSLDSALREEICKMFNVSGTRIMGTINHIAGLHNIKVQVRQEVLPIHQLQAHLKPWGLGDAIGDEFSNKAAFPVWDHCSIAM >DRNTG_18660.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15934748:15937842:-1 gene:DRNTG_18660 transcript:DRNTG_18660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFLTLLIVILSLGIKKINPENLVLRTWISSLSKEILHFLGSDLTGGIMNPATAFGWACVQGDHTTKEHLLVYWLAPVEGALLGVWACSLFVDLKKHKEHHQTSYKVKSE >DRNTG_18660.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15934748:15940717:-1 gene:DRNTG_18660 transcript:DRNTG_18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLVLSDLLISLLWVWSGSILRYFAYTFFGLGMHTGIGLLKLILAVLYISFSWLGKATNGGAYNPLMVLCHAISGSFSGFLFVIFVRIPAQAFGWACVQGDHTTKEHLLVYWLAPVEGALLGVWACSLFVDLKKHKEHHQTSYKVKSE >DRNTG_09867.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18718963:18719889:-1 gene:DRNTG_09867 transcript:DRNTG_09867.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRRAPPRFDRRRYSDPVRCFTLKASKEMRKSLEGVRKQGRVVIMQGIRKYGQLMITIGILAANLSNYSTAKIQGGWGWRVSLALAAVPAGIITLSSLFLLDTPNSLIERGHEDKAKEMLCKIHGIEDIQMEYDDMLTASEEAKKIQHMWSNIFKRKYRPQLTMSILIPFFPTIDGHQYYHVLCTCAIQDYWI >DRNTG_20062.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26317252:26322578:1 gene:DRNTG_20062 transcript:DRNTG_20062.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNWEDDEFVPPPPSKEPPKSKWDDEDVEDEDVKDSWEDDDTTQAPKSAPPVEKTTTKPGGKAAGKKVKEPEQKPEQNVTQVNQEVDEVLSDPVAEKLRQQRQVYLFHLRSS >DRNTG_20062.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26317252:26322578:1 gene:DRNTG_20062 transcript:DRNTG_20062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNWEDDEFVPPPPSKEPPKSKWDDEDVEDEDVKDSWEDDDTTQAPKSAPPVEKTTTKPGGKAAGKKVKEPEQKPEQNVTQVNQEVDEVLSDPVAEKLRQQRLVEEADYKSTAELFSKSNNSDAKSLENFIPNSESDFTEYAELISHKIRPYEKSFHYIGLLKAVMRLSMTALKAADAKEIATSVTAIANEKLKAEKEANAGKKKQGAKKKQLHVGKPDEDIDVRGTYDDLGDDYDFM >DRNTG_20708.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17964439:17966058:-1 gene:DRNTG_20708 transcript:DRNTG_20708.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFLVNGLKERTAHPHHSLTHSLMPPTNHQHFKLITHSYNTFTVRTRRISPTEKMMSPIVFLVILLPATLAIKSNSLESGHKLLSNITVMGFVYCDTCSNNTFSKHSYFLQGAKVQISCKFKVSSSSGAEISIDAERMTDRIGFYKLDIPPVDGFECKEGHEIQSFCRANLIKSSSPSCNIPGLRSSTGHVAVKSRDANICFFNLNALNYRPAKINYDQCATKGEVYPTNALNSSLFFWPPFIPFVFPWPHLPPLPFPFPPFPFPLPSWFPFPNSPSTDTPAFPFPLPPISSFFPPCSCYAPPSLTPSAGQPSPPSTLSPTFSSPSSQLQNKHP >DRNTG_20708.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17964439:17965805:-1 gene:DRNTG_20708 transcript:DRNTG_20708.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFLVNGLKERTAHPHHSLTHSLMPPTNHQHFKLITHSYNTFTVRTRRISPTEKMMSPIVFLVILLPATLAIKSNSLESGHKLLSNITVMGFVYCDTCSNNTFSKHSYFLQGAKVQISCKFKVSSSSGAEISIDAERMTDRIGFYKLDIPPVDGFECKEGHEIQSFCRANLIKSSSPSCNIPGLRSSTGHVAVKSRDANICFFNLNALNYRPAKINYDQCATKGEVYPTNALNSSLFFWPPFIPFVFPWPHLPPLPFPFPPFPFPLPSWFPFPNSPSTDTPAFPFPLPPISSFFPPCSCYAPPSLTPSAGQPSPPSTLSPTFSSPSSQLQNKHP >DRNTG_20708.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17964439:17965704:-1 gene:DRNTG_20708 transcript:DRNTG_20708.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTNHQHFKLITHSYNTFTVRTRRISPTEKMMSPIVFLVILLPATLAIKSNSLESGHKLLSNITVMGFVYCDTCSNNTFSKHSYFLQGAKVQISCKFKVSSSSGAEISIDAERMTDRIGFYKLDIPPVDGFECKEGHEIQSFCRANLIKSSSPSCNIPGLRSSTGHVAVKSRDANICFFNLNALNYRPAKINYDQCATKGEVYPTNALNSSLFFWPPFIPFVFPWPHLPPLPFPFPPFPFPLPSWFPFPNSPSTDTPAFPFPLPPISSFFPPCSCYAPPSLTPSAGQPSPPSTLSPTFSSPSSQLQNKHP >DRNTG_20708.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17963871:17966058:-1 gene:DRNTG_20708 transcript:DRNTG_20708.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFLVNGLKERTAHPHHSLTHSLMPPTNHQHFKLITHSYNTFTVRTRRISPTEKMMSPIVFLVILLPATLAIKSNSLESGHKLLSNITVMGFVYCDTCSNNTFSKHSYFLQGAKVQISCKFKVSSSSGAEISIDAERMTDRIGFYKLDIPPVDGFECKEGHEIQSFCRANLIKSSSPSCNIPGLRSSTGHVAVKSRDANICFFNLNALNYRPAKINYDQCATKGEVYPTNALNSSLFFWPPFIPFVFPWPHLPPLPFPFPPFPFPLPSWFPFPNSPSTDTPAFPFPLPPISSFFPPCSCYAPPSLTPSAGQPSPPSTLSPTFSSPSSQLQNKHP >DRNTG_20708.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17963871:17965704:-1 gene:DRNTG_20708 transcript:DRNTG_20708.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTNHQHFKLITHSYNTFTVRTRRISPTEKMMSPIVFLVILLPATLAIKSNSLESGHKLLSNITVMGFVYCDTCSNNTFSKHSYFLQGAKVQISCKFKVSSSSGAEISIDAERMTDRIGFYKLDIPPVDGFECKEGHEIQSFCRANLIKSSSPSCNIPGLRSSTGHVAVKSRDANICFFNLNALNYRPAKINYDQCATKGEVYPTNALNSSLFFWPPFIPFVFPWPHLPPLPFPFPPFPFPLPSWFPFPNSPSTDTPAFPFPLPPISSFFPPCSCYAPPSLTPSAGQPSPPSTLSPTFSSPSSQLQNKHP >DRNTG_20708.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17963871:17965805:-1 gene:DRNTG_20708 transcript:DRNTG_20708.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFLVNGLKERTAHPHHSLTHSLMPPTNHQHFKLITHSYNTFTVRTRRISPTEKMMSPIVFLVILLPATLAIKSNSLESGHKLLSNITVMGFVYCDTCSNNTFSKHSYFLQGAKVQISCKFKVSSSSGAEISIDAERMTDRIGFYKLDIPPVDGFECKEGHEIQSFCRANLIKSSSPSCNIPGLRSSTGHVAVKSRDANICFFNLNALNYRPAKINYDQCATKGEVYPTNALNSSLFFWPPFIPFVFPWPHLPPLPFPFPPFPFPLPSWFPFPNSPSTDTPAFPFPLPPISSFFPPCSCYAPPSLTPSAGQPSPPSTLSPTFSSPSSQLQNKHP >DRNTG_25263.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19355353:19357032:-1 gene:DRNTG_25263 transcript:DRNTG_25263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLPRNAARLLFICTVLICFFSANSFASVQSQVSGVIECSDCKLKKIKNEHAFAGLHVVIKCKATEGKDYETKTSIKLDKEGKFQTKLEEQELLKECIAQIHSANNVPCNDPSKIISNGKHSMTTATKLQFSSTTCVSAFFWHPIPSWPPLPPHPWHKPFPFPPKPYPHPPYYSPPVYQPPPTPEPVYKPPPSPTPEYKPPPTPVPVYKPPPTPVPVYKPPPTPVPVYKPPPTPVPVYKPPPVPVYKPPPVKKPCPPPVPVYKPPPVKKPCPPPVPVYKPPPVEKPCPPVYNKPLPPPVPKYPPVYNKPLPPPVPKYPPVYKKPLPPPFPKYPPIYKKPLPPLPKYPPIYKKPLPPLPKVPSHLQEAIASIATIAKVPSHLQEAIATIAQVPSTSQA >DRNTG_25263.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19355353:19357032:-1 gene:DRNTG_25263 transcript:DRNTG_25263.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLPRNAARLLFICTVLICFFSANSFASVQSQVSGVIECSDCKLKKIKNEHAFAGLHVVIKCKATEGKDYETKTSIKLDKEGKFQTKLEEQELLKECIAQIHSANNVPCNDPSKIISNGKHSMTTATKLQFSSTTCVSAFFWHPIPSWPPLPPHPWHKPFPFPPKPYPHPPYYSPPVYQPPPTPEPVYKPPPSPTPEYKPPPTPVPVYKPPPTPVPVYKPPPTPVPVYKPPPTPVPVYKPPPVPVYKPPPVKKPCPPPVPVYKPPPVKKPCPPPVPVYKPPPVEKPCPPVYNKPLPPPVPKYPPVYNKPLPPPVPKYPPVYKKPLPPPFPKYPPIYKKPLPPLPKYPPIYKKPLPPLPKYPPIYKKPLPPLPKFPPHPKHKKYPPSYGWPPLPPFSYHHPLPPHSGN >DRNTG_01296.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1909856:1911535:1 gene:DRNTG_01296 transcript:DRNTG_01296.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEATEKDMEERARRRRERSKGKQKREERTEDERWCERCLMECVRGRPERRARRARRRERAVTAAVGTRALERSAAETGQMRKARMAVKAEGSARRTRMVSRRISRAFIGGMTVVPAMESEAIGGGGRGWRKAEVSEGDAKRTPCVR >DRNTG_01296.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1909620:1911364:1 gene:DRNTG_01296 transcript:DRNTG_01296.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATEKDMEERARRRRERSKGKQKREERTEDERWCERCLMECVRGRPERRARRARRRERAVTAAVGTRALERSAAETGQMRKARMAVKAEGSARRTRMVSRRISRAFIGGMTVVPAMESEAIGGGGRGWRKAEVSEGDAKRTPCVR >DRNTG_01296.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1909954:1911416:1 gene:DRNTG_01296 transcript:DRNTG_01296.11 gene_biotype:protein_coding transcript_biotype:protein_coding MEATEKDMEERARRRRERSKGKQKREERTEDERWCERCLMECVRGRPERRARRARRRERAVTAAVGTRALERSAAETGQMRKARMAVKAEGSARRTRMVSRRISRAFIGGMTVVPAMESEAIGGGGRGWRKAEVSEGDAKRTPCVR >DRNTG_01296.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1909856:1911416:1 gene:DRNTG_01296 transcript:DRNTG_01296.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEATEKDMEERARRRRERSKGKQKREERTEDERWCERCLMECVRGRPERRARRARRRERAVTAAVGTRALERSAAETGQMRKARMAVKAEGSARRTRMVSRRISRAFIGGMTVVPAMESEAIGGGGRGWRKAEVSEGDAKRTPCVR >DRNTG_01296.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1909620:1911416:1 gene:DRNTG_01296 transcript:DRNTG_01296.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATEKDMEERARRRRERSKGKQKREERTEDERWCERCLMECVRGRPERRARRARRRERAVTAAVGTRALERSAAETGQMRKARMAVKAEGSARRTRMVSRRISRAFIGGMTVVPAMESEAIGGGGRGWRKAEVSEGDAKRTPCVR >DRNTG_01296.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1909823:1911416:1 gene:DRNTG_01296 transcript:DRNTG_01296.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEATEKDMEERARRRRERSKGKQKREERTEDERWCERCLMECVRGRPERRARRARRRERAVTAAVGTRALERSAAETGQMRKARMAVKAEGSARRTRMVSRRISRAFIGGMTVVPAMESEAIGGGGRGWRKAEVSEGDAKRTPCVR >DRNTG_01296.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1909823:1911364:1 gene:DRNTG_01296 transcript:DRNTG_01296.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEATEKDMEERARRRRERSKGKQKREERTEDERWCERCLMECVRGRPERRARRARRRERAVTAAVGTRALERSAAETGQMRKARMAVKAEGSARRTRMVSRRISRAFIGGMTVVPAMESEAIGGGGRGWRKAEVSEGDAKRTPCVR >DRNTG_01296.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1909823:1911535:1 gene:DRNTG_01296 transcript:DRNTG_01296.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEATEKDMEERARRRRERSKGKQKREERTEDERWCERCLMECVRGRPERRARRARRRERAVTAAVGTRALERSAAETGQMRKARMAVKAEGSARRTRMVSRRISRAFIGGMTVVPAMESEAIGGGGRGWRKAEVSEGDAKRTPCVR >DRNTG_01296.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1909954:1911535:1 gene:DRNTG_01296 transcript:DRNTG_01296.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEATEKDMEERARRRRERSKGKQKREERTEDERWCERCLMECVRGRPERRARRARRRERAVTAAVGTRALERSAAETGQMRKARMAVKAEGSARRTRMVSRRISRAFIGGMTVVPAMESEAIGGGGRGWRKAEVSEGDAKRTPCVR >DRNTG_01296.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1909954:1911416:1 gene:DRNTG_01296 transcript:DRNTG_01296.10 gene_biotype:protein_coding transcript_biotype:protein_coding MEATEKDMEERARRRRERSKGKQKREERTEDERWCERCLMECVRGRPERRARRARRRERAVTAAVGTRALERSAAETGQMRKARMAVKAEGSARRTRMVSRRISRAFIGGMTVVPAMESEAIGGGGRGWRKAEVSEGDAKRTPCVR >DRNTG_01296.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1909954:1911364:1 gene:DRNTG_01296 transcript:DRNTG_01296.12 gene_biotype:protein_coding transcript_biotype:protein_coding MEATEKDMEERARRRRERSKGKQKREERTEDERWCERCLMECVRGRPERRARRARRRERAVTAAVGTRALERSAAETGQMRKARMAVKAEGSARRTRMVSRRISRAFIGGMTVVPAMESEAIGGGGRGWRKAEVSEGDAKRTPCVR >DRNTG_01296.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1909856:1911416:1 gene:DRNTG_01296 transcript:DRNTG_01296.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEATEKDMEERARRRRERSKGKQKREERTEDERWCERCLMECVRGRPERRARRARRRERAVTAAVGTRALERSAAETGQMRKARMAVKAEGSARRTRMVSRRISRAFIGGMTVVPAMESEAIGGGGRGWRKAEVSEGDAKRTPCVR >DRNTG_01296.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1910222:1911416:1 gene:DRNTG_01296 transcript:DRNTG_01296.13 gene_biotype:protein_coding transcript_biotype:protein_coding MEATEKDMEERARRRRERSKGKQKREERTEDERWCERCLMECVRGRPERRARRARRRERAVTAAVGTRALERSAAETGQMRKARMAVKAEGSARRTRMVSRRISRAFIGGMTVVPAMESEAIGGGGRGWRKAEVSEGDAKRTPCVR >DRNTG_07469.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26845942:26852540:-1 gene:DRNTG_07469 transcript:DRNTG_07469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCSKYCSQPAKKELSRESARACGISTDLCGISTGACGTSTGPCGCPIPGLFKADFQPNFSILFSILFPTFERAMARVLRGIGKAFGEILRLRHRSPLGRRLLGELSSAPIRRGIDVRALQIYLRHWRWPKPSPVKPVCSSFLHAFSSPARMATAPPLETPSSDVFNLRIVMGYLFLFLLGIQWLPTKNTGTQGGDDKCYACSISFLVPGIFALP >DRNTG_11827.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:258679:259167:-1 gene:DRNTG_11827 transcript:DRNTG_11827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGFLPFSAIYIELYYIFASVWGHKIYTIYSILFIVFIILIIVTAFITVALTYFQLAVEDHEWWW >DRNTG_34343.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21138360:21142447:-1 gene:DRNTG_34343 transcript:DRNTG_34343.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRNMSAGNAVKEAILNKIPAAKVDVMELDLSSKASVRKFASDFNAAGLPLNILINNAGLGFAPFELSQDGIELIFATNHIGHFLLTELLLDNIKNTAHESNIEGRIINVASDAYKFTYRERIRFDKISDKSGYNGFFSYGQSKLANILHANHLSSILKEQGTNVTINSVHPGAIVTNIFRHTPIIGGVVTALGKYVMKNVQQGAATTCYVALHPQVKGVTGKYFVDSNVAELKSHAADPEMAKKLWELSLNMI >DRNTG_14965.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24097987:24099850:-1 gene:DRNTG_14965 transcript:DRNTG_14965.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 29 [Source:Projected from Arabidopsis thaliana (AT3G17070) UniProtKB/Swiss-Prot;Acc:Q9LSP0] MLSSSSSSTSSLSNHSQNEIMVKTRSPLLAVLHCVAVVPLILVSIAEIVHAGGNAGLSYDFYQQTCPQVESIVRKTLLPVFMSDPRSPAAFLRLFFHDCIVQGCDASILLDNNSGEMASGKNFGIRNRELIQMMNSLLEVHCPGVVSCADIIALAAKEAVAFSGGPCFDIPLGRKDATVSSFLRADAKLPSPKDGVDRTLEIFSSKGMSIEESVAILGAHSIGVGHCLSIVHRLYDRKERHRDYNMDHGFEIMLRLKCPYKVPLSNETFVANDLTSLIFDNQYYKDIVSGRGLFTVDSEIALDPRTASFVKMFAEDQEYFFRSFSMAFVRLSSSVVLSTGEIRRVCNRVNAY >DRNTG_18912.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3529267:3533376:1 gene:DRNTG_18912 transcript:DRNTG_18912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNAPSATTRDVAVPELCSLRDNITSGRTHFEEVTSRYSHCSYAKRGGDLGDLGRGQMQKPFED >DRNTG_32360.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7225253:7226724:1 gene:DRNTG_32360 transcript:DRNTG_32360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLIRLRCSSILSRPSSSISIRSLSSTHNAPPPSRRHPPPPPHDFSSSCAFLGSWEKPDDPRVAQARLDHLRKDYKRQVKQLRREYAHDVELLRVEKQRKDEARREAARLANEQRRAAKAAAAETRAAERIAFQEEFRQTLLKERAEKLELWRARELQREKEEG >DRNTG_23994.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6008517:6009145:1 gene:DRNTG_23994 transcript:DRNTG_23994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPHELFLFTHTKKHDGQTFIDEKSKSLNDKVLSLREAPQTISGSRNDNSQPIDEVALYYETVGCEKKRRVYGLGIQASYYCGGNTNASKSSTSSFESQNQEKLQNELAIMKKKIEAQDNLIVDLKRTIEMLCNHIGMPPLHGTQNASNNQPKESEGTRDGDGDGGEDP >DRNTG_01691.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23753424:23757885:-1 gene:DRNTG_01691 transcript:DRNTG_01691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGGWRPPFTAAQWQELEHQALIFKYMMAGVPVPQELLIPIRRSFEALSSRYYQHPALGYCYYGKKLDPEPGRCRRTDGKKWRCSKDAYPDSKYCERHMHRGRNRSRKPVETQTISQSQSSSSTVTSLAPSGSSSSFQSATPLHAVTGTGNNPQGSFSVGSSGGSSSRLHVDPGSYGMASKDYRYLQGVLKPDVDEHSFFSEASGSARGMGMDSSLDSSWRLMPSQVSSFPLSKTGNSGSLLQSNYPPPLHSLHDLGQTSMSSLSMQHQHQHQHQQQQQHSLFGAEFGSSDSVKHESQSLRPFFDEWPKTKDSWSDLEDDRSNRTSYSTTQLSISIPMASSDFSTTSSRSPNDD >DRNTG_01691.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23753424:23757783:-1 gene:DRNTG_01691 transcript:DRNTG_01691.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGGWRPPFTAAQWQELEHQALIFKYMMAGVPVPQELLIPIRRSFEALSSRYYQHPALGYCYYGKKLDPEPGRCRRTDGKKWRCSKDAYPDSKYCERHMHRGRNRSRKPVETQTISQSQSSSSTVTSLAPSGSSSSFQSATPLHAVTGTGNNPQGSFSVGSSGGSSSRLHVDPGSYGMASKDYRYLQGVLKPDVDEHSFFSEASGSARGMGMDSSLDSSWRLMPSQVSSFPLSKTGNSGSLLQSNYPPPLHSLHDLGQTSMSSLSMQHQHQHQHQQQQQHSLFGAEFGSSDSVKHESQSLRPFFDEWPKTKDSWSDLEDDRSNRTSYSTTQLSISIPMASSDFSTTSSRSPNDD >DRNTG_01691.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23753351:23757783:-1 gene:DRNTG_01691 transcript:DRNTG_01691.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGGWRPPFTAAQWQELEHQALIFKYMMAGVPVPQELLIPIRRSFEALSSRYYQHPALGYCYYGKKLDPEPGRCRRTDGKKWRCSKDAYPDSKYCERHMHRGRNRSRKPVETQTISQSQSSSSTVTSLAPSGSSSSFQSATPLHAVTGTGNNPQGSFSVGSSGGSSSRLHVDPGSYGMASKDYRYLQGVLKPDVDEHSFFSEASGSARGMGMDSSLDSSWRLMPSQVSSFPLSKTGNSGSLLQSNYPPPLHSLHDLGQTSMSSLSMQHQHQHQHQQQQQHSLFGAEFGSSDSVKHESQSLRPFFDEWPKTKDSWSDLEDDRSNRTSYSTTQLSISIPMASSDFSTTSSRSPNDD >DRNTG_08239.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000373.1:62394:72200:-1 gene:DRNTG_08239 transcript:DRNTG_08239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECVPTSSYSSGHIHLEGHKGSHIRAFRLMHIEQELHHCVVARFQPYLKPNQPRFWKKVGRGAYIEAYPIPDKGILGRRVKDFPQDHRHDYRGGHVNTTEDIILGCVLALRLGEIKSFNFKGRASSSKEEEHLAFLGDFSLRVTLGSRVHLGNLWFGEALARSLEWFYSLQHRVPLEDSYWGSFHRHRFGEVCPRLYKETLGEDELQLQVITRGNPSTLVEGDLDFGRRIHRRGKEPMQEQSNQAKIEGHKEPMENKKISFRQKEKKPGISYQPHTTQYRGRQNKGLFWAYFEKPLERLWATLGRRRRARKLRDHSRPRSKTLKARRQHHSRGDLPRFEGRRAATRGSVIRHSFGRESIIRHSFGRESVIRHIFYLLLHYFI >DRNTG_21039.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001194.1:6001:11672:1 gene:DRNTG_21039 transcript:DRNTG_21039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDWVIRPVDVGNAEYGKCFSPSRNYKEITFEVRVVRPSMKERSQCGLQCTDFGGNLAKVQTRRHRSIYSGRIL >DRNTG_19068.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:284601:286013:1 gene:DRNTG_19068 transcript:DRNTG_19068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLSKKIYLYNVTMGLYMLDWWERYLFNILILVLLWFICYNGCRFVTQIYNSNFSAEFMVGKKFSTAGFVPT >DRNTG_28585.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23046008:23048995:1 gene:DRNTG_28585 transcript:DRNTG_28585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNHNHDDELMAAVSVERNANRLDMPLSLLIIKRKKIWERELMEAGELACCSAKKAFSSMVFMVRELQSHALHMRSDDDQPPLPGILDRVRSDLHASFVCLFQRVFCCNPTLMLYLMIILANFTVYSMGRNPTTAIPPSQSLLVSISDIINTDDHYPSAAQLQDETIEWNKFVEDALRMRASTTDEALMDPDVLRQFVAPVSVQIPPEEDSCQHYINTELMYERALSEDPDNALLLSNFAQFLYLVHHNYDRAEYYFERAVEIQPTDAEALNRYACFLWLVRKNLGAAEETFLEAMAADPGNLVYAANYSHFNWKTGAKETCFPILDNPDAY >DRNTG_28469.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:376087:379771:-1 gene:DRNTG_28469 transcript:DRNTG_28469.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDGLDALNSSGTEDRREDSRASGTKTNGADSSENESESSVNESGNSGSTQRPSTEKREGVKRSAAGNLAPITMTSRHCRSVSVDSFMNKLHFGEEPSKLPPSPGIRPGQHSHSGSMDGSPNTFSLEFGNGEFSGAELKKIMANEKLQEIAMTDPKRAKRILANRQSAARSKERKMRYIAELEHKVQTLQTEATSLSAQLTMLQRDSAGLTSQNNELKFRLQAMEQQAQLRDALNEALTTEVQRLKLVTAELGDPHRLSNSLNQQMQLNPHMFHLQQLQKAQQHQEQQQQQQQQPAHTPLFQKQQNDSGSNNELSNDKMKH >DRNTG_28469.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:376039:379771:-1 gene:DRNTG_28469 transcript:DRNTG_28469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDGLDALNSSGTEDRREDSRASGTKTNGADSSENESESSVNESGNSGSTQRPSTEKREGVKRSAAGNLAPITMTSRHCRSVSVDSFMNKLHFGEEPSKLPPSPGIRPGQHSHSGSMDGSPNTFSLEFGNGEFSGAELKKIMANEKLQEIAMTDPKRAKRILANRQSAARSKERKMRYIAELEHKVQTLQTEATSLSAQLTMLQRDSAGLTSQNNELKFRLQAMEQQAQLRDALNEALTTEVQRLKLVTAELGDPHRLSNSLNQQMQLNPHMFHLQQLQKAQQHQEQQQQQQQQPAHTPLFQKQQNDSGSNNELSNDKMKH >DRNTG_28469.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:376087:379814:-1 gene:DRNTG_28469 transcript:DRNTG_28469.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDGLDALNSSGTEDRREDSRASGTKTNGADSSENESESSVNESGNSGSTQRPSTEKREGVKRSAAGNLAPITMTSRHCRSVSVDSFMNKLHFGEEPSKLPPSPGIRPGQHSHSGSMDGSPNTFSLEFGNGEFSGAELKKIMANEKLQEIAMTDPKRAKRILANRQSAARSKERKMRYIAELEHKVQTLQTEATSLSAQLTMLQRDSAGLTSQNNELKFRLQAMEQQAQLRDALNEALTTEVQRLKLVTAELGDPHRLSNSLNQQMQLNPHMFHLQQLQKAQQHQEQQQQQQQQPAHTPLFQKQQNDSGSNNELSNDKMKH >DRNTG_28469.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:376087:379771:-1 gene:DRNTG_28469 transcript:DRNTG_28469.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDGLDALNSSGTEDRREDSRASGTKTNGADSSENESESSVNESGNSGSTQRPSTEKREGVKRSAAGNLAPITMTSRHCRSVSVDSFMNKLHFGEEPSKLPPSPGIRPGQHSHSGSMDGSPNTFSLEFGNGEFSGAELKKIMANEKLQEIAMTDPKRAKRILANRQSAARSKERKMRYIAELEHKVQTLQTEATSLSAQLTMLQRDSAGLTSQNNELKFRLQAMEQQAQLRDGNFLILV >DRNTG_18844.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2582300:2590523:-1 gene:DRNTG_18844 transcript:DRNTG_18844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTLIINSKTTTITILCTSVTLLIFLCYKTITRWLRRRCLSLPPGPAGVPIFGNLLTLNPELHTHFATLAKTHGPIFTIKLGNRLAIVISGVDMAKEVLRLQDDNFANRIIPAAAKTMEYIKSNIVSSPNGPTLRLLRKICVREMLGKASLDRVYWLRQREMKSLLIHLEEMAGEAVDVGAQMFLMVMNVITSMLWGGVVEGEEKRKEVGKEFREVVGDITEMLGKPNLSDFFPRLERFDVQGIQRKMKEKLVRLDGIFERIIEERKKNGGDGCEDKDFLGFMLRMEKDGGGDDNSKSGATTPFTINHVKALLMVRYMHSIRIQLIYMSSKASMIHSLIY >DRNTG_12099.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4931069:4931348:-1 gene:DRNTG_12099 transcript:DRNTG_12099.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVFAGYSHPYPPPPSPPPEYGSPYPPPPRPGVYPPGAPPPPHTQVYYHHHHDHHRQDDSSCLSFLQG >DRNTG_26592.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20059949:20062984:1 gene:DRNTG_26592 transcript:DRNTG_26592.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWSSDWPLPPLPPPRKTLFKTTSLFISISISPTPSINPFATRKSIFTNSSKPSSSPPLLDNTPNGLQLIQIPPISDLEFTTDFIHGLCQNSTTESIAFDYYQKAKQQPQFKPLTQTMNLLIKTLLKSKQWSSISTLVHDFKVFSVFPGRLTCSRLITSCIASRKFKLTESLLSIVEAKEEIAVSAFSSAMRSYNKLHMYSSTVMISKRMKSSGIAVTPRGYLYIMKAHHMLGNPQMVFSLFSEFEAKNWKFPELTAKIYAILCDSLGKSGKASEALRYFRDMLSKGIPPNHSLYASLISSFAVIREAEVAESLFQEAKKKAMVKDLAVFLKLVVMYLDVGLEEKAVGVVEAMVEMKIWVTDCILCAIVNGYNRRRGLKASVMVYERFISLGCEPGQVTYASMISIYWRLGLPLKAEALFLEMMEKGFNRCLVAYSTMISMYGKLGRASDAMKLLAKMKEIGCKPNVWVYNALLDMHGRLVNLRQVEKLWKEMKRRKIQADKFSYTSIIGAYNKAGEFEECIKYYHEFKMNGGKLDRALCGIMASVFSKTERIDELLKLLQEMKSEGVMFDERLYKSALNALRDAALHLQVEWFQKNVSFHKDET >DRNTG_26592.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20059654:20062984:1 gene:DRNTG_26592 transcript:DRNTG_26592.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLYYISNEVHQWRLLALSTHSSLPKAMAIWSSDWPLPPLPPPRKTLFKTTSLFISISISPTPSINPFATRKSIFTNSSKPSSSPPLLDNTPNGLQLIQIPPISDLEFTTDFIHGLCQNSTTESIAFDYYQKAKQQPQFKPLTQTMNLLIKTLLKSKQWSSISTLVHDFKVFSVFPGRLTCSRLITSCIASRKFKLTESLLSIVEAKEEIAVSAFSSAMRSYNKLHMYSSTVMISKRMKSSGIAVTPRGYLYIMKAHHMLGNPQMVFSLFSEFEAKNWKFPELTAKIYAILCDSLGKSGKASEALRYFRDMLSKGIPPNHSLYASLISSFAVIREAEVAESLFQEAKKKAMVKDLAVFLKLVVMYLDVGLEEKAVGVVEAMVEMKIWVTDCILCAIVNGYNRRRGLKASVMVYERFISLGCEPGQVTYASMISIYWRLGLPLKAEALFLEMMEKGFNRCLVAYSTMISMYGKLGRASDAMKLLAKMKEIGCKPNVWVYNALLDMHGRLVNLRQVEKLWKEMKRRKIQADKFSYTSIIGAYNKAGEFEECIKYYHEFKMNGGKLDRALCGIMASVFSKTERIDELLKLLQEMKSEGVMFDERLYKSALNALRDAALHLQVEWFQKNVSFHKDET >DRNTG_26592.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20059949:20062984:1 gene:DRNTG_26592 transcript:DRNTG_26592.12 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDLAVFLKLVVMYLDVGLEEKAVGVVEAMVEMKIWVTDCILCAIVNGYNRRRGLKASVMVYERFISLGCEPGQVTYASMISIYWRLGLPLKAEALFLEMMEKGFNRCLVAYSTMISMYGKLGRASDAMKLLAKMKEIGCKPNVWVYNALLDMHGRLVNLRQVEKLWKEMKRRKIQADKFSYTSIIGAYNKAGEFEECIKYYHEFKMNGGKLDRALCGIMASVFSKTERIDELLKLLQEMKSEGVMFDERLYKSALNALRDAALHLQVEWFQKNVSFHKDET >DRNTG_26592.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20059949:20063071:1 gene:DRNTG_26592 transcript:DRNTG_26592.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWSSDWPLPPLPPPRKTLFKTTSLFISISISPTPSINPFATRKSIFTNSSKPSSSPPLLDNTPNGLQLIQIPPISDLEFTTDFIHGLCQNSTTESIAFDYYQKAKQQPQFKPLTQTMNLLIKTLLKSKQWSSISTLVHDFKVFSVFPGRLTCSRLITSCIASRKFKLTESLLSIVEAKEEIAVSAFSSAMRSYNKLHMYSSTVMISKRMKSSGIAVTPRGYLYIMKAHHMLGNPQMVFSLFSEFEAKNWKFPELTAKIYAILCDSLGKSGKASEALRYFRDMLSKGIPPNHSLYASLISSFAVIREAEVAESLFQEAKKKAMVKDLAVFLKLVVMYLDVGLEEKAVGVVEAMVEMKIWVTDCILCAIVNGYNRRRGLKASVMVYERFISLGCEPGQVTYASMISIYWRLGLPLKAEALFLEMMEKGFNRCLVAYSTMISMYGKLGRASDAMKLLAKMKEIGCKPNVWVYNALLDMHGRLVNLRQVEKLWKEMKRRKIQADKFSYTSIIGAYNKAGEFEECIKYYHEFKMNGGKLDRALCGIMASVFSKTERIDELLKLLQEMKSEGVMFDERLYKSALNALRDAALHLQVEWFQKNVSFHKDET >DRNTG_26592.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20059654:20062984:1 gene:DRNTG_26592 transcript:DRNTG_26592.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDLAVFLKLVVMYLDVGLEEKAVGVVEAMVEMKIWVTDCILCAIVNGYNRRRGLKASVMVYERFISLGCEPGQVTYASMISIYWRLGLPLKAEALFLEMMEKGFNRCLVAYSTMISMYGKLGRASDAMKLLAKMKEIGCKPNVWVYNALLDMHGRLVNLRQVEKLWKEMKRRKIQADKFSYTSIIGAYNKAGEFEECIKYYHEFKMNGGKLDRALCGIMASVFSKTERIDELLKLLQEMKSEGVMFDERLYKSALNALRDAALHLQVEWFQKNVSFHKDET >DRNTG_26592.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20059654:20062984:1 gene:DRNTG_26592 transcript:DRNTG_26592.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLYYISNEVHQWRLLALSTHSSLPKAMAIWSSDWPLPPLPPPRKTLFKTTSLFISISISPTPSINPFATRKSIFTNSSKPSSSPPLLDNTPNGLQLIQIPPISDLEFTTDFIHGLCQNSTTESIAFDYYQKAKQQPQFKPLTQTMNLLIKTLLKSKQWSSISTLVHDFKVFSVFPGRLTCSRLITSCIASRKFKLTESLLSIVEAKEEIAVSAFSSAMRSYNKLHMYSSTVMISKRMKSSGIAVTPRGYLYIMKAHHMLGNPQMVFSLFSEFEAKNWKFPELTAKIYAILCDSLGKSGKASEALRYFRDMLSKGIPPNHSLYASLISSFAVIREAEVAESLFQEAKKKAMVKDLAVFLKLVVMYLDVGLEEKAVGVVEAMVEMKIWVTDCILCAIVNGYNRRRGLKASVMVYERFISLGCEPGQVTYASMISIYWRLGLPLKAEALFLEMMEKGFNRCLVAYSTMISMYGKLGRASDAMKLLAKMKEIGCKPNVWVYNALLDMHGRLVNLRQVEKLWKEMKRRKIQADKFSYTSIIGAYNKAGEFEECIKYYHEFKMNGGKLDRALCGIMASVFSKTERIDELLKLLQEMKSEGVMFDERLYKSALNALRDAALHLQVEWFQKNVSFHKDET >DRNTG_26592.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20059949:20063071:1 gene:DRNTG_26592 transcript:DRNTG_26592.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWSSDWPLPPLPPPRKTLFKTTSLFISISISPTPSINPFATRKSIFTNSSKPSSSPPLLDNTPNGLQLIQIPPISDLEFTTDFIHGLCQNSTTESIAFDYYQKAKQQPQFKPLTQTMNLLIKTLLKSKQWSSISTLVHDFKVFSVFPGRLTCSRLITSCIASRKFKLTESLLSIVEAKEEIAVSAFSSAMRSYNKLHMYSSTVMISKRMKSSGIAVTPRGYLYIMKAHHMLGNPQMVFSLFSEFEAKNWKFPELTAKIYAILCDSLGKSGKASEALRYFRDMLSKGIPPNHSLYASLISSFAVIREAEVAESLFQEAKKKAMVKDLAVFLKLVVMYLDVGLEEKAVGVVEAMVEMKIWVTDCILCAIVNGYNRRRGLKASVMVYERFISLGCEPGQVTYASMISIYWRLGLPLKAEALFLEMMEKGFNRCLVAYSTMISMYGKLGRASDAMKLLAKMKEIGCKPNVWVYNALLDMHGRLVNLRQVEKLWKEMKRRKIQADKFSYTSIIGAYNKAGEFEECIKYYHEFKMNGGKLDRALCGIMASVFSKTERIDELLKLLQEMKSEGVMFDERLYKSALNALRDAALHLQVEWFQKNVSFHKDET >DRNTG_26592.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20059949:20062984:1 gene:DRNTG_26592 transcript:DRNTG_26592.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWSSDWPLPPLPPPRKTLFKTTSLFISISISPTPSINPFATRKSIFTNSSKPSSSPPLLDNTPNGLQLIQIPPISDLEFTTDFIHGLCQNSTTESIAFDYYQKAKQQPQFKPLTQTMNLLIKTLLKSKQWSSISTLVHDFKVFSVFPGRLTCSRLITSCIASRKFKLTESLLSIVEAKEEIAVSAFSSAMRSYNKLHMYSSTVMISKRMKSSGIAVTPRGYLYIMKAHHMLGNPQMVFSLFSEFEAKNWKFPELTAKIYAILCDSLGKSGKASEALRYFRDMLSKGIPPNHSLYASLISSFAVIREAEVAESLFQEAKKKAMVKDLAVFLKLVVMYLDVGLEEKAVGVVEAMVEMKIWVTDCILCAIVNGYNRRRGLKASVMVYERFISLGCEPGQVTYASMISIYWRLGLPLKAEALFLEMMEKGFNRCLVAYSTMISMYGKLGRASDAMKLLAKMKEIGCKPNVWVYNALLDMHGRLVNLRQVEKLWKEMKRRKIQADKFSYTSIIGAYNKAGEFEECIKYYHEFKMNGGKLDRALCGIMASVFSKTERIDELLKLLQEMKSEGVMFDERLYKSALNALRDAALHLQVEWFQKNVSFHKDET >DRNTG_26592.16.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20059949:20062840:1 gene:DRNTG_26592 transcript:DRNTG_26592.16 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDLAVFLKLVVMYLDVGLEEKAVGVVEAMVEMKIWVTDCILCAIVNGYNRRRGLKASVMVYERFISLGCEPGQVTYASMISIYWRLGLPLKAEALFLEMMEKGFNRCLVAYSTMISMYGKLGRASDAMKLLAKMKEIGCKPNVWVYNALLDMHGRLVNLRQVEKLWKEMKRRKIQADKFSYTSIIGAYNKAGEFEECIKYYHEFKMNGGKLDRALCGIMASVFSKTERIDELLKLLQEMKSEGVMFDERLYKSALNALRDAALHLQVEWFQKNVSFHKDET >DRNTG_26592.17.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20059949:20062729:1 gene:DRNTG_26592 transcript:DRNTG_26592.17 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWSSDWPLPPLPPPRKTLFKTTSLFISISISPTPSINPFATRKSIFTNSSKPSSSPPLLDNTPNGLQLIQIPPISDLEFTTDFIHGLCQNSTTESIAFDYYQKAKQQPQFKPLTQTMNLLIKTLLKSKQWSSISTLVHDFKVFSVFPGRLTCSRLITSCIASRKFKLTESLLSIVEAKEEIAVSAFSSAMRSYNKLHMYSSTVMISKRMKSSGIAVTPRGYLYIMKAHHMLGNPQMVFSLFSEFEAKNWKFPELTAKIYAILCDSLGKSGKASEALRYFRDMLSKGIPPNHSLYASLISSFAVIREAEVAESLFQEAKKKAMVKDLAVFLKLVVMYLDVGLEEKAVGVVEAMVEMKIWVTDCILCAIVNGYNRRRGLKASVMVYERFISLGCEPGQVTYASMISIYWRLGLPLKAEALFLEMMEKGFNRCLVAYSTMISMYGKLGRASDAMKLLAKMKEIGCKPNVWVYNALLDMHGRLVNLRQVEKLWKEMKRRKIQADKFSYTSIIGAYNKAGEFEECIKYYHEFKMNGGKLDRALCGIMASVFSKTERIDELLKLLQEMKSEGVMFDERLYKSALNALRDAALHLQVEWFQKNVSFHKDET >DRNTG_26592.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20059949:20062840:1 gene:DRNTG_26592 transcript:DRNTG_26592.13 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWSSDWPLPPLPPPRKTLFKTTSLFISISISPTPSINPFATRKSIFTNSSKPSSSPPLLDNTPNGLQLIQIPPISDLEFTTDFIHGLCQNSTTESIAFDYYQKAKQQPQFKPLTQTMNLLIKTLLKSKQWSSISTLVHDFKVFSVFPGRLTCSRLITSCIASRKFKLTESLLSIVEAKEEIAVSAFSSAMRSYNKLHMYSSTVMISKRMKSSGIAVTPRGYLYIMKAHHMLGNPQMVFSLFSEFEAKNWKFPELTAKIYAILCDSLGKSGKASEALRYFRDMLSKGIPPNHSLYASLISSFAVIREAEVAESLFQEAKKKAMVKDLAVFLKLVVMYLDVGLEEKAVGVVEAMVEMKIWVTDCILCAIVNGYNRRRGLKASVMVYERFISLGCEPGQVTYASMISIYWRLGLPLKAEALFLEMMEKGFNRCLVAYSTMISMYGKLGRASDAMKLLAKMKEIGCKPNVWVYNALLDMHGRLVNLRQVEKLWKEMKRRKIQADKFSYTSIIGAYNKAGEFEECIKYYHEFKMNGGKLDRALCGIMASVFSKTERIDELLKLLQEMKSEGVMFDERLYKSALNALRDAALHLQVEWFQKNVSFHKDET >DRNTG_26592.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20059949:20062840:1 gene:DRNTG_26592 transcript:DRNTG_26592.14 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWSSDWPLPPLPPPRKTLFKTTSLFISISISPTPSINPFATRKSIFTNSSKPSSSPPLLDNTPNGLQLIQIPPISDLEFTTDFIHGLCQNSTTESIAFDYYQKAKQQPQFKPLTQTMNLLIKTLLKSKQWSSISTLVHDFKVFSVFPGRLTCSRLITSCIASRKFKLTESLLSIVEAKEEIAVSAFSSAMRSYNKLHMYSSTVMISKRMKSSGIAVTPRGYLYIMKAHHMLGNPQMVFSLFSEFEAKNWKFPELTAKIYAILCDSLGKSGKASEALRYFRDMLSKGIPPNHSLYASLISSFAVIREAEVAESLFQEAKKKAMVKDLAVFLKLVVMYLDVGLEEKAVGVVEAMVEMKIWVTDCILCAIVNGYNRRRGLKASVMVYERFISLGCEPGQVTYASMISIYWRLGLPLKAEALFLEMMEKGFNRCLVAYSTMISMYGKLGRASDAMKLLAKMKEIGCKPNVWVYNALLDMHGRLVNLRQVEKLWKEMKRRKIQADKFSYTSIIGAYNKAGEFEECIKYYHEFKMNGGKLDRALCGIMASVFSKTERIDELLKLLQEMKSEGVMFDERLYKSALNALRDAALHLQVEWFQKNVSFHKDET >DRNTG_26592.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20059949:20062984:1 gene:DRNTG_26592 transcript:DRNTG_26592.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWSSDWPLPPLPPPRKTLFKTTSLFISISISPTPSINPFATRKSIFTNSSKPSSSPPLLDNTPNGLQLIQIPPISDLEFTTDFIHGLCQNSTTESIAFDYYQKAKQQPQFKPLTQTMNLLIKTLLKSKQWSSISTLVHDFKVFSVFPGRLTCSRLITSCIASRKFKLTESLLSIVEAKEEIAVSAFSSAMRSYNKLHMYSSTVMISKRMKSSGIAVTPRGYLYIMKAHHMLGNPQMVFSLFSEFEAKNWKFPELTAKIYAILCDSLGKSGKASEALRYFRDMLSKGIPPNHSLYASLISSFAVIREAEVAESLFQEAKKKAMVKDLAVFLKLVVMYLDVGLEEKAVGVVEAMVEMKIWVTDCILCAIVNGYNRRRGLKASVMVYERFISLGCEPGQVTYASMISIYWRLGLPLKAEALFLEMMEKGFNRCLVAYSTMISMYGKLGRASDAMKLLAKMKEIGCKPNVWVYNALLDMHGRLVNLRQVEKLWKEMKRRKIQADKFSYTSIIGAYNKAGEFEECIKYYHEFKMNGGKLDRALCGIMASVFSKTERIDELLKLLQEMKSEGVMFDERLYKSALNALRDAALHLQVEWFQKNVSFHKDET >DRNTG_26592.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20059654:20062840:1 gene:DRNTG_26592 transcript:DRNTG_26592.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLYYISNEVHQWRLLALSTHSSLPKAMAIWSSDWPLPPLPPPRKTLFKTTSLFISISISPTPSINPFATRKSIFTNSSKPSSSPPLLDNTPNGLQLIQIPPISDLEFTTDFIHGLCQNSTTESIAFDYYQKAKQQPQFKPLTQTMNLLIKTLLKSKQWSSISTLVHDFKVFSVFPGRLTCSRLITSCIASRKFKLTESLLSIVEAKEEIAVSAFSSAMRSYNKLHMYSSTVMISKRMKSSGIAVTPRGYLYIMKAHHMLGNPQMVFSLFSEFEAKNWKFPELTAKIYAILCDSLGKSGKASEALRYFRDMLSKGIPPNHSLYASLISSFAVIREAEVAESLFQEAKKKAMVKDLAVFLKLVVMYLDVGLEEKAVGVVEAMVEMKIWVTDCILCAIVNGYNRRRGLKASVMVYERFISLGCEPGQVTYASMISIYWRLGLPLKAEALFLEMMEKGFNRCLVAYSTMISMYGKLGRASDAMKLLAKMKEIGCKPNVWVYNALLDMHGRLVNLRQVEKLWKEMKRRKIQADKFSYTSIIGAYNKAGEFEECIKYYHEFKMNGGKLDRALCGIMASVFSKTERIDELLKLLQEMKSEGVMFDERLYKSALNALRDAALHLQVEWFQKNVSFHKDET >DRNTG_26592.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20059949:20062840:1 gene:DRNTG_26592 transcript:DRNTG_26592.15 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDLAVFLKLVVMYLDVGLEEKAVGVVEAMVEMKIWVTDCILCAIVNGYNRRRGLKASVMVYERFISLGCEPGQVTYASMISIYWRLGLPLKAEALFLEMMEKGFNRCLVAYSTMISMYGKLGRASDAMKLLAKMKEIGCKPNVWVYNALLDMHGRLVNLRQVEKLWKEMKRRKIQADKFSYTSIIGAYNKAGEFEECIKYYHEFKMNGGKLDRALCGIMASVFSKTERIDELLKLLQEMKSEGVMFDERLYKSALNALRDAALHLQVEWFQKNVSFHKDET >DRNTG_26592.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20059654:20062984:1 gene:DRNTG_26592 transcript:DRNTG_26592.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLYYISNEVHQWRLLALSTHSSLPKAMAIWSSDWPLPPLPPPRKTLFKTTSLFISISISPTPSINPFATRKSIFTNSSKPSSSPPLLDNTPNGLQLIQIPPISDLEFTTDFIHGLCQNSTTESIAFDYYQKAKQQPQFKPLTQTMNLLIKTLLKSKQWSSISTLVHDFKVFSVFPGRLTCSRLITSCIASRKFKLTESLLSIVEAKEEIAVSAFSSAMRSYNKLHMYSSTVMISKRMKSSGIAVTPRGYLYIMKAHHMLGNPQMVFSLFSEFEAKNWKFPELTAKIYAILCDSLGKSGKASEALRYFRDMLSKGIPPNHSLYASLISSFAVIREAEVAESLFQEAKKKAMVKDLAVFLKLVVMYLDVGLEEKAVGVVEAMVEMKIWVTDCILCAIVNGYNRRRGLKASVMVYERFISLGCEPGQVTYASMISIYWRLGLPLKAEALFLEMMEKGFNRCLVAYSTMISMYGKLGRASDAMKLLAKMKEIGCKPNVWVYNALLDMHGRLVNLRQVEKLWKEMKRRKIQADKFSYTSIIGAYNKAGEFEECIKYYHEFKMNGGKLDRALCGIMASVFSKTERIDELLKLLQEMKSEGVMFDERLYKSALNALRDAALHLQVEWFQKNVSFHKDET >DRNTG_26592.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20059949:20062984:1 gene:DRNTG_26592 transcript:DRNTG_26592.10 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDLAVFLKLVVMYLDVGLEEKAVGVVEAMVEMKIWVTDCILCAIVNGYNRRRGLKASVMVYERFISLGCEPGQVTYASMISIYWRLGLPLKAEALFLEMMEKGFNRCLVAYSTMISMYGKLGRASDAMKLLAKMKEIGCKPNVWVYNALLDMHGRLVNLRQVEKLWKEMKRRKIQADKFSYTSIIGAYNKAGEFEECIKYYHEFKMNGGKLDRALCGIMASVFSKTERIDELLKLLQEMKSEGVMFDERLYKSALNALRDAALHLQVEWFQKNVSFHKDET >DRNTG_26592.18.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20059949:20062729:1 gene:DRNTG_26592 transcript:DRNTG_26592.18 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWSSDWPLPPLPPPRKTLFKTTSLFISISISPTPSINPFATRKSIFTNSSKPSSSPPLLDNTPNGLQLIQIPPISDLEFTTDFIHGLCQNSTTESIAFDYYQKAKQQPQFKPLTQTMNLLIKTLLKSKQWSSISTLVHDFKVFSVFPGRLTCSRLITSCIASRKFKLTESLLSIVEAKEEIAVSAFSSAMRSYNKLHMYSSTVMISKRMKSSGIAVTPRGYLYIMKAHHMLGNPQMVFSLFSEFEAKNWKFPELTAKIYAILCDSLGKSGKASEALRYFRDMLSKGIPPNHSLYASLISSFAVIREAEVAESLFQEAKKKAMVKDLAVFLKLVVMYLDVGLEEKAVGVVEAMVEMKIWVTDCILCAIVNGYNRRRGLKASVMVYERFISLGCEPGQVTYASMISIYWRLGLPLKAEALFLEMMEKGFNRCLVAYSTMISMYGKLGRASDAMKLLAKMKEIGCKPNVWVYNALLDMHGRLVNLRQVEKLWKEMKRRKIQADKFSYTSIIGAYNKAGEFEECIKYYHEFKMNGGKLDRALCGIMASVFSKTERIDELLKLLQEMKSEGVMFDERLYKSALNALRDAALHLQVEWFQKNVSFHKDET >DRNTG_30484.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:630501:632509:-1 gene:DRNTG_30484 transcript:DRNTG_30484.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDSDSLLREAAIAGDLVKLESLLAAGADPSVFDADGMNPLMHAAFHGHSAAVSRLLEAGAPWNAVSPSGISAGDLAMEHGHQEAFDALLNAGLRAELVLGTIARSDSDRKNEYLDDRVSFSEDKVMDAESKAVMMAWERPLMEAHARAVCGIGNGGRILNVGFGMGLVDEAIQKYAPVEHTIVEAHPEVYERMIRSGWGEKENVRIVFGKWQDVLPQLQSYDGIFFDTYGEYYEDLRLFHQHLPKLLKPGGIYSYFNGLCGDNAFFHVVYCQLVSLELGNLGYSTQFIPLPVKDCLAEEVWEGIKHKYWQLDTYYLPVCQASSESE >DRNTG_30484.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:624843:632509:-1 gene:DRNTG_30484 transcript:DRNTG_30484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDSDSLLREAAIAGDLVKLESLLAAGADPSVFDADGMNPLMHAAFHGHSAAVSRLLEAGAPWNAVSPSGISAGDLAMEHGHQEAFDALLNAGLRAELVLGTIARSDSDRKNEYLDDRVSFSEDKVMDAESKAVMMAWERPLMEAHARAVCGIGNGGRILNVGFGMGLVDEAIQKYAPVEHTIVEAHPEVYERMIRSGWGEKENVRIVFGKWQDVLPQLQSYDGNGASNNMLSIGPLQPTEPGRTGRL >DRNTG_05701.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1758620:1763979:1 gene:DRNTG_05701 transcript:DRNTG_05701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWWRAKSKPKGSKLPISDDHHPRRSVSDHIPTPRTLDSPVTPKSAPASGGGGGGGGADLFDFAHPQPLPLPSLSVPLLVASVPGSASASSGSSSVSSDENPELAFFRPLEPSNIPRDRNVKFDSQRLQNVIEENRAFTYAPVLEPVGGSDTSSSRSTLSHGRTSNETIYHRRSANGTTGPREHPFHAPPTSPIHQRAFTVSPESVKLRQDDLKSPPHPLPLPPGSPSSPPISSASRSLQSHWKKGKLLGRGTFGHVYQGFNSESGQMCAIKEVKIISDDANSAECLRQLNQEIALLSQLSHPNIVQYYGSELVDGSLSVYLEYVSGGSIHKLLQEYGPFGEPVIRSYAGQILSGLAYLHGRNTVHRDIKGANILVGPNGEIKLADFGMAKHISAFTSIRSFKGSPYWMAPEVIMNGSGYNLSVDIWSLGCTILEMATSKPPWSQYEGVAAIFKIGNSKDIPEIPEHLSPDAKNFLKLCFQRNPSARPTAAELLGHPFVRDQATAKAGKFSIAGDTLKSPDRSHALATLDFSSKRSVSPLRDVDYGIRHTVGFPSSSHTSDLAGLRMNMSLPVSPCSSPLRQYRQSNRSCLPSPPHPAYSTGIINHGPSNHSLYPTRLNINFSDPWPDFHQLKLPTPFDSPLKSATPYDSPLRSTPYGSPRKCL >DRNTG_06321.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6933086:6940559:-1 gene:DRNTG_06321 transcript:DRNTG_06321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLCIFNYIHSQVAMEPQSNTSSVSHSQSLFSSLVLLLAFLILNFLLIWKLTKLAIKRKKNNKYHPVAGSIFHHLVNFRRLHDFSTDQAHKHKTFRLLSPLRSEVYTADPAIVEYILKTNFSNYGKGEYNYCNMKDLLGDGIFAVDGVKWQHQRKVASIDFSRRILRDYSTQTFRDNVKKLAVLIWEAAITNTRIDIQDLLMKSTMDSIFQVAFGVELNCITASNREGREFAEAFDDASNLITWRYVDISWKIKKLLNIGCEAVLKKKIRIVDKFIYKIISSKIEQISKLQHDSELKRQDMLSRFLLESEKDPVNITPRYLRDIILNFMIAGKDTTAITLSWFIYVLCKNPHIEEKIAEEVMTATKEFGENVSISEFAEKMTDEIINSMHYLHASLTETLRLYPGIPLDPKICFSDDTLPDGFNVREGDMVAYMPYAMARMKFIWGKDAEVFWPERWLGDDGVFTPESPFKFPAFQAGPRECLGKEFAYRQMKIFAAVLVRFFKFKLGDEEKPVKYRTMLTLQIDHGLHIHAILRK >DRNTG_26986.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17647903:17660700:1 gene:DRNTG_26986 transcript:DRNTG_26986.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplastic group IIA intron splicing facilitator CRS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G16180) UniProtKB/Swiss-Prot;Acc:Q9LF10] MPASSICFSLPHLPNTEQYDPQRRSRALASPSSEKPWLQTHTVKMPTAPWMQGPLLLHPEDVLTFSKDRKTKIKRHHFRVDRSLTDKVRGGRSRVAMRNIVRSITKLRTLAPLDVDGDDEGSDEVIEFSIPLEEEIVDANGSVRKRKVPWGNVVEKVVYPREKKERVVTTAKKILPKDVLRRLQEEAGKIDKWVKAKKAGLTDDVVDEIRRIWKKRELVKVKFRLPLSANMVRAREIVELKTGGLVVWSKRDTLVVYRGSNYQLPPKPFLNSDVTLSVHSSGIPEDKVCIPANSDEFNATCFQRCTEAKQTLFDYLGSESIPRMESAGETLYEKETNRLLDGLGPRFIDWWWKKPLPIDADLLPEVVPDFKTPFRCCPPRIRPTLSNDELAYLRKIARPLPTHFALGKNRKLHGLAAAILKLWEKCPIAKIAVKLGLPNTSNERMSYELKRLTGGVLILRNKFFIILYRGKDFLPNGVASSIFEREIKLQDQQLQEEVARFKALELYNLLDDSVSMTTSNIGTLSEFEDIERQYAAPEDDSCEDKIKIKAEIAKLEKELQEQERKLFILNVKIERSEKELGKLNSLWRLSDLAEDQEILTDEERQKFRKIGLKMDEFLLLGRRGVYDGTIASMHQHWKHRELVKVITMQNAFLQVSYTAKQLEIESGGILVAVRQLRKGHVIILYRGKNYRRPLKLLPDNLLTKREALKKSIEVQRRGSLRFFVRQRRQAIWILKQKLRELRDKAKILDHSHEFNSDES >DRNTG_26986.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17647903:17660700:1 gene:DRNTG_26986 transcript:DRNTG_26986.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplastic group IIA intron splicing facilitator CRS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G16180) UniProtKB/Swiss-Prot;Acc:Q9LF10] MPASSICFSLPHLPNTEQYDPQRRSRALASPSSEKPWLQTHTVKMPTAPWMQGPLLLHPEDVLTFSKDRKTKIKRHHFRVDRSLTDKVRGGRSRVAMRNIVRSITKLRTLAPLDVDGDDEGSDEVIEFSIPLEEEIVDANGSVRKRKVPWGNVVEKVVYPREKKERVVTTAKKILPKDVLRRLQEEAGKIDKWVKAKKAGLTDDVVDEIRRIWKKRELVKVKFRLPLSANMVRAREIVELKTGGLVVWSKRDTLVVYRGSNYQLPPKPFLNSDVTLSVHSSGIPEDKVCIPANSDEFNATCFQRCTEAKQTLFDYLGSESIPRMESAGETLYEKETNRLLDGLGPRFIDWWWKKPLPIDADLLPEVVPDFKTPFRCCPPRIRPTLSNDELAYLRKIARPLPTHFALGKNRKLHGLAAAILKLWEKCPIAKIAVKLGLPNTSNERMSYELKRLTGGVLILRNKFFIILYRGKDFLPNGVASSIFEREIKLQDQQLQEEVARFKALELYNLLDDSVSMTTSNIGTLSEFEDIERQYAAPEDDSCEDKIKIKAEIAKLEKELQEQERKLFILNVKIERSEKELGKLNSLWRLSDLAEDQEILTDEERQKFRKIGLKMDEFLLLGRRGVYDGTIASMHQHWKHRELVKVITMQNAFLQVSYTAKQLEIESGGILVAVRQLRKGHVIILYRGKNYRRPLKLLPDNLLTKREALKKSIEVQRRGSLRFFVRQRRQAIWILKQKLRELRDKAKILDHSHEFNSDES >DRNTG_26986.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17647903:17657582:1 gene:DRNTG_26986 transcript:DRNTG_26986.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplastic group IIA intron splicing facilitator CRS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G16180) UniProtKB/Swiss-Prot;Acc:Q9LF10] MPASSICFSLPHLPNTEQYDPQRRSRALASPSSEKPWLQTHTVKMPTAPWMQGPLLLHPEDVLTFSKDRKTKIKRHHFRVDRSLTDKVRGGRSRVAMRNIVRSITKLRTLAPLDVDGDDEGSDEVIEFSIPLEEEIVDANGSVRKRKVPWGNVVEKVVYPREKKERVVTTAKKILPKDVLRRLQEEAGKIDKWVKAKKAGLTDDVVDEIRRIWKKRELVKVKFRLPLSANMVRAREIVELKTGGLVVWSKRDTLVVYRGSNYQLPPKPFLNSDVTLSVHSSGIPEDKVCIPANSDEFNATCFQRCTEAKQTLFDYLGSESIPRMESAGETLYEKETNRLLDGLGPRFIDWWWKKPLPIDADLLPEVVPDFKTPFRCCPPRIRPTLSNDELAYLRKIARPLPTHFAL >DRNTG_26986.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17658345:17660700:1 gene:DRNTG_26986 transcript:DRNTG_26986.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplastic group IIA intron splicing facilitator CRS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G16180) UniProtKB/Swiss-Prot;Acc:Q9LF10] MQRLTGGVLILRNKFFIILYRGKDFLPNGVASSIFEREIKLQDQQLQEEVARFKALELYNLLDDSVSMTTSNIGTLSEFEDIERQYAAPEDDSCEDKIKIKAEIAKLEKELQEQERKLFILNVKIERSEKELGKLNSLWRLSDLAEDQEILTDEERQKFRKIGLKMDEFLLLGRRGVYDGTIASMHQHWKHRELVKVITMQNAFLQVSYTAKQLEIESGGILVAVRQLRKGHVIILYRGKNYRRPLKLLPDNLLTKREALKKSIEVQRRGSLRFFVRQRRQAIWILKQKLRELRDKAKILDHSHEFNSDES >DRNTG_26986.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17647903:17658051:1 gene:DRNTG_26986 transcript:DRNTG_26986.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplastic group IIA intron splicing facilitator CRS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G16180) UniProtKB/Swiss-Prot;Acc:Q9LF10] MPASSICFSLPHLPNTEQYDPQRRSRALASPSSEKPWLQTHTVKMPTAPWMQGPLLLHPEDVLTFSKDRKTKIKRHHFRVDRSLTDKVRGGRSRVAMRNIVRSITKLRTLAPLDVDGDDEGSDEVIEFSIPLEEEIVDANGSVRKRKVPWGNVVEKVVYPREKKERVVTTAKKILPKDVLRRLQEEAGKIDKWVKAKKAGLTDDVVDEIRRIWKKRELVKVKFRLPLSANMVRAREIVELKTGGLVVWSKRDTLVVYRGSNYQLPPKPFLNSDVTLSVHSSGIPEDKVCIPANSDEFNATCFQRCTEAKQTLFDYLGSESIPRMESAGETLYEKETNRLLDGLGPRFIDWWWKKPLPIDADLLPEVVPDFKTPFRCCPPRIRPTLSNDELAYLRKIARPLPTHFALGKNRKLHGLAAAILKLWEKCPIAKIAVKLGLPNTSNERMSYELKASLTFLSLFYFIANDGK >DRNTG_10700.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13709248:13709681:1 gene:DRNTG_10700 transcript:DRNTG_10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGTIRNNLDPLEEYTDTQIWEFPNYTTCFIYLIFQSMNTVAENGENWSMGQCQLICLGRVILKESKILVLDEATASVDRATTV >DRNTG_26541.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001357.1:21248:23253:1 gene:DRNTG_26541 transcript:DRNTG_26541.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEILAFSDAMDLGCGIALPMMMKAMIELDVLETMAAAGSGALLSPEEIASKIQTSNPDAHEVLDRMLRFLAAHKVMMCDEMDGEEDGKSKRRYGLGPVCKFFTKDEDGVSLAPLLLIHHSKFMADTWPNIKHAVLDGSVPFVKTHGMTVYEHENKDPHFSELFNEAMFNQTTMFMKKMLENYKGFERINVLVDVGGGHGAILSIILSKHPHIKTINFDLCHVVSKAKPIQGIEFVGGDMFESVPTGDAILMKEILHNWSDADCIKLLKNCWKALPNNGKIIVVEQVIPETSQNANELKNAFLLDIIMLAFSVGGKERSKKEYQFLAKAGGFSRLKIVCNIYGFFRHGIL >DRNTG_15465.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16366812:16367127:1 gene:DRNTG_15465 transcript:DRNTG_15465.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLVCFCLIPPEGDQDNGYKLNYNLLDAVNASGTIFISHNVLSGKFILRFAVGAPLTEESHVKAAWKVL >DRNTG_27551.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1702591:1708930:-1 gene:DRNTG_27551 transcript:DRNTG_27551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANSNAFAPKLDDRDFIDQSSSVDYSDKCMAEMSTYLNNHEVNEVNASLLPSRKSQSSLDKLCLPMLSLRDVESHHFSKCNFEDWVPSARSPTREIRCFSNFPSKFLKKCSIPEFVQRGNFTKKRLNLSNSDKDWSEESSNYEKDTRIQLLGRFEKAVEMLCFSEGRGKSEDAGLEVLAVWEMLNNKSEVNYTSLKHVILHQLLEIISASKRENLIRASVSTLLYLISEDKTIIEEIRKKDQHLRDFACALKKNIPEAVILIYLLHPSPLEIKSLELLPQLVDVACNLNNQKEGSAFLPLTPTSASIAIIEILVTSFDYVTNNMHLALISSPRIVAKLVNVAMNKNLEEVVALAGILVKCMRTSGNCRKYLSQIPALDPFLHLLSINEKRGKFAALEYFHEILHMPRSSAIQLLQQIQQHEMIKITQALNTCIKQDKIEHQLLAANLLLQLDMLGQNSRKSEFKEEAMEVILKAVGSEENSSAQALAASILSNLGGTHAWTGEPYTAAWLVRKAGLTSVYQRNMIRSVDWLDPCLQDSELDAWNGKVARGVIKIGNSVFNTLAKGINSKTRSVSHDCLITIAWLGSEMAVNGPSSIRYSACEILLNKVAHFLHPGSELDERVLACLCVYNYTFGKGKQKLMNFSEGSRESLRRLSGITWMAEELLKVTDFFLPTKSRVSCVHTQILEIGNVSNIASTALIFYKGLLCAGYSDGTIKAWDIKGQRATLVCEIKEHKKPVTCFALFEQGDRLLSGSSDKTVRVWQMVQKKFECIEVMEMKESVLKVDTMGEKILIITQRSGLKVKYLSKNIQTFCKNKHLKCLTVAQSRVYLGCADSSMQELDIKENHKTEIRPPTNSWRMLNKPITSVLVYKDWIYCAGSNVEGSSIKEWRRRSKPQISIATGRGTSVQAMAVVEDFIYLNCNSSPTIIQIWLRERQQKVSRLSAGSKITCLITANDIVLCGTESGLIKGWIPL >DRNTG_11714.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:531504:532632:-1 gene:DRNTG_11714 transcript:DRNTG_11714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPPPIHPTISPYTSLSMTAAAPAATTTNEYLQ >DRNTG_32675.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1180743:1181447:-1 gene:DRNTG_32675 transcript:DRNTG_32675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVTVKCGHCNHLSFLSPRSLLHSLSSSSSSSDHPHITFQGTCSDCRKSSNQLPQSSSSTSTDPSTPSAPFVVKPPEKKHRLPSAYNRFMKEEIQRIKAEKPNIPHREAFSMAA >DRNTG_04543.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22460488:22467876:-1 gene:DRNTG_04543 transcript:DRNTG_04543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLCPLTIILLLFIPLISIFFSIFFSISSSSTKSSTTLRPYPIIGNLPQFLLNRHHFLSWMTSLLSSSHNLTITFHRPGNVRGLITANPSNLHHYLKSHSPNFPKGPRVIHHLQDFLGRGIFNVDGPLWLSQRKTASFEFNTRSLRNFIVLIVHHELHSRLLPLLLNSSRSSSPIDLQDLLERFAFDNVCKLAFNHDPVSLSDAPESRFARAFKIAAELSAGRFRYAVPKFWVITRLFNIGSERKLKESIAVVHDFATQIIKSKKGKPNASAVAAADDDLLSRFLANEENNSDEFLRDIVISFILAGRETTSSALSWFFWLISLNTTVEDKIIQEISSIRARKADDKEVFEFEELREMHYLHASITEAMRLYPPVPVNSAMCMNDDVLPDGSVIKKGMFMAYNSYAMGRMESIWGKDCLEFKPERWLDNNGVFRPESPYKYPVFHAGPRMCLGKEMAYIQMKSIVACVLERFRVDVVEKEKIPEHTLSLTLRMKHGLMDATFKDLAHLLEEQPFFEDADLVQTRDRWWSIGHDARDKKRWSLNANELCINRMLLSWFDALADGAKARLEDTTATVRRSLEFL >DRNTG_33870.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002054.1:5767:10510:1 gene:DRNTG_33870 transcript:DRNTG_33870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVAHSVMPCFQILLLPNQLDWTILAQMNTDMCDKIQFSRVKATRKTAQVKEKVRQSMNMGYPAEGHPLAHLLYYNRKELLKRGDYPESTQGHGLAPVGDLVNHARAWVIAAHPCENLQRSSLHPEKTQGCAAAPVDREHGRGYFPHARARAVIVKVAFSRESTRTWVRPCGVLERCCALMREFHALQIYTCMDMAPRVKKNDVKRFRLTPLEPIHMEFSNPEHQARFERLSALGFGQTCFANLQVLRYIQQGDEVTNEIEKMLAVGSWRRLLTISEPAYRALTLEVLASFDFRLMHGRVDTIEVIQFRAFGHPFSMSVTEFSIWTGLYDVVYTGTMEYGRLPTDFPVSVTPYHAYRILCGHGEYESGLSKASSLSRFSYRYLHAVISTSVTGRADNTAALTKLDLLFLYSMAHNVPLHLGCILADILQYKVVPTPLAFDTIRMMGLVRRVGPGVYILATATAETTGEAKKILRGVSSDSHTLHALG >DRNTG_13611.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6193058:6194438:1 gene:DRNTG_13611 transcript:DRNTG_13611.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAATVVIQHPGGRVERQYWPMCAAEVMRSNPGHYVALVTLCFSEDENKQDSSGVRLTRLRILKPKDTLLLGQVYRLISSQEVTRALRERKYEKMRRSHADMINQQQQQQQQHLQQQVAEQERERQKSSHSGAKERHWRPTLQSISEVVKADQFT >DRNTG_13611.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6192577:6194438:1 gene:DRNTG_13611 transcript:DRNTG_13611.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAATVVIQHPGGRVERQYWPMCAAEVMRSNPGHYVALVTLCFSEDENKQDSSGVRLTRLRILKPKDTLLLGQVYRLISSQEVTRALRERKYEKMRRSHADMINQQQQQQQQHLQQQVAEQERERQKSSHSGAKERHWRPTLQSISEVVKADQFT >DRNTG_13611.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6192802:6194438:1 gene:DRNTG_13611 transcript:DRNTG_13611.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAATVVIQHPGGRVERQYWPMCAAEVMRSNPGHYVALVTLCFSEDENKQDSSGVRLTRLRILKPKDTLLLGQVYRLISSQEVTRALRERKYEKMRRSHADMINQQQQQQQQHLQQQVAEQERERQKSSHSGAKERHWRPTLQSISEVVKADQFT >DRNTG_13611.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6192654:6194438:1 gene:DRNTG_13611 transcript:DRNTG_13611.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAATVVIQHPGGRVERQYWPMCAAEVMRSNPGHYVALVTLCFSEDENKQDSSGVRLTRLRILKPKDTLLLGQVYRLISSQEVTRALRERKYEKMRRSHADMINQQQQQQQQHLQQQVAEQERERQKSSHSGAKERHWRPTLQSISEVVKADQFT >DRNTG_09001.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18644464:18646065:1 gene:DRNTG_09001 transcript:DRNTG_09001.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSATDAGANGGAGGAPPAQLVVRKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTLSVALRSSSTSDSKSSPVATSAAPFILGKRLRSDDEPDPSSKDENSAVAAASAVGMGMGIGPAGGFWAVPARPDFGQLWGFAAAAPEIVMPPSAAGVTRFAGEASAARVGNYFPIAQGHLNLLASLSGTPAAAAAAAAAAGRREEEPR >DRNTG_09001.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18644464:18646101:1 gene:DRNTG_09001 transcript:DRNTG_09001.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSATDAGANGGAGGAPPAQLVVRKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTLSVALRSSSTSDSKSSPVATSAAPFILGKRLRSDDEPDPSSKDENSAVAAASAVGMGMGIGPAGGFWAVPARPDFGQLWGFAAAAPEIVMPPSAAGVTRFAGEASAARVGNYFPIAQGHLNLLASLSGTPAAAAAAAAAAGRREEEPR >DRNTG_09001.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18644149:18646065:1 gene:DRNTG_09001 transcript:DRNTG_09001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATDAGANGGAGGAPPAQLVVRKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTLSVALRSSSTSDSKSSPVATSAAPFILGKRLRSDDEPDPSSKDENSAVAAASAVGMGMGIGPAGGFWAVPARPDFGQLWGFAAAAPEIVMPPSAAGVTRFAGEASAARVGNYFPIAQGHLNLLASLSGTPAAAAAAAAAAGRREEEPR >DRNTG_09001.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18644464:18646164:1 gene:DRNTG_09001 transcript:DRNTG_09001.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATDAGANGGAGGAPPAQLVVRKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTLSVALRSSSTSDSKSSPVATSAAPFILGKRLRSDDEPDPSSKDENSAVAAASAVGMGMGIGPAGGFWAVPARPDFGQLWGFAAAAPEIVMPPSAAGVTRFAGEASAARVGNYFPIAQGHLNLLASLSGTPAAAAAAAAAAGRREEEPR >DRNTG_16871.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29828147:29828618:1 gene:DRNTG_16871 transcript:DRNTG_16871.1 gene_biotype:protein_coding transcript_biotype:protein_coding PGFYTLVLQKKDELISVASFRIFGDKVAEMPLIGTRVKYRQQGMCRLLVNELEKLLSSLGIEMLIL >DRNTG_09730.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32236911:32237785:-1 gene:DRNTG_09730 transcript:DRNTG_09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDKYSGRSRRFAFVTMSTVEDANAAIEKLNETVSFFSYLRNLFFFPSIIMLCLSS >DRNTG_34173.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002093.1:27149:32133:-1 gene:DRNTG_34173 transcript:DRNTG_34173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPSQSYLRDSRLNDSERLPRFPYYPVYRAGKKGTFWLDFLLGIFWETLERLVATLERRKRARKLEDHPSQGLRLSRQEGNFIQGEIYHYLKEGDPRLEKVSLGTPLAEKASFGIFFTSSSTISSRECSTAPTSSFLWKKVGRGASVEAYPIPDKGILGRRVEDFPQDHRHDYQGDKARLERIERVSRELQVMTRGNPSILNEGDPELERTLRRKGKELVQEQSNLADLEMEESENMAK >DRNTG_30062.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001541.1:23382:24316:-1 gene:DRNTG_30062 transcript:DRNTG_30062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHTSMCPGVYILVTPTPEVVRGGDDTTVDPQSFLEPQPMQKDTDVPLSAQEPPPVCIFSTPPAQD >DRNTG_07293.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3549294:3549789:1 gene:DRNTG_07293 transcript:DRNTG_07293.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCYGENIYWKQAMIETSLSSLERKEFSELVPANADEDIFVRTNRRLDAITPEPLARMQDEKATSSVRAQRRSLTSSPKRAHIPRRR >DRNTG_29261.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20991201:20993601:-1 gene:DRNTG_29261 transcript:DRNTG_29261.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGYQPLHCFVQKKIGLSVNVSLEPDNLPSTEKFRKYKQNVDLPPILPKNKKKPYPIPVKKMLRASREDKRLAELGIEKPLEPPKNGLLVPELIPVAYEVIEYWKVLIRGVARLLTVVPVYGCSKCSDVHVGPAGHQIQNCYGSGNSPTQKLSFMGQRLHK >DRNTG_29261.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20991201:20993601:-1 gene:DRNTG_29261 transcript:DRNTG_29261.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGLVKVGYQPLHCFVQKKIGLSVNVSLEPDNLPSTEKFRKYKQNVDLPPILPKNKKKPYPIPVKKMLRASREDKRLAELGIEKPLEPPKNGLLVPELIPVAYEVIEYWKVLIRGVARLLTVVPVYGCSKCSDVHVGPAGHQIQNCYGSGNSPTQKLSFMGQRLHK >DRNTG_29261.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20991131:20993518:-1 gene:DRNTG_29261 transcript:DRNTG_29261.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGLVKVGYQPLHCFVQKKIGLSVNVSLEPDNLPSTEKFRKYKQNVDLPPILPKNKKKPYPIPVKKMLRASREDKRLAELGIEKPLEPPKNGLLVPELIPVAYEVIEYWKVLIRGVARLLTVVPVYGCSKCSDVHVGPAGHQIQNCYGSGNSPTQKLSFMGQRLHK >DRNTG_29261.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20991201:20993518:-1 gene:DRNTG_29261 transcript:DRNTG_29261.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGLVKVGYQPLHCFVQKKIGLSVNVSLEPDNLPSTEKFRKYKQNVDLPPILPKNKKKPYPIPVKKMLRASREDKRLAELGIEKPLEPPKNGLLVPELIPVAYEVIEYWKVLIRGVARLLTVVPVYGCSKCSDVHVGPAGHQIQNCYGSGNSPTQKLSFMGQRLHK >DRNTG_10377.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15619320:15620121:1 gene:DRNTG_10377 transcript:DRNTG_10377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQTRKMPTSLASSHGKLAFGSPTIFSSSPRLTSHQSHWLAHNSAESLLAHSNPQCKTDQK >DRNTG_20305.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5467945:5491222:1 gene:DRNTG_20305 transcript:DRNTG_20305.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT1G59820) UniProtKB/TrEMBL;Acc:A0A178WFR7] MSGIWERTTRASRTLRGRDSFGSRDLAGNGDRSSRTIRLGRVQPQAPSHRTIYCNDREANLPVRYKGNSISTTKYNVLTFLPKGLFEQFRRVANLYFLMISILSTTPISPVHPITNVVPLSLVLLVSLIKEAFEDWKRFQNDKSINNAPVDVLQNKKWETIPWKKLQVGDLVRIKQDGFFPADVLFLASSNPDGVCYIETANLDGETNLKIRKALERTWDYLLPEKAAEFKGEIQCEQPNNSLYTFTGNLIIENQTLPLSPNQILLRGCSLRNTEYIVGTVLFTGHETKVMMNSMNVPSKRSTLERKLDKLILALFGCLFFMCLIGAIGSGVFINRKYYYLALSGNVENQFNPSNRFVVTILTMFTLITLYSTIIPISLYVSIEMIKFIQCTQFINKDLDMYHIESNTPALARTSNLNEELGQAYINLFSIFPFLELCLLDCL >DRNTG_20305.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5472183:5500984:1 gene:DRNTG_20305 transcript:DRNTG_20305.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT1G59820) UniProtKB/TrEMBL;Acc:A0A178WFR7] MMEFFKCSIGGEIYGTGITEIERGSLERKGLKVNEANKSTTAIHEKGFNFDDARLMRGAWRNEANPEICKEFFRCLAICHTVLPEGEESPEKIVYQAASPDEAALVTAAKNFGFFFYRRTPTSIVVRESHVEKMGKIQDVVYEILNVLEFNSTRKRQSVVCRYPNGKLVLYCKGADSVIYERLADGNEDIKRIMREHLEQFGSAGLRTLCLAYRELSSELYEKWNEKFIQAKSSLREREKKLDEVAELIEKELTLIGSTAIEDKLQVGVPSCIETLSKAGIKIWILTGDKMETAINIAYACSLIDNEMKQFIISSETDAVREVEDKGDPVVISRFIRDLVKTELERCLEEAQHDLHTLTGPKLALVIDGKCLMYALDPNLRVKLLKLSLSCTAVVCCRVSPLQKAQVTSLVKKGARKITLSIGDGANDVSMIQAAHVGVGISGLEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYVRLCKVITYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIIVGLFDKDVSASLSKKHPELYKEGIQNTFFKWRVVAVWAFFSFYQSLVFYYFTVTASQNGHNSSGKTFGLWDVSTMAFTCVVVTVNLRLLMACNSITRWHSISISGSILAWFLFIFLYSAIMTPYDRQVSSFRWFIP >DRNTG_20305.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5491746:5500984:1 gene:DRNTG_20305 transcript:DRNTG_20305.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT1G59820) UniProtKB/TrEMBL;Acc:A0A178WFR7] MMEFFKCSIGGEIYGTGITEIERGSLERKGLKVNEANKSTTAIHEKGFNFDDARLMRGAWRNEANPEICKEFFRCLAICHTVLPEGEESPEKIVYQAASPDEAALVTAAKNFGFFFYRRTPTSIVVRESHVEKMGKIQDVVYEILNVLEFNSTRKRQSVVCRYPNGKLVLYCKGADSVIYERLADGNEDIKRIMREHLEQFGSAGLRTLCLAYRELSSELYEKWNEKFIQAKSSLREREKKLDEVAELIEKELTLIGSTAIEDKLQVGVPSCIETLSKAGIKIWILTGDKMETAINIAYACSLIDNEMKQFIISSETDAVREVEDKGDPVVISRFIRDLVKTELERCLEEAQHDLHTLTGPKLALVIDGKCLMYALDPNLRVKLLKLSLSCTAVVCCRVSPLQKAQVTSLVKKGARKITLSIGDGANDVSMIQAAHVGVGISGLEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYVRLCKVITYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIIVGLFDKDVSASLSKKHPELYKEGIQNTFFKWRVVAVWAFFSFYQSLVFYYFTVTASQNGHNSSGKTFGLWDVSTMAFTCVVVTVNLRLLMACNSITRWHSISISGSILAWFLFIFLYSAIMTPYDRQENVYFVIYVLMSTFYFYLTLLHVPVVALLGDFIYLGLQRWFAPYDYQIIQEVYRNNPEENTRTEHLEIGNHLTPDEARSYAITQLPRETSKHTGFAFDSPGYESFFASQQGVFAPQKPWDVARRASMRQPTHLQ >DRNTG_20305.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5467945:5500984:1 gene:DRNTG_20305 transcript:DRNTG_20305.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT1G59820) UniProtKB/TrEMBL;Acc:A0A178WFR7] MSGIWERTTRASRTLRGRDSFGSRDLAGNGDRSSRTIRLGRVQPQAPSHRTIYCNDREANLPVRYKGNSISTTKYNVLTFLPKGLFEQFRRVANLYFLMISILSTTPISPVHPITNVVPLSLVLLVSLIKEAFEDWKRFQNDKSINNAPVDVLQNKKWETIPWKKLQVGDLVRIKQDGFFPADVLFLASSNPDGVCYIETANLDGETNLKIRKALERTWDYLLPEKAAEFKGEIQCEQPNNSLYTFTGNLIIENQTLPLSPNQILLRGCSLRNTEYIVGTVLFTGHETKVMMNSMNVPSKRSTLERKLDKLILALFGCLFFMCLIGAIGSGVFINRKYYYLALSGNVENQFNPSNRFVVTILTMFTLITLYSTIIPISLYVSIEMIKFIQCTQFINKDLDMYHIESNTPALARTSNLNEELGQVEYIFSDKTGTLTRNMMEFFKCSIGGEIYGTGITEIERGSLERKGLKVNEANKSTTAIHEKGFNFDDARLMRGAWRNEANPEICKEFFRCLAICHTVLPEGEESPEKIVYQAASPDEAALVTAAKNFGFFFYRRTPTSIVVRESHVEKMGKIQDVVYEILNVLEFNSTRKRQSVVCRYPNGKLVLYCKGADSVIYERLADGNEDIKRIMREHLEQFGSAGLRTLCLAYRELSSELYEKWNEKFIQAKSSLREREKKLDEVAELIEKELTLIGSTAIEDKLQVGVPSCIETLSKAGIKIWILTGDKMETAINIAYACSLIDNEMKQFIISSETDAVREVEDKGDPVVISRFIRDLVKTELERCLEEAQHDLHTLTGPKLALVIDGKCLMYALDPNLRVKLLKLSLSCTAVVCCRVSPLQKAQVTSLVKKGARKITLSIGDGANDVSMIQAAHVGVGISGLEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYVRLCKVITYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIIVGLFDKDVSASLSKKHPELYKEGIQNTFFKWRVVAVWAFFSFYQSLVFYYFTVTASQNGHNSSGKTFGLWDVSTMAFTCVVVTVNLRLLMACNSITRWHSISISGSILAWFLFIFLYSAIMTPYDRQENVYFVIYVLMSTFYFYLTLLHVPVVALLGDFIYLGLQRWFAPYDYQIIQEVYRNNPEENTRTEHLEIGNHLTPDEARSYAITQLPRETSKHTGFAFDSPGYESFFASQQGVFAPQKPWDVARRASMRQPTHLQ >DRNTG_16060.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25705787:25713967:-1 gene:DRNTG_16060 transcript:DRNTG_16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSRQKKGTTMNLTTDYKEGKKPKEISLKSYTKIGKLTHYSLIIKVHAFQTHRYRFTISNKN >DRNTG_03687.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21180763:21181051:1 gene:DRNTG_03687 transcript:DRNTG_03687.1 gene_biotype:protein_coding transcript_biotype:protein_coding KVLDEREAIRRQGEKAARKKKMQNVDSSSSWRILAFF >DRNTG_00950.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21150485:21150781:-1 gene:DRNTG_00950 transcript:DRNTG_00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALCTAPSDGLRLSPVPAPVARRSMRHRLPNSFSSDPRIGCCHIGAKVGNRSEEVGCDHGLGLVSVFANDIDLYYSRLLAGESRIRLIDRFNASKFL >DRNTG_24773.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001315.1:37907:39074:1 gene:DRNTG_24773 transcript:DRNTG_24773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMTQILEVSKKDPDNSSRRQREDSDTSPLMHRAATYIANLARALVYCHEKHVIHKDIKPENLLLDMEGHRVLCYKLLYGVPPFEEHDQNDTFRRIMKVDLNFPPTLRVPPEAKDLITKLLVKDSSKRLSLKKILEHPWIVKIMQIWN >DRNTG_17251.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5659037:5664869:-1 gene:DRNTG_17251 transcript:DRNTG_17251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTSEEEEALRAGVDKHGAGKWRTIQKDPEFSHLLATRSNIDLKDKWRNLSVSASGQGSREKSRTPKHKSILAIPLSCSQGHVVSATTKEEGMPAAMDPMKISQDGKTSLRYTQLITEALKAMSEPDGMEIGAICKYIEQRHEVPSNFRRLLSSKLRRFIKQDKIIKGRKGYLLKESSLATKTPSPKQKDPAHHRPKIQNNSGLCSSMNSIEDASITAAYRIADAEAKAYLASEAMKEAENIAKMAEDTDSLLLLAKEIYEKCRQGEVISLA >DRNTG_03037.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20591754:20593422:-1 gene:DRNTG_03037 transcript:DRNTG_03037.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEEEIIMGRNVEEEIVLHQESEPLVGEVEEFDLKSILKLKLSSTRPNSMVVKKVCPREFIPPEIVAEAISTLHGLDLRWSGPITPMEMQYVEQYVNAKYPQYSHGLIQEGDKTDLYSLCYNNGEVSPVNNGDDRRKSPRGTFREPSASPSFGSTHPELDKTQLEPSRLLDILMKKSSFPGSFISIPEIQARNRVLRHCGLTEDQYLVLFTPSYKDAMMLVGESYPFFKYNYYMTIIEDDSDYIREFATYKDSKVICSPETWLDLRIKGSQLSQYFRRKCKHSPKGLFSYPAEIRGTRYSMHWVSEAHRNSWHVLLDATSFVVGEDRLSLALHRPDFVLSTLNNTHAQPSKITCLLVRRKSFDTAPSP >DRNTG_03037.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20591754:20593422:-1 gene:DRNTG_03037 transcript:DRNTG_03037.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKKVCPREFIPPEIVAEAISTLHGLDLRWSGPITPMEMQYVEQYVNAKYPQYSHGLIQEGDKTDLYSLCYNNGEVSPVNNGDDRRKSPRGTFREPSASPSFGSTHPELDKTQLEPSRLLDILMKKSSFPGSFISIPEIQARNRVLRHCGLTEDQYLVLFTPSYKDAMMLVGESYPFFKYNYYMTIIEDDSDYIREFATYKDSKVICSPETWLDLRIKGSQLSQYFRRKCKHSPKGLFSYPAEIRGTRYSMHWVSEAHRNSWHVLLDATSFVVGEDRLSLALHRPDFVLSTLNNTHAQPSKITCLLVRRKSFDTAPSP >DRNTG_03037.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20591809:20593260:-1 gene:DRNTG_03037 transcript:DRNTG_03037.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEEEIIMGRNVEEEIVLHQESEPLVGEVEEFDLKSILKLKLSSTRPNSMVVKKVCPREFIPPEIVAEAISTLHGLDLRWSGPITPMEMQYVEQYVNAKYPQYSHGLIQEGDKTDLYSLCYNNGEVSPVNNGDDRRKSPRGTFREPSASPSFGSTHPELDKTQLEPSRLLDILMKKSSFPGSFISIPEIQARNRVLRHCGLTEDQYLVLFTPSYKDAMMLVGESYPFFKYNYYMTIIEDDSDYIREFATYKDSKVICSPETWLDLRIKGSQLSQYFRRKCKHSPKGLFSYPAEIRGTRYSMHWVSEAHRNSWHVLLDATSFVVGEDRLSLALHRPDFVLSTLNNTHAQPSKITCLLVRRKSFDTAPSP >DRNTG_03037.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20591809:20593422:-1 gene:DRNTG_03037 transcript:DRNTG_03037.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQYVEQYVNAKYPQYSHGLIQEGDKTDLYSLCYNNGEVSPVNNGDDRRKSPRGTFREPSASPSFGSTHPELDKTQLEPSRLLDILMKKSSFPGSFISIPEIQARNRVLRHCGLTEDQYLVLFTPSYKDAMMLVGESYPFFKYNYYMTIIEDDSDYIREFATYKDSKVICSPETWLDLRIKGSQLSQYFRRKCKHSPKGLFSYPAEIRGTRYSMHWVSEAHRNSWHVLLDATSFVVGEDRLSLALHRPDFVLSTLNNTHAQPSKITCLLVRRKSFDTAPSP >DRNTG_03037.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20591809:20593422:-1 gene:DRNTG_03037 transcript:DRNTG_03037.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEEEIIMGRNVEEEIVLHQESEPLVGEVEEFDLKSILKLKLSSTRPNSMVVKKVCPREFIPPEIVAEAISTLHGLDLRWSGPITPMEMQYVEQYVNAKYPQYSHGLIQEGDKTDLYSLCYNNGEVSPVNNGDDRRKSPRGTFREPSASPSFGSTHPELDKTQLEPSRLLDILMKKSSFPGSFISIPEIQARNRVLRHCGLTEDQYLVLFTPSYKDAMMLVGESYPFFKYNYYMTIIEDDSDYIREFATYKDSKVICSPETWLDLRIKGSQLSQYFRRKCKHSPKGLFSYPAEIRGTRYSMHWVSEAHRNSWHVLLDATSFVVGEDRLSLALHRPDFVLSTLNNTHAQPSKITCLLVRRKSFDTAPSP >DRNTG_03037.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20591754:20593444:-1 gene:DRNTG_03037 transcript:DRNTG_03037.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEEEIIMGRNVEEEIVLHQESEPLVGEVEEFDLKSILKLKLSSTRPNSMVVKKVCPREFIPPEIVAEAISTLHGLDLRWSGPITPMEMQYVEQYVNAKYPQYSHGLIQEGDKTDLYSLCYNNGEVSPVNNGDDRRKSPRGTFREPSASPSFGSTHPELDKTQLEPSRLLDILMKKSSFPGSFISIPEIQARNRVLRHCGLTEDQYLVLFTPSYKDAMMLVGESYPFFKYNYYMTIIEDDSDYIREFATYKDSKVICSPETWLDLRIKGSQLSQYFRRKCKHSPKGLFSYPAEIRGTRYSMHWVSEAHRNSWHVLLDATSFVVGEDRLSLALHRPDFVLSTLNNTHAQPSKITCLLVRRKSFDTAPSP >DRNTG_03037.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20591809:20593422:-1 gene:DRNTG_03037 transcript:DRNTG_03037.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKKVCPREFIPPEIVAEAISTLHGLDLRWSGPITPMEMQYVEQYVNAKYPQYSHGLIQEGDKTDLYSLCYNNGEVSPVNNGDDRRKSPRGTFREPSASPSFGSTHPELDKTQLEPSRLLDILMKKSSFPGSFISIPEIQARNRVLRHCGLTEDQYLVLFTPSYKDAMMLVGESYPFFKYNYYMTIIEDDSDYIREFATYKDSKVICSPETWLDLRIKGSQLSQYFRRKCKHSPKGLFSYPAEIRGTRYSMHWVSEAHRNSWHVLLDATSFVVGEDRLSLALHRPDFVLSTLNNTHAQPSKITCLLVRRKSFDTAPSP >DRNTG_23020.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3193950:3197917:1 gene:DRNTG_23020 transcript:DRNTG_23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSWLLNAFHRDNMREIQLGTHTVQSHGVKVAKFHKHDWFILISLLVLVGTLDAIHPFYRFVGKDMMTDLKYPLKSNTVPVWAVPIIAVLLPMAVFVGFYFRRRDVYDLHHAILGLLYSVLITAVITDAIKDAVGRPRPDFFWRCFPDGKELYDNITGNVICHGEKSVIKEGHKSFPSGHTSWAFSGLGFLALYLSGKIKVFDRRGHIAKLCLVFLPLLCASLVGISRVDDYWHHWQDVFAGGLLGLAMATFCYLQFFPPPYHTEGWGPYAYFQMLEESRASGITPSTANMHTEANNGIRLHEQGNQNRTILDELEAGRL >DRNTG_09849.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000417.1:25600:25959:-1 gene:DRNTG_09849 transcript:DRNTG_09849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEHEGYNLPHILLELIHQQDTQISSQPRKSTSIKTPKYHHNQGHPHPSRHQNIITTRALKLKVIKT >DRNTG_05753.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3632359:3634557:1 gene:DRNTG_05753 transcript:DRNTG_05753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEGACAIIPGFYFRPSDEDLMMVYLLNKLFQKPFPPYMIEEYDVYSTEPWNLPNNTRYSQDGKSYYFTRAKKSSPRSMRLLRQAGDGCWHMNGTNKKIHDRNGVHVGSTTALTYLHGSNKKKTRWVMHEYRVDHSFYRNSNPQATELVLCCILESGRGEEINNYSGISNNRSDQVLVPAESHMNMTVSKKKKNTNRRSGEVFVIACQQYGRL >DRNTG_07309.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3391673:3394160:1 gene:DRNTG_07309 transcript:DRNTG_07309.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLMEKPSSREECRVQKYAERLDVLKINSNAEQQKKQQQQQQQLQIQQQQTATLDFLDRLFSPPTPTVSTVTTVSSASSAPTPRTPELRSMATGGYPCRPQGIITGGGDIPVNGVYGYASMGNVYNGVAIAGSSEGGRQGLQHLPQQFTVWTPDEHRIFMEGLQKYCTDPIVDKYAKIAAALPRKTIRDVALRLKWLMARKERRKRIRDGCHNSIINNNKKVS >DRNTG_07309.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3391673:3397233:1 gene:DRNTG_07309 transcript:DRNTG_07309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGYPCRPQGIITGGGDIPVNGVYGYASMGNVYNGVAIAGSSEGGRQGLQHLPQQFTVWTPDEHRIFMEGLQKYCTDPIVDKYAKIAAALPRKTIRDVALRLKWLMARKERRKRIRDGCHNSIINNNKKERIIYPSNSHALQMPHMPGDDNGDIRRTLFDLNKDIFKKVSENSQASENIELLYHALNNLFEILRINVIPDKENKMPPLPVNLNAQLVQFILQCLNKPHGEKLHK >DRNTG_07309.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3391673:3392465:1 gene:DRNTG_07309 transcript:DRNTG_07309.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLIKLDGITADGEAKQQRGMQSSEVC >DRNTG_27136.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19062193:19065755:1 gene:DRNTG_27136 transcript:DRNTG_27136.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetylornithine aminotransferase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G80600) UniProtKB/Swiss-Prot;Acc:Q9M8M7] MSSLNAILSNSITPTTNLHSPTNSKIPKVSSIHLQCARVHSSLVSEPEKKSSVVDSSSSKAGFLGSKEVIETEGNVFVRTYARVPLVLESGKGCKLYDVDGKEYLDMTAGIAVNSLGHCDPDWVKAVVEQANTLTHVSNVYYSIPQVKLAKRLIESSFADRVFFSNSGTEANEAAIKFARKYQRHLHPDEKQPPTEFISFTHSFHGRTMGSVALTSKEHYRLPFDPVMPGVTFIEYGNIEEAKKSIVARRTAAVFVEPIQGEGGIYSATKEFLQTLRNVCNDTGALLVFDEVQCGLGRTGYLWAHEVYGVTPDIMTLAKPLAGGLPIGATLTTERVAAAINFGDHGSTFAGGPLVCHAAIAVLDKIQKPGFLASVTKKGLYFKELLAQKTEGNQHVKEIRGLGLIVGIELDVPASPLVDACREAGLLILTAGNGNVVRLVPPLIISEQELEQAAEVLAKCLPVLDQTAT >DRNTG_12396.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6404843:6409734:1 gene:DRNTG_12396 transcript:DRNTG_12396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDFSFGMRKFQILIVGERGEHPKFSHAAGKYFFSEPSNVDVDRPTVETRSTLDIPKTVKGKLPICNNIGCERAPEEGTLKLGISGSSDLRRNNEGAPVSGVGITILQRRKEGSEDAATLSECQRPLVERSSHGLDKEEPRKPKPPVEQSSRGDGVSRGPLRQSIWNGPMDIWGGCGLQSNKGMGRATCLGCMARGRDVSKCGRSVQIRLLGWRKPAFFLGLTRLSGPRLAWEILIRWNHLGSCMDWPRHMNWLQMCIRNPRWV >DRNTG_26572.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20212006:20216143:-1 gene:DRNTG_26572 transcript:DRNTG_26572.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVVQVAAVASICSLLQGWDNAAIAGAAIYIKRDYELEKNPNIEGLTVAMSFIGAIIITTLGGAISDWIGRRPLIILSSVLYFIGGLLIFWAPNIHVLLVARLIDGFGVGLAVMLVPVYISEISPSDERGFLNTLPQFCGCGGMFLSYSVIFAMSLMAEPEWRFILGALAIPSVISLALTIFYLPESPRWLVSKGRMMEAKLVLQRLRGKEDVSGEMALLVEGLGTKGETSIEEYIIGSANELTDDQGGPTDEKERSILFGFEDGRCWVARPIAGQTVIVSPLVQAYCHGNIDDEIYLIKDNVVTLFGCVHRNIPEMGSLRSSMFPNFRSMFTISEQNLGTEQFYEEIGQVEGEEYTTEAVPSNSEEALQSPLLSRQTSGEGDDIVPLRANNDTLINIPRSFQGCEVIIGGTGVGGGWQLAWKWSEREGANGKTEVAFKRIYLHQEAILGSGTGSNVGAVGADLPEVPEYIKASALVSLPALCPKELIDHDPSGPIMFHPLKKATKGPRWSDIFEAGVRHALFLGISIQLLQQFAGINGVLYYAPQILNQAGAEVLLQNMGIGSDSAVFLLSALVTMLMLPCIAITMRLVDIIGRRSSLFFSFTLFKNSFMLQIPLII >DRNTG_26572.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20212006:20215567:-1 gene:DRNTG_26572 transcript:DRNTG_26572.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVVQVAAVASICSLLQGWDNAAIAGAAIYIKRDYELEKNPNIEGLTVAMSFIGAIIITTLGGAISDWIGRRPLIILSSVLYFIGGLLIFWAPNIHVLLVARLIDGFGVGLAVMLVPVYISEISPSDERGFLNTLPQFCGCGGMFLSYSVIFAMSLMAEPEWRFILGALAIPSVISLALTIFYLPESPRWLVSKGRMMEAKLVLQRLRGKEDVSGEMALLVEGLGTKGETSIEEYIIGSANELTDDQGGPTDEKERSILFGFEDGRCWVARPIAGQTVIVSPLVQAYCHGNIDDEIYLIKDNVVTLFGCVHRNIPEMGSLRSSMFPNFRSMFTISEQNLGTEQFYEEIGQVEGEEYTTEAVPSNSEEALQSPLLSRQTSGEGDDIVPLRANNDTLINIPRSFQGCEVIIGGTGVGGGWQLAWKWSEREGANGKTEVAFKRIYLHQEAILGSGTGSNVGAVGADLPEVPEYIKASALVSLPALCPKELIDHDPSGPIMFHPLKKATKGPRWSDIFEAGVRHALFLGISIQLLQQFAGINGVLYYAPQILNQAGAEVLLQNMGIGSDSAVFLLSALVTMLMLPCIAITMRLVDIIGRRSMLLATIPVLIVSSLILIAANLVPMSTMVHAALSTISIIIYVCCFFLGFGPIPSILCSEIFPTCIRGVCISVCLLAFFIGAITMTYSVPVLLNSIGLARLFGVYGVACIMGLMFVYFKVPETKGIPLEIITELFAVGAKKVARNSNK >DRNTG_26572.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20212006:20216143:-1 gene:DRNTG_26572 transcript:DRNTG_26572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVVQVAAVASICSLLQGWDNAAIAGAAIYIKRDYELEKNPNIEGLTVAMSFIGAIIITTLGGAISDWIGRRPLIILSSVLYFIGGLLIFWAPNIHVLLVARLIDGFGVGLAVMLVPVYISEISPSDERGFLNTLPQFCGCGGMFLSYSVIFAMSLMAEPEWRFILGALAIPSVISLALTIFYLPESPRWLVSKGRMMEAKLVLQRLRGKEDVSGEMALLVEGLGTKGETSIEEYIIGSANELTDDQGGPTDEKERSILFGFEDGRCWVARPIAGQTVIVSPLVQAYCHGNIDDEIYLIKDNVVTLFGCVHRNIPEMGSLRSSMFPNFRSMFTISEQNLGTEQFYEEIGQVEGEEYTTEAVPSNSEEALQSPLLSRQTSGEGDDIVPLRANNDTLINIPRSFQGCEVIIGGTGVGGGWQLAWKWSEREGANGKTEVAFKRIYLHQEAILGSGTGSNVGAVGADLPEVPEYIKASALVSLPALCPKELIDHDPSGPIMFHPLKKATKGPRWSDIFEAGVRHALFLGISIQLLQQFAGINGVLYYAPQILNQAGAEVLLQNMGIGSDSAVFLLSALVTMLMLPCIAITMRLVDIIGRRSMLLATIPVLIVSSLILIAANLVPMSTMVHAALSTISIIIYVCCFFLGFGPIPSILCSEIFPTCIRGVCISVCLLAFFIGAITMTYSVPVLLNSIGLARLFGVYGVACIMGLMFVYFKVPETKGIPLEIITELFAVGAKKVARNSNK >DRNTG_26572.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20212006:20215229:-1 gene:DRNTG_26572 transcript:DRNTG_26572.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIGAIIITTLGGAISDWIGRRPLIILSSVLYFIGGLLIFWAPNIHVLLVARLIDGFGVGLAVMLVPVYISEISPSDERGFLNTLPQFCGCGGMFLSYSVIFAMSLMAEPEWRFILGALAIPSVISLALTIFYLPESPRWLVSKGRMMEAKLVLQRLRGKEDVSGEMALLVEGLGTKGETSIEEYIIGSANELTDDQGGPTDEKERSILFGFEDGRCWVARPIAGQTVIVSPLVQAYCHGNIDDEIYLIKDNVVTLFGCVHRNIPEMGSLRSSMFPNFRSMFTISEQNLGTEQFYEEIGQVEGEEYTTEAVPSNSEEALQSPLLSRQTSGEGDDIVPLRANNDTLINIPRSFQGCEVIIGGTGVGGGWQLAWKWSEREGANGKTEVAFKRIYLHQEAILGSGTGSNVGAVGADLPEVPEYIKASALVSLPALCPKELIDHDPSGPIMFHPLKKATKGPRWSDIFEAGVRHALFLGISIQLLQQFAGINGVLYYAPQILNQAGAEVLLQNMGIGSDSAVFLLSALVTMLMLPCIAITMRLVDIIGRRSMLLATIPVLIVSSLILIAANLVPMSTMVHAALSTISIIIYVCCFFLGFGPIPSILCSEIFPTCIRGVCISVCLLAFFIGAITMTYSVPVLLNSIGLARLFGVYGVACIMGLMFVYFKVPETKGIPLEIITELFAVGAKKVARNSNK >DRNTG_26572.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20212006:20214177:-1 gene:DRNTG_26572 transcript:DRNTG_26572.6 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVEGLGTKGETSIEEYIIGSANELTDDQGGPTDEKERSILFGFEDGRCWVARPIAGQTVIVSPLVQAYCHGNIDDEIYLIKDNVVTLFGCVHRNIPEMGSLRSSMFPNFRSMFTISEQNLGTEQFYEEIGQVEGEEYTTEAVPSNSEEALQSPLLSRQTSGEGDDIVPLRANNDTLINIPRSFQGCEVIIGGTGVGGGWQLAWKWSEREGANGKTEVAFKRIYLHQEAILGSGTGSNVGAVGADLPEVPEYIKASALVSLPALCPKELIDHDPSGPIMFHPLKKATKGPRWSDIFEAGVRHALFLGISIQLLQQFAGINGVLYYAPQILNQAGAEVLLQNMGIGSDSAVFLLSALVTMLMLPCIAITMRLVDIIGRRSSLFFSFTLFKNSFMLQIPLII >DRNTG_26572.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20212006:20216143:-1 gene:DRNTG_26572 transcript:DRNTG_26572.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVVQVAAVASICSLLQGWDNAAIAGAAIYIKRDYELEKNPNIEGLTVAMSFIGAIIITTLGGAISDWIGRRPLIILSSVLYFIGGLLIFWAPNIHVLLVARLIDGFGVGLAVMLVPVYISEISPSDERGFLNTLPQFCGCGGMFLSYSVIFAMSLMAEPEWRFILGALAIPSVISLALTIFYLPESPRWLVSKGRMMEAKLVLQRLRGKEDVSGEMALLVEGLGTKGETSIEEYIIGSANELTDDQGGPTDEKERSILFGFEDGRCWVARPIAGQTVIVSPLVQAYCHGNIDDEIYLIKDNVVTLFGCVHRNIPEMGSLRSSMFPNFRSMFTISEQNLGTEQFYEEIGQVEGEEYTTEAVPSNSEEALQSPLLSRQTSGEGDDIVPLRANNDTLINIPRSFQGCEVIIGGTGVGGGWQLAWKWSEREGANGKTEVAFKRIYLHQEAILGSGTGSNVGAVGADLPEVPEYIKASALVSLPALCPKELIDHDPSGPIMFHPLKKATKGPRWSDIFEAGVRHALFLGISIQLLQQFAGINGVLYYAPQILNQAGAEVLLQNMGIGSDSAVFLLSALVTMLMLPCIAITMRLVDIIGRRSMLLATIPVLIVSSLILIAANLVPMSTMVHAALSTISIIIYVCCFFLGFGPIPSILCSEIFPTCIRGVCISVCLLAFFIGAITMTYSVPVLLNSIGLARLFGVYGVACIMGLMFVYFKVPETKGIPLEIITELFAVGAKKVARNSNK >DRNTG_02441.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12509311:12509670:-1 gene:DRNTG_02441 transcript:DRNTG_02441.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEALTAAEEPSPVHIFSPSRVNDRFERLEHAIGVFRAEAPSVSPAPPSSTPAPEDPLYASSSTAAAAEPESDSDT >DRNTG_29506.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21094370:21096809:1 gene:DRNTG_29506 transcript:DRNTG_29506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLRKSYPLLRRSIVSKIPSHKPHSPSPPLFTRPIFNPNLHSTNKLFSIKRIPNPFSQRRRFYHSYEDEPEHWYHNFRAVLIVIVVSSGAILTIYFGRFETVPCTKRSHLILISPSFERFLGEDEFKELKKKFKDKILPANHPDSVRVSYIANRIIKALQRGLQHDKQLRSTSEVSSKATKKKVSVPQTNHLEGLNWEVLVVKDNSVNAFCLPGGKIVVYTGLLHHFKKNAEIATVLGHEVAHVTARHGAETITKNLWLAVIRIVFKLILLEFDMPDLVNEMSTFLLQLPFSRRMEIEADYIGLLLMASAGYDPHVAPRVYEKLGQINKDSALDDYTSTHPSSMRRVKMLSQAQVMERAVSVHKDAVAGHGVSGFL >DRNTG_21170.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001205.1:13841:15615:1 gene:DRNTG_21170 transcript:DRNTG_21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLIFNFDLLNWVSPGGLDTCDVWTGVVTTLIGLKNRERTDEEPEEDPSFPPSFSWFKDEEPGE >DRNTG_21170.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001205.1:13841:14529:1 gene:DRNTG_21170 transcript:DRNTG_21170.2 gene_biotype:protein_coding transcript_biotype:protein_coding YTISHTKLHLERATKSPNQKTKKEKHKIPEANIKMLFLQETFFCGLVEAANDLTLGVAIFFFLFSPYCFSSFWLIILFYLGP >DRNTG_08994.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28991711:28992369:-1 gene:DRNTG_08994 transcript:DRNTG_08994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAKMGRSFLHFFVFLLALSSSVIVLSARTQVLVQSSNKMISEDTVLEEALINGRMDLERSDYPPSGANGHHTPPNPPR >DRNTG_01012.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17989617:17990475:1 gene:DRNTG_01012 transcript:DRNTG_01012.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELITGRKALDESQTEEKRHLVTWFRNLQSNEEKLWNAIDPAIDLGMEIFPSVLKIAELAFHCCANKPHQRPDMSHVVTVLSSLTEIWKPANRPEADSDDICGIDLQTPLPQVIMKWKASCDSSSLMDGASSSFLSSTDVTQTSIPTRPTGFAESFTSSDGR >DRNTG_01012.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17985410:17990475:1 gene:DRNTG_01012 transcript:DRNTG_01012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLLILLLFSTSPAMAGTAAPADAQAMRTLAASFGSGAEKLGWSSTLDPCDWPHVSCDGSRVSAIQVGNRSLSGSLPGDVFLNLTALIRLELMENNLSGPIPSLAGLSSLQALLLHNNQFSSVPADFFSGLTSLVSVAIDNNPFAGWTIPPAITDASGLVNFSANSANITGSVPTFFATDFPSLNHLSLAYNFLSGHIPESFASAPIRSLWLNSQQGIGRLSGPIDLIQNMTSVVQLWLQSNSFSGPIPDVSSLVNLQDLQLRDNQLTGIVPISLTSLKSLTTITLTNNLLQGPVPVFTKNVATVDVNPKTESFCLDEPGECDARVNVLLSIMKDFGYPVLFAENWKGNDPCNGWMGISCLAGNITVVNFQNLDLKGTISPDFASLPSLQKLLLANNNISGTIPSSLTNLAMLSQLDVSNNALWGDVPKFDSRVFVNTMGNVNIGKDVPPPPAGSNPAPRQKDSPGGNAESSSSSSVGVIVGSVCGGVGAFALIGVLAFCYFKKRETRCGRVQYPSTTVVHPRHSGSGSDMVKISIADSGLNSESGNMSHTSSGTGDLHVVEAGNMVISIQVLRTVTNNFSEENVLGRGGFGTVYKGELHDGTKIAVKRMESGVIGSHGLSEFQSEIAVLTKVRHRHLVSLLGYCLDGNEKILVYEYMPKGTLSEHLFNWNTSGLRPLEWKKRLTIALDVARGVEYLHSLAHKSFIHRDLKPSNILLGDDMRAKVADFGLVRLAPDDTCASVATRLAGTFGYLAPECAVTGRITTKTDVFSFGAILMELITGRKALDESQTEEKRHLVTWFRNLQSNEEKLWNAIDPAIDLGMEIFPSVLKIAELAFHCCANKPHQRPDMSHVVTVLSSLTEIWKPANRPEADSDDICGIDLQTPLPQVIMKWKASCDSSSLMDGASSSFLSSTDVTQTSIPTRPTGFAESFTSSDGR >DRNTG_04104.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3492927:3493614:-1 gene:DRNTG_04104 transcript:DRNTG_04104.2 gene_biotype:protein_coding transcript_biotype:protein_coding SSKERKVPLYSQRKASWPRGKRRPHSHEFHGRREEDETCSPSNG >DRNTG_04104.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3492927:3495983:-1 gene:DRNTG_04104 transcript:DRNTG_04104.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSKERKVPLYSQRKASWPRGKRRPHSHEFHGRREEDETCSPSNG >DRNTG_22509.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3363648:3368043:1 gene:DRNTG_22509 transcript:DRNTG_22509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGSAHRAEHQNHQLHHQNHRPSLSLHQGLLAGGETPPFSEYSLADLRSATGGFSSENIVSEGGGKAPNLVYKGRLQGRGWIAVKKFSKLAWPDAKQFAEETRGVGRLRHSRLANLIGYCCDGDERLLVAEYMPNDTLAKHLFHWENQSIEWAMRLRVALYIAEALEYCSNEGRALYHDLNAYRVLFDEDGNPRLSCFGLIKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIFSFGTILLDLLSGKHIPPGHALDMIRGNNIVLLMDSHLEGKYSTEDATALVDLASQCLQYEPRERPNIKDLVATLKLLQAKPEVSSNVLLGIQKQEEAPPAPQRTLSRMGEACARMDLTAIHEILVMTHYKDDEGTNELSFQEWTQQMRDLLEARKRGDFAFRDKDFKTAIDCYSQFVDVGTMVSPTVYARRSLCYLMCDQPDAALRDAMQAQCVHPDWPTAFYMQAVALAKLNMDSDATDMLNEAAALEEKRQKGSGRGS >DRNTG_30464.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18678751:18681470:1 gene:DRNTG_30464 transcript:DRNTG_30464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRGWLGAAAILYVCIDYVRHVSPAWHSRLQPALWVVLALAAVSRTLSYRHWGLELSAAPKFLASLAFMLAAFLCEALSVRFVTAVLGLDWHRSAPPLPDAGQWLLLALNDKLPQPIVEILRARIIGLHHYLMLFMMLAFSVLFNSVKAPGLGLAARYMFTMAIGRLLRAMTFVSTILPSLRPWCASTKFRIPHHPHPWAQEYYMPYASDSNALRQLMNTDTHSATLNDYPAEYRPDWGRMSFLIDILRPTMYDGSSWYQLLKKAEGGCNDLLYSGHMLVAVLTAMAWTEAYGGWTSTVIWLLVLHSAQREIREHHHYSVDCIVAIYVGILLWRITSFIWSAKDTARARRLAKLEEVEHRLIHASKDSDIEGIRELLNEVELAGQDKGYPKWVIKAFAIVAISVCLMCVILAFIWTSDG >DRNTG_06531.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29537151:29539772:1 gene:DRNTG_06531 transcript:DRNTG_06531.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTANDAQALFRSLRSAYASTPTNLKIIDLYVVFAVTTALIQVVYMGIVGSFPFNSFLSGVLSCVGTAVLAVCLRIQVNKDNKEFKDLPPEQAFADFVLCNLVLHLVIMNFLG >DRNTG_06531.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29537151:29539772:1 gene:DRNTG_06531 transcript:DRNTG_06531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTANDAQALFRSLRSAYASTPTNLKIIDLYVVFAVTTALIQVVYMGIVGSFPFNSFLSGVLSCVGTAVLAVCLRIQVNKDNKEFKDLPPEQAFADFVLCNLVLHLVIMNFLG >DRNTG_10551.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3237276:3244403:1 gene:DRNTG_10551 transcript:DRNTG_10551.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERNKFGIEGRRKFSPERFLFLNLCSAEQEEQEARKQGSKKERLRRKKEKNGLEASLPRASASLNSQIFFF >DRNTG_06614.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:482323:483620:1 gene:DRNTG_06614 transcript:DRNTG_06614.12 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTPFTFNTPNHLLHLFHCGISSNTHQASSSSSAVHSMAFPPAALVALHLLLLPSRSFSFSEDEACLSNLHRSMEDPTNSLHNWTSSTFSSSCNNGQLSFLQGITCNNGRIYKLSLPNLSLSGSISPFISNCTNLQSLDLSSNSLTGPIPPELSLLLNLAVLNLSSNLLSGFIPPQLALCAYLNVIDLHSNLLTGPIPDQLGLLVRLSAFDVSNNRLQGPIPSLLSNRSGTALPRFNASSFTGNRGLYGFPLPPLRNRGLSVLAIVGIGLGSGLLSLVLSFTAVCIWLRVTEKEGMMPGEDGKISHLMPDY >DRNTG_06614.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:482208:483501:1 gene:DRNTG_06614 transcript:DRNTG_06614.13 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTPFTFNTPNHLLHLFHCGISSNTHQASSSSSAVHSMAFPPAALVALHLLLLPSRSFSFSEDEACLSNLHRSMEDPTNSLHNWTSSTFSSSCNNGQLSFLQGITCNNGRIYKLSLPNLSLSGSISPFISNCTNLQSLDLSSNSLTGPIPPELSLLLNLAVLNLSSNLLSGFIPPQLALCAYLNVIDLHSNLLTGPIPDQLGLLVRLSAFDVSNNRLQGPIPSLLSNRSGTALPRFNASSFTGNRGLYGFPLPPLRNRGLSVLAIVGIGLGSGLLSLVLSFTAVCIWLRVTEKEGMMPGEDGKISHLMPDY >DRNTG_06614.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:481943:483501:1 gene:DRNTG_06614 transcript:DRNTG_06614.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTPFTFNTPNHLLHLFHCGISSNTHQASSSSSAVHSMAFPPAALVALHLLLLPSRSFSFSEDEACLSNLHRSMEDPTNSLHNWTSSTFSSSCNNGQLSFLQGITCNNGRIYKLSLPNLSLSGSISPFISNCTNLQSLDLSSNSLTGPIPPELSLLLNLAVLNLSSNLLSGFIPPQLALCAYLNVIDLHSNLLTGPIPDQLGLLVRLSAFDVSNNRLQGPIPSLLSNRSGTALPRFNASSFTGNRGLYGFPLPPLRNRGLSVLAIVGIGLGSGLLSLVLSFTAVCIWLRVTEKEGMMPGEDGKISHLMPDY >DRNTG_06614.17.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:482323:483339:1 gene:DRNTG_06614 transcript:DRNTG_06614.17 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTPFTFNTPNHLLHLFHCGISSNTHQASSSSSAVHSMAFPPAALVALHLLLLPSRSFSFSEDEACLSNLHRSMEDPTNSLHNWTSSTFSSSCNNGQLSFLQGITCNNGRIYKLSLPNLSLSGSISPFISNCTNLQSLDLSSNSLTGPIPPELSLLLNLAVLNLSSNLLSGFIPPQLALCAYLNVIDLHSNLLTGPIPDQLGLLVRLSAFDVSNNRLQGPIPSLLSNRSGTALPRFNASSFTGNRGLYGFPLPPLRNRGLSVLAIVGIGLGSGLLSLVLSFTAVCIWLRVTEKEGMMPGEDGKISHLMPDY >DRNTG_06614.16.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:482208:483339:1 gene:DRNTG_06614 transcript:DRNTG_06614.16 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTPFTFNTPNHLLHLFHCGISSNTHQASSSSSAVHSMAFPPAALVALHLLLLPSRSFSFSEDEACLSNLHRSMEDPTNSLHNWTSSTFSSSCNNGQLSFLQGITCNNGRIYKLSLPNLSLSGSISPFISNCTNLQSLDLSSNSLTGPIPPELSLLLNLAVLNLSSNLLSGFIPPQLALCAYLNVIDLHSNLLTGPIPDQLGLLVRLSAFDVSNNRLQGPIPSLLSNRSGTALPRFNASSFTGNRGLYGFPLPPLRNRGLSVLAIVGIGLGSGLLSLVLSFTAVCIWLRVTEKEGMMPGEDGKISHLMPDY >DRNTG_06614.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:482108:484069:1 gene:DRNTG_06614 transcript:DRNTG_06614.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTPFTFNTPNHLLHLFHCGISSNTHQASSSSSAVHSMAFPPAALVALHLLLLPSRSFSFSEDEACLSNLHRSMEDPTNSLHNWTSSTFSSSCNNGQLSFLQGITCNNGRIYKLSLPNLSLSGSISPFISNCTNLQSLDLSSNSLTGPIPPELSLLLNLAVLNLSSNLLSGFIPPQLALCAYLNVIDLHSNLLTGPIPDQLGLLVRLSAFDVSNNRLQGPIPSLLSNRSGTALPRFNASSFTGNRGLYGFPLPPLRNRGLSVLAIVGIGLGSGLLSLVLSFTAVCIWLRVTEKEGMMPGEDGKISHLMPDY >DRNTG_06614.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:482034:484069:1 gene:DRNTG_06614 transcript:DRNTG_06614.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTPFTFNTPNHLLHLFHCGISSNTHQASSSSSAVHSMAFPPAALVALHLLLLPSRSFSFSEDEACLSNLHRSMEDPTNSLHNWTSSTFSSSCNNGQLSFLQGITCNNGRIYKLSLPNLSLSGSISPFISNCTNLQSLDLSSNSLTGPIPPELSLLLNLAVLNLSSNLLSGFIPPQLALCAYLNVIDLHSNLLTGPIPDQLGLLVRLSAFDVSNNRLQGPIPSLLSNRSGTALPRFNASSFTGNRGLYGFPLPPLRNRGLSVLAIVGIGLGSGLLSLVLSFTAVCIWLRVTEKEGMMPGEDGKISHLMPDY >DRNTG_06614.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:482108:483620:1 gene:DRNTG_06614 transcript:DRNTG_06614.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTPFTFNTPNHLLHLFHCGISSNTHQASSSSSAVHSMAFPPAALVALHLLLLPSRSFSFSEDEACLSNLHRSMEDPTNSLHNWTSSTFSSSCNNGQLSFLQGITCNNGRIYKLSLPNLSLSGSISPFISNCTNLQSLDLSSNSLTGPIPPELSLLLNLAVLNLSSNLLSGFIPPQLALCAYLNVIDLHSNLLTGPIPDQLGLLVRLSAFDVSNNRLQGPIPSLLSNRSGTALPRFNASSFTGNRGLYGFPLPPLRNRGLSVLAIVGIGLGSGLLSLVLSFTAVCIWLRVTEKEGMMPGEDGKISHLMPDY >DRNTG_06614.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:482108:483501:1 gene:DRNTG_06614 transcript:DRNTG_06614.10 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTPFTFNTPNHLLHLFHCGISSNTHQASSSSSAVHSMAFPPAALVALHLLLLPSRSFSFSEDEACLSNLHRSMEDPTNSLHNWTSSTFSSSCNNGQLSFLQGITCNNGRIYKLSLPNLSLSGSISPFISNCTNLQSLDLSSNSLTGPIPPELSLLLNLAVLNLSSNLLSGFIPPQLALCAYLNVIDLHSNLLTGPIPDQLGLLVRLSAFDVSNNRLQGPIPSLLSNRSGTALPRFNASSFTGNRGLYGFPLPPLRNRGLSVLAIVGIGLGSGLLSLVLSFTAVCIWLRVTEKEGMMPGEDGKISHLMPDY >DRNTG_06614.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:482108:483339:1 gene:DRNTG_06614 transcript:DRNTG_06614.14 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTPFTFNTPNHLLHLFHCGISSNTHQASSSSSAVHSMAFPPAALVALHLLLLPSRSFSFSEDEACLSNLHRSMEDPTNSLHNWTSSTFSSSCNNGQLSFLQGITCNNGRIYKLSLPNLSLSGSISPFISNCTNLQSLDLSSNSLTGPIPPELSLLLNLAVLNLSSNLLSGFIPPQLALCAYLNVIDLHSNLLTGPIPDQLGLLVRLSAFDVSNNRLQGPIPSLLSNRSGTALPRFNASSFTGNRGLYGFPLPPLRNRGLSVLAIVGIGLGSGLLSLVLSFTAVCIWLRVTEKEGMMPGEDGKISHLMPDY >DRNTG_06614.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:482034:483339:1 gene:DRNTG_06614 transcript:DRNTG_06614.11 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTPFTFNTPNHLLHLFHCGISSNTHQASSSSSAVHSMAFPPAALVALHLLLLPSRSFSFSEDEACLSNLHRSMEDPTNSLHNWTSSTFSSSCNNGQLSFLQGITCNNGRIYKLSLPNLSLSGSISPFISNCTNLQSLDLSSNSLTGPIPPELSLLLNLAVLNLSSNLLSGFIPPQLALCAYLNVIDLHSNLLTGPIPDQLGLLVRLSAFDVSNNRLQGPIPSLLSNRSGTALPRFNASSFTGNRGLYGFPLPPLRNRGLSVLAIVGIGLGSGLLSLVLSFTAVCIWLRVTEKEGMMPGEDGKISHLMPDY >DRNTG_06614.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:482208:483620:1 gene:DRNTG_06614 transcript:DRNTG_06614.8 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTPFTFNTPNHLLHLFHCGISSNTHQASSSSSAVHSMAFPPAALVALHLLLLPSRSFSFSEDEACLSNLHRSMEDPTNSLHNWTSSTFSSSCNNGQLSFLQGITCNNGRIYKLSLPNLSLSGSISPFISNCTNLQSLDLSSNSLTGPIPPELSLLLNLAVLNLSSNLLSGFIPPQLALCAYLNVIDLHSNLLTGPIPDQLGLLVRLSAFDVSNNRLQGPIPSLLSNRSGTALPRFNASSFTGNRGLYGFPLPPLRNRGLSVLAIVGIGLGSGLLSLVLSFTAVCIWLRVTEKEGMMPGEDGKISHLMPDY >DRNTG_06614.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:482034:483501:1 gene:DRNTG_06614 transcript:DRNTG_06614.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTPFTFNTPNHLLHLFHCGISSNTHQASSSSSAVHSMAFPPAALVALHLLLLPSRSFSFSEDEACLSNLHRSMEDPTNSLHNWTSSTFSSSCNNGQLSFLQGITCNNGRIYKLSLPNLSLSGSISPFISNCTNLQSLDLSSNSLTGPIPPELSLLLNLAVLNLSSNLLSGFIPPQLALCAYLNVIDLHSNLLTGPIPDQLGLLVRLSAFDVSNNRLQGPIPSLLSNRSGTALPRFNASSFTGNRGLYGFPLPPLRNRGLSVLAIVGIGLGSGLLSLVLSFTAVCIWLRVTEKEGMMPGEDGKISHLMPDY >DRNTG_06614.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:482323:483501:1 gene:DRNTG_06614 transcript:DRNTG_06614.15 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTPFTFNTPNHLLHLFHCGISSNTHQASSSSSAVHSMAFPPAALVALHLLLLPSRSFSFSEDEACLSNLHRSMEDPTNSLHNWTSSTFSSSCNNGQLSFLQGITCNNGRIYKLSLPNLSLSGSISPFISNCTNLQSLDLSSNSLTGPIPPELSLLLNLAVLNLSSNLLSGFIPPQLALCAYLNVIDLHSNLLTGPIPDQLGLLVRLSAFDVSNNRLQGPIPSLLSNRSGTALPRFNASSFTGNRGLYGFPLPPLRNRGLSVLAIVGIGLGSGLLSLVLSFTAVCIWLRVTEKEGMMPGEDGKISHLMPDY >DRNTG_06614.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:482034:483620:1 gene:DRNTG_06614 transcript:DRNTG_06614.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTPFTFNTPNHLLHLFHCGISSNTHQASSSSSAVHSMAFPPAALVALHLLLLPSRSFSFSEDEACLSNLHRSMEDPTNSLHNWTSSTFSSSCNNGQLSFLQGITCNNGRIYKLSLPNLSLSGSISPFISNCTNLQSLDLSSNSLTGPIPPELSLLLNLAVLNLSSNLLSGFIPPQLALCAYLNVIDLHSNLLTGPIPDQLGLLVRLSAFDVSNNRLQGPIPSLLSNRSGTALPRFNASSFTGNRGLYGFPLPPLRNRGLSVLAIVGIGLGSGLLSLVLSFTAVCIWLRVTEKEGMMPGEDGKISHLMPDY >DRNTG_06614.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:481943:483339:1 gene:DRNTG_06614 transcript:DRNTG_06614.9 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTPFTFNTPNHLLHLFHCGISSNTHQASSSSSAVHSMAFPPAALVALHLLLLPSRSFSFSEDEACLSNLHRSMEDPTNSLHNWTSSTFSSSCNNGQLSFLQGITCNNGRIYKLSLPNLSLSGSISPFISNCTNLQSLDLSSNSLTGPIPPELSLLLNLAVLNLSSNLLSGFIPPQLALCAYLNVIDLHSNLLTGPIPDQLGLLVRLSAFDVSNNRLQGPIPSLLSNRSGTALPRFNASSFTGNRGLYGFPLPPLRNRGLSVLAIVGIGLGSGLLSLVLSFTAVCIWLRVTEKEGMMPGEDGKISHLMPDY >DRNTG_06614.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:482208:484069:1 gene:DRNTG_06614 transcript:DRNTG_06614.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTPFTFNTPNHLLHLFHCGISSNTHQASSSSSAVHSMAFPPAALVALHLLLLPSRSFSFSEDEACLSNLHRSMEDPTNSLHNWTSSTFSSSCNNGQLSFLQGITCNNGRIYKLSLPNLSLSGSISPFISNCTNLQSLDLSSNSLTGPIPPELSLLLNLAVLNLSSNLLSGFIPPQLALCAYLNVIDLHSNLLTGPIPDQLGLLVRLSAFDVSNNRLQGPIPSLLSNRSGTALPRFNASSFTGNRGLYGFPLPPLRNRGLSVLAIVGIGLGSGLLSLVLSFTAVCIWLRVTEKEGMMPGEDGKISHLMPDY >DRNTG_03616.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11247315:11247613:-1 gene:DRNTG_03616 transcript:DRNTG_03616.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRFLKCVSVGDNGVGKTSMFISYTNDKFPSDYIPTVFDTYNATCSLDGCAVNLGLWDTAGLELYLIQL >DRNTG_03121.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21634333:21651072:1 gene:DRNTG_03121 transcript:DRNTG_03121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMPGDSGQSEPGPSNSTWWPSDFMEKLQSISLDPHERSSNIRQSADNVGQKELLSSSASQVLWATGALLDPIPNGFYSIIPDKKLKELFETIPTLEDLCSLCMEKLRADIILVDAEKDKKLTMLKHLSAALVKGANSNPASLIKKLAGLVADVYKRSNTELSPAKAATEEGSHLMEHKGIQLLGQIRHGSCRPRAILFKVLADSVGLESKLVVGIPSDGAVEYTDSYKHMSVVVVLNSVELLVDLMRFPGQLIPFSAKAIFFSHFSAAGESDSAENDSCDSPLEPNSPLCGFSDRQDVECGEQDENLQSLCQRRADASSNVSGHSLRNIMLRPTTFVESKLSLSHSEPNIANAFWRSGRRRAVAEQQRTASSSPEHPLSRARGRSMLSGYRQSSFREYADGVAASRSDGASTSDVRRIRRRSISITPEISDDIVRAVRAMNETLKRNRLLQDGGEEGSNTYLRNEINNMQGSPKNESSFPSGGHHKEPTDISGGYNSSRKQINSTQKAISLPSSPHEFGDPTAEISETSSDFLSTDVLVSTWNKVLQSSPFLNKPLLPFQEWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEIYILSRLRHPNVILFLGACMKPPHLSMVTEYMEMGSLYYLIHMSGQKKKLSWRKRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRVMTDAPMSDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGVPPVQVVYAVANEGTRLEIPEGPLGKLISDCWAEPNERPSCQEILTRLVDCEYTIC >DRNTG_22750.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4155405:4164041:1 gene:DRNTG_22750 transcript:DRNTG_22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQAVAASMAAEDYVRRLEAGGAADAPGEVANNVGGEDQSALTPKIMCRICFSGEDAGSEKAAKMLTCKTCDKKYHRSCLKIWAEYRDLFHWSSWVCPSCRTCEVCRRGGDPTKLMYCKRCDGAYHCYCQQPPHKNVSHGPYLCPKHTRCHSCGSTVSGNGLSTRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDVCQQWVHCLCDGISDEKYQQFQADGNLQYKCAACRGDCYQVKDIDDAVRELWRRRDKADKDLIANLRAAAGLPSQEEIFSLSPFSDDEETSPAVLKHDHGRSLKFSVKGLGAKNSKEYGKTNFKNSLSNKKHPKKGFQLQTVGKLEGSYQNIDTRRGISSLESSFRDQTIDDTISYGTKTEIYSSPLAIASDNNKIKSYDDHIGSDKNSSSKEAAINAVDKVPKVHIKGGKHRNTTCSPVSENVNCQKEQDSLVSNGARLDNDSPVRSSKYEDRGSSVKLAKFSDAHRKGRVHKGEVYEPKTASRSPLTTKARIADVSHAVETVDEEATLRNDQQGKHAVEEPVKSLSGTRDVAELSNASNSSNDPKPLLKLKFKNPYFEQRSSWVSQGVEEKSSVKGQRSKRKRPSAEKISTLGG >DRNTG_22750.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4157297:4164041:1 gene:DRNTG_22750 transcript:DRNTG_22750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCCDVCQQWVHCLCDGISDEKYQQFQADGNLQYKCAACRGDCYQVKDIDDAVRELWRRRDKADKDLIANLRAAAGLPSQEEIFSLSPFSDDEETSPAVLKHDHGRSLKFSVKGLGAKNSKEYGKTNFKNSLSNKKHPKKGFQLQTVGKLEGSYQNIDTRRGISSLESSFRDQTIDDTISYGTKTEIYSSPLAIASDNNKIKSYDDHIGSDKNSSSKEAAINAVDKVPKVHIKGGKHRNTTCSPVSENVNCQKEQDSLVSNGARLDNDSPVRSSKYEDRGSSVKLAKFSDAHRKGRVHKGEVYEPKTASRSPLTTKARIADVSHAVETVDEEATLRNDQQGKHAVEEPVKSLSGTRDVAELSNASNSSNDPKPLLKLKFKNPYFEQRSSWVSQGVEEKSSVKGQRSKRKRPSAEKISTLGG >DRNTG_17050.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22243456:22249579:-1 gene:DRNTG_17050 transcript:DRNTG_17050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRNLFPAAILICIFLFIGTAFVSLDFKEKLPALETAGSTHSVLSEPCKSECKSSGGESLPRGIVSRTSDLEMQSLGGSPRSLKKKGKTTQKSLLAIAVGVKQKKVVNQIVQKFSSNNFVIMLFHYDGIVDGWKDMPWSGSALHVSAINQTKWWFAKRFLHPDIVSEYKYIFLWDEDLGVDHFHPGRYLSIIEKEGLEISQPALDTSKSQVHHRITARWRKGNVHRRIYNYHGGGQSCDENSINPPCTGWVEMMAPVFSRAAWHCVWYMIQNDLIHAWGLDIQLGYCAQGDRSKNVGVVDSEYLVHKALPTLGSYDDEKTTGETSNNLTEIKTEKSKAEVRAGSHASSDRSAVRYRSYRELEIFRRRWNQAVEEDKCWIDPYSKPKKKANNN >DRNTG_17050.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22243456:22244781:-1 gene:DRNTG_17050 transcript:DRNTG_17050.7 gene_biotype:protein_coding transcript_biotype:protein_coding QNDLIHAWGLDIQLGYCAQGDRSKNVGVVDSEYLVHKALPTLGSYDDEKTTGETSNNLTEIKTEKSKAEVRAGSHASSDRSAVRYRSYRELEIFRRRWNQAVEEDKCWIDPYSKPKKKANNN >DRNTG_17050.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22243456:22249579:-1 gene:DRNTG_17050 transcript:DRNTG_17050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHYDGIVDGWKDMPWSGSALHVSAINQTKWWFAKRFLHPDIVSEYKYIFLWDEDLGVDHFHPGRYLSIIEKEGLEISQPALDTSKSQVHHRITARWRKGNVHRRIYNYHGGGQSCDENSINPPCTGWVEMMAPVFSRAAWHCVWYMIQNDLIHAWGLDIQLGYCAQGDRSKNVGVVDSEYLVHKALPTLGSYDDEKTTGETSNNLTEIKTEKSKAEVRAGSHASSDRSAVRYRSYRELEIFRRRWNQAVEEDKCWIDPYSKPKKKANNN >DRNTG_17050.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22243456:22249579:-1 gene:DRNTG_17050 transcript:DRNTG_17050.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRNLFPAAILICIFLFIGTAFVSLDFKEKLPALETAGSTHSVLSEPCKSECKSSGGESLPRGIVSRTSDLEMQSLGGSPRSLKKKGKTTQKSLLAIAVGVKQKKVVNQIVQKFSSNNFVIMLFHYDGIVDGWKDMPWSGSALHVSAINQTKW >DRNTG_17050.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22243456:22249579:-1 gene:DRNTG_17050 transcript:DRNTG_17050.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHYDGIVDGWKDMPWSGSALHVSAINQTKWWFAKRFLHPDIVSEYKYIFLWDEDLGVDHFHPGRYLSIIEKEGLEISQPALDTSKSQVHHRITARWRKGNVHRRIYNYHGGGQSCDENSINPPCTGWVEMMAPVFSRAAWHCVWYMIQNDLIHAWGLDIQLGYCAQGDRSKNVGVVDSEYLVHKALPTLGSYDDEKTTGETSNNLTEIKTEKSKAEVRAGSHASSDRSAVRYRSYRELEIFRRRWNQAVEEDKCWIDPYSKPKKKANNN >DRNTG_17050.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22243456:22249579:-1 gene:DRNTG_17050 transcript:DRNTG_17050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHYDGIVDGWKDMPWSGSALHVSAINQTKWWFAKRFLHPDIVSEYKYIFLWDEDLGVDHFHPGRYLSIIEKEGLEISQPALDTSKSQVHHRITARWRKGNVHRRIYNYHGGGQSCDENSINPPCTGWVEMMAPVFSRAAWHCVWYMIQNDLIHAWGLDIQLGYCAQGDRSKNVGVVDSEYLVHKALPTLGSYDDEKTTGETSNNLTEIKTEKSKAEVRAGSHASSDRSAVRYRSYRELEIFRRRWNQAVEEDKCWIDPYSKPKKKANNN >DRNTG_17050.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22243456:22249579:-1 gene:DRNTG_17050 transcript:DRNTG_17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRNLFPAAILICIFLFIGTAFVSLDFKEKLPALETAGSTHSVLSEPCKSECKSSGGESLPRGIVSRTSDLEMQSLGGSPRSLKKKGKTTQKSLLAIAVGVKQKKVVNQIVQKFSSNNFVIMLFHYDGIVDGWKDMPWSGSALHVSAINQTKWWFAKRFLHPDIVSEYKYIFLWDEDLGVDHFHPGRYLSIIEKEGLEISQPALDTSKSQVHHRITARWRKGNVHRRIYNYHGGGQSCDENSINPPCTGWVEMMAPVFSRAAWHCVWYMIQNDLIHAWGLDIQLGYCAQGDRSKNVGVVDSEYLVHKALPTLGSYDDEKTTGETSNNLTEIKTEKSKAEVRAGSHASSDRSAVRYRSYRELEIFRRRWNQAVEEDKCWIDPYSKPKKKANNN >DRNTG_33320.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23598402:23599630:-1 gene:DRNTG_33320 transcript:DRNTG_33320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEYRLDEKECETGSALHDAYALCRIFKKNALGPKIVTHYGANNSHDQQHSQWITLDPSPPNKSRDEELAFSSNPLQDDNTWRFQESFLPSEVDVALECAKLQHRLALPPLEVEDFPQV >DRNTG_16882.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29902773:29903332:1 gene:DRNTG_16882 transcript:DRNTG_16882.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial succinate-fumarate transporter 1 [Source:Projected from Arabidopsis thaliana (AT5G01340) UniProtKB/Swiss-Prot;Acc:Q9M038] MAFGEHGGSPPTAGAAAAAAASFKPSTMVRTRSRREESIPPYVKAVAGSFGGVVEASCLQPIDVIKTRLQLDRTGQYRGIANCGMTVIRTEGVRALWKGLTPFATHLTLKYALRLGSNALFQSAFKDSETGHLSQHGRLVSGFGAGVLEALLIVTPFEAISFILFLLFLLLSP >DRNTG_16882.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29903704:29904676:1 gene:DRNTG_16882 transcript:DRNTG_16882.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial succinate-fumarate transporter 1 [Source:Projected from Arabidopsis thaliana (AT5G01340) UniProtKB/Swiss-Prot;Acc:Q9M038] MMIIREEGLFGLWAGAAPTVMRNGTNQAAMFSAKNAFDGILWKKHEGDGKVLQPWQSMISGFLAGTVGPICTGPFDVVKTRLMAQSKAGGDLKYNGMIHAIKTIYVEEGLLALWKGLLPRLMRIPPGQAIMWTVADQITGLYERRYLQNNLL >DRNTG_16882.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29902773:29904676:1 gene:DRNTG_16882 transcript:DRNTG_16882.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial succinate-fumarate transporter 1 [Source:Projected from Arabidopsis thaliana (AT5G01340) UniProtKB/Swiss-Prot;Acc:Q9M038] MAFGEHGGSPPTAGAAAAAAASFKPSTMVRTRSRREESIPPYVKAVAGSFGGVVEASCLQPIDVIKTRLQLDRTGQYRGIANCGMTVIRTEGVRALWKGLTPFATHLTLKYALRLGSNALFQSAFKDSETGHLSQHGRLVSGFGAGVLEALLIVTPFEVVKIRLQQQRGLSPDLLKYKGPIHCAMMIIREEGLFGLWAGAAPTVMRNGTNQAAMFSAKNAFDGILWKKHEGDGKVLQPWQSMISGFLAGTVGPICTGPFDVVKTRLMAQSKAGGDLKYNGMIHAIKTIYVEEGLLALWKGLLPRLMRIPPGQAIMWTVADQITGLYERRYLQNNLL >DRNTG_31240.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001676.1:76598:77200:1 gene:DRNTG_31240 transcript:DRNTG_31240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLFDGPYVTRLILGMGLLDAIRGAKKTVVPSPLGVDMIRMMGLVRRYVPKPEFSPMETGAPLIAKETPWLLIPIFTISRTHDRFKRIESVVSVLWTKIAEVRSIQVAQYAGMIAHLDTILQLLERDASSSFIRTPRIPPTPPASKSPDPPAPFNYVPPAATVEPTPDDTND >DRNTG_16599.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3919902:3923873:1 gene:DRNTG_16599 transcript:DRNTG_16599.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLSHFRDDSSPNAWDYSAWVRSYALFLEERLECFRTLKYDVETDPPRTRDLDTPELLEHLQALQQLFYRLLGCQPQGAAGYNIVIQLPLSMVARESIKIYNAINDGTVNMVDKFFEMQRQDALKALDIYRTAGQQAELLSEFYEVCKTFDIGRGEKFVKIEQPPASFLTAMEDYIRDAPRASVHKDKVADGKVVLAIEYKSASEVKEAAAPPPSTPPPPPPPPEPVKEEVPAPKQIDLLDLNDSTIPDSSDLDNKKMLWLLPLFPLIIYQLPPLQVVWLLRTLEPQGGNWHSLQPQALMKLL >DRNTG_11486.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19446421:19452357:-1 gene:DRNTG_11486 transcript:DRNTG_11486.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1691 [Source:Projected from Arabidopsis thaliana (AT4G09980) UniProtKB/TrEMBL;Acc:A0A178V0G2] MPFSIVTKPHGDKGEKHRQDRDAAYGSRDEVETCERSFNMDEDGHARMRDRSGRDVQHDRSHTPERSSKHRRESDERDRGFSESDNERGVSVKNKEWEDGYRDDRASKGKDSGWDDKNREREGSRDYWRRSQTRHDLKDGEKDVDHLRDWDSQRREQDRTDREKVHGRPGYRKDARMRSDGVRSEYTDSIEIRPNKNLDFGKEESVSTFPGRRSEGLSQQDFATGPSADEWGNPPEDKGKMAYGYGDDLQERYHDDGSSMDQNSLAGKGRGQKGDINSGRSGAGQTSSGGLQPSFGNFQGSSSFSRTPQQGQKGGRPARGGRGRTNGRDAQRVGMPLPLIAPPPFSHLGLPPGAMQPIGPNIPHTPGPPIGPGVFLPPFGAPLVWPSPRGIDMNMLPVPPNLPPIPPPGPGGPRFVPNMGTAPAHGMYFNQAGAGRGVSANISDSGFNGAGPIGRGLQHDKTPSNWGQHRTGGPSGKAPSRGEQNDYSQNFVDTGMRPQNFIRELEITSVVEDYPKLRELIQRKDEIVSKSASPPMYFKCDLKEHVLSPEFFGAKFDVILVDPPWEEYAHRAPGVTDHIDCWTFEEIQNLKIEAIADTPSFIFLWVGDGAGLEQGRQCLKKWGFRRCEDICWVKTNKKNTTSGLRHDSNTLFQHSKEHCLMGIKGTVRRSTDGHIIHANIDTDIIIAEEPTDGSTKKPEDMYRIIEHFSLGRRRLELFGEDHNIRAGWLTVGKGLSSSNFNSESYTRNFCDKDGKVWQGGGGRNPPPDAPHLVQTTSEIESLRPRSPPPKNQQQQPIPLMSSTSSNNRRSTGNSPQNPTVPLLSGLNHDPSASAEPFGPSAVGCSTVGRVERSRVWPWRR >DRNTG_11486.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19446421:19452392:-1 gene:DRNTG_11486 transcript:DRNTG_11486.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1691 [Source:Projected from Arabidopsis thaliana (AT4G09980) UniProtKB/TrEMBL;Acc:A0A178V0G2] MPFSIVTKPHGDKGEKHRQDRDAAYGSRDEVETCERSFNMDEDGHARMRDRSGRDVQHDRSHTPERSSKHRRESDERDRGFSESDNERGVSVKNKEWEDGYRDDRASKGKDSGWDDKNREREGSRDYWRRSQTRHDLKDGEKDVDHLRDWDSQRREQDRTDREKVHGRPGYRKDARMRSDGVRSEYTDSIEIRPNKNLDFGKEESVSTFPGRRSEGLSQQDFATGPSADEWGNPPEDKGKMAYGYGDDLQERYHDDGSSMDQNSLAGKGRGQKGDINSGRSGAGQTSSGGLQPSFGNFQGSSSFSRTPQQGQKGGRPARGGRGRTNGRDAQRVGMPLPLIAPPPFSHLGLPPGAMQPIGPNIPHTPGPPIGPGVFLPPFGAPLVWPSPRGIDMNMLPVPPNLPPIPPPGPGGPRFVPNMGTAPAHGMYFNQAGAGRGVSANISDSGFNGAGPIGRGLQHDKTPSNWGQHRTGGPSGKAPSRGEQNDYSQNFVDTGMRPQNFIRELEITSVVEDYPKLRELIQRKDEIVSKSASPPMYFKCDLKEHVLSPEFFGAKFDVILVDPPWEEYAHRAPGVTDHIDCWTFEEIQNLKIEAIADTPSFIFLWVGDGAGLEQGRQCLKKWGFRRCEDICWVKTNKKNTTSGLRHDSNTLFQHSKEHCLMGIKGTVRRSTDGHIIHANIDTDIIIAEEPTDGSTKKPEDMYRIIEHFSLGRRRLELFGEDHNIRAGWLTVGKGLSSSNFNSESYTRNFCDKDGKVWQGGGGRNPPPDAPHLVQTTSEIESLRPRSPPPKNQQQQPIPLMSSTSSNNRRSTGNSPQNPTVPLLSGLNHDPSASAEPFGPSAVGCSTVGRVERSRVWPWRR >DRNTG_11486.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19446421:19452357:-1 gene:DRNTG_11486 transcript:DRNTG_11486.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1691 [Source:Projected from Arabidopsis thaliana (AT4G09980) UniProtKB/TrEMBL;Acc:A0A178V0G2] MPFSIVTKPHGDKGEKHRQDRDAAYGSRDEVETCERSFNMDEDGHARMRDRSGRDVQHDRSHTPERSSKHRRESDERDRGFSESDNERGVSVKNKEWEDGYRDDRASKGKDSGWDDKNREREGSRDYWRRSQTRHDLKDGEKDVDHLRDWDSQRREQDRTDREKVHGRPGYRKDARMRSDGVRSEYTDSIEIRPNKNLDFGKEESVSTFPGRRSEGLSQQDFATGPSADEWGNPPEDKGKMAYGYGDDLQERYHDDGSSMDQNSLAGKGRGQKGDINSGRSGAGQTSSGGLQPSFGNFQGSSSFSRTPQQGQKGGRPARGGRGRTNGRDAQRVGMPLPLIAPPPFSHLGLPPGAMQPIGPNIPHTPGPPIGPGVFLPPFGAPLVWPSPRGIDMNMLPVPPNLPPIPPPGPGGPRFVPNMGTAPAHGMYFNQAGAGRGVSANISDSGFNGAGPIGRGLQHDKTPSNWGQHRTGGPSGKAPSRGEQNDYSQNFVDTGMRPQNFIRELEITSVVEDYPKLRELIQRKDEIVSKSASPPMYFKCDLKEHVLSPEFFGAKFDVILVDPPWEEYAHRAPGVTDHIDCWTFEEIQNLKIEAIADTPSFIFLWVGDGAGLEQGRQCLKKWGFRRCEDICWVKTNKKNTTSGLRHDSNTLFQHSKEHCLMGIKGTVRRSTDGHIIHANIDTDIIIAEEPTDGQYLL >DRNTG_32512.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001849.1:991:2823:-1 gene:DRNTG_32512 transcript:DRNTG_32512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHGHVESIHVRAPDPQGQSHSPVALLSRREESLSVSHARTEIPYGHGHSEAQLTGAHTLMCLPRIERAFLQRSVQKLPMGM >DRNTG_06953.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:793254:793845:-1 gene:DRNTG_06953 transcript:DRNTG_06953.2 gene_biotype:protein_coding transcript_biotype:protein_coding NVVAEPGAGFFLILQFLEEEKFKESVHKLEQESGFFFNIKYFDEKVQAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDR >DRNTG_06953.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:793071:793845:-1 gene:DRNTG_06953 transcript:DRNTG_06953.1 gene_biotype:protein_coding transcript_biotype:protein_coding NVVAEPGAGFFLILQFLEEEKFKESVHKLEQESGFFFNIKYFDEKVQAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFLNV >DRNTG_05303.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2663759:2665563:-1 gene:DRNTG_05303 transcript:DRNTG_05303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLHQNNIIHRDLKAANLLMDENEVVKVADFGVARVKAQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFAIVLWELLTGKIPYEYMTPLQAAVGVVQKGLRPTIPKNTNPKL >DRNTG_21866.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19276806:19278742:1 gene:DRNTG_21866 transcript:DRNTG_21866.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDESFISKNIQLINTDAKLFFHTIASMEWVLIRVEALQNLIILASALSIVLIPQGTIAPGFVGLSLSCSLWLTSIQVFLTRWYSVLENSIISIERIKQYMHIQSESPAIIDGHRPPHSWPQDGNIEFSDLKVQYRRNSPLVLKGITCAFMARQKIGVVGRTGSGKTTMISALFRLVEPVSGTIFIDGLDIRLIGLQRSKDEAKYYSTRTNSFQRECEN >DRNTG_21866.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19273067:19278742:1 gene:DRNTG_21866 transcript:DRNTG_21866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMLLIGFYSFLKTISTVALPPLLYALVQYSNNANGDLSLGISLVVCLLVVKVVESLTQRHWFFYSRRCGMKMRSALMAAVFQKQIKLSSLGRTRHSAGEITNYIAVDAYRLGEFPWWFHMAWSLPFQLCFSIALLFWTVGLGALPGLVLLIICAVLNVPFGKMLQAYQSNFMVAQDERLRATSEVLNSMKIIKLQSWEEKFRTMIQFLRDIEFKWLGEIQLKKSYGTAIYWISPTVVSAAIFAGSAAMRSAPLNASTIFTILATLRLISEPVRMLPEVLSALIQVKVSLDRLDVFLLEDEIEQVNTMRNFIQTTDTHSVKIDNGVFSWDTRSVYPTLESIDLNVCRGEKVAIVGSVGAGKSSVLCAILGEIPKLSGSVEVYGSIAYVSQSAWIQSGTIRDNILFGKQMNKKNYEKGIKACALDHDLNTFPYGDLTEIGQRGINLSGGQKQRIQLARAVYNDADVYLLDDPFSAVDAHTAAILFKECVMAALDKKTVILVTHQIDFLDQVDRILVMENGQIKQAGEYEELVRSGMAFEKLVNSHQSAKIVLDPAKPLKSIKKPEEIENQIEVQYGIPIENIGTAQLTEDEETEFGRLGWKPYRDYISVSKGYILFFTVILTQTIFAIMQTMSSYWLAIAIQMHDLNESILIGVYTVISVLSCLLAFTRSWFAAHLGLKASKEFFCGFMDSVFKAPMLFFDSTPVGRILTRVSSDMSIIDFDIPYSMIFALAGWIELLTTIVILAIVTWQVVIVAIPVMISVVYIQRYYLASARELVRINGTTKAPVTSFAAETLLGAVTIRAYAMDESFISKNIQLINTDAKLFFHTIASMEWVLIRVEALQNLIILASALSIVLIPQGTIAPGFVGLSLSCSLWLTSIQVFLTRWYSVLENSIISIERIKQYMHIQSESPAIIDGHRPPHSWPQDGNIEFSDLKVQYRRNSPLVLKGITCAFMARQKIGVVGRTGSGKTTMISALFRLVEPVSGTIFIDGLDIRLIGLQRSKDEAKYYSTRTNSFQRECEN >DRNTG_21866.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19277182:19278742:1 gene:DRNTG_21866 transcript:DRNTG_21866.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSIIPQEPTLFRGSVRTNLDPLGLYTDKEIWEALEKCQLKHTISKLPALLDSSVSDDGENWSTGERQLFCLGRVLLQKNKILVLDEATASLDTATDSILQKIIRQEFSNCTVITVAHRVPTVTDSDRVMVLSYGNIVEFGKPAELLESKESYFSKLVEEYWSK >DRNTG_21866.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19273067:19276501:1 gene:DRNTG_21866 transcript:DRNTG_21866.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMLLIGFYSFLKTISTVALPPLLYALVQYSNNANGDLSLGISLVVCLLVVKVVESLTQRHWFFYSRRCGMKMRSALMAAVFQKQIKLSSLGRTRHSAGEITNYIAVDAYRLGEFPWWFHMAWSLPFQLCFSIALLFWTVGLGALPGLVLLIICAVLNVPFGKMLQAYQSNFMVAQDERLRATSEVLNSMKIIKLQSWEEKFRTMIQFLRDIEFKWLGEIQLKKSYGTAIYWISPTVVSAAIFAGSAAMRSAPLNASTIFTILATLRLISEPVRMLPEVLSALIQVKVSLDRLDVFLLEDEIEQVNTMRNFIQTTDTHSVKIDNGVFSWDTRSVYPTLESIDLNVCRGEKVAIVGSVGAGKSSVLCAILGEIPKLSGSVEVYGSIAYVSQSAWIQSGTIRDNILFGKQMNKKNYEKGIKACALDHDLNTFPYGDLTEIGQRGINLSGGQKQRIQLARAVYNDADVYLLDDPFSAVDAHTAAILFKECVMAALDKKTVILVTHQIDFLDQVDRILVMENGQIKQAGEYEELVRSGMAFEKLVNSHQSAKIVLDPAKPLKSIKKPEEIENQIEVQYGIPIENIGTAQLTEDEETEFGRLGWKPYRDYISVSKGYILFFTVILTQTIFAIMQTMSSYWLAIAIQMHDLNESILIGVYTVISVLSCLLAFTRSWFAAHLGLKASKEFFCGFMDSVFKAPMLFFDSTPVGRILTR >DRNTG_34023.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31547823:31552320:-1 gene:DRNTG_34023 transcript:DRNTG_34023.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor PHL7 [Source:Projected from Arabidopsis thaliana (AT2G01060) UniProtKB/Swiss-Prot;Acc:Q9SJW0] MYQSKPISSLNSAHSSLMPHDQHLEMGGNSLGPDNGLNNSNSNVSSRQRLRWTHELHERFVDAVTQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYIPDSSSDGVKAEKKDSGDILSGLENSSGMQITEAIKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVLADAPGLSIRDPSSGDRCQDSDKTDPSTPAPTSESPLPDKATSDPGGASTLFKSLSHDESFSSRREPLTPDSGGRNASPSNSPNHNRPTKRQRATTGSEPTKPELVLAHHIFESSSASDFLQPCSIYSSGTSAGDDDRFANASGSDI >DRNTG_19392.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22171868:22176224:-1 gene:DRNTG_19392 transcript:DRNTG_19392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFISLLLLLLSHSPTPSLSLNQEGLYLLTAKSHFDDPSNSLSDWNSRDQTPCQWAGISCSPTATGAGTDTVTSVNLSEFNLLGPFPEVLCRLDNLTFIDLSYNSINSTLPSLLLCNSLVHLDLSQNLLTGSLPSFLSSLPSLTYLDLSGNNFTGPIPSSFSLFPSLQILSLTGNLLNGTIPASLGKVSTLRQLNLSYNPFSHGPVPAEIGNLASLEVLWLADCNLVGHIPDSLGKLSKLQNLDLSTNSLTGDIPESLTRLSSVIQIELYNNSLSGTIPSGFSSISTLLRIDAAMNLLKGAIPDDIFEIENLESLHLYQNQLNGSIPATVSKSKKLVELRLFANQLTGSLPPDFGRNSSLLYLDLSDNMLSGAIPAGVCERGTLMELLLIDNQFTGSLPESLGKCRTLRRIRLANNQLSGPIPDDLWGLPHVSLLELVNNSFTGSISPQISGAANLSKLLLSDNQFSGNIPSEIGMALNLYEFSADNNRLTGPLPASLGDLTDVFRLSLSNNSLSGDLLRGIQSWTKLTELTLSDNGFTGTIPSELGDLPVLNYLDLSGNKLTGEIPLQLQNLKLNHFNLSENQLSGPIPPFYASEAYRDSFLGNLGLCGDLPDLCPSSQDHASDHHGVFWLIRSIFIITALVLIIGIAWFYLRYRNFKKAKLGMDKSKWTLTSFHKLGFSEYEILDCLDEDNVIGSGASGRVYKAVLSNGEAVAVKKLWGPSNKSAENYDKASNDAFEAEVTTLGKIRHKNIVKLWCSCTHKDCKLLVYEYMPNGSLGDLLHSSKGRLLDWPMRYKIALDAAEGLSYLHHDCVPPIVHRDVKSNNILLDEEFRARVADFGVAKAVEAIGKGPKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGKPPVDPEFGEKDLAKWVCSILDQKGLDHIIDPELDSCYMEEICKVLNIGILCTSSLPINRPSMRRVVKMLLEVCPDDNDNNKSKKTETKDGKLPPYYDNSEHSSIA >DRNTG_14187.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20258891:20261311:1 gene:DRNTG_14187 transcript:DRNTG_14187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISAKAISISASPRVSLKGQGANGIFPLKSVSFSGKRRNFAPARLTVSCAAKPETVAKVCDIVRKQLAVVEGTEINGETKFTALGADSLDTVEIVMNLEETFDISVEESSAQAIETVQDAADLIEDLVAAKANVNN >DRNTG_17609.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:9102711:9103906:1 gene:DRNTG_17609 transcript:DRNTG_17609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHSLGYFITSTTRALMGLRYCSGTIASTVAQRDEEFANSLVLLTGNRMAVKKPITLYENFKPVNQAA >DRNTG_26998.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11724155:11724997:-1 gene:DRNTG_26998 transcript:DRNTG_26998.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTFALGVAPVLLGGASVSIGSCCGSCTG >DRNTG_11473.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6294911:6297038:-1 gene:DRNTG_11473 transcript:DRNTG_11473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQTNGEVGTGNVNEVILLVHSIMGKIRCICNMDDDEA >DRNTG_23784.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8712856:8714275:-1 gene:DRNTG_23784 transcript:DRNTG_23784.1 gene_biotype:protein_coding transcript_biotype:protein_coding QNLPTPSTTVGTSLKRQKIIARRGNRLNLSKSQARKQLPIRPPVFRQQELEKDAEDRSKLGGNEKKK >DRNTG_20157.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:958799:965207:1 gene:DRNTG_20157 transcript:DRNTG_20157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVCTPTRTFWLDLFLADFGETLGRFWATLGRRKRARKLENHSSPRSKTLKPRRQHHSRGDLPRF >DRNTG_10789.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3536988:3537596:-1 gene:DRNTG_10789 transcript:DRNTG_10789.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSANKNEGEEQIGIKEIIDECKTFYFAGKETTANLLTWVILLLALHQDWQSKTREEVICVCGSENLPNAEDLSKLKLVSLVSSRL >DRNTG_07377.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20612571:20614298:1 gene:DRNTG_07377 transcript:DRNTG_07377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGDWYRTKDLVLKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGRATAAYIYIRGEYVNERLNLERARKEAYQAGLLGKNACGSGYDFDVHIHYGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCISGHVNKPCTVEEEMSIPLKELLERHCGGVRGGWDNLLAVIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRARAEKELLEAAAA >DRNTG_12297.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29728955:29735062:1 gene:DRNTG_12297 transcript:DRNTG_12297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKTKGKQRLDKFYHLAKEQGYRSRAAFKLHQLDAKYSLLSSSRSVLDLCAAPGGWLQVAVRRAPVGAFILGVDLHPIRPIKGAESIVEDITTPRCRSTIKRLMGQHGVSAFNLVLHDGSPNVGGAWAQEATSQSALVVDSIRLATEFLAPQGTFVTKVFRSQDYSAIIYCMKQLFEKVEVTKPVASRSTSAEIYVIGLKYKAPAKIDPRLLDVKHLFQGVTDTPKVVDVLRGTKQKRNREGYEDGSSILRKVGKASDFIWSETPLEFLGSVTELSFVDPACLSIKNHELTSEEVRSLCADLCVLDKHSFKHLLKWRMRIRKELLSSKKVASKAAETENGTKCNVDEDDVKGNEDDRLLGEMEELTFALEHKKKRQKKLLAKRRAKDKVRKATGMQVDATEDSYNDNSLFSLSSIKGKKELSAIHTAELNHEDGEFTDSENEEMRAAVYHDTVDSPGDSDEDQKRYDEQLEEMLDQAYERYITRKGGSTKPTKRAKRVASTDGADLMEGGDDDDVAPSDYDSDHDENGQEMNPLMVSLHEDEPATQEQIMDKWFSQDVFARAATQGEFEKSDSDDEGEEHLPRMSKKIKDKAAGGKGLTKPKSRVSQQEDFEIVPAEPIKASDDDDDSSSDTSEDLDDLEKAEISALGVKMLRKKLRNQIIDDGYSRYMFDDDEDQLPLWFVQEEKKHSQPMKPVTREEVAAMRAQFREIDARPAKKVAEAKARKKRIAMKKMENARQKANAISDQTDISERSKDKMINQLYKKAMPKRPQKEYVVAKKGVQVKPGKGKVLVDRRMKKDARSRGAGKSGKGKKMKGGAKGKVKGQRNRGASKAPSKGNKGGRKGMKA >DRNTG_03856.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18184932:18186948:-1 gene:DRNTG_03856 transcript:DRNTG_03856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDGDFCYVTREGLDEFRRWAVWFHFGCTIKHLYKDVPTPKDLFAWIPFADVPVLQHHRPCIQSDSLMEVLLVLDGTTGFNMLPQAREFHEVSVVYELGIPVKFVGDGEGVDDLQPFNAEAFVDAIFS >DRNTG_21263.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:208623:211832:-1 gene:DRNTG_21263 transcript:DRNTG_21263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEAWSEAKSGSFSWGMLQARDMCHVYGGGGGVYGSDWC >DRNTG_23514.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22189721:22195195:-1 gene:DRNTG_23514 transcript:DRNTG_23514.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYISIPLTIYISERLTRVLREKNYHVNIIKAAIYPGNVLSIQMRKPPGFRYKSGMYLFLKCPDVSPFEWHPFSITSAPGDPFLSVHIRTLGDWTTELRNLFAKACEVEVVAKKVNLVRQETTVIADAQVQPTSFPKLLIDGPYGAPAQNYKKYDILLLIGLGIGATPFISILRDLLNDIKANEELQRTHATDADLIKAKGPGRAYFYWVTREQGSFEWFKGVMNDVGESDHNNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGLDIVSGSRIRTHFARPNWRKVYTDLANAHKSARIGVFYCGPPTLTKQLRDLSVEFSHETSTRFHFHKENF >DRNTG_23514.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22189721:22194262:-1 gene:DRNTG_23514 transcript:DRNTG_23514.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAIAIGTFSHTVAHLACDFPRLIACPYPKFMRTLGPNFNYKQPTYPSLISSIAGVTGIIMIIVMVFSYTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVLIYALLVLHSCFIYLTTTWYKKTAWMYISIPLTIYISERLTRVLREKNYHVNIIKAAIYPGNVLSIQMRKPPGFRYKSGMYLFLKCPDVSPFEWHPFSITSAPGDPFLSVHIRTLGDWTTELRNLFAKACEVEVVAKKVNLVRQETTVIADAQVQPTSFPKLLIDGPYGAPAQNYKKYDILLLIGLGIGATPFISILRDLLNDIKANEELQRTHATDADLIKAKGPGRAYFYWVTREQGSFEWFKGVMNDVGESDHNNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGLDIVSGSRIRTHFARPNWRKVYTDLANAHKSARIGVFYCGPPTLTKQLRDLSVEFSHETSTRFHFHKENF >DRNTG_23514.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22189721:22195195:-1 gene:DRNTG_23514 transcript:DRNTG_23514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNDYINSAQMDNREQCFSRELKSSPPETSMAGQQRASVQASPSFANRILRQPSKLRASMRVLSMNARAVPAVIKRLRSSAELGLKGLRFLDKNSGKDGSGWKSVERRFEQLAVDGRLPRENFGRCIGMADSKEFAGELFDALARRRMLDPDNGVTKDQLKGFWEEMSDQNFDSRLQIFFDMCDKNGDGKLTEDEVKEVIILSASANKLAKLKANAATYAALIMEELDPDHHGYIEIWQLETLLRGMVSSSTQGHESNLKNTQTLAKTMIPKRYRSPINKFVTMAADFTLEHWKRIWILSFWLMLNIGLFTWKFIEFRRKTAYEVMGYCVSVAKGSAETLKLNMALILFPVCRNTITRLRSTALSRIIPFDDNINFHKLMAIAIAIGTFSHTVAHLACDFPRLIACPYPKFMRTLGPNFNYKQPTYPSLISSIAGVTGIIMIIVMVFSYTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVLIYALLVLHSCFIYLTTTWYKKTAWMYISIPLTIYISERLTRVLREKNYHVNIIKAAIYPGNVLSIQMRKPPGFRYKSGMYLFLKCPDVSPFEWHPFSITSAPGDPFLSVHIRTLGDWTTELRNLFAKACEVEVVAKKVNLVRQETTVIADAQVQPTSFPKLLIDGPYGAPAQNYKKYDILLLIGLGIGATPFISILRDLLNDIKANEELQRTHATDADLIKAKGPGRAYFYWVTREQGSFEWFKGVMNDVGESDHNNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGLDIVSGSRIRTHFARPNWRKVYTDLANAHKSARIGVFYCGPPTLTKQLRDLSVEFSHETSTRFHFHKENF >DRNTG_23514.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22189721:22195195:-1 gene:DRNTG_23514 transcript:DRNTG_23514.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNDYINSAQMDNREQCFSRELKSSPPETSMAGQQRASVQASPSFANRILRQPSKLRASMRVLSMNARAVPAVIKRLRSSAELGLKGLRFLDKNSGKDGSGWKSVERRFEQLAVDGRLPRENFGRCIGMADSKEFAGELFDALARRRMLDPDNGVTKDQLKGFWEEMSDQNFDSRLQIFFDMCDKNGDGKLTEDEVKEVIILSASANKLAKLKANAATYAALIMEELDPDHHGYIEIWQLETLLRGMVSSSTQGHESNLKNTQTLAKTMIPKRYRSPINKFVTMAADFTLEHWKRIWILSFWLMLNIGLFTWKFIEFRRKTAYEVMGYCVSVAKGSAETLKLNMALILFPVCRNTITRLRSTALSRIIPFDDNINFHKVINYLIQPIFR >DRNTG_23514.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22189721:22195195:-1 gene:DRNTG_23514 transcript:DRNTG_23514.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAIAIGTFSHTVAHLACDFPRLIACPYPKFMRTLGPNFNYKQPTYPSLISSIAGVTGIIMIIVMVFSYTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVLIYALLVLHSCFIYLTTTWYKKTAWMYISIPLTIYISERLTRVLREKNYHVNIIKAAIYPGNVLSIQMRKPPGFRYKSGMYLFLKCPDVSPFEWHPFSITSAPGDPFLSVHIRTLGDWTTELRNLFAKACEVEVVAKKVNLVRQETTVIADAQVQPTSFPKLLIDGPYGAPAQNYKKYDILLLIGLGIGATPFISILRDLLNDIKANEELQRTHATDADLIKAKGPGRAYFYWVTREQGSFEWFKGVMNDVGESDHNNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGLDIVSGSRIRTHFARPNWRKVYTDLANAHKSARIGVFYCGPPTLTKQLRDLSVEFSHETSTRFHFHKENF >DRNTG_23514.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22189721:22194262:-1 gene:DRNTG_23514 transcript:DRNTG_23514.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKLIQELQRTHATDADLIKAKGPGRAYFYWVTREQGSFEWFKGVMNDVGESDHNVLCINLLLLVGFSSCTTARNRSTKSECSLQNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGLDIVSGSRVIICLICCIIASWGKKYC >DRNTG_28664.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6212838:6213899:-1 gene:DRNTG_28664 transcript:DRNTG_28664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPDHQQRGEEEVYSVWAIPPDDLRNKLKTLMATLRSEFGGPEFDPHVTVVGALRLCPDDALRHLHSAASSLGSYSARVSSVSRGSFFYQCVYLLLDPTPEVLNASSHCCAHFGYSNSTPYMPHLSLLYGDLTEEEKGKARARAEELAEGIVGTTFEISKIGLFKTDTQDKSLKSWEEIAVCELLKDK >DRNTG_14290.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:767398:777907:-1 gene:DRNTG_14290 transcript:DRNTG_14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVSPSPTGPLNSALMRWPPGTIIGSGSPTSPSLLGPGLHRRHPQTRSGDLIYVQKKEHVTLEHLRTLVRLKPSISFPLEITVDVGVRSFIVKLEDDGVHILRSKLVHGPTTHLPAQHRPAPPSNNVNYAPKPDKGKGPIVITPVDISSDKAVEHCSSLSLLTWTTVIGSQGGFSSLSGDQLLAEIPEIPSAPRERAEGQASLLPCSGDVMESPSTTVNLPRDMLPTIRFGTLPASLPPHDKLSRDSCKPRDRSSSSAEISIDDISPENPSLRDLLSEKKPTHPTEEMPRDSLSYDHNNLSPLMVALPRDNITLDPSSDADLIHQDKHQIDLINSSLIKEKLPSDFHNSQSISELGQVSSVDPFPQDNMPAHLQAHPPNLPIPDGYKWIFIYGGWTMVPSINYEKFYDQDPTPHNTPVEIPSDEELLYWGEDENILDHDMDDVDFLPDENCTDQDDHAPLQIDPELEIIGTLEDIPVNLQTNTKQLRRSDRPKKPSGRWNEEAGFVPLPPRSSKKKIPEDPREAQGTAGGIIIAWDNTQLIGNSFHKGSFSISIKFTNRSDNSTWTCSSVYGPNFRSLRSNFWNELRTLRNLITTPWIICGDFNAVFSLEDKNTGISNPRDIILSQNLLSELDLIDPPINGRKFTWTNGQVSPIWVRLDRFLYSHDWTSLFPRTTQFDLPRFGSDHSPICLDFGNHFKQPRLFKFEKFWSKPNLVKQPEIQAKLFDEIQGVVGSEAEEVKEEELQRMPYLKAVILEGLRRHPPGHFVLPHAVTKEVKLNGYVIPVGEPGVYNTQRTKPGSQHYSPLWIKRQANIQFSFKNQCTSFFRKNPCGKTPHYKEKPQGPRVFNCASATLLAEIENSSEPHGLHAGRMEPVRFMEGGEGQRIDLTGNKEITMMPFGIGRRICPGLELALLHLGYFVANLFKEFNWKVAYGEEIDVDQEKSEFTIVMKTAFRA >DRNTG_25752.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21493797:21495372:1 gene:DRNTG_25752 transcript:DRNTG_25752.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRSGDMLIVLLVCLCLFSPLDAQLQVGFYKKSCPNAELIVRDEVRKAIIKNGGLAAGLVRLHFHDAFVRGADGSVLIDSTANNSAEKSAPPNNPSLRGFEVIDSAKKRLESVCKGTVSCADILAFAARDSVRFSMGLPYSVPSGRRDGRVSLASEALSNLPPPILNLNQLTQNFASKGLTQDEMITLTGAHSIGRSHCNAFTNRLYNFNSTGRQDPGLDPTYAAQLKRSCPNNSSSANLVVPLDPISPTIFDTNFYKLILAKRGLFTSDESLISTPATAAKVRANANNANVFKQKFSAAMVKMGKIGVLTGKQGEIRSVCNKIN >DRNTG_18872.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2353951:2358190:-1 gene:DRNTG_18872 transcript:DRNTG_18872.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRRSHTSSSSSASPSPSSSSASSSWIHLRSILIIASSNSSSLPSSTPHIPHPDRGSLKSPWSRRKRKHALSRRQWSALFSQDGKLRDGGVKFLKKVRSAGVDPSIRAEVWPFLLGVYDLHSSEEKRNATKRKKRKEYEKLRRQCRQIMKPCNKSYKEIKGIGEINNAESPIYDEDPESPGSEGEFGARPSISIWGDDPDDCNPDLRLQDEVSASNSGNIEENTSGVTQSDPSTMETESSDTESSDEDENDNMPTEESIETDPKFTRTASIKRGDFLKSNRTPEDFTTWQRIIRKDALRANAEWALYFPTQAEVSEEKAHQSASAVGLEDYDHLEPCMIYHASRLVAILEAYALYDPEIGYCQGMSDLLSPIISVMEEDHEAFWCFVGFMRKARHNFRLDEVGIRRQLSMVSKIIKYKDSHLYRHLEKLRAEDCFFVYRMVVVMFRRELSFEQTLCLWEVMWADQAAIRAGIGKSVWGRIRLRAPPTDDLLLFAIAASVLQRRKLIIERYSSMDEILRECNSMTGQLDVWKLLDDAHDLVVTLHDKI >DRNTG_21256.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:106440:107419:1 gene:DRNTG_21256 transcript:DRNTG_21256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFNVLNWWQGNGNLYPILSLMVKDIFACPMSTVACEQTFSAGGNMLDTTRSQLTPQNIEIQVCTNDWKRAQVRQQEAEQESPDASDFFYTDNMMSTPGSTTIAIESDQDLDVTN >DRNTG_07053.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3807268:3809924:-1 gene:DRNTG_07053 transcript:DRNTG_07053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPGGREGLSPPLAQERPSLPKRESPSSLRHQEKPPFLSPFGAMLDTSTFSPLHMACHHLPLPLALTMRVRRSDKTGEKRERE >DRNTG_22679.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:13711826:13715826:1 gene:DRNTG_22679 transcript:DRNTG_22679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLENLSKNPTNNSQNPGRNPLSSLGKNDRIASSINGFIGEDLAIIAHVYAVDHVASSINGFIGEDLAIIAQVEIRKCDCLRAPPTNCNGLNIWSLIFSKGPLVRPMAHLAGSMPTKVPLITFFQAERGVKSVELLQILAKSLSKP >DRNTG_26168.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29233470:29234026:1 gene:DRNTG_26168 transcript:DRNTG_26168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGQPFPHQTQERQPGKEHEMDPTPQVISPDYKPANKLQGKVALVTGGDSGIGRSVCRYFVLEGATVAFTHVKSEEDKDADATLKMLMEDKKSINSGAKDPIAIPADLGLEENCKRVVDEVVKTYGHIDILVNNAAE >DRNTG_01425.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000079.1:63476:67975:-1 gene:DRNTG_01425 transcript:DRNTG_01425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLMKTLTRKQEVDDIIRDTLDQVLVLRFGRESDPVCLHLDDILSKSSRELSKFATIALVEVDAEEIQVYVRYFDITMIPSTVFFFNAQHMKMDSGTADHTKWLGSFHTKQDFIDVVEAIFRGAMKGKLIVNCPLPQQKIPRFQLLFKDV >DRNTG_30845.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:630504:632053:1 gene:DRNTG_30845 transcript:DRNTG_30845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCLHLQPLCQLLLLILWPLFISASIVTHLPGFHGGPLPFHMETGYVGVDEVQFFYYFIESEGNPAEDPLFLWLTGGPGCSSFCGLFLQIGPLKFRSVKYNGSLPTLVYNPFSWTKVSNMIFLDSPVGTGFSFSNTPEAYVDGDVTSSLRVSKFLRKWLIDHPQFLSNPLYLGGDSYAGKVVPFITYLISEGIESGAQPLLNLKGYVIGNPSTGEVIDFNAQVPHAHNMGIISDEILQGDVLLFQH >DRNTG_20291.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5253296:5254083:-1 gene:DRNTG_20291 transcript:DRNTG_20291.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSFFSSAPHHHPHLDHIRSQVAVHARRFQDTLTSRIARYVCGIVLTVLLIVGIIFFILWLALRPHRPRFHLSSFSVPALNPNSPISFSVLDRNPNHNIGIFYDAIDASIFFMDREVGSIAGLSPPFYQPANNTTEFRGAIPGNGASGGEGSPGAGSQAPDGLSGFRVELKSWIRFKVSTFDTHRHKMHVSCDAGVGADGQLLPEYRRRCSIYFF >DRNTG_24682.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19253198:19253989:1 gene:DRNTG_24682 transcript:DRNTG_24682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSSFIFLILFILPSIHATIPSGTIQRTTKQQILATVAPTSGQPSPFLSSPSGKYTAYLVRHETAPGAGGFGNDFCYIQVQETSSGQSLWESECAPASNENTCALVFDDNGLEVFDGSNPIWDTGAESADDNPLELLEMVDEGDMRIRDQIGELAWKASDDPRANQGCGEPGSPGLAPAMPPFAVPIGGGNNLPFGQQQQQQQPQLQQGQQNSGAAFNQESGGLNGQPLVDNTAFFSGSCKSVELKVIVVVMFSFLGLGFGF >DRNTG_26934.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2397944:2401550:1 gene:DRNTG_26934 transcript:DRNTG_26934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMALLTLLHVVSLVLCSSFFFDSHCVYASAPAMYVFGDSLADVGNNDYLEFTLIKADFPHNGIDYPNQKPTGRFSNGKNAADFLAERLGLPPPLPYLSIIHMFNITSTDVFLRGISFASGGAGLLDSTNKGQCLTFSKQVYNYHSVYASLVQQHGVVKTHDHLSKSIFSFIIGSNDIFSYSKSKATPQQFVDSLVSTLQTQFKRLYKLGARKFTFIGAGPTGCCPSQRAKSKTEDCNPETNLLSILYNNAAYSLLHEMKSNFGDMNYSFFDTFNTLLQYIQNPETYGFTEVKAACCGLGKMNAKVACLPISSYCSNRKKYIYWDPNHPTEATVSLLTSTVIDGSPPNVFPVNLRQLCAL >DRNTG_20656.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20360990:20363827:1 gene:DRNTG_20656 transcript:DRNTG_20656.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFLAGLAVAAAALAGRYSIQAWHAYKAQPAVPRLRKFYEGGFQPTMTRREAALILGIRENTTPDKVREAHRKVMVANHPDAGGSHYLASKINEAKDVLLGKTKGGGSAF >DRNTG_15280.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4544592:4547872:1 gene:DRNTG_15280 transcript:DRNTG_15280.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSAFRLLPPHPIPLQSTLFKPSIPFLSYSSHHLSFSSSKPSISSSTFSSRLHPIQVAPSDLLADKTDLGPVPEISREVALELYEDMVLGRSFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLKKADTVVSTYRDHVHALSKGVSARAVMAELFGKATGCCRGQGGSMHMFSAEHGLLGGFAFIGEGIPVATGAAFTAKYRREVLKESDCDLVTVAFFGDGTCNNGQFFECLNMAALWKLPIVFVVENNLWAIGMSHFRATSDPEIWKKGQPFGMPGVHVDGMDVLKVREVAKEAIERARRGEGPSLVECETYRFRGHSLADPDELRRPDEKAHYAARDPILGLKKYILEHNLATESELKTIEAKIDDVIEDAVEFADASPVPPRSQLLENVFADPKGFGIGPDGKYRCEDPGFTQGTAQV >DRNTG_15280.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4544401:4547919:1 gene:DRNTG_15280 transcript:DRNTG_15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSAFRLLPPHPIPLQSTLFKPSIPFLSYSSHHLSFSSSKPSISSSTFSSRLHPIQVAPSDLLADKTDLGPVPEISREVALELYEDMVLGRSFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLKKADTVVSTYRDHVHALSKGVSARAVMAELFGKATGCCRGQGGSMHMFSAEHGLLGGFAFIGEGIPVATGAAFTAKYRREVLKESDCDLVTVAFFGDGTCNNGQFFECLNMAALWKLPIVFVVENNLWAIGMSHFRATSDPEIWKKGQPFGMPGVHVDGMDVLKVREVAKEAIERARRGEGPSLVECETYRFRGHSLADPDELRRPDEKAHYAARDPILGLKKYILEHNLATESELKTIEAKIDDVIEDAVEFADASPVPPRSQLLENVFADPKGFGIGPDGKYRCEDPGFTQGTAQV >DRNTG_15280.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4544592:4547812:1 gene:DRNTG_15280 transcript:DRNTG_15280.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSAFRLLPPHPIPLQSTLFKPSIPFLSYSSHHLSFSSSKPSISSSTFSSRLHPIQVAPSDLLADKTDLGPVPEISREVALELYEDMVLGRSFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLKKADTVVSTYRDHVHALSKGVSARAVMAELFGKATGCCRGQGGSMHMFSAEHGLLGGFAFIGEGIPVATGAAFTAKYRREVLKESDCDLVTVAFFGDGTCNNGQFFECLNMAALWKLPIVFVVENNLWAIGMSHFRATSDPEIWKKGQPFGMPGVHVDGMDVLKVREVAKEAIERARRGEGPSLVECETYRFRGHSLADPDELRRPDEKAHYAARDPILGLKKYILEHNLATESELKTIEAKIDDVIEDAVEFADASPVPPRSQLLENVFADPKGFGIGPDGKYRCEDPGFTQGTAQV >DRNTG_15280.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4544670:4547919:1 gene:DRNTG_15280 transcript:DRNTG_15280.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSAFRLLPPHPIPLQSTLFKPSIPFLSYSSHHLSFSSSKPSISSSTFSSRLHPIQVAPSDLLADKTDLGPVPEISREVALELYEDMVLGRSFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLKKADTVVSTYRDHVHALSKGVSARAVMAELFGKATGCCRGQGGSMHMFSAEHGLLGGFAFIGEGIPVATGAAFTAKYRREVLKESDCDLVTVAFFGDGTCNNGQFFECLNMAALWKLPIVFVVENNLWAIGMSHFRATSDPEIWKKGQPFGMPGVHVDGMDVLKVREVAKEAIERARRGEGPSLVECETYRFRGHSLADPDELRRPDEKAHYAARDPILGLKKYILEHNLATESELKTIEAKIDDVIEDAVEFADASPVPPRSQLLENVFADPKGFGIGPDGKYRCEDPGFTQGTAQV >DRNTG_15280.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4544670:4547872:1 gene:DRNTG_15280 transcript:DRNTG_15280.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSAFRLLPPHPIPLQSTLFKPSIPFLSYSSHHLSFSSSKPSISSSTFSSRLHPIQVAPSDLLADKTDLGPVPEISREVALELYEDMVLGRSFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLKKADTVVSTYRDHVHALSKGVSARAVMAELFGKATGCCRGQGGSMHMFSAEHGLLGGFAFIGEGIPVATGAAFTAKYRREVLKESDCDLVTVAFFGDGTCNNGQFFECLNMAALWKLPIVFVVENNLWAIGMSHFRATSDPEIWKKGQPFGMPGVHVDGMDVLKVREVAKEAIERARRGEGPSLVECETYRFRGHSLADPDELRRPDEKAHYAARDPILGLKKYILEHNLATESELKTIEAKIDDVIEDAVEFADASPVPPRSQLLENVFADPKGFGIGPDGKYRCEDPGFTQGTAQV >DRNTG_15280.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4544592:4547919:1 gene:DRNTG_15280 transcript:DRNTG_15280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSAFRLLPPHPIPLQSTLFKPSIPFLSYSSHHLSFSSSKPSISSSTFSSRLHPIQVAPSDLLADKTDLGPVPEISREVALELYEDMVLGRSFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLKKADTVVSTYRDHVHALSKGVSARAVMAELFGKATGCCRGQGGSMHMFSAEHGLLGGFAFIGEGIPVATGAAFTAKYRREVLKESDCDLVTVAFFGDGTCNNGQFFECLNMAALWKLPIVFVVENNLWAIGMSHFRATSDPEIWKKGQPFGMPGVHVDGMDVLKVREVAKEAIERARRGEGPSLVECETYRFRGHSLADPDELRRPDEKAHYAARDPILGLKKYILEHNLATESELKTIEAKIDDVIEDAVEFADASPVPPRSQLLENVFADPKGFGIGPDGKYRCEDPGFTQGTAQV >DRNTG_15280.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4544670:4547812:1 gene:DRNTG_15280 transcript:DRNTG_15280.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSAFRLLPPHPIPLQSTLFKPSIPFLSYSSHHLSFSSSKPSISSSTFSSRLHPIQVAPSDLLADKTDLGPVPEISREVALELYEDMVLGRSFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLKKADTVVSTYRDHVHALSKGVSARAVMAELFGKATGCCRGQGGSMHMFSAEHGLLGGFAFIGEGIPVATGAAFTAKYRREVLKESDCDLVTVAFFGDGTCNNGQFFECLNMAALWKLPIVFVVENNLWAIGMSHFRATSDPEIWKKGQPFGMPGVHVDGMDVLKVREVAKEAIERARRGEGPSLVECETYRFRGHSLADPDELRRPDEKAHYAARDPILGLKKYILEHNLATESELKTIEAKIDDVIEDAVEFADASPVPPRSQLLENVFADPKGFGIGPDGKYRCEDPGFTQGTAQV >DRNTG_15280.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4544670:4547872:1 gene:DRNTG_15280 transcript:DRNTG_15280.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGRSFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLKKADTVVSTYRDHVHALSKGVSARAVMAELFGKATGCCRGQGGSMHMFSAEHGLLGGFAFIGEGIPVATGAAFTAKYRREVLKESDCDLVTVAFFGDGTCNNGQFFECLNMAALWKLPIVFVVENNLWAIGMSHFRATSDPEIWKKGQPFGMPGVHVDGMDVLKVREVAKEAIERARRGEGPSLVECETYRFRGHSLADPDELRRPDEKAHYAARDPILGLKKYILEHNLATESELKTIEAKIDDVIEDAVEFADASPVPPRSQLLENVFADPKGFGIGPDGKYRCEDPGFTQGTAQV >DRNTG_15280.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4544401:4547872:1 gene:DRNTG_15280 transcript:DRNTG_15280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSAFRLLPPHPIPLQSTLFKPSIPFLSYSSHHLSFSSSKPSISSSTFSSRLHPIQVAPSDLLADKTDLGPVPEISREVALELYEDMVLGRSFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLKKADTVVSTYRDHVHALSKGVSARAVMAELFGKATGCCRGQGGSMHMFSAEHGLLGGFAFIGEGIPVATGAAFTAKYRREVLKESDCDLVTVAFFGDGTCNNGQFFECLNMAALWKLPIVFVVENNLWAIGMSHFRATSDPEIWKKGQPFGMPGVHVDGMDVLKVREVAKEAIERARRGEGPSLVECETYRFRGHSLADPDELRRPDEKAHYAARDPILGLKKYILEHNLATESELKTIEAKIDDVIEDAVEFADASPVPPRSQLLENVFADPKGFGIGPDGKYRCEDPGFTQGTAQV >DRNTG_15280.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4544401:4547812:1 gene:DRNTG_15280 transcript:DRNTG_15280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSAFRLLPPHPIPLQSTLFKPSIPFLSYSSHHLSFSSSKPSISSSTFSSRLHPIQVAPSDLLADKTDLGPVPEISREVALELYEDMVLGRSFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLKKADTVVSTYRDHVHALSKGVSARAVMAELFGKATGCCRGQGGSMHMFSAEHGLLGGFAFIGEGIPVATGAAFTAKYRREVLKESDCDLVTVAFFGDGTCNNGQFFECLNMAALWKLPIVFVVENNLWAIGMSHFRATSDPEIWKKGQPFGMPGVHVDGMDVLKVREVAKEAIERARRGEGPSLVECETYRFRGHSLADPDELRRPDEKAHYAARDPILGLKKYILEHNLATESELKTIEAKIDDVIEDAVEFADASPVPPRSQLLENVFADPKGFGIGPDGKYRCEDPGFTQGTAQV >DRNTG_21757.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23167611:23168670:1 gene:DRNTG_21757 transcript:DRNTG_21757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQMQAVTTPQDSMGGGSREEGKRKTKWHGSVGGLVCAPREQVWTVVSQSSRLCDWMPMVENCTRLSGEEGVAGYVRLVSGFMFPQDDGDRSWIKERLLLMDPASFSYIYRMEASNVGLDGSVNSLQLIDYGDESTLVKWSFENDAVEGASEETFIDYLGFLYKSCINRIEGAGPSS >DRNTG_15565.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:574931:576003:1 gene:DRNTG_15565 transcript:DRNTG_15565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVEEDDNAMIDIGRMTSNDLDEEDWLTSPPKN >DRNTG_15588.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1565296:1567780:1 gene:DRNTG_15588 transcript:DRNTG_15588.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRKIVACGEGHNSWVSGVTFDSYWSVPTSDGTGENVMYRFGSVGQDTQLLLWDLVMDEIVVPLRYCPSGGSPTLSSGSPSAHWDNIIPIGTLQPAPSMRDVPKLSPVVAHRAHIEPLSGLIFTSESVLTICREGHIKKWVRPAQGDIGQSNGSEVTLMSITSSNNMCVPTIKPIGSNHKPPPAVHRD >DRNTG_19707.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001043.1:43840:45798:-1 gene:DRNTG_19707 transcript:DRNTG_19707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVLVKTEETLMPLELMLTVKAPGDSRPPYGLLVLSAFEIGWHGGVRTLDYPKVDTFEGVERLWLGLWSGSMAFDIAFLHKRVIVGTFVDIDSARCALGLTRDPLVKTRRLHKTIDTDYEGGFTYGLHTFAFNFIVDCILLHGELNP >DRNTG_21439.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1844510:1844908:-1 gene:DRNTG_21439 transcript:DRNTG_21439.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVIPRICQSRCTQRNDEGLQRPRKHANATHNGNPRERISPARTITLRTSMLPPLLLLRGEGLVLAWRGTSNVRLLVLIFRVGVEEEMEWRRGELMIGDREAWSLALGSGEGCGARRRAAMVSLFGDYL >DRNTG_32242.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9995859:9996476:-1 gene:DRNTG_32242 transcript:DRNTG_32242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGVQSYERVSETVSDRVRHRHDTGTSAVLPCPCFPGRLYCNMKGILKFFVLHNFTC >DRNTG_18447.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:11657609:11660455:-1 gene:DRNTG_18447 transcript:DRNTG_18447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSLFLSLTPNVDVYCPPRKRSRITAPFILTAPSEPKLALKKPCSIDVLPDECLFEIFRRLPADKDRSASACVSKRWLFLLSSIRSSEIAGEACSKVSPAQQDVSLLNSVKKPLPDLNGEATLDEEQEIKGGHLSRCLEGKEATDVRLASMAVGLVGRGGLGKLSIRGSNTARGVTDVGLAAIAHGCPTLTALSMWDVPFVTDEGLSEIADGCPMLEKLDLCQCPLISDKGLIAVAQKCHNLKSLSIQSCSKVGNEGLQAIGRRCSQLLSISINGCRLVGDQGIAGLVSSASTTLSKIKLHNLTISDVALAVIGHYGKAVTDLVISGLMNVSERGFWVMGNARGMQNLKHLTIISCRGVTDLGLEAIAKGSPSLKQLCLRKCFTLSDNGLNAFSGAAGSLESLQLEECSRVTLFGVLGVLLNCTSKLKTLTLSKCFGIRDINAFPTQLPCCMSLRSLTIRDCPGFSSSSLAVVGRICPKLQQVDLSGLVAATDAGLLPLIDSSESRLVKVNLSGCVNLTDAVVSPLVRAHGETLQLLHLDGCKKVTDASLLAIAENCSVLTDLDMSSCSITDYGVAALASARQFNLQVLSFSGCSKVTRGSLPFLGNMGRSLLGLNLQQCNLIGSQGIASLEEKLWWCDILS >DRNTG_26750.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7988367:7988877:-1 gene:DRNTG_26750 transcript:DRNTG_26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKLDEDVSKLSKLESTKVEMLEMQKENEKLKMILGRIAEDYRGLQMRFFDAFQQEQVKNNGEQIPVIALKQVEEKEVEKEPFELVSLRLGTSSSFQKKEEKIIRNDDKKEECLTLGLGETLSMNSE >DRNTG_04217.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20897174:20897720:-1 gene:DRNTG_04217 transcript:DRNTG_04217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSHPTKAIYINKPIEDYVEMTIICGNDQATGSFARTDSQSSRSLGVRMEMPSTPPTLDSDDIPQGLNDWDFAQS >DRNTG_04903.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11136206:11142729:-1 gene:DRNTG_04903 transcript:DRNTG_04903.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRXIIF [Source:Projected from Arabidopsis thaliana (AT3G06050) UniProtKB/TrEMBL;Acc:A0A178VBA5] MASALMRTRALRSALSRAYASLAIGSDLVSAAPGVALQKARSWDEGVSSKFSTTPLKDIFKGKKVVIFGLPGAFTGVCSTQHIPSYKNNIDKFKAKGIDSVICVAVNDPYALNGWAEKLQAKEAIEFYGDFDGSFHKSLDLDLDLSGALLGHRSQRWSAYVVDGNVKALNVEKVPSEFKVSGGDVILDQI >DRNTG_16370.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000810.1:7611:10049:1 gene:DRNTG_16370 transcript:DRNTG_16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSMKTKEYDMKKMESFGCSDAVDGTKKESSMTMQLDSHIFNLRSKVLQIRPGLWGIRESFDSKPEFHVLKGSSPKLGRQWLPNAMTSKEPIIVGEELPLNRRRPSPPTLAAFLSKSMLKA >DRNTG_06751.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1482389:1485106:-1 gene:DRNTG_06751 transcript:DRNTG_06751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSLWKKVAGKGEDGISEGSKDGKNNESADVEEKLDQKRSKSANKKPESLKDSSTASSPTAGDSATKEKANSIPEKAAVILKKRAPSLTDKEFNIEFFQKLETRSSGDIEVVLPRRCIQSSNSQGEEEPESNDGHPRAPSSHNGNTYHESNESRRRGDFNYNNAEKRLGAYNRSQDVDDFSQDRWTEQRGLKAKDSKSRSFDIDDRNEFGQKDPAIARVNTSRTDGPVEGSFNNSKGNWLAIQRQLSQLERQQANIMNMLQDFMGGSHDSMITLENRVRGLERIVEEMARDLAVPSGRRGGNVMLGFEGSPGRSSSKFNGYHEYSSSKYGRVGDGRMPFAERFLSSDNMISGSRGRDPPWRSDAEAWDSYPYNAPRSGLVGSRRGIGASPVDGRLPRTERDSDQVGTRRAWDKGQGPFRLGEGPSARSIWQASKDEATLEAIRVAGEDNGTPRSASRGAIRELDAEAVDNTGQDRGPLWALWTRAMDALHAGDVDSAYAEVLSAGDDLLLVKLMDKSGPVMDQLSSDIAGEMLRAVGQFLLEQSLFDMALTWIQQRQILLDLHEASAMEPPEDWDGPTPEQMMVHLASAWEINIQQLIK >DRNTG_08180.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000369.1:48525:50998:1 gene:DRNTG_08180 transcript:DRNTG_08180.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIFPALVLEKLVHFLPRVNYRSRTNFDIVVYDGISSEETLRLIGATERARWYLKYIRSLAEKTDIGRLTAPSLLKLAYESANLNDGGSSDGKTSTEIWNDIEQILEKASVSFADSSKFGCYLVMNPSSGASIKSAMRYWGCAIQAGTQISGAFGVGSQSSLSIKELSQQFSPLAFACIPYLSTGSSLDWDTIINSLSNDAKRILHSATDDSQPSVRFDTSQKSVTLFMPGFEKAEIKLYQYRGGAELLVEAGDQRRIIRLPHAMQGKVGGAKFLNSSLVVTLR >DRNTG_08180.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000369.1:48525:50998:1 gene:DRNTG_08180 transcript:DRNTG_08180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASPLLISVPRSHAPALNRRCIRAPIMANSSEKSTRLVTFLGKGGSGKTTAAVLAAQHYAMEGLNTCLVVHSQDKTAEELMGCKIGNSPTECKNNLSIVRLETSKMLLEPLERMKKVDARLNLTQGVLDGVVGEELGVLPGMDSIFPALVLEKLVHFLPRVNYRSRTNFDIVVYDGISSEETLRLIGATERAS >DRNTG_08180.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000369.1:48525:50998:1 gene:DRNTG_08180 transcript:DRNTG_08180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSGASIKSAMRYWGCAIQAGTQISGAFGVGSQSSLSIKELSQQFSPLAFACIPYLSTGSSLDWDTIINSLSNDAKRILHSATDDSQPSVRFDTSQKSVTLFMPGFEKAEIKLYQYRGGAELLVEAGDQRRIIRLPHAMQGKVGGAKFLNSSLVVTLR >DRNTG_08180.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000369.1:49622:50998:1 gene:DRNTG_08180 transcript:DRNTG_08180.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSGASIKSAMRYWGCAIQAGTQISGAFGVGSQSSLSIKELSQQFSPLAFACIPYLSTGSSLDWDTIINSLSNDAKRILHSATDDSQPSVRFDTSQKSVTLFMPGFEKAEIKLYQYRGGAELLVEAGDQRRIIRLPHAMQGKVGGAKFLNSSLVVTLR >DRNTG_08180.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000369.1:48525:50998:1 gene:DRNTG_08180 transcript:DRNTG_08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASPLLISVPRSHAPALNRRCIRAPIMANSSEKSTRLVTFLGKGGSGKTTAAVLAAQHYAMEGLNTCLVVHSQDKTAEELMGCKIGNSPTECKNNLSIVRLETSKMLLEPLERMKKVDARLNLTQGVLDGVVGEELGVLPGMDSIFPALVLEKLVHFLPRVNYRSRTNFDIVVYDGISSEETLRLIGATERARWYLKYIRSLAEKTDIGRLTAPSLLKLAYESANLNDGGSSDGKTSTEIWNDIEQILEVFPYNVLFQPFVLSYRAKHSLWLLLFVCRKLQFLLLTLQSLDVTL >DRNTG_08180.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000369.1:48525:50998:1 gene:DRNTG_08180 transcript:DRNTG_08180.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEPLERMKKVDARLNLTQGVLDGVVGEELGVLPGMDSIFPALVLEKLVHFLPRVNYRSRTNFDIVVYDGISSEETLRLIGATERARWYLKYIRSLAEKTDIGRLTAPSLLKLAYESANLNDGGSSDGKTSTEIWNDIEQILEKASVSFADSSKFGCYLVMNPSSGASIKSAMRYWGCAIQAGTQISGAFGVGSQSSLSIKELSQQFSPLAFACIPYLSTGSSLDWDTIINSLSNDAKRILHSATDDSQPSVRFDTSQKSVTLFMPGFEKAEIKLYQYRGGAELLVEAGDQRRIIRLPHAMQGKVGGAKFLNSSLVVTLR >DRNTG_08180.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000369.1:48525:50998:1 gene:DRNTG_08180 transcript:DRNTG_08180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIFPALVLEKLVHFLPRVNYRSRTNFDIVVYDGISSEETLRLIGATERARWYLKYIRSLAEKTDIGRLTAPSLLKLAYESANLNDGGSSDGKTSTEIWNDIEQILEKASVSFADSSKFGCYLVMNPSSGASIKSAMRYWGCAIQAGTQISGAFGVGSQSSLSIKELSQQFSPLAFACIPYLSTGSSLDWDTIINSLSNDAKRILHSATDDSQPSVRFDTSQKSVTLFMPGFEKAEIKLYQYRGGAELLVEAGDQRRIIRLPHAMQGKVGGAKFLNSSLVVTLR >DRNTG_08460.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21483133:21484172:1 gene:DRNTG_08460 transcript:DRNTG_08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFEKIRDEVLHAAETVDGVVMNTLDDVELMFVEAYKKVVGKDVWTVGPLCLYDKDDDFSARIVRGNKTAVDQEKLFGWLDSMEENSVLYVSFGTLTQMKVGETLEIGSGLEASGVPFIWVIKDVEKSPAVEEWLEGFEKRMSLRSIVIKGWAPQAAILSHKSVGGFVSHCGWNSTLEAISNGVPMITWPQIADQFLNERLVVKFLRMGIAIGVKKPMFYFGEDEISVSSSDVERAVRGLMGDGQEAQERRIRATEIKGKAIKAMEEGGSSYENITMLVEYIKHA >DRNTG_32245.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001812.1:12266:15185:-1 gene:DRNTG_32245 transcript:DRNTG_32245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTRDSHEIIQPELNPCEKNNGESITTSYAGERERKMIESKEGLWKAFIEEETKKLNLKYYQDQMVLKMSIFQLPAYATSITPRFVSFGPFHHGEQNLKFAEYWKQMAVLRFISRTNQSLEDLMGEMIKAMEELQANYALLEDKWRNDTEFVKLMIWDGCFMLELPRNDPSTSFIYDHHFGGHGDLKTKLGRLKTKFGKFREDAQGHASLVRGLRDEELRR >DRNTG_14277.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:897165:900553:1 gene:DRNTG_14277 transcript:DRNTG_14277.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi SNAP receptor complex member 1-2 [Source:Projected from Arabidopsis thaliana (AT2G45200) UniProtKB/Swiss-Prot;Acc:O22151] MGDSALELQESGWEELRKEARKIEADLDVKLSSYAKLGARFTSSSGYVDSGSPTVGSGRSWKSMEMEIQSLLEKLLDINDAMSRCAATTVPTTSITQKLARHRDILHELTQEFRRTKGNLSSIREHAELLSSIRDDISESKASGSMSPRVHLLRERASIHGSINQIDEVIGQAQTTRSVLGTQKAIFGDVQGKVKQLGEKFPVIRGLLGAIKRKRSRDTLILAAVIAACTLFLIIYWLSK >DRNTG_24119.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:254735:256966:-1 gene:DRNTG_24119 transcript:DRNTG_24119.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g68930 [Source:Projected from Arabidopsis thaliana (AT1G68930) UniProtKB/Swiss-Prot;Acc:Q9CAA8] MASLSNHYSKLLKLCSESKNQNHLKKLHCLIIKAFQFKDTFLSNNLITSYCKAGHFLYARKVFDRIPQPNLFSFNAILSVYSKSGRLSDMVEIFKCMPLKDGVSWNLLISGYACRGSLGDAVGAYRLMLREARVAPNRITFSTLLILASSSSLICLGRQFHCQIVKYGFGSYVFVGSPLVDMYSKAGFVREAKQVFDELEEKNVVVYNTMITGFLRCGLVEDSKRLFRGMVERDSISWTTMVTGLAQNGLEEEAIGVFREMRLEGVGIDQYTFGSVLTACGGLLALEQGKQIHAFIIRTCYDDNIFVGSALVDMYSKCRFLRSAEIVFSQMPHKNIVSWTAMIVGYGQNGYSEEAVRIFCEMQRNGVDPDDFTLGSVISSCANLASLEEGAQFHCQAIVSGLISFITVSNAIVTLYGKCGSIEESHQLFNEMLIRDQVSWTALVSGYAQFGKAKETIELFERMLDHGVKPDGVTFIGVLSACSRAGLLEKGRSYFKSMVDDHGIVPMADHYTCMIDLFSRSGKLKDAENFIKEMPCRPDAIGWATLLSSCRVHKNMEIGKWAAESLLQLDPQNPASYVLLSSMHALRGEWNEVAKLRRGMRDKRVKKEPGCSWIKYNNKVHIFSADDQSHPDSDKIYAELEKLNSKMIEEGYKPDMASVLHDVTEADKLDMLSHHSEKLAITFGLLVIPQGLPIRVVKNLRVCSDCHNATKFISKIAGREILVRDAVRFHKFSNGICSCGDFW >DRNTG_09674.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1325134:1325742:-1 gene:DRNTG_09674 transcript:DRNTG_09674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFRKSMSDFLEEGLITDIEVGLGPSGETRYPSYPETQGWVFPGIGEFQCYDKYLKADFEEAATKAGHPEWELPDDAGEYNDTPEATGF >DRNTG_35074.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19600134:19603063:-1 gene:DRNTG_35074 transcript:DRNTG_35074.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLSMMESKLPPGFRFHPRDEELVCDYLAKKIATETQDGGFHSCPMMIDIDLNKCEPWDLPDMACVGGKEWYFFSLRDKKYSTGHRTNRATQSGYWKATGKDRQIVRKGKLVGMRKTLVFYQGRAPKGRKTDWVMHEFRMEGEITQLPKCYSKDDWVLCRVFYKSRGMAFNKQGGGGGVGGVLMEPCFDETSSSSTLPTLVDNFITFDQQSPLMVFGGCDQVPCFSTPPFPNLATTPTHTPSMAHESCEDKKVIKVVLNHLTKTSILGDGYLSESGLSSMWNSY >DRNTG_25812.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2597299:2599354:1 gene:DRNTG_25812 transcript:DRNTG_25812.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIPSPTEGRNVLHGRYELGRVLGHGTFAKVYLARNLRTNRHVAMKVVGKEKVLRAGMIEQVKREISVMKMVTHPNIVELHEVMATRSKIFFAMELVRGGELFSLVARRGRLPESTARHYFRQLVSAIDFCHGRGVYHRDLKPENLLLDDDGNLKVADFGLSAFAEHVRPDGLLHTTCGTPAYVAPEVIGKKGYDGAKADLWSCGVILYVLLAGFLPFQDDNLVAMYKKIHRGDFKCPPWFSSDARRLITKLLDPNPSTRMTVSKLVETPWFKKSSLPKPPPLAPPAKKEGEEPESMNAFHLISLSEGFDLSPLFEDGVRKREEGMRFATREPASGVVARLEGVAERAAGKYKVVKSGARGVRLEGEERGRKGKLTVAADIFAVAPSVLVVEVRKDGGDTLEYHRFCSDELRPALQDIVWAAGGDAQPTAA >DRNTG_25812.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2597299:2599632:1 gene:DRNTG_25812 transcript:DRNTG_25812.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIPSPTEGRNVLHGRYELGRVLGHGTFAKVYLARNLRTNRHVAMKVVGKEKVLRAGMIEQVKREISVMKMVTHPNIVELHEVMATRSKIFFAMELVRGGELFSLVARRGRLPESTARHYFRQLVSAIDFCHGRGVYHRDLKPENLLLDDDGNLKVADFGLSAFAEHVRPDGLLHTTCGTPAYVAPEVIGKKGYDGAKADLWSCGVILYVLLAGFLPFQDDNLVAMYKKIHRGDFKCPPWFSSDARRLITKLLDPNPSTRMTVSKLVETPWFKKSSLPKPPPLAPPAKKEGEEPESMNAFHLISLSEGFDLSPLFEDGVRKREEGMRFATREPASGVVARLEGVAERAAGKYKVVKSGARGVRLEGEERGRKGKLTVAADIFAVAPSVLVVEVRKDGGDTLEYHRFCSDELRPALQDIVWAAGGDAQPTAA >DRNTG_28605.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28537531:28538851:-1 gene:DRNTG_28605 transcript:DRNTG_28605.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAINTCSSLPAFSPSSSQQDFMEAMDPLMASSPLSISHQNLIFGSQIQWQPQLQHQHLVSMQMGQRVGFLGPRQQPMKHTGSSLKPGKLYRGVRQRHWGKWVAEIRLPRSRTRLWLGTYDTAENAALAYDNASFKLRGDNAKLNFPKLQNNAALLGSFLHASVNAKLQAICQRMNNSDKSDNSVQAVDSVVTPGESKEESKTESSSSGTDIDCCSLESSSSAPKMEHLDFTEAPWDESVKLCKYPSLEIDWDSLLL >DRNTG_28605.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28537401:28538851:-1 gene:DRNTG_28605 transcript:DRNTG_28605.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAINTCSSLPAFSPSSSQQDFMEAMDPLMASSPLSISHQNLIFGSQIQWQPQLQHQHLVSMQMGQRVGFLGPRQQPMKHTGSSLKPGKLYRGVRQRHWGKWVAEIRLPRSRTRLWLGTYDTAENAALAYDNASFKLRGDNAKLNFPKLQNNAALLGSFLHASVNAKLQAICQRMNNSDKSDNSVQAVDSVVTPGESKEESKTESSSSGTDIDCCSLESSSSAPKMEHLDFTEAPWDESVKLCKYPSLEIDWDSLLL >DRNTG_15989.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5177970:5179135:1 gene:DRNTG_15989 transcript:DRNTG_15989.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQEKQKPNSKEGTSAGQRVMVMLMTRRNHAARKAGRQEERDANAGAGVRMSVTQGRKSLSQLEFNAASVAAFLGVKVMAADMPDFMQVHAFRCARRAYDDVHIDNKFSSKKMAHDIKKEFDKVYGVTWHCVVGTSYGSFVTHSTGCFLYFSVEKMLVMLFRTKTPAMASS >DRNTG_05291.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16760696:16765325:1 gene:DRNTG_05291 transcript:DRNTG_05291.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSNSLGVFSVTSPSPLHFSEHLLQYPRGKCYLLSRPKHYYFFIALLLVEQTFIWIRVEHFLHVFINKLISSVHARMGD >DRNTG_09654.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16712557:16716810:-1 gene:DRNTG_09654 transcript:DRNTG_09654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYNMEKSTNDLKKEDMSPFSSRITSNVVLHVLAPLPSCLQLTLCSLRKCGEA >DRNTG_16388.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16008619:16009197:1 gene:DRNTG_16388 transcript:DRNTG_16388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHVYSIFKCVVVDLIVETNDVPLSHPIENDFFSLNSDSASASVPPHGDPDSVACLPSLSDQSAVLSLDIEQCFQGVEHFRDALQNFAIKWNFDFKFLKNEKHWVTVECAADSC >DRNTG_00973.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20917544:20918214:1 gene:DRNTG_00973 transcript:DRNTG_00973.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEKPSFSQKSHGTSNLQIITAYHQEKNPTNIE >DRNTG_26239.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1856142:1859188:1 gene:DRNTG_26239 transcript:DRNTG_26239.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SAMBA [Source:Projected from Arabidopsis thaliana (AT1G32310) UniProtKB/Swiss-Prot;Acc:Q9C613] MSSPARSSVSATSGGCGGGSSSNVAFCDDASPYHFPPELISAHDRKEEALSALKSELMTALQKEVKSLDEDSWMFSRPRSQINLISRPGGYAHKLTETHDGEPEKGKEAPPEANIAKR >DRNTG_14453.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15841987:15843836:-1 gene:DRNTG_14453 transcript:DRNTG_14453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNTNATDKLLELLEDEALEVDAPDDLMEEVCYQEVAVTEVPLSFKPPKKKFLVPRGGGNK >DRNTG_03092.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:481696:485621:-1 gene:DRNTG_03092 transcript:DRNTG_03092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFLLLFISFSQAIGRDTITPAQPLTGNETLISSDGKFALGFFKPANSNNSYVGLWYNKIRQTTIVWVANRKDPVTTSTGTLSISNNGSLHITDQNSTVVWSSGVANVTNPVAQLLNTGNLVVREDEGDDGNYAWQGFDYPTDTLIAGMKVGVDFVKGLNRTMTAWISDSDPSPSQYYVTMDIQGDPELVLCAGSKKVYRTGPWNGYRYSGIPGTLTYAGFNFSFINNKQEITYSFNTNLSVLSKLTVNQSGIVQRSLWVEDSGIWNVIWYAPMDQCDNIEPCGPFAACNPNNSPICDCIQGFTPKSPEKWFYRDASDGCVRKTQLDCKNRTDGFLVIPYTKLADTSNATVDTSLSLDECMSQCLNNCNCTAYAPADVRNGGSGCITWTNELRDIRVFTNSAYGQELYVRLAAADLDSPSSASRNRNKWVIIFVIILAVTMLILACVGYIIIKRRNRGRARRQTIQESNNSSHDPGSSGLATGNALELSQGNDFELPLLDLGTIASATENFSADNKLGEGGFGPVYKGMLGDGQEIAVKRLAKSSVQGLVEFKNEVLLIAKLQHRNLVRLLACCIEGEERILVYEYMPNKSLDFFLFAKSKDEVLNWQTRFKIIMGIARGLLYLHQDSRLRVIHRDLKASNILLDKEMNPKISDFGMARIFGGDEAEGNTMKVVGTYGYMSPEYAMDGIFSQKSDMFSFGVLVLEIITGKKNRGVYSSAPNYKNLLDHVWSSWKEGNSLQIVDESLGSSYNMNEVMRCINVGLLCVQDHPGERPLMSSVLLMLSGDKAILPYPKEPGFIVRNVPYQMESVSSKPTSSTTYEMSTTLIEGR >DRNTG_27309.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:186063:186928:1 gene:DRNTG_27309 transcript:DRNTG_27309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSNDKNGNGKRKQWTSEEDKALTDYVTIHGIGPSWKSLAQNAGLKRCGRSCRARWLNYLNPGIKHGAFTEEEDDMICNLFKHWSVIATYLPGRTDQHIKNHFRSRLSKKLMLPGPSPKSHALVPQIEFGLPEKPGLDQLPDFKETVDLFVMSPPRHQFLDTVVAADELASDECFECFDSQFWWSLDDHLVGS >DRNTG_29143.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1386739:1391993:-1 gene:DRNTG_29143 transcript:DRNTG_29143.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEGKKFGRGSRELTGAVDLINHYKLLPHHDFFCKRSLPESISDTHYLHNVVGDTEIRKGEGMELDQLFQNAPYLRETTANIQPFDLDILGQAFQLRETAPIDLPSAEKGVPTISGKSKGDSKDKERKHKKHKDRDKDKDKEHKKHKHRHKDRSKDKDKEKKKDKTGHQDSGGEPSKKHHDKKRKHEGSEDPSDIHKHKKSKHKSSKVDETGVVKLAG >DRNTG_29143.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1386739:1391993:-1 gene:DRNTG_29143 transcript:DRNTG_29143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEGKKFGRGSRELTGAVDLINHYKLLPHHDFFCKRSLPESISDTHYLHNVVGDTEIRKGEGMELDQLFQNAPYLRETTANIQPFDLDILGQAFQLRETAPIDLPSAEKGVPTISGKSKGDSKDKERKHKKHKDRDKDKDKEHKKHKHRHKDRSKDKDKEKKKDKTGHQDSGGEPSKKHHDKKRKHEGSEDPSDIHKHKKSKHKSSKVDETGVVKLAG >DRNTG_23803.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29640329:29642432:-1 gene:DRNTG_23803 transcript:DRNTG_23803.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRMRCVETEVAADGFTIPSALTACAALSDWQSGVQVHAFLVVAGFGCDSAVSSSLANMYFRCGDVRAAERAIEDIEEEEEEEEEEEDSMILKLMMVKGYVFNELYEDALRIIHPGNDFVKMIVVDPSVVGSILAACSNLLFLHLGRQIHGLIVTTGVSRINHVVDCALIDMYSGCSGMEQAQVVFDMLPERYITHWNAMIRGYTHNGLLAKAIELFNEMPEKNVISWTAMISGYIQAGLPGEGLRLFARLYNEAKSSIHGNRFTLVSALGACSSLTALRLGKQIHGHILRTATEHVVVQTGLVDMYSKSGNLNYAQRVFDRMADKNVITWTSMISGCSAHGLGHQAIELLEQMMTTGFRPNEVTFVAILSACSHCGLVDEGLHYFSLMRNEYKIAPTSYHYSCVIDLLVRSGKLDEAWKLITELKEINEEDGDVWGAMLGGCELHGNVTIGSNVAKMMVERKRQTPETYVALANVYASAEMWDDVYRVREESKNHGVVKEPGQSLIHIN >DRNTG_23803.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29640329:29642432:-1 gene:DRNTG_23803 transcript:DRNTG_23803.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRMRCVETEVAADGFTIPSALTACAALSDWQSGVQVHAFLVVAGFGCDSAVSSSLANMYFRCGDVRAAERAIEDIEEEEEEEEEEEDSMILKLMMVKGYVFNELYEDALRIIHPGNDFVKMIVVDPSVVGSILAACSNLLFLHLGRQIHGLIVTTGVSRINHVVDCALIDMYSGCSGMEQAQVVFDMLPERYITHWNAMIRGYTHNGLLAKAIELFNEMPEKNVISWTAMISGYIQAGLPGEGLRLFARLYNEAKSSIHGNRFTLVSALGACSSLTALRLGKQIHGHILRTATEHVVVQTGLVDMYSKSGNLNYAQRVFDRMADKNVITWTSMISGCSAHGLGHQAIELLEQMMTTGFRPNEVTFVAILSACSHCGLVDEGLHYFSLMRNEYKIAPTSYHYSCVIDLLVRSGKLDEAWKLITELKEINEEDGDVWGAMLGGCELHGNVTIGSNVAKMMVERKRQTPETYVALANVYASAEMWDDVYRVREESKNHGVVKEPGQSLIHIN >DRNTG_23803.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29640329:29642432:-1 gene:DRNTG_23803 transcript:DRNTG_23803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPNPFAASSAGRAAAALSNPLLSRSLHALLLTRGLLHPNIIVQTSIVNMYAKSGDLDSSHKVFDEMLERNVITWNSLISGYANAGKGALALEMFYRMRCVETEVAADGFTIPSALTACAALSDWQSGVQVHAFLVVAGFGCDSAVSSSLANMYFRCGDVRAAERAIEDIEEEEEEEEEEEDSMILKLMMVKGYVFNELYEDALRIIHPGNDFVKMIVVDPSVVGSILAACSNLLFLHLGRQIHGLIVTTGVSRINHVVDCALIDMYSGCSGMEQAQVVFDMLPERYITHWNAMIRGYTHNGLLAKAIELFNEMPEKNVISWTAMISGYIQAGLPGEGLRLFARLYNEAKSSIHGNRFTLVSALGACSSLTALRLGKQIHGHILRTATEHVVVQTGLVDMYSKSGNLNYAQRVFDRMADKNVITWTSMISGCSAHGLGHQAIELLEQMMTTGFRPNEVTFVAILSACSHCGLVDEGLHYFSLMRNEYKIAPTSYHYSCVIDLLVRSGKLDEAWKLITELKEINEEDGDVWGAMLGGCELHGNVTIGSNVAKMMVERKRQTPETYVALANVYASAEMWDDVYRVREESKNHGVVKEPGQSLIHIN >DRNTG_07619.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17736133:17739155:1 gene:DRNTG_07619 transcript:DRNTG_07619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYISGAKSVPDEQVRIASTKKWMELDLKKAIQAPFATPRLARLGLAPFARLSYRIGFVRQATASSSIGALRSAAQMVSYEVSIGLILIVRLVSTFGSAKEIARMEPQHEECPRLVARLILVLGHRAGSAVRGTRVLEYSGIYTRATNVEHTTMPPVFISWKSPAEERAVGAAYSPYSLRQCNALNTKVCSSAPFSHAESEAAPRKKARPCNSSEIVMAQKQIWSGIPLFPVLVMFLIPRLAETNRAPSDLPEAEAESVAGYNVEYARDAILNSSLLAEANVPGSQGTHSDL >DRNTG_28323.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:50503:53052:1 gene:DRNTG_28323 transcript:DRNTG_28323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGELLAIHPPELKFPFELKKRPSCLLELSNKTDDYVAFKVMTTNPTQYRVRPAAGVISPRSKYDVIVTMHAPRAIPPAMESRDRFLVQSVVTSDATSSTIEMFSKESGNVIEEVILRVVYVPKPQSSSAVLDELEEPQPPPPPHPSNHANGSTATATGQKESVERMDTPPEPEVTDLIHKLTEDKKVAMMKNKMLQQQLDDIGIKMKNKQQGNFSFFFVAVALLIGVYIGYYHV >DRNTG_28323.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:51072:53052:1 gene:DRNTG_28323 transcript:DRNTG_28323.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNPTQYRVRPAAGVISPRSKYDVIVTMHAPRAIPPAMESRDRFLVQSVVTSDATSSTIEMFSKESGNVIEEVILRVVYVPKPQSSSAVLDELEEPQPPPPPHPSNHANGSTATATGQKESVERMDTPPEPEVTDLIHKLTEDKKVAMMKNKMLQQQLDDIGIKMKNKQQGNFSFFFVAVALLIGVYIGYYHV >DRNTG_28323.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:50933:53052:1 gene:DRNTG_28323 transcript:DRNTG_28323.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNPTQYRVRPAAGVISPRSKYDVIVTMHAPRAIPPAMESRDRFLVQSVVTSDATSSTIEMFSKESGNVIEEVILRVVYVPKPQSSSAVLDELEEPQPPPPPHPSNHANGSTATATGQKESVERMDTPPEPEVTDLIHKLTEDKKVAMMKNKMLQQQLDDIGIKMKNKQQGNFSFFFVAVALLIGVYIGYYHV >DRNTG_33517.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:799227:801134:-1 gene:DRNTG_33517 transcript:DRNTG_33517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRFMPCSSPSSISSHFYGIPRSTTPNRGRNDAPAGFAFADEIVEELNEAARSGEVDSISVTVRFRPLSEGEFQQGDNIAWYADGDKVVRNEYNPATTYAGCVPLQLAPLLSHSGRKPQLLTHRIRSTELVKHELWTYRKSFEGN >DRNTG_14317.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14629046:14629231:1 gene:DRNTG_14317 transcript:DRNTG_14317.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLAVIHGAEKTSIPAPLSLEMMRLMGIACRVQTGVYTLVLPAPEIADGEGDDAEAS >DRNTG_17882.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000922.1:739:1285:-1 gene:DRNTG_17882 transcript:DRNTG_17882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCDDGAEAVPVGADQVPRGEPLVRRPQLPRQRLHPPKHNPRKPPQIPPHRRHRCLPWHPMANPPRSPNSSPGRRPLPNPPHRCRLRRTRTPLLHLPAGLRFPFSPSPLRQKPRPKPPHHPNRDPLLDHPIPRRDPRGVLSVPRLQYHAPPIDP >DRNTG_17860.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2898314:2900397:1 gene:DRNTG_17860 transcript:DRNTG_17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSPTYQLLHLSFPFSVFFPPLHLILISSFSIPKMEDVWKDMTNLITNITTNRQGPLLQDFLPGGVFYKGSPLPDMINCDSSQNSSGGDVNCNNGNLEVMCSLSGSQVCFDKVGVGVGVGVDVGVFERKKMDHDQDLSNTGSRAARRRRRLINNRESAARSRARKQAYTEELEKAVTQLLEENEQLKREREEMRLEMGTQLSTKAISLQRSSTAPF >DRNTG_20059.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26305646:26308467:1 gene:DRNTG_20059 transcript:DRNTG_20059.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSSTSFRLRTLLGAGAGAGNSISRRCTGHPTRLLSLPITPLYSSSSGPENFPGSGGGTAGEEENITFAEAKRLMRLVNVESLKQRLGMEVEEVIEYSDLLKACEGMGVARSPEEAANFAKVLDEAGVVLLFRDKVYLHPDKVVDLVRRAVPLALTPENDPRREELKKLQKKKEEIDTLAYRQVRRILWSGLGFFVVQIGLFFRLTFWEFSWDVMEPVAFFTTTSGLLVGYAYFLFTSRDPTYQDLMKRLFSSRQRKLYEKHNFNMERFMELQNHCKCPLDHTGLDAIHHD >DRNTG_30259.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001549.1:12873:13651:1 gene:DRNTG_30259 transcript:DRNTG_30259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTRINSQILRFHRILDVENQEALQVAAIRVVWHILEHRRQFRFRRRILLEPEKIESPSIPRRPSRRRHCLKH >DRNTG_02635.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:11711053:11890965:-1 gene:DRNTG_02635 transcript:DRNTG_02635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRKFPTGVGIQRPQLTGAAARPFVFSGWRNQPAEFRVVHKVIHMGEATPLCILGKICPTLQQGTRPCGNYPRACASRMIVHKGSRTPLCLSSNCSSNSLCSCTSPTVLGHFRWHLPALFIFRSTFFKIPGVYVSSPVEQSIITSSLLSPWSNKPMTIGQLEEACPPPQPVQLVTAATLSKL >DRNTG_29095.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:12472008:12477360:-1 gene:DRNTG_29095 transcript:DRNTG_29095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAINLVNGRCYMTPVVEIVAELKRALLDSLLQRYDSRTNKFRIGESMLTFRPEDVALVLGLCCDGDAVVFQKKKTCSAFEERYLSKTYKRHRDSIRSTLKQLIRQREEEENFAKLLMATHKWLMEDIPQAPTRVQSRCAGKKTNTGYVKGCMVALNIWFYELTGTRKKVRFCKIPRMLCYGENTYRKQATIETSLSSLEGKEFPELVPANADEKIFVRANRRFDAIAPEPLARRQDERATSSVRARRRSPTSSPKRARIP >DRNTG_02784.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1197358:1199187:1 gene:DRNTG_02784 transcript:DRNTG_02784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNTTTLSTLQPLSQSHLLPPFPSMDDLHRWPTFSEVMEEMRAIARISIPSTITGLVLYLRTMISMLFLGYLGELSLAGGSLAIGFANITGYSILSGLAMGMEPICGQAFGAKQRKLLGLTLQRTTLLLLSTSIPISLLWLNINSILLHFHQDPDISLTAQTFITFAIPDLFLLSFLHPLRIFLRTQSITLPITYCSFISLLLHIPLNYFLVVHLKLNIAGVAISTVLTNLNLLTCLLFFFFISGVYKDSWVTPSMDCLRGWPALLKLAVPTCASVCLEWWWYELMIILSGLLSNPKATLASMGILIQTTSLVYVFPSSLSYGVSTRVGNELGARRPARARTSAIVSLACAVLLGLSAMIFTTSVRHRWGRLFTEDNEILNLTAIALPIVGLCELGNCPQTTGCGVLRGSARPNIGANINLGSFYVVGMPVAILLGFVAGMGFAGLWLGLLAAQASCAAFMIVALIKYRLD >DRNTG_24815.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31822115:31825928:-1 gene:DRNTG_24815 transcript:DRNTG_24815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVNGGWVDEAFRQLEAMQSRGLFPDNVTLSILFDCLCRDDSKLWTAKCLLERNTELGWYVDVYFYNSLMNRLCETGNWSSVLKLLTDMMKKGIDPNTCTFTIVIRSLCLAGKFKKAICIICSQGFDADIVAFNTLIHRLSMAGMVAEAQLMFGMMNGQSIMPNEFTYCMMIDCLCKSGYFCEAIKCVLSSLKGGFLPDLVTRVNYWLINNGKFEETVKSI >DRNTG_24815.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31824251:31825928:-1 gene:DRNTG_24815 transcript:DRNTG_24815.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVNGGWVDEAFRQLEAMQSRGLFPDNVTLSILFDCLCRDDSKLWTAKCLLERNTELGWYVDVYFYNSLMNRLCETGNWSSVLKLLTDMMKKGIDPNTCTFTIVIRSLCLAGKFKKAICIICSQGFDADIVAFNTLIHRLSMAGMVAEAQLMFGMMNGQSIMPNEFTYCMMIDCLCKSGYFCEAIKCVLSSLKGGFLPDLVTRVNYWLINNGKFEETVKSI >DRNTG_11819.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:308713:313645:-1 gene:DRNTG_11819 transcript:DRNTG_11819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNGTPRRSPNIRPTPPPPPTVTFARRTSSGRYVGYSRDDLESELGSTDFSKEYFTNYHVLIPPTPDNRPMELLTMDPSISTKVEEQYVSNSLFTGGFNSATRAHLMDKVTDSDTQMPTAGAASKGASLCTVTGCDNKAMDVIPCECDFKICTDCFNDAVKLGGAVCPGCKDMYRNTEMEELLLGAVTPGRPLSLPPPAPTKMQRSLSLMNSKKQLMRSQTGEFDHNRWLFETKGTYGYGNAIWPQEEEEDHDDDEMDGDGGHAHHHHHHHHHPSQLMAKPWRPLTRKLKVPAAVLSPYRLLVVVRMAALGLFLAWRITHKNKDAVWLWGMSVVCELWFAFSWILDQLPKLFPVNRATDLSVLKEKFESPGPNNPAGKSDLPGIDVFVSTADPEKEPPLVTSNTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCRKHDIEPRNPESYFNLKRDPYKNKVKPDFVKDRRRVKREYDEFKVRINGLPESIRRRSDAFHAREEIKAMKLQRDQNAGAGVHGNGEEPPMEPVKIPKATWMADGTHWPGTWLNSSPDHTRGDHAGIIQVMLKPPSEEPLTGNEEGKMIDLTDVDIRLPMLVYLSREKRPGYDHNKKAGAMNALVRASAIMSNGPFMLNLDCDHYIYNSQAIREGMCFMLDRGGDRISYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRLKNRSSAVVVAASSEETMALRMGDSDDGLMNMSTFPKRFGNSNFFIDSIPVAEFQGRPLADHPSVKNGRPPGALTIPRDLLDASTVAEAISTISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASHRMKLLQRLAYLNVGVYPFTSVFLIVYCFLPALSLFSGQFIVQNLNVAFLTYLLVITITLCMLAVLEVKWSGIALEEWWRNEQFWLIGGTSAHLAAVLQGLLKVVAGIEISFTLTSKSAGDDMDDEFAELYVVKWTSLMIPPITIMMVNLIAIAVGISRTIYSVVPQWSKLLGGVFFSFWVLAHMYPFAKGLMGRRGRTPTIVFVWSGILAIGISLLWEAINPSDPNNSQIGGSFTFP >DRNTG_11819.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:308713:312619:-1 gene:DRNTG_11819 transcript:DRNTG_11819.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNGTPRRSPNIRPTPPPPPTVTFARRTSSGRYVGYSRDDLESELGSTDFSKEYFTNYHVLIPPTPDNRPMELLTMDPSISTKVEEQYVSNSLFTGGFNSATRAHLMDKVTDSDTQMPTAGAASKGASLCTVTGCDNKAMDVIPCECDFKICTDCFNDAVKLGGAVCPGCKDMYRNTEMEELLLGAVTPGRPLSLPPPAPTKMQRSLSLMNSKKQLMRSQTGEFDHNRWLFETKGTYGYGNAIWPQEEEEDHDDDEMDGDGGHAHHHHHHHHHPSQLMAKPWRPLTRKLKVPAAVLSPYRLLVVVRMAALGLFLAWRITHKNKDAVWLWGMSVVCELWFAFSWILDQLPKLFPVNRATDLSVLKEKFESPGPNNPAGKSDLPGIDVFVSTADPEKEPPLVTSNTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCRKHDIEPRNPESYFNLKRDPYKNKVKPDFVKDRRRVKREYDEFKVRINGLPESIRRRSDAFHAREEIKAMKLQRDQNAGAGVHGNGEEPPMEPVKIPKATWMADGTHWPGTWLNSSPDHTRGDHAGIIQVMLKPPSEEPLTGNEEGKMIDLTDVDIRLPMLVYLSREKRPGYDHNKKAGAMNALVRASAIMSNGPFMLNLDCDHYIYNSQAIREGMCFMLDRGGDRISYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRLKNRSSAVVVAASSEETMALRMGDSDDGLMNMSTFPKRFGNSNFFIDSIPVAEFQGRPLADHPSVKNGRPPGALTIPRDLLDASTVAEAISTISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASHRMKLLQRLAYLNVGVYPFTSVFLIVYCFLPALSLFSGQFIVQNLNVAFLTYLLVITITLCMLAVLEVKWSGIALEEWWRNEQFWLIGGTSAHLAAVLQGLLKVVAGIEISFTLTSKSAGDDMDDEFAELYVVKWTSLMIPPITIMMVNLIAIAVGISRTIYSVVPQWSKLLGGVFFSFWVLAHMYPFAKGLMGRRGRTPTIVFVWSGILAIGISLLWEAINPSDPNNSQIGGSFTFP >DRNTG_19847.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7365178:7370499:1 gene:DRNTG_19847 transcript:DRNTG_19847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHAALGEEGLEVTVLDEGDHEEKESNNEEQQPRKRIRGLDQKLIMRRRSLELRRRLTAMPEDGDLVEVEHHQSPTSHRNRRMKHTDQKETGRRGGGRAGHENW >DRNTG_19847.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7369605:7370499:1 gene:DRNTG_19847 transcript:DRNTG_19847.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHAALGEEGLEVTVLDEGDHEEKESNNEEQQPRKRIRGLDQKLIMRRRSLELRRRLTAMPEDGDLVEVEHHQSPTSHRNRRMKHTDQKETGRRGGGRAGHENW >DRNTG_19847.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7368970:7370499:1 gene:DRNTG_19847 transcript:DRNTG_19847.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHAALGEEGLEVTVLDEGDHEEKESNNEEQQPRKRIRGLDQKLIMRRRSLELRRRLTAMPEDGDLVEVEHHQSPTSHRNRRMKHTDQKETGRRGGGRAGHENW >DRNTG_26095.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20754391:20759924:1 gene:DRNTG_26095 transcript:DRNTG_26095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKSKKSKSKRVSLKKKYKVIRKVKEHHRKKAKEAKKLGLNRKRKVEKDPGIPNDWPFKEQELKALEARRARALEELEQKKAARKERAQKRKLGLLDNDDLGNLAASASAKDDEFSNRSAVEETLAAHKSHDHSERAFYKELVKVVEASDVILEVLDARDPFGTRCVDMEKMILKSDPNKRLILLLNKIDLVPREALEKWLNYFREELPTVAFKCSTQEQRSHLGWKSTSKASKKSDMLQTSDCLGAETLIKLLKNYSRSHELKVSITVGIVGLPNVGKSSLINSLKRSHVVNVGATPGLTRSMQEVHLDKNVKLLDCPGVVMLKSSDDDASIALRNCKRIEKLEDLVGPVKKILDLCPAERLMSFYKLPSFSSVDDFLYKVATLRGKLKKGGIVDVEAAARIVLHDWNEGKIPYYTLPPVRNQVDNAEAVVVSEFGKEFNVDDVYKTESSFIGTLPSVEHFNHVEVPPSSPPNFDEQMKDEGAVEQNEVIQNDESMASDSKRS >DRNTG_26095.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20754729:20759924:1 gene:DRNTG_26095 transcript:DRNTG_26095.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKSKKSKSKRVSLKKKYKVIRKVKEHHRKKAKEAKKLGLNRKRKVEKDPGIPNDWPFKEQELKALEARRARALEELEQKKAARKERAQKRKLGLLDNDDLGNLAASASAKDDEFSNRSAVEETLAAHKSHDHSERAFYKELVKVVEASDVILEVLDARDPFGTRCVDMEKMILKSDPNKRLILLLNKIDLVPREALEKWLNYFREELPTVAFKCSTQEQRSHLGWKSTSKASKKSDMLQTSDCLGAETLIKLLKNYSRSHELKVSITVGIVGLPNVGKSSLINSLKRSHVVNVGATPGLTRSMQEVHLDKNVKLLDCPGVVMLKSSDDDASIALRNCKRIEKLEDLVGPGPFYFYS >DRNTG_30434.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3137857:3155695:-1 gene:DRNTG_30434 transcript:DRNTG_30434.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators [Source:Projected from Arabidopsis thaliana (AT1G55325) TAIR;Acc:AT1G55325] MLTNVFRIGEQFRTVSWFQFLPSESDANTLPDKSLKVEQKDAATFLVLSAHLQLQNEGFLSTWSNSFVGPWDPSQGVHNPDEKIKLWLFIPGRHSSISENAQSIVSRLKVVGTGLWVPPGDSEEVAAALSQSLKNSLERSLRLLSYMRFGDVFTRCHNFTTNENNLRRAQPAIEFVFAATEEAIYVHAIISAKHVRGLCSDDMERLLKYRSSNSVQEGIPVIVAPNGMHGRLIGCRPSDLVKQVYVSKAKASNGLSVGIPFHAAQSSVCQLRGQSFYAEVSLGCPSSSKGCSLRSVRDQPKVLIQQSEEQHQVSSESVQQKQGSTDKLPVLERTFLYPAETVLLPVMQRAFARSSLKRLWLQNSVGTPLFELWSLWNFSGSSHLEHCLAFGDDGRSDFTDCLGVEFSGLRMQRKNNSSSNSNSSSISSISSTSSESDNDILGANDLEADADSLTCKQSGLASTDQFENDGHKMVSKRMRTGMAETFGQAGTVVSGTTQDPFKSDYSGAEGNNSAGAGVQIGSNWGWDEDGISIDIQTLLSEFGDFGDFFENEVFAFGEPPGTAESQAIMFPSADSGDISGSPCTGGLDAADQRFSPVNISSLEAFTHSFATPTDDVSNRSTESTRDFRPTGIVCHSSAPSSSKFDYLIKAEALMIFAPEYAAVDIPTSEPSTSIVRSTYLPRSKRVDSLSSGTYFYSATPLSPCKDLVIEKNEISLKAKPNIAGHDGSLLVPSRKLYAYIDHGIRRAEKRPRTHEVPPIKGDVTSPVSVLNSSIPVLTIQKKNGSTLEPGQLLLSLKTTLATEIDCIVFQAAMCRIRHTLLSLSNRAPLWSNKLIGSVMSEMVPIDTSTATNSIPNKYEAKRKDPVPVRVAADVDVLMNDGPVTASVGVWRSVAAPKGPKSMNTFNSESSPTLPHNSNVESLVSLAQRQPLQELLDAMAFLVQQSTSFVDVSLDTDGGEGPFCWLALQEQQRRSFSCGPSMVHAGCGGLLAVCHSLDIAGVELFNPLYADVQVSSVISLLQSDIKVAVKHAFGNLDGPLSVSEWCKGRSSFGDSGNTGDGYPFQSPVLEAKDSSSTLTLAGEPMSPSQSAGGPSCMKDCSRIDDSSQRRSNQEMANSESELQKTYCNFRPTLSVLPLPSILVGYQDDWLKASVSTLQLWDKAPLEPYASPKPVSYLAICPDIDLLTTSATDFFQQLGVVYETCKLGTHSPQISGSQMELSPGKYLPSGLVLVDCPQQLKIASNSAFAISSTSDYFQALAKGWDRKSFISSLSKVLRDLKLAAHSTVPQKEGTAGPITVVYVVCPFPEPIAVLQTLVECCATLGSSVLLPFKDRRSFLYSQVAKALNCTSAVDEASTSNVIVLSGFSIPKLVLQIVTVESLLRINRPACELAMLKDIAFTVYNKARRIPRVASTNDILQSTVTGRSQSSMMHVTSPIPGLWKDFGRMSGPTLTREVELDNTTLRPGPWDSSWQTSRTGGLNCDTNRPIDLLCQEDARYMFEPFLILAEPGSAERSTSSSMFGIVAPESSNLRTSMDDSSGIYMQSSTPGGSSDIGTSSAFDKPEHDRKAASLHCCYGWTEDWRWLVCIWTDSRGELLDSSIFPFGGISSRQDTKVLQCLFVQVLHQGCQILSSSSDAINARPRDIVISRVGCFFELECQEWQKAIYSVGGGEVKKWPLQFRRSAPDGISSSTNASSLQQQDMGLIQDRNLPSSPSPSLYSPHAKPSSFMKGGLGQTNSKKQLLSGQAPPDSSRGFSHLVQSITLVSVSIDHCLNIIVPADLPSGGVGPQSSSASGISGYVEGFSPVKSLGSMSASYLLIPSPSMRFLPSLPLQLPTCLTSESPPLAHLLHSKGPAIPLSTGFVVSKTVPSARRDPTESVKEDWPSILSISLVDHYGGSNSNNIVQEKMSRGTSNSNTTKQNRSFGTDVISKDYEADAHSVLESVAAELHSLSWMTASPLYLERRTALPFHCDMFLRLMRLLHYADKELSRLAEQTQ >DRNTG_32984.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28726740:28728386:-1 gene:DRNTG_32984 transcript:DRNTG_32984.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNEGCLKWRPFVYDEFKHECWYEDFKEPSDKGMLNTIQSKYFMALRQSILPLHFNKDIFAQQCSHKVSSSKAIPSEHDSLYSDFAHDDDNYTPLLVVNPSVESPLVEAVPFAQSILEDWTPKATFANDNPPSKAIMVTTQSVDEAKMRLTQTQKKLQELEAQRDKLKDQEEEDIYLINSSLDLLKSIAPIEEVDKSLAIVLGKLEALGGGDESQLSSSLEELLANFARLKSQL >DRNTG_04316.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22091863:22094461:1 gene:DRNTG_04316 transcript:DRNTG_04316.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINFQDKHMCRQNISVKDAIIFSKPNISRKRFHDQVPDVPTRRSYKCPPRTTNDPHRQR >DRNTG_23550.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22406921:22411111:1 gene:DRNTG_23550 transcript:DRNTG_23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLHKQASLNQHLQMPTHSAKPSKPPPRSGAARSAASYLLREQRLLFVLVGAFLAASFFLLRPSLPVPISHPSTSHLILPQPTYTLPTTPFSAARRVPAALKQRGRRVVVTGGAGFVGSHLVDKLIARGDSVIVIDNFFTGRKENLVHHFGDPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKYNPIKTIKTNVMGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGAEVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRRQPMTVYGDGKQTRSFQYVSDLVDGLVALMESDHIGPFNLGNPGEFTMLELAEVVKETIDPDATIDFKENTADDPHMRKPDITKAKELLNWEPKVSLREGLPLMVTDFRKRILDEEN >DRNTG_23550.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22408151:22411111:1 gene:DRNTG_23550 transcript:DRNTG_23550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGAEVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRRQPMTVYGDGKQTRSFQYVSDLVDGLVALMESDHIGPFNLGNPGEFTMLELAEVVKETIDPDATIDFKENTADDPHMRKPDITKAKELLNWEPKVSLREGLPLMVTDFRKRILDEEN >DRNTG_19095.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:284720:288340:-1 gene:DRNTG_19095 transcript:DRNTG_19095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYTSMWVMGNAKDSIKLPSKSIIEITRLPEFVLQTKIKDEMVCQVESEMLCRAYFHMYLGDDPFDKEAKDKFGERLLTIF >DRNTG_15292.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4627821:4630369:1 gene:DRNTG_15292 transcript:DRNTG_15292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHHQRQVQLGGGGADTTFTKIFVGGLAWETQRDTMRRYFEQFGEILEAVVITDKHSGRSKGYGFVTFKDPDSAMRACQDPTPVIDGRRANCNLAALGAASHRPRAPNPHYAGRFRPAFVPAATSSPYYGSSSTTSSAFYHQPAQYAIPYSVYGYSSGGYSQEGVYPMGYYGVYSNQQQQQHHHHHHQQQVQHHYQFSPYYSAGSGLYQNMYSYYPQYTQTGQVQSGFTLHYPQVLQYPYGQQHYASGALPLPVSIATATAGVNPVAIVGPSSSQQPPGATAAEPKSSA >DRNTG_15292.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4627821:4628384:1 gene:DRNTG_15292 transcript:DRNTG_15292.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHHQRQVQLGGGGADTTFTKIFVGGLAWETQRDTMRRYFEQFGEILEAVVITDKHSGRSKGYGFVCSLLLRRHA >DRNTG_15292.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4627821:4629355:1 gene:DRNTG_15292 transcript:DRNTG_15292.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHHQRQVQLGGGGADTTFTKIFVGGLAWETQRDTMRRYFEQFGEILEAVVITDKHSGRSKGYGFVTFKDPDSAMRACQDPTPVIDGRRANCNLAALGAASHRPRAPNPHYAGRFRPAFVPAATSSPYYGSSSTTSSAFYHQPAQYAIPYSVYGYSSGGYSQEGVYPMGYYGVYSNQQQQQHHHHHHQQQVQHHYQFSPYYSAGSGLYQNMYSYYPQYTQTGQVQSGFTLHYPQVLQYPYGQQHYASGALPLPVSIATATA >DRNTG_02852.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20796439:20796966:1 gene:DRNTG_02852 transcript:DRNTG_02852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVLLSTMNMADVSNEALEIIKPICIIIYSLVTLCGYKSVIKFFPHQVLDLELVVFLLDKRYHLAPRTSLHQESTGEMETKCITLLWHYILVLIPFDISSVDTSIANNNHLGGLELSPLVHKILDFSKDYLLSVGLMRRISGLLLSSLLTHPDMPKAFNRLFYNLPGIYYLYAC >DRNTG_01825.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16308764:16309257:1 gene:DRNTG_01825 transcript:DRNTG_01825.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADDVMDAFVCILQKSMSVVPYRYKKRASITRPLALFMSKQGDDAHESTMAMIRDAARNLHEVDIVILPIIMSGHFHVAVLDNDKQEYRHYSSCQSEEYDKNVLEMRNLFDLCIDMELGESATAKFPLVHDTETP >DRNTG_06670.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3116956:3119611:1 gene:DRNTG_06670 transcript:DRNTG_06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIWRSLCGSGFHFSKSLICAGGQPVNHLQQTSGHLNRVLGNEPIFSGYKTLSSNGIYQSVNSGANFLIRSMTTSVNPEISDGQDSSGASPEVIHPPRIKFKRLDKTARHIMNILDKEAVEKVRSEREIPAIEPGYIVQLKVEVPENKRRVSVLKGIVIATRNAGLHSTFRLRRLVAGVGVESVFPLYSPNIKEFKVLEKKKVRRAKLYYLRDRMNALKK >DRNTG_11922.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:494395:502559:1 gene:DRNTG_11922 transcript:DRNTG_11922.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMDFESMKRRELQALCKNHGLPANSTNSQMALSLASLLQNEKIKLRGCLKGSDESSRENSGPKKVSFSLDGEEFEFEKSLGIPEKRRSTRRASTGVIKANDQKTRVPEVPARITRSRTVEVSHPTASQEDEGFQSDEGKKRKESSVMEPNLRSLRNRVVIFSGNECELQGNQKLKRSLRRDAGKQKENPKVDAFQNMKLGGDGGFEKKISRKRARVADVEEVPPCNTDYGNTRPESDDVDAGAQFKVTQCGGPPPRRSKRVLLNTETMVFDVGAAKKVEALPPKRSTRSSVKCGVEESEISTDAEEKSGDEFDAVGGKKQMKSASSWGIKSKGPAVSEIAREFITESTTTEARGQPEVLPEFRVPPRRTTRSSSKQVAMELVTLLPASEKLVDGKETARASKLRKKHGSRKPSENLCPSGETESAFKTVEVQAVHFEKVPLHPMQNTCKIGVAECEATEGFKQVDDVARREDSIASPRIHAESEALAVPKQKALARRSTRNSTKNEALLTSAMKNTIPKEKAVARRSTRHSTKNEAPLTTVPQNVPVEVGKNRSTAKRAREVVPDEDASYVERDTSGRFPVSKNQENGTIVDGNDRKPGSHVPNTRGSKSCRRVNSIDEAPPDEHSTDPPLSLLEDSCQHIIIGEGSASNVSSKSQSSQRLQSMGKMPFDVQKNSEDNIHNRSGDDKLVDEHKCLEEVCSTHEDQVMISGSSEVMNVCKTLEATPCSAVQDNCAQNVDEEKICEARNCVLVDIEHSSLSMHANGSFGPAETVTEAVGNPQIDALLALEQTKKGFTACTLCVENVEQVNTAEVSHEFIDSESTPVVAADSEMVLDVIHNIHNEDDPKHREPSHSVVGISLTCEEIQELTSAIDNEVSLGIDQQKFATVADVPDAREISSHLTDTPNDTHVNHSEDSSDQQSAPLKDMGTAEATLTTMLVFKSATETFGYVEEINEADKNFSGSCSGKLAFEDNKETLMLDSENFSLSVGLQENSAEFARDQMKPSLRADRLAAVQCAGMSPREIPKSLSCYDSSHDGSCSQNHMIAIRPGARMHVDKEISASEYMASLTVDKDASEVLDGMDAKNYSEMEGDCNASLDEKFCEDSIDLLPPTTGDLLEKRVEDIGMAANDIEFPFLSEDVDSEMKVMEKVAVLEVHDGIDAKNHLELEGDSNVSFEERFCEVSIDPLPPTAGDLLEERDEDKEMVAKDKEFPLPSEDVVSKMKVMEKEAILEVLDGRDAKNHSESEGGSNTSLEERFCEASIDPLPPTAGHLLEKPDENKEMATEDIEVSHLSENLACEMEVTSEKPGCLDPEEDGATLESQLQMDHESATLLRLDGTIIDSKSGIQKVILEVVGEDASETCDGCHEDVGQDNERTKFSSVDVVLQQFIFREEASTETVSEDFQQTDYTDPSTQLKIQDGDEMASHRNSYSTVDSVDIHDLETHDSSNLQTDLENQMQAPTTFVKEREVVSWDDSQTCETLPRDLKNSTAAYFPVDMGNVSGETDDSHHDDEKASQVRNIPVTDDLVFQLCSGGLLQQDIHEKPDEDNLKDVLGPIDCDTSITEPKHLIYILVAGDVSGEVGSSNANTTVASDENQITASPCNFHEDRDCVSPSLVDDLKSAEETEIHAFNGQLMDTDEVTKHDLATASDDLNKENMETSEGDVMADEDGNGNSRAMEENTLHKDVPFLLQRVCDNKSHSGLTPLQNASLPSQTKNDRNMVKLCTAAEMTIDKIEKIEDKFDNDDREVRNFVKGSEPTISEVDADDATMGHECGDVSEIAEQSIWDQDVMKIENMDMVARYGSDDIEGAQVAKGESESTSVFNICENFKDGENANGCQDENSMFADMMVEKENNTDITNLEGTLAESRLCEAPSSAGQLVSVSKELLYSNWTIDSTVDVCQAVSGGHDDREIYQDTMKHEVNFQTETSNLQNHDYSSERIDSVDFEETKDCGIRAKESTNLEGHEQIEETFHETDCQAAETRQTIDPEPQHPLAKETLINSLDCQASGLSCDERGLFQDITFPENDDEQGLGNERGDELCSGKVKDQNDVHKLSNETSVVENTSDETENNSNGIEIYRMEETKCILNQSSAFQEPVCAESADQAEESMNQENQSVSSFDCSPSKFRNHANTDDSGIAS >DRNTG_09268.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21698805:21700834:-1 gene:DRNTG_09268 transcript:DRNTG_09268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIAAYGRALDLGCGIALSMTLKAVIELDVLEIMAAAGPGAWLWPEEIASKIQSSNPDAHEVLDRMLRFLAAHKVVTCEVVVGEDGERKRRYGLGPVCKYLTKDEDGVSVAPLLLKHHTKVLVETWLNLKHAVLDGSIPFVKTHGVTMFEHEDKDPDFSEIFNKAMFNQTIMLMKKMLENYKGFENINVLVDVGGGHGATLGIILSKYPNIKAINFDLPHVVSKAKPIQGVEFVGGDMFESVPTGDAIFMKWILHDWSNEHCVKILKNCWKALPNNGKVIVVELIIPEIPEDADEAKNSLLGDVIMLAYCVGGRERTEKEYRLLANKSGFSGFNIACCLHNFSIMEFCK >DRNTG_02749.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000126.1:10807:11963:-1 gene:DRNTG_02749 transcript:DRNTG_02749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLFSSLFVQMISSCSPWLPYAHSACALIARSSPMRL >DRNTG_00252.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25899680:25908211:-1 gene:DRNTG_00252 transcript:DRNTG_00252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEESKKEAEVAATDIYNVEAAEILANEALHLPISEAAPIYEQLLSTFPTAAKFWKQYVEAYMATNNDDATKQIFSRCLLNCLQITLWQCYIRFIRKVNEKKGAEGLEETRKAFDFMLSYVGTDIASGPVWMDYISFLKSTAATTSQEESHRMTSMRKIYQKAIVTPTHHVDVLWKDYETFENSVSRTLAKGLLSEYQPKFNSAKAVYRERKKYVDEIDWNMLAVPPTGSYKEEQQCMVWKRFLAFEKANPQRIDAASSNRRIIFTYEQCLMYLYHYPDIWYDYATWHAKSGSVDSAVKVFQRALKALPGNCTVFSALYCCFVLNATIFAPKI >DRNTG_00252.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25903105:25908211:-1 gene:DRNTG_00252 transcript:DRNTG_00252.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMEESKKEAEVAATDIYNVEAAEILANEALHLPISEAAPIYEQLLSTFPTAAKFWKQYVEAYMATNNDDATKQIFSRCLLNCLQITLWQCYIRFIRKVNEKKGAEGLEETRKAFDFMLSYVGTDIASGPVWMDYISFLKSTAATTSQEESHRMTSMRKIYQKAIVTPTHHVDVLWKDYETFENSVSRTLAKGLLSEYQPKFNSAKAVYRERKKYVDEIDWNMLAVPPTGSYKVCIIYLRTGASLFSTLQPKVPNKHHLHEFKRWC >DRNTG_00252.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25899680:25908211:-1 gene:DRNTG_00252 transcript:DRNTG_00252.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEESKKEAEVAATDIYNVEAAEILANEALHLPISEAAPIYEQLLSTFPTAAKFWKQYVEAYMATNNDDATKQIFSRCLLNCLQITLWQCYIRFIRKVNEKKGAEGLEETRKAFDFMLSYVGTDIASGPVWMDYISFLKSTAVCSITFLIVILSSFLLRQFIVGLQQAAWFYTCAFNFCISLL >DRNTG_00252.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25899680:25908211:-1 gene:DRNTG_00252 transcript:DRNTG_00252.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMEESKKEAEVAATDIYNVEAAEILANEALHLPISEAAPIYEQLLSTFPTAAKFWKQYVEAYMATNNDDATKQIFSRCLLNCLQITLWQCYIRFIRKVNEKKGAEGLEETRKAFDFMLSYVAHQAQILLLDQCGWITFPS >DRNTG_22593.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17536204:17537912:1 gene:DRNTG_22593 transcript:DRNTG_22593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSPFVLLCFFCLVSFSMAAPPRKPINVPFQRNYVPTWAFDHIKYFNGGNDIQLVLDKYTGTGFQSKGSYLFGHFSMQLKLPAGDSAGVVAAFYLSSQNSEHDEIDFEFLGNRSGQPPILQTNVFTGGKGDREQRNYLWFDPSKEFHFYSILWNMYQIVFYVDDVPIRVFKNCKDLGVRFPFNQPMKIYSSLWNADDWATRGGLEKTDWSKAPFIASYRGFHVDGCEASVEAKFCATQGMRWWDQKEFQDLDGYQYRRLQWVRSKYTIYNYCTDRTRYPTMPPECKRDHDV >DRNTG_22593.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17536204:17537912:1 gene:DRNTG_22593 transcript:DRNTG_22593.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSPFVLLCFFCLVSFSMAAPPRKPINVPFQRNYVPTWAFDHIKYFNGGNDIQLVLDKYTGTGFQSKGSYLFGHFSMQLKLPAGDSAGVVAAFYLSSQNSEHDEIDFEFLGNRSGQPPILQTNVFTGGKGDREQRNYLWFDPSKEFHFYSILWNMYQIV >DRNTG_22593.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17536204:17537912:1 gene:DRNTG_22593 transcript:DRNTG_22593.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYSSLWNADDWATRGGLEKTDWSKAPFIASYRGFHVDGCEASVEAKFCATQGMRWWDQKEFQDLDGYQYRRLQWVRSKYTIYNYCTDRTRYPTMPPECKRDHDV >DRNTG_34354.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002131.1:1:11664:1 gene:DRNTG_34354 transcript:DRNTG_34354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNHNHDDELMAAASVERNANRLDMPLSLLIIKRKKIWERELMEAGELACCSAKKAFSSMVFMVRELQSHALHMRSDDDQPPLPGILDRVRSDLHASFVWLFQRVFCCNPTLMLYLMILLANFTVYSMGRNPTTAIPPSQSLLVSISDIINTDDHYPSAAQLQDETIEWNKFVEDALRMRASTTDEALMDPDVLRQFVAPVSVQIPPEEDSCQHYINTELMYERALSEDPDNALLLSNFAQFLYLVHHNYDRAEYYFERAVEIQPTDAEALNRYACFLWLVRKDLGAAEETFLEAMAADPGNLVYAANYSHFIWKTGGKETCFPILDNPDAY >DRNTG_00539.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30271468:30273156:1 gene:DRNTG_00539 transcript:DRNTG_00539.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAATSAAALQAIGTPFLSGSRTSRLLLAGAKTTTATAVSGQRRLVVTAAVPPKKSWLPGVRSGGNFVDPEWLDGSLPGDYGFDPLGLGKDPAFLKWYREAELIHGRWAMTAVVGIFVGQAWSGVPWFEAGADPRALAPFSFGTLLGTQLLLMGWVESKRWVDFFNPESQSVEWATPWSKTAENFANATGEQGYPGGKFFDPLGLAGTLNNGVYVVDVEKLDRLKVAEIKHARIAMLAMLIFYFEAGQGKTPLGALGL >DRNTG_00539.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30271468:30274635:1 gene:DRNTG_00539 transcript:DRNTG_00539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAATSAAALQAIGTPFLSGSRTSRLLLAGAKTTTATAVSGQRRLVVTAAVPPKKSWLPGVRSGGNFVDPEWLDGSLPGDYGFDPLGLGKDPAFLKWYREAELIHGRWAMTAVVGIFVGQAWSGVPWFEAGADPRALAPFSFGTLLGTQLLLMGWVESKRWVDFFNPESQSVEWATPWSKTAENFANATGEQGYPGGKFFDPLGLAGTLNNGVYVVDVEKLDRLKVAEIKHARIAMLAMLIFYFEAGQGKTPLGALGLKFLGLNWCGLIVICLGILSSFGLLCIISF >DRNTG_32659.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001887.1:8856:12579:-1 gene:DRNTG_32659 transcript:DRNTG_32659.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28190) UniProtKB/Swiss-Prot;Acc:O78310] MATALSTSIAHPPSPAHLPFRSSLLAGQPLRLSLRSPSSATASRSLTIVAASKKAVAVLKGNSDVEGVVTLVQDDDGPTTVKVRVTGLTPGLHGFHLHEFGDTTNGCISTGAHFNPNNLTHGAPEDKIRHAGDLGNIVANSDGVAEATIIPLSGPNAVIGRALVVHELEDDLGKGGHELSLTTGNAGGRLACGVVGITPLQ >DRNTG_08522.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28771051:28779561:1 gene:DRNTG_08522 transcript:DRNTG_08522.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLASAMKNDRRSRSHTRLFSYSSSSSQSSKGPLVLGFISCLAWLYVAGRLWQDAGNRMLLSSLLRENSGNRPRVLTVEDKLMNLGCKEIGRRIVEAEMELTLAKSLGFLRQNRSSSDQKFLAVIGVYTGFGSHLKRNVFRGSWMPRGDAMKKLEERGIIIRFVIGRSANRGDSLDRIIDEENRQTKDFLILESHEEAQEELPKKAKFFFSTAFDTWDAEFYVKVDDNINLDLDGLIEILESHRGGHGTYMGCMKSGAVITEEGKQWYEPDWWKFGDSKSYFRHASGSLFILSRSLAQYININSASLQAYAHDDISVGSWMMGLNSTYVDDDRLCCGSSRQEKVCSVA >DRNTG_08522.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28771051:28780276:1 gene:DRNTG_08522 transcript:DRNTG_08522.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLASAMKNDRRSRSHTRLFSYSSSSSQSSKGPLVLGFISCLAWLYVAGRLWQDAGNRMLLSSLLRENSGNRPRVLTVEDKLMNLGCKEIGRRIVEAEMELTLAKSLGFLRQNRSSSDQKFLAVIGVYTGFGSHLKRNVFRGSWMPRGDAMKKLEERGIIIRFVIGRSANRGDSLDRIIDEENRQTKDFLILESHEEAQEELPKKAKFFFSTAFDTWDAEFYVKVDDNINLDLDGLIEILESHRGGHGTYMGCMKSGAVITEEGKQWYEPDWWKFGDSKSYFRHASGSLFILSRSLAQYININSASLQAYAHDDISVGSWMMGLNSTYVDDDRLCCGSSRQEKVCSVA >DRNTG_08522.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28771051:28776284:1 gene:DRNTG_08522 transcript:DRNTG_08522.3 gene_biotype:protein_coding transcript_biotype:protein_coding METLASAMKNDRRSRSHTRLFSYSSSSSQSSKGPLVLGFISCLAWLYVAGRLWQDAGNRMLLSSLLRENSGNRPRVLTVEDKLMNLGCKEIGRRIVEAEMELTLAKSLGFLRQNRSSSDQKFLAVIGVYTGFGSHLKRNVFRGSWMPRGDAMKKLEERGIIIRFVIGRSANRGDSLDRIIDEENRQTKDFLILESHEEAQEELPKKAKFFFSTAFDTWDAEFYVKVDDNINLDLDGLIEILESHRGGHGTYMGCMKSGAVITEEGKQWYEPDWWKFGDSKSYFRHASGSLFILSRSLAQYININSASLQAYAHDDISVGSWMMGLNSTYVDDDRLCCGSSRQEKVCSVA >DRNTG_22126.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17378411:17388668:1 gene:DRNTG_22126 transcript:DRNTG_22126.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:PKR1 [Source:Projected from Arabidopsis thaliana (AT5G44800) UniProtKB/TrEMBL;Acc:A0A178UKW2] MALKDSKILDDCAVNAVENDKKAKDESLEENATGELLTSASHADCSKQESPHVPSSCDSEGVHCASLDAQPDSSVDNGIQLKTAEDPKPIDEDTAVYEFLVKWMGQSNIHNSWVSESQLKNLAKRKLENYKAKYGTALINICEEQWRIPQRVISLRVTEDGIDEALIKWYGLPYDECTWERLDEPVIEKSAHLIDEYKQFESQAMEKDLKDDICRAKDDFREVVALVDQPKELQGGSLFSHQLEALNWLRKCWHRSKNVILADEMGLGKTVSACAFISSLCVEFKAKLPCLVLVPLSTMPNWMAEFTSWTPHLNVVEYHGGAKARAMIRQYEWHACGPEGSHTKSASYKFNVLLTTYEMVLADSSHLRSVSWEALIVDEGHRLKNSSSKLFSLLNTFSFQHRVLLTGTPLQNNIGEMYNLLHFLQPVSFSSLSAFEEKFNDLSNAEKVEELKKLVAPHMLRRLKKDAMKNIPPKTERIVPVELSSIQAEYYRAMLTKNYQILRNIGKGGVHQSMLNIVMQLRKVCNHPYLIPGTEPESGSTDFLQEMRIKASGKLTLLHSMLKILNKEGHRVLIFSQMTKLLDILEDYLTIEYGSKTFERVDGSVSVADRQAAIARFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSSRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSESQKEVEDILRWGTEELFKESDDVTGKDTKDTSSTITDIVVDGEVKHRRRVGGLGDVYQDKCTDGPSKIVWDENAILKLLDRSNLQSGASESPEGDLENDMLGSVKSLEWNDDSNEDLAGTDSSPNIVGDGSEHTPEIKEDNVASVTEENEWDRLLRVRWEKYQTEEEATLGRGKRLRKAVSYKETFATIPSEALSESGNEDEEPEPEYTPAGRAHKEKYVKLRARQKERLARRRIIEIPFPTEGLDSHMQLQIPNLKETESACPRKTMNDTTPSCSHINDRETSTSHPVEDKDRMARQGKSLKHGIKRFQNTLLDLSVRPPGSFPHDVALPNLQSKNAGYPSTVPPSNNLPVLGLCAPNATQAESASRKFQSFLSLPSSSSHNEHRGTSAGMADFPIPPTSCSGPSNMNIKDAQQILPETSGEVLRSSLKHILSDSYIPFCHGPPPASKGSGHDPHDCSSSSFAAFREKMCLPSLGLDSRSPPPPPKFPLPSLNSARPSHMDLLPNLSLSTNMDHPSVPFEELPNVPLVPHFRQQLNDCLKLKQQAMELPSMLGLSQMQTTHNSLPENHKRVLDSIMMRTGSARSKFSKKRVKLEVWSEDELDALWIGVRRHGRGNWDSMLRDPKLKFSKHRTPGDLSSRWTEEQLKIFDGPTFNSSRSSRPPLLPGISDGMMTRALFGSKFASLGADPSKFRSHLTDIQLGRGDLNSSFLPIDSGNHFSTVNESFLSAPSWLPEKSNFSTDFGIGSSDRPGNLNLHADHQFDLNPFAAGKNFVSPNMNYSASCDIPKMDDQASTSKYLKLPNFLDRSLHPMAENYNTYHFGESSSGFSLDAQKKQNFGKSPIDNDAAPASSSKADKLPHWLRDAVSLPPTKPPEPELPPTVTAIAQSVRLLYGEDKPTIPPFIIPGPPLFQPKDPRKDLKKKRKLQKLRQVPPEVAGSSKNSQPDNSDIPSAGPNPRLLSLNLNLTSPSSPSFENKEKKSVSPSVVTPEASFLVTETFASKEQETTTEPTGKEVPGDLKPFSGVRIGSTNSLMGSCAETAQAGDCSKTKTDMFGDEEKKTDELSSEETVHGDGS >DRNTG_22126.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17373338:17388668:1 gene:DRNTG_22126 transcript:DRNTG_22126.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PKR1 [Source:Projected from Arabidopsis thaliana (AT5G44800) UniProtKB/TrEMBL;Acc:A0A178UKW2] MREAGPLHDRMIDRNWVLKRKRKRVAYRSELSRKEDPSLPLETPRNYPSAKRKLKGDVSVTRFSNKIKGHDGYYFECVECDLGGNLLCCDSCPRTYHLKCLNPPLKRTPPGKWQCPNCCGQKEFVDPSRNAEASSRKARTKGIFEKLNHLHRSSSDRVLATGKSSVHDRRFSKKNKATICCRVPSVEKKPDASELDVSCSTKSSNSSDGGSINGNVTPSDDKAQNQTGTSCDLDSNSKKGIHSSVKLLDDAEVSPEAILNEVQAKKSIVRLDTPTERSKKNRRKRKLSKRDKKGILTEKVKLVAQIGSTNASNESSDPEPRALPQKRKSVTQSTPISKEKQKLRKLSNKKRRERSPEKVSPISDQLRERGHDADKTVKFHDDLQDSTQQVDRILGCRIQRSSKVSAYSQLDKFSGSAQTKSGINLVKLPIESVSSGINPGNGEKDSGVKICKTVECFEESEAIKTIESSRDQSMALKDSKILDDCAVNAVENDKKAKDESLEENATGELLTSASHADCSKQESPHVPSSCDSEGVHCASLDAQPDSSVDNGIQLKTAEDPKPIDEDTAVYEFLVKWMGQSNIHNSWVSESQLKNLAKRKLENYKAKYGTALINICEEQWRIPQRVISLRVTEDGIDEALIKWYGLPYDECTWERLDEPVIEKSAHLIDEYKQFESQAMEKDLKDDICRAKDDFREVVALVDQPKELQGGSLFSHQLEALNWLRKCWHRSKNVILADEMGLGKTVSACAFISSLCVEFKAKLPCLVLVPLSTMPNWMAEFTSWTPHLNVVEYHGGAKARAMIRQYEWHACGPEGSHTKSASYKFNVLLTTYEMVLADSSHLRSVSWEALIVDEGHRLKNSSSKLFSLLNTFSFQHRVLLTGTPLQNNIGEMYNLLHFLQPVSFSSLSAFEEKFNDLSNAEKVEELKKLVAPHMLRRLKKDAMKNIPPKTERIVPVELSSIQAEYYRAMLTKNYQILRNIGKGGVHQSMLNIVMQLRKVCNHPYLIPGTEPESGSTDFLQEMRIKASGKLTLLHSMLKILNKEGHRVLIFSQMTKLLDILEDYLTIEYGSKTFERVDGSVSVADRQAAIARFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSSRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSESQKEVEDILRWGTEELFKESDDVTGKDTKDTSSTITDIVVDGEVKHRRRVGGLGDVYQDKCTDGPSKIVWDENAILKLLDRSNLQSGASESPEGDLENDMLGSVKSLEWNDDSNEDLAGTDSSPNIVGDGSEHTPEIKEDNVASVTEENEWDRLLRVRWEKYQTEEEATLGRGKRLRKAVSYKETFATIPSEALSESGNEDEEPEPEYTPAGRAHKEKYVKLRARQKERLARRRIIEIPFPTEGLDSHMQLQIPNLKETESACPRKTMNDTTPSCSHINDRETSTSHPVEDKDRMARQGKSLKHGIKRFQNTLLDLSVRPPGSFPHDVALPNLQSKNAGYPSTVPPSNNLPVLGLCAPNATQAESASRKFQSFLSLPSSSSHNEHRGTSAGMADFPIPPTSCSGPSNMNIKDAQQILPETSGEVLRSSLKHILSDSYIPFCHGPPPASKGSGHDPHDCSSSSFAAFREKMCLPSLGLDSRSPPPPPKFPLPSLNSARPSHMDLLPNLSLSTNMDHPSVPFEELPNVPLVPHFRQQLNDCLKLKQQAMELPSMLGLSQMQTTHNSLPENHKRVLDSIMMRTGSARSKFSKKRVKLEVWSEDELDALWIGVRRHGRGNWDSMLRDPKLKFSKHRTPGDLSSRWTEEQLKIFDGPTFNSSRSSRPPLLPGISDGMMTRALFGSKFASLGADPSKFRSHLTDIQLGRGDLNSSFLPIDSGNHFSTVNESFLSAPSWLPEKSNFSTDFGIGSSDRPGNLNLHADHQFDLNPFAAGKNFVSPNMNYSASCDIPKMDDQASTSKYLKLPNFLDRSLHPMAENYNTYHFGESSSGFSLDAQKKQNFGKSPIDNDAAPASSSKADKLPHWLRDAVSLPPTKPPEPELPPTVTAIAQSVRLLYGEDKPTIPPFIIPGPPLFQPKDPRKDLKKKRKLQKLRQVPPEVAGSSKNSQPDNSDIPSAGPNPRLLSLNLNLTSPSSPSFENKEKKSVSPSVVTPEASFLVTETFASKEQETTTEPTGKEVPGDLKPFSGVRIGSTNSLMGSCAETAQAGDCSKTKTDMFGDEEKKTDELSSEETVHGDGS >DRNTG_22126.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17373338:17388668:1 gene:DRNTG_22126 transcript:DRNTG_22126.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PKR1 [Source:Projected from Arabidopsis thaliana (AT5G44800) UniProtKB/TrEMBL;Acc:A0A178UKW2] MREAGPLHDRMIDRNWVLKRKRKRVAYRSELSRKEDPSLPLETPRNYPSAKRKLKGDVSVTRFSNKIKGHDGYYFECVECDLGGNLLCCDSCPRTYHLKCLNPPLKRTPPGKWQCPNCCGQKEFVDPSRNAEASSRKARTKGIFEKLNHLHRSSSDRVLATGKSSVHDRRFSKKNKATICCRVPSVEKKPDASELDVSCSTKSSNSSDGGSINGNVTPSDDKAQNQTGTSCDLDSNSKKGIHSSVKLLDDAEVSPEAILNEVQAKKSIVRLDTPTERSKKNRRKRKLSKRDKKGILTEKVKLVAQIGSTNASNESSDPEPRALPQKRKSVTQSTPISKEKQKLRKLSNKKRRERSPEKVSPISDQLRERGHDADKTVKFHDDLQDSTQQVDRILGCRIQRSSKVSAYSQLDKFSGSAQTKSGINLVKLPIESVSSGINPGNGMKDIILKVIENVHVEGCHGNENNITGVDVGEKDSGVKICKTVECFEESEAIKTIESSRDQSMALKDSKILDDCAVNAVENDKKAKDESLEENATGELLTSASHADCSKQESPHVPSSCDSEGVHCASLDAQPDSSVDNGIQLKTAEDPKPIDEDTAVYEFLVKWMGQSNIHNSWVSESQLKNLAKRKLENYKAKYGTALINICEEQWRIPQRVISLRVTEDGIDEALIKWYGLPYDECTWERLDEPVIEKSAHLIDEYKQFESQAMEKDLKDDICRAKDDFREVVALVDQPKELQGGSLFSHQLEALNWLRKCWHRSKNVILADEMGLGKTVSACAFISSLCVEFKAKLPCLVLVPLSTMPNWMAEFTSWTPHLNVVEYHGGAKARAMIRQYEWHACGPEGSHTKSASYKFNVLLTTYEMVLADSSHLRSVSWEALIVDEGHRLKNSSSKLFSLLNTFSFQHRVLLTGTPLQNNIGEMYNLLHFLQPVSFSSLSAFEEKFNDLSNAEKVEELKKLVAPHMLRRLKKDAMKNIPPKTERIVPVELSSIQAEYYRAMLTKNYQILRNIGKGGVHQSMLNIVMQLRKVCNHPYLIPGTEPESGSTDFLQEMRIKASGKLTLLHSMLKILNKEGHRVLIFSQMTKLLDILEDYLTIEYGSKTFERVDGSVSVADRQAAIARFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSSRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSESQKEVEDILRWGTEELFKESDDVTGKDTKDTSSTITDIVVDGEVKHRRRVGGLGDVYQDKCTDGPSKIVWDENAILKLLDRSNLQSGASESPEGDLENDMLGSVKSLEWNDDSNEDLAGTDSSPNIVGDGSEHTPEIKEDNVASVTEENEWDRLLRVRWEKYQTEEEATLGRGKRLRKAVSYKETFATIPSEALSESGNEDEEPEPEYTPAGRAHKEKYVKLRARQKERLARRRIIEIPFPTEGLDSHMQLQIPNLKETESACPRKTMNDTTPSCSHINDRETSTSHPVEDKDRMARQGKSLKHGIKRFQNTLLDLSVRPPGSFPHDVALPNLQSKNAGYPSTVPPSNNLPVLGLCAPNATQAESASRKFQSFLSLPSSSSHNEHRGTSAGMADFPIPPTSCSGPSNMNIKDAQQILPETSGEVLRSSLKHILSDSYIPFCHGPPPASKGSGHDPHDCSSSSFAAFREKMCLPSLGLDSRSPPPPPKFPLPSLNSARPSHMDLLPNLSLSTNMDHPSVPFEELPNVPLVPHFRQQLNDCLKLKQQAMELPSMLGLSQMQTTHNSLPENHKRVLDSIMMRTGSARSKFSKKRVKLEVWSEDELDALWIGVRRHGRGNWDSMLRDPKLKFSKHRTPGDLSSRWTEEQLKIFDGPTFNSSRSSRPPLLPGISDGMMTRALFGSKFASLGADPSKFRSHLTDIQLGRGDLNSSFLPIDSGNHFSTVNESFLSAPSWLPEKSNFSTDFGIGSSDRPGNLNLHADHQFDLNPFAAGKNFVSPNMNYSASCDIPKMDDQASTSKYLKLPNFLDRSLHPMAENYNTYHFGESSSGFSLDAQKKQNFGKSPIDNDAAPASSSKADKLPHWLRDAVSLPPTKPPEPELPPTVTAIAQSVRLLYGEDKPTIPPFIIPGPPLFQPKDPRKDLKKKRKLQKLRQVPPEVAGSSKNSQPDNSDIPSAGPNPRLLSLNLNLTSPSSPSFENKEKKSVSPSVVTPEASFLVTETFASKEQETTTEPTGKEVPGDLKPFSGVRIGSTNSLMGSCAETAQAGDCSKTKTDMFGDEEKKTDELSSEETVHGDGS >DRNTG_08361.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4122596:4126246:-1 gene:DRNTG_08361 transcript:DRNTG_08361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALSKQMKGKDHNLLNFKSNKIGQEEKRAAIGMKKRRVKR >DRNTG_08361.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4122596:4124420:-1 gene:DRNTG_08361 transcript:DRNTG_08361.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKWSRNLPKPSQWPQLSLEPSKYSCS >DRNTG_08361.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4122596:4124420:-1 gene:DRNTG_08361 transcript:DRNTG_08361.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKWSRNLPKPSQWPQLSLEPSKYSCS >DRNTG_08361.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4122596:4124420:-1 gene:DRNTG_08361 transcript:DRNTG_08361.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHALSKQMKGKDHNLLNFKSNKIGQEEKRAAIGMKKRRVKR >DRNTG_08361.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4122596:4124420:-1 gene:DRNTG_08361 transcript:DRNTG_08361.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHALSKQMKGKDHNLLNFKSNKIGQEEKRAAIGMKKRRVKR >DRNTG_16159.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24051508:24054012:-1 gene:DRNTG_16159 transcript:DRNTG_16159.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYQDAMSAAMQWENQVKDCLKQNEELRHLLDKMRSEQVNPLQSSDGNIPSYPDTEKIVGNMSTSPASAVEYQLLKGQLAKEQSRAETLSAEVMKLTAELRRSVQSYNNRARLYRPVFRNIENELMKMKQESFVSIQL >DRNTG_16159.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24051508:24054160:-1 gene:DRNTG_16159 transcript:DRNTG_16159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYQDAMSAAMQWENQVKDCLKQNEELRHLLDKMRSEQVNPLQSSDGNIPSYPDTEKIVGNMSTSPASAVEYQLLKGQLAKEQSRAETLSAEVMKLTAELRRSVQSYNNRARLYRPVFRNIENELMKMKQESFVSIQL >DRNTG_16159.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24051508:24052887:-1 gene:DRNTG_16159 transcript:DRNTG_16159.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEQVNPLQSSDGNIPSYPDTEKIVGNMSTSPASAVEYQLLKGQLAKEQSRAETLSAEVMKLTAELRRSVQSYNNRARLYRPVFRNIENELMKMKQESFVSIQL >DRNTG_11149.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000488.1:6619:6964:1 gene:DRNTG_11149 transcript:DRNTG_11149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSCITSCCSPHFSSFELFDFSSAGCVIRFKKAIEAYASRRASRRGGDGLPRGIRLHGRWRSLRLNRVRRSASRLVASRLKRRTPLKK >DRNTG_05135.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30630272:30630742:1 gene:DRNTG_05135 transcript:DRNTG_05135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRMKKSFNKYTLSTSGVTMNPLKSPQCVPFTRNQKDSSVLTS >DRNTG_30102.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31154094:31157847:1 gene:DRNTG_30102 transcript:DRNTG_30102.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHVEKLAEEIKKGALSVEGVEAKMWQVPETLPEEVLNKMGAPPKSDVPIISPNELAEADGIVFGFPTRFGMMAAQFKAFLDATGGLWRAQQLAGKPAGIFYSTGSQGGGQETTPLTAITQLAHHGMLFVPIGYTFGAGMFEMENVKGGSPYGAGTFAGDGSRFPTELELEQAFHQGKYFATIARKLKESF >DRNTG_30102.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31154018:31157847:1 gene:DRNTG_30102 transcript:DRNTG_30102.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTKIYIVYYSMYGHVEKLAEEIKKGALSVEGVEAKMWQVPETLPEEVLNKMGAPPKSDVPIISPNELAEADGIVFGFPTRFGMMAAQFKAFLDATGGLWRAQQLAGKPAGIFYSTGSQGGGQETTPLTAITQLAHHGMLFVPIGYTFGAGMFEMENVKGGSPYGAGTFAGDGSRFPTELELEQAFHQGKYFATIARKLKESF >DRNTG_30102.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31154094:31157847:1 gene:DRNTG_30102 transcript:DRNTG_30102.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMTKIYIVYYSMYGHVEKLAEEIKKGALSVEGVEAKMWQVPETLPEEVLNKMGAPPKSDVPIISPNELAEADGIVFGFPTRFGMMAAQFKAFLDATGGLWRAQQLAGKPAGIFYSTGSQGGGQETTPLTAITQLAHHGMLFVPIGYTFGAGMFEMENVKGGSPYGAGTFAGDGSRFPTELELEQAFHQGKYFATIARKLKESF >DRNTG_30102.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31154094:31157938:1 gene:DRNTG_30102 transcript:DRNTG_30102.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTKIYIVYYSMYGHVEKLAEEIKKGALSVEGVEAKMWQVPETLPEEVLNKMGAPPKSDVPIISPNELAEADGIVFGFPTRFGMMAAQFKAFLDATGGLWRAQQLAGKPAGIFYSTGSQGGGQETTPLTAITQLAHHGMLFVPIGYTFGAGMFEMENVKGGSPYGAGTFAGDGSRFPTELELEQAFHQGKYFATIARKLKESF >DRNTG_32296.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001817.1:68453:70593:1 gene:DRNTG_32296 transcript:DRNTG_32296.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVKHLGIYDNELIYTVPFGLLKCTDTFKPLLLVLLEAERIVQAWLHSQTCTSFDPYEGLLDQEVEEEEIMILGLEEKVPSTKRILKKEFSAELADFSKLTREGMAPRTKKATGKRPREHSLDPEHIEFGIPEHQARYGPGVNVLIVTDQEIARGEADADKGSHQASMPQPKQIETDALL >DRNTG_25703.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30413475:30415848:1 gene:DRNTG_25703 transcript:DRNTG_25703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASENELERHGMLTLCLPSLSEQDPLFHKKKRLADVKGLSFEFQIKVPSPPEELQQILNQMIWAARVLHLNEIELYFAEDDNSGPFSPRNELESLGTVLQIVKSSILNAKAERLWVLKFLLNQTEDIFNAVGAGNIVEMISNKFDSSAEDSLLKWGWTHGVETKLRIA >DRNTG_25703.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30414849:30415848:1 gene:DRNTG_25703 transcript:DRNTG_25703.3 gene_biotype:protein_coding transcript_biotype:protein_coding AGRGAVTIEDLSIGDTALEIPESLIISEDVLHESDMNEVFKELDGISADTMMLLWSMRERHNSESRFRFYFETLPEEF >DRNTG_25703.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30414620:30415848:1 gene:DRNTG_25703 transcript:DRNTG_25703.2 gene_biotype:protein_coding transcript_biotype:protein_coding AGRGAVTIEDLSIGDTALEIPESLIISEDVLHESDMNEVFKELDGISADTMMLLWSMRERHNSESRFRFYFETLPEEF >DRNTG_15994.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5197702:5202891:-1 gene:DRNTG_15994 transcript:DRNTG_15994.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTTGRTTRVIGWYHSHPHITVLPSHVDVRTQAMYQLLEAGFIGLIFSCFSEDAQKVGRIQVIAFQSLNGKEMHVTPFSTSSIIEVDSSRSSTVNTPRSGSSFIQFFEQDTADSRETKAIKVGGGSSALEDSYSHSDVNYSGKQRDRGNSLVAYGGDSTFDTLADTDSMDMTPSMQEALHRSNLEVSGAEYVRKEVPLKVVPATSLLKLEHPLNSFTDLQEVLFKEEQAAYKEAVSHNIQDGKIHPLTFIHHNSTYQATLCKLMEYCLSPAISALQDTLKENEIRLSILMKEAKSLETEGHGRENESSFGSPRRASRRRAF >DRNTG_15994.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5197702:5202891:-1 gene:DRNTG_15994 transcript:DRNTG_15994.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGVKMSEEVWLTCLTHALSTETEEIMGLLLGDIKYSNGGNATALIWGASPQMRSDRRKDRVETNPELLAAASAQAEKMTLTTGRTTRVIGWYHSHPHITVLPSHVDVRTQAMYQLLEAGFIGLIFSCFSEDAQKVGRIQVIAFQSLNGKEMHVTPFSTSSIIEDTADSRETKAIKVGGGSSALEDSYSHSDVNYSGKQRDRGNSLVAYGGDSTFDTLADTDSMDMTPSMQEALHRSNLEVSGAEYVRKEVPLKVVPATSLLKLEHPLNSFTDLQEVLFKEEQAAYKEAVSHNIQDGKIHPLTFIHHNSTYQATLCKLMEYCLSPAISALQDTLKENEIRLSILMKEAKSLETEGHGRENESSFGSPRRASRRRAF >DRNTG_15994.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5197702:5202891:-1 gene:DRNTG_15994 transcript:DRNTG_15994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTTGRTTRVIGWYHSHPHITVLPSHVDVRTQAMYQLLEAGFIGLIFSCFSEDAQKVGRIQVIAFQSLNGKEMHVTPFSTSSIIEDTADSRETKAIKVGGGSSALEDSYSHSDVNYSGKQRDRGNSLVAYGGDSTFDTLADTDSMDMTPSMQEALHRSNLEVSGAEYVRKEVPLKVVPATSLLKLEHPLNSFTDLQEVLFKEEQAAYKEAVSHNIQDGKIHPLTFIHHNSTYQATLCKLMEYCLSPAISALQDTLKENEIRLSILMKEAKSLETEGHGRENESSFGSPRRASRRRAF >DRNTG_15994.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5197702:5202891:-1 gene:DRNTG_15994 transcript:DRNTG_15994.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGVKMSEEVWLTCLTHALSTETEEIMGLLLGDIKYSNGGNATALIWGASPQMRSDRRKDRVETNPELLAAASAQAEKMTLTTGRTTRVIGWYHSHPHITVLPSHVDVRTQAMYQLLEAGFIGLIFSCFSEDAQKVGRIQVIAFQSLNGKEMHVTPFSTSSIIEVDSSRSSTVNTPRSGSSFIQFFEQDTADSRETKAIKVGGGSSALEDSYSHSDVNYSGKQRDRGNSLVAYGGDSTFDTLADTDSMDMTPSMQEALHRSNLEVSGAEYVRKEVPLKVVPATSLLKLEHPLNSFTDLQEVLFKEEQAAYKEAVSHNIQDGKIHPLTFIHHNSTYQATLCKLMEYCLSPAISALQDTLKENEIRLSILMKEAKSLETEGHGRENESSFGSPRRASRRRAF >DRNTG_18255.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4244711:4245219:-1 gene:DRNTG_18255 transcript:DRNTG_18255.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRPPSSSIDPELALSFNFSDTVFSYNERDVALYALGVGACGGDALDEKELKYVYHQDGQPFIQ >DRNTG_18255.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4240894:4245219:-1 gene:DRNTG_18255 transcript:DRNTG_18255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRPPSSSIDPELALSFNFSDTVFSYNERDVALYALGVGACGGDALDEKELKYVYHQDGQPFIQVLPTFGATFIYRNKQSLEVVP >DRNTG_00418.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21991314:21995552:1 gene:DRNTG_00418 transcript:DRNTG_00418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKSTSKRWEEMETDVLVKIFKELNMIELSPVSQVCHSWRLACSDIWLWHTFDLGLLKSNFIQTRASPYIWVDDRSDRRLTRVLKTAMALSRGNITCLIFHFDLYMKDDHLSYISERCPHLKRLVLPAWNRITKNGICQAIQRWQELESLTMPWIAHPPYIMEEISRNCKNFTQLKVMGSFDMNFALAIAANIPKLKVLSVRCSMLMKEALLYILDVMEDLEVLNISHCFLLEGRSTTFPGSRMVKELEESILCKTSRLREFLYCQSSSCITCQRMILDEGLMRWWKYEDWFWRLDEVNCLALEGYGKLFDQSSVNIALRD >DRNTG_15222.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23318749:23319787:1 gene:DRNTG_15222 transcript:DRNTG_15222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVRSCPPTRTLPSRSPYPTPTRWSQSSPDPPISPQVT >DRNTG_16426.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7532088:7536643:1 gene:DRNTG_16426 transcript:DRNTG_16426.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDSLNSGYRGLLLPNSSSSVEEQECNSSDVAYSESNPASLPTLLVNSHHFQLLGRETPASSSSSRDLVRKPKSPRASTFSPLSVSRVNDTVCNRCGCWLTWNNGYMEGDRCGGFIVHMANFVHVDMPLMLNDVVDTVTIAAPALQHQQQQTLTLAFSIHLHSQERSSGARGDEQQQQTLTLRPLISSFMLAMLTTMAFVYPALKTISEKKVALEFVRNNGLDVVVINPRTVMGPIIIPSGINASMAMFRRLLQV >DRNTG_34099.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26374933:26380709:-1 gene:DRNTG_34099 transcript:DRNTG_34099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYQPLSHGCPPGLSVSQSERVTGKLPLKGDLLVSRKVGILNVIEAMDLAPEIVYPLYLAGSADSHGLVIKRSEELLKKKAAGANIDDSNLIKRLFFLFNGNTGVENIPEDSRVSPVNVALRVRIMSVFCRSIVAANSFPSTLQCIFGCIYGSGTTSRLKQLGMEFTVWVFKHSVMDQLRLMGPVVLSGILRSLDGSSVTEADATAGDVKSFAFQAIGLLASRLPNLFREKIDMAVRLFNALKLEDQSIRLTIQESVTSLAIAYKVALLF >DRNTG_34099.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26374933:26380353:-1 gene:DRNTG_34099 transcript:DRNTG_34099.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAPEIVYPLYLAGSADSHGLVIKRSEELLKKKAAGANIDDSNLIKRLFFLFNGNTGVENIPEDSRVSPVNVALRVRIMSVFCRSIVAANSFPSTLQCIFGCIYGSGTTSRLKQLGMEFTVWVFKHSVMDQLRLMGPVVLSGILRSLDGSSVTEADATAGDVKSFAFQAIGLLASRLPNLFREKIDMAVRLFNALKLEDQSIRLTIQESVTSLAIAYKVALLF >DRNTG_34099.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26374933:26380516:-1 gene:DRNTG_34099 transcript:DRNTG_34099.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAPEIVYPLYLAGSADSHGLVIKRSEELLKKKAAGANIDDSNLIKRLFFLFNGNTGVENIPEDSRVSPVNVALRVRIMSVFCRSIVAANSFPSTLQCIFGCIYGSGTTSRLKQLGMEFTVWVFKHSVMDQLRLMGPVVLSGILRSLDGSSVTEADATAGDVKSFAFQAIGLLASRLPNLFREKIDMAVRLFNALKLEDQSIRLTIQESVTSLAIAYKVALLF >DRNTG_34099.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26374933:26379188:-1 gene:DRNTG_34099 transcript:DRNTG_34099.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFCRSIVAANSFPSTLQCIFGCIYGSGTTSRLKQLGMEFTVWVFKHSVMDQLRLMGPVVLSGILRSLDGSSVTEADATAGDVKSFAFQAIGLLASRLPNLFREKIDMAVRLFNALKLEDQSIRLTIQESVTSLAIAYKVALLF >DRNTG_09080.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5960640:5965596:-1 gene:DRNTG_09080 transcript:DRNTG_09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYKQSNLNGAYYGPAIPPSRTHRSIGRGGCDCCCFPCCLLSTLLKLIFSIIIILGIIVLILWLVLRPNEIKAYVETATLSNFSLSSNTTNSNFLTSNLTMDLSIRNPNKRISFYYDYIETQAWYDDTRIGFKTLDPFYQGKKNTTVLHPEFSSRTAVLSDSVVTTYNREKGEGFFYVDVKNTTVLHPEFSSRTAVLGDSVVTTYNREKGEGFFYVNVKVYNRMRLKVWIFKISGFKPEFDCSLKLPAPTSSGSAVSTFERTECDVHYF >DRNTG_12500.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2515799:2524806:-1 gene:DRNTG_12500 transcript:DRNTG_12500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFAGVSVSDISASASGQQPFVAAACFDPGQLRLRLPDSVEIRFDLKTAQVLRLSAVTLLFVTGESKSGNEDKNSFSRALKFEFGTENESRDFCSAVEKCRHGKINGTSEGSLENGTVIVHKSKFDDKIESTSAKMYFHYYGQLLHQQNMLQDYVRTGTYYAAVVENRIDFHGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARKLIDGNPSLRERITVVKGKVEEIDLPEKADILISEPMGTLLVNERMLESYVIARDRFLVSNGKMFPSIGRIHMAPFSDEYLYVEIANKALFWQQQNYYGVNLTSLYGSAFQGYFSQPVVDAFDPRLLVSPPTFHTLNFTNMKEEELYEIDIPLNYTATVGTRVHGLACWFDVLFDGSSVQRWLSTAPGSPTTHWYQLRCVLSQPLYVMAGQEITGRLLLVAHSAQSYTINLTLSVKMWGPGAEQGGILQTSTGKLDLKEPYYRLSQPQPYAWAQDQQQPQQQISSLDLSPQIQDAVDPALMQQSSPNSTAL >DRNTG_12500.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2515799:2524806:-1 gene:DRNTG_12500 transcript:DRNTG_12500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFAGVSVSDISASASGQQPFVAAACFDPGQLRLRLPDSVEIRFDLKTAQVLRLSAVTLLFVTGESKSGNEDKNSFSRALKFEFGTENESRDFCSAVEKCRHGKINGTSEGSLENGTVIVHKSKFDDKIESTSAKMYFHYYGQLLHQQNMLQDYVRTGTYYAAVVENRIDFHGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARKLIDGNPSLRERITVVKGKVEEIDLPEKADILISEPMGTLLVNERMLESYVIARDRFLVSNGKMFPSIGRIHMAPFSDEYLYVEIANKALFWQQQNYYGVNLTSLYGSAFQGYFSQVYLAIYP >DRNTG_12500.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2515799:2524806:-1 gene:DRNTG_12500 transcript:DRNTG_12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFAGVSVSDISASASGQQPFVAAACFDPGQLRLRLPDSVEIRFDLKTAQVLRLSAVTLLFVTGESKSGNEDKNSFSRALKFEFGTENESRDFCSAVEKCRHGSLENGTVIVHKSKFDDKIESTSAKMYFHYYGQLLHQQNMLQDYVRTGTYYAAVVENRIDFHGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARKLIDGNPSLRERITVVKGKVEEIDLPEKADILISEPMGTLLVNERMLESYVIARDRFLVSNGKMFPSIGRIHMAPFSDEYLYVEIANKALFWQQQNYYGVNLTSLYGSAFQGYFSQPVVDAFDPRLLVSPPTFHTLNFTNMKEEELYEIDIPLNYTATVGTRVHGLACWFDVLFDGSSVQRWLSTAPGSPTTHWYQLRCVLSQPLYVMAGQEITGRLLLVAHSAQSYTINLTLSVKMWGPGAEQGGILQTSTGKLDLKEPYYRLSQPQPYAWAQDQQQPQQQISSLDLSPQIQDAVDPALMQQSSPNSTAL >DRNTG_04176.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:535780:539086:1 gene:DRNTG_04176 transcript:DRNTG_04176.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSLASSSLLNWASKSPLSFSSSTHKNIKCGEWRCFGGKRLEVQQINCIHVGNSGRLHWSSNVKLCSRRRWVPFAAIGDKASCNESVSVDTDLEKLHVVAREEEEQEDSDDDDEEEEEDKEEDSFSSDTSNMYVSGQRTEGKPGFITFHGFPYQRPSTEVLVSVPSKETSRIFWFIGPTVLVAFLVLPSLYLRSIFSTVFEDSLLTDFLILFFTEALFYGGVAIFLMLIDRVWRPLHQVQAEPKTYVWSKLKLGFRISSVATFVLSLIIPLVTMGMVWPWTGPAASATLAPYLVGLVVQFAFEQYARFRRSPAWSVIPVIFQVYRLHQLNRAAQLVTALSFSVRGAEMTPQILAINSSLGTLLSVLQILGVICIWSLSSFLMRFLPASRVIPDS >DRNTG_04176.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:535780:539086:1 gene:DRNTG_04176 transcript:DRNTG_04176.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSLASSSLLNWASKSPLSFSSSTHKNIKCGEWRCFGGKRLEVQQINCIHGNSGRLHWSSNVKLCSRRRWVPFAAIGDKASCNESVSVDTDLEKLHVVAREEEEQEDSDDDDEEEEEDKEEDSFSSDTSNMYVSGQRTEGKPGFITFHGFPYQRPSTEVLVSVPSKETSRIFWFIGPTVLVAFLVLPSLYLRSIFSTVFEDSLLTDFLILFFTEALFYGGVAIFLMLIDRVWRPLHQVQAEPKTYVWSKLKLGFRISSVATFVLSLIIPLVTMGMVWPWTGPAASATLAPYLVGLVVQFAFEQYARFRRSPAWSVIPVIFQVYRLHQLNRAAQLVTALSFSVRGAEMTPQILAINSSLGTLLSVLQILGVICIWSLSSFLMRFLPASRVIPDS >DRNTG_04176.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:535780:539086:1 gene:DRNTG_04176 transcript:DRNTG_04176.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSLASSSLLNWASKSPLSFSSSTHKNIKCGEWRCFGGKRLEVQQINCIHVGNSGRLHWSSNVKLCSRRRWVPFAAIGDKASCNESVSVDTDLEKLHVVAREEEEQEDSDDDDEEEEEDKEEDSFSSDTSNMYVSGQRTEGKPGFITFHGFPYQRPSTEVLVSVPSKETSRIFWFIGPTVLVAFLVLPSLYLRSIFSTVFEDSLLTGVISSFSFCFLLLEVSTSKVFTEKLIDLFEII >DRNTG_05613.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20413284:20413650:-1 gene:DRNTG_05613 transcript:DRNTG_05613.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTNHNEWLPLLSIYTLGFSSDGLWPVNTDSFPYSEALLISAKGLQMEYSKLLSLVTSIDLSNNKLSCELPDELTKLHGLHFLKLSYNLFNGRIPENIGDMKQLESLDLSE >DRNTG_05613.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20413188:20413731:-1 gene:DRNTG_05613 transcript:DRNTG_05613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTNHNEWLPLLSIYTLGFSSDGLWPVNTDSFPYSEALLISAKGLQMEYSKLLSLVTSIDLSNNKLSCELPDELTKLHGLHFLKLSYNLFNGRIPENIGDMKQLESLDLSERTNYLVPFLQAYLL >DRNTG_05613.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20413284:20413731:-1 gene:DRNTG_05613 transcript:DRNTG_05613.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTNHNEWLPLLSIYTLGFSSDGLWPVNTDSFPYSEALLISAKGLQMEYSKLLSLVTSIDLSNNKLSCELPDELTKLHGLHFLKLSYNLFNGRIPENIGDMKQLESLDLSE >DRNTG_05613.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20413188:20413650:-1 gene:DRNTG_05613 transcript:DRNTG_05613.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTNHNEWLPLLSIYTLGFSSDGLWPVNTDSFPYSEALLISAKGLQMEYSKLLSLVTSIDLSNNKLSCELPDELTKLHGLHFLKLSYNLFNGRIPENIGDMKQLESLDLSERTNYLVPFLQAYLL >DRNTG_30437.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3183812:3186415:1 gene:DRNTG_30437 transcript:DRNTG_30437.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Novel plant SNARE 11 [Source:Projected from Arabidopsis thaliana (AT2G35190) UniProtKB/Swiss-Prot;Acc:Q944A9] MDLFGVSEELAEIDGQITDVLRALSNGFQKLEKIKDPSRQSRQLEELTGKMRECKRLIKEFERAMKEEERRNSADANRMLNDKKQSMIKELNSYVALKKELANKLENKRVDLFDDPANGNGFANEENVLLASNMSNQQLMDNGNRMMDETDQAIERSKK >DRNTG_30437.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3183812:3188041:1 gene:DRNTG_30437 transcript:DRNTG_30437.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Novel plant SNARE 11 [Source:Projected from Arabidopsis thaliana (AT2G35190) UniProtKB/Swiss-Prot;Acc:Q944A9] MDLFGVSEELAEIDGQITDVLRALSNGFQKLEKIKDPSRQSRQLEELTGKMRECKRLIKEFERAMKEEERRNSADANRMLNDKKQSMIKELNSYVALKKELANKLENKRVDLFDDPANGNGFANEENVLLASNMSNQQLMDNGNRMMDETDQAIERSKKVVQDTMNVGTETAAALKAQTEQMSRIVNELDSIHFSIKKASKLVKEIGRQVATDRCIMALLFLIVIGVIAIIIVKIVNPNNKDIRDIPGLAPPASRKLLWDLNGR >DRNTG_08582.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9829886:9832603:-1 gene:DRNTG_08582 transcript:DRNTG_08582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRHPPDPRLDLLLETRWRTQNQELPSPSCRRYRESQRKGLSGREDGEESLRKKMVSKAKPPRKKYRPLQAFSHQPRQDRGLHAI >DRNTG_08582.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9829886:9832603:-1 gene:DRNTG_08582 transcript:DRNTG_08582.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRHPPDPRLDLLLETRWRTQNQELPSPSCRRYRESQRKGLSGREDGEESLRKKMVSKAKPPRKKYRPLQAFSHQPRQDRGLHAI >DRNTG_17140.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16877424:16878051:1 gene:DRNTG_17140 transcript:DRNTG_17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGSKSEQKAKKQLVENEMSKLSAQLKAKHAKELPSLGYKNNEGKEMSDFDNLVMAIASISSDSSSKPSRINK >DRNTG_35441.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002248.1:24600:25811:1 gene:DRNTG_35441 transcript:DRNTG_35441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFSSDSGSPSAARRANSDEETYLTVSSAPPKRRAGRTKFRETRHPIFKGVRRRNSDRWVCEVREPNKKSRIWLGTFPTAEMAARAHDVAAIALRGRSATLNFADSAWRLPVPDSTSPRDIQKAAAEAAEAFRPSCSDEAALRQDNVIDDCSYYVDNELELGMQGYLDMAQGMLIDPPPPPTVPFSDAVDSDGDADVPLWSYSF >DRNTG_29225.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3250581:3257512:-1 gene:DRNTG_29225 transcript:DRNTG_29225.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIHVLLRIANGWRPKKEHLDVTDSFKLSRVYQFKDLYLVWSVDIAKREKYIQILKVWNLLPKFEIPKFIKRLDNIFSTYTDEFIEHCRAKQVEGKLEVPRSWNVVQYMTRYKKLCKVESLEGSSSEEPDTGNFLENSKVNESLLLMKFYSLSAGVVEHLLTSDDGTEIEIPFELNEEEEEIIRFCYSSFILGRSGTGKTTILTMKLFQKEQQQHVSSNGLFSINNNASSIASNDLEENSGNFLRQIFVTVSPKLCSAVRNQIFRLKRYATGGDFSSPIDTTDMHDIGENITEFLDIPDSFHDLPQKHYPLIVTFRKLLMMLDGTVMNTYFDRLFATKEFPSVDGGVHKSLAVEAVIQSKEVNFEHFLGSYWPHFSNQLTKKLDPSTVFAEIFSHIKGGFEGGCSLDAKLEREDYIILSEKRASTLNAEQRGRIYDIFLDYETKKQMNGEFDLSDLVMDLHHRIQTYGYHGDKIDFIYVDEVQDLTMRQIALFKCISSNYAEGFVFAGDTAQTIAKGINFRFEDIRSLFYKEFRHEPINDYQKNTKGRVNRISDKFQLNQNFRTHDGVLKLAQSVIDLLYKYFPLSIDKLSPETSLVYGEAPMVLHSGSDENAIMTIFGDGGKIGHGVNGFGAEQTILVRDEGAKQQILKHVGKQALVLTIVECKGLEFQDVLLYNFFGTSPLKNQWRVIYGYMKEHCRTKSTELISFPSFDDAKHNILCSELKQLYVAITRTRQRLWICESTEEYCQPVFDYWKSLCLVQERRLDCSFAEAMRSTSSPEEWKARGIKLFNEKNFEMATMCFERAGDEYREKWAKAAGLCATADRVISVNFEMGQMALKSASEIYEAIGKAELSASCFIKLNDFKTAGTIYLEKCGTSKLESAGDCFVKAECWLLAAETYAKANCLSKCLSSCTSGGHFDFGLTILEEWWSSSRFDDRSHQEIDKIRIAYLEHCARHYYEAGNINRMMTFVRAFHSMDQIRPFLNSLNLLDELFTLEVESKNYLEAAGIARDKGDFILEAEMLEKVGDLEKSCKMIISFAIVKSLWSSGMKGWPFKDFSERDELLIKAKSLAKKVSCSFYDLTCLEVEILSKRAASLQELSEFLQASSKLQNVRLEIFSIRMILDLHLQLDTSKFLPESKMIFSEEHIDNMMSQNNMCAHTLLHYWNLWKEKMSNVLSYVLSLDKPEENELSVYEDYCLTYLGVWKEKVDKYVMMDSKTSWITNKKVPVLRNKYLAWMSAKRFRASAEDILSEELLFVGLEVLDKLKALHVFSLKKQLPMFNRWMVCLSLYETAKHLKQVEFLLEQRRIEKTGQSISFCKEALFGDIFPYECRNDVSECVIDLHENATTREIIELMVDDNLKPQNGRLTHGQIGRVAMLILVIGRVPDELFKKIDDNLSSMQPWKEFFLVAQKYFSDSCSGKINFVFEFQNALRSTFEVEWKKEPDYISPKCFIYLLENLLLMCSCCNGSNSTFFTTKSLLCEFISWQSFGGLLAACSTVQDALFNNYGTLGFIADVTKGLLEQKTTLKQWMTMSSIPLMHIKSLVLRLVNILILVYLNAGWDMSQLANVLRKCNIISELPGAFQQKLQSTGSAHRHDRYYFRQAFLDAMEMAGNPLVVMCSENSCQEFSRWKAIIIESEKISSREDVLAALFPIVEETSPIQDGERPSDELCLVSNDIGEDGNSSLTCPLDEAQIAKDEHAIEDSWEKYNSFWDMLELHKCGTIGGSHGSNAGELKFDEVFRVLEYVKGSMSLHLSEGLSDAYDILEVMVHNLKLLNKALNHPSEKDMDAKNMTEELEDIYAKLQDTKLKLQPLMKTFFSVSKINHSAEQAAVVAVQEVKAVVTENQKGKGKQSKKPRKKKRANKN >DRNTG_29225.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3250581:3261451:-1 gene:DRNTG_29225 transcript:DRNTG_29225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVPEDQVDLIDLVFSWSLEDILNHDLLRDEVKEIPETFESVKHYAESFIFPLMEEVRFDLCSALERIDRAPHSNILSFKETSSNGQKPQNIYEIYIHGWNNASASGSKEDYKLLPGDILIISNIRPEFVDDLNRFGVHYIFVSVKGIEDDVESAKRFKVRAPKAIEFDDGALYAVVLSNMLPNSRIWKSLKSLGSAYSMSGNLDVVKHVLSADAVSREGCNLCISEHAPEAFCNASSKLNDSQLDAIVSSIKEMQCSHKHSVKLIWGPPGTGKTKTITELLWILLKMKRRVVTCSPTNTSIVEVASRFLKLVKNRRQAGRLGDIVLFGNNDRLKIDDDLREIFVDYRVDQLAKCFAPLSGWHNCISSMIEFLQKCVILYETYIENEKRKEKVDDMVIIPLVEFMRKRFDILASDLETCIENLSIHMPPAIVSTRTTETMMELLDMLNCFRMLVFESSLAENEIREVFTELEEVEITTDLSKNNPGTVLMEGNMLFQLRNTRGHCLRLLDYLKVTVNVQKIWQRTLIRDICLENAAIVFCTSSSSFLLHFLKTPPLDLLVIDEASQLKECEATIPLRLLGVKHAVLIGDERQLPATVKSEVAERAGFGRSLFERLSSLGHPKHLLEIQYRMHPSISSFPNHQFYFGKILDGPNVKTTAYERRFLSEEMFGPYSFINISDGKEEEDDSGSKRNLVEVGVIVQIVQILLKVQNSSKDKFSIGIVSPYAYQVAEITKGIGSVNVPKDNIVLKVNSVDGFQGGEEDVIILSTVRSNTTGKIGFLSNHQRANVALTRARHCLWIVGNAATLEKSDSVWTALIRNAKKRGCYFDAVQNQNLSRAILKIQKEQDQIDALLAADPGMLIQSAKWKVLFSDEFRKAFSKLKTTQIKMEMIHVLLRIANGWRPKKEHLDVTDSFKLSRVYQFKDLYLVWSVDIAKREKYIQILKVWNLLPKFEIPKFIKRLDNIFSTYTDEFIEHCRAKQVEGKLEVPRSWNVVQYMTRYKKLCKVESLEGSSSEEPDTGNFLENSKVNESLLLMKFYSLSAGVVEHLLTSDDGTEIEIPFELNEEEEEIIRFCYSSFILGRSGTGKTTILTMKLFQKEQQQHVSSNGLFSINNNASSIASNDLEENSGNFLRQIFVTVSPKLCSAVRNQIFRLKRYATGGDFSSPIDTTDMHDIGENITEFLDIPDSFHDLPQKHYPLIVTFRKLLMMLDGTVMNTYFDRLFATKEFPSVDGGVHKSLAVEAVIQSKEVNFEHFLGSYWPHFSNQLTKKLDPSTVFAEIFSHIKGGFEGGCSLDAKLEREDYIILSEKRASTLNAEQRGRIYDIFLDYETKKQMNGEFDLSDLVMDLHHRIQTYGYHGDKIDFIYVDEVQDLTMRQIALFKCISSNYAEGFVFAGDTAQTIAKGINFRFEDIRSLFYKEFRHEPINDYQKNTKGRVNRISDKFQLNQNFRTHDGVLKLAQSVIDLLYKYFPLSIDKLSPETSLVYGEAPMVLHSGSDENAIMTIFGDGGKIGHGVNGFGAEQTILVRDEGAKQQILKHVGKQALVLTIVECKGLEFQDVLLYNFFGTSPLKNQWRVIYGYMKEHCRTKSTELISFPSFDDAKHNILCSELKQLYVAITRTRQRLWICESTEEYCQPVFDYWKSLCLVQERRLDCSFAEAMRSTSSPEEWKARGIKLFNEKNFEMATMCFERAGDEYREKWAKAAGLCATADRVISVNFEMGQMALKSASEIYEAIGKAELSASCFIKLNDFKTAGTIYLEKCGTSKLESAGDCFVKAECWLLAAETYAKANCLSKCLSSCTSGGHFDFGLTILEEWWSSSRFDDRSHQEIDKIRIAYLEHCARHYYEAGNINRMMTFVRAFHSMDQIRPFLNSLNLLDELFTLEVESKNYLEAAGIARDKGDFILEAEMLEKVGDLEKSCKMIISFAIVKSLWSSGMKGWPFKDFSERDELLIKAKSLAKKVSCSFYDLTCLEVEILSKRAASLQELSEFLQASSKLQNVRLEIFSIRMILDLHLQLDTSKFLPESKMIFSEEHIDNMMSQNNMCAHTLLHYWNLWKEKMSNVLSYVLSLDKPEENELSVYEDYCLTYLGVWKEKVDKYVMMDSKTSWITNKKVPVLRNKYLAWMSAKRFRASAEDILSEELLFVGLEVLDKLKALHVFSLKKQLPMFNRWMVCLSLYETAKHLKQVEFLLEQRRIEKTGQSISFCKEALFGDIFPYECRNDVSECVIDLHENATTREIIELMVDDNLKPQNGRLTHGQIGRVAMLILVIGRVPDELFKKIDDNLSSMQPWKEFFLVAQKYFSDSCSGKINFVFEFQNALRSTFEVEWKKEPDYISPKCFIYLLENLLLMCSCCNGSNSTFFTTKSLLCEFISWQSFGGLLAACSTVQDALFNNYGTLGFIADVTKGLLEQKTTLKQWMTMSSIPLMHIKSLVLRLVNILILVYLNAGWDMSQLANVLRKCNIISELPGAFQQKLQSTGSAHRHDRYYFRQAFLDAMEMAGNPLVVMCSENSCQEFSRWKAIIIESEKISSREDVLAALFPIVEETSPIQDGERPSDELCLVSNDIGEDGNSSLTCPLDEAQIAKDEHAIEDSWEKYNSFWDMLELHKCGTIGGSHGSNAGELKFDEVFRVLEYVKGSMSLHLSEGLSDAYDILEVMVHNLKLLNKALNHPSEKDMDAKNMTEELEDIYAKLQDTKLKLQPLMKTFFSVSKINHSAEQAAVVAVQEVKAVVTENQKGKGKQSKKPRKKKRANKN >DRNTG_29225.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3250581:3258285:-1 gene:DRNTG_29225 transcript:DRNTG_29225.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRYKKLCKVESLEGSSSEEPDTGNFLENSKVNESLLLMKFYSLSAGVVEHLLTSDDGTEIEIPFELNEEEEEIIRFCYSSFILGRSGTGKTTILTMKLFQKEQQQHVSSNGLFSINNNASSIASNDLEENSGNFLRQIFVTVSPKLCSAVRNQIFRLKRYATGGDFSSPIDTTDMHDIGENITEFLDIPDSFHDLPQKHYPLIVTFRKLLMMLDGTVMNTYFDRLFATKEFPSVDGGVHKSLAVEAVIQSKEVNFEHFLGSYWPHFSNQLTKKLDPSTVFAEIFSHIKGGFEGGCSLDAKLEREDYIILSEKRASTLNAEQRGRIYDIFLDYETKKQMNGEFDLSDLVMDLHHRIQTYGYHGDKIDFIYVDEVQDLTMRQIALFKCISSNYAEGFVFAGDTAQTIAKGINFRFEDIRSLFYKEFRHEPINDYQKNTKGRVNRISDKFQLNQNFRTHDGVLKLAQSVIDLLYKYFPLSIDKLSPETSLVYGEAPMVLHSGSDENAIMTIFGDGGKIGHGVNGFGAEQTILVRDEGAKQQILKHVGKQALVLTIVECKGLEFQDVLLYNFFGTSPLKNQWRVIYGYMKEHCRTKSTELISFPSFDDAKHNILCSELKQLYVAITRTRQRLWICESTEEYCQPVFDYWKSLCLVQERRLDCSFAEAMRSTSSPEEWKARGIKLFNEKNFEMATMCFERAGDEYREKWAKAAGLCATADRVISVNFEMGQMALKSASEIYEAIGKAELSASCFIKLNDFKTAGTIYLEKCGTSKLESAGDCFVKAECWLLAAETYAKANCLSKCLSSCTSGGHFDFGLTILEEWWSSSRFDDRSHQEIDKIRIAYLEHCARHYYEAGNINRMMTFVRAFHSMDQIRPFLNSLNLLDELFTLEVESKNYLEAAGIARDKGDFILEAEMLEKVGDLEKSCKMIISFAIVKSLWSSGMKGWPFKDFSERDELLIKAKSLAKKVSCSFYDLTCLEVEILSKRAASLQELSEFLQASSKLQNVRLEIFSIRMILDLHLQLDTSKFLPESKMIFSEEHIDNMMSQNNMCAHTLLHYWNLWKEKMSNVLSYVLSLDKPEENELSVYEDYCLTYLGVWKEKVDKYVMMDSKTSWITNKKVPVLRNKYLAWMSAKRFRASAEDILSEELLFVGLEVLDKLKALHVFSLKKQLPMFNRWMVCLSLYETAKHLKQVEFLLEQRRIEKTGQSISFCKEALFGDIFPYECRNDVSECVIDLHENATTREIIELMVDDNLKPQNGRLTHGQIGRVAMLILVIGRVPDELFKKIDDNLSSMQPWKEFFLVAQKYFSDSCSGKINFVFEFQNALRSTFEVEWKKEPDYISPKCFIYLLENLLLMCSCCNGSNSTFFTTKSLLCEFISWQSFGGLLAACSTVQDALFNNYGTLGFIADVTKGLLEQKTTLKQWMTMSSIPLMHIKSLVLRLVNILILVYLNAGWDMSQLANVLRKCNIISELPGAFQQKLQSTGSAHRHDRYYFRQAFLDAMEMAGNPLVVMCSENSCQEFSRWKAIIIESEKISSREDVLAALFPIVEETSPIQDGERPSDELCLVSNDIGEDGNSSLTCPLDEAQIAKDEHAIEDSWEKYNSFWDMLELHKCGTIGGSHGSNAGELKFDEVFRVLEYVKGSMSLHLSEGLSDAYDILEVMVHNLKLLNKALNHPSEKDMDAKNMTEELEDIYAKLQDTKLKLQPLMKTFFSVSKINHSAEQAAVVAVQEVKAVVTENQKGKGKQSKKPRKKKRANKN >DRNTG_29225.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3250581:3254420:-1 gene:DRNTG_29225 transcript:DRNTG_29225.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATMCFERAGDEYREKWAKAAGLCATADRVISVNFEMGQMALKSASEIYEAIGKAELSASCFIKLNDFKTAGTIYLEKCGTSKLESAGDCFVKAECWLLAAETYAKANCLSKCLSSCTSGGHFDFGLTILEEWWSSSRFDDRSHQEIDKIRIAYLEHCARHYYEAGNINRMMTFVRAFHSMDQIRPFLNSLNLLDELFTLEVESKNYLEAAGIARDKGDFILEAEMLEKVGDLEKSCKMIISFAIVKSLWSSGMKGWPFKDFSERDELLIKAKSLAKKVSCSFYDLTCLEVEILSKRAASLQELSEFLQASSKLQNVRLEIFSIRMILDLHLQLDTSKFLPESKMIFSEEHIDNMMSQNNMCAHTLLHYWNLWKEKMSNVLSYVLSLDKPEENELSVYEDYCLTYLGVWKEKVDKYVMMDSKTSWITNKKVPVLRNKYLAWMSAKRFRASAEDILSEELLFVGLEVLDKLKALHVFSLKKQLPMFNRWMVCLSLYETAKHLKQVEFLLEQRRIEKTGQSISFCKEALFGDIFPYECRNDVSECVIDLHENATTREIIELMVDDNLKPQNGRLTHGQIGRVAMLILVIGRVPDELFKKIDDNLSSMQPWKEFFLVAQKYFSDSCSGKINFVFEFQNALRSTFEVEWKKEPDYISPKCFIYLLENLLLMCSCCNGSNSTFFTTKSLLCEFISWQSFGGLLAACSTVQDALFNNYGTLGFIADVTKGLLEQKTTLKQWMTMSSIPLMHIKSLVLRLVNILILVYLNAGWDMSQLANVLRKCNIISELPGAFQQKLQSTGSAHRHDRYYFRQAFLDAMEMAGNPLVVMCSENSCQEFSRWKAIIIESEKISSREDVLAALFPIVEETSPIQDGERPSDELCLVSNDIGEDGNSSLTCPLDEAQIAKDEHAIEDSWEKYNSFWDMLELHKCGTIGGSHGSNAGELKFDEVFRVLEYVKGSMSLHLSEGLSDAYDILEVMVHNLKLLNKALNHPSEKDMDAKNMTEELEDIYAKLQDTKLKLQPLMKTFFSVSKINHSAEQAAVVAVQEVKAVVTENQKGKGKQSKKPRKKKRANKN >DRNTG_29225.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3257552:3261451:-1 gene:DRNTG_29225 transcript:DRNTG_29225.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSHKHSVKLIWGPPGTGKTKTITELLWILLKMKRRVVTCSPTNTSIVEVASRFLKLVKNRRQAGRLGDIVLFGNNDRLKIDDDLREIFVDYRVDQLAKCFAPLSGWHNCISSMIEFLQKCVILYETYIENEKRKEKVDDMVIIPLVEFMRKRFDILASDLETCIENLSIHMPPAIVSTRTTETMMELLDMLNCFRMLVFESSLAENEIREVFTELEEVEITTDLSKNNPGTVLMEGNMLFQLRNTRGHCLRLLDYLKVTVNVQKIWQRTLIRDICLENAAIVFCTSSSSFLLHFLKTPPLDLLVIDEASQLKECEATIPLRLLGVKHAVLIGDERQLPATVKSEVAERAGFGRSLFERLSSLGHPKHLLEIQYRMHPSISSFPNHQFYFGKILDGPNVKTTAYERRFLSEEMFGPYSFINISDGKEEEDDSGSKRNLVEVGVIVQIVQILLKVQNSSKDKFSIGIVSPYAYQVAEITKGIGSVNVPKDNIVLKVNSVDGFQGGEEDVIILSTVRSNTTGKIGFLSNHQRANVALTRARHCLWIVGNAATLEKSDSVWTALIRNAKKRGCYFDAVQNQNLSRAILKIQKEQDQIDALLAADPGMLIQSAKWKVIWLVIYVRMHECLVLILSIER >DRNTG_29225.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3250581:3254127:-1 gene:DRNTG_29225 transcript:DRNTG_29225.8 gene_biotype:protein_coding transcript_biotype:protein_coding MMTFVRAFHSMDQIRPFLNSLNLLDELFTLEVESKNYLEAAGIARDKGDFILEAEMLEKVGDLEKSCKMIISFAIVKSLWSSGMKGWPFKDFSERDELLIKAKSLAKKVSCSFYDLTCLEVEILSKRAASLQELSEFLQASSKLQNVRLEIFSIRMILDLHLQLDTSKFLPESKMIFSEEHIDNMMSQNNMCAHTLLHYWNLWKEKMSNVLSYVLSLDKPEENELSVYEDYCLTYLGVWKEKVDKYVMMDSKTSWITNKKVPVLRNKYLAWMSAKRFRASAEDILSEELLFVGLEVLDKLKALHVFSLKKQLPMFNRWMVCLSLYETAKHLKQVEFLLEQRRIEKTGQSISFCKEALFGDIFPYECRNDVSECVIDLHENATTREIIELMVDDNLKPQNGRLTHGQIGRVAMLILVIGRVPDELFKKIDDNLSSMQPWKEFFLVAQKYFSDSCSGKINFVFEFQNALRSTFEVEWKKEPDYISPKCFIYLLENLLLMCSCCNGSNSTFFTTKSLLCEFISWQSFGGLLAACSTVQDALFNNYGTLGFIADVTKGLLEQKTTLKQWMTMSSIPLMHIKSLVLRLVNILILVYLNAGWDMSQLANVLRKCNIISELPGAFQQKLQSTGSAHRHDRYYFRQAFLDAMEMAGNPLVVMCSENSCQEFSRWKAIIIESEKISSREDVLAALFPIVEETSPIQDGERPSDELCLVSNDIGEDGNSSLTCPLDEAQIAKDEHAIEDSWEKYNSFWDMLELHKCGTIGGSHGSNAGELKFDEVFRVLEYVKGSMSLHLSEGLSDAYDILEVMVHNLKLLNKALNHPSEKDMDAKNMTEELEDIYAKLQDTKLKLQPLMKTFFSVSKINHSAEQAAVVAVQEVKAVVTENQKGKGKQSKKPRKKKRANKN >DRNTG_29225.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3250581:3258929:-1 gene:DRNTG_29225 transcript:DRNTG_29225.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSISSFPNHQFYFGKILDGPNVKTTAYERRFLSEEMFGPYSFINISDGKEEEDDSGSKRNLVEVGVIVQIVQILLKVQNSSKDKFSIGIVSPYAYQVAEITKGIGSVNVPKDNIVLKVNSVDGFQGGEEDVIILSTVRSNTTGKIGFLSNHQRANVALTRARHCLWIVGNAATLEKSDSVWTALIRNAKKRGCYFDAVQNQNLSRAILKIQKEQDQIDALLAADPGMLIQSAKWKVLFSDEFRKAFSKLKTTQIKMEMIHVLLRIANGWRPKKEHLDVTDSFKLSRVYQFKDLYLVWSVDIAKREKYIQILKVWNLLPKFEIPKFIKRLDNIFSTYTDEFIEHCRAKQVEGKLEVPRSWNVVQYMTRYKKLCKVESLEGSSSEEPDTGNFLENSKVNESLLLMKFYSLSAGVVEHLLTSDDGTEIEIPFELNEEEEEIIRFCYSSFILGRSGTGKTTILTMKLFQKEQQQHVSSNGLFSINNNASSIASNDLEENSGNFLRQIFVTVSPKLCSAVRNQIFRLKRYATGGDFSSPIDTTDMHDIGENITEFLDIPDSFHDLPQKHYPLIVTFRKLLMMLDGTVMNTYFDRLFATKEFPSVDGGVHKSLAVEAVIQSKEVNFEHFLGSYWPHFSNQLTKKLDPSTVFAEIFSHIKGGFEGGCSLDAKLEREDYIILSEKRASTLNAEQRGRIYDIFLDYETKKQMNGEFDLSDLVMDLHHRIQTYGYHGDKIDFIYVDEVQDLTMRQIALFKCISSNYAEGFVFAGDTAQTIAKGINFRFEDIRSLFYKEFRHEPINDYQKNTKGRVNRISDKFQLNQNFRTHDGVLKLAQSVIDLLYKYFPLSIDKLSPETSLVYGEAPMVLHSGSDENAIMTIFGDGGKIGHGVNGFGAEQTILVRDEGAKQQILKHVGKQALVLTIVECKGLEFQDVLLYNFFGTSPLKNQWRVIYGYMKEHCRTKSTELISFPSFDDAKHNILCSELKQLYVAITRTRQRLWICESTEEYCQPVFDYWKSLCLVQERRLDCSFAEAMRSTSSPEEWKARGIKLFNEKNFEMATMCFERAGDEYREKWAKAAGLCATADRVISVNFEMGQMALKSASEIYEAIGKAELSASCFIKLNDFKTAGTIYLEKCGTSKLESAGDCFVKAECWLLAAETYAKANCLSKCLSSCTSGGHFDFGLTILEEWWSSSRFDDRSHQEIDKIRIAYLEHCARHYYEAGNINRMMTFVRAFHSMDQIRPFLNSLNLLDELFTLEVESKNYLEAAGIARDKGDFILEAEMLEKVGDLEKSCKMIISFAIVKSLWSSGMKGWPFKDFSERDELLIKAKSLAKKVSCSFYDLTCLEVEILSKRAASLQELSEFLQASSKLQNVRLEIFSIRMILDLHLQLDTSKFLPESKMIFSEEHIDNMMSQNNMCAHTLLHYWNLWKEKMSNVLSYVLSLDKPEENELSVYEDYCLTYLGVWKEKVDKYVMMDSKTSWITNKKVPVLRNKYLAWMSAKRFRASAEDILSEELLFVGLEVLDKLKALHVFSLKKQLPMFNRWMVCLSLYETAKHLKQVEFLLEQRRIEKTGQSISFCKEALFGDIFPYECRNDVSECVIDLHENATTREIIELMVDDNLKPQNGRLTHGQIGRVAMLILVIGRVPDELFKKIDDNLSSMQPWKEFFLVAQKYFSDSCSGKINFVFEFQNALRSTFEVEWKKEPDYISPKCFIYLLENLLLMCSCCNGSNSTFFTTKSLLCEFISWQSFGGLLAACSTVQDALFNNYGTLGFIADVTKGLLEQKTTLKQWMTMSSIPLMHIKSLVLRLVNILILVYLNAGWDMSQLANVLRKCNIISELPGAFQQKLQSTGSAHRHDRYYFRQAFLDAMEMAGNPLVVMCSENSCQEFSRWKAIIIESEKISSREDVLAALFPIVEETSPIQDGERPSDELCLVSNDIGEDGNSSLTCPLDEAQIAKDEHAIEDSWEKYNSFWDMLELHKCGTIGGSHGSNAGELKFDEVFRVLEYVKGSMSLHLSEGLSDAYDILEVMVHNLKLLNKALNHPSEKDMDAKNMTEELEDIYAKLQDTKLKLQPLMKTFFSVSKINHSAEQAAVVAVQEVKAVVTENQKGKGKQSKKPRKKKRANKN >DRNTG_29225.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3250581:3251020:-1 gene:DRNTG_29225 transcript:DRNTG_29225.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKNMTEELEDIYAKLQDTKLKLQPLMKTFFSVSKINHSAEQAAVVAVQEVKAVVTENQKGKGKQSKKPRKKKRANKN >DRNTG_29225.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3250581:3256305:-1 gene:DRNTG_29225 transcript:DRNTG_29225.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHDIGENITEFLDIPDSFHDLPQKHYPLIVTFRKLLMMLDGTVMNTYFDRLFATKEFPSVDGGVHKSLAVEAVIQSKEVNFEHFLGSYWPHFSNQLTKKLDPSTVFAEIFSHIKGGFEGGCSLDAKLEREDYIILSEKRASTLNAEQRGRIYDIFLDYETKKQMNGEFDLSDLVMDLHHRIQTYGYHGDKIDFIYVDEVQDLTMRQIALFKCISSNYAEGFVFAGDTAQTIAKGINFRFEDIRSLFYKEFRHEPINDYQKNTKGRVNRISDKFQLNQNFRTHDGVLKLAQSVIDLLYKYFPLSIDKLSPETSLVYGEAPMVLHSGSDENAIMTIFGDGGKIGHGVNGFGAEQTILVRDEGAKQQILKHVGKQALVLTIVECKGLEFQDVLLYNFFGTSPLKNQWRVIYGYMKEHCRTKSTELISFPSFDDAKHNILCSELKQLYVAITRTRQRLWICESTEEYCQPVFDYWKSLCLVQERRLDCSFAEAMRSTSSPEEWKARGIKLFNEKNFEMATMCFERAGDEYREKWAKAAGLCATADRVISVNFEMGQMALKSASEIYEAIGKAELSASCFIKLNDFKTAGTIYLEKCGTSKLESAGDCFVKAECWLLAAETYAKANCLSKCLSSCTSGGHFDFGLTILEEWWSSSRFDDRSHQEIDKIRIAYLEHCARHYYEAGNINRMMTFVRAFHSMDQIRPFLNSLNLLDELFTLEVESKNYLEAAGIARDKGDFILEAEMLEKVGDLEKSCKMIISFAIVKSLWSSGMKGWPFKDFSERDELLIKAKSLAKKVSCSFYDLTCLEVEILSKRAASLQELSEFLQASSKLQNVRLEIFSIRMILDLHLQLDTSKFLPESKMIFSEEHIDNMMSQNNMCAHTLLHYWNLWKEKMSNVLSYVLSLDKPEENELSVYEDYCLTYLGVWKEKVDKYVMMDSKTSWITNKKVPVLRNKYLAWMSAKRFRASAEDILSEELLFVGLEVLDKLKALHVFSLKKQLPMFNRWMVCLSLYETAKHLKQVEFLLEQRRIEKTGQSISFCKEALFGDIFPYECRNDVSECVIDLHENATTREIIELMVDDNLKPQNGRLTHGQIGRVAMLILVIGRVPDELFKKIDDNLSSMQPWKEFFLVAQKYFSDSCSGKINFVFEFQNALRSTFEVEWKKEPDYISPKCFIYLLENLLLMCSCCNGSNSTFFTTKSLLCEFISWQSFGGLLAACSTVQDALFNNYGTLGFIADVTKGLLEQKTTLKQWMTMSSIPLMHIKSLVLRLVNILILVYLNAGWDMSQLANVLRKCNIISELPGAFQQKLQSTGSAHRHDRYYFRQAFLDAMEMAGNPLVVMCSENSCQEFSRWKAIIIESEKISSREDVLAALFPIVEETSPIQDGERPSDELCLVSNDIGEDGNSSLTCPLDEAQIAKDEHAIEDSWEKYNSFWDMLELHKCGTIGGSHGSNAGELKFDEVFRVLEYVKGSMSLHLSEGLSDAYDILEVMVHNLKLLNKALNHPSEKDMDAKNMTEELEDIYAKLQDTKLKLQPLMKTFFSVSKINHSAEQAAVVAVQEVKAVVTENQKGKGKQSKKPRKKKRANKN >DRNTG_34635.11 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:176336:178982:1 gene:DRNTG_34635 transcript:DRNTG_34635.11 gene_biotype:protein_coding transcript_biotype:protein_coding MTALLLAAVRGVCTSAQPPRLTKFTLHPPKAVHVEFSDGSLFKLSAEFLRVHSPSVDSKIRSIGGEKVIFGRRHVGIMSAEPVGNYGVKIIFDDMHKTGIYTWDYFYHLSTNKFSLMRNYIKILKKHGLSRDPSRRK >DRNTG_34635.9 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:176336:179010:1 gene:DRNTG_34635 transcript:DRNTG_34635.9 gene_biotype:protein_coding transcript_biotype:protein_coding MTALLLAAVRGVCTSAQPPRLTKFTLHPPKAVHVEFSDGSLFKLSAEFLRVHSPSVDSKIRSIGGEKVIFGRRHVGIMSAEPVGNYGVKIIFDDMHKTGIYTWDYFYHLSTNKFSLMRNYIKILKKHGLSRDPSRRK >DRNTG_34635.13 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:176952:179037:1 gene:DRNTG_34635 transcript:DRNTG_34635.13 gene_biotype:protein_coding transcript_biotype:protein_coding MTALLLAAVRGVCTSAQPPRLTKFTLHPPKAVHVEFSDGSLFKLSAEFLRVHSPSVDSKIRSIGGEKVIFGRRHVGIMSAEPVGNYGVKIIFDDMHKTGIYTWDYFYHLSTNKFSLMRNYIKILKKHGLSRDPSRRK >DRNTG_34635.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:176336:179037:1 gene:DRNTG_34635 transcript:DRNTG_34635.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTALLLAAVRGVCTSAQPPRLTKFTLHPPKAVHVEFSDGSLFKLSAEFLRVHSPSVDSKIRSIGGEKVIFGRRHVGIMSAEPVGNYGVKIIFDDMHKTGIYTWDYFYHLSTNKFSLMRNYIKILKKHGLSRDPSRRK >DRNTG_34635.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:176189:178982:1 gene:DRNTG_34635 transcript:DRNTG_34635.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTALLLAAVRGVCTSAQPPRLTKFTLHPPKAVHVEFSDGSLFKLSAEFLRVHSPSVDSKIRSIGGEKVIFGRRHVGIMSAEPVGNYGVKIIFDDMHKTGIYTWDYFYHLSTNKFSLMRNYIKILKKHGLSRDPSRRK >DRNTG_34635.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:176300:179037:1 gene:DRNTG_34635 transcript:DRNTG_34635.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTALLLAAVRGVCTSAQPPRLTKFTLHPPKAVHVEFSDGSLFKLSAEFLRVHSPSVDSKIRSIGGEKVIFGRRHVGIMSAEPVGNYGVKIIFDDMHKTGIYTWDYFYHLSTNKFSLMRNYIKILKKHGLSRDPSRRK >DRNTG_34635.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:176300:178982:1 gene:DRNTG_34635 transcript:DRNTG_34635.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTALLLAAVRGVCTSAQPPRLTKFTLHPPKAVHVEFSDGSLFKLSAEFLRVHSPSVDSKIRSIGGEKVIFGRRHVGIMSAEPVGNYGVKIIFDDMHKTGIYTWDYFYHLSTNKFSLMRNYIKILKKHGLSRDPSRRK >DRNTG_34635.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:176336:179037:1 gene:DRNTG_34635 transcript:DRNTG_34635.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTALLLAAVRGVCTSAQPPRLTKFTLHPPKAVHVEFSDGSLFKLSAEFLRVHSPSVDSKIRSIGGEKVIFGRRHVGIMSAEPVGNYGVKIIFDDMHKTGIYTWDYFYHLSTNKFSLMRNYIKILKKHGLSRDPSRRK >DRNTG_34635.12 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:176336:178982:1 gene:DRNTG_34635 transcript:DRNTG_34635.12 gene_biotype:protein_coding transcript_biotype:protein_coding MTALLLAAVRGVCTSAQPPRLTKFTLHPPKAVHVEFSDGSLFKLSAEFLRVHSPSVDSKIRSIGGEKVIFGRRHVGIMSAEPVGNYGVKIIFDDMHKTGIYTWDYFYHLSTNKFSLMRNYIKILKKHGLSRDPSRRK >DRNTG_34635.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:176336:179570:1 gene:DRNTG_34635 transcript:DRNTG_34635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALLLAAVRGVCTSAQPPRLTKFTLHPPKAVHVEFSDGSLFKLSAEFLRVHSPSVDSKIRSIGGEKVIFGRRHVGIMSAEPVGNYGVKIIFDDMHKTGIYTWDYFYHLSTNKFSLMRNYIKILKKHGLSRDPSRRK >DRNTG_34635.10 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:176336:179010:1 gene:DRNTG_34635 transcript:DRNTG_34635.10 gene_biotype:protein_coding transcript_biotype:protein_coding MTALLLAAVRGVCTSAQPPRLTKFTLHPPKAVHVEFSDGSLFKLSAEFLRVHSPSVDSKIRSIGGEKVIFGRRHVGIMSAEPVGNYGVKIIFDDMHKTGIYTWDYFYHLSTNKFSLMRNYIKILKKHGLSRDPSRRK >DRNTG_34635.14 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:176952:178982:1 gene:DRNTG_34635 transcript:DRNTG_34635.14 gene_biotype:protein_coding transcript_biotype:protein_coding MTALLLAAVRGVCTSAQPPRLTKFTLHPPKAVHVEFSDGSLFKLSAEFLRVHSPSVDSKIRSIGGEKVIFGRRHVGIMSAEPVGNYGVKIIFDDMHKTGIYTWDYFYHLSTNKFSLMRNYIKILKKHGLSRDPSRRK >DRNTG_34635.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:176300:179010:1 gene:DRNTG_34635 transcript:DRNTG_34635.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTALLLAAVRGVCTSAQPPRLTKFTLHPPKAVHVEFSDGSLFKLSAEFLRVHSPSVDSKIRSIGGEKVIFGRRHVGIMSAEPVGNYGVKIIFDDMHKTGIYTWDYFYHLSTNKFSLMRNYIKILKKHGLSRDPSRRK >DRNTG_34635.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:176189:179037:1 gene:DRNTG_34635 transcript:DRNTG_34635.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTALLLAAVRGVCTSAQPPRLTKFTLHPPKAVHVEFSDGSLFKLSAEFLRVHSPSVDSKIRSIGGEKVIFGRRHVGIMSAEPVGNYGVKIIFDDMHKTGIYTWDYFYHLSTNKFSLMRNYIKILKKHGLSRDPSRRK >DRNTG_04368.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20440572:20457011:-1 gene:DRNTG_04368 transcript:DRNTG_04368.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine-N(7)-)-methyltransferase non-catalytic subunit [Source:Projected from Arabidopsis thaliana (AT1G03110) UniProtKB/TrEMBL;Acc:A0A178VZV6] MPILGHYCSIITTLKFSPDGRFVASADRDFKIRVTIFPREPLKGAHEIQSFCLGHTDFVSCLAFVCPSDYPLGFLLSGSGDSTVRLWDFNSGCLLATCEVGNMALESNETDKGNHPAVTDISSSADGALIVVSIQSFHGVMLLTCNFSAKSLSVAKVVSMKGSYVPTSLCVSSLAKHLWTVMGASNQPSSGTTQLARVRVCSISRQDRSPHGHDLFVLEDNDTTGGEKLLSKLQGSSDVTEIESMLASTTSALNTAIHNLLTKKQYSSEKREFRKKNRNDRKQKQEPFAFR >DRNTG_04368.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20440572:20457011:-1 gene:DRNTG_04368 transcript:DRNTG_04368.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine-N(7)-)-methyltransferase non-catalytic subunit [Source:Projected from Arabidopsis thaliana (AT1G03110) UniProtKB/TrEMBL;Acc:A0A178VZV6] MLSIVGILQLMDLLDFFFYFILPSRGDCSISLSDDSGGPGHSDSIRAICFDASGRLFASAGDDKLVKIWTTDSWCCIRTVCADKRVSAVAISHDGSFVVFADKFGVVWVVSLDRDGESQALCAKKPMPILGHYCSIITTLKFSPDGRFVASADRDFKIRVTIFPREPLKGAHEIQSFCLGHTDFVSCLAFVCPSDYPLGFLLSGSGDSTVRLWDFNSGCLLATCEVGNMALESNETDKGNHPAVTDISSSADGALIVVSIQSFHGVMLLTCNFSAKSLSVAKVVSMKGSYVPTSLCVSSLAKHLWTVMGASNQPSSGTTQLARVRVCSISRQDRSPHGHDLFVLEDNDTTGGEKLLSKLQGSSDVTEIESMLASTTSALNTAIHNLLTKKQYSSEKREFRKKNRNDRKQKQEPFAFR >DRNTG_15818.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24939241:24941888:-1 gene:DRNTG_15818 transcript:DRNTG_15818.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTSSNISHAVGTVIAKSGCWSMLKGGISVNNSGPAELYFESQNASIEIWIDSVSLQPFTKDQWRSHQSESINKVRKRKVRINSTPGTKISIKQSRPGFPFGNAISKDILSNTVYQNWFTSRFKVTTFENEMKWYSTESSQGTENYADADAMLAFCKQNGINVRGHNIFWDAPHYQPNWVNSLPTPQLQSATEKRINSVMNRYKGQVIAWDVVNENMHYSFFESKFGKDASSVLYQKAHQIDNAVLTFMNEFNTLENPGDEVSIPTKYLQKLTEIQSFGGSTSTGGPRMAIGLEGHFSQPNIAYMRSALDSLAAANVPIWLTEVDVSSSPQQAEYLEEILREAFAHPAVNGIVMWASWHAQGCYSMCLTDNNFKNLPTGDVVDKLLNEWKTFTQIEAFANTHGFFELDLFHGDYEITTTHPSSNSSSLHILNVHGANHHEGVLHVLDVQA >DRNTG_30770.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3739724:3749445:1 gene:DRNTG_30770 transcript:DRNTG_30770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDIVSNGISGILHKWVNYGKGWRPRWFVLQDGVLSYYKIHGPDRVEVDRVTEKGSKVIGEVPVRRMSRSRNGGTHHRRKPIGEVHLKVSSVRESRSDDKRFSVFTGTKRMHLRAETREDRGKWMEALQAVKEMFPRLSNSELMAPVDGLVVSTEKLRHRLQEEGVNELVIQDAEQIIRTEFSGLQNQLVALKQKQSLLLDTLRQLETEKVDLENTLVDESQRHAEEDESSSRSRQEKYSEGSGSDTDEENERQDVAEEETDDEENIFFDTRDFLSSSSFKSSGSDFHKSEIDSDNDEFCGNGSVDGFDDCMKAVGSQYPYVRRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLIDRACEWGKKGNSLMRILNVAAFAISGYASTEGRNCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMIVACHCEGTGWRFWADSNLKSKFWGRSIQLDPVGVLTLEFDDGEVFKWSKVTTSIYNLILGKLYCDHYGTMRIQGNHEFSCKIKFKEQSIIDRNPHQVQGIVQDRNGKTVATLIGKWDESVHYVLGDCSNKRKWTEPFLEAQLLWERGKPSMYPTRYNLTRFAITMNELTPGLREKLPPTDSRLRPDQRCLENGEYDMANAEKLRLEQRQRQARKMQERGWKPRWFAKDESTDTYRYSGGYWEAREQGKWEGCPDIFGQVPNDQMIDSIASSQI >DRNTG_12160.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12170073:12171536:1 gene:DRNTG_12160 transcript:DRNTG_12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPIVFLLTKPNHILPNINSLKPVILIHKTQAIILFFFTYKYNTLRCGSLSID >DRNTG_23119.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:208804:217908:-1 gene:DRNTG_23119 transcript:DRNTG_23119.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSESSSNYFHSEVVFFGIDNIHFMRESLARVRDYLDTHGTTSSDGMSSFLRNGERTWSGGHLSSMSASVSSLGESGWLIHVQNVLAGSAWIAARVALESASVLVHCSDGWDRTTQLVSLAGLLLDPYYRTFKGFQALVEKDWLAFGHPFSDRMGIPTTSGNNNTPLELPRQSSVGNISTPPMRTSSLGPQSSANSSLHSQTSNNCSPIFLQWIDCVAQLLRVYPCAFEFSSVFLVDFLDCVLSCRFGNFFCNSEKERQQSGIFDACGCIWMYLASIREAGGSSHVHRNPFYNQATHDCPLLPPAAALAPTLWPQFHLRWACPSEAGVGEIECQYRIILEKYTEAHKEKEMAETKARNLKINMECLVAELQKEKHTSKSAIATTRRTYRESLAIRRAIQSLGCKVHFSSNENNLMDTGSGSPDIKGGLSYSLRRDSDAEGLHDDKNDFSVSISAVEDSMVSDNWSSRMCETLCPFGTREGCKWPDGGCAQLGSQFVGLKANFDAFDRLSIVEGYFGSE >DRNTG_23119.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:208804:214041:-1 gene:DRNTG_23119 transcript:DRNTG_23119.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRESLARVRDYLDTHGTTSSDGMSSFLRNGERTWSGGHLSSMSASVSSLGESGWLIHVQNVLAGSAWIAARVALESASVLVHCSDGWDRTTQLVSLAGLLLDPYYRTFKGFQALVEKDWLAFGHPFSDRMGIPTTSGNNNTPLELPRQSSVGNISTPPMRTSSLGPQSSANSSLHSQTSNNCSPIFLQWIDCVAQLLRVYPCAFEFSSVFLVDFLDCVLSCRFGNFFCNSEKERQQSGIFDACGCIWMYLASIREAGGSSHVHRNPFYNQATHDCPLLPPAAALAPTLWPQFHLRWACPSEAGVGEIECQYRIILEKYTEAHKEKEMAETKARNLKINMECLVAELQKEKHTSKSAIATTRRTYRESLAIRRAIQSLGCKVHFSSNENNLMDTGSGSPDIKGGLSYSLRRDSDAEGLHDDKNDFSVSISAVEDSMVSDNWSSRMCETLCPFGTREGCKWPDGGCAQLGSQFVGLKANFDAFDRLSIVEGYFGSE >DRNTG_23119.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:208804:217908:-1 gene:DRNTG_23119 transcript:DRNTG_23119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSESSSNYFHSEVVFFGIDNIHFMRESLARVRDYLDTHGTTSSDGMSSFLRNGERTWSGGHLSSMSASVSSLGESGWLIHVQNVLAGSAWIAARVALESASVLVHCSDGWDRTTQLVSLAGLLLDPYYRTFKGFQALVEKDWLAFGHPFSDRMGIPTTSGNNNTPLELPRQSSVGNISTPPMRTSSLGPQSSANSSLHSQTSNNCSPIFLQWIDCVAQLLRVYPCAFEFSSVFLVDFLDCVLSCRFGNFFCNSEKERQQSGIFDACGCIWMYLASIREAGGSSHVHRNPFYNQATHDCPLLPPAAALAPTLWPQFHLRWACPSEAGVGEIECQYRIILEKYTEAHKEKEMAETKARNLKINMECLVAELQKEKHTSKSAIATTRRTYRESLAIRRAIQSLGCKVHFSSNENNLMDTGSGSPDIKGGLSYSLRRDSDAEGLHDDKNDFSVSISAVEDSMVSDNWSSRMCETLCPFGTREGCKWPDGGCAQLGSQFVGLKANFDAFDRLSIVEGYFGSE >DRNTG_23119.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:208804:217908:-1 gene:DRNTG_23119 transcript:DRNTG_23119.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSESSSNYFHSEVVFFGIDNIHFMRESLARVRDYLDTHGTTSSDGMSSFLRNGERTWSGGHLSSMSASVSSLGESGWLIHVQNVLAGSAWIAARVALESASVLVHCSDGWDRTTQLVSLAGLLLDPYYRTFKGFQALVEKDWLAFGHPFSDRMGIPTTSGNNNTPLELPRQSSVGNISTPPMRTSSLGPQSSANSSLHSQTSNNCSPIFLQWIDCVAQLLRVYPCAFEFSSVFLVDFLDCVLSCRFGNFFCNSEKERQQSGIFDACGCIWMYLASIREAGGSSHVHRNPFYNQATHDCPLLPPAAALAPTLWPQFHLRWACPSEAGVGEIECQYRIILEKYTEAHKEKEMAETKARNLKINMECLVAELQKEKHTSKSAIATTRRTYRESLAIRRAIQSLGCKVHFSSNENNLMDTGSGSPDIKGGLSYSLRRDSDAEGLHDDKNDFSVSISAVEDSMVSDNWSSRMCETLCPFGTREGCKWPDGGCAQLGSQFVGLKANFDAFDRLSIVEGYFGSE >DRNTG_04728.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8222056:8222719:1 gene:DRNTG_04728 transcript:DRNTG_04728.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKEIKDEEIILKTNSFIISLARFAVKVHNLRQIAHLVFKKVVRVTKDSR >DRNTG_28587.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23053923:23055962:1 gene:DRNTG_28587 transcript:DRNTG_28587.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFPRSFQKLGGQVWKNYRPTRFPSSNTGIGAYHGEKSGMNSHGTTEGHPLHLPRDQQLTRVSDSRSILSACLHVSQAPNWRNKVDWWMGGYPAVVRKILRSLTPRFSHVVLSIIESEDLSSLTIEELSGSLRGHEGRLDIEQDHTEEKALFVKGASFREHGGRGSRGRSRGFHK >DRNTG_27430.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28622415:28626523:1 gene:DRNTG_27430 transcript:DRNTG_27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylcrotonoyl-CoA carboxylase beta chain, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G34030) UniProtKB/Swiss-Prot;Acc:Q9LDD8] MLRALSRRSWSFPTSSHTHTHQRLMAFAAGALPDGVDRSSDSFTRNSAAVKQLISDLQSRIRKVLSGGGVEAVKRNRSRNKLLPRERIDRMIDPGSSFLELSQLAGHDLYEDSLPSGGIITGIGPVHGRLCMFVANDPTVKGGTYYPITVKKHLRAQEIASQCSLPCVYLVDSGGANLPRQAEVFPDRDNFGRIFYNQAKMSADGIPQIALVLGSCTAGGAYIPAMADESVIVKGNGTIFLAGPPLVKAATGETVSAEDLGGASLHCKVSGVADHFADDELHGLEIGRNVIKNLYMAGKGINSPPSDISDYKEPLHDVEELRSIAPTDQKQPLDIRSVIARIVDGSEFDEFKKLYGTTLVTGFARIFGQPVGIIGNNGILFTESALKGSHFIELCAQRNIPLIFLQNITGFMVGSRSEANGIAKAGAKMVMAVSCAKIPKITIIVGGSFGAGNYGMCGRAYSPNFLFMWPTAKISVMGGNQAAGVLAQIERSNKKRQGIEWSEEDEEQFKARVVEAYEKEASPYYSTARLWDDGIIDPADTRKVLGLCLSASIKNTPEATKFGVFRM >DRNTG_19695.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001040.1:102364:106127:1 gene:DRNTG_19695 transcript:DRNTG_19695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVRPLCLRVEQRSVDNGFLLLPGVAEVWKGSYEIGRRDKVFHCENRVDVMLNIAETSPSSSESLVKDQGRVSSKVEKREMSFGLPTLSRYWDPEWPLPRWSYHCGSLSLSASTTGLFRSLLNNVRVLSCSLLPSTNFVRLALVMNSHL >DRNTG_30341.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25907971:25908581:-1 gene:DRNTG_30341 transcript:DRNTG_30341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPLLVENRLSLSTITRLLAVITTLSLYRKTILTLLVLSDFMESMLPALFILAICLLCFGNVH >DRNTG_18038.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7941032:7943218:-1 gene:DRNTG_18038 transcript:DRNTG_18038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVYYMACAVQISAISAQTSAPSVRITPPSISSSTGLGEQVYSSSRPRESRPRDLCPKLVS >DRNTG_12137.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11596660:11597195:1 gene:DRNTG_12137 transcript:DRNTG_12137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSIRFKLMRMLCNHHEQANKWETYLCPDIPLRPPVTRRQPGRPRRKRIESQAFEVRELRCSRCHGSSHNRRSCNETVAD >DRNTG_06804.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3861907:3862493:-1 gene:DRNTG_06804 transcript:DRNTG_06804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAFSYIASRGGLHTEDDYPYIMEEDTCDEKRGELEVVSIDGYEDVPQNNEQSLIKALARQPVSVAIEASGRDSQFYSGGVYDGYCGSELDHGVAAVGYGTSKGQDYIIVKNS >DRNTG_12052.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19709870:19712818:1 gene:DRNTG_12052 transcript:DRNTG_12052.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLTCHSTRISSCTLPATKRISNSACLSSLASSFNGNLKRKLKIASFTHLTYGYGSVHKYSFGRTSRSKGQIFASFGDMAGDSTVIFPRINVKDPYKRLGISREASEEEIQAARNFLINQYAGHKPSVDAIESAHDKIIMQSFYERKNPKINLKKKFREASQSRIVRAVTSRFESPATNFIIKTAVAFLVLGALTVLFPTEEGPTLQVAISLVLSIYFIYQRLKGRVRALLYGIGAFFGSWLVGTFLMVSVIPPLLKGPRSFEVTTSLISYILLWVSSTYLK >DRNTG_12052.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19708944:19712818:1 gene:DRNTG_12052 transcript:DRNTG_12052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLTCHSTRISSCTLPATKRISNSACLSSLASSFNGNLKRKLKIASFTHLTYGYGSVHKYSFGRTSRSKGQIFASFGDMAGDSTVIFPRINVKDPYKRLGISREASEEEIQAARNFLINQYAGHKPSVDAIESAHDKIIMQSFYERKNPKINLKKKFREASQSRIVRAVTSRFESPATNFIIKTAVAFLVLGALTVLFPTEEGPTLQVAISLVLSIYFIYQRLKGRVRALLYGIGAFFGSWLVGTFLMVSVIPPLLKGPRSFEVTTSLISYILLWVSSTYLK >DRNTG_22877.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001251.1:37132:39558:-1 gene:DRNTG_22877 transcript:DRNTG_22877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARTGQQIGIHYESWHKVPKTLNDELIKFIELRFALEISKEYVLKSLGKKWRDYKHDLKKRHFKREDGLQVNKDKHPNATIRWQWEQLVDYWYSNKGEDSERLGVASRKQQKYTHTSRSKSFARKEKEMEVHNGRKVGRLEFFKATHTKKDGSHMNVETEQIMVKANEKLAECETVDEDMQIVETEILTQVIGKERCGQRSESKNKHNVRKKVHKNVLNIMLFLGFLQTRFPGVSIPGVDTAGSTSQSQNQASGDE >DRNTG_20304.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5449452:5466241:1 gene:DRNTG_20304 transcript:DRNTG_20304.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor C subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G22010) UniProtKB/Swiss-Prot;Acc:Q9C587] MSDIRKWFMKQHDKGNASATAKPAKAATLPPEKPVEKPAQAIQENSGRRKTSKYFATAADADTSSKQKVKDEAKTKRAPARKSSEVFADEDDDEVKPSPAKKLHKDEDDDFVLPNEKKKTTPVKPAKKLKNAAGVGVAKHSVDDEDDNEEDELAHVDVETPVKAGGRGKGGRGSGVAAGGRGRGGGRGGRGGFMNFGERKDPPHKGEKEVPEGAPDCLSGLTFVISGTLDSLEREEAEDLIKRHGGRVTGSVSKRTTYLLADEDIGGNKSKKAKELGTTILTEDGLFDMIRKSKPVKVSPQKDLKKQITGKADGSQVKSSPSKRDTKGAVGSAHKRKSGDGNSLTWTEKYRPKVPNDIVGNQSIIKQLHDWLARWHEQFLYIGQKGKGKKQNDSGFKKAVLISGSPGIGKSTSAKLVSQMLGFKTIEVNASDSRGKADSKILKGIGGSTSNCIKEFVNNEALSHTKDRSKHPKSVLIMDEVDGMSAGDRGGVADLIASIKISKIPIICICNDRYSQKLKSLINYCLPLNFRKPTKQQMAKRLMQIASAEGLQVNEIALEELADRVNGDIRMALNQLQYMSFSHSVLEYDDIKRRLLASAKDEDISPFTAVDKLFGFNGGKLRMDERIDLCMSDPDLVPLIIQENYINYRPTSIGKDENGIKRMNLLARAAESIADGDVVNVQIRRYRQWQLSQAGSFASCIVPAALMHGNRETFESGERNFNRFGGWLGKNSTTGKNLRLLEDVHVHVLASQESSLDRETLRLDYVPLLLKQLTDPLRVLPKDAAVQKVVEFMDAYSLSQEDFDTIVELSKFQGHPNPMDGVQPAVKAALTKAYKQGSSTRHVRVADLITLPGQKKAPKKRIAAILEPDENGLLEENGGTSLDGEEEDSLDTNDAGDGIDPDNDKKPQFDLLNNKSKGIQVQLDLKSNDKPSAKKTPPASKARGSASTEKRGGGLGKRWR >DRNTG_20304.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5449452:5466241:1 gene:DRNTG_20304 transcript:DRNTG_20304.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor C subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G22010) UniProtKB/Swiss-Prot;Acc:Q9C587] MSDIRKWFMKQHDKGNASATAKPAKAATLPPEKPVEKPAQAIQENSGRRKTSKYFATAADADTSSKQKVKDEAKTKRAPARKSSEVFADEDDDEVKPSPAKKLHKDEDDDFVLPNEKKKTTPVKPAKKLKNAAGVGVAKHSVDDEDDNEEDELAHVDVETPVKAGGRGKGGRGSGVAAGGRGRGGGRGGRGGFMNFGERKDPPHKGEKEVPEGAPDCLSGLTFVISGTLDSLEREEAEDLIKRHGGRVTGSVSKRTTYLLADEDIGGNKSKKAKELGTTILTEDGLFDMIRKSKPVKVSPQKDLKKQITGKADGSQVKSSPSKRDTKDDQSSSLIKKGAVGSAHKRKSGDGNSLTWTEKYRPKVPNDIVGNQSIIKQLHDWLARWHEQFLYIGQKGKGKKQNDSGFKKAVLISGSPGIGKSTSAKLVSQMLGFKTIEVNASDSRGKADSKILKGIGGSTSNCIKEFVNNEALSHTKDRSKHPKSVLIMDEVDGMSAGDRGGVADLIASIKISKIPIICICNDRYSQKLKSLINYCLPLNFRKPTKQQMAKRLMQIASAEGLQVNEIALEELADRVNGDIRMALNQLQYMSFSHSVLEYDDIKRRLLASAKDEDISPFTAVDKLFGFNGGKLRMDERIDLCMSDPDLVPLIIQENYINYRPTSIGKDENGIKRMNLLARAAESIADGDVVNVQIRRYRQWQLSQAGSFASCIVPAALMHGNRETFESGERNFNRFGGWLGKNSTTGKNLRLLEDVHVHVLASQESSLDRETLRLDYVPLLLKQLTDPLRVLPKDAAVQKVVEFMDAYSLSQEDFDTIVELSKFQGHPNPMDGVQPAVKAALTKAYKQGSSTRHVRVADLITLPGQKKAPKKRIAAILEPDENGLLEENGGTSLDGEEEDSLDTNDAGDGIDPDNDKKPQFDLLNNKSKGIQVQLDLKSNDKPSAKKTPPASKARGSASTEKRGGGLGKRWR >DRNTG_20304.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5450256:5466241:1 gene:DRNTG_20304 transcript:DRNTG_20304.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor C subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G22010) UniProtKB/Swiss-Prot;Acc:Q9C587] MNFGERKDPPHKGEKEVPEGAPDCLSGLTFVISGTLDSLEREEAEDLIKRHGGRVTGSVSKRTTYLLADEDIGGNKSKKAKELGTTILTEDGLFDMIRKSKPVKVSPQKDLKKQITGKADGSQVKSSPSKRDTKDDQSSSLIKKGAVGSAHKRKSGDGNSLTWTEKYRPKVPNDIVGNQSIIKQLHDWLARWHEQFLYIGQKGKGKKQNDSGFKKAVLISGSPGIGKSTSAKLVSQMLGFKTIEVNASDSRGKADSKILKGIGGSTSNCIKEFVNNEALSHTKDRSKHPKSVLIMDEVDGMSAGDRGGVADLIASIKISKIPIICICNDRYSQKLKSLINYCLPLNFRKPTKQQMAKRLMQIASAEGLQVNEIALEELADRVNGDIRMALNQLQYMSFSHSVLEYDDIKRRLLASAKDEDISPFTAVDKLFGFNGGKLRMDERIDLCMSDPDLVPLIIQENYINYRPTSIGKDENGIKRMNLLARAAESIADGDVVNVQIRRYRQWQLSQAGSFASCIVPAALMHGNRETFESGERNFNRFGGWLGKNSTTGKNLRLLEDVHVHVLASQESSLDRETLRLDYVPLLLKQLTDPLRVLPKDAAVQKVVEFMDAYSLSQEDFDTIVELSKFQGHPNPMDGVQPAVKAALTKAYKQGSSTRHVRVADLITLPGQKKAPKKRIAAILEPDENGLLEENGGTSLDGEEEDSLDTNDAGDGIDPDNDKKPQFDLLNNKSKGIQVQLDLKSNDKPSAKKTPPASKARGSASTEKRGGGLGKRWR >DRNTG_17885.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12200111:12217230:-1 gene:DRNTG_17885 transcript:DRNTG_17885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAYDHKSSYKARDNLSRLPYDLVLTPGIVQSWLEKRILENTHGRVEIIHASAEIPQARVKVIGRSFISTDPARCVLGRTRKPLEEMRLLHKTIVMTIEGVFYGLLVFTFDFIVDLTLMLRVHIGNPCERVTYHEGFENLYWVFVRKSTVRVYRCISLVPEPKRSWHREDVRVGHVLDVRMERMREELTERIAQLTGGGNQGRVSESGSDDIMEEDNGYFAYSTPPVLFLPIRGPSLRVPPNTPNGFRAA >DRNTG_27096.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001381.1:38270:44003:1 gene:DRNTG_27096 transcript:DRNTG_27096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAQSCGLDEENEFESIPAKYYSSFAVVKSRSGSTAFNIEFIWRRTIEGAFTGIDSARCALAPGYDPRAQLLHPKRTQGRVNAPVSDLVTVTCPWRISTWACGSLQSAKSPSQEDTGGRVEHLETFLGRTEKPQGHVGAPVGMVPRSKKLAGKRPHEPSPEQLEFVITEHQARFERLSKLKFGQSRFADLSTLRETQLGDEKADEVVELLSIEEYKQLPTDYPGSLTPQNAYRMLSVNGCGDSTRVLSRQELLYLYSIVQSEPLHLGHIMAEYVRHQGQYARVGVLFLGPYFTRLIMGMGLLDAIRGPRRSLCHLPSALRP >DRNTG_04350.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31086555:31087883:1 gene:DRNTG_04350 transcript:DRNTG_04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPSYNPPAEFLSHSSLDPSPPLSVESPVVSSPPLSVKSPVVSSPPPLLPLAHPPVRFTYHRRNPAEPPSQSVISDTSPTIDPAPEVHSHTYSLRDRSTLHPPVRYASTSTSVSDVFEPGTYREAVRLPEWRTAMAEELDALTRTHTWDLVPLPPHAVPITCRWIYRVKTRSDGSLERYKARLVARGFQQEYGRDYEETFAPVAHMHTVRTLVAVAAVRGWVLHQLDVKNAFLHGDLKEEVYMTPPPGLRVPSGSVCRLRRALYGLKQAPRAWFERFSTVVEAAGFTPSIHDPAVFIHYSSRGQTILLLYVDDMILTGDDFAHITFVKQKLCETFLMTDLGPLRYFLGIEITSHPDGYRLSQQRYTHDLLA >DRNTG_04685.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30419381:30420465:-1 gene:DRNTG_04685 transcript:DRNTG_04685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPWLFEDLPMETSLPSLWGYHESVEELKHKLWCTTMELETVKNNAKEEMRKSEENINNLILLLKMTSQERDEARDQLQILLNKMAQASPVNACFQAETQQMVQIRGNSSVTDSDTLSETPKHHQQQQQQQQHQPQSADSFFDAVSSSPDISGNVGGSQQVLVECKQDQASAIIDGLVSAKNLPENGKFLQAVMEAGPLLQTLLVAGPLPRWRNPPPLQPFQIPPVSVKCCNGPQVPNSTPAFNHPNYSLHCSSASMLSFKRSSLPSCGISYQNLSAKRQKSQ >DRNTG_04685.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30419381:30420280:-1 gene:DRNTG_04685 transcript:DRNTG_04685.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELETVKNNAKEEMRKSEENINNLILLLKMTSQERDEARDQLQILLNKMAQASPVNACFQAETQQMVQIRGNSSVTDSDTLSETPKHHQQQQQQQQHQPQSADSFFDAVSSSPDISGNVGGSQQVLVECKQDQASAIIDGLVSAKNLPENGKFLQAVMEAGPLLQTLLVAGPLPRWRNPPPLQPFQIPPVSVKCCNGPQVPNSTPAFNHPNYSLHCSSASMLSFKRSSLPSCGISYQNLSAKRQKSQ >DRNTG_31587.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001724.1:41488:44513:-1 gene:DRNTG_31587 transcript:DRNTG_31587.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLESWDMVRVEVIPERKKVLVFLEDGSRLYKLEIMFGDLLRCCGCFLGGKGKGSEQDSILLQLNYAPRIFERISGPGLTLKCSGDRYRVCKEDFPFVWARTTDFSSTSSFGRSCYLCMRIKDGLSSAKILKSLPYVEELGYLSLTRHELSWSSSLLVPIIGIPQNKTVDYEVIFQLNSLLHMQKISVGQLNGDLFDALSGLPLDLAAKILKKMHKLNSTCFEPVQFIQNQVASIHKGQKTSQFDKSTFNENLMSCHRALITPSKIYFLGPEVETSNYVVKYFSAHASNFLRVSFVEEDWSKLPADALLTNIERGVFSKPYRTNIYERILSILKNGIIMGSKKFEFLAFSASQLRSNSVWMFASSEDVTAKSIREWMGHFDEIPTVSKCAARMGQLFSSSMQSFNVPSQDVQSILDIEV >DRNTG_06119.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:12135851:12143671:1 gene:DRNTG_06119 transcript:DRNTG_06119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIRQQTLRQRFDLLQMKEDETVQHYITRVLVVVNQIRGMGIDLKDSVVVLKVMRSLSSRFVHVVTSIEEAKDMSKVTLDELSGSLQAHEARFNQFTERPERHEAFVMQGGSRSGGTNWRGRGRVGRFRGRGRAGESSRFGDQRAKIKPSNRPGGIEHLASFSYFVVWSLAEIGNQGRSLKAGQSHQVDVQW >DRNTG_34266.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:12516341:12535475:1 gene:DRNTG_34266 transcript:DRNTG_34266.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAPARLLLSRCCVSALPADKVRCSERRLEATCFPPMAALSPEEESPVGRMVADPVSLCEWQAWGSSSTIPSLVVDVIDDLRDLERDLDACMNFGGHGGKLRGPLKIPEDKKRRTLFQSLTDSEQKIQYFAARQIAHRVLGSRGYLCQRCWLAKEDCMCSRVVPCSLWNGIRFWLYMHPKDFLRQNNTGKLLWQLFGTQSVSLCIFGIHEHEEMMWDAFRSSGKEKIWFLYPSKSAAPKLVQDIFLNSLYSSLDGQMMDSKDQPLNFVLIDGTWSNSAAMYRRLKDQWMTLWGEEEPPSISIAISNVSVMHKLRPQPSWDRTCTAAAAAGLLSELHHFHPELCEHGLDKQAEAVEDTLEVLLDALTKRRLRKGRSITRKDRKMVSLR >DRNTG_34266.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:12516135:12535475:1 gene:DRNTG_34266 transcript:DRNTG_34266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAPARLLLSRCCVSALPADKVRCSERRLEATCFPPMAALSPEEESPVGRMVADPVSLCEWQAWGSSSTIPSLVVDVIDDLRDLERDLDACMNFGGHGGKLRGPLKIPEDKKRRTLFQSLTDSEQKIQYFAARQIAHRVLGSRGYLCQRCWLAKEDCMCSRVVPCSLWNGIRFWLYMHPKDFLRQNNTGKLLWQLFGTQSVSLCIFGIHEHEEMMWDAFRSSGKEKIWFLYPSKSAAPKLVQDIFLNSLYSSLDGQMMDSKDQPLNFVLIDGTWSNSAAMYRRLKDQWMTLWGEEEPPSISIAISNVSVMHKLRPQPSWDRTCTAAAAAGLLSELHHFHPELCEHGLDKQAEAVEDTLEVLLDALTKRRLRKGRSITRKDRKMVSLR >DRNTG_29326.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29705853:29706492:1 gene:DRNTG_29326 transcript:DRNTG_29326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLFRANGGCGYVKKPDILLRKDPYEFDPKADLPLKET >DRNTG_32660.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18868288:18874627:1 gene:DRNTG_32660 transcript:DRNTG_32660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYVETIGVMNQDGVTVTSQLDILLAFKDYYSKLWTAPASHDIDIIELIPDGIPTVSDIDNSVLTWEVSKEEVYLTILDLPTVNPWKTSFFWDPWYSSIPIALMATFIDMSDNLDNMTMADVIQNDCWDFNGHNGLLGNIINFDSSNLGYIDSSSSNHWVWHPFPTSVNLSATVYNKLNDSDSNGEL >DRNTG_14287.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:794894:795870:-1 gene:DRNTG_14287 transcript:DRNTG_14287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLKAVVLEGLRRHPPGHFVLPHTVTEDVKLNGYVIPKGASVNFMVAEMGRDEKVWENPMEFRPERFMEGGEGEGVDITGTKGIKMMPFGVGRRICPGLTLAMLHLEYFVVNLIKEFEWKAVDEKEIDLVQEKSEFTIVMKNTFHARVIPRKCNIYFK >DRNTG_01873.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21147470:21152034:1 gene:DRNTG_01873 transcript:DRNTG_01873.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIM [Source:Projected from Arabidopsis thaliana (AT2G21170) UniProtKB/TrEMBL;Acc:A0A178VN28] MAAAMASTSSLASSQLHSGIKRPAWTFACPQFSGLRRGTESSAAQALFFQHAQAQLRLSSSSRRTPTRGVVAMAGSGKFFVGGNWKCNGTKESISKLVTDLNGAKLEDDVDVVVAPPYIYVDQVKNSLTDRMEISAQNCWVGKGGAFTGEISADQLLDIGCKWVILGHSERRHVIGEDDQFIGKKAAYALDQNLKVIACIGEKLDEREAGKTFDVCFQQLKAFADYVKSWTNVVIAYEPVWAIGTGQVATPQQAQEVHAVVRGWLKENISPEVATTTRIIYGGSVNGSNCLELAKQEDIDGFLVGGASLKGPEFATIVNSVTSKKVAA >DRNTG_28086.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20454832:20455641:1 gene:DRNTG_28086 transcript:DRNTG_28086.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSNKIIIFIFSIILIFTNKLALADLTQGQGDSGLASGGYHPPRGRPPHGPQLGGFQTDGHGCHPHKKCHPPKDHHV >DRNTG_10894.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000476.1:1:5422:1 gene:DRNTG_10894 transcript:DRNTG_10894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRALADLISMHIFLSHPEKTHGRVISTRPVGVYSEPIQRRHRACGCVNDHAQMSPRPLGTSRRGGGPRGSPCRTMYGVVSNSIAPIWENFSENDDFVWIFAALVYQLV >DRNTG_21869.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19234446:19242291:1 gene:DRNTG_21869 transcript:DRNTG_21869.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLIDRKDNVFIISNPKSKYPMLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGFSFVSEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAVLGGLLQIFLFMCLCGITASLCGGKPSEGVFVGAFLSMSSTAVVLKFLMEKNCINSLHGQVTVGTLILQDCAVGLLFALLPVLGGTSGVFQGVISMSKSLIVLCTFLAILSILCRTCVPWFLKLMISLSSQTNELYQLAAVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQVCSFCRLNPFGIFLPPSFLPA >DRNTG_21869.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19234446:19242291:1 gene:DRNTG_21869 transcript:DRNTG_21869.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLIDRKDNVFIISNPKSKYPMLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGFSFVSEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAVLGGLLQIFLFMCLCGITASLCGGKPSEGVFVGAFLSMSSTAVVLKFLMEKNCINSLHGQVTVGTLILQDCAVGLLFALLPVLGGTSGVFQGVISMSKSLIVLCTFLAILSILCRTCVPWFLKLMISLSSQTNELYQLAAVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNFFAALFLASIGMLIHIHFLWNHVDILLAAVILVIIIKTIVLTAVVKGFGYTNKTSILVGMSLAQIGEFAFVLLSRASNLHLVQGKLYLLLLGTTALSLVTTPLLFKLIPAVIHLGVLLRWFSPDNGNEISFKTDILRSDSTKRITLLVQGSHDS >DRNTG_05856.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31521302:31522670:1 gene:DRNTG_05856 transcript:DRNTG_05856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEDWDLQAVVKSCCCSEPVLTTATTTVMRDPFSSFPISSVLKEYCPKEDEDLLTSFPDLLATKTALHELEELCKPFFLKPQRPPQKQLQQEKKRTPSSSSSLPVAPKQPSRSKRRKSQVKKVVCHVPAGDNSSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCLARKQVERSQTDPSTLIITYTAEHNHPVPTHRNSLAGTTRNKFPIPPSTATQRASTSTPTLSPTTPLKATVLEGNEEDMISMVDEDVLFMDFEGLNTTVSDSTSGFFDGVDGLPDFFMTPSWMSSNNAAAAAGGG >DRNTG_12990.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6920595:6934617:1 gene:DRNTG_12990 transcript:DRNTG_12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPHGRVEISHTHVRACGSSQGLSHASVSSWDEGELSVEIHTGVWKLSTPVCNSQDHP >DRNTG_10230.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:81045:85294:-1 gene:DRNTG_10230 transcript:DRNTG_10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGHLLPKDQGHCGSCWAFGAVECLSDRFCIHFGMNISLSVNDLLACCGFMCGDGCDGGYPIYAWRYFVQSGVVTEECDPYFDQAGCSHPGCEPSYPTPECVKRCQDKNLLWEKTKHFGLSAYRVNSDPHDIMAELFANGPVEVAFTVYEDFAHYQSGIYRHVTGDVMGGHAVKLIGWGTSDDGVDYWLLANQWNRGWGDDGYFKIVRGRNECGIEEEVVAGLPSTKALIRSNAGVDTTVEATM >DRNTG_10230.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:81045:85294:-1 gene:DRNTG_10230 transcript:DRNTG_10230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVHSLLVAALLFFSMVIDPLPVVAVKPIPQLSSESMILQDAIVQKVNSDQSVGWKASMNSRFSNYTIGQFKYILGVKPMPQNGLGYVPVKTYPKNLKLPKQFDARTAWPQCSTIGRILGQGHCGSCWAFGAVECLSDRFCIHFGMNISLSVNDLLACCGFMCGDGCDGGYPIYAWRYFVQSGVVTEECDPYFDQAGCSHPGCEPSYPTPECVKRCQDKNLLWEKTKHFGLSAYRVNSDPHDIMAELFANGPVEVAFTVYEDFAHYQSGIYRHVTGDVMGGHAVKLIGWGTSDDGVDYWLLANQWNRGWGDDGYFKIVRGRNECGIEEEVVAGLPSTKALIRSNAGVDTTVEATM >DRNTG_10230.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:81045:85294:-1 gene:DRNTG_10230 transcript:DRNTG_10230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQLGLSAAPLGEYLGHCGSCWAFGAVECLSDRFCIHFGMNISLSVNDLLACCGFMCGDGCDGGYPIYAWRYFVQSGVVTEECDPYFDQAGCSHPGCEPSYPTPECVKRCQDKNLLWEKTKHFGLSAYRVNSDPHDIMAELFANGPVEVAFTVYEDFAHYQSGIYRHVTGDVMGGHAVKLIGWGTSDDGVDYWLLANQWNRGWGDDGYFKIVRGRNECGIEEEVVAGLPSTKALIRSNAGVDTTVEATM >DRNTG_10230.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:81045:85294:-1 gene:DRNTG_10230 transcript:DRNTG_10230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVHSLLVAALLFFSMVIDPLPVVAVKPIPQLSSESMILQDAIVQKVNSDQSVGWKASMNSRFSNYTIGQFKYILGVKPMPQNGLGYVPVKTYPKNLKLPKQFDARTAWPQCSTIGRILDQGHCGSCWAFGAVECLSDRFCIHFGMNISLSVNDLLACCGFMCGDGCDGGYPIYAWRYFVQSGVVTEECDPYFDQAGCSHPGCEPSYPTPECVKRCQDKNLLWEKTKHFGLSAYRVNSDPHDIMAELFANGPVEVAFTVYEDFAHYQSGIYRHVTGDVMGGHAVKLIGWGTSDDGVDYWLLANQWNRGWGDDGYFKIVRGRNECGIEEEVVAGLPSTKALIRSNAGVDTTVEATM >DRNTG_10230.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:81045:85294:-1 gene:DRNTG_10230 transcript:DRNTG_10230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNISLSVNDLLACCGFMCGDGCDGGYPIYAWRYFVQSGVVTEECDPYFDQAGCSHPGCEPSYPTPECVKRCQDKNLLWEKTKHFGLSAYRVNSDPHDIMAELFANGPVEVAFTVYEDFAHYQSGIYRHVTGDVMGGHAVKLIGWGTSDDGVDYWLLANQWNRGWGDDGYFKIVRGRNECGIEEEVVAGLPSTKALIRSNAGVDTTVEATM >DRNTG_26913.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2959624:2963705:-1 gene:DRNTG_26913 transcript:DRNTG_26913.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQHSLQLSVQSINWTYSIFWQLNPQNQVLEWKDGYYNGIIKSRKTVLGPFEVSLEDDAVQRSRQLRELYDALVSEQLVGSSPAPVGLSPEDLTEVEWFYLVSVSFSFSSGEGLPGKAFERQRHVWLTRANEGNRKDLCRAILCKSAGIQTLVCIPILDGVLEFGTTEKVEEDLSVIQQAKSLFKENENDKPTLSEQSTSSPVNNSMFGFRHLVNAAPMNVDAQQGGTDEEEEEDDDDDVEDEGDIGYLVMSEDVGSDNSNSVSECAQPSDDECSETISSLPQNNLIETAKSMSVGSLVHSKNSAFTEWGSHNGNHFLSFFSRRTSQRMLKFMLFKVPDLHCASIQDSFQEELSTNHVLAERRRREKINKRFIVLRSIVPFVTKMDKTSILESTIEYLERLQRQIEELKSRKEKMGINLQTMNTETSKVETPCSTNVKVSIIEANALFKLQCPYKDGLLFKIMQKLHELGLETTSIQSSTVNKIFVAELRAKVKEINGRKATIMEVKRAIYQIFS >DRNTG_26422.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23923593:23924607:-1 gene:DRNTG_26422 transcript:DRNTG_26422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSFSRVTLMMVQLVLSLTLMLPSALAGVICEDLPQDLCAFAISASSKRCLLESIPRHNGPTEYQCRTSEVVVDKMSNWIETDECVRACGVDRNSVGISSDALMEPHFASKLCSVACYQNCPNILDLYFNLAAGEGVFLPDLCEAQRVNPHRAMVELLSSGAAPGPVTSSEVGSIKGSAVADPSAPAPAPAQA >DRNTG_33565.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28636368:28638389:1 gene:DRNTG_33565 transcript:DRNTG_33565.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRNPDDHITTATTTTTATTTMTFNQTSQIRTSAFSKPTLSPSSSLILSPRTNFLSSSSTRNNNNNNNNGNCFELPHTSSPPPPPPPPPLQVPILASTSPVKYRECLRNHAASIGGHVVDGCGEFMPSETDAMKCAACGCHRSFHRKDDYRLSNGGSRRRGRSSSHVPLLLPPPLAPNPHLGSSATESSSEELNAPAQTHSAAVQQPKKRFRTKFTAEQKEKMLAFSERVGWRIQRQDEAIVEQFCAEAGVKRQVLKVWMHNNKNTVKKQLQQPQQQQ >DRNTG_33565.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28636272:28638389:1 gene:DRNTG_33565 transcript:DRNTG_33565.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRNPDDHITTATTTTTATTTMTFNQTSQIRTSAFSKPTLSPSSSLILSPRTNFLSSSSTRNNNNNNNNGNCFELPHTSSPPPPPPPPPLQVPILASTSPVKYRECLRNHAASIGGHVVDGCGEFMPSETDAMKCAACGCHRSFHRKDDYRLSNGGSRRRGRSSSHVPLLLPPPLAPNPHLGSSATESSSEELNAPAQTHSAAVQQPKKRFRTKFTAEQKEKMLAFSERVGWRIQRQDEAIVEQFCAEAGVKRQVLKVWMHNNKNTVKKQLQQPQQQQ >DRNTG_33565.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28636272:28638487:1 gene:DRNTG_33565 transcript:DRNTG_33565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRNPDDHITTATTTTTATTTMTFNQTSQIRTSAFSKPTLSPSSSLILSPRTNFLSSSSTRNNNNNNNNGNCFELPHTSSPPPPPPPPPLQVPILASTSPVKYRECLRNHAASIGGHVVDGCGEFMPSETDAMKCAACGCHRSFHRKDDYRLSNGGSRRRGRSSSHVPLLLPPPLAPNPHLGSSATESSSEELNAPAQTHSAAVQQPKKRFRTKFTAEQKEKMLAFSERVGWRIQRQDEAIVEQFCAEAGVKRQVLKVWMHNNKNTVKKQLQQPQQQQ >DRNTG_33565.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28636405:28638389:1 gene:DRNTG_33565 transcript:DRNTG_33565.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRNPDDHITTATTTTTATTTMTFNQTSQIRTSAFSKPTLSPSSSLILSPRTNFLSSSSTRNNNNNNNNGNCFELPHTSSPPPPPPPPPLQVPILASTSPVKYRECLRNHAASIGGHVVDGCGEFMPSETDAMKCAACGCHRSFHRKDDYRLSNGGSRRRGRSSSHVPLLLPPPLAPNPHLGSSATESSSEELNAPAQTHSAAVQQPKKRFRTKFTAEQKEKMLAFSERVGWRIQRQDEAIVEQFCAEAGVKRQVLKVWMHNNKNTVKKQLQQPQQQQ >DRNTG_33565.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28636368:28638487:1 gene:DRNTG_33565 transcript:DRNTG_33565.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRNPDDHITTATTTTTATTTMTFNQTSQIRTSAFSKPTLSPSSSLILSPRTNFLSSSSTRNNNNNNNNGNCFELPHTSSPPPPPPPPPLQVPILASTSPVKYRECLRNHAASIGGHVVDGCGEFMPSETDAMKCAACGCHRSFHRKDDYRLSNGGSRRRGRSSSHVPLLLPPPLAPNPHLGSSATESSSEELNAPAQTHSAAVQQPKKRFRTKFTAEQKEKMLAFSERVGWRIQRQDEAIVEQFCAEAGVKRQVLKVWMHNNKNTVKKQLQQPQQQQ >DRNTG_33565.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28636405:28638342:1 gene:DRNTG_33565 transcript:DRNTG_33565.13 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRNPDDHITTATTTTTATTTMTFNQTSQIRTSAFSKPTLSPSSSLILSPRTNFLSSSSTRNNNNNNNNGNCFELPHTSSPPPPPPPPPLQVPILASTSPVKYRECLRNHAASIGGHVVDGCGEFMPSETDAMKCAACGCHRSFHRKDDYRLSNGGSRRRGRSSSHVPLLLPPPLAPNPHLGSSATESSSEELNAPAQTHSAAVQQPKKRFRTKFTAEQKEKMLAFSERVGWRIQRQDEAIVEQFCAEAGVKRQVLKVWMHNNKNTVKKQLQQPQQQQ >DRNTG_33565.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28636405:28638487:1 gene:DRNTG_33565 transcript:DRNTG_33565.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRNPDDHITTATTTTTATTTMTFNQTSQIRTSAFSKPTLSPSSSLILSPRTNFLSSSSTRNNNNNNNNGNCFELPHTSSPPPPPPPPPLQVPILASTSPVKYRECLRNHAASIGGHVVDGCGEFMPSETDAMKCAACGCHRSFHRKDDYRLSNGGSRRRGRSSSHVPLLLPPPLAPNPHLGSSATESSSEELNAPAQTHSAAVQQPKKRFRTKFTAEQKEKMLAFSERVGWRIQRQDEAIVEQFCAEAGVKRQVLKVWMHNNKNTVKKQLQQPQQQQ >DRNTG_33565.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28636405:28638389:1 gene:DRNTG_33565 transcript:DRNTG_33565.10 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRNPDDHITTATTTTTATTTMTFNQTSQIRTSAFSKPTLSPSSSLILSPRTNFLSSSSTRNNNNNNNNGNCFELPHTSSPPPPPPPPPLQVPILASTSPVKYRECLRNHAASIGGHVVDGCGEFMPSETDAMKCAACGCHRSFHRKDDYRLSNGGSRRRGRSSSHVPLLLPPPLAPNPHLGSSATESSSEELNAPAQTHSAAVQQPKKRFRTKFTAEQKEKMLAFSERVGWRIQRQDEAIVEQFCAEAGVKRQVLKVWMHNNKNTVKKQLQQPQQQQ >DRNTG_33565.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28636405:28638487:1 gene:DRNTG_33565 transcript:DRNTG_33565.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRNPDDHITTATTTTTATTTMTFNQTSQIRTSAFSKPTLSPSSSLILSPRTNFLSSSSTRNNNNNNNNGNCFELPHTSSPPPPPPPPPLQVPILASTSPVKYRECLRNHAASIGGHVVDGCGEFMPSETDAMKCAACGCHRSFHRKDDYRLSNGGSRRRGRSSSHVPLLLPPPLAPNPHLGSSATESSSEELNAPAQTHSAAVQQPKKRFRTKFTAEQKEKMLAFSERVGWRIQRQDEAIVEQFCAEAGVKRQVLKVWMHNNKNTVKKQLQQPQQQQ >DRNTG_33565.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28636368:28638389:1 gene:DRNTG_33565 transcript:DRNTG_33565.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRNPDDHITTATTTTTATTTMTFNQTSQIRTSAFSKPTLSPSSSLILSPRTNFLSSSSTRNNNNNNNNGNCFELPHTSSPPPPPPPPPLQVPILASTSPVKYRECLRNHAASIGGHVVDGCGEFMPSETDAMKCAACGCHRSFHRKDDYRLSNGGSRRRGRSSSHVPLLLPPPLAPNPHLGSSATESSSEELNAPAQTHSAAVQQPKKRFRTKFTAEQKEKMLAFSERVGWRIQRQDEAIVEQFCAEAGVKRQVLKVWMHNNKNTVKKQLQQPQQQQ >DRNTG_33565.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28636368:28638342:1 gene:DRNTG_33565 transcript:DRNTG_33565.11 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRNPDDHITTATTTTTATTTMTFNQTSQIRTSAFSKPTLSPSSSLILSPRTNFLSSSSTRNNNNNNNNGNCFELPHTSSPPPPPPPPPLQVPILASTSPVKYRECLRNHAASIGGHVVDGCGEFMPSETDAMKCAACGCHRSFHRKDDYRLSNGGSRRRGRSSSHVPLLLPPPLAPNPHLGSSATESSSEELNAPAQTHSAAVQQPKKRFRTKFTAEQKEKMLAFSERVGWRIQRQDEAIVEQFCAEAGVKRQVLKVWMHNNKNTVKKQLQQPQQQQ >DRNTG_33565.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28636272:28638342:1 gene:DRNTG_33565 transcript:DRNTG_33565.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRNPDDHITTATTTTTATTTMTFNQTSQIRTSAFSKPTLSPSSSLILSPRTNFLSSSSTRNNNNNNNNGNCFELPHTSSPPPPPPPPPLQVPILASTSPVKYRECLRNHAASIGGHVVDGCGEFMPSETDAMKCAACGCHRSFHRKDDYRLSNGGSRRRGRSSSHVPLLLPPPLAPNPHLGSSATESSSEELNAPAQTHSAAVQQPKKRFRTKFTAEQKEKMLAFSERVGWRIQRQDEAIVEQFCAEAGVKRQVLKVWMHNNKNTVKKQLQQPQQQQ >DRNTG_33565.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28636368:28638342:1 gene:DRNTG_33565 transcript:DRNTG_33565.12 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRNPDDHITTATTTTTATTTMTFNQTSQIRTSAFSKPTLSPSSSLILSPRTNFLSSSSTRNNNNNNNNGNCFELPHTSSPPPPPPPPPLQVPILASTSPVKYRECLRNHAASIGGHVVDGCGEFMPSETDAMKCAACGCHRSFHRKDDYRLSNGGSRRRGRSSSHVPLLLPPPLAPNPHLGSSATESSSEELNAPAQTHSAAVQQPKKRFRTKFTAEQKEKMLAFSERVGWRIQRQDEAIVEQFCAEAGVKRQVLKVWMHNNKNTVKKQLQQPQQQQ >DRNTG_03074.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12177062:12177618:1 gene:DRNTG_03074 transcript:DRNTG_03074.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKIIGLSEMPCSQQLFTMKVRGSSVDGETMEITVDKYFANHHNIELAWSAHVPCLNVGKPKRPNYLPIELCTLVPLQRYTKALSGQQRASLVEKSRQKPLERIRVT >DRNTG_08318.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000377.1:11361:13010:-1 gene:DRNTG_08318 transcript:DRNTG_08318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINTYDELFSGLTNSRHEPKLKDLLVSVCNGAVETLVKTSHQVITTSNSSSSVSKPEGLKQRMMFHIRQIQCLRMVRLVVVGWIRFHQHWLFKK >DRNTG_33562.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28599493:28600777:1 gene:DRNTG_33562 transcript:DRNTG_33562.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLLWPPPPSSSLSASMGEQTLSQTHTSPSSSPSPSSSTAPPIISPSDPPSSSSSAASKIPFRPRKIRKVSSDGDDSNKPPPPPAKLPIRYLPRPLSADGEVASALRHLRAVDPHLARIIETHEPPVFNTPHPPFHSLARSILYQQLAFKAAASIYTRFISLCGGEDSVVPEAVLALSPHQLRQIGVSARKASYLHDLARKYHTGILSDASIVSMDDKSLFSMLTMVKGIGAWSVHMFMIFSLHRPDVLPVGDLGVRKGVQMLYGLEDVPRPSQMDSFCERWKPYRSVGAWYMWRLVESKGSTAAVTASNGAGTQAITSGGMGAGALQQAQLIDPIQMLPNLG >DRNTG_33562.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28599585:28600885:1 gene:DRNTG_33562 transcript:DRNTG_33562.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQTLSQTHTSPSSSPSPSSSTAPPIISPSDPPSSSSSAASKIPFRPRKIRKVSSDGDDSNKPPPPPAKLPIRYLPRPLSADGEVASALRHLRAVDPHLARIIETHEPPVFNTPHPPFHSLARSILYQQLAFKAAASIYTRFISLCGGEDSVVPEAVLALSPHQLRQIGVSARKASYLHDLARKYHTGILSDASIVSMDDKSLFSMLTMVKGIGAWSVHMFMIFSLHRPDVLPVGDLGVRKGVQMLYGLEDVPRPSQMDSFCERWKPYRSVGAWYMWRLVESKGSTAAVTASNGAGTQAITSGGMGAGALQQAQLIDPIQMLPNLG >DRNTG_33562.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28599585:28600777:1 gene:DRNTG_33562 transcript:DRNTG_33562.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQTLSQTHTSPSSSPSPSSSTAPPIISPSDPPSSSSSAASKIPFRPRKIRKVSSDGDDSNKPPPPPAKLPIRYLPRPLSADGEVASALRHLRAVDPHLARIIETHEPPVFNTPHPPFHSLARSILYQQLAFKAAASIYTRFISLCGGEDSVVPEAVLALSPHQLRQIGVSARKASYLHDLARKYHTGILSDASIVSMDDKSLFSMLTMVKGIGAWSVHMFMIFSLHRPDVLPVGDLGVRKGVQMLYGLEDVPRPSQMDSFCERWKPYRSVGAWYMWRLVESKGSTAAVTASNGAGTQAITSGGMGAGALQQAQLIDPIQMLPNLG >DRNTG_33562.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28599529:28600777:1 gene:DRNTG_33562 transcript:DRNTG_33562.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLLWPPPPSSSLSASMGEQTLSQTHTSPSSSPSPSSSTAPPIISPSDPPSSSSSAASKIPFRPRKIRKVSSDGDDSNKPPPPPAKLPIRYLPRPLSADGEVASALRHLRAVDPHLARIIETHEPPVFNTPHPPFHSLARSILYQQLAFKAAASIYTRFISLCGGEDSVVPEAVLALSPHQLRQIGVSARKASYLHDLARKYHTGILSDASIVSMDDKSLFSMLTMVKGIGAWSVHMFMIFSLHRPDVLPVGDLGVRKGVQMLYGLEDVPRPSQMDSFCERWKPYRSVGAWYMWRLVESKGSTAAVTASNGAGTQAITSGGMGAGALQQAQLIDPIQMLPNLG >DRNTG_33562.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28599585:28600842:1 gene:DRNTG_33562 transcript:DRNTG_33562.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQTLSQTHTSPSSSPSPSSSTAPPIISPSDPPSSSSSAASKIPFRPRKIRKVSSDGDDSNKPPPPPAKLPIRYLPRPLSADGEVASALRHLRAVDPHLARIIETHEPPVFNTPHPPFHSLARSILYQQLAFKAAASIYTRFISLCGGEDSVVPEAVLALSPHQLRQIGVSARKASYLHDLARKYHTGILSDASIVSMDDKSLFSMLTMVKGIGAWSVHMFMIFSLHRPDVLPVGDLGVRKGVQMLYGLEDVPRPSQMDSFCERWKPYRSVGAWYMWRLVESKGSTAAVTASNGAGTQAITSGGMGAGALQQAQLIDPIQMLPNLG >DRNTG_33562.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28599529:28600842:1 gene:DRNTG_33562 transcript:DRNTG_33562.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLLWPPPPSSSLSASMGEQTLSQTHTSPSSSPSPSSSTAPPIISPSDPPSSSSSAASKIPFRPRKIRKVSSDGDDSNKPPPPPAKLPIRYLPRPLSADGEVASALRHLRAVDPHLARIIETHEPPVFNTPHPPFHSLARSILYQQLAFKAAASIYTRFISLCGGEDSVVPEAVLALSPHQLRQIGVSARKASYLHDLARKYHTGILSDASIVSMDDKSLFSMLTMVKGIGAWSVHMFMIFSLHRPDVLPVGDLGVRKGVQMLYGLEDVPRPSQMDSFCERWKPYRSVGAWYMWRLVESKGSTAAVTASNGAGTQAITSGGMGAGALQQAQLIDPIQMLPNLG >DRNTG_33562.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28599493:28600842:1 gene:DRNTG_33562 transcript:DRNTG_33562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLLWPPPPSSSLSASMGEQTLSQTHTSPSSSPSPSSSTAPPIISPSDPPSSSSSAASKIPFRPRKIRKVSSDGDDSNKPPPPPAKLPIRYLPRPLSADGEVASALRHLRAVDPHLARIIETHEPPVFNTPHPPFHSLARSILYQQLAFKAAASIYTRFISLCGGEDSVVPEAVLALSPHQLRQIGVSARKASYLHDLARKYHTGILSDASIVSMDDKSLFSMLTMVKGIGAWSVHMFMIFSLHRPDVLPVGDLGVRKGVQMLYGLEDVPRPSQMDSFCERWKPYRSVGAWYMWRLVESKGSTAAVTASNGAGTQAITSGGMGAGALQQAQLIDPIQMLPNLG >DRNTG_00127.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2269575:2273979:-1 gene:DRNTG_00127 transcript:DRNTG_00127.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSNMRSRHLLFSSSSSPISTELATQNTRGSPNFPSKELWAGFLVTLVAMVAGVVLFTCLKRQCLKKWKKSTKGVNLRKLDLRRFHLEELQKATMSFNKECLLGSGAFGNVYKGVFDNDQVFAIKKSHQSSYQTILEFRNEVELLSRVKHSNLVGLEGYCDQQNHKILVYEYVPHGSLLDYIVGRRKPLTWRQRVNIAIGAAKGIAHLHEGVKPSIIHRDIKPSNILIGEDFEAKVSDFGLVKSGPMDGQSHVSSQIKGTPGYLDPSYCASYHLTPFSDVYSFGIILLQLVTARPAVASTRSQSRYHIIDWARASLEKGNVADIIDANLLTEPCNMDMMLKMGQLGLKCVIKSPKNRPTMIEVVRELEEALEATKSYECKQEPRVSSHESIEKDEGLSFSINGIGLEKFQVENDGLSINSASMQCFDVNSIILGERDDLKIINGGNDGAHLGTSNVVLL >DRNTG_00127.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2269575:2273979:-1 gene:DRNTG_00127 transcript:DRNTG_00127.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSNMRSRHLLFSSSSSPISTELATQNTRGSPNFPSKELWAGFLVTLVAMVAGVVLFTCLKRQCLKKWKKSTKGVNLRKLDLRRFHLEELQKATMSFNKECLLGSGAFGNVYKGVFDNDQVFAIKKSHQSSYQTILEFRNDHKILVYEYVPHGSLLDYIVGRRKPLTWRQRVNIAIGAAKGIAHLHEGVKPSIIHRDIKPSNILIGEDFEAKVSDFGLVKSGPMDGQSHVSSQIKGTPGYLDPSYCASYHLTPFSDVYSFGIILLQLVTARPAVASTRSQSRYHIIDWARASLEKGNVADIIDANLLTEPCNMDMMLKMGQLGLKCVIKSPKNRPTMIEVVRELEEALEATKSYECKQEPRVSSHESIEKDEGLSFSINGIGLEKFQVENDGLSINSASMQCFDVNSIILGERDDLKIINGGNDGAHLGTSNVVLL >DRNTG_00127.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2269575:2273979:-1 gene:DRNTG_00127 transcript:DRNTG_00127.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSNMRSRHLLFSSSSSPISTELATQNTRGSPNFPSKELWAGFLVTLVAMVAGVVLFTCLKRQCLKKWKKSTKGVNLRKLDLRRFHLEELQKATMSFNKECLLGSGAFGNVYKGVFDNDQVFAIKKSHQSSYQTILEFRNEVELLSRVKHSNLVGLEGYCDQQRRRKPLTWRQRVNIAIGAAKGIAHLHEGVKPSIIHRDIKPSNILIGEDFEAKVSDFGLVKSGPMDGQSHVSSQIKGTPGYLDPSYCASYHLTPFSDVYSFGIILLQLVTARPAVASTRSQSRYHIIDWARASLEKGNVADIIDANLLTEPCNMDMMLKMGQLGLKCVIKSPKNRPTMIEVVRELEEALEATKSYECKQEPRVSSHESIEKDEGLSFSINGIGLEKFQVENDGLSINSASMQCFDVNSIILGERDDLKIINGGNDGAHLGTSNVVLL >DRNTG_02815.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000129.1:4096:4901:-1 gene:DRNTG_02815 transcript:DRNTG_02815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMSGKLIELSKIILCMLYKSYGVIGRTELDHSAADHEATMDPLFRMMKYSAPLSNNNNDGDGEGDDDEDEEPILRLTPHTDHNFVTVLCQNEVDGLMCKSRDGEWMKVNPSPGSFIVLAGDALRVWSNGRIHAPLHKVMMKGKKDRLSFGMFIGPKGNKVVEVFDEFVDEEEGRPALFKPFIFLDYLNFSLENVCRAETMLD >DRNTG_12257.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24897274:24898070:-1 gene:DRNTG_12257 transcript:DRNTG_12257.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRDNGHAFHFESSNWISPEEHDGGVNFEEDADDSDFEFVLRDPDSGKEITADEMFDNGRIRPVFPLFGRPLSPTGDAPTAAVADLEPETRISMQKLLMEERDSLPASTSSSECDELESLPDTTYCPWSPEKQRRSSSAGGSRRWRLMDLVDGRSRSDGNKRFVRMPPEEKDKKPTSTSSAPKDGEKKPKASKVTELDMVTAHKLYYSKKGGDVTKTGRKSLLPYRPEFIGFFGNAASRAH >DRNTG_12257.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24897122:24898219:-1 gene:DRNTG_12257 transcript:DRNTG_12257.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRDNGHAFHFESSNWISPEEHDGGVNFEEDADDSDFEFVLRDPDSGKEITADEMFDNGRIRPVFPLFGRPLSPTGDAPTAAVADLEPETRISMQKLLMEERDSLPASTSSSECDELESLPDTTYCPWSPEKQRRSSSAGGSRRWRLMDLVDGRSRSDGNKRFVRMPPEEKDKKPTSTSSAPKDGEKKPKASKVTELDMVTAHKLYYSKKGGDVTKTGRKSLLPYRPEFIGFFGNAASRAHSPF >DRNTG_12257.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24896961:24898070:-1 gene:DRNTG_12257 transcript:DRNTG_12257.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRDNGHAFHFESSNWISPEEHDGGVNFEEDADDSDFEFVLRDPDSGKEITADEMFDNGRIRPVFPLFGRPLSPTGDAPTAAVADLEPETRISMQKLLMEERDSLPASTSSSECDELESLPDTTYCPWSPEKQRRSSSAGGSRRWRLMDLVDGRSRSDGNKRFVRMPPEEKDKKPTSTSSAPKDGEKKPKASKVTELDMVTAHKLYYSKKGGDVTKTGRKSLLPYRPEFIGFFGNAASRAHSPF >DRNTG_12257.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24897274:24898219:-1 gene:DRNTG_12257 transcript:DRNTG_12257.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRDNGHAFHFESSNWISPEEHDGGVNFEEDADDSDFEFVLRDPDSGKEITADEMFDNGRIRPVFPLFGRPLSPTGDAPTAAVADLEPETRISMQKLLMEERDSLPASTSSSECDELESLPDTTYCPWSPEKQRRSSSAGGSRRWRLMDLVDGRSRSDGNKRFVRMPPEEKDKKPTSTSSAPKDGEKKPKASKVTELDMVTAHKLYYSKKGGDVTKTGRKSLLPYRPEFIGFFGNAASRAH >DRNTG_12257.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24896961:24898219:-1 gene:DRNTG_12257 transcript:DRNTG_12257.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRDNGHAFHFESSNWISPEEHDGGVNFEEDADDSDFEFVLRDPDSGKEITADEMFDNGRIRPVFPLFGRPLSPTGDAPTAAVADLEPETRISMQKLLMEERDSLPASTSSSECDELESLPDTTYCPWSPEKQRRSSSAGGSRRWRLMDLVDGRSRSDGNKRFVRMPPEEKDKKPTSTSSAPKDGEKKPKASKVTELDMVTAHKLYYSKKGGDVTKTGRKSLLPYRPEFIGFFGNAASRAHSPF >DRNTG_12257.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24897122:24898070:-1 gene:DRNTG_12257 transcript:DRNTG_12257.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRDNGHAFHFESSNWISPEEHDGGVNFEEDADDSDFEFVLRDPDSGKEITADEMFDNGRIRPVFPLFGRPLSPTGDAPTAAVADLEPETRISMQKLLMEERDSLPASTSSSECDELESLPDTTYCPWSPEKQRRSSSAGGSRRWRLMDLVDGRSRSDGNKRFVRMPPEEKDKKPTSTSSAPKDGEKKPKASKVTELDMVTAHKLYYSKKGGDVTKTGRKSLLPYRPEFIGFFGNAASRAHSPF >DRNTG_12257.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24896961:24900514:-1 gene:DRNTG_12257 transcript:DRNTG_12257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYFERKQKGEIFYKGILTERERDINSLDDPLERFLVLKKRSGQELYCEITQLCSLLRSLIGCQPMDPRDNGHAFHFESSNWISPEEHDGGVNFEEDADDSDFEFVLRDPDSGKEITADEMFDNGRIRPVFPLFGRPLSPTGDAPTAAVADLEPETRISMQKLLMEERDSLPASTSSSECDELESLPDTTYCPWSPEKQRRSSSAGGSRRWRLMDLVDGRSRSDGNKRFVRMPPEEKDKKPTSTSSAPKDGEKKPKASKVTELDMVTAHKLYYSKKGGDVTKTGRKSLLPYRPEFIGFFGNAASRAHSPF >DRNTG_12257.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24897274:24900514:-1 gene:DRNTG_12257 transcript:DRNTG_12257.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYFERKQKGEIFYKGILTERERDINSLDDPLERFLVLKKRSGQELYCEITQLCSLLRSLIGCQPMDPRDNGHAFHFESSNWISPEEHDGGVNFEEDADDSDFEFVLRDPDSGKEITADEMFDNGRIRPVFPLFGRPLSPTGDAPTAAVADLEPETRISMQKLLMEERDSLPASTSSSECDELESLPDTTYCPWSPEKQRRSSSAGGSRRWRLMDLVDGRSRSDGNKRFVRMPPEEKDKKPTSTSSAPKDGEKKPKASKVTELDMVTAHKLYYSKKGGDVTKTGRKSLLPYRPEFIGFFGNAASRAH >DRNTG_12257.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24897274:24898374:-1 gene:DRNTG_12257 transcript:DRNTG_12257.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRDNGHAFHFESSNWISPEEHDGGVNFEEDADDSDFEFVLRDPDSGKEITADEMFDNGRIRPVFPLFGRPLSPTGDAPTAAVADLEPETRISMQKLLMEERDSLPASTSSSECDELESLPDTTYCPWSPEKQRRSSSAGGSRRWRLMDLVDGRSRSDGNKRFVRMPPEEKDKKPTSTSSAPKDGEKKPKASKVTELDMVTAHKLYYSKKGGDVTKTGRKSLLPYRPEFIGFFGNAASRAH >DRNTG_19848.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7367109:7368516:-1 gene:DRNTG_19848 transcript:DRNTG_19848.1 gene_biotype:protein_coding transcript_biotype:protein_coding INHKYIKRKNNTKHTSTKEGRGTPPRTDSTTEEQRTAQCYEFCMAFFYVLLLYIFIRPSKVLKNLLSCAFSEIINLAHWPKSAKKKY >DRNTG_11981.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4309124:4312863:1 gene:DRNTG_11981 transcript:DRNTG_11981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVRPPSAPSKPKSKPKNGLKLKQNRFAIDYNRGPSPTSYVPKITVLQTPTGDDISARYHLGKELGRGEFGVTYLCTDNSSGEVFACKSISKKKLRTAVDVEDVRREVDIMRHLPPHPNIVSLKDTYEDDTAVHLVMELCEGGELFDRIVARGHYTEKAAALVTKTIVEVVQMCHKHGVMHRDLKPENFLFANSKENSTLKAIDFGLSVFFKPGEIFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGLPPFWAETEQGVAEAIIRSKVDFRRDPWPIVSDNAKDLVKKMLEPNPKRRLTAQEVLDHPWLQNIKKAPDVPLGESVRARLQQFSVMNKFKKRALRVVADHLSMEEVAEIKELFEMMDVNNNGKITLEELKHGLQKVGYQLPDADVKILMDAADVDGNGTLDYGEFVAVSIHVQRMGNDEHLHKAFAYFDQNKSGYIEIEELSESLADDLGPNHEEVVNAIFRDVDADKDGKISYEEFATMMKAGTDWRKASRQYSRERFNSLSWKLMKDGSLQLKA >DRNTG_02594.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21245123:21246105:-1 gene:DRNTG_02594 transcript:DRNTG_02594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCMHVDLKESFSSNPDPNCYLKSTATASSATKSSTTTCSSTNPNSSSSSTTTSKPSSGTSTATATKTSSPTSTATAT >DRNTG_02594.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21245748:21246105:-1 gene:DRNTG_02594 transcript:DRNTG_02594.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPCMHVDLKESFSSNPDPNCYLKSTATASSATKSSTTTCSSTNPNSSSSSTTTSKPSSGTSTATATKTSSPTSTATAT >DRNTG_02594.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21245489:21246105:-1 gene:DRNTG_02594 transcript:DRNTG_02594.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCMHVDLKESFSSNPDPNCYLKSTATASSATKSSTTTCSSTNPNSSSSSTTTSKPSSGTSTATATKTSSPTSTATAT >DRNTG_24211.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22568215:22568434:1 gene:DRNTG_24211 transcript:DRNTG_24211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPVHQRLAGYAASYGPILSLRFGSRPVLIVSSAAIAEECLVAKGTAFANRPQ >DRNTG_22093.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001229.1:17658:19168:-1 gene:DRNTG_22093 transcript:DRNTG_22093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGSPSQTKEDQNMNPGSPATATPTTTTPQSKDDECLTRKEFLDIDNAIRNQDLRFLQKYVKGASEHDVRRVNLSEDPLLNVMIAYDSIKDDILFSLINMMTSEALEAKNEVGDTALHVAAAKNRQKVANYLLEKNSRLKYQRNKKGETPLLKAALFGSTETFDSLLDRDRNMIFSRNNHGASVLHCAIVGNNPDLALKIAQLEESLMTNRNDMALTPLQLMVTMPEVFQSSPKGPMESLIYAIIPLNSHNESNESGKERDEETPYKPKDSKQFKP >DRNTG_22093.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001229.1:18253:19168:-1 gene:DRNTG_22093 transcript:DRNTG_22093.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGSPSQTKEDQNMNPGSPATATPTTTTPQSKDDECLTRKEFLDIDNAIRNQDLRFLQKYVKGASEHDVRRVNLSEDPLLNVMIAYDSIKDDILFSLINMMTSEALEAKNEVGDTALHVAAAKNRQKVANYLLEKNSRLKYQRNKKGETPLLKAALFGSTETFDSLLDRDRNMIFSRNNHGASVLHCAIVGNNPDLALKIAQLEESLMTNRNDMALTPLQLMVTMPEVFQSSPKGPMESLIYASQYSLSYIFNVPI >DRNTG_32425.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10968752:10969224:1 gene:DRNTG_32425 transcript:DRNTG_32425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHVGGGVGGGVPARRLPLLTRAVDSVFAFVRVAEFEILFVLFFLVAFLIFKDLDLNDGLIESYLKKMGLKLVLFH >DRNTG_10362.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5005497:5006267:1 gene:DRNTG_10362 transcript:DRNTG_10362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQLNPNPNPNPNPSFTVAIGHPVNPAVQRQPSSSPTYQNKTDSNEECKLCLLLILVLIGAALFGLAIYYGEDENQEISRLNVTYASLSYYNNNDLNLNHSSASLPLRTYYDIAFNFTMENPHQSDSFEYLDIQAQVLYFDSFLTDKESIPSFSLAEQASTFFRIEHLVRPKSELNLDMLEARETTGMLIFGIHVYWRTDLFDDYWDNYFAKCDDVRLVFGNATTTQAFMLGAPKQCNVVRTSEDTLEPKPYPSH >DRNTG_27244.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20104970:20110424:-1 gene:DRNTG_27244 transcript:DRNTG_27244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLCLNGVSFIGTRQLDLGWSRDLSLFGFCQSKAGRKAINKSIALRIQAVAAKQDLNFKDPEWKKLYEEEFDERFNLPHLSDVLDVKPRPTTFALQSRHHLGEDNGVPFERRNDYVNNDDRTLLKVIKYSSPTSAGAECIDPGCSWVEQWVHRAGPRKEIFFDPEDVKAGIVTCGGLCPGLNDVIRQIVFTLEIYGVKKIVGIPFGYRGFFEEGLPEIPLSRQVVQNINLAGGSLLGVSRGGASVSDIVDSIQARRIDMLFVLGGNGTHAGANAIHNECRKRKMKVAVVCVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEAHSAYHGIGLVKLMGRSSGFITMHASLSSGQIDICLIPEVPFKLDGPYGVLRHLEHLILSKGSAVICVAEGAGQDLLEKLNATDASGNAVLGDIGVHIQQKIKKHFKDIGVHADVKYIDPTYMVRAVRANASDAILCTVLGQNAVHGAFAGFSGITTGICNTHYVYLPIPEVIATPRLIDPNSRMWHRCLTSTGQPDFC >DRNTG_15355.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26686240:26699280:-1 gene:DRNTG_15355 transcript:DRNTG_15355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGDDKISASAAHVVCVVGMGGLGKTTLAKLVYNDERIINQFNLRVWKWVFEAHGAIGLL >DRNTG_15355.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26686240:26686571:-1 gene:DRNTG_15355 transcript:DRNTG_15355.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGDDKISASAAHVVCVVGMGGLGKTTLAKLVYNDERIINQFNLRVWKWVFEAHGAIGLL >DRNTG_15355.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26686240:26686850:-1 gene:DRNTG_15355 transcript:DRNTG_15355.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGDDKISASAAHVVCVVGMGGLGKTTLAKLVYNDERIINQFNLRVWKWVFEAHGAIGLL >DRNTG_24299.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23527307:23528232:1 gene:DRNTG_24299 transcript:DRNTG_24299.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFLLWSCTIVVRENLRNAKKKEFTKTEDDLKSVQSVGQIIGEVLSPLDNKHYLANVSYSAVGGLSDKIQELRESIELPLINPEPFLRVGIKPPKGVLLYGPLGTGKRLLARAITRNIDAYFLKVEIFT >DRNTG_18266.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8837745:8838041:1 gene:DRNTG_18266 transcript:DRNTG_18266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDAEEANLLVEEEYEKMLKEQLEDVERMQRSLSAELFIIARQKQKILSRLD >DRNTG_14093.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20760062:20767447:1 gene:DRNTG_14093 transcript:DRNTG_14093.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQQGSQSRSSSNGYGRRRVDREMGPRIESLANGGEGGGAISSSCDRLIYVMACLIGQPVEVHVRNGSVISGIFHASNAAKDFGIVLKMARVTKDGSMKGQKPAPIFVKKPETMIIPARELVQVLAKDVPLNNDELTNGHARDRRQDLLIDSSISQSQHVELERELKPWTPDKDVPECPELENIFDGSWNRNWDQFQTNEALFGVKSTFNEELYTTKLERGPQMREREREATRLAREILEEDTKDLHLAEERGIHFHSDFDVDEEMRFSAVQRDGDSKENENLVLDTYNSEQYRATFNSTTVQSNSDISRKKPNNQGRTSSSSSSLDEVPCSQGSADLDMNLSDSSDHLTQPSHDGIAKRSPCIGDDDRLDEVQIKDQDEKKTSLDNFIRGAPSEEGSHTLKSDEGQPPSNVKGLSPSAAAFAPPVQETKHRPSEPSELAVTGKMSTSTEPSDLSLRPCSSASSASERLGAGSVSTCPGLSPSSSMGSLSSEKSTLNPHAKEFKLNPNAKSFTPSSLRPQASASETSCYYASNMPAVPMHSLPMGIGIGSFGGPPTVIYNPQAAQGQPPQAYIHPNGPLFAQQMMLGQPRPVVYMPGYPPDTTPYKGRNF >DRNTG_14093.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20760062:20767447:1 gene:DRNTG_14093 transcript:DRNTG_14093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQQGSQSRSSSNGYGRRRVDREMGPRIESKIHSGKPILSNSHSGLANGGEGGGAISSSCDRLIYVMACLIGQPVEVHVRNGSVISGIFHASNAAKDFGIVLKMARVTKDGSMKGQKPAPIFVKKPETMIIPARELVQVLAKDVPLNNDELTNGHARDRRQDLLIDSSISQSQHVELERELKPWTPDKDVPECPELENIFDGSWNRNWDQFQTNEALFGVKSTFNEELYTTKLERGPQMREREREATRLAREILEEDTKDLHLAEERGIHFHSDFDVDEEMRFSAVQRDGDSKENENLVLDTYNSEQYRATFNSTTVQSNSDISRKKPNNQGRTSSSSSSLDEVPCSQGSADLDMNLSDSSDHLTQPSHDGIAKRSPCIGDDDRLDEVQIKDQDEKKTSLDNFIRGAPSEEGSHTLKSDEGQPPSNVKGLSPSAAAFAPPVQETKHRPSEPSELAVTGKMSTSTEPSDLSLRPCSSASSASERLGAGSVSTCPGLSPSSSMGSLSSEKSTLNPHAKEFKLNPNAKSFTPSSLRPQASASETSCYYASNMPAVPMHSLPMGIGIGSFGGPPTVIYNPQAAQGQPPQAYIHPNGPLFAQQMMLGQPRPVVYMPGYPPDTTPYKGRNF >DRNTG_24074.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26439396:26440953:-1 gene:DRNTG_24074 transcript:DRNTG_24074.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRCCSNARQNSIKLRQSTIAIKKPNPIHPSNQSPPNPPKIHPSRKTHNLNAKIQNQMKSDLRAIKRRDQKG >DRNTG_24074.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26439723:26440953:-1 gene:DRNTG_24074 transcript:DRNTG_24074.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFRCCSNARQNSIKLRQSTIAIKKPNPIHPSNQSPPNPPKIHPSRKTHNLNAKIQNQMKSDLRAIKRRDQKG >DRNTG_24074.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26439723:26440897:-1 gene:DRNTG_24074 transcript:DRNTG_24074.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFRCCSNARQNSIKLRQSTIAIKKPNPIHPSNQSPPNPPKIHPSRKTHNLNAKIQNQMKSDLRAIKRRDQKG >DRNTG_24074.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26439723:26440848:-1 gene:DRNTG_24074 transcript:DRNTG_24074.7 gene_biotype:protein_coding transcript_biotype:protein_coding MFRCCSNARQNSIKLRQSTIAIKKPNPIHPSNQSPPNPPKIHPSRKTHNLNAKIQNQMKSDLRAIKRRDQKG >DRNTG_24074.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26439396:26441134:-1 gene:DRNTG_24074 transcript:DRNTG_24074.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRCCSNARQNSIKLRQSTIAIKKPNPIHPSNQSPPNPPKIHPSRKTHNLNAKIQNQMKSDLRAIKRRDQKG >DRNTG_24074.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26439396:26440897:-1 gene:DRNTG_24074 transcript:DRNTG_24074.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFRCCSNARQNSIKLRQSTIAIKKPNPIHPSNQSPPNPPKIHPSRKTHNLNAKIQNQMKSDLRAIKRRDQKG >DRNTG_24074.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26439723:26440953:-1 gene:DRNTG_24074 transcript:DRNTG_24074.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFRCCSNARQNSIKLRQSTIAIKKPNPIHPSNQSPPNLDRTPSQSSSLSGTIVVFDGVPA >DRNTG_24403.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001301.1:6457:7015:-1 gene:DRNTG_24403 transcript:DRNTG_24403.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNDKLKSVEHRVLASKEGPRLSVACFFVTQYSPSSMVYGPIMELLANGSAPIYREVVIDEFNKHYNSKGLDGKSALDHFKL >DRNTG_18774.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1382070:1391678:-1 gene:DRNTG_18774 transcript:DRNTG_18774.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLSYLHQTLTNSHVVSKSSKSTAQMIRSPSEYPTPHMPFPLHPRRPVMSETLLPTTQHLPHHSHILVLAGAHLPLQPPKP >DRNTG_18774.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1391036:1391678:-1 gene:DRNTG_18774 transcript:DRNTG_18774.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLSYLHQTLTNSHVVSKSSKSTAQMIRSPSEYPTPHMPFPLHPRRPVMSETLLPTTQHLPHHSHILVLAGAHLPLQPPKP >DRNTG_25086.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:694622:695781:-1 gene:DRNTG_25086 transcript:DRNTG_25086.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAISNPEAETAKERRRKRGSALEQTQASSSQWRTETEQKIYSSKLLEALRRLRHPESPSPSPSPPKSRAVREAADRALAVAARGRTRWSRAILSSRAILLKARKRRSRDPTRSKRTGSGTGPTGSVHKTPVLQSKAKVLGRLVPGCRKLSFSNLLEEASDYIAALEMQVRAMSALTQILTGVGSGSGSGSGSAAGAILEVHDDH >DRNTG_25086.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:694554:696037:-1 gene:DRNTG_25086 transcript:DRNTG_25086.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISNPEAETAKERRRKRGSALEQTQASSSQWRTETEQKIYSSKLLEALRRLRHPESPSPSPSPPKSRAVREAADRALAVAARGRTRWSRAILSSRAILLKARKRRSRDPTRSKRTGSGTGPTGSVHKTPVLQSKAKVLGRLVPGCRKLSFSNLLEEASDYIAALEMQVRAMSALTQILTGVGSGSGSGSGSAAGAILEVHDDH >DRNTG_25086.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:694622:695781:-1 gene:DRNTG_25086 transcript:DRNTG_25086.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAISNPEAETAKERRRKRGSALEQTQASSSQWRTETEQKIYSSKLLEALRRLRHPESPSPSPSPPKSRAVREAADRALAVAARGRTRWSRAILSSRAILLKARKRRSRDPTRSKRTGSGTGPTGSVHKTPVLQSKAKVLGRLVPGCRKLSFSNLLEEASDYIAALEMQVRAMSALTQILTGVGSGSGSGSGSAAGAILEVHDDH >DRNTG_25086.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:694470:695781:-1 gene:DRNTG_25086 transcript:DRNTG_25086.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAISNPEAETAKERRRKRGSALEQTQASSSQWRTETEQKIYSSKLLEALRRLRHPESPSPSPSPPKSRAVREAADRALAVAARGRTRWSRAILSSRAILLKARKRRSRDPTRSKRTGSGTGPTGSVHKTPVLQSKAKVLGRLVPGCRKLSFSNLLEEASDYIAALEMQVRAMSALTQILTGVGSGSGSGSGSAAGAILEVHDDH >DRNTG_25086.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:694470:695781:-1 gene:DRNTG_25086 transcript:DRNTG_25086.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAISNPEAETAKERRRKRGSALEQTQASSSQWRTETEQKIYSSKLLEALRRLRHPESPSPSPSPPKSRAVREAADRALAVAARGRTRWSRAILSSRAILLKARKRRSRDPTRSKRTGSGTGPTGSVHKTPVLQSKAKVLGRLVPGCRKLSFSNLLEEASDYIAALEMQVRAMSALTQILTGVGSGSGSGSGSAAGAILEVHDDH >DRNTG_25086.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:694622:696037:-1 gene:DRNTG_25086 transcript:DRNTG_25086.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAISNPEAETAKERRRKRGSALEQTQASSSQWRTETEQKIYSSKLLEALRRLRHPESPSPSPSPPKSRAVREAADRALAVAARGRTRWSRAILSSRAILLKARKRRSRDPTRSKRTGSGTGPTGSVHKTPVLQSKAKVLGRLVPGCRKLSFSNLLEEASDYIAALEMQVRAMSALTQILTGVGSGSGSGSGSAAGAILEVHDDH >DRNTG_25086.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:694554:695781:-1 gene:DRNTG_25086 transcript:DRNTG_25086.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAISNPEAETAKERRRKRGSALEQTQASSSQWRTETEQKIYSSKLLEALRRLRHPESPSPSPSPPKSRAVREAADRALAVAARGRTRWSRAILSSRAILLKARKRRSRDPTRSKRTGSGTGPTGSVHKTPVLQSKAKVLGRLVPGCRKLSFSNLLEEASDYIAALEMQVRAMSALTQILTGVGSGSGSGSGSAAGAILEVHDDH >DRNTG_25086.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:694554:696037:-1 gene:DRNTG_25086 transcript:DRNTG_25086.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISNPEAETAKERRRKRGSALEQTQASSSQWRTETEQKIYSSKLLEALRRLRHPESPSPSPSPPKSRAVREAADRALAVAARGRTRWSRAILSSRAILLKARKRRSRDPTRSKRTGSGTGPTGSVHKTPVLQSKAKVLGRLVPGCRKLSFSNLLEEASDYIAALEMQVRAMSALTQILTGVGSGSGSGSGSAAGAILEVHDDH >DRNTG_25086.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:694622:696037:-1 gene:DRNTG_25086 transcript:DRNTG_25086.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAISNPEAETAKERRRKRGSALEQTQASSSQWRTETEQKIYSSKLLEALRRLRHPESPSPSPSPPKSRAVREAADRALAVAARGRTRWSRAILSSRAILLKARKRRSRDPTRSKRTGSGTGPTGSVHKTPVLQSKAKVLGRLVPGCRKLSFSNLLEEASDYIAALEMQVRAMSALTQILTGVGSGSGSGSGSAAGAILEVHDDH >DRNTG_25086.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:694554:695781:-1 gene:DRNTG_25086 transcript:DRNTG_25086.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAISNPEAETAKERRRKRGSALEQTQASSSQWRTETEQKIYSSKLLEALRRLRHPESPSPSPSPPKSRAVREAADRALAVAARGRTRWSRAILSSRAILLKARKRRSRDPTRSKRTGSGTGPTGSVHKTPVLQSKAKVLGRLVPGCRKLSFSNLLEEASDYIAALEMQVRAMSALTQILTGVGSGSGSGSGSAAGAILEVHDDH >DRNTG_25086.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:694622:695781:-1 gene:DRNTG_25086 transcript:DRNTG_25086.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAISNPEAETAKERRRKRGSALEQTQASSSQWRTETEQKIYSSKLLEALRRLRHPESPSPSPSPPKSRAVREAADRALAVAARGRTRWSRAILSSRAILLKARKRRSRDPTRSKRTGSGTGPTGSVHKTPVLQSKAKVLGRLVPGCRKLSFSNLLEEASDYIAALEMQVRAMSALTQILTGVGSGSGSGSGSAAGAILEVHDDH >DRNTG_33379.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:232:11219:-1 gene:DRNTG_33379 transcript:DRNTG_33379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGINDTLPEWVWNLTSHNLAILDLSNNHIKGMIPKIMTFTQIEKLDLSSNLFSGPLPDLHMHSPMVNYIDLSYNSFSGERLSMLTVLILKQNAFVNNIPQEISQLEYLQVLDLSSNDLSGPIPKSLSNLTSMQMLPKTTQEFSNFLQHNETMFLSLSGREDEYGFAVGSSVVWIILALKKKWRHAYFRSADNTYDKICVFVVVSAAALVPIAEHAANCIESERMALLDFKKHIKDPNNTLSSWVGQDCCSWEGVHCDNQTGNINTLELGWRISGQPYLEGEISASLIHLQHLKHLDLSHNFIGEPMPSFISQFKELRYLNLSGCFFAGQIPASFGNLSSLHTLDLSYNFGVHADDPAHQWLSHLTSLQHFDMSYSAISNSSTTLFLALNKLPSIKEIHLTDCGFQSIPVSFSQLNFSSLTVLDLSYNFINFSGISWMFNLKNLHYLNLGHNRPEPDTLSAPPEDRSFRVIVIPAEGSKQTYKISIPESIGSLCSLQTLDLSGLYINKTFAELGDAFSGCLMDSLTHLHLASVNLKGDIAGWIWNIKNFKVLDLSDNSISGSLSSSLAKLTQLEYVNLANNQLTGVISEAHFAQLEKLETLDMSSNSLVFNVNSNWVPPFLLKELKISSCSVGPEFPAWLQTQHKLHVLDMSQAGIADTMPDWFWNLTSRNFVYLDLSNNQIQGIIPKSLDFISMECIDLSSNRFYGPLPTIPSSKITYINLSNNSFSGSIPRNIVDDSHQFYLQILLSMNKLNSTLPSSFCQISGLMILDISENHLSGELPDCWFNSVRLTDMNLAGNNISGSIPNSICYIPHLQSLKLSRNKLSGEFPVSLKNCSNLPLLDLSHNNFSGRIPNWVGENLSSLNFLILKSNAFTDHIPQEISQLKNLQILDLSNNNFSGPIPKSLGNLTAMQMTPEKTYWLPVFMGDAQSMLLDLNQREDQYSSSTLSYVKYIDISINNLSGAIPEELANLYGLQSLNLSGNTLEDHKRQKLDESAHAVKRSLEFSDPGRVSRATQEDRA >DRNTG_02554.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5132982:5141220:1 gene:DRNTG_02554 transcript:DRNTG_02554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHLEESLERVLAQFDSSYHERRQELFSLGVLTSGQEISEIENVVVIEDHLDAHVQAEEVENNLEQGSENFEEIDRLKERRLRPLIEEPSELELKTLLAHLEYVFLMEESKLPVIMALNLSTDQKDKLMVFTQVFRPFLSLSPSYRRHGP >DRNTG_17061.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:39966:45370:-1 gene:DRNTG_17061 transcript:DRNTG_17061.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase type B catalytic subunit [Source:Projected from Arabidopsis thaliana (AT5G56740) UniProtKB/TrEMBL;Acc:Q1JPN3] MALKHKGEEPVPDAKKRKRVGFTKIDPGIEANECIKVFLVKNQDEVGDRSSFCIDPVDLNQLFGEDGKIYGYKGLKIDIWLSIVSFHGYAEFTFESTSDGGKGITDLKTALQNIFGESLIEKADFLESFSRESQCIRTVLSDGAVVRSVPLMGDETSETHVDAEVSAVEVIRMNLLNMPVGLLYSRLIPLVLLLVEGGSPIDIADPRWEIYFVVKKTRDKSGDYSMKLLGFAAAYLFYHYPDSTRLRISQILVLPQYQGKGYGRLLLESVNFVAVSENVYDVTFEEPSEYLQHLRTCIDTLRLLSFEPLKSAINSAISSLKEGNLCKKTSKLLSGPPPRMTEIVRQKLKINKKQFLRCWEVLIYVNLDPKDKKCMDNFKTSVSDRVRSDILDKDSENNGKRLIEVPNDYGHDMTFIVFQSNTDEEVDDQNGSLASDQSTTQEEQLNQLVDKQMKDIIEIAKKVSSFDNKQ >DRNTG_27411.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001396.1:237399:241166:1 gene:DRNTG_27411 transcript:DRNTG_27411.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNase Z TRZ2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G04530) UniProtKB/Swiss-Prot;Acc:Q8L633] MPSMRISLGNLSSPASRFPLLLPGEPPFRAPYLPPLRAAVERVRMVKMAAAGERRRAGYVSVVERALAAEEEYRRARAEVQRKGVEVEGYGIEGISIGGHETCVIVPSLNVAFDIGRCPARAVHQDFLFITHAHLDHIGGLPMYVATRGLYSLKPPTIFLPSCIKEDVEKLFDIHRSMSQTELNLEIVALDIGDTYEIRNNLVVRPFKTHHVIPSQGYVIYSMRNKLKKQYAHLKGPQLKNLKVSGIQITDTILSPEVAFTGDTTSDFILEPRNADALRAKVLITEATFLDEENDVEHARQHGHMHLSEIIANAQWFRNKAILLTHFSSRYKIEDIRQAIAKLQPKLSPKIVALTEGFRSAYPQ >DRNTG_29873.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1990211:1990975:1 gene:DRNTG_29873 transcript:DRNTG_29873.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHMTRQEYVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEA >DRNTG_18853.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2509680:2521261:1 gene:DRNTG_18853 transcript:DRNTG_18853.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLNHPQTLKRLKSEIKQHIGHERLVKDTDIPKLNYLNNVIKETLRLYPPVPLLIPHESSKPCNISGLHVPENTILLVNAYAIQRDPKFWGTDSMEFKPERFEGYHDDDLDDKGLKYMPFGYGRRRCPGEGMGMKVVALALASLVQCFEWERVGEEIVSLDEGHGLTMPMASPLHAKLKPCIDMVHVLSQLSN >DRNTG_18853.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2520531:2521261:1 gene:DRNTG_18853 transcript:DRNTG_18853.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILAGTETSAASIEWAMALLLNHPQTLEKLKSEIKQHIGHERLVKDTDIPKLNYLNNVIKETLRLYPPVPLLIPHESSKPCNISGLHVPENTILLVNAYAIQRDPKFWGTDSMEFKPERFEGYHDDDLDDKGLKYMPFGYGRRRCPGEGMGMKVVALALASLVQCFEWERVGEEIVSLDEGHGLTMPMASPLHAKLKPCIDMVHVLSQLSN >DRNTG_18853.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2509680:2510064:1 gene:DRNTG_18853 transcript:DRNTG_18853.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLNHPQTLKRLKSEIKQHIGHERLVKDTDIPKLNYLNNVIKETLRLYPPGPLLVAHESSKPCNISGLHVPKNTILLVNAYAIQRDPKLWGTF >DRNTG_03321.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15349869:15357468:-1 gene:DRNTG_03321 transcript:DRNTG_03321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKPSPPSPPPPLALPLPYHQKSSTLPLPTAAAVSSCPDKRHLPALPPVNDSFRRRHDELIFSKVETLYSTVQRYSEALESLQKLMSIQQTMMNDMLMLLDKLKTASDHSFSPSLSSPGTGFPILLATSIYPLADSSSPITHLRPPIISPILTSSHPLILPISSLPPTSSSLPIATTSIDQVHHATLKDGQDVVVKGKANMSFMEYAEKIFDQMTQRDIVSWNALVCGYMWSGYCQEMFEDLQDMLVGSLMPSVSSWVSVIPICMLVGEFSSGKSLHAFAIQCDVLEDEVMISTLVSMYVCSNDLYYVRKLFKVMFEQHLVAWNAIILAYAKVGKLSEGYGFFQLMHNDMRLNLLRLMSILPSRGNLENACDGEFVHIIGITFGLTYNVGVLFALVSMHSKLRELDAT >DRNTG_18985.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22717711:22718724:1 gene:DRNTG_18985 transcript:DRNTG_18985.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent protein kinase inhibitor SMR5 [Source:Projected from Arabidopsis thaliana (AT1G07500) UniProtKB/Swiss-Prot;Acc:Q9LNX4] MASDAEIGEMEGWETPKRGECRIPAVISCPPPPKKKSPAFTKRRDPPKNGYFHPPDLEALFALAPRQREACA >DRNTG_03753.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000177.1:12065:12568:1 gene:DRNTG_03753 transcript:DRNTG_03753.1 gene_biotype:protein_coding transcript_biotype:protein_coding TILISILYNLKVPDASSAQQTPRGNNHSHESSHQANPNASSNAV >DRNTG_18339.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1639727:1640368:-1 gene:DRNTG_18339 transcript:DRNTG_18339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYCMAIVAVAPQAIENWALKQGIKFTGIALFADLCQKEETLEAVHVSLVKAAKQAHLQKFEIPSKIKLLPEPWTAESGLVTAALKLKREVIKKKVFAEDLAQLYA >DRNTG_20230.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4051462:4053382:-1 gene:DRNTG_20230 transcript:DRNTG_20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSFSRSRHPKPSPPPSFSATATMLAGSDSDLDRDHTVDLPDECLALVFHSLGSGDRKRCSLVCRRWLAVEGQSRQRLSLDARAALLSAAPSLFSRFDAVSKLALKCDRRSDSIGDEALALISLRCPNLTRLKIRACRALTDAGMAALAAHCTSLRKLSCGSCAFGSKGIDAVLKGCPLLEELSIKRLRGLADAGDPVGPGVAAGLAS >DRNTG_03137.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21447281:21452741:1 gene:DRNTG_03137 transcript:DRNTG_03137.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABA1a [Source:Projected from Arabidopsis thaliana (AT1G06400) UniProtKB/Swiss-Prot;Acc:P28185] MAGYRGDEEYDYLFKLVLVGDSGVGKSNLLSRFTRDDFNLDSKSTIGVEFATRSVNVNGKVIKAQIWDTAGQERYRAVTSAYYRGAVGALLVYDITRHVTFENAKQWLKELRDHTDPNVVLMLVGNKSDLRHLVAVSTEDDLPNCEQECSWDW >DRNTG_21208.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:11383772:11399985:1 gene:DRNTG_21208 transcript:DRNTG_21208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFHQEMKATRLLIAPVIGKDATGVGGKGRILSLQHPKSGSRTSYMLIDGSLQEFQWFKQSYGSWFIGDYVCKDGSLYIATPIDTVFILLPVFEVARMKKGNDAGMFRQLEEILFVDGYPGYQHLMPTAENCMHLVCEIKEIGSTKFYRLENSKVLAWLCHKVHHLEAVLAKLDKNYAVREGDQLRDAVFLLGEYLEEPLFQLLCGHLKLNFDEVSSKEPKTEFHLISSGSNNPNTFHPTQMKDQNGKNTSSSGKKSKKLKSETDSQNIKDMFHRASRRESK >DRNTG_32587.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23999192:24005607:1 gene:DRNTG_32587 transcript:DRNTG_32587.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESVKPSLFTYWLLIDTKGRANDIPGMEQVVGKMKAEGMEPDLNIQAMLAKHYIFGGLKEKAESVLKEMEGDDIMENRYACKSLLPLYAALGKAEDVERVWKVCQTHPRLDECLAAIDAWGKLDNVENAEKVFEDLMRTWKRPSSKYYNALLKVYANHKLLSKGKELAKRMSDNGCRIGPLTWDALVKLYVESGEVEKADSILQKASQQNQIKPLYSSYLTVLDQYAKRGDVHNAEKIFHRLKQIGYAGRMRQYQALLQAYINAKTPAYGFRDRMKADNMFPNKPVAAQLAAVDAFRKTQISELLD >DRNTG_32587.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24004065:24005607:1 gene:DRNTG_32587 transcript:DRNTG_32587.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESVKPSLFTYWLLIDTKGRANDIPGMEQVVGKMKAEGMEPDLNIQAMLAKHYIFGGLKEKAESVLKEMEGDDIMENRYACKSLLPLYAALGKAEDVERVWKVCQTHPRLDECLAAIDAWGKLDNVENAEKVFEDLMRTWKRPSSKYYNALLKVYANHKLLSKGKELAKRMSDNGCRIGPLTWDALVKLYVESGEVEKADSILQKASQQNQIKPLYSSYLTVLDQYAKRGDVHNAEKIFHRLKQIGYAGRMRQYQALLQAYINAKTPAYGFRDRMKADNMFPNKPVAAQLAAVDAFRKTQISELLD >DRNTG_27242.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20096734:20099043:1 gene:DRNTG_27242 transcript:DRNTG_27242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAADKLFVKLQDVESEIQTDLVKFAGVTKLKRKHLEARYKEQQDQLRIVHDKFKEDVNQHLLDYKNTIGEFKADQMELKTSLERQKASHKKLLLQVEDQMKVQLHDAETSILEVHKATRKKMKDLKHVLREFVQEGIFS >DRNTG_27242.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20097322:20099043:1 gene:DRNTG_27242 transcript:DRNTG_27242.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISFSLSLDFVSLKKFHHQVYCDAEQQDQLRIVHDKFKEDVNQHLLDYKNTIGEFKADQMELKTSLERQKASHKKLLLQVEDQMKVQLHDAETSILEVHKATRKKMKDLKHVLREFVQEGIFS >DRNTG_15855.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25217141:25218371:1 gene:DRNTG_15855 transcript:DRNTG_15855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHLRFSPLSNPSITPRFLSHLPSTSLPFCSRPSSPTSLSLFTSFAGSDNPPIGPRDGGRGGGNGNGDGHLPDKPEPSNSSGLIGILLEGWRSRVSADPQFPFKVLMEELVGVSACVLGDMATRPNFGLNELDFVFSTVVVGSILNFVLMYLLAPTSLSTTTLPGLFSSCPPSHMFESGSFSFPSRFGTFVYKGLTFAAVGFIAGLAGTAISNGLIAFRKRMDPEFETPNKPPPTVLNAFTWALHMGLSSNLRYQTLNGIEFLMAKVLPPAGFKVSVVGLRCLNNVLGGASFVMLARLTGSQKVGEKREELKESLCAVVDNTDGELERRIDGSESK >DRNTG_30350.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001563.1:30638:33743:1 gene:DRNTG_30350 transcript:DRNTG_30350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGAITCKAAVAWGPGEPLIIEEVLVDPPKAMEIRIKVVCTSLCRSDVTQWESKGQPAIFPRIFGHEASAIVESIGEGVSEFEVGDHVLTLFTGECKICKHCVSEKSNMCQALGLERKGVMHSDQMTRFSKKGKPVYHYCAVSSFSEYTVVHSGCAVKISKTAPMDRVCLLSCGVAAGLGAVWKVADIFKGSKVVIFGLGTVGLSVALGAKLREASQIIGVDTNPQKFEKGKAFGVTDFINPEDCSEPIFQVIKQMTDGGADFSFECVGETEVVSTALKSCSDGWGVTVTLGVPKTNPEISAHYGLLLSGRTLRGSLYGGWKPKSDLPSLVDKLLRKEITVDDLVTHDIPFDDINEAFRLMKEGKCLRCVIHMAK >DRNTG_30350.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001563.1:30638:33743:1 gene:DRNTG_30350 transcript:DRNTG_30350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSGNPRIVESIGEGVSEFEVGDHVLTLFTGECKICKHCVSEKSNMCQALGLERKGVMHSDQMTRFSKKGKPVYHYCAVSSFSEYTVVHSGCAVKISKTAPMDRVCLLSCGVAAGLGAVWKVADIFKGSKVVIFGLGTVGLSVALGAKLREASQIIGVDTNPQKFEKGKAFGVTDFINPEDCSEPIFQVIKQMTDGGADFSFECVGETEVVSTALKSCSDGWGVTVTLGVPKTNPEISAHYGLLLSGRTLRGSLYGGWKPKSDLPSLVDKLLRKEITVDDLVTHDIPFDDINEAFRLMKEGKCLRCVIHMAK >DRNTG_26076.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4152958:4156926:-1 gene:DRNTG_26076 transcript:DRNTG_26076.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPVGMLALPPSAALSPSLGTISIASQNHGFLRASSNFRRWAVDRRHLQHRSVLSSGQKWLCGFIRRHRDKHICLNEVGDGSSMFGSDEEGGSQVPTQAQSIVEGSGTVLVSEYKPIPDLDYLQELLAIQQQGPRAIGFFGTRNMGFMHQQLIEILSYAMVITKNHIYTSGASGTNAAVIRGALRAEKPELLTVILPQSLKMQPPESQELLSKVQNVIEKPQNDHLPLIEASRLCNMDILSHVQQVICFAFHDSRLLMETCQEAKNLRKIVTLFYLD >DRNTG_26076.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4152958:4154482:-1 gene:DRNTG_26076 transcript:DRNTG_26076.2 gene_biotype:protein_coding transcript_biotype:protein_coding SFQELLAIQQQGPRAIGFFGTRNMGFMHQQLIEILSYAMVITKNHIYTSGASGTNAAVIRGALRAEKPELLTVILPQSLKMQPPESQELLSKVQNVIEKPQNDHLPLIEASRLCNMDILSHVQQVICFAFHDSRLLMETCQEAKNLRKIVTLFYLD >DRNTG_31570.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001723.1:23923:25717:-1 gene:DRNTG_31570 transcript:DRNTG_31570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFWGLKSINSPREGDGGPERRRRLCRRRSTGDRSGKASWIILGIGGEEERDKSVGGCGNE >DRNTG_16232.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000801.1:2892:5512:1 gene:DRNTG_16232 transcript:DRNTG_16232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDENEDVEDLERRINERYRRPVHIEYGEDATDVEQQSLLPSVKDPKLWMVKCAIGHERETAICLMQKFIDRSDIQIRSAVALDHLKNYIYVEADKEAHVKEACKGLRNIFSSAKIMLVPIKEMTDVLSVESKSVDLSRDTWVRMKLGIYKGDLAKVVDVDNVRQKVTVKLIPRIDLQMIANKLEGREVVKK >DRNTG_16232.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000801.1:2892:5201:1 gene:DRNTG_16232 transcript:DRNTG_16232.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDENEDVEDLERRINERYRRPVHIEYGEDATDVEQQSLLPSVKDPKLWMVKCAIGHERETAICLMQKFIDRSDIQIRSAVALDHLKNYIYVEADKEAHVKEACKGLRNIFSSAKIMLVPIKEMTDVLSVESKSVDLSRDTWVRMKLGIYKGDLAKVVDVDNVRQKVTVKLIPRIDLQMIANKLV >DRNTG_18510.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1597361:1600045:-1 gene:DRNTG_18510 transcript:DRNTG_18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARRKIQKDKDLEPTEFEDSVAQALFDLENSNQELKSDLKDLFINSALQYDVAGNRKAVVIRVPYRLSKAYRKIHVRLVRELEKKFSGKDVILIASRRILKPPKKGSAAVRPRNRTLTAVHEAILEDVVYPAEIVGKRIRYRLDGSKIMKVLLDPKERNNTEYKLETFAGVYRKLSGKDVVFEYPTTENA >DRNTG_32865.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32131890:32135467:-1 gene:DRNTG_32865 transcript:DRNTG_32865.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter ZTP29 [Source:Projected from Arabidopsis thaliana (AT3G20870) UniProtKB/Swiss-Prot;Acc:Q940Q3] MDSQVLVALAFSMVGGLSTSIGALLVVLNPTPNLKMLGLLQGFAAGLMLSISFLDLAHNAMNSIGFLKGNLWFFAGVILFAFIVNFIPEPTLVPNADERKKQEDDDGSGKDLMKKHRRQVLFSGIITAVGISLHNFPEGMAVFLGSMKGLRVGLNLALAIALHNIPEVSPQLIWNYSY >DRNTG_14748.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:177472:182998:1 gene:DRNTG_14748 transcript:DRNTG_14748.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLSLENFPSLSVVGRLQRVTSDACRPRVDEAELDNCMAECRQCSSSNSCQDFDMYAWRRQKRDMLFSDTINLRRTCFCRDRGVMDNACHSWYSQDRGYCSSCNHMEIRDIAHKFFRGLPRFVKIVEVGPRDGLQNEKSTVPTMVKVELIQRLAVTGLSVVEATSFVSPKWVPQLADAKDVMKAIRNTQGARFPVLTPNLKGFNAAVAAGAKEVAIFASASEAFSMSNINCSIKDSLARYQEVVFAAKKLGMPIRGYVSCVVGCPVEGAVPPSKVAFVAKELYDMGCYEISLGDTIGVGTPGTVIPMLEAVMSVVPREKIAVHFHDTYGQSLANILISLQMGISAVDSSVAGLGGCPYAKGASGNVATEDVLYMLNGLGIKTNVDLNKLMAAGDFICKHLGRQSGSKTVIALSKITPDASKI >DRNTG_10356.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4906062:4907369:-1 gene:DRNTG_10356 transcript:DRNTG_10356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMVVVVPLLFDFPMRMLPADLVGGSPVWISLLGHFFGVYGGHGGSQKQWEKAFINCFLKVNDEVYGEISRGTSGTTSDAFEEISISLGSGSAVMEDEYARIEAEGGEIVIQSNSYRVFGELPVSRSIGDRYLKPFIIPDPEITVVQRTSEDECLILASDSLWDVMSNEEVCDAAHKQILLWHKKSAGTTTMPSRIQKGEEADSTAQADADYLSNLAMEKGSMDNITVIVVDLKAEMRKLVSRNFIMVKALSFDENEWVTIHSFLGKKRKEKRASSKEEKEELGFLEKARLEGFIIGSVLILWLDPSTLIP >DRNTG_18850.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2536677:2545603:1 gene:DRNTG_18850 transcript:DRNTG_18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRDLGILLLSALAIFFTLQQEGDFSFKEAWFHLSDEYPIKYEAERLPPPIVADLNGDGKKEVLVATHDAKIQVLEPHSRRGDEGFSEAQVLAEVSLLPDKIRVASGRRPVAMVTGVINRFYKHGEIKKQVLVVVTSGWSVMCFDHNLKKLWENNLQEHFPHGSHHREIAISISNYTLKHGDSGLVIVGGRMEMQHQSTMDLFEETLASEKSSESQRRSATETEASGDSPTVDLRHFAFFAFAGQSGSLRWSRKNENIEAHSSDASQLIPQHNYKLDVHSLNSRHPGEFECREFRESILGVMPHQWDRREDTRFELAHFRRHKRKSLKKTPGKVTTSPFHKPMENHPPGKDPSNKIANLIGTAANYAGSAKANKGVHYIPTITNHTRLWWVPNVVVAHQKEGIEAVHLASGRTICKLHLPEGGLHSDINGDGVLDHVQVVGGNGAEQAVVSGSMEVLKPCWAVGTSGVPVREQLFNVSICHHAHFSLFHHGEFARSFGRNTDAGLLEVASPILIQRDDGHKHRKRSHGDVIFLTNRGEVTSYSSNLHGHDAIWRWQLLTGATWSNLPSPSGMTEATVVPTLKAFSLGKFSKQEIIIAAGDQEAVVISPEGSQLATFDLPGPPTHTLVLEDFSGDGLTDVIVVSSSGTYGFVQVRHPGALFFSTLVGCLIVIIAVIFVSQHLNSVNKSKPRASTDYR >DRNTG_17362.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32281032:32282807:-1 gene:DRNTG_17362 transcript:DRNTG_17362.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABCG10 [Source:Projected from Arabidopsis thaliana (AT1G53270) UniProtKB/TrEMBL;Acc:A0A178WBC4] MDLPLSSPEPSHRKTQYHIQTRSLSYTLPSSSGNSLWSSCHNFLHPQTRSILKDITCEANPGELLAIVGPSGAGKTTLLSILAGVIHPQRISGDVLINGIPMNISRFRRISGYVSQEDTLFPLLTVKESLLYTARLRLGVTVHESMALVFDLLKALGLDHVAETKIGGGISGGERRRVSIGNELVHNPSVLLLDEPTSGLDSVSALHIIHMLKSMAMIQSKTIVLTIHQPGFRILELLDKLLLISGGIVCHNGSIESLEKKLIDAGHYIPHHINILEFAMDSLSSSSTSSTSSNPLELYHRLVIPEEKKQIQYPNSRCRETSILTERFFKNILRTRQLFTARLIQSAIAGIGLGTVFMNVHNLQARVGFFAFSLTFLLSSTTEGLPIFLQERRILMKETSRGAYRVSSYVAANALVFLPFLLTVALLYSIPVYFLVGLRRQMDCFLYFSLVVWMVMLMANSFVAFFSALVPNFIMGNSLIAGFMGSFFLFSGYFISRDSIPKYWIFMHYLSLFKYPFEAFVINEYGGDAGRRQCMQVEGSVCVLDGGLFLRQQGFKEVEKWRNIGVMLGFICGYRILSLLVLWFRCYRVRR >DRNTG_35167.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26157833:26160939:-1 gene:DRNTG_35167 transcript:DRNTG_35167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYEKLEKVGEGTYGKVYKAKDTATGQLVALKKTRLEMDEEGIPPTALREISLLQMLSHSIYIVRLLNVEHADKNGKPVLYLVFEYLDTDLKKFIDHHRKGPNPGPLSSQVIQSFLYQLCKGVAHCHSHGVLHRDLKPQNLLVDKEKGILKIADLGLGRAFTIPLKSYTHEIVTLWYRAPEVLLGTSHYSTGVDMWSVGCIFAEMVRRQALFPGDSELQQLLHIFRLLGTPTEEQWAGVSSLRDWHEYPQWKPQNLARAVPSLDADGVDLLSKMLQYNPANRIAAKAAMDHPYFDSLDKSQF >DRNTG_35167.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26157833:26159043:-1 gene:DRNTG_35167 transcript:DRNTG_35167.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRQALFPGDSELQQLLHIFRLLGTPTEEQWAGVSSLRDWHEYPQWKPQNLARAVPSLDADGVDLLSKMLQYNPANRIAAKAAMDHPYFDSLDKSQF >DRNTG_24049.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26550422:26551017:1 gene:DRNTG_24049 transcript:DRNTG_24049.7 gene_biotype:protein_coding transcript_biotype:protein_coding MERIRTRSPVYSRQKSNSDSSSAAAAAPPAAPSSPVMSPMHRHIRTSSGGGAAVSRRQQNSATRAAMQRLARVMAHQPSNDAGSSSDEDDDDLIPVVEHSTPRRSQRSPSPAVLGSSLSSHLLGFSSSSLLILFRIS >DRNTG_24049.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26550422:26554296:1 gene:DRNTG_24049 transcript:DRNTG_24049.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERIRTRSPVYSRQKSNSDSSSAAAAAPPAAPSSPVMSPMHRHIRTSSGGGAAVSRRQQNSATRAAMQRLARVMAHQPSNDAGSSSDEDDDDLIPVVEHSTPRRSQRSPSPAVLGRYLAEQTSSVLSTSNARPSMAVKTSATLIPPIKPSSRALSATLPSESILSNRREKRMSVDLGTLNTRETAPPRSSSALQDEIDMPQEENENIIEKLRIAEGIYEEAEARVKQLEKQNASNAALQTRQAMNEEISALRSATKKASNEAASKAENIHEGDSEIRSLRTTAQRMMLTQEEMEEVVLKRCWLARYWKLCVQHEILSDIAEDKYEYWSSFAPLPLEVVISAGQKARDNCSTGMRSILIGQCQPFQISLVK >DRNTG_24049.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26556588:26556878:1 gene:DRNTG_24049 transcript:DRNTG_24049.8 gene_biotype:protein_coding transcript_biotype:protein_coding GPLLQSEVQNPTETFELNQEESDDVLFKQ >DRNTG_24049.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26550422:26558070:1 gene:DRNTG_24049 transcript:DRNTG_24049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIRTRSPVYSRQKSNSDSSSAAAAAPPAAPSSPVMSPMHRHIRTSSGGGAAVSRRQQNSATRAAMQRLARVMAHQPSNDAGSSSDEDDDDLIPVVEHSTPRRSQRSPSPAVLGRYLAEQTSSVLSTSNARPSMAVKTSATLIPPIKPSSRALSATLPSESILSNRREKRMSVDLGTLNTRETAPPRSSSALQDEIDMPQEENENIIEKLRIAEGIYEEAEARVKQLEKQNASNAALQTRQAMNEEISALRSATKKASNEAASKAENIHEGDSEIRSLRTTAQRMMLTQEEMEEVVLKRCWLARYWKLCVQHEILSDIAEDKYEYWSSFAPLPLEVVISAGQKARDNCSTADLEEDKLSWDANDVPGEGNIESLLLVEKGLKELASLKVEEAVLVAIAQHRHANVRLGHFSGPLLQSEVQNPTETFELNQEESDDVLFKQAWLTYFWRRAKNHGLEEDIADERLQFWINQSSHSPTLQDAVDVERGLFELKKLGIEFQLWKAIREENFPDFSHHQRFRTESEL >DRNTG_26425.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23934222:23934972:1 gene:DRNTG_26425 transcript:DRNTG_26425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIRRSSVFDPFSLDLWDPFDSFQGLFNNALSVPRSNFASDTAAIANTRIDWKETPEAHVFKADLPGVKKEEVKVEVEDGRVLKISGERSKEHEEKNDKWHRVERSSGKFLRSFRLPENAKVDQVKASIEDGVLTVTVPKEEIKKPEVKAIDISG >DRNTG_34714.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11998465:11999173:1 gene:DRNTG_34714 transcript:DRNTG_34714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLKVAPKVPMFSPSMQGCYGPRSLRFARWRLRNT >DRNTG_09851.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000417.1:45781:46132:1 gene:DRNTG_09851 transcript:DRNTG_09851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALITTLDELQLFTKAVERWQCDGSEELPEYMKICYNSLYNTAEELANKIQKLEGWDCMPYIGKA >DRNTG_04423.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22137038:22143072:-1 gene:DRNTG_04423 transcript:DRNTG_04423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRQRIHDAFKETMERMTGPRMVSAFKEKGVLSVSDFVKAGDNLVSKCPTWSWEVGEPSKKKVYLPANKQYLITRNVPCLRRAYSVEEEYEAAGGEVLLDNEDNDGWLATHGKPKETKNEEEDDLPSMETLEISKGRAIQSIPSYFGGEEEDDIPDMADFDDSGNLVEPDDPATLRNQYFVAHEPEDENILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLQPELVLEDVSQDHAHKTVTIEDHPHLPGKHASVHPCRHGAVMKKIIDVLMSRGVEPEVDKYLFLFLKFMASVIPTIEYDYTMDFDLGSSSS >DRNTG_04423.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22137038:22143072:-1 gene:DRNTG_04423 transcript:DRNTG_04423.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRQRIHDAFKETMERMTGPRMVSAFKEKGVLSVSDFVKAGDNLVSKCPTWSWEVGEPSKKKVYLPANKQYLITRNVPCLRRAYSVEEEYEAAGGEVLLDNEDNDGWLATHGKPKETKNEEEDDLPSMETLEISKGRAIQSIPSYFGGEEEDDIPDMADFDDSGNLVEPDDPATLRNQYFVAHEPEDENILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLQPELVLEDVSQDHAHKTVTIEDHPHLPGKHASVHPCRHGAVMKKIIDVLMSRGVEPEVDKYLFLFLKFMASVIPTIEYDYTMDFDLGSSSS >DRNTG_13182.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:16346365:16348862:1 gene:DRNTG_13182 transcript:DRNTG_13182.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRVAIGSKNDSNDHAGIVLVYRTKDFLSYELLPGVMHQVNGTGMWECVDFFPVSTTADVGLDTSTPAGDGVKHVVKVSLDDDKHDYYAIGTYDAVEDTWRPDDKEMDVGIGLRVDYGKYYASKSFYDEKKQRRVLWGWVGETDSELTDLRKGWASVQVITQIPPLISVKKKLKVFSEKFLFLSPADLSALPFALSVFIFIFLSIFLKRFILNF >DRNTG_13182.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:16346365:16351488:1 gene:DRNTG_13182 transcript:DRNTG_13182.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQILVSCCINMSRLLHAAPLYYKGWYHLFYQYNPDSAVWGNITWGHAVSRDLIHWLYLPVAMVPDHWYDANGVWTGSATFVSTDKLVVLYTGSTTNSVQVQNLAFPANLSDPLLIDWVKSESNPVLVPPPGIGLKDFRDPTTAWLVQSDMSWRVAIGSKNDSNDHAGIVLVYRTKDFLSYELLPGVMHQVNGTGMWECVDFFPVSTTADVGLDTSTPAGDGVKHVVKVSLDDDKHDYYAIGTYDAVEDTWRPDDKEMDVGIGLRVDYGKYYASKSFYDEKKQRRVLWGWVGETDSELTDLRKGWASVQTIPRTVLFDYKTGSNLLQWPVEEVESLRLTSKNFHAIKLPPGSLVPLNLTSATQLDILAEFDVEDSSLVEAIEADVGYNCSTSGGAAGRGVLGPFGLIVLADENLSELTSVYFYIAKGVDGGLSTFFCQDGLRSSKANDLVKRVYGSTVPVLEGETLSVRTLVDHSIVESFAQGGRTCITSRIYPTEAIYANSRIFLFNNATHAKVTTKSLEIWDMEKACIHPYPSN >DRNTG_13182.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:16346365:16348862:1 gene:DRNTG_13182 transcript:DRNTG_13182.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQILVSCCINMSRLLHAAPLYYKGWYHLFYQYNPDSAVWGNITWGHAVSRDLIHWLYLPVAMVPDHWYDANGVWTGSATFVSTDKLVVLYTGSTTNSVQVQNLAFPANLSDPLLIDWVKSESNPVLVPPPGIGLKDFRDPTTAWLVQSDMSWRVAIGSKNDSNDHAGIVLVYRTKDFLSYELLPGVMHQVNGTGMWECVDFFPVSTTADVGLDTSTPAGDGVKHVVKVSLDDDKHDYYAIGTYDAVEDTWRPDDKEMDVGIGLRVDYGKYYASKSFYDEKKQRRVLWGWVGETDSELTDLRKGWASVQVITQIPPLISVKKKLKVFSEKFLFLSPADLSALPFALSVFIFIFLSIFLKRFILNF >DRNTG_13182.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:16346365:16351488:1 gene:DRNTG_13182 transcript:DRNTG_13182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMNRQDIESPLIISRPSPPTLKPWFLLKAASITFLLLTLVFLLSSRTPLSSSQSQQPVLTSHGPSQGVSEKSINQELISSTPFPWTDSILQWQRTSFHFQPQKNWMNDPDAPLYYKGWYHLFYQYNPDSAVWGNITWGHAVSRDLIHWLYLPVAMVPDHWYDANGVWTGSATFVSTDKLVVLYTGSTTNSVQVQNLAFPANLSDPLLIDWVKSESNPVLVPPPGIGLKDFRDPTTAWLVQSDMSWRVAIGSKNDSNDHAGIVLVYRTKDFLSYELLPGVMHQVNGTGMWECVDFFPVSTTADVGLDTSTPAGDGVKHVVKVSLDDDKHDYYAIGTYDAVEDTWRPDDKEMDVGIGLRVDYGKYYASKSFYDEKKQRRVLWGWVGETDSELTDLRKGWASVQTIPRTVLFDYKTGSNLLQWPVEEVESLRLTSKNFHAIKLPPGSLVPLNLTSATQLDILAEFDVEDSSLVEAIEADVGYNCSTSGGAAGRGVLGPFGLIVLADENLSELTSVYFYIAKGVDGGLSTFFCQDGLRSSKANDLVKRVYGSTVPVLEGETLSVRTLVDHSIVESFAQGGRTCITSRIYPTEAIYANSRIFLFNNATHAKVTTKSLEIWDMEKACIHPYPSN >DRNTG_13182.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:16349790:16351488:1 gene:DRNTG_13182 transcript:DRNTG_13182.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRGGEFKAHVQELPCNQASPRFTGASQPHQCHTVGHISRI >DRNTG_13182.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:16346365:16346765:1 gene:DRNTG_13182 transcript:DRNTG_13182.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMNRQDIESPLIISRPSPPTLKPWFLLKAASITFLLLTLVFLLSSRTPLSSSQSQQPVLTSHGPSQGVSEKSINQELISSTPFPWTDSILQWQRTSFHFQPQKNWMNG >DRNTG_13182.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:16349790:16351488:1 gene:DRNTG_13182 transcript:DRNTG_13182.5 gene_biotype:protein_coding transcript_biotype:protein_coding LDILAEFDVEDSSLVEAIEADVGYNCSTSGGAAGRGVLGPFGLIVLADENLSELTSVYFYIAKGVDGGLSTFFCQDGLRSSKANDLVKRVYGSTVPVLEGETLSVRTLVDHSIVESFAQGGRTCITSRIYPTEAIYANSRIFLFNNATHAKVTTKSLEIWDMEKACIHPYPSN >DRNTG_07360.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000334.1:27693:28001:1 gene:DRNTG_07360 transcript:DRNTG_07360.2 gene_biotype:protein_coding transcript_biotype:protein_coding AKDGKEDLYDFAFVDADKTNYGEYHERLLKLVKIGGAIIYDNTLWLGTVVEPLDFSFNEAADLEVRNFLVKFNKFLAADSRVEISQVCIGDGLTICMRIL >DRNTG_07360.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000334.1:27103:28001:1 gene:DRNTG_07360 transcript:DRNTG_07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEAKDGKEDLYDFAFVDADKTNYGEYHERLLKLVKIGGAIIYDNTLWLGTVVEPLDFSFNEAADLEVRNFLVKFNKFLAADSRVEISQVCIGDGLTICMRIL >DRNTG_18080.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:269729:270830:-1 gene:DRNTG_18080 transcript:DRNTG_18080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKISFESEKYLVRRKYSKVTTPPPSLVSCSFSKISIEIYYATIINLIKPNKARVS >DRNTG_18080.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:269729:277434:-1 gene:DRNTG_18080 transcript:DRNTG_18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding YCTTRCPPETPWNCYPWTIVKATEDPEGKAAPDARDSGGVPHQSSARLRIRPHQLAVRPPQCPPQRHHYPSPSLFVDTLGLCYHKR >DRNTG_13427.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10347555:10351378:1 gene:DRNTG_13427 transcript:DRNTG_13427.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NifU-like protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G01940) UniProtKB/Swiss-Prot;Acc:Q93W77] MASLSATSFLNPRGNPSYAHAPIPKPHQFHQSPFGIKKTGSLIRVFVSSSPFEALQAPNSSGSTPPGLYSAKVYELTVENVNLVLEDVRPYLIADGGNVDVVSVEDGVVSLQLQGACGSCPSSTTTMKMGIEKVLKEKFGDAVKDIHQVSDDLNTETTVEAVNDHLDILRPAIKNYGGSVQVIRVEDGDCFVNYIGPDSIGSGIKAAIKEKFPDITDVLFTS >DRNTG_20919.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25502970:25505123:-1 gene:DRNTG_20919 transcript:DRNTG_20919.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAYGPHKIASEFHGVDFAAVNSAIFTVAFQDNPPLPHPTKANDSGPSVVFTCQVIAKIHKSEKIAHDEYADKHSKILGYPVLVFTIFLTVADMIFLLLTSGRDPGIVPRSIRPLDPDENFDVATPSMEWVSGRTPNLRFPRTKDVTVNGFIVKVKYCDTCFLYRPPRASHCSVCNNCVMKFDHHCPWVGQCIGLRNYRFFFMFISSATFLCIYIFTFSLMNIFQERKFYSSLWRSMSAEVLSLVLIIYTFLAVWFVGGLTVFHLYLIITNQTTYENFRYHYDKKVNPYNRGLFRNFIDIFFSRMPPSLNDFRSSVLEDPITFKSSTPKFSLNIINPNEKINVDIGNKLTFDNNSQIPSILKDFDYGIIDNDAKDKNRTDNNDPDPFALLVNQEPIFDEPIETEERSSEVLDLQEMPVCFDQDAELRRP >DRNTG_24524.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6017811:6024349:-1 gene:DRNTG_24524 transcript:DRNTG_24524.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVERSEKKKAHHMHGFFSFADWIDVLLMLLGTVGAVGDGCSTGFILIFASSVMNSLGGHAHGDQKQNNMKFMHEVEKDCLYFVYIGLAVLVVAFMEGYCWSRTSERQVLRIRYRYLESILKQEVEFFDSQEATTSEIIDSISRETSLIQEVLSEKVPTFLMHSSVFISGIIFSTYFSWRLALVAFPLLLLLVIPGIIYGKYLLYLSNATRKEYSKANTIIEQALSSIKTVYSFTAEKRIMKKYETILDSTVKLGIKQGIAKGLAVGSTGLSFAIWGFLAWYGSRLVMYHGESGGRIYAAGISFVLGGLSLGMALPEVKHFTDASIAATRILERINRIPKIDTEDPKGLTLDHIQGEIEFKNIRFSYPSRPDTFVIKDFTLCVPAGKTVALVGTSGSGKSTAIALLQRFYDTDEGIVKIDGIDVKNLQLKWIRGKMGLVSQEHGLFGTSIKENILFGKPEATMDEVVAAAMTANAHNFIRQLPEGYNTKIGERGALLSGGQKQRIAIARAVIKNPVILLLDEATSALDSESEKLVQNALDQASMGRTTLVVAHKLSTIRNADQIAVIDGGRIIEIGTHEELISRNDSHYSRLAKLQRLCSNIDQEQVSESCRPSVGRSSTSHMSTTKASPMSFASPLSIDDPPSIISHPAPSFSRLLSMNSPEWKQALIGSISAVVFGSIQPIYAFSIGGMIAAFFLQSHDEIKAVIHRYSLIFSALSLASIIVNLSQHYNFAYMGEHLTRRIRLLVLSKILTFEAGWFDEEQNNSGALCSRLSNEASLVKTLVADRVSLLVQTTSGVVIAVSMGLIVAWKLAIVMIAVQPLTILCYYAKKVVLSRVSIDLARAQHRSTQIAIEAVQNHKMVASYGCSAKVLKLFEEAQEEPVKAAKKKSWVAGITMGCSPCLSFMSWAFAFWFGGKLTEKGDISAGDVFKTFFILVSTGKVIADAGSMTSDLAKGSAAVASLFDVLDRQSMIQGSCYGDDDNGGTKMGRIEGRIELKKVDFAYPTRPQTLVLREFSLDVKAGTSIGLVGSSGCGKSTVIGLIQRFYDAERGVVKIDGIDIRELDIIQYRRFTAVVSQDPMIFSGTIRDNLTFGKPEATEDEIIEAAKAANAHDFISSLQDGYNTGCGEKGTQLSGGQKQRIAIARAILREPTILLLDEATSALDVQSERVVQEALERIMVGRTTVMVAHRLNTIKTVHTIAFVNEGKVMEHGSYTQLMNKRGAFYNLATLQS >DRNTG_31220.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2343070:2346178:-1 gene:DRNTG_31220 transcript:DRNTG_31220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAKDSVSNSPGSSVLNPFYSPVSDNSNLSNTITSDVYGIQTPAFFNVLKDDTGNFKGESSAGMYHSGIVDTATPFESVKEAVSKFGGIVDWKAHKKMAVEKQKNVQLELRKAQEEIPMYRKQSERAEVLKEQALKELDKTKRMVEELKLKLEKALTEEAQAKQDSELAVLRAKEMEQGIGDDVSVAAKTQLEVAKARHEAALTDLISIKKELEELKGEYAVLVKERDSAVKKAEKAVADSKEIEKTVEDLTLELITIKESLESAHASHLEAEESRIAAALAMEQDSQSWIKDLKKAEDDLMQLNEQFSSSKNLKSKLDTSSALLLNLKVELATYMEAKLHEENSPGISTQEAVVSIRKELEEVKLSIEKSKEEAECLRVAAACLKSEIENEKEALSTLKQKEEISLISISSLESELKKTSTELELALSKGKEFENEIIELPSLLQQATQEADQAKGFAALAREELEKAKEEAEHAKAQASTVSIRLDAALKEVEASKASELLAVAAIKALEESENNASNSCDITNDSVSLSFEEYRALSEKAREAEDMANKKVILAIEQIKEAKASESMSLAKFEELTEELNNKKEALRVAIEKAEKAQEGKLCVEQELRTWRAEHEQRRKANDASFLSQSTEECRGPNSYIDEEIINSANSATNPKLYLSENNMRSAKLETKMKKKKSFFPRIVMFLARKKAQSLK >DRNTG_27134.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19069876:19085907:1 gene:DRNTG_27134 transcript:DRNTG_27134.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEQTFLRVHARLSGMLSQLLTPRIRATLEYLYLGVAVALFCVLVVMHTNFVQQPGCSNELSVIEFTEAHLVQIKITGAGLWSQSPAHQSMVDIQKQESSVATYKMPDVNGDVFAIFSAKFWSSWIGSDITTEVGDLHIARALSSRESFKVAVGHLFNKVNMQISSFWKNARKFSANARQVLKIKEWNMLMDISKFLQMFQIEHLKAFLVQWLEKRSNAFEPTYLYTVEKGYFLLPEAAKSRHNLQTVNITLSAQNSCFGNRWQQLLINSFVGYDTILMNSLLNSPGQGYLYNYQTKEFYDLSYGHEPSEGSARFGDYFVTKCGVLIMSLFVFFTTTMSVSFTLRETQSRMLRFTVQLQHHARHRLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFLVLTLVWLCELFTLISVRTPISMQFFPRFFLLYFLVFHIYFFSYSYGFSYLAFSTTAAFMQHLILYFWNRFEVPALQRYTRTRAQLHQQPGVSIYTSTLIARVNVRNANTTNTEPETGTEPVSGPDQVPPNPNPNTNRIQEQTARNTSSNSNSNSEILQFPDLNPPREAGAAGFNLPNPLSSILLWILGGASSEGIISFFSMFRDPRDQPPGYTEPPPTPPQPPAQQEENTVT >DRNTG_27134.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19069876:19085907:1 gene:DRNTG_27134 transcript:DRNTG_27134.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEQTFLRVHARLSGMLSQLLTPRIRATLEYLYLGVAVALFCVLVVMHTNFVQQPGCSNELSVIEFTEAHLVQIKITGAGLWSQSPAHQSMVDIQKQESSVATYKMPDVNGDVFAIFSAKFWSSWIGSDITTEVGDLHIARALSSRESFKVAVGHLFNKKIKEWNMLMDISKFLQMFQIEHLKAFLVQWLEKRSNAFEPTYLYTVEKGYFLLPEAAKSRHNLQTVNITLSAQNSCFGNRWQQLLINSFVGYDTILMNSLLNSPGQGYLYNYQTKEFYDLSYGHEPSEGSARFGDYFVTKCGVLIMSLFVFFTTTMSVSFTLRETQSRMLRFTVQLQHHARHRLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFLVLTLVWLCELFTLISVRTPISMQFFPRFFLLYFLVFHIYFFSYSYGFSYLAFSTTAAFMQHLILYFWNRFEVPALQRYTRTRAQLHQQPGVSIYTSTLIARVNVRNANTTNTEPETGTEPVSGPDQVPPNPNPNTNRIQEQTARNTSSNSNSNSEILQFPDLNPPREAGAAGFNLPNPLSSILLWILGGASSEGIISFFSMFRDPRDQPPGYTEPPPTPPQPPAQQEENTVT >DRNTG_15713.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4376459:4381171:-1 gene:DRNTG_15713 transcript:DRNTG_15713.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDVKPTFSGFHEPGSPSDAPSTSNKSKSRDLSVRAPDREARETPSPSSVMDTRAIEDNACSEPDDLKDRFRWDQLKVPGLNPSMSMRDLANHLEQCISEQMISGDPGISLPSKGMLEELTQYLLSDTQGSSASDELSLMSRVNSLCCLLQKDGPTAQNFQTSGGSHESLDEDGPHATPQAERSEAKQQSISRKESFGDLLLHLPRIASMPQFLFNISEED >DRNTG_15713.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4376459:4381171:-1 gene:DRNTG_15713 transcript:DRNTG_15713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMPNTGEMRPESLSVVKAMGAKPMAVKMEVEDHLEEEHGPLSKRSKLVGASRQWSEGAADNVPSEAVSYNVLNEPSPLGLRLRKSPSLLDLIQMRLSQANSTASTCISSCASLESGKKKDLKSTAASAITDKLKASNFPASLLRIGSWECVSRYEGDLVAKCYYAKHKLVWEVLEGGLKSKIEIQWSDITALKASCPDNGTGTLDVVLARQPLFFRETNPQPRKHTLWQATSDFTGGQASIHRRHFLQCPQGLLGKHFEKLIQCDPRLYSLSQKAEITIESPYFEPRCSVFEDPDDSKRHSFDNMDDDVKPTFSGFHEPGSPSDAPSTSNKSKSRDLSVRAPDREARETPSPSSVMDTRAIEDNACSEPDDLKDRFRWDQLKVPGLNPSMSMRDLANHLEQCISEQMISGDPGISLPSKGMLEELTQYLLSDTQGSSASDELSLMSRVNSLCCLLQKDGPTAQNFQTSGGSHESLDEDGPHATPQAERSEAKQQSISRKESFGDLLLHLPRIASMPQFLFNISEED >DRNTG_15713.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4376459:4381171:-1 gene:DRNTG_15713 transcript:DRNTG_15713.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDVKPTFSGFHEPGSPSDAPSTSNKSKSRDLSVRAPDREARETPSPSSVMDTRAIEDNACSEPDDLKDRFRWDQLKVPGLNPSMSMRDLANHLEQCISEQMISGDPGISLPSKGMLEELTQYLLSDTQGSSASDELSLMSRVNSLCCLLQKDGPTAQNFQTSGGSHESLDEDGPHATPQAERSEAKQQSISRKESFGDLLLHLPRIASMPQFLFNISEED >DRNTG_16364.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7684837:7693492:-1 gene:DRNTG_16364 transcript:DRNTG_16364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDGKQVNKQKSLQRFTPLHSQLRPSGRGSH >DRNTG_19952.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:507949:514091:1 gene:DRNTG_19952 transcript:DRNTG_19952.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ethanolamine kinase [Source:Projected from Arabidopsis thaliana (AT2G26830) UniProtKB/Swiss-Prot;Acc:O81024] MGLENKGRDLIVAMDGEKRIGGDSLERAVLAEIPTSHISIDISLSINDLKSRIIELCKDLFDKWSDLDDSHFSVETISGGITNRLLKASVRESSGNVVSLTIRLYGPNTDLVVDRKRELKAMPHLSVAGFGAELLGLFDNGMVQSFINARTLSAIDMGKPEVAVEIAKQLRMFHQVEVPGSKDPQLWNDIFKFYREGK >DRNTG_19952.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:507949:514091:1 gene:DRNTG_19952 transcript:DRNTG_19952.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ethanolamine kinase [Source:Projected from Arabidopsis thaliana (AT2G26830) UniProtKB/Swiss-Prot;Acc:O81024] MGLENKGRDLIVAMDGEKRIGGDSLERAVLAEIPTSHISIDISLSINDLKSRIIELCKDLFDKWSDLDDSHFSVETISGGITNRLLKASVRESSGNVVSLTIRLYGPNTDLVVDRKRELKAMPHLSVAGFGAELLGLFDNGMVQSFINARTLSAIDMGKPEVAVEIAKQLRMFHQVEVPGSKDPQLWNDIFKFYREAAELSFEDPLKQAKYDKISFEEVLEEVNILKDLTDQLKAPIVFAHNDLLCGNLMMNDAEGKLYFIDFEYGSYSYRGYDIANHFNEYAGYECDYGLYPDIDAQYNFFRHYLQPDKPYEVPDEDLRVLYVETNTYRLASHIYWALWAIIQAKMSPLDFNYLGYFFLRYSEYKKHKEASFQLAKKHLSEVCFS >DRNTG_33073.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:332383:334373:1 gene:DRNTG_33073 transcript:DRNTG_33073.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRTCRVCGNPHGLIRKYGLMCCRQCFHSNAKEIGFIKYR >DRNTG_17601.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000907.1:28339:39850:-1 gene:DRNTG_17601 transcript:DRNTG_17601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMTVKVYPRPTLAVLSTGDELVEPSTQRLNHGQIRDSNRSMLLAAVMQQQCKLVDLGIARDDERSLDDSMDRALNSSIDILLISGGVSMGDRDLVKPSLEKRGTILFEKVLMRPGKPLTLAEITRKSNGEKCDKTVIAFGLPGNPVSCLVCFQLFVLPAIRQLAGWSNPHLQRVHTRIAQPISADSIRPEYHRAIIRWELDNGSGTPGFIAESTGVQMSSRLLSIKSANALLEVPATGEILPAGTSLQAILISDISNFHLSKGFTDLCIQSNAESKQTSSASPETKVKVAILTVSDTVSSGAGPDRSGPRAVSVVNSFSDKMGGACIVATRVVPDDINMIKEVLQTWCDHDKIDLVLTLGGTGFTPRDVTPEATKAILEKETPGLVYVMLQESLKVTPFAMLSRAAAGIRGSTLIINMPGNPNAVAECMEALIPALKHALRQVKGDKREKHPRHTPHGAAEPTDQWEQSFKLASVERGCSCSH >DRNTG_28021.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23007634:23007976:-1 gene:DRNTG_28021 transcript:DRNTG_28021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMPLAQAANTVTIAKGTASFTPVIGGLISDSFAGGFW >DRNTG_19607.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001029.1:37065:44711:-1 gene:DRNTG_19607 transcript:DRNTG_19607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVDALRYLSKDDFRVLTAVEMGMRNHEIVPAELVDRIAGLKHGGTYKVLKNLLKNKLVHHDSSKYDGFRLTYLGYDFLAIKTLVNRGVFSAVGRQIGVGKESDIFEVATEDGTILAMKLHRLGRVSFRAVKAKRDYLLHRNSYNWLYLSRLAALKEYAFMKALGEHGFPVPTAVDCNRHCVIMSLVNGYPLVQVKQLQNPDEVFETVLGLIVRLAEHGLIHCDFNEFNIMIDDNEEVTMIDFPQMVSVSHRNAEMYFDRDVECIFKFFRKRFNLSGHEDEDDNDDGSESDLESNSRPTFSSITKSSGFLDKELAASGFTRRDQDDIEKYIDGGGEEIDGPDSNDEVPSDQEEQDPDENHIPLAVNMNALHLADQHDFLMHSNDKDALEEPQHSMIPSTTSEAETSRAGHQVSINDGDDKGSDGRPTSAHAGCSNELEVSRAEEQDDSNELNEDDALLTKRLSKQRRRGVAAAQGGHKAISSRNSYKDKGGRSSNNSKLQKHACKW >DRNTG_03448.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10006703:10010599:-1 gene:DRNTG_03448 transcript:DRNTG_03448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFTTTELLQAQSHAWSLVYSYFKSMSVKAAIDLGIAEILHKHGKPMSLAQLTTSLSIPNSKSDHFRRLMRALVYQGIFSTDQETQSSYSLTPTSHLMLPGNSTSLTQLLRVILDPTISHSAYVLPSWLKSSEETTKTPFAVLHGHEIFELADEKPEFTKLYNEGMASDAGLVMDVVMRSCRDVFEGVESLVDVGGGNGATVMALKKAFPEMKCTVFDLPHVIQGKMEIDGVRFVTGDFFQSVPPASAALLKSILHGWNDEDCVKILKHCKAAIPNKDNGGKIIIIDMVIGAVTDNEVNAVETQLFSDLLMLIAAKGKERNESEWRDIIFAAGFTNYKITPLIGLRSVIEVYP >DRNTG_21325.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9198745:9200130:1 gene:DRNTG_21325 transcript:DRNTG_21325.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPDDPEAKHKHIMVATATGIAPFRSNIQRLFVDPKSIAKFNGLAWLIAGADNYNSLLYSQEFADILDKNPDHFSYERALNNSVADSIYKSGDEIFTLLNGGAYIYFAGSKTMMPGILETFEKIAQERGVIWEEMLKRLIANDQWRVEVY >DRNTG_21325.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9198255:9200130:1 gene:DRNTG_21325 transcript:DRNTG_21325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKAEIKTLKVEDAKEAPLIVYKAGKPDSTIVVSVETLVGPKGGVGEICHIVLDHGGQFHFVEGQYLGVIFPRDGDSDSLHYNQFCLALNAFSIASCRDGDSFDGKTLSLCVRRAELSAESASNYLCNLKKGDKVDIKGPFGGPMVFPDDPEAKHKHIMVATATGIAPFRSNIQRLFVDPKSIAKFNGLAWLIAGADNYNSLLYSQEFADILDKNPDHFSYERALNNSVADSIYKSGDEIFTLLNGGAYIYFAGSKTMMPGILETFEKIAQERGVIWEEMLKRLIANDQWRVEVY >DRNTG_09924.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17772602:17775597:1 gene:DRNTG_09924 transcript:DRNTG_09924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGNLEGDLPKDPSQGVSATEGGLPEADFDSDDSESAFEKTIRTLLPGLRSEPMEDQLPQPPGARRSERQKKPSSRWTEDAGFVPEPPRLVKKKCTRDELREASPSQQASVGEHISTLHRSLVFSGQQEDPTGGVAPGGGVSDQYWALPDMRVRSDCG >DRNTG_31857.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1734232:1737895:-1 gene:DRNTG_31857 transcript:DRNTG_31857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSAIKMGDIHGEADHMHGLPSAGDGR >DRNTG_31857.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1734232:1736716:-1 gene:DRNTG_31857 transcript:DRNTG_31857.2 gene_biotype:protein_coding transcript_biotype:protein_coding SSSQPFIEETNSSSHPFQKELKQSLSDEIFKQKSSKIQFVKATKKPTTRITNHRLDLHIKKPILKRDGSSRITFNKRSRNPQSNITRR >DRNTG_31857.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1734232:1736716:-1 gene:DRNTG_31857 transcript:DRNTG_31857.3 gene_biotype:protein_coding transcript_biotype:protein_coding SSSQPFIEETNSSSHPFQKELKQSLSDEIFKQKSSKIQFVKATKKPTTRITNHRLDLHIKKPILKRDGSSRITFNKRSRNPQSNITRR >DRNTG_28584.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23030061:23032134:1 gene:DRNTG_28584 transcript:DRNTG_28584.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCPASLNKKPKQKNNSNHRTPKKFEIYKIIPNNITS >DRNTG_13723.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5422239:5422897:-1 gene:DRNTG_13723 transcript:DRNTG_13723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMQFFSEDLSEFDNNGNSSSASSLPSLSTGSSEPRRQWSIQLPDGSEDEVLTRAMVAVISSASPSSCSSSSTSSLSSPSMQSLIDKTRNYGQFTSFRIYSPILASASAVNCDPKT >DRNTG_13256.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13534255:13536002:1 gene:DRNTG_13256 transcript:DRNTG_13256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIHARAELPHGRAKRLVILLGWTEKPQGRASTLTGRAHGRGYFPHACTVAFRDKGVFSQERTGIWPPSERNRMVRGLKSPEPDHMDFTIPEHQANFETLSRLRFGQKHFADIDALREIQMAGAMVEEIEAMLAVGNWGHLLLIRDLAICTLTLEFRALKQYHSLRVTQFSILMELYDVAYTETEEYEQFLTDYLGSLTPQCAYSALCGQGQYEPVVSKATYLSRPGYRYIHACFSRSVNGRGDNTEHLRHQRQYARIGALFFGPYITRLVIGMGLLEAIRGTEKATVPTPLSIETMRLMGMVRRVQSGVYALITATPEVAEGDDVDAEASQPDPEPQPTQMETEAPPAAQDLPPDRDC >DRNTG_10050.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11923738:11926054:1 gene:DRNTG_10050 transcript:DRNTG_10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATMLFSTGSTHNDSQTLPLLHAPKGIHTLPPPPLLRRQVCLHPEHYVNHKVHFLGLGGKR >DRNTG_00878.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21809729:21813711:-1 gene:DRNTG_00878 transcript:DRNTG_00878.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFKVMAPLVAGVVFLSVTFRYSIAADTLNPNQPLRDGQTLVSAKETFALGFFSPGESKHRYVGIWYNKLPGGQTTTVVWVANRSSPLSGTNGSLELNGNGTMTINSMVFLPMSSVTLDNPVAQLLDDGNFVIREANSSEFAWQSFDYPTDTLLSGMKLGWDLRTGLNRNLTSWRSKDDASPGSYVLSIDLEGIPQINVWSGSTKKWRSGPWTGGVKFSNLEEMPLPYSLRFGFVDNKDEVYYMFNTTGTKLVCRVLLDPSGMTKHFVWIESTGMWNINLFMNYPVNQCQEYSRCGPYGMCAIDVWPICRCLQGFKPKSPQEWPTMDASFSCERLTALNCKNRSDGFMTVTLASLPETSNAILYPNISLNECRGRCLKNCLCTGYATANISGAGHGCIIWVTELIDLRMSSHPTQDIFVRLAAADLAPISNKSSEKYQSKSVVLIIVFSMVALIIPLIYFCSWGKKKMIHKDIRGNGEFELVQLQWSTLMEATHNFAKTNILGEGGFGLVYKGKLADGREIAIKRLSWNSTQGINEFENELTFIAKLQHRNLVRLLGYCIKGDEKILVYEYMPNGSLDAFLFDSEKKDHLEWQTRFHIIEGIARGLLYLHQDSRLRIIHRDLKASNILLDIEMNPKISDFGLARNFGDRETMIKTRKVVGTYGYMAPEYALDGVFSMKSDVFSFGVLILEIISGQRNRVFLSSPHLYLLGKAWRLWNDGKGLDLLDPLIGNSFSMTQVMRCINIGLLCVQEKPEDRPIMSSVVIMLGNDDAPLLEPKEPGFKAIFSPKHDAALNQNELHTFNDITLTE >DRNTG_00878.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21809729:21810678:-1 gene:DRNTG_00878 transcript:DRNTG_00878.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKISDFGLARNFGDRETMIKTRKVVGTYGYMAPEYALDGVFSMKSDVFSFGVLILEIISGQRNRVFLSSPHLYLLGKAWRLWNDGKGLDLLDPLIGNSFSMTQVMRCINIGLLCVQEKPEDRPIMSSVVIMLGNDDAPLLEPKEPGFKAIFSPKHDAALNQNELHTFNDITLTE >DRNTG_00878.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21812221:21813711:-1 gene:DRNTG_00878 transcript:DRNTG_00878.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFKVMAPLVAGVVFLSVTFRYSIAADTLNPNQPLRDGQTLVSAKETFALGFFSPGESKHRYVGIWYNKLPGGQTTTVVWVANRSSPLSGTNGSLELNGNGTMTINSMVFLPMSSVTLDNPVAQLLDDGNFVIREANSSEFAWQSFDYPTDTLLSGMKLGWDLRTGLNRNLTSWRSKDDASPGSYVLSIDLEGIPQINVWSGSTKKWRSGPWTGGVKFSNLEEMPLPYSLRFGFVDNKDEVYYMFNTTGTKLVCRVLLDPSGMTKHFVWIESTGMWNINLFMNYPVNQCQEYSRCGPYGMCAIDVWPICRCLQGFKPKSPQEWPTMDASFSCERLTALNCKNRSDGFMTVTLASLPETSNAILYPNISLNECRGRCLKNCLCTGYATANISGAGHGCIIWVTELIDLRMSSHPTQDIFVRLAAADLGK >DRNTG_00878.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21810052:21813711:-1 gene:DRNTG_00878 transcript:DRNTG_00878.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFKVMAPLVAGVVFLSVTFRYSIAADTLNPNQPLRDGQTLVSAKETFALGFFSPGESKHRYVGIWYNKLPGGQTTTVVWVANRSSPLSGTNGSLELNGNGTMTINSMVFLPMSSVTLDNPVAQLLDDGNFVIREANSSEFAWQSFDYPTDTLLSGMKLGWDLRTGLNRNLTSWRSKDDASPGSYVLSIDLEGIPQINVWSGSTKKWRSGPWTGGVKFSNLEEMPLPYSLRFGFVDNKDEVYYMFNTTGTKLVCRVLLDPSGMTKHFVWIESTGMWNINLFMNYPVNQCQEYSRCGPYGMCAIDVWPICRCLQGFKPKSPQEWPTMDASFSCERLTALNCKNRSDGFMTVTLASLPETSNAILYPNISLNECRGRCLKNCLCTGYATANISGAGHGCIIWVTELIDLRMSSHPTQDIFVRLAAADLAPISNKSSEKYQSKSVVLIIVFSMVALIIPLIYFCSWGKKKMIHKDIRGNGEFELVQLQWSTLMEATHNFAKTNILGEGGFGLVYKGKLADGREIAIKRLSWNSTQGINEFENELTFIAKLQHRNLVRLLGYCIKGDEKILVYEYMPNGSLDAFLFDSEKKDHLEWQTRFHIIEGIARGLLYLHQDSRLRIIHRDLKASNILLDIEMNPKISDFGLARNFGDRETMIKTRKVVGTYGYMAPEYALDGVFSMKSDVFSFGVLILEIISGQRNRVFLSSPHLYLLGKVSSAIYVYIC >DRNTG_26568.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20235314:20235828:-1 gene:DRNTG_26568 transcript:DRNTG_26568.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEEKEREEWSNKLALVLLCNGKQPFLGALFRCLSSKVSEIVKACLITVAWLSNSLPSLSTAGFQLSNFTTLIPILKEIMIKDNRIENQVLASLSLLNLSTIYECRDLLKTFAKELFVPLQNLGEVTWTAKQLHTAIYSD >DRNTG_15794.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21606838:21607890:-1 gene:DRNTG_15794 transcript:DRNTG_15794.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVNPLLAHASRAMLPHTGDSAAREVWEDASVVATLVLDEVETAQDGILGPSHEKLSAPVGLPSYAGPELEVNCINAGRSLVVQIEPTLVGSGPSEVVVGTLGAESGDATRPGDPSRDLFLGVPGDELGIASKLPSVAPSSGFKWQFIAGLWSLVPSVGIVIPPPTFSAPIDTTVPASQVVSSGNLGGGLPKDLSLGDYATEGGLPEVDYDSDESRSERQKKPSSKWTEDAGFVSEPPRSVKKKCTRDELREASRSQQASFGEHTSTLRRSLVFSGQQEDPTGGGVSDQALG >DRNTG_30997.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3562572:3566582:-1 gene:DRNTG_30997 transcript:DRNTG_30997.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLSALAGALLSPLRDVSLEKLIDYLWDHLSSSPSPSPSSDEAEKQQQQLKDSLKELEDAKLNVKAMQSRIMKLFEKHKQNERVVGLHNKLKDVGYDIQDLESEMEYMELERKVEEINNADQEADTTTSRFSGKRSFPFRLPTGFLSKKKRRLPASSQSSSLSTDDDIVRQVTSIIKQINSIESKLKDEIKLEEWFDQIKLNGVYDPREQHHFTKNKRVTTSSTNERKIYGRNDEIQRLIRFLRRSNANGNPSVVPIVGLGGMGKTALAQTVFNTIQIENHFDKKAWIYVSDNFDRVRITKEMVDIISPTDQRCSTTSLDLLERELKRHLAGRKLLLVLDDVWSDEWQQLLAPLQSAQAQAIKIIVTCRDPKILGSVDKGDKIILEGLSDRDYWSLFVNCAFAKNNPDSYSQELRDIGKCIVRKLKGSPLAAKTVGKLLGRSLTEKHWKDVLESDLWKLETDAYDIMPALALSYYHLPQHLQLCFVFCSMLPKNYRYHMDELICLWIANGYIHESGSSSQTMNDIGEEYYHELQEMCLFDGSYYDFLMHDLMRDLAQIVSHGEICIYESGKNKKISKNVRHLYVKDLIDLELVCETNNLRTLVLERVNDMSVFLNLEAFKRIRVLVINDANMQEFPNAICHLQHLQYLDLWETCIQSIPESLCELYQLRVLKLPPPQILPSLFHNLINLQYWHMHRGNRKIFYEGTLIYNVERERGYMISQVRNMNKLRGALSIMQLGEHQQYGRSDEI >DRNTG_30997.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3562129:3566582:-1 gene:DRNTG_30997 transcript:DRNTG_30997.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLSALAGALLSPLRDVSLEKLIDYLWDHLSSSPSPSPSSDEAEKQQQQLKDSLKELEDAKLNVKAMQSRIMKLFEKHKQNERVVGLHNKLKDVGYDIQDLESEMEYMELERKVEEINNADQEADTTTSRFSGKRSFPFRLPTGFLSKKKRRLPASSQSSSLSTDDDIVRQVTSIIKQINSIESKLKDEIKLEEWFDQIKLNGVYDPREQHHFTKNKRVTTSSTNERKIYGRNDEIQRLIRFLRRSNANGNPSVVPIVGLGGMGKTALAQTVFNTIQIENHFDKKAWIYVSDNFDRVRITKEMVDIISPTDQRCSTTSLDLLERELKRHLAGRKLLLVLDDVWSDEWQQLLAPLQSAQAQAIKIIVTCRDPKILGSVDKGDKIILEGLSDRDYWSLFVNCAFAKNNPDSYSQELRDIGKCIVRKLKGSPLAAKTVGKLLGRSLTEKHWKDVLESDLWKLETDAYDIMPALALSYYHLPQHLQLCFVFCSMLPKNYRYHMDELICLWIANGYIHESGSSSQTMNDIGEEYYHELQEMCLFDGSYYDFLMHDLMRDLAQIVSHGEICIYESGKNKKISKNVRHLYVKDLIDLELVCETNNLRTLVLERVNDMSVFLNLEAFKRIRVLVINDANMQEFPNAICHLQHLQYLDLWETCIQSIPESLCELYQLRVLKLPPPQILPSLFHNLINLQYWHMHRGNRKIFYEGTLIYNVERERGYMISQVRNMNKLRGALSIMQLGEHQQYGRSDEI >DRNTG_22844.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2498754:2508805:-1 gene:DRNTG_22844 transcript:DRNTG_22844.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDAGDEGRGGGGGAHVVPIHDDAPADEISPFLAEDGDRAPRKMSIFSVSYPNKNRPPKEPIQRAADMEVNLFSHILWVWNGSRYSGVLCMVSASTIYFIMEVLMDNFPGYSVPLLQTVLMRCTTILIISLVWLRKTEQPIIVPKHIRNLLFVRSLFGFISLMTFIYSVHNLPQSQAVVLNFTTPLIASMGARIILQEKLTISDAVGLTCSFIGLLFIFQPVLITREIPYAVDEPINPNVAKGRGLIYPLLVGIVSSMAGGISYCLIRAGAKASDQPLYTVLSFGILATPLSAIFTFTWQVFSQALYHQYSPSA >DRNTG_22844.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2497024:2508805:-1 gene:DRNTG_22844 transcript:DRNTG_22844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDAGDEGRGGGGGAHVVPIHDDAPADEISPFLAEDGDRAPRKMSIFSVSYPNKNRPPKEPIQRAADMEVNLFSHILWVWNGSRYSGVLCMVSASTIYFIMEVLMDNFPGYSVPLLQTVLMRCTTILIISLVWLRKTEQPIIVPKHIRNLLFVRSLFGFISLMTFIYSVHNLPQSQAVVLNFTTPLIASMGARIILQEKLTISDAVGLTCSFIGLLFIFQPVLITREIPYAVDEPINPNVAKGRGLIYPLLVGIVSSMAGGISYCLIRAGAKASDQPLYTVLSFGILATPLSAIFTFTWQEFVLPNFFTFLLMIVLSILAFFAELSLARGLQLLKVCKSTNILYIKVLISQVCGMTLSGLTPSFNRLIGCFLIFVSVCSTVYTGPEKDKDGG >DRNTG_29458.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14380412:14381990:-1 gene:DRNTG_29458 transcript:DRNTG_29458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPVSIPAAIPPKKGDVNINNGGKKGDQVVQGQNKIDGKNSGKKNGGTVPIQDGKNGNKGPQNNNNNNSNGGAIGGKKGGGGKPEGHQPAMNQQQPNMMMMNNAFQGMNLGQMGQMGRMGQMGQIHGGGPMAMPHMGNVGGNAAVQGLPAGGMPPEVIPMAHPYQQQYMAAMMQQRMMHGGEGGYDPRMYPPMGYGRPMAPGMAYFAPPPPTGGEYTHFFSDENTNSCSIM >DRNTG_12773.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000571.1:60650:61986:-1 gene:DRNTG_12773 transcript:DRNTG_12773.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLIKVEDAIEIFYKRKDYGFEHDLVAFQTLLMSLCRYKHVEEAESLFLLKKDEFPPVIKSRNIILNGWCVLGSLREAKRFWNDIISSKCKPDLFTYGIFINSLSKAGKLGTAVKLFTAMWKKGCQPDVTICNCIIDALCFKKRIPEALEIFGEMNERGCLPDVATYNSLIKHLCKIRRMEKVRELLDEMEQKGCLPNSRTYSYILKTMKKPEEVVEFLQRMKRGSCKIDSDTYNLILNLYVRWNYQRGVQTVWTEMETCGLGPDQRSYTIMVHGLHSQGKFR >DRNTG_12773.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000571.1:57634:61986:-1 gene:DRNTG_12773 transcript:DRNTG_12773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLIKVEDAIEIFYKRKDYGFEHDLVAFQTLLMSLCRYKHVEEAESLFLLKKDEFPPVIKSRNIILNGWCVLGSLREAKRFWNDIISSKCKPDLFTYGIFINSLSKAGKLGTAVKLFTAMWKKGCQPDVTICNCIIDALCFKKRIPEALEIFGEMNERGCLPDVATYNSLIKHLCKIRRMEKVRELLDEMEQKGCLPNSRTYSYILKTMKKPEEVVEFLQRMKRGSCKIDSDTYNLILNLYVRWNYQRGVQTVWTEMETCGLGPDQRSYTIMVHGLHSQGKFR >DRNTG_09450.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21266763:21268453:1 gene:DRNTG_09450 transcript:DRNTG_09450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2735 [Source:Projected from Arabidopsis thaliana (AT5G06240) UniProtKB/TrEMBL;Acc:Q9FFZ3] MKLRVVCRKLYDYVRYDLKEIAFPSSLPDPPHIIKRRKLTWKERWSVLKEASRLYAASWVRDIGPDLRPNDYKKEDHESDNETRDDDAKSENKEPSTLEDLAVAARGGMETLRPALQRVYMMRAAAYRDALKSFIEGYQEGIKQVMERKESAQSQEEENDD >DRNTG_09450.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21266763:21268453:1 gene:DRNTG_09450 transcript:DRNTG_09450.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2735 [Source:Projected from Arabidopsis thaliana (AT5G06240) UniProtKB/TrEMBL;Acc:Q9FFZ3] MKLRVVCRKLYDYVRYDLKEIAFPSSLPDPPHIIKRRKLTWKERWSVLKEASRLYAASWVRDIGPDLRPNDYKKEDHESDNETRDDDAKSENKEPSTLEDLAVAARGGMETLRPALQRVYMMRAAAYRDALKSFIEGYQEGIKQVMERKESAQSQEEENGQNKST >DRNTG_09450.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21266763:21268453:1 gene:DRNTG_09450 transcript:DRNTG_09450.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2735 [Source:Projected from Arabidopsis thaliana (AT5G06240) UniProtKB/TrEMBL;Acc:Q9FFZ3] MKLRVVCRKLYDYVRYDLKEIAFPSSLPDPPHIIKRRKLTWKERWSVLKEASRLYAASWVRDIGPDLRPNDYKKEDHESDNETRDDDAKSENKEPSTLEDLAVAARGGMETLRPALQRVYMMRAAAYRDALKSFIEGYQEGIKQVMERKESAQSQEEENGQNKST >DRNTG_09450.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21266763:21268453:1 gene:DRNTG_09450 transcript:DRNTG_09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2735 [Source:Projected from Arabidopsis thaliana (AT5G06240) UniProtKB/TrEMBL;Acc:Q9FFZ3] MKLRVVCRKLYDYVRYDLKEIAFPSSLPDPPHIIKRRKLTWKERWSVLKEASRLYAASWVRDIGPDLRPNDYKKEDHESDNETRDDDAKSENKEPSTLEDLAVAARGGMETLRPALQRVYMMRAAAYRDALKSFIEGYQEGIKQVMERKESAQSQEEENGQNKST >DRNTG_10923.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:37492:39410:1 gene:DRNTG_10923 transcript:DRNTG_10923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATLEAREDDWGKPEALNDETTPVAAMPALRLPADLIGRVFSQLDCVDLLSCSLVCKKWCIDASEIREGWKNEYIEAWKLYGLGIKRDIHPPSSACLIRGAHSWCP >DRNTG_29452.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:498762:499477:1 gene:DRNTG_29452 transcript:DRNTG_29452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKCGCLAGALEVFDEMPDKDVLAWTAMISAFAIHGFGEEAFALLEKMERQGTKPNQVTFGALLCACAHSAMVERDRWCFEAMKKVYIIEPQQQHYPCPAWLHGNIELGERVAGRLIGMDPLNHAFYIILSDIYAKVDRFEDVKKVRKLMQEHGIKKTAPGCSSVEIDGEVREFSVKLAPCDDDVLDELVLILDLMYEELKIQ >DRNTG_33261.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22171295:22172001:-1 gene:DRNTG_33261 transcript:DRNTG_33261.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTGTTITTLPNPSSSSNPVIILIPCAACKLLRRRCTDKCVLAPYFPPTDPLKFTMAHRVFGASNIIKFLQELPESQRADAVSAMVYEASARMRDPVYGSTGVICHLLRQVSDLQAELAKAQAKLLNIKAHKDCLMEFNCMEIMAKSPQHQPQPLDNCNISCDLFEAYPDPDPFWEPNLWT >DRNTG_22200.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1422022:1423867:-1 gene:DRNTG_22200 transcript:DRNTG_22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVPGPYSGVSTLAFVARASALAFGVVYGSMKLSYLKAKAKSHNKAEAKGHH >DRNTG_19350.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5481497:5482835:-1 gene:DRNTG_19350 transcript:DRNTG_19350.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Fe] 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G23310) UniProtKB/Swiss-Prot;Acc:Q9FMX0] MGFPLALPSRCHPPPLASHGLRSSSIDSPKLVLRKRCLCESRRSLKVVAYYGLTTPPYEFDALEPYMSKRTLEVHWGKHHREYVEGLNKQLANSPVLYGYTLEELIRATYNNGNPLPEFNNAAQ >DRNTG_19350.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5480179:5482835:-1 gene:DRNTG_19350 transcript:DRNTG_19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Fe] 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G23310) UniProtKB/Swiss-Prot;Acc:Q9FMX0] MGFPLALPSRCHPPPLASHGLRSSSIDSPKLVLRKRCLCESRRSLKVVAYYGLTTPPYEFDALEPYMSKRTLEVHWGKHHREYVEGLNKQLANSPVLYGYTLEELIRATYNNGNPLPEFNNAAQVWNHDFFWESMQPGGGKMPSGGLLEQIVKDFVKPEEKKLSIVRTSNAVCPLVWRDFPITGLDLWEHAYYLDYKDDQEDYVNTFMNHLVSWHAATARILRAQAFVNLGEPKIPVA >DRNTG_04926.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8591027:8624852:-1 gene:DRNTG_04926 transcript:DRNTG_04926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYSSVRLRDYLISPMHLRDIRKGILSSTSISIWKKVSGRAFVGTDPARCALDLTRGPLERTRLLHKI >DRNTG_21769.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3011677:3012216:-1 gene:DRNTG_21769 transcript:DRNTG_21769.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITSTPIDLTPSSSTPSSMFDSTGNIGGFGYGIGISVGILLLITTITLASYFCTRSSTTSPPTTTSRRRPTSPTDTSSVADIESGIDEATLRSYPKVTYSEAKLKGKKSSTETCCSICLADYKDTDVLRLLPECRHLFHLKCVDPWLRQHPTCPVCRTSPVPSPLPTPLAEVAPLREV >DRNTG_18550.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4511115:4511799:-1 gene:DRNTG_18550 transcript:DRNTG_18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFATSYLFLMIGRFVAGIGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFSASPPTPPSSLPSASPSTPPSSPSLLQDH >DRNTG_16876.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29853016:29855510:1 gene:DRNTG_16876 transcript:DRNTG_16876.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF828) [Source:Projected from Arabidopsis thaliana (AT2G40160) TAIR;Acc:AT2G40160] MAGKVATSRSSLSSSPSSFFAMFMYNEDVKSIAEFPFSSKSTKSQDTLTSSTTTTTITTPGPTTITTTTTTNLEPSESDNHDDSDIPKKVKKAKKKKKKKAKEFEQQKEIKLPEEEVAPLEKVVVHVPETCDLFDGAWILDNSTRPLYKEHECEFLTEQVTCMRNGRKDDTYQKWRWQPKDCNLPKFDARLLLERLRGKRLMFVGDSLNRNQWESMVCLVQSVIPEGKKKLVKNGSLNVFTAEEYDATVEFYWAPFLVESNSDDPDKHSILDRIIMPNSIEKHGENWKGVDYLIFNTYIWWMNTPDMKVLRGSFEEGSKEYDEIDRAIAYDQVLKTWAKWVDENIDPEHTMVFFSSMSPLHLKPKDWDNPDGIKCAKETYPIIEWKIYFNIGSDWRLFDVAVKTTSSLQRVPVSFLNITGLSELRKDAHTSVHTIRQGKLLTPEQKANPQVYADCIHWCLPGSPRCME >DRNTG_33982.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1095704:1096992:-1 gene:DRNTG_33982 transcript:DRNTG_33982.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPRSSPNRALTLRKMGIELGEPRSTLELNTLQPYDNLGDQVFNENINKKMRRMISNRESARRSRMRKQRQLHELQTQVAHLRRLNRCLFDELNAVIDNRNRVLVENECLLKEISVLRMKLMEFGEKTVGTLIGEPCRI >DRNTG_01422.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000079.1:43890:49071:1 gene:DRNTG_01422 transcript:DRNTG_01422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDTSGLEAPAPILGGIDDLSVDFAAAPSFDLPGCADFDGFQKDAVQMVKPAKGTTTLAFIFKEGVIVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVTGASKLLANILYSYRGMGLSVGTMIAGWDEKGPGLYYVDSEGGRLKGTRFSVGSGSPYAYGVLDNGYRYDLSIEEAAELGRRAIYHATFRDGASGGVASVYFVGPNGWKKMSGDDVGELHYKYYPVTTTPVEQEMAEVPAA >DRNTG_29832.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23205630:23207249:-1 gene:DRNTG_29832 transcript:DRNTG_29832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKAEKISTLILEVDLCCCKCHRKIKKILCELKDQENIKDINFDVMNNKVTISGPFDPDTLTRTLYCKACKIIKNITTPPPPTPKPTPKPDPKPTKPELPPGPPCCAKPTFEWLYGVLKCGSCGMVYAWTNQCQPPSDKKCHPTPDNKLCLPGPGCCKGMKCSSSCSTCGSGTNYQCLPPPATKKTDDKPKKSDDDHHKKKEEKDTKPVLDPSCKPVCRPEPVCCPRPCYVGMYGGTMCASCGMKCPWINHPVSVPQPHHVYGGGYGEPKPCYFICEDSTPLCSIM >DRNTG_00797.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8177646:8179101:1 gene:DRNTG_00797 transcript:DRNTG_00797.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPIGRVHGRREFPHACVDVFRENKCHPEKSQGHAIAPVKLSCGVTWAWSTGDMAIEVEELLSVGSWRSLLSIRDPTIRTLTLKVLALFEFNRSYSSFYIIGAIQFIALGQYHSMSVTQFSIILGLYDEAFTYTEEDYEPLPMDYPGTLTLQCAYRALCEQGQYEPGVSKATCLSRSSYKYLHAFLKHTDTPRTHPGRVFVTSGIVCQDRRPLLWSLHHEACRGHRDNEVDGDGSQVSAGSVYVDYAYPRDNQR >DRNTG_31069.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30723525:30725664:1 gene:DRNTG_31069 transcript:DRNTG_31069.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGSTFYKTSRSKWILLMFNIKLLTIRGAVEIDKRTTTSSSTSASTTMRARARVRVPF >DRNTG_15988.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5176605:5177033:1 gene:DRNTG_15988 transcript:DRNTG_15988.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQCESMSLVERKLKEMGIRYMQRQVEDGGVYVDQLFFHDPDGLMIEICNCDKLPVIPLINDDPIKLCRIGSIKQQQKQ >DRNTG_06366.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24427874:24432100:-1 gene:DRNTG_06366 transcript:DRNTG_06366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARVMCRNGAWHSVVERVGAAEARRSTARDGDGTVASVGGQKS >DRNTG_01506.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:19395003:19395726:-1 gene:DRNTG_01506 transcript:DRNTG_01506.1 gene_biotype:protein_coding transcript_biotype:protein_coding HGPSSPHRYSHHLTIITTSPHPSSSHDPPSPHHHYQQPGPHHNHHPQPYTFLPPTKPGNNHHHHTHPHPTATITTPPLPLTPATLIPATHKTWKPTTPTTHDLNNNPAKTNHRLASLHPKPSTD >DRNTG_06284.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14145583:14146386:-1 gene:DRNTG_06284 transcript:DRNTG_06284.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLYHPHPQLSLGLVGPKQRPMSEPCGPCPSTA >DRNTG_11314.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13546074:13548012:-1 gene:DRNTG_11314 transcript:DRNTG_11314.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVINPEFTMVKWCTEPLTSGVLLCEKLGITTRDLRGQRQAICDVGLGCAGQVWFKPYGN >DRNTG_24554.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001308.1:153675:156345:1 gene:DRNTG_24554 transcript:DRNTG_24554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFMHTVVYFFVKRILEGSLHHLFLLLLLLLLLLIWFVRFEFSNYIMDSFCGIARYNGEGRVLMFTALTSWESVLAEISERWGLDVSCMRVKFITPDGYKTACPIENDVDFHRMCHVHSIFKCSIVDLVVEKEDVALSNRTENEFFSLSCNETVAD >DRNTG_02710.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19587915:19589899:-1 gene:DRNTG_02710 transcript:DRNTG_02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKAFITSDGVNLLDKRFLPALDKLGRLCHMYLTRDHAMFLHNLFAADGVQSVAQFKKEILFRDYRISSQNDDRIAFAIDPALLHRALRSALTIQLQSGDDTAAIQIKLVKKLPAGSRNPAPFLTFETKGLRSAVVQDVPISKPLSRADVLQLQAALDAAQDLPQVPDLAQLQSLVDRLKNVGDLLTVAITQYGDLHLQVSTSLITVGSEFRELRVLGAHANAPASEQNLNAQSRTAMAIQRGEAQSVQVSMKHLAKSLQCHLSKPDCVFYGIAPQGACLTMIFQFFIPGTRLMDKSISFHCRLPVLDSGST >DRNTG_02710.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19587915:19588486:-1 gene:DRNTG_02710 transcript:DRNTG_02710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQRGEAQSVQVSMKHLAKSLQCHLSKPDCVFYGIAPQGACLTMIFQFFIPGTRLMDKSISFHCRLPVLDSGST >DRNTG_20990.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001188.1:36375:36672:-1 gene:DRNTG_20990 transcript:DRNTG_20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRSAENVNKIIQTPSCDDEEAEQKNSSMTPIQA >DRNTG_23425.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21203678:21207213:-1 gene:DRNTG_23425 transcript:DRNTG_23425.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 32 [Source:Projected from Arabidopsis thaliana (AT3G24350) UniProtKB/TrEMBL;Acc:F4J6K6] MRAGQSLYRDRTQEFHAVAERLKKSFSSAPDASPATNGAGVGGSGSRFEGTKAVASMQSEFNKRASKIGLGIHQTSQKLAKLAKLAKRTSVFDDPTVEIQELTAVIKQDITALNSAVVDLQLLCNSQNESGNISTDTSSHSTTVVDNLKNRLMSATKEFKEVLTMRTENLKVHENRRQLFSASASKESSNPFIRQRPLVSRTPESSAPPAPWANNSASSSPLFPRKPMNGEASSSSQPLIQHQQQQQQMVPMQDSYMQRRAEALQNVESTIHELSNIFTQLATMVSQQGELAIRIDENMDDTLANVEGAQGALLKYLNSISSNRWLMIKIFFVLMVFLMIFLFFVA >DRNTG_00889.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21760580:21762689:-1 gene:DRNTG_00889 transcript:DRNTG_00889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISPEMGLDLKLSATRSVSGFIREASAIEDGDARLAKVEEYLRILEEEKRKIEAFRRELPLSMILVSDVIGGLKEELEQWRGDRYACPVVEEFMPIKRSRLEDEGGMKVEIDCKDKMNWMSSVQLWSDNYCQNEEISCKKNSLERMEAQSEKENQSLEPKSRAAGGAFIPFKGSSMAKKEEKPAGPLPDLSLIPPSIKSSRPVVASAEDHPYGVSSGSKSVVAGRAHMSPPTSASLSLQAQQQPPRKARRCWSPELHRRFVIALQQLGGAQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRKAPNCVSQANRSVMVMGGGLWVSQEHFTAASSQQSASPSGSPQSPLQLTGASRAVSLTAGDSYEEEDGKSESYSWK >DRNTG_13573.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1005884:1011070:-1 gene:DRNTG_13573 transcript:DRNTG_13573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFANIEPLQKHEDFCNALMDIKNSIPYGSENGTHTRGFNVSDQIVARNSEFLDEFVTVNSVQNREIVFEAKESNGHADFSIKNQTQTLMKTSVDNGSSSYVFSAKKTETMTLETEIKEENGVKIDHKSKLQVTEFDVERVLEEQETHDLFCPNCSSCITKRVILRKRKRIVQEPTYDFEHQPDTINDLDVTAVLNENTDEDDETKREVIGCFECFRFFTIKGDGGFDICGIFRRRLEGEMPLLDRREAPTTKWSWPSIFGTNISKPKEPVTNERSFEEQQENSNESVSEPVSVIISEFPAHGDQIIPGKNVGGLDICDIFRRRPEREMPLLDRREAPTTKWSWPSIFGTNISKPKEPVTNERSFEEQQENSNESVSEPVSVIISEFPAHGDRIIPGKNVDGLNGNKTSGLPEEQKDDSSKDRLNTHFIEIRGSGVHGEFDPNLNNSKGKELSEGPNENLDKNRSDAHSIRIPESEVHGGISGGHSIESVEDGIVTNNLLHFLQLKKRIIKSQKKEHETNGIF >DRNTG_13573.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1005884:1010396:-1 gene:DRNTG_13573 transcript:DRNTG_13573.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSVDNGSSSYVFSAKKTETMTLETEIKEENGVKIDHKSKLQVTEFDVERVLEEQETHDLFCPNCSSCITKRVILRKRKRIVQEPTYDFEHQPDTINDLDVTAVLNENTDEDDETKREVIGCFECFRFFTIKGDGGFDICGIFRRRLEGEMPLLDRREAPTTKWSWPSIFGTNISKPKEPVTNERSFEEQQENSNESVSEPVSVIISEFPAHGDQIIPGKNVGGLDICDIFRRRPEREMPLLDRREAPTTKWSWPSIFGTNISKPKEPVTNERSFEEQQENSNESVSEPVSVIISEFPAHGDRIIPGKNVDGLNGNKTSGLPEEQKDDSSKDRLNTHFIEIRGSGVHGEFDPNLNNSKGKELSEGPNENLDKNRSDAHSIRIPESEVHGGISGGHSIESVEDGIVTNNLLHFLQLKKRIIKSQKKEHETNGIF >DRNTG_34648.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002169.1:23355:24773:-1 gene:DRNTG_34648 transcript:DRNTG_34648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYHSAPSALGITALLHDHAAFGSSEFSHSRRTSSSPSISPITASGLSEPPGQSVSSLSFSSSAQLSSEASSVEKTNFSPALSSPTSQPPLRQVKSVSLLAQTVTPFTEALKVPSPSSSWLLSTSKQLTSTPSQRPLLPPPPPPPPPPPSFIASNVKTNARVPPKDQPSSGNILPPSLLTPTPDTCLCRTSTLPPPPPPPMLGFTSCPPLVASTAPPAPPLPPPPMVMSNRHHTTSPKASSRPPPPPPPPKVMSTNPPPSPMKKASGSSNAPPPPPPPSHSGHALPTSSPSAPPPPPPPPMVTSTGPPPSPMKKVSVSSNTPPPPPPPSNFSHALPASSPSAPPPPPPPHHVTHNSGAIASRKSPVGPPVPPPPALVQGTLKGSGVPSPHSNVNNHHAVPPPPSGGIGAKGRALARTPSPRNGQSTQGSSKKTSLKPLHWVKVTRAMQGSLWAETQK >DRNTG_07111.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22251726:22254267:-1 gene:DRNTG_07111 transcript:DRNTG_07111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVKIGDLISARKVFDEMPQRNVVSWTSLLSGYCKAGDMEAAKVLFDGMKERNLFSWNVMIGGYCQNRQPFLALELFRELQSGLCSFEPDKVTLVSIIPAIADMGALELGRWIHKYIQKKGMECMGSVSTALVDMYAKCGDVDEAKRVFDGMRERATSAWNAMINGLAVNGCAEEALDVFREMLSSGQCPNEVTMMGLLSACNHGGLVDEGRRFFKEMEIYGIEPKVEHYGCMVDLLGRRGYLMEAERLVDEMPFLANEIILTSLLSACVSYEDGDMAEKVMNRLAKIEPTDGRNFVMLRNLYAGERRWRDVERVKDMIRKYGGKKEAGCSVIEVGSTALEFVSGDKMHPDWDVISNVISDLHLQMKAGEEDEYEFEMRVPCRDSCTQPLCTMLV >DRNTG_32581.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001862.1:8144:10472:-1 gene:DRNTG_32581 transcript:DRNTG_32581.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase 1B [Source:Projected from Arabidopsis thaliana (ATMG01120) TAIR;Acc:ATMG01120] MVSYEVSIGLILITVLICVGPCNSSEIVMAQKQIWSGIPLFPVLVMFLIPRLAETNRAPSDLPEAEAESVAGYNVEYARDAIPK >DRNTG_32581.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001862.1:8144:9268:-1 gene:DRNTG_32581 transcript:DRNTG_32581.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase 1B [Source:Projected from Arabidopsis thaliana (ATMG01120) TAIR;Acc:ATMG01120] MRSQRQRLGKKHGRATCRETCTCGSGRGPRYTVLICVGPCNSSEIVMAQKQIWSGIPLFPVLVMFLIPRLAETNRAPSDLPEAEAESVAGYNVEYARDAIPK >DRNTG_21989.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5443537:5444415:1 gene:DRNTG_21989 transcript:DRNTG_21989.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKTRAPATKKSPTVEDKRVPAITGERNYIDLKPESKWSSDEDTHTLVINLPYFKVEDMNVSVDTGSNTLSVKCESQVPLNMWMRFAGDFPLPEKCNVNEVKAKYENGLLSVKFPKIINEDEEEHEEDEELGDEEDETMKKMSKHGIDGQLKMIILTGVTLLIAVVVLWMSPFKSQDKEL >DRNTG_13875.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4884388:4895190:1 gene:DRNTG_13875 transcript:DRNTG_13875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHIGVATIPPEIISEMDDMKKMVEFEQQNQNLIQQNQTMFRQMRREWRHMRKMMQSGLLPNGPGQSSQHQDDDDDDDDDDDDDDDDL >DRNTG_04188.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14590512:14591473:-1 gene:DRNTG_04188 transcript:DRNTG_04188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGDHQTLPTSPSPATLSMANGSPSRKAKIGWISPEISPSLMTSSMFVSHPLTTEKSTSTHGKKHGL >DRNTG_26286.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22936511:22937154:1 gene:DRNTG_26286 transcript:DRNTG_26286.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVFGKITVETPNYQVLQTCPDYEIRKYAPSVIAQVTYDPSQLRGDRDGGFMILAKYIGAVGEPHNTKPEKIAMTAPVITKSPEKIAMTAPVVTNGDESTKVTMQFVLPSKYTKAEEAPKPTDERVVIREEGERKYGVVKFSGVATDKVVKEKVEMLRRSLERDGHIVAGDFLLARYNPPWTLPLLRTNEVMLPVE >DRNTG_18823.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000974.1:72958:73454:-1 gene:DRNTG_18823 transcript:DRNTG_18823.1 gene_biotype:protein_coding transcript_biotype:protein_coding QSASPGGARNPHSKSLPSSRTNRVPDRNLSDDDEELDEITYGDDSLESLRSLYSNYTKKKQEISLAENENARRELENDSCDHRKSLLMEDLDHDSGDESISDEVIVI >DRNTG_32184.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4743283:4744778:-1 gene:DRNTG_32184 transcript:DRNTG_32184.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVYALVARGTVVLAEFSSTGSNASAIARQILEKIPGADDSHVSYSQDRYVFHVKRTDGITVLCMADDSAGRRIPFAFLEDIHGRFVKTYGRACHTALAYAMNDEFSRVLSQQMDFYSSDPNADRINRIKGEMSQV >DRNTG_32184.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4742021:4744778:-1 gene:DRNTG_32184 transcript:DRNTG_32184.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVYALVARGTVVLAEFSSTGSNASAIARQILEKIPGADDSHVSYSQDRYVFHVKRTDGITVLCMADDSAGRRIPFAFLEDIHGRFVKTYGRACHTALAYAMNDEFSRVLSQQMDFYSSDPNADRINRIKGEMSQVRNVMIENIDKVLERGDRLELLVDKTANMQANTFRFRRQTRRFNNTVWWRNVKLR >DRNTG_32184.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4741444:4744778:-1 gene:DRNTG_32184 transcript:DRNTG_32184.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVYALVARGTVVLAEFSSTGSNASAIARQILEKIPGADDSHVSYSQDRYVFHVKRTDGITVLCMADDSAGRRIPFAFLEDIHGRFVKTYGRACHTALAYAMNDEFSRVLSQQMDFYSSDPNADRINRIKGEMSQVRNVMIENIDKVLERGDRLELLVDKTANMQANTFRFRRQTRRFNNTVWWRNVKLRATLILVVLIVIYVVLAFICEGVTLPSCIR >DRNTG_14464.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000693.1:45863:46372:1 gene:DRNTG_14464 transcript:DRNTG_14464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRGAERPVVPTPLAFETIRMIGLVRRCGPGIYIIATSTQRPLGVEGMQRRVPDSFPGLNLHLWGPEHPLQHRRHSGTQNPIFQLLELMIVSRGSRVQWLLIIKPPAPPAPPSPSPPPPAPF >DRNTG_12345.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20785188:20785901:-1 gene:DRNTG_12345 transcript:DRNTG_12345.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIKYNGEVFGHKTALKYYHYDKNKKKVQTKWLMNEYRLKALSDDFQKPAELVLCRIQRTRTGSDVEEEFAYHQATLPNQVQDPVNSDDWERLRSKRLKRDKINNGTQYGSTNYQQQEDYQQQWLDTGKENSTVAPPCFDMQHASETGNEKDNALGDDWMAMIEVPSPSVLLPFSDDVFKLDEHMMNASLNTAAAAAAT >DRNTG_12636.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1323799:1324491:-1 gene:DRNTG_12636 transcript:DRNTG_12636.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVPLFEAQACLPWLYPPLLVDLNHYYSEQYDDYLTIKRPGFFVGLAWLELLFLWPLSITNLYGILARKPWVNTTTLMAGVSSLTAMGAVMGEVLNNYTEIEALLLLLERYIPYIVFSFFAILYSFLPSISRWWGVPL >DRNTG_18879.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2296988:2298156:-1 gene:DRNTG_18879 transcript:DRNTG_18879.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSSSISASRPRPSLFSPKTLVGQIPATLLPRSIPNPNLTSIAISNSRSSPLPLKYSTSPSELAVATPSVTLKPRLAAGETLYGLFLLSSSPTLAEIAGLAGYDYVVIDVEHGAGGISDALPCIRALAATRTAAIIRVPEPTAALTKKALDLGPQGIMFPSVENPEAAALAVSYCRFPPRGIRGTAHPVVRASGYGIDDGYLSRYEEDLLIMVQVETAAGVSQIEAIAGIEGVDCVQMGPLDLSASMGLLWDPGNKKVRTVLREAEGRVLRMGREDRRGPWLGGFAMPHDGPVELKARGYHMVAGAVDIGMFREAAVEDVLRFRRAEVEIGEEEDDGEKPGDAEYWSE >DRNTG_25796.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2832151:2832843:1 gene:DRNTG_25796 transcript:DRNTG_25796.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRILSSSIEKSSTRNDNPKIPLMIELLKDTRARA >DRNTG_25796.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2822173:2832843:1 gene:DRNTG_25796 transcript:DRNTG_25796.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFAGKLVERLTNVIEEKAIMVLGVKDELQRLRRRMKRLALVLKDAERRRIQDEAVKGWVDELKDVMYDAEDIIDLCMIQGMGLLQDDHHSPAESSSSTASKRVRCYNFPLLSCVRSVPFRYEISS >DRNTG_25537.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2985068:2989164:-1 gene:DRNTG_25537 transcript:DRNTG_25537.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKQELIFCPSPGIGHLISTVELAKKLITGHNNHFHVTILNIQHHVSAWSNATTSYINSISTSGLDIHFQDVPQVDPPYKENMKGETFICLFIEAHKAHVHEAISHHLATTSVAALILDILCVSMLDVAKDLNLPCYIYIPSNATFLGLMLYLPTLDAKVLSEIEDVKDVIDIPVLRSAPPLSMPGFMMDKKDECYTIFYNYGYKLREARGFIVNTFKNLEMKSLKALETGQCLPDQPTPAVFTVGPLLASEKKEKDEKKHECIKWLDEQPKKSVVFLCFGSMGCFDKEMVKEIALGLEKSEQRFLWALRTPSKENALIPSDADLGEVLPEGFLERTREKGLVWPSWVPQLSVLAHTAVAGFVTHCGWNSVLESLWYGVPMLAWPLYAEQHMNAVMVVREMGVGLELKVDRKNGGFVSSEELESGIRSLMEGEEGRKVRQRAEEMKVSGRKAVEGGSSYVSLELLVHQIIKNIAT >DRNTG_25537.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2987570:2989164:-1 gene:DRNTG_25537 transcript:DRNTG_25537.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKQELIFCPSPGIGHLISTVELAKKLITGHNNHFHVTILNIQHHVSAWSNATTSYINSISTSGLDIHFQDVPQVDPPYKENMKGETFICLFIEAHKAHVHEAISHHLATTSVAALILDILCVSMLDVAKDLNLPCYIYIPSNATFLGLMLYLPTLDAKVLSEIEDVKDVIDIPVLRSAPPLSMPGFMMDKKDECYTIFYNYGYKLREARGFIVNTFKNLEMKSLKALETGQCLPDQPTPAVFTVGPLLASEKKEKDEKKHECIKWLDEQPKKSVVFLCFGSMGCFDKEMVKEIALGLEKSEQRFLWALRTPSKENALIPSDADLGEVLPEGFLERTREKGLVWPSWVPQLSVLAHTAVAGFVTHCGWNSVLESLWYGVPMLAWPLYAEQHMNAVMVVREMGVGLELKVDRKNGGFVSSEELESGIRSLMEGEEGRKVRQRAEEMKVAGRRAGEEGGSSYASLELLLHDIIKDASTGSIG >DRNTG_00623.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22515908:22517533:-1 gene:DRNTG_00623 transcript:DRNTG_00623.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSEFLCDYHFSFCDVIPPSCIQMRNAILSTFPKNMRLPNFLIGLTSVIFFKYHFIFNCKISDKTRWINFLDRTEAEVITSSG >DRNTG_17677.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5050225:5051422:1 gene:DRNTG_17677 transcript:DRNTG_17677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKSDKNKVNRSQSQIIYTTCRVSMTKHRSDMVKETGSELGPIDCFKKFHTKKDGESWSY >DRNTG_07634.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000344.1:80010:81816:-1 gene:DRNTG_07634 transcript:DRNTG_07634.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase SDP6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G10370) UniProtKB/Swiss-Prot;Acc:Q9SS48] MAASRLKPLAAVVAATGASLAAISLAQTPATASDRGSLEAVRRKLADPTVMVPPREVQESALIGSSPTSPLDMLVVGGGATGCGVALDAVSRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALRERKQVIENAPHLCHALPCMTPCFDWIEAVYYWIGLKMYDLVAGRRMLHLSRYYSEEESAELFPTLARKGHGRSLKGTVVYYDGQMNDSRLNVGLACSAALLGAAVLNYAEVISFIKDEFGERVIGARIHDKQS >DRNTG_07634.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000344.1:76199:81816:-1 gene:DRNTG_07634 transcript:DRNTG_07634.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase SDP6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G10370) UniProtKB/Swiss-Prot;Acc:Q9SS48] MAASRLKPLAAVVAATGASLAAISLAQTPATASDRGSLEAVRRKLADPTVMVPPREVQESALIGSSPTSPLDMLVVGGGATGCGVALDAVSRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALRERKQVIENAPHLCHALPCMTPCFDWIEAVYYWIGLKMYDLVAGRRMLHLSRYYSEEESAELFPTLARKGHGRSLKGTVVYYDGQMNDSRLNVGLACSAALLGAAVLNYAEVISFIKDEFGERVIGARIHDKQSGKEFDTYAKVIVNAAGPFCDSVRKMANKDAPTMICPSSGVHIVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSSTSITMLPEPHEDEIQFILDAISDYLNVQVRRVDVLSAWSGIRPLAVDPSAKNTESISRDHVVCEDYPGLITITGGKWTTYRSMAEDAVDAAIKAGKLNPTNGCLTDNLRIVGAYDWDPASFTVLAQHYVRMKKTYGGKVIPGVMDSAISKHLSHAYGTLAERVAIIAQNENLGKRLAHGYPFLEAEVAYCARNEYCESAIDFLARRSRLAFLDTDAASRALPRVIQILAAEHKWEKARQKLEMQKAKEFLETFKSSKNAQFRDGKHTGK >DRNTG_07634.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000344.1:75761:81816:-1 gene:DRNTG_07634 transcript:DRNTG_07634.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase SDP6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G10370) UniProtKB/Swiss-Prot;Acc:Q9SS48] MAASRLKPLAAVVAATGASLAAISLAQTPATASDRGSLEAVRRKLADPTVMVPPREVQESALIGSSPTSPLDMLVVGGGATGCGVALDAVSRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALRERKQVIENAPHLCHALPCMTPCFDWIEAVYYWIGLKMYDLVAGRRMLHLSRYYSEEESAELFPTLARKGHGRSLKGTVVYYDGQMNDSRLNVGLACSAALLGAAVLNYAEVISFIKDEFGERVIGARIHDKQSGKEFDTYAKVIVNAAGPFCDSVRKMANKDAPTMICPSSGVHIVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSSTSITMLPEPHEDEIQFILDAISDYLNVQVRRVDVLSAWSGIRPLAVDPSAKNTESISRDHVVCEDYPGLITITGGKWTTYRSMAEDAVDAAIKAGKLNPTNGCLTDNLRIVGAYDWDPASFTVLAQHYVRMKKTYGGKVIPGVMDSAISKHLSHAYGTLAERVAIIAQNENLGKRLAHGYPFLEAEVAYCARNEYCESAIDFLARRSRLAFLDTDAASRALPRVIQILAAEHKWEKARQKLEMQKAKEFLETFKSSKNAQFRDGKHTGQ >DRNTG_07634.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000344.1:79151:81816:-1 gene:DRNTG_07634 transcript:DRNTG_07634.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase SDP6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G10370) UniProtKB/Swiss-Prot;Acc:Q9SS48] MAASRLKPLAAVVAATGASLAAISLAQTPATASDRGSLEAVRRKLADPTVMVPPREVQESALIGSSPTSPLDMLVVGGGATGCGVALDAVSRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALRERKQVIENAPHLCHALPCMTPCFDWIEAVYYWIGLKMYDLVAGRRMLHLSRYYSEEESAELFPTLARKGHGRSLKGTVVYYDGQMNDSRLNVGLACSAALLGAAVLNYAEVISFIKDEFGERVIGARIHDKQSGKEFDTYAKVIVNAAGPFCDSVRKMANKDAPTMICPSSGVHIVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSSTSITMLPEPHEDEIQFILDAISDYLNVQVGNEFFFLRTYYPYAFYWHAYLCGNFWLGLCFIRNFRIFGLNHFTCAF >DRNTG_27670.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001403.1:28402:30924:-1 gene:DRNTG_27670 transcript:DRNTG_27670.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYNGQTIKFLEYKFQVYGRAGSGEVFGEIGVLCRRPQPFTFRTTELSQILSLNRDVLTNIIRENKEDGAILMNNFLQKLRLHGSSIPGVQHNDSGLDLEVWLKGNESLPTSCEDYHLLQKQATHPINEGTLPNEIVEYHDHNTVNEFLSFELETNSTYTSGHRVIHPNANEHLYKKEGNQCICELMSSQENRMGLQKDHLAEYIGMSAMINERNSQMLDCRECWQLSKHQKFPEKMPISIPGQKKGNYTVQGNPMKRAQKRVTIHIHSARANKREHFGKVINLPCSLEELFIIGGQKFRGHHPTKVVNQENAEIDDISVVRDDDHLFLLEL >DRNTG_27670.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001403.1:28402:33050:-1 gene:DRNTG_27670 transcript:DRNTG_27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYNGQTIKFLEYKFQVYGRAGSGEVFGEIGVLCRRPQPFTFRTTELSQILSLNRDVLTNIIRENKEDGAILMNNFLQKLRLHGSSIPGVQHNDSGLDLEVWLKGNESLPTSCEDYHLLQKQATHPINEGTLPNEIVEYHDHNTVNEFLSFELETNSTYTSGHRVIHPNANEHLYKKEGNQCICELMSSQENRMGLQKDHLAEYIGMSAMINERNSQMLDCRECWQLSKHQKFPEKMPISIPGQKKGNYTVQGNPMKRAQKRVTIHIHSARANKREHFGKVINLPCSLEELFIIGGQKFRGHHPTKVVNQENAEIDDISVVRDDDHLFLLEL >DRNTG_27670.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001403.1:28575:33050:-1 gene:DRNTG_27670 transcript:DRNTG_27670.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIYRYLSTWFLFDICSTVPFQPISLLFGNNGNGIGFKLLNMLRLWRLCRVGKLFASLEKDIRFNYFWARCTKLVLVTLFTVHCAGCFNYLIADRHPDPKRTWIGAVMPDFREESLWNRYVTAMYWSITTLTTTGYGDLHAENTREMLFDISYMLFNLGLTAYLIGNMTNLVVHGTSRTRKFRERIQDASEFAARNQLPRLIEDQMLSHLCLSFKTEELKQQETLNDLPKGIRSSITHYLFFPVVEKAYLFHGVSFNFIFQLVTEMQAEYYPPKEDVVCQNEAPADLYIVVTGAVVYGRAGSGEVFGEIGVLCRRPQPFTFRTTELSQILSLNRDVLTNIIRENKEDGAILMNNFLQKLRLHGSSIPGVQHNDSGLDLEVWLKGNESLPTSCEDYHLLQKQATHPINEGTLPNEIVEYHDHNTVNEFLSFELETNSTYTSGHRVIHPNANEHLYKKEGNQCICELMSSQENRMGLQKDHLAEYIGMSAMINERNSQMLDCRECWQLSKHQKFPEKMPISIPGQKKGNYTVQGNPMKRAQKRVTIHIHSARANKREHFGKVINLPCSLEELFIIGGQKFRGHHPTKVVNQENAEIDDISVVRDDDHLFLLEL >DRNTG_27670.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001403.1:28575:33050:-1 gene:DRNTG_27670 transcript:DRNTG_27670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MITRMLSCMESCLKQFSNDESQTERGSYSSTDLLPSLGETINKSVQLRKYIVSPYDPRYRAWEMFLILLVVYSAWVCPFEFAFLKYMPNALFLVDNIIDGFFAIDIVLTFFVAYLDHKSYLLVDNPKRIAARYLSTWFLFDICSTVPFQPISLLFGNNGNGIGFKLLNMLRLWRLCRVGKLFASLEKDIRFNYFWARCTKLVLVTLFTVHCAGCFNYLIADRHPDPKRTWIGAVMPDFREESLWNRYVTAMYWSITTLTTTGYGDLHAENTREMLFDISYMLFNLGLTAYLIGNMTNLVVHGTSRTRKFRERIQDASEFAARNQLPRLIEDQMLSHLCLSFKTEELKQQETLNDLPKGIRSSITHYLFFPVVEKAYLFHGVSFNFIFQLAEYYPPKEDVVCQNEAPADLYIVVTGAVVYGRAGSGEVFGEIGVLCRRPQPFTFRTTELSQILSLNRDVLTNIIRENKEDGAILMNNFLQKLRLHGSSIPGVQHNDSGLDLEVWLKGNESLPTSCEDYHLLQKQATHPINEGTLPNEIVEYHDHNTVNEFLSFELETNSTYTSGHRVIHPNANEHLYKKEGNQCICELMSSQENRMGLQKDHLAEYIGMSAMINERNSQMLDCRECWQLSKHQKFPEKMPISIPGQKKGNYTVQGNPMKRAQKRVTIHIHSARANKREHFGKVINLPCSLEELFIIGGQKFRGHHPTKVVNQENAEIDDISVVRDDDHLFLLEL >DRNTG_27670.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001403.1:28575:33050:-1 gene:DRNTG_27670 transcript:DRNTG_27670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITRMLSCMESCLKQFSNDESQTERGSYSSTDLLPSLGETINKSVQLRKYIVSPYDPRYRAWEMFLILLVVYSAWVCPFEFAFLKYMPNALFLVDNIIDGFFAIDIVLTFFVAYLDHKSYLLVDNPKRIAARYLSTWFLFDICSTVPFQPISLLFGNNGNGIGFKLLNMLRLWRLCRVGKLFASLEKDIRFNYFWARCTKLVLVTLFTVHCAGCFNYLIADRHPDPKRTWIGAVMPDFREESLWNRYVTAMYWSITTLTTTGYGDLHAENTREMLFDISYMLFNLGLTAYLIGNMTNLVVHGTSRTRKFRERIQDASEFAARNQLPRLIEDQMLSHLCLSFKTEELKQQETLNDLPKGIRSSITHYLFFPVVEKAYLFHGVSFNFIFQLVTEMQAEYYPPKEDVVCQNEAPADLYIVVTGAVHMIACIDGREHVYGRAGSGEVFGEIGVLCRRPQPFTFRTTELSQILSLNRDVLTNIIRENKEDGAILMNNFLQKLRLHGSSIPGVQHNDSGLDLEVWLKGNESLPTSCEDYHLLQKQATHPINEGTLPNEIVEYHDHNTVNEFLSFELETNSTYTSGHRVIHPNANEHLYKKEGNQCICELMSSQENRMGLQKDHLAEYIGMSAMINERNSQMLDCRECWQLSKHQKFPEKMPISIPGQKKGNYTVQGNPMKRAQKRVTIHIHSARANKREHFGKVINLPCSLEELFIIGGQKFRGHHPTKVVNQENAEIDDISVVRDDDHLFLLEL >DRNTG_27670.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001403.1:28575:33050:-1 gene:DRNTG_27670 transcript:DRNTG_27670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIYRYLSTWFLFDICSTVPFQPISLLFGNNGNGIGFKLLNMLRLWRLCRVGKLFASLEKDIRFNYFWARCTKLVLVTLFTVHCAGCFNYLIADRHPDPKRTWIGAVMPDFREESLWNRYVTAMYWSITTLTTTGYGDLHAENTREMLFDISYMLFNLGLTAYLIGNMTNLVVHGTSRTRKFRERIQDASEFAARNQLPRLIEDQMLSHLCLSFKTEELKQQETLNDLPKGIRSSITHYLFFPVVEKAYLFHGVSFNFIFQLAEYYPPKEDVVCQNEAPADLYIVVTGAVHMIACIDGREHVYGRAGSGEVFGEIGVLCRRPQPFTFRTTELSQILSLNRDVLTNIIRENKEDGAILMNNFLQKLRLHGSSIPGVQHNDSGLDLEVWLKGNESLPTSCEDYHLLQKQATHPINEGTLPNEIVEYHDHNTVNEFLSFELETNSTYTSGHRVIHPNANEHLYKKEGNQCICELMSSQENRMGLQKDHLAEYIGMSAMINERNSQMLDCRECWQLSKHQKFPEKMPISIPGQKKGNYTVQGNPMKRAQKRVTIHIHSARANKREHFGKVINLPCSLEELFIIGGQKFRGHHPTKVVNQENAEIDDISVVRDDDHLFLLEL >DRNTG_27670.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001403.1:28575:31580:-1 gene:DRNTG_27670 transcript:DRNTG_27670.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFREESLWNRYVTAMYWSITTLTTTGYGDLHAENTREMLFDISYMLFNLGLTAYLIGNMTNLVVHGTSRTRKFRERIQDASEFAARNQLPRLIEDQMLSHLCLSFKTEELKQQETLNDLPKGIRSSITHYLFFPVVEKAYLFHGVSFNFIFQLAEYYPPKEDVVCQNEAPADLYIVVTGAVVYGRAGSGEVFGEIGVLCRRPQPFTFRTTELSQILSLNRDVLTNIIRENKEDGAILMNNFLQKLRLHGSSIPGVQHNDSGLDLEVWLKGNESLPTSCEDYHLLQKQATHPINEGTLPNEIVEYHDHNTVNEFLSFELETNSTYTSGHRVIHPNANEHLYKKEGNQCICELMSSQENRMGLQKDHLAEYIGMSAMINERNSQMLDCRECWQLSKHQKFPEKMPISIPGQKKGNYTVQGNPMKRAQKRVTIHIHSARANKREHFGKVINLPCSLEELFIIGGQKFRGHHPTKVVNQENAEIDDISVVRDDDHLFLLEL >DRNTG_29810.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23294563:23295714:-1 gene:DRNTG_29810 transcript:DRNTG_29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQELVHALPPVTDIDFEGDGHGNTTQALHSPPVLDEIVRAMPQEALIGSTERKILVYTMFVCCFIVYHIRLFKWDWQTFIELGAEIFKELKSIIKAQRKGKLLELLFDRVFRVIACICYYCFVYRRQQRN >DRNTG_09904.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18397744:18430169:-1 gene:DRNTG_09904 transcript:DRNTG_09904.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLEKYLARFVQSANTRFESVEATLRNHTASLHNLENQVAQIAKSLSEKPHGSLPSNTETNLREHVKAITLRSGHEVEGRLPKPAGFFLSHPEKTHERVEFPHARGCEL >DRNTG_12909.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26614150:26615085:1 gene:DRNTG_12909 transcript:DRNTG_12909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTFAAPLKLKPKPKPKPKANPPSFYTTMVSKKSKLRFNRHLVKQHIKEYGKGPITKEELTLDYLMPIKTNKIAKPRHLQAANTMLHVMLNVLYQYDAVSKLKKERDEARMLKKITWMKMKIPIQNPIFVILDTITYMNFFDEDDCFKLIAQVIKGLSVAKVNKIWEAAEKLVNVGYGTGSNLLIKHKSIIKIASGSQALDKFLNHKIETLVITKAFAAKAKILKYHII >DRNTG_09134.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26828311:26830119:-1 gene:DRNTG_09134 transcript:DRNTG_09134.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTACLMVHSEWVCAIKLIYRNKHIRSLGLFEEKCKEFMYSTLNSFSEHKAYKL >DRNTG_26297.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27633414:27636446:1 gene:DRNTG_26297 transcript:DRNTG_26297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKYEKLEKVGEGTYGKVYKAQDKATGQLVALKKTRLEMDEEGIPPTALREISLLQLLSHSIYVVRLLNVEHVDKNGKPLLYLVFEFLETDLKKYIDSHRKGVNPRPLPPSLIQSFMYQLCKGVAHCHSHGVLHRDLKPQNLLVDKEKGILKIADLGLGRAFTIPLKSYTHEIVTLWYRAPEVLLGSTHYSTGVDIWSVGCIFAEMVRRQALFPGDSELQQLLHIFRLLGTPTEEQWPGVRNLRDWHDYPQWKPQNLTRAVPSLEPDGG >DRNTG_24714.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17956958:17961757:1 gene:DRNTG_24714 transcript:DRNTG_24714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCPSAPNQLEDPNSGDDGQHNAPSTNVNPTHHQQSQPPAGKTAPIPHYTLAELMEATNGFSFGNIIPEGGSGREGGAKVPNTIYRSHLRGLQQIAVKRFSRDAWPDAEHFRVGSCLSSCFLEEAIAVGRLRHRRLVNLIGYCCDGNERLLVAEFMPNDTLAKHLCMFCSPSYSPCFCFENGIAATLHFSAARKVKNHNMKWARRLRVASYVAEALEYCSNEGRAVYHDLNSYRILFDKDGIPCLSCFGLVKNSRDSKCYSTNLAYNPPEFLNNGRITPESVIFSFGTVLLDLLTGKQVPPGQALDMMRDNDIHTFLDPHLKGKYPIEEATALVQLASQCLRYEPKARPTIAGVFATLVEVQSKALAMWRSEKQDKNPPMLRSSPKPNETPQMQGTQKQDKARLIRQPTSFPMAEAVVRMDLTAIHQILVSAQYNDDEANGEASFREWTQQVRDMLEIRKRGDSAFNDRVIKTAIECYSQFVDLEETTSPTVYVRRSLCYLMSDQPDNALQDAMLAQCIQPDWPMVFYMQAVALRQLNMNSDSADMLKEATTLEEQRLTNTRQGT >DRNTG_13273.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5746515:5747049:-1 gene:DRNTG_13273 transcript:DRNTG_13273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKRTPTGSGLSQSLTHLTSPIHHECYTQLSEHEFGTLRTID >DRNTG_25096.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:770554:786135:-1 gene:DRNTG_25096 transcript:DRNTG_25096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKDVFLCLLVAISVCSAAANSIHGCGGFIEASPTLIKARKTTDAKLDYSHITVELRTIDGLVKERTQCAPNGYYFIPVYDKGSFIIKVVGPDGWSWNPANVPVVVDSNGCNANADINFQFTGFMITGRVKGAVGGKSCSKKDGGPSDVKVQLLSPSDDVIASAFTSTTGDYSFVNIAPGKYKLHAFHPNLGIEVRGAAEVELGYGNAAVNDIFFVSGYDISGFVVAQGNPILGVHIYLHSNDVSKVPCPQGVGDALHQERALCHVISDADGKFTFSSIPCGVYELVPYYKGENTVFDVSPRSVVVSVEHCHVTIPEKFQVTGFSVGGRVVDGNNVGVEGAKVMVDGQPKAITDGQGFYKLDQVTSKHYSILAEKTHYKFRVLENFLVLPNLAFIDDIKAIHYDICGVVRMVTPNSKVKVALTHGPESVKPQMKLTKEDGSFCFEVPPGEYRLSALPIKSESSSSLIFSPSYVDVKVNSPVLNVEFFQAQVNIQGSVLCKENCQSISVSLVRLVGKGMEEKKTTIVDDKSGNFMFAKVFPGKYFLEVRHGSSLINPKEDDWCWDRSVINLDVGIEDIKGAVFMQKGYWIDVISTHNTDAYIRGPDSSRVDLLIKKGSQRICVESSGVHELHFVNSCIFFGSSSVEFDTKNPLPLHLTGEKYLVRGEIHFDPIIGLDESDLSESIILDVINKDGSVRDIIHPRLIPGGSGQQGRVYEYSVWSDLGEEFVFVPRDLRDTSEKKILFYPRQRHVSVSSDGCQSDISPIAGRFGLYISGSVSPALSGVDIKILALGDSSNAPLKEGDLALDTKTGEDGSFVAGPLYDDTSYKVEASMAGYHIKQVGPYSFTCQKLGQILVYIKDGKEHGEVFPSVLLSLSGEDGYRNNSISGPGGAFKFDNLFPGSFYLRPLLKEYSFSPAAVAIELGSGESKVVVFHATRVAYSATGSVSLLSGQPKEGIYVEARSESKGYYEEASTDPSGNFRLRGLHPDTTYTIKVAVKDPGVMGIERASPDAISINVGSEDIRGVDFVVFEQPDITILSGHVEGADLVTLQPHLSVEIRSASDPSNIESAFPLPLSFFFEIRDLPKGKHLVQLRSGLPSNVHKFETEVLEIDLEKQPQLHVGPLRYTFEEYHHKQDPTPAPVLPLIVGVCVIALFISMPRIKDLYSMAVGMTPIGSSPAPTKKAEVKKPFLRRRIY >DRNTG_03445.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10077949:10081188:1 gene:DRNTG_03445 transcript:DRNTG_03445.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLIRKHILGDSIVVPDFLTDLAAGPYYYRIPNLLAPLINFGSDPEHRPTTLSILHQSPPVVEWHDSPKPAPAPEPEPKPVRDTRRYRGVSQQPWGSMRRRSKIQIGRDLMSGLVPLTPALRQRRHTIAPPFRCAVARQYLISQTRLPAQEIGWRCK >DRNTG_03445.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10079028:10081188:1 gene:DRNTG_03445 transcript:DRNTG_03445.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLIRKHILGDSIVVPDFLTDLAAGPYYYRIPNLLAPLINFGSDPEHRPTTLSILHQSPPVVEWHDSPKPAPAPEPEPKPVRDTRRYRGVSQQPWGSMRRRSKIQIGRDLMSGLVPLTPALRQRRHTIAPPFRCAVARQYLISQTRLPAQEIGWRCK >DRNTG_03445.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10077949:10081188:1 gene:DRNTG_03445 transcript:DRNTG_03445.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLIRKHILGDSIVVPDFLTDLAAGPYYYRIPNLLAPLINFGSDPEHRPTTLSILHQSPPVVEWHDSPKPAPAPEPEPKPVRDTRRYRGVSQQPWGSMRRRSKIQIGRDLMSGLVPLTPALRQRRHTIAPPFRCAVARQYLISQTRLPAQEIGWRCK >DRNTG_03445.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10077949:10081188:1 gene:DRNTG_03445 transcript:DRNTG_03445.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLIRKHILGDSIVVPDFLTDLAAGPYYYRIPNLLAPLINFGSDPEHRPTTLSILHQSPPVVEWHDSPKPAPAPEPEPKPVRDTRRYRGVSQQPWGSMRRRSKIQIGRDLMSGLVPLTPALRQRRHTIAPPFRCAVARQYLISQTRLPAQEIGWRCK >DRNTG_03445.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10077949:10081188:1 gene:DRNTG_03445 transcript:DRNTG_03445.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLIRKHILGDSIVVPDFLTDLAAGPYYYRIPNLLAPLINFGSDPEHRPTTLSILHQSPPVVEWHDSPKPAPAPEPEPKPVRDTRRYRGVSQQPWGSMRRRSKIQIGRDLMSGLVPLTPALRQRRHTIAPPFRCAVARQYLISQTRLPAQEIGWRCK >DRNTG_08667.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4732734:4736786:-1 gene:DRNTG_08667 transcript:DRNTG_08667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFSSSTAGRDAEEKELFPVGVVLDTATLVGKMGTTSISMAVDEFYKTHQNYSTRLVIYTRDSKNDVVEAANEVMDLLNKKQVKAIIGPQKSSQAVFVSNLGDKAKVPIISFSTSSPVLSSITTPYFLRSTVNDSAQLAAVSSITKLFGWRQVVPVYEDSEYGRDIVPYLVDELEKIDAKIPYRSVIALSVTDDQIKKELYKLMTMQTRVFLVHMSAPMASRLFMNVKQVGMMSKGYAWIMTDGIANIVDSFDPQVIDSMQGALGVKLHVPRTKELNEFSFRWKRRYQKEYPYEEPAELSVFGLWAYDTVFALAMAVEKASGSNGEVLKYIQEEKFNGMSGEFHFVDRQLKLSTLQVVNIVGIGGRGVGFWKPENGLITSTSSSSNNNGGLNPVIWPGESTEVPKGWEIPVSGKKLRVGVPVKDGFFEFVKVEHNPVTNGSIVTGFCIDVFDTVMQSLPYAVSYEYIPFEDSKGDSAGTYNELAYQVYLQKFDAVAGDMAIIANRSQFVDFTLPYTESGVVMTVPIQEDERMNAWIFLKPLALDLWLGSLGFFFLTALVVWLIEHRDNEKFKGSPCEQVGIVLYFTFSTLVFAHREKVESNFTRFVMIIWLFVVLILTQSYTASLTSMLTVQQLQPTVTEVEQLIRNGDSVGYHKGSFVKEMLINELHFDKSKLVALGGSDDYVEALSKGSRNNGVSAVFHEIPYMKLFLAKHCKSFMMVGPTYKTAGFGFVFPKGSPLVPDVSRAILNISQGSKMAGIEKKWIGYESKCQEQESPLNSHRLDFRSFAGLFLITGLTSIIASFIYLVWPAVLINFNIVKALTSKYFKKRDNTFEGTPVDDGSTSPVSTEANMSAIQSPVSEHHT >DRNTG_33830.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11510613:11512533:1 gene:DRNTG_33830 transcript:DRNTG_33830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCTASKEDTISQQQKPCKDVSAVDRRRRRPRIEPDTIPQQEQPRKDVSAVDVVAVVPASKEDAAGAEHLQGTTTVLHEDPDRSTREMIKANQQWDKTARQVFVPKKKKWVRQSRLNKYEQDTVVWKNDAVSTTRVNLYTLLEGKEMVTDDVMDAFVCIIQKSLSKVPYPYKKRASIIRPLALFMSKQDDAHETTMAMIGDAVRSLHEVQIVILPIIMNGHFHVVVLDNDKQEYRHYSSCAGYEKDASDMRNLFDTAVDMEFGESATAKYPLLYDMETPRQKQGSVDCAVYVMRFIEQLLWGEKLRLPQTDVPYLRLKYVTRILKEGRAAGVHEKGGSSEAG >DRNTG_22055.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28546466:28547387:-1 gene:DRNTG_22055 transcript:DRNTG_22055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTCLTYSLFYVGLLNIDGYYNSLLSFIDQAVKEGFINPSARLIIVSASNAKELIKKLEVSHKKGGKSSTPNHHQIQVVDSSNNNARKPLRFSLSHKIPSLVTLIDLNLPVVMDDNVEQSTDSDAHP >DRNTG_06842.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6371866:6375631:-1 gene:DRNTG_06842 transcript:DRNTG_06842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVESSREDNVYVAKLAEQAERYDEMVEAMEKVVKAVDTEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVSLIKEYRGKIEAELSKICDGILKLLESHLIPSSTAAESKVFYLKMKGDYHRYLAEFKTGTERKEAAENTLLAYKSAQDIALPELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDISEDPEDSMRDATKGETGDGH >DRNTG_04527.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19313311:19317169:-1 gene:DRNTG_04527 transcript:DRNTG_04527.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPILPLPAPPADGDSGPLPLAQVPPDSQPQETNEPPSAPAPVATHTRTIGIIHPPPDIRLIIDKTANFVAKNGPEFEKRILANNANNAKFNFIITTDPYHAYYQHRISEFRSQLQSASDPSQPSEPSPSPKPDSAAPPPDTAPAPKADPSAPFRVPTRKPLEPPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGKSFLTSLTSREISNPQFHFLKPTHSMFTFFTALADAYSKVLMPPKGLTGKLENSVKDLTTVLERCLHRLEWDRSQEQARQKAEDEIEKERMEMAMIDWHDFVVVETIEFADDEDEELPPPMTLEEVIRRSKFSTLDEKEAMDTAEPGKEVEMEMDEEEIQLMEEGMRATRIEENDGGEEKKGEMKSAMGDEPEPPMRIVKNWKRPEERVAAERDPTKFVISPITGELIPISEMEEHMRISLIDPKYKEQKERMMAKIRETTLAADDEISRNIVGLAKTRPDIFGTTEEEVSNAVKAEIEKKDEQPKQVIWDGHSGSIGRTASQALSQGLPGEEQIDSNASDFRALAGPAAPPPRPGIPMVRPLPPPPGLALNIPRFVPPTNVQYSAPGTGGGIMQLPHRPGVSPLVSSAGPPQTSYHLNSSQQHMVMNRPPHMPQPISITPSAIPVPPPPGSQFTPLMAPRPPFGAIPIPAPNMHMVPPLPMSGMPPPPPPEEAPPPLPDEPEPKKPRLDDSSLIPEDQFLVQHPGSARISISVPNVDEGNLKGQVLEITVHSLSETIGSLKEKIAGEVQLPANKQKLSGRAGFLKDNLTLAYYNIRPSEMLTLALRERGGRKR >DRNTG_04527.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19313311:19317169:-1 gene:DRNTG_04527 transcript:DRNTG_04527.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPILPLPAPPADGDSGPLPLAQVPPDSQPQETNEPPSAPAPVATHTRTIGIIHPPPDIRLIIDKTANFVAKNGPEFEKRILANNANNAKFNFIITTDPYHAYYQHRISEFRSQLQSASDPSQPSEPSPSPKPDSAAPPPDTAPAPKADPSAPFRVPTRKPLEPPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGKSFLTSLTSREISNPQFHFLKPTHSMFTFFTALADAYSKVLMPPKGLTGKLENSVKDLTTVLERCLHRLEWDRSQEQARQKAEDEIEKERMEMAMIDWHDFVVVETIEFADDEDEELPPPMTLEEVIRRSKFSTLDEKEAMDTAEPGKEVEMEMDEEEIQLMEEGMRATRIEENDGGEEKKGEMKSAMGDEPEPPMRIVKNWKRPEERVAAERDPTKFVISPITGELIPISEMEEHMRISLIDPKYKEQKERMMAKIRETTLAADDEISRNIVGLAKTRPDIFGTTEEEVSNAVKAEIEKKDEQPKQVIWDGHSGSIGRTASQALSQGLPGEEQIDSNASDFRALAGPAAPPPRPGIPMVRPLPPPPGLALNIPRFVPPTNVQYSAPGTGGGIMQLPHRPGVSPLVSSAGPPQTSYHLNSSQQHMVMNRPPHMPQPISITPSAIPVPPPPGSQFTPLMAPRPPFGAIPIPAPNMHMVPPLPMSGMPPPPPPEEAPPPLPDEPEPKKPRLDDSSLIPEDQFLVQHPGSARISISVPNVDEGNLKGQVLEITVHSLSETIGSLKEKIAGEVQLPANKQKLSGRAGFLKDNLTLAYYNIRPSEMLTLALRERGGRKR >DRNTG_04527.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19313311:19317169:-1 gene:DRNTG_04527 transcript:DRNTG_04527.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPILPLPAPPADGDSGPLPLAQVPPDSQPQETNEPPSAPAPVATHTRTIGIIHPPPDIRLIIDKTANFVAKNGPEFEKRILANNANNAKFNFIITTDPYHAYYQHRISEFRSQLQSASDPSQPSEPSPSPKPDSAAPPPDTAPAPKADPSAPFRVPTRKPLEPPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGKSFLTSLTSREISNPQFHFLKPTHSMFTFFTALADAYSKVLMPPKGLTGKLENSVKDLTTVLERCLHRLEWDRSQEQARQKAEDEIEKERMEMAMIDWHDFVVVETIEFADDEDEELPPPMTLEEVIRRSKFSTLDEKEAMDTAEPGKEVEMEMDEEEIQLMEEGMRATRIEENDGGEEKKGEMKSAMGDEPEPPMRIVKNWKRPEERVAAERDPTKFVISPITGELIPISEMEEHMRISLIDPKYKEQKERMMAKIRETTLAADDEISRNIVGLAKTRPDIFGTTEEEVSNAVKAEIEKKDEQPKQVIWDGHSGSIGRTASQALSQGLPGEEQIDSNASDFRALAGPAAPPPRPGIPMVRPLPPPPGLALNIPRFVPPTNVQYSAPGTGGGIMQLPHRPGVSPLVSSAGPPQTSYHLNSSQQHMVMNRPPHMPQPISITPSAIPVPPPPGSQFTPLMAPRPPFGAIPIPAPNMHMVPPLPMSGMPPPPPPEEAPPPLPDEPEPKKPRLDDSSLIPEDQFLVQHPGSARISISVPNVDEGNLKGQVLEITVHSLSETIGSLKEKIAGEVQLPANKQKLSGRAGFLKDNLTLAYYNIRPSEMLTLALRERGGRKR >DRNTG_35276.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002236.1:32770:33261:1 gene:DRNTG_35276 transcript:DRNTG_35276.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDESNGKKVLKLDKVSDCARKWRGADVMVFNTGHWWTHYRNYLKAWDYFEQDGKEAKMDLDAAYAAALKTWARWIDRNVDPVKTMVFFRSANPLHQP >DRNTG_35276.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002236.1:30896:34178:1 gene:DRNTG_35276 transcript:DRNTG_35276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKAIAPAHTPASEPPSLNSSTVCDITDGKWVYDPKTYPLYNEDRCPFLSDQVVCLKNGRPDSGYQHWRWQPRGCTLPRFDGRLLLKRLRGKRVLIVGDSLNRNQFESFCCLLYSSVKRPSRVLLRTSSSDYKIFHAKDYHLKVEYYRSPFLVMLDESNGKKVLKLDKVSDCARKWRGADVMVFNTGHWWTHYRNYLKAWDYFEQDGKEAKMDLDAAYAAALKTWARWIDRNVDPVKTMVFFRSANPLHQPVSKQWCFNQTSPMTNEHYRQWFPRSMISTVERTIKEMRTPVKYLNITRLTEYRRDAHTSVYTSRQGKLLTVEQRSQPRNFADCSHWCLPGVPDTWNLLLYASIIGTPSLVL >DRNTG_23185.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1798992:1802538:1 gene:DRNTG_23185 transcript:DRNTG_23185.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHSVRMKKEQLLIEEIEELKQKGNLLHLENVELYKKIYDVRRTGVDNAPVIPHGFSFMENRDVRVHLELSQPQHQKDRVQPRSSKLR >DRNTG_23185.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1798992:1802538:1 gene:DRNTG_23185 transcript:DRNTG_23185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHSVRMKKEQLLIEEIEELKQKGNLLHLENVELYKKIYDVRRTGVDNAPVIPHGFSFMENRDVRVHLELSQPQHQKDRVQPRSSKLR >DRNTG_03391.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3990875:3991722:1 gene:DRNTG_03391 transcript:DRNTG_03391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFRKMPTEDVQPKEVKAMVVLPACGELGNVHEGNSVYELLLRIGLCSDSSLKNCLITMYCNRKNVQPDLFTLVSAIPTLPDPHDNSLRTLIPSAAMAAALTLAAFLGHPLKLAFAISPDLSV >DRNTG_31745.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21685252:21696330:1 gene:DRNTG_31745 transcript:DRNTG_31745.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RSW9 [Source:Projected from Arabidopsis thaliana (AT5G42080) UniProtKB/TrEMBL;Acc:A0A178UEJ4] MENLISLVNKLQRACTSLGDHGEESALPTLWDSLPAIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHKIDEGREYAEFLHLSKRKFYDFAAVRKEISDETDRETGRSKQISSVPIHLSIYSPSVVNLTLIDLPGLTKVAVEGQPDSIVQDIENMVRSFIEKPNCIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGKSYRLQFPWIGVVNRSQADINKSVDMIAARRREREYFANTPEYKHLAHRMGSEHLGKMLSKHLEQVIKSRIPGIQSLINKSIAELESELQRLGKPIAADSGGKLYAIMEICRIFDQIYKEHLDGVRPGGEKVYNVFDNQLPAALKRLQFDKHLSMENVRKLITEADGYQPHLIAPEQGYRRLIESCLVSIRGPAEAAVDAVHAILKELVHKAINETMELKQYPTLKVEVGNAAFDSLDRMRDESKKATLKLVDMECSYLTVDFFRKLPQDIEKGGNPTHSIFDRYNDSYLRRIGTTVLSYVNMVCATLRNSIPKSVVYCQVREAKRSLLDHFFTELGKKEAKQLSSLLDEDPAVMERRTALAKRLELYRSAQTEIDAVAWAK >DRNTG_27361.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23126270:23127383:1 gene:DRNTG_27361 transcript:DRNTG_27361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYPPDGTLEGGVQWERLRAPPVDTSAHELHVSDCLNELRPGDHIEIQWRMKTEVPYGWWYAVVGHLESCKQTDHHCRCHRSDTIIVEFNQYRTDSRWQRTIIDRKNHFEEGNETERLLWRSQETQQ >DRNTG_02973.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22394449:22396334:1 gene:DRNTG_02973 transcript:DRNTG_02973.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFENGEKMVHEVVIVGAGIAGLAAALALKRVGIKSLVLERSSELRATGTALVILHNAWRALEVLGVADKLDKPYQDFQLATGSITNLVTGVRQEFSMISGSTSGKTRMRPIHRKFLLETLAAELPPESIRFMSKLSSIKTEVSKDSFSVTVLEMEDGSVIRAKVVIGCEGVHSAVAKWLGLAAPISSGRSAVRGVSIYPEGHGFKKQHNQYFMGNGIKGGFVPISNTEIYWYLNKKSNPQDQEIAREAKMIKWEVLKTAKDFPLEYRQVVSNCDLSSLTLAPLLFRVPWNIVLGPSHYGGVTVAGDAFHPMTPDLGQGGCSALEDAVVLARNIANTPNNVNLGIENYVKERRWRAARLVGASYLSGVLEPWQSRRMLSRMWESFRQYAFNWLLHSKYGTLNYDCGTLTTADESFALNKDK >DRNTG_18060.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:134345:135291:-1 gene:DRNTG_18060 transcript:DRNTG_18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPLHLISRTQEAKDGGEGKQKPDASIFTYLIKVV >DRNTG_07202.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20365118:20365607:-1 gene:DRNTG_07202 transcript:DRNTG_07202.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASVTNGCSKAVGNLLYRVATEYPNSAASAHRPTLLEYVVSSKIKKAAQLDGSFSFLVKVGAASFDLKEFEEACGVGKHT >DRNTG_02411.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000113.1:182913:183970:1 gene:DRNTG_02411 transcript:DRNTG_02411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPPPVNNKRGKKTMLRRRDPNEEAGYTKGKVSMKGRKITCSICGAANHNKRFHGIEARLQNEAGQPSDAATNDVEQSEDNANNPMDAIDPQVLEEHFQLVIDTQQPALQNLPIETERRPMKSKIETRGGKKNNIVVGAKQHDVTNPVEPTTNRESSKKAPATSRAPVLRPRMKNKNMEAKTQAGPAMKKKKEWVPPGVGKFAPAAGNTS >DRNTG_14750.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:185046:188786:1 gene:DRNTG_14750 transcript:DRNTG_14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGPSGFHNAPVTRTFVVASALLTVLLSHSLRLGLSYEDIFEKFRFWRLIVSSLAFSSTPELMFGLYLLYYFRVFERQIGSNKHSVFIVFSMMVSLLLEMLTLTYLPDPSLKILVSGPYGLIFASFVPFYFDIPVSSRFTIFSLQLSDKSFIYLAGLQLLFSSWKRSLIPGICGVLAGSLYRLNAFGIRRVKFPDAFTDSLSRLSWPSGNSRQTSSSANVIGSIPSYPGHQVEGDDFFPTGVAVQEPLESAVATLVSMGFDSTSARHALVQARSDVNVATNILLEAQTH >DRNTG_14750.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:185046:188786:1 gene:DRNTG_14750 transcript:DRNTG_14750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGPSGFHNAPVTRTFVVASALLTVLLSHSLRLGLSYEDIFEKFRFWRLIVSSLAFSSTPELMFGLYLLYYFRVFERQIGSNKHSVFIVFSMMVSLLLEMLTLTYLPDPSLKILVSGPYGLIFASFVPFYFDIPVSSRFTIFSLQLSDKSFIYLAGLQLLFSSWKRSLIPGICGVLAGSLYRLNAFGIRRVKVSVTITINLQTPVLSPLFELMPNSYTLHA >DRNTG_32520.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14337152:14340530:1 gene:DRNTG_32520 transcript:DRNTG_32520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIPEEQEQAKGVSPVDVVAMATVEKIVESVTVAMADSTASKQDTIPQQQQEACSTTVPHDDPDQATRDMIKGNQKLDEMAQKVFVLKKKKWVGQSRLNKYEQELIRIFLNCLMDSTVIWKNDAVSTTWNKLYTLWRCHCR >DRNTG_23205.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5564933:5568612:1 gene:DRNTG_23205 transcript:DRNTG_23205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLRSRDCFWVADRFSKGPGSVPPPLDVDKIRFLLDTMSLEDVGLRSDMLPFKSRSSSNGTPRITYTTAYKCDNFSLCILVLPPGAVIPLHNHPGMTVLSKLLVGSMHIKAYDWVDPVRVVDSKAPSTKLRLARLVVDSVFAAPCKSSILFPTTGGNIHTFVASTPCVVLDVLGPPYLNEDGRDCTYYKEHPYCHVQNGTSAGKADDQKGWLEEIEIPKDLFHVVEYRGPQIIDG >DRNTG_23205.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5564933:5568612:1 gene:DRNTG_23205 transcript:DRNTG_23205.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLRSRDCFWVADRFSKGPGSVPPPLDVDKIRFLLDTMSLEDVGLRSDMLPFKSRSSSNGTPRITYTTAYKCDNFSLCILVLPPGAVIPLHNHPGMTVLSKLLVGSMHIKAYDWVDPVRVVDSKAPSTK >DRNTG_21831.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5997268:5999652:-1 gene:DRNTG_21831 transcript:DRNTG_21831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTFLPTEETLREDFVRDEDERPKVAYDQFSNEIPVISLAGVDGKERDEIRKKIVAACEDWGIFQVVDHGVDMELVETMTKLAKEFFALSQEEKLHFDMSGGKKGGFIVSSHLQGETVRDWREIVTYFSYPIKARDYTRWPDKPAGWIPVVEAYSEKLMGLACTLLGLLSEAMGLEKEALAKACLDMDQKVVVNYYPKCPQPDLTLGLKRHTDPGTITLLLQDQVGGLQATKDDGKSWITVQPVAGAFVVNLGDHGPFFEQR >DRNTG_08357.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4074441:4077714:-1 gene:DRNTG_08357 transcript:DRNTG_08357.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLDEETEGVAVLDFDMLCATVALQTQGFSVERRKGSEEDDEMEESGEFGGVQRMWEGGVLDFFEDRRIAIESACCPCYRFGKNMRRANLGPCFLQAAIYFLLVVAVVFNIISFVVTRQHLFLYLEVVFIILFGVYVGYFRSRIRRQFNIKGSDTYIDDCVNHLICPCGSLCQESRTLEMNNILDGVWHGRGETICLGTSGEGSKAFAALYKPSLISTKSPVLCSMEKPSDTGDHSWIIDVSPSKPLVLLAEPAGKAEV >DRNTG_07948.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1058983:1065542:1 gene:DRNTG_07948 transcript:DRNTG_07948.16 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA(adenine(34)) deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68720) UniProtKB/Swiss-Prot;Acc:Q9S7I0] MIALLAEFDGVCGDSSGFSNDFQRRESGVRLRRDRSGFSCYSKESWSSSEDYECGDEGRRREGYESSGNYRKRVGFENADEKVGRRENGARRVVRSSGMRDDEKERSSSSRKVSAWKARDEEEKADFLVDSYSEHRENYTEGGRQRETSQKHGRMYAGDQEEVKRVSDSKRNSGVKIRNTKEGSWSRDSGYEEREKHIHEGDSVIGRRDAQRESNKSGRITEVREDNGTMASSSNNLVDARFSSSSAVKNHNKEDRRVAEHAELSMNSGRFAEAAAEIHGTGIDRSSFSQKRFDETRVRNRQDESSSYQHSVQVEGSERRRANQHSLEQVDLSKQTTQYEGHAKVSGFSHSQKQCEETQLKDIEESSTANQKTVQLTRDTRTRLDQQSVKEINLTKQALHYEDHTANLSRNSQSQNYFIDSRLEDVEDKSTSVQQTVELTRDDRRRLDQRTMERVNINKKYEDHTDISGVVSSDTERIRNSQKHVAAKLYDQDKGSTHGAREHNNQSNDRLSQPYSSRQEFERYSQIYGSSRSNMQQTFGYQGSSKSGTEDSEGSSLFVLTHDVKDKQGTFIQRSSQSRGTQTIFSGSSESSSASGRRKFLEQVEQTSTDETYITKTDVLETASLFDRSSALYIDEFVDRARQEISASDQLDKVTGHSSEIKIQEIDGGSSERSADALVSVLDSTSKCKDEKQVQESGRQSSSSRSGPKGPPDEMWEIRGPSFQDPSKEDSSPMGNVEPGTRTESAITQGSRRSLWTYIADIIRKGWVSNAESHAATLKSGTRSTSNESVSSEAWFSGNEPDEDDSENKEEGKGNSPKEIPSRAPDDRSHSIIATSTKSPEIPTVEERVILLEGGRTASLTVSEGPSVVGGPESPRVEERLKLLGRSRTAPLKVSEAASLVSRPESLGHPKNEKEKGVLSSRMIVVGQSSASVVESLSPTIQEELIATEMTKSEEELKIEKAPEVETMGKDMELKRRRLHRNKQVLKETFEEWEEAYNLESEQRKIDEFFMREALLEAKKAADSWEVPVGAVLVQNGKIIARGCNLVEEARDSTAHAEMICIREASNVLRTWRLADTTLYVTLEPCAMCAGAILQARIDTLVWGAPNKLLGADGSWVRLFPGDGGSNSLDSSTEAGPVHPFHPKITIRRAVLAAECADAMQQFFRLRRKKDKKPEQSPSSCLPITARPPKFLTKMHDLFCIMFCL >DRNTG_07948.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1058983:1065542:1 gene:DRNTG_07948 transcript:DRNTG_07948.15 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA(adenine(34)) deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68720) UniProtKB/Swiss-Prot;Acc:Q9S7I0] MYPSYTATALSLRAKWSIYNSNVSFSGDRSSCCCCRCSDGGLINPRSIYEYILNRSALIQWAPSRRLLAGGVRRCRLVPRSSDGGFREMIALLAEFDGVCGDSSGFSNDFQRRESGVRLRRDRSGFSCYSKESWSSSEDYECGDEGRRREGYESSGNYRKRVGFENADEKVGRRENGARRVVRSSGMRDDEKERSSSSRKVSAWKARDEEEKADFLVDSYSEHRENYTEGGRQRETSQKHGRMYAGDQEEVKRVSDSKRNSGVKIRNTKEGSWSRDSGYEEREKHIHEGDSVIGRRDAQRESNKSGRITEVREDNGTMASSSNNLVDARFSSSSAVKNHNKEDRRVAEHAELSMNSGRFAEAAAEIHGTGIDRSSFSQKRFDETRVRNRQDESSSYQHSVQVEGSERRRANQHSLEQVDLSKQTTQYEGHAKVSGFSHSQKQCEETQLKDIEESSTANQKTVQLTRDTRTRLDQQSVKEINLTKQALHYEDHTANLSRNSQSQNYFIDSRLEDVEDKSTSVQQTVELTRDDRRRLDQRTMERVNINKKYEDHTDISGVVSSDTERIRNSQKHVAAKLYDQDKGSTHGAREHNNQSNDRLSQPYSSRQEFERYSQIYGSSRSNMQQTFGYQGSSKSGTEDSEGSSLFVLTHDVKDKQGTFIQRSSQSRGTQTIFSGSSESSSASGRRKFLEQVEQTSTDETYITKTDVLETASLFDRSSALYIDEFVDRARQEISASDQLDKVTGHSSEIKIQEIDGGSSERSADALVSVLDSTSKCKDEKQVQESGRQSSSSRSGPKGPPDEMWEIRGPSFQDPSKEDSSPMGNVEPGTRTESAITQGSRRSLWTYIADIIRKGWVSNAESHAATLKSGTRSTSNESVSSEAWFSGNEPDEDDSENKEEGKGNSPKEIPSRAPDDRSHSIIATSTKSPEIPTVEERVILLEGGRTASLTVSEGPSVVGGPESPRVEERLKLLGRSRTAPLKVSEAASLVSRPESLGHPKNEKEKGVLSSRMIVVGQSSASVVESLSPTIQEELIATEMTKSEEELKIEKAPEVETMGKDMELKRRRLHRNKQVLKETFEEWEEAYNLESEQRKIDEFFMREALLEAKKAADSWEVPVGAVLVQNGKIIARGCNLVEEARDSTAHAEMICIREASNVLRTWRLADTTLYVTLEPCAMCAGAILQARIDTLVWGAPNKLLGADGSWVRLFPGDGGSNSLDSSTEAGPVHPFHPKITIRRAVLAAECADAMQQFFRLRRKKDKKPEQSPSSCLPITARPPKFLTKMHDLFCIMFCL >DRNTG_07948.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1058983:1065687:1 gene:DRNTG_07948 transcript:DRNTG_07948.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA(adenine(34)) deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68720) UniProtKB/Swiss-Prot;Acc:Q9S7I0] MYPSYTATALSLRAKWSIYNSNVSFSGDRSSCCCCRCSDGGLINPRSIYEYILNRSALIQWAPSRRLLAGGVRRCRLVPRSSDGGFREMIALLAEFDGVCGDSSGFSNDFQRRESGVRLRRDRSGFSCYSKESWSSSEDYECGDEGRRREGYESSGNYRKRVGFENADEKVGRRENGARRVVRSSGMRDDEKERSSSSRKVSAWKARDEEEKADFLVDSYSEHRENYTEGGRQRETSQKHGRMYAGDQEEVKRVSDSKRNSGVKIRNTKEGSWSRDSGYEEREKHIHEGDSVIGRRDAQRESNKSGRITEVREDNGTMASSSNNLVDARFSSSSAVKNHNKEDRRVAEHAELSMNSGRFAEAAAEIHGTGIDRSSFSQKRFDETRVRNRQDESSSYQHSVQVEGSERRRANQHSLEQVDLSKQTTQYEGHAKVSGFSHSQKQCEETQLKDIEESSTANQKTVQLTRDTRTRLDQQSVKEINLTKQALHYEDHTANLSRNSQSQNYFIDSRLEDVEDKSTSVQQTVELTRDDRRRLDQRTMERVNINKKYEDHTDISGVVSSDTERIRNSQKHVAAKLYDQDKGSTHGAREHNNQSNDRLSQPYSSRQEFERYSQIYGSSRSNMQQTFGYQGSSKSGTEDSEGSSLFVLTHDVKDKQGTFIQRSSQSRGTQTIFSGSSESSSASGRRKFLEQVEQTSTDETYITKTDVLETASLFDRSSALYIDEFVDRARQEISASDQLDKVTGHSSEIKIQEIDGGSSERSADALVSVLDSTSKCKDEKQVQESGRQSSSSRSGPKGPPDEMWEIRGPSFQDPSKEDSSPMGNVEPGTRTESAITQGSRRSLWTYIADIIRKGWVSNAESHAATLKSGTRSTSNESVSSEAWFSGNEPDEDDSENKEEGKGNSPKEIPSRAPDDRSHSIIATSTKSPEIPTVEERVILLEGGRTASLTVSEGPSVVGGPESPRVEERLKLLGRSRTAPLKVSEAASLVSRPESLGHPKNEKEKGVLSSRMIVVGQSSASVVESLSPTIQEELIATEMTKSEEELKIEKAPEVETMGKDMELKRRRLHRNKQVLKETFEEWEEAYNLESEQRKIDEFFMREALLEAKKAADSWEVPVGAVLVQNGKIIARGCNLVEEARDSTAHAEMICIREASNVLRTWRLADTTLYVTLEPCAMCAGAILQARIDTLVWGAPNKLLGADGSWVRLFPGDGGSNSLDSSTEAGPVHPFHPKITIRRAVLAAECADAMQQFFRLRRKKDKKPEQSPSSCLPITARPPKFLTKMHDLFCIMFCL >DRNTG_07948.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1058983:1065542:1 gene:DRNTG_07948 transcript:DRNTG_07948.14 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA(adenine(34)) deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68720) UniProtKB/Swiss-Prot;Acc:Q9S7I0] MYPSYTATALSLRAKWSIYNSNVSFSGDRSSCCCCRCSDGGLINPRSIYEYILNRSALIQWAPSRRLLAGGVRRCRLVPRSSDGGFREMIALLAEFDGVCGDSSGFSNDFQRRESGVRLRRDRSGFSCYSKESWSSSEDYECGDEGRRREGYESSGNYRKRVGFENADEKVGRRENGARRVVRSSGMRDDEKERSSSSRKVSAWKARDEEEKADFLVDSYSEHRENYTEGGRQRETSQKHGRMYAGDQEEVKRVSDSKRNSGVKIRNTKEGSWSRDSGYEEREKHIHEGDSVIGRRDAQRESNKSGRITEVREDNGTMASSSNNLVDARFSSSSAVKNHNKEDRRVAEHAELSMNSGRFAEAAAEIHGTGIDRSSFSQKRFDETRVRNRQDESSSYQHSVQVEGSERRRANQHSLEQVDLSKQTTQYEGHAKVSGFSHSQKQCEETQLKDIEESSTANQKTVQLTRDTRTRLDQQSVKEINLTKQALHYEDHTANLSRNSQSQNYFIDSRLEDVEDKSTSVQQTVELTRDDRRRLDQRTMERVNINKKYEDHTDISGVVSSDTERIRNSQKHVAAKLYDQDKGSTHGAREHNNQSNDRLSQPYSSRQEFERYSQIYGSSRSNMQQTFGYQGSSKSGTEDSEGSSLFVLTHDVKDKQGTFIQRSSQSRGTQTIFSGSSESSSASGRRKFLEQVEQTSTDETYITKTDVLETASLFDRSSALYIDEFVDRARQEISASDQLDKVTGHSSEIKIQEIDGGSSERSADALVSVLDSTSKCKDEKQVQESGRQSSSSRSGPKGPPDEMWEIRGPSFQDPSKEDSSPMGNVEPGTRTESAITQGSRRSLWTYIADIIRKGWVSNAESHAATLKSGTRSTSNESVSSEAWFSGNEPDEDDSENKEEGKGNSPKEIPSRAPDDRSHSIIATSTKSPEIPTVEERVILLEGGRTASLTVSEGPSVVGGPESPRVEERLKLLGRSRTAPLKVSEAASLVSRPESLGHPKNEKEKGVLSSRMIVVGQSSASVVESLSPTIQEELIATEMTKSEEELKIEKAPEVETMGKDMELKRRRLHRNKQVLKETFEEWEEAYNLESEQRKIDEFFMREALLEAKKAADSWEVPVGAVLVQNGKIIARGCNLVEEARDSTAHAEMICIREASNVLRTWRLADTTLYVTLEPCAMCAGAILQARIDTLVWGAPNKLLGADGSWVRLFPGDGGSNSLDSSTEAGPVHPFHPKITIRRAVLAAECADAMQQFFRLRRKKDKKPEQSPSSCLPITARPPKFLTKMHDLFCIMFCL >DRNTG_07948.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1058983:1065542:1 gene:DRNTG_07948 transcript:DRNTG_07948.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA(adenine(34)) deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68720) UniProtKB/Swiss-Prot;Acc:Q9S7I0] MYPSYTATALSLRAKWSIYNSNVSFSGDRSSCCCCRCSDGGLINPRSIYEYILNRSALIQWAPSRRLLAGGVRRCRLVPRSSDGGFREMIALLAEFDGVCGDSSGFSNDFQRRESGVRLRRDRSGFSCYSKESWSSSEDYECGDEGRRREGYESSGNYRKRVGFENADEKVGRRENGARRVVRSSGMRDDEKERSSSSRKVSAWKARDEEEKADFLVDSYSEHRENYTEGGRQRETSQKHGRMYAGDQEEVKRVSDSKRNSGVKIRNTKEGSWSRDSGYEEREKHIHEGDSVIGRRDAQRESNKSGRITEVREDNGTMASSSNNLVDARFSSSSAVKNHNKEDRRVAEHAELSMNSGRFAEAAAEIHGTGIDRSSFSQKRFDETRVRNRQDESSSYQHSVQVEGSERRRANQHSLEQVDLSKQTTQYEGHAKVSGFSHSQKQCEETQLKDIEESSTANQKTVQLTRDTRTRLDQQSVKEINLTKQALHYEDHTANLSRNSQSQNYFIDSRLEDVEDKSTSVQQTVELTRDDRRRLDQRTMERVNINKKYEDHTDISGVVSSDTERIRNSQKHVAAKLYDQDKGSTHGAREHNNQSNDRLSQPYSSRQEFERYSQIYGSSRSNMQQTFGYQGSSKSGTEDSEGSSLFVLTHDVKDKQGTFIQRSSQSRGTQTIFSGSSESSSASGRRKFLEQVEQTSTDETYITKTDVLETASLFDRSSALYIDEFVDRARQEISASDQLDKVTGHSSEIKIQEIDGGSSERSADALVSVLDSTSKCKDEKQVQESGRQSSSSRSGPKGPPDEMWEIRGPSFQDPSKEDSSPMGNVEPGTRTESAITQGSRRSLWTYIADIIRKGWVSNAESHAATLKSGTRSTSNESVSSEAWFSGNEPDEDDSENKEEGKGNSPKEIPSRAPDDRSHSIIATSTKSPEIPTVEERVILLEGGRTASLTVSEGPSVVGGPESPRVEERLKLLGRSRTAPLKVSEAASLVSRPESLGHPKNEKEKGVLSSRMIVVGQSSASVVESLSPTIQEELIATEMTKSEEELKIEKAPEVETMGKDMELKRRRLHRNKQVLKETFEEWEEAYNLESEQRKIDEFFMREALLEAKKAADSWEVPVGAVLVQNGKIIARGCNLVEEARDSTAHAEMICIREASNVLRTWRLADTTLYVTLEPCAMCAGAILQARIDTLVWGAPNKLLGADGSWVRLFPGDGGSNSLDSSTEAGPVHPFHPKITIRRAVLAAECADAMQQFFRLRRKKDKKPEQSPSSCLPITARPPKFLTKMHDLFCIMFCL >DRNTG_07948.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1058983:1065542:1 gene:DRNTG_07948 transcript:DRNTG_07948.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA(adenine(34)) deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68720) UniProtKB/Swiss-Prot;Acc:Q9S7I0] MYPSYTATALSLRAKWSIYNSNVSFSGDRSSCCCCRCSDGGLINPRSIYEYILNRSALIQWAPSRRLLAGGVRRCRLVPRSSDGGFREMIALLAEFDGVCGDSSGFSNDFQRRESGVRLRRDRSGFSCYSKESWSSSEDYECGDEGRRREGYESSGNYRKRVGFENADEKVGRRENGARRVVRSSGMRDDEKERSSSSRKVSAWKARDEEEKADFLVDSYSEHRENYTEGGRQRETSQKHGRMYAGDQEEVKRVSDSKRNSGVKIRNTKEGSWSRDSGYEEREKHIHEGDSVIGRRDAQRESNKSGRITEVREDNGTMASSSNNLVDARFSSSSAVKNHNKEDRRVAEHAELSMNSGRFAEAAAEIHGTGIDRSSFSQKRFDETRVRNRQDESSSYQHSVQVEGSERRRANQHSLEQVDLSKQTTQYEGHAKVSGFSHSQKQCEETQLKDIEESSTANQKTVQLTRDTRTRLDQQSVKEINLTKQALHYEDHTANLSRNSQSQNYFIDSRLEDVEDKSTSVQQTVELTRDDRRRLDQRTMERVNINKKYEDHTDISGVVSSDTERIRNSQKHVAAKLYDQDKGSTHGAREHNNQSNDRLSQPYSSRQEFERYSQIYGSSRSNMQQTFGYQGSSKSGTEDSEGSSLFVLTHDVKDKQGTFIQRSSQSRGTQTIFSGSSESSSASGRRKFLEQVEQTSTDETYITKTDVLETASLFDRSSALYIDEFVDRARQEISASDQLDKVTGHSSEIKIQEIDGGSSERSADALVSVLDSTSKCKDEKQVQESGRQSSSSRSGPKGPPDEMWEIRGPSFQDPSKEDSSPMGNVEPGTRTESAITQGSRRSLWTYIADIIRKGWVSNAESHAATLKSGTRSTSNESVSSEAWFSGNEPDEDDSENKEEGKGNSPKEIPSRAPDDRSHSIIATSTKSPEIPTVEERVILLEGGRTASLTVSEGPSVVGGPESPRVEERLKLLGRSRTAPLKVSEAASLVSRPESLGHPKNEKEKGVLSSRMIVVGQSSASVVESLSPTIQEELIATEMTKSEEELKIEKAPEVETMGKDMELKRRRLHRNKQVLKETFEEWEEAYNLESEQRKIDEFFMREALLEAKKAADSWEVPVGAVLVQNGKIIARGCNLVEEARDSTAHAEMICIREASNVLRTWRLADTTLYVTLEPCAMCAGAILQARIDTLVWGAPNKLLGADGSWVRLFPGDGGSNSLDSSTEAGPVHPFHPKITIRRAVLAAECADAMQQFFRLRRKKDKKPEQSPSSCLPITARPPKFLTKMHDLFCIMFCL >DRNTG_07948.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1058983:1065687:1 gene:DRNTG_07948 transcript:DRNTG_07948.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA(adenine(34)) deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68720) UniProtKB/Swiss-Prot;Acc:Q9S7I0] MIALLAEFDGVCGDSSGFSNDFQRRESGVRLRRDRSGFSCYSKESWSSSEDYECGDEGRRREGYESSGNYRKRVGFENADEKVGRRENGARRVVRSSGMRDDEKERSSSSRKVSAWKARDEEEKADFLVDSYSEHRENYTEGGRQRETSQKHGRMYAGDQEEVKRVSDSKRNSGVKIRNTKEGSWSRDSGYEEREKHIHEGDSVIGRRDAQRESNKSGRITEVREDNGTMASSSNNLVDARFSSSSAVKNHNKEDRRVAEHAELSMNSGRFAEAAAEIHGTGIDRSSFSQKRFDETRVRNRQDESSSYQHSVQVEGSERRRANQHSLEQVDLSKQTTQYEGHAKVSGFSHSQKQCEETQLKDIEESSTANQKTVQLTRDTRTRLDQQSVKEINLTKQALHYEDHTANLSRNSQSQNYFIDSRLEDVEDKSTSVQQTVELTRDDRRRLDQRTMERVNINKKYEDHTDISGVVSSDTERIRNSQKHVAAKLYDQDKGSTHGAREHNNQSNDRLSQPYSSRQEFERYSQIYGSSRSNMQQTFGYQGSSKSGTEDSEGSSLFVLTHDVKDKQGTFIQRSSQSRGTQTIFSGSSESSSASGRRKFLEQVEQTSTDETYITKTDVLETASLFDRSSALYIDEFVDRARQEISASDQLDKVTGHSSEIKIQEIDGGSSERSADALVSVLDSTSKCKDEKQVQESGRQSSSSRSGPKGPPDEMWEIRGPSFQDPSKEDSSPMGNVEPGTRTESAITQGSRRSLWTYIADIIRKGWVSNAESHAATLKSGTRSTSNESVSSEAWFSGNEPDEDDSENKEEGKGNSPKEIPSRAPDDRSHSIIATSTKSPEIPTVEERVILLEGGRTASLTVSEGPSVVGGPESPRVEERLKLLGRSRTAPLKVSEAASLVSRPESLGHPKNEKEKGVLSSRMIVVGQSSASVVESLSPTIQEELIATEMTKSEEELKIEKAPEVETMGKDMELKRRRLHRNKQVLKETFEEWEEAYNLESEQRKIDEFFMREALLEAKKAADSWEVPVGAVLVQNGKIIARGCNLVEEARDSTAHAEMICIREASNVLRTWRLADTTLYVTLEPCAMCAGAILQARIDTLVWGAPNKLLGADGSWVRLFPGDGGSNSLDSSTEAGPVHPFHPKITIRRAVLAAECADAMQQFFRLRRKKDKKPEQSPSSCLPITARPPKFLTKMHDLFCIMFCL >DRNTG_07948.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1058983:1065542:1 gene:DRNTG_07948 transcript:DRNTG_07948.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA(adenine(34)) deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68720) UniProtKB/Swiss-Prot;Acc:Q9S7I0] MYPSYTATALSLRAKWSIYNSNVSFSGDRSSCCCCRCSDGGLINPRSIYEYILNRSALIQWAPSRRLLAGGVRRCRLVPRSSDGGFREMIALLAEFDGVCGDSSGFSNDFQRRESGVRLRRDRSGFSCYSKESWSSSEDYECGDEGRRREGYESSGNYRKRVGFENADEKVGRRENGARRVVRSSGMRDDEKERSSSSRKVSAWKARDEEEKADFLVDSYSEHRENYTEGGRQRETSQKHGRMYAGDQEEVKRVSDSKRNSGVKIRNTKEGSWSRDSGYEEREKHIHEGDSVIGRRDAQRESNKSGRITEVREDNGTMASSSNNLVDARFSSSSAVKNHNKEDRRVAEHAELSMNSGRFAEAAAEIHGTGIDRSSFSQKRFDETRVRNRQDESSSYQHSVQVEGSERRRANQHSLEQVDLSKQTTQYEGHAKVSGFSHSQKQCEETQLKDIEESSTANQKTVQLTRDTRTRLDQQSVKEINLTKQALHYEDHTANLSRNSQSQNYFIDSRLEDVEDKSTSVQQTVELTRDDRRRLDQRTMERVNINKKYEDHTDISGVVSSDTERIRNSQKHVAAKLYDQDKGSTHGAREHNNQSNDRLSQPYSSRQEFERYSQIYGSSRSNMQQTFGYQGSSKSGTEDSEGSSLFVLTHDVKDKQGTFIQRSSQSRGTQTIFSGSSESSSASGRRKFLEQVEQTSTDETYITKTDVLETASLFDRSSALYIDEFVDRARQEISASDQLDKVTGHSSEIKIQEIDGGSSERSADALVSVLDSTSKCKDEKQVQESGRQSSSSRSGPKGPPDEMWEIRGPSFQDPSKEDSSPMGNVEPGTRTESAITQGSRRSLWTYIADIIRKGWVSNAESHAATLKSGTRSTSNESVSSEAWFSGNEPDEDDSENKEEGKGNSPKEIPSRAPDDRSHSIIATSTKSPEIPTVEERVILLEGGRTASLTVSEGPSVVGGPESPRVEERLKLLGRSRTAPLKVSEAASLVSRPESLGHPKNEKEKGVLSSRMIVVGQSSASVVESLSPTIQEELIATEMTKSEEELKIEKAPEVETMGKDMELKRRRLHRNKQVLKETFEEWEEAYNLESEQRKIDEFFMREALLEAKKAADSWEVPVGAVLVQNGKIIARGCNLVEEARDSTAHAEMICIREASNVLRTWRLADTTLYVTLEPCAMCAGAILQARIDTLVWGAPNKLLGADGSWVRLFPGDGGSNSLDSSTEAGPVHPFHPKITIRRAVLAAECADAMQQFFRLRRKKDKKPEQSPSSCLPITARPPKFLTKMHDLFCIMFCL >DRNTG_07948.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1058983:1065542:1 gene:DRNTG_07948 transcript:DRNTG_07948.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA(adenine(34)) deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68720) UniProtKB/Swiss-Prot;Acc:Q9S7I0] MYPSYTATALSLRAKWSIYNSNVSFSGDRSSCCCCRCSDGGLINPRSIYEYILNRSALIQWAPSRRLLAGGVRRCRLVPRSSDGGFREMIALLAEFDGVCGDSSGFSNDFQRRESGVRLRRDRSGFSCYSKESWSSSEDYECGDEGRRREGYESSGNYRKRVGFENADEKVGRRENGARRVVRSSGMRDDEKERSSSSRKVSAWKARDEEEKADFLVDSYSEHRENYTEGGRQRETSQKHGRMYAGDQEEVKRVSDSKRNSGVKIRNTKEGSWSRDSGYEEREKHIHEGDSVIGRRDAQRESNKSGRITEVREDNGTMASSSNNLVDARFSSSSAVKNHNKEDRRVAEHAELSMNSGRFAEAAAEIHGTGIDRSSFSQKRFDETRVRNRQDESSSYQHSVQVEGSERRRANQHSLEQVDLSKQTTQYEGHAKVSGFSHSQKQCEETQLKDIEESSTANQKTVQLTRDTRTRLDQQSVKEINLTKQALHYEDHTANLSRNSQSQNYFIDSRLEDVEDKSTSVQQTVELTRDDRRRLDQRTMERVNINKKYEDHTDISGVVSSDTERIRNSQKHVAAKLYDQDKGSTHGAREHNNQSNDRLSQPYSSRQEFERYSQIYGSSRSNMQQTFGYQGSSKSGTEDSEGSSLFVLTHDVKDKQGTFIQRSSQSRGTQTIFSGSSESSSASGRRKFLEQVEQTSTDETYITKTDVLETASLFDRSSALYIDEFVDRARQEISASDQLDKVTGHSSEIKIQEIDGGSSERSADALVSVLDSTSKCKDEKQVQESGRQSSSSRSGPKGPPDEMWEIRGPSFQDPSKEDSSPMGNVEPGTRTESAITQGSRRSLWTYIADIIRKGWVSNAESHAATLKSGTRSTSNESVSSEAWFSGNEPDEDDSENKEEGKGNSPKEIPSRAPDDRSHSIIATSTKSPEIPTVEERVILLEGGRTASLTVSEGPSVVGGPESPRVEERLKLLGRSRTAPLKVSEAASLVSRPESLGHPKNEKEKGVLSSRMIVVGQSSASVVESLSPTIQEELIATEMTKSEEELKIEKAPEVETMGKDMELKRRRLHRNKQVLKETFEEWEEAYNLESEQRKIDEFFMREALLEAKKAADSWEVPVGAVLVQNGKIIARGCNLVEEARDSTAHAEMICIREASNVLRTWRLADTTLYVTLEPCAMCAGAILQARIDTLVWGAPNKLLGADGSWVRLFPGDGGSNSLDSSTEAGPVHPFHPKITIRRAVLAAECADAMQQFFRLRRKKDKKPEQSPSSCLPITARPPKFLTKMHDLFCIMFCL >DRNTG_07948.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1058983:1065542:1 gene:DRNTG_07948 transcript:DRNTG_07948.18 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA(adenine(34)) deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68720) UniProtKB/Swiss-Prot;Acc:Q9S7I0] MIALLAEFDGVCGDSSGFSNDFQRRESGVRLRRDRSGFSCYSKESWSSSEDYECGDEGRRREGYESSGNYRKRVGFENADEKVGRRENGARRVVRSSGMRDDEKERSSSSRKVSAWKARDEEEKADFLVDSYSEHRENYTEGGRQRETSQKHGRMYAGDQEEVKRVSDSKRNSGVKIRNTKEGSWSRDSGYEEREKHIHEGDSVIGRRDAQRESNKSGRITEVREDNGTMASSSNNLVDARFSSSSAVKNHNKEDRRVAEHAELSMNSGRFAEAAAEIHGTGIDRSSFSQKRFDETRVRNRQDESSSYQHSVQVEGSERRRANQHSLEQVDLSKQTTQYEGHAKVSGFSHSQKQCEETQLKDIEESSTANQKTVQLTRDTRTRLDQQSVKEINLTKQALHYEDHTANLSRNSQSQNYFIDSRLEDVEDKSTSVQQTVELTRDDRRRLDQRTMERVNINKKYEDHTDISGVVSSDTERIRNSQKHVAAKLYDQDKGSTHGAREHNNQSNDRLSQPYSSRQEFERYSQIYGSSRSNMQQTFGYQGSSKSGTEDSEGSSLFVLTHDVKDKQGTFIQRSSQSRGTQTIFSGSSESSSASGRRKFLEQVEQTSTDETYITKTDVLETASLFDRSSALYIDEFVDRARQEISASDQLDKVTGHSSEIKIQEIDGGSSERSADALVSVLDSTSKCKDEKQVQESGRQSSSSRSGPKGPPDEMWEIRGPSFQDPSKEDSSPMGNVEPGTRTESAITQGSRRSLWTYIADIIRKGWVSNAESHAATLKSGTRSTSNESVSSEAWFSGNEPDEDDSENKEEGKGNSPKEIPSRAPDDRSHSIIATSTKSPEIPTVEERVILLEGGRTASLTVSEGPSVVGGPESPRVEERLKLLGRSRTAPLKVSEAASLVSRPESLGHPKNEKEKGVLSSRMIVVGQSSASVVESLSPTIQEELIATEMTKSEEELKIEKAPEVETMGKDMELKRRRLHRNKQVLKETFEEWEEAYNLESEQRKIDEFFMREALLEAKKAADSWEVPVGAVLVQNGKIIARGCNLVEEARDSTAHAEMICIREASNVLRTWRLADTTLYVTLEPCAMCAGAILQARIDTLVWGAPNKLLGADGSWVRLFPGDGGSNSLDSSTEAGPVHPFHPKITIRRAVLAAECADAMQQFFRLRRKKDKKPEQSPSSCLPITARPPKFLTKMHDLFCIMFCL >DRNTG_07948.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1058983:1065687:1 gene:DRNTG_07948 transcript:DRNTG_07948.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA(adenine(34)) deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68720) UniProtKB/Swiss-Prot;Acc:Q9S7I0] MYPSYTATALSLRAKWSIYNSNVSFSGDRSSCCCCRCSDGGLINPRSIYEYILNRSALIQWAPSRRLLAGGVRRCRLVPRSSDGGFREMIALLAEFDGVCGDSSGFSNDFQRRESGVRLRRDRSGFSCYSKESWSSSEDYECGDEGRRREGYESSGNYRKRVGFENADEKVGRRENGARRVVRSSGMRDDEKERSSSSRKVSAWKARDEEEKADFLVDSYSEHRENYTEGGRQRETSQKHGRMYAGDQEEVKRVSDSKRNSGVKIRNTKEGSWSRDSGYEEREKHIHEGDSVIGRRDAQRESNKSGRITEVREDNGTMASSSNNLVDARFSSSSAVKNHNKEDRRVAEHAELSMNSGRFAEAAAEIHGTGIDRSSFSQKRFDETRVRNRQDESSSYQHSVQVEGSERRRANQHSLEQVDLSKQTTQYEGHAKVSGFSHSQKQCEETQLKDIEESSTANQKTVQLTRDTRTRLDQQSVKEINLTKQALHYEDHTANLSRNSQSQNYFIDSRLEDVEDKSTSVQQTVELTRDDRRRLDQRTMERVNINKKYEDHTDISGVVSSDTERIRNSQKHVAAKLYDQDKGSTHGAREHNNQSNDRLSQPYSSRQEFERYSQIYGSSRSNMQQTFGYQGSSKSGTEDSEGSSLFVLTHDVKDKQGTFIQRSSQSRGTQTIFSGSSESSSASGRRKFLEQVEQTSTDETYITKTDVLETASLFDRSSALYIDEFVDRARQEISASDQLDKVTGHSSEIKIQEIDGGSSERSADALVSVLDSTSKCKDEKQVQESGRQSSSSRSGPKGPPDEMWEIRGPSFQDPSKEDSSPMGNVEPGTRTESAITQGSRRSLWTYIADIIRKGWVSNAESHAATLKSGTRSTSNESVSSEAWFSGNEPDEDDSENKEEGKGNSPKEIPSRAPDDRSHSIIATSTKSPEIPTVEERVILLEGGRTASLTVSEGPSVVGGPESPRVEERLKLLGRSRTAPLKVSEAASLVSRPESLGHPKNEKEKGVLSSRMIVVGQSSASVVESLSPTIQEELIATEMTKSEEELKIEKAPEVETMGKDMELKRRRLHRNKQVLKETFEEWEEAYNLESEQRKIDEFFMREALLEAKKAADSWEVPVGAVLVQNGKIIARGCNLVEEARDSTAHAEMICIREASNVLRTWRLADTTLYVTLEPCAMCAGAILQARIDTLVWGAPNKLLGADGSWVRLFPGDGGSNSLDSSTEAGPVHPFHPKITIRRAVLAAECADAMQQFFRLRRKKDKKPEQSPSSCLPITARPPKFLTKMHDLFCIMFCL >DRNTG_07948.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1058983:1065687:1 gene:DRNTG_07948 transcript:DRNTG_07948.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA(adenine(34)) deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68720) UniProtKB/Swiss-Prot;Acc:Q9S7I0] MYPSYTATALSLRAKWSIYNSNVSFSGDRSSCCCCRCSDGGLINPRSIYEYILNRSALIQWAPSRRLLAGGVRRCRLVPRSSDGGFREMIALLAEFDGVCGDSSGFSNDFQRRESGVRLRRDRSGFSCYSKESWSSSEDYECGDEGRRREGYESSGNYRKRVGFENADEKVGRRENGARRVVRSSGMRDDEKERSSSSRKVSAWKARDEEEKADFLVDSYSEHRENYTEGGRQRETSQKHGRMYAGDQEEVKRVSDSKRNSGVKIRNTKEGSWSRDSGYEEREKHIHEGDSVIGRRDAQRESNKSGRITEVREDNGTMASSSNNLVDARFSSSSAVKNHNKEDRRVAEHAELSMNSGRFAEAAAEIHGTGIDRSSFSQKRFDETRVRNRQDESSSYQHSVQVEGSERRRANQHSLEQVDLSKQTTQYEGHAKVSGFSHSQKQCEETQLKDIEESSTANQKTVQLTRDTRTRLDQQSVKEINLTKQALHYEDHTANLSRNSQSQNYFIDSRLEDVEDKSTSVQQTVELTRDDRRRLDQRTMERVNINKKYEDHTDISGVVSSDTERIRNSQKHVAAKLYDQDKGSTHGAREHNNQSNDRLSQPYSSRQEFERYSQIYGSSRSNMQQTFGYQGSSKSGTEDSEGSSLFVLTHDVKDKQGTFIQRSSQSRGTQTIFSGSSESSSASGRRKFLEQVEQTSTDETYITKTDVLETASLFDRSSALYIDEFVDRARQEISASDQLDKVTGHSSEIKIQEIDGGSSERSADALVSVLDSTSKCKDEKQVQESGRQSSSSRSGPKGPPDEMWEIRGPSFQDPSKEDSSPMGNVEPGTRTESAITQGSRRSLWTYIADIIRKGWVSNAESHAATLKSGTRSTSNESVSSEAWFSGNEPDEDDSENKEEGKGNSPKEIPSRAPDDRSHSIIATSTKSPEIPTVEERVILLEGGRTASLTVSEGPSVVGGPESPRVEERLKLLGRSRTAPLKVSEAASLVSRPESLGHPKNEKEKGVLSSRMIVVGQSSASVVESLSPTIQEELIATEMTKSEEELKIEKAPEVETMGKDMELKRRRLHRNKQVLKETFEEWEEAYNLESEQRKIDEFFMREALLEAKKAADSWEVPVGAVLVQNGKIIARGCNLVEEARDSTAHAEMICIREASNVLRTWRLADTTLYVTLEPCAMCAGAILQARIDTLVWGAPNKLLGADGSWVRLFPGDGGSNSLDSSTEAGPVHPFHPKITIRRAVLAAECADAMQQFFRLRRKKDKKPEQSPSSCLPITARPPKFLTKMHDLFCIMFCL >DRNTG_07948.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1058983:1065542:1 gene:DRNTG_07948 transcript:DRNTG_07948.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA(adenine(34)) deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68720) UniProtKB/Swiss-Prot;Acc:Q9S7I0] MYPSYTATALSLRAKWSIYNSNVSFSGDRSSCCCCRCSDGGLINPRSIYEYILNRSALIQWAPSRRLLAGGVRRCRLVPRSSDGGFREMIALLAEFDGVCGDSSGFSNDFQRRESGVRLRRDRSGFSCYSKESWSSSEDYECGDEGRRREGYESSGNYRKRVGFENADEKVGRRENGARRVVRSSGMRDDEKERSSSSRKVSAWKARDEEEKADFLVDSYSEHRENYTEGGRQRETSQKHGRMYAGDQEEVKRVSDSKRNSGVKIRNTKEGSWSRDSGYEEREKHIHEGDSVIGRRDAQRESNKSGRITEVREDNGTMASSSNNLVDARFSSSSAVKNHNKEDRRVAEHAELSMNSGRFAEAAAEIHGTGIDRSSFSQKRFDETRVRNRQDESSSYQHSVQVEGSERRRANQHSLEQVDLSKQTTQYEGHAKVSGFSHSQKQCEETQLKDIEESSTANQKTVQLTRDTRTRLDQQSVKEINLTKQALHYEDHTANLSRNSQSQNYFIDSRLEDVEDKSTSVQQTVELTRDDRRRLDQRTMERVNINKKYEDHTDISGVVSSDTERIRNSQKHVAAKLYDQDKGSTHGAREHNNQSNDRLSQPYSSRQEFERYSQIYGSSRSNMQQTFGYQGSSKSGTEDSEGSSLFVLTHDVKDKQGTFIQRSSQSRGTQTIFSGSSESSSASGRRKFLEQVEQTSTDETYITKTDVLETASLFDRSSALYIDEFVDRARQEISASDQLDKVTGHSSEIKIQEIDGGSSERSADALVSVLDSTSKCKDEKQVQESGRQSSSSRSGPKGPPDEMWEIRGPSFQDPSKEDSSPMGNVEPGTRTESAITQGSRRSLWTYIADIIRKGWVSNAESHAATLKSGTRSTSNESVSSEAWFSGNEPDEDDSENKEEGKGNSPKEIPSRAPDDRSHSIIATSTKSPEIPTVEERVILLEGGRTASLTVSEGPSVVGGPESPRVEERLKLLGRSRTAPLKVSEAASLVSRPESLGHPKNEKEKGVLSSRMIVVGQSSASVVESLSPTIQEELIATEMTKSEEELKIEKAPEVETMGKDMELKRRRLHRNKQVLKETFEEWEEAYNLESEQRKIDEFFMREALLEAKKAADSWEVPVGAVLVQNGKIIARGCNLVEEARDSTAHAEMICIREASNVLRTWRLADTTLYVTLEPCAMCAGAILQARIDTLVWGAPNKLLGADGSWVRLFPGDGGSNSLDSSTEAGPVHPFHPKITIRRAVLAAECADAMQQFFRLRRKKDKKPEQSPSSCLPITARPPKFLTKMHDLFCIMFCL >DRNTG_07948.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1058983:1065687:1 gene:DRNTG_07948 transcript:DRNTG_07948.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA(adenine(34)) deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68720) UniProtKB/Swiss-Prot;Acc:Q9S7I0] MYPSYTATALSLRAKWSIYNSNVSFSGDRSSCCCCRCSDGGLINPRSIYEYILNRSALIQWAPSRRLLAGGVRRCRLVPRSSDGGFREMIALLAEFDGVCGDSSGFSNDFQRRESGVRLRRDRSGFSCYSKESWSSSEDYECGDEGRRREGYESSGNYRKRVGFENADEKVGRRENGARRVVRSSGMRDDEKERSSSSRKVSAWKARDEEEKADFLVDSYSEHRENYTEGGRQRETSQKHGRMYAGDQEEVKRVSDSKRNSGVKIRNTKEGSWSRDSGYEEREKHIHEGDSVIGRRDAQRESNKSGRITEVREDNGTMASSSNNLVDARFSSSSAVKNHNKEDRRVAEHAELSMNSGRFAEAAAEIHGTGIDRSSFSQKRFDETRVRNRQDESSSYQHSVQVEGSERRRANQHSLEQVDLSKQTTQYEGHAKVSGFSHSQKQCEETQLKDIEESSTANQKTVQLTRDTRTRLDQQSVKEINLTKQALHYEDHTANLSRNSQSQNYFIDSRLEDVEDKSTSVQQTVELTRDDRRRLDQRTMERVNINKKYEDHTDISGVVSSDTERIRNSQKHVAAKLYDQDKGSTHGAREHNNQSNDRLSQPYSSRQEFERYSQIYGSSRSNMQQTFGYQGSSKSGTEDSEGSSLFVLTHDVKDKQGTFIQRSSQSRGTQTIFSGSSESSSASGRRKFLEQVEQTSTDETYITKTDVLETASLFDRSSALYIDEFVDRARQEISASDQLDKVTGHSSEIKIQEIDGGSSERSADALVSVLDSTSKCKDEKQVQESGRQSSSSRSGPKGPPDEMWEIRGPSFQDPSKEDSSPMGNVEPGTRTESAITQGSRRSLWTYIADIIRKGWVSNAESHAATLKSGTRSTSNESVSSEAWFSGNEPDEDDSENKEEGKGNSPKEIPSRAPDDRSHSIIATSTKSPEIPTVEERVILLEGGRTASLTVSEGPSVVGGPESPRVEERLKLLGRSRTAPLKVSEAASLVSRPESLGHPKNEKEKGVLSSRMIVVGQSSASVVESLSPTIQEELIATEMTKSEEELKIEKAPEVETMGKDMELKRRRLHRNKQVLKETFEEWEEAYNLESEQRKIDEFFMREALLEAKKAADSWEVPVGAVLVQNGKIIARGCNLVEEARDSTAHAEMICIREASNVLRTWRLADTTLYVTLEPCAMCAGAILQARIDTLVWGAPNKLLGADGSWVRLFPGDGGSNSLDSSTEAGPVHPFHPKITIRRAVLAAECADAMQQFFRLRRKKDKKPEQSPSSCLPITARPPKFLTKMHDLFCIMFCL >DRNTG_07948.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1058983:1065542:1 gene:DRNTG_07948 transcript:DRNTG_07948.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA(adenine(34)) deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68720) UniProtKB/Swiss-Prot;Acc:Q9S7I0] MYPSYTATALSLRAKWSIYNSNVSFSGDRSSCCCCRCSDGGLINPRSIYEYILNRSALIQWAPSRRLLAGGVRRCRLVPRSSDGGFREMIALLAEFDGVCGDSSGFSNDFQRRESGVRLRRDRSGFSCYSKESWSSSEDYECGDEGRRREGYESSGNYRKRVGFENADEKVGRRENGARRVVRSSGMRDDEKERSSSSRKVSAWKARDEEEKADFLVDSYSEHRENYTEGGRQRETSQKHGRMYAGDQEEVKRVSDSKRNSGVKIRNTKEGSWSRDSGYEEREKHIHEGDSVIGRRDAQRESNKSGRITEVREDNGTMASSSNNLVDARFSSSSAVKNHNKEDRRVAEHAELSMNSGRFAEAAAEIHGTGIDRSSFSQKRFDETRVRNRQDESSSYQHSVQVEGSERRRANQHSLEQVDLSKQTTQYEGHAKVSGFSHSQKQCEETQLKDIEESSTANQKTVQLTRDTRTRLDQQSVKEINLTKQALHYEDHTANLSRNSQSQNYFIDSRLEDVEDKSTSVQQTVELTRDDRRRLDQRTMERVNINKKYEDHTDISGVVSSDTERIRNSQKHVAAKLYDQDKGSTHGAREHNNQSNDRLSQPYSSRQEFERYSQIYGSSRSNMQQTFGYQGSSKSGTEDSEGSSLFVLTHDVKDKQGTFIQRSSQSRGTQTIFSGSSESSSASGRRKFLEQVEQTSTDETYITKTDVLETASLFDRSSALYIDEFVDRARQEISASDQLDKVTGHSSEIKIQEIDGGSSERSADALVSVLDSTSKCKDEKQVQESGRQSSSSRSGPKGPPDEMWEIRGPSFQDPSKEDSSPMGNVEPGTRTESAITQGSRRSLWTYIADIIRKGWVSNAESHAATLKSGTRSTSNESVSSEAWFSGNEPDEDDSENKEEGKGNSPKEIPSRAPDDRSHSIIATSTKSPEIPTVEERVILLEGGRTASLTVSEGPSVVGGPESPRVEERLKLLGRSRTAPLKVSEAASLVSRPESLGHPKNEKEKGVLSSRMIVVGQSSASVVESLSPTIQEELIATEMTKSEEELKIEKAPEVETMGKDMELKRRRLHRNKQVLKETFEEWEEAYNLESEQRKIDEFFMREALLEAKKAADSWEVPVGAVLVQNGKIIARGCNLVEEARDSTAHAEMICIREASNVLRTWRLADTTLYVTLEPCAMCAGAILQARIDTLVWGAPNKLLGADGSWVRLFPGDGGSNSLDSSTEAGPVHPFHPKITIRRAVLAAECADAMQQFFRLRRKKDKKPEQSPSSCLPITARPPKFLTKMHDLFCIMFCL >DRNTG_07948.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1058983:1065542:1 gene:DRNTG_07948 transcript:DRNTG_07948.19 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA(adenine(34)) deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68720) UniProtKB/Swiss-Prot;Acc:Q9S7I0] MIALLAEFDGVCGDSSGFSNDFQRRESGVRLRRDRSGFSCYSKESWSSSEDYECGDEGRRREGYESSGNYRKRVGFENADEKVGRRENGARRVVRSSGMRDDEKERSSSSRKVSAWKARDEEEKADFLVDSYSEHRENYTEGGRQRETSQKHGRMYAGDQEEVKRVSDSKRNSGVKIRNTKEGSWSRDSGYEEREKHIHEGDSVIGRRDAQRESNKSGRITEVREDNGTMASSSNNLVDARFSSSSAVKNHNKEDRRVAEHAELSMNSGRFAEAAAEIHGTGIDRSSFSQKRFDETRVRNRQDESSSYQHSVQVEGSERRRANQHSLEQVDLSKQTTQYEGHAKVSGFSHSQKQCEETQLKDIEESSTANQKTVQLTRDTRTRLDQQSVKEINLTKQALHYEDHTANLSRNSQSQNYFIDSRLEDVEDKSTSVQQTVELTRDDRRRLDQRTMERVNINKKYEDHTDISGVVSSDTERIRNSQKHVAAKLYDQDKGSTHGAREHNNQSNDRLSQPYSSRQEFERYSQIYGSSRSNMQQTFGYQGSSKSGTEDSEGSSLFVLTHDVKDKQGTFIQRSSQSRGTQTIFSGSSESSSASGRRKFLEQVEQTSTDETYITKTDVLETASLFDRSSALYIDEFVDRARQEISASDQLDKVTGHSSEIKIQEIDGGSSERSADALVSVLDSTSKCKDEKQVQESGRQSSSSRSGPKGPPDEMWEIRGPSFQDPSKEDSSPMGNVEPGTRTESAITQGSRRSLWTYIADIIRKGWVSNAESHAATLKSGTRSTSNESVSSEAWFSGNEPDEDDSENKEEGKGNSPKEIPSRAPDDRSHSIIATSTKSPEIPTVEERVILLEGGRTASLTVSEGPSVVGGPESPRVEERLKLLGRSRTAPLKVSEAASLVSRPESLGHPKNEKEKGVLSSRMIVVGQSSASVVESLSPTIQEELIATEMTKSEEELKIEKAPEVETMGKDMELKRRRLHRNKQVLKETFEEWEEAYNLESEQRKIDEFFMREALLEAKKAADSWEVPVGAVLVQNGKIIARGCNLVEEARDSTAHAEMICIREASNVLRTWRLADTTLYVTLEPCAMCAGAILQARIDTLVWGAPNKLLGADGSWVRLFPGDGGSNSLDSSTEAGPVHPFHPKITIRRAVLAAECADAMQQFFRLRRKKDKKPEQSPSSCLPITARPPKFLTKMHDLFCIMFCL >DRNTG_07948.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1058983:1065542:1 gene:DRNTG_07948 transcript:DRNTG_07948.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA(adenine(34)) deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68720) UniProtKB/Swiss-Prot;Acc:Q9S7I0] MYPSYTATALSLRAKWSIYNSNVSFSGDRSSCCCCRCSDGGLINPRSIYEYILNRSALIQWAPSRRLLAGGVRRCRLVPRSSDGGFREMIALLAEFDGVCGDSSGFSNDFQRRESGVRLRRDRSGFSCYSKESWSSSEDYECGDEGRRREGYESSGNYRKRVGFENADEKVGRRENGARRVVRSSGMRDDEKERSSSSRKVSAWKARDEEEKADFLVDSYSEHRENYTEGGRQRETSQKHGRMYAGDQEEVKRVSDSKRNSGVKIRNTKEGSWSRDSGYEEREKHIHEGDSVIGRRDAQRESNKSGRITEVREDNGTMASSSNNLVDARFSSSSAVKNHNKEDRRVAEHAELSMNSGRFAEAAAEIHGTGIDRSSFSQKRFDETRVRNRQDESSSYQHSVQVEGSERRRANQHSLEQVDLSKQTTQYEGHAKVSGFSHSQKQCEETQLKDIEESSTANQKTVQLTRDTRTRLDQQSVKEINLTKQALHYEDHTANLSRNSQSQNYFIDSRLEDVEDKSTSVQQTVELTRDDRRRLDQRTMERVNINKKYEDHTDISGVVSSDTERIRNSQKHVAAKLYDQDKGSTHGAREHNNQSNDRLSQPYSSRQEFERYSQIYGSSRSNMQQTFGYQGSSKSGTEDSEGSSLFVLTHDVKDKQGTFIQRSSQSRGTQTIFSGSSESSSASGRRKFLEQVEQTSTDETYITKTDVLETASLFDRSSALYIDEFVDRARQEISASDQLDKVTGHSSEIKIQEIDGGSSERSADALVSVLDSTSKCKDEKQVQESGRQSSSSRSGPKGPPDEMWEIRGPSFQDPSKEDSSPMGNVEPGTRTESAITQGSRRSLWTYIADIIRKGWVSNAESHAATLKSGTRSTSNESVSSEAWFSGNEPDEDDSENKEEGKGNSPKEIPSRAPDDRSHSIIATSTKSPEIPTVEERVILLEGGRTASLTVSEGPSVVGGPESPRVEERLKLLGRSRTAPLKVSEAASLVSRPESLGHPKNEKEKGVLSSRMIVVGQSSASVVESLSPTIQEELIATEMTKSEEELKIEKAPEVETMGKDMELKRRRLHRNKQVLKETFEEWEEAYNLESEQRKIDEFFMREALLEAKKAADSWEVPVGAVLVQNGKIIARGCNLVEEARDSTAHAEMICIREASNVLRTWRLADTTLYVTLEPCAMCAGAILQARIDTLVWGAPNKLLGADGSWVRLFPGDGGSNSLDSSTEAGPVHPFHPKITIRRAVLAAECADAMQQFFRLRRKKDKKPEQSPSSCLPITARPPKFLTKMHDLFCIMFCL >DRNTG_07948.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1058983:1065542:1 gene:DRNTG_07948 transcript:DRNTG_07948.17 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA(adenine(34)) deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68720) UniProtKB/Swiss-Prot;Acc:Q9S7I0] MYPSYTATALSLRAKWSIYNSNVSFSGDRSSCCCCRCSDGGLINPRSIYEYILNRSALIQWAPSRRLLAGGVRRCRLVPRSSDGGFREMIALLAEFDGVCGDSSGFSNDFQRRESGVRLRRDRSGFSCYSKESWSSSEDYECGDEGRRREGYESSGNYRKRVGFENADEKVGRRENGARRVVRSSGMRDDEKERSSSSRKVSAWKARDEEEKADFLVDSYSEHRENYTEGGRQRETSQKHGRMYAGDQEEVKRVSDSKRNSGVKIRNTKEGSWSRDSGYEEREKHIHEGDSVIGRRDAQRESNKSGRITEVREDNGTMASSSNNLVDARFSSSSAVKNHNKEDRRVAEHAELSMNSGRFAEAAAEIHGTGIDRSSFSQKRFDETRVRNRQDESSSYQHSVQVEGSERRRANQHSLEQVDLSKQTTQYEGHAKVSGFSHSQKQCEETQLKDIEESSTANQKTVQLTRDTRTRLDQQSVKEINLTKQALHYEDHTANLSRNSQSQNYFIDSRLEDVEDKSTSVQQTVELTRDDRRRLDQRTMERVNINKKYEDHTDISGVVSSDTERIRNSQKHVAAKLYDQDKGSTHGAREHNNQSNDRLSQPYSSRQEFERYSQIYGSSRSNMQQTFGYQGSSKSGTEDSEGSSLFVLTHDVKDKQGTFIQRSSQSRGTQTIFSGSSESSSASGRRKFLEQVEQTSTDETYITKTDVLETASLFDRSSALYIDEFVDRARQEISASDQLDKVTGHSSEIKIQEIDGGSSERSADALVSVLDSTSKCKDEKQVQESGRQSSSSRSGPKGPPDEMWEIRGPSFQDPSKEDSSPMGNVEPGTRTESAITQGSRRSLWTYIADIIRKGWVSNAESHAATLKSGTRSTSNESVSSEAWFSGNEPDEDDSENKEEGKGNSPKEIPSRAPDDRSHSIIATSTKSPEIPTVEERVILLEGGRTASLTVSEGPSVVGGPESPRVEERLKLLGRSRTAPLKVSEAASLVSRPESLGHPKNEKEKGVLSSRMIVVGQSSASVVESLSPTIQEELIATEMTKSEEELKIEKAPEVETMGKDMELKRRRLHRNKQVLKETFEEWEEAYNLESEQRKIDEFFMREALLEAKKAADSWEVPVGAVLVQNGKIIARGCNLVEEARDSTAHAEMICIREASNVLRTWRLADTTLYVTLEPCAMCAGAILQARIDTLVWGAPNKLLGADGSWVRLFPGDGGSNSLDSSTEAGPVHPFHPKITIRRAVLAAECADAMQQFFRLRRKKDKKPEQSPSSCLPITARPPKFLTKMHDLFCIMFCL >DRNTG_07948.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1058983:1065542:1 gene:DRNTG_07948 transcript:DRNTG_07948.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA(adenine(34)) deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68720) UniProtKB/Swiss-Prot;Acc:Q9S7I0] MYPSYTATALSLRAKWSIYNSNVSFSGDRSSCCCCRCSDGGLINPRSIYEYILNRSALIQWAPSRRLLAGGVRRCRLVPRSSDGGFREMIALLAEFDGVCGDSSGFSNDFQRRESGVRLRRDRSGFSCYSKESWSSSEDYECGDEGRRREGYESSGNYRKRVGFENADEKVGRRENGARRVVRSSGMRDDEKERSSSSRKVSAWKARDEEEKADFLVDSYSEHRENYTEGGRQRETSQKHGRMYAGDQEEVKRVSDSKRNSGVKIRNTKEGSWSRDSGYEEREKHIHEGDSVIGRRDAQRESNKSGRITEVREDNGTMASSSNNLVDARFSSSSAVKNHNKEDRRVAEHAELSMNSGRFAEAAAEIHGTGIDRSSFSQKRFDETRVRNRQDESSSYQHSVQVEGSERRRANQHSLEQVDLSKQTTQYEGHAKVSGFSHSQKQCEETQLKDIEESSTANQKTVQLTRDTRTRLDQQSVKEINLTKQALHYEDHTANLSRNSQSQNYFIDSRLEDVEDKSTSVQQTVELTRDDRRRLDQRTMERVNINKKYEDHTDISGVVSSDTERIRNSQKHVAAKLYDQDKGSTHGAREHNNQSNDRLSQPYSSRQEFERYSQIYGSSRSNMQQTFGYQGSSKSGTEDSEGSSLFVLTHDVKDKQGTFIQRSSQSRGTQTIFSGSSESSSASGRRKFLEQVEQTSTDETYITKTDVLETASLFDRSSALYIDEFVDRARQEISASDQLDKVTGHSSEIKIQEIDGGSSERSADALVSVLDSTSKCKDEKQVQESGRQSSSSRSGPKGPPDEMWEIRGPSFQDPSKEDSSPMGNVEPGTRTESAITQGSRRSLWTYIADIIRKGWVSNAESHAATLKSGTRSTSNESVSSEAWFSGNEPDEDDSENKEEGKGNSPKEIPSRAPDDRSHSIIATSTKSPEIPTVEERVILLEGGRTASLTVSEGPSVVGGPESPRVEERLKLLGRSRTAPLKVSEAASLVSRPESLGHPKNEKEKGVLSSRMIVVGQSSASVVESLSPTIQEELIATEMTKSEEELKIEKAPEVETMGKDMELKRRRLHRNKQVLKETFEEWEEAYNLESEQRKIDEFFMREALLEAKKAADSWEVPVGAVLVQNGKIIARGCNLVEEARDSTAHAEMICIREASNVLRTWRLADTTLYVTLEPCAMCAGAILQARIDTLVWGAPNKLLGADGSWVRLFPGDGGSNSLDSSTEAGPVHPFHPKITIRRAVLAAECADAMQQFFRLRRKKDKKPEQSPSSCLPITARPPKFLTKMHDLFCIMFCL >DRNTG_13761.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1058368:1059164:-1 gene:DRNTG_13761 transcript:DRNTG_13761.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLSGVDCRRISPEINPQAQSSLSSSLASSFSFPPFYTSKLLP >DRNTG_13265.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10033760:10035759:1 gene:DRNTG_13265 transcript:DRNTG_13265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRALIDDREKEDAKQKRGNSPSPPMDSATHMTPTDIGRPPSDEDPVLEVPRDEIPPTVSTPETPMAANIPLIAKETSPSGFACPGPIPGTSRGVGVLRGAEEGRDRLPVMEEELSRFRKAEPSQATHPHPLLEHTS >DRNTG_31584.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20563542:20565531:1 gene:DRNTG_31584 transcript:DRNTG_31584.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISCHVRTGNAVHALDVYRTMVGNGLEPNSITMVSVLQACALAIDLEEGRRMHELAIQKGFELDLGVSTSLMDMYMKCSCYNEAIDLFHRMPKKDTVSWSVMIGGCAQNGFADESLRLFRRMLEDHASPDAVTIVKVLSACSQLSNLHQAICLHSYIIFSGFDNKLFVNTALIDLYSKCGSLTDAFKVFNSVTDKDVAVWCSMITAYGIHGHGINAITLFQQMIDSSIKPNNVTFVAVLTACSHAGLIEEGNKIFYSMQNEYGMLPNSEHCNIMVDMFARTGKLREALKVIEGMPAAGVSPHAWCALLAGCRIHQDIKMGKFVAMKLLELEPEHAGYYNLMSNMYAFDGNWDNVIEIKSVIKERRLKKTS >DRNTG_04267.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9373317:9374077:-1 gene:DRNTG_04267 transcript:DRNTG_04267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTGYIKGCSVALNIWFYELTGTEKKVRFGKTLRMMCYGENNYMKQATIEAILSSLEEKEFPKMVAVNADEEIFFRAIYRGNAIAPEPLARRQYERPSSLRHPRHRSPARVPRHRSSPVLPHPIKTPPPPTVTSPSTKAASPIAIVPRH >DRNTG_14205.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30308845:30309550:1 gene:DRNTG_14205 transcript:DRNTG_14205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKNASKKTRNSMPPTTIRIILNAFTEEMDEWYDDIQKSNKKTPQLNDRARPKLHLLISLKGIGILP >DRNTG_01315.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1788053:1791624:-1 gene:DRNTG_01315 transcript:DRNTG_01315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVSSLTRICCFLLLLSIARSKTVKRDVKALNEIKASLGWRVVYAWVGDDPCGDGDLPPWSGVTCSQQGDYRVVTELEVYAVSIVGPFPTAVTNLLDLTRLDLHNNKLTGPIPPQIGRLKHLKSLNLRWNKLQDTLPPELGELKKLTHLYLSFNNFKGEIPVELANLPELHYLYLHENRFTGKIPPELGNLKNLRHLDLGNNHLTGTLRDFIRNGDGFPSLRNLYLNNNLLGGGLPDQLANLTNLEILYLSYNKMTGPVSPKLALIPRLTYLYLDHNSFIGRIPDGFYKHPFLKELYIEGNQFKPGVKPKGTHKMLEASDSEFLF >DRNTG_21714.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:613087:618579:1 gene:DRNTG_21714 transcript:DRNTG_21714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRRSQRGRGTGRGRRGGIGIGILSSQRAGDRRGRGVLMISTGRSIEIGRTVKGIGMVILSIGMLAGRRRKRIGIGIVAGIEIRGTKRRSGTRPRRMWARRRRRRIKRGRRRKRRGRERGRKEREERAREREEREKEREERAREREEREKERAREREEREREKERARKREEREERERERTREKRRERERDEDREEDGRDHERKRRRREDSRERDRERSSRSERHKEEAEDHEEKKKKTREEDMEEEQRKLDEEMDKRRRRVQEWQELKRKKEEQEREKMGEGNADEEPKSGKNWTLEGESDDDESAPIKVDEKDTAAGEDSVKPSVEDADAMNVDSGDGNVVPDGTTDGANEEEEIDPLDAFMNSMVLPEVEKLNNSSENAVKPEEKITETEKKASKDGLSNGNQARKAAKSSVGRILPSDDSDSDYGDLENDEVNLEDEDDDDFMKRVKKTKVEKLSIVDHSKIKYPEFRKNFYIEVKEISKMTSEEVAAYRKQLELKVHGKDVPKPIKTWNQTGLTSKILDTIKKLNFEKPMPIQAQAFPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPLNSGDGPIALIMAPTRELVQQIHSDIKKFAKVLNIGCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVEILARKVLNKPAEVQVGGRSVVNKDITQLVEVRPENERFLRLLELLGEWYEKGKILVFVHSQDKCDSLFKELIRHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSIAARGLDVKELELVVNFDVPNHYEDYVHRVGRTGRAGKKGCAITFISEEDARYAPDLVKALELSEQAVPEDLKALADGFMAKVNQGMEHAHGTGYGGSGFKFNEEEDEARKAAKKAQAREFGFEEDKSDSDSEDEGIRKAGGDLSQATSIAQVAALAAAKAAALTAPATTSVLMPTGNLPAVPIPVVPGQSVAPSLPVVGVPNEAAARATALAAAINLQHNLARIHADAMPEHYEAELEINDFPQNARWKITHKETLGPISDWTGAAITTRGQFFPPGKIPGPGERKLYLFIEGPTESSVKKAKAEVKRVLEDYTAQALSLPGAAQPGKYSVI >DRNTG_21714.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:613087:618579:1 gene:DRNTG_21714 transcript:DRNTG_21714.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRRSQRGRGTGRGRRGGIGIGILSSQRAGDRRGRGVLMISTGRSIEIGRTVKGIGMVILSIGMLAGRRRKRIGIGIVAGIEIRGTKRRSGTRPRRMWARRRRRRIKRGRRRKRRGRERGRKEREERAREREEREKEREERAREREEREKERAREREEREREKERARKREEREERERERTREKRRERERDEDREEDGRDHERKRRRREDSRERDRERSSRSERHKEEAEDHEEKKKKTREEDMEEEQRKLDEEMDKRRRRVQEWQELKRKKEEQEREKMGEGNADEEPKSGKNWTLEGESDDDESAPIKVDEKDTAAGEDSVKPSVEDADAMNVDSGDGNVVPDGTTDGANEEEEIDPLDAFMNSMVLPEVEKLNNSSENAVKPEEKITETEKKASKDGLSNGNQARKAAKSSVGRILPSDDSDSDYGDLENDEVNLEDEDDDDFMKRVKKTKVEKLSIVDHSKIKYPEFRKNFYIEVKEISKMTSEEVAAYRKQLELKVHGKDVPKPIKTWNQTGLTSKILDTIKKLNFEKPMPIQAQAFPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPLNSGDGPIALIMAPTRELVQQIHSDIKKFAKVLNIGCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVEILARKVLNKPAEVQVGGRSVVNKDITQLVEVRPENERFLRLLELLGEWYEKGKILVFVHSQDKCDSLFKELIRHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSIAARGLDVKELELVVNFDVPNHYEDYVHRVGRTGRAGKKGCAITFISEEDARYAPDLVKALELSEQAVPEDLKALADGFMAKVNQGMEHAHGTGYGGSGFKFNEEEDEARKAAKKAQAREFGFEEDKSDSDSEDEGIRKAGGDLSQATSIAQVAALAAAKAAALTAPATTSVLMPTGNLPAVPIPVVPGQSVAPSLPVVGVPNEAAARATALAAAINLQHNLARIHADAMPEHYEAELEINDFPQNARWKITHKETLGPISDWTGAAITTRGQFFPPGKIPGPGERKLYLFIEGPTESSVKKAKAEVKRVLEDYTAQALSLPGAAQPGKYSVI >DRNTG_31144.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:318361:321647:1 gene:DRNTG_31144 transcript:DRNTG_31144.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNQNKNRPSFIADNFNSLDEVISSLRESGLESSNLILGVDFTKSNEWSGRYSFRRKSLHAIGDVPNPYEQAISIIGRTLSPFDEDNLIPCFGFGDASTRDQYVFSFYPDQRACRGFEEALSRYRVIAPLLNLSGPTSFAPLIHASIDIVERSNWQYHVLVIIADGQVTRNPDVPRGALSPQERATVNAIVYASHCPLSIIMVGVGDGPWDAMQQFDDCIPERTFDNFQVISCMIFN >DRNTG_31144.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:318733:321647:1 gene:DRNTG_31144 transcript:DRNTG_31144.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNQNKNRPSFIADNFNSLDEVISSLRESGLESSNLILGVDFTKSNEWSGRYSFRRKSLHAIGDVPNPYEQAISIIGRTLSPFDEDNLIPCFGFGDASTRDQYVFSFYPDQRACRGFEEALSRYRVIAPLLNLSGPTSFAPLIHASIDIVERSNWQYHVLVIIADGQVTRNPDVPRGALSPQERATVNAIVYASHCPLSIIMVGVGDGPWDAMQQFDDCIPERTFDNFQVISCMIFN >DRNTG_31144.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:318361:321647:1 gene:DRNTG_31144 transcript:DRNTG_31144.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNQNKNRPSFIADNFNSLDEVISSLRESGLESSNLILGVDFTKSNEWSGRYSFRRKSLHAIGDVPNPYEQAISIIGRTLSPFDEDNLIPCFGFGDASTRDQYVFSFYPDQRACRGFEEALSRYRVIAPLLNLSGPTSFAPLIHASIDIVERSNWQYHVLVIIADGQVTRNPDVPRGALSPQERATVNAIVYASHCPLSIIMVGVGDGPWDAMQQFDDCIPERTFDNFQFVNFTKIMSANTEMRKKEAAFALAALMEVPFQYRATQGLKHLEKHMEKNYISNILPPPPKVTEHDNNVATAFSQTSSKSVSEDAPTEQVCAICLSNPKDMAFGCGHQTCKECGATLSTCPICRAPITIRIRLYS >DRNTG_31144.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:318448:321647:1 gene:DRNTG_31144 transcript:DRNTG_31144.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNQNKNRPSFIADNFNSLDEVISSLRESGLESSNLILGVDFTKSNEWSGRYSFRRKSLHAIGDVPNPYEQAISIIGRTLSPFDEDNLIPCFGFGDASTRDQYVFSFYPDQRACRGFEEALSRYRVIAPLLNLSGPTSFAPLIHASIDIVERSNWQYHVLVIIADGQVTRNPDVPRGALSPQERATVNAIVYASHCPLSIIMVGVGDGPWDAMQQFDDCIPERTFDNFQFVNFTKIMSANTEMRKKEAAFALAALMEVPFQYRATQGLKHLEKHMEKNYISNILPPPPKVTEHDNNVATAFSQTSSKSVSEDAPTEQVCAICLSNPKDMAFGCGHQTCKECGATLSTCPICRAPITIRIRLYS >DRNTG_31144.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:318448:321647:1 gene:DRNTG_31144 transcript:DRNTG_31144.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNQNKNRPSFIADNFNSLDEVISSLRESGLESSNLILGVDFTKSNEWSGRYSFRRKSLHAIGDVPNPYEQAISIIGRTLSPFDEDNLIPCFGFGDASTRDQYVFSFYPDQRACRGFEEALSRYRVIAPLLNLSGPTSFAPLIHASIDIVERSNWQYHVLVIIADGQVTRNPDVPRGALSPQERATVNAIVYASHCPLSIIMVGVGDGPWDAMQQFDDCIPERTFDNFQFVNFTKIMSANTEMRKKEAAFALAALMEVPFQYRATQGLKHLEKHMEKNYISNILPPPPKVTEHDNNVATAFSQTSSKSVSEDAPTEQVCAICLSNPKDMAFGCGHQTCKECGATLSTCPICRAPITIRIRLYS >DRNTG_31144.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:318361:321647:1 gene:DRNTG_31144 transcript:DRNTG_31144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNQNKNRPSFIADNFNSLDEVISSLRESGLESSNLILGVDFTKSNEWSGRYSFRRKSLHAIGDVPNPYEQAISIIGRTLSPFDEDNLIPCFGFGDASTRDQYVFSFYPDQRACRGFEEALSRYRVIAPLLNLSGPTSFAPLIHASIDIVERSNWQYHVLVIIADGQVTRNPDVPRGALSPQERATVNAIVYASHCPLSIIMVGVGDGPWDAMQQFDDCIPERTFDNFQFVNFTKIMSANTEMRKKEAAFALAALMEVPFQYRATQGLKHLEKHMEKNYISNILPPPPKVTEHDNNVATAFSQTSSKSVSEDAPTEQVCAICLSNPKDMAFGCGHQTCKECGATLSTCPICRAPITIRIRLYS >DRNTG_02292.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:811389:812848:1 gene:DRNTG_02292 transcript:DRNTG_02292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHQSTMAARYVARRLFSGKVLSEEEKAAENVYIKKMEQEKLEKLARKGVNNPGDQASATAAGDAKASGSTASSTAGVSTDKNRNYAVLAGAIAGLSALGWYLLSKPKKTEETTD >DRNTG_11309.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1625996:1628396:-1 gene:DRNTG_11309 transcript:DRNTG_11309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKIIHHLTPSLMQVLLTRDGMGLMKAVERETGTCILYDRQNLTVKVFGSPEPVIEAEEKLMNSLLSFNENKQLEIRLRGVNLPPGLMKEVVQRFGTDLQGLKEKIPGVDLVLNTRHHALSVRGSKELKKKVEDVISEVIQHLDTEGLMIGQLSEESACPICLCELEEPYKLESCGHVFCEACLIDQFESVIKSHDGFPICCTKEGCKAPILLADLRHLLSTDKLEQLFRASLGAYVTASLGTYRFCPTPDCPSVYRVAPEGAATGSFECPVCSMETCTKCHLEYHPFISCETYMEFKENPDLSLEVWRKGKVEVKDCPSCHHTIEKIDGCNHIECRCGKHLCWVCLKWFRSSGGCYDHINSEHPTIV >DRNTG_10812.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000469.1:32539:37300:-1 gene:DRNTG_10812 transcript:DRNTG_10812.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIRLMIMAVNLVNGRCYLTLVVETLAKLKAHMTPRHWEIIRWTLFAAFTELEVVFQERALLDSLLQRYDGRTNKFRIEENLLSFRPKDVALILALCCDGDTVVFQKKKARSAFKERYLSKTYERHKDSIKRTLKQLVRQREKENFFVKLLMVYLMGTIPFPNTSCSVLNWIVDYVDDLPGIGQYTWAQATHKWLMEDIPQTAARVQARCAGKKTNTGYIKGCLDDAAGAEHRLLSIIVPYDDRKIAVDEGQGNATEKATRNMILHNQQYENIRKVSLPNKKKYLGQLRLNKFEQELIRIFLNCSMDKTVVWMNDSLSTTQARLFTLFEGKEMVSDDVMDGFVCIIQKLLSRVPYPYKKRASITRPLALFMSKQGDVSDTTFFMIGDAVRKLHDVDIVILPIIMNGHVHVIVLDNNKQEYRHYSSCQSEEYDKDALEMQLLNDEKLRLPQMDVPNMRLKYVARILKEGRAAIITEKWGYSTTGK >DRNTG_27396.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001396.1:104065:105537:1 gene:DRNTG_27396 transcript:DRNTG_27396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSLVSTVLLAAIFFFSLKVNASRELNEQTHNNKVSNGPENEDKTSAGLKFSIGHNNNGGDTIPYPSTGGYLPGNELPGSECYLPGNVYPGMAGYLPGYPYFGGYNGGLPFFGNGPRNFGSFPRGGYNGNIGGGSGLGNYGGYP >DRNTG_32185.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4752100:4761601:-1 gene:DRNTG_32185 transcript:DRNTG_32185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDPTAAGGDGPVEEDGALSVTAALAKEAAALFQSGRYSECVEVLNQLSQLKEDDAKVVHNKAVAQYFCNGCSNPRKLLDVLDKVKRQSEDLVRSSREERDVIGNNGSNLGSGSTASSTPLYQLSAPNSSRITYADEFDTSIVTLNTAVILYALHDYDGALSRLEPLYQNIKSVDEANTLQICFLLLDIALASQDALRAADVIQYLEKLFGVGCLTNQIDDGTGADNQYSNEVASANINVNVQDASRSDSSDSPSIPENSLARTLLDESLEYETFISAMDGGTPNLGRSATKDVSKSSVDRIAPAIVQLYKVKFLLLTRNLKAVKRELKLVKNIKGSGGSPLLLKSHLEYACGNHQNAREHLMSFMGQKEQRIRAILHNNLGCISHQLGEHHTAIWYFLKALHSCSALRSEKPPKLSTYSQDKSLVIFYNCGLQYLACGKPLVAARCFRKAAPFHSRLLLWLRFAECCLLAQEKGLLQKTPSSSSEEIKVQVIGSGKWRHLFVENINSSNRHLDDTGEDILFDPDDKNKDILSLPFARQCLNKALDLLTELEEKKSKHNASRSASEVEVTSHKTTGSHGASRGAASMLTGANGDSKETKVNRGTIFQSSLSSYLDLSQKENHMIKQAVLADLAYVELSLENPKKALAAAIRLQQLPGCSRMYNFLSRVYAAEAHCHLNEPKEAAKQLSVYLLDTTDVTFPYSDEDRERWQVDEAQGGKTLKPEEARGILYLNIATMFSIEGNSEMASQFVTKALSHVPNNPRAILAAIYVDLLWGKTQDALVKLKQSRHVRFYSSTEAKQ >DRNTG_32185.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4752100:4761601:-1 gene:DRNTG_32185 transcript:DRNTG_32185.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKKVTEQTQFYFLIHIIFSTQANTLQICFLLLDIALASQDALRAADVIQYLEKLFGVGCLTNQIDDGTGADNQYSNEVASANINVNVQDASRSDSSDSPSIPENSLARTLLDESLEYETFISAMDGGTPNLGRSATKDVSKSSVDRIAPAIVQLYKVKFLLLTRNLKAVKRELKLVKNIKGSGGSPLLLKSHLEYACGNHQNAREHLMSFMGQKEQRIRAILHNNLGCISHQLGEHHTAIWYFLKALHSCSALRSEKPPKLSTYSQDKSLVIFYNCGLQYLACGKPLVAARCFRKAAPFHSRLLLWLRFAECCLLAQEKGLLQKTPSSSSEEIKVQVIGSGKWRHLFVENINSSNRHLDDTGEDILFDPDDKNKDILSLPFARQCLNKALDLLTELEEKKSKHNASRSASEVEVTSHKTTGSHGASRGAASMLTGANGDSKETKVNRGTIFQSSLSSYLDLSQKENHMIKQAVLADLAYVELSLENPKKALAAAIRLQQLPGCSRMYNFLSRVYAAEAHCHLNEPKEAAKQLSVYLLDTTDVTFPYSDEDRERWQVDEAQGGKTLKPEEARGILYLNIATMFSIEGNSEMASQFVTKALSHVPNNPRAILAAIYVDLLWGKTQDALVKLKQSRHVRFYSSTEAKQ >DRNTG_23735.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001275.1:28184:30098:1 gene:DRNTG_23735 transcript:DRNTG_23735.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNAQGSNNNSITQLIHQWFKSCLDTKLRNKVYYPLVDP >DRNTG_17224.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2694729:2696476:1 gene:DRNTG_17224 transcript:DRNTG_17224.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLFTSSVCHLMFCHSQSCSYIMLRLDYTGIAVLIVTSFYPLVYYSFICHQFIRTTYISFITAFGVAMAMVSLLPVFQTPGFRPFRSSLFFCMGVSGIVPIIHKVMMFSDQHPNAMVTTVYELLMGVFYIVGVIIYVVRIPERWMPGMFDLVGNSHQLFHLMVIAGAYTHYLATLVYLQWRDSEYSC >DRNTG_25268.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31503363:31506457:-1 gene:DRNTG_25268 transcript:DRNTG_25268.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVATGGFRQKTQLDNLQQEMDVLIATPGRLIYLLQEGFLLLTNLRCVILDEVDILFGDEGFEQVLQTLSSSAPMTSQYLFVTATLPVDIYNKLVETFPDCEVIMGPGLHRMSSRLEEVLVDCSGDVEGEKSPDTAFTNKRSALLQLVQESPVSRTIVFCNKIETCRKVENALKRFDRRGVHVKVLPFHAALDQETRLFNMKEFLNLKSTDNLFLICTDRASRGIDFTNVDHVVLFDFPRDPSEYVRRVGRTARGAMGNGKAFVFVVGKQVSLAKRIMERNRKGHPLHDVPSAYELES >DRNTG_25268.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31503363:31506778:-1 gene:DRNTG_25268 transcript:DRNTG_25268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATGGFRQKTQLDNLQQEMDVLIATPGRLIYLLQEGFLLLTNLRCVILDEVDILFGDEGFEQVLQTLSSSAPMTSQYLFVTATLPVDIYNKLVETFPDCEVIMGPGLHRMSSRLEEVLVDCSGDVEGEKSPDTAFTNKRSALLQLVQESPVSRTIVFCNKIETCRKVENALKRFDRRGVHVKVLPFHAALDQETRLFNMKEFLNLKSTDNLFLICTDRASRGIDFTNVDHVVLFDFPRDPSEYVRRVGRTARGAMGNGKAFVFVVGKQVSLAKRIMERNRKGHPLHDVPSAYELES >DRNTG_25268.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31503363:31504435:-1 gene:DRNTG_25268 transcript:DRNTG_25268.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFLNLKSTDNLFLICTDRASRGIDFTNVDHVVLFDFPRDPSEYVRRVGRTARGAMGNGKAFVFVVGKQVSLAKRIMERNRKGHPLHDVPSAYELES >DRNTG_25268.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31503363:31504154:-1 gene:DRNTG_25268 transcript:DRNTG_25268.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFLNLKSTDNLFLICTDRASRGIDFTNVDHVVLFDFPRDPSEYVRRVGRTARGAMGNGKAFVFVVGKQVSLAKRIMERNRKGHPLHDVPSAYELES >DRNTG_03559.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14415604:14417468:-1 gene:DRNTG_03559 transcript:DRNTG_03559.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLSGFMLSFGGFFPRNYPPPKWDLQGGAYDRARGNRRNWILVWEDGFCNFAASNCGEQTNEGMQMKGLQPELFFKMSHEIYSYGEGLIGKVAADHSHKWVFKEPQDHEINFLSAWNNPADSQPRTWEAQFQSGIKTIALVAVREGVVQLGAINKVVEDLSFVVLLRKKV >DRNTG_03559.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14415604:14417468:-1 gene:DRNTG_03559 transcript:DRNTG_03559.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLSGFMLSFGGFFPRNYPPPNFDLTRWDLQGGAYDRARGNRRNWILVWEDGFCNFAASNCGEQTNEGMQMKGLQPELFFKMSHEIYSYGEGLIGKVAADHSHKWVFKEPQDHEINFLSAWNNPADSQPRTWEAQFQSGIKTIALVAVREGVVQLGAINKVSLSLSLFF >DRNTG_32004.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13042418:13049007:1 gene:DRNTG_32004 transcript:DRNTG_32004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLMYLVDVETVFDKPARNLRQVHDESIASCYLFQSGGEPIGKIDVVELDDRSWAQAHRYVLLHHEAIEPFQDEYKNIFRYQMRGRRSIARDVDRKFTETFHEWLGQNTKKNMRRAKLKDIKMVQSDYAAKNTSGCAAGATKSAPSPDITSHSSIPSVNVDSTRSAPLSDVTPHSSTPSSSDDSNHNDEIGTNNTCTRLDNLHPINEGSDLN >DRNTG_15289.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4591969:4602685:-1 gene:DRNTG_15289 transcript:DRNTG_15289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSNSPGACSLPCSPEEEKEIVKELIRVSEANLKEGDVFCLISSRWWREWKEYVGFDENVEHSNFAKKQKDVLHAPVRPGEIDNSELILNEMNKEGDFLDLRGNLQEGEDYDLVPLEVWKKLVEWYNGGPEIPRKILSEGYYSKNFSIEVYPLCLCLVDARDNSERTIRISRKASVGDLYKVVCAMLELDETKVLIWDYFKRNKSSMLTKMDQSLEEATLHMDQEILLEVQVDGLWPSDFGMDSTGNELALVPIEPSRSSMSIAGGPTLSNGHSSRFGSYLSPGNSFSSSQRDSEDVDDILSNGTKVDGRGLTGLHNLGNTCFMNSAIQCLVHTPPLVEYFLQDYSEEINKKNPLGMQGELAIAFGDLLRTLWSSGRTSVAPRAFKGKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKNKPYIEAKDANGRPDEEFADECWEYHKARNDSLIVDICQGQYKSTLVCPVCRKVSVTFDPFMYLSLPLPSAITRKMSVTVFSGDGIALPMPYTVTVSRNGCFRDLIQALSIACCLKSYEALLVVEVHEHKIYRFMENMFEPLSGIKDEDYLVAYRLPSNYNKLRRIEILHRSVERCAPELQYSFSRKLLGTPLITCLGEGADSGADIHAAVRTVLTPLLRAKELPSHRAKIINENGCGPSLDAIALTDNHTLPNGKDLSAHSMEIETTANGSPSLQLVMTDERGISGITVNDDITFVAPVSSIKLFLNWSQREHEIYDASYLENLPGVHHSGNKKTRQEPVSLFSCLDAFLKEEPLGPEDMWYCPACKEHRQATKKLDLWRLPEILVVHLKRFSYNRFMKNKLETFVNFPVHNLDLTKYVKKPGSAESHLYELYAISNHYGGLGGGHYSAYAKLIDEDRWYHFDDSHVSPVGEDSIRTSAAYVLFYQRVK >DRNTG_15289.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4591969:4598500:-1 gene:DRNTG_15289 transcript:DRNTG_15289.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTGNELALVPIEPSRSSMSIAGGPTLSNGHSSRFGSYLSPGNSFSSSQRDSEDVDDILSNGTKVDGRGLTGLHNLGNTCFMNSAIQCLVHTPPLVEYFLQDYSEEINKKNPLGMQGELAIAFGDLLRTLWSSGRTSVAPRAFKGKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKNKPYIEAKDANGRPDEEFADECWEYHKARNDSLIVDICQGQYKSTLVCPVCRKVSVTFDPFMYLSLPLPSAITRKMSVTVFSGDGIALPMPYTVTVSRNGCFRDLIQALSIACCLKSYEALLVVEVHEHKIYRFMENMFEPLSGIKDEDYLVAYRLPSNYNKLRRIEILHRSVERCAPELQYSFSRKLLGTPLITCLGEGADSGADIHAAVRTVLTPLLRAKELPSHRAKIINENGCGPSLDAIALTDNHTLPNGKDLSAHSMEIETTANGSPSLQLVMTDERGISGITVNDDITFVAPVSSIKLFLNWSQREHEIYDASYLENLPGVHHSGNKKTRQEPVSLFSCLDAFLKEEPLGPEDMWYCPACKEHRQATKKLDLWRLPEILVVHLKRFSYNRFMKNKLETFVNFPVHNLDLTKYVKKPGSAESHLYELYAISNHYGGLGGGHYSAYAKLIDEDRWYHFDDSHVSPVGEDSIRTSAAYVLFYQRVK >DRNTG_15289.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4591969:4602685:-1 gene:DRNTG_15289 transcript:DRNTG_15289.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKMDQSLEEATLHMDQEILLEVQVDGLWPSDFGMDSTGNELALVPIEPSRSSMSIAGGPTLSNGHSSRFGSYLSPGNSFSSSQRDSEDVDDILSNGTKVDGRGLTGLHNLGNTCFMNSAIQCLVHTPPLVEYFLQDYSEEINKKNPLGMQGELAIAFGDLLRTLWSSGRTSVAPRAFKGKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKNKPYIEAKDANGRPDEEFADECWEYHKARNDSLIVDICQGQYKSTLVCPVCRKVSVTFDPFMYLSLPLPSAITRKMSVTVFSGDGIALPMPYTVTVSRNGCFRDLIQALSIACCLKSYEALLVVEVHEHKIYRFMENMFEPLSGIKDEDYLVAYRLPSNYNKLRRIEILHRSVERCAPELQYSFSRKLLGTPLITCLGEGADSGADIHAAVRTVLTPLLRAKELPSHRAKIINENGCGPSLDAIALTDNHTLPNGKDLSAHSMEIETTANGSPSLQLVMTDERGISGITVNDDITFVAPVSSIKLFLNWSQREHEIYDASYLENLPGVHHSGNKKTRQEPVSLFSCLDAFLKEEPLGPEDMWYCPACKEHRQATKKLDLWRLPEILVVHLKRFSYNRFMKNKLETFVNFPVHNLDLTKYVKKPGSAESHLYELYAISNHYGGLGGGHYSAYAKLIDEDRWYHFDDSHVSPVGEDSIRTSAAYVLFYQRVK >DRNTG_34804.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1397363:1399287:1 gene:DRNTG_34804 transcript:DRNTG_34804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNILALSLIIATLVGAGVWSPPPSEPHHLVREGSRVIVVEYERQVDDDHSSSSSPHKLIQEAKDKFKEASSLLPNLGQGLSTPSEIQSSSTDLDTNALSAATKSAMDDTKEAVESLVHKGKEKVEQTKNTLKNTAEKVKEKAENVMHEGKNRMEDKAGNFIHKAEKKKIEETKNVGEEMKKDALEIVRRSKRLVCNACSYVVTPETVKKVAKVVHLFGFSMAYGTSVWVTFVSSYLLSRALPRQQFAVVQSRIYPVYFRVVAGDVVVVLIAHLVENGWKNRVEMLQGCCLLVVLVLVLVNMLFFEPKATKLMFERMKMEREE >DRNTG_23663.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20496483:20497927:1 gene:DRNTG_23663 transcript:DRNTG_23663.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCKLAAVTALEKPSCMALRRLVCIPTAAGVLELGSSDLIPEDWALVQQAKATVLVSPNDTFVGLPAISTSPSLKKEVAGLSSSVDSDHSDSDGGLMTGRRPTKKRGRKPGTGRETPVNHVEAERQRREKLNHRFYALRSVVPNVSRMDKASLLADAVSYIKDLKVRVEELEMEVKKTKKEIVVVDKASGGAITSTIISDSSMVNGTMEMELRVAGSGEAMIRVKSENVNHPAAKLMETLKEMEMPVHHASMVSMEELMVMDVVARVPLGLQGEQESLKSSLLARLEKV >DRNTG_16933.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:11053968:11054443:-1 gene:DRNTG_16933 transcript:DRNTG_16933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGFCVDMGSMNRGYRRQLAYPGRATGIYTQLSADILRYQGQSVRVGLLFAGPYITRLILGMGLGDALRGVERIVAPTPLAFDTIRMMGLVRRCGPGVYILATATPETSRSKGVTAEGSP >DRNTG_30738.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31708511:31708875:1 gene:DRNTG_30738 transcript:DRNTG_30738.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSSVPNSLIDRNTSDSVNRTKKETFSDLDMH >DRNTG_20864.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001166.1:25731:29169:-1 gene:DRNTG_20864 transcript:DRNTG_20864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNLPHYLNFTSLRVLDLSYNSDLNITLFQWLFNLTSLVYLDLSRCALYGKLPVTVGNLSRLRVFSLSDNSFGGVIPESFGNLGSLEKLDLSNNKFIGSISEALSNLTNLVHFDLSFNMIVKLPESIGMLQKLVSFDLFENQVQGLMPASIGNLRNLQYLDLSWNMISGAIPESLGNLTLLQHFNGAENYLSGKLPETIANFVHLQFIDLSQNEISGKLPEGYGNLSQLQQLRMQGNGIMGGLPEYVGKLSSLLELDLSNNNINGTLPKVMGSLCKLQILDLSSNMFSGGIDGLVDGLSKCRESKYGSASENWEGLQTLNLGNNKLNGTVPENIGQLSKLDSLDLSSNSLKGVLTESHFASLVSLAVLDLSYNSLQLNVSENWEPPFSCLAIRMCSVKVGHVFPTWLKTQKYLSYLCLSDAGISGNIPAWLGSLSLASGYVLNLSNNNFEGRLPTSLKNYTFYSIDLSSNRFEGPLPELDPSFLLVIYLNNNSFSGSIPSYFANASYIQVFSISDNHINGNIPSFFCNLTTLELLDISNNDMSGGLPNCWNSTSALEIINLSDNNFNGKIPDGLVSLTNLRSLHLRNNEFSGDLPLSLKMAKKLVTLDISENKLSGSIPIWIGENLSSLIVLCLRSNLLHGIIPSQLAKLSSLQILDLAHNNLSGCIPHSFGDFKAMVATNHNQWWSLLSILSVAEPIFGFDIVVVHNSFAYSESLLISAKGLQMEYSKILSLVTSIDLSNNKLSCELPEEMTKLHGLYFLNLSGNHLIGKIPESIGDMKQIESLDLSTNNLSGTIPSSMSTLNFLGHLNLSHNNLSGKIPSSTQLQSFDPSAYNWNHGLCGSPLQNCANGTHYSQVANEEEGKGDWAEMLWLYIGLAMGFITGFWMIIGTIIIKQTIRIAYFRSIDKVHDWIYVKMVVYSQRLKSTFSRRN >DRNTG_14136.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12729104:12733156:-1 gene:DRNTG_14136 transcript:DRNTG_14136.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKKVAYKRSRRDRSSAPNEPKFKNEDHKTRYALLSRKGLAPFEE >DRNTG_04833.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18248004:18248395:1 gene:DRNTG_04833 transcript:DRNTG_04833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKRMLKSWLKSALNRVGIGIPHAPVDIPHGPVELMHGRVEFSQARVAPVITTVLCYSVGPKYSRIHAFIEEVTAFVPLRIIALARIQGGWHTV >DRNTG_01765.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:2641391:2645747:1 gene:DRNTG_01765 transcript:DRNTG_01765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRSSCNYPEGSLINEWEIYRNVTGKPSHGRVLGLGIGVKGKDVYGSSSSQTCSKKCEENLKRKEEEWEGRFKKMESIINELQQQVPVMVQTILQSLGVRSAPIATQENEVELLDLWVDLWVSPFGQSENSFGRIQVLLHGSKPICQSLIIVEHIVEVWSNKAPLLPTMPFARANARFWADFTDKKCHLLHGSMPMRRAKFSIEEECPKIVAWGKRCMEGESVSKTLSDPNKVYEFVLVLKKRFGVE >DRNTG_28681.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20397198:20400780:1 gene:DRNTG_28681 transcript:DRNTG_28681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIYHKSCHLSCKLSSLAMEFIFINAIILLICSTIFLAPMNNAIDDELALLAFKSTITVDPSQAMASWNFSLHFCEWLGVTCSHRHPTRVTGISLEGFGLSGEVLTSLSNLTFLQRLHLPNNNLHGHIPQELGNLQRLKHLNLGRNSLEGNIPETLSQCLQLQILLLNDNKLTGSIPEGLGSLQKLLYINFGNNYLTGKIPNTLGNATSLAILYLYSNSLSDSIPYSVGQLPLAFLNLTHNNLEGFIPSSFQNLTSLMHFDVSYNNLTGGIPEWFGNLSSLKTINVKMNNLKGSIPYSIGYLSSLSTLALTANTFSGAIPSSIGTLPNLYALALSHNSLSGTIPSSLYNLSSINYLGVADNLLTGTIPSDIGNTLPGLKTIYMYFNQLHGPIPMSLANATGLEDIELTGNNFTGVIPSNLGTLQNLYWLTLDRNQFEANNADDWSFLDSLTNCSNLQVLQLNRNKLGGPLPSSVANLSTMLQWLVLANNHFSGVLPIDIGRYVNLQNLDMKQNLFISGDIPPSIGNLQNLQLLDLSTNNFSQLIPDSLGNLTQLSELYLGGNILQGSIPTSLGNLQSLRHFDLSYNNLSGRIPKEVVSIASITTFFGLSHNSLTGPLPSEIGTLKNVIELDVSENHLSGEIPISIGKCQLLKRLYINGNSFQGIIPSSMSDLKGIEELDLSRNNFSGSIPQFLTDFKFLHYLNLSFNEFNGDVPKGGVFDNVTGVSVQGNDNICGGNQVLHLPQCNIESKNKKGHWKSLKLKVILSVSLVLVFLLLLIISLILIRHWKQKSKLKSQSKIPGEQQQFMKVSYAELLRSTEEFSPANLIGVGSYGSVYKGIMDLDGEKVIAVKVLNLQQRGASKSFIAECEALRNIRHRNLIKILTSCSSVDFKGNDFKALVFEYMPNGSLEQWLHPTEDEQSQVRSLSFIKRLKIAINIATALDYLHHHSSMQIVHCDLKPSNVLLDENMTAHVGDFGLARLLDNKIPKPSSRHSNSSTGTMKGTIGYVAPEYGVANQVSPHGDVYSYGIVLLEMFTGRRPVQEIFREGLSLHSFVEMALPERVKEVTDQRLLDFDDDDDDEENSEIEAMLDSLTSVLKIGLVCSNERVTDRMSMGDVVNGLHGIKDSFLCSKTRANRANRANLKGEGSSQTSVLERKRMS >DRNTG_03232.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4643218:4645766:1 gene:DRNTG_03232 transcript:DRNTG_03232.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:Projected from Arabidopsis thaliana (AT1G77860) UniProtKB/TrEMBL;Acc:A0A178W8L8] MGKSSAIDGDHLRFDLADSLRHSGDSTRMPFFGRRRSGNTWVVSLFLVVQLVVFVSTMLINDCPHRSSGDCLLRSLGRFSFQPLHENPLLGPSASTLVKMGAIQRSRVIQLHQRWRLLIFPWLNAGVIHLVLNLLSTLLFGIHLEQEFGPLRTGIIYLLSAFLGSVVSALFVQNAPAVGSSGALFGLLGATLAGLIRNRKIYDNKLIAVVTLASAFMANFFIGLLPYVDNFSNIGGFLTGIFLGYALLYNPQLSQLERQKGIFDYDSKSSVKLKQKLDKPALRIVALLCFIAIFSGVMVVFFYGIDASSYCCFCHYIDCVPTKFWSCNEKATLCQAVVSDRKLTLTCMATAEFRSFPFTNISPTKIKDLCRLICSTT >DRNTG_30157.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3370787:3379465:1 gene:DRNTG_30157 transcript:DRNTG_30157.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKREEKAKLDHGDAQQNCPSTIFVSNLPYSLKSSELEQVFSEVGPVRRCFTVTNKGSEGNRGFGFVQFAAVDDAERAVQLKNGALMGSRKIRVKLAMHRLPLELRKAKSNETTSNKGAANPSDVVTKQKDVPQPQQPERLEVPKASNKIPRSSNAPADAADGSEKQRVARTVVLGGLLNFEMAEEVFRRAREVGKICSITYPLPNKEFQSYGLTRDGCHPEASFVVYTSVKAARNSVVMLHQQEINGACVWARQLGGEGSKTRKWRLIVRNLPFKVTVNEIKDMFNSVGFVWDVFIPHKSEEGISKGFAFISFTCKQDAEKAIKNVNGRVIARRTVAVDWAVPKNIFANTTGSTASIDAAGQSDDSDNEEDLNENNLVTNDVDDNSDGDSQEHDRGKDLDSEVYENNLQMDMDSEEVVAKKVLDKLIKSSGDAHEPSQDDVSKSALDVKEMHITSKFGQSADPSHQKINKKEGDLEKTIFISNLPFDIDNEEVKQRFFSVFGEVRSFLPVLHHLTKRPRGTAFLKFSTTDAADAAVSAANAAPGLGIIMKGRPLTVLKALDKDSAHKKELDKMKVEVHDRRNLYLAQEGEILPGTPAAEGVSEADMKKREMLSKKKEQKLRSPKFHISRTRLVIYNLPKTMTQKEVMKLCIDAVLSKASKQNPVIEKVKILKDAKKGNISAKKHPRAVAFVDFKEHDHALVALRVLNNNPETFDPEHRPIVEFALDNIQKLAQQQKAKLQPFKDDQGNLDDGKSSSLQSSEHQPTNADKKPSDRKKARQFKHRKPLDNSSEVSQPANGSKFLQQDMKDVDTKGTKEGMEKKDSAKGRKRKLSSEAKDATSGVVHNFKKKGLKAMKKKSITEEKNVRGTESLELKSDNKSRAFPRKRKPQAGVDSEQLNAGKKSKRAKNKSSGEEVVDKLDKLIEQYRSKFTQQGSSNAKGVASSGNKVRRWFES >DRNTG_30157.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3370787:3379465:1 gene:DRNTG_30157 transcript:DRNTG_30157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKREEKAKLDHGDAQQNCPSTIFVSNLPYSLKSSELEQVFSEVGPVRRCFTVTNKGSEGNRGFGFVQFAAVDDAERAVQLKNGALMGSRKIRVKLAMHRLPLELRKAKANNEKSNETTSNKGAANPSDVVTKQKDVPQPQQPERLEVPKASNKIPRSSNAPADAADGSEKQRVARTVVLGGLLNFEMAEEVFRRAREVGKICSITYPLPNKEFQSYGLTRDGCHPEASFVVYTSVKAARNSVVMLHQQEINGACVWARQLGGEGSKTRKWRLIVRNLPFKVTVNEIKDMFNSVGFVWDVFIPHKSEEGISKGFAFISFTCKQDAEKAIKNVNGRVIARRTVAVDWAVPKNIFANTTGSTASIDAAGQSDDSDNEEDLNENNLVTNDVDDNSDGDSQEHDRGKDLDSEVYENNLQMDMDSEEVVAKKVLDKLIKSSGDAHEPSQDDVSKSALDVKEMHITSKFGQSADPSHQKINKKEGDLEKTIFISNLPFDIDNEEVKQRFFSVFGEVRSFLPVLHHLTKRPRGTAFLKFSTTDAADAAVSAANAAPGLGIIMKGRPLTVLKALDKDSAHKKELDKMKVEVHDRRNLYLAQEGEILPGTPAAEGVSEADMKKREMLSKKKEQKLRSPKFHISRTRLVIYNLPKTMTQKEVMKLCIDAVLSKASKQNPVIEKVKILKDAKKGNISAKKHPRAVAFVDFKEHDHALVALRVLNNNPETFDPEHRPIVEFALDNIQKLAQQQKAKLQPFKDDQGNLDDGKSSSLQSSEHQPTNADKKPSDRKKARQFKHRKPLDNSSEVSQPANGSKFLQQDMKDVDTKGTKEGMEKKDSAKGRKRKLSSEAKDATSGVVHNFKKKGLKAMKKKSITEEKNVRGTESLELKSDNKSRAFPRKRKPQAGVDSEQLNAGKKSKRAKNKSSGEEVVDKLDKLIEQYRSKFTQQGSSNAKGVASSGNKVRRWFES >DRNTG_05368.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8521105:8522578:-1 gene:DRNTG_05368 transcript:DRNTG_05368.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEEPKQKDLHFDNLRAIRVLGRGAMGTVFLTDDASQPFALKVFDKKSSTSRRPDAERRARWELTVLSRLHHPLLPTLLASIETPDFIAWAIPFYPGGDLNALRHSLPDRSLSTAALRFYLSELVSALAHLHSLNIAYRDLKPENVLLRADGHIVLSDFDLSRQLSPAPLQHFVEHLPDLRSTHAPRHRRHLTRIWFPAGAGVKKTRSARVSPARAGERANSFVGTEEYVAPEIVRAEGHDFAVDWWALGILAYEMAYGRTPFKGRNRKETFKNVLVRDPQFPGGSSRRRRTELEDLIARLLEKDPARRLGAGGDGEVRAHPFFKGVQWDLVTAVSRPPYLFEDETEAVTGLGGDRTAVGTFDIRDYFTKVRQETVTVQSVSLDEF >DRNTG_19574.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2034542:2034904:1 gene:DRNTG_19574 transcript:DRNTG_19574.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHHLDNSRPQQKPRQDQPSSRVAPPKARHRLSHPPALLPIL >DRNTG_11463.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18528767:18531877:1 gene:DRNTG_11463 transcript:DRNTG_11463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFAKIKLRKEMTTINQVWQVGSSVTDGVPYKHAFAPENLAAMGTLDLIKGVSSTGSDSTLKKRNIHGVLNAVSWGVLLPIGVIMARYLKTFGSLDPAWFYLHVGFQLSGYIIGVAGWATGLNLGSKSKGVTYSSHRSIGIAIFSLATLQIFALFLRPNKDHKYRFYWNIYHHSVGYSVIILGIINIFKGFDILNPHQWWKNTYIIVISVLAGIALLLEISTWIVVLRRKSNKSSKLYNGSSGQQGVQQPLHV >DRNTG_23567.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8478295:8481745:-1 gene:DRNTG_23567 transcript:DRNTG_23567.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit H, chloroplastic [Source:Projected from Arabidopsis thaliana (ATCG01110) UniProtKB/Swiss-Prot;Acc:P56753] MNRGRKNMTVPDTRKGLMIVNMGPHHPSMHGVLRLIVTLEGEDVIDCEPILGYLHRGMEKIAENRTIIQYLPYVTRWDYLATMFTEAITVNAPEQLGNVQVPQRASYIRVIMLELSRIASHLLWLGPFMADIGAQTPFFYIFRERELLYDLFEASTGMRMMHNYFRIGGVAADLPHGWIDKCLDFCDYFLTRVAEYEKLITRNPIFLDRVEGVGIIGGEEAINWGLSGPMLRASGIPWDLRKVDHYECYNEFDWEVQWQKEGDSLARYLVRIGEMTESIKIIQQAIEGIPG >DRNTG_23345.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6049111:6053072:1 gene:DRNTG_23345 transcript:DRNTG_23345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVIDLVRPVASFVAPYLVNPISRQFKYLFFLSNNVKAITDAMDELRITRASTKQNIQTAELEGKTRSPTVEFWLQKVDAVELEVTAIKQAYDHRTNCIAMPSLNIVSNYKLGRRAFKKKEEMVELLDKAAKFDVVAKKLPPGPARELPTPSIMVSNENSNLETIYQYLIENMTGIIGIWGMGGVGKTTLLRSINNEFYRSKDGMFDHVIWVVVSQQYSYVKIRSDIAKNLGLPSTNEDADAIHDFLKMKSFLLLLDDLWSEHDLEKIGVPHPKMHHDQDKHKRMVVFTTRLENVCGDMEANKTIKIQCLDHDAAWGLFKAKAGEELIASDNLIQQHAEGVVMECAGLPLALVTVGKAMRTKKTAQEWEYVGNMMRKSKYPSIPGMRKESDFFPILKISYDNLESDILRQCFLYCSLWGEDVAIAIDDLIQCWMGHGLLDDFDDLSEAYNKGGIIIGNLKGACLLETVALPWLHEESYVKLHDVIRDLALWITSDCGRNKQGWLVQPNSNLERLPEDVINGEVINISVNNMKALDGFINFHKLKTLILSADTREYLITPEFFTKMRCLKYLDLSHASIEIVPEEIGGLNGLEYLQLPRNLRSLPTALGDLKNLKYLYIFDLSEAKIPYGLIARLTKLRVLDLFYTGDVYLEERHVDELLILKELKGVGINIKASSTLLERLRPVPKRRLRLCCLDDESDFTSISISPLHLGSNSMTNLHELTISNIESLQELVMTTENDSSWCLSHLNELYLDDLPHLKNVIWKDLETQFFLPGLVYLFIINCNSLTSLCWTAHLPRLKQLTISQCDKLESIIKTGDDATKVIEEEGNLFKSLNLLYLTDNPNLECICEGELSLPSIELITFEGCDKLRKLPLGLDSAKNLESIDVSSTMWDNIDWAHKDHFSHLVRTIV >DRNTG_20377.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:97730:103660:-1 gene:DRNTG_20377 transcript:DRNTG_20377.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEPLHVLFFPFLTRSHMIPMLETARLFSENGIKTSIVTTPANADLIKPLLHHHPSISLHLIPFPSTTFNLPSGCENLTAVPVHLTTDFFSAAFNLRDPFSHLLSSLRPDAIISDAIYTWTTELAGEFHIPRVIFQVTGLFPLSATNDLDLHLHHPSYKSISDDSELFSIPGFPHTVHFTRSQLPEVFAFPPMLGWQREAELSSHAVIVNSFSALEPDYAVHYSNVAPREVFLLGPVAIAGQPEKKEKKAEPCLEWLDEKDDGSVVYVGFGTLSRFTAEQIKELAFGLENSGEGFVWAVGSGEVVEWIPEGFEKRVQGRGLVVKGWAPQTEILNHRAVGGSVCHCGWNSVMEAVVAGLPVITWPLYYEQFLVEKWICEVVKMGIPAWEGFKSVKDEEKVVFPATAVATAVKRLMGSGEEVVEMRKRVAELAKLARMAVAEGGTSNQDLSRLIDGLVAWRDNHRPIK >DRNTG_20377.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:97730:103660:-1 gene:DRNTG_20377 transcript:DRNTG_20377.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEPLHVLFFPFLTRSHMIPMLETARLFSENGIKTSIVTTPANADLIKPLLHHHPSISLHLIPFPSTTFNLPSGCENLTAVPVHLTTDFFSAAFNLRDPFSHLLSSLRPDAIISDAIYTWTTELAGEFHIPRVIFQVTGLFPLSATNDLDLHLHHPSYKSISDDSELFSIPGFPHTVHFTRSQLPEVFAFPPMLGWQREAELSSHAVIVNSFSALEPDYAVHYSNVAPREVFLLGPVAIAGQPEKKEKKAEPCLEWLDEKDDGSVVYVGFGTLSRFTAEQIKELAFGLENSGEGFVWAVGSGEGFVWAVGSGEAVEWIPDGFEKRVQGRGLVLKGWVPQTEILNHRAVGGFVCHCGWNSVMEAVVAGVPVITWPLHSEQFVIEKWICEVVKMGIPAWEGFKSVKDEEKVVFPATAVAAAVKKLMGSGEEVVEMRKRVAELAKLARMAVAEGGTSNQDLSRLIDGLVAWRDNHRPIK >DRNTG_20377.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:102051:103660:-1 gene:DRNTG_20377 transcript:DRNTG_20377.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEPLHVLFFPFLTRSHMIPMLETARLFSENGIKTSIVTTPANADLIKPLLHHHPSISLHLIPFPSTTFNLPSGCENLTAVPVHLTTDFFSAAFNLRDPFSHLLSSLRPDAIISDAIYTWTTELAGEFHIPRVIFQVTGLFPLSATNDLDLHLHHPSYKSISDDSELFSIPGFPHTVHFTRSQLPEVFAFPPMLGWQREAELSSHAVIVNSFSALEPDYAVHYSNVAPREVFLLGPVAIAGQPEKKEKKAEPCLEWLDEKDDGSVVYVGFGTLSRFTAEQIKELAFGLENSGEGFVWAVGSGEVVEWIPEGFEKRVQGRGLVVKGWAPQTEILNHRAVGGSVCHCGWNSVMEAVVAGLPVITWPLYYEQFLVEKWICEVVKMGIPAWEGFKSVKDEEKVVFPATAVATAVKRLMGSGEEVVEMRKRVAELAKLARMAVAEGGTSNQDLSRLIDGLVAWRDNRRPIK >DRNTG_20377.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:97730:103660:-1 gene:DRNTG_20377 transcript:DRNTG_20377.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEPLHVLFFPFLTRSHMIPMLETARLFSENGIKTSIVTTPANADLIKPLLHHHPSISLHLIPFPSTTFNLPSGCENLTAVPVHLTTDFFSAAFNLRDPFSHLLSSLRPDAIISDAIYTWTTELAGEFHIPRVIFQVTGLFPLSATNDLDLHLHHPSYKSISDDSELFSIPGFPHTVHFTRSQLPEVFAFPPMLGWQREAELSSHAVIVNSFSALEPDYAVHYSNVAPREVFLLGPVAIAGQPEKKEKKAEPCLEWLDEKDDGSVVYVGFGTLSRFTAEQIKELAFGLENSGEGFVWAVGSGEVVEWIPEGFEKRVQGRGLVVKGWAPQTEILNHRAVGGSVCHCGWNSVMEAVVAGLPVITWPLYYEQFLVEKWICEVVKMGIPAWEGFKSVKDEEKVVFPATAVAAAVKKLMGSGEEVVEMRKRVAELAKLARMAVAEGGTSNQDLSRLIDGLVAWRDNHRPIK >DRNTG_20377.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:102051:127255:-1 gene:DRNTG_20377 transcript:DRNTG_20377.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGWQREAELSSHAVIVNSFSALEPDYGVHYSNVAPREVFLLGPVAIAGQPEKNEKKAEPCLEWLDEKDDGSVVYVGFGTLSRFTAEQIKELAFGLENSGEGFVWAVGSGEAVEWIPDGFEKRVQGRGLVVKGWAPQTEILNHRAVGGFICHCGWNSVMEAVVAGLPVITWPLYYEQFLVEKWICEVVKMGIPAWEGFKSVKDEEKVVFPATAVATAVKRLMGSGEEVVEMRKRVAELAKLARMAVAEGGTSNQDLSRLIDGLVAWRDNRRPIK >DRNTG_20377.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:126182:127255:-1 gene:DRNTG_20377 transcript:DRNTG_20377.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLGWQREAELSSHAVIVNSFSALEPDYGVHYSNVAPREVFLLGPVAIAGQPEKNEKKAEPCLEWLDEKDDGSVVYVGFGTLSRFTAEQIKELAFGLENSGEGFVWAVGSGEAVEWIPDGFEKRVQGRGLVVKGWAPQTEILNHRAVGGFICHCGWNSVMEAVVAGLPVITWPLYYEQFLVEKWICEVVKMGIPAWEGFKSVKDEEKVVFPATAVATAVKRLMGSGEEVAEMRKRVAELAKLARMAVAEGGTSNEDLSRLIDGLVAWRDNRRSIK >DRNTG_20377.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:97730:103660:-1 gene:DRNTG_20377 transcript:DRNTG_20377.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEPLHVLFFPFLTRSHMIPMLETARLFSENGIKTSIVTTPANADLIKPLLHHHPSISLHLIPFPSTTFNLPSGCENLTAVPVHLTTDFFSAAFNLRDPFSHLLSSLRPDAIISDAIYTWTTELAGEFHIPRVIFQVTGLFPLSATNDLDLHLHHPSYKSISDDSELFSIPGFPHTVHFTRSQLPEVFAFPPMLGWQREAELSSHAVIVNSFSALEPDYAVHYSNVAPREVFLLGPVAIAGQPEKKEKKAEPCLEWLDEKDDGSVVYVGFGTLSRFTAEQIKELAFGLENSGEGFVWAVGSGEVVEWIPEGFEKRVQGRGLVVKGWAPQTEILNHRAVGGSVCHCGWNSVMEAVVAGLPVITWPLYYEQFLVEKWICEVVKMGIPAWEGFKSVKDEEKVVFPATAVAAAVKKLMGSGEEVVEMRKRVAELAKLARMAVAEGGTSNQDLSRLIDGLVAWRDNHRPIK >DRNTG_20377.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:97730:103660:-1 gene:DRNTG_20377 transcript:DRNTG_20377.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEPLHVLFFPFLTRSHMIPMLETARLFSENGIKTSIVTTPANADLIKPLLHHHPSISLHLIPFPSTTFNLPSGCENLTAVPVHLTTDFFSAAFNLRDPFSHLLSSLRPDAIISDAIYTWTTELAGEFHIPRVIFQVTGLFPLSVANDLDLHRPYNSISDDSELFSIPGFPHTVHLTRSQLPEVFSFPPMLGWLREAELSSHAVIVNSFSALEPDYAVHYSNVAPREVFLLGPVAIAGQPEKKEKKAEPCLEWLDEKDDGSVVYVGFGTLSRFTAEQMKELAFGLENSGEGFVWAVGSGEAVEWIPDGFEKRVQGRGLVLKGWVPQTEILNHRAVGGFVCHCGWNSVMEAVVAGVPVITWPLHSEQFVIEKWICEVVKMGIPAWEGFKSVKDEEKVVFPATAVAAAVKKLMGSGEEVVEMRKRVAELAKLARMAVAEGGTSNQDLSRLIDGLVAWRDNHRPIK >DRNTG_20377.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:102051:127255:-1 gene:DRNTG_20377 transcript:DRNTG_20377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGWQREAELSSHAVIVNSFSALEPDYGVHYSNVAPREVFLLGPVAIAGQPEKNEKKAEPCLEWLDEKDDGSVVYVGFGTLSRFTAEQIKELAFGLENSGEGFVWAVGSGEAVEWIPDGFEKRVQGRGLVVKGWAPQTEILNHRAVGGFICHCGWNSVMEAVVAGLPVITWPLYYEQFLVEKWICEVVKMGIPAWEGFKSVKDEEKVVFPATAVATAVKRLMGSGEEVVFPATAVATAVKRLMGSGEEVVEMRKRVAELAKLARMAVAEGGTSNQDLSRLIDGLVAWRDNRRPIK >DRNTG_02748.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000126.1:6167:7348:-1 gene:DRNTG_02748 transcript:DRNTG_02748.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLKEEVPSTLGIMKKMLQKMKRARRRHKKHHKAVGDVRKPSKLDEPLLGGIKPDKFPSTFKRLCSSCFQDMGRGKLSSMSLIKVVKPIMKEKKPLWI >DRNTG_35019.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2303503:2304299:-1 gene:DRNTG_35019 transcript:DRNTG_35019.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHMIYFDTEIAVIRKGTITKFDYSNKEENMKHYGQPTPPAYNMASIAKNFPMFIAYGGKDELSDVQDVKHLLKILKSHNTEIHYQENYAHSDFQMAVNAKEVIYEPLMAFFKLH >DRNTG_35019.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2303503:2305575:-1 gene:DRNTG_35019 transcript:DRNTG_35019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMVFLWFSAFLLTFSAALGARDVGFQHSRPVGVVHGTCKTMVEIYGYPCEEHTVTTEDGYILSIQRIPNGHSNNAYSRANKIPVLLEHGLLMDGITWILNPPSESLGFILADKGYDV >DRNTG_09566.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22581197:22588139:1 gene:DRNTG_09566 transcript:DRNTG_09566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDDPLLTKYSVIMVDEAHERSISTDILLGLLKKIQRRRPELRLIISSATIEARSMSNFFHDRKRNQGDAANDSAPNTVPAILSVEGKGFTVEIYYLEEPCSSYLQAAVDTVLSIHDKEPVGDILVFLTGQDDIDAAVQLINENAQDHRSHSSGLLVLPLYSGLSRSDQDLIFAPTPKGKRKVVISTNIAETSLTLDGVVYVVDSGFSKQRFYNPILKTWW >DRNTG_16601.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3930944:3933231:-1 gene:DRNTG_16601 transcript:DRNTG_16601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCFPSSCSLVKIMLISIVAFVSVLIPTSDAAVKKYQFDVVVKNVSRLCHAKPIVTVNGMYPGPTVYAREGDRVIITVTNHAQYNMSIHWHGLKQLRNGWADGPAYITQCPIQSGNSYTYDFNITGQRGTLWWHAHILWLRATVHGAVVIMPQVGVSYPFPQPHSEAELMLGEWWNADVETIENQGNILGLPPNMSDAHTINGKPGPLFPCSDKHTYALEVEWGKTYLLRIINAALNDELFFAIAGHSMTVVEIDSVYCKPFTTEALLIAPGQTTNVLVQANQSPGRYFMATRPFMDAPVPVDNKTATAILQYKGVPTTVLPLLPKLPAPNDTTFADSYLDKLRSLNIAQFPANVPLTVDRHLFYTIGLGANPCTTCLNGTRLTASLNNITFMMPKIGLLQAHYFNTKGVFRLDFPDKPVTPFNYTGAPLTANLGTSMGTRLSKVAFNSTVELVLQDTNLLTVESHPFHLHGYNFFVVGTGIGNFDPVKDPAKYNLIDPPERNTVGVPTGGWTAIRFRADNPGVWFFHCHLELHTTWGLKMAFVVENGNGPEQSVLPPPKDLPLC >DRNTG_16601.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3931247:3932674:-1 gene:DRNTG_16601 transcript:DRNTG_16601.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVGVSYPFPQPHSEAELMLGEWWNADVETIENQGNILGLPPNMSDAHTINGKPGPLFPCSDKHTYALEVEWGKTYLLRIINAALNDELFFAIAGHSMTVVEIDSVYCKPFTTEALLIAPGQTTNVLVQANQSPGRYFMATRPFMDAPVPVDNKTATAILQYKGVPTTVLPLLPKLPAPNDTTFADSYLDKLRSLNIAQFPANVPLTVDRHLFYTIGLGANPCTTCLNGTRLTASLNNITFMMPKIGLLQAHYFNTKGVFRLDFPDKPVTPFNYTGAPLTANLGTSMGTRLSKVAFNSTVELVLQDTNLLTVESHPFHLHGYNFFVVGTGIGNFDPVKDPAKYNLIDPPERNTVGVPTGGWTAIRFRADNPGKYLLIMYAW >DRNTG_16601.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3932792:3933231:-1 gene:DRNTG_16601 transcript:DRNTG_16601.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANCFPSSCSLVKIMLISIVAFVSVLIPTSDAAVKKYQFDVVVKNVSRLCHAKPIVTVNGMYPGPTVYAREGDRVIITVTNHAQYNMSIHW >DRNTG_34299.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002118.1:732:6508:1 gene:DRNTG_34299 transcript:DRNTG_34299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSKKQADKWPRESSSESEDMSFTIPEHRVHFERLSRVCFGQTRFLDTSILRDLPYESSHWSLSVTQFSVLLGLYEEAFTDTEVYSQLSTDYPGALTPQRAYKVLYGQGQSVNGHGDSIGVLSQQELLYLYSMMKCVPIHLGHIMAEYIRHQGHYARLGAIFSGPYITRLVLGMGLLDAIRGAEKTSISIPLSLETMGLIGMVRRVHTRVYALVLPAPEIAEGEGDHAQASQPAPQPQSALMETEAPSAAEDAPPYTTQQPVEESIEEYIARIEGQNYELDNVIKQFERSTIFGIVIFRQL >DRNTG_22710.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1447846:1452336:1 gene:DRNTG_22710 transcript:DRNTG_22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARGLISSPPALNPPRNHSRSPFSTSVPVLHDQTSRAVSSMPTMSVVRHFPASVLSHEQRDDYKTSYSVREEKPSQHEESGLPFSEEKEKYNFEQYLKHFERRLLHQPGFWYLCHLPHHSEKPVTPTSVGSVTSGTNGISSVVVSPEEQNNVDSVRLEDVLALANRAMIASRRAASLAEESVVLESEFNESDFIGLDVEDSIIGKEILIDEPTIRSKRRLERRLKKRKASKKPSDDVYKVASSMAMNISKKLHKNHNQNDPLGLFLGLPETKQLLTVKEEKVLFAQIQDLMRLNEAKERLCADFNREPTVAEWAQAVGMSCHDLQSSLLTGIQSRDRLVYANFLLVVHIARQYEGKGLSIQDLLQEGSMGLMKSLEKFKPKAGSRFPSYAYWWIRQSIRKAIFLKSRIIRLPENIYALLKTIRAARRSCIQEGRVPTKEELARRVGITVEKLQNTLALSRNPISIQERPWADQDVTLQEITADPVIETPELSVSKHMMRQHIRNLLSHLSPREREIIQWRFGINNSKPMTLSEIGNIYGLSKERVRQVETHAMNKLKSYLPTQGLQAYAELLT >DRNTG_22710.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1447846:1452336:1 gene:DRNTG_22710 transcript:DRNTG_22710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMKSLEKFKPKAGSRFPSYAYWWIRQSIRKAIFLKSRIIRLPENIYALLKTIRAARRSCIQEGRVPTKEELARRVGITVEKLQNTLALSRNPISIQERPWADQDVTLQEITADPVIETPELSVSKHMMRQHIRNLLSHLSPREREIIQWRFGINNSKPMTLSEIGNIYGLSKERVRQVETHAMNKLKSYLPTQGLQAYAELLT >DRNTG_22369.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18608707:18612060:-1 gene:DRNTG_22369 transcript:DRNTG_22369.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDKNSGRSKEMHTTKRRISAGTEGDDNDNLNVDTIPLEDGDIEQGKGKKGPAYSGGLVLEPKKGLHDKYVLLLDFNSLYPSIIQEFNICFTTVERSPDGVATNLPSLIPVGVLPELLKKLVERRKMVKSWLRNASGLKAQQLDIQQQALKLTANSMYGCLGFSNSRFYAKPLAELITLKGREILQSTVDVVQNHLNLEVIYGDTDSIMIYTGLDDINKAKTIAAKVIQEVNKKYGCLEIDLDGVYKRMLLLKKKKYAAVKVQFKNGVPYEVIERKGVDMVRRDWSDLSRDMGNFCLNQILSERSCEDVVESIHSSLMKVQEQMRNGEIELEKYVIWKTLTKPPEDYPDAKNQPHVQVALRLKQNGYPGGSAGDTVPYVICCQQ >DRNTG_22369.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18609874:18611090:-1 gene:DRNTG_22369 transcript:DRNTG_22369.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSWLRNASGLKAQQLDIQQQALKLTANSMYGCLGFSNSRFYAKPLAELITLKGREILQSTVDVVQNHLNLEVIYGDTDSIMIYTGLDDINKAKTIAAKVIQE >DRNTG_22369.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18608880:18612060:-1 gene:DRNTG_22369 transcript:DRNTG_22369.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDKNSGRSKEMHTTKRRISAGTEGDDNDNLNVDTIPLEDGDIEQGKGKKGPAYSGGLVLEPKKGLHDKYVLLLDFNSLYPSIIQEFNICFTTVERSPDGVATNLPSLIPVGVLPELLKKLVERRKMVKSWLRNASGLKAQQLDIQQQALKLTANSMYGCLGFSNSRFYAKPLAELITLKGREILQSTVDVVQNHLNLEVIYGDTDSIMIYTGLDDINKAKTIAAKVIQEVNKKYGCLEIDLDGVYKRMLLLKKKKYAAVKVQFKNGVPYEVIERKGVDMVRRDWSDLSRDMGNFCLNQILSERSCEDVVESIHSSLMKVQEQMRNGEIELEKYVIWKTLTKPPEDYPDAKNQPHVQV >DRNTG_22369.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18607049:18608013:-1 gene:DRNTG_22369 transcript:DRNTG_22369.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRCPRCAGDNCRLSPAMIANQVKRQADGFTSLYYKGLMICDDELCKYTTRGINLRVIGDAERGTVCPNYPRCNGHLIRQYTEADLYKQFSYFCYILDSKQFLEKLALKDRAPFERELAAIRPAVELASSVIHKIRDRCAYAWVSL >DRNTG_22369.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18607049:18612060:-1 gene:DRNTG_22369 transcript:DRNTG_22369.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDKNSGRSKEMHTTKRRISAGTEGDDNDNLNVDTIPLEDGDIEQGKGKKGPAYSGGLVLEPKKGLHDKYVLLLDFNSLYPSIIQEFNICFTTVERSPDGVATNLPSLIPVGVLPELLKKLVERRKMVKSWLRNASGLKAQQLDIQQQALKLTANSMYGCLGFSNSRFYAKPLAELITLKGREILQSTVDVVQNHLNLEVIYGDTDSIMIYTGLDDINKAKTIAAKVIQEVNKKYGCLEIDLDGVYKRMLLLKKKKYAAVKVQFKNGVPYEVIERKGVDMVRRDWSDLSRDMGNFCLNQILSERSCEDVVESIHSSLMKVQEQMRNGEIELEKYVIWKTLTKPPEDYPDAKNQPHVQVALRLKQNGYPGGSAGDTVPYVICCQQETNSGSSTGIAQKG >DRNTG_25936.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001340.1:85894:89526:-1 gene:DRNTG_25936 transcript:DRNTG_25936.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIKTPKTQRAARALEKRAPKLVENGKKTLILHGTKTSGVLNDVLTQIYHLKRGNAVKYTKKNKEIRPFESGGETSLEFYSLKSDCSLFVFGSHSKKRPNNLVLGRLYDHHVYDLVEVGVENLKPMESFMYDKKLAPKIGSKPFFAFIGEGFESVEELKHLKEVLLDLFRGEVVENLNLAGVDRLYVCTAISQTTVFFTHCALRLKRSGTTIPRMELVEIGPSMDFVVRRHRLPNDSLKKEAMKTASQQSKKVKNVSRDVVQGKIGKIYMPDQQVGGMALSNDIKGLKRQRREAKMKNSAKEDQAKKRKTDS >DRNTG_09337.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:696280:699677:-1 gene:DRNTG_09337 transcript:DRNTG_09337.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSHFMDKQIMGLSGSQSGGELLDLMSSPEDNQIDGGGVMKKEEIVPSYDFQPIRTVGSSPPLNSSGGTDRGRPSWGSADSKLASASLKYSAVLEPHELSKVSHEKEKGSYDVDIVAEIDRTVKKYADNLLHALEALSSRVSQLESRTRNLECSVDELKESIGNSNGSTDGKLRQLENILREVQNSVQVLRDKQEIAEAQLHLAKLQASKGESAEPRKSGHVESQQQQPALPQQPVQQPQQPPVVAPPPPLPAPPPLPAPVAPNAPPPPPQQQFPPSGQYVSQLPPSQVPLPSLPQDPYYPSPAQQTETTPQHYQVSSHQPQQVPPQQYQSPPQLSQYSQGAQPSQPVNPSLQLQPPLPHQPGDSAPYMPPPSQAYPASIRQPPPSLPQSGPPPSQQFYGPNPSMFEPPVSRPSSGQLPFPSGYGSSMGSGFSDSYPYSGSPSHYSSAMKPSPFSSSAPSSGGSNYSRLPTAQLLPQAQPAGSSSSGGSTGNRVPLDDVVDKVSSMGFSRDLVRATVRKLTENGQSVDLNVVLDKLMNDGEIQPQKGWFGR >DRNTG_28795.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28806281:28809674:-1 gene:DRNTG_28795 transcript:DRNTG_28795.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP23 [Source:Projected from Arabidopsis thaliana (AT1G26940) UniProtKB/Swiss-Prot;Acc:Q8LDR3] MAARVPIRRLITGALFLLSVSVTLLLLSSSSDQALSSSENKLVSSSDPELGSARVVFQTKYGDIEFGFYPHVAPKTVEHIFKLVRLGCYNTNHFFRVDKGFVAQVADVVGGRTAPMNEEQRKEAEKTVVGEFSKVKHVRGILSMGRYADPDSAASSFSILLGDAPHLDGQYAIFGKVTKGDETLRKLEELPTRQEGIFVMPIERITIQSTYFYDTENRKAVI >DRNTG_03847.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4379019:4384738:-1 gene:DRNTG_03847 transcript:DRNTG_03847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECVPTSSYSSWHIHLEEHKGSHTRALLVAALFTAGRENRISEDPHGRVEIIHARVEIPNGRVKHPRLCSRPIPSLFKAESAPILVFFSPSFPQLERGLRLGFREKSSVELADFSKSSRENTRPCGISARPWNCIASSSREGTGACGCPVNDHATVPRPWRSPRGRAAAPVGRPHERGYFPHARARAVRVGVFSRERIGTCVHPCGSHVMKRTGVDMAPRVKKSEVKHLRVTPPEPLHLDFSNPEHQTRFERLSALSFCQTCFTDLQVLRDILRGDELANEIDEMLAVGSWRRLLTISEPAYRTLTLEVLASFEFWLMHGRFDTMDAIQFRPFGHPFSMSVTEFSIRMGLYDIAYTGTVEYGRLPADFPVSVTPHLAFRISCGHGEYKPGLSKATSLSRSSYRYLPTVISRSVSGRADNTSALTKQDLLFLYSMARNVPIHLGCILADILRLILGMGLGNALCGAERIVAPTPLAFDMIRMMGLVWRCGPGVYILTTATLETSRSEGVTAEGSPQIPRPPAAQDPRAYDRIERLDSVVREIQSEILELHAI >DRNTG_12947.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14888148:14891134:1 gene:DRNTG_12947 transcript:DRNTG_12947.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSWREAGKKIPKIGLNRGLNRTGIGHPHAPIDFPHWPVEFPHGNLLHCLAETLPIPYFSSRQHKQAHVYAIDRNSSSIKSLVGKDFSINAQVGIRKCDCLCAPPTHCNGLNTWSHPTPLHSTTYLANLSRALMWEIENRLLTLLRRAKVRDEVLDLQDILECFGFDIICKLALNEDPICLSIEKEEEEEKKKIMSKKAKKAFGDTQRLTLVRAMDWYPYTSQTMKMLDIGDVLIGYALTGREMVSSALSWFFWLLSSAPEVEEKTLKEVEEVELRKGMVCVLKYICYGEVEGVVGEDCDVFRPKRWLEDGVFRPKSPFKYPIFHAGPRTCLRKDVACIQMKVVATIVLEGFKVEALVEKGRVPEHEFTLTLRMIDGLRVQVRRRE >DRNTG_04708.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3946273:3947430:-1 gene:DRNTG_04708 transcript:DRNTG_04708.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEREIQQQEVSEHRPTFPALEFLKITNLPKLVSISNFELDFPRLSCLIVEDCAEIETLVYMEEEREIQQEEVSEHRPTFPALEFLKITKLPKLVSISNFAWEFPQLKDLTVRQCLNLKKLPFKSGINNNNQRIIYIDCEREWWESLEWDDATIPSHLQPNFSRATMYKGL >DRNTG_04262.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9419521:9420545:-1 gene:DRNTG_04262 transcript:DRNTG_04262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGCKSVATPLNSNEKLKAEDGSEAMDARVFRCLIGKLMYVTHTQPDISYAVGILSRFINNPTKHHFGAGKHVLRYLAGSLQLGLLYTRTMGCTLEGYSNSDWGGSIEDRKSTSGIVFTIGSAAISWNSKKQDITALSTTEAKYIAAAAAACQCVWLRRLLADCGQTQKNASIIWCDN >DRNTG_14319.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14651883:14653343:1 gene:DRNTG_14319 transcript:DRNTG_14319.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLEDKVQPTPGIMKKMLLKMKRARRHHKKCPKVSGDVEERMEAFHVTQFSPDMTLSGHAHVQTVSSPNPLFLTPIFRVSFPSLFGDLLLHREDIDESEDEAYPHLLRHQGTRQRHKGSSARTQSSILDPNLEEKGVISSFFRSSVISMLYPPIRG >DRNTG_29022.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1162501:1164474:1 gene:DRNTG_29022 transcript:DRNTG_29022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSFSAGRAPNNVSDALSLHPSDHPGLLLVSKVFNGNGYGSWKRAMELALTAKKKLGFVTGSCKKPSSDSEDLENWEVCNSMVISWILNGLSQDISESVVYMKVASDVWQELEERFGQANGPQFFQIQQELSQIRQGSSSITAYYTRIKKLWDEIQSLSNLPPCTCGLNQEHQKLEEKQRLMQLLMGLNESYLAIRGHILLMKPLPTVREVYALLIQEERQREINSSTQFTEAVSLNASSSKNSSNSSNFSNRNSITLTRNKPEYKRSVCDYCKRVGHTKDKCFKLHGFPTNYKNNKEKRIAAPVQGNNIDFLTTTQNAHIPELTTAQLNKLMDLLNDTSKSGIQQSGDEFTNVSANANLVSSSTTMAGPFNEEATGSW >DRNTG_18087.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:297372:301446:1 gene:DRNTG_18087 transcript:DRNTG_18087.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRVGCGGDGCDSREPWPLHHVRHRGVFCRLCTSCVLKYHPGSFCTGCFEVLDVSPPPERPLVHCSKCPSASHVSCLRDPALASQFVCSICDNPGGFSYFPVGSASGEDGRRLVDLAAATVLLAAARVAAASMTRAAVAARADAERKAREAALARKRAREMLERVFSLSKKARLEKKVETGVGMVSSVPEAVEQKKKMPKPSEQRRGHSREAEKWRRFQEPIPMGHRPVVGNGDSDKLKSTNVSMSVSKNDTVNMKDKVGGLPNPANHHAKVEEKGSVLGSQFVSVKEEKGLMKDENADTRIPQSTQDVQVPRNNTRAILTGSANTASLHS >DRNTG_18087.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:297372:301446:1 gene:DRNTG_18087 transcript:DRNTG_18087.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRVGCGGDGCDSREPWPLHHVRHRGVFCRLCTSCVLKYHPGSFCTGCFEVLDVSPPPERPLVHCSKCPSASHVSCLRDPALASQFVCSICDNPGGFSYFPVGSASGEDGRRLVDLAAATVLLAAARVAAASMTRAAVAARADAERKAREAALARKRAREMLERVFSLSKKARLEKKVETGVGMVSSVPEAVEQKKKMPKPSEQRRGHSREAEKWRRFQEPIPMGHRPVVGNGDSDKLKSTNVSMSVSKNDTVNMKDKVGGLPNPANHHAKVEEKGSVLGSQFVSVKEEKGLMKDENADTRIPQSTQDVQVPRNNTRAILTGSANTASLHS >DRNTG_18087.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:297372:301446:1 gene:DRNTG_18087 transcript:DRNTG_18087.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRVGCGGDGCDSREPWPLHHVRHRGVFCRLCTSCVLKYHPGSFCTGCFEVLDVSPPPERPLVHCSKCPSASHVSCLRDPALASQFVCSICDNPGGFSYFPVGSASGEDGRRLVDLAAATVLLAAARVAAASMTRAAVAARADAERKAREAALARKRAREMLERVFSLSKKARLEKKVETGVGMVSSVPEAVEQKKKMPKPSEQRRGHSREAEKWRRFQEPIPMGHRPVVGNGDSDKLKSTNVSMSVSKNDTVNMKDKVGGLPNPANHHAKVEEKGSVLGSQFVSVKEEKGLMKDENADTRIPQSTQDVQVPRNNTRAILTGSANTASLHS >DRNTG_18087.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:297372:301446:1 gene:DRNTG_18087 transcript:DRNTG_18087.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRVGCGGDGCDSREPWPLHHVRHRGVFCRLCTSCVLKYHPGSFCTGCFEVLDVSPPPERPLVHCSKCPSASHVSCLRDPALASQFVCSICDNPGGFSYFPVGSASGEDGRRLVDLAAATVLLAAARVAAASMTRAAVAARADAERKAREAALARKRAREMLERVFSLSKKARLEKKVETGVGMVSSVPEAVEQKKKMPKPSEQRRGHSREAEKWRRFQEPIPMGHRPVVGNGDSDKLKSTNVSMSVSKNDTVNMKDKVGGLPNPANHHAKVEEKGSVLGSQFVSVKEEKGLMKDENADTRIPQSTQDVQVPRNNTRAILTGSANTASLHS >DRNTG_18087.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:297372:301446:1 gene:DRNTG_18087 transcript:DRNTG_18087.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRVGCGGDGCDSREPWPLHHVRHRGVFCRLCTSCVLKYHPGSFCTGCFEVLDVSPPPERPLVHCSKCPSASHVSCLRDPALASQFVCSICDNPGGFSYFPVGSASGEDGRRLVDLAAATVLLAAARVAAASMTRAAVAARADAERKAREAALARKRAREMLERVFSLSKKARLEKKVETGVGMVSSVPEAVEQKKKMPKPSEQRRGHSREAEKWRRFQEPIPMGHRPVVGNGDSDKLKSTNVSMSVSKNDTVNMKDKVGGLPNPANHHAKVEEKGSVLGSQFVSVKEEKGLMKDENADTRIPQSTQDVQVPRNNTRAILTGSANTASLHS >DRNTG_01656.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:24008680:24012187:1 gene:DRNTG_01656 transcript:DRNTG_01656.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIIVGIMVNTISLLALPSVVYLLWCLVSKAWVWAWSTSRTLPTEAQRKLPLPPGSMGYPYIGETFQLYSKNPNIFFALKQKRYGAIFKTHILGCPCVMLSSPEAAKFVLVTKAQLFKPTFPASKERMLGRQAIFFQQGDYHARLRRLVLRAFMPDAIRSTVADIETVALTTLSSWDGRMVNTFKEMKTYAFNVALLSIFGKDEIGHIEELKQCYYTLEKGYNSMPINLPGTLFHKAMKARKQLGEIVAKILASRRESKVQANDLLASFMEDREALTDAQIADNIIGVIFAARDTTASVLTWIVKYLGEYPGVLQAVREEQEEIMRIKEMGQDKNDVDKCLTWADTKKMPMTSRVIQETMRVASILSFTFREAVEDVEFEGYLIPKGWKVLPLFRNIHHSPDNFPDPEKFDPSRFEKSPKPNTYMPFGNGTHSCPGNELAKLEMLVLLHHLTTRYRWSLSGTESGIQFGPFALPLNGLPIRFFRKTSRNNG >DRNTG_07189.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000323.1:91030:91979:1 gene:DRNTG_07189 transcript:DRNTG_07189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINKLDPSKCTSKNQKIHQITQMDEGNVK >DRNTG_28621.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28418174:28422669:-1 gene:DRNTG_28621 transcript:DRNTG_28621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGHTQKGVCRAGLLAVQDKGFGDVDKDALFESNQLNYPDLTRIEKRLKCSTVDREASRPDNSNGMKAFSDVSNIMRNQKFSYDIEFLSMFLDEDRNQYCSPWSPSNCIGQREMRQWLHKLWVLRPEMRQLIWKGACLALNADKWLTCVSQICSSHKLPFPSVDEKLPVGTGSNPVFLISDFVIKIYVEGGLGNSMHGLGTELEFYDLLQKTESPLIAHVPDVIASGIIVYENGSYRVFPWSGKEIPDVIANCNLVEGDGTEDGFFFGVWNKKRFELKNQGDIPSFPSIWPFLITRRCTGNIFANLRDILTRDDVLQLASFLGEKLQTLHNLPLPYYSQHDHCLEDKSVTLRKNDLKKTCLEVNGNHSAIPPEWELVLASLEKKKEGISKRLMQWGGPIPISLMEKVEEYIPHDLAPLLGIFKDNEGSYKLSRYPTWIHSDIMDDNVYMEPSSSAHSFDKTTSHASLTPSNVLEFCNGNEEPKRWHPTYILDYSDLSIGDPLYDLIPIYVDIFRGDRFLLKQFLKSYKLSFTITAADGLLSGNAAEDWKKFARMSYRAMCFCILHDENVLGSIFSLWEELKAAKSWEEVEEAVWGELNNY >DRNTG_28947.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2167512:2168449:-1 gene:DRNTG_28947 transcript:DRNTG_28947.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGHTKAKNGLYHLDTPGSSLSALATNSINEL >DRNTG_28266.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2821193:2829940:1 gene:DRNTG_28266 transcript:DRNTG_28266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGKRSASSSSTATAAASSSSSQPMKKVKSQPPQTAPVEREKNGLHPATIQEDEDAMLVDQDELKDATSAANATTGVAANLSRKKATPPQPSAKKQLVIKLVKGKPTLPANFEENTWATLKSAITSIFLKRPDPCDSEKLYQAVSNLCLHKMGGNLYQRIQKECEVHISASLQSLVGQSPDMVVFLSLVEKCWQDFCDQMLMIRGIALYLDRTYVKQNPNVRSLWDMGLQLFRKHLSLSQEVEHKTVTGLLGLIEKERLGEAIDRTLLNHILKMFTALGIYVESFEKPFLECTSEFYASEGLKYMQQSDVPDYLKHVELRLHEEYERCLIYLDTNTRKPLVATAEKQLLERHTSSILDKGFTMLMEANRIEDLQRMYTLFLRVNALELLRQALNSFIRGTGQSLVMDEEKDKDLVSSLLEFKASLDKIWEESFSRNEAFSNTIKDAFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELESTLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINDSFKQSSQARTKLPTGIEMSVHVLTTGYWPTYPPMDVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKAEFPKGKKELAVSLFQTVVLMLFNDTQKLSFQDIKDSTGIDDKELRRTLQSLACGKVRVLQKIPKGREVEDGDSFVFNEDFSAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPADLKKRIESLIDREYLERDKNNPQIYNYLA >DRNTG_22720.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31530345:31532662:1 gene:DRNTG_22720 transcript:DRNTG_22720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYLAGGQGQVVGGSVMGELVASGPVLVIVATFSNATYERLPLEDDAQGGEQQVSADGMGGSGDGGGGGGGGSGEMGADPSSMPMYNLLPNLMPNGQLPPEMFGAWAPRPQSYQ >DRNTG_22720.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31530345:31532026:1 gene:DRNTG_22720 transcript:DRNTG_22720.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYLAGGQGQVVGGSVMGELVASGPVLVIVATFSNATYERLPLEDDAQGGEQQVSADGMGGSGDGGGGGGGGSGEMGADPSSMPMYNLLPNLMPNGQLPPEMFGAWAPRPQSYQ >DRNTG_22720.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31530345:31531991:1 gene:DRNTG_22720 transcript:DRNTG_22720.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYLAGGQGQVVGGSVMGELVASGPVLVIVATFSNATYERLPLEDDAQGGEQQVSADGMGGSGDGGGGGGGGSGEMGADPSSMPMYNLLPNLMPNGQLPPEMFGAWAPRPQSYQ >DRNTG_22720.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31530255:31532026:1 gene:DRNTG_22720 transcript:DRNTG_22720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYLAGGQGQVVGGSVMGELVASGPVLVIVATFSNATYERLPLEDDAQGGEQQVSADGMGGSGDGGGGGGGGSGEMGADPSSMPMYNLLPNLMPNGQLPPEMFGAWAPRPQSYQ >DRNTG_22720.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31529535:31531991:1 gene:DRNTG_22720 transcript:DRNTG_22720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYLAGGQGQVVGGSVMGELVASGPVLVIVATFSNATYERLPLEDDAQGGEQQVSADGMGGSGDGGGGGGGGSGEMGADPSSMPMYNLLPNLMPNGQLPPEMFGAWAPRPQSYQ >DRNTG_22720.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31530255:31531991:1 gene:DRNTG_22720 transcript:DRNTG_22720.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYLAGGQGQVVGGSVMGELVASGPVLVIVATFSNATYERLPLEDDAQGGEQQVSADGMGGSGDGGGGGGGGSGEMGADPSSMPMYNLLPNLMPNGQLPPEMFGAWAPRPQSYQ >DRNTG_22720.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31529535:31532026:1 gene:DRNTG_22720 transcript:DRNTG_22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYLAGGQGQVVGGSVMGELVASGPVLVIVATFSNATYERLPLEDDAQGGEQQVSADGMGGSGDGGGGGGGGSGEMGADPSSMPMYNLLPNLMPNGQLPPEMFGAWAPRPQSYQ >DRNTG_27534.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21051667:21053722:1 gene:DRNTG_27534 transcript:DRNTG_27534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNDAAADRIKAAALSATKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQERKEAKRQMYLMSTEKAVKLGERKDLKVSGGSTTASYAQCQKCFQAGHWTYECKNERVYMPRPSRSQLLKNPKLKSTIQVSFEGNPDYEKEQTREKEDKKVRSKNEDKGNKSRRRSKRKHRSSDTESEEDSSEASVFETDSKSSVTGSDDSSAESSSSYSSSDSEERRRRRRKHKKRRHHRRYSSSSDSSESESASDSDTDDKNSRRKSKSKSKRHNRKH >DRNTG_27534.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21051667:21053722:1 gene:DRNTG_27534 transcript:DRNTG_27534.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNDAAADRIKAAALSATKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQERKEAKRQMYLMSTEKAVKLGERKDLKVSGGSTTASYAQCQKCFQAGHWTYECKNERVYMPRPSRSQLLKNPKLKSTIQVSFEGNPDYEKEQTREKEDKKVRSKNEDKGNKSRRRSKRKHRSSDTESEEDSSEASVFETDSKSSVTGSDDSSAESSSSYSSSDSEERRRRRRKHKKRRHHRRYSSSSDSSESESASDSDTDDKNSRRKSKSKSKRHNRKH >DRNTG_07138.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9241997:9242962:-1 gene:DRNTG_07138 transcript:DRNTG_07138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVPQAAARVQARCAGKKTNTGYVKGCSVALNIWFYELTGTRKKFPELVVANVDEEIFVQAICRGIAIAPEPLALEGRAQYNALSLQTNEAPDTDVTLKTPDAAEVAPAIAEDMSGMA >DRNTG_06749.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1451607:1453843:-1 gene:DRNTG_06749 transcript:DRNTG_06749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCSGKSKHLSTPHECLTTTVNQRRSVKDRQRRGETAKVATRLAEGEEVEDPQLVMISLMTHPIEPKQIERREAEEERW >DRNTG_06749.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1451607:1453843:-1 gene:DRNTG_06749 transcript:DRNTG_06749.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCSGKSKHLSTPHECLTTTVNQRRSVKDRQRRGETAKVATRLAEGEEVEDPQLVMISLMTHPIEPKQIERREAEEERW >DRNTG_05560.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5050546:5054227:1 gene:DRNTG_05560 transcript:DRNTG_05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELAILCDADIGLIVFSSTGRLYDYSSTNMKSIIDRYNKAKEVHNQELSLSSEIKFWQKEATSLRQQLHNLQETHRQLLGEDLLGLTVKDLQSIENQLEISLRNVRTKKEQVLTEEINELNRKGKLIQQENMELYKKVQFVCQESMGLQNKVYAVWGSNVGVITRGSMIPCAFSITEDAHVPVHLELSQPQPQPQKHGQEQTDISQMTAPKLRLQLQCDGALPL >DRNTG_10028.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2942042:2946363:-1 gene:DRNTG_10028 transcript:DRNTG_10028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTVVIVGAGSAGLATAACLTTHSIPYILLERDHCLASLWRNRAYDRVTLHLAKQYCQLPHAPYPASTPTFMPKRHFIEYLEGYAAKFRIQPRFGIEVESAWFEEGEGKWRVMARKGKEGEVVVELKARFMVVASGENDEAVVPEIEGLDGFVGDLVHSNRYRSGSVYKGKSVLVVGAGNSGMEIAFDLYSFGAFPSIVVRSPAK >DRNTG_11336.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23665397:23667704:1 gene:DRNTG_11336 transcript:DRNTG_11336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKAIQLKEFILTLSMSKNPKTQTFSSMKSWKNGTNPFCPTLLWTLGEPRLVYSYHDTISGFAARLTKEEVKAMESMEGFIQAQPDEVLPLLTTYTPDFVGLSQRNGTWYDDGYGKGMVIGILDTGIDPTHPSFSDEGMPMPPVKWKGTCDSRVATCNNKIIGAAEFLRGKPVPTDIDDNGHGTHVASIAAGNFVDNVDVLGNAKGTADGMAPRAHLAIYKVCTKDGCADSDILAGIDQAISDRVDVLSVSIGIGSRPFYQDSIAIGSFAALRRKILTCAAAGNAGPSENSIANDAPWILTVGATTTDRRIKATLKLGNETELIEGETAYQGPITANLTMLPMAFPGYQGGNNNCLNETFNGINVTGMLVLCLSGGDLSHIEKGMNVKKAGGAAMVVLNQEEEGLTTLSEAHVLPAIHVNYSNALKIISFFNSSLAKNSTAMGSIFYNGTQFGALSAPAVASFSSRGPSYNNGGILKPDILGPGVNILGAWPSKVGPESLGSSMTFNFQSGTSMAAAHVAGIAALIKSSIRRHRRYPSSIKSAIITSAKSLDREWKPITDEHNGNPSKISAMGAGQVNPSGASDPGLVYHLHTHYYVRYLCSLGYTDEQIRVITQYRVQCLRMRDFGPERLNYPSILVTLGIPSRKVIRRVVENVGEPKSIYYPQFELPEGVSVEVYPSRLEFSSQYEKQWYNVVLSISNSTILGRGDFAEGQLLWVSPRHVVRSPITIIFN >DRNTG_20128.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:243354:250091:-1 gene:DRNTG_20128 transcript:DRNTG_20128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKVARSASSDDPEELARVPLQAVLLADSFATKFRPITLERPKVLLPLVNVPMIEYTLAWLESVGVEEVFVFCCAHANQVKDYLNKSQWIKPPSSFSFSVSTIESHDAISAGDALRVIYNRSVIRGDFILVSGDTVSNMDLTQALQEHKDRRKKDPLAVMTMVIKHAKPSSTTLQTRLGTDEIIIGIHPETKELLYYEDKADHLQRVISLDKTLLMENPALRLHNDKQDCFIDICSPEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIFTYETHASYAARVENFRSYDTISKDIIQRWTYPFVPDVQYLGIGYQAKLERQGIYRAPDVILSRSAHVGAFSFVGNGTTIGDHSHISTSVIGQRCVIGKNVVIESSYIWDNVTIEDGCKLSHALVSDGVQLKAGVILESGVILSFKVEVGQKLVIPAYSKVSVLPQPCKQDSDEELEYADATGGVIESPSISSVANARNQDLLSDMLDVPGSDANKVGISGVGYIWSVSEAEHDEEWRFSIAPIPKEKLEKFSQSAHDDNDEVNQDESSIPASGELIPDSDGTDFDGGVGEDYHDSADFENEVEATFRRALAGVDVQNVILEISSLRLSYNMSHADCAGALFYAIMKSASDISYSNNTELSANTKMEINKWKNLLKNYIKSQDEEIEVIMKFEEICLETIREFRLLFTDILHHLYEEDIITEDAILSWENEKQGADESDKIFVKQAEHFIKWLKEASEEDEDEDEDD >DRNTG_24783.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19969649:19971314:-1 gene:DRNTG_24783 transcript:DRNTG_24783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELMGNLSYTDEMLLNMSVNANINLIPKSGNETKSLPEFCKRSVTTMWHYHGGCIVGKVVDRDYKVIGVRNLRVGDSSLFPESPGTNPQATVMMLGRMMGMMMIRERLRAG >DRNTG_22797.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3854092:3856652:-1 gene:DRNTG_22797 transcript:DRNTG_22797.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLSVSHGLVLLFVLLICLSTAAVAGRPVTRQRLDVRRHLKRLNKPALNSIKSPDGDIIDCVHISQQLAFDHPFLQNHTIQMRPSFHPEGLYDENKVAESDSNKEQKITQLWHINGKCPENTIPIRRTSKDDILRASSMKRFGKKKHRTIPHPMSADPDLINESGHQHAIAYVEGDKYYGAKATINVWDPKIQQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINNEIAMGASIYPISGYSGSQYDISILVWKDPKEGNWWMQFGNDYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGQHTSTEMGSGHFPEEGFGKASYFRNIQIVDESNNLKSPKGVGTFTEQSNCYDVQNGNNGDWGSYFYYGGPGKNGNCP >DRNTG_31213.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21662412:21665784:1 gene:DRNTG_31213 transcript:DRNTG_31213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMTGVGNVCVLPIVGLGGTGKTALAQIVFNDKQIEKHFHYRTWVYDSQEFDRVTITREVLESVSNNEFSCSTNLDSMERKLKKALAGKRFLLVLDDVWTHDWKCLPSWKGYSGWKGFTGFLESAGAECVKIVVTTRNSSVLEGRLTPDIFTLKGLKKKEYWSFFVKCVFGEEEDPAKCPVTLQSIGERIVQKLKGSPLAAKTIGRLLWKDTREEQWMRVLESNLWELGTNTDDIMPALALSYDHLPDHLQRCFVFCSIFPTNYMFTMQDVVYMWIAQGFVSSETPEDTGREYFDELLSRHFFQPCQGSPFFKFHSLAHDLARSVCAGECCSYDMRDISHTVRHLWAPGLNSIQLEPQQKSLRSFVVGKFESNFHLFNRNELNGILHHNSAAFKRIRVLVLINCNVVSTFPDVFSDMKHLRYLDLYRTSIISAPKSLFVLYHLKLLRLPNIDVLPDQFHKLINLRFFRVNTETVTKDTVHAYEIEFPVKKESGYKIAQLRDMNDLRGTLVIRKLENIDNKEDARNANLHKKCNIECLRLIWSNSGDGCESSIAEEVLESLRPHSQLKKLHINGYMGVNSPSWFMTLQLERLQTIEFYACKNWATLPPLGQLQFLTFLSLNAIDDITIEGDDQVVSPIFPFLKELELKEVSVTFRGMPLSAGTGGCSYFPCLVHLRIVCCDRVSGLPWPVLSALQVLQIEDSEGLGDQLPGCFKYLTSLKHIDIKGQTCNALQW >DRNTG_31213.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21664139:21665829:1 gene:DRNTG_31213 transcript:DRNTG_31213.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLRYLDLYRTSIISAPKSLFVLYHLKLLRLPNIDVLPDQFHKLINLRFFRVNTETVTKDTVHAYEIEFPVKKESGYKIAQLRDMNDLRGTLVIRKLENIDNKEDARNANLHKKCNIECLRLIWSNSGDGCESSIAEEVLESLRPHSQLKKLHINGYMGVNSPSWFMTLQLERLQTIEFYACKNWATLPPLGQLQFLTFLSLNAIDDITIEGDDQVVSPIFPFLKELELKEVSVTFRGMPLSAGTGGCSYFPCLVHLRIVCCDRVSGLPWPVLSALQVLQIEDSEGLGDQLPGCFKYLTSLKHIDIKGQTCNALQW >DRNTG_32119.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1364718:1366237:1 gene:DRNTG_32119 transcript:DRNTG_32119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFESKPAASRGFLSGTSFDLLRNRNSVTGSLGSQTSVPPLGCRPLHASSILSESAKGITSNRPLSPNLILKKPQLSATYSISHRIFGAALGTAILITPIIMKFSVVYDV >DRNTG_08593.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9528318:9529020:-1 gene:DRNTG_08593 transcript:DRNTG_08593.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVNLVNMTKVANITPHEAAISTPRDNQGTPKAILRARPKGRSASPRSASPKGNRRANRKGNTAQAIHGNTLRASNPLATPQGTP >DRNTG_08593.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9528318:9530402:-1 gene:DRNTG_08593 transcript:DRNTG_08593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVNLVNMTKVANITPHEAAISTPRDNQGTPKAILRARPKGRSASPRSASPKGNRRANRKGNTAQAIHGNTLRASNPLATPQGTP >DRNTG_31242.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001676.1:82668:83656:-1 gene:DRNTG_31242 transcript:DRNTG_31242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNRAKRPFIGLRPWAAGISTLAREQYFAIVLFTKRGQNTLLLRPHVQAHVHVPRLLQRFPLQVYGTPHRIDVDKSSPNNYLLKESGVEGHRTTPKLLPKPFQTLAVSTSKDVEMWA >DRNTG_22761.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4070339:4072431:1 gene:DRNTG_22761 transcript:DRNTG_22761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDISPSNGQVDARRKSCWYEEEIEQNLRWCFALNSILHTGATQYQDIALLDTKPFGKALVIDGKLQSAEIDEFIYHESLVHPALLHHPNPESIFIMGGGEGSTAREILRHRTVERVVMCDIDEEVVEFCKSYLVANRDAFFDSRLELVINDARAELEKSEGRYDVIIGDLADPIEGGPCYQLYTKSFYEDTLKPRLSDDGIFVTQAGPAGVFSHTEVFSCIYNTLKQVFKFVVPYSAHIPSYADTWGWIMASDSPLTQDAEELDLRIRQRIKGENRYLDGKTFASASMLSKAVRISLANETQVYTEGTAKFIYGHGAGHKFQSST >DRNTG_30774.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21922823:21931139:-1 gene:DRNTG_30774 transcript:DRNTG_30774.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMYGEQHQAQQHQPQQHQPQQQQQQQQPQQHQQGVDFHRPGLVRQPSASSTNLPQDHPHHHPHPNPNPNPNPHPHPQAHPHPHQQTHPYPHPHPHPHPHPHPPPYDSYGDSFAAKRIRKLVQRRAVDYTCTAVRYLQARTWQRDTGDRTMLQPTLAAVLDMLPTVAYADNPSTSYAAKFVHASTNKNRCSINRVLWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAIRSMVWSYNESWMVTGDDGGAIKYWQNNMNNVKVNKSAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEEKSLTGK >DRNTG_30774.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21922823:21931139:-1 gene:DRNTG_30774 transcript:DRNTG_30774.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMYGEQHQAQQHQPQQHQPQQQQQQQQPQQHQQGVDFHRPGLVRQPSASSTNLPQDHPHHHPHPNPNPNPNPHPHPQAHPHPHQQTHPYPHPHPHPHPHPHPPPYDSYGDSFAAKRIRKLVQRRAVDYTCTAVRYLQARTWQRDTGDRTMLQPTLAAVLDMLPTVAYADNPSTSYAAKFVHASTNKNRCSINRVLWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAIRSMVWSYNESWMVTGDDGGAIKYWQNNMNNVKVNKSAHKESVRDLRYVILYFT >DRNTG_30774.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21922823:21931139:-1 gene:DRNTG_30774 transcript:DRNTG_30774.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYGEQHQAQQHQPQQHQPQQQQQQQQPQQHQQGVDFHRPGLVRQPSASSTNLPQDHPHHHPHPNPNPNPNPHPHPQAHPHPHQQTHPYPHPHPHPHPHPHPPPYDSYGDSFAAKRIRKLVQRRAVDYTCTAVRYLQARTWQRDTGDRTMLQPTLAAVLDMLPTVAYADNPSTSYAAKFVHASTNKNRCSINRVLWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAIRSMVWSYNESWMVTGDDGGAIKYWQNNMNNVKVNKSAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEEKSLTGNCCLFLRHIIY >DRNTG_13104.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9983405:9991525:1 gene:DRNTG_13104 transcript:DRNTG_13104.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEILDACSDDESDCVVICSNGDHVDVKPLEDIGTDEVVKDSPDSTVLDKSMESKEFEAKESVVESQDEAVPFNQDGIFKNGNGLPAAVADAPMMQAENCGAPKTTYQKKSGSPIKCGAKSSGNGTLRSNCTVPQPFALATDKRASGGNRSLADVAGNGVKPSNENNKLLSTTANNNHKSLARTSRKSVQLDNTMHRDNDDACSVASSTTASIRTMKVGTTVASAPTFRVSERAEKRKEFYTKLEEKHQALEAEKNQCEARTKEERDAAIKQLRKSLTFKANPMPSFYHEGPPPKAELKKVPPTRAKSPKLGRRKSCSDAAKPSPAADNHLAACNRLNRFSLSNIKEDSNKLNHTGRKTNNAATKEKPGPKSARENSKLLAQKVADQIATSDDAVQS >DRNTG_26004.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23089491:23090962:-1 gene:DRNTG_26004 transcript:DRNTG_26004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPFFGHPNPPAPCPCPLRQAWGSLDALVGRLRAAYEENGGKPENNPFGARAVRLYLREVRETQSKARGVSYEKKKRKKPPPTLQASTSHQLPPSSAS >DRNTG_09367.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15064544:15067180:-1 gene:DRNTG_09367 transcript:DRNTG_09367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSCKCGGEFCSSHRLPEAHHCSIDFKGSGKNSIATNNPVEIGTEGQEPSVVTDYILKILGLEVCVDTIERHLWRTKEECHYSMLLFNSNSNTIGEMLVGPARVLLMDEISTGLDSSTTFQIVDSLRHSIHILGGTTVISLLQPAPETYDLFDDIILLPDGQVVYQGPREHVLEFFESMGFRCPERKGVADFLQGVTSRKDQQQYWARHEEPYRYVPVREFVEAFHSFHIGASMGHELSVPYDKIKSHPAALATSKFGVGKMELLKACIWREQLLMKRNSFVYIFKAVQLCVMAFITMTLFFSTNMHHDTVTDGGIYMGALFFGILSIMFNGFSELAMTIMKLPVFFKQRDLLFFPA >DRNTG_24594.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28739578:28742727:1 gene:DRNTG_24594 transcript:DRNTG_24594.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-aspartate oxidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14760) UniProtKB/Swiss-Prot;Acc:Q94AY1] MYHFFYETRVCMSSKFSCIKSHCFQVIFNRNHASRRNLKISCFQDSATKYFDFVVIGSGVAGLRYALEVSKRGSVAVITKAEPHESNTNYAQGGVSAVLCPSDSVENHMRDTIVAGVHLCDEETVRVVCTEGPDRIKELIAIGALFDHGEDGNLHLAREGGHSHRRIVHAADMTGREIERALLKAVDDDPNISIFEHHFAIDLLTSQDESDIYCHGVDTLNTETQQVVRFISKVTLLASGGAGHIYPTTTNPLVATGDGVAMAHRAQAVISNMEFVQFHPTALADEGLPIKPNKRRENAFLITEAVRGDGGILYNQSMQRFMPLYDEREELAPRDVVARSIDDQLKKRGKKYVLLDISHKPRQEILAHFPNIAAECLQYGLDITSMPIPVVPAAHYMCGGVQAGLHGETNIKGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVRPSIDHLECLSLNIQDSNMWARPVLPLSLKSNTVRDIMLQTRVIRTELQSIMWESVGIVRSTSRLKTAEWKIGELEIEWEKFLFRQGWELTMVGLEACEMRNLFCCAKLVVNSALARRESRGLHFTEDFPYLEESKRKPTIILPSSSLKLTWSSRQLHKEPH >DRNTG_24594.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28737127:28742727:1 gene:DRNTG_24594 transcript:DRNTG_24594.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-aspartate oxidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14760) UniProtKB/Swiss-Prot;Acc:Q94AY1] MRGCRPCRTPARHWSVSGFAVSVLRRVCMSSKFSCIKSHCFQVIFNRNHASRRNLKISCFQDSATKYFDFVVIGSGVAGLRYALEVSKRGSVAVITKAEPHESNTNYAQGGVSAVLCPSDSVENHMRDTIVAGVHLCDEETVRVVCTEGPDRIKELIAIGALFDHGEDGNLHLAREGGHSHRRIVHAADMTGREIERALLKAVDDDPNISIFEHHFAIDLLTSQDESDIYCHGVDTLNTETQQVVRFISKVTLLASGGAGHIYPTTTNPLVATGDGVAMAHRAQAVISNMEFVQFHPTALADEGLPIKPNKRRENAFLITEAVRGDGGILYNQSMQRFMPLYDEREELAPRDVVARSIDDQLKKRGKKYVLLDISHKPRQEILAHFPNIAAECLQYGLDITSMPIPVVPAAHYMCGGVQAGLHGETNIKGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVRPSIDHLECLSLNIQDSNMWARPVLPLSLKSNTVRDIMLQTRVIRTELQSIMWESVGIVRSTSRLKTAEWKIGELEIEWEKFLFRQGWELTMVGLEACEMRNLFCCAKLVVNSALARRESRGLHFTEDFPYLEESKRKPTIILPSSSLKLTWSSRQLHKEPH >DRNTG_24594.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28737127:28742727:1 gene:DRNTG_24594 transcript:DRNTG_24594.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-aspartate oxidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14760) UniProtKB/Swiss-Prot;Acc:Q94AY1] MSRGVQLYERVPAVSDTGTTLVRQRFCRVRASQVQILNPVNTWVCMSSKFSCIKSHCFQVIFNRNHASRRNLKISCFQDSATKYFDFVVIGSGVAGLRYALEVSKRGSVAVITKAEPHESNTNYAQGGVSAVLCPSDSVENHMRDTIVAGVHLCDEETVRVVCTEGPDRIKELIAIGALFDHGEDGNLHLAREGGHSHRRIVHAADMTGREIERALLKAVDDDPNISIFEHHFAIDLLTSQDESDIYCHGVDTLNTETQQVVRFISKVTLLASGGAGHIYPTTTNPLVATGDGVAMAHRAQAVISNMEFVQFHPTALADEGLPIKPNKRRENAFLITEAVRGDGGILYNQSMQRFMPLYDEREELAPRDVVARSIDDQLKKRGKKYVLLDISHKPRQEILAHFPNIAAECLQYGLDITSMPIPVVPAAHYMCGGVQAGLHGETNIKGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVRPSIDHLECLSLNIQDSNMWARPVLPLSLKSNTVRDIMLQTRVIRTELQSIMWESVGIVRSTSRLKTAEWKIGELEIEWEKFLFRQGWELTMVGLEACEMRNLFCCAKLVVNSALARRESRGLHFTEDFPYLEESKRKPTIILPSSSLKLTWSSRQLHKEPH >DRNTG_25215.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20122770:20127952:-1 gene:DRNTG_25215 transcript:DRNTG_25215.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSFNVPVNGVNTNNGQRSNDYIRATFSKECPNYMAASATSHEPFHTSSSLGYSSQLPPNYGQKGFSYYNVPPIGGNSIAIQMHNPTGGYKRKQMAMPMMFDEGSTSGSHRGGRSLIPSNYKGRSSLCTNRSRNRTSLEFNNYTGGSFFNFSAPEHHLLENYVLPPQIQIAPWDPLKRGPGCRNVLPVGTRSQRNVRSRDCYAGPYPLSAPSYNPYPPWHFFVAGSIEQSRQPVIPMGPSRMMFSAGTNISNGVSEMPSLNQSNPTRTRNPSAFFPIHFAPPTRVSRADVNSRRHRVSSHISNQSYPTIRSVATMGSTPPFWNARPVPLPLPIEDRRNRNNLRNNEMRTTCPSVEHSAPFQNSWISQGIIMNNLDDILGFLDPHRDMRLDIDNMSYEELLTLEERIGNVSTGLSKRKLSGCLKVRKYRSSCRFQDRQDKKCAICLEEYEDRKKLGRLNCRHEFHLNCIKTWLQKKDICPICKTSAMTTTSKEKQKNSS >DRNTG_25215.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20122770:20127952:-1 gene:DRNTG_25215 transcript:DRNTG_25215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSFNVPVNGVNTNNGQRSNDYIRATFSKECPNYMAASATSHEPFHTSSSLGYSSQLPPNYGQKGFSYYNVPPIGGNSIAIQMHNPTGGYKRKQMAMPMMFDEGSTSGSHRGGRSLIPSNYKGRSSLCTNRSRNRTSLEFNNYTGGSFFNFSAPEHHLLENYVLPPQIQIAPWDPLKRGPGCRNVLPVGTRSQRNVRSRDCYAGPYPLSAPSYNPYPPWHFFVAGSIEQSRQPVIPMGPSRMMFSAGTNISNGVSEMPSLNQSNPTRTRNPSAFFPIHFAPPTRVSRADVNSRRHRVSSHISNQSYPTIRSVATMGSTPPFWNARPVPLPLPIEDRRNRNNLRNNEMRTTCPSVEHSAPFQNSWISQGIIMNNLDDILGFLDPHRDMRLDIDNMSYEELLTLEERIGNVSTGLSKRKLSGCLKVRKYRSSCRFQDRQDKKCAICLEEYEDRKKLGRLNCRHEFHLNCIKTWLQKKDICPICKTSAMTTTSKEKQKNSS >DRNTG_25215.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20122770:20125584:-1 gene:DRNTG_25215 transcript:DRNTG_25215.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSFNVPVNGVNTNNGQRSNDYIRATFSKECPNYMAASATSHEPFHTSSSLGYSSQLPPNYGQKGFSYYNVPPIGGNSIAIQMHNPTGGYKRKQMAMPMMFDEGSTSGSHRGGRSLIPSNYKGRSSLCTNRSRNRTSLEFNNYTGGSFFNFSAPEHHLLENYVLPPQIQIAPWDPLKRGPGCRNVLPVGTRSQRNVRSRDCYAGPYPLSAPSYNPYPPWHFFVAGSIEQSRQPVIPMGPSRMMFSAGTNISNGVSEMPSLNQSNPTRTRNPSAFFPIHFAPPTRVSRADVNSRRHRVSSHISNQSYPTIRSVATMGSTPPFWNARPVPLPLPIEDRRNRNNLRNNEMRTTCPSVEHSAPFQNSWISQGIIMNNLDDILGFLDPHRDMRLDIDNMSYEELLTLEERIGNVSTGLSKRKLSGCLKVRKYRSSCRFQDRQDKKCAICLEEYEDRKKLGRLNCRHEFHLNCIKTWLQKKDICPICKTSAMTTTSKEKQKNSS >DRNTG_04121.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30147949:30148605:1 gene:DRNTG_04121 transcript:DRNTG_04121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKKPSLLSPYKSLYLNPLNDKENVEPTKLMSSSKTMKPSSPVTKSSSKTKLPLRPSSLQLCMKLDDPESLLGVPRPISSSSSDAWDFSDSEAAPASSWSTLPNK >DRNTG_16008.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17621363:17626159:-1 gene:DRNTG_16008 transcript:DRNTG_16008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKLAVPLVCHGHSRPVVDLFYSPVTPDGFFLISASKDSSPMLRNGETGDWIGTFEGHKGAVWSCCLDRNALRAASGSADFTAKIWDALTGDEMHSFEHKHIVRACAFSEDTNLLLTGGFEKVLRIFDLHKPEAPPREVDKSPGSIRTVAWLQNDQAILSSCTDLGGVRLWDVRSGKIVQTLETKSPVTSAEVSQNGRYITTADGSTVKFWDANNFCLIKSYNMPFIVESASLEPKFGNKFVAGGEDMWVHVFCFNSGEEIACNKGHHGPVHCVRFSPGGESYVSGSEDGTIRIWQTGPATPDEGEMSNSNGHSANIKVNEVAAKVGALHIAKDGQVEEKAT >DRNTG_16008.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17621363:17626159:-1 gene:DRNTG_16008 transcript:DRNTG_16008.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKLAVPLVCHGHSRPVVDLFYSPVTPDGFFLISASKDSSPMLRNGETGDWIGTFEGHKGAVWSCCLDRNALRAASGSADFTAKIWDALTGDEMHSFEHKHIVRACAFSEVLDICGTISIRLL >DRNTG_20570.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8483481:8484146:1 gene:DRNTG_20570 transcript:DRNTG_20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding DTASRGASINIKNPEKGLPPRPVTGFPISPSPSTLPTELSSATLAVFLVKLSLRFTAAKTRLISEEQLELTMQ >DRNTG_06197.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25187911:25190769:-1 gene:DRNTG_06197 transcript:DRNTG_06197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMNVFSLPRGFGFYPTDEELVSHYLKKKSLGQKIDHEIIPEVDIYKFEPWDLPAKCHVPTRDSKWHFFTTRDRKYPNGFRSNRATEAGYWKSTGKDRRIKSKHRVVGTKKTLVFHKGRPPLGKRTDWIMHEYYLDEKEYKAAPGMKNSFVLCRVTKRDGLVQEAEMSSKIGDCADQPKGVTDLVVQGDVDDVEAWIKELYETKLLHYSCYY >DRNTG_06197.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25187911:25190769:-1 gene:DRNTG_06197 transcript:DRNTG_06197.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMNVFSLPRGFGFYPTDEELVSHYLKKKSLGQKIDHEIIPEVDIYKFEPWDLPAKCHVPTRDSKWHFFTTRDRKYPNGFRSNRATEAGYWKSTGKDRRIKSKHRVVGTKKTLVFHKGRPPLGKRTDWIMHEYYLDEKEYKAAPGMKNSFVLCRVTKRDGLVQEAEMSSKIGDCADQPKGVTDLVVQGDVDDVEAWIKELYETKLLHYSCYY >DRNTG_00734.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21390296:21392103:-1 gene:DRNTG_00734 transcript:DRNTG_00734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGIEARENTSFKILVATLLVAVTSAYLIWFWVLTRKLTGPKMWPLLGSLPGLIHNRKRMHDWIADNLRSTGVAATYQTCILPVPVLARRHGLVTVTCNPRNLEHVLRTRFENYPKGPVWQSAFHDLLGQGIFNSDGETWLIQRKTAALEFTTRTLRQAMTRWANRAIKTRLWCILADHCQRSAVVDLQDLLLRLTFDNICGLTFGKDPETLSPDLPDNPFASAFDTATEATLQRFLYPSFMWRLKKALGLGAEQNLRKSLKVVEHYITDAIAARKDRPSDDLLSRFIKKGDSNGKAFSSSVLQWIALNFVLAGRDTSSVALSWFFWIVMQRPDIERKIVKEITSVLRETRGEDTRRWVEEPLVFEELEQLVYLKAALAETLRLYPSVPQDSKYVVADDVLPDGTRVPAGSTITYSIYSVGRLETIWGKDCMEFRPERWLTPEGGRFEPSNEGNCILSVVASPP >DRNTG_04769.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3740165:3740800:1 gene:DRNTG_04769 transcript:DRNTG_04769.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLISSSQDSSKTIQKQKNSLLVFLCVPVSPGRSRVIYAFPRNFSVWLDRIFPRWFFHIRQNLILDSDLYLLYIE >DRNTG_33481.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14225937:14237118:-1 gene:DRNTG_33481 transcript:DRNTG_33481.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVRCNRLKMMGRGPDGGCGTEEKPCSLSRSSSLSRDFRNSLDFYSQARKALSDRSPFESEEALSRVPTLPAVFAAALSNHSEGRRKHRKSHGEPAAKPSAHGRTRDVWIATEEYFRPVTLGDIDALIPRVHFVSRPVDSCLSIPVLGDGKEDVKKEEDFDLVPVVVTSSPVPVAAMEEAVKTDVEADDERKEMEIDEIVEKQGDEPSSINWLLGCKHRCLLASERPNKKRKLLGEDAGLDRLLLLPGSQAEGLPLCDFCCSVESCVSSNKFLTCESCKVTVHQKCYGVREVPKEAWLCSWCRQPETVRKSSKKGSDELNVRPCLLCAKVGGALKPLAGDSGGRLRGAAAKFAHLFCSLWAPELFVEDTEAMEPLMNFGGIQETRKKLVCNVCKVKHGVCVRCSHGTCRTSFHPVCAREAKHRMEVWGKVSHDNVELRAFCSKHSVPQNNGHSEISGNLITCSGDGSSIVKGSPTALVKSRLPKLRLSQKNRDASVVQNKSTGSGFEKMDTRKVSLEDDTVTVRLDFDGEAQPDKSGDSEIDHNNSVSNGLNLALILRKVVSC >DRNTG_33481.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14213521:14225112:-1 gene:DRNTG_33481 transcript:DRNTG_33481.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLRKTTCCLAVSVITKLVPMLSYGQCQIAFHPTCARNTGLYMNVKTVGGRLQHKAYCDKHSLEQREIDIQQHGAEELKNIRQIRVELEKIRLLCERIVKREKLKKDLALCSHEILASRRDSIAFSMLVRSSYFHHGVSSESATTSINNKSYSGTIQRSDDVTVDSMVSGRRVLRLPLRRDVEGKNDDSSTSPLAVKRKLSDRTAFSSKQLPHRPTSVALRNSKEDEQKPKARKHAEVFQKELVMTSDEASMQNQRLPKGFAYVPISTLANNTPPPCDSESHEPQEPGG >DRNTG_33481.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14213521:14225112:-1 gene:DRNTG_33481 transcript:DRNTG_33481.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKCELCEDLASRSRSPGYPTMDSMEISGATIADCALCGGGSGAFRKTADGRWVHAFCAEWLLQSTFRRGQQNPIEGLDAVSKENNMLSCCICHHKVGAYVKCSYGQCQIAFHPTCARNTGLYMNVKTVGGRLQHKAYCDKHSLEQREIDIQQHGAEELKNIRQIRVELEKIRLLCERIVKREKLKKDLALCSHEILASRRDSIAFSMLVRSSYFHHGVSSESATTSINNKSYSGTIQRSDDVTVDSMVSGRRVLRLPLRRDVEGKNDDSSTSPLAVKRKLSDRTAFSSKQLPHRPTSVALRNSKEDEQKPKARKHAEVFQKELVMTSDEASMQNQRLPKGFAYVPISTLANNTPPPCDSESHEPQEPGG >DRNTG_33481.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14227559:14237118:-1 gene:DRNTG_33481 transcript:DRNTG_33481.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVRCNRLKMMGRGPDGGCGTEEKPCSLSRSSSLSRDFRNSLDFYSQARKALSDRSPFESEEALSRVPTLPAVFAAALSNHSEGRRKHRKSHGEPAAKPSAHGRTRDVWIATEEYFRPVTLGDIDALIPRVHFVSRPVDSCLSIPVLGDGKEDVKKEEDFDLVPVVVTSSPVPVAAMEEAVKTDVEADDERKEMEIDEIVEKQGDEPSSINWLLGCKHRCLLASERPNKKRKLLGEDAGLDRLLLLPGSQAEGLPLCDFCCSVESCVSSNKFLTCESCKVTVHQKCYGVREVPKEAWLCSWCRQPETVRKSSKKGSDELNVRPCLLCAKVGGALKPLAGDSGGRLRGAAAKFAHLFCSLWAPELFVEDTEAMEPLMNFGGIQETRKKLVCNVCKVKHGVCVRCSHGTCRTSFHPVCAREAKHRMEVWGKVSHDNVELRAFCSKHSVPQNNGHSEISGNLITCSGDGSSIVKGSPTALVKSRLPKLRLSQKNRDASVVQNKSTGSGFEKMDTRKVSLEDDTVTVRLDFDGEAQPDKSGDSEIDHNNSVSNGLNLALILRKLIDRGKINVRDFASEMDISPDSLEAALVGETSSFSPGLRSKIVKWLQDSAHVPAYVGHLKHRSVSALPSSNKLAMVNPAKVTDPYVPVAVTVAGLDVPDDVLIKSLPPRRRTKSNIRILKDNKALCSSDDTFSEEKDKKLASDLEVPIVLSKDSSRDVNNNNTHIGDQDFSFEGKDSLNPILDDTPKRPISTSLLNSASEGTVL >DRNTG_33481.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14213521:14237118:-1 gene:DRNTG_33481 transcript:DRNTG_33481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVRCNRLKMMGRGPDGGCGTEEKPCSLSRSSSLSRDFRNSLDFYSQARKALSDRSPFESEEALSRVPTLPAVFAAALSNHSEGRRKHRKSHGEPAAKPSAHGRTRDVWIATEEYFRPVTLGDIDALIPRVHFVSRPVDSCLSIPVLGDGKEDVKKEEDFDLVPVVVTSSPVPVAAMEEAVKTDVEADDERKEMEIDEIVEKQGDEPSSINWLLGCKHRCLLASERPNKKRKLLGEDAGLDRLLLLPGSQAEGLPLCDFCCSVESCVSSNKFLTCESCKVTVHQKCYGVREVPKEAWLCSWCRQPETVRKSSKKGSDELNVRPCLLCAKVGGALKPLAGDSGGRLRGAAAKFAHLFCSLWAPELFVEDTEAMEPLMNFGGIQETRKKLVCNVCKVKHGVCVRCSHGTCRTSFHPVCAREAKHRMEVWGKVSHDNVELRAFCSKHSVPQNNGHSEISGNLITCSGDGSSIVKGSPTALVKSRLPKLRLSQKNRDASVVQNKSTGSGFEKMDTRKVSLEDDTVTVRLDFDGEAQPDKSGDSEIDHNNSVSNGLNLALILRKLIDRGKINVRDFASEMDISPDSLEAALVGETSSFSPGLRSKIVKWLQDSAHVPAYVGHLKHRSVSALPSSNKLAMVNPAKVTDPYVPVAVTVAGLDVPDDVLIKSLPPRRRTKSNIRILKDNKALCSSDDTFSEEKDKKLASDLEVPIVLSKDSSRDVNNNNTHIGDQDFSFEGKDSLNPILDDTPKRPISTSLLNSASEASFGRKSRENQ >DRNTG_15224.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23326803:23328243:1 gene:DRNTG_15224 transcript:DRNTG_15224.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINLFLWTGLLRCGKSCRLRWINYLRPDIKRGNFTKEEEDTIINLHALLGNKWSKIASYLPGRTDNEIKNVWNTHLKKRLIMPTSSSSNSSSDHSPSPSSSSSDQSEAKSSTNNGQEVHQEVKVDDYDINIEELIIFDEMLDIFPIENKTTMTTTTTIASSSSSSCSLNCEYNQIESENESKKWLAYLEKELDLWECEDTTTTTNNNNYNKQEYEKKEIVDYMESEHDDPVLSYFQRGPSSPQNFLLDFPSLDSITSTSNGFLL >DRNTG_15224.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23326803:23328243:1 gene:DRNTG_15224 transcript:DRNTG_15224.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRAPCCEKVGLNKGSWTPAEDMRLVSYIQKFGHGNWRSLPKRAGLLRCGKSCRLRWINYLRPDIKRGNFTKEEEDTIINLHALLGNKWSKIASYLPGRTDNEIKNVWNTHLKKRLIMPTSSSSNSSSDHSPSPSSSSSDQSEAKSSTNNGQEVHQEVKVDDYDINIEELIIFDEMLDIFPIENKTTMTTTTTIASSSSSSCSLNCEYNQIESENESKKWLAYLEKELDLWECEDTTTTTNNNNYNKQEYEKKEIVDYMESEHDDPVLSYFQRGPSSPQNFLLDFPSLDSITSTSNGFLL >DRNTG_27443.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28724524:28728544:-1 gene:DRNTG_27443 transcript:DRNTG_27443.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGGGNTDVFGSSTAPLTWHDFLDRMRHPSAADFVKSIKSFIVSFSNRAPDPEKDSASVQEFLLNMEGAFKVHMLWAGSSEEELESAGEGLEKYIMTKLFNRAFASLPEDVKHDEELYEKISLLQQFVTPENLDIKPNFRNETSWLLAQKELQKINMYKAPRDKLVCILNCCKVINNLLINASIALKDNPPGADDFLPVLIYVTLKANPPQLYSNLLYIERYRRRSRLVSESAYFFTNIVSAESFIWNITAESLSMDEMEFQRKMDSARAYLLGQSTDIENLKDQANESIPDHKTQASEVQRSVGSYMQKNVYNVPPTPIVKAPSLSDLENKGASEILKDDQPIKYFQEYPFLFAQPGDLTVDDVENLLNCYKQLVFKYISLSKGMGIPIASPAASGTQSQSKADTDKESEGVIEEETSAEKV >DRNTG_16778.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3438919:3440295:1 gene:DRNTG_16778 transcript:DRNTG_16778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTYRNILNPIHDKDSWPKSDQGPIIPPEPVNKRRGRKTLLRRKEDGETTGFTGGKVSKKGVKMTCSICGAAAHNKRYHGVQRNKHNSGEQNYEHVRQSQSVNVEMHNENEVFQVDINVGETPRGAKPVRVMHMRSQDTWRRETQRSNETNAIIGGPMLKSQGKQNQVQQRNKAVTDKRRRIWLPPGVGSSTASTDLHKKLP >DRNTG_34888.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21861293:21862774:-1 gene:DRNTG_34888 transcript:DRNTG_34888.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVQACLFEFTRRLKSSQEIDEQSLANQDTENGTNQGLEKGEEEAPTRTNGSLASCWVERCLQI >DRNTG_12287.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24692644:24694130:1 gene:DRNTG_12287 transcript:DRNTG_12287.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLALVFIFFLLHVSAERLIFKKKWSASFFGESTLLSPSSHYPELGGESSSKGKESAGQ >DRNTG_15727.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4276729:4282233:1 gene:DRNTG_15727 transcript:DRNTG_15727.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATRFRDLMAKIDSMQVAMEQREARMITALEQRDDKIKIWYKAEDEEVKRKVEAKNALENYVYNMRNTVKDEKIKGKLPGSDRERIEKAVEETIHWLEGNRGCRLRRVVKLRKGWTETPVESISPALMVEELNQR >DRNTG_11381.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000505.1:5920:7217:1 gene:DRNTG_11381 transcript:DRNTG_11381.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENESEFCCEQFSLNQHFNPKALFSSASMRWCLKKPNSSSVFANSTRNVKPTQAKKSECVVTDIEVLEAGFHRNSNAVNEEESGPNKLSEDILKCLMSIFSRMSSQRNSIVDFEMPSSLSSSSDSPEGIDFRDPYNVCKEFGKRDIGPYKHLRAIEANSIDWNLVKGSSFLTRKLKILLGKLTLVDLAELTHQQKLAFWINIYNSCMMNAFLEQGMPPTPQMIVELMPKAMINVGGHSLSAMTIEHFILRLPYQSK >DRNTG_04923.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8814287:8814520:-1 gene:DRNTG_04923 transcript:DRNTG_04923.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLQENIPMILCKLERIFPPSFFDSMEHLPIHLPYEARVGGPVQYRWMYTFER >DRNTG_04923.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8812331:8814520:-1 gene:DRNTG_04923 transcript:DRNTG_04923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLQENIPMILCKLERIFPPSFFDSMEHLPIHLPYEARVGGPVQYRWMYTFERFLRQLKLKVTNKARVEGSICNAYLVQEVSTFCSHYFEPHINTKLRKVPRNDDGEVKEGLGHIEEKGLAGCTLILQMKRT >DRNTG_01594.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9581653:9584073:-1 gene:DRNTG_01594 transcript:DRNTG_01594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIYMKWQVRNPHGRVKKIQRACGQSPGTSVAAGVGESHAPGVGVISRGRGRRAAWRPATDALGRQRERNATNKAALGA >DRNTG_10124.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30494875:30496657:1 gene:DRNTG_10124 transcript:DRNTG_10124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVIEYDGKALLNFTRNEVPSAQANYSASYDQQHVSQASLSVSVPSDQSLIDTGVTVGVVISSSYGGNQSGQCSTQTEHVAPNAQLQYESSSCNSQNQFIDSSQQAQSTRNDSTGLVLVPSQQPSLGFQSMSQALQPSNLNSYDDSTTHQRDLSDEDIHMITHKLLGNEDDRTTHQREIRVDDFFTEEEISMRSHKLLENEDTQHLLRVHSMGGTAHLAEDGFSFPSFMPSPSPNYNIDEDLNHISGKVVVGWLKIKAAMRWGIFIRKKAAERRAQLVELEE >DRNTG_10124.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30495192:30496657:1 gene:DRNTG_10124 transcript:DRNTG_10124.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQALQPSNLNSYDDSTTHQRDLSDEDIHMITHKLLGNEDDRTTHQREIRVDDFFTEEEISMRSHKLLENEDTQHLLRVHSMGGTAHLAEDGFSFPSFMPSPSPNYNIDEDLNHISGKVVVGWLKIKAAMRWGIFIRKKAAERRAQLVELEE >DRNTG_18869.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2376552:2377718:-1 gene:DRNTG_18869 transcript:DRNTG_18869.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESMEAGLDLTLAIATKSGFLAGAANQLPLAGILFLSVLTFVTIFFIHWATPGGSAWSKLRFHNRKPIPGPRGFPLIGSMSLMHGLAHRKLAAKAKAHKAERLMAFSLGNTRVVITSNPDVAKEILNSSAFVDRPAKYSAHALMFHRAIGFAPFGSYWRALRRIAATHLFSPKQIAASSPRRKLIADQMVAALRCLSCSGDSVGAREVVKRAALNHMMWSVFGRGYEILSESEEMRELRGLVDEAYELLGTLNWSDHLGFLSGFEFGFGFWFDPQGIRVRCARLVPKVNRFVSRLIDEHRDGAAKLVPDFVDVLLSLQYEYEDRLSDTDMAAVLWVSLGYFLIIIIIIIICCIIKE >DRNTG_18869.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2375718:2377718:-1 gene:DRNTG_18869 transcript:DRNTG_18869.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMEAGLDLTLAIATKSGFLAGAANQLPLAGILFLSVLTFVTIFFIHWATPGGSAWSKLRFHNRKPIPGPRGFPLIGSMSLMHGLAHRKLAAKAKAHKAERLMAFSLGNTRVVITSNPDVAKEILNSSAFVDRPAKYSAHALMFHRAIGFAPFGSYWRALRRIAATHLFSPKQIAASSPRRKLIADQMVAALRCLSCSGDSVGAREVVKRAALNHMMWSVFGRGYEILSESEEMRELRGLVDEAYELLGTLNWSDHLGFLSGFEFGFGFWFDPQGIRVRCARLVPKVNRFVSRLIDEHRDGAAKLVPDFVDVLLSLQYEYEDRLSDTDMAAVLWEMIFRGTDTVAVLIEWVLARLVMHQDVQDEVHKELDRVVGIHRTVTEIDVGSLVYLNAVIKEVLRLHPPGPLLSWARLATCDTQVDGNYVPAGTTAMVNMWAITHDPHVWESPHKFCPKRFIGSNSTEFQILGSDLRLAPFGSGRRNCPGKSLAMTTVSFWVASLLHEFEWAPLSNETLVDLSEVLKLSCEMAIPLTARLYPRRVIS >DRNTG_15833.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25087599:25088317:-1 gene:DRNTG_15833 transcript:DRNTG_15833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIESHREGAEIVKGDAACKKKAAEVLGELGLPNGLFPLDDIQEFGYNRAGGFIWLVQKKKKEHTFKKIKQVVSYASEVTAFVEKGKMMKISGVKTRELLLWLSVVEMYIEDPSSGKITFKTGTGLSDSFPVSAFELE >DRNTG_15579.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7690867:7700563:-1 gene:DRNTG_15579 transcript:DRNTG_15579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSFLSKTSLFIMINSSAKQNQAMNQRRTVASCIMCGGLERVRPISEEDEATAAAAAVMKPVVGSMSGDLFEEKGET >DRNTG_24417.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1303818:1318231:1 gene:DRNTG_24417 transcript:DRNTG_24417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFHENKRSSKNINKYIKEGVNSSPLKKGIPDQVQGVDSAL >DRNTG_22472.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9409661:9409999:-1 gene:DRNTG_22472 transcript:DRNTG_22472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYPLMDGYNMEKAIKDLKKEDMTHFFSKHSSKLSSLDLRPHQNSLGGEEKSPNKDESETLETGVIRLISGSTHSKHGRASTVLSHSDF >DRNTG_26686.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9133626:9136623:-1 gene:DRNTG_26686 transcript:DRNTG_26686.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulfur cluster assembly protein [Source:Projected from Arabidopsis thaliana (AT4G22220) UniProtKB/TrEMBL;Acc:A0A178UZH3] MLRIGGKRLLGLGLARGAPSPVPAAAASPARGYHERVVDHYDKPRNVGAFDKNDPTVGTGLVGAPACGDVMKLQIKVDDKTGKIVDACFKTFGCGSAIASSSVATEWVKGKEMEEVLAIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKAKLSGNSNTAPVEKAVEA >DRNTG_33389.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18865101:18865464:1 gene:DRNTG_33389 transcript:DRNTG_33389.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIMSLMVQKMLGHRELDVNKLIIDYIINMLTDEDFNFGVDGDGAFEAIRDFC >DRNTG_01507.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:19416503:19418448:1 gene:DRNTG_01507 transcript:DRNTG_01507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGRRSQRRGERIPTVLWFTLKRSMHCKSEPSEVHDPKFGTKLGSILTKRSVGRSGCSRSIANLKDVIHGSKRHFQRPTSCSPRSIGSSDFLNPISHEVILSDARCELRIVTSFGGCHESGTATEVVGTLRPGTPGPGGHLGNFSYNPCGLKSRTTPRRSLQASLESKHGGVSCASSSSTARASVEGEEEKDNNSIGSSTSLICQKCGEVFAKWDGLESHHLSQHAVTELVEGDSSRKIVEIICRANWLKSESSCGRIERVLKVHNMQKTLSRFEEYREMVKLKAGKLPKKHPRCLADGNELLRFHGTTVSCYLGMNGSSSLCTSKNCNVCQILRHGFTMKKKTGPIGVFTTATSGRAFESIDLSEDDPSTRKALLVCRVIAGRVHKPLDNYQELVGQSVFDSLAGKVGPYANIEELYLLNPRALLPCFVVICKP >DRNTG_02701.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19661592:19666498:1 gene:DRNTG_02701 transcript:DRNTG_02701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVLHVYDVTNSGSDKTNNTILQINKFFKDGIGLGGIFHSAVQVYGDEEWSFGFCEFGTGVFSCPPSKNPMYTYRERIVLGETNCSIFKVNQILRELSREWPGESYDLLSRNCNHFCDVFCESLGVPKLPGWVNRFANAGDTAMEVAGTTAMKFRQAKAEVVTASKVAYRFLAGIASNSTATTESPSSPNRGATAPRFQGAWFKNLMSIGAKPSTSEVPDDTDVMLPQQSLKSTDLLEHSPKHNL >DRNTG_27595.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:9569227:9570254:-1 gene:DRNTG_27595 transcript:DRNTG_27595.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMWKSELLVQALGKCNGHGRRSFFYLVLIVKLLAPCFPVMIWPHLPRCREHIGQGQHMF >DRNTG_34043.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1993066:1994024:1 gene:DRNTG_34043 transcript:DRNTG_34043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTITVTLHEKWDFKFREKGATFRCLRSTTRSMRSSPRSTTKKMKEMRSTTGKTMKTKTKTARSN >DRNTG_34043.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1993066:1993484:1 gene:DRNTG_34043 transcript:DRNTG_34043.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQVQRKRCNLSMPSLDDEEHAVFPEVDDEEDERDEVDDREDDEDEDEDGEIEPSSAPLPPSVPVTDLGTMDPNPGMIPNPNPIAIHVAVSAVENGSVQV >DRNTG_23103.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9759817:9765519:1 gene:DRNTG_23103 transcript:DRNTG_23103.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATFYSSSNEQRDIMRTLYLREPGHASYAEPSVNGNMVYLNYPSSGPYSEMLAGNNSQPQPTCVELPVSNAAYSQNSSTGGLGLSSPRIVENTYNAWREGKNEMFMQVIGSSMNGPEGLVRSSVTADIQMGLQTQLGMLSVPAAQGQGLSLSLGTQIPVPSFQYQSASSDISVLNSQQSPSVNGATCKDDNSRNKNIHPSPTGYGISSLASTVPNSKYLKAAQQLLDEVVNVRKALKNKDDKSQGMNTSAGNSGGKENDGGSKSDGTPNNNNQESTANSAPELSPSERQELQNKITKLLAMLDEVDRRYKQYYHHMQIVVSSFDVIAGTGAAKPYTALALQTISRHFRCLRDAISGQIRLTRKSLGEEENSGGKGGGIPRLRYIDQQLRQQRAMQQLGMMQPHAWRPQRGLPETSVSVLRAWLFEHFLHPYPNDSDKLMLARQTGLTRSQVSNWFINARVRLWKPMIEEMYKEETGDAEMDSNSSSENASKGRNDARSPENREDLQSSTAERCQTLPDTDINRAAAGFQNKANAEEAYVNFKLHEQRPSGGDFGLLQDAFGHSDASARFMSYQMGDLGRYGNNGVSLTLGLHHCNVGLGQNNQDSYLAVRGDDMYTTAPLSSDAADYDCINLMDRRQAFGPASHNLHDFVA >DRNTG_23103.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9760026:9765727:1 gene:DRNTG_23103 transcript:DRNTG_23103.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATFYSSSNEQRDIMRTLYLREPGHASYAEPSVNGNMVYLNYPSSGPYSEMLAGNNSQPQPTCVELPVSNAAYSQNSSTGGLGLSSPRIVENTYNAWREGKNEMFMQVIGSSMNGPEGLVRSSVTADIQMGLQTQLGMLSVPAAQGQGLSLSLGTQIPVPSFQYQSASSDISVLNSQQSPSVNGATCKDDNSRNKNIHPSPTGYGISSLASTVPNSKYLKAAQQLLDEVVNVRKALKNKDDKSQGMNTSAGNSGGKENDGGSKSDGTPNNNNQESTANSAPELSPSERQELQNKITKLLAMLDEVDRRYKQYYHHMQIVVSSFDVIAGTGAAKPYTALALQTISRHFRCLRDAISGQIRLTRKSLGEEENSGGKGGGIPRLRYIDQQLRQQRAMQQLGMMQPHAWRPQRGLPETSVSVLRAWLFEHFLHPYPNDSDKLMLARQTGLTRSQVSNWFINARVRLWKPMIEEMYKEETGDAEMDSNSSSENASKGRNDARSPENREDLQSSTAERCQTLPDTDINRAAAGFQNKANAEEAYVNFKLHEQRPSGGDFGLLQDAFGHSDASARFMSYQMGDLGRYGNNGVSLTLGLHHCNVGLGQNNQDSYLAVRGDDMYTTAPLSSDAADYDCINLMDRRQAFGPASHNLHDFVA >DRNTG_23103.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9759817:9765727:1 gene:DRNTG_23103 transcript:DRNTG_23103.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFYSSSNEQRDIMRTLYLREPGHASYAEPSVNGNMVYLNYPSSGPYSEMLAGNNSQPQPTCVELPVSNAAYSQNSSTGGLGLSSPRIVENTYNAWREGKNEMFMQVIGSSMNGPEGLVRSSVTADIQMGLQTQLGMLSVPAAQGQGLSLSLGTQIPVPSFQYQSASSDISVLNSQQSPSVNGATCKDDNSRNKNIHPSPTGYGISSLASTVPNSKYLKAAQQLLDEVVNVRKALKNKDDKSQGMNTSAGNSGGKENDGGSKSDGTPNNNNQESTANSAPELSPSERQELQNKITKLLAMLDEVDRRYKQYYHHMQIVVSSFDVIAGTGAAKPYTALALQTISRHFRCLRDAISGQIRLTRKSLGEEENSGGKGGGIPRLRYIDQQLRQQRAMQQLGMMQPHAWRPQRGLPETSVSVLRAWLFEHFLHPYPNDSDKLMLARQTGLTRSQVSNWFINARVRLWKPMIEEMYKEETGDAEMDSNSSSENASKGRNDARSPENREDLQSSTAERCQTLPDTDINRAAAGFQNKANAEEAYVNFKLHEQRPSGGDFGLLQDAFGHSDASARFMSYQMGDLGRYGNNGVSLTLGLHHCNVGLGQNNQDSYLAVRGDDMYTTAPLSSDAADYDCINLMDRRQAFGPASHNLHDFVA >DRNTG_23103.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9760026:9765519:1 gene:DRNTG_23103 transcript:DRNTG_23103.10 gene_biotype:protein_coding transcript_biotype:protein_coding MATFYSSSNEQRDIMRTLYLREPGHASYAEPSVNGNMVYLNYPSSGPYSEMLAGNNSQPQPTCVELPVSNAAYSQNSSTGGLGLSSPRIVENTYNAWREGKNEMFMQVIGSSMNGPEGLVRSSVTADIQMGLQTQLGMLSVPAAQGQGLSLSLGTQIPVPSFQYQSASSDISVLNSQQSPSVNGATCKDDNSRNKNIHPSPTGYGISSLASTVPNSKYLKAAQQLLDEVVNVRKALKNKDDKSQGMNTSAGNSGGKENDGGSKSDGTPNNNNQESTANSAPELSPSERQELQNKITKLLAMLDEVDRRYKQYYHHMQIVVSSFDVIAGTGAAKPYTALALQTISRHFRCLRDAISGQIRLTRKSLGEEENSGGKGGGIPRLRYIDQQLRQQRAMQQLGMMQPHAWRPQRGLPETSVSVLRAWLFEHFLHPYPNDSDKLMLARQTGLTRSQVSNWFINARVRLWKPMIEEMYKEETGDAEMDSNSSSENASKGRNDARSPENREDLQSSTAERCQTLPDTDINRAAAGFQNKANAEEAYVNFKLHEQRPSGGDFGLLQDAFGHSDASARFMSYQMGDLGRYGNNGVSLTLGLHHCNVGLGQNNQDSYLAVRGDDMYTTAPLSSDAADYDCINLMDRRQAFGPASHNLHDFVA >DRNTG_23103.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9759979:9765519:1 gene:DRNTG_23103 transcript:DRNTG_23103.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATFYSSSNEQRDIMRTLYLREPGHASYAEPSVNGNMVYLNYPSSGPYSEMLAGNNSQPQPTCVELPVSNAAYSQNSSTGGLGLSSPRIVENTYNAWREGKNEMFMQVIGSSMNGPEGLVRSSVTADIQMGLQTQLGMLSVPAAQGQGLSLSLGTQIPVPSFQYQSASSDISVLNSQQSPSVNGATCKDDNSRNKNIHPSPTGYGISSLASTVPNSKYLKAAQQLLDEVVNVRKALKNKDDKSQGMNTSAGNSGGKENDGGSKSDGTPNNNNQESTANSAPELSPSERQELQNKITKLLAMLDEVDRRYKQYYHHMQIVVSSFDVIAGTGAAKPYTALALQTISRHFRCLRDAISGQIRLTRKSLGEEENSGGKGGGIPRLRYIDQQLRQQRAMQQLGMMQPHAWRPQRGLPETSVSVLRAWLFEHFLHPYPNDSDKLMLARQTGLTRSQVSNWFINARVRLWKPMIEEMYKEETGDAEMDSNSSSENASKGRNDARSPENREDLQSSTAERCQTLPDTDINRAAAGFQNKANAEEAYVNFKLHEQRPSGGDFGLLQDAFGHSDASARFMSYQMGDLGRYGNNGVSLTLGLHHCNVGLGQNNQDSYLAVRGDDMYTTAPLSSDAADYDCINLMDRRQAFGPASHNLHDFVA >DRNTG_23103.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9759979:9765519:1 gene:DRNTG_23103 transcript:DRNTG_23103.9 gene_biotype:protein_coding transcript_biotype:protein_coding MATFYSSSNEQRDIMRTLYLREPGHASYAEPSVNGNMVYLNYPSSGPYSEMLAGNNSQPQPTCVELPVSNAAYSQNSSTGGLGLSSPRIVENTYNAWREGKNEMFMQVIGSSMNGPEGLVRSSVTADIQMGLQTQLGMLSVPAAQGQGLSLSLGTQIPVPSFQYQSASSDISVLNSQQSPSVNGATCKDDNSRNKNIHPSPTGYGISSLASTVPNSKYLKAAQQLLDEVVNVRKALKNKDDKSQGMNTSAGNSGGKENDGGSKSDGTPNNNNQESTANSAPELSPSERQELQNKITKLLAMLDEVDRRYKQYYHHMQIVVSSFDVIAGTGAAKPYTALALQTISRHFRCLRDAISGQIRLTRKSLGEEENSGGKGGGIPRLRYIDQQLRQQRAMQQLGMMQPHAWRPQRGLPETSVSVLRAWLFEHFLHPYPNDSDKLMLARQTGLTRSQVSNWFINARVRLWKPMIEEMYKEETGDAEMDSNSSSENASKGRNDARSPENREDLQSSTAERCQTLPDTDINRAAAGFQNKANAEEAYVNFKLHEQRPSGGDFGLLQDAFGHSDASARFMSYQMGDLGRYGNNGVSLTLGLHHCNVGLGQNNQDSYLAVRGDDMYTTAPLSSDAADYDCINLMDRRQAFGPASHNLHDFVA >DRNTG_23103.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9759817:9765727:1 gene:DRNTG_23103 transcript:DRNTG_23103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFYSSSNEQRDIMRTLYLREPGHASYAEPSVNGNMVYLNYPSSGPYSEMLAGNNSQPQPTCVELPVSNAAYSQNSSTGGLGLSSPRIVENTYNAWREGKNEMFMQVIGSSMNGPEGLVRSSVTADIQMGLQTQLGMLSVPAAQGQGLSLSLGTQIPVPSFQYQSASSDISVLNSQQSPSVNGATCKDDNSRNKNIHPSPTGYGISSLASTVPNSKYLKAAQQLLDEVVNVRKALKNKDDKSQGMNTSAGNSGGKENDGGSKSDGTPNNNNQESTANSAPELSPSERQELQNKITKLLAMLDEVDRRYKQYYHHMQIVVSSFDVIAGTGAAKPYTALALQTISRHFRCLRDAISGQIRLTRKSLGEEENSGGKGGGIPRLRYIDQQLRQQRAMQQLGMMQPHAWRPQRGLPETSVSVLRAWLFEHFLHPYPNDSDKLMLARQTGLTRSQVSNWFINARVRLWKPMIEEMYKEETGDAEMDSNSSSENASKGRNDARSPENREDLQSSTAERCQTLPDTDINRAAAGFQNKANAEEAYVNFKLHEQRPSGGDFGLLQDAFGHSDASARFMSYQMGDLGRYGNNGVSLTLGLHHCNVGLGQNNQDSYLAVRGDDMYTTAPLSSDAADYDCINLMDRRQAFGPASHNLHDFVA >DRNTG_23103.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9759817:9765519:1 gene:DRNTG_23103 transcript:DRNTG_23103.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATFYSSSNEQRDIMRTLYLREPGHASYAEPSVNGNMVYLNYPSSGPYSEMLAGNNSQPQPTCVELPVSNAAYSQNSSTGGLGLSSPRIVENTYNAWREGKNEMFMQVIGSSMNGPEGLVRSSVTADIQMGLQTQLGMLSVPAAQGQGLSLSLGTQIPVPSFQYQSASSDISVLNSQQSPSVNGATCKDDNSRNKNIHPSPTGYGISSLASTVPNSKYLKAAQQLLDEVVNVRKALKNKDDKSQGMNTSAGNSGGKENDGGSKSDGTPNNNNQESTANSAPELSPSERQELQNKITKLLAMLDEVDRRYKQYYHHMQIVVSSFDVIAGTGAAKPYTALALQTISRHFRCLRDAISGQIRLTRKSLGEEENSGGKGGGIPRLRYIDQQLRQQRAMQQLGMMQPHAWRPQRGLPETSVSVLRAWLFEHFLHPYPNDSDKLMLARQTGLTRSQVSNWFINARVRLWKPMIEEMYKEETGDAEMDSNSSSENASKGRNDARSPENREDLQSSTAERCQTLPDTDINRAAAGFQNKANAEEAYVNFKLHEQRPSGGDFGLLQDAFGHSDASARFMSYQMGDLGRYGNNGVSLTLGLHHCNVGLGQNNQDSYLAVRGDDMYTTAPLSSDAADYDCINLMDRRQAFGPASHNLHDFVA >DRNTG_23103.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9759979:9765519:1 gene:DRNTG_23103 transcript:DRNTG_23103.8 gene_biotype:protein_coding transcript_biotype:protein_coding MATFYSSSNEQRDIMRTLYLREPGHASYAEPSVNGNMVYLNYPSSGPYSEMLAGNNSQPQPTCVELPVSNAAYSQNSSTGGLGLSSPRIVENTYNAWREGKNEMFMQVIGSSMNGPEGLVRSSVTADIQMGLQTQLGMLSVPAAQGQGLSLSLGTQIPVPSFQYQSASSDISVLNSQQSPSVNGATCKDDNSRNKNIHPSPTGYGISSLASTVPNSKYLKAAQQLLDEVVNVRKALKNKDDKSQGMNTSAGNSGGKENDGGSKSDGTPNNNNQESTANSAPELSPSERQELQNKITKLLAMLDEVDRRYKQYYHHMQIVVSSFDVIAGTGAAKPYTALALQTISRHFRCLRDAISGQIRLTRKSLGEEENSGGKGGGIPRLRYIDQQLRQQRAMQQLGMMQPHAWRPQRGLPETSVSVLRAWLFEHFLHPYPNDSDKLMLARQTGLTRSQVSNWFINARVRLWKPMIEEMYKEETGDAEMDSNSSSENASKGRNDARSPENREDLQSSTAERCQTLPDTDINRAAAGFQNKANAEEAYVNFKLHEQRPSGGDFGLLQDAFGHSDASARFMSYQMGDLGRYGNNGVSLTLGLHHCNVGLGQNNQDSYLAVRGDDMYTTAPLSSDAADYDCINLMDRRQAFGPASHNLHDFVA >DRNTG_23103.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9759979:9765727:1 gene:DRNTG_23103 transcript:DRNTG_23103.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATFYSSSNEQRDIMRTLYLREPGHASYAEPSVNGNMVYLNYPSSGPYSEMLAGNNSQPQPTCVELPVSNAAYSQNSSTGGLGLSSPRIVENTYNAWREGKNEMFMQVIGSSMNGPEGLVRSSVTADIQMGLQTQLGMLSVPAAQGQGLSLSLGTQIPVPSFQYQSASSDISVLNSQQSPSVNGATCKDDNSRNKNIHPSPTGYGISSLASTVPNSKYLKAAQQLLDEVVNVRKALKNKDDKSQGMNTSAGNSGGKENDGGSKSDGTPNNNNQESTANSAPELSPSERQELQNKITKLLAMLDEVDRRYKQYYHHMQIVVSSFDVIAGTGAAKPYTALALQTISRHFRCLRDAISGQIRLTRKSLGEEENSGGKGGGIPRLRYIDQQLRQQRAMQQLGMMQPHAWRPQRGLPETSVSVLRAWLFEHFLHPYPNDSDKLMLARQTGLTRSQVSNWFINARVRLWKPMIEEMYKEETGDAEMDSNSSSENASKGRNDARSPENREDLQSSTAERCQTLPDTDINRAAAGFQNKANAEEAYVNFKLHEQRPSGGDFGLLQDAFGHSDASARFMSYQMGDLGRYGNNGVSLTLGLHHCNVGLGQNNQDSYLAVRGDDMYTTAPLSSDAADYDCINLMDRRQAFGPASHNLHDFVA >DRNTG_02291.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:813278:817706:-1 gene:DRNTG_02291 transcript:DRNTG_02291.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRP54CP [Source:Projected from Arabidopsis thaliana (AT5G03940) UniProtKB/TrEMBL;Acc:A0A178UD49] METIGFSSVASRHFSASPTSFLATRGQRYAGRTCFSSRSASPWIGSSGFSLGNPSRNLFTREVWSWINSESSYIGRRNQFVVRAEMFGQLTSGLEAAWNKLKGEEVLTKENIVEPMRDIRRALLEADVSLTVVRRFVQAVSDQAVGVGLIRGVRPDQQLVKIVNDELVKLMGGEVSDLEFAKSGPTVILLAGLQGVGKTTVCAKLAFYLKKLGKSCMLVAGDVYRPAAIDQLKILGKQVGVPVYSEGTDIKPAEIAKRGLNEAKKKSVDVVIVDTAGRLQIDKTMMDELKEVKKALNPTEVLLVVDAMTGQEAAALVTTFNIEIGITGAILTKLDGDSRGGAALSVREVSGRPIKLVGRGERLEDLEPFYPERMAGRILGMGDVLSFVEKAQEVMKQEDAEELQKKIMSAKFDFNDFLKQTRAVAQMGSMSRVIGMIPGMGKVTPAQIREAEKSLKLMESMIEVMTPEEREKPELLAESQARRKRISTESGKTEQQVSQLVAQLFQMRVRMKNLMGLMEGGSIPALNNLEESLKAEQKAPPGTARRKRRSGTRKQFVDSGVARPSPRGFGSKS >DRNTG_29799.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:8186654:8190529:-1 gene:DRNTG_29799 transcript:DRNTG_29799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGRIYHAEGKGRSLHSPRLTLSTLSNLASSKAHGVSLIHKLQVMTRRNPSILIEGDPKLERTLRRKGKEPVQEQSNLVDLEAEESENMAEQNEQQRTLSDYARPSVLGTQSIESTIRNHTASLHNLENQVGQIAKSLSERPHGSLSSNTETNPREHVKAITLRSGREVEGRLLNTTDEIVDKYMQEMFNPDPYEGAPENEEG >DRNTG_24704.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19099377:19102399:-1 gene:DRNTG_24704 transcript:DRNTG_24704.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMEGSSDLRTDSVVTELGKVVAVKLSTQFNKITGQNYSIWRREFDNSHSDSLLRHMKDQIIVARVYHNIARSKKISKLTGLLSKHIKQSEREVGEANSDFELHPSAFAKAKEMELALMLAKREIHDCNKVTRQLRAMLESSEEFISVEKKRRSFLLQLASKTIAKPLHCLPMQLTTDYFLNDHYDKEPTNMDKLVDPSCYHYAIFSDNVLATSVVVNSTIAHARDPNKHVFHIVTNRLNFAAMKMWFLIHPLLATVHVQNIDDFHWFNSSYSPVLNQLDSLIRNEVNYPAFLSYGRKYIKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDVVVQKDLTPLWSIDMKGMVNAAVEINHRFDAYLDFSKSIVSENFDPHAYAWAFGMNVFDLKEWKKRNLTGVYHLWQVLNKDQNLWKLGSLPPGLITFNNLIHPLDQTWHVLGLGYNPILNLSKIEESAVIHYNGNYKPWLDLAIPKYKPYWSKFIDIDDQYIQSCNFHL >DRNTG_24704.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19099377:19104252:-1 gene:DRNTG_24704 transcript:DRNTG_24704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMEGSSDLRTDSVVTELGKVVAVKLSTQFNKITGQNYSIWRREFDNSHSDSLLRHMKDQIIVARVYHNIARSKKISKLTGLLSKHIKQSEREVGEANSDFELHPSAFAKAKEMELALMLAKREIHDCNKVTRQLRAMLESSEEFISVEKKRRSFLLQLASKTIAKPLHCLPMQLTTDYFLNDHYDKEPTNMDKLVDPSCYHYAIFSDNVLATSVVVNSTIAHARDPNKHVFHIVTNRLNFAAMKMWFLIHPLLATVHVQNIDDFHWFNSSYSPVLNQLDSLIRNEVNYPAFLSYGRKYIKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDVVVQKDLTPLWSIDMKGMVNAAVEINHRFDAYLDFSKSIVSENFDPHAYAWAFGMNVFDLKEWKKRNLTGVYHLWQVLNKDQNLWKLGSLPPGLITFNNLIHPLDQTWHVLGLGYNPILNLSKIEESAVIHYNGNYKPWLDLAIPKYKPYWSKFIDIDDQYIQSCNFHL >DRNTG_24704.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19099377:19102158:-1 gene:DRNTG_24704 transcript:DRNTG_24704.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMEGSSDLRTDSVVTELGKVVAVKLSTQFNKITGQNYSIWRREFDNSHSDSLLRHMKDQIIVARVYHNIARSKKISKLTGLLSKHIKQSEREVGEANSDFELHPSAFAKAKEMELALMLAKREIHDCNKVTRQLRAMLESSEEFISVEKKRRSFLLQLASKTIAKPLHCLPMQLTTDYFLNDHYDKEPTNMDKLVDPSCYHYAIFSDNVLATSVVVNSTIAHARDPNKHVFHIVTNRLNFAAMKMWFLIHPLLATVHVQNIDDFHWFNSSYSPVLNQLDSLIRNEVNYPAFLSYGRKYIKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDVVVQKDLTPLWSIDMKGMVNAAVEINHRFDAYLDFSKSIVSENFDPHAYAWAFGMNVFDLKEWKKRNLTGVYHLWQVLNKDQNLWKLGSLPPGLITFNNLIHPLDQTWHVLGLGYNPILNLSKIEESAVIHYNGNYKPWLDLAIPKYKPYWSKFIDIDDQYIQSCNFHL >DRNTG_24704.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19099377:19099775:-1 gene:DRNTG_24704 transcript:DRNTG_24704.4 gene_biotype:protein_coding transcript_biotype:protein_coding NKDQNLWKLGSLPPGLITFNNLIHPLDQTWHVLGLGYNPILNLSKIEESAVIHYNGNYKPWLDLAIPKYKPYWSKFIDIDDQYIQSCNFHL >DRNTG_08559.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17208112:17211735:1 gene:DRNTG_08559 transcript:DRNTG_08559.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidyl-N-methylethanolamine N-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G80860) UniProtKB/Swiss-Prot;Acc:Q9SAH5] MWSIAVSIGVLLPFPFYYVLWLWPQLWVELCGNGVDPSHLMAQVSHALKVIQFISLFSVSSFSWPPWYCWLLFIVGQYLNFKVYQLLGESGTYYGVRFGKEIPWVTEFPFGYIKDPQYIGSILSLLACLCWAPFTYVFLWILGYLFIMKVESQEDPTTRAKHC >DRNTG_02623.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21367547:21368395:1 gene:DRNTG_02623 transcript:DRNTG_02623.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFAPLLKSEPNVGEIDQIPMNQVVHTAAAAGCLQKYRDGVPHIGIQYK >DRNTG_12084.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22006383:22007481:1 gene:DRNTG_12084 transcript:DRNTG_12084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECVPTSSYSSLAHPFGEAQRQSHSSILTYAHRTRAPSMCTPLKKQVIHDVNVCPLRYSNETLLTAGRETKETENPHGRVEIIHAHVEIPHGRVEHPRPCSRPIQALFKADLAPILVGRGDSIEAYPISDEGILGRRVEDFPQDYRHDYRGGFFMDSLLLHSISLIVLSSMESYTPSGYSGAPPFPSGVIDSTSVPSVLCGHNRVNGLRDELPLGLSCACNGVKR >DRNTG_24122.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:241852:244202:-1 gene:DRNTG_24122 transcript:DRNTG_24122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIRDLSSLPGPKDSSSNDNEYLKNTIVMVRNGVRNTSPSRQKVIKTKPRGFDEETVATFSKIIHPDVQMEDSIWGLLPEDLLNEVLARVPPFLLFRLRSVCKRWNSVLYDSSFLKFHSQVPSHGPCLLTFWKNAQTHQCSVFSLPLKTWYKIPFGFLPDWAFWLVGSSGGLVCFSGFDGLSFKTLVCNPLTQAWRVLPSMHYNQQRQLVMVVNRTDRSFKVIAASDIYGDKTLPTEVYDSKLDRWSLHQIMPAVNLCSSKMAFCDSRLYLETLSPLGLMMYKVDTGQWEHIPAKFPRSLLDGYLVAGAQKRLFLVGRIGLYSTLQSMRIWELDHAKTVWVEISKMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKGLLYDVDRKVWSWIAGCALQLCNSQVCFYEPRFDTSIN >DRNTG_24122.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:241852:244202:-1 gene:DRNTG_24122 transcript:DRNTG_24122.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIRDLSSLPGPKDSSSNDNEYLKNTIVMVRNGVRNTSPSRQKVIKTKPRGFDEETVATFSKIIHPDVQMEDSIWGLLPEDLLNEVLARVPPFLLFRLRSVCKRWNSVLYDSSFLKFHSQVPSHGPCLLTFWKNAQTHQCSVFSLPLKTWYKIPFGFLPDWAFWLVGSSGGLVCFSGFDGLSFKTLVCNPLTQAWRVLPSMHYNQQRQLVMVVNRTDRSFKVIAASDIYGDKTLPTEVYDSKLDRWSLHQIMPAVNLCSSKMAFCDSRLYLETLSPLGLMMYKVDTGQWEHIPAKFPRSLLDGYLVAGAQKRLFLVGRIGLYSTLQSMRIWELDHAKTVWVEISKMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKGLLYDVDRKVWSWIAGCALQLCNSQVCFYEPRFDTSIN >DRNTG_27667.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001403.1:7736:13894:-1 gene:DRNTG_27667 transcript:DRNTG_27667.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQHRLDPPEYNRAGGGAAMAAAEEEPYNIIPIHNLLAEHPSLRFPEVRAAMAALRAVGDLRTPPFIQWHDGLDLVDWLGAFFGFQRDNVRNQREHLVLLLANAQMRLQPPPDNIDTLDPGVVRRLRRKLLHNYTSWCAYLGRKSHVWVPDNPARRSSDAPRRDLLYSSLFLLVWGEAANVRFVPECLSYIFHCMAMDLNRILEGYIDDNGRPALPAVSGDGAYLARVITPLYKVIKAEVDSSRNGTAPHSAWRNYDDINEYFWSRRCFDRLRWPLDLSTNFFAPPPNRKRVRKTGFVEQRSFWNIYRSFDRLWVMLILFLQAATIVAWEGNTYPWQGLRSRDVQARALTVFITWAALRFFQSILDAGTQYSLVSRETPWLGLRMVLKSVVATGWTIAFGVLYARVWDQRNHDRRWSPTANQRLVNFLMAAGVFILPELLALLLFILPWVRNFLEKTNWRIFYVLTWWFQSRTFVGRGLREGLLDNIKYALFWVLLLAVKFIFSYFLQIKPMVKPSKEIFNLTNVHYQWHEFFSRTSRFAVILLWVPVVLIYLMDIQIWYSIFSSLVGALVGLFSHLGEIRNVEQLRLRFQFFASAMQFNLMPEEQLFQDRGTLKSKFKDAIHRLKLRYGLGRPYKKIESNQVEANRFALIWNEIIATFREEDIISDCEVELLELPPTHWNIRVLRWPCFLLSNELLLALGQAKELEHTDKGHWRKICKSEYRRCAVIEAYDSVKHLLLEIVKENTEEHSIITQIFFGFDDAIRVGKFTVEYKLAVLESIHSKLIVLLKLLINPKKDLNKVVNTLQTLYDLAIRDFPTHKKNIEQLKEAGLAPQRPSGTGLLFENAVELPPVDNSKFYTQVRRLQTILTSRDSMNNVPKNLEARRRIAFFSNSLFMNMPRAPQVEKMLAFSVLTPYYNEEVTYSKENLRSENEDGVSTIFYLQKIYEDEWVNFLERMRREGVANENEIWSGERLRDLRLWASYRGQTLSRTVRGMMYYYKALKMLAFLDTASEVEIKEGSRELDTFGDSMRRDNVGDGMEDRSSSSRNLSRASSGVSLLFKGHEHGTALMKFTYVVACQIYGTQRGKPEGEEILYLMKNNEALRVAYVDEVRSGRDGVEYYSVLVKFDQQLQKEVEIFRIKLPGPLKLGEGKPENQNHALIFTRGDAVQTIDMNQDNYFEEALKMRNLLDEYSHTYGARKPTLLGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRLWFLTRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYTTVGFYFNTMVVVLTVYTFVWGRLYLALSGLEESIKQRANSTNNTALGTVLNQQFIIQLGLFTALPMIVENSLEHGFLPAVWDFLTMQLQLASMFYTFSMGTKSHYFGRTILHGGAKYRATGRGFVVQHKCFAENYRLYARSHFIKAIELGVILTVYASYSAISKDTLVYIIMTISSWFLVVSWIMAPFAFNPSGFDWLKTVYDFEDFMNWIWYPGGVFTKADQSWETWWYEEQDHLRMTGLWGKLLEIVLDLRFFFFQYGIVYQLNIADKSTSIAVYLLSWICVVVAAGIFVLMVYARDKYAAKEHIYYRAVQSFVIILVILVIIILLKFTNFKLIDIFTSLLAFIPTGWGLISIAQVIRPFIDSTVVWDTVVAVSRLYDILFGVVVMAPVALLSWLPGFQSMQTRILFNEAFSRGLQISRIITGKKSNID >DRNTG_27667.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001403.1:7395:13817:-1 gene:DRNTG_27667 transcript:DRNTG_27667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQHRLDPPEYNRAGGGAAMAAAEEEPYNIIPIHNLLAEHPSLRFPEVRAAMAALRAVGDLRTPPFIQWHDGLDLVDWLGAFFGFQRDNVRNQREHLVLLLANAQMRLQPPPDNIDTLDPGVVRRLRRKLLHNYTSWCAYLGRKSHVWVPDNPARRSSDAPRRDLLYSSLFLLVWGEAANVRFVPECLSYIFHCMAMDLNRILEGYIDDNGRPALPAVSGDGAYLARVITPLYKVIKAEVDSSRNGTAPHSAWRNYDDINEYFWSRRCFDRLRWPLDLSTNFFAPPPNRKRVRKTGFVEQRSFWNIYRSFDRLWVMLILFLQAATIVAWEGNTYPWQGLRSRDVQARALTVFITWAALRFFQSILDAGTQYSLVSRETPWLGLRMVLKSVVATGWTIAFGVLYARVWDQRNHDRRWSPTANQRLVNFLMAAGVFILPELLALLLFILPWVRNFLEKTNWRIFYVLTWWFQSRTFVGRGLREGLLDNIKYALFWVLLLAVKFIFSYFLQIKPMVKPSKEIFNLTNVHYQWHEFFSRTSRFAVILLWVPVVLIYLMDIQIWYSIFSSLVGALVGLFSHLGEIRNVEQLRLRFQFFASAMQFNLMPEEQLFQDRGTLKSKFKDAIHRLKLRYGLGRPYKKIESNQVEANRFALIWNEIIATFREEDIISDCEVELLELPPTHWNIRVLRWPCFLLSNELLLALGQAKELEHTDKGHWRKICKSEYRRCAVIEAYDSVKHLLLEIVKENTEEHSIITQIFFGFDDAIRVGKFTVEYKLAVLESIHSKLIVLLKLLINPKKDLNKVVNTLQTLYDLAIRDFPTHKKNIEQLKEAGLAPQRPSGTGLLFENAVELPPVDNSKFYTQVRRLQTILTSRDSMNNVPKNLEARRRIAFFSNSLFMNMPRAPQVEKMLAFSVLTPYYNEEVTYSKENLRSENEDGVSTIFYLQKIYEDEWVNFLERMRREGVANENEIWSGERLRDLRLWASYRGQTLSRTVRGMMYYYKALKMLAFLDTASEVEIKEGSRELDTFGDSMRRDNVGDGMEDRSSSSRNLSRASSGVSLLFKGHEHGTALMKFTYVVACQIYGTQRGKPEGEEILYLMKNNEALRVAYVDEVRSGRDGVEYYSVLVKFDQQLQKEVEIFRIKLPGPLKLGEGKPENQNHALIFTRGDAVQTIDMNQDNYFEEALKMRNLLDEYSHTYGARKPTLLGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRLWFLTRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYTTVGFYFNTMVVVLTVYTFVWGRLYLALSGLEESIKQRANSTNNTALGTVLNQQFIIQLGLFTALPMIVENSLEHGFLPAVWDFLTMQLQLASMFYTFSMGTKSHYFGRTILHGGAKYRATGRGFVVQHKCFAENYRLYARSHFIKAIELGVILTVYASYSAISKDTLVYIIMTISSWFLVVSWIMAPFAFNPSGFDWLKTVYDFEDFMNWIWYPGGVFTKADQSWETWWYEEQDHLRMTGLWGKLLEIVLDLRFFFFQYGIVYQLNIADKSTSIAVYLLSWICVVVAAGIFVLMVYARDKYAAKEHIYYRAVQSFVIILVILVIIILLKFTNFKLIDIFTSLLAFIPTGWGLISIAQVIRPFIDSTVVWDTVVAVSRLYDILFGVVVMAPVALLSWLPGFQSMQTRILFNEAFSRGLQISRIITGKKSNID >DRNTG_05325.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18886645:18890469:-1 gene:DRNTG_05325 transcript:DRNTG_05325.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHYQSKFGNNHSRKKKKAKNKKVNKQEMKISWHKLGGLSYFYLC >DRNTG_05325.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18885043:18890469:-1 gene:DRNTG_05325 transcript:DRNTG_05325.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEDGHEGVSGIAQLDPLYSFIVVVFNARPTDVLLSIPALTAKRLQLHPVQL >DRNTG_05325.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18886645:18890469:-1 gene:DRNTG_05325 transcript:DRNTG_05325.3 gene_biotype:protein_coding transcript_biotype:protein_coding SYFFPNVKDRKSTTSPCLSPACSSLSVPSGSSSPLSCSFAPPRTVLVSVFRCGRAEDKLRNFRSRSSVGGGAGLEDRPKLLGLDPLIVGLRFGALGSLPIQRIYLGTYSSYSSSSML >DRNTG_05325.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18885043:18890469:-1 gene:DRNTG_05325 transcript:DRNTG_05325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEDGHEGVSGIAQLDPLYSFIVVVFNARPTDVLLSIPALTAKRLQLHPVQL >DRNTG_29372.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001475.1:4842:11794:1 gene:DRNTG_29372 transcript:DRNTG_29372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHGAREPLSPRAQAPREGFHAAARRATRPRNMPQVSIRAVYSPDRERIRLGLRARRNRMSSPGWSAVHQNRHRADQLAKFVTRIRLPVTLNENRAKTLRCS >DRNTG_26518.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2354777:2358001:1 gene:DRNTG_26518 transcript:DRNTG_26518.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLCLPPPRSAVRGGKWLALAASIYIQCTSGSSYCFGIYSSLLKNSQAYDQFTLDSVAFSKDFGANVGVLSGILSSSSRVGAPWVVLLAGSVLCFSGYFPIWLAVTGAVPRPPLLVMCLSMLLAAQAQTFFNTADVVTAVENFPGNRGTVIGIMKGFLGLSSAILTQIYRTLYNGNAGAFVLMLACLPALLPLLLMYFVKIHQTDGRDDKKHLDAFSIITLIIAGYLMLVILGENILTLETSVRIVAFLLLLLLLMSPLIVAMKAQLHDWKTLSESCDESIRPLIGDINYAVTDLKSDGLVEEEASSSVIGRESSSQDMCQASREDLSVLQSMLTSEFWLLFLAVACGLGSGLATINNISQIGSSLGYSIKEISSLVSLWSIWNFLGRFAIGYISDYFLRSRGYARTLFMVLTLAVMSIGHVIISSGLPGTLYLGSTFVGLCYGSIWVLMPSITSEIFGLRDFGTIFNTIAIASPVGSYILSVRVVGYIYDKESSSSAIHACMGRHCFMSSFLIMASTSLLGVASSVALFLRTRKFYSQVIYAAVQSS >DRNTG_26518.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2354777:2361107:1 gene:DRNTG_26518 transcript:DRNTG_26518.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLCLPPPRSAVRGGKWLALAASIYIQCTSGSSYCFGIYSSLLKNSQAYDQSTLDSVAFFKDVSENLGVFARILSSSRVGAPWVVLLVGSVLCFFGYFLIWFSVTATVPHPPLPVMCVSMLLAVQAQTFNTADVVTAVENFPENRGTVIGIMK >DRNTG_18808.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5349354:5353469:-1 gene:DRNTG_18808 transcript:DRNTG_18808.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSFKHFKIHWLLILFLLSLVFNGQAKTEYMKYKDPKQPLNTRINDLMRRMTLAEKIGQMTQIERLNATADVMHKYFIGSVLSGGGSVPSPTASPELWINMINEMQRGALSTRLGIPMIYGIDALHGHNNVYNATIFPHNIGLGATRDPELVRQIGAATALEVRATGIPYIFAPCIAVCRDPRWGRCYESFSEDPEVVKSMTEIISGLQGELKNHNGVPFVSGKDKVAACAKHYVGDGGTHEGINENNTIANFHQILSIHMPAYDVAIIKGVSTIMVSYSSLNGQKMHANYYWITNFLKGKLHFRGFVISDFQGIDKITTPVGANYTYSVQAGISAGIDMVMVPYDYPDFIKILTSLVENKVIPMSRINDAVERILRVKFVMGLFENPIADTSFVDQLGKKEHRELAREAVRKSLVLLKNGKTNSKPMLPLPKNMSTILVAGAQANNLGYQCGGWTMTWQGGSGNTTV >DRNTG_18808.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5350136:5353469:-1 gene:DRNTG_18808 transcript:DRNTG_18808.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSFKHFKIHWLLILFLLSLVFNGQAKTEYMKYKDPKQPLNTRINDLMRRMTLAEKIGQMTQIERLNATADVMHKYFIGSVLSGGGSVPSPTASPELWINMINEMQRGALSTRLGIPMIYGIDALHGHNNVYNATIFPHNIGLGATRDPELVRQIGAATALEVRATGIPYIFAPCIAVCRDPRWGRCYESFSEDPEVVKSMTEIISGLQGELKNHNGVPFVSGKDKVAACAKHYVGDGGTHEGINENNTIANFHQILSIHMPAYDVAIIKGVSTIMVSYSSLNGQKMHANYYWITNFLKGKLHFR >DRNTG_18808.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5347896:5353469:-1 gene:DRNTG_18808 transcript:DRNTG_18808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSFKHFKIHWLLILFLLSLVFNGQAKTEYMKYKDPKQPLNTRINDLMRRMTLAEKIGQMTQIERLNATADVMHKYFIGSVLSGGGSVPSPTASPELWINMINEMQRGALSTRLGIPMIYGIDALHGHNNVYNATIFPHNIGLGATRDPELVRQIGAATALEVRATGIPYIFAPCIAVCRDPRWGRCYESFSEDPEVVKSMTEIISGLQGELKNHNGVPFVSGKDKVAACAKHYVGDGGTHEGINENNTIANFHQILSIHMPAYDVAIIKGVSTIMVSYSSLNGQKMHANYYWITNFLKGKLHFRGFVISDFQGIDKITTPVGANYTYSVQAGISAGIDMVMVPYDYPDFIKILTSLVENKVIPMSRINDAVERILRVKFVMGLFENPIADTSFVDQLGKKEHRELAREAVRKSLVLLKNGKTNSKPMLPLPKNMSTILVAGAQANNLGYQCGGWTMTWQGGSGNTTVGTTILEGIKATVDPTSEVIYMENPNATAIKSNNFSYAIVVVGETPYAETMGDNHNLTITDPGPKIIEEVCGAIKCVVVLVSGRPLVIEPYINSIDALVAAWLPGTEGQGIADVLFGDYGFTGKLSRTWFKSVDQLPMNVGDKHYDPLFPFGFGLTTETTNSTSTSAAGVRGGNYNFV >DRNTG_12341.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20773769:20784653:1 gene:DRNTG_12341 transcript:DRNTG_12341.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDCNGSEIKMPEIKFTKLFINGHFLDSVSGKTFETRDPRTGNVITRVAEGDKADIDLAVNAARQAFDKGPWPRMSGYQRGRILMKLADLIDQNIEELASIDSLDGGKLLSHGKILDIPGCANLLRYYAGAADKIHGDTLKMSGEFQAYTLKEPVGVVGHIIPWNYPSNMFFCKVAPALAAGCTMVVKPAEQTPLSALYYCHLAKLAGIPDGVINVVTGFGPTAGAALASHMDVDAVSFTGSTEVGRLIMEAAAKSNLKTVSLELGGKSPVLIFDDADVDMAVALAHTSIFTNKGEICVAGSRIYVQEGIYDEFVKKAVEKCKNWVVGDPFNPQVHQGPQVDKMQFERVLKYIDIGKEEGATLLTGGKPCGEKGYYIEPTIFTDVKDDMKIAQDEIFGPVMSLIKFKTIEEVIEKANSTKNGLAAGIVTKDLNTANRVSRSIRSAVIWINCYFAFDRDCPYGGYKMSGFGRDLGLNALDKYLQVKSVVTPIFGSPWL >DRNTG_12341.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20780517:20784653:1 gene:DRNTG_12341 transcript:DRNTG_12341.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAQDEIFGPVMSLIKFKTIEEVIEKANSTKNGLAAGIVTKDLNTANRVSRSIRSAVIWINCYFAFDRDCPYGGYKMSGFGRDLGLNALDKYLQVKSVVTPIFGSPWL >DRNTG_12341.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20773769:20784653:1 gene:DRNTG_12341 transcript:DRNTG_12341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDCNGSEIKMPEIKFTKLFINGHFLDSVSGKTFETRDPRTGNVITRVAEGDKADIDLAVNAARQAFDKGPWPRMSGYQRGRILMKLADLIDQNIEELASIDSLDGGKLLSHGKILDIPGCANLLRYYAGAADKIHGDTLKMSGEFQAYTLKEPVGVVGHIIPWNYPSNMFFCKVAPALAAGCTMVVKPAEQTPLSALYYCHLAKLAGIPDGVINVVTGFGPTAGAALASHMDVDAVIDFAH >DRNTG_12341.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20773769:20777332:1 gene:DRNTG_12341 transcript:DRNTG_12341.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDCNGSEIKMPEIKFTKLFINGHFLDSVSGKTFETRDPRTGNVITRVAEGDKADIDLAVNAARQAFDKGPWPRMSGYQRGRILMKLADLIDQNIEELASIDSLDGGKLLSHGKILDIPGCANLLRYYAGAADKIHGDTLKMSGEFQAYTLKEPVGVVGHIIPWNYPSNMFFCKVAPALAAGCTMVVKPAEQTPLSALYYCHLAKLAGIPDGVINVVTGFGPTAGAALASHMDVDAVSFTGSTEVGRLIMEAAAKSNLKTVSLELGGKSPVLIFDDADVDMAVALAHTSIFTNKGEICVAGSRIYVQEGIYDEFVKKAVEKCKNWVVGDPFNPQVHQGPQVDKMQFERVLKYIDIGKEEGATLLTGGKPCGEKGYYIEPTIFTDVKDDMKIAQDEIFGPVMSLIKFKTIEEAIEKANSTKYGLAAGIVTKDLNTANRVSRSIRSGVIWINCYFAFDRDCPYGGYKMSGFGRDLGLNALDKYLQVKSVVTPIFGSPWL >DRNTG_12341.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20783193:20784653:1 gene:DRNTG_12341 transcript:DRNTG_12341.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAQDEIFGPVMSLIKFKTIEEVIEKANSTKNGLAAGIVTKDLNTANRVSRSIRSAVIWINCYFAFDRDCPYGGYKMSGFGRDLGLNALDKYLQVKSVVTPIFGSPWL >DRNTG_12341.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20780517:20784653:1 gene:DRNTG_12341 transcript:DRNTG_12341.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAQDEIFGPVMSLIKFKTIEEVIEKANSTKNGLAAGIVTKDLNTANRVSRSIRSAVIWINCYFAFDRDCPYGGYKMSGFGRDLGLNALDKYLQVKSVVTPIFGSPWL >DRNTG_23090.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1435136:1435885:1 gene:DRNTG_23090 transcript:DRNTG_23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPDLSISFTSKRSSPADSTSAYAESTSPA >DRNTG_35348.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13627749:13630844:1 gene:DRNTG_35348 transcript:DRNTG_35348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGHRFENAKNFKDALCDLAVKRNFNFLFIKNDKDRAIVTCLDEDCQWRVHASRDVKPSYIRD >DRNTG_24802.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31908732:31912308:-1 gene:DRNTG_24802 transcript:DRNTG_24802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPCDYCRRERAMVYCRSDAACLCLPCDRNVHSANALTHRHSRTLLCERCYSQPATIWCAEEKVSLCQHCDWIGHGSSVSLMGHKRQGISCYSGCPSAAELYRIWSFGLDHPPIQESNCKQVSGLMSINENSVSTYWSPSEANNTIDLTGLDMVHDLEDVSKFNTWIGSSSASAVNSVPCSFVQTAGSVTPKLCSNEKKDFGICKDNSLNEDFSVDDVDLSFENYEEIFGDFYNMSKFLEDDDMDGLFEMDMPATNSTCQSEFIQEASSGNVVSADSVMSNPGMNADSNLCIPSRQAHSSVSLSFSSLTGESSAGDYQDCGISSVLTNMVEPPWLPACLDSSSFSPANRDSAVKRYKEKKKNRKFEKKIRYTSRKVRADMRKRVKGRFVKAGDAYDYDPLSQARNC >DRNTG_03514.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:15266849:15269056:-1 gene:DRNTG_03514 transcript:DRNTG_03514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHCRILMKTRFTRYSASAPIHPHGDPDGVGCLPSSSDHSEVLSLDIGQRFDGVEHFRDVLRNHAIKRNFDFNFIKNEKHRVTVECAADGCRWRLHASKEYNRNTFRIKTIYPSHTCGSGIGSASHPKASKKWVSARVIQKLKDRPLYKAIDIEKDMFREHGVHIPYKQAWLGKEHARVVLDGSDISSYDCLLWYVDKVAETNPGSVAIVERDGDRFKRAFFSFSACIVGFKRACRPLLFLDGTHLLGKYRGTLLGATGKDGNNGFFHVAFGIVDNETDANWTWFISKLGDALYEDGDYHEIITFVSDRSKGLVSAIARVFPSSPHAYCLRHLEANFMKANVRLGKALREECWSICFRIAWASTAKDFDDTVNELQATSPEAHHWLINKSDMAHWSNYLFGGDRWGEMYSNVAESFNAWIKEARHLPVTKMVDSIRFKLMRMLCNRREQANKWETYLCPDIHSKVAIIVEDSRNLRVGRCVDDRYEVIDQCSNSVDLAIRTCSCRRWQVYGIPCKHACAAIMQTDTNVHRFISGYFTVDNYKLAYKEAIFPIPDDDRPSDGNRELRLRPPVTRRQPGRPRRKRIESQAFDVRELHCSRCHGS >DRNTG_05631.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6329439:6330526:-1 gene:DRNTG_05631 transcript:DRNTG_05631.10 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSHANVSRAWACFEHSNFFKVTAPEARPGQLRPGAHRRQRGRDGRCTPGGGPTGPAQGPTTSFLTATT >DRNTG_05631.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6326965:6328954:-1 gene:DRNTG_05631 transcript:DRNTG_05631.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRVFKSSAKDSARRPLGIALRGRRPRQVRRGGRCL >DRNTG_05631.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6325511:6330526:-1 gene:DRNTG_05631 transcript:DRNTG_05631.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSHANVSRAWACFEHSNFFKVTAPEARPGQLRPGAHRRQRGRDGRCTPGGGPTGPAQGPTTSFLTATT >DRNTG_05631.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6312587:6334119:-1 gene:DRNTG_05631 transcript:DRNTG_05631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRVFKSSAKDSARRPLGIALRGRRPRQVRRGGRCL >DRNTG_05631.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6312587:6328954:-1 gene:DRNTG_05631 transcript:DRNTG_05631.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRVFKSSAKDSARRPLGIALRGRRPRQVRRGGRCL >DRNTG_05631.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6324995:6333794:-1 gene:DRNTG_05631 transcript:DRNTG_05631.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSHANVSRAWACFEHSNFFKVTAPEARPGQLRPGAHRRQRGRDGRCTPGGGPTGPAQGTTRPVKAR >DRNTG_05631.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6326641:6334119:-1 gene:DRNTG_05631 transcript:DRNTG_05631.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSHANVSRAWACFEHSNFFKVTAPEARPGQLRPGAHRRQRGRDGRCTPGGGPTGPAQGPTTSFLTATT >DRNTG_05631.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6325511:6328954:-1 gene:DRNTG_05631 transcript:DRNTG_05631.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRVFKSSAKDSARRPLGIALRGRRPRQVRRGGRCL >DRNTG_05631.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6324642:6330526:-1 gene:DRNTG_05631 transcript:DRNTG_05631.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSHANVSRAWACFEHSNFFKVTAPEARPGQLRPGAHRRQRGRDGRCTPGGGPTGPAQGPTTSFLTATT >DRNTG_30731.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001620.1:3108:3811:-1 gene:DRNTG_30731 transcript:DRNTG_30731.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSLGSSGIFFLEDLGGCCIKPASSFHLVEGFLFLSLRRTSECWTTDAMIAGSAKSSHSSEISFTSAKSSAKNSWSSSSSSQSTSSSSRGSDTVGVMGG >DRNTG_30731.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001620.1:3108:7421:-1 gene:DRNTG_30731 transcript:DRNTG_30731.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGSAKSSHSSEISFTSAKSSAKNSWSSSSSSQSTSSSSRGSDTVGVMGG >DRNTG_08853.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27850334:27854051:-1 gene:DRNTG_08853 transcript:DRNTG_08853.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRSSLACVAFLFLCVSAYGACPVGWKVGPDNIKCFMYIGNSLSWDRSEELCQNYSGHLAALTSVQELGFAQSLCSNDANGCWVGGRGFNSTSGFIWKWSDNLSSWNGSVFPGEPFHFNCTNGPCQSNAPTDSCTLVTNGHVALVGERCNSSHKLICMRYQENTCNLKHCHEEYFIILAVVSGLILFTTLAVVIWLLAFRRSKKRRRSRKISCPSDAALVPPSWKVFTNEELRSITKNFSEGNRLLGDAKTGGTYSGLLPDGSRVAIKRLRRSNLQRKKEFYSEIGRVAKLHHPNLVALKGCCYDHGDRYIVYEFVANGPLDRWLHHLPRGGRSLDWVMRMRVATTLAQGIAFLHDKVKPHVVHRDIRASNVLLDEEFGAHLMGVGLSKFVSWEAMHERMVMAGTNGYLAPEFMYRNELTTKSDVYSFGVLLLEIVSGRRPAQAIDSVGWQSIFEWATPLVQSHRYLELLDPQILDVPEVGVVQKVVDLVYSCTQHVPSVRPRMSHVVHQLQQLGLRVAEHSRSGTSTSAASPMLPLELETAR >DRNTG_08853.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27850334:27854073:-1 gene:DRNTG_08853 transcript:DRNTG_08853.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRSSLACVAFLFLCVSAYGACPVGWKVGPDNIKCFMYIGNSLSWDRSEELCQNYSGHLAALTSVQELGFAQSLCSNDANGCWVGGRGFNSTSGFIWKWSDNLSSWNGSVFPGEPFHFNCTNGPCQSNAPTDSCTLVTNGHVALVGERCNSSHKLICMRYQENTCNLKHCHEEYFIILAVVSGLILFTTLAVVIWLLAFRRSKKRRRSRKISCPSDAALVPPSWKVFTNEELRSITKNFSEGNRLLGDAKTGGTYSGLLPDGSRVAIKRLRRSNLQRKKEFYSEIGRVAKLHHPNLVALKGCCYDHGDRYIVYEFVANGPLDRWLHHLPRGGRSLDWVMRMRVATTLAQGIAFLHDKVKPHVVHRDIRASNVLLDEEFGAHLMGVGLSKFVSWEAMHERMVMAGTNGYLAPEFMYRNELTTKSDVYSFGVLLLEIVSGRRPAQAIDSVGWQSIFEWATPLVQSHRYLELLDPQILDVPEVGVVQKVVDLVYSCTQHVPSVRPRMSHVVHQLQQLGLRVAEHSRSGTSTSAASPMLPLELETAR >DRNTG_06758.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000302.1:39159:39960:1 gene:DRNTG_06758 transcript:DRNTG_06758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWCPRTRAFLDLQHTDSSRAHCRGVYTTSGTVHQIGSDLLEPLHYETSYGHGSPGRDSWGREDENTSSPELGDDEIDGDDPQNPIKADTDGDQGTSFGRGATPVHMFSPSRAYDRFERLESAVGVLWTEIADVQAIQAAQYIEFMTPPTPPASSSHDPLAPFEYLVATDLIVDDTDI >DRNTG_16268.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000805.1:23942:28766:-1 gene:DRNTG_16268 transcript:DRNTG_16268.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKEPSTLNPLQSKLSQGIKKDNNHEFIHPSPSPPPLLPPLILPSKCKTSAS >DRNTG_25095.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:758542:766831:-1 gene:DRNTG_25095 transcript:DRNTG_25095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQLFSRNSQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGAEGFQKLFFGQEEIAIPVHSSVEAACSAHPTADVFINFASFRSAAGSSMSALKQPTIRVVAIIAEGVPESDTKQLIAYARANNKVILGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNELYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQIKMMVVLGELGGQDEYSLVEALKAGKVHKPVVAWVSGTCAKLFKSEVQFGHAGAKSGGELESAQGKNQTLREAGAIVPTSYEALETSIKETFEKLVDEGKITPVSEVTPPQIPEDLDTAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSTIVERGFGVGDVISLLWFKRSLPRYCTQFIEICVMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYERGLTPYEFVEGMKKKGIRVPGIGHRIKRGDNRDKRVQLLQDYGHNHFPSVKYLEYAVQVETYTLSKANNLVMNVDGAIASLFLDLLASCGMFTKQEMDEIVDIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYAK >DRNTG_21293.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27363317:27365471:1 gene:DRNTG_21293 transcript:DRNTG_21293.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLCPKGVESEATSNENCHVIKDTNQMIAQKYDFGAGQSPRFYVSKPELCKSATLDIEKRGLRIPNPPPKPTVSVSDVAKTDAPAAVPPPPPPPPPPPPPPPKFLKQNPGVMQRAPEVAELYHSLMKRDSRKESSGVAAL >DRNTG_21293.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27361628:27365471:1 gene:DRNTG_21293 transcript:DRNTG_21293.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDISNDNRTKACKIPDQNQNLRGNCKILKPKSNSSWGSQIVKGFSIDKKTKQQLSVVVNKNPPLPCSDTSSETNMLMSQNSRVKRALISDFPCSGNVSQVHPHVMDCHRIMSPSSRDLFLELDHLRNMLRESKERELVLRAELTEYKENSRLLELKEELEVKKIELEKLNSKISSLETEKSNLSEQLASLSSMFEHYKTHTPDQSTEKSSIFSWRLYGGP >DRNTG_24817.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31811714:31814191:1 gene:DRNTG_24817 transcript:DRNTG_24817.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQSHLLSLPLPHSPSSFLSKPRTPHLPLTYPSPIHQRTVPLLTLNPRPMNGFQPHIHHHQHHSIARQRLLLVCCSSIESQNNSEGNEEDMPVRKVRNKRVSDWTTSVLLFGFWAGLMFYIFQLTPNQTPVRDEYFLKKLLNLKGDDGFQMNEVLVAEWYIMGLWSLIYSMLLLPTGRSSQSKIPVWPFLVLSLVGGCYALIPYFVLWNPLPPPVEEDELEKWPLNFLESKITAAITLAAGLGCIVYAGLANEDIWKEFYQYVRESKFIHIMSIDFSLFTAFSPFWVYNDMAARKWKGSWLLPLALVPLLGPALYILLRPSLSALPTISAATTETE >DRNTG_14770.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20964537:20977568:1 gene:DRNTG_14770 transcript:DRNTG_14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICTLPKGTMWFDHNAHFNHFSGLRGSGGFLGNWNFPFYQCLEGKVSLKYSAFHQFAVQVNLLNRRCYLTLVVETVAELKVHMSGQHLEIIQRASFAVFTKLEPVYQEKARLDSLIQEYNGDYSTIVSKWSLARQ >DRNTG_20418.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29247419:29249445:1 gene:DRNTG_20418 transcript:DRNTG_20418.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 40 [Source:Projected from Arabidopsis thaliana (AT4G16270) UniProtKB/Swiss-Prot;Acc:O23474] MKKKNMAVIVLLACAYDLLLILSLAAANVQNGLTTECLDGAEDIVFAGVQQAVSGDPRMAASLLRLHFHDCFVLGCDASVLLDDTQNLVGEKTAGPNQNSLRGFDVIDSIKSELELTCPQTVSCADTLAIAARDSVLLSGGPTWEVEMGRKDSRTASLNGANNNIPGPNSTLTILINKFNNVGLSSKDMVALSGAHTIGKARCATFTGRLSGSNNAGEPPITNTEFIQSLQQLCTGGSSTLATLDLTTPATFRQ >DRNTG_34053.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26942450:26961316:1 gene:DRNTG_34053 transcript:DRNTG_34053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRVFDSPSTLTKFSQDKRSILPVGDITASILDDDADVAVLEEPEHLTWFHHGQKWKSKFRQVIGIVHTNYLEYVKREKHGQLLAFFLKYANSWVTRIYCHKIIRLSGATQDLPRSIICNVHGSNPKFLEIGKRKQEQRQRGDQCFTKGAYYIGKMVWSKGYRELLKLLSEHQEKLSDLQVDLYGTGEDSDQVQQSARKLNMDVRVYPGRDHADILFHDYKVFLNPSTTDVVCTTTAEALAMGKIVVCADHVSNDFFKQFPNCHTYSGNNEFVKITLKALSEEPVPLTDEQRYELSWEAATKRFLAAVELNQKISDKVPSSLKSKTQKLQRSIEEASAFLHQTMSGIETARCAFGAIPKTLQPDEQQCEELGLVLNRKENVSQTLML >DRNTG_34053.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26953747:26961316:1 gene:DRNTG_34053 transcript:DRNTG_34053.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISRKQHIAIFTTASLPWMTGTAVNPLFRAAYLAKYGDYEVVLVIPWLSLKDQELVYPNKITFNSPLEHEEYVRRWLEDRIAFALRFSISFYPAKFSQDKRSILPVGDITASILDDDADVAVLEEPEHLTWFHHGQKWKSKFRQVIGIVHTNYLEYVKREKHGQLLAFFLKYANSWVTRIYCHKIIRLSGATQDLPRSIICNVHGSNPKFLEIGKRKQEQRQRGDQCFTKGAYYIGKMVWSKGYRELLKLLSEHQEKLSDLQVDLYGTGEDSDQVQQSARKLNMDVRVYPGRDHADILFHDYKVFLNPSTTDVVCTTTAEALAMGKIVVCADHVSNDFFKQFPNCHTYSGNNEFVKITLKALSEEPVPLTDEQRYELSWEAATKRFLAAVELNQKISDKVPSSLKSKTQKLQRSIEEASAFLHQTMSGIETARCAFGAIPKTLQPDEQQCEELGLVLNRKENVSQTLML >DRNTG_01300.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1901757:1902049:-1 gene:DRNTG_01300 transcript:DRNTG_01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTWQSSLARCRKPAENKGSSFDPINTIFIVQKLN >DRNTG_04322.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22163582:22164342:1 gene:DRNTG_04322 transcript:DRNTG_04322.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCCRRKTNHQKVMEVQKITQTIQELEESLLATSA >DRNTG_00775.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:12801599:12805351:-1 gene:DRNTG_00775 transcript:DRNTG_00775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIKKLRKVNHNAPLEEKSKPVKTQLQKMIIDPKMIGSIVGVYNKEKIFNQVEIKPEMIGHYLTEFSISYKLVKHGRPGVIVRMSLFLHLRRIFLMRTKSLCLSEAIMSGKIVSANDKEEEPTFTITLKGKESRIFRSKEVKTRKSVFSRLMPKEVSQGLALVSHSKFDRKKRNEDEEMLDGDVEVHTVRMITEARGDSTAELEQPGSVTRFCRWVPDSQGMVREEGSRHTPHDTPKDYKGADPPNEDDEDEPIFGLDLNNIMKKFQEMENKEAKYKKMNENMMVLMDTVLHMEKHMAALMANGPE >DRNTG_12371.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1807269:1811127:-1 gene:DRNTG_12371 transcript:DRNTG_12371.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVNGRGDSTGVLSRQELLYLYSMVERVLIHLGHILADYIRHQGQYARLGVIFLGPYITRLVLGMGLLNSIRGAEKTSVPAPLGLETMRLMGMVRRVRTGVFALVLPAPKIVEDEGDDARASQPAPEPQPASMETEAPPAAEEPPLVRMFSPSRANDRFERLENAIGVVRAEVAEIRATQATQYIEFMERFDILQQILEQDVASSFVLQPRTLQAPPAPPAPPSSTPAPEDPLYASTSAAAAAEPESDSDT >DRNTG_02957.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22590838:22591569:1 gene:DRNTG_02957 transcript:DRNTG_02957.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQEFASFSGALDAFGTFDSLQDRWNLEPKSWWLVHGSSTPLLQGLALKLLGQPSSSSCCERNWSTYSFIHSLKRNKMNPQRAEDLVFVHTNLQLLSRSSPQYNEGLSKNWDIGGDLFDSFEGVGVLEVANLSLDEPEIEKILFSNEEDIEK >DRNTG_02957.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22589154:22591569:1 gene:DRNTG_02957 transcript:DRNTG_02957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKDKYYVATLIKDAIGEIGAHNVVQVITDNAPVCKAAGSLVEMQFSHIFWTPCVAHTLNLALKNICAAKNTEENEVTYDALCWITEIGDDAIFIRNFIMNHSMRLAIFNAFVSLKLLAIAETHFASVIIMLKRLKIIKRGLQTMVISEEWCSYKEDDVSKATKVKEIILNDSWWDKVDYILSFTTPIYDMLRVMDTDKPTLHLVYEMWNTMIEKVKTTIYMHEHRQLEERSTFYEVIYAILIDRWTKSSTPLHCMAHSLNPRYYSNEWLNEVPNRLAPHRDAEISEERNKCLRRYFPSNEERKMVFQEFASFSGALDAFGTFDSLQDRWNLEPKSWWLVHGSSTPLLQGLALKLLGQPSSSSCCERNWSTYSFIHSLKRNKMNPQRAEDLVFVHTNLQLLSRSSPQYNEGLSKNWDIGGDLFDSFEGVGVLEVANLSLDEPEIEKILFSNEEDIEK >DRNTG_27857.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001413.1:1800:2694:-1 gene:DRNTG_27857 transcript:DRNTG_27857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRPIFLPNLHPRHARKNKEHYREHSWTARYEILRELFRAKMRKDVVALTISTSMLSKPKKKKMAKKHNALAPKGVEGVVTNKGKAPMVRESESSKQAGKCFLCGEAGHWKRDYPQLRANGATESGKKMEAG >DRNTG_22134.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17484042:17492019:-1 gene:DRNTG_22134 transcript:DRNTG_22134.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVCVKNLPKYVNEDRIRDFFSQRGELTDVKLMRTRDGKSRQFAFVGFRSESEAREAIDYFDKSYMDTCRITCEVARKVGDPDIPRPWSSHSKKKGSSLDENNKDGSGMKVEKQGSSNVKVKNHKSTENKDPQLQEFLQVMQPRVKSKIWANDTLGEANLVDQGGKTDDEEEQPPKVKKKLSAKKHQSELVHDDSVEASPISQSHEVPGDESTDLDYFKSRVKKNWSDSESDDEEMHTGNAGNENKESKMMAGKGDLSDGSDGKNLDENHPSLPSDDHDSQALVTNRLFVRNLSYTTDEAELMELFSHYGDVSQVHIVVDKVTKYSKGFAFVQYKLPESAARALEELDHSIFQGRLLHVIPAKAQIVSTDQKSDRSAIQDNKSFKQKRKEQKKASETTGDTRAWNSLITHPNTVVENIARKHGISKSELLDREADDLAVRVALGETYVISETKKALSNAGVNIIALEESVSKRGGSIERSNKIILAKNLPYSTCERDLADMFGKFGSVDKIILPPTRVLALVIFLEASEAAKAFKHLIYEQYNGAVLYLEWAPSNILSQNVKAVKDGPAGVVSDENIKSLLLEQSIEGLTEDEIDPDRAESRTIYVKNLNFKTSDEILKKHFSDHMTKGSIRSVKVKTHIKNGKNLSMGFGFIEFDSVDTATNVCRELQGTVLDGHALILQLCHGKKDDQVLKRDEKDKSSTKLIVRNVAFEATEKDLRQLFSPFGQIKSLRLPMKVGKHRGFAFVEFVTKQEAKNAIQALSSTHLYGRHLVIEQAKAGETLEELRARTAAHFVDDHVGFQNQPSKKRKVS >DRNTG_22134.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17484042:17492019:-1 gene:DRNTG_22134 transcript:DRNTG_22134.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRDGKSRQFAFVGFRSESEAREAIDYFDKSYMDTCRITCEVARKVGDPDIPRPWSSHSKKKGSSLDENNKDGSGMKVEKQGSSNVKVKNHKSTENKDPQLQEFLQVMQPRVKSKIWANDTLGEANLVDQGGKTDDEEEQPPKVKKKLSAKKHQSELVHDDSVEASPISQSHEVPGDESTDLDYFKSRVKKNWSDSESDDEEMHTGNAGNENKESKMMAGKGDLSDGSDGKNLDENHPSLPSDDHDSQALVTNRLFVRNLSYTTDEAELMELFSHYGDVSQVHIVVDKVTKYSKGFAFVQYKLPESAARALEELDHSIFQGRLLHVIPAKAQIVSTDQKSDRSAIQDNKSFKQKRKEQKKASETTGDTRAWNSLITHPNTVVENIARKHGISKSELLDREADDLAVRVALGETYVISETKKALSNAGVNIIALEESVSKRGGSIERSNKIILAKNLPYSTCERDLADMFGKFGSVDKIILPPTRVLALVIFLEASEAAKAFKHLIYEQYNGAVLYLEWAPSNILSQNVKAVKDGPAGVVSDENIKSLLLEQSIEGLTEDEIDPDRAESRTIYVKNLNFKTSDEILKKHFSDHMTKGSIRSVKVKTHIKNGKNLSMGFGFIEFDSVDTATNVCRELQGTVLDGHALILQLCHGKKDDQVLKRDEKDKSSTKLIVRNVAFEATEKDLRQLFSPFGQIKSLRLPMKVGKHRGFAFVEFVTKQEAKNAIQALSSTHLYGRHLVIEQAKAGETLEELRARTAAHFVDDHVGFQNQPSKKRKVS >DRNTG_00212.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15769217:15770398:-1 gene:DRNTG_00212 transcript:DRNTG_00212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREIIGVTVGEVKMVIDMHHRKAEMGNYADAFIALPGGYGTLEELFEVISWAQLGIHKKPRYFHSSTKF >DRNTG_01010.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17944451:17952976:1 gene:DRNTG_01010 transcript:DRNTG_01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTKKSKGSHESDEIEYEVEVKESMMDQSQKRRSCLILSRWTRYESNEVMEIKAEKLFDRLLIHPENRWYQIWTQFILVWAVYSSFFTPLEFGFFRGLPKNLVFLDIAGQIAFLFDIVVHFLLAYRDSNTYRIVSNPSSIALRYVKSGFVLDFLSCFPWDAIYKACGEKEEVRYLLWIRLFRARKVTDFLEKLEKDIRINYLFIRIVKLIVVELYCTHTAACIFYYLATTLPQSMERYTWIGSLKLGDHTYAHFREIDLYTRYVTSLYFAIVTMATVGYGDIHAVNSREMLFIMIYLSFDMILGAYLIGNMTALIVKGSKTERFRDKMKELIKYMNRNKLDKETREQIKAHARLQYERSYTEASVLQDIPASIRAKISQRLYKPFIENIPLFNGCSPEFYHQIVINLHEEFFLPGEEVMEQESSVYQLYFVRHGVLEAVNIDKGGTETPVQKFEQNSSFGEIPILCNTKQLYAVRACDLCQLLRLDKQIFTNILQTYFADGRKILSNLLEGNKNNLDIKQLESDITFNIEKQEAESALRVNSAAFYGDLNHLKVLIKDGADPKKTDYDGRSPLHLAASGGNEDITSFLIQEGVDVNLTDKFGCTPLLEAIKNGHENVASILFREGARLSLSDAGSHLCMAVAKGDADFLRRLLTYGIDPNAKDYDHRTPLHVAVAEGLYFIAKILLEAGASVLSQDRWGNTPLEEAHKCGNRSLIKLLEDAKSKELQQQSPQLQVHFHQRRCIVYPFHPWDSNVTKMEGVMLWVPNSIEDLIKLSKEQLKCSGSFILSEDGVRILDAEMIADGQKLYLASDQDRPMHC >DRNTG_01010.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17944451:17952976:1 gene:DRNTG_01010 transcript:DRNTG_01010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIMIYLSFDMILGAYLIGNMTALIVKGSKTERFRDKMKELIKYMNRNKLDKETREQIKAHARLQYERSYTEASVLQDIPASIRAKISQRLYKPFIENIPLFNGCSPEFYHQIVINLHEEFFLPGEEVMEQESSVYQLYFVRHGVLEAVNIDKGGTETPVQKFEQNSSFGEIPILCNTKQLYAVRACDLCQLLRLDKQIFTNILQTYFADGRKILSNLLEGNKNNLDIKQLESDITFNIEKQEAESALRVNSAAFYGDLNHLKVLIKDGADPKKTDYDGRSPLHLAASGGNEDITSFLIQEGVDVNLTDKFGCTPLLEAIKNGHENVASILFREGARLSLSDAGSHLCMAVAKGDADFLRRLLTYGIDPNAKDYDHRTPLHVAVAEGLYFIAKILLEAGASVLSQDRWGNTPLEEAHKCGNRSLIKLLEDAKSKELQQQSPQLQGTSCF >DRNTG_01010.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17944451:17952976:1 gene:DRNTG_01010 transcript:DRNTG_01010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTKKSKGSHESDEIEYEVEVKESMMDQSQKRRSCLILSRWTRYESNEVMEIKAEKLFDRLLIHPENRWYQIWTQFILVWAVYSSFFTPLEFGFFRGLPKNLVFLDIAGQIAFLFDIVVHFLLAYRDSNTYRIVSNPSSIALRYVKSGFVLDFLSCFPWDAIYKACGEKEEVRYLLWIRLFRARKVTDFLEKLEKDIRINYLFIRIVKLIVVELYCTHTAACIFYYLATTLPQSMERYTWIGSLKLGDHTYAHFREIDLYTRYVTSLYFAIVTMATVGYGDIHAVNSREMLFIMIYLSFDMILGAYLIGNMTALIVKGSKTERFRDKMKELIKYMNRNKLDKETREQIKAHARLQYERSYTEASVLQDIPASIRAKISQRLYKPFIENIPLFNGCSPEFYHQIVINLHEEFFLPGEEVMEQESSVYQLYFVRHGVLEAVNIDKGGTETPVQKFEQNSSFGEIPILCNTKQLYAVRACDLCQLLRLDKQIFTNILQTYFADGRKILSNLLEGNKNNLDIKQLESDITFNIEKQEAESALRVNSAAFYGDLNHLKVLIKDGADPKKTDYDGRSPLHLAASGGNEDITSFLIQEGVDVNLTDKFGCTPLLEAIKNGHENVASILFREGARLSLSDAGSHLCMAVAKGDADFLRRLLTYGIDPNAKDYDHRTPLHVAVAEGLYFIAKILLEAGASVLSQDRWGNTPLEEAHKCGNRSLIKLLEDAKSKELQQQSPQLQGTSCF >DRNTG_25217.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20110207:20111650:1 gene:DRNTG_25217 transcript:DRNTG_25217.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPSWPLFSASFLELVQYRARLIEPPKLRRYIVPPTPVHFISPNPNELSVDTCSPASFYPPTMSVHESPEPNPNPNLNSISAGEKRKRKRNLPGTPDPKAEVVALSPRTLLTASSFTCEVCGREFRRDQNLQIHRRGHNLPWRATPSSKDAEVATTTKKRVYVCPEESCVFHDPSRALSDLTGIKKHYSRKHGEKKWKCDKCSKWYAVRTDWKAHVRVCGTREYECACGTLFSRRDSFVVHRASCESLGDNDDGDERIDCKVRTNTVNNELLFPSLWGQSSSISGTKDEQKGIA >DRNTG_25217.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20109992:20111650:1 gene:DRNTG_25217 transcript:DRNTG_25217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPSWPLFSASFLELVQYRARLIEPPKLRRYIVPPTPVHFISPNPNELSVDTCSPASFYPPTMSVHESPEPNPNPNLNSISAGEKRKRKRNLPGTPDPKAEVVALSPRTLLTASSFTCEVCGREFRRDQNLQIHRRGHNLPWRATPSSKDAEVATTTKKRVYVCPEESCVFHDPSRALSDLTGIKKHYSRKHGEKKWKCDKCSKWYAVRTDWKAHVRVCGTREYECACGTLFSRRDSFVVHRASCESLGDNDDGDERIDCKVRTNTVNNELLFPSLWGQSSSISGTKDEQKGIA >DRNTG_25217.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20110207:20111697:1 gene:DRNTG_25217 transcript:DRNTG_25217.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPSWPLFSASFLELVQYRARLIEPPKLRRYIVPPTPVHFISPNPNELSVDTCSPASFYPPTMSVHESPEPNPNPNLNSISAGEKRKRKRNLPGTPDPKAEVVALSPRTLLTASSFTCEVCGREFRRDQNLQIHRRGHNLPWRATPSSKDAEVATTTKKRVYVCPEESCVFHDPSRALSDLTGIKKHYSRKHGEKKWKCDKCSKWYAVRTDWKAHVRVCGTREYECACGTLFSRRDSFVVHRASCESLGDNDDGDERIDCKVRTNTVNNELLFPSLWGQSSSISGTKDEQKGIA >DRNTG_25217.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20110207:20111650:1 gene:DRNTG_25217 transcript:DRNTG_25217.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPSWPLFSASFLELVQYRARLIEPPKLRRYIVPPTPVHFISPNPNELSVDTCSPASFYPPTMSVHESPEPNPNPNLNSISAGEKRKRKRNLPGTPDPKAEVVALSPRTLLTASSFTCEVCGREFRRDQNLQIHRRGHNLPWRATPSSKDAEVATTTKKRVYVCPEESCVFHDPSRALSDLTGIKKHYSRKHGEKKWKCDKCSKWYAVRTDWKAHVRVCGTREYECACGTLFSRYTTSFSSLFRYCFPVMLKVGIFVGS >DRNTG_21109.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001202.1:2875:4135:-1 gene:DRNTG_21109 transcript:DRNTG_21109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTWINLTSRASEEYINGVTNFLDFAFAKLSEDGKIWCPCVKCVNTYRVSRREAFDHIICDGFLKGYVRWIFHGKTTEVATSTVISNEEEIVFDNDIHELLHDMMAEEDMNVDVHNIQLEESHWGQHYTHRIHNDNFYSLLKDAEVELYPGCKNFTKFSFAIYLFHIKCFNGWTNKSFNALLEL >DRNTG_21109.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001202.1:2875:3937:-1 gene:DRNTG_21109 transcript:DRNTG_21109.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTWINLTSRASEEYINGVTNFLDFAFAKLSEDGKIWCPCVKCVNTYRVSRREAFDHIICDGFLKGYVRWIFHGKTTEVATSTVISNEEEIVFDNDIHELLHDMMAEEDMNVDVHNIQLEESHWGQHYTHRIHNDNFYSLLKDAEVELYPGCKNFTKFSFAIYLFHIKCFNGWTNKSFNALLEL >DRNTG_21109.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001202.1:2875:3450:-1 gene:DRNTG_21109 transcript:DRNTG_21109.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTWINLTSRASEEYINGVTNFLDFAFAKLSEDGKIWCPCVKCVNTYRVSRREAFDHIICDGFLKGYVRWIFHGKTTEVATSTVISNEEEIVFDNDIHELLHDMMAEEDMNVDVHNIQLEESHWGQHYTHRIHNDNFYSLLKDAEVELYPGCKNFTKFSFAIYLFHIKCFNGWTNKSFNALLEL >DRNTG_05443.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11989462:11992149:1 gene:DRNTG_05443 transcript:DRNTG_05443.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGHSKRVKRTVPRSDNVYLKLLFKRTGSKFNAVVLKRLFMSKTNRPPISLHRLIKFMDGKVSIFLVSIFFAIWCFFVDFFFLVIFGGNFS >DRNTG_05443.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11989462:11992149:1 gene:DRNTG_05443 transcript:DRNTG_05443.17 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGHSKRVKRTVPRSDNVYLKLLFKLYRFLVQRTGSKFNAVVLKRLFMSKTNRPPISLHRLIKFMDGKVSIFLVSIFFAIWCFFVDFFFLVIFGGNFS >DRNTG_05443.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11989462:11992149:1 gene:DRNTG_05443 transcript:DRNTG_05443.16 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGHSKRVKRTVPRSDNVYLKLLFKRTGSKFNAVVLKRLFMSKTNRPPISLHRLIKFMDGKEVN >DRNTG_05443.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11989462:11992149:1 gene:DRNTG_05443 transcript:DRNTG_05443.15 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGHSKRVKRTVPRSDNVYLKLLFKRTGSKFNAVVLKRLFMSKTNRPPISLHRLIKFMDGKVSIFLVSIFFAIWCFFVDFFFLVIFGGNFS >DRNTG_05443.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11989462:11992149:1 gene:DRNTG_05443 transcript:DRNTG_05443.14 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGHSKRVKRTVPRSDNVYLKLLFKLYRFLVQRTGSKFNAVVLKRLFMSKTNRPPISLHRLIKFMDGKEVN >DRNTG_05443.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11989462:11992149:1 gene:DRNTG_05443 transcript:DRNTG_05443.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGHSKRVKRTVPRSDNVYLKLLFKLYRFLVQRTGSKFNAVVLKRLFMSKTNRPPISLHRLIKFMDGKVSIFLVSIFFAIWCFFVDFFFLVIFGGNFS >DRNTG_05443.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11989462:11992149:1 gene:DRNTG_05443 transcript:DRNTG_05443.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGHSKRVKRTVPRSDNVYLKLLFKLYRFLVQRTGSKFNAVVLKRLFMSKTNRPPISLHRLIKFMDGKVSIFLVSIFFAIWCFFVDFFFLVIFGGNFS >DRNTG_05443.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11989462:11992149:1 gene:DRNTG_05443 transcript:DRNTG_05443.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGHSKRVKRTVPRSDNVYLKLLFKLYRFLVQRTGSKFNAVVLKRLFMSKTNRPPISLHRLIKFMDGKVSIFLVSIFFAIWCFFVDFFFLVIFGGNFS >DRNTG_05443.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11989462:11992149:1 gene:DRNTG_05443 transcript:DRNTG_05443.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGHSKRVKRTVPRSDNVYLKLLFKRTGSKFNAVVLKRLFMSKTNRPPISLHRLIKFMDGKVSIFLVSIFFAIWCFFVDFFFLVIFGGNFS >DRNTG_05443.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11989462:11992149:1 gene:DRNTG_05443 transcript:DRNTG_05443.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGHSKRVKRTVPRSDNVYLKLLFKLYRFLVQRTGSKFNAVVLKRLFMSKTNRPPISLHRLIKFMDGKVSIFLVSIFFAIWCFFVDFFFLVIFGGNFS >DRNTG_05443.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11989462:11992149:1 gene:DRNTG_05443 transcript:DRNTG_05443.12 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGHSKRVKRTVPRSDNVYLKLLFKRTGSKFNAVVLKRLFMSKTNRPPISLHRLIKFMDGKVSIFLVSIFFAIWCFFVDFFFLVIFGGNFS >DRNTG_05443.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11989462:11992149:1 gene:DRNTG_05443 transcript:DRNTG_05443.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGHSKRVKRTVPRSDNVYLKLLFKRTGSKFNAVVLKRLFMSKTNRPPISLHRLIKFMDGKVSIFLVSIFFAIWCFFVDFFFLVIFGGNFS >DRNTG_05443.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11989462:11992149:1 gene:DRNTG_05443 transcript:DRNTG_05443.11 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGHSKRVKRTVPRSDNVYLKLLFKRTGSKFNAVVLKRLFMSKTNRPPISLHRLIKFMDGKVSIFLVSIFFAIWCFFVDFFFLVIFGGNFS >DRNTG_05443.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11989462:11992149:1 gene:DRNTG_05443 transcript:DRNTG_05443.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGHSKRVKRTVPRSDNVYLKLLFKRTGSKFNAVVLKRLFMSKTNRPPISLHRLIKFMDGKVSIFLVSIFFAIWCFFVDFFFLVIFGGNFS >DRNTG_05443.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11989462:11992149:1 gene:DRNTG_05443 transcript:DRNTG_05443.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGHSKRVKRTVPRSDNVYLKLLFKRTGSKFNAVVLKRLFMSKTNRPPISLHRLIKFMDGKVSIFLVSIFFAIWCFFVDFFFLVIFGGNFS >DRNTG_05443.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11989462:11992149:1 gene:DRNTG_05443 transcript:DRNTG_05443.18 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGHSKRVKRTVPRSDNVYLKLLFKRTGSKFNAVVLKRLFMSKTNRPPISLHRLIKFMDGKVSIFLVSIFFAIWCFFVDFFFLVIFGGNFS >DRNTG_05443.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11989462:11992149:1 gene:DRNTG_05443 transcript:DRNTG_05443.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGHSKRVKRTVPRSDNVYLKLLFKLYRFLVQRTGSKFNAVVLKRLFMSKTNRPPISLHRLIKFMDGKVSIFLVSIFFAIWCFFVDFFFLVIFGGNFS >DRNTG_07575.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22063316:22063756:1 gene:DRNTG_07575 transcript:DRNTG_07575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKLPKCAANYTPLSPVTFLKRASMVYSHRTSIIYKSTHFTWNQTHQRCLRLASSLRSLGITKNDVVSVLAPNIPAMYEMHFAVPMAGAVLNTINTRLDAANVATLLAHSEAKLLFVDYQFVPPRSPSSLPSPIISRVSGCHRHR >DRNTG_24179.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3396553:3402267:1 gene:DRNTG_24179 transcript:DRNTG_24179.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPITLSSSRSLLSKAQTLDSELNPGLECSDDDSKSMVRTYVRRKKAKRLVGDVEKNPNGEAPPDQKVVANLPDIEEFAYGKVEGSKTSTELVLKKTSNTVEPPAHWEEVLEGIRKMRSSEDAPVDSMGCEKAGSLLPPKERRFAVLVSSLLSSQTKDHVTHGAVQRLSEKGLLDADAIIKTDEASLASLIYPVGFYTRKSQYMKKIANICLEKYGGDIPRSLDGLLSLPGMGPKMAHLVMNVAWDDVQGICVDTHVHRISNRLGWVSKPGTGKKTSSPEQTRISLEKWLPKDEWVPINPLLVGFGQTVCTPVRPRCGVCSINELCPSAFKEASSPGSRAKKSGARIKKQ >DRNTG_24179.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3396553:3402267:1 gene:DRNTG_24179 transcript:DRNTG_24179.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPITLSSSRSLLSKAQTLDSELNPGLECSDDDSKSMVRTYVRRKKAKRLVGDVEKNPNGEAPPDQKVANLPDIEEFAYGKVEGSKTSTELVLKKTSNTVEPPAHWEEVLEGIRKMRSSEDAPVDSMGCEKAGSLLPPKERRFAVLVSSLLSSQTKDHVTHGAVQRLSEKGLLDADAIIKTDEASLASLIYPVGFYTRKSQYMKKIANICLEKYGGDIPRSLDGLLSLPGMGPKMAHLVMNVAWDDVQGICVDTHVHRISNRLGWVSKPGTGKKTSSPEQTRISLEKWLPKDEWVPINPLLVGFGQTVCTPVRPRCGVCSINELCPSAFKEASSPGSRAKKSGARIKKQ >DRNTG_24179.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3396553:3402267:1 gene:DRNTG_24179 transcript:DRNTG_24179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPITLSSSRSLLSKAQTLDSELNPGLECSDDDSKSMVRTYVRRKKAKRLVGDVEKNPNGEAPPDQKVVANLPDIEEFAYGKVEGSKTSKLVLKKTSNTVEPPAHWEEVLEGIRKMRSSEDAPVDSMGCEKAGSLLPPKERRFAVLVSSLLSSQTKDHVTHGAVQRLSEKGLLDADAIIKTDEASLASLIYPVGFYTRKSQYMKKIANICLEKYGGDIPRSLDGLLSLPGMGPKMAHLVMNVAWDDVQGICVDTHVHRISNRLGWVSKPGTGKKTSSPEQTRISLEKWLPKDEWVPINPLLVGFGQTVCTPVRPRCGVCSINELCPSAFKEASSPGSRAKKSGARIKKQ >DRNTG_25835.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001338.1:4704:6187:1 gene:DRNTG_25835 transcript:DRNTG_25835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGKHRWRLSFHRSRSPSPSPEPPPEFLCPLSSTLMADPVIVPSGHTFERAVIQACNDLHFVPPIISSQSSPLLLIPNSALASAISRWCELSGHPHPVPLPLDSALALVRRLMAVDDGGPKSCSTSSEDATPTPTPTATASAFSVSSSSSSEIVDETLDDPLEIEILNKIKDPRVSEQESGLISLRRATREGQERRISLCTRRLLAALRPMLVSRYESIQGNAVAALVNLSLEAKNKVPVVRSGAVPALVDVLRCGHDEARDHAAGAIFSLAMEDENKAAIGVLGAVPPLIHLLCRSVEKDLARRDAGMAIYHLCLASSNRARVMKTPGAVRGLLGVAKEGGEIGKVAMRVIGVIAGAGEGRTAMMDAGAVSVMVEMMKKGIDEEGCVVAMYGMSRGSGWRFRGLAREVGAEEVVERVVEKEGRTEMVKEMGRRVVKTMRGEMEMEMEMEMGRSVRSENGEWLGRKMMMGRRDLGGG >DRNTG_27213.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:815009:818666:1 gene:DRNTG_27213 transcript:DRNTG_27213.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTQTQAQALTERIQVDGDPVEAVLDHGTGELRWRSGDDDRRLFVESEMLGIEMKGMIITIRAFVDAPERCCFVSSSGRRVRRDFLLEMPSETSAMIWTERLKDCIDSLGRPKRLFIIVNPFGGKKVAPQIFQNEVRPLMEAAGILYTVQETNHRLHAQEIAQSMNLDQYDGIVCVSGDGILAEVVNGLLQREDWHTAIKMPLGIVPAGTSNGMAKSLLDSVGQVYSISNAAFSIIRGHTRKLDVASILQGEAQFFSVLMLTWGFMADVDIESEKYRWMGSARIGFYVCLVYLSCFLPLFVVNCFGLYCNALVCEIAILLQPYYEFIFFQTTYSS >DRNTG_27213.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:815009:818666:1 gene:DRNTG_27213 transcript:DRNTG_27213.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTQTQAQALTERIQVDGDPVEAVLDHGTGELRWRSGDDDRRLFVESEMLGIEMKGMIITIRAFVDAPERCCFVSSSGRRVRRDFLLEMPSETSAMIWTERLKDCIDSLGRPKRLFIIVNPFGGKKVAPQIFQNEVRPLMEAAGILYTVQETNHRLHAQEIAQSMNLDQYDGIVCVSGDGILAEVVNGLLQREDWHTAIKMPLGIVPAGTSNGMAKSLLDSVGQVYSISNAAFSIIRGHTRKLDVASILQGEAQFFSVLMLTWGILNMHNVALSIASSKVVFAPTPLVLLS >DRNTG_27213.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:815009:818666:1 gene:DRNTG_27213 transcript:DRNTG_27213.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTQTQAQALTERIQVDGDPVEAVLDHGTGELRWRSGDDDRRLFVESEMLGIEMKGMIITIRAFVDAPERCCFVSSSGRRVRRDFLLEMPSETSAMIWTERLKDCIDSLGRPKRLFIIVNPFGGKKVAPQIFQNEVRPLMEAAGILYTVQETNHRLHAQEIAQSMNLDQYDGIVCVSGDGILAEVVNGLLQREDWHTAIKMPLGIVPAGTSNGMAKSLLDSVGQVYSISNAAFSIIRGHTRKLDVASILQGEAQFFSVLMLTWGFMADVDIESEKYRWMGSARIGFYSLLRVMNLRKYHGCVKFVPAPGYELYGEPLCQDEISKDNPHMQESRGGSRVQHYHGPQTSLQGMEWRSINGPFVLVCLNNVPWPVEDVLLAPEAKFSDGYLDMTIIRDCPKTALLMMLLKMDEGDHVKSDYVMHLKVKALRLEPGQCVGNPKKGGIVDCDGEIIARGEDACSCHQQDSLMAYGPPIQVTVDKGLATIFSPR >DRNTG_27213.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:815009:819925:1 gene:DRNTG_27213 transcript:DRNTG_27213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTQTQAQALTERIQVDGDPVEAVLDHGTGELRWRSGDDDRRLFVESEMLGIEMKGMIITIRAFVDAPERCCFVSSSGRRVRRDFLLEMPSETSAMIWTERLKDCIDSLGRPKRLFIIVNPFGGKKVAPQIFQNEVRPLMEAAGILYTVQETNHRLHAQEIAQSMNLDQYDGIVCVSGDGILAEVVNGLLQREDWHTAIKMPLGIVPAGTSNGMAKSLLDSVGQVYSISNAAFSIIRGHTRKLDVASILQGEAQFFSVLMLTWGFMADVDIESEKYRWMGSARIGFYSLLRVMNLRKYHGCVKFVPAPGYELYGEPLCQDEISKDNPHMQESRGGSRVQHYHGPQTSLQGMEWRSINGPFVLVCLNNVPWPVEDVLLAPEAKFSDGYLDMTIIRDCPKTALLMMLLKMDEGDHVKSDYVMHLKVKALRLEPGQCVGNPKKGGIVDCDGEIIARGEDACSCHQQDSLMAYGPPIQVTVDKGLATIFSPRDVCGFESL >DRNTG_27213.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:815009:818666:1 gene:DRNTG_27213 transcript:DRNTG_27213.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTQTQAQALTERIQVDGDPVEAVLDHGTGELRWRSGDDDRRLFVESEMLGIEMKGMIITIRAFVDAPERCCFVSSSGRRVRRDFLLEMPSETSAMIWTERLKDCIDSLGRPKRLFIIVNPFGGKKVAPQIFQNEVRPLMEAAGILYTVQETNHRLHAQEIAQSMNLDQYDGIVCVSGDGILAEVVNGLLQREDWHTAIKMPLGIVPAGTSNGMAKSLLDSVGQVYSISNAAFSIIRGHTRKLDVASILQGEAQFFSVLMLTWGILNMHNVALSIASSKVVFAPTPLVLLS >DRNTG_10131.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19086713:19089975:-1 gene:DRNTG_10131 transcript:DRNTG_10131.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSLSSLLLLILLLLPFFAFSSEPGLNDDVLGLIVFKADLQDPSSKLLAWNEDDDAPCRWPGVLCDPKTSLVSELSLSGFSLSGKIGVRGLLRLRSLRKLSLSRNNFSGPLSPEILRLESLRTLDLSENSLSGPIPDGFFSQCRSLRTLSLANNAFSGEIPANVGSCSTLAAMNLSSNQLSGALPSGLWDLNALRMLDLSDNLFSGEVPTGVHRMFNLRTISLRGNRLSGVLPEDIGACLLLKSLDLGRNSFSGELPVSMQRLSMCQYLSLGSNSFAVEVPHWIGELIRLQFLDLSDNKFSGEVPDSISELTALKEVKLSGNNFTGSLPESMAACKSLSDVDFSRNSFTGGIPSWLFQSTLQRVLISENKLSDSLRIPKMNHSTITVLDLSGNAFSGVIPDDISSIQSVQSLNLSHNSFSGHIHANIGDLRSLEIIDFSHNLLNGSIPSEIGKLISLKSLNLSQNNLTGSLPPTLANSMNLQTIDISHNKFSGTLPKQLSDLPHLHSFNISHNLFSGPVPTGHFFDTIPASSLSDNPSLCGSAVHRTCPAVLPKPIVLNPNSSSPNNSSHKASPEFLGHKKTILSISTLIAIGAAAVIAIGVIGITVLNLRVRSMAPHSAAALALFDDNVSRSETTEANSGKLVMFSGEPEFSAGAHAVLNKDCELGRGGFGTVYKTTLKDGRPVAIKKLTVSSMVKSQDEFEKVIKKLAKIRHPNLVALEGYYWTSSLQLLIYEFLSGGSLYKHLHENSASNTLSWRERLNIILGIARSLAHLHQLNIIHYNLKSSNVLIDGTGVPKVGDYGLAKLLPMLDRYVISSKIQSALGYMAPEFACRSVKITEKCDVYAFGVLILEIMTGRRPVEYMEDDVVVLCDVMREAMEEGKADEFVDGKLQGCIPAEEMVPVIKLGLICTSQVPSNRPEMSEVVNILELVSCSQGSSICAEIS >DRNTG_31826.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001751.1:21125:21894:-1 gene:DRNTG_31826 transcript:DRNTG_31826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGARLRANPEPTKGVGRLRQQDGGHGSRNPLRSV >DRNTG_05393.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:17670477:17671715:1 gene:DRNTG_05393 transcript:DRNTG_05393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFDFLRDLLRPGSSVPPAPPSSTPAPEDPLFASTSAATAAEPESDSDT >DRNTG_29465.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:811081:816252:-1 gene:DRNTG_29465 transcript:DRNTG_29465.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKIISCVFELYEQLFTARQGDRTAPQFFTHIRSLLDELDIYQPLVLDLATLRRYRNEVAVITYLSGLSLEMQNQVKSSILSSERMPDLAAVYARVLRLSSTSTSPSPAVSSDQSALLASRGRGGKGSSRGGRGAWSPAVTNASTEVVDPSPTSSAEQFFTISQADFSRFQQLQGTTSHTTVSSSVSSGNAFLASRDSSWIIDSGTSSHMIGTKSFFQNLSPSIFRSVVITDGRSCLVSGEGVVQASSQLRLNDVLYVPEFPVNLLSVSAITKHLNCSVTIFPFHCIFQDLQTGKRIGLGRNRGDGVYTLVRDDIPRGLAASVSDFESSLIWHCKLGHPSLSHLQQTLPWIRVESFQCESCQLGKHHRATFKRSTLVSSRSLFDLVHCDVWGPSKVTSISGHRYYVVFVDDFSLVSWVYLLKDRRSIADVLQKFILEIKNQFSTVLKCLRTDNALEFVSSAVNSLCASFGIIHQTTCPHTSQQNGVAERKHCHILDVARTLSRA >DRNTG_29465.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:811776:816252:-1 gene:DRNTG_29465 transcript:DRNTG_29465.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKIISCVFELYEQLFTARQGDRTAPQFFTHIRSLLDELDIYQPLVLDLATLRRYRNEVAVITYLSGLSLEMQNQVKSSILSSERMPDLAAVYARVLRLSSTSTSPSPAVSSDQSALLASRGRGGKGSSRGGRGAWSPAVTNASTEVVDPSPTSSAEQFFTISQADFSRFQQLQGTTSHTTVSSSVSSGNAFLASRDSSWIIDSGTSSHMIGTKSFFQNLSPSIFRSVVITDGRSCLVSGEGVVQASSQLRLNDVLYVPEFPVNLLSVSAITKHLNCSVTIFPFHCIFQDLQTGKRIGLGRNRGDGVYTLVRDDIPRGLAASVSDFESSLIWHCKLGHPSLSHLQQTLPWIRVESFQCESCQLGKHHRATFKRSTLVSSRSLFDLVHCDVWGPSKVTSISGHRYYVVFVDDFSLVSWVYLLKDRRSIADVLQKFILEIKNQFSTVLKCLRTDNALEFVSSAVNSLCASFGIIHQTTCPHTSQQNGVAERKHCHILDVARTLSRA >DRNTG_29465.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:812000:816252:-1 gene:DRNTG_29465 transcript:DRNTG_29465.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKIISCVFELYEQLFTARQGDRTAPQFFTHIRSLLDELDIYQPLVLDLATLRRYRNEVAVITYLSGLSLEMQNQVKSSILSSERMPDLAAVYARVLRLSSTSTSPSPAVSSDQSALLASRGRGGKGSSRGGRGAWSPAVTNASTEVVDPSPTSSAEQFFTISQADFSRFQQLQGTTSHTTVSSSVSSGNAFLASRDSSWIIDSGTSSHMIGTKSFFQNLSPSIFRSVVITDGRSCLVSGEGVVQASSQLRLNDVLYVPEFPVNLLSVSAITKHLNCSVTIFPFHCIFQDLQTGKRIGLGRNRGDGVYTLVRDDIPRGLAASVSDFESSLIWHCKLGHPSLSHLQQTLPWIRVESFQCESCQLGKHHRATFKRSTLVSSRSLFDLVHCDVWGPSKVTSISGHRYYVVFVDDFSLVSWVYLLKDRRSIADVLQKFILEIKNQFSTVLKCLRTDNALEFVSSAVNSLCASFGIIHQTTCPHTSQQNGVAERKHCHILDVARTLSRA >DRNTG_32934.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19047281:19047784:1 gene:DRNTG_32934 transcript:DRNTG_32934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLILLSSLSKQSRARDGEKTRMGHCSYAPGVTLSPSTLVMVEEQAETP >DRNTG_08965.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000392.1:37995:38753:-1 gene:DRNTG_08965 transcript:DRNTG_08965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSSKSPLERDLSTRNSWKSRDSEGVGLAIIASLEKIYPTQWTRKVLISLNVNIKKMKGLEAKCDENVHHEKTKYPPLDYLSFCYMCEKRLDGKDIYMYRGEKAFCSPECRCEQIRKDEYQERKCRSLFGVSSSSSQYSDRLFFTSIEAA >DRNTG_04658.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30548892:30550962:1 gene:DRNTG_04658 transcript:DRNTG_04658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSARLLRRALRSSPSLFPVQSQATTLSTSPTRFSSSTLFGDDDAATKNPPPPLTTPKLFVSGLSRLTTDGKLHDVFAQFGQLVEAKVITDRVSGRSKRVWLCYICNH >DRNTG_20461.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4629156:4629496:1 gene:DRNTG_20461 transcript:DRNTG_20461.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLAGDRRGEEDGGCEVVDGGLFQGVWVVGDEDRQGGASAKDSHTEILTLGDGGGRA >DRNTG_21886.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19570744:19572955:-1 gene:DRNTG_21886 transcript:DRNTG_21886.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 711A1 [Source:Projected from Arabidopsis thaliana (AT2G26170) UniProtKB/Swiss-Prot;Acc:B9DFU2] MDIEFIMSSSYLYTLMAMALGFFVFYLYVPYFAVRKVPGPPVLPFVGNLPLLAKHGPDLFSLLASKYGPIFRFHMGRQPLVVIADPELCKEVGIKKFKCMTNRSLPSAISGSPIHQKGLFSSRDARWSAMRNAIVSLYQPSHLASLIPTMQTYIKSLASHIASNSSTEDINFSSLSLKLATDVIGQAAFGVDFGLLDKENGKQIDDQNEVTEFIKEHIYATTSLKMDLSGSFSIILGLLIPMLQAPCRRFLKMIPGTADRKIHQTNFKLNKRVDEIVVRRSKEKMRGSKDFLSAILNANDKDKASRQLFTPDYISGLTYEHLLAGSTTTSFTISSVLYLVSKHPEVEKKLIDEIDQFGPDHNTPNADDLQNKFPYLDQVIKESMRIHTTSPLIARLASQKVEIGGYILPKNTWVWMAPGVLHKDPKHFPEPDVFRPERFDPAGEEEKNRHAYAFFPFGIGPRVCIGQKFALQEIKLTIIHLYSQYIFKHSVKMESPVEFDYGIIVNFKHGVKLHAIKRTKNQ >DRNTG_23042.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3286327:3289995:-1 gene:DRNTG_23042 transcript:DRNTG_23042.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKWAGTTPKLNIWDLDMMREILQNKSGEILKPRVNPLIRLLVMGVASLEGEVWAHRRKLINPAFHMEKLKVPLHVLAEFHDSFMLNANANILFGLNSNLKV >DRNTG_15536.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2963887:2975163:-1 gene:DRNTG_15536 transcript:DRNTG_15536.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVCLPAAGAGHCPPSLRRHRVPKPRKAQPGRFLCSVNKEVSSFGECESSFKGMKGVACGLLAAWAVTATSPVFAANQRLPPLSTEPNRCERAFVGNTIGQANGVYDKPLDLRFCDYTNEKTNLKGKSLSAALMSDAKFDGADMSEVIMSKAYAVGASFKGADFSNAVLDRVNFEKADLQGAIFRNAVLSGSTFGEAKLEDAVFEDTIIGYIDLQKLCTNTSISEEGRALLGCR >DRNTG_30051.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18622534:18628605:-1 gene:DRNTG_30051 transcript:DRNTG_30051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAESAIRSDDQLSGTGAGRDQEFQSDVKKLVDLLSKLNPSAKEFVPSSRAGVLAADGRQAGGHRLSADAPVFVSSAEYYGNGGSGGDGSIKDSSSDGSSGNQNNQQQRRRRNGYNQGRRRMSERARRAQKEESIRRTVYVSDIDQHVTEEHLAELFSYCGKVVDCRVCGDTNSLLRFAFIEFADDYGARAALTLGGTMLGFYPVRVLPSKTAILPVNPTFLPKSDDEKEMVTRTVYCTNIDKKVTQMDVKIFFNKYCGEVSRLRLLGDHVHKTRIAFVEFIQAESAIIALSCSGMFIGDLPIRVSPSKTPVRPRVTRQQFAN >DRNTG_30051.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18622534:18628605:-1 gene:DRNTG_30051 transcript:DRNTG_30051.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAESAIRSDDQLSGTGAGRDQEFQSDVKKLVDLLSKLNPSAKEFVPSSRAGVLAADGRQAGGHRLSADAPVFVSSAEYYGNGGSGGDGSIKDSSSDGSSGNQNNQQQRRRRNGYNQGRRRMSERARRAQKEESIRRTVYVSDIDQHVTEEHLAELFSYCGKVVDCRVCGDTNSLLRFAFIEFADDYGARAALTLGGTMLGFYPVRVLPSKTAILPVNPTFLPKSDDEKEMVTRTVYCTNIDKKVTQMDVKIFFNKYCGEVRTLPSV >DRNTG_04625.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8990988:9006445:-1 gene:DRNTG_04625 transcript:DRNTG_04625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSSLFKAVFRTLLSFCPTFLSFEGPSATVLRGFWKVFGRVLQIQHHALLGRRLLGELSTASIQRGRYCSRFCSEPAEKVKFRESTRNQGAQSIFNNYRESKCGKWAEDVLSSSSQPNYIHEGLDNGLERPPELTYSINEAVIDKVPLRMSRKCTVKATSKRAKVKKGVRQSIKMGYPDNAPPRNLSKTLANTPRNPSRSPHSSWGKDGEKNTKIRAELALNRAGIGRPHGRGCSTRPCGISIRAWIISTRPCGFFASLISRPAVNSAATVFVQCSAIIFDLNSFPIPYFHRECSSVTAFVPLQMDVPTRIRGGRVVRPIMKERSQCGSQCTDFGGNLAKVIDSGELVLIALIVWLAPDPWETTRNHSMESGKFLHSNSLFIVLHFPLFSTDFGTLRAMYDIRLQPTHHNDFCINLLNFIFNAL >DRNTG_18214.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1114908:1115873:1 gene:DRNTG_18214 transcript:DRNTG_18214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKNENPQIRKDINPKKKKLLKKIKITKN >DRNTG_30960.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17812496:17813747:1 gene:DRNTG_30960 transcript:DRNTG_30960.3 gene_biotype:protein_coding transcript_biotype:protein_coding CFPSSSELLQWPQREGRHIPTLRRTHYSESPPDFKEKKQAKDSDLTTDRPRCSSSFQLHR >DRNTG_30960.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17803527:17813747:1 gene:DRNTG_30960 transcript:DRNTG_30960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLRRSVLFGLHCEIFGLTSLFHSSNWTTSNPPVHVDAFLPPPSSTDRPRCSSSFQLHR >DRNTG_30960.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17812496:17813747:1 gene:DRNTG_30960 transcript:DRNTG_30960.2 gene_biotype:protein_coding transcript_biotype:protein_coding CFPSSSELLQWPQREGRHIPTLRRTHYSESPPDFKEKKQAKDSDLTTDRPRCSSSFQLLQWQQRDI >DRNTG_30960.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17812496:17813747:1 gene:DRNTG_30960 transcript:DRNTG_30960.4 gene_biotype:protein_coding transcript_biotype:protein_coding HPLSITPFTVSSPPRSSSNGGRERERERERERERERGAMNSEIHKQRNRRCHLR >DRNTG_28501.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:15060662:15070719:-1 gene:DRNTG_28501 transcript:DRNTG_28501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLGKKARKFARKKLQSVQRMKRKKNSFFKRKAPSHKNLGATEDSELLEKPTVGQLSGIANDEVILNNFLDTSSDEGTDELVEDSSDSDGFLSEDSECPYISDKEDENIFGDKCDHSALVEQNKQLAAEIEQHKKKLERLLKKDPKFSEYLVKQKALLEKSRREENSSDEEEGLHSPVEDTIEGKNEEKPLSSSTVDVWCWMVLEQPKGPALRNLLNGFHAACQYGIETSGVSSQKVSSREAFSKIVTFVLLEADGIFCQFLGLSGPTNKEGSLNFRNKSEWESLRPLVKCYLRSSLILINQVTDREILIFALTRLRSSLKFFSDFPSLSGRLTKISVHLWISGDEKISLASFMILRDISSNLSSDWVDVCLKNMYKAFLRHCKSVEPDNLKHIKFLVDSIVQVYSLEIQRSYPNVQSSVQQLANVLKQAIKMKKKEDLKKISCWQYILCTNLWVEFISCNARNHDLQQVLFMLINIIRGISHLFPGPRYVPLRLKCVQMLNRLSLSCGVFIPVACMVFDCLEQKTSGSTGTRTKSVKLSSLLKVPKHLLKSEAFQEECVLSVIEILSAHFSQWKHHVSFPDLATIPLILLKKFHVKAPSESLRRPVKRLIDQVERNIEFVAKKRDEVSFSPNDQASVEAFLQLESGANTPFAQYYVSISKNSHSRTMIGG >DRNTG_28501.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:15060662:15065363:-1 gene:DRNTG_28501 transcript:DRNTG_28501.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEQPKGPALRNLLNGFHAACQYGIETSGVSSQKVSSREAFSKIVTFVLLEADGIFCQFLGLSGPTNKEGSLNFRNKSEWESLRPLVKCYLRSSLILINQVTDREILIFALTRLRSSLKFFSDFPSLSGRLTKISVHLWISGDEKISLASFMILRDISSNLSSDWVDVCLKNMYKAFLRHCKSVEPDNLKHIKFLVDSIVQVYSLEIQRSYPNVQSSVQQLANVLKQAIKMKKKEDLKKISCWQYILCTNLWVEFISCNARNHDLQQVLFMLINIIRGISHLFPGPRYVPLRLKCVQMLNRLSLSCGVFIPVACMVFDCLEQKTSGSTGTRTKSVKLSSLLKVPKHLLKSEAFQEECVLSVIEILSAHFSQWKHHVSFPDLATIPLILLKKFHVKAPSESLRRPVKRLIDQVERNIEFVAKKRDEVSFSPNDQASVEAFLQLESGANTPFAQYYVSISKNSHSRTMIGG >DRNTG_28501.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:15060662:15068298:-1 gene:DRNTG_28501 transcript:DRNTG_28501.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQISVHLWISGDEKISLASFMILRDISSNLSSDWVDVCLKNMYKAFLRHCKSVEPDNLKHIKFLVDSIVQVYSLEIQRSYPNVQSSVQQLANVLKQAIKMKKKEDLKKISCWQYILCTNLWVEFISCNARNHDLQQVLFMLINIIRGISHLFPGPRYVPLRLKCVQMLNRLSLSCGVFIPVACMVFDCLEQKTSGSTGTRTKSVKLSSLLKVPKHLLKSEAFQEECVLSVIEILSAHFSQWKHHVSFPDLATIPLILLKKFHVKAPSESLRRPVKRLIDQVERNIEFVAKKRDEVSFSPNDQASVEAFLQLESGANTPFAQYYVSISKNSHSRTMIGG >DRNTG_28277.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2904673:2907992:-1 gene:DRNTG_28277 transcript:DRNTG_28277.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMMRPKNSGGLGQFYVSQAQQGTVMPWWVGSQAISGEASGQEKSLNVDQLHGGNPLTYVTGQMHQALEQRLGPDSVISGKGFTDTTKFTIFPEPKDSGKGEKTQELPVGISLPPEYQSCFELGLGQSMVCSNYPYVDQSYGLCAPFGAQTAGRMLLPLNTTDEGPIYVNAKQYNGIMRRREA >DRNTG_28277.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2904673:2908360:-1 gene:DRNTG_28277 transcript:DRNTG_28277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMMRPKNSGGLGQFYVSQAQQGTVMPWWVGSQAISGEASGQEKSLNVDQLHGGNPLTYVTGQMHQALEQRLGPDSVISGKGFTDTTKFTIFPEPKDSGKGEKTQELPVGISLPPEYQSCFELGLGQSMVCSNYPYVDQSYGLCAPFGAQTAGRMLLPLNTTDEGPIYVNAKQYNGIMRRREA >DRNTG_05494.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30258129:30259186:-1 gene:DRNTG_05494 transcript:DRNTG_05494.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSHSEKDVKAPNIFERAKEEIEAMVHKSKKHDKETHGTSNDIDEDTPVDKVKGPGIFERAKEEIEALVGTIHHKKDDHKQHSKD >DRNTG_05494.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30258129:30259111:-1 gene:DRNTG_05494 transcript:DRNTG_05494.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSHSEKDVKAPNIFERAKEEIEAMVHKSKKHDKETHGTSNDIDEDTPVDKVKGPGIFERAKEEIEALVGTIHHKKDDHKQHSKD >DRNTG_05494.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30257706:30259111:-1 gene:DRNTG_05494 transcript:DRNTG_05494.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSHSEKDVKAPNIFERAKEEIEAMVHKSKKHDKETHGTSNDIDEDTPVDKVKGPGIFERAKEEIEALVGTIHHKKDDHKQHSKD >DRNTG_05494.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30257706:30259111:-1 gene:DRNTG_05494 transcript:DRNTG_05494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSHSEKDVKAPNIFERAKEEIEAMVHKSKKHDKETHGTSNDIDEDTPVDKVKGPGIFERAKEEIEALVGTIHHKKDDHKQHSKD >DRNTG_30661.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8589770:8590075:1 gene:DRNTG_30661 transcript:DRNTG_30661.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPHVAGLMALLKKKYPKWSPAAIQSAIITSADDVDLAGKPFIHMKNGKP >DRNTG_08716.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4928374:4928885:-1 gene:DRNTG_08716 transcript:DRNTG_08716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMKNTKIAVMKMMVIKNTTLVVMKNRGGTLNDRDVLRPKHQHVISNLDLKVHEFVGTSVESQECEENSVS >DRNTG_27007.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26900517:26901200:-1 gene:DRNTG_27007 transcript:DRNTG_27007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSVIQIPLSTTKTTTTELKELTQSPPTITTTKSPSSNSSTIVIDKTLSSAANLAKLLPSGTVLALQTLSPSFANKGNCYTSNRYLTSTLILFCTISCIFFSFTDSLIGSDGKLYYGLATFKGFYVFNYVGEEEDYDKVFKGLKKLRITTLDYVHAFFSSLVFFSITFSDASIQSCFFPNAGKDTKEFLVNLPLGAGFLSALVFMIFPTSRKGVGYSDATPTQQY >DRNTG_33723.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1250887:1256678:-1 gene:DRNTG_33723 transcript:DRNTG_33723.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKEYWEFSTEERIFLLKFLCDEVLNSALVREHLDQCIDKSNDLQQKLRSLSWQWKNLKFKEEMLLVKTMKENATKASGVGDARKDGVSYMVPKHNALVEQHSASTFNSKLSSTPDSSENNLNMSFMAIDNSLDVGAGSTLGKNICPEWKEMLEKHDNDSSPQTCTLYDLPDSKVDSMAVDVSDDGIDNRPKQRIQLSNLNAEKLDADATPDEQVVVPSQEDGDGTQEDAMDGIEQESLQNRSVGVGSFDEDGHTINNVPTVETNNAVLHSMDIVDGSSVLSDNGGTLMEGNTERMPLGSGVNMSARSILSFDQDMQGNKSVVASVCESETSNLELNSLRKEISNLQDSILALEFELMKSSLRRDLCRDSVGRLYWILGGPSERQFLFVDGNMPQRRGYKRKETDKLNTGILSSSTSVFQPHMNTRTPNSLFSSCSDLNDSSCNSSYWVYESESEIEELVRWLRNSGPKERDLKDWILQWRKLELHQARNHIVDNHLPLSESFVNENVTNIHLLTTNAMLILEKKYGPCLEAEVYEAFKRRGKKTKTNCDEKMYRCECLELVWPSRHHCVSCHQTFCAVSEFESHSDGKCTPVNPAEGKESDDLLRMKIMRADSSRERVQSGADIADVMKNTKSTVSSKQLNFPRKLCPYDLDDICRRFVTRDSNKDLVKDIGLIGSDGVPSFVPSSATYSPDATLVSNQFKNIDPALKSGFLSSEAQPSVSAQRAGVDASPVDVYNVDERLAQLSPNSSVRDGGEKILKGSTLECAATGKHTSSIVNKTKELNANLCHAIPASKNSCMFPDSSLRPLVSKNYQILKRLKINLLDMDAALPDEAFRPSKANSMRRCAWRALVKSAESISEMVQATILFEGMIKTENLKNGWWYWSSLTAAAKMPTISSLALRLFTLDDSIIYVKPSLPVVDPAPTESVKPATKIGKKRKEMEV >DRNTG_33723.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1248552:1256678:-1 gene:DRNTG_33723 transcript:DRNTG_33723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKEYWEFSTEERIFLLKFLCDEVLNSALVREHLDQCIDKSNDLQQKLRSLSWQWKNLKFKEEMLLVKTMKENATKASGVGDARKDGVSYMVPKHNALVEQHSASTFNSKLSSTPDSSENNLNMSFMAIDNSLDVGAGSTLGKNICPEWKEMLEKHDNDSSPQTCTLYDLPDSKVDSMAVDVSDDGIDNRPKQRIQLSNLNAEKLDADATPDEQVVVPSQEDGDGTQEDAMDGIEQESLQNRSVGVGSFDEDGHTINNVPTVETNNAVLHSMDIVDGSSVLSDNGGTLMEGNTERMPLGSGVNMSARSILSFDQDMQGNKSVVASVCESETSNLELNSLRKEISNLQDSILALEFELMKSSLRRDLCRDSVGRLYWILGGPSERQFLFVDGNMPQRRGYKRKETDKLNTGILSSSTSVFQPHMNTRTPNSLFSSCSDLNDSSCNSSYWVYESESEIEELVRWLRNSGPKERDLKDWILQWRKLELHQARNHIVDNHLPLSESFVNENVTNIHLLTTNAMLILEKKYGPCLEAEVYEAFKRRGKKTKTNCDEKMYRCECLELVWPSRHHCVSCHQTFCAVSEFESHSDGKCTPVNPAEGKESDDLLRMKIMRADSSRERVQSGADIADVMKNTKSTVSSKQLNFPRKLCPYDLDDICRRFVTRDSNKDLVKDIGLIGSDGVPSFVPSSATYSPDATLVSNQFKNIDPALKSGFLSSEAQPSVSAQRAGVDASPVDVYNVDERLAQLSPNSSVRDGGEKILKGSTLECAATGKHTSSIVNKTKELNANLCHAIPASKNSCMFPDSSLRPLVSKNYQILKRLKINLLDMDAALPDEAFRPSKANSMRRCAWRALVKSAESISEMVQATILFEGMIKTENLKNGWWYWSSLTAAAKMPTISSLALRLFTLDDSIIYVKPSLPVVDPAPTESVKPATKIGKKRKEMEV >DRNTG_33723.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1248552:1255212:-1 gene:DRNTG_33723 transcript:DRNTG_33723.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYCVQRIFLLKFLCDEVLNSALVREHLDQCIDKSNDLQQKLRSLSWQWKNLKFKEEMLLVKTMKENATKASGVGDARKDGVSYMVPKHNALVEQHSASTFNSKLSSTPDSSENNLNMSFMAIDNSLDVGAGSTLGKNICPEWKEMLEKHDNDSSPQTCTLYDLPDSKVDSMAVDVSDDGIDNRPKQRIQLSNLNAEKLDADATPDEQVVVPSQEDGDGTQEDAMDGIEQESLQNRSVGVGSFDEDGHTINNVPTVETNNAVLHSMDIVDGSSVLSDNGGTLMEGNTERMPLGSGVNMSARSILSFDQDMQGNKSVVASVCESETSNLELNSLRKEISNLQDSILALEFELMKSSLRRDLCRDSVGRLYWILGGPSERQFLFVDGNMPQRRGYKRKETDKLNTGILSSSTSVFQPHMNTRTPNSLFSSCSDLNDSSCNSSYWVYESESEIEELVRWLRNSGPKERDLKDWILQWRKLELHQARNHIVDNHLPLSESFVNENVTNIHLLTTNAMLILEKKYGPCLEAEVYEAFKRRGKKTKTNCDEKMYRCECLELVWPSRHHCVSCHQTFCAVSEFESHSDGKCTPVNPAEGKESDDLLRMKIMRADSSRERVQSGADIADVMKNTKSTVSSKQLNFPRKLCPYDLDDICRRFVTRDSNKDLVKDIGLIGSDGVPSFVPSSATYSPDATLVSNQFKNIDPALKSGFLSSEAQPSVSAQRAGVDASPVDVYNVDERLAQLSPNSSVRDGGEKILKGSTLECAATGKHTSSIVNKTKELNANLCHAIPASKNSCMFPDSSLRPLVSKNYQILKRLKINLLDMDAALPDEAFRPSKANSMRRCAWRALVKSAESISEMVQATILFEGMIKTENLKNGWWYWSSLTAAAKMPTISSLALRLFTLDDSIIYVKPSLPVVDPAPTESVKPATKIGKKRKEMEV >DRNTG_33723.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1248552:1256678:-1 gene:DRNTG_33723 transcript:DRNTG_33723.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKEYWEFSTEERIFLLKFLCDEVLNSALVREHLDQCIDKSNDLQQKLRSLSWQWKNLKFKEEMLLVKTMKENATKASGVGDARKDGVSYMVPKHNALVEQHSASTFNSKLSSTPDSSENNLNMSFMAIDNSLDVGAGSTLGKNICPEWKEMLEKHDNDSSPQTCTLYDLPDSKVDSMAVDVSDDGIDNRPKQRIQLSNLNAEKLDADATPDEQVVVPSQEDGDGTQEDAMDGIEQESLQNRSVGVGSFDEDGHTINNVPTVETNNAVLHSMDIVDGSSVLSDNGGTLMEGNTERMPLGSGVNMSARSILSFDQDMQGNKSVVASVCESETSNLELNSLRKEISNLQDSILALEFELMKSSLRRDLCRDSVGRLYWILGGPSERQFLFVDGNMPQRRGYKRKETDKLNTGILSSSTSVFQPHMNTRTPNSLFSSCSDLNDSSCNSSYWVYESESEIEELVRWLRNSGPKERDLKDWILQWRKLELHQARNHIVDNHLPLSESFVNENVTNIHLLTTNAMLILEKKYGPCLEAEVYEAFKRRGKKTKTNCDEKMYRCECLELVWPSRHHCVSCHQTFCAVSEFESHSDGKCTPVNPAEGKESDDLLRMKIMRADSSRERVQSGADIADVMKNTKSTVSSKQLNFPRKLCPYDLDDICRRFVTRDSNKDLVKDIGLIGSDGVPSFVPSSATYSPDATLVSNQFKNIDPALKSGFLSSEAQPSVSAQRAGVDASPVDVYNVDERLAQLSPNSSVRDGGEKILKGSTLECAATGKHTSSIVNKTKELNANLCHAIPASKNSCMFPDSSLRPLVSKNYQILKRLKINLLDMDAALPDEAFRPSKANSMRRCAWRALVKSAESISEMVQATILFEGMIKTENLKNGWWYWSSLTAAAKMPTISSLALRLFTLDDSIIYVKPSLPVVDPAPTESVKPATKIGKKRKEMEV >DRNTG_33723.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1248552:1256678:-1 gene:DRNTG_33723 transcript:DRNTG_33723.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKEYWEFSTEERIFLLKFLCDEVLNSALVREHLDQCIDKSNDLQQKLRSLSWQWKNLKFKEEMLLVKTMKENATKASGVGDARKDGVSYMVPKHNALVEQHSASTFNSKLSSTPDSSENNLNMSFMAIDNSLDVGAGSTLGKNICPEWKEMLEKHDNDSSPQTCTLYDLPDSKVDSMAVDVSDDGIDNRPKQRIQLSNLNAEKLDADATPDEQVVVPSQEDGDGTQEDAMDGIEQESLQNRSVGVGSFDEDGHTINNVPTVETNNAVLHSMDIVDGSSVLSDNGGTLMEGNTERMPLGSGVNMSARSILSFDQDMQGNKSVVASVCESETSNLELNSLRKEISNLQDSILALEFELMKSSLRRDLCRDSVGRLYWILGGPSERQFLFVDGNMPQRRGYKRKETDKLNTGILSSSTSVFQPHMNTRTPNSLFSSCSDLNDSSCNSSYWVYESESEIEELVRWLRNSGPKERDLKDWILQWRKLELHQARNHIVDNHLPLSESFVNENVTNIHLLTTNAMLILEKKYGPCLEAEVYEAFKRRGKKTKTNCDEKMYRCECLELVWPSRHHCVSCHQTFCAVSEFESHSDGKCTPVNPAEGKESDDLLRMKIMRADSSRERVQSGADIADVMKNTKSTVSSKQLNFPRKLCPYDLDDICRRFVTRDSNKDLVKDIGLIGSDGVPSFVPSSATYSPDATLVSNQFKNIDPALKSGFLSSEAQPSVSAQRAGVDASPVDVYNVDERLAQLSPNSSVRDGGEKILKGSTLECAATGKHTSSIVNKTKELNANLCHAIPASKNSCMFPDSSLRPLVSKNYQILKRLKINLLDMDAALPDEAFRPSKANSMRRCAWRALVKSAESISEMVQATILFEGMIKTENLKNGWWYWSSLTAAAKMPTISSLALRLFTLDDSIIYVKPSLPVVDPAPTESVKPATKIGKKRKEMEV >DRNTG_04991.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4253712:4255493:1 gene:DRNTG_04991 transcript:DRNTG_04991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHQNNDTSALSSSSSSSSSSSSCLLVLLLYILLLSPSPSFSSFSGSSSLPSSSSCHCSRDLKKLMQVLSNKMS >DRNTG_34185.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7073433:7075263:1 gene:DRNTG_34185 transcript:DRNTG_34185.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMDIVGKSKEDVSLPKATMFKIIKEMLPPDVRVARDAQDLIVECCVGKLCSLSCYLFILHAHSFIYFLAAINSALANSSIGWFL >DRNTG_34185.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7073433:7075921:1 gene:DRNTG_34185 transcript:DRNTG_34185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMDIVGKSKEDVSLPKATMFKIIKEMLPPDVRVARDAQDLIVECCVEFINLVSSESNEVCSREDKRTIAPEHVLKALE >DRNTG_26084.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4105297:4107785:-1 gene:DRNTG_26084 transcript:DRNTG_26084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAEGEVIKNNKKVLLKKYVSGFPQESDLEVVTNETILLKVPQGSKAVLVKNLYLSCDPFLRVLMSKFAEAHYIEPFHPGTVIRGFGVSRVLESGHPDFKEGEYVWGITGWEEYSLITDTEKIFKIPFTDVPLSYYTGLLGMTGLTAYIGFNEICSPKEGEYVFISAASGAVGQLVGQLAKLKGCYVVGTAGSDEKVELLKTKFGFDDAFNYKTEKSLRAAVRRCFPKGIDIYFDNVGGALLDAALLNMRDNGRISACGMISQYNLEKLEGVHFLVKVITKKLTMKGFNVADHFNLYPKFLEVIMPHIKNGEITYLEDKVEGIENVPAALIGLFTGKNVGKQFVVLAD >DRNTG_15934.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:862980:869745:-1 gene:DRNTG_15934 transcript:DRNTG_15934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRPGEESDGDTCQDTSSEGTEGEADQNLRPSSKHPVCQETFLSDDVEFCNLAERPIFEYFERDPPYAREPLADKISSLVSRFPDLKTYKSCDLLPDSWISVAWYPIYRIPVGPTLRDLDACFLTFHSLATPFLSTNKIHREGYKNEMPTELRLPTFGLASYKLKGSSWISNSLHEPQQANSLHQAAEDWLRRRQVDHPDFRFFISRPR >DRNTG_17132.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000881.1:20383:21170:1 gene:DRNTG_17132 transcript:DRNTG_17132.1 gene_biotype:protein_coding transcript_biotype:protein_coding QIKVCVSPNSLSVPKAICLSSVTGPSQNNRNHLFTLGDPRLNTDGR >DRNTG_07351.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000332.1:37278:41287:-1 gene:DRNTG_07351 transcript:DRNTG_07351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGETTNHAHIHRQRASAPVRSSVTRTWQRFH >DRNTG_29593.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1217237:1218741:-1 gene:DRNTG_29593 transcript:DRNTG_29593.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:petN MDIVSLAWAALMVVFSFSLSLVVWGRSGL >DRNTG_11569.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8028855:8035311:1 gene:DRNTG_11569 transcript:DRNTG_11569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSSSPTPRLFKANPIRGSSRKPFTRISCSSDSSPAKATTSSKNRRPAEENIREEAARRDHANPSNGHGLSAWYVPFGAQEDGEERYSLDEIVYRSQSGGLLDVRHDLSALKKFPGSYWRSLFDSRVGHTTWPYGSGVWSKKEWVLPEIDGEDIVSLFEGNSNLFWAERFGKDYLGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRRRLKRPIVGVGCASTGDTSAALSAYCAAARIPAIVFLPADRISVAQLVQPIANGATVLSLDTDFDGCMRLIREVTAEFPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVVVPGGNLGNIYAFYKGFEMCRDLGLVDRVPRLVCAQAANANPLYLYYKAGWTGFQPITASPTFASAIQIGDPVSIDRAVFAIRSTDGIVEEATEEELMDAMAQADRTGMFACPHTGVALAALIKLRDSGVIGPHDRTVVVSTAHGLKFTQAKVDYHSKEIVDMTCRYANPPVNVKADFGSVMDTLKKKLAGML >DRNTG_23866.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20853266:20855745:1 gene:DRNTG_23866 transcript:DRNTG_23866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACQKLFEMGTKIIAVGRNYAAHAKELGNAVPKEPVLFMKPTSSYLRNGGTIEIPHALESLHYEVELAIVIGRKARDVAESSAMDYVGGYALALDMTAREIQDSAKSAGLPWIVAKGQDTFTPISEVLPKSMVADPHNIELWLKVDDEIKQKGSTSDMIFKLPFLISHISSFFTLMEGDVILTGTPQGVGPVKTGQKITAGITGLIDVHFDVQKRKAPIIH >DRNTG_05411.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5342654:5344008:1 gene:DRNTG_05411 transcript:DRNTG_05411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEEVKNMPNDAFPAKEVPNDAFSSHGSPSFKSW >DRNTG_01923.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32377667:32379400:1 gene:DRNTG_01923 transcript:DRNTG_01923.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like calmodulin-binding protein (ZWICHEL) [Source:Projected from Arabidopsis thaliana (AT5G65930) UniProtKB/TrEMBL;Acc:F4JXM5] MVSVENVTVLPISSYEELTTVVFRGSERRHIAGTNMNEESSRSHLILSIIIESTNLQTQSLSRGKLSFVDLAGSERVKKSGSAGKQLKEAQSINKSLSALGDVISALASDGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLDETQNSLVYASRVRCITNDPSKNVSSKEVVRLKKLVAYWKEQAGKHGADEELEEISEERPTKEKTDVRIST >DRNTG_01923.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32365482:32379400:1 gene:DRNTG_01923 transcript:DRNTG_01923.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like calmodulin-binding protein (ZWICHEL) [Source:Projected from Arabidopsis thaliana (AT5G65930) UniProtKB/TrEMBL;Acc:F4JXM5] MIADNHPAAAQNGSISRSSFPSRNGSATPLHSSASSANGDGYDSDGSNASYAPPTPSTLSMSVPAELAGAIPLIDRFQVEGFLRAMHKQIQSAGKRGFFSKRSVGPQVREKYTLEDMLCFQKDPIPTSLLKISTDLVSRSIKLFQIILKYIGVDSSDKLTTLSLDERIELVSKLYKHTLKRSELRDELFAQISKQTRNNPDRSSLLKAWELMHLCASSMPPSKDIGAYLSEYVHSIAHGLNVDPEVQVLALNTLNALKCSVKAGPRATIPAHEEVEALLTGKKLTTIVFFLDETFEEITYDMATTVADAVEELAGIIKLSVYSSFNLFECRKVVNGSKTADISNEEYIGLDDNKYIGDLLAEFKVAKDRSKGEILHCKLIFKKRLFRESDDAVVDPMFIQLSYVQLQHDYILGNYPVGRDDAAQLSALQILVEIGFVEHPESCAEWTSLLERFLPRQIALTRGKRDWELDIISRYNLMEHMSKDDARQQFLRILKTLPYGNSIFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKSRSSASGSIQGDISPVVKPPSLDIHEKRAQELLKTVEESQKNADRLSEELHAKQKHEAELQEELDALRDTLQSEIHNLREVISDRDRLKTLCDEKESLLQAALLEKCNMESKAAKCNTLDKFPSESNAKREGLIGSNNRKKEDAFVASNIRNSKDDVQALIKIQEELKACVQELHASRESCKTLMKDKMLLEQKIQRLEKKKNDEKEALERNFDEERKMLKTRISELEKNLEIVTHNLGMVESTLNMRNAEVDALQINFKELEELRELKEDTDRKNEQTAAILKRQGEQLAELEGLYKEEQVLRKRYYNIIEDMKGKIRVFCRLRPLTEKEIAENERDIVFGLDEFTVEHPSKDDKLKQHIYDRVFDQTASQDEVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSESNPGLTPRASSELFKVMKRDSSKYSFSLKMYMVELYQDNLVDLLLPKNVKHPRLEIKKDSKGMVSVENVTVLPISSYEELTTVVFRGSERRHIAGTNMNEESSRSHLILSIIIESTNLQTQSLSRGKLSFVDLAGSERVKKSGSAGKQLKEAQSINKSLSALGDVISALASDGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLDETQNSLVYASRVRCITNDPSKNVSSKEVVRLKKLVAYWKEQAGKHGADEELEEISEERPTKEKTDVRIST >DRNTG_16507.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5056805:5059016:1 gene:DRNTG_16507 transcript:DRNTG_16507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLVMLVLLISKTVADSFNKGVYDQIVKMKGLPFMEAHAEPYMRNLVAGDVVSGPLITFSGVEKVGNIVHALRLTGHNAFPVVNEPPFSDAPELCGLVLRSHLLVLLKRKRFTRERVATGVIEVLRRFDAFDFAKAGSGKGVKLEDLDIQEEEMDMYVDLHPITNTSPYTVVETMSLAKAAVLFRELGLRHLCVVPKTPGRPPVAGILTRHDFMPEHILGLFPRVKSND >DRNTG_03925.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15061296:15064747:1 gene:DRNTG_03925 transcript:DRNTG_03925.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTIIVRRNYLHYVKKYQRYEKRHSNIAAHISPCFRVKEGDHVIIGQCRPLAKTVRFNVLKVIPAGSTGGGGKKGFTAV >DRNTG_32928.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001931.1:23900:24842:-1 gene:DRNTG_32928 transcript:DRNTG_32928.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNTISEFPVNLTKIKLRLCRLYEDPMPKLGMLQHLVTLKLIENVYLGETMMCSAGGFPRLESLFISSRFLDNKKMLLVQQWEAMPNLEEWRIERGAMPKLTFLYFTSCKKLKMLPDLQHATSLQTLELYHMSEELMLRSEEGRADWHKIQHVPKRTLYTEVMP >DRNTG_25412.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24589374:24590610:-1 gene:DRNTG_25412 transcript:DRNTG_25412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPTTITHLDEKMLLSSLLTSELYSQMPQGENKVRRRRKKAKGDAASSESKKRRLSDEQVKFLEMNFGKERKLESGRKVHLATELGLDPKQVAVWFQNRRARWKNKQMEEEYQRLKSMHDSVVLEKCHLENEVLRLKGRLSEAEEKIRNLSGGPNGAAAVASPSSPPSTVTHQPLYQELGMDGEADLMYINEYNYNNYLMDLSWYGM >DRNTG_23623.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30195221:30195717:-1 gene:DRNTG_23623 transcript:DRNTG_23623.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKCGRVDNALTLFERMAERNVVSWNAMLSGLSMQGMGLRVLHLFTRMVTEGEEQPDDITMVSVLSACSRSGLVEEGRNMFQELGSIYKITPKIEHFACMVDLLGRAGCVDEAESLVRAMPMQPNEVVL >DRNTG_01767.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:2748469:2766373:1 gene:DRNTG_01767 transcript:DRNTG_01767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTDAEFKAMNTTIFNARSSFQGMENKIGESSKESVKLPPSIEALSENVTRNDEDNCQIEVEADIELLRLKLSKDPPMPTLNNFLPNLFPWRPKQLGGVLKRILAGTKDEAGRMLHLSKDPPKFKLHNTRPKHFQWRPKGNLCLAFNLTPSQQAAALLFLQAIYCARLHQEGGKLWRRRLGEDPRHRKIVSPSMKERRQCGSQCTDFGGNLAKVQTRRHRSDNKSSTNLPIVEEISDPRHERVAVCVTPMKVWIRGAIQAGYCSRALYQVITRGNPPTLIEGDPELDCTLRRKEKEPVQEQSNSADLEEEESENMAEQNEQQRTLSDYARPSVLGTQSMATLVT >DRNTG_11489.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19459439:19462714:1 gene:DRNTG_11489 transcript:DRNTG_11489.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLEREPRRNPKTKRDGLRRGGGGWGW >DRNTG_24222.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22657212:22662639:-1 gene:DRNTG_24222 transcript:DRNTG_24222.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVQYKNYVPGYNPMRGHDDDRNGTWSMFYDGSRAPNGHLYNAFMLKPMNGYSEYDKEMLKRTMLEHEAIFRKQVYELHRLYRIQKNLIDDLKKKESYRYSVQLDTSYSSQANSFSSQIQLEDSKRMLHATHLPGVNNCYSRAPGTTMDNFRFPVNFLKENQNGVRSGHSSVENGYSRNDDVPLESNPNRSARKMFNLQLPVDVYIDDEESERSEKESTMKSSVKAVDFLNKTHSKEHENRVLLTLGTNAQSICREENRRLDSKHLRNGFTAHSFTDLNEPIKGSSSEVSACSSASFLFGLNTHNVDFLESKLPLIANSNLVSLQKEYDRQKDEGASLNFTRAEMLDTRKKLPLFRNDSGQIHDHVNAFGPGLCNEKPTTSSQKVEMKLNRTNGIKESDLNKMDTWFRQKLPDNIELSVSNSHLADLNKSRIQEPSVPNIMSVVPSGLTSTLSPLDSSWRKSMNNVGCIPFAIQALPHFDTSATMNSENWISNTQNKSSCGTNAHQNSLLDLSRISHCDHTSAISEKAKHNSNNNCNSAVFGSFEGQSPQKSLKGLHCRDVKSVKGLNLNEEFTSGFQDDVVADGDRLTLDVKSKHEESSAGLSWLKKKPSFEGTTAKNNSKMELCSMQSYSQLLSSFTAPASEFKKKPDKAIAVFPPSTLGVEENRMRRTEVSDNLSSKRILGFPIVENMQKTTACAHQSQSFTNDTKLNKGEGLHHSENHSAICGENDNVSSRNHINLNFLACAERPKSSELPSGCSLSGPKLALEIDLEAPPICQPEDDMVFPGESSEVKQHSLPGFRSKEMENMHERCLREAAESIVAISLDACSNGNEGTCWESSPAGSDALCLLAEVVLSNSEEELKERSSRHANALYGKTDRLDLFELMTLNLKEIKEDEYCCPSQEPVNQKEEENGAAALLLAKPRRGQARKRRQKRDFQKDILPGLASLARHEVTEDLQVIGGLMRASGRSWQAGLTRRNNGRNGTQTQVKGRQRPRRQQPETVPEIVVNQQAEVALLRRSTMQAECCNWGAIQNSLIKS >DRNTG_24222.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22657212:22662556:-1 gene:DRNTG_24222 transcript:DRNTG_24222.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLHATHLPGVNNCYSRAPGTTMDNFRFPVNFLKENQNGVRSGHSSVENGYSRNDDVPLESNPNRSARKMFNLQLPVDVYIDDEESERSEKESTMKSSVKAVDFLNKTHSKEHENRVLLTLGTNAQSICREENRRLDSKHLRNGFTAHSFTDLNEPIKGSSSEVSACSSASFLFGLNTHNVDFLESKLPLIANSNLVSLQKEYDRQKDEGASLNFTRAEMLDTRKKLPLFRNDSGQIHDHVNAFGPGLCNEKPTTSSQKVEMKLNRTNGIKESDLNKMDTWFRQKLPDNIELSVSNSHLADLNKSRIQEPSVPNIMSVVPSGLTSTLSPLDSSWRKSMNNVGCIPFAIQALPHFDTSATMNSENWISNTQNKSSCGTNAHQNSLLDLSRISHCDHTSAISEKAKHNSNNNCNSAVFGSFEGQSPQKSLKGLHCRDVKSVKGLNLNEEFTSGFQDDVVADGDRLTLDVKSKHEESSAGLSWLKKKPSFEGTTAKNNSKMELCSMQSYSQLLSSFTAPASEFKKKPDKAIAVFPPSTLGVEENRMRRTEVSDNLSSKRILGFPIVENMQKTTACAHQSQSFTNDTKLNKGEGLHHSENHSAICGENDNVSSRNHINLNFLACAERPKSSELPSGCSLSGPKLALEIDLEAPPICQPEDDMVFPGESSEVKQHSLPGFRSKEMENMHERCLREAAESIVAISLDACSNGNEGTCWESSPAGSDALCLLAEVVLSNSEEELKERSSRHANALYGKTDRLDLFELMTLNLKEIKEDEYCCPSQEPVNQKEEENGAAALLLAKPRRGQARKRRQKRDFQKDILPGLASLARHEVTEDLQVIGGLMRASGRSWQAGLTRRNNGRNGTQTQVKGRQRPRRQQPETVPEIVVNQQAEVALLRRSTMQAECCNWGAIQNSLIKS >DRNTG_24222.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22657212:22662065:-1 gene:DRNTG_24222 transcript:DRNTG_24222.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVQYKNYVPGYNPMRGHDDDRNGTWSMFYDGSRAPNGHLYNAFMLKPMNGYSEYDKEMLKRTMLEHEAIFRKQVYELHRLYRIQKNLIDDLKKKESYRYSVQLDTSYSSQANSFSSQIQLEDSKRMLHATHLPGVNNCYSRAPGTTMDNFRFPVNFLKENQNGVRSGHSSVENGYSRNDDVPLESNPNRSARKMFNLQLPVDVYIDDEESERSEKESTMKSSVKAVDFLNKTHSKEHENRVLLTLGTNAQSICREENRRLDSKHLRNGFTAHSFTDLNEPIKGSSSEVSACSSASFLFGLNTHNVDFLESKLPLIANSNLVSLQKEYDRQKDEGASLNFTRAEMLDTRKKLPLFRNDSGQIHDHVNAFGPGLCNEKPTTSSQKVEMKLNRTNGIKESDLNKMDTWFRQKLPDNIELSVSNSHLADLNKSRIQEPSVPNIMSVVPSGLTSTLSPLDSSWRKSMNNVGCIPFAIQALPHFDTSATMNSENWISNTQNKSSCGTNAHQNSLLDLSRISHCDHTSAISEKAKHNSNNNCNSAVFGSFEGQSPQKSLKGLHCRDVKSVKGLNLNEEFTSGFQDDVVADGDRLTLDVKSKHEESSAGLSWLKKKPSFEGTTAKNNSKMELCSMQSYSQLLSSFTAPASEFKKKPDKAIAVFPPSTLGVEENRMRRTEVSDNLSSKRILGFPIVENMQKTTACAHQSQSFTNDTKLNKGEGLHHSENHSAICGENDNVSSRNHINLNFLACAERPKSSELPSGCSLSGPKLALEIDLEAPPICQPEDDMVFPGESSEVKQHSLPGFRSKEMENMHERCLREAAESIVAISLDACSNGNEGTCWESSPAGSDALCLLAEVVLSNSEEELKERSSRHANALYGKTDRLDLFELMTLNLKEIKEDEYCCPSQEPVNQKEEENGAAALLLAKPRRGQARKRRQKRDFQKDILPGLASLARHEVTEDLQVIGGLMRASGRSWQAGLTRRNNGRNGTQTQVKGRQRPRRQQPETVPEIVVNQQAEVALLRRSTMQAECCNWGAIQNSLIKS >DRNTG_24222.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22655803:22659898:-1 gene:DRNTG_24222 transcript:DRNTG_24222.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNRTNGIKESDLNKMDTWFRQKLPDNIELSVSNSHLADLNKSRIQEPSVPNIMSVVPSGLTSTLSPLDSSWRKSMNNVGCIPFAIQALPHFDTSATMNSENWISNTQNKSSCGTNAHQNSLLDLSRISHCDHTSAISEKAKHNSNNNCNSAVFGSFEGQSPQKSLKGLHCRDVKSVKGLNLNEEFTSGFQDDVVADGDRLTLDVKSKHEESSAGLSWLKKKPSFEGTTAKNNSKMELCSMQSYSQLLSSFTAPASEFKKKPDKAIAVFPPSTLGVEENRMRRTEVSDNLSSKRILGFPIVENMQKTTACAHQSQSFTNDTKLNKGEGLHHSENHSAICGENDNVSSRNHINLNFLACAERPKSSELPSGCSLSGPKLALEIDLEAPPICQPEDDMVFPGESSEVKQHSLPGFRSKEMENMHERCLREAAESIVAISLDACSNGNEGTCWESSPAGSDALCLLAEVVLSNSEEELKERSSRHANALYGKTDRLDLFELMTLNLKEIKEDEYCCPSQEPVNQKEEENGAAALLLAKPRRGQARKRRQKRDFQKDILPGLASLARHEVTEDLQVIGGLMRASGRSWQAGLTRRNNGRNGTQTQVKGRQRPRRQQPETVPEIVVNQQAEVALLRRSTMQAECCNWGAIQNSLIKS >DRNTG_24222.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22657212:22662556:-1 gene:DRNTG_24222 transcript:DRNTG_24222.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVQYKNYVPGYNPMRGHDDDRNGTWSMFYDGSRAPNGHLYNAFMLKPMNGYSEYDKEMLKRTMLEHEAIFRKQVYELHRLYRIQKNLIDDLKKKESYRYSVQLDTSYSSQANSFSSQIQLEDSKRMLHATHLPGVNNCYSRAPGTTMDNFRFPVNFLKENQNGVRSGHSSVENGYSRNDDVPLESNPNRSARKMFNLQLPVDVYIDDEESERSEKESTMKSSVKAVDFLNKTHSKEHENRVLLTLGTNAQSICREENRRLDSKHLRNGFTAHSFTDLNEPIKGSSSEVSACSSASFLFGLNTHNVDFLESKLPLIANSNLVSLQKEYDRQKDEGASLNFTRAEMLDTRKKLPLFRNDSGQIHDHVNAFGPGLCNEKPTTSSQKVEMKLNRTNGIKESDLNKMDTWFRQKLPDNIELSVSNSHLADLNKSRIQEPSVPNIMSVVPSGLTSTLSPLDSSWRKSMNNVGCIPFAIQALPHFDTSATMNSENWISNTQNKSSCGTNAHQNSLLDLSRISHCDHTSAISEKAKHNSNNNCNSAVFGSFEGQSPQKSLKGLHCRDVKSVKGLNLNEEFTSGFQDDVVADGDRLTLDVKSKHEESSAGLSWLKKKPSFEGTTAKNNSKMELCSMQSYSQLLSSFTAPASEFKKKPDKAIAVFPPSTLGVEENRMRRTEVSDNLSSKRILGFPIVENMQKTTACAHQSQSFTNDTKLNKGEGLHHSENHSAICGENDNVSSRNHINLNFLACAERPKSSELPSGCSLSGPKLALEIDLEAPPICQPEDDMVFPGESSEVKQHSLPGFRSKEMENMHERCLREAAESIVAISLDACSNGNEGTCWESSPAGSDALCLLAEVVLSNSEEELKERSSRHANALYGKTDRLDLFELMTLNLKEIKEDEYCCPSQEPVNQKEEENGAAALLLAKPRRGQARKRRQKRDFQKDILPGLASLARHEVTEDLQVIGGLMRASGRSWQAGLTRRNNGRNGTQTQVKGRQRPRRQQPETVPEIVVNQQAEVALLRRSTMQAECCNWGAIQNSLIKS >DRNTG_24222.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22655803:22661381:-1 gene:DRNTG_24222 transcript:DRNTG_24222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVQYKNYVPGYNPMRGHDDDRNGTWSMFYDGSRAPNGHLYNAFMLKPMNGYSEYDKEMLKRTMLEHEAIFRKQVYELHRLYRIQKNLIDDLKKKESYRYSVQLDTSYSSQANSFSSQIQLEDSKRMLHATHLPGVNNCYSRAPGTTMDNFRFPVNFLKENQNGVRSGHSSVENGYSRNDDVPLESNPNRSARKMFNLQLPVDVYIDDEESERSEKESTMKSSVKAVDFLNKTHSKEHENRVLLTLGTNAQSICREENRRLDSKHLRNGFTAHSFTDLNEPIKGSSSEVSACSSASFLFGLNTHNVDFLESKLPLIANSNLVSLQKEYDRQKDEGASLNFTRAEMLDTRKKLPLFRNDSGQIHDHVNAFGPGLCNEKPTTSSQKVEMKLNRTNGIKESDLNKMDTWFRQKLPDNIELSVSNSHLADLNKSRIQEPSVPNIMSVVPSGLTSTLSPLDSSWRKSMNNVGCIPFAIQALPHFDTSATMNSENWISNTQNKSSCGTNAHQNSLLDLSRISHCDHTSAISEKAKHNSNNNCNSAVFGSFEGQSPQKSLKGLHCRDVKSVKGLNLNEEFTSGFQDDVVADGDRLTLDVKSKHEESSAGLSWLKKKPSFEGTTAKNNSKMELCSMQSYSQLLSSFTAPASEFKKKPDKAIAVFPPSTLGVEENRMRRTEVSDNLSSKRILGFPIVENMQKTTACAHQSQSFTNDTKLNKGEGLHHSENHSAICGENDNVSSRNHINLNFLACAERPKSSELPSGCSLSGPKLALEIDLEAPPICQPEDDMVFPGESSEVKQHSLPGFRSKEMENMHERCLREAAESIVAISLDACSNGNEGTCWESSPAGSDALCLLAEVVLSNSEEELKERSSRHANALYGKTDRLDLFELMTLNLKEIKEDEYCCPSQEPVNQKEEENGAAALLLAKPRRGQARKRRQKRDFQKDILPGLASLARHEVTEDLQVIGGLMRASGRSWQAGLTRRNNGRNGTQTQVKGRQRPRRQQPETVPEIVVNQQAEVALLRRSTMQAECCNWGAIQNSLIKS >DRNTG_24222.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22657212:22661381:-1 gene:DRNTG_24222 transcript:DRNTG_24222.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLHATHLPGVNNCYSRAPGTTMDNFRFPVNFLKENQNGVRSGHSSVENGYSRNDDVPLESNPNRSARKMFNLQLPVDVYIDDEESERSEKESTMKSSVKAVDFLNKTHSKEHENRVLLTLGTNAQSICREENRRLDSKHLRNGFTAHSFTDLNEPIKGSSSEVSACSSASFLFGLNTHNVDFLESKLPLIANSNLVSLQKEYDRQKDEGASLNFTRAEMLDTRKKLPLFRNDSGQIHDHVNAFGPGLCNEKPTTSSQKVEMKLNRTNGIKESDLNKMDTWFRQKLPDNIELSVSNSHLADLNKSRIQEPSVPNIMSVVPSGLTSTLSPLDSSWRKSMNNVGCIPFAIQALPHFDTSATMNSENWISNTQNKSSCGTNAHQNSLLDLSRISHCDHTSAISEKAKHNSNNNCNSAVFGSFEGQSPQKSLKGLHCRDVKSVKGLNLNEEFTSGFQDDVVADGDRLTLDVKSKHEESSAGLSWLKKKPSFEGTTAKNNSKMELCSMQSYSQLLSSFTAPASEFKKKPDKAIAVFPPSTLGVEENRMRRTEVSDNLSSKRILGFPIVENMQKTTACAHQSQSFTNDTKLNKGEGLHHSENHSAICGENDNVSSRNHINLNFLACAERPKSSELPSGCSLSGPKLALEIDLEAPPICQPEDDMVFPGESSEVKQHSLPGFRSKEMENMHERCLREAAESIVAISLDACSNGNEGTCWESSPAGSDALCLLAEVVLSNSEEELKERSSRHANALYGKTDRLDLFELMTLNLKEIKEDEYCCPSQEPVNQKEEENGAAALLLAKPRRGQARKRRQKRDFQKDILPGLASLARHEVTEDLQVIGGLMRASGRSWQAGLTRRNNGRNGTQTQVKGRQRPRRQQPETVPEIVVNQQAEVALLRRSTMQAECCNWGAIQNSLIKS >DRNTG_24222.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22657212:22662556:-1 gene:DRNTG_24222 transcript:DRNTG_24222.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVQYKNYVPGYNPMRGHDDDRNGTWSMFYDGSRAPNGHLYNAFMLKPMNGYSEYDKEMLKRTMLEHEAIFRKQVYELHRLYRIQKNLIDDLKKKESYRYSVQLDTSYSSQANSFSSQIQLEDSKRMLHATHLPGVNNCYSRAPGTTMDNFRFPVNFLKENQNGVRSGHSSVENGYSRNDDVPLESNPNRSARKMFNLQLPVDVYIDDEESERSEKESTMKSSVKAVDFLNKTHSKEHENRVLLTLGTNAQSICREENRRLDSKHLRNGFTAHSFTDLNEPIKGSSSEVSACSSASFLFGLNTHNVDFLESKLPLIANSNLVSLQKEYDRQKDEGASLNFTRAEMLDTRKKLPLFRNDSGQIHDHVNAFGPGLCNEKPTTSSQKVEMKLNRTNGIKESDLNKMDTWFRQKLPDNIELSVSNSHLADLNKSRIQEPSVPNIMSVVPSGLTSTLSPLDSSWRKSMNNVGCIPFAIQALPHFDTSATMNSENWISNTQNKSSCGTNAHQNSLLDLSRISHCDHTSAISEKAKHNSNNNCNSAVFGSFEGQSPQKSLKGLHCRDVKSVKGLNLNEEFTSGFQDDVVADGDRLTLDVKSKHEESSAGLSWLKKKPSFEGTTAKNNSKMELCSMQSYSQLLSSFTAPASEFKKKPDKAIAVFPPSTLGVEENRMRRTEVSDNLSSKRILGFPIVENMQKTTACAHQSQSFTNDTKLNKGEGLHHSENHSAICGENDNVSSRNHINLNFLACAERPKSSELPSGCSLSGPKLALEIDLEAPPICQPEDDMVFPGESSEVKQHSLPGFRSKEMENMHERCLREAAESIVAISLDACSNGNEGTCWESSPAGSDALCLLAEVVLSNSEEELKERSSRHANALYGKTDRLDLFELMTLNLKEIKEDEYCCPSQEPVNQKEEENGAAALLLAKPRRGQARKRRQKRDFQKDILPGLASLARHEVTEDLQVIGGLMRASGRSWQAGLTRRNNGRNGTQTQVKGRQRPRRQQPETVPEIVVNQQAEVALLRRSTMQAECCNWGAIQNSLIKS >DRNTG_07097.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1963405:1965105:-1 gene:DRNTG_07097 transcript:DRNTG_07097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRNIALATGLLAFAGAGLSFPFFFVTSKNKPVIDSSKPLPPQATFRGPYINTGSRDIGPDPTNYPKL >DRNTG_09304.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:897945:898775:-1 gene:DRNTG_09304 transcript:DRNTG_09304.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHLRTRILVSPSLMAPIADEGFPTVSMAFNAGDSSCFGYDHGNLPPFPESLASMDPSPKLKAPKIETMLNEKQRRFHGFTELPDYQSLESPNLSSVTAESSHKRYRSPPLAPPFPKTPSEMNFQLNAGLRPSVVPRSDIARSRRNRISDRTQILQGLMPWEKKMDTGTMLLEAHKYVRFLEAQVTALQSMPVSSSGFNPSPVPCRAGGLDVLSRQQLLQVMVNSAPVQDFLYKKGFCVFSAEQLAMLRQVIQRRPQILLLLDSNSNHSELSSPN >DRNTG_12820.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000575.1:12044:13089:-1 gene:DRNTG_12820 transcript:DRNTG_12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTILEHRVRFEWLSRVRFGQTRFLDTSILRDLQQVDELADKVEDIVLVGGWRQLLTIREPAIRGLALELLSSFDFDRSYSSFDSIDAIQFRVFEHHYSLSITQFSVLLGIVLCGKGQYDSGVSKATYLSRPAYRYLHAIMSRSVNDHGDSTGVLSRQELLPYITRLVLGMGLLDAIRVAEKTSIPIPLSLETLRLMGMVRRVRTGVYALVLPAPEIAEGEGDHAEGSQPAPQPQLASIETEAPSAAEDAPPVRIFLPSRALDRLERLESAWE >DRNTG_23230.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21746716:21750214:-1 gene:DRNTG_23230 transcript:DRNTG_23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNSKINGNAFPPVEVTGDDHRKAQSGPSF >DRNTG_16190.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12284579:12299332:-1 gene:DRNTG_16190 transcript:DRNTG_16190.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSLENSRDMITRWEMDHLDLRMVVKDALQSGRLPLAVLQLHIQRQRELVAEDSHDMFSEVGKIGRAIAYDLFLKGESGLAVATLQKLGEDIELVLRQLLYGTVRRSLRAQIADEMKSNGYLGTHELHMLEKISLVERLYPRSSFWVTFLGRQKEITVAASTLTPEPNKLKIFLHTSDNLTIECGDVDGVVCGLWVSVDNSSPVSEICEDNGHAGYWACAFAWSNAWDDRTMERIVLDQPFYMGIHIPWESQLEYYLSHNDLDEVYRLLDLIPSSVLADATLKVNLELTHSTRSAQTDMKHLDYDMYIHSAEELDPVYITIPNVKTLTFPATTRCSSWLKLHMEQELAKKHIFLQEYWGSTVDIVRLLARAGLIVTPKVSAHTKASNGSPLLELTKIDEEFHEITSEALHKLVVRYCTQYNLPSLLDLYLDNLDLAHDEHSLSSLLEAVSDCQWAKWLLLSRIKGHEYEASFSNARSNSSLHLTKSSNLRALDIDDIIRTVDDMAEGGGEMAALATLMYATAPMQKCVCSGSVKWQCSDSYKCTLENLRPCLQQFPTLWRTLVTSCFGQDMDGCSFNSAASNVYGKSALTDYLNWRESIFMSTGGDTSLIQMLPCWFPKSIRRLLKLFFQGPLGWHTLSGDIPSEKHFLYAEHSYDFISNGKAACNALSWESNIQESIERELYLSLEENAFEVEHHLHRGRALAAFNHLLGLRALKLKSAQDLSGQANIQSNVQMLLAPLTQSEGSLLSSVMPLAIMHFEDTVLVASCAFLLELCGLSATMLRVDVAVLRRISSYYSLVTHGSQHDPASSKGSLFNATTPHKTDLAMSLARALADDYVHHDGHRSLEQKNDGNHCSRSKKSSHSLRTVLHHLERASLPTLEEGRTCGSWLSSGIGDGSEFRSQQKDASQRWKLVTTFCQMHNIPLSTKYLAVLANDNDWVGFLTEAQMEGYPMDIIIQSAKEFSDPRLKTHILTVLKSMHSAKKTSNSSTNECSEKSIGNSFLSECSKVIPAELFGLLAECEKEQNPGEALLIKAKDLRWPLLAIIASCFSDVSALSCLTVWLEITAARETSSIKVNDIHAKIAKSVGLAVEVMNALPAGSRTLTCRYNRRNPKRRRLMEHELGSMVGLSFNSSTASSPAMVSIVQGLASEEEAKWKFNKQIKVCSDVDEGLSSLTNMVAVLCEQHLFFPLLRAFEMFLPSCSLLPFIRSLQAFSQMRLSEAAAHLASFSARIKEEPLPGQLNITKDAQIKTNWISSTAVKAAEAMLSTCPSAYERRCLLQLLAAADFGDGGSAATYFQRLFWKINLAEPSLRKDDEIYLGNETLDDASLLTALEKNGQWEKARNWARQLESIGSSWKSAVHHVTETQAEALVAEWKEFLWDVPEERSALWNHCQTLFLRYSFPPLQAGLFFLKHAEAIEKEIPARELHEMLLLSLQWLSGTMSQCNPVYPLHLLREIETRVWLLAVESEAQSKPDGDFTSHNSVHNLNSGNSASIIEQTASIIARMDNHINAMKMRTTEKNGLKESIFAHNRHLQTSDSNNSPAANNARSKRRGKAYFPLRRPAIDGVDSNNDADESPSSPHTLKSSIEPSKNLQLQEENVKIEVSVSGWEERVKPAELERAVLSLLEFGQITAAKQLQHKLSPSNVPSELLLVDAALKLAALSSSSNSEEVAESLLDHGVLSVLQSHDVPRGDQMIDPLQSLEYLATKCGHGCGRGLCRRIIAVVKAAKVLGLSFSEAFEKRPIELLQLLSLKAQDSLEEAKLLVQTHTMPSSSIAQILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEQGIGHALMRLVMTGQEIPHACEVELLILAHHFYKASACLDGVDVLVTLAANRVESYVSEGDFSCLARLITGVSNFHALNFILNILIENGQLELLLQKYSTAETASSTAEAVRGFRMAVLTSLKLFNPHDLDAFALVYSHFDMKHETASLLESRSGQCIRQWFMRRDKYWQTEDLLEAMRYLIEAAEVYSTIDAGHKTDRACARASLLSLQVRIPDIQWIDLSETNARRVLVEQSRFPEALIIAEAYNLNQSGEWALVLWNQMLKPDLVEQFVAEFVAVLPLQSSMLLELARFYRAEVAARGDQSHFSVWLSPGGLPAEWVKHLGRSFRSLLRRTRDLRVRMQLATVATGFLDVVDSCMKLLDKVPENAGPLILRKGHGGAYLPLM >DRNTG_16190.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12284579:12299617:-1 gene:DRNTG_16190 transcript:DRNTG_16190.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKYGLGQPMQDEQCSLPKHYSIEVSNARRLSEMAHFLEVIRNIRSRLSTKGRRPGQGVILDGRETSNVVDTYGAQDDSPLPIVAADGGSSSLQDLLDGQRAESNFPGSEFEPNNIDELALSLAKSSVTALDVLELDGNQKRKVMSLENSRDMITRWEMDHLDLRMVVKDALQSGRLPLAVLQLHIQRQRELVAEDSHDMFSEVGKIGRAIAYDLFLKGESGLAVATLQKLGEDIELVLRQLLYGTVRRSLRAQIADEMKSNGYLGTHELHMLEKISLVERLYPRSSFWVTFLGRQKEITVAASTLTPEPNKLKIFLHTSDNLTIECGDVDGVVCGLWVSVDNSSPVSEICEDNGHAGYWACAFAWSNAWDDRTMERIVLDQPFYMGIHIPWESQLEYYLSHNDLDEVYRLLDLIPSSVLADATLKVNLELTHSTRSAQTDMKHLDYDMYIHSAEELDPVYITIPNVKTLTFPATTRCSSWLKLHMEQELAKKHIFLQEYWGSTVDIVRLLARAGLIVTPKVSAHTKASNGSPLLELTKIDEEFHEITSEALHKLVVRYCTQYNLPSLLDLYLDNLDLAHDEHSLSSLLEAVSDCQWAKWLLLSRIKGHEYEASFSNARSNSSLHLTKSSNLRALDIDDIIRTVDDMAEGGGEMAALATLMYATAPMQKCVCSGSVKWQCSDSYKCTLENLRPCLQQFPTLWRTLVTSCFGQDMDGCSFNSAASNVYGKSALTDYLNWRESIFMSTGGDTSLIQMLPCWFPKSIRRLLKLFFQGPLGWHTLSGDIPSEKHFLYAEHSYDFISNGKAACNALSWESNIQESIERELYLSLEENAFEVEHHLHRGRALAAFNHLLGLRALKLKSAQDLSGQANIQSNVQMLLAPLTQSEGSLLSSVMPLAIMHFEDTVLVASCAFLLELCGLSATMLRVDVAVLRRISSYYSLVTHGSQHDPASSKGSLFNATTPHKTDLAMSLARALADDYVHHDGHRSLEQKNDGNHCSRSKKSSHSLRTVLHHLERASLPTLEEGRTCGSWLSSGIGDGSEFRSQQKDASQRWKLVTTFCQMHNIPLSTKYLAVLANDNDWVGFLTEAQMEGYPMDIIIQVSAKEFSDPRLKTHILTVLKSMHSAKKTSNSSTNECSEKSIGNSFLSECSKVIPAELFGLLAECEKEQNPGEALLIKAKDLRWPLLAIIASCFSDVSALSCLTVWLEITAARETSSIKVNDIHAKIAKSVGLAVEVMNALPAGSRTLTCRYNRRNPKRRRLMEHELGSMVGLSFNSSTASSPAMVSIVQGLASEEEAKWKFNKQIKVCSDVDEGLSSLTNMVAVLCEQHLFFPLLRAFEMFLPSCSLLPFIRSLQAFSQMRLSEAAAHLASFSARIKEEPLPGQLNITKDAQIKTNWISSTAVKAAEAMLSTCPSAYERRCLLQLLAAADFGDGGSAATYFQRLFWKINLAEPSLRKDDEIYLGNETLDDASLLTALEKNGQWEKARNWARQLESIGSSWKSAVHHVTETQAEALVAEWKEFLWDVPEERSALWNHCQTLFLRYSFPPLQAGLFFLKHAEAIEKEIPARELHEMLLLSLQWLSGTMSQCNPVYPLHLLREIETRVWLLAVESEAQSKPDGDFTSHNSVHNLNSGNSASIIEQTASIIARMDNHINAMKMRTTEKNGLKESIFAHNRHLQTSDSNNSPAANNARSKRRGKAYFPLRRPAIDGVDSNNDADESPSSPHTLKSSIEPSKNLQLQEENVKIEVSVSGWEERVKPAELERAVLSLLEFGQITAAKQLQHKLSPSNVPSELLLVDAALKLAALSSSSNSEEVAESLLDHGVLSVLQSHDVPRGDQMIDPLQSLEYLATKCGHGCGRGLCRRIIAVVKAAKVLGLSFSEAFEKRPIELLQLLSLKAQDSLEEAKLLVQTHTMPSSSIAQILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEQGIGHALMRLVMTGQEIPHACEVELLILAHHFYKASACLDGVDVLVTLAANRVESYVSEGDFSCLARLITGVSNFHALNFILNILIENGQLELLLQKYSTAETASSTAEAVRGFRMAVLTSLKLFNPHDLDAFALVYSHFDMKHETASLLESRSGQCIRQWFMRRDKYWQTEDLLEAMRYLIEAAEVYSTIDAGHKTDRACARASLLSLQVRIPDIQWIDLSETNARRVLVEQSRFPEALIIAEAYNLNQSGEWALVLWNQMLKPDLVEQFVAEFVAVLPLQSSMLLELARFYRAEVAARGDQSHFSVWLSPGGLPAEWVKHLGRSFRSLLRRTRDLRVRMQLATVATGFLDVVDSCMKLLDKVPENAGPLILRKGHGGAYLPLM >DRNTG_16190.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12284579:12295039:-1 gene:DRNTG_16190 transcript:DRNTG_16190.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGDTSLIQMLPCWFPKSIRRLLKLFFQGPLGWHTLSGDIPSEKHFLYAEHSYDFISNGKAACNALSWESNIQESIERELYLSLEENAFEVEHHLHRGRALAAFNHLLGLRALKLKSAQDLSGQANIQSNVQMLLAPLTQSEGSLLSSVMPLAIMHFEDTVLVASCAFLLELCGLSATMLRVDVAVLRRISSYYSLVTHGSQHDPASSKGSLFNATTPHKTDLAMSLARALADDYVHHDGHRSLEQKNDGNHCSRSKKSSHSLRTVLHHLERASLPTLEEGRTCGSWLSSGIGDGSEFRSQQKDASQRWKLVTTFCQMHNIPLSTKYLAVLANDNDWVGFLTEAQMEGYPMDIIIQVSAKEFSDPRLKTHILTVLKSMHSAKKTSNSSTNECSEKSIGNSFLSECSKVIPAELFGLLAECEKEQNPGEALLIKAKDLRWPLLAIIASCFSDVSALSCLTVWLEITAARETSSIKVNDIHAKIAKSVGLAVEVMNALPAGSRTLTCRYNRRNPKRRRLMEHELGSMVGLSFNSSTASSPAMVSIVQGLASEEEAKWKFNKQIKVCSDVDEGLSSLTNMVAVLCEQHLFFPLLRAFEMFLPSCSLLPFIRSLQAFSQMRLSEAAAHLASFSARIKEEPLPGQLNITKDAQIKTNWISSTAVKAAEAMLSTCPSAYERRCLLQLLAAADFGDGGSAATYFQRLFWKINLAEPSLRKDDEIYLGNETLDDASLLTALEKNGQWEKARNWARQLESIGSSWKSAVHHVTETQAEALVAEWKEFLWDVPEERSALWNHCQTLFLRYSFPPLQAGLFFLKHAEAIEKEIPARELHEMLLLSLQWLSGTMSQCNPVYPLHLLREIETRVWLLAVESEAQSKPDGDFTSHNSVHNLNSGNSASIIEQTASIIARMDNHINAMKMRTTEKNGLKESIFAHNRHLQTSDSNNSPAANNARSKRRGKAYFPLRRPAIDGVDSNNDADESPSSPHTLKSSIEPSKNLQLQEENVKIEVSVSGWEERVKPAELERAVLSLLEFGQITAAKQLQHKLSPSNVPSELLLVDAALKLAALSSSSNSEEVAESLLDHGVLSVLQSHDVPRGDQMIDPLQSLEYLATKCGHGCGRGLCRRIIAVVKAAKVLGLSFSEAFEKRPIELLQLLSLKAQDSLEEAKLLVQTHTMPSSSIAQILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEQGIGHALMRLVMTGQEIPHACEVELLILAHHFYKASACLDGVDVLVTLAANRVESYVSEGDFSCLARLITGVSNFHALNFILNILIENGQLELLLQKYSTAETASSTAEAVRGFRMAVLTSLKLFNPHDLDAFALVYSHFDMKHETASLLESRSGQCIRQWFMRRDKYWQTEDLLEAMRYLIEAAEVYSTIDAGHKTDRACARASLLSLQVRIPDIQWIDLSETNARRVLVEQSRFPEALIIAEAYNLNQSGEWALVLWNQMLKPDLVEQFVAEFVAVLPLQSSMLLELARFYRAEVAARGDQSHFSVWLSPGGLPAEWVKHLGRSFRSLLRRTRDLRVRMQLATVATGFLDVVDSCMKLLDKVPENAGPLILRKGHGGAYLPLM >DRNTG_16190.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12284579:12292958:-1 gene:DRNTG_16190 transcript:DRNTG_16190.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAPLTQSEGSLLSSVMPLAIMHFEDTVLVASCAFLLELCGLSATMLRVDVAVLRRISSYYSLVTHGSQHDPASSKGSLFNATTPHKTDLAMSLARALADDYVHHDGHRSLEQKNDGNHCSRSKKSSHSLRTVLHHLERASLPTLEEGRTCGSWLSSGIGDGSEFRSQQKDASQRWKLVTTFCQMHNIPLSTKYLAVLANDNDWVGFLTEAQMEGYPMDIIIQVSAKEFSDPRLKTHILTVLKSMHSAKKTSNSSTNECSEKSIGNSFLSECSKVIPAELFGLLAECEKEQNPGEALLIKAKDLRWPLLAIIASCFSDVSALSCLTVWLEITAARETSSIKVNDIHAKIAKSVGLAVEVMNALPAGSRTLTCRYNRRNPKRRRLMEHELGSMVGLSFNSSTASSPAMVSIVQGLASEEEAKWKFNKQIKVCSDVDEGLSSLTNMVAVLCEQHLFFPLLRAFEMFLPSCSLLPFIRSLQAFSQMRLSEAAAHLASFSARIKEEPLPGQLNITKDAQIKTNWISSTAVKAAEAMLSTCPSAYERRCLLQLLAAADFGDGGSAATYFQRLFWKINLAEPSLRKDDEIYLGNETLDDASLLTALEKNGQWEKARNWARQLESIGSSWKSAVHHVTETQAEALVAEWKEFLWDVPEERSALWNHCQTLFLRYSFPPLQAGLFFLKHAEAIEKEIPARELHEMLLLSLQWLSGTMSQCNPVYPLHLLREIETRVWLLAVESEAQSKPDGDFTSHNSVHNLNSGNSASIIEQTASIIARMDNHINAMKMRTTEKNGLKESIFAHNRHLQTSDSNNSPAANNARSKRRGKAYFPLRRPAIDGVDSNNDADESPSSPHTLKSSIEPSKNLQLQEENVKIEVSVSGWEERVKPAELERAVLSLLEFGQITAAKQLQHKLSPSNVPSELLLVDAALKLAALSSSSNSEEVAESLLDHGVLSVLQSHDVPRGDQMIDPLQSLEYLATKCGHGCGRGLCRRIIAVVKAAKVLGLSFSEAFEKRPIELLQLLSLKAQDSLEEAKLLVQTHTMPSSSIAQILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEQGIGHALMRLVMTGQEIPHACEVELLILAHHFYKASACLDGVDVLVTLAANRVESYVSEGDFSCLARLITGVSNFHALNFILNILIENGQLELLLQKYSTAETASSTAEAVRGFRMAVLTSLKLFNPHDLDAFALVYSHFDMKHETASLLESRSGQCIRQWFMRRDKYWQTEDLLEAMRYLIEAAEVYSTIDAGHKTDRACARASLLSLQVRIPDIQWIDLSETNARRVLVEQSRFPEALIIAEAYNLNQSGEWALVLWNQMLKPDLVEQFVAEFVAVLPLQSSMLLELARFYRAEVAARGDQSHFSVWLSPGGLPAEWVKHLGRSFRSLLRRTRDLRVRMQLATVATGFLDVVDSCMKLLDKVPENAGPLILRKGHGGAYLPLM >DRNTG_16190.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12284579:12294399:-1 gene:DRNTG_16190 transcript:DRNTG_16190.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAPLTQSEGSLLSSVMPLAIMHFEDTVLVASCAFLLELCGLSATMLRVDVAVLRRISSYYSLVTHGSQHDPASSKGSLFNATTPHKTDLAMSLARALADDYVHHDGHRSLEQKNDGNHCSRSKKSSHSLRTVLHHLERASLPTLEEGRTCGSWLSSGIGDGSEFRSQQKDASQRWKLVTTFCQMHNIPLSTKYLAVLANDNDWVGFLTEAQMEGYPMDIIIQVSAKEFSDPRLKTHILTVLKSMHSAKKTSNSSTNECSEKSIGNSFLSECSKVIPAELFGLLAECEKEQNPGEALLIKAKDLRWPLLAIIASCFSDVSALSCLTVWLEITAARETSSIKVNDIHAKIAKSVGLAVEVMNALPAGSRTLTCRYNRRNPKRRRLMEHELGSMVGLSFNSSTASSPAMVSIVQGLASEEEAKWKFNKQIKVCSDVDEGLSSLTNMVAVLCEQHLFFPLLRAFEMFLPSCSLLPFIRSLQAFSQMRLSEAAAHLASFSARIKEEPLPGQLNITKDAQIKTNWISSTAVKAAEAMLSTCPSAYERRCLLQLLAAADFGDGGSAATYFQRLFWKINLAEPSLRKDDEIYLGNETLDDASLLTALEKNGQWEKARNWARQLESIGSSWKSAVHHVTETQAEALVAEWKEFLWDVPEERSALWNHCQTLFLRYSFPPLQAGLFFLKHAEAIEKEIPARELHEMLLLSLQWLSGTMSQCNPVYPLHLLREIETRVWLLAVESEAQSKPDGDFTSHNSVHNLNSGNSASIIEQTASIIARMDNHINAMKMRTTEKNGLKESIFAHNRHLQTSDSNNSPAANNARSKRRGKAYFPLRRPAIDGVDSNNDADESPSSPHTLKSSIEPSKNLQLQEENVKIEVSVSGWEERVKPAELERAVLSLLEFGQITAAKQLQHKLSPSNVPSELLLVDAALKLAALSSSSNSEEVAESLLDHGVLSVLQSHDVPRGDQMIDPLQSLEYLATKCGHGCGRGLCRRIIAVVKAAKVLGLSFSEAFEKRPIELLQLLSLKAQDSLEEAKLLVQTHTMPSSSIAQILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEQGIGHALMRLVMTGQEIPHACEVELLILAHHFYKASACLDGVDVLVTLAANRVESYVSEGDFSCLARLITGVSNFHALNFILNILIENGQLELLLQKYSTAETASSTAEAVRGFRMAVLTSLKLFNPHDLDAFALVYSHFDMKHETASLLESRSGQCIRQWFMRRDKYWQTEDLLEAMRYLIEAAEVYSTIDAGHKTDRACARASLLSLQVRIPDIQWIDLSETNARRVLVEQSRFPEALIIAEAYNLNQSGEWALVLWNQMLKPDLVEQFVAEFVAVLPLQSSMLLELARFYRAEVAARGDQSHFSVWLSPGGLPAEWVKHLGRSFRSLLRRTRDLRVRMQLATVATGFLDVVDSCMKLLDKVPENAGPLILRKGHGGAYLPLM >DRNTG_16190.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12284579:12305341:-1 gene:DRNTG_16190 transcript:DRNTG_16190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERIVLDQPFYMGIHIPWESQLEYYLSHNDLDEVYRLLDLIPSSVLADATLKVNLELTHSTRSAQTDMKHLDYDMYIHSAEELDPVYITIPNVKTLTFPATTRCSSWLKLHMEQELAKKHIFLQEYWGSTVDIVRLLARAGLIVTPKVSAHTKASNGSPLLELTKIDEEFHEITSEALHKLVVRYCTQYNLPSLLDLYLDNLDLAHDEHSLSSLLEAVSDCQWAKWLLLSRIKGHEYEASFSNARSNSSLHLTKSSNLRALDIDDIIRTVDDMAEGGGEMAALATLMYATAPMQKCVCSGSVKWQCSDSYKCTLENLRPCLQQFPTLWRTLVTSCFGQDMDGCSFNSAASNVYGKSALTDYLNWRESIFMSTGGDTSLIQMLPCWFPKSIRRLLKLFFQGPLGWHTLSGDIPSEKHFLYAEHSYDFISNGKAACNALSWESNIQESIERELYLSLEENAFEVEHHLHRGRALAAFNHLLGLRALKLKSAQDLSGQANIQSNVQMLLAPLTQSEGSLLSSVMPLAIMHFEDTVLVASCAFLLELCGLSATMLRVDVAVLRRISSYYSLVTHGSQHDPASSKGSLFNATTPHKTDLAMSLARALADDYVHHDGHRSLEQKNDGNHCSRSKKSSHSLRTVLHHLERASLPTLEEGRTCGSWLSSGIGDGSEFRSQQKDASQRWKLVTTFCQMHNIPLSTKYLAVLANDNDWVGFLTEAQMEGYPMDIIIQVSAKEFSDPRLKTHILTVLKSMHSAKKTSNSSTNECSEKSIGNSFLSECSKVIPAELFGLLAECEKEQNPGEALLIKAKDLRWPLLAIIASCFSDVSALSCLTVWLEITAARETSSIKVNDIHAKIAKSVGLAVEVMNALPAGSRTLTCRYNRRNPKRRRLMEHELGSMVGLSFNSSTASSPAMVSIVQGLASEEEAKWKFNKQIKVCSDVDEGLSSLTNMVAVLCEQHLFFPLLRAFEMFLPSCSLLPFIRSLQAFSQMRLSEAAAHLASFSARIKEEPLPGQLNITKDAQIKTNWISSTAVKAAEAMLSTCPSAYERRCLLQLLAAADFGDGGSAATYFQRLFWKINLAEPSLRKDDEIYLGNETLDDASLLTALEKNGQWEKARNWARQLESIGSSWKSAVHHVTETQAEALVAEWKEFLWDVPEERSALWNHCQTLFLRYSFPPLQAGLFFLKHAEAIEKEIPARELHEMLLLSLQWLSGTMSQCNPVYPLHLLREIETRVWLLAVESEAQSKPDGDFTSHNSVHNLNSGNSASIIEQTASIIARMDNHINAMKMRTTEKNGLKESIFAHNRHLQTSDSNNSPAANNARSKRRGKAYFPLRRPAIDGVDSNNDADESPSSPHTLKSSIEPSKNLQLQEENVKIEVSVSGWEERVKPAELERAVLSLLEFGQITAAKQLQHKLSPSNVPSELLLVDAALKLAALSSSSNSEEVAESLLDHGVLSVLQSHDVPRGDQMIDPLQSLEYLATKCGHGCGRGLCRRIIAVVKAAKVLGLSFSEAFEKRPIELLQLLSLKAQDSLEEAKLLVQTHTMPSSSIAQILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEQGIGHALMRLVMTGQEIPHACEVELLILAHHFYKASACLDGVDVLVTLAANRVESYVSEGDFSCLARLITGVSNFHALNFILNILIENGQLELLLQKYSTAETASSTAEAVRGFRMAVLTSLKLFNPHDLDAFALVYSHFDMKHETASLLESRSGQCIRQWFMRRDKYWQTEDLLEAMRYLIEAAEVYSTIDAGHKTDRACARASLLSLQVRIPDIQWIDLSETNARRVLVEQSRFPEALIIAEAYNLNQSGEWALVLWNQMLKPDLVEQFVAEFVAVLPLQSSMLLELARFYRAEVAARGDQSHFSVWLSPGGLPAEWVKHLGRSFRSLLRRTRDLRVRMQLATVATGFLDVVDSCMKLLDKVPENAGPLILRKGHGGAYLPLM >DRNTG_16190.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12284579:12292371:-1 gene:DRNTG_16190 transcript:DRNTG_16190.10 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLLQVMPLAIMHFEDTVLVASCAFLLELCGLSATMLRVDVAVLRRISSYYSLVTHGSQHDPASSKGSLFNATTPHKTDLAMSLARALADDYVHHDGHRSLEQKNDGNHCSRSKKSSHSLRTVLHHLERASLPTLEEGRTCGSWLSSGIGDGSEFRSQQKDASQRWKLVTTFCQMHNIPLSTKYLAVLANDNDWVGFLTEAQMEGYPMDIIIQVSAKEFSDPRLKTHILTVLKSMHSAKKTSNSSTNECSEKSIGNSFLSECSKVIPAELFGLLAECEKEQNPGEALLIKAKDLRWPLLAIIASCFSDVSALSCLTVWLEITAARETSSIKVNDIHAKIAKSVGLAVEVMNALPAGSRTLTCRYNRRNPKRRRLMEHELGSMVGLSFNSSTASSPAMVSIVQGLASEEEAKWKFNKQIKVCSDVDEGLSSLTNMVAVLCEQHLFFPLLRAFEMFLPSCSLLPFIRSLQAFSQMRLSEAAAHLASFSARIKEEPLPGQLNITKDAQIKTNWISSTAVKAAEAMLSTCPSAYERRCLLQLLAAADFGDGGSAATYFQRLFWKINLAEPSLRKDDEIYLGNETLDDASLLTALEKNGQWEKARNWARQLESIGSSWKSAVHHVTETQAEALVAEWKEFLWDVPEERSALWNHCQTLFLRYSFPPLQAGLFFLKHAEAIEKEIPARELHEMLLLSLQWLSGTMSQCNPVYPLHLLREIETRVWLLAVESEAQSKPDGDFTSHNSVHNLNSGNSASIIEQTASIIARMDNHINAMKMRTTEKNGLKESIFAHNRHLQTSDSNNSPAANNARSKRRGKAYFPLRRPAIDGVDSNNDADESPSSPHTLKSSIEPSKNLQLQEENVKIEVSVSGWEERVKPAELERAVLSLLEFGQITAAKQLQHKLSPSNVPSELLLVDAALKLAALSSSSNSEEVAESLLDHGVLSVLQSHDVPRGDQMIDPLQSLEYLATKCGHGCGRGLCRRIIAVVKAAKVLGLSFSEAFEKRPIELLQLLSLKAQDSLEEAKLLVQTHTMPSSSIAQILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEQGIGHALMRLVMTGQEIPHACEVELLILAHHFYKASACLDGVDVLVTLAANRVESYVSEGDFSCLARLITGVSNFHALNFILNILIENGQLELLLQKYSTAETASSTAEAVRGFRMAVLTSLKLFNPHDLDAFALVYSHFDMKHETASLLESRSGQCIRQWFMRRDKYWQTEDLLEAMRYLIEAAEVYSTIDAGHKTDRACARASLLSLQVRIPDIQWIDLSETNARRVLVEQSRFPEALIIAEAYNLNQSGEWALVLWNQMLKPDLVEQFVAEFVAVLPLQSSMLLELARFYRAEVAARGDQSHFSVWLSPGGLPAEWVKHLGRSFRSLLRRTRDLRVRMQLATVATGFLDVVDSCMKLLDKVPENAGPLILRKGHGGAYLPLM >DRNTG_16190.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12284579:12306262:-1 gene:DRNTG_16190 transcript:DRNTG_16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPPSDEVEDGPFVLRLHKWGPEQFNLELSDYCEALISPTRELLLLLSYQHEAILLPLITGEICSFDKDQSVRGNVEGLEESSSCSAEQTTVCLLDSSDNVPCTTQAVDRVSNVGSSSNCYTAISGIKSSTWGHWGDTYGDSKNSSYRELLVASDFSSITVHTFLYPYANLEVNEQTSEGASLDGKWIEWGPTHGVGNAGSSGSSSTQKIWLKSYLTEVNSAEFASNFLVRFPGKSILPHSAEVMSFSIFESTLTFLEFYSFYSPKENQGSGATIEQGIEALISECMSDLSIMNNNGSSAGMTYYCPKVFSNSSNCIIGLVLTLSEHPLFETCEEFLRNANEVFVVVVKLYQWGMQWVCAVKPQSLYTGPEWADFQFTEDFLICLNSTGFICIWNVNTGSPVTSFDALRDCGLIAKNDSRLDEPFLSGKRVSSVAIHGSQEEENDTCKANRNGSSSRATVSSRKFRRILVSSHSSLLASIDEHGVIYVLCADDYIYAKHCAINNLTATSHYSNIGTLEVWRIAGAEIGGPKVFPGLKKVQSSNASEPSFNGHREDANQMRQELVYAKGKRRLVGTDLSGFSSVSQIKGSPVPLKTKMSSTSVRRIFLPAYRSNKEDVVCLSPFGITRHIRSCGLKEDKNCRIIHNCLHGDLPVIDERDFTTCLPSRKFMTKEFIFKGKSIGSLLQGYLYMVTQDGLFVILPSVSISSDLLQVKTLRYWQPSTAAHCNNQAKSFMELYNSRELWNPWQIEVLDRILLYEGPEEADCICLQNDWDLKIARLRQMELALHYLKPDEIEKSLDMLAQVNLAEEGILRLLFTSLYQISCKRSDNEVALAFRLLSLAACFATKMVRKYGLGQPMQDEQCSLPKHYSIEVSNARRLSEMAHFLEVIRNIRSRLSTKGRRPGQGVILDGRETSNVVDTYGAQDDSPLPIVAADGGSSSLQDLLDGQRAESNFPGSEFEPNNIDELALSLAKSSVTALDVLELDGNQKRKVMSLENSRDMITRWEMDHLDLRMVVKDALQSGRLPLAVLQLHIQRQRELVAEDSHDMFSEVGKIGRAIAYDLFLKGESGLAVATLQKLGEDIELVLRQLLYGTVRRSLRAQIADEMKSNGYLGTHELHMLEKISLVERLYPRSSFWVTFLGRQKEITVAASTLTPEPNKLKIFLHTSDNLTIECGDVDGVVCGLWVSVDNSSPVSEICEDNGHAGYWACAFAWSNAWDDRTMERIVLDQPFYMGIHIPWESQLEYYLSHNDLDEVYRLLDLIPSSVLADATLKVNLELTHSTRSAQTDMKHLDYDMYIHSAEELDPVYITIPNVKTLTFPATTRCSSWLKLHMEQELAKKHIFLQEYWGSTVDIVRLLARAGLIVTPKVSAHTKASNGSPLLELTKIDEEFHEITSEALHKLVVRYCTQYNLPSLLDLYLDNLDLAHDEHSLSSLLEAVSDCQWAKWLLLSRIKGHEYEASFSNARSNSSLHLTKSSNLRALDIDDIIRTVDDMAEGGGEMAALATLMYATAPMQKCVCSGSVKWQCSDSYKCTLENLRPCLQQFPTLWRTLVTSCFGQDMDGCSFNSAASNVYGKSALTDYLNWRESIFMSTGGDTSLIQMLPCWFPKSIRRLLKLFFQGPLGWHTLSGDIPSEKHFLYAEHSYDFISNGKAACNALSWESNIQESIERELYLSLEENAFEVEHHLHRGRALAAFNHLLGLRALKLKSAQDLSGQANIQSNVQMLLAPLTQSEGSLLSSVMPLAIMHFEDTVLVASCAFLLELCGLSATMLRVDVAVLRRISSYYSLVTHGSQHDPASSKGSLFNATTPHKTDLAMSLARALADDYVHHDGHRSLEQKNDGNHCSRSKKSSHSLRTVLHHLERASLPTLEEGRTCGSWLSSGIGDGSEFRSQQKDASQRWKLVTTFCQMHNIPLSTKYLAVLANDNDWVGFLTEAQMEGYPMDIIIQVSAKEFSDPRLKTHILTVLKSMHSAKKTSNSSTNECSEKSIGNSFLSECSKVIPAELFGLLAECEKEQNPGEALLIKAKDLRWPLLAIIASCFSDVSALSCLTVWLEITAARETSSIKVNDIHAKIAKSVGLAVEVMNALPAGSRTLTCRYNRRNPKRRRLMEHELGSMVGLSFNSSTASSPAMVSIVQGLASEEEAKWKFNKQIKVCSDVDEGLSSLTNMVAVLCEQHLFFPLLRAFEMFLPSCSLLPFIRSLQAFSQMRLSEAAAHLASFSARIKEEPLPGQLNITKDAQIKTNWISSTAVKAAEAMLSTCPSAYERRCLLQLLAAADFGDGGSAATYFQRLFWKINLAEPSLRKDDEIYLGNETLDDASLLTALEKNGQWEKARNWARQLESIGSSWKSAVHHVTETQAEALVAEWKEFLWDVPEERSALWNHCQTLFLRYSFPPLQAGLFFLKHAEAIEKEIPARELHEMLLLSLQWLSGTMSQCNPVYPLHLLREIETRVWLLAVESEAQSKPDGDFTSHNSVHNLNSGNSASIIEQTASIIARMDNHINAMKMRTTEKNGLKESIFAHNRHLQTSDSNNSPAANNARSKRRGKAYFPLRRPAIDGVDSNNDADESPSSPHTLKSSIEPSKNLQLQEENVKIEVSVSGWEERVKPAELERAVLSLLEFGQITAAKQLQHKLSPSNVPSELLLVDAALKLAALSSSSNSEEVAESLLDHGVLSVLQSHDVPRGDQMIDPLQSLEYLATKCGHGCGRGLCRRIIAVVKAAKVLGLSFSEAFEKRPIELLQLLSLKAQDSLEEAKLLVQTHTMPSSSIAQILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEQGIGHALMRLVMTGQEIPHACEVELLILAHHFYKASACLDGVDVLVTLAANRVESYVSEGDFSCLARLITGVSNFHALNFILNILIENGQLELLLQKYSTAETASSTAEAVRGFRMAVLTSLKLFNPHDLDAFALVYSHFDMKHETASLLESRSGQCIRQWFMRRDKYWQTEDLLEAMRYLIEAAEVYSTIDAGHKTDRACARASLLSLQVRIPDIQWIDLSETNARRVLVEQSRFPEALIIAEAYNLNQSGEWALVLWNQMLKPDLVEQFVAEFVAVLPLQSSMLLELARFYRAEVAARGDQSHFSVWLSPGGLPAEWVKHLGRSFRSLLRRTRDLRVRMQLATVATGFLDVVDSCMKLLDKVPENAGPLILRKGHGGAYLPLM >DRNTG_16190.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12284579:12301766:-1 gene:DRNTG_16190 transcript:DRNTG_16190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELALHYLKPDEIEKSLDMLAQVNLAEEGILRLLFTSLYQISCKRSDNEVALAFRLLSLAACFATKMVRKYGLGQPMQDEQCSLPKHYSIEVSNARRLSEMAHFLEVIRNIRSRLSTKGRRPGQGVILDGRETSNVVDTYGAQDDSPLPIVAADGGSSSLQDLLDGQRAESNFPGSEFEPNNIDELALSLAKSSVTALDVLELDGNQKRKVMSLENSRDMITRWEMDHLDLRMVVKDALQSGRLPLAVLQLHIQRQRELVAEDSHDMFSEVGKIGRAIAYDLFLKGESGLAVATLQKLGEDIELVLRQLLYGTVRRSLRAQIADEMKSNGYLGTHELHMLEKISLVERLYPRSSFWVTFLGRQKEITVAASTLTPEPNKLKIFLHTSDNLTIECGDVDGVVCGLWVSVDNSSPVSEICEDNGHAGYWACAFAWSNAWDDRTMERIVLDQPFYMGIHIPWESQLEYYLSHNDLDEVYRLLDLIPSSVLADATLKVNLELTHSTRSAQTDMKHLDYDMYIHSAEELDPVYITIPNVKTLTFPATTRCSSWLKLHMEQELAKKHIFLQEYWGSTVDIVRLLARAGLIVTPKVSAHTKASNGSPLLELTKIDEEFHEITSEALHKLVVRYCTQYNLPSLLDLYLDNLDLAHDEHSLSSLLEAVSDCQWAKWLLLSRIKGHEYEASFSNARSNSSLHLTKSSNLRALDIDDIIRTVDDMAEGGGEMAALATLMYATAPMQKCVCSGSVKWQCSDSYKCTLENLRPCLQQFPTLWRTLVTSCFGQDMDGCSFNSAASNVYGKSALTDYLNWRESIFMSTGGDTSLIQMLPCWFPKSIRRLLKLFFQGPLGWHTLSGDIPSEKHFLYAEHSYDFISNGKAACNALSWESNIQESIERELYLSLEENAFEVEHHLHRGRALAAFNHLLGLRALKLKSAQDLSGQANIQSNVQMLLAPLTQSEGSLLSSVMPLAIMHFEDTVLVASCAFLLELCGLSATMLRVDVAVLRRISSYYSLVTHGSQHDPASSKGSLFNATTPHKTDLAMSLARALADDYVHHDGHRSLEQKNDGNHCSRSKKSSHSLRTVLHHLERASLPTLEEGRTCGSWLSSGIGDGSEFRSQQKDASQRWKLVTTFCQMHNIPLSTKYLAVLANDNDWVGFLTEAQMEGYPMDIIIQVSAKEFSDPRLKTHILTVLKSMHSAKKTSNSSTNECSEKSIGNSFLSECSKVIPAELFGLLAECEKEQNPGEALLIKAKDLRWPLLAIIASCFSDVSALSCLTVWLEITAARETSSIKVNDIHAKIAKSVGLAVEVMNALPAGSRTLTCRYNRRNPKRRRLMEHELGSMVGLSFNSSTASSPAMVSIVQGLASEEEAKWKFNKQIKVCSDVDEGLSSLTNMVAVLCEQHLFFPLLRAFEMFLPSCSLLPFIRSLQAFSQMRLSEAAAHLASFSARIKEEPLPGQLNITKDAQIKTNWISSTAVKAAEAMLSTCPSAYERRCLLQLLAAADFGDGGSAATYFQRLFWKINLAEPSLRKDDEIYLGNETLDDASLLTALEKNGQWEKARNWARQLESIGSSWKSAVHHVTETQAEALVAEWKEFLWDVPEERSALWNHCQTLFLRYSFPPLQAGLFFLKHAEAIEKEIPARELHEMLLLSLQWLSGTMSQCNPVYPLHLLREIETRVWLLAVESEAQSKPDGDFTSHNSVHNLNSGNSASIIEQTASIIARMDNHINAMKMRTTEKNGLKESIFAHNRHLQTSDSNNSPAANNARSKRRGKAYFPLRRPAIDGVDSNNDADESPSSPHTLKSSIEPSKNLQLQEENVKIEVSVSGWEERVKPAELERAVLSLLEFGQITAAKQLQHKLSPSNVPSELLLVDAALKLAALSSSSNSEEVAESLLDHGVLSVLQSHDVPRGDQMIDPLQSLEYLATKCGHGCGRGLCRRIIAVVKAAKVLGLSFSEAFEKRPIELLQLLSLKAQDSLEEAKLLVQTHTMPSSSIAQILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEQGIGHALMRLVMTGQEIPHACEVELLILAHHFYKASACLDGVDVLVTLAANRVESYVSEGDFSCLARLITGVSNFHALNFILNILIENGQLELLLQKYSTAETASSTAEAVRGFRMAVLTSLKLFNPHDLDAFALVYSHFDMKHETASLLESRSGQCIRQWFMRRDKYWQTEDLLEAMRYLIEAAEVYSTIDAGHKTDRACARASLLSLQVRIPDIQWIDLSETNARRVLVEQSRFPEALIIAEAYNLNQSGEWALVLWNQMLKPDLVEQFVAEFVAVLPLQSSMLLELARFYRAEVAARGDQSHFSVWLSPGGLPAEWVKHLGRSFRSLLRRTRDLRVRMQLATVATGFLDVVDSCMKLLDKVPENAGPLILRKGHGGAYLPLM >DRNTG_16190.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12284579:12305341:-1 gene:DRNTG_16190 transcript:DRNTG_16190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERIVLDQPFYMGIHIPWESQLEYYLSHNDLDEVYRLLDLIPSSVLADATLKVNLELTHSTRSAQTDMKHLDYDMYIHSAEELDPVYITIPNVKTLTFPATTRCSSWLKLHMEQELAKKHIFLQEYWGSTVDIVRLLARAGLIVTPKVSAHTKASNGSPLLELTKIDEEFHEITSEALHKLVVRYCTQYNLPSLLDLYLDNLDLAHDEHSLSSLLEAVSDCQWAKWLLLSRIKGHEYEASFSNARSNSSLHLTKSSNLRALDIDDIIRTVDDMAEGGGEMAALATLMYATAPMQKCVCSGSVKWQCSDSYKCTLENLRPCLQQFPTLWRTLVTSCFGQDMDGCSFNSAASNVYGKSALTDYLNWRESIFMSTGGDTSLIQMLPCWFPKSIRRLLKLFFQGPLGWHTLSGDIPSEKHFLYAEHSYDFISNGKAACNALSWESNIQESIERELYLSLEENAFEVEHHLHRGRALAAFNHLLGLRALKLKSAQDLSGQANIQSNVQMLLAPLTQSEGSLLSSVMPLAIMHFEDTVLVASCAFLLELCGLSATMLRVDVAVLRRISSYYSLVTHGSQHDPASSKGSLFNATTPHKTDLAMSLARALADDYVHHDGHRSLEQKNDGNHCSRSKKSSHSLRTVLHHLERASLPTLEEGRTCGSWLSSGIGDGSEFRSQQKDASQRWKLVTTFCQMHNIPLSTKYLAVLANDNDWVGFLTEAQMEGYPMDIIIQVSAKEFSDPRLKTHILTVLKSMHSAKKTSNSSTNECSEKSIGNSFLSECSKVIPAELFGLLAECEKEQNPGEALLIKAKDLRWPLLAIIASCFSDVSALSCLTVWLEITAARETSSIKVNDIHAKIAKSVGLAVEVMNALPAGSRTLTCRYNRRNPKRRRLMEHELGSMVGLSFNSSTASSPAMVSIVQGLASEEEAKWKFNKQIKVCSDVDEGLSSLTNMVAVLCEQHLFFPLLRAFEMFLPSCSLLPFIRSLQAFSQMRLSEAAAHLASFSARIKEEPLPGQLNITKDAQIKTNWISSTAVKAAEAMLSTCPSAYERRCLLQLLAAADFGDGGSAATYFQRLFWKINLAEPSLRKDDEIYLGNETLDDASLLTALEKNGQWEKARNWARQLESIGSSWKSAVHHVTETQAEALVAEWKEFLWDVPEERSALWNHCQTLFLRYSFPPLQAGLFFLKHAEAIEKEIPARELHEMLLLSLQWLSGTMSQCNPVYPLHLLREIETRVWLLAVESEAQSKPDGDFTSHNSVHNLNSGNSASIIEQTASIIARMDNHINAMKMRTTEKNGLKESIFAHNRHLQTSDSNNSPAANNARSKRRGKAYFPLRRPAIDGVDSNNDADESPSSPHTLKSSIEPSKNLQLQEENVKIEVSVSGWEERVKPAELERAVLSLLEFGQITAAKQLQHKLSPSNVPSELLLVDAALKLAALSSSSNSEEVAESLLDHGVLSVLQSHDVPRGDQMIDPLQSLEYLATKCGHGCGRGLCRRIIAVVKAAKVLGLSFSEAFEKRPIELLQLLSLKAQDSLEEAKLLVQTHTMPSSSIAQILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEQGIGHALMRLVMTGQEIPHACEVELLILAHHFYKASACLDGVDVLVTLAANRVESYVSEGDFSCLARLITGVSNFHALNFILNILIENGQLELLLQKYSTAETASSTAEAVRGFRMAVLTSLKLFNPHDLDAFALVYSHFDMKHETASLLESRSGQCIRQWFMRRDKYWQTEDLLEAMRYLIEAAEVYSTIDAGHKTDRACARASLLSLQVRIPDIQWIDLSETNARRVLVEQSRFPEALIIAEAYNLNQSGEWALVLWNQMLKPDLVEQFVAEFVAVLPLQSSMLLELARFYRAEVAARGDQSHFSVWLSPGGLPAEWVKHLGRSFRSLLRRTRDLRVRMQLATVATGFLDVVDSCMKLLDKVPENAGPLILRKGHGGAYLPLM >DRNTG_22008.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14368115:14371221:1 gene:DRNTG_22008 transcript:DRNTG_22008.2 gene_biotype:protein_coding transcript_biotype:protein_coding TPLCWDEVGERKLQSVELIDQTIEKVKVIRDRLKAAQDRQKSYADNRRRFLEFEVGDRVFLKISPWKGVIRFRGRGKLNPRYIGPFLILERIGPVAYRLELPPELEKIHNVFHVSVLKKYIPDSSHCLETPPVELREDLKFEVQPVKILDHQVKNLRRKNIPMVKVLWRNDVVEEITWEPEGAMKSKYPLLFETRGGEASSKGKEIIDK >DRNTG_22008.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14365217:14371221:1 gene:DRNTG_22008 transcript:DRNTG_22008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEAECRPNVITGGEASSKGKEIIDK >DRNTG_22008.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14368115:14368723:1 gene:DRNTG_22008 transcript:DRNTG_22008.4 gene_biotype:protein_coding transcript_biotype:protein_coding TPLCWDEVGERKLQSVELIDQTIEKVKVIRDRLKAAQDRQKSYADNRRRFLEFEVGDRVFLKISPWKGVIRFRGRGKLNPRYIGPFLILERIGPVAYRLELPPELEKIHNVFHVSVLKKYIPDSSHCLETPPVELREDLKFEVQPVKILDHQVKNLRRKNIPMVKVLWRNDVVEEITWEPEGAMKSKYPLLFETRGMLNFEA >DRNTG_22008.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14368115:14369796:1 gene:DRNTG_22008 transcript:DRNTG_22008.3 gene_biotype:protein_coding transcript_biotype:protein_coding TPLCWDEVGERKLQSVELIDQTIEKVKVIRDRLKAAQDRQKSYADNRRRFLEFEVGDRVFLKISPWKGVIRFRGRGKLNPRYIGPFLILERIGPVAYRLELPPELEKIHNVFHVSVLKKYIPDSSHCLETPPVELREDLKFEVQPVKILDHQVKNLRRKNIPMVKVLWRNDVVEEITWEPEGAMKSKYPLLFETRGGEASSKGKEIIDK >DRNTG_33020.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4886958:4887720:-1 gene:DRNTG_33020 transcript:DRNTG_33020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETEILTQVILKERHGRVRGLGLGPTPTTYYGHLSSRRLATCGGHSFECIDFRQQMDEKFQKMEDELGRECANYNALYTFLQQQFPGATIPPPTIVGSSSQSQNQCIGSQNPKANTTGSSVHVQNQPSPEH >DRNTG_19865.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10256195:10260075:-1 gene:DRNTG_19865 transcript:DRNTG_19865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSSSSSLLLRVMIFWMTISGQMQYIFAVSFGINYGQIANDLPSPARVARLLQSLNVNRVKLYDADPNVLSAFTNSNVEFIIGIGNENVQNMMDSSKAVAWLQQNVQPYISQTKIICITVGNEVLSGNDTVLMSNLLPAMQSVYEALQSLGLDKQVTVSTAHSFFILGNSFPPSAGSFQQDLIPYIQPILNFHSQTNSPFLINAYPFFAYKADPGSISLAYVLFQPNAGSTDPNTNLTYDNMLYAQIDSVYAAIKAVGFTDIDVRISETGWPSQGDSDEPGATLENAEVYNGNLLRRIAMNQGTPMKPSVPINVYVFALFNENMKPGPTSERNYGLFYPNGTPVYNVGLQEGYLSIYSSSPKSMVMSEVNFIVLIVIATLLQALSMLI >DRNTG_19865.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10256136:10259944:-1 gene:DRNTG_19865 transcript:DRNTG_19865.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSSSSSLLLRVMIFWMTISGQMQYIFAVSFGINYGQIANDLPSPARVARLLQSLNVNRVKLYDADPNVLSAFTNSNVEFIIGIGNENVQNMMDSSKAVAWLQQNVQPYISQTKIICITVGNEVLSGNDTVLMSNLLPAMQSVYEALQSLGLDKQVTVSTAHSFFILGNSFPPSAGSFQQDLIPYIQPILNFHSQTNSPFLINAYPFFAYKADPGSISLAYVLFQPNAGSTDPNTNLTYDNMLYAQIDSVYAAIKAVGFTDIDVRISETGWPSQGDSDEPGATLENAEVYNGNLLRRIAMNQGTPMKPSVPINVYVFALFNENMKPGPTSERNYGLFYPNGTPVYNVGLQEGYLSIYSSSPKSMVRKLMLPFMHIGTFYLRLIRLELKCCNLDFNTL >DRNTG_19865.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10256136:10259944:-1 gene:DRNTG_19865 transcript:DRNTG_19865.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSSSSSLLLRVMIFWMTISGQMQYIFAVSFGINYGQIANDLPSPARVARLLQSLNVNRVKLYDADPNVLSAFTNSNVEFIIGIGNENVQNMMDSSKAVAWLQQNVQPYISQTKIICITVGNEVLSGNDTVLMSNLLPAMQSVYEALQSLGLDKQVTVSTAHSFFILGNSFPPSAGSFQQDLIPYIQPILNFHSQTNSPFLINAYPFFAYKADPGSISLAYVLFQPNAGSTDPNTNLTYDNMLYAQIDSVYAAIKAVGFTDIDVRISETGWPSQGDSDEPGATLENAEVYNGNLLRRIAMNQGTPMKPSVPINVYVFALFNENMKPGPTSERNYGLFYPNGTPVYNVGLQEGYLSIYSSSPKSMVMSEVNFIVLIVIATLLQALSMLI >DRNTG_19865.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10256100:10259944:-1 gene:DRNTG_19865 transcript:DRNTG_19865.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSSSSSLLLRVMIFWMTISGQMQYIFAVSFGINYGQIANDLPSPARVARLLQSLNVNRVKLYDADPNVLSAFTNSNVEFIIGIGNENVQNMMDSSKAVAWLQQNVQPYISQTKIICITVGNEVLSGNDTVLMSNLLPAMQSVYEALQSLGLDKQVTVSTAHSFFILGNSFPPSAGSFQQDLIPYIQPILNFHSQTNSPFLINAYPFFAYKADPGSISLAYVLFQPNAGSTDPNTNLTYDNMLYAQIDSVYAAIKAVGFTDIDVRISETGWPSQGDSDEPGATLENAEVYNGNLLRRIAMNQGTPMKPSVPINVYVFALFNENMKPGPTSERNYGLFYPNGTPVYNVGLQEGYLSIYSSSPKSMVMSEVNFIVLIVIATLLQALSMLI >DRNTG_19865.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10256195:10259944:-1 gene:DRNTG_19865 transcript:DRNTG_19865.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSSSSSLLLRVMIFWMTISGQMQYIFAVSFGINYGQIANDLPSPARVARLLQSLNVNRVKLYDADPNVLSAFTNSNVEFIIGIGNENVQNMMDSSKAVAWLQQNVQPYISQTKIICITVGNEVLSGNDTVLMSNLLPAMQSVYEALQSLGLDKQVTVSTAHSFFILGNSFPPSAGSFQQDLIPYIQPILNFHSQTNSPFLINAYPFFAYKADPGSISLAYVLFQPNAGSTDPNTNLTYDNMLYAQIDSVYAAIKAVGFTDIDVRISETGWPSQGDSDEPGATLENAEVYNGNLLRRIAMNQGTPMKPSVPINVYVFALFNENMKPGPTSERNYGLFYPNGTPVYNVGLQEGYLSIYSSSPKSMVMSEVNFIVLIVIATLLQALSMLI >DRNTG_32050.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3872035:3874211:1 gene:DRNTG_32050 transcript:DRNTG_32050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMIVVIMVILLTKRMNGVAKELVGWPPVKYRRWNDERRNAKCVKVKMEGVGIGRKVNLSLHHCYESLLHALKGLFPYHFNVGVNGFDEHIVTYEDGEGDWLLIGDVPWEVFLQSVKSIKILGRSAA >DRNTG_27160.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:673323:675428:-1 gene:DRNTG_27160 transcript:DRNTG_27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLACLFILCCLLALTSFQSSSSPASPSPENYSIGDLTHELLDSALSPGFFHWLKSTRRSIHHHPELAFQELHTSQLIRRELDVLGVQYSWPVARTGVVASIGSGLKPFFALRAEMDALPLQELVDWEHKSKHNGKMHACGHDAHVSMLLGAAKLLQEREHELKGTVKLVFQPAEEGLAGAYHVLQEGVLDEVSAMFTLHVDTVLPTGVIASRPGPLLAASSRFMVRIQGNGTRDPILAVSFVIQALQLLVSRETNPLEGRVSLSDCI >DRNTG_30795.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10305827:10309641:1 gene:DRNTG_30795 transcript:DRNTG_30795.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTLLFPPEKELLNLDNLHVDWDGEKNFPIAGLRIRFEDKFGDEFMISRLRLGIISPGESLPSEQVVNALKSKKEANIGYKDTMLGVLVQFKDQDTRAVHKRGDVCQLLRRFKSIHYLEGHKGSHIFIFLLFVKIARLLKDTSMKKSFIAYHMDVCPDMWP >DRNTG_31268.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19886847:19890247:1 gene:DRNTG_31268 transcript:DRNTG_31268.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVEADGNYVQPFIVDDMDIYSGESYSVLITTDQNPLSNYWISVGVRGRLPKTLPALAILNYHPNSPSRLPTLIPPTTPAWNDYAHSKSFTYKILAREGTPMPPNNTSHKIALLNTQTKINGCIRWSINNISLVLSSTPHLGSIKYGLKDALQTGKPAENFPSDYNVMIPPINPNSTKGNNVYKLEFNSTIDVILQNANALAVNASEIHPWHLHGHDFWVLGYGEGRFTEADITQFNLKNPPLRNTVVIFPYGWTAIRFVANNPGVWAFHCHVEPHLHMGMGIIFSEGVDLVGKIPSEALTCGETGKLLMKNHQN >DRNTG_31268.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19883768:19890247:1 gene:DRNTG_31268 transcript:DRNTG_31268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVEADGNYVQPFIVDDMDIYSGESYSVLITTDQNPLSNYWISVGVRGRLPKTLPALAILNYHPNSPSRLPTLIPPTTPAWNDYAHSKSFTYKILAREGTPMPPNNTSHKIALLNTQTKINGCIRWSINNISLVLSSTPHLGSIKYGLKDALQTGKPAENFPSDYNVMIPPINPNSTKGNNVYKLEFNSTIDVILQNANALAVNASEIHPWHLHGHDFWVLGYGEGRFTEADITQFNLKNPPLRNTVVIFPYGWTAIRFVANNPGVWAFHCHVEPHLHMGMGIIFSEGVDLVGKIPSEALTCGETGKLLMKNHQN >DRNTG_31268.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19887920:19890247:1 gene:DRNTG_31268 transcript:DRNTG_31268.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVEADGNYVQPFIVDDMDIYSGESYSVLITTDQNPLSNYWISVGVRGRLPKTLPALAILNYHPNSPSRLPTLIPPTTPAWNDYAHSKSFTYKILAREGTPMPPNNTSHKIALLNTQTKINGCIRWSINNISLVLSSTPHLGSIKYGLKDALQTGKPAENFPSDYNVMIPPINPNSTKGNNVYKLEFNSTIDVILQNANALAVNASEIHPWHLHGHDFWVLGYGEGRFTEADITQFNLKNPPLRNTVVIFPYGWTAIRFVANNPGVWAFHCHVEPHLHMGMGIIFSEGVDLVGKIPSEALTCGETGKLVHLSSQMYTICKAKNGSSLIL >DRNTG_31268.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19886847:19890247:1 gene:DRNTG_31268 transcript:DRNTG_31268.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVEADGNYVQPFIVDDMDIYSGESYSVLITTDQNPLSNYWISVGVRGRLPKTLPALAILNYHPNSPSRLPTLIPPTTPAWNDYAHSKSFTYKILAREGTPMPPNNTSHKIALLNTQTKINGCIRWSINNISLVLSSTPHLGSIKYGLKDALQTGKPAENFPSDYNVMIPPINPNSTKGNNVYKLEFNSTIDVILQNANALAVNASEIHPWHLHGHDFWVLGYGEGRFTEADITQFNLKNPPLRNTVVIFPYGWTAIRFVANNPGVWAFHCHVEPHLHMGMGIIFSEGVDLVGKIPSEALTCGETGKLLMKNHQN >DRNTG_31268.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19883768:19890247:1 gene:DRNTG_31268 transcript:DRNTG_31268.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVEADGNYVQPFIVDDMDIYSGESYSVLITTDQNPLSNYWISVGVRGRLPKTLPALAILNYHPNSPSRLPTLIPPTTPAWNDYAHSKSFTYKILAREGTPMPPNNTSHKIALLNTQTKINGCIRWSINNISLVLSSTPHLGSIKYGLKDALQTGKPAENFPSDYNVMIPPINPNSTKGNNVYKLEFNSTIDVILQNANALAVNASEIHPWHLHGHDFWVLGYGEGRFTEADITQFNLKNPPLRNTVVIFPYGWTAIRFVANNPGVWAFHCHVEPHLHMGMGIIFSEGVDLVGKIPSEALTCGETGKLVHLSSQMYTICKAKNGSSLIL >DRNTG_06673.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3136589:3139139:-1 gene:DRNTG_06673 transcript:DRNTG_06673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCNQTSFFRFEAITEGFRWPLPEIQTVELLLAVVIFVTIHSLRQVKRQGLAVWPVLSMLPSLCLGLRQDIYEWVTRVLDSQNGTFFFRGPWFTSLNCLITADPRNLEHLLKTKFSSFPKGPYFQEIMHDLLGNGIFSADDEVWRQQRKAASLEFHSTSFRAMTAESLVELVHSRLLPILDSYNESSPIDLQDVLLRLTFDNVCMIAFGIDPGCLQPGLPEIPFARAFEYATEATILRFITPTSVWKAMRYLDIGSERTLRRCLKRVDEFAYQVIKTRKKELTLESVKKRSDLLTVFMSLKDENGEAFSEEFLRDICVNFILAGRDTSSVALAWFFWLLNKNPEVEEKVVEELRGIVKERRMHGGADDDELVFRPEEVKKMEYLQAALSEALRLYPSVPVDHKEAAEDEVLPDGTVMKKGSRVIYAIYSMGRMESIWGKDCREFKPERWLRDGRYWSESAYKFSAFNGGPRLCLGKDFAYYQMKFVAASILHHYHVKVVNNHPVAPKLAMTMYMKYGLKVTLHRRND >DRNTG_18663.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15908554:15910250:-1 gene:DRNTG_18663 transcript:DRNTG_18663.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRMFSFSSIVSSRSSSKATSPLPGFASRKVLATLSTSIACGKSSR >DRNTG_24588.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:40606:41627:1 gene:DRNTG_24588 transcript:DRNTG_24588.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKQLISKRQARKHIPARSPPQVIHNPTHDRQISIVTGSCAAAQVDQN >DRNTG_22088.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20224433:20227745:-1 gene:DRNTG_22088 transcript:DRNTG_22088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPVHPVTFQVGIAAAKEAAQTGQTSKSSPHSNLNSNRPLTELQSPWWLELGVSSEAGTGQWISASSVDHTNFSEPVGLRSSSHPYKNLHGGSKCSLCERFLSQRSPWGSRRIVGSGDMPVAAVLSCRHVYHVECLERTTPKNKKHDPPCPQCEKQEENVMEQWPSCKFKNEAPTLKHQGEGGSSRGWTCRKVGDCVMGAVQIPKRSNALLLDQNLVKRQVLLEGGSGKN >DRNTG_28080.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21440706:21450391:1 gene:DRNTG_28080 transcript:DRNTG_28080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKLAQPGGALLDVLHLHDVLARISLARLCHTLSRARSLDERPDIKSQFASILYQLLLDPSDRVCFEAILCILGKFDNTERTEERAAGWIRLTREILKIPEAPSISSKDNTQSRDALPPKSDKPGLKSKRPQPLFKLAMRRLESSFRSFSRPALHAAARVVQEMGKSRAAAYALGVAGIDEGSQLYTYSDNAESIDSDLNDGSQTEASRKALSLSNGHSGKDTIAGLLGSLLEVVRTTVACECVYVRAMVIKALIWMQNPHESFEELKDIIACELSDPAWPSALLNEVLLTLHARFKATPDMAVTLLEIARIFATKAPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMSGLTSVDRVSASDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDTDKMVAAASSRNPTLAGALTRLQRCAFSGSWEVRIVAAQALITIAIRSGEPYRLQIYEFLHALAQGGVQTQFSELQLSNGEDQGASGTGLGSLICPMLNVLDEMYRAQDDLIRDMRNHDNNKQEWTDEELKQLYETHEKLLDLVSLFCFVPRAKYLPLGPTSAKLIDIYRNRHNINASAGLNDPAVAMGISDLVYESNVTHKEPNSIDPELAMAWAAGLDDGLLGSNAPAMDRVNEFLAGAGTDAPDVDDENITSRPSVTYNDMWAKELLESYEVEEDDGRSSGTSSPESTGSVETSISSHFGGMNYPSLFSSRPSGYGISQQSESKSTSRFGSSSAFEGRESP >DRNTG_28080.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21440114:21451758:1 gene:DRNTG_28080 transcript:DRNTG_28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKLAQPGGALLDVLHLHDVLARISLARLCHTLSRARSLDERPDIKSQFASILYQLLLDPSDRVCFEAILCILGKFDNTERTEERAAGWIRLTREILKIPEAPSISSKDNTQSRDALPPKSDKPGLKSKRPQPLFKLAMRRLESSFRSFSRPALHAAARVVQEMGKSRAAAYALGVAGIDEGSQLYTYSDNAESIDSDLNDGSQTEASRKALSLSNGHSGKDTIAGLLGSLLEVVRTTVACECVYVRAMVIKALIWMQNPHESFEELKDIIACELSDPAWPSALLNEVLLTLHARFKATPDMAVTLLEIARIFATKAPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMSGLTSVDRVSASDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDTDKMVAAASSRNPTLAGALTRLQRCAFSGSWEVRIVAAQALITIAIRSGEPYRLQIYEFLHALAQGGVQTQFSELQLSNGEDQGASGTGLGSLICPMLNVLDEMYRAQDDLIRDMRNHDNNKQEWTDEELKQLYETHEKLLDLVSLFCFVPRAKYLPLGPTSAKLIDIYRNRHNINASAGLNDPAVAMGISDLVYESNVTHKEPNSIDPELAMAWAAGLDDGLLGSNAPAMDRVNEFLAGAGTDAPDVDDENITSRPSVTYNDMWAKELLESYEVEEDDGRSSGTSSPESTGSVETSISSHFGGMNYPSLFSSRPSGYGISQQSESKSTSRFGSSSAFEGRESPVREEPPSYSTSVMQRFESFENPLGGQSFNKREDEESTGNPQFGKSFYMTSLQVVRMS >DRNTG_28080.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21440114:21444435:1 gene:DRNTG_28080 transcript:DRNTG_28080.6 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKLAQPGGALLDVLHLHDVLARISLARLCHTLSRARSLDERPDIKSQFASILYQLLLDPSDRVCFEAILCILGKFDNTERTEERAAGWIRLTREILKIPEAPSISSKDNTQSRDALPPKSDKPGLKSKRPQPLFKLAMRRLESSFRSFSRPALHAAARVVQEMGKSRAAAYALGVAGIDEGSQLYTYSDNAESIDSDLNDGSQTEASRKALSLSNGHSGKDTIAGLLGSLLEVVRTTVACECVYVRAMVIKALIWMQNPHESFEELKDIIACELSDPAWPSALLNEVLLTLHARFKVLLSGFHFFCPG >DRNTG_28080.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21447695:21451758:1 gene:DRNTG_28080 transcript:DRNTG_28080.7 gene_biotype:protein_coding transcript_biotype:protein_coding MWAKELLESYEVEEDDGRSSGTSSPESTGSVETSISSHFGGMNYPSLFSSRPSGYGISQQSESKSTSRFGSSSAFEGRESPVREEPPSYSTSVMQRFESFENPLGGQSFNKREDEESTGNPQFGKSFYMTSLQVVRMS >DRNTG_28080.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21440114:21451758:1 gene:DRNTG_28080 transcript:DRNTG_28080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDTDKMVAAASSRNPTLAGALTRLQRCAFSGSWEVRIVAAQALITIAIRSGEPYRLQIYEFLHALAQGGVQTQFSELQLSNGEDQGASGTGLGSLICPMLNVLDEMYRAQDDLIRDMRNHDNNKQEWTDEELKQLYETHEKLLDLVSLFCFVPRAKYLPLGPTSAKLIDIYRNRHNINASAGLNDPAVAMGISDLVYESNVTHKEPNSIDPELAMAWAAGLDDGLLGSNAPAMDRVNEFLAGAGTDAPDVDDENITSRPSVTYNDMWAKELLESYEVEEDDGRSSGTSSPESTGSVETSISSHFGGMNYPSLFSSRPSGYGISQQSESKSTSRFGSSSAFEGRESPVREEPPSYSTSVMQRFESFENPLGGQSFNKREDEESTGNPQFGKSFYMTSLQVVRMS >DRNTG_28080.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21445051:21451758:1 gene:DRNTG_28080 transcript:DRNTG_28080.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTLLEIARIFATKAPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMSGLTSVDRVSASDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDTDKMVAAASSRNPTLAGALTRLQRCAFSGSWEVRIVAAQALITIAIRSGEPYRLQIYEFLHALAQGGVQTQFSELQLSNGEDQGASGTGLGSLICPMLNVLDEMYRAQDDLIRDMRNHDNNKQEWTDEELKQLYETHEKLLDLVSLFCFVPRAKYLPLGPTSAKLIDIYRNRHNINASAGLNDPAVAMGISDLVYESNVTHKEPNSIDPELAMAWAAGLDDGLLGSNAPAMDRVNEFLAGAGTDAPDVDDENITSRPSVTYNDMWAKELLESYEVEEDDGRSSGTSSPESTGSVETSISSHFGGMNYPSLFSSRPSGYGISQQSESKSTSRFGSSSAFEGRESPVREEPPSYSTSVMQRFESFENPLGGQSFNKREDEESTGNPQFGKSFYMTSLQVVRMS >DRNTG_28080.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21450767:21451758:1 gene:DRNTG_28080 transcript:DRNTG_28080.8 gene_biotype:protein_coding transcript_biotype:protein_coding RVCAVDHHKQTRSNRINILGFSLQLSLDAGEEVEIDYEVDGWYYVKKKRPGRDGRMAGLVPVLYVSS >DRNTG_28080.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21440114:21447472:1 gene:DRNTG_28080 transcript:DRNTG_28080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKLAQPGGALLDVLHLHDVLARISLARLCHTLSRARSLDERPDIKSQFASILYQLLLDPSDRVCFEAILCILGKFDNTERTEERAAGWIRLTREILKIPEAPSISSKDNTQSRDALPPKSDKPGLKSKRPQPLFKLAMRRLESSFRSFSRPALHAAARVVQEMGKSRAAAYALGVAGIDEGSQLYTYSDNAESIDSDLNDGSQTEASRKALSLSNGHSGKDTIAGLLGSLLEVVRTTVACECVYVRAMVIKALIWMQNPHESFEELKDIIACELSDPAWPSALLNEVLLTLHARFKATPDMAVTLLEIARIFATKAPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMSGLTSVDRVSASDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDTDKMVAAASSRNPTLAGALTRLQRCAFSGSWEVRIVAAQALITIAIRSGEPYRLQIYEFLHALAQGGVQTQFSELQLSNGEDQGASGTGLGSLICPMLNVLDEMYRAQDDLIRDMRNHDNNKQEWTDEELKQLYETHEKLLDLVSLFCFVPRAKYLPLGPTSAKLIDIYRNRHNINASAGLNDPAVAMGISDLVYESNVTHKEPNSIDPELAMAWAAGLDDGLLGSNAPAMDRV >DRNTG_30565.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001587.1:1:10744:1 gene:DRNTG_30565 transcript:DRNTG_30565.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIFSDLTQLDLLDSAVRVSLSYGESHWFKSNSSNWVVKKRKKNIQRIGPEYAGPLGILQALADGTKLLFKEDLFPSIGNIRLFSVGPSVYSSHINSTKFI >DRNTG_01662.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23918680:23922552:1 gene:DRNTG_01662 transcript:DRNTG_01662.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAVYSSSSYCSALTPHLFMASNSKPKTKLITRGCGPSSSYKKKKKKKVLCQIELKPPPYPTNALEPYISRESLEYHWSRHHRGHVHSLNKQIAGTDLDDMPLEDIILTSYNKGHFLPSFNHAAQVWNHDFFWQSMKPGGGGRPSGQLLQLIERDFGSLERMLMELKAAASTQFGSGWAWLVYKANKLDVGNAVNPLPSEKDNKLVVAKTPNAVNPLVWDYSPLLAIDVWEHAYYLDYEHRRVDYVSTFLEKLVSWEAVSSRLEMAMQRAAKRAREEEKKRMEEDDMIIGDKKAMEVYLNSDEDSETE >DRNTG_01662.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23918680:23922552:1 gene:DRNTG_01662 transcript:DRNTG_01662.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEDIILTSYNKGHFLPSFNHAAQVWNHDFFWQSMKPGGGGRPSGQLLQLIERDFGSLERMLMELKAAASTQFGSGWAWLVYKANKLDVGNAVNPLPSEKDNKLVVAKTPNAVNPLVWDYSVSIFHWAVTIHYRYLFYASMLLSFYIVRLVLERSHDSSWSLFFNQLQCFGDTWMRGIQ >DRNTG_01662.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23918680:23922552:1 gene:DRNTG_01662 transcript:DRNTG_01662.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAVYSSSSYCSALTPHLFMASNSKPKTKLITRGCGPSSSYKKKKKKKVLCQIELKPPPYPTNALEPYISRESLEYHWSRHHRGHVHSLNKQIAGTDLDDMPLEDIILTSYNKGHFLPSFNHAAQVWNHDFFWQSMKPGGGGRPSGQLLQLIERDFGSLERMLMELKAAASTQFGSGWAWLVYKANKLDVGNAVNPLPSEKDNKLVVAKTPNAVNPLVWDYSPLLAIDVWEVCFLTAYYF >DRNTG_01662.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23918680:23922552:1 gene:DRNTG_01662 transcript:DRNTG_01662.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAVYSSSSYCSALTPHLFMASNSKPKTKLITRGCGPSSSYKKKKKKKVLCQIELKPPPYPTNALEPYISRESLEYHWSRHHRGHVHSLNKQIAGTDLDDMPLEDIILTSYNKGHFLPSFNHAAQVWNHDFFWQSMKPGGGGRPSGQLLQLIERDFGSLERMLMELKAAASTQFGSGWAWLVYKANKLDVGNAVNPLPSEKDNKLVVAKTPNAVNPLVWDYSPLLAIDVWEHRRVDYVSTFLEKLVSWEAVSSRLEMAMQRAAKRAREEEKKRMEEDDMIIGDKKAMEVYLNSDEDSETE >DRNTG_03543.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:289616:290365:-1 gene:DRNTG_03543 transcript:DRNTG_03543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISKPLNGERRLFELLEEQQEPFLLDVYLLENGYSDNKMFDSHSQALCWPSIVACKTLKRMSTKTFRRRTRRRRHSFFRCIVRKALDWSHHQNDCKALKFDRLSFSGDDSSPSSPVSVLDLNSNDYSSLKDDYDDGEFDLFEELIMKTSSEKEKDKEKAMESIESERRDISNISNLIALDVLKSSKEWNHFEHEIKEIGMQIEAEIFEELIVDLLGF >DRNTG_30038.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5653624:5654846:-1 gene:DRNTG_30038 transcript:DRNTG_30038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLLLLLLLLLLPFSISTTTTSPSDIKALSSFLSSIHPSSIPSFSCLSSWNFSSDPCHPSTPSHFLCALSCSSSSSSSSFSHIISISLDPAGYSSSSFPLSLLSLPSLSFLSLSSNSFQGPLPSSLPSLPSLSTLDLSHNSFSGPIPSSFFSSFSSLSTLDLSHNSFSGSIPPSLSSLSFLSTLDLSFNHFSGPLPPSLPPNLITLAVKSNSLSGSITTSTFHPLSKLQVAELSSNNFNGVLGGWFLFLPSLQQVNLSNNSFTALNFQGGSAVAGGQGLVALDLSYNKLSGELPVGLAGFPAMAAMSVRYNKLRGGIPREYYEKKKGVPFKRLFLDGNYLNGRVPEGFLAGAGEELTGSFGDNCLESCPKTVGLCSPEQKPKWVCKQ >DRNTG_27746.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4288176:4289140:1 gene:DRNTG_27746 transcript:DRNTG_27746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAKRSSSTSSSRPQRLDRRNANKHIEYDASTSFDDSPALRSSRSLDLPAYSSQKSFRIEGYDGEVDKLYRELGLSGPDDFAIPAAAWAARKVRSLNSAEQSSGGLIVDEEKDTPPPMASSGEIIDDGIKDSVLAPLPFMSVLASESKESAGGLVRSFAPESELGDEGIAKRDYFDLEEEEEEVVEEEEEEGMGSGSLEVRLGESIGDLTGSSLVSPSSSYENSREIADDGIKRLTLPPPPFRSVLASEMMESTWDLVRSFAAEAELGDGGVVKRSHFDSEEEEEEAEEEQ >DRNTG_00854.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:19690230:19695922:-1 gene:DRNTG_00854 transcript:DRNTG_00854.1 gene_biotype:protein_coding transcript_biotype:protein_coding TWNSLPRLNNTKPSHPSGELTPTISKSLSLKTSNFFPFLHFF >DRNTG_00854.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:19691865:19692618:-1 gene:DRNTG_00854 transcript:DRNTG_00854.3 gene_biotype:protein_coding transcript_biotype:protein_coding ISNSKYSNTQHSPSITWSRKLSKPSPWPRLGSEPSRNSCP >DRNTG_00854.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:19690230:19692618:-1 gene:DRNTG_00854 transcript:DRNTG_00854.2 gene_biotype:protein_coding transcript_biotype:protein_coding IERKEGGPTAILDSTLTSAILNQAIKGPAPAASQAIPPASSLQRSPSPSP >DRNTG_20723.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17869422:17872162:1 gene:DRNTG_20723 transcript:DRNTG_20723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPENGVASSAPVCDYCATAVAALYCGADSARLCLLCDRNVHSANALSRKHARSPLCSSCSSGAAASRHGSIFLCTDCELVPLPSSTNPSSPVQPLYGLPSASDLAAALNLDFSSKIPKSSLLDPQFFSPDVLEELYVPRWEKSLQLLEQVMELSKDDAPETPRQSDNGEVMPYSELLMSPLDGGPDLNGTVQHTGDEELLFDSGTVADHSTQIWDFNLGRSREQNESSLFEMDGYGTNTEGFTIKSYDDLFRENTFSTTHVLEDIYNTNCISAATDDISSTNVRCVSSRKKGQDNLTANSGNSNWTAVRPTNVLHDTEPNHAIKEISFTQHPVISSKQFKALNKIDSEQLAQNRGNAMQRYKEKRKTRRYDKHIRYESRKARADTRMRVKGRFVKASETMDDENDG >DRNTG_02022.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12963400:12964438:-1 gene:DRNTG_02022 transcript:DRNTG_02022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTTERSPQSDTGPDHSPLSGSAQRDTELMKFSSMAYILWSIQLLQFSRRVSPKIGCSSENSSMSKCSTLGLVCSAPASSLACFAPASVWQIISWGQEIKPR >DRNTG_11276.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:2048048:2059369:1 gene:DRNTG_11276 transcript:DRNTG_11276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHDYECSLCLDSREVILLDREKDEKLDAIALTAQILLSSLIRSNLAVEHDESLDNLQRASILALFVSDCFGGSDKSNLVLRTRKAIVGLKKEKPFICTCSAGNVYDNLETCKQMYGIPGDLTFTELCENSLRLIKERRNSTVVPIGTLQFGVCRHRAVLMKYLCDRADPPIPCELVRGYLDFLPHAWNAILVKKADSWVRMVVDACHPTDIREETDPEYFCRYVPLSRVHVPLTTEDSSILGCSFPSPSLYPGVEKAPPRSIVHCKFGNLDAAVKVRNLQAPESSDEEIRNFEYAFLGEVRMLGALRKNRCIVQIYGHQLSTKWVGSESRLLQSMIVMENVKGGCLKSYLEKLSKEGEKYVPLHIALSIARDVAYALVEVHSKQIIHRDIKSENILIDLECQRSDGSPIVKLSDFDRSIPLHSSLHSCCIAHLGVHPADACVGTPRWMAPEVVRAMHQRNPYGLEVDIWSYGCLLLELLTCKFPYEGKTESELYDLLQSKQRPRLPNELEALVLPNDPETARSALGLYSEADPEILKLLVSLFYQCTEGDPADRPTSQCIYKKLSAFRSQTDEAKLRS >DRNTG_07984.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000357.1:13898:15583:-1 gene:DRNTG_07984 transcript:DRNTG_07984.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEFFFPVSIFGELKYQTPSSLTPELGGETSSKGKELTDQ >DRNTG_26570.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20230716:20232733:1 gene:DRNTG_26570 transcript:DRNTG_26570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSGNLLSYPTKPSTPIKTLVTSSPLRLPSLPCKKLAKLSAAASSSSSSSASTTVLQPTQPLIPVWTEFSARVSGEWDGFGADFTSAGWPIELPENVVPEAYREWGVEVFDWQTQCPTLASESGDRSFFYKLIRLLPTVGCEADAATRHSVEERVVGDLENGALAFGYDSSGCYVAVWPRAAGVLELEHCLVDPRNREERVRVVQVVKLEGKEMRLAGIKVFSEQWYGPFRNGEQLGGCAIRESGFAATKKVEVSEVVGEWQSTTSAALRFQAGEKDVFRELIEDRPQKSIRDEVDLVALPKQLWCLLNEKNVGEVSAEVGWLFDHGHALTSRCVFLQDGRLKASIYLPVLLFCIWTV >DRNTG_26570.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20230716:20233130:1 gene:DRNTG_26570 transcript:DRNTG_26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSGNLLSYPTKPSTPIKTLVTSSPLRLPSLPCKKLAKLSAAASSSSSSSASTTVLQPTQPLIPVWTEFSARVSGEWDGFGADFTSAGWPIELPENVVPEAYREWGVEVFDWQTQCPTLASESGDRSFFYKLIRLLPTVGCEADAATRHSVEERVVGDLENGALAFGYDSSGCYVAVWPRAAGVLELEHCLVDPRNREERVRVVQVVKLEGKEMRLAGIKVFSEQWYGPFRNGEQLGGCAIRESGFAATKKVEVSEVVGEWQSTTSAALRFQAGEKDVFRELIEDRPQKSIRDEVDLVALPKQLWCLLNEKNVGEVSAEVGWLFDHGHALTSRCVFLQDGRLKEIALSQEVAVSSKT >DRNTG_21907.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1733292:1734541:1 gene:DRNTG_21907 transcript:DRNTG_21907.4 gene_biotype:protein_coding transcript_biotype:protein_coding LPTPVCVVITAALFALAHLTPGEFPQLFVLGTALGFSYAQTRNLLTPITIHSFWNSGVILLLTFLQVQGYDIRELLQASS >DRNTG_21907.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1730822:1734541:1 gene:DRNTG_21907 transcript:DRNTG_21907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFLLLHRWSSITSSPLFTNVFPKLSPLRANGFLLPKDGVFPVRLFAFPNSNRSSIQRILDGRRIGTPFICFHDARENSQPSSPQKGSAVDWPILERWDVPWQWQTIMLTMFACGLSIALTELVEASALPYVGIQVAELSLDEKAEVLFAGQFTVTAVVLGVIYGITNTFQPLPTDIFRYDLKEPFNLRNGWLLWAGIGLSGAVIAVALTGFALSFFKGGNPERETDALVRLLPLIGSSNIRTACLLGITGVLAPLLEETVFRGFLMVSMTKWLPTPVCVVITAALFALAHLTPGEFPQLFVLGTALGFSYAQTRNLLTPITIHSFWNSGVILLLTFLQVQGYDIRELLQASS >DRNTG_21907.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1730822:1734541:1 gene:DRNTG_21907 transcript:DRNTG_21907.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIFIYRFLLLSVKCICCNETKVSSDSIALTELVEASALPYVGIQVAELSLDEKAEVLFAGQFTVTAVVLGVIYGITNTFQPLPTDIFRYDLKEPFNLRNGWLLWAGIGLSGAVIAVALTGFALSFFKGGNPERETDALVRLLPLIGSSNIRTACLLGITGVLAPLLEETVFRGFLMVSMTKWLPTPVCVVITAALFALAHLTPGEFPQLFVLGTALGFSYAQTRNLLTPITIHSFWNSGVILLLTFLQVQGYDIRELLQASS >DRNTG_21907.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1730822:1734541:1 gene:DRNTG_21907 transcript:DRNTG_21907.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMTKWLPTPVCVVITAALFALAHLTPGEFPQLFVLGTALGFSYAQTRNLLTPITIHSFWNSGVILLLTFLQVQGYDIRELLQASS >DRNTG_07844.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:29831:31055:-1 gene:DRNTG_07844 transcript:DRNTG_07844.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQALLFLLFLLCPLVKLSQGNPTLYRCSTDSNYTTPSTFATNLALLLPDLIATTANSSILFSTVSRDSIYGLAQCQLGSAPSDCASCLNNSATDFTTLCPSGRSAGIRYDGCLLRYSDTRFFSQLSNDAFHYRTSGKTVSDPAGFSSSVNDLMNGISSKAAHTESRFMVGMTNFSGLIYGMAQCTSDLSANDCANCLNSALGFMRLHAYGKVGSLVASLSCIIRYETHPFFSLLQLAAPPPSPPPPPPPSLVKSPPVNDTTGSGGECYF >DRNTG_07844.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:22981:31055:-1 gene:DRNTG_07844 transcript:DRNTG_07844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQALLFLLFLLCPLVKLSQGNPTLYRCSTDSNYTTPSTFATNLAILLPDLIATTANSSILFSTASRDSIYGLAQCRLGSSPSDCTSCLTISATNFSTFCPSGRSAGIRYDACLLRYSDTRFFSQLSNDSFESRTNGQAATDPVGFGSHVIDLMKEISSKAPRTPARFAAGTTNFSGGIYGMVQCTADLSVDDCEKCLNGTLNVFRGFPYGRVGSMVASLSCIARYETYLFFSLSLLSAPSPPPSSSGVNDAILNSGSG >DRNTG_07844.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:22981:23870:-1 gene:DRNTG_07844 transcript:DRNTG_07844.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPWQLHHPSMALQPSLFLLLLLLFPFVKLSQGIPTRCSTDSNYTTPSAFATNLAILLPDLIATTANSSILFSTASRDSIYGLAQCRLGSSPSDCTSCLTISATNFSTFCPSGRSAGIRYDACLLRYSDTRFFSQLSNDSFESRTNGQAATDPVGFGSHVIDLMKEISSKAPRTPARFAAGTTNFSGGIYGMVQCTADLSVDDCEKCLNGTLNVFRGFPYGRVGSMVASLSCIARYETYLFFSLSLLSAPSPPPSSSGVNDAILNSGSG >DRNTG_03272.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10658600:10670146:-1 gene:DRNTG_03272 transcript:DRNTG_03272.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2654 [Source:Projected from Arabidopsis thaliana (AT2G41720) UniProtKB/TrEMBL;Acc:A0A178VXZ9] MKNQKNYCARNDIYNSLIRLHARHNRTDQARGLFFEMQEWRCKPDAETYNALINAHGRAGQWRWAMNIMEEMLQAAVPPSRTTYNNLISACGSSGNWREALKICKKILTENGVGPDLVTHNIVLSALKSGNQYSKALAYFELMKEKKTCPDTITLNIVIQCLVKLGQFGKAVNIFNSMRDKRSECRPDVITFTSIIHAYSVCGQIDNCKAVFDMMVAEGLKPNIVSYNALLGAYASHGMHVKALAIFNLLKRSCLRPDVVSYTSLLNAYGRSGHPEKAREVFDLMKRNSWKPNKVSYNALIDAYGSAGMLTEAVNVLHEMETDGFQSDIVSISTLLAACGRCGQIVKIDSILSAAKSRGIELNAVAYNSAIGSYINFGEYDRALNLFKLMRESNVKPNSVTFNILISGFCKMGKYDESLKFLDEMMELHIPATKEVYSSVICAYSKQGQLNEAESIFIMMRQNGCLPDVVTYTAMIHAYSASGSWEKAWELFQDMETNDIQLDPIACSSLMEAFNRGCQPSRVLQLAELMKEKNIPFNSSALYGIIFACSILGDWKSASEM >DRNTG_03272.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10658600:10667631:-1 gene:DRNTG_03272 transcript:DRNTG_03272.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2654 [Source:Projected from Arabidopsis thaliana (AT2G41720) UniProtKB/TrEMBL;Acc:A0A178VXZ9] MKNQKNYCARNDIYNSLIRLHARHNRTDQARGLFFEMQEWRCKPDAETYNALINAHGRAGQWRWAMNIMEEMLQAAVPPSRTTYNNLISACGSSGNWREALKICKKILTENGVGPDLVTHNIVLSALKSGNQYSKALAYFELMKEKKTCPDTITLNIVIQCLVKLGQFGKAVNIFNSMRDKRSECRPDVITFTSIIHAYSVCGQIDNCKAVFDMMVAEGLKPNIVSYNALLGAYASHGMHVKALAIFNLLKRSCLRPDVVSYTSLLNAYGRSGHPEKAREVFDLMKRNSWKPNKVSYNALIDAYGSAGMLTEAVNVLHEMETDGFQSDIVSISTLLAACGRCGQIVKIDSILSAAKSRGIELNAVAYNSAIGSYINFGEYDRALNLFKLMRESNVKPNSVTFNILISGFCKMGKYDESLKFLDEMMELHIPATKEVYSSVICAYSKQARLKCSDNFVSMSCLYS >DRNTG_03272.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10669788:10670146:-1 gene:DRNTG_03272 transcript:DRNTG_03272.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2654 [Source:Projected from Arabidopsis thaliana (AT2G41720) UniProtKB/TrEMBL;Acc:A0A178VXZ9] MEPAESRGHRRLRPRQAPGLRRGTWPWP >DRNTG_18323.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1762888:1768864:1 gene:DRNTG_18323 transcript:DRNTG_18323.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTFANNLKLTCPAANTTNTTVNDIRTPNTFDNKYYVDLMNRQGLFTSDQGLYSDSRTKSLVLKFALNQTQFFEQFALSMVKMGQLSVLTGSKGEIRTNCSARNSGSGLWSVLEPLVETASTF >DRNTG_18323.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1762831:1768864:1 gene:DRNTG_18323 transcript:DRNTG_18323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTFAKNLKLTCPAANTTNTTVNDIRTPNTFDNKYYVDLMNRQGLFTSDQGLYSDSRTKSLVLKFALNQTQFFEQFALSMVKMGQLSVLTGSKGEIRTNCSARNSGSGLWSVLEPLVETASTF >DRNTG_18323.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1762888:1768864:1 gene:DRNTG_18323 transcript:DRNTG_18323.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTFANNLKLTCPAANTTNTTVNDIRTPNTFDNKYYVDLMNRQGLFTSDQGLYSDSRTKSLVLKFALNQTQFFEQFALSMVKMGQLSVLTGSKGEIRTNCSARNSGSGLWSVLEPLVETASTF >DRNTG_27490.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26539551:26540833:1 gene:DRNTG_27490 transcript:DRNTG_27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor FER-LIKE IRON DEFICIENCY-INDUCED TRANSCRIPTION FACTOR [Source:Projected from Arabidopsis thaliana (AT2G28160) UniProtKB/Swiss-Prot;Acc:Q0V7X4] MECTLEQQQQQQQEQQFVINEYFDHDLADFMLYNGVFGDHGGINFDIDTITPSTTTSHNSFVICETPGANEEGYEENHGDYDSSEMIEKVVSMTRPKRDRSRTLVSERRRRGHMKEQLYELRSLVPNITKMDKASIIADAVVYVQGLQRQTKKLKEEIAILESSKKEELMFQAVFMNNTQSEGSEVNAKTRGKILRLIADEVGDRRSFYVVVECNKGSGFASCLYNAIESVAWFRLESSNFSISPEKYKLTMILNVGESREEMNASSVKLWIMGALLKQGFEFELMAIT >DRNTG_34162.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17704579:17706075:-1 gene:DRNTG_34162 transcript:DRNTG_34162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDKAAPKLERKIVEKNRRDHMKLLLSNLDSLLPNYSPNTKEAVTMPERLDEAVKYIKELQMRVERMKENREGLGCYEGTSQQKNLKMGVEVQDMGSGLSVFLLSFSGGFSAYSKVLRVLEEEGLEILAANFVSGEVAFIIVHCLVAENNGFEADEVMERLKKVVQGYTRN >DRNTG_34162.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17705324:17706075:-1 gene:DRNTG_34162 transcript:DRNTG_34162.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDKAAPKLERKIVEKNRRDHMKLLLSNLDSLLPNYSPNTKEAVTMPERLDEAVKYIKELQMRVERMKENREGLGCYEGTSQQKNLKMGVEVQDMGSGLSVFLLSFSGGFSAYSKVLRVLEEEGLEILAANFVSGEVAFIIVHCLVT >DRNTG_10566.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1667195:1667931:1 gene:DRNTG_10566 transcript:DRNTG_10566.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRAGVLRTPPASSPSPLLILFISLLSISTQSFAWRPWPNRNPNGTFNFGDSKKYEGSSEFVHLRYHMGPVLTANITVHPIWYGAWDPPKKRIIRAFIRSISPDGAAPPKPSVAAWWRTVALYTDQTGSNVSRAVRLGAEKNDRLCSHGKRLSRLTVQHVIRSAVSARTRPLPVNPQGGLYLLLTSDDVYVDDFCGQVLFSLLNSISLPNFHQQ >DRNTG_10566.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1667195:1668923:1 gene:DRNTG_10566 transcript:DRNTG_10566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRAGVLRTPPASSPSPLLILFISLLSISTQSFAWRPWPNRNPNGTFNFGDSKKYEGSSEFVHLRYHMGPVLTANITVHPIWYGAWDPPKKRIIRAFIRSISPDGAAPPKPSVAAWWRTVALYTDQTGSNVSRAVRLGAEKNDRLCSHGKRLSRLTVQHVIRSAVSARTRPLPVNPQGGLYLLLTSDDVYVDDFCGQVCGFHYFTFPSIVGYTLPYAWVGNSARACPGVCAWPFAVPAGYGGPRAGAPPNGDLGVDAMISVIGHELAEMASNPLANAWYAGTDPCFPTEIADLCEGIYGTGGGGSYTGQLTVDGRDGAAYNLNGVGGRRFLVQWVWHPELNYCFGPNALD >DRNTG_21244.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6331560:6350178:1 gene:DRNTG_21244 transcript:DRNTG_21244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPHGRGYSQAQPTGVNACPYVILGCRELFCRDPHGRAKNTHAFAFVTGSPTGDSPRPCVL >DRNTG_05351.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:4493:5336:-1 gene:DRNTG_05351 transcript:DRNTG_05351.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATLFTTNLSTTFSSTSNPNPNPKPSLNPKPSNLHFLKPLATSLAATSLLLSIPSLSLSSPSFNLYYGTAASAANYGGYGGNSSKQDAAEYTYEVPSDWKERLVSKIEKGTNGTDSEFFNPKKRSERVYLTYLAGFRALAPMDTVLSNLALSDVELQDLISSADTVRAMEKKDGDGQVYYEYEIDGAAAHRLISVTCARNKLYAHFVAAPNTEWQRDKDMLTRIHDSFKTVVT >DRNTG_05351.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:4323:5280:-1 gene:DRNTG_05351 transcript:DRNTG_05351.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATLFTTNLSTTFSSTSNPNPNPKPSLNPKPSNLHFLKPLATSLAATSLLLSIPSLSLSSPSFNLYYGTAASAANYGGYGGNSSKQDAAEYTYEVPSDWKERLVSKIEKGTNGTDSEFFNPKKRSERVYLTYLAGFRALAPMDTVLSNLALSDVELQDLISSADTVRAMEKKDGDGQVYYEYEIDGAAAHRLISVTCARNKLYAHFVAAPNTEWQRDKDMLTRIHDSFKTVVT >DRNTG_05351.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:4493:5280:-1 gene:DRNTG_05351 transcript:DRNTG_05351.8 gene_biotype:protein_coding transcript_biotype:protein_coding MATLFTTNLSTTFSSTSNPNPNPKPSLNPKPSNLHFLKPLATSLAATSLLLSIPSLSLSSPSFNLYYGTAASAANYGGYGGNSSKQDAAEYTYEVPSDWKERLVSKIEKGTNGTDSEFFNPKKRSERVYLTYLAGFRALAPMDTVLSNLALSDVELQDLISSADTVRAMEKKDGDGQVYYEYEIDGAAAHRLISVTCARNKLYAHFVAAPNTEWQRDKDMLTRIHDSFKTVVT >DRNTG_05351.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:4493:66975:-1 gene:DRNTG_05351 transcript:DRNTG_05351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLFTTNLSTTFSSTSNPNPNPKPSLNPKPSNLHFLKPLATSLAATSLLLSIPSLSLSSPSFNLYYGTAASAANYGGYGGNSSKQDAAEYTYEVPSDWKERLVSKIEKGTNGTDSEFFNPKKRSERVYLTYLAGFRALAPMDTVLSNLALSDVELQDLISSADTVRAMEKKDGDGQVYYEYEIDGAAAHRLISVTCARNKLYAHFVAAPNTEWQRDKDMLTRIHDSFKTVVT >DRNTG_05351.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:4323:5336:-1 gene:DRNTG_05351 transcript:DRNTG_05351.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATLFTTNLSTTFSSTSNPNPNPKPSLNPKPSNLHFLKPLATSLAATSLLLSIPSLSLSSPSFNLYYGTAASAANYGGYGGNSSKQDAAEYTYEVPSDWKERLVSKIEKGTNGTDSEFFNPKKRSERVYLTYLAGFRALAPMDTVLSNLALSDVELQDLISSADTVRAMEKKDGDGQVYYEYEIDGAAAHRLISVTCARNKLYAHFVAAPNTEWQRDKDMLTRIHDSFKTVVT >DRNTG_05351.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:4180:5280:-1 gene:DRNTG_05351 transcript:DRNTG_05351.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLFTTNLSTTFSSTSNPNPNPKPSLNPKPSNLHFLKPLATSLAATSLLLSIPSLSLSSPSFNLYYGTAASAANYGGYGGNSSKQDAAEYTYEVPSDWKERLVSKIEKGTNGTDSEFFNPKKRSERVYLTYLAGFRALAPMDTVLSNLALSDVELQDLISSADTVRAMEKKDGDGQVYYEYEIDGAAAHRLISVTCARNKLYAHFVAAPNTEWQRDKDMLTRIHDSFKTVVT >DRNTG_05351.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:4236:5280:-1 gene:DRNTG_05351 transcript:DRNTG_05351.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATLFTTNLSTTFSSTSNPNPNPKPSLNPKPSNLHFLKPLATSLAATSLLLSIPSLSLSSPSFNLYYGTAASAANYGGYGGNSSKQDAAEYTYEVPSDWKERLVSKIEKGTNGTDSEFFNPKKRSERVYLTYLAGFRALAPMDTVLSNLALSDVELQDLISSADTVRAMEKKDGDGQVYYEYEIDGAAAHRLISVTCARNKLYAHFVAAPNTEWQRDKDMLTRIHDSFKTVVT >DRNTG_05351.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:4236:5336:-1 gene:DRNTG_05351 transcript:DRNTG_05351.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATLFTTNLSTTFSSTSNPNPNPKPSLNPKPSNLHFLKPLATSLAATSLLLSIPSLSLSSPSFNLYYGTAASAANYGGYGGNSSKQDAAEYTYEVPSDWKERLVSKIEKGTNGTDSEFFNPKKRSERVYLTYLAGFRALAPMDTVLSNLALSDVELQDLISSADTVRAMEKKDGDGQVYYEYEIDGAAAHRLISVTCARNKLYAHFVAAPNTEWQRDKDMLTRIHDSFKTVVT >DRNTG_31565.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001723.1:14377:17175:-1 gene:DRNTG_31565 transcript:DRNTG_31565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGARRGFLPTSVGTFSAMHKGIFPCTVAGNYGPDPETLSHAALWDMVVGATTTDQRIRAIVTLGNRTQFHGESAY >DRNTG_00234.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26050083:26050266:-1 gene:DRNTG_00234 transcript:DRNTG_00234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGMVSFRRVLRRRMRRMKKGRRRMEVSRERRSGVMS >DRNTG_17956.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000927.1:33419:34052:-1 gene:DRNTG_17956 transcript:DRNTG_17956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIVGSRLPVFTKSQSEYVKGSFDFIGLNHYSSSFVADNSVEALAMPVRDCISDMMATFTASNNI >DRNTG_32835.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8369713:8379526:1 gene:DRNTG_32835 transcript:DRNTG_32835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADGLVILGIETLSWARPRFLERFYGSDIARRLEEGYWESFRRHRSGEVYPRPDKGSLATSRGLSTRPST >DRNTG_33826.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002040.1:4114:5063:1 gene:DRNTG_33826 transcript:DRNTG_33826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRLKYIEIKKKRIRRMYELFVEGLEQFGINCFPSSAGYYCWVDMSNLMRSNSEKGELELWDKLLNISKINVTPGSECHCVDPGWFRICFTTITEDDIPIVMERIKRITDKQ >DRNTG_05736.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000266.1:19629:20353:1 gene:DRNTG_05736 transcript:DRNTG_05736.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLWSSREDTYPCGIPTRACFSVQSSSREDIAACECPNEWPSEGHTPRLLLHPENTQGHVSAPVSTPASTPRSHRDMWGAPMGGAHGYGEFPHARVDAFRGYISYRESIQGRVFAPVMLACRGTRAWRIFTRPCGCTER >DRNTG_26160.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29275178:29276482:-1 gene:DRNTG_26160 transcript:DRNTG_26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMASSLSILLLLFQSFTFSSATQRPKALIAPVTKDFTTLQYLTVFSQRTPLIPIHLVVDLGGRHLWVNCDSNYISSTYRPAPCRSALCSLADADGCGDCFSPPRPGCNNNTCGVSPYNPFISTSTSGELATDVLSLSSTTGSNPSFLATASKFLFSCAPSFLLRGLASNSTGIAGLGKTRVAPPSQLSSIFSFKRKFALCLPSSPSSTGLLFFGDGPYKLLPNIDASQSLIYTRLITNPVSTAGSFKQGEPSYEYFIGVTSIKVNDKVVPINTTLLSIDDNGVGGTKISTVNPYTVLETSIYKAVLNAFTSSISGIKPVKKVSPFGVCYNASDLGSTRVGAAVPAIDLVLESESVYWRIFGANSMVAVSDQVLCLAFVDGGERPRTSVVVGGYQLEDNLLQFDLATSRLGFSSSLLFRQTTCANFNFTSVA >DRNTG_22949.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5381788:5394359:-1 gene:DRNTG_22949 transcript:DRNTG_22949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQAIINYSTENLEPPILSIEDAVKRSSFFDVPPFLYPKQVGDFSKGMEEADHKILSQEICLGSQYYFYLETQTALAVPDEDNCMLVYSSTQTPETAQAIIAKCLGIPFHNVRVITRRVGGGFGGKATRSLRVAAACALAAHKLRRPIRMYLDRKTDMVLAGGRHPMKVTYSVGFKSSGKITALHVDLMINAGISEDVSPMLPHNIIGSLKKYNWGALSFTAKVCKTNISTKSAMRGPGEVQGSFIAEAIIEHVASSLSVHANLVRKVNLHNLESLKMFHEGCAGEASEYTLPYIFDKFTAYAGYDNHVEMIQQFNSCNKWRKRGLSCVPIIQPVILRPTPGKVGILNDGSIIVEVGGIELGQGLWTKVKQMAAFGLGQLWEDGSQDLLERVRVIQADTLSLIQGGWTAGSTTSESSCEAVRLACNILVDRLKFLKNSLEDEAGSVSWDTLISQATAQAINLSANTYFVPDLRSQAYLNYGAALSEVEVDLLTGATTVLRTDLCYDCGKSLSLAVDLGQIEGAFVQGIGFFMYEEYLANSEGLNVTDGTWTYKIPTVDTIPKQFNVELLNSGHHEDRVLSSKASGEPPLLLAASVHCATRQAIKAAREEYRSAIPTASPDIFQLDVPATMPVVKKLCGFDIVERYLQNSISIHRPEQA >DRNTG_23729.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16837672:16838769:-1 gene:DRNTG_23729 transcript:DRNTG_23729.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSIYPRNWLDLLNEILETGRGVEKELDFQISLLKVFRATSVPMRDIDSRHFLYFTEKQGMVTLSIPGIKDDDNRLGHHRDLQ >DRNTG_26573.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20207991:20211308:-1 gene:DRNTG_26573 transcript:DRNTG_26573.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDAAAEVAAAAVPLESRPGIILIGPPNAGKRTLLSRLLSVDIPETCDLSTGVLCHGWTIDTKYYSADLAIWTAHLQEGFSLGVLPAVAQLSALVMVFDMSDESSFIALQNCVAGIDIQKFEILLCVGNKADLVPGHYAHAEYRRFLQRHGESSSDPHSEFLNYGIDETEGCSLLGGEDPVLEIRKSSLEWCCQNNVEFIESCASNAAFDKCLSVDGDMQGVERLYGALSAHMWPGMVLKSGNRIYIPSMVNKEEMTDDESDYEIDYERLSGSDEPVDDTGGPSNSIQEPSVSITEEKVIDVGAHIRNTKEINEGKIDCLGDEVETSSVKEPGNGSHVLPGNTTIEKSVEEESFSQRTLERDADQEHIIETSAGQVKSEVENYEISQKAEINAANPSASDEDDSHYGLDDLEKLMGEISNIRDNSRLMPDFQRREMAANLALKLATMFGDGSDEDSY >DRNTG_26573.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20207713:20211308:-1 gene:DRNTG_26573 transcript:DRNTG_26573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDAAAEVAAAAVPLESRPGIILIGPPNAGKRTLLSRLLSVDIPETCDLSTGVLCHGWTIDTKYYSADLAIWTAHLQEGFSLGVLPAVAQLSALVMVFDMSDESSFIALQNCVAGIDIQKFEILLCVGNKADLVPGHYAHAEYRRFLQRHGESSSDPHSEFLNYGIDETEGCSLLGGEDPVLEIRKSSLEWCCQNNVEFIESCASNAAFDKCLSVDGDMQGVERLYGALSAHMWPGMVLKSGNRIYIPSMVNKEEMTDDESDYEIDYERLSGSDEPVDDTGGPSNSIQEPSVSITEEKVIDVGAHIRNTKEINEGKIDCLGDEVETSSVKEPGNGSHVLPGNTTIEKSVEEESFSQRTLERDADQEHIIETSAGQVKSEVENYEISQKAEINAANPSASDEDDSHYGLDDLEKLMGEISNIRDNSRLMPDFQRREMAANLALKLATMFGDGSDEDSY >DRNTG_26573.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20207991:20211308:-1 gene:DRNTG_26573 transcript:DRNTG_26573.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDAAAEVAAAAVPLESRPGIILIGPPNAGKRTLLSRLLSVDIPETCDLSTGVLCHGWTIDTKYYSADLAIWTAHLQEGFSLGVLPAVAQLSALVMVFDMSDESSFIALQNCVAGIDIQKFEILLCVGNKADLVPGHYAHAEYRRFLQRHGESSSDPHSEFLNYGIDETEGCSLLGGEDPVLEIRKSSLEWCCQNNVEFIESCASNAAFDKCLSVDGDMQGVERLYGALSAHMWPGMVLKSGNRIYIPSMVNKEGKFNEMTDDESDYEIDYERLSGSDEPVDDTGGPSNSIQEPSVSITEEKVIDVGAHIRNTKEINEGKIDCLGDEVETSSVKEPGNGSHVLPGNTTIEKSVEEESFSQRTLERDADQEHIIETSAGQVKSEVENYEISQKAEINAANPSASDEDDSHYGLDDLEKLMGEISNIRDNSRLMPDFQRREMAANLALKLATMFGDGSDEDSY >DRNTG_04457.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:24005187:24010832:-1 gene:DRNTG_04457 transcript:DRNTG_04457.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPDPYEGLFDQEEQIVEVMMLGANEEVPSTPGILKKVIQKMKRVRRRHRKHSNTVGGVPKPNNLFSIPRVQRGVRMPLGVWLPLWVGRMGVGNLRTAVWLCSERYAESAQGRAYTPVKLSRGVTRAWIMAPRSKKQADKRSRESSPEPEGIR >DRNTG_30346.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001563.1:7915:8381:-1 gene:DRNTG_30346 transcript:DRNTG_30346.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAVLILFTIKTSKFHLPIMFCYIYIFSLMHFTALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKISASIADQAPATLPLPLRVEPKPKSGIR >DRNTG_19519.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:152524:155149:-1 gene:DRNTG_19519 transcript:DRNTG_19519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLVFVQPRQFSHQSSSWVSPHSSLLASSVSDFNGASLNFSVKNKRKVWQSKGTLQVRSSNEKKILIMGGTRFIGVFLSRLLVKEGHQVTLFTRGKAPITQQLPGESDKEYTDFSSKVLHLKGDRQDFEFVKTSLSAGGFDVVYDINGREAVEVEPILDALPNLEQFIYCSSAGVYKKSDLLPHFETDEVDPKSRHKGKLETESLLDSRGVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPIPSSGIQITQLGHVKDLATAFVKVLGHPKASKQVYNISGSKYVTFDGLARACAKAAGFPEPEIIHYNPKEFDFGKKKAFPFRDQHFFASIEKAARELDWTPEFSLIEGLADSYNLDFGRGTFRKAADFSTDDIILGKSLVLQS >DRNTG_05163.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:14696989:14701713:-1 gene:DRNTG_05163 transcript:DRNTG_05163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPLGGGPVFRFTPKEVSEMEKYLQESNNLLPAREIMMFLAEKFSASADRAGKVAVQPKQVWNWFQNRRYARKARSSKGSEMTSYSVMPHDDSVSTGNAAPSASAPSASAPSGKNSSDESQVEFEAKSSRDGAWYDILAFISYRKSEAGEAEVWVRFLGMGEEEDEWVNVLESVRQRSVPCEPTECAVVLPGDLILCFQEGKEQSLYFDAHVLDAQRRRHDSRGCRCRFLVRYDHDGSEEIVPLRKVCRRPETDYRLKVFHERMAEDHKRNDMARDPSHAGPSNAVNIVPEKPLKQPKLMNVNTEEVVAVPSTSTAAGINSAPEDLGNDAGKNGADEPKEGKSTASG >DRNTG_22976.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17354539:17355858:1 gene:DRNTG_22976 transcript:DRNTG_22976.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLRADEISNIIRERIEQYNIGVKIVNTGTVLQVGDGIARIHGLDEVMAGELVEFEEGTVGIALNLESNNVGVVLMGDGLMIQEGSSVKATGRIAQIPVSEAYLGRVINALAKPIDGRGEISASESRLIESPAPGIISRRSVYEPLQTGLIAIDAMIPIGRGQRELIIGDRQTGKTAVATDTILNQKGQNVICVYVAIGQKASSVAQVVTTFQERGAMEYTIVVAETADSPATLQYLAPYTGAALAEYFMHREQHTSIIYDDLSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKSSSSLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMK >DRNTG_18174.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29136741:29139617:1 gene:DRNTG_18174 transcript:DRNTG_18174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELYNQLEKGTLNFLIQSLSKNWMTMHAKLMVDEMLKKEMLVESSTYTALIMGFCKEDNIGGLKECLEVAKVIAWLPALGEIKVLISCLCKWSMIKEVLDLFDILAPRNCHLFFNICNDILRELCAGNFTIIGCLLLEELLQRGFILDHEAYVNIIKGFIKEQKFAEALGIVDIVLGKNISLSESSYQLFVPLLIRFNRVEEVINLKQAMLNRQPETVNFLYGPILNHLCKLARIDEATVQLRQVLAAKVYPDDKTLNILLQGYCEGNNLGKACEILPIIFRHHSALSLASFRCLVRQFCAHDLLSSAFKLRKMIDQGGGIQPLILYNILIYYLFQLGCSLHVEILLNEMQTTHVSPDQVTYDFLISGFSRCGNFSKSVEVLHTMVSKGLRPSNRSLRKVVCCLCNSSRLDEALALSTVMEHRRWKYGSVIQNAIVGGLLSSGQHKEAELFLNRIEEKDLILKTTDYDLLIKQFCKHGSMKRAVELLNVMLKKGKHPNETSYNSVICVLCASKSFDLALDFYSEMQHKNLKPYMETCDRLIYGLCSNGRTDEANTVLETLPRCGLIPTSHMYKYIIDRYCAENNLDKASKLLHEMQQNGCSPNFETQWTLISSLSNDTRKERSKVGEGFLSRLLSDSSPFSNAF >DRNTG_32763.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20116538:20117579:-1 gene:DRNTG_32763 transcript:DRNTG_32763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVNNKLSYYRFGELTTEYGKPPGYGCARGVEIGNKDVKLEYLEEAFTTSNWIFHIYKVKPRKNRWANLAKNT >DRNTG_34537.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:982605:986783:1 gene:DRNTG_34537 transcript:DRNTG_34537.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNIAHQLGSLAAAPISDAAGGQTADPSPASTLWKPPMAQANLRCRISRAGNPDLDGVSPPLSPCRSPASALTPIRQDLSVACQALVADPSPAVETDDAVSSRHQSRKGVPVFVMLPLDTVKPGGGLKRRKAMNASLMALKNAGVEGVMVDVWWGIVERDRPGEYDWGGYSDLMLMAHRHGLKVQAVMSFHQCGGNVGDSCTIPLPKWVLEEMEKDPDLAYTDQWGRRNYEYVSLGCDTLPVLKDRSPVQCYSDFMRAFKDQFKDFLGSTIVEIQVGMGPAGELRYPSYPELNGTWKFPGIGAFQCYDKYMLSSLKVAAEAAGKPEWGHSGPTDAGSYNNWPEDVPFFRREGGGWNCPYGDFFLTWYSQMLLNHGELILSAATTIFSSTNTVISVKVAGIHWHYGTRSHAPELTAGYYNTRFNNGYLPIARMLARHGAIFNFTCVEMKDWEQPAEAMCRPEALVRQVAEAAREAGVGLAGENALPRYDEGSS >DRNTG_35483.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23489464:23490088:1 gene:DRNTG_35483 transcript:DRNTG_35483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLPEEEIWRCGKHPAMIASGVCPFCLRDRLRLLCSDCAKTRPCACAAPSISSSSSSSFSSLSSVDLVRSSSVSGIGAIGRVYNLIESEPAIRRSRSVAVPVVRRRAVGGDLGRRGWVAMFWPFRMKSRSMTAEKWKGWGWHFPSPIKAFRHRKTAPVLHRG >DRNTG_10921.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:22484:29313:-1 gene:DRNTG_10921 transcript:DRNTG_10921.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHQISPYFHKSTTEVPQTTIISWNHVPTKASWNTSVKKALQIIRSRDSELVKVVLARCSTYVTDTCIDPVTLLSCLQVEGENAYQFYIQPPDAPAFIGNTPEQLFHRKYLNISSEALAGTRARSLNKDEDLQIGRELLFSPKDHIEFTIVRESIRRKLESICDEVLVEPSKALRKLPRVQHLCAQLSGRLMSEDDEFAILASLHPSPAVCGLPMEEARQFIKNNEMFDRGMYAGPVGWFGGRESEFAVGIRSALVGKGFSTLIYAGAGIVEGTNPCSEWDELDLKASQFTKLLQQWEHQTRYREINIGSAQRGGY >DRNTG_10921.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:22484:29313:-1 gene:DRNTG_10921 transcript:DRNTG_10921.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDDEFAILASLHPSPAVCGLPMEEARQFIKNNEMFDRGMYAGPVGWFGGRESEFAVGIRSALVGKGFSTLIYAGAGIVEGTNPCSEWDELDLKASQFTKLLQQWEHQTRYREINIGSAQRGGY >DRNTG_10921.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:22484:29313:-1 gene:DRNTG_10921 transcript:DRNTG_10921.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDDEFAILASLHPSPAVCGLPMEEARQFIKNNEMFDRGMYAGPVGWFGGRESEFAVGIRSALVGKGFSTLIYAGAGIVEGTNPCSEWDELDLKASQFTKLLQQWEHQTRYREINIGSAQRGGY >DRNTG_10921.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:22484:27274:-1 gene:DRNTG_10921 transcript:DRNTG_10921.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDDEFAILASLHPSPAVCGLPMEEARQFIKNNEMFDRGMYAGPVGWFGGRESEFAVGIRSALVGKGFSTLIYAGAGIVEGTNPCSEWDELDLKASQFTKLLQQWEHQTRYREINIGSAQRGGY >DRNTG_10921.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:22484:28577:-1 gene:DRNTG_10921 transcript:DRNTG_10921.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDDEFAILASLHPSPAVCGLPMEEARQFIKNNEMFDRGMYAGPVGWFGGRESEFAVGIRSALVGKGFSTLIYAGAGIVEGTNPCSEWDELDLKASQFTKLLQQWEHQTRYREINIGSAQRGGY >DRNTG_10921.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:22484:29313:-1 gene:DRNTG_10921 transcript:DRNTG_10921.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDDEFAILASLHPSPAVCGLPMEEARQFIKNNEMFDRGMYAGPVGWFGGRESEFAVGIRSALVGKGFSTLIYAGAGIVEGTNPCSEWDELDLKASQFTKLLQQWEHQTRYREINIGSAQRGGY >DRNTG_10921.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:22484:29313:-1 gene:DRNTG_10921 transcript:DRNTG_10921.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAVAPDSISARLLHPTSSSALKQRYWYRTCSLSMNGCKAAPERALGLCETRTLPAVSAPETALSELQAAVFSLKAEPPPCSPSGIIRLEVPIRKKANAIDWLHAQSHLPRCFFSGRGQRMDPSFSLVNGGGVWSNSGRDLVGVAGVGSAVFFQGIDPFAFQDWKCIRRFLSKDCPLIRAYGAIRFDARANISSEWKDFGSFYFTVPQVEFDELEESSILATTIAWDDSLLWTWKNAVDGLQVTMHQISPYFHKSTTEVPQTTIISWNHVPTKASWNTSVKKALQIIRSRDSELVKVVLARCSTYVTDTCIDPVTLLSCLQVEGENAYQFYIQPPDAPAFIGNTPEQLFHRKYLNISSEALAGTRARSLNKDEDLQIGRELLFSPKDHIEFTIVRESIRRKLESICDEVLVEPSKALRKLPRVQHLCAQLSGRLMSEDDEFAILASLHPSPAVCGLPMEEARQFIKNNEMFDRGMYAGPVGWFGGRESEFAVGIRSALVGKGFSTLIYAGAGIVEGTNPCSEWDELDLKASQFTKLLQQWEHQTRYREINIGSAQRGGY >DRNTG_10921.9 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:22484:23808:-1 gene:DRNTG_10921 transcript:DRNTG_10921.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARQFIKNNEMFDRGMYAGPVGWFGGRESEFAVGIRSALVGKGFSTLIYAGAGIVEGTNPCSEWDELDLKASQFTKLLQQWEHQTRYREINIGSAQRGGY >DRNTG_10921.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:22484:29313:-1 gene:DRNTG_10921 transcript:DRNTG_10921.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHQISPYFHKSTTEVPQTTIISWNHVPTKASWNTSVKKALQIIRSRDSELVKVVLARCSTYVTDTCIDPVTLLSCLQVEGENAYQFYIQPPDAPAFIGNTPEQLFHRKYLNISSEALAGTRARSLNKDEDLQIGRELLFSPKDHIEFTIVRESIRRKLESICDEVLVEPSKALRKLPRVQHLCAQLSGRLMSEDDEFAILASLHPSPAVCGLPMEEARQFIKNNEMFDRGMYAGPVGWFGGRESEFAVGIRSALVGKGFSTLIYAGAGIVEGTNPCSEWDELDLKASQFTKLLQQWEHQTRYREINIGSAQRGGY >DRNTG_16326.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1575937:1577568:-1 gene:DRNTG_16326 transcript:DRNTG_16326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMTAQFASYNTSRRFATGEANFTASNSKIYGLTQCTPDLSGDECHRCLQGAFSAISRLPYSQGLRVLGVRCNFRYELYPFYEGKSMVLLSTSSPQSNGTNTTTTPAVEEEGKKNNTGIILAISIPLAIAVLIISTICICFWKRRRLAKKIPFVL >DRNTG_11595.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13665732:13666498:1 gene:DRNTG_11595 transcript:DRNTG_11595.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHISSPPGDILIFMTGQDEIEATCYALAERVPKLSILPIYSQLPVDLQAKVFQKAEDGARKCILATNIAETSLTVYGLLYVIDTGYGKMKVYNLRMGMEALQVFPVSRAAADQQAGRAGTGTNSGCWVLGVRIR >DRNTG_11595.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13665980:13666498:1 gene:DRNTG_11595 transcript:DRNTG_11595.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHISSPPGDILIFMTGQDEIEATCYALAERVPKLSILPIYSQLPVDLQAKVFQKAEDGARKCILATNIAETSLTVYGLLYVIDTGYGKMKVYNLRMGMEALQVFPVSRAAADQQAGRAGTGTNSGCWVLGVRIR >DRNTG_11595.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13663650:13666498:1 gene:DRNTG_11595 transcript:DRNTG_11595.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHISSPPGDILIFMTGQDEIEATCYALAERVPKLSILPIYSQLPVDLQAKVFQKAEDGARKCILATNIAETSLTVYGLLYVIDTGYGKMKVYNLRMGMEALQVFPVSRAAADQQAGRAGTGTNSGCWVLGVRIR >DRNTG_11595.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13664969:13666498:1 gene:DRNTG_11595 transcript:DRNTG_11595.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHISSPPGDILIFMTGQDEIEATCYALAERVPKLSILPIYSQLPVDLQAKVFQKAEDGARKCILATNIAETSLTVYGLLYVIDTGYGKMKVYNLRMGMEALQVFPVSRAAADQQAGRAGTGTNSGCWVLGVRIR >DRNTG_11595.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13664268:13666498:1 gene:DRNTG_11595 transcript:DRNTG_11595.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHISSPPGDILIFMTGQDEIEATCYALAERVPKLSILPIYSQLPVDLQAKVFQKAEDGARKCILATNIAETSLTVYGLLYVIDTGYGKMKVYNLRMGMEALQVFPVSRAAADQQAGRAGTGTNSGCWVLGVRIR >DRNTG_20714.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17930962:17933665:1 gene:DRNTG_20714 transcript:DRNTG_20714.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKEICKKLHVFYIASNAFSGRKYPTSNVFFPLMCEIKVCLSTWVKSPIETIRNMATSMSIKFDKYWETVHGIMGVATILDPRYKLKSMEYFFPRLYGHSADYEIQRITSYCYDLLHEYESSTQIGKITRQTSCNEPKENDIINSSFLDGFDSYVSDSNKNILKSELDHYLEEKVLPRTPDFDILAW >DRNTG_20714.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17930962:17933942:1 gene:DRNTG_20714 transcript:DRNTG_20714.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKEICKKLHVFYIASNAFSGRKYPTSNVFFPLMCEIKVCLSTWVKSPIETIRNMATSMSIKFDKYWETVHGIMGVATILDPRYKLKSMEYFFPRLYGHSADYEIQRITSYCYDLLHEYESSTQIGKITRQTSCNEPKENDIINSSFLDGFDSYVSDSNKNILKSELDHYLEEKVLPRTPDFDILAW >DRNTG_20714.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17930962:17933942:1 gene:DRNTG_20714 transcript:DRNTG_20714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKEICKKLHVFYIASNAFSGRKYPTSNVFFPLMCEIKVCLSTWVKSPIETIRNMATSMSIKFDKYWETVHGIMGVATILDPRYKLKSMEYFFPRLYGHSADYEIQRITSYCYDLLHEYESSTQIGKITRQTSCNEPKENDIINSSFLDGFDSYVSDSNKNILKSELDHYLEEKVLPRTPDFDILAW >DRNTG_00407.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2791639:2791917:-1 gene:DRNTG_00407 transcript:DRNTG_00407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRSVVLPQQEMSVDEFKKWLKQFDGNHDGRISREELKRALESLHAWFSWWKARQALKSSDVNRNGVIDKEEIGKLVLYAVQHLNMKIYD >DRNTG_25705.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30418669:30421492:1 gene:DRNTG_25705 transcript:DRNTG_25705.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGHSFDLWQKDVFFSAAEEVQESADTMESMYRMWLRSHSDGFSFDDFNDLRRDLHAALGTAKWQLEAFERAVSLSHQSLSSEDAAISRRQQFINTIKNQISCVEKALSDSHIEGEKHPFWLVQLDEGERDDLAAFLSADTRTLHESKVGKHVKYAEEVLPARVEMPNGQKTTSCSPDGDSWKILIADDEDAKPMPCSRPSSLRGFIRNVGSATRSNWLRNSFPKVKSEQDHQSKPGAIDLRGISRFAQHGMNSLTDRGRSCLSNCRTDSKASDAEQFVGKFSGLRRHIEGSYGRSFQIFLLLLLSIFLIVPIVFY >DRNTG_25705.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30418669:30421492:1 gene:DRNTG_25705 transcript:DRNTG_25705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGHSFDLWQKDVFFSAAEEVQESADTMESMYRMWLRSHSDGFSFDDFNDLRRDLHAALGTAKWQLEAFERAVSLSHQSLSSEDAAISRRQQFINTIKNQISCVEKALSDSHIEGEKHPFWLVQLDEGERDDLAAFLSADTRTLHESKVGKHVKYAEEVLPARVEMPNGQKTTSCSPDGDSWKILIADDEDAKPMPCSRPSSLRGFIRNVGSATRSNWLRNSFPKVKSEQDHQSKPGAIDLRGISRFAQHGMNSLTDRGRSCLSNCRTDSKASDAEQFVGKFSGLRRHIEGSYGRSFQIFLLLLLSIFLIVPIVFY >DRNTG_25705.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30418669:30421492:1 gene:DRNTG_25705 transcript:DRNTG_25705.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGHSFDLWQKDVFFSAAEEVQESADTMESMYRMWLRSHSDGFSFDDFNDLRRDLHAALGTAKWQLEAFERAVSLSHQSLSSEDAAISRRQQFINTIKNQISCVEKALSDSHIEGEKHPFWLVQLDEGERDDLAAFLSADTRTLHESKVGKHVKYAEEVLPARVEMPNGQKTTSCSPDGDSWKILIADDEDAKPMPCSRPSSLRGFIRNVGSATRSNWLRNSFPKVKSEQDHQSKPGAIDLRGISRFAQHGMNSLTDRGRSCLSNCRTDSKASDAEQFVGKFSGLRRHIEGSYGRSFQIFLLLLLSIFLIVPIVFY >DRNTG_14946.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1099254:1100445:-1 gene:DRNTG_14946 transcript:DRNTG_14946.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLEMELEDGFPASRLFSQGVSYTYDDVIMLPHYIDFPADAVDLSTRLSRRVSLSIPCVASPMDTVSESSMAVAMASLGGVAIIHCNSSPALQASLVRSAKSRRTPFVHGPSVLSGSDAIIRTFDDILPAVVVTDPEKVPIGVVARSDWERLENKDVPVSAYMRSAPPPVPAKYDFEEIAAFLAEEKLDLAPLVDEDGEVVGVITMEDIERIRGIPEAREAISGA >DRNTG_14946.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1099164:1100445:-1 gene:DRNTG_14946 transcript:DRNTG_14946.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLEMELEDGFPASRLFSQGVSYTYDDVIMLPHYIDFPADAVDLSTRLSRRVSLSIPCVASPMDTVSESSMAVAMASLGGVAIIHCNSSPALQASLVRSAKSRRTPFVHGPSVLSGSDAIIRTFDDILPAVVVTDPEKVPIGVVARSDWERLENKDVPVSAYMRSAPPPVPAKYDFEEIAAFLAEEKLDLAPLVDEDGEVVGVITMEDIERIRGIPEAREAISGA >DRNTG_14946.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1099164:1100316:-1 gene:DRNTG_14946 transcript:DRNTG_14946.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLEMELEDGFPASRLFSQGVSYTYDDVIMLPHYIDFPADAVDLSTRLSRRVSLSIPCVASPMDTVSESSMAVAMASLGGVAIIHCNSSPALQASLVRSAKSRRTPFVHGPSVLSGSDAIIRTFDDILPAVVVTDPEKVPIGVVARSDWERLENKDVPVSAYMRSAPPPVPAKYDFEEIAAFLAEEKLDLAPLVDEDGEVVGVITMEDIERIRGIPEAREAISGA >DRNTG_14946.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1099164:1100572:-1 gene:DRNTG_14946 transcript:DRNTG_14946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLEMELEDGFPASRLFSQGVSYTYDDVIMLPHYIDFPADAVDLSTRLSRRVSLSIPCVASPMDTVSESSMAVAMASLGGVAIIHCNSSPALQASLVRSAKSRRTPFVHGPSVLSGSDAIIRTFDDILPAVVVTDPEKVPIGVVARSDWERLENKDVPVSAYMRSAPPPVPAKYDFEEIAAFLAEEKLDLAPLVDEDGEVVGVITMEDIERIRGIPEAREAISGA >DRNTG_14946.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1099254:1100572:-1 gene:DRNTG_14946 transcript:DRNTG_14946.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLEMELEDGFPASRLFSQGVSYTYDDVIMLPHYIDFPADAVDLSTRLSRRVSLSIPCVASPMDTVSESSMAVAMASLGGVAIIHCNSSPALQASLVRSAKSRRTPFVHGPSVLSGSDAIIRTFDDILPAVVVTDPEKVPIGVVARSDWERLENKDVPVSAYMRSAPPPVPAKYDFEEIAAFLAEEKLDLAPLVDEDGEVVGVITMEDIERIRGIPEAREAISGA >DRNTG_14946.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1099254:1100316:-1 gene:DRNTG_14946 transcript:DRNTG_14946.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLEMELEDGFPASRLFSQGVSYTYDDVIMLPHYIDFPADAVDLSTRLSRRVSLSIPCVASPMDTVSESSMAVAMASLGGVAIIHCNSSPALQASLVRSAKSRRTPFVHGPSVLSGSDAIIRTFDDILPAVVVTDPEKVPIGVVARSDWERLENKDVPVSAYMRSAPPPVPAKYDFEEIAAFLAEEKLDLAPLVDEDGEVVGVITMEDIERIRGIPEAREAISGA >DRNTG_14946.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1099254:1100403:-1 gene:DRNTG_14946 transcript:DRNTG_14946.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLEMELEDGFPASRLFSQGVSYTYDDVIMLPHYIDFPADAVDLSTRLSRRVSLSIPCVASPMDTVSESSMAVAMASLGGVAIIHCNSSPALQASLVRSAKSRRTPFVHGPSVLSGSDAIIRTFDDILPAVVVTDPEKVPIGVVARSDWERLENKDVPVSAYMRSAPPPVPAKYDFEEIAAFLAEEKLDLAPLVDEDGEVVGVITMEDIERIRGIPEAREAISGA >DRNTG_14946.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1099164:1100403:-1 gene:DRNTG_14946 transcript:DRNTG_14946.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLEMELEDGFPASRLFSQGVSYTYDDVIMLPHYIDFPADAVDLSTRLSRRVSLSIPCVASPMDTVSESSMAVAMASLGGVAIIHCNSSPALQASLVRSAKSRRTPFVHGPSVLSGSDAIIRTFDDILPAVVVTDPEKVPIGVVARSDWERLENKDVPVSAYMRSAPPPVPAKYDFEEIAAFLAEEKLDLAPLVDEDGEVVGVITMEDIERIRGIPEAREAISGA >DRNTG_09517.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21731797:21737493:-1 gene:DRNTG_09517 transcript:DRNTG_09517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEENSALFPIFILTVMALPLVPYTIVKLCRAASRKAKIIHCQCSECSRSGKYRKSIFKRISNFLTCSNMTLLLLWVIMGILVYYIKHINREIQPFEPFNILGLESGASDSEIKKAYRKLSILYHPDKNPDPEAHKYFVEFISKAYQALTDPVSRENYEKYGHPDGRQGLQMGIALPQFLLNIDGASGGVLLLGIVGVCILLPLMIAVIYLSRSSKYTGNYVMHQTLSTYYYFMKPSLAPSKVMDVFIKAAEYMEMPVRRSDDEPLQKLFFAVRSELNLDLKNIKTEQAKFWKQHPSLVKMELLIQAHLTRESAALSPALMSDFRRMLELAPRLLEELLKIAVLPRNPHGYGWLRPAIGVVELSQCIIQAVPLSARKATGGNPEGIAPFLQLPHFSETILKKIARKKVRSFQELRDMSPEDRAELLTQTAGLSAAEAHDVELVLAMIPSITVDITCETEGEEGIQEGDIVTMYAWVTLKRGNGLVGALPHTPYYPFPKEENLWLFLADPASNDVWLSQKVSFMDEPAAITAASKAIQETKEGLGASLKEIGNAVREAVEKVKAGSRLVMGKFQAPAEGSYNLCSYCLCDAWIGCDKKTNLKLKVLKRSRAGTRGAHAAEEGPVTEERVEEEDEEEEEDYDEDYESEYSEDEEDDKNKKNNNKGKVVVVNGKAKGRDGSATASEDDGSESDEE >DRNTG_11761.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:694380:697777:1 gene:DRNTG_11761 transcript:DRNTG_11761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTTIHSLLFLTAIALLLPLSSPLPPPLQSLLSLKASLSDPLSTFSSWSLPSNLSSPSPWCSFSGVTCDPITSAVTSIDLSSRNLSGHLPSSSLLLLSPSLSHLNLSSNSFSGPLPQSILLLRHLLTLDISHNDFNSSFPSGLSDLRHLTVFSAYSNSFTGPLPSGFSNLSNLQHLNLGGSFFSGPIPDDIASLASLQFLHLAGNLLSGELPSGLGSLHSLRHLEIGYNSFNGGIPADVGALRSLRYLDISSANLSGEIPSELGNLTRLESLFLFKNALSGRIPESFSGIRALKVFDLSDNELAGPIPAGVASFENLTLLSLMNNKLSGEIPVGIGELPDLQALLLWNNSLTGGLPPKLGLNGKLERLDVSSNSLSGPIPAGVCTGNRLVRLILFSNSFDSELPSGLARCQSLWRIRIETNRFSGEIPAGFGLLPNLTYMDLSQNNFSGSIPPDLGAAPRLEFLNVSKNPLRSVLPETIWGAPDLQIFSASSCGLIGEIPSFGPGCRNLYKVELAGNQLNGSIPMDIGRCSKLLNLNLNHNRLVGIIPPELSTLPSITDIDLSHNELVGPIPPSFDNSSTLENLNVSFNSLSGEVPTAGTILKNLHRSSFSGNEALCGEPVRKPCASESWRRAEEPETTTKGSAGATFVWIAAGAVGAGLVVLFVGARWTRSQEEDEWPGPWKLTAFQRLNFTANDVAESIAITDQIIGMGSTGTVYRADMPSGDIIAVKKLWGRSKDEKPSVSRRSRAAVAAAAATLAEVQVLGSVRHRNIVRLLGCCSNYESTLLLYEFMPNGSLEDLLHRPSGINAKDGTKLAGDWLTRYRIALGVAQGVCYLHHDSDPVILHRDLKPSNILLDERMEPRVADFGVAKLIHTDAKHTMSVVAGSYGYIAPEYAYTLQVDEKSDIYSFGVVLMEIVSGKRTVEAEFGEGISIVEWVRGKVSKGDVAAVMEVLDKKIGADCKQVREEMLLVLRIALLCTSKNPVDRPSMRDVLSMLREARPNRKVVATGEEEGGCGGCGGGGGFQGMHQFVANNT >DRNTG_25638.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21882404:21885569:-1 gene:DRNTG_25638 transcript:DRNTG_25638.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G16510) UniProtKB/TrEMBL;Acc:W8Q2T5] MSLELDASQVDVVIAALHPNLTTFLEEWRPILSRYHLIIIKDPDTEEELQIPSGFDLQVYTKSDIEKVIGQTSIKFSGHSSRYFGYLISRKKYIISIDDDCLPARDSQGFLIDAVAQHITNLSTPATPFFFNTLYDPYREGADFVRGYPFSLRTGVECVMSCGLWLNIADYDAPTQAVKPEERNLRYVDAVLTVPVRSMMPVSGINLAFRRDIVGPALFPVLRLAGEGKRRWETMEDIWSGMCAKVVCDHLGLGVKSGLPYVWRGEGADGGDALESLKKEWEGVKLMEQVVPFFQSVRLPRTAVTAEDCVIKMAGLVREQLGPLDLVFKNAADGMEEWVKLWKVSTSQSITDS >DRNTG_12878.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22846169:22847853:1 gene:DRNTG_12878 transcript:DRNTG_12878.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDSEAVRVAILPAPGMGHLIPLGELAKLLVTHHSISVTFITFAESASKAQKAFLDALPSTITSLQLPPVSLTDLPSDTHVETRMSIATDRSLPAVRNILRNLQQSTRLIAFIVDLFATDTFTVSKELGIPSFLFYTSNLFSLSLILHLPELDASTTCEYRDLPEPLQLPGCVPVLGSDLLHPLRDRSNDSYKWMVHHGKRYRDADAILVNTFKDIEPETAKIINEEDNKLPPVYLIGPLIQSCSPDIELANCLSWLDKQPKESVLYVSFGSGGTLTCAQMKELACGLEMSGQRFLWVVRSPSDTECDANYFDSTSVDDPVAFLPEGFVERTKEVGLLVPSWAPQLQVLAHRATGGFLSHCGWNSTLESVMHGVPMIAWPLYAEQRMNAVMLTEGVKVALRPGAAADGIYKSEEIAKVVKALMEGEEGKEVREKTKELQEGGTRALMEDGESCKVITELTNRLRSTIT >DRNTG_06110.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20038624:20041819:-1 gene:DRNTG_06110 transcript:DRNTG_06110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSNVSLKKASYSLVERRKPRSPSSVIVIGSGFAGLAAARALKNASFEVVVLESRDRIGGRVYTDYSFGFPVDVGASWLHGVCKENPLAPLIGRLGLPLYRTSGDNSVLFDHDLESYALFDTDGHQVPQDLVEKVGQVFQEILDNADKLRQENTNDLSVAQAITIVMDRHPELKQEGLAHKVLQWYLCRMEGWFAADADTISLKNWDQAILLPGGHGLMVRGYRPVLTTLARGLDIRLRHRSARP >DRNTG_06110.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20038624:20041819:-1 gene:DRNTG_06110 transcript:DRNTG_06110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSNVSLKKASYSLVERRKPRSPSSVIVIGSGFAGLAAARALKNASFEVVVLESRDRIGGRVYTDYSFGFPVDVGASWLHGVCKENPLAPLIGRLGLPLYRTSGDNSVLFDHDLESYALFDTDGHQVPQDLVEKVGQVFQEILDNADKLRQENTNDLSVAQAITIVMDRHPELKQEGLAHKVLQWYLCRMEGWFAADADTISLKNWDQAILLPGGHGLMVRGYRPVLTTLARGLDIRLRHR >DRNTG_06110.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20038624:20041819:-1 gene:DRNTG_06110 transcript:DRNTG_06110.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSNVSLKKASYSLVERRKPRSPSSVIVIGSGFAGLAAARALKNASFEVVVLESRDRIGGRVYTDYSFGFPVDVGASWLHGVCKENPLAPLIGRLGLPLYRTSGDNSVLFDHDLESYALFDTDGHQVPQDLVEKVGQVFQEILDNADKLRQENTNDLSVAQAITIVMDRHPELKQEGLAHKVLQWYLCRMEGWFAADADTISLKNWDQASESIFTSKLNDEF >DRNTG_06110.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20038624:20041819:-1 gene:DRNTG_06110 transcript:DRNTG_06110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSNVSLKKASYSLVERRKPRSPSSVIVIGSGFAGLAAARALKNASFEVVVLESRDRIGGRVYTDYSFGFPVDVGASWLHGVCKENPLAPLIGRLGLPLYRTSGDNSVLFDHDLESYALFDTDGHQVPQDLVEKVGQVFQEILDNADKLRQENTNDLSVAQAITIVMDRHPELKQEGLAHKVLQWYLCRMEGWFAADADTISLKNWDQASESIFTSKLNDEF >DRNTG_06110.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20038624:20041819:-1 gene:DRNTG_06110 transcript:DRNTG_06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSNVSLKKASYSLVERRKPRSPSSVIVIGSGFAGLAAARALKNASFEVVVLESRDRIGGRVYTDYSFGFPVDVGASWLHGVCKENPLAPLIGRLGLPLYRTSGDNSVLFDHDLESYALFDTDGHQVPQDLVEKVGQVFQEILDNADKLRQENTNDLSVAQAITIVMDRHPELKQEGLAHKVLQWYLCRMEGWFAADADTISLKNWDQAILLPGGHGLMVRGYRPVLTTLARGLDIRLRHRVTKIIRGKKGVEITVENGKTFVADAAIITVPLGVLKSKSIKFEPRLPEWKEEAINDIGVGTENKIALHFEKGFSGLM >DRNTG_04796.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2421358:2422634:1 gene:DRNTG_04796 transcript:DRNTG_04796.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRVTGQYCSKDAAIHNFNEFEQLTSLSINSTDEYFIASGFSKHVALYNIGSGKRVQIFKDLHQEHINVVRFANHSPTVFSTVSFDRKIKMWDLRQGTSQPCYTASSTRGNVMVCFSPDDHYLLSSAVDNEVKQLLAVDGRLHTVFDITSTGSNLNFTRSYYMNGRDYMISGSCEENIIRVCCTQTGRRLRDISFEGKSSRNSMFVQSLRGDPFRVFHMSVLAAYSGHRSKTEIIKVNLVSSDECQ >DRNTG_04796.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2418601:2422634:1 gene:DRNTG_04796 transcript:DRNTG_04796.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPWPLLQLIPKFRNGAGEEVIGSNPRQFEYHPCNPSLMALGTLDGELVIINHESQKLVGYLPSVGAMHSILGLCWLKNNPSKLIAGSDNGSLRLHDVCKMPSRVTGQYCSKDAAIHNFNEFEQLTSLSINSTDEYFIASGFSKHVALYNIGSGKRVQIFKDLHQEHINVVRFANHSPTVFSTVSFDRKIKMWDLRQGTSQPCYTASSTRGNVMVCFSPDDHYLLSSAVDNEVKQLLAVDGRLHTVFDITSTGSNLNFTRSYYMNGRDYMISGSCEENIIRVCCTQTGRRLRDISFEGKSSRNSMFVQSLRGDPFRVFHMSVLAAYSGHRSKTEIIKVNLVSSDECQ >DRNTG_04796.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2419231:2422634:1 gene:DRNTG_04796 transcript:DRNTG_04796.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPWPLLQLIPKFRNGAGEEVIGSNPRQFEYHPCNPSLMALGTLDGELVIINHESQKLVGYLPSVGAMHSILGLCWLKNNPSKLIAGSDNGSLRLHDVCKMPSRVTGQYCSKDAAIHNFNEFEQLTSLSINSTDEYFIASGFSKHVALYNIGSGKRVQIFKDLHQEHINVVRFANHSPTVFSTVSFDRKIKMWDLRQGTSQPCYTASSTRGNVMVCFSPDDHYLLSSAVDNEVKQLLAVDGRLHTVFDITSTGSNLNFTRSYYMNGRDYMISGSCEENIIRVCCTQTGRRLRDISFEGKSSRNSMFVQSLRGDPFRVFHMSVLAAYSGHRSKTEIIKVNLVSSDECQ >DRNTG_29498.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:6076964:6077449:-1 gene:DRNTG_29498 transcript:DRNTG_29498.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARLRYPDETGREIRETENPRPCGNYPRPCGNSARARVSSTHVELPDSSPI >DRNTG_19814.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5454850:5459743:1 gene:DRNTG_19814 transcript:DRNTG_19814.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGLALVDPTGPSHPLKVTHMQPQPPFKTGGPSHSPPIHPASATLSGEASSKEKELADHFDSDGGL >DRNTG_25785.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3597515:3608246:-1 gene:DRNTG_25785 transcript:DRNTG_25785.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDEPIIADQDLDAIEDLDPVEEVEELGESDGEEEAEETSRTMIPAVYKPMLVKILRDLQSPEIKIYSVTSKEFVDLLRSDSGGGVLLEYVKSSPGCGELDESWRAHCGKPGMSYILSLVSVVLDHPLGKSRASGICRNLDNFARAIVGSKLDDIYIELNSQEWRRQSAGLTLLASIVRRGMSLASDVAKTFNFKLPVLTKLSGVRRKKSSNKGAKEKEHRVKRATRRAFVEFAMSFLEVGNPRLLRWVLQQREMYSGVLQMLGSDDAETVVHILSTLRDKVLVPESLVPPGLRSVLFGSVTLEQLICVSGNLEAGMAADIAHEVLVRVCTDPVNGLMPSSTGKGNEKRLFELMKKLKATEAHYHKDLLLAIVKCRLSFCSAYMDDFPYHLEPRPTSLWFAAISLAADIIASMNVDTLIASIGFGSHDSVMNELGNVLKCIIPRAFTRPVINKGLLHHDVLVKHGSLRVLFESLKLVDSLINRIDGIIDSAGLRSVAEIPGERMAGLHGLPGLSSIAEIDRLLGMNKMSSPHTDEQEAERWVSIKQYIQDEVRVLLPDPQVLVKLFSTPSSRVLKHAGTSLKRCASLAESGLRKFKKLKSESINDNIDLVIGGIDDEFTADISETQKKAKSSFTENELDVDKDIKMTMTEIWGLNKSPCSINVLTEPEIYLYSKLLDILTFYLRAMPNALDSTFDFFKILPNDPLNLSFDQQQSVFSMLIECIGLCPGGNTATRAPELMYRHLQPLINTLVFSEDINVQEQAYILARAAMISTGAFDQNLLEVDAWLVFIPGFNTKKNSGKTQITEMFRDWSKVVISFLCDAVSTIGNNLYKNMDHMRQLIFEIYGHNDSYPGFSPLTICILQKCLRLLDSDSGNFKLHEKSCISLYVCSTLILISQMEVGTTLLPRLVQSILTEKFKPSCSNDEDSKASLCEWMPLRNLLLFASNIVNRPSCSSFCALEGNGNVSDNSFTSILERVKGFLENDHVGGLEGVAVALSSSIFCASRDDILKSFPLLIMSSKVLFRSHLQLLSWAFFSDPRFLADVAHRWSDMFFSAFEMIGGSISHDRKSDSDISSKDDANFILRSTGTDNVDQTESAAIAFSLLLKQSPFYLLLSGIMSFGSCKLDSTKILGILRSTRVLDLLRIKLFEGSERIPILYLQCLLFWAHHILSAYRAKPCDVLEELFYTCFTLIEDLFEHLVAGFSDTANSKTSCKSTVTQFQDAIDLIMHHPTITLSFSCPLSSSKKVQSDNLGDCVETFLASSKQCYHPIDQRVLLFSKKVIEFLTVFCNGSSSYNLDHASFEQILKAPADLVEKTELLFKEKFEFCVMTRDLGPLPPSFYAFHALARLKSPFELLRLVRWMFCKLDNEKSGYISAYVPVICVALFIADIALDMLYMILKQPMMKSQLNLFLDLNTGDFDLSILKNIYFKILDFAMFFNLQCADECLFKVANAVHKQKFVKPQPALLPLYMLSLRMFVGCPTKTFLHLFYPASKTKAKTLLHLIEASPVHMSLFGKIFTGIVINNLSVIDSLNMGITCLDEGEIAVERQTDAQLEGDFVLMLPAALSYLTKNINETQKQDFKIFENMLAFYSRVLLDGFSNWKRYVSGDIFHEDFDDLELELLADFSQLFCCSLLGKAVLLLQYAFAMKGISIGTQQRLKIFYSIYPHSTESDEILDGELRNIESLTFNESLRLANEIACKITFTSLLLLPPEKSVVFGANDADEKFQEMPAAQESNQLSSAGLHFLNILITTLEYVVGKCPWRSDGFLRSCHTDSVHLLRYLEQSILQYIVQISTKIQSYLTQLPSIPFLIPFIRSSLLHRFEDPVRLKAIRCMISLSQGSFSYSEVFHLLLGHSKFVPTILYSESTSDPFVYSSAGTFLQPVPSILKSIVFPSIEQQNIGKSRCAATLKSTFQSGALGERKLELIKLLRVLVYYIKDKQQNTESFSIVGFNSKELISLLLSGYGATMSELDLETLHLMHEIESMEGSSYDIISEFDYLWGASVMKTRNELVLDGLVALNENSNSELMEEQHRRLFRENIPVDSGLCVMTVLHVCNDRTSRHGALSVKRLVDDSLMVSAEPVTMNTVQGYDPVFILRFAIHSLMMDYVDPIEFSQVGLLAVTLASISSADEEIRKLGYESLGRFKQALEKIRSKDALQIKLLLTYLQNGIQEPWQKIPSIIAIFAAEASFVLLDPSQDRFRKISKLLVHSPRVNLKTVPLLHTMFASDSIHFRSDCLWILRLLLAGLNSYDDAKIYLGNGLLELLLSFYSSSLSDFGSNILIIQAS >DRNTG_25785.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3593125:3608246:-1 gene:DRNTG_25785 transcript:DRNTG_25785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHTILNLAQHHCADIIASMNVDTLIASIGFGSHDSVMNELGNVLKCIIPRAFTRPVINKGLLHHDVLVKHGSLRVLFESLKLVDSLINRIDGIIDSAGLRSVAEIPGERMAGLHGLPGLSSIAEIDRLLGMNKMSSPHTDEQEAERWVSIKQYIQDEVRVLLPDPQVLVKLFSTPSSRVLKHAGTSLKRCASLAESGLRKFKKLKSESINDNIDLVIGGIDDEFTADISETQKKAKSSFTENELDVDKDIKMTMTEIWGLNKSPCSINVLTEPEIYLYSKLLDILTFYLRAMPNALDSTFDFFKILPNDPLNLSFDQQQSVFSMLIECIGLCPGGNTATRAPELMYRHLQPLINTLVFSEDINVQEQAYILARAAMISTGAFDQNLLEVDAWLVFIPGFNTKKNSGKTQITEMFRDWSKVVISFLCDAVSTIGNNLYKNMDHMRQLIFEIYGHNDSYPGFSPLTICILQKCLRLLDSDSGNFKLHEKSCISLYVCSTLILISQMEVGTTLLPRLVQSILTEKFKPSCSNDEDSKASLCEWMPLRNLLLFASNIVNRPSCSSFCALEGNGNVSDNSFTSILERVKGFLENDHVGGLEGVAVALSSSIFCASRDDILKSFPLLIMSSKVLFRSHLQLLSWAFFSDPRFLADVAHRWSDMFFSAFEMIGGSISHDRKSDSDISSKDDANFILRSTGTDNVDQTESAAIAFSLLLKQSPFYLLLSGIMSFGSCKLDSTKILGILRSTRVLDLLRIKLFEGSERIPILYLQCLLFWAHHILSAYRAKPCDVLEELFYTCFTLIEDLFEHLVAGFSDTANSKTSCKSTVTQFQDAIDLIMHHPTITLSFSCPLSSSKKVQSDNLGDCVETFLASSKQCYHPIDQRVLLFSKKVIEFLTVFCNGSSSYNLDHASFEQILKAPADLVEKTELLFKEKFEFCVMTRDLGPLPPSFYAFHALARLKSPFELLRLVRWMFCKLDNEKSGYISAYVPVICVALFIADIALDMLYMILKQPMMKSQLNLFLDLNTGDFDLSILKNIYFKILDFAMFFNLQCADECLFKVANAVHKQKFVKPQPALLPLYMLSLRMFVGCPTKTFLHLFYPASKTKAKTLLHLIEASPVHMSLFGKIFTGIVINNLSVIDSLNMGITCLDEGEIAVERQTDAQLEGDFVLMLPAALSYLTKNINETQKQDFKIFENMLAFYSRVLLDGFSNWKRYVSGDIFHEDFDDLELELLADFSQLFCCSLLGKAVLLLQYAFAMKGISIGTQQRLKIFYSIYPHSTESDEILDGELRNIESLTFNESLRLANEIACKITFTSLLLLPPEKSVVFGANDADEKFQEMPAAQESNQLSSAGLHFLNILITTLEYVVGKCPWRSDGFLRSCHTDSVHLLRYLEQSILQYIVQISTKIQSYLTQLPSIPFLIPFIRSSLLHRFEDPVRLKAIRCMISLSQGSFSYSEVFHLLLGHSKFVPTILYSESTSDPFVYSSAGTFLQPVPSILKSIVFPSIEQQNIGKSRCAATLKSTFQSGALGERKLELIKLLRVLVYYIKDKQQNTESFSIVGFNSKELISLLLSGYGATMSELDLETLHLMHEIESMEGSSYDIISEFDYLWGASVMKTRNELVLDGLVALNENSNSELMEEQHRRLFRENIPVDSGLCVMTVLHVCNDRTSRHGALSVKRLVDDSLMVSAEPVTMNTVQGYDPVFILRFAIHSLMMDYVDPIEFSQVGLLAVTLASISSADEEIRKLGYESLGRFKQALEKIRSKDALQIKLLLTYLQNGIQEPWQKIPSIIAIFAAEASFVLLDPSQDRFRKISKLLVHSPRVNLKTVPLLHTMFASDSIHFRSDCLWILRLLLAGLNSYDDAKIYLGNGLLELLLSFYSSSLSDFGSNILIIQIVNRAVKVRLLANYLVKECGLISWLSTIVSSYGQQLDGGKKEISLKAMTCALKVLSDVLSLRPITEWLQDYDLEQLSELSTHLFSLLLGPLKFLKENIMLVNSILHVIKSTLMISYKRKLYQPHILFSLEGLFLLWQRLHSELDNFGFEDTFQLGIDILLLSLPMPIFSHMDHARLAKLFILAISAALSSLSCPSKEPDPAMVLNKDQRGKESVFMKLLRWIIASVILGRISGKSSKINSKMLNRTGIESLQSLLEAVEEEKDASREEDFRSNEALAAIILYLQQISGMNVSTISSVVSALCLLLLPSSSTAVKEVLDTNRSTIAFLCSKIRCPIEANSSWRWSYYQPWNNFTSSKTDIEEMEEKHACQSLLMIFSNAFGGEYLGLPPLSHQDVEISELFEWEKHNLLQTIQ >DRNTG_25785.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3597515:3605131:-1 gene:DRNTG_25785 transcript:DRNTG_25785.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQRAMPNALDSTFDFFKILPNDPLNLSFDQQQSVFSMLIECIGLCPGGNTATRAPELMYRHLQPLINTLVFSEDINVQEQAYILARAAMISTGAFDQNLLEVDAWLVFIPGFNTKKNSGKTQITEMFRDWSKVVISFLCDAVSTIGNNLYKNMDHMRQLIFEIYGHNDSYPGFSPLTICILQKCLRLLDSDSGNFKLHEKSCISLYVCSTLILISQMEVGTTLLPRLVQSILTEKFKPSCSNDEDSKASLCEWMPLRNLLLFASNIVNRPSCSSFCALEGNGNVSDNSFTSILERVKGFLENDHVGGLEGVAVALSSSIFCASRDDILKSFPLLIMSSKVLFRSHLQLLSWAFFSDPRFLADVAHRWSDMFFSAFEMIGGSISHDRKSDSDISSKDDANFILRSTGTDNVDQTESAAIAFSLLLKQSPFYLLLSGIMSFGSCKLDSTKILGILRSTRVLDLLRIKLFEGSERIPILYLQCLLFWAHHILSAYRAKPCDVLEELFYTCFTLIEDLFEHLVAGFSDTANSKTSCKSTVTQFQDAIDLIMHHPTITLSFSCPLSSSKKVQSDNLGDCVETFLASSKQCYHPIDQRVLLFSKKVIEFLTVFCNGSSSYNLDHASFEQILKAPADLVEKTELLFKEKFEFCVMTRDLGPLPPSFYAFHALARLKSPFELLRLVRWMFCKLDNEKSGYISAYVPVICVALFIADIALDMLYMILKQPMMKSQLNLFLDLNTGDFDLSILKNIYFKILDFAMFFNLQCADECLFKVANAVHKQKFVKPQPALLPLYMLSLRMFVGCPTKTFLHLFYPASKTKAKTLLHLIEASPVHMSLFGKIFTGIVINNLSVIDSLNMGITCLDEGEIAVERQTDAQLEGDFVLMLPAALSYLTKNINETQKQDFKIFENMLAFYSRVLLDGFSNWKRYVSGDIFHEDFDDLELELLADFSQLFCCSLLGKAVLLLQYAFAMKGISIGTQQRLKIFYSIYPHSTESDEILDGELRNIESLTFNESLRLANEIACKITFTSLLLLPPEKSVVFGANDADEKFQEMPAAQESNQLSSAGLHFLNILITTLEYVVGKCPWRSDGFLRSCHTDSVHLLRYLEQSILQYIVQISTKIQSYLTQLPSIPFLIPFIRSSLLHRFEDPVRLKAIRCMISLSQGSFSYSEVFHLLLGHSKFVPTILYSESTSDPFVYSSAGTFLQPVPSILKSIVFPSIEQQNIGKSRCAATLKSTFQSGALGERKLELIKLLRVLVYYIKDKQQNTESFSIVGFNSKELISLLLSGYGATMSELDLETLHLMHEIESMEGSSYDIISEFDYLWGASVMKTRNELVLDGLVALNENSNSELMEEQHRRLFRENIPVDSGLCVMTVLHVCNDRTSRHGALSVKRLVDDSLMVSAEPVTMNTVQGYDPVFILRFAIHSLMMDYVDPIEFSQVGLLAVTLASISSADEEIRKLGYESLGRFKQALEKIRSKDALQIKLLLTYLQNGIQEPWQKIPSIIAIFAAEASFVLLDPSQDRFRKISKLLVHSPRVNLKTVPLLHTMFASDSIHFRSDCLWILRLLLAGLNSYDDAKIYLGNGLLELLLSFYSSSLSDFGSNILIIQAS >DRNTG_25785.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3593125:3596646:-1 gene:DRNTG_25785 transcript:DRNTG_25785.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTCALKVLSDVLSLRPITEWLQDYDLEQLSELSTHLFSLLLGPLKFLKENIMLVNSILHVIKSTLMISYKRKLYQPHILFSLEGLFLLWQRLHSELDNFGFEDTFQLGIDILLLSLPMPIFSHMDHARLAKLFILAISAALSSLSCPSKEPDPAMVLNKDQRGKESVFMKLLRWIIASVILGRISGKSSKINSKMLNRTGIESLQSLLEAVEEEKDASREEDFRSNEALAAIILYLQQISGMNVSTISSVVSALCLLLLPSSSTAVKEVLDTNRSTIAFLCSKIRCPIEANSSWRWSYYQPWNNFTSSKTDIEEMEEKHACQSLLMIFSNAFGGEYLGLPPLSHQDVEISELFEWEKHNLLQTIQ >DRNTG_20415.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29215577:29218968:-1 gene:DRNTG_20415 transcript:DRNTG_20415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELQLHYPWTTSSMGTVSPSGSNRGRRWRRREWETDEEAWRPIPNESP >DRNTG_28608.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28512740:28513429:-1 gene:DRNTG_28608 transcript:DRNTG_28608.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILASQFEGNYVQLSTQKFSSNVVEKCLKYFGEEARAKIISELLTVPRFEQLVQDPYANYVIQSALVNSKGSLRAALVEAIQPHASVLRTSPYCKRIFSRALLKK >DRNTG_28608.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28512740:28516311:-1 gene:DRNTG_28608 transcript:DRNTG_28608.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGKQEEGEMESLLDEIPHAININDSRHGLPMDALFGRTSVSDDSSSPIFHGWLQSHNNGYVHGDGNGNGNGNACWSSPIFSDCSSSSGEDRMLDEQRLLDGIRNISIGRQAMAASMVRSNYNNSARRSSPEMDLGPSQCPLWGMEGFSGNHNVSVFSPPYQPRTAKGALQSQKNLCNLDCDVDALNSSSPRSPYDVHPKVNYSLPLVNEEARTFHGNGNGNPISWLSSIKNLCNVEDFDIESSLIMQDKGLYGPSNGRKAPQANDQLPLGGLGGVVNYGSSPRHNRSPIHPLLYERWVDVEGYISYLAKDQHGCRFLQRKFDDGKDQVDKIFNGIINNVAELMIDPFGNYLMQKLFDVCSEEQRMSVILVLTKYPDELVRISLNIHGTRAVQKLIETIKTRQQKALVMSALQPGFLELIKDLNGNHVIQRCLQSLTNEDNKLIFDAAAKHCVDIATHRHGCCVLQRCIAHSVGEHRVRLVSEVSLNGLLLAQDPFGNYVVQFILELKNQSILMILASQFEGNYVQLSTQKFSSNVVEKCLKYFGEEARAKIISELLTVPRFEQLVQDPYANYVIQSALVNSKGSLRAALVEAIQPHASVLRTSPYCKRIFSRALLKK >DRNTG_35111.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8765951:8766640:-1 gene:DRNTG_35111 transcript:DRNTG_35111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLTPYAIQFRVFGHPFFMSVTEISILMGLYHETYTVRTRSVQSHQSIPAELQILTLSPYQQCVDSPRAHNDRRLEATGPIYAIHGAERTVVPSPLGINTIRMMGLVRRCGPKTYILATAAPEIIEGSRQVFEPQFAPIETGAPLAAQETL >DRNTG_08665.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19957389:19958839:1 gene:DRNTG_08665 transcript:DRNTG_08665.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b6 [Source:Projected from Arabidopsis thaliana (ATCG00720) UniProtKB/Swiss-Prot;Acc:P56773] MKFSYTVLRGGSYLNKVYDWFEERLEIQAIADDITSKYVPPHVNIFYCLGGITLTCFLVQVATGFAMTFYYRPTVTEAFSSVQYIMTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVVLAVLTASFGVPEAIPVIGSPLVELLRGSTSVGQSTLTRFYSLHTFVLPLLTAVFMLMHFLMIRKQGISGPL >DRNTG_02978.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23490950:23491313:1 gene:DRNTG_02978 transcript:DRNTG_02978.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINAAAAGGRHMQHRSGHPPHHQPQYSSNQFLHFDSVSGAGDNKWLKNAQKMEYSRMLRSMQKGKFFSSSSE >DRNTG_17585.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3510545:3517166:-1 gene:DRNTG_17585 transcript:DRNTG_17585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRQASKLIGTLASSLRSVSSQSRGFAAAAAANPPPAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNTVAEAKAETKANASVIYVPPPFAAAAILEAMEAELDLVVCITEGIPQHDMVRVKAALNKQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRVGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCITKFLEDPQTEGVVLIGEIGGTAEEDAAALIKGSGTKKPIVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKTLREAGVTVVESPAKIGVAMLDSFKQKGLVD >DRNTG_19794.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15936328:15937815:-1 gene:DRNTG_19794 transcript:DRNTG_19794.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTLLPPSPPEFSALTNLDLKKLLINFLHNNTLIFFILLPLTSILTQSTQTINLLLSIPTTHLLSLLSLLLLFPILYFKSLPSPVYILDFACFKPPITCRIPHSSFLEHSRLLIEEKSVRFQMRILERSGIGEETGLPPPSHYLPPKASLEGSRAESEQVIFSCIDELLITKSFNPKNIDILIVNCNSFSPTPSLTSMIVNKYKLNTSVLTFNLSGMGCSASPISLGLAKDLLQTYPNSNALIISTEIIVSPNWYMGSERSMLISNCLFRMGGAAILLTNRRSERWHAKYQLHHVVRTHTGADDKAYRCLYQVEDSQGKQGISLSKEVMPISAEALQINLSNLGPLVLPLSEQLHYIFSVLGQKIINPKWKIYMPDFKKAFDHFCVHAGGRAVIDEVEKNLKLTPEQVEASRMTLYRFGNTSSSSIWYELGYMEAKGKMKKGDRVWQLGLGSGFKCNSAVLECLNTVSLPVKTGAWADCIDRFPVKIPEIIKF >DRNTG_01828.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13123968:13124337:-1 gene:DRNTG_01828 transcript:DRNTG_01828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLYSAIKSGDPQEPWTTDLWISVLRHLILDAGPPRIHVIPRFWISIALRLVGHGTSLHPVSLFDHGKDPPGAHEVHFFQRHGISF >DRNTG_16663.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000834.1:18878:23938:1 gene:DRNTG_16663 transcript:DRNTG_16663.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSMVLWRVLYSSSKDSFVRYRIRLDQSSPTNLLPNGITIGLLQYLLQCFPTVTCSSTMPEILPNSILSL >DRNTG_20653.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20373901:20375181:1 gene:DRNTG_20653 transcript:DRNTG_20653.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVILAVARHMFNCLVACKEFLMHISKVASHHAMQVYSLSSFCGTMLGTASRIPCEVLKQRLQAGIFNNVGEAVVGTLRQDGIKGFFRGTKATLMREVPFYVAGTRLYEEAKKVTRNLLGRELEPWEAMLVGAVSGGLTAVGTTPIDVMKTRMMIAPQGLSPSMSSIAITIFQQEGPLALFKGAVPRFFWVAPLGAINFAGFELIRKAMDTIEQKTVRL >DRNTG_20653.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20371471:20375181:1 gene:DRNTG_20653 transcript:DRNTG_20653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAGDPFKSFVEDSIRAFKHAIVDLQSNFGRAAKDLESHWPNPRNGARFLQPFSNQDEIVASTASLVRFDRLEGLVDGIRWREADADCFLSQNEGRFIFESVNAEDGDATIEEFNIAMKNLMISSSARGVGVQYEADSSVANPPPAKEASNSVMKSALAGALACAFSTAVMHPVDTLKTCVQASTASFPELLSNIPQIGLHGLYRGSIPAIFGQFYSHGLRTGLFEASRLILGCVAPSLPELQVYSLSSFCGTMLGTASRIPCEVLKQRLQAGIFNNVGEAVVGTLRQDGIKGFFRGTKATLMREVPFYVAGTRLYEEAKKVTRNLLGRELEPWEAMLVGAVSGGLTAVGTTPIDVMKTRMMIAPQGLSPSMSSIAITIFQQEGPLALFKGAVPRFFWVAPLGAINFAGFELIRKAMDTIEQKTVRL >DRNTG_20653.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20371471:20375181:1 gene:DRNTG_20653 transcript:DRNTG_20653.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVSHGLRTGLFEASRLILGCVAPSLPELQVYSLSSFCGTMLGTASRIPCEVLKQRLQAGIFNNVGEAVVGTLRQDGIKGFFRGTKATLMREVPFYVAGTRLYEEAKKVTRNLLGRELEPWEAMLVGAVSGGLTAVGTTPIDVMKTRMMIAPQGLSPSMSSIAITIFQQEGPLALFKGAVPRFFWVAPLGAINFAGFELIRKAMDTIEQKTVRL >DRNTG_15880.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13116759:13117580:1 gene:DRNTG_15880 transcript:DRNTG_15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVREAVNHPESSHGCPCARKGTREPSREPLQLPLRLQGHPQGHPSVRMKKVSYTKARRDPSPPPNEPKFKNEEHKTRYALLSRKGFGTIRRIDWDVLKLLGLDGIILELISHSGWDKLFSIEEPTYRELTLEVLSTVEVAKHCPFTHQPSSISFCAFGKKHWVTQDHLGILLGLYTEAYTLTPGFKNLSQDFPYPITS >DRNTG_03193.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18966824:18970504:-1 gene:DRNTG_03193 transcript:DRNTG_03193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRITPAIFLTLMISYLCPKTSSLTPEQEKQTLLQIKNFWGNPASLQSWEDNNNNNNNSSKTAHCHWFGITCSSHSFITNISLPSQNINGPIPDSLCNLTNLTHIDLYYNYISGQFPPSLYNCSNLQYLELGQNLLVGTIPSDINHISSSLVYLSLQSNNFTGDIPPSIGQLPNIQSLFLNDNLFNGSFPAELGQLSSLQTLCLAYNPFTPATIPQELCKLKNLRFLWMAMTNLIGEIPDSFSNLLYLKQLDLSMNKLTGPIPAGIWMLPNLQILNLYANHLDGEINGTIGALNLLEIDISTNHLSGAIAEGFGKLKNLQALAMYNNNFSSEIPASIGLLPSLINIRLFNNRLTGVLPPELGKHSKLWNFDVSDNRISGELPVGLCTGGVLTSLVASDNNLTGRLPESLCACPRLDNIQVYNNSLTGDIPAGMWLAVNLTTVMMNDNQLSGTMPEEVPWNISRLQIANNRFFGTIPSKAGNLQVFEAANNQFSGEIPRNLGSFSRLQLLSLSGNQISGEIPRSISALRFLNTLNLSHNQLSGEIPPEIRSLQALTTLDISVNRLTGEIPPAIAELKLTFLNLSSNQLSGEVPAALQNSAYNQSFLANPGLCSLSPILNLGACGHKSDGRDHTSVALILFIVLGILGLLSMALIAVMVVRNYKGKRDKLDLTKWKLTLFQSLDFNEHQILKSLTEANLIGSGGAGKVYKVVLGDHAGEIMAVKQIRSCRTLDSKLEKQFQTEVKILGTIRHANIVKLIACISNVDSKLLVYEYMENKSLDRWLHSKQRSESDEYVKLDWPTRLSIAIGAAKGLCYMHYGCKPPVVHRDVKSSNILLDKEFGAKIADFGLAKELVNAGEPEIVSAVAGSFGYIPPEYAYSRKVSEKVDVYSFGIVLLELTTGRKAHDGGDDGSLADWAWHHSDGKQLFEALDEDIRDPAYMQEIETVFKLGIMCTAALPSRRPTMKGVLQGLLQFDKMVAVCDQIQTNLSVQCESSK >DRNTG_02041.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000101.1:212:531:-1 gene:DRNTG_02041 transcript:DRNTG_02041.1 gene_biotype:protein_coding transcript_biotype:protein_coding QIGLSRQHLVPRSPNRQGGGDKKGGMESRGVGEHRKCAAEKTNGGLGFCHLQPPHALQLSCTIIHGARSFSFSRIRVR >DRNTG_02680.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19846247:19848435:1 gene:DRNTG_02680 transcript:DRNTG_02680.16 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01040) UniProtKB/TrEMBL;Acc:F4HQG6] MRTCQMQDTIAKFRDGRVNLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMLERGNLSHETFLRNARNSEETLRKEAIERTDLSHLKGTSKLTLTEALPSSVYQVQSTGAIVSLNSAVGLIHFYCSQLPSDRYSILRPEFIMERHERPAVSTEYSCKLQLPCNAPFEKLEGPVCSSMRLAQQAVCLAACKKLHEMGAFTDMLLPDKGNREEGDKIEQKDGGDPLPGTARHREFYPEGVGEILRVSFF >DRNTG_02680.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19841720:19848435:1 gene:DRNTG_02680 transcript:DRNTG_02680.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01040) UniProtKB/TrEMBL;Acc:F4HQG6] MAGEPALPPPSSYWFDACEDDDALCGIDFADLDAAMLPAIPFDQDEDEDGFLGEIDRILESINRESDEPQQQQQQQPPSPVIGVGESVCEVKVDVCRVENGGGVGDGENRCHMEVKREREGDQMEERRYASGRHERCREPRRWGREWQEGDRRRWDGDRGRKRDRDGRDGEWRDRESRGYWERDKSGKAVYKPGNWEPECNREVKKVKLESPENGRSPEKKQEEKKEKPAEEQARKYQLDVLDQAKKKNTIAFLETGAGKTLIAVLLIKSICAEMLKENKKMLAIFLVPKVPLVYQQAEVIRERTGYRVGHYCGEMGQDFWDARRWQREFESKQVLVMTAQILLNILRHSIIKMDAIHLLILDECHHAVKKHPYSLVMSEFYHTTPKDKRPAVFGMTASPVNLKGVSSQEDCAIKIRNLETKLDSIVCTIKDRRELEKHVPMPLEIIVEYDKAAMLCTLHEQIKQMETAVEEAANSSSRRSKWQFMGARDAGSKEELRLVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVAHSFLTALQNDERANYQLDVKFQESYLKKVVALLQCQLSEGAAVSEKDTGMDLDINNNHTIDEIEEGELPNSHAVSGGEHVDVIIGAAVADGKVTPKVQALIKILLKYQQTEDFRAIIFVERVVAALVLPKVFAELPSLSFIKCASLIGHNNNQEMRTCQMQDTIAKFRDGRVNLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMLERGNLSHETFLRNARNSEETLRKEAIERTDLSHLKGTSKLTLTEALPSSVYQVQSTGAIVSLNSAVGLIHFYCSQLPSDRYSILRPEFIMERHERPAVSTEYSCKLQLPCNAPFEKLEGPVCSSMRLAQQAVCLAACKKLHEMGAFTDMLLPDKGNREEGDKIEQKDGGDPLPGTARHREFYPEGVGEILRVSFF >DRNTG_02680.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19848913:19853033:1 gene:DRNTG_02680 transcript:DRNTG_02680.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01040) UniProtKB/TrEMBL;Acc:F4HQG6] MYSVKCANSGVSKDPFLTQVSEFAVLFGNELDAEVLSISMDLFVARTMITKASLVFRGPISITETQLVSLKSFHVRLMSIVLDVDVDPMTTPWDPSKAYLFVPVVGGKCLDALKEIDWGLIENIIETDAWSNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHGLATGPKVHPTYGIRGAVAQFDIVKASGLVPKRDAMECLDVDWTRGILMAADSCIDVKDLIGRVVTAVHSGKRFYVDSVRYEMNAENSFPRKEGYLGPLEYSSYADYYRQKYGVELIYKKQPLIRGRGVSYCKNLLSPRFEHSEAAEGEFDGNLDKTYYVFLPPELCLVHPLPGALVRGAQRLPSIMRRVESMLLAVQLKDMINYPVPAAKILEALTAASCQETFCYERAELLGDAYLKWVVSRYLFLKYPQKHEGQLTRMRQQMVSNMVLYQYALNKELQSYIQADRFSPSRWAAPGVLPVFDEDSKESEATLFSNEESAAVAIEPQNDFYDDCVENAREDGELEGDSSCYRVLSSKTLADVVEALIGVYYVEGGKNAANHLMNWIGIQVESDPQEMEYVKPYNIPESVMRSVDFDSLEGALNVKFKDRGLLLEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHKLHLHLRHGSSALETQIRDFVKDVQDELSKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDNGRDTSVVWKVFQPLLHPMVTPDTLPMHPVRELQERCQQQAEGLEYKATRAGNLATVEVFIDGVQIGIAQNPQKKMAQKLAARNALVVLKEKEKEKEEKASKIEAENGDKKNGVQVFTRQTLNDICLRRQWPMPQYRCINEGGPAHAKRFVYSVRVNTTVRGWTDECIGEPMPSVKKAKDSAAVLLLELLNRCYPETK >DRNTG_02680.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19841720:19849299:1 gene:DRNTG_02680 transcript:DRNTG_02680.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01040) UniProtKB/TrEMBL;Acc:F4HQG6] MAGEPALPPPSSYWFDACEDDDALCGIDFADLDAAMLPAIPFDQDEDEDGFLGEIDRILESINRESDEPQQQQQQQPPSPVIGVGESVCEVKVDVCRVENGGGVGDGENRCHMEVKREREGDQMEERRYASGRHERCREPRRWGREWQEGDRRRWDGDRGRKRDRDGRDGEWRDRESRGYWERDKSGKAVYKPGNWEPECNREVKKVKLESPENGRSPEKKQEEKKEKPAEEQARKYQLDVLDQAKKKNTIAFLETGAGKTLIAVLLIKSICAEMLKENKKMLAIFLVPKVPLVYQQAEVIRERTGYRVGHYCGEMGQDFWDARRWQREFESKQVLVMTAQILLNILRHSIIKMDAIHLLILDECHHAVKKHPYSLVMSEFYHTTPKDKRPAVFGMTASPVNLKGVSSQEDCAIKIRNLETKLDSIVCTIKDRRELEKHVPMPLEIIVEYDKAAMLCTLHEQIKQMETAVEEAANSSSRRSKWQFMGARDAGSKEELRLVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVAHSFLTALQNDERANYQLDVKFQESYLKKVVALLQCQLSEGAAVSEKDTGMDLDINNNHTIDEIEEGELPNSHAVSGGEHVDVIIGAAVADGKVTPKVQALIKILLKYQQTEDFRAIIFVERVVAALVLPKVFAELPSLSFIKCASLIGHNNNQEMRTCQMQDTIAKFRDGRVNLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMLERGNLSHETFLRNARNSEETLRKEAIERTDLSHLKGTSKLTLTEALPSSVYQVQSTGAIVSLNSAVGLIHFYCSQLPSDRYSILRPEFIMERHERPAVSTEYSCKLQLPCNAPFEKLEGPVCSSMRLAQQAVCLAACKKLHEMGAFTDMLLPDKGNREEGDKIEQKDGGDPLPGTARHREFYPEGVGEILRGDWILCGRDDCHSSKIFQLYMYSVKCANSGVSKDPFLTQVSEFAVLFGNELDAEV >DRNTG_02680.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19849375:19853033:1 gene:DRNTG_02680 transcript:DRNTG_02680.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01040) UniProtKB/TrEMBL;Acc:F4HQG6] MDLFVARTMITKASLVFRGPISITETQLVSLKSFHVRLMSIVLDVDVDPMTTPWDPSKAYLFVPVVGGKCLDALKEIDWGLIENIIETDAWSNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHGLATGPKVHPTYGIRGAVAQFDIVKASGLVPKRDAMECLDVDWTRGILMAADSCIDVKDLIGRVVTAVHSGKRFYVDSVRYEMNAENSFPRKEGYLGPLEYSSYADYYRQKYGVELIYKKQPLIRGRGVSYCKNLLSPRFEHSEAAEGEFDGNLDKTYYVFLPPELCLVHPLPGALVRGAQRLPSIMRRVESMLLAVQLKDMINYPVPAAKILEALTAASCQETFCYERAELLGDAYLKWVVSRYLFLKYPQKHEGQLTRMRQQMVSNMVLYQYALNKELQSYIQADRFSPSRWAAPGVLPVFDEDSKESEATLFSNEESAAVAIEPQNDFYDDCVENAREDGELEGDSSCYRVLSSKTLADVVEALIGVYYVEGGKNAANHLMNWIGIQVESDPQEMEYVKPYNIPESVMRSVDFDSLEGALNVKFKDRGLLLEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHKLHLHLRHGSSALETQIRDFVKDVQDELSKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDNGRDTSVVWKVFQPLLHPMVTPDTLPMHPVRELQERCQQQAEGLEYKATRAGNLATVEVFIDGVQIGIAQNPQKKMAQKLAARNALVVLKEKEKEKEEKASKIEAENGDKKNGVQVFTRQTLNDICLRRQWPMPQYRCINEGGPAHAKRFVYSVRVNTTVRGWTDECIGEPMPSVKKAKDSAAVLLLELLNRCYPETK >DRNTG_02680.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19850718:19853314:1 gene:DRNTG_02680 transcript:DRNTG_02680.15 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01040) UniProtKB/TrEMBL;Acc:F4HQG6] MRRVESMLLAVQLKDMINYPVPAAKILEALTAASCQETFCYERAELLGDAYLKWVVSRYLFLKYPQKHEGQLTRMRQQMVSNMVLYQYALNKELQSYIQADRFSPSRWAAPGVLPVFDEDSKESEATLFSNEESAAVAIEPQNDFYDDCVENAREDGELEGDSSCYRVLSSKTLADVVEALIGVYYVEGGKNAANHLMNWIGIQVESDPQEMEYVKPYNIPESVMRSVDFDSLEGALNVKFKDRGLLLEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHKLHLHLRHGSSALETQIRDFVKDVQDELSKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDNGRDTSVVWKVFQPLLHPMVTPDTLPMHPVRELQERCQQQAEGLEYKATRAGNLATVEVFIDGVQIGIAQNPQKKMAQKLAARNALVVLKEKEKEKEEKASKIEAENGDKKNGVQVFTRQTLNDICLRRQWPMPQYRCINEGGPAHAKRFVYSVRVNTTVRGWTDECIGEPMPSVKKAKDSAAVLLLELLNRCYPETK >DRNTG_02680.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19841720:19842931:1 gene:DRNTG_02680 transcript:DRNTG_02680.17 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01040) UniProtKB/TrEMBL;Acc:F4HQG6] MAGEPALPPPSSYWFDACEDDDALCGIDFADLDAAMLPAIPFDQDEDEDGFLGEIDRILESINRESDEPQQQQQQQPPSPVIGVGESVCEVKVDVCRVENGGGVGDGENRCHMEVKREREGDQMEERRYASGRHERCREPRRWGREWQEGDRRRWDGDRGRKRDRDGRDGEWRDRESRGYWERDKSGKAVYKPGNWEPECNREVKKVKLESPENGRSPEKKQEEKKEKPAEEQARKYQLDVLDQAKKKNTIAFLETGAGKTLIAVLLIKSICAEMLKENKKMLAIFLVPKVPLVYQQAEVIRERTGYRVGHYCGEMGQDFWDARRWQREFESKQ >DRNTG_02680.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19848913:19853314:1 gene:DRNTG_02680 transcript:DRNTG_02680.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01040) UniProtKB/TrEMBL;Acc:F4HQG6] MYSVKCANSGVSKDPFLTQVSEFAVLFGNELDAEVLSISMDLFVARTMITKASLVFRGPISITETQLVSLKSFHVRLMSIVLDVDVDPMTTPWDPSKAYLFVPVVGGKCLDALKEIDWGLIENIIETDAWSNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHGLATGPKVHPTYGIRGAVAQFDIVKASGLVPKRDAMECLDVDWTRGILMAADSCIDVKDLIGRVVTAVHSGKRFYVDSVRYEMNAENSFPRKEGYLGPLEYSSYADYYRQKYGVELIYKKQPLIRGRGVSYCKNLLSPRFEHSEAAEGEFDGNLDKTYYVFLPPELCLVHPLPGALVRGAQRLPSIMRRVESMLLAVQLKDMINYPVPAAKILEALTAASCQETFCYERAELLGDAYLKWVVSRYLFLKYPQKHEGQLTRMRQQMVSNMVLYQYALNKELQSYIQADRFSPSRWAAPGVLPVFDEDSKESEATLFSNEESAAVAIEPQNDFYDDCVENAREDGELEGDSSCYRVLSSKTLADVVEALIGVYYVEGGKNAANHLMNWIGIQVESDPQEMEYVKPYNIPESVMRSVDFDSLEGALNVKFKDRGLLLEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHKLHLHLRHGSSALETQIRDFVKDVQDELSKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDNGRDTSVVWKVFQPLLHPMVTPDTLPMHPVRELQERCQQQAEGLEYKATRAGNLATVEVFIDGVQIGIAQNPQKKMAQKLAARNALVVLKEKEKEKEEKASKIEAENGDKKNGVQVFTRQTLNDICLRRQWPMPQYRCINEGGPAHAKRFVYSVRVNTTVRGWTDECIGEPMPSVKKAKDSAAVLLLELLNRCYPETK >DRNTG_02680.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19849565:19853116:1 gene:DRNTG_02680 transcript:DRNTG_02680.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01040) UniProtKB/TrEMBL;Acc:F4HQG6] MSIVLDVDVDPMTTPWDPSKAYLFVPVVGGKCLDALKEIDWGLIENIIETDAWSNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHGLATGPKVHPTYGIRGAVAQFDIVKASGLVPKRDAMECLDVDWTRGILMAADSCIDVKDLIGRVVTAVHSGKRFYVDSVRYEMNAENSFPRKEGYLGPLEYSSYADYYRQKYGVELIYKKQPLIRGRGVSYCKNLLSPRFEHSEAAEGEFDGNLDKTYYVFLPPELCLVHPLPGALVRGAQRLPSIMRRVESMLLAVQLKDMINYPVPAAKILEALTAASCQETFCYERAELLGDAYLKWVVSRYLFLKYPQKHEGQLTRMRQQMVSNMVLYQYALNKELQSYIQADRFSPSRWAAPGVLPVFDEDSKESEATLFSNEESAAVAIEPQNDFYDDCVENAREDGELEGDSSCYRVLSSKTLADVVEALIGVYYVEGGKNAANHLMNWIGIQVESDPQEMEYVKPYNIPESVMRSVDFDSLEGALNVKFKDRGLLLEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHKLHLHLRHGSSALETQIRDFVKDVQDELSKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDNGRDTSVVWKVFQPLLHPMVTPDTLPMHPVRELQERCQQQAEGLEYKATRAGNLATVEVFIDGVQIGIAQNPQKKMAQKLAARNALVVLKEKEKEKEEKASKIEAENGDKKNGVQVFTRQTLNDICLRRQWPMPQYRCINEGGPAHAKRFVYSVRVNTTVRGWTDECIGEPMPSVKKAKDSAAVLLLELLNRCYPETK >DRNTG_02680.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19841633:19846423:1 gene:DRNTG_02680 transcript:DRNTG_02680.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01040) UniProtKB/TrEMBL;Acc:F4HQG6] MAGEPALPPPSSYWFDACEDDDALCGIDFADLDAAMLPAIPFDQDEDEDGFLGEIDRILESINRESDEPQQQQQQQPPSPVIGVGESVCEVKVDVCRVENGGGVGDGENRCHMEVKREREGDQMEERRYASGRHERCREPRRWGREWQEGDRRRWDGDRGRKRDRDGRDGEWRDRESRGYWERDKSGKAVYKPGNWEPECNREVKKVKLESPENGRSPEKKQEEKKEKPAEEQARKYQLDVLDQAKKKNTIAFLETGAGKTLIAVLLIKSICAEMLKENKKMLAIFLVPKVPLVYQQAEVIRERTGYRVGHYCGEMGQDFWDARRWQREFESKQVLVMTAQILLNILRHSIIKMDAIHLLILDECHHAVKKHPYSLVMSEFYHTTPKDKRPAVFGMTASPVNLKGVSSQEDCAIKIRNLETKLDSIVCTIKDRRELEKHVPMPLEIIVEYDKAAMLCTLHEQIKQMETAVEEAANSSSRRSKWQFMGARDAGSKEELRLVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVAHSFLTALQNDERANYQLDVKFQESYLKKVVALLQCQLSEGAAVSEKDTGMDLDINNNHTIDEIEEGELPNSHAVSGGEHVDVIIGAAVADGKVTPKVQALIKILLKYQQTEDFRAIIFVERVVAALVLPKV >DRNTG_02680.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19841720:19846423:1 gene:DRNTG_02680 transcript:DRNTG_02680.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01040) UniProtKB/TrEMBL;Acc:F4HQG6] MAGEPALPPPSSYWFDACEDDDALCGIDFADLDAAMLPAIPFDQDEDEDGFLGEIDRILESINRESDEPQQQQQQQPPSPVIGVGESVCEVKVDVCRVENGGGVGDGENRCHMEVKREREGDQMEERRYASGRHERCREPRRWGREWQEGDRRRWDGDRGRKRDRDGRDGEWRDRESRGYWERDKSGKAVYKPGNWEPECNREVKKVKLESPENGRSPEKKQEEKKEKPAEEQARKYQLDVLDQAKKKNTIAFLETGAGKTLIAVLLIKSICAEMLKENKKMLAIFLVPKVPLVYQQAEVIRERTGYRVGHYCGEMGQDFWDARRWQREFESKQVLVMTAQILLNILRHSIIKMDAIHLLILDECHHAVKKHPYSLVMSEFYHTTPKDKRPAVFGMTASPVNLKGVSSQEDCAIKIRNLETKLDSIVCTIKDRRELEKHVPMPLEIIVEYDKAAMLCTLHEQIKQMETAVEEAANSSSRRSKWQFMGARDAGSKEELRLVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVAHSFLTALQNDERANYQLDVKFQESYLKKVVALLQCQLSEGAAVSEKDTGMDLDINNNHTIDEIEEGELPNSHAVSGGEHVDVIIGAAVADGKVTPKVQALIKILLKYQQTEDFRAIIFVERVVAALVLPKV >DRNTG_02680.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19841720:19853033:1 gene:DRNTG_02680 transcript:DRNTG_02680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01040) UniProtKB/TrEMBL;Acc:F4HQG6] MAGEPALPPPSSYWFDACEDDDALCGIDFADLDAAMLPAIPFDQDEDEDGFLGEIDRILESINRESDEPQQQQQQQPPSPVIGVGESVCEVKVDVCRVENGGGVGDGENRCHMEVKREREGDQMEERRYASGRHERCREPRRWGREWQEGDRRRWDGDRGRKRDRDGRDGEWRDRESRGYWERDKSGKAVYKPGNWEPECNREVKKVKLESPENGRSPEKKQEEKKEKPAEEQARKYQLDVLDQAKKKNTIAFLETGAGKTLIAVLLIKSICAEMLKENKKMLAIFLVPKVPLVYQQAEVIRERTGYRVGHYCGEMGQDFWDARRWQREFESKQVLVMTAQILLNILRHSIIKMDAIHLLILDECHHAVKKHPYSLVMSEFYHTTPKDKRPAVFGMTASPVNLKGVSSQEDCAIKIRNLETKLDSIVCTIKDRRELEKHVPMPLEIIVEYDKAAMLCTLHEQIKQMETAVEEAANSSSRRSKWQFMGARDAGSKEELRLVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVAHSFLTALQNDERANYQLDVKFQESYLKKVVALLQCQLSEGAAVSEKDTGMDLDINNNHTIDEIEEGELPNSHAVSGGEHVDVIIGAAVADGKVTPKVQALIKILLKYQQTEDFRAIIFVERVVAALVLPKVFAELPSLSFIKCASLIGHNNNQEMRTCQMQDTIAKFRDGRVNLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMLERGNLSHETFLRNARNSEETLRKEAIERTDLSHLKGTSKLTLTEALPSSVYQVQSTGAIVSLNSAVGLIHFYCSQLPSDRYSILRPEFIMERHERPAVSTEYSCKLQLPCNAPFEKLEGPVCSSMRLAQQAVCLAACKKLHEMGAFTDMLLPDKGNREEGDKIEQKDGGDPLPGTARHREFYPEGVGEILRGDWILCGRDDCHSSKIFQLYMYSVKCANSGVSKDPFLTQVSEFAVLFGNELDAEVLSISMDLFVARTMITKASLVFRGPISITETQLVSLKSFHVRLMSIVLDVDVDPMTTPWDPSKAYLFVPVVGGKCLDALKEIDWGLIENIIETDAWSNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHGLATGPKVHPTYGIRGAVAQFDIVKASGLVPKRDAMECLDVDWTRGILMAADSCIDVKDLIGRVVTAVHSGKRFYVDSVRYEMNAENSFPRKEGYLGPLEYSSYADYYRQKYGVELIYKKQPLIRGRGVSYCKNLLSPRFEHSEAEGEFDGNLDKTYYVFLPPELCLVHPLPGALVRGAQRLPSIMRRVESMLLAVQLKDMINYPVPAAKILEALTAASCQETFCYERAELLGDAYLKWVVSRYLFLKYPQKHEGQLTRMRQQMVSNMVLYQYALNKELQSYIQADRFSPSRWAAPGVLPVFDEDSKESEATLFSNEESAAVAIEPQNDFYDDCVENAREDGELEGDSSCYRVLSSKTLADVVEALIGVYYVEGGKNAANHLMNWIGIQVESDPQEMEYVKPYNIPESVMRSVDFDSLEGALNVKFKDRGLLLEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHKLHLHLRHGSSALETQIRDFVKDVQDELSKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDNGRDTSVVWKVFQPLLHPMVTPDTLPMHPVRELQERCQQQAEGLEYKATRAGNLATVEVFIDGVQIGIAQNPQKKMAQKLAARNALVVLKEKEKEKEEKASKIEAENGDKKNGVQVFTRQTLNDICLRRQWPMPQYRCINEGGPAHAKRFVYSVRVNTTVRGWTDECIGEPMPSVKKAKDSAAVLLLELLNRCYPETK >DRNTG_02680.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19849375:19853314:1 gene:DRNTG_02680 transcript:DRNTG_02680.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01040) UniProtKB/TrEMBL;Acc:F4HQG6] MDLFVARTMITKASLVFRGPISITETQLVSLKSFHVRLMSIVLDVDVDPMTTPWDPSKAYLFVPVVGGKCLDALKEIDWGLIENIIETDAWSNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHGLATGPKVHPTYGIRGAVAQFDIVKASGLVPKRDAMECLDVDWTRGILMAADSCIDVKDLIGRVVTAVHSGKRFYVDSVRYEMNAENSFPRKEGYLGPLEYSSYADYYRQKYGVELIYKKQPLIRGRGVSYCKNLLSPRFEHSEAAEGEFDGNLDKTYYVFLPPELCLVHPLPGALVRGAQRLPSIMRRVESMLLAVQLKDMINYPVPAAKILEALTAASCQETFCYERAELLGDAYLKWVVSRYLFLKYPQKHEGQLTRMRQQMVSNMVLYQYALNKELQSYIQADRFSPSRWAAPGVLPVFDEDSKESEATLFSNEESAAVAIEPQNDFYDDCVENAREDGELEGDSSCYRVLSSKTLADVVEALIGVYYVEGGKNAANHLMNWIGIQVESDPQEMEYVKPYNIPESVMRSVDFDSLEGALNVKFKDRGLLLEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHKLHLHLRHGSSALETQIRDFVKDVQDELSKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDNGRDTSVVWKVFQPLLHPMVTPDTLPMHPVRELQERCQQQAEGLEYKATRAGNLATVEVFIDGVQIGIAQNPQKKMAQKLAARNALVVLKEKEKEKEEKASKIEAENGDKKNGVQVFTRQTLNDICLRRQWPMPQYRCINEGGPAHAKRFVYSVRVNTTVRGWTDECIGEPMPSVKKAKDSAAVLLLELLNRCYPETK >DRNTG_02680.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19841720:19853033:1 gene:DRNTG_02680 transcript:DRNTG_02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01040) UniProtKB/TrEMBL;Acc:F4HQG6] MAGEPALPPPSSYWFDACEDDDALCGIDFADLDAAMLPAIPFDQDEDEDGFLGEIDRILESINRESDEPQQQQQQQPPSPVIGVGESVCEVKVDVCRVENGGGVGDGENRCHMEVKREREGDQMEERRYASGRHERCREPRRWGREWQEGDRRRWDGDRGRKRDRDGRDGEWRDRESRGYWERDKSGKAVYKPGNWEPECNREVKKVKLESPENGRSPEKKQEEKKEKPAEEQARKYQLDVLDQAKKKNTIAFLETGAGKTLIAVLLIKSICAEMLKENKKMLAIFLVPKVPLVYQQAEVIRERTGYRVGHYCGEMGQDFWDARRWQREFESKQVLVMTAQILLNILRHSIIKMDAIHLLILDECHHAVKKHPYSLVMSEFYHTTPKDKRPAVFGMTASPVNLKGVSSQEDCAIKIRNLETKLDSIVCTIKDRRELEKHVPMPLEIIVEYDKAAMLCTLHEQIKQMETAVEEAANSSSRRSKWQFMGARDAGSKEELRLVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVAHSFLTALQNDERANYQLDVKFQESYLKKVVALLQCQLSEGAAVSEKDTGMDLDINNNHTIDEIEEGELPNSHAVSGGEHVDVIIGAAVADGKVTPKVQALIKILLKYQQTEDFRAIIFVERVVAALVLPKVFAELPSLSFIKCASLIGHNNNQEMRTCQMQDTIAKFRDGRVNLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMLERGNLSHETFLRNARNSEETLRKEAIERTDLSHLKGTSKLTLTEALPSSVYQVQSTGAIVSLNSAVGLIHFYCSQLPSDRYSILRPEFIMERHERPAVSTEYSCKLQLPCNAPFEKLEGPVCSSMRLAQQAVCLAACKKLHEMGAFTDMLLPDKGNREEGDKIEQKDGGDPLPGTARHREFYPEGVGEILRGDWILCGRDDCHSSKIFQLYMYSVKCANSGVSKDPFLTQVSEFAVLFGNELDAEVLSISMDLFVARTMITKASLVFRGPISITETQLVSLKSFHVRLMSIVLDVDVDPMTTPWDPSKAYLFVPVVGGKCLDALKEIDWGLIENIIETDAWSNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHGLATGPKVHPTYGIRGAVAQFDIVKASGLVPKRDAMECLDVDWTRGILMAADSCIDVKDLIGRVVTAVHSGKRFYVDSVRYEMNAENSFPRKEGYLGPLEYSSYADYYRQKYGVELIYKKQPLIRGRGVSYCKNLLSPRFEHSEAAEGEFDGNLDKTYYVFLPPELCLVHPLPGALVRGAQRLPSIMRRVESMLLAVQLKDMINYPVPAAKILEALTAASCQETFCYERAELLGDAYLKWVVSRYLFLKYPQKHEGQLTRMRQQMVSNMVLYQYALNKELQSYIQADRFSPSRWAAPGVLPVFDEDSKESEATLFSNEESAAVAIEPQNDFYDDCVENAREDGELEGDSSCYRVLSSKTLADVVEALIGVYYVEGGKNAANHLMNWIGIQVESDPQEMEYVKPYNIPESVMRSVDFDSLEGALNVKFKDRGLLLEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHKLHLHLRHGSSALETQIRDFVKDVQDELSKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDNGRDTSVVWKVFQPLLHPMVTPDTLPMHPVRELQERCQQQAEGLEYKATRAGNLATVEVFIDGVQIGIAQNPQKKMAQKLAARNALVVLKEKEKEKEEKASKIEAENGDKKNGVQVFTRQTLNDICLRRQWPMPQYRCINEGGPAHAKRFVYSVRVNTTVRGWTDECIGEPMPSVKKAKDSAAVLLLELLNRCYPETK >DRNTG_02680.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19846502:19853033:1 gene:DRNTG_02680 transcript:DRNTG_02680.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01040) UniProtKB/TrEMBL;Acc:F4HQG6] MRTCQMQDTIAKFRDGRVNLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMLERGNLSHETFLRNARNSEETLRKEAIERTDLSHLKGTSKLTLTEALPSSVYQVQSTGAIVSLNSAVGLIHFYCSQLPSDRYSILRPEFIMERHERPAVSTEYSCKLQLPCNAPFEKLEGPVCSSMRLAQQAVCLAACKKLHEMGAFTDMLLPDKGNREEGDKIEQKDGGDPLPGTARHREFYPEGVGEILRGDWILCGRDDCHSSKIFQLYMYSVKCANSGVSKDPFLTQVSEFAVLFGNELDAEVLSISMDLFVARTMITKASLVFRGPISITETQLVSLKSFHVRLMSIVLDVDVDPMTTPWDPSKAYLFVPVVGGKCLDALKEIDWGLIENIIETDAWSNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHGLATGPKVHPTYGIRGAVAQFDIVKASGLVPKRDAMECLDVDWTRGILMAADSCIDVKDLIGRVVTAVHSGKRFYVDSVRYEMNAENSFPRKEGYLGPLEYSSYADYYRQKYGVELIYKKQPLIRGRGVSYCKNLLSPRFEHSEAAEGEFDGNLDKTYYVFLPPELCLVHPLPGALVRGAQRLPSIMRRVESMLLAVQLKDMINYPVPAAKILEALTAASCQETFCYERAELLGDAYLKWVVSRYLFLKYPQKHEGQLTRMRQQMVSNMVLYQYALNKELQSYIQADRFSPSRWAAPGVLPVFDEDSKESEATLFSNEESAAVAIEPQNDFYDDCVENAREDGELEGDSSCYRVLSSKTLADVVEALIGVYYVEGGKNAANHLMNWIGIQVESDPQEMEYVKPYNIPESVMRSVDFDSLEGALNVKFKDRGLLLEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHKLHLHLRHGSSALETQIRDFVKDVQDELSKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDNGRDTSVVWKVFQPLLHPMVTPDTLPMHPVRELQERCQQQAEGLEYKATRAGNLATVEVFIDGVQIGIAQNPQKKMAQKLAARNALVVLKEKEKEKEEKASKIEAENGDKKNGVQVFTRQTLNDICLRRQWPMPQYRCINEGGPAHAKRFVYSVRVNTTVRGWTDECIGEPMPSVKKAKDSAAVLLLELLNRCYPETK >DRNTG_02680.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19849565:19853033:1 gene:DRNTG_02680 transcript:DRNTG_02680.14 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01040) UniProtKB/TrEMBL;Acc:F4HQG6] MSIVLDVDVDPMTTPWDPSKAYLFVPVVGGKCLDALKEIDWGLIENIIETDAWSNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHGLATGPKVHPTYGIRGAVAQFDIVKASGLVPKRDAMECLDVDWTRGILMAADSCIDVKDLIGRVVTAVHSGKRFYVDSVRYEMNAENSFPRKEGYLGPLEYSSYADYYRQKYGVELIYKKQPLIRGRGVSYCKNLLSPRFEHSEAAEGEFDGNLDKTYYVFLPPELCLVHPLPGALVRGAQRLPSIMRRVESMLLAVQLKDMINYPVPAAKILEALTAASCQETFCYERAELLGDAYLKWVVSRYLFLKYPQKHEGQLTRMRQQMVSNMVLYQYALNKELQSYIQADRFSPSRWAAPGVLPVFDEDSKESEATLFSNEESAAVAIEPQNDFYDDCVENAREDGELEGDSSCYRVLSSKTLADVVEALIGVYYVEGGKNAANHLMNWIGIQVESDPQEMEYVKPYNIPESVMRSVDFDSLEGALNVKFKDRGLLLEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHKLHLHLRHGSSALETQIRDFVKDVQDELSKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDNGRDTSVVWKVFQPLLHPMVTPDTLPMHPVRELQERCQQQAEGLEYKATRAGNLATVEVFIDGVQIGIAQNPQKKMAQKLAARNALVVLKEKEKEKEEKASKIEAENGDKKNGVQVFTRQTLNDICLRRQWPMPQYRCINEGGPAHAKRFVYSVRVNTTVRGWTDECIGEPMPSVKKAKDSAAVLLLELLNRCYPETK >DRNTG_02680.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19849565:19853314:1 gene:DRNTG_02680 transcript:DRNTG_02680.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01040) UniProtKB/TrEMBL;Acc:F4HQG6] MSIVLDVDVDPMTTPWDPSKAYLFVPVVGGKCLDALKEIDWGLIENIIETDAWSNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHGLATGPKVHPTYGIRGAVAQFDIVKASGLVPKRDAMECLDVDWTRGILMAADSCIDVKDLIGRVVTAVHSGKRFYVDSVRYEMNAENSFPRKEGYLGPLEYSSYADYYRQKYGVELIYKKQPLIRGRGVSYCKNLLSPRFEHSEAAEGEFDGNLDKTYYVFLPPELCLVHPLPGALVRGAQRLPSIMRRVESMLLAVQLKDMINYPVPAAKILEALTAASCQETFCYERAELLGDAYLKWVVSRYLFLKYPQKHEGQLTRMRQQMVSNMVLYQYALNKELQSYIQADRFSPSRWAAPGVLPVFDEDSKESEATLFSNEESAAVAIEPQNDFYDDCVENAREDGELEGDSSCYRVLSSKTLADVVEALIGVYYVEGGKNAANHLMNWIGIQVESDPQEMEYVKPYNIPESVMRSVDFDSLEGALNVKFKDRGLLLEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHKLHLHLRHGSSALETQIRDFVKDVQDELSKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDNGRDTSVVWKVFQPLLHPMVTPDTLPMHPVRELQERCQQQAEGLEYKATRAGNLATVEVFIDGVQIGIAQNPQKKMAQKLAARNALVVLKEKEKEKEEKASKIEAENGDKKNGVQVFTRQTLNDICLRRQWPMPQYRCINEGGPAHAKRFVYSVRVNTTVRGWTDECIGEPMPSVKKAKDSAAVLLLELLNRCYPETK >DRNTG_34898.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21810086:21813289:-1 gene:DRNTG_34898 transcript:DRNTG_34898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFQAFVIIFMDVVCLLLVLSFFMSFSVSITETQTDHLALKSFKDGVTQDPKGILRSWNQSTHFCQWAGVTCSNPKHPQRVTSLDLSSCNLAGTMSPSITNLTFLSKLYLMENNLDGQIPLNIGHLYRLQYLNLSYNSMHGEIPASLSNCTNLRLIDLNYNYFSGNIPSELGSLIKLNVFVLFNNSFTGIIPASLGNLSSLKVINLGNNQLHGPIPKELGHLQRLIHLSMGYNKLSGLIPLSLFNLSSLSYLYLSHNMLEGELPSSMCTTLHNLEELHLATNYFTGPLPSSLPNATRLIFLELVNNNFIGRVPPDLGKAQDLVYVNLNLNNLDAGDSDGLKFLTSLTNCSNLLVLCFAANEFAGDIPNSIANLSTQLQTLQIQRNQLSGNIPDGIGNLVDLSGLALYDNHLSGPIPATIGKLAKVAVPGDVWQQTHWNHPSSIGNLTKLNYIGIANNLLEGHIPLSLANLQQLITLDLSNNHLNGTIPKEIVSMSSISFVFSLANNSLVGSLPMEVGKLQNLGALYLSGNKLSGQIPAALGGCQILEYLLLDSNDFQGTIPSSLSNIKGLRQLHLSHNNLTGFIPEFLATMSGLEDLDLSFNNFNGTVPTEGIFRECVSDISLRQCPSLWRYSRASFAEMQS >DRNTG_16649.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:19141252:19143507:1 gene:DRNTG_16649 transcript:DRNTG_16649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGLANPEFTLVKRCMEPLTGGFLLNCASRLDLSLIVTRRWDTVAPPVQPPSPPRQEVSSGHETVVAASVHAAGVLDPAPNNGTPGITPSLRLSKALRHIRMPTVISRHARNTVISWVIGVYLGGSPIEARQLVCNSFDGSSDAMTAKEWLKGFLATFMDMGIEDELKLRVAVRLLENRASIWWETLKGCSVTPLTWSDFLEEFDEEYYTRFHRDQKRQEYMRLVQGNKAVAEYLKLLVLKKPYVPSLRAKKLVIEGRRLLENLAKRRNPDF >DRNTG_21043.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1479281:1481017:-1 gene:DRNTG_21043 transcript:DRNTG_21043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDPITPPTPSIMVHDESSNQESYGSYKETLREWSFFSVSDCQMSWQSNGLYLAVKVDHYTKRKKSTYTHFQLFKIKKRGIPIEVLSFKNKSHTIADFSWEPKGHSHLVPTPSRRSLTTWSFRSSKTCEGFEASLFSFALFIHHLLVNQSFALFCSSRDQLSLLFNSILMEVVKDPSKSRRACSPRLDPSISRHES >DRNTG_06773.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000305.1:25833:26680:-1 gene:DRNTG_06773 transcript:DRNTG_06773.1 gene_biotype:protein_coding transcript_biotype:protein_coding WCRRKACHRISISIHKSIQIRINGVTLLLKHPESVPDAARSNGGGTGRDEAGDMEVVRRRRKEGGS >DRNTG_03219.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000147.1:12768:14640:1 gene:DRNTG_03219 transcript:DRNTG_03219.2 gene_biotype:protein_coding transcript_biotype:protein_coding SQQLFQQEKILLQKARCDMKKANTSFKQLRNTYRCTMSKGVANQEIRDTSHVIR >DRNTG_03219.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000147.1:12768:13679:1 gene:DRNTG_03219 transcript:DRNTG_03219.5 gene_biotype:protein_coding transcript_biotype:protein_coding SQQLFQQEKILLQKARCDMKKANTSFKQLRNTYRCTMSKGVANQEIRDTSHVIR >DRNTG_03219.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000147.1:12768:14640:1 gene:DRNTG_03219 transcript:DRNTG_03219.4 gene_biotype:protein_coding transcript_biotype:protein_coding SQQLFQQEKILLQKARCDMKKANTSFKQLRNTYRCTMSKGVANQEIRDTSHVIR >DRNTG_03219.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000147.1:12768:14640:1 gene:DRNTG_03219 transcript:DRNTG_03219.3 gene_biotype:protein_coding transcript_biotype:protein_coding SQQLFQQEKILLQKARCDMKKANTSFKQLRNTYRCTMSKGVANQEIRDTSHVIR >DRNTG_03219.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000147.1:11320:14640:1 gene:DRNTG_03219 transcript:DRNTG_03219.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIQFHNNTTTHPHTIKFRKEKKKKKRSEVATRRSQHEPSQRKKVNRRCWIPTQ >DRNTG_19043.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22485171:22487426:1 gene:DRNTG_19043 transcript:DRNTG_19043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFSKLYLISYNSLLFLGWAIALSRLLGSFIATKSVNGAYAAAGELICWLQTVAFLEVIHSAVGLVPSGVMLVLMQWGGRTHFLLAIVSQITELQDLPSVFITFLAWSLSEVIRYSHYASSSLELCPSWLTYIRYTAFILLYPIGVGPGEMWLMYKALPFIKERNLYKSFFDSLPFSYHSFVVVVLALYPFLWLRLYLHLFKQRRSKLGKLQTKKKD >DRNTG_24979.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6970598:6975113:1 gene:DRNTG_24979 transcript:DRNTG_24979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVQLGAHTIRSHGTKVMRFHMHDWIILVLLIIIDAVLNIIEPFHRFVGKDMMTDLRYPMKSNTVPFWAVPFLAILLPFVIFSVIYFKRRNVYDLHHAVLGLLFSVLLTAVLTDAIKDGVGRPRPDFFWRCFPDGIDKYDNFTTGVLCHGEKSVIKEGHKSFPSGHSSWSFAGLGFLAWYLAGKIRAFDRKGHVAKLCIVVLPILVAALVAISRVDDYWHHWQDVFGGGLLGLVVCSFCYLQFFPPPYDMDGWGPHAYFQALADAGTNNAQVSAVTNSIQLRQAEMETVYASSERQNELCCERHKPNPRCIGSWSKTLTHSVSQILMY >DRNTG_31561.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001722.1:33395:37868:-1 gene:DRNTG_31561 transcript:DRNTG_31561.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHCRILMKTSFTRLLAGIPILRVLQFIPMVTLTAWDVFLPRQIILKCCRWILDNVLTVSNISGIGYFTVDNYKLAYKEAIFPIPDDDRPSDGNRELRLRPPVTRRQPGRPRRKRIESQAFDVRELHCSRCHGSGHNRRSCNETVAD >DRNTG_26448.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:404613:405818:-1 gene:DRNTG_26448 transcript:DRNTG_26448.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II repair protein PSB27-H1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03600) UniProtKB/Swiss-Prot;Acc:Q9LR64] MASSFLLTTPPTKKPTWAFTSVPSCRAIVASAGNNAMRTNVTRRELGIFIGAGTVLLVPLLPAAMAASDEEYVRETTEVIGKVRSTINLNKTDPNLAAAVADLREVSNTWVAKYRREKALLGRASFRDMYSALNAVSGHYISFGPTAPIPTKRKARILEEMDTAEKSLLRGR >DRNTG_26448.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:404693:405617:-1 gene:DRNTG_26448 transcript:DRNTG_26448.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II repair protein PSB27-H1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03600) UniProtKB/Swiss-Prot;Acc:Q9LR64] MASSFLLTTPPTKKPTWAFTSVPSCRAIVASAGNNAMRTNVTRRELGIFIGAGTVLLVPLLPAAMAASDEEYVRETTEVIGKVRSTINLNKTDPNLAAAVADLREVSNTWVAKYRREKALLGRASFRDMYSALNAVSGHYISFGPTAPIPTKRKARILEEMDTAEKSLLRGR >DRNTG_26448.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:404613:405672:-1 gene:DRNTG_26448 transcript:DRNTG_26448.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II repair protein PSB27-H1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03600) UniProtKB/Swiss-Prot;Acc:Q9LR64] MASSFLLTTPPTKKPTWAFTSVPSCRAIVASAGNNAMRTNVTRRELGIFIGAGTVLLVPLLPAAMAASDEEYVRETTEVIGKVRSTINLNKTDPNLAAAVADLREVSNTWVAKYRREKALLGRASFRDMYSALNAVSGHYISFGPTAPIPTKRKARILEEMDTAEKSLLRGR >DRNTG_26448.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:404613:405617:-1 gene:DRNTG_26448 transcript:DRNTG_26448.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II repair protein PSB27-H1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03600) UniProtKB/Swiss-Prot;Acc:Q9LR64] MASSFLLTTPPTKKPTWAFTSVPSCRAIVASAGNNAMRTNVTRRELGIFIGAGTVLLVPLLPAAMAASDEEYVRETTEVIGKVRSTINLNKTDPNLAAAVADLREVSNTWVAKYRREKALLGRASFRDMYSALNAVSGHYISFGPTAPIPTKRKARILEEMDTAEKSLLRGR >DRNTG_26448.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:404279:405672:-1 gene:DRNTG_26448 transcript:DRNTG_26448.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II repair protein PSB27-H1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03600) UniProtKB/Swiss-Prot;Acc:Q9LR64] MASSFLLTTPPTKKPTWAFTSVPSCRAIVASAGNNAMRTNVTRRELGIFIGAGTVLLVPLLPAAMAASDEEYVRETTEVIGKVRSTINLNKTDPNLAAAVADLREVSNTWVAKYRREKALLGRASFRDMYSALNAVSGHYISFGPTAPIPTKRKARILEEMDTAEKSLLRGR >DRNTG_26448.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:404279:405818:-1 gene:DRNTG_26448 transcript:DRNTG_26448.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II repair protein PSB27-H1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03600) UniProtKB/Swiss-Prot;Acc:Q9LR64] MASSFLLTTPPTKKPTWAFTSVPSCRAIVASAGNNAMRTNVTRRELGIFIGAGTVLLVPLLPAAMAASDEEYVRETTEVIGKVRSTINLNKTDPNLAAAVADLREVSNTWVAKYRREKALLGRASFRDMYSALNAVSGHYISFGPTAPIPTKRKARILEEMDTAEKSLLRGR >DRNTG_26448.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:404279:405727:-1 gene:DRNTG_26448 transcript:DRNTG_26448.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II repair protein PSB27-H1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03600) UniProtKB/Swiss-Prot;Acc:Q9LR64] MASSFLLTTPPTKKPTWAFTSVPSCRAIVASAGNNAMRTNVTRRELGIFIGAGTVLLVPLLPAAMAASDEEYVRETTEVIGKVRSTINLNKTDPNLAAAVADLREVSNTWVAKYRREKALLGRASFRDMYSALNAVSGHYISFGPTAPIPTKRKARILEEMDTAEKSLLRGR >DRNTG_26448.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:404693:405672:-1 gene:DRNTG_26448 transcript:DRNTG_26448.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II repair protein PSB27-H1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03600) UniProtKB/Swiss-Prot;Acc:Q9LR64] MASSFLLTTPPTKKPTWAFTSVPSCRAIVASAGNNAMRTNVTRRELGIFIGAGTVLLVPLLPAAMAASDEEYVRETTEVIGKVRSTINLNKTDPNLAAAVADLREVSNTWVAKYRREKALLGRASFRDMYSALNAVSGHYISFGPTAPIPTKRKARILEEMDTAEKSLLRGR >DRNTG_26448.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:404613:405727:-1 gene:DRNTG_26448 transcript:DRNTG_26448.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II repair protein PSB27-H1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03600) UniProtKB/Swiss-Prot;Acc:Q9LR64] MASSFLLTTPPTKKPTWAFTSVPSCRAIVASAGNNAMRTNVTRRELGIFIGAGTVLLVPLLPAAMAASDEEYVRETTEVIGKVRSTINLNKTDPNLAAAVADLREVSNTWVAKYRREKALLGRASFRDMYSALNAVSGHYISFGPTAPIPTKRKARILEEMDTAEKSLLRGR >DRNTG_26448.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:404279:405617:-1 gene:DRNTG_26448 transcript:DRNTG_26448.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II repair protein PSB27-H1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03600) UniProtKB/Swiss-Prot;Acc:Q9LR64] MASSFLLTTPPTKKPTWAFTSVPSCRAIVASAGNNAMRTNVTRRELGIFIGAGTVLLVPLLPAAMAASDEEYVRETTEVIGKVRSTINLNKTDPNLAAAVADLREVSNTWVAKYRREKALLGRASFRDMYSALNAVSGHYISFGPTAPIPTKRKARILEEMDTAEKSLLRGR >DRNTG_26448.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:404693:405818:-1 gene:DRNTG_26448 transcript:DRNTG_26448.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II repair protein PSB27-H1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03600) UniProtKB/Swiss-Prot;Acc:Q9LR64] MASSFLLTTPPTKKPTWAFTSVPSCRAIVASAGNNAMRTNVTRRELGIFIGAGTVLLVPLLPAAMAASDEEYVRETTEVIGKVRSTINLNKTDPNLAAAVADLREVSNTWVAKYRREKALLGRASFRDMYSALNAVSGHYISFGPTAPIPTKRKARILEEMDTAEKSLLRGR >DRNTG_00414.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000038.1:114057:116833:-1 gene:DRNTG_00414 transcript:DRNTG_00414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKNPFPANEGSVHLWHGARDIIAPVSLTRYFAEQLPWIHYHELPDAGHLFPLADDMSDAILKALLA >DRNTG_29519.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2203771:2204203:1 gene:DRNTG_29519 transcript:DRNTG_29519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRKYQFSEVHLQELKKLNCLVLHGIDVKAMHKHKILKHMKFDRIIFNFPHAGHDLFFKERDKELIMGHMELVGDFFKVASKMLNEEGQVHVSHRDDFPYRLWRIEDLANDAELVLK >DRNTG_02734.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19781302:19787551:-1 gene:DRNTG_02734 transcript:DRNTG_02734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTPDSTSSSLVNAATSTSRGEKGKKMIRTEDKEADVAADMEEKCTSGEITDVASSEGEDDVESDVYVTEFSLENPNNLTNFCKKCGWDEVLVNESYKWVEDKIVFLKPAAPWQSAMKDGLLDVGVTPFNGHTYEHINGTKFSGSIFSNNGFQHTAADLLATGNPQNLNDFIYATVFHTNGWYKPQAIRVIFNDENSNRHKASIKANERSEVLLCVAGVSLLLKIAKTRPVIKLMGNLSYTDEMLLNMSVNANIKLIPKSGNETKSLPEFCKRSVKTLWHFHGGCIVGKVVDRDYKVIGVGRIRVADSSLFVESPGN >DRNTG_09154.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6996016:6997937:-1 gene:DRNTG_09154 transcript:DRNTG_09154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPRPSRHHAGCNNPYMDDFPRGNAKEEIVQRTPGWIDKAAQKYRNNPRKPSNPAGISEIEIGVDSPWMNMVRTQVNTRRGRAHANGPCTIFKVQENILQCNPDAYTPLVVSIGPYHHHQCSPRYSNFLAMENYKWLCLRRLLFRHRSRRGATELLDKCLLEMKSLDADVQTCYSEPLHRGFDAESLAMVMLLDGCFILHLLLKRQNLIDDVSNSDQDNDDDDDQVEDGEILLERGKRDKEMEEEPLLGTLWIWNFVLYDLLKLENQIPFFVLKTLFGLLKAPGDEDLDLVNLAFKLFSDIHPSNSQTRPVLPAADQVHHLLHLLHSTLVPSKNHHVLDITQAVKAPKRIPNATELQQAGVKFVKKINASSFLDISFHSNGTMEIPEICLYDHTNTLFRNLIAFEQCYPNTRTYITIYAAFMDRLIDTPKDVRLLHLNGILTNGLSTDEAAADLFNKLCYQIHYASDRNYLHELFVDVNKYYYSRWNQWRARLMRDYFSNPWTIISLMAAVLLLLLTVEQSFFSAYSYFRPS >DRNTG_09154.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6996016:6997642:-1 gene:DRNTG_09154 transcript:DRNTG_09154.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMVRTQVNTRRGRAHANGPCTIFKVQENILQCNPDAYTPLVVSIGPYHHHQCSPRYSNFLAMENYKWLCLRRLLFRHRSRRGATELLDKCLLEMKSLDADVQTCYSEPLHRGFDAESLAMVMLLDGCFILHLLLKRQNLIDDVSNSDQDNDDDDDQVEDGEILLERGKRDKEMEEEPLLGTLWIWNFVLYDLLKLENQIPFFVLKTLFGLLKAPGDEDLDLVNLAFKLFSDIHPSNSQTRPVLPAADQVHHLLHLLHSTLVPSKNHHVLDITQAVKAPKRIPNATELQQAGVKFVKKINASSFLDISFHSNGTMEIPEICLYDHTNTLFRNLIAFEQCYPNTRTYITIYAAFMDRLIDTPKDVRLLHLNGILTNGLSTDEAAADLFNKLCYQIHYASDRNYLHELFVDVNKYYYSRWNQWRARLMRDYFSNPWTIISLMAAVLLLLLTVEQSFFSAYSYFRPS >DRNTG_13143.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:6105483:6109056:-1 gene:DRNTG_13143 transcript:DRNTG_13143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKGKKGGILMKGFGRLEEGSGGEMQRRNGNGESGEKRKEKRGGEERRLARRCSPPLTTRVESFAFGSTL >DRNTG_16536.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:24548760:24549713:1 gene:DRNTG_16536 transcript:DRNTG_16536.1 gene_biotype:protein_coding transcript_biotype:protein_coding EHCLHASVTNDGFIHSCKILLAWTNDGCELLVFMLY >DRNTG_35166.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26161705:26165443:-1 gene:DRNTG_35166 transcript:DRNTG_35166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTPGVLLKLLQSMNSDSKITGEHRSSVLQVIGIVPVPGGADDLWPARGFYLQLSDSLNSTYVSLSDPDADAILSSRSQLGQLVHVARLYPAHPVPRASGVRIIPPGRPLPFAGRPEPLLALSDPSRPDGFLIQPAPDSAPPAPAPFDEKPATRTVFAARENVTVMPPVSGTAASKRRFSSPAAAKSTRKSGAEAEHRNPSPAPSAAKANSRPSSPALGRAGSRSSSPVPSKCEVPSLVAAKEENRRVAKEPAIIVPSRFRQPSPVGRKGAASPAGRRSSMSPGRRLSGGLKVSPALGDSKKKMVTVVAGISKVSDALVGSMRATRKSWEDQSSKSTESSETKEKTSSKSKVDNRAIIRTQAAISRRLSDVGGENSNGDETSNEKPRPSNKIDCPSMPDKLHRAAPNFVIHDKKWTDGSIPIDALSDNLARLGKEVVQSRSIASKAAAEALEEALATESIIRNLSMFADLCSSSKSANPLPTISRFLSIHDDVLKCNTNIESLIASRTNGVTSDNTFSRERRKSVLLWVEAALTTDLGVLSLLNTGDRRKSLEKSESPPAMTCLSKRKSFTVPSKTNNGKPSVSASTSSSVPMWSGGCGIVQALDLAQTLRHEMQTWFLKFVEESLDVGFRLLAENSDDEKDRSSCRDKGQITAVLSHLKRVNEWLDAVGKGPEEESLKDVIERLKRKIYGFVITHVGSAFDSLSLSKA >DRNTG_23023.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3205442:3206242:-1 gene:DRNTG_23023 transcript:DRNTG_23023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNPRVSSSRRKCRKAHFTAPSSVRRVLMSAPLSIDLRNKYNVRSIPIRKDDEVQVVRGTYKGREGKVVQVYRRRWVIHVERITREKVTGSTVNVGLDPSKVVITKLKLDKDRKALIERKARGRAADKAKGKFSAEDVAAAAGGVPSLGEVD >DRNTG_06454.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29956399:29962198:-1 gene:DRNTG_06454 transcript:DRNTG_06454.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mg-protoporphyrin IX chelatase [Source:Projected from Arabidopsis thaliana (AT1G08520) UniProtKB/TrEMBL;Acc:A0A178W355] MAASLLPLLPSFKTNPLLSPSKVLRTLAAPRHLPLRLAVAAATTIETTNGAVSASKDNLDGASYGRQFFPLAAVVGQDAIKTALLLGAIDREIGGIAISGKRGTAKTVMARGIHAMLPPIEVVKGSIANADPKCPEEWEDGLANKVEYDADGNVKTEIVHSPFVQIPLGVTEDRLIGSVDVEESIKSGTTIFQPGLLAEAHRGVLYVDEINLLDESISNLLLNVLTDGVNIVEREGISFRHPCKPLLIATYNPEEGSVREHLLDRIAINLSADLPMSFDDRVAAVGIATQFQESSKDVFKMVEEETEFAKTQIILAREYLKDVSISRDQLKYLVMESLRGGCQGHRAELYAARVAKCLAALEGREKVNVEDLKKAVELVILPRSMVAENPKEQQNQPPPPPPPPPQSQDDSAEDQNEENEEDEKDNDEENEQQEQEIPTEFIFDAEGGLVDEKLLVFAQQAQRRRGKAGRAKNIISSEDRGRYVKPMLPKGPVKRLAVDATLRAAAPYQKLRRQKDIHKAKKVYVEKSDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALQLLAESYTSRDQVSIIPFRGDFAEVLLPPSRSIAMARKRLEKLPCGGGSPLAHGLSTAVRVGLNAEKSGDVGRIMIVAITDGRANISLKRSTDPESAASTDFA >DRNTG_06454.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29957095:29962198:-1 gene:DRNTG_06454 transcript:DRNTG_06454.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mg-protoporphyrin IX chelatase [Source:Projected from Arabidopsis thaliana (AT1G08520) UniProtKB/TrEMBL;Acc:A0A178W355] MAASLLPLLPSFKTNPLLSPSKVLRTLAAPRHLPLRLAVAAATTIETTNGAVSASKDNLDGASYGRQFFPLAAVVGQDAIKTALLLGAIDREIGGIAISGKRGTAKTVMARGIHAMLPPIEVVKGSIANADPKCPEEWEDGLANKVEYDADGNVKTEIVHSPFVQIPLGVTEDRLIGSVDVEESIKSGTTIFQPGLLAEAHRGVLYVDEINLLDESISNLLLNVLTDGVNIVEREGISFRHPCKPLLIATYNPEEGSVREHLLDRIAINLSADLPMSFDDRVAAVGIATQFQESSKDVFKMVEEETEFAKTQIILAREYLKDVSISRDQLKYLVMESLRGGCQGHRAELYAARVAKCLAALEGREKVNVEDLKKAVELVILPRSMVAENPKEQQNQPPPPPPPPPQSQDDSAEDQNEENEEDEKDNDEENEQQEQEIPTEFIFDAEGGLVDEKLLVFAQQAQRRRGKAGRAKNIISSEDRGRYVKPMLPKGPVKRLAVDATLRAAAPYQKLRRQKDIHKAKKVYVEKSDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALQLLAESYTSRDQVSIIPFRGDFAEVLLPPSRSIAMARKRLEKLPCGGGSPLAHGLSTAVRVGLNAEKSGDVGRIMIVAITDGRANISLKRSTDPESAASTDFA >DRNTG_12588.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15698554:15699921:-1 gene:DRNTG_12588 transcript:DRNTG_12588.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRGLGIFPPACHSKRGWEGEAETGDWRRMLTGEAEPDIGSIFLGCIKHSLVELYLSYTSIQGSLPDCWLYKVQGLENLNLESNALHGPIPANIDEIIGSLKILDISYNQDLSLSPSFGNLCKLQALFLSGLDLSQDTAKSQQIFSGCIVQSLKVLVLTETKL >DRNTG_05828.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18950209:18950484:-1 gene:DRNTG_05828 transcript:DRNTG_05828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGSGVSKALDSTTQPFLAISGWGGVEGVPVEGSRWRLIESWGRMREGRQEPPEKCSPDRQR >DRNTG_20734.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17798668:17801038:-1 gene:DRNTG_20734 transcript:DRNTG_20734.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVCSFGESQPVYAFSEHQGEINAIQWDPTGTLLASCSDDSFVKIWSLELGMCLHDLQGHNEVLRFHWSFFFHFFF >DRNTG_20734.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17798668:17801038:-1 gene:DRNTG_20734 transcript:DRNTG_20734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVCSFGESQPVYAFSEHQGEINAIQWDPTGTLLASCSDDSFVKIWSLELGMCLHDLQGHNEAVYTIKWSPTGPGTINPDKQLVLASASGDSTIKLWDAHKGHLLRTLNGHREAVYSIAFSPNGNYLASGSRDRHLHIWSVKDGSILKTYSRGGAIIYHVSWNRENDIVVAGFGNGIVTLIDFRSAVKRLPCTDGGSR >DRNTG_20052.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26224161:26226392:1 gene:DRNTG_20052 transcript:DRNTG_20052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIPNFSFTIFPLRSVVVLESISQIGLIYFIFTIGVEIEPSVVKRSGVRSVAFASMCMFVPFAIGSTSALMLSDGLDSDINLGAFVIFLGVLFSITAFSVLARILAELKLLSSDVGGLAISSVLIVDTCSWVLFSMALTLQLSGGDMAAAFWTLLSGGVFITATFAVVKPAVWWVMQRTPEGQDMSEMHTCFLLAGVMAWGFIANVLGVHPIFGAFAYGLAIPSGTIGEGLIDKAADFMECLLLPLYFALSGLRTNFASIVDTGNALFIVIVVLIASASKVAGSLFIAFFFKMPLIDGLSLGLLMNTKGIIELAVLNIGRDKHIIGDQMFTVLLLMFVILTALVEPTLAVVMRTTRQFVTHKRRTIQCSKLDTELRLLVCVHSTREAPSMISLLEISSPMKRSPIFVYVLHLIELTGRATSMLLLSSKVDAGHHAGKDSSMYSQGNLSRLQTQSEQIMNAFESYEQQAGGVSVQPLTALSPYSTMHEDVCRIAVEKRANLIILPFHKHPTVDGDVEVTHPALRSLNQHVLSQASCTVGILIDRGLSGRSGASYSVALLFFGGADDREALSYAFRLAYNQSLRLTVLRFIEGPKEQSSESLNDERVVTVMAEDFKELELDEAHLNEFRRKCEGLEGVQFSEMVSANAEDAVAIIRSMEETHDLYIVGVRHSRWSHTIAGLTEWSECPELGPIGDFLASSDFTFKVSALVVQQCPEELAGADAAAASFPDSPGRQVRLCMNNVQ >DRNTG_00038.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21650234:21651684:1 gene:DRNTG_00038 transcript:DRNTG_00038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYQKKNISPLTSLDGRWSLNGATALVTGGTKGIGHAIVEELAKFGASVYTCSRNEAELAECLKQWEDKKFKVTGSVCDVSSRTEREKLMENVSTVFQGKLHILINNVGVGVMKPTVECTAEDYSFMMTTNFESALHLSQLAHPLLKASASGSIVFISTIGTLLVYEGFALYAASKGAVNQLTKHLACEWAKDNIRVNGVAPSVIKTPLIENLGLENAFAVNEPPRTPLGRLGKPEEVASVVVFLCLPAASYVTGQMINVDGGRTQPC >DRNTG_15628.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20950352:20955867:1 gene:DRNTG_15628 transcript:DRNTG_15628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLHNAPHSMGTTIIGVTYDGGVVLGADSRTSTGMYVANRASDKITQLTDNVYVCRSGSAADSQIVSDYVRYFLEQHTIQLGEPATVKVAANLVRLLSYQNKNMLQTGLIVGGWDKYEGGQIYSIPLGGTILKEPFTIGGSGSSYLYGFFDQAWKEGMSKEEAEKLVVKAVSLAIARDGASGGVVRTVIINADGVTRNFYPGDTLPLWHEELEAHNSLLDILSSGSSAESPVPMST >DRNTG_27761.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24436299:24440015:1 gene:DRNTG_27761 transcript:DRNTG_27761.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKWEGVDEKLAKMVSEANLDHASERRRIREMFKDIQLSIDHCLFKAQYSAVKTEEFYRVNSRGLEIFSRRWFPGSLPMKALVCFCHGYGDTCTFFIEGIAKKLASSGYGVFAMDYPGFGLSEGLHGYIPSFDNLVDDVVEHYTKIKENPEYRGLPSFLFGQSMGGAVALKVHFKQPNAWDGAVLVAPMCKMAEDVVPSWPVQQILICMAKILPKGKLVPQKDLAEMAFKDVKKREQTSYNVIAYKDKPRLRTALEMLRTTQELENQLQEVSLPLLILHGEADIVTDPSVSKALYEKANNKDKKLCLYKDAYHSLLEGEPDEMIFQILDDIISWLDEHCINAGASRSIPP >DRNTG_27761.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24436299:24440015:1 gene:DRNTG_27761 transcript:DRNTG_27761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWEGVDEKLAKMVSEANLDHASERRRIREMFKDIQLSIDHCLFKAQYSAVKTEEFYRVNSRGLEIFSRRWFPGSLPMKALVCFCHGYGDTCTFFIEGIAKKLASSGYGVFAMDYPGFGLSEGLHGYIPSFDNLVDDVVEHYTKIKENPEYRGLPSFLFGQSMGGAVALKVHFKQPNAWDGAVLVAPMCKMAEDVVPSWPVQQILICMAKILPKGKLVPQKDLAEMAFKDVKKREQTSYNVIAYKDKPRLRTALEMLRTTQELENQLQEVSLPLLILHGEADIVTDPSVSKALYEKANNKDKKLCLYKDAYHSLLEGEPDEMIFQILDDIISWLDEHCINAGASRSIPP >DRNTG_11600.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19365715:19374341:-1 gene:DRNTG_11600 transcript:DRNTG_11600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:F20D23.9 protein [Source:Projected from Arabidopsis thaliana (AT1G17210) UniProtKB/TrEMBL;Acc:Q9SHI0] MREEVISSGTAEAFVLARSSSPPPTPAASSAGASSPALPPNVGSTDWLGSSAQGSKAGSCPGQRIPRTSLSTNAGGSSEPFCRPWERGDLLRRLATFKPSNWCGKPKGATSLDCARRGWINIDTDKIECESCGVQFTFTILASWTPADVDSAGEAFADQLDSGHKVTCPWRGNCCADSLVQFPPTPLSAVMGGYKDRCDGLLQFPALPVTASSAVEEMRLSRGTQIDRFLLQPNSISSGELSYKVDHMTAVEFPHDDGSDVYYHAQKLISLCGWEPRWLPNVQDCEEHSTQSARVPSSFGPTEDEFPNSNYRGTLKNTFCVSATRDTGKRKKRAQESRCNSRSPLLDCSLCGATVRIWDFITVHRPARFGQNIDAPETTKKLVSGINGWVAADGNEKERVEGRGEAPPNDGGKSLSNAGVDLNLTMAGGLPSQPGIPAMTEHFNDGDMGRDLMIGQPSGSEVGDRAASYESRGPSMRKRNLEEGGSTVDRPQDRIQHVDSMEGTVIDRDGDEVDDSIHNSDGPSKRPRGLDIFDTYHSSGRAESSGAGLNRTLCFDIDIDVNRVDSCKEGSNMAAGIPSTRDSARASSVIAMDTICRSAEADSMESVENYPADVDDVQFPSSSMGKNLDMNDALEQNYSNPAQQSTCVQPAAGSVAREMGVSSTNEEEEILNAETASAHARDRFSFGISGGSVGMGASHEAEIHGVDISIHRADSVVGDAEPIAEVTENVGQTGESAPGPGLMDEFNPEEMDREDPHGDSQDMMSRSLIRADSGSKIYGSTKADSVESEEKISHTLGHESSAHPSLSCNAVICSGYEASKEEVTQKGKTSAVDDYPPESDYVPKKGTGPANGENEYEGEVREFDPIKHHNYYCPWVNGNVAAAGCGSNTVSSSSSFTALSGWQLTLDALDTLQSVGHVPIQTMQSESAASLYKDEHLTPSQKLLRHHSTSKSHGHR >DRNTG_11600.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19365715:19374341:-1 gene:DRNTG_11600 transcript:DRNTG_11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F20D23.9 protein [Source:Projected from Arabidopsis thaliana (AT1G17210) UniProtKB/TrEMBL;Acc:Q9SHI0] MREEVISSGTAEAFVLARSSSPPPTPAASSAGASSPALPPNVGSTDWLGSSAQGSKAGSCPGQRIPRTSLSTNAGGSSEPFCRPWERGDLLRRLATFKPSNWCGKPKGATSLDCARRGWINIDTDKIECESCGVQFTFTILASWTPADVDSAGEAFADQLDSGHKVTCPWRGNCCADSLVQFPPTPLSAVMGGYKDRCDGLLQFPALPVTASSAVEEMRLSRGTQIDRFLLQPNSISSGELSYKVDHMTAVEFPHDDGSDVYYHAQKLISLCGWEPRWLPNVQDCEEHSTQSARVPSSFGPTEDEFPNSNYRGTLKNTFCVSATRDTGKRKKRAQESRCNSRSPLLDCSLCGATVRIWDFITVHRPARFGQNIDAPETTKKLVSGINGWVAADGNEKERVEGRGEAPPNDGGKSLSNAGVDLNLTMAGGLPSQPGIPAMTEHFNDGDMGRDLMIGQPSGSEVGDRAASYESRGPSMRKRNLEEGGSTVDRPQDRIQHVDSMEGTVIDRDGDEVDDSIHNSDGPSKRPRGLDIFDTYHSSGRAESSGAGLNRTLCFDIDIDVNRVDSCKEGSNMAAGIPSTRDSARASSVIAMDTICRSAEADSMESVENYPADVDDVQFPSSSMGKNLDMNDALEQNYSNPAQQSTCVQPAAGSVAREMGVSSTNEEEEILNAETASAHARDRFSFGISGGSVGMGASHEAEIHGVDISIHRADSVVGDAEPIAEVTENVGQTGESAPGPGLMDEFNPEEMDREDPHGDSQDMMSRSLIRADSGSKIYGSTKADSVESEEKISHTLGHESSAHPSLSCNAVICSGYEASKEEVTQKGKTSAVDDYPPESDYVPKKGTALPGPANGENEYEGEVREFDPIKHHNYYCPWVNGNVAAAGCGSNTVSSSSSFTALSGWQLTLDALDTLQSVGHVPIQTMQSESAASLYKDEHLTPSQKLLRHHSTSKSHGHR >DRNTG_15115.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7402244:7406147:-1 gene:DRNTG_15115 transcript:DRNTG_15115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVGMTVAETGGDTLVEPRGASWSFRPPLAPELAFVGGSELANKISLNLSGLPLG >DRNTG_01460.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27702145:27702354:1 gene:DRNTG_01460 transcript:DRNTG_01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDDAHLDEELHYGSGLLLGSESEMLCTREIADVVNALLLGLGENSRREGLKKTPLHVTKTPLLAGH >DRNTG_18753.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1278367:1279762:-1 gene:DRNTG_18753 transcript:DRNTG_18753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNVFAAVLPNAPNLQHVCLQTGRKHYLGSVESFGKVEIPEPPFTEEMPRLNCPNFYYDLEDILFDELSKRDGAVSWSVHRPTMIFGFSPYSLMNIVGTLCVYAAICKHEGSLLRWPGSRMTWEGFSDISDADLIAEHQIWASVDPFAKNEAFNCSNGDVFKWKQLWRILAEQFGVDFVGYEGEEKRVNLEEMMKGKEGVWDEIVAKYELAPTKLNEIASWWLADLVLGTEMELLDSMNKSKEHGFLGFRNTVASFYSWIDKMKAYKIVP >DRNTG_28641.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23854264:23859299:-1 gene:DRNTG_28641 transcript:DRNTG_28641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSPNDEEEEECRPNNASSSPKGAECFSSLDDEASP >DRNTG_28641.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23858552:23859299:-1 gene:DRNTG_28641 transcript:DRNTG_28641.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSPNDEEEEECRPNNASSSPKGAECFSSLDDEASP >DRNTG_20179.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:9256441:9256990:1 gene:DRNTG_20179 transcript:DRNTG_20179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNRLPPTSILSLSPPINSDTDSDNDRQSFSVDNAPSFPDLEAVVSCSIVDLGGTMLPPRIPPRSQLMALYATPPFLRSPFLSAPLTQLPMISPRPSPPSLMPPQIYSPCLSTLYFENSTLSSTKRWSFAALSVAAD >DRNTG_20521.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:171922:172492:1 gene:DRNTG_20521 transcript:DRNTG_20521.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVFFANVRNLVDPELPTGFYGNCFFPVSVTAMGSVLAGVSEIEVVNMIKESKKKLPEEFGKWVAGEGDDPYLPTLSYKTLFVSEWSRLGFGEVDYGWGKPVQVVPLTYSDIIPVCILGSPPAVGPAPVTGARLITQCVEEKHVVGFLEQMNIGTS >DRNTG_11022.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:784781:787549:1 gene:DRNTG_11022 transcript:DRNTG_11022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMTLGDGFGGAGAGGAYFDPDFDSLIERIQSPRVCIDNETRHDCTLVKVDSANRPGILLEMVQVLTDLDLVISKSYISSDGGWLMDVFHVTDHQGKKLTDQSLILYIQQSLVVGRKESAREVRTCLGEAGGPRTPGGRTHCLGVHGGGPARALVGGLRRARRARLSRAVHPGMDTQLTCRLHHDSCGHPFRPAHCKP >DRNTG_11022.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:785028:787549:1 gene:DRNTG_11022 transcript:DRNTG_11022.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDGDYEESPLPLPVEGDQFAKVNIEERKKESMGFGSGAARMVTQVSVDSWKERGYSVVNVRSRDRPKLLFDTVCALTDMQYAVFHAAVSSHGPVALQEYYIRRVDGCTLDAEVERRRVTRCLVAAIERRVSHGMRLDVCMRDRVGLIAEITRAVRENGLSLARVECRLQRQMMVGSFLCDRCVGRGVVDERRVEALREQIGAGVDLEVKGLSPMQSRRISTNNNLASSNHGDGSSASSEEKPRLSLGSLLWYHIERLSNFGSIR >DRNTG_11022.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:784781:787549:1 gene:DRNTG_11022 transcript:DRNTG_11022.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDGDYEESPLPLPVEGDQFAKVNIEERKKESMGFGSGAARMVTQVSVDSWKERGYSVVNVRSRDRPKLLFDTVCALTDMQYAVFHAAVSSHGPVALQEYYIRRVDGCTLDAEVERRRVTRCLVAAIERRVSHGMRLDVCMRDRVGLIAEITRAVRENGLSLARVECRLQRQMMVGSFLCDRCVGRGVVDERRVEALREQIGAGVDLEVKGLSPMQSRRISTNNNLASSNHGDGSSASSEEKPRLSLGSLLWYHIERLSNFGSIR >DRNTG_35410.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1544357:1546939:-1 gene:DRNTG_35410 transcript:DRNTG_35410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFCFTIPYGLLVLVGGVAGYLRKGSITSLAGGVGAGIVLLLAGFVSLKAFEKRRNSFFSLILETACALALTYVMGQRYLQTSKIMPAGLVALISAVMTAFYLFKIVTGGNHIPSKDK >DRNTG_28637.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28309652:28313589:1 gene:DRNTG_28637 transcript:DRNTG_28637.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRLHLVRLSHSLTDPKLGFVRRELEGFQSSRPSPIPVPVPVPKSTDQGRSLEISHPWPEWVAMMERLLSNGYLNRGDCMDSNRIRTACLDFARDRVNLIRYFSRRDIQVIVGCGCPSLDRKVVNSGKRLRAHVGIEEGNVCSSCNLRGNCERAYVNALVDEAGRTIDVMRILLTYALNETSGSVENQACLKKNIKESSRKLLSEMLEFSEKEQGPVTSEVTSGRPLSQLHKFGAHEMPKSQMNVPMKQGDWICPKCNFLNFAKNIKCLRCDGLFQERLKKLHEDSDHLPLKKGDWICTKCNFFNFAKNTRCLQCHEKPPKRDLIPGEWECPSCNYINFRRNMLCLKCDWKRPKGTNNGENTTVSLHDMQGHHKHNGLNFSRDGADGVNKWRYDRRTPQSGDEKPDFWSEEDENMNSSDNFFEYDNFPIVGGKSAVSQNPLLRERWKEEMLKKSQGMLNDEEDGGSGFASFSGVEELNESSDDDDDVAAWFKCRGDNSK >DRNTG_28637.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28309652:28313589:1 gene:DRNTG_28637 transcript:DRNTG_28637.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVYFIARCNFLNFAKNIKCLRCDGLFQERLKKLHEDSDHLPLKKGDWICTKCNFFNFAKNTRCLQCHEKPPKRDLIPGEWECPSCNYINFRRNMLCLKCDWKRPKGTNNGENTTVSLHDMQGHHKHNGLNFSRDGADGVNKWRYDRRTPQSGDEKPDFWSEEDENMNSSDNFFEYDNFPIVGGKSAVSQNPLLRERWKEEMLKKSQGMLNDEEDGGSGFASFSGVEELNESSDDDDDVAAWFKCRGDNSK >DRNTG_26039.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:503357:508231:-1 gene:DRNTG_26039 transcript:DRNTG_26039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMEPPRAEANGGSAAPAYNGGGPTANGGDSLAGRKGKAGTGAVALPLEVGTRVMCRWRDQKLHPVKVIERRKVSSLNSSDYEYYVHYTEFNRRLDEWVKLEQLDLASVETDIDEKVEDKATSLKMTRHQKRKIDETHVEAGHEELDAASLREHEEFTKVKNIAKIELGRYEIDTWYFSPFPPEYNDSVKLYFCEFCLNFMKRKEQLQRHMRKCDLKHPPGDEIYRNGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYILCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLEILKKHKSNISIKELSDMTAIKADDILSTLQSLDLIQYRKGQHAICADPKVLDRHLKAAGRGGLEVDVSKLIWTPYKEQG >DRNTG_00352.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18678356:18680058:1 gene:DRNTG_00352 transcript:DRNTG_00352.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 38 [Source:Projected from Arabidopsis thaliana (AT2G24430) UniProtKB/TrEMBL;Acc:Q9ZQ25] MEGGEGVKEETLPPGFRFHPSDEELVTYYLTNKISDSKFTARAIADVDLNKSEPWDLPGKAKMGEKEWYFFSLRDRKYPTGVRTNRATNAGYWKTTGKDKEIFSSNSSELLGMKKTLVFYKGRAPRGEKTNWVMHEYRLPSKSPLKPNKDEWVACRVFMKSSGGKKYPSGQPRTHSYSLNMGPGFAPPLNQADLYNFGFGAGYLSNAELAELTRFARGTPGVLPPIQPQLNFPGVAAFSGLNLNLGVPPAQPQGFRSMPATVGQAQGVVGEVAPAVPSECILSGNAGSLNGVRFQNMEPCMDLLEGYWPSY >DRNTG_00352.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18678220:18680058:1 gene:DRNTG_00352 transcript:DRNTG_00352.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 38 [Source:Projected from Arabidopsis thaliana (AT2G24430) UniProtKB/TrEMBL;Acc:Q9ZQ25] MEGGEGVKEETLPPGFRFHPSDEELVTYYLTNKISDSKFTARAIADVDLNKSEPWDLPGKAKMGEKEWYFFSLRDRKYPTGVRTNRATNAGYWKTTGKDKEIFSSNSSELLGMKKTLVFYKGRAPRGEKTNWVMHEYRLPSKSPLKPNKDEWVACRVFMKSSGGKKYPSGQPRTHSYSLNMGPGFAPPLNQADLYNFGFGAGYLSNAELAELTRFARGTPGVLPPIQPQLNFPGVAAFSGLNLNLGVPPAQPQGFRSMPATVGQAQGVVGEVAPAVPSECILSGNAGSLNGVRFQNMEPCMDLLEGYWPSY >DRNTG_26353.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11923793:11930206:1 gene:DRNTG_26353 transcript:DRNTG_26353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPSTFMLNFCAYVIEATHYHPGNAKELSARFKAWSRRRIRASEILNRQKKVHGSLVRTGKVRGQTPKVAKQDKKKKPRGRAHKRMLKVELFQASHTTSDGSFANEVARHNHEDLIIRSQGSSENEAFTSVFGKEHPGYVRGMGLGVVPTQIYGSSSSSSRRNEASGGTQAEINELRDTVQLLRQQVQENEQRFQQQLSILTQQLANQNQNIATNQVLAFRDIEPQAPTRILIIPKVKDGLSGLSKAEERYIKILGYLLYMAKVVANQEGLDDGFRIVINDGPNGYQLVYHLHIHLIGGRQMNWPPG >DRNTG_34600.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23206929:23211063:-1 gene:DRNTG_34600 transcript:DRNTG_34600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSFPSKGRTDQVLVEEKGFARIVTLNRPRQLNALSAPMIQELFRLLTEYEEDPKVKLLIMKGKGRAFCAGGDVAAVVNSIAKDDWTVGGHFFWTEFTVNYIIATYSKPQVSILNGIVMGGGAGVSVHGRFRVATENSVFAMPETALGLFPDIGASFFLSRLPGYFGEYVGLTGARLDGAEMLACGLATHFVTSSKLGMLEEALVKADTSDHFAVCAIIDQFSQEVPVKEGSAFNRLEIIDKCFSKRTVEEIIQALEQEAESVAEEWIKAALQSLKKASPMSLKISLRSIREGRLQRVGTCLIREYRMICHVLRKEVSKDFFEGCRAILVDKDRNPKWEPSRLEQIDDQTVDRYFSKVDNWPDLKLPTRRNTPILSRSKL >DRNTG_16042.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25906615:25910348:-1 gene:DRNTG_16042 transcript:DRNTG_16042.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLLSFLFLLLCVQALVIDADLNSDKQALLAFAAALHHGHRLNWEANTSVCSSWIGVKCSAGQSHVLAVRLPAKGLFGSIATNSLGKLDSLQVLSLRSNLLIGNLPADISSLPSLRYLYLQQNKLTGNLPALFSSGLIVLDFSYNSFTGKIPVGIQKLDRLSILNMQNNSLVGPIPDLKLSKLKHLNLSYNNLDGSIPSSLQKFPTLSFTGNSLLCGPPLLQCSVVPSPSPIFPPVANLSAFPPKHKHFAKKIGSWAAIGTIAGGLAGFLMLIMLLFLFIFKKKGGKGGGASKEKSSYAGKNVKPKDESTSGVQGAEKNKLTFFEGFLDNFDLEDLLRASAEVLGKGSCGTSYKAVLEDGTMVVVKRLKEVISGKREFEQQMEIIGRLGKHPNVAALRAYYYSKDEKLVVSDFVPDGSFSALLHGNRGSGRTPLDWDTRVKISLEAAHGIKHIHSESNGKFVHGNIKSSNVLVGRNYEACVSDFGLSSLMNFPVTRSRIMVGYRAPEVVETRKFTQKSDVYSFGVMLLEMLTGKSPLQSARQDEILDLPRWVQSVVREEWTSEVFDAELMRFPNIEEEMVQMLQIAMSCVARNPDQRPNIDEVINLIEEIRQSNSGNRSASEEKIEGRR >DRNTG_16042.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25906615:25909733:-1 gene:DRNTG_16042 transcript:DRNTG_16042.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLLSFLFLLLCVQALVIDADLNSDKQALLAFAAALHHGHRLNWEANTSVCSSWIGVKCSAGQSHVLAVRLPAKGLFGSIATNSLGKLDSLQVLSLRSNLLIGNLPADISSLPSLRYLYLQQNKLTGNLPALFSSGLIVLDFSYNSFTGKIPVGIQKLDRLSILNMQNNSLVGPIPDLKLSKLKHLNLSYNNLDGSIPSSLQKFPTLSFTGNSLLCGPPLLQCSVVPSPSPIFPPVANLSAFPPKHKHFAKKIGSWAAIGTIAGGLAGFLMLIMLLFLFIFKKKGGKGGGASKEKSSYAGKNVKPKDESTSGVQGAEKNKLTFFEGFLDNFDLEDLLRASAEVLGKGSCGTSYKAVLEDGTMVVVKRLKEVISGKREFEQQMEIIGRLGKHPNVAALRAYYYSKDEKLVVSDFVPDGSFSALLHGNRGSGRTPLDWDTRVKISLEAAHGIKHIHSESNGKFVHGNIKSSNVLVGRNYEACVSDFGLSSLMNFPVTRSRIMVGYRAPEVVETRKFTQKSDVYSFGVMLLEMLTGKSPLQSARQDEILDLPRWVQSVVREEWTSEVFDAELMRFPNIEEEMVQMLQIAMSCVARNPDQRPNIDEVINLIEEIRQSNSGNRSASEEKIEGRR >DRNTG_16042.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25906615:25910348:-1 gene:DRNTG_16042 transcript:DRNTG_16042.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLLSFLFLLLCVQALVIDADLNSDKQALLAFAAALHHGHRLNWEANTSVCSSWIGVKCSAGQSHVLAVRLPAKGLFGSIATNSLGKLDSLQVLSLRSNLLIGNLPADISSLPSLRYLYLQQNKLTGNLPALFSSGLIVLDFSYNSFTGKIPVGIQKLDRLSILNMQNNSLVGPIPDLKLSKLKHLNLSYNNLDGSIPSSLQKFPTLSFTGNSLLCGPPLLQCSVVPSPSPIFPPVANLSAFPPKHKHFAKKIGSWAAIGTIAGGLAGFLMLIMLLFLFIFKKKGGKGGGASKEKSSYAGKNVKPKDESTSGVQGAEKNKLTFFEGFLDNFDLEDLLRASAEVLGKGSCGTSYKAVLEDGTMVVVKRLKEVISGKREFEQQMEIIGRLGKHPNVAALRAYYYSKDEKLVVSDFVPDGSFSALLHGNRGSGRTPLDWDTRVKISLEAAHGIKHIHSESNGKFVHGNIKSSNVLVGRNYEACVSDFGLSSLMNFPVTRSRIMVGYRAPEVVETRKFTQKSDVYSFGVMLLEMLTGKSPLQSARQDEILDLPRWVQSVVREEWTSEVFDAELMRFPNIEEEMVQMLQIAMSCVARNPDQRPNIDEVINLIEEIRQSNSGNRSASEEKIEGRR >DRNTG_16042.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25906615:25909733:-1 gene:DRNTG_16042 transcript:DRNTG_16042.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLLSFLFLLLCVQALVIDADLNSDKQALLAFAAALHHGHRLNWEANTSVCSSWIGVKCSAGQSHVLAVRLPAKGLFGSIATNSLGKLDSLQVLSLRSNLLIGNLPADISSLPSLRYLYLQQNKLTGNLPALFSSGLIVLDFSYNSFTGKIPVGIQKLDRLSILNMQNNSLVGPIPDLKLSKLKHLNLSYNNLDGSIPSSLQKFPTLSFTGNSLLCGPPLLQCSVVPSPSPIFPPVANLSAFPPKHKHFAKKIGSWAAIGTIAGGLAGFLMLIMLLFLFIFKKKGGKGGGASKEKSSYAGKNVKPKDESTSGVQGAEKNKLTFFEGFLDNFDLEDLLRASAEVLGKGSCGTSYKAVLEDGTMVVVKRLKEVISGKREFEQQMEIIGRLGKHPNVAALRAYYYSKDEKLVVSDFVPDGSFSALLHGNRGSGRTPLDWDTRVKISLEAAHGIKHIHSESNGKFVHGNIKSSNVLVGRNYEACVSDFGLSSLMNFPVTRSRIMVGYRAPEVVETRKFTQKSDVYSFGVMLLEMLTGKSPLQSARQDEILDLPRWVQSVVREEWTSEVFDAELMRFPNIEEEMVQMLQIAMSCVARNPDQRPNIDEVINLIEEIRQSNSGNRSASEEKIEGRR >DRNTG_23793.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29708871:29710117:1 gene:DRNTG_23793 transcript:DRNTG_23793.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDIPTAYKPVFLNMDIAAIDIQLEDLIVDTSWNLDALNQFFGLNWNSDIISLGKISLEEDNHWVWLPKSRVGSGWIFIVKELKLCVLGFYHCCYSVANLEVQNRPMPGFMGIFSVAAWNEATGI >DRNTG_16214.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30843988:30844389:-1 gene:DRNTG_16214 transcript:DRNTG_16214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFCVFSVFLDYSSILRIFCILRRSSLGLGVSESRIVCVISILLLPSWLLKSILVVFQFPVSYLCFCVRFISAC >DRNTG_03983.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25572640:25575928:1 gene:DRNTG_03983 transcript:DRNTG_03983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLMLFLLISTSQFLYATSNKTPEPYVVYMGSINNKKDTSHLQMLSTIIPSEEKDRVTLIHSYSNAFKGFSAMLTEEEAAQLSGEDGVVSVFRDQNLQLHTTRSWDFLESEFGFGSQKFQPRFASDVIIGLVDTGIWPESPSFDDTGMSEIPSKWKGVCMEGIDFKKSNCNKKLIGARYYGNQPSSIHLPSNGTSTTPSRGSPRDDVGHGTHTASTAAGTMVLNASYYGLAHGAAKGGSPSSRLAMYKACSIGGCASSAVLKAIDDAVSDGVDIISISIGMSSMFQSDFLSDPIAIGAFHANQKGVLVVCSGGNDGPDPYTVINAAPWILTVAASSIDRTFQSSIVLGNGNVIKGIGINFSNLTHSASYPLVFGGDAAAQDTPASEASNCYPGSLDSRKTAGKIIVCVDSDPSVTRKVKKLVAQDAQTKGLILIDEVEKGVAFDSGSYPFSEVGNKVGVQILKYINSTKNPVATILPTVDVTGYKPAPVVAYFSARGPGGLTEGILKPDVMAPGVSILAASIPSKDIGTVPDGKKPSNFAIKSGTSMACPHVAGAAAFIRSSHRRWSPSIIRSALMTTAKTSNNLGKPLTNSSEGNANLHETGAGEISPVSSVRPGLVFETTTEDYLYFLCYYGYKEQVISAMAGTKFSCPRNSSPELISNINYPSISVSKVDGTKNTVSVTRTVTNVGPGNSTYSVTVEAPSGFIVRVSPEKLVFNKRWMKASYEVSFDVKGAKKGYGFGSLIWSDGAHIVRSVFAVNVL >DRNTG_32535.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20178672:20186178:1 gene:DRNTG_32535 transcript:DRNTG_32535.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKRHKICVDDFELLTIIGRGAFGEVRLCREKSSGNIYAMKKLKKSEMLSRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEYLYLIMEYLPGGDMMTLLIREETLTESVAKFYIAQSVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCATLMTLNENEPLNDENLESMDIDGGFPDTHGNNRWKSPHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGCPPFYSDEPMTTCRKIVHWRNHLKFPEETPLTFEAKDLICRLLCDVDHRLGSAGAQQIKAHPWFKDIVWDKLYDMEAAFKPAVNDELDTQNFLKFDEVDTPAPAKTSSGTSRKMSLTPKDLSFVGYTYKNFDAVKEMRRSTSLKRLSINSIFGDSVSTGKKSSMEEIDSPMGTSNPMTP >DRNTG_32535.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20178672:20186178:1 gene:DRNTG_32535 transcript:DRNTG_32535.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVSCKRSYSNGCLLWTSNFQLQVRLCREKSSGNIYAMKKLKKSEMLSRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEYLYLIMEYLPGGDMMTLLIREETLTESVAKFYIAQSVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCATLMTLNENEPLNDENLESMDIDGGFPDTHGNNRWKSPHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWFVVISLFF >DRNTG_32535.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20178348:20186178:1 gene:DRNTG_32535 transcript:DRNTG_32535.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVAAAKQFIENHYRAQTKNLQERKERRWVLERRLASSCVSKEEQTNLIKDLERKETEFMRLKRHKICVDDFELLTIIGRGAFGEVRLCREKSSGNIYAMKKLKKSEMLSRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEYLYLIMEYLPGGDMMTLLIREETLTESVAKFYIAQSVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCATLMTLNENEPLNDENLESMDIDGGFPDTHGNNRWKSPHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGCPPFYSDEPMTTCRKIVHWRNHLKFPEETPLTFEAKDLICRLLCDVDHRLGSAGAQQIKAHPWFKDIVWDKLYDMEAAFKPAVNDELDTQNFLKFDEVDTPAPAKTSSGTSRKMSLTPKDLSFVGYTYKNFDAVKEMRRSTSLKRLSINSIFGDSVSTGKKSSMEEIDSPMGTSNPMTP >DRNTG_32535.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20178672:20186178:1 gene:DRNTG_32535 transcript:DRNTG_32535.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVSCKRSYSNGCLLWTSNFQLQVRLCREKSSGNIYAMKKLKKSEMLSRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEYLYLIMEYLPGGDMMTLLIREETLTESVAKFYIAQSVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCATLMTLNENEPLNDENLESMDIDGGFPDTHGNNRWKSPHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGCPPFYSDEPMTTCRKIVHWRNHLKFPEETPLTFEAKDLICRLLCDVDHRLGSAGAQQIKAHPWFKDIVWDKLYDMEAAFKPAVNDELDTQNFLKFDEVDTPAPAKTSSGTSRKMSLTPKDLSFVGYTYKNFDAVKEMRRSTSLKRLSINSIFGDSVSTGKKSSMEEIDSPMGTSNPMTP >DRNTG_32535.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20178348:20186178:1 gene:DRNTG_32535 transcript:DRNTG_32535.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVSCKRSYSNGCLLWTSNFQLQVRLCREKSSGNIYAMKKLKKSEMLSRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEYLYLIMEYLPGGDMMTLLIREETLTESVAKFYIAQSVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCATLMTLNENEPLNDENLESMDIDGGFPDTHGNNRWKSPHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWFVVISLFF >DRNTG_32535.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20178348:20186178:1 gene:DRNTG_32535 transcript:DRNTG_32535.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKRHKICVDDFELLTIIGRGAFGEVRLCREKSSGNIYAMKKLKKSEMLSRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEYLYLIMEYLPGGDMMTLLIREETLTESVAKFYIAQSVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCATLMTLNENEPLNDENLESMDIDGGFPDTHGNNRWKSPHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWFVVISLFF >DRNTG_32535.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20178348:20186178:1 gene:DRNTG_32535 transcript:DRNTG_32535.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKRHKICVDDFELLTIIGRGAFGEVRLCREKSSGNIYAMKKLKKSEMLSRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEYLYLIMEYLPGGDMMTLLIREETLTESVAKFYIAQSVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCATLMTLNENEPLNDENLESMDIDGGFPDTHGNNRWKSPHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGCPPFYSDEPMTTCRKIVHWRNHLKFPEETPLTFEAKDLICRLLCDVDHRLGSAGAQQIKAHPWFKDIVWDKLYDMEAAFKPAVNDELDTQNFLKFDEVDTPAPAKTSSGTSRKMSLTPKDLSFVGYTYKNFDAVKEMRRSTSLKRLSINSIFGDSVSTGKKSSMEEIDSPMGTSNPMTP >DRNTG_14495.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14921312:14928640:-1 gene:DRNTG_14495 transcript:DRNTG_14495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGSYGGRMHPVWNVNLGFSSAIRPPYDTHMPREEGQGSYKIIQAQCPRLSRQEGNFIQGEIYHDLKEGDPRLEEASLGTPLAEKASFGIFFTSSSTISSRECHLCFCFMFCLFYPDVAEQLLESMASNESHWASRGFTQNTAGLYEVSSNDALASKVDVLTRKLDLLMGSTSRFNSLTSSMDAEFGKVNAQRTQHAEQFSEIGSVLRNLQASVKSIEHRVGELAKAHSERPLGCLPSNTEDNPREHLKAIALRSRRQVETRVEEVLPINPLDEYLGELENEEQGEPHQHPQIHNLRQPKERVSCTNAKEKEKKESFVKKMWREIHGRRKKDTKLHHPVSQGGKGIRPYWAVWWPSETNIELTRGSVPSQTAPQTAPIRGHLSWGSSQLRGFSRPIRPPYRAVSFSIDLSCIPMSLNKVYLHCRDA >DRNTG_35253.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3419292:3420822:-1 gene:DRNTG_35253 transcript:DRNTG_35253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLGLQYDATTMVSALQACASLGALQKGRWIHEQVMSSQMEINVHLGAALVNMYARCGCIEESRRIFNEMPRRDLISWTAIICGYGMHGLAVDAELLFNQMVQCGVMPDSITFVGVLSGFSHKGMVNKGREYFKKMTEEYYIKPTLEHYSCMVDMLGRAGRLEEAEAFIRTMLVVPDAGVWGGLLNACKIYGNVELGKRVVEEILRLDPCNAGWYVLMSNIYATAGLWDGVAKMRLLMKERKVSKYPGWSSIEIDGHRHVFLVFDRSHPKSEEIYRFLKDLEEQMQAAGYVAETKCVLVNLDEEAKEDMLCGHSERLAIAFGILSTKEGEVLRVIKNLRVCVDCHTATKFISKIVGREIIVRDANRFHHFRDGVCSCGDFW >DRNTG_22106.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:168458:169961:-1 gene:DRNTG_22106 transcript:DRNTG_22106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRSTCRAWQPCYSAGCPNAPPPSTNVPGSPGPSSQRRGVNNSTDGLEEPYSWSNNNIRNIGVGHNSAEDINMDIQVDEVLRGRDSVLLGVGNTAHKDLALRVSGITVTNVSRGEEDAFHASGSSYMNVNRGGQGSVRIGVGNQSGGDARIVISGNRIRPTSSANHEDGIRRGSTHRGRERWSSPFGDRRRH >DRNTG_13684.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000632.1:22038:23370:-1 gene:DRNTG_13684 transcript:DRNTG_13684.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMVFFNVAFGIVDNETDANWTWFNSKLGDALCNDKDYEEIITFVSDRFKLMLILYTRCTQDNLDRRGLSRKCKRPCMLCYSRCQEASHNHRS >DRNTG_04686.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30423680:30426669:-1 gene:DRNTG_04686 transcript:DRNTG_04686.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFRKLGRPAAHRLSMLRTMVSQLVKHERIETTVAKAKEVRRVADRMVQLGKEGTLAASRRAAAFVRGDDVLHKLFSELAYRYKDRAGGYTRLLRTRIRMGDAAPMAYIEFVDRENELREAKAPAPQPPQRTPLDPWKKSQASKQWASPKEEKTSGSED >DRNTG_19126.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29624027:29625758:-1 gene:DRNTG_19126 transcript:DRNTG_19126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAVEAGGVGVACVASCELPVVAGVRLEVSESSSSSSIGENSDGSGAVGSGSDGEEVESGCGGALEALDSLEELLPISISKFYHGKSKSFTTLADATSCSTKDFIKPENAYTRKRKNLLAYHITWNTSNTTSKRPAIATSMNKINNTTSYCNGEQASLKPANIASVIGSSGLKKCCLSMRSYSMMNLQVTSNSRPAIRPNNNEKM >DRNTG_31621.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:762246:764998:1 gene:DRNTG_31621 transcript:DRNTG_31621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFRASPLPTSPGITQIPDFFFSVDTGVSQNALQITPDTSNVQDNYLVNKSGRVIFNTPFQLWQPLNSTTKRSASFNTSILVNVFRVNQSVIPGEGIAFAILPSLDDPPAGSHGGYLGLTNETLDGKPSNRFVAIELDTVQQSYDPDNNHIGLDINSVKNQTTAPLTPFGIEIVPVTPTKYTVWIDYDGVNHRIDVFMAKEGVAKPGKPALTQSLDISLFVSQWSYFGFSASTSSNYELHCVLGWNLTIEILPGDKKSSFTTWKIVVILVALLVVLITVFGLVVSFYMKRRKVRDDPSVLMGALKSLPGTPREFEFKELKKATNNFDEKLKLGQGGFGIVYKGVLAGENAEVAVKMFSRDRTKCQDDFLHELTIINRLRHKHLVKLLGWCHKNGLLLLVYEYMPNGSLDQHLFINAGDDRPLLPWDRRYTIIADVASALHYLHNEYDQRVVHRDLKASNIMLDSLFNARLGDFGLARALETDKTSYAELELGGVPGTMGYIAPECFHTGKATRESDLYAFGAVVLETVSGKRPRCDISGFQFLVDWVWKLYREGRLLDAVDGRLAGEFDGEKARRLLLLGLACSNPAAGERPKAEVVVQIIYGTVEPPVIPPFRPAFVWPSAAVVGDDLESSTKSSVVTSSYYGSSAGWTSQCLSKEVHASTLEDVSLP >DRNTG_08487.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6643111:6643566:-1 gene:DRNTG_08487 transcript:DRNTG_08487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRRQPIIVDLGCSCRRGKLSSIFFSPKKPKKHFNYFSSSSTTSNSTTSTTTSSSSFSYQHSPHKPNVTKSQKKGKITEESVAVEKDSSEPYLDFRESMVQMIVQNEIYGWDELRELLHRMLSLNSPQYHPLILRAFSDVCQAVFTPTSP >DRNTG_02317.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:668112:671915:-1 gene:DRNTG_02317 transcript:DRNTG_02317.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 12 [Source:Projected from Arabidopsis thaliana (AT3G10070) UniProtKB/Swiss-Prot;Acc:Q9SR71] MDANEPPAASSAPPSSEPPPPPSSTVAAPTPTPTTTPSQTSSSSAPATTEVPPPSTSTSSSAPIPPQSQQTQQSQTRPPVATSRPQGPFPHFSSHIPATSSAATSTAPIQRGGMAIGVPAHHPRPHQPGQTFFNPSTTFSQPYSGLHRPDQSSLSNAQARQPAPGIQTIGMIGSISSGSQMRLSGVAGQHPRLGQPTARSASPATSQSLSAQKFQTHGLARPPMASSNTPTSGAQTPQTLDQQWMAAQGKQLQASPSSQYRPQLKQQVPQQRPQLSQQHQHSLQSTLLQQQQQQQQQKNLPLHLQQMHQQQSALPNQSQEHNQQFLPSRSQQMLPPQQGARAHLSVQQKSNIPASLQSAAQTGPISSVVAPDPESGTQILSKRSIRDLVAQIDPSEKLDPEVEDVLVEIADDFIESITAFGCSLAKHRKSTTLEAKDILLHVERNWSMSLPGFSGDEIKCYKKPVINDIHKERLAVIKKSMVATGDSGNVKNPTSTQAAANSKSHAAKPPPA >DRNTG_02317.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:668112:671915:-1 gene:DRNTG_02317 transcript:DRNTG_02317.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 12 [Source:Projected from Arabidopsis thaliana (AT3G10070) UniProtKB/Swiss-Prot;Acc:Q9SR71] MIGSISSGSQMRLSGVAGQHPRLGQPTARSASPATSQSLSAQKFQTHGLARPPMASSNTPTSGAQTPQTLDQQWMAAQGKQLQASPSSQYRPQLKQQVPQQRPQLSQQHQHSLQSTLLQQQQQQQQQKNLPLHLQQMHQQQSALPNQSQEHNQQFLPSRSQQMLPPQQGARAHLSVQQKSNIPASLQSAAQTGPISSVVAPDPESGTQILSKRSIRDLVAQIDPSEKLDPEVEDVLVEIADDFIESITAFGCSLAKHRKSTTLEAKDILLHVERNWSMSLPGFSGDEIKCYKKPVINDIHKERLAVIKKSMVATGDSGNVKNPTSTQAAANSKSHAAKPPPA >DRNTG_23370.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5811475:5811827:1 gene:DRNTG_23370 transcript:DRNTG_23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFFSKLLTSGSEGGRGRGWMWFRRMKGRRRREGERIERGRSIDLTSDRGRPPPCPSAPATMQVGKLSDD >DRNTG_07396.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7416190:7416847:-1 gene:DRNTG_07396 transcript:DRNTG_07396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFKVMAKCFGGSSAVQPGSRKQKIVLKVEMEDIKKRSKVLKNIVCCHGVYSVALERNENNKVAVVGEGIDPVSLIQKFRNEMGDVQLISVTIVQEKN >DRNTG_01054.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000062.1:15504:16227:-1 gene:DRNTG_01054 transcript:DRNTG_01054.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLIIRLPHWNASQLAKKSDQFRPRSRSQLSISSIGSDTSTSYGGGSPARPRTKQFIKEDLLSGARQASPVSKHK >DRNTG_00831.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:12129664:12130396:-1 gene:DRNTG_00831 transcript:DRNTG_00831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASVHGADFLGISVSGDMNLDSASVSIPPHGDPDGVVCFPSSSDQSEVLSCDIGQCFLGIDNFRDAL >DRNTG_21420.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3421222:3425232:-1 gene:DRNTG_21420 transcript:DRNTG_21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSKLEAMASVTRQRSMQMLLAFALIYVALVFLLEFPFVSRRISATPSGGAVGRRLGDAMVKSLALDGERDLGRKSAPARPSIQPYKVSDSSSDSPNSGLPRHSLILSGLVFADPNVTAHGAFSELHKAARDAWAAGERQFKELRSMPVSRLTSDESSAAEMEKCPHSIMLSGVELREKGKVMELPCGLTLGSHITLVGRPRIAHPEKDPKISSLREGEQTMMVSQFIMELQGLKTVDGEDPPRILHFNPRLKGDWSGRPVIEQNTCYRMQWGSPLRCEGWRSRADDETVDGFRKCEKWIRDDDDGSEESKTMWWLNRLIGRTKKVSVDWPYPFGEGKLFVLTLSAGLEGYHISVDGRHVTSFPYRTGFVLDDATGLSLTGDLDVQSIFAASLPTSHPSFAPQRHLEMSIEWQAPPLPDGPVDLFIGILSAGNHFAERMAVRKSWMSSIRGSSNIVARFFVALHGRKEVNAELRKEAEFFGDIVLVPFMDSYDLVVLKTVAICEYGVRAVSAKYVMKCDDDTFVRVDAVMKQVEKIPQGKSLYIGNINYYHKPLRSGKWAVTYEEWPEEDYPPYANGPGYVVSSDIADYIVSDFEKHTLRLFKMEDVSMGMWVEQFNSSRHVEYVHDLKFCQFGCIDGYFTAHYQSPRQMMCMWDKLRMGKPRCCNMR >DRNTG_00736.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18531019:18532748:1 gene:DRNTG_00736 transcript:DRNTG_00736.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYTKLYAAIIQTQVPGIQNPHGLEEGWAWLSRFLNNIPANRTTAVALHAFLRMAGFSLFWRYKSQFIKIINFISDYFLPELKKKDDASKVYVEIKEYLQRQAYLTRPEGRSLQSGLLSRELV >DRNTG_00736.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18531019:18532374:1 gene:DRNTG_00736 transcript:DRNTG_00736.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESYTKLYAAIIQTQVPGIQNPHGLEEGWAWLSRFLNNIPANRTTAVALHAFLRVFTYIIVLI >DRNTG_15250.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:16893552:16900752:-1 gene:DRNTG_15250 transcript:DRNTG_15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKVEKMASIDAQLRLLAPGKVSEDDKLVEYDALLLDRFLDILQDLHGEDLRETVQECYELSAQYEGEQSKEKLDELGSVLTSLDAGDSIVVASSFSHMLNLANLAEEVQIAYRRRNKLKKGDFVDENSATTESDIEETLKRLVVELKKSPEEVFDALKSQTVDLVFTAHPTQSVRRSLLQKHGRIRNCLAQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSRIEDLMFELSMWRCSDELQICADELHRSSKKAAKHYIEFWKQIPPSEPYRVILGDLRDKLYHTRERSRHLLSNGFSDIPEEATLVNVEQFLEPLELCYRSLCSCGDRPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHIDVIDAITQHLGIGSYREWPEERRQEWLLSELSGKRPLFGPDLPKTEEIEDVLDTFQVLAELPADNFGAYIISMATAPSDVLAVELLQRECHVKQPLRVVPLFEKLADLEAAPAAVARLFSIEWYRNRINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELIKVAKEFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMRPPISPKPEWRALLDEMAVVATEEYRSIVFQEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGWHRISACYPMDLCMDTDKVSPPCLAWLRCSIQTRFGEGHQKYPHPTGDVQ >DRNTG_13459.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:14116:17495:-1 gene:DRNTG_13459 transcript:DRNTG_13459.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease accessory protein F [Source:Projected from Arabidopsis thaliana (AT1G21840) UniProtKB/Swiss-Prot;Acc:Q9XHZ3] MLSRLLEATLTNEVSRKASVSQGSALMRVAASVYDEIPLLKIIRETYLGSGGVFFHHAPVFGLIWGLLGFDSSTCQRAYIFLTMRDIISAATRLNLIGPLGASVMQHQIAHDAEEMVKRWMDRAVDEACQTSPLVDTLQGCHGYLFSRLFCS >DRNTG_08206.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21984:22403:-1 gene:DRNTG_08206 transcript:DRNTG_08206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALPAVQPPLASASARLSATYHRNLRCRLSIRNGNPRSHLKVRVMAFADGNGRNGRGEGGGEDLNKGERRPGFKLRWRDLLDPDPDNILAVGLTGVLAWASVQVLWQLFFISVAILLAALKYSFIAALLLFILITLL >DRNTG_09808.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26788041:26794468:1 gene:DRNTG_09808 transcript:DRNTG_09808.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOS1 [Source:Projected from Arabidopsis thaliana (AT2G39810) UniProtKB/TrEMBL;Acc:A0A178W236] MEPEMNSHKCLPSNASLYQNVLEHMASIDLIELCNEAKIEHCRATRDLSSCGRYVKHVLESCGHAALCAECSQRCDVCPICRTALPTSGSKVRLRLYYKCIEAGLISKKLDDRFQEKKDIGEHSAADIERLYSLFDVALENNLVSLICHYVTDVCMDENAVSSDPVLAFLLDEVVVKDWCKRKFKRIIHELSSIYALGIEAMKDKMNLLQKFVLQLTGVSSVLEVMDASFKETLSAQLRDLHQLLESTLKAKQHLEVMIWCIQHQFLEDVPSRFPDSASWNRLVRERKSAAVKRAWPNFTSTSVASLGPNEATLFIEEALSNLGIEESYSQDIEEDLDISCLQQEHSPLLFLSKIDEGHTNRKEGWYPFGNLRVAADVLFLHGTSDMVLAKQAIFLYYLFDRQWTRPDSEWRYLVDDFAAFFGITRHALLESLTFYLLDDDSDQTLQDRKLAFYSHKLPVQKRIQRSHRFYWSVSVLT >DRNTG_09808.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26788041:26794468:1 gene:DRNTG_09808 transcript:DRNTG_09808.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOS1 [Source:Projected from Arabidopsis thaliana (AT2G39810) UniProtKB/TrEMBL;Acc:A0A178W236] MEPEMNSHKCLPSNASLYQNVLEHMASIDLIELCNEAKIEHCRATRDLSSCGRYVKHVLESCGHAALCAECSQRCDVCPICRTALPTSGSKVRLRLYYKCIEAGLISKKLDDRFQEKKDIGEHSAADIERLYSLFDVALENNLVSLICHYVTDVCMDENAVSSDPVLAFLLDEVVVKDWCKRKFKRIIHELSSIYALGIEAMKDKMNLLQKFVLQLTGVSSVLEVMDASFKETLSAQLRDLHQLLESTLKAKQHLEVMIWCIQHQFLEDVPSRFPDSASWNRLVRERKSAAVKRAWPNFTSTSVASLGPNEATLFIEEALSNLGIEESYSQDIEEDLDISCLQQEHSPLLFLSKIDEGHTNRKEGWYPFGNLRVAADVLFLHGTSDMVLAKQAIFLYYLFDRQWTRPDSEWRYLVDDFAAFFGITRHALLESLTFYLLDDDSDQTLQEASILLPQIAGPETHPKIAQVLLERQRPDIALSVLRCTGHDGTCGVANSESISLNQAMTAVRVKIECGLLTEAFMYQRMHCSRVKEDNIKQAPPGVFSESLTPNSWNHHVEVLVSEICYLCSRRNLVDRIIELPWNSNEEKYLHSCLFEYARQDPASVHGSLLVVFYLQRYRYIEAYQVDRELQKLEHNVTVSASEEVASRIRSISHWRAAMIDKSVNLLPEVERKKVTATNVFDSAIFGSHAVQTPLAKDFAAESENPAAISNSSMKPSVLLTNLESRKASPNAHTSLSSPRGGHPFEFGNKGTSIIQRRLLNASERPSSYRINTLGGGMSSSHTSNGEYSPSNGNIVKHLIQQNNVKQGYQSVGLLIPASNPINLQSTPQREAKATASGLLHNDWQLHSLQSSFGFEPNDIAIQAESSHSLLPRGIPEDSNSLINTPHNNGFRKDPFGDQNSLISGKRNLLDRSLSNLSSEEANMNTRRGSPTKELNMKGMPRWRTDESSEDEEDNALRKHRAGNTPFVMPRRAKHPWR >DRNTG_09808.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26788041:26794468:1 gene:DRNTG_09808 transcript:DRNTG_09808.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOS1 [Source:Projected from Arabidopsis thaliana (AT2G39810) UniProtKB/TrEMBL;Acc:A0A178W236] MNSHKCLPSNASLYQNVLEHMASIDLIELCNEAKIEHCRATRDLSSCGRYVKHVLESCGHAALCAECSQRCDVCPICRTALPTSGSKVRLRLYYKCIEAGLISKKLDDRFQEKKDIGEHSAADIERLYSLFDVALENNLVSLICHYVTDVCMDENAVSSDPVLAFLLDEVVVKDWCKRKFKRIIHELSSIYALGIEAMKDKMNLLQKFVLQLTGVSSVLEVMDASFKETLSAQLRDLHQLLESTLKAKQHLEVMIWCIQHQFLEDVPSRFPDSASWNRLVRERKSAAVKRAWPNFTSTSVASLGPNEATLFIEEALSNLGIEESYSQDIEEDLDISCLQQEHSPLLFLSKIDEGHTNRKEGWYPFGNLRVAADVLFLHGTSDMVLAKQAIFLYYLFDRQWTRPDSEWRYLVDDFAAFFGITRHALLESLTFYLLDDDSDQTLQDRKLAFYSHKLPVQKRIQRSHRFYWSVSVLT >DRNTG_21316.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1445974:1447407:-1 gene:DRNTG_21316 transcript:DRNTG_21316.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGILSMANSGPNTNGSQFFITYAKQPHLNGLYTVFGRVIHGFEVLDLMEKTQAGPGDRPLAEIRLNRVTIHANPLAG >DRNTG_21316.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1445974:1454836:-1 gene:DRNTG_21316 transcript:DRNTG_21316.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGILSMANSGPNTNGSQFFITYAKQPHLNGLYTVFGRVIHGFEVLDLMEKTQAGPGDRPLAEIRLNRVTIHANPLAG >DRNTG_06767.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21623315:21625183:1 gene:DRNTG_06767 transcript:DRNTG_06767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRVFTQDFLIIAGLALIQIINAAYMVLLTPILNLGIKPLLLIIFGNLTTSFFVLPFAVVFEREKWPTKLTPALIIQVLCIAFGGVTTFQALLLQGLKKTSPAIASAMPNLAPGVIFIIAACFGFEKVSMKCKYSMTKILGTMACLSGAITMSFLQSPSASSLPSNENINQDWFIGCSCLLAAVLVVSCTTVLQAAVMIHIQAPFTLCAVTSSLGAVITAFVQIITGGNLDIGSPDISIWILIAVILMGGMLTASCLAFQTWCVMKKGPVLVSMFSPIQTVCSAVLASLLLGKFITWGSVGGMMVMFMGLYMVLWAKKKEDSLIYEADKENGRHATDDIEEPLLS >DRNTG_01978.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13232743:13233141:-1 gene:DRNTG_01978 transcript:DRNTG_01978.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNPIKQQEFSQVEPMSSSKRHHLMHLDLLDDLIHENDYSHAAFVEH >DRNTG_02653.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22836708:22838594:1 gene:DRNTG_02653 transcript:DRNTG_02653.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNEAMFGLWEPKKAVPMEWSDGHIWTVELDIPVGTSIQFKFILRGVSGEISWQPGPDRCLQTRETMKTMVVWEDWEDALKQKILEEEEAAASFICRDSDTSSNRSVVAIADVINDALDSIKITSEDDHAKLQTTFVGDPVLVPGLAPCMPLPSAVAVHNKGEEFNAFQLHDELQEAPADGYDSGESPGPDEALLLFTEKLNVEEPCSQTTAEVLRNDIHWGQNQLHRLLLNLGFSIDPPAT >DRNTG_02653.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22836708:22838594:1 gene:DRNTG_02653 transcript:DRNTG_02653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADDGGNADHRLDVGTCEPHNPQNEPKTVHVKFVLHKECLFGQRFLMVGNEAMFGLWEPKKAVPMEWSDGHIWTVELDIPVGTSIQFKFILRGVSGEISWQPGPDRCLQTRETMKTMVVWEDWEDALKQKILEEEEAAASFICRDSDTSSNRSVVAIADVINDALDSIKITSEDDHAKLQTTFVGDPVLVPGLAPCMPLPSAVAVHNKGEEFNAFQLHDELQEAPADGYDSGESPGPDEALLLFTEKLNVEEPCSQTTAEVLRNDIHWGQNQLHRLLLNLGFSIDPPAT >DRNTG_26005.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23089607:23091283:-1 gene:DRNTG_26005 transcript:DRNTG_26005.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVPSSDSPPSDTSPTSISPLSTSPLTAISPSSSSPSTPSLSRYESQKRRDWNTFGQYLRNHRPPLPLSRCSGAHVLEFLRYLDQFGKTKVHLHMCPFFGHPNPPAPCPCPLRQAWGSLDALVGRLRAAYEENGGKPENNPFGARAVRLYLREVRETQSKARGVSYEKKKRKKPPPTLQASTSHQLPPSSAS >DRNTG_26005.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23089607:23090945:-1 gene:DRNTG_26005 transcript:DRNTG_26005.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVPSSDSPPSDTSPTSISPLSTSPLTAISPSSSSPSTPSLSRYESQKRRDWNTFGQYLRNHRPPLPLSRCSGAHVLEFLRYLDQFGKTKVHLHMCPFFGHPNPPAPCPCPLRQAWGSLDALVGRLRAAYEENGGKPENNPFGARAVRLYLREVRETQSKARGVSYEKKKRKKPPPTLQASTSHQLPPSSAS >DRNTG_26005.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23089499:23091283:-1 gene:DRNTG_26005 transcript:DRNTG_26005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVPSSDSPPSDTSPTSISPLSTSPLTAISPSSSSPSTPSLSRYESQKRRDWNTFGQYLRNHRPPLPLSRCSGAHVLEFLRYLDQFGKTKVHLHMCPFFGHPNPPAPCPCPLRQAWGSLDALVGRLRAAYEENGGKPENNPFGARAVRLYLREVRETQSKARGVSYEKKKRKKPPPTLQASTSHQLPPSSAS >DRNTG_26005.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23089607:23090945:-1 gene:DRNTG_26005 transcript:DRNTG_26005.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVPSSDSPPSDTSPTSISPLSTSPLTAISPSSSSPSTPSLSRYESQKRRDWNTFGQYLRNHRPPLPLSRCSGAHVLEFLRYLDQFGKTKVHLHMCPFFGHPNPPAPCPCPLRQAWGSLDALVGRLRAAYEENGGKPENNPFGARAVRLYLREVRETQSKARGVSYEKKKRKKPPPTLQASTSHQLPPSSAS >DRNTG_26005.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23089499:23090945:-1 gene:DRNTG_26005 transcript:DRNTG_26005.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVPSSDSPPSDTSPTSISPLSTSPLTAISPSSSSPSTPSLSRYESQKRRDWNTFGQYLRNHRPPLPLSRCSGAHVLEFLRYLDQFGKTKVHLHMCPFFGHPNPPAPCPCPLRQAWGSLDALVGRLRAAYEENGGKPENNPFGARAVRLYLREVRETQSKARGVSYEKKKRKKPPPTLQASTSHQLPPSSAS >DRNTG_09307.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:913837:916710:1 gene:DRNTG_09307 transcript:DRNTG_09307.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKNAGSTMTLKDFHGGSIPSDLPLPSAPGVSVRPAERNGGWGGAVRPEYHRQRPGSAGGVGAAAVARGIDDRGPFISHPSHIGRHFDEDERKPFEANAVPRRPAADAVSLRSEQIRPVSHFHQSVPVSHPSQNAIAPHIPSSGSAMNAWGLKKETGVDQTVGIHSTAAVAASRFAQASAIEKISSGRWQSKPPDVEVIQVQETDALERRFGESVRVADVGEKDKEKEKEKEMNTMVEFGAREHEKARSLAFAEISGPNSGGSRPRSNEGRFGVPQGLQEVPERPKLKLLPRTKPMEPSEARVLEDKQSYQPAVEAGHTANAYGIHGSMNQPKPGSAGSDGGSQPVERPRLNLKPRSQPLEQSAESIEWDRKSVFGGARPRELVIVSSTPHFPSKDCLF >DRNTG_09307.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:913837:918037:1 gene:DRNTG_09307 transcript:DRNTG_09307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKNAGSTMTLKDFHGGSIPSDLPLPSAPGVSVRPAERNGGWGGAVRPEYHRQRPGSAGGVGAAAVARGIDDRGPFISHPSHIGRHFDEDERKPFEANAVPRRPAADAVSLRSEQIRPVSHFHQSVPVSHPSQNAIAPHIPSSGSAMNAWGLKKETGVDQTVGIHSTAAVAASRFAQASAIEKISSGRWQSKPPDVEVIQVQETDALERRFGESVRVADVGEKDKEKEKEKEMNTMVEFGAREHEKARSLAFAEISGPNSGGSRPRSNEGRFGVPQGLQEVPERPKLKLLPRTKPMEPSEARVLEDKQFAKVQSYQPAVEAGHTANAYGIHGSMNQPKPGSAGSDGGSQPVERPRLNLKPRSQPLEQSAESIEWDRKSVFGGARPRELVLKERGIDTVAVDNQDLLPVSNRPKQDSPKSGLKLESATPTSRVAEKADTLHHDARSGKSLERKDYRANIDKAEVHRNSLKNDNWRNTKETEKPREQPRQEPETWRKPVEEPKPEVPGQRFGKVASALELAQAFSRSVSDAKLDNRIGNQRSQPGRTQVPFSRLTDAREFYSGQAKRQINGY >DRNTG_09307.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:913837:916710:1 gene:DRNTG_09307 transcript:DRNTG_09307.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKNAGSTMTLKDFHGGSIPSDLPLPSAPGVSVRPAERNGGWGGAVRPEYHRQRPGSAGGVGAAAVARGIDDRGPFISHPSHIGRHFDEDERKPFEANAVPRRPAADAVSLRSEQIRPVSHFHQSVPVSHPSQNAIAPHIPSSGSAMNAWGLKKETGVDQTVGIHSTAAVAASRFAQASAIEKISSGRWQSKPPDVEVIQVQETDALERRFGESVRVADVGEKDKEKEKEKEMNTMVEFGAREHEKARSLAFAEISGPNSGGSRPRSNEGRFGVPQGLQEVPERPKLKLLPRTKPMEPSEARVLEDKQFAKVQSYQPAVEAGHTANAYGIHGSMNQPKPGSAGSDGGSQPVERPRLNLKPRSQPLEQSAESIEWDRKSVFGGARPRELVLKERGIDTVAVDNQDLLPVSNRCKLL >DRNTG_21406.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:658749:660983:1 gene:DRNTG_21406 transcript:DRNTG_21406.1 gene_biotype:protein_coding transcript_biotype:protein_coding VILSGPSHPTNIYSQDDLPRIVVRTLYQGSSVTSLDSHPIQQTILLVGTIVGDIGIWEVGSRKKITNRTFKVWDITACSRTLQATLVNDATVYVNRCIWSPDGSILGVAFSQHIVHIYAFLSNGELRQQMEV >DRNTG_21406.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:658749:659747:1 gene:DRNTG_21406 transcript:DRNTG_21406.2 gene_biotype:protein_coding transcript_biotype:protein_coding ERKTKREGRIKTNSSGSRFSADHGPSHPFPVPCTRPWPFSSFSPASPLFSANPGS >DRNTG_21406.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:660311:660983:1 gene:DRNTG_21406 transcript:DRNTG_21406.3 gene_biotype:protein_coding transcript_biotype:protein_coding LVGTIVGDIGIWEVGSRKKITNRTFKVWDITACSRTLQATLVNDATVYVNRCIWSPDGSILGVAFSQHIVHIYAFLSNGELRQQMEV >DRNTG_29954.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:11645585:11649164:1 gene:DRNTG_29954 transcript:DRNTG_29954.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGHDLEPKKQLTHNGYNNTRSEVVVAPITINTAVFSKFAETLLLKNLGWPDFWRFEWWEMVLYPYSNGFRQISQQYINEHHQRRSHMIGQGKRDGLQPAYGLTYGHKHLLENLVEYLMDGIYSRKCSCKAYNQSSPAPYGHILTASRNRTISTLRTQIELHGVLRIPSDKPSS >DRNTG_28911.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21971705:21972232:1 gene:DRNTG_28911 transcript:DRNTG_28911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCNAVPHNIPAQIPSTPHLTQYPKTNPKGSPNM >DRNTG_28483.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8610103:8610792:1 gene:DRNTG_28483 transcript:DRNTG_28483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLRVVAVTVTDIVTAASAFLPAASSSLCQSGRLLSSWPRHCRTVALASGHRTFLEDASPWNFLLWDIYDDMMLDGIQPVRDTFDDMMLDGTQPVRDTFHSLIVGCIKDSRLQEAFFFRDQMMAMGLPPDVNLYNFLISICGKCKSSDETIKLLEEMKRHGVKLKRETCICLLNACTATGRTDLVLALVWDMAAIDLNKFYYVGLITAYKNKLPTTEKTFAKIIEYV >DRNTG_28573.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22974358:22977139:1 gene:DRNTG_28573 transcript:DRNTG_28573.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTKWGVEVLPPASSYFGNSNWFLGQNRSVIWTASENKIFENALAYIDSDTPNRWKMVAEMLPGKSVDDVITHYRDLEHDVSHIEAGLIPVPGYSSPSFTLDWESSNLGFEGLRQAYGAVAGKRAAGRLSEQERKKGVPWTEDEHRKFLMGLKKYGKGDWRNISRNFVPTRTPTQVASHAQKYFIRLSSGGKDKRRSSIHDITTVNLPDDNPVSPTHASSLSTQSSSVVLPGTPDKFSVKLEPNNCTEAARTMSGTSIQMHTNRLVQPSYGVTPYQLKLQAQSPNKSSFGSIMGVNHSMFRTLSAQLHPHG >DRNTG_28573.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22974259:22977139:1 gene:DRNTG_28573 transcript:DRNTG_28573.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTKWGVEVLPPASSYFGNSNWFLGQNRSVIWTASENKIFENALAYIDSDTPNRWKMVAEMLPGKSVDDVITHYRDLEHDVSHIEAGLIPVPGYSSPSFTLDWESSNLGFEGLRQAYGAVAGKRAAGRLSEQERKKGVPWTEDEHRKFLMGLKKYGKGDWRNISRNFVPTRTPTQVASHAQKYFIRLSSGGKDKRRSSIHDITTVNLPDDNPVSPTHASSLSTQSSSVVLPGTPDKFSVKLEPNNCTEAARTMSGTSIQMHTNRLVQPSYGVTPYQLKLQAQSPNKSSFGSIMGVNHSMFRTLSAQLHPHG >DRNTG_28573.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22974358:22977580:1 gene:DRNTG_28573 transcript:DRNTG_28573.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTKWGVEVLPPASSYFGNSNWFLGQNRSVIWTASENKIFENALAYIDSDTPNRWKMVAEMLPGKSVDDVITHYRDLEHDVSHIEAGLIPVPGYSSPSFTLDWESSNLGFEGLRQAYGAVAGKRAAGRLSEQERKKGVPWTEDEHRKFLMGLKKYGKGDWRNISRNFVPTRTPTQVASHAQKYFIRLSSGGKDKRRSSIHDITTVNLPDDNPVSPTHASSLSTQSSSVVLPGTPDKFSVKLEPNNCTEAARTMSGTSIQMHTNRLVQPSYGVTPYQLKLQAQSPNKSSFGSIMGVNHSMFRTLSAQLHPHG >DRNTG_28573.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22974259:22978068:1 gene:DRNTG_28573 transcript:DRNTG_28573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKWGVEVLPPASSYFGNSNWFLGQNRSVIWTASENKIFENALAYIDSDTPNRWKMVAEMLPGKSVDDVITHYRDLEHDVSHIEAGLIPVPGYSSPSFTLDWESSNLGFEGLRQAYGAVAGKRAAGRLSEQERKKGVPWTEDEHRKFLMGLKKYGKGDWRNISRNFVPTRTPTQVASHAQKYFIRLSSGGKDKRRSSIHDITTVNLPDDNPVSPTHASSLSTQSSSVVLPGTPDKFSVKLEPNNCTEAARTMSGTSIQMHTNRLVQPSYGVTPYQLKLQAQSPNKSSFGSIMGVNHSMFRTLSAQLHPHG >DRNTG_28573.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22974206:22977139:1 gene:DRNTG_28573 transcript:DRNTG_28573.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTKWGVEVLPPASSYFGNSNWFLGQNRSVIWTASENKIFENALAYIDSDTPNRWKMVAEMLPGKSVDDVITHYRDLEHDVSHIEAGLIPVPGYSSPSFTLDWESSNLGFEGLRQAYGAVAGKRAAGRLSEQERKKGVPWTEDEHRKFLMGLKKYGKGDWRNISRNFVPTRTPTQVASHAQKYFIRLSSGGKDKRRSSIHDITTVNLPDDNPVSPTHASSLSTQSSSVVLPGTPDKFSVKLEPNNCTEAARTMSGTSIQMHTNRLVQPSYGVTPYQLKLQAQSPNKSSFGSIMGVNHSMFRTLSAQLHPHG >DRNTG_28573.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22974358:22978068:1 gene:DRNTG_28573 transcript:DRNTG_28573.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKWGVEVLPPASSYFGNSNWFLGQNRSVIWTASENKIFENALAYIDSDTPNRWKMVAEMLPGKSVDDVITHYRDLEHDVSHIEAGLIPVPGYSSPSFTLDWESSNLGFEGLRQAYGAVAGKRAAGRLSEQERKKGVPWTEDEHRKFLMGLKKYGKGDWRNISRNFVPTRTPTQVASHAQKYFIRLSSGGKDKRRSSIHDITTVNLPDDNPVSPTHASSLSTQSSSVVLPGTPDKFSVKLEPNNCTEAARTMSGTSIQMHTNRLVQPSYGVTPYQLKLQAQSPNKSSFGSIMGVNHSMFRTLSAQLHPHG >DRNTG_28573.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22974206:22977580:1 gene:DRNTG_28573 transcript:DRNTG_28573.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTKWGVEVLPPASSYFGNSNWFLGQNRSVIWTASENKIFENALAYIDSDTPNRWKMVAEMLPGKSVDDVITHYRDLEHDVSHIEAGLIPVPGYSSPSFTLDWESSNLGFEGLRQAYGAVAGKRAAGRLSEQERKKGVPWTEDEHRKFLMGLKKYGKGDWRNISRNFVPTRTPTQVASHAQKYFIRLSSGGKDKRRSSIHDITTVNLPDDNPVSPTHASSLSTQSSSVVLPGTPDKFSVKLEPNNCTEAARTMSGTSIQMHTNRLVQPSYGVTPYQLKLQAQSPNKSSFGSIMGVNHSMFRTLSAQLHPHG >DRNTG_28185.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20762799:20767925:1 gene:DRNTG_28185 transcript:DRNTG_28185.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RFI2 [Source:Projected from Arabidopsis thaliana (AT2G47700) UniProtKB/Swiss-Prot;Acc:O82239] MGLGTTDPAADDAAGEAVATACSICLDAVSADDGARSIAKLRCGHEFHLDCIGSAFNVKGAMQCPNCRKVEKGNWLFANGSRTLPDFGMSSWPELSLDEWRQDEDLYDLGYSEMAFGLHWCPFGRVAPLPTPFEEGESSPPITFQELFRNHTILMEHPATSSTAHVCPYVAYLHPLQPSSSSNPHIPSESSIDGPPAYHQPWARVPAPANVQNQHALSANELHYHVRVNSDGPPRTGSLGHPYILAHSSVSRTGGPFVSPYPRYVNNSRVQGHVQDHHYLHHNSTAAHGPISGAQRGARSLAPIGPAQLQPPNPNSLYLVTSTGSSGRSIQEVENTGGNHLYAWERDLFAPFPLLPLDRESGWFSHPHTGASSDPNRRTGSWHQHNAERPNSRGRTDGASHWQSHSNRHHFI >DRNTG_13355.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18089079:18090340:-1 gene:DRNTG_13355 transcript:DRNTG_13355.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSDDQNDEFRRHESFESDTATKGISGPCCLLQYIEVVLKM >DRNTG_15888.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17993658:17995663:-1 gene:DRNTG_15888 transcript:DRNTG_15888.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSECPRGGGYGNQRVVETSVEERNQKQIVDAIFDEVLD >DRNTG_14571.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:9344660:9369278:-1 gene:DRNTG_14571 transcript:DRNTG_14571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKCSLGLSSMLLGRQPRRHSECVFASSPSNCSSNSLGSCTSPTVLGHFRWRLRALFIFQNTFFKIPGVDVSSPVEPSISTSSLLSSWSNKPLYGSGLNISCIYSSTISSVVSPRWPCRWSFGESSTRRPKIPSSGIGYASIEAPLPTFFLMESLCQSHRTSPKPWPIPLETLEETLSQVGEKMEKKIPKLGLKLALNRAGIGRLHIL >DRNTG_32880.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23532798:23533590:-1 gene:DRNTG_32880 transcript:DRNTG_32880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L37-1 [Source:Projected from Arabidopsis thaliana (AT1G15250) UniProtKB/Swiss-Prot;Acc:Q8LFH7] MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCAACGYPSARIRKYNWSVKAIRRKTTGTGRMRYLRHLPRRFKSNFREGTQAAPRSKAAVAASS >DRNTG_28143.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19672595:19678369:1 gene:DRNTG_28143 transcript:DRNTG_28143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAAKRLIASPSLLVVPFVPRQIMLEKHYKESQTMEKKTIKKAEKEKKEKKHKRKEEVKLTLLNAIASYLERNGFSKTLSALQSEAQLEIDGCKASTLKLEEVILNILESSDGLEKFGIEWCRDQGMNENGIIKRDEEETALDASHHINEKKRKKGSRVVDEKVEDNESGKGVDTEGKISEEPLNGLQVKSKGKKSKSFTPEDACAEIHKKQQKAKDLEVKTDSKKSNSDQEKSKNKTSVEDALVEIKDEKKRKLVSDSAGETNQKIPIETGCGRANDGDHESQLPQSNVADKEKKKKKHKVIDNHEKNSDSSDPGIIQNVIKEKIKGSKSVTKNSDTLSVDSDVNYEGEKKGKRKENLDLVAERIKGNIDSVAKHAFTENPSEKLDSKRKDKKKKKEKTSSGDHHAMTSDQLNGGTFNDSKKENGTDHEVQVDLRKSEPRMQTDNIDSKVSKKRKRSSSEGTELAIDNAETVESKQSRSLGGKDDKEIGIPQKANVSLAENGCNILSKRQKTDENKHNLADSSSKEIFSSSLNKYGTVAQDSENKIGNELVDAVLVKNVKEGSISAKSMKIESHSVEPKTAFQRVKIDEVKFADERLQDNSYWAKGGADIGYGAKAQEVLGQVKGRDFRHEKTKKKRGTYRGGLIDLQSHSVKFNYSDDE >DRNTG_19783.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001060.1:60349:62199:1 gene:DRNTG_19783 transcript:DRNTG_19783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQHSMSMLKKKRNQPGNPDPDAEVVAMSPKSLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQKSNKEVMKKKVYVCPESTCVHHHPSRALGDLTGIKKHYCRKHGEKKWKCDRCSKRYAVLSDWKAHLKTCGTKEYTCDCGTLFSRKDSFLTHRAFCDALAQESATLISMKLPHELPQPSLHHELHSLNPNPKPNPSLSAMALLQKATAMRSFPLNGCDLTTWRNEDRPTRDFIGAVDVRVGNAAAVAAPAPAPVEDNVEQPVSVAVDPVTRGEHTRWFTASHPKRIG >DRNTG_19783.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001060.1:60349:61027:1 gene:DRNTG_19783 transcript:DRNTG_19783.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQHSMSMLKKKRNQPGNPDPDAEVVAMSPKSLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQKSNKEVMKKKVYVCPESTCVHHHPSRALGDLTGIKKHYCRKHGEKKWKCDRCSKRYAVLSDWKAHLKTCGTKEYTCDCGTLFSR >DRNTG_26401.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18133672:18137522:1 gene:DRNTG_26401 transcript:DRNTG_26401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVFGAKKNKDPPPTIQDATDRIGKRGDTVDEKIKKLDNELARYREQIKKARPGPAQEALKARAMRVLKQKRMYEGQRDMLYNQTFNLDQVAFAAEGIKDAQQTMSALKSANKELKGMMKTVKIQDIDSMQDEMMDLMDVSTEIQESLGRSYNVPDDIDEDELMGELDALEADMGAETESDSVPSYLQPDKEPDLDSELSLPTAPVGHSAVPPNRQSAQAEDEFGLPAVPRASIRG >DRNTG_01219.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1317471:1319546:1 gene:DRNTG_01219 transcript:DRNTG_01219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTLFHLFLLSSFLFSCFSNARLEADDFSYIEGSPNGPENWGNLRPEWKTCGNGMEQSPINLCDDKVIQTPALGKLRTSYQAARATLKNNGHDIMVNFKSDAGSQFINRVQYQLKRIHFHSPSEHALNGERYDLEIQMVHESQDQRRAVTAIMFRFGRSDPFLSDLEDFIKQISRSENFEVDAGVVDPRQLLQFDDPSYYRYMGSFTAPPCTEDITWTVIKKLGTVSPKQVLMLKQAVNENAINNARPLQPLKFRTVFFYPHQKPNHDAI >DRNTG_21800.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17455644:17466287:-1 gene:DRNTG_21800 transcript:DRNTG_21800.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUDT3 [Source:Projected from Arabidopsis thaliana (AT1G79690) UniProtKB/TrEMBL;Acc:A0A178WDC8] MTQSSSLKKLLQTKADAFLSNDYYDSDIAWMELDSKLDVTIGPYETYEDSLFGYKATFEAFIGIRDDISTAKVKLFGDHLKDLEQNLPFDDIYKSKDVCAAPIRVIQLIYNAGDVKGPQTVAFNLPNDERIVNEHGTSMVLLKNISEAKFQHILRPIADICIKEEQREFIDFESFFTHTICHECCHGIGPHTIILPSGRQSTVRLELEELHSALEEAKADIVGLWALRFLIKQGLLPASLLKSMYVSFLAGCFRSIRFGLEESHGKGQALQFNWLYEKGAFLLHSDGTFSVDFGKVEGAVESLSREILTIQAKGDKAAAKSLLLEYAKLTTPLDASLKKLESIQVPVDITPIFGVVEKVFGK >DRNTG_21800.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17455644:17468074:-1 gene:DRNTG_21800 transcript:DRNTG_21800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUDT3 [Source:Projected from Arabidopsis thaliana (AT1G79690) UniProtKB/TrEMBL;Acc:A0A178WDC8] MTQSSSLKKLLQTKADAFLSNDYYDSDIAWMELDSKLDVTIGPYETYEDSLFGYKATFEAFIGIRDDISTAKVKLFGDHLKDLEQNLPFDDIYKSKDVCAAPIRVIQLIYNAGDVKGPQTVAFNLPNDERIVNEHGTSMVLLKNISEAKFQHILRPIADICIKEEQREFIDFESFFTHTICHECCHGIGPHTIILPSGRQSTVRLELEELHSALEEAKADIVGLWALRFLIKQGLLPASLLKSMYVSFLAGCFRSIRFGLEESHGKGQALQFNWLYEKGAFLLHSDGTFSVDFGKVEGAVESLSREILTIQAKGDKAAAKSLLLEYAKLTTPLDASLKKLESIQVPVDITPIFGVVEKVFGK >DRNTG_21800.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17455644:17468074:-1 gene:DRNTG_21800 transcript:DRNTG_21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUDT3 [Source:Projected from Arabidopsis thaliana (AT1G79690) UniProtKB/TrEMBL;Acc:A0A178WDC8] MTQSSSLKKLLQTKADAFLSNDYYDSDIAWMELDSKLDVTIGPYETYEDSLFGYKATFEAFIGIRDDISTAKVKLFGDHLKDLEQNLPFDDIYKSKDVCAAPIRVIQLIYNAGDVKGPQTVAFNLPNDERIVNEHGTSMVLLKNISEAKFQHILRPIADICIKEEQREFIDFESFFTHTICHECCHGIGPHTIILPSGRQSTVRLELEELHSALEEAKADIVGLWALRFLIKQGLLPASLLKSMYVSFLAGCFRSIRFGLEESHGKGQALQFNWLYEKGAFLLHSDGTFSVDFGKVEGAVESLSREILTIQAKGDKAAAKSLLLEYAKLTTPLDASLKKLESIQVPVDITPIFGVVEKVFGK >DRNTG_20450.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4579427:4585702:1 gene:DRNTG_20450 transcript:DRNTG_20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFDFSEWRGTPVVVTMENPNYSVLEIDGPSSNGFHSMDKGKGRNAKQFTWVLLLKAHRAAGCLAWMAAALWTLMGVVRKRLIFRQGVSMETEKPGKGHLLFRFIRGFLVLSLVALAFEILAYCNGWHFHKPELHIPQSFEIQGFMHSAYLSWLAFRASYVAYPIQLLSKFCVILFILQSLDRFVLCFGCFWIKFKKIKPKVEGDLSKRGDLEAPDCEFPMVLIQIPMCNEREVYEQSISAVCQIDWPKDRFLIQVLDDSNDEAIKVLIRAEVAKWSQKGVNIVYRHRLVRTGYKAGNLKSAMSCDYVQNYEFVAIFDADFQPNPDFLKQTIPHFKGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEDSGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCEVPESYEAYRKQQHRWHSGPMQLFRLCLPAILKSKISVWKKANLVLLFFLLRKLILPFYSFTLFCVILPLTMFIPEAELPMWVICYIPVIMSLLNILPAPRSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSSYEWVVTKKAGRSSESDLMSVVEKDSKVLNEPQLQRGVSDSELHELNKLKEKQEEAFLPTKKKSNIIYKKELALALLLLTAAVRSLLSAQGIHFYFLLFQGVSFLLVGLDLIGEQMS >DRNTG_20450.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4579427:4582321:1 gene:DRNTG_20450 transcript:DRNTG_20450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFDFSEWRGTPVVVTMENPNYSVLEIDGPSSNGFHSMDKGKGRNAKQFTWVLLLKAHRAAGCLAWMAAALWTLMGVVRKRLIFRQGVSMETEKPGKGHLLFRFIRGFLVLSLVALAFEILAYCNGWHFHKPELHIPQSFEIQGFMHSAYLSWLAFRASYVAYPIQLLSKFCVILFILQSLDRFVLCFGCFWIKFKKIKPKVEGDLSKRGDLEAPDCEFPMVLIQIPMCNEREVYEQSISAVCQIDWPKDRFLIQVLDDSNDEAIKVLIRAEVAKWSQKGVNIVYRHRLVRTGYKAGNLKSAMSCDYVQNYEFVAIFDADFQPNPDFLKQTIPHFKGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEDSGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCEVPESYEAYRKQQHRWHSGPMQLFRLCLPAILKSKISVWKKANLVLLFFLLRKLILPFYSFTLFCVILPLTMFIPEAELPMWVICYIPVIMSLLNILPAPRSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSSYEWVVTKKAGRSSESDLMSVVEKDSKVLNEPQLQRGVSDSELHELNKLKEKQEEAFLPTKKKSNIIYKKELALALLLLTAAVRSLLSAQGIHFYFLLFQGVSFLLVGLDLIGEQMS >DRNTG_21607.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1270789:1291083:1 gene:DRNTG_21607 transcript:DRNTG_21607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPERTNGYLFWVLLSVYVFMLLLGFGNCSDDDIFSEPLFKNSEPFLNDNYGIFPTRNFEKLPLLLSHSNFASCEDLSGVGSLNGTCLLNSSLQLVNDLLILGTGSLEIAPDVLITCPVKGCLISFNISGNVKIGSHAAVVAGSVVFDVANLTLDYHSIINTTALGGAPPSQTSGTPSLYDGAGGGHGGRGASCLKSNKTNWGGDVYAWSSLSDPWSYGSKGGSTSAEKQYGGDGGGRVLLKVKDTLQADGFVAAEGGNGGLNGGGGSGGSIMVHAFKLKGNGTISAAGGSGWGGGGGGRVSWECYSIQDVKITVHGGQSYGCPENAGAAGTAYDKLLSSLKVSNDNFTTHTETPLLDFPTTILWSNVYVECNAKALVPLLWSRVQVRGQIRLLDGGSICFGLSEYGVSEFELVAEELLLSDSVIQVYGAFRIFVKMLLMWNSSIQIDGGGNNDVRTSVLETRNLIVLRQNSVIFSNADLGVCGQGLLRLTGYGDAIKAQRLFLSLFYNIEVGPSSLLQAPLDDDSGSSLATQSHCDSQTCPMELIMPPDDCHVNNSLSFTLQICRVDDLTVNGVIRGTILHIHRARTVTIEAGGVISASELGCKEGIGKGKFQKYGAGGGAGHGGCGGSGFYNGMLIEGGQAYGDPDLPCELGSGSGGPSESLENVAGGGMIVMGSIKWPLSSLKIYGLLKADGQSHSGSVINNNGTLMGGLGGGSGGTILLFLQALTLEKNSSLSVAGGHGGPVGGGGGGGGRVHFDWSNIATGDEYVQIATVNGTIMSSGGTGNSGGQSGQEGTITGKECPKGLYGTFCEECPVGTFKDTVGSNASLCIPCSLESIPTRADFIYVRGGVTQPFCPYRCLSEKYKMPKCYTPLEDLVYTFGGPCPFALLVSFILVLLALLLSALRIKMLGNDLTYQASSPIQHDDSNSFPYLLSLAEVPGASRAEETQSHVHRMYFMGPNTFREPWHLPYTPPDAIIGLVYEDAFNRFIDEINSVAAYEWWEGSIHSILSVLAYPCAWSWKQWRRRKKILRLQDYVRSKYDHSCLRSCRSRALYKGMKVGSTPDLMVAYIDFFLGGDEKRLDVASTILKRFPMCIIFGGDGSFMSPYNLHSDVLLTNLIGQYVSTTVWNRLVAGLNAQLRTVTQRRIRSALIPVITWINSHGNPQLDSLGVRIELGWFQPTASGYYQLGILVVVNECFFNSAHQPENLETNVTDRTRKNSGNSHKGLAQSEPNQPSVSNINSRKRMTGGVNGTIINDENLQSLHYKRDFLFPFSLLLQNTRPIGFKDALQLLISIMLLGDLTITLLTLLQYYWMSLGAFLALLLILPLSLISPFPAGINALFSRGPRRSSLARIYALWNATSISNIIVAFICGICYYGFSSADMTSRTSKWNTRREDNEWWVLPTILFLVKSLQAGFVNWHIANLEMQDFSLYSEDPEKFWEC >DRNTG_10647.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:320172:320765:1 gene:DRNTG_10647 transcript:DRNTG_10647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTWTAKQNKQFERALAVYDKDTPDRWQNVARAVGGKSPEEVKLHYELLLEDLKHIESGKVPFPNYRRSRG >DRNTG_24636.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31199076:31200259:1 gene:DRNTG_24636 transcript:DRNTG_24636.1 gene_biotype:protein_coding transcript_biotype:protein_coding QGPEDRPPGSPQIPRKSRSRRHPKCLSEKNQMHATKEHLKN >DRNTG_12097.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4918632:4920707:-1 gene:DRNTG_12097 transcript:DRNTG_12097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSYQLHHPFLLDTAYLSNTSMEIPLRNQDMATNYSSSSFQYFFAHDPMPEIIDNDARPHESCTSHNSSTTSKTSDVEKKRKSSDLTCLSSIQSKSKSRSKKKANGGLKEVEEDKISKKEKKKKTCDQEPPEGYIHVRARRGQATDSHSLAERVRREKISARMKLLQGLVPGCDKVTGKALMLDEIINYVQSLQNQVEFLSMKLASLNPILYNLGIDFDGNINQLEKLEGQSQPLPTVHPTNHIQLTAFEEATNNYRMMEASAPFLLHGEGPTAFSQDNSNSLMQMSGQSTQGGGFLTQLLSNSMLSFH >DRNTG_01918.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32269125:32269492:-1 gene:DRNTG_01918 transcript:DRNTG_01918.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKRRSRSMNSSKNPKLRKQRLSGNQRSSCYSLQKVGAGMNCCSSSPPRSPQSTRARAFLVAEVMPVRR >DRNTG_01918.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32269125:32278400:-1 gene:DRNTG_01918 transcript:DRNTG_01918.1 gene_biotype:protein_coding transcript_biotype:protein_coding CPLEDEEVVVTPFSNRRGSGEQVREKEEERGGGDRSSCADK >DRNTG_00935.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21251433:21253798:1 gene:DRNTG_00935 transcript:DRNTG_00935.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein HCF164, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37200) UniProtKB/Swiss-Prot;Acc:O23166] MAGVFSNTLRLPHSHTVALCSSSVLPHRRLSTPLRLHPASHRLRGLITRADPSDETVGEPNVVTEEKSEPATVSQSTGFAEFPNKDINRRIALASTVLAVGLFVTTRLEFGVSLKDLTAYAIPYEQALSNGMPTVVEFYADWCEVCRELAPDVYKIEQQYKNKVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKDGNEEGNVVGRLPRQYLLENVAALANGAPSVPHARVVGQYSSTEARKVHQVADPRSHG >DRNTG_25485.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1661853:1664108:-1 gene:DRNTG_25485 transcript:DRNTG_25485.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRWERVNVNARARETPDGKKTPGRTGGIWGPGQRWGHTLNSVKNGRLIYVFGGFYGPEKCHSNDVFVFDTVKKTWSKPMVKGIPPSPRDSHSCTTVGNRLFVYGGTDGTNPLDDLHILDTSINTWIPSPADLQVSGPDAREGHSAALVGKRLFIFGGCGKSNNISGGQVHYNDLYILDTGNFSNLLVTVLYVKDILVLKILYFFFLK >DRNTG_25485.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1658737:1664108:-1 gene:DRNTG_25485 transcript:DRNTG_25485.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWERVNVNARARETPDGKKTPGRTGGIWGPGQRWGHTLNSVKNGRLIYVFGGFYGPEKCHSNDVFVFDTVKKTWSKPMVKGIPPSPRDSHSCTTVGNRLFVYGGTDGTNPLDDLHILDTSINTWIPSPADLQVSGPDAREGHSAALVGKRLFIFGGCGKSNNISGGQVHYNDLYILDTEKLKWEYAMTTGHPPSPRDSHTCSSWKNRIIVLGGEDSSDCYLSDVHILDTDTLSWSQISTSGQMIAPRAGHTTVALGSNLFVFGGFTDDRNLYDDLHVLNLDTGIWSKVSSVNQGPSARFSVAGDCLDATKGILVFMGGCNKFLEALDDMYYLYTALPELDVLPENRHEKFSLKKEFKRKCQEESFQSDRFDKRNAALCHGTLETSETLYLPCYSQEGIKYTSKQGTAFQARITNSGDYGYAIETVIGGKLLRGLILASTAGFTEGSRTDSARIEASVNKYQPTQQIIGENQQKAKSSDTSAPDTNIDEPNKSSNAVEPLGSSIERPMNNEAPNNSDNQNAGNSCENSSSEVQPVPSLPTQGVDKEQDKTG >DRNTG_25485.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1658737:1664108:-1 gene:DRNTG_25485 transcript:DRNTG_25485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGHPPSPRDSHTCSSWKNRIIVLGGEDSSDCYLSDVHILDTDTLSWSQISTSGQMIAPRAGHTTVALGSNLFVFGGFTDDRNLYDDLHVLNLDTGIWSKVSSVNQGPSARFSVAGDCLDATKGILVFMGGCNKFLEALDDMYYLYTALPELDVLPENRHEKFSLKKEFKRKCQEESFQSDRFDKRNAALCHGTLETSETLYLPCYSQEGIKYTSKQGTAFQARITNSGDYGYAIETVIGGKLLRGLILASTAGFTEGSRTDSARIEASVNKYQPTQQIIGENQQKAKSSDTSAPDTNIDEPNKSSNAVEPLGSSIERPMNNEAPNNSDNQNAGNSCENSSSEVQPVPSLPTQGVDKEQDKTG >DRNTG_25485.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1658737:1661760:-1 gene:DRNTG_25485 transcript:DRNTG_25485.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGHPPSPRDSHTCSSWKNRIIVLGGEDSSDCYLSDVHILDTDTLSWSQISTSGQMIAPRAGHTTVALGSNLFVFGGFTDDRNLYDDLHVLNLDTGIWSKVSSVNQGPSARFSVAGDCLDATKGILVFMGGCNKFLEALDDMYYLYTALPELDVLPENRHEKFSLKKEFKRKCQEESFQSDRFDKRNAALCHGTLETSETLYLPCYSQEGIKYTSKQGTAFQARITNSGDYGYAIETVIGGKLLRGLILASTAGFTEGSRTDSARIEASVNKYQPTQQIIGENQQKAKSSDTSAPDTNIDEPNKSSNAVEPLGSSIERPMNNEAPNNSDNQNAGNSCENSSSEVQPVPSLPTQGVDKEQDKTG >DRNTG_25485.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1658737:1661197:-1 gene:DRNTG_25485 transcript:DRNTG_25485.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTLSWSQISTSGQMIAPRAGHTTVALGSNLFVFGGFTDDRNLYDDLHVLNLDTGIWSKVSSVNQGPSARFSVAGDCLDATKGILVFMGGCNKFLEALDDMYYLYTALPELDVLPENRHEKFSLKKEFKRKCQEESFQSDRFDKRNAALCHGTLETSETLYLPCYSQEGIKYTSKQGTAFQARITNSGDYGYAIETVIGGKLLRGLILASTAGFTEGSRTDSARIEASVNKYQPTQQIIGENQQKAKSSDTSAPDTNIDEPNKSSNAVEPLGSSIERPMNNEAPNNSDNQNAGNSCENSSSEVQPVPSLPTQGVDKEQDKTG >DRNTG_32521.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1394125:1401183:-1 gene:DRNTG_32521 transcript:DRNTG_32521.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYPQGEQAETAWILTVLGYMGKLILGTLGTIISVAWIALIVIYCLIDSPLSPFLNEVFIQLDDIWGLLGTAAFVLFCFYLLLVVIAGEMMLGLQLVL >DRNTG_32521.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1394125:1401183:-1 gene:DRNTG_32521 transcript:DRNTG_32521.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYPQGEQAETAWILTVLGYMGKLILGTLGTIISVAWIALIVIYCLIDSPLSPFLNEVFIQLDDIWGLLGTAAFVLFCFYLLLVVIAGEMMLGLQLVL >DRNTG_32521.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1394125:1397732:-1 gene:DRNTG_32521 transcript:DRNTG_32521.8 gene_biotype:protein_coding transcript_biotype:protein_coding IFGGVGITCFPLGLIASFIKRSKTVITRSRYIKEATELTKKARELRKAADALRQEEKNSSEGKNWCKNVKAVEKELLLLENDVNTLEEMYPQGEQAETAWILTVLGYMGKLILGTLG >DRNTG_32521.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1396304:1401183:-1 gene:DRNTG_32521 transcript:DRNTG_32521.7 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHSPSPPHLCHSCGNLTTTAPPPTDAPWSDASPPPVYRPIRVPP >DRNTG_32521.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1394125:1401183:-1 gene:DRNTG_32521 transcript:DRNTG_32521.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHSPSPPHLCHSCGNLTTTAPPPTDAPWSDASPPPVYRPIRVPP >DRNTG_32521.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1394125:1400003:-1 gene:DRNTG_32521 transcript:DRNTG_32521.6 gene_biotype:protein_coding transcript_biotype:protein_coding MYPQGEQAETAWILTVLGYMGKLILGTLGTIISVAWIALIVIYCLIDSPLSPFLNEVFIQLDDIWGLLGTAAFVLFCFYLLLVVIAGEMMLGLQLVL >DRNTG_31293.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1180086:1180363:1 gene:DRNTG_31293 transcript:DRNTG_31293.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSWRAAGPGDAGGDPPAGDWRTQLQPEARQRIVNKM >DRNTG_21686.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1018895:1021346:-1 gene:DRNTG_21686 transcript:DRNTG_21686.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTSKAFFFFFFFFFLSIHLVTITAFPPSHNLFISCGAINASLKLPDGRSFLPDSKLFFTPFSNNALSSNSSQLCASARVFTQLTEYKLKVGNNGKHFLRLHFCPFSNSLFNLKLAAFSVMVDGITLLSNFSCSSSVLVKEFVVEVGLGSLTMVLSFSPSNGSIAFINALEVIALPDLLFPQGSPIDVSNSVALETFYRINAGGPVLDSLNDSLWRVWFNDQVFLVNPFSANNVSTDPNSIVYPSGVPFDTAPALVYATAQEMADANVGNQNFNISWLFDVDSGFDHLVRLHFCDFVSTSLHNLIFNVYINNQSALNSFDLSSKTMGLSTVYFADFLVNAEIGKVLVQVGPPDLLTIPPNAILNGLEIFKIIDSNASSLNVMVEKKSKSKVMVIVACLGGLVVLVFLVAAFMSLL >DRNTG_33534.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20699222:20700052:-1 gene:DRNTG_33534 transcript:DRNTG_33534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMIRLLYPVLQTRISTLTSCSLTSPSRQATKIQLSSSMDSLLHLLSPLNSIAINSQGWFTNFWSMKKEEECNEGMKHNTKCISSTMMKSEQHGKPRPSLSNLIALISLLKLLLSLSLLENLCHAAAIDVLWL >DRNTG_01753.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4346198:4348336:1 gene:DRNTG_01753 transcript:DRNTG_01753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSRATLLAISPRPLLPLPPKPLTLGSPCTPAASITASIANSTSTSSSSSRKRGHEVLDEDTYVAAIERIIERDYFPDLPKLRDRLDWLEAVRSQDPVLIRDAQLKILERRRRQTPSASIRNPTPSVRTPSITPFAAASTPSDRVVNPNPAEGEMPEDAIDASVSLDDFFRRYTSEDNESFSRIMEKVNKKRRERYVHLFNAEKPDPPKALEMEKQDRITDGYGTSGQSPSTLESWKYSAKNLLMYVPAEEAPLTEDENAERLKGLTKEINRSSTRFHGNPGADDSKLGKDNAVDIVYNMVQGTTPASTTFPFAADREAERSKKI >DRNTG_16211.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30849884:30850421:1 gene:DRNTG_16211 transcript:DRNTG_16211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFQSHVPVRSGLRGVVTSSSPNMLIKPEHVPSDGSSALSSTPSSRSTSSEADISKSSQPS >DRNTG_08450.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21368687:21375022:1 gene:DRNTG_08450 transcript:DRNTG_08450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSSSEDDEPIDGDIDFGDEITQSDADQNAVGGAAPLHFFNHSESSIMPLESAIDNELLAVDGNVKDLVPFLGMEFESDVAARNFYNNYALRLGFGIRVARSRSERRKGVEVLVMKRFVCLKEGHHKKKVTEYSGVKKKRKRLSIRDGCPAMMEVVRRGQEKWLVTKLILEHTHVVVSPDKAREIQLNRLSGKELEHENYLKDMRQKAFGEGDAQGLLEYFKRTQSSNSGFFYSMQVDSRNCMTNVFWADARARMAYGHFGDAVTFDTSYNKNENMPPFAAFTGVNHHGQPVVFGCALVIDNTESSYVWLFETWVTAMYGQDPISLTTDQSRAIGAAVAKVFPNTQHRLCNWRILSKCKKKLLDVCSRYPTFHEELKRCVNEPETERMFEMRWKSLIDKYDLRENTWLQSLHNIRQKWIPAYLKESFFAEMSTMERSESLNKFYRRHFNTKTTLQSFLGKFDQAVDSRHEKEIQDDYSMQNSQHVLKTDLPLEKHAAVTYTRAIFERFQIELLEALNYYIGKVQEGAISKYSVARSTDSHNYQVVTFYESQKKAVCSCHKFEFSGILCRHVLALLWASDVNFIPEQYILKRWTRKAKSSPSLDERAVEMRSYCQDSPLLRYNDLFRDAIKCAEKGAVSAETFKVAKDMLQKAFADLVSLQENIVKTGQHPSPNI >DRNTG_08450.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21368687:21371393:1 gene:DRNTG_08450 transcript:DRNTG_08450.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSSSEDDEPIDGDIDFGDEITQSDADQNAVGGAAPLHFFNHSESSIMPLESAIDNELLAVDGNVKDLVPFLGMEFESDVAARNFYNNYALRLGFGIRVARSRSERRKGVEVLVMKRFVCLKEGHHKKKVTEYSGVKKKRKRLSIRDGCPAMMEVVRRGQEKWLVTKLILEHTHVVVSPDKAREIQLNRLSGKELEHENYLKDMRQKAFGEGDAQGLLEYFKRTQSSNSGFFYSMQVDSRNCMTNVFWADARARMAYGHFGDAVTFDTSYNKNENMPPFAAFTGVNHHGQPVVFGCALVIDNTESSYVWLFETWVTAMYGQDPISLTTDQSRAIGAAVAKVFPNTQHRLCNWRILSKCKKKLLDVCSRYPTFHEELKRCVNEPETERMFEMRWKSLIDKYDLRENTWLQSLHNIRQKWIPAYLKESFFAEMSTMERSESLNKFYRRHFNTKTTLQSFLGKFDQAVDSRHEKEIQDDYSMQNSQHVLKTDLPLEKHAAVTYTRAIFERFQIELLEALNYYIGKVQEGAISKYSVARSTDSHNYQVVTFYESQKKAVCSCHKFEFSGILCRHVLALLWASDVNFIPEQYILKRWTRKAKSSPSLDERAVEMRSYCQDSPLLRYNDLFRDAIKCAEKGAVSAETFKVAKDMLQKAFADLVSLQENIVKTGQHPSPNI >DRNTG_08450.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21368687:21371220:1 gene:DRNTG_08450 transcript:DRNTG_08450.10 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSSSEDDEPIDGDIDFGDEITQSDADQNAVGGAAPLHFFNHSESSIMPLESAIDNELLAVDGNVKDLVPFLGMEFESDVAARNFYNNYALRLGFGIRVARSRSERRKGVEVLVMKRFVCLKEGHHKKKVTEYSGVKKKRKRLSIRDGCPAMMEVVRRGQEKWLVTKLILEHTHVVVSPDKAREIQLNRLSGKELEHENYLKDMRQKAFGEGDAQGLLEYFKRTQSSNSGFFYSMQVDSRNCMTNVFWADARARMAYGHFGDAVTFDTSYNKNENMPPFAAFTGVNHHGQPVVFGCALVIDNTESSYVWLFETWVTAMYGQDPISLTTDQSRAIGAAVAKVFPNTQHRLCNWRILSKCKKKLLDVCSRYPTFHEELKRCVNEPETERMFEMRWKSLIDKYDLRENTWLQSLHNIRQKWIPAYLKESFFAEMSTMERSESLNKFYRRHFNTKTTLQSFLGKFDQAVDSRHEKEIQDDYSMQNSQHVLKTDLPLEKHAAVTYTRAIFERFQIELLEALNYYIGKVQEGAISKYSVARSTDSHNYQVVTFYESQKKAVCSCHKFEFSGILCRHVLALLWASDVNFIPEQYILKRWTRKAKSSPSLDERAVEMRSYCQDSPLLRYNDLFRDAIKCAEKGAVSAETFKVAKDMLQKAFADLVSLQENIVKTGQHPS >DRNTG_08450.16.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21373307:21375022:1 gene:DRNTG_08450 transcript:DRNTG_08450.16 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNDEMIENMHEEAEKVWVPELARVMRETKDPFINVIYDSNPLPQLFWDNVVLVGDAAHPTTPHGVRSTNMSILDAGILGHCLGKWGSKNIDSALREFQAIRLPVVSEQVLHARQMGRMKQGLVSFNPKEATLEECLLLLQRSMPYFEGVPFPI >DRNTG_08450.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21368687:21371330:1 gene:DRNTG_08450 transcript:DRNTG_08450.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSSSEDDEPIDGDIDFGDEITQSDADQNAVGGAAPLHFFNHSESSIMPLESAIDNELLAVDGNVKDLVPFLGMEFESDVAARNFYNNYALRLGFGIRVARSRSERRKGVEVLVMKRFVCLKEGHHKKKVTEYSGVKKKRKRLSIRDGCPAMMEVVRRGQEKWLVTKLILEHTHVVVSPDKAREIQLNRLSGKELEHENYLKDMRQKAFGEGDAQGLLEYFKRTQSSNSGFFYSMQVDSRNCMTNVFWADARARMAYGHFGDAVTFDTSYNKNENMPPFAAFTGVNHHGQPVVFGCALVIDNTESSYVWLFETWVTAMYGQDPISLTTDQSRAIGAAVAKVFPNTQHRLCNWRILSKCKKKLLDVCSRYPTFHEELKRCVNEPETERMFEMRWKSLIDKYDLRENTWLQSLHNIRQKWIPAYLKESFFAEMSTMERSESLNKFYRRHFNTKTTLQSFLGKFDQAVDSRHEKEIQDDYSMQNSQHVLKTDLPLEKHAAVTYTRAIFERFQIELLEALNYYIGKVQEGAISKYSVARSTDSHNYQVVTFYESQKKAVCSCHKFEFSGILCRHVLALLWASDVNFIPEQYILKRWTRKAKSSPSLDERAVEMRSYCQDSPLLRYNDLFRDAIKCAEKGAVSAETFKVAKDMLQKAFADLVSLQENIVKTGQHPSPNI >DRNTG_08450.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21373200:21375022:1 gene:DRNTG_08450 transcript:DRNTG_08450.13 gene_biotype:protein_coding transcript_biotype:protein_coding MAYELGEHGVQLLCFQWRASLNLQQVLVEDLFVNSEKRVDFDEIKIWDKNAIFGDEREMEMKKAIVVGGSIAGLSCAHALIAAGWTPVVIEKSAAPPDGSPTGAGLCLDPQALRLLNLWIKDSNLLHSSTLPLSIDLNQVTDSEKKISWDLAKDENFGFRAAHWADLHKIIYKALPANIILWGHQFISFEVSDDKAAVKVKARAFETGDMVEIVGDLLVAADGCLSSIRRHFFPDFKLRYSGYSAWRGVLDCSGQEHAEIISNLRKAYPEFGQCLYFDLADRTHCVLYELKNKRINWIWYINMPEPELKVMKHKTHHVNVYLHHSLCMHL >DRNTG_08450.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21368687:21371897:1 gene:DRNTG_08450 transcript:DRNTG_08450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSSSEDDEPIDGDIDFGDEITQSDADQNAVGGAAPLHFFNHSESSIMPLESAIDNELLAVDGNVKDLVPFLGMEFESDVAARNFYNNYALRLGFGIRVARSRSERRKGVEVLVMKRFVCLKEGHHKKKVTEYSGVKKKRKRLSIRDGCPAMMEVVRRGQEKWLVTKLILEHTHVVVSPDKAREIQLNRLSGKELEHENYLKDMRQKAFGEGDAQGLLEYFKRTQSSNSGFFYSMQVDSRNCMTNVFWADARARMAYGHFGDAVTFDTSYNKNENMPPFAAFTGVNHHGQPVVFGCALVIDNTESSYVWLFETWVTAMYGQDPISLTTDQSRAIGAAVAKVFPNTQHRLCNWRILSKCKKKLLDVCSRYPTFHEELKRCVNEPETERMFEMRWKSLIDKYDLRENTWLQSLHNIRQKWIPAYLKESFFAEMSTMERSESLNKFYRRHFNTKTTLQSFLGKFDQAVDSRHEKEIQDDYSMQNSQHVLKTDLPLEKHAAVTYTRAIFERFQIELLEALNYYIGKVQEGAISKYSVARSTDSHNYQVVTFYESQKKAVCSCHKFEFSGILCRHVLALLWASDVNFIPEQYILKRWTRKAKSSPSLDERAVEMRSYCQDSPLLRYNDLFRDAIKCAEKGAVSAETFKVAKDMLQKAFADLVSLQENIVKTGQHPSPNI >DRNTG_08450.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21373307:21375022:1 gene:DRNTG_08450 transcript:DRNTG_08450.15 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKAIVVGGSIAGLSCAHALIAAGWTPVVIEKSAAPPDGSPTGAGLCLDPQALRLLNLWIKDSNLLHSSTLPLSIDLNQVTDSEKKISWDLAKDENFGFRAAHWADLHKIIYKALPANIILWGHQFISFEVSDDKAAVKVKARAFETGDMVEIVGDLLVAADGCLSSIRRHFFPDFKLRYSGYSAWRGVLDCSGQEHAEIISNLRKAYPEFGQCLYFDLADRTHCVLYELKNKRINWIWYINMPEPELKGSSVTMKVNDEMIENMHEEAEKVWVPELARVMRETKDPFINVIYDSNPLPQLFWDNVVLVGDAAHPTTPHGVRSTNMSILDAGILGHCLGKWGSKNIDSALREFQAIRLPVVSEQVLHARQMGRMKQGLVSFNPKEATLEECLLLLQRSMPYFEGVPFPI >DRNTG_08450.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21368687:21371330:1 gene:DRNTG_08450 transcript:DRNTG_08450.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSSSEDDEPIDGDIDFGDEITQSDADQNAVGGAAPLHFFNHSESSIMPLESAIDNELLAVDGNVKDLVPFLGMEFESDVAARNFYNNYALRLGFGIRVARSRSERRKGVEVLVMKRFVCLKEGHHKKKVTEYSGVKKKRKRLSIRDGCPAMMEVVRRGQEKWLVTKLILEHTHVVVSPDKAREIQLNRLSGKELEHENYLKDMRQKAFGEGDAQGLLEYFKRTQSSNSGFFYSMQVDSRNCMTNVFWADARARMAYGHFGDAVTFDTSYNKNENMPPFAAFTGVNHHGQPVVFGCALVIDNTESSYVWLFETWVTAMYGQDPISLTTDQSRAIGAAVAKVFPNTQHRLCNWRILSKCKKKLLDVCSRYPTFHEELKRCVNEPETERMFEMRWKSLIDKYDLRENTWLQSLHNIRQKWIPAYLKESFFAEMSTMERSESLNKFYRRHFNTKTTLQSFLGKFDQAVDSRHEKEIQDDYSMQNSQHVLKTDLPLEKHAAVTYTRAIFERFQIELLEALNYYIGKVQEGAISKYSVARSTDSHNYQVVTFYESQKKAVCSCHKFEFSGILCRHVLALLWASDVNFIPEQYILKRWTRKAKSSPSLDERAVEMRSYCQDSPLLRYNDLFRDAIKCAEKGAVSAETFKVAKDMLQKAFADLVSLQENIVKTGQHPSPNI >DRNTG_08450.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21368687:21371897:1 gene:DRNTG_08450 transcript:DRNTG_08450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSSSEDDEPIDGDIDFGDEITQSDADQNAVGGAAPLHFFNHSESSIMPLESAIDNELLAVDGNVKDLVPFLGMEFESDVAARNFYNNYALRLGFGIRVARSRSERRKGVEVLVMKRFVCLKEGHHKKKVTEYSGVKKKRKRLSIRDGCPAMMEVVRRGQEKWLVTKLILEHTHVVVSPDKAREIQLNRLSGKELEHENYLKDMRQKAFGEGDAQGLLEYFKRTQSSNSGFFYSMQVDSRNCMTNVFWADARARMAYGHFGDAVTFDTSYNKNENMPPFAAFTGVNHHGQPVVFGCALVIDNTESSYVWLFETWVTAMYGQDPISLTTDQSRAIGAAVAKVFPNTQHRLCNWRILSKCKKKLLDVCSRYPTFHEELKRCVNEPETERMFEMRWKSLIDKYDLRENTWLQSLHNIRQKWIPAYLKESFFAEMSTMERSESLNKFYRRHFNTKTTLQSFLGKFDQAVDSRHEKEIQDDYSMQNSQHVLKTDLPLEKHAAVTYTRAIFERFQIELLEALNYYIGKVQEGAISKYSVARSTDSHNYQVVTFYESQKKAVCSCHKFEFSGILCRHVLALLWASDVNFIPEQYILKRWTRKAKSSPSLDERAVEMRSYCQDSPLLRYNDLFRDAIKCAEKGAVSAETFKVAKDMLQKAFADLVSLQENIVKTGQHPSPNI >DRNTG_08450.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21373200:21375164:1 gene:DRNTG_08450 transcript:DRNTG_08450.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAYELGEHGVQLLCFQWRASLNLQQVLVEDLFVNSEKRVDFDEIKIWDKNAIFGDEREMEMKKAIVVGGSIAGLSCAHALIAAGWTPVVIEKSAAPPDGSPTGAGLCLDPQALRLLNLWIKDSNLLHSSTLPLSIDLNQVTDSEKKISWDLAKDENFGFRAAHWADLHKIIYKALPANIILWGHQFISFEVSDDKAAVKVKARAFETGDMVEIVGDLLVAADGCLSSIRRHFFPDFKLRYSGYSAWRGVLDCSGQEHAEIISNLRKAYPEFGQCLYFDLADRTHCVLYELKNKRINWIWYINMPEPELKQGSSVTMKVNDEMIENMHEEAEKVWVPELARVMRETKDPFINVIYDSNPLPQLFWDNVVLVGDAAHPTTPHGVRSTNMSILDAGILGHCLGKWGSKNIDSALREFQAIRLPVVSEQVLHARQMGRMKQGLVSFNPKEATLEECLLLLQRSMPYFEGVPFPI >DRNTG_08450.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21373481:21375022:1 gene:DRNTG_08450 transcript:DRNTG_08450.17 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIVGDLLVAADGCLSSIRRHFFPDFKLRYSGYSAWRGVLDCSGQEHAEIISNLRKAYPEFGQCLYFDLADRTHCVLYELKNKRINWIWYINMPEPELKQGSSVTMKVNDEMIENMHEEAEKVWVPELARVMRETKDPFINVIYDSNPLPQLFWDNVVLVGDAAHPTTPHGVRSTNMSILDAGILGHCLGKWGSKNIDSALREFQAIRLPVVSEQVLHARQMGRMKQGLVSFNPKEATLEECLLLLQRSMPYFEGVPFPI >DRNTG_08450.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21368687:21371220:1 gene:DRNTG_08450 transcript:DRNTG_08450.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSSSEDDEPIDGDIDFGDEITQSDADQNAVGGAAPLHFFNHSESSIMPLESAIDNELLAVDGNVKDLVPFLGMEFESDVAARNFYNNYALRLGFGIRVARSRSERRKGVEVLVMKRFVCLKEGHHKKKVTEYSGVKKKRKRLSIRDGCPAMMEVVRRGQEKWLVTKLILEHTHVVVSPDKAREIQLNRLSGKELEHENYLKDMRQKAFGEGDAQGLLEYFKRTQSSNSGFFYSMQVDSRNCMTNVFWADARARMAYGHFGDAVTFDTSYNKNENMPPFAAFTGVNHHGQPVVFGCALVIDNTESSYVWLFETWVTAMYGQDPISLTTDQSRAIGAAVAKVFPNTQHRLCNWRILSKCKKKLLDVCSRYPTFHEELKRCVNEPETERMFEMRWKSLIDKYDLRENTWLQSLHNIRQKWIPAYLKESFFAEMSTMERSESLNKFYRRHFNTKTTLQSFLGKFDQAVDSRHEKEIQDDYSMQNSQHVLKTDLPLEKHAAVTYTRAIFERFQIELLEALNYYIGKVQEGAISKYSVARSTDSHNYQVVTFYESQKKAVCSCHKFEFSGILCRHVLALLWASDVNFIPEQYILKRWTRKAKSSPSLDERAVEMRSYCQDSPLLRYNDLFRDAIKCAEKGAVSAETFKVAKDMLQKAFADLVSLQENIVKTGQHPS >DRNTG_08450.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21368687:21375022:1 gene:DRNTG_08450 transcript:DRNTG_08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSSSEDDEPIDGDIDFGDEITQSDADQNAVGGAAPLHFFNHSESSIMPLESAIDNELLAVDGNVKDLVPFLGMEFESDVAARNFYNNYALRLGFGIRVARSRSERRKGVEVLVMKRFVCLKEGHHKKKVTEYSGVKKKRKRLSIRDGCPAMMEVVRRGQEKWLVTKLILEHTHVVVSPDKAREIQLNRLSGKELEHENYLKDMRQKAFGEGDAQGLLEYFKRTQSSNSGFFYSMQVDSRNCMTNVFWADARARMAYGHFGDAVTFDTSYNKNENMPPFAAFTGVNHHGQPVVFGCALVIDNTESSYVWLFETWVTAMYGQDPISLTTDQSRAIGAAVAKVFPNTQHRLCNWRILSKCKKKLLDVCSRYPTFHEELKRCVNEPETERMFEMRWKSLIDKYDLRENTWLQSLHNIRQKWIPAYLKESFFAEMSTMERSESLNKFYRRHFNTKTTLQSFLGKFDQAVDSRHEKEIQDDYSMQNSQHVLKTDLPLEKHAAVTYTRAIFERFQIELLEALNYYIGKVQEGAISKYSVARSTDSHNYQVVTFYESQKKAVCSCHKFEFSGILCRHVLALLWASDVNFIPEQYILKRWTRKAKSSPSLDERAVEMRSYCQDSPLLRYNDLFRDAIKCAEKGAVSAETFKVAKDMLQKAFADLVSLQENIVKTGQHPSPNI >DRNTG_08450.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21373307:21375164:1 gene:DRNTG_08450 transcript:DRNTG_08450.12 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKAIVVGGSIAGLSCAHALIAAGWTPVVIEKSAAPPDGSPTGAGLCLDPQALRLLNLWIKDSNLLHSSTLPLSIDLNQVTDSEKKISWDLAKDENFGFRAAHWADLHKIIYKALPANIILWGHQFISFEVSDDKAAVKVKARAFETGDMVEIVGDLLVAADGCLSSIRRHFFPDFKLRYSGYSAWRGVLDCSGQEHAEIISNLRKAYPEFGQCLYFDLADRTHCVLYELKNKRINWIWYINMPEPELKQGSSVTMKVNDEMIENMHEEAEKVWVPELARVMRETKDPFINVIYDSNPLPQLFWDNVVLVGDAAHPTTPHGVRSTNMSILDAGILGHCLGKWGSKNIDSALREFQAIRLPVVSEQVLHARQMGRMKQGLVSFNPKEATLEECLLLLQRSMPYFEGVPFPI >DRNTG_08450.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21368687:21371393:1 gene:DRNTG_08450 transcript:DRNTG_08450.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSSSEDDEPIDGDIDFGDEITQSDADQNAVGGAAPLHFFNHSESSIMPLESAIDNELLAVDGNVKDLVPFLGMEFESDVAARNFYNNYALRLGFGIRVARSRSERRKGVEVLVMKRFVCLKEGHHKKKVTEYSGVKKKRKRLSIRDGCPAMMEVVRRGQEKWLVTKLILEHTHVVVSPDKAREIQLNRLSGKELEHENYLKDMRQKAFGEGDAQGLLEYFKRTQSSNSGFFYSMQVDSRNCMTNVFWADARARMAYGHFGDAVTFDTSYNKNENMPPFAAFTGVNHHGQPVVFGCALVIDNTESSYVWLFETWVTAMYGQDPISLTTDQSRAIGAAVAKVFPNTQHRLCNWRILSKCKKKLLDVCSRYPTFHEELKRCVNEPETERMFEMRWKSLIDKYDLRENTWLQSLHNIRQKWIPAYLKESFFAEMSTMERSESLNKFYRRHFNTKTTLQSFLGKFDQAVDSRHEKEIQDDYSMQNSQHVLKTDLPLEKHAAVTYTRAIFERFQIELLEALNYYIGKVQEGAISKYSVARSTDSHNYQVVTFYESQKKAVCSCHKFEFSGILCRHVLALLWASDVNFIPEQYILKRWTRKAKSSPSLDERAVEMRSYCQDSPLLRYNDLFRDAIKCAEKGAVSAETFKVAKDMLQKAFADLVSLQENIVKTGQHPSPNI >DRNTG_08450.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21373307:21375022:1 gene:DRNTG_08450 transcript:DRNTG_08450.14 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKAIVVGGSIAGLSCAHALIAAGWTPVVIEKSAAPPDGSPTGAGLCLDPQALRLLNLWIKDSNLLHSSTLPLSIDLNQVTDSEKKISWDLAKDENFGFRAAHWADLHKIIYKALPANIILWGHQFISFEVSDDKAAVKVKARAFETGDMVEIVGDLLVAADGCLSSIRRHFFPDFKLRYSGYSAWRGVLDCSGQEHAEIISNLRKAYPEFGQCLYFDLADRTHCVLYELKNKRINWIWYINMPEPELKQGSSVTMKVNDEMIENMHEEAEKVWVPELARVMRETKDPFINVIYDSNPLPQLFWDNVVLVGDAAHPTTPHGVRSTNMSILDAGILGHCLGKWGSKNIDSALREFQAIRLPVVSEQVLHARQMGRMKQGLVSFNPKEATLEECLLLLQRSMPYFEGVPFPI >DRNTG_12872.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22897714:22900392:-1 gene:DRNTG_12872 transcript:DRNTG_12872.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPPPPPSKRQKKSHGDEDGKKSSPLIVFAHGAGAPSTSEWMVRWKEMLGEALGAVEVVTFDYPYIAGGKRRAPPKAEKLVDHHHNVIKDAVKKHPGHPLILVGKSMGSRVSCMVATAEELNVAAVICLGYPLKGLKGAVRDETLLQLRVPVMFVQGNKDGLCPLNMLATVLKKMKSVNELYVIDGGDHSFKIGKKILQSTGRSQAEAETEAVHAIANFVMKSIEETT >DRNTG_02693.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19706273:19708671:-1 gene:DRNTG_02693 transcript:DRNTG_02693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPVHKKLPSLPAIGPIGDNNMRHG >DRNTG_04745.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:49004:49502:-1 gene:DRNTG_04745 transcript:DRNTG_04745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNREIEGRRGKEVVCKSRKERGLALQEKEGRSVQQERGVKEGDGSAGGRKNLGV >DRNTG_22058.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28572341:28574859:1 gene:DRNTG_22058 transcript:DRNTG_22058.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENLFQGLPPPSSAPSVEVEKPLPSTKPAASPAPALPPVPALKSALKRNKPLESQQEAEAPQKRLRFKTSVDASEKQVIEAMQKIASHIKNPSKFSKAAKLAVQLVQAGSVKLGTSNHFFAILEAAMSSSSAPTDPSVRADYHALFSAAQDVTESFTKQQNDQLTTWIMRAVMANDFYTDDSFIQAKLKKPYLVFRWQLKMMTMRKQQLSQKLRKKQRLKQITIQRRVTNHLLLMNQTKNLLILLVWMLYFQAHRGKMKNPEKRQWHF >DRNTG_22058.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28572341:28574859:1 gene:DRNTG_22058 transcript:DRNTG_22058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFTLMTALFFLKQQAKLKKPYLVFRWQLKMMTMRKQQLSQKLRKKQRLKQITIQRRVTNHLLLMNQTKNLLILLVWMLYFQAHRGKMKNPEKRQWHF >DRNTG_05687.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:172872:177844:-1 gene:DRNTG_05687 transcript:DRNTG_05687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRVWIHCAIVALAVAACVLPALAEESGSEEPSSVLTLDVANFSETIAKHPFIVVEFYAPWCGHCKRLAPEYEKAATILSKHDPPIVLAKVDANEEINKELATKYEVKGFPTLKILRNAGTNIQDYKGPRDADGIVEHLKKQVGPASAEIKSSEDASNLIGVKKVFVVGVFPEYSGEEYMNFMAVAEKLRSDYDFGHTSDAKFLPRGHLNIYKPTVRLFKPFDELFVDTQDFDIDAFQTFIESSSVPIVTTFNKDPNNHQYITKFFNNAHAKAMLFLNFSIENIDSFKSKYQEIAEHYKGKGISFLVGDLDASQGALQYFGLEAEQTPLIVIQDINGVKFVKVHLEPDQIATWLKEYKDGNLTPFRKSEPIPEVNDEPVKIVVADNLKDVVFDSGKNVLLEFYAPWCGHCKKLAPILDEVAVSFENDANVIIAKMDATANDVPNEFEVRGYPTVFFSSASNKIVQYDGDRTAGDIINFIQKNRDTDKDTPVQSNTGKDEL >DRNTG_21269.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:238209:241112:-1 gene:DRNTG_21269 transcript:DRNTG_21269.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYSSRRSDPSPSSSKARHGSSDLAVVAKTNNSAHLGTMIKKLIDSKKSNSKPGYSDRMALPIPLDPIAGDLKKAGGSKGSNFTALHRKLFQKGGSADRKALTEVKANARTLAMVLRSERELMDRNQEFEAEVSELRSLLEEKDREVEKLRDLCLKQRKEIKVLKDAILFPEEMNSQLQMMLDEQGSELKHAKKLIPSLQKQVSSLTGQLQCLAEDLAEFKADKYAVSSCFDGRSSSPRTPILFKEAANSLEYSSGEPLSTQHDPMDDFLFEDLNPCLTPSISRTKSKF >DRNTG_32384.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28055987:28059680:-1 gene:DRNTG_32384 transcript:DRNTG_32384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLCEKLGDPVVPITSPASPITLAKTHPIPSLTSPTSVRVRVHATSLNYANYLQILGKYQEKPPLPFIPGSDYSGVVEAVGEAVSRFKVGDRVCSVAGLGSFAEFIVDDEKDLQSCSRKVVEQGPHEILLSREGRYAELWINRPTNDGINTISVV >DRNTG_32384.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28059019:28059680:-1 gene:DRNTG_32384 transcript:DRNTG_32384.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLCEKLGDPVVPITSPASPITLAKTHPIPSLTSPTSVRVRVHATSLNYANYLQILGKYQEKPPLPFIPGSDYSGVVEAVGEAVSRFKVGDRVCSVAGLGSFAEFIVDDEKDL >DRNTG_11055.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20035146:20036282:-1 gene:DRNTG_11055 transcript:DRNTG_11055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVDADPHRSPSRVSPMEPSPSSSLDFTDFLTRMRHPASADLFRFTNRFIVSFSLCRTNAENGSSKIQEFLAKIETIIREHPLWVHASDAEIENALEEIYLLMGIYWFSSYIPSCYVTRNNDKKWFVIIKMPPAYFLFNPQVLKIGII >DRNTG_23569.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18248598:18248871:-1 gene:DRNTG_23569 transcript:DRNTG_23569.2 gene_biotype:protein_coding transcript_biotype:protein_coding EKTGRKQQEPDEGKPSVSKSLPEPTRIQV >DRNTG_23569.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18247843:18248871:-1 gene:DRNTG_23569 transcript:DRNTG_23569.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSLKNPSNSSSYSTQNKDTNHLNSSQIKKGDRKEDTQKVQQRQQDKEQQDKDELN >DRNTG_12423.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15990554:15991733:-1 gene:DRNTG_12423 transcript:DRNTG_12423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPRIIVIASSLRKEVHDPWAFYLHAALLRQAFADCGKLSTAASHRSLGRVTVPVWLIILSDQLLIIALLLFPSQGQVLTPYSPVPPLETPLPSQLACVKHAAIVHPEPGSNFL >DRNTG_08715.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4922751:4927461:-1 gene:DRNTG_08715 transcript:DRNTG_08715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVVLAINCTNAAKVHSERYLSIKGPQIHFRRICMLLSMIWHIVAALVASVSSVVYIILQVFHRSLAYGSQRFFFRFLKEILRHTFQNIHIRSCQLLYWPVFLQTYGFSSSLNMEYSHKASLKKHFIWSNVVVDLLLGNIIGLILFANAKALSFKIMLINRNVTDNLLRSGFAWLMGVPAGFKLNTELAELLGMVSLNAIQAFSTFWFIASSFLKYFINGLSLFGIVMGLTVQAALCIDMLKLATLHISMLHQLISVIYMQQIKAVASLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLVFTPLLLLLPTTSVFYIFIAMLSTSISFICIIMEIAISILHETPYFEILLWIMRRRRFPSGLWFQIPALSSAHGSISGGLQHEGHSTFDLSMLMSFDTSGEPGYAVSIVQSNFATLGQVISPYYRNVLQGFSLLFGKSSLHGILMGQRIPLTLGARFHPAFPWMHIGCGEYWKLCYSSVLACRSE >DRNTG_08715.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4922579:4924411:-1 gene:DRNTG_08715 transcript:DRNTG_08715.6 gene_biotype:protein_coding transcript_biotype:protein_coding RGRKWNPLRQRLDSYDYTVEQHVVGSLVFTPLLLLLPTTSVFYIFIAMLSTSISFICIIMEIAISILHETPYFEILLWIMRRRRFPSGLWFQIPALSSAHGSISGGLQHEGHSTFDLSMLMSFDTSGEPGYAVSIVQSNFATLGQVISPYYRNVLQGFSLLFGKSSLHGILMGQRIPLTLGARFHPAFPWMHIGCGEYWKLCYSSVLACRSE >DRNTG_08715.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4922751:4927461:-1 gene:DRNTG_08715 transcript:DRNTG_08715.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGRDSGMTERKQCRLWWPEWLLSSEPSFGFLLFGWFMNAGEDLVVAAAVSLSEISPCLQQSEVQELLRYVNRRMPLPLQESSTFTLLGQCIASCSEGDLKMERQNLDDLSQRNDGQEHCNEPEQSSPSGKAVRSSLGTAFAENYRKSKCGCKKLDQGLELFRQSSTRCGTWIQLIPQTQRIMFKENRWAPKFHHMHISGQWLPICDVHLIAYKPPLFGSHHFSLNSWGFEKEVRAPFNRPNWVARLYKKSLLPDMDSVVLAINCTNAAKVHSERYLSIKGPQIHFRRICMLLSMIWHIVAALVASVSSVVYIILQVFHRSLAYGSQRFFFRFLKEILRHTFQNIHIRSCQLLYWPVFLQTYGFSSSLNMEYSHKASLKKHFIWSNVVVDLLLGNIIGLILFANAKALSFKIMLINRNVTDNLLRSGFAWLMGVPAGFKLNTELAELLGMVSLNAIQAFSTFWFIASSFLKYFINGLSLFGIVMGLTVQAALCIDMLKLATLHISMLHQLISVIYMQQIKAVASLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLVFTPLLLLLPTTSVFYIFIAMLSTSISFICIIMEIAISILHETPYFEILLWIMRRRRFPSGLWFQIPALSSAHGSISGGLQHEGHSTFDLSMLMSFDTSGEPGYAVSIVQSNFATLGQVISPYYRNVLQGFSLLFGKSSLHGILMGQRIPLTLGARFHPAFPWMHIGCGEYWKLCYSSVLACRSE >DRNTG_08715.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4922751:4927221:-1 gene:DRNTG_08715 transcript:DRNTG_08715.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVVLAINCTNAAKVHSERYLSIKGPQIHFRRICMLLSMIWHIVAALVASVSSVVYIILQVFHRSLAYGSQRFFFRFLKEILRHTFQNIHIRSCQLLYWPVFLQTYGFSSSLNMEYSHKASLKKHFIWSNVVVDLLLGNIIGLILFANAKALSFKIMLINRNVTDNLLRSGFAWLMGVPAGFKLNTELAELLGMVSLNAIQAFSTFWFIASSFLKYFINGLSLFGIVMGLTVQAALCIDMLKLATLHISMLHQLISVIYMQQIKAVASLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLVFTPLLLLLPTTSVFYIFIAMLSTSISFICIIMEIAISILHETPYFEILLWIMRRRRFPSGLWFQIPALSSAHGSISGGLQHEGHSTFDLSMLMSFDTSGEPGYAVSIVQSNFATLGQVISPYYRNVLQGFSLLFGKSSLHGILMGQRIPLTLGARFHPAFPWMHIGCGEYWKLCYSSVLACRSE >DRNTG_08715.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4922751:4927461:-1 gene:DRNTG_08715 transcript:DRNTG_08715.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVVLAINCTNAAKVHSERYLSIKGPQIHFRRICMLLSMIWHIVAALVASVSSVVYIILQVFHRSLAYGSQRFFFRFLKEILRHTFQNIHIRSCQLLYWPVFLQTYGFSSSLNMEYSHKASLKKHFIWSNVVVDLLLGNIIGLILFANAKALSFKIMLINRNVTDNLLRSGFAWLMGVPAGFKLNTELAELLGMVSLNAIQAFSTFWFIASSFLKYFINGLSLFGIVMGLTVQAALCIDMLKLATLHISMLHQLISVIYMQQIKAVASLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLVFTPLLLLLPTTSVFYIFIAMLSTSISFICIIMEIAISILHETPYFEILLWIMRRRRFPSGLWFQIPALSSAHGSISGGLQHEGHSTFDLSMLMSFDTSGEPGYAVSIVQSNFATLGQVISPYYRNVLQGFSLLFGKSSLHGILMGQRIPLTLGARFHPAFPWMHIGCGEYWKLCYSSVLACRSE >DRNTG_08715.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4922751:4926527:-1 gene:DRNTG_08715 transcript:DRNTG_08715.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVVLAINCTNAAKVHSERYLSIKGPQIHFRRICMLLSMIWHIVAALVASVSSVVYIILQVFHRSLAYGSQRFFFRFLKEILRHTFQNIHIRSCQLLYWPVFLQTYGFSSSLNMEYSHKASLKKHFIWSNVVVDLLLGNIIGLILFANAKALSFKIMLINRNVTDNLLRSGFAWLMGVPAGFKLNTELAELLGMVSLNAIQAFSTFWFIASSFLKYFINGLSLFGIVMGLTVQAALCIDMLKLATLHISMLHQLISVIYMQQIKAVASLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLVFTPLLLLLPTTSVFYIFIAMLSTSISFICIIMEIAISILHETPYFEILLWIMRRRRFPSGLWFQIPALSSAHGSISGGLQHEGHSTFDLSMLMSFDTSGEPGYAVSIVQSNFATLGQVISPYYRNVLQGFSLLFGKSSLHGILMGQRIPLTLGARFHPAFPWMHIGCGEYWKLCYSSVLACRSE >DRNTG_32924.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1257533:1257903:-1 gene:DRNTG_32924 transcript:DRNTG_32924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKIVHKPPCFSTAPRPPPQDRTLARSLPVPAGRIATGGGDS >DRNTG_04258.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9557624:9574660:-1 gene:DRNTG_04258 transcript:DRNTG_04258.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIIEACEWLSRAINVLEGQNLALQYTNMRAVKEKRAELKILKSTFVRRASEFLRNYFASLVDLMLSDKNNFSQRGQLKRPDHADLRYNYRSYARLLQHLKGLDKSCLGPLRKAYCHSLNLLLRREAREYANELRSNTKPTRSSTFSLEAPVGSNLTANSTDTSSVSEVYSKMLTVFIPLLVDE >DRNTG_04258.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9584294:9584613:-1 gene:DRNTG_04258 transcript:DRNTG_04258.10 gene_biotype:protein_coding transcript_biotype:protein_coding QESASTLHFKSFQGDHGSSPQGCWCRCCGDGYLGK >DRNTG_04258.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9545377:9549665:-1 gene:DRNTG_04258 transcript:DRNTG_04258.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYIQGQSRDLVDQAYTKIVSIMFVTMEKIAQADPKYADIVLFENYAAFQNSLYDLANVVPILAKFYHQASELYEQACTRHINMVIYIHFEKLFLFARRIEECLQSSPAEEIPFIVGLSKVDLRKTLKSTFSGLDKSANAMYKKLQKNVTSEELMPSLWEKCKKEFLDKYDTFIQLVAKVYPKETIPSVVEIRDLLTPM >DRNTG_04258.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9575024:9582666:-1 gene:DRNTG_04258 transcript:DRNTG_04258.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQMKTENGPDDSGMAESDSTVTVEKDLVSQAEEEDMEALLGTYLMGIGEAEAFSERLKREFLALEAANVHALLESEPLIEEVLYGLEDATLCVDDIDEWLGIFNVKLRHMREDIESVGIDMPY >DRNTG_04258.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9545377:9550794:-1 gene:DRNTG_04258 transcript:DRNTG_04258.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTTERYLSGQKADTAGFVRLLLGELESRISVQFRRYVDEACHQIEKNERNVRQMGVLPFIPRFATLATRMEQYIQGQSRDLVDQAYTKIVSIMFVTMEKIAQADPKYADIVLFENYAAFQNSLYDLANVVPILAKFYHQASELYEQACTRHINMVIYIHFEKLFLFARRIEECLQSSPAEEIPFIVGLSKVDLRKTLKSTFSGLDKSANAMYKKLQKNVTSEELMPSLWEKCKKEFLDKYDTFIQLVAKVYPKETIPSVVEIRDLLTPM >DRNTG_04258.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9545377:9548872:-1 gene:DRNTG_04258 transcript:DRNTG_04258.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVIYIHFEKLFLFARRIEECLQSSPAEEIPFIVGLSKVDLRKTLKSTFSGLDKSANAMYKKLQKNVTSEELMPSLWEKCKKEFLDKYDTFIQLVAKVYPKETIPSVVEIRDLLTPM >DRNTG_04258.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9545377:9548027:-1 gene:DRNTG_04258 transcript:DRNTG_04258.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVIYIHFEKLFLFARRIEECLQSSPAEEIPFIVGLSKVDLRKTLKSTFSGLDKSANAMYKKLQKNVTSEELMPSLWEKCKKEFLDKYDTFIQLVAKVYPKETIPSVVEIRDLLTPM >DRNTG_04258.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9545377:9587423:-1 gene:DRNTG_04258 transcript:DRNTG_04258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSADDMELRRACQAAVDGSSAGGKHKVVLSIRVAKSRGVWGKSAKLGRQMAKPRVLAITTKSKGQRTKALLRVLKYSTGGVLEPAKLYKLKHLSKVEVIQNDPSGCTFTLGFDNLRSQSVAPPQWTMRNKDDRNRLLLCILNLFKEIMGRLPKVVGVDVVEMAIWAKENTPAMSKQMKTENGPDDSGMAESDSTVTVEKDLVSQAEEEDMEALLGTYLMGIGEAEAFSERLKREFLALEAANVHALLESEPLIEEIESRNNTLELQTANNKLLTKELDRLLECLHIPNEIAECLTDGSFGEAHMVKIIEACEWLSRAINVLEGQNLALQYTNMRAVKEKRAELKILKSTFVRRASEFLRNYFASLVDLMLSDKNNFSQRGQLKRPDHADLRYNYRSYARLLQHLKGLDKSCLGPLRKAYCHSLNLLLRREAREYANELRSNTKPTRSSTFSLEAPVGSNLTANSTDTSSVSEVYSKMLTVFIPLLVDEVTHWMIQWHLMKPYKSCLMEFRKISMR >DRNTG_04258.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9574519:9574890:-1 gene:DRNTG_04258 transcript:DRNTG_04258.9 gene_biotype:protein_coding transcript_biotype:protein_coding IESRNNTLELQTANNKLLTKELDRLLECLHIPNEIAECLTDGSFGEAHMVKIIEACEWLSRAINVLEGQNLALQYTNMRA >DRNTG_04258.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9545377:9546138:-1 gene:DRNTG_04258 transcript:DRNTG_04258.8 gene_biotype:protein_coding transcript_biotype:protein_coding IPFIVGLSKVDLRKTLKSTFSGLDKSANAMYKKLQKNVTSEELMPSLWEKCKKEFLDKYDTFIQLVAKVYPKETIPSVVEIRDLLTPM >DRNTG_15668.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28862249:28866247:-1 gene:DRNTG_15668 transcript:DRNTG_15668.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAADKGKRSKVEDGVESSDPVDGELVLAIEKLQEVQDELEKISEEASDKVLEVEQKYSEIRKPIYSKRNEIIQSIPDFWLTAFLSHPALSDLLNKEDEKILKHLVSLDVDHSDVKAGYSITFNFSPNPYFEDTKLTKSFSYSDEGTTSVTGTTIKWKEGMNIANGVAHEKKGKKRPIAEQSFFSWFGETQDKSPSSMIFDEVAEIIKEDLWPNPLKYFNNEADEDDFEEDEEDDEDDDEEDEEKGSDEDEDDEGGSG >DRNTG_15668.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28862249:28866247:-1 gene:DRNTG_15668 transcript:DRNTG_15668.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAADKGKRSKVEDGVESSDPVDGELVLAIEKLQEVQDELEKISEEASDKVLEVEQKYSEIRKPIYSKRNEIIQSIPDFWLTAFLSHPALSDLLNKEDEKILKHLVSLDVDHSDVKAGYSITFNFSPNPYFEDTKLTKSFSYSDEGTTSVTGTTIKWKEGMNIANGVAHEKKGKKRPIAEQRLVSILDFFSWFGETQDKSPSSMIFDEVAEIIKEDLWPNPLKYFNNEADEDDFEEDEEDDEDDDEEDEEKGSDEDEDDEGGSG >DRNTG_15668.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28862249:28866247:-1 gene:DRNTG_15668 transcript:DRNTG_15668.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAADKGKRSKVEDGVESSDPVDGELVLAIEKLQEVQDELEKISEEASDKVLEVEQKYSEIRKPIYSKRNEIIQSIPDFWLTAFLSHPALSDLLNKEDEKILKHLVSLDVDHSDVKAGYSITFNFSPNPYFEDTKLTKSFSYSDEGTTSVTGTTIKWKEGMNIANGVAHEKKGKKRPIAEQRLVSILEYEDFLDFCGCKFIFYSAGQRLLNFNGGLCRW >DRNTG_29789.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27416263:27417817:1 gene:DRNTG_29789 transcript:DRNTG_29789.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTRDAEAELNLPPGFRFHPTDEELVVHYLCLKSSGQRLPVPIIAEVDLYKFDPWDLPEWFSAE >DRNTG_26246.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1830602:1832033:-1 gene:DRNTG_26246 transcript:DRNTG_26246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDAIGLKKGPWTPEEDKKLMEYIKNNSHGSWRNLPKNAGLNRCGKSCRLRWTNYLRPDIKRGKFTEEEERLIIHLHSILGNKWSSIASQLPGRTDNEIKNYWNTNIKKKLMVMGIDPVTHRRRTDLELLSGLNFSNLNTVMNPLDINALRVLQADAAHLAKLQLVQSLMMSLLGTSAMPSLYNINPSSSGINVNNLQGHDQNDSLFINSFVTPTTTVNSTPSLVTATSPEITINGDQVQEPITNSIDHMSTNSSASTPFESWDGLNYLNDLDTDFSWKDILDQISWSSEL >DRNTG_26246.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1830602:1831903:-1 gene:DRNTG_26246 transcript:DRNTG_26246.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDAIGLKKGPWTPEEDKKLMEYIKNNSHGSWRNLPKNAGLNRCGKSCRLRWTNYLRPDIKRGKFTEEEERLIIHLHSILGNKWSSIASQLPGRTDNEIKNYWNTNIKKKLMVMGIDPVTHRRRTDLELLSGLNFSNLNTVMNPLDINALRVLQADAAHLAKLQLVQSLMMSLLGTSAMPSLYNINPSSSGINVNNLQGHDQNDSLFINSFVTPTTTVNSTPSLVTATSPEITINGDQVQEPITNSIDHMSTNSSASTPFESWDGLNYLNDLDTDFSWKDILDQISWSSEL >DRNTG_34838.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28980088:28985234:1 gene:DRNTG_34838 transcript:DRNTG_34838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFGSSGRKDVEAEREGRMVTSPGFSFSAAGLLFPYHLGVAQLLLEKGYIKETTPLSGSSAGAIVCAVIASGSSMQEALRATKILADDCRLNGTAFRLGAVLRDVLNNFLPDDAHTRSNGRVRVAITQLFWRPKGLLVDQFDSKEDLINAVFTSSFIPGYLAPRPATIFRNRLCIDGGLTLFMPPTSASETVRVCAFPVNQLGLKGIGISPDCNPENRAGPRQLFNWALEPADDYILDKLFELGYLDADVWASQNPVESIIKDEDDSNGSVSQIIS >DRNTG_24848.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29398113:29410062:1 gene:DRNTG_24848 transcript:DRNTG_24848.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Spt20 family (InterPro:IPR021950); Ha. [Source:Projected from Arabidopsis thaliana (AT1G72390) TAIR;Acc:AT1G72390] MKVSFKVSKTGTRFRPRLRAFGEDDPAPSEGSTENSHALNGTGSKCEVNISEGANHVAGLSISSSRHKELISAEHEVSFTLNLFQKGYFIGKPSETENPQPMLQDMKSLHPYDRASESLFSAIESGWLPGDILDDIPSKYSRGTVVCEVHDYRKCTSEQGPAGSALDSSPTVNKVLLRMSLENIVKDMPLISDDTWTYSDLMEVESRILKALQPKLSLDPTPMLDRLCDDQSSTKLDLGIGRKRRVRQAPEVTVTSNNQSHGKKVCIDRLSENMGYNPDIGTIQGDGRLQRVHENTIAQHLPVGISSMRPNTFAQEMGRPALPLSSQSKFQPTINHPGVLQDHGLPSNLAGVSTNVTSPLSPYSDSLHGKRDIQDAQLTPMSGTKRSKQNPVGVDGMQQQPTGPQFVGLNGVDMQWKLQQQLDVKGVQYSSTLSSQRYPSHVMSNISNQETGTSFYFNQQGMRYAPKEEQTEMKLDRQELEKSKDARQALATEGNILDQQQLRPQHPPQQQPLRNHLPTTTQWHNSRTVVDKDPRKDDTLQKRKPMNSPRVSSGPMVQSPVSSKSGEISSGSVGGQFSAVATSALGSQKDKLAATSNAALGAPSVTSSPNDNVQRQHQTSVGAKRKANSVPKTQTMSGVGSPASVSNINAPLNANSPSVGNASMGDQVILEHFLKVDMLTQRYQLNVKKNKVDDYHLRKPVLYSSQQLSAYLSDTFIAEDFIDPIQPLSKSVISSSANTYKTRVLNFLRTDHGYQAYSAKSSHQLTLHERSGDDTVALQYGDTNDTGLSNSCSLSLPTNIYADLLAAQFSSLMEKEGYQKIEDQTQRSRLPVGVTSGSTTAMMGISMDNAAAHEIKHSEMVPGQPPNFAAIANNNGMGPLSSSQNISNGVRLLSAAGNNSQPLSISPGYLPGSPMIQRPPQIDQPLLQQQQQQLLLQQNQQSQMQQQQQLSMSSMQRPTGLLSTNPLSQMVGQNSNLHIGTSHIVNNKSHLPIQMLQQQSQLAPKMMMGLNAINRGNIGNNMVGLGGLGNVMGMPGVRGISSPMGIPGLNNNMSPNQMNIGTASTFGAGRPSSLAIAHANKFRMAAQKGIYNPQSGLVGLPGNSNQMLPNTSGFSMLGHTFNRGNMSTLQRNTMASMGPPKIPGQNFYINSLQQQQQQQQLQQQQQLQQQQQQQQQQQQVQQQQQISSPLQQAQVGSPQVVGSPPAMVMQQQQQQPQQQISQQQIGQQTVMSPQQLSSGALQQITNNAGPVPGPGPASPQLSSQTHGSVGSITSSPMEQLQGANKGCSTGNV >DRNTG_01783.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10678528:10681032:1 gene:DRNTG_01783 transcript:DRNTG_01783.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLVFRPYTQVRRTICTSVSLRASTRVSSGFAPLRHSSPSFGSRQACSNSNPSQKIGVGRRCTPRGGSRPSASLRLPGFRARRLARMSDSLVRVSRRVGWGARGPVPGARPCRGWARRGAGARPVHGRAGGVSSGGVIRPGFGRRRGPHRSAARAERRTGSSPLTIRPGRIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSL >DRNTG_01783.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10678528:10681032:1 gene:DRNTG_01783 transcript:DRNTG_01783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLVFRPYTQVRRTICTSVSLRASTRVSSGFAPLRHSSPSFGSRQACSNSNPSQKIGVGRRCTPRGGSRPSASLRLPGFRARRLARMSDSLVRVSRRVGWGARGPVPGARPCRGWARRGAGARPVHGRAGGVSSGGVIRPGFGRRRGPHRSAARAERRTGSSPLTIRPGRIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSL >DRNTG_01783.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10678528:10681032:1 gene:DRNTG_01783 transcript:DRNTG_01783.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLVFRPYTQVRRTICTSVSLRASTRVSSGFAPLRHSSPSFGSRQACSNSNPSQKIGVGRRCTPRGGSRPSASLRLPGFRARRLARMSDSLVRVSRRVGWGARGPVPGARPCRGWARRGAGARPVHGRAGGVSSGGVIRPGFGRRRGPHRSAARAERRTGSSPLTIRPGRIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSL >DRNTG_01783.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10678528:10681032:1 gene:DRNTG_01783 transcript:DRNTG_01783.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLVFRPYTQVRRTICTSVSLRASTRVSSGFAPLRHSSPSFGSRQACSNSNPSQKIGVGRRCTPRGGSRPSASLRLPGFRARRLARMSDSLVRVSRRVGWGARGPVPGARPCRGWARRGAGARPVHGRAGGVSSGGVIRPGFGRRRGPHRSAARAERRTGSSPLTIRPGRIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSL >DRNTG_01783.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10678528:10681032:1 gene:DRNTG_01783 transcript:DRNTG_01783.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLVFRPYTQVRRTICTSVSLRASTRVSSGFAPLRHSSPSFGSRQACSNSNPSQKIGVGRRCTPRGGSRPSASLRLPGFRARRLARMSDSLVRVSRRVGWGARGPVPGARPCRGWARRGAGARPVHGRAGGVSSGGVIRPGFGRRRGPHRSAARAERRTGSSPLTIRPGRIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSL >DRNTG_12302.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29688416:29696711:-1 gene:DRNTG_12302 transcript:DRNTG_12302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPRAMRALMSASQLPMRRSFMIIINRGLIADDDKTYINPFESPNPFYTFNRNASQNDKMPMTIQTYLPYLPECTTRPANPFDDKTKTVIVFLHISYKCKKWLRPLLELPIDSWYHGERASNTNKYLEDLIKLRDYLTKREDIDLTKIGITSESLRGMHAWLAAAVDTCYAVVVPIIGVQTNGGPRLGMVVAEQVDYAKLAHLDQDSHIVD >DRNTG_06328.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20088228:20089646:-1 gene:DRNTG_06328 transcript:DRNTG_06328.4 gene_biotype:protein_coding transcript_biotype:protein_coding GKDKTVVLWSIQDHITASGESSSTKSAGTPSGGSSGKQPAITGNESSSESPRVGPRGIYQGHTDTVEDVQFCPSSAQEFCSVGDDSCLILWDARSGTTPAIKVEKAHDADLHCVDWNPHDVNLILTGSADNSVRLFDCRRLTSNGIGSPIHKFEGHSAAVLCVQWFPDKASVFGSSAEDGLLNVWDLEK >DRNTG_06328.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20086932:20089997:-1 gene:DRNTG_06328 transcript:DRNTG_06328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSEPLVLSGGKDKTVVLWSIQDHITASGESSSTKSAGTPSGGSSGKQPAITGNESSSESPRVGPRGIYQGHTDTVEDVQFCPSSAQEFCSVGDDSCLILWDARSGTTPAIKVEKAHDADLHCVDWNPHDVNLILTGSADNSVRLFDCRRLTSNGIGSPIHKFEGHSAAVLCVQWFPDKASVFGSSAEDGLLNVWDLEKVDHLGAVTRAPSPPGLFFQHAGHRDKVVDFHWRCC >DRNTG_06328.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20087866:20089997:-1 gene:DRNTG_06328 transcript:DRNTG_06328.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSEPLVLSGGKDKTVVLWSIQDHITASGESSSTKSAGTPSGGSSGKQPAITGNESSSESPRVGPRGIYQGHTDTVEDVQFCPSSAQEFCSVGDDSCLILWDARSGTTPAIKVEKAHDADLHCVDWNPHDVNLILTGSADNSVRLFDCRRLTSNGIGSPIHKFEGHSAAVLCVQWFPDKASVFGSSAEDGLLNVWDLEKVDHLGAVTRAPSPPGLFFQHAGHRYMSPIEIVIWLSHP >DRNTG_06328.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20086932:20089646:-1 gene:DRNTG_06328 transcript:DRNTG_06328.2 gene_biotype:protein_coding transcript_biotype:protein_coding GKDKTVVLWSIQDHITASGESSSTKSAGTPSGGSSGKQPAITGNESSSESPRVGPRGIYQGHTDTVEDVQFCPSSAQEFCSVGDDSCLILWDARSGTTPAIKVEKAHDADLHCVDWNPHDVNLILTGSADNSVRLFDCRRLTSNGIGSPIHKFEGHSAAVLCVQWFPDKASVFGSSAEDGLLNVWDLEKVDHLGAVTRAPSPPGLFFQHAGHRDKVVDFHWRCC >DRNTG_06024.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000275.1:38193:39377:-1 gene:DRNTG_06024 transcript:DRNTG_06024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPLAFRPTYLNSNLDFEVLRISDFILNDCWDLQKLRDLFGENSDYIIDRLGHIDSTDHCHWTWAPKSHNNRISAMTYHFLNKNYHGTCPWTGWNKIWHLWVAPRVQHFIWLTLNGKLATSHDYLHYINLGPNNIYCFCGLVNESTDHLLVHCSKVLNVWREVGFRLGINISFLNGFIAGTWITDPNSNLFVKSIIAATAWFIWKQRCEVIFRNAVPNYPFIVHKAFAHVVEFSRANAHQPGRRLLLNNFSNADGPFLFYSIASSQDSDVCGVGFFVSNASFQVSLAGCGPLMGGSHTIAGLHALQVSIQAVLAQHPHIHHIFTALQDVFQVLHRNHDHRDWRVNQWTRAMQDSINFAGAPRIHLVPIDWLRPAFYFFGFRANSSCSHTIPLW >DRNTG_27819.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27311428:27313889:-1 gene:DRNTG_27819 transcript:DRNTG_27819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVSLVLLLSTKSLGKLSKHSIGATHPVRLVPYNPDYLDESILWAESNDTGEGFRCIRMVNNIELNFDAFNGDEDHGGVRDGTIIVLWEWTKGKNQRWKITPY >DRNTG_27975.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1152490:1155889:1 gene:DRNTG_27975 transcript:DRNTG_27975.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPNLAHFRLILHSMWMGDPLKHTELYLSARSPFFKRKFENDWKEKKEVRFSGQKLSYVALYSLIHFFYSDRLEIAVDDMEDLVRTCKACRCNELQTILKKELMHQKYAEYKSLRDVDNSQKRFILQGSSLPEQYRLPSALNHILQTSLANSSCQNISPDAFEEKVSQIGDDLADVCIKVSEKIFRSHQVILASRSEYFKARLSRMTGFLEGNGRFPSCSLPFLEVNDLSTEAFEKMVEYM >DRNTG_27975.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1152490:1155889:1 gene:DRNTG_27975 transcript:DRNTG_27975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPNLAHFRLILHSMWMGDPLKHTELYLSARSPFFKRKFENDWKEKKEVRFSGQKLSYVALYSLIHFFYSDRLEIAVDDMEDLVRTCKACRCNELQTILKKELMHQKYAEYKSLRDVDNSQKRFILQGSSLPEQYRLPSALNHILQTSLANSSCQNISPDAFEEKVSQIGDDLADVCIKVSEKIFRSHQVILASRSEYFKARLSRMTGFLEGNGRFPSCSLPFLEVNDLSTEAFEKMVEYMYTDSLKEMDPDLAEEIFDAASRYLLFPLKRTAADALLPHLETVSPAELCHWLILSDMYGVIKIREYCLDVIACNFETFADTREFRAMLLTLPPPSGDSSFRTTVPSAPWIRG >DRNTG_27975.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1154552:1155928:1 gene:DRNTG_27975 transcript:DRNTG_27975.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVIKIREYCLDVIACNFETFADTREFRAMLLTLPPPSGDSSFRTTVPSAPWIRG >DRNTG_27975.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1153586:1155889:1 gene:DRNTG_27975 transcript:DRNTG_27975.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPNLAHFRLILHSMWMGDPLKHTELYLSARSPFFKRKFENDWKEKKEVRFSGQKLSYVALYSLIHFFYSDRLEIAVDDMEDLVRTCKACRCNELQTILKKELMHQKYAEYKSLRDVDNSQKRFILQGSSLPEQYRLPSALNHILQTSLANSSCQNISPDAFEEKVSQIGDDLADVCIKVSEKIFRSHQVILASRSEYFKARLSRMTGFLEGNGRFPSCSLPFLEVNDLSTEAFEKMVEYMYTDSLKEMDPDLAEEIFDAASRYLLFPLKRTAADALLPHLETVSPAELCHWLILSDMYGVIKIREYCLDVIACNFETFADTREFRAMLLTLPPPSGDSSFRTTVPSAPWIRG >DRNTG_23384.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1110823:1115942:-1 gene:DRNTG_23384 transcript:DRNTG_23384.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKECYMAKGLTFRHMLYPSYKSNRIPTPDTVVQGLQYLKASMKAMSLKVIEVPGVEADDVIGTLAVNSVSAGFKVRVVSPDKDFFQILSPSLRLLRIAPRGPGMVSFGIEDFVKRYGALKPSQFVDVVALAGDKSDNIPGVEGIGEINALKLITKFGSLEKLLQRVDEVEDERFKKILKENADQAILCKNLATLRSDLPSYMVPFKIQDFAFRKPQDNGEKFVSLLRAIGAYAEGFSADPIIRRAFYLWNKLES >DRNTG_23384.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1110823:1113813:-1 gene:DRNTG_23384 transcript:DRNTG_23384.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFGIEDFVKRYGALKPSQFVDVVALAGDKSDNIPGVEGIGEINALKLITKFGSLEKLLQRVDEVEDERFKKIPNAFSI >DRNTG_23384.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1110823:1113290:-1 gene:DRNTG_23384 transcript:DRNTG_23384.10 gene_biotype:protein_coding transcript_biotype:protein_coding SYWLLGSLEKLLQRVDEVEDERFKKILKENADQAILCKNLATLRSDLPSYMVPFKIQDFAFRKPQDNGEKFVSLLRAIGAYAEGFSADPIIRRAFYLWNKLES >DRNTG_23384.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1111351:1115942:-1 gene:DRNTG_23384 transcript:DRNTG_23384.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFGIEDFVKRYGALKPSQFVDVVALAGDKSDNIPGVEGIGEINALKLITKFGSLEKLLQRVDEVEDERFKKILKENADQAILCKNLATLRSDLPSYMVPFKIQDFAFRKPQ >DRNTG_23384.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1110823:1119755:-1 gene:DRNTG_23384 transcript:DRNTG_23384.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKECYMAKGLTFRHMLYPSYKSNRIPTPDTVVQGLQYLKASMKAMSLKVIEVPGVEADDVIGTLAVNSVSAGFKVRVVSPDKDFFQILSPSLRLLRIAPRGPGMVSFGIEDFVKRYGALKPSQFVDVVALAGDKSDNIPGVEGIGEINALKLITKFGSLEKLLQRVDEVEDERFKKILKENADQAILCKNLATLRSDLPSYMVPFKIQDFAFRKPQDNGEKFVSLLRAIGAYAEGFSADPIIRRAFYLWNKLES >DRNTG_23384.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1110823:1113475:-1 gene:DRNTG_23384 transcript:DRNTG_23384.9 gene_biotype:protein_coding transcript_biotype:protein_coding GVEGIGEINALKLITKFGSLEKLLQRVDEVEDERFKKILKENADQAILCKNLATLRSDLPSYMVPFKIQDFAFRKPQDNGEKFVSLLRAIGAYAEGFSADPIIRRAFYLWNKLES >DRNTG_23384.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1110823:1118100:-1 gene:DRNTG_23384 transcript:DRNTG_23384.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHQGFCQMSTVQKSDLSQDPLPSNTDNRLQESFSASTKLKKEIVASPLNSRVMLIDGTSIMYRSYYKLLARLQHGHLEHADGNGDWVLTIFTALSFLLDILEFIPSHVAVVFDHDGVHFGHTTAMPSKECYMAKGLTFRHMLYPSYKSNRIPTPDTVVQGLQYLKASMKAMSLKVIEVGTMVDILSDNCHKFALLGFIAGSWC >DRNTG_23384.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1119466:1119755:-1 gene:DRNTG_23384 transcript:DRNTG_23384.12 gene_biotype:protein_coding transcript_biotype:protein_coding FWAKLQTGCGHSLLSSPKSKCVAAFVSELILGWRVVGCWIDMFAHPGEVFMVSEGCFGGI >DRNTG_23384.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1110823:1119755:-1 gene:DRNTG_23384 transcript:DRNTG_23384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCGLLDRYVCSSWRSFHGVRGLFWGDLKMRTSVNLGKKGFCQMSTVQKSDLSQDPLPSNTDNRLQESFSASTKLKKEIVASPLNSRVMLIDGTSIMYRSYYKLLARLQHGHLEHADGNGDWVLTIFTALSFLLDILEFIPSHVAVVFDHDGVHFGHTTAMPSKECYMAKGLTFRHMLYPSYKSNRIPTPDTVVQGLQYLKASMKAMSLKVIEVPGVEADDVIGTLAVNSVSAGFKVRVVSPDKDFFQILSPSLRLLRIAPRGPGMVSFGIEDFVKRYGALKPSQFVDVVALAGDKSDNIPGVEGIGEINALKLITKFGSLEKLLQRVDEVEDERFKKILKENADQAILCKNLATLRSDLPSYMVPFKIQDFAFRKPQDNGEKFVSLLRAIGAYAEGFSADPIIRRAFYLWNKLES >DRNTG_23384.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1117335:1119755:-1 gene:DRNTG_23384 transcript:DRNTG_23384.11 gene_biotype:protein_coding transcript_biotype:protein_coding MACCGLLDRYVCSSWRSFHGVRGLFWGDLKMRTSVNLGKKGFCQMSTVQKSDLSQDPLPSNTDNRLQESFSASTKLKKEIVASPLNSRVMLIDGTSIMYRSYYKLLARLQHGHLEHADGNGDWVLTIFTALSFLLDILEFIPSHVA >DRNTG_23384.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1110823:1119755:-1 gene:DRNTG_23384 transcript:DRNTG_23384.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACCGLLDRYVCSSWRSFHGVRGLFWGDLKMRTSVNLGKKGFCQMSTVQKSDLSQDPLPSNTDNRLQESFSASTKLKKEIVASPLNSRVMLIDGTSIMYRSYYKLLARLQHGHLEHADGNGDWVLTIFTALSFLLDILEFIPSHVAVVFDHDGLTFRHMLYPSYKSNRIPTPDTVVQGLQYLKASMKAMSLKVIEVPGVEADDVIGTLAVNSVSAGFKVRVVSPDKDFFQILSPSLRLLRIAPRGPGMVSFGIEDFVKRYGALKPSQFVDVVALAGDKSDNIPGVEGIGEINALKLITKFGSLEKLLQRVDEVEDERFKKILKENADQAILCKNLATLRSDLPSYMVPFKIQDFAFRKPQDNGEKFVSLLRAIGAYAEGFSADPIIRRAFYLWNKLES >DRNTG_23384.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1110823:1114302:-1 gene:DRNTG_23384 transcript:DRNTG_23384.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFGIEDFVKRYGALKPSQFVDVVALAGDKSDNIPGVEGIGEINALKLITKFGSLEKLLQRVDEVEDERFKKIPNAFSI >DRNTG_24685.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19227974:19239630:-1 gene:DRNTG_24685 transcript:DRNTG_24685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPNMEKFESYFVRADLDRDGRISGQEAVAFFQGSNLPKQILAQIWMHADQNRTGFLGRTEFYNALRLVTVAQSGRELTADIVKAALYGPAAAKIPAPQINPVIAPAAQMNPAAIRAPQINPVVASATQMNPAIISAPQSKPVALPSTQMGRIGTAPASSQSPALQGPQAFPSMGANQQFFSTDNLRMRQPQPGASVPSMQGQVASQVGSTVAGPLLPGSNTANLSTNWLGGITGSSVGGSSQLQIRGNTPSVNHDGFRPELSVTTPAMTPKATPPAQTSSVPPKPQDLLQSSFQPTSKDSKASVSSGNGFPSDSLFGGDGFSAMPQPKKDVSNAVFSSIGMSNSSGIAPVASGSQNSIKAGQLNPLQQASAAPFGGSQPQQTQGIVKPNQFNIMQSTALNTSNVSVGPLSSASNESQQAWPKITQSDVQKYTRVFVEVDKDRDGKITGEQARNLFLSWRLPREILKQVWDLSDQDNDSMLSLREFCTALYLMERYREGRTLPSTLPNSLKYDDTLLRATGQPSLSYGGPTFQPSTGLSQQGMPGSHPVFPTSGLRPPLQNSVSSQIDGRQQPHQNSSRIAANQHFKEQGALNANSQEPTDADKKVPELEKEILDSKEKIEFYRNKMQELVLYKSRCDNRLNEITERASADKREVESLGKKYEEKYKQVGDVASKLTVEEARYRDIQERKMELHNAIIKVEQGGSADGLLQVRADRIQSDLEELEKALNERCKQHGVDVKPTTTIKLPFGWQPGVQEGAMDWDEDWDKFEDEGFTLENEVNSELENAVANAKPKSPAEWDAATDEVSSVASSRYTNGKVDKPFRPGEPIIDESVYAQSEGSTWSPHGSPGRSALGSPSREFHSTFSPRTKESSSEHLGAESTVSGDKFFDEQSWGATFDANDDVDSVWGFNSTTTKDIDHERTGQSSFFGSHDFGLNPINVSSPSASSVAGKEKSSIFADSVPSTPLFNSSSPPGFNERRDDHSLDSFSRFDSFSMNDSGFFSQRETLTRFDSISSTRDSDHNRGFPSFDDADPFGSSGPFKSSASHSPKRDSGSWNAF >DRNTG_24912.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:511814:513340:-1 gene:DRNTG_24912 transcript:DRNTG_24912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGKLIGSLPVEVESVQALAASLTTSDHIPTRYSRPEAESEPVMIITGDAEDDIPVIDFHKLLDPELSDAESSKLDLACQNWGFFQLINHGVSEEVIQKMMLVIEEFFKLPLDEKMLVKQRPGQLEGYGQMFVISEEQKLDWADILYFCTSPLHLRKNGFWPTKPSTFRDALDKYSMEVKKLSNCLLGFMAKNLGLAPAEMARMLENGTQYVRINCYPPCPEDKKVLGVSPHSDASFLTLLLQVNDVQGLQIRRNDKWFPVKPLPGAFVANIADAFEILSNGKYKSIEHRAVTNTEKERFSIAAFHGPNFNAIIGPHSEFVLEDEPLYKSLDYESYMKLRYASKLDGKNFLGRMKLNN >DRNTG_22614.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9336435:9343942:1 gene:DRNTG_22614 transcript:DRNTG_22614.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERSQYGLQCTDFGGNLAKNNNFTKVPIIKDASDPRRERVPVCVTPMKVWIREAIQAGTVAEDYSNMVESTVAALFIADRESRSSEDPHGHVEIIHAHVEIPYERVKHPRPCSRPTPTLFKAESTPILAFFSPSFPQLEKGLWLGFRGILAKVLERFYGFDIVIP >DRNTG_27694.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21724157:21725157:1 gene:DRNTG_27694 transcript:DRNTG_27694.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA 1 [Source:Projected from Arabidopsis thaliana (AT1G34790) UniProtKB/Swiss-Prot;Acc:Q8VWG3] MESHNTDPGPLEPLPLINYFLHSSTVHEHLDVNEEEEGDNGVELELGLPILSSFGNSEKPETGKQKEEETRWYWIPTPEQILTEFTTFSCHVCKKTFNRYNNLQMHMWGHGSEYRQESKLVRGVQPRVVVGLACYCCEEGCKNNIRHPKAKPLKDMRTLRTHYKRKHGAKSFGCRRCGRLWAVKGDWRTHEKNCGKVWLCQCGADFKHKRSLTDHIKAFGFGHVSIV >DRNTG_07301.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3461549:3462250:-1 gene:DRNTG_07301 transcript:DRNTG_07301.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic20-II [Source:Projected from Arabidopsis thaliana (AT2G47840) UniProtKB/TrEMBL;Acc:A0A178VYR0] MAATLILHRVSPLPLLRHHRPSPSLTINLPLRSPPSTTPLPRISMSYRSAVPATDRLISTASYALPFFNSLHYGRFLFTRLPILATALNPILPLIAAYRSIPYAAFVAFFALYLGVVRNPQFSRYVRFNAMQAVVLDVLLALPSLIQRVFGVPTRGVGFQVLEIGYSSLFVFSSVCFVYGLISCVLGKTPYLPFVAAAADRQL >DRNTG_32321.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18285906:18286597:-1 gene:DRNTG_32321 transcript:DRNTG_32321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTENIETVKKSEEMPAGRRKEAAPDGNAVIEKTAGRLASRKNRVLALVGAFETVISLQEPEGGQQGNHAAKEEDESNITLHSSQSV >DRNTG_04546.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22501396:22503581:-1 gene:DRNTG_04546 transcript:DRNTG_04546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKFLDTPSPLCLTMAEDSTKQENKKKKKMNKQGGLKTMPFILANDICDRFAMTGFNANLITYLTQELHLPLVQATNTLTVFKGTSDFTPIIGGLIADSFAGRFWTITVGTIIYELGMISLTVCSFLRMFRLPSCSKSQKCQRASWWQLLVIYMSLLLTSIGSGGIRPCIVPFGADQLESGDPENKWKGSFFNLYFFCMGVATVTAHTVVVYIQDNVGWGWGLGVPTLSMFASLLVFMVGYPLYIKLKPGGSPLKRLTQVVVAAIRKRNASKPTDPSLLYTDKQLDADISTKGRLFHTSQLRFFDRAAIVTESDKLQLGELRLWRLSTVHRVEELKCIIRVLPFWVVGILLAASASNNHSFSILQARTMDRHISSNFKIPPASMSVFSDIAMLVTLAMYDRIFVPLARRFTNKPSGFTSLQRIGIGLVITLFCNVSAALVEVKRKAAAAENGLIDEPKAVIPISVFWLVPQYAIHGIGDAFMQVGQMEFLYDQAPESMRSVAAALYWLAISIGSYLGTVLVSLVSRITEKSGEWLPDNINKGKLDYYYWLVVGLQVVNVMYFLVCAMLHTLKPLEVMEDNQILKAEGRESEVGEGRESNGTSFA >DRNTG_30842.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:600181:601851:1 gene:DRNTG_30842 transcript:DRNTG_30842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIISDELYESTIISCEGEDNENLTSTLCAEILQVVNKLLGELNIGHILEPKCPPASPKPRNLAGERRFLKNEQNMLIVPPPAPPLKCRSYAYYLSYIWANNDAVRDALHIQKGTVPEWIRCNDYLQYAYDLPSSVKYQQKLTSQGYRALVYSGDHDLVIPHIGTQTWIRSLNYSIVDDWRSWFSGGQVAGYTRTYTHNLTFATIKGAGHTAPEYKPRESLDMIKRWLSYQPL >DRNTG_23712.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:715086:733928:-1 gene:DRNTG_23712 transcript:DRNTG_23712.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT4G21530) UniProtKB/Swiss-Prot;Acc:O65418] MEVAETTGSIPFQLQFDKPIAFQVKMAEWNPEKDLLAMVTDDSKVLLHRFNWQRLWTVSPGKSVTSLCWRPDGKAIAFGLEDGSISLYDVENGKLLRSVKSHSAAVICLNWEEDAQLDSNNTVYTYEDRTTRFFPPPPRIPQISGLGSGDSGLMDDLEDSFQELTTSSHQHFNILCSGDKDGFICFSIFGIFPIGNINIQRLSICGSLLDKQTTYQLLNGSIQKVALSKNLCQLTVMSFGELVDGSLLAERTCVSKHGNEGETQMSLHEENSPIGLNCLLLNTSIFLNRQSELHQVAQQASSIEDLVEVVRASLSVMSKQWSDAMHAFHEKFDSLSSLIIDHGLDSSPPEEFLSLLYGSRTSPALHQFLVNSLGEAGIKRVAKAVDSAGKELQTIICEHLQPAVEIIAFRIGELRGLSRWRTRFLCVGLDEELIGSATEKAGMLLLQVERFLRVLAIVMYQFHNFFTWVLKCTKILLSEPTDQIQPPNSELVVIFLKFLLNHDPVGQLLGLSGANCDIEVDLDTMQRVEQLVMFGGFSDTKLLTRTLAKEFNQLEQCLKEAFLRPFAVISEKISCEDLLPLYPVPSSPAFSLLHAPTSISYFKASHHSLVDYVCFRMPEESLELMNYVGVIRGFSNYKDSIENGETGPDGVLLCIPDEYHCVDVALYKDNQIVLLLNEAISSTEIPERSWMMMIETNGLPFEPLSRSRSAVAGFWKLLELKDLAVNLSLEIGKVRCIPHLATAPLALSASRGVACVFASRKHAMVYILDEDEDESSDME >DRNTG_23712.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:715086:727431:-1 gene:DRNTG_23712 transcript:DRNTG_23712.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT4G21530) UniProtKB/Swiss-Prot;Acc:O65418] MSKQWSDAMHAFHEKFDSLSSLIIDHGLDSSPPEEFLSLLYGSRTSPALHQFLVNSLGEAGIKRVAKAVDSAGKELQTIICEHLQPAVEIIAFRIGELRGLSRWRTRFLCVGLDEELIGSATEKAGMLLLQVERFLRVLAIVMYQFHNFFTWVLKCTKILLSEPTDQIQPPNSELVVIFLKFLLNHDPVGQLLGLSGANCDIEVDLDTMQRVEQLVMFGGFSDTKLLTRTLAKEFNQLEQCLKEAFLRPFAVISEKISCEDLLPLYPVPSSPAFSLLHAPTSISYFKASHHSLVDYVCFRMPEESLELMNYVGVIRGFSNYKDSIENGETGPDGVLLCIPDEYHCVDVALYKDNQIVLLLNEAISSTEIPERSWMMMIETNGLPFEPLSRSRSAVAGFWKLLELKDLAVNLSLEIGKVRCIPHLATAPLALSASRGVACVFASRKHAMVYILDEDEDESSDME >DRNTG_34386.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17904066:17908455:-1 gene:DRNTG_34386 transcript:DRNTG_34386.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSSKIEDDKALALCRERKRLVRQALDGRCLLADAHVSYIQSLRNTGTALRKFVDPESPTESYLNTSASVRLEPLASAEISALLFSKSSSSFSRHMEMVESISPVPSRINSVQFREDHMKAGRSFSMTVEEKPPIPVTATLQTEDNSQKDTVSQSEENLATETTQILESPPWDYFKTPHSVENQYSFQDGHCLYHELDNGGYVKRLREEEGSLGLEEEGENASTNAREDIADSEEDFDEPSTAPLVRIFRNRNEVLDSNSVEESPMGVSMETVVLETETEQRNEQQTSTNNGFHDENSSISTPKATPSVAVHPVNGNGKEQSSANIIAAEVFLSCMREIEILFLRASESGKEVPRMLEANKVNFRPLFTDDKAHKSGASNFLTSCLSCCWEDVHLPEETAPSEVNALTFHRSASSLSSSSRNFTGVTSKGDVEDFGNNIFSSVCMTSGSHASTLDRLYAWERKLCDEVKASGIIRKKYEMKCKLLRSQESKAESPYKIDKTRAMVKDLHSRIIVALHRIDTISKKIEELRDKELQPQLEELIGGLTRMWETMLNCHKHQHNIIMSVCNNGSSSISVKPESHRQAILLLEFELNSLGLSFTQWISAHKSYLESINEWLLKCVYPLQQKSSKKGKYLKFSPRNDGAPPIFVTCGDWLAFLKDKNFPVGDVDTAIQNLLTITAKFLPNQEKGHGNKSKPVEDIQMNETTDKVIWNIDNFQSSLVVLLDQLKIFSETSLEKYVVLQKSIDSARRAYEN >DRNTG_34386.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17904066:17908455:-1 gene:DRNTG_34386 transcript:DRNTG_34386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSSKIEDDKALALCRERKRLVRQALDGRCLLADAHVSYIQSLRNTGTALRKFVDPESPTESYLNTSASVRLEPLASAEISALLFSKSSSSFSRHMEMVESISPVPSRINSVQFREDHMKAGRSFSMTVEEKPPIPVTATLQTEDNSQKDTVSQSEENLATETTQILESPPWDYFKTPHSVENQYSFQDGHCLYHELDNGGYVKRLREEEGSLGLEEEGENASTNAREDIADSEEDFDEPSTAPLVRIFRNRNEVLDSNSVEESPMGVSMETVVLETETEQRNEQQTSTNNGFHDENSSISTPKATPSVAVHPVNGNGKEQSSANIIAAEVFLSCMREIEILFLRASESGKEVPRMLEANKVNFRPLFTDDKAHKSGASNFLTSCLSCCWEDVHLPEETAPSEVNALTFHRSASSLSSSSRNFTGVTSKGDVEDFGNNIFSSVCMTSGSHASTLDRLYAWERKLCDEVKASGIIRKKYEMKCKLLRSQESKAESPYKIDKTRAMVKDLHSRIIVALHRIDTISKKIEELRDKELQPQLEELIGGLTRMWETMLNCHKHQHNIIMSVCNNGSSSISVKPESHRQAILLLEFELNSLGLSFTQWISAHKSYLESINEWLLKCVYPLQQKSSKKGKYLKFSPRNDGAPPIFVTCGDWLAFLKDKNFPVGDVDTAIQNLLTITAKFLPNQEKGHGNKSKPVEDIQMNETTDKVIWNIDNFQSSLVVLLDQLKIFSETSLEKYVVLQKSIDSARRAYEN >DRNTG_12706.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13860548:13866692:-1 gene:DRNTG_12706 transcript:DRNTG_12706.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQITRTTWFGGDEERSGRSGPSDDSCGLINQSISMCAPGIPPNHCVDFTATMGPFLTYVVESRGSSVRRRVDTIIRER >DRNTG_16943.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:35915:41571:1 gene:DRNTG_16943 transcript:DRNTG_16943.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 90B1 [Source:Projected from Arabidopsis thaliana (AT3G50660) UniProtKB/Swiss-Prot;Acc:O64989] MSSHMELLLLLSLALISLFLFFTLIKCRRRRAKLPPGTSGYPFIGETFAYLKPHKATSIGHFMKQHMSRYGKIYRSNLFGEPTIVSADPGLNRFILQNEGRLFECSYPKSIGGILGKWSMLVLVGDMHRDMRMISLNFMSSLRLRLFLLPEVERHTLLVLNSWKEGSSFSAQEEAKKFTFNLMAKNIMSMDPGEAETEKLRLEYITFMKGVVSAPLNFPGTPYWKALKSRSSILSVIERKMDERIKIKASTDDDGEDKPEEDDLLSWALNNSNLSKEQILDLLLSLLFAGHETSSMALTLAIFFLEGCPKAVEELRVEHHEINRKKKERGEIGLNWDDYKQMEFSQCVINETLRLGNVVNFVHRKALKDVQYKGFDIPSGWKVLPVFAAVHLDPSLYSDPQEFNPWRWQKSSSSTSNTNNFMPYGGGPRLCAGSELAKLEMAVFLHHLVLNYQWTLDEPDCPLAYPYIDFPKGLPIKVHRI >DRNTG_14952.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1062355:1065056:-1 gene:DRNTG_14952 transcript:DRNTG_14952.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCASICHSDIIALQGFPIALFPRVLGHEGFGVIESVGEGVEGLKEGDIIIPAVIGECKECPNCRTGKTNMCYKFPLCFTGLMLDQTTRMSIKGQKIYHMFTCSTFSEYTVLNSNYVVKVNPAIDPRHASLLSCGFSTGFGAVWKEAKIEQGSSVAIFGLGGVGMGAVAGARSLEAGRIIGIDLNDYKKSKAEFFGMTDFINPKELDGKSIADKVREMTDGLGVDYSFECTGVESLLNEALDATKMGSGVTVFIGTVAQKHVPILYWTLMAGKTIKGCLMGGVRAHSDLPQLCTKCINKEFDLDGMLTHQVDLNEINRAFELLKQPDCLKVVVNMGGSEMGE >DRNTG_14952.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1062355:1065056:-1 gene:DRNTG_14952 transcript:DRNTG_14952.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLCASICHSDIIALQGFPIALFPRVLGHEGFGVIESVGEGVEGLKEGDIIIPAVIGECKECPNCRTGKTNMCYKFPLCFTGLMLDQTTRMSIKGQKIYHMFTCSTFSEYTVLNSNYVVKVNPAIDPRHASLLSCGFSTGFGAVWKEAKIEQGSSVAIFGLGGVGMGAVAGARSLEAGRIIGIDLNDYKKSKAEFFGMTDFINPKELDGKSIADKVREMTDGLGVDYSFECTGVESLLNEALDATKMGSGVTVFIGTVAQKHVPILYWTLMAGKTIKGCLMGGVRAHSDLPQLCTKCINKEFDLDGMLTHQVDLNEINRAFELLKQPDCLKVVVNMGGSEMGE >DRNTG_14952.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1062355:1065056:-1 gene:DRNTG_14952 transcript:DRNTG_14952.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCASICHSDIIALQGFPIALFPRVLGHEGFGVIESVGEGVEGLKEGDIIIPAVIGECKECPNCRTGKTNMCYKFPLCFTGLMLDQTTRMSIKGQKIYHMFTCSTFSEYTVLNSNYVVKVNPAIDPRHASLLSCGFSTGFGAVWKEAKIEQGSSVAIFGLGGVGMGAVAGARSLEAGRIIGIDLNDYKKSKAEFFGMTDFINPKELDGKSIADKVREMTDGLGVDYSFECTGVESLLNEALDATKMGSGVTVFIGTVAQKHVPILYWTLMAGKTIKGCLMGGVRAHSDLPQLCTKCINKEFDLDGMLTHQVDLNEINRAFELLKQPDCLKVVVNMGGSEMGE >DRNTG_05558.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5088698:5094025:-1 gene:DRNTG_05558 transcript:DRNTG_05558.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich SC35-like splicing factor SCL28 [Source:Projected from Arabidopsis thaliana (AT5G18810) UniProtKB/Swiss-Prot;Acc:Q1PDV2] MARHRSRSRSYSPRRYSRSPPRRKRYDDPRDRYRGGGARGYRDRRPSAPSGLLIRNISLDARPEDLRIPFERFGPVKDVYLPKNYYTGEPRGFGFVKFRNPEDAAEAKQQMNHQIIGGREISIVFAEENRKTPQEMRVNARISGRYNGGGHRRRSLSRSPRRRYRSYSRSPTPERHHSREREKGAHDDYDSPPRSVTPSPRDDRDYRSHRQSPSPDQSGRSPSRSRSYSPR >DRNTG_05558.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5088698:5094025:-1 gene:DRNTG_05558 transcript:DRNTG_05558.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich SC35-like splicing factor SCL28 [Source:Projected from Arabidopsis thaliana (AT5G18810) UniProtKB/Swiss-Prot;Acc:Q1PDV2] MARHRSRSRSYSPRRYSRSPPRRKRYDDPRDRYRGGGARGYRDRRPSAPSGLLIRNISLDARPEDLRIPFERFGPVKDVYLPKNYYTGEPRGFGFVKFRNPEDAAEAKQQMNHQIIGGREISIVFAEENRKTPQEMRVNARISGRYNGGGHRRRSLSRSPRRRYRSYSRSPTPERHHSRSVVLICSIYTFYFKTIMVFKLCGH >DRNTG_05558.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5088698:5094025:-1 gene:DRNTG_05558 transcript:DRNTG_05558.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich SC35-like splicing factor SCL28 [Source:Projected from Arabidopsis thaliana (AT5G18810) UniProtKB/Swiss-Prot;Acc:Q1PDV2] MARHRSRSRSYSPRRYSRSPPRRKRYDDPRDRYRGGGARGYRDRRPSAPSGLLIRNISLDARPEDLRIPFERFGPVKDVYLPKNYYTGEPRGFGFVKFRNPEDAAEAKQQMNHQIIGGREISIVFAEENRKTPQEMRVNARISGRYNGGGHRRRSLSRSPRRRYRSYSRSPTPERHHSRYLK >DRNTG_00997.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14211896:14212799:1 gene:DRNTG_00997 transcript:DRNTG_00997.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVESIKFQMYPMNVVVACLFLVCHLCELWLA >DRNTG_00997.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14212192:14212799:1 gene:DRNTG_00997 transcript:DRNTG_00997.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVESIKFQMYPMNVVVACLFLVCHLCELWLA >DRNTG_20877.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1668191:1671989:-1 gene:DRNTG_20877 transcript:DRNTG_20877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCLQRPEQSSFQATMFNDEGNGFDVLVASDAIGMGLNLNISRLIFSTLEKFDGTCRRQLTIPEIKQIAGRAGRFGSKYPAGEVTCLHEGDLPLLHSSLASPSPVLKQAGLFPTFDLLSLYSRLHSTTSLHPMLEKFLEEAKLSPDYFISDAEEMLKVAAVVDKLPLTLYDKYLFCMSPVDMNDDISAQGLIQFAENYAKKGLVRLREIFTPGSLAVPKTHNELRELESVHEVLDLYVWLSFRLEDSFPDRELASSQKEICSGLIEEFLGRFVWQKPLKISSKLSFLKHRRSLR >DRNTG_20877.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1668191:1671123:-1 gene:DRNTG_20877 transcript:DRNTG_20877.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKFLEEAKLSPDYFISDAEEMLKVAAVVDKLPLTLYDKYLFCMSPVDMNDDISAQGLIQFAENYAKKGLVRLREIFTPGSLAVPKTHNELRELESVHEVLDLYVWLSFRLEDSFPDRELASSQKEICSGLIEEFLGRFVWQKPLKISSKLSFLKHRRSLR >DRNTG_20877.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1668191:1671447:-1 gene:DRNTG_20877 transcript:DRNTG_20877.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGSTSLSFQATMFNDEGNGFDVLVASDAIGMGLNLNISRLIFSTLEKFDGTCRRQLTIPEIKQIAGRAGRFGSKYPAGEVTCLHEGDLPLLHSSLASPSPVLKQAGLFPTFDLLSLYSRLHSTTSLHPMLEKFLEEAKLSPDYFISDAEEMLKVAAVVDKLPLTLYDKYLFCMSPVDMNDDISAQGLIQFAENYAKKGLVRLREIFTPGSLAVPKTHNELRELESVHEVLDLYVWLSFRLEDSFPDRELASSQKEICSGLIEEFLGRFVWQKPLKISSKLSFLKHRRSLR >DRNTG_20877.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1668964:1671989:-1 gene:DRNTG_20877 transcript:DRNTG_20877.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFNDEGNGFDVLVASDAIGMGLNLNISRLIFSTLEKFDGTCRRQLTIPEIKQIAGRAGRFGSKYPAGEVTCLHEGDLPLLHSSLASPSPVLKQAGLFPTFDLLSLYSRLHSTTSLHPMLEKFLEEAKLSPDYFISDAEEMLKVAAVVDKLPLTLYDKYLFCMSPVDMNDDISAQGLIQFAENYAKKGLVRLREIFTPGSLAVPKTHNELRELESVHE >DRNTG_20877.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1668191:1670062:-1 gene:DRNTG_20877 transcript:DRNTG_20877.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKFLEEAKLSPDYFISDAEEMLKVAAVVDKLPLTLYDKYLFCMSPVDMNDDISAQGLIQFAENYAKKGLVRLREIFTPGSLAVPKTHNELRELESVHEVLDLYVWLSFRLEDSFPDRELASSQKEICSGLIEEFLGRFVWQKPLKISSKLSFLKHRRSLR >DRNTG_32259.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001813.1:13471:22844:-1 gene:DRNTG_32259 transcript:DRNTG_32259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSATATHLLRSPPPNPPSRSLPHLRPPSLLRSPSAAGPNGVGAVGSYKTPKPQRDLLREWVWENDGFVRVLPIYVGGFSLLVVLLNRSFSNIPPVADASSSQSRADILSLALAVTNILAGLVWLSIRPKNISPVVLHGVECRRLNPLISERAVLEMLWAWDSLSAVTCCRSLVVVYDSNCVLQIGVAAESPLEDGDAMDVDVKKLLQGSLCKSAMETQKQSYLANLSLYPGKSELPFLPTNTQSVILQPIGDNGVAIIGGNTIRGFNNTDQAWITLIAEKLEATLSTS >DRNTG_32259.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001813.1:15814:22844:-1 gene:DRNTG_32259 transcript:DRNTG_32259.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSATATHLLRSPPPNPPSRSLPHLRPPSLLRSPSAAGPNGVGAVGSYKTPKPQRDLLREWVWENDGFVRVLPIYVGGFSLLVVLLNRSFSNIPPVADASSSQSRADILSLALAVTNILAGLVWLSIRPKNISPVVLHGVECRRLNPLISERAVLEMLWAWDSLSAVTCCRSLVVVYDSNCVLQIGVAAESPLEDGDAMDVDVKKLLQGSLCKSAMETQKQSYLANLSLYPGKSELPFLPTNTQSVILQPIGDNGVAIIGGNTIRGFNNTDQAWITLIAEKLEATLSTS >DRNTG_24486.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18904755:18906924:1 gene:DRNTG_24486 transcript:DRNTG_24486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCVSNISTSLSIPCLYSKRNKKLLSSRFVKCMKSSESSGKDNLDHLQRGSKQPQQQRRWVAPSSPIGLWDRFPTARTINQMLETMERIMEDPSSSISYNNNNNNNNGASALSSMMSSQPMMNQDMMIYRRGRTPWEIKEQEREYKLRFDMPGMSKNDVQLFVEEKMLVIKAEKKIINGDENDDDHNDEWPARSFGKYNSRIALPESVDVEKIKAEVKDGVLYVTIPKSLSSSNVFDIPVL >DRNTG_04585.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000220.1:48777:49863:-1 gene:DRNTG_04585 transcript:DRNTG_04585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKNHTGTHIIIKLIMGREMEPLVVGRVIGEVLDYFIPSIKMAVSYNSNKLVFNGHEFYPSALTSKPRVEVQGEDLRSLFTLLMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVVNYESPRPNIGIHRFIFVLFKQKKRLSVSAPASRDGFNTRQFAEENDLGLPVAAVYFNAQRETAARRR >DRNTG_18593.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000966.1:2482:7814:1 gene:DRNTG_18593 transcript:DRNTG_18593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVEPTVGSNLETTFDLEKDVKEQKDDPREDGAPKEAMEDS >DRNTG_18797.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:358021:360141:-1 gene:DRNTG_18797 transcript:DRNTG_18797.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 94C1 [Source:Projected from Arabidopsis thaliana (AT2G27690) UniProtKB/Swiss-Prot;Acc:Q9ZUX1] MDSMESMVSSLQTYMSLAFFLFTGVFLIFALALKILRSSPWCSCDVCRAYASSSWTAEFDNLCDWYTHLLRLSPTRTIHIHILGNTITANPDNVEYMLKTRFDNFPKGKHFSTILGDLLGHGIFNVDGDSWRFQRKMASLELGSNSVRSYAARIVSDEVHDRLLPFLSSVAGTGGVIDLQDVFRRFAFDSISKISFGLDRGCLELPVPMSEFAAAFDLATRLSAQRATATWTATWKIKRLFNWGSEKELQRAIRLVNVLAEEVIRQRRKLGFTENHDLLSRFMGSVNEAKYLRDIVISFLLAGRDTVASVLTSFFLELSKNTRVQRAIQDEINRVLRNSSSSCEFDQVVNYEQIKEMHYVHAALYETLRLYPPVQLDSKFCLEDDVLPDGTFVSKNTRVTYHPYAMGRMEDAWGPDCEVFRPERWLCDGVFNPENPFKFPVFQAGLRVCLGKEMAIMQMKTVIVSIVRAFDINAINETSSSRPPKFAPGLTASFASGLMARVSRRTSNDGTCSC >DRNTG_18797.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:358270:360141:-1 gene:DRNTG_18797 transcript:DRNTG_18797.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 94C1 [Source:Projected from Arabidopsis thaliana (AT2G27690) UniProtKB/Swiss-Prot;Acc:Q9ZUX1] MDSMESMVSSLQTYMSLAFFLFTGVFLIFALALKILRSSPWCSCDVCRAYASSSWTAEFDNLCDWYTHLLRLSPTRTIHIHILGNTITANPDNVEYMLKTRFDNFPKGKHFSTILGDLLGHGIFNVDGDSWRFQRKMASLELGSNSVRSYAARIVSDEVHDRLLPFLSSVAGTGGVIDLQDVFRRFAFDSISKISFGLDRGCLELPVPMSEFAAAFDLATRLSAQRATATWTATWKIKRLFNWGSEKELQRAIRLVNVLAEEVIRQRRKLGFTENHDLLSRFMGSVNEAKYLRDIVISFLLAGRDTVASVLTSFFLELSKNTRVQRAIQDEINRVLRNSSSSCEFDQVVNYEQIKEMHYVHAALYETLRLYPPVQLDSKFCLEDDVLPDGTFVSKNTRVTYHPYAMGRMEDAWGPDCEVFRPERWLCDGVFNPENPFKFPVFQAGLRVCLGKEMAIMQMKTVIVSIVRAFDINAINETSSSRPPKFAPGLTASFASGLMARVSRRTSNDGTCSC >DRNTG_27635.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18620828:18623672:-1 gene:DRNTG_27635 transcript:DRNTG_27635.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIKVHLWKIAHGKLPTNAYLYNLNIGPNNPCPLCRLETEITEHII >DRNTG_27635.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18620505:18623672:-1 gene:DRNTG_27635 transcript:DRNTG_27635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIKVHLWKIAHGKLPTNAYLYNLNIGPNNPCPLCRLETEITEHII >DRNTG_27635.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18620828:18623672:-1 gene:DRNTG_27635 transcript:DRNTG_27635.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIKVHLWKIAHGKLPTNAYLYNLNIGPNNPCPLCRLETEITEHII >DRNTG_27635.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18620828:18623672:-1 gene:DRNTG_27635 transcript:DRNTG_27635.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIKVHLWKIAHGKLPTNAYLYNLNIGPNNPCPLCRLETEITEHII >DRNTG_23590.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3203175:3205421:1 gene:DRNTG_23590 transcript:DRNTG_23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFGVVFYVVVSVVWLFKNLLVGLVQEQRLSQQTTPPSPPPSPKEPKQRTIMEFRSTGPPPFEGTTNSDEYDSKLVKDDQSRVNRFEEGLHPHIRRGLAALHLSTYAKVVEHAKFLDSVWKDTQEIKKSFQKKRGLQIGDGLLELVLDVVVTVTALQSVHNYLLRLNKLLVDKVPRSY >DRNTG_14223.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6660186:6663692:1 gene:DRNTG_14223 transcript:DRNTG_14223.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein-like protein [Source:Projected from Arabidopsis thaliana (AT1G54390) UniProtKB/TrEMBL;Acc:F4HWW1] MAIARTGAYVDDYLEYSSTLAPELQRLLSTMRELDERAQGIINQTREQTNDCLGIHSHSSKKVIHEDDETTFEKLKKEIEASQENALSLCTEKVLLARQAYDLIESHVKRLDEDLNQFAEDLKQEGKIPPDEPATLPPLPIKDEKRRSGHYTPQVKRLREREWERERDVDIELMPPPGSHKKSLPVSVDADQPIDPNEPTYCVCHQVSFGDMIACDNENCEGGEWFHYSCVGLTSETRFKGKWFCPTCRLQQ >DRNTG_29885.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23570831:23578697:1 gene:DRNTG_29885 transcript:DRNTG_29885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEEMNTGDEYARVKWREISISKSAVFRQYSPRIQEMFNPDPYEGLFDQEESNEEVMMLGSTEEVTSTPVILKKSLQIFLSHPEKIHRRVEFPHARGGCTASSSREGAGVCGYPVNDHAIVTRPWVISARACGFLASWAIFSREHTGSWTRPCGQPCEPCKGVDMAPRVKKNDVKSLRLTPPEPLHMDFSNPEQQARFERLSVLGSGKLELANEIYEVLASFEFGLMHGRFDTTEMIQFRAFRNSFSMSVTEFSIWTGLYDVAYTGTLEYGQLQTNFPVSVTPYHAYRILCGHGEYEPGLSKASSLSWFSYRYLHAVISRSVTGQADNTAALTKLDLLFLYSMARNVPLHLGCILANILQYQGHSTRESLLFTGPYITRLILGMGLGDALRGVERTVVPTPIAFDTIRMMGFVRRFGPGVYILATATAETTRLEGDTAEGIQQIPTPSVAPGTRAYDRIERLDIDVREIRTEVAKLWALQSAQYTDLMARFDFLRDLLRSRPSVPLAPSSPTPAPVDPLCASPAAAAAPAPEHDPDI >DRNTG_19106.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29770606:29773938:1 gene:DRNTG_19106 transcript:DRNTG_19106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVQAEGRTTSGLILTEDNKEKPSIGMVITFGPSSLDEEGLQKPLSITLGETVLYSQVFKK >DRNTG_17436.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6240394:6244348:-1 gene:DRNTG_17436 transcript:DRNTG_17436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKQKKIVKNARNRYLKPGALAQLRDSRTTTRSSAEIAKRKASLESKKAKMVLRRAELAIDISSPIASPIRSTFQSPPGLVDRIKADKLPASPKTPVLGLNSSHSRLESLPMDLLVKIMCHLHHDQLKAVFHVSQRIRKAVLHARQFYFNYTTPDRSRQELLRTKTPLPTEHWPFTSKANGRSIWAPSPRTPKAPRQGPRPSRHHHMDIKQIAAVLFPDSLPSRCAAVPPGLPRPVFKAMASNRVLFYEDELCHAVAQNKLC >DRNTG_02402.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000112.1:59118:59870:1 gene:DRNTG_02402 transcript:DRNTG_02402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVDMQDSETDSDSIVDVNEIVVDAKSQLVELYDSYCIRYSYSHIVPQVAWEAVEQSCSTRGESFSQRQAKKKPRGSQYTELDLYLNTTFQFSKEINIDMTFNILHWWQEQESPDGSDFFYTDNMMSTSGATTTNKSDQDPVITNRQLNSTGKRIKCKPFISEFMVFSNF >DRNTG_25161.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23879855:23886096:1 gene:DRNTG_25161 transcript:DRNTG_25161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTATISNPLECRAKFLFKYRRLLTGIRELGRLLRALRDDLRS >DRNTG_14625.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000723.1:1538:3599:1 gene:DRNTG_14625 transcript:DRNTG_14625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSATGLSPSRVQHSTASPSSTTLVLLSHNPVFTVLGCSHFARRYYGNRFLLSFSSGY >DRNTG_25409.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24539159:24543971:-1 gene:DRNTG_25409 transcript:DRNTG_25409.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g42970 [Source:Projected from Arabidopsis thaliana (AT5G42970) UniProtKB/TrEMBL;Acc:Q1H5B6] MNVSFDLREINEDALEQALSAAVTCTILAAAGPQRSRVLANLYKDERCSKLKIYPILQKVYLERILRKPEIDAFAEELKAHQKALLPDNVTVLDRAMIEHNLLSASKLYTNISFEELGTLLGIAPQKAEKIASRMIYEDRMRGSIDQVEAVIHFEDDTEELQLWDQQIVGLCQALNDILDSMTSKGVMVPV >DRNTG_25409.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24539159:24543971:-1 gene:DRNTG_25409 transcript:DRNTG_25409.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g42970 [Source:Projected from Arabidopsis thaliana (AT5G42970) UniProtKB/TrEMBL;Acc:Q1H5B6] MSEEGNKAMESALASASSISDQRQKIEQYKLILASVLASLPSDISHAKRLIDHLVSDDVPLVVSRQLFQSFAQELGRLEPGVQMEIALYALAQIQPRVVSFEEQVIVLREKLAEMYESEHQWSKAAQMLSGIDLDSGIRVLDETYKLSKCVQIARLYLEDDDAVNAEAFINKASFLVSNSPHEVLNLQYKVCYARILDLKRKFLEAALRYYDISQIEKRQIGDEEINEDALEQALSAAVTCTILAAAGPQRSRVLANLYKDERCSKLKIYPILQKVYLERILRKPEIDAFAEELKAHQKALLPDNVTVLDRAMIEHNLLSASKLYTNISFEELGTLLGIAPQKAEKIASRMIYEDRMRGSIDQVEAVIHFEDDTEELQLWDQQIVGLCQALNDILDSMTSKGVMVPV >DRNTG_30393.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:68527:69462:1 gene:DRNTG_30393 transcript:DRNTG_30393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKQLQDRFYCPILFLIVAILLAIHTVKASHAPMEGSRDGELKMTEEFPLSNLMELENCETTGDDECMKRRMMDEAHLDYIYTQHHKP >DRNTG_30393.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:68527:69462:1 gene:DRNTG_30393 transcript:DRNTG_30393.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLMELENCETTGDDECMKRRMMDEAHLDYIYTQHHKP >DRNTG_23221.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6784501:6792509:1 gene:DRNTG_23221 transcript:DRNTG_23221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEFIMRLRPEFEQTRAQLLHAPSVYSLDDAFTFVRAEETHLRAAFTGGDSALAVPRLLSVSSLSSSTRPPAPSVSSRPSSSTRLKRTMICHYCGMFGHLERGEAPPKGRKPPISVRVSMRGNMSC >DRNTG_31211.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21651188:21656023:1 gene:DRNTG_31211 transcript:DRNTG_31211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLNGSPLAVKTVGRILGCSLTEKHWKDLLESDLWKLENDENDIMSVLALSYYHLPQHLQLCIAFCSVFPKNFSFDKLSAIDMWIAHGYVHENGSSSKTMRDIGREYYAELVAMGFFSNNVSFKMHNLIHDLVHSISHGEIYIYEGRKDEKISKNVRHLCARSLFDLGLVYKTNNLRTLVLYRAGDVCAFLNHGAFKRIRVLVILDTNMEELPETIVNLRYLQYLDLEKTNIKSIPESICVLYQLRVLKLPPLYTHPSLFHSLIEFREWNLFKADNAIYSSRGWPIFSVKRGGNNMISQLRNMNELRGSIMINGLENIDTAEEGMKAKLKEKCHIDVLTLSWKYTADGCKHDVQEEVLEGFQPHPNLNCLFIEGYMGSKSPSWLMILQKLQTLYLFECRNWARLPAALGLLPSLVALGLSGINNITIEGDDTVTQMFTSLEFLELKKATVSFEGMLLSSSSSLTTASCRKLFPRLQSLIVEKCNGVNGLPWKMLSSLKALKINASRGLQGQVPGCLQNLNSLTSLKIKGLKIENTNMRAQQQQLGGLVPNLRKLNIECCENVGFLLDVLLSIPSLKKLTISKCGGPVSLSSLSHLSFLTYISLQEVEITVDDVTLVFPSLQTLRLKEASMIFQNMPSSSSLSETTQNLNHFPMLTDLTIACREVNGLHWPLFSTLKSLKNINSPELDDHLLPGCLNASSVLTSLQLTGAKIKTFHAELMATPNALRYLCLEDCNELISVEGLHALPSLIFLRIINCHQFRTLFMEQITEQGVFLPKLSDIYIESCENLESLPAWVPRLPLLISLSITKCPKFHSLPEGGLPSSLQRLTIRECDPSLMKQCQQEGSPDWLMIEHIPVQTYKWNEIYL >DRNTG_11807.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:372435:375108:-1 gene:DRNTG_11807 transcript:DRNTG_11807.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPSSIPITELFWSLLDKADRKFSLLRDLPSFSRNRNDLDFHKAFKIYTQLWKMQQEHRQKLTDAGLKRWEVGELASRIAQLYYGQYQRTSDSSFLSEAFIFYEAILSREYFRDASSSSPDPALANKQLRFLARFLIVCLLLGRRDMVSRLASQLRVVLDECKKNFQETDFKEWKHVVQEIFRFMKVDTPFINMRPLRYSFVYDSNPNSLSVPSHTNRRLVLRDSILSTYHHNEVKFTELTIDTYRMVQCLEWEPCGSFSLKGGANSGHNESGPNRVNLLQDIRDPSLPPNPRKVILYRPSITHYLMVLATVCEELPSDGILLIYLSAPGGLQTVTLSSGSSPDTVHRIAENSDGAEMASPINSPSNSPRRTSFHENDNQKTNSEAALWLGSRGAGGSKYLYPCDLIPFTRKPIFLVIDSDNSHAFKAGLSTLISSCQTFLFTGYAVDMLVTCMCS >DRNTG_11807.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:370362:375108:-1 gene:DRNTG_11807 transcript:DRNTG_11807.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPSSIPITELFWSLLDKADRKFSLLRDLPSFSRNRNDLDFHKAFKIYTQLWKMQQEHRQKLTDAGLKRWEVGELASRIAQLYYGQYQRTSDSSFLSEAFIFYEAILSREYFRDASSSSPDPALANKQLRFLARFLIVCLLLGRRDMVSRLASQLRVVLDECKKNFQETDFKEWKHVVQEIFRFMKVDTPFINMRPLRYSFVYDSNPNSLSVPSHTNRRLVLRDSILSTYHHNEVKFTELTIDTYRMVQCLEWEPCGSFSLKGGANSGHNESGPNRVNLLQDIRDPSLPPNPRKVILYRPSITHYLMVLATVCEELPSDGILLIYLSAPGGLQTVTLSSGSSPDTVHRIAENSDGAEMASPINSPSNSPRRTSFHENDNQKTNSEAALWLGSRGAGGSKYLYPCDLIPFTRKPIFLVIDSDNSHAFKAGYTWG >DRNTG_11807.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:370362:371785:-1 gene:DRNTG_11807 transcript:DRNTG_11807.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPISQLIGTDFAPDSMRHQHGSYFTLFLTAPLQAFCLLVNISGMNMEKDTYNKAEKLLSSSLSEWESTLLTSDSLHPVWVEALGDPFLRRLLLRYIFCRTVYALYAKTFRREEFSPTCLPHLPDSVLPEETISQTAVLRLANMFNVKDYFAFSDGIVASEVDENESTADEC >DRNTG_11807.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:370362:375108:-1 gene:DRNTG_11807 transcript:DRNTG_11807.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPSSIPITELFWSLLDKADRKFSLLRDLPSFSRNRNDLDFHKAFKIYTQLWKMQQEHRQKLTDAGLKRWEVGELASRIAQLYYGQYQRTSDSSFLSEAFIFYEAILSREYFRDASSSSPDPALANKQLRFLARFLIVCLLLGRRDMVSRLASQLRVVLDECKKNFQETDFKEWKHVVQEIFRFMKVDTPFINMRPLRYSFVYDSNPNSLSVPSHTNRRLVLRDSILSTYHHNEVKFTELTIDTYRMVQCLEWEPCGSFSLKGGANSGHNESGPNRVNLLQDIRDPSLPPNPRKVILYRPSITHYLMVLATVCEELPSDGILLIYLSAPGGLQTVTLSSGSSPDTVHRIAENSDGAEMASPINSPSNSPRRTSFHENDNQKTNSEAALWLGSRGAGGSKYLYPCDLIPFTRKPIFLVIDSDNSHAFKVIHGAEKRETIAMLLSPISQLIGTDFAPDSMRHQHGSYFTLFLTAPLQAFCLLVNISGMNMEKDTYNKAEKLLSSSLSEWESTLLTSDSLHPVWVEALGDPFLRRLLLRYIFCRTVYALYAKTFRREEFSPTCLPHLPDSVLPEETISQTAVLRLANMFNVKDYFAFSDGIVASEVDENESTADEC >DRNTG_11807.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:370362:375108:-1 gene:DRNTG_11807 transcript:DRNTG_11807.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPSSIPITELFWSLLDKADRKFSLLRDLPSFSRNRNDLDFHKAFKIYTQLWKMQQEHRQKLTDAGLKRWEVGELASRIAQLYYGQYQRTSDSSFLSEAFIFYEAILSREYFRDASSSSPDPALANKQLRFLARFLIVCLLLGRRDMVSRLASQLRVVLDECKKNFQETDFKEWKHVVQEIFRFMKVDTPFINMRPLRYSFVYDSNPNSLSVPSHTNRRLVLRDSILSTYHHNEVKFTELTIDTYRMVQCLEWEPCGSFSLKGGANSGHNESGPNRVNLLQDIRDPSLPPNPRKVILYRPSITHYLMVLATVCEELPSDGILLIYLSAPG >DRNTG_11807.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:370362:375108:-1 gene:DRNTG_11807 transcript:DRNTG_11807.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPSSIPITELFWSLLDKADRKFSLLRDLPSFSRNRNDLDFHKAFKIYTQLWKMQQEHRQKLTDAGLKRWEVGELASRIAQLYYGQYQRTSDSSFLSEAFIFYEAILSREYFRDASSSSPDPALANKQLRFLARFLIVCLLLGRRDMVSRLASQLRVVLDECKKNFQETDFKEWKHVVQEIFRFMKVDTPFINMRPLRYSFVYDSNPNSLSVPSHTNRRLVLRDSILSTYHHNEVKFTELTIDTYRMVQCLEWEPCGSFSLKGGANSGHNESGPNRVNLLQDIRDPSLPPNPRKVILYRPSITHYLMVLATVCEELPSDGILLIYLSAPGGLQTVTLSSGSSPDTVHRIAENSDGAEMASPINSPSNSPRRTSFHENDNQKTNSEAALWLGSRGAGGYTWG >DRNTG_11807.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:370362:375186:-1 gene:DRNTG_11807 transcript:DRNTG_11807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPSSIPITELFWSLLDKADRKFSLLRDLPSFSRNRNDLDFHKAFKIYTQLWKMQQEHRQKLTDAGLKRWEVGELASRIAQLYYGQYQRTSDSSFLSEAFIFYEAILSREYFRDASSSSPDPALANKQLRFLARFLIVCLLLGRRDMVSRLASQLRVVLDECKKNFQVNCDPIFSFRIILQFSIASK >DRNTG_11807.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:370362:375108:-1 gene:DRNTG_11807 transcript:DRNTG_11807.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPSSIPITELFWSLLDKADRKFSLLRDLPSFSRNRNDLDFHKAFKIYTQLWKMQQEHRQKLTDAGLKRWEVGELASRIAQLYYGQYQRTSDSSFLSEAFIFYEAILSREYFRDASSSSPDPALANKQLRFLARFLIVCLLLGRRDMVSRLASQLRVVLDECKKNFQETDFKEWKHVVQEIFRFMKVDTPFINMRPLRYSFVYDSNPNSLSVPSHTNRRLVLRDSILSTYHHNEVKFTELTIDTYRMVQCLEWEPCGSFSLKGGANSGHNESGPNRVNLLQDIRDPSLPPNPRKVILYRPSITHYLMVLATVCEELPSDGILLIYLSAPG >DRNTG_04996.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4310270:4315499:-1 gene:DRNTG_04996 transcript:DRNTG_04996.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDLNGKVEAGAVEELGGPTNPMVSPLLTDLYQFTMAYAYWKAGKHLDRAVFDLFFRKNPFGGEYTVFGGLEECIRLIANFKLKDEEISYLRSVMPTCEDGFFDYLREIDCSNVEVYAISEGSVVFPKVPLMRIEGPVAVVQLLETPFVNLVNYASLVTTNAARHRFVAGKSKTLLEFGLRRAQGPDGGISASRYCYMGGFDATSNVAAGKLFGIPLRGTHSHAFVSSFMMR >DRNTG_04996.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4304781:4315499:-1 gene:DRNTG_04996 transcript:DRNTG_04996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGVPNFCAVALALNDLGYKASGIRLDSGDLAYLSIQARKFFCMVEKEFGAPGFGKMLVTASNDLNEETIDALNKQGHEVDAFGIGTYLVTCYAQAALGCVFKLVEINNQPRIKLSEDITKVSIPRKKRCFRLYGKEGYALVDIMIGEDEIPPKVGERILCRNPFNESKRAYVAPQRVEELLKCYWPGDSHKPREVLPSLSEMRDLCMQHLDQMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLSEAPVGELL >DRNTG_01756.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14221902:14222853:-1 gene:DRNTG_01756 transcript:DRNTG_01756.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWNSKRFLRFRTVCGAETDQFLRQGIVLISACRVMKPIIKERSQCGSQCTDFEGNLAKVQTQRHRVLERFYVSDIAHHLEEGYWESFRRHRSGEAYPRPNKGSLATSRGLSTRPST >DRNTG_14374.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:418748:419575:1 gene:DRNTG_14374 transcript:DRNTG_14374.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSSNMIDGQNARKQENRGSHEKNRSHTFKNAKECLLSVKRSVEELHQKNLFPYNPMPLIKSFTIFQKLCEEEQ >DRNTG_14374.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:413770:419575:1 gene:DRNTG_14374 transcript:DRNTG_14374.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFEMLLQLSDAKFISLQNQNNVEHRSFEEKNGNIIFHISRIFDPIGIFHIFLQLLHYDHLIIIDYLISKDIGVHCLQYLLRSLHKICKSWHHFAKYSICESEIDQQYKKRKIFMDNSSNMIDGQNARKQENRGSHEKNRSHTFKNAKECLLSVKRSVEELHQKNLFPYNPMPLIKSFTIFQKLCEEEQ >DRNTG_14374.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:413770:415403:1 gene:DRNTG_14374 transcript:DRNTG_14374.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAANCSHSHLCLLFDSSLLPFIGSKKSYGSITKEAEKELLLSLSKVFREIQKLEEESDSDSDQDSVVSPIFSHRTSLTSEKPSENSHDCLANVVSLSVSFFKTRVSQLYCEKNCACVICFECTTWWSVRSLAYLLFFYILILFVLVVLIHSEND >DRNTG_14374.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:413770:419575:1 gene:DRNTG_14374 transcript:DRNTG_14374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVLRNVRVQSKLFPSLASCKSVVTSAAFWVYQSFLSGFKSVCSWENSRTMIITQHHAAPLHYPFYNCLLRKMICYLKCYCSYRMLSSFPCKTGSQNNVEHRSFEEKNGNIIFHISRIFDPIGIFHIFLQLLHYDHLIIIDYLISKDIGVHCLQYLLRSLHKICKSWHHFAKYSICESEIDQQYKKRKIFMDNSSNMIDGQNARKQENRGSHEKNRSHTFKNAKECLLSVKRSVEELHQKNLFPYNPMPLIKSFTIFQKLCEEEQ >DRNTG_14374.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:413770:419575:1 gene:DRNTG_14374 transcript:DRNTG_14374.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFRKAGSQNNVEHRSFEEKNGNIIFHISRIFDPIGIFHIFLQLLHYDHLIIIDYLISKDIGVHCLQYLLRSLHKICKSWHHFAKYSICESEIDQQYKKRKIFMDNSSNMIDGQNARKQENRGSHEKNRSHTFKNAKECLLSVKRSVEELHQKNLFPYNPMPLIKSFTIFQKLCEEEQ >DRNTG_00714.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000052.1:73385:73868:-1 gene:DRNTG_00714 transcript:DRNTG_00714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYTKIFIKFSETFWPTGNGTEFFLYASERRGYYPIWQEIEKQYPGANVLLVTVSDEESRRIEQQSDEETKAEVMEVLREMFGKDIPEAIDILVPRWWSDRFFKGTFSNWPIGVNKNEYDEIR >DRNTG_08124.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11793340:11796005:1 gene:DRNTG_08124 transcript:DRNTG_08124.1 gene_biotype:protein_coding transcript_biotype:protein_coding KMTRTTISQQDKQHLQAVFQDPRRSITFDFDGRKREK >DRNTG_03376.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30107224:30110721:-1 gene:DRNTG_03376 transcript:DRNTG_03376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMQLSEAPLENKRPSYDPIYGANVTPTTFYNIGDLEIQDNLARIWVDIGTTEPLLLDVLINALFNISSDFVGIKQLVFGGSEFENWRENLTSEDAGCSTHKI >DRNTG_01797.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000095.1:33720:37659:-1 gene:DRNTG_01797 transcript:DRNTG_01797.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNPSILIEGDPELERTLRRKGKELVQEQR >DRNTG_14510.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000701.1:9861:10776:1 gene:DRNTG_14510 transcript:DRNTG_14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGRAEFLHGRMATKSKKAVAKRPRKPAPEPEVMEFTFPAHQARKVQLADDMADEVEKVLLVGNWHKLLNIHDPAIRTLTLEFSTRLGLYDEEYTETEEYENLPIDMTGLSPIEAYSLLCGKGHYEPGVSKASCLSRPSYRYLHAIISRSVNGRGDSTGVINKQELLYLYSMVWNELVHLGHILAEYLKHQGQYPRLGVIFSGPYITRLIVGWVCETKSEEPRKR >DRNTG_31411.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001699.1:64125:64379:-1 gene:DRNTG_31411 transcript:DRNTG_31411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVMKGSKRVMVMMFIVLLMSGMSVHGRNFKYGRCDEVYIVEEGETLQTISVKCNTLSILDDNPQIKDTDDIGPGTVLLIRSA >DRNTG_10098.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20744957:20750171:-1 gene:DRNTG_10098 transcript:DRNTG_10098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQEARGEEFEKKAEKKLTGWGFFGSKFEDAADLFDKAANCFKLAKNWERAASAYKKLANCHLKLESKHEAASAYVDAANCYKKISAQDAAESLNQAVNLFLEIGRLNMAARYCKELGEIYEQEQSFDKAIDYFERAADLFQSEEVTTSANQCKQKVAQFAAQLEQYPKAIEIFEAIARHSMNNNLLKYSVKGLLLNAGICQLCRGDVVAITNALEKYQDLDPSFSGSRECKLLADLAASMDEEDVGKFTDAIKEFDSMTRLDPWKTTLLLRAKNVLKAKEEEEDDLT >DRNTG_35114.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8733720:8735591:-1 gene:DRNTG_35114 transcript:DRNTG_35114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLEQRSVILRAHRGVCLPLWMLSCGATWTLDFKD >DRNTG_20392.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19291305:19292325:-1 gene:DRNTG_20392 transcript:DRNTG_20392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTPLSIRISTAVEGIERVSEKSSSRASGIKRGTPNKRWKGEYDSFLIPLLVEQVKKGMKCNKSFKRAAFVFAAVAVNSRFNTDFSAENIENHYRTLKSRYVEIKKVRDLGGVGWNDTTKTITLDPMVALTYIEAHPTAKAFINKPIEHYEALRIMCGDDNATGAYVTSLYADFGDKSEAEGNNMENFDEGPVELPSDDDADVNSAPPVVGSPATSSAQRSQRSSRGSKNPSMMGDLIIVVGEMATAIKNPTHWTKPLYAKVMEVDGFQKKELVQVFEYLQFRENEARGFLVKDMELKKDWIEQFLSRMD >DRNTG_21448.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1801028:1806124:-1 gene:DRNTG_21448 transcript:DRNTG_21448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRVTDLGYGGYGRCHSSNSYKRLSAVSGNCKVLMSRKRKTPDTIMPWMGADNCSEAIMVSEKSKLTSVMANAPKDNTSWMSKGQDEKDQKMDIRTVRQCAIILKKLMSHQVGWVFNQPVDPVKLNIPDYFSIISKPMDLGTIKRKLEGKLYSSTHQFAADVRLTFSNAMQYNPPGNEVHIMAKELNNIFNLRWKLLEDKWIKETVTVLHKPVTKDGQNQEFKTKQAVRITSALSATAMRKCAKVSVVDTRKVMDDETLKTASAGINDTCVSMEFSSRCQYDVSQASVIDVDSDRSLADESHGHDCILSDLDFKNSLAEAAVIEDNAQTMWHLASSPVAARKDAEDSLPLEQLSPTKALRAAMLKSRFADTIIKAQQKTLLNHGNKVDRVKMQREKEKLEKQQQEEKARIEAHVKAAEASARKKAEYELKMQREKEREAARLALRKMEKTVDINDNFQTFKDLESLAYSLSGHQLDEMDRIRNPLEKLGLFIKREELDEPDDHVGVAADNSHNNGDVEEGEIGCL >DRNTG_04514.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19117644:19121343:1 gene:DRNTG_04514 transcript:DRNTG_04514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEPLKKKKSLTISGDQFRRTNTKNLLPTSS >DRNTG_33847.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2005515:2010483:-1 gene:DRNTG_33847 transcript:DRNTG_33847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSLMEGFTKSLAMTVLSEIGDKTFFAAAILAMRHPRRLVLAGCLGALIVMTILSALLGWAAPNLLSRKWTHNITTILFFAFGLWSLWEGFTEEGDAEEFAEVEAKLDADLKADKNGAKGGPKADDLKKQQRPFLTQFFSPILLKAFSITFFGEWGDKSQIATIGLASDEDPFGVILGGILGQTLCTVAAILGGKSLASQISEKMVAFSSGILFLVFGVQSLLSAVGES >DRNTG_09262.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:493249:502427:-1 gene:DRNTG_09262 transcript:DRNTG_09262.1 gene_biotype:protein_coding transcript_biotype:protein_coding RNRTLSTTSSDNMLKKGVSRAADGKAGEEMQMEVVSCTKQAKTLTARQHRSYVVFHLPGLQQSICSMQKLSDICDIC >DRNTG_09262.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:500112:502427:-1 gene:DRNTG_09262 transcript:DRNTG_09262.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKGVSRAADGKAGEEMQMEVVSCTKQAKTLTARQHRSYVVFHLPGLQQSICSMQKLSEGSAAISNSSREYRLPERKSLAS >DRNTG_11260.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21771525:21775625:1 gene:DRNTG_11260 transcript:DRNTG_11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKFPKNLGKALEQKQALEARISDSHLLVKDFKEKLSTAQSLLNSLQKEHEDLQRERDNAIREIEELRQRKEVGSSVYRPLNFLEFSYSELQQATQNFDKSMKIGEGGYGSVYKGFLRHTAVAIKLLNPQSKQGEAEFHQELDVLSKVRHPNLVILIGACLEKRALVYEFLCNGCLEDRLVCKDNTAPLTWQVRTHIAAEICSALTFLHSNKPHTIVHGDLKPANILLDSNFVSKLGDFGICRLLLQSNDTTNLFRETHPKGTFVYMDPEFISTGQLTPRSDTYSFGVILLRLLTRRPPLGIVREVQEAIEKECLHEILDPSAGRLAICASQAVGTASFKML >DRNTG_12900.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15460314:15467603:-1 gene:DRNTG_12900 transcript:DRNTG_12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNYLLSVTPVSVGEYMLASWLGMMAIWPLIFLASFSFSNMLIVIYLIVYVGTTLKDLADVTHGWSEVTTSRLVLILLGFVVSGQVKLFLEHDEPGLIRLVPAFVDSSSTILMVCVTKVAKASLEKALAENPDVDSILISPQLPAESAPPLEGLTEPLIVKIETSTNNHEN >DRNTG_32662.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18900601:18901011:1 gene:DRNTG_32662 transcript:DRNTG_32662.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIQENEQGGKKLPTTSSQVASTEKFWRNNQITAKQIQ >DRNTG_00972.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20918339:20923585:-1 gene:DRNTG_00972 transcript:DRNTG_00972.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKISVAVRFRPSTSDPLPSDRQWHLDDNRISLHRPLGTPNPTSSSPSFSFDHVFDHSTTNSSVHQVLTSPIILAAVDGFNGTVFAYGQTSSGKTYTMNGSGSDPGIIQLAVRDVFEMIQMVTEREFLIRVSYMEIYNEEINDLLVLGNQKLPIHESLERGVYVAGLREEIVNNTDQVLELIKLGETNRHFGETNMNARSSRSHTIFRMVIESSVKNTTSSGDVSDTDAIRVSVLNLVDLAGSERIAKTGAGGVRLKEGKHINKSLMVLGNVINKLSEGKQRAHIPYRDSKLTRILQPALGGNAKTSIICTVAPEEVHVEETKGTLQFASRAKRITNCAQVNEVLTDAALLRRQKLEIEELRKKLQGSHSEVLEQVILKQRNDMLKSEMERDKLATQLEEERKARETLENHIKEQQKKIENLNDLSFSSGQTQNSCQVDSGEISKHVFNGNNGVQLDVFKTPNFKSMPNNFVMKRSVYSSAIESSPIPDSFDSIADEDMWLRLNKGLTTDLDTLDMTPFRNNQFLSQDASLEHALEETSTEETSSQEADCSLHKQELEASKEICAKLENECKLLREQNASLVQTLSMSKENVELLTAEKEELLKNLNIEKQKLKDLKDEIRQFSLAFAQREGLLTSLYSKSKAMVENLKSCRAPIPEILEC >DRNTG_00972.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20918339:20921738:-1 gene:DRNTG_00972 transcript:DRNTG_00972.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSEMERDKLATQLEEERKARETLENHIKEQQKKIENLNDLSFSSGQTQNSCQVDSGEISKHVFNGNNGVQLDVFKTPNFKSMPNNFVMKRSVYSSAIESSPIPDSFDSIADEDMWLRLNKGLTTDLDTLDMTPFRNNQFLSQDASLEHALEETSTEETSSQEADCSLHKQELEASKEICAKLENECKLLREQNASLVQTLSMSKENVELLTAEKEELLKNLNIEKQKLKDLKDEIRQFSLAFAQREGLLTSLYSKSKAMVENLKSCRAPIPEILEC >DRNTG_01496.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:14098517:14099930:1 gene:DRNTG_01496 transcript:DRNTG_01496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADDMMDAFVCIIQNSLTIVPYRYKKRTSITRPLALFMSMQEDTHDTTMAMIGDATRNLHDVEIVILPIIMNGHFHVVVLDNDKQEYRHYSSFQSKEYDKDALDMLLVDEKLRLPQTDVPYLRLKYVSRILKEGRAAGIIAKGECSKAASRRQFHCKICDCDWSRSNGCNITRGTSKACDSILYRLGRPGCLLVTGDRRRSLRFPFVGLLSSGMGNIASLYASFARVFSLDTINLPPTT >DRNTG_28349.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:257972:265150:-1 gene:DRNTG_28349 transcript:DRNTG_28349.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLKAVLLARRSIPALRFLPSSRNLSIETTAAREDDLQNQVLVEGKASARAAILNRPSVLNALTTTMALRLKKLYESWEDNSDIGFVMMKGSGRAFCAGGDVVNLYRLLNEGKVEDCKDFFRNLYMFIYILGTYLKPHVAILDGITMGGGAGVSVPGTFRIATDRTIFATPETLIGFHPDAGASFYLSHLTGHLGEYLALTGEKLNGVDMLAVGLATHFSMSARLDWIDERLAKLVTDDPSVIDSSLAQYGDVVYPDKKSIVHRLEMIDNCFGRETVEEIIDALESEAARSNQEWCISALKKLKEASPLSLKVSLRSIREGRYQTLDECLIREYRMSLHGILKHVSNDFCEGVHAKLVDNTLTPKWDPPSLDRASEDMVDYYFSPLDEFEPELKLPTQLREAFI >DRNTG_28349.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:257972:265150:-1 gene:DRNTG_28349 transcript:DRNTG_28349.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLKAVLLARRSIPALRFLPSSRNLSIETTAAREDDLQNQVLVEGKASARAAILNRPSVLNALTTTMALRLKKLYESWEDNSDIGFVMMKGSGRAFCAGGDVVNLYRLLNEGKVEDCKDFFRNLYMFIYILGTYLKPHVAILDGITMGGGAGVSVPGTFRIATDRTIFATPETLIGFHPDAGASFYLSHLTGHLGEKLNGVDMLAVGLATHFSMSARLDWIDERLAKLVTDDPSVIDSSLAQYGDVVYPDKKSIVHRLEMIDNCFGRETVEEIIDALESEAARSNQEWCISALKKLKEASPLSLKVSLRSIREGRYQTLDECLIREYRMSLHGILKHVSNDFCEGVHAKLVDNTLTPKWDPPSLDRASEDMVDYYFSPLDEFEPELKLPTQLREAFI >DRNTG_26817.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20344819:20345917:1 gene:DRNTG_26817 transcript:DRNTG_26817.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEVSEEFVVRMTFMLNLGKTLRGDDKTLAAPLFPMIFIGGELVGGLDRLIAIHVNIITWINASYMSLSSTVCTSFS >DRNTG_23521.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22240273:22243229:-1 gene:DRNTG_23521 transcript:DRNTG_23521.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTSFISPTLLKCVTSSPNLLIYANNPRHLRLVPRFADPNYFSSSSTICTCFFGTASLGIGIKGQRGASRVARFAALMGLRSARAPYAAAAVAGDGPPSVGPGKWEATGRSGTMGSPPEVEGIDGGFRKEGKEEGKENRLLTLPTLLTLGRVAAVPLLIGTFYMDGRWATTVTTSIFVGAAITDWLDGYLARKMQLGTAFGAFLDPVADKLMVAAALVLLCTKPHHTGMNGDVSWLLTVPSIAIIGREITMSAVREWAASQNTKVLEAVAVNNLGKWKTATQMVAITILLGTRDPSLAAPGLLIGSGVTLLYISAGLAIWSLAVYMKKIWRVLLK >DRNTG_04349.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31092882:31093872:1 gene:DRNTG_04349 transcript:DRNTG_04349.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEYAMRGYLTDKADVYSFGVVMLEIVSGMINGGRREEEECVCLLDWAAILQERGALLEIVDKSLGPHYLEEEALLLLNLALRCTNNVPALRPTMSTVVSILDGENSESVSPVKLTT >DRNTG_04349.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31092307:31093350:1 gene:DRNTG_04349 transcript:DRNTG_04349.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISALNHPNLVKLFGCCIEGNHLLLVYEYMENNSLANALFGPGKTRLTLDWRTRCSICLCIARGLAYLHEESRLKIVHRDIKATNVILDKDLNAKISDFGLARLFEEDESHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVMLEIVSGMINGGRREEEECVCLLDW >DRNTG_18556.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16331714:16332096:-1 gene:DRNTG_18556 transcript:DRNTG_18556.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHYKDTVKSRKYDSQTPPDPRLDLLLAPSYKVGFTKSRELG >DRNTG_18556.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16330566:16332096:-1 gene:DRNTG_18556 transcript:DRNTG_18556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYKDTVKSRKYDSQTPPDPRLDLLLKLEE >DRNTG_03842.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4349505:4356413:-1 gene:DRNTG_03842 transcript:DRNTG_03842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSFLRKKVSRGASIEASGSTAFDIEFIWRRTIEGAFAGIDSARCALAPGYDPRVQPTPRVMKRMIQKLKRSRRYHKRRPNASGDEHSRSKGDELLSPNKLDNSPSTFAQLLHPKRTQGRVNAPVSDLVTVTCPWRISTWACGSLQSVKSPSQEDTGRRVEHLEIFLWRTEKPQGHVGAPVGMVPRSKKLAGKRPHEPSLEQLEFVIPEHQAQFERLSKLKFGQSRFADLSTLREIQLGDEMADKVDELLSIGSYRKLLYIRSWPSFKAFGLYHNMSMTQFSMWLGLMQCGQGQYEPGISQVACLSRPSYRNVDAVLNRSVNGCGDSIRVLSRQELLYLYSIVQSEPLHLGHIMA >DRNTG_16041.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25913620:25917174:-1 gene:DRNTG_16041 transcript:DRNTG_16041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSTRFSSAMERTNQWVFSQEVPTDIIVEIGEAKFPLHKFMLVSKSGYVRRRVLECERSDLTRVDLSGVPGGAETFEKAAKFCYGVNFEISVNNIAALRCAAEYLEMTDKYYEGNLAGRSEDFLAQVALKTLPGAVLVLKSCESLLPMAEDIGLVQRCVDVIALKACNESNFPTRSPPEWWATELAPLSPNSLRKVLAAMKSRGATPKTLATTVSAYAEKSLPVLLSLPTNSPTSDSGDALSHQRALLESLAALLPPDRDAPLPAGFLCCLLRAANSLSASIACRRELERRVAGALDRASSGDLLAVALDHAGDRIADLDSVRRIIAYFVEKESAAAGGGGLLYGGVSNSCSVAMQKVARTLDLFAAEIATDEDLPVSKFAAIPGALPKSARRLDDDLYRAVDIYLKAHPGLDEIEREKVCSVMDPLRLSYEARLHASQNKRLPLQIVLHALYYDQLKIRSGPFPAGDDPLAAVKRQVSAVGDAELARENAALRTELAKMRMYVTDMQKGQGSACSTGSAGKGTGVKKGGTFFSSVTRTLGKLNPFKQGSKDTSHIDDGLSEVVPKQPRRRRFSIS >DRNTG_33421.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19086033:19087147:-1 gene:DRNTG_33421 transcript:DRNTG_33421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPESLEPEVSLFMDILESSSIEIDAKHTAAYKLRLLAKHLSYFMEMIGKLRIHASMQENPDFDKAVAKREKRQGWTSLKMSESKLEKSDKWVKNEEEEDDVAFLCLIGMSQMI >DRNTG_22745.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31630744:31632697:1 gene:DRNTG_22745 transcript:DRNTG_22745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENQYQKVQMPKYECLVFDLDDTLYPLSSGLAAECRKNIQDYMIMKLGIEESKVSELCNILYKEYGTTMAGLRAIGYKFDYDDYHSFVHGRLPYEKLKPDPVLRHILLSLPIRKVIFTNADKMHASRVLNRLGLEDCFEGIVCFETLNESNSEQSSDKSLVTSNVFDILEHFSHPDACVELPKTPISCKPSEEAMRRALKIANVNPQRTIFFDDSVRNIQSGKRIGLHTVLVGNAQRVKGADHALESIHNIREALPELWEETEKSGDVRYAKKVAIETSVIA >DRNTG_15267.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4450345:4454848:1 gene:DRNTG_15267 transcript:DRNTG_15267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGFSFGFSWVSFALALFAIVIVRAIGRRNQTGQLPPCPRSLPIVGNLHQVFGKMPHVCFTRLANTYGPVMSLRLGQVITIVVSSPDMARDVFQTNDVAFSSRPVPDAIVGYVEPDCVTPQEVRESIGLAIRALGHNECSMGWLPANQKWKNLRRICMTELFSSQRLNANEGLRRQKVNELIDFVSKCCVEGRAVGIGRVASTTTLNLLSNTILSTDLVSLESESSHEFKDLVENIMEEAGKPNLADSFPWLRGLDPQGNRRRMTAHFKQIHAILDRYIDKRLQAVSEGRSSSMTNNDFLDTLLASQLERGNMKSFLTELFYAGSDTSSVTVEWVMAELLRHPEVMARVRAEILEVIGSEKDVEESDIGKLKYLQAVVKETLRMHPPAPFLLPRMAESDVELGTYTVPKGAHILVNVWAIGRDNKVWPEAHMFKPERFLDNEIDFRGRDFEFTPFGAGRRVCPGISLGYRMVHLMLASLLQRFEWRLPDGMKPETLDMSEAFGILLCMASHLQVIPVN >DRNTG_01843.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7673421:7674203:-1 gene:DRNTG_01843 transcript:DRNTG_01843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKKLSSEASNHAAQNERLPIRSVIQILLSDHSKIARLTSDYSGPMSLTRSPNSTRCHSKRELLAQHQEVRRLKDDVTRLQLQCQSLQAQIDRITEKNRKGSKRGFFGWSTFLSKNSDEMVNRFHDGRRTPMNTIKATPKWRHSSSKSGGTAPTRMRMIPSRTLD >DRNTG_08918.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27403927:27406576:1 gene:DRNTG_08918 transcript:DRNTG_08918.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKTREKERKREREMWRFPTNKNNGDAAHHLSFLFLHPHHSTRTPHPLF >DRNTG_16987.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000862.1:12:1692:-1 gene:DRNTG_16987 transcript:DRNTG_16987.5 gene_biotype:protein_coding transcript_biotype:protein_coding METLTLIAILLLLLLILLTILRRRRHAPVTRTQNQSGSFLELMKNSHRILDWTTDLLLSKPSGTVSTFMAIITSNPSNVEHILKTNFNNYPKGSSFTSILHDFLGSGIFNADGDHWRLQRKTASLEFSTKAIRAFILSRVHLESISRLLPLLTSASVSGEIINLQDLLELFTFDNACQVTFGHDPSLLNASSPSFSDRELALAFEEATQLSVRRFSHPFPFIWKLQRFLNLGSERRLREEVAKVQAFAMEVVRERKRRRDLTGSLGDDLLSRFIAVDNDYSDDFLRDIIISFVLAGRDTTAAAITWFFWLISTRPDVKRRSSMRSSQ >DRNTG_16987.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000862.1:12:11270:-1 gene:DRNTG_16987 transcript:DRNTG_16987.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAPVFSLDQVKDMVYLHAALAESLRLYPPVPLQTRTSLEDDVLPDGTAVKKGQTVMYSSYAMGRRKEIWGPEWGDFRPERWIEKGEFRAVSPFTYPVFHAGPRMCLGKEMAHIQMKAIAAAVLERFEIEVVDGEKERVKDLGIMLRIKGGLPVRVHPLVY >DRNTG_16987.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000862.1:12:8583:-1 gene:DRNTG_16987 transcript:DRNTG_16987.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAPVFSLDQVKDMVYLHAALAESLRLYPPVPLQTRTSLEDDVLPDGTAVKKGQTVMYSSYAMGRRKEIWGPEWGDFRPERWIEKGEFRAVSPFTYPVFHAGPRMCLGKEMAHIQMKAIAAAVLERFEIEVVDGEKERVKDLGIMLRIKGGLPVRVHPLVY >DRNTG_16987.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000862.1:12:8231:-1 gene:DRNTG_16987 transcript:DRNTG_16987.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSYAMGRRKEIWGPEWGDFRPERWIEKGEFRAVSPFTYPVFHAGPRMCLGKEIAHIQMMAIAAAVLERFEIEVVDDEKERVKDLGIMLRIKGGLPVRVHPLVY >DRNTG_16987.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000862.1:12:8231:-1 gene:DRNTG_16987 transcript:DRNTG_16987.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSYAMGRRKEIWGPEWGDFRPERWIEKGEFRAVSPFTYPVFHAGPRMCLGKEIAHIQMMAIAAAVLERFEIEVVDGEKERVKDLGIMLRIKGGLPVRVHPLVY >DRNTG_01620.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:999993:1002410:-1 gene:DRNTG_01620 transcript:DRNTG_01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDYMHLITNANVLVTTRYESVITHEGIYKHKLPLFSEEDGWALICKLLFNDGEKGNMQHFEQIGKKMVNKCHGLPLSIKTIARILNTKEKNLSEWEKVLENIIVLLEPSNITLPKPVYLLPYENLSPYIKQCVIFCAFFPEDYIFDKNILIQQWVISGLVEKKEKTQQLLEDVANDYYMELVESNILQPAAAECFYYDDKTMCQMHGSMSSFGQPLVQNYGYFQGDVKALEEATTFPSSSSVPKLHHLVITNHAPLNVFPNIVKKQTSVRTLVFTSKLEITKLPKDLFQKLKLLRILDISGSDCKVLPKSLFKLLHLRHLNLSCLPIKTLPDAIGDLINLQHLILRYCGSLLYLPESILKLHKLRSIDVHQTSLTYMPFWIHQLPQLTSLVGFVASEFSSYERLAEISELKKLQTLHIVNLEVVNDLPRKVLQEHINLTNLTNLTLSCRGEGQPYEEDEKEKMQECFEALDPSSTLIEHIKINGYFGLRFHRWIMDLPFLKLKRLDLLKCKYCTELPALYHFPLLEHLRVEDAWSIKHIDLDVGPWSNVPSLKSLILKDMPEWEEWTWEPYQSHLLKPVLELLEIINCPKLKSLPQGLACHAKNLVTLTIYKAHSLEKVEVFASLKTATFFSNHNLFIISEFPTTCNFEIDDCPKLDVALLPQTSLQRHTYTSL >DRNTG_01528.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5193535:5195791:1 gene:DRNTG_01528 transcript:DRNTG_01528.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIDWVAFLIVAFYDVTRTRELLSRLSEDTQIIKTAATTNLLVGLHNPTTTRIRLGLCLQPHGN >DRNTG_24931.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001317.1:54542:56780:-1 gene:DRNTG_24931 transcript:DRNTG_24931.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDKISGTEKAIIPAPLGLETMRLMVLVRKYSNGVYVLNIPFEDEARASQPAPEPQAAPMETETHPVVEEPPPVIRAEVAEVRAEIAEIRATQATQYTEFMARFDVLQQILERDVASSFVLRPRTPQAPSFSPAPPSSTPAPVDPPCASSAAAAVQEPESNTDI >DRNTG_11811.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:348303:349977:1 gene:DRNTG_11811 transcript:DRNTG_11811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEETGVKKGPWTPEEDKKLIEYINNNGHGSWRRLPKLAGLNRCGKSCRLRWTNYLRPDIKRGRFDDDEEKLIIHLHSILGNKWSTIAARLPGRTDNEIKNYWNTHLRKKLLQMGIDPVTHQKRSDLGFLAGIPNMFAFSGNLDMNALKLQADAAKLARVQLLQSLIQLIASTSSPSSSDLLINHHVYGSSSLGSFGVSPTQDLNLGVGNTNNNQEFFMESNNGGGDNGNTVMMINGCPCPYNIHDHNSQHSTPSLVPASPETLDNNNNNNNNNNNISNNISIDQTQDQANCFADECIDISRNSNTSFGDWDGLNFDDSNTDLCWKDILEQIS >DRNTG_14449.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000691.1:27:3346:-1 gene:DRNTG_14449 transcript:DRNTG_14449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAVTPNPIQVLGRNHFWPNPPMIALSARISLIKVDTQLDLSGWLAGGLKVIIRTLVAMEARYLSLVTGYPNLQPVSFIPQMFWHPKRAKVPKNQPQKNIQSPRFE >DRNTG_06764.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21592984:21594103:1 gene:DRNTG_06764 transcript:DRNTG_06764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWHHQCMWLHMFIWIGWLISILSLGFLMPMFILCSSLPSWLLPKFVEDLNYRNSYFAKVGGLTTEEMNRLEIDFLFMMRFKLHVNVSVYESYCMHLEREVSIGGGYQIERTLRFMLMCSHDEDNVSSFSSSSSKYKYIRKSTTNVPFNQQHLAKVL >DRNTG_18301.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2593360:2595524:-1 gene:DRNTG_18301 transcript:DRNTG_18301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLLEFGRRAMFLVRVLSGYEERRIRSYRLQLQKQIEQSQARKMELRKIPEQAILSEVRRMVEEMQALNRKLEETEAAIEDYFKPIDKNAEIIMNMQIEKEEKQMQEMLKTMQEQAMIQKEMAEKKNTISKSNQAPEIASTPSNLEKSN >DRNTG_17541.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29058103:29059076:1 gene:DRNTG_17541 transcript:DRNTG_17541.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFMVGANQLLFEGLTRELPVIGVVEDTWMIGVIDELQMPVGESSL >DRNTG_21147.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2334936:2340278:1 gene:DRNTG_21147 transcript:DRNTG_21147.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVREVVGLVRNQRQQQALAAPPPLPPPPPPFPQEPKEKTIMEFKRSGPPPFEGTTNPDEVEVWVEEMEKAFAVMKCTEEEKLRFGVYMLKGPANHWYQGELRTRQGQQFSSWLQLREALFCKYFTRDKMVQFEKKFINLTQGSMTVDEYEMEFDRLSRYAPKLVDDDQSRARRFEGGLQAHIRRGLAALHLSSYAEVVSRAKSLDTVWSDTKDQNRRFQKKRDRSFDSQRNHQSGSGGKPRLEVRQGESQTVNGPSAQRSRGFAPIPYRSGQRCATCGG >DRNTG_21147.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2347088:2347624:1 gene:DRNTG_21147 transcript:DRNTG_21147.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRDWVPQLEILAHKAIGAFMSHCGWNSCMESLSFGVPILAWPMHSDQPRNAMCVSEYLKVGFMVRDWEHRMEVVSSMVIVEVVKRLMVSDEGMEVKDRARELGEQIRVGVSHGGSSWKEMQSFISYIST >DRNTG_21147.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2325583:2347521:1 gene:DRNTG_21147 transcript:DRNTG_21147.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNNNNNNTTILVIPFPAQGHLNQLLHLSLRLSTSGHFSSVHFAGSSIHNRQIISRFQGWPSSSLSTLRMHDFPLPPFSTPPPNPSSIFPDHLLPLLHSLSHLQPFLSSLLHDLSSSSKRLILIHDPLMSFAAKQALSLKTSTHIQVFKYICTPCFYQLSFLPNQTSSDLVLKQFPGCFSDTFLEFRTRGHYDKDAVEDGFIINTCEAIEGEFIEDFRRAKAGKRVFTVGPAHPLVVVGGVRRSECLDWLDKQVDKSVLYVAFGTTSMMSDEQIEELAIGLEKSDQKFVWVLRDADGGDVFADEQNADKRRRKLPQGYEERLKGVGMVVRDWVPQLEILAHKAIGAFMSHCGWNSCMESLSFGVPILAWPMHSDQPRNAMCVSEYLKVGFMVRDWEHRMEVVSSMVIVEVVKRLMVSDEGMEV >DRNTG_21147.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2347088:2347521:1 gene:DRNTG_21147 transcript:DRNTG_21147.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRDWVPQLEILAHKAIGAFMSHCGWNSCMESLSFGVPILAWPMHSDQPRNAMCVSEYLKVGFMVRDWEHRMEVVSSMVIVEVVKRLMVSDEGMEV >DRNTG_21147.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2347065:2347521:1 gene:DRNTG_21147 transcript:DRNTG_21147.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRDWVPQLEILAHKAIGAFMSHCGWNSCMESLSFGVPILAWPMHSDQPRNAMCVSEYLKVGFMVRDWEHRMEVVSSMVIVEVVKRLMVSDEGMEV >DRNTG_21147.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2325583:2328191:1 gene:DRNTG_21147 transcript:DRNTG_21147.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNNNNNNTTILVIPFPAQGHLNQLLHLSLRLSTSGHFSSVHFAGSSIHNRQIISRFQGWPSSSLSTLRMHDFPLPPFSTPPPNPSSIFPDHLLPLLHSLSHLQPFLSSLLHDLSSSSKRLILIHDPLMSFAAKQALSLKTSTHIQVFKYICTPCFYQLSFLPNQTSSDLVLKQFPGCFNDTFLEFRNRGHYNDKDAVEDGFIINTCEAIEGEIIEDFRRAKAGKIVFTVGHVHPLVVGGGAWRSEGLEWLDKQVDKSVLYVAFGTTSMMSDEQIEELAIGLEKSEQKFIWVLRDADGGDVSADEQNADKRRRKLPPGYEERLKGVGMVVRDWVPQLEILAHKAIGAFMSHCGWNSCMESLSFGVPILAWPMHSDQPRNAMCVSEYLKVGFMVRDWEHRMEVVSSMVIVEVVKRLMVSDEGMEVKNRARELGEQIRVSVSHGGSSWKEMQSFISYIST >DRNTG_14823.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20804048:20811016:-1 gene:DRNTG_14823 transcript:DRNTG_14823.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase 2 [Source:Projected from Arabidopsis thaliana (AT4G02390) UniProtKB/Swiss-Prot;Acc:Q11207] MASAKLRVEELRAQLAQRGLDSAGTKPVLVKRLEEAIQKEEEEKESLKDDNTSNATGGGRKRRRDSSKDDPEPGDAIERLQEMGVRELRELAASRGLQTNGLKRELIDRLSTDLLKDSGDAVEAKEVEESKEKMVTATKKGGAVLDQWLPDNIKSSFHVLQHGEEIYDAILNQTNVGDNNNKFYVIQVLESDDGGKFMVYNRWGRVGIKGQDKLLGPYDRVEAAINEFQTKFFDKTKNHWSGRKAFICKPKCYTWLEMDYSETDKATVSECADSSVGSQLQETKLDQCIAKFISLICNISMMKQQMLEIGYNAEKLPLGKLSKSTILKGYDVLRRISEVMGQSNRNELEELSGEFYTVIPHDFGFKKMREFVIDTPSKLKGKLEMVAALGEIEVATKLLQDDCRTQEDPLYYRYQQLHCDLNPVEADSEEFLMIRKYMLNTHAKTHSNYKVDIQQIFKVSRHGEVERFSKFSNTKNRMLLWHGSRLTNWTGILSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCCASNSSSSGVLLLCEVALGEMSELLVADYNANQLPPGKLSTKGVGTTAPNMSESYSLEDVIVPLGKPKKQTDHQGGLLYNEYIVYDVDQIRMRYVVHVNFNFKR >DRNTG_28346.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:222981:225034:-1 gene:DRNTG_28346 transcript:DRNTG_28346.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWVLSHIPILLCLILIIPQSEETRSIRRTECHGRWIHIRSLPPRFNTELLESCNAFPLMDEPLCPYAANHGLGPRTHNRSRSWYRTDPRLLEPLIHRRLLEHPCLTPDPLLADAIYLPYYASLDALPFLYSPPLFNSSALHALPLHHHLLSNQPHVYSRRHGHDHFLVLAGPAWDFSQAPDADPVLWGTSFLSRSEFFNLTVLALESRPSPWQEHAIPHPTSFHPATLARLDAWLARARRSRRNTLMLFAGGGGGGGANIRGSIRAECENRTDLCQMVDCSDGVCSHDPIRFMRPMLRSSFCLQPPGDTPTRRSTFDGILAGCIPVFFEEISARKQYGWHLPEDQYEDFSVYIPKEDVVFGGVRITEVLAAIPEADVRTMRERVLELAPGVMYRRHGSSAGLRSIKDAVDLAIDGVLRRIRRKVRPLGSPELITVDDEEDAAG >DRNTG_17013.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:14690318:14700587:-1 gene:DRNTG_17013 transcript:DRNTG_17013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLPVGWAVTLILILGVVMSVRGSGFGFPRALTLERGLPTGMPLEALRAHDRARHGRVLLANSDGVVDFPVQGSGDPFTVGLYFTRVKLGSPAKEFYVQIDTGSDILWVTCASCNGCPTTSGLNIPLEFFDPKNSSTASSITCTDDKCTSAISTGEATCQTGSSGTFCGYSFQYGDGSGTSGYYVSDTIYFSKATGGEQTTNSSATITFGCSNLQSGDLTKSDRAVDGIFGFGQQALSVISQLSSQGVAPNVFSHCLRGSDNGGGILVLGEIVEPGIVYTPMVPSQPHYNVNLTSIAVNGKTVPIDSSVYLTTTKQGTIIDSGTTLTYLADQAYDPFVNAINNAISSSVNSMVVKGNQCYVTAGSPEDTFPTVTLYFDGGASMILKPQDYLLQQNQINNAVIWCIGWQKNTGQGITILGDLVLKDKIFVYDIANQRIGWKNYDCSLSVNVSTTSGKNEYVNTAQPSQNSSPQTLHFNLLTTCIAVLLAHIFAYAHRCC >DRNTG_12383.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000545.1:6002:8179:1 gene:DRNTG_12383 transcript:DRNTG_12383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSCVTALTLFMGMMLFASSLLVSAANVDFLYLVLMWPRAYCSQSVCCRPTTGMPAEDFFIRGLWTYNEAGKPVTRCTREPYNSTEMEGLEPELYEYWSSIKCPSNDGSLYWKKAWKTYGTCTGMYQPTYFETALDLRAQVDLLTALANKGITPSETRKYSMESIEKAIEEATKSNTGD >DRNTG_25082.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:670262:673050:1 gene:DRNTG_25082 transcript:DRNTG_25082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRILTSAAAGVLRAKVKETTGIVGLDVMPNAREVLISLYTKTLKEIQAVPEGEGYRKAVETFTKHRLQVCQEEEDWEMIEKRIGCGQVEELVEEAQDELKLIAKMIEWDPWGVPEDYECEVIEDDTPIPSHVPHHRPPPLPEEFYKTLEALTTKPSTKDMPPSTQ >DRNTG_24337.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:355082:359274:1 gene:DRNTG_24337 transcript:DRNTG_24337.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFPEAGA >DRNTG_24337.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:354872:355838:1 gene:DRNTG_24337 transcript:DRNTG_24337.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFPEAGA >DRNTG_24337.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:354791:355838:1 gene:DRNTG_24337 transcript:DRNTG_24337.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFPEAGA >DRNTG_24337.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:355082:355838:1 gene:DRNTG_24337 transcript:DRNTG_24337.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFPEAGA >DRNTG_34666.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002176.1:2792:8634:1 gene:DRNTG_34666 transcript:DRNTG_34666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIVNTLLGALCTCMQSPTVQEELGYIFTSTSKLLDNVENELEDLKAKENDVLDSQEEANRTGKQLTHQAQRWLDKLLIFSSISLTNRHTTVRNII >DRNTG_34666.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002176.1:2792:3260:1 gene:DRNTG_34666 transcript:DRNTG_34666.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIVNTLLGALCTCMQSPTVQEELGYIFTSTSKLLDNVENELEDLKAKENDVLDSQEEANRTGKQLTHQAQRWLDKV >DRNTG_30811.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001632.1:9197:9704:1 gene:DRNTG_30811 transcript:DRNTG_30811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTSPFIHGAIPSLRLPKLQPFSSSPPPPPPSISISIKAMKTMQGRVVCATNDKTVAVEVVRLAPHPKYKRRVRKKKIYQAHDADNRFRVGDFVQLEQSRPISKTKSFIALPVTAKNVPKGPESIPEELLGFHSIAAAGVIERNLILFFL >DRNTG_18015.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24535261:24544971:-1 gene:DRNTG_18015 transcript:DRNTG_18015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEMDVIIGRERALQESDIPNLPYLQAVVKEALRLHPSTPLSLPHFSFESCEVNGYYIPANTRLITNIWAIGRDPDVWERPLEFDPMRFLPGGKAAKIEPYGTDFELIPFGAGRRICPGKQIGILFLQYLLGAVVHGFDWRLQDGEVIDMKETP >DRNTG_24955.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16243708:16244595:1 gene:DRNTG_24955 transcript:DRNTG_24955.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMLPGVECARRRRFHQGGSSDSSMGSRRSSFCLYTTSHDSHLNSNSNSKQRSGSLNKEFHALALGSIAREAKERLDERLRAQRTSEIKRYKSMESIKINKNESGSGSVHREVFCSKKSARKFSWMKLGWKRSEEDECVVCLEEFKRGDILVHLPCLHRFHWTCVVPWIEANSHCPCCRTSVSLASLESC >DRNTG_24955.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16243708:16244487:1 gene:DRNTG_24955 transcript:DRNTG_24955.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMLPGVECARRRRFHQGGSSDSSMGSRRSSFCLYTTSHDSHLNSNSNSKQRSGSLNKEFHALALGSIAREAKERLDERLRAQRTSEIKRYKSMESIKINKNESGSGSVHREVFCSKKSARKFSWMKLGWKRSEEDECVVCLEEFKRGDILVHLPCLHRFHWTCVVPWIEANSHCPCCRTSVSLASLESC >DRNTG_24955.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16243582:16244487:1 gene:DRNTG_24955 transcript:DRNTG_24955.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMLPGVECARRRRFHQGGSSDSSMGSRRSSFCLYTTSHDSHLNSNSNSKQRSGSLNKEFHALALGSIAREAKERLDERLRAQRTSEIKRYKSMESIKINKNESGSGSVHREVFCSKKSARKFSWMKLGWKRSEEDECVVCLEEFKRGDILVHLPCLHRFHWTCVVPWIEANSHCPCCRTSVSLASLESC >DRNTG_24955.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16243352:16244487:1 gene:DRNTG_24955 transcript:DRNTG_24955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMLPGVECARRRRFHQGGSSDSSMGSRRSSFCLYTTSHDSHLNSNSNSKQRSGSLNKEFHALALGSIAREAKERLDERLRAQRTSEIKRYKSMESIKINKNESGSGSVHREVFCSKKSARKFSWMKLGWKRSEEDECVVCLEEFKRGDILVHLPCLHRFHWTCVVPWIEANSHCPCCRTSVSLASLESC >DRNTG_24955.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16243645:16244487:1 gene:DRNTG_24955 transcript:DRNTG_24955.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMLPGVECARRRRFHQGGSSDSSMGSRRSSFCLYTTSHDSHLNSNSNSKQRSGSLNKEFHALALGSIAREAKERLDERLRAQRTSEIKRYKSMESIKINKNESGSGSVHREVFCSKKSARKFSWMKLGWKRSEEDECVVCLEEFKRGDILVHLPCLHRFHWTCVVPWIEANSHCPCCRTSVSLASLESC >DRNTG_24955.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16243708:16244753:1 gene:DRNTG_24955 transcript:DRNTG_24955.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMLPGVECARRRRFHQGGSSDSSMGSRRSSFCLYTTSHDSHLNSNSNSKQRSGSLNKEFHALALGSIAREAKERLDERLRAQRTSEIKRYKSMESIKINKNESGSGSVHREVFCSKKSARKFSWMKLGWKRSEEDECVVCLEEFKRGDILVHLPCLHRFHWTCVVPWIEANSHCPCCRTSVSLASLESC >DRNTG_34473.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002154.1:3422:4240:1 gene:DRNTG_34473 transcript:DRNTG_34473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVRWSQGCPQGRVHAPVCSKDELVIQPHGRVEIPHVRAFSLDDLKNSKAVHHSVRTLVR >DRNTG_05749.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:18942921:18943826:-1 gene:DRNTG_05749 transcript:DRNTG_05749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVTAFPIAPTITVRCSTHHQGAEPASKNLEPKQPKKLASNDAYQVNKASKHASILKDIMRGQG >DRNTG_18415.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4123788:4127351:1 gene:DRNTG_18415 transcript:DRNTG_18415.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G36120) UniProtKB/Swiss-Prot;Acc:Q8RWM7] MAFSCSSLPIFHPLHLNGTSRFITPQTGFKRRWHLTYKAGVQCSLGVNPSALSATPNPGKILDFESVQTLTTTYLPKFSLDAASDFTQRLVLADLDPATAKVAIGITGPFLSAFGFLFILRIVMSWYPKLPVGKFPYVLAYAPTEPFLRITRKLIPPLGGVDVTPVVWFGLVSFLNEILVGPQGLLVLLSQQA >DRNTG_03770.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18156949:18157451:1 gene:DRNTG_03770 transcript:DRNTG_03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSCSHESTVNGCGDSTSVLSRQELLYIYSMVQSTPIHLGHIVTEYRRHQGQYARLGAIFSGSYITRLVMGMGLLDTIRGVEKMSIPNPLSLEMMRLMGIVRKV >DRNTG_04432.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000211.1:9074:12636:1 gene:DRNTG_04432 transcript:DRNTG_04432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERAQRAQKEESIRRTVYVSDIDQHYVRIIQKLGSDEFKVKNIVSTCDVQFLIRLEGLAFSHNHFCSNEGTKRRVLLIFVSEKLYLTRKQGDHLNFETLANLNCDELKFKCDDCLLNLHNISAYIVMFVVESRHFKSISSRYIRSWKNSGKAPLPPSTQPYTS >DRNTG_07178.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17297458:17297818:-1 gene:DRNTG_07178 transcript:DRNTG_07178.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLWCRSRRHRRSRGTGRALKRPPLKPWRRERKNSAS >DRNTG_02286.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:838383:841059:1 gene:DRNTG_02286 transcript:DRNTG_02286.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHQSNPIWLLILFCCYYFILSEKVEVVEATRRNFFNPQSSDSTIVSSVYRTSYHFQPPHNWINDPNGPMYYKGIYHLFYQYNPKAAVWGNITWGHSVSTDMINWIPLDIALNPTKPFDIDGCWSGSATILPSLNKPVILYTGSNQEKHQAQNIAFPKNLSDPYLIEWIKPDEYNPVMSATGDINPAQFRDPTTGWMGSDGYWRVALGAEIGGVGMALLYKSKDFVRWERADKPLHSPRGSGMWECPDFYPVALEGKRGMETSLNSEDVKHVLKMSLGETHSDHYLLGRYDEEKDMFIQDNEEKEKNYRFWRRYDYGNFYASKSFFDAGKERRILWGWSNESDSKPDDIAKGWAGIQTIPRMVWLDENGKQLVQWPIKELESLRRKRVHFHDIELKAGGLLNIKGVKASQMDVDVEFELPSLEKAGPFDSLLALDPQVLCEKGNFSTQSGIGPFGLLVMTSLNKDELTAIYFKIFEAYDRHVVLMCSDQRRSSLRPELYLPVYGGFVDIDIEKERRISLRTLVDHSVVESFGGEGRTCMTARVYPAFLVTSKAYLHAFNNGSETIRILHLNAWNMAKPSINVAQDQFLI >DRNTG_22841.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2447377:2447949:-1 gene:DRNTG_22841 transcript:DRNTG_22841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLMTFIGNYAIGFFLMWRLAIVALPTVFLLIIPGIMCGRILMSIARDMREEYSKATHVVEQAISSLRTVYSFVGERKTMEDFSEAMDGSVKLGLRQGLIKGLAIGSNGVTFAIWSFLCWYGSKIVMHHGGHGGTVFAVGAGVIFAG >DRNTG_22841.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2447377:2447834:-1 gene:DRNTG_22841 transcript:DRNTG_22841.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLMTFIGNYAIGFFLMWRLAIVALPTVFLLIIPGIMCGRILMSIARDMREEYSKATHVVEQAISSLRTVYSFVGERKTMEDFSEAMDGSVKLGLRQGLIKGLAIGSNGVTFAIWSFLCWYGSKIVMHHGGHGGTVFAVGAGVIFAG >DRNTG_04823.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2297776:2298399:1 gene:DRNTG_04823 transcript:DRNTG_04823.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLTIHTIQASLNPGRSKPPPSLPMTAHLGNLSPSTAAHPKNHNALM >DRNTG_20344.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23371928:23378363:-1 gene:DRNTG_20344 transcript:DRNTG_20344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLQTVSSPISPLRPKSFTDARSRTSPIPQISISGRENIPPTHPNVPIDQRTSPSSRKKIPSIEAPIMRENPRSDAPGVGSPDSSVKVVVRIRPVSGHGKTEDHIVKKISSDSLSVGDRKFTFTSVLGPESSQEDVFKLIGVPLVQSALAGYNASIVSYGQTGTGKTFTMWGPQSAMVDAHSISSYQGIVPRIFQMLFAEISKKQKCLEENNISYQCRCSFLEIYNEQIIDLLNPSQRNLQIHDDAKSGFYVENLTDEYVNNIDDATQILITGLSNRKVGATSVHSKSSRSHIIFTCTLESWCKGTQSKNFSSSKISKISLVDLAGLDKHKPEGVGERTEDGRDVKQSLAKLGKLINILSGVARTGEDQKIPYMDSPLTHLLKDTLGGNAKVTYLCSISPDNRDKAGTLSTLRFGELVKEIQNKAVINEISDDDFSGLSDQIRQLKEELIREKSSWGNSLRTNRGHFKAQNARESLNQLRVSLNRSLILPRIDNIDSEEEIDVDMEDVRELCDQLQNAHSSSEDDSAVIVETVDGSTAEEILVTKTDLEHDAGIFVGEDKFEELDCETSVSDLPDGNAKGGLRTPAGDDHVQKSSEKYMLSIIPGKQFRILHDPTLSESPKIDKNLKNGVVNSFDISEGSTKSLRNFESNSLRASLRSSKVSPTESLAASLHRGLQIIDYHQQNSASRKPFLGLSFEQFVSKSHQTENMSDASVQTSLEDGGTAAAFLCLYCRKMNVYSGNLQHENLDMKIVPVKEAGLPEISVKQMLQDKLNVLADVSTREMELEALCAEQADKIKQLKCLVDWCKDRHEQCSNLNENQDVKILCLEGPADDGAVYRKKEHVPSDELVLMNRHLKNETEVSMGKYCSSKTSFDFGAREDLIKEIQTLKDQLKSYANTCRDDAKDYRDDSSLLEQIRKGTAAEKTEDELERERQRWTESESRWICLTEELRVDLELYRQRAEKTELELSMEKRCSAELDDALHRAILGHSRIVEEYVELQENHGIVLERYKKVMEGIAEVKKAAKKAGAKGTGSAFTEALAAELSTLRVERERERTRLKAENKGLKIQLRDTAEAVHAAGELLVRLREAEEAVTATEEKYERAQQDNEKMRKQVEKLKRKHAMEMVTMKHYLAESRLPESALEPFYQHDSESLGQTEDDHSWRAAFRPSYQ >DRNTG_14119.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17350646:17351315:-1 gene:DRNTG_14119 transcript:DRNTG_14119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKEVPRRTKDYIGRPKANGYKSLHVAVDVNEHKGGIPLMEIQIRTTEMDKLADGGAASHSLYKGGLTDPGEVKRLQLSLQLYASGICHLQMKEFLILIKQIECFAFLIKTVMEELVSTNSLR >DRNTG_22988.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001255.1:127632:132307:-1 gene:DRNTG_22988 transcript:DRNTG_22988.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVAKVLPKAEIVQGYGLTESCGIVSLGYSFGKAQQFGSAGYLIPGVEGKVVCVDTLQLLPPNQVGELCFRGPNIMQGYFKNSQATNLTLDDGWLHTGDLGYFDDNGQLFVVDRIKELIKCKGFQVAPAELEGLLLSHPEILDAVVIPFPDDQAGEVPIAYVVCSAQCLLTEEDVQKFIFDQVAPYKRLRRVTFVKSVPKSASGKILRRELIAEVKANLYKNVITT >DRNTG_05862.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31473097:31475060:1 gene:DRNTG_05862 transcript:DRNTG_05862.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWREIEGKQVHDPLYYNQKERMNPGACVWVPGPVIVGAGPSGLAVAACLKEKGVPSLILERSNCIASLWQLKTYDRLSLHLPKKFCQLPLLPFPVSFPEYPTKQQFVSYLENYAKKFGIVPEFNETVVSAEYDNGLGLWRVRTSTGEGKKEYVCRWLIVATGENAEVVTPEIDGMKEFKGSIVHTSMYGNGDIFGGKKVLVVGCGNSGMEVCLDLCNHNARPSLVVHVLPREMLGWSTFGLSMRLLRWIPMKVVDFLLLLVSRIMLGDTARLGLRRPSLGPLELKGLTGKTPVLDVGTLSKIKSGEIKVRRAIKRLTEYSVEFVDGTMEDFDAIVLATGYKSNVPSWLKEREFFSDKDGFPRRPFPESWKGENGLYAVGFTRRGINGASIDATKIAQDVERCWKAEAKKPSQT >DRNTG_25660.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21661942:21663255:-1 gene:DRNTG_25660 transcript:DRNTG_25660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRMERFSVLPFSIGCVSHSSVAVSENHSTKKTHIDAPPPPPKCGGESPATMRTGKSSSSSTFGFLPLPRPNIAAGIQRLMKSFKSLSQLFSVYEEEDEEMEMEIGFPTDVQHLAHIGLDGFSNIGNMKSWEKTPDCLSLPSLSLRQFELAMASQADAQLAHQHGPLQLA >DRNTG_25660.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21661942:21662898:-1 gene:DRNTG_25660 transcript:DRNTG_25660.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRMERFSVLPFSIGCVSHSSVAVSENHSTKKTHIDAPPPPPKCGGESPATMRTGKSSSSSTFGFLPLPRPNIAAGIQRLMKSFKSLSQLFSVYEEEDEEMEMEIGFPTDVQHLAHIGLDGFSNIGNMKSWEKTPDCLSLPSLSLRQFELAMASQADAQLAHQHGPLQLA >DRNTG_25660.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21661942:21662965:-1 gene:DRNTG_25660 transcript:DRNTG_25660.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRMERFSVLPFSIGCVSHSSVAVSENHSTKKTHIDAPPPPPKCGGESPATMRTGKSSSSSTFGFLPLPRPNIAAGIQRLMKSFKSLSQLFSVYEEEDEEMEMEIGFPTDVQHLAHIGLDGFSNIGNMKSWEKTPDCLSLPSLSLRQFELAMASQADAQLAHQHGPLQLA >DRNTG_25660.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21661330:21662965:-1 gene:DRNTG_25660 transcript:DRNTG_25660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRMERFSVLPFSIGCVSHSSVAVSENHSTKKTHIDAPPPPPKCGGESPATMRTGKSSSSSTFGFLPLPRPNIAAGIQRLMKSFKSLSQLFSVYEEEDEEMEMEIGFPTDVQHLAHIGLDGFSNIGNMKSWEKTPDCLSLPSLSLRQFELAMASQADAQLAHQHGPLQLA >DRNTG_25660.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21661330:21662898:-1 gene:DRNTG_25660 transcript:DRNTG_25660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRMERFSVLPFSIGCVSHSSVAVSENHSTKKTHIDAPPPPPKCGGESPATMRTGKSSSSSTFGFLPLPRPNIAAGIQRLMKSFKSLSQLFSVYEEEDEEMEMEIGFPTDVQHLAHIGLDGFSNIGNMKSWEKTPDCLSLPSLSLRQFELAMASQADAQLAHQHGPLQLA >DRNTG_25660.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21661942:21664494:-1 gene:DRNTG_25660 transcript:DRNTG_25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRMERFSVLPFSIGCVSHSSVAVSENHSTKKTHIDAPPPPPKCGGESPATMRTGKSSSSSTFGFLPLPRPNIAAGIQRLMKSFKSLSQLFSVYEEEDEEMEMEIGFPTDVQHLAHIGLDGFSNIGNMKSWEKTPDCLSLPSLSLRQFELAMASQADAQLAHQHGPLQLA >DRNTG_17406.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7882408:7883545:-1 gene:DRNTG_17406 transcript:DRNTG_17406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDPTNDVGFNGALTGKGFLLDDSTLAVLQRYLSSNNGFSDDDDIDAPPDIYLCDKFQIYKFKKYRYSGTMYSEFHKKSCKCSDACEYVHEVFKCWIHQSQEPNPKTPIDSYDGSPLHHHALDAYFPKNIFSSSLTLTLTSLPLSLPSDCSPMSLSTPLLCHAPLGGSVNEVLISLRQLQLNKAKSSPNSWGFPVGGCSFGSPL >DRNTG_10949.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:209510:209913:-1 gene:DRNTG_10949 transcript:DRNTG_10949.1 gene_biotype:protein_coding transcript_biotype:protein_coding QGELKRVNSGSLIDFTADPEPLVTGTSHQPQQAASASASSGINSSPATISGAHFPEGQQQLFSLFPATSGHTNNTPTNFPVMGIPN >DRNTG_33230.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19437926:19438618:-1 gene:DRNTG_33230 transcript:DRNTG_33230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFPTIPTNSINSCHNSDTSSSGRPSRYESQKRRDWNTFVQYLKNHRPPLTLSRCSGAHVLEFLRYLDQFGKTKVHNTNCPFFGHPNPPSPCTCPLRQAWGSLDALIGRLRAAFEENGGQPEANPFGARAVRLYLRQVRDSQAKARGIAYEKKKRKRPPPPPPPPPPPPPPPPPPELIAGVNSNHVDQLFHLYHHHHHHHHLQGHVINLVIPGDEAHSGSELIPLSVLN >DRNTG_06433.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29880900:29884110:1 gene:DRNTG_06433 transcript:DRNTG_06433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLDTRFPASRIKKIMQADEDIGKIAQAVPVLVSKALELFLQDLCDRTYDITLQRGMKTMSSLHLKQCVHSFSVFDFLRDTVNRVPDLGGSDAGGEDRAAKRRKAVDEGNDTDEDLKRARTHDIAGDSSGVSRGRGRPRGRGRGRGVRGSRAAERDSAHFDKCEDDPDTSPHNVIPHDIKPEMLNDGIPSREPMESKDSSDGPNANGPNFDLNVVLDEKEDTTTRIETSAKDETKHEEYPGWSFSDMHKMAIDPLQLTSLNKITEEEEDYDNEDG >DRNTG_20334.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25747312:25747963:1 gene:DRNTG_20334 transcript:DRNTG_20334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGGGGFRGRDGGRFGGGRGGRFGGRGGGGFRDEGPPAEVVEISSFLHACEGDAVTKLTNEKVPYFNAPIYLQNKTQIGKVDEIFGPINESYFSIKMMEGIIATSYSKGDKFYIDPAKLLPLSRFLPQPK >DRNTG_02819.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000130.1:4504:5826:-1 gene:DRNTG_02819 transcript:DRNTG_02819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRMKKQAGKHPQETSPPWGVSCGVSWPASSSEAPSS >DRNTG_14490.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14716067:14716432:1 gene:DRNTG_14490 transcript:DRNTG_14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRTPFHLGFVIATSLHHQATDTLEHTIFAGRYITRLIEGMGLLESMGHMSSTGGFSPITLHSLQRIGLVTLLQSTTLVNLEHCKTDATPFGGDT >DRNTG_02316.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:677177:679155:-1 gene:DRNTG_02316 transcript:DRNTG_02316.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSRHPRPLFLLSLQSLEILDKRNGLLQLWLMLIARTMTCYCFPGDLSYADTQQTLWDSFGRFVEKYASKRPWMVTEGNHEVESFLFFIEPFKAYNARWLMPYEESGSTSNLYYSFDVAGGSVHVIMLGSYTDFDSNSDQYKWLVSDLKKVNRERTPWLIVLLHAPWYNTNSAHQGEGESMRQSLESLLYAAHVDLVFAGHVHAYERFTRIYDNKADPCGPMYITIGDGGNREGLALDFEEDHKSVSLSMFREASFGHGRLKVLNGTHAHWSWHRNQDSDSSVADEIWIESLSSVDACKTSGKSSSIFIFFK >DRNTG_33626.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002030.1:61184:62284:1 gene:DRNTG_33626 transcript:DRNTG_33626.1 gene_biotype:protein_coding transcript_biotype:protein_coding RFIVFGIVALEVVHGSHPGDLLSNLSLSMLVKEMLDPRLSLHIADQVTINQVLLVIVIAMQSINNDPQVRPTMQQVSQRLSSPKLLPTSDNHSFRALTLGHLINIVQVDIDDQAHE >DRNTG_20702.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1298069:1300810:-1 gene:DRNTG_20702 transcript:DRNTG_20702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWGISGNKGRCYDFWNDFSECMSRCREPKDCKLLREDYFECLHHSKEFQRRNRIYKEEQRQIRAAAQKAKEGEGVAATSHH >DRNTG_06839.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6543015:6543898:1 gene:DRNTG_06839 transcript:DRNTG_06839.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKPHGELQPPLLHRCPQNPRSQIQP >DRNTG_06839.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6543015:6544100:1 gene:DRNTG_06839 transcript:DRNTG_06839.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRSAMVSSRHATKASWTRGALASTGTRPKRAATMIVATHPHASAKVN >DRNTG_06839.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6543015:6543898:1 gene:DRNTG_06839 transcript:DRNTG_06839.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKPHGKDTGSFGKHRNKTQKSRYDDCGYPSARICKSKLDFPFISPIFDEF >DRNTG_06839.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6543015:6544100:1 gene:DRNTG_06839 transcript:DRNTG_06839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKPHGKDTGSFGKHRNKTQKSRYDDCGYPSARICKKN >DRNTG_01341.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:182613:186427:-1 gene:DRNTG_01341 transcript:DRNTG_01341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWPELGVVDTIYEEEQEDEEGEGEEQDGFKYSPCITPSPTITPSPPESSSPPPLASLQSRVEAWSQATGCKPDAVIQVHDQSFHLHKDQIISSSCYLKRRLTGSSDVIIAPPLPVTAATFAEIAAFFYGSDVVLTPLNIASIRIGAECLEMEGLVGITETYLNEELAGGSESLGIVLRSCLEMIPNAAAVAIAGRCVEALASEEGGGGGGGDGIWIEGLVGLKAEELLSIAGTMRARVSQSHDVLYRIVNHYLQKHNAKLSEEEKNRICNIVDCTKLSHSHLLDLVQNPNMPLRFIVQAMLIDQLYTRQALCHRKHINSPNKTNMNMSLGEILKRDAALRQAANIKKSMEDTSFRLESLERELAGLRRRLRWPEERSESFSILRYEEEEVVVGSPERGWKRRERNGNGLGRRLLYGLRKVFRPVLGREEDGRRWSCKGGEEMVVVLERGGGGHRRNSSFS >DRNTG_21222.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32725602:32731663:-1 gene:DRNTG_21222 transcript:DRNTG_21222.1 gene_biotype:protein_coding transcript_biotype:protein_coding PCRQVETVIKALTSLVEPIPSSATPQRGPTSAAPTRSHCAEVASIPDSSNPRVTWE >DRNTG_34703.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002183.1:9790:10864:-1 gene:DRNTG_34703 transcript:DRNTG_34703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSHLFIFVFVFFLFFSFSIATFSSSLSYESRSNLREQELLESVREAEFFNWMVSIRRRIHQNPELAFQEHETSALVRAELDKLGIQYSWPVANTGVIGTVGSGSGPVFAIRADMDALPLQELKDWEYKKQEKVEKNACLWA >DRNTG_34703.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002183.1:10470:10864:-1 gene:DRNTG_34703 transcript:DRNTG_34703.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSHLFIFVFVFFLFFSFSIATFSSSLSYESRSNLREQELLESVREAEFFNWMVSIRRRIHQNPELAFQEHETSALVRAELDKLGIQYSWPVANTGVIGTVGSGSGPVFAIRADMDALPLQVN >DRNTG_23554.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22426114:22429385:-1 gene:DRNTG_23554 transcript:DRNTG_23554.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNWELKNCCKHDQTVFLVMVGVFTVVILALWRTVLLTPFKLITVFLHETSHALACKLTCGQVEGIQVHANEGGVTQTRGGIYWVILPAGYLGSSFWGMVLILASTNLLTARIAAGCFGVALFIVLFVAKNWLLRGLCIGFIIFLAIIWVLQETTTVRILRYVILFIGVMNSLFSVYGRSTTYNINLFFVQIVLLLLFYKSQKQIYAIAPH >DRNTG_23554.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22426114:22429385:-1 gene:DRNTG_23554 transcript:DRNTG_23554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNWELKNCCKHDQTVFLVMVGVFTVVILALWRTVLLTPFKLITVFLHETSHALACKLTCGQVEGIQVHANEGGVTQTRGGIYWVILPAGYLGSSFWGMVLILASTNLLTARIAAGCFGVALFIVLFVAKNWLLRGLCIGFIIFLAIIWVLQETTTVRILRYVILFIGVMNSLFSVYDIYDDTISRRVNSSDAEKFAEVCPCPCNGVAWGVIWGMISFTFLCGSLYLGLVILS >DRNTG_15356.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26711505:26713096:1 gene:DRNTG_15356 transcript:DRNTG_15356.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHKYKQNNSNRSNTSMGFSNPTEIQCTLK >DRNTG_13962.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000651.1:131762:164615:-1 gene:DRNTG_13962 transcript:DRNTG_13962.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDPRFFTREISIRIRTSRLKRVERVSHEIVKYPLFPPIGVFYLHSLDSMQPYLVGDLSSSPSSSTFTPCYGRGDATPNEKLERFESSNSDSGDDSENEGGSPSRNELNATADSSGSIDLDATDSESD >DRNTG_34934.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18834922:18838416:1 gene:DRNTG_34934 transcript:DRNTG_34934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCPSAPYSGDEDASVTNVNHPHPSAEGTPPFRQYTLAEIMAATDGFSWDNIIAKGGGTIPNFVFRGLLRETQQIAVKRFSESAWPDVELFRKEAIRAGRLRHRRLVNLIGYCCEGDERLLVAEFMPNDSLDCRLFNSKKKTMEWSMRLRVACYIAEALEYCSNIEGQALYHDLSPYKILFDEADNPCLSCFGLVKNHRNGRYYCSPYMPPECRSGLITPKSMIFGLGYMIRDLVSGRQIPQDHEMLEMVVGKQIPIRPDSRLKGEYSAEDATALLELASQCMRHKPNDRPTIKDVIATLAQVQSNAAVSNL >DRNTG_11886.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15111570:15115000:1 gene:DRNTG_11886 transcript:DRNTG_11886.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPDKVEQLFKDMADNESHWSLRGRRPRVVGLHEVDADTTLVAKLDAVTRKLNLLGWKQSLELAIEVMSLFELDRMIISLSHHETVIFEMFGDEYQMSYKEFSLAMGLVNVEHFRAMKLMYAVPQRRLPWVKQPSCISTHPIEDAEGRRDNTGIVNLHD >DRNTG_18033.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:8112271:8117428:-1 gene:DRNTG_18033 transcript:DRNTG_18033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFIYGLHNEWGVMAVCLCVMHLMCKLLTSDGGSLSCSLIGKIHAIIKKLTEHPSFTSLLSQYSTLGYSEGKDLTSLVINDLYYHLQGELEGREMKPGPFKELSKFLLEMEIFECKDHKIEHDMPTSKTVFLFDITCLRAEFQMELWDYSDWRASKEIAERMFLHMHNANSLMFLHESKHFALKGLIANLSMYEQHITETKQKSIGLMISKPVIISSITHVCHCLQETLDSLVSAQKTPQFLLRLLASQAEMLLILSRILCNPNLGTPDQSELLLVFALIIKKSGIGIRLLADIRPMTPVLNKAMKVLLSLLMTSIGFSQPKADMNYKSDVGTELSLSCLGLLPVLCKLVENIEFCDLSVASLDVMLKHFLAPDTWLPVLQKHLRMQIVLQLIQHKDTQVSISSILNFFLTLACVRGGAKMLHFANFFSSLKVLFGHFLVNEAFSNSLDMDSYSNMANKDENKHIWSLGLGIIVSMICSLGDDSSCANIVDGVIHYFSEKAYLISGSLFSLKLPADAHNKKRARIPKAQTSLTALRETEHTLALICVLARYQASWSKGMQPVESDLRETAVHILAFISKAGQRLDDSPYKTSFYCPPVLKEEVAMNGRPSSINVKSGWFKTPISHLSKSEVFAPPSAGLAVVVKDQGENVNEFRQTQFSDLVAIQIYRIAFLLLKFLCMQAKAAAKRAEEVEFIDLARFPELPMPEILHGLQDQAIAIVTEICETNKSKPIHPKAEGVCLLLLQVLEKCLYLEFCVSQSCGIRPVSGRVEDFSKEFKRLLQVAEPLTSFKASLRSLMQIITLVWPFQSREFRVMTAWILCNLTRFSIADCRLDSIQLLWKIRNGVMHPPRDSTCKFC >DRNTG_24720.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17874530:17876368:1 gene:DRNTG_24720 transcript:DRNTG_24720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVTRMDLTAIHQILFLAHYKGDVASREFSFQDWQKMREMLEARNRGDLAFNDKDLKTAIECYSQFLDKGILVSATVHVRRSLCYLMSDQPDKALRDAMQAQRIQANWPLAFYMLAVSLRQLNMNRDSTNMLNKATALEKQRPRNSRLGT >DRNTG_24720.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17874268:17876368:1 gene:DRNTG_24720 transcript:DRNTG_24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVTRMDLTAIHQILFLAHYKGDVASREFSFQDWQKMREMLEARNRGDLAFNDKDLKTAIECYSQFLDKGILVSATVHVRRSLCYLMSDQPDKALRDAMQAQRIQANWPLAFYMLAVSLRQLNMNRDSTNMLNKATALEKQRPRNSRLGT >DRNTG_24720.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17875045:17876368:1 gene:DRNTG_24720 transcript:DRNTG_24720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVTRMDLTAIHQILFLAHYKGDVASREFSFQDWQKMREMLEARNRGDLAFNDKDLKTAIECYSQFLDKGILVSATVHVRRSLCYLMSDQPDKALRDAMQAQRIQANWPLAFYMLAVSLRQLNMNRDSTNMLNKATALEKQRPRNSRLGT >DRNTG_24720.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17875410:17876368:1 gene:DRNTG_24720 transcript:DRNTG_24720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MREMLEARNRGDLAFNDKDLKTAIECYSQFLDKGILVSATVHVRRSLCYLMSDQPDKALRDAMQAQRIQANWPLAFYMLAVSLRQLNMNRDSTNMLNKATALEKQRPRNSRLGT >DRNTG_24742.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2283738:2284248:-1 gene:DRNTG_24742 transcript:DRNTG_24742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKDLNTRHLSEDLSFEFSQISTLSPSPADILFSNGQLIPQTFLGPRVSRNSSLHSSNNCSLSSSSTTSRSNSTSSNGSGVVKKCLRDGNSERRPGEGGRSVSKRVSFQILPSDHKLQLIGSTRTTSSPKG >DRNTG_35083.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19508647:19509033:-1 gene:DRNTG_35083 transcript:DRNTG_35083.1 gene_biotype:protein_coding transcript_biotype:protein_coding RQVRDSQAKARGIAYREEETEETTTTTTTTGADCWSEF >DRNTG_04701.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000223.1:23088:26783:-1 gene:DRNTG_04701 transcript:DRNTG_04701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIITIRESTMVQPARETPRRRLWNANVDLVVPRFHTPSVYFYRPDGSGDYFDAGKMKAALADALVPFYPMAGRLGRDEDGRIEIDCQGQGVLFVDAETESRIDDFGDFAPTMELKKLIPAVDYSGDISSFPLLVLQVTHFKCGGASLGVGMQHHAADGASGLHFINSWSDVARGLGISIPPFIDRTLLRSRDPPTPSFPHIEYQPAPSMMSPPPHPVSSPPPNPPPKTQLPSTTDTAVNIFKITREQLDLLKSKSPPGTRFSSYSLLAGHVWRCVCIARDLPGDQPTKMYIATDGRSRLCPPLPQGYFGNVIFTATPITNAGDLMDGGIITAAKKINDSLARMDDQYLRSALDYLEIQPDLAALVRGAHTFRCPNIGLTSWVRLPIHDADFGWGRPIFMGPGGIAYEGLAFVMPSPTDDGSMSLAISLQPAHMLRFQKLIYEF >DRNTG_04701.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000223.1:23088:26678:-1 gene:DRNTG_04701 transcript:DRNTG_04701.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIITIRESTMVQPARETPRRRLWNANVDLVVPRFHTPSVYFYRPDGSGDYFDAGKMKAALADALVPFYPMAGRLGRDEDGRIEIDCQGQGVLFVDAETESRIDDFGDFAPTMELKKLIPAVDYSGDISSFPLLVLQVTHFKCGGASLGVGMQHHAADGASGLHFINSWSDVARGLGISIPPFIDRTLLRSRDPPTPSFPHIEYQPAPSMMSPPPHPVSSPPPNPPPKTQLPSTTDTAVNIFKITREQLDLLKSKSPPGTRFSSYSLLAGHVWRCVCIARDLPGDQPTKMYIATDGRSRLCPPLPQGYFGNVIFTATPITNAGDLMDGGIITAAKKINDSLARMDDQYLRSALDYLEIQPDLAALVRGAHTFRCPNIGLTSWVRLPIHDADFGWGRPIFMGPGGIAYEGLAFVMPSPTDDGSMSLAISLQPAHMLRFQKLIYEF >DRNTG_02948.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1369673:1370100:1 gene:DRNTG_02948 transcript:DRNTG_02948.1 gene_biotype:protein_coding transcript_biotype:protein_coding QTNNYTQYTKHKKNFHKQSSLFSVQEQ >DRNTG_10378.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15621990:15627456:-1 gene:DRNTG_10378 transcript:DRNTG_10378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFRTGVDHHKVAHRGEFTPPCHLWMTSLASKEKIWVGNRPSLAQVEIGWFQSPTHIFFQTQNLFQAFLYHSLLISILPIEVIPTSKEKFFFHPLTLYNIIPILSYFFIVIQYSSVFVSMVL >DRNTG_05979.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000273.1:60401:69268:1 gene:DRNTG_05979 transcript:DRNTG_05979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVFKTIKENKKKKKLFLFCSSIQCSSFGDLTQEMAAEIPLLTSYKMGKFDLSHRVVLAPLTRSRSYGNVPQPHAILYYCQRASKGGLLITEATGVSDTAQGYPETPGIWTKEQVEAWKPIVKAVHDKGGVFFCQLWHVGRVSNYGYQPNGQSPISSTDRPIPPQTHHDGTVEEYSTPRRVRTDEIPQIVNDFRLAARNAIEAGFDGIEIHGAHGYLLEQFMKDSANDRTDEYGGSLENRCRLAIEVIEAIVNEIGADRVGVRLSPFADYMEAWDSNPEALGLYMVRA >DRNTG_05979.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000273.1:60401:62157:1 gene:DRNTG_05979 transcript:DRNTG_05979.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVFKTIKENKKKKKLFLFCSSIQCSSFGDLTQEMAAEIPLLTSYKMGKFDLSHRVVLAPLTRSRSYGNVPQPHAILYYCQRASKGGLLITEATGVSDTAQGYPETPGIWTKEQVEAWKPIVKAVHDKGGVFFCQLWHVGRVSNYGYQPNGQSPISSTDRPIPPQTHHDGTVEEYSTPRRVRTDEIPQIVNDFRLAARNAIEAGFDGIEIHGAHGYLLEQFMKDSANDRTDEYGGSLENRCRFAIEVIEAIVNEIGADRVGIRLSPFADYMEAWDSNPEALGLYMVQALNKFGILYCHMVEPRMAIIDGRRQIPHRLLNLRKAFNGTFIAAGGYDRDEGNKVVAEGYTDLIAFGRLFLANPDLPKRFELDAQLNKYNRFTFYTPDPVVGYTDYPFLNEPSA >DRNTG_15749.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20419711:20421567:-1 gene:DRNTG_15749 transcript:DRNTG_15749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFSFNHSHHLRSHLLFTASITTNSTQFHRFLANGSPLNLYTIPTILASCGAQRNLSLGLQLHALAIHTGLDSNSFILSSLITMYSKCSHFSAAYHILNSTNPNDSISWNALIVSSSRSGLHSDALHLFVKMHHRALPLDEFTFPSALNSAAHLSLVLTGQTIHSLLLRSGFQRHAHIGNALVDMYAKSGELHSARNVFDEMPHRDVVAWTSLLTSSARHVSHSASLDLFLEMLSSSVNLDAFVIAAVLSSSAELTVLELGRQLHATSVKRGFHSFLSLGNALLTMYAKTGCIDDAELIFDLIPYPDAITWTALIIGLAQNGRGHDSVHVYDKMIRTGSKPDYITFIGLLFACSHAGLVEPGRSYFESMEPVHGIEPGPEHYACMIDLLARSGKIEEAVRLMNRMRFEPDATVWKALLSGCRVHLNSGFALQVAERAAEILFKIAPNDAVPYVLLSNIYSKIRRWGDVAKIRALMKARGVSKEPGCSWMEVGGVVHVFHVEDHVHPRTAEIYAKVDEMMGRIRETGYVAETGNVLQDVGEEGEMGLAYHSEKLAVAFGLVVLPRGALIRVFKNLRVCGDCHTALKLVTKVYGRKVVLRDANVFHHMENGVCSCGDYW >DRNTG_12719.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000563.1:27102:29642:-1 gene:DRNTG_12719 transcript:DRNTG_12719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGIREEKAVKSLFAKARANAPAIIFLGPGRFDRLLDVQPPNEADRKDIFCIHMRSMPCSSDVCEEELACLTEGYTGADIKLICREAAIAALEESLEAVEVSMAHFKIGIGKVQPSNIQFYQELAAQFRRLVDSRAIRDE >DRNTG_01804.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19829266:19836898:-1 gene:DRNTG_01804 transcript:DRNTG_01804.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase [Source:Projected from Arabidopsis thaliana (AT4G33090) UniProtKB/TrEMBL;Acc:A0A178UWR6] MSLILPISWFSIRRSSLSEMAPYRSRAIISIRSSGHQRSSWWKGMRSWCLSLMRFFRLELVFWPFGFEGTLTDRMKGFYRSVYEHNGEKKNMAVTQFEPADARRCFPCWDEPACKATFKITLEVPSELVALSNMPVIDEKIDGSLKMLSFQESPIMSTYLVAIVIGLFDYVEGSTTDGIKVRVYCQVGKSNQGKFALDVAVKTLDVYKKYFNEPYPLPKLDMVAIPDFAAGAMENYGLVTYRESALLYDEHHSAAANKQRVATVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLSADSLFPEWKIWTQFLDETTGGLRLDALAESHPIEVDINHANEIDEIFDAISYMKGASVIRMLQSYLGANVFQKSLALYIKRFAWSNAKTEDLWAALEEISGEPVNLIMNSWTKQKGYPVVSVKVSADSLEFEQSQFLSSGLTGDGQWMVPITICFGSYDAQKKFLLKTKIKKLDKLDFSDASDISHTLVEQGGQRKGGQSWIKVNIEQTGFYRVQYDDQLTAGLRYAIEAKQLSETDRFGILDDSFALCMACKQTTSSLISLMSAYREELSYTVVSQMISMSYKLANMIAEATPELLNETKLFLINLLQFSAEKLGWDPKEGESHLDAMLRGEILTALAELGHESTLHEAVRRFTAFLDDRNTPLLPPDIRRPAYIAVMQTVTATNKSGYDSLLRVYKETDLSQEKVRILGCLSSCPDAAVVLDVLNFILTPAVRSQDVVYGLGGISRKGRETAWIWLKENWEFISKTWGSGFLITRFVSSIVSPFSSDEKAKEIEAFFSTRIKPSISRTLKQSLERVGNNARWINYIKNDKELGEVVRELAYRKY >DRNTG_18303.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2589090:2591721:1 gene:DRNTG_18303 transcript:DRNTG_18303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFNCQFEIQTDASSTGIGAVLLQNNHPLAYFSKQLSPRMQSASAYTREMYAITESIRRWRQYLLGRRFLVHTDHQSLRSLLHQTVQTPDQHRWLTKLLGYEFDIIYKPGAQNKQADALSRVYEDTQPMHCAFAVTTPQPAILASLKHYFQTTPHAAELLTSVRDDPLSWPDYSTQDGLVLFKGRLFIPPDTALQHLLIAEFHNTPTGGHAGVTRTYRRISATFFWPALQKSVREFVRRCATCQSVKPFNSAPQGLLQPLPIPGQAWESASLDFITHLPPSTGKTVILVVVDRLTKHAHFIALPPRFTAPQVAEIFVKEIIRLHGIPISLISDHDPLFMSLFWRELFRLQGTKLAMSSAYHPQSDGQTEVLNRYLEDYLRSFVSEQPRHWLRYLPWAEWHYNTTWHSSIRMTPYEAIFGQPPPSLLDYIPDSARVAAVGEMLEDRTHILRLLKENLTHAQLRMKNQADAKRKDVEFQLGDWVFLKLQPFRQTSLHGRSTHKLSKRFFGPFQITERIGVVAYRLALPVTAQLHDVFHVSKLKKCIGDPTIQHLPLPVTFQNHRPVFRPFAVLRTRTILVQRQPVTQHLIQWEHGAAADATWETATDLQNNFPNFSLEDKVNEEGEAIVTVKEGLIQGASKGESNRTRRQSKRATRFKDFICD >DRNTG_15910.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:15014026:15017291:-1 gene:DRNTG_15910 transcript:DRNTG_15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSSSQRGLQCLPTEASSSPKVPLSSLGHTSPDRC >DRNTG_06172.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25300121:25303790:1 gene:DRNTG_06172 transcript:DRNTG_06172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMYDHPTAEPMSPDGLSSADDLHGLLAAATGLPRYAAAAEREEMKARIASHPTYPRLLQAYIDCQKVGAPPTIASLLDEIQKENESSRRGGVSSVVGADPELDEFMETYCEVLVKYRSDLARPFDEATTFLNTIEMQLSDLCKPSSRTISDDVAGSSEEEQSGGEVEIQESHFRGEDKELKDKLLRKYSGYLSSLREEFSKKKKKGKLPKEARQILLDWWTAHYKWPYPTEADKISLAESTGLDQKQINNWFINQRKRHWKPTENMQFRRNGQPLYTILFG >DRNTG_13028.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1756913:1758385:1 gene:DRNTG_13028 transcript:DRNTG_13028.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQRSRTKEGHPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGN >DRNTG_13028.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1756913:1758385:1 gene:DRNTG_13028 transcript:DRNTG_13028.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQRSRTKEGHPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGN >DRNTG_13028.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1756913:1758385:1 gene:DRNTG_13028 transcript:DRNTG_13028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGN >DRNTG_13028.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1756913:1758385:1 gene:DRNTG_13028 transcript:DRNTG_13028.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQRSRTKEGHPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGN >DRNTG_19715.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:976715:978610:-1 gene:DRNTG_19715 transcript:DRNTG_19715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGFIVFPKYNQAYNEGCYLLGRLESACLLEVVGVEVKLHHVVRRMVHSIALESGTRMGKWMVRENDYRELQVYPDEIEQWKDAERIAVTMDPVLKVVPRLSHNFPNLVSLMLQGNSALMRLPDDIFQQMKKLEYLDLSSTAIDQIPAGIKDVANLRYLNISRTKIVTLPHELAQLKELKFLICRKLKPFELEEGLLSSLYKLGVLELQPFAFVPAKYLRSSAGSMKRIGMLVKSLVVFNLLSELPTCYIQIEELHELGSISFESLSCKNRGCLEKLKLTACNELKELVVDGNESNFKKLHLLDLKNLNLINFNGVEPRSYFQKLRKLYIAKCKNLKNLPWILHLPALVTLKIEQCEILKEFVC >DRNTG_18763.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1340964:1343630:1 gene:DRNTG_18763 transcript:DRNTG_18763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKPERFAREKEEGDIKYIPFGAGRRKCPGEHLGMRIVMAGVGAFVQCFDWEMMSKEEVDLNSLLGLTVPKGKPVVAKYKVRDCMVDTLSKI >DRNTG_02230.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1132813:1136397:-1 gene:DRNTG_02230 transcript:DRNTG_02230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 714 [Source:Projected from Arabidopsis thaliana (AT5G22360) UniProtKB/Swiss-Prot;Acc:Q9FMR5] MAILYAVVARGTVVLAEFSAVTGNAGAVGRRILEKLSPGSEPDSRMSFSQDRYIFHVLRSDGITFICMANDTFGRRIPFSYLEDIHMRFMKNYGRVAHSALAYAMNDEFSRVLHQQMEYFSSNPSADTLNRVRGEVNEVRTIMVENIDKILERGDRIELLVDKTATMQDGAFHFRKQSRRLRRALWMKNAKLLALLTLVIVLLLYIIIAAACGGLTLSSCRS >DRNTG_02230.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1132813:1136397:-1 gene:DRNTG_02230 transcript:DRNTG_02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 714 [Source:Projected from Arabidopsis thaliana (AT5G22360) UniProtKB/Swiss-Prot;Acc:Q9FMR5] MAILYAVVARGTVVLAEFSAVTGNAGAVGRRILEKLSPGSEPDSRMSFSQDRYIFHVLRSDGITFICMANDTFGRRIPFSYLEDIHMRFMKNYGRVAHSALAYAMNDEFSRVLHQQMEYFSSNPSADTLNRVRGEVNEASNPFKVFEKRFETCLVDKAI >DRNTG_01934.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32457735:32465185:1 gene:DRNTG_01934 transcript:DRNTG_01934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHHRSVSGSSSSSGLHFPGSSPFGDTTFTKVFVGGLAWETNSDALRRHFEPFGEIFEAAVISDKNTGRSKGYGFVTFRDPESARRACADPSPIIDGRRANCNLASMRPRLAPPHAHSRSVGQHGNVPVPREASVGGSIYNQPVPYGFQQGFTYPPFGYTAYGQEFVYPQNIYNPAMAQQYIQVHGVPGTVNTTIFPFGQLGMPYVSGHGYAQGYTMPGHHYVQLTGPNVTGVTALPLVQAPYNAAHIPAQPQFILSAHSPQFAHGGGSEQAAG >DRNTG_01934.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32457735:32465185:1 gene:DRNTG_01934 transcript:DRNTG_01934.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHHRSVSGSSSSSGLHFPGSSPFGDTTFTKVFVGGLAWETNSDALRRHFEPFGEIFEAAVISDKNTGRSKGYGFVTFRDPESARRACADPSPIIDGRRANCNLASMRPRLAPPHAHSRSVGQHGNVPVPREASVGGSIYNQPVPYGFQQGFTYPPFGYTAYGQEFVYPQNIYNPAMAQQYIQVHGVPGTVNTTIFPFGQLGMPYVSGHGYAQGYTMPGHHYVQLTGPNVTGVTALPLVQAPYNAAHIPAQPQFILSAHSPQFAHGGGSEQAAG >DRNTG_15234.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23391661:23395240:1 gene:DRNTG_15234 transcript:DRNTG_15234.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTDLWDDSALINAFDNAMSTYKTMHGGTYHGGSTSEEKHTNDSNHDNHVPTEEVTRNCESEDGSNCGSKKTAEPCMPGDLIGTASDVVPTQECHLDADAYTSESYQHPTGYPSIHGQNNSYSDQQNEEYNKLLKWYYELEEQRQNVLQQLNQASCWNHQTPAQTSTGDMSQISAHNISQNGLYPQCSPCFCQCPASSIIPISCATNNLSCGGPYCCSLSMQCCSKSLPHQFPVYPAAHSNNGDDTAVKTAMMAAERAISSMTMNTPAAFNVSEDKEKGKKDDTSDAFEGKLSKNANPETDLTVVLNAWYAAGFHTGRYLMEQSKRNTS >DRNTG_15234.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23391719:23395240:1 gene:DRNTG_15234 transcript:DRNTG_15234.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTDLWDDSALINAFDNAMSTYKTMHGGTYHGGSTSEEKHTNDSNHDNHVPTEEVTRNCESEDGSNCGSKKTAEPCMPGDLIGTASDVVPTQECHLDADAYTSESYQHPTGYPSIHGQNNSYSDQQNEEYNKLLKWYYELEEQRQNVLQQLNQASCWNHQTPAQTSTGDMSQISAHNISQNGLYPQCSPCFCQCPASSIIPISCATNNLSCGGPYCCSLSMQCCSKSLPHQFPVYPAAHSNNGDDTAVKTAMMAAERAISSMTMNTPAAFNVSEDKEKGKKDDTSDAFEGKLSKNANPETDLTVVLNAWYAAGFHTGRYLMEQSKRNTS >DRNTG_15234.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23391661:23395312:1 gene:DRNTG_15234 transcript:DRNTG_15234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTDLWDDSALINAFDNAMSTYKTMHGGTYHGGSTSEEKHTNDSNHDNHVPTEEVTRNCESEDGSNCGSKKTAEPCMPGDLIGTASDVVPTQECHLDADAYTSESYQHPTGYPSIHGQNNSYSDQQNEEYNKLLKWYYELEEQRQNVLQQLNQASCWNHQTPAQTSTGDMSQISAHNISQNGLYPQCSPCFCQCPASSIIPISCATNNLSCGGPYCCSLSMQCCSKSLPHQFPVYPAAHSNNGDDTAVKTAMMAAERAISSMTMNTPAAFNVSEDKEKGKKDDTSDAFEGKLSKNANPETDLTVVLNAWYAAGFHTGRYLMEQSKRNTS >DRNTG_15234.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23391719:23395312:1 gene:DRNTG_15234 transcript:DRNTG_15234.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTDLWDDSALINAFDNAMSTYKTMHGGTYHGGSTSEEKHTNDSNHDNHVPTEEVTRNCESEDGSNCGSKKTAEPCMPGDLIGTASDVVPTQECHLDADAYTSESYQHPTGYPSIHGQNNSYSDQQNEEYNKLLKWYYELEEQRQNVLQQLNQASCWNHQTPAQTSTGDMSQISAHNISQNGLYPQCSPCFCQCPASSIIPISCATNNLSCGGPYCCSLSMQCCSKSLPHQFPVYPAAHSNNGDDTAVKTAMMAAERAISSMTMNTPAAFNVSEDKEKGKKDDTSDAFEGKLSKNANPETDLTVVLNAWYAAGFHTGRYLMEQSKRNTS >DRNTG_33479.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17916955:17920145:1 gene:DRNTG_33479 transcript:DRNTG_33479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQVAYGFHQVREKKPKLAKGPITNKLIYSGYSCSQGWFCTPCLGAPCLMELKNIMELYIKMPNHTDWEPISVPPDVRSIVALNLRNYGGGRNPWGHPTDKYLHKRGFLEAREDDGLLEIFGLKQGWHASFVMVELITAKHIAQAAAIKLKIKGNQCKKTFMQIDDEPWKQPLDEKSSTFVMINRMPFQHLMISGK >DRNTG_28330.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:98319:106292:1 gene:DRNTG_28330 transcript:DRNTG_28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNFLYVSVAYTALSLLGLQWWLTSFLDRIKSDGILSGGALEVVLSSHVTIALLVNLALNVYFLIVIGLKTLFFVQLSPAETRKVLERFINYIIYKGTFLPLVVPPNGYQVAVWSTWLLVLCSFKMFLTLAKDRLERLNASPSATPAKYFRVFSALLFVVSADFLWIRLCLMIYQSFSSKLYLLLFFEPLCILCETLLSVMVHGFQFLEIWHRHYIESGGDCSGSQASYKSGAGSLSEWKGILIRHGGFLLDMMTVLMALGHYLIIWWLHGMAFQLVDAILFLNLRALVSTVVKLVKGYIKMRKALNSLNGALPDATYEELCAYDDECAICKGPMARAKKLQCNHLFHLGCLRSWLDQGLTDVYACPTCRRPLFLSRPQNHSDSPAREVANDDQIAVQGNLGFDPQRIQGHAQPGGAIANQQHNPDTVWRGAGLDSAGWVHPWPNAGIDGPSTSNAIRSVGLTGVQMMMRQLASVGENFSHGSLEDAGWSFWPSHQASGSSIPPSLSIRNNRNGSGLRFRNNSPSVNPNMSELRTMVDRVREVLPHIHDELIVQDLLRTNNINITVNNLLLVQ >DRNTG_06745.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000299.1:5674:6092:-1 gene:DRNTG_06745 transcript:DRNTG_06745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVGGVSLISLEMLRWMRMATSSHPRSRSPPHTPELVFLFICCSLMPKSGA >DRNTG_23213.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10117619:10119948:-1 gene:DRNTG_23213 transcript:DRNTG_23213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKGDDKERRKGGDLGREEVLRNC >DRNTG_23213.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10119660:10119948:-1 gene:DRNTG_23213 transcript:DRNTG_23213.2 gene_biotype:protein_coding transcript_biotype:protein_coding KCPSPRSREVSPSQAPYSLAREIELSFPDPQAPLRDNRRHHPRRDTSPLYPRVAARLT >DRNTG_32504.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:15795:16481:1 gene:DRNTG_32504 transcript:DRNTG_32504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERRRWQRLSRSSEGDFSSSEERTEAKERSMNGGEGEVQLQTKSSQM >DRNTG_32504.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:15952:16481:1 gene:DRNTG_32504 transcript:DRNTG_32504.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKERRRWQRLSRSSEGDFSSSEERTEAKERSMNGGEGEVQLQTKSSQM >DRNTG_18421.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4165877:4167500:1 gene:DRNTG_18421 transcript:DRNTG_18421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLRRNPRFTSIPLSLRFSTLSTPSSSNPRSPSSLDSISRVKSAIRSELDPDRIATLFESSSHEPSFYGDRLIYSIAVRKLWRSRRPDLIRRVLDHQLSHPSTPKSEAFFVRTLLLFSESGLLDDAVATFHRIPSPRTDRSFSALLSAFLDNQQVQRLRESFVSIPKELGVSPGVSSYNVLIKSHCVDGDLSAARQVLDEMRERGIDPNIVSYNTLLDGYSKKGDAFGFEEILGEIKSRGLDFNLVTYNCRLSALCAQGKSSEAEELLDVMVSNGLKPNRISFDAVIDGFCKEGNVKSALRVFTRMRSGKKGNEAGDEAGGENDIVLPSSKTYSKLIRSLVQKEELELALDISKECLEKKWTPPFDAMKGLVNGLVKNSQIDLAKGILKKMRKGLRGDAIDAWKKVEGALQL >DRNTG_30874.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2275768:2276244:-1 gene:DRNTG_30874 transcript:DRNTG_30874.1 gene_biotype:protein_coding transcript_biotype:protein_coding SHKYTGGSLVSTRARNNKHAPCSFSNNHEKKTRTKFKLDRPT >DRNTG_07238.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14718783:14719942:1 gene:DRNTG_07238 transcript:DRNTG_07238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQSKKFRGVRQRHWGSWVAEIRHPLMKRRVWLGTFETAEDAARAYDEAAVIMSGHNAKTNFPVSERSSRALSETLSEKLRRCCKAPAPSLTCLRLDTDKAHIGVWQKRAGSRAGSNWVLNAELGKKVVSSSAEKTTTSSQDHQEMDIALQMIEELLTVSYELPIFNQK >DRNTG_22767.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4042009:4046689:1 gene:DRNTG_22767 transcript:DRNTG_22767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYLFFFFFFSSLLSCKAHPLDPLSPSEFIVIQQTIKTSNLFSSTPLYFQYIGLADPDKLLLLSWLSNTSNSPPPRQAFIIARSDHQTHELHVDISTKSIISNTVYTGFSFPLLNFEEQTAASNLPFNYTPFMNSIKKRGLKSSEVVCTTFTVGWFGEVKKSKRLLKILCFATGDTVNLYVRPLEGITIVVDLDLMEIVDYTDRFVVPVPVAGGTDYRSKKQKPPFGPRGKPVTVVQPEGKGFSIDGHSISWANWKFHLSYDVRAGAIISLASVQAHDAKLYRLVLYKGFVSEVFVPYQDPTEEWYYKTFFDAGEFGFGLSASSLQPMSDCPTNAEFLDGYYAAQNGSPVKIKNVFCVFERYSGDSAWRHTEIGIPGMVITEVRPEISLVVRMVSTIGNYDYVTDWEFKTSGAIKFTMMIILK >DRNTG_10930.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:70769:74551:1 gene:DRNTG_10930 transcript:DRNTG_10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLLILLVLLPLQLSFSALQPDIKLLISFKSSLPNPQTLPTWSSNGDPCASFSGVTCISGRVAVVDLTGVALTSDFHAVTSYLLALDNLQSLFLKSTNLTGTLAGGRCSGGQLAVLDLSENGLKSSLADLLSFAASCSSLTLLNLSTNFITGGGKGIAGAGAGTSFETLDLSFNQISDVEDLHQLLSLPGILRLNLTGNGLSGQIPAITNGSSLQHLDLSSNNLYGEIGAGVFAGCRSLLSLNLSSNHFTGTLPADLSSCYSLTSLSLSNNNFSGEIPVETLTSLPDLITLEFAFNNLSGKLPDTLSKLTKLELLDLSSNGFFGSIPSGLCQSPATSLRELYLQNNIFTGLVPPSLSNCSMLVSLDLSFNYLHGAIPASLGSLSRLRDLIMWQNLLSGEIPSELTLAQSLENLILDNNGLQGTIPEGLVNCSNLNWISLSSNKLSGPIPSWLGRLSNLAILKLGNNSFSGPIPPELGDCKSLIWLDLNSNSLNGTIPATLSKQSGNIAVGLVTGKRYVYLKNDGSTECHGAGNLLEFAGIRPDQLSRLPSRRFCNFTRVYMGNTRYTFKNNGSMIFLDLSYNQLSGEIPRPLGSMYYLMILNLGHNLLSGSIPPALGGLRYVAVLDLSHNLLEGPIPSTFSGLAMLSEIDLSNNQLNGSIPQLGQLATFPRYRYENNSGLCGFPLPSCDDGPSSGDNGQRRKSHRWQASLAGSIAMGLLFSLFCILGLILVFFESKKRRQRRKDISNVSTRDVDSRSHSGTATTAWKLTATKDAMNINLSTFDKPLRKLTFADLLEATNGFHNDSLIGSGGFGDVYKAQLKDGSVVAIKKLIHISGQGDREFTAEMETIGKIKHRNLVPLLGYCRVGEERLLVYEYMKYGSLEDVLRDRRRAGIKLNWAARRKIAIGAARGLAFLHHNCFPHIIHRDMKSSNVLLDDNLEARVSDFGMARMMNAVDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLTGKQPTDSSDFGDNNLVGWVKQHSKLRIIDVFDPELLKEDPSLELELLEHLKIACSCLDDRPMRRPTMLKVMAMFKEIQAGSTVDSTPSATTTEGTTTFTVVDMKMNGGLKV >DRNTG_33407.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1548384:1550596:-1 gene:DRNTG_33407 transcript:DRNTG_33407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEALSSNEFFNLLINDDSVSAPLATTSLLFNSTGLSLLGNFNGDTGSGSSGGGNGGGGGGGASFSSMMMMHGEFSTPVNGGDVKPGQSRRKRRRRTRSCKNKEEAENQRMTHIAVERNRRRQMNEHLSVLRSLMPESYVQRGDQASIVGGAIDFVKELEQLLQSLEAQKRTLIQQQQQQDHHHHHHNQKVVGDHDTEDVPPFSQFFAYPQYIWCHSDRECQPESHRAPAMADIEVTLIETHANLRILSPRRPRQLLKLVVGLQALSLTILHLNVTTLDSLVLYSLSTKVEEGCNLTTVDEIAAVVHHMLTLIEAETQSNRPAQESPVL >DRNTG_33407.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1549456:1550596:-1 gene:DRNTG_33407 transcript:DRNTG_33407.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEALSSNEFFNLLINDDSVSAPLATTSLLFNSTGLSLLGNFNGDTGSGSSGGGNGGGGGGGASFSSMMMMHGEFSTPVNGGDVKPGQSRRKRRRRTRSCKNKEEAENQRMTHIAVERNRRRQMNEHLSVLRSLMPESYVQRGDQASIVGGAIDFVKELEQLLQSLEAQKRTLIQQQQQQDHHHHHHNQKVVGDHDTEDVPPFSQFFAYPQYIWCHSDRECQPESHRAPAMADIEVTLIETHANLRILSPRRPRQLLKLVVGLQALSLTILHLNVTTLDSLVLYSLSTKVCSHPCLCLVLSMS >DRNTG_27956.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3542841:3546793:-1 gene:DRNTG_27956 transcript:DRNTG_27956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLWRWYQRCLQVHPVKTQIISSGLLWGLGDIGAQAITHSTLKNQSLPHSSEDKEFKINWRRVATTSMFGFAFVGPVGHYWYEYLDRFIRLRAQLQPKTLKFVTAKVAADGLLFGPVDLLIFFSYMGFASGKNIDQVKEDVKRDFLPGLVVGGGVWPIVQIVNFRFVPVRYQLLYVNFFCLLDSSFLSWLEQQGDASWKQWFTSFRTLENSKGQT >DRNTG_33762.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32472956:32480415:1 gene:DRNTG_33762 transcript:DRNTG_33762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGTNMPIVKRWVVTYTKHLKQKRKVYQDGVLELYGSGKKALLYDDCEKLIDSKFLKNDDVIECGRTVTLEAHLVDIGVLEESRAPLADINVTGVRSTERHGAGPQKERKKGMLHRRLPQNHSNATNMNEGVTQKMQLENFGKPQSLLNASGTTVKEWNALYTTQITQKAKKFHDGFLRLSVCGSYMDQVTLLNEEGVVLGSKYVKSSEFIETGKTFELPNYLVEIFELRTVEGEIRKATPEKEANSGCLNNSNFISARLVINNKHSGDISKGPSSQVSCSSSSSFGKLKNRSIMATSDVDDKKVLFHYKVTVPSSLATVDLPEGTQREVTDTGTTSHGEIMSRTEINKSLRDACQILTTVRKPVSESNNVHEKLSKELVQPAQSLKDAGRILQIGQVHSTSIFNHLDVQSKGMILEDNFQEERQLIEPAKDNSKADSCELSAGNHIQCGYSRKSLDSSASEDFSNSGITSGMSTNYGEKSTLHNSHYSVHILSGPLTSETSADELNVGCSVKSSEGRVTSASIRGETNAHASSLPVLSHTATPGTSFSGEGLFWHIVSSTNETVPFATSASSTLVEQQLPDSSRNILKETFADIETSATSGLKGDILQSGGGNQNNDKFAQTPATSTKEGEICESASVASRPTCAAIESDKKYKDSEFESLSTKCHTAEDFPTFDLGF >DRNTG_33762.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32477251:32480415:1 gene:DRNTG_33762 transcript:DRNTG_33762.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLYSYSDDFVGLYLVDLPEGTQREVTDTGTTSHGEIMSRTEINKSLRDACQILTTVRKPVSESNNVHEKLSKELVQPAQSLKDAGRILQIGQVHSTSIFNHLDVQSKGMILEDNFQEERQLIEPAKDNSKADSCELSAGNHIQCGYSRKSLDSSASEDFSNSGITSGMSTNYGEKSTLHNSHYSVHILSGPLTSETSADELNVGCSVKSSEGRVTSASIRGETNAHASSLPVLSHTATPGTSFSGEGLFWHIVSSTNETVPFATSASSTLVEQQLPDSSRNILKETFADIETSATSGLKGDILQSGGGNQNNDKFAQTPATSTKEGEICESASVASRPTCAAIESDKKYKDSEFESLSTKCHTAEDFPTFDLGF >DRNTG_16416.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5519247:5527114:-1 gene:DRNTG_16416 transcript:DRNTG_16416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQAHWPWYLNMTTSSPVIHPLSTISSPSTSQIIQKEKTSVKHLTCLIGKGIFNSNGDSWRIQHVTCMTVFGVDPGYLSTAFPSVPFGKALDEAWEALVRLIVSLTQQISQRRRTTTTAAANKGGETSTDLLTCYINNPIGDGTGVFGTDKFLRDTMLAMMIAGRDSLAVTPSWFFSGYSQRTQTQRLN >DRNTG_26654.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:231468:234201:1 gene:DRNTG_26654 transcript:DRNTG_26654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPACAFIVLMFFLISPSICASHASDSITTNQSISGKQKLISVGGNFVLGFFTKDESSAKFYIGIWYKKVSELTPVWVANRATPVSDTTKSLLHISPDGNLALLDQSINSLVWSTNATISSNSSTVAVLLDNGNLVLRDADNSSNIFWQSIENPTHTWLSGGKIALNKITGVSQRLISWKNSEDPAPGLFSLEIKKDGQYQIQWNMSKSYWTTGLWDGRAFTKVPEMTSGYMCDYTYVNNSEENYFSYTLKDNSTISRLIMDVKGQIKMLIWEEVNQKWIQFWSEPEAQCEVTAFCGPFGSCNEQTLQKCSCVKGFNQKSPKDWGLGDYSGGCVRNKRLHCGANNNSASFSEQDKFFQMSSVRLPDLGQALQVSSDHECMLACLNNCSCTAYAYGAGCTVWYGDLLNLQEQYEGLDGGTLFIRLAASELPSHHKIGSVSGLVAGVVAAVVVVVAVVVFILSVTIRRRRRRAFRLVNGALVVFRYSDLQRVTKNFSHKLGSGSFGSVFKGVLLDSTAIAVKKLEGLRQGEKQFRAEVSTLGTIQHVNLVPLRGFCVEGTKRLLVFDYMPNGSLDSHLFHSNDNVLDWSARYRIALGIARGLEYLHEKCRECIIHCDIKPENILLDAEFNPKVADFGLAKLLGREFSHVLTSMRGTIGYLAPEWILGLAITPKADVYSFGMMLLEIISGKRNAKWSENGSHYFPVEAAMKVNENMAHCLLDERLEGNANMEEKARKKQNGGTKGLLLTHIKHKPLLATALVNLWGWNDLPPPLHEVPRTLSCA >DRNTG_35210.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7965477:7966657:-1 gene:DRNTG_35210 transcript:DRNTG_35210.1 gene_biotype:protein_coding transcript_biotype:protein_coding QGVLLCEKPGVTTRGSQWPTPRKDN >DRNTG_35210.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7965477:7965867:-1 gene:DRNTG_35210 transcript:DRNTG_35210.2 gene_biotype:protein_coding transcript_biotype:protein_coding QGVLLCEKPGVTTRGSQWPTPRKDN >DRNTG_02265.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:975643:976460:1 gene:DRNTG_02265 transcript:DRNTG_02265.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLHTMVIMLFKKVKKIGEDDPRRILHSFKVGLTLTLVCIFYYVTPLFDGFGSSAMWAVLTVAVVMEFTVGGTLCKGVNRTFATLLAGALGLGTHHIAILCGEKGEPILIGIFVFFFSSAATFSRFIPEVKKRYDYGVTIFILTFSLVAVSSYRVEDIIEFSHQRLSTIALGVALCISISIFIFPVWAGGDLHHLTSTNLDKLANFLH >DRNTG_02265.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:975643:977331:1 gene:DRNTG_02265 transcript:DRNTG_02265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLHTMVIMLFKKVKKIGEDDPRRILHSFKVGLTLTLVCIFYYVTPLFDGFGSSAMWAVLTVAVVMEFTVGGTLCKGVNRTFATLLAGALGLGTHHIAILCGEKGEPILIGIFVFFFSSAATFSRFIPEVKKRYDYGVTIFILTFSLVAVSSYRVEDIIEFSHQRLSTIALGVALCISISIFIFPVWAGGDLHHLTSTNLDKLANFLHGMRDKYFGEDLVDRSYFQSYKSVLNSKATEEVLVNLAKWEPGHSGFGFWHPWKQYLVIGASIRHCACLMDSLATFITTLDKSAPLIGSNPRPKPDSEELYGKIRSACADMSSETAKALSDLANDIKARSAPATARLHVAAAEEAAERMKMALSNGAEGGIVHVATIGSLVGEITKSAKEIVGSVEELAQLAGFKSHEAVNRTMVKPLADGESQHTTIT >DRNTG_33262.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001984.1:41566:43907:1 gene:DRNTG_33262 transcript:DRNTG_33262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLPFASLVVDPNIIDPSHILGPWFKSPKGTPFEFYFSKGFWEVVDEKPEFNKGFNEGMASDSELVGDVVMMTCRDVFKGLKSLVDVGGGTGIMARAIAHAFPETKCTVLDLPHVINTVNDDNSLVEYIGGDMFVSVPHANAALLKWILHDWDDEDCVKILQNCKKVIPTRDQGGKIIIIDMVVGVTSDKHAYAVETQLLFDMMMMILFQWKRKE >DRNTG_03595.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:269081:275628:1 gene:DRNTG_03595 transcript:DRNTG_03595.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 2 [Source:Projected from Arabidopsis thaliana (AT4G21150) UniProtKB/Swiss-Prot;Acc:Q93Z16] MARGLRFLGILALIYASLSLCIAANVRPISDAHRSAALELFASPIDGSFGSLEETYEALKTLKNLGLERPGDAKEKACPVVVEKLGSTSSSLKDLFQAVRVNSVVGCQIDAKTFADVASRIQAVIKDTSSLEDFYYSVGSLLLVKDQGSSVNLLDANDIFHSIKALSQSDGRWRYNSNSAESSTYAAGLALEALAGIVSLADSEVDQSMIETVKNDIVKLFDSIESYDDGSLFFDEKHVATSEYKGPVTTTSSVVRGVTAFAAIASGSLNIPGDKILGLAKFFLGMGVPGSSKDLFNQIDSLSLLENNRVSIPLILSLPSTVLSLSSKDQLKVEVNTVFGSAAPPLTVKLVQGFSSASKEVPALENQELKFDAENGVHYLDILPLKVDVGKYTLVFEISLHDPEHLNTYATGGQTKTLVFLTGIIKIDKAEITILNSDVGNVETSQKLDLSGDSAVALSANHLQKLRLSFQLTTPLGHAFKPHQMFLKLKHDTNVEHFFLFESSARQFKIVLDFLGLVEKFYYLSGKYDIEITVGDAAMENSFQRALGHVELDLPEPPEKAPRPPVQPADPYSRFGPQKEISHLFREPEKRPPQELSLAFLALVLLPLLVFIIGLIRLRVNLKNFPSSPVPAVFSLLFHIGIGAVLVLYVLFWLKLDLFTTLKALGLLGIFLIFVGHRTLSHHASISSKLKSS >DRNTG_09432.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21187591:21188651:1 gene:DRNTG_09432 transcript:DRNTG_09432.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGRERSGISQAVKLHVKWAPEVYDPPVTSMSHSVKSHRQQCPKARKRDQHKHKHKGKSSRSNAKEKKYTRRTFLATREILSK >DRNTG_09432.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21185428:21188651:1 gene:DRNTG_09432 transcript:DRNTG_09432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCAPPGISIHSGNVFCDFNFHHLIGRNPVVAQKCWNSKNDELLAKHASCDILGVSSKIGDELVTRELGKKFHKMLQVNDSSEDILSDDSPSNREESCSSSGTRIFQDIDESTTFDAKVGKVLNKSVTFPISNKVQSYPEPIKREDGLPGKLCDIPNSSFCESHVYGRSMSLSPSSKLVSAMKGGRERSGISQAVKLHVKWAPEVYDPPVTSMSHSVKSHRQQCPKARKRDQHKHKHKGKSSRSNAKEKKYTRRTFLATREILSK >DRNTG_09432.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21187591:21188651:1 gene:DRNTG_09432 transcript:DRNTG_09432.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKPSSKLVSAMKGGRERSGISQAVKLHVKWAPEVYDPPVTSMSHSVKSHRQQCPKARKRDQHKHKHKGKSSRSNAKEKKYTRRTFLATREILSK >DRNTG_11088.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30747244:30752053:-1 gene:DRNTG_11088 transcript:DRNTG_11088.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSTGRFTLGKQSSLAPDRADEVDGEDADGLEQIDANIRLMYLANEGDLQGILELLDSGVDVNFKDIDDRTALHVAACQNHADVVELLLKKGAEINPEDRWGSTPLADAIYYKNQDVIKLLEKNGARVKTAPMHVTSAREVPEYEIDPRELDFTNSIDITKGTFRIATWRGIQVAVKKLGEDLITDEDKVRAFRDELALLQQIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLRAFLKRKRALKPLVAVRFALDISRGMNYLHEHKPEAIIHRDLEPS >DRNTG_11088.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30747244:30752053:-1 gene:DRNTG_11088 transcript:DRNTG_11088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSTGRFTLGKQSSLAPDRADEVDGEDADGLEQIDANIRLMYLANEGDLQGILELLDSGVDVNFKDIDDRTALHVAACQNHADVVELLLKKGAEINPEDRWGSTPLADAIYYKNQDVIKLLEKNGARVKTAPMHVTSAREVPEYEIDPRELDFTNSIDITKGTFRIATWRGIQVAVKKLGEDLITDEDKVRAFRDELALLQQIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLRAFLKRKRALKPLVAVRFALDISRGMNYLHEHKPEAIIHRDLEPSNILRDDSGHLKVADFGLSKLLKVNTVREDRPLVCQDTACRYVAPEVFRNEEYDTKVDVFSFALILQEMIEGCPPFANKQDGEVPKAYASKERPPFKANLKLYPHGLKQLIEECWSENPADRPTFRGIIDRLTYIQNQIANKMRWKVKPLKCFQKIEAIFKKNHSDPSSRSSQSSSSYF >DRNTG_27708.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15492642:15502029:-1 gene:DRNTG_27708 transcript:DRNTG_27708.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMHWRGQMRLPMRLRILCQWVIGASCCLLES >DRNTG_00855.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:365106:367992:1 gene:DRNTG_00855 transcript:DRNTG_00855.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRRRFILCAFCVILATLILGASTTRKGGGGGAQAQSQSHSSILNKGLKLGTVFTSAMVSPCKDTLYPSACESAVASIDGAHLKKTTEKVFDASLKMAVAGAHSARSMAYNFTVYHQKLAFGRPTAMDDCFELMDITLDLLNDVTNSNKKASSHDIQTWLSAAITNQVTCQESLATHGAGLASKDTMNDRAQSLMEHVSNSLALFKSVKGKKKSSTAGVGRKLLADGYPSWLSAADRRLLKASPEDIKADAVVAQDGTGTHTTINEALAFLFQKYSTTSSGGGGGGRSVMYLKAGTYKGPIVIPTKHKNVMIMGDGKGKTVIIGSKSTGSGSSTYQSATVAAMGAGFIGKGLTIINNAGPEDHQAVALRVGADKSVITQCSIQGYQDTLYTHSNRQFYRDNDITGTVDFIFGNSAAVFQNCFIQPRRAGSGQKNSVTAQGRSDPNQNTGISIQSCTIKCSSDIDGTPTYLGRPWHKYARVVVMESFLDGCINKDGWEPWSGSFAESTAYYAEYDNSGPGARPSDRVHWGGVHPSISSSEASKFTVSEFIVGDYWLPGTGVDYKAGL >DRNTG_00855.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:365106:368093:1 gene:DRNTG_00855 transcript:DRNTG_00855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRRRFILCAFCVILATLILGASTTRKGGGGGAQAQSQSHSSILNKGLKLGTVFTSAMVSPCKDTLYPSACESAVASIDGAHLKKTTEKVFDASLKMAVAGAHSARSMAYNFTVYHQKLAFGRPTAMDDCFELMDITLDLLNDVTNSNKKASSHDIQTWLSAAITNQVTCQESLATHGAGLASKDTMNDRAQSLMEHVSNSLALFKSVKGKKKSSTAGVGRKLLADGYPSWLSAADRRLLKASPEDIKADAVVAQDGTGTHTTINEALAFLFQKYSTTSSGGGGGGRSVMYLKAGTYKGPIVIPTKHKNVMIMGDGKGKTVIIGSKSTGSGSSTYQSATVAAMGAGFIGKGLTIINNAGPEDHQAVALRVGADKSVITQCSIQGYQDTLYTHSNRQFYRDNDITGTVDFIFGNSAAVFQNCFIQPRRAGSGQKNSVTAQGRSDPNQNTGISIQSCTIKCSSDIDGTPTYLGRPWHKYARVVVMESFLDGCINKDGWEPWSGSFAESTAYYAEYDNSGPGARPSDRVHWGGVHPSISSSEASKFTVSEFIVGDYWLPGTGVDYKAGL >DRNTG_00855.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:367079:368093:1 gene:DRNTG_00855 transcript:DRNTG_00855.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGFIGKGLTIINNAGPEDHQAVALRVGADKSVITQCSIQGYQDTLYTHSNRQFYRDNDITGTVDFIFGNSAAVFQNCFIQPRRAGSGQKNSVTAQGRSDPNQNTGISIQSCTIKCSSDIDGTPTYLGRPWHKYARVVVMESFLDGCINKDGWEPWSGSFAESTAYYAEYDNSGPGARPSDRVHWGGVHPSISSSEASKFTVSEFIVGDYWLPGTGVDYKAGL >DRNTG_00855.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:365106:367806:1 gene:DRNTG_00855 transcript:DRNTG_00855.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRRRFILCAFCVILATLILGASTTRKGGGGGAQAQSQSHSSILNKGLKLGTVFTSAMVSPCKDTLYPSACESAVASIDGAHLKKTTEKVFDASLKMAVAGAHSARSMAYNFTVYHQKLAFGRPTAMDDCFELMDITLDLLNDVTNSNKKASSHDIQTWLSAAITNQVTCQESLATHGAGLASKDTMNDRAQSLMEHVSNSLALFKSVKGKKKSSTAGVGRKLLADGYPSWLSAADRRLLKASPEDIKADAVVAQDGTGTHTTINEALAFLFQKYSTTSSGGGGGGRSVMYLKAGTYKGPIVIPTKHKNVMIMGDGKGKTVIIGSKSTGSGSSTYQSATVAAMGAGFIGKGLTIINNAGPEDHQAVALRVGADKSVITQCSIQGYQDTLYTHSNRQFYRDNDITGTVDFIFGNSAAVFQNCFIQPRRAGSGQKNSVTAQGRSDPNQNTGISIQSCTIKCSSDIDGTPTYLGRPWHKYARVVVMESFLDGCINKDGWEPWSGSFAESTAYYAEYDNSGPGARPSDRVHWGGVHPSISSSEASKFTVSEFIVGDYWLPGTGVDYKAGL >DRNTG_00855.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:364970:367806:1 gene:DRNTG_00855 transcript:DRNTG_00855.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRRRFILCAFCVILATLILGASTTRKGGGGGAQAQSQSHSSILNKGLKLGTVFTSAMVSPCKDTLYPSACESAVASIDGAHLKKTTEKVFDASLKMAVAGAHSARSMAYNFTVYHQKLAFGRPTAMDDCFELMDITLDLLNDVTNSNKKASSHDIQTWLSAAITNQVTCQESLATHGAGLASKDTMNDRAQSLMEHVSNSLALFKSVKGKKKSSTAGVGRKLLADGYPSWLSAADRRLLKASPEDIKADAVVAQDGTGTHTTINEALAFLFQKYSTTSSGGGGGGRSVMYLKAGTYKGPIVIPTKHKNVMIMGDGKGKTVIIGSKSTGSGSSTYQSATVAAMGAGFIGKGLTIINNAGPEDHQAVALRVGADKSVITQCSIQGYQDTLYTHSNRQFYRDNDITGTVDFIFGNSAAVFQNCFIQPRRAGSGQKNSVTAQGRSDPNQNTGISIQSCTIKCSSDIDGTPTYLGRPWHKYARVVVMESFLDGCINKDGWEPWSGSFAESTAYYAEYDNSGPGARPSDRVHWGGVHPSISSSEASKFTVSEFIVGDYWLPGTGVDYKAGL >DRNTG_19311.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:649769:652173:1 gene:DRNTG_19311 transcript:DRNTG_19311.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPITTAAAATTATTVAAADDDFSFYYSYFQDAIASFPASPPSDMPKKKLDSANPSKKRSIANILTSLAALDDQDASERRHADDASLHDLSLLQANHQSRLNATLDYFDRVEEHFDEAEQSDTLRSKRARLAASAAAATVADGAASGAQPQHHQRRLWVKDRSTAWWDRCNHPDFPEAEFRRAFRMSRATFDMICDELGSAVAKEDTMLRAAIPVRQRVAVCIWRLATGEPLRLVSKRFGLGISTCHKLVLEVCLAIKTVLMPKFLQWPDEQSCSSIKSRFENISRIPNVVGSMYTTHIPIIAPKVSVAAYFNRRHTERNQKTSYSITVQGVVDPDGVFTDVCIGWPGSMPDDKVLEKSALFQRAESGMLKNVWVVGSSGYPLMDWVLVPYTHQNLTWTQHTFNQKIGEVQRVGKEAFARLKGRWTCLQKRTEVKLQDLPVVLGACCVLHNICEIRKEEMEPELKFELVDDEMVPENSLRSVSSMRARDNIAHNLLHRDFAGTNFL >DRNTG_06278.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8209144:8210060:-1 gene:DRNTG_06278 transcript:DRNTG_06278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLRVVDLPGVPIILHEAIGELIHLRYLNVRVAQLKPLPSSIGELTNLQTLKIQTDSSFFIELPSEVLKLLTNLRHLTCRCSIKGQPSSVENLPNLQTLIIVKASKWLYKGLDKMTNLRKLSIVDVSNYYAKALSDSLGKLNNLFELELTAKSDHEIIPTSILTSSHHKHLRVFLLDGQLERLPEVITQCLVTNLIYLGLVNSKLKEDPLVTLGKLDNLQVLHLKNNAFVGKKMVCLEKGFPQLKDLEFYRLDSLQEWKIEDEAMPRLRKLMIDRCSKLVMLPHGLGRITSL >DRNTG_07798.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1245096:1246751:1 gene:DRNTG_07798 transcript:DRNTG_07798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASLRYAPNFFAASNFVSKSRVLASLGHAQPLKDRRSISLTSNQGWRQRRPIPMRRSLRIRASSESGDGPLAPIAPLQLESPVGQLLSQILVSHPHLLPATVDQQLHQLQSDRDAEKLKNDPSPSSSSGTDLVLYRRIAEVKASERRRALEEILYMMIVQKFMDAGVPLVPALSQGRPSPQSGKVDHWSSEENKLQQLHSPEAYEMIKNHLTLILGQRLEDSNAIAPISKLRVGQVYAASIMYGYFLKRVDQRFQLEKSMKTLPLNSPAEAEALANAPPAFPFPAEGIEDRVKPCKLRSYVMALDAETLQRYATIRSKEAFGIIEKHTEALFGRPEIVITPEGAIDSSKDELIKISFAGLKRLILEAVTFGSFLWDVESYVDSRYHFAAN >DRNTG_24635.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31206727:31207410:1 gene:DRNTG_24635 transcript:DRNTG_24635.11 gene_biotype:protein_coding transcript_biotype:protein_coding MFCTLLSSVYLGMLLISLTPNVQVAFILSSFFNQNSNIFSGFMIPASHIPKWWIWLYYIMPISWALNGFFTSQYGDIHTEIIVFGETKSVPIFLKEYFGFHHDRLGVVAIVLLAFPLLFSSLFAYCITKLNFQRR >DRNTG_24635.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31205723:31207410:1 gene:DRNTG_24635 transcript:DRNTG_24635.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVTSTSAESQLGVNFAQIYKESSLYNDNKELVNQLKIPAPNSRDLHFPTRFPQNGWGQFKACLWKQYLSYWRSPSYNLVRMIFIFISSVMLAALFWKHGKTLDNQQNLFNILGSMYLSVILNVINNTSLVMPFVATERAVLYREKFAGMYSLWAYSLAQVVIEIPYVFIQVVLFTITVYPTIGYYWSAYKVLWFFYTMFCTLLSSVYLGMLLISLTPNVQVAFILSSFFNQNSNIFSGFMIPASHIPKWWIWLYYIMPISWALNGFFTSQYGDIHTEIIVFGETKSVPIFLKEYFGFHHDRLGVVAIVLLAFPLLFSSLFAYCITKLNFQRR >DRNTG_24635.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31194198:31197622:1 gene:DRNTG_24635 transcript:DRNTG_24635.7 gene_biotype:protein_coding transcript_biotype:protein_coding MREQGYAHKKLQLLKNITGAFRPGILSVLMGVSGAGKTTLLDVLSGRKTAGFIEGDIRIGGYPKIHKTFARISGYCEQTDIHSPQITVEESVIFSAWLRLPNEIDSKTRSEFVNEVLETIELDSIKDALVGRQGVNGLSTEQRKRLTIAVELVANPSILFMDEPTSGLDARAAAIVMRAVKNVAETGRTVVCTIHQPSIDIFEAFDELMLMKKGGELIYYGPLGRRSSKIIEYFERIPGIPKIKENYNPATWMLEVTSSSVEAQLSVNFAQIYRESTLYNDNKLLVKQLSTPAPGSRDLHFSTLFPQNGLGQFKACLWKQYLSYWRSPSYNCVRLIYVLFSSVLCATLFWKKGKTIKNQQNLFNILGSMYISALLNGINNCVLVMPFVVTERAVLYREKYAGMYSSWAYSLAQMVIEIPCVFIQVLLFMIIAYPAIGYYWSAYKFLWFFYAMLCLLLSFVYLGMLSVSLSPNIQVASIVCSFFFQNLNLFSGFIIPGPHIPKWWIWFYYMLPLSWALNGFFTSQYGDIQEEIQVFGETKTVALFLQDYFGFHHDHLGLVALVLLVFPLMLASLFACCIEKLNFQRR >DRNTG_24635.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31192743:31207410:1 gene:DRNTG_24635 transcript:DRNTG_24635.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFLRTGKRLDIEHANYYMSSLFFSLILTMVNGHPEMAMTVSRLPCFYKQRNLCFYPAWAYAIPAAISKIPISLIESLIWTSVTYYGVGYSPEAIRFFRQFVILLVTHQMALSQFRFFASYYQTIVASTVISSLVLMVMLTIGGFILPKTSIPGWLKWGFWVSPMTYTEIGLTVNEFLAPRWQKISAGNLTIGNIVLSNHGLEFKSHFYWVSVGALLGFALLFNLGFILALTFRRSVGKSRCIISREKLSEINGDNDIHSAATTFPESGKEAKNKGRMMVLPFQPLAMTFQDISYYVDTPLEMREQGYAHKKLQLLKNITGAFRPGILSVLMGVSGAGKTTLLDVLSGRKTAGFIEGDIRIGGYPKIHKTFARISGYCEQTDIHSPQITVEESVIFSAWLRLPNEIDSKTRSEFVNEVLETIELDSIKDALVGRQGVNGLSTEQRKRLTIAVELVANPSILFMDEPTSGLDARAAAIVMRAVKNVAETGRTVVCTIHQPSIDIFEAFDELMLMKKGGELIYYGPLGRRSSKIIEYFERIPGIPKIKENYNPATWMLEVTSSSVEAQLSVNFAQIYRESTLYNDNKLLVKQLSTPAPGSRDLHFSTLFPQNGLGQFKACLWKQYLSYWRSPSYNCVRLIYVLFSSVLCATLFWKKGKTIKNQQNLFNILGSMYISALLNGINNCVLVMPFVVTERAVLYREKYAGMYSSWAYSLAQRGCEAPKH >DRNTG_24635.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31204120:31206640:1 gene:DRNTG_24635 transcript:DRNTG_24635.9 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLPFQPLSMTFQDICYYVDTPPQMKERGFTGNKFQLLRNITGAIRPGILSVLMGVSGAGKTTLLDVLSGRKTGGFIGGDIRIGGYHKTPETFARISGYCEQTDIHSPHITVEESVIFSAWLRLPNEIDSKTRSEFVNEVLETIELDGIKDALVGRQGIDGISTEQRKRLTIAVELVANPSILFMDEPTSGLDARAAAIVMRAVKYVAETGRTVVCTIHQPSIDIFEAFDELILMKRGGELIYWGPLGQHSSKVIEYFESIPGVPKIKDNYNPAAWMLEVTSTSAESQLGVNFAQIYKESSLYNDNKELVNQLKIPAPNSRDLHFPTRFPQNGWGQFKACLWKQYLSYWRSPSYNLVRMIFIFISSVMLAALFWKHGKTLDNQQNLFNILGSMYLSVILNVINNTSLVMPFVATERAVLYREKFAGMYSLWAYSLAQ >DRNTG_24635.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31191737:31207410:1 gene:DRNTG_24635 transcript:DRNTG_24635.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMGMDICADIMVGDAMRRGISGGQKKRLTTAEAIVGPTKALFMDEISTGLDSSTTFQIVTCLQQMAHITEATIVISLLQPAPETYNLFDDIILMAEGKIVYQGPCDQVLAFFEECGFRCPDRKGEADFLQEVLSRNDQQQYWFHPQESYTYASVDDFCKKFRSFNVSKMLEEELSKPYDKSQCPENALSFNRYSLPKGKLLRACMARELLLMKRNSRLYIFKIFQFAIGASISMSVFLRTGKRLDIEHANYYMSSLFFSLILTMVNGHPEMAMTVSRLPCFYKQRNLCFYPAWAYAIPAAISKIPISLIESLIWTSVTYYGVGYSPEAIRFFRQFVILLVTHQMALSQFRFFASYYQTIVASTVISSLVLMVMLTIGGFILPKTSIPGWLKWGFWVSPMTYTEIGLTVNEFLAPRWQKISAGNLTIGNIVLSNHGLEFKSHFYWVSVGALLGFALLFNLGFILALTFRRSVGKSRCIISREKLSEINGDNDIHSAATTFPESGKEAKNKGRMMVLPFQPLAMTFQDISYYVDTPLEMREQGYAHKKLQLLKNITGAFRPGILSVLMGVSGAGKTTLLDVLSGRKTAGFIEGDIRIGGYPKIHKTFARISGYCEQTDIHSPQITVEESVIFSAWLRLPNEIDSKTRSEFVNEVLETIELDSIKDALVGRQGVNGLSTEQRKRLTIAVELVANPSILFMDEPTSGLDARAAAIVMRAVKNVAETGRTVVCTIHQPSIDIFEAFDELMLMKKGGELIYYGPLGRRSSKIIEYFERIPGIPKIKENYNPATWMLEVTSSSVEAQLSVNFAQIYRESTLYNDNKLLVKQLSTPAPGSRDLHFSTLFPQNGLGQFKACLWKQYLSYWRSPSYNCVRLIYVLFSSVLCATLFWKKGKTIKNQQNLFNILGSMYISALLNGINNCVLVMPFVVTERAVLYREKYAGMYSSWAYSLAQRGCEAPKH >DRNTG_24635.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31200879:31207410:1 gene:DRNTG_24635 transcript:DRNTG_24635.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAVRKSLAIISHEKLAHINGENDLHSDIHPKNNATTTSPARSKETKDKRRMMLLPFQPLSMTFQDICYYVDTPPQMKERGFTGNKFQLLRNITGAIRPGILSVLMGVSGAGKTTLLDVLSGRKTGGFIGGDIRIGGYHKTPETFARISGYCEQTDIHSPHITVEESVIFSAWLRLPNEIDSKTRSEFVNEVLETIELDGIKDALVGRQGIDGISTEQRKRLTIAVELVANPSILFMDEPTSGLDARAAAIVMRAVKYVAETGRTVVCTIHQPSIDIFEAFDELILMKRGGELIYWGPLGQHSSKVIEYFESIPGVPKIKDNYNPAAWMLEVTSTSAESQLGVNFAQIYKESSLYNDNKELVNQLKIPAPNSRDLHFPTRFPQNGWGQFKACLWKQYLSYWRSPSYNLVRMIFIFISSVMLAALFWKHGKTLDNQQNLFNILGSMYLSVILNVINNTSLVMPFVATERAVLYREKFAGMYSLWAYSLAQVVIEIPYVFIQVVLFTITVYPTIGYYWSAYKVLWFFYTMFCTLLSSVYLGMLLISLTPNVQVAFILSSFFNQNSNIFSGFMIPASHIPKWWIWLYYIMPISWALNGFFTSQYGDIHTEIIVFGETKSVPIFLKEYFGFHHDRLGVVAIVLLAFPLLFSSLFAYCITKLNFQRR >DRNTG_24635.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31191893:31194532:1 gene:DRNTG_24635 transcript:DRNTG_24635.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDICADIMVGDAMRRGISGGQKKRLTTAEAIVGPTKALFMDEISTGLDSSTTFQIVTCLQQMAHITEATIVISLLQPAPETYNLFDDIILMAEGKIVYQGPCDQVLAFFEECGFRCPDRKGEADFLQEVLSRNDQQQYWFHPQESYTYASVDDFCKKFRSFNVSKMLEEELSKPYDKSQCPENALSFNRYSLPKGKLLRACMARELLLMKRNSRLYIFKIFQFAIGASISMSVFLRTGKRLDIEHANYYMSSLFFSLILTMVNGHPEMAMTVSRLPCFYKQRNLCFYPAWAYAIPAAISKIPISLIESLIWTSVTYYGVGYSPEAIRFFRQFVILLVTHQMALSQFRFFASYYQTIVASTVISSLVLMVMLTIGGFILPKTSIPGWLKWGFWVSPMTYTEIGLTVNEFLAPRWQKISAGNLTIGNIVLSNHGLEFKSHFYWVSVGALLGFALLFNLGFILALTFRRSVGKSRCIISREKLSEINGDNDIHSAATTFPESGKEAKNKGRMMVLPFQPLAMTFQDISYYVDTPLEMREQGYAHKKLQLLKNITGAFRPGILSVLMGVSGAGKTTLLDVLSGRKTAGFIEGDIRIGGYPKIHKTFARISGYCEQTDIHSPQITVEESVIFSAWLRLPNEIDSKTRS >DRNTG_24635.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31191159:31197622:1 gene:DRNTG_24635 transcript:DRNTG_24635.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAASVKGLATSIQTDYVMKIMGMDICADIMVGDAMRRGISGGQKKRLTTAEAIVGPTKALFMDEISTGLDSSTTFQIVTCLQQMAHITEATIVISLLQPAPETYNLFDDIILMAEGKIVYQGPCDQVLAFFEECGFRCPDRKGEADFLQEVLSRNDQQQYWFHPQESYTYASVDDFCKKFRSFNVSKMLEEELSKPYDKSQCPENALSFNRYSLPKGKLLRACMARELLLMKRNSRLYIFKIFQFAIGASISMSVFLRTGKRLDIEHANYYMSSLFFSLILTMVNGHPEMAMTVSRLPCFYKQRNLCFYPAWAYAIPAAISKIPISLIESLIWTSVTYYGVGYSPEAIRFFRQFVILLVTHQMALSQFRFFASYYQTIVASTVISSLVLMVMLTIGGFILPKTSIPGWLKWGFWVSPMTYTEIGLTVNEFLAPRWQKISAGNLTIGNIVLSNHGLEFKSHFYWVSVGALLGFALLFNLGFILALTFRRSVGKSRCIISREKLSEINGDNDIHSAATTFPESGKEAKNKGRMMVLPFQPLAMTFQDISYYVDTPLEMREQGYAHKKLQLLKNITGAFRPGILSVLMGVSGAGKTTLLDVLSGRKTAGFIEGDIRIGGYPKIHKTFARISGYCEQTDIHSPQITVEESVIFSAWLRLPNEIDSKTRSEFVNEVLETIELDSIKDALVGRQGVNGLSTEQRKRLTIAVELVANPSILFMDEPTSGLDARAAAIVMRAVKNVAETGRTVVCTIHQPSIDIFEAFDELMLMKKGGELIYYGPLGRRSSKIIEYFERIPGIPKIKENYNPATWMLEVTSSSVEAQLSVNFAQIYRESTLYNDNKLLVKQLSTPAPGSRDLHFSTLFPQNGLGQFKACLWKQYLSYWRSPSYNCVRLIYVLFSSVLCATLFWKKGKTIKNQQNLFNILGSMYISALLNGINNCVLVMPFVVTERAVLYREKYAGMYSSWAYSLAQMVIEIPCVFIQVLLFMIIAYPAIGYYWSAYKFLWFFYAMLCLLLSFVYLGMLSVSLSPNIQVASIVCSFFFQNLNLFSGFIIPGPHIPKWWIWFYYMLPLSWALNGFFTSQYGDIQEEIQVFGETKTVALFLQDYFGFHHDHLGLVALVLLVFPLMLASLFACCIEKLNFQRR >DRNTG_24635.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31191556:31207410:1 gene:DRNTG_24635 transcript:DRNTG_24635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAASVKGLATSIQTDYVMKIMGMDICADIMVGDAMRRGISGGQKKRLTTAEAIVGPTKALFMDEISTGLDSSTTFQIVTCLQQMAHITEATIVISLLQPAPETYNLFDDIILMAEGKIVYQGPCDQVLAFFEECGFRCPDRKGEADFLQEVLSRNDQQQYWFHPQESYTYASVDDFCKKFRSFNVSKMLEEELSKPYDKSQCPENALSFNRYSLPKGKLLRACMARELLLMKRNSRLYIFKIFQFAIGASISMSVFLRTGKRLDIEHANYYMSSLFFSLILTMVNGHPEMAMTVSRLPCFYKQRNLCFYPAWAYAIPAAISKIPISLIESLIWTSVTYYGVGYSPEAIRFFRQFVILLVTHQMALSQFRFFASYYQTIVASTVISSLVLMVMLTIGGFILPKTSIPGWLKWGFWVSPMTYTEIGLTVNEFLAPRWQKISAGNLTIGNIVLSNHGLEFKSHFYWVSVGALLGFALLFNLGFILALTFRRSVGKSRCIISREKLSEINGDNDIHSAATTFPESGKEAKNKGRMMVLPFQPLAMTFQDISYYVDTPLEMREQGYAHKKLQLLKNITGAFRPGILSVLMGVSGAGKTTLLDVLSGRKTAGFIEGDIRIGGYPKIHKTFARISGYCEQTDIHSPQITVEESVIFSAWLRLPNEIDSKTRSEFVNEVLETIELDSIKDALVGRQGVNGLSTEQRKRLTIAVELVANPSILFMDEPTSGLDARAAAIVMRAVKNVAETGRTVVCTIHQPSIDIFEAFDELMLMKKGGELIYYGPLGRRSSKIIEYFERIPGIPKIKENYNPATWMLEVTSSSVEAQLSVNFAQIYRESTLYNDNKLLVKQLSTPAPGSRDLHFSTLFPQNGLGQFKACLWKQYLSYWRSPSYNCVRLIYVLFSSVLCATLFWKKGKTIKNQQNLFNILGSMYISALLNGINNCVLVMPFVVTERAVLYREKYAGMYSSWAYSLAQRGCEAPKH >DRNTG_24635.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31202247:31207410:1 gene:DRNTG_24635 transcript:DRNTG_24635.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAVRKSLAIISHEKLAHINGENDLHSDIHPKNNATTTSPARSKETKDKRRMMLLPFQPLSMTFQDICYYVDTPPQMKERGFTGNKFQLLRNITGAIRPGILSVLMGVSGAGKTTLLDVLSGRKTGGFIGGDIRIGGYHKTPETFARISGYCEQTDIHSPHITVEESVIFSAWLRLPNEIDSKTRSEFVNEVLETIELDGIKDALVGRQGIDGISTEQRKRLTIAVELVANPSILFMDEPTSGLDARAAAIVMRAVKYVAETGRTVVCTIHQPSIDIFEAFDELILMKRGGELIYWGPLGQHSSKVIEYFESIPGVPKIKDNYNPAAWMLEVTSTSAESQLGVNFAQIYKESSLYNDNKELVNQLKIPAPNSRDLHFPTRFPQNGWGQFKACLWKQYLSYWRSPSYNLVRMIFIFISSVMLAALFWKHGKTLDNQQNLFNILGSMYLSVILNVINNTSLVMPFVATERAVLYREKFAGMYSLWAYSLAQVVIEIPYVFIQVVLFTITVYPTIGYYWSAYKVLWFFYTMFCTLLSSVYLGMLLISLTPNVQVAFILSSFFNQNSNIFSGFMIPASHIPKWWIWLYYIMPISWALNGFFTSQYGDIHTEIIVFGETKSVPIFLKEYFGFHHDRLGVVAIVLLAFPLLFSSLFAYCITKLNFQRR >DRNTG_06514.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4289660:4292431:-1 gene:DRNTG_06514 transcript:DRNTG_06514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKILGNSSPRKEEKDLHFLLWLKAKNGEFGEGRRWF >DRNTG_14969.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24088690:24089425:1 gene:DRNTG_14969 transcript:DRNTG_14969.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELMRNPKVMEKVQEEIKGVVKGKAKVSEEDIVEMIYLKAVIKEALRLHPPIPLLVPRESTEHVKLHGFDIPEKTRVVINAWAIGRDPKSWERPEAFMPERFLNSEVDFKGQHFEFIPFGAGRRGCPGIMFAISTIELAAAALLHHFDWKLPDGMRTEELDMSETSGFNAHMKTSLLVQATPRF >DRNTG_12051.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19683546:19686270:1 gene:DRNTG_12051 transcript:DRNTG_12051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGREKRWSLDGATALVTGGARGIGCAIVEELTRFGATVHVCDVNEAELNQRLQTWQELHLPITGSICDVSSHAQRENLMERISNIFDGKLNILVNNAGTGFLKPTIDCTAEELSFIMSTNFESAFHLCQLAHPLLKTSGSGSIIFISSIAGLAGGLPISLYAASKGALNQLTRNLACEWAKDNIRSNSVAPGTIKTTTGPGPRYIENKENKERELSRIPLARVGEQEEVASLVAYLCLPAASYITGQIICVDGGRSINVL >DRNTG_32629.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1106036:1109069:-1 gene:DRNTG_32629 transcript:DRNTG_32629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMAFISFSSFSFPTHTSHHLLRPNLLPRSLNPMLSLPKLQRRQLFSRICCSTANKPDFSSTTKIRSEVLSPFRSVRMFFYLAFIASGSLGGLIALTQLIGALSNPAKAVGVPDILKGLGIDIAAVILFAFLYSRESNAKNAQLEKLSREENLSTLKLRVDEKRIIPVSALRGVARLVILAGPSSYLIESFTRSKPFTEALLERAVLVVPFSTDGEKPDFEFEENDDPKRKRLWQLTPVYTSEWTRWMEEQKKLANVPIDSPVYLSLRIDGRVRGSGVGYPPWNAFVAQLPPMKGMWSGLLDGMDGRVL >DRNTG_32629.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1106036:1109069:-1 gene:DRNTG_32629 transcript:DRNTG_32629.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFYLAFIASGSLGGLIALTQLIGALSNPAKAVGVPDILKGLGIDIAAVILFAFLYSRESNAKNAQLEKLSREENLSTLKLRVDEKRIIPVSALRGVARLVILAGPSSYLIESFTRSKPFTEALLERAVLVVPFSTDGEKPDFEFEENDDPKRKRLWQLTPVYTSEWTR >DRNTG_26576.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20196115:20200590:1 gene:DRNTG_26576 transcript:DRNTG_26576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENAPGERSLKETPTWAVAVVCAVMVLISVAMEHGIHSLGKWFQKRQKKAMNEALEKIKAELMLLGFISLLLTIGQVPISKICIPVKVANTFLPCKEEIMSVSKDDDNHDRRRKLLWVQDQHYFHRMLAASSTTNYCSRFHDKVALISQSGIHQLHIFIFVLTVFHILYSVLTMALAQAKMRKWKSWESETSSLEYQFSNDPSRFRFTHQTSFVKRHIGLSSTPGVRWIVAFFRQFFGSVSKVDYLTMRHGFINAHLAPNSKFNFHKYIKRSLEDDFKIVVGVSLPLWFIAIIILLLDVYGYYTLVWVAFLPLIVLLVVGMKLEIVIMEMAQQIQNRTTVIRGVPIVEPSNKYFWFNRPQWILFLIHLTLFENAFQLAHFLWIAYTFGIKTCFHDNLTLTVVKVVLGVALQFLCSYITFPLYALVTQMGSHMKKTIFEEQTAKALIKWRQAAKERKKQRNNIAGIDTSHSGYLSGENTPSRGSSPIHLLHKYNNNNTYNKSSSSTPSSPAPAQDVESLPNSPGFYPSDCEIFEMEAPSFASDFEPNRQPLRKSENDVHVSNAEFTFFSP >DRNTG_23286.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1301005:1304009:-1 gene:DRNTG_23286 transcript:DRNTG_23286.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide deformylase [Source:Projected from Arabidopsis thaliana (AT1G15390) UniProtKB/TrEMBL;Acc:A0A178WDP8] MAAETVCRVWSRFLPISVTESLIFRRRPSTNALGLPCRHSFGFPSKSKSKSKSRILIVEYRVPSRILAAAGWFSGFGGKKKPDIVKAGDPVLHEPAADVPVADIGSQRIEEIIEDMIAAMRNSPGVGLAAPQIGVPLNLMTPNGESLLRLCSW >DRNTG_23286.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1298898:1304009:-1 gene:DRNTG_23286 transcript:DRNTG_23286.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide deformylase [Source:Projected from Arabidopsis thaliana (AT1G15390) UniProtKB/TrEMBL;Acc:A0A178WDP8] MAAETVCRVWSRFLPISVTESLIFRRRPSTNALGLPCRHSFGFPSKSKSKSKSRILIVEYRVPSRILAAAGWFSGFGGKKKPDIVKAGDPVLHEPAADVPVADIGSQRIEEIIEDMIAAMRNSPGVGLAAPQIGVPLNIIVLEDTKQYISYASRKEIEMQDRRSFDLLVILNPKLKKKGTKTALFFEGCLRWI >DRNTG_23286.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1301005:1304009:-1 gene:DRNTG_23286 transcript:DRNTG_23286.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide deformylase [Source:Projected from Arabidopsis thaliana (AT1G15390) UniProtKB/TrEMBL;Acc:A0A178WDP8] MAAETVCRVWSRFLPISVTESLIFRRRPSTNALGLPCRHSFGFPSKSKSKSKSRILIVEYRVPSRILAAAGWFSGFGGKKKPDIVKAGDPVLHEPAADVPVADIGSQRIEEIIEDMIAAMRNSPGVGLAAPQIGVPLNLKQNLWGCFLSGDWRVMSSGCS >DRNTG_23286.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1298898:1300787:-1 gene:DRNTG_23286 transcript:DRNTG_23286.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide deformylase [Source:Projected from Arabidopsis thaliana (AT1G15390) UniProtKB/TrEMBL;Acc:A0A178WDP8] MQDRRSFDLLVILNPKLKKKGTKTALFFEGCLSVDGFRAVVERHLEVEVTGLDRSGRPIKIDAAGWQARILQHECDHLDGTIFVDKMVPRTFRTVENLDLPLPMGCPKLGVQ >DRNTG_15426.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17876233:17876771:-1 gene:DRNTG_15426 transcript:DRNTG_15426.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFPFFTHWLLSAQSQLPQDDMLIELEDEEEEEEEEMRKYYNAVE >DRNTG_34269.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002110.1:26814:27261:1 gene:DRNTG_34269 transcript:DRNTG_34269.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKENSTTGQLCSQQNNKKKGDRPPKSHLSKVSFAKKIYNNYTSYQKLQTKKMTPMEFYIRKKDLLSELRDSVFDGLADEDITHMSTTPPMKSSTSPGIEEEAYQGPNTQRHAKQIQNQVYRE >DRNTG_25890.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19749415:19750730:-1 gene:DRNTG_25890 transcript:DRNTG_25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVIDISKLDGEERSETLAKIHNGCQEWGFFQLVNHGIPVELLERVKKVCSDCFKLEREEKFKDSEPIHLLNEIVDQGQDKKSENLDWEDVFVLHDDNQWPSQPSEFKETMKEYRAELKKLAEKMMEIMDENLGLEKGYIKKAFSGENGVPFFGTKVSHYPPCPRPELVNGLRAHTDAGGVILLFQDDQVGGLQMLKDGQWIDVQPVTNAIVINTGDQIEVISNGKYKSAWHRVLVSTEGNRRSIASFYNPSLTATIAPAKQTGDDESSVNVAYPEFVFGNYMEVYTKQKFMPKEPRFQAVQAM >DRNTG_30544.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:12426909:12428502:1 gene:DRNTG_30544 transcript:DRNTG_30544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNANCHTFKQTSFKAVLISFFFLFLLSIYTPLLSHFKLHLLFILVILLAMVSSDAIRSAVGILGNVIALVLFLSPVPTFVRICKNKSVEQFSPIPYLATLLNCMMWVVYGLPLVHPKSMLVITINGAGTVIELTYILLFLIYSNGKQRLKVLVMLIAQIIFVIGLALLVIGFAHTYYQRSMIVGILCVFFGTMMYASPLSVMRLVIQTKSVEFMPLFLSLASFFNGLCWTTYALIRFDLYITIPNSLGVIFAVGQLLLYVLYYKSTKKQKEERKKKGEIGLAEVVVANGDSNKVSEWANN >DRNTG_24881.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17008611:17008999:1 gene:DRNTG_24881 transcript:DRNTG_24881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVSVSSSVGFAAAVVCDRSNGAGGDRDGDAGGLPQSVLSLQCSRASQSDRGYVVETKIRAGGGSCGE >DRNTG_13530.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22043058:22045473:-1 gene:DRNTG_13530 transcript:DRNTG_13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLQFFMLAFPIFLLCSDLVNLYSRPPPPRPPPPHSSPNLADVHRHHHHHHHHPSPVNPQVPDFSSTQVSSTFGNGYDSTIEFKFCVSCSYRGTANSLKQMLEESFPGINVVLSNYPPSLPKRVLSKVFPIVQAGFIGIIVAGEHILPRLGILNPPSWYFSLRANKFRTIASSWLLGNFLQSTLQSSGAFEVFCNGELVFSKLEQKRFPDALELKDLIGNRLPSSAFGKNLNWS >DRNTG_26835.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1928518:1929835:-1 gene:DRNTG_26835 transcript:DRNTG_26835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPSGDEGRQRREEKMGSMREPIWRGESRSGSIEPKPSPMASLMRRSSSRTLLTWLCTAPTELRPYLAISEEASIAAGIASSMWGLVGIVRRGMR >DRNTG_15218.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23309543:23312354:1 gene:DRNTG_15218 transcript:DRNTG_15218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPSVLEYNTRRKRQHGTSLEEQSLSSVESTNQFVQHMVSNCCKKEEKKPQSLAVPPVIPPKDAIMPIAPLTGNPFFTCIISKSHVQSPCQVSIPRSFYRYLPTECIPVTLTYQNKSWKMTYWGDRPLRRIDGGWRKFTADNKLKVGDGCVFELIDTKNLQLKVQILPGELPAEFNPTIAGGQNSESPIFID >DRNTG_15218.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23309543:23312354:1 gene:DRNTG_15218 transcript:DRNTG_15218.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNCCKKEEKKPQSLAVPPVIPPKDAIMPIAPLTGNPFFTCIISKSHVQSPCQVSIPRSFYRYLPTECIPVTLTYQNKSWKMTYWGDRPLRRIDGGWRKFTADNKLKVGDGCVFELIDTKNLQLKVQILPGELPAEFNPTIAGGQNSESPIFID >DRNTG_09929.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18401988:18402603:1 gene:DRNTG_09929 transcript:DRNTG_09929.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVDHAFSISDEDIMMDGPYVVQNKPPIREIALAISLLVFGTLGIIIGVIMACNHVGGDRTHGVFFAILGSVLFLPGFYYTRIAYYAYKGYKGFSFANIPPV >DRNTG_01762.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14151658:14155136:-1 gene:DRNTG_01762 transcript:DRNTG_01762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLDAIRGAEKMTITSPLGLDTLRLMGFVRRYSPCVYILATPAPESDFAEVRALQAVNHTEASPAPPSPIPALVDPPASSSSPTAPARADTR >DRNTG_06645.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1644756:1648139:-1 gene:DRNTG_06645 transcript:DRNTG_06645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITFWLWIALRQMEAIETHSGYDFPFAPTKLIPFYGGAEYHDYHHYVGGQSQSNFASVFTYCDYIYGTDKGYRYQKAHLTKLREKWRTEDQNGDSKLNQNGKHD >DRNTG_14742.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:148628:149184:-1 gene:DRNTG_14742 transcript:DRNTG_14742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKDVAYDNDGLLDGYTAAAPRPKKSQGIHPADYNHNNNNHTTTTNMNKLQEDIFKRLKGKQFLFVL >DRNTG_20645.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20431512:20433009:-1 gene:DRNTG_20645 transcript:DRNTG_20645.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof zinc finger protein DOF2.1 [Source:Projected from Arabidopsis thaliana (AT2G28510) UniProtKB/Swiss-Prot;Acc:Q8LE43] MDVSKFNPNFQIMAGSHRLEDMLGECPKEQQQQQQQQQQEKKPKPNPETSVKCPRCESTNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNKKSSKRTQDHPLITSPLPPFPANPNPNPNFPNLNSGFLDILRNGFLDTTTSNNNNNNNNNNNYNHNNLCYGFDGGFMGSSASQEENKVLMGLQWQLGHVDVDSAGVGSSWHGLLNSSFIAIVGAWEGKGNIIGVG >DRNTG_11409.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:844531:848551:-1 gene:DRNTG_11409 transcript:DRNTG_11409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMAEGRTREEWVYLAKLAEQAERYEEMAGFMKSLVRCCSPGEELTVEERNLFSVAYKNMVGSRRAAWRIVSSIEQKEESRRNEDHVALVRSYRGRIESELSSVCGEILGLLDSNLVPSVESSESKVFYLKMKGDYHRYVAEFKIGDERKKAADETMAAYKEAQGIALVDLPPTQPIRLGLALNFSVFYYEILNSSEKACSMAKQAFEDAIAELDSLGEESYKDSTLIMQLLRDNLTLWSSDAQEQIDEV >DRNTG_29072.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1736542:1741261:1 gene:DRNTG_29072 transcript:DRNTG_29072.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKRQPEEGGDGGASDEKKRPRVPALHGVVIEALKMDALQKLLAALEPLVRRVVKEEVELALAKHLSCIKRQCGKQVYPSASGSLQLQFMSKLSLPIFTGSKIEGEDCNVVNIALVDGLTGQVVMSGPESSLKVEIVVLEGDFEGDEEDNWTYEDFRNNIVREREGKRSLLTGDVYLELTEGIGVLGELSFTDNSSWTRSRKFRLGASIVDGCYNGTRIKEAKTEPFMVKDHRGELYKKHYPPALMDEVWRLEKIGKDGAFHKRLSIENINTVKDFLTLLYTDATRLRNVWTHLFSTVSCLSVLSSSFIKFLLYFSKVTISLLDPCG >DRNTG_29072.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1736542:1741261:1 gene:DRNTG_29072 transcript:DRNTG_29072.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKRQPEEGGDGGASDEKKRPRVPALHGVVIEALKMDALQKLLAALEPLVRRVVKEEVELALAKHLSCIKRQCGKQVYPSASGSLQLQFMSKLSLPIFTGSKIEGEDCNVVNIALVDGLTGQVVMSGPESSLKVEIVVLEGDFEGDEEDNWTYEDFRNNIVREREGKRSLLTGDVYLELTEGIGVLGELSFTDNSSWTRSRKFRLGASIVDGCYNGTRIKEAKTEPFMVKDHRGELYKKHYPPALMDEVWRLEKIGKDGAFHKRLSIENINTVKDFLTLLYTDATRLRNILGSGMSAKMWEVTVDHARTCTLGSQIHLYYANGQGKNGVVFNVVGQVLGIQSEKQYISVNNLSDEQKADALLLVKAAFEHWNDVLAFDMGTYVGSSSNVPPHLFPTGSQVSSDIFNNFSVRADSYNLLNSSVSSSETIGGTRELDAFVLPSVGSMGFKFDTDNHSLISSDVFTNASREIQRISNSVLFDECGNQAYFGEDTLQYLNPEISLLSQGFVADSPADLGTAVTSFLARSRSTARGKAYVGWKTLISVLQWRFSIKRIVALKKRRVREK >DRNTG_19450.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000998.1:216870:220657:-1 gene:DRNTG_19450 transcript:DRNTG_19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSSVEWLEYLGRLGTLEDLVIKNCRAIGEDDLTKLGLGWRKLKHLEFEVDAYYRYPKICNNISVDRWLKQEVSCDELKELSLMNCIIASGRGLSFVFGKCRSLEKLHLDMCIGVKDADMAALSQCSKNLKCLLIHLPSQFLAPVLVNSPSQMTDDSLRALAQGCSMLEEVELCFSDGEFPSISCFTQNGILALIESCPIRVLVLNSCCFFNDVGFAALCTSHFLEHLELVKCQEITDEGIMLVMSFPYLNTLKLSKCLGVTDNGLKPLVGSGKLETLIVEDCPQISEHGVQGTARNLQMLPKSLLELISSDKHYPFRYCIPPILVAPKSATFNFPGPSVRLVAVDSTRAPPACRCFLPHVTSVGPNGVGTVGSYKTPKHQRDLLREWVSENDGFVWVLPIYVDGFSLLVALLNRSISNIPPVADASKSLWMISVVVVLCID >DRNTG_23400.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22225017:22229063:-1 gene:DRNTG_23400 transcript:DRNTG_23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLARLESGIHIPKPRPFRFEKVWFTAENFMDLIKDWWELPHLRGCGAFILAKKITLLREQLRNWAKVDFGSIKLKKMALLHEIGLIDAIMESRPLSEEERAKDNTVKVEIKTSPMSTTSSNECYKIVLPKPLLHLVHGIESLWFCISSCLSLFGFKQGRGTLLAPSWDHVYVNPLDHIYHDDDDLHQQLSSSSSSLSPTALSIKKQLKVVKFEEFMKMQRGAKEFCEEEEPTCAVCLGSLEKSDEVRELGNCKHGFHKECIDKWVDLGHLSCPLCRSHMLPLKVISLLSLQSYG >DRNTG_05658.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18188037:18188635:1 gene:DRNTG_05658 transcript:DRNTG_05658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKLSTSECVSSPTLQCGVPRSGLMGSGVVNPEFTMVKRCAEQLIGGLLCEKPGVTTRESQWPTSKKAVL >DRNTG_08775.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7250503:7253055:-1 gene:DRNTG_08775 transcript:DRNTG_08775.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-8A [Source:Projected from Arabidopsis thaliana (AT1G18550) UniProtKB/Swiss-Prot;Acc:F4ICA0] MLGTVENPGVMVLAIKDLFSKIRQRSYDGNHLVHLSYLEVYNETVRDLLSPGRPLVLREDKQGIVAAGLTQYRAYSTDEVMSLLQQGNQNRTTEPTRANETSSRSHAILQVVAEFQIIESGKIVNRVGKLSLIDLAGSERALATDQRSQRSIEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGPCNTVMIANISPCNLSFGETQNTLHWADRAKEIKTK >DRNTG_08775.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7250298:7253055:-1 gene:DRNTG_08775 transcript:DRNTG_08775.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-8A [Source:Projected from Arabidopsis thaliana (AT1G18550) UniProtKB/Swiss-Prot;Acc:F4ICA0] MLGTVENPGVMVLAIKDLFSKIRQRSYDGNHLVHLSYLEVYNETVRDLLSPGRPLVLREDKQGIVAAGLTQYRAYSTDEVMSLLQQGNQNRTTEPTRANETSSRSHAILQVVAEFQIIESGKIVNRVGKLSLIDLAGSERALATDQRSQRSIEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGPCNTVMIANISPCNLSFGETQNTLHWADRAKEIKTKGYVINDEAFQVPDSTTDQAKLVLELQKENSELRQQL >DRNTG_05388.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000240.1:97323:98807:-1 gene:DRNTG_05388 transcript:DRNTG_05388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRDFPSCFGESGVQIADSSSSSSRASQNLVTCLYRTKVHGCSCTITVTWSRSLMGQGLSISIDDDANQSLCRVDIKPWLFSKMKGSKGLEADKSKVYIVWDISAAKFGSGPEPLEGFFVAVVIDHEMVLLLGDLSKEAYRKTNAVPSTSNTMFISKREHIYGKKVYCAKAQFCDNGHVHDIVIECDAVGLKDPSLEIRIDRKRVMQVKHLAWKFRGNQTILVDGLPVEVFWDVHNWLFGSPVGNAVFMFQTCLMPEKSLPWTCPQVSRDSQLSGIGFSLILYAWRNE >DRNTG_05388.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000240.1:97323:98807:-1 gene:DRNTG_05388 transcript:DRNTG_05388.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRDFPSCFGESGVQIADSSSSSSRASQNLVTCLYRTKVHGCSCTITVTWSRSLMGQGLSISIDDDANQSLCRVDIKPWLFSKMKGSKGLEADKSKVYIVWDISAAKFGSGPEPLEGFFVAVVIDHEMVLLLGDLSKEAYRKTNAVPSTSNTMFISKREHIYGKKVYCAKAQFCDNGHVHDIVIECDAVGLKDPSLEIRIDRKRVMQVKHLAWKFRGNQTILVDGLPVEVFWDVHNWLFGSPVGNAVFMFQTCLMPEKSLPWTCPQVSRDSQLSGIGFSLILYAWRNE >DRNTG_11598.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13633177:13634097:-1 gene:DRNTG_11598 transcript:DRNTG_11598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLAPHEDKLYTLLEGKEMVIDDDDTHETTMAMIGDAVRNLHEVNIVILPIIMNGHFHIVVLDNDKQEYMHYSSYAGYDKDALDMWNLLDICVDMEFSESASRRPQSTH >DRNTG_13577.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000621.1:69878:70239:-1 gene:DRNTG_13577 transcript:DRNTG_13577.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARGYERAYNAEGGTGEGGQGRRGGGDCRRSV >DRNTG_23713.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:753258:756402:-1 gene:DRNTG_23713 transcript:DRNTG_23713.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASILTFSSISSPSPLLFITNPKPNFIPRFTANPQMHPLHLPALVRVSSRPPLKPFAVSASTAPSVSSPNPTLFSDSTRTVCSLLTLSTAAAFALRSLAHRLLSSALASPAIQQILDARAIQGTITTAGSLFFARLRDRPSGYLNTPLTVVAAGMSKWLDIYSGVLMVRVLLSWFPNIPWDRQPLSAIRDLCDPYLNLFRNIIPPVFDTLDVSPLLAFAVLGTLGSILNNSRGMY >DRNTG_23713.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:753258:756402:-1 gene:DRNTG_23713 transcript:DRNTG_23713.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASILTFSSISSPSPLLFITNPKPNFIPRFTANPQMHPLHLPALVRVSSRPPLKPFAVSASTAPSVSSPNPTLFSDSTRTVCSLLTLSTAAAFALRSLAHRLLSSALASPAIQQILDARAIQGTITTAGSLFFARLRDRPSGYLNTPLTVVAAGMSKWLDIYSGVLMVRVLLSWFPNIPWDRQPLSAIRDLCDPYLNLFRNIIPPVFDTLDVSPLLAFAVLGTLGSILNNSRGMY >DRNTG_23713.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:753258:756402:-1 gene:DRNTG_23713 transcript:DRNTG_23713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILTFSSISSPSPLLFITNPKPNFIPRFTANPQMHPLHLPALVRVSSRPPLKPFAVSASTAPSVSSPNPTLFSDSTRTVCSLLTLSTAAAFALRSLAHRLLSSALASPAIQQILDARAIQGTITTAGSLFFARLRDRPSGYLNTPLTVVAAGMSKWLDIYSGVLMVRVLLSWFPNIPWDRQPLSAIRDLCDPYLNLFRNIIPPVFDTLDVSPLLAFAVLGTLGSILNNSRGMY >DRNTG_06071.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20552731:20556550:1 gene:DRNTG_06071 transcript:DRNTG_06071.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEIQVKEADQEISKKWWFAFPCAQSGVVEFGLSVYRSGGSIYSRGRRGVAQSGQLISFGLFAFPSKLFTLPSSTYPRNLLDLLNEILETGRGGMNRSDAGKPRSFERLQRLRVTLTQGISSTSLKKQGGVVRPSIKEKSQCGTQCTDFGGNHAKRGSTAPTSSFLRKKVGRGASVQAYPIPDEGILGRRVEDSPQDHQHDHREGF >DRNTG_25946.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001341.1:62289:63278:-1 gene:DRNTG_25946 transcript:DRNTG_25946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTCGNVEVLKLWDATTGICKLTMNNQVNCVISSCAWFPDSKKIVCGSYVTDNRVQTCDIEGNEIDVWEGERMPKVSDLAITPDGNSLISIRSNREIWIRDLQKGTEKVILEEYSITSLSLSKDGKFMIVNLNSVEIYLWSVYGTSNTPNSEDSKVIISILPLLQFFHPSVIANFDTLTIDMAVTLCLDVYLPFDDGELFELEPFKVTYAGIS >DRNTG_01872.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21153413:21157737:-1 gene:DRNTG_01872 transcript:DRNTG_01872.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEKGRDLRRSSGKRHLRALSGHIAPSLSVLGNTVNGDGVEEEDGLERFKDVRGMWLSSNKGDGEISGSGTTGSGSEGDAGSLTRPPFTIATTSTHHSRKKPKLQAKALDDCNAIDPAMVPRRLRSAINKRNSQSASPPMSDARKRHQHVSSGSLVSNINGSKKLKQIMPSDPFTKEEEEVAETLSSLASMAILPVPLVHTENGRSSEENSEAKAMSAALAVSKGEDAKSLLPCNINEVTNLSLKEADKAGPSMLAGVTVSGSQKFKPDMNGTAQSATKGTSLLSGNEQVDHLTLRDTINPSKCTEASVHLLSGNGSSQPTQFDGPPAHNLENGLWPFGSVTGEIKLQSFKQITDGSLQDVHRQVITPNIQPGLLAAGQGHSAKSSSSKAAMWPDIACTSSSGPSTCKKTPAEKLPPLLVDRKQPWKKCAIHLHISHLIQNHQNIEKRCMFSLPPGKLDVKEGVSSSAQPANGSSVGPRNGITTMAMSGTDSSVMERNLHEPRTHMLVDKRFSQVQQAPVSASVGAYPQPKPGCDFSSTSATVDSNNPGNSVKLHGQFPVPFQVQHHTMFPFPFPHGPYTAAFPDQLAAVANQQVCYLIANYG >DRNTG_30174.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18551254:18552526:-1 gene:DRNTG_30174 transcript:DRNTG_30174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKDRDISVKEQMAIVLRYVDTHG >DRNTG_13450.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19268625:19270459:-1 gene:DRNTG_13450 transcript:DRNTG_13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSGDAPHRIFHEAQDALNASKPSLMSREKSISLNTSLTRPNMRVHRKCMGVEVIIPDEWVSYPQRDTPYRIGADESSPTKLLPNGTRCQIRRTSLKP >DRNTG_32367.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7749730:7751205:-1 gene:DRNTG_32367 transcript:DRNTG_32367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNIMSFAGLSSTLGTLLILRQYFPRHLNIYFSKYFEKFITLIYPYLEISFPEYVGLRLKRSDAYTAIESYLSSTTSEHAKRLKAEMGHGSDKLILSMDDNEEITDEFHGVKVWWFSSKITRHNISFYRLVDEDKRYYRLRFHRRHRALINEAYLPFVIQQGKAIGVENRQRKLYTNSSSFDYNELKKLDWSHVPFEHPATFETLAIDSQMKSEIVQDLVKFSKSKEYYAKIGKPWKRGYLLYGPPGTGKSTMIAAMANLLDYDVFDLELTGVKDNSMLRKLLLNTTGKSIIVIEDIDCSLDLSGKRKTGGNQNENKEEEEKKAAMGGPPGKDESKVTLSGLLNCIDGLWSACGGEKVIVFTTNHIEKLDPALIRRGRMDKHIELGYCEYEGFKVLAKNYLGVESHPLFEVIHELLEEKNISPADVAESLMPKEEGKDQVDVCLEKLVNVLKGCKSKSEKEEEEKVKKSKKKLENGGKVPMVKIDCSVVV >DRNTG_03923.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15040472:15045318:1 gene:DRNTG_03923 transcript:DRNTG_03923.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbB MGLPWYRVHTVVLNDPGRLLSVHIMHTALVSGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWSISGGSITNPGIWSYEGVAGAHIVFSGLCFLAAIWHWVYWDLEIFCDERTGKPSLDLPKIFGIHLFLSGLACFGFGAFHVTGLYGPGIWVSDPYGLTGKVQSINPAWGVEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVGAGLAENLSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPIFRDKEGRELFVRRMPTFFETFPVVLVDVDGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYSDPTTVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHASFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGTFQKIGDPTTRRQAV >DRNTG_07424.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7110447:7112330:-1 gene:DRNTG_07424 transcript:DRNTG_07424.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQGHGTPPVMGVAAPVQYGTPAAAGAPYQAYQHMFAQQQQQQQQQLQMFWADQYREIEQTTDFKNHSLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRSWAHAEENKRRTLQKNDIAAAITRTDVFDFLVDIVPREEGKEDVVPRALGAPAGDPLSYYYVQQ >DRNTG_07424.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7110447:7112330:-1 gene:DRNTG_07424 transcript:DRNTG_07424.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQGHGTPPVMGVAAPVQYGTPAAAGAPYQAYQHMFAQQQQQQQQQLQMFWADQYREIEQTTDFKNHSLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRSWAHAEENKRRTLQKNDIAAAITRTDVFDFLVDIVPREEGKEDVVPRALGAPAGDPLSYYYVQQ >DRNTG_07424.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7110447:7112330:-1 gene:DRNTG_07424 transcript:DRNTG_07424.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQGHGTPPVMGVAAPVQYGTPAAAGAPYQAYQHMFAQQQQQQQQQLQMFWADQYREIEQTTDFKNHSLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRSWAHAEENKRRTLQKNDIAAAITRTDVFDFLVDIVPREEGKEDVVPRALGAPAGDPLSYYYVQQ >DRNTG_07424.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7110447:7112330:-1 gene:DRNTG_07424 transcript:DRNTG_07424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQGHGTPPVMGVAAPVQYGTPAAAGAPYQAYQHMFAQQQQQQQQQLQMFWADQYREIEQTTDFKNHSLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRSWAHAEENKRRTLQKNDIAAAITRTDVFDFLVDIVPREEGKEDVVPRALGAPAGDPLSYYYVQQ >DRNTG_07424.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7110447:7112330:-1 gene:DRNTG_07424 transcript:DRNTG_07424.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQGHGTPPVMGVAAPVQYGTPAAAGAPYQAYQHMFAQQQQQQQQQLQMFWADQYREIEQTTDFKNHSLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRSWAHAEENKRRTLQKNDIAAAITRTDVFDFLVDIVPREEGKEDVVPRALGAPAGDPLSYYYVQQ >DRNTG_31010.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30417673:30419968:1 gene:DRNTG_31010 transcript:DRNTG_31010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLCVSLPPLFTREREREREMRQDSCMAEKGLKLFGVRIVGDAAEDEEEEVMRKSSSMGNLAAAAPAPAESGGTEQGYLSDGGLVQSSRRRGGNERKRGVPWTEEEHRTFFNRTGKKLGKGDWRGISRNFVTTRTPTQVASHAQKYFLRQNNPNKKKRRSSLFDVVINDKAPSTEPSNEVKEATSSNPLPEENCLVGIVPSPATQTTSQVFLNVLHPYHLS >DRNTG_13337.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1064076:1066649:-1 gene:DRNTG_13337 transcript:DRNTG_13337.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERYNRKNPAVKRILQEVKEMQTNPSDDFMSLPLEENIFEWQFAVRGPRDSEFEGGIYHGRIQLPAEYPFKPPSFMLLTPNGRFETQTKICLSISNYHPEHWQPSWSVRTALVALIAFMPTNPDGALGSLDYKKEERRKLAIKSREAAPKYGSPERQNLIDEIHQYMLTKAPPVPELLPASATDELTNGPMNNVVNEDPQDTPNAMAANLNRDDAALAEGHLNPETDQGMQANVPEVQLNAAAGPQRMPRVRQAAESQTPVTDRLLTRAAIGLTIAIIALLLKKILKTNGIFPATSDL >DRNTG_31884.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4614976:4615731:1 gene:DRNTG_31884 transcript:DRNTG_31884.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEMSSQEEDLIHRLHRLVGDRWEIIAGRLQNRRAEEVEEYWSIMEEKRRKEVEIIKPIAFRISSSFKFTMNSVDQETDH >DRNTG_31884.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4614976:4615731:1 gene:DRNTG_31884 transcript:DRNTG_31884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDYVGEEKMVKEMSSQEEDLIHRLHRLVGDRWEIIAGRLQNRRAEEVEEYWSIMEEKRRKEVEIIKPIAFRISSSFKFTMNSVDQETDH >DRNTG_20953.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001178.1:32631:33071:-1 gene:DRNTG_20953 transcript:DRNTG_20953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMADLQCIILHRHDRRRTRASTGYLGVRRRPWGRYAAEIRNPYTRMRHWLGTFNTPEEAAIAYDIALITLSGIDRAQTNFYYMFVTMPSTTPPPPPPLPPPAPSPLEEQNEYYFEYSLENNDDHNNLVDGYNDDSINITTILQNF >DRNTG_23352.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5984233:5988859:-1 gene:DRNTG_23352 transcript:DRNTG_23352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPSFLVTISPTMANENGKAGIFLFLLLLFWFYGFGSCLLSPKGVNFEVQALMGIKASLKDPHGVLDNWDQDSVDPCSWTMVTCSAENLVIGLGTPSQSLSGTLSSSVGNLTNLEILLLQNNNISGQVPSELGKLSKLHTLDLSNNYFTGEIPTSLGKLKSLEYLRLSNNSLSGTIPSSLANITQLAFLDLAYNNLSGVLPKFPVKTFNIVGNPLLCKTGQEQGCYGTVPMPISFDVNVKPGSPPHRRSKGHKVAIAFGASIGCVSLLILAFCLFLWWRQKHNQQIFLDVNDQHNSEVCLGNLKRFHFRELQIATDNFSSKNILGKGGFGNVYRGHLQDGTMVAVKRLKDGNAAGGEIQFQTEVEMISLAVHRNLLRLLGVCVTATERLLVYPYMSNGSVASRLKGKPPLDWNMRKRIALGAGRGLLYLHEQCDPKIIHRDVKAANILLDDYCEAIVGDFGLAKLLDHKDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQGALDFGKAANQKGAMLDWVKKIHQEKKLDMLVDKDLRNGYDRIELEEMVQVALLCTQYFPAHRPKMSEVIRMLEGDGLAERWEASQRVEAHKFKMSDFSSSERYSDLTDDSSLLVNAMELSGPR >DRNTG_09991.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21566678:21568636:1 gene:DRNTG_09991 transcript:DRNTG_09991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPSQSLGIPGLCGGLSQIHLTACPLKTSEKRTRWRLLLTVGTPIACFILFSSLAFLIYKRQSRKRASSEISMNSHFPRITYGDLLRATGGFSDDNLVGRGRHGSVYKGILETLNTTTVAVKVFNLAEQGASRSFSSECKVLRTVRHRNIIKAFISCSSIDSRGHDFKALVYEFMSNGSLETWLHPETEEEQHKSQSLTFIHRLSIAIDIADALEYLHEGCQPPMVHCDIKPGNILLDNEMIAHVADFGLAKVLSGSSRASSRSSIAIRGSIGYVPPEYGVGGQATTSGDVYSYGILLLEMLTGKRPADDMFKNGLTLRKLVEMQISSEGFLNIVDPLMLPQNHASKEEECFVSVALVGLSCSIDSPYERPIIAEVATKMHAIKTGIKN >DRNTG_04022.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22899505:22899909:1 gene:DRNTG_04022 transcript:DRNTG_04022.2 gene_biotype:protein_coding transcript_biotype:protein_coding RLCPNELREVVASLIFAAPRCGECPQLRKLSLRLQSWFLKHSFATATEANQQMVDLLSTKQPSLDSRLQALHLIAKDNGITLNPNNILLS >DRNTG_04022.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22898795:22899909:1 gene:DRNTG_04022 transcript:DRNTG_04022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLGSKRISKKKLNGLLLSSISETANLIKMHQEHQTIAEADVVHLLQTSQTRRALLWVERVMKEQNMLDVLLIVQMSLQLLSEKVVQIQIHRLCPNELREVVASLIFAAPRCGECPQLRKLSLRLQSWFLKHSFATATEANQQMVDLLSTKQPSLDSRLQALHLIAKDNGITLNPNNILLS >DRNTG_04022.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22898795:22899009:1 gene:DRNTG_04022 transcript:DRNTG_04022.3 gene_biotype:protein_coding transcript_biotype:protein_coding TIYIYKHHQCKYANARKQKNKQKETERFAALLHL >DRNTG_04954.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3932325:3933074:-1 gene:DRNTG_04954 transcript:DRNTG_04954.1 gene_biotype:protein_coding transcript_biotype:protein_coding QTIAMTPILLLTPAHQPCSSIMAHYQLQHLSLIEAS >DRNTG_31160.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13332348:13337962:-1 gene:DRNTG_31160 transcript:DRNTG_31160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKSFDQFMIGTRLNHGAILSCEICGTGHATVQCPISIALVTPMETIDYVGWGPRGPRKHYGMVIHIIRGGGATRIFLGIKAHYNKDLHYLKEININHHRDKRKKYTTEDVLTKFMIDMEEVLTLNPLDDYLEDVDDKSNERVSTLPTSPQVNQVEINLSPCDLTRGMHIIGSTTPFGMSTLSSIRIVERRDGTYYLVQHQGTREREAGMLHEHERETETESEC >DRNTG_10522.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30981101:30982524:-1 gene:DRNTG_10522 transcript:DRNTG_10522.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDGYEDIVNIDISSVVIEAMQTKYQDKPALQCILFIFC >DRNTG_25918.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19941899:19943363:-1 gene:DRNTG_25918 transcript:DRNTG_25918.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLLNFNASEVNYWQNLLPNSVSNVILVEYVNSNITWDILYPEWIDEEEETQVPSCPKFPDPELPKNLEFDLIAVKLPCNKSGSWSRDVARLHLQLTAAKLTAASMDIGKKPVHVLFITNCFPIPNLFPCKNLIAREGNGWLYNITDLKYLSEKLKLPIGSCELASPLINTQDRLNTGIWQRREAYVTILHSAHVYVCGAIAAAQSIKLAGSTRDLVILVDESISDYHRNGLEEAGWKVRTIKRIRNPKAEQNAYNEWNYSKFRLWQLTDYDKVIFIDADLLILRNIDVLFEMPEITATGNNATLFNSGVMVVEPCNCTFDLLMEHINEIKSYNGGDQGYLNEIFTWWHRIPEKDEFFEAFLDWR >DRNTG_04613.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3062750:3068444:1 gene:DRNTG_04613 transcript:DRNTG_04613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPQVYGSQASSTVVPRKRYVLRFKCVLKSNVASIVLKYTKVFGSPTSTEVARVLACLFEKDVDFQLIRFDSFKGMSRKPDYLRLQPAGQALTFEHGSRTLVESREICRYIAEKFADQGNKDLLRKGTLERAFIEQWLQTEMQSFDPPTSALLFNLAFAPILDLEPDQEVITKSKAKLANLLDVYEQRLEETNYLVGDKFTLADLSHLPNTHYLIADMRCGHLLRSKKRVSNWWDKMSERPSWKKVLELQQQPPPVL >DRNTG_04515.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19120901:19121922:1 gene:DRNTG_04515 transcript:DRNTG_04515.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGLSGVCPSSGSSRRLSRGGPAGRDVTILLVSELLVILIVMFYSCLRLSLVVASGSS >DRNTG_04515.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19120901:19125407:1 gene:DRNTG_04515 transcript:DRNTG_04515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGLSGVCPSSGSSRRLSRGGPAGRDV >DRNTG_04426.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1364123:1364439:-1 gene:DRNTG_04426 transcript:DRNTG_04426.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSMTGVEGVEEGRRVVISVAERGLGVDELQSLEQCMLVGTFRDGVVDDGITGKGGHDGDDGGGGDVGGGGGGGSEEMKG >DRNTG_25803.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2701790:2703691:-1 gene:DRNTG_25803 transcript:DRNTG_25803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKEEEEEEMIRVREYDKDKDLWKVEEMERRCEIGHSGTETSSKKSTKKKKKSMKLFVDLLGDPMSRVRHTPLHVMLVAEYGEKEKEKKEIVGVIRACIKMVTRGMKPVNEHPIYAKVAYILGLRVSTSHRRNGIGTKLVEEVESWCRKNGAEYSYMATERSNTASLNLFTKKFSYTHFRSPAMLVHPVHHHTLPLPLHHHSLSIFHLPPSLATSLYNQIFKTNSEFYPSDIFSVLSNPLTITTLIALPASDVAWQPCSVLRGILPKSYAVLSLWNSAGVFRMRVAGAPALAKAVLGVARALDERAPWMRLPALKDVFRPFGVYFMYGLHMEGEGGARLMTSLCRVAHNIASKDEGCAAIVAEVGPGDPVRVAVPRWRRFSCEEDVWCVKRLGGDGEKRRNGGDDDGVDNWVKSPPSTDVIFVDPREF >DRNTG_12269.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24822331:24825638:-1 gene:DRNTG_12269 transcript:DRNTG_12269.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit O, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G13450) UniProtKB/Swiss-Prot;Acc:Q96251] MALSGRVRSVLSIISRADSALSHRSISDRTLANPNPLVSQCLRAFASQAKTTSADVKVPLSLFGVTGNYASALFLAAAKANELDRVESEILDVIEASKRSSLFSQFIRDLSVPRETRVKAVTELFSQAGFSDITKNFLAVMADNGRLQFLERIGKRFIDLIMAHKGQVKVLVTTVIPLPAEEEKELKLTLQDIIGQGKTVTVEQKIDPSIMGGLVVEFGQKVLDMSIRTRAKQMERFLREPINFENF >DRNTG_30298.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5883021:5889368:1 gene:DRNTG_30298 transcript:DRNTG_30298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIMVVKWTSRRVDHPTRRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKLWKVQEKKVKRISEMNLDTVLATGNSSAATFK >DRNTG_34628.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:99472:108052:-1 gene:DRNTG_34628 transcript:DRNTG_34628.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT3G48500) TAIR;Acc:AT3G48500] MRVIVEILAKRDPYRFRFPLEMRLVDPNIDHLLFNRFDYPPIFHRKEDTNPEELWRDCGRAPIPRRKPKFKIEEQSLLSNHPYVDKLWHLHNAEQLILDDEDANPDKYKDKKPLKNLEPFDEENSVEYTKAYFKKALIPKVILNTNVEELELDAARAERQYRCFTN >DRNTG_34628.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:99472:108052:-1 gene:DRNTG_34628 transcript:DRNTG_34628.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT3G48500) TAIR;Acc:AT3G48500] MHCFQLHHHHCLLPRFLSPPFRASKPSVEWRSLRLLPRSSSTSSAYAPDEDPVDEDFLKDFKPREKETEDEARRRNWIERGWAPWEEILTPEADFARKALNEGEEVPLQSPEAIEAHKMLTPSYRRKKMEESGLSEEEYQAKQFEIKGEIPEPLETSWDGPLVTRLVPPRDWPPRGWEVDKKELEFIREAHKMLAERVDVEKMEGVRVDKDTDVGIMCLDRYKVFLKQYNEWVAANKDRLEEESYKFDQDYYPGRRKRGKDYKDGMLELPFFYPGQVCMGKVVTLHLHQGAFVDIGGVYDGWVPIKGNDWYWIRHHVKVGMRVIVEILAKRDPYRFRFPLEMRLVDPNIDHLLFNRFDYPPIFHRKEDTNPEELWRDCGRAPIPRRKPKFKIEEQSLLSNHPYVDKLWHLHNAEQLILDDEDANPDKYKDKKPLKNLEPFDEENSVEYTKAYFKKALIPKVILNTNVEELELDAARAERQMLYKLKKEANERGEEFKLPKLRRMIEMDEYDLMHWRRSLEEREALIRDISSRKALGLPLDEPGRYIDERLFMKDKYDPSSPLYRYDYWGEPKNSEKSRQQRETENHNKAIVGNRIVWYEMSYEEAMKQKMRREARKKAMPKVEEKEVSDQGDKDDEDEDEDEIIYSILSDALSDSENKPFVNGTESPRMADEGMFEN >DRNTG_34628.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:99472:103699:-1 gene:DRNTG_34628 transcript:DRNTG_34628.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT3G48500) TAIR;Acc:AT3G48500] MMKIETEWLLYLITSTSFIGCLNFCFKCIVLKMFWSRFCLCL >DRNTG_01806.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3156134:3157015:1 gene:DRNTG_01806 transcript:DRNTG_01806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSTMPRTTTIYKDFEPAYEWSQEQNSDTLLIYLPDFKKEDIKVQIDNYGNLRVEGERRLERNQRLRFSKDFEIPDNCNVDDIRANFRDGLLYIWLPKLITKAELEEEKPKVTQKPEEQKPNNQKKETDEKQSTRVQEELEKIEQPKKDHERDDKKKEEFSWPDGISEVKEKEKEVGNGMKKENGSLALDKSQQRKLLINVAVAFMVLVGLGMYVTFKMSK >DRNTG_01806.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3156457:3157015:1 gene:DRNTG_01806 transcript:DRNTG_01806.2 gene_biotype:protein_coding transcript_biotype:protein_coding DFKKEDIKVQIDNYGNLRVEGERRLERNQRLRFSKDFEIPDNCNVDDIRANFRDGLLYIWLPKLITKAELEEEKPKVTQKPEEQKPNNQKKETDEKQSTRVQEELEKIEQPKKDHERDDKKKEEFSWPDGISEVKEKEKEVGNGMKKENGSLALDKSQQRKLLINVAVAFMVLVGLGMYVTFKMSK >DRNTG_02229.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1137193:1145102:-1 gene:DRNTG_02229 transcript:DRNTG_02229.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable UDP-N-acetylglucosamine--peptide N-acetylglucosaminyltransferase SPINDLY [Source:Projected from Arabidopsis thaliana (AT3G11540) UniProtKB/Swiss-Prot;Acc:Q96301] MARMEPNGFERDGVVLGNLNGPGTSSSASVGSDQASPPRQRFQGKDTLSYANILRSRNKFADALVLYDNALEKDSGNVDALIGKGICLQMQNMPRQAFDCFSDAARLDPLNACALTHIGVLYKEEGRLSEAAQSYEKALKADPSFKPAAECLAIVLTDLGTSLKLSGKTEEGIQKYCEAIKVDSHYAPAYYNLGVVYSEMMQYDMALGCYEKAALQRPMYAEAYCNMGVIYKNRGDLESAIACYERCLTVSPNFEIAKNNMAIALTDLGTKVKLEGDIDQGVAYYKKALYYNWHYADAMYNLGVAYGEMLKFDMAVVFYELALHFNPHCAEACNNLGVIYKDRDNLDKAVECYQMALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAIIANPTYAEAYNNLGVLYRDAGNISLAIEAYERCLQIDPDSRNAGQNRLLAMNYINEGADDKLFEAHREWGRRFMKLFPQYTSWDNTKVKERPLIIGYVSPDYFTHSVSYFIEAPLLYHDYTRYKVVVYSAVVKADAKTHKFRDRVLKKGGLWRDIYGIDEKKVACMIRDDKVDILVELTGHTANNRLGMMACRPAPVQATWIGYPNTTGLPTIDYRITDSLADPPNTKQKHVEELVRLPECFLCYTPSPEAGPVLPTPALANGFITFGSFNNLAKITPKVLQVWAKILCAVPNSRLVVKCKPFCCDSVRQRFLSTLEQLGLEPLRVDLLPLILLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGVVHAHNVGVSLLTKVGLDRLVAHTEDEYVRLAIQLASDIRALSELRMTLRELMSRSPVCNGAKFVNGLEYTYRKLWHRYCEGDVPSLRRMELLQPQRAPEDLSAPSSEPVVTVNSEENHHIHVKTNGVGSAALSSPSSSSSGGSNLRETSVVEEHR >DRNTG_05691.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:191987:196610:-1 gene:DRNTG_05691 transcript:DRNTG_05691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPRQRGENSDDNQFSFSGPMATRGSDEFETWAERIKSDYGYFGKSAKMKQVGAWAKRNHVNPDEGEFAWMAKEDDEDVPSPTLWEKEGGSPASKSGEAYPILGHSIRSQMMARYRKEMIDLVRDAPENAYELSLRDIVEIPRPVKSAELEEKKGEKEKEKEKEMETKKETKKKGGRRMARSESLDTGLLLKMFVPATMGRRKSFGVNGTCSKVSPKPVIADAEKGGGPEKGPDSEWWKKTEINESSGSSSSGSSGSGRGRGSSSSGRSGSTGSNNSSGNRNINNTKNSNTGRRYALVSSI >DRNTG_16171.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20531605:20534142:1 gene:DRNTG_16171 transcript:DRNTG_16171.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLVALALEASPSLSSSSHTNRGFAAHSSPSSKSPKSCLRIDSGRRHRPLKGLRTRRLVDVPLITVQASQKVEPLKVMISGAPASGKGTQCEFIKNKYGFVHISAGDLLRAEVSAGTENGKRAKEHMEKGMLAPDEIVVLMVKERLLQPDAQQNGWLLDGYPRSASQAKALEDLGIRPDIFILLDVNEETLVERVVGRRLDPVTGKIYHLKYSPPENEEIAARLTLRFDDTEERVKLRLQTHHQNVEAVLSLYKDLVFKVDGNAQKDKVFTEIDNALSALFKRKLETDSASTAAGLTY >DRNTG_29245.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21467823:21473182:-1 gene:DRNTG_29245 transcript:DRNTG_29245.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHPPTKYIRKSNWTDLGSRLMYPQNTCVKWEGTTRRPTLSQNPLVATYSEQSKIGKSSSHKSSSSFSMQFITHTRSLSYGAGTKTDMQHTNTSEKPHRGETFSLLNGASTSKSNKLGGKGGPPSEKVRIPNVVAKLMGLEELPLLPRKGGRHWDKKDQGTFKGQ >DRNTG_29245.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21467823:21473182:-1 gene:DRNTG_29245 transcript:DRNTG_29245.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHPPTKYIRKSNWTDLGSRLMYPQNTCVKWEGTTRRPTLSQNPLVATYSEQSKIGKSSSHKSSSSFSMQFITHTRSLSYGAGTKTDMQHTNTSEKPHRGETFSLLNGASTSKSNKLGGKGGPPSEKVRIPNVVAKLMGLEELPLLPRKGGRHWDKKDQGTFKGQ >DRNTG_29245.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21467823:21473182:-1 gene:DRNTG_29245 transcript:DRNTG_29245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHPPTKYIRKSNWTDLGSRLMYPQNTCVKWEGTTRRPTLSQNPLVATYSEQSKIGKSSSHKSSSSFSMQFITHTRSLSYGAGTKTDMQHTNTSEKPHRGETFSLLNGASTSKSNKLGGKGGPPSEKVRIPNVVAKLMGLEELPLLPRKGGRHWDKKDQGTFKGQ >DRNTG_01750.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4334507:4335958:-1 gene:DRNTG_01750 transcript:DRNTG_01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps7 MSRRGTAEEKTAKSDPIYRNRLVNMLVNRILKHGKKSLAYQIIYRTVKKIQQKTETNPLSVLRQAIRGVTPDIAVKARRVGGSTHQVPIEIGSTQGKALAIRWLLGASRKRPGRNMAFKLSSELVDAAKGSGDAIRKKEETHRMAEANRAFAHFR >DRNTG_01682.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23791267:23793241:-1 gene:DRNTG_01682 transcript:DRNTG_01682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEIESPGDPSCSTDTMEKKKKKKQQHNPNTKEKNSLEQQNGEHLGTREHYANPNCKHASNPYHSCGPYCSPSNNSHPTQSRVTNMNEVLANQRRKEIAKGKAIKAEPGGVNGVKQVIVDKKIANPNCKNASNPYHVCAEYCLSKASNQGQTKGVTVGSKQPSKYLNIKGGNSNPNSNSNSNINFSGNSKCKNASNPYHKCAEYCFNTPNHDQQPKKEIEKMKKRNGHSSSVDAFNPPKNQVKAIESNTKEGDEKLMDNDNKRNSWSFYEEDLIERVSILNGSEHDLEASYERDLHLQEIDSFDFHSQKISKAEENKKTEEVKMEVLQQMKINAPNSYSNSVPNLLKKLPKSDNQYKNRKAISSPCSPFHFGLLLISVLYYIAHVIITGAVFPEGKTYARIKYKESEDIKREGIDTSSF >DRNTG_32947.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30930317:30934041:-1 gene:DRNTG_32947 transcript:DRNTG_32947.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit beta [Source:Projected from Arabidopsis thaliana (AT5G20890) UniProtKB/Swiss-Prot;Acc:Q940P8] MAVERLLKDEATEEKGDRARMASFIGAMAIADLVKTTLGPKGMDKILQSTGRGHNVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLTMAKIHPMTIIAGYRMAAECARNALLQKAMDNKQDPEKFKSDLMKIAMTTLSSKILAQDKEYFAQLAVDAVMRLKGSTNLEAIQIIKKAGGSLKDSFLDEGFILDKKIGIGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMARVAQIERAEKEKMKEKVQKIIGHGINCFVNRQLIYNYPEELFADAGILAIEHADFDGIERLALVTGGEIASTFDNPESVKLGHCKLIEEIMIGEDKLIHFSGVEMGQACTIVLRGASSHMLDEAERSLHDALCVLSQTVNDSRVLLGGGWPEMVMAKEVDELARRTPGKRSHAIEAFSRALQSIPTIIADNAGLDSAELISQLRAEHHKETTNSGIDVISGGVGDMEKLGISESFKVKQAVLLSATEAAEMILRVDEIITCAPRKREDRM >DRNTG_14250.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1030905:1035326:1 gene:DRNTG_14250 transcript:DRNTG_14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPAREWLGIQSLPGATQTKLHELLSKLKQEEVSTVTILVLGKGGVGKSSTVNSILGERVASVSAFQSEGLRPMMFSRSRAGFTLNIIDTPGLVEGGFVNDQALDIIKRFLLNKTIDVLLYVDRLDAYRVDSLDRQVIKAITDSFGKRIWRRGLLVFTHAQLSPPDGLEYAEFFARRSEALLKFIRLGARIKKQEFQDSMIPVVLAENSGRCKTNESGEKVLPDGTAWIPNLVKEITDVILNGSDAITVDQKLIDGPNPNDQGKFFIPLILAFQYFVVVKLIKGAIKNDTKNEKKPLWELRDMGLANRKF >DRNTG_32221.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001804.1:8351:14572:-1 gene:DRNTG_32221 transcript:DRNTG_32221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNTNATMSNLEHQVAQMSKLLEEMLPGTLLSNTVVNPKESLKVSRVSFPSLSKDFHFRHEDIDESKDQAYPYLLRQHERVGGMREVVQALRA >DRNTG_15463.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16336328:16336960:-1 gene:DRNTG_15463 transcript:DRNTG_15463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPESCKVLIEGHDDDDNEDDNAGADESHLIHMLNMILSGTARLNILLPTATILSFTIFTPILTNDGHCTNFNHWLMAVFITVSSISCIFLTFTDSFQTYSGKLYYGLATFTGIWTFNSRRKKPPEPSLYKLRWGDVFHALLSLVAFLTFAGSHFDVVLCYYPALPRKVTNIVPLVVGFVISVLFVVFPSRRRGIGYPFMLKRDAVYIR >DRNTG_12081.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9238364:9238788:1 gene:DRNTG_12081 transcript:DRNTG_12081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRILLLLVAVLVLIASPARALYSASSPVLQLNPSNFKSKVLNSNGVVLIEFFAP >DRNTG_31958.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18589381:18592415:1 gene:DRNTG_31958 transcript:DRNTG_31958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSYDNRTGLCGLTSLKFADFSYNFFVGKIPPCLKYLSRLNFRGNCFQDKESIKPRSTEQCYSVKSQAANETYEYSNVAPKHHRPHQPKWLLILEITTGVVMLIFVITCAVSAIKICKRKSSGIIPIRKQSSWKDEISISVDSELLKSVLRFSRQELEVACEDFSNIIWSSTYSKVYKGTMKNGSEIAVLSLSISENQWTTYFELQFQNEVADFARLNNENIAKLLGYCRESEPFSRMLVFEYASNGTLYEHLHYGEGCQFSWLRRMKIAIGIARGLKYLHTELQPSFTISELNSGSVYLTEDFSPKLVDFERWKTIVSKADINGSVANGLPYHGFMDSIERRHMDVQSNTFAFGMLLLELISGRPSYCGDRGCSLDLAMEYLQKPEKMSQIIEPHLKNVKPDDLSVVCSTISLCIEPEASKRPSMEIITAMLEDGIETSPTALYKNSHLAWAELALTS >DRNTG_31958.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18589381:18592415:1 gene:DRNTG_31958 transcript:DRNTG_31958.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFEYASNGTLYEHLHYGEGCQFSWLRRMKIAIGIARGLKYLHTELQPSFTISELNSGSVYLTEDFSPKLVDFERWKTIVSKADINGSVANGLPYHGFMDSIERRHMDVQSNTFAFGMLLLELISGRPSYCGDRGCSLDLAMEYLQKPEKMSQIIEPHLKNVKPDDLSVVCSTISLCIEPEASKRPSMEIITAMLEDGIETSPTALYKNSHLAWAELALTS >DRNTG_08843.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27915494:27917891:-1 gene:DRNTG_08843 transcript:DRNTG_08843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKEEEEEEKFQKSYLTGDEDLRRASQLVDSLISSSYSVRTLPVKWRVIREKLEQLHSGLTVAAGGNQEGSYNSSALAELLRDIVSMAGETQALAHRCSEECYSGGKLQMRSDLDVIASKIDLQIKRLGEVYASGILTDAQAIIVSRPSAGASREDMRFYVKDLFSRLRIGDSEMRGRAIAALAEALHEDDKYARIAVLETDDGVGLLVRLLDSRVAGFQEEAAEVVSVIAGFDSYKGALITAGVIASLIRVLETGTEVGRSRAARALRKLTENSDNAWSVSAHGGVTALLSICRDAGSGEELITSASRVLRNLSSVSEIRRFMVEQGAVSIFLNILRSRNKEPFQIQAIEFLHTLASDDEAIKEKVISQGTIESLLSVLDTGSSKAKEITLQAIDTLCFSSASAMSSLTAHGFLDRILFYVKSGEPSTQELALKAALRLGTSTDETKKTMGDMGFIPALIALLETKSFDVREMAAELLCGLVSVQRNRRRFIQEDYNINRVLQLLTPDDEKSVSKKFLLSILISLTENHSGRRKIAASGYMKNLEKLAETDVVDAKKIVKKLSGNKLRNIFSGLWGS >DRNTG_19191.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21424607:21426082:1 gene:DRNTG_19191 transcript:DRNTG_19191.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I reaction center subunit III, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31330) UniProtKB/Swiss-Prot;Acc:Q9SHE8] MATLTTTLSKPFSSKTNLPKLHLKPKNFTIISCQNSQEKDQESSTSIKTFSTAIALSSILLSSVVSSPPPALADIAGLTPCKESKAFAKREKQSIKKLQSSLKLYTEDSAPALAIKATIEKTKRRFDNYGKFGLLCGSDGLPHLIVSGDQRHWGEFITPGLIFLYIAGWIGWVGRSYLIAIRKEAKPTMKEIIIDVPLASSLIFRGFIWPVAAYRELVNGDLIVKDV >DRNTG_07164.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31695320:31696216:1 gene:DRNTG_07164 transcript:DRNTG_07164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGHSEVITMVRGTRGYLAPEWISSRPITVKADVYSYGMLLLEIIGGRRNLDMSLNAEDYYYPGWAFKEMTNGTPLHAADRRLEGKVDEEEMVRALKCAFWCIQEEAWSRPSMGEVVRMLEGSVEINEPPMPQAILELMDEGLENIYKAMKRDLFAYPITSSSVITSQPSSSRATCSYSTMSPR >DRNTG_13436.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9297346:9299021:1 gene:DRNTG_13436 transcript:DRNTG_13436.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCSRTYIRSKEILDPAISGTLNVLGSYKKNTNLKRVVLTSSSSTIRVISNVDPNVPLDESSWSDVELCETLQFWYALVKMQSEKAAWKFAKENEIDLVVVIPSFVIGPCLPLDLCLTASDVLGLLKGEAERF >DRNTG_13436.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9512809:9514236:1 gene:DRNTG_13436 transcript:DRNTG_13436.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNSSMNGKVCVTGASGFVASWLVKRLLESGCHVIGTVRDPENTKKVLHLWGLEGAKARLQLVKAELTIEGSFDSAVMGCEGVFHTACPVVQGPISDPKLEILDPAISGTLNVLGSRKKDTSLKRVVFTSSSSTIRVISNVDPNVPLDESSWSDVELCETLQFWYALAKMQSEKAAWEFAKENKIDLVVVIPSFVIGPCLPHDLCLTASDVLGLLKGEAERFSLYGRMGYVHIDNVARCHILVFEDTSAEGRYLL >DRNTG_13436.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9297346:9299021:1 gene:DRNTG_13436 transcript:DRNTG_13436.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCSRTYIRSKEILDPAISGTLNVLGSYKKNTNLKRVVLTSSSSTIRVISNVDPNVPLDESSWSDVELCETLQFWYALVKMQSEKAAWKFAKENEIDLVVVIPSFVIGPCLPLDLCLTASDVLGLLKGEAERF >DRNTG_13436.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9298332:9299021:1 gene:DRNTG_13436 transcript:DRNTG_13436.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVHIDDVARCHILVFEDTSAKGRYLCSSIVLDNHELAAQLSERYPWLPIPKRYSL >DRNTG_13436.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9297346:9514019:1 gene:DRNTG_13436 transcript:DRNTG_13436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCEGVFHTACPVVQGPISDPKLEILDPAISGTLNVLGSYKKNTNLKRVVLTSSSSTIRVISNVDPNVPLDESSWSDVELCETLQFWYALVKMQSEKAAWKFAKENEIDLVVVIPSFVIGPCLPLDLCLTASDVLGLLKGEAERFSLYGRMGYVHIDNVARCHILVFEDTSAEGRYLL >DRNTG_13436.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9297346:9299021:1 gene:DRNTG_13436 transcript:DRNTG_13436.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGCEGVFHTACPVVQGPISDPKLEILDPAISGTLNVLGSYKKNTNLKRVVLTSSSSTIRVISNVDPNVPLDESSWSDVELCETLQFWYALVKMQSEKAAWKFAKENEIDLVVVIPSFVIGPCLPLDLCLTASDVLGLLKGEAERF >DRNTG_01741.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4229718:4231989:-1 gene:DRNTG_01741 transcript:DRNTG_01741.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIKLEGATPSRIAAARAIVEAGIAVMGHVGLTPQAISALGGFRSQGKTINSAVKVVEMALELQEVGCFSVVLECVPAPVAAAVTAALKIPTIGIGAGPYCSGQVLVYHDLLGMLQNSHHAKVPRFCKQYGHVSEAINQALSEYKQEVKNKVFPGISNTPYKISEGDVDGFMNELQKMGLSEAASAAVTAFEGMGGNK >DRNTG_21627.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7349:9178:-1 gene:DRNTG_21627 transcript:DRNTG_21627.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVQEQVEIKFRLHDGSDIGPRKYPAATRVATLKESILAQWPKEKENGPRTVNDLKLINAGKILENNNTLGECKSPICDLSGGITTMHVVVRPPSSEKLTEKKSVNQPPKSTCGCVIL >DRNTG_21627.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7349:9315:-1 gene:DRNTG_21627 transcript:DRNTG_21627.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVQEQVEIKFRLHDGSDIGPRKYPAATRVATLKESILAQWPKEKENGPRTVNDLKLINAGKILENNNTLGECKSPICDLSGGITTMHVVVRPPSSEKLTEKKSVNQPPKSTCGCVIL >DRNTG_21627.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7415:9517:-1 gene:DRNTG_21627 transcript:DRNTG_21627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVQEQVEIKFRLHDGSDIGPRKYPAATRVATLKESILAQWPKEKENGPRTVNDLKLINAGKILENNNTLGECKSPICDLSGGITTMHVVVRPPSSEKLTEKKSVNQPPKSTCGCVIL >DRNTG_21627.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7349:9235:-1 gene:DRNTG_21627 transcript:DRNTG_21627.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVQEQVEIKFRLHDGSDIGPRKYPAATRVATLKESILAQWPKEKENGPRTVNDLKLINAGKILENNNTLGECKSPICDLSGGITTMHVVVRPPSSEKLTEKKSVNQPPKSTCGCVIL >DRNTG_21627.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7448:9235:-1 gene:DRNTG_21627 transcript:DRNTG_21627.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVQEQVEIKFRLHDGSDIGPRKYPAATRVATLKESILAQWPKEKENGPRTVNDLKLINAGKILENNNTLGECKSPICDLSGGITTMHVVVRPPSSEKLTEKKSVNQPPKSTCGCVIL >DRNTG_21627.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7349:9235:-1 gene:DRNTG_21627 transcript:DRNTG_21627.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVQEQVEIKFRLHDGSDIGPRKYPAATRVATLKESILAQWPKEKENGPRTVNDLKLINAGKILENNNTLGECKSPICDLSGGITTMHVVVRPPSSEKLTGMYHNVNKTSLKLCLNCS >DRNTG_21627.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7448:9178:-1 gene:DRNTG_21627 transcript:DRNTG_21627.11 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVQEQVEIKFRLHDGSDIGPRKYPAATRVATLKESILAQWPKEKENGPRTVNDLKLINAGKILENNNTLGECKSPICDLSGGITTMHVVVRPPSSEKLTGMYHNVNKTSLKLCLNCS >DRNTG_21627.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7448:9235:-1 gene:DRNTG_21627 transcript:DRNTG_21627.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVQEQVEIKFRLHDGSDIGPRKYPAATRVATLKESILAQWPKEKENGPRTVNDLKLINAGKILENNNTLGECKSPICDLSGGITTMHVVVRPPSSEKLTGMYHNVNKTSLKLCLNCS >DRNTG_21627.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7349:9178:-1 gene:DRNTG_21627 transcript:DRNTG_21627.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVQEQVEIKFRLHDGSDIGPRKYPAATRVATLKESILAQWPKEKENGPRTVNDLKLINAGKILENNNTLGECKSPICDLSGGITTMHVVVRPPSSEKLTGMYHNVNKTSLKLCLNCS >DRNTG_21627.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7448:9315:-1 gene:DRNTG_21627 transcript:DRNTG_21627.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVQEQVEIKFRLHDGSDIGPRKYPAATRVATLKESILAQWPKEKENGPRTVNDLKLINAGKILENNNTLGECKSPICDLSGGITTMHVVVRPPSSEKLTEKKSVNQPPKSTCGCVIL >DRNTG_21627.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7448:9178:-1 gene:DRNTG_21627 transcript:DRNTG_21627.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVQEQVEIKFRLHDGSDIGPRKYPAATRVATLKESILAQWPKEKENGPRTVNDLKLINAGKILENNNTLGECKSPICDLSGGITTMHVVVRPPSSEKLTEKKSVNQPPKSTCGCVIL >DRNTG_18769.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1360969:1361826:1 gene:DRNTG_18769 transcript:DRNTG_18769.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFENGLNMDIEYDYEKCIGLKVKPRRGDGLLFYSLFTNLTIDPTSLHGSCPVIRGEKWVATKWIRDQIQY >DRNTG_18769.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1360714:1361826:1 gene:DRNTG_18769 transcript:DRNTG_18769.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFENGLNMDIEYDYEKCIGLKVKPRRGDGLLFYSLFTNLTIDPTSLHGSCPVIRGEKWVATKWIRDQIQY >DRNTG_18769.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1360330:1361826:1 gene:DRNTG_18769 transcript:DRNTG_18769.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFENGLNMDIEYDYEKCIGLKVKPRRGDGLLFYSLFTNLTIDPTSLHGSCPVIRGEKWVATKWIRDQIQY >DRNTG_18769.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1358116:1361826:1 gene:DRNTG_18769 transcript:DRNTG_18769.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFENGLNMDIEYDYEKCIGLKVKPRRGDGLLFYSLFTNLTIDPTSLHGSCPVIRGEKWVATKWIRDQIQY >DRNTG_18769.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1358450:1361826:1 gene:DRNTG_18769 transcript:DRNTG_18769.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFENGLNMDIEYDYEKCIGLKVKPRRGDGLLFYSLFTNLTIDPTSLHGSCPVIRGEKWVATKWIRDQIQY >DRNTG_18769.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1358450:1358585:1 gene:DRNTG_18769 transcript:DRNTG_18769.6 gene_biotype:protein_coding transcript_biotype:protein_coding GLVRRRPASVEVNGDEARSDAGVASWRHW >DRNTG_23924.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3223136:3225163:-1 gene:DRNTG_23924 transcript:DRNTG_23924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFTGITAPSIPNPLLLLPKNPITFPKPLKLHHHHHHHHQQRSFLLPLRASTVTTHHNAFTVADIVTPEFFNGIISKASAKCEGKGFYTLDAFFKALESYPDFARAGSPDDSLREIAAFFAHATLETGHFCYINQVNGKVYCEENPDYPCNPEKKYLGRGPLQLTWNYNYGLAGQKLGFDGLNSPELISQDPVLCFKASLWFWMTYVHKRFISGEGFGDTIRVINPGVCNGRFPKDVKGRVGYYLDYCGMFGVQPGDKLCC >DRNTG_12172.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12533364:12540486:1 gene:DRNTG_12172 transcript:DRNTG_12172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHDSKRNLILKGKSSKRNMNNQGLLRRMVQMEGEVEKEYWDLHQGNQERFRGNKGNRGRGRGNKGGRPFDGKRNRHTDSPASRPRLGCS >DRNTG_19661.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2932501:2932983:-1 gene:DRNTG_19661 transcript:DRNTG_19661.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIITYPYFIIHLYLSFSLQAMSTLIIHLLTLSFFLSSFLQGTYANKYHPVGLIEYKLYGADELNTSNNRRILEPFQQCLECRCCSAGDPTSCSSMSCCFGIDCNLPDKPYGVCAFVPKTCNCTSCV >DRNTG_33493.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002008.1:25372:30139:-1 gene:DRNTG_33493 transcript:DRNTG_33493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYAPPHNSDVASSSIRLHQLAHLTKTGLAKRDTRFVSRLSATEILAKIEQAIAPLGFTIEKNNYKFFFVYFMLNFFQ >DRNTG_33493.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002008.1:26012:30203:-1 gene:DRNTG_33493 transcript:DRNTG_33493.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHYAPPHNSDVASSSIRLHQLAHLTKTGLAKRDTRFVSRLSATEILAKIEQAIAPLGFTIEKNNYKFFFVYFMLNFFQ >DRNTG_33493.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002008.1:26012:30139:-1 gene:DRNTG_33493 transcript:DRNTG_33493.4 gene_biotype:protein_coding transcript_biotype:protein_coding LRNIEQGLAKRDTRFVSRLSATEILAKIEQAIAPLGFTIEKNNYKFFFVYFMLNFFQ >DRNTG_16855.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21854573:21860778:1 gene:DRNTG_16855 transcript:DRNTG_16855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKQLEGLLGNLDQFSDPKVELEQYPTGPHIASRLLYMAESMFGDVSGKVVADFGCGCGTLGIASSLLGAEYVIGFDIDSHSLEIASINAAELELDIDLILCDIKSLGLKGKFVDTVVMNPPFGTRRKGVDMEFLSVALKVASRAVYSLHKTTTREHVRRAALRDYNAKSAEVLCELRFDVPQLYKFHKKKEVDIAVDLWRFVP >DRNTG_16855.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21854573:21860778:1 gene:DRNTG_16855 transcript:DRNTG_16855.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKQLEGLLGNLDQFSDPKVELEQYPTGPHIASRLLYMAESMFGDVSGKVVADFGCGCGTLGIASSLLGAEYVIGFDIDSHSLEIASINAAELEANL >DRNTG_10054.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4670994:4672586:1 gene:DRNTG_10054 transcript:DRNTG_10054.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQARRALRALKGLVKLQALVRGHLVRKQARATLRCMQAMVTVQARARAQRFQIFEGAQSIPHIATVHRRSKHPLYAPSYVSFPIKT >DRNTG_10054.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4669988:4672586:1 gene:DRNTG_10054 transcript:DRNTG_10054.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQARRALRALKGLVKLQALVRGHLVRKQARATLRCMQAMVTVQARARAQRFQIFEGAQSIPHIATVHRRSKHPLYAPSYVSFPIKT >DRNTG_10054.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4669988:4670380:1 gene:DRNTG_10054 transcript:DRNTG_10054.3 gene_biotype:protein_coding transcript_biotype:protein_coding NDDRLLSKIFRIGEENEPGNKSHGSMISCIRETSN >DRNTG_13408.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5865265:5866780:-1 gene:DRNTG_13408 transcript:DRNTG_13408.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHSQVNPNRWLSDVLQVPFPQSTPSHNPFVKGERKGAKASAPEAVVSPIHGDTNWIIATKITRTLAAPMSS >DRNTG_00547.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30219069:30219579:-1 gene:DRNTG_00547 transcript:DRNTG_00547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLSPMSMDSRFYNSIVLLFSKSKQNPSFSTHNIKEIQQKEKERSRRSNEVLPYLKTSQKP >DRNTG_14992.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23985988:23991169:1 gene:DRNTG_14992 transcript:DRNTG_14992.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILSPSPSFLHCHRTFVFQRTFSFRKHSVHMARSALEETSESGAFNRTPSTFRSLISRDKTSPFPAESGRYHLYVSYACPWASRCLAYLKLKGLDNVISYSSVKPKWERTKETDEHYGWVFPASSMEEPGAEPDKLNGVTSIRALYEFASPNYSGKYTVPVLWDKKLKTVVNNESSDIIRMMNTEFNDMAENVDLDLYPTHLQPLIDEVNEWVYDGINNGVYRCGFAKKQEPYDEAVTKLYEALDKCEEILSKQRYICGNVLTEADVRLFVTLIRFDEVYVVHFKCNKKLIREYPNLFNYTKDIYQTNGMSSTVNMEHIKKHYYGSHPSINPFGIVPIGSNIDFSAPHDRARFAP >DRNTG_14992.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23986381:23991169:1 gene:DRNTG_14992 transcript:DRNTG_14992.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFCEHAQATPSTGVLHSVHMARSALEETSESGAFNRTPSTFRSLISRDKTSPFPAESGRYHLYVSYACPWASRCLAYLKLKGLDNVISYSSVKPKWERTKETDEHYGWVFPASSMEEPGAEPDKLNGVTSIRALYEFASPNYSGKYTVPVLWDKKLKTVVNNESSDIIRMMNTEFNDMAENVDLDLYPTHLQPLIDEVNEWVYDGINNGVYRCGFAKKQEPYDEAVTKLYEALDKCEEILSKQRYICGNVLTEADVRLFVTLIRFDEVYVVHFKCNKKLIREYPNLFNYTKDIYQTNGMSSTVNMEHIKKHYYGSHPSINPFGIVPIGSNIDFSAPHDRARFAP >DRNTG_14992.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23985988:23991169:1 gene:DRNTG_14992 transcript:DRNTG_14992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSPSPSFLHCHRTFVFQRTFSFRKSSLFLCGSSNLALSGVSLGGIIYFFEHSVHMARSALEETSESGAFNRTPSTFRSLISRDKTSPFPAESGRYHLYVSYACPWASRCLAYLKLKGLDNVISYSSVKPKWERTKETDEHYGWVFPASSMEEPGAEPDKLNGVTSIRALYEFASPNYSGKYTVPVLWDKKLKTVVNNESSDIIRMMNTEFNDMAENVDLDLYPTHLQPLIDEVNEWVYDGINNGVYRCGFAKKQEPYDEAVTKLYEALDKCEEILSKQRYICGNVLTEADVRLFVTLIRFDEVYVVHFKCNKKLIREYPNLFNYTKDIYQTNGMSSTVNMEHIKKHYYGSHPSINPFGIVPIGSNIDFSAPHDRARFAP >DRNTG_14992.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23986381:23991169:1 gene:DRNTG_14992 transcript:DRNTG_14992.6 gene_biotype:protein_coding transcript_biotype:protein_coding MARSALEETSESGAFNRTPSTFRSLISRDKTSPFPAESGRYHLYVSYACPWASRCLAYLKLKGLDNVISYSSVKPKWERTKETDEHYGWVFPASSMEEPGAEPDKLNGVTSIRALYEFASPNYSGKYTVPVLWDKKLKTVVNNESSDIIRMMNTEFNDMAENVDLDLYPTHLQPLIDEVNEWVYDGINNGVYRCGFAKKQEPYDEAVTKLYEALDKCEEILSKQRYICGNVLTEADVRLFVTLIRFDEVYVVHFKCNKKLIREYPNLFNYTKDIYQTNGMSSTVNMEHIKKHYYGSHPSINPFGIVPIGSNIDFSAPHDRARFAP >DRNTG_14992.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23985988:23991169:1 gene:DRNTG_14992 transcript:DRNTG_14992.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCEHAQATPSTGVLHSVHMARSALEETSESGAFNRTPSTFRSLISRDKTSPFPAESGRYHLYVSYACPWASRCLAYLKLKGLDNVISYSSVKPKWERTKETDEHYGWVFPASSMEEPGAEPDKLNGVTSIRALYEFASPNYSGKYTVPVLWDKKLKTVVNNESSDIIRMMNTEFNDMAENVDLDLYPTHLQPLIDEVNEWVYDGINNGVYRCGFAKKQEPYDEAVTKLYEALDKCEEILSKQRYICGNVLTEADVRLFVTLIRFDEVYVVHFKCNKKLIREYPNLFNYTKDIYQTNGMSSTVNMEHIKKHYYGSHPSINPFGIVPIGSNIDFSAPHDRARFAP >DRNTG_14992.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23986381:23991169:1 gene:DRNTG_14992 transcript:DRNTG_14992.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARSALEETSESGAFNRTPSTFRSLISRDKTSPFPAESGRYHLYVSYACPWASRCLAYLKLKGLDNVISYSSVKPKWERTKETDEHYGWVFPASSMEEPGAEPDKLNGVTSIRALYEFASPNYSGKYTVPVLWDKKLKTVVNNESSDIIRMMNTEFNDMAENVDLDLYPTHLQPLIDEVNEWVYDGINNGVYRCGFAKKQEPYDEAVTKLYEALDKCEEILSKQRYICGNVLTEADVRLFVTLIRFDEVYVVHFKCNKKLIREYPNLFNYTKDIYQTNGMSSTVNMEHIKKHYYGSHPSINPFGIVPIGSNIDFSAPHDRARFAP >DRNTG_34723.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2260674:2261734:-1 gene:DRNTG_34723 transcript:DRNTG_34723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSETKLPSMKKTPTFSDNYLNEPGPNVDDSGRNKKPGTSASMNVKPVSKVSSKLGTKASEWQKAELDKIQKRYEKMTATILEWENEKKIKAKRKLDQKESTLEARRARALQEYRNEMSRIDQIAGGARGVAEEKRRNEELKTIEKAKSIQTTGRTPHSFLCF >DRNTG_19370.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5883020:5886396:1 gene:DRNTG_19370 transcript:DRNTG_19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDNVGEVLRTYNVDLWSLIDAAISMAARDLPGELRSRRDSIVKRLYSPEPSRCRSCAAAGEDLGHRSSDAKEISSLGDSDADEGDLHLHRDQDQNHRINPDCPIDSEKRRILAVKELLEDPDQNEEVLVNRLQKLIDMDITFKALKETDIGRHVNGLRKHPSGEVRRLVKLLVRKWKDLVDDWVKSNSDAADSPQQTAGKMNQNEHQLVVFDYSPNPHNGGLVLESESRGKNIPRRDAPPKLSPPATVKAVNDEKDGLIDPERLASARKRLHENYQEAQNAKKQRTIQVMDIHEIPKPKNSFLPRNKGGPHGKRW >DRNTG_19370.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5883020:5886396:1 gene:DRNTG_19370 transcript:DRNTG_19370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDNVGEVLRTYNVDLWSLIDAAISMAARDLPGELRSRRDSIVKRLYSPEPSRCRSCAAAGEDLGHRSSDAKEISSLGDSDADEGDLHLHRDQDQNHRINPDCPIDSEKRRILAVKELLEDPDQNEEVLVNRLQKLIDMDITFKALKETDIGRHVNGLRKHPSGEVRRLVKLLVRKWKDLVDDWVKSNSDAADSPQQTAGKMNQNEHQALHFPEFLFYLPYSKLNFIYFC >DRNTG_23140.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14611740:14617535:1 gene:DRNTG_23140 transcript:DRNTG_23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPDRPLFPLLQPLRSRSHPLRQIPILWQGFLHWLAIPVPRRDDEATPCVQVLVGISYGIIFVVNLQDPPERPKGHYNSTTTTNHVLNENIQLWRVSNESYPEGTIPIGRTTEEDILRASSIRRFGRKTISRVRCDSTVSGHEVSFSILLLSSHTIQKLQEWQAHLSLAYYKYGSSLVPLVMTSTLLKLDCSPQLYGDNSPMFFTYWTTDAYQATGCYNHIIVNNHINNDMVFSLQKLNKSIKFLCLDHSVEFHESEWTFDWLPYRNYASFDVLDASSDQQIPHGNNHSPESSHQANLNASSMRVKKVAYKKARRDPSPAPNKPKFKNEEQKTRYALLSQKGQTRYEGEESGLQEGAHLQGVNTRSLSTIEVAKHCPFTHQPSSISFRAFGRKHRVMQDHLGVLLGLYTEAYILTPGFKDLSHDFPNPVTSEKYWASMVTWLKTRKVSQMSNPTHRYIHALLTRGIGGRLDSTGVTLVCIGMVEKRGGGYKLTQCHRTNAQDTGPSQDAESTSESEPEFELEQE >DRNTG_06137.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25531601:25533222:-1 gene:DRNTG_06137 transcript:DRNTG_06137.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYHFSHIMQKYRLGRQASSKENPDNSKEGNDISENQGSGPKPLPSSSSSKLITQELNDGCNEAMKVQMELQRRLHEQLEVQRHLQVRIEAQGKYLHSILERACNALSENNHSKSEAELVKHEILELSNITELDNGLNILSESINMPFYDIALTCLENKSMNAGVRQIAEGSSESCLTSPINSPASVQAIFNSNISLKKRPWHCLETMIHMAGMETCGRI >DRNTG_06137.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25531601:25534864:-1 gene:DRNTG_06137 transcript:DRNTG_06137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMYSSAGDCLGSLEGTNLSRDACLLLTSDPKPRLRWTAELHERFVDAVTQLGGPDKATPKTIMRTMGVKGLTLYHLKSHLQKYRLGRQASSKENPDNSKEGNDISENQGSGPKPLPSSSSSKLITQELNDGCNEAMKVQMELQRRLHEQLEVQRHLQVRIEAQGKYLHSILERACNALSENNHSKSEAELVKHEILELSNITELDNGLNILSESINMPFYDIALTCLENKSMNAGVRQIAEGSSESCLTSPINSPASVQAIFNSNISLKKRPWHCLETMIHMAGMETCGRI >DRNTG_09321.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1014363:1015592:1 gene:DRNTG_09321 transcript:DRNTG_09321.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRAGQGMLCEFSIIIRLFYVLILKLLAETLLSQSQSGKRKHKAVIAVVSVLLALLLIICLATYVWRRKRQGKQCCCFLSVPDSSDEQYIVERTENEDLELPLFGLEMVVAATNNFSFENKLGEGGFGPVYLGKLDDGQEIAVKTLSKTSIQGRDEFKNEVMLIAKLQHRNLVRLLGCCIHGEERILIYEYLPNRSLDAFLFDKERSALLDWRTRYRIIEGIARGLLYLHQDSRFRIIHRDLKASNILLDEAMNPKISDFGMARIFGGDQTKAMTLRVVG >DRNTG_09321.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1013836:1015592:1 gene:DRNTG_09321 transcript:DRNTG_09321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSNVLLPDTVNVTVDMNMSLDECKAMCLMNCLCTAYSNADVRNGGSGCITWQGNLMDSAISVDGGQDFFVRLAASDLKTLLSQSQSGKRKHKAVIAVVSVLLALLLIICLATYVWRRKRQGKQCCCFLSVPDSSDEQYIVERTENEDLELPLFGLEMVVAATNNFSFENKLGEGGFGPVYLGKLDDGQEIAVKTLSKTSIQGRDEFKNEVMLIAKLQHRNLVRLLGCCIHGEERILIYEYLPNRSLDAFLFDKERSALLDWRTRYRIIEGIARGLLYLHQDSRFRIIHRDLKASNILLDEAMNPKISDFGMARIFGGDQTKAMTLRVVG >DRNTG_18088.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:302178:303112:-1 gene:DRNTG_18088 transcript:DRNTG_18088.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHESENESCFWSWEDNKKFEIALAVIDEESPERWEKVSTMIGGTKSAEEVEEHYEILLKDLNSIESGTFDHELDLSLHDDDGDDHE >DRNTG_18088.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:302178:303112:-1 gene:DRNTG_18088 transcript:DRNTG_18088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHESENESCFWSWEDNKKFEIALAVIDEESPERWEKVSTMIGGTKSAEEVEEHYEILLKDLNSIESGTFDHELDLSLHDDDGDDHELFAGLSMN >DRNTG_25288.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20370102:20375766:-1 gene:DRNTG_25288 transcript:DRNTG_25288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMPQKPPIDEALLDVIKQKVAAAKQYIENHYKCQMKNLQDQKEREKTTLHVYAMKKLKKSDMLSRGQVEHVKVERGLLAEVDSAYIIKLYCSFQDEEFLYLIMEYLPSGDMMTLLMCKDTLTEDEARFYIGQTILAIESIHKHNYIHRDIKPDNLLFDRNDHIKLSDFGLCKPLDSKSLPNFSEPTSAIRTALENDSKSCASPRLKRTQHEQLLHWQKNRRTLAYSTVVTLDYIAPEVLLKKGYGMECDWWSLGAIMFEMLISYPPFYSDEPMATCRKIVNWRNHLKFSEEAKLSHEARDLISKLLCNVEQRLGTTGAHEIKAHPWFKGIQRDQLYQMEAAFKPESAPPTLTTSKSGPWRKVTFGSIML >DRNTG_34868.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21950179:21953080:1 gene:DRNTG_34868 transcript:DRNTG_34868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSRAIEPPEMDGADEARKRKTDCVYFLASPLTCRKGSECEYRHNDSARFNPIDCCYWLAGGCLNPICAFRHPPLEGFTETASNSANFPRPTPVLTNKSDTPCYFYFNAYCIKGDHCPFMHHPFSPQKVQKQASNAPILEDPKTKCSAGSDTGPASVEVQAGARQGTSEVAKHFHPKEVPLPLASGNILEEPSSSAESLVPDFEEQPAVTSVDKLVPIMGHVKRESNDLQDQNSDELVERCLERGDWRESSSELQINENETEYLLAQDRELRQLHTHLFRPESEIPAGYDASYLDIEDYDHNVYNSCDQLYSRSMSDYCQRDSEHSRMIKRTSERLCQRKRIPSHMNWEMSDRDGLDLRDHLRKRRMILYQPSGRSTRHRFRHNSRECHVKCLPNRRLASEIGKSMISASVFQIDSPLLDNLRHQRSRHARTNLSERRSWRRRTNPYTSTVKYTRLSTQVASDFTGPKSLAQIREESRAKLQMSQCPATTIASGEFEGPKPLTELLNHKKRPFQ >DRNTG_08573.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:17987345:17992996:1 gene:DRNTG_08573 transcript:DRNTG_08573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNEWINGYLEAILDTGAAAVAEEPKAPVSVGDTGFNPTRYFVEEVVSGVDETDLHRTWLKVVATRNTRERSARLENMCWRIWHLARKKKQLECEDLLRVANRHLEREQGRRDFTVDMSEDLSESEKGDNAEELSQCEIPRVKFQRNFSDLQVWSDDNKEKKLYIVLISLHGLVRGDNMELGSDSDTGGQVKYVVELARALSKMPGVYRVDLFTRQISSPEVDWSYGEPAEMLSSNSYETDVTDSGESAGAYIIRIPCGPRDKYLQKELLWPHIQEFVDGALTHVLNMAKVLGEQIGGGDPVWPYVIHGHYADAGDCAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRQSKEDINTTYKIMRRIEAEELSLDAAELVITSTKQEIDEQWGLYDGFDVKLEKVLRARSRRGVNCHGRYLPRMVVIPPGMDFSSVIVQEDLTETDEITSLNDGTSPKSLPSIWSEVMRFLTNPHKPMILALSRPDPKKNIITLLKAFGECRPLRELANLTLIMGNRDDIDEMPSGNASVLTTVLKMVDKYDMYGSVAFPKHHKQSDVPDIYRLAAKTRGVFINPALVEPFGLTLIEAAAHGLPLVATKNGGPVDIHTALNNGLLVDPHDQKAIADALLKLVADKNLWHECRKNGWKNIHLFSWL >DRNTG_21209.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:971526:973760:1 gene:DRNTG_21209 transcript:DRNTG_21209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSKKLGVASRNQQKYTHTCGSKSFSRKEKEMEVTTGKKK >DRNTG_21209.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:972354:973638:1 gene:DRNTG_21209 transcript:DRNTG_21209.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSKKLGVASRNQQKYTHTCGSKSFSRKEKEMEVTTGKKK >DRNTG_28647.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17341950:17348547:-1 gene:DRNTG_28647 transcript:DRNTG_28647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSSSFKQAGSLITFSPPPPPPPPQNKNNNHKNNKKHKISYKIVAISATSAILLLFTLLTITIFSLRRRRRTRRRRKGDEIDEEKAVEQCATWVAEAKWSSPVIIFEKPLMELTFADLATATSCFSKDSQLADGHRCGPLYRAVLTGDMHVVIRVLKNGANCDAEELSQLRHPNLLPLLGYCLAGKEKLFLYEYMEKGDMRRWLNELPAARSGEEEETLELCDWPARHKVALGVARGLAFLHQGSRRPVVHGHLVPANVLLDDEMEARIADFGVKPGVSWTSSCKLLVGRGVSTSEETPFQGTS >DRNTG_21766.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3029435:3030612:-1 gene:DRNTG_21766 transcript:DRNTG_21766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPLFFSFSLLLSLPLVLLLAPRLLSPKTLPPIPAANELQDLALFRRATLSSSNLSSSDLHSTRPKIAFLFLTNSDLSFSPLWELFFSSHSSLFNVYVHADPSTHLLLPQTPSFRHRFIPSQPTSRSSPTLISAARRLLAAALLDDPLNSFFALLSQHCIPLNPFPSLYHSLLSQRPLKSFIEILADEPSLHARYVARGDLTMLPEVPFPQFRVGSQFFVLTRRHALLVVRDRRLWKKFRLPCLKSRESSCYPEEHYFPTLLSMRDPAGCSGYTLTRVNWTESVDGHPHLYGPGEVSADLIRTLRKSNSSYEYMFARKFSPSCLEPLMELANTVILKD >DRNTG_18686.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15760316:15766977:-1 gene:DRNTG_18686 transcript:DRNTG_18686.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLMNMCGKCLILDSYKGLFHQEEQIEEVMILGSNKEVPSTLGILKKVIWKMKRARRCHRKHSTTVGGMPKPTMMHRIPIHLGHIIAEHISHQGHYARLGAIFSGPYIMSLVLGMGLLDTVRGAEKMSTPALPSLETMRLMGMVRRVRAGVYALVLTAPETV >DRNTG_28723.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8193370:8197506:1 gene:DRNTG_28723 transcript:DRNTG_28723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIHNKLIRHCCFSFFFAVKKFGEAFSDYLRKDRERFLDKCLHVEYKWLNKVLKKCRVCGVTQRHNDKEEEEIGKNGPLDLCSFESESCSLCDQMFFTELAKETSEIAGCFISRAFWDLLDDPLAILL >DRNTG_26138.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28355844:28361104:1 gene:DRNTG_26138 transcript:DRNTG_26138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMMSKKSHSWWWDSHISPKNSKWLAENLEEMDKRVKSMLKLIDEEGDSFAKKAEMYYQRRPELVAHVEDFYRMYRALAERYDHVTGELRKNIQSELQSQGSGNVSDIGSEPPSPSVHSPELIPESKRSRPKASPGKAAGFDFFLRSGGSSDVSRKESDPSSSSESSDSESESENGKEINEHDITEGLNQRIVDLENELHDVKEKLQEYEGSSVNGKCEHMEIDEYNSKISALEEELAVVSKRLRVSEALIVTLKQKLAQGNASSEVEHVELDHERKQVLEMKERIAVLEAEVTDQKKVIEKLDGVIADANKKFEAEVTNRDHAIEGYKAEIANASERFSQEKSHLEADVAKLTGTIVNLRAELNKICASEVSA >DRNTG_26138.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28355844:28361104:1 gene:DRNTG_26138 transcript:DRNTG_26138.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMMSKKSHSWWWDSHISPKNSKWLAENLEEMDKRVKSMLKLIDEEGDSFAKKAEMYYQRRPELVAHVEDFYRMYRALAERYDHVTGELRKNIQSELQSQGSGNVSDIGSEPPSPSVHSPELIPESKRSRPKASPGKAAGFDFFLRSGGSSDVSRKESDPSSSSESSDSESESENGKEINEHDITEGLNQRIVDLENELHDVKEKLQEYEGSSVNGKCEHMEIDEYNSKISALEEELAVVSKRLRVSEALIVTLKQKLAQGNASSEVEHVELDHERKQVLEMKERIAVLEAEVTDQKKVIEKLDGVIADANKKFEAEVTNRDHAIEGYKAEIANASERFSQEKSHLEADVAKLTGTIVNLRAELNKICASEVSA >DRNTG_32065.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8482407:8483368:1 gene:DRNTG_32065 transcript:DRNTG_32065.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKLRLERTSSSLPSSSSLTLTNKKPHIKNETSHNSIYEVYFKNKLKKVW >DRNTG_10951.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:213456:216452:-1 gene:DRNTG_10951 transcript:DRNTG_10951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAASSRGSCARLSLRRRCLMMVKQQKTRFYILGRCVFMLLCWHAHDYSD >DRNTG_34114.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32704422:32706015:-1 gene:DRNTG_34114 transcript:DRNTG_34114.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSSSAMAASLTCGSNDSVNGLKFGMKIYFEDVTTAAGGGVGGSSSKGSSSVTAKKGKGVVQGVQQAPRCQVEGCNVDLSDVKAYYSRHKVCAIHSKSPKVIVAGLEQRFCQQCSRFHQLTEFDQVKRSCRRRLAGHNERRRKPPLTSLSPRMGRFSSSFSEDNNRYRGSMMDFSYARQTGRSGGGSGGGGGGSRNAMPLLRQGELNTLACGIAPHSFQFHPYMQSSTGETLFSGLGISSPGVSDSSCALSLLSNTQQWSSNITARNRPLIMMPPPMVQQEPVMATSCFVSSSSWDFKDHGTYASLEGRSMEMVSSHRFSGELELALQGNGAAFGHSNGANHDRHL >DRNTG_34114.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32704422:32706134:-1 gene:DRNTG_34114 transcript:DRNTG_34114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSSSAMAASLTCGSNDSVNGLKFGMKIYFEDVTTAAGGGVGGSSSKGSSSVTAKKGKGVVQGVQQAPRCQVEGCNVDLSDVKAYYSRHKVCAIHSKSPKVIVAGLEQRFCQQCSRFHQLTEFDQVKRSCRRRLAGHNERRRKPPLTSLSPRMGRFSSSFSDNNRYRGSMMDFSYARQTGRSGGGSGGGGGGSRNAMPLLRQGELNTLACGIAPHSFQFHPYMQSSTGETLFSGLGISSPGVSDSSCALSLLSNTQQWSSNITARNRPLIMMPPPMVQQEPVMATSCFVSSSSWDFKDHGTYASLEGRSMEMVSSHRFSGELELALQGNGAAFGHSNGANHDRHL >DRNTG_34114.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32704422:32706015:-1 gene:DRNTG_34114 transcript:DRNTG_34114.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSSSAMAASLTCGSNDSVNGLKFGMKIYFEDVTTAAGGGVGGSSSKGSSSVTAKKGKGVVQGVQQAPRCQVEGCNVDLSDVKAYYSRHKVCAIHSKSPKVIVAGLEQRFCQQCSRLVFFSLFFYLFIYLLKTLLLNLECISLSQMNHLNSIRFATLHTA >DRNTG_34114.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32704422:32706015:-1 gene:DRNTG_34114 transcript:DRNTG_34114.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSSSAMAASLTCGSNDSVNGLKFGMKIYFEDVTTAAGGGVGGSSSKGSSSVTAKKGKGVVQGVQQAPRCQVEGCNVDLSDVKAYYSRHKVCAIHSKSPKVIVAGLEQRFCQQCSRLVFFSLFFYLFIYLLKTLLLNLECISLSQMNHLNSIRFATLHTA >DRNTG_34114.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32704422:32706015:-1 gene:DRNTG_34114 transcript:DRNTG_34114.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSSSAMAASLTCGSNDSVNGLKFGMKIYFEDVTTAAGGGVGGSSSKGSSSVTAKKGKGVVQGVQQAPRCQVEGCNVDLSDVKAYYSRHKVCAIHSKSPKVIVAGLEQRFCQQCSRLVFFSLFFYLFIYLLKTLLLNLECISLSQMNHLNSIRFATLHTA >DRNTG_34114.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32704422:32706015:-1 gene:DRNTG_34114 transcript:DRNTG_34114.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSSSAMAASLTCGSNDSVNGLKFGMKIYFEDVTTAAGGGVGGSSSKGSSSVTAKKGKGVVQGVQQAPRCQVEGCNVDLSDVKAYYSRHKVCAIHSKSPKVIVAGLEQRFCQQCSRFHQLTEFDQVKRSCRRRLAGHNERRRKPPLTSLSPRMGRFSSSFSGLQLKLAIYFLRNIISSFYIL >DRNTG_08995.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28993316:28994221:1 gene:DRNTG_08995 transcript:DRNTG_08995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIERRDPAIIKLFGRTIPLPSSCGVNNKDLSSKEDNYKSPNSNSKSGIAMKKPDKILACPRCTSSDTKFCYFNNYNVNQPRHFCRQCQRYWTAGGAIRNVPVGAGRRQRKDKNSASQNKHCHELKFNGTVLSFGAADTLTDTWSSFTYSSILGKHTRDGEEKCLWIPKNIKD >DRNTG_02927.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4217277:4244851:-1 gene:DRNTG_02927 transcript:DRNTG_02927.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein-like [Source:Projected from Arabidopsis thaliana (AT4G19540) UniProtKB/TrEMBL;Acc:O49472] MVVKGLKRLLPLNPLLRSFSPISSGFNGLREHSAMAFKGGPSIPGIKDIIAVASGKGGVGKSTTAVNLAVALAKACQQNVGLLDADIYGPSIPTMMNIFRKPEVSEDLKMVPLENHGVKCMSMGFLVDKDAPIVWRGPMVMSALEKLTRGVAWGNLDILVIDMPPGTGDAQLSISQRLQLSGALIVSTPQDIALIDAQRGAFMFHKVDVPILGLIENMSFFKCPKCGEKSDIFGHGGAKRTADKMNLDFLGEIPLEVQVRSCSDEGSPIVMSSPDSLAAKAYIDIAHKVVHRLKKLAILRQIGPQISL >DRNTG_02927.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4217277:4244851:-1 gene:DRNTG_02927 transcript:DRNTG_02927.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein-like [Source:Projected from Arabidopsis thaliana (AT4G19540) UniProtKB/TrEMBL;Acc:O49472] MVVKGLKRLLPLNPLLRSFSPISSGFNGLREHSAMAFKGGPSIPGIKDIIAVASGKGGVGKSTTAVNLAVALAKACQQNVGLLDADIYGPSIPTMMNIFRKPEVMSALEKLTRGVAWGNLDILVIDMPPGTGDAQLSISQRLQLSGALIVSTPQDIALIDAQRGAFMFHKVDVPILGLIENMSFFKCPKCGEKSDIFGHGGAKRTADKMNLDFLGEIPLEVQVRSCSDEGSPIVMSSPDSLAAKAYIDIAHKVVHRLKKLAILRQIGPQISL >DRNTG_25987.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1036764:1037669:-1 gene:DRNTG_25987 transcript:DRNTG_25987.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLPRPPQTHVREELGRMQKRKRSSCSCKKNKGHYDDQGKEFVYKS >DRNTG_21402.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1544871:1561151:-1 gene:DRNTG_21402 transcript:DRNTG_21402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGMRGLSVFISDVRNCQNKEQERLRVDKELGNIRTRFKNEKALSPYEKKKYVWKMLYIYMLGYDVDFGHMEAISLISAPKYPEKQVGYIVTSSLLNENHDFLRMVINTVRNDIIGRNETFQCLALTMVGNIGGREFAESLAPDVQRLLISSSCRPLVRKKAGLCLLRLYRKNADVVNIDGWSDRMAQLLDERDLGVLTSVMSLFIALVSNNTEAYWNCLPKCVKILERLARSQDVPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPNTRRSLFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFISVREPNIRYLGLENMTRMLLVADVQDIIKRHQAQIITSLKDPDISIRRRALDLLYAMCDITNAKDIVEELLQYLSTADFAMREELALKAAILAEKFAPDLSWYVDVNLQLIDKAGDFVSDDIWYRVVQFVTNNEDLQPYAAAKARDYLDKPALHETMVKVSAYILGEYSHLLARRPGCSPKEIFAIINDKLSTVSPPTVAILLSTYAKILMHTQPPDSELQEQVWAIFRKYESYIDVEIQQRAVEYFALSRKGASLVDILAEMPKFPERQSALLKKAEDTEVDSAEQSAIKLRSQQQMSNALVVTDQHPPSASVPVSQPGPVKVPSQNLDGSSPDQGMAKVNGTVDKIDTQPVPSADLLGDLLGPLAIEGPPGPTPSEEQNPISGYEAAPNSADALALSTTEDSDAVQPIGNVADRFHALCLKDSGVLYEDPYIQIGLKAEWRAHHGRLVLFLGNKNTSPLVSVRALILPPAHLKMELSMLPETIPPRAQVQCPLEVVNLRASRDVAVLDFSYKFGTIAVNIKLRLPAVLNKFLQPISVSAEEFFPQWKSLSGPPLKLQEVVRGVKPMPIPELANLFTNLQLAVTSGLDTNPNNLVASTTFFSDSTKAMLCLIRIETDPSDRSQLRLTIASGDPALTFELKELIKEYMISVPTASPVPTPSPAPLQPQSPPVADPNDPGAMLAGLL >DRNTG_26915.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2941158:2942066:1 gene:DRNTG_26915 transcript:DRNTG_26915.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKQMDRKIAPEALSKEEFDSVVISVDEIGATPPNIIYKNEEQEEQHGNKQWRQGALFLMSYVLSLFSWRQMKDSEIKRVAHSFKVGLALVLVSLLYMLEAVHDKLGDNAMWAVMTVVVVFEFTSGATISKGLNRGAGTILGCGLGSLVALLSQEIGGTGKAVAIGSSVFIFG >DRNTG_26915.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2941158:2944483:1 gene:DRNTG_26915 transcript:DRNTG_26915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQMDRKIAPEALSKEEFDSVVISVDEIGATPPNIIYKNEEQEEQHGNKQWRQGALFLMSYVLSLFSWRQMKDSEIKRVAHSFKVGLALVLVSLLYMLEAVHDKLGDNAMWAVMTVVVVFEFTSGATISKGLNRGAGTILGCGLGSLVALLSQEIGGTGKAVAIGSSVFIFGATATYFRLAPKIKKKYDYGALIFILTFSLVSVSGFRGDQIIKLSRDRLSSIMIGFSICLFIAIFVSPVWAGDELHNSLSNKFDKLAESLEGCMEDYTCLLEGKKGTQSIRERIRSNCLSVLSSKSSDEALANFATWEPWHGRFGFYYPWKKYLQIGDSLRELAAYIFSLTGCLQSINQPPQEFLLNGVKERCESTCKLMGSTLREIGKNIKNMTSHGQRDIMLVKLENLRLELCSSTLQSSIEMLMNQSGAIEGGTSFTSSVFLLGEIIGKVEVLIKEIQDFEKLANFPQK >DRNTG_26915.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2941158:2942577:1 gene:DRNTG_26915 transcript:DRNTG_26915.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQMDRKIAPEALSKEEFDSVVISVDEIGATPPNIIYKNEEQEEQHGNKQWRQGALFLMSYVLSLFSWRQMKDSEIKRVAHSFKVGLALVLVSLLYMLEAVHDKLGDNAMWAVMTVVVVFEFTSGATISKGLNRGAGTILGCGLGSLVALLSQEIGGTGKAVAIGSSVFIFGATATYFRLAPKIKKKYDYGALIFILTFSLVSVSGFRGDQIIKLSRDRLSSIMIGFSICLFIAIFVSPVWAGDELHNSLSNKFDKLAESLE >DRNTG_19332.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5310455:5314520:-1 gene:DRNTG_19332 transcript:DRNTG_19332.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADALAGEEHTLRKGHIITEVKKQLWLAGPLIVSGILEKLIQVISLSFVGHLGELPLSAASMATSFAIVTGLSLLLGMGTALDTLCGQAYGAKQYHLLGIYLQRAMLLNIIASIPFAFIWAFTGRILHATGQDKEISMAAQLYARCMIPLLFVYGLLQCHYRFLQAQNIVIPMILTSGFTILVHIFACWFLIFKIKVGYIGAAIANSVSYSTSLALIAAYVWLSPRFKKTWIGFSREALHDLSSLIKLAVPSGLMICLEFWSFEAVVILSGLLPNPKLETSVLAICLTTVSLAYMIPFGISASGSTRVSNELGAGNSQKARSAVYIGEIISIIQGSIIGSILILGHNIWGKLYSKDINVVKHIAKMMPLLSLSIFMDATQCVLMGTVRGCGWQKLVVVVNLAAFYLVGLPSGILFAFVFHLREKVSLMFASSIIFIVMLFLTNKN >DRNTG_19332.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5310455:5314520:-1 gene:DRNTG_19332 transcript:DRNTG_19332.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADALAGEEHTLRKGHIITEVKKQLWLAGPLIVSGILEKLIQVISLSFVGHLGELPLSAASMATSFAIVTGLSLLLGMGTALDTLCGQAYGAKQYHLLGIYLQRAMLLNIIASIPFAFIWAFTGRILHATGQDKEISMAAQLYARCMIPLLFVYGLLQCHYRFLQAQNIVIPMILTSGFTILVHIFACWFLIFKIKVGYIGAAIANSVSYSTSLALIAAYVWLSPRFKKTWIGFSREALHDLSSLIKLAVPSGLMICLEFWSFEAVVILSGLLPNPKLETSVLAICLTTVSLAYMIPFGISASGSTRVSNELGAGNSQKARSAVYIGEIISIIQGTVRGCGWQKLVVVVNLAAFYLVGLPSGILFAFVFHLREKVSLMFASSIIFIVMLFLTNKN >DRNTG_19332.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5310455:5314520:-1 gene:DRNTG_19332 transcript:DRNTG_19332.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADALAGEEHTLRKGHIITEVKKQLWLAGPLIVSGILEKLIQVISLSFVGHLGELPLSAASMATSFAIVTGLSLLLGMGTALDTLCGQAYGAKQYHLLGIYLQRAMLLNIIASIPFAFIWAFTGRILHATGQDKEISMAAQLYARCMIPLLFVYGLLQCHYRFLQAQNIVIPMILTSGFTILVHIFACWFLIFKIKVGYIGAAIANSVSYSTSLALIAAYVWLSPRFKKTWIGFSREALHDLSSLIKLAVPSGLMICLEFWSFEAVVILSGLLPNPKLETSVLAICLTTVSLAYMIPFGISASGSTRVSNELGAGNSQKARSAVYIGEIISIIQGSIIGSILILGHNIWGKLYSKDINVVKHIAKMMPLLSLSIFMDATQCVLMGINVFILLFTIIKLCK >DRNTG_19332.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5310455:5314520:-1 gene:DRNTG_19332 transcript:DRNTG_19332.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADALAGEEHTLRKGHIITEVKKQLWLAGPLIVSGILEKLIQVISLSFVGHLGELPLSAASMATSFAIVTGLSLLLGMGTALDTLCGQAYGAKQYHLLGIYLQRAMLLNIIASIPFAFIWAFTGRILHATGQDKEISMAAQLYARCMIPLLFVYGLLQCHYRFLQAQNIVIPMILTSGFTILVHIFACWFLIFKIKVGYIGAAIANSVSYSTSLALIAAYVWLSPRFKKTWIGFSREALHDLSSLIKLAVPSGLMICLEFWSFEAVVILSGLLPNPKLETSVLAICLTTVSLAYMIPFGISASGSTRVSNELGAGNSQKARSAVYIGEIISIIQGTVRGCGWQKLVVVVNLAAFYLVGLPSGILFAFVFHLREKGLWLGIICGLSTQVILLLIITLSTNWDKEAKKAENRINSSINSIGMITRRNEEVRVLDDGH >DRNTG_19332.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5310871:5311807:-1 gene:DRNTG_19332 transcript:DRNTG_19332.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFGISASGSTRVSNELGAGNSQKARSAVYIGEIISIIQGSIIGSILILGHNIWGKLYSKDINVVKHIAKMMPLLSLSIFMDATQCVLMGINVFILLFTIIKLCK >DRNTG_19332.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5310455:5314520:-1 gene:DRNTG_19332 transcript:DRNTG_19332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALAGEEHTLRKGHIITEVKKQLWLAGPLIVSGILEKLIQVISLSFVGHLGELPLSAASMATSFAIVTGLSLLLGMGTALDTLCGQAYGAKQYHLLGIYLQRAMLLNIIASIPFAFIWAFTGRILHATGQDKEISMAAQLYARCMIPLLFVYGLLQCHYRFLQAQNIVIPMILTSGFTILVHIFACWFLIFKIKVGYIGAAIANSVSYSTSLALIAAYVWLSPRFKKTWIGFSREALHDLSSLIKLAVPSGLMICLEFWSFEAVVILSGLLPNPKLETSVLAICLTTVSLAYMIPFGISASGSTRVSNELGAGNSQKARSAVYIGEIISIIQGSIIGSILILGHNIWGKLYSKDINVVKHIAKMMPLLSLSIFMDATQCVLMGTVRGCGWQKLVVVVNLAAFYLVGLPSGILFAFVFHLREKGLWLGIICGLSTQVILLLIITLSTNWDKEAKKAENRINSSINSIGMITRRNEEVRVLDDGH >DRNTG_00815.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11999281:12001317:-1 gene:DRNTG_00815 transcript:DRNTG_00815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMHMATLSFFSSSSAYKTTNVSDRLRLGLAPLPASLAGRKGSILVIRSEAGFACGSNARARSSKLITNAVATKPDASASSTGSKPGHELMLFEALRVGLDEEMARDPRVCVMGEDVGHYGGSYKVTKGLATKYGDLRVLDTPVAENYFTGMGIGAAMTGLRSVIDGMNMGFLLLGFNQISNNCGMLHYTSGGQFTIPVVIRGPGGVGRQLVAVGDLHGQPCEVAWKTC >DRNTG_14536.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28230885:28233223:1 gene:DRNTG_14536 transcript:DRNTG_14536.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGFLPTLLTYGALINGLCKKHNFEKVKKILAQMETQGLHPNVQIYNTLLNAWCKIGSLVEAKAVFRRMIGSDCQPDIVTYNTLICGLSKNGKIRDAKHFLRESIRRGLVPNALSYTPLIHRYCVDGEVGLASNLILEMMEKGHKPDLVTFGALVHGLVVRGEVHEALVIREKIMERGVLPDACIYNVLISGLCKKGLLRFAKKLLAEMLDHNILPDEFVYATLMDGFIRSGNLDEAKKIFEFLKEKGIRPGVVCYNAMIKGYCKFGMMNDAILCFHRMRVDGLCPDKFTYTTVMDGFVKFNDLDGALRIFGDMVKCRCKPNVVTYTALINGFCKSGNFDRAERIFEEMPSCGFTPNVVTYSILIGSFCRENIFDRAVAYFEEMLLRKCIPNKCTYHYLVNGLSNGASVIASNEKYDLQQNEEHMVLGIFKSMTSDGWDHKTAAYNTIILCLCKYQMVEKGIELKNEMVLKGCAPDCLTFLFLLHGICEQGRSNDWRNILSCNFPRIELEIAFKYAKLLDVYLNQGMSSEASLIVQSLLDQGMLLDISAG >DRNTG_14536.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28230885:28232750:1 gene:DRNTG_14536 transcript:DRNTG_14536.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGFLPTLLTYGALINGLCKKHNFEKVKKILAQMETQGLHPNVQIYNTLLNAWCKIGSLVEAKAVFRRMIGSDCQPDIVTYNTLICGLSKNGKIRDAKHFLRESIRRGLVPNALSYTPLIHRYCVDGEVGLASNLILEMMEKGHKPDLVTFGALVHGLVVRGEVHEALVIREKIMERGVLPDACIYNVLISGLCKKGLLRFAKKLLAEMLDHNILPDEFVYATLMDGFIRSGNLDEAKKIFEFLKEKGIRPGVVCYNAMIKGYCKFGMMNDAILCFHRMRVDGLCPDKFTYTTVMDGFVKFNDLDGALRIFGDMVKCRCKPNVVTYTALINGFCKSGNFDRAERIFEEMPSCGFTPNVVTYSILIGSFCRENIFDRAVAYFEEMLLRKCIPNKCTYHYLVNGLSNGASVIASNEKYDLQQNEEHMVLGIFKSMTSDGWDHKTAAYNTIILCLCKYQMVEKGIELKNEMVLKGCAPDCLTFLFLLHGICEQGRSNDWRNILSCNFPRIELEIAFKYAKLLDVYLNQGMSSEASLIVQSLLDQGMLLDISAG >DRNTG_12704.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13790551:13790811:1 gene:DRNTG_12704 transcript:DRNTG_12704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLKAIIKEILRLHPPMPMLVPRESIEGCQIEGYEIPRKTRVIINYWAIARDTRVWDSPEEFKPDRFISNDKISKDKTMNSFLSA >DRNTG_19293.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:573152:574655:1 gene:DRNTG_19293 transcript:DRNTG_19293.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIYFNQFSAYPIEEVEQYESPDPLSTPSIETQSFSCPLLYDTQVHEVNDRQEHHHLQEQHQREPSEHMLIAGSDVHLLSLPIARKNKNIAPQSFPDHHNKVEDAHGPTKWMSSKMRFMKKMMGSDQISTGKTRSSMQEVQDHPQTGRGRRSNNSRTNNLPEGVIRMCSDCKTSKTPLWRSGPQGPKSLCNACGIRQRKARRAIAAAALGGTLAPADATSPLQKLKTLDRDLTVPFKKRFKISTTESTQNLSFNENTIKFNKNSPFHRGFPQDEKDAAILLMALSCGLLHS >DRNTG_11350.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23739221:23740898:-1 gene:DRNTG_11350 transcript:DRNTG_11350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVDVISLSFGGGAVPFYNDAVAIGALAAVEKGIIVTSSAGNSGPAKGTIENDSPWLITVGASTMDRMIRARVKLGNGEELLGESAYHPSGFTSGFLPIVYPGRHGGSRAKACSDGSLSRINVKGVIVLCHNYGENNTVEKGIVVQRAGGVAMILINEEDQDMTTRAAAHVLPAAHVSYSDGRRILAYALLKTNPTATIIFDGTLYGIPPAPSVASFSSRGPSSVNEGVLKPDIIAPGVNILAAWPSPVGPLLLESPVNSTLTSMAFNIVSGTSLSSPVIAGVATLLKIAHPDWSPAAIKSAIMTTADTLDSDGLPISDLYSMGAGHINPTKANDPGLVYDIVRDDYIAYLCGLGYTDKQVSAVARRVIECASIDPINAEELNYPSVSVALGSKAEKTITRSVTNVGESESLYSMQIKRPEGVEVSVYPEKLSFSEMNQTLTFSLYFSSGDVGAMRGGVSEGYLRWVSNKHIVRSPILVTFT >DRNTG_11350.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23739221:23748759:-1 gene:DRNTG_11350 transcript:DRNTG_11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVFSCVLQVSSVEFYSLLFIMKLLVILLLFHTTFLMINGQISSITNTHHETYIVHVQKPKNVKSLRSTDLLSWYMSFLPTKTLASGEARIVYAYQTAITGFAARLTSAEVKAMETKEGFIHATKDRMLYLHTTHINDFLGLNQGTCLQKDTNLGKGLIIGMLDTGVLPNHPSFKDSGLPHPPTKWKGHCDFKPTTCNNKLIGARSFQRGNKDLPYDPIGHGTHTASIAAGGFVKDAQVLGNAKGTASGVAPNCHLAIYKVCHSNVCGSSDVLAGIDQAMADGVDVISLSFGGGAVPFYNDAVAIGALAAVEKGIIVTSSAGNSGPAKGTIENDSPWLITVGASTMDRMIRARVKLGNGEELLGESAYHPSGLHLRLPTNCLPRSAWRFQSQSL >DRNTG_11350.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23747234:23748420:-1 gene:DRNTG_11350 transcript:DRNTG_11350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLPTKTLASGEARIVYAYQTAITGFAARLTSAEVKAMETKEGFIHATKDRMLYLHTTHINDFLGLNQGTCLQKDTNLGKGLIIGMLDTGVLPNHPSFKDSGLPHPPTKWKGHCDFKPTTCNNKLIGARSFQRGNKDLPYDPIGHGTHTASIAAGGFVKDAQVLGNAKGTASGVAPNCHLAIYKVCHSNVCGSSDVLAGIDQAMADGVDVISLSFGGGAVPFYNDAVAIGALAAVEKGIIVTSSAGNSGPAKGTIENDSPWLITVGASTMDRMIRARVKLGNGEELLGESAYHPSGLHLRLPTNCLPRSAWRFQSQKLVVMAHSIG >DRNTG_15805.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000786.1:61862:63129:-1 gene:DRNTG_15805 transcript:DRNTG_15805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMDSGVFQCRVSEQDIMRENTQKVYLRSISLRIFRNCFCHLSFNLRDSGGKGFERYWLGIWRGSTAMTSLSVWKKVSGRALVGTDPARCILGHTKRPLDK >DRNTG_17863.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2952399:2952871:-1 gene:DRNTG_17863 transcript:DRNTG_17863.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIISFVMKTLRLRGSVFTEKVVVQKPNKAFDEDEDCCVCLSSLAGGAATRKLPCRHLFHRECVNRWLALCQRTCPLCRVCVDGQGSKREELTEELVIWFSSFHVAGF >DRNTG_17863.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2952207:2952871:-1 gene:DRNTG_17863 transcript:DRNTG_17863.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIISFVMKTLRLRGSVFTEKVVVQKPNKAFDEDEDCCVCLSSLAGGAATRKLPCRHLFHRECVNRWLALCQRTCPLCRVCVDGQGSKREELTEELVIWFSSFHVAGF >DRNTG_17863.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2952399:2952907:-1 gene:DRNTG_17863 transcript:DRNTG_17863.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIISFVMKTLRLRGSVFTEKVVVQKPNKAFDEDEDCCVCLSSLAGGAATRKLPCRHLFHRECVNRWLALCQRTCPLCRVCVDGQGSKREELTEELVIWFSSFHVAGF >DRNTG_17863.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2952207:2952907:-1 gene:DRNTG_17863 transcript:DRNTG_17863.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIISFVMKTLRLRGSVFTEKVVVQKPNKAFDEDEDCCVCLSSLAGGAATRKLPCRHLFHRECVNRWLALCQRTCPLCRVCVDGQGSKREELTEELVIWFSSFHVAGF >DRNTG_08115.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11872925:11876782:-1 gene:DRNTG_08115 transcript:DRNTG_08115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIHRRWCGGVVRCGDRRCGGAGRRRSSGGAVRRSSGRGDEDRARERVCVC >DRNTG_27560.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3087238:3100045:1 gene:DRNTG_27560 transcript:DRNTG_27560.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGTGHETHFAAFLYCLARLGVVSEPDYPALVTRVFVSYLSLMREVQMTYQLEPAGSHGVWGLDDYHFLPFVFGSAQLVDHKYMKPKSIHNQDILDNFSNEYMYLACVAFVKKVKRGPFAEHSPLLDDISGVATWSKVNSGLLKMYKAEVLEKVPIMQHFLFGSIIKWE >DRNTG_27560.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3086958:3099972:1 gene:DRNTG_27560 transcript:DRNTG_27560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHSSSSPHLCHSCGNPTTAAPPPTAAPWSDASPPPSTAPSEPRPSTPPPPNTAAIILSPVPQPLPVPPLSFPHSFESPSKKIASPDDIAHFHSIPAGRHFLGFVSALSQSARGLKISDPVPISATISSLLSLLQALSSWLPLFPPLPHPSRYGNPAFRSWHQKLVDDASSLVLPLLPSDDLRPASIELVPYLLDSFGNPTRMDYGTGHETHFAAFLYCLARLGVVSEPDYPALVTRVFVSYLSLMREVQMTYQLEPAGSHGVWGLDDYHFLPFVFGSAQLVDHKYMKPKSIHNQDILDNFSNEYMYLACVAFVKKVKRGPFAEHSPLLDDISGVATWSKVNSGLLKMYKAEVLEKVPIMQHFLFGSIIKWE >DRNTG_27560.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3087238:3100952:1 gene:DRNTG_27560 transcript:DRNTG_27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGTGHETHFAAFLYCLARLGVVSEPDYPALVTRVFVSYLSLMREVQMTYQLEPAGSHGVWGLDDYHFLPFVFGSAQLVDHKYMKPKSIHNQDILDNFSNEYMYLACVAFVKKVKRGPFAEHSPLLDDISGVATWSKVNSGLLKMYKAEVLEKVPIMQHFLFGSIIKWE >DRNTG_27560.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3087238:3099972:1 gene:DRNTG_27560 transcript:DRNTG_27560.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGTGHETHFAAFLYCLARLGVVSEPDYPALVTRVFVSYLSLMREVQMTYQLEPAGSHGVWGLDDYHFLPFVFGSAQLVDHKYMKPKSIHNQDILDNFSNEYMYLACVAFVKKVKRGPFAEHSPLLDDISGVATWSKVNSGLLKMYKAEVLEKVPIMQHFLFGSIIKWE >DRNTG_27560.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3087238:3100952:1 gene:DRNTG_27560 transcript:DRNTG_27560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGTGHETHFAAFLYCLARLGVVSEPDYPALVTRVFVSYLSLMREVQMTYQLEPAGSHGVWGLDDYHFLPFVFGSAQLVDHKYMKPKSIHNQDILDNFSNEYMYLACVAFVKKVKRGPFAEHSPLLDDISGVATWSKVNSGLLKMYKAEVLEKVPIMQHFLFGSIIKWE >DRNTG_27560.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3087005:3100045:1 gene:DRNTG_27560 transcript:DRNTG_27560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHSSSSPHLCHSCGNPTTAAPPPTAAPWSDASPPPSTAPSEPRPSTPPPPNTAAIILSPVPQPLPVPPLSFPHSFESPSKKIASPDDIAHFHSIPAGRHFLGFVSALSQSARGLKISDPVPISATISSLLSLLQALSSWLPLFPPLPHPSRYGNPAFRSWHQKLVDDASSLVLPLLPSDDLRPASIELVPYLLDSFGNPTRMDYGTGHETHFAAFLYCLARLGVVSEPDYPALVTRVFVSYLSLMREVQMTYQLEPAGSHGVWGLDDYHFLPFVFGSAQLVDHKYMKPKSIHNQDILDNFSNEYMYLACVAFVKKVKRGPFAEHSPLLDDISGVATWSKVNSGLLKMYKAEVLEKVPIMQHFLFGSIIKWE >DRNTG_22475.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9414747:9417900:1 gene:DRNTG_22475 transcript:DRNTG_22475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATVFCGKKKKKRCEKENERLEEEDAEIAGESSLECLRWLSDGPSKGMSPVQARSKHDRASPPDYL >DRNTG_15425.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17872915:17876608:1 gene:DRNTG_15425 transcript:DRNTG_15425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPRYNGWRLELARLRLPGFGLEVSIGSELLLVSDSDLFEWLSPIKEFSDPISRGGEGEGEGEGEGGGNVVHGKEGGGLVFSNGCGKRYFLISSSSSSSSSSNSINMSSCGNCDCADKSQCVKKGNSYGVVITETEKSYFAEVVEAPASATGAEHDGKCKCGASCTCTDCTCGK >DRNTG_07009.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3207443:3208205:-1 gene:DRNTG_07009 transcript:DRNTG_07009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFHPSSNIDVVGGKMTEQQFRKWLKTVDVNGDGLISRRELRDALRALGLRCTCWKSWRAMVHADLNRNKHIDGELEIEELIEYAKKRWGILVS >DRNTG_07009.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3207605:3208127:-1 gene:DRNTG_07009 transcript:DRNTG_07009.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHFHPSSNIDVVGGKMTEQQFRKWLKTVDVNGDGLISRRELRDALRALGLRCTCWKSWRAMVHADLNRNKHIDGELEIEELIEYAKKRWGILVS >DRNTG_07009.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3207443:3208127:-1 gene:DRNTG_07009 transcript:DRNTG_07009.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFHPSSNIDVVGGKMTEQQFRKWLKTVDVNGDGLISRRELRDALRALGLRCTCWKSWRAMVHADLNRNKHIDGELEIEELIEYAKKRWGILVS >DRNTG_07009.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3207605:3208205:-1 gene:DRNTG_07009 transcript:DRNTG_07009.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHFHPSSNIDVVGGKMTEQQFRKWLKTVDVNGDGLISRRELRDALRALGLRCTCWKSWRAMVHADLNRNKHIDGELEIEELIEYAKKRWGILVS >DRNTG_31586.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001724.1:38621:41269:-1 gene:DRNTG_31586 transcript:DRNTG_31586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAEIHLLDQMLVESEAALTVLDRLNGFETKTTKTMLMHGYAPNSEPYISMMLRACRDYQLSDIRSRCRIFVPKGRLLIGCLDETGTLNYGEVYLRITMKGNERQQATDHTFFDKADQTTAVLIGKVVVTKNPCLHPGDVRVLQAIYEPGLDEMGLVDCLVFPQKGPRPHPNECSGGDLDGDLYFVCWDENLIPQKTDEPMDYTGRKPRFLDHAVTEEEIQKFFVDYMVNDTLGTISTTHLVYADKEPRKARSPKCLQLANLHSMAVDYAKTGAPAEMPRILKPKEFPDFMDRWDRPMYMSSGILGKLYRATLRKTDIERSEDTCFGVPVQSMYDSDLEVDGFEAFTEVAEEFRDLYSEKLSSLMTYYGAEYEDEILTGYLRNRSAYLQRDKRRYGEMRDRILLNVRNLQTEVKGWFDSSGSGSDMTKMASACYHVTYHPNYYSASNFLSFPWIFGDILLSVKSSKKLCR >DRNTG_02330.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:623136:624120:-1 gene:DRNTG_02330 transcript:DRNTG_02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFLLLTVCQYLSSTSLLFSRMQSQTRKRYGYPSDDDNVGGQSLWR >DRNTG_06968.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:73189:75436:-1 gene:DRNTG_06968 transcript:DRNTG_06968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPEMVRVLGRSAFHDTALTSSGDLSYLGGEWRRDVAFAGFEVSIQMDVSAEKMIYGVTGVDPQRRDELIKVLDIDLSWRMHKSSDGQRRRVQICMGLLKPFKVLLLDEITVDLDVLARANLLKYLSKDCEERGATIIYATHIFDGLENWPTHIVYVAHGKLQLALPLAKVKEMSNLSLMRTVESWLRKERDEDRKRRKERKLKGLEEFDRAVEGTRVIGDPAKSAVRVVNNGWAAGRLHSTVAGEENFTFSSNRVLRQ >DRNTG_25111.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:882672:886484:-1 gene:DRNTG_25111 transcript:DRNTG_25111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEESSLISIVGRGGVGKTTLAHVVYYDQNVASHFKLRMWVTASEIYDTERLLKSIGVSAAGFPLRDNLEMDQILDILKGRLAENRFLLVLDDVRNEKLDWEELCKNLEYGLSGNKVIITTQIEEFVSKKRLPSFLHHLKGLSSEDSWSFFRESSCLNQLSSIENVAELEEVGMEIVTKLDGLPLAIRMVGCLLHSNIELDEWKMILNADVWKSNPNELLLYGIPAALWLSYQYLPPHIKQCLAYCSVFPRDHKFDKESLVQMWVAQGLIQAVQGTRMEDLGSEYFDYLLQMSFLQRLSSGDKSDMYELIFYSRTEFSVDYAQPKPLHSSEDKYIMHSSIYDLAVFITLNESLCLSSATMPCNSNKKKRRTRTRTRRRCGI >DRNTG_35293.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22588887:22592532:1 gene:DRNTG_35293 transcript:DRNTG_35293.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLKKLRGFGLHKSDPKEKKGHQQPAKLDELVQASLDMQDMRNCYDGLLSAAAATANSAYEFSEALQEMGSCLLEKTSLNDDEESGRVLLMLGKVQFELQKLVDIYRAHVIQTITIPSESLLKELQVVEEMKRQCDDKKETYKSMFAAYREKGRPKSGKGETVSSQQLLAAKEDYDEEATLFIFRLKSLKQGQSRSLLTQAARH >DRNTG_33801.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2511340:2513639:-1 gene:DRNTG_33801 transcript:DRNTG_33801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSYDSAASILLCAEDNNSVLGFEDEEVDVEVGVEGEVERSELLEERCDFYGDFFKVLPIQSDECLEVLVEKELDHLPRGDYAERLLNGDLDLEIRRNAIDWISKVHAHYSFGPLSAYLSVNYLDRFLSAYELPQGKAWMTQLLSVACLSLAAKMEETEVPLSLDLQAGESKYVFEARTIQRMELLVLSTLKWRMQAVTPFSYIDYFLHKFNGGSPPRKSSVSQSIELILSMIRGIEFLAFRPSEIAAAVAFSVLGETQILEIDKALAGCIHVQKERVIRCYAVIQDNAVMINSFFDNASPLVSSVPQSPIGVLDAACLSYKSDELTTTRHESNNSKRRKLCSIS >DRNTG_33346.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21486311:21490150:-1 gene:DRNTG_33346 transcript:DRNTG_33346.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSIRSMTRASISPLRAFISKTMSPAPAARPSSILSPTNRRSHLLSRSPVELGCCSGSMIPLHSAVAAARLTSRLSTASRGCRDLLQEMGLSVPR >DRNTG_33346.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21486311:21490150:-1 gene:DRNTG_33346 transcript:DRNTG_33346.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSIRSMTRASISPLRAFISKTMSPAPAARPSSILSPTNRRSHLLSRSPVELGCCSGSMIPLHSAVAAARLTSRLSTASRGCRDLLQDDGT >DRNTG_33346.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21486228:21490150:-1 gene:DRNTG_33346 transcript:DRNTG_33346.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSIRSMTRASISPLRAFISKTMSPAPAARPSSILSPTNRRSHLLSRSPVELGCCSGSMIPLHSAVAAARLTSRLSTASRGCRDLLQEMGLSVPR >DRNTG_01333.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:129416:132695:-1 gene:DRNTG_01333 transcript:DRNTG_01333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDSADQDNLSVAKNELHDLLSKPSLHGIPLLVLGNKIDKPGALTKQSFTDEMGLKSITDREVCCFMISCKNSTNIDVVIDWLVKHSKSKN >DRNTG_26995.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11506808:11507218:1 gene:DRNTG_26995 transcript:DRNTG_26995.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVGRVVEHLDWGLGTDDEPDQEKENSTDSDEDLVEVEETDDLLTFGQVQDNMRKESLACKRSSLG >DRNTG_20662.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20296041:20303104:-1 gene:DRNTG_20662 transcript:DRNTG_20662.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPTSSTPQPPYSFGAPWPELNEGLSYADAVPSIHPAPTLIGFYSTEYKSSAPLEGWLRRIRNGQITIDGQVVTDPETVLRAGSKVVYHRLPWKEPPAPYLLEVLYEDDHMVAINKPSGLQVLPGGIFQQRTVLQQLRWNTWKGIPVSRRCGEAHPVPVHRLGRGTSGILLCAKTKQAKTGLAKLFAVGTSAIEEKR >DRNTG_20662.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20297068:20303104:-1 gene:DRNTG_20662 transcript:DRNTG_20662.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPTSSTPQPPYSFGAPWPELNEGLSYADAVPSIHPAPTLIGFYSTEYKSSAPLEGWLRRIRNGQITIDGQVVTDPETVLRAGSKVVYHRLPWKEPPAPYLLEVLYEDDHMVAINKPSGLQVLPGGIFQQRTVLQQLRWNTWKGIPVSRRCGEAHPVPVHRLGRGTSGILLCAKTKQAKTGLAKLFAVGTSAIEEKRKYSQKLDKDWRISKFYRALVTGLLEDDEVIIKQPIGVMQYPGVAKGLYVATSSGKPALSKVSVVERDVQEKRTLVQVEIHSGRPHQIRIHLAFIGHPLFGDPLYDIGGHPKSVESELVDGSFAEDGGYNKPTNPVPGDCGYFLHAHRVILFHPSTDEVVEITAPLPSVLQTNSKELNRKEIPKEGLQCVAGSSS >DRNTG_11303.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1569757:1574297:1 gene:DRNTG_11303 transcript:DRNTG_11303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPATIAIHEKFLKRYHNPNESIMVSMFGFLRKFIGKKKNDDGGGRSEAEEKMYSWIYTLAQANKDLVFEYVKSTERGLSFKEAERRLREGGPNIPLDLVFPSWWQLFWKAFLHPFNIILIVMSTLSYIAQDFANGSIMLTLVFVSVGVRLSQEYGSSRAARQLSELLNSPIRVQRCAGRVVQTELIVQIDQRDIVPGDIIIFGPGDLFPGDIRLLTSKDLIVSQSSLTGESGTIEKVADTQENRTTPLLDLKNICLMGTSVVSGCGTGLVISTGSNTYMSTIFSTLQKKKHLDVFEKGIRHVSYALIGIMVVVVPVIIISDYYSSYNWSESVIFGIAVAVALTPQMFPLIVNTSLAKGSIAMARCSCIVKSLSAIRSMGEMSILCIDKTGTLTMDRVIMVHHLDGWGFPNQQVLKFAFLNSFFQAELKGPIDDAILAYVYTRGYRFQSSMWKKVDEIPFDFTRRRTTVIAETCSDSIDEENFHDTRYIITKGALEEVLNICNSIEIIDGGSTPPLTLEDRTRILQMSTTLSNDGLRVLGVAIRKITKKNGVTVTDKKRIEADMVFLGLISFFDPPKDSAKQALWGLAEKGVIAKVLTGDSLTLAMKVCEEVGIKTTHVITGPELELLDNYELHETIQRATVLARLSPLQKQQVVQSLQTQGNHVVGYLGDGINDSLALDAADVGISVDSGASAAKDLADIILLKKDLNVLVSGVELGRLTYGNIMKYIKMSLVANVGSIISLLTATIILKFEPLSPSQLLIQNFLYNLGQIAIPWDKMEERYMKAPQHWSSKKLPVFMVWNGVVCSIFDMITLFFLWFYYGAYTISASKFFHSAWFVEGLIMQSLIIHMIRTEKIPFVQDMASSRIILSTCLVSALAIIIPFTAVGTAMGLTTLPLSYFGFLVVLFLGYLFFGQIAKRAYIMIFDQWL >DRNTG_11303.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1573133:1574297:1 gene:DRNTG_11303 transcript:DRNTG_11303.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLGLISFFDPPKDSAKQALWGLAEKGVIAKVLTGDSLTLAMKVCEEVGIKTTHVITGPELELLDNYELHETIQRATVLARLSPLQKQQVVQSLQTQGNHVVGYLGDGINDSLALDAADVGISVDSGASAAKDLADIILLKKDLNVLVSGVELGRLTYGNIMKYIKMSLVANVGSIISLLTATIILKFEPLSPSQLLIQNFLYNLGQIAIPWDKMEERYMKAPQHWSSKKLPVFMVWNGVVCSIFDMITLFFLWFYYGAYTISASKFFHSAWFVEGLIMQSLIIHMIRTEKIPFVQDMASSRIILSTCLVSALAIIIPFTAVGTAMGLTTLPLSYFGFLVVLFLGYLFFGQIAKRAYIMIFDQWL >DRNTG_23621.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30172071:30178996:-1 gene:DRNTG_23621 transcript:DRNTG_23621.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAFDQTVRDLKREVNKKVLKVPGIEQKILDATSNEPWGPHGSLLADIAQASRNHYECQMIMNVIWKRINDTGKNWRHVYKGLIVLEYLVAHGSERVIDDIKEHSYQISSLSSFQYIDSSGRDQGNNVRRKSQSLVALVNDNERIQEARQKAVANKDKYRSTFSTSGSK >DRNTG_23621.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30172071:30178996:-1 gene:DRNTG_23621 transcript:DRNTG_23621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAFDQTVRDLKREVNKKVLKVPGIEQKILDATSNEPWGPHGSLLADIAQASRNHYECQMIMNVIWKRINDTGKNWRHVYKGLIVLEYLVAHGSERVIDDIKEHSYQISSLSSFQYIDSSGRDQGNNVRRKSQSLVALVNDNERIQEARQKAVANKDKYRSTFSTSGSK >DRNTG_15643.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14523912:14531150:-1 gene:DRNTG_15643 transcript:DRNTG_15643.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G24300) UniProtKB/TrEMBL;Acc:W8QN76] MAVLRAGLTARYCGRCQSSDLGPIKPRFGSIPVFSQPWWRRSSGFMMVRRCLLEHSKWDNPLPLSLERTAEHLDLEKEKDNTLPTGTRDLVSLRDVDIREEEAKGIANTEQTQTEVPYIKKEVEYVDKEATALTKVLYEKKETKVVDKEEHAQTLVSFTKEEAVEVDEGEQTRIKVTYNIVFITAEAAPYSKTGGLGDVCGSLPIALAGRGHRVMVLSPRYLNGTLNSHYASAKDLEKHINVSCFGGDQEVAFFHEYRAGVDWVFVDHPCYHRPGSLYGDEHGTFGDNQFRFALLCHAACEAPLVLPLGGYTYGENCLFLVNDWHASLVPVLLGAKYRPHGVYKDARSILIIHNLAHQGVEPAITYENLGLPAQWYGALEWIFPTWARKHALDTGATVNILKGAIVTADRILTVSQVIILPFWFLFP >DRNTG_15643.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14523912:14531150:-1 gene:DRNTG_15643 transcript:DRNTG_15643.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G24300) UniProtKB/TrEMBL;Acc:W8QN76] MAVLRAGLTARYCGRCQSSDLGPIKPRFGSIPVFSQPWWRRSSGFMMVRRCLLEHSKWDNPLPLSLERTAEHLDLEKEKDNTLPTGTRDLVSLRDVDIREEEAKGIANTEQTQTEVPYIKKEVEYVDKEATALTKVLYEKKETKVVDKEEHAQTLVSFTKEEAVEVDEGEQTRIKVTYNIVFITAEAAPYSKTGGLGDVCGSLPIALAGRGHRVMVLSPRYLNGTLNSHYASAKDLEKHINVSCFGGDQEVAFFHEYRAGVDWVFVDHPCYHRPGSLYGDEHGTFGDNQFRFALLCHAACEAPLVLPLGGYTYGENCLFLVNDWHASLVPVLLGAKYRPHGVYKDARSILIIHNLAHQGVEPAITYENLGLPAQWYGALEWIFPTWARKHALDTGATVNILKGAIVTADRILTVSQGYAWEITTAEGGQGLDELLSSRESVLNGITNGIDVDIWNPAIDKHIPFNYSVDDLSGKNLCKAALQKALGLAVRPDCPLIGFIGRLDYQKGTDVILSTMPELLQDDVQFIMLGSGDPDTEQWMRWAESSYKEKFRGWVGFNEPFSHHITAGCDILLMPSRFEPCGLNQLYAMRYGSVPVVHCTGGLRDTVEIFDPFADEDGRGTGWAFSPLSGESMLAALRTAIKTYREHKSSWEGLMKRGMLKDFSWDSAAAKYELIMEWALMDPPYVR >DRNTG_26595.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20002795:20007603:-1 gene:DRNTG_26595 transcript:DRNTG_26595.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSKGNGGNGDLVMDFIFSWSLDDIFNGDLFKGKVVKNPLTFESMKSYLNSYTFPMLEEVRADVASCLEAIGKAPFTNIVEINKIGPKKQLLYHIKIGRQNASVAGKNEIYIPKRGDIFVLTDTRPKLVSDLIQNGRSYRIAIVSKGGDDDDEMPPYMYKISLSKCLDNDQFCDIGNSKTPLFAVYLLNIATSSRIWKAIDFELATKRNLLLVKEIINTKSLIFKDRKVPQSDVVCNIKKKLLAFNLNESQNNAVLSCVSAAQCNDNCSIDLIWGPPGTGKTKTTGALLCLLKEMKCRTLICAPTNTAVMEVASRYMKLLKENAAGEGTLLLGDVLLFGNKDRMNVDDNLCDVFLDNRVKKLIPWFAQKTGWRYCLASMVEFFLNCLKLYQDHVGKELMTLEDFVRRKFLENSRSLSQCLTTLRTHLPSASVAEESSRDIVLLLDLLQEFNCLLRKNFTSSDLVEVFRSNSGLNSNSKTSELRKSKERCLQVLYRLQSGLKLPPTSSKRGIGDFCMQKASLMFTTASSSSKLYNMKEMKPLDVLVIDEAAQLKECETLIPLQLSVVRHTILIGDECQLPAMVKSKASENALFGRSLFERLSSLGYKKQLLNVQYRMHPSISRFPNASFYNNQISDGPNVVDKKHTRCFLPGSMFGPYSFINIEFGNEVADNLAHSKKNLVEVAVISDIISRLSSECVRTTQRVSVGIICPYTAQVSAIQEKLGKVYNGHRFFSVRVNSVDGFQGSEEDIIIFSAVRSNSSGTVGFLYNHQRTNVALTRARHCLWVLGNAPTLSSSGTIWSKLVRDAKYRGCFFDGKDDKSIMNVMMKHCNGFSQINDQIYDMNSLDISKTQEKKTGEINVLSNQFLQLSVDNTSEAPKCDSRKIKTSEGKRMENNTKMEDKRKWQTTENHVSEVMYQPKYHPSTSKSEVHVPIYISNVAPCSTTRVETTPKEKNVVKHEKLSNLSSKVVHHSEHPQLIKSEGYMQTGTLRNWSNQNNDASSSTIKMTTAPKPKQVNEEKKEPSYISDTILASVAVAVIGSAASRIFRWFNQS >DRNTG_26595.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20002795:20007603:-1 gene:DRNTG_26595 transcript:DRNTG_26595.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMVIWLWTSSSLGLLMIYLMEISSRERQVVKNPLTFESMKSYLNSYTFPMLEEVRADVASCLEAIGKAPFTNIVEINKIGPKKQLLYHIKIGRQNASVAGKNEIYIPKRGDIFVLTDTRPKLVSDLIQNGRSYRIAIVSKGGDDDDEMPPYMYKISLSKCLDNDQFCDIGNSKTPLFAVYLLNIATSSRIWKAIDFELATKRNLLLVKEIINTKSLIFKDRKVPQSDVVCNIKKKLLAFNLNESQNNAVLSCVSAAQCNDNCSIDLIWGPPGTGKTKTTGALLCLLKEMKCRTLICAPTNTAVMEVASRYMKLLKENAAGEGTLLLGDVLLFGNKDRMNVDDNLCDVFLDNRVKKLIPWFAQKTGWRYCLASMVEFFLNCLKLYQDHVGKELMTLEDFVRRKFLENSRSLSQCLTTLRTHLPSASVAEESSRDIVLLLDLLQEFNCLLRKNFTSSDLVEVFRSNSGLNSNSKTSELRKSKERCLQVLYRLQSGLKLPPTSSKRGIGDFCMQKASLMFTTASSSSKLYNMKEMKPLDVLVIDEAAQLKECETLIPLQLSVVRHTILIGDECQLPAMVKSKASENALFGRSLFERLSSLGYKKQLLNVQYRMHPSISRFPNASFYNNQISDGPNVVDKKHTRCFLPGSMFGPYSFINIEFGNEVADNLAHSKKNLVEVAVISDIISRLSSECVRTTQRVSVGIICPYTAQVSAIQEKLGKVYNGHRFFSVRVNSVDGFQGSEEDIIIFSAVRSNSSGTVGFLYNHQRTNVALTRARHCLWVLGNAPTLSSSGTIWSKLVRDAKYRGCFFDGKDDKSIMNVMMKHCNGFSQINDQIYDMNSLDISKTQEKFLQLSVDNTSEAPKCDSRKIKTSEGKRMENNTKMEDKRKWQTTENHVSEVMYQPKYHPSTSKSEVHVPIYISNVAPCSTTRVETTPKEKNVVKHEKLSNLSSKVVHHSEHPQLIKSEGYMQTGTLRNWSNQNNDASSSTIKMTTAPKPKQVNEEKKEPSYISDTILASVAVAVIGSAASRIFRWFNQS >DRNTG_13946.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21277175:21278426:-1 gene:DRNTG_13946 transcript:DRNTG_13946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIAIKVLNLATHGAARSFLAECEALKNAKHRNLVKLITSCSSIDFENRDFIALVYDFMSGGSLEDLIHGARELSILDRLNIAMDVASALDYLHNDCQPPVVHCDMKPSNVLLDEDMNIAKVGDFGLAKLMVDQEPSSSTNWIKGSIGYIPPEYGYGGRVSTRGDVYSYGIMLLELLTGKRPTNEVFQQGLSLEKCVRMAFPDRIMEVIDPKLVASHELTTNGGLMISSEKQEGCIISIVRIGLACATDSPEARIGMRDVIQQLKAIKDTLMKPQLDFKVV >DRNTG_13946.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21277175:21277656:-1 gene:DRNTG_13946 transcript:DRNTG_13946.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLELLTGKRPTNEVFQQGLSLEKCVRMAFPDRIMEVIDPKLVASHELTTNGGLMISSEKQEGCIISIVRIGLACATDSPEARIGMRDVIQQLKAIKDTLMKPQLDFKVV >DRNTG_03625.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11632284:11635100:-1 gene:DRNTG_03625 transcript:DRNTG_03625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNLQIDVNVACNNSMLAGNVLDSQVSSVISQFEVVEASLHLENINETPTKEEFNDSDYDLPLSDEDYKHVINEQRAKKKEGRLANVPFVNPTTSLTEDLDVGKDNELEYASSDDLFTELESYGEYNYKCCCKETKSRVQRGGTNHIPTVESALANNTYAIAEEQLQ >DRNTG_10253.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19949094:19951629:-1 gene:DRNTG_10253 transcript:DRNTG_10253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGFAIGNWMIDMEHCCNSVYEDESMDHSRMIIEHPPNLPMLSHWLDLRVFYVRFSNLEIDKSTPEHLTLNYIPLTTDTILKMNGQRSFIHSECVSCLLKKDRIDKKSEEATFVNTDTIRMTGNTRFEVYDKDNILLSGVLELCNTNCFVEESKNHHRKWSMKCKPMVLYRRSEMPSPTIEVFVTGFCSGMPIILTKTLHIDSIPKHGTTKSMSKELAEFDLQVPNDTDCKENDADIDYNSMFFTTEYFEVDDGELSWFNAGLRVGVGLGLGICLGIGIGLSLFVRTYQVTARNFTRRHT >DRNTG_23864.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20869877:20872179:1 gene:DRNTG_23864 transcript:DRNTG_23864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSIFLLLFSFFIIINSSISISIQPSTPISPSLACNTTLDPTFCKTHLPSNPKTLFDFTRFSLSISLSNANTFLQTINSYILSNPLLPSPSIKALQDCKLLSDLNIDFLLSSSNTCNSSTNLLDSQSQQVQTLLSALLANHQTCLDSLQETSTKLTIINGISSSLSYGTKLYSLSLALFSHTWPQKNKNKTLFNGRKLLQSVSDGTVKVNSTVVVNKDGSGDFVSINDAISAAPNNTQSSDGYYLIKVSAGLYEEYVSIAKNKKYIMMVGEGINQTIITGNHSVVDGWTTFNSATFIVVGEGFVGVNLTIKNTAGAVKHQAVALRSGADLSAFYRCSFEGYQDTLYSHSLRQFYKECDIYGTVDYIFGNSAVVFQDCNIYSRLPMQSQKNTVTAQGRTDPNQNTGTSIQNSNFLATPDLDTALNNGSVIRSFLGRPWKEYSRTVVMESFIGSLIDPQGWLPWDGDFALNTSYYAEYDNRGPGSNTSGRVTWEGFHVINSTDAVNFTVSNFILGDNWLGSTGVPYKAGL >DRNTG_31050.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30615959:30618212:1 gene:DRNTG_31050 transcript:DRNTG_31050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDDRIVLLGSANINDRSLLGSRDSEIGIVIEDKVFVASYMNGNPWKAGGFALSLRLSLWSEHIGLHGEEISRIYDPVIDASYKDIWMGTAEKNTMIYHQVFACIPNDHIHSRAAIRQSTSYWKEKLGHTTIDLGIAPEKLEPFPNGDDKDGDPLERLKAVVKGNLVLFPLKFMCQQEDLRPFFIEGEFYASPQVFH >DRNTG_31050.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30614196:30618212:1 gene:DRNTG_31050 transcript:DRNTG_31050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKANDYISFYGLRTYGRLCDGGPVVTNQVYVHSKLMIVDDRIVLLGSANINDRSLLGSRDSEIGIVIEDKVFVASYMNGNPWKAGGFALSLRLSLWSEHIGLHGEEISRIYDPVIDASYKDIWMGTAEKNTMIYHQVFACIPNDHIHSRAAIRQSTSYWKEKLGHTTIDLGIAPEKLEPFPNGDDKDGDPLERLKAVVKGNLVLFPLKFMCQQEDLRPFFIEGEFYASPQVFH >DRNTG_31050.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30613524:30614124:1 gene:DRNTG_31050 transcript:DRNTG_31050.4 gene_biotype:protein_coding transcript_biotype:protein_coding EINPSTYAPTTHGYSTLHGKRKANEW >DRNTG_31050.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30607794:30618212:1 gene:DRNTG_31050 transcript:DRNTG_31050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWPGKDYYNPRESEPNSWEDMMRDELDRRKYPRMPWHDVQCAIWGPACRDVARHFVQRWNYAKRNKAPDEKSIPLLMPQQHMVIPHYMGRERQMNGENKCINHNLEKHALFSPPSFQDIPLLLPRDPDAQRIVNGDYKCMDKEWRETQERQCSQSIDKIGQVGPRLPCYCQIVRSVGQWSAGTCQTEESIHKAYISLIEKAEYFIYIENQFFISGFLGDDVIQNRLLEALYKRILRAVDEKKCFRVIIVIPLLPGFQGGLDDSGAASVRALVHWQYRTISRGPNSILQRLYDVMGPKANDYISFYGLRTYGRLCDGGPVVTNQVYVHSKLMIVDDRIVLLGSANINDRSLLGSRDSEIGIVIEDKVFVASYMNGNPWKAGGFALSLRLSLWSEHIGLHGEEISRIYDPVIDASYKDIWMGTAEKNTMIYHQVFACIPNDHIHSRAAIRQSTSYWKEKLGHTTIDLGIAPEKLEPFPNGDDKDGDPLERLKAVVKGNLVLFPLKFMCQQEDLRPFFIEGEFYASPQVFH >DRNTG_31050.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30617615:30618212:1 gene:DRNTG_31050 transcript:DRNTG_31050.5 gene_biotype:protein_coding transcript_biotype:protein_coding MITSTPELPSDRVHLIGKRSSGTRP >DRNTG_01018.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18093450:18097432:1 gene:DRNTG_01018 transcript:DRNTG_01018.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G22740) UniProtKB/TrEMBL;Acc:W8PUD8] MLHSSLDLVIDVWQQVKAPVIVPLLRAVVAVGLTMSVMLVIDRVYMSIFIFIVKLFGRTPEKCYKWEPMPEEDIELGSSEFPAVLIQIPMYNEKEVYKLSIGAACGLSWPSDRIIIQVLDDSTDPTIKEMVEKECKRWENKGLNIRYETRIDRKGYKAGALKEGMQRSYVKHSEFVAIFDADFQPQPDFLLRAIPFLLHNPQIALVQARWTFVNSDECLLTRMQEMSLNYHFDFEQEGGSACSAFFGFNGTAGVWRISAIDDAGGWKDRTTVEDMDLAVRATLRGWKFVFLPELKVKSELPSTLKAFRYQQHRWSCGPTNLFRKMVMDIIRNKNVSPMKKFYVIYNFFLVRKIVCHAINFMVYCMILPATSLVPQVQMPKWATVYIPLTVTFLNAIATPRSFYLVIFWVLFENVMSLHRTKACFIGLWEAKRANEWVVTEKLGQMLKNANGIRATKKPSISISERLHLHDIWVGAYLLFCGGINVAYGNDHQYIFLFTQAMAFFTVGFGFVGTNVPNS >DRNTG_06602.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:592585:592750:1 gene:DRNTG_06602 transcript:DRNTG_06602.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKISSIAGKTGNHLFLKDKKDGKPPLLLQMVTDNRGIPFM >DRNTG_06602.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:593371:594061:1 gene:DRNTG_06602 transcript:DRNTG_06602.3 gene_biotype:protein_coding transcript_biotype:protein_coding EILKKSINRQSPHLESESHVGGNASNSDFLFFIFFLGGL >DRNTG_06602.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:591735:594061:1 gene:DRNTG_06602 transcript:DRNTG_06602.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERLAMEVLSVVERRPELQKISFVGHSLGGLITRYAIGLLFKPSVQRSSSEETEAMESMSECLEQDAKAKIAGLEPMNFITFATPHLGSRWHKQIPILCGSPALERMSYKISSIAGKTGNHLFLKDKKDGKPPLLLQMVTDNRGIPFMSALQSFKRRVAYSNVCSDFIVGWRTSCIRFQHELPKRENFTKNEKYKHVVNEEKPENLTRAA >DRNTG_06602.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:591269:594061:1 gene:DRNTG_06602 transcript:DRNTG_06602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVDKGGELQGERKRKKRGGKYSSRLWRPGCFRVAATDPSPAKTGEEEDGEQRKPKPNHLVVTVNGIIGSARDWRYAAKHFVKRYPEDVLVHCSECNCAKLTLDGIDVMGERLAMEVLSVVERRPELQKISFVGHSLGGLITRYAIGLLFKPSVQRSSSEETEAMESMSECLEQDAKAKIAGLEPMNFITFATPHLGSRWHKQIPILCGSPALERMSYKISSIAGKTGNHLFLKDKKDGKPPLLLQMVTDNRGIPFMSALQSFKRRVAYSNVCSDFIVGWRTSCIRFQHELPKRENFTKNEKYKHVVNEEKPENLTRAA >DRNTG_28276.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2900072:2903948:-1 gene:DRNTG_28276 transcript:DRNTG_28276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKKERSGGLGRALVRQHNQALQQAKDKGRALSLANRKVLESVTEISDIDAVLQHAEEAALLYSVDNPVASLIQLDGGGETSGMTAGERRDQQRQEEALHASSLRVPRRPPWNASMSVEELDANERQAFLIWRRGLAKLEENEKLVLTPFEKNLDIWRQLWRVLERSDLLVMVVDARDPLFYRCPDLEAYAREIDEHKKTLLLVNKADLLPLSVRQRWARYFRLHGILFVFWSAKAASASLEGKKLNHHWEDEKFSQEATPDTKIYDRTELLARLQAEAEAIVANRMVSNDQESVQDDCSEPGTVQPTSKHVVVGFVGYPNVGKSSTINALVGEKRAGVTSTPGKTKHFQTLIISDELILCDCPGLVFPSFSSSRYEMIASGVLPVDRMTEHRQAIQVVADRVPRHALEGIYNITLPKPKPYESQSRPPTSSELLRAYCASRGTRRVKRVYQMRPELPARFSRITSTESCLTTRCLQTGNQRMITVCSLALHPPLKTTNHTSVMTMNTKLQSPLKMAPMYLTSIMFSTTSNHLTWPVVYHPKM >DRNTG_11129.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30440721:30441308:-1 gene:DRNTG_11129 transcript:DRNTG_11129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGSRRGRAQPNPVVQNERPAAGVNRRRRAPARGRQPAEEIVVVEPKEEVGLAEGVGEIGGIGGGEENREGVGERMDEFDSGAKSADKLPGGEDEGSTAPLPEKV >DRNTG_12165.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12213775:12216885:1 gene:DRNTG_12165 transcript:DRNTG_12165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLCKLVVLVMVIAMLVDVSQGQALVPAVIIFGDSVMDVGNNNHLLTLVKANFPPYGRDYTHHNPTGRFCNGKLASDFTVENLGFDSYPPAYLSKEAIGSHLLNGTNFASAASGYLDSTSKLYSAISLSQQLKYYKEYQEKVTKMVGKKNASDIFTGALYLLSEGSSDYIQNYYINPLLYKLFTPDQFADLLLHSFTSFIQDLYKLGARRIGVTSLPPLGCLPAAVTLFGEGANQCVKRLNNDAILFNKKINNTAKSLTKKHSDLKLVIFDIYSPLLDLIKHPEQNGFFEARKACCGTGTIETSLLCNEHALGTCANATSYVFWDSVHPTEATNKFLSDNLLSQGIDLIS >DRNTG_06560.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22656352:22657144:1 gene:DRNTG_06560 transcript:DRNTG_06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVTAWEEERGIAFTYDGVCLMSMLEEYSILRQEKEQERKR >DRNTG_19515.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2885909:2888617:-1 gene:DRNTG_19515 transcript:DRNTG_19515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEQKKSLYSWWFDSRKNQKHSPWLSSAISELEENIEKILKLIEEDADSFAQRAEMYYKKRPELINMIEVLYRSHRSLAEQYDQLKFEGRLHRITLFNSPFSEKSWSLKQRDESSKSSSGSSVTDESEESEVDDPEQDSEEGEETQLNADDEKLKAENERLKAELLQKDDEKREAIRQLCLSMNLVREENECLKNYIKQSKKWSFFDFKKIKKGVLTRKLFGADH >DRNTG_13263.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:9985904:9990780:1 gene:DRNTG_13263 transcript:DRNTG_13263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDNGSWISNGKRGKTKAMGKEKEKGGNFFKSKGKIVIIQLRSPTPLNFDRIRKTPHAAFPLPC >DRNTG_07771.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1047701:1055851:1 gene:DRNTG_07771 transcript:DRNTG_07771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVMGNKKFERVTGTHVGAGTMFGLAKLLTGCMRYDEFLELSRQGDNLVLDLIVKDICGELVGQKQGLSASTLASSFGKVINSRKQLSDYKSEDLAKTLLSAFTYNIAQVSYLVAALLGLKRVFFGGSYIRGHASTMDNISYAIDYWSKGQMQALFLRHEGFLGALGAFMDYENIDTYDLPLEDTKEKEPLHDTFTSPDGISTTEHNESSIFPYLLVNIGSGVSMVEVTGKGKFERIIGTHLGGGTILGLARLLTGCASYEEFLELSQRGNTLAVDLTVGDIYGEHGYSKHNLPASTTAASFGKVSSNKLSDYKAEDLAAALLNAFTYNVGQISYFVAKLSGLRRIFFRGTYVCGHAKTMEKISRSLKYWSKDELQTTFLCHEGFMGAVGAFWSYENMGIANLETEEIMRQVLLGAPYTGGNGPALPLAAHLSLTGGGDVSFRDEVERLQHDNNEMKDEIERLRQENAQLKAALQKIRLDTAFDGKNTPPS >DRNTG_33489.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11642528:11645609:-1 gene:DRNTG_33489 transcript:DRNTG_33489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFARRYLGKYGNLGCTVLYECSRVLNFSDIDELIGMRRRKAQSAVVDKPAELVLCRIQRSRTGSDVEEEFALNYENQSSDFYNIADHQTTLPNQVQDLVNSDDWERPRSKRLRRDINTNNGTQYGSTNTDQVQMTPTSGYQEYQYCYNTSISVPDQQQQQQQQNYITNSIVPDEHQHFSKSSVPNLHDQFYFNTCSVPQQQQQQQQDYQQQCFDIGRENSTVTLPCYDMQHTSKAGNEKGNAFSDDWMAMIDVPSPSVLLPFPDDVFKLDDHMMNASLNTAAAATTTT >DRNTG_10016.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3065744:3067204:1 gene:DRNTG_10016 transcript:DRNTG_10016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFMVVFDDNCCIIKHKATGVQLANVRQMRNNMFPLDVKEVKGCNMVLRATCSSTLWHKRYGHLNYNSLKQLHSDNLVNGLPTIKEEGNCDDCLYGKQVRRPFPVGRANRALERLQLIHADLCGPMQTSSLGGNKYFALFIDDCTRMCWVYFIKLKSEAFNAFLKFQAATERQTGLKIKTLRTDRGGEFTSHEFSSYCETMGIKRELTAPYTPQQNGVAERKNRNIVEKARSMLKGSNLPTIFWAEAVATAVYLINMSPTSA >DRNTG_28861.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22202834:22203181:-1 gene:DRNTG_28861 transcript:DRNTG_28861.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILLKTLIGFGAITPPPKSSSLHPNSTRISPISCRNSYSRFGFSVHSSNSLFDAAFSVGFGAMRRGFWASNAVSNGGSGGDGGFRGWDGSGGSSGGDEGGDDVSSIFQW >DRNTG_27476.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26442868:26446966:-1 gene:DRNTG_27476 transcript:DRNTG_27476.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NPQ1 [Source:Projected from Arabidopsis thaliana (AT1G08550) UniProtKB/TrEMBL;Acc:A0A384K8V4] NLCLINLCYSYDILAFNYNKHLKLSYLALVTCRYLSVTSFSPEEKVNYKCFFTEFLLFYFLIRVELAKCIANPSCAANIACLQTCNNRPDETECQIKCGDLFENNVVDEFNECAVSRKKCVPRKSDVGEFKVPDPSALVRNFNIADFSGKWYITRGLNPTFDTFDCQLHEFHVESGKVIGNISWRIRTPDSGFFTRSTLQKFVQDPSQPGILYNHDNEYLHYQDDWYILSSKVENEPDDYIFVYYIGRNDAWDGYGGAVVYTRSSVLPESIIPELEKAAKSVGRDFSKFIKTDNTCGPEPPLVERIEKTVEAGEETILREVEEIEEEVEMVGKTEATLLQRLADGFMEVKRDAENFFRGLGKEEMELLNDLKMEATEIEKVFGRALPLRKLR >DRNTG_27476.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26442868:26446966:-1 gene:DRNTG_27476 transcript:DRNTG_27476.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NPQ1 [Source:Projected from Arabidopsis thaliana (AT1G08550) UniProtKB/TrEMBL;Acc:A0A384K8V4] MAFSVCTNHFPSYGCAQRARCNAQHHQNLSKFKLWANTNISRTCLWMKSQSDSHQFKCGGPHWNSRSEIIAASSKSNVHVEAAELAVLPNVAKQFWKVHVITITGFLMSIFLVVPSTGAVDALKTCTCLLKECRVELAKCIANPSCAANIACLQTCNNRPDETECQIKCGDLFENNVVDEFNECAVSRKKCVPRKSDVGEFKVPDPSALVRNFNIADFSGKWYITRGLNPTFDTFDCQLHEFHVESGKVIGNISWRIRTPDSGFFTRSTLQKFVQDPSQPGILYNHDNEYLHYQDDWYILSSKVENEPDDYIFVYYIGRNDAWDGYGGAVVYTRSSVLPESIIPELEKAAKSVGRDFSKFIKTDNTCGPEPPLVERIEKTVEAGEETILREVEEIEEEVEMVGKTEATLLQRLADGFMEVKRDAENFFRGLGKEEMELLNDLKMEATEIEKVFGRALPLRKLR >DRNTG_08944.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27286422:27289678:1 gene:DRNTG_08944 transcript:DRNTG_08944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNWKFQNLFYHIDLLLLMLQSAEHMLFQHDGALQRQQHAWPGKQGKNQQMQPISVLAPSPSL >DRNTG_08944.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27286422:27289678:1 gene:DRNTG_08944 transcript:DRNTG_08944.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNWKFQNLFYHIDLLLLMLQSAEHMLFQHDGALQRQQHAWPGKQGKNQQMQPISVLAPSPSL >DRNTG_09183.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7477026:7480175:1 gene:DRNTG_09183 transcript:DRNTG_09183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTVAAEQSTETKGKHRLVTELKRLEAETRFLEAYMEQLEKTEKASAVLQELLNEVERRPDPLLPVTPGPANSVWDQWFEGAQSVRVRHRCLIL >DRNTG_23297.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1235770:1237392:1 gene:DRNTG_23297 transcript:DRNTG_23297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRKGKIVAGATFSSLLILIIVLWLCLGLSKTFFLLAGIAVACILSVLVWVLLQHAALNRRTMSLVRRSTLEGNELRLEYSFLRKVAGLPTKFRYKDLEVATDHFQALIGRGASASVFKGILDDGTQVAVKRIEGAEHGDQEFRSEVSAVASVQHVNLVRLLGYCLNPGGPRFLVYEYIHNGSLATWIFPGDSSSCSGDHHHRKCLPWALRYCVAVDVAKALAYLHNDCRSRVLHLDIKPENILLDEGFRALVSDFGLSKLMGRDQSRIVTTIRGTRGYLAPEWFLENGISEKSDIYSYGMVLLEMVGGRRNVMLVGDGQPSERQWSYFPKIVSEKVREGKAMQVVDERLLQPQGSEDVEVEKAQVETLLHVALWCIQENPKLRPSMARVVDMLEGRIPADLPPETEMIIMDILSMDDLPTAGTMNYSSILSGR >DRNTG_19570.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001020.1:18451:19539:-1 gene:DRNTG_19570 transcript:DRNTG_19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWFLFFIFFFCISSFTSSHARDGSIAIYWGQYDKEGTLAQTCATGNYAFINISFLNKFGNGRKPNLDLAGHCNTDDSNGCTSLNKDIKSCQAKGIKVILSIGGALGDYSLSSKEDARQVALYIFNNFLSGKSSSERPFGDAVLDGVDFDIELGDGDHYDDLARSLSSFGGSKGNKSKIILTAAPQCPFPDKFVGKALSAGVFDNVWVQFYNNPQCQFKSGGNKGFGDAWEQWTSDQINAKKIFLGLPASQEAAGSGFVEVEELTSEVLPLIKKSGKYGGVMLWSKFYDDQTGYSSAIKEDV >DRNTG_14575.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3853425:3860542:1 gene:DRNTG_14575 transcript:DRNTG_14575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPDPNEGLFDQEEDNEETMMLGSTEEVPSTPGILKKVLQKLKRARRCHRKCSEAVGDMLKPNKLGESLLEKAQGRGLAPMNDHVILSRPWVISARACEVMQRLADFPKSTQGRGLAPLGDLVKIARAWVISAHPCDSLQRSSLHPEKS >DRNTG_30872.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2277015:2279640:-1 gene:DRNTG_30872 transcript:DRNTG_30872.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQTLIYSFVARGTVILAEYTEFTGNFTAIAAQCLQKLPASNNKFTYNCDGHTFNYLVEEGFTYCVVAVESAGRQVPIAFLERIKEDFNKRYGGGKAATAAANSLNREFGSKLKEHMQYCIDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKMRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFHCK >DRNTG_20932.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7531483:7541777:-1 gene:DRNTG_20932 transcript:DRNTG_20932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPSRCSQTSRSRLPATSLPPQFLITQSPRKGRTHKALMDRKAELFSRFLDVSVASSGLVIIAVNLVNG >DRNTG_12421.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15992822:15997579:1 gene:DRNTG_12421 transcript:DRNTG_12421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLVMISSFAIAEGYRSIIDQLGVKISVKKSHISTCEFAKLFRIYGHDLSPVSIKMLRAARHAIAWMSVYWSVGVSDIRVSMRLREAGYRRYSSRPELNIYLLTTTLTGTRACIISSKLGLAGKLLFLNKIGNHLIGLVAKVLHLEALAPPIPIRLAYSSNQRNVHLITKLKTDHPTSIGNEWRSGGTCETTYWITPVRHEATDAEYAPIPLAMPCSVPGRVEVDQLK >DRNTG_13417.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17357232:17357949:-1 gene:DRNTG_13417 transcript:DRNTG_13417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEYKSYSHKEDHYKDDIGTRKYDSKIDPDPKPDLLLAPKQRS >DRNTG_05673.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:82285:85228:1 gene:DRNTG_05673 transcript:DRNTG_05673.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:sirtuin 2 [Source:Projected from Arabidopsis thaliana (AT5G09230) TAIR;Acc:AT5G09230] MAAFQSIYKHRLCIRRKYIGLSSQCVAMQAMTIALSRQNKASDDYLRNKRIVPVADPPSSKDMNLLFQFFDQSHKLMVLTGAGISTESGIPDYRSPNGAYSSGFKPITHQVFVRSSKARRRYWARSYAGWRRFSAAQPSAAHYALASLEKVGQISFMVTQNVDRLHHRAGSDPLELHGSVYSVVCLDCGASICRDLFQEQVKAFNPKWAVAIENLESGNPGSDKSFGMQQRPDGDIEIDEKFWEENFIIPDCEQCGGTLKPDVVFFGDNVPKERAVKAMEAAKNCDAFLVLGSSLMTMSAFRLVRAAYEANAAVAIVNIGETRADDFVSLKINARCGEILPRLLEMGSIAVPNLH >DRNTG_05673.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:82285:85228:1 gene:DRNTG_05673 transcript:DRNTG_05673.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sirtuin 2 [Source:Projected from Arabidopsis thaliana (AT5G09230) TAIR;Acc:AT5G09230] MAMLAGSLSSIARFGRVKEAIETITKRRLFHMAAFQSIYKHRLCIRRKYIGLSSQCVAMQAMTIALSRQNKASDDYLRNKRIVPVADPPSSKDMNLLFQFFDQSHKLMVLTGAGISTESGIPDYRSPNGAYSSGFKPITHQVFVRSSKARRRYWARSYAGWRRFSAAQPSAAHYALASLEKVGQISFMVTQNVDRLHHRAGSDPLELHGSVYSVVCLDCGASICRDLFQEQVKAFNPKWAVAIENLESGNPGSDKSFGMQQRPDGDIEIDEKFWEENFIIPDCEQCGGTLKPDVVFFGDNVPKERAVKAMEAAKNCDAFLVLGSSLMTMSAFRLVRAAYEANAAVAIVNIGETRADDFVSLKINARCGEILPRLLEMGSIAVPNLH >DRNTG_09440.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21228426:21240226:-1 gene:DRNTG_09440 transcript:DRNTG_09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMMDSRTAAPLTLFMLEDMLSSPKVACRTRAFDVMLNLGIHAHLLEPISSDDTPSIEEDEALRESSSTNTDRSLRLGNINSEPTMQQKTSSAIDNFESWLLVILFENLGFLVQMEEREEIVWASALSCLFYFICDRGKILRNRLEGLDIRVVKTLLEISRENSWAEVVHCKLICLLTNMCYQVNDVTGKAVSETSTFLVEQVDLLGGIDFICLEYSQANSKEERRNLFLVLFDCVLHQINETCQINGTAAYLHDEIQPVASMLTLADAPEAFYIAVKHGVEGIGEIMQRSISFALSRSPNCERQNMLLERITRKIDASISTYTRLDHEFLYMIQLTKSYKSLSNTDGLGDADVCAKAKLSWATLHSLLHSERPAYRQNAYIWLVELLLTEISEDGQGSIWLNIKRLQQHIADAGNLDLSCSSIPLPVCMLCGLLKSKHNYIKWGFLYVLEKLLVRCKLLLDESELHYAGHEDSVSHDSSGNRLNKANAIIDIMSCALFLLVQFMETDHISILKMCDMLFSQLCRRLHSTNKMPRDLKRLGRLSGSVKKNFSNDPENIQLGQGEKNNVLRDELQGSGSTSVGNSLPTLTSETASMAAMLLRGHAIVPMQLVARVPPSLFYWPLMQLAGAVTDDIALGVAVGSKGMGNLPGATSDIRAALLLLLICKCTADPTAFSEVEGEEFFRSLLDDPDSRVAYYSSAFLLKRMMTKEPEKYQRLLQSLIYKAQQNNNEKLLENPYLQMRGILQLSNDLGARL >DRNTG_19989.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:858115:858710:-1 gene:DRNTG_19989 transcript:DRNTG_19989.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFIMTRSSQAMVLPSKPTPNGDLPLSLVDKALYMRSMMELFQVFHTGHHPAKLIRQALAMALVPYYPVAGRLAENHVACTGEGVLFSEATANCSLKDLSIDLSQPFLIPVNDLLPIIDAPQDLILMIQ >DRNTG_04295.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30083456:30083707:1 gene:DRNTG_04295 transcript:DRNTG_04295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEINAGVCDGMSYEEIRKNMPEEY >DRNTG_16355.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7743559:7748287:-1 gene:DRNTG_16355 transcript:DRNTG_16355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRSKTVAEHCSSTVHSRPRDQRNRESTRACGNYPHPCGNSTRARVASTPMELPDSAPTLLGDGKNEVKQETKEFEEIDRLKESRLRLSIDELPTLELDKVPLRISRKCTGLSK >DRNTG_13165.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:15912389:15918331:-1 gene:DRNTG_13165 transcript:DRNTG_13165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGGGKQVLVFLGVLLLLLVLLPEAQCGVTYDRKALIINGQRRILISGSIHYARSTPEMWEGLVQKAKDGGLDVIQTYVFWNGHEPSPGNYNFEGRYDLVRFIKTAQKAGLYVHLRIGPYACAEWNFGGFPVWLKYVPGISFRTDNEPFKIAMQGFTQKIVQMMKSESLYESQGGPIILSQIENEYGPESKALGPPGYAYMSWAAKMAVGMGTGVPWVMCKEDDAPDPVINTCNGFYCDAFTPNKPYKPTFWTEAWSGWFTEFGGAVHQRPVEDLAFAVARFIQKGGSFINYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLPREPKYGHLKELHKAIKLCEPALVSSDPTLTSIGAYQQAHVFSSEAGGCAAFLANYNPKSFARVMFNNMHYNLPPWSISILPDCRNVVFNTAKVGVQTSQMQMSSINDQSLTWEKYDEEVGSLEDNSLITVTGLLEQINVTRDNSDYLWYITSVDVSPTETFLRGGHLPVLIVQSAGHALHVFINGELSGSAYGTREDRRITYTGNANLRAGNNKFAILSVAVGLPNVGMHFETWNTGVLGPVVLHGFDEGRRDLTWQKWTYQVGLKGEARNLNSLEGISSVEWMQGLSAIKQQQPLTWYKAFFNAPDGDEPLALDMGSMGKGQVWINGQSIGRYWTAYAPNGICNPCSYAGTYRSPKCQAGCGQPTQRWYHVPRSWLQPTKNLLVVFEELGGDATKISLVKRTVSGVCADVSEFHPMIKNWHIDSYGQPEEHHKPKVHLRCAPGQSISAIKFASFGTPLGTCGNFQQGTCHSPNSHTILEQKCIGKERCAVTISTNNFGGDPCPNVMKRVAVEAVCSPAA >DRNTG_11442.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6757599:6759225:-1 gene:DRNTG_11442 transcript:DRNTG_11442.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVRHPNIVRLHEVLAGEMKIYIILEFVTGGELFDKIAQQGKLHENESRKYFQQLIDAVDYCHSKGVYHRDLKPENLLLDSRGNLKVSDFWS >DRNTG_11442.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6756085:6759225:-1 gene:DRNTG_11442 transcript:DRNTG_11442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVRHPNIVRLHEVLAGEMKIYIILEFVTGGELFDKIAQQGKLHENESRKYFQQLIDAVDYCHSKGVYHRDLKPENLLLDSRGNLKVSDFWS >DRNTG_11442.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6757013:6759225:-1 gene:DRNTG_11442 transcript:DRNTG_11442.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVRHPNIVRLHEVLAGEMKIYIILEFVTGGELFDKIAQQGKLHENESRKYFQQLIDAVDYCHSKGVYHRDLKPENLLLDSRGNLKVSDFWS >DRNTG_21677.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1068180:1070085:-1 gene:DRNTG_21677 transcript:DRNTG_21677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTVRFEEGFVVNSHGLKLYTCRWVAENHNSKALVFICHGYAMECSISMEGTGMQLAKAGYDVHGIDYEGHGKSSGLQGYISSFDDLVTDCSDYFISVCEKKENKMKKRYLLGESMGGAVAIKIHRKNQAYWDGAILVAPMCKIAEEMRPHPIVINVLQKLCKIIPTWRIIPTQDIIDSAIKNPEWRDVVRNNPYCYKGKPRLRTGHELLMVSLDIEENLDKVSLPFMIVHGGADTVTDSAVSQALYDKATSKDKTFKLYPGMWHALTSGEPQENIDLVFFDIVAWLNERSMSTVNLESEMKIIHDVKKI >DRNTG_06105.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20069923:20072001:-1 gene:DRNTG_06105 transcript:DRNTG_06105.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Resistance to phytophthora 1 [Source:Projected from Arabidopsis thaliana (AT2G48070) UniProtKB/TrEMBL;Acc:F4IN59] MHPFFSPPASNPTISRFPTIFPPLRAAIRPPVHRRTTRFRSEASSDGADAPTIQESEGEEQDLKPSSFASVSPSIDKDIKKAVQKTAATFAPRASTASKNPAVPGTALYTLFEVQGYVSMLLGGALSFNLVFPSNEPDIWRLMGMWSIWMFTIPSLRARDCSKNEKEALNYLFLVIPLINILIPFVWKSFAVVWSADTIVFFLMYTWKMGWLQRAE >DRNTG_06105.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20069923:20072001:-1 gene:DRNTG_06105 transcript:DRNTG_06105.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Resistance to phytophthora 1 [Source:Projected from Arabidopsis thaliana (AT2G48070) UniProtKB/TrEMBL;Acc:F4IN59] MHPFFSPPASNPTISRFPTIFPPLRAAIRPPVHRRTTRFRSEASSDGADAPTIQESEGEEQDLKPSSFASVSPSIDKDIKKAVQKTAATFAPRASTASKNPAVPGTALYTLFEVQGYVSMLLGGALSFNLVFPSNEPDIWRLMGMWSIWMFTIPSLRARDCSKNEKEALNYLFLVIPLINILIPFVWKSFAVVWSADTIVFFLMYTWKMGWLQRAE >DRNTG_24086.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26367614:26369930:1 gene:DRNTG_24086 transcript:DRNTG_24086.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSRIFRRTSHRPFPSILLLLHSHHLPPLPQPPPPPTTHLSNSPLITAFFPSLPISFSFSSSSHNPTTNLRGDDDLQSDTEGDDNGDYIDSQRIAHQLDDEMLRDVTTVITSLADFSTDAAGAKRRLEDCGVVPVPELVAEVLSRLRNDWGPAFTFFLWAGNGNHHGYSHSVREYHSMIAILAKMRRFDTAWTLVGEMKRSSLVTPQTLLILIRRYAAIHDVAKAINTFYALKRFGFSLRVEDFHGLLSALCRYKNVSDAEHLLLCNEKAFPFETKSFNIILNGWCSVLVYLREAKRFWKDMDRRGIAKDVVSYGSMISCYSKAGNLKDVLKLFDRMKEDGVLPDRKVYNAVIFALAKHNCMDEAKKLLKIMEEKGVSPNAVTFNSLIRPLCKARLIDDARLLFDEMWQRGISPSIRTFHSFFDVLRTQEEVFELLDRMKQTGCCPVIDTYIMLIRKLCRWRQHESVFKLWDDMSENGLLPDRSAYIVLIHGLFLNGKLEEASKYYDEMKAKGFVPEPKTEEMIQTWLSAKDTSMRSGLAELNAKRVANGSFSKKDRVTSNISQPHFLKQPEMRSVTRERGFSFW >DRNTG_33367.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26380410:26390216:-1 gene:DRNTG_33367 transcript:DRNTG_33367.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g12100 [Source:Projected from Arabidopsis thaliana (AT3G12100) UniProtKB/TrEMBL;Acc:Q0WSX7] MENQIASPMDSRAADALPRFPPPKSSSFSNPITDGDSQHPWGLNYSLSSSDRRLAFSRQPSFRQSDPRTPNALQRSDSLRPYLSRSDSSISILPPESDGLGFGSGKVVIGEDVDAGKKKLGVLGLLLAVVRAVRSGNRPMKRLTLLISLNVAYSSAELMIGLLTGRVGLVSDAFHLTFGCGLLTFSLFAMAAARKKPDGIYTYGYKRLEVLAAFTNAIFLLFMSFSLAVEALHAFIQDESEHKHYLIVSAVTNLLVNLIGVWFFRSYARVNIVYRNAEDMNNHSICLHVLADSIRRLDSGIMVFNFRC >DRNTG_33367.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26380410:26390216:-1 gene:DRNTG_33367 transcript:DRNTG_33367.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g12100 [Source:Projected from Arabidopsis thaliana (AT3G12100) UniProtKB/TrEMBL;Acc:Q0WSX7] MENQIASPMDSRAADALPRFPPPKSSSFSNPITDGDSQHPWGLNYSLSSSDRRLAFSRQPSFRQSDPRTPNALQRSDSLRPYLSRSDSSISILPPESDGLGFGSGKVVIGEDVDAGKKKLGVLGLLLAVVRAVRSGNRPMKRLTLLISLNVAYSSAELMIGLLTGRVGLVSDAFHLTFGCGLLTFSLFAMAAARKKPDGIYTYGYKRLEVLAAFTNATDFSFIYVILLGGGSTPCIHTR >DRNTG_33367.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26380410:26390216:-1 gene:DRNTG_33367 transcript:DRNTG_33367.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g12100 [Source:Projected from Arabidopsis thaliana (AT3G12100) UniProtKB/TrEMBL;Acc:Q0WSX7] MENQIASPMDSRAADALPRFPPPKSSSFSNPITDGDSQHPWGLNYSLSSSDRRLAFSRQPSFRQSDPRTPNALQRSDSLRPYLSRSDSSISILPPESDGLGFGSGKVVIGEDVDAGKKKLGVLGLLLAVVRAVRSGNRPMKRLTLLISLNVAYSSAELMIGLLTGRVGLVSDAFHLTFGCGLLTFSLFAMAAARKKPDGIYTYGYKRLEVLAAFTNAIFLLFMSFSLAVEALHAFIQDESEHKHYLIVSAVTNLLVNLIGVWFFRSYARVNIVYRNAEDMNNHSICLHVLADSIRSAGLILASWFLTLGVENAEVLCLGLVSVTVFMVVLPLFQATGSILLQMAPGNIHPSAFSKCLRQITALEDVSEVSQARFWELVPGHAIGSLSIQIKTGADDYRILQYVHGLYHDLGIQNLTVQIDFV >DRNTG_07758.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19428297:19430234:1 gene:DRNTG_07758 transcript:DRNTG_07758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGMEAMKPLLSTFMLIHNLIVILMTNNKLHAINAHDLLVISGGGFSCESWLLGVETNNVRGWKTVPRGCESFVGQYMIGKRYGEDLAMVVGEAEKYARGLKLGGDGKEVWVFDVDDTALSNLPFYAHRGFGARAYNKSEFDVWVNKSRRPAVQQSLRLYKTLLSLGIKVMFLGTDFEHDRDIISANLRKVGYITWEKLLLRIPKVKLSTISFKSSERKKLQQEGYKIVGNIGDQWSDILGTPEGDRTFKLPNPMYYVN >DRNTG_20338.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12860120:12860426:1 gene:DRNTG_20338 transcript:DRNTG_20338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSCSGSGAAAAAGEDAHGGSTGAGRGALPSRSESPSQRSQASQYGQKLEDPVLREVWESTGNPSQ >DRNTG_19037.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22506409:22510702:1 gene:DRNTG_19037 transcript:DRNTG_19037.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVQCDEAAPLIVGVEDGSSFKLNSPKNHARDVHILSIAFLFIFSAYHACQNLESTINKEGGLGSTSMGILYVSFTLFSLVASPIVRRLGLKNSLLLGSTGYFLFIASNLIPSWYTMVPASVYFGFTASILWVGQGTYLTSTARSHARDHHLHEGTIIGNFNGEFWSFFASTQVTGNLLTLALLRNDTEDKVAGTGLLFTVFLICMVLGIVLLFFISTRKYKDEATARHPSLRSILESVFAPMLEIRMLLIIPLFAYSGLHQAFVWAEYTKHVVTPALGVSGVGGAMALYGAADAICSLVSGRLTSDISSVTVIVLCGAFPQILVLLWLLFGYSVTAGALDSVYALLIAVIWGVGNGVIITQLNALLGILSKHEKGLDLESAFGQMKVWQSAAIAVVFFLSPYISFQAMLIVMLVALCIALAGFLYLTLCVVEKPIPPRPL >DRNTG_06047.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32579952:32580583:-1 gene:DRNTG_06047 transcript:DRNTG_06047.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFLEETRERGLIVSWCPQEVVLEHAAVGGFLTHNGWNSTLESLSGGVPMISWPFFAEQPTNCRFACREWGVGMEIGSGVERGEVEKVVREMMGGEKGSEMRKKAMEWKECALKACQIGGQSLVDLEKVVKEVMLPN >DRNTG_20644.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20436735:20440714:-1 gene:DRNTG_20644 transcript:DRNTG_20644.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APX2 [Source:Projected from Arabidopsis thaliana (AT3G09640) UniProtKB/TrEMBL;Acc:A0A178VH43] MVKAYPAVSEEYQKAVEKAKKKLRGFIAEKNCAPIMLRLAWHSAGTYDVKTKTGGPFGTIRYSAELAHGANNGLDIAVRLLEPIKEQFPILSYADFYQLAGVVAVEVTGGPEILFHPGREDKPEPPEEGRLPDAKKGSDHLRDVFGHMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTANPLIFDNSYFTVLLSGEKEGLLQLPSDKALLTDPVFRPLVDKYAADEDAFFTDYAEAHLKLSELGFAEA >DRNTG_18019.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24521676:24525929:1 gene:DRNTG_18019 transcript:DRNTG_18019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIDLANVTKQYMSKLVKELQGTDFWKLRRAYTFGVQEYVEAATLCNFCKTGTLLNLSEINASLLPLSDPSIEPLQINVLDYILGIADLTGELMRLAIGRISDGEVEYAKKICSFVRDIYRELTLLVPIMEDNADMKKKMETMLQSVVKIENACYSVHVRGSEYIPLLGTNDPDFSLFGAADFEP >DRNTG_04646.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19175517:19184367:1 gene:DRNTG_04646 transcript:DRNTG_04646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGIMFRQVVKLTRASAEAPWPSHDKVSIPMMTRPSTFFLRNDNVRAVEPLKNLGQYPSKTLAEALLARFLPKSVHCDPHWLLSFIIGFTILLIEGDPELERTLRRKGKEPMQEHSNPADLEVEE >DRNTG_27847.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5881838:5883436:-1 gene:DRNTG_27847 transcript:DRNTG_27847.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALRVASSHLGPLASKNESLLKTLKETLLALLKPNEDVMTKGLSLLATSLQVAMSRRFGIEEPQLMKIMRATLHTHNEWFKLQMWKDRFEEFLKINILKELDAPLPIDSTSKTQADNETHGSSSQVTSSSSKITDYGSSPTQVASNESVFDENAILKVMEFVALPRSDAIHLLMQYNGNAEAVIQQMFA >DRNTG_27847.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5881838:5886391:-1 gene:DRNTG_27847 transcript:DRNTG_27847.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLRYLMSIHKVFCTHQDIPSPISDITERFLFEDRDPPISSHESLYEVPPFEEVDIQALVHAAGLTRQDAVDSLRFAKGDLFQAFQNELCRMKLNALMLDELVREYCVYRGIVESTTPSSSDCTSQRKQCITNGSSNGEVSDSTVNKEGVQESNADVVSSQNNDVEMRSADETAGAHGDCSTSDMTHQVKYHRRLRRSRNHATGQRRRKRWRGRIERSEVTPDVDSTSSILQEFTSLVHSNRDMPGEEQVSESNSTFDNVNDIEDHKYEIILEMMELANKGMVAEVVEEVNALDPEFFVQNPILLFQLKQVEFQKLVNAGNHAMALRVASSHLGPLASKNESLLKTLKETLLALLKPNEDVMTKGLSLLATSLQVAMSRRFGIEEPQLMKIMRATLHTHNEWFKLQMWKDRFEEFLKINILKELDAPLPIDSTSKTQADNETHGSSSQVTSSSSKITDYGSSPTQVASNESVFDENAILKVMEFVALPRSDAIHLLMQYNGNAEAVIQQMFA >DRNTG_27847.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5881838:5890794:-1 gene:DRNTG_27847 transcript:DRNTG_27847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMPVNWDALDSLVLDFAQSERLLDDSPSSDSHQSRLLIRQIRRCVEDGDIDCAIDLLRLHVPAILDDHRILFRLQKQRFIELLRRGTVKDRDSAMKCLRVALAPCALDAYPEAYEEFKHVLLALIYDKDDKTSPVTNEWSERRRFDLAGIIVFYT >DRNTG_17437.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6270573:6276849:1 gene:DRNTG_17437 transcript:DRNTG_17437.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+-permeable mechanosensitive channel, Regulation of plasma membrane Ca2+ influx, ROS generation induced by hypo-osmotic stres [Source: Projected from Oryza sativa (Os03g0157300)] MASWDQLGELANVAQLTGFDAVRLIGMIVQAANTARMHKRNCRQFAQHLKLIGNLLEQLKISELKRYPETREPLEQLEDALRRSYVLVDSCQNRSYLYLLAMGWNIVYQFRKAQNEIDRYLKIVPLITLVDNSRVREKLEYIERDEREYTFDDEDKKIQDMILNPDPSRNQSMELKKSLSTTYPNLPFNEALQKENAKLQLELQRSQANMDVGQCEVIQHLIGVTETVASSLPDKDTQNSKKSEPNHSDAGNDKVDSYAGNHKRQHHETYVPSRDSSPVSSGRDLISSKRSYSHGEWHTDLLDCCAEPYLCMKTFFYPCGTFARIATVAKKRYISSAETCNDLMAYSLILSCCCYTCNVRMKLRQMLDIKGGFCDDFLSHLMCCCCALVQEWREVEIRGINGPEKTKTSPPPSQYMES >DRNTG_17437.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6270573:6277027:1 gene:DRNTG_17437 transcript:DRNTG_17437.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+-permeable mechanosensitive channel, Regulation of plasma membrane Ca2+ influx, ROS generation induced by hypo-osmotic stres [Source: Projected from Oryza sativa (Os03g0157300)] MASWDQLGELANVAQLTGFDAVRLIGMIVQAANTARMHKRNCRQFAQHLKLIGNLLEQLKISELKRYPETREPLEQLEDALRRSYVLVDSCQNRSYLYLLAMGWNIVYQFRKAQNEIDRYLKIVPLITLVDNSRVREKLEYIERDEREYTFDDEDKKIQDMILNPDPSRNQSMELKKSLSTTYPNLPFNEALQKENAKLQLELQRSQANMDVGQCEVIQHLIGVTETVASSLPDKDTQNSKKSEPNHSDAGNDKVDSYAGNHKRQHHETYVPSRDSSPVSSGRDLISSKRSYSHGEWHTDLLDCCAEPYLCMKTFFYPCGTFARIATVAKKRYISSAETCNDLMAYSLILSCCCYTCNVRMKLRQMLDIKGGFCDDFLSHLMCCCCALVQEWREVEIRGINGTTHAWLVCLVSLGSF >DRNTG_17437.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6270573:6277027:1 gene:DRNTG_17437 transcript:DRNTG_17437.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+-permeable mechanosensitive channel, Regulation of plasma membrane Ca2+ influx, ROS generation induced by hypo-osmotic stres [Source: Projected from Oryza sativa (Os03g0157300)] MASWDQLGELANVAQLTGFDAVRLIGMIVQAANTARMHKRNCRQFAQHLKLIGNLLEQLKISELKRYPETREPLEQLEDALRRSYVLVDSCQNRSYLYLLAMGWNIVYQFRKAQNEIDRYLKIVPLITLVDNSRVREKLEYIERDEREYTFDDEDKKIQDMILNPDPSRNQSMELKKSLSTTYPNLPFNEALQKENAKLQLELQRSQANMDVGQCEVIQHLIGVTETVASSLPDKDTQNSKKSEPNHSDAGNDKVDSYAGNHKRQHHETYVPSRDSSPVSSGRDLISSKRSYSHGEWHTDLLDCCAEPYLCMKTFFYPCGTFARIATVAKKRYISSAETCNDLMAYSLILSCCCYTCNVRMKLRQMLDIKGGFCDDFLSHLMCCCCALVQEWREVEIRGINGPEKTKTSPPPSQYMES >DRNTG_17437.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6270573:6276849:1 gene:DRNTG_17437 transcript:DRNTG_17437.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+-permeable mechanosensitive channel, Regulation of plasma membrane Ca2+ influx, ROS generation induced by hypo-osmotic stres [Source: Projected from Oryza sativa (Os03g0157300)] MASWDQLGELANVAQLTGFDAVRLIGMIVQAANTARMHKRNCRQFAQHLKLIGNLLEQLKISELKRYPETREPLEQLEDALRRSYVLVDSCQNRSYLYLLAMGWNIVYQFRKAQNEIDRYLKIVPLITLVDNSRVREKLEYIERDEREYTFDDEDKKIQDMILNPDPSRNQSMELKKSLSTTYPNLPFNEALQKENAKLQLELQRSQANMDVGQCEVIQHLIGVTETVASSLPDKDTQNSKKSEPNHSDAGNDKVDSYAGNHKRQHHETYVPSRDSSPVSSGRDLISSKRSYSHGEWHTDLLDCCAEPYLCMKTFFYPCGTFARIATVAKKRYISSAETCNDLMAYSLILSCCCYTCNVRMKLRQMLDIKGGFCDDFLSHLMCCCCALVQEWREVEIRGINGPEKTKTSPPPSQYMES >DRNTG_04044.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11577393:11590053:1 gene:DRNTG_04044 transcript:DRNTG_04044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETFSKSSCCTQGLKALGFLPPKAGNGSDNSLFFNNNANYTQAVKDCVWSLKGQNFISITMTPKFSKAVSAWRSSLFMSIFGGEVDSMQKRTPTSDCIGWSIEEVMTLHNVPFSEHFRDWTTASKKNSHSTLWHFISLRSKNKTNGYYKGQIMHIRNNKGIESVLRGGNKPITRHLRSHCHIDADDEIDVESTLQLDSHEPDSGFSAQTSKNPFANRNKPCPSADASASRLEYVFGLLEYDLLMTNNEDDSIDHEIDLLPNHINTNDSGAIFNNRHKNHSSLNDCNMNDVSTFIFWKYACARMLETDGAKNLRTSTGFYLKRSSSSLYCCPAAIFQIPAPASPPPSLHCRRTQSCILHFHQSPLPSLGHLLHRASSPVNHPIQIPIVVDPLVSSRAHAAQSRRISILHSPKILLFYSLYFDLYNVVGSKIRV >DRNTG_05247.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12960215:12962484:-1 gene:DRNTG_05247 transcript:DRNTG_05247.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHSVTVPLAMMNSVNIRPFSHNPSMISCASSFIACGK >DRNTG_05247.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12959164:12962484:-1 gene:DRNTG_05247 transcript:DRNTG_05247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHSVTVPLAMMNSVNIRPFSHNPSMISCASSFIACGK >DRNTG_23959.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16234093:16235506:1 gene:DRNTG_23959 transcript:DRNTG_23959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSSLDISYARIKDLIPNWFWNVIYIIRFLDLSNNEIKGRLPQHLKFQAKEDGLGIFLSSNRFEGPVAYFPPNVYALDLSNNSFSGTIPSDLGNFGGKRPWLTFLSFSSNNLVGSIPDSLCHLVDLVSLELSNNHLDGVIPNCWNNLTALQYLILANNSLVSEIPNLFRSSSQSLKVLHLSNNQLHGEFPSFSKECTSITTLALDHNNLFGEFPSWEGETMTSLMILTLKENNFSGEFPSNIGLMQSLESLDLSSNELIGSIPPSLCTLNFLGSLNLSYNNLFGKIPYAGHLTTFNDPSIYTNNPNLCGAPLGKHCTSFEPPSNRHDQEDDDDNDDSPSIWFYIGLMPGFVVGFWTV >DRNTG_34900.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002200.1:489:1161:-1 gene:DRNTG_34900 transcript:DRNTG_34900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVESGAFCGNNYRRILFPSLVHLRRRSRLSFGQALPRFLKWFYGLRHHNPMGRVIWGAFVGNDSARCALGLTREPLKKTRQLHKTIDTDYKGVLSMDRMLLLSISLLIVYSSMES >DRNTG_01208.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:408173:410754:1 gene:DRNTG_01208 transcript:DRNTG_01208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTRMQELACHSTVGLEAVISRNVIAARHKRSKSDSEKGAKRDKLEWSPKSFHHVKLDVAIAEGKSEETQMPRSKVQSSLKQEIQQLEKHLKDQFMVRRALEKALGYRSSAIDSSNDSSMPKLTKELIREIAVLEFEVMYLEQYLLSLYRRAFDQQICTLSPSTMDERSKQLLCSLSGHPHEVAKPDISSMRGDSAAQHSQMVLPRKSFTNSSYGTCFAGYQHKLNGHGVDRSHSSILHHSCFSARMSPAAENLCGCPRECHSEP >DRNTG_01208.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:409609:410754:1 gene:DRNTG_01208 transcript:DRNTG_01208.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQQLEKHLKDQFMVRRALEKALGYRSSAIDSSNDSSMPKLTKELIREIAVLEFEVMYLEQYLLSLYRRAFDQQICTLSPSTMDERSKQLLCSLSGHPHEVAKPDISSMRGDSAAQHSQMVLPRKSFTNSSYGTCFAGYQHKLNGHGVDRSHSSILHHSCFSARMSPAAENLCGCPRECHSEP >DRNTG_26212.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:352081:354693:-1 gene:DRNTG_26212 transcript:DRNTG_26212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDWGLSAEQLDFLEEDAIRKISEKKASIINPVKCSKSDGVPSTGVSSSDTLKGAESKNTSDLAKLSVRLYLHHTGVIAAKFSYNTLLVDAIRKVPRASWLGKERLWVFPISSLTAAKEVFSRIPHAVVEVHGLDPLVHRAVIAASAVPDLQGLYDTMPSYLESKLLPFQKDGVRFMLQHGGRALLADEMGLGKTLQA >DRNTG_26212.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:352081:354693:-1 gene:DRNTG_26212 transcript:DRNTG_26212.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSADQVHGLDPLVHRAVIAASAVPDLQGLYDTMPSYLESKLLPFQKDGVRFMLQHGGRALLADEMGLGKTLQA >DRNTG_27536.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:788948:793215:1 gene:DRNTG_27536 transcript:DRNTG_27536.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTNYGAAEAVYRKAQMIDTDANKACNLALCLMKQARFDEARSLLQDILNGRLPHSDDSRTLKRTEELMDEIESQTLFSIVNMIPSTTDDEIARIEHLLGEWSPFDSIKRLPVFEEISPFRDQIAC >DRNTG_27536.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:790913:793215:1 gene:DRNTG_27536 transcript:DRNTG_27536.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTNYGAAEAVYRKAQMIDTDANKACNLALCLMKQARFDEARSLLQDILNGRLPHSDDSRTLKRTEELMDEIESQTLFSIVNMIPSTTDDEIARIEHLLGEWSPFDSIKRLPVFEEISPFRDQIAC >DRNTG_31564.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001723.1:13390:14190:-1 gene:DRNTG_31564 transcript:DRNTG_31564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIMNFDKYGNTTSSYAHHLPVAYVSYKDTIQLKDYIMSNSTPTAKITFGGTIFDIHLSPALASFSSRGPAKYNGNIVKPDVTAPRVNILFAWPMEVGLFPSGLKTKTFNFASGTSMAAPYVSGIVALIMSMLKYENKRQWSIPEIQSALITTTNTFDLDGRPIFDKATFNDSANVLHRGAGQVNATNAMDLGLVYNIELDDYVAYLCGIFSNNNTEVRRFTKNNTQYCTRSISGEQLNYPSIGIPMTSSSTSTTISRTVTNVGGC >DRNTG_23649.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5125504:5127283:1 gene:DRNTG_23649 transcript:DRNTG_23649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVEEALHMVGGAGDTSYASNSRLQEKAIRKTKDIVEKALKNINGEVVSKSLVVADLGCSSGPNAFLVISQIISAVMEAGYQKAKEIVFLLNDLPGNDFNTIFRSLSLYEKKVKEENGDQVLPYFVAGVPGSFYGRLFPCNSLHFAHSSYSLMWLSQVPVGIDHQNGGVNINKGNIYISRTSPPIVSRLYLEQFKRDFSSFLKLRSQELVNGGQMVLSFLGRKSSDPSKAEVCHLWGLVADALNSMVQEGVLEEEKVNTFNMPFYAASKEEVQQVIQSEGSFYIEQMQILESNWDPFDDSDDDQAFDNVKSGHNVAKCIRAVLEPLLVGHFGKQAIVDQVFARYAHNVAMHLLKEKTKHIVFILALKTKDLNL >DRNTG_00126.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2257366:2263082:-1 gene:DRNTG_00126 transcript:DRNTG_00126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALSLNRCILYKPFVPRSSSQQAKRRRWWGTPSLNQSVPRRATTTAPAPAGSRADDSSAPYEMSLENALKLLGVQEGASFDDILRAKNAIAAACKDDPEAVAQSLSQRRAGKVVNKNIRYADVKSARNTGFSSLPPWLQNTVKNAPVSVEAPSPSSLGVQAGVYGALMVVTFVSGASTSSTGIYTGPDVPGIILATGFGVSLYFLTKKNISLGKAALITVGGLVIGAVVGSAVENWLQVDIVPFFGIHSPAVIVSEFILFSQLLVSLYLR >DRNTG_16534.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12097328:12100658:-1 gene:DRNTG_16534 transcript:DRNTG_16534.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSTAQPLPFSSSTSPLASSSRERGLGSGSRDDRSPRGGRGGIDRIDALGRLLTRILRHQASELKLDMRSDGYVRVCDLLMLNVTTFAKVPLRSHSVDEVKEAVKRDNKQRFGLLEENGELLIRANQGHTIATISSESLLQPILSAEEVPVCVHGTYKKNLESILQSGLKRMARLHVHFSCGLPTDGQIISGMRRDVNVLVFLDVRMALEEGMKLYISDNKVILTEGFDGVVPVKFFEKIETWPGRQPIPFES >DRNTG_16534.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12097328:12100658:-1 gene:DRNTG_16534 transcript:DRNTG_16534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSTAQPLPFSSSTSPLASSSRERGLGSGSRDDRSPRGGRGGIDRIDALGRLLTRILRHQASELKLDMRSDGYVRVCDLLMLNVTTFAKVPLRSHSVDEVKEAVKRDNKQRFGLLEENGELLIRANQGHTIATISSESLLQPILSAEEVPGKTVGLSFLMIQVHVMTS >DRNTG_16534.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12097328:12100658:-1 gene:DRNTG_16534 transcript:DRNTG_16534.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDGYVRVCDLLMLNVTTFAKVPLRSHSVDEVKEAVKRDNKQRFGLLEENGELLIRANQGHTIAVLFSFSQINKK >DRNTG_16534.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12097328:12100658:-1 gene:DRNTG_16534 transcript:DRNTG_16534.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSTAQPLPFSSSTSPLASSSRERGLGSGSRDDRSPRGGRGGIDRIDALGRLLTRILRHQASELKLDMRSDGYVRVCDLLMLNVTTFAKVPLRSHSVDEVKEAVKRDNKQRFGLLEENGELLIRANQGHTIATISSESLLQPILSAEEVPGKTVGLSFLMIQVHVMTS >DRNTG_27097.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001381.1:44112:47504:1 gene:DRNTG_27097 transcript:DRNTG_27097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRAPPTPPTSLAVPSLPPAAPVSSPVVAAGTRLMRGGFPRALQTPKEEIDEDLAKTELLPLISLLQKRVILPPKDRVKIGSKNSPKNSSPCQPNA >DRNTG_08164.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11781853:11784374:-1 gene:DRNTG_08164 transcript:DRNTG_08164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTTFNALTLRPIPAVSPAGSRRLSPASLSSSSSSFSSKILTLKSGSKPAPSFRVRAVVDDDEWGGEEPASSVAVAEVNTEVVQLKQKLKDLLYGTDRGLKASSETRAEIVELITQLEAKNPTPAPTDALSLLNGKWILAYTSFSQLFPLLGSGRLPELVKVEEISQTIDSEAFTVQNSVKFAGPLATTSVTTNAKFEVRSPKRVQIKFEEGIIGTPQLTDSIVLPDKVEFLGQNIDLSPLMGVFTSIQEAASSVVKTISGQPPLKIPIGNRNAESWLLTTYLDEELRISRGDGGSVFVLAKEGCSLLD >DRNTG_03117.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13784041:13785440:1 gene:DRNTG_03117 transcript:DRNTG_03117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYETWASIVMKRSFETLKRAGILGVVAISTGTYGFDPSVVKSLAEAWCPRTNTFVTPFGEIGISLWDLRCVGGLLIIGEFYEEYVLPNHMLYSEEAYPSVLRDPRPPPSKAVQ >DRNTG_03117.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13785217:13785440:1 gene:DRNTG_03117 transcript:DRNTG_03117.2 gene_biotype:protein_coding transcript_biotype:protein_coding NRIKRIWDSIGRRKIPKKSITHPRPPPSKAVQ >DRNTG_28088.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20485385:20488907:1 gene:DRNTG_28088 transcript:DRNTG_28088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLHLHSIAALILVSFWINSSHLIAATTTTKQSNSFSNLTDDIQALISFKTLLYNSSAHSLSSWNTTSDSSSFHNHYCQWRGVTCGRRHLRVISLDLGSLNLTGPLSPFIANLTFLRRLHLADNQLLGHIPPELSQLRRITYLDLSFNSFEGQIPTSLSHCSLLETMDLSSNNLQGEIPSNLSHCSELKILSLDSNGLVGELADEFASLPKLQHLSLSANKLSGRLPSLLGISPSLVYVILWDNLFSGPVPLSLANSSTLEVLVLSNNHLTGDIPLSLSNCSSLTVLELSFNALTGGVPAFRQGSASSLRRLGLTQNNLTGSIPPSLGNLSSLTYLYLAENKLQGSIPIEFGNFVSLKELDLTRTYLVGDVPISIYNITSLISLNMGGNNLSGTLPAYMGNTLPYLESLILQDNFMEGTIPASLSNASELQFIDLARNSFSGTVPADFGSLGSLLELNVGWNQLQSQDVNFITALNNCSLLKRLFLNDNGFSGSLPRSLGNLSVEKLVIGNNQMYGSIPDEIGNLANLTVLIMEQNLFTGSIPATTGKLHKLAVLYLNQNNFSGPIPMTLGNLGQLNYLDLSENQLTEKIPASLGGCRSLEALNLSYNALDGNIPKEVVSLSSLTLSLDLSHNLLTGTIPFEVERLINIETLDISYNQLLGEIPSSLGVCQHLEYLFLQDNSFRGTIPDSLQSLRGIVQLDLSVNNFSGEIPDFFQTFGSMKYLNLSFNNLEGEIPKFFTTSNASEIYVYGNRKLCGGGEKLKLPPCSIIRSKKRRMSTSNLLKIILIPVAAIVSVILLVLLSYKLYLIKMSRKKLAFTTNPPDDKFRKVSYTELSKATDGFSSENLIGAGSFGSVYKGKMDGEEDLVAVKVLNLNQKGALRSFAAECEALRNIRHRNLVRIITSCSSLDSKGNEFKALVYEFMPNGTLDQWLHNQHTLKLNIMRRMDIAINVASALDYLHNQCKSPVMHCDLKPSNVLLDDHMIAHVSDFGLSRLISMESNSMTTSTDSSSFMRMKGSIGYVAPEYGMGSQVSTQGDVYSFGILLLEMLIGKRPTDEMFGAELNIHEYAEKALSENVMELIDPQMLTGEEDEDVTMISRCAAPLTKIGVSCSRELPNERMEIRNVVIKLEMIRHLFQ >DRNTG_01494.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:14091060:14091994:1 gene:DRNTG_01494 transcript:DRNTG_01494.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQTADITGKVELPENMKMVIAGDNVTAMFELISAVPLEADDYFFTRMPHVRKRLTQGGVRLGATLNCIFLNGYSLLYHLLGDIL >DRNTG_01494.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:14090702:14091994:1 gene:DRNTG_01494 transcript:DRNTG_01494.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTADITGKVELPENMKMVIAGDNVTAMFELISAVPLEADDYFFTRMPHVRKRLTQGGVRLGATLNCIFLNGYSLLYHLLGDIL >DRNTG_01494.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:14089863:14091994:1 gene:DRNTG_01494 transcript:DRNTG_01494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVDEYIPNPIRQLDKPFLMPIEDAGDNVGLLLRGLKRGDMQRGQVVCKDGSLKTYKKFEAEIYVLTKDEGCRHTAFFSNYQPQFYMQTADITGKVELPENMKMVIAGDNVTAMFELISAVPLEADDYFFTRMPHVRKRLTQGGVRLGATLNCIFLNGYSLLYHLLGDIL >DRNTG_01913.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20870851:20871589:1 gene:DRNTG_01913 transcript:DRNTG_01913.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVPPQFSISDLPSASNPASALDLSALFDPLVQAPWSPSPQQQRQQIGRNLGESSGGGDGGDLQALARELLDRHHHGSSSSDHPPLSK >DRNTG_34080.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:345908:348720:-1 gene:DRNTG_34080 transcript:DRNTG_34080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHTQLVSLQVSTQMEAKSNKSLSFLILISISILSHLSSATDTLYLGQSLSGNQTLVSKAGTFELGFFSPGNSSNYYIGIWYKNIPTRDIIWVANRETPISNPSTSELKISELDGHLVLLNQSKLPVWSSNSTLSNTTGARVAVLLDTGNLVLRNVSNSSTSLWQSFDHPTDTWMPGGWLGVNKVTGEFNSMTSWKTPEDPAPGPYTERMDPDGSNQYVFLYNNLEVYWSSGVWNGQYFGAVPGTREKTALMLSFVDGEVWKYATYTVTVPSVIARFVIDSSGQGKTWLWLNSSQQWQQIFTMPVAHCDVPSFCGAFAVCDERSSSACSCYTGFQPASSHEWDSGEWVSGCVRRTRLQCSSNNTNGGDHEKDGFLEMQIVKLPSNPESLKVSSAEDCKSACVNNCSCTAYAYDTTCSIWQGDLRSLQQLYDGDTMVGGTLYLRLAASDLPSSSSSHKWVLAVVLSIVALLVLIFFVILGTIWLLPRMRKRGGSIMSGEGSLLNFTYTDLQHITKNFSEKLGGGGFGSVFKGTMPDSTIIAVKKLEGLRQGEKQFRAEVSTMGSIQHINLLRLRGFCCEGINRLLVYDYMSGGSLDNYLSANSKVLDWSTRYRIILGIARGIAYLHEKCRECIIHCDIKPGNILLDAESCAKVADFGMAKLLGREFSRVLTTMRGTVGYLAPEWISGLPITPKVDVYSFGMMLFEIVSGQRNSGNSKSETFFPIWAAQRVTEGEIICLLDDRLKGDADMEELMRVCRTACWCIQEQELDRPSMGQVVLVLEGVIEVNTPPIPKTFQRLMQDDQQVPHFYNPLPITEHKEQSGKSEASFDSSQNDP >DRNTG_23792.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29710418:29711002:1 gene:DRNTG_23792 transcript:DRNTG_23792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSFPFVLHRNHLSSKSQPSPLPHHRIFRSRAGT >DRNTG_19824.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25059215:25059831:1 gene:DRNTG_19824 transcript:DRNTG_19824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAEEVLQALKPHNGVNKLIVSYYSGKQFPMWMREMQQFQYLHRIELFKCKACEQLPPLEILPCLEDLSISEMVGIKHIVSNRGGNTQQTFPALKFLELKNMENLEGWCVQEGREANLSLFPYLIWMSIKGCHKLTTMPP >DRNTG_00780.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:10654086:10654513:-1 gene:DRNTG_00780 transcript:DRNTG_00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding QHGSKYCSRTVHNRPRKQEFRESTRACGNYPRPCGNSEEGW >DRNTG_05261.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19814839:19822852:-1 gene:DRNTG_05261 transcript:DRNTG_05261.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSGVPDEDNEEFYESLDRILSSSCSSTSASDDDAADHHRRRHHHRRGHCVPRFPPPSALSYDVWIAEPASVEERRRRLLKQLGLAGDPALARHRAGAVPGGGEIGRSVSCDGLCRPSAGEVGGGVAQIGRSRSDGCVDPLSHLQGCAKPPVMSRSLVSREESDGEEFGDGDRRLRIRNLDDGREFVVREDGSWDRVREVGTGRQLTMEEFEMCVGRSPIVQELMRRQQAADSTSNSDGVSPVSSGGVGGAGSRSTGSARHKKRGSWLRSIKSVAGSVVAGGYHHHRDRRSSDEKDTSSEKGGRRSSSATDDSQDGPHHFPERVKVRQYGKSHKELTALCLSQEIQAHSGSIWSIKFSLDGRFLASAGEDCVIHVWQVSEYERREELFLDRGMEENGNCNPFFAAITNGSPEPVLALACVEGSHWEKKRRAKVSGSRKSVGSDHAMVPEHVFALAEKPFCSFKGHRADVLDLSWSKSQYLLSSSMDKTVRLWHMSSISCLKTFSHSDYVTCIQFNPVDDRYFISGSLDEKVRIWSIPDRQIVDWNDLHEMVTAACYTPDGQGALVGSHKGSCHLYDTSENKLQQKSRIDLQNKKKRSSHKKITGFQFEPGSSSEVLITSADSRIRVVDGVELVHKYKGFRNTSSQISASVTSNGKHVICASEDSHVYVWRHDADSRPSKGKGVISVTQSYEHFHCQDVTVAVPWPGITMGGKLRTLSNRQADLDGVPSASLDIHSESNGHEGMSSYKYAECSQYNGAPNSNSSHFGDRVSATWPEEKLLITSGRNSPQTNGNFCNEAVPVQSRSAWGLVIVTAGRGGEIKAFQNFGFPVRI >DRNTG_29542.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29529223:29531673:-1 gene:DRNTG_29542 transcript:DRNTG_29542.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRIIDGFPNGTRTLDKVFAAASVYYNYSGTQQCFDFASDSLGFSGWDWQACTEMVEPLSCSNESMFPPYAFDYKQREADCKDQFGVQPRPHWITTEFGGYKIEKVLKRFGSNIIFSNGMRDPWSQGGVLKNISSSIVALVTEKGAHVLDLYEAMKNDPKWLIEQREKEIEIIKNWINQYYI >DRNTG_10516.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31019352:31022575:-1 gene:DRNTG_10516 transcript:DRNTG_10516.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAF2 [Source:Projected from Arabidopsis thaliana (AT1G23400) UniProtKB/TrEMBL;Acc:A0A178WC04] MLWKPAAPVYPKLIQDAPGGLTKQEADEFRRKGEKLLPICKLAKNGIYIDLVKDVRDAFEECRLVKINCEGMHASDYKKIGAKLMELVPCVLLSFDKEQILMWRGNDWKSKFKKSAPSVSRLNKDDCGDSSDTSSPGHVVSKCNDNIDKKEVSSSRMLELWKRAIETQKALLLDATELSPDSLLERVEEFEAISQATEHSYPALIVSGLEGTGTDLLNSESKLPIYDDDDDKEEEAGMSSDTEADDEMYEFYDNDASEAI >DRNTG_10516.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31019352:31022575:-1 gene:DRNTG_10516 transcript:DRNTG_10516.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAF2 [Source:Projected from Arabidopsis thaliana (AT1G23400) UniProtKB/TrEMBL;Acc:A0A178WC04] MPPPLSIPKKPPPRLPRVPPKQSPSLKAPHSRTKYHKPIPPGGAVEESPDGRAVILGPDGVSYRLPGAPFEFQYSYSETPKAKPLAIREATFLPFAPPDRPRPWTGKAPLLSKKMKALKRGKIRLFEPLNPPAISGEEGEQVKVLEMRGRSGAELGSYPEDTRSRQEILGAPLKRWEAKALVKPHLSHNRQVNLGRDGLTHNMLELVHTHWKRQEICKIRCRGVPTVDMNNVCRHLEEKTGGKIIYRQGGVVYLFRGRNYNPRVRPRYPIMLWKPAAPVYPKLIQDAPGGLTKQEADEFRRKGEKLLPICKLAKNGIYIDLVKDVRDAFEECRLVKINCEGMHASDYKKIGAKLMELVPCVLLSFDKEQILMWRGNDWKSKFKKSAPSVSRLNKDDCGDSSDTSSPGHVVSKCNDNIDKKEVSSSRMLELWKRAIETQKALLLDATELSPDSLLERVEEFEAISQATEHSYPALIVSGLEGTGTDLLNSESKLPIYDDDDDKEEEAGMSSDTEADDEMYEFYDNDASEAI >DRNTG_10516.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31019352:31022575:-1 gene:DRNTG_10516 transcript:DRNTG_10516.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAF2 [Source:Projected from Arabidopsis thaliana (AT1G23400) UniProtKB/TrEMBL;Acc:A0A178WC04] MPPPLSIPKKPPPRLPRVPPKQSPSLKAPHSRTKYHKPIPPGGAVEESPDGRAVILGPDGVSYRLPGAPFEFQYSYSETPKAKPLAIREATFLPFAPPDRPRPWTGKAPLLSKKMKALKRGKIRLFEPLNPPAISGEEGEQVKVLEMRGRSGAELGSYPEDTRSRQEILGAPLKRWEAKALVKPHLSHNRQVNLGRDGLTHNMLELVHTHWKRQEICKIRCRGVPTVDMNNVCRHLEVRFFVRHVMSNSSMLICYLSSFFIAIVL >DRNTG_02142.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28911821:28913923:1 gene:DRNTG_02142 transcript:DRNTG_02142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRGGISGKEPEFDLPVDSEHKATKFKLFSFKNPHMRTFHLSWISFFTCFVSTFASAPLVPIIRDNLNLTKSDVGNAGVASVSGSIFSRLAMGAVCDLLGPRYGCAFLIMLSAPTVFCMSLVSSPAGYIVMRFMIGFSLATFVSCQYWMSTMFNSKIIGLVNGTAAGWGNMGGGATQLLMPLVYDVIHKAGATPFTAWRIAFFIPGCMHIIMGIFVLTLGQDLPDGNLGSLQKTGNANKDKFSKVLWNGVTNYRTWVFVLLYGYCMGVELTTDNVIAEYYFDRFDLNLRLAGTIAACFGMANLLARPFGGYMSDLGARRFGMRARLWNIWILQTLGGAFCLWLGRANTLLISVFAMICFSICAQAACGAIFGVIPFISRRSLGIISGMTGAGGNFGSGLTQLLFFTSSKYSTATGLSYMGIMIMACTLPVTLVHFPQWGSMLLPPSSNPEKCTEEYYYESEWNEEEKLKGLHQGSMKFAENSRSERGRGVVASAQTPPNTTPMSV >DRNTG_32904.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1136877:1137713:-1 gene:DRNTG_32904 transcript:DRNTG_32904.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDHNCQHSRNGFTSSMGRLLCCTILTILILCGIIALILYLVYRPSKPHFAISGVAVYQLTTTSTNSTTTITTTPAATNSIISNLQFTVLSHNPNHRSSILYDHLSSYVTYRNQAITQPVSLPMLFQEKQGEVALSPVMGSFTPVPVSGDVVTGLMSDEGYGVVGLRLVIIGKLRYKSGPFRSVWYGFFVRCDVLIGLRKGCSGQVPILGAPECDVDV >DRNTG_32039.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001778.1:23099:23514:-1 gene:DRNTG_32039 transcript:DRNTG_32039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARLRYPDETGRETRETENPHGRVEIPQGHVTSYTPIQSPDFSPDFGILFSIFSPTCERTSARVSRGIGQGLGEVLWLWHRDSIRKTLGRGGSIEAYPIPDEGIFGR >DRNTG_17354.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32181989:32183116:-1 gene:DRNTG_17354 transcript:DRNTG_17354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRRSLATQMPSMKRCNAEEPCACGEEPPGSCCKRRRRIAGEFPIEVEGDAVGVPCLSSSGRGDPVVRRGGMDVSCSGEVESNQQRSHMVRTSRGRALPSRFKDSVILDPSEKDNPTAQAFDSEFPMDKDRAHPSNARILHKNPSPAIPSTWFKKGTSCQACENSTSGSTLASIDENSEAVTEGVSPTGIDSVQQSIIGGCSEAMRVPGHNALCEDVAKPVGFVPAEIFWANTGESRPVWPSVVIRRADQSAYVLLLGYSSDANRRQYAWVNQEMLFPFLDNVDR >DRNTG_31783.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21464560:21465938:1 gene:DRNTG_31783 transcript:DRNTG_31783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGCGIALPMMMKAMIELDVLEIMAAARPGALLSPEEIASKIQTSNPDAHEMLDRMLWFLAAHKVMTCEVLVGEEEGKSKRRYGLGPVCKFFTKDENGVSLDPLLLIHHSKFMADTCEIAV >DRNTG_30720.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12510122:12518974:1 gene:DRNTG_30720 transcript:DRNTG_30720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRM-domain containing factor CFM2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01370) UniProtKB/Swiss-Prot;Acc:Q8L7C2] MLLSLSHPYRNFSSSSSTSSFLPFHHPPPSLLSPLFRTAKPICASISDRASQRVDKTLAKSAIHRISEKLRSLGFIEDSSPSEKPPTGPGSAGEIFIPTPKDLPNHRIGHTIDSSWSTPAHPIPEPGSAICRQHDYWKEKMRTRPWSPENAPSVAELTLPPAELKRLRAEGIRLEKRLKVGKAGITEGIVNGIHERWRRFELVKIRCEDLCRMNMKRTHEILERKTGGLVVWRSGSVIILYRGANYKYPYFSDDNSWKGNPNISSTDSSTSEEIHSEQEEALLANKFVKSPSISAQSASVVIGVGSPMKLRLQLPGEIQMEEEADQLLDGLGPRFTDWWGSNPLPVDADLLPAIVPGFRKPFRLLPFGVQPKLTDREMTILRRLSHPLPCHFALGRNRNHQGLAISMIKLWEKCEIAKIAVKRGVQNTSSEIMAEELKKLTGGTLLSRDGQFIVFYRGKDFLPPAVSSAIEERRSEIIKLKQNTNENMKTPLSYEPGMRRSASVYELQEATETEQPAAKRETLNPVTSALERVNAKLSQTLLKKEKAEQLLAELEKSVKPPLVEPDREAISEEERYMLRKVGLRMGPYLLLGRRGVFDGTIENMHLHWKYRELVKILSNGRSMEEIETTARILEAESGGILVGIERVRKGYAIIVYRGKNYQRPAMLRPQTLLSKREALKCYKEAQRRESLKLHVLSLSRNIDQLQQKLVYGDPMIDSEQLTEFGRTNSMTKTNDLSESYEKSPGVSGRFASDQENTEKVEGNKENIEEVCEEYVEITHSNVQDQVLSVAGESDKPSNKSKVSKFDKLTEDGVLQSVSKSSRIMAVSNDEMRSTSENVAFHTEIVDTYVEDSSTSTTNTQGATHLLDKEPTNASLGSSLTIADASIYEDGTNIDSNVQIPFKAAPLSNRERLMLRKQALKMRKRPVLAVGRNNIITGVAKTIMTHFKKHPLAIVNIKGRAKGTSVQEIIFELEQATGAVLVSREPNKVILYRGWGDGELWGMKEPDARKKSTAANGAVSPQLMEAIKLECGLQTD >DRNTG_30720.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12510122:12518974:1 gene:DRNTG_30720 transcript:DRNTG_30720.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRM-domain containing factor CFM2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01370) UniProtKB/Swiss-Prot;Acc:Q8L7C2] MLLSLSHPYRNFSSSSSTSSFLPFHHPPPSLLSPLFRTAKPICASISDRASQRVDKTLAKSAIHRISEKLRSLGFIEDSSPSEKPPTGPGSAGEIFIPTPKDLPNHRIGHTIDSSWSTPAHPIPEPGSAICRQHDYWKEKMRTRPWSPENAPSVAELTLPPAELKRLRAEGIRLEKRLKVGKAGITEGIVNGIHERWRRFELVKIRCEDLCRMNMKRTHEILERKTGGLVVWRSGSVIILYRGANYKYPYFSDDNSWKGNPNISSTDSSTSEEIHSEQEEALLANKFVKSPSISAQSASVVIGVGSPMKLRLQLPGEIQMEEEADQLLDGLGPRFTDWWGSNPLPVDADLLPAIVPGFRKPFRLLPFGVQPKLTDREMTILRRLSHPLPCHFALGRNRNHQGLAISMIKLWEKCEIAKIAVKRGVQNTSSEIMAEELKKLTGGTLLSRDGQFIVFYRGKDFLPPAVSSAIEERRSEIIKLKQNTNENMKTPLSYEPGMRRSASVYELQEATETEQPAAKRETLNPVTSALERVNAKLSQTLLKKEKAEQLLAELEKSVKPPLVEPDREAISEEERYMLRKVGLRMGPYLLLGRRGVFDGTIENMHLHWKYRELVKILSNGRSMEEIETTARILEAESGGILVGIERVRKGYAIIVYRGKNYQRPAMLRPQTLLSKREALKCYKEAQRRESLKLHVLSLSRNIDQLQQKLVYGDPMIDSEQLTEFGRTNSMTKTNDLSESYEKSPGVSGRFASDQENTEKVEGNKENIEVNGALGMNMED >DRNTG_30720.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12510122:12518974:1 gene:DRNTG_30720 transcript:DRNTG_30720.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRM-domain containing factor CFM2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01370) UniProtKB/Swiss-Prot;Acc:Q8L7C2] MLLSLSHPYRNFSSSSSTSSFLPFHHPPPSLLSPLFRTAKPICASISDRASQRVDKTLAKSAIHRISEKLRSLGFIEDSSPSEKPPTGPGSAGEIFIPTPKDLPNHRIGHTIDSSWSTPAHPIPEPGSAICRQHDYWKEKMRTRPWSPENAPSVAELTLPPAELKRLRAEGIRLEKRLKVGKAGITEGIVNGIHERWRRFELVKIRCEDLCRMNMKRTHEILERKTGGLVVWRSGSVIILYRGANYKYPYFSDDNSWKGNPNISSTDSSTSEEIHSEQEEALLANKFVKSPSISAQSASVVIGVGSPMKLRLQLPGEIQMEEEADQLLDGLGPRFTDWWGSNPLPVDADLLPAIVPGFRKPFRLLPFGVQPKLTDREMTILRRLSHPLPCHFALGRNRNHQGLAISMIKLWEKCEIAKIAVKRGVQNTSSEIMAEELKKLTGGTLLSRDGQFIVFYRGKDFLPPAVSSAIEERRSEIIKLKQNTNENMKTPLSYEPGMRRSASVYELQEATETEQPAAKRETLNPVTSALERVNAKLSQTLLKKEKAEQLLAELEKSVKPPLVEPDREAISEEERYMLRKVGLRMGPYLLLGRRGVFDGTIENMHLHWKYRELVKILSNGRSMEEIETTARILEAESGGILVGIERVRKGYAIIVYRGKNYQRPAMLRPQTLLSKREALKCYKEAQRRESLKLHVLSLSRNIDQLQQKLVYGDPMIDSEQLTEFGRTNSMTKTNDLSESYEKSPGVSGRFASDQENTEKVEGNKENIECVKNM >DRNTG_30720.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12510122:12518974:1 gene:DRNTG_30720 transcript:DRNTG_30720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRM-domain containing factor CFM2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01370) UniProtKB/Swiss-Prot;Acc:Q8L7C2] MLLSLSHPYRNFSSSSSTSSFLPFHHPPPSLLSPLFRTAKPICASISDRASQRVDKTLAKSAIHRISEKLRSLGFIEDSSPSEKPPTGPGSAGEIFIPTPKDLPNHRIGHTIDSSWSTPAHPIPEPGSAICRQHDYWKEKMRTRPWSPENAPSVAELTLPPAELKRLRAEGIRLEKRLKVGKAGITEGIVNGIHERWRRFELVKIRCEDLCRMNMKRTHEILERKTGGLVVWRSGSVIILYRGANYKYPYFSDDNSWKGNPNISSTDSSTSEEIHSEQEEALLANKFVKSPSISAQSASVVIGVGSPMKLRLQLPGEIQMEEEADQLLDGLGPRFTDWWGSNPLPVDADLLPAIVPGFRKPFRLLPFGVQPKLTDREMTILRRLSHPLPCHFALGRNRNHQGLAISMIKLWEKCEIAKIAVKRGVQNTSSEIMAEELKKLTGGTLLSRDGQFIVFYRGKDFLPPAVSSAIEERRSEIIKLKQNTNENMKTPLSYEPGMRRSASVYELQEATETEQPAAKRETLNPVTSALERVNAKLSQTLLKKEKAEQLLAELEKSVKPPLVEPDREAISEEERYMLRKVGLRMGPYLLLGRRGVFDGTIENMHLHWKYRELVKILSNGRSMEEIETTARILEAESGGILVGIERVRKGYAIIVYRGKNYQRPAMLRPQTLLSKREALKCYKEAQRRESLKLHVLSLSRNIDQLQQKLVYGDPMIDSEQLTEFGRTNSMTKTNDLSESYEKSPGVSGRFASDQENTEKVEGNKENIEVNGALGMNMED >DRNTG_30085.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22840377:22852045:-1 gene:DRNTG_30085 transcript:DRNTG_30085.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RUS3 [Source:Projected from Arabidopsis thaliana (AT1G13770) UniProtKB/TrEMBL;Acc:A0A178WJD5] MEARIELEEWRGSSSAKLSRTAVLTADSSSIALTRYGSRWSEVRRKILEAFVPEGYPGSVTPDYLPFQVWDSLQGLSTYIRSMLSTQALLSAIGVGEKSATVIGATFQWFLRDFTGMLGGILFTFYQGSNLDSNAKMWRLVADFMNDLGMLMDLVSPLFPSALIAIVCFGSLSRSFTGVASGATRAALTQHFSLENNAADISAKEGSQETLATMMGMAFGMLLAHVTRGHPLAVWISFLLLTVFHMYANYKAVRCLSLKTLNNERSSLLLQCFMETGQVLSPQQVSSMEHVFPAWFWSWSSSHTATLYRHITLGVKVSSLKHSDAMELAGSASLHYKKVNYLLLERDGHIQVIIHKQSTPQDILQSFIHALVILKLLDKAKAVHIESRQWMDNNYTVFLAKLRSAGWSTERLLSHSIVWRAHWVHDFSDDKIN >DRNTG_30085.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22840377:22852045:-1 gene:DRNTG_30085 transcript:DRNTG_30085.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RUS3 [Source:Projected from Arabidopsis thaliana (AT1G13770) UniProtKB/TrEMBL;Acc:A0A178WJD5] MLGGILFTFYQGSNLDSNAKMWRLVADFMNDLGMLMDLVSPLFPSALIAIVCFGSLSRSFTGVASGATRAALTQHFSLENNAADISAKEGSQETLATMMGMAFGMLLAHVTRGHPLAVWISFLLLTVFHMYANYKAVRCLSLKTLNNERSSLLLQCFMETGQVLSPQQVSSMEHVFPAWFWSWSSSHTATLYRHITLGVKVSSLKHSDAMELAGSASLHYKKVNYLLLERDGHIQVIIHKQSTPQDILQSFIHALVILKLLDKAKAVHIESRQWMDNNYTVFLAKLRSAGWSTERLLSHSIVWRAHWVHDFSDDKIN >DRNTG_29875.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2000872:2002883:1 gene:DRNTG_29875 transcript:DRNTG_29875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYIGDSHRSRRLLPTLIVVFAMLVASNINVASAYDEPPYDYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPTPSPPPPYYYQSPPPTHPTPIVKVVGLVYCYKCYNWKQPMESDIKKFLKGAIVKVTCTAGDDKYEGYGETKSFGKYSVTIKDYPYWKYGAEGCKVELHAAPKDSLCNIPTDLNKGAKLEVKSESYEEIILKAKSLAFAPEKPYDECEKPKSPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYTYSSPPPPIHY >DRNTG_27220.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863676:868188:-1 gene:DRNTG_27220 transcript:DRNTG_27220.14 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.17.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863572:868041:-1 gene:DRNTG_27220 transcript:DRNTG_27220.17 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.24.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863101:867385:-1 gene:DRNTG_27220 transcript:DRNTG_27220.24 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.30.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863676:867852:-1 gene:DRNTG_27220 transcript:DRNTG_27220.30 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.19.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863318:867665:-1 gene:DRNTG_27220 transcript:DRNTG_27220.19 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.22.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863101:867385:-1 gene:DRNTG_27220 transcript:DRNTG_27220.22 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.39.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863572:867385:-1 gene:DRNTG_27220 transcript:DRNTG_27220.39 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.23.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863101:867385:-1 gene:DRNTG_27220 transcript:DRNTG_27220.23 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.41.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863676:867385:-1 gene:DRNTG_27220 transcript:DRNTG_27220.41 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863101:868188:-1 gene:DRNTG_27220 transcript:DRNTG_27220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863101:867852:-1 gene:DRNTG_27220 transcript:DRNTG_27220.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.37.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863676:867665:-1 gene:DRNTG_27220 transcript:DRNTG_27220.37 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863318:868041:-1 gene:DRNTG_27220 transcript:DRNTG_27220.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863318:867852:-1 gene:DRNTG_27220 transcript:DRNTG_27220.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.35.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863676:867665:-1 gene:DRNTG_27220 transcript:DRNTG_27220.35 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.42.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863676:867385:-1 gene:DRNTG_27220 transcript:DRNTG_27220.42 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863101:868041:-1 gene:DRNTG_27220 transcript:DRNTG_27220.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.16.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863676:868188:-1 gene:DRNTG_27220 transcript:DRNTG_27220.16 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863676:868188:-1 gene:DRNTG_27220 transcript:DRNTG_27220.15 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863101:868041:-1 gene:DRNTG_27220 transcript:DRNTG_27220.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.26.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863572:867852:-1 gene:DRNTG_27220 transcript:DRNTG_27220.26 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.21.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863101:867385:-1 gene:DRNTG_27220 transcript:DRNTG_27220.21 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.40.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863676:867385:-1 gene:DRNTG_27220 transcript:DRNTG_27220.40 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.28.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863572:867852:-1 gene:DRNTG_27220 transcript:DRNTG_27220.28 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.34.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863318:867385:-1 gene:DRNTG_27220 transcript:DRNTG_27220.34 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.33.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863318:867385:-1 gene:DRNTG_27220 transcript:DRNTG_27220.33 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863318:868041:-1 gene:DRNTG_27220 transcript:DRNTG_27220.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863101:868041:-1 gene:DRNTG_27220 transcript:DRNTG_27220.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.18.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863572:868041:-1 gene:DRNTG_27220 transcript:DRNTG_27220.18 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863101:868041:-1 gene:DRNTG_27220 transcript:DRNTG_27220.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.43.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863676:867385:-1 gene:DRNTG_27220 transcript:DRNTG_27220.43 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.27.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863572:867852:-1 gene:DRNTG_27220 transcript:DRNTG_27220.27 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863637:868188:-1 gene:DRNTG_27220 transcript:DRNTG_27220.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863101:868188:-1 gene:DRNTG_27220 transcript:DRNTG_27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863101:868041:-1 gene:DRNTG_27220 transcript:DRNTG_27220.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.25.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863572:867852:-1 gene:DRNTG_27220 transcript:DRNTG_27220.25 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.38.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863572:867385:-1 gene:DRNTG_27220 transcript:DRNTG_27220.38 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863637:868188:-1 gene:DRNTG_27220 transcript:DRNTG_27220.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.36.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863676:867665:-1 gene:DRNTG_27220 transcript:DRNTG_27220.36 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.29.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863676:867852:-1 gene:DRNTG_27220 transcript:DRNTG_27220.29 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.31.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863572:867665:-1 gene:DRNTG_27220 transcript:DRNTG_27220.31 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.20.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863101:867385:-1 gene:DRNTG_27220 transcript:DRNTG_27220.20 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_27220.32.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:863572:867665:-1 gene:DRNTG_27220 transcript:DRNTG_27220.32 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 [Source:Projected from Arabidopsis thaliana (AT5G54380) UniProtKB/Swiss-Prot;Acc:Q9LK35] MLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDDSFIAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLEQIMDPALVGKINPVSLRKYGETAEKCLAEQGVDRPSMGDVLWNLEYALQLEETSTLADPDENSTNNIPGIQLAQLEPFDNSVTMVEGVNSGTFDDAEDAATSAVFSQLVNPRGR >DRNTG_25756.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21445699:21450050:-1 gene:DRNTG_25756 transcript:DRNTG_25756.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKIEAQDNLIVDLQRTIEMLCNHIVMPPLHGTQNASNNQPEESEGTRDGDGDGGEDPGLL >DRNTG_25756.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21445699:21450050:-1 gene:DRNTG_25756 transcript:DRNTG_25756.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPHELFLFTHTKKHDGQIFIDEKSKSLNDKVLSLRDAPQTISRSRNVNSQPIDEVALYYEAVGGEKKRRVYGLGSQASYYCGGNTNASKSSTSSFESKNQEELQNELATMKKKIEAQDNLIVDLQRTIEMLCNHIVMPPLHGTQNASNNQPEESEGTRDGDGDGGEDPGLL >DRNTG_13998.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27753483:27756210:1 gene:DRNTG_13998 transcript:DRNTG_13998.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:URED [Source:Projected from Arabidopsis thaliana (AT2G35035) UniProtKB/TrEMBL;Acc:A0A178VPQ5] ARVGRNAFLAVIPDPVTCFSTARYSQKQVFYVFPDSNLVVVDWITSGRHESGERWNFELYKSTNHIFGEGHQPLFIDSVIIFHLLVVLELVLVVLMPLHALLCWLGCYSLHL >DRNTG_13998.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27753483:27756210:1 gene:DRNTG_13998 transcript:DRNTG_13998.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:URED [Source:Projected from Arabidopsis thaliana (AT2G35035) UniProtKB/TrEMBL;Acc:A0A178VPQ5] MQGYQVIAMIIIMGPKLKHVQNQVLDEVKRMMSGHFRTPGPATGRSGLKHEPKKPELVASCSTFGPKVQVGVVVRVAAMTTESVYMFLRHHLASLEPLLGASPYR >DRNTG_13998.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27753483:27756210:1 gene:DRNTG_13998 transcript:DRNTG_13998.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:URED [Source:Projected from Arabidopsis thaliana (AT2G35035) UniProtKB/TrEMBL;Acc:A0A178VPQ5] MQGYQVIAMIIIMGPKLKHVQNQVLDEVKRMMSGHFRTPGPATGRSGLKHEPKKPELVASCSTFGPKVQVGVVVRVAAMTTESVYMFLRHHLASLEPLLGASPYR >DRNTG_13998.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27753483:27756210:1 gene:DRNTG_13998 transcript:DRNTG_13998.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:URED [Source:Projected from Arabidopsis thaliana (AT2G35035) UniProtKB/TrEMBL;Acc:A0A178VPQ5] MEETMAETGYIAVERVEGRSTVTRCFCKYPLKLIVPKKVAPSATDAVWIYSISYGGGIVSGDRVSCGLSVADDCTVALTTQASTKVYKSVDSKCSEQALEARVGRNAFLAVIPDPVTCFSTARYSQKQVFYVFPDSNLVVVDWITSGRHESGERWNFELYKSTNHIFGEGHQPLFIDSILLEERSGTSISKNMQGYQVIAMIIIMGPKLKHVQNQVLDEVKRMMSGHFRTPGPATGRSGLKHEPKKPELVASCSTFGPKVQVGVVVRVAAMTTESVYMFLRHHLASLEPLLGASPYR >DRNTG_13998.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27753483:27756210:1 gene:DRNTG_13998 transcript:DRNTG_13998.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:URED [Source:Projected from Arabidopsis thaliana (AT2G35035) UniProtKB/TrEMBL;Acc:A0A178VPQ5] MQGYQVIAMIIIMGPKLKHVQNQVLDEVKRMMSGHFRTPGPATGRSGLKHEPKKPELVASCSTFGPKVGVVVRVAAMTTESVYMFLRHHLASLEPLLGASPYR >DRNTG_13998.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27753483:27756210:1 gene:DRNTG_13998 transcript:DRNTG_13998.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:URED [Source:Projected from Arabidopsis thaliana (AT2G35035) UniProtKB/TrEMBL;Acc:A0A178VPQ5] MQGYQVIAMIIIMGPKLKHVQNQVLDEVKRMMSGHFRTPGPATGRSGLKHEPKKPELVASCSTFGPKVQVGVVVRVAAMTTESVYMFLRHHLASLEPLLGASPYR >DRNTG_13998.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27753483:27756210:1 gene:DRNTG_13998 transcript:DRNTG_13998.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:URED [Source:Projected from Arabidopsis thaliana (AT2G35035) UniProtKB/TrEMBL;Acc:A0A178VPQ5] MQGYQVIAMIIIMGPKLKHVQNQVLDEVKRMMSGHFRTPGPATGRSGLKHEPKKPELVASCSTFGPKVQVGVVVRVAAMTTESVYMFLRHHLASLEPLLGASPYR >DRNTG_09701.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:757803:759305:1 gene:DRNTG_09701 transcript:DRNTG_09701.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMTVILIMFLFQYLPKIYHSLCFLRRMQNSSGYIFGTIWWGIALNLIAYFVASHAAGACWYLLGIQRATKCLREQCITAQGCGLKTVACVDPIYYGTTTTTMKDLGRLHWAKNMTVRTTCLDSSDNFEYGAYKWTVPLFTKTNRAEKILLPIFWGLMTLSTFGTLESTTEWLEIVFNIIIVTSGLLLVTMLIGNIKVFLHTTTSKKQALHLKMRSLEWWMRRRHLPHGLRHRVRQYERQRWAAMRGVDECEMIRNLPEGLRRDIKYHLCLDLVRQVPLF >DRNTG_09701.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:756777:759305:1 gene:DRNTG_09701 transcript:DRNTG_09701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASTSGESSCHSHHPSCIHYTKGGLGEDDDEGSINRTVGSRKTGQHLMDARWTHEWNRAFVLVCAAGLIVDPLFFYALSISEARMCLYVDGWFSITVTALRCMTDAVHLWNMWLRVRSATRMRRLDGDEGSRFGRLHRDYLVSMRGFFFDLFVILPVPQVVLWVAAPSLIQKGSTMTVMTVILIMFLFQYLPKIYHSLCFLRRMQNSSGYIFGTIWWGIALNLIAYFVASHAAGACWYLLGIQRATKCLREQCITAQGCGLKTVACVDPIYYGTTTTTMKDLGRLHWAKNMTVRTTCLDSSDNFEYGAYKWTVPLFTKTNRAEKILLPIFWGLMTLSTFGTLESTTEWLEIVFNIIIVTSGLLLVTMLIGNIKVFLHTTTSKKQALHLKMRSLEWWMRRRHLPHGLRHRVRQYERQRWAAMRGVDECEMIRNLPEGLRRDIKYHLCLDLVRQVPLF >DRNTG_08345.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3954849:3960959:-1 gene:DRNTG_08345 transcript:DRNTG_08345.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 10 [Source:Projected from Arabidopsis thaliana (AT5G60990) UniProtKB/Swiss-Prot;Acc:Q8GY84] MAANEEEKTFGDLGICEQLAEACSSLGWRAPSRIQVEAIPHALQGKDLIGLAQTGSGKTGAFALPILQALLEKPQPFFACVLSPTRELAIQIKDQFEALGSGIGVKCAVLVGGIDMVDQTISLGRRPHIVVGTPGRLLDHLSNTKGFSLRLLKYLVLDEADRLLNMEFEKEIDQILEAIPRDRRTYLFSATMTKKVSKLQRACLKNPVKIEASSKYSTVDTLKQEYTFCPAKLKDCFLVHILAEMSGSTSMVFTRTCESTRLLSMFLRALGLKAIPISGQMSQAKRLGALNKFKSGDCSILICTDVASRGLDIPTVDMVINYDIPSNSKDYIHRVGRTARAGRSGVAISLVNQYELEWFLQIEQLIGKKLPKYPLELDEVQPLMGQVSDAKRIALAKIKEKAGSWKRKRGKADDDEER >DRNTG_09604.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000402.1:26234:34947:1 gene:DRNTG_09604 transcript:DRNTG_09604.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSVFANGQMKKPLFSFGVISDVQYADIPDGHSFLGIPRYYRHSLLVLQRAVDKWNNHKELKFSVNFGDIVDGFCPKEKSLETMQTVIKEFEKFNGPVYHMIGNHCLYNLPRSN >DRNTG_25753.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21484191:21484558:1 gene:DRNTG_25753 transcript:DRNTG_25753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLYNFNSTGSQDPSLDPSYAQILKQKCPNTTTGVVNVVPMDPVTPTIFDNNYYKDILINRVMEFLLVMKERSVQIAG >DRNTG_16774.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22216167:22216719:-1 gene:DRNTG_16774 transcript:DRNTG_16774.1 gene_biotype:protein_coding transcript_biotype:protein_coding KHQTPIYTKLAYILGLRVSPSHRRLGIGLKLVKEMEEWFREKGAEYAYMATDKDNEASVKLFTGRCGYSKFRTPSILVHPVFAHRLSFPRRVTIRRLSIADAETIYRRRFATTEFFPRDINTVLSNRLSIGTFIAVFSNPRSKPDEWINAEEFLKN >DRNTG_21993.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5462137:5468198:-1 gene:DRNTG_21993 transcript:DRNTG_21993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRIGPKRELKFALESFWDGKSSAKDLQKVAADLRSSIWKQMADAGIKYIPSNTFSYYDQVLDTTAMLGAVPMRYGWTGGEIGFDTYFSMARGNVSVPAMEMTKWFDTNYHFIVPELGPDTKFTYSSHKAVSEYKEAKALGIDTVPVLIGPVSYLLLSKPAKGVEKSFSLLSLLGKVLAVYKEVIAELKATGASWIQFDEPTLVLDLDSHQLQAFTEAYTELQSSMLGLNVLIESYFADVPAETYKTITSLNCISGFGFDFVRGTKTLDLIKSGFPSGKYLFAGVVDGRNIWANDLDSSLSTLKELEAIVGKDLLVVSTSCSLMHTAVDLINETKLDSEIKLWLAFAAQKVVEVNVLAKSLAGGMDEGDMLITNGFFVNSNAAQDSRKSSPRVTNEEVQKAAAALKGSDHRRATAVSARLNAQQKKLNLPILPTTTTGSFPQTLDLRRVRREYKANKISEDDYVDAIQEEINKVVKLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSSMAQSMTSRPMKAMLTGPVTILNWSFVRNDQPRSETCYQIALAIKKEVADLEAAGIHIIQIDEAALREGLPLRKSEQAYYLDWAVRSFRITNCGVKDTTQIHTHMCYSNFNDIIHSIIDMDADVITLENSRSDEKLLSVFREGVKYCAGIGPGVYDIHSPRIPSTEEIVDRVNKMLAVLEADILWVNPDCGLKTRKYAEVKPALANMVSAAKLLRKQVASTN >DRNTG_11540.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21295891:21299888:-1 gene:DRNTG_11540 transcript:DRNTG_11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRLLRRVLKEQEEQQLHDQELDEEFDDSPKRGAPSRNLFDLLGDQEDVEEADDLDNQGDAAEQAEHVTRNSSNVVAANIRKTKKKKNKGKDDKPRPKSDVEKIEQILEDLSIINKAPIQQTEQNTTAIQKELDEANPKKHSVSSILAVDPKRLKAENELRKIFGAKVVSSFENSHSGSSSRQVHGGRRVAHNPRKTILVTPSSYWPWWDRSMSMELLETKEGFHYFRYVHSPSYRHAQEAFEAAKEINDLNAIAAVLAHYPYHVESLLTFAELFKYSGEHQSSADAIAKCLFALECAWHPLFSPLQGNCQLKYTYDTNKPIFSALFSHMKNMDRRGCHRSALEVCKLLLALDHDDPKGALFCIDYFSLRAQEYRWLEQFAEEYQSDNSIWLFPNFSYSLAICRYYIEQDAAPGNETSSTGKATSGDLMKQALMLHPLVLQKLVAKAPLKDSAWTQILKHSFFGSAKAGSPTLDHLINIYVERNYIMWRFPELQNLLKEAALLVIEMLKQNNSEAWDWQCVRQEAFSSDKNEYSHLLVSDFSDVVPTLPPEELRPLMVAPHMMHHHHHHHHHQIPDGNGEAVAPERAPAPRDVAGRNAVAVFLESLLPWVDFGHENHEDHEYPDDGDQNFNDDLH >DRNTG_33674.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18460044:18466403:-1 gene:DRNTG_33674 transcript:DRNTG_33674.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRI [Source:Projected from Arabidopsis thaliana (AT1G53130) UniProtKB/TrEMBL;Acc:A0A178W5X9] MLCILVASLLMATQTYIATSLDDHGYHGVLNDHFQSLNPRSGSRFLANVVKGDKCDLVTNNVCPGIPAKGNSQLLYCCKNHCRNILSDRNNCGVCGNKCGFGQLCCNGKCTAVAYDVSNCGECGNVCKAGVRFLSISHGPPHRRPRVRV >DRNTG_01056.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3616332:3625996:1 gene:DRNTG_01056 transcript:DRNTG_01056.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLSDIDVSRSAPVAAFLELEAAVRSSFQDVNSQTLSPSPSRVAVVMPSSVPFRTSSGVSVPDTLTIASKSQSVASDLGIDSTNELSDLGTPRQGRLQISETGIGDLGSVHDFTDTAGQLANGVLVRDSFVDHPEESAGSKLRVSKETLVLGKDHMHGTSSKEVLSRDRFEFITEQAHYKLSGHARKFSDESIGSDISSIRGSELSNPGMLNSIGDESIDLHGGQEGQSSREALNIMEVQFLNDTQIILPLDQQHKLNRLFTTMKQRLVTAKTDMEDLIARLNQEMAVKEYLTTKVKDLEGELEVTKQKSKENLQQAIVIERERVTRIQWDMDELRRKYLEMESRLKSEQGEKTRAELERTTALEDKEMLMQELDGKRVQIENLQKRLEVMEVKSKSDIEVLVKEVKVLRSSQADLKEALNRSLKEKTELERIIQKGNQQLANAKTSRRKLLHECKILRNRLQECSIDFLADEENNLLVNPSSVSDALDLLRTSDNRIGLLLAEAQLLAQDDDIDVNKSHGKDSSENRFSNGEDIARADSEIRKMLTDLLVDNARLRKQIISVMQCTLETVSKPQKEETSDFPARKTILNLFLER >DRNTG_01056.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3616332:3625996:1 gene:DRNTG_01056 transcript:DRNTG_01056.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSWVVQPESGASGDGLLNPIIFYRVQVGIQSPEGVSTSYGILRRFSDFLKFSSALTKALPRKNIPAAPPKHALLRINSSRLLLEERRRALEEWMGKLLSDIDVSRSAPVAAFLELEAAVRSSFQDVNSQTLSPSPSRVAVVMPSSVPFRTSSGVSVPDTLTIASKSQSVASDLGIDSTNELSDLGTPRQGRLQISETGIGDLGSVHDFTDTAGQLANGVLVRDSFVDHPEESAGSKLRVSKETLVLGKDHMHGTSSKEVLSRDRFEFITEQAHYKLSGHARKFSDESIGSDISSIRGSELSNPGMLNSIGDESIDLHGGQEGQSSREALNIMEVQFLNDTQIILPLDQQHKLNRLFTTMKQRLVTAKTDMEDLIARLNQEMAVKEYLTTKVKDLEGELEVTKQKSKENLQQAIVIERERVTRIQWDMDELRRKYLEMESRLKSEQGEKTRAELERTTALEDKEMLMQELDGKRVQIENLQKRLEVMEVKSKSDIEVLVKEVKVLRSSQADLKEALNRSLKEKTELERIIQKGNQQLANAKTSRRKLLHECKILRNRLQECSIDFLADEENNLLVNPSSVSDALDLLRTSDNRIGLLLAEAQLLAQDDDIDVNKSHGKDSSENRFSNGEDIARADSEIRKMLTDLLVDNARLRKQIISVMQCTLETVSKPQKEETSDFPARKTILNLFLER >DRNTG_01056.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3616332:3625996:1 gene:DRNTG_01056 transcript:DRNTG_01056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRSPWGWTGALLLRNGKGEIPVWPHDPRSGWSYCVMIPSWVVQPESGASGDGLLNPIIFYRVQVGIQSPEGVSTSYGILRRFSDFLKFSSALTKALPRKNIPAAPPKHALLRINSSRLLLEERRRALEEWMGKLLSDIDVSRSAPVAAFLELEAAVRSSFQDVNSQTLSPSPSRVAVVMPSSVPFRTSSGVSVPDTLTIASKSQSVASDLGIDSTNELSDLGTPRQGRLQISETGIGDLGSVHDFTDTAGQLANGVLVRDSFVDHPEESAGSKLRVSKETLVLGKDHMHGTSSKEVLSRDRFEFITEQAHYKLSGHARKFSDESIGSDISSIRGSELSNPGMLNSIGDESIDLHGGQEGQSSREALNIMEVQFLNDTQIILPLDQQHKLNRLFTTMKQRLVTAKTDMEDLIARLNQEMAVKEYLTTKVKDLEGELEVTKQKSKENLQQAIVIERERVTRIQWDMDELRRKYLEMESRLKSEQGEKTRAELERTTALEDKEMLMQELDGKRVQIENLQKRLEVMEVKSKSDIEVLVKEVKVLRSSQADLKEALNRSLKEKTELERIIQKGNQQLANAKTSRRKLLHECKILRNRLQECSIDFLADEENNLLVNPSSVSDALDLLRTSDNRIGLLLAEAQLLAQDDDIDVNKSHGKDSSENRFSNGEDIARADSEIRKMLTDLLVDNARLRKQIISVMQCTLETVSKPQKEETSDFPARKTILNLFLER >DRNTG_09142.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26878833:26882312:-1 gene:DRNTG_09142 transcript:DRNTG_09142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINNRFNIVEHWKQSHYHHTKVKKKLGELQRTHLRSSKSQEAATQEDIDG >DRNTG_13330.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000604.1:598:1729:-1 gene:DRNTG_13330 transcript:DRNTG_13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDVKMLVDGLVSKSKVEEARKIIEKVKEKFLSKADMWKEVEEAVVFELSIFETTKRSFHKSSSRTKMTKVPLECSPLDGINCCLTEFGGLFVAKTARLSMSIAQNGL >DRNTG_34989.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002222.1:95261:95874:1 gene:DRNTG_34989 transcript:DRNTG_34989.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMTLFSSLLLLLLVVVYLLCLLLSVVLGARRKAQRVCGNFSRKAESAKRTPFGNVSR >DRNTG_03755.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000177.1:22001:22528:-1 gene:DRNTG_03755 transcript:DRNTG_03755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEPMLTLGLSGDPYGSPTMSKVFKESTQSPVSSFSNANPRSIKSEEIIGEETGTEKVSPRGSDDDEEVCAKKKLRLTKDQSALLEERFKEHTTLNPKQKQALAKQLNLRPRQVEVWFQNRRARYIY >DRNTG_28577.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22997984:22998935:-1 gene:DRNTG_28577 transcript:DRNTG_28577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRNLNRAMSFGISDVALECVMSYIDDPKDRDALSLVCRRWYHIDAITRKHITIALCYSTTPDRLRRRFPHLESLKLKGKPRASMFDNLIPEDWGGHVGPWINEIADAFVCLKALHLRRMIVTDADIKVLVGARGRIMESLKLDKCSGFSTNGLLLVARSC >DRNTG_08232.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000372.1:7433:9601:-1 gene:DRNTG_08232 transcript:DRNTG_08232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERSTYIVHMDGSVMPKAFNHHKQWYTASLQSLSVATSNLLYVYENAMHGFSVALSGEELKALKKMPGVLDVHKDRHAKVDTTHTYEFLNLNVATGLWPASNYGEDVIIGVIDTGVWPEHKSFNDRGMSEVPKRWKGVCEAGEEFNSSMCNRKLIGARYFNKGVIAGNPGATITMNSTRDIEGHGTHTSSTAAGSYAAANFFGYAPGVARGVAHRARLAMYKVLWLEGRYASDVLAAMDQAMIDGVDVISISMGFDGLPLYEDPIAIASFGAMEKGIIVSSSAGNAGPDLETLHNGIPWVLTVAAGTIDRQLSGIVVLGNGQIITGTTHYPENAFLVDVPVAYDETILPCNSSKLLSSVAADNIIICKDKGKTWKQESFVTQSRAAGALIIANTSEYIFEYTSPVIVIGPEDGVMLVEYAKNNSTATVTMKFKQTFVGTKPAPAVASFSSRGPSPSFKSVLKPDIMAPGVDVLAAWIPKVPVTHIGNAPLASDFNILSGTSMSCPHASGVAALLKDARPGWSPAAIRSAMMTTASALDNTFQPIKEVGNYYIATSPLAVGAGQVDPNKALDPGLVYDASPQDYVSMLCACNYTLNQIKLITRSSKDYACSKPSSDLNYPSFISIFNANLTTHFQTFKRTVTNVGDGPAKYTVSVTVPKWLAVVVEPNVLVFKEKYEKLSYRVHLKASLEASRMTAYGFGDLIWVSETGKYKVRSPIVVLL >DRNTG_26498.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:695040:695762:-1 gene:DRNTG_26498 transcript:DRNTG_26498.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLANKACIALSSVGAALELKEQLVKPKPSAMKSKWAAIGSPGWWSPTSDHAMQSKSPSENNSKTKCVAAEESMRMVMYLSSWGPN >DRNTG_02520.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8005013:8005387:-1 gene:DRNTG_02520 transcript:DRNTG_02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVQRYRIFLCIDCSAVHRSLSVHVSFVR >DRNTG_35116.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8711603:8713843:1 gene:DRNTG_35116 transcript:DRNTG_35116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECACLCASPNGCAHSNTRRSAHTLESHICPMSSRLNLSKISSKIGKTHGHVEFLHARGLTLRAHLEKAQGRVAAPVNNHVNIARPWVVSTRVCDLYSLADYLENAQGHELTPVGDLVRLHRRRG >DRNTG_03857.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18198059:18201433:1 gene:DRNTG_03857 transcript:DRNTG_03857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEVTEEYNDGVATGPVSGGVSEADKRDNSEGLGEVAMEVSDGDEAVVNALKE >DRNTG_30587.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3655965:3657575:1 gene:DRNTG_30587 transcript:DRNTG_30587.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAELSLFPLIDALTESQTLDHDQTLDLTPFDDFPPGFESYEAFHSGSDWFSDELPLARRDSGPVDPDGGAIEGFDSDHESYEEPVFSLSFGHDHSVELEWEQIDARPVVVDDAEVVTRNIDWEVLLAVNDAASSVDDHEDFVYTSEYEVLFGQFEEHAFSLKGPPAAKSVVESLPSVKLSKEDVEKGNTTCAVCKDDISGEEPATRLPCLHHYHGECILTWLGIRNTCPVCRYELPTDDAEYEKLKGRRTGGGGSGAIEEESQARYDFEMLN >DRNTG_30587.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3655965:3657472:1 gene:DRNTG_30587 transcript:DRNTG_30587.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAELSLFPLIDALTESQTLDHDQTLDLTPFDDFPPGFESYEAFHSGSDWFSDELPLARRDSGPVDPDGGAIEGFDSDHESYEEPVFSLSFGHDHSVELEWEQIDARPVVVDDAEVVTRNIDWEVLLAVNDAASSVDDHEDFVYTSEYEVLFGQFEEHAFSLKGPPAAKSVVESLPSVKLSKEDVEKGNTTCAVCKDDISGEEPATRLPCLHHYHGECILTWLGIRNTCPVCRYELPTDDAEYEKLKGRRTGGGGSGAIEEESQARYDFEMLN >DRNTG_30587.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3656335:3657472:1 gene:DRNTG_30587 transcript:DRNTG_30587.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAELSLFPLIDALTESQTLDHDQTLDLTPFDDFPPGFESYEAFHSGSDWFSDELPLARRDSGPVDPDGGAIEGFDSDHESYEEPVFSLSFGHDHSVELEWEQIDARPVVVDDAEVVTRNIDWEVLLAVNDAASSVDDHEDFVYTSEYEVLFGQFEEHAFSLKGPPAAKSVVESLPSVKLSKEDVEKGNTTCAVCKDDISGEEPATRLPCLHHYHGECILTWLGIRNTCPVCRYELPTDDAEYEKLKGRRTGGGGSGAIEEESQARYDFEMLN >DRNTG_30587.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3655965:3657532:1 gene:DRNTG_30587 transcript:DRNTG_30587.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAELSLFPLIDALTESQTLDHDQTLDLTPFDDFPPGFESYEAFHSGSDWFSDELPLARRDSGPVDPDGGAIEGFDSDHESYEEPVFSLSFGHDHSVELEWEQIDARPVVVDDAEVVTRNIDWEVLLAVNDAASSVDDHEDFVYTSEYEVLFGQFEEHAFSLKGPPAAKSVVESLPSVKLSKEDVEKGNTTCAVCKDDISGEEPATRLPCLHHYHGECILTWLGIRNTCPVCRYELPTDDAEYEKLKGRRTGGGGSGAIEEESQARYDFEMLN >DRNTG_30587.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3656335:3657532:1 gene:DRNTG_30587 transcript:DRNTG_30587.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAELSLFPLIDALTESQTLDHDQTLDLTPFDDFPPGFESYEAFHSGSDWFSDELPLARRDSGPVDPDGGAIEGFDSDHESYEEPVFSLSFGHDHSVELEWEQIDARPVVVDDAEVVTRNIDWEVLLAVNDAASSVDDHEDFVYTSEYEVLFGQFEEHAFSLKGPPAAKSVVESLPSVKLSKEDVEKGNTTCAVCKDDISGEEPATRLPCLHHYHGECILTWLGIRNTCPVCRYELPTDDAEYEKLKGRRTGGGGSGAIEEESQARYDFEMLN >DRNTG_30587.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3656335:3657575:1 gene:DRNTG_30587 transcript:DRNTG_30587.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAELSLFPLIDALTESQTLDHDQTLDLTPFDDFPPGFESYEAFHSGSDWFSDELPLARRDSGPVDPDGGAIEGFDSDHESYEEPVFSLSFGHDHSVELEWEQIDARPVVVDDAEVVTRNIDWEVLLAVNDAASSVDDHEDFVYTSEYEVLFGQFEEHAFSLKGPPAAKSVVESLPSVKLSKEDVEKGNTTCAVCKDDISGEEPATRLPCLHHYHGECILTWLGIRNTCPVCRYELPTDDAEYEKLKGRRTGGGGSGAIEEESQARYDFEMLN >DRNTG_30587.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3656335:3660230:1 gene:DRNTG_30587 transcript:DRNTG_30587.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAELSLFPLIDALTESQTLDHDQTLDLTPFDDFPPGFESYEAFHSGSDWFSDELPLARRDSGPVDPDGGAIEGFDSDHESYEEPVFSLSFGHDHSVELEWEQIDARPVVVDDAEVVTRNIDWEVLLAVNDAASSVDDHEDFVYTSEYEVLFGQFEEHAFSLKGPPAAKSVVESLPSVKLSKEDVEKGNTTCAVCKDDISGEEPATRLPCLHHYHGECILTWLGIRNTCPVCRYELPTDDAEYEKLKGRRTGGGGSGAIEEESQAR >DRNTG_30587.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3655965:3657704:1 gene:DRNTG_30587 transcript:DRNTG_30587.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAELSLFPLIDALTESQTLDHDQTLDLTPFDDFPPGFESYEAFHSGSDWFSDELPLARRDSGPVDPDGGAIEGFDSDHESYEEPVFSLSFGHDHSVELEWEQIDARPVVVDDAEVVTRNIDWEVLLAVNDAASSVDDHEDFVYTSEYEVLFGQFEEHAFSLKGPPAAKSVVESLPSVKLSKEDVEKGNTTCAVCKDDISGEEPATRLPCLHHYHGECILTWLGIRNTCPVCRYELPTDDAEYEKLKGRRTGGGGSGAIEEESQARYDFEMLN >DRNTG_30587.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3656335:3657704:1 gene:DRNTG_30587 transcript:DRNTG_30587.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAELSLFPLIDALTESQTLDHDQTLDLTPFDDFPPGFESYEAFHSGSDWFSDELPLARRDSGPVDPDGGAIEGFDSDHESYEEPVFSLSFGHDHSVELEWEQIDARPVVVDDAEVVTRNIDWEVLLAVNDAASSVDDHEDFVYTSEYEVLFGQFEEHAFSLKGPPAAKSVVESLPSVKLSKEDVEKGNTTCAVCKDDISGEEPATRLPCLHHYHGECILTWLGIRNTCPVCRYELPTDDAEYEKLKGRRTGGGGSGAIEEESQARYDFEMLN >DRNTG_21570.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8978971:8980548:1 gene:DRNTG_21570 transcript:DRNTG_21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPTRSTIHVDKAKHVGSASTNFL >DRNTG_21944.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2120579:2122907:1 gene:DRNTG_21944 transcript:DRNTG_21944.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSSAVTLSNLSSDSAASLTARRDGIAEKNSHAVDMAAEQAIRLRR >DRNTG_21944.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2120579:2122907:1 gene:DRNTG_21944 transcript:DRNTG_21944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSSAVTLSNLSSDSAASLTARRDGIAEKNSHAVDMAAEQAIRLRR >DRNTG_15503.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20858163:20858673:1 gene:DRNTG_15503 transcript:DRNTG_15503.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLESLDLSKNNLTGPIPTSLSSLDSLGHLNLSYN >DRNTG_02673.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18703983:18706106:1 gene:DRNTG_02673 transcript:DRNTG_02673.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPKPGTPSPSCCWYQESQRRGLSDQEDEEESLRMQWSSKQNLAGKIKSLQAVFHQP >DRNTG_02673.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18703983:18706106:1 gene:DRNTG_02673 transcript:DRNTG_02673.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQESEILMKNPKPGTPSPSCCWYQESQRRGLSDQEDEEESLRMQWSSKQNLAGKIKSLQAVFHQP >DRNTG_02673.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18703983:18706106:1 gene:DRNTG_02673 transcript:DRNTG_02673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPKPGTPSPSCCWYQESQRRGLSDQEDEEESLRMQWSSKQNLAGKIKSLQAVFHQP >DRNTG_00326.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2264609:2266090:1 gene:DRNTG_00326 transcript:DRNTG_00326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDYISNICSVTDTKRALKLKKRKPFQTVELKVKMDCDGCERRVKHAVSSIKGVTSYEVNRKQSRVIVTGHVDAKKVINKVKSTGKRVEPWPYVEHNLVYHPYVAQAYDKRAPSGFVRNVVQALPNPDEEKFTSFFSDDNPNACSIM >DRNTG_11806.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:378665:379839:1 gene:DRNTG_11806 transcript:DRNTG_11806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGSWTPLENPPPPPPPPLPPSTTAHRASVARAACSSALASTSPLRPPSTTMTLVATLASHRLL >DRNTG_28289.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11992039:11992984:1 gene:DRNTG_28289 transcript:DRNTG_28289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYPLEKISDHRLKPGTNLDLEDGDEEDRRRGPRPRSEEDEKMRDCGE >DRNTG_17803.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:7885223:7887609:-1 gene:DRNTG_17803 transcript:DRNTG_17803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQVPPKSSECNEKIGVDEMVQRYAGEFGPWQLRHFMLTSVAWTLGAFQGMAVVFADHRPKWRCISGGACPETMCGLPHDAWEWDGGRRTSTVAEWDLVCKDMYKAGIPQFAFFVGCLLGNGTFGHLSDSFLGRKRALALACAMGATFGFLTSRSPSFYFYTLFRFVTGVSTGGAGTSVFVLTTEPIGPSKRATMGICTTSLPLAPFSCPSSPTSTIHGVHSTSSPQSLPFSSSNFHHSFHL >DRNTG_31506.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14205431:14206093:-1 gene:DRNTG_31506 transcript:DRNTG_31506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSMVLWRVLYLSSKDSLVRYSRSPLSSWGKDGEKNPEIGAESALNRARIGGLHGLVDFSHAHSRIPTFIGVTETGTRSHRESLASSMIDKLVELLFYVHKSECSSVAALVPSKWMCKLEYEEVGTHSSISHPTYVFAFEP >DRNTG_22235.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1264273:1275862:1 gene:DRNTG_22235 transcript:DRNTG_22235.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha-9 [Source:Projected from Arabidopsis thaliana (AT5G03070) UniProtKB/Swiss-Prot;Acc:F4KF65] MAEHDASSSPSAFLPRDPIKASVVTSAAHKRRQHAVTIGKERREALIRTKRLCRVGVGGDIGDSLEGSMIIDEEKSTLDTQASQLVEALKSSVHLHGKGAAQKKGEILRDLRRLLSKSDAPPIDAAIHAGAIPVLVQCLHFGTPDEQLLEAAWCLTNIAAGESEATKSLLPALPLLIAHLGEKSSLPVAEQCAWALGNVAGEGEELRSVLLAQGALLPLARLMLSNKSSTARTAAWTLSNMIKGPDPKAATELIKVEGVSDAIVRHLKKGDDELATEAAWVVVYLSALSETAVRVMVKSNIIELLVGRLTASESLPLLIPVLRSLGNIVAADASITDNILCVGKDITDFAVSSLVKCMNNENRVLKKEAAWVISNLAASSVEHKRLIYASEATPLLINFLLSAPFDVRKEAAYALGNLCVAPTETIGHSNIILDHLVSIVHQGCLPGIINLLKSPDTESARLGLQFLELVLRGMPNGEGPKLVEQEDGIAAMEIFQFHENEFMRNMANELVDKYFGEDYGLDD >DRNTG_22235.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1264273:1275862:1 gene:DRNTG_22235 transcript:DRNTG_22235.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha-9 [Source:Projected from Arabidopsis thaliana (AT5G03070) UniProtKB/Swiss-Prot;Acc:F4KF65] MAEHDASSSPSAFLPRDPIKASVVTSAAHKRRQHAVTIGKERREALIRTKRLCRVGVGGDIGDSLEGSMIIDEEKSTLDTQASQLVEALKSSVHLHGKGAAQKKGEILRDLRRLLSKSDAPPIDAAIHAGAIPVLVQCLHFGTPDEQLLEAAWCLTNIAAGESEATKSLLPALPLLIAHLGEKSSLPVAEQCAWALGNVAGEGEELRSVLLAQGALLPLARLMLSNKSSTARTAAWTLSNMIKGPDPKAATELIKVEGVSDAIVRHLKKGDDELATEAAWVVVYLSALSETAVRVMVKSNIIELLVGRLTASESLPLLIPVLRSLGNIVAADASITDNILCVGKDITDFAVSSLVKCMNNENRVLKKEAAWVISNLAASSVEHKRLIYASEATPLLINFLLSAPFDVRKEAAYALGNLCVAPTETIGHSNIILDHLVSIVHQGCLPGIINLLKSPDTESARLGLQFLELVLRGMPNGEGPKLVEQEDGIAAMEIFQFHENEFMRNMANELVDKYFGEDYGLDD >DRNTG_22235.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1264273:1275862:1 gene:DRNTG_22235 transcript:DRNTG_22235.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha-9 [Source:Projected from Arabidopsis thaliana (AT5G03070) UniProtKB/Swiss-Prot;Acc:F4KF65] MAEHDASSSPSAFLPRDPIKASVVTSAAHKRRQHAVTIGKERREALIRTKRLCRVGVGGDIGDSLEGSMIIDEEKSTLDTQASQLVEALKSSVHLHGKGAAQKKGEILRDLRRLLSKSDAPPIDAAIHAGAIPVLVQCLHFGTPDEQLLEAAWCLTNIAAGESEATKSLLPALPLLIAHLGEKSSLPVAEQCAWALGNVAGEGEELRSVLLAQGALLPLARLMLSNKSSTARTAAWTLSNMIKGPDPKAATELIKVEGVSDAIVRHLKKGDDELATEAAWVVVYLSALSETAVRVMVKSNIIELLVGRLTASESLPLLIPVLRSLGNIVAADASITDNILCVGKDITDFAVSSLVKCMNNENRVLKKEAAWVISNLAASSVEHKRLIYASEATPLLINFLLSAPFDVRKEAAYALGNLCVAPTETIGHSNIILDHLVSIVHQGCLPGIINLLKSPDTESARLGLQFLELVLRGMPNGEGPKLVEQEDGIAAMEIFQFHENEFMRNMANELVDKYFGEDYGLDD >DRNTG_15631.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18017543:18020479:1 gene:DRNTG_15631 transcript:DRNTG_15631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAYVSQSDVHIGELTVRETLDFAARCQGVGPRYELLMELMRREKEMGIHPDADVDLFMKATAMEGLKSNLQTDYTLKILGLDICADTIVGDKMRRGISGGQKKRDQQKTLFVDEISTGLDSSTTFQIVKCLQQIVHLGEATILMSLLQPAPETFELFDDIILLSEGQIVYQGPREYVLEFFQSCGFKCPDRKGTPWDGEWGLPPPRFPNWDEDGESPPKMGEEEERRYEFKLQVKKITPQKFRFSVHTPITQVDGPVLNKLKASTSEFLRLDRDTVSRARIRFQTSLYGKFFGKSPPFEQVKEILSFKRNELGVFQISDLPNG >DRNTG_04194.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7052119:7062114:-1 gene:DRNTG_04194 transcript:DRNTG_04194.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase 1 [Source:Projected from Arabidopsis thaliana (AT1G08980) UniProtKB/Swiss-Prot;Acc:Q9FR37] MNSGANMTDKGFGAFIHRFILAPSSGSQLPLQGLTFAAKDIFDIEGHVTGFGNPDWARTHTAATSTSPAVLSVLGAGATCVGKTVMDEMAYSINGENYHYGTPMNPCASDRVPGGSSSGSAVAVGAGLVDFSLGTDTGGSVRVPASYCGILGFRPSHGAVSTENVIPMAQSFDTVGHLIRQKNLGDYVAHEVPSLAKLMIKNSGNEESKRVPALRALASAMQLLQRFEFKGNHEEWINNTKPFFGPEIAERVQGALTANFDNLDYSYMIRTELEAALTTLLGDYGILALPTVPGPPPKLKLEVSALEDFRARAFSLLSISGMSGFCQINIPLGIHDNLPVSVSLLARNGADHFLLKLGQKLYGALREEADKVWKGN >DRNTG_08338.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22479572:22487710:1 gene:DRNTG_08338 transcript:DRNTG_08338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIMSFSNMATASKIESQGRALLQWKATLETQELLNTWTSNTSPCNWTGITCRYNGHLMPTITMIQLASIGLEGKLETLNFFALPSVRLLDLRQNHLHGSIPAAISALSKLTAIALSDNNLTGIIPSELGNLTRLNLLWLSQNQLSGSIPPTLGNLTNLQSLRLSTNKLSGTIPLEVCVLVKLRSLLCNKRPPREKFTTNNSVEEFDGHFKSIWSVSNGKEAYQEIIQATENFHNKYQIGVGACSIVYKATLSSGGTLAIKKIQEEEGQENDQAFHNEIQALVLVRHRNIVRFYGFCSANKHCFLAYEYMERGSLGAILRSEEEAMELDWIKRVNIIRDIAEALSYLHHDCAPPIVHRDITTNNILLDEEYNARVSDFGISKLLKPDSSHWSMLAAHMDTWHRACLYDESDQEMRCI >DRNTG_06740.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11042839:11043869:-1 gene:DRNTG_06740 transcript:DRNTG_06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLKSSHELRIADALKSHGKPMELFELSSSLSIPPSKFEPFSHFTIVHLELFAEQQDDSGATKKGFCHVASEKQQFSKMFNEGMASDSRLVSDVVMTNCKDVFKVRWDGRPLHYTGSGITELYKRMVSLNLIVDVGGGTGTMANSITHVFPEIKCTVFDLPHVIDSLKDQQPSVEYVGGDMFVSVPCAINAVLLKPAGLTILQNLKEKA >DRNTG_35189.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18702329:18705994:-1 gene:DRNTG_35189 transcript:DRNTG_35189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIAFNPSLIAAKSAPHAAPRKAVPLQSLSLGHSLTRSLCLRSILRSDGSVPASSGVRTQVATAGQAIVEETQKVEGPVVVVTGASRGIGKAVALALGKAGCKVLVNYARSSKEAEEVSKEIEASGGQALTFGGDVSKEADVEAMIKTAVDAWGTVDILINNAGITRDTLLMRMKKSQWQEVIDLNLTGVFLCTQAAAKIMMKKKKNH >DRNTG_19800.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1660107:1660815:1 gene:DRNTG_19800 transcript:DRNTG_19800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKLLPKDMEYSRNIKEKTAHELFDQNHAEMVKSGRDQLMEIGKTCSGLLAAVVFATSFNIPGGKDSDNNAKNNMTNTEGNHFSNESVGFKVFTQAYMIGLSLATCSLILFLSFLTSNYSPEAFRKSLPTRCILAGVSFFCALMALLVAFVCNAYLTIYGGGKPKAEDLLPLILELTGFPLLWAVVWFFGGFGSGFLDFILKKFRR >DRNTG_19800.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1656900:1660815:1 gene:DRNTG_19800 transcript:DRNTG_19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSPATTTTIPLKSNDEKNLTYKEFQAIDRAIQREDFAFLQEYVKATSNGELRWVNLSKDPLLNVMIAYDSKDDILLKLIDMMTPKALKAKNKVGDTALHVAAAMDRKAVATALILKNDDLIHYRNKNWETPLLRAARFGSADTFDCLLSYGSDIFARNEDGSSVLHCNILGNNPDLALKIAQQYPKLMLSRNSKALTPLQLLVTIPEMFQSSLVMGHAESLIYAIIPLNSHNDSKKLGKKRDEEAPHKSTSSEHSKYWVEDDKDNAEDDKFLKESKAKSSKKFLKNCQRYVTGGAFQVRFYIILILKKLSKRVEKLEEQKTKHAQTMKLIAYLARDPDYWDFIQHGIFRQEIDDDDDSDDDDDIEYRTSDAPFDSSDERATISPQNEKIPSCCLEIKNLISKQTNLIKEQNNLFKEFKKESESKKEPEPTRWHDSPLIVGAKMGLHDYVEQILKACPQSVEIKDMDGTNILQVAIKHRYVKIVKIIAEMINGPNPVLPTWLLSDVDMKDNTILHYAAETTIKGEGLALQMRHEIKWFEMVKKLLPKDMEYSRNIKEKTAHELFDQNHAEMVKSGRDQLMEIGKTCSGLLAAVVFATSFNIPGGKDSDNNAKNNMTNTEGNHFSNESVGFKVFTQAYMIGLSLATCSLILFLSFLTSNYSPEAFRKSLPTRCILAGVSFFCALMALLVAFVCNAYLTIYGGGKPKAEDLLPLILELTGFPLLWAVVWFFGGFGSGFLDFILKKFRR >DRNTG_19800.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1658353:1659341:1 gene:DRNTG_19800 transcript:DRNTG_19800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIAYLARDPDYWDFIQHGIFRQEIDDDDDSDDDDDIEYRTSDAPFDSSDERATISPQNEKIPSCCLEIKNLISKQTNLIKEQNNLFKEFKKESESKKEPEPTRWHDSPLIVGAKMGLHDYVEQILK >DRNTG_05587.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10816010:10821670:1 gene:DRNTG_05587 transcript:DRNTG_05587.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ADP-ribosylation factor GTPase-activating protein AGD11 [Source:Projected from Arabidopsis thaliana (AT3G07940) UniProtKB/Swiss-Prot;Acc:Q8L7A4] MSNPQEYLYLTNLPASPRPVGRLENLLNRPVSSAPLNRLEYLLSQQGNKFCADCGSPDPKWVSLSIGVFICIKCSGVHRSLGVHISKVLSVKLDEWTNEQIEILINHGGNAVVNAKYEAFIPENIKKPKPDSPADERSDFIRRKYELQQFLNPNSQIAPGTSCNLSLGSHSNGSGSKQYEKQHTGLRHGLGQAFRNSWRRKESEQKAAKKTMGMVEFVGLIKVNIVRGTNLAVRDVMTSDPYVILSLGHQSMKTRVIKSNLNPVWNERLMLSIPDPIPPLKLLVYDKDTFSTDDHMGEAEIDIQPLVSAAKAYENSTISESMQLGKWLASDDNTLVKDSVIYLMDGKVKQDITLRLKKVERGHLEIELECVPLSQ >DRNTG_31787.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14979950:14983940:1 gene:DRNTG_31787 transcript:DRNTG_31787.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSYLGSAEMVPELAKLGCYFSFSGFLSSMSLQKAKKMIRSVPLDRILLESDAPDALPKFKSNSVSLVQENTSALQELLRQSENQSSESSDQQNQELNHPANIHSVLNYVSSLLEMPENELAEVTYRNAIRLFSFPGSIVCAEG >DRNTG_31787.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14979950:14983940:1 gene:DRNTG_31787 transcript:DRNTG_31787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGVKLFDAHCHLQDPRIHSIAPHLIRNAFHSGVLRFAINGVSENDWRVVKEMAEQHPCLVPNFGLHPWYVAERTPNWFFSLREFFASTPSAAVGEIGLDKGSHGKTIDFGEQVEVFQQQLELAKELKRPASVHCVRAFGDLLEILKRLGPFPEGLIMHSYLGSAEMVPELAKLGCYFSFSGFLSSMSLQKAKKMIRSVPLDRILLESDAPDALPKFKSNSVSLVQENTSALQELLRQSENQSSESSDQQNQELNHPANIHSVLNYVSSLLEMPENELAEVTYRNAIRLFSFPGSIVCAEG >DRNTG_24396.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2683151:2684944:1 gene:DRNTG_24396 transcript:DRNTG_24396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKSSAYLQVTGLQPSLRPRDKSGLL >DRNTG_24396.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2683151:2684003:1 gene:DRNTG_24396 transcript:DRNTG_24396.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKSSAYLQVTGLQPSLRPRDKSGLL >DRNTG_09220.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4509899:4510344:-1 gene:DRNTG_09220 transcript:DRNTG_09220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVVVACRGPMGRGVTPEVDYHSTFSPVVKPTTIRVVLSLVVQYNWPIRQLDVINAFLEGRLDEEVYMTQPPYFANPMYPSHICRLRKAIYGLKQTPHA >DRNTG_09220.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4509899:4512595:-1 gene:DRNTG_09220 transcript:DRNTG_09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVVLGKEVKRWVEARVSQGLACEEEKSSTLLLASLAVSQGLTDRVSLHGMVGVVVACRGPMGRGVTPEVDYHSTFSPVVKPTTIRVVLSLVVQYNWPIRQLDVINAFLEGRLDEEVYMTQPPYFANPMYPSHICRLRKAIYGLKQTPHA >DRNTG_34169.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17625274:17626736:1 gene:DRNTG_34169 transcript:DRNTG_34169.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMVKELSCEICWKLMSFPLTTPCAHNFCKSCLLGSYTDQSFVRERTREGGRTLRTQKIVKKCPSCPNDISDFLQNPQVNRELMDLIDSIEMKSNEENAGSSEEGSDVLDKSEEDGKVSDESAPNNDETKATDKQINQMDGTALNEITSHDGDSLVNAVNSKTEDAKEENPTTAGRITRASKKRKTNTEKENPSTIGRITRASKKRKTNTEEENLSTARRITRASSKLKQAENEKSNTPARITRASTKRNANAVQNQATR >DRNTG_34169.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17621877:17626736:1 gene:DRNTG_34169 transcript:DRNTG_34169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDSQEVENGGNEAFDLLDEKFNCSFCMQLLDRPVTTPCGHNFCLKCFQKWIGQGKRTCAKCRSPIPSKMASQPRVNSALVVAIRVARTAKPAASSSQLKVYHFIRNENRPEKAFTTERAKKAGKANACSGQIFVTVPPDHFGPILAEHDPKRNRGVLVGELWEDRLECRQWGAHLPHVAGIAGQSDYGAQSVALSGGYEDDEDHGDWFLYTGSGGRDLSGNKRTNKEHAFDQKFEKYNEALRVSCKKGFPVRVVRSHKEKRSAYAPESGVRYDGIYRIEKCWRKVGIQGFKVCRYLFVRCDNEPAPWTSDEKGDHPRPLPAIKELKHATDVTVRKERPAWDYDEGHGWRWTRSAPQSRKAIITGHSGEKRKGRPLANKASKMKRMVKELSCEICWKLMSFPLTTPCAHNFCKSCLLGSYTDQSFVRERTREGGRTLRTQKIVKKCPSCPNDISDFLQNPQVNRELMDLIDSIEMKSNEENAGSSEEGSDVLDKSEEDGKVSDESAPNNDETKATDKQINQMDGTALNEITSHDGDSLVNAVNSKTEDAKEENPTTAGRITRASKKRKTNTEKENPSTIGRITRASKKRKTNTEEENLSTARRITRASSKLKQAENEKSNTPARITRASTKRNANAVQNQATR >DRNTG_34169.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17622686:17626736:1 gene:DRNTG_34169 transcript:DRNTG_34169.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVKLVWKQKVHNMSFASFTKLILYKICSWARSHKEKRSAYAPESGVRYDGIYRIEKCWRKVGIQGFKVCRYLFVRCDNEPAPWTSDEKGDHPRPLPAIKELKHATDVTVRKERPAWDYDEGHGWRWTRSAPQSRKAIITGHSGEKRKGRPLANKASKMKRMVKELSCEICWKLMSFPLTTPCAHNFCKSCLLGSYTDQSFVRERTREGGRTLRTQKIVKKCPSCPNDISDFLQNPQVNRELMDLIDSIEMKSNEENAGSSEEGSDVLDKSEEDGKVSDESAPNNDETKATDKQINQMDGTALNEITSHDGDSLVNAVNSKTEDAKEENPTTAGRITRASKKRKTNTEKENPSTIGRITRASKKRKTNTEEENLSTARRITRASSKLKQAENEKSNTPARITRASTKRNANAVQNQATR >DRNTG_04599.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:15953738:15955234:-1 gene:DRNTG_04599 transcript:DRNTG_04599.1 gene_biotype:protein_coding transcript_biotype:protein_coding PISSSPGDNGYSMNIQSQDSTLQPELALVVINRSTTPPQQGVCGDHILLNFKSNKIGHEEEKTSLWDEE >DRNTG_27200.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:763892:764530:1 gene:DRNTG_27200 transcript:DRNTG_27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDLLQTLAIHMYPRRLLLHPLYTLPTPPNSLALAPTVQRNSAGAQPGSSFDANVVMILAVLLCALICALGLNTIVRCALRCMDRVGLGADQAERVTRLAQSGLRRKVIRALPVFVFSEGLKISECCAICLSDFMNGERVRVLPKCMHGFHVKCIDRWLMARSSCPTCRQCLFHTRQKSSGCGDGQQGQQQTTHSVILPLEPEGLIVSYR >DRNTG_11444.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19974361:19985690:-1 gene:DRNTG_11444 transcript:DRNTG_11444.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVPKWKAEKTKVKVVFRLQFHASHIPQPGWDKLFVSFIPADTGKVIGKTTKAIVRNGNCKWSDPLYETTRLLQDTRTKKYDDKLYKLVVAMGSSRSSLLGEANINLADYADALKPSCASLPLHGSDFGTILHVTVQLLTSKTGFREFEQQRELNEKGFQVVSGHKNLYPADSRAASSEAIIGHEDKANAKVRFKADSAELPSVEEAGESNESYEDSATGIDGSSYTSDSFHAEKNDNSGIPEIDTLKSTNSGDIGASPHSSSLPPEKEGRNDNTCWARGSNGWIHGWSSDYSVDNDLAVAYEENNRLRARLEAAESNISQLKLEASSLQALTDEFVVETQKLTHQLATELTSGERMAAEVSKLKSECLKLKGDVEELKFANAMHFSYSPARKLEAAPGVTLQDRQLLSHEFQIKWLQELLLIEGQVKEIQNKACLGYHESEFNFLYSDFEVLDRLTQNLKQGMMEATSLRLVEGFQNKGSQSLRALQSDCFIQCQTLERSCGSHHPDGRLYYSSGDHQVDPIADNAMDGRMYELLGELELLKTENESLTKKMNQMECYYESLIQEIEENQKHALNELESLKSERGTSLFTISALQNQIEKLHQDMNEQYIRFAEDRHNLDSLNKELEKRAIISENALKRVRRNYSVAVDRLQKDLELLSFQVLSMYETNESLAKQALTDVSDFLVHYHSDDSAEYAQQSSQYQDFYKQNGARMYPDTILVKQEKLLASTLHGLPQNITQEVDHHFGLAEESKGIFQKYGSVNFELPIIDEHVNGVKSEVHMASQQNNIKGQNYLVVPSGISSVTPSSNELVEMSSITKSYLGTPATVKCTSLEIQNKCAEPDNQLAEQKDSLEELRSSSHMLKSLQSKTEAELSELCALNLQSEVFSYVIEETIHDLNDVIMHMKGKMNELEQQIEYSNEMNRSFRSTLQSAKDEARILKENEIKYMSICDDLTLKSRILEAKLQDISEENTFFIQKAAENERLLMEYRTHESKYNALTEERKELELFLKQELVEKQRLQAELNSAIVNIESLKRDYNEQSSINDELLKTIVNVKEKLVSLSYVLASSDDQINDPTLDIEDDVESGNCSDIFLLLKQLQQNANRRISRICQEKKEIEQQRDIVQYSLKDSEYQLSLMRQKFESELDEITKKLEFSNSVVEKLKLESEDIAQKLKISSDAEEKYAMENQELLSSLEVLKNELEHASSENTGLVRQLQQFEHDSRELERLKLDFTNCMQENRTLMLSVQAGKEASIKVENEIRGLEERLKWTHEELQSEQQEKMELEALIFDLTSQSKEKAQLLFSLNEQLAELTHLRERVSDLESQNFALQQDLSLNEECRRRIEGEALSVHAQFTDLESVLIQYSLIADIEVTYLKNHFHSRVMELVNQVDTSKNDFNELHLKYLDVITALKKSMINEAQLQDENAKLSKAFDSLKSEFDMLISERDKLVNCVNRKIDVLTESEDLKPRAAIEADDRQERNRYENEIRNLTNLLVSFEEEMDNLRSSRDELIITDILLRSKIDEQRAGISVLEALDAELRKLQEQNNDLAYKLSEQTLKTEEFKNLSIHLRELKDKADAECNQAREKREHEGSSHAVQDSLRIAFIKEQCETKLQELKTQLYGSKKHAEEMLYKLQDAIDEIECGKKREVSFVKKIEELSTKVSELEAELQMLLTNRRELVKACDRMKAELECTQLSLDCCKEEKLKFELSLHESNEEKTKLRVELDLVKRLLENMSSTTDVQSQRYRESGTHNATSIGEILKDGETGFSVHQEALCRTGITDKNDKVPLETVDPVNIAPRSQSFDRSFSSGEEKDLMLVRVDENSLRVNLKDGHVSDSLHTQHTLLENDTKHMDEMKEHFKEQQRLVSEMNLLHRELERLKNENLTSLLPLEDHSLDQALQGLERELSQLERANEHLGNIFPLFKDFSGTGNALERVLALELELAESLQVKKPDTRFQSSFLKQHSNEEAVFQSFRDINELIRDMLDIKRKNAAVETELKEMQDRYSQLSLKFAEVEGERQKLLMTLKNRTPKKS >DRNTG_11444.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19974361:19985690:-1 gene:DRNTG_11444 transcript:DRNTG_11444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVPKWKAEKTKVKVVFRLQFHASHIPQPGWDKLFVSFIPADTGKVIGKTTKAIVRNGNCKWSDPLYETTRLLQDTRTKKYDDKLYKLVVAMGSSRSSLLGEANINLADYADALKPSCASLPLHGSDFGTILHVTVQLLTSKTGFREFEQQRELNEKGFQVVSGHKNLYPADSRAASSEAIIGHEDKANAKVRFKADSAELPSVEEAGESNESYEDSATGIDGSSYTSDSFHAEKNDNSGIPEIDTLKSTNSGDIGASPHSSSLPPEKEGRNDNTCWARGSNGWIHGWSSDYSVDNDLAVAYEENNRLRARLEAAESNISQLKLEASSLQALTDEFVVETQKLTHQLATELTSGERMAAEVSKLKSECLKLKGDVEELKFANAMHFSYSPARKLEAAPGVTLQDRQLLSHEFQIKWLQELLLIEGQVKEIQNKACLGYHESEFNFLYSDFEVLDRLTQNLKQGMMEATSLRLVEGFQNKGSQSLRALQSDCFIQCQTLERSCGSHHPDGRLYYSSGDHQVDPIADNAMDGRMYELLGELELLKTENESLTKKMNQMECYYESLIQEIEENQKHALNELESLKSERGTSLFTISALQNQIEKLHQDMNEQYIRFAEDRHNLDSLNKELEKRAIISENALKRVRRNYSVAVDRLQKDLELLSFQVLSMYETNESLAKQALTDVSDFLVHYHSDDSAEYAQQSSQYQDFYKQNGARMYPDTILVKQEKLLASTLHGLPQNITQEVDHHFGLAEESKGIFQKYGSVNFELPIIDEHVNGVKSEVHMASQQNNIKGQNYLVVPSGISSVTPSSNELVEMSSITKSYLGTPATVKCTSLEIQNKCAEPDNQLAEQKDSLEELRSSSHMLKSLQSKTEAELSELCALNLQSEVFSYVIEETIHDLNDVIMHMKGKMNELEQQIEYSNEMNRSFRSTLQSAKDEARILKENEIKYMSICDDLTLKSRILEAKLQDISEENTFFIQKAAENERLLMEYRTHESKYNALTEERKELELFLKQELVEKQRLQAELNSAIVNIESLKRDYNEQSSINDELLKTIVNVKEKLVSLSYVLASSDDQINDPTLDIEDDVESGNCSDIFLLLKQLQQNANRRISRICQEKKEIEQQRDIVQYSLKDSEYQLSLMRQKFESELDEITKKLEFSNSVVEKLKLESEDIAQKLKISSDAEEKYAMENQELLSSLEVLKNELEHASSENTGLVRQLQQFEHDSRELERLKLDFTNCMQENRTLMLSVQAGKEASIKVENEIRGLEERLKWTHEELQSEQQEKMELEALIFDLTSQSKEKAQLLFSLNEQLAELTHLRERVSDLESQNFALQQDLSLNEECRRRIEGEALSVHAQFTDLESVLIQYSLIADIEVTYLKNHFHSRVMELVNQVDTSKNDFNELHLKYLDVITALKKSMINEAQLQDENAKLSKAFDSLKSEFDMLISERDKLVNCVNRKIDVLTESEDLKPRAAIEADDRQERNRYENEIRNLTNLLVSFEEEMDNLRSSRDELIITDILLRSKIDEQRAGISVLEALDAELRKLQEQNNDLAYKLSEQTLKTEEFKNLSIHLRELKDKADAECNQAREKREHEGSSHAVQDSLRIAFIKEQCETKLQELKTQLYGSKKHAEEMLYKLQDAIDEIECGKKREVSFVKKIEELSTKVSELEAELQMLLTNRRELVKACDRMKAELECTQLSLDCCKEEKLKFELSLHESNEEKTKLRVELDLVKRLLENMSSTTDVQSQRYRESGTHNATSIGEILKDGETGFSVHQEALCRTGITDKNDKVPLETVDPVNIAPRSQSFDRSFSSGEEKDLMLVRVDENSLRVNLKDGHVSDSLHTQHTLLENDTKHMDEMKEHFKEQQRLVSEMNLLHRELERLKNENLTSLLPLEDHSLDQALQGLERELSQLERANEHLGNIFPLFKDFSGTGNALERVLALELELAESLQVKKPDTRFQSSFLKQHSNEEAVFQSFRDINELIRDMLDIKRKNAAVETELKEMQDRYSQLSLKFAEVEGERQKLLMTLKNRTPKKS >DRNTG_31790.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14923597:14926299:1 gene:DRNTG_31790 transcript:DRNTG_31790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQDLLYNVIDDVVPSYLRMKHWRELIGAQRDWQTNCKYSKPVRIKGGIPSIVLCSPGHDSSYKEYLEKFKNIALREWTLKNADFEFLTDPVCTTVNSGDCDGFPSTTSTCRHQRPWFKKAIEAYASRRGGDGLPRGIRFHGRWRSLRLNWVRCSASRLVASRLKRRMPLKK >DRNTG_18132.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:508363:511387:-1 gene:DRNTG_18132 transcript:DRNTG_18132.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADERYNRKNPAVKRILQEVKEMQSNPSDDFMSLPLEENIFEWQFAICGPRDSEFEGGIYHGRIQLPAEYPFKPPAFMLLTPNGRFETQTKICLSISNYHPEHWQPSWSVRTALVALIAFMPTNPDGALGSLDYNKEERRKLAIKSREVIPKYGSSERQNLIDEIHRYMLGKAPPVPDILPTPATDDTTSAEANTAPNSVTENASLDVATAPIQEPPNPENEPMMVEDVHEVQVNAAAGPLQVGFRARVSLSRRAPASQTIEQPQRPVTRAQKPSDDRLFTLAAIGLTIAISILILKKFLKSYGIFLM >DRNTG_22693.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:13360427:13364351:-1 gene:DRNTG_22693 transcript:DRNTG_22693.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin-like RBCX protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G04330) UniProtKB/Swiss-Prot;Acc:Q94AU9] MECLSWVNPISRTPLLRPPRTHQIPPKRDRFLVFSPSRCQKMYVPGFSEASPEAKAAKNLQDFFTFVAVKIVLAQLESYNPEAYEELMEFISRNSLNDGDKFCRTLMRESPRHKGLALRILEVRSAYSKRDFEWDNLQKLAFKMVDDSNTRLMRDYVLETSHLENEK >DRNTG_27150.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:697769:699262:-1 gene:DRNTG_27150 transcript:DRNTG_27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALNMIQLPSLHLATFSSFISPSISQSPLMKTLTPTRRSITRSFSLKSFLDLTPEYKPLQSLNIDLETHDFTGGSPDYDAVIIGCGPSGLRLASLASKHGLHICCVDPSPLLLWPNNYGVWVDEFEAMNLTDTLDKVWPSATVIINDQKSKHLHRSYGRVNRNYLKSKLIQACVSNGVKFHVAKAWKVEHQEFKSTVLCSDGKELNASLVIDASGFTTSFMEYENTLKRNHGYQLAHGILAEVEHHPFELDKMVLMDWRDEHLGNEPYLRARNETTPTFLYAMPLSSDLIFLEETSLVSRPVMSYREVKQRMVARLRHLGIKVKSVVEDEKCLIPMGGPLPRLPQSVVGVGGAAGLVHPSTGYMMARALGVAELMAEAMVECLGSVRMVRGKALQRKVWTSVWSGERMREREIYCFGMETLLQLGLKGTRNFFDAFFDLDPYYWHGFLSSSLSLEELALLCLSLFAHGSLPSRMDIVTKCPLPLARLVANLANQAI >DRNTG_15923.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:928212:928875:-1 gene:DRNTG_15923 transcript:DRNTG_15923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWKHRRGGDGGGEEWIGRSWVKSGGEGSGSGSRYGGGCGR >DRNTG_01868.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21191607:21193448:1 gene:DRNTG_01868 transcript:DRNTG_01868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQAQAQTLIERQDASRFRRVCVFCGSSPGKKNTYQLAAIQLGHELVERNIDLVYGGGSVGLMGLVSQAVYDGGRHVLGVIPKTLMPREITGETVGEVRAVSGMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHEKPVGLLNVDGYYNSLLSFIDKAVDEGFITPAARHIIISAQTAHELLSKLEEYVPRHDGVAPKLSWEMEQLGHSPKSDIAR >DRNTG_27039.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2567475:2567774:-1 gene:DRNTG_27039 transcript:DRNTG_27039.1 gene_biotype:protein_coding transcript_biotype:protein_coding CPKGNFQKRPEQKNMASQPPPGDNVREMYMELLRVTSQLM >DRNTG_18631.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6331665:6332163:1 gene:DRNTG_18631 transcript:DRNTG_18631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKITWQGSVIARLVEGVPGQPGCVKYCSVVPPTNDVAREAPSNLWIHGNNESGGGDDDDGDEDGCSLEWCFEGDLVVGFGEEGLVSILQNGLDGMAAKVEDVFHA >DRNTG_24269.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10840405:10843674:-1 gene:DRNTG_24269 transcript:DRNTG_24269.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQVFIFGSFTEEESRFFQNQSAMTNVDQTEKICLQFGSLSFAFESTVKGSSAKADDKADITQACELVAKSSASKNGTGAISKALHKIPQSKVNESPNGSLFHTDIIEIEKNTFDTSSPSISGSENNTTNLSSFSVAEGQSGDDDLLTRNVQNGDERSCDGDISVSSSEGMNNAKLLSKPEKSEILMPRGLINSGNLCFVNATLQALLSCSQFVYLLHDLRARNLPKVAYPTLHAFVDFISNFDMPKDWNAEKSKAAVLESGEPFHASMFEHVLKKFTPDVPSNLSGGRPRQEDAQEFLSFVMDQMHDELLKLKGPSSQHQNGVNCSLVSSDEEDGWETVGRKNRSAVTRTQKFLPSELSAIFGGQLRSVVKARGNRASATVQPFLLLHLDIHPGTVHTIEDALHLFSALETLEGYRISTGKDGEVAASKSVKIQELPRIMILHLMRFSYGSNGMTKLHKPVRFPLELVLGRELLVHPLSEGRRYELVATITHHGREPSRGHYTADTKYSDGQWLRYDDASITAISTSKVLHDEAYVLFYRQI >DRNTG_24269.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10840405:10844543:-1 gene:DRNTG_24269 transcript:DRNTG_24269.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNWCVRLPFCFNVFIFGSFTEEESRFFQNQSAMTNVDQTEKICLQFGSLSFAFESTVKGSSAKADDKADITQACELVAKSSASKNGTGAISKALHKIPQSKVNESPNGSLFHTDIIEIEKNTFDTSSPSISGSENNTTNLSSFSVAEGQSGDDDLLTRNVQNGDERSCDGDISVSSSEGMNNAKLLSKPEKSEILMPRGLINSGNLCFVNATLQALLSCSQFVYLLHDLRARNLPKVAYPTLHAFVDFISNFDMPKDWNAEKSKAAVLESGEPFHASMFEHVLKKFTPDVPSNLSGGRPRQEDAQEFLSFVMDQMHDELLKLKGPSSQHQNGVNCSLVSSDEEDGWETVGRKNRSAVTRTQKFLPSELSAIFGGQLRSVVKARGNRASATVQPFLLLHLDIHPGTVHTIEDALHLFSALETLEGYRISTGKDGEVAASKSVKIQELPRIMILHLMRFSYGSNGMTKLHKPVRFPLELVLGRELLVHPLSEGRRYELVATITHHGREPSRGHYTADTKYSDGQWLRYDDASITAISTSKVLHDEAYVLFYRQI >DRNTG_24269.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10840291:10844959:-1 gene:DRNTG_24269 transcript:DRNTG_24269.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQEVFIFGSFTEEESRFFQNQSAMTNVDQTEKICLQFGSLSFAFESTVKGSSAKADDKADITQACELVAKSSASKNGTGAISKALHKIPQSKVNESPNGSLFHTDIIEIEKNTFDTSSPSISGSENNTTNLSSFSVAEGQSGDDDLLTRNVQNGDERSCDGDISVSSSEGMNNAKLLSKPEKSEILMPRGLINSGNLCFVNATLQALLSCSQFVYLLHDLRARNLPKVAYPTLHAFVDFISNFDMPKDWNAEKSKAAVLESGEPFHASMFEHVLKKFTPDVPSNLSGGRPRQEDAQEFLSFVMDQMHDELLKLKGPSSQHQNGVNCSLVSSDEEDGWETVGRKNRSAVTRTQKFLPSELSAIFGGQLRSVVKARGNRASATVQPFLLLHLDIHPGTVHTIEDALHLFSALETLEGYRISTGKDGEVAASKSVKIQELPRIMILHLMRFSYGSNGMTKLHKPVRFPLELVLGRELLVHPLSEVTFFFHWTFIILHFHVPLETFASVHKHS >DRNTG_10931.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:80874:80969:-1 gene:DRNTG_10931 transcript:DRNTG_10931.4 gene_biotype:protein_coding transcript_biotype:protein_coding RIINRLNPCQKLSDTQADVGPGPRPPARKNSG >DRNTG_10931.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:80218:84004:-1 gene:DRNTG_10931 transcript:DRNTG_10931.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGSTHVRNCPIRRQTLGPAPGPRPGKTQVFSNHQCATVHNLVAFFIHNSCQWLNLISFK >DRNTG_10931.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:80218:83833:-1 gene:DRNTG_10931 transcript:DRNTG_10931.2 gene_biotype:protein_coding transcript_biotype:protein_coding TGSTHVRNCPIRRQTLGPAPGPRPGKTQVFSNHQCATVHNLVAFFIHNSCQWLNLISFK >DRNTG_00562.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30105018:30106504:1 gene:DRNTG_00562 transcript:DRNTG_00562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLFFTLLANLFLASLAATPQGMVMDEKIRLGSTPPSCRNRCKECSPCAAVQVPTLPGAAPALPAGNYASYNMYSNYKPLENSIIY >DRNTG_21442.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1832513:1833494:1 gene:DRNTG_21442 transcript:DRNTG_21442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLDKLWDDVLAGPSPDKGLGKLRKLSTKPTLSKDGGEQGESSSSGKFQRSMSMPGTPATPTTPVTPTTPSSARKVNVWRSVFNPGSNLATKTLGSNLFDKPQPNSPTVYDWLYSGETRSNHR >DRNTG_00494.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30578269:30579605:-1 gene:DRNTG_00494 transcript:DRNTG_00494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGQMTFNGMHSSSFFPPAFMLGIDATNEGHHPPPPPPPPLLSSNPQDFRGAGTMLGKRSMSLSGFEGGEDVNGDDDLSDDCSLGGEKKRRLNVEQVKTLELNFEMGTKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDFDVLKRQFDDIKSENEALQAQNKKLQAEIMVLKGIEVPETINLNKENEGSCSNRSENTSEIHLDISYRHASTVAQLLQNSSRSEVHSPKINNENGVPDESFTNMFCCIEDQSAFWAWSEQHHFH >DRNTG_13688.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22169159:22169487:-1 gene:DRNTG_13688 transcript:DRNTG_13688.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELQRPIHYPELFERTHKRSKGSGDFVDNKSKV >DRNTG_15966.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5087169:5089062:-1 gene:DRNTG_15966 transcript:DRNTG_15966.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGVGENGINVFELSVNQREPSLVVPAEETENGLYFLSNLDQNIAVTVQTIYCFKSEDKGNENAAEVIRNALAKVLVHYYPLAGRLTISSEGKLIVNCTGEGAVFVEADADCNMEEIGDIAKPDPLTLGKLVYNVPGAKNILEIPPLVAQVTRFKCGGFILGLSMNHCMFDGLGAMEFVNSWGETARGLPLAVPPFIDRTVLKSRNPPVISYPHHEFAEIKDISNMAALYQEEMLYRSFCFDPDKLKELKLKAMEDATLTKCTTFEALSAFVWRARTQALKLKPEQETKLLFAVDGRSRFNPPLPKGYFGNGIVLTNSICQAGELVGNPLSYSVRLVQNAVSMVTDDYMRSAMDFFEATRARPSLNATLLITTWSRLSFHTTDFGWGEPVQSGPVALPEKEVILFLSHGEERKSINVLLGLPASAMLAFQELMKI >DRNTG_13362.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2292893:2294543:1 gene:DRNTG_13362 transcript:DRNTG_13362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGHMLIDEPVRLASVLKSLKPGFFSSLTKIVGTLGPKSRSVEVIEACLTAGMSVARFDFSWLNADYHQETLDNLRTASENVKKLCAVMLDTMGPELQVFNENGNPIELIADATVVITPDLSKVPSAEVLPVNY >DRNTG_24593.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28737126:28737820:-1 gene:DRNTG_24593 transcript:DRNTG_24593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFREVVRWRRGAARGGDEGWREGETREGET >DRNTG_20097.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:348150:349268:1 gene:DRNTG_20097 transcript:DRNTG_20097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRTLCRSLASTCQPLTLVWYQNTGTSGVTVSVWFNVYHSATASASASASASAAARIDWSTGFGRITRHQPLLLPPPFTIHWSRIDTIASWY >DRNTG_09179.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7418995:7422176:-1 gene:DRNTG_09179 transcript:DRNTG_09179.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAAHHPQTLNRSQTLRVPQRTSISRISTAPVRRRAPGGGRISCSLIEPDGGKLVELVVSDEPARETRRREAAAYPRVRLSRTEVQWVHVLSEGWASPLRGFMREAEFLQTLHFNSLQLEDGSRVNMSLPIVLAIDDLQKREIGEHRKVALVDWNDRLIAILNDIEIYKHNKEERIARTWGTTASGLPYVDEAIATAGNWLIGGDLEVIEPIKYHDGLDQYRLSPAELREEFSRRNADAVFAFQLRNPVHNGHALLMTDTRKRLLEMGYKNPILLLHPLGGYTKADDVPLCWRMKQHEKVLEDGVLDPETTVVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMSHPTEKRDLYDADHGKKVLSMAPGLERLNILPFRVAAYDKKQKKMAFFDPSRAQDFEFISGTKMRTLARNRQSPPDGFMCPGGWEVLVEYYDSLAPAEDRKPREPVPV >DRNTG_09179.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7419075:7422285:-1 gene:DRNTG_09179 transcript:DRNTG_09179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFIVSQNHFPILPPTFELVFKTPAIVPILSRHRTRISSEGYSRNKLLPFPEIPLPMASMAAHHPQTLNRSQTLRVPQRTSISRISTAPVRRRAPGGGRISCSLIEPDGGKLVELVVSDEPARETRRREAAAYPRVRLSRTEVQWVHVLSEGWASPLRGFMREAEFLQTLHFNSLQLEDGSRVNMSLPIVLAIDDLQKREIGEHRKVALVDWNDRLIAILNDIEIYKHNKEERIARTWGTTASGLPYVDEAIATAGNWLIGGDLEVIEPIKYHDGLDQYRLSPAELREEFSRRNADAVFAFQLRNPVHNGHALLMTDTRKRLLEMGYKNPILLLHPLGGYTKADDVPLCWRMKQHEKVLEDGVLDPETTVVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMSHPTEKRDLYDADHGKKVLSMAPGLERLNILPFRVAAYDKKQKKMAFFDPSRAQDFEFISGTKMRTLARNRQSPPDGFMCPGGWEVLVEYYDSLAPAEDRKPREPVPV >DRNTG_03747.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18051140:18051738:-1 gene:DRNTG_03747 transcript:DRNTG_03747.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKIEAQDNLIVDLKRTIEMLCNHIGMPPLHGTQNSSNNQPEESEGTRDGNGDGDEDP >DRNTG_23178.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1852075:1863184:-1 gene:DRNTG_23178 transcript:DRNTG_23178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEALQRVLERRGRYSSTSPPSPPPCSAAAASASSSSSILQSLPLHVSFDHGYYLLVKAIQELREKKDGHVVTVGIGGPSGSGKTSLAEKVASVLGCVVISMENYRSGADDGNDLDSIDFDFLVKNLQDLVEKKDALMPIFDFQEKRRVALKSVKISSSGVVIVDGAYALHSTLRSLLDIRVAVVGGVHFSLLSKVRHDIGDSCSLDYLIDSIFPLFRKRIEPDLHHAQIRIDNSFVSSFREPYYKLKCRSQCLDGQLTSYFEGSGVDTENFIEMFLRPPFANEEARINDWIKVRQCGIRYYLSLGDQRIVDKNFIIRPRAEFEVGRTTLGGLLALGYKVVVSYKRGCTSVHNGQLLMALESIDTLNEAFLVLKGTSRKVLGAEAARLGIRGPWITKSYLEMILESKGVPRLNTPPPVSSSLLTGNQERAIAAPRPVRLSTNLVHKLEDLTQPWTRSPTKSDMDTVLAKWHFISDSSAYKGNIQLAPMPDAYDLDRGLLLSVQAIQALLENKGYPVIVGIGGPSGSGKTSLARKMANIVGCEVISLESYYKPEQVDFKYDEFSSLDLPLLCKSIDDIRNCRKAKVPCFDFENRSRNGFKELQVSEDCGVVIFEGVYALHPDLRESLDLWIAVVGGVHSHLIARVQRDKNRAGCAISQEKIMTTVFPMFQHHIEPHLVHAHVRI >DRNTG_23178.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1852075:1863184:-1 gene:DRNTG_23178 transcript:DRNTG_23178.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEALQRVLERRGRYSSTSPPSPPPCSAAAASASSSSSILQSLPLHVSFDHGYYLLVKAIQELREKKDGHVVTVGIGGPSGSGKTSLAEKVASVLGCVVISMENYRSGADDGNDLDSIDFDFLVKNLQDLVEKKDALMPIFDFQEKRRVALKSVKISSSGVVIVDGAYALHSTLRSLLDIRVAVVGGVHFSLLSKVRHDIGDSCSLDYLIDSIFPLFRKRIEPDLHHAQIRIDNSFVSSFREPYYKLKCRSQCLDGQLTSYFEGSGVDTENFIEMFLRPPFANEEARINDWIKVRQCGIRYYLSLGDQRIVDKNFIIRPRAEFEVGRTTLGGLLALGYKVVVSYKRGCTSVHNGQLLMALESIDTLNEAFLVLKGTSRKVLGAEAARLGIRGPWITKSYLEMILESKGVPRLNTPPPVSSSLLTGNQERAIAAPRPVRLSTNLVHKLEDLTQPWTRSPTKSDMDTVLAKWHFISDSSAYKGNIQLAPMPDAYDLDRGLLLSVQAIQALLENKGYPVIVGIGGPSGSGKTSLARKMANIVGCEVISLESYYKPEQVDFKYDEFSSLDLPLLCKSIDDIRNCRKAKVPCFDFENRSRNGFKELQVSEDCGVVIFEGVYALHPDLRESLDLWIAVVGGVHSHLIARVQRDKNRAGCAISQEKIMTTVFPMFQHHIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSNKQVIYQDILRVLDPGKVCSSVQNFIDIYLKLPGASTNGKLTESDCIRVRICEGRFALLIREPIREGNFIIQPKVDFDISISTVAGLLKLGYQAVAYIEASAFIYQDGKILIEVDHMQGAPSPYLQIKGINKEVVSAAASMLSLDGSYTTKTYLQIILESLPSSERSSNGIHIQQAARLQELVDFIQSQGGNLREESSQKQKALEMEKTVHDLRCRIKKLERWHASNMVLWTLLMSALVGYLLYQKKRH >DRNTG_30516.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21763854:21766070:1 gene:DRNTG_30516 transcript:DRNTG_30516.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFTPSSKDETVDKLRGYPKPANVNLDCSDDEVSSYSAGEEWLECPICWESFNVVENVPYVLWCGHTLCKNCVLGLQWSVVKLPTIPVQLPFFVTCPWCNLLSFRLIYKGELKFPCKNYFLLWMIESMNGERETSHSSFSVGHQSVWNSSISNASGSATGQRHILRRAPRLVHTENARRNPDNARRVSEYLNMERIHRSLRKSLVFFVHMTAKFPLVIIFLIIVVYAIPASAAILALYVLVTILFALPSFLMLYFAYPSLDWLVREILN >DRNTG_30516.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21763854:21766169:1 gene:DRNTG_30516 transcript:DRNTG_30516.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFTPSSKDETVDKLRGYPKPANVNLDCSDDEVSSYSAGEEWLECPICWESFNVVENVPYVLWCGHTLCKNCVLGLQWSVVKLPTIPVQLPFFVTCPWCNLLSFRLIYKGELKFPCKNYFLLWMIESMNGERETSHSSFSVGHQSVWNSSISNASGSATGQRHILRRAPRLVHTENARRNPDNARRVSEYLNMERIHRSLRKSLVFFVHMTAKFPLVIIFLIIVVYAIPASAAILALYVLVTILFALPSFLMLYFAYPSLDWLVREILN >DRNTG_30516.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21763854:21767389:1 gene:DRNTG_30516 transcript:DRNTG_30516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFTPSSKDETVDKLRGYPKPANVNLDCSDDEVSSYSAGEEWLECPICWESFNVVENVPYVLWCGHTLCKNCVLGLQWSVVKLPTIPVQLPFFVTCPWCNLLSFRLIYKGELKFPCKNYFLLWMIESMNGERETSHSSFSVGHQSVWNSSISNASGSATGQRHILRRAPRLVHTENARRNPDNARRVSEYLNMERIHRSLRKSLVFFVHMTAKFPLVIIFLIIVVYAIPASAAILALYVLVTILFALPSFLMLYFAYPSLDWLVREILN >DRNTG_30516.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21763854:21766169:1 gene:DRNTG_30516 transcript:DRNTG_30516.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFTPSSKDETVDKLRGYPKPANVNLDCSDDEVSSYSAGEEWLECPICWESFNVVENVPYVLWCGHTLCKNCVLGLQWSVVKLPTIPVQLPFFVTCPWCNLLSFRLIYKGELKFPCKNYFLLWMIESMNGERETSHSSFSVGHQSVWNSSISNASGSATGQRHILRRAPRLVHTENARRNPDNARRVSEYLNMERIHRSLRKSLVFFVHMTAKFPLVIIFLIIVVYAIPASAAILALYVLVTILFALPSFLMLYFAYPSLDWLVREILN >DRNTG_17465.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21439492:21444380:-1 gene:DRNTG_17465 transcript:DRNTG_17465.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDPSTGEALPPGRQGELWLRGPTIMKGYIGDDEATAATLDSEGWLKTGDLCYFDQNGFLFIVDRLKELIKYKAYQVPPAELEQILQSHPEIADAAVIPYPDEEAGQIPMAFVVRQPRSSITENQIMDFVAKQVSPYKKIRRVAFISSIPKSAAGKILRRELVNYALSPPTSKL >DRNTG_17465.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21439492:21441300:-1 gene:DRNTG_17465 transcript:DRNTG_17465.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVVRQPRSSITENQIMDFVAKQVSPYKKIRRVAFISSIPKSAAGKILRRELVNYALSPPTSKL >DRNTG_17465.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21439492:21444380:-1 gene:DRNTG_17465 transcript:DRNTG_17465.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRSIALGDTSVLMEKVDLPTILRTVQAHRVRFIPVSPPLVLALAKSDLIPKFNLSSLESLGCGGAPLGRELAELFSARFPSVNINQGYGLTESCGSAATQSDPEECRVFGSTGKLSAGLEAMIVDPSTGEALPPGRQGELWLRGPTIMKGYIGDDEATAATLDSEGWLKTGDLCYFDQNGFLFIVDRLKELIKYKAYQVPPAELEQILQSHPEIADAAVIPYPDEEAGQIPMAFVVRQPRSSITENQIMDFVAKQVSPYKKIRRVAFISSIPKSAAGKILRRELVNYALSPPTSKL >DRNTG_19169.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6126112:6126711:1 gene:DRNTG_19169 transcript:DRNTG_19169.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRRKEVDENPGFSRGKVSKKGVKIKCSLCGATGHNKRYHGQNNTTSSAWTSQGFGPVPENIM >DRNTG_25587.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29793590:29800081:1 gene:DRNTG_25587 transcript:DRNTG_25587.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G24120) UniProtKB/Swiss-Prot;Acc:O24600] MNPSSSKGVGEWMVFSSLHSSSFPLGSEPSEPESSFPVVFSQLGPMQSPLLLDPVADYSMEEMDFQFWRKGLSLERVFQEDPPWILSNYAEQIDLSLSFEKFDAKRMDRSKYNKLRRRQVKVETEAWQKAAEEYKELEREMLDKKLAPSLPYVKSLFLGWFEPLRDAIEKEQKLQKVKKQKAAYAAHIGLLSADKLAVIVMHKMMGLLMTGQEEGCVRVIQAAFHIGEAIEHEVKIHDFLQMTKRSGRRKKQPDKSVVFNKEQEVLRKRVVDMVKMKKFGEVQKLVKDEVTVEPWGRDAQVKLGSRLIELLTESAYVQSPPSQLADSLPEIRPAFRHVLKTITREDGGKLRRRYGVIECDPLVHKGLDSTATHMVIPYMPMLIPPKRWKGYDKGGHLFLPSYVMRTHGSREQQDAIKSTPRKQLQKVFQALDTLGSTKWRVNKRILDVVESLWSTGGGIAGLIDREDVPLPERPNLDDLAELRKWRWNTRKVKKTNSEMHAQRCDIELKLSVARKMRDEENLYYPHNLDFRGRAYPMHSHLNHLSSDLCRGILEFAEGRPLGKSGLRWLKIHLANLYAGGVEKLSHDGRLAFVENSLPDIFDSATNPVDGNRWWLNAEDPFQCLAACINLSDALKSSSPQSVVSHLPIHQDGSCNGLQHYAALGRNSLEAAAVNLVAGDKPADVYSGISARVLEAVRRDAQKDPAAGPTAALAKLLVDQHSGG >DRNTG_25587.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29793590:29800081:1 gene:DRNTG_25587 transcript:DRNTG_25587.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G24120) UniProtKB/Swiss-Prot;Acc:O24600] MNPSSSKGVGEWMVFSSLHSSSFPLGSEPSEPESSFPVVFSQLGPMQSPLLLDPVADYSMEEMDFQFWRKGLSLERVFQEDPPWILSNYAEQIDLSLSFEKFDAKRMDRSKYNKLRRRQVKVETEAWQKAAEEYKELEREMLDKKLAPSLPYVKSLFLGWFEPLRDAIEKEQKLQKVKKQKAAYAAHIGLLSADKLAVIVMHKMMGLLMTGQEEGCVRVIQAAFHIGEAIEHEVKIHDFLQMTKRSGRRKKQPDKSVVFNKEQEVLRKRVVDMVKMKKFGEVQKLVKDEVTVEPWGRDAQVKLGSRLIELLTESAYVQSPPSQLADSLPEIRPAFRHVLKTITREDGGKLRRRYGVIECDPLVHKGLDSTATHMVIPYMPMLIPPKRWKGYDKGGHLFLPSYVMRTHGSREQQDAIKSTPRKQLQKVFQALDTLGSTKWRVNKRILDVVESLWSTGGGIAGLIDREDVPLPERPNLDDLAELRKWRWNTRKVKKTNSEMHAQRCDIELKLSVARKMRDEENLYYPHNLDFRGRAYPMHSHLNHLSSDLCRGILEFAEGRPLGKSGLRWLKIHLANLYAGGVEKLSHDGRLAFVENSLPDIFDSATNPVDGNRWWLNAEDPFQCLAACINLSDALKSSSPQSVVSHLPIHQDGSCNGLQHYAALGRNSLEAAAVNLVAGDKPADVYSGISARVLEAVRRDAQKDPAAGPTAALAKLLVDQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLAEKGQIEDDVLLFSASCYAAKVTLNALGEMFEAARSIMKWLADCAKVIASENQPVRWTTPLGLPVVQPYRNSERHQVRTSLQVLALQREGDMVSVKRQKTAFPPNFVHSLDGSHMMMTAIACRKAGLHFAGVHDSFWTHACDVDTMNQILRKQFVELYEIPILENLLESFQTSFPTLTFPPLPERGEFDMRNVLDSPYFFN >DRNTG_25587.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29793590:29796949:1 gene:DRNTG_25587 transcript:DRNTG_25587.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G24120) UniProtKB/Swiss-Prot;Acc:O24600] MNPSSSKGVGEWMVFSSLHSSSFPLGSEPSEPESSFPVVFSQLGPMQSPLLLDPVADYSMEEMDFQFWRKGLSLERVFQEDPPWILSNYAEQIDLSLSFEKFDAKRMDRSKYNKLRRRQVKVETEAWQKAAEEYKELEREMLDKKLAPSLPYVKSLFLGWFEPLRDAIEKEQKLQKVKKQKAAYAAHIGLLSADKLAVIVMHKMMGLLMTGQEEGCVRVIQAAFHIGEAIEHEVKIHDFLQMTKRSGRRKKQPDKSVVFNKEQEVLRKRVVDMVKMKKFGEVQKLVKDEVTVEPWGRDAQVKLGSRLIELLTESAYVQSPPSQLADSLPEIRPAFRHVLKTITREDGGKLRRRYGVIECDPLVHKGLDSTATHMVIPYMPMLIPPKRWKGYDKGGHLFLPSYVMRTHGSREQQDAIKSTPRKQLQKVFQALDTLGSTKWRVNKRILDVVESLWSTGGGIAGLIDREDVPLPERPNLDDLAELRKWRWNTRKVKKTNSEMHAQRCDIELKLSV >DRNTG_20811.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22113034:22116055:1 gene:DRNTG_20811 transcript:DRNTG_20811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATAQVEVVYHRSKAAAAAGAVLSWFGFLFGVLVQILRGTPSWAQVLLSIVGIRGRFLSSSSSTPAFKPLPSEPPPSPPPFEKLTIVLDLDETLVCAYETSSLPSSVRNQAIEAGLKCFELECISAEKDAEGKPKVNHVTVFERPGLHEFLQQISEFSDLVLFTAGLEGYASPLVDKIDIENKFSLRLYRPATVSTEFREHVKDLSCLSKDLCRIVIVDNNPFSFLLQPLNGIPCVPFSAGQPYDEQLINVLLPLLKHLSTQKDVRPVLHERFHMPEWFQKHGIPPSTQPV >DRNTG_07215.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20282945:20284817:-1 gene:DRNTG_07215 transcript:DRNTG_07215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNPSILIEGDPELQRTLRRKGKEHVQEQHNPAKLEVEGSDNMAEQNEQQRTLSHNTFRVHCTSLIMAPRSKKQADKRPSESSSEPEGMRFAITKHQGDEFTDEIEDLVSEGSWRQLLTIREPVIQEFTLEVLSSFEFDRAYASFDSLGTIQFRVFGHHNSLSITQFSVLLGLYEEAFTATEEYAQLPTDYPGTLTMQRAYRVLCGQGQYKPGVSKATCLSRPTYRYLHTIMSRSVNGRGDSTGVLSRQELLYLYSMVERVPINLGHILADYIRHQGQYARLGAIFSGPYITRLVLGMGLLDSIRGAEKMSVPTPMGLETMRLMGMVRRVRTGVFALVLPAPEIAEDEGDDAGASQPAPEPQPASMETEASPVAEEPPLVRMFSPSQANDSFERLENAIGVVLAEVAEIRATQATQYTEFMSRFDILQQILERDVGLSFVLQPRTLQAPPVPPTPPSSTPAPEDPLYASTLAAAAVEPESDSDT >DRNTG_30904.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001640.1:86424:91296:-1 gene:DRNTG_30904 transcript:DRNTG_30904.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFLQAVASEEVTPPLRVIQMEGLAVLKIIKHCKEFSPSLVTGQLLGLDVGSVLEVTNCFPFPIREDDEEIEADGANYQLEMMRCLREVNVDNNTVGWYQSTLMGLYQTVELIETFMNYQENIRRCVCIIYDPSKSNQGVLALKALKLSDSFMELYRNNEFNGEKLREKKLTWVDIFEEIPIKVSNSALISAFMTELEPDSPVEQCDFDRLQLSTSSFMERNLEFMIECMEDLSAEQNKFHYYYRNVSRQQAQQQTWLQKRRTENMARKAAGEELLPEEDPSSPIFKPIPEPSRLDSYLINNQIANYCNQINGVAGQNFSRLYLMKALHEN >DRNTG_10505.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6380371:6388790:1 gene:DRNTG_10505 transcript:DRNTG_10505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLECEELVRCFVLLRLFIDKNYHPIVICQGYAFDEGDYPEIGERGKKKREKKERRLGQGDRTAKPRRISLKLREKTPCKKHYLLIRKALEHAIIVLDNIAMPIDVNDRATIMGLVKSCIGTKFTGQFGDLIANLSIDAITTVGVELGQGLHEVDIKKDIKIEKVPGGQLEDSKVLKGVMINKDVVTPGKMRRKIVNPRIILLDCPLEYKKGENQTNVELLNEEDW >DRNTG_34707.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:14277133:14285048:-1 gene:DRNTG_34707 transcript:DRNTG_34707.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC1K3 [Source:Projected from Arabidopsis thaliana (AT1G79600) UniProtKB/TrEMBL;Acc:A0A178WNJ7] MRMRAVELRGILTRLGPTFVKIGQGLSTRPDICPPEFLEELSELQDALPTFPDAEAFSCIEKELELPLESIFSAISPSPIAAASLGQVYKARLKYSGQVVAVKVQRPRIEEAIGLDFYLLRGLGFLINKYVDFISSDVVALMDEFARRVYQELNYVQEGQNARRFKKLYADRQDILVPDIFWDYTSVKVLTMDWVDGVKLNEQEAIEKQGLKLLDLVNTGIQCSLRQLLEYGYFHADPHPGNLLATPEGKLAFLDFGMMSETPEEARCAIIGHIVHMVNRDYEAMARDYYALDFLSSDVDVSPIVPALKNFFDDALNSTVSELNFKTIVDGLGAVLYQYPFDVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGRFRWNRLENLLVQGRQDRDFTTKEALQPVLKLLLDPEGEELRVLVVKEAVYVTEAVVLGTMINSYNSIPEFMRTLISNGNPIGPFKLNHDEQERMLELRDRVFRIWSLLRSSENFDPSLLQPILQVLQEPEARNLGNRVVGGVTQRLAARLLQQILRSPVGVSSQ >DRNTG_34707.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:14279034:14285517:-1 gene:DRNTG_34707 transcript:DRNTG_34707.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC1K3 [Source:Projected from Arabidopsis thaliana (AT1G79600) UniProtKB/TrEMBL;Acc:A0A178WNJ7] MPIVLSIPSSSSPPAAWPRSVRSWAPRAAIVEARPSSVNLGGAAGGDAVIRPSSLSLSVSSKNRADEMQAEARAMARAVSASVYSPQMLSLRYGSQPFKVVLRALEILTGLGTFAFQLFLDQRGGFLQQRMRMRAVELRGILTRLGPTFVKIGQGLSTRPDICPPEFLEELSELQDALPTFPDAEAFSCIEKELELPLESIFSAISPSPIAAASLGQVYKARLKYSGQVVAVKVQRPRIEEAIGLDFYLLRGLGFLINKYVDFISSDVVALMDEFARRVYQELNYVQEGQNARRFKKLYADRQDILVPDIFWDYTSVKVLTMDWVDGVKLNEQEAIEKQGLKLLDLVNTGIQCSLRQLLEYGYFHADPHPGNLLATPEGKLAFLDFGMMSETPEEARCAIIGHIVHMVNRDYEAMARDYYALDFLSSDVDVSPIVPALKNFFDDALNSTVSELNFKTIVDGLGAVLYQYPFDVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGRFRWNRLENLLVQGRQDRDFTTKEALQPVLKLLLDPEGEELRVLVVKEAVYVTEAVVLGTMINSYNSIPEFMRTLISNGNPIGPFKLNHDEQERMLELRDRVFRIWSLLRSSENFDPSLLQPILQVLQEPEARNLGNRVVGGVTQRLAARLLQQILRSPVGVSSQ >DRNTG_12110.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5012444:5013617:-1 gene:DRNTG_12110 transcript:DRNTG_12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTVEEAAAELNKGFQTLEILQKDPAVPVWFIYLNRPAQRNALSLPFFSELPRALALLDRIPSARALVLSARGPHFCSGIDLSSLKSISSSSDDDRAAAAEELRRRILDLQSAITALERCRKPVVAAIQGACIGGGVDLVAACDIRCCEDKAYFAVKEVDVGLVADLGTLQRLPKIVGFGNAMDLALTGRKVSAGEAKAMGLVSRVFADPAKMDEGIFALAKELAAKPALALMGTKAVMMKSRDMAVEKGLDYVATWNAGMLRSRELEEAVLAKLQKRKPNFSKM >DRNTG_00967.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20967091:20967818:-1 gene:DRNTG_00967 transcript:DRNTG_00967.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAHSEAEWFWWVDSDAAITDMDFSLPLHKYQNHNLIVHGWPYLVYEKRS >DRNTG_02662.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000122.1:5629:10119:-1 gene:DRNTG_02662 transcript:DRNTG_02662.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVFTHEPSEIPLTIFDRFATNKHIAVLYAFTPPTSTNAEIISGLSNTFVHFSNLTGNLTTNSYGRPSLTVGGPDGGALVVEATVSSKLEDHLPFTPSPDFRLLHPKINDAKHLLQVQLNRLQCGGLVIGVTAHHRVADGQSMSTFFVAWGQMVCGVPIDPHPVYDQSWLSPRDPPLVQFDHWGTDFIPLSPRPNQFCVTPVYADPREITNMLLHFSTEFIIKLKAQTSASSTVKYTTFQTLLGHLWRMVTIARQLDDEECTMARVSVNGRPRLQPPVPPEFFGNLVLNAYPKAKARELIEGGVVMAAGIVREAVRDVGEDYFQSFIDLGEVYGDRDLVPCYDVDGNVISPKLEIDSWLGFKFDKVDFGGGGALCAFLPTWIPLEGLLIFIPALPPDGGVNVFVSLLEKHAERFREISHSLD >DRNTG_14148.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17899725:17901648:-1 gene:DRNTG_14148 transcript:DRNTG_14148.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCIFSLALGPRVEPITFIGKTQGKIVPARGPPDFGWDPIFQPDGYELTYAEMPKEEKNKISHRSKALTMVKAHFASADYVFQTDKSV >DRNTG_14148.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17901253:17903338:-1 gene:DRNTG_14148 transcript:DRNTG_14148.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLLRPFTFVTGNAKKLEEVKAILGNSIPFQSLKLDLPELQGEPEEISKEKARMAALQIDGPVLVEDTCLCFNALNGLPGFYS >DRNTG_14148.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17899725:17903338:-1 gene:DRNTG_14148 transcript:DRNTG_14148.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLLRPFTFVTGNAKKLEEVKAILGNSIPFQSLKLDLPELQGEPEEISKEKARMAALQIDGPVLVEDTCLCFNALNGLPGPYIKWFLQKTGHEGLNNLLKAYEDKSAYAMCIFSLALGPRVEPITFIGKTQGKIVPARGPPDFGWDPIFQPDGYELTYAEMPKEEKNKISHRSKALTMVKAHFASADYVFQTDKSV >DRNTG_27957.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3536641:3542708:1 gene:DRNTG_27957 transcript:DRNTG_27957.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24560) UniProtKB/TrEMBL;Acc:F4J7P7] MPFTSQLFPTNLHYTGGNWGTNGILMVRPLLEFGKDDMYKICEGANQPFVEDPTNQSKLFARNRIRLSLRSMPSCVFKSEIQMLISACRLTRSFVESACFKMIKENVAVMDHGYAIIDVEKLEPSNVDDLCLSKFLSMVLQFISQRHRPVRGGTTQLLLDYIRAVPCKTSLTVAGCYISPAPRSKGLKVLISCSVDSPQSSRMRLSYTYPLLERQPSFVREVDEIIMDAKSYSDQSVSDDSSVPFLHSKSSKAFLAEAKKLDLISESTLASILSLQTEEAKNFNLKAEVDTDNNLNYKMKSGNATNIEIYPGLSCHFMSRFLVTWKLFKDVTKDEIDSSLGGSHLSRKDDDSHQCSFCNTNLERVLVTRHMVDSDWLYLAQVSESNRVKEHQHHVDHSICKLKLNEPADDQCIPYMQLSAQKALRALKSIPVSARKALPVLVDSHDLPVSIPSIGFQCCPYLLVDVVFKPRIPLGGGYASYI >DRNTG_27957.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3536641:3542708:1 gene:DRNTG_27957 transcript:DRNTG_27957.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24560) UniProtKB/TrEMBL;Acc:F4J7P7] MLNSIRFSPQSHLLRFFCKSSASDMERYKEAFARRMALAGLKPHHRIALGVSGGPDSVALCAMTAGWKLDGLIERDEGSKFIDGLLGIVVDHRLRAESAEEAILVRERVSKMGIKCEIRCCDWAEGRPKQGHLQEAAREKRTFALSTKLEFCLLRTMLMIRLNY >DRNTG_27957.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3536641:3542708:1 gene:DRNTG_27957 transcript:DRNTG_27957.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24560) UniProtKB/TrEMBL;Acc:F4J7P7] MPFTSQLFPTNLHYTGGNWGTNGILMVRPLLEFGKDDMYKICEGANQPFVEDPTNQSKLFARNRIRLSLRSMPSCVFKSEIQMLISACRLTRSFVESACFKMIKENVAVMDHGYAIIDVEKLEPSNVDDLCLSKFLSMVLQFISQRHRPVRGGTTQLLLDYIRAVPCKTSLTVAGCYISPAPRSKGLKVLISCSVDSPQSSRMRLSYTYPLLERQPSFVREVDEIIMDAKSYSDQSVSDDSSVPFLHSKSSKAFLAEAKKLDLISESTLASILSLQTEEAKNFNLKAEVDTDNNLNYKMKSGNATNIEIYPGLSCHFMSRFLVTWKLFKDVTKDEIDSSLGGSHLSRKDDDSHQCSFCNTNLERVLVTRHMVDSDWLYLAQVSESNRVKEHQHHVDHSICKLKLNEPADDQCIPYMQLSAQKALRALKSIPVSARKALPVLVDSHDLPVSIPSIGFQCCPYLLVDVVFKPRIPLGGGYASYI >DRNTG_27957.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3536641:3542708:1 gene:DRNTG_27957 transcript:DRNTG_27957.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24560) UniProtKB/TrEMBL;Acc:F4J7P7] MPFTSQLFPTNLHYTGGNWGTNGILMVRPLLEFGKDDMYKICEGANQPFVEDPTNQSKLFARNRIRLSLRSMPSCVFKSEIQMLISACRLTRSFVESACFKMIKENVAVMDHGYAIIDVEKLEPSNVDDLCLSKFLSMVLQFISQRHRPVRGGTTQLLLDYIRAVPCKTSLTVAGCYISPAPRSKGLKVLISCSVDSPQSSRMRLSYTYPLLERQPSFVREVDEIIMDAKSYSDQSVSDDSSVPFLHSKSSKAFLAEAKKLDLISESTLASILSLQTEEAKNFNLKAEVDTDNNLNYKMKSGNATNIEIYPGLSCHFMSRFLVTWKLFKDVTKDEIDSSLGGSHLSRKDDDSHQCSFCNTNLERVLVTRHMVDSDWLYLAQVSESNRVKEHQHHVDHSICKLKLNEPADDQCIPYMQLSAQKALRALKSIPVSARKALPVLVDSHDLPVSIPSIGFQCCPYLLVDVVFKPRIPLGGGYASYI >DRNTG_27957.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3536641:3542708:1 gene:DRNTG_27957 transcript:DRNTG_27957.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24560) UniProtKB/TrEMBL;Acc:F4J7P7] MPFTSQLFPTNLHYTGGNWGTNGILMVRPLLEFGKDDMYKICEGANQPFVEDPTNQSKLFARNRIRLSLRSMPSCVFKSEIQMLISACRLTRSFVESACFKMIKENVAVMDHGYAIIDVEKLEPSNVDDLCLSKFLSMVLQFISQRHRPVRGGTTQLLLDYIRAVPCKTSLTVAGCYISPAPRSKGLKVLISCSVDSPQSSRMRLSYTYPLLERQPSFVREVDEIIMDAKSYSDQSVSDDSSVPFLHSKSSKAFLAEAKKLDLISESTLASILSLQTEEAKNFNLKAEVDTDNNLNYKMKSGNATNIEIYPGLSCHFMSRFLVTWKLFKDVTKDEIDSSLGGSHLSRKDDDSHQCSFCNTNLERVLVTRHMVDSDWLYLAQVSESNRVKEHQHHVDHSICKLKLNEPADDQCIPYMQLSAQKALRALKSIPVSARKALPVLVDSHDLPVSIPSIGFQCCPYLLVDVVFKPRIPLGGGYASYI >DRNTG_27957.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3536641:3542708:1 gene:DRNTG_27957 transcript:DRNTG_27957.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24560) UniProtKB/TrEMBL;Acc:F4J7P7] MLNSIRFSPQSHLLRFFCKSSASDMERYKEAFARRMALAGLKPHHRIALGVSGGPDSVALCAMTAGWKLDGLIERDEGSKFIDGLLGIVVDHRLRAESAEEAILVRERVSKMGIKCEIRCCDWAEGRPKQGHLQEAAREKRYEIFQDICLEHQIGVLLIAHHADDQAELLILRLSRNSGVFGLAGMPFTSQLFPTNLHYTGGNWGTNGILMVRPLLEFGKDDMYKVMHEFLYIFLWFSLAGRPLW >DRNTG_27957.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3536641:3542708:1 gene:DRNTG_27957 transcript:DRNTG_27957.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24560) UniProtKB/TrEMBL;Acc:F4J7P7] MLNSIRFSPQSHLLRFFCKSSASDMERYKEAFARRMALAGLKPHHRIALGVSGGPDSVALCAMTAGWKLDGLIERDEGSKFIDGLLGIVVDHRLRAESAEEAILVRERVSKMGIKCEIRCCDWAEGRPKQGHLQEAAREKRLNY >DRNTG_27957.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3536641:3542708:1 gene:DRNTG_27957 transcript:DRNTG_27957.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24560) UniProtKB/TrEMBL;Acc:F4J7P7] MLNSIRFSPQSHLLRFFCKSSASDMERYKEAFARRMALAGLKPHHRIALGVSGGPDSVALCAMTAGWKLDGLIERDEGSKFIDGLLGIVVDHRLRAESAEEAILVRERVSKMGNFLLLFC >DRNTG_27957.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3536641:3542708:1 gene:DRNTG_27957 transcript:DRNTG_27957.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24560) UniProtKB/TrEMBL;Acc:F4J7P7] MLNSIRFSPQSHLLRFFCKSSASDMERYKEAFARRMALAGLKPHHRIALGVSGGPDSVALCAMTAGWKLDGLIERDEGSKFIDGLLGIVVDHRLRAESAEEAILVRERVSKMGIKCEIRCCDWAEGRPKQGHLQEAAREKRYEIFQDICLEHQIGVLLIAHHADDQAELLILRLSRNSGVFGLAGMPFTSQLFPTNLHYTGGNWGTNGILMVRPLLEFGKDDMYKICEGANQPFVEDPTNQSKLFARNRIRLSLRSMPSCVFKSEIQMLISACRLTRSFVESACFKMIKENVAVMDHGYAIIDVEKLEPSNVDDLCLSKFLSMVLQFISQRHRPVRGGTTQLLLDYIRAVPCKTSLTVAGCYISPAPRSKGLKVLISCSVDSPQSSRMRLSYTYPLLERQPSFVREVDEIIMDAKSYSDQSVSDDSSVPFLHSKSSKAFLAEAKKLDLISESTLASILSLQTEEAKNFNLKAEVDTDNNLNYKMKSGNATNIEIYPGLSCHFMSRFLVTWKLFKDVTKDEIDSSLGGSHLSRKDDDSHQCSFCNTNLERVLVTRHMVDSDWLYLAQVSESNRVKEHQHHVDHSICKLKLNEPADDQCIPYMQLSAQKALRALKSIPVSARKALPVLVDSHDLPVSIPSIGFQCCPYLLVDVVFKPRIPLGGGYASYI >DRNTG_27957.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3536641:3542708:1 gene:DRNTG_27957 transcript:DRNTG_27957.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24560) UniProtKB/TrEMBL;Acc:F4J7P7] MRVRSSLMGFWGSLLIIGCGLRVQKRRFWSEKGCPRWDICLEHQIGVLLIAHHADDQAELLILRLSRNSGVFGLAGMPFTSQLFPTNLHYTGGNWGTNGILMVRPLLEFGKDDMYKICEGANQPFVEDPTNQSKLFARNRIRLSLRSMPSCVFKSEIQMLISACRLTRSFVESACFKMIKENVAVMDHGYAIIDVEKLEPSNVDDLCLSKFLSMVLQFISQRHRPVRGGTTQLLLDYIRAVPCKTSLTVAGCYISPAPRSKGLKVLISCSVDSPQSSRMRLSYTYPLLERQPSFVREVDEIIMDAKSYSDQSVSDDSSVPFLHSKSSKAFLAEAKKLDLISESTLASILSLQTEEAKNFNLKAEVDTDNNLNYKMKSGNATNIEIYPGLSCHFMSRFLVTWKLFKDVTKDEIDSSLGGSHLSRKDDDSHQCSFCNTNLERVLVTRHMVDSDWLYLAQVSESNRVKEHQHHVDHSICKLKLNEPADDQCIPYMQLSAQKALRALKSIPVSARKALPVLVDSHDLPVSIPSIGFQCCPYLLVDVVFKPRIPLGGGYASYI >DRNTG_27957.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3536641:3542708:1 gene:DRNTG_27957 transcript:DRNTG_27957.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24560) UniProtKB/TrEMBL;Acc:F4J7P7] MLNSIRFSPQSHLLRFFCKSSASDMERYKEAFARRMALAGLKPHHRIALGVSGGPDSVALCAMTAGWKLDGLIERDEGSKFIDGLLGIVVDHRLRAESAEEAILVRERVSKMGIKCEIRCCDWAEGRPKQGHLQEAAREKRLNY >DRNTG_30271.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5135447:5139478:-1 gene:DRNTG_30271 transcript:DRNTG_30271.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSSSIVSSRWAEPSLIRHSAISVRWKASTSRFGCFKAYQNFEPCSLRKNMVRDLGALAHEVSGDGGTEEGAASNEALFLFESNTSDNSNHGRGITEKPELVTPGDSVSGVAGSKPGLFRTPISGGVHSATSAHDLPPPALAVRNLMEQARFAHLCTVMSRMHHRRAFYPFGSLVDFAPDPLGHPIFSLSPLAIHTRNLLADPRCTLVVQIPGWSGLSNARVTIFGDVIPLPADQQEWAHQQFMAKHQQWASQQWGNFHYFRMQTISDIYFIGGFGTVAWVDVKEYESLQPDKIAANGGEQNLKELNAMFSKPLRSILSTEGEIDDAALISIDSKGTDVRVRQGAQFNIQRLSFNVDHGVETLEEAKKALRDVIDKGSKPRFNTRS >DRNTG_26244.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1840042:1840987:1 gene:DRNTG_26244 transcript:DRNTG_26244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLEQLFMFISLLSLIEKFTKIDDEKRIKEAHIIEGGYLQLGFISYMNRLEIIKKEDAVSVIKSSVVYEVAEEFASNVSLVSTDILAAINETVGKYLIGQKKSSA >DRNTG_27719.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3667111:3673856:-1 gene:DRNTG_27719 transcript:DRNTG_27719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSTSPSLNVVILVFFSFVTCFVPAITSYTLFNFTSFSPNTPGINYSGDAYANGDHIIQLTRNQADGNLIFSAGHVVYTMPIKIWDMATGFAADFVTHFNFNIKVLNESNYGDGLAFFMAPVDYKMPLNATGQWLGLYNTTTNNSSATRLLAVEFDTYKNRWDPDGNHIGINFNSIVSNQTMSWHSDLKEENQGSAWIGYNSTTNDLYVFLSYDGEAFNGTPSLAYNLNLSQVLPPTVIVGLSASTGHSTELHQIIAWDFNSCFGDGEQLNGTGPASHDQVRRHVPWLVGIVIIAIGVLVTGIGYFKELIAATKNFNEQGKLGQGGFGGVYLGVLSK >DRNTG_27719.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3667111:3673856:-1 gene:DRNTG_27719 transcript:DRNTG_27719.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSTSPSLNVVILVFFSFVTCFVPAITSYTLFNFTSFSPNTPGINYSGDAYANGDHIIQLTRNQADGNLIFSAGHVVYTMPIKIWDMATGFAADFVTHFNFNIKVLNESNYGDGLAFFMAPVDYKMPLNATGQWLGLYNTTTNNSSATRLLAVEFDTYKNRWDPDGNHIGINFNSIVSNQTMSWHSDLKEENQGSAWIGYNSTTNDLYVFLSYDGEAFNGTPSLAYNLNLSQVLPPTVIVGLSASTGHSTELHQIIAWDFNSCFGDGEQLNGTGPASHDQVRRHVPWLVGIVIIAIGVLVTGIGYFKELIAATKNFNEQGKLGQGGFGGVYLGVLSK >DRNTG_21203.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001209.1:12251:13491:1 gene:DRNTG_21203 transcript:DRNTG_21203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPGFASAKPANGGGIPPPPSIKPRPAYRAASPKAKHPAAVVGSAPAAYGSPSSSPPSYSSQPSPAPSSTLYTAPTAPPSPSPPFAFPPSTSPPPTSLPPASTSPSPHETLTASSSSPTTPSPSPPPPTAYQSATARS >DRNTG_21203.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001209.1:12251:13181:1 gene:DRNTG_21203 transcript:DRNTG_21203.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPGFASAKPANGGGIPPPPSIKPRPAYRAASPKAKHPAAVVGSAPAAYGSPSSSPPSYSSQPSPAPSSTLYTAPTAPPSPSPPFAFPPSTSPPPTSLPPASTSPSPHETLTASSSSPTTPSPSPPPPTAYQSATARS >DRNTG_21203.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001209.1:12096:13181:1 gene:DRNTG_21203 transcript:DRNTG_21203.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPGFASAKPANGGGIPPPPSIKPRPAYRAASPKAKHPAAVVGSAPAAYGSPSSSPPSYSSQPSPAPSSTLYTAPTAPPSPSPPFAFPPSTSPPPTSLPPASTSPSPHETLTASSSSPTTPSPSPPPPTAYQSATARS >DRNTG_27798.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3192118:3192746:-1 gene:DRNTG_27798 transcript:DRNTG_27798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPLVITTQKKKTTLISKDLRSRASCSALNPSRASSMSNQPPKISTNTKPRQ >DRNTG_03459.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20659551:20660656:1 gene:DRNTG_03459 transcript:DRNTG_03459.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGIEKEEEEGGGGEVCDIGLGLGLSNYKESIVEPSLTLGLCVDAFGLESKGKQTSLSQWKHCFGAKRNTEIQTDEAATAKASFGRASDEEEDGGARKKLRLTKEQSSLLEKSFKEHPSLNPKQKQALAKHLNLRPRQVEVWFQNRRARTKFKQTEVDLVFLKKWFETLTDENLKLRKELQELKRYKFQSSVNTKIATSTLSMCPKCQRMTGNDDGDHAVLQSSKPFFFDHFPYSATC >DRNTG_19258.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:327699:331387:-1 gene:DRNTG_19258 transcript:DRNTG_19258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSQIHKNEVFFFFFILICHTIVSACPKLGWSRKAATEAEGVAIIYCSGHGQAYIDGDIVDGKPSCECNTCYGGDDCSIFFSNCTANAESGDPLFLKPYWEKHAADSAVVVAGWHRMSYSTTGKNYITTELERHIRMLHRAVGNAVTDDKFIVFGAGSTQLLYALVHALAGSLNTSSPDSVVASVPYYAPYREQTEYFDSKLAEWRGVTSEWVNASKGSTNNFIEFVTSPNNPDGVLKKALLNGSLTINDHAYYWPHFTAISEPVDEDVMLFTTSKLTGHAGSRFGWALIKDENVYNRTLRYMELNTEGTSRETQLRMLKLYKVILAKLGGGKGDIFTFGYKTMRKRWIKLNQVVSLSKLFSLQKLPTSQYCNYFNTTRHPSPAYGWLKCEMEEDEDCDAVLRAGGISGRSGTIYSDDKKHVRVSLIKSQDDFDQLITKLTALVTHTKTTA >DRNTG_00592.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29867948:29869177:-1 gene:DRNTG_00592 transcript:DRNTG_00592.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRGHWRPTEDEKLKELVTRFGPHNWNAIADKLEGRSGKSCRLRWFNQLDPRINRSPFSEEEEELLLALHRIHGNRWAVIARLFPGRTDNAVKNHWHVIMARRCRERSRFYKRRAGRTLMKQRELRKFDNRNNFASMVEKIMNPRNMIQNDDQVESSIEFYDFLQVKVGSSDDHHHAKNGSRSEEEKMVEDNEKGGVHEFIDFLAVGNSYEGKHA >DRNTG_00592.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29867948:29869177:-1 gene:DRNTG_00592 transcript:DRNTG_00592.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRGHWRPTEDEKLKELVTRFGPHNWNAIADKLEGRSGTYMNACMELCFDHI >DRNTG_04148.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:9155131:9157292:-1 gene:DRNTG_04148 transcript:DRNTG_04148.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSSPLSSSSRTFISTSQTHYPLP >DRNTG_28859.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22215057:22216258:1 gene:DRNTG_28859 transcript:DRNTG_28859.7 gene_biotype:protein_coding transcript_biotype:protein_coding QILFKYPPGKRLAMTEKDLPAFCFPGGVKARLLEKTPSMSDLNEVVFGQEHLARDGSSFIFSFKVCHVLP >DRNTG_28859.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22218947:22222050:1 gene:DRNTG_28859 transcript:DRNTG_28859.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPFAWQSLLLPVLPRKMLEFLDAPVPFIVGIQHKPKDMKMKTANLIRINVQKDQVKSCSLPPLPQHKELMSELRPIHTRLMCENSIAKRHPVYKCSEVQAESAGNFLDVTRRYLESLCSNLRAHTITNVQSNDDK >DRNTG_28859.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22215057:22221538:1 gene:DRNTG_28859 transcript:DRNTG_28859.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAHLRAQDPVLIVSNKCVGAVNIATLHLKVADCSVLHVAGCCVLEAWSAYTGARDVGPDFADEELYVKYDANAVNQKVMEWAKAHENESLQTLCAYHALPIPSRGEEIIFHPLEHLQPIKYSRPGLVKLGVEGASCDQPSCPAEANFVNARLAAVEEALSLSIWTVATVCRALSLESVLSLFAGALLEKQMVVICPNLGVLSATVLSIIPMLRPFAWQSLLLPVLPRKMLEFLDAPVPFIVGIQHKPKDMKMKTANLIRINVQKDQVKSCSLPPLPQHKELMSELRPIHTRLMCENSIAKRHPVYKCSEVQ >DRNTG_28859.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22212333:22215144:1 gene:DRNTG_28859 transcript:DRNTG_28859.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEPPPPSAPASPASSQCANYPPRPRHIRSNSFQRWKRQVQRAWWWGSNAASREQGLKASVHLEMMANQKRQWYQIQSKTRDSRHHEEPTSLFEHFLIVGLHSCANVQVIEDAFARRKNWESEAANSEIFDLRKIEYHGHPPALEPQILFKYPPGKRLAMTEKDLPAFCFPGGVK >DRNTG_28859.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22216648:22222979:1 gene:DRNTG_28859 transcript:DRNTG_28859.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSIIAQERLDRVTQYVSEMVVTNSVPRVVVENDQLDENFDSPDGESYSNWMDYAIPVDSVGLTPFAANVVEDKETPPFPCKLYESPSPESTSVSDTSDFGHVKDVDKDMNKAWQHCDDCASESTGSCSDSFEQVCGSCEYSHTSPESSRLQCSPCRRLLRVGSLECIYRRSARDVGPDFADEELYVKYDANAVNQKVMEWAKAHENESLQTLCAYHALPIPSRGEEIIFHPLEHLQPIKYSRPGLVKLGVEGASCDQPSCPAEANFVNARLAAVEEALSLSIWTVATVCRALSLESVLSLFAGALLEKQMVVICPNLGVLSATVLSIIPMLRPFAWQSLLLPVLPRKMLEFLDAPVPFIVGIQHKPKDMKMKTANLIRINVQKDQVKSCSLPPLPQHKELMSELRPIHTRLMCENSIAKRHPVYKCSEVQAESAGNFLDVTRRYLESLCSNLRAHTITNVQSNDDKVSLLLKDSFIDSFPSRDQPFIKLFVDTQLFSVLSDSRLSRYEHE >DRNTG_28859.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22212333:22222979:1 gene:DRNTG_28859 transcript:DRNTG_28859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEPPPPSAPASPASSQCANYPPRPRHIRSNSFQRWKRQVQRAWWWGSNAASREQGLKASVHLEMMANQKRQWYQIQSKTRDSRHHEEPTSLFEHFLIVGLHSCANVQVIEDAFARRKNWESEAANSEIFDLRKIEYHGHPPALEPQILFKYPPGKRLAMTEKDLPAFCFPGGVKARLLEKTPSMSDLNEVVFGQEHLARDGSSFIFSFKVSDSTTLYGVCLHVQELLQRAPGILGAISPLTQSSSKSGRCLVSAPRCYCLLTRVPFFELHFEMLNSIIAQERLDRVTQYVSEMVVTNSVPRVVVENDQLDENFDSPDGESYSNWMDYAIPVDSVGLTPFAANVVEDKETPPFPCKLYESPSPESTSVSDTSDFGHVKDVDKDMNKAWQHCDDCASESTGSCSDSFEQVCGSCEYSHTSPESSRLQCSPCRRLLRVGSLECIYRRSARDVGPDFADEELYVKYDANAVNQKVMEWAKAHENESLQTLCAYHALPIPSRGEEIIFHPLEHLQPIKYSRPGLVKLGVEGASCDQPSCPAEANFVNARLAAVEEALSLSIWTVATVCRALSLESVLSLFAGALLEKQMVVICPNLGVLSATVLSIIPMLRPFAWQSLLLPVLPRKMLEFLDAPVPFIVGIQHKPKDMKMKTANLIRINVQKDQVKSCSLPPLPQHKELMSELRPIHTRLMCENSIAKRHPVYKCSEVQAESAGNFLDVTRRYLESLCSNLRAHTITNVQSNDDKVSLLLKDSFIDSFPSRDQPFIKLFVDTQLFSVLSDSRLSRYEHE >DRNTG_28859.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22219077:22221538:1 gene:DRNTG_28859 transcript:DRNTG_28859.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFLDAPVPFIVGIQHKPKDMKMKTANLIRINVQKDQVKSCSLPPLPQHKELMSELRPIHTRLMCENSIAKRHPVYKCSEVQ >DRNTG_28859.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22222201:22222979:1 gene:DRNTG_28859 transcript:DRNTG_28859.8 gene_biotype:protein_coding transcript_biotype:protein_coding VSLLLKDSFIDSFPSRDQPFIKLFVDTQLFSVLSDSRLSRYEHE >DRNTG_01945.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32528109:32530227:1 gene:DRNTG_01945 transcript:DRNTG_01945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYPLAKVTLMFSSPTSKCGPGHGISIGSLGRYKNEGDVRNLVVKDCTLTGTDNGVRIKSWENSPSVSNVVNMTFDNIIMNRVENPIIIDQTYCPYHKCDTSAPSRVRIRDVSFKNIRGTSMSPVAVLLDCSRGVPCQNVKMQDVNLRYVGGQAGVQVSSATCNNVKAAHATCNILLYNG >DRNTG_28983.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25314857:25316006:1 gene:DRNTG_28983 transcript:DRNTG_28983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWGEPIQGEVGSVELSWFKRFCITIGSGSMLVGEIELVSAKLSRSPMIQPDGAAWSTLSLPLSRVA >DRNTG_08025.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30167530:30170108:-1 gene:DRNTG_08025 transcript:DRNTG_08025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPGFPMRSPPPFVTLPVTPQNGFPLCSPGSKTGFLRHRSATLAPPVTIKTAWVFSKTQISKPKLRGPCSASPISDATPVNSASSNIRIKPSEQSGQWKHFSSELRV >DRNTG_08025.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30167530:30169184:-1 gene:DRNTG_08025 transcript:DRNTG_08025.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPGFPMRSPPPFVTLPVTPQNGFPLCSPGSKTGFLRHRSATLAPPVTIKTAWVFSKTQISKPKLRGPCSASPISDATPVNSASSNIRIKPSEQSGQWKHFSSELRV >DRNTG_21555.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:27093849:27094714:1 gene:DRNTG_21555 transcript:DRNTG_21555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGYKDRAGSTPRGSMATKSKKTVAKQPQKPAPEPEVMEFTLPPHQAWFERLEKLKFGQTRILDVGLLRKVQLADDMADEVEKLLLVGSWHKLLNIHDPAIRTLTWEVLASFEFDRSYAHFDRVDAIQFRAFGQHHSMSVTQFSTRLGLYDEEYTETEEYENLPIDMMGLSPIEAYSLLCGKGRYEPGVSKASCLSRPSYRYLHAIISRSVNSRGDSTGVINKQELLCLYSMVRNELVHLGYILAEYLKHQGQYP >DRNTG_07420.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7229851:7234020:-1 gene:DRNTG_07420 transcript:DRNTG_07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G47780) UniProtKB/TrEMBL;Acc:W8PUB8] MSRKPVLVLLCVTVLAPIVLYTDRLSSFSNSISINDFVEEGANLNLSNEGEKLHALPQESSDALKEPIGVVYFDNSSNSDQISALNGRELSPGRSGEHKSRVLSAADEGDRTENGSVIAQVTSKDSVKDDGLQKSKREPDFPEKKGIKTEKQSEQTPPKTESRKQLPGRESRNAKPADKQSSATANRQSSNTPFPDARVRQLRDQLIRAKVYLGLGSTKTNPHFIKELRVRIREVQRALGDATKDSDLPKSVNEKMKAMEQLLAKGKQIQDDCSAVIKKLRAMLHSTEEQLRVHKKQTLFLTQLAAKTLPKGLHCLPLRLSTEYYSLSPSQQQFPNQEKLEDPKLYHYALFSDNILAAAVVVNSTVIHAKNAANHVFHIVTDRLNYAAMRMWFIANPPGKATIQVQNIEEFTWLNASYSPVLKQLGSQSMIDYYFRAHRASSDANLKYRNPKYLSILNHLRFYLPEIFPKLDKVVFLDDDIVVKKDLTGLWSINLKGKVNGAVETCGENFHRFDRYLNFSNPLIAKNFDPHACGWAYGMNVFDLSEWRKQKITEIYHSWQKLNHDRTLWKLGTLPPGLITFWNRTFALDRSWHVLGLGYNPNVSQKDIERAAVIHYNGNMKPWLEIGIPKFRNNWSRFVDYDQVYLRDCNLNP >DRNTG_07420.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7229851:7234020:-1 gene:DRNTG_07420 transcript:DRNTG_07420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G47780) UniProtKB/TrEMBL;Acc:W8PUB8] MSRKPVLVLLCVTVLAPIVLYTDRLSSFSNSISINDFVEEGANLNLSNEGEKLHALPQESSDALKEPIGVVYFDNSSNSDQISALNGRELSPGRSGEHKSRVLSAADEGDRTENGSVIAQVTSKDSVKDDGLQKSKREPDFPEKKSEQTPPKTESRKQLPGRESRNAKPADKQSSATANRQSSNTPFPDARVRQLRDQLIRAKVYLGLGSTKTNPHFIKELRVRIREVQRALGDATKDSDLPKSVNEKMKAMEQLLAKGKQIQDDCSAVIKKLRAMLHSTEEQLRVHKKQTLFLTQLAAKTLPKGLHCLPLRLSTEYYSLSPSQQQFPNQEKLEDPKLYHYALFSDNILAAAVVVNSTVIHAKNAANHVFHIVTDRLNYAAMRMWFIANPPGKATIQVQNIEEFTWLNASYSPVLKQLGSQSMIDYYFRAHRASSDANLKYRNPKYLSILNHLRFYLPEIFPKLDKVVFLDDDIVVKKDLTGLWSINLKGKVNGAVETCGENFHRFDRYLNFSNPLIAKNFDPHACGWAYGMNVFDLSEWRKQKITEIYHSWQKLNHDRTLWKLGTLPPGLITFWNRTFALDRSWHVLGLGYNPNVSQKDIERAAVIHYNGNMKPWLEIGIPKFRNNWSRFVDYDQVYLRDCNLNP >DRNTG_04994.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4271145:4277926:-1 gene:DRNTG_04994 transcript:DRNTG_04994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLLFFSLFLVTLLVSSQLTVGAGDCPLDFSWSNLTLAASTCSNRDERAQCCRYINAFVAVSVAHYANATGKLGVPSAFSDTCLDSVSQTLELNGVPPNATAFCGLGPKIRVSYQCEGRETVLEMLQSPNFSDVIGSCKMPLSLDTSCKRCLNSGINYLHHLIGAEDNVTLSICRNAAFVALASQGDNMLAVDMSSCFFGVKGLSNLTEPSSPYSPAPAAAPISVHAQPPIQHLIATPPKEHHKKYSLTLIPGIGIAVIVIAILLLLILIFLIRKKSRELESADINMGTSWNAFPPSQVRKCSEGTSPMFRRFSYKETKKATNSFSTVLGSGGFGTVYRAQFSDGSMVAVKRMNKVSRQGEEEFCREMELLGRLHHRHLVALKGFCVDRQERFLMYEYMENGSLKDHLHSPGRNPLRWRTRLQIAIDVANALEYLHFYCDPSLCHRDIKSSNILLDENFVAKVADFGLAHASRSGAISFEPVNTDIRGTPGYMDPEYVVTQELTEKSDIYSYGVLLLELVTGRKAIQDNKNLVEWAQKYMTEDTNLHQLVDSTIAASSIDFDQLQTVVEIIQWCTQKEGRARPSIKQVLRVFSERLDPVHNGFAEAFENGQDVYSGGQSSKMMAYRSEFIYLSGDARCLQSSSSTTRSYCSRSVLLETGSPQSPSNVLSV >DRNTG_11283.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12326424:12327325:1 gene:DRNTG_11283 transcript:DRNTG_11283.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFPPKECPMTLPLAAGLLPSNRGRVVRPSMKERSQCAAQCTDLEEILLRHDTAAKHRSKYCSSTIHYRPRKQEGFEGYWLGFWRGTMAPTSSFLRKKVGRGASIEAYLIPDEGILG >DRNTG_00164.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30958697:30966813:-1 gene:DRNTG_00164 transcript:DRNTG_00164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLMSASIVPSSTRFLIPASLPHFPTSPCHRHSLTPSRHFPRCANKPRPWWQRLFSDDTATDHDWFDWGADYVQEPAAALDDPDEDEDAKFQAWKTRAEAISELREAQEDARNSQGREWEDWLEADSSASSPSEQDCDWGDTMESSTQMTGDDPDDARRGDSLVGVIKGLILGSDDDELLFEDRVFQFASRSSAKFIALLVLVPWALDFLVHDYMMMPFLDRYVKKVPLAAELLDVRRHQKLEMIKALKVEKARFRLEVEIGKSPPLSDEEIWLELRHKAIELRDEQRLENRRAFANIWSDTVYGIALFALIYFNKSKVALLKFTGYKVLNNVSDTGKAFLIILITDIFLGYHSESGWQVLTEIILERYGVEVDQAAITIFICTVPVVIDACVKLWLFKFLPKLSPNVTNIFREMKRH >DRNTG_20970.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001183.1:7984:28264:-1 gene:DRNTG_20970 transcript:DRNTG_20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQVMTRGNPSILVEEDPEIERRICRRGKEPMQEQDFSAEIEVEESENMAEQGRGNLPEFTPSFLLRLAPIMAPRTKRLAQKRSRHDREPTPPAVIEFLDTVQQQRFEHLQQLKIGQSRFIDLDALEDICLAE >DRNTG_16083.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25579468:25580373:-1 gene:DRNTG_16083 transcript:DRNTG_16083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRTLSLSSSQNYYIPPSIVQSSANNALKGNGNSIKYGGIKAMAEKNDGQKEKPKEKSKQSLFTNLTDALDFSQVRSSQDAQLLEEAREATKTGGKMSREQYGALRRKIGGTYKDFFKSYVDVDGQYVEEGWVDKTCRVCKKDTSGGPRQKDKFGRYAHVACLEKSKSSNFFSRLFS >DRNTG_13178.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:16036565:16038043:1 gene:DRNTG_13178 transcript:DRNTG_13178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMQFNFFSCQEEEEDRNGREHRFGEVHADSSLNEKLYLELTEKLDVEAVPRHLHHNHANPTCRTRHVVRLCTRRLHHHRSPRPLPSHSVEEKKTQKGGINPTLTYLDQMARRALSGGHR >DRNTG_13178.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:16036565:16037702:1 gene:DRNTG_13178 transcript:DRNTG_13178.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMQFNFFSCQEEEEDRNGREHRFGEVHADSSLNEKLYLELTEKLDVEAVPRHLHHNHANPTCRTRHVVRLCTRRLHHHRSPRPLPSHSVEEKKTQKGGINPTLTYLDQMARRALSGGHR >DRNTG_13178.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:16036894:16037702:1 gene:DRNTG_13178 transcript:DRNTG_13178.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGMQFNFFSCQEEEEDRNGREHRFGEVHADSSLNEKLYLELTEKLDVEAVPRHLHHNHANPTCRTRHVVRLCTRRLHHHRSPRPLPSHSVEEKKTQKGGINPTLTYLDQMARRALSGGHR >DRNTG_13178.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:16036894:16038043:1 gene:DRNTG_13178 transcript:DRNTG_13178.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMQFNFFSCQEEEEDRNGREHRFGEVHADSSLNEKLYLELTEKLDVEAVPRHLHHNHANPTCRTRHVVRLCTRRLHHHRSPRPLPSHSVEEKKTQKGGINPTLTYLDQMARRALSGGHR >DRNTG_09509.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21703102:21706205:1 gene:DRNTG_09509 transcript:DRNTG_09509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVAPFFISKRFHVWVSRKFHFCSIPCAAHVQAPSETDRVRSNSGALESVTGGLKKNLYPERLVHVLDSMPDFDLSINVFKWASKQRNFQHTTETFVHMILKLGMAGRHQEMDVFLKEMVKLESPHKEEGFDCLINSFCTSGGLREALLVFENACLANYRVSISTFNVLLKNLVSNKGDLQLVLFVYKEMVKSGTLPNIETLNCLIKALLESEWIDLALEQFHRMNKKRCEPNTQTFEIVIGGLCSSGRVDEAIMFLNQMLEVGCCPNYEFYEIIIPFFCKADKIKEANKLFKTMKAEGYLPGVHLYGVIIYFLSGKLELDEAMGLFEEMADVGVPPVTSIYVDIVSGYCKVGKLNEAMDFLIDNSVSEVEPYNTLLKGCCDLGRFPEAIDFLESMAGLCDNLSWSILIRGLCEKGKLGVAFEILGRMIVSSYMPDQVTLSGIIIGCCSKHAYQSALDNFRLVRANNMSMDLESCSQLIEGLCHVNKIQEAVEVFTYITGKDGILSTNSLNVLIEGICLAGKVDEAIRVRSLACCTGVYSVPATYSIITRKLLELKKANNVQAFLSQILVEGCNVDVTLYCLLIRGLCAESSAREAALLFNLMVRDGFTPDSGTLQTLISYLVTISHLHMVLHCLDKVFNEEELLTPAICNMVIRGLLKEGYKNEASKYLDMMLEKGWVPDADTHGLLVGNLHLDKSGVILEAYEHDDEDKVSNILAEGLEN >DRNTG_13112.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17371556:17375683:-1 gene:DRNTG_13112 transcript:DRNTG_13112.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPSRARAPPCTRAHQIGALALASIVFFFARSLDRPATCVYSPSPPTTSLFDHAGTLRWPERGYGSVLSLKIYVYDEREIDGLRALLRGRDGRISAESCFKGQWGTQVKIHQLMLKSKFRTLKKEEADLFFVPSYVKCVRMNGGLNDKEINQTYVKVLSQMPYFRLSGGRDHIFVFPSGAGAHLFRSWATFLNRSIILTPEGDRTDKRDTSAFNTWKDIIIPGNVDDGMTNFMGSSIVQPIPLSKRKYLANFLGRAQGKVGRLQLAELAKQYPNKRACMHIHFVNSWNLQN >DRNTG_13112.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17373180:17375683:-1 gene:DRNTG_13112 transcript:DRNTG_13112.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPSRARAPPCTRAHQIGALALASIVFFFARSLDRPATCVYSPSPPTTSLFDHAGTLRWPERGYGSVLSLKIYVYDEREIDGLRALLRGRDGRISAESCFKGQWGTQVKIHQLMLKSKFRTLKKEEADLFFVPSYVKCVRMNGGLNDKEINQTYVKVLSQMPYFRLSGGRDHIFVFPSGAGAHLFRSWATFLNRSIILTPEGDRTDKRDTSAFNTWKDIIIPGNVDDGMTNFMGSSIVQPIPLSKRKYLANFLGRAQGKVGRLQLAELAKQYPNKVSFQELC >DRNTG_13112.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17371556:17375683:-1 gene:DRNTG_13112 transcript:DRNTG_13112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPSRARAPPCTRAHQIGALALASIVFFFARSLDRPATCVYSPSPPTTSLFDHAGTLRWPERGYGSVLSLKIYVYDEREIDGLRALLRGRDGRISAESCFKGQWGTQVKIHQLMLKSKFRTLKKEEADLFFVPSYVKCVRMNGGLNDKEINQTYVKVLSQMPYFRLSGGRDHIFVFPSGAGAHLFRSWATFLNRSIILTPEGDRTDKRDTSAFNTWKDIIIPGNVDDGMTNFMGSSIVQPIPLSKRKYLANFLGRAQGKVGRLQLAELAKQYPNKLESPELKLTGPDKLGRTEYFNHLRNAKFCVAPRGESSWTLRFYESFFVECVPVILSDKVELPFQNVIDYTQFSIKWPSTKIGPELLDYLQSIPDEVIHEMLAHGRLVRCLFVYAPETGPCSAMLGIMWELQRKVRRFHQSAETFWLHNGSIVNRDLVEFHNWRTPVPLP >DRNTG_13112.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17371556:17373040:-1 gene:DRNTG_13112 transcript:DRNTG_13112.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYCSNFILFILIYYHPEGVHAYSFCEQLESPELKLTGPDKLGRTEYFNHLRNAKFCVAPRGESSWTLRFYESFFVECVPVILSDKVELPFQNVIDYTQFSIKWPSTKIGPELLDYLQSIPDEVIHEMLAHGRLVRCLFVYAPETGPCSAMLGIMWELQRKVRRFHQSAETFWLHNGSIVNRDLVEFHNWRTPVPLP >DRNTG_17324.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31973140:31974968:1 gene:DRNTG_17324 transcript:DRNTG_17324.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPCH [Source:Projected from Arabidopsis thaliana (AT5G53210) UniProtKB/TrEMBL;Acc:A0A178UF96] MPESLSDLFNDTQDFSSGGLSGAASPENLFTLLENLDDYYATTKDSTTLLPFTPFEDTDLSSPTTDLDHEPPSQVSNKAGNIRSLEVPETDEDEASLARKKLKFSNTVTVDGMNRMSHITVERNRRKQMNDNLSVLRSLMPCFYVKRGDQASIIGGVVDYIKELQQVLQSLEAKKQRKVYNEVLSPRPVSSPRPSQLSPRPPPPPPPVSPRVSLPISPRTPQPTSPYKPRMQYSCLNPTLVLPSLDSSSSLEPSMELAANSKSPVADVEVKFSGPNVLLKTVSHRIPGQPLKIITALEGLALEILHVSISAIDDHTMLNSFTIKIGIECELSAEELAQEIQQTFSQN >DRNTG_02976.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23474026:23479881:-1 gene:DRNTG_02976 transcript:DRNTG_02976.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMR domain-containing protein At5g58720 [Source:Projected from Arabidopsis thaliana (AT5G58720) UniProtKB/Swiss-Prot;Acc:O65573] MVSDVIGKSYSKHLGNCCEGRKDVVDKKVYRVEEAEQFLCSMLGWDDQLGMGVVKDVLGQCGYDVEKALDALIDISAPSCSQFNENLLHPSQFTDKTSDSIYHPSEKEYEFLQSVGYVCRDYTSVFTGSKEQPLPGSIEKTDMQQKILESLFSIPDSQKHDPKCMDWKNVVKKVESFGKGLEFCCAGGKQSPPDAKKDHVGNKEAYQVFRSPAQVHYDTMKTYYQKAAAAYAGGQWAHAAHLSEQGKHHSLLAREADERASRDIFHSRNKDIKNAVTIDLHGQHVKNAIGLLKLHLLLFAYIPSIHFLKVITGCGADGVGKGKIKRSVINLVEKEEIQWSEENSGTLLLCIDGRTEYKFQECDSDTD >DRNTG_21920.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1661573:1666208:-1 gene:DRNTG_21920 transcript:DRNTG_21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIWNFPGDFAVNFGECLMTRIQRMSLDYHFKVEQEAGSCTFAFFGFNGTAGVWRISALNEAGGWEDRTTVEDMDLAVRAILKGWKFLYVGDVKVKSELPSNFKAYRHQQHRWTCGAANLFRKVAFDIMRAKDVSLWKKLYVLYSFFIVRKVVAHVVTFFLYCVVVPASALIPEVNIPIWMVVHIPTTITLLNAIRNTSFIHLMPLWILFENVMSMHRMKATMIGLLEAKSANEWVVTEKLGGAIRAKPENQILEKPPSRFKERLNYSELRFGFFLLLCASYDVIYGVNYYFIYIYLQALAFFIMGVGFVGRHTSNS >DRNTG_21920.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1661573:1666208:-1 gene:DRNTG_21920 transcript:DRNTG_21920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRALESMVLLLILIFSIVLGSKGMEFNLSMDVFVDGPAIWFSDGVNAGNFVGLLNVSSYEGFGMDGAWEKIVEVWVLVRGMVVAPVMRVAMVLCMVMSLMLLVEFVFMSLVSLGVKILRRKPEIRYKWEALKEDPEIGTSVYPMVLVQIPMFNEKEVYKLSIGAACGLAWPPDRFIIQVLDDSTDPIVKAMVELECNAWASKGMNIHYEVRNNRKGYKAGALKEGMEHSYVHQCDFVAIFDSDFQPEPDFLMRTVPFLVNNPKIALVQARWEFGRC >DRNTG_21920.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1661573:1666208:-1 gene:DRNTG_21920 transcript:DRNTG_21920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRALESMVLLLILIFSIVLGSKGMEFNLSMDVFVDGPAIWFSDGVNAGNFVGLLNVSSYEGFGMDGAWEKIVEVWVLVRGMVVAPVMRVAMVLCMVMSLMLLVEFVFMSLVSLGVKILRRKPEIRYKWEALKEDPEIGTSVYPMVLVQIPMFNEKEVYKLSIGAACGLAWPPDRFIIQVLDDSTDPIVKAMVELECNAWASKGMNIHYEVRNNRKGYKAGALKEGMEHSYVHQCDFVAIFDSDFQPEPDFLMRTVPFLVNNPKIALVQARWEFVNFGECLMTRIQRMSLDYHFKVEQEAGSCTFAFFGFNGTAGVWRISALNEAGGWEDRTTVEDMDLAVRAILKGWKFLYVGDVKVKSELPSNFKAYRHQQHRWTCGAANLFRKVAFDIMRAKDVSLWKKLYVLYSFFIVRKVVAHVVTFFLYCVVVPASALIPEVNIPIWMVVHIPTTITLLNAIRNTSFIHLMPLWILFENVMSMHRMKATMIGLLEAKSANEWVVTEKLGGAIRAKPENQILEKPPSRFKERLNYSELRFGFFLLLCASYDVIYGVNYYFIYIYLQALAFFIMGVGFVGRHTSNS >DRNTG_30283.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001553.1:14161:18547:-1 gene:DRNTG_30283 transcript:DRNTG_30283.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIASACFERGKCRHVPTEDNSSNSHLRWRHQYDSASKSKQENLPHLSPEQPNSQIQQTLETHRASQEEEEEEEEEEGVPWNRRPMLQLFFAVAFSAAPLTLYVPPVRSLNLFVEALESFFRQTSDYSARVYPRARIAFSRVIAVFRRILL >DRNTG_29866.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1932991:1937225:1 gene:DRNTG_29866 transcript:DRNTG_29866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYAFVWALMILGFGSSGTKRSSMALTPDGLTLLTFKAAVSSDPANALVTWNEDDEDPCRWPGVSCANISGNPRVVGVAVSGKNLSGYIPSELGSLLYLRRLNLHGNRLSGHIPSELFNATSLHSLFLYDNNLSGSIPPSLCDLPRLQNLDLSKNSISGTIPLDLRHCRQLQRLLLARNRIYGEIPAGIWPEMESLSQLDLSSNQFNGSIPTDLGELGSLVGTLNLSHNHFSGEIPTSLGRLPPDVSLDLRFNNLSGEIPQTGSLVNQGPTAFLNNPLLCGFPLQNPCQNNVAPAPPGGQIPSPTTGTPPEKHGLRPGLIILISIADAVGVALIGLIAVYVYWKVKDQGNGCSCTGRSKLGGDGNSAICGCWRAGIGSDEGESDKGDGGGGGGGGEGELVAIDKGFSFELDELLRASAYVLGKSGLGIVYKVVLGNGIPVAVRRLGEGGAQRYKEFSAEAQAIGRVKHPNIVRLRAYYWAQDEKLLITDFISNGNLATALRGRAGQGSITWNARLKIIKGTGRGLSHLHECNPRKFVHGDIKPSNILLDADYNPYISDFGLIRLISIAGNEAPSSSSSGTPGGFIGAALPISKSAPLDRPNPYRAPESRFPTARPSQKSDVYSFGLILFEMLTGKPPEFSSPATPSTSTAGSDGVPELVRWVRRCFDEAKPLSEILDPVLVREVQAKKEVLGVFHVAISCTDSDPEARPRMKAVSETLDRIGGT >DRNTG_12936.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1576508:1577205:-1 gene:DRNTG_12936 transcript:DRNTG_12936.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHGHEEIPPSGISEQCTPVEDNVQGTAVELVDHIDKTQPLHENDSDVVVNQKYGKEHGVTEGYPEEDSLSQRSFVEK >DRNTG_20238.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001105.1:227167:231214:-1 gene:DRNTG_20238 transcript:DRNTG_20238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGMGRPYISRKQKKRQAFKESVRMANARFDGFDGEEASFLLIKKEEDDDEVLVESSLHVTRIPKGLYKALDELHVNSVEGLITFYVPSRHQEERGILFNKSNLNKSNDEDILMSKAMKNEEPWPLRILDYYPPKLRKLIKIAMQGQCLSKKGLRFQDEKVYEYRMVSAIEGGETSSKEKELVE >DRNTG_14185.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20239433:20249728:-1 gene:DRNTG_14185 transcript:DRNTG_14185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTIGDGRMAAMVRLLKIESSPEITAEEANLEKIARQKIHKEFHDADEENLLEEEDMHVFDSRPLTDPLHLVCCNACKKPVKASQYAAHAERCVPLNFKEEPKKECNSNGKHKKPPRKGREKLQAADHKCTTVGELENCHPLDGNGIADSQSKLDGESGLVSSPSGVPKDAPIPLATKIYHLQGNHRLRLALGDLYRQASVKEHGGYSLSANLVKDKDLLPSQITSHKTDVSQKKIKMSVKTWSQSS >DRNTG_14185.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20239433:20241376:-1 gene:DRNTG_14185 transcript:DRNTG_14185.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRYSSAIFSYSGESGPALGMTGKTEGSVPVL >DRNTG_14185.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20239433:20243954:-1 gene:DRNTG_14185 transcript:DRNTG_14185.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRYSSAIFSYSGESGPALGMTGKTEGSVPVL >DRNTG_14185.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20239433:20249728:-1 gene:DRNTG_14185 transcript:DRNTG_14185.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFIVKVCCNACKKPVKASQYAAHAERCVPLNFKEEPKKECNSNGKHKKPPRKGREKLQAADHKCTTVGELENCHPLDGNGIADSQSKLDGESGLVSSPSGVPKDAPIPLATKIYHLQGNHRLRLALGDLYRQASVKEHGGYSLSANLVKDKDLLPSQITSHKTDVSQKKEGTYFSASVPNPDQKIAQSLEPCSKISRGLASTISSSNYLQGNSIQRLGLPAATTTTGLMRTRYSSAIFSYSGESGPALGMTGKTEGSVPVL >DRNTG_14185.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20244457:20249728:-1 gene:DRNTG_14185 transcript:DRNTG_14185.5 gene_biotype:protein_coding transcript_biotype:protein_coding FEAINRSFASVKVCCNACKKPVKASQYAAHAERCVPLNFKEEPKKECNSNGKHKKPPRKGREKLQAADRIPS >DRNTG_10850.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25079227:25080235:1 gene:DRNTG_10850 transcript:DRNTG_10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSNLLVFKLVQLFGGAQGQSHSSIPTCAYRTRSPPTCPLLKKKSDSRHKACARFCVTSMKAWIREYFSGTHA >DRNTG_30690.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1823752:1826818:1 gene:DRNTG_30690 transcript:DRNTG_30690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCVNEMRSRGTRCSRRTRAQGSPMPRSLFSSMRVSGAKPDAFSITALVAGVTDVTDVRSGMALHALVVRSGFCASIPVSNSLVDMYGKCSCACDAWRVFDEMEEKNEVSWCSLLFSVVNSERLEDARRVFDEMPVKNAVAWNIMIMGHARNGGVEVSMALFKRMRSSGLDGDCTTFASLLNACSELQDPIFGRMIHGVVIRSGWNAFIEVNNSTLSFYARSGDVGDAAKVFVSMSTRNTVSWNAIIDASMRAGDVEGSISLFQSSPEKNIVSWTTMIGGLARNGYGDQALIFFRAMTRAHLRPDDYALGAVLHACAIFAVLETGTTDPRTRTPLRLLDLRLRWQWLSEHVRQVRRHQERTAGVRRNPQQRHSFLERYALRPRTARHGDSSTEVVR >DRNTG_24749.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2248597:2250385:1 gene:DRNTG_24749 transcript:DRNTG_24749.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDVGNDTCVIATVRHGTIDVLLNDESKRETPSCISFSDKQRLIGSSASSLLYPSSTFSDIKDLLLSQPRSQHRVSFLNREITLTPVHLLAMLLSHLKLISERSLGTPVSDCVISVPSFTDQLGRRAYLHAAQIAGLKPLRLIHDTTATALGYGIYKTDFSDGEIRVVFVDVGHCDTQVSVVVFDSGGLRVLSHASDRRLGGRGFDEILFKHFSKQFEDEYKIDVSSNAKASIRLKAECEKVKKVLSANAEASMSIECLMDDKDVKGFIKREEFERLASGLLEKVLFQCRNALSDAGVVVDEVQSVELVGSASRIPAITRILSSFFGKEPSRTLNASECVARGCALQCAKLTPLFKSKNYKVLGWKPAMFL >DRNTG_24749.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2248597:2252902:1 gene:DRNTG_24749 transcript:DRNTG_24749.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDVGNDTCVIATVRHGTIDVLLNDESKRETPSCISFSDKQRLIGSSASSLLYPSSTFSDIKDLLLSQPRSQHRVSFLNREITLTPVHLLAMLLSHLKLISERSLGTPVSDCVISVPSFTDQLGRRAYLHAAQIAGLKPLRLIHDTTATALGYGIYKTDFSDGEIRVVFVDVGHCDTQVSVVVFDSGGLRVLSHASDRRLGGRGFDEILFKHFSKQFEDEYKIDVSSNAKASIRLKAECEKVKKVLSANAEASMSIECLMDDKDVKGFIKREEFERLASGLLEKVLFQCRNALSDAGVVVDEVQSVELVGSASRIPAITRILSSFFGKEPSRTLNASECVARGCALQCAKLTPLFKSKNYKVLGWKPAMFL >DRNTG_24749.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2242081:2242824:1 gene:DRNTG_24749 transcript:DRNTG_24749.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSIECLMDDKDVKGFIKREEFEKLASGLLDRLLLPCENALSDAGFVVDEVQSVELIGSASGIPAITRILSSFFGKEPSRTLDVRECVARGCALQCAMLAC >DRNTG_24749.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2242081:2249989:1 gene:DRNTG_24749 transcript:DRNTG_24749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIECLMDDKDVKGFIKREEFERLASGLLEKVLFQCRNALSDAGVVVDEVQSVELVGSASRIPAITRILSSFFGKEPSRTLNASECVARGCALQCAKLTPLFKSKNYK >DRNTG_07374.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20645142:20645844:-1 gene:DRNTG_07374 transcript:DRNTG_07374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTAEGLQNMNLVYPQMLYTAANRSVDAYQHGRRQPKSLAAASRNTSVNPVASLL >DRNTG_02456.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19412865:19416493:-1 gene:DRNTG_02456 transcript:DRNTG_02456.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVFIAIALILGDGLYNFFKVLGRTVCAIVSQLQTGSTAALPLSSNNSPMSFDNQRRTEMFLKGQIPKKVAVGGYIVIAAISTATLPHIFNPLKWYYILVIYIVAPVLAFCNAYGTGLTDWSLASAYGKLAIFTIGAWAGASHGGVLAGLAACGVMMNIVSTASDIMQDFKTGYLTLSSPRSMFVSQIIGTAMGCVISPCVFWLFYKAFDDLGIPGTQIPFTIWNCFP >DRNTG_02456.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19412865:19416493:-1 gene:DRNTG_02456 transcript:DRNTG_02456.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVFIAIALILGDGLYNFFKVLGRTVCAIVSQLQTGSTAALPLSSNNSPMSFDNQRRTEMFLKGQIPKKVAVGGYIVIAAISTATLPHIFNPLKWYYILVIYIVAPVLAFCNAYGTGLTDWSLASAYGKLAIFTIGAWAGASHGGVLAGLAACGVMMNIVSTASDIMQDFKTGYLTLSSPRSMFVSQIIGTAMGCVISPCVFWLFYKAFDDLGIPGTQIPFTIWNCFP >DRNTG_02456.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19412865:19416493:-1 gene:DRNTG_02456 transcript:DRNTG_02456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVFIAIALILGDGLYNFFKVLGRTVCAIVSQLQTGSTAALPLSSNNSPMSFDNQRRTEMFLKGQIPKKVAVGGYIVIAAISTATLPHIFNPLKWYYILVIYIVAPVLAFCNAYGTGLTDWSLASAYGKLAIFTIGAWAGASHGGVLAGLAACGVMMNIVSTASDIMQDFKTGYLTLSSPRSMFVSQIIGTAMGCVISPCVFWLFYKAFDDLGIPGTQIPFTIWNCFP >DRNTG_09078.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5957783:5958811:-1 gene:DRNTG_09078 transcript:DRNTG_09078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLDHFHAWIYEAPPHHEGAHARPHRNARSNFSYQINDLARQRRTDP >DRNTG_09078.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5957937:5958811:-1 gene:DRNTG_09078 transcript:DRNTG_09078.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLDHFHAWIYEAPPHHEGAHARPHRNARSNFSYQINDLARQRRTDP >DRNTG_09078.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5957937:5958667:-1 gene:DRNTG_09078 transcript:DRNTG_09078.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLDHFHAWIYEAPPHHEGAHARPHRNARSNFSYQINDLARQRRTDP >DRNTG_09078.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5957783:5958667:-1 gene:DRNTG_09078 transcript:DRNTG_09078.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLDHFHAWIYEAPPHHEGAHARPHRNARSNFSYQINDLARQRRTDP >DRNTG_05881.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000271.1:19613:20023:1 gene:DRNTG_05881 transcript:DRNTG_05881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASHSYRTSTYQAPPVAEEPPPVRMFSPSRTYDHFERLESALGVVRTEQILEQDVASSFVLRLRTPQAPSVLPVPSSPTLAPVDPPCASSPAVAAAPEPESNTDI >DRNTG_17887.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17461300:17462544:-1 gene:DRNTG_17887 transcript:DRNTG_17887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQQLCMRASSIPRLNIAAIFTIFSNYSHVVPNPNSYNILISNLSKSNQPHQSLNLFRTMLSSGVSADAFTLPAVLRSCAALRLSSLGPTVHCLSVKSGLYSNLFVASALVIFYVRLGRLLSARYVFDEMPERDAVLWTAMLSGYAQSGEPESALGVLREMVSERIELDGVVMVSLLLSCGQLGWLRHGKSVHGFSVRRCFGLVLSLGNALIDLYVKCGDFSYAEKVFDGMSERDVISWTALILGHGLNGRANVAFKMFDEMRKERIEPNMVTFLGVLSACAHAGMVEKAWGYFSMMNEFGVACELKHYACLIDAMARAGQLEEAERLAEEMPVKPDRAVFGAILAGCRVHGNSKVAQRVSKKLLKMHPDKSGYYMSIANIYADLGRPNDAEKIRDFMLEMNVDKLPGLSSIV >DRNTG_26307.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21339408:21345753:1 gene:DRNTG_26307 transcript:DRNTG_26307.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAATAEEKEASSWEAALRRMLPPGAPLPDEDHLDYSIALDLDSPPPSKRETPPETQQAFDPSPRAPRFSRFRLPDTRSSPRPSSAARSPGSDGGDASSREISVESNNGRSSTVKKGGACSRCGRSSGIFREKEACLVCDARFCSNCVLKAMGSMPEGRKCVGCIGRPIDERKRSALGRRSKMLSKLCTPLEVRQMMKAELECAANQLRPEQVVVNGRELRQEELDEILGCLAPPSGLRPGRYWYDKDSGLWGKEGEKPDRVISSKLNVGGKLKADASNGNTQVFINGREITKLELRVLKLAKVQCPRDTHFWVYDDGSYEEEGQNNIRGKIWDKASTRLICSLFSLPTPLGHATGPREDTSIFSSRSVPEYLEQKRVQKLLLLGLQGSGTSTVFKQAKLLYGNKFSLEEVQEMKLMIQSNMYRYLSILLEGREQFEDEALHLKLNLHKENSTPDTEGGNKENKACAYSLNQRLKHFSDWLLEIMAMGDLDAFFPAATREYAPVVDEVWKDPAIQETYKRRNELHSLPDVADYFLNRAIEISSNEYEPSERDILYAEGVTQYNGLAFVDFSLDDRSAISESYSESLDCQPSLTRYQLIRVNSKGLGEGCKWLEMFEDVRAIIFCVSLGDYDQMWAKDHGSLQNKMMASRELFESVVKHPSFRDIPFVLLLNKYDVFEEKINKVPLTVCEWFFDFSPVKPHNISQSLASQAYYYIAVKFKDLHASISNKKLFVFQTKARERATVDDAFKYIKEVVKWDDAKDDDAYGFVDESFYTTEVSASPYKWKEG >DRNTG_26307.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21343475:21345753:1 gene:DRNTG_26307 transcript:DRNTG_26307.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGDLDAFFPAATREYAPVVDEVWKDPAIQETYKRRNELHSLPDVADYFLNRAIEISSNEYEPSERDILYAEGVTQYNGLAFVDFSLDDRSAISESYSESLDCQPSLTRYQLIRVNSKGLGEGCKWLEMFEDVRAIIFCVSLGDYDQMWAKDHGSLQNKMMASRELFESVVKHPSFRDIPFVLLLNKYDVFEEKINKVPLTVCEWFFDFSPVKPHNISQSLASQAYYYIAVKFKDLHASISNKKLFVFQTKARERATVDDAFKYIKEVVKWDDAKDDDAYGFVDESFYTTEVSASPYKWKEG >DRNTG_26307.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21339408:21343417:1 gene:DRNTG_26307 transcript:DRNTG_26307.3 gene_biotype:protein_coding transcript_biotype:protein_coding METAATAEEKEASSWEAALRRMLPPGAPLPDEDHLDYSIALDLDSPPPSKRETPPETQQAFDPSPRAPRFSRFRLPDTRSSPRPSSAARSPGSDGGDASSREISVESNNGRSSTVKKGGACSRCGRSSGIFREKEACLVCDARFCSNCVLKAMGSMPEGRKCVGCIGRPIDERKRSALGRRSKMLSKLCTPLEVRQMMKAELECAANQLRPEQVVVNGRELRQEELDEILGCLAPPSGLRPGRYWYDKDSGLWGKEGEKPDRVISSKLNVGGKLKADASNGNTQVFINGREITKLELRVLKLAKVQCPRDTHFWVYDDGSYEEEGQNNIRGKIWDKASTRLICSLFSLPTPLGHATGPREDTSIFSSRSVPEYLEQKRVQKLLLLGLQGSGTSTVFKQAKLLYGNKFSLEEVQEMKLMIQSNMYRYLSILLEGREQFEDEALHLKLNLHKENSTPDTGKNLIHCENY >DRNTG_26307.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21339408:21345753:1 gene:DRNTG_26307 transcript:DRNTG_26307.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMIQSNMYRYLSILLEGREQFEDEALHLKLNLHKENSTPDTEGGNKENKACAYSLNQRLKHFSDWLLEIMAMGDLDAFFPAATREYAPVVDEVWKDPAIQETYKRRNELHSLPDVADYFLNRAIEISSNEYEPSERDILYAEGVTQYNGLAFVDFSLDDRSAISESYSESLDCQPSLTRYQLIRVNSKGLGEGCKWLEMFEDVRAIIFCVSLGDYDQMWAKDHGSLQNKMMASRELFESVVKHPSFRDIPFVLLLNKYDVFEEKINKVPLTVCEWFFDFSPVKPHNISQSLASQAYYYIAVKFKDLHASISNKKLFVFQTKARERATVDDAFKYIKEVVKWDDAKDDDAYGFVDESFYTTEVSASPYKWKEG >DRNTG_25678.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:978337:978797:1 gene:DRNTG_25678 transcript:DRNTG_25678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDFHEMDNMLSEDCGMTPRIFEYLFMRIKQEEGARNDEQLKYSCKCSFLEIYNEQITDLLEPSSTNLQLREDMKKGVYVENLK >DRNTG_30126.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3164451:3165316:1 gene:DRNTG_30126 transcript:DRNTG_30126.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLTNFVAYSSSSDPYLMAPSDNFNAPYCVYPPSFPSPPSTTFPTPTFPFLQPPPSPESEQEPPPGPPEYERSPPEFIPGTPAFLPPIVYPPPSVPPPPYRGPTQALWCVAKPTVPDPIILEAMNYACGSGADCDAIQPNGACFQPDTLISHASFAFNSYWQRTKLVGGTCDFGDVAMLITRNPSYDGCTFTLNM >DRNTG_30126.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3164031:3165316:1 gene:DRNTG_30126 transcript:DRNTG_30126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRDAYLGLLIIYLLLSLSKPTNFLIHTEARRHNNQMKTLTNFVAYSSSSDPYLMAPSDNFNAPYCVYPPSFPSPPSTTFPTPTFPFLQPPPSPESEQEPPPGPPEYERSPPEFIPGTPAFLPPIVYPPPSVPPPPYRGPTQALWCVAKPTVPDPIILEAMNYACGSGADCDAIQPNGACFQPDTLISHASFAFNSYWQRTKLVGGTCDFGDVAMLITRNPSYDGCTFTLNM >DRNTG_09971.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:6075336:6089091:1 gene:DRNTG_09971 transcript:DRNTG_09971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLKLWILSRLDVPYLPTIFKSLGTEYDEKVLPSIGNEVLKAVVSQFNVDQDLTERPHVSALVHDALICRARDFNIVLDDVAITHLSYGTEFYSAVEMKQVAQPEAERSKFFVAKVEQERKAAVIRSEDLSKTAKLISKATTATGMGFLKT >DRNTG_05848.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31564237:31571717:1 gene:DRNTG_05848 transcript:DRNTG_05848.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIVIYPFTGIGHLAPMTEFAKLIVAQGFSVAIPIPPPINPSLSTSATDNCISSVSSTHPSISFHRLPPFTSDYSSKKRAVLRKSFIRAANPHLRDLVRDISQTSNIRAILVDFLSLEALDVATELQLPAYVFFTSGALSLSCFLYLPTLHNEMTSSFNELGETPIHIPGLPPIPASHMPDHMRDRDEGLQAFVDAFSRIPDAKGIIVNSFEILESRTLKTVREGHCLPNRDTPPVYCVGPLTTGTGSEAGERHECLTWLDKQPRGSVVFLCFGSRGRFPAEQVKEIALGLERSDQKFLWVVRSPPDPDNWFASSNEVDLDTLLPVGFLERTEERGMVVKAWAPQEEVLNHEATGGFVTHCGWNSVLEGVRAGLGMIAWPLYAEQKMNKVLLVEEMKLAVEMKGYDKEMVAAEEVETRVRWFMESDGGKKLRDRAKEMKDSAAAALSHGGSSHAAMVELLSNISN >DRNTG_05848.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31570048:31571717:1 gene:DRNTG_05848 transcript:DRNTG_05848.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVVLYSVTSISHLAPMTEFAKLLVAQGFSVAIPVLPPMHPSVSTSTTDDYITRISYSHPSISFHRLPPFTTNCSSTNFAVRLFSYVRSANPLLCELLQSISQTSNVRAILTDFFCTDAFEIAADLNLPAYVFITCSAFMLAYFLYRPTLHSEMTSGLSELGETPIHIPGLPPIPASHMPDVLDRNEGLQAFVDAFSHIPEAKGVIVNSFEILESRTLKTVREGHCLPNRDTPPVYCVGPLTTGSEAGGEAGERHECLTWLDKQPRGSVLFLCFGSRGRFPAEQVKEIALGLERSEQRFLWVVRSPPDPDNWFASSNEVDLDTLLPVGFLERTEDRGMVVKAWAPQEEVLNHEATGGFVTHCGWNSVLEGVRAGVGMIAWPLYAEQKMNKVLLVEEMKLAVEMKGYDKEMVAAEEVETRVRWFMESDGGKKLRDRAKEMKDSAAAALSHGGSSHAAMVELLSNISN >DRNTG_28687.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21329322:21336075:1 gene:DRNTG_28687 transcript:DRNTG_28687.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESVSRQYGITKPISTAMPTEADLQRTVELEKFLVDAGLYESKEECAKREEVLGEINQIVKSWVKQLTRQRGYSDQMVEDANAVIYTFGSFRLGVHGPGADIDTLCVGPSYINREDFFIILHRILENVEEVAELQPVPDAHVPVMRFKFRGISIDLLYASISLLVVPEDLDISQGSVLYDVDEPTVRSLNGCRVADQILRLVPNVEQFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARICQLYPNAVASMLVSRFFRVYTQWRWPNPVMLCPIEENELGFPVWDPRRNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVIMEQFEKGNKICEGIETNGAQWSALFEPYLFFESYKNYLQVDIRAEDDEDLRLWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEYVDTSKLSANRVFFMGLQRKPGMKVQEGQQFDIRATVDEFKHSVNLYMYWKPGMEIYVSHVHRKQIPSYVFPEGYKRPRPSRSLNQQRVGKSSCGGGAEECRAGERHLKRKNEALEGGSRSAKREKRLSVSPNGRDVHSPESANHDSSASPSISPALEHRVDVSLGGRVSRESVSTSCLGTKDTDNEGCLEQSGSVELNQQGQPCSNNICNSSGDVCQSTLQGNVDTRLATNINDMIQQNSPGHAEDNFADTERERKLPSDLSRTVASSGESIYCMFREGLQTEDMDGGKHKSAKAVKGDDICSSLINSPKEVVSDQNMDISENDNNLHLLENNHKTGMDAVLPGQCLNEEGKFENSSAEELEVLHLVPITPLCSLA >DRNTG_28687.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21329322:21336075:1 gene:DRNTG_28687 transcript:DRNTG_28687.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLLSFEAIFVKMHIFCTVACFCCHQGIETNGAQWSALFEPYLFFESYKNYLQVDIRAEDDEDLRLWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEYVDTSKLSANRVFFMGLQRKPGMKVQEGQQFDIRATVDEFKHSVNLYMYWKPGMEIYVSHVHRKQIPSYVFPEGYKRPRPSRSLNQQRVGKSSCGGGAEECRAGERHLKRKNEALEGGSRSAKREKRLSVSPNGRDVHSPESANHDSSASPSISPALEHRVDVSLGGRVSRESVSTSCLGTKDTDNEGCLEQSGSVELNQQGQPCSNNICNSSGDVCQSTLQGNVDTRLATNINDMIQQNSPGHAEDNFADTERERKLPSDLSRTVASSGESIYCMFREGLQTEDMDGGKHKSAKAVKGDDICSSLINSPKEVVSDQNMDISENDNNLHLLENNHKTGMDAVLPGQCLNEEGKFENSSAEELEVLHLVPITPLCSLA >DRNTG_28687.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21329322:21336075:1 gene:DRNTG_28687 transcript:DRNTG_28687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVSRQYGITKPISTAMPTEADLQRTVELEKFLVDAGLYESKEECAKREEVLGEINQIVKSWVKQLTRQRGYSDQMVEDANAVIYTFGSFRLGVHGPGADIDTLCVGPSYINREDFFIILHRILENVEEVAELQPVPDAHVPVMRFKFRGISIDLLYASISLLVVPEDLDISQGSVLYDVDEPTVRSLNGCRVADQILRLVPNVEQFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARICQLYPNAVASMLVSRFFRVYTQWRWPNPVMLCPIEENELGFPVWDPRRNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVIMEQFEKGNKICEGIETNGAQWSALFEPYLFFESYKNYLQVDIRAEDDEDLRLWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEYVDTSKLSANRVFFMGLQRKPGMKVQEGQQFDIRATVDEFKHSVNLYMYWKPGMEIYVSHVHRKQIPSYVFPEGYKRPRPSRSLNQQRVGKSSCGGGAEECRAGERHLKRKNEALEGGSRSAKREKRLSVSPNGRDVHSPESANHDSSASPSISPALEHRVDVSLGGRVSRESVSTSCLGTKDTDNEGCLEQSGSVELNQQGQPCSNNICNSSGDVCQSTLQGNVDTRLATNINDMIQQNSPGHAEDNFADTERERKLPSDLSRTVASSGESIYCMFREGLQTEDMDGGKHKSAKAVKGDDICSSLINSPKEVVSDQNMDISENDNNLHLLENNHKTGMDAVLPGQCLNEEGKFENSSAEELEPNLALGMVLKAHGGVDSEPVQKPVIRH >DRNTG_28687.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21329322:21336075:1 gene:DRNTG_28687 transcript:DRNTG_28687.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLLSFEAIFVKMHIFCTVACFCCHQGIETNGAQWSALFEPYLFFESYKNYLQVDIRAEDDEDLRLWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEYVDTSKLSANRVFFMGLQRKPGMKVQEGQQFDIRATVDEFKHSVNLYMYWKPGMEIYVSHVHRKQIPSYVFPEGYKRPRPSRSLNQQRVGKSSCGGGAEECRAGERHLKRKNEALEGGSRSAKREKRLSVSPNGRDVHSPESANHDSSASPSISPALEHRVDVSLGGRVSRESVSTSCLGTKDTDNEGCLEQSGSVELNQQGQPCSNNICNSSGDVCQSTLQGNVDTRLATNINDMIQQNSPGHAEDNFADTERERKLPSDLSRTVASSGESIYCMFREGLQTEDMDGGKHKSAKAVKGDDICSSLINSPKEVVSDQNMDISENDNNLHLLENNHKTGMDAVLPGQCLNEEGKFENSSAEELEPNLALGMVLKAHGGVDSEPVQKPVIRH >DRNTG_32707.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9597916:9604386:1 gene:DRNTG_32707 transcript:DRNTG_32707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVTMPLSPRLRPPPDCEAEEKSHKCSNRR >DRNTG_28001.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001422.1:45147:46283:1 gene:DRNTG_28001 transcript:DRNTG_28001.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEDAKTKRILLAACAVLMVSVVFILHTFNEDDESGEEDTDIEESDKIAKLGHEDDESVEEDTDTDKNDSIAKFAEALMHAAKAIRSNYVHWTQELMEKLLQLENEGYDEMILERAFDALYKNEVKARSFMVRPRDWKLEWMRKFVDGEVQN >DRNTG_28001.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001422.1:43470:46283:1 gene:DRNTG_28001 transcript:DRNTG_28001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEDAKTKRILLAACAVLMVSVVFILHTFNEDDESGEEDTDIEESDKIAKLGHEDDESVEEDTDTDKNDSIAKFAEALMHAAKAIRSNYVHWTQELMEKLLQLENEGYDEMILERAFDALYKNEVKARSFMVRPRDWKLEWMRKFVDGEVQN >DRNTG_08260.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17627301:17628533:1 gene:DRNTG_08260 transcript:DRNTG_08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGLVEKIYGKINASPRVKTFVWLLCHNKIKTYTFLHAMNLSLIQNCVFYDLQVETAEQLINHCTKVQLVWTKIVDFLVLVFMVLTLLLQVLGWITLMPTLLNICLPLSPQHAGTSGNVDVIGSSKIEAQISLLLLKPLWIMLKISLFVQHVIKDCNSFMLISLKLDNYIFMLLVAGCWRSNSGKPRLGFIAIDSNDVAICVAIAHKFVSS >DRNTG_04832.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18267881:18269091:-1 gene:DRNTG_04832 transcript:DRNTG_04832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMENSWRARWKILLKIRLNRGLNRAGIEHSHAIVDSSYGPVEFPHRHGECPHARVDRNFSSIKGLSGDDLAIKTQVGIRKDDCLRAPPTHCNDLNTWRLAHIRRNDSECLPRFPYYPIYGAIWRPYAPWQKRNFWLNFLVGIFWETLERLVATLGRIRRARKIEDHPSPMSKTLKARRQLHSRGDLPRFEGRGPTARGSVIGHSFGGESVIRHILHLLLHHFI >DRNTG_02574.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19424519:19427116:1 gene:DRNTG_02574 transcript:DRNTG_02574.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEEGSIGQASNSRRERLKALRAAQDLLNTPDEDGTKPQDPSEDQQQEDEAAGGEDEVGQAAAARRLRLQALKEANELLSTPDEGSRQDETEETEDAEEEMKFNLKFRNYLPHDEQLQRGKLPPAVLPKFEDPVAPVAPPPEKIEVAMQDPLCEYCTEEAKLGPA >DRNTG_02574.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19424519:19427116:1 gene:DRNTG_02574 transcript:DRNTG_02574.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEEGSIGQASNSRRERLKALRAAQDLLNTPDEDGTKPQDPSEDQQQEDEAAGGEDEVGQAAAARRLRLQALKEANELLSTPDEGSRQDETEETEDAEEEMKFNLKFRNYLPHDEQLQRGKLPPAVLPKFEDPVAPVAPPPEKIEVPFVNIAPKKPNWDLRRDVQKRLEKLEKRTQIALRQLMLEQEKEREASEAGSGVTED >DRNTG_02574.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19424519:19427116:1 gene:DRNTG_02574 transcript:DRNTG_02574.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEEGSIGQASNSRRERLKALRAAQDLLNTPDEDGTKPQDPSEDQQQEDEAAGGEDEVGQAAAARRLRLQALKEANELLSTPDEGSRQDETEETEDAEEEMKFNLKFRNYLPHDEQLQRGKLPPAVLPKFEDPVAPVAPPPEKIEVIDHVTFTSNFVSSFNQ >DRNTG_04936.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8101135:8102524:1 gene:DRNTG_04936 transcript:DRNTG_04936.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFNPLSSSSSSFSSYTDSSPEPTPFSAMLDIYVHQARNIHNICIYANQDVYAKFSLTCSPDDTLSTQIIKGGGQNPDFNELLHLPIPHRDAVLKCEIWMLSRARNYLDDQLLGFTLVPLSLLPLSHPHPKLTQDFTLSSTDLFHSPAGTVQLSLSLDTCSQPSCNSSVSFQDSGISTSTITSEVVLLNPDEYKRIEFPDIGVAKENQLMVSEYFGMAPYFLHLASSPPPPPPPPQSLDDYDMTKNEAAAAATTEESFQHSSATSLSDDRKSQEESNNGNEDDEHDKECGKQESEELASVFKSPLGNINFEEEQSVMQQQIVDMYMRSMQQFTESLAKMKLPMDLDNPKVGEDGADVIQSEDKSKLEALNKKKKKKKDGSRVFYGSRAFF >DRNTG_04936.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8101135:8102572:1 gene:DRNTG_04936 transcript:DRNTG_04936.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFNPLSSSSSSFSSYTDSSPEPTPFSAMLDIYVHQARNIHNICIYANQDVYAKFSLTCSPDDTLSTQIIKGGGQNPDFNELLHLPIPHRDAVLKCEIWMLSRARNYLDDQLLGFTLVPLSLLPLSHPHPKLTQDFTLSSTDLFHSPAGTVQLSLSLDTCSQPSCNSSVSFQDSGISTSTITSEVVLLNPDEYKRIEFPDIGVAKENQLMVSEYFGMAPYFLHLASSPPPPPPPPQSLDDYDMTKNEAAAAATTEESFQHSSATSLSDDRKSQEESNNGNEDDEHDKECGKQESEELASVFKSPLGNINFEEEQSVMQQQIVDMYMRSMQQFTESLAKMKLPMDLDNPKVGEDGADVIQSEDKSKLEALNKKKKKKKDGSRVFYGSRAFF >DRNTG_04936.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8101044:8102572:1 gene:DRNTG_04936 transcript:DRNTG_04936.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFNPLSSSSSSFSSYTDSSPEPTPFSAMLDIYVHQARNIHNICIYANQDVYAKFSLTCSPDDTLSTQIIKGGGQNPDFNELLHLPIPHRDAVLKCEIWMLSRARNYLDDQLLGFTLVPLSLLPLSHPHPKLTQDFTLSSTDLFHSPAGTVQLSLSLDTCSQPSCNSSVSFQDSGISTSTITSEVVLLNPDEYKRIEFPDIGVAKENQLMVSEYFGMAPYFLHLASSPPPPPPPPQSLDDYDMTKNEAAAAATTEESFQHSSATSLSDDRKSQEESNNGNEDDEHDKECGKQESEELASVFKSPLGNINFEEEQSVMQQQIVDMYMRSMQQFTESLAKMKLPMDLDNPKVGEDGADVIQSEDKSKLEALNKKKKKKKDGSRVFYGSRAFF >DRNTG_04936.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8101135:8102592:1 gene:DRNTG_04936 transcript:DRNTG_04936.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFNPLSSSSSSFSSYTDSSPEPTPFSAMLDIYVHQARNIHNICIYANQDVYAKFSLTCSPDDTLSTQIIKGGGQNPDFNELLHLPIPHRDAVLKCEIWMLSRARNYLDDQLLGFTLVPLSLLPLSHPHPKLTQDFTLSSTDLFHSPAGTVQLSLSLDTCSQPSCNSSVSFQDSGISTSTITSEVVLLNPDEYKRIEFPDIGVAKENQLMVSEYFGMAPYFLHLASSPPPPPPPPQSLDDYDMTKNEAAAAATTEESFQHSSATSLSDDRKSQEESNNGNEDDEHDKECGKQESEELASVFKSPLGNINFEEEQSVMQQQIVDMYMRSMQQFTESLAKMKLPMDLDNPKVGEDGADVIQSEDKSKLEALNKKKKKKKDGSRVFYGSRAFF >DRNTG_04936.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8101044:8102524:1 gene:DRNTG_04936 transcript:DRNTG_04936.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFNPLSSSSSSFSSYTDSSPEPTPFSAMLDIYVHQARNIHNICIYANQDVYAKFSLTCSPDDTLSTQIIKGGGQNPDFNELLHLPIPHRDAVLKCEIWMLSRARNYLDDQLLGFTLVPLSLLPLSHPHPKLTQDFTLSSTDLFHSPAGTVQLSLSLDTCSQPSCNSSVSFQDSGISTSTITSEVVLLNPDEYKRIEFPDIGVAKENQLMVSEYFGMAPYFLHLASSPPPPPPPPQSLDDYDMTKNEAAAAATTEESFQHSSATSLSDDRKSQEESNNGNEDDEHDKECGKQESEELASVFKSPLGNINFEEEQSVMQQQIVDMYMRSMQQFTESLAKMKLPMDLDNPKVGEDGADVIQSEDKSKLEALNKKKKKKKDGSRVFYGSRAFF >DRNTG_26269.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7385803:7387642:-1 gene:DRNTG_26269 transcript:DRNTG_26269.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNINNNNNNNNNNNNNNNNVDAATNLLSSYLNCELEAGELGFKFDTFLRDTTLNLMVAGRDTTSSGLTWFFWLVAKNPKVEAKIIEELQLKFYHYENSNDTSSLIYLHAALLESLRLYPPVPFEHKGVVRPDILPSGHGVRRRRMLVFSLYAMGRMESIWGKDCMDFRPERWISDSGKIKHEPSYKYLVFNCGPRTCLGKDMAFTQMKATAAAIISNFHVEILKGHVARPKLSIILHMKDGLMVRLRKRG >DRNTG_19577.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2048868:2051747:-1 gene:DRNTG_19577 transcript:DRNTG_19577.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSSPFPVATTTSPSPPPEKEKGIQMSGDEAGRHRHDERATHAGASFSDDEFAEPGNDRVCGEGDVLGVVAGVALSRGTWWEYLRQWRRTQCCS >DRNTG_19577.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2048868:2051747:-1 gene:DRNTG_19577 transcript:DRNTG_19577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSSPFPVATTTSPSPPPEKEKGIQMSGDEAGRHRHDERATHAGASFSDDEFAEPGNDRVCGEGDVLGVVAGVALSRGTWWEYLRQWRRTQCCS >DRNTG_19577.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2048868:2051747:-1 gene:DRNTG_19577 transcript:DRNTG_19577.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSSPFPVATTTSPSPPPEKEKGIQMSGDEAGRHRHDERATHAGASFSDDEFAEPGNDRVCGEGDVLGVVAGVALSRGTWWEYLRQWRRTQCCS >DRNTG_19577.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2048868:2051747:-1 gene:DRNTG_19577 transcript:DRNTG_19577.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSSPFPVATTTSPSPPPEKEKGIQMSGDEAGRHRHDERATHAGASFSDDEFAEPGNDRVCGEGDVLGVVAGVALSRGTWWEYLRQWRRTQCCS >DRNTG_19577.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2048868:2051747:-1 gene:DRNTG_19577 transcript:DRNTG_19577.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSSPFPVATTTSPSPPPEKEKGIQMSGDEAGRHRHDERATHAGASFSDDEFAEPGNDRVCGEGDVLGVVAGVALSRGTWWEYLRQWRRTQCCS >DRNTG_00373.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18537627:18540087:1 gene:DRNTG_00373 transcript:DRNTG_00373.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH27 [Source:Projected from Arabidopsis thaliana (AT4G29930) UniProtKB/Swiss-Prot;Acc:Q700E3] MEGYDDYQYWPFFDNDHDQFVESLAFDEEQQPIFSHSQCYDSSSPEGGGASPPSSATKNIVMERNRRRKLNDRLYALRSLVPTITKLDKASIIKDAIDYIKVLQGQEKQMLADMNETLKLSEREDKGKLVITDEMQVLTVNPFLIINPSKKPRTKIMRSSSYSPSSSAAVVSPTIEIIELRVCEFGERCMNISVTCNKKRDTLVRVCEVFDSLNLKVITANFTALSSTLLHTLFVEIDGMNCYQMEKMIRSAIEEVDNATSPMSMSC >DRNTG_00373.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18537876:18540087:1 gene:DRNTG_00373 transcript:DRNTG_00373.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH27 [Source:Projected from Arabidopsis thaliana (AT4G29930) UniProtKB/Swiss-Prot;Acc:Q700E3] MERNRRRKLNDRLYALRSLVPTITKLDKASIIKDAIDYIKVLQGQEKQMLADMNETLKLSEREDKGKLVITDEMQVLTVNPFLIINPSKKPRTKIMRSSSYSPSSSAAVVSPTIEIIELRVCEFGERCMNISVTCNKKRDTLVRVCEVFDSLNLKVITANFTALSSTLLHTLFVEIDGMNCYQMEKMIRSAIEEVDNATSPMSMSC >DRNTG_16157.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24016976:24022003:1 gene:DRNTG_16157 transcript:DRNTG_16157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAILILSLCATLGILAPACKATEFVLYSNPDTVLVPGKNFSYDLTPIGLPYGPADLVMRKDCNLVNHYKGEFAWASNTSGAADYCYLTVSNYGAAVIKGNYHYPVWTSPITSNISGDYVLILQWNGGLVEVWI >DRNTG_20462.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4637587:4639473:-1 gene:DRNTG_20462 transcript:DRNTG_20462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPVKESNHRNGWIFLKPLTVDLWLGTMAFFFYTGFVIWAMEHRINTEFRGPPSQQLGTMIYFSFSTLVFAHREKVENILSKLVLIIWLFVVLILTSSYTASLTSIITVQQLQPSITDVQELLKNGDYVGCHNVSFIEDLLKQLHFDKSKIRSVRPEDYVDALTKGSQNGGVSAIIHEIPYIKLFLAQHCKKFTMVGPIYKTAGFGFVFPKGSPLVDDVSEEILNIIEGDEMEEIERKWFGDLKACSINAKTVNSANLTFQSFSGLFIITGAASTCALLIFLALFLSKNWKELKNLDSDKTAWQRLMSLWEYYNKKDLNSHSSRRNKVSVNANDRNYDSHQESPGVETQTVSGHGDAKTNEPEEISCSELTSPNSDAVLAVVPQ >DRNTG_05844.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31589623:31591543:1 gene:DRNTG_05844 transcript:DRNTG_05844.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVVFYPAPGIGHLAPMTEFAKLLVAQGFSVDIAILPPMYPSVSTSDTDDYMTRISSSHPSITFHRLPPFTVHQSSTHIAVRFLSELRAANPLLRDLLQSISQTSNIRAILTDFFCMDVLDVAADLQLPAYVFFTCSAFILAYFLYLPTLYSEMTCGPSELGETPIHIPGVPPIPASHMPDLMRDRDEGLQTFVNLFSRLPDAKGIILNSFEFLESRTLKTVRGGHCLPNRETPPVYCAGPLIKESGGGGGERHECLTWLDKQPKGSVVFLCFGSRGRFTAEQVNEIATGLERSDQRFVWVVRSPPDPENRLATSNEVDLDTLLPVGFLERTEGRGVVVKDWAPQVEVLNHEATGVFVTHCGWNSVLEGLLAGVGLIAWPLYAEQKMNKVVLVDEMKLAVELKGYDKGKVAAEEVENRLRWLMQSDGGAELRNRAKEMKDHAMAALSDGGSSHAAVLELVSLWKKQR >DRNTG_05844.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31583295:31591543:1 gene:DRNTG_05844 transcript:DRNTG_05844.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVVLYPSSGIGHLAPMTEFAKLLVAQGLSVVMPILPPMFPSVSTSAIDDYITRISSSHPSISFHRLPTFTIDYSSTNFAIRAFSYVRAANPLLRDLLQTISQTSNIRAFLTDFFCIDALDVAADLQLPAYVFFTCSAFVLAYFLYLPTLHSEMACGPSEFGETPIHIPGLPPIPPSQLPDHTRDRDEGLQTIVDVFSRFPEAKGIIVNSFEFMESRTLKTIREGHCLPNRETPPVYCVGPLITVSKRGGERHECLTWLDKQPKGSVVFLCFGSKGRFSKEQVMEIATGLERSEQRFVWVVRSPPDPENRFANFNEVDLDTLLPVGFLERTEGRGVVVKDWAPQVEVLNHEATGVFVTHCGWNSVLEGLLAGVGLIAWPLYAEQKMNKVVLVDEMKLAVELKGYDKGKVAAEEVENRLRWLMQSDGGAELRNRAKEMKDHAMAALSDGGSSHAAVLELVSLWKKQR >DRNTG_05844.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31583295:31586469:1 gene:DRNTG_05844 transcript:DRNTG_05844.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVVLYPSSGIGHLAPMTEFAKLLVAQGLSVVMPILPPMFPSVSTSAIDDYITRISSSHPSISFHRLPTFTIDYSSTNFAIRAFSYVRAANPLLRDLLQTISQTSNIRAFLTDFFCIDALDVAADLQLPAYVFFTCSAFVLAYFLYLPTLHSEMACGPSEFGETPIHIPGLPPIPPSQLPDHTRDRDEGLQTIVDVFSRFPEAKGIIVNSFEFMESRTLKTIREGHCLPNRETPPVYCVGPLITVSKRGGERHECLTWLDKQPKGSVVFLCFGSKGRFSKEQVMEIATGLERSEQRFVWVVRSPPDPENRFANFNEVDLDTLLPVGFLERTEGRGVVVKDWAPQVEVLNHEATGGFVTHCGWNSVLEGLCAGVGMIAWPLHAEQKMNKVMLVDEMKLAVAILGYHDKGKVVAEEVENRVRWLMESDGGMELRNRAKAMKDRATAAVSDGGSSYAAVLEIASQWKLLS >DRNTG_05844.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31583295:31586469:1 gene:DRNTG_05844 transcript:DRNTG_05844.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVVLYPSSGIGHLAPMTEFAKLLVAQGLSVVMPILPPMFPSVSTSAIDDYITRISSSHPSISFHRLPTFTIDYSSTNFAIRAFSYVRAANPLLRDLLQTISQTSNIRAFLTDFFCIDALDVAADLQLPAYVFFTCSAFVLAYFLYLPTLHSEMACGPSEFGETPIHIPGLPPIPPSQLPDHTRDRDEGLQTIVDVFSRFPEAKGIIVNSFEFMESRTLKTIREGHCLPNRETPPVYCVGPLITVSKRGGERHECLTWLDKQPKGSVVFLCFGSKGRFSKEQVMEIATGLERSEQRFVWVVRSPPDPENRFANFNEVDLDTLLPVGFLERTEGRGVVVKDWAPQVEVLNHEATGGFVTHCGWNSVLEGLCAGVGMIAWPLHAEQKMNKVMLVDEMKLAVAILGYHDKGKVVAEEVENRVRWLMESDGGMELRNRAKAMKDRATAAVSDGGSSYAAVLEIASQWKLLS >DRNTG_05844.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31583561:31586469:1 gene:DRNTG_05844 transcript:DRNTG_05844.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVVLYPSSGIGHLAPMTEFAKLLVAQGLSVVMPILPPMFPSVSTSAIDDYITRISSSHPSISFHRLPTFTIDYSSTNFAIRAFSYVRAANPLLRDLLQTISQTSNIRAFLTDFFCIDALDVAADLQLPAYVFFTCSAFVLAYFLYLPTLHSEMACGPSEFGETPIHIPGLPPIPPSQLPDHTRDRDEGLQTIVDVFSRFPEAKGIIVNSFEFMESRTLKTIREGHCLPNRETPPVYCVGPLITVSKRGGERHECLTWLDKQPKGSVVFLCFGSKGRFSKEQVMEIATGLERSEQRFVWVVRSPPDPENRFANFNEVDLDTLLPVGFLERTEGRGVVVKDWAPQVEVLNHEATGGFVTHCGWNSVLEGLCAGVGMIAWPLHAEQKMNKVMLVDEMKLAVAILGYHDKGKVVAEEVENRVRWLMESDGGMELRNRAKAMKDRATAAVSDGGSSYAAVLEIASQWKLLS >DRNTG_05844.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31583295:31591753:1 gene:DRNTG_05844 transcript:DRNTG_05844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVVLYPSSGIGHLAPMTEFAKLLVAQGLSVVMPILPPMFPSVSTSAIDDYITRISSSHPSISFHRLPTFTIDYSSTNFAIRAFSYVRAANPLLRDLLQTISQTSNIRAFLTDFFCIDALDVAADLQLPAYVFFTCSAFVLAYFLYLPTLHSEMACGPSEFGETPIHIPGLPPIPPSQLPDHTRDRDEGLQTIVDVFSRFPEAKGIIVNSFEFMESRTLKTIREGHCLPNRETPPVYCVGPLITVSKRGGERHECLTWLDKQPKGSVVFLCFGSKGRFSKEQVMEIATGLERSEQRFVWVVRSPPDPENRFANFNEVDLDTLLPVGFLERTEGRGVVVKDWAPQVEVLNHEATGVFVTHCGWNSVLEGLLAGVGLIAWPLYAEQKMNKVVLVDEMKLAVELKGYDKGKVAAEEVENRLRWLMQSDGGAELRNRAKEMKDHAMAALSDGGSSHAAVLELVSLWKKQR >DRNTG_05844.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31583561:31591753:1 gene:DRNTG_05844 transcript:DRNTG_05844.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVVLYPSSGIGHLAPMTEFAKLLVAQGLSVVMPILPPMFPSVSTSAIDDYITRISSSHPSISFHRLPTFTIDYSSTNFAIRAFSYVRAANPLLRDLLQTISQTSNIRAFLTDFFCIDALDVAADLQLPAYVFFTCSAFVLAYFLYLPTLHSEMACGPSEFGETPIHIPGLPPIPPSQLPDHTRDRDEGLQTIVDVFSRFPEAKGIIVNSFEFMESRTLKTIREGHCLPNRETPPVYCVGPLITVSKRGGERHECLTWLDKQPKGSVVFLCFGSKGRFSKEQVMEIATGLERSEQRFVWVVRSPPDPENRFANFNEVDLDTLLPVGFLERTEGRGVVVKDWAPQVEVLNHEATGVFVTHCGWNSVLEGLLAGVGLIAWPLYAEQKMNKVVLVDEMKLAVELKGYDKGKVAAEEVENRLRWLMQSDGGAELRNRAKEMKDHAMAALSDGGSSHAAVLELVSLWKKQR >DRNTG_05844.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31583561:31591543:1 gene:DRNTG_05844 transcript:DRNTG_05844.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVVLYPSSGIGHLAPMTEFAKLLVAQGLSVVMPILPPMFPSVSTSAIDDYITRISSSHPSISFHRLPTFTIDYSSTNFAIRAFSYVRAANPLLRDLLQTISQTSNIRAFLTDFFCIDALDVAADLQLPAYVFFTCSAFVLAYFLYLPTLHSEMACGPSEFGETPIHIPGLPPIPPSQLPDHTRDRDEGLQTIVDVFSRFPEAKGIIVNSFEFMESRTLKTIREGHCLPNRETPPVYCVGPLITVSKRGGERHECLTWLDKQPKGSVVFLCFGSKGRFSKEQVMEIATGLERSEQRFVWVVRSPPDPENRFANFNEVDLDTLLPVGFLERTEGRGVVVKDWAPQVEVLNHEATGVFVTHCGWNSVLEGLLAGVGLIAWPLYAEQKMNKVVLVDEMKLAVELKGYDKGKVAAEEVENRLRWLMQSDGGAELRNRAKEMKDHAMAALSDGGSSHAAVLELVSLWKKQR >DRNTG_24669.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6900962:6901588:-1 gene:DRNTG_24669 transcript:DRNTG_24669.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSSKGRTITYRVSWRKALGREQMTIGPMRVSLTVKRSLGDIKDKVSREPEHHHSEAALSSP >DRNTG_28285.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11927220:11927931:-1 gene:DRNTG_28285 transcript:DRNTG_28285.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQRYSWPGNFLGYCGEVISCQEAKWRAQRYEVEGLKDAFIISLDAYESINATSKGSLARLIIHANQIVKQESGMCWGDKSWDI >DRNTG_26223.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:391590:395667:-1 gene:DRNTG_26223 transcript:DRNTG_26223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIKIGVEIDLVCKVLYVVELSTNEWMLFVWDGTDAPPVTFTQELDAEGESPLPLHFEIMPYNMTIPPVGSILRVVVGKHFKEVVQLQSGSQWIKLCNMTFITECGFWKGLLQNICKIRFLGEADANVKLNIREYENRVTSRVRQPLACSHQPSNITELDFEDYDDVPYFSLRESLLCSERSQRFKSIVRVLAAHPWRTHELQLDQDCCQISLTLEDPTARIRAYVKDAEKFFGHCQNAEIISSKLKKLLGTRDNDEAGPSDSTRDPPWVWCCIKSYFVNGINADPWIDERYWIDCTIMRG >DRNTG_26223.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:392272:396253:-1 gene:DRNTG_26223 transcript:DRNTG_26223.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSSSTNYEYLSLLDAKKAIDININLLAVITEIGSPKKSRGSDYYLNLKIMDQSSPALSVNFFSLDPTKFPQVKSHEDIICLQCVKMKIYKGDYYIQYQKAPLFIWII >DRNTG_26223.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:391590:394013:-1 gene:DRNTG_26223 transcript:DRNTG_26223.4 gene_biotype:protein_coding transcript_biotype:protein_coding KVLYVVELSTNEWMLFVWDGTDAPPVTFTQELDAEGESPLPLHFEIMPYNMTIPPVGSILRVVVGKHFKEVVQLQSGSQWIKLCNMTFITECGFWKGLLQNICKIRFLGEADANVKLNIREYENRVTSRVRQPLACSHQPSNITGDELSLSLNKCVKVLLN >DRNTG_26223.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:391590:394807:-1 gene:DRNTG_26223 transcript:DRNTG_26223.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIKIGVEIDLVCKVLYVVELSTNEWMLFVWDGTDAPPVTFTQELDAEGESPLPLHFEIMPYNMTIPPVGSILRVVVGKHFKEVVQLQSGSQWIKLCNMTFITECGFWKGLLQNICKIRFLGEADANVKLNIREYENRVTSRVRQPLACSHQPSNITEISKIQINCSSTSCTSLENA >DRNTG_26223.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:394079:396253:-1 gene:DRNTG_26223 transcript:DRNTG_26223.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSSSTNYEYLSLLDAKKAIDININLLAVITEIGSPKKSRGSDYYLNLKIMDQSSPALSVNFFSLDPTKFPQVKSHEDIICLQCVKMKIYKGDYYIQYQKAPLFIWII >DRNTG_04890.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11576213:11580503:1 gene:DRNTG_04890 transcript:DRNTG_04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPENLKVKFYKKDDCRKMGILTGFTTIRRREAKGCGVIEDRGFFGHFSRLTGWEKVWFQAVEIRGVEVILVPHNGLTTSVNSNGFSIVGADLKPKPYISGWFLGPSRVLLDSKAQEETPHAAQAAAVNAIRTIIDQDRLLIDHFAHVQDNRVGSPFSGKGCYAACRGLEGVLDMRRTTDPNGIVGDLKFLLEQAPFIAERDCLRVSDRLRVRDDSSIDSKRWKFTGNDIYTVKSF >DRNTG_02809.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000128.1:63094:66686:-1 gene:DRNTG_02809 transcript:DRNTG_02809.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRTPLTCAVLPPPPPAAPASLSPTIAAEQAPYTNTFIASPRIRVGTSIWRGTKAVTLGHSDLCT >DRNTG_21034.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:177652:178566:1 gene:DRNTG_21034 transcript:DRNTG_21034.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIPTSISTLRTTSSFIPSNPPPLLLKPNPYLYRSRSHKSTKPPPPPPSSIQTLKPPSLLVSSSFSPPPISFTSKQHHKASTGYAAALLDASRCQNSIHAVYNDVHKLMHVLHDTKSMKIALKSGDFAKQLVVLVKMLVKKGKSGLVLEVLDEFIKLYDELTFTPVMLVSSNNI >DRNTG_34886.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21871838:21873308:-1 gene:DRNTG_34886 transcript:DRNTG_34886.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDKIPKEFFVAKGSSYPSGKVSSNNSRNLRTGQRQRHLDMLPEPRSGIRSEDDEKCNTFLPRNGSCRNLKSTDNSLDDFGQSGGRSREAMQNSNAKRQNTSGTFRREI >DRNTG_20640.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20480753:20481141:-1 gene:DRNTG_20640 transcript:DRNTG_20640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENYHQRLEEKLKKERRKPLPKRGQIKAKIFGNLIHSMIPKFSKNGGSSTSRNTSPALSGYTSDASSDS >DRNTG_20640.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20480753:20483305:-1 gene:DRNTG_20640 transcript:DRNTG_20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSIHFGRCHCCCDNAHCLLPQCSTQREEMENYHQRLEEKLKKERRKPLPKRGQIKAKIFGNLIHSMIPKFSKNGGSSTSRNTSPALSGYTSDASSDS >DRNTG_20640.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20481657:20483305:-1 gene:DRNTG_20640 transcript:DRNTG_20640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGVRRLAARGPLLPRCSKDGSSSRDNGKNDNGDETSRDWDKAWSSFRNKGKKSAMRKKAKKSLFSQFNADKYVSWNPRRSEYPLSEEIDPIKRTERSNLKLWTSPQFTLAGAIVVVTMLIVYSLSVPLK >DRNTG_34568.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1451943:1455265:-1 gene:DRNTG_34568 transcript:DRNTG_34568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDRHDKEREMAAVLLSTLYVEVIEPSHIYLGFSKLVESSDDLYVDIPDAVDILALFIARAVVDDILPPAFLTKQLNSLLIEYVSSGDKVEACRCIKDLKVPFFHHDIIKRALILAMEKRAAEGRLLDLLRVAADEGLINSSQISKGFNRLIDTIDDLILDIPNARDMLQSLISKAASEGWLSVSSLLTVYSPPEKHVEENTTKLFKLRATAIIKEYFLTGDILEVMSSLISENLSSPMLNGVFIKKLITLAMDRRNREKEMASVLLTFLCFPPDDVVKGFFLLIESAEDTALDIPAVVEDLAMFLARAVVDEVIAPSHLEEIGSHCEASDSIGSKVIHLTQSLLRAKLSAERILRCWGGGGGSKTGWELDDIKEKISKLLEEYHSGGELREACRCIKELGMPFFHHEVVKKALVIIMERRNERLWGLLEECHSVGLITMNQFTKGFGRVA >DRNTG_34568.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1453149:1455265:-1 gene:DRNTG_34568 transcript:DRNTG_34568.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDRHDKEREMAAVLLSTLYVEVIEPSHIYLGFSKLVESSDDLYVDIPDAVDILALFIARAVVDDILPPAFLTKQLNSLPEGSKGIEVIKRAEKGYLS >DRNTG_02596.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21249603:21257438:1 gene:DRNTG_02596 transcript:DRNTG_02596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIRSLVFCFSCYLFVFAASSAPQAFRRDPGHPQWHHGAFNDVEDSVRADVRRMLHTRAEVPFQVPLEVNVVLIGFNGDGGYRYTLDPQKLESFLKSSFPTHRPSCLQTGEPIDIEHHIVYNAILAGQPELIALEQALKDAMVPAGNARESDYGREVPLFEVDAMLVEPTFENLYSFMFDLDGGGFSAPEVDRRLPAAIFLVNFDKVRMDPRNKEIDLGSLMYGKIDGLTDEQLKTQEGGYIYRYRYDGGGASQVWLGSGRFLVIDISAGPCTYGKIETEEGSVSYRTIPRLSNLIFPSGSNAARVSSTQDLLMGQVGALIATTIEHVIAPDIRFETVDLTTRLLIPIIVLQNHNRYNILQAGHNKSIDIQAIEREVKKMVRSAQEVIIVGGSHALHRHEKLAIAVFKAMRGHSLHETKSDGRFHVHTRTYLDGAVLKEEMERSADVLAAGLLEVSDPSLLSKFYIRQTWMDESSDSQDSIIKHKPLWASYGYGKDKKKGEKKKRGNLYRTYGTRVVPVFVLSLADVDPDLLMEDENLVWTSKDVVIVLQHDNEKIPLSFVSETKKQHAFPFLAQRHILAGLASAVGSLTAPYEKASRIHERPVVNWLWATGCHPFGPFSNSSRVSVMLQDVALRSTIYAQVDSALRIIRDTSELVQSFAAEHLKTPLGEPVKGSKNKSNTELWLEKFYKKITNLPEPFPHELVERLEEYLDKLEEQLVDLSALLYDHRLEEAYHNSSEILQSTFFTQRYVERVLISEKDKMRCCKIEYSFPKQQSSQAFIYGGILLAGFLVYFLVIFFSSPVR >DRNTG_26085.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4091428:4093209:1 gene:DRNTG_26085 transcript:DRNTG_26085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAEGAVMKNNKKVLLKKYVSGFPQESDLEVVTNETILLKVPQESNAVLVKNLYLSCDPFLRVLMSDLAEPIYIEGFHLGNVIRGFGVSRVLESGHPDFKEGEYVWGITGWEEYSLITDTEKIFKIPFTDVPLSYYTGLLGMAGLTAYIGFNEMCSPKKGEYVFISAASGAVGQLVGQLGKLKGCYVVGTAGSDEKVELLKTKFGFDDAFNYKTEKSLGVAVNRSSLFSSFLLFLFYIQQKGYQVLSCLQS >DRNTG_22367.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18598942:18600721:-1 gene:DRNTG_22367 transcript:DRNTG_22367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSFAKNLYSTCPTSTSSNTTVLDLRSPNAFDNNYYVDLVNRQGLFTSDQDLYSDARTREIVKRFAGDERLFFERFAASMVKMGQLSVLTGKQGEIRDNCSVRNGRKVDGGDDDDEEGIWSLVDDEVVQSLAFE >DRNTG_20748.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001145.1:14868:18332:1 gene:DRNTG_20748 transcript:DRNTG_20748.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLPCFSSSLQAKASPKNKKKNKKILSFMVPRK >DRNTG_29857.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23102376:23107798:1 gene:DRNTG_29857 transcript:DRNTG_29857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRNGLLKKANEISVLCDAEVALIVFSTKGKLYQYATDSCMEKILERYERYSYAERALTMTEPENQGDWCLEYGKMKAKIEALQKSQRHLMGEQLDTLNLKELQHLEQQLENSLKHIRSRKTQVLLNSISDLEKKEKELQDQNRLLEKEITEKERAMAIAQQAQWEQPSQTQTTSSSSPSFLIIDPLPTVNIGDYQGRGSEDEDGAQNRIGSSLLPWMLRP >DRNTG_10591.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7305747:7306072:1 gene:DRNTG_10591 transcript:DRNTG_10591.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGEITVDCDKIAEMPEISYTIGSKTFALTLEQIKIKVFLEFLLILHTCLVHKL >DRNTG_10591.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7303643:7306072:1 gene:DRNTG_10591 transcript:DRNTG_10591.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQNLMDEKIFSFWLNRDADDEDRGELVFGGVDPQHFKGNHSYVPISRKGYWQFDMGDIRIGGYSSGYCAGGCAAIADSGTSLLAGPTAIVTEINHAIGAQGVISAECKEVVKEYGDIIIVLLIAQTRPDKICSQIGLCLFDGSQYVGTAIESVVGKAEKEQSSANSDIFCTACEMAVVWMQNQLRLNETKELLLKYANQYVLKVEELEVTQCLSGFM >DRNTG_10591.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7302431:7306072:1 gene:DRNTG_10591 transcript:DRNTG_10591.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQNLMDEKIFSFWLNRDADDEDRGELVFGGVDPQHFKGNHSYVPISRKGYWQFDMGDIRIGGYSSGYCAGGCAAIADSGTSLLAGPTAIVTEINHAIGAQGVISAECKEVVKEYGDIIIVLLIAQTRPDKICSQIGLCLFDGSQYVGTAIESVVGKAEKEQSSANSDIFCTACEMAVVWMQNQLRLNETKELLLKYANQYVLKVEELEVTQCLSGFM >DRNTG_10591.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7304283:7306072:1 gene:DRNTG_10591 transcript:DRNTG_10591.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADQNLMDEKIFSFWLNRDADDEDRGELVFGGVDPQHFKGNHSYVPISRKGYWQFDMGDIRIGGYSSGYCAGGCAAIADSGTSLLAGPTAIVTEINHAIGAQGVISAECKEVVKEYGDIIIVLLIAQTRPDKICSQIGLCLFDGSQYVGTAIESVVGKAEKEQSSANSDIFCTACEMAVVWMQNQLRLNETKELLLKYANQYVLKVEELEVTQCLSGFM >DRNTG_34761.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:8317867:8323931:-1 gene:DRNTG_34761 transcript:DRNTG_34761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDSTQQSLRKVLGALKDSTTVGLAKVNSYYYKDLDVAIIKATNHVEELAKQKHIRTIFDAVSATRPRADVAYCINALAKRLAKTHNWAVAIKILIVIHRALREVDPSFREELINYTKSSGHMLNLSHFKDGSSLNAWDYSAWVRTYALYLEERLECFRVLKYDIETERRRTSELDTIDLLEHLPALQQLLFRLISCQPEGAAVYNNVVRYALSIVAGESVNIYNAINDGTLNVVDKVGHLVSFHPQISACVICIW >DRNTG_34761.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:8317867:8321188:-1 gene:DRNTG_34761 transcript:DRNTG_34761.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLSHFKDGSSLNAWDYSAWVRTYALYLEERLECFRVLKYDIETERRRTSELDTIDLLEHLPALQQLLFRLISCQPEGAAVYNNVVRYALSIVAGESVNIYNAINDGTLNVVDKVGHLVSFHPQISACVICIW >DRNTG_17587.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3504727:3505254:1 gene:DRNTG_17587 transcript:DRNTG_17587.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLHSSILLECSQRTARSHPIINQHQQLSGLSFLWVLRKGLGLGDGVMDDDQVLPLGFEERVSGRGMVVRGWVDQVRVFESFWMEFYC >DRNTG_13775.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13165641:13165990:1 gene:DRNTG_13775 transcript:DRNTG_13775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRGLNRIGVSLGSHQPTVILKLSCLVEKKRTKVQGAERPLDLLTAAQDGRRRLGLPCCSDLPYA >DRNTG_11902.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1020650:1023107:-1 gene:DRNTG_11902 transcript:DRNTG_11902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDINQIREILPHRFPFLLVDRVIEYTPGVTAVGIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLQPEVGGSRENFFFAGIDKVRFRKPVIAGDTLVMRMTLIKLQKRFGIAKMEGKAYVGGEVVCEGEFLMATGTGSE >DRNTG_08610.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27943350:27944947:-1 gene:DRNTG_08610 transcript:DRNTG_08610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPLINLHQRLHFLTKKHIFFALYLLLLLALIQLHHQHKKSLISHEEDGSAGRANMKCDFSIGKWIRNKAKPIYNGTSCGTIKEGQNCMANGRPDTGYLHWRWQPVGCDLHPFHPSLFLTLIQNKHLAFVGDSLARNQLESLMCLLSTVSQPELIYSSGDDNKFKRWAFPSYNATVSLFWSPLLVKHVEKEPSLGKNYNKLYMDSVDERWARELDEIDIVVFSAGHWFLLPAIYYDTAGGDVLGCHYCPEFNYTEIGFFDIFRKSLRIALNEVKRRRSRRRSSEKLVVLTTFSPAHFEGEWNKAGACSKMEPFKEGEKKMEYMDGEMRRIGVEEVRKGNDVEEEEEEVNGRVKYEVVDVTELALMRGDGHPGPYMNPFPYAQGYKERLQNDCVHWCLPGPIDAWNEILLEIIKSWK >DRNTG_08610.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27943350:27944947:-1 gene:DRNTG_08610 transcript:DRNTG_08610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKAEDGSAGRANMKCDFSIGKWIRNKAKPIYNGTSCGTIKEGQNCMANGRPDTGYLHWRWQPVGCDLHPFHPSLFLTLIQNKHLAFVGDSLARNQLESLMCLLSTVSQPELIYSSGDDNKFKRWAFPSYNATVSLFWSPLLVKHVEKEPSLGKNYNKLYMDSVDERWARELDEIDIVVFSAGHWFLLPAIYYDTAGGDVLGCHYCPEFNYTEIGFFDIFRKSLRIALNEVKRRRSRRRSSEKLVVLTTFSPAHFEGEWNKAGACSKMEPFKEGEKKMEYMDGEMRRIGVEEVRKGNDVEEEEEEVNGRVKYEVVDVTELALMRGDGHPGPYMNPFPYAQGYKERLQNDCVHWCLPGPIDAWNEILLEIIKSWK >DRNTG_08610.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27943350:27944947:-1 gene:DRNTG_08610 transcript:DRNTG_08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPLINLHQRLHFLTKKHIFFALYLLLLLALIQLHHQHKKSLISHEVMRTKAEDGSAGRANMKCDFSIGKWIRNKAKPIYNGTSCGTIKEGQNCMANGRPDTGYLHWRWQPVGCDLHPFHPSLFLTLIQNKHLAFVGDSLARNQLESLMCLLSTVSQPELIYSSGDDNKFKRWAFPSYNATVSLFWSPLLVKHVEKEPSLGKNYNKLYMDSVDERWARELDEIDIVVFSAGHWFLLPAIYYDTAGGDVLGCHYCPEFNYTEIGFFDIFRKSLRIALNEVKRRRSRRRSSEKLVVLTTFSPAHFEGEWNKAGACSKMEPFKEGEKKMEYMDGEMRRIGVEEVRKGNDVEEEEEEVNGRVKYEVVDVTELALMRGDGHPGPYMNPFPYAQGYKERLQNDCVHWCLPGPIDAWNEILLEIIKSWK >DRNTG_07228.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14164817:14165117:1 gene:DRNTG_07228 transcript:DRNTG_07228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRGPEPRANKRNAAEPSSERVSSAQRANLSTMRRRHGQPRRAPGH >DRNTG_32103.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10220146:10233381:-1 gene:DRNTG_32103 transcript:DRNTG_32103.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase INO80-like protein [Source:Projected from Arabidopsis thaliana (AT3G57300) UniProtKB/TrEMBL;Acc:F4J277] MQHKSTSQPPDSLPPADGESKAPDEISELADTKQGEDDDPEEAELRKEALKAAQHAVSQQKMITNAFDSACMKLRQDAEPANDSSIAGSTNIDLLNPSTMPITSSVQTPEMFKGSLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLVVAPSSVLNNWADEVSRFCPDLKTLPYWGGLSERTVLRKNINPKRLYRREAGFHILITSYQLLVTDEKYLRRVKWQYMVLDEAQAIKSASSIRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHAVLKPFMLRRVKKDVITEMTAKTEVTVHCKLSSRQQAFYQAIKNKISLAELFDGSRGHLNDKKILNLMNIVIQLRKVCNHPELFERNEGSTYLHFGMIPNSLLPPPFGELEDVYYSGGWNPIIYKLPKLVYREIIRTSEMPCSTDGHGIQYESFERLFNIFSPDNIYCSMVPQYGCLEQHSVHSGTFGFGRLINLSPVELSFVAQSSPLEKALFSISRLDRQFIDEIVDLFMNSEGDDLQHDYNLEKGTVRAIARMLMLPITSESSLFRKKFATGPVDDPYESLVISHQERFMSNIKLLRATYAFIPRARAPPINAECPDRSFAYQMIDNLHHPWVKKKFVGFARTSEFNGPRRPNYPHPLVQEVDEESHSVPPILELTYRIFGSCPPMQSFDPAKMLTDSGKLQTLDILLKRLRAENHRVLLFAQMTKMLNILEDYMNYRKYKYFRLDGSSTIMDRRDMVREFQRRNDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKEVTVYRLICKETVEEKILQRASQKNTVQQLVMTGGHVQGDLLKPEDVVSLLIDDAQLEQKLREIPMQAKDRQKKKKVTKGIRVDADGDASLEDFGNPVSQAGENEQENVKSTSKKRKSSSDKQSHPKPKSVAHEDHMDMDELPFDEDNNLQQRPKRARAKKSSNAVTIDPAMTPAFEYQPTYYEDNNNSGDYGAEMPEGMPNADSPAT >DRNTG_01427.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000079.1:79734:82187:1 gene:DRNTG_01427 transcript:DRNTG_01427.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DPMS3 [Source:Projected from Arabidopsis thaliana (AT1G48140) UniProtKB/TrEMBL;Acc:A0A178WDH1] MKHILKIMGLLVAISAIWIGLLETSVIPRSFTWLLPVYLIVSLGCYGLLMVGVGLMLFPTCPQEAVLLQKDIREAREFLKDKGVDVGSD >DRNTG_04947.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3861376:3864749:-1 gene:DRNTG_04947 transcript:DRNTG_04947.1 gene_biotype:protein_coding transcript_biotype:protein_coding FIKFEKIIRVCFKFIREIGRRREKKIAFFQAFREARWRSTAESDPVSSSSPPRKPPFANQYLEDSTQLELKGWEVMAMMNHTTFMQNTCTILTGWKHQKLKM >DRNTG_19826.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4620201:4624147:1 gene:DRNTG_19826 transcript:DRNTG_19826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEMWLNGTEYILKQLHWHSPSEHTINGHEYDMELHMVHESKDKKIAVVGTLYRIGQPDSFLTKMEDYLEELAGEKGEEVKIGKVNPREVNKGSRKYYRYMGSLTTPPCTEGVVWTIVDKTRTVSKHQLNLLREAVHDETETNARPTQPDNDRAIYFYNPRKLQN >DRNTG_33863.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19199548:19202877:1 gene:DRNTG_33863 transcript:DRNTG_33863.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGTCLRRGSLCFFNNGHVGGDLVLYPQVGMLEYDCPYAAPNSCANSNTRSLDVRSSVQREKENLLQESRDLLLNIRRGTERVSKYWHVSSSSRLAKVS >DRNTG_15290.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4611916:4619046:1 gene:DRNTG_15290 transcript:DRNTG_15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMESGDGLDLGLNGGPVNREVEGGKEQLGSAQQQQQQQQQQSGVGDQGMAAGEGFKREMRDLEELLSKLNPMAEEFVPPSLANGNHGPGPVAAGGAGGGHFYPSNFSAPNGHVNGVVNGTGGRRKKNGYGQGKRRMNNRTSMAQKDEVIRRTVYVSDIDHQVTEEQLAALFINCGNVVDCRICGDPNSVLRFAFIEFTDEEGARAALSLAGTMLGYYPVRVLPSKTAIAPVNPTFLPKSEDEREMCTRTIYCTNIDKKVSQSDLKLFFESICGEVYRLRLLGDYHHSTRIAFVEFAMADSAIAALNCSGVVLGSLPIRVSPSKTPVRPRAPRPPLH >DRNTG_04364.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30941901:30950026:1 gene:DRNTG_04364 transcript:DRNTG_04364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRFSDGVVPLLLLCALVWSCSETVHCRNQTLPQSEVEALKKIGAKLGKHWDFSVNPCSGTSGWVEPSKSNWYGMANVTCGACQANNCHVTSIILWGQNLTGSLPDELSNLTSLQVINLSFNYLNGTIPAAWASTPLTNLYLGANRITGRIPDELGRITTLKNLYLESNLIEGPLPQSLGNLTNLLRLYLAANNITGKLPESLGNLKNITYFTIGGNPITGKIPGFIGNWTQLYTLEMQGTSLEGPFPPISRLGNIESLEVSDLKGGDGKFPPLQNMTYLYRLVLRNMSITDELPTYIGNTTIPEYLDLSFNALTGKIPDSLKGVLPNLIYLFLNNNKLTGAIPEWILTSAQNIDISYNSFNASNAPTDCSSGFVNMVSSYSSTDNKSINSCLRRNNPCSSIPENYELFINCGGHNMMVDGNEYQEDIDAQGESCYKSYEEKWAYSSTGYFMGSGQSYIANNVSPIVGPSNAINELYTSARRSPLSLTYYGLCLMNGNYTVNLHFAEIIFTDDQTYFAVGRRFFDVSIQGETVLEDFNIAKEANGTGRAIIKSFNATVNSNTLEIHLQWAGKGTRNIPLSYGAHGPLISAISVKHNFKLDTGEIHESPPKGTILGTVAAGCLVIVLVSVFIFFFLRRKNAENHELQGLELHTGIFTLRQIKAATRNFDHANKLGEGGFGPVYKGVLPDGSQIAVKQLSSKSSQGNREFINEIGIISALQHPNLVKLCGCCVEGKQLFLIYEFMENNSLANVLFGLEKERLKLDWPTRHRICLGVARGLAYLHEETRLKVVHRDIKATNVLLDKDLNAKISDFGLARLSGEDVTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVLLEIVSGLSNINYKPEEDRVHLLDWANILRKQGRLVELVDKTLGPNYSEEEAVMLLNLALICISSSASLRPTMTEVVNIIEGKKSVPVVSKKGKGSDSGLSTWLEAFEKLSDSDSELVSSSTCHEPWTESSATAYVEDKEDTWVSATSKGIFDYSEIGALS >DRNTG_25408.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24537838:24538382:1 gene:DRNTG_25408 transcript:DRNTG_25408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANALSLNKKFDFITKSFQSIETLFQMLKPAICVLLEKGVKVLIVTLGSYGVFVCCTGGPDFINEALNRRTASCSSRQLYELVNNNSLANRFHCYTETGMKSSYFAYHFPSLPASVVSLTGAGDCLVGGILASICAGIDVMQSIAVGITVAKAAVEAESNVPAKYSLT >DRNTG_11204.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2284204:2287340:1 gene:DRNTG_11204 transcript:DRNTG_11204.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein CLAVATA2 [Source:Projected from Arabidopsis thaliana (AT1G65380) UniProtKB/Swiss-Prot;Acc:O80809] MASKTENVCTLTLPLIIIVPKTMNNQTLTLTLVLLLLAAVTTAQNLHSADRSALLTLRSHLADPRGALSTWNGSRCSLWAGVLCENRTSRVIQLDLSGFNLSGELNSSLCLLSRLQTLILASNYFSGIIPSCLPRITPLRTLNLAWNLLSGQLPPTLARLRHLESLILSGNRGLGGPLPFSLAISFPALAHLDLSSANFSGEIPAGFFHLGALRYVDLSDNRLTGSLMDFDHPLDFLDLSGNQISGTLPCFSASVETLSVLNLAGNGIVGGIPTCISSLRALTVLNLSSNALEYRLSPRLIFSDKLLVLDFSSNGFSGPIPSRIVEDSDRSGLLLLNLSSNRFSGEIPPEVTELRSLQGLLLADNQIEGEIPPAIGNLTYLQALDLSGNLLSGAIPVSLAGCFQLWMLRLGGNNLSGALRPELDALDSLRILDLTGNQISGEVPLPLAGCKSLEFVDLSHNELGGELSSAVFKWQNLRQLSLAGNRFSGNLPDWIFSFPDLHSLDLSGNRFTGFIPDGNFNVSADFNGADATQDQTNIGIILRVSVNLVAGGRQVEFGYELRSPVVINLSDNELRGEIPEGLIGLQGLETLNLSYNYLTGRIPGSLAKMGRLQRLDLSHNAISGEVPVGIAGLKGLETLDLSFNCLSGMVGLRRFPGAFAGNPGLCVEFSGEGCRAGRGMEGGGGGGGGGGGEKEEEMGREEGWMSVGAFWISAVVSFYVSLVGLLCWGTTRAFLFRPSKAQNF >DRNTG_28294.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001432.1:14040:14462:1 gene:DRNTG_28294 transcript:DRNTG_28294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEVAPLGAPVLRAIGLLQKKGGPCKLASHLTTGTSSWQDHHESESKSDDAPTQDPPGASFPLDFDSRFKGMVDDIQFVISSHGSSSQVTIASCSIPPPPAAPFDNSFHF >DRNTG_05614.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20412024:20413085:1 gene:DRNTG_05614 transcript:DRNTG_05614.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNSYGLLDNNGTGDHPEASNESHCKTYVKP >DRNTG_19531.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:96120:97976:-1 gene:DRNTG_19531 transcript:DRNTG_19531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREGSDERQVQLGKYNIGRTLGEGNFGRGKYARHVDTGHPFAVKILDRHRILSFKIDNQIKREIGTLKLLRHPNVVRLHEPVNILVDAKRNIKISDFGLSALPQHLGNDGLLHTTCGSSNYITAEVLANRGYDGIKEDEWFKEDYIPAVPINDEDLSLEDAAVSIKEFIYIPKIKINYNQRVRSPAVPRVPSCWGGASSIPMNRAPRFSEDEDMVAILLPHVRL >DRNTG_09881.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:271578:272168:1 gene:DRNTG_09881 transcript:DRNTG_09881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECVPTSSHSTTLFTAGRETRETENPHGRVEIIHARVEIPHGRVYHPRPWSCPIPAIFKANSAPILVFFSPSFPQLVRGLRLGFRGVLAKVLGKFYGSDIMIPLGRRLVGELRSRRILYRTKESL >DRNTG_12875.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22871826:22873714:-1 gene:DRNTG_12875 transcript:DRNTG_12875.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYSSAASWDPNLLVELLINGEEQVKKLEANLGEQASPEFCRTLAQNIESTFKKAIKMVKLRDQDAGHVLPPFCSNNTTPSLSPESPLSANASPRSETSDRVFKDQERREISKKRKTLPKWSNQVRVNPGAGIEAPLDDGHSWRKYGQKDILGAKHPRGYYRCTHRNARGCLATKQVQRSDSDPTIFDVVYRGEHTCSCEKPLGAVAHAPASQQTGTQQSQYVAGEHQKQQQQQQQQQENQLLLNFQTNLKVKTEGLDMGKHEHDVSSFSFPSTPIGCSQPRHSFFANQANLDNHFMDSFSPTFLSPTTSESNYFSVSPCRMSNLSTGFNHHTAESEFTEIISSVTSAANSPVVDMGFILDAGEFDAFDPSNFF >DRNTG_12875.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22871766:22873714:-1 gene:DRNTG_12875 transcript:DRNTG_12875.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYSSAASWDPNLLVELLINGEEQVKKLEANLGEQASPEFCRTLAQNIESTFKKAIKMVKLRDQDAGHVLPPFCSNNTTPSLSPESPLSANASPRSETSDRVFKDQERREISKKRKTLPKWSNQVRVNPGAGIEAPLDDGHSWRKYGQKDILGAKHPRLVYQDVFLALLFFC >DRNTG_12875.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22871766:22873714:-1 gene:DRNTG_12875 transcript:DRNTG_12875.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYSSAASWDPNLLVELLINGEEQVKKLEANLGEQASPEFCRTLAQNIESTFKKAIKMVKLRDQDAGHVLPPFCSNNTTPSLSPESPLSANASPRSETSDRVFKDQERREISKKRKTLPKWSNQVRVNPGAGIEAPLDDGHSWRKYGQKDILGAKHPRGYYRCTHRNARGCLATKQVQRSDSDPTIFDVVYRGEHTCSCEKPLGAVAHAPASQQTGTQQSQYVAGEHQKQQQQQQQQQENQLLLNFQTNLKVKTEGLDMGKHEHDVSSFSFPSTPIGCSQPRHSFFANQANLDNHFMDSFSPTFLSPTTSESNYFSVSPCRMSNLSTGFNHHTAESEFTEIISSVTSAANSPVVDMGFILDAGEFDAFDPSNFF >DRNTG_12875.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22871826:22873714:-1 gene:DRNTG_12875 transcript:DRNTG_12875.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYSSAASWDPNLLVELLINGEEQVKKLEANLGEQASPEFCRTLAQNIESTFKKAIKMVKLRDQDAGHVLPPFCSNNTTPSLSPESPLSANASPRSETSDRVFKDQERREISKKRKTLPKWSNQVRVNPGAGIEAPLDDGHSWRKYGQKDILGAKHPRLVYQDVFLALLFFC >DRNTG_12875.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22871766:22873787:-1 gene:DRNTG_12875 transcript:DRNTG_12875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYSSAASWDPNLLVELLINGEEQVKKLEANLGEQASPEFCRTLAQNIESTFKKAIKMVKLRDQDAGHVLPPFCSNNTTPSLSPESPLSANASPRSETSDRVFKDQERREISKKRKTLPKWSNQVRVNPGAGIEAPLDDGHSWRKYGQKDILGAKHPRGYYRCTHRNARGCLATKQVQRSDSDPTIFDVVYRGEHTCSCEKPLGAVAHAPASQQTGTQQSQYVAGEHQKQQQQQQQQQENQLLLNFQTNLKVKTEGLDMGKHEHDVSSFSFPSTPIGCSQPRHSFFANQANLDNHFMDSFSPTFLSPTTSESNYFSVSPCRMSNLSTGFNHHTAESEFTEIISSVTSAANSPVVDMGFILDAGEFDAFDPSNFF >DRNTG_22288.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21887446:21888520:-1 gene:DRNTG_22288 transcript:DRNTG_22288.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISRLFGPEFLLKLYLAGALGGSIFFLVHGAITASSSESLQRWHSSRIAGLGASAAVNSIILLDIFLFPKNIYYVNLIIPVPAMLMGAILIGSDLWRIKKGDEHISGSAHLGGAVVAALVFARLKHWI >DRNTG_23131.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5088272:5088921:-1 gene:DRNTG_23131 transcript:DRNTG_23131.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSSLPHTPKREREREKGYEVLCFP >DRNTG_19623.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001033.1:16907:18214:-1 gene:DRNTG_19623 transcript:DRNTG_19623.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPSKDKDAAKGPDDQAATGDAENAYASFQGLLALARITGSSSNETRGACKRCGRVGHLTFQCRNFLSVKDDKEKDGDVSASIQTANAVFEKIKKASKKDGAESGPEEDEDDESEESESSDSDVDPEIEKIIAARFGKKVKKKTTEEDSVRRGRSKKRSNRGDDDSEIEEEERRREKRKKRHMTSDDDEDDRKRRHRKSRKDRKKRSHKKNEDSDESDEESDRRRRHRCKRRNRRRDASASESDSDDEPAGDRKRSHRSERRRGRRAASDSSYESDSSDKEDSRVRKEKGRIDKKSKDHRRV >DRNTG_07134.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:11559410:11575713:1 gene:DRNTG_07134 transcript:DRNTG_07134.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRSGAFPLRLQQILSGGRHSSPSLKLESEPPPKVKSFIDRVIKCPLQDIAIPLSGFRWEYNKGNFHHWRPLFLHFDTYFKTYLSSRKDLLLSESLSENDPFPKHSILQILRVMQTILENCHNKSSFHGLEHFKLLLASTDPEILLATLETLSALVKINASKIHVNGKLIGCGVVNNCLLSLAQGWGSKEEGLGLYSCVVANERSQQEGLCLFPSEEGNECGRSGTQYPLGSTLHFEFNAAGALDDENTTERSKSSNLHVIHIPDLHLRKDDDLVILKQCIERFNVPLEHRFSLLTRIRYANSFRSPKACRLYSRICILSFIILVQSSDAHDEISSFFGNEPEFTNELIRLVRSEDSVPGNVRALAMLALGAQLAAYASSHERARILSGSSIISAGGNRMVLLGVLQKAVLSLSHPSEPSSPIFVDALLQFFLLHVLSSSSPGSSARSSGLVPPLLPLLRDTDSSHMHLVCSTVKILQKLMEYSGPAVSLFKDLGGLELLAQRLQIEVHRVIGTSDDSNDQMVIGDFSKSDEDRLYSQKRLIKTLLKALGSATYSPVNSSRSQNNHDNNLPPTLALIFQNAIIFGGDIYFAAVTLMSEIIHKDPTCFSVLYDSGLPGSFLSSVTSGIIPSSKALICVPNGLGAICLNVKGLEDVKETAALNFLVEAFTTRKYLVAMNEGVVLLANAVEELLRHVSSLRSTGVDIIIFIINKLASLGEDKSSDLSAEAAENTPMETDIEEKEHEGHDLVSALDSAADGIGDEQFLHLSIFHVMVLVHRTMENSETCRLFVEKRGIETLMKLLLRPSTTLSSEGMPIALHSAVVFKGFTQHYSTPLAHAFSSALRDHIKKALDGFNSVSDPFLLTPGITADNGVVSSLFVVEFLLFLAASKDNRWISALLTEFGNGSKDVLEGIGRVHREILWQLALLDDSKPESDVGTSTSVNVARTEQGISESEDHRFSSFRQYLDPLLRRRGSGWSIESQVSDLISIYRDVGRSAGGSQRLGIDGYPTVRVGSSSQMQPSSSEDTGDSSKTETEKQRSFYSTCCDMMKSLCSHINHLFQELGKAMLLASRRENNPVNVSPPVISVVAAISSIVLDHLNFRGNDNSSKEEVSISVKCRYLGKVVDFIDGLILDRPDSCNPIMVNCFYGHGVISSILTTFEATSQLLFAVNRVPASPMDTDDKGVKQYEKEEGDDSWIYGSLASYATLMDHLATSSIILSSAKQFLEQPLINSSIAYPTTAESFVKIFQSKIVKAILPIWTHPRFSECNLEFVGAMISIIKHVYSGVVVRNGSGSVGARAAGPHPDESAISLIVEMGFSRSRAEEALRQVGTNSVEIATDWLFSHPEEPQDDDELARALAMSLGNSNTASKEDETAKSVCLEEEEEAIKLLPVDDLLSACLRLLQVKELLAFPVRDLLLMICSQNDGEHRSKVLYFIVDHVKSYSLVSDSFNGTILSALFHVLALILHEDAVSREVASKAGLVKIALKLLSEWNSGWLPVEKSEVPKWVTACFLSIDRMLQVDPKLSSDITDLEQWRKDYLETQTSLVIDETKDLQSTLNSTTHLDTDDQKRLLEISCRCIKNKLPSETMHVVLQLCATLTKVHSAAVSFLDAGGLPALLSLPTSSLFPGFNNLAAAIIRHILEDPHTLQQAMELEIRHSLITAANRHSNGRITPRNFVQNLSFVIARDPVVFVRAAQSVCQIEMVGDRPYIVLLKDRDKEKHKEKEKTTIDKDKQPESNGKINGGEMIVGSGHNKVTESNAKNVKGHKKSPPSFVSVIEHLLDSIVTFVPSSKADDQPNGVPGTPSVADMDIDSTSTKGKGKAIAVSSEDSKIDNQEAAASLAKTVFILKLMTEILLTYASSIHVVLRRDAELSNLRGPYRATSTYCGGGIFHHILHKFLPYPGMPKKDKKADGDWRQKLASRANQFLVAVSIRSSEGRKRIFSEINNVFSEFVDSSDGCRAPDSCLHAFVDLLNDILAARSPSGSYISAEASVTFIEVGLVQSLSGTIQVLDLDHSDSAKVVTGVVKALEAVTKEHVHSVDSAKADSSLKLDSNQNQQELSYSDGNRFQSLETTSQPDLAEEEAENMEHFNEDHSSGSSGSNTDDDMDHDRDLGGGFSREPEDDFMHEASEDGRGVENAISTVEITFDIQHNADDELAEDEDDGVSGDGDEVDEEDEDEDDDEDEDDENNDLEEDDDVHQISHPDTDQDDHEIDDEEFDEDALEEVEDDDEEDGVILRLEEGINGMNVFNHIEVLSGSNNLSNETLRVMPLDIFGSRRQGRTTSIYNLLGRSGDHGLPLDHPLLEEPTSLRHLVHQRQSENTVDMAFSDRNHESTSSRLDAIFRSLRSGRPGHRFNMWLDDGQQRGASNAPAVPQGIEELLVSQLRRPTPDQPNDQDASRNQPQENGDPDPLQRTVAGVGGEIPAEGNRNNENLIIPSPGSALGGSGNGVVPSNDHILQDRDASNAGDQVVDMQYERSDAAVRDVEAVSQASSGSGATLGESLRSLEVEIGSVDGHDDGERQGDLPGTRLRRSLGSTVPVGGRDIPLESVSEVPSHSEETTQSIAHEEQQVDQTVDPDSIDPTFLEALPEELRAEVLSSRQNQPAEPQNDQSQSAGDIDPEFLAALPPDIREEVLAQQRAQRQHQSQELEGQPVEMDAVSIIATFPSEIREEVLLTSDDTLLASLTPALVAEATMLRERFARRYHSDALLGMYSRNRRGESSGRGEIIGSSSERTAAAIASRKSASGKLIEADGAPLVDTDALKALIRLLRIVQLPFKAQLQRLLLNLCTHQKIRTSLVQILMDMLMLDLHGSAKNSPYDSESPFRLYACQSYIMYSRPQFSNGVPPLVSRRVLEMLTYLAKNHPNVAKLLLHLELPQPYVCERDSSVQGRGKAIMEEDKPEHERVEYSIVLLLGLLNQPLYMRSVAHLEQLLNLLEVIMVNAENDSGTSNKSGGSPERTLGSETAVQEGDVAAGTAASSDGVDIKSSKADLSNPSSSAVNKGSHTRAVLLCLPQTELQLLCSLLAREGLSDNAYNLVADVLKKLVAIAPTHCHLFITELANSVQSLTSSAMNELHLFEDSEKALLSASSSNGTTILRVLQALSSLIAVLHEKEKDPQLLPEKEQADALSQIWNINTSLESLWLELSNCISNIESSSDATSDLVSMSGNLASTSVGGVSPPLPAGAQNILPYIESFFVTCEKLRLGQADSQDFASTSDMEDASSCGQKSPGAYSKVDEKHVVFVKFSEKHRKLLNAFIRQNPGLLEKSFSLMLRVPRFIDFDNKRAHFRSKIKHQHDHHHSPVRISVRRAYILEDSYNQLRMRSPQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNESTFQPNPNSVFQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEATDPDYFKNLKWMLENDITDVLDLTFSMDADEEKLILYERAEVTDSELIPGGRNIRVTEENKHEYVDRVAEHRLTTAIRPQINAFMEGFNELIPRELISIFNDKELELLISGLPDIDLDDLRANTEYSGYSNASPVIQWFWEVVQGFSKEDKARFLQFVTGTSKVPLEGFSALQGISGSQRFQIHKAYGGPEHLPSAHTCFNQLDLPEYASKEKLQERLVLAIHEANEGFGFG >DRNTG_02133.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28828512:28829913:1 gene:DRNTG_02133 transcript:DRNTG_02133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQSKSTSHDPNQHLHNNAQVLDQSK >DRNTG_02133.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28828512:28828878:1 gene:DRNTG_02133 transcript:DRNTG_02133.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQSKSTSHDPNQHLHNNAQVLDQSK >DRNTG_26133.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28386489:28397165:1 gene:DRNTG_26133 transcript:DRNTG_26133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQRFESSVVPEKFNKSQRARPKSMQFDEPFSVDGDPPRLVYINDPKKSNDKYEFTGNEIRTSKYTVITFLPKNLFIQFHRVAYVYFLVIAALNQLPPLAVFGRTVSLFPLLFVLFVTAIKDGYEDWRRHRSDRNENNREAKVLLSGEFRSKKWKKICVGEVVKIHADESIPCDIVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETTSMLSEGGSFSGLIRCEQPNRNVYEFTANMEYNEMKIPLGQSNIVLRGCQIKNTDWVVGVVVYAGQETKAMLNSAVSPSKRSKLEKFMNRETMWLSIFLLCMCAVVAVGMGVWLRDHKARLDTLPYYRKRYFTNGRDNGKAYKYYGIAMEIFFSFLSSIIVFQIMIPISLYITMELVRLGQSYFMIEDRQMYDSSSDSRFQCRSLNINEDLGQIRFVFSDKTGTLTENKMEFRRASVYGKDYGSSTSMKKASSAGVAGDRRWKLKSEINVDLELMEVLERDLVGEERLAAHDFFLALAACNTVIPMATSNCSGDNVHDEVETIDYQGESPDEQALVAAASAHGYTLLERTSGHIVIDVLGEKLKLDVLGLHEFDSVRKRMSVVIRFPNNSVKVLVKGADSSMLTILNSVDAHGNLGAMHAQIRRETENHLNGYSSQGLRTLVVASRDLNGLEFEEWQEKYEDASTSLTDRSAKLRHAAALIECNLNLLGATGIEDKLQEGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCKLLTKNMQQIIINGTSEDECRRLLADAKAKYGIKFASCGNTANKALKKKKSNDNIVDGCGDKKNSNMLTANNQSEAFTNGGINCEMPENLPDNVVETTNPLALIIDGNSLVYILEKDLEPELFDLAVSCHVVLCCRVAPLQKAGIVDLIKSRTNDMTLAIGDGANDVSMIQMAHVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRVGHLVLYNFYRNAVFVLMLFWYVLCTAFSTTSALTDWSSVLYSVIYTSVPTIVVGVLDKDLSDKTLLQYPKLYGAGHRQESYNLTLFWIMMLDTLWQSLVLFYVPVITYSNTSIDIWSLGSLWTIAVVMLVTVHLAMDIQRWVFVTHIALWGSIIITYLLMVVIDSIPVFPNYWTIYHLVTSRTYWLTIVLIIVIALLPRFLYKVFQQVFRPSDIQIAREAEIFRKPANPLSTRSDQDSC >DRNTG_34906.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002202.1:16216:19855:-1 gene:DRNTG_34906 transcript:DRNTG_34906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFSPHLQPKPMLSRTSWGVTQSGSTYPTDSALATILPFWPLFF >DRNTG_09299.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:886610:887546:1 gene:DRNTG_09299 transcript:DRNTG_09299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDCAHRHSGWSYRNPSTDYSKQVLLSLAHGIAIVFGGCPFVFSMDKSKRSSRNKKMAFVVLILSWFIFLGGLVMLIIAAIDNSPGARLPCRISQLHFLSNGGILCFIHGAFCVAYFVLATASMEETERKN >DRNTG_29669.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001494.1:18926:19421:1 gene:DRNTG_29669 transcript:DRNTG_29669.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSEGKHGGKANHDRMPIHNSKKNGGVHISEALRLQLDVQKNLHEQLEVEHINSNPFI >DRNTG_11968.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14427287:14429803:-1 gene:DRNTG_11968 transcript:DRNTG_11968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEESIKVADEAPPKPQRPPLQTLAVSPLKSHPKDEKE >DRNTG_16216.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30833128:30833961:-1 gene:DRNTG_16216 transcript:DRNTG_16216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKTKELSVLCDVKACAIVYSPDEYRPEVWPPEPERVMRVLSSFKSLPEMEKSKKMLNQEGFLRQRISKTQEQLQKLEKDNRESRTKLLMLQGLDGNNGSRIMHALTIEDVTSLSCLLEKKAKLMEDRLNHLTMIHADADADADAEANAAAAALVAVNNNNNNNNHHHQQQQLLLQAPLPAAAAAASSAVMGQQEMVQTPADWYMNVNPMMMMMTMNNNHPNDMINPPPLLPPAPHRHQVAQENVANVAAPMQHNQYAGHDEDQYVDPFLDSFFSP >DRNTG_28905.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4904800:4913720:-1 gene:DRNTG_28905 transcript:DRNTG_28905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTRMHQIYWVQSRHRMASRFRPRLFSLRDPSRTGRCRASSPSASPPCRLFTAIKNPDPSQIQR >DRNTG_23291.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1272644:1274771:-1 gene:DRNTG_23291 transcript:DRNTG_23291.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQFPNTTVGVIAGLSPLSTLGFLEKLVRWSSADDGGGGGGGGMSPPFIVSNDPVRLSNYERDRLNINPKNGRHGRLDPGPVVEKLRQQRLFLERSGARCIVMPCHISHSWFNDIAYGCSVPFLHAAHSVATELKASNLRPVQTGTNVCIGILGTQTIIDSGFYQSSLNSQGFEVMVPDKATMEHTVIPAMEAYHRKDIEGARNLLRIALQLLLVRAVSTVILASDHLCGLLPHHDPLCHKCIDPMDALVRSTIQWARSASTSSGEGC >DRNTG_23291.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1272574:1275095:-1 gene:DRNTG_23291 transcript:DRNTG_23291.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQFPNTTVGVIAGLSPLSTLGFLEKLVRWSSADDGGGGGGGGMSPPFIVSNDPVRLSNYERDRLNINPKNGRHGRLDPGPVVEKLRQQRLFLERSGARCIVMPCHISHSWFNDIAYGCSVPFLHAAHSVATELKASNLRPVQTGTNVCIGILGTQTIIDSGFYQSSLNSQGFEVMVPDKATMEHTVIPAMEAYHRKDIEGARNLLRIALQLLLVRAVSTVILASDHLCGLLPHHDPLCHKCIDPMDALVRSTIQWARSASTSSGEGC >DRNTG_23291.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1272644:1275095:-1 gene:DRNTG_23291 transcript:DRNTG_23291.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQFPNTTVGVIAGLSPLSTLGFLEKLVRWSSADDGGGGGGGGMSPPFIVSNDPVRLSNYERDRLNINPKNGRHGRLDPGPVVEKLRQQRLFLERSGARCIVMPCHISHSWFNDIAYGCSVPFLHAAHSVATELKASNLRPVQTGTNVCIGILGTQTIIDSGFYQSSLNSQGFEVMVPDKATMEHTVIPAMEAYHRKDIEGARNLLRIALQLLLVRAVSTVILASDHLCGLLPHHDPLCHKCIDPMDALVRSTIQWARSASTSSGEGC >DRNTG_23291.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1272644:1274771:-1 gene:DRNTG_23291 transcript:DRNTG_23291.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQFPNTTVGVIAGLSPLSTLGFLEKLVRWSSADDGGGGGGGGMSPPFIVSNDPVRLSNYERDRLNINPKNGRHGRLDPGPVVEKLRQQRLFLERSGARCIVMPCHISHSWFNDIAYGCSVPFLHAAHSVATELKASNLRPVQTGTNVCIGILGTQTIIDSGFYQSSLNSQGFEVMVPDKATMEHTVIPAMEAYHRKDIEGARNLLRIALQLLLVRAVSTVILASDHLCGLLPHHDPLCHKCIDPMDALVRSTIQWARSASTSSGEGC >DRNTG_23291.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1272644:1274771:-1 gene:DRNTG_23291 transcript:DRNTG_23291.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNLTPLLRPMVNNGWGLAGFAKGRTPTPPNYIAALQFAKPSSDSFYVGVQSWSARKCDDKSCAFLLSSSGSGGRPEEEKDPVSMSRQFPNTTVGVIAGLSPLSTLGFLEKLVRWSSADDGGGGGGGGMSPPFIVSNDPVRLSNYERDRLNINPKNGRHGRLDPGPVVEKLRQQRLFLERSGARCIVMPCHISHSWFNDIAYGCSVPFLHAAHSVATELKASNLRPVQTGTNVCIGILGTQTIIDSGFYQSSLNSQGFEVMVPDKATMEHTVIPAMEAYHRKDIEGARNLLRIALQLLLVRAVSTVILASDHLCGLLPHHDPLCHKCIDPMDALVRSTIQWARSASTSSGEGC >DRNTG_18290.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1782856:1783452:-1 gene:DRNTG_18290 transcript:DRNTG_18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVSKKKKGVADAADALSWRQKKALADVEEAAVTGEVEELISWVDMKLTNVGHHETTMAENKDDGELKRHLLSRAEVLHGTGSGEGASGKKVGQKNLKTRLTSASTSSSQGVMASIWKFHMEDDEEPSLALPT >DRNTG_20031.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001086.1:62711:63262:1 gene:DRNTG_20031 transcript:DRNTG_20031.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSYEENVRRNSNELNSHKEEGDS >DRNTG_20031.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001086.1:62711:64518:1 gene:DRNTG_20031 transcript:DRNTG_20031.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSYEENVRRNSNELNSHKEEGDS >DRNTG_04935.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8107736:8114771:-1 gene:DRNTG_04935 transcript:DRNTG_04935.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSGSWMAAPSPLNLSPPSPLQSIKHGVSPSFLCCALGSEPKPRSILHDSLEAAGIDTKHSRAAREGFCEQIGRLTEINGESSIAISKGPDLARTALYIAAEDDSLVSHSSVPLPVEAFIERLDDLSMGFCPLYMPPSHSPPEVFLANLERYFYIHKGFHRPTVMSDSRALYLHSVLTCRLGSAVMLSLVYSEMLKMLRICGFLDFDVEIYFPHDLFSLPRGYHKQKSKLSDQPHIMTAKSLLVEILRDLKAAFWPFQHTKSNSLFLRAAYAANHVSGPSFTSESYSEPHATVSGLEIASAKAAQHRIERGVWTSVRFGDMRRALSGILAAYCYCVKYLIF >DRNTG_04935.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8107736:8114771:-1 gene:DRNTG_04935 transcript:DRNTG_04935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSGSWMAAPSPLNLSPPSPLQSIKHGVSPSFLCCALGSEPKPRSILHDSLEAAGIDTKHSRAAREGFCEQIGRLTEINGESSIAISKGPDLARTALYIAAEDDSLVSHSSVPLPVEAFIERLDDLSMGFCPLYMPPSHSPPEVFLANLERYFYIHKGFHRPTVMSDSRALYLHSVLTCRLGSAVMLSLVYSEMLKMLRICGFLDFDVEIYFPHDLFSLPRGYHKQKSKLSDQPHIMTAKSLLVEILRDLKAAFWPFQHTKSNSLFLRAAYAANHVSGPSFTSESYSEPHATVSGLEIASAKAAQHRIERGVWTSVRFGDMRRALSACERLILLATDYRELRDYAVLLYHCGHYEQCLHYLKLYKTSKLQGIQIKGLWSSPDEDIEEDAVEKLITRVVLILGEEGYSKQAVWKLLA >DRNTG_32330.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24554873:24560375:-1 gene:DRNTG_32330 transcript:DRNTG_32330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRALSSLLRSSRRSVFSAPRSPSPAPLHRPSPAGFILSRVAEYASAAAAVAEAPAPSAPPTKVAGGPGGKITDEFTGAGAIGQVSQVIGAVVDVRFEEGLPPILTALEVQDHSIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIMNVIGEPIDEKGDMETNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQNESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQIAELGIYPAVDPLDSTSRMLSPHVLGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVDLKESVNSFQGVLDGKYDDLPEQAFYLVGGIEEVIAKAEKIAKESAA >DRNTG_09953.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6674555:6676153:-1 gene:DRNTG_09953 transcript:DRNTG_09953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLIIISLLQDTYPSWNFLYKHVGLIDMAVATVLIFLSSVTIQKITNKGPFLWPVFGILPTIFININRIYDWVTDELVRSGGTFLYRGMWFGRCHGVITVDPANIEYMLKTHFSNFPKGKYYRERFADFLGEGIFNADDEVWKGQRRAATAEMHSSRFVEYSMQTIRELVHYKLLRFIDKVAKDEGCVDLQDVFLRFTFDNICTAAFGVDPGCLAVDLPVVPFAKAFEQATELTLFRFTVPPFVWKPMKVLDVGSEKKLKAVIRIVHEFAEKTVAARQAEFRKLNGVNDRADLLSRLMRIDNELKTRFSDKFLKDFCISFILAGRDTSSVALAWFFWMLHSHPNVENNILNEISKIIMQRPGKKGTDNIVFSINDLKKMEYLQAALSESLRLYPSVPIDFKEAVEDDVFPDGTFVKKGARVLYSIYSMARTESIWGKDCMEFRPERWLRDGVFISESQFKYAVFNAGPRLCIGKKFAYMQMKMVAANILLRYHVEVVTGQEVVPKMTTTLYMKNGLKVRFKPRDKASVNFLL >DRNTG_13695.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5311103:5311671:1 gene:DRNTG_13695 transcript:DRNTG_13695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGDDQLMLKISLDVKEDKPANARDQDIVKIDQEMTEENEKFKMLMHFMDAKKPIEKGEEETELLSLSLGLFSNKVLEKKEEKIIASIKRKQEDHQIKDALSPGIDMKFLSEHQEDHKIKSLRNGDHEVLQQAHDKRFRVSVRARCDGPT >DRNTG_26278.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22964441:22965260:-1 gene:DRNTG_26278 transcript:DRNTG_26278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQARSLVLELHLKLQTHGWILIPFTRRHMKHYNATIASLSSVGFGGHSSLIMRSDDEVAMENWKFISERRVSLHNQGVHIISVISSQMD >DRNTG_26278.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22964441:22964936:-1 gene:DRNTG_26278 transcript:DRNTG_26278.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENQARSLVLELHLKLQTHGWILIPFTRRHMKHYNATIASLSSVGFGGHSSLIMRSDDEVAMENWKFISERRVSLHNQGVHIISVISSQMD >DRNTG_26278.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22964677:22965260:-1 gene:DRNTG_26278 transcript:DRNTG_26278.2 gene_biotype:protein_coding transcript_biotype:protein_coding RVFAFHAELNNLEADEFPPTCLAHAFEYIGEVQYLQDLKLTVQVAENYFSSMKPDGDGSGVILVDADDVLLPDINYTGSPGSNRLTTWKIKLDHWFLNCT >DRNTG_32246.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3432196:3435159:1 gene:DRNTG_32246 transcript:DRNTG_32246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIKTPKTQRAARALEKRASKLVENGKKTLILHGTKTSGVLNEVLTQIYHLKRGNAVKYTKKNKEIRPFESGGETSLEFYSLKSDCSLFVFGSHSKKRPNNLVLGRLYDHHVYDLVEVGVENLKPMESFVYDKKLAPKIGSKPFFAFIGEGFEGVEELKHLKEVLLDLFRGEVVENLNLAGVDRVYVCTAISPTTVFFTHCALRLKRSGTTIPRMELVEIGPSMDFVVRRHCLPNDSLKKEAMKTASQQSKKVKNVSRDVVQGKIGKIYMPDQQVGGMALSNDIKGLKRQRQEAKMKNSAKEDQAKKRMTDS >DRNTG_00102.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3215432:3217036:1 gene:DRNTG_00102 transcript:DRNTG_00102.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQWDMSTPNALVPTMYPNVLLTQTPPIPSKPVSELGPLPPAAPQKAYQIPLSPFVHG >DRNTG_34509.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002160.1:23798:26483:1 gene:DRNTG_34509 transcript:DRNTG_34509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAPTSSFMVLCICRICHEEEDEKETKMESPCACSGTLKFAHRGCIQRWCEEKGSTVCEICLQKFEPGYSAPPKKALVDVPVTIRDSLEVQRYYQARPEDEDEDYHYFNPACYAATERIFSYCRAIALMFTVYLLYKHLVFVLSAGEDHHYAFPLLTVFILRAIGIVLPFYLIMRMVSSIQHWQWQQHLEEEQQIHGTYSFDMNERGHHIINIHR >DRNTG_26374.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:763461:765646:-1 gene:DRNTG_26374 transcript:DRNTG_26374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVARSGRGVWEGLYRVLMRRNSVYVTFVVAGAFLGERKRYEDIPVLGQRPSE >DRNTG_18344.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1575063:1576338:-1 gene:DRNTG_18344 transcript:DRNTG_18344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFMKRFTAKHRNQTPSSKEPQNQTSINSQTPNSMTMMLKPVQDKMIHKSSLDELDTSISPAVIQKLRRYSQNNSSEDRIEWLQSQVIGNQMEFDTPFGQRTLTYADHTASGRSLKFIEDYIIDKVLPSYGNTHTDDSFVGERTTKLAHKASEFIKKCMGGGNDDSIIFCGSGTTAAIKRLQEVIGVAVSPIMRDRVLENVKEEERWVVFIGPYEHHSNILSWRQSLADVVEIGLNSDGLIDLEELERQLKSLKYLDRPKLGSFSACSNVTGIATDTRAVARLLHRHGAFACFDFAASGPYVEINMRSRDELGGYDAVYLSPHKFIGGPGTPGILLMSNSMYRLGSLPPSTCGGGTVA >DRNTG_02375.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7811912:7816556:-1 gene:DRNTG_02375 transcript:DRNTG_02375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGKGVVLLHLLLVLVAFVVVDAGHDYGKALSKSILFFEAQRSGYLPKDQRVGWRGNSGLLDGKASGVDLVGGYYDAGDNVKFGLPMAFTITMMSWSILEYGKQMGGSGELGHAIEAVKWGTDYLIKAHPEPDVLYGEVGDGNTDHYCWQRPEDMTTNRQAYRIDASNPGSDLAGETAAAMASASIVFRRSNPAYSNQLINHAKQLFEFADKYKGKYDSSIRVAQKYYQSISGYADELLWAAAWLYQATNDNYYLDYLSNNGDALGGTGWGMTEFGWDVKYAGVQVLASKFLLQGKAGHHSSVLERYQQKADYFMCSCLGKGTRNVQRTPGGLLFRQRWNNLQFVTGASFLLTVYSDYLASSGKNVQCPSGTATPSELLSFAKSQVDYILGDNPRATSYMVGYGSTYPQQVHHRASSIVSIKVNPSFVSCRGGYQTWYSRKASDPNLLDGAIVGGPDAYDNFADERDNYEQTEPATYNNAPLLGVLARLNGGNGGFNQLLPVVVPAPNVPAKKEKPISLPQPQPKPMPSPAPVTKASSPFTIVQTATTSWNAKGKTYYRYSTIVTNKSAKTAKNINISISKLYGPIWGLSKAGNGYSVPTWLSSLPAGKSFEFVYIHSASPADIWVSEYTLV >DRNTG_05003.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4453901:4455886:-1 gene:DRNTG_05003 transcript:DRNTG_05003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTVWHTFLPRQINLKCLSLDIRQRFQDVEHFRDALQNFAIKWNFGFKFLKNEKHRVTIECAADGCQWRLHASNEYNKNTFKIKTMYPSHTCGGGIGSASHPKVSKKWVSAGVIQKLKDRALYKAIDTQKDMLREHGVLIPYKQAWLEKEHARVILDGSDISSYDLLLWYVDKVVETNPASIVIVERDGEHFKRAFFSFSVCIVGFKKACRSLLFLHSTHLLGKYRGILLGATCKDGNNGFFHVAFDRSKGLVNAIVRVFSSSPYAYCLRHLEANFMKANVRLGKALRQECWSIYFCIAWPSTAKEFDDNVNEIGERWGEMYSNVTESFNVWIKESRHLPVTKMVDSIRLKLMRMLCNHCEQANKWETYLCPDIYSKVEILVEDSQNLRVGRCADDHYDVINQCNNSIDLANRTYLCRRWQAYDNYKLAYKKAIFSIPNNGKPTDENRELRLLPPAMRRQPRCPRRKMIESQVSEVRKLRYSRCHASGHNRRSCNEIFAD >DRNTG_19073.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16481750:16483606:-1 gene:DRNTG_19073 transcript:DRNTG_19073.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSPSPTTKARYDNHNKGVLRFGHRSSKMTLQASNGSIHSCRNLETR >DRNTG_19073.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16481750:16483638:-1 gene:DRNTG_19073 transcript:DRNTG_19073.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSPSPTTKARYDNHNKGVLRFGHRSSKMTLQASNGSIHSCRNLETR >DRNTG_19073.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16481750:16483606:-1 gene:DRNTG_19073 transcript:DRNTG_19073.3 gene_biotype:protein_coding transcript_biotype:protein_coding GQNRTEYQQPKPDLRQQSRGSATTENMCTWLGLTPPSWASQECRCTGSEL >DRNTG_03260.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000150.1:1:3229:-1 gene:DRNTG_03260 transcript:DRNTG_03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRPPGRGRCQRRIRRSGCLPREAGPSTLAATSISGHRARLTHSSKISDHFGRLEVFVA >DRNTG_06651.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000293.1:41147:42670:-1 gene:DRNTG_06651 transcript:DRNTG_06651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIEENSKKGKHAMMWALTHVVNKGDMLVLLHVVPSYHNGAQKSEDDFQVSNSLVSLCKSSNPEVAVESVVIKGPKLGSVLGQVKKMEISILVLSQSKALPFCCLFNNSNEEFVDQCINQAECLTMAVRKQSKGMGGYLVSTRWHKNFWLLA >DRNTG_03022.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000137.1:67704:71020:-1 gene:DRNTG_03022 transcript:DRNTG_03022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHTEQRFRDIDTKFDAITIELHALDAKCNGRFEAIVATLLMVQASLHKEVDENFGVLEVKSVEEVAPISFELAFSMEVTQNTKHKRELSWTTEDVGRRLKASNDPPMPMLDMSQPKLFPWRPKRFLWVINGRLTMVEEGDVGRRFTPPKDPPMPSLKNFQPYLFPWRPKRFLRKLQGNSARAKVEEGRMLKPIKDPPKFKLHNS >DRNTG_06147.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25465301:25467162:1 gene:DRNTG_06147 transcript:DRNTG_06147.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATDEDDDNCIRLLSFCKKHSQPSTKHIAKEEKHTLPPQNDSSCPIFSSSGCARSEPYVFSGRVVQKDSQVFAATTVKRRFLEDTPYLVSGYCQNGSRPSSLHESAQALSLSGVSKLRTQSESFGTMAEKYESMKETLRKRLTFGKSRIHGFGVFAKCAHKAGDMVIEYTGELVRSPIADIREHSIYNALV >DRNTG_06147.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25463153:25475020:1 gene:DRNTG_06147 transcript:DRNTG_06147.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYEDNLFLQCEKCRVMVHARCYGELEPLDGILWLCNLCRLGSPKYPPPCCLCPVTGGVMKPTTDGRWAHLACAMWIPETCLLDVKKMEPIDGLNRINKDRWRLLCSICSVSYGACIQCSNKSCRVAYHPLCARAAGLCVELEGDRNLHLMATDEDDDNCIRLLSFCKKHSQPSTKHIAKEEKHTLPPQNDSSCPIFSSSGCARSEPYVFSGRVVQKDSQVFAATTVKRRFLEDTPYLVSGYCQNGSRPSSLHESAQALSLSGVSKLRTQSESFGTMAEKYESMKETLRKRLTFGKSRIHGFGVFAKCAHKAGDMVIEYTGELVRSPIADIREHSIYNALVGAGTYMFRIDDERVVDATRAGSIAHLINHSCEPNCFSRMISVNGGEHIIIFAKRDINQWEELTYDYRYFSTDKQLACNCGFPRCRGVVNDITEVEEQVTKMRVPQCDVVLHSEIEENKEFSAVPQWPSTP >DRNTG_06147.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25455183:25456864:1 gene:DRNTG_06147 transcript:DRNTG_06147.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENFVHEEEEVDHPVRYLPIGRLYSPSPTCINTSGSSKIMSKKVKARKVLEDEDDELGNTVIDHDGVDTVKLNSPVKPLLVYKRASKKHRSPSDQTSFLDKVSHRAELQGDGDSSVKGNVALSVVDLEKSELGCDRTIVGKDARKRKNSVNYELLSLGDGIRKSARLSGSASSERTNYAHLRRRSRGDCKESRSLSKQKKWVELSYQGVDPHAFVGLACKVFWPMDDEWYKGSVTAFVSASNHHCVEYEDGDVENLVLLNEKIKFHVSPEEMECLDLKFGAPNADNNDLNYDEMLALAASSDCQDLEPGDLVWAKLT >DRNTG_06147.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25455183:25475020:1 gene:DRNTG_06147 transcript:DRNTG_06147.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFVHEEEEVDHPVRYLPIGRLYSPSPTCINTSGSSKIMSKKVKARKVLEDEDDELGNTVIDHDGVDTVKLNSPVKPLLVYKRASKKHRSPSDQTSFLDKVSHRAELQGDGDSSVKGNVALSVVDLEKSELGCDRTIVGKDARKRKNSVNYELLSLGDGIRKSARLSGSASSERTNYAHLRRRSRGDCKESRSLSKQKKWVELSYQGVDPHAFVGLACKVFWPMDDEWYKGSVTAFVSASNHHCVEYEDGDVENLVLLNEKIKFHVSPEEMECLDLKFGAPNADNNDLNYDEMLALAASSDCQDLEPGDLVWAKLTGYAMWPALIMDESLIGGRKGLKRTKGDRSVLVQFFGTHDFARINLKQAIPFLNGLLTSLHLKCKQTSFCRSLDEAKIYLSNQQLPERMLLMQQGIDAKECSSAFNEDEERCGSFEDNSGDEVMQKAVECIKTPLDFGNLLLTNLGKVVYDSEYFHNKQYIWPEGYTAFRKFISVKDPSLIASYKMEVLRNPKFKGRPMFRVTLDNGEQIDGPNPTICWEQVYSRIKKILLCHGQPAEIEGSDFQQSGSYMFGFTNPQISQLIKDLPNSKFCSKYFGSCRELPVGYRAVHVEWKDLDRCGVCHMDEEYEDNLFLQCEKCRVMVHARCYGELEPLDGILWLCNLCRLGSPKYPPPCCLCPVTGGVMKPTTDGRWAHLACAMWIPETCLLDVKKMEPIDGLNRINKDRWRLLCSICSVSYGACIQCSNKSCRVAYHPLCARAAGLCVELEGDRNLHLMATDEDDDNCIRLLSFCKKHSQPSTKHIAKEEKHTLPPQNDSSCPIFSSSGCARSEPYVFSGRVVQKDSQVFAATTVKRRFLEDTPYLVSGYCQNGSRPSSLHESAQALSLSGVSKLRTQSESFGTMAEKYESMKETLRKRLTFGKSRIHGFGVFAKCAHKAGDMVIEYTGELVRSPIADIREHSIYNALVGAGTYMFRIDDERVVDATRAGSIAHLINHSCEPNCFSRMISVNGGEHIIIFAKRDINQWEELTYDYRYFSTDKQLACNCGFPRCRGVVNDITEVEEQVTKMRVPQCDVVLHSEIEENKEFSAVPQWPSTP >DRNTG_06147.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25464331:25464766:1 gene:DRNTG_06147 transcript:DRNTG_06147.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTTDGRWAHLACAMWIPETCLLDVKKMEPIDGLNRINK >DRNTG_03902.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18968508:18971023:-1 gene:DRNTG_03902 transcript:DRNTG_03902.13 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPQPPPQPPPAAIVRHRLMLGPLMACAAVGVVIAAATVLIFVLCRRRASRRRTKPVEPKASPPPTLQMMTTTTALMRFSYSQLRRATGSFSPSHRLGQGGFGPVFRGELSSGQEVAVKVMDAGSLQGEREFQNELSLAEKMMAMSDRCWIVPPLGYCYSEEKQSLWRRFKRKDDNDDDEEPAPVRRILLVYDLMHNGSLQDALLDRRCPELADWHRRFSVAVDIARGLQFLHSICDPPVIHGDIKPSNILLDSNLSARIADFGLARFKNSVTDEPAAVAPQLDEEDVVEIEVDDDDDDDDDDVDDEGEIGSKAEKSKRIDDDTSVITITTISVDKSPEESPVETTGLEAASTSEVTGFDRMSVDSGSRRSGRKKTGSVLSGKDWWWRQDTGGASSDAGSSSVKDYVMEWIRTEIKKERPKSDWIAATPTASSEGSKAETAGEEEAFQEIRMVGFSRRGEDQEEGEEPAC >DRNTG_03902.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18968508:18970927:-1 gene:DRNTG_03902 transcript:DRNTG_03902.14 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPQPPPQPPPAAIVRHRLMLGPLMACAAVGVVIAAATVLIFVLCRRRASRRRTKPVEPKASPPPTLQMMTTTTALMRFSYSQLRRATGSFSPSHRLGQGGFGPVFRGELSSGQEVAVKVMDAGSLQGEREFQNELSLAEKMMAMSDRCWIVPPLGYCYSEEKQSLWRRFKRKDDNDDDEEPAPVRRILLVYDLMHNGSLQDALLDRRCPELADWHRRFSVAVDIARGLQFLHSICDPPVIHGDIKPSNILLDSNLSARIADFGLARFKNSVTDEPAAVAPQLDEEDVVEIEVDDDDDDDDDDVDDEGEIGSKAEKSKRIDDDTSVITITTISVDKSPEESPVETTGLEAASTSEVTGFDRMSVDSGSRRSGRKKTGSVLSGKDWWWRQDTGGASSDAGSSSVKDYVMEWIRTEIKKERPKSDWIAATPTASSEGSKAETAGEEEAFQEIRMVGFSRRGEDQEEGEEPAC >DRNTG_03902.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18967917:18970927:-1 gene:DRNTG_03902 transcript:DRNTG_03902.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPQPPPQPPPAAIVRHRLMLGPLMACAAVGVVIAAATVLIFVLCRRRASRRRTKPVEPKASPPPTLQMMTTTTALMRFSYSQLRRATGSFSPSHRLGQGGFGPVFRGELSSGQEVAVKVMDAGSLQGEREFQNELSLAEKMMAMSDRCWIVPPLGYCYSEEKQSLWRRFKRKDDNDDDEEPAPVRRILLVYDLMHNGSLQDALLDRRCPELADWHRRFSVAVDIARGLQFLHSICDPPVIHGDIKPSNILLDSNLSARIADFGLARFKNSVTDEPAAVAPQLDEEDVVEIEVDDDDDDDDDDVDDEGEIGSKAEKSKRIDDDTSVITITTISVDKSPEESPVETTGLEAASTSEVTGFDRMSVDSGSRRSGRKKTGSVLSGKDWWWRQDTGGASSDAGSSSVKDYVMEWIRTEIKKERPKSDWIAATPTASSEGSKAETAGEEEAFQEIRMVGFSRRGEDQEEGEEPAC >DRNTG_03902.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18966934:18971023:-1 gene:DRNTG_03902 transcript:DRNTG_03902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPQPPPQPPPAAIVRHRLMLGPLMACAAVGVVIAAATVLIFVLCRRRASRRRTKPVEPKASPPPTLQMMTTTTALMRFSYSQLRRATGSFSPSHRLGQGGFGPVFRGELSSGQEVAVKVMDAGSLQGEREFQNELSLAEKMMAMSDRCWIVPPLGYCYSEEKQSLWRRFKRKDDNDDDEEPAPVRRILLVYDLMHNGSLQDALLDRRCPELADWHRRFSVAVDIARGLQFLHSICDPPVIHGDIKPSNILLDSNLSARIADFGLARFKNSVTDEPAAVAPQLDEEDVVEIEVDDDDDDDDDDVDDEGEIGSKAEKSKRIDDDTSVITITTISVDKSPEESPVETTGLEAASTSEVTGFDRMSVDSGSRRSGRKKTGSVLSGKDWWWRQDTGGASSDAGSSSVKDYVMEWIRTEIKKERPKSDWIAATPTASSEGSKAETAGEEEAFQEIRMVGFSRRGEDQEEGEEPAC >DRNTG_03902.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18968326:18971023:-1 gene:DRNTG_03902 transcript:DRNTG_03902.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPQPPPQPPPAAIVRHRLMLGPLMACAAVGVVIAAATVLIFVLCRRRASRRRTKPVEPKASPPPTLQMMTTTTALMRFSYSQLRRATGSFSPSHRLGQGGFGPVFRGELSSGQEVAVKVMDAGSLQGEREFQNELSLAEKMMAMSDRCWIVPPLGYCYSEEKQSLWRRFKRKDDNDDDEEPAPVRRILLVYDLMHNGSLQDALLDRRCPELADWHRRFSVAVDIARGLQFLHSICDPPVIHGDIKPSNILLDSNLSARIADFGLARFKNSVTDEPAAVAPQLDEEDVVEIEVDDDDDDDDDDVDDEGEIGSKAEKSKRIDDDTSVITITTISVDKSPEESPVETTGLEAASTSEVTGFDRMSVDSGSRRSGRKKTGSVLSGKDWWWRQDTGGASSDAGSSSVKDYVMEWIRTEIKKERPKSDWIAATPTASSEGSKAETAGEEEAFQEIRMVGFSRRGEDQEEGEEPAC >DRNTG_03902.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18968326:18971066:-1 gene:DRNTG_03902 transcript:DRNTG_03902.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPQPPPQPPPAAIVRHRLMLGPLMACAAVGVVIAAATVLIFVLCRRRASRRRTKPVEPKASPPPTLQMMTTTTALMRFSYSQLRRATGSFSPSHRLGQGGFGPVFRGELSSGQEVAVKVMDAGSLQGEREFQNELSLAEKMMAMSDRCWIVPPLGYCYSEEKQSLWRRFKRKDDNDDDEEPAPVRRILLVYDLMHNGSLQDALLDRRCPELADWHRRFSVAVDIARGLQFLHSICDPPVIHGDIKPSNILLDSNLSARIADFGLARFKNSVTDEPAAVAPQLDEEDVVEIEVDDDDDDDDDDVDDEGEIGSKAEKSKRIDDDTSVITITTISVDKSPEESPVETTGLEAASTSEVTGFDRMSVDSGSRRSGRKKTGSVLSGKDWWWRQDTGGASSDAGSSSVKDYVMEWIRTEIKKERPKSDWIAATPTASSEGSKAETAGEEEAFQEIRMVGFSRRGEDQEEGEEPAC >DRNTG_03902.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18967644:18970927:-1 gene:DRNTG_03902 transcript:DRNTG_03902.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPQPPPQPPPAAIVRHRLMLGPLMACAAVGVVIAAATVLIFVLCRRRASRRRTKPVEPKASPPPTLQMMTTTTALMRFSYSQLRRATGSFSPSHRLGQGGFGPVFRGELSSGQEVAVKVMDAGSLQGEREFQNELSLAEKMMAMSDRCWIVPPLGYCYSEEKQSLWRRFKRKDDNDDDEEPAPVRRILLVYDLMHNGSLQDALLDRRCPELADWHRRFSVAVDIARGLQFLHSICDPPVIHGDIKPSNILLDSNLSARIADFGLARFKNSVTDEPAAVAPQLDEEDVVEIEVDDDDDDDDDDVDDEGEIGSKAEKSKRIDDDTSVITITTISVDKSPEESPVETTGLEAASTSEVTGFDRMSVDSGSRRSGRKKTGSVLSGKDWWWRQDTGGASSDAGSSSVKDYVMEWIRTEIKKERPKSDWIAATPTASSEGSKAETAGEEEAFQEIRMVGFSRRGEDQEEGEEPAC >DRNTG_03902.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18968326:18970927:-1 gene:DRNTG_03902 transcript:DRNTG_03902.11 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPQPPPQPPPAAIVRHRLMLGPLMACAAVGVVIAAATVLIFVLCRRRASRRRTKPVEPKASPPPTLQMMTTTTALMRFSYSQLRRATGSFSPSHRLGQGGFGPVFRGELSSGQEVAVKVMDAGSLQGEREFQNELSLAEKMMAMSDRCWIVPPLGYCYSEEKQSLWRRFKRKDDNDDDEEPAPVRRILLVYDLMHNGSLQDALLDRRCPELADWHRRFSVAVDIARGLQFLHSICDPPVIHGDIKPSNILLDSNLSARIADFGLARFKNSVTDEPAAVAPQLDEEDVVEIEVDDDDDDDDDDVDDEGEIGSKAEKSKRIDDDTSVITITTISVDKSPEESPVETTGLEAASTSEVTGFDRMSVDSGSRRSGRKKTGSVLSGKDWWWRQDTGGASSDAGSSSVKDYVMEWIRTEIKKERPKSDWIAATPTASSEGSKAETAGEEEAFQEIRMVGFSRRGEDQEEGEEPAC >DRNTG_03902.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18967917:18971023:-1 gene:DRNTG_03902 transcript:DRNTG_03902.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPQPPPQPPPAAIVRHRLMLGPLMACAAVGVVIAAATVLIFVLCRRRASRRRTKPVEPKASPPPTLQMMTTTTALMRFSYSQLRRATGSFSPSHRLGQGGFGPVFRGELSSGQEVAVKVMDAGSLQGEREFQNELSLAEKMMAMSDRCWIVPPLGYCYSEEKQSLWRRFKRKDDNDDDEEPAPVRRILLVYDLMHNGSLQDALLDRRCPELADWHRRFSVAVDIARGLQFLHSICDPPVIHGDIKPSNILLDSNLSARIADFGLARFKNSVTDEPAAVAPQLDEEDVVEIEVDDDDDDDDDDVDDEGEIGSKAEKSKRIDDDTSVITITTISVDKSPEESPVETTGLEAASTSEVTGFDRMSVDSGSRRSGRKKTGSVLSGKDWWWRQDTGGASSDAGSSSVKDYVMEWIRTEIKKERPKSDWIAATPTASSEGSKAETAGEEEAFQEIRMVGFSRRGEDQEEGEEPAC >DRNTG_03902.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18966934:18970927:-1 gene:DRNTG_03902 transcript:DRNTG_03902.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPQPPPQPPPAAIVRHRLMLGPLMACAAVGVVIAAATVLIFVLCRRRASRRRTKPVEPKASPPPTLQMMTTTTALMRFSYSQLRRATGSFSPSHRLGQGGFGPVFRGELSSGQEVAVKVMDAGSLQGEREFQNELSLAEKMMAMSDRCWIVPPLGYCYSEEKQSLWRRFKRKDDNDDDEEPAPVRRILLVYDLMHNGSLQDALLDRRCPELADWHRRFSVAVDIARGLQFLHSICDPPVIHGDIKPSNILLDSNLSARIADFGLARFKNSVTDEPAAVAPQLDEEDVVEIEVDDDDDDDDDDVDDEGEIGSKAEKSKRIDDDTSVITITTISVDKSPEESPVETTGLEAASTSEVTGFDRMSVDSGSRRSGRKKTGSVLSGKDWWWRQDTGGASSDAGSSSVKDYVMEWIRTEIKKERPKSDWIAATPTASSEGSKAETAGEEEAFQEIRMVGFSRRGEDQEEGEEPAC >DRNTG_03902.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18968143:18970927:-1 gene:DRNTG_03902 transcript:DRNTG_03902.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPQPPPQPPPAAIVRHRLMLGPLMACAAVGVVIAAATVLIFVLCRRRASRRRTKPVEPKASPPPTLQMMTTTTALMRFSYSQLRRATGSFSPSHRLGQGGFGPVFRGELSSGQEVAVKVMDAGSLQGEREFQNELSLAEKMMAMSDRCWIVPPLGYCYSEEKQSLWRRFKRKDDNDDDEEPAPVRRILLVYDLMHNGSLQDALLDRRCPELADWHRRFSVAVDIARGLQFLHSICDPPVIHGDIKPSNILLDSNLSARIADFGLARFKNSVTDEPAAVAPQLDEEDVVEIEVDDDDDDDDDDVDDEGEIGSKAEKSKRIDDDTSVITITTISVDKSPEESPVETTGLEAASTSEVTGFDRMSVDSGSRRSGRKKTGSVLSGKDWWWRQDTGGASSDAGSSSVKDYVMEWIRTEIKKERPKSDWIAATPTASSEGSKAETAGEEEAFQEIRMVGFSRRGEDQEEGEEPAC >DRNTG_03902.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18967644:18971023:-1 gene:DRNTG_03902 transcript:DRNTG_03902.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPQPPPQPPPAAIVRHRLMLGPLMACAAVGVVIAAATVLIFVLCRRRASRRRTKPVEPKASPPPTLQMMTTTTALMRFSYSQLRRATGSFSPSHRLGQGGFGPVFRGELSSGQEVAVKVMDAGSLQGEREFQNELSLAEKMMAMSDRCWIVPPLGYCYSEEKQSLWRRFKRKDDNDDDEEPAPVRRILLVYDLMHNGSLQDALLDRRCPELADWHRRFSVAVDIARGLQFLHSICDPPVIHGDIKPSNILLDSNLSARIADFGLARFKNSVTDEPAAVAPQLDEEDVVEIEVDDDDDDDDDDVDDEGEIGSKAEKSKRIDDDTSVITITTISVDKSPEESPVETTGLEAASTSEVTGFDRMSVDSGSRRSGRKKTGSVLSGKDWWWRQDTGGASSDAGSSSVKDYVMEWIRTEIKKERPKSDWIAATPTASSEGSKAETAGEEEAFQEIRMVGFSRRGEDQEEGEEPAC >DRNTG_03902.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18968406:18971023:-1 gene:DRNTG_03902 transcript:DRNTG_03902.10 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPQPPPQPPPAAIVRHRLMLGPLMACAAVGVVIAAATVLIFVLCRRRASRRRTKPVEPKASPPPTLQMMTTTTALMRFSYSQLRRATGSFSPSHRLGQGGFGPVFRGELSSGQEVAVKVMDAGSLQGEREFQNELSLAEKMMAMSDRCWIVPPLGYCYSEEKQSLWRRFKRKDDNDDDEEPAPVRRILLVYDLMHNGSLQDALLDRRCPELADWHRRFSVAVDIARGLQFLHSICDPPVIHGDIKPSNILLDSNLSARIADFGLARFKNSVTDEPAAVAPQLDEEDVVEIEVDDDDDDDDDDVDDEGEIGSKAEKSKRIDDDTSVITITTISVDKSPEESPVETTGLEAASTSEVTGFDRMSVDSGSRRSGRKKTGSVLSGKDWWWRQDTGGASSDAGSSSVKDYVMEWIRTEIKKERPKSDWIAATPTASSEGSKAETAGEEEAFQEIRMVGFSRRGEDQEEGEEPAC >DRNTG_03902.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18968406:18970927:-1 gene:DRNTG_03902 transcript:DRNTG_03902.12 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPQPPPQPPPAAIVRHRLMLGPLMACAAVGVVIAAATVLIFVLCRRRASRRRTKPVEPKASPPPTLQMMTTTTALMRFSYSQLRRATGSFSPSHRLGQGGFGPVFRGELSSGQEVAVKVMDAGSLQGEREFQNELSLAEKMMAMSDRCWIVPPLGYCYSEEKQSLWRRFKRKDDNDDDEEPAPVRRILLVYDLMHNGSLQDALLDRRCPELADWHRRFSVAVDIARGLQFLHSICDPPVIHGDIKPSNILLDSNLSARIADFGLARFKNSVTDEPAAVAPQLDEEDVVEIEVDDDDDDDDDDVDDEGEIGSKAEKSKRIDDDTSVITITTISVDKSPEESPVETTGLEAASTSEVTGFDRMSVDSGSRRSGRKKTGSVLSGKDWWWRQDTGGASSDAGSSSVKDYVMEWIRTEIKKERPKSDWIAATPTASSEGSKAETAGEEEAFQEIRMVGFSRRGEDQEEGEEPAC >DRNTG_23740.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001275.1:241025:242767:-1 gene:DRNTG_23740 transcript:DRNTG_23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGFVHDELYGEALKVYAEMTCSGCGVNASLVSFALKACIGLLSLEEGKQIHGRVIKDPRLLRISVIHDLSKLYECCGKLEEVHKLMKKLPNLAIVGGVERIANGAM >DRNTG_23304.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1190377:1194573:1 gene:DRNTG_23304 transcript:DRNTG_23304.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIFFASALPVIAFGEQLSKDTDGALSTVETLASTAICGILHSIFGGQPMLILGVAEPTVIMYSYLYSFAKGREDLGSRLFLAWAGWVCIWTALMLVLLAVFNACAVISRFTRIAGELFGMLITVLFLQEAIKGVVSEFEIPKGEDQSQPLYQFQWLYTNGLLAVIFSSGVVFTALKSRGARSWRYGTGWLRSFIADYGVPLMILVWSALSYAVPGKVPSGVPRRLFSPLPWDTNSLYHWTVAKDLLHVPPIYIFAAIVPAIMVAGLYFFDHSVASQMAQQKEFNLKKPSAYHYDLLVLAIMVLICGLVGLPPSNGVLPQSPMHTKSLAVLKKQLIRKKMIKTAKEGIRLQATKSQIYGKMQEVFLEMDQGGHTNSVVKELENLKDAVMRHNGGAGEFESHFDPERQIEDAHLPVRVNEQRVTNLFQSLLVGACLGAMPVIKMIPTSVLWGYFAYMAIDSLPGNQFWERILLIFVTPRRRFKVLEGDHVSFVELVPFKQIAAFTLFQFVYFLLCFGLTWIPIAGILFPLPFFLLISIREHLLPKLFHPDYLKELDAAEYEEIPGAPHRDHSITFTERETSTSGDDDTEEEEEEEEEEEVCDAEILDELTTRRGELKHRTRSFSEDRFLQVHPEKGGPQE >DRNTG_23304.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1191299:1194573:1 gene:DRNTG_23304 transcript:DRNTG_23304.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILVWSALSYAVPGKVPSGVPRRLFSPLPWDTNSLYHWTVAKDLLHVPPIYIFAAIVPAIMVAGLYFFDHSVASQMAQQKEFNLKKPSAYHYDLLVLAIMVLICGLVGLPPSNGVLPQSPMHTKSLAVLKKQLIRKKMIKTAKEGIRLQATKSQIYGKMQEVFLEMDQGGHTNSVVKELENLKDAVMRHNGGAGEFESHFDPERQIEDAHLPVRVNEQRVTNLFQSLLVGACLGAMPVIKMIPTSVLWGYFAYMAIDSLPGNQFWERILLIFVTPRRRFKVLEGDHVSFVELVPFKQIAAFTLFQFVYFLLCFGLTWIPIAGILFPLPFFLLISIREHLLPKLFHPDYLKELDAAEYEEIPGAPHRDHSITFTERETSTSGDDDTEEEEEEEEEEEVCDAEILDELTTRRGELKHRTRSFSEDRFLQVHPEKGGPQE >DRNTG_23304.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1190706:1194573:1 gene:DRNTG_23304 transcript:DRNTG_23304.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLILGVAEPTVIMYSYLYSFAKGREDLGSRLFLAWAGWVCIWTALMLVLLAVFNACAVISRFTRIAGELFGMLITVLFLQEAIKGVVSEFEIPKGEDQSQPLYQFQWLYTNGLLAVIFSSGVVFTALKSRGARSWRYGTGWLRSFIADYGVPLMILVWSALSYAVPGKVPSGVPRRLFSPLPWDTNSLYHWTVAKDLLHVPPIYIFAAIVPAIMVAGLYFFDHSVASQMAQQKEFNLKKPSAYHYDLLVLAIMVLICGLVGLPPSNGVLPQSPMHTKSLAVLKKQLIRKKMIKTAKEGIRLQATKSQIYGKMQEVFLEMDQGGHTNSVVKELENLKDAVMRHNGGAGEFESHFDPERQIEDAHLPVRVNEQRVTNLFQSLLVGACLGAMPVIKMIPTSVLWGYFAYMAIDSLPGNQFWERILLIFVTPRRRFKVLEGDHVSFVELVPFKQIAAFTLFQFVYFLLCFGLTWIPIAGILFPLPFFLLISIREHLLPKLFHPDYLKELDAAEYEEIPGAPHRDHSITFTERETSTSGDDDTEEEEEEEEEEEVCDAEILDELTTRRGELKHRTRSFSEDRFLQVHPEKGGPQE >DRNTG_23304.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1192457:1194573:1 gene:DRNTG_23304 transcript:DRNTG_23304.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLLVPSQLIRKKMIKTAKEGIRLQATKSQIYGKMQEVFLEMDQGGHTNSVVKELENLKDAVMRHNGGAGEFESHFDPERQIEDAHLPVRVNEQRVTNLFQSLLVGACLGAMPVIKMIPTSVLWGYFAYMAIDSLPGNQFWERILLIFVTPRRRFKVLEGDHVSFVELVPFKQIAAFTLFQFVYFLLCFGLTWIPIAGILFPLPFFLLISIREHLLPKLFHPDYLKELDAAEYEEIPGAPHRDHSITFTERETSTSGDDDTEEEEEEEEEEEVCDAEILDELTTRRGELKHRTRSFSEDRFLQVHPEKGGPQE >DRNTG_30852.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001636.1:16212:27386:-1 gene:DRNTG_30852 transcript:DRNTG_30852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKMDESWRKGEKKISKIGLNRGLNMAGIGNSDAPMDFSNGLVEFPHGHGKFPHARVDSLEILFLTSNRMSSSIKSFIGGGLVINAQVGIRKCDCLCAPPTHCSDLNSWRLAGFPSNVLYLKMQRDLRHRRVSVYVASMKSVDSGKFLAGIIADTVANQRACTNSTYPSGCPILALFESRFHPNFKIFFPIFWPIFLYLWRHLSLGFREALARLLERFTTFDTAFPLEESYWGRFRWHRSRRMINIPELFDTIMRMVEDTEQKDQMFTVQSTSFCSLEEQFTINHPVKGSVVDYKDRIQDQSCELDSVLDQFEKSALASISDHLEESLERVLAQFDSSYHEQRQELFSLGLSTSVQEICEIKDVVVVEGHSDAHAKAEERRPRHPEKTQGRVNAPVSGPVKIHARVEFPHGRVKDLDKFLVWTEKPQGACGCPYGSSTRACVISTCPYGCIQIQNMVPWSKKQAGKCPRESSPEPEHIEFTILEHQARFERLSKLKFEQTSFSDLSVPREVQLADDMAVEVEEFLHGQYTRIGVLFSGPYIMRLIMGIGLLDVIRGAKKMIIPAPLGLETIRMMGMIRRYRDGVYVMNMPPLEPAKIEGDTIEGSQPTPEPQPEPMETDAPLAAQEPSPVRMFSPSLAYDHFERLESVVGVLRTEIDEVRATQAANHTEVMAHLNILQQLLEQDAASPFIMQSWTPQASLGPVDPLVPASSQAVVAPEATKPDTDA >DRNTG_13196.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2475409:2487829:-1 gene:DRNTG_13196 transcript:DRNTG_13196.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLIPDLYSNWCNLSCPCNNGFANWNNTWCAYGQCSQLNQTDYFIAAFNMTAKANLLNAFQVNNIVPSASTSYKLRDINTALMANPGLSTHIECVTVTDGASERTLLSKINICISADGLSFINCPFDIESTCNRELFFYPFNINQLNTDCDYNYVGSSGFIKMANEKHLAM >DRNTG_13196.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2487133:2509628:-1 gene:DRNTG_13196 transcript:DRNTG_13196.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKANLLKVFQVNNIVPSSSAVYKLKEINTALANLSLSAHVECVTKTDGASLLSKISFCISTDGLSFINCPFDVKQTCDSELWFYPFYINQLNTECDYDYVGSGDLIKMPTEKHLTM >DRNTG_13196.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2475409:2477022:-1 gene:DRNTG_13196 transcript:DRNTG_13196.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLIPDLYSNWCNLSCPCNNGFANWNNTWCAYGQCSQLNQTDYFIAAFNMTAKANLLNAFQVNNIVPSASTSYKLRDINTALMANPGLSTHIECVTVTDGASERTLLSKINICISADGLSFINCPFDIESTCNRELFFYPFNINQLNTDCDYNYVGSSGFIKMANEKHLAM >DRNTG_13196.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2487133:2518012:-1 gene:DRNTG_13196 transcript:DRNTG_13196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLIPDLYSNWCNLSCPCNNGFANWNNTWCAYGQCSQLNQTDYFIAAFNMTAKANLLNAFQVKSIVPSSSASYKLTEINTALANLSLSAHVECVTKTDGASLLSKISFCISTDGLSFIDCPFDIKQTCDSELWFYPFYINQLNTECDYDYVGSGDLIKMPTEKHLTM >DRNTG_12176.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12738010:12738345:-1 gene:DRNTG_12176 transcript:DRNTG_12176.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLILSIISELFGLKYFATLYNFASFASPLASYILNVKITDHLYDTQAAKQNRETKKCIGVECFKLSFLIITALAIAGALVLMVLVWRTRDFYRGEIYAKFRKTREESVEI >DRNTG_32465.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2500233:2512173:1 gene:DRNTG_32465 transcript:DRNTG_32465.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCLNIGFRLFGNKICANGSQASKFKLCQPQIIPLEFGNSTNSDEYRDSCPTNLGNEYNQSSPILGSCVFPVGVGFRLKSPGFSYFHTYEDDFKLSLPFRAYQLHIDSYAWEEGPRLRMSLKIYPNNSKPFNDMEIQGIEEKFRMWQITLPSIFGPYELLSLRSPVQDSPTANRVTKLAAAGIVLGAVTGAIVMSVVITVFIMRKLSRNQVSKRHSGQTSPIKIDGVKWFSFEEMALATNNFSSSNQIGEGGYGQVYKGILVDGTHAAIKRARQGSLQGSKEFLTEIMLLSRLHHRNLVTLIGYCDEECEQMLIYEFMPNGTLRDHLSVSRNSNNFQPAPSMEASQLSGELHNLNTTYRLDGRNYLQWSQLVKTFLKGRGKISHLTGDSPQENDPKFQAWDEEDSMIMSWLWNSMQP >DRNTG_32465.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2515744:2518933:1 gene:DRNTG_32465 transcript:DRNTG_32465.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGSKAAMNFSRRLQIAAGSARGILYLHTEANPPIFHRDIKTSNILLDSKFAAKVADFGLSKLAPIPDAEGTAPDDVLTAVKGTPGYLDPEYFRTNILSDTSDVYSLGVVFLELVTGMLPISNGKNIVREVNHACQSGKMFSMIDSRMDSYSMECVKKFMSLARKCCRDEMKMRPSMSEVVRELEVIWEMNSESDTDTNTDTTTSHSFSLNSVLHDPVTTSSFHITTKPYIRFDDNSGETPTSLNPVRCLPIRR >DRNTG_32465.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2503789:2511377:1 gene:DRNTG_32465 transcript:DRNTG_32465.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALATNNFSSSNQIGEGGYGQVYKGILVDGTHAAIKRARQGSLQGSKEFLTEIMLLSRLHHRNLVTLIGYCDEECEQMLIYEFMPNGTLRDHLSGKHLSFCIAIRLFCYQVMPIFYN >DRNTG_32465.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2502957:2518933:1 gene:DRNTG_32465 transcript:DRNTG_32465.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVITVFIMRKLSRNQVSKRHSGQTSPIKIDGVKWFSFEEMALATNNFSSSNQIGEGGYGQVYKGILVDGTHAAIKRARQGSLQGSKEFLTEIMLLSRLHHRNLVTLIGYCDEECEQMLIYEFMPNGTLRDHLSAGSKAAMNFSRRLQIAAGSARGILYLHTEANPPIFHRDIKTSNILLDSKFAAKVADFGLSKLAPIPDAEGTAPDDVLTAVKGTPGYLDPEYFRTNILSDTSDVYSLGVVFLELVTGMLPISNGKNIVREVNHACQSGKMFSMIDSRMDSYSMECVKKFMSLARKCCRDEMKMRPSMSEVVRELEVIWEMNSESDTDTNTDTTTSHSFSLNSVLHDPVTTSSFHITTKPYIRFDDNSGETPTSLNPVRCLPIRR >DRNTG_32465.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2517913:2518933:1 gene:DRNTG_32465 transcript:DRNTG_32465.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLPISNGKNIVREVNHACQSGKMFSMIDSRMDSYSMECVKKFMSLARKCCRDEMKMRPSMSEVVRELEVIWEMNSESDTDTNTDTTTSHSFSLNSVLHDPVTTSSFHITTKPYIRFDDNSGETPTSLNPVRCLPIRR >DRNTG_32465.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2493297:2499675:1 gene:DRNTG_32465 transcript:DRNTG_32465.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWNNISGSIPKEIGNITSLELLLLSGNQLSGSLPAELGYLSNLKRMQIDQNHISASIPESFASLNRVVHLHMNNNSLSGQIPQELSRLKCLLHLLLDNNNLSGFLPSELSKLPILQILQLDNNNFSGNTIPDSYVNMTELRKLRLRNCSLQGRMPDLSMIPQLTYIDLSWNQLEGSIPANKLSDNMTTIDLSNNFLGGSIPSNLTSLPSLQRL >DRNTG_32465.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2493297:2518933:1 gene:DRNTG_32465 transcript:DRNTG_32465.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNNISGSIPKEIGNITSLELLLLSGNQLSGSLPAELGYLSNLKRMQIDQNHISASIPESFASLNRVVHLHMNNNSLSGQIPQELSRLKCLLHLLLDNNNLSGFLPSELSKLPILQILQLDNNNFSGNTIPDSYVNMTELRKLRLRNCSLQGRMPDLSMIPQLTYIDLSWNQLEGSIPANKLSDNMTTIDLSNNFLGGSIPSNLTSLPSLQRLSLENNILSGPVPAFIWRNTSLSGNKTLILNFQNNSLTEFSDVLNLPANVTVLLFGNKICANGSQASKFKLCQPQIIPLEFGNSTNSDEYRDSCPTNLGNEYNQSSPILGSCVFPVGVGFRLKSPGFSYFHTYEDDFKLSLPFRAYQLHIDSYAWEEGPRLRMSLKIYPNNSKPFNDMEIQGIEEKFRMWQITLPSIFGPYELLSLRSPVQDSPTANRVTKLAAAGIVLGAVTGAIVMSVVITVFIMRKLSRNQVSKRHSGQTSPIKIDGVKWFSFEEMALATNNFSSSNQIGEGGYGQVYKGILVDGTHAAIKRARQGSLQGSKEFLTEIMLLSRLHHRNLVTLIGYCDEECEQMLIYEFMPNGTLRDHLSAGSKAAMNFSRRLQIAAGSARGILYLHTEANPPIFHRDIKTSNILLDSKFAAKVADFGLSKLAPIPDAEGTAPDDVLTAVKGTPGYLDPEYFRTNILSDTSDVYSLGVVFLELVTGMLPISNGKNIVREVNHACQSGKMFSMIDSRMDSYSMECVKKFMSLARKCCRDEMKMRPSMSEVVRELEVIWEMNSESDTDTNTDTTTSHSFSLNSVLHDPVTTSSFHITTKPYIRFDDNSGETPTSLNPVRCLPIRR >DRNTG_32465.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2493297:2495739:1 gene:DRNTG_32465 transcript:DRNTG_32465.7 gene_biotype:protein_coding transcript_biotype:protein_coding SNRRFLLNLNLSGYLAHELGLLSHLKILDVMWNNISGSIPKEIGNITSLELL >DRNTG_13384.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000608.1:2423:9711:1 gene:DRNTG_13384 transcript:DRNTG_13384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCHCWLQAHNSGYVKDDGNDNGNACWSSPISSDRSPSSSKDQMLDEQRLLEGIRNNLCNLEYDVDALNSSSPRFPYDVHPKGHYGLPLANEEARTFHGNDISGDPNSWLSY >DRNTG_06996.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1206120:1207494:1 gene:DRNTG_06996 transcript:DRNTG_06996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLLSVACLALAAKLDESEVPLSLDLQVGDAKYIFEAKTIQRMELLVLNTLKWRLQSVTPFSYIDYFLHKFNDNKTPSTVSVSRSVELILCIMRGTDFLAFRPSEISAAVALSVLSQEQAQKPETDEVLTCYSHVIEKERVLRCCEMIQANSSLMNSIIINNNNNNNNKNRAFKNAISAASFVPQSPIGVIDAACLSYKSEEAAPRNVVHANSDHTSPPNKKRRMNRHE >DRNTG_04829.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5579388:5593046:1 gene:DRNTG_04829 transcript:DRNTG_04829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASLLVKKYVVDHRKSPPIKVGHQPKRLYTLLNKMEKIGIKVDNEYKALVLLCSLPKTYEGFKETMLHSRNPLTLDDVKSNLLFKLDINKGFTVTDGSHHQDVGLFAERHKHVNKVLWKVLMTVSEAVSCLYATPSLPCPCSINAERAPTSSFNVCISIPVRFYGRGIRQSMPASSLIFSLIALLFSPWIFLLRSASSSLLKPNKMMMKKGWPKDPFNDTLIPLLKCSQRRSRLGFGEAFSRFLERPYGIQHRVPLEVNYWGSFRRHQFGEVCPRFLTREPLEKMRRLHKTIDTDYKGVLSIDFLYLLSISLLILYSSMES >DRNTG_18747.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1254297:1254895:-1 gene:DRNTG_18747 transcript:DRNTG_18747.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVITATFTLIFLLLTVSYFQTTMAGSAFCDSKCKVRCSKASVHDRCLFNCGVCCEDCKCVPSGTYGNKDECPCYRDKVTKDKKKKPKCP >DRNTG_05077.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4620990:4632071:-1 gene:DRNTG_05077 transcript:DRNTG_05077.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLSSAGTIPKLDDTYDMSTVQKPTSCLLLDALSHTHFLDYDVHDKFVETMKKKKKKKKKKKKKIKKMEKKEKKMKMKKMEKKENKKNKNKNKNNNNILRIIWKTKLGAKFGKGRSGDLRWLSFGEMVAVPWWARLGRGRPSQSDFRGRKQLQAGGARVESTATRRIKETGG >DRNTG_34883.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21877824:21892179:-1 gene:DRNTG_34883 transcript:DRNTG_34883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRGRPIFDLNELPTEGEDDNDDVVCINPQKTIPTSNYNPANLFPPLESSWLVNNHAFKHASSVSGFQPFVRYKDQQDSKEDSKQKQDESKPSEARPSISGSHAEDNNTAQNSTSGALDAQGLEREEGEWSDMEAETDGTGNNSSDKQGEFDLGNGSKPEATEEKVPDPAKIPENDSHDSVFHGNSNGDSGNTTNDSKSSVVLVSDCSRNPESVSKGHVAADGTEEASLPVKPKDIKGVEASHALRVGSNLGRRPKLDEHKEAMLGKKRARQTMFINVEDAKQAGSIKTSTPRRQSSFPAPMITRTVKDTLRASPAAVERIVEHHNMSSGKDQKQSDTSNTEGGAAVDSGNHKVDSNGDYSSGLQAWSKKPIVGDFNMDAHSVSIPRQGSLKQPLDTRQSKSFPVLQRKPNVGGQSIVEHKAGNKKHLPAKKQTADNFQYQDTSVERLLREVTNEKFWHQAGLEQIPFIVC >DRNTG_34883.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21890275:21892179:-1 gene:DRNTG_34883 transcript:DRNTG_34883.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRGRPIFDLNELPTEGEDDNDDVVCINPQKTIPTSNYNPANLFPPLESSWLVNNHAFKHASSVSGFQPFVRYKDQQDSKEDSKQKQDESKPSEARPSISGSHAEDNNTAQNSTSGALDAQGLEREEGEWSDMEAETDGTGNNSSDKQGEFDLGNGSKPEATEEKVPDPAKIPENDSHDSVFHGNSNGDSGNTTNDSKSSVVLVSDCSRNPESVSKGHVAADGTEEASLPVKPKDIKGVEASHALRVGSNLGRRPKLDEHKEAMLGKKRARQTMFINVEDAKQAGSIKTSTPRRQISFPAPMITRTVKDTLRASAAAVERIAEHHNMSSGKDQKQSDTSNTEGSAAVDFGNHKVDSNGDYGSGSQAWSKKPNVGDFNMDAYSVSIPRQGSLKQPLDYRQSKSFPVLQRKPTVGGQSIVDHKVGNKKHLPVKKQTADNFQYQDTSVERLLREVTNEKFWHQ >DRNTG_21237.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:246262:246640:1 gene:DRNTG_21237 transcript:DRNTG_21237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAHHHLREVGFIAGEDLADVGAGEKEGWLSDSSLLAALHPRALALARSDLAVILALDSPSDYSVRIRPSLSPEDGQISALEWVTLSGDDALALAIGTSGGSLLIYSHDADL >DRNTG_11686.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:350656:353455:1 gene:DRNTG_11686 transcript:DRNTG_11686.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETTKKAEMVKLISAEGFEFVIDKQAAMVSQTIRNMLTSPGGFSETQQGQVTFPEISTHILEKICQYFYWSLQFASGKETEFHIEPEITLDLMMAANYLHC >DRNTG_30787.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10509929:10513287:-1 gene:DRNTG_30787 transcript:DRNTG_30787.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome B5 isoform D [Source:Projected from Arabidopsis thaliana (AT5G48810) UniProtKB/Swiss-Prot;Acc:Q9ZWT2] MAPECKVFSLGDVAKHNTPQDCWLIIDGKVYDVTKFLEDHPGGDEVLLSATGKDATDDFEDVGHSSTARAMMDEYYVGEIDSSTIPSRVKYTPPKQPHYNQDKTTDFIIKILQFLVPLAILGLAVGVRFYTKSA >DRNTG_01359.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:348384:349410:1 gene:DRNTG_01359 transcript:DRNTG_01359.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTIRTLWGPQRWWSPAATWSNPPPPHRYSPPPTSSRTISPPRTRAAASAGSPPGAAHSSPPPPLQPQPLRPFSPCCARAHIELSPSPPPHSLHLLLHRESTPQTDEIDPAPMGSSTTASPDPPLYSPTPTPSGTSPLLGSPTGTHPCSSKHSTPPTMMADRRGRS >DRNTG_01359.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:348384:350628:1 gene:DRNTG_01359 transcript:DRNTG_01359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTIRTLWGPQRWWSPAATWSNPPPPHRYSPPPTSSRTISPPRTRAAASAGSPPGAAHSSPPPPLQPQPLRPFSPCCARAHIELSPSPPPHSLHLLLHRESTPQTDEIDPAPMGSSTTASPDPPLYSPTPTPSGTSPLLGSPTGTHPCSSKHSTPPTMMADRRGRS >DRNTG_20175.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4953794:4956401:1 gene:DRNTG_20175 transcript:DRNTG_20175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEGTKPLTCFILVINLLMYAIVLALAAWALNYGINETPEAVKGLSVPAKIFPIYYPIGNLATGFFVILSLLAGLVGIASSFSGLYDVLEWKPSSLSSAAASSLTAWALTLLAMGLACKEISIGDRPASLRAMETLMIILSGTQLLCIGTIHAGVSATKDEAKHSEGF >DRNTG_34306.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002118.1:35660:41320:1 gene:DRNTG_34306 transcript:DRNTG_34306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQHCSMYCSSTVHSRPRNQRNRESTRACGNYPRPCGNYARARVPSTPVESPDSSPIKSRFSPDFGYWESFRRHRSGEVYPRPDKGSLASSRGLSTRPST >DRNTG_17855.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2847652:2851081:-1 gene:DRNTG_17855 transcript:DRNTG_17855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIILPSFAARLVILLLFLFNGFHLGYGLSSDYYSKTCPNFEEVVTNAVKKAMGNDQTVPAALLRMHFHDCFIRGCDGSVLLRSSGNNTAEKDGPPNISLHAFYVIDHAKSAVEKLCPGVVSCADILALAARDAVSLSGGPRWEVPKGRKDGRISKAIDTRQLPAPTFNFSQLKQSFSQRGLSIQDLVALSGGHTLGFSHCSSFQNRIHNFNTLNDVDPSMNPTFANRLRNVCPLHNKVKNAGSTMDSTPTLFDNTYYKMALQGQSLFSSDQALLTDHKAKNLVIKFANSHDEFYQAFVKAMIKMSSLNGGQEVRLDCKVVN >DRNTG_02160.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29153393:29155332:-1 gene:DRNTG_02160 transcript:DRNTG_02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRSKALARGKELDKDFACEGKEIADHMWTSTASLLRWRGRPTDYYDGQFSLLQVVDAAVWQRAKHFLLFSLFHFRSVHYTTLKPLVYQLCVVVVAASLCIFQPL >DRNTG_11654.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:150283:152825:1 gene:DRNTG_11654 transcript:DRNTG_11654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFNVNGSTLSLALFTDVSNSKELLNLIQEGKLEPEVALLNASLIPDVFPILAAAHKAVLDKSRESLKTRSLHSELVYNYSGSKHITESLKRCGISDNTTYILAARFSAAHGEMKNVEKLIKGTEIDLEELEARADHSQIKKHYKISIQELEISSVPDAITCRIAARDAL >DRNTG_23589.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3221160:3222548:1 gene:DRNTG_23589 transcript:DRNTG_23589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNNFLLLALIVMIVHASMAPARVLNEISMVERHERWMAEHGRMYKDDAEKQHRFNIFKHNVELIESFNAADHKFKLGANRFADLTNDEFKAMYNGFRPSLTNNKAEMASFKYENFTAVPASVDWRTKGAVTPVKDQGQCGCCWAFSAVAAMEGITKLSTSKLISLSEQEVVDCDVHGEDQGCNGGLMDDAFKFIIKNGGLTTETNYPYTATDGTCNSKKSSLTCC >DRNTG_26732.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1225989:1229850:1 gene:DRNTG_26732 transcript:DRNTG_26732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPLGSLPLGFRFHPTDEELINHYLKRKINGRIRSDVEVIPEIDVCKCEPWDLPDKSLIRSDDPEWFFFSPRDRKYPNGHRSNRATEAGYWKATGKDRTIRSRSLVVGMKKTLVFHRGRAPKGVRTNWIMHEYRTTEPDFECGEQGGFVLCRLFRKPEERSPSPNIDEMEINEVSPSPPRSSPDEIPQEPDASVELASPVNQKLPVSIGQGVPQSLPDSTEKQSSGIERWLADKAEYPAVYTVKPESDNFNSNVSRDSGFPQAEEETEDHLLMHEIAQICDAQYDQIYFDGFPNVSSPALPYTNYPFTDNPAIGSDMGLLEGVSDDPSIAAFLDAILSHQDEDSSGDFCLQSCIPEISVEGQSFTGAPLGESPSVKDNGSISDADTEVGLAECGLPPGASDWSFQPPLLIRDSLHVSSNIQQEIAPVSLLSTESSAPEVSLVDSAADSAYDLLHSFADSTYQNNFNQEGHSEETEVVIRRHQPQYRNSMTNMLANQGTAFRRIRLQLPFDEEQLSFGNEESSDTKNDDEFSVATVASSNNKDGQEVNQLDTGVNSYQKDDYVPEMGDCNSSNTEVSNCLLDDNNGNLEVNNVVRESKAEDEDEVLHTAHPDKHNNLASYEINTITQKSISVQLETLQDSGPTLRLRSKLTNYSNGSNRRNTSMDSKINHNTPLTSITTNKKFTGSATIYLMCLIMLVLCFFMCFGLRRGVFPQVL >DRNTG_08290.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23831789:23832502:1 gene:DRNTG_08290 transcript:DRNTG_08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFKNLEMKPLKALETGQCLPDQPTPAIFTVGQLLASKKEEEDEDEEEERKKHECTKWLDEQPVKSVVFLCCGSMGYFDEKAVKKIALGLERSWQRFLWVLRTSSRENALIPSDADLGQWRNQGKRGISPPLALVRLPSPKFSPGRSSTMEDPKGD >DRNTG_07314.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3336425:3337006:1 gene:DRNTG_07314 transcript:DRNTG_07314.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLTIISPQPSSSMSRSHYHTHKAFLLTNYLLLGAASSCIFLTLSLRLIPSPSGLLLDFLHALTIAGAIYACATIAAHPSPSGSWYAFHMISTVLAAIFQGAVAVLVFTRTDDFLAALKSYVREEDAVVILRMTGGLGIAMFCLEWMALALAFVLRYYAYVEDSNGSLAMVRRSAKVQHEEDLSNWPWPFQV >DRNTG_30885.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21281194:21284622:1 gene:DRNTG_30885 transcript:DRNTG_30885.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta-4 chain [Source:Projected from Arabidopsis thaliana (AT5G44340) UniProtKB/Swiss-Prot;Acc:P24636] MREILHIQGGQCGNQIGAKFWEVICDEHGIDPTGRYGGDSTLQLERVNVYFNEASGGRFVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLKMAATFMGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEYEEEEEEEEVET >DRNTG_16679.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16403729:16405242:1 gene:DRNTG_16679 transcript:DRNTG_16679.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIGGRNAAGVHPLVVEAAIALASGTQPIPVSSNNHLTGAYLLRNRTAEPFAVVKPIDEEPLPRWLRSVHASEIGAREAAAYLLDHNHFSGVPPTALIKFSHPLLAGAPARRVASIQRFVPHDFEASDLGPSRFSITSVHRIGILDVRLLNVDRHAGNILVKRNSGDENDTSAELVPIDHGLCLPEILDDPYFEWLHWPQAAVPFSEAEAEYIASLHPFKDADILRSELPMIKESSVRILVLCTVFLKGAAAAGLCLADIGGMMTREFHGLREGPSALEALCKKVEEMVNVVSSIDGDEHEDEKTVEFQFEMEDENEGFAKSCIENVLDIPLLLQHKPVLNDDDDDDDHHDNDDDENKMKVMTKSMSFSAAEFNQMKCISFGELSKEDWRLFLDKFEQMLPEAFEDRTKNTGLKQRLGTSCKF >DRNTG_16679.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16403729:16405554:1 gene:DRNTG_16679 transcript:DRNTG_16679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIGGRNAAGVHPLVVEAAIALASGTQPIPVSSNNHLTGAYLLRNRTAEPFAVVKPIDEEPLPRWLRSVHASEIGAREAAAYLLDHNHFSGVPPTALIKFSHPLLAGAPARRVASIQRFVPHDFEASDLGPSRFSITSVHRIGILDVRLLNVDRHAGNILVKRNSGDENDTSAELVPIDHGLCLPEILDDPYFEWLHWPQAAVPFSEAEAEYIASLHPFKDADILRSELPMIKESSVRILVLCTVFLKGAAAAGLCLADIGGMMTREFHGLREGPSALEALKGLIEYIFSSPAT >DRNTG_01686.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23776893:23780622:1 gene:DRNTG_01686 transcript:DRNTG_01686.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ascorbate peroxidase 4 [Source:Projected from Arabidopsis thaliana (AT4G09010) TAIR;Acc:AT4G09010] MAAPISFISTLPSLLAWPPQPSFPLLPHKRTNGSFVCHQSMDESQISSGGCLIKRRDLVGCFGAAMTVEVISSSGPFTERAMAADLIQRRQRSEFQSKVKETLFTALKGYPELLPSILTLALNDAMTYDKATKSGGPNGSIRLRSEIGRPENSGLSPAMDLLVEAKKEIDSYSKGGPISFSDLIQYAAQAAVKKTFLDSAIRKCGGNEEKGRLLYSAYGSNGQWGLFDRQFGRSDSENPDPEGRIPQWGKATVQEMKNKFSAIGFGPRQLAVMSAFLGPDQLATEKLLASDPQVLPWVDKYQRSRETVSQTDYEVDLITTLTKLSSLGQEINYEAYTYPVQKLDFGKLKL >DRNTG_01686.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23776893:23780622:1 gene:DRNTG_01686 transcript:DRNTG_01686.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ascorbate peroxidase 4 [Source:Projected from Arabidopsis thaliana (AT4G09010) TAIR;Acc:AT4G09010] MDLLVEAKKEIDSYSKGGPISFSDLIQYAAQAAVKKTFLDSAIRKCGGNEEKGRLLYSAYGSNGQWGLFDRQFGRSDSENPDPEGRIPQWGKATVQEMKNKFSAIGFGPRQLAVMSAFLGPDQLATEKLLASDPQVLPWVDKYQRSRETVSQTDYEVDLITTLTKLSSLGQEINYEAYTYPVQKLDFGKLKL >DRNTG_15523.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8790488:8792356:-1 gene:DRNTG_15523 transcript:DRNTG_15523.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNRGTFPPHQQPSGVLAIRPSSEDHEIVSIEVGDQFPDSEHFKDALRNFAIKHNFNFTFIKNDKKRVTVKCAAESCEWCVHASMEGNHETFRIKMMYPTHTCGGGIGTSSHPRASKKWVSARVIQKLKDRPLYKAIDIQRDMLR >DRNTG_25783.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3644893:3647535:-1 gene:DRNTG_25783 transcript:DRNTG_25783.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLGVLLTFPMNPYLEEELDRRCNLFRLWESPPESRCEFLRAHAGSIRAVVGNATCGADADTIDALPKLEIISSFSVGLDKIDLEKCRQRGIRVTNTPDVLTEDTADLAIGLAIAVLRRIPQADRYVRSGSWKSKGDYKLTNKFSGKTVGIIGLGRIGMAVAKRAEAFDCPISYHSRSEKPGTNYKYYSTVVDLAANCQVLVVACALTPETRHIVNREVMDALGPKGVLINIGRGPHVDEAELVKALVEGRLGGAGIDVFEQEPHVPEELFDLENVVLLPHVGSGTVETRKAMADLVLGNLEAHCTNKPLLTPVI >DRNTG_15549.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18024055:18025705:-1 gene:DRNTG_15549 transcript:DRNTG_15549.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIYTRRPPKINKHTPTRHIRENDSPKVIKLIYICHVPAPQPGPWTDRPHPL >DRNTG_12065.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23574292:23575862:1 gene:DRNTG_12065 transcript:DRNTG_12065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVGMRMGMIGLCCFFLFLLMVLMIILETCFMCSPLYQEI >DRNTG_12391.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6489199:6520407:1 gene:DRNTG_12391 transcript:DRNTG_12391.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVFLLLIILLPIFFSTILLFRKPRKPSINGGSTTVTFKDLLKNGHRFLDWTTELLLSSSIHTITMPSTVITSTPSNVQHILKAHFPNYPKGPSNISVLHDLLADGIFNADGDHWILQRKTASHHFNTNSIRSFLTTTLQFQTTTHLLPILSAAAVSGDALDLQDTLERFSFDNVCKLAFNVDPSLLAGDSVEGARFARAFKTAVAVSVNRFAQPRFLWRLRRLLNLGDERKLKEAMKIVNEFATRVVEERMMKGKAGGGDDLLSKFIQDDNANNSDQLLRDIIISFVLAGRDSTSSALTWFFWVVSSRPEIRRAIRDEISSVRAKHGSEPGQAFKLEELREMEYLHAALSESLRLHPPVALNPRACLEDDTLPDGTRVKKGWSVMYSTYAMGRMKSIWGEDCMEFRPERIAWSLGRRGGWWMECFSRGARTSSRCSMQGQGCVWERRWRMCR >DRNTG_12391.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6518306:6520407:1 gene:DRNTG_12391 transcript:DRNTG_12391.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVFVLLIILLPIFFSTILLFRKPRKPSINGGSTTVTFKDLLKNGHRFLDWTTELLLSSSIHTITMPSTVITSTPSNVQHILKAHFPNYPKGPSTISALHDLLADGIFNADGDHWILQRKTASHHFNTNSIRSFLTTTLQFQTTTHLLPILSAAAVSGDALDLQDTLERFSFDNVCKLAFNVDPSLLAGDSVEGARFARAFGTAVAVSVNRFAQPRFLWRLRRLLNLGDERKLKEAMKIVNEFATRVVEERMMKGKTGGGDDLLSKFIQDDNANNSDQLLRDIIISFVLAGRDTTSSALTWFFWVVSSRPEIRRAIRDEISSVRAKHGSEPGQGI >DRNTG_12391.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6518306:6520407:1 gene:DRNTG_12391 transcript:DRNTG_12391.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVFVLLIILLPIFFSTILLFRKPRKPSINGGSTTVTFKDLLKNGHRFLDWTTELLLSSSIHTITMPSTVITSTPSNVQHILKAHFPNYPKGPSTISALHDLLADGIFNADGDHWILQRKTASHHFNTNSIRSFLTTTLQFQTTTHLLPILSAAAVSGDALDLQDTLERFSFDNVCKLAFNVDPSLLAGDSVEGARFARAFGTAVAVSVNRFAQPRFLWRLRRLLNLGDERKLKEAMKIVNEFATRVVEERMMKGKTGGGDDLLSKFIQDDNANNSDQLLRDIIISFVLAGRDTTSSALTWFFWVVSSRPEIRRAIRDEISSVRAKHGSEPGQGI >DRNTG_12391.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6489199:6520992:1 gene:DRNTG_12391 transcript:DRNTG_12391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVFLLLIILLPIFFSTILLFRKPRKPSINGGSTTVTFKDLLKNGHRFLDWTTELLLSSSIHTITMPSTVITSTPSNVQHILKAHFPNYPKGPSNISVLHDLLADGIFNADGDHWILQRKTASHHFNTNSIRSFLTTTLQFQTTTHLLPILSAAAVSGDALDLQDTLERFSFDNVCKLAFNVDPSLLAGDSVEGARFARAFKTAVAVSVNRFAQPRFLWRLRRLLNLGDERKLKEAMKIVNEFATRVVEERMMKGKAGGGDDLLSKFIQDDNANNSDQLLRDIIISFVLAGRDSTSSALTWFFWVVSSRPEIRRAIRDEISSVRAKHGSEPGQAFKLEELREMEYLHAALSESLRLHPPVALNPRACLEDDTLPDGTRVKKGWSVMYSTYAMGRMKSIWGEDCMEFRPERIAWSLGRRGGWWMECFSRGARTSSRCSMQGQGCVWERRWRMCR >DRNTG_12391.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6489199:6520407:1 gene:DRNTG_12391 transcript:DRNTG_12391.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVFLLLIILLPIFFSTILLFRKPRKPSINGGSTTVTFKDLLKNGHRFLDWTTELLLSSSIHTITMPSTVITSTPSNVQHILKAHFPNYPKGPSNISVLHDLLADGIFNADGDHWILQRKTASHHFNTNSIRSFLTTTLQFQTTTHLLPILSAAAVSGDALDLQDTLERFSFDNVCKLAFNVDPSLLAGDSVEGARFARAFKTAVAVSVNRFAQPRFLWRLRRLLNLGDERKLKEAMKIVNEFATRVVEERMMKGKAGGGDDLLSKFIQDDNANNSDQLLRDIIISFVLAGRDSTSSALTWFFWVVSSRPEIRRAIRDEISSVRAKHGSEPGQAFKLEELREMEYLHAALSESLRLHPPVALNPRACLEDDTLPDGTRVKKGWSVMYSTYAMGRMKSIWGEDCMEFRPERWVVDGVFQPRSPYEFPVFHAGPRMCLGKEMAYVQMKAVAASVLERIAWSLGRRGGWWMECFSRGARTSSRCSMQGQGCVWERRWRMCR >DRNTG_12391.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6489199:6520407:1 gene:DRNTG_12391 transcript:DRNTG_12391.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVFLLLIILLPIFFSTILLFRKPRKPSINGGSTTVTFKDLLKNGHRFLDWTTELLLSSSIHTITMPSTVITSTPSNVQHILKAHFPNYPKGPSNISVLHDLLADGIFNADGDHWILQRKTASHHFNTNSIRSFLTTTLQFQTTTHLLPILSAAAVSGDALDLQDTLERFSFDNVCKLAFNVDPSLLAGDSVEGARFARAFKTAVAVSVNRFAQPRFLWRLRRLLNLGDERKLKEAMKIVNEFATRVVEERMMKGKAGGGDDLLSKFIQDDNANNSDQLLRDIIISFVLAGRDSTSSALTWFFWVVSSRPEIRRAIRDEISSVRAKHGSEPGQAFKLEELREMEYLHAALSESLRLHPPVALNPRACLEDDTLPDGTRVKKGWSVMYSTYAMGRMKSIWGEDCMEFRPERMKSIWGEDCMEFRPERWVVDGVFQPRSPYEFPVFHAGPRMCLGKEMAYVQMKAVAASVLERFEVEVAPGKEKERVHVYTIVLRMKGGLPVLIKNRDLSSSE >DRNTG_12391.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6489199:6491135:1 gene:DRNTG_12391 transcript:DRNTG_12391.8 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVFLLLIILLPIFFSTILLFRKPRKPSINGGSTTVTFKDLLKNGHRFLDWTTELLLSSSIHTITMPSTVITSTPSNVQHILKAHFPNYPKGPSNISVLHDLLADGIFNADGDHWILQRKTASHHFNTNSIRSFLTTTLQFQTTTHLLPILSAAAVSGDALDLQDTLERFSFDNVCKLAFNVDPSLLAGDSVEGARFARAFKTAVAVSVNRFAQPRFLWRLRRLLNLGDERKLKEAMKIVNEFATRVVEERMMKGKAGGGDDLLSKFIQDDNANNSDQLLRDIIISFVLAGRDSTSSALTWFFWVVSSRPEIRRAIRDEISSVRAKHGSEPGQAFKLEELREMEYLHAALSESLRLHPPVALNPRACLEDDTLPDGTRVKKGWSVMYSTYAMGRMKSIWGEDCMEFRPERWVVDGVFQPRSPYEFPVFHAGPRMCLGKEMAYVQMKAVAASVLERFEVEVAPGEEKERVHGYTIVLRMKGGLPVLIKNRDLSSSE >DRNTG_12391.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6489199:6520407:1 gene:DRNTG_12391 transcript:DRNTG_12391.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVFLLLIILLPIFFSTILLFRKPRKPSINGGSTTVTFKDLLKNGHRFLDWTTELLLSSSIHTITMPSTVITSTPSNVQHILKAHFPNYPKGPSNISVLHDLLADGIFNADGDHWILQRKTASHHFNTNSIRSFLTTTLQFQTTTHLLPILSAAAVSGDALDLQDTLERFSFDNVCKLAFNVDPSLLAGDSVEGARFARAFKTAVAVSVNRFAQPRFLWRLRRLLNLGDERKLKEAMKIVNEFATRVVEERMMKGKAGGGDDLLSKFIQDDNANNSDQLLRDIIISFVLAGRDSTSSALTWFFWVVSSRPEIRRAIRDEISSVRAKHGSEPGQAFKLEELREMEYLHAALSESLRLHPPVALNPRACLEDDTLPDGTRVKKGWSVMYSTYAMGRMKSIWGEDCMEFRPERIAWSLGRRGGWWMECFSRGARTSSRCSMQGQGCVWERRWRMCR >DRNTG_34174.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6992848:6993742:1 gene:DRNTG_34174 transcript:DRNTG_34174.4 gene_biotype:protein_coding transcript_biotype:protein_coding KSPRGICQSTEHRSFSAKDNTESSRPCQRAWATPIWSGQHACIGDKLINSWPSPYVMLENKVLVHDPGLKNFKMEKEAA >DRNTG_34174.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6991008:6993742:1 gene:DRNTG_34174 transcript:DRNTG_34174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSKLVGRQVWKMPKLWPGFMKCLSQTPPHSYNVLLQLPTPQLEICLNRYGNIRSHLAAYVNQQNIGASLPRTTLKALGLVNERGPLPFGQANMHASETSSSIHGPAPT >DRNTG_34174.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6992368:6993742:1 gene:DRNTG_34174 transcript:DRNTG_34174.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSKLVGRQVWKMPKLWPGFMKCLSQTPPHSYNVLLQLPTPQLEICLNRYGNIRSHLAAYVNQQNIGASLPRTTLKALGLVNERGPLPFGQANMHASETSSSIHGPAPT >DRNTG_34174.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6993313:6993742:1 gene:DRNTG_34174 transcript:DRNTG_34174.5 gene_biotype:protein_coding transcript_biotype:protein_coding RTTLKALGLVNERGPLPFGQANMHASETSSSIHGPAPT >DRNTG_34174.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6992681:6993742:1 gene:DRNTG_34174 transcript:DRNTG_34174.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLWPGFMKCLSQTPPHSYNVLLQLPTPQLEICLNRYGNIRSHLAAYVNQQNIGASLPRTTLKALGLVNERGPLPFGQANMHASETSSSIHGPAPT >DRNTG_23464.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:24580303:24581585:1 gene:DRNTG_23464 transcript:DRNTG_23464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHSGRKSYHLESVKATLAAALERATLEDANVDHNAPILEEILLRSKTVVDHCSNTITTTIAALFTAARETKNPHGRVEIIHARVEIPHGRVKKVGREASIEAYPIPGSKESLNHLAEDFPQDH >DRNTG_33242.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001978.1:6218:8866:1 gene:DRNTG_33242 transcript:DRNTG_33242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIYHAQYKAKVMVRDDSTTIAITYQSVAEDEIDTLQHGGAKGGGLGLMRIIGKSSAQVPPSILNQSPPSREINSASYFISVPLHFFMIQEAPFSALDESAQ >DRNTG_33684.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1302771:1304546:-1 gene:DRNTG_33684 transcript:DRNTG_33684.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHPFTISFFYGFAFLFLSSPSFIHYSFVTGEISGDFRHVVKLTSLLPAPMCVSTKDSMATGTRLKLVHQHGACSPLKQTKKLNHKQILTQDQYRVNFIQNHRRSSTTTIYLNKTQISPITETKIPAYKGYSFSTSNYIVNISFGTPTHDFTVVFDTGSDVTWIQCQPCAVHCYHQQEPIFDPTQSSTYSNVSCSAPACAELDVSGCSGTTCLYGVLYGDNSTTIGFFMPKTLSLFSSSDILPGFFFGCGENNTGLFGETAGILGLGRGPTSLISQSITKYNGVFSYCLPATTSSAGYLTFGGEAPANFNCTQMLTNSSMPTFYFLGLQSISVAGTKLSISPTVFSNPGTLIDSGTVISRLPPAAYSSLRDAFRKQMAKYPMAPAFQLLDTCYDLSSYETVDIPTVSLEFTGDVVVDLDLFGIVFVVSLSQVCLAFAGNSDAGDVGIIGNVQQRKIGVFYDVGNKVIGFGSGACD >DRNTG_33684.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1294025:1304219:-1 gene:DRNTG_33684 transcript:DRNTG_33684.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLIFWSDSMATGTRLKLVHQHGACSPLKQTKKLNHKQILTQDQYRVNFIQNHRRSSTTTIYLNKTQISPITETKIPAYKGYSFSTSNYIVNISFGTPTHDFTVVFDTGSDVTWIQCQPCAVHCYHQQEPIFDPTQSSTYSNVSCSAPACAELDVSGCSGTTCLYGVLYGDNSTTIGFYAKDTLTLSSSDILPGFFFGCGENN >DRNTG_12427.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20215097:20216498:1 gene:DRNTG_12427 transcript:DRNTG_12427.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVTPSGSHGLPNTKGGPSSLLDRWRTGGGCDCGGWDMGCPIVIFDNSRGSKTNHTRHESQKVMMLFSQGKKDKVPALTVTAEGKGVYSVQFHAQLSALQAFSICIAMLHGSETSGGCEENRLRLYSNSLKLLLEEEVRNLIEAVAKDEKKKTKKRLEQIHPSFLLDPPFSPIGRV >DRNTG_12427.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20215871:20216498:1 gene:DRNTG_12427 transcript:DRNTG_12427.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGSETSGGCEENRLRLYSNSLKLLLEEEVRNLIEAVAKDEKKKTKKRLEQIHPSFLLDPPFSPIGRV >DRNTG_12427.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20215097:20216498:1 gene:DRNTG_12427 transcript:DRNTG_12427.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVTPSGSHGLPNTKGGPSSLLDRWRTGGGCDCGGWDMGCPIVIFDNSRGSKTNHTRHESQKGKKDKVPALTVTAEGKGVYSVQFHAQLSALQAFSICIAMLHGSETSGGCEENRLRLYSNSLKLLLEEEVRNLIEAVAKDEKKKTKKRLEQIHPSFLLDPPFSPIGRV >DRNTG_09646.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:937570:938665:1 gene:DRNTG_09646 transcript:DRNTG_09646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTSQNQNLNKYHGTTHHAHPKSGKELVFFLQRKNLTR >DRNTG_04249.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000200.1:72331:74822:1 gene:DRNTG_04249 transcript:DRNTG_04249.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLNNHYCEVVVIERFPIGVFADPFELQHLVEHQVFVDVAVFGDTNLELPSALSNKSVVEVHMNTTHNVLSRQSEITVELPLHARYPPLDVAGYTKIEFGQPDLLM >DRNTG_04249.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000200.1:70349:74822:1 gene:DRNTG_04249 transcript:DRNTG_04249.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLNNHYCEVVVIERFPIGVFADPFELQHLVEHQVFVDVAVFGDTNLELPSALSNKSVVEVHMNTTHNVLSRQSEITVELPLHARYPPLDVAGYTKIEFGQPDLLM >DRNTG_04249.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000200.1:69193:74822:1 gene:DRNTG_04249 transcript:DRNTG_04249.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTALQVLLFRILTLSTSGALCLTLGTYKTALFLCKSVQFSESALLLKKLNDTKTGSIMFLNAANFSSSILFWRSKVFLFLLWGIVSLKVDSFGLQNDVKHSHPNIVIELPCFQKYLTGYFYEKHGQILESDFHGLAQEVGSDELDRSLVHSLKDVFGFSELNRHLIGEGSHRRLVTNFKLNIKPDDMSWLNNHYCEVVVIERFPIGVFADPFELQHLVEHQVFVDVAVFGDTNLELPSALSNKSVVEVHMNTTHNVLSRQSEITVELPLHARYPPLDVAGYTKIEFGQPDLLM >DRNTG_04249.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000200.1:66979:74822:1 gene:DRNTG_04249 transcript:DRNTG_04249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNAANFSSSILFWRSKVFLFLLWGIVSLKVDSFGLQNDVKHSHPNIVIELPCFQKYLTGYFYEKHGQILESDFHGLAQEVGSDELDRSLVHSLKDVFGFSELNRHLIGEGSHRRLVTNFKLNIKPDDMSWLNNHYCEVVVIERFPIGVFADPFELQHLVEHQVFVDVAVFGDTNLELPSALSNKSVVEVHMNTTHNVLSRQSEITVELPLHARYPPLDVAGYTKIEFGQPDLLM >DRNTG_06922.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22027915:22028813:-1 gene:DRNTG_06922 transcript:DRNTG_06922.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWQELGQAVLIGLIFAFLVAKLISTIVSFRGNHLRITREDDIQEEADASGDGDSGVVKEDVGVSEDESDEGWEGIESTELDEAFSAATAFVAATAADRMAPKVSSDLQLELYGLYKIATEGPCTTPQPSAFKATARAKWYGFVIYYLSFIS >DRNTG_06922.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22025796:22028813:-1 gene:DRNTG_06922 transcript:DRNTG_06922.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWQELGQAVLIGLIFAFLVAKLISTIVSFRGNHLRITREDDIQEEADASGDGDSGVVKEDVGVSEDESDEGWEGIESTELDEAFSAATAFVAATAADRMAPKVSSDLQLELYGLYKIATEGPCTTPQPSAFKATARAKWNAWQKLGAMPPEEAMQKYIIIVTELYPNWAGDSNIIKMKAHLLLFQNLLVNQAWAQFLAVLFMRKSQMML >DRNTG_02234.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1119342:1121429:-1 gene:DRNTG_02234 transcript:DRNTG_02234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAKNKHINAGKCCHALAIHADLLADTLICNMLINVYSKCGLVQYARYVFDRMPERTVVSWNTMIAAHNHQWQDTEALELFMEMCRDGTSLVTKFTLSSVLCACAAKGAILESRQLHALAVKIAVDSNVYVGTALLDVYGKCGMIDDACWAFESMPEKSSVTWSSMIAGCVQNDLHEEALLFFRKAQVLGVECTQFTLSAVLSACASLAVTIEGVQLHAILIKVGFRSDMYVRTSLIDVYSRCGCIAEAYLVFTDMEEKSIVLWNAMIAGFSRHACVNEAMILFEKMQQKGVCPNEVTYISLLSACSHVGSVESGRRYFDQMLKDENVQPNVLHYSCMVDVLGRSGQIQEAWRLIENMPFKATAAMWGSLLGACRTHGELRLAKVAAEHLFEIEPENAGNHVLLSNLYAANRRWGDVANARKLLKDSGAKKEIGKSWIEVKNRVHIFVVGDHNHPRFSEIYAKLEDLENEMKKLAYKVETHSDLHDVEEDQKQELLRHHSEKLALAFGLISLPSGLPIRIKKNLRICRDCHSFMKFASRISDREIIVRDTNRFHHFRSGSCSCRDFW >DRNTG_30079.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22808268:22813404:-1 gene:DRNTG_30079 transcript:DRNTG_30079.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome biogenesis protein WDR12 homolog [Source:Projected from Arabidopsis thaliana (AT5G15550) UniProtKB/TrEMBL;Acc:A0A178UL95] MNFNGSSSEGSRQVRVRFLTKMPPPLRAPTSAIAVPSNLTRMGLSEIVNLLLKNGNGDYQHQPFDFLVDGELIRMPLDQFLLAKGISAEKVLEIEYIKVVAPGKQQDPLMHDDWVSAVDGSNPSFILTGCYDGSARLWEGGESCSHVLGGHNGAITSVNIIKYKGIHGENNFHLATGSKDRTLRLWMLNAVEPGNYPVEVRAYKILKGHNSSVQSISAAPSGDMLCSGSWDCSIKLWDVKKPDTEDDSVSVKKRRVGSNTVYGEEPQFEAEAASTLVGHTQCVSSVVWPEADTIYSASWDHSIRQWDVETGKDPWNMVCEKVLNCLDVGGENSALVAAGGSDPILRIWDPRKPGTLAPVFQFASHSSWITACKWHPRSWFHLLSASYDGKVMLWDLRTAWPLAVIDSHKDKVLCTDWWKDDSVISGGADSKLCISSGVSIP >DRNTG_14984.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24010406:24012428:1 gene:DRNTG_14984 transcript:DRNTG_14984.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAGIFKKANELAILCGAEVGIVTFSPAGRAFSFGHPNIHSLINRYLPSGNPSTTEDLLLHYPETSYFHGSKLNELQREHEQLLSLVDAVKKQQQEIKGRLWWDVDIEGLGLDDLIKYVKVLEELKMKVLNITAAKSVHHQHHHHHHPAGYSLVHPAGSVEGLNLHANNVLSGYPHFDGFNERFGWNKGHGVVPAGCSFAATGFDDELKKMEGLHASVVNGFDQRLVNGGSLHGGQSHMSFFN >DRNTG_19536.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:10676760:10678666:-1 gene:DRNTG_19536 transcript:DRNTG_19536.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVIGEADMEVLPMWPDDLGDETRTQFNIEKPGKDHDMLEDFTIAEEPTIVDFKRLLELTDYSKKGSSQLAYLVKHWEYKHVNAARLLNEELSILSKQRQEVELKKLEIIEQHRFEEEKYASNKRSISILEDIYDIWGKVPKRKSDVCAYKFDTDAEYDTVTYWKQRAKQLDRMLEESIQREQTLMEKLQDTVKNLETQSSPVEELSQILKRADNFLHFVLQNAPVVIGHQDKELRYRF >DRNTG_25766.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21214237:21217831:-1 gene:DRNTG_25766 transcript:DRNTG_25766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSSEFSSMFLLSDMVRRERSSCIPSIYVRPKTERPNLLEMESFDVSIPLIDLHEMDAHQHSLLVEAIGEACKSDGFFMVKNHGIPETVLSEMLRISK >DRNTG_07049.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3774050:3777329:-1 gene:DRNTG_07049 transcript:DRNTG_07049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPVIVATGQTYERSFIQRWIDCGNRTCPKTQQRLQNLTLTPNYVLRSLIMQWCETHRIEQPNRLINGRLRKKDGTFYEISGDRTAIDALVRNLSSASMEEQRSAAAEIRSLAKRSTDNRILIAEAGAIQILIGLLSANDQKTQEHAVTSLLNLSIYEPNRETIMLAGGIVPIIEVLRMGSMEARENAAAAVFSLSLSDENKITIGGTAGAIEALVELLQCGSSRGKKDAATALFNLCIYQGNKSRAVKAGILNPLLDMLKDYSSNGMVDESLTILSVLVSHQEAKSAIAKSNMIPHLIDLLRTGQPRSKENAAAVLLTLCKKNCNNLACIGRLGAIIPLTELVNSGTERAKRKATSLLEHLNKMQTILL >DRNTG_03112.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14036728:14041492:-1 gene:DRNTG_03112 transcript:DRNTG_03112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAANDMPRGRARSETPSSFLLGHGSQPLLLPLMRTPCEERTRRRRRRSILQHFLRIQQKNPLALAQRLQYLGHPRILNGMISSAAIAAFAAMSGGCSVLRRRRRIDGGGLHDRKGQNESEVRKRAQWPLD >DRNTG_03112.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14036728:14041492:-1 gene:DRNTG_03112 transcript:DRNTG_03112.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAANDMPRGRARSETPSSFLLGHGSQPLLLPLMRTPCEERTRRRRRRSILQHFLRIQQKNPLALAQRLQYLGHPRILNGMISSAAIAAFAAMSGGCSVLRRRRRIDGGGLHDRKGQNESEVRKRAQWPLD >DRNTG_23139.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:7738832:7739365:1 gene:DRNTG_23139 transcript:DRNTG_23139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVWNSGVFPAEYRSSRPIPSLFKADFSPDFSILFSIFSPTCERVLARVSRVIGQGFGEILRLRHRDSIRKKVGRGASIEAYPIPDEGILGRRVEDFPQDHRHDHRGGFFMDSLLLHSISLIVLSSMES >DRNTG_20635.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20515059:20518475:1 gene:DRNTG_20635 transcript:DRNTG_20635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWCPCNGRKKAKKKGQRPAPSESAKVNPPPSDIEKQKSWEAKEALKESSLEVSKELTMQNSVEAKKEDSDNCSEHIAAQTFTFRELAAATRNFRVDCLLGEGGFGRVYKGKIEGVNQIVAIKQLDRNGLQGNREFLMEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDLTSDKKHLDWNTRMKIAAGAAKGLEHLHDKGNPPVIYRDLKCSNILLGEGYYPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLELITGRKAIDNSRASGEHNLVAWARPLFKDRRKFTQMADPVLQGKYPARGLYQALAVAAMCVQEQPTIRPLIADVVTALTYLASQNYDPETQTAQSNPRLMTPGTPPRSKRCQ >DRNTG_06419.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29779376:29779821:-1 gene:DRNTG_06419 transcript:DRNTG_06419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAYSLQCNQSFFGNLVLAAYPKAKAKALIKGGVAKAAGFFRKAVRALADDYFQSFIDFGESVIWKNARVETIGSKSNEVEGDVAKSPTAGKRSKRERRSNVRLADFVYT >DRNTG_21497.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001221.1:22576:29999:-1 gene:DRNTG_21497 transcript:DRNTG_21497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEQILKQLYPLRDQTFLRLIIDEDKREGGDGDEDFNDVMVDEF >DRNTG_21497.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001221.1:22576:29999:-1 gene:DRNTG_21497 transcript:DRNTG_21497.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLTLDNASNNDNIQDILKEQLALHDILQCDGEFFHIHCSTHILNLIMQEGLKVASDALHKIKEFVKYV >DRNTG_15027.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23769314:23772085:-1 gene:DRNTG_15027 transcript:DRNTG_15027.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQFSQDYYSYSMRSAVCESKFRESSSSLQMAHEERNTELQLVRVSNDAHRLTEMIDSLFKSSKLDRRVKDVARELLRGAMDLQESLVMLGKLQDASKQLAKTTKKNVAAVDGEREARRSVDGSSSRDCIDELRRVIRDSFQKHNLLSRSPEDERALSSRSMRFNPETELRDEHCERSRDGFGSNKKVKAPNLIAKLMGLEEVPLDETMSSLKPLRTPTFDDHMPKARKEQVMERSPDLQKKTLQDIIEKMQFKGILKNGQAEDFRIEPLAPNSSPLERYRSGRLHYDDEHPAYSYHEAFEAT >DRNTG_15027.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23769314:23772085:-1 gene:DRNTG_15027 transcript:DRNTG_15027.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNEYSMRSAVCESKFRESSSSLQMAHEERNTELQLVRVSNDAHRLTEMIDSLFKSSKLDRRVKDVARELLRGAMDLQESLVMLGKLQDASKQLAKTTKKNVAAVDGEREARRSVDGSSSRDCIDELRRVIRDSFQKHNLLSRSPEDERALSSRSMRFNPETELRDEHCERSRDGFGSNKKVKAPNLIAKLMGLEEVPLDETMSSLKPLRTPTFDDHMPKARKEQVMERSPDLQKKTLQDIIEKMQFKGILKNGQAEDFRIEPLAPNSSPLERYRSGRLHYDDEHPAYSYHEAFEAT >DRNTG_15027.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23769241:23769950:-1 gene:DRNTG_15027 transcript:DRNTG_15027.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSSLVLMDTNQYTEGKTSRQQEQETLSFSWIVQLS >DRNTG_15027.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23769314:23772085:-1 gene:DRNTG_15027 transcript:DRNTG_15027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEYSMRSAVCESKFRESSSSLQMAHEERNTELQLVRVSNDAHRLTEMIDSLFKSSKLDRRVKDVARELLRGAMDLQESLVMLGKLQDASKQLAKTTKKNVAAVDGEREARRSVDGSSSRDCIDELRRVIRDSFQKHNLLSRSPEDERALSSRSMRFNPETELRDEHCERSRDGFGSNKKVKAPNLIAKLMGLEEVPLDETMSSLKPLRTPTFDDHMPKARKEQVMERSPDLQKKTLQDIIEKMQFKGILKNGQAEDFRIEPLAPNSSPLERYRSGRLHYDDEHPAYSYHEAFEAT >DRNTG_12579.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:822726:823398:1 gene:DRNTG_12579 transcript:DRNTG_12579.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFGHIPSFQSSYKGSNGCVSVSDDPSCSGSMAYTTSCAGLAEPLPNDNPVSDMLVDSFGRMHTYLRISLTERCNLRCQYCMPAEGVELTPNSKLLSRNEIIRLANIFVASGVNKIRLTGGEPTIRSDIEDICSQLSSLKGLKTLAMTTNGLVLTRKLPKLKECGLNSINISLDTLVPAKFEFMTRRKGHNKVLESIDAAV >DRNTG_12579.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:821806:823398:1 gene:DRNTG_12579 transcript:DRNTG_12579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFRSALMMRRCVSLLVGRCFGPQPPIFLAGAFMSGFGHIPSFQSSYKGSNGCVSVSDDPSCSGSMAYTTSCAGLAEPLPNDNPVSDMLVDSFGRMHTYLRISLTERCNLRCQYCMPAEGVELTPNSKLLSRNEIIRLANIFVASGVNKIRLTGGEPTIRSDIEDICSQLSSLKGLKTLAMTTNGLVLTRKLPKLKECGLNSINISLDTLVPAKFEFMTRRKGHNKVLESIDAAV >DRNTG_13137.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000595.1:16326:17451:1 gene:DRNTG_13137 transcript:DRNTG_13137.1 gene_biotype:protein_coding transcript_biotype:protein_coding GYENKKATKACDQGTSGLGCNGRQGSASGNANEQDKIKFSICY >DRNTG_13137.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000595.1:16326:17451:1 gene:DRNTG_13137 transcript:DRNTG_13137.2 gene_biotype:protein_coding transcript_biotype:protein_coding GYENKKATKACDQGTSGLGCNGRQGSASGNANEQDKIKFSICY >DRNTG_01810.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3123003:3128434:-1 gene:DRNTG_01810 transcript:DRNTG_01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFATSWLCIFFLLCCAYAGEAEYILYKDHKQHLNNRIKDLLSRMTLAEKIGQMTQIERKNASADVMKNFFIGGVLSGGGSVPAPMASAEVWVNMVNEIQRAALSTRLGIPMLYGIDAVHGHNNVYNATIFPHNIGLGATRDPELVRRIGVATALEVRATGIPYTFAPCIAVCRDPRWGRCYESYSEDHAVVQAMTEIIPGLQGDIPANSSKGVPYVAGKDKVAACAKHFVGDGGTHNGINENNTIIDRHGLLSIHMPAYYNAIIHGVSTVMVSYSSWNGVKMHADYNLVTGYLKNTLKFRGFVISDWQGIDRITTPADVNYTYSVQQGVNAGIDMVMVPYDYPTFINELTSLVNKGVIPMSRIDDAVRRILRVKFTMGLFEIALADLSFAEQLGSREHRDLAREAVRKSLVLLKNGKRANEPILPLPKKASSILVAGTHANNLGYQCGGWTIEWQGGSGDTTVGTTILDAIKFTVDGSTDVIYSENPDASLVKANNFSYAIVVVGETPYAETNGDNLNLTIPDPGPSTIQTVCGAIKCVVVIISGRPVVITPYIASIDALVAAWLPGSEGQGVADVLFGDYGFTGKLPRTWFKSVDQLPMNVGDKHYDPLFPFGFGLTTMPTGRMISGAVVRNEHYGMVSLFINLLVTFIVSKTCCFEGCLFFDWE >DRNTG_01810.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3123003:3126102:-1 gene:DRNTG_01810 transcript:DRNTG_01810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIIPGLQGDIPANSSKGVPYVAGKDKVAACAKHFVGDGGTHNGINENNTIIDRHGLLSIHMPAYYNAIIHGVSTVMVSYSSWNGVKMHADYNLVTGYLKNTLKFRGFVISDWQGIDRITTPADVNYTYSVQQGVNAGIDMVMVPYDYPTFINELTSLVNKGVIPMSRIDDAVRRILRVKFTMGLFEIALADLSFAEQLGSREHRDLAREAVRKSLVLLKNGKRANEPILPLPKKASSILVAGTHANNLGYQCGGWTIEWQGGSGDTTVGTTILDAIKFTVDGSTDVIYSENPDASLVKANNFSYAIVVVGETPYAETNGDNLNLTIPDPGPSTIQTVCGAIKCVVVIISGRPVVITPYIASIDALVAAWLPGSEGQGVADVLFGDYGFTGKLPRTWFKSVDQLPMNVGDKHYDPLFPFGFGLTTMPTGRMISGAVVRNEHYGMVSLFINLLVTFIVSKTCCFEGCLFFDWE >DRNTG_25407.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24535749:24539443:1 gene:DRNTG_25407 transcript:DRNTG_25407.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMENLALRRLKSIAGHLQPSETLTGDLFLNRNPVSSSEKIDDASSPIIIGGMVLDINAVPNAHPVPGTTTPGKVKYVSGGVARNVAECMSKLGTRPFIISVVGLDMAGELLLKYWKSAGFSTEGILKLQGMATPVVSNVYDCSGELAAAVASVEAVETFVTPQWIQKFSHKIRSAPVVMVDANLNPQALKAACQLAGEFSIPLWFEPVSITKSVRISSIINYITFASPNVNELISMANALSLNKKFDFITKSFQSIETLFQMLKPAICVLLEKGVKVLIVTLGSYGVFVCCTGGPDFINEALNRRTASCSSRQLYELVNNNSLANRFHCYTETGMKSSYFAYHFPSLPASVVSLTGAGDCLVGGILASICAGIDVMQSIAVGITVAKAAVEAESNVPAKYSLTTIKDEAVKILSSAQPLAF >DRNTG_25407.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24535749:24539443:1 gene:DRNTG_25407 transcript:DRNTG_25407.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVVSNVYDCSGELAAAVASVEAVETFVTPQWIQKFSHKIRSAPVVMVDANLNPQALKAACQLAGEFSIPLWFEPVSITKSVRISSIINYITFASPNVNELISMANALSLNKKFDFITKSFQSIETLFQMLKPAICVLLEKGVKVLIVTLGSYGVFVCCTGGPDFINEALNRRTASCSSRQLYELVNNNSLANRFHCYTETGMKSSYFAYHFPSLPASVVSLTGAGDCLVGGILASICAGIDVMQSIAVGITVAKAAVEAESNVPAKYSLTTIKDEAVKILSSAQPLAF >DRNTG_25407.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24535749:24539443:1 gene:DRNTG_25407 transcript:DRNTG_25407.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMENLALRRLKSIAGHLQPSETLTGDLFLNRNPVSSSEKIDDASSPIIIGGMVLDINAVPNAHPVPGTTTPGKVKYVSGGVARNVAECMSKLGTRPFIISVVGLDMAGGILKLQGMATPVVSNVYDCSGELAAAVASVEAVETFVTPQWIQKFSHKIRSAPVVMVDANLNPQALKAACQLAGEFSIPLWFEPVSITKSVRISSIINYITFASPNVNELISMANALSLNKKFDFITKSFQSIETLFQMLKPAICVLLEKGVKVLIVTLGSYGVFVCCTGGPDFINEALNRRTASCSSRQLYELVNNNSLANRFHCYTETGMKSSYFAYHFPSLPASVVSLTGAGDCLVGGILASICAGIDVMQSIAVGITVAKAAVEAESNVPAKYSLTTIKDEAVKILSSAQPLAF >DRNTG_25407.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24535749:24539443:1 gene:DRNTG_25407 transcript:DRNTG_25407.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDANLNPQALKAACQLAGEFSIPLWFEPVSITKSVRISSIINYITFASPNVNELISMANALSLNKKFDFITKSFQSIETLFQMLKPAICVLLEKGVKVLIVTLGSYGVFVCCTGGPDFINEALNRRTASCSSRQLYELVNNNSLANRFHCYTETGMKSSYFAYHFPSLPASVVSLTGAGDCLVGGILASICAGIDVMQSIAVGITVAKAAVEAESNVPAKYSLTTIKDEAVKILSSAQPLAF >DRNTG_25407.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24535749:24539443:1 gene:DRNTG_25407 transcript:DRNTG_25407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVVSNVYDCSGELAAAVASVEAVETFVTPQWIQKFSHKIRSAPVVMVDANLNPQALKAACQLAGEFSIPLWFEPVSITKSVRISSIINYITFASPNVNELISMANALSLNKKFDFITKSFQSIETLFQMLKPAICVLLEKGVKVLIVTLGSYGVFVCCTGGPDFINEALNRRTASCSSRQLYELVNNNSLANRFHCYTETGMKSSYFAYHFPSLPASVVSLTGAGDCLVGGILASICAGIDVMQSIAVGITVAKAAVEAESNVPAKYSLTTIKDEAVKILSSAQPLAF >DRNTG_03284.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8832663:8833561:-1 gene:DRNTG_03284 transcript:DRNTG_03284.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVQVTKAISALTSELTSGQKDLKAEENMSSSEKADALEEVRWAVEHVVIPRGESVQLLPRPSQIISVQIDLIENYKLKWEKVGEESNMHLRVLPFHFNTDKTKPELKELSITDDVNNMTNGVVRLPLLPDYP >DRNTG_03284.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8835129:8855784:-1 gene:DRNTG_03284 transcript:DRNTG_03284.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFHFFLPASPATHYLSPFPSPPPSPALLRLPYPHPFSSLHRSPPLRSSTSLLKCLCFQSLSSCFDEELDRLLVLLPDEMRRKVDDHPELSLLIEIVMDLGRRPLARFPSGDFILSDRPITPQDLHHATSQVGDFSKDNRAGISRTLHRISAIRNRKGVIIGLTCRVGRAVTGSANLLRDLVKDGGSLLLIGPPGVGKTTIIREIARMLADDYRKRVLIVDTSNEIGGDGDIPHSGIGNARRLQVPNSDMQHMVLIEAVENHMPQVIVIDEIGTRLEAMAASTIAQRGLQLVATAHGVTIENLIMNPSLEMLVGGIQSVTLGDEEASRRGVQKTILERKGPSTFTCGAEIISKTEIRVHRSLESTVDALLA >DRNTG_03284.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8832663:8835029:-1 gene:DRNTG_03284 transcript:DRNTG_03284.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMIQLTDNISEADAFLALHAKMKKNSQLQAAAKSHGIPIYVTKMSSLVQVTKAISALTSELTSGQKDLKAEENMSSSEKADALEEVRWAVEHVVIPRGESVQLLPRPSQIISVQIDLIENYKLKWEKVGEESNMHLRVLPFHFNTDKTKPELKELSITDDVNNMTNGVVRLPLLPDYP >DRNTG_03284.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8832663:8855784:-1 gene:DRNTG_03284 transcript:DRNTG_03284.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFHFFLPASPATHYLSPFPSPPPSPALLRLPYPHPFSSLHRSPPLRSSTSLLKCLCFQSLSSCFDEELDRLLVLLPDEMRRKVDDHPELSLLIEIVMDLGRRPLARFPSGDFILSDRPITPQDLHHATSQVGDFSKDNRAGISRTLHRISAIRNRKGVIIGLTCRVGRAVTGSANLLRDLVKDGGSLLLIGPPGVGKTTIIREIARMLADDYRKRVLIVDTSNEIGGDGDIPHSGIGNARRLQVPNSDMQHMVLIEAVENHMPQVIVIDEIGTRLEAMAASTIAQRGLQLVATAHGVTIENLIMNPSLEMLVGGIQSVTLGDEEASRRGVQKTILERKGPSTFTCGAEIISKTEIRVHRSLESTVDALLAGRSPNFEVRKINPDGSKQEMLANQIESDNTPQKETKISVDNMLKYSEEKNSDGVLESKPEEAEGSHPTGMDICLYIYGISETIVLQAINQLGMDEMIQLTDNISEADAFLALHAKMKKNSQLQAAAKSHGIPIYVTKMSSLVQVTKAISALTSELTSGQKDLKAEENMSSSEKADALEEVRWAVEHVVIPRGESVQLLPRPSQIISVQIDLIENYKLKWEKVGEESNMHLRVLPFHFNTDKTKPELKELSITDDVNNMTNGVVRLPLLPDYP >DRNTG_32792.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:24820009:24825345:1 gene:DRNTG_32792 transcript:DRNTG_32792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIALISRLKSFFKDYQTDQKFTLTTCTPNRVMANQEKTNTNAKWNENHRAYLVKLLGDYNVPAYRSQDGLKRLWRLFATREPCHLRRCLRATPASQRVQLMVSFDGRIKPRPQYNQLSYVSRDTKILAVDWSVRLPTVLSRITSITRTDDVYLKYQLPGEDLDVLLSVTNDEDLEHRMLEYDHLCRVATLG >DRNTG_19181.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:9307:12353:-1 gene:DRNTG_19181 transcript:DRNTG_19181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFAGKLVERLANIIEEKAIMVLGVKDELQRLRRRMERIARVLKDAEKRSIQDETVKGWVDELKDLMYDADDIIDLCMIQGTGLLQDVDHPSQMAESSATASTRVCCHFPLLSCVRSIPSRYEIADKIKSLNDKLEEISEDKNKFNFITSSNSSDAYAMNEASYRQSSSLPEPDIVGWDIKDATNSLVELLVSQPEQKCRLFAIVGMGGIGKTTLAQQIYNDPKINDAFVVGIGKTRLAQQIYNDPKINDAFVLRSWIWVSKSFTSRIDFLKEIIRNIGGKYGECTTISELQNILCDFLREKNLFLVLDDVWDENIWVDLIKNAIERTTKKCRVLVTTRDRNTAVKMGATHIHHVNKLPSDVGWELLCKKVFTNNSTQDMQRLKDIGMKIVEKCDGLPVAIKAIAGVLVTKDQNKREWENVLNSDAWTITGLPEELQGALYLSYEALPSALKHCFLYCALYPRGHEFYLEDLVCHWIAEGYIEAKGNSSMEDVAKSYYMELICRSFLQPDPVYVDMSSCTIHDLLRALAEFFAGDESFSGDPLEVQSTDSMKKLRRLTITSNRESVSIPHLDCLRSLVMDSSKFEHTSDWQLKAFAFITSQWRQD >DRNTG_09233.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:920471:924092:-1 gene:DRNTG_09233 transcript:DRNTG_09233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQIDLALKYMDLTLKSGYMVSMNVFTDCVRCCVTAGRLDVLSSIIEKCKTTEQNKSLCPLWNLCNYIADVALQADHSKLAFFALEFLARWIARGENARPPVLLSVDEGSVVSAFGTAGRTYNSTLLDAAWSILRRSLRQKRAPNPETYLSKIYSHASLGQLQRAFSTLSEFENAYGNSGEVDEELFSPFTFLYPLVVACCKNGFSTLDSVYVQLENLSNADPPCKSVAALNCVILGCANIWDLDRAYETSEAIGGKLGISPDIHSYNALICAFGKLKKTSEALKVFEHLVNLGAKPNATTFALLVDAHLIDRGQKAALSVIDDMVKAGLAPSKETLKKVRRRCSREMDFDSDEKGSIARSAI >DRNTG_13513.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21963235:21963687:-1 gene:DRNTG_13513 transcript:DRNTG_13513.1 gene_biotype:protein_coding transcript_biotype:protein_coding PFAFIYLLLSKNKSFMEKQNSGRRLTEEMEVTNDRRYTLHGEVMLLVLVLLFAVFLCCIIFCLYLRRLRRAQESPENSGHVDEERDKRNFGRVGSVMYDGGN >DRNTG_27895.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20162250:20164864:-1 gene:DRNTG_27895 transcript:DRNTG_27895.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACFGNNEEEMSPRSRVKFLCSYGGKILPRPSDGQLKYIGGDTRVIAVPRSISFSELKKKMDIVYNGEAMVKYQLMPEDLDALVSVTCDEDLRYMFDEHDRQPRSPSSGCGSPRLRVFLFPTSSSPSAAATPEQRYLDAINGIPIMPQAKSTKQHSIFTLSSSACTSPTSTIDMSTMGFGGLSSGRASGGGGMHRVRSTPNIGSLRSQTSTGSNLQQHLHQHHHFPQQSSSLQKQLSLTYGMYPGGGGRSAVGASIRYQQPFPQPTSMYCLRPPLAGGPRCTNSGHMEEMYGSGYFYNSAAYKHGCFD >DRNTG_22452.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17969768:17976593:1 gene:DRNTG_22452 transcript:DRNTG_22452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLISEIIDGAVNEEEKKLGGEIVLTTSSDDIEKSLCNLKEFTNCSAEDKHSTIEKPSEVNESPVENLTSALLPESSAVDAAAAGKECYNEDALRIADIMRSYLHMQQTAAVGTDTSTSTGTVTGYDPTSIYPITATILPQQSSSEELPLQDRCKAMMEVVRKEHGKWVVSKLILEHNHPVTPPQQPCCDVLQLPVMGMEFESVEAAKTFYYTFSEQNGYKPRTGSNRRSSSSGALIMQRFLCWRGNYLMYRKPSDTNTKKRKRGPYKSRGGKPYEEVEMKGGDIVDLIEVDSSSEKTAVAGEDHGTVEVGSSPPIKEAAPLEMDAVQIGSPPIIGTMLWGADDGKGKSTEKASQVASTTTSKLLRELGVRVYRYTNEERRDIILKYMMKRNNRQVSEKPAKLSSRQALAESRQRGTGGKFLSKHEMQTSSAQGETATADSDTTVEAAHSGELKVGMVFKNEDKAYEFYIRYAGNVGFSVRKGWWDKSARNVTRSRVYVCSREGFRPKNAVNDGKKPRPETRTGCQARMTIRITPIGKYEVTEFIPDHNHELAAPLDIQMLRSQRLLAKTQSTGLQNGHLIPAEYKNYLRSKRMKEMKLGDAGAMMEYLQKMKGENPSFFYAIQVDVDDQMSNIFWSDANSQLDYYYFGDVVCFDTTYRVNDYGRPLALFLGVNHHKQIVIFAAALLYDETVESYKWLFETFKAAMCGKQPKTILIDQCPTIRDAVGAVWAGTVHRFCAWHVYQSAANHFSHLLQDLGTFSHDLGRCIFDMEDEDEFLSAWESMIEKYDLKDDEWLTKQYEDRDKWALAYDREIFCADIGDALRRESYTTLLKESLSLDKDLREFFKQYDKLVEEGRYAERQADYHAGQANPRFPLLWMWQAENAFTPAIFDRFRVEFELFMNCTIYARGEVGPISEYEVTAKEKTKGHYVRFDSSDGTMTCGCKKFDFIGIQCCHALKVLDFRNIKELPPQYILKRWRKDAKTGSIRENHASTFDYNLESSLPKRYNSLCRTLYRIAARAAENVEAYAFLENQSDQLLEQVERILQTRLLEKPSPSNVSKGQTQTTVQMENDDSGEIPRLGGKKKKDGSTSKKNNQTRLEASKKLKGRKGQADDVEITVRDNEHPPPVGSENIPPQLRNAANQFFVPNQFMQGTYVPSHQYGHGSMQGFHGTTQFGQESSAAALQQQPFHGQLNQNVVQGSPSPDVTSLQFVGVSTQMDPQSIPVWDFL >DRNTG_22452.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17969768:17972290:1 gene:DRNTG_22452 transcript:DRNTG_22452.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLISEIIDGAVNEEEKKLGGEIVLTTSSDDIEKSLCNLKEFTNCSAEDKHSTIEKPSEVNESPVENLTSALLPESSAVDAAAAGKECYNEDALRIADIMRSYLHMQQTAAVGTDTSTSTGTVTGYDPTSIYPITATILPQQSSSEELPLQDRCKAMMEVVRKEHGKWVVSKLILEHNHPVTPPQQPCCDVLQLPVMGMEFESVEAAKTFYYTFSEQNGYKPRTGSNRRSSSSGALIMQRFLCWRGNYLMYRKPSDTNTKKRKRGPYKSRGGKPYEEVEMKGGDIVDLIEVDSSSEKTAVAGEDHGTVEVGSSPPIKEAAPLEMDAVQIGSPPIIGTMLWGADDGKGKSTEKASQVASTTTSKLLRELGVRVYRYTNEERRDIILKYMMKRNNRQVSEKPAKLSSRQALAESRQRGTGGKFLSKHEMQVVDICHHLL >DRNTG_20924.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:47826:51126:1 gene:DRNTG_20924 transcript:DRNTG_20924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEDAEELVKLQHEMEITKFELRDSEYYCELRDQLEKNNLLKTEISRRLGSEEQIQMIIYALGSLEYSFASQYQFALALLMREDIDMLRIGKIEVFDPCITPVDANLIQSFGCNVLSVNEFARRRVEKPTFFFLPYAPYKLVANVLEVNWVPSKLANLIILGTSMHHWANLKEQPDPPEPEYDELEKLVINDRLRYMHAIYESSANFAIYKRDDCWPFNNLHWIFFNLHPGIDFNELLPSISILNKIEVDHDIAYPPEGDIISDLRNKIEEEYFESFLPNQQERNRFNNASSSRAPCKYRKAWNRPQQRWIKLNFDGHGDDHGNYGYGGVLHDSNGETLLSYAGPMSNVNIESGNGNCNGNSDPTLAAQVEGLRQGIICFKKWLPSTYDDPKLLIEGSAVSVIRWARALLPPPTMFAKAFEEMCIILEETACEVHHIYHEANIQANKVAQQGATLSQFSTWT >DRNTG_07654.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23219688:23228075:-1 gene:DRNTG_07654 transcript:DRNTG_07654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGRAGAGHASTIPAPDGNEAGQGGHAFTIPTPPGSEGFVASLNTSDKKREEEVIRRTMTIVTGVGKTIQSLNSILHSSNLMNNLLISINAILFVVLLVNLVYKVLSRKAKRQDNNHQQHKGLAVFSQGITMVLIVAVVSIRTSVLGCAFAKIWSAIVSISTAIICIHSTLNIIAEKEKWIEFLMDLLYLPGVILLLLCTFIRLKNEEDDDKNVGNGLHQPASDEDVTVSVTPFANARFLISVFFALMKIITVSSGPVLIKAFIKVTSGNQAFKYEGYLLAFGLFIAKFLESVSQRHWYFRTKLLGLKIRSLLTAAIYQKQFRLSNSAKLIHSSGKIMNYSTVDAYRIEEFSYWFHQTWTTSLQLCFALIILYSAVALAMTAAFAVIVLTVICNAPLAKLQHKFQTKLMGAQDERLKAMTEALVNMKVLKLYAWDTHFKKIIEGLRSVECKWLSAVQLRRSYNNFLFWSSPVLVSAATFLSCYLLHIPLNASNVFTFVVTLRLVQDPVRAIPEVIRVVIQAKVAFSRIYRFLDAPELQNEHFKRQCDPELEQAIIINSCSFSWDGNMSKLALKNINLEVCPGERFAICGEVGAGKSTLLAAILGEIPKVKGTIQVCGNIAYVSQTAWIQTGTVRDNILFGSPMDNQRYKETLEKCSLVKDIEMLPFGDLTEIGERGVNLSGGQKQRVQLARALYQDADIYLLNDPFSAVDAHTASSLFNDYVMGALSTKTILLVTHQVDFLPALNCILLISDGEVLRHAPYNELSASCKEFQGLVNAHKEMVNSERLASQRGNQSSRREFKHSCKGYQQNSEEVSGFDQLIKKEERETGDTGWKPYLQYLNQNKGYLYAALAALAHIIFLAGQISQNSWMASNVQNPHVSSLRLISVYLAIGFSATIFLFARSALIVVLGLQSSKSLFSQLLNSVFQAPMLFFDSTPIGRILSRVSSDLSIVDLDVPFSLMLCISNILNALSNLGVLAVVTPQILFVVIPVICVAIKLQKYYFTSAKELMRINGTTKSLVANHLAESLSGAMTIRAFKEEDRFFAKSLELIDKNASPFFLNFASTEWLIQSLETMSAAVLSSSALVMALLPHGTFSPGFVGMALSYGLTLNISFVLSIQNQCTLANYIISVERLNQYMHVSSEAPGVIDNNKPAPNWPSVGKVELHDLKIRYRPDTPLVLQGISCTFEGGHKIGIVGRTGSGKTTLISALFRIVEPEDGKVIIDGIDISSIGLHDLRSRFGIIPQDPTLFNGSVRYNLDPLGQHTDTEIWEVLDKCQLREAVQEKEKGLDSLVVEDGSNWSMGQRQLFCLGRAVLKRSRILVLDEATASIDNATDVILQRTIRTEFERSTVITVAHRIPTVMDCTMVLAISDGKLVEYDEPIKLVKTDGSLFGELVKEY >DRNTG_32453.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2361090:2362208:1 gene:DRNTG_32453 transcript:DRNTG_32453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRTRYGDDIDIDNLKATELRLGPPGTGEEVIPTQVVSRGNKRALPEDSTEYECEYKRSSSETASVESCLDTSPAAKAQVVGWPPIRSYRKKSFEAIKEVDQKGGLYVKVSMDGAPYLRKIDLNVYQGYEELRLALEDMFKCFSIGELYAIAYEDKDGDLMLVGDVPWRMFISSCKRMRIMKGCEARGLSSNS >DRNTG_23346.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6050285:6053011:1 gene:DRNTG_23346 transcript:DRNTG_23346.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELRITRASTKQNIQTAELEGKTRSPTVEFWLQKVDAVELEVTAIKQAYDHRTNCIAMPSLNIVSNYKLGRRAFKKKEEMVELLDKAAKFDVVAKKLPPGPARELPTPSIMVSNENSNLETIYQYLIENMTGIIGIWGMGGVGKTTLLRSINNEFYRSKDGMFDHVIWVVVSQQYSYVKIRSDIAKNLGLPSTNEDADAIHDFLKMKSFLLLLDDLWSEHDLEKIGVPHPKMHHDQDKHKRMVVFTTRLENVCGDMEANKTIKIQCLDHDAAWGLFKAKAGEELIASDNLIQQHAEGVVMECAGLPLALVTVGKAMRTKKTAQEWEYVGNMMRKSKYPSIPGMRKESDFFPILKISYDNLESDILRQCFLYCSLWGEDVAIAIDDLIQCWMGHGLLDDFDDLSEAYNKGGIIIGNLKGACLLETVALPWLHEESYVKLHDVIRDLALWITSDCGRNKQGWLVQPNSNLERLPEDVINGEVINISVNNMKALDGFINFHKLKTLILSADTREYLITPEFFTKMRCLKYLDLSHASIEIVPEEIGGLNGLEYLQLPRNLRSLPTALGDLKNLKYLYIFDLSEAKIPYGLIARLTKLRVLDLFYTGDVYLEERHVDELLILKELKGVGINIKASSTLLERLRPVPKRRLRLCCLDDESDFTSISISPLHLGSNSMTNLHELTISNIESLQELVMTTENDSSWCLSHLNELYLDDLPHLKNVIWKDLETQFFLPGLVYLFIINCNSLTSLCWTAHLPRLKQLTISQCDKLESIIKTGDDATKVIEEEGNLFKSLNLLYLTDNPNLECICEGELSLPSIELITFEGCDKLRKLPLGLDSAKNLESIDVSSTMWDNIDWAHKDHFSHLVRTIV >DRNTG_29126.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1277457:1278099:1 gene:DRNTG_29126 transcript:DRNTG_29126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIVEVESPVAAPRLFKALLEWHNLAPKLTPEIVSSAQMIILNESEGVGSVRQFNFTPVLPFEYIKERLDFVDLEKFESKHSLVEGGDLGTKLEMATTEYKFTPSSTGGCAAKMVMTYKLLPGVEVTEVEEKAKGAVTAIVKAAEAYLIANPNAYA >DRNTG_17813.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000915.1:2452:3049:1 gene:DRNTG_17813 transcript:DRNTG_17813.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLQNIIYMPGNTTNSHQNHRGNSQSP >DRNTG_21645.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:144373:146247:-1 gene:DRNTG_21645 transcript:DRNTG_21645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAIAECGVGYKAPNFDQLRSNLLNKVKGEIYDTHKRVQDDWRETGCTILLDSWSDGRSKSILVFSVTSPKGTQFLKSVDVSSHSDDAYYLFELLDSVIMEVGVENVVQVITDSATTYACAGGLLLKKYPSIFWSPCASYCIEKMLEDINKQEWVKSVLAEAGIIVHFIYSDAWALDMMRKFNGGMELMRPKLNSFVTHFLSLRSIVVQEDNLKHMFSHADWLSSIYSRRSDAQQIRSLLFSERFWRSAREVVGVSEPLIKLLRIVDGDMPAMGYVYEGVERAKLAIKMFYKGCGEKYLPVSEIIERRWNMQHHSHLHTAAAFLNPSIFYNPNFKFDASMRNGFHAAMWKMFPEEKERVELTKEQPLYLNAQGALGSEFAIMGRTLNAPGDWWAAYGYEVPVLQRAAMRILSQPCSSYWSKWNWNMFDHLHSKKCNKLELEKLSDLVFVHCNLRLQSISQNLEKKNKPINFDEVDVCSEWPTESEASSLMLDDTWLDNLPFECKASQ >DRNTG_11655.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:156553:158906:1 gene:DRNTG_11655 transcript:DRNTG_11655.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNHVFKPINKCSSIGRSEQTVVADLDGTLLVSRSAFPYYMLVAIEAGSLLRGLVLLTSVPLVYIIYLFFSEALAIQMLVYIAFAGLKIKDIEMVARSVLPKFYAEDVHPEGWRVFSSFGKRFIVTANPRIMVEPFVKDFLGAEKVLGTELEIGKSGRATGFVIKPGVLVGEHKKEAVEQEFRDNLPDLGIGDRETDYGFMSICKEAYIVPSMKIKPLPREKLPQPIIFHDGRWAQRPTPLMALLTFIWMPIGFIVSLIRVYCNIPLPERIVFYTYKIMGIKLVVKGTPPPPPKKGHPGYLFVCNHRTVLDPVVTAVALGRKVSCVTYSISKFSELISPIKAVALSREREKDAANIKRLLEEGDLVICPEGTTCREPFLLRFSALFGELTDRIVPVAINTKQSMFHGTTVRGWKVFDPYVVFMNPRPVYEITFLNQLPRELTCAGGKTPIEVANYIQRVIGATLGFKSTDFTRKHKYSILAGTDGRVPEKKE >DRNTG_24639.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31221615:31223575:1 gene:DRNTG_24639 transcript:DRNTG_24639.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKKGGELIYSGPLGQHSSKVIEYFERVPGVPKIKENCNPATWMLEVTSPSVEAQLGLNFAQIYRESTLYNGNKILAKQLSIPAPGSRDLHFSSLFPQNGWGQFRACLWKHYLSNWRNPSYNCARLIYILFTSVFCAALFWKQGQTLNNQQNLFSILGSMFISVMFTGIINCMLVMPSVVTEREVLCREKYAGMYSSWANSLAQVVIEIPCVFIQTAVYTIVVYPTVGYCWSAYKFLWFFYAMLSIWLSFVYLGMLVVSLSPNIQVASIVSSFFFQTFNVFSGFIIPGPHIPKWWIWFYYMTPVSWALNGLLTSQYGDIQEKIQVFGETKTVALFLQHYFGYHLDQLGLVALLLLVFPLMFASLFACFIEKLNFQRR >DRNTG_24639.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31221084:31223575:1 gene:DRNTG_24639 transcript:DRNTG_24639.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPTSGLDARAAAIVMRAVKNVAETGRTVVCTIHQPSIDIFEAFDELMLMKKGGELIYSGPLGQHSSKVIEYFERVPGVPKIKENCNPATWMLEVTSPSVEAQLGLNFAQIYRESTLYNGNKILAKQLSIPAPGSRDLHFSSLFPQNGWGQFRACLWKHYLSNWRNPSYNCARLIYILFTSVFCAALFWKQGQTLNNQQNLFSILGSMFISVMFTGIINCMLVMPSVVTEREVLCREKYAGMYSSWANSLAQVVIEIPCVFIQTAVYTIVVYPTVGYCWSAYKFLWFFYAMLSIWLSFVYLGMLVVSLSPNIQVASIVSSFFFQTFNVFSGFIIPGPHIPKWWIWFYYMTPVSWALNGLLTSQYGDIQEKIQVFGETKTVALFLQHYFGYHLDQLGLVALLLLVFPLMFASLFACFIEKLNFQRR >DRNTG_24639.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31221884:31223575:1 gene:DRNTG_24639 transcript:DRNTG_24639.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVTSPSVEAQLGLNFAQIYRESTLYNGNKILAKQLSIPAPGSRDLHFSSLFPQNGWGQFRACLWKHYLSNWRNPSYNCARLIYILFTSVFCAALFWKQGQTLNNQQNLFSILGSMFISVMFTGIINCMLVMPSVVTEREVLCREKYAGMYSSWANSLAQVVIEIPCVFIQTAVYTIVVYPTVGYCWSAYKFLWFFYAMLSIWLSFVYLGMLVVSLSPNIQVASIVSSFFFQTFNVFSGFIIPGPHIPKWWIWFYYMTPVSWALNGLLTSQYGDIQEKIQVFGETKTVALFLQHYFGYHLDQLGLVALLLLVFPLMFASLFACFIEKLNFQRR >DRNTG_09768.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8444117:8445607:-1 gene:DRNTG_09768 transcript:DRNTG_09768.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCYGESTYRKQATVETSLSSLDGKEFPELVPANAEEEIFVGANRRMDAIAPEPLARRQDERAASIVRAGRRSPTSSPPRARIPRRRRSPPLPRQIATTPPTTTTTVPPTMAAPPTVAAPPVTLGEDVTATLMQACQILMTEFPRLVARVEALEGRSQPAAPSLERTEAPGTNEASEFDDDDIIGKVIPRRPHSKRLAKKRRTILPLSPPPADDETIATPSAADAVTESVAVDDMAMTVEDIVDDVAVAAVEKVVDSLLDEIVDPVEPAAEIAASKMDTIPEGQEQVKGVSPNDAVVMATVEKIVESVAVAVADSTAAKQDTIPPQQEPCKEVSAVDAVAVVPASKPDTIPQQEQQCKDVSAVDAVAIVPASKEDADEDPDRATREMIKANQKLDQTARKAFIPKKKKWVGLSRL >DRNTG_23320.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1095747:1096139:-1 gene:DRNTG_23320 transcript:DRNTG_23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLTKQTEIKKQDQTRGVGVDRNQGCSLHNWLSK >DRNTG_28980.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18487866:18488333:1 gene:DRNTG_28980 transcript:DRNTG_28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEKAFLHAGTFLLSCSLDAQFYFPTYLLLQG >DRNTG_20810.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22108716:22112296:1 gene:DRNTG_20810 transcript:DRNTG_20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLSLLSRTTTAEELHAVMSPYAGGGRTPLLSLRFMVSLLSREPDWRRSVALLDWMLGPAGYSPSVFAYNVALRNALRARQWSFASGLVLEMRSHHSLSPDRVTYSTLISYLARAGLLDAALLWLRRMDADRVSPDLVLHTTLIELALKLNDHSKAVSIASRLLGSSSPLDLVAFNSIIHAFSRAGLLREAQQLLVEMRENHQVPPDTVTYSTILCALVARGRYLEALSLFSEMRDRRVPLDLMTVNIMIDAYGQLDMAREADRLFWSVRKLGLEPSIVTYNTMLRVYGDAELFGEAIHLFRLMQRKEIQQNVVTYNTMIKIYGKSLEHEKAGNLMQEMQLKEIQPNAITYSTIISIWARAGKLERAAKLFQKLRSSGAEIDPVLYQTMIVAYERAGLVGHAKRLLHELKHPEGIAKETAVAILANAGRVEEATWVFRQAAEAGEVKDITVFKCMMDLYSRNRRHVNVIEVFEKMRAAGFFPDSEVIAVVLNAYGKLQEFDKADAVYKEMEEEGCIFSDKVHFQMLSLLGMKRDFSRVESLLEKLSADPNIDKKELNLVAASVYERANKLDEASRFVSQIRKRASSNPLALDLELYSSV >DRNTG_20810.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22108716:22111576:1 gene:DRNTG_20810 transcript:DRNTG_20810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLSLLSRTTTAEELHAVMSPYAGGGRTPLLSLRFMVSLLSREPDWRRSVALLDWMLGPAGYSPSVFAYNVALRNALRARQWSFASGLVLEMRSHHSLSPDRVTYSTLISYLARAGLLDAALLWLRRMDADRVSPDLVLHTTLIELALKLNDHSKAVSIASRLLGSSSPLDLVAFNSIIHAFSRAGLLREAQQLLVEMRENHQVPPDTVTYSTILCALVARGRYLEALSLFSEMRDRRVPLDLMTVNIMIDAYGQLDMAREADRLFWSVRKLGLEPSIVTYNTMLRVYGDAELFGEAIHLFRLMQRKEIQQNVVTYNTMIKIYGKSLEHEKAGNLMQEMQLKEIQPNAITYSTIISIWARAGKLERAAKLFQKLRSSGAEIDPVLYQTMIVAYERAGLVGHAKRLLHELKHPEGIAKETAVAILANAGRVEEATWVFRQAAEAGEVKDITVFKCMMDLYSRNRRHVNVIEVFEKMRAAGFFPDSEVIAVVLNAYGKLQEFDKADAVYKEMEEEGCIFSDKVHFQMLSLLGMKRDFSRVESLLEKLSADPNIDKKELNLVAASVYERANKLDEASRFVSQIRKRASSNPLALDLELYSSV >DRNTG_20810.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22108716:22110783:1 gene:DRNTG_20810 transcript:DRNTG_20810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLSLLSRTTTAEELHAVMSPYAGGGRTPLLSLRFMVSLLSREPDWRRSVALLDWMLGPAGYSPSVFAYNVALRNALRARQWSFASGLVLEMRSHHSLSPDRVTYSTLISYLARAGLLDAALLWLRRMDADRVSPDLVLHTTLIELALKLNDHSKAVSIASRLLGSSSPLDLVAFNSIIHAFSRAGLLREAQQLLVEMRENHQVPPDTVTYSTILCALVARGRYLEALSLFSEMRDRRVPLDLMTVNIMIDAYGQLDMAREADRLFWSVRKLGLEPSIVTYNTMLRVYGDAELFGEAIHLFRLMQRKEIQQNVVTYNTMIKIYGKSLEHEKAGNLMQEMQLKEIQPNAITYSTIISIWARAGKLERAAKLFQKLRSSGAEIDPVLYQTMIVAYERAGLVGHAKRLLHELKHPEGIAKETAVAILANAGRVEEATWVFRQAAEAGEVKDITVFKCMMDLYSRNRRHVNVIEVFEKMRAAGFFPDSEVIAVVLNAYGKLQEFDKADAVYKEMEEEGCIFSDKVHFQMLSLLGMKRDFSRVESLLEKLSADPNIDKKELNLVAASVYERANKLDEASRFVSQIRKRASSNPLALDLE >DRNTG_11165.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000493.1:15877:19794:-1 gene:DRNTG_11165 transcript:DRNTG_11165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDAVSLRLPIFVERKGLQLTLVLLSGKELSSPIMKEPEDTFMKDELFEQLEGDPLEDEVMKEVVEESQCQEVAMVEVYVPLELSKKKVSQATQWWKKETTKRKKPPTSPSCPKASNHSSF >DRNTG_03582.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13503141:13505210:-1 gene:DRNTG_03582 transcript:DRNTG_03582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSERERERTASSSSDPVIVRLIEKLVGREEKTSSQSETVLREDSNEI >DRNTG_14207.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11866955:11867354:1 gene:DRNTG_14207 transcript:DRNTG_14207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVSVSFTVGSAAGSGLGDVGTTDASRVRGLITKVAPVPQVVPRSPSAQAVVLEQAINPLLFHPRLSLTGT >DRNTG_03633.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11780074:11783402:1 gene:DRNTG_03633 transcript:DRNTG_03633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKENTQTQHDKQAEICTQESMAIKKPR >DRNTG_24521.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5997217:5997932:1 gene:DRNTG_24521 transcript:DRNTG_24521.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPRTFSLAHLPRNKSVLILKDPNMKSWEVTHIPRKVDLDRLSGGWPAFCHGNDLKIGDLCVFELVKPLELNVHIIR >DRNTG_28402.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4491228:4496700:-1 gene:DRNTG_28402 transcript:DRNTG_28402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAVVLTLKDQDILAGGDLNEDVDMHENVEIGEQKRRDEAYKAAKKNTGIYFDKFNDGTGAQRPILPQYDDPVEDELRRRIEGGLTRKNYEDLTTTGKFSSDFYSLKEKLQFKKPKKKKSLRKKDKLDLDALEAEAIFAGLGEGDLGSRNDAKRQAAKEEKERSEAASRSNAYQTAYAKDEEASKVLRQGPPLTSKASEEDALAFGEDYEDLQKSLEQSRKLALKR >DRNTG_28402.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4491228:4493816:-1 gene:DRNTG_28402 transcript:DRNTG_28402.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMFQLRRRIEGGLTRKNYEDLTTTGKFSSDFYSLKEKLQFKKPKKKKSLRKKDKLDLDALEAEAIFAGLGEGDLGSRNDAKRQAAKEEKERSEAASRSNAYQTAYAKDEEASKVLRQGPPLTSKASEEDALAFGEDYEDLQKSLEQSRKLALKR >DRNTG_28402.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4491228:4494165:-1 gene:DRNTG_28402 transcript:DRNTG_28402.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHENVEIGEQKRRDEAYKAAKKNTGIYFDKFNDGTGAQRPILPQYDDPVEDELRRRIEGGLTRKNYEDLTTTGKFSSDFYSLKEKLQFKKPKKKKSLRKKDKLDLDALEAEAIFAGLGEGDLGSRNDAKRQAAKEEKERSEAASRSNAYQTAYAKDEEASKVLRQGPPLTSKASEEDALAFGEDYEDLQKSLEQSRKLALKR >DRNTG_09995.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21594138:21597718:1 gene:DRNTG_09995 transcript:DRNTG_09995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIGVNQLGGTLPTSITNLSITIQRIRIGFNPITGTIPSGIQNLINLNIMDTASCNLGGSIPEGIGKLANLQLFDLSSNHFTGKIPSSIGNLTQLNTLYLFDNEFLGQLPASLGNLRQLAIMDLSNNSFSGSIPKEVVSLPYISQYIDLSNNLLEGSLPSEVGTMKNLRQLSISRNKLSGEIPVALGQCEIMEELALDNNLLEGAIPQTLSNMKGIQKLDLSHNNLSGVIPPSFSDLRVLQELDLSHNNLSGSIPESIQNLNSLFYLNLSYNQLQGKVPVKGVFANFTAISITGNEGLCGGISQIHLNACPLVTTKKRKGWPLWLKIIIPIAGALLLCVILLAIWAFVHRKQRERKKTPELSSLEEERYPRFTYAELAKATEGFASDNLIGSGRYGSVYKGSLDNGQTIVAVKVFKLQEIGASKSFLTECEAVRSIRHRNLVKIITSCSSVDHQGRDFKALVFEYMPNGNLETWLHPEDDGHQQLKQLSLIQRLNIAINIADALDYLHHSCQPPMVHCDLKPSNVLLDKDMNAHVGDFGLAKFLSETMSQSLHDSNSTIGIKGTVGYVAPEYGAGSQVSTSGDIYSYGIILLEMFTGKRPTNDMFKEGLSIREFAGKGSTSEHAMEILDEIMFLEGKDNANKNEIMWIKECLDSVLEVGLSCSNPSPRERMRINDAVTKLHVIRNEYIGAKRQSEIHDESKRKGER >DRNTG_28514.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9174510:9179161:-1 gene:DRNTG_28514 transcript:DRNTG_28514.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQMLRNRDRTEDFKDVVRAAAFSSGYTESKLAAILAAFIMRKFSERKPFTKAALKTLSSINELEHFIVKHRKDYVGLHRTTEQERDDIEHEVSIFIKSCKDQIDVLKNRILEEEKSGNARTWLNVRDDGFNADLLAHKHGVVLILSERLHAVTAHFDQLRSVRFQDAVNKAMPRRKMHKIAKLKSSESLPSAAAEIREQDLSSGPVRIQDQLLDDETRAIQVELTSLLDAVQETETKMVEMSALNHLMSTHVLQQAQQIEQLYEQAVEATLNVERGNKELVQAIQRNSSSRTFLVLFLFVLTFSIIFLDWYN >DRNTG_28514.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9174510:9178959:-1 gene:DRNTG_28514 transcript:DRNTG_28514.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQMLRNRDRTEDFKDVVRAAAFSSGYTESKLAAILAAFIMRKFSERKPFTKAALKTLSSINELEHFIVKHRKDYVGLHRTTEQERDDIEHEVSIFIKSCKDQIDVLKNRILEEEKSGNARTWLNVRDDGFNADLLAHKHGVKCRF >DRNTG_28514.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9174510:9177784:-1 gene:DRNTG_28514 transcript:DRNTG_28514.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRKMHKIAKLKSSESLPSAAAEIREQDLSSGPVRIQDQLLDDETRAIQVELTSLLDAVQETETKMVEMSALNHLMSTHVLQQAQQIEQLYEQAVEATLNVERGNKELVQAIQRNSSSRTFLVLFLFVLTFSIIFLDWYN >DRNTG_28514.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9174510:9179161:-1 gene:DRNTG_28514 transcript:DRNTG_28514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQMLRNRDRTEDFKDVVRAAAFSSGYTESKLAAILAAFIMRKFSERKPFTKAALKTLSSINELEHFIVKHRKDYVGLHRTTEQERDDIEHEVSIFIKSCKDQIDVLKNRILEEEKSGNARTWLNVRDDGFNADLLAHKHGVVLILSERLHAVTAHFDQLRSVRFQDAVNKAMPRRKMHKIAKLKSSESLPSAAAEIREQDLSSGPVRIQDQLLDDETRAIQVELTSLLDAVQETETKMVEMSALNHLMSTHVLQQAQQIEQLYEQAVEATLNVERGNKELVQAIQRNSSSRTFLVLFLFVLTFSIIFLDWYN >DRNTG_10130.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19080669:19082821:-1 gene:DRNTG_10130 transcript:DRNTG_10130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEWRKHLSYCTRSRSLQRDRIYIHSLLVIDGFCKVGRSDEARELLDEALEMGLVPNVVTYNSLIGGYCTEGRPLEGIRVLKEMEGRSCPPDFISYNILLQGLLRFGLISVSFQTYMKMHNAGFQASERVMNTLLRGLCRKSTRNDEPLKEAKELFEQIMELGYPLSPYTYCLMVQALAEKGEVSQAFNHLLEMIGKGYSPRMLTYNVVLRVLCRDGRVDDAMYVFILMLEKDTIPGKFSFSVLIGELERQGRLLDAYGVYAAAVKWGVVPKRIPGKQLKAGNEHFHSIQGDECKE >DRNTG_10130.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19080669:19081846:-1 gene:DRNTG_10130 transcript:DRNTG_10130.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVPNVVTYNSLIGGYCTEGRPLEGIRVLKEMEGRSCPPDFISYNILLQGLLRFGLISVSFQTYMKMHNAGFQASERVMNTLLRGLCRKSTRNDEPLKEAKELFEQIMELGYPLSPYTYCLMVQALAEKGEVSQAFNHLLEMIGKGYSPRMLTYNVVLRVLCRDGRVDDAMYVFILMLEKDTIPGKFSFSVLIGELERQGRLLDAYGVYAAAVKWGVVPKRIPGKQLKAGNEHFHSIQGDECKE >DRNTG_10130.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19080614:19082821:-1 gene:DRNTG_10130 transcript:DRNTG_10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEWRKHLSYCTRSRSLQRDRIYIHSLLVIDGFCKVGRSDEARELLDEALEMGLVPNVVTYNSLIGGYCTEGRPLEGIRVLKEMEGRSCPPDFISYNILLQGLLRFGLISVSFQTYMKMHNAGFQASERVMNTLLRGLCRKSTRNDEPLKEAKELFEQIMELGYPLSPYTYCLMVQALAEKGEVSQAFNHLLEMIGKGYSPRMLTYNVVLRVLCRDGRVDDAMYVFILMLEKDTIPGKFSFSVLIGELERQGRLLDAYGVYAAAVKWGVVPKRIPGKQLKAGNEHFHSIQGDECKE >DRNTG_10130.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19080669:19082006:-1 gene:DRNTG_10130 transcript:DRNTG_10130.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEWRKHLSYCTRSRSLQRDRIYIHSLLVIDGFCKVGRSDEARELLDEALEMGLVPNVVTYNSLIGGYCTEGRPLEGIRVLKEMEGRSCPPDFISYNILLQGLLRFGLISVSFQTYMKMHNAGFQASERVMNTLLRGLCRKSTRNDEPLKEAKELFEQIMELGYPLSPYTYCLMVQALAEKGEVSQAFNHLLEMIGKGYSPRMLTYNVVLRVLCRDGRVDDAMYVFILMLEKDTIPGKFSFSVLIGELERQGRLLDAYGVYAAAVKWGVVPKRIPGKQLKAGNEHFHSIQGDECKE >DRNTG_10130.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19080614:19082558:-1 gene:DRNTG_10130 transcript:DRNTG_10130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEWRKHLSYCTRSRSLQRDRIYIHSLLVIDGFCKVGRSDEARELLDEALEMGLVPNVVTYNSLIGGYCTEGRPLEGIRVLKEMEGRSCPPDFISYNILLQGLLRFGLISVSFQTYMKMHNAGFQASERVMNTLLRGLCRKSTRNDEPLKEAKELFEQIMELGYPLSPYTYCLMVQALAEKGEVSQAFNHLLEMIGKGYSPRMLTYNVVLRVLCRDGRVDDAMYVFILMLEKDTIPGKFSFSVLIGELERQGRLLDAYGVYAAAVKWGVVPKRIPGKQLKAGNEHFHSIQGDECKE >DRNTG_10130.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19080614:19082006:-1 gene:DRNTG_10130 transcript:DRNTG_10130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEWRKHLSYCTRSRSLQRDRIYIHSLLVIDGFCKVGRSDEARELLDEALEMGLVPNVVTYNSLIGGYCTEGRPLEGIRVLKEMEGRSCPPDFISYNILLQGLLRFGLISVSFQTYMKMHNAGFQASERVMNTLLRGLCRKSTRNDEPLKEAKELFEQIMELGYPLSPYTYCLMVQALAEKGEVSQAFNHLLEMIGKGYSPRMLTYNVVLRVLCRDGRVDDAMYVFILMLEKDTIPGKFSFSVLIGELERQGRLLDAYGVYAAAVKWGVVPKRIPGKQLKAGNEHFHSIQGDECKE >DRNTG_10130.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19080614:19081846:-1 gene:DRNTG_10130 transcript:DRNTG_10130.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVPNVVTYNSLIGGYCTEGRPLEGIRVLKEMEGRSCPPDFISYNILLQGLLRFGLISVSFQTYMKMHNAGFQASERVMNTLLRGLCRKSTRNDEPLKEAKELFEQIMELGYPLSPYTYCLMVQALAEKGEVSQAFNHLLEMIGKGYSPRMLTYNVVLRVLCRDGRVDDAMYVFILMLEKDTIPGKFSFSVLIGELERQGRLLDAYGVYAAAVKWGVVPKRIPGKQLKAGNEHFHSIQGDECKE >DRNTG_14589.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15602850:15605925:-1 gene:DRNTG_14589 transcript:DRNTG_14589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLDNSQPKLFPWRPKNISAEPAEKAQGRAAAPVDDHATGARPWVISARACAFLQSWADFPENTQGRGLAPVVDLVNHTWAWGNFLTPVQSFTGCILHPKKTQGRAATSVNWACECPCPWGISARACGRLDIFLGCPGKPQGHAYSPIMAPRTKKQADKRPRESSSEPEGMRFAIPEHQARYERLSRLRFGQTRFLDTTILRDLQQEDEFTDEVEDLVSDSGWPQLLTIREPAIREFALEVLSSFEEEAVTGSK >DRNTG_05248.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2453057:2457830:-1 gene:DRNTG_05248 transcript:DRNTG_05248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGSGDGVRANASTGNANKSDRVTQVANVQPEGSISTSSESSNDSNDNEACVDHEDLNLVTVNNIGKRVRRGPTTLKKLYSLPPNEKILVSNNELDQPIGPEGQLLSGFLGMLARCGQRVGLHYENWRKVQKTLKEELHYFKREVGPQANKDNHPDGTVRWQWEELVDFWYSRKGEEQANELFGEYEGTNNDAQMVDAEILTQVIEKERHCRVRGLGKDIPLPNIGASTSQSQKPIQEADVEDHSGSFCLWELIWWLMGGQQRREPRGATKMIDLAFKNNPSTRIRILWHPLVARQVRVKVKEVPTCNNVRVLHDNGEQILL >DRNTG_30120.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31028877:31032623:-1 gene:DRNTG_30120 transcript:DRNTG_30120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRWCWLSSKISPPSEAYNYSYSYSKEHHLIWLIQSCITMRHLEQLHARIIRTGFDQHIFVLAKLLTFCADSDHHIATDHALSLFNHIQHPDTFIWNTVIRALAKARRTQDAFLLFRTMRRSGNSPDNFTFAFLLKLCGDLPTAAMGAQLHGSVLTHGFQTHSFVRNTLVHMYGLFGDMSNAYQVFEEIPGADADIVLWNSLIDGHVHCGHYREALRVFERMQRSGFTPDDATIVVTLSACSELGELDYGKRIHARLSRSMLRDFVSVSNALIDMYAKCGEIDRAVDVFEGMKERSVISWNSMILGLAMHGRAGQALVLFDRMRGQASPDGITFLGVLCACAHGGLVEEGKYYFESMTKDYGIKPMVQHYGCLVDLFGRMGFLREGYDLINGMQVKGNAVVWRALLAACRVHGEVELGELVQRNLQELEEHSSDYVLLSHVYAGAGQWNQVFRLRGMMHGRGVKKPGPGNSLIREHMF >DRNTG_30120.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31028877:31032623:-1 gene:DRNTG_30120 transcript:DRNTG_30120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRWCWLSSKISPPSEAYNYSYSYSKEHHLIWLIQSCITMRHLEQLHARIIRTGFDQHIFVLAKLLTFCADSDHHIATDHALSLFNHIQHPDTFIWNTVIRALAKARRTQDAFLLFRTMRRSGNSPDNFTFAFLLKLCGDLPTAAMGAQLHGSVLTHGFQTHSFVRNTLVHMYGLFGDMSNAYQVFEEIPGADADIVLWNSLIDGHVHCGHYREALRVFERMQRSGFTPDDATIVVTLSACSELGELDYGKRIHARLSRSMLRDFVSVSNALIDMYAKCGEIDRAVDVFEGMKERSVISWNSMILGLAMHGRAGQALVLFDRMRGQASPDGITFLGVLCACAHGGLVEEGKYYFESMTKDYGIKPMVQHYGCLVDLFGRMGFLREGYDLINGMQVKGNAVVWRALLAACRVHGEVELGELVQRNLQELEEHSSDYVLLSHVYAGAGQWNQVFRLRGMMHGRGVKKPGPGNSLIREHMF >DRNTG_30120.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31028877:31032623:-1 gene:DRNTG_30120 transcript:DRNTG_30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRWCWLSSKISPPSEAYNYSYSYSKEHHLIWLIQSCITMRHLEQLHARIIRTGFDQHIFVLAKLLTFCADSDHHIATDHALSLFNHIQHPDTFIWNTVIRALAKARRTQDAFLLFRTMRRSGNSPDNFTFAFLLKLCGDLPTAAMGAQLHGSVLTHGFQTHSFVRNTLVHMYGLFGDMSNAYQVFEEIPGADADIVLWNSLIDGHVHCGHYREALRVFERMQRSGFTPDDATIVVTLSACSELGELDYGKRIHARLSRSMLRDFVSVSNALIDMYAKCGEIDRAVDVFEGMKERSVISWNSMILGLAMHGRAGQALVLFDRMRGQASPDGITFLGVLCACAHGGLVEEGKYYFESMTKDYGIKPMVQHYGCLVDLFGRMGFLREGYDLINGMQVKGNAVVWRALLAACRVHGEVELGELVQRNLQELEEHSSDYVLLSHVYAGAGQWNQVFRLRGMMHGRGVKKPGPGNSLIREHMF >DRNTG_30120.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31028877:31032623:-1 gene:DRNTG_30120 transcript:DRNTG_30120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRWCWLSSKISPPSEAYNYSYSYSKEHHLIWLIQSCITMRHLEQLHARIIRTGFDQHIFVLAKLLTFCADSDHHIATDHALSLFNHIQHPDTFIWNTVIRALAKARRTQDAFLLFRTMRRSGNSPDNFTFAFLLKLCGDLPTAAMGAQLHGSVLTHGFQTHSFVRNTLVHMYGLFGDMSNAYQVFEEIPGADADIVLWNSLIDGHVHCGHYREALRVFERMQRSGFTPDDATIVVTLSACSELGELDYGKRIHARLSRSMLRDFVSVSNALIDMYAKCGEIDRAVDVFEGMKERSVISWNSMILGLAMHGRAGQALVLFDRMRGQASPDGITFLGVLCACAHGGLVEEGKYYFESMTKDYGIKPMVQHYGCLVDLFGRMGFLREGYDLINGMQVKGNAVVWRALLAACRVHGEVELGELVQRNLQELEEHSSDYVLLSHVYAGAGQWNQVFRLRGMMHGRGVKKPGPGNSLIREHMF >DRNTG_14480.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000693.1:155779:157491:1 gene:DRNTG_14480 transcript:DRNTG_14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKERTNKKKEVAPPPYKPRIPYPSRLKNDQNDEQYKNFLGSFKNSWCVTLVVCHSGESSTRPKNPLSGLGYTSPDRCRRKALPLTFFQMERNYIRYSVCYNPATVPARNTPEFMLSSGYKEAMVNKKISFGRKEKKAGISYYLHTTHYLGRMHCSSGMIWSVCPDFHTTQYIGPYGGLCTLAEQGTFGWTFSWPILGRPLGRFWATLGRRRRARKLEDHSSPRSKTLKARRQHHSREDLPRFEGRRPVARGSVIWHSFGSESVIRYILHLLLHHFI >DRNTG_05116.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30522688:30523826:1 gene:DRNTG_05116 transcript:DRNTG_05116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKPRRKRRRVCAIEPNELVYASFPSNYISRLLPALVRVTGIASCEAHKDEDMEKTVRFEVDMALATSTSCFKWSSALQHQLEQQEQQEQQEQQQQGKFDSKTVIIPNPNPRKGISEHKKKKNSGEEELEFRVRALRKIVPGGEEMNACELLTEMESYVVCLQMQVYILKSLVANH >DRNTG_33987.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32022718:32026149:-1 gene:DRNTG_33987 transcript:DRNTG_33987.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G14110) UniProtKB/TrEMBL;Acc:F4JFR1] MMKMASFSFLKVFLVANSFMLVLPLNALAETCEPENSFLSMPLLFIIALIGATVGGLLARQRRGELERLNDQLRQINAALRRQAKIESYAPNLSYAPVGRVSETEVIIDPRKQQLITNLRTGKNYLRNQDPEKALAEFNAAFELAETLGDHVEEKKAARGLGASFQRLGKYKEAIKYHSKVLEISKRAGEDSGSTEAYGAIADCYTELGDLEKAGKFYDKYIGRLQND >DRNTG_33987.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32022718:32026149:-1 gene:DRNTG_33987 transcript:DRNTG_33987.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G14110) UniProtKB/TrEMBL;Acc:F4JFR1] MAASLLRLLRPPLPSPSRCANPFKSLTPRCTEDMACLPFRKDVAKFVLSSSQLQCLSSSKIICSTLGIFQKAGSCDAYEFDRRKTGVCKATLDCVSDHQKMASFSFLKVFLVANSFMLVLPLNALAETCEPENSFLSMPLLFIIALIGATVGGLLARQRRGELERLNDQLRQINAALRRQAKIESYAPNLSYAPVGRVSETEVIIDPRKQQLITNLRTGKNYLRNQDPEKALAEFNAAFELAETLGDHVEEKKAARGLGASFQRLGKYKEAIKYHSKVLEISKRAGEDSGSTEAYGAIADCYTELGDLEKAGKFYDKYIGRLQND >DRNTG_00344.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18715992:18720075:1 gene:DRNTG_00344 transcript:DRNTG_00344.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIPEEEPNLEDEEEEEEETSSSEWRESEVEDGYPWCGFDTLYDKYCERMLFFDKMIVQQLREAGSQDILSPSPRSASKKLAMTIRNLSFKRRDEHQDDREHLQHPQDEDPYHNLETAYVAHISLSWEALHCQYMQLSQRVSSQPENPTSYCYAAQAFQQFQVLLQRFIENEPFEQGSRVEIYARSRTSLPRLLQIPSFQGLDHKVRKDDGFDQPILAPDLIKIIEDSILTFRQFLKMDKKKSGSSLNLFGSHDQLASSLHQVQSSLDKKEIRVKELCKKKKGWRKKSWPTTTEEVELLFALIDIKVISRVMRMAKISKEQLLWCEEKMSKLDLAEHRLCRNGSPILFPC >DRNTG_00344.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18711348:18720075:1 gene:DRNTG_00344 transcript:DRNTG_00344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFDKMIVQQLREAGSQDILSPSPRSASKKLAMTIRNLSFKRRDEHQDDREHLQHPQDEDPYHNLETAYVAHISLSWEALHCQYMQLSQRVSSQPENPTSYCYAAQAFQQFQVLLQRFIENEPFEQGSRVEIYARSRTSLPRLLQIPSFQGLDHKVRKDDGFDQPILAPDLIKIIEDSILTFRQFLKMDKKKSGSSLNLFGSHDQLASSLHQVQSSLDKKEIRVKELCKKKKGWRKKSWPTTTEEVELLFALIDIKVISRVMRMAKISKEQLLWCEEKMSKLDLAEHRLCRNGSPILFPC >DRNTG_22507.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10197231:10198232:1 gene:DRNTG_22507 transcript:DRNTG_22507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLNYLCIFFILVLLSTSSLPLLVSSAKCNKDDKKTLLKLKIGFGNPQDLSWNSDTSCCSWNGIICLSDTGRVIFLDISSANLSGTISPAIGDLPLVTQINIQYSPFLTGTIPHSITKLPLTSLTIRFTSLSGHIPTFLGELRKLNTLDLSGNRLTGHIPDSIASLPNLDRLILSNNKLTGRIPQSLFHGLTARNLLDLSDNLLTGDIPDSLGNADLSYIFLSGNNFSGDPSFIFGESKNLTQIDLSRNKFVMNLSTVGFPSYLAMLGLGQNLIYGSIPGSIASLEHLNTLNVSYNRLSGKIPTGGMMSLFDASCYSHNKCLCGNPLPKCRT >DRNTG_12926.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1480843:1483066:-1 gene:DRNTG_12926 transcript:DRNTG_12926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVKRGIGLACRNSSSKIREFRNWCSLCDFISLDFATS >DRNTG_25615.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22057776:22062061:1 gene:DRNTG_25615 transcript:DRNTG_25615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEVKDVSCCAGGGGVGPGYATPLKAMSGPRETILYVTCVYNGTGIEKPDFLATVDVDPNSATYSKVIHRLHMPYVGDELHHSGWNSCSSCHGDPLAARRYLILPSLLSSRIYAVDTASNPRAPSVHKVVDPTDIVQKTQLAYPHTSHCLASGDILVSCLGDKDGNAKGSGFLLLDSNFNVKGRWEKPGHSPAFGYDFWYQPRHKTMISSSWGAPAAFSKGFDLQHVSDGLYGQHLYVYSWPDGELKQTLDLGSTGLLPLETRFLHDPTKAIGFVGSALSSNMVRFFKTSDESWNHEVVIKVKPLKVRNWILPEMPGLITDFLISLDDRFLYFVNWLHGDVRQYNIEDPGKPVLTGQVWVGGLLQKGSEVVYVTEDGTESQFDVPEIQGYKLRGGPQMIQLSLDGKRLYITNSLFSEWDKQFYPELTKQGSHMLQIDVNTGEGGLSVNPNFFVDFGAEPDGPSLAHEMRYPGGDCTSDIWI >DRNTG_28895.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:620555:624023:1 gene:DRNTG_28895 transcript:DRNTG_28895.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:reduced lateral root formation [Source:Projected from Arabidopsis thaliana (AT5G09680) TAIR;Acc:AT5G09680] MGDAEEDFTFCQAISQVDDESLQLPESIPNINSISLKDDRISGSTEQNVDNSITDCMSGGSDSMKDAPSGGSTSDNQSDMQQLNFTSNGLPPKKVGEAENSLNGTLEQKLAAKKPIIRAKVPFEKGYSQMDWLKLTRTEPDLAGLKGQTNRRRISIDEVRQHKADGSIWTVLKGRVYNISPYMKFHPGGVDMLMKAAGKDCTSLFNKYHAWVNAEFLLEKCLVGVLDDS >DRNTG_26717.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4890169:4893602:1 gene:DRNTG_26717 transcript:DRNTG_26717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPITSTKYKSISSGVRVMLKEQGLRGSFKDWLPTLLGYSAPGAYKFGFYDIF >DRNTG_29426.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12163:13050:1 gene:DRNTG_29426 transcript:DRNTG_29426.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMNSKAWLWHNRFGHLNFQALKDLANNKLVEGMPTIHLHPQICQDCVAAKHQRSTLPVLSTFRAEKPLELIHGDLCGPITPPTLGGNNYFMLLVDDCTRLMWVAMLKHKNEALGAFKKFKSVAENEKDLKLKGFRTDRGGEFNSKEFREYCDEQGIKRFLTAPFTPQQNGVVERRNRTVMSMARSLLRSMAVPQVLWGEAVNTSVYLLNRAQTQSLKGMTPYECWTRVKPNVSHLRVFGCQAHVKITGCHLKKLDDRSRRVVFIGYEVGTKAYRFFDPMSKKVIIS >DRNTG_29426.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11496:13050:1 gene:DRNTG_29426 transcript:DRNTG_29426.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEEFIEDGILLQGINSEPYNYDVWYLDTGASNHMSGSKSLFIEIDENCIGKVKFGDGSVVEVEGRGNVLLSCQNGEKKLLSNVLYIPKLKTNILSLGQLDELGCRITIEKGTLSIHDCSGHILFEVERSNSRLYLLKIKTLETCLMSEMNSKAWLWHNRFGHLNFQALKDLANNKLVEGMPTIHLHPQICQDCVAAKHQRSTLPVLSTFRAEKPLELIHGDLCGPITPPTLGGNNYFMLLVDDCTRLMWVAMLKHKNEALGAFKKFKSVAENEKDLKLKGFRTDRGGEFNSKEFREYCDEQGIKRFLTAPFTPQQNGVVERRNRTVMSMARSLLRSMAVPQVLWGEAVNTSVYLLNRAQTQSLKGMTPYECWTRVKPNVSHLRVFGCQAHVKITGCHLKKLDDRSRRVVFIGYEVGTKAYRFFDPMSKKVIIS >DRNTG_29426.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11570:13050:1 gene:DRNTG_29426 transcript:DRNTG_29426.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEEFIEDGILLQGINSEPYNYDVWYLDTGASNHMSGSKSLFIEIDENCIGKVKFGDGSVVEVEGRGNVLLSCQNGEKKLLSNVLYIPKLKTNILSLGQLDELGCRITIEKGTLSIHDCSGHILFEVERSNSRLYLLKIKTLETCLMSEMNSKAWLWHNRFGHLNFQALKDLANNKLVEGMPTIHLHPQICQDCVAAKHQRSTLPVLSTFRAEKPLELIHGDLCGPITPPTLGGNNYFMLLVDDCTRLMWVAMLKHKNEALGAFKKFKSVAENEKDLKLKGFRTDRGGEFNSKEFREYCDEQGIKRFLTAPFTPQQNGVVERRNRTVMSMARSLLRSMAVPQVLWGEAVNTSVYLLNRAQTQSLKGMTPYECWTRVKPNVSHLRVFGCQAHVKITGCHLKKLDDRSRRVVFIGYEVGTKAYRFFDPMSKKVIIS >DRNTG_19146.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20546705:20550672:1 gene:DRNTG_19146 transcript:DRNTG_19146.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFVPDVDRICEDSGAVSGSSDCGLNSGECSLDNDRDVSSDELMMSLAFEGNSSPSVFVQQLMRREDSNNSSTSSERSVRRRKMGWLKRLGSVACIADREVEEDISLNSSDLDKSLKDMIQRIKVRPYRKRVKEFSAVYMGQDIQAHEGAILTMKFSPDGQFLASGGEDGVVRVWRVMECERSDDDDLLEGDPSCVYFRVNQNSELVPLYADKEKKSKFKGVRRSSDSACVVVPPNVFRISEEPIHEFRGHDGDVLDLSWSKNQYLLSSSDDKTVRLWRVGFNGCVKVFSHSNYVTCIQFNPMDENYFISGSIDGKIRIWDISRCRVVDWTDVKEIVTAVCYRPDGKGGVVGTMTGDCRFYDTSDNQLQLDAKVSFQGKKKSVDKRITGFQFCPSDHQKLMVTSADSQVRILDGVDVISKYKGLRNAGSRISASFTSDGRHIISASEDSNVYVWAHTNQGAPTSHQAKSTWSCERLISGNASVAIPWNGLESRNRVSFTSEAISEASSVQNGMQEPDSSGSSNTVYLSPSGSFTLSNEFFSDFLPKGSATWPEEKLPPSTITATSLCKSQYKFLKSSCQYTSHAWGQVIVAADWDGRIRSFQNYGLPVHT >DRNTG_19146.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20546705:20550672:1 gene:DRNTG_19146 transcript:DRNTG_19146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFVPDVDRICEDSGAVSGSSDCGLNSGECSLDNDRDVSSDELMMSLAFEGNSSPSVFVQQLMRREDSNNSSTSSERSVRRRKMGWLKRLGSVACIADREVEEDISLNSSDLDKSLKDMIQRIKVRPYRKRVKEFSAVYMGQDIQAHEGAILTMKFSPDGQFLASGGEDGVVRVWRVMECERSDDDDLLEGDPSCVYFRVNQNSELVPLYADKEKKSKFKGVRRSSDSACVVVPPNVFRISEEPIHEFRGHDGDVLDLSWSKNQYLLSSSDDKTVRLWRVGFNGCVKVFSHSNYVTCIQFNPMDENYFISGSIDGKIRIWDISRCRVVDWTDVKEIVTAVCYRPDGKGGVVGTMTGDCRFYDTSDNQLQLDAKVSFQGKKKSVDKRITGFQFCPSDHQKLMVTSADSQVRILDGVDVISKYKGLRNAGSRISASFTSDGRHIISASEDSNVYVWAHTNQGAPTSHQAKSTWSCERLISGNASVAIPWNGLESRNRVSFTSEAISEASSVQNGMQEPDSSGSSNTVYLSPSGSFTLSNEFFSDFLPKGSATWPEEKLPPSTITATSLCKSQYKFLKSSCQYTSHAWGQVIVAADWDGRIRSFQNYGLPVHT >DRNTG_33468.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4408809:4412176:1 gene:DRNTG_33468 transcript:DRNTG_33468.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDSPIHDISKARTQVWKHMLVFMDSMCLRCAIELGVPDAIHNHDGPMTLSELVQALPMATTRAPFLRRIMRVLVNSGFFSIKGNESDGSNEEEVYYDLTATSKLLVTGSTNSLAPLVLFVTGSDVGMAGFAMSTWIKASDDDDKNETPFHVAHDGKGLFEFASERPEFNSLVNEAMACDNRVFIGEVVKNWGDVLFGGLRSLVDVGGGTGLAAAVIAGAFPEMKCSVLELDHVVDVQPENELVEFVRGDMFVQIPQADAFLLERVLHDWNDKDCVKLLRNCKNSFSWEGNKKKVIIIDTIVRSNSNDHGTMQAHYLLDTFMLAICPGKERNEMEWKAIFDEAGFS >DRNTG_33468.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4408809:4412176:1 gene:DRNTG_33468 transcript:DRNTG_33468.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDSPIHDISKARTQVWKHMLVFMDSMCLRCAIELGVPDAIHNHDGPMTLSELVQALPMATTRAPFLRRIMRVLVNSGFFSIKGNESDGSNEEEVYYDLTANSKLLVTGSTNSLAPLVLFVTGSDVGMAGLAMSTWIQASDDDDKNETPFHVAHDGNGLFEFASERPEFNALLNEGMACDNRVLIGEMVKNWGDALFGGLRSLVDVGGGTGLAAAVIVGAYPEMKCSVLELDHVVDVQPENELVEFVRGNMFVQIPQADAFLLKRVLHDWNDKDCVKLLRNCKNSFSWEGNKKKVIIIDTIVRSNSNDHGTMQAHYLLDTFMLAICPGKERNEMEWKAIFDEAGFS >DRNTG_05883.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000271.1:35122:36887:1 gene:DRNTG_05883 transcript:DRNTG_05883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGVFQADTVAGSVVNHCSNLLWGTHRGVWMPDSSPLKADFQPDFNILFSIFSPTFKRTVDRVLGGIGKGFGELQVMTKGNPSQIVEGDPELERILRRMGKEPIKEQSIRAKVEGQGLDNMAKQNE >DRNTG_33454.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3078682:3079468:-1 gene:DRNTG_33454 transcript:DRNTG_33454.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSIKSIKIHNQCKNKSNLRVHLRPSINKISSDNNNTIQKRQYKSMKT >DRNTG_07362.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000335.1:6649:11957:-1 gene:DRNTG_07362 transcript:DRNTG_07362.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP71 [Source:Projected from Arabidopsis thaliana (AT3G44600) UniProtKB/Swiss-Prot;Acc:Q8W4D0] MAENGDAALETALPAPVNDDEALIGPGPAPRPRAKRPLQFEQAFLDALPSAQMYEKSYMHRDVVTHVAVSAAEFFITGSADGHLKFWKKKPLGIEFAKHFRSHLGPIEGLAVSSDGLLCCTISNDQSVKIYDVVNYDMMVMMRLPFVPGAIEWVYKQGDVKVKLAISERNSSFVHIYDAHAGGNEPIISREICMGPLEMMKYNPVYDTVISADAKGVLEYWCPTTLQFPESGVSFKLKTDTNLFDIAKCKTTVSSIEVSPDGNQFAITSPDRRIRVFWFKTGKLRRVYDESLEVAQDLQRSDAPLYRLEAIDFGRRMAIEREIEKTESAPQPNAVFDDSCNFLIYATLLGIKIVNLHTNKVARILGKVENSDRFLKIALYQGDRSSKKVRKIPSAAANVNESKEPFSDPTLLCCAFKKHRIYLFSRREPEEPEDASKGRDVFNEKPPPEELLAVSDIGKAVTTSLPDSVVLHTSLGDIHMRLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTLSMANAGPATNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQAIEKVKTDKNDKPYQDVKILNVTVPKV >DRNTG_07362.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000335.1:6649:11995:-1 gene:DRNTG_07362 transcript:DRNTG_07362.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP71 [Source:Projected from Arabidopsis thaliana (AT3G44600) UniProtKB/Swiss-Prot;Acc:Q8W4D0] MAENGDAALETALPAPVNDDEALIGPGPAPRPRAKRPLQFEQAFLDALPSAQMYEKSYMHRDVVTHVAVSAAEFFITGSADGHLKFWKKKPLGIEFAKHFRSHLGPIEGLAVSSDGLLCCTISNDQSVKIYDVVNYDMMVMMRLPFVPGAIEWVYKQGDVKVKLAISERNSSFVHIYDAHAGGNEPIISREICMGPLEMMKYNPVYDTVISADAKGVLEYWCPTTLQFPESGVSFKLKTDTNLFDIAKCKTTVSSIEVSPDGNQFAITSPDRRIRVFWFKTGKLRRVYDESLEVAQDLQRSDAPLYRLEAIDFGRRMAIEREIEKTESAPQPNAVFDDSCNFLIYATLLGIKIVNLHTNKVARILGKVENSDRFLKIALYQGDRSSKKVRKIPSAAANVNESKEPFSDPTLLCCAFKKHRIYLFSRREPEEPEDASKGRDVFNEKPPPEELLAVSDIGKAVTTSLPDSVVLHTSLGDIHMRLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTLSMANAGPATNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQAIEKVKTDKNDKPYQDVKILNVTVPKV >DRNTG_07362.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000335.1:6649:11957:-1 gene:DRNTG_07362 transcript:DRNTG_07362.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP71 [Source:Projected from Arabidopsis thaliana (AT3G44600) UniProtKB/Swiss-Prot;Acc:Q8W4D0] MCYSLQICMGPLEMMKYNPVYDTVISADAKGVLEYWCPTTLQFPESGVSFKLKTDTNLFDIAKCKTTVSSIEVSPDGNQFAITSPDRRIRVFWFKTGKLRRVYDESLEVAQDLQRSDAPLYRLEAIDFGRRMAIEREIEKTESAPQPNAVFDDSCNFLIYATLLGIKIVNLHTNKVARILGKVENSDRFLKIALYQGDRSSKKVRKIPSAAANVNESKEPFSDPTLLCCAFKKHRIYLFSRREPEEPEDASKGRDVFNEKPPPEELLAVSDIGKAVTTSLPDSVVLHTSLGDIHMRLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTLSMANAGPATNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQAIEKVKTDKNDKPYQDVKILNVTVPKV >DRNTG_24681.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19255102:19255596:1 gene:DRNTG_24681 transcript:DRNTG_24681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASFLLLLLALFAITLVTQGHATGYLGKEKVTNLHFFFHDSISGDHPTSELVARPKDTIVKYGNLLPFGTVYAIDDPLTEGPDLNSKVVGHAQGLYVSAGQDKRLLVLVLDFGFTSGEFNGSSLSVFSRNPVLETDREIAIVGGRGKFRLAHGFAARASYSLF >DRNTG_16309.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4406992:4407503:1 gene:DRNTG_16309 transcript:DRNTG_16309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIVSIYFIDRTGRKKLLIISLCGLILSLGVLSVVFYASTSHPPRVSTMETFHFSPYTCPDFRLATTTNWDCTKCLKASSPDCGFCASKSNKLFPGACLMSNDTVKDLCQNEGRLWYTRG >DRNTG_29886.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23581877:23583014:1 gene:DRNTG_29886 transcript:DRNTG_29886.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEESIKVGDEAPPKPQRPPLQTLAVSPLKSRPYIIRLIGGMGLIEHTQGMQVVGSIALLKM >DRNTG_05502.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30216427:30216627:1 gene:DRNTG_05502 transcript:DRNTG_05502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHKGASAISFSCSQGPAISAPVKGLPTIMDPPSKDMQDGKAIPR >DRNTG_28827.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4113523:4113931:1 gene:DRNTG_28827 transcript:DRNTG_28827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNMNGDHGQEQKEMVMSNGFENHDDNSLAIRVEDRGQHSDVGNGGHGNGSTEKVEEHLENVTGEMARLEPPRARSKRVAALDAFRGLTIVIMILVDDAG >DRNTG_10007.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3158253:3160318:1 gene:DRNTG_10007 transcript:DRNTG_10007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKPPKYVTVVNGVIFKFYERKCPCTGSRGCSHFGGVRGVGGFFLGLQPFEAFACLLSTRVSSHFCGTSSVKFNFSLSTGVSKEKSHTSIRHFISLQFKATHKWLMEDIPQAAARVLARCAGKKTNTSFLSWFWRMLMKIYLFGPTVGWMLLLKNHLLKRQDERATSSVRAQRRSSTSSPKRARITRRRRSPPLPRPIATPIPTITSTVP >DRNTG_04782.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2531773:2532919:1 gene:DRNTG_04782 transcript:DRNTG_04782.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNLKVDYKLTWDRDGYFQKLQQRPVNSQVQEKVDRLHAVPTHIGKEAPRQHTQLTRTDHNGPRPKRFRE >DRNTG_16799.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25421498:25423888:-1 gene:DRNTG_16799 transcript:DRNTG_16799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPCGVTRKELSHGMSESYHPGRKSYHLESVKATLAAALERATLEDV >DRNTG_05289.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16747234:16748165:1 gene:DRNTG_05289 transcript:DRNTG_05289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKILLNSRIQSLQLSAGAGDEVHGGSTGAGIGDGGARYAGGVLGRRTNDKMMSHSKIHCNMSKHAMISVCCVACVARILAISAQASATSIRITPQHSRASQNDHGLDMVKTCVLRWILCHMTCLSLYQF >DRNTG_29963.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5714036:5714740:1 gene:DRNTG_29963 transcript:DRNTG_29963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAESPCSQPKIIFMPLIIALCIIVSVVLALLALHSMIIKLCMGEHHEVSQEFRGVNKKVLEAIQVYAYTKNEDEPLDCSVCLGELEEGESVRALPNCGHVFHAYCIDSWLVQHSTCPLCRRGIVIVEPLIVHGEHHGESSDDGSVVHDFSFAMVREKLMLKGALLMSFLALFSSYGVEERSDSSFSNNV >DRNTG_13854.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000644.1:111396:113435:1 gene:DRNTG_13854 transcript:DRNTG_13854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVKLCLSPLCSCMQSPVVRQDMSYVFSTKEKLDDVKNAMEALMAKKKDIKRDLDSPQNKGKLPNNQLQLWLDKVGEKDDKVEQLLDEYRKGNCGAGSCSLNCFSRYKISSDAIKLEKEINQLKAEQLEISLVEIPPPKLVPTSHRIFGKGISSKLDTVYTCLADETVGMVGIWGMGGVGKTTLLRKIYQSLLDDANMRFDHVLFIEASQNIQLERLREEIAKKLKLASSAGEDIFNALKLKNFVLLLDNIWEQVDLPGLGILDPYGGNSSTKQYKHKVIFTTRSEDVCAQMGAKKRIKMECLKPNEAWDLFKDNVNLDVIESDKMINGIAKEVVKKCGGLPLALQVIGKAMSNKKTVQEWEFILSSLNNSSTGVVQGVEESLLPILKFSYDSLPINMNIKECFLSASMLGWTSKDYLVECWMGLGLITDFVNLQQAYGKAGHIFNILEESCLLCFYEDSVVHLHDVINEMAMWIALDCGMNKNKWIMKRYDGLAEIPTNDAENWRYANRVIIGGMELLPTLSHQCSNLLCLMIVNSSYLRNIPEGFFQQMPNLIYLDLSGTAIKELPKDIKYLINLQYLNIS >DRNTG_12919.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1432883:1434227:-1 gene:DRNTG_12919 transcript:DRNTG_12919.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MOTHER of FT and TFL1 [Source:Projected from Arabidopsis thaliana (AT1G18100) UniProtKB/Swiss-Prot;Acc:Q9XFK7] MACSVDPLVVGRVIGEVVDMFMPTASMSVNYGSKHVSNGCELKPSLTTVPPSVHISGRPTDLFTLVMTDPDAPSPSDPTMREWVHWVVVNVPGGTDVSQGEVVVPYMGPRPPVGIHRYVFVLFHQKSPFPAVAPPESRANFCTRAFAALYQLGLPVSAVYFNAQKEPANKRR >DRNTG_02759.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:9180131:9181371:1 gene:DRNTG_02759 transcript:DRNTG_02759.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHNEFKESLRPCSSSAISDPNPNLRKPPNPPSPISSYALICRMASINSRANPSENPQLKTSMANPHLPRFVPSAIPAPSVWMLEDHTSLLFSLLRVNSLLFRFDCLLFSLFFVSLICL >DRNTG_31013.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30445012:30451388:1 gene:DRNTG_31013 transcript:DRNTG_31013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSFEAQPWMTSLSCSPSQASTLFQWLSFVFLSPCPQRMLFSIVDGLFLLVLLGFAIQKLIVLFRRRSGTTEEEKPLLAKAQQRQQQPFLTTIRFTVGLVVVGIVTVVYGVVLVVAVFVLGSKPEWLLIESLFLVLQFLSHLAATAVVAHEKRFRVSVHPLTLRLYWFVSFIVCVLFSISSILRLAGGNPIKPDDYISLGILPFSLVLLVLAVNGDTGVVEVNANSSGRESEPGVCITGYAKASIISRATWTWVNPLLAKGYKSPIKMEDVPGLAQEHRAEKLYQLFQSNWPRPASRANHPVRTTLLRCFWPQLLFTAFLSILRLCVMFVGPTLVKRFVDFASGKRSSIYEGYYLCLILLAAKFFEVLCSHQYNFQSAKLGMMIRSTLITNLYRKGLRLSCSARQSHGIGVIVNNMAVDAQQLSDMVLQLHYIWLMPLQVGVAIALLYLYLGPSVITATGAVAGVIVFIVYCTRRNNLFQYFLMGMRDKRMKATSEMLNYMRVIKFQAWENHFSSRIRKFREGEFGWLSKFMYFTSGNTIVLWCATVVISSLVFATCVATGVHLDPGLVFTATSFFKILQEPMRNFPQALISVSQAIISLQRLDVFLTSAELDEAAVEYVSGSNVAIEIQAGNFSWDDENRTPFLKDLNVKIKRGSLSAVVGTVGSGKSSLLSCILGEMHKVSGKVRVCGSTAYVAQTAWIQNGTIRENILFGMPMNSSKYKEVLRVCCLEKDLEVMEFGDQTEIGERGINLSGGQKQRIQLARAIYQDCDVYLLDDVFSAVDAHTGSEIFKECVRGALKEKTVLLVTHQVDFLHNADCIYVMRDGNIVEAGNYDELAASGPEFMGLVAAHESAMELVEQGAKQDNDQNSGPEQAAKSNQKQSAGNNAQEGSTKTEKGSSKLIKDEERETGRVSWSVYKTYVTEAWGWWGVIAVLLVSLAWQGANMSCDYWLAYETSAKNAASFSPSFFIEVYAGLGALAVILAIVRGFLNAYLGLQTAQLFFEQILNSILHAPMSFFDTTPSGRILTRASSDQTNIDVFLPLYMGATVSMYITVLTIIVVTSQVAWQTFIAAIPLTALNIWYRDYYLATSRELTRLDSITKAPVIHHFSETVQGVTTIRCFKKEARFSQENVNRVNSSLRMDFHNNACNEWVGFRLELFGCIVVCIAALLIVMLPADIIKPEYVGLSLSYGLNLNTALFWAVWTSCFIENRMVSVERIKQFTKIPSESAWEIKGNLPSPNWPTRGDISIQDLKVRYRPNTPLVLKGISLNIHGGEKIGVVGRTGSGKTTLVQVFFRLVEPCGGRIVIDGIDICTLGLHDLRSRFGIIPQEPVLFEGTVRSNIDPIGKYSDDEIWQSLERCQLKDAVISKPEKLDALVVDNGENWSVGQRQLLCLGRVMLKRSRILFLDEATASVDSQTDGVIQKIIREDFASCTIISVAHRIPTVMDCDRVLVVDAGLAKEFDKPANLIERPSLFGALVQEYANRSSEL >DRNTG_08426.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31053914:31054519:-1 gene:DRNTG_08426 transcript:DRNTG_08426.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFALSVALTTLLLGAHAADPDITSDYLVPTGVNPDAKFFTFTGLRNILWSRNTPSNVTFKVTKVTNDEFPALNGQSVSYALLQYGPGGLNVPHTHPRSAELLIVLQGCLKVGVVDSTNKLFTQILQTGDIFVFPKGLVHFQVNLDRKYPALALASFGSANAGTIQLARALFTSGIDNAVLAQSFKVDSNTIQKIVSANTA >DRNTG_18032.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:8111997:8125202:1 gene:DRNTG_18032 transcript:DRNTG_18032.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCFCRTGNQVSGAKKCFSITSSEATERSQNSMFSTSLQSTGNSPRQDSDNSVPTSDL >DRNTG_18032.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:8135921:8164794:1 gene:DRNTG_18032 transcript:DRNTG_18032.2 gene_biotype:protein_coding transcript_biotype:protein_coding QQNNTRYLALPTNQCPIGIDNSQNKPTASHWNIKAFNPQDI >DRNTG_18032.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:8111997:8135032:1 gene:DRNTG_18032 transcript:DRNTG_18032.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCFCRTGNQVSGAKKCFSITSSEATERSQNSMFSTSLQSTGNSPRQDSDNSVPTSDL >DRNTG_18032.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:8111997:8116885:1 gene:DRNTG_18032 transcript:DRNTG_18032.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCFCRTGNQVSGAKKCFSITSSEATERSQNSMFSTSLQSTGNSPRQDSDNSVPTSDL >DRNTG_18032.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:8111997:8154275:1 gene:DRNTG_18032 transcript:DRNTG_18032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCFCRTGNQVSGAKKCFSITSSEATERSQNSMFSTSLQSTGNSPRQDSDNSVPTSDL >DRNTG_18032.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:8111997:8135631:1 gene:DRNTG_18032 transcript:DRNTG_18032.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCFCRTGNQVSGAKKCFSITSSEATERSQNSMFSTSLQSTGNSPRQDSDNSVPTSDL >DRNTG_09528.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21967664:21968199:-1 gene:DRNTG_09528 transcript:DRNTG_09528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDTFRGAAKACVPAPLSIETLRLMGLVRIYNPGVFILATPAPELVDGGDDNAEGSQPILEPQSPLVVTEVPPTAQESPPVHMFSPSRAHDHFERLKSTVRVL >DRNTG_12869.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18341681:18342067:1 gene:DRNTG_12869 transcript:DRNTG_12869.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLLLTLVVFLIFICGVSLLVMRLLSNVFKTGNRPTVLSGSMELFDRESTSPREKRSRFAFRFLSADRAMLRPLGSGEGSAP >DRNTG_10480.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000440.1:24940:26029:1 gene:DRNTG_10480 transcript:DRNTG_10480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITEQKYDAVVGDVTIISNRSNYIDFTLPYTESGVSMIALVKENKRRNMWIFVKPLTTNLWLGTLSFFFFTGCIVWLIEHRINPEFRGPPSQQLGIIFYFAFSTLVFAHRERLQSNLSRVVVVIWVFVVLILTSSYTASLTSMLTVQQLQPTVTDLTEILKNGEHIGYQDGSFVVDILKRIGFKDHQMMNYSTREEYKEALIKGSANGGVAAIFDEIPYLKLFLSKYCTNFTMAGKTYKTDGFGFVFPLGSPISRDVSRAILSVTESDMMVAIERKWFGDMTSCPSDSSKLSS >DRNTG_10480.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000440.1:21963:26029:1 gene:DRNTG_10480 transcript:DRNTG_10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNYHFFLLFFSSFSFICCSFNKHGGGVPLPSLGMAQNTTIVHVGLVLDLESLAGKRSHASISMALDDFYASHPSYKTRLLIHSRDSKQNIIHAASAALDLLKNFQVQVILGPLTSPQAVFITDLGNEIQVPIVSFSVTSPSISPENTPYFVRTTVNDSSQVGAISSLVKSFNWREVVLVYENTEYGSGIILYLVDAFQEIVARVPYRSVISPSVNDDQLNEELYKLMTMQTRVFVVHMRSSLTSRLFLKAKEIGLMEEGYVWIMTDGIGNMIDSLDPRSIDAMQGVVAVRSYVPRSQTIANFTTRWKARFRQENPSSKPADPSVFELWAYDTVWALAMATEKARVSNSSFRKLPGGDNSTDLGNLGISQNGPELLEALLSTRFRGLNGEFHLVNGQQQSSVFEIVNVIGKGARQIAFWTPEFKISKQFNSTSPANLKTIIWPGDTITVSKGWEIPTNGKRLKIGVPVKKGFNEFVKVVHDNTTNRPTVTGYCIDVFDAIMQSLPYAVPYDYLPFEKPGKNYTDLVFQVFLQKYDAVVGDVTIISNRSNYIDFTLPYTESGVSMIALVKENKRRNMWIFVKPLTTNLWLGTLSFFFFTGCIVWLIEHRINPEFRGPPSQQLGIIFYFAFSTLVFAHRERLQSNLSRVVVVIWVFVVLILTSSYTASLTSMLTVQQLQPTVTDLTEILKNGEHIGYQDGSFVVDILKRIGFKDHQMMNYSTREEYKEALIKGSANGGVAAIFDEIPYLKLFLSKYCTNFTMAGKTYKTDGFGFVFPLGSPISRDVSRAILSVTESDMMVAIERKWFGDMTSCPSDSSKLSS >DRNTG_01181.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29312556:29315105:-1 gene:DRNTG_01181 transcript:DRNTG_01181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEVGVVPFNPDGWGPPDTPAVPLLSRRDTAGSATVQPANIPFAPFSRSEKLGRIADWTRNPNFSNPRPGANRDSVFDFALDDLAAGVTADDDSSFRLVDGKPPPRPKFGPKWRFQQRPQLPQRRDEEVEARRREAEKERARRDRLYHHNHRSSGPASNAHHGPQRREASSLKSSVDIQPEWTMLDQIPFSTFTKLSFSVPDPPEDLLICGALELYDRSYDRVNPKNERRLERFKTRNFFKVTTTDDPVIRRLANEDKATVFATDAILSALMCAPRSVYSWDIVIQRVGNKLFFDKRDGSQLDLLSVNETSQEPLPEAKEDINSAHSLAVEATYINQNFSQQVLVRDGNKVAFEEPNPFAADGEELASVAYRYRRWKLDDDLHLVARCEVHSVTEVRGQRSFLTLNALNEFDPKYSGVDWRQKLETQRGAVLATELKNNANKLAKWTAQALLASADLMKIGYVSRVHPRDHYNHVILSVIGYKPRDFAAQINLNTSNMWGIVKSIVDLCMKLNEGKYVLVKDPVKPQVRIYEVPADAFENDYVEEPLPEEEQVRPPTEEENPEAAMDAVAETEAAKDAVDEAEVETDATPAAV >DRNTG_01181.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29312556:29314916:-1 gene:DRNTG_01181 transcript:DRNTG_01181.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEVGVVPFNPDGWGPPDTPAVPLLSRRDTAGSATVQPANIPFAPFSRSEKLGRIADWTRNPNFSNPRPGANRDSVFDFALDDLAAGVTADDDSSFRLVDGKPPPRPKFGPKWRFQQRPQLPQRRDEEVEARRREAEKERARRDRLYHHNHRSSGPASNAHHGPQRREASSLKSSVDIQPEWTMLDQIPFSTFTKLSFSVPDPPEDLLICGALELYDRSYDRVNPKNERRLERFKTRNFFKVTTTDDPVIRRLANEDKATVFATDAILSALMCAPRSVYSWDIVIQRVGNKLFFDKRDGSQLDLLSVNETSQEPLPEAKEDINSAHSLAVEATYINQNFSQQVLVRDGNKVAFEEPNPFAADGEELASVAYRYRRWKLDDDLHLVARCEVHSVTEVRGQRSFLTLNALNEFDPKYSGVDWRQKLETQRGAVLATELKNNANKLAKWTAQALLASADLMKIGYVSRVHPRDHYNHVILSVIGYKPRDFAAQINLNTSNMWGIVKSIVDLCMKLNEGKYVLVKDPVKPQVRIYEVPADAFENDYVEEPLPEEEQVRPPTEEENPEAAMDAVAETEAAKDAVDEAEVETDATPAAV >DRNTG_01181.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29312790:29314916:-1 gene:DRNTG_01181 transcript:DRNTG_01181.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEVGVVPFNPDGWGPPDTPAVPLLSRRDTAGSATVQPANIPFAPFSRSEKLGRIADWTRNPNFSNPRPGANRDSVFDFALDDLAAGVTADDDSSFRLVDGKPPPRPKFGPKWRFQQRPQLPQRRDEEVEARRREAEKERARRDRLYHHNHRSSGPASNAHHGPQRREASSLKSSVDIQPEWTMLDQIPFSTFTKLSFSVPDPPEDLLICGALELYDRSYDRVNPKNERRLERFKTRNFFKVTTTDDPVIRRLANEDKATVFATDAILSALMCAPRSVYSWDIVIQRVGNKLFFDKRDGSQLDLLSVNETSQEPLPEAKEDINSAHSLAVEATYINQNFSQQVLVRDGNKVAFEEPNPFAADGEELASVAYRYRRWKLDDDLHLVARCEVHSVTEVRGQRSFLTLNALNEFDPKYSGVDWRQKLETQRGAVLATELKNNANKLAKWTAQALLASADLMKIGYVSRVHPRDHYNHVILSVIGYKPRDFAAQINLNTSNMWGIVKSIVDLCMKLNEGKYVLVKDPVKPQVRIYEVPADAFENDYVEEPLPEEEQVRPPTEEENPEAAMDAVAETEAAKDAVDEAEVETDATPAAV >DRNTG_25739.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18417947:18420317:1 gene:DRNTG_25739 transcript:DRNTG_25739.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP18-2 [Source:Projected from Arabidopsis thaliana (AT2G36130) UniProtKB/Swiss-Prot;Acc:Q9SIH1] MWASADGGPPEVTLETSMGPFTVEMYYKHAPKTCRNFVELSRRGYYDDVKFHRIIKDFIVQGGDPTGTGRGGESIYGAKFEDEIKPELKHTGAGILSMANAGPNTNGSQFFITLAPAQSLDGKHTIFGRVCKGMEIIKRLGSVQTDNSDRPIHEVKILRTIVKD >DRNTG_24319.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:9409659:9411538:-1 gene:DRNTG_24319 transcript:DRNTG_24319.2 gene_biotype:protein_coding transcript_biotype:protein_coding LLNQRLRTCREFTDHKGLVQQSLIIKPPKYHKHYILPAGKAIRECGTHVIRKYEESKLRKDIIDQSQSLQTVANATHGEKQLRFSKSPSILLSKLAQSSLPSPRMLSPSPSLPTGKFVFTEQRSDAMKRSGSISYRRSFRSISNIGRSYSPEPWKSRSSHAYSERNEHIEMNNGSSRNIFKSLLSRRRSRKEDVLSG >DRNTG_24319.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:9409659:9411538:-1 gene:DRNTG_24319 transcript:DRNTG_24319.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAFFKLIKQTMETLSHASSSSLLDHRRASTFDEISMHQRFLFLDTVKEMKNLRSQLYTAADHFELCYKNEKQTYIVMNNLKDYVIKAFVNTVDHLGSVSDKINALLDEKIKDVSKSEVGIVCVEQRLRTCREFTDHKGLVQQSLIIKPPKYHKHYILPAGKAIRECGTHVIRKYEESKLRKDIIDQSQSLQTVANATHGEKQLRFSKSPSILLSKLAQSSLPSPRMLSPSPSLPTGKFVFTEQRSDAMKRSGSISYRRSFRSISNIGRSYSPEPWKSRSSHAYSERNEHIEMNNGSSRNIFKSLLSRRRSRKEDVLSG >DRNTG_10806.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17495081:17502718:-1 gene:DRNTG_10806 transcript:DRNTG_10806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSMKPRTKSFVFLAIVAILVLVVVRAVEVEARDVELWSEWKGIYRENPEYLKWRNEGPKFLEMMEICFKDVVATGYMALVPYAEPSSENEVSNENAYVRMNDVDVEVDNFDDDGDSPQQCNEATRGETTARQARKRQKNITKRKEKKVQKEKLRGII >DRNTG_25538.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2995651:2996794:1 gene:DRNTG_25538 transcript:DRNTG_25538.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter-binding-like protein 3 [Source:Projected from Arabidopsis thaliana (AT2G33810) UniProtKB/Swiss-Prot;Acc:P93015] MESIKDKTPHKDDDEEEEDDEEEVVVMAAMTGAGADQDQEKKKRKGGAAVSQPSCQAERCKADLSEAKRYHRRHKVCESHSKSPVVIVSGLRQRFCQQCSRFHELAEFDDTKRSCRRRLAGHNERRRKAQAHPPVAGKQNTLVEGFS >DRNTG_18782.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:274496:277632:-1 gene:DRNTG_18782 transcript:DRNTG_18782.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA dimethylallyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT2G27760) UniProtKB/Swiss-Prot;Acc:Q9ZUX7] MPAKKKVVVVMGATGAGKSKLAIDLASHLPCPIEIVNADSMQVYQGLDVLTNKVPLSERQGVPHHLLGTVAASEEFTSKDFRDLAIAIIDDILARDRVPVIVGGTNYYIQALVSPFLVDDVVDDITACSFNSSRELKSVDPCAVYERLKEIDPVAANRIHQNDHRKINRYLSLYESSGVPPSSLFQGEAAKKWGRADCLRYDCCFIWVDAALPVLDRFVDQRVDCMIAGGLLNEVHEIYKPNADYTRGLRQAIGVREFEDFFRYYFSNTMTGGTCVCGNGSAYNSEDGTPSSINEYEEVLEPNFLEILNSNDNNLKVLLCESIDKLKANTRKLVRRQKRRLNQLKKYFGWDLHNVDATVALFSMPCCLLWLPIELICNPQYCSLLDFN >DRNTG_18782.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:274496:277632:-1 gene:DRNTG_18782 transcript:DRNTG_18782.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA dimethylallyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT2G27760) UniProtKB/Swiss-Prot;Acc:Q9ZUX7] MPAKKKVVVVMGATGAGKSKLAIDLASHLPCPIEIVNADSMQVYQGLDVLTNKVPLSERQGVPHHLLGTVAASEEFTSKDFRDLAIAIIDDILARDRVPVIVGGTNYYIQALVSPFLVDDVVDDITACSFNSSRELKSVDPCAVYERLKEIDPVAANRIHQNDHRKINRYLSLYESSGVPPSSLFQGEAAKKWGRADCLRYDCCFIWVDAALPVLDRFVDQRVDCMIAGGLLNEVHEIYKPNADYTRGLRQAIGVREFEDFFRYYFSNTMTGGTCVCGNGSAYNSEDGTPSSINEYEEVLEPNFLEILNSNDNNLKVLLCESIDKLKANTRKLVRRQVSIL >DRNTG_18782.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:274328:277632:-1 gene:DRNTG_18782 transcript:DRNTG_18782.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA dimethylallyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT2G27760) UniProtKB/Swiss-Prot;Acc:Q9ZUX7] MPAKKKVVVVMGATGAGKSKLAIDLASHLPCPIEIVNADSMQVYQGLDVLTNKVPLSERQGVPHHLLGTVAASEEFTSKDFRDLAIAIIDDILARDRVPVIVGGTNYYIQALVSPFLVDDVVDDITACSFNSSRELKSVDPCAVYERLKEIDPVAANRIHQNDHRKINRYLSLYESSGVPPSSLFQGEAAKKWGRADCLRYDCCFIWVDAALPVLDRFVDQRVDCMIAGGLLNEVHEIYKPNADYTRGLRQAIGVREFEDFFRYYFSNTMTGGTCVCGNGSAYNSEDGTPSSINEYEEVLEPNFLEILNSNDNNLKVLLCESIDKLKANTRKLVRRQKRRLNQLKKYFGWDLHNVDATVALFNTSNDSWSVAVVQPCVDLVKTFLFDHELDPLICKRAPFNTNNEALISRDLWNQHICEACGNQILRGAHEWEQHKKGRRHRKQILRLKKKKASSSMIDCSGHPSDENYSVCFT >DRNTG_18782.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:274496:277632:-1 gene:DRNTG_18782 transcript:DRNTG_18782.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA dimethylallyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT2G27760) UniProtKB/Swiss-Prot;Acc:Q9ZUX7] MPAKKKVVVVMGATGAGKSKLAIDLASHLPCPIEIVNADSMQVYQGLDVLTNKVPLSERQGVPHHLLGTVAASEEFTSKDFRDLAIAIIDDILARDRVPVIVGGTNYYIQALVSPFLVDDVVDDITACSFNSSRELKSVDPCAVYERLKEIDPVAANRIHQNDHRKVGHPVKTLSCL >DRNTG_18782.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:274496:277632:-1 gene:DRNTG_18782 transcript:DRNTG_18782.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA dimethylallyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT2G27760) UniProtKB/Swiss-Prot;Acc:Q9ZUX7] MPAKKKVVVVMGATGAGKSKLAIDLASHLPCPIEIVNADSMQVYQGLDVLTNKVPLSERQGVPHHLLGTVAASEEFTSKDFRDLAIAIIDDILARDRVPVIVGGTNYYIQVHSLVEFWKYSF >DRNTG_18782.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:274496:276682:-1 gene:DRNTG_18782 transcript:DRNTG_18782.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA dimethylallyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT2G27760) UniProtKB/Swiss-Prot;Acc:Q9ZUX7] MLVLRCYRHAEIRFSEERMNGNNTKKGEGTESKYSG >DRNTG_18782.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:274496:277632:-1 gene:DRNTG_18782 transcript:DRNTG_18782.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA dimethylallyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT2G27760) UniProtKB/Swiss-Prot;Acc:Q9ZUX7] MPAKKKVVVVMGATGAGKSKLAIDLASHLPCPIEIVNADSMQVYQGLDVLTNKVPLSERQGVPHHLLGTVAASEEFTSKDFRDLAIAIIDDILARDRVPVIVGGTNYYIQALVSPFLVDDVVDDITACSFNSSRELKSVDPCAVYERLKEIDPVAANRIHQNDHRKINRYLSLYESSGVPPSSLFQGEAAKIIHEVCGRPLVFGNLRISSDTILATR >DRNTG_18782.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:276428:277632:-1 gene:DRNTG_18782 transcript:DRNTG_18782.15 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA dimethylallyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT2G27760) UniProtKB/Swiss-Prot;Acc:Q9ZUX7] MPAKKKVVVVMGATGAGKSKLAIDLASHLPCPIEIVNADSMQVYQGLDVLTNKVPLSERQGVPHHLLGTVAASEEFTSKDFRDLAIAIIDDILARDRVPVIVGGTNYYIQALVSPFLVDDVVDDITACSFNSSRELKSVDPCAVYERLKEIDPVAANRIHQNDHRKINRYLSLYESSGVPPSSLFQGEAAKV >DRNTG_18782.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:274496:277632:-1 gene:DRNTG_18782 transcript:DRNTG_18782.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA dimethylallyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT2G27760) UniProtKB/Swiss-Prot;Acc:Q9ZUX7] MPAKKKVVVVMGATGAGKSKLAIDLASHLPCPIEIVNADSMQVYQGLDVLTNKVPLSERQGVPHHLLGTVAASEEFTSKDFRDLAIAIIDDILARDRVPVIVGGTNYYIQALVSPFLVDDVVDDITACSFNSSRELKSVDPCAVYERLKEIDPVAANRIHQNDHRKINRYLSLYESSGVPPSSLFQGEAAKIIHEVCGRPLVFGNLRISSDTILATR >DRNTG_18782.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:274496:277632:-1 gene:DRNTG_18782 transcript:DRNTG_18782.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA dimethylallyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT2G27760) UniProtKB/Swiss-Prot;Acc:Q9ZUX7] MPAKKKVVVVMGATGAGKSKLAIDLASHLPCPIEIVNADSMQVYQGLDVLTNKVPLSERQGVPHHLLGTVAASEEFTSKDFRDLAIAIIDDILARDRVPVIVGGTNYYIQALVSPFLVDDVVDDITACSFNSSRELKSVDPCAVYERLKEIDPVAANRIHQNDHRKINRYLSLYESSGVPPSSLFQGEAAKIIHEVCGRPLVFGNLRISSDTILATR >DRNTG_18782.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:274393:275981:-1 gene:DRNTG_18782 transcript:DRNTG_18782.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA dimethylallyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT2G27760) UniProtKB/Swiss-Prot;Acc:Q9ZUX7] MNFDLFHLCSSVTRQKWGRADCLRYDCCFIWVDAALPVLDRFVDQRVDCMIAGGLLNEVHEIYKPNADYTRGLRQAIGVREFEDFFRYYFSNTMTGGTCVCGNGSAYNSEDGTPSSINEYEEVLEPNFLEILNSNDNNLKVLLCESIDKLKANTRKLVRRQKRRLNQLKKYFGWDLHNVDATVALFNTSNDSWSVAVVQPCVDLVKTFLFDHELDPLICKRAPFNTNNEALISRDLWNQHICEACGNQILRGAHEWEQHKKGRRHRKQILRLKKKKASSSMIDCSGHPSDENYSVCFT >DRNTG_18782.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:274496:277632:-1 gene:DRNTG_18782 transcript:DRNTG_18782.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA dimethylallyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT2G27760) UniProtKB/Swiss-Prot;Acc:Q9ZUX7] MPAKKKVVVVMGATGAGKSKLAIDLASHLPCPIEIVNADSMQVYQGLDVLTNKVPLSERQGVPHHLLGTVAASEEFTSKDFRDLAIAIIDDILARDRVPVIVGGTNYYIQVHSLVEFWKYSF >DRNTG_18782.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:274496:277632:-1 gene:DRNTG_18782 transcript:DRNTG_18782.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA dimethylallyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT2G27760) UniProtKB/Swiss-Prot;Acc:Q9ZUX7] MPAKKKVVVVMGATGAGKSKLAIDLASHLPCPIEIVNADSMQVYQGLDVLTNKVPLSERQGVPHHLLGTVAASEEFTSKDFRDLAIAIIDDILARDRVPVIVGGTNYYIQALVSPFLVDDVVDDITACSFNSSRELKSVDPCAVYERLKEIDPVAANRIHQNDHRKINRYLSLYESSGVPPSSLFQGEAAKIIHEVCGRPLVFGNLRISSDTILATR >DRNTG_18782.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:274496:277632:-1 gene:DRNTG_18782 transcript:DRNTG_18782.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA dimethylallyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT2G27760) UniProtKB/Swiss-Prot;Acc:Q9ZUX7] MPAKKKVVVVMGATGAGKSKLAIDLASHLPCPIEIVNADSMQVYQGLDVLTNKVPLSERQGVPHHLLGTVAASEEFTSKDFRDLAIAIIDDILARDRVPVIVGGTNYYIQALVSPFLVDDVVDDITACSFNSSRELKSVDPCAVYERLKEIDPVAANRIHQNDHRKINRYLSLYESSGVPPSSLFQGEAAKIIHEVCGRPLVFGNLRISSDTILATR >DRNTG_18782.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:274496:275981:-1 gene:DRNTG_18782 transcript:DRNTG_18782.14 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA dimethylallyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT2G27760) UniProtKB/Swiss-Prot;Acc:Q9ZUX7] MNFDLFHLCSSVTRQKWGRADCLRYDCCFIWVDAALPVLDRFVDQRVDCMIAGGLLNEVHEIYKPNADYTRGLRQAIGVREFEDFFRYYFSNTMTGGTCVCGNGSAYNSEDGTPSSINEYEEVLEPNFLEILNSNDNNLKVLLCESIDKLKANTRKLVRRQVSIL >DRNTG_27211.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:806277:810162:-1 gene:DRNTG_27211 transcript:DRNTG_27211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLWILPTVNNLGCDHNVDTLLKKIVKSEDAKHQGLHHIIRVQKYIRGVQTRRHYEELKRGVTMLQSFIRGERARREFELVLKRWGSVLVIQKFLKGWIARRTFSNHKQHIIRIQSAARGWLTRKQLIILKNLKLSELNQTNSNRSIQEIKDSNMGHLWVRRSVVDELEMRVLKAEALLSQKEEDHVTFQHRFEQYEAKMKSMEEMWQKQLMSLQRNPSKKHTGVHQAISTETQRQSLDAEVALREKEEENAKLREQLQQYEMRWSEYDIKMKSMEEMWQKQMASLQLSLAAARKSLVADDLVSPPERPSSPLLCPLYDSESTLSVEARSPDPALAKQPQLSIGLGGNPHDTQNEVSLLVKEFEQNKQVFEESTRFLVAAKSQQPNSCMNPDEDLRRLKIQFSSWEKDYKIRLREAKSALKKLGNARSDKPRKKWWFRGTA >DRNTG_03030.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000138.1:40359:48902:1 gene:DRNTG_03030 transcript:DRNTG_03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGPCQVDHQHNQRSSSEPSRSELLKSALDGVSVSLTKNEGHILSTKVAMLSRSLEFISVAILPLQQRIKKGPLLEYSFQLSNCVKRCPFG >DRNTG_28626.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28392296:28394462:-1 gene:DRNTG_28626 transcript:DRNTG_28626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVAESCLDGLMIEMVSAYCTRFYASKPELAARRIEAIGYQVGHQLSERYTMERPRFTDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNRFRWLTRISIEPSAESTDASSNDPSLTAENKASQTTNMHLCFPCGIIRGALSNLGIPCAVTADISNLPACSFVVRIKA >DRNTG_18418.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4140588:4147268:-1 gene:DRNTG_18418 transcript:DRNTG_18418.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAFQDSFSRALSCRRGIGAGTEFTVRRRCRWGCRACVLPSDNRSSERVVVDFLRSARKGAKAQRILVAKHLADEFEYEESGRQEEVPVASNFTGFQEDPMVGKLRTQLGVIHPIPSPPINRNIVGFFVFFFLVGVVSDKLWTSRKRNKPGRQVKPDVWPQVPTSFSLFFDKDLQRKESVEWVNMVLGKLWKVYRGGIENWIIGLLQPVIDNLKKPDYVQRVEIKQFSLGDEPLSVRNVERRTSRRVNDLQYQIGLRYTGGARMLLSLSLKFGIIPISVPVGVRDFDIDGELWVKLRLIPTEPWVGAVSWAFVSLPKIKFELSPFRLFNLMAIPVLSMFLTKLLTEDLPLLFVRPKKIVLDFQKGTALGPVADNFRTDANKEGNKDFVGELSVTLVDARKLAYVIFGKTDPYVVLRLGDQVIRSKKNSQTTVIGPPGEPIWNQDFHLLVTNPRKQQLQLEVKDSFGFTDFNIGTGEVELGSLKDTVPTDKIVSLQGGWQLFKKQPSGEILLRLTYKAYVEDEEDEVSGMKFVDTDASDDELDGDLISSTSEQSQIDGSGETERESFMDVLAALLVSEEFQGIVASDTSNFKVFEGSKSPTTTRPRTPLGSNTDNSLLENENTAGGFIDSTLVWLAVVTSVAVLISINVGGSSFFNP >DRNTG_18418.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4140588:4147268:-1 gene:DRNTG_18418 transcript:DRNTG_18418.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTKLLTEDLPLLFVRPKKIVLDFQKGTALGPVADNFRTDANKEGNKDFVGELSVTLVDARKLAYVIFGKTDPYVVLRLGDQVIRSKKNSQTTVIGPPGEPIWNQDFHLLVTNPRKQQLQLEVKDSFGFTDFNIGTGEVELGSLKDTVPTDKIVSLQGGWQLFKKQPSGEILLRLTYKAYVEDEEDEVSGMKFVDTDASDDELDGDLISSTSEQSQIDGSGETERESFMDVLAALLVSEEFQGIVASDTSNFKVFEGSKSPTTTRPRTPLGSNTDNSLLENENTAGGFIDSTLVWLAVVTSVAVLISINVGGSSFFNP >DRNTG_18418.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4140588:4147207:-1 gene:DRNTG_18418 transcript:DRNTG_18418.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAFQDSFSRALSCRRGIGAGTEFTVRRRCRWGCRACVLPSDNRSSERVVVDFLRSARKGAKAQRILVAKHLADEFEYEESGRQEEVPVASNFTGFQEDPMVGKLRTQLGVIHPIPSPPINRNIVGFFVFFFLVGVVSDKLWTSRKRNKPGRQVKPDVWPQVPTSFSLFFDKDLQRKESVEWVNMVLGKLWKVYRGGIENWIIGLLQPVIDNLKKPDYVQRVEIKQFSLGDEPLSVRNVERRTSRRVNDLQYQIGLRYTGGARMLLSLSLKFGIIPISVPVGVRDFDIDGELWVKLRLIPTEPWVGAVSWAFVSLPKIKFELSPFRLFNLMAIPVLSMFLTKLLTEDLPLLFVRPKKIVLDFQKGTALGPVADNFRTDANKEGNKDFVGELSVTLVDARKLAYVIFGKTDPYVVLRLGDQVIRSKKNSQTTVIGPPGEPIWNQDFHLLVTNPRKQQLQLEVKDSFGFTDFNIGTGEVELGSLKDTVPTDKIVSLQGGWQLFKKQPSGEILLRLTYKAYVEDEEDEVSGMKFVDTDASDDELDGDLISSTSEQSQIDGSGETERESFMDVLAALLVSEEFQGIVASDTSNFKVFEGSKSPTTTRPRTPLGSNTDNSLLENENTAGGFIDSTLVWLAVVTSVAVLISINVGGSSFFNP >DRNTG_18418.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4140588:4147361:-1 gene:DRNTG_18418 transcript:DRNTG_18418.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAFQDSFSRALSCRRGIGAGTEFTVRRRCRWGCRACVLPSDNRSSERVVVDFLRSARKGAKAQRILVAKHLADEFEYEESGRQEEVPVASNFTGFQEDPMVGKLRTQLGVIHPIPSPPINRNIVGFFVFFFLVGVVSDKLWTSRKRNKPGRQVKPDVWPQVPTSFSLFFDKDLQRKESVEWVNMVLGKLWKVYRGGIENWIIGLLQPVIDNLKKPDYVQRVEIKQFSLGDEPLSVRNVERRTSRRVNDLQYQIGLRYTGGARMLLSLSLKFGIIPISVPVGVRDFDIDGELWVKLRLIPTEPWVGAVSWAFVSLPKIKFELSPFRLFNLMAIPVLSMFLTKLLTEDLPLLFVRPKKIVLDFQKGTALGPVADNFRTDANKEGNKDFVGELSVTLVDARKLAYVIFGKTDPYVVLRLGDQVIRSKKNSQTTVIGPPGEPIWNQDFHLLVTNPRKQQLQLEVKDSFGFTDFNIGTGEVELGSLKDTVPTDKIVSLQGGWQLFKKQPSGEILLRLTYKAYVEDEEDEVSGMKFVDTDASDDELDGDLISSTSEQSQIDGSGETERESFMDVLAALLVSEEFQGIVASDTSNFKVFEGSKSPTTTRPRTPLGSNTDNSLLENENTAGGFIDSTLVWLAVVTSVAVLISINVGGSSFFNP >DRNTG_18418.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4140588:4147207:-1 gene:DRNTG_18418 transcript:DRNTG_18418.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTKLLTEDLPLLFVRPKKIVLDFQKGTALGPVADNFRTDANKEGNKDFVGELSVTLVDARKLAYVIFGKTDPYVVLRLGDQVIRSKKNSQTTVIGPPGEPIWNQDFHLLVTNPRKQQLQLEVKDSFGFTDFNIGTGEVELGSLKDTVPTDKIVSLQGGWQLFKKQPSGEILLRLTYKAYVEDEEDEVSGMKFVDTDASDDELDGDLISSTSEQSQIDGSGETERESFMDVLAALLVSEEFQGIVASDTSNFKVFEGSKSPTTTRPRTPLGSNTDNSLLENENTAGGFIDSTLVWLAVVTSVAVLISINVGGSSFFNP >DRNTG_18418.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4140345:4147207:-1 gene:DRNTG_18418 transcript:DRNTG_18418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAFQDSFSRALSCRRGIGAGTEFTVRRRCRWGCRACVLPSDNRSSERVVVDFLRSARKGAKAQRILVAKHLADEFEYEESGRQEEVPVASNFTGFQEDPMVGKLRTQLGVIHPIPSPPINRNIVGFFVFFFLVGVVSDKLWTSRKRNKPGRQVKPDVWPQVPTSFSLFFDKDLQRKESVEWVNMVLGKLWKVYRGGIENWIIGLLQPVIDNLKKPDYVQRVEIKQFSLGDEPLSVRNVERRTSRRVNDLQYQIGLRYTGGARMLLSLSLKFGIIPISVPVGVRDFDIDGELWVKLRLIPTEPWVGAVSWAFVSLPKIKFELSPFRLFNLMAIPVLSMFLTKLLTEDLPLLFVRPKKIVLDFQKGTALGPVADNFRTDANKEGNKDFVGELSVTLVDARKLAYVIFGKTDPYVVLRLGDQVIRSKKNSQTTVIGPPGEPIWNQDFHLLVTNPRKQQLQLEVKDSFGFTDFNIGTGEVELGSLKDTVPTDKIVSLQGGWQLFKKQPSGEILLRLTYKAYVEDEEDEVSGMKFVDTDASDDELDGDLISSTSEQSQIDGSGETERESFMDVLAALLVSEEFQGIVASDTSNFKVFEGSKSPTTTRPRTPLGSNTDNSLLENENTAGGFIDSTLVWLAVVTSVAVLISINVGGSSFFNP >DRNTG_14352.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21660457:21664489:-1 gene:DRNTG_14352 transcript:DRNTG_14352.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRVRRVESGHTKIRNVPIAVTPEGFWCCPAPVVIQKTLKNHNQNKPKPQPSLPPSKTSLQKTSTNVTNKKSHATQLRSRITSDDPRSLASDNMLISSERKPKTNGDNQHVRKISVAFGQPETSDLKMILSGKEGVAVRMSVHRDILVEHSKFLAEKLSRQSPVPSIEIGDCDDVEIYVEIIGLMYCKDVKQRLIKQNVARVLRILKAAELLGFGTCIKSCLEYLEAAPWAGEEEETVVSSVQHLQGTKYGISPILKRVTSDISKPPNDTLTSIMELVLKSNEDRGRREMKSLVLKLLRENSLVIDGSVDICIETLYASCGSCLNSLLFLFKQASEPGFSDKSFDNRDPIMRQLTLEVDNLLWLMEILADRRVADEFAAMWASQDELAELHPKVPTGYRHLVSRITARLFVGIGRGEMLPSKEIRKLLLNVWFQPLINDYNWLQNSCRSFDRQVVEEGIGRTILTLPLEDQQTVLLSWLGSFLKVGDNCPNLQRAFEVWWRRTFIRPHVEQQQQQANLLLLDKI >DRNTG_14352.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21660495:21664489:-1 gene:DRNTG_14352 transcript:DRNTG_14352.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRVRRVESGHTKIRNVPIAVTPEGFWCCPAPVVIQKTLKNHNQNKPKPQPSLPPSKTSLQKTSTNVTNKKSHATQLRSRITSDDPRSLASDNMLISSERKPKTNGDNQHVRKISVAFGQPETSDLKMILSGKEGVAVRMSVHRDILVEHSKFLAEKLSRQSPVPSIEIGDCDDVEIYVEIIGLMYCKDVKQRLIKQNVARVLRILKAAELLGFGTCIKSCLEYLEAAPWAGEEEETVVSSVQHLQGTKYGISPILKRVTSDISKPPNDTLTSIMELVLKSNEDRGRREMKSLVLKLLRENSLVIDGSVDICIETLYASCGSCLNSLLFLFKQASEPGFSDKSFDNRDPIMRQLTLEVDNLLWLMEILADRRVADEFAAMWASQDELAELHPKVPTGYRHLVSRITARLFVGIGRGEMLPSKEIRKLLLNVWFQPLINDYNWLQNSCRSFDRQVVEEGIGRTILTLPLEDQQTVLLSWLGSFLKVGDNCPNLQRAFEVWWRRTFIRPHVEQQQQQANLLLLDKI >DRNTG_14352.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21660457:21663319:-1 gene:DRNTG_14352 transcript:DRNTG_14352.7 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRVRRVESGHTKIRNVPIAVTPEGFWCCPAPVVIQKTLKNHNQNKPKPQPSLPPSKTSLQKTSTNVTNKKSHATQLRSRITSDDPRSLASDNMLISSERKPKTNGDNQHVRKISVAFGQPETSDLKMILSGKEGVAVRMSVHRDILVEHSKFLAEKLSRQSPVPSIEIGDCDDVEIYVEIIGLMYCKDVKQRLIKQNVARVLRILKAAELLGFGTCIKSCLEYLEAAPWAGEEEETVVSSVQHLQGTKYGISPILKRVTSDISKPPNDTLTSIMELVLKSNEDRGRREMKSLVLKLLRENSLVIDGSVDICIETLYASCGSCLNSLLFLFKQASEPGFSDKSFDNRDPIMRQLTLEVDNLLWLMEILADRRVADEFAAMWASQDELAELHPKVPTGYRHLVSRITARLFVGIGRGEMLPSKEIRKLLLNVWFQPLINDYNWLQNSCRSFDRQVVEEGIGRTILTLPLEDQQTVLLSWLGSFLKVGDNCPNLQRAFEVWWRRTFIRPHVEQQQQQANLLLLDKI >DRNTG_14352.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21660495:21667621:-1 gene:DRNTG_14352 transcript:DRNTG_14352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRVRRVESGHTKIRNVPIAVTPEGFWCCPAPVVIQKTLKNHNQNKPKPQPSLPPSKTSLQKTSTNVTNKKSHATQLRSRITSDDPRSLASDNMLISSERKPKTNGDNQHVRKISVAFGQPETSDLKMILSGKEGVAVRMSVHRDILVEHSKFLAEKLSRQSPVPSIEIGDCDDVEIYVEIIGLMYCKDVKQRLIKQNVARVLRILKAAELLGFGTCIKSCLEYLEAAPWAGEEEETVVSSVQHLQGTKYGISPILKRVTSDISKPPNDTLTSIMELVLKSNEDRGRREMKSLVLKLLRENSLVIDGSVDICIETLYASCGSCLNSLLFLFKQASEPGFSDKSFDNRDPIMRQLTLEVDNLLWLMEILADRRVADEFAAMWASQDELAELHPKVPTGYRHLVSRITARLFVGIGRGEMLPSKEIRKLLLNVWFQPLINDYNWLQNSCRSFDRQVVEEGIGRTILTLPLEDQQTVLLSWLGSFLKVGDNCPNLQRAFEVWWRRTFIRPHVEQQQQQANLLLLDKI >DRNTG_14352.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21660495:21664489:-1 gene:DRNTG_14352 transcript:DRNTG_14352.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRVRRVESGHTKIRNVPIAVTPEGFWCCPAPVVIQKTLKNHNQNKPKPQPSLPPSKTSLQKTSTNVTNKKSHATQLRSRITSDDPRSLASDNMLISSERKPKTNGDNQHVRKISVAFGQPETSDLKMILSGKEGVAVRMSVHRDILVEHSKFLAEKLSRQSPVPSIEIGDCDDVEIYVEIIGLMYCKDVKQRLIKQNVARVLRILKAAELLGFGTCIKSCLEYLEAAPWAGEEEETVVSSVQHLQGTKYGISPILKRVTSDISKPPNDTLTSIMELVLKSNEDRGRREMKSLVLKLLRENSLVIDGSVDICIETLYASCGSCLNSLLFLFKQASEPGFSDKSFDNRDPIMRQLTLEVDNLLWLMEILADRRVADEFAAMWASQDELAELHPKVPTGYRHLVSRITARLFVGIGRGEMLPSKEIRKLLLNVWFQPLINDYNWLQNSCRSFDRQVVEEGIGRTILTLPLEDQQTVLLSWLGSFLKVGDNCPNLQRAFEVWWRRTFIRPHVEQQQQQANLLLLDKI >DRNTG_14352.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21660391:21663319:-1 gene:DRNTG_14352 transcript:DRNTG_14352.6 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRVRRVESGHTKIRNVPIAVTPEGFWCCPAPVVIQKTLKNHNQNKPKPQPSLPPSKTSLQKTSTNVTNKKSHATQLRSRITSDDPRSLASDNMLISSERKPKTNGDNQHVRKISVAFGQPETSDLKMILSGKEGVAVRMSVHRDILVEHSKFLAEKLSRQSPVPSIEIGDCDDVEIYVEIIGLMYCKDVKQRLIKQNVARVLRILKAAELLGFGTCIKSCLEYLEAAPWAGEEEETVVSSVQHLQGTKYGISPILKRVTSDISKPPNDTLTSIMELVLKSNEDRGRREMKSLVLKLLRENSLVIDGSVDICIETLYASCGSCLNSLLFLFKQASEPGFSDKSFDNRDPIMRQLTLEVDNLLWLMEILADRRVADEFAAMWASQDELAELHPKVPTGYRHLVSRITARLFVGIGRGEMLPSKEIRKLLLNVWFQPLINDYNWLQNSCRSFDRQVVEEGIGRTILTLPLEDQQTVLLSWLGSFLKVGDNCPNLQRAFEVWWRRTFIRPHVEQQQQQANLLLLDKI >DRNTG_14352.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21660495:21663478:-1 gene:DRNTG_14352 transcript:DRNTG_14352.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRVRRVESGHTKIRNVPIAVTPEGFWCCPAPVVIQKTLKNHNQNKPKPQPSLPPSKTSLQKTSTNVTNKKSHATQLRSRITSDDPRSLASDNMLISSERKPKTNGDNQHVRKISVAFGQPETSDLKMILSGKEGVAVRMSVHRDILVEHSKFLAEKLSRQSPVPSIEIGDCDDVEIYVEIIGLMYCKDVKQRLIKQNVARVLRILKAAELLGFGTCIKSCLEYLEAAPWAGEEEETVVSSVQHLQGTKYGISPILKRVTSDISKPPNDTLTSIMELVLKSNEDRGRREMKSLVLKLLRENSLVIDGSVDICIETLYASCGSCLNSLLFLFKQASEPGFSDKSFDNRDPIMRQLTLEVDNLLWLMEILADRRVADEFAAMWASQDELAELHPKVPTGYRHLVSRITARLFVGIGRGEMLPSKEIRKLLLNVWFQPLINDYNWLQNSCRSFDRQVVEEGIGRTILTLPLEDQQTVLLSWLGSFLKVGDNCPNLQRAFEVWWRRTFIRPHVEQQQQQANLLLLDKI >DRNTG_14352.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21660495:21663319:-1 gene:DRNTG_14352 transcript:DRNTG_14352.8 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRVRRVESGHTKIRNVPIAVTPEGFWCCPAPVVIQKTLKNHNQNKPKPQPSLPPSKTSLQKTSTNVTNKKSHATQLRSRITSDDPRSLASDNMLISSERKPKTNGDNQHVRKISVAFGQPETSDLKMILSGKEGVAVRMSVHRDILVEHSKFLAEKLSRQSPVPSIEIGDCDDVEIYVEIIGLMYCKDVKQRLIKQNVARVLRILKAAELLGFGTCIKSCLEYLEAAPWAGEEEETVVSSVQHLQGTKYGISPILKRVTSDISKPPNDTLTSIMELVLKSNEDRGRREMKSLVLKLLRENSLVIDGSVDICIETLYASCGSCLNSLLFLFKQASEPGFSDKSFDNRDPIMRQLTLEVDNLLWLMEILADRRVADEFAAMWASQDELAELHPKVPTGYRHLVSRITARLFVGIGRGEMLPSKEIRKLLLNVWFQPLINDYNWLQNSCRSFDRQVVEEGIGRTILTLPLEDQQTVLLSWLGSFLKVGDNCPNLQRAFEVWWRRTFIRPHVEQQQQQANLLLLDKI >DRNTG_25198.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28246043:28249446:1 gene:DRNTG_25198 transcript:DRNTG_25198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIQSRDLEWYFFSSLDRKYSNRSRTNRATGGGYWKTTGKDRPVLHGSRTVGMKKTLVYHTGRAPRGQRTHWVMHEYRLVDEGLRDSGVSQDGYVVCRIFQKSGNGPMNGAQYGAPLIEEEWEEDDDMEIKPLDGDAGGLVDVGIQMDDIVKDPEVSFPPENMHHLLVEHHEEQVNGSHLEISTSLRENIVGDQFYMGGVDGCPIAAVAEDDPTLTAGVEGHHLILDQSCILLPENDGYVELNDFMENEDQDQPKNDSLADYVLETCDEDFLINMNGCGDLPEIDVDEFFDMLSETSDIPEPSQMPPLENDVSPQPSNINSSFSKQSDAQFSESNLVSNDALEDIPFAQDSFVPKYDSGPSITDVFKFDKADEMVNYFDATDNYLDFASLGPVGEFELEDFSNFEISDFSDEFDGTIIPTQNAAQKSSYTDAGIVASSSTMLSAPNDMANGKKDDLTVKKDVQKNDQLDKTFTERLANMLNFIPSPPALAAEHPYGAIKSIDQNSTALAASSNFTAGMIHISSFTVTSTANQCYLQKGRAIGFLFSYNTLGKSASLEPAMMMHDGFTRMVLRGGLYLFLFSTLILAGSFKIGLHMCSR >DRNTG_05129.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30582351:30584771:-1 gene:DRNTG_05129 transcript:DRNTG_05129.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILNALSLFPASPPSKTTPYSSLLALGLVMSLSTGLANVSLKYNSVGFYQMAKIAVTPTIVLAEFMLFRKTVSYQKVLALSIVSVGVAIATVTDLEFHFFGACIAVAWIIPSAINKILWSNFQQKENWTALAYQTC >DRNTG_05129.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30582351:30584771:-1 gene:DRNTG_05129 transcript:DRNTG_05129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSRKVMNFLVRKDVRKILKRKDSDAGERGKEIEDLRASLFSELRTSEGAKRLQQRICGPTVSLTFNFLVSVGIILMNKLVLGKVGFNYPIFLTFIHYIVSWFLMAILNALSLFPASPPSKTTPYSSLLALGLVMSLSTGLANVSLKYNSVGFYQMAKIAVTPTIVLAEFMLFRKTVSYQKVLALSIVSVGVAIATVTDLEFHFFGACIAVAWIIPSAINKILWSNFQQKENWTALALMWKTTPITVFFLVAMMPWLDPPGVLSFNWSFRNTVAIVMSAVLGFLLQWSGALALGATSATSHVVLGQFKTCVILLGGFLLFNSIPGRTSIFGVIIALSGMSLYTYLNLLDSHKQTAKLGSRQSSFTALPKSKLSKENGESTDRNCNSENV >DRNTG_05129.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30582351:30584134:-1 gene:DRNTG_05129 transcript:DRNTG_05129.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLVLGKVGFNYPIFLTFIHYIVSWFLMAILNALSLFPASPPSKTTPYSSLLALGLVMSLSTGLANVSLKYNSVGFYQMAKIAVTPTIVLAEFMLFRKTVSYQKVLALSIVSVGVAIATVTDLEFHFFGACIAVAWIIPSAINKILWSNFQQKENWTALALMWKTTPITVFFLVAMMPWLDPPGVLSFNWSFRNTVAIVMSAVLGFLLQWSGALALGATSATSHVVLGQFKTCVILLGGFLLFNSIPGRTSIFGVIIALSGMSLYTYLNLLDSHKQTAKLGSRQSSFTALPKSKLSKENGESTDRNCNSENV >DRNTG_18277.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1843092:1848213:1 gene:DRNTG_18277 transcript:DRNTG_18277.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulating factor 64 [Source:Projected from Arabidopsis thaliana (AT1G71800) UniProtKB/Swiss-Prot;Acc:Q9M9G6] MAAAASSQHRCVFVGNIPYDATEEQLIQICEEVGPVVTFRLVLDRETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENDKGSDRNREQGRGGPGLMPNTDASKPVSGPPLPGDPSVHQPAGLQLAVVASTVMAGALGGSQTTGSSLQNGSQNQSTAGNDPLTHYLAKMSKFQLNEVLSELKTLATNNKALAQQLLQGYTQLPKAIFQAQIMLGLVSPQMLQMVRPQQPQQLAFQNGMQDLKPAVPLYPGQTTLQIPLQNDGHSAMLLRPPDTLLSNNPQNPIPIQQSSLPPLSMPTRPRFQHQASLQAPVISQEAMPGLSGVGKIPPAQPQSLGGHPIPPQASSLTSFKGLKAPLPPSMVRQSRPAHLGYHAQPALPDTNLHQSLPPHALTSQSRPSNYSTVTGRVEMLPSEPGTSTSFAHESNWVPRANIYPNSGIGSMEPSGIRGDIAESIGRPLKLARSEHGSFAPPVNSTSGSQMLGMEGTQQSEKHTPQIPLEVESALLQQVMNLTPEQLSSLPAEQREQVLELQKAMSLKLLSTRNQ >DRNTG_18277.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1843092:1848213:1 gene:DRNTG_18277 transcript:DRNTG_18277.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulating factor 64 [Source:Projected from Arabidopsis thaliana (AT1G71800) UniProtKB/Swiss-Prot;Acc:Q9M9G6] MAAAASSQHRCVFVGNIPYDATEEQLIQICEEVGPVVTFRLVLDRETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENDKGSDRNREQGRGGPGLMPNTDASKPVSGPPLPGDPSVHQPAGLQLAVVASTVMAGALGGSQTTGSSLQNGSQNQSTAGNDPLTHYLAKMSKFQLNEVLSELKTLATNNKALAQQLLQGYTQLPKAIFQAQIMLGLVSPQMLQMVRPQQPQQLAFQNGMQDLKPAVPLYPGQTTLQIPLQNDGHSAMLLRPPDTLLSNNPQNPIPIQQSSLPPLSMPTRPRFQHQASLQAPVISQEAMPGLSGVGKIPPAQPQSLGGHPIPPQASSLTSFKGLKAPLPPSMVRQSRPAHLGYHAQPALPDTNLHQSLPPHALTSQAC >DRNTG_26381.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:446199:447229:-1 gene:DRNTG_26381 transcript:DRNTG_26381.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLEPLIVGRVIGEVLDFFNPSAKMIVTYNTNKLVCNGFELYPSAILNKPRVEVQGGDLRSSFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREMVSYESPRPTIGIHRFVFVLFKQKKRSTVVIPATRDQFNTRRFAEDNDLGLPVAAVYFNAQRETAARRR >DRNTG_14829.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3698927:3700838:1 gene:DRNTG_14829 transcript:DRNTG_14829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSVPLNKGGYSPFSLQVQLSQFSQFKSRSLMISQKGGVFESLMPKKDYFEQALYTIDIGQNDLTDLFVRNQSADEYIPMTMKVFSDVVKEVYQQGGQYFWIHNTGPLGCLAYAIIRRPSSSPELDSVGCAVMFNKLAQKFNNMLNETVTQLRKDLPSATFIYVDVYSAKYNLFSNAEKYGFETPLRTCCGFGGGDYNFDFNVRCGDKRIVKACSYPEKSIVWDGIHYSEAANKWVFNEIATGKYSHPSVPISQAC >DRNTG_30097.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31194921:31195522:1 gene:DRNTG_30097 transcript:DRNTG_30097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRLNEEFEDYLPAMAEKLGGDGLMEELCNGFRLLMDPDREVITFESLKKSAGLMGLGGLGDDELMGMMREGDLDGDGALNQTEFCVLMFRLSPELMAESWRCLDDALASSDFSF >DRNTG_08074.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1825004:1828673:-1 gene:DRNTG_08074 transcript:DRNTG_08074.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKGNAADSRTRSSVSVFIVIGLCCFFYVLGAWQKSGFGKGDSIAVEITKQTDCTVLSNLNFETHHSGASSDDIPDSKVQTFEPCHERYRDYTPCQDQRRAMTFPRENMNYRERHCPPDEEKLYCLIPAPKGYVAPFSWPKSRDYVPYANVPYKSLTVEKAVQNWVQYEGNVFRFPGGGTQFPQGADRYIDQLASVIPIANGTVRTALDTGCGVASWGAYLLKRNVLAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIQLPYPSRAFDMAHCSRCLIPWGSNDGMYMMEVDRVLRPGGYWVLSGPPINWKANFQAWQRTKDDLEQEQNKIEEIAALLCWEKISEKGEIAIWRKRINTDSCPVRQDESRARICESRNADDVWYKKMEACVTPYPEASSSEEVAGGQLKTFPDRLNDVPPRIASGSVPGISVEAYHEDNRLWKKHVKAYKKINKYLDTGRYRNIMDMNAGLGSFAAAIESPKLWVMNVVPTIAEKSMLGAVYERGLIGIYHDWCEGFSTYPRTYDLIHANGVFSLYKDKCKMEDILLEMDRILRPEGAVFFRDEVDVLMKVKRIVSGMRWNIKMVDHEDGPLVPEKILVAVKQYWVIGSSGNRTSDE >DRNTG_17185.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:24665105:24666082:1 gene:DRNTG_17185 transcript:DRNTG_17185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVLWKVLYSSSKDSLVRYRIRLDGSSPTNLLPKE >DRNTG_27787.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3294581:3296135:-1 gene:DRNTG_27787 transcript:DRNTG_27787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNLALNIAEKGFPISIYNRTAAKVDETIACGVYEGFLPFSGDHYPASSSSSSSPVIPLSMVATSDTSSPSADAASVPGGCRPGLRQRPRSTMAPASPTLATAAPGTSSRWSTTGSSMAICSSFPKPMMFSNSLAGFPTESSRIALRSGTTGS >DRNTG_16391.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16058813:16063251:-1 gene:DRNTG_16391 transcript:DRNTG_16391.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TTN9 [Source:Projected from Arabidopsis thaliana (AT3G20070) UniProtKB/TrEMBL;Acc:A0A384LCV3] MEQLNAKLYSKYQNLKKRKGFEEEEWFQKLESDLRKSKLATEDMIENLKNENNRLRAQMSSIQDQYDECQKLLFEERQKTRGISNEIGRLQELLSQRNHHNDAALPLSPHTSPAVISRHLSGDSPGKTVARALPFGSPATRSGGAHNSSLTKKRRSSSPEQIDLHCKEAATLYNNNTKEPVVPDCCRGNLIHSTSKGYHSCVFQAFLEQIVGMEFSVSSQGQGLSLSVIHPMSGYTFSLSWIADEDGSEGDLMYRVSSLGTLERVALEWMKEDIIFSMRMCPVFFEKISRVIGHHR >DRNTG_16391.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16062591:16063251:-1 gene:DRNTG_16391 transcript:DRNTG_16391.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:TTN9 [Source:Projected from Arabidopsis thaliana (AT3G20070) UniProtKB/TrEMBL;Acc:A0A384LCV3] MEQLNAKLYSKYQNLKKRKGFEEEEWFQKLESDLRKSKLATEDMIENLKNENNRLRAQM >DRNTG_16391.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16058813:16063251:-1 gene:DRNTG_16391 transcript:DRNTG_16391.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TTN9 [Source:Projected from Arabidopsis thaliana (AT3G20070) UniProtKB/TrEMBL;Acc:A0A384LCV3] MEQLNAKLYSKYQNLKKRKGFEEEEWFQKLESDLRKSKLATEDMIENLKNENNRLRAQMSSIQDQYDECQKLLFEERQKTRGISNEIGRLQELLSQRNHHNDAALPLSPHTSPAVISRHLSGDSPGKTVARALPFGSPATRSGGAHNSSLTKKRRSSSPEQIDLHCKEAATLYNNNTKEPVVVSQGDPSRMSLLPFTKLYLCMTRTIGLV >DRNTG_26048.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18715848:18721236:1 gene:DRNTG_26048 transcript:DRNTG_26048.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADNVENHMRTLKQKYQDIKKLLNLSGVGWNDTEKKLVLEDETYRTYMEFGGTPGEDESAPPPNASLDSEPMDTGNQRHEALRSSTKNIGEKIGELAASIDRTRKRTWKEKLSDVPWDMGGYSDDDMKMFFNRLIDNKKEAENFYLRKTSLWKRWIDNFISSIRSSSPQGGYHTRLFFGVVDDKLFC >DRNTG_22803.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3812801:3819230:1 gene:DRNTG_22803 transcript:DRNTG_22803.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIVSKLLVLLGSGKQMVQEGALTALASVADSSQEQFQKYYDAVMPYLKTILMNATDKSNRMLRAKSMECISLVGMAVGKDKFRDDAKQVMEVLMALQGSQLEADDPITSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSADSDDDFDASDDESIETITLGDKRIGIRTSVLEEKATACNMLCCYADELKEGFYPWISQVAPTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAVEKGLTPGHDDSCVKQLSDYIIPALVEALHKEPETEICVSMLDALNQCMQLSGPLLNEAQVRSIVDEIKHVITASSTRITERAERTKTEDFDTEEGEFLKEENEQEEEIFDRVGDCLGTLVKTFKTSFLPFFNEISIYITPMLGKDKTSNERRVVICIFDDVVEQCREAAHKYYDTYLPFLLEASSDGDADVRQAALYGIGVCAEFGGAMFRPLVGEALSKLNNVIRHPDAQHSDNLMAYDNAVSALGKICMHHRDGIDAAQVLPVWLNCLPIKGDLVEAKIVHDQLCTMVERSDRELLGPNNHYLPKIISIFAEVLCAGNELATEQTSSRMINLLRQLQQTLPPAVLASTWSTLHPQQQLALQSVLSM >DRNTG_22803.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3809645:3811486:1 gene:DRNTG_22803 transcript:DRNTG_22803.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHPDMAAILGADPAAFESLISALMSSNNDQRSHAESLFNLCRDHHPDSLAFKLAQLLLSSAPVELRSMAAILLRKLLTRDADPSSSSYLWPRLSPQTQSSLKSALLSVIQREDSKSISKKVCDTISELASGLLDDDSWPEFLPFLFSAVAASDSPRLAESSLLIFSQLTQYIGHTLVPHLALLHSILLASLSHPTSADVRIAALNAAINLVQCLPSAQERDQFQDLLPAMIRTLTEALNTGQEATAQEALELLIELAGTEPRFLRKQLPDVVGSMLQIAEAPQLEEGTRHLAVEFVITLAEARERAPGMMRRLPQFVARLFSVLMKMLLDINDEPSWHGAETEDEDAGEMSSYSVGQESLDRLSIALGGNTIVPVASEQLPAYFSSPEWQKRHAALITLAQIAEGCCKVMIKNLEQVVNMVLTSFQDPHPRVRWAAINAIGQLSTDLGPDLQDQYHHRVLPALAGAMDDFQNSRVQASLQSYFTLLCILPYRSIYFIMFVFD >DRNTG_22803.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3814701:3819230:1 gene:DRNTG_22803 transcript:DRNTG_22803.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPELLRSAKLAVEKGLTPGHDDSCVKQLSDYIIPALVEALHKEPETEICVSMLDALNQCMQLSGPLLNEAQVRSIVDEIKHVITASSTRITERAERTKTEDFDTEEGEFLKEENEQEEEIFDRVGDCLGTLVKTFKTSFLPFFNEISIYITPMLGKDKTSNERRVVICIFDDVVEQCREAAHKYYDTYLPFLLEASSDGDADVRQAALYGIGVCAEFGGAMFRPLVGEALSKLNNVIRHPDAQHSDNLMAYDNAVSALGKICMHHRDGIDAAQVLPVWLNCLPIKGDLVEAKIVHDQLCTMVERSDRELLGPNNHYLPKIISIFAEVLCAGNELATEQTSSRMINLLRQLQQTLPPAVLASTWSTLHPQQQLALQSVLSM >DRNTG_22803.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3809756:3811486:1 gene:DRNTG_22803 transcript:DRNTG_22803.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHPDMAAILGADPAAFESLISALMSSNNDQRSHAESLFNLCRDHHPDSLAFKLAQLLLSSAPVELRSMAAILLRKLLTRDADPSSSSYLWPRLSPQTQSSLKSALLSVIQREDSKSISKKVCDTISELASGLLDDDSWPEFLPFLFSAVAASDSPRLAESSLLIFSQLTQYIGHTLVPHLALLHSILLASLSHPTSADVRIAALNAAINLVQCLPSAQERDQFQDLLPAMIRTLTEALNTGQEATAQEALELLIELAGTEPRFLRKQLPDVVGSMLQIAEAPQLEEGTRHLAVEFVITLAEARERAPGMMRRLPQFVARLFSVLMKMLLDINDEPSWHGAETEDEDAGEMSSYSVGQESLDRLSIALGGNTIVPVASEQLPAYFSSPEWQKRHAALITLAQIAEGCCKVMIKNLEQVVNMVLTSFQDPHPRVRWAAINAIGQLSTDLGPDLQDQYHHRVLPALAGAMDDFQNSRVQASLQSYFTLLCILPYRSIYFIMFVFD >DRNTG_22803.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3809756:3819230:1 gene:DRNTG_22803 transcript:DRNTG_22803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHPDMAAILGADPAAFESLISALMSSNNDQRSHAESLFNLCRDHHPDSLAFKLAQLLLSSAPVELRSMAAILLRKLLTRDADPSSSSYLWPRLSPQTQSSLKSALLSVIQREDSKSISKKVCDTISELASGLLDDDSWPEFLPFLFSAVAASDSPRLAESSLLIFSQLTQYIGHTLVPHLALLHSILLASLSHPTSADVRIAALNAAINLVQCLPSAQERDQFQDLLPAMIRTLTEALNTGQEATAQEALELLIELAGTEPRFLRKQLPDVVGSMLQIAEAPQLEEGTRHLAVEFVITLAEARERAPGMMRRLPQFVARLFSVLMKMLLDINDEPSWHGAETEDEDAGEMSSYSVGQESLDRLSIALGGNTIVPVASEQLPAYFSSPEWQKRHAALITLAQIAEGCCKVMIKNLEQVVNMVLTSFQDPHPRVRWAAINAIGQLSTDLGPDLQDQYHHRVLPALAGAMDDFQNSRVQAHAASAVLNFTENCTPEILAPYMDGIVSKLLVLLGSGKQMVQEGALTALASVADSSQEQFQKYYDAVMPYLKTILMNATDKSNRMLRAKSMECISLVGMAVGKDKFRDDAKQVMEVLMALQGSQLEADDPITSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSADSDDDFDASDDESIETITLGDKRIGIRTSVLEEKATACNMLCCYADELKEGFYPWISQVAPTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAVEKGLTPGHDDSCVKQLSDYIIPALVEALHKEPETEICVSMLDALNQCMQLSGPLLNEAQVRSIVDEIKHVITASSTRITERAERTKTEDFDTEEGEFLKEENEQEEEIFDRVGDCLGTLVKTFKTSFLPFFNEISIYITPMLGKDKTSNERRVVICIFDDVVEQCREAAHKYYDTYLPFLLEASSDGDADVRQAALYGIGVCAEFGGAMFRPLVGEALSKLNNVIRHPDAQHSDNLMAYDNAVSALGKICMHHRDGIDAAQVLPVWLNCLPIKGDLVEAKIVHDQLCTMVERSDRELLGPNNHYLPKIISIFAEVLCAGNELATEQTSSRMINLLRQLQQTLPPAVLASTWSTLHPQQQLALQSVLSM >DRNTG_14518.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000702.1:2163:7095:-1 gene:DRNTG_14518 transcript:DRNTG_14518.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRGAMSHPESSHGCPCTRKGIRELSRGPLRLPLWPQGHPQGHPSMRVKKVAYNKARRDPSHPPNEPKFKNEEHKTRYALLSRKGFGTIQRIDWDVLKLLGLDGIILELISHSGWDKLFSIEEPTYKELTLEVLSITEVGKHCPFTHQPSSISFRAFGKKHRVTEDHLGVLLGLYTKAYTLTPRLKDLSHDFPYPVPSEKYWASMTTCWKTRKVSQMLNPVHRYIHALLTRALLSVTPPYGTPCCGSPSTPKQFVRLGAIFAGPYITRMIRGMGLIERT >DRNTG_05984.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:24093998:24094671:-1 gene:DRNTG_05984 transcript:DRNTG_05984.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPSRAHDRFERLENAVGVVRAEILERDVVSSFVLRPRTPQAPSVPPAPPSSTPAQVDPPCASSPAAAAQEPEDGLVFLGIET >DRNTG_32070.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18907305:18916120:-1 gene:DRNTG_32070 transcript:DRNTG_32070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSHLLQRSPHLTQGTPRRIGADESSSNGSLQKETRCQRPSNLSKALPKPLQTLAAVASKDRESTPPKKYSRIHFSSRQHKQANVYAVDRVAFSINGFIGEDLVINAQVEIRKCDCPCAP >DRNTG_27395.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001396.1:77685:80617:1 gene:DRNTG_27395 transcript:DRNTG_27395.1 gene_biotype:protein_coding transcript_biotype:protein_coding RHSYTTKSNQHRVIKTPGGKLVYYSTKKMASGPKCPVTGKRIQC >DRNTG_17122.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12004635:12006274:-1 gene:DRNTG_17122 transcript:DRNTG_17122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKCHKKQMEAGSHSSSSRKNVGNMRWRPSESKFFFQFMATQVENGLKCDKTFKPQAIHATIRALRENFGKDCIESNMHNHLRTLKRKWAIIRRLREMSVVGWDEENKKIIMGEEECMTYLMSHPNEEPYINKPIEDYDLLEVICGNDHATGCFARDSIETPPTDDSVPNFSQDNFNIGLTPEDLGHGQYDNNYGFETPSPTPNSSQARTSQEAQTKKKGKKRDASVDSALAQTVGSKMDRLTLAIKEHNSVTLTTKLSET >DRNTG_12592.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20527654:20530181:-1 gene:DRNTG_12592 transcript:DRNTG_12592.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHLKIADFGWAVQSSSKRRTMCGTIDYLAPEMIENKEHDHAVDNWTLGVLCYEFLYGVPPFEEHDQNDTFRRIMKVDLNFPSTPRVSPEAKDLITKLLVKDSSKRLSLKKILEHPWIVKNADLEQHDSK >DRNTG_12592.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20527654:20528400:-1 gene:DRNTG_12592 transcript:DRNTG_12592.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCGTIDYLAPEMIENKEHDHAVDNWTLGVLCYEFLYGVPPFEEHDQNDTFRRIMKVDLNFPSTPRVSPEAKDLITKLLVKDSSKRLSLKKILEHPWIVKNADLEQHDSK >DRNTG_12592.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20527654:20528114:-1 gene:DRNTG_12592 transcript:DRNTG_12592.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDLNFPSTPRVSPEAKDLITKLLVKDSSKRLSLKKILEHPWIVKNADLEQHDSK >DRNTG_12592.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20527654:20530314:-1 gene:DRNTG_12592 transcript:DRNTG_12592.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKIISHEDPKEYWSLKDFDIGRLLGEGKFGKVYLAREKQSGYVVALKIIFKEKLDKYRYHSHLRREIEIQHSLSHPNVLRLFAWFHDETRIFLVLEYAAGHELYKLLKDLKYFSEQRAATYIASLARALAYCHEKHVIHRDIKPENLLLDMEGHLKIADFGWAVQSSSKRRTMCGTIDYLAPEMIENKEHDHAVDNWTLGVLCYEFLYGVPPFEEHDQNDTFRRIMKVDLNFPSTPRVSPEAKDLITKLLVKDSSKRLSLKKILEHPWIVKNADLEQHDSK >DRNTG_16206.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30862334:30865730:-1 gene:DRNTG_16206 transcript:DRNTG_16206.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVRNRFMDSFSSGNDFSSISHDNSPTQSMEDIEAEMKRLRLELKQTMDMYSTACKEALTAKQKAMELQRLKKEEEQRLEEARVAEEVALSLAEKERVKCKAAIEAAEASQRIAQLEAQKRIVAERKAQQEADERQRAMNALSNTDIRYRKYTIEEIEAATENFSEDRKIGEGGYGPVYKCNLDHTPVAVKVLRPDAAQGRSQFQQEVEILSCIRHPNMVLLLGACPEFGCLVYEYMANGSLEDRLFRRGGTPPIPWQHRFRIAAEIGTGLLFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPSVANSVTQYRMTSTAGTFCYIDPEYQQTGMLGIKSDIYSLGVMLLQIVTAKSPMGLTHYVDRAIEKGTFEEMLDPTVKDWPVDEAMNLCKLALKCAELRRKDRPDLANVVLPELDKLRNLAEANMNMLHAMPTSSPNISTSPPVQSQVSMQDSISSPLLRSGYDSSRSRPSISSAIGR >DRNTG_16206.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30862334:30864848:-1 gene:DRNTG_16206 transcript:DRNTG_16206.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVRNRFMDSFSSGNDFSSISHDNSPTQSMEDIEAEMKRLRLELKQTMDMYSTACKEALTAKQKAMELQRLKKEEEQRLEEARVAEEVALSLAEKERVKCKAAIEAAEASQRIAQLEAQKRIVAERKAQQEADERQRAMNALSNTDIRYRKYTIEEIEAATENFSEDRKIGEGGYGPVYKCNLDHTPVAVKVLRPDAAQGRSQFQQEVEILSCIRHPNMVLLLGACPEFGCLVYEYMANGSLEDRLFRRGGTPPIPWQHRFRIAAEIGTGLLFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPSVANSVTQYRMTSTAGTFCYIDPEYQQTGMLGIKSDIYSLGVMLLQIVTAKSPMGLTHYVDRAIEKGTFEEMLDPTVKDWPVDEAMNLCKLALKCAELRRKDRPDLANVVLPELDKLRNLAEANMNMLHAMPTSSPNISTSPPVQSQVSMQDSISSPLLRSGYDSSRSRPSISSAIGR >DRNTG_16206.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30862334:30870044:-1 gene:DRNTG_16206 transcript:DRNTG_16206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNNNDDDHHHDHTSALQSQGIEFLLCGENKVPALSCIEGKTVWLFFSANWSKPCRNFMPHLLQLYNNLNKQMEIIFVSFDRDESAFMDNFKHMPWLAIPFMNTHARRWLCSWYHIKQIPSLVPLSKTTTTTIATATDSEEDVVQLIKDYGVDAFPFDHNVKTRSIDQLIAMDKSKREEGRVQDLLGSKVRNYLISKDDSKVPISDLVGKTIGLYFGAHWCPPCREFTSIHLMEAYNELMASRQAKFEIVFISSDKDEDGYKKSRSEMAWLAVPYHERSRHDLSRIYQVKKIPSLIILGPDGKVVSTDGRAAVTCYGAMTFPFTATRIAELEMGIRKEREGLVPEMKDWRHEHVLKLDMAVAYVCDGCGQRGRNCVFSCYQCDFDLHPACAEENTRPTKKALHPRILLIRIGKPSFHLSSLGSTLSLSLFSPSSESLSELERREEEREGKRKRDRHLRKREGKKEMLPRDEVYGNHYHVAVAIDKDKNSQNALKWAVDNLLRRGQTVTLLHVLTKPISNQEDAVATVAKELFLPFRCFCTRKDVQCKDVVLEDTDVVKAIAEFVAHHAIEKLVIGATSRGGFVRFKSTDLPANISKSVPDFCSVYVVSKGKLSSTRNAIRPAPNITSRAAPSPQPQRPPTSVKSDIVPQPRSRANVALDQSRNLTEEREGRNRTRSPFSRGVQSSSSRSSGEFSITESDISFVSSSDGRSSVDRLFPGRLSNVSEGFNMTDVRNRFMDSFSSGNDFSSISHDNSPTQSMEDIEAEMKRLRLELKQTMDMYSTACKEALTAKQKAMELQRLKKEEEQRLEEARVAEEVALSLAEKERVKCKAAIEAAEASQRIAQLEAQKRIVAERKAQQEADERQRAMNALSNTDIRYRKYTIEEIEAATENFSEDRKIGEGGYGPVYKCNLDHTPVAVKVLRPDAAQGRSQFQQEVEILSCIRHPNMVLLLGACPEFGCLVYEYMANGSLEDRLFRRGGTPPIPWQHRFRIAAEIGTGLLFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPSVANSVTQYRMTSTAGTFCYIDPEYQQTGMLGIKSDIYSLGVMLLQIVTAKSPMGLTHYVDRAIEKGTFEEMLDPTVKDWPVDEAMNLCKLALKCAELRRKDRPDLANVVLPELDKLRNLAEANMNMLHAMPTSSPNISTSPPVQSQVSMQDSISSPLLRSGYDSSRSRPSISSAIGR >DRNTG_16206.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30866978:30870044:-1 gene:DRNTG_16206 transcript:DRNTG_16206.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNNNDDDHHHDHTSALQSQGIEFLLCGENKVPALSCIEGKTVWLFFSANWSKPCRNFMPHLLQLYNNLNKQMEIIFVSFDRDESAFMDNFKHMPWLAIPFMNTHARRWLCSWYHIKQIPSLVPLSKTTTTTIATATDSEEDVVQLIKDYGVDAFPFDHNVKTRSIDQLIAMDKSKREEGRVQDLLGSKVRNYLISKDDSKVPISDLVGKTIGLYFGAHWCPPCREFTSIHLMEAYNELMASRQAKFEIVFISSDKDEDGYKKSRSEMAWLAVPYHERSRHDLSRIYQVKKIPSLIILGPDGKVVSTDGRAAVTCYGAMTFPFTATRIAELEMGIRKEREGLVPEMKDWRHEHVLKLDMAVAYVCDGCGQRGRNCVFSCYQCDFDLHPACAEENTRNLPKTIELIE >DRNTG_16206.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30862334:30865313:-1 gene:DRNTG_16206 transcript:DRNTG_16206.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVRNRFMDSFSSGNDFSSISHDNSPTQSMEDIEAEMKRLRLELKQTMDMYSTACKEALTAKQKAMELQRLKKEEEQRLEEARVAEEVALSLAEKERVKCKAAIEAAEASQRIAQLEAQKRIVAERKAQQEADERQRAMNALSNTDIRYRKYTIEEIEAATENFSEDRKIGEGGYGPVYKCNLDHTPVAVKVLRPDAAQGRSQFQQEVEILSCIRHPNMVLLLGACPEFGCLVYEYMANGSLEDRLFRRGGTPPIPWQHRFRIAAEIGTGLLFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPSVANSVTQYRMTSTAGTFCYIDPEYQQTGMLGIKSDIYSLGVMLLQIVTAKSPMGLTHYVDRAIEKGTFEEMLDPTVKDWPVDEAMNLCKLALKCAELRRKDRPDLANVVLPELDKLRNLAEANMNMLHAMPTSSPNISTSPPVQSQVSMQDSISSPLLRSGYDSSRSRPSISSAIGR >DRNTG_24561.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25939332:25939753:1 gene:DRNTG_24561 transcript:DRNTG_24561.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:High affinity nitrate transporter 2.5 [Source:Projected from Arabidopsis thaliana (AT1G12940) UniProtKB/Swiss-Prot;Acc:Q9LPV5] MTGGGGNVGAVVTQLLFFKSSKYSKETGITLMGVMILCCTLPIMLIYFPQWGGMFCGPSTKENATEEAYYSSEWSSKEKEKGFHLASLKFAINSKNERGRSRKNSLPTFPVDGMATPV >DRNTG_24561.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25937917:25939753:1 gene:DRNTG_24561 transcript:DRNTG_24561.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:High affinity nitrate transporter 2.5 [Source:Projected from Arabidopsis thaliana (AT1G12940) UniProtKB/Swiss-Prot;Acc:Q9LPV5] MGSACDLVGPRLASASLILLTTPAVFCTSIINSPSTYLIVRFFTGFSLASFVSTQFWMSSMFSAPKVGVANGIAGGWGNLGGGATQLLMPLLYSLVRHMGSTNFTAWRISFFIPGVIQTFSAIAVLAFGQDLPDGNFSVLKKSGEKVKDGFGSVFQHGVENYRGWILALTYGYCFGVELTVDNIIAEYFYDRFDLNLKTAGLIAASFGLANIISRPGGGWLSDILAAKYGMRGRLWGLWVVQTIGGLLCVLLGRMNSLTPSIIVMILFSFFCQAACGLTFGVVPFISRRSLGLISGMTGGGGNVGAVVTQLLFFKSSKYSKETGITLMGVMILCCTLPIMLIYFPQWGGMFCGPSTKENATEEAYYSSEWSSKEKEKGFHLASLKFAINSKNERGRSRKNSLPTFPVDGMATPV >DRNTG_03244.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000148.1:7522:9924:-1 gene:DRNTG_03244 transcript:DRNTG_03244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYFPVIKSYRAPELLLNSSGYTAAIDVWSVGCIFMELMERKPLFPGKDIVHQMCLLLELIGTPKEDDLGFLDEVGRRSIRRLPCYARQSFAEKFPQMHHTAIDLVEKMLAFNPSQRITVEDALAHPYFASLHDTSDEPVCMKPFSFDFEKHVLTGEHVKELIYREALALNPEYQT >DRNTG_05932.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5412036:5414599:-1 gene:DRNTG_05932 transcript:DRNTG_05932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVKGKKRGTSGSGDGLKVLGEARDVDPRCVNASNPFHKCADYCSQNMSDSFKGSPRFLTIEKGKEVANMGQGDQRKVDPRCVNASNPFHECADYCLHKTNSSEQRTHQVVLMNGSKDGVKMSMRSDVHPNCVNANNPFHKCADYCSQRINQAV >DRNTG_15745.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20394588:20399872:-1 gene:DRNTG_15745 transcript:DRNTG_15745.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKVSSTGEEDSQVVNPWEVTAKDGDKIDYDKLIEQFGCQRLGADLVQRVERLTGRPAHVFLRRGVFFAHRDFDEILDLYERGEKFYLYTGRGPSSEALHFGHLIPFMFTKYLQDAFKVPLVIQLTDDEKCMWKNISIEESKRLARENARDIIACGFDVTRTFIFSDFNYLGGKFYENMVKVANCVTFNKVVGIFGFTREDHIGKISFPPVQVLLPHAMLPLHVSFGLLIFGFR >DRNTG_15745.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20394588:20399872:-1 gene:DRNTG_15745 transcript:DRNTG_15745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKVSSTGEEDSQVVNPWEVTAKDGDKIDYDKLIEQFGCQRLGADLVQRVERLTGRPAHVFLRRGVFFAHRDFDEILDLYERGEKFYLYTGRGPSSEALHFGHLIPFMFTKYLQDAFKVPLVIQLTDDEKCMWKNISIEESKRLARENARDIIACGFDVTRTFIFSDFNYLGGKFYENMVKVANCVTFNKVVGIFGFTREDHIGKISFPPVQAVPSFPSSFPHLFADKNNKVNDNLRCLIPCAIDQDPYFRMTRDVAPRIGYQKPSLIESTFFPALQGENGKMSASDPNSAIYVTDSPKDIKNKVNRYAFSGGQDSIEKHRKLGANLEVDIPVKYLSFFLDDDAELEHIKEEYGSGRMLTGQVKARLIEVLSELVERHRRGRALVTDEMVDAFMAVRPLPFMFS >DRNTG_10034.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2894711:2908028:1 gene:DRNTG_10034 transcript:DRNTG_10034.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROOT GROWTH DEFECTIVE 3 [Source:Projected from Arabidopsis thaliana (AT3G54280) UniProtKB/TrEMBL;Acc:F4JCU6] MVLIAWFKELKNRDPSILHDIVLKFLDHVREWLLSLLACSDPACPTKDSNLPYAELQRTYGKMRTEAGALLHLLSLSDASKNLISGVQLETLSVDGCISLAAKLPPIDLASAGANEKQLLDEIESSKQRVLSTSGYLKCVQNNLHITVSALIAAAVVWMSELPAKLNPIILPLMAAIKREQEEILQKLAAEALAELIFQCIDRKPSPNDKLIKNICSLTCTDSSETPQVAVLDSVDVIADQDLLSFGRVVSSQKTKGQVLSSSEDRAKSEGYISRRGSELALKHLCQKFGSSLFAKLPKLWDCLTEILKPSNAEAHLPDDSQQMPELFDIPKDVDPQALINNIQVVRSIVPLVDVELQARLLSLLPSILGLVCHCHVAVRLAASRCILSMAKSITTSVMGAVIEKAIPLLRDSSSVHARQGAGMLVSLLVQGLGVELVPYAPLLVVPLLRCMSDVDHTVRQSVTHSFAALVPLLPLAKGHPPPSGLSESLSKNEEDAKFLEQLLDNSHIDDYKLPINLKVELRRYQQEGINWLSFLQRFKLHGILCDDMGLGKTLQASAIVASNIAEQRARNNGKDLLSLIICPSTLVGHWAYEIEKYIDDSLMITLQYVGSIQERMSIREQFDKCNVVITSYDIVRKDIDHLGKISWDYCILDEGHIIKSSRSKITNAVKQLKAEHRLILSGTPIQNNVLELWSLFDFLMPGFLGTERQFQSTYGKPLLAAKDAKCSSKDAEAGVLAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCDLSPVQLKLYEQFSGSDAKKEISTFVKTSETPDAAGVNAPKKATAHVFQALQYLLKLCGHPLLAIGEKPIGSVLDHLSEVIPGCPDIITELHGLHHSPKLVALKEILEECGIGLHSSNPDSHITVGQHRVLIFAQHKLLLDIIEKDLFQAHMKSITYLRLDGSVESEKRFEIVKAFNSDPTIDVLLLTTHVGGLGLNLTSADTLIFMEHDWNPMKDLQAMDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQKFKVTVANAVINAENASLKTMNTDQLLDLFSSTPATRKGGNLSSSSNGNLDGDAKPAAGGKGMNAILNSLGELWDQSQYENEYDLNQFIAKLNG >DRNTG_10034.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2891260:2908028:1 gene:DRNTG_10034 transcript:DRNTG_10034.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROOT GROWTH DEFECTIVE 3 [Source:Projected from Arabidopsis thaliana (AT3G54280) UniProtKB/TrEMBL;Acc:F4JCU6] MADAGFPDASKDMGMLWSNFTPNVVAGLSFRSFDINKVLEFGSPLLASGGQEYDVASDSSKNPAERLHLQKQNLRRRLGLDVCEQFMDVSDVIRDEDLVTQRGPYAANGVLHGYYGPQSRQNIHQLVAKMAPSYVRRRLSARELNILKRKAKVNAKDHPSSMAPSGASSDTQGVNKVIMDDFVDEDSNEHDGSGAWPFSNFVEQLIHDIFDAVWEVRHGAIMALREILTHQGSCAAVFMPDLSSDLSWLIDPNHENSSNSVKRDRAIDLNLEMEECEPDLKRHKSEDEPSLNDSEISPLAISNSGVGNVKVEQDLCMNGSNLQGQDLEDSTRPKSLSQDIIFNSNANILTSFPEGSKVMKLIKIGRHSWMKNWEFLQDCAIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGAVLNYMHPSLVNKTLTALLQMQYRQEWEIRHGSLLGIKYLVAVRREMLEELLGKVLPACEAGLQDPDDDVRAVAAEALIPAAAAIVSLKRQSLQSIMMLLWDTLLDLDDLSPSTSSVMNLLAEIYSQPEMVPEMLGTLTSVEKQEFDLNKTSQVDEHGYGIKYEENPYILSALTPRLWPFMRHSITSVRHSAVRTLERLLDVGCQKCFSEQVSTSFWPTSILGDALRIVFQNLLLESNEDILLSSERVWRLLLQGSAQDLEDVARSYFSFLIQLATTPYGSALDTTKMFWPIALPRKSHHRAAAKLRAVKYEAEYVRNSVFNSANETGAQDKNTDLPTNCQKIIVGADNEKSVTHTRMVTAAALGVFISKLPEASLLVVIDPLWDNLISSSGVRRQVASMVLIAWFKELKNRDPSILHDIVLKFLDHVREWLLSLLACSDPACPTKDSNLPYAELQRTYGKMRTEAGALLHLLSLSDASKNLISGVQLETLSVDGCISLAAKLPPIDLASAGANEKQLLDEIESSKQRVLSTSGYLKCVQNNLHITVSALIAAAVVWMSELPAKLNPIILPLMAAIKREQEEILQKLAAEALAELIFQCIDRKPSPNDKLIKNICSLTCTDSSETPQVAVLDSVDVIADQDLLSFGRVVSSQKTKGQVLSSSEDRAKSEGYISRRGSELALKHLCQKFGSSLFAKLPKLWDCLTEILKPSNAEAHLPDDSQQMPELFDIPKDVDPQALINNIQVVRSIVPLVDVELQARLLSLLPSILGLVCHCHVAVRLAASRCILSMAKSITTSVMGAVIEKAIPLLRDSSSVHARQGAGMLVSLLVQGLGVELVPYAPLLVVPLLRCMSDVDHTVRQSVTHSFAALVPLLPLAKGHPPPSGLSESLSKNEEDAKFLEQLLDNSHIDDYKLPINLKVELRRYQQEGINWLSFLQRFKLHGILCDDMGLGKTLQASAIVASNIAEQRARNNGKDLLSLIICPSTLVGHWAYEIEKYIDDSLMITLQYVGSIQERMSIREQFDKCNVVITSYDIVRKDIDHLGKISWDYCILDEGHIIKSSRSKITNAVKQLKAEHRLILSGTPIQNNVLELWSLFDFLMPGFLGTERQFQSTYGKPLLAAKDAKCSSKDAEAGVLAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCDLSPVQLKLYEQFSGSDAKKEISTFVKTSETPDAAGVNAPKKATAHVFQALQYLLKLCGHPLLAIGEKPIGSVLDHLSEVIPGCPDIITELHGLHHSPKLVALKEILEECGIGLHSSNPDSHITVGQHRVLIFAQHKLLLDIIEKDLFQAHMKSITYLRLDGSVESEKRFEIVKAFNSDPTIDVLLLTTHVGGLGLNLTSADTLIFMEHDWNPMKDLQAMDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQKFKVTVANAVINAENASLKTMNTDQLLDLFSSTPATRKGGNLSSSSNGNLDGDAKPAAGGKGMNAILNSLGELWDQSQYENEYDLNQFIAKLNG >DRNTG_19087.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:80722:81279:-1 gene:DRNTG_19087 transcript:DRNTG_19087.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTPNQVAQEEKSMASHEQLPSFS >DRNTG_21036.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:184183:186333:1 gene:DRNTG_21036 transcript:DRNTG_21036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEEIGCLVRRKTSPEKNKKMGRFGQDKERRREEEKKKKKCFKGKFSGCYSTRPLSNQASTGTSRYRTGLLQDQAATVTG >DRNTG_32095.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22284113:22286688:-1 gene:DRNTG_32095 transcript:DRNTG_32095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKFLGDEDPTDGNLAGIAFGDVWQSVRGPIMVPALQTAVYVCALMSIILFLGRLYLACVLFYVKIMRGKKYTKYKVEAVMAELQRVKEHPKVLVQIPMYNEKEVYRLSIGAICSLSWPVDRFVVQVLDDSTDRTTREVVELECRKWENKGIDIKYESRNNRNGYKAGALRDGLRRHYADQCEFVAIFDADFQPDPDFLERTIPYLLFNPKLALVQARWKFVNADECLMTRLQEMSLDYHFSVEQEVGSSTHSFFGFNGTAGVWRMDALRDAGGWKDRTTVEDMDLAVRASLRGWEFLYLGDLTVKNELPSTYKAYRYQQHRWSCGPANLFRKMFMEILYSERVTRWKKFHVLYDFFFVRKILAHFVTFFFYCVVIPLCVLYPEVHLPKYVAIYLPATITILNAISTPRSSHLLIFWILFENAMSVHRAKAAIIGLLEADRAHEWVVTEKLGNSAKQKNIVKSTRKPRSGIRERFHVLELIVGLFLLYSAIYDMIHGQYHLYIYLFLQAGAFFIMGFGYIGTVTNN >DRNTG_24398.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2678729:2679046:-1 gene:DRNTG_24398 transcript:DRNTG_24398.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRETYKHISKSFIVINIGNNDILVYNGVGFSSIILNGTPPDQYVDQLISSLQPLLKNIYNLGGRKFVIIGAGAQGCL >DRNTG_09018.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31217431:31217961:1 gene:DRNTG_09018 transcript:DRNTG_09018.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFLPAIDIFISPPSCSCPCGTYSSPPSISFPLRIPYKTFGFPSKDGSSSTDHQYDDRRGGAPALG >DRNTG_09018.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31217431:31222365:1 gene:DRNTG_09018 transcript:DRNTG_09018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEEEVPLLLDNVEGNSKPRRVALFVEPSPFAYVSGYKNRFQNFIKNLREMGDEVIVITTHEGVPQEFFGAKLIGSRSFPCPWYKKVPLSLALSPRIISEVANFKPDIIHASSPGIMVFGALIIAKLLCVPLVMSYHTHVPIYIPRYTFSWLVKPMWMIIKFLHRAADLTLVPSAAISRDLVAAHVTAANKIRVWNKGVDSESFHPGYRNQEMRVRLSGGEPEKPLLIHVGRLGVEKSLDFLKMVMDRLPGVRIAFIGDGPYRDCLEKMFAGMPAVFTGMLQGEELSKAYASGDIFVMPSESETLGLVVLEAMSSGIPVVAARAGGIPDIIPEDQEGKTSFLYTPGDLDDCVGKLKLLLSCKEFSKAMGKAAREEMEKYDWRAATQKIRSEQYNAAIWFWRQERGKLIQPIQWLVRRFFQSSDVTCD >DRNTG_19218.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000990.1:82467:83316:-1 gene:DRNTG_19218 transcript:DRNTG_19218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFSNALEMIKELSTPHALQYLEPIWSRVDEQLQKLQYSLLLIQPLIEDAEARQLTDKAARRWLVRLKDAVYDAEDILDEAKTHELLIQRKAQLYSHPRSKVREFFSLDHNPLLFKLQLVKKLRNVNERINELIEEMDKFKLRVVENNSKPLWNRPQTYSYVHESRVFLGRDEDKEKLVQMLICDSFDEKVAVVSIVGMGGLGKTTLAQLIYNDEEVQKHFELHIWVCVSDDFDVPKLAGKIIHTASGEKCDHTNMEVLQQRLRKEL >DRNTG_15338.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13831671:13833059:1 gene:DRNTG_15338 transcript:DRNTG_15338.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKRITCVLKDAEKKKIQDDTVKLWVDELKDLMYDADDIIDLCMIQGTGLLQDDHHHSLAESSATASTRVCWHFPFLSLCAQCAISYEIADKIKRLNDRLTEISEDKDKFNFITSSTSNDAYVMNEASYLHSSFLPESDIVGWDIRDATKSLVDLLAYQHEQKCRLFAIIGMGGIGKTTLAQLIYNDSKINDDFVLHSWICVSKFYTSRSDLLKELIRNVGGTCGESTTVAELQKILCDVLHRKSLFLVLDDVWEGDVWLNLIKNPVESATTKCRVLVTTRDRNTAVKMGAIHIHNVNKLPLNFGWELLCKKVFTNNGESQRDIQRMKEIGMRIVEKCDGLPVAIKAIAGVLVTKDLDKREWEDVLNNNAWTITGLPEELRGALYLSYESLPSALKHCFLYCFLIPYDREFYLEELVPEWIAEGFIEDSGNVSMEDVAKGYYRELIWRSFLQ >DRNTG_15338.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13833133:13837223:1 gene:DRNTG_15338 transcript:DRNTG_15338.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRHLTISSNRESVSIPHLDCLRRLWLYTPPSLDTQVIGGLKHLRVLTLCGDKIENIPDSIGDLLHLRLLNLGGTKICKLPDSLGNLINLQFLLLSDCESLYILPRSITRLCNLRRLNLKYTL >DRNTG_15338.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13831671:13833059:1 gene:DRNTG_15338 transcript:DRNTG_15338.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLAQLIYNDSKINDDFVLHSWICVSKFYTSRSDLLKELIRNVGGTCGESTTVAELQKILCDVLHRKSLFLVLDDVWEGDVWLNLIKNPVESATTKCRVLVTTRDRNTAVKMGAIHIHNVNKLPLNFGWELLCKKVFTNNGESQRDIQRMKEIGMRIVEKCDGLPVAIKAIAGVLVTKDLDKREWEDVLNNNAWTITGLPEELRGALYLSYESLPSALKHCFLYCFLIPYDREFYLEELVPEWIAEGFIEDSGNVSMEDVAKGYYRELIWRSFLQ >DRNTG_15338.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13831977:13833556:1 gene:DRNTG_15338 transcript:DRNTG_15338.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNEASYLHSSFLPESDIVGWDIRDATKSLVDLLAYQHEQKCRLFAIIGMGGIGKTTLAQLIYNDSKINDDFVLHSWICVSKFYTSRSDLLKELIRNVGGTCGESTTVAELQKILCDVLHRKSLFLVLDDVWEGDVWLNLIKNPVESATTKCRVLVTTRDRNTAVKMGAIHIHNVNKLPLNFGWELLCKKVFTNNGESQRDIQRMKEIGMRIVEKCDGLPVAIKAIAGVLVTKDLDKREWEDVLNNNAWTITGLPEELRGALYLSYESLPSALKHCFLYCFLIPYDREFYLEELVPEWIAEGFIEDSGNVSMEDVAKGYYRELIWRSFLQPSPYEAQIK >DRNTG_15338.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13833133:13837223:1 gene:DRNTG_15338 transcript:DRNTG_15338.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRHLTISSNRESVSIPHLDCLRRLWLYTPPSLDTQVIGGLKHLRVLTLCGDKIENIPDSIGDLLHLRLLNLGGTKICKLPDSLGNLINLQFLLLSDCESLYILPRSITRLCNLRRLNLKYTL >DRNTG_15338.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13833133:13837223:1 gene:DRNTG_15338 transcript:DRNTG_15338.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRHLTISSNRESVSIPHLDCLRRLWLYTPPSLDTQVIGGLKHLRVLTLCGDKIENIPDSIGDLLHLRLLNLGGTKICKLPDSLGNLINLQFLLLSDCESLYILPRSITRLCNLRRLNLKYTL >DRNTG_15338.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13831977:13833059:1 gene:DRNTG_15338 transcript:DRNTG_15338.8 gene_biotype:protein_coding transcript_biotype:protein_coding MNEASYLHSSFLPESDIVGWDIRDATKSLVDLLAYQHEQKCRLFAIIGMGGIGKTTLAQLIYNDSKINDDFVLHSWICVSKFYTSRSDLLKELIRNVGGTCGESTTVAELQKILCDVLHRKSLFLVLDDVWEGDVWLNLIKNPVESATTKCRVLVTTRDRNTAVKMGAIHIHNVNKLPLNFGWELLCKKVFTNNGESQRDIQRMKEIGMRIVEKCDGLPVAIKAIAGVLVTKDLDKREWEDVLNNNAWTITGLPEELRGALYLSYESLPSALKHCFLYCFLIPYDREFYLEELVPEWIAEGFIEDSGNVSMEDVAKGYYRELIWRSFLQ >DRNTG_15338.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13833133:13833556:1 gene:DRNTG_15338 transcript:DRNTG_15338.9 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRHLTISSNRESVSIPHLDCLRRLWLYTPPSLDTQVIGGLKHLRVLTLCGDKIENIPDSIGDLLHLRLLNLGGTKICKLPDSLGNLINLQFLLLSDCESLYILPRSITRLCNLRRLNLK >DRNTG_15338.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13833133:13837223:1 gene:DRNTG_15338 transcript:DRNTG_15338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRHLTISSNRESVSIPHLDCLRRLWLYTPPSLDTQVIGGLKHLRVLTLCGDKIENIPDSIGDLLHLRLLNLGGTKICKLPDSLGNLINLQFLLLSDCESLYILPRSITRLCNLRRLNLKYTL >DRNTG_05186.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22588205:22605086:-1 gene:DRNTG_05186 transcript:DRNTG_05186.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription activators [Source:Projected from Arabidopsis thaliana (AT5G18410) TAIR;Acc:AT5G18410] MNQIVILKSMEGADGEWSRDVKGNMYDVVVDGFQLLSKWTGKVWEQCAWKFARPCKDLTHIDTNKSTASYFDYEKVVRWNYSFDERKALLELISYIKGIGSMMQRCDALVADALWETIHVEVQDFVQDKLDVMLRSTFRKKKDLSRILSDMRTLSADWMANTNKAEPELHSMYPESGEAKTNTFYPRPVAPTAAQVHCLQFLICELVSGGNLRKPGGLFGNSGTGIPIDDLKQLETFFYKLSFFLHILDYTATIGTLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVDHVIESRDAGLIESILMPFDIYNDSAQHALVALKQRFLYDEIEAEVDLCFDLLVLKLSEIIFSYYKSCAACELLDKAFIAASDDSEKYSVQPIRFNEILKLRRVKLLGRTIDLRSLITQRMNKLFRENIDFLFDRFENQDLCAIVELQQLLEVLKLTHKLLMRNLELDSFSLMLNEMQENLSLVSFSSRLVTQIWTEMQNDFLPNFILCNTTQRFVRSPKGANHTTQKASLPNSKVYYYCGNQDLNLAYQNLAELYHEFFGIPHMFAIVQLIGSRSLPLIIRALLDHISNKITTIAPKISGLQEALPKAIGLLPFDGGVSGCHRSIHEQLTWGMKTELKTEALHNLKEIGSAIYFMGLLDIVLRKSDTTQFIQTAPWLGFITGSDGQAKQVHGAEGSPIVHLLRTATATIVSNPTCPNPSSFLTMSKQAEAAELLYKTEPQNWKCPRIRSCLHKCSIG >DRNTG_05186.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22588205:22601727:-1 gene:DRNTG_05186 transcript:DRNTG_05186.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription activators [Source:Projected from Arabidopsis thaliana (AT5G18410) TAIR;Acc:AT5G18410] MNQIVILKSMEGADGEWSRDVKGNMYDVVVDGFQLLSKWTGKVWEQCAWKFARPCKDLTHIDTNKSTASYFDYEKVVRWNYSFDERKALLELISYIKGIGSMMQRCDALVADALWETIHVEVQDFVQDKLDVMLRSTFRKKKDLSRILSDMRTLSADWMANTNKAEPELHSMYPESGEAKTNTFYPRPVAPTAAQVHCLQFLICELVSGGNLRKPGGLFGNSGTGIPIDDLKQLETFFYKLSFFLHILDYTATIGTLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVDHVIESRDAGLIESILMPFDIYNDSAQHALVALKQRFLYDEIEAEVDLCFDLLVLKLSEIIFSYYKSCAACELLDKAFIAASDDSEKYSVQPIRFNEILKLRRVKLLGRTIDLRSLITQRMNKLFRENIDFLFDRFENQDLCAIVELQQLLEVLKLTHKLLMRNLELDSFSLMLNEMQENLSLVSFSSRLVTQIWTEMQNDFLPNFILCNTTQRFVRSPKGANHTTQKASLPNSKVYYYCGNQDLNLAYQNLAELYHEFFGIPHMFAIVQLIGSRSLPLIIRALLDHISNKITTIAPKISGLQEALPKAIGLLPFDGGVSGCHRSIHEQLTWGMKTELKTEALHNLKEIGSAIYFMGLLDIVLRKSDTTQFIQTAPWLGFITGSDGQAKQVHGAEGSPIVHLLRTATATIVSNPTCPNPSSFLTMSKQAEAAELLYKTEPQNWKCPRIRSCLHKCSIG >DRNTG_05186.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22588205:22605086:-1 gene:DRNTG_05186 transcript:DRNTG_05186.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription activators [Source:Projected from Arabidopsis thaliana (AT5G18410) TAIR;Acc:AT5G18410] MNQIVILKSMEGADGEWSRDVKGNMYDVVVDGFQLLSKWTGKVWEQCAWKFARPCKDLTHIDTNKSTASYFDYEKVVRWNYSFDERKALLELISYIKGIGSMMQRCDALVADALWETIHVEVQDFVQDKLDVMLRSTFRKKKDLSRILSDMRTLSADWMANTNKAEPELHSMYPESGEAKTNTFYPRPVAPTAAQVHCLQFLICELVSGGNLRKPGGLFGNSGTGIPIDDLKQLETFFYKLSFFLHILDYTATIGTLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVDHVIESRDAGLIESILMPFDIYNDSAQHALVALKQRFLYDEIEAEVDLCFDLLVLKLSEIIFSYYKSCAACELLDKAFIAASDDSEKYSVQPIRFNEILKLRRVKLLGRTIDLRSLITQRMNKLFRENIDFLFDRFENQDLCAIVELQQLLEVLKLTHKLLMRNLELDSFSLMLNEMQENLSLVSFSSRLVTQIWTEMQNDFLPNFILCNTTQRFVRSPKGANHTTQKASLPNSKVYYYCGNQDLNLAYQNLAELYHEFFGIPHMFAIVQLIGSRSLPLIIRALLDHISNKITTIAPKISGLQEALPKAIGLLPFDGGVSGCHRSIHEQLTWGMKTELKTEALHNLKEIGSAIYFMGLLDIVLRKSDTTQFIQTAPWLGFITGSDGQAKQVHGAEGSPIVHLLRTATATIVSNPTCPNPSSFLTMSKQAEAAELLYKTEPQNWKCPRIRSCLHKCSIG >DRNTG_05186.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22588205:22605086:-1 gene:DRNTG_05186 transcript:DRNTG_05186.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription activators [Source:Projected from Arabidopsis thaliana (AT5G18410) TAIR;Acc:AT5G18410] MNQIVILKSMEGADGEWSRDVKGNMYDVVVDGFQLLSKWTGKVWEQCAWKFARPCKDLTHIDTNKSTASYFDYEKVVRWNYSFDERKALLELISYIKGIGSMMQRCDALVADALWETIHVEVQDFVQDKLDVMLRSTFRKKKDLSRILSDMRTLSADWMANTNKAEPELHSMYPESGEAKTNTFYPRPVAPTAAQVHCLQFLICELVSGGNLRKPGGLFGNSGTGIPIDDLKQLETFFYKLSFFLHILDYTATIGTLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVDHVIESRDAGLIESILMPFDIYNDSAQHALVALKQRFLYDEIEAEVDLCFDLLVLKLSEIIFSYYKSCAACELLDKAFIAASDDSEKYSVQPIRFNEILKLRRVKLLGRTIDLRSLITQRMNKLFRENIDFLFDRFENQDLCAIVELQQLLEVLKLTHKLLMRNLELDSFSLMLNEMQENLSLVSFSSRLVTQIWTEMQNDFLPNFILCNTTQRFVRSPKGANHTTQKASLPNSKVYYYCGNQDLNLAYQNLAELYHEFFGIPHMFAIVQLIGSRSLPLIIRALLDHISNKITTIAPKISGLQEALPKAIGLLPFDGGVSGCHRSIHEQLTWGMKTELKTEALHNLKEIGSAIYFMGLLDIVLRKSDTTQFIQTAPWLGFITGSDGQAKQVHGAEGSPIVHLLRTATATIVSNPTCPNPSSFLTMSKQAEAAA >DRNTG_05186.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22588205:22605086:-1 gene:DRNTG_05186 transcript:DRNTG_05186.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription activators [Source:Projected from Arabidopsis thaliana (AT5G18410) TAIR;Acc:AT5G18410] MAIPVEEAIAALSTFSLEDEQPDVQGLSVSLSCERYATNSPIEYGDVSAYRLSLTEDTKAINQLNTLIQEGKEMASVLYTYRSCVKALPQLPDSMKHSQADLYLETYQVLDLEMSRLREIQRWQASAASKLAADMQRFSRPERLVNGPTITHYWSMLRLLDVLVQLDHLKNAKASIPNDFSWYKRTFTQVSAQWQETDSMREELDDLQIFLSTRWAILLNLHAEMFRVNTVEDILHVLIVFCVESLELDFALLYPERHALLRVLPVLVVLATSSEKDSESLYKRVKINRLINIFKNDPVIPAFPDLHLSPASILKELSMYFPNFSSQTRLLTLPAPHEIPPREMQEYQRNYLVINHIGAIRAEHDEFAIRFASAMNQIVILKSMEGADGEWSRDVKGNMYDVVVDGFQLLSKWTGKVWEQCAWKFARPCKDLTHIDTNKSTASYFDYEKVVRWNYSFDERKALLELISYIKGIGSMMQRCDALVADALWETIHVEVQDFVQDKLDVMLRSTFRKKKDLSRILSDMRTLSADWMANTNKAEPELHSMYPESGEAKTNTFYPRPVAPTAAQVHCLQFLICELVSGGNLRKPGGLFGNSGTGIPIDDLKQLETFFYKLSFFLHILDYTATIGTLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVDHVIESRDAGLIESILMPFDIYNDSAQHALVALKQRFLYDEIEAEVDLCFDLLVLKLSEIIFSYYKSCAACELLDKAFIAASDDSEKYSVQPIRFNEILKLRRVKLLGRTIDLRSLITQRMNKLFRENIDFLFDRFENQDLCAIVELQQLLEVLKLTHKLLMRNLELDSFSLMLNEMQENLSLVSFSSRLVTQIWTEMQNDFLPNFILCNTTQRFVRSPKGANHTTQKASLPNSKVYYYCGNQDLNLAYQNLAELYHEFFGIPHMFAIVQLIGSRSLPLIIRALLDHISNKITTIAPKISGLQEALPKAIGLLPFDGGVSGCHRSIHEQLTWGMKTELKTEALHNLKEIGSAIYFMGLLDIVLRKSDTTQFIQTAPWLGFITGSDGQAKQVHGAEGSPIVHLLRTATATIVSNPTCPNPSSFLTMSKQAEAAA >DRNTG_05186.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22588205:22601727:-1 gene:DRNTG_05186 transcript:DRNTG_05186.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription activators [Source:Projected from Arabidopsis thaliana (AT5G18410) TAIR;Acc:AT5G18410] MNQIVILKSMEGADGEWSRDVKGNMYDVVVDGFQLLSKWTGKVWEQCAWKFARPCKDLTHIDTNKSTASYFDYEKVVRWNYSFDERKALLELISYIKGIGSMMQRCDALVADALWETIHVEVQDFVQDKLDVMLRSTFRKKKDLSRILSDMRTLSADWMANTNKAEPELHSMYPESGEAKTNTFYPRPVAPTAAQVHCLQFLICELVSGGNLRKPGGLFGNSGTGIPIDDLKQLETFFYKLSFFLHILDYTATIGTLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVDHVIESRDAGLIESILMPFDIYNDSAQHALVALKQRFLYDEIEAEVDLCFDLLVLKLSEIIFSYYKSCAACELLDKAFIAASDDSEKYSVQPIRFNEILKLRRVKLLGRTIDLRSLITQRMNKLFRENIDFLFDRFENQDLCAIVELQQLLEVLKLTHKLLMRNLELDSFSLMLNEMQENLSLVSFSSRLVTQIWTEMQNDFLPNFILCNTTQRFVRSPKGANHTTQKASLPNSKVYYYCGNQDLNLAYQNLAELYHEFFGIPHMFAIVQLIGSRSLPLIIRALLDHISNKITTIAPKISGLQEALPKAIGLLPFDGGVSGCHRSIHEQLTWGMKTELKTEALHNLKEIGSAIYFMGLLDIVLRKSDTTQFIQTAPWLGFITGSDGQAKQVHGAEGSPIVHLLRTATATIVSNPTCPNPSSFLTMSKQAEAAA >DRNTG_28136.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24009487:24014065:1 gene:DRNTG_28136 transcript:DRNTG_28136.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQETLESHLEASRTLEGRGRLAVTGTLDATLRGLSPSSPLLLPHLRLLRNLCAGEPANQDAFLRFDGPDLILPIVLSSPPDVVRVGLQVLCNVALAGEEHRAKVWARLFPVGFLELARIRDRGILDPLCMLLDTCCSADCGRRRLGELCEDEKGLPILIEIITTACTVGHQEEWLEWLLSKVCIEEQYFFMLFQKLGQFGDSNSCNDIRDEHTVFSGEQAFLLRLISNCLSERPNDFTISDSFALSVLRVLKEASCAVNFNHRGNSPLPTGSPAIDVLGYALNILRDICASDDPLSAPNASVDSLISSGLLQLLLCFLSELEPPSTIKKSMANGTSQMPTMADALKVCPYKGFRRDVVSVISNCLYRRKQVQDEIRRKNGIPLLLQQCVVDEDNPFLREWGLMAIRNLLEGNTENQREVAQLELQGTVNTTEIAELGLRVEVDERNQRPKLINIS >DRNTG_28136.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24009382:24011745:1 gene:DRNTG_28136 transcript:DRNTG_28136.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLPSTCIFALESVSLKQNPYDFPMDQETLESHLEASRTLEGRGRLAVTGTLDATLRGLSPSSPLLLPHLRLLRNLCAGEPANQDAFLRFDGPDLILPIVLSSPPDVVRVGLQVLCNVALAGEEHRAKVWARLFPVGFLELARIRDRGILDPLCMLLDTCCSADCGRRRLGELCEDEKGLPILIEIITTACTVGHQEEWLEWLLSKVCIEEQYFFMLFQKLGQFGDSNSCNDIRDEHTVFSGEQAFLLRLISNCLSERPNDFTISDSFALSVLRVLKEASCAVNFNHRGNSPLPTGSPAIDVLGYALNILRDICASDDPLSAPNASVDSLISSGLLQLLLCFLSELEPPSTIKKSMANGTSQMPTMADALKVCPYKGFRRDVVSVISNCLYRRKQVQDEIRRKNGIPLLLQQCVVDEDNPFLREWGLMAIRNLLEGNTENQREVAQLELQGTVNTTEIAELGLRVEVDERNQRPKLINIS >DRNTG_00209.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:8714009:8714780:-1 gene:DRNTG_00209 transcript:DRNTG_00209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKLLMLAYALSNSNEESTSSANSRIAGSLIVNNCRQPPSETRSSTSSANSSPC >DRNTG_03867.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3706171:3708474:-1 gene:DRNTG_03867 transcript:DRNTG_03867.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRQLLLRNPATRPHPCPNSLLQSLIPQSPPSRILVQVRHQPLRISSINPLPSRFKTLSTPITLPGRRIVVAMASSGSVQKSEEEWRAILSTEQFRILRQKGTEYPGTGEYDKFFGEGIYECAGCGTPLYKSTTKFNSGCGWPAFFEGLPGAITRTPDPDGRRIEITCTACGGHLGHVFKGEGFKTPTDERHCVNSISIKFKAGSD >DRNTG_28939.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22163073:22165043:1 gene:DRNTG_28939 transcript:DRNTG_28939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVGTPGSSMHGVTGREPAFALNVNSPSIPTDTTAKFDLPVDSEHKAKTFKLFSLKNPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKTDVGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLIMLSAPTVFCMSLVSSATGYIVMRFMIGFSLATFVSCQYWMSTMFNSKIIGTVNGTAAGWGNMGGGATQLLMPLVYELIHKIGATPFTAWRIAFFIPGFMHVIMGIFVLTLGQDLPDGNLSSLQKKGDVNKDKFSKVLWYAVTNYRTWIFVLLYGYCMGVELTTDNVIAEYFFDRFNLNLRTAGIIAACFGMANIVARPFGGYMSDLGARKFGMRGRLWNVWILQTLGGAFCLWLGLANSLPISVFAMICFSICAQAACGGIFGVIPFISRRSLGIISGMTGAGGNFGSGLTQLLFFTSSKYSTAKGLSYMGIMIMACTLPVTLVHFPQWGSMFLPASQKSTEEHYYTSEWTEEERHQGLHQASLKFAENSRSERGKRIASAPTPPNSTPVHV >DRNTG_23265.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18530437:18530869:1 gene:DRNTG_23265 transcript:DRNTG_23265.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLPGSELQSWVHQVPRTTARYGSTQTE >DRNTG_10285.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20268355:20271123:-1 gene:DRNTG_10285 transcript:DRNTG_10285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTDKSFFLYQLLLPYLPWQVGSPTWGGGFHVVFGVTTNQPSSRSKLWVNIVGKPTEDRNEISNLEEMMMVLEKIKTLVSVDYEFEEDFHHRVVLNFQTSLLGKFLDGRTHPDFHQNKTHSNLYRNRSLKTLEERFLNRRNGSRSTRGGQVHIGNWMTRVDLNNLSEVPKTLLLDVEHLLFTPNEDAIIIGAHALPFESKLSVSDNKMNKTDGSEIYHLVVLPEPVVSSSLDDDPEISFTPSSPGAD >DRNTG_23638.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6996370:6997679:1 gene:DRNTG_23638 transcript:DRNTG_23638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSLVNGGCYLTPVVKTMCEFKVHMSGRHWKIIQRAPFTALTELKPVFQERALPDSLLQKYDDCTNKFRIEVSLLSFRPKDVAVILALRCDGDAVRGEEENFVKLLMVYLIGNPQVAYGGHSTNCRSSASKMHWKDDQHMFPELVTVNTDKEVFVRATHLGVDIAPAPLPRTKDERSTSLRRIRRNSPFPSPTRAQTSCHRTSPLLPPMDDSR >DRNTG_34075.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:304627:305312:1 gene:DRNTG_34075 transcript:DRNTG_34075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKNASSSSAELITIPSSFESCQKSGDLQSGSCVEGSSSEFVLMGGLKKSVSVGNVLDKERNFSYDDITEDEARSPERIMDRHIDSSRHTDSAESNEHGDFAAAHNQHEFLFLCGSYEAS >DRNTG_34075.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:304627:305083:1 gene:DRNTG_34075 transcript:DRNTG_34075.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKNASSSSAELITIPSSFESCQKSGDLQSGSCVEGSSSEFVLMGGLKKSVSVGNVLDKERNFSYDDITEDEARSPERIMDRHIDSSRHTDSAESNEHGDFAAAHNQHEFLFLCGSYEAS >DRNTG_34075.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:304587:304973:1 gene:DRNTG_34075 transcript:DRNTG_34075.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKNASSSSAELITIPSSFESCQKSGDLQSGSCVEGSSSEFVLMGGLKKSVSVGNVLDKERNFSYDDITEDEARSPERIMDRHIDSSRHTDSAESNEHGDFAA >DRNTG_34075.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:304627:304973:1 gene:DRNTG_34075 transcript:DRNTG_34075.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKNASSSSAELITIPSSFESCQKSGDLQSGSCVEGSSSEFVLMGGLKKSVSVGNVLDKERNFSYDDITEDEARSPERIMDRHIDSSRHTDSAESNEHGDFAA >DRNTG_34075.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:304587:305083:1 gene:DRNTG_34075 transcript:DRNTG_34075.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKNASSSSAELITIPSSFESCQKSGDLQSGSCVEGSSSEFVLMGGLKKSVSVGNVLDKERNFSYDDITEDEARSPERIMDRHIDSSRHTDSAESNEHGDFAAAHNQHEFLFLCGSYEAS >DRNTG_31420.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:638964:640600:1 gene:DRNTG_31420 transcript:DRNTG_31420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKKSSEDVSVSSSGVFELEKVLLKDPHPFPYFMLIAFEASGLIRFMLLLLMWPLVRFFEGIGMEELSLQFMVFFAVAGLKETEIESVSRAVLPKFYMDDIDMVAWNNFNSFRRRVVLTRCPKVMVERFAKDHLRANEVFGRELHINRFGYATGLLMKQEKTLQNKEQNYSSITNEEEKDRNQDLHPLPVIFHDGRLVRRPTPFTSLLIILWIPLGIIVAFIRIVIGLLLPMSLIPYVIQIFGSKLIVRGRPPPPSTGNGDGVLFVCTHRTLMDPVVLSVVLRRKIPAVTYSISRLSEILSPIPTIRLSRNRKLDAAKIKAQLANGDLVVCPEGTTCREPFLLRFSALFAELTDRIVPVAMNYRVSFFHATTARGWKAMDPIFFFMNPRPVYEVTFLNQLPVEATCSAGKSPYDVANYVQRILAATLGFECTNFTRKDKYRMLAGNDGTVALKAATPVMDQVK >DRNTG_31420.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:639116:640642:1 gene:DRNTG_31420 transcript:DRNTG_31420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAFEASGLIRFMLLLLMWPLVRFFEGIGMEELSLQFMVFFAVAGLKETEIESVSRAVLPKFYMDDIDMVAWNNFNSFRRRVVLTRCPKVMVERFAKDHLRANEVFGRELHINRFGYATGLLMKQEKTLQNKEQNYSSITNEEEKDRNQDLHPLPVIFHDGRLVRRPTPFTSLLIILWIPLGIIVAFIRIVIGLLLPMSLIPYVIQIFGSKLIVRGRPPPPSTGNGDGVLFVCTHRTLMDPVVLSVVLRRKIPAVTYSISRLSEILSPIPTIRLSRNRKLDAAKIKAQLANGDLVVCPEGTTCREPFLLRFSALFAELTDRIVPVAMNYRVSFFHATTARGWKAMDPIFFFMNPRPVYEVTFLNQLPVEATCSAGKSPYDVANYVQRILAATLGFECTNFTRKDKYRMLAGNDGTVALKAATPVMDQVKEILGFFLH >DRNTG_19997.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21043699:21044070:1 gene:DRNTG_19997 transcript:DRNTG_19997.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVWTLSSRRRSTTRDSTKREASFGGEDWEKILGIEGI >DRNTG_32401.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17616094:17616806:1 gene:DRNTG_32401 transcript:DRNTG_32401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMHVECVVLAMEGESKFCATSLESMVEFNMMSLETRDVHASSTKVNEKNNDAEIKPSYSVSLTGVCVMGGEKLVVCHTQQYPYIVFYCHATRKRKVYTVALEWNDGTKLEVIAVCHLDTSK >DRNTG_28747.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31138025:31142554:-1 gene:DRNTG_28747 transcript:DRNTG_28747.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKFIVGGNWKCNGTNEEVKKIVATLNQGEVPSEDVVEVVISPPFVFLPLVKSLLRSDFHVAAQNCWVKKGGAFTGEISGEMLVNLGIPWVILGHSERRALLGESNEFVGDKVAYALSQGLKVIACVGETLEQREAGSTMDVVAAQTKAIADKINDWTNVVIAYEPVWAIGTGKVATPAQAQEVHADLRKWFQANVSAEVAESIRIMYGGSVSGANCKELAAKPDVDGFLVGGASLKPEFIDIIKSATVKSST >DRNTG_15817.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24931282:24937042:-1 gene:DRNTG_15817 transcript:DRNTG_15817.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFLGVTRLDVSPEKLPKLASFALSESYGAPVTVNIVAPNITSILFVGDLNLLFWNGSPCLVDATLRNIAKFKFKSESCWLGLVSAVSNAKILTLNNLFYQFVIPRKFVNGIHDAMFKNLHKLTCEIDWVTGPLLSSFICNVKECPLLESLCIRFNRELRLKAKNKMKRSLGLCYYKSFLPAELVKAVEEKFERDYVDLPEVCGGPGNALNCLKILAIEHFMGTKNEVLLTKFLQKNAANLQKFTIDDFFMRSNEEIHELLYPILSNSDDEDDEEYDDDYEDTDDDDDDDDDGDGDDDDDDDDDDDDNDEGDDDDDGDDEMDWALYENLNQNFPIDALSDSVGSG >DRNTG_15817.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24931282:24937042:-1 gene:DRNTG_15817 transcript:DRNTG_15817.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNLHKLTCEIDWVTGPLLSSFICNVKECPLLESLCIRFNRELRLKAKNKMKRSLGLCYYKSFLPAELVKAVEEKFERDYVDLPEVCGGPGNALNCLKILAIEHFMGTKNEVLLTKFLQKNAANLQKFTIDDFFMRSNEEIHELLYPILSNSDDEDDEEYDDDYEDTDDDDDDDDDGDGDDDDDDDDDDDDNDEGDDDDDGDDEMDWALYENLNQNFPIDALSDSVGSG >DRNTG_06712.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21177123:21179922:-1 gene:DRNTG_06712 transcript:DRNTG_06712.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSIYLPPRMDRIIHSSSISSSSTMAANPQTHFLFITYPMQSHINPALHLAKHFATTTGATVTFSTTIFAHRRMFSSTTNSDKGFNDGLITYLPFSDGLDGEDYKLTTMDSKEYFSLFRTNSKRNVSILLNDLATSGRPVKCIVYTLLLDWVVDIAGEHGIPSVLYWIQAATVFGTYYHFFHGFECLIKAHADDPSFPVCFPGLPPLQIRDLPSFLTDTEADGIYATILDSFRELFKILDGKQEKKMKKPKVLINTFQEWETVALASFSNEVEAIPVGHLPKEYTNSVAGYLFREDEKKYMEWLDTKEEGSVVYISFGSVSMMKKEQMEEIVKALKESKRPYLWVVRKDNREEELLEIEEGEDGMVVEWCSQVKVLAHRAVGCFVTHCGWNSTLESLVCGVPHWTDQAMNAKLVET >DRNTG_00847.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11404667:11406774:-1 gene:DRNTG_00847 transcript:DRNTG_00847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYHHQGSSILRLLQTPSSLNSPPNPSHQHRTFS >DRNTG_07923.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20325162:20325858:-1 gene:DRNTG_07923 transcript:DRNTG_07923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTKPIFVIFIIFSILLLLITNTPTSAARPGPAKGALNPNTPVCGGSRGRP >DRNTG_33529.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20653076:20681312:1 gene:DRNTG_33529 transcript:DRNTG_33529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEPSVLVFDAKKKMNSFKVTFMATRKVQGLYTFGSLTWVDGKGHSVRIPIAVRTVIYDNYSD >DRNTG_33529.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20653076:20653694:1 gene:DRNTG_33529 transcript:DRNTG_33529.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEPSVLVFDAKKKINSFKVTFIATRKVQGLYTFGSLTWVDGKGHSVRIPIAVRTVIYDNYSDAS >DRNTG_18139.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:562877:565102:1 gene:DRNTG_18139 transcript:DRNTG_18139.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLRSLKAKLLLRRALLSFADLHGSFTASSVVATRSPFETLFRFTGAAAAVVPSRRTPLSMHVPRYSTPYRLLHSSHYQVPPLLPRSFPPPNPQMPSPLLITRFFSSSSGDDPISSDSDSDPDRTADGHSPDVDRVCTIINELFDSDGNMEAVLDECGVHIEKPVVIGVLDRFRHAHKPAYRFFRWSASRPGFAHDSETFNAMLTILGKTRQFETMVAMLEEIGKQKLLTIEAFKISIKAFASAREMKKSMGIFQLMKKFSFKADSETFNCLIDSLAKAKLGKEANALFAKMKDQYPPNLRTYTVLLFGWCKLKNLVEAARIWNEMIDNGFKPDIVAHNTMLEGLIRAQRRPEAIKMFGLMKTKGPKPNARTYTVLIHDMCKGGKMDNAVGCFEEMLAAGVAPDAATYTCLIVGFGNARRMDKVSGLLKEMEEKGCPPDGRTYNALIKLMTNRSMPDDAVRIYKRMIKNGFEPTIHTYNMMMKSYFHGNNYDMGCAVWEEMWKKGICPDVNSYTVFIGGHIRHGRPEEAHKCIEEMINKGMNAPQIDYNKFAADFSRAGRPDVLYEMAQKMKFSGKFQISNVFNHWAERMEKRVKKRLPNQTGHRLF >DRNTG_18139.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:562877:565351:1 gene:DRNTG_18139 transcript:DRNTG_18139.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLRSLKAKLLLRRALLSFADLHGSFTASSVVATRSPFETLFRFTGAAAAVVPSRRTPLSMHVPRYSTPYRLLHSSHYQVPPLLPRSFPPPNPQMPSPLLITRFFSSSSGDDPISSDSDSDPDRTADGHSPDVDRVCTIINELFDSDGNMEAVLDECGVHIEKPVVIGVLDRFRHAHKPAYRFFRWSASRPGFAHDSETFNAMLTILGKTRQFETMVAMLEEIGKQKLLTIEAFKISIKAFASAREMKKSMGIFQLMKKFSFKADSETFNCLIDSLAKAKLGKEANALFAKMKDQYPPNLRTYTVLLFGWCKLKNLVEAARIWNEMIDNGFKPDIVAHNTMLEGLIRAQRRPEAIKMFGLMKTKGPKPNARTYTVLIHDMCKGGKMDNAVGCFEEMLAAGVAPDAATYTCLIVGFGNARRMDKVSGLLKEMEEKGCPPDGRTYNALIKLMTNRSMPDDAVRIYKRMIKNGFEPTIHTYNMMMKSYFHGNNYDMGCAVWEEMWKKGICPDVNSYTVFIGGHIRHGRPEEAHKCIEEMINKGMNAPQIDYNKFAADFSRAGRPDVLYEMAQKMKFSGKFQISNVFNHWAERMEKRVKKRLPNQTGHRLF >DRNTG_18139.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:562877:565179:1 gene:DRNTG_18139 transcript:DRNTG_18139.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLRSLKAKLLLRRALLSFADLHGSFTASSVVATRSPFETLFRFTGAAAAVVPSRRTPLSMHVPRYSTPYRLLHSSHYQVPPLLPRSFPPPNPQMPSPLLITRFFSSSSGDDPISSDSDSDPDRTADGHSPDVDRVCTIINELFDSDGNMEAVLDECGVHIEKPVVIGVLDRFRHAHKPAYRFFRWSASRPGFAHDSETFNAMLTILGKTRQFETMVAMLEEIGKQKLLTIEAFKISIKAFASAREMKKSMGIFQLMKKFSFKADSETFNCLIDSLAKAKLGKEANALFAKMKDQYPPNLRTYTVLLFGWCKLKNLVEAARIWNEMIDNGFKPDIVAHNTMLEGLIRAQRRPEAIKMFGLMKTKGPKPNARTYTVLIHDMCKGGKMDNAVGCFEEMLAAGVAPDAATYTCLIVGFGNARRMDKVSGLLKEMEEKGCPPDGRTYNALIKLMTNRSMPDDAVRIYKRMIKNGFEPTIHTYNMMMKSYFHGNNYDMGCAVWEEMWKKGICPDVNSYTVFIGGHIRHGRPEEAHKCIEEMINKGMNAPQIDYNKFAADFSRAGRPDVLYEMAQKMKFSGKFQISNVFNHWAERMEKRVKKRLPNQTGHRLF >DRNTG_18139.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:562740:565029:1 gene:DRNTG_18139 transcript:DRNTG_18139.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLRSLKAKLLLRRALLSFADLHGSFTASSVVATRSPFETLFRFTGAAAAVVPSRRTPLSMHVPRYSTPYRLLHSSHYQVPPLLPRSFPPPNPQMPSPLLITRFFSSSSGDDPISSDSDSDPDRTADGHSPDVDRVCTIINELFDSDGNMEAVLDECGVHIEKPVVIGVLDRFRHAHKPAYRFFRWSASRPGFAHDSETFNAMLTILGKTRQFETMVAMLEEIGKQKLLTIEAFKISIKAFASAREMKKSMGIFQLMKKFSFKADSETFNCLIDSLAKAKLGKEANALFAKMKDQYPPNLRTYTVLLFGWCKLKNLVEAARIWNEMIDNGFKPDIVAHNTMLEGLIRAQRRPEAIKMFGLMKTKGPKPNARTYTVLIHDMCKGGKMDNAVGCFEEMLAAGVAPDAATYTCLIVGFGNARRMDKVSGLLKEMEEKGCPPDGRTYNALIKLMTNRSMPDDAVRIYKRMIKNGFEPTIHTYNMMMKSYFHGNNYDMGCAVWEEMWKKGICPDVNSYTVFIGGHIRHGRPEEAHKCIEEMINKGMNAPQIDYNKFAADFSRAGRPDVLYEMAQKMKFSGKFQISNVFNHWAERMEKRVKKRLPNQTGHRLF >DRNTG_18139.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:562740:565351:1 gene:DRNTG_18139 transcript:DRNTG_18139.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLRSLKAKLLLRRALLSFADLHGSFTASSVVATRSPFETLFRFTGAAAAVVPSRRTPLSMHVPRYSTPYRLLHSSHYQVPPLLPRSFPPPNPQMPSPLLITRFFSSSSGDDPISSDSDSDPDRTADGHSPDVDRVCTIINELFDSDGNMEAVLDECGVHIEKPVVIGVLDRFRHAHKPAYRFFRWSASRPGFAHDSETFNAMLTILGKTRQFETMVAMLEEIGKQKLLTIEAFKISIKAFASAREMKKSMGIFQLMKKFSFKADSETFNCLIDSLAKAKLGKEANALFAKMKDQYPPNLRTYTVLLFGWCKLKNLVEAARIWNEMIDNGFKPDIVAHNTMLEGLIRAQRRPEAIKMFGLMKTKGPKPNARTYTVLIHDMCKGGKMDNAVGCFEEMLAAGVAPDAATYTCLIVGFGNARRMDKVSGLLKEMEEKGCPPDGRTYNALIKLMTNRSMPDDAVRIYKRMIKNGFEPTIHTYNMMMKSYFHGNNYDMGCAVWEEMWKKGICPDVNSYTVFIGGHIRHGRPEEAHKCIEEMINKGMNAPQIDYNKFAADFSRAGRPDVLYEMAQKMKFSGKFQISNVFNHWAERMEKRVKKRLPNQTGHRLF >DRNTG_18139.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:562877:565029:1 gene:DRNTG_18139 transcript:DRNTG_18139.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLRSLKAKLLLRRALLSFADLHGSFTASSVVATRSPFETLFRFTGAAAAVVPSRRTPLSMHVPRYSTPYRLLHSSHYQVPPLLPRSFPPPNPQMPSPLLITRFFSSSSGDDPISSDSDSDPDRTADGHSPDVDRVCTIINELFDSDGNMEAVLDECGVHIEKPVVIGVLDRFRHAHKPAYRFFRWSASRPGFAHDSETFNAMLTILGKTRQFETMVAMLEEIGKQKLLTIEAFKISIKAFASAREMKKSMGIFQLMKKFSFKADSETFNCLIDSLAKAKLGKEANALFAKMKDQYPPNLRTYTVLLFGWCKLKNLVEAARIWNEMIDNGFKPDIVAHNTMLEGLIRAQRRPEAIKMFGLMKTKGPKPNARTYTVLIHDMCKGGKMDNAVGCFEEMLAAGVAPDAATYTCLIVGFGNARRMDKVSGLLKEMEEKGCPPDGRTYNALIKLMTNRSMPDDAVRIYKRMIKNGFEPTIHTYNMMMKSYFHGNNYDMGCAVWEEMWKKGICPDVNSYTVFIGGHIRHGRPEEAHKCIEEMINKGMNAPQIDYNKFAADFSRAGRPDVLYEMAQKMKFSGKFQISNVFNHWAERMEKRVKKRLPNQTGHRLF >DRNTG_18139.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:562877:565682:1 gene:DRNTG_18139 transcript:DRNTG_18139.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLRSLKAKLLLRRALLSFADLHGSFTASSVVATRSPFETLFRFTGAAAAVVPSRRTPLSMHVPRYSTPYRLLHSSHYQVPPLLPRSFPPPNPQMPSPLLITRFFSSSSGDDPISSDSDSDPDRTADGHSPDVDRVCTIINELFDSDGNMEAVLDECGVHIEKPVVIGVLDRFRHAHKPAYRFFRWSASRPGFAHDSETFNAMLTILGKTRQFETMVAMLEEIGKQKLLTIEAFKISIKAFASAREMKKSMGIFQLMKKFSFKADSETFNCLIDSLAKAKLGKEANALFAKMKDQYPPNLRTYTVLLFGWCKLKNLVEAARIWNEMIDNGFKPDIVAHNTMLEGLIRAQRRPEAIKMFGLMKTKGPKPNARTYTVLIHDMCKGGKMDNAVGCFEEMLAAGVAPDAATYTCLIVGFGNARRMDKVSGLLKEMEEKGCPPDGRTYNALIKLMTNRSMPDDAVRIYKRMIKNGFEPTIHTYNMMMKSYFHGNNYDMGCAVWEEMWKKGICPDVNSYTVFIGGHIRHGRPEEAHKCIEEMINKGMNAPQIDYNKFAADFSRAGRPDVLYEMAQKMKFSGKFQISNVFNHWAERMEKRVKKRLPNQTGHRLF >DRNTG_18139.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:562740:565102:1 gene:DRNTG_18139 transcript:DRNTG_18139.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLRSLKAKLLLRRALLSFADLHGSFTASSVVATRSPFETLFRFTGAAAAVVPSRRTPLSMHVPRYSTPYRLLHSSHYQVPPLLPRSFPPPNPQMPSPLLITRFFSSSSGDDPISSDSDSDPDRTADGHSPDVDRVCTIINELFDSDGNMEAVLDECGVHIEKPVVIGVLDRFRHAHKPAYRFFRWSASRPGFAHDSETFNAMLTILGKTRQFETMVAMLEEIGKQKLLTIEAFKISIKAFASAREMKKSMGIFQLMKKFSFKADSETFNCLIDSLAKAKLGKEANALFAKMKDQYPPNLRTYTVLLFGWCKLKNLVEAARIWNEMIDNGFKPDIVAHNTMLEGLIRAQRRPEAIKMFGLMKTKGPKPNARTYTVLIHDMCKGGKMDNAVGCFEEMLAAGVAPDAATYTCLIVGFGNARRMDKVSGLLKEMEEKGCPPDGRTYNALIKLMTNRSMPDDAVRIYKRMIKNGFEPTIHTYNMMMKSYFHGNNYDMGCAVWEEMWKKGICPDVNSYTVFIGGHIRHGRPEEAHKCIEEMINKGMNAPQIDYNKFAADFSRAGRPDVLYEMAQKMKFSGKFQISNVFNHWAERMEKRVKKRLPNQTGHRLF >DRNTG_18139.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:562740:565682:1 gene:DRNTG_18139 transcript:DRNTG_18139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLRSLKAKLLLRRALLSFADLHGSFTASSVVATRSPFETLFRFTGAAAAVVPSRRTPLSMHVPRYSTPYRLLHSSHYQVPPLLPRSFPPPNPQMPSPLLITRFFSSSSGDDPISSDSDSDPDRTADGHSPDVDRVCTIINELFDSDGNMEAVLDECGVHIEKPVVIGVLDRFRHAHKPAYRFFRWSASRPGFAHDSETFNAMLTILGKTRQFETMVAMLEEIGKQKLLTIEAFKISIKAFASAREMKKSMGIFQLMKKFSFKADSETFNCLIDSLAKAKLGKEANALFAKMKDQYPPNLRTYTVLLFGWCKLKNLVEAARIWNEMIDNGFKPDIVAHNTMLEGLIRAQRRPEAIKMFGLMKTKGPKPNARTYTVLIHDMCKGGKMDNAVGCFEEMLAAGVAPDAATYTCLIVGFGNARRMDKVSGLLKEMEEKGCPPDGRTYNALIKLMTNRSMPDDAVRIYKRMIKNGFEPTIHTYNMMMKSYFHGNNYDMGCAVWEEMWKKGICPDVNSYTVFIGGHIRHGRPEEAHKCIEEMINKGMNAPQIDYNKFAADFSRAGRPDVLYEMAQKMKFSGKFQISNVFNHWAERMEKRVKKRLPNQTGHRLF >DRNTG_29423.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1804008:1805725:-1 gene:DRNTG_29423 transcript:DRNTG_29423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMLSLKWSSSRPGSQSVIESYSKLARRWMCKANVVVAKDGSGKFKTVQDALNSVPDNGNTRYVIYVKKGIYKETVRLEKKKKNVMIVGDGMDATVITGSLNFVDGTTTFNSATLAAVGDGLILQDLKIENTAGAIKHQAVALRVGADRSVINRCNIEGYQDTLYTHSLRQFYRDSTISGTVDFIFGDAAVVFQNCKLVARRPLDNQQNLVTAQGRIDPNQNTGTSIQNCQIVPSSDLKLAAGAIKSYLGRPWKEYSRTVFMQSYIDSHIDPKGWLEWDGQFALKTLFYGEYMNKGPGAGTGGRVNWPGYHVITDANVAKSFTVAGLIQGGTWLKDTGVAFTEGL >DRNTG_22624.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17879573:17881509:1 gene:DRNTG_22624 transcript:DRNTG_22624.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQTKALANPKERSPAEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLEKFGSVGDANVFYWFQNRRSRSRRRQRQLQASLAAATNTSLSFYEPSPSSSSSSTSSNGVFFSCSSTSSASSSCSNFLADDNADDLFSISRQMTNQNSSFSSSDFSQLHFHPGYMTVYINGMASEVPRGPIDMKAMFGLDVMLVNSNGELVPMNEYGILLQGLQMGESYFLVSYT >DRNTG_24378.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16728893:16733218:-1 gene:DRNTG_24378 transcript:DRNTG_24378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFCYGKSSDPPADPPPLSDPPNSTPSPHKPSPPPSSTARPHTKPAAIGPVLGRPMEDIRATYSIGKELGRGQFGITYLCTRKATGEQFACKTIAKRKLVNKEDVEDVRREVQIMHHLTGQPNIVELKGAYEDKQSVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVQIVHTCHSMGVVHRDLKPENFLLLSKDENSPLKATDFGLSVFFKQGEVLKDIVGSAYYIAPEVLKRRYGPEVDIWSIGVMLYILLCGVPPFWAETEHGIFNAILRGQVDFASDPWPNISAGAKDLVKKMLTVDPRQRITAFEVLNHPWIKEDGEAPDTPLDNAVLNRLKQFTAMNQFKKAALRVIAGCLSEEEIRGLKEMFKSMDTDNSGSITLEELKQGLCKQGTKLTENEVKQLMEAADADGNGTIDYEEFITATMHMNRMDKEDHLYTAFQYFDKDNSGYITKEELEQALKEQGMLEGQEIKEIISDADADNDGRINYDEFAEMMRKGTPEPNNLKKRRDVFI >DRNTG_13843.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16934812:16935250:-1 gene:DRNTG_13843 transcript:DRNTG_13843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMENASQVIGRTPVVRRQVHQSNGPGRCLLSLHEGPQGCPHEGSSHSCRAVTELASNYPNSEDVFAHNNVIQFFVGHLAFETLQGHNKYMLQSKVNSIHSTVLANKDISSNGKPPHINS >DRNTG_06066.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3962287:3968820:-1 gene:DRNTG_06066 transcript:DRNTG_06066.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Porphobilinogen deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G08280) UniProtKB/Swiss-Prot;Acc:Q43316] MSNILSMRSPTHHPLLAAPSNLRPCPSPTSLRRRRRHSPTVAAVAVEKEAKNKEVALMRIGTRGSPLALAQAYETRDKLMSLHSELAEEGAIHIEIIKTTGDKILNQPLADIGGKGLFTKEIDEALLEGRIDIAVHSMKDVPTYFPDGMILPCNLPREDVRDVFISLTASSLAELPAGSVVGSASLRRQSQILYRYPSLKVVNFRGNVQTRLRKLNEGEVQATLLALAGLKRLNMTENVTATLSVEEMLPAIAQGAIGIACRSNDDKMANYLASLNHEETRLAVACERAFLETLDGSCRTPIAGYAYRDKDGDCLFRGLVASPDGTRVLETSRKGPFVLNDMISMGKDAGKELISRAGPGFFDW >DRNTG_06066.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3962187:3968820:-1 gene:DRNTG_06066 transcript:DRNTG_06066.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Porphobilinogen deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G08280) UniProtKB/Swiss-Prot;Acc:Q43316] MSNILSMRSPTHHPLLAAPSNLRPCPSPTSLRRRRRHSPTVAAVAVEKEAKNKEVALMRIGTRGSPLALAQAYETRDKLMSLHSELAEEGAIHIEIIKTTGDKILNQPLADIGGKGLFTKEIDEALLEGRIDIAVHSMKDVPTYFPDGMILPCNLPREDVRDVFISLTASSLAELPAGSVVGSASLRRQSQILYRYPSLKVVNFRGNVQTRLRKLNEGEVQATLLALAGLKRLNMTENVTATLSVEEMLPAIAQGAIGIACRSNDDKMANYLASLNHEETRLAVACERAFLETLDGSCRTPIAGYAYRDKDGDCLFRGLVASPDGTRVLETSRKGPFVLNDMISMGKDAGKELISRAGPGFFDW >DRNTG_06066.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3962287:3968793:-1 gene:DRNTG_06066 transcript:DRNTG_06066.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Porphobilinogen deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G08280) UniProtKB/Swiss-Prot;Acc:Q43316] MSNILSMRSPTHHPLLAAPSNLRPCPSPTSLRRRRRHSPTVAAVAVEKEAKNKEVALMRIGTRGSPLALAQAYETRDKLMSLHSELAEEGAIHIEIIKTTGDKILNQPLADIGGKGLFTKEIDEALLEGRIDIAVHSMKDVPTYFPDGMILPCNLPREDVRDVFISLTASSLAELPAGSVVGSASLRRQSQILYRYPSLKVVNFRGNVQTRLRKLNEGEVQATLLALAGLKRLNMTENVTATLSVEEMLPAIAQGAIGIACRSNDDKMANYLASLNHEETRLAVACERAFLETLDGSCRTPIAGYAYRDKDGDCLFRGLVASPDGTRVLETSRKGPFVLNDMISMGKDAGKELISRAGPGFFDW >DRNTG_06066.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3962355:3968793:-1 gene:DRNTG_06066 transcript:DRNTG_06066.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Porphobilinogen deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G08280) UniProtKB/Swiss-Prot;Acc:Q43316] MSNILSMRSPTHHPLLAAPSNLRPCPSPTSLRRRRRHSPTVAAVAVEKEAKNKEVALMRIGTRGSPLALAQAYETRDKLMSLHSELAEEGAIHIEIIKTTGDKILNQPLADIGGKGLFTKEIDEALLEGRIDIAVHSMKDVPTYFPDGMILPCNLPREDVRDVFISLTASSLAELPAGSVVGSASLRRQSQILYRYPSLKVVNFRGNVQTRLRKLNEGEVQATLLALAGLKRLNMTENVTATLSVEEMLPAIAQGAIGIACRSNDDKMANYLASLNHEETRLAVACERAFLETLDGSCRTPIAGYAYRDKDGDCLFRGLVASPDGTRVLETSRKGPFVLNDMISMGKDAGKELISRAGPGFFDW >DRNTG_06066.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3962187:3968793:-1 gene:DRNTG_06066 transcript:DRNTG_06066.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Porphobilinogen deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G08280) UniProtKB/Swiss-Prot;Acc:Q43316] MSLHSELAEEGAIHIEIIKTTGDKILNQPLADIGGKGLFTKEIDEALLEGRIDIAVHSMKDVPTYFPDGMILPCNLPREDVRDVFISLTASSLAELPAGSVVGSASLRRQSQILYRYPSLKVVNFRGNVQTRLRKLNEGEVQATLLALAGLKRLNMTENVTATLSVEEMLPAIAQGAIGIACRSNDDKMANYLASLNHEETRLAVACERAFLETLDGSCRTPIAGYAYRDKDGDCLFRGLVASPDGTRVLETSRKGPFVLNDMISMGKDAGKELISRAGPGFFDW >DRNTG_06066.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3962187:3968793:-1 gene:DRNTG_06066 transcript:DRNTG_06066.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Porphobilinogen deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G08280) UniProtKB/Swiss-Prot;Acc:Q43316] MSNILSMRSPTHHPLLAAPSNLRPCPSPTSLRRRRRHSPTVAAVAVEKEAKNKEVALMRIGTRGSPLALAQAYETRDKLMSLHSELAEEGAIHIEIIKTTGDKILNQPLADIGGKGLFTKEIDEALLEGRIDIAVHSMKDVPTYFPDGMILPCNLPREDVRDVFISLTASSLAELPAGSVVGSASLRRQSQILYRYPSLKVVNFRGNVQTRLRKLNEGEVQATLLALAGLKRLNMTENVTATLSVEEMLPAIAQGAIGIACRSNDDKMANYLASLNHEETRLAVACERAFLETLDGSCRTPIAGYAYRDKDGDCLFRGLVASPDGTRVLETSRKGPFVLNDMISMGKDAGKELISRAGPGFFDW >DRNTG_06066.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3962418:3968920:-1 gene:DRNTG_06066 transcript:DRNTG_06066.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Porphobilinogen deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G08280) UniProtKB/Swiss-Prot;Acc:Q43316] MSNILSMRSPTHHPLLAAPSNLRPCPSPTSLRRRRRHSPTVAAVAVEKEAKNKEVALMRIGTRGSPLALAQAYETRDKLMSLHSELAEEGAIHIEIIKTTGDKILNQPLADIGGKGLFTKEIDEALLEGRIDIAVHSMKDVPTYFPDGMILPCNLPREDVRDVFISLTASSLAELPAGSVVGSASLRRQSQILYRYPSLKVVNFRGNVQTRLRKLNEGEVQATLLALAGLKRLNMTENVTATLSVEEMLPAIAQGAIGIACRSNDDKMANYLASLNHEETRLAVACERAFLETLDGSCRTPIAGYAYRDKDGDCLFRGLVASPDGTRVLETSRKGPFVLNDMISMGKDAGKELISRAGPGFFDW >DRNTG_06066.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3962418:3968793:-1 gene:DRNTG_06066 transcript:DRNTG_06066.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Porphobilinogen deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G08280) UniProtKB/Swiss-Prot;Acc:Q43316] MSNILSMRSPTHHPLLAAPSNLRPCPSPTSLRRRRRHSPTVAAVAVEKEAKNKEVALMRIGTRGSPLALAQAYETRDKLMSLHSELAEEGAIHIEIIKTTGDKILNQPLADIGGKGLFTKEIDEALLEGRIDIAVHSMKDVPTYFPDGMILPCNLPREDVRDVFISLTASSLAELPAGSVVGSASLRRQSQILYRYPSLKVVNFRGNVQTRLRKLNEGEVQATLLALAGLKRLNMTENVTATLSVEEMLPAIAQGAIGIACRSNDDKMANYLASLNHEETRLAVACERAFLETLDGSCRTPIAGYAYRDKDGDCLFRGLVASPDGTRVLETSRKGPFVLNDMISMGKDAGKELISRAGPGFFDW >DRNTG_06066.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3962355:3968820:-1 gene:DRNTG_06066 transcript:DRNTG_06066.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Porphobilinogen deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G08280) UniProtKB/Swiss-Prot;Acc:Q43316] MSNILSMRSPTHHPLLAAPSNLRPCPSPTSLRRRRRHSPTVAAVAVEKEAKNKEVALMRIGTRGSPLALAQAYETRDKLMSLHSELAEEGAIHIEIIKTTGDKILNQPLADIGGKGLFTKEIDEALLEGRIDIAVHSMKDVPTYFPDGMILPCNLPREDVRDVFISLTASSLAELPAGSVVGSASLRRQSQILYRYPSLKVVNFRGNVQTRLRKLNEGEVQATLLALAGLKRLNMTENVTATLSVEEMLPAIAQGAIGIACRSNDDKMANYLASLNHEETRLAVACERAFLETLDGSCRTPIAGYAYRDKDGDCLFRGLVASPDGTRVLETSRKGPFVLNDMISMGKDAGKELISRAGPGFFDW >DRNTG_06066.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3962418:3968820:-1 gene:DRNTG_06066 transcript:DRNTG_06066.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Porphobilinogen deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G08280) UniProtKB/Swiss-Prot;Acc:Q43316] MSNILSMRSPTHHPLLAAPSNLRPCPSPTSLRRRRRHSPTVAAVAVEKEAKNKEVALMRIGTRGSPLALAQAYETRDKLMSLHSELAEEGAIHIEIIKTTGDKILNQPLADIGGKGLFTKEIDEALLEGRIDIAVHSMKDVPTYFPDGMILPCNLPREDVRDVFISLTASSLAELPAGSVVGSASLRRQSQILYRYPSLKVVNFRGNVQTRLRKLNEGEVQATLLALAGLKRLNMTENVTATLSVEEMLPAIAQGAIGIACRSNDDKMANYLASLNHEETRLAVACERAFLETLDGSCRTPIAGYAYRDKDGDCLFRGLVASPDGTRVLETSRKGPFVLNDMISMGKDAGKELISRAGPGFFDW >DRNTG_06066.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3962355:3968793:-1 gene:DRNTG_06066 transcript:DRNTG_06066.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Porphobilinogen deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G08280) UniProtKB/Swiss-Prot;Acc:Q43316] MSLHSELAEEGAIHIEIIKTTGDKILNQPLADIGGKGLFTKEIDEALLEGRIDIAVHSMKDVPTYFPDGMILPCNLPREDVRDVFISLTASSLAELPAGSVVGSASLRRQSQILYRYPSLKVVNFRGNVQTRLRKLNEGEVQATLLALAGLKRLNMTENVTATLSVEEMLPAIAQGAIGIACRSNDDKMANYLASLNHEETRLAVACERAFLETLDGSCRTPIAGYAYRDKDGDCLFRGLVASPDGTRVLETSRKGPFVLNDMISMGKDAGKELISRAGPGFFDW >DRNTG_25881.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9084367:9085939:1 gene:DRNTG_25881 transcript:DRNTG_25881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPRSAPSRRSLLLSSPAIVAFVVVSTTPSSRRLNPASQSSKLSALTTPSSASARRATPTSFAALTSPVDRFIEGGSLPTTKDAQAIADDVFSLFVSEEVDKVELLYTKFVSLVKSDPVIHTLLPLSPKGEICDINGVCVDAAEDELFRLTTKRRKADSGARDSPHPNPSLLPNPPVRAGPRPDP >DRNTG_14958.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1039931:1042349:-1 gene:DRNTG_14958 transcript:DRNTG_14958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERTREGGDDGGLVVNNNETLRSFLRSAADDHDHLTPELRHLASDLCSQSSIPYRSLRTVWFALPSGDRPALRRLFAGAEFVFSSPKPREKSEELKERLRKLEELAETKAYADLVKDIAPKKENVEPFSSYKDQIGFGMHVVLMMFTGYLVGYATFRALFNHSAVMNVAGGILGLVSGMLLETVLFIIRTSNRSIASSKSTSKLKKNQ >DRNTG_04950.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3892729:3895884:1 gene:DRNTG_04950 transcript:DRNTG_04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKIPTLLDLCIQTAIDNLRYIGDVGELELYLLKDILPHCTIDQLMHIEDSTEGRDLSPVTDRLWKRFYVQQFGEDNANLVIKRMKQRDLVFKWRQLFEAKTKEREEAQQKLSEKLKQRYAEEQAKKQSRQIKICAKVPPSSSKRGFFGGGGSGYDVSNVKSNLMKKAKLEYLNSHEAKVHAIIRKNALQTKSMPPPSIPRSSKPGSFLGKGPATSSKPPKPMGRG >DRNTG_19784.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001060.1:67179:74242:-1 gene:DRNTG_19784 transcript:DRNTG_19784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKAPSLKYSSTQQPLVSFKPPPSYVFPDKIVRSSLSPSTSSAL >DRNTG_02562.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19514335:19514794:-1 gene:DRNTG_02562 transcript:DRNTG_02562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNALCIAICSFAQLDNVVDYRIQCSSFELGGQVGISMRNNTSRFFVSNRID >DRNTG_25273.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31520468:31520744:-1 gene:DRNTG_25273 transcript:DRNTG_25273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHGLRRDDGPVILIVNACASRDINENAVGVCFVAQDMTGQKMVMDKFIRIEGDYKAIVQNPSPLIPPIFGADEFGWCSEW >DRNTG_19642.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31488052:31497714:1 gene:DRNTG_19642 transcript:DRNTG_19642.3 gene_biotype:protein_coding transcript_biotype:protein_coding ESSAWAKTCPIKSSQARSGGSLQEAVGISSPPSPSQNGKEKEEKGRLHSSPNGRTEEWTKP >DRNTG_19642.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31477803:31487175:1 gene:DRNTG_19642 transcript:DRNTG_19642.4 gene_biotype:protein_coding transcript_biotype:protein_coding TPSSNHTAHESLMHPLSVHSNQGGHKPQSMVREK >DRNTG_19642.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31477803:31497714:1 gene:DRNTG_19642 transcript:DRNTG_19642.2 gene_biotype:protein_coding transcript_biotype:protein_coding ESSAWAKTCPIKSSQARSGGSLQEAVGISSPPSPSQNGKEKEEKGRLHSSPNGRTEEWTKP >DRNTG_19642.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31477803:31483326:1 gene:DRNTG_19642 transcript:DRNTG_19642.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHIQPPLLQRIKRLLLLPQNKRLNSSSSPGSSTCPPFIINI >DRNTG_19642.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31496989:31497714:1 gene:DRNTG_19642 transcript:DRNTG_19642.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSITEHMKSKETQIQTKMKQSVHHKYHTKTPRCIFWNTTF >DRNTG_19642.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31477803:31497714:1 gene:DRNTG_19642 transcript:DRNTG_19642.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESSAWAKTCPIKSSQARSGGSLQEAVGISSPPSPSQNGKEKEEKGRLHSSPNGRTEEWTKP >DRNTG_19642.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31477441:31478099:1 gene:DRNTG_19642 transcript:DRNTG_19642.8 gene_biotype:protein_coding transcript_biotype:protein_coding NLHEKKRRKSYYNSKKERHKGKNNCRKKDRENKPIKIGIIASKFTTSGRFQFAWGASGSFFLTHDVIALERKKD >DRNTG_19642.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31492142:31497714:1 gene:DRNTG_19642 transcript:DRNTG_19642.5 gene_biotype:protein_coding transcript_biotype:protein_coding ESSAWAKTCPIKSSQARSGGSLQEAVGISSPPSPSQNGKEKEEKGRLHSSPNGRTEEWTKP >DRNTG_34693.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22787981:22789645:1 gene:DRNTG_34693 transcript:DRNTG_34693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSPYPFASSPLHSSLGLGFWISSPMALNLTVDRGSGEVETLEFGAGAVIRVGRVVRGNTFAIKDPGVSQKHLCLQFDAGTSRWTATDLDTSNGTFLNGSLISPCVPAPLSHGDSIKIGELASIYVQILDSDTLIGKTRASSRKDPIVAPPCLPARTRAGRKKKDEILEPKNVGRGGNRKVRVLNKEETVTEDRRDDSETVDVVKERVDGTGGEEWAEEVGDMEKMTLGEWFDRMEKYLPQVINEEAQEIIAGLREKGRMFTEFVMANGTITE >DRNTG_09943.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18324539:18325321:1 gene:DRNTG_09943 transcript:DRNTG_09943.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSPIIYGQDIRVLRSQKVTDGPMMEGIKEEVHFFKDIVDSEIIDGSFAQKCIEAFQNGYTIAVRGMEFRDDKVAAIADGLASLFGQPSAGANIYLTPSNSQGLAQHYDDHCVFVCQLYGRKSWRISPRPMDLFPRLYEPLQRLPNSEGDLSGVMQVLLEEGDMLYIPRGYPHEAQTNVDQGESTFKEFSLHLTLGIEVEPPFE >DRNTG_09943.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18324539:18325921:1 gene:DRNTG_09943 transcript:DRNTG_09943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSPIIYGQDIRVLRSQKVTDGPMMEGIKEEVHFFKDIVDSEIIDGSFAQKCIEAFQNGYTIAVRGMEFRDDKVAAIADGLASLFGQPSAGANIYLTPSNSQGLAQHYDDHCVFVCQLYGRKSWRISPRPMDLFPRLYEPLQRLPNSEGDLSGVMQVLLEEGDMLYIPRGYPHEAQTNVDQGESTFKEFSLHLTLGIEVEPPFEWEGFTHIALRCWNEKRKKALDHDSDSNYERRRLTLVILLHVSIRQIANINPIFRKACLMAAFSLASNTEDTNS >DRNTG_32120.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1367322:1368700:1 gene:DRNTG_32120 transcript:DRNTG_32120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATENKPAVSEDAKIDLFEDDDEFEEFEIDEEWDDKEGKETLQQWEDDWDDDDVNDDFSLQLKNELDSNSQKK >DRNTG_00061.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21760057:21761142:-1 gene:DRNTG_00061 transcript:DRNTG_00061.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHAFSIANNSDKIWVSIFLHKYPNWCIWNNCSHSSDSSLHKAISKTMSVIKPYFHLATCNPNLVNVWEDPWLLDLPLNYKPTFINMNVIDDLAVNSFISENTYNLNACSELFGGSLNRVVLNETNFDIHASNDWVWRPISSKATTVAVVYDFVNSGGTSQLVWTGWQVIWKLKVVPRVKVFLWKLAHGKLPTGSYLFNLNIGHISLCHFCNIHPENSTHLFWECRNSVSCWLIALNWLGLDHSFLTQLKQGSWLTC >DRNTG_00061.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21760805:21763247:-1 gene:DRNTG_00061 transcript:DRNTG_00061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNNSNAASVNLEKEQIFGMAEKEMEYRVDLFNRLTQTCFEKCIEKRYKEPELNMGENSCIDRCVSKYWQVTNLIGQLLGSNRPPM >DRNTG_00061.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21761262:21763247:-1 gene:DRNTG_00061 transcript:DRNTG_00061.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNNSNAASVNLEKEQIFGMAEKEMEYRVDLFNRYKEPELNMGENSCIDRCVSKYWQASY >DRNTG_00061.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21761262:21763247:-1 gene:DRNTG_00061 transcript:DRNTG_00061.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNNSNAASVNLEKEQIFGMAEKEMEYRVDLFNRLTQTCFEKCIEKRYKEPELNMGENSCIDRCVSKYWQASY >DRNTG_07567.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21870851:21876206:1 gene:DRNTG_07567 transcript:DRNTG_07567.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPQKRKRDDTPPPLDAGDATVENGVDLSLLEAIEKSAQNGGTVAVLDLRGLKKLVLSFERRLRDNLEARVMHPDQPDRFADSEVELHEELDRLKALAGAPELYADFVALNVVPSIVGLLGHENSDIASDVVNLLTDLTDADVLEDNDEPAAALIDSLLTNNVLELLVQNIGRLSEADPDEAAAIYNTLAIIENMIEVKPAVAEMVCERTKLLRWLLGRIKVREFDGNKQYASEILAILLQNSPANQKRLGQMNGVDAVLQAVAMYKSKDPKSSDEEEMLENLFDCLCCLLMPVENKERFMKSEGVELMIIIMKQKKSAYGSAIRALDFAMTKYPPACERFVDVLGLKTAFAAFMGKIPMSKKNKKERYQEELEERIISLIASLFGGIVRGSRRDRLLSKFVENECEKIDRLMELYMRYSDRVKVESERMDELELDDLEMDEEERYNRRLEAGLYTLQLIAVILGHLWSSEHPQMQSRIELLLRQQRLSKKDVKDILVEYHDNIGDLEGPEEKDRAQAKVQKFITAFGT >DRNTG_07567.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21870851:21876206:1 gene:DRNTG_07567 transcript:DRNTG_07567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPQKRKRDDTPPPLDAGDATVENGVDLSLLEAIEKSAQNGGTVAVLDLRGLKKLVLSFERRLRDNLEARVMHPDQPDRFADSEVELHEELDRLKALAGAPELYADFVALNVVPSIVGLLGHENSDIASDVVNLLTDLTDADVLEDNDEPAAALIDSLLTNNVLELLVQNIGRLSEADPDEAAAIYNTLAIIENMIEVKPAVAEMVCERTKLLRWLLGRIKVREFDGNKQYASEILAILLQNSPANQKRLGQMNGVDAVLQAVAMYKSKDPKSSDEEEMLENLFDCLCCLLMPVENKERFMKSEGVELMIIIMKQKKSAYGSAIRALDFAMTKYPPACERFVDVLGLKTAFAAFMDSYEQEK >DRNTG_07567.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21872906:21876206:1 gene:DRNTG_07567 transcript:DRNTG_07567.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKNKKERYQEELEERIISLIASLFGGIVRGSRRDRLLSKFVENECEKIDRLMELYMRYSDRVKVESERMDELELDDLEMDEEERYNRRLEAGLYTLQLIAVILGHLWSSEHPQMQSRIELLLRQQRLSKKDVKDILVEYHDNIGDLEGPEEKDRAQAKVQKFITAFGT >DRNTG_09105.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16491:17970:1 gene:DRNTG_09105 transcript:DRNTG_09105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPIGRESDWIFCTAAGHLQLLLTSSSQDLFLSRLIFIGKFPNFSCPPMSYTRPQSEPDLCSLQHFQEALFPLLLALCPKAAFKNGVPEIPFLSYEDNVVRSVLVDRIEGPVSGEMLVEDVEIDVSPVPELRRRLRFKMMPNLVQTQPYLAPMVAGLSLVAPFLEEKIKLGLRPRALCLGVGGGTLPMFLQSKLDFDILGVEADPVVLNIARQHFGLVEGEFLHVHIGDAIGFIKNVAQQEDTLRRGLERMSDLVEGSRIDFDVIMVDLDAGDAVNGASAPPSEFVQRSVLLAAKMVLHDRGIIVINVIPQSKAFYSELIHDFHEVFAELYEIEVGNGENYVLVATVTNVQLSRSGSCGSWLQKLKLVIGEKHMNSINKI >DRNTG_18140.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:566592:567089:-1 gene:DRNTG_18140 transcript:DRNTG_18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITGSCHRHRFIILDPPICIMMLIIVNIEFNLYMVNRK >DRNTG_28454.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10798842:11179979:1 gene:DRNTG_28454 transcript:DRNTG_28454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCSLKRPPYGPVWGPSKSAGCLGRGKLPTYTAPIRGRMPNTKRLASKRPRTTEPSSTPDEPVFKLSHHRERYDRLKTKPFGTLCYLDWELVDNLGFASQVRDWLSHDCWDKLFAINEPTFRQLTLEVLSTFEARQDGESV >DRNTG_16078.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25622232:25628485:1 gene:DRNTG_16078 transcript:DRNTG_16078.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase 3 [Source:Projected from Arabidopsis thaliana (AT3G56310) UniProtKB/Swiss-Prot;Acc:Q8VXZ7] MRFDVAVLLFLSVTPAVIAGRQTPLLEDIVMPTTEVVRNPFDTSNYGKLQLNNGLALSPQMGWNSWNFFACNINEAVIKETADALVSTGLADLGYNYVNIDDCWSSLLRNAEGELVPDPKTFPSGIKALADYIHRKGLKIGIYSDAGAFTCQVRPGSLFHEKDDAGLFASWGIDYLKYDNCYNLGIPPKERYPPMRDALNSTGHAIFYSLCEWGQDDPALWAGKIGNSWRTTDDISDSWASMTTIADLNDQWASYAGPGGWNDPDMLEVGNGGMTYPEYRAHFSIWALMKAPLLIGCDVRHMTAETLEILSNKEVIAVNQDPLGVQGRKVSTEDKDGCSQVWAGPLSNNRLVVALWNRCSKPVKVTAKWNDIGLESSTKVSVRDLWKHEDVLENVAGDLAAKVDAHDCKMYILKPTEYVSPI >DRNTG_16498.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29785934:29789863:-1 gene:DRNTG_16498 transcript:DRNTG_16498.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLVRMLIQSKWEMAFQVDKGLQRSPKSTGSRSYEEPGSQMNMQRHMEQESSGKEEHRQFIGTDASKTHADVSTSVAIGALKLAVSENVADLNLETVGTNSGRFLEKSFPTEREEIQVESSNTASGKSPLQSEELNNGPSTSASSQQGTQSPSVAISPEDMHNSVFAVVEEEMGGDPAYLVAIIVEFL >DRNTG_16498.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29785934:29789863:-1 gene:DRNTG_16498 transcript:DRNTG_16498.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLVRMLIQSKWEMAFQVDKGLQRSPKSTGSRSYEEPGSQMNMQRHMEQESSGKEEHRQFIGTDASKTHADVSTSVAIGALKLAVSENVADLNLETVGTNSGRFLEKSFPTEREEIQVESSNTASGKSPLQSEELNNGPSTSASSQQGTQSPSVAISPEDMHNSVFAVVEEEMGGDPAYLVAIIVEFL >DRNTG_16498.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29785934:29789863:-1 gene:DRNTG_16498 transcript:DRNTG_16498.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLVRMLIQSKWEMAFQVDKGLQRSPKSTGSRSYEEPGSQMNMQRHMEQESSGKEEHRQFIGTDASKTHADVSTSVAIGALKLAVSENVADLNLETVGTNSGRFLEKSFPTEREEIQVESSNTASGKSPLQSEELNNGPSTSASSQQGTQSPSVAISPEDMHNSVFAVVEEEMGGDPAYLVAIIVEFL >DRNTG_13424.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16918049:16920876:1 gene:DRNTG_13424 transcript:DRNTG_13424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPALEDYGRVKLVLMPVALNKHYHLIVLDKDDRAYFHYSYVRSPVYYHAANAMRSLFEDRLQIKFNINEAPSYTLTHVRDCPQQREDSVDCPVYLMRFMEQLLHGEELSVPQSDVAHLRLEYVVRILSDGIT >DRNTG_12387.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6542563:6543536:-1 gene:DRNTG_12387 transcript:DRNTG_12387.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAKPSTVTAAAAAIAAGSANERRYRGVRKRPWGRFAAEIRDPWKRARVWLGTFDSAEDAARAYDAAALALHGSKAKINFPHPQDQIRATSSHSSTVESHSGPRISTPLPRAASSRHLDPPPPRDDQDCHSDCGSSSTVVDGDGDAAVSVYRGQPQQQQQRQQQQQQALPFDLNALPPCDEEDDFQCTMLRL >DRNTG_15437.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14960872:14961030:1 gene:DRNTG_15437 transcript:DRNTG_15437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFQDFSPIFVSWHYFLIPCIITTLPCSLPPSNHTPFSIEALRVKHLTGPL >DRNTG_09665.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:17866:21570:1 gene:DRNTG_09665 transcript:DRNTG_09665.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAHKKIKVECMDKEAWHLFKLFANEEIINSNENIKVLAMKVMEKCSGLPLALKVIGRATSNMKTPEEWRHMLRSLIKMDVRIVTGIEESLFHNLKVSYDNLASDTLRQCFLCCAQLCAGARIKVPDLIEHWIGCGLISDFGNMGEAFDEGYSLIAKLNEACLLEFYDINEYYVKLHDVIRGMALWIVSDCGKKKNKWIVCGSDDDLRQFSKWEAGNWEETELVSSKGTNFKKTVQKFLSDQNVDEKGQVSIAATSPRYPNLQSLFMNYYAIRDPSFEVVINFFPHMPSLTYLNLSNAPIASLPKEIQVLVNLQYLNISGTSIRSLPPEIEELKQLKYFFFRSPYFASEGELVRIKADGLSALSRLPELQVLDLYEHTCLEAGDLRILIYRNRIKGINMLVESVEILRLLKHLPTWKIHLKNIHDMPTLQLCDLSYKRDGEGLMELRISDCGFEDLLINGSGVSLKRLQLNGLAKLKQITWPVEAFRRGCFPKLTNVYIFKCTSLRSLSWVLHLPCLSTLLVQDCSAMKELIDPADQMQQASSGLPTFPNLRRLFLYRMPNLVSLSTCSLDFPVLFVLNLESCPKLKKLSFKPSIVNNKFEQVIVDQALWGSLEWEDTTIRSHLTKFHTAR >DRNTG_09665.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:17866:19963:1 gene:DRNTG_09665 transcript:DRNTG_09665.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAHKKIKVECMDKEAWHLFKLFANEEIINSNENIKVLAMKVMEKCSGLPLALKVIGRATSNMKTPEEWRHMLRSLIKMDVRIVTGIEESLFHNLKVSYDNLASDTLRQCFLCCAQLCAGARIKVPDLIEHWIGCGLISDFGNMGEAFDEGYSLIAKLNEACLLEFYDINEYYVKLHDVIRGMALWIVSDCGKKKNKWIVCGSDDDLRQFSKWEAGNWEETELVSSKGTNFKKTVQKFLSDQNVDEKGQVSIAATSPRYPNLQSLFMNYYAIRDPSFEVVINFFPHMPSLTYLNLSNAPIASLPKEIQVLVNLQYLNISGTSIRSLPPEIEELKQLKYFFFRSPYFASEGELVRIKADGLSALSRLPELQVLDLYEHTCLEAGDLRILIYRNRIKGINMLVESVEILRLLKHLPTWKIHLKNIHDMPTLQLCDLSYKRDGEGLMELRISDCGFEDLLINGSGVSLKRLQLNGLAKLKQITWPVEAFRRGCFPKLTNVYIFKCTSLRSLSWVLHLPCLSTLLVQDCSAMKELIDPADQMQQASSGLPTFPNLRRLFLYRMPNLVSLSTCSLDFPVLFVLNLESCPKLKKLSFKPSIVNNKFEQVIVDQALWGSLEWEDTTIRSHLTKFHTAR >DRNTG_14652.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5861225:5865907:1 gene:DRNTG_14652 transcript:DRNTG_14652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGASIAKDVTELIGNTPLVYLNNIVTGCRARIAAKLETMEPCSSVKDRIGYSMITDAEEKGLITPGKSVLIEPTSGNTGIGLAFMAAAKGYKLVLTMPASMTLERRIILKAFGAELVLTDPASGMKGAVAKAEELAAKTPHSYILQQFENPANPKIHYETTGPEIWKATGGKIDGLVSGIGTGGTITGSGKYLKEQNPNIKLYGVEPTESAILSGGKPGPHKIQGIGAGFVPGVLDVDIIDEVVQVSSDEAIETAKILALKEGLLVGISSGAAAAAAIRLAQRPEMEGKLIVVVFPSFGERYLSSVLFQSIRKEAETMIVEP >DRNTG_19212.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:15599307:15600625:1 gene:DRNTG_19212 transcript:DRNTG_19212.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast sensor kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67840) UniProtKB/Swiss-Prot;Acc:F4HVG8] MLLSSAITSQLLPHHCHSHSSHEKPSLRSLRSHSALRPVSLPPEPPNDSLSPPSAAAVAAAILKASPTSPVEFAERMEKPGKNGIITPSPDFQRLCIEQLELFRAVVHHEAVLSVYVLQKQLFQNCR >DRNTG_19212.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:15599307:15624664:1 gene:DRNTG_19212 transcript:DRNTG_19212.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast sensor kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67840) UniProtKB/Swiss-Prot;Acc:F4HVG8] MLLSSAITSQLLPHHCHSHSSHEKPSLRSLRSHSALRPVSLPPEPPNDSLSPPSAAAVAAAILKASPTSPVEFAERMEKPGKNGIITPSPDFQRLCIEQLELFRAVVHHEAVLSVFVRPAGSFIMNQLELRRVACYPRYDKSKNVDCAILVANFTLPAGLRSAEAALSKLQVEYIPEYEALVLPMVREPFVVGFLVAELLSVGPETRTKTEKVQENMAIDLSLPSFIDKVSEAQTSKEDVMNNCICLTTKQRAGAIMISRSLAMAYVMDQRILMQCIIKKVSMLILKMLLSLIWRCTITLNLARLTQEGKGLTKRAMLLQQSSWQNNFQISHLVEQIRGSLSSIRALSKMLSMQVKRSEVAYDIIEDILLQGNNMNGALQQLQDVVFLTKANVLWQNEETLRRMHDSVDVVAESPKPLLSDERSRETQDYGPQNIDPLAPFGSGKDIEMPMPPLLLAPSQLDIVGPCDVSYILRDLVGSARPLANLQQRSLELSELSQELHAAVEESGLRQALSNLIEGALLRTHVGGKVQVLTARAPAGGALIIIDDDGPDMHYMTQMHPLTPFGADLFSHGMVEDNVTWNFISGLTVAREILESYGCVIRVISPCRPDVALSAGGTRIEIWLPSLQSEFDSVQEE >DRNTG_19212.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:15624282:15624749:1 gene:DRNTG_19212 transcript:DRNTG_19212.14 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast sensor kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67840) UniProtKB/Swiss-Prot;Acc:F4HVG8] MSCFLSSHSFFFFLFYWQTQMHPLTPFGADLFSHGMVEDNVTWNFISGLTVAREILESYGCVIRVISPCRPDVALSAGGTRIEIWLPSLQSEFDSVQEE >DRNTG_19212.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:15604230:15624664:1 gene:DRNTG_19212 transcript:DRNTG_19212.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast sensor kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67840) UniProtKB/Swiss-Prot;Acc:F4HVG8] MNGALQQLQDVVFLTKANVLWQNEETLRRMHDSVDVVAESPKPLLSDERSRETQDYGPQNIDPLAPFGSGKDIEMPMPPLLLAPSQLDIVGPCDVSYILRDLVGSARPLANLQQRSLELSELSQELHAAVEESGLRQALSNLIEGALLRTHVGGKVQVLTARAPAGGALIIIDDDGPDMHYMTQMHPLTPFGADLFSHGMVEDNVTWNFISGLTVAREILESYGCVIRVISPCRPDVALSAGGTRIEIWLPSLQSEFDSVQEE >DRNTG_19212.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:15599307:15624664:1 gene:DRNTG_19212 transcript:DRNTG_19212.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast sensor kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67840) UniProtKB/Swiss-Prot;Acc:F4HVG8] MLLSSAITSQLLPHHCHSHSSHEKPSLRSLRSHSALRPVSLPPEPPNDSLSPPSAAAVAAAILKASPTSPVEFAERMEKPGKNGIITPSPDFQRLCIEQLELFRAVVHHEAVLSVFVRPAGSFIMNQLELRRVACYPRYDKSKNVDCAILVANFTLPAGLRSAEAALSKLQVEYIPEYEALVLPMVREPFVVGFLVAELLSVGPETRTKTEKVQENMAIDLSLPSFIDKVSEAQTSKEDVMNNCICLTTKQRAGAIMISRSLAMAYVMDQRAMLLQQSSWQNNFQISHLVEQIRGSLSSIRALSKMLSMQVKRSEVAYDIIEDILLQGNNMNGALQQLQDVVFLTKANVLWQNEETLRRMHDSVDVVAESPKPLLSDERSRETQDYGPQNIDPLAPFGSGKDIEMPMPPLLLAPSQLDIVGPCDVSYILRDLVGSARPLANLQQRSLELSELSQELHAAVEESGLRQALSNLIEGALLRTHVGGKVQVLTARAPAGGALIIIDDDGPDMHYMTQMHPLTPFGADLFSHGMVEDNVTWNFISGLTVAREILESYGCVIRVISPCRPDVALSAGGTRIEIWLPSLQSEFDSVQEE >DRNTG_19212.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:15604230:15624664:1 gene:DRNTG_19212 transcript:DRNTG_19212.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast sensor kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67840) UniProtKB/Swiss-Prot;Acc:F4HVG8] MHYMTQMHPLTPFGADLFSHGMVEDNVTWNFISGLTVAREILESYGCVIRVISPCRPDVALSAGGTRIEIWLPSLQSEFDSVQEE >DRNTG_19212.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:15623306:15624664:1 gene:DRNTG_19212 transcript:DRNTG_19212.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast sensor kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67840) UniProtKB/Swiss-Prot;Acc:F4HVG8] MHYMTQMHPLTPFGADLFSHGMVEDNVTWNFISGLTVAREILESYGCVIRVISPCRPDVALSAGGTRIEIWLPSLQSEFDSVQEE >DRNTG_19212.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:15600708:15624664:1 gene:DRNTG_19212 transcript:DRNTG_19212.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast sensor kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67840) UniProtKB/Swiss-Prot;Acc:F4HVG8] MHYMTQMHPLTPFGADLFSHGMVEDNVTWNFISGLTVAREILESYGCVIRVISPCRPDVALSAGGTRIEIWLPSLQSEFDSVQEE >DRNTG_19212.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:15604230:15624664:1 gene:DRNTG_19212 transcript:DRNTG_19212.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast sensor kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67840) UniProtKB/Swiss-Prot;Acc:F4HVG8] MLLQQSSWQNNFQISHLVEQIRGSLSSIRALSKMLSMQVKRSEVAYDIIEDILLQGNNMNGALQQLQDVVFLTKANVLWQNEETLRRMHDSVDVVAESPKPLLSDERSRETQDYGPQNIDPLAPFGSGKDIEMPMPPLLLAPSQLDIVGPCDVSYILRDLVGSARPLANLQQRSLELSELSQELHAAVEESGLRQALSNLIEGALLRTHVGGKVQVLTARAPAGGALIIIDDDGPDMHYMTQMHPLTPFGADLFSHGMVEDNVTWNFISGLTVAREILESYGCVIRVISPCRPDVALSAGGTRIEIWLPSLQSEFDSVQEE >DRNTG_19212.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:15604230:15624664:1 gene:DRNTG_19212 transcript:DRNTG_19212.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast sensor kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67840) UniProtKB/Swiss-Prot;Acc:F4HVG8] MHYMTQMHPLTPFGADLFSHGMVEDNVTWNFISGLTVAREILESYGCVIRVISPCRPDVALSAGGTRIEIWLPSLQSEFDSVQEE >DRNTG_11453.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12494123:12498727:1 gene:DRNTG_11453 transcript:DRNTG_11453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCKASRELSARTLYRIRNPSPRDRVLSTFRNQVYNMGSLDSKQAYMVWSVEKILRLNLYKLRVCVLLVFPLIFPRLMEVERMRREDGKRYPPTAVEDPATAVDIYNLYLYLGFSYLVDSDQVKSEALHSVGPSPVGCGRSVSGPGFGAGA >DRNTG_28278.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2912686:2915501:1 gene:DRNTG_28278 transcript:DRNTG_28278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQGCDPRPPPPLPSAPPPPPPPPPSQSTPWLRSLECGLEFLAAASNGIEEDDERL >DRNTG_28278.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2912791:2915501:1 gene:DRNTG_28278 transcript:DRNTG_28278.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQGCDPRPPPPLPSAPPPPPPPPPSQSTPWLRSLECGLEFLAAASNGIEEDDERL >DRNTG_28278.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2912716:2915501:1 gene:DRNTG_28278 transcript:DRNTG_28278.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQGCDPRPPPPLPSAPPPPPPPPPSQSTPWLRSLECGLEFLAAASNGIEEDDERL >DRNTG_29919.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3332:5801:1 gene:DRNTG_29919 transcript:DRNTG_29919.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTILDKYRKHHNATSDVTVPDKDNQDNYEEYLKLKAVVECLQKSQRNLLGADLGSMNVKELGQLENQIEMSLQQIRSKKTQLMLDNLCDLKRKEQILQDANKTLERKLIEVNARNTFHSQAFLHPSLQIGYNPVYLQQSNTQITSQISGFMPMDG >DRNTG_29919.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3981:5801:1 gene:DRNTG_29919 transcript:DRNTG_29919.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKEQILQDANKTLERKLIEVNARNTFHSQAFLHPSLQIGYNPVYLQQSNTQITSQISGFMPMDG >DRNTG_29919.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3691:5801:1 gene:DRNTG_29919 transcript:DRNTG_29919.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKELGQLENQIEMSLQQIRSKKTQLMLDNLCDLKRKEQILQDANKTLERKLIEVNARNTFHSQAFLHPSLQIGYNPVYLQQSNTQITSQISGFMPMDG >DRNTG_08527.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:19205819:19206721:1 gene:DRNTG_08527 transcript:DRNTG_08527.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPCQELPTRTRKGLFVRPRIHLSGSVPMKALSLTFFQMVRDVGA >DRNTG_25185.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001326.1:37660:38264:1 gene:DRNTG_25185 transcript:DRNTG_25185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMILDAFAEKLVDRLANVMEEKAIMVCGVKAELQRLQRRMARIGNVLEDAEKRRIQDKTVKGWVNELKDVMYDADDIIDLCMIQGAGLLQDDHSPPNSSSCPRVRCDFHLFSCFRGVRFRCEIAKKIKNLNVKLQEISEDKDRFNFITSSNSSDAYPMNEASYRQSSSLPEPDIVGWDIKDATESLVKLLVSQPE >DRNTG_15869.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25409995:25411758:-1 gene:DRNTG_15869 transcript:DRNTG_15869.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVLMFGGQVPVIKVGRMAGQFAKPRSEGFEEKNGVKLPSYRGDNVNGDAFDEKSRIPDPQRMIRAYCQAAATLNLLRAFAIGGYAAMQRVTQWNLDFTDHSEQGDRYKELAHRVDEALGFMAAAGLTVDHPIMTTTDF >DRNTG_15869.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25411236:25411758:-1 gene:DRNTG_15869 transcript:DRNTG_15869.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVLMFGGQVPVIKVGRMAGQFAKPRSEGFEEKNGVKLPSYRGDNVNGDAFDEKSRIPDPQRMIRAYCQAAATLNLLRAFAIGGYAAMQRVTQWNLDFTDHSEQGDRYSI >DRNTG_14567.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17698157:17698495:-1 gene:DRNTG_14567 transcript:DRNTG_14567.2 gene_biotype:protein_coding transcript_biotype:protein_coding RNIAFWTPEFKISKQFNSASPANLKTIIWPVKIGFNEFVNVVHDNSTNRTTVTGYCIDVFDAIMQSLPYAVPYDYLPFEIPGKNYTDL >DRNTG_14567.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17698157:17749410:-1 gene:DRNTG_14567 transcript:DRNTG_14567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGYYNSVKGMGNTNKWKEAEDRSTCEDRVQ >DRNTG_30649.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001609.1:2500:3705:-1 gene:DRNTG_30649 transcript:DRNTG_30649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILWSGRIFSKGSLAKPKAHLAESMLMKAPPITLFQRNAVLKAIGPLQKPCQCPLNPSRKRLQRWVATFSKN >DRNTG_12642.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1296151:1302140:-1 gene:DRNTG_12642 transcript:DRNTG_12642.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMGEKVGDLDFHLRSLTSNARDSSSASDPASDPHILQSVRKIYEICKEEGSEDLVARAHPQINKLFQRSVLALPNSQTSNGLLLLTILQFFLDFGEVVLHDADPSLRTFFRSCLSREFADPVVAEATLDFINRNKKKFLTSFPALLPQFFPLLLKLIAWNGTKLENSFLMVLPTMMSPGSFLPLFPSLLDLPILVIALEKVEKSSGTLIGSSIASIQKSKAPEMLLALMDEAYTGSTIEDRGTDSGSDDSSAIDVADPVFLDLLKDENDGIAERHWTSAGMAAALQAAINTAQSVRLKQALQLAPRFLSVYFEIALQDASDSLLCALLPLLMSRNATVYPDKIFSFEVRRRIIDFMLAVFQRSPDFVALLKKPIIDRLGEAYDNPEKTELALHLCWAIGEHGAGGVSHKNAARELFESLELLLYENLSSRKSSQARLLCFAVTAIAKLATCHRELLPRARVSLAKVARSQNSDKRVWRRARDCLGLMNEPAICSSVLGPSSGGDQGPGTVKWNEGGSKMISHLPFYILSEQEGPPFHDFSFVDILRRPDQDPVV >DRNTG_12642.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1296151:1302140:-1 gene:DRNTG_12642 transcript:DRNTG_12642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMGEKVGDLDFHLRSLTSNARDSSSASDPASDPHILQSVRKIYEICKEEGSEDLVARAHPQINKLFQRSVLALPNSQTSNGLLLLTILQFFLDFGEVVLHDADPSLRTFFRSCLSREFADPVVAEATLDFINRNKKKFLTSFPALLPQFFPLLLKLIAWNGTKLENSFLMVLPTMMSPGSFLPLFPSLLDLPILVIALEKVEKSSGTLIGSSIASIQKSKAPEMLLALMDEAYTGSTIEDRGTDSGSDDSSAIDVADPVFLDLLKDENDGIAERHWTSAGMAAALQAAINTAQSVRLKQALQLAPRFLSVYFEIALQDASDSLLCALLPLLMSRNATVYPDKIFSFEVRRRIIDFMLAVFQRSPDFVALLKKPIIDRLGEAYDNPEKTELALHLCWAIGEHGAGGVSHKNAARELFESLELLLYENLSSRFVFLFLYILSFDIVSSSLVANQTSSIFPHKVDLGLSLDASIDSMTATSRKSSQARLLCFAVTAIAKLATCHRELLPRARVSLAKVARSQNSDKRVWRRARDCLGLMNEPAICSSVLGPSSGGDQGPGTVKWNEGGSKMISHLPFYILSEQEGPPFHDFSFVDILRRPDQDPVV >DRNTG_12642.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1296151:1302140:-1 gene:DRNTG_12642 transcript:DRNTG_12642.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMGEKVGDLDFHLRSLTSNARDSSSASDPASDPHILQSVRKIYEICKEEGSEDLVARAHPQINKLFQRSVLALPNSQTSNGLLLLTILQFFLDFGEVVLHDADPSLRTFFRSCLSREFADPVVAEATLDFINRNKKKFLTSFPALLPQFFPLLLKLIAWNGTKLENSFLMVLPTMMSPGSFLPLFPSLLDLPILVIALEKVEKSSGTLIGSSIASIQKSKAPEMLLALMDEAYTGSTIEDRGTDSGSDDSSAIDVADPVFLDLLKDENDGIAERHWTSAGMAAALQAAINTAQSVRLKQALQLAPRFLSVYFEIALQDASDSLLCALLPLLMSRNATVYPDKIFSFEVRRRIIDFMLAVFQRSPDFVALLKKPIIDRLGEAYDNPEKTELALHLCWAIGEHGAGGVSHKNAARELFESLELLLYENLSSRLESGRVY >DRNTG_32354.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9609569:9610908:1 gene:DRNTG_32354 transcript:DRNTG_32354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLAKIIHSNGFHITFINSKNKHKHLLNSRGPLSLDGLHDFQYKIIPDGLPSSWFDDTQDILSLCYSTMTTCLPFFCQLLIDLMDPHANSSFASVTCIIAYGVMSFTLDANVELGIPEFLFRATSAYDFMCYLYYHHLIAKFLAPL >DRNTG_32354.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9609970:9610908:1 gene:DRNTG_32354 transcript:DRNTG_32354.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLAKIIHSNGFHITFINSKNKHKHLLNSRGPLSLDGLHDFQYKIIPDGLPSSWFDDTQDILSLCYSTMTTCLPFFCQLLIDLMDPHANSSFASVTCIIAYGVMSFTLDANVELGIPEFLFRATSAYDFMCYLYYHHLIAKFLAPL >DRNTG_32354.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9609569:9610806:1 gene:DRNTG_32354 transcript:DRNTG_32354.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLAKIIHSNGFHITFINSKNKHKHLLNSRGPLSLDGLHDFQYKIIPDGLPSSWFDDTQDILSLCYSTMTTCLPFFCQLLIDLMDPHANSSFASVTCIIAYGVMSFTLDANVELGIPEFLFRATSAYDFMCYLYYHHLIAKFLAPL >DRNTG_32354.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9609970:9610806:1 gene:DRNTG_32354 transcript:DRNTG_32354.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLAKIIHSNGFHITFINSKNKHKHLLNSRGPLSLDGLHDFQYKIIPDGLPSSWFDDTQDILSLCYSTMTTCLPFFCQLLIDLMDPHANSSFASVTCIIAYGVMSFTLDANVELGIPEFLFRATSAYDFMCYLYYHHLIAKFLAPL >DRNTG_30016.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001538.1:30861:32543:1 gene:DRNTG_30016 transcript:DRNTG_30016.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIDDHHEAEGETFQDILIDRSLYQIIKRQHLESKPSEGFRKTFLNKLKRQKHVYYLEKHCEVKYTGNIIVLPLLSKSDIKPELSKIGIDVEKTVVHLGPVRVMIQATFREGLNTPLNLVLQDARFIPGIAATYGVIEANLCYQKLIFTLYPGHAVSLAGHYDKILVLLQDFKRRDLLVDGSCPYTITYHVSFALKNGVFYEDKDFIEIPEVFRSVGRVHPPEYVENLKMPEEI >DRNTG_30016.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001538.1:26624:28357:1 gene:DRNTG_30016 transcript:DRNTG_30016.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNLIEEMEVIDDHHEAEGEPFQDILIDRSLYQKIRRQHLEFKPYEGFRKTFLDKIRRQKHVYYLEKLCEVKYSGNRIALPLLIKSDIESELWRYGRNREKLIVHLGAARIMIKATFREGLDTPLNLILLDNRIISRKAATYGIIEANLCYQKLIFTVYPKHSVPLKDEHLDKALVLIQDFKRKDLMKDGSYPYTITYHVSYAVNSLHDSVNFEDKDFIEIPEVFKSVGKVHPPEYLDKIEIPEEIEIKSEGTAGQIKETGSGVWTFNFGSGQSSWD >DRNTG_30016.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001538.1:26624:32543:1 gene:DRNTG_30016 transcript:DRNTG_30016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIDDHHEAEGETFQDILIDRSLYQIIKRQHLESKPSEGFRKTFLNKLKRQKHVYYLEKHCEVKYTGNIIVLPLLSKSDIKPELSKIGIDVEKTVVHLGPVRVMIQATFREGLNTPLNLVLQDARFIPGIAATYGVIEANLCYQKLIFTLYPGHAVSLAGHYDKILVLLQDFKRRDLLVDGSCPYTITYHVSFALKNGVFYEDKDFIEIPEVFRSVGRVHPPEYVENLKMPEEI >DRNTG_02242.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1083912:1086164:-1 gene:DRNTG_02242 transcript:DRNTG_02242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGSGGSGVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNSVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMTCVNHETGVVEAKKFGMLMNWQREYTMEDILTQLKKEMAGPHNRKLVQPPEGSFF >DRNTG_31453.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:779377:781311:-1 gene:DRNTG_31453 transcript:DRNTG_31453.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFKVISSSGHSRLFLDLGSTVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKSSSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQDAEKYKSEDEEHKKKVESKNALENYAYNMRNTIKDDKIASKLDSADKKKIEDAIDGAIQWLDSNQLAEADEFDDKMKELEGICNPIIAKMYQGAGADMAGGMDEDGPSAAGAGGAGPKIEEVD >DRNTG_31453.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:779377:781311:-1 gene:DRNTG_31453 transcript:DRNTG_31453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSHTHLLYFPDAKRLIGRRFSDASVQSDIKLWPFKVIPGPGDKPMIVVQYKGEDKQFAAEEISSMVLIKMREIAEAYLGSTVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKSSSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQDAEKYKSEDEEHKKKVESKNALENYAYNMRNTIKDDKIASKLDSADKKKIEDAIDGAIQWLDSNQLAEADEFDDKMKELEGICNPIIAKMYQGAGADMAGGMDEDGPSAAGAGGAGPKIEEVD >DRNTG_27814.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27278757:27280382:-1 gene:DRNTG_27814 transcript:DRNTG_27814.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPSWGHLRCFSSSSSSLSHLSLISSLLNKCSTLKHISQAHAFMLHRGLDMDAVLLSKLILACSLLGFTDLAYSIFKHRECHDVYAYNTMIRSLSRAGFAKDAIFLFNGIQATGLRPDTYSFPFALKAAAHLSVLELGQELHGQIVRLGFGLDVHVMTGLIHMYSFCGGIDHARKLFDGIPQRDVVVWNAMVAGYVRHGELESARVLFEQMPERNVISWTAVIAGYAQMNRAAEAIEIFRRMQLEGGVDPDEIALLAALSACAQLGALDLGEWIHRYTKKRGFYKIVPLMNALIDMYAKCGNIEEALNVFESMQSRSVITWTIMIAGFALHGLGNEALNMFKRMEMQNVRPNDVTFIAILSACSHAGQTDLGRLYFDNMSSCYNVEPKIEHYGCMVDLLGRAGCLKEARDLIKDMPFKANAAIWGALLAAARTCGDVELGEYALRKLIEVEPHNSGNYTLLSNIYAAHGKWEGVGKLRKMMKVESVKKVPGGSSIEVDGTVHEFTSRDGSHPCFKMIYEVLYSIDGHLRIRGFPPMLYGE >DRNTG_17049.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22240175:22242611:1 gene:DRNTG_17049 transcript:DRNTG_17049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISYKDIANVLNAVIPLYVTMFLAYASVKWWKLFTPDQCSGINRFVAVFAIPLLSFEFISRINPFKLNYLFVAADAVSKVLVLLLLLFWSTFSENGSLDWCITAFSLTTLPNTLVMGIPLLKAMYGDNQEALMIQVVVLQSVIWYTLLLFLFELRAARNDLVLLVKVSDQMTRCSSTSRSSTKAEKNSDQDQQSIAQESDDQEDEVVHVIVAKPMSSSSPDLEQQRDRVVLHQNSNKVAPDSSEDEEYGKELHMFVWRCACCSNSEGLCEHSVQVCSRKDEESGDGGEKELDECEKREEQVLEKGSQVCSCMSTKMVRLIMTMVWSKLIRNPNTYASLFGLIWALVSSKWNIKKPAVMENSVTILSNAGLGMAMFSLGLFMALQPRIIACGSKLAAYGMLMRFIAGPAFMAIASIVVGVRGKTLKVSIVQAALPQGIVPFVFAREYNLHSEGYLRNDYFIAYHNTVLCVVGLVNLSVRNQLK >DRNTG_25806.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2654311:2659345:-1 gene:DRNTG_25806 transcript:DRNTG_25806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPRLRSVNGDDAEARPVLGPAGNKARALPSARKPSPKPSRKPEKESGFNSEEKKSRSPSSEPRPLVSALSAPSALRRHELLMHSNLSLTASCSSDASTDSFCSRASTGRIGRTGLISRRKQCVPRPEKIAAKLEKIALDSSLTSSQESLQLHGKRRCAWVTPNTDPLYAVFHDEEWGVPVHDDKKLFELLVLSGALAELTWPVILSKRHIFREVFMDFDPVAVSKMNEKKILAPGSMASSLLSEPKLRAIIENARQILKVIEEFGSFDSYCWSFVNNKPIVSRFRYSRQVPVKTPKADVISKDLVRRGFRSVGPTVIYSFMQASGITK >DRNTG_18453.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19524711:19526146:-1 gene:DRNTG_18453 transcript:DRNTG_18453.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNLKNHAFSLLIHSLHTFILLACITSETYIFINKYHPLHHLIPLFFILVFPNLSKPSSQVFLLDFSCLKPSPSLRVPSAALMEHLSMIEGFDQESLSFLSKVTSSSGLGEETYFPPSLRHLPPRTDHKNCIQEAHMLFFPILQDLFSKTRISPQEIDILVLNCSAFCSSPSLSSIIVNRFAMRDNVKTFNLSGMGCSAGVISIDIARTLLQLNRGSFALIISTEVLSTGWYSGKDQRKLLLNCVFRSGSAAVLLTNKKVKNLKYKLLHLVRTQRAFDDTGYHSAIREEDSKGITGVSIERNLLHVARDLLRSHVIILGKIILPFRERAKYVIMVLIFKVVSSDKKRSPVPEFRAAVKHFCMPASGRGMIKEMGKGLGLGEREIEAALMTFRRFGNQSSSSMWYQLGYMEGKQRIRKGDKVWQLGMGTGPKCNSVVWECLRVIKG >DRNTG_31609.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:695305:696642:-1 gene:DRNTG_31609 transcript:DRNTG_31609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRFGNSAALVESIPVAEYQGRPLADHPGIKHGRPPGALLVPRPPLDPTTVAEAVSVISCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGSAPINLTDRLHQVLRWATGSVEIFFSRNNALFASSRLKLLQRISYLNVGIYPFTSLFLIVYCFLPALSLFSGQFIVQTLNVTFLIYLLIISLALIMLAILEVRWS >DRNTG_28713.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18528518:18529645:1 gene:DRNTG_28713 transcript:DRNTG_28713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSKPPENAYVKQVYDSLSSVAKEMGIAIGIKHKKINISNPRVAWEHEQFSRLRVTAMTLSELSSPPEFLESTGGLFDTRSFVDETAIYRSVKLVAESLAVSSFLINFCLFSCC >DRNTG_18989.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22697698:22700248:-1 gene:DRNTG_18989 transcript:DRNTG_18989.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEDCYRQVQRPKYDCLLFDLDDTLYPLSTGIATACTNNIREYMVKELGIEESKIGHLSDLLYKNYGTTMAGLRGIGYEFDYDKYHAFIHGRLPYERLKPDPVLRNLLLSLPIRKLIFTNADKDHAARALSRLGLEDCFEGIICFETLNPPCKGFSGEGSCCN >DRNTG_24896.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:353205:355668:-1 gene:DRNTG_24896 transcript:DRNTG_24896.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor UNE10 [Source:Projected from Arabidopsis thaliana (AT4G00050) UniProtKB/Swiss-Prot;Acc:Q8GZ38] MSQCVPTCDTDDPPNMTANAPPTLLHHHHHQHHPSNRPHVSSEYEVAELTWENGNVAMHGLGHARISKPIAKYPSTTGSTVGWDKQHQSGTLESIVDQATNNQIQVSSPGHPSFMSWLGGAHPHVAASGVDALVPSSNSFGHRAVEASDQVPNLPSVDGSSAGGGGRGRKRTRGGEGVWGCPSQGSVAPTVMTLDDTCEFYGGEDVGFTTTSSPDGEADDGGGLPDTENTSLGGHDSFCQSKRSQKRDAVADEEGEKVNKGELGMSSLSTKRSRAAAIHNQSERKRRDRINEKMRTLQKLVPNSSKTDKASMLDEVIDYLKQLQAQVQMMNRMSGFPQMMMPMAMPQLQMSLMANMAHMAQMTQMGLGMGMGMGMMDMAAINRAGHPAIPPLLHPSAFASLAAGGSWEASGDRMQPPGAPVPMDSLSAFMAHPSQPMSMDAYSKMAALYQRLYQQQQHLQQQQQQDQQQQQHLQQQQQQQQSNPKRTI >DRNTG_24896.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:353232:355746:-1 gene:DRNTG_24896 transcript:DRNTG_24896.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor UNE10 [Source:Projected from Arabidopsis thaliana (AT4G00050) UniProtKB/Swiss-Prot;Acc:Q8GZ38] MSQCVPTCDTDDPPNMTANAPPTLLHHHHHQHHPSNRPHVSSEYEVAELTWENGNVAMHGLGHARISKPIAKYPSTTGSTVGWDKQHQSGTLESIVDQATNNQIQVSSPGHPSFMSWLGGAHPHVAASGVDALVPSSNSFGHRAVEASDQVPNLPSVDGSSAGGGGRGRKRTRGGEGVWGCPSQGSVAPTVMTLDDTCEFYGGEDVGFTTTSSPDGEADDGGGLPDTENTSLGGHDSFCQSKRSQKRDAVADEEGEKVNKGELGMSSLSTKRSRAAAIHNQSERKRRDRINEKMRTLQKLVPNSSKTDKASMLDEVIDYLKQLQAQVQMMNRMSGFPQMMMPMAMPQLQMSLMANMAHMAQMTQMGLGMGMGMGMMDMAAINRAGHPAIPPLLHPSAFASLAAGGSWEASGDRMQPPGAPVPMDSLSAFMAHPSQPMSMDAYSKMAALYQRLYQQQQHLQQQQQQDQQQQQHLQQQQQQQQSNPKRTI >DRNTG_28606.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28528880:28530737:-1 gene:DRNTG_28606 transcript:DRNTG_28606.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNVAVPEMTQNSSKPMQYPPPRSGNAGASYPIHKRFLARLEVCNHGVTPNAWTDSMKISSPTQAMSVSTLVDEAYIAWTARYPSALDRFEEIIGASKGKQIVMFLDYDGTLSPIVEDPDRAFMSDTMRDAVRDIASYFPTAIVSGRCRDKVYSFVRLAELYYAGSHGMDIKGPVKGPKNTKSKTKAVLFQPASEFLPMINEVFKTLLETTKSTPGAKVENNKFCVSVHFRCVEEKKWISLAEQVRSVLKEYPKLRITQGRKVLEIRPTIKWDKGKALEFLLESIGFANCDHVLPVYIGDDRTDEDAFK >DRNTG_28606.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28530284:28530737:-1 gene:DRNTG_28606 transcript:DRNTG_28606.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNVAVPEMTQNSSKPMQYPPPRSGNAGASYPIHKRFLARLEVCNHGVTPNAWTDSMKISSPTQAMSVSTLVDEAYIAWT >DRNTG_28606.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28529201:28530737:-1 gene:DRNTG_28606 transcript:DRNTG_28606.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNVAVPEMTQNSSKPMQYPPPRSGNAGASYPIHKRFLARLEVCNHGVTPNAWTDSMKISSPTQAMSVSTLVDEAYIAWTARYPSALDRFEEIIGASKGKQIVMFLDYDGTLSPIVEDPDRAFMSDTMRDAVRDIASYFPTAIVSGRCRDKVYSFVRLAELYYAGSHGMDIKGPVKGPKNTKSKTKAVLFQPASEFLPMINEVFKTLLETTKSTPGAKVENNKFCVSVHFRCVEEKKWISLAEQVRSVLKEYPKLRITQGRKV >DRNTG_28606.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28528410:28530737:-1 gene:DRNTG_28606 transcript:DRNTG_28606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNVAVPEMTQNSSKPMQYPPPRSGNAGASYPIHKRFLARLEVCNHGVTPNAWTDSMKISSPTQAMSVSTLVDEAYIAWTARYPSALDRFEEIIGASKGKQIVMFLDYDGTLSPIVEDPDRAFMSDTMRDAVRDIASYFPTAIVSGRCRDKVYSFVRLAELYYAGSHGMDIKGPVKGPKNTKSKTKAVLFQPASEFLPMINEVFKTLLETTKSTPGAKVENNKFCVSVHFRCVEEKKWISLAEQVRSVLKEYPKLRITQGRKVLEIRPTIKWDKGKALEFLLESIGFANCDHVLPVYIGDDRTDEDAFKVLRDRGQGIGILVSKFPKDTNASYSLREPTEVMDFLHRLVDWKRNS >DRNTG_28606.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28528693:28528953:-1 gene:DRNTG_28606 transcript:DRNTG_28606.5 gene_biotype:protein_coding transcript_biotype:protein_coding GFANCDHVLPVYIGDDRTDEDAFKVLRDRGQGIGILVSKFPKDTNASYSLREPTE >DRNTG_13660.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17181953:17190053:-1 gene:DRNTG_13660 transcript:DRNTG_13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQNAMTSKEPIKVGEELPLNRRRPSPQTLAASLSMSMLKAPQKVSLESAKRCIYSLTSRLSRAPTHPRGLQEFPRGRGTV >DRNTG_20818.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22137154:22139938:-1 gene:DRNTG_20818 transcript:DRNTG_20818.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 28 [Source:Projected from Arabidopsis thaliana (AT2G35770) UniProtKB/Swiss-Prot;Acc:Q8S8K6] MHQATLLSCFSNPTTSTTSLLIPSSPSQPHSYSLSPSMAFSFSFSLLLSFLLLSVSHSTYLEAQEKDKVTALPGQPLNLSFSHYSGYVTVDEVAGRALFYWLIEAIDRKTMAGESLPLVLWLNGGPGCSSIAYGASEEIGPFRISGDGRSLFLNPYSWNNVANLLFLESPAGVGFSYSNTTSDLLTTGDQRTANDTYVFLVNWLERFPQYKNRDFYIAGESYAGHYVPQLCHLILQNNKQNQTQIINLKGFMVGNAVTDDYYDYVGTFEFWWNHGLISDTTYQNLKQACYSTSSINPTYDCMTALELASSEQGNIDPYSIYTPPCTNSSSLKINRKSHYPWMHKAYDPCSDRHAKMYYNLPQVQEAMHANATGLPYPWDTCSDLLYTNWGDSPRSMLPLYKELIAAGLRVWMFSGDTDAVVPLSATRYSIDALKLPTVSNWYPWYDKGKVGGWSQVYEGLTYVTIAGAGHEVPLHKPRQALILISHFLKGTPMPSS >DRNTG_26110.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20836601:20840149:1 gene:DRNTG_26110 transcript:DRNTG_26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLDSSEKSISSEPSTFNAGSSTTTTASKFSSISSTTTLGLSTGSDFSVSEKCQIAPQGQILEVPNLRIFTFAELRSATRNFKPDSVLGEGGFGTVFKGWLDEKTLAPAKSGAGMVVAVKKLNPESMQGFEEWQSEVNFLGRLSHPNLVKLLGYCLEEKELLLVYEFMAKGSLENHLFRRGAAFDPLSWNLRLKIAIGAARGLAFLHTSEKQIIYRDFKASNILLDTNYNAKLSDFGLAKHGPTGGDSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLSGQRALDTNRPSGQHNLVDWAKPYLSDRRKLARLMDPRLEGQYPSKGVLHAAQLTLKCLASEPRNRPSMKEVVETLEQIEAIKSRSKDSTKDATAPRHASRRPAQSPVHHRSPLHPKHDGTGSGVRVNHHSPKLR >DRNTG_16037.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25939567:25941748:-1 gene:DRNTG_16037 transcript:DRNTG_16037.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESFQGFIIFITFVVLLSAYQYKAQSPGSSTIPAKQLDSVLQGYGFSAFVRPHTGVIYDANVPVNLTGIKVSVLRLRSGSLLGRGVHSFKEFDIPVGVWVHPYVERLVFVYQNLGNWSSVYYPLPGFRYLAPVLSLLIYDAANLSATNLPEIDFVASKLPISINFTNVAPVPSGLTPKCVWFNLDGSPEFRELVTDNVCSTYRQGHFSIVVNSTGLAPSPAPSAGPNVGPIPVRHKSHNSTVWKIVGGVLGGFVILVLAAWLLGLLLRYTQKKKVAKMEQHAEVGETLRMARVGNAQAPVAYGTRTQPMLENEYVA >DRNTG_16037.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25939567:25941685:-1 gene:DRNTG_16037 transcript:DRNTG_16037.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESFQGFIIFITFVVLLSAYQYKAQSPGSSTIPAKQLDSVLQGYGFSAFVRPHTGVIYDANVPVNLTGIKVSVLRLRSGSLLGRGVHSFKEFDIPVGVWVHPYVERLVFVYQNLGNWSSVYYPLPGFRYLAPVLSLLIYDAANLSATNLPEIDFVASKLPISINFTNVAPVPSGLTPKCVWFNLDGSPEFRELVTDNVCSTYRQGHFSIVVNSTGLAPSPAPSAGPNVGPIPVRHKSHNSTVWKIVGGVLGGFVILVLAAWLLGLLLRYTQKKKVAKMEQHAEVGETLRMARVGNAQAPVAYGTRTQPMLENEYVA >DRNTG_16037.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25939567:25941845:-1 gene:DRNTG_16037 transcript:DRNTG_16037.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFQGFIIFITFVVLLSAYQYKAQSPGSSTIPAKQLDSVLQGYGFSAFVRPHTGVIYDANVPVNLTGIKVSVLRLRSGSLLGRGVHSFKEFDIPVGVWVHPYVERLVFVYQNLGNWSSVYYPLPGFRYLAPVLSLLIYDAANLSATNLPEIDFVASKLPISINFTNVAPVPSGLTPKCVWFNLDGSPEFRELVTDNVCSTYRQGHFSIVVNSTGLAPSPAPSAGPNVGPIPVRHKSHNSTVWKIVGGVLGGFVILVLAAWLLGLLLRYTQKKKVAKMEQHAEVGETLRMARVGNAQAPVAYGTRTQPMLENEYVA >DRNTG_16037.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25939567:25941685:-1 gene:DRNTG_16037 transcript:DRNTG_16037.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESFQGFIIFITFVVLLSAYQYKAQSPGSSTIPAKQLDSVLQGYGFSAFVRPHTGVIYDANVPVNLTGIKVSVLRLRSGSLLGRGVHSFKEFDIPVGVWVHPYVERLVFVYQNLGNWSSVYYPLPGFRYLAPVLSLLIYDAANLSATNLPEIDFVASKLPISINFTNVAPVPSGLTPKCVWFNLDGSPEFRELVTDNVCSTYRQGHFSIVVNSTGLAPSPAPSAGPNVGPIPVRHKSHNSTVWKIVGGVLGGFVILVLAAWLLGLLLRYTQKKKVAKMEQHAEVGETLRMARVGNAQAPVAYGTRTQPMLENEYVA >DRNTG_07586.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22175799:22180847:1 gene:DRNTG_07586 transcript:DRNTG_07586.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPFAVSGKRSPRSPTAGGAGSSWKTTGRCLTFLLLLAAVAFPTVVLYRAVDHRHYSYRSLRSDLNASALLSDSDWLSEAAAQDTVELRLDKILQEASMEDKTVILTTLNSAWARSGSVVDLFIESFRIGIGTRVLLNHLVIITLDPKAYERCLLLHTHCFPLATEGIDFSGEEYFMSNGYLEMMWRRIDFLRTILEMGYSFIFTDVDIMWFRNPLPNFYSDVDFQTACDHFFGNSTDLGNRANGGFNYVKSNNRSIEFYKYWYSSRERFPGHHDQDVFNTIKYDPFVTEIGLTMRFLSTTFFGGLCEPSRDLNKVCTMHANCCIGLESKLHDLRIMLDNWREFMSLPHNMKNSGIVSWRVPQNCSIAALFRTPSF >DRNTG_07586.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22177453:22177926:1 gene:DRNTG_07586 transcript:DRNTG_07586.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKTVILTTLNSAWARSGSVVDLFIESFRIGIGTRVLLNHLVIITLDPKAYERCLLLHTHCFPLATEGIDFSGEEYFMSNGYLEMMWRRIDFLRTILEMGYSFIFT >DRNTG_07586.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22175799:22187552:1 gene:DRNTG_07586 transcript:DRNTG_07586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSTKDLRICDLLINCNWNVAALNLIFGFNWNSPIISHGKISFDGVTHWVWSPESQGTKLSSNIYKFLNKNLLIEQQWIGWSNIWKLRVAPKTKTFIWMLLHDKLKTYEYMYRLNLGPPDPCVFCGLVLESFDHLFKSCHVSVRIWKTVEILADIKVNLLNLVTGGDWLDFSSNGNSLFLAFIIAATLWQIWKSRYNRIFRQDNPDIYKIANLVILHVKDFSISTNNHLMGNYMMNNRPRLGALGVFSAAAWNLATCKGGMGFMIINFNVTVCGVGLCPINLADSLDMNLKALCWAFDYIIESKDCCSNIYISSEDLWRKINCNEDLVSWRQGASMDCLLRLLRHLNQPQIEVIPHHWNRVTTVLAGHGMHASQLSLFHMGMKKPK >DRNTG_07586.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22179490:22187552:1 gene:DRNTG_07586 transcript:DRNTG_07586.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSTKDLRICDLLINCNWNVAALNLIFGFNWNSPIISHGKISFDGVTHWVWSPESQGTKLSSNIYKFLNKNLLIEQQWIGWSNIWKLRVAPKTKTFIWMLLHDKLKTYEYMYRLNLGPPDPCVFCGLVLESFDHLFKSCHVSVRIWKTVEILADIKVNLLNLVTGGDWLDFSSNGNSLFLAFIIAATLWQIWKSRYNRIFRQDNPDIYKIANLVILHVKDFSISTNNHLMGNYMMNNRPRLGALGVFSAAAWNLATCKGGMGFMIINFNVTVCGVGLCPINLADSLDMNLKALCWAFDYIIESKDCCSNIYISSEDLWRKINCNEDLVSWRQGASMDCLLRLLRHLNQPQIEVIPHHWNRVTTVLAGHGMHASQLSLFHMGMKKPK >DRNTG_04090.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5418282:5420905:-1 gene:DRNTG_04090 transcript:DRNTG_04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEEEPEIERLPVDILEFIFSLIPSFSELAQASAVCRKWKRGVEQSLAQRERLSLSGFNVDDDIAARIVHGAYGLKELDISRSCWRCNLTDEGLYKISLAKCVGNLTSISMWGMARITDKGVIPLVSEATSLRHLNVGGTFITDESLFAIANSCPHLKAIVLWSCRHVTETGLIMLVNKCRKLESINVWGMRVPRNFFLDLIAISPALQIRPPSLHPKIVRMWSIPQISNI >DRNTG_31145.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:329026:329752:-1 gene:DRNTG_31145 transcript:DRNTG_31145.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDYKLSSYSLNSVSAHFLGEQVSFSFLDLLSYLLQFKNLCSVGPLEG >DRNTG_31145.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:323372:326192:-1 gene:DRNTG_31145 transcript:DRNTG_31145.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIEHTKKLVEEKFTTMGGYDYNAEIVYGDTDSVMVQFGVSTVESAMKLGREAADYISGTFIKPIKLEFEKVYYPYLLISKKRYAGLYWTNPDKFDKMDTKGIETVRRDNCLLVKNLVNECLHKILIDRDVPGAVQYVKNTISDLLMNRMDLSLLVITKGLTKMGDDYAVKAAHVELAERMRKRDAATAPNVGDRVPYVIIKAAKGAK >DRNTG_31145.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:321736:327476:-1 gene:DRNTG_31145 transcript:DRNTG_31145.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAYNLCYCTLVTSEDARQLNLPADSYNKTPSGEIFVKSDLQKGILPEILEELLAARKRAKADLKEAKDPLERAVLDGRQLALKISANSVYGFTGATIGQLPCLEISSSVTSYGRQMIEHTKKLVEEKFTTMGGYDYNAEIVYGDTDSVMVQFGVSTVESAMKLGREAADYISGTFIKPIKLEFEKVYYPYLLISKKRYAGLYWTNPDKFDKMDTKGIETVRRDNCLLVKNLVNECLHKILIDRDVPGAVQYVKNTISDLLMNRMDLSLLVITKGLTKMGDDYAVKAAHVELAERMRKRDAATAPNVGDRVPYVIIKAAKGAKAYEKSEDPIYVLENNIPIDPQYYLENQISKPLLRIFEPILKNASKELLRGSHTRAVSISTPSNSGIMKFAKKQLSCLGCKTVISGENQTLCSHCKGREAELYCKTVTNVADLEMLFGKLWTQCQECQGSLHQDVLCTSRDCPIFYRRKKAQKDMAEARMQLDRWNF >DRNTG_31145.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:326305:329397:-1 gene:DRNTG_31145 transcript:DRNTG_31145.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDYKLSSYSLNSVSAHFLGEQKEDVHHSIISDLQNGNSETRRRLAVYCLKDAYLPQRLLDKLMYVYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKQKNLVIPNIKGQGSGQDTFEGATVLEAKAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVTSEDARQLNLPADSYNKTPSGEIFVKSDLQK >DRNTG_31145.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:321736:332702:-1 gene:DRNTG_31145 transcript:DRNTG_31145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSSKRRGPPAAGASAPSKRFQGNNAGSHNPSPSAQQPRPAAIEDEMMDEDVFLEESIMMHEEDEEALLLLRDEALASRLSRWKRPPLPFSFTPSSNSIIFQQLEIDYVISESHKELLPNSFGPAAILRIFGVTREGHSVCCHIHGFEPYFYITCPAGMGPDDVSMFQQTLEGRMRESNRNTNVPKFVRRVEMVRKKSIMYYQAKDPHIFLKIVVALPTMVASCRGILEKGIQIEGLGSKCFITYESNILFALRFMIDCGIVGGNWIEVPAGKYKMTTKSISYCQLEVDCLYSELISHAPEGEFSKMAPFRILSFDIECAGRKGLFPEPSHDPVIQIANLVTLQGDDKPFVRNVMTLKSCSSIVGVDVMSFETERDVLVAWRDFIREVDPDIIIGYNICKFDLPYLIERAEALKIGEFPILGRIRNSRVRVRDTTFSSRQYGVRESKEVTIEGRVQFDLLQAMQRDYKLSSYSLNSVSAHFLGEQKEDVHHSIISDLQNGNSETRRRLAVYCLKDAYLPQRLLDKLMYVYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKQKNLVIPNIKGQGSGQDTFEGATVLEAKAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVTSEDARQLNLPADSYNKTPSGEIFVKSDLQKGILPEILEELLAARKRAKADLKEAKDPLERAVLDGRQLALKISANSVYGFTGATIGQLPCLEISSSVTSYGRQMIEHTKKLVEEKFTTMGGYDYNAEIVYGDTDSVMVQFGVSTVESAMKLGREAADYISGTFIKPIKLEFEKVYYPYLLISKKRYAGLYWTNPDKFDKMDTKGIETVRRDNCLLVKNLVNECLHKILIDRDVPGAVQYVKNTISDLLMNRMDLSLLVITKGLTKMGDDYAVKAAHVELAERMRKRDAATAPNVGDRVPYVIIKAAKGAKAYEKSEDPIYVLENNIPIDPQYYLENQISKPLLRIFEPILKNASKELLRGSHTRAVSISTPSNSGIMKFAKKQLSCLGCKTVISGENQTLCSHCKGREAELYCKTVTNVADLEMLFGKLWTQCQECQGSLHQDVLCTSRDCPIFYRRKKAQKDMAEARMQLDRWNF >DRNTG_31145.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:321736:324758:-1 gene:DRNTG_31145 transcript:DRNTG_31145.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKGIETVRRDNCLLVKNLVNECLHKILIDRDVPGAVQYVKNTISDLLMNRMDLSLLVITKGLTKMGDDYAVKAAHVELAERMRKRDAATAPNVGDRVPYVIIKAAKGAKAYEKSEDPIYVLENNIPIDPQYYLENQISKPLLRIFEPILKNASKELLRGSHTRAVSISTPSNSGIMKFAKKQLSCLGCKTVISGENQTLCSHCKGREAELYCKTVTNVADLEMLFGKLWTQCQECQGSLHQDVLCTSRDCPIFYRRKKAQKDMAEARMQLDRWNF >DRNTG_31145.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:331648:332059:-1 gene:DRNTG_31145 transcript:DRNTG_31145.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDDVSMFQQTLEGRMRESNRNTNVPKFVRRVEMVRKKSIMYYQAKDPHIFLKIVVALPTMVASCRGKTYKMIAFPL >DRNTG_07985.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000357.1:30361:34365:1 gene:DRNTG_07985 transcript:DRNTG_07985.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSKDIAGPAQAKLKLYSHCQSTCSQRVIIVLKLKGLDYEYKAVDIMKGDHFDQEFERLNPINFVPVLVDGDVVVGDSYAIILYLEDKYPQHPLLPKDLKRKALNLQVASIVCSSIQPFHNLLIQKFMETKFNADEKLKLVQHHVEKGLSALEKLLKDVPGKYATGDEVLLADVFLAPQLNFAVTWLGIDMTQYPRLARADVAYNELPAFQAALPERQPDYPSSS >DRNTG_07985.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000357.1:20037:24143:1 gene:DRNTG_07985 transcript:DRNTG_07985.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSKDIAGPAQEKLKLKLYSYWQSNCSQRVIIVLNLKGLDYEYKAVDLLKGDQFDLEFEKLNPIGYVPVLVDGDAVVGDSYAIVLYLEDKYPQHPLLPKDLKKKALNLQVASIVCSSIQPFHNLLIQKFMETKFNADEKLKLVQHHVEKGLSALEKLLKDVPGKYATGDEVLLADVFLAPQLNFAVTWLGIDTTQYPRLARADVAYNELPAFQAALPERQPDYPSSS >DRNTG_07985.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000357.1:20037:34365:1 gene:DRNTG_07985 transcript:DRNTG_07985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSKDIAGPAQEKLKLKLYSYWQSNCSQRVIIVLNLKGLDYEYKAVDLLKGDQFDLEFEKLNPIGYVPVLVDGDAVVGDSYAIVLYLEDKYPQHPLLPKDLKRKALNLQVASIVCSSIQPFHNLLIQKFMETKFNADEKLKLVQHHVEKGLSALEKLLKDVPGKYATGDEVLLADVFLAPQLNFAVTWLGIDMTQYPRLARADVAYNELPAFQAALPERQPDYPSSS >DRNTG_27999.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001422.1:13595:27923:-1 gene:DRNTG_27999 transcript:DRNTG_27999.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable RNA helicase SDE3 [Source:Projected from Arabidopsis thaliana (AT1G05460) UniProtKB/Swiss-Prot;Acc:Q8GYD9] MGTVGDNDWDEEYSVIGDKAEVGFLDYADDESLHNFDPYEEGPVVISIPFPFVNGKPQSALIGETSCGSISIKNTTSDPVELWSVRIFSSNPEDAYMLSMMEPPPPGADERTISSFVGLTALEDRVLQPRSTLTIWLSCKPKDIGLHTSVLHFDVGDEKVERVAFLLAEDRVSQSLFSNIPFSRAPLGRKKFNCEQYVAGSRPPRTNAQSFKYKLPQYEIPSDVREMIENKQVPDAIVEGLSRGNYAKYFSFLIAMEEIHLEEDVRRHDMESVTMRRRGNHFLSLEVPGLAEKRPSLVCGDYIFAKALTGDPEDTGSPYQGYIHRVEADEIFLKFNKELHLRHHDDCLYNVSFSYNRVNLRRMYQAVYAAEKLGPELLFPAESSQKRIMKSCKLQPFNPDINKEQASCVKMILGCRGTPPYVIHGPPGTGKTMTLVEAILQIYMTSKKARILVCASSNSAADHVLERLLIKEDVGVRENEVFRLNATSRPYVDINPNYIRFCFFEDMVFKCPPLKALMRYRIIVSTYMSASYLYAEGIRRGHFSHIFLDEAGQASEPETMIPMSNLCVKETVIVLAGDPMQLGPVVYSRSAESYGLGKSYLERLFDYEHYKNEDENYVTKLVRNYRCHPAILELPSKLFYKSELLAVKDDIESSLYDWEDLPDKAFPVLFIGIQGCDEREGSNPSWFNRIEASKVVDIIRKLIRHTSLTEVDIGVITPYRQQVLKLKKALESLEMPDLKVGSVEQFQGQEREVIIISTVRSTVKHNEFDRVFNLGFLSNPRRFNVSITRAKSLLIIIGNPHIITKACLILFVIHH >DRNTG_27999.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001422.1:13595:27923:-1 gene:DRNTG_27999 transcript:DRNTG_27999.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable RNA helicase SDE3 [Source:Projected from Arabidopsis thaliana (AT1G05460) UniProtKB/Swiss-Prot;Acc:Q8GYD9] MGTVGDNDWDEEYSVIGDKAEVGFLDYADDESLHNFDPYEEGPVVISIPFPFVNGKPQSALIGETSCGSISIKNTTSDPVELWSVRIFSSNPEDAYMLSMMEPPPPGADERTISSFVGLTALEDRVLQPRSTLTIWLSCKPKDIGLHTSVLHFDVGDEKVERVAFLLAEDRVSQSLFSNIPFSRAPLGRKKFNCEQYVAGSRPPRTNAQSFKYKLPQYEIPSDVREMIENKQVPDAIVEGLSRGNYAKYFSFLIAMEEIHLEEDVRRHDMESVTMRRRGNHFLSLEVPGLAEKRPSLVCGDYIFAKALTGDPEDTGSPYQGYIHRVEADEIFLKFNKELHLRHHDDCLYNVSFSYNRVNLRRMYQAVYAAEKLGPELLFPAESSQKRIMKSCKLQPFNPDINKEQASCVKMILGCRGTPPYVIHGPPGTGKTMTLVEAILQIYMTSKKARILVCASSNSAADHVLERLLIKEDVGVRENEVFRLNATSRPYVDINPNYIRFCFFEDMVFKCPPLKALMRYRIIVSTYMSASYLYAEGIRRGHFSHIFLDEAGQASEPETMIPMSNLCVKETVIVLAGDPMQLGPVVYSRSAESYGLGKSYLERLFDYEHYKNEDENYVTKLVRNYRCHPAILELPSKLFYKSELLAVKDDIESSLYDWEDLPDKAFPVLFIGIQGCDEREGSNPSWFNRIEASKVVDIIRKLIRHTSLTEVDIGVITPYRQQVLKLKKALESLEMPDLKVGSVEQFQGQEREVIIISTVRSTVKHNEFDRVFNLGFLSNPRRFNVSITRAKSLLIIIGNPHIITKACLILFVIHH >DRNTG_27999.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001422.1:13595:27923:-1 gene:DRNTG_27999 transcript:DRNTG_27999.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable RNA helicase SDE3 [Source:Projected from Arabidopsis thaliana (AT1G05460) UniProtKB/Swiss-Prot;Acc:Q8GYD9] MGTVGDNDWDEEYSVIGDKAEVGFLDYADDESLHNFDPYEEGPVVISIPFPFVNGKPQSALIGETSCGSISIKNTTSDPVELWSVRIFSSNPEDAYMLSMMEPPPPGADERTISSFVGLTALEDRVLQPRSTLTIWLSCKPKDIGLHTSVLHFDVGDEKVERVAFLLAEDRVSQSLFSNIPFSRAPLGRKKFNCEQYVAGSRPPRTNAQSFKYKLPQYEIPSDVREMIENKQVPDAIVEGLSRGNYAKYFSFLIAMEEIHLEEDVRRHDMESVTMRRRGNHFLSLEVPGLAEKRPSLVCGDYIFAKALTGDPEDTGSPYQGYIHRVEADEIFLKFNKELHLRHHDDCLYNVSFSYNRVNLRRMYQAVYAAEKLGPELLFPAESSQKRIMKSCKLQPFNPDINKEQASCVKMILGCRGTPPYVIHGPPGTGKTMTLVEAILQIYMTSKKARILVCASSNSAADHVLERLLIKEDVGVRENEVFRLNATSRPYVDINPNYIRFCFFEDMVFKCPPLKALMRYRIIVSTYMSASYLYAEGIRRGHFSHIFLDEAGQASEPETMIPMSNLCVKETVIVLAGDPMQLGPVVYSRSAESYGLGKSYLERLFDYEHYKNEDENYVTKLVRNYRCHPAILELPSKLFYKSELLAVKDDIESSLYDWEDLPDKAFPVLFIGIQGCDEREGSNPSWFNRIEASKVVDIIRKLIRHTSLTEVDIGVITPYRQQVLKLKKALESLEMPDLKVGSVEQFQGQEREVIIISTVRSTVKHNEFDRVFNLGFLSNPRRFNVSITRAKSLLIIIGNPHIITKACLILFVIHH >DRNTG_17378.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6736275:6740678:-1 gene:DRNTG_17378 transcript:DRNTG_17378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSNMAAKFAFFPPSPPSYGLAEAANGKVEMTGVVKREGADVEITRVPTDRGTEIVAMYVKNPAAKLTVLYSHGNAADLGQLHDLFCELSAHLRVNLLGYDYSGYGCSSGKASEQNTYADIEAAYQCLVESYRTQAEDIILYGQSVGSGPTLELAIRLPRLRAVILHSPILSGLRVMYPVKRTYWFDIYKNIDKIPLVSCPVLVIHGTADDVVDCSHGKQLWELCKEKYEPLWIKGGNHCNLELYHEYISHLKKFFLSIEKTPAAKNGSAENLDLPDAPRTSSDCLEFPRSSTDEVDKSRPSIDHVEKSRKSRDHNEKRRRSIDKKSKARKSIDRSDKARNSTDQSEKPRKSIDRLMRSVNLCNIDCFKHTSSKA >DRNTG_17378.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6736275:6738793:-1 gene:DRNTG_17378 transcript:DRNTG_17378.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVKRTYWFDIYKNIDKIPLVSCPVLVIHGTADDVVDCSHGKQLWELCKEKYEPLWIKGGNHCNLELYHEYISHLKKFFLSIEKTPAAKNGSAENLDLPDAPRTSSDCLEFPRSSTDEVDKSRPSIDHVEKSRKSRDHNEKRRRSIDKKSKARKSIDRSDKARNSTDQSEKPRKSIDRLMRSVNLCNIDCFKHTSSKA >DRNTG_03879.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3823240:3825648:-1 gene:DRNTG_03879 transcript:DRNTG_03879.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSKSCLLISYSPEIINGEPILVSSNCLPVKAVYFEPAGHAFHDAALKLLGFYEEEDADADTDDQSVKSDDRGQAYRASTDSYSSKGKKKSGPGSKQQDHYALLGLAHLRFLATEEQIRKSYRETALKHHPDKQAALLLAEESEEAKEAKKAEIESHFKAIQEAYEVLIDPVKRRIYDSTDEFDDEVPTDCAPQDFFKVFGPAFMRNGRWSVAQPVPSLGEENISMQEVDSFYDFWYTFKSWREFPHADEFDLEQAESRDHKRWMERQNAKLREKAKKEEYARVRSLVDNAYRKDPRIIRRKEEEKAEKQRKKEAKYLARKLQEEEAAKAAEEERIRKEEDEKRAAEAALNQKKLKEKEKRLMRKERTRLRSLSAPVLSQPPHNLIDDDVERLCATLDMEQLRHLCDNMERKEGIIEKAQLLADALNGEKTKAEKDSQLNSSENSGPKANGATGQVKKTDNPLSNYEKKEKPWAKDEIEMLRKGMQKYPKGTSRRWEVISEYIGTGRSVEEILKATKTVLLQKPDSSKAFDSFLEKRKPAQSIASPLTSRIESEGLPAVQVENTASNSMPSQTSSSNGTGKNPESEPISVPTGVSSEQDAWSATQERALVQALKTFPKETSQRWERVAAAIPGKTVNQCKKKFALMKENFRSKKNAE >DRNTG_24177.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3409214:3411512:-1 gene:DRNTG_24177 transcript:DRNTG_24177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSRPMGFNKPDKYTRGENVVSHRNQSVARVERHLAKAEALSQVNNCGLLSSHCQYNALLCLDARQFVQEFTFLSPSKEHGASLDSGRVVSVFDGSNISPMEEASLVLHGTNEGDSKEDLDEEEIVSWLLDSVKKEESCHNVWCSECGESSCESSLYDGSSTFDSSSTSFRTNDGTPSYVRDINFSDLSSLFDLEKEDSELLPDTQHRYGFSEDAELPSPYKSDRTQEYRTPISSCETPCRADIDADDPLYWPLDQNSYCSPEFDNFLSISPPRGTSYIEFLGTSNSNSSKWRFQQKRDHPKSSKIDKEG >DRNTG_17512.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19026932:19030199:-1 gene:DRNTG_17512 transcript:DRNTG_17512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQVHQFEEDSSSSSICPIFGSRYIQEPIPRYTLQEKQNSKEVAYQIIHDELLLDSNPRLNLASFVTTWMEPECDKLMSEVMNKNYVDMDEYPVTTELQNRCVNIIAHLFNAPVGEKEAAVGVGTVGSSEAIMLAGLAFKRRWQNKRKAQGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLREGYYVMDPVKAVEMVDENTICVAAILGSTLNGEFEDVKLLNDLLTVKNTKTGWETPIHVDAASGGFVAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRSSKYLPEELIFHINYLGADQPTFTLNFSKGSSQIIAQYYQFLRLGFEGYKNVIKNCMENAMMLSEGIKRMGRFDIVSKEYGVPLVAFSFKNKKDMHLAFKLSKLLRQYGWIVPAYTMPADADHVTVLRVVVREDFARPLVEKFLSHVEISLNELDSMTEAPAPTIWLTVELKPSENVDDEDGTFHIPSSVTMKKELVPINKSIPLMGVKTKGVC >DRNTG_09488.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21545795:21547616:-1 gene:DRNTG_09488 transcript:DRNTG_09488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVSTVYIHVIDDVMNKVGEDFINLGAGGSVLNELQALWEMKMTPTQTPLPGLAQTPLPGIPQTLLPGLMDQYNIRTGSSDYGSVSDDRNGLDPKAGNPSPFIRPPSPWMNQRSLGVDVNVAYVEAREELEKGASHPSMTQDFLTISGKHKRDDYASHHGKLNTTIKALYGQVDKSVQVVPQLDGASAPLLSSPSSVPASEHVELRAATHVVGTPKLTKSEAVEDDEPPLNEDDVEDEDLEAESGEEVIVLETVMTQRAIFVFGECRTEDEEGEKMVQEIGDKHLIQVLCVIMKYEPQ >DRNTG_33878.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7190021:7190535:1 gene:DRNTG_33878 transcript:DRNTG_33878.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYIYSLHLLQGYKNVKNMGGGYLAWVQNGITVTKPKDELK >DRNTG_33878.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7186837:7190535:1 gene:DRNTG_33878 transcript:DRNTG_33878.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSYLFYLIVLIFFLQISSSSPVTVATVPVNNLQQPKLLISLETGDGVVSINVEEAKELLSSGHLYLDVRTIEEFDKGHVDNAINVPYMFSTPEGRVKNSGFVEQVSFEFKKEDHIVVGYKNVKNMGGGYLAWVQNGITVTKPKDELK >DRNTG_33878.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7186837:7188421:1 gene:DRNTG_33878 transcript:DRNTG_33878.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEPENNSPVTVATVPVNNLQQPKLLISLETGDGVVSINVEEAKELLSSGHLYLDVRTIEEFDKGHVDNAINVPYMFSTPEGRVKNSGFVEQVSFEFKKEDHIVVVTYLLFFFFYFSFFLLFLGCIFHKINH >DRNTG_33878.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7186837:7188693:1 gene:DRNTG_33878 transcript:DRNTG_33878.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPENNSSPVTVATVPVNNLQQPKLLISLETGDGVVSINVEEAKELLSSGHLYLDVRTIEEFDKGHVDNAINVPYMFSTPEGRVKNSGFVEQVSFEFKKEDHIVVGCQSGVRSLYAAE >DRNTG_33878.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7186837:7190535:1 gene:DRNTG_33878 transcript:DRNTG_33878.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPENNSSPVTVATVPVNNLQQPKLLISLETGDGVVSINVEEAKELLSSGHLYLDVRTIEEFDKGHVDNAINVPYMFSTPEGRVKNSGFVEQVSFEFKKEDHIVVGYKNVKNMGGGYLAWVQNGITVTKPKDELK >DRNTG_33878.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7188984:7190535:1 gene:DRNTG_33878 transcript:DRNTG_33878.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYIYSLHLLQGYKNVKNMGGGYLAWVQNGITVTKPKDELK >DRNTG_33878.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7186837:7188693:1 gene:DRNTG_33878 transcript:DRNTG_33878.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSYLFYLIVLIFFLQISSSSPVTVATVPVNNLQQPKLLISLETGDGVVSINVEEAKELLSSGHLYLDVRTIEEFDKGHVDNAINVPYMFSTPEGRVKNSGFVEQVSFEFKKEDHIVVGCQSGVRSLYAAE >DRNTG_33878.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7186837:7188693:1 gene:DRNTG_33878 transcript:DRNTG_33878.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPENNSPVTVATVPVNNLQQPKLLISLETGDGVVSINVEEAKELLSSGHLYLDVRTIEEFDKGHVDNAINVPYMFSTPEGRVKNSGFVEQVSFEFKKEDHIVVGCQSGVRSLYAAE >DRNTG_28316.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15936:18311:1 gene:DRNTG_28316 transcript:DRNTG_28316.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:QWRF7 [Source:Projected from Arabidopsis thaliana (AT4G25190) UniProtKB/TrEMBL;Acc:A0A178V0Y0] MEIRNRAPSPSPPVLPRCRSGTTTRLCHVSSIDLTPPFSPNLGRSRSRSASKSRPTPPSPRPKENQENPSPRDPGSNGRVRRTIAVAPSAWALSPGRSPVKEEPRSKPGWMRGGRVLGFLKPTKKELPAKEEDAHRLRVVYSRLLQWRFANARAETSMKARRSSAEKKLFYAWLRITELRNIVAAKRILIQRRKQKLKVLWILGPQVHVLNQWESVAKKNAEAVGALSRVLGATCLSLPLVEGAKVDMVSVHRHISTALNVMKNIEDNIKMFYSKIEEMTAMLGELVKTVTLEIEASEELMKTSRKAASLEMHEISVRANLIQVMKDEIFSILPIRDDGIDYNHNQGMAFSSWNDFPAVLMQVY >DRNTG_28316.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15936:18311:1 gene:DRNTG_28316 transcript:DRNTG_28316.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:QWRF7 [Source:Projected from Arabidopsis thaliana (AT4G25190) UniProtKB/TrEMBL;Acc:A0A178V0Y0] MEIRNRAPSPSPPVLPRCRSGTTTRLCHVSSIDLTPPFSPNLGRSRSRSASKSRPTPPSPRPKENQENPSPRDPGSNGRVRRTIAVAPSAWALSPGRSPVKEEPRSKPGWMRGGRVLGFLKPTKKELPAKEEDAHRLRVVYSRLLQWRFANARAETSMKARRSSAEKKLFYAWLRITELRNIVAAKRILIQRRKQKLKVLWILGPQVHVLNQWESVAKKNAEAVGALSRVLGATCLSLPLVEGAKVDMVSVHRHISTALNVMKNIEDNIKMFYSKVCAFKMSIMCSCIYL >DRNTG_16546.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8671750:8677911:-1 gene:DRNTG_16546 transcript:DRNTG_16546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFYGEFMEFLKRPVVMETVVDILLCAVPIWVAVMIGLVIGWSWRPRWTGLLFLGMRSRLRFLWTAPPGFGARRLWFAFTAFSALSVCRKLWSNLRGKAEEGVGGGGGRSGGWLIGRMLTLSGSRNARQGLKKGEGADVTDGSVTSQIVRTASNERVGDGFSSSAEAPNDQQDQDIVTEKDLEHLLQFLDGKVVDTAWQQFMERTTPNMVYQAWRHEPEDGPLMYRSRTVFEDATPELVRDFFWDDDFRPKWDTMLAYFKVLEELPHVGSMIVHWIKKFPFFCSDREYIVGRRIWESGNTYYCVTKGVPYPSLPRKDKPRRVDLFFSSWRIRAVESRRRDGQLSACEVTLIHYEDMGIPKDVAKVCIRHGMWGVVKKLQSGMRAYQVARGSGASLSRCALMAQITTKISVDEPSVILEQESNEAADDDEPGHNVRQAQEGIDWKWVVIGGTVAVVFGLQAGVVGKALLFGAARRFVRK >DRNTG_16446.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17420759:17424434:-1 gene:DRNTG_16446 transcript:DRNTG_16446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVVISSDYHVTRAAVAWKAGQPLVIEEVEVAPPPAMEIYIKILFTSPIDVFAELVSMSKEDRNPYFLGSLDMKRRRGGRIVESIREGFTELAPGDHILPVFIRECKDCAHCKSEESNMSTLLRINTDIGVMIGDGLSRFLINGKPIYHFVRTSMFSKHTVVHVGCLAKPPKGKVSPKEEEIEG >DRNTG_30575.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001592.1:1009:1997:1 gene:DRNTG_30575 transcript:DRNTG_30575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRQGHEYLGGSEPSHGHGESLESFLDHAMLVCCR >DRNTG_32044.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11187651:11188085:1 gene:DRNTG_32044 transcript:DRNTG_32044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAASRLAAGDEDAVALCRDRKTLLKSAVDCRYALADAHSNYIHSLSGVAAAIGMFVARHSSPDSILIALPAPVAGTEPAFLRQTPTEAKLEALELEKPVSAPVSEE >DRNTG_13898.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1857197:1871580:-1 gene:DRNTG_13898 transcript:DRNTG_13898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADERESFMKGHKSLKANHEKPFHASLHQSIM >DRNTG_05842.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31604970:31612505:1 gene:DRNTG_05842 transcript:DRNTG_05842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVVLYPVTGIGHLAPMTEFAKLLVAQGFSVAIPILPPMHPSVSTSDTDYYINCISSSHPSIAFHRLPPFTDECSSTNVGVRFFSKLRAANPLLRDLLQSISQTSNIRAILTDFFCTEAFEVAADLQLPAYVFFTCSAFILAYFLYLPTLHSEMTSGTRELGETPIHLPGLPPIPASHLPNFMLDRDEGLQALVNAFSRLPEPKGIILNSFEFLESRTLKTIREGHCLPNRETPPVYCVGPLISENEGGERHECLAWLDKQPKGSVVFLCFGSGGRLQAEQVKEIAMGLERSKQRFLWVVRSPPDPENRFPSSDEVNLDTLLPVGFVERTEGRGMVVKAWAPQVEVLNHEATDGFVTHCGWNSVLEAVCAGVGMIAWPLYAEQKMNKVVLVEEMKLAVEMKGYDKGMVTAKEVETRVRWFMESDGGTELRERAKEMKDRAAAAVSDGGSSHATVVKLTGEWKKVLADIVQIQCG >DRNTG_33165.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:24010853:24011263:1 gene:DRNTG_33165 transcript:DRNTG_33165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLKEEREQEYTQREQERAQECARDNALLGFLQTQFSGVTIPGVDTAGSTFQSQNQPSEDQ >DRNTG_17690.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28245411:28245782:-1 gene:DRNTG_17690 transcript:DRNTG_17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPVGEPDVVAAISIAFTVIMRSNKAGSIVNPDLMIKIFSNPVLVETLTSEYEAPKQLPFPGVTSSAPPPLLQIDITSLPPSPTSQSYPVPNMIRPPPINPQAPFAIQFSTGNPLPKALIP >DRNTG_07341.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8991973:8992369:1 gene:DRNTG_07341 transcript:DRNTG_07341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRVCGAKGHNKRHHKGSQIIDGMTSEQEHQQPVEAANPMDTVDPQVLQAHFEMVESLVGANQQARDITQG >DRNTG_26209.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17378863:17380655:-1 gene:DRNTG_26209 transcript:DRNTG_26209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLIHEGRPICESMIIVEYVDQVWAGEYASHSILPSLPHDRAVARFWAHYIDDKWLPKLIETMKRGDTEEAQAEAAEETKAGLKLMEEVLEKSSQGKPFFGGDAVGHLDIAFGSFLTWILAAERISGCKLLQQEQTPLLFAWSQNFCLDVAVKDVMPDVDELVEHAKKIRAWKIQSAAAENN >DRNTG_11792.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:462181:465634:1 gene:DRNTG_11792 transcript:DRNTG_11792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGSKLAAMDRMANNMAAEMEKLITTIVIPTDRYSSGSRFVDILDVLLLFLLKPMFLKSDRIANRSHIWVTGGTPRYRYFKPGGNPFSRVAQVVVAAVRKWKVKMANGGENLFETDIKEFHQPILVNGQNNENVFILQITAPRWLKKHHNSLDVLWKRTALIPQKELLN >DRNTG_27445.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28745785:28751318:1 gene:DRNTG_27445 transcript:DRNTG_27445.10 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKFGDSIEASAITPSLCLKDNPIGERKKIIPALSSGIIDIDDTDDSFSQRTSENCQQGKIATGGTQKLVEKKNTNSWKVKGSIQVFEDVDVVFCEDMGFISAVLQLAEKTKKPIILTSNGKLLMNPSIIEIS >DRNTG_27445.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28743283:28751318:1 gene:DRNTG_27445 transcript:DRNTG_27445.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFWKLYHLILSFYMPFDAGASLLFHCIFFFCKRNYITCIAFLCSQSWCISRLDSYNLRRGNFPESSLWTDKYQPENTLELCGNIKSVRILGEWLKSWDEGPRSNRSFNSSVKDGHHSSYDIESYMDDTDDEAVRKNVLLLTGPIGSGKSAAIHACTKEQGFEVIEVNTSDVRSGALMKQKFGDSIEASAITPSLCLKDNPIGERKKIIPALSSGIIDIDDTDDSFSQRTSENCQQGKIATGGTQKLVEKKNTNSWKVKGSIQVFEDVDVVFCEDMGFISAVLQLAEKTKKPIILTSNDKNPILPHLDPLIVDFTVPLYEDLLSHAQMVCAVEGIHMSSELLERLISYCRGDIRKMLMFLQFWCQGTRCQIDDSKRCTYNPLPFDVSAAHLIMPRVIPWDFPCDLSEKIEEEISGSISLFENLCLEDAKEHILCTIEMIDSSKIGNYNRNRNSKKSILKQKCSFPEFTDFSVDADRLEDFLDASDTPEELAQQRVKRRRCIILSSQSDDDPSTDEVLPRENITVNHNSLILHDMRMPINIDVKEVHNQARPSSSMVCESKMEDTICDTSGLQDVSCVPESSFACAIDANKEVDSLSVPDYASQTSVNLSDCPLVPVHSRADIDYMNDSVSESNKLSEDNIGYNCEVYLESVYGDEDVVMSHEHEEPQALSRSVVMDESGHVGSNMLAPIKSSTPVQQKWQKLKSCREDLKSCLNSNHKDAYSIIKLSSQLTDLISQTDVMINGCNAVFSDILDRSIMLSDGSDDFSRDDRQFEMGSTYAQHGFCFYANKCAATGSSFGSQTTLDLAHEMLASSTNVMALGKLLTTPNLSWKGTLVMETMKIGSEGRELESELFNAILPKVPTRVSVIKRACIP >DRNTG_27445.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28739128:28740853:1 gene:DRNTG_27445 transcript:DRNTG_27445.12 gene_biotype:protein_coding transcript_biotype:protein_coding MDESCGEATIAGSANPNPPSEVNRKRRSIQTKLPWVNQARGGGDVSDGENKRKTRRKRESLPQGSKKSLAACNEASKANKGSGRSCVLNSKSISRTTTLSKKYLVTHRSVSKSFAIFVIYSISR >DRNTG_27445.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28739128:28742281:1 gene:DRNTG_27445 transcript:DRNTG_27445.11 gene_biotype:protein_coding transcript_biotype:protein_coding MDESCGEATIAGSANPNPPSEVNRKRRSIQTKLPWVNQARGGGDVSDGENKRKTRRKRESLPQGSKKSLAACNEASKANKGSGRSCVLNKRSPEIKQKQEEDAETLKVRSSRRCEATESKRSK >DRNTG_27445.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28744376:28750938:1 gene:DRNTG_27445 transcript:DRNTG_27445.9 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKFGDSIEASAITPSLCLKDNPIGERKKIIPALSSGIIDIDDTDDSFSQRTSENCQQGKIATGGTQKLVEKKNTNSWKVKGSIQVFEDVDVVFCEDMGFISAVLQLAEKTKKPIILTSNGKLLMNPSIIEIS >DRNTG_27445.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28741211:28751318:1 gene:DRNTG_27445 transcript:DRNTG_27445.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHQFFTCWEETKRVAKSISASNRENYYYSAHDEDVFLGSPIHIFDKVEDESIGLHWQNWVFKNKTPDSDGSYDPKHASSVFESSVKPLELDTACHSQRHPNQMLAKPNLITSVVASSSLPEHEQVGQLVTDNGGNSSNSTSSIYLPFRCSNSLVDMETEKDCMLVKERLDSYNLRRGNFPESSLWTDKYQPENTLELCGNIKSVRILGEWLKSWDEGPRSNRSFNSSVKDGHHSSYDIESYMDDTDDEAVRKNVLLLTGPIGSGKSAAIHACTKEQGFEVIEVNTSDVRSGALMKQKFGDSIEASAITPSLCLKDNPIGERKKIIPALSSGIIDIDDTDDSFSQRTSENCQQGKIATGGTQKLVEKKNTNSWKVKGSIQVFEDVDVVFCEDMGFISAVLQLAEKTKKPIILTSNDKNPILPHLDPLIVDFTVPLYEDLLSHAQMVCAVEGIHMSSELLERLISYCRGDIRKMLMFLQFWCQGTRCQIDDSKRCTYNPLPFDVSAAHLIMPRVIPWDFPCDLSEKIEEEISGSISLFENLCLEDAKEHILCTIEMIDSSKIGNYNRNRNSKKSILKQKCSFPEFTDFSVDADRLEDFLDASDTPEELAQQRVKRRRCIILSSQSDDDPSTDEVLPRENITVNHNSLILHDMRMPINIDVKEVHNQARPSSSMVCESKMEDTICDTSGLQDVSCVPESSFACAIDANKEVDSLSVPDYASQTSVNLSDCPLVPVHSRADIDYMNDSVSESNKLSEDNIGYNCEVYLESVYGDEDVVMSHEHEEPQALSRSVVMDESGHVGSNMLAPIKSSTPVQQKWQKLKSCREDLKSCLNSNHKDAYSIIKLSSQLTDLISQTDVMINGCNAVFSDILDRSIMLSDGSDDFSRDDRQFEMGSTYAQHGFCFYANKCAATGSSFGSQTTLDLAHEMLASSTNVMALGKLLTTPNLSWKGTLVMETMKIGSEGRELESELFNAILPKVPTRVSVIKRACIP >DRNTG_27445.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28739128:28751318:1 gene:DRNTG_27445 transcript:DRNTG_27445.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHQFFTCWEETKRVAKSISASNRENYYYSAHDEDVFLGSPIHIFDKVEDESIGLHWQNWVFKNKTPDSDGSYDPKHASSVFESSVKPLELDTACHSQRHPNQMLAKPNLITSVVASSSLPEHEQVGQLVTDNGGNSSNSTSSIYLPFRCSNSLVDMETEKDCMLVKERLDSYNLRRGNFPESSLWTDKYQPENTLELCGNIKSVRILGEWLKSWDEGPRSNRSFNSSVKDGHHSSYDIESYMDDTDDEAVRKNVLLLTGPIGSGKSAAIHACTKEQGFEVIEVNTSDVRSGALMKQKFGDSIEASAITPSLCLKDNPIGERKKIIPALSSGIIDIDDTDDSFSQRTSENCQQGKIATGGTQKLVEKKNTNSWKVKGSIQVFEDVDVVFCEDMGFISAVLQLAEKTKKPIILTSNGKLLMNPSIIEIS >DRNTG_27445.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28743963:28751318:1 gene:DRNTG_27445 transcript:DRNTG_27445.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTDDEAVRKNVLLLTGPIGSGKSAAIHACTKEQGFEVIEVNTSDVRSGALMKQKFGDSIEASAITPSLCLKDNPIGERKKIIPALSSGIIDIDDTDDSFSQRTSENCQQGKIATGGTQKLVEKKNTNSWKVKGSIQVFEDVDVVFCEDMGFISAVLQLAEKTKKPIILTSNGKLLMNPSIIEIS >DRNTG_27445.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28742961:28751318:1 gene:DRNTG_27445 transcript:DRNTG_27445.6 gene_biotype:protein_coding transcript_biotype:protein_coding METEKDCMLVKERLDSYNLRRGNFPESSLWTDKYQPENTLELCGNIKSVRILGEWLKSWDEGPRSNRSFNSSVKDGHHSSYDIESYMDDTDDEAVRKNVLLLTGPIGSGKSAAIHACTKEQGFEVIEVNTSDVRSGALMKQKFGDSIEASAITPSLCLKDNPIGERKKIIPALSSGIIDIDDTDDSFSQRTSENCQQGKIATGGTQKLVEKKNTNSWKVKGSIQVFEDVDVVFCEDMGFISAVLQLAEKTKKPIILTSNGKLLMNPSIIEIS >DRNTG_27445.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28739128:28751318:1 gene:DRNTG_27445 transcript:DRNTG_27445.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESCGEATIAGSANPNPPSEVNRKRRSIQTKLPWVNQARGGGDVSDGENKRKTRRKRESLPQGSKKSLAACNEASKANKGSGRSCVLNKRSPEIKQKQEEDAETLKVRSSRRCEATESNGLESKELSLSSPTGVEFNATVNLDGRLHLQQPDFNLQLEGNIAVKESIELSTGKQMHQFFTCWEETKRVAKSISASNRENYYYSAHDEDVFLGSPIHIFDKVEDESIGLHWQNWVFKNKTPDSDGSYDPKHASSVFESSVKPLELDTACHSQRHPNQMLAKPNLITSVVASSSLPEHEQVGQLVTDNGGNSSNSTSSIYLPFRCSNSLVDMETEKDCMLVKERLDSYNLRRGNFPESSLWTDKYQPENTLELCGNIKSVRILGEWLKSWDEGPRSNRSFNSSVKDGHHSSYDIESYMDDTDDEAVRKNVLLLTGPIGSGKSAAIHACTKEQGFEVIEVNTSDVRSGALMKQKFGDSIEASAITPSLCLKDNPIGERKKIIPALSSGIIDIDDTDDSFSQRTSENCQQGKIATGGTQKLVEKKNTNSWKVKGSIQVFEDVDVVFCEDMGFISAVLQLAEKTKKPIILTSNDKNPILPHLDPLIVDFTVPLYEDLLSHAQMVCAVEGIHMSSELLERLISYCRGDIRKMLMFLQFWCQGTRCQIDDSKRCTYNPLPFDVSAAHLIMPRVIPWDFPCDLSEKIEEEISGSISLFENLCLEDAKEHILCTIEMIDSSKIGNYNRNRNSKKSILKQKCSFPEFTDFSVDADRLEDFLDASDTPEELAQQRVKRRRCIILSSQSDDDPSTDEVLPRENITVNHNSLILHDMRMPINIDVKEVHNQARPSSSMVCESKMEDTICDTSGLQDVSCVPESSFACAIDANKEVDSLSVPDYASQTSVNLSDCPLVPVHSRADIDYMNDSVSESNKLSEDNIGYNCEVYLESVYGDEDVVMSHEHEEPQALSRSVVMDESGHVGSNMLAPIKSSTPVQQKWQKLKSCREDLKSCLNSNHKDAYSIIKLSSQLTDLISQTDVMINGCNAVFSDILDRSIMLSDGSDDFSRDDRQFEMGSTYAQHGFCFYANKCAATGSSFGSQTTLDLAHEMLASSTNVMALGKLLTTPNLSWKGTLVMETMKIGSEGRELESELFNAILPKVPTRVSVIKRACIP >DRNTG_27445.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28742350:28751318:1 gene:DRNTG_27445 transcript:DRNTG_27445.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHQFFTCWEETKRVAKSISASNRENYYYSAHDEDVFLGSPIHIFDKVEDESIGLHWQNWVFKNKTPDSDGSYDPKHASSVFESSVKPLELDTACHSQRHPNQMLAKPNLITSVVASSSLPEHEQVGQLVTDNGGNSSNSTSSIYLPFRCSNSLVDMETEKDCMLVKERLDSYNLRRGNFPESSLWTDKYQPENTLELCGNIKSVRILGEWLKSWDEGPRSNRSFNSSVKDGHHSSYDIESYMDDTDDEAVRKNVLLLTGPIGSGKSAAIHACTKEQGFEVIEVNTSDVRSGALMKQKFGDSIEASAITPSLCLKDNPIGERKKIIPALSSGIIDIDDTDDSFSQRTSENCQQGKIATGGTQKLVEKKNTNSWKVKGSIQVFEDVDVVFCEDMGFISAVLQLAEKTKKPIILTSNDKNPILPHLDPLIVDFTVPLYEDLLSHAQMVCAVEGIHMSSELLERLISYCRGDIRKMLMFLQFWCQGTRCQIDDSKRCTYNPLPFDVSAAHLIMPRVIPWDFPCDLSEKIEEEISGSISLFENLCLEDAKEHILCTIEMIDSSKIGNYNRNRNSKKSILKQKCSFPEFTDFSVDADRLEDFLDASDTPEELAQQRVKRRRCIILSSQSDDDPSTDEVLPRENITVNHNSLILHDMRMPINIDVKEVHNQARPSSSMVCESKMEDTICDTSGLQDVSCVPESSFACAIDANKEVDSLSVPDYASQTSVNLSDCPLVPVHSRADIDYMNDSVSESNKLSEDNIGYNCEVYLESVYGDEDVVMSHEHEEPQALSRSVVMDESGHVGSNMLAPIKSSTPVQQKWQKLKSCREDLKSCLNSNHKDAYSIIKLSSQLTDLISQTDVMINGCNAVFSDILDRSIMLSDGSDDFSRDDRQFEMGSTYAQHGFCFYANKCAATGSSFGSQTTLDLAHEMLASSTNVMALGKLLTTPNLSWKGTLVMETMKIGSEGRELESELFNAILPKVPTRVSVIKRACIP >DRNTG_27445.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28739128:28751318:1 gene:DRNTG_27445 transcript:DRNTG_27445.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESCGEATIAGSANPNPPSEVNRKRRSIQTKLPWVNQARGGGDVSDGENKRKTRRKRESLPQGSKKSLAACNEASKANKGSGRSCVLNKRSPEIKQKQEEDAETLKVRSSRRCEATESKYKGLNDNQKTNAAFKDSISSGLESKELSLSSPTGVEFNATVNLDGRLHLQQPDFNLQLEGNIAVKESIELSTGKQMHQFFTCWEETKRVAKSISASNRENYYYSAHDEDVFLGSPIHIFDKVEDESIGLHWQNWVFKNKTPDSDGSYDPKHASSVFESSVKPLELDTACHSQRHPNQMLAKPNLITSDNGGNSSNSTSSIYLPFRCSNSLVDMETEKDCMLVKERLDSYNLRRGNFPESSLWTDKYQPENTLELCGNIKSVRILGEWLKSWDEGPRSNRSFNSSVKDGHHSSYDIESYMDDTDDEAVRKNVLLLTGPIGSGKSAAIHACTKEQGFEVIEVNTSDVRSGALMKQKFGDSIEASAITPSLCLKDNPIGERKKIIPALSSGIIDIDDTDDSFSQRTSENCQQGKIATGGTQKLVEKKNTNSWKVKGSIQVFEDVDVVFCEDMGFISAVLQLAEKTKKPIILTSNDKNPILPHLDPLIVDFTVPLYEDLLSHAQMVCAVEGIHMSSELLERLISYCRGDIRKMLMFLQFWCQGTRCQIDDSKRCTYNPLPFDVSAAHLIMPRVIPWDFPCDLSEKIEEEISGSISLFENLCLEDAKEHILCTIEMIDSSKIGNYNRNRNSKKSILKQKCSFPEFTDFSVDADRLEDFLDASDTPEELAQQRVKRRRCIILSSQSDDDPSTDEVLPRENITVNHNSLILHDMRMPINIDVKEVHNQARPSSSMVCESKMEDTICDTSGLQDVSCVPESSFACAIDANKEVDSLSVPDYASQTSVNLSDCPLVPVHSRADIDYMNDSVSESNKLSEDNIGYNCEVYLESVYGDEDVVMSHEHEEPQALSRSVVMDESGHVGSNMLAPIKSSTPVQQKWQKLKSCREDLKSCLNSNHKDAYSIIKLSSQLTDLISQTDVMINGCNAVFSDILDRSIMLSDGSDDFSRDDRQFEMGSTYAQHGFCFYANKCAATGSSFGSQTTLDLAHEMLASSTNVMALGKLLTTPNLSWKGTLVMETMKIGSEGRELESELFNAILPKVPTRVSVIKRACIP >DRNTG_21196.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001208.1:27145:29850:-1 gene:DRNTG_21196 transcript:DRNTG_21196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLNFSPSIPPWLPLRSPFNHALILKNRSHSVLLPLHCSTSSQSSPTPEAAASPSSRIFIKGLSHSTSEGSLAKAFSSFGDVKRVKIILSKGSKQSLGFAYIWFAHEEDAYLAISEMDGKFFEGRFISVEIADPESPTKQVRAVPYRF >DRNTG_11115.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30550341:30554668:-1 gene:DRNTG_11115 transcript:DRNTG_11115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSLGLRSGSYGSLQAQINGSSAVVAVSPLPTHSTPPPPPSARKPSKMLLPGSRDKERCLAWICKLAGRRRVGMLLLLIASAAVLLSFSIVSKDYDVSAGSETNLNFSDQLKNITNIGRGSTGFSRSSLIPSRDDNRVNSSPPPPFLGLEQLQANIENETWSSPASLLQHYQIPARHPCEHFAFPPPPADKKRTGPRPCPVCYVPVEHALAVMPASQSPSPILQNLTYFSDENLLPNESNASSLFGGQPSLQQRNESFDIKESMTVHCGFVKGKKLGQGTGFNMDEDALLEMEQCHGVVVASAIFGNYDILQQPKNMSDFAKQNACFYMFVDEETEAYIRNTSTWNDSNRVGLWRVVVVRNLPYTDARRNGKIPKLLLHRIFPNARFSIWIDGKLELVVDPYQILERFLWRVNATFAISKHYRRFDVFEEAEANKAAGKYVNASIDYQIEFYRSEGLTHYSSAKLPIVSDVPEGCVIIREHTPITNLFTCLWFNEVDRFTSRDQISFSTVRDKIMAKVDWRLNMFMDCERRNFVIQAYHKDLLDQRKLQSSSAPPPPNALLFNEASPKSTTSTAVEVGKSTESAAVHVKSTPETTVEDGAGKTPALRKPPPRRGRDRKSGSKHRRPRPLIPKDFTPV >DRNTG_11115.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30550341:30552371:-1 gene:DRNTG_11115 transcript:DRNTG_11115.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVDWRLNMFMDCERRNFVIQAYHKDLLDQRKLQSSSAPPPPNALLFNEASPKSTTSTAVEVGKSTESAAVHVKSTPETTVEDGAGKTPALRKPPPRRGRDRKSGSKHRRPRPLIPKDFTPV >DRNTG_24519.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5986721:5987048:1 gene:DRNTG_24519 transcript:DRNTG_24519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDSSSLDHNSLTSLKLSLPRDKSTPDLLS >DRNTG_16533.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12113971:12117568:-1 gene:DRNTG_16533 transcript:DRNTG_16533.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRRSSHLFKRFFSSHQALDDAGPMACPLSSRSVIRFTGPDTLKFLQGLLTNDVRALAGLAAGEHSGRTLNVPQRSSPPLYAALLTPQGRFLYDLFLFRPPRQEEQLDRTGSGPGSSGSEEVVELLADVDAAVLDEILDCFKRYRLRSKVDIENVAKEFSCWQRFGGRAVPSSGQDPEAASVGWGGGIDKASVSSAEASEIGWQWFADPRLDCLGFRGIFPSSTLPPLVEADKEAEEEHYLLWRLERGVAEGSTEIPKGEAIPLEYNLAALNAISFDKGCYVGQELVARTHHRGVIRKRLVPFKFVVDNGKELEQKVSPGSEIVETSSKKKVGTVSTALGCRVMGLVKLEEVFKPSPALSIRDNNVKVKAIKPDWWPADWVQVHEDKSSIA >DRNTG_17851.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2828585:2833551:1 gene:DRNTG_17851 transcript:DRNTG_17851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYHRVEKPREETPINENEIRITTQGRTRSYITYATSLLQEKGSDEIVIKAMGRAINKTVMIVELIKRRIVGLHQNSAIGSTDITDTWEPLEEGLLPLETTRHVSMIIITLSKKELDTSSAGYQPPLPKDQVKPLPDFDYEGEGTPGFIRGRGRGNRGRGRARGNGPAPAPVDYNDSGYDNRSGPGYIRGGWGRGRDRGFRGRGRGGYGGRNGYQQGGGGYYYDEAPIPIQGRGRGRGRGHYRGRGRDFKANGPIQAAVDSA >DRNTG_17851.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2828585:2830595:1 gene:DRNTG_17851 transcript:DRNTG_17851.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYHRVEKPREETPINENEIRITTQGRTRSYITYATSLLQEKGSDEIVIKAMGRAINKTVMIVELIKRRIVGLHQNSAIGSTDITDTWEPLEEGLLPLETTRHVSMIIITLSKKELDTSSAGLA >DRNTG_27002.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001376.1:6694:11758:-1 gene:DRNTG_27002 transcript:DRNTG_27002.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTNINLLILIIILQTLVLSINGHELEGDVPINIHHNNTFITATKQSCNFFYGKWVYDQSYPLYDSSSCPFIDPEFDCQKYGRPDNLYLKYRWQPSACQLPRFNGKDLLWKWRGKKIMFVGDSLSLNQWQSLTCMLHAAVPNSRTSFSKRDPLSSIRFEDYGVSIMFYRSTYLVDIARESIGRVLKLDSISSGAAWTGADVLIFNTWHWWTHRGSSQPWDYVQDGNRIYKDMNRLVAFSKGLATWGQMA >DRNTG_27002.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001376.1:6571:11758:-1 gene:DRNTG_27002 transcript:DRNTG_27002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTNINLLILIIILQTLVLSINGHELEGDVPINIHHNNTFITATKQSCNFFYGKWVYDQSYPLYDSSSCPFIDPEFDCQKYGRPDNLYLKYRWQPSACQLPRFNGKDLLWKWRGKKIMFVGDSLSLNQWQSLTCMLHAAVPNSRTSFSKRDPLSSIRFEDYGVSIMFYRSTYLVDIARESIGRVLKLDSISSGAAWTGADVLIFNTWHWWTHRGSSQPWDYVQDGNRIYKDMNRLVAFSKGLATWGQMA >DRNTG_04964.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3956022:3956432:1 gene:DRNTG_04964 transcript:DRNTG_04964.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKWQKMAAMGRKITSPRSDDHSDFDACSTSSVAEKGHFNVYTLEGKRFMVPLTYLNNVLFKELLRISEEEFGLPGDDPITLPCDAVSMEYVLSMLRRGVSQEVERALLSSIFITCQSTCSTFSAEHNQLPICSF >DRNTG_19360.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5641884:5644879:1 gene:DRNTG_19360 transcript:DRNTG_19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNSPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDVKEKLAYVALDYEQELETAKTSSSVEKTYELPDGQIITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPSIVHRKCF >DRNTG_30710.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22002030:22004369:1 gene:DRNTG_30710 transcript:DRNTG_30710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIVAPGTNKKFSSLLPPPPPPPPPPPPPPTEERAAWEPLERMAEWRWRRWEVRQQRW >DRNTG_30710.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22002297:22003550:1 gene:DRNTG_30710 transcript:DRNTG_30710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLYQVRIQLQSLSPSTPQLSCPHKGAEHGELPTLEW >DRNTG_30710.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22002030:22003550:1 gene:DRNTG_30710 transcript:DRNTG_30710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLYQVRIQLQSLSPSTPQLSCPHKGAEHGELPTLEW >DRNTG_30710.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22002297:22003550:1 gene:DRNTG_30710 transcript:DRNTG_30710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLYQVRIQLQSLSPSTPQLSCPHKGAEHGELPTLEW >DRNTG_35346.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2670582:2674529:1 gene:DRNTG_35346 transcript:DRNTG_35346.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSCFPWPLPPLPCSMELLPKRYTVGDDKGWRLEVNYTDWVHGKEFFVGDTLVFKYNAQYHNVINVTEAEYKACSPSQNETRLTTGNDVIKLVSTGNYWFLCGFPTHCTQGQKLTVLVQAQTQAPAPEAATTPPPEASMAKLPATLIGGSGITFSAVVFGIAAIGLFL >DRNTG_33352.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15871414:15877842:1 gene:DRNTG_33352 transcript:DRNTG_33352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSPFFSPSFLLPSHPRSLPLLPAPKFTILRRRTHGRLLISNVAAPDLSSAMEANKAPAEDSQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEIRVVVGDPFNSDPDDPESMSMEVRERLLRSEQLPVSFTKITMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGKSGGR >DRNTG_33352.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15871414:15873483:1 gene:DRNTG_33352 transcript:DRNTG_33352.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSPFFSPSFLLPSHPRSLPLLPAPKFTILRRRTHGRLLISNVAAPDLSSAMEANKAPAEDSQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEIRVVVGDPFNSDPDDPESMSMEVRERLLRSEQLPVSFTKITMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGKSGGR >DRNTG_33352.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15871414:15873401:1 gene:DRNTG_33352 transcript:DRNTG_33352.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSPFFSPSFLLPSHPRSLPLLPAPKFTILRRRTHGRLLISNVAAPDLSSAMEANKAPAEDSQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEIRVVVGDPFNSDPDDPESMSMEVRERLLRSEQLPVSFTKITMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGKSGGR >DRNTG_33352.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15871414:15877307:1 gene:DRNTG_33352 transcript:DRNTG_33352.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSPFFSPSFLLPSHPRSLPLLPAPKFTILRRRTHGRLLISNVAAPDLSSAMEANKAPAEDSQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEIRVVVGDPFNSDPDDPESMSMEVRERLLRSEQLPVSFTKITMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGKSGGR >DRNTG_33352.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15871414:15873401:1 gene:DRNTG_33352 transcript:DRNTG_33352.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSPFFSPSFLLPSHPRSLPLLPAPKFTILRRRTHGRLLISNVAAPDLSSAMEANKAPAEDSQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEIRVVVGDPFNSDPDDPESMSMEVRERLLRSEQLPVSFTKITMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGKSGGR >DRNTG_33352.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15871414:15877769:1 gene:DRNTG_33352 transcript:DRNTG_33352.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSPFFSPSFLLPSHPRSLPLLPAPKFTILRRRTHGRLLISNVAAPDLSSAMEANKAPAEDSQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEIRVVVGDPFNSDPDDPESMSMEVRERLLRSEQLPVSFTKITMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGKSGGR >DRNTG_33352.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15871414:15872964:1 gene:DRNTG_33352 transcript:DRNTG_33352.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSPFFSPSFLLPSHPRSLPLLPAPKFTILRRRTHGRLLISNVAAPDLSSAMEANKAPAEDSQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEIRVVVGDPFNSDPDDPESMSMEVRERLLRSEQLPVSFTKITMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGKSGGR >DRNTG_33352.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15871414:15873483:1 gene:DRNTG_33352 transcript:DRNTG_33352.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSPFFSPSFLLPSHPRSLPLLPAPKFTILRRRTHGRLLISNVAAPDLSSAMEANKAPAEDSQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEIRVVVGDPFNSDPDDPESMSMEVRERLLRSEQLPVSFTKITMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGKSGGR >DRNTG_20905.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25225752:25230340:1 gene:DRNTG_20905 transcript:DRNTG_20905.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASAKEGENGAGDEPAIPNSGHRVSLPPPPDGVRNGGSLEPIVSTSPPQSPGIGRSPMLFAPQVPIAPLQRGADIPSLLSQLQITDSNDVPLENGIPTLITWNHGGNEVLVEGSWDNWTARSLSALSF >DRNTG_20905.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25225752:25230340:1 gene:DRNTG_20905 transcript:DRNTG_20905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASAKEGENGAGDEPAIPNSGHRVSLPPPPDGVRNGGSLEPIVSTSPPQSPGIGRSPMLFAPQVPIAPLQRGADIPSLLSQLQITDSNDVPLENGIPTLITWNHGGNEVLVEGSWDNWTARKVLQRTGKDYSILLVLPSGVYQYRFIVDGELRYIADLPQIADEAGHIVNVLDVNDYVPENLESVSEFEPPPSPDSSYSRPFPADEDFAKEPPAVPPQLHITVLGSEEATTKPQHVVLNHLFIEKGWASQSLVALGLTHRFQSKYTTVVLYKPMKR >DRNTG_29874.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1993496:1994365:-1 gene:DRNTG_29874 transcript:DRNTG_29874.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVKLSLSTTFSDTSNNPVINSCKKRKYFTWDHHLPISQANIELDPVDPLPLHWEQCLDLQSGRMYYLNRKTLRKSWSRPKEQKLDLELNISSSFSSSKKREEEYSWNKLNDSMSSNANMVAVACANCHLLVMLCKSSPSCPNCKYMHYSLPMYHATKPLKTLSLLH >DRNTG_29752.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1630219:1630524:1 gene:DRNTG_29752 transcript:DRNTG_29752.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLDYNNTDGNINILPLLKTIISHKIPVWIFSGDQDSVVPLLGSRTLVRELAHEMKHSITVPYRTWFYK >DRNTG_18903.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3132101:3133240:1 gene:DRNTG_18903 transcript:DRNTG_18903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFHTPVRISAEELSSSREVTRACACPSTGASGCPCGSGAWAWVFLTCPCICVQRQSVNGRGDSTYVSSRQELLYLYSMMQRTPIHLAHIITEYIR >DRNTG_15956.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000793.1:17244:17593:1 gene:DRNTG_15956 transcript:DRNTG_15956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYISSPRSKKKEGKKSKKKKNNHVFSCVMLEHTCNLFGTE >DRNTG_28183.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20751711:20754008:1 gene:DRNTG_28183 transcript:DRNTG_28183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEKTPMMVVVGVDDSEHSYYAIQWVLRNFLSVTGTFKLAIIHAQPSPAYAVGLSGTVGFFGAGTADALPYVESDLTKISSRVIEKAKELCSSSSVKDVVYEVIEGDPRNILPEAVEKYHADVLVVGSHGYGAIKRAVLGSVSDHCAHHAHCTVMIVKNPKPKH >DRNTG_00600.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29821364:29822362:-1 gene:DRNTG_00600 transcript:DRNTG_00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFEEISRRGTRFTRGDGEGRNKPQQLSVLVFVCLRWLAIICF >DRNTG_20204.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001098.1:51772:55647:-1 gene:DRNTG_20204 transcript:DRNTG_20204.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRGRYPPGIGNARGGGNAGQNPNLYGRAPHHQHQYVQRNPLQNQQNQSFQQQYQQQHPQQQHPQQQLWLRRNQMGSDLGGNEAAKSVQSGGVDASSQDWKAQLKIPPQDTRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNVIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIM >DRNTG_28275.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2887089:2898284:-1 gene:DRNTG_28275 transcript:DRNTG_28275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSSMPSEPDHDPASANHHDASPASPASEPSPSPPASSAQAALAGPRPAPTYSVVNAIIEKKEDGPGSRCGHTLTAVAAVGEEGTPGYIGPRLILFGGATALEGNSAAPPSPAGSAGIRLAGATADVHCYDVLSSKWSRLTPLGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLSSAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSIAVLDTAAGVWCDTKAVVTTPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTSAASHAAAAAAVSNVPAGRSTGRYAYGDERTRQSGPEAVPDGSVVLGSPVAPPINGDMYTDISTENAMLQGPRRLNKGVEYLVEASAAEAEAISATLAAAKARQVNGEVDQLHERDRGAEATPSGKQISLPSNVPESSLSNSVTPARVRLHHRAVVVAAETGGALGGMVRQLSIDQFEYEGRRVSYGTPESATASRKLLDRQMSISSVPKKVIALLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQINAPVKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSIDHVKQIEDLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVIEFCNKNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAISSPETSPEHHIDDTWMQELNANRPPTPTRGRPQVANDRGTFHEPSFEVR >DRNTG_28275.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2887089:2898284:-1 gene:DRNTG_28275 transcript:DRNTG_28275.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSSMPSEPDHDPASANHHDASPASPASEPSPSPPASSAQAALAGPRPAPTYSVVNAIIEKKEDGPGSRCGHTLTAVAAVGEEGTPGYIGPRLILFGGATALEGNSAAPPSPAGSAGIRLAGATADVHCYDVLSSKWSRLTPLGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLSSAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSIAVLDTAAGVWCDTKAVVTTPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTSAASHAAAAAAVSNVPAGRSTGRYAYGDERTRQSGPEAVPDGSVVLGSPVAPPINGDMYTDISTENAMLQGPRLNKGVEYLVEASAAEAEAISATLAAAKARQVNGEVDQLHERDRGAEATPSGKQISLPSNVPESSLSNSVTPARVRLHHRAVVVAAETGGALGGMVRQLSIDQFEYEGRRVSYGTPESATASRKLLDRQMSISSVPKKVIALLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQINAPVKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSIDHVKQIEDLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVIEFCNKNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAISSPETSPEHHIDDTWMQELNANRPPTPTRGRPQVANDRGSLAWI >DRNTG_28275.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2887089:2898284:-1 gene:DRNTG_28275 transcript:DRNTG_28275.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSSMPSEPDHDPASANHHDASPASPASEPSPSPPASSAQAALAGPRPAPTYSVVNAIIEKKEDGPGSRCGHTLTAVAAVGEEGTPGYIGPRLILFGGATALEGNSAAPPSPAGSAGIRLAGATADVHCYDVLSSKWSRLTPLGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLSSAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSIAVLDTAAGVWCDTKAVVTTPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTSAASHAAAAAAVSNVPAGRSTGRYAYGDERTRQSGPEAVPDGSVVLGSPVAPPINGDMYTDISTENAMLQGPRRLNKGVEYLVEASAAEAEAISATLAAAKARQVNGEVDQLHERDRGAEATPSGKQISLPSNVPESSLSNSVTPARVRLHHRAVVVAAETGGALGGMVRQLSIDQFEYEGRRVSYGTPESATASRKLLDRQMSISSVPKKVIALLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQINAPVKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSIDHVKQIEDLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVIEFCNKNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAISSPETSPEHHIDDTWMQELNANRPPTPTRGRPQVANDRGSLAWI >DRNTG_02395.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9015288:9017896:-1 gene:DRNTG_02395 transcript:DRNTG_02395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVAGSYEKFIWGFSLKTLATSETLTLKPLFSYPSHTAPIKSVAVAGPVGASGGADDAIKIYELPSSSEIGSLLDPTGAVSAISFFCSPLAPSIPRNLLAGSDDGAVRVYDADPFVLLKTVPVHRRGVSDLAVHPSGRLALTVGRDSTLAMVNLVRGRRSFSCRLDREATNVRYGLGDGERFFMVAEERVTVHDSEDARLIHEMDAGKRVLCIAPGEGGLLFTGGEDRSVSAWDTASGKFAYRIEAAHSARVKGLVVFKNRSGGETSEESNFIASASSDGIIRIWDVRMLSEEKPNALAEANTKSRLTCLAG >DRNTG_02395.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9015288:9017896:-1 gene:DRNTG_02395 transcript:DRNTG_02395.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVAGSYEKFIWGFSLKTLATSETLTLKPLFSYPSHTAPIKSVAVAGPVGASGGADDAIKIYELPSSSEIGSLLDPTGAVSAISFFCSPLAPSIPRNLLAGSDDGAVRVYDADPFVLLKTVPVHRRGVSDLAVHPSGRLALTVGRDSTLAMVNLVRGRRSFSCRLDREATNVRYGLGDGERFFMVAEERVTVHDSEDARLIHEMDAGKRVLCIAPGEGGLLFTGGEDRSVSAWDTASGKFAYRIEAAHSARVKGLVVFKNRSGGETSEESNFIASASSDGIIRIWDVRMLSEEKPNALAEANTKSRLTCLAG >DRNTG_14569.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17748080:17749982:-1 gene:DRNTG_14569 transcript:DRNTG_14569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMQTRVFVVHMRSSLTSRLFLKAKEIGMMEEGYVWIMTDGIGNMIDSLDPRSIDAMQGAVAVRSYVPRSQTIANFTTRWKTRFRLENPSSEPADPSVFELWAYDTVWALAMATEKAGVSNSSFRRLPGGDNSTDLGDLGISQNGPELLETLLSTRFRGLSGEFCLVNGQQQSSVFEIVNVIGKGARNIAFWTPEFKISKQFNSASPANLKTIIWPGDTITVSKGWEIPTNGKRLKIGVPVKIGFNEFVNVVHDNTTNRTTVTGYCIDVFEAVMQSLPYAVQYDYIPFEKPGQSYTNYTDLVYQVFLQKYDAVVGDVTIIANRSNNVDFTLPYTESGVSMIALVKENKRRNMWIFLKPLTTNLWLGTLSFFFFTGCVVWLIEHRINPEFRGPPSQQLGIIFYFAFSTLVYAHRERLKSNLSRVVVVIWVFVVLILTSSYTASLTSMLTVQQLQPTVTNLTEILKNGEHIGYQDGSFVVDMLRGIGFKDRQMKNYSTREEYNEALIKGTTNGGVAAIFDEIPYLKLFLSKYYADFTMVGK >DRNTG_22262.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:950881:955618:-1 gene:DRNTG_22262 transcript:DRNTG_22262.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSRTLIGAARRIGAACSSPISHHGFGGALATRSLFRRTLIIQTTAPEQVRVERLSDSDSGIVELKLDRPEAKNAIGKEMLKGLQRAVEFIDGDSSANVVMISSSVPRVFCAGADLKERRSMNPSEVKLFVNTLRSTFSSLELLRVQQWVVGWKWFSHATFEYAGRMLHLVCLRLDSL >DRNTG_22262.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:952521:955618:-1 gene:DRNTG_22262 transcript:DRNTG_22262.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSRTLIGAARRIGAACSSPISHHGFGGALATRSLFRRTLIIQTTAPEQVRVERLSDSDSGIVELKLDRPEAKNAIGKEMLKGLQRAVEFIDGDSSANVVMISSSVPRVFCAGADLKERRSMNPSEVKLFVNTLRSTFSSLELLRVQQWVVGWKWFSHATFEYAGRMLHLVCLRLDSL >DRNTG_22262.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:950881:955618:-1 gene:DRNTG_22262 transcript:DRNTG_22262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSRTLIGAARRIGAACSSPISHHGFGGALATRSLFRRTLIIQTTAPEQVRVERLSDSDSGIVELKLDRPEAKNAIGKEMLKGLQRAVEFIDGDSSANVVMISSSVPRVFCAGADLKERRSMNPSEVKLFVNTLRSTFSSLETLSVPTIAVIEGAAMGGGMEMVLACDIRICGEDAAFSLPETGLAIIPGAGGTQRLPRLVGRSVAKELIFTGRRIDGNQAVSMGLVSYCLPVGEAYMKALQIAREINHKGPLATRMAKQAINEGMEVEMAAALALEEECYEQLLHTQDRLEGLAAFAEKRKPIYSGK >DRNTG_34352.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21215650:21217642:1 gene:DRNTG_34352 transcript:DRNTG_34352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFARMAHLDGFDVKGKIVLCERGRVGQVQKGLNVLSAGGAGMIIMNQLADGYTIFADPHVLPASEVSFADGLKIIAYIDSTKNATASLSFQGTLFGPSPTPAPAIASFSSRGPNKASPGILKPDIAGPGVNVLAAWPSTVSIIVNSLSPQFIFNIVSGTSMATPHLAGIATLIKAAHPDWSPAAIKSAMMTTANIMNKNGSLIVDEHLHVPANLFTVGAGNVNAVNAVDPGLVYDLKADDYIPYLCGLGYNGSQVGMILRRPIDCKTVKVIAEAELNYPSLSVSFADNKTSTITVERTFKNVGEAKSNYSAKVDVPAGVNVTVQPNVLQFTMANQELKFNVTVNKSSGAGGGNHTQGYLNWISDKHTVRSPISVTF >DRNTG_02299.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:783679:785110:1 gene:DRNTG_02299 transcript:DRNTG_02299.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha carbonic anhydrase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G52720) UniProtKB/Swiss-Prot;Acc:O04846] MKAIAAFGNIVSLFIIPLLLPDLTAACGYTGEEGVIFSYSGATGPDKWGTLSPQFRACSNGKHQSPINIVRKQAIWNSNLRPLEIDYTPTNATLVNNVYNIMIQYNQSVGTMVVNEKKYHLKQMHWHSPAEHTIDGVRHPVELHLVHYSDDGNITVISVLYKYGDEDKLLHKIKDKVAELAKGTCSITGEKATHIPLGVINPKYLKHGNHKYYQYVGSLTTPPCTENVIWNIAYKVREMSEEQATALRGPLDIKYKHNSRPLQPLNGRTVEFFSQKRG >DRNTG_27045.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2598725:2599461:1 gene:DRNTG_27045 transcript:DRNTG_27045.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDITIKTYPKLEPYRKPAQPKWRPYGKPTANTRRKT >DRNTG_15374.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26900650:26903699:1 gene:DRNTG_15374 transcript:DRNTG_15374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQARYCFAYSGIPTPSESNARPKTLSYLKMSVWLRKLGYRLSDRRWTGRRSPEWPAAGSQPDHRWTASVSTEASRTASGQSPKLVGPPVASLQRLAGPLVDRWLVVG >DRNTG_09362.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:14987963:14988292:1 gene:DRNTG_09362 transcript:DRNTG_09362.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVVTGRQSETPSVDVGTSEASQQPFQAPTTRQERELQTLLCQLQQRSLLSYLYAAATCC >DRNTG_02329.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:625006:626696:-1 gene:DRNTG_02329 transcript:DRNTG_02329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDSLGLKPSRSLPTSRSRIPRGRQLQKTFNNLKITILCGLVTILVLRGTIGVGNLGGSPSDADTRVIEDIERIIREIRSDSDPDDEMIVGGSNSTVALNSTVLRAENYTLGPKISDWDEKRAMWLASNPGFPSRISGGKPRMLLVTGSPPNPCDNAIGDHYLLKGMKNKIDYCRLHGIEIVHNMAHLDKELAGYWAKLPLIRRLMISHPEIEWIWWMDSDALFTDMAFEIPLSKYNSHNLVVHGYPDLIFDQHSWIGLNTGSFLLRNCQWSLDLLDAWAPMGPKGPIRDEAGRILTAALKGRPAFEADDQSALIYLLLSQQEKWGDKVFIENSYYLHGYWAGLVDKYEEMMDKYHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLSSMERAFNFADNQVLRLYGFTHKGLASPKIKRIRNETASPLEVLDKLNPQARIVSQ >DRNTG_11352.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23739918:23747338:-1 gene:DRNTG_11352 transcript:DRNTG_11352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRATAHVLPAAHVSYSDGRRILAYALLKTNPTATIIFDGTLYGIPPAPSVASFSSRGPSSVNEGVLKPDIIAPGVNILAAWPSPVGPLLLES >DRNTG_15508.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20821482:20823594:1 gene:DRNTG_15508 transcript:DRNTG_15508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAPSTRWCPTPEQLMILEEMYRSGIRTPNASQIQQITAHLSYYGKIEGKNVFYWFQNHKARDRQKLRRRLSRHHQLLHQQLHTLDEASAPPPPPPSCLPHYLHTPSQLLHQDANQQAMNLLSKLEAEGISEMATTSSSMNYNNDWMTMMMAGNTGIIPPCCKPLETLDLFPTKSTGLRDECSTSKSSSCSTSTN >DRNTG_23037.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3276586:3277963:-1 gene:DRNTG_23037 transcript:DRNTG_23037.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMREILFNRPGHLIQQKSNPLTKLLATGISSLDGEAWAQRRKLINHAFHLDKLKVPLAT >DRNTG_23037.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3274215:3277963:-1 gene:DRNTG_23037 transcript:DRNTG_23037.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMREILFNRPGHLIQQKSNPLTKLLATGISSLDGEAWAQRRKLINHAFHLDKLKEMVPAFQISCIGLEERWEKLVSTKGCYELDIWPEFQNLTGDVIWRSAFGSSFEEGKRIFELQKEQTLLVIEAARSIYLPGLRFLPTAKNKRRTLIDKQVKRILREISTRS >DRNTG_23037.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3274215:3277963:-1 gene:DRNTG_23037 transcript:DRNTG_23037.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMREILFNRPGHLIQQKSNPLTKLLATGISSLDGEAWAQRRKLINHAFHLDKLKEMVPAFQISCIGLEERWEKLVSTKGCYELDIWPEFQNLTGDVIWRSAFGSSFEEGKRIFELQKEQTLLVIEAARSIYLPGLRFLPTAKNKRRTLIDKQVKRILREISTRS >DRNTG_10292.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20352279:20353231:-1 gene:DRNTG_10292 transcript:DRNTG_10292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLLSFLLLLLLSSEARFLQPQGGEKMMMVMMKKRSMIGSRPPSCEKRSSTCMACKQCEAIQVPVVPQDHKRSTKTTQSLKAVVFISGSLERDNSNYKPMNWKCKCGNMIFNP >DRNTG_32971.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5742064:5750924:1 gene:DRNTG_32971 transcript:DRNTG_32971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSSFLRKKVGRGASIKAYPISDEGILGQRVVNFLQDHRHDYRGEPAGFSKVSSENARRLEFLYACGFVLRAHPEKVQGRAAAPMNDHANIARPWVISARACEFLQSLADYPESTQGRELAPVGDLVKYVTDEIVYEYMQEMLNPDPYEGLFDQEDDIEEVMMLGSMEELSSTTGILKKVLQKLKRARRRHQNWSKAI >DRNTG_20483.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2059432:2062263:-1 gene:DRNTG_20483 transcript:DRNTG_20483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVRASASVFSSRDNLVQPQLDSEVAVALMHVAMHRLRSSGNSHPIPRLLQWYQPIGPKLLRGSIVRGSSPAISRRYAAEKDSRSYRHDPGSLAHRTGLLGTCSRDPGSSAHRPGLDDGLYDPGSFAHRPGPLFWAVR >DRNTG_06243.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000285.1:13892:14666:1 gene:DRNTG_06243 transcript:DRNTG_06243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSSELKLSHGHGQGIEVLGRVSFTTRWNPTPNELASSNVTLGASPFHSGVINSTSVPRVLCGYNRVNGLRDELPLGLSCVCKGVNR >DRNTG_33043.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26982053:26986302:-1 gene:DRNTG_33043 transcript:DRNTG_33043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAHSAESLTVRWWRRPSHTVLAIAALYLLFLTFKLPRFLEVASLISASDAAFPALPLEPVADPLRISSSRINLENSSNSDLNPDSEFQIFNPFPSRKANLSELEKVANEAWDLGLKAWEEISNYEDVNQTVVLEGNPMSCPSSVSMGDEEMADGEWLMFLPCGLAAGSAITVVGTPHEAHEEYVPELAVVKNGDGRVMVSQFVLELQGLKAVDGEEPPKILHLNPRLRGDWSHVPVIEHNTCYRMQWGSAMRCDGLPHKKDDDSVDGFSKCEKWARSDIVDSKESKTTSWLRRFIGRAKKPAMTWPFPFVEGRLFVLTLQAGVEGYHVFVGGRHVTSFAYRPGFSIEDVTGLVIKGNVDVHSAYATSLPTSHPSFSLQDVLEMKEKWKSPPLPKSPILLFIGILSASNHFAERMAVRKTWMQYADIKSSNVVARFFVALNPRKEVNAVLKKEAEYFGDIVILPFMDRYDLVVLKTIAICEYGVRNLTASYIMKCDDDAFIRLNVVLNKIKGVTPGEALYMGNLNLLHRPLRSGKWAVTFEEWPEEVYPPYANGPSYIISCNIAKFIVSQRSNQNLRIFKMEDVSMGMWVEQFNSSTPVQYSHNWKFCQYGCMENYYTAHYQSPRQMMCLWNKLKRGRAHCCNYR >DRNTG_03628.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11683009:11683562:-1 gene:DRNTG_03628 transcript:DRNTG_03628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDVETMVAVGLVWGATNALMRRGAQLSDRNLRAHPPSNRLLQWLHLLLTWQYSLPFFINLSASAAFFHTLRDSPISLAVPVTNATTFAATAAAAYLLGEEMRVGLAVIGIGFITLGVWICIS >DRNTG_00751.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28046376:28050126:-1 gene:DRNTG_00751 transcript:DRNTG_00751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLARFAAGPTSRQSLLSSSHAFSSFSGAGGGGFGRGRGRGSSSPSPPTPSGPGQSRSLSADDDEAVTPPGIGHGRGAVFPSSPVLPSFSSWSSSTPPGAGRGRGSGALPSSPVPDAPDSLSKKPIFFSRDDYVAATEKSQFLDPVDAKLLPRNIGPPTGPGAGRGKPTKFVESGPVPSEENRHLRARVTPRRATETPSQPRLGREEAVRKAMEVLSRGGPAGRGGRGGRGFAGGRGRGGRGGRGRGYDAADELELYVGDNADGEKLAKRLGEANMNKLVEGFEEMSSIVLPSPVEEAYLDALHTNNLIEYEPEYLVSFDTNPDIDEKPPMPIREYLEKMKPFLMAYEGIQSHEEWEEIINEALEKLPVMKELMDMYCGPDRVTAKEQQEELERVAKTLPENIPSSVKRFTDRALLSLQSNPGWGFDKKCQFMDKLVWEVSQQYK >DRNTG_04246.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:15811075:15819309:1 gene:DRNTG_04246 transcript:DRNTG_04246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSVAARLAFFPPSPPSYELVRDAATGLTMLNRFPHRENVEVMTLQTRRGNEIVAVYVRNPMASSTLLYSHGNAADLGQMYELFVELAIHLRVNLMGYDYSGYGQSSGKPSEQNTYADIEAAYKCLEENYGAKEEDIILYGQSVGSGPTLELAARLPRLRAVVLHSPILSGVRVMYPVKRTYWFDIYKNIDKIPFVNCPVLVIHGTADEVVDCSHGKQLWELCKEKYEPLWLKGGNHCNLELFPEYIRHLKKFINTVEKSSSQRNIWRKSMDQFEPSRRSTDCFEPSRRSFDYREKPRYITEKGKNNDYRSGNLEKVEKLRISFDRLDKSRRSVDCLEKSRKNTDTMERARKSVDRLDRIWAG >DRNTG_04246.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:15811075:15819309:1 gene:DRNTG_04246 transcript:DRNTG_04246.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSVAARLAFFPPSPPSYELVRDAATGLTMLNRFPHRENVEVMTLQTRRGNEIVAVYVRNPMASSTLLYSHGNAADLGQMYELFVELAIHLRVNLMGYDYSGYGQSSGKPSEQNTYADIEAAYKCLEENYGAKEEDIILYGQSVGSGPTLELAARLPRLRAVVLHSPILSGVRVMYPVKRTYWFDIYKNIDKIPFVNCPVLVIHGTADEVVDCSHGKQLWELCKEKYEPLWLKGGNHCNLELFPEYIRHLKKFINTVEKSSSQRNIWRKSMDQFEPSRRSTDCFEPSRRSFDYREKPRYITEKGKNNDYRSGNLEKVEKLRISFDRLDKSRRSVDCLEKSRKNTDTMERARKSVDRLDRIWAG >DRNTG_10260.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20022711:20028205:-1 gene:DRNTG_10260 transcript:DRNTG_10260.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G21710) UniProtKB/Swiss-Prot;Acc:F4IHL3] MACSIEERWKPLVKYLYYLGIRRDGMRRMLLVRPVIFCVNIETMIAPKVRFLQDIGIKEEAIGGVLVKFPALLTYSLYKKIRPVVIFLMTKAGVTKEDIGKVIALDPPLVGCSITKKLDVNVKYFLSLGIRLRPLGAMIADFPMLLRYNLDILRPKYIYLRRTMVRPLRDLIEFPRFFSYSLEGRIIPRHKILVSNRINFKLRYMLASTDEDFNRRVHEAVERRQRFESGAKDDDHSCCDTPDDAETNSSILVSPQ >DRNTG_10260.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20022767:20028205:-1 gene:DRNTG_10260 transcript:DRNTG_10260.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G21710) UniProtKB/Swiss-Prot;Acc:F4IHL3] MLCFSSNVALLSNPNPNPNPFFAWRRRVFAVTCAVDPNAITPSPTPELEIHPLSRRQNQLLILDPDSQTKPRTNSHGCQETEEDLIKKKVIEEVSLATRRIPRFPGAIDRPDTQRLGPPPDLRRVLSGNDRTLKQALEVRRGVAAETLKFALRAGKLSIRYSDNLVSKLPGFVDRVVIGAAAMKENPELAHLSFNARAKKYIQDSGVVALVKWLKHNSMTYPQIGKLLCMSSGDLEPVRELVEWLKSIYVKGMCLGGVVTKAGPIWERNLEELEEIVNFLEKKRVRKEWIGYVVSRCPQLLGMTMDELKIRVRFYLDMGMSDNDFGTMVFDYPRALGYYSIEEMHSKVNYLKEFGLTTEDVGRLLAFKPQLMACSIEERWKPLVKYLYYLGIRRDGMRRMLLVRPVIFCVNIETMIAPKVRFLQDIGIKEEAIGGVLVKFPALLTYSLYKKIRPVVIFLMTKAGVTKEDIGKVIALDPPLVGCSITKKLDVNVKYFLSLGIRLRPLGAMIADFPMLLRYNLDILRPKYIYLRRTMVRPLRDLIEFPR >DRNTG_10260.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20022767:20026643:-1 gene:DRNTG_10260 transcript:DRNTG_10260.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G21710) UniProtKB/Swiss-Prot;Acc:F4IHL3] MTKAGVTKEDIGKVIALDPPLVGCSITKKLDVNVKYFLSLGIRLRPLGAMIADFPMLLRYNLDILRPKYIYLRRTMVRPLRDLIEFPR >DRNTG_10260.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20022767:20028205:-1 gene:DRNTG_10260 transcript:DRNTG_10260.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G21710) UniProtKB/Swiss-Prot;Acc:F4IHL3] MLCFSSNVALLSNPNPNPNPFFAWRRRVFAVTCAVDPNAITPSPTPELEIHPLSRRQNQLLILDPDSQTKPRTNSHGCQETEEDLIKKKVIEEVSLATRRIPRFPGAIDRPDTQRLGPPPDLRRVLSGNDRTLKQALEVRRGVAAETLKFALRAGKLSIRYSDNLVSKLPGFVDRVVIGAAAMKENPELAHLSFNARAKKYIQDSGVVALVKWLKHNSMTYPQIGKLLCMSSGDLEPVRELVEWLKSIYVKGMCLGGVVTKAGPIWERNLEELEEIVNFLEKKRVRKEWIGYVVSRCPQLLGMTMDELKIRVRFYLDMGMSDNDFGTMVFDYPRALGYYSIEEMHSKVNYLKEFGLTTEDVGRLLAFKPQLMACSIEERWKPLVKYLYYLGIRRDGMRRMLLVRPVIFCVNIETMIAPKVHPILFTD >DRNTG_10260.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20022767:20028205:-1 gene:DRNTG_10260 transcript:DRNTG_10260.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G21710) UniProtKB/Swiss-Prot;Acc:F4IHL3] MTYPQIGKLLCMSSGDLEPVRELVEWLKSIYVKGMCLGGVVTKAGPIWERNLEELEEIVNFLEKKRVRKEWIGYVVSRCPQLLGMTMDELKIRVRFYLDMGMSDNDFGTMVFDYPRALGYYSIEEMHSKVNYLKEFGLTTEDVGRLLAFKPQLMACSIEERWKPLVKYLYYLGIRRDGMRRMLLVRPVIFCVNIETMIAPKVHPILFTD >DRNTG_10260.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20022767:20028205:-1 gene:DRNTG_10260 transcript:DRNTG_10260.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G21710) UniProtKB/Swiss-Prot;Acc:F4IHL3] MLCFSSNVALLSNPNPNPNPFFAWRRRVFAVTCAVDPNAITPSPTPELEIHPLSRRQNQLLILDPDSQTKPRTNSHGCQETEEDLIKKKVIEEVSLATRRIPRFPGAIDRPDTQRLGPPPDLRRVLSGNDRTLKQALEVRRGVAAETLKFALRAGKLSIRYSDNLVSKLPGFVDRVVIGAAAMKENPELAHLSFNARAKKYIQDSGVVALVKWLKHNSMTYPQIGKLLCMSSGDLEPVRELVEWLKSIYVKGMCLGGVVTKAGPIWERNLEELEEIVNFLEKKRVRKEWIGYVVSRCPQLLGMTMDELKIRVRFYLDMGMSDNDFGTMVFDYPRALGYYSIEEMHS >DRNTG_10260.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20022711:20028205:-1 gene:DRNTG_10260 transcript:DRNTG_10260.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G21710) UniProtKB/Swiss-Prot;Acc:F4IHL3] MTKAGVTKEDIGKVIALDPPLVGCSITKKLDVNVKYFLSLGIRLRPLGAMIADFPMLLRYNLDILRPKYIYLRRTMVRPLRDLIEFPRFFSYSLEGRIIPRHKILVSNRINFKLRYMLASTDEDFNRRVHEAVERRQRFESGAKDDDHSCCDTPDDAETNSSILVSPQ >DRNTG_10260.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20022711:20028205:-1 gene:DRNTG_10260 transcript:DRNTG_10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G21710) UniProtKB/Swiss-Prot;Acc:F4IHL3] MLCFSSNVALLSNPNPNPNPFFAWRRRVFAVTCAVDPNAITPSPTPELEIHPLSRRQNQLLILDPDSQTKPRTNSHGCQETEEDLIKKKVIEEVSLATRRIPRFPGAIDRPDTQRLGPPPDLRRVLSGNDRTLKQALEVRRGVAAETLKFALRAGKLSIRYSDNLVSKLPGFVDRVVIGAAAMKENPELAHLSFNARAKKYIQDSGVVALVKWLKHNSMTYPQIGKLLCMSSGDLEPVRELVEWLKSIYVKGMCLGGVVTKAGPIWERNLEELEEIVNFLEKKRVRKEWIGYVVSRCPQLLGMTMDELKIRVRFYLDMGMSDNDFGTMVFDYPRALGYYSIEEMHS >DRNTG_10260.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20022767:20028205:-1 gene:DRNTG_10260 transcript:DRNTG_10260.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G21710) UniProtKB/Swiss-Prot;Acc:F4IHL3] MLCFSSNVALLSNPNPNPNPFFAWRRRVFAVTCAVDPNAITPSPTPELEIHPLSRRQNQLLILDPDSQTKPRTNSHGCQETEEDLIKKKVIEEVSLATRRIPRFPGAIDRPDTQRLGPPPDLRRVLSGNDRTLKQALEVRRGVAAETLKFALRAGKLSIRYSDNLVSKLPGFVDRVVIGAAAMKENPELAHLSFNARAKKYIQDSGVVALVKWLKHNSMTYPQIGKLLCMSSGDLEPVRELVEWLKSIYVKGMCLGGVVTKAGPIWERNLEELEEIVNFLEKKRVRKEWIGYVVSRCPQLLGMTMDELKIRVRFYLDMGMSDNDFGTMVFDYPRALGYYSIEEMHSKVNYLKEFGLTTEDVGRLLAFKPQLMACSIEERWKPLVKYLYYLGIRRDGMRRMLLVRPVIFCVNIETMIAPKVHPILFTD >DRNTG_10260.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20022767:20028205:-1 gene:DRNTG_10260 transcript:DRNTG_10260.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G21710) UniProtKB/Swiss-Prot;Acc:F4IHL3] MTYPQIGKLLCMSSGDLEPVRELVEWLKSIYVKGMCLGGVVTKAGPIWERNLEELEEIVNFLEKKRVRKEWIGYVVSRCPQLLGMTMDELKIRVRFYLDMGMSDNDFGTMVFDYPRALGYYSIEEMHSKVNYLKEFGLTTEDVGRLLAFKPQLMACSIEERWKPLVKYLYYLGIRRDGMRRMLLVRPVIFCVNIETMIAPKVRFLQDIGIKEEAIGGVLVKFPALLTYSLYKKIRPVVIFLMTKAGVTKEDIGKVIALDPPLVGCSITKKLDVNVKYFLSLGIRLRPLGAMIADFPMLLRYNLDILRPKYIYLRRTMVRPLRDLIEFPR >DRNTG_10260.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20022767:20028205:-1 gene:DRNTG_10260 transcript:DRNTG_10260.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G21710) UniProtKB/Swiss-Prot;Acc:F4IHL3] MTYPQIGKLLCMSSGDLEPVRELVEWLKSIYVKGMCLGGVVTKAGPIWERNLEELEEIVNFLEKKRVRKEWIGYVVSRCPQLLGMTMDELKIRVRFYLDMGMSDNDFGTMVFDYPRALGYYSIEEMHSKVNYLKEFGLTTEDVGRLLAFKPQLMACSIEERWKPLVKYLYYLGIRRDGMRRMLLVRPVIFCVNIETMIAPKVRFLQDIGIKEEAIGGVLVKFPALLTYSLYKKIRPVVIFLMTKAGVTKEDIGKVIALDPPLVGCSITKKLDVNVKYFLSLGIRLRPLGAMIADFPMLLRYNLDILRPKYIYLRRTMVRPLRDLIEFPRFFSYSLEGRIIPRHKILVSNRINFKLRYMLASTDEDFNRRVHEAVERRQRFESGAKDDDHSCCDTPDDAETNSSILVSPQ >DRNTG_10260.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20022767:20028205:-1 gene:DRNTG_10260 transcript:DRNTG_10260.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G21710) UniProtKB/Swiss-Prot;Acc:F4IHL3] MLCFSSNVALLSNPNPNPNPFFAWRRRVFAVTCAVDPNAITPSPTPELEIHPLSRRQNQLLILDPDSQTKPRTNSHGCQETEEDLIKKKVIEEVSLATRRIPRFPGAIDRPDTQRLGPPPDLRRVLSGNDRTLKQALEVRRGVAAETLKFALRAGKLSIRYSDNLVSKLPGFVDRVVIGAAAMKENPELAHLSFNARAKKYIQDSGVVALVKWLKHNSMTYPQIGKLLCMSSGDLEPVRELVEWLKSIYVKGMCLGGVVTKAGPIWERNLEELEEIVNFLEKKRVRKEWIGYVVSRCPQLLGMTMDELKIRVRFYLDMGMSDNDFGTMVFDYPRALGYYSIEEMHSKVNYLKEFGLTTEDVGRLLAFKPQLMACSIEERWKPLVKYLYYLGIRRDGMRRMLLVRPVIFCVNIETMIAPKVRFLQDIGIKEEAIGGVLVKFPALLTYSLYKKIRPVVIFLMTKAGVTKEDIGKVIALDPPLVGCSITKKLDVNVKYFLSLGIRLRPLGAMIADFPMLLRYNLDILRPKYIYLRRTMVRPLRDLIEFPRFFSYSLEGRIIPRHKILVSNRINFKLRYMLASTDEDFNRRVHEAVERRQRFESGAKDDDHSCCDTPDDAETNSSILVSPQ >DRNTG_10260.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20022711:20028205:-1 gene:DRNTG_10260 transcript:DRNTG_10260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G21710) UniProtKB/Swiss-Prot;Acc:F4IHL3] MACSIEERWKPLVKYLYYLGIRRDGMRRMLLVRPVIFCVNIETMIAPKVRFLQDIGIKEEAIGGVLVKFPALLTYSLYKKIRPVVIFLMTKAGVTKEDIGKVIALDPPLVGCSITKKLDVNVKYFLSLGIRLRPLGAMIADFPMLLRYNLDILRPKYIYLRRTMVRPLRDLIEFPR >DRNTG_16144.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15726766:15728450:-1 gene:DRNTG_16144 transcript:DRNTG_16144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIHITQALITGLYEIDAVTSLAAQLENLSKKLDRLTSNRVAAVTSCTRFELVEATLRNTTASLHNLENQIGQIAKSLSERPHGSLPSNTETNPRGHVKAITLRSGHEVEGRLPTLFTAGRETRETENPHGRVEIIHALVEIPHGSLYRPRPWSCPIPALFKADSALILVFFSPSFPQHARGLRLGFQGVLGKVLERFYGSNIVIPLGIRLVGELRSRRILYHTKESLDNE >DRNTG_23114.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4233710:4237955:1 gene:DRNTG_23114 transcript:DRNTG_23114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHGHAVPLEVVGTVLEMADMAWTALEHRRERQHEAAEDQELAQLRAENLRLRGLLEENLTLLQGLCQAPSISRDCPPDLYSRLVAVVDSPDFHSKLESLHEESLNAKGGSFPFREASGADLKAMEVLINVGHDEPSWWVWVTNDMVPNNLEEVSEIDKENYVIVSEDSVVDGIANFMARCIISNPKSQIHNQRLTPEELQRTITRALGGVNDRSMLRRVWEAATILYTLSTWGLALYGLYRHRAVLKVAARGVAASGKFVMKAL >DRNTG_22563.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001244.1:249553:257469:-1 gene:DRNTG_22563 transcript:DRNTG_22563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLLEAIYSVREPYGSRYASPSLKWNPFASLFRHQSPPFKPKSIRLSTQGVLKPPSSNSDFSDRDPFEVIVNTVLKTLKALKKPAIAAVLVGFLLTVDPFSALAASGGRMGGRSFSSSPRSYSSRSFSAPPGSSFSYSVPYFAPTPFGAGGGFYAGPAFGVGFGAGSGFFLLMMGFAAVILLSGFLSDRSDDGSVLSATQKTTVLKLQVGLLGMARSLQKDLNQIAETADTSTPEGFNFVLTETALALLRQPDCCISAHSSVDVRRSIEDGEKRFNQLSIEERGKFDEETLVNVNNIKRQRTSSQRPSVFSNEYIVITILVAAEGVHKLPIINSSSDLKEAMQKLGSISSSKTLAVEVLWTPQNENDTLSEQELLEDYPLLRPL >DRNTG_05211.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000234.1:12995:16649:-1 gene:DRNTG_05211 transcript:DRNTG_05211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSREGGGGGGGGGGGMEMGSGSKEVSGATVSSDNLSGLSIGRKIYFEDGGGGGGGGGSSSESLATALEKPPAPKKGKGVVQGVPQPPRCQVEGCKVDLSGAKAYYSRHKVCGMHSKSPKVIVNGLEQRFCQQCSRFHQLPEFDQGKRSCRRRLAGHNERRRKPPPVPLSSRYGRLPSLQEESTRYRSFLMNFSYPRPPIREVWPTIRAGDRIASSHCQGGFGPPTSRSQEHGAQQYVQGSIGGTLFSNPETHQPVECLAGVSDSSCALSLLSTQPWCTSTRSQTPTMPTSISFEGALMAHPVSGVNNYQAVHCYDFQGHEVHHEMGTMQVSQNGNAHFSGELELALQAPSGRNYDQSTHCHCHGMHWSL >DRNTG_05211.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000234.1:12995:15119:-1 gene:DRNTG_05211 transcript:DRNTG_05211.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFNQKPNSNNANQHQLRRSTDGSPSLRRQQLPSSPLL >DRNTG_05211.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000234.1:12995:16393:-1 gene:DRNTG_05211 transcript:DRNTG_05211.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKSPKVIVNGLEQRFCQQCSRFHQLPEFDQGKRSCRRRLAGHNERRRKPPPVPLSSRYGRLPSLQEESTRYRSFLMNFSYPRPPIREVWPTIRAGDRIASSHCQGGFGPPTSRSQEHGAQQYVQGSIGGTLFSNPETHQPVECLAGVSDSSCALSLLSTQPWCTSTRSQTPTMPTSISFEGALMAHPVSGVNNYQAVHCYDFQGHEVHHEMGTMQVSQNGNAHFSGELELALQAPSGRNYDQSTHCHCHGMHWSL >DRNTG_05211.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000234.1:12916:15119:-1 gene:DRNTG_05211 transcript:DRNTG_05211.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFNQKPNSNNANQHQLRRSTDGSPSLRRQQLPSSPLL >DRNTG_18349.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14786314:14788422:1 gene:DRNTG_18349 transcript:DRNTG_18349.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKCLMKSSSNLFYLLLISLGILRVQCLTPNKTTSKVPAVIVFGDSIVDPGNNNAIETLIKCNFPPYGKDFAQHKPTGRFCNGKIPSDFIASQLGIKELVPAYLGTELTAQDLLTGVSFASGGAGYDPLTSQLVSALTMSDQLNLFKDYKEKLKKVAGEVKANNTISQGLFLVVTGTDDLANTYFTTPFRRAEFDLPSYINFTVDCAVSFYQVASSLINTTD >DRNTG_18349.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14786314:14789682:1 gene:DRNTG_18349 transcript:DRNTG_18349.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKCLMKSSSNLFYLLLISLGILRVQCLTPNKTTSKVPAVIVFGDSIVDPGNNNAIETLIKCNFPPYGKDFAQHKPTGRFCNGKIPSDFIASQLGIKELVPAYLGTELTAQDLLTGVSFASGGAGYDPLTSQLVSALTMSDQLNLFKDYKEKLKKVAGEVKANNTISQGLFLVVTGTDDLANTYFTTPFRRAEFDLPSYINFTVDCAVSFYQELYHLGARKIAVVGVPPVGCVPSQRTIEGGIERHCVPLYNQAALMFNAKLSTESERLNKTLKGAQIVYIDIYTPLLDLITRPFAYGFEESTKGCCGTGYFEVTLACNSLTTPTCEDASKYVFWDSYHPTERAYNILITEVFQKYGSLLT >DRNTG_21862.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19304414:19308324:-1 gene:DRNTG_21862 transcript:DRNTG_21862.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSSAAASKQHGWRSKASLQKQLNLNLQNKGLELPKLKNMINMQELRIREPSSNIIINKPQTIFDDKEVDMLLVSKLYAIAEAAGDRAEMHKIIGEQRDNWNTLLLNSINSINLIASIMAGLSTISMGDQSQHFLAFKLSSALLFTAATGMMLIVNKIQPSQLAEEQRNATRLFKQLERSIHTSLDQLKSSTFTPTELDVEEALEKVLALDKAYPLPLLPGMLEKFPKNIEPTVWWPKLQTRRRSSSSAQDGKMRGLLKVLKEKDEEQYVWHGNLFVKINKCLAISGPLLAGLGAVGAGLIGTPALGQWPVLFGVMGGVLATMINTLEHGFQIGMLIEMFRNCAGFYRKVVVTISLSTGHLVTALIVLDLSPKASDRDSLHSNPGHWMVSNALMLVLD >DRNTG_30600.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001601.1:333:712:1 gene:DRNTG_30600 transcript:DRNTG_30600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSLKGSFFKGSKERGNDDEEIKA >DRNTG_31603.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:644776:651215:-1 gene:DRNTG_31603 transcript:DRNTG_31603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYPEELRTPPVSLVSVVGCPDLHSTISAVLHSEQPPINTLALPDFSKISILARKQRDPLDAGGHPPEGILKKDWLLKHRTRVPAVVAALFGSDQVNGDPAQWLQVCTDLENLKSMVRGRNIKLIIVIVQRSIADGVSEDLIIALRKRAEIEYKHLVMFDKDDPHEMKQSLNRLSGIFAELCNTYYREEGRRVKARIEKKTFGFAELNIRCCFKVAVYAEFRRDWAEALRCYEDAYGALREMVGTSTRLPPIQRLVEIKAVAEQLHFKISTLLLHGGKVVEALSWFHKHAASYERLVGVPEIAFIHWEWFSRQFLVFAELLDTSSASYPSNVSPRFGSSENPLTEWEFQPAYYYQLSAKYLRNKKLSLACSHSAGKVAGCPESISSSNFVGQYARLVEEGDIITALPLSDAEYSSYAITEAQRFQDSYEIIALLRRSWESFNSLKSTRMASYCANRMAIEYFEAADFINAKELFDSVAVLFRKEGWVTLLWETLGYLRECSRKLGSPKGFIEYSLEMAALPIFSNSGGETNGNKRNCGPAGLATLSQRERIQEEVFNLLKGQALVATDCTLKIIEDHPVLIEVDLVSPLRVVLLASVAFHDQSLKPTSSTSITLSLLSQLPHPVTIDQLEIQFNQPLCNFEVVNAQEPSVVESFRGEQGVRVEMAPSLTLLPDKWLRLTYKIKSEQSGKLECLFVKAKIGRFFAICCQAESPASMEDLPLWKFEDRMESFPTKDPALAYSGQRFTQVDEPEPQVDLSLDASGSALVEENFVVPVTVTSKGHAVHSGELKINLVDARGGGLLMSPKGELEPFSSDSHHVELLGISGTIEEDESQLNLDNIRKIQQSFGVVSVPDLGLGDTWSCKLEIKWHRPKSVMLYVSLGYFSNPVEAASQRVNVHKSLQIEGKTPVIISHRFMTPFRREPLLLTKVKSSSDSDRKISLALNEKNILIVNARNSTEVPLRMISMSIESNSEKKNGHSCLVEHNHGATADYGLLAPGEEFKQVFSVTPQIDSPNLSVGTVCIRWTRDVRESQAPGSSVLTRLELPNVNVEKPPLIVSLECPPHAVLGVPFSVYLKVQNLTSMLQEIKYSLGDSQSFVFSGPHNDSILILPKTEHIISYKLVPLSSGSQQLPRITITSVRYSAALQPSIAAETIFVYPSEPNFSIGMRRKELDPVSS >DRNTG_31603.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:644776:651215:-1 gene:DRNTG_31603 transcript:DRNTG_31603.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTSTRLPPIQRLVEIKAVAEQLHFKISTLLLHGGKVVEALSWFHKHAASYERLVGVPEIAFIHWEWFSRQFLVFAELLDTSSASYPSNVSPRFGSSENPLTEWEFQPAYYYQLSAKYLRNKKLSLACSHSAGKVAGCPESISSSNFVGQYARLVEEGDIITALPLSDAEYSSYAITEAQRFQDSYEIIALLRRSWESFNSLKSTRMASYCANRMAIEYFEAADFINAKELFDSVAVLFRKEGWVTLLWETLGYLRECSRKLGSPKGFIEYSLEMAALPIFSNSGGETNGNKRNCGPAGLATLSQRERIQEEVFNLLKGQALVATDCTLKIIEDHPVLIEVDLVSPLRVVLLASVAFHDQSLKPTSSTSITLSLLSQLPHPVTIDQLEIQFNQPLCNFEVVNAQEPSVVESFRGEQGVRVEMAPSLTLLPDKWLRLTYKIKSEQSGKLECLFVKAKIGRFFAICCQAESPASMEDLPLWKFEDRMESFPTKDPALAYSGQRFTQVDEPEPQVDLSLDASGSALVEENFVVPVTVTSKGHAVHSGELKINLVDARGGGLLMSPKGELEPFSSDSHHVELLGISGTIEEDESQLNLDNIRKIQQSFGVVSVPDLGLGDTWSCKLEIKWHRPKSVMLYVSLGYFSNPVEAASQRVNVHKSLQIEGKTPVIISHRFMTPFRREPLLLTKVKSSSDSDRKISLALNEKNILIVNARNSTEVPLRMISMSIESNSEKKNGHSCLVEHNHGATADYGLLAPGEEFKQVFSVTPQIDSPNLSVGTVCIRWTRDVRESQAPGSSVLTRLELPNVNVEKPPLIVSLECPPHAVLGVPFSVYLKVQNLTSMLQEIKYSLGDSQSFVFSGPHNDSILILPKTEHIISYKLVPLSSGSQQLPRITITSVRYSAALQPSIAAETIFVYPSEPNFSIGMRRKELDPVSS >DRNTG_31603.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:644776:649499:-1 gene:DRNTG_31603 transcript:DRNTG_31603.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTSTRLPPIQRLVEIKAVAEQLHFKISTLLLHGGKVVEALSWFHKHAASYERLVGVPEIAFIHWEWFSRQFLVFAELLDTSSASYPSNVSPRFGSSENPLTEWEFQPAYYYQLSAKYLRNKKLSLACSHSAGKVAGCPESISSSNFVGQYARLVEEGDIITALPLSDAEYSSYAITEAQRFQDSYEIIALLRRSWESFNSLKSTRMASYCANRMAIEYFEAADFINAKELFDSVAVLFRKEGWVTLLWETLGYLRECSRKLGSPKGFIEYSLEMAALPIFSNSGGETNGNKRNCGPAGLATLSQRERIQEEVFNLLKGQALVATDCTLKIIEDHPVLIEVDLVSPLRVVLLASVAFHDQSLKPTSSTSITLSLLSQLPHPVTIDQLEIQFNQPLCNFEVVNAQEPSVVESFRGEQGVRVEMAPSLTLLPDKWLRLTYKIKSEQSGKLECLFVKAKIGRFFAICCQAESPASMEDLPLWKFEDRMESFPTKDPALAYSGQRFTQVDEPEPQVDLSLDASGSALVEENFVVPVTVTSKGHAVHSGELKINLVDARGGGLLMSPKGELEPFSSDSHHVELLGISGTIEEDESQLNLDNIRKIQQSFGVVSVPDLGLGDTWSCKLEIKWHRPKSVMLYVSLGYFSNPVEAASQRVNVHKSLQIEGKTPVIISHRFMTPFRREPLLLTKVKSSSDSDRKISLALNEKNILIVNARNSTEVPLRMISMSIESNSEKKNGHSCLVEHNHGATADYGLLAPGEEFKQVFSVTPQIDSPNLSVGTVCIRWTRDVRESQAPGSSVLTRLELPNVNVEKPPLIVSLECPPHAVLGVPFSVYLKVQNLTSMLQEIKYSLGDSQSFVFSGPHNDSILILPKTEHIISYKLVPLSSGSQQLPRITITSVRYSAALQPSIAAETIFVYPSEPNFSIGMRRKELDPVSS >DRNTG_00161.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30942813:30945468:-1 gene:DRNTG_00161 transcript:DRNTG_00161.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAMAMLLPEFLSFSNIRPPFFSNPSANSSNHPSPPRSRSQLLPPDRPGTVIAPGESQMARILSCLLLLLLLLLLLCPTILLAKTDQPDVSALNVMFNSMNSPSQLSGWQSIGGDPCGHSWQGIECSGSSVTEINLSGLGLSGSMGYQLSSLTSVTYFDMSKNNIQGDIPYQLPPNLNYLNLAGNSFTGGVPYSISQMTDLEYLNLAQNQLSGQLSDMFEKLQKLSELDLSVNRMSGDLPQSFGSLSSLKILNLENNQFTGSINVLAKLSLEHLNVQNNQFSGWIPNKLSQVKEIKTGGNPWSSGNAPPGNTAEENVRENSSGQSRGVIAGIVIALLVIAMVVLVLVKRRISSSSHYVDGKSQYVDDELSQNRSFTPLVSHDLKGCSFILFHNKVLCLHPPPP >DRNTG_00161.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30940922:30941648:-1 gene:DRNTG_00161 transcript:DRNTG_00161.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSDISRLHHSNIAELVGYCMEPGQRLLVYEFQRNGSLYEFLHLSDEYSRPLTWDTRVRIALGTARAIEYLHEICSPCLIHKNIKSANILLDMELNPHLSDCGLTALYQ >DRNTG_00161.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30939409:30941648:-1 gene:DRNTG_00161 transcript:DRNTG_00161.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSDISRLHHSNIAELVGYCMEPGQRLLVYEFQRNGSLYEFLHLSDEYSRPLTWDTRVRIALGTARAIEYLHEICSPCLIHKNIKSANILLDMELNPHLSDCGLTALYQDTSENLGPGYNPPECTKPAAYTLKSDVYSFGVVMLELLTGRKPYDSSKPRPDQILVRWAASQLHDINALAQMVDPGLRGLYPPKSLHRLADVIALCVRPEPEFRPLMSEVVQALALCIQRTGMGGGKVGRTLSSASRRSDWSDDFDYRYY >DRNTG_00161.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30943882:30945795:-1 gene:DRNTG_00161 transcript:DRNTG_00161.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARILSCLLLLLLLLLLLCPTILLAKTDQPDVSALNVMFNSMNSPSQLSGWQSIGGDPCGHSWQGIECSGSSVTEINLSGLGLSGSMGYQLSSLTSVTYFDMSKNNIQGDIPYQLPPNLNYLNLAGNSFTGGVPYSISQMTDLEYLNLAQNQLSGQLSDMFEKLQKLSELDLSVNRMSGDLPQSFGSLSSLKIL >DRNTG_00161.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30941255:30941648:-1 gene:DRNTG_00161 transcript:DRNTG_00161.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSDISRLHHSNIAELVGYCMEPGQRLLVYEFQRNGSLYEFLHLSDEYSRPLTWDTRVRIALGTARAIE >DRNTG_00161.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30939409:30945468:-1 gene:DRNTG_00161 transcript:DRNTG_00161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAMAMLLPEFLSFSNIRPPFFSNPSANSSNHPSPPRSRSQLLPPDRPGTVIAPGESQMARILSCLLLLLLLLLLLCPTILLAKTDQPDVSALNVMFNSMNSPSQLSGWQSIGGDPCGHSWQGIECSGSSVTEINLSGLGLSGSMGYQLSSLTSVTYFDMSKNNIQGDIPYQLPPNLNYLNLAGNSFTGGVPYSISQMTDLEYLNLAQNQLSGQLSDMFEKLQKLSELDLSVNRMSGDLPQSFGSLSSLKILNLENNQFTGSINVLAKLSLEHLNVQNNQFSGWIPNKLSQVKEIKTGGNPWSSGNAPPGNTAEENVRENSSGQSRGVIAGIVIALLVIAMVVLVLVKRRISSSSHYVDGKSQYVDDELSQNRSFTPLVSHDLKGEPNVLESTSIDLKSLPSSIGLKPLPPDSIKTYNENEFANGINARQSVEPLLVRKYSFSDLQAATGSFNFNRLLGEGSIGRVYKAKYADGKILAVKKIDTADFSGSILKDFMEVVSDISRLHHSNIAELVGYCMEPGQRLLVYEFQRNGSLYEFLHLSDEYSRPLTWDTRVRIALGTARAIEYLHEICSPCLIHKNIKSANILLDMELNPHLSDCGLTALYQDTSENLGPGYNPPECTKPAAYTLKSDVYSFGVVMLELLTGRKPYDSSKPRPDQILVRWAASQLHDINALAQMVDPGLRGLYPPKSLHRLADVIALCVRPEPEFRPLMSEVVQALALCIQRTGMGGGKVGRTLSSASRRSDWSDDFDYRYY >DRNTG_12227.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:87187:91944:1 gene:DRNTG_12227 transcript:DRNTG_12227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGYCGEGIKSFEVSWDAVKEHNINVAMVEDNACMAVKIRTTAKIMTKCIRACIESMFASHFGEDIIDELFVRYTSLLELKVTSPRTRAKFPLSNRLRAEEEHLETILSLESFMSPEEKKEQKMMRKKSEEADVAVDMGKKCTWGEIADDRCGNLHKCGWRGVQHPYY >DRNTG_03323.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15312892:15316133:-1 gene:DRNTG_03323 transcript:DRNTG_03323.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor homology region, transmembrane domain- and RING domain-containing protein 1 [Source:Projected from Arabidopsis thaliana (AT5G66160) UniProtKB/Swiss-Prot;Acc:Q9M622] MNPFSSFSIKALPLLLLLLLLLHSSSALVHLRASSFSFSFLDAPARFAVPVGDRGVCGALHIADPIDACLPIGDFQLSASAESQSRSHSRFVLIERGACSFEEKVRNAQNGGFGAAIVYDNQEKSGLYSMIGDPTGIHVHAVFVSKMAGETLKRFAQGEEGECCIGSSMNETAGTVLVISFVSLVVIVSVLAAFLFARNCILLRQVAQRRPSSMNKEAVEVFPCLTFSTSFLNSKCTAETCAICLEDYKDGERLRVLPCNHEFHSACVDSWLTKWGTFCPVCKHDLSSGE >DRNTG_20177.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:9267053:9271858:1 gene:DRNTG_20177 transcript:DRNTG_20177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKALDIFVICFLLLFGVLSVEGHSVGAILNYGSRSGREEKVAMETAIEDINNLTNQGLVLHTMDSSGDPLLAASAAMTMINEHHAKALIGLATWQEASFVAELGTRAQVPVLSFIGTYPPAATGRCPFLVPLAHSQVLQVKAIAAIIRSWSWKKVSLIYEDVEYTATTIIPYLADALGDTDIYSRVALSSYESSFSEELEMLRCRQSRVFVIHTSIQLATRLFAEAYKNGMMSDGYVWITTDAITSKLDHVNSSVITSMQGVLGVKNYFKPSAQTSDFIKRFQRRYHSEYPKEKRREPQVSSFTAYDTIWAIAKAMNKTNVSEELKKVQNNSVNGPLFVEISKEGWSLLDGIKRSNFTGLNGEFIFKDGKFSLVNAFQIVNVVGKSYRELGFWLPDCGFSKNLESKDGNETSMKNLGQVYWPGGPWSVPKGWVSPTSNKPLKVAVPAKAVFPEFVTVKKGSDNKTLAEGFSINVFKKVLEQLSYPLPDDFIPFNCSYDSFLEDDQMQVWCWWCQ >DRNTG_01036.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18608587:18609395:1 gene:DRNTG_01036 transcript:DRNTG_01036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGTESVSVVMDWIMAELLKNPNKLDILKTEIRNVISATTTTIIKEQDLKEMHYLRAVIKETLRLHPPASLLVPRESMQEAQIGPYKIPKNTQVMTNVWAIGRDPQVWEAPDEFRPERFLGSCVDFKGCDFELLPFGAGRRICPGIQFSTLIIELTIANLVHLFEWNLGMNVEDLDMIEGPGLTGRRRVELVLHATPCF >DRNTG_09271.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21659890:21661795:-1 gene:DRNTG_09271 transcript:DRNTG_09271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDISAFADAMGQCCSIALPMTLKAMIELDVLEVMAAVGPGAMLSPEEIASKIQTSNPDAHEVLDRMLRFLAAHKVVTCEVVVGEEDGKSKRRYGLGPVCEFFTKDEDGTSLAPLLLMHLSKDWADTWPNLKHAVLDGSNPFVKTYGMTLFEYEDKDSHFSEMFNKAMFNLSTRLMKKILENYNGFESINVLVDVAGGHGGILSIILSKYPHIKAINFDLPHVVSQAKPIQGVEFVGGDMFESVPTGDAIIIKGTLHNWSDADCVKVLKNCWKALPDDGKIVLIEHVIPENAEEARNTLFLDIIMLTYFVGGKGRSEKEFQFLAKESGFSKFKIACNYYYYVMELYK >DRNTG_27782.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001407.1:44228:44875:1 gene:DRNTG_27782 transcript:DRNTG_27782.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRKQILAQHFLVAIYMIFIAIAEANLQIPPIIITPKWFSLPSILPQIPTPRAIQPSPATPLLSSQREPSPSPLVTPSPSSSKTPSYPPSTTLPASPLPTTPPSPSNTSPPLSPKISPLSTISPPSRQPPPPLPPPPSTTPLPQSLRTLPPPRLPKISMPSPSSRTPPL >DRNTG_27782.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001407.1:44228:45310:1 gene:DRNTG_27782 transcript:DRNTG_27782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRKQILAQHFLVAIYMIFIAIAEANLQIPPIIITPKWFSLPSILPQIPTPRAIQPSPATPLLSSQREPSPSPLVTPSPSSSKTPSYPPSTTLPASPLPTTPPSPSNTSPPLSPKISPLSTISPPSRQPPPPLPPPPSTTPLPQSLRTLPPPRLPKISMPSPSSRTPPL >DRNTG_11809.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:361494:367131:1 gene:DRNTG_11809 transcript:DRNTG_11809.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEESALKAGVIKYGAGKWRAILKDPEFSGTLCLRSNVDLKDKWRNLTVTASGWGSRERARLALKKGKDVPKHDDNPLALEVVEDEFDDEIIDAKPLAISAENLHINRPQKASLKLESLIFEAIMSLKEPSGSNKTDIAIYIEDRLVTPPEDLKKLLSAKLKALTASGKLIKVKRKYRAATGPSASEGRKSNGLLSEGRPRDTSKLEKDGPKMLLKSEIDAELASMRNMTAKEAAAAAARAVAEAEAAMAEAEEAMREAEEAEAEAEAAQAFAEAAMRTLNNRTAAKQKPRA >DRNTG_11809.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:361494:367131:1 gene:DRNTG_11809 transcript:DRNTG_11809.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEESALKAGVIKYGAGKWRAILKDPEFSGTLCLRSNVDLKDKWRNLTVTASGWGSRERARLALKKGKDVPKHDDNPLALEVVEDEFDDEIIDAKPLAISAENLHINRPQKASLKLESLIFEAIMSLKEPSGSNKTDIAIYIEDRLVTPPEDLKKLLSAKLKALTASGKLIKVKRKYRAATGPSASEGRKSNGLLSEGRPRDTSKLEKDGPKMLLKSEIDAELASMRNMTAKEAAAAAARAVAEAEAAMAEAEEAMREAEEAEAEAEAAQAFAEAAMRTLNNRTAAKQKPRA >DRNTG_11809.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:361494:367131:1 gene:DRNTG_11809 transcript:DRNTG_11809.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEESALKAGVIKYGAGKWRAILKDPEFSGTLCLRSNVDLKDKWRNLTVTASGWGSRERARLALKKGKDVPKHDDNPLALEVVEDEFDDEIIDAKPLAISAENLHINRPQKASLKLESLIFEAIMSLKEPSGSNKTDIAIYIEDRLVTPPEDLKKLLSAKLKALTASGKLIKVKRKYRAATGPSASEGRKSNGLLSEGRPRDTSKLEKDGPKMLLKSEIDAELASMRNMTAKEAAAAAARAVAEAEAAMAEAEEAMREAEEAEAEAEAAQAFAEAAMRTLNNRTAAKQKPRA >DRNTG_11809.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:361494:367131:1 gene:DRNTG_11809 transcript:DRNTG_11809.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEESALKAGVIKYGAGKWRAILKDPEFSGTLCLRSNVDLKDKWRNLTVTASGWGSRERARLALKKGKDVPKHDDNPLALEVVEDEFDDEIIDAKPLAISAENLHINRPQKASLKLESLIFEAIMSLKEPSGSNKTDIAIYIEDRLVTPPEDLKKLLSAKLKALTASGKLIKVKRKYRAATGPSASEGRKSNGLLSEGRPRDTSKLEKDGPKMLLKSEIDAELASMRNMTAKEAAAAAARAVAEAEAAMAEAEEAMREAEEAEAEAEAAQAFAEAAMRTLNNRTAAKQKPRA >DRNTG_11809.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:361494:367131:1 gene:DRNTG_11809 transcript:DRNTG_11809.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEESALKAGVIKYGAGKWRAILKDPEFSGTLCLRSNVDLKDKWRNLTVTASGWGSRERARLALKKGKDVPKHDDNPLALEVVEDEFDDEIIDAKPLAISAENLHINRPQKASLKLESLIFEAIMSLKEPSGSNKTDIAIYIEDRLVTPPEDLKKLLSAKLKALTASGKLIKVKRKYRAATGPSASEGRKSNGLLSEGRPRDTSKLEKDGPKMLLKSEIDAELASMRNMTAKEAAAAAARAVAEAEAAMAEAEEAMREAEEAEAEAEAAQAFAEAAMRTLNNRTAAKQKPRA >DRNTG_11809.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:361494:367131:1 gene:DRNTG_11809 transcript:DRNTG_11809.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEESALKAGVIKYGAGKWRAILKDPEFSGTLCLRSNVDLKDKWRNLTVTASGWGSRERARLALKKGKDVPKHDDNPLALEVVEDEFDDEIIDAKPLAISAENLHINRPQKASLKLESLIFEAIMSLKEPSGSNKTDIAIYIEDRLVTPPEDLKKLLSAKLKALTASGKLIKVKRKYRAATGPSASEGRKSNGLLSEGRPRDTSKLEKDGPKMLLKSEIDAELASMRNMTAKEAAAAAARAVAEAEAAMAEAEEAMREAEEAEAEAEAAQAFAEAAMRTLNNRTAAKQKPRA >DRNTG_24570.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001310.1:18586:20356:-1 gene:DRNTG_24570 transcript:DRNTG_24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARRRHQKCSKAVGYMRELNKLDEPLLEPAEYLRYSEKMHRRVEFRHASGFVLRAHPEKTHGRGRTPMSNLVISARPSGRRLGPVDKPVTNAMVWVFYSRPCGSLQKSSLHPEKTQGRASALVKKPQGCAAAPMCRSHGRGYFPHACMAPRSKKIEGKHHEETLLELAHIEFSNPEHQARFERLSRLGFGQSQFVDLSWLGRLTR >DRNTG_05850.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31562265:31562906:1 gene:DRNTG_05850 transcript:DRNTG_05850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPPGFHYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHIRQLGDWTRELKLVFSEACEPPMHGRSGLLRADETTKKSLPKLLIDGPYGAPSQDYKKYDVLLLVGLGIGATPFISILKDLLNNIVKMEAHL >DRNTG_05850.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31559441:31563985:1 gene:DRNTG_05850 transcript:DRNTG_05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLAGHDRRWASDTIPTGTHSTNDFVEVTLDLHPDDTVVLRSVEPADRNSSGSSTPSMARSHSSRIRQFSQELKAEARRISHDIMAELKKFSRSHGHTPAASGFDSALAARALRRQRAELDRSRSGAHKALRGLKFISGRTNGVDGWNEVQTSFDKLAIDGFLSRLDFALCIGMKDSKEFALELFDALSRRRRLNAEKISKDELYEFWSQITDQSFDSRLQIFFDMVDKDADGRITEVEVKEIIMLSASANKLSRLKEQAEEYSALIMEELDPERLGYIELWQLETLLLQRDTYLNYSQALSHTSQALSQNLAALHRGGSILKLGSKVHYFLEENWKRLWVLMLWVGAMAGLFLWKFIQYRNRYAFQVMGYCLTTAKGAAETLKLNMALILLPVCRNTITWLRSTRLSRVMPFDDNINFHKTIAGAIVVGVILHAGNHLTCDFTRLESSSNRMYEPLKESFGDSKPNYGTLVQGPEGITGILMVLCMVVAFTLATRWFRRSLVKFPKPFDRLTGFNAFWYSHHLFVLVYVLLIIHGQLLYLIHHWYLKTTWMYLSVPIILYAGERTLRAFRSGYYSVRILKVAIYPGNVLTLHMSKPPGFHYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHIRQLGDWTRELKLVFSEACEPPMHGRSGLLRADETTKKSLPKLLIDGPYGAPSQDYKKYDVLLLVGLGIGATPFISILKDLLNNIVKMEAHLDVAPDYYYPSDGTMPSKTKKALKTTNAYFYWVTREQGSFDWFKGVMNEVAELDQGGLIEMHNYLTSVYEEGDARSALITMVQALSHAKNGVDVVSGTRVRTHFARPNWYKVFSRTATRHPYARIGVFYCGAPVLAQELSALCYEFNQKGGAKFEFHKEHF >DRNTG_17749.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4206424:4208789:1 gene:DRNTG_17749 transcript:DRNTG_17749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSSIWIYGVLLVFSVLLHPGGSFYLPGSYPYKYSVGELLSVKVNSLTSIETEMPFSYYSLPFCTPGEGVKDSAENLGELLMGDRIETSPYRFKMFTNESDILLCRTDPLNAESLSLLKKRIDEMYQVNLILDNLPAIRYTKKDEFMLRWTGYPVGIRVGDSYYLFNHLKFTVLVHKYEETNVARVMGTGDAVDVIPTLDKAGSGPPGYMVVGFEVVPCSFQHDAESVKDAKMYSQYPAKIQCDPTTVAMVVKENQPLVFTYEVSFVESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVIAFLAGIVLVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFRAPTNPSLLCIMVGDGVQILGMAVVTILFAALGFMSPASRGTLITGMLFFYLVLGIAAGYVAVRLWKTLRCGDHSGWVSVSWRVACFFPGIAFLILTTLNFLLWGSQSTGAIPIYLFIILLLLWFCISVPLTLIGGFFGARAAHIEYPVRTNQIPREIPPQKYPSWLLVLGAGTLPFGTLFIELFFIMSSLWMGRVYYVFGFLFIVLILLVIVCAEVSLVLTYMHLCVEDWRWWWKAFFSSGSVAIYIFLYSANYLVFDLKSLSGPVSATLYLGYSLLMVLAIMFATGTVGFMSSFWFVHYLFSSVKLD >DRNTG_28909.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17925631:17926679:1 gene:DRNTG_28909 transcript:DRNTG_28909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSLCLTGTLITQMLEVIHNSIHQWVRERDKPHRDMGSFVIAARYYLFFAVHANVNRLWSFYRAQRGNRVEFNDHDWVDATFVFYDEEGKVVRVKVITKY >DRNTG_25150.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1884589:1890103:-1 gene:DRNTG_25150 transcript:DRNTG_25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAELTDSINGHWRDRARALQYRIRDRFRIAVDRHRRWSEESDYSVTLRRWVLRLRALLENPSSSVSSSTSLSRYSKFYRKRVDKDVDLIDDSVIARLLQAVAVPLIGNACHVFMHGLNHIQIYGAEKLHQALLQRPKSTPLITVSNHVASVDDPLVIASLLPPNAMLDARNLRWTLCATDRCFKTPMLSAFFRCVKVLPVSRGEGIYQKGMDMAISKLNNGGWVHIFPEGSRSRDGGKSIGSPKRGVGRLVMDADSIPVVIPFVHTGMHDVMPIGSSIPKIGKRVIVLVGDPIHLEDLLQKKDEYQNDSRGVLYDAVSSRIGHRLRELKLLVDRLALEQPFEARDYDMQNTARASGIWQQVDWEAFGIENAMSSDNSQIQNFSLTTAMKENSDQHQRPQSDYRTTIKMGLSYDGGIVSRVRGYMNPSELMGFAARGLFLNGRVLDEHPEDIQESGPSKIWKQFLERNLFSQRSAL >DRNTG_25150.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1884589:1890103:-1 gene:DRNTG_25150 transcript:DRNTG_25150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLNHIQIYGAEKLHQALLQRPKSTPLITVSNHVASVDDPLVIASLLPPNAMLDARNLRWTLCATDRCFKTPMLSAFFRCVKVLPVSRGEGIYQKGMDMAISKLNNGGWVHIFPEGSRSRDGGKSIGSPKRGVGRLVMDADSIPVVIPFVHTGMHDVMPIGSSIPKIGKRVIVLVGDPIHLEDLLQKKDEYQNDSRGVLYDAVSSRIGHRLRELKLLVDRLALEQPFEARDYDMQNTARASGIWQQVDWEAFGIENAMSSDNSQIQNFSLTTAMKENSDQHQRPQSDYRTTIKMGLSYDGGIVSRVRGYMNPSELMGFAARGLFLNGRVLDEHPEDIQESGPSKIWKQFLERNLFSQRSAL >DRNTG_06609.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:522332:522852:-1 gene:DRNTG_06609 transcript:DRNTG_06609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGTERMCMVSPSVQQFVHAVKQTVLANKRWVPPYGKGSLYIRPLLLGSGPILGLAPSPEYMFLVFAVPVGKYFKDRLPSVNLSVSDEI >DRNTG_01484.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13810787:13813798:-1 gene:DRNTG_01484 transcript:DRNTG_01484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSQIQRKLCVLHLLFFSYYLVQVIGLEEGYLKYMDPKQPLKVRINDLLGRMTLAEKLGQMSQIERAIAKPHVLKNFFIGSVLSGGGSVPSSRASVAVWVSMVNEMQKAALSTRLGIPILYGIDAVHGHNTVYNATIFPHNVGLGATRDPALVKRIGVATAIEVRATGIPYIFAPCLAVCRDPRWGRCYESYSEDPKLVQAMTAIISGLQGDIPVNSPKGVPFVGGWKHVAACAKHYVGDGGTYKGINGNNTVINFNGLLNVHMLPYLSAVNKGVSTVMVSFSSWNGVKMHANHYLITDFLKNKLNFKGFVISDWTGIDLITNPPSINYSYSVQTGIHAGIDMIMLPYEYKDFIKDLTYQVNNKIIPMSRIDDAVKRILRVKFTLGLFEKPFADLSFSYQVGNKEHRELAREAVRKSLVLLKNGKPGEMALLPLPKNADRILVAGSHAHNLGYQCGGWTITWQGKSGNTLTTGNTILDAIKSAVKPTTKVIYSKNPKTPFINKSKVSFAIIVVGEYPYAEVVGDNMNLTIPEPGLSTMKNVCNNIKCVVVIISGRPLVIEPYVHMIDALVAAWLPGTEGQGVTDVLFGDYGFSAKLSRTWFKSVDQLPMNTGDAHYEPLFPFGFGLTTEPSHSH >DRNTG_34504.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32677018:32678160:1 gene:DRNTG_34504 transcript:DRNTG_34504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKGDGHGSHHLNDQKQHHCPARQARARRRTDGC >DRNTG_31971.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:637753:639804:1 gene:DRNTG_31971 transcript:DRNTG_31971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTEYYKSLPPVTKTYGVICLMITTAYYFNLFDYDTISLSYVHILKQFQVWRLVTNFFFIAPFSTYFGIRLLMLARYGVLLEKGPFKERTADFLWMMIFGALCLLMINMIPIFRYWFLAPSLVFMILYVWSREVPNSQITFFGLVTFQGVYLPWIMLGLDLIFNNPLMGDIMGILAGHLYYFLAVLYPLSGRKNVLKTPLWVFKVVAYWGEGAQINSPVQSTPQAGIAFRGRSYRLNR >DRNTG_31971.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:638774:639804:1 gene:DRNTG_31971 transcript:DRNTG_31971.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLDLIFNNPLMGDIMGILAGHLYYFLAVLYPLSGRKNVLKTPLWVFKVVAYWGEGAQINSPVQSTPQAGIAFRGRSYRLNR >DRNTG_31971.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:637753:638297:1 gene:DRNTG_31971 transcript:DRNTG_31971.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTEYYKSLPPVTKTYGVICLMITTAYYFNLFDYDTISLSYVHILKQFQVWRLVTNFFFIAPFSTYFGIRLLML >DRNTG_26840.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1907201:1907577:-1 gene:DRNTG_26840 transcript:DRNTG_26840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKPGLEQFTANPKEKKKINRGKDPDWNREGFEKVRHFRFEVKVEVVL >DRNTG_26840.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1907201:1909925:-1 gene:DRNTG_26840 transcript:DRNTG_26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKPGLEQFTANPKEKKKINRGKDPDWNREGFEKVRHFRFEVKVEVVL >DRNTG_32335.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28997266:28999954:1 gene:DRNTG_32335 transcript:DRNTG_32335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDSDSESPEEVTAEQSIRQYEEIKKVQKENKLREAHEGKERRRQWAQRRTKEKPTEENVPKVSKTEEQPEPLILPGMLPSNIVSLLAAREKVVFSSDSEEDTHQKPTKRKRRQKNSGPDTVLLKDIPSVECSKNSLEFLKRRKTQVARSSAVLKNANQALRLLSSQGLVSKN >DRNTG_14013.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27656744:27660197:-1 gene:DRNTG_14013 transcript:DRNTG_14013.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA methylation 4 [Source:Projected from Arabidopsis thaliana (AT2G30280) UniProtKB/Swiss-Prot;Acc:Q8GYP3] MAEVGEPSSSSATMKRKPVVVRVKRRASQEPLDALWLEINERPFKKPLLDFASLSIGESTGKALEESKTKKVLVQHVETLGGFEGTKDALLAFLDGMPHSNSNMEFERKLADRRNTFKQDKKQDRLLLEAREKHEYLARRARFTQIWKSRREGKDSDDNSLQEICHFYDIVRVDEEEKPGKLPEPKTVSIDDNAMLYSYLPLLREFLPTAAEEIESDISSVQENYVYDLYAMDDEANENEQDSFTGYPLVQVDDEDEYYGGPPECEYESDDSNAEDNPLNEYPDEVSSQDEENENKDPLDYYSDTDSQYEKIIDSDEEDEDWKWEYK >DRNTG_14013.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27656744:27660053:-1 gene:DRNTG_14013 transcript:DRNTG_14013.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA methylation 4 [Source:Projected from Arabidopsis thaliana (AT2G30280) UniProtKB/Swiss-Prot;Acc:Q8GYP3] MAEVGEPSSSSATMKRKPVVVRVKRRASQEPLDALWLEINERPFKKPLLDFASLSIGESTGKALEESKTKKVLVQHVETLGGFEGTKDALLAFLDGMPHSNSNMEFERKLADRRNTFKQDKKQDRLLLEAREKHEYLARRARFTQIWKSRREGKDSDDNSLQEICHFYDIVRVDEEEKPGKLPEPKTVSIDDNAMLYSYLPLLREFLPTAAEEIESDISSVQENYVYDLYAMDDEANENEQDSFTGYPLVQVDDEDEYYGGPPECEYESDDSNAEDNPLNEYPDEVSSQDEENENKDPLDYYSDTDSQYEKIIDSDEEDEDWKWEYK >DRNTG_13863.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20582151:20586735:1 gene:DRNTG_13863 transcript:DRNTG_13863.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIFSFFRRMPSFGISVGAGQVGLSKMKLSTDGVPGVLSQGTASSELSVDGWDGMALEYSVGWPLQLFFTQEVLSKYHKVFQYLIRLKRTQMELEKSWASVMHQEHVDFANYHKDKKSSSISHLQRRYNTMWRVREHMIFCWSISYNSFLYPSLNIFWCQ >DRNTG_13863.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20582151:20586735:1 gene:DRNTG_13863 transcript:DRNTG_13863.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIFSFFRRMPSFGISVGAGQVGLSKMKLSTDGVPGVLSQGTASSELSVDGWDGMALEYSVGWPLQLFFTQEVLSKYHKVFQYLIRLKRTQMELEKSWASVMHQEHVDFANYHKDKKSSSISHLQRRYNTMWRVREHMIFCWSISYNSFLYPSLNIFWCQ >DRNTG_13863.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20582151:20586735:1 gene:DRNTG_13863 transcript:DRNTG_13863.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPILATVTKGLNKFEVLLPPLYELIVEIERENVRGGQLLNLLHKRCHCGVPELQACIQRLLWHGHQVMYNQLASWMVYGILQDQHGEFFIRRGKIDM >DRNTG_13863.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20582151:20586735:1 gene:DRNTG_13863 transcript:DRNTG_13863.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIFSFFRRMPSFGISVGAGQVGLSKMKLSTDGVPGVLSQGTASSELSVDGWDGMALEYSVGWPLQLFFTQEVLSKYHKVFQYLIRLKRTQMELEKSWASVMHQEHVDFANYHKDKKSSSISHLQRRYNTMWRLFVSFDFAILPGYWLYIKNIRQHNETLPVVLLEHQTL >DRNTG_13863.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20582151:20586735:1 gene:DRNTG_13863 transcript:DRNTG_13863.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPILATVTKGLNKFEVLLPPLYELIVEIERENVRGGQLLNLLHKRCHCGVPELQACIQRLLWHGHQVMYNQLASWMVYGILQDQHGEFFIRRICYQSIYVCLFPSRFFFPARQ >DRNTG_13863.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20582151:20586735:1 gene:DRNTG_13863 transcript:DRNTG_13863.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIFSFFRRMPSFGISVGAGQVGLSKMKLSTDGVPGVLSQGTASSELSVDGWDGMALEYSVGWPLQLFFTQEVLSKYHKVFQYLIRLKRTQMELEKSWASVMHQEHVDFANYHKDKKSSSISHLQRRYLSALILQSFLDIGSISRILDSIMKPCL >DRNTG_13863.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20582151:20586735:1 gene:DRNTG_13863 transcript:DRNTG_13863.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIFSFFRRMPSFGISVGAGQVGLSKMKLSTDGVPGVLSQGTASSELSVDGWDGMALEYSVGWPLQLFFTQEVLSKYHKVFQYLIRLKRTQMELEKSWASVMHQEHVDFANYHKDKKSSSISHLQRRYNTMWRVREHMIFCWSISYNSFLYPSLNIFWCQ >DRNTG_13863.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20582151:20586735:1 gene:DRNTG_13863 transcript:DRNTG_13863.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPILATVTKGLNKFEVLLPPLYELIVEIERENVRGGQLLNLLHKRCHCGVPELQACIQRLLWHGHQVMYNQLASWMVYGILQDQHGEFFIRRICYQSIYVCLFPSRFFFPARQ >DRNTG_10373.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:7987360:7987895:1 gene:DRNTG_10373 transcript:DRNTG_10373.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYKMEEIDKDLREEGMTPSFSRYSSYLSFLNANPTSLCLQLVLDPLRWNIGGEPSRALPVPHLCTTVLGVSQACFPYYVETFSRLRKSGKEHGRAKTVLGLKAQPCLSYH >DRNTG_01234.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000074.1:153121:168349:1 gene:DRNTG_01234 transcript:DRNTG_01234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPAGRTTMDSLPFATTPLRFLASCRGTPSLINITSYPSPIVASLPPLRLKPFPSPSPSRSLLILPPRRTGCRSHGCLHDHSHHHHHDQHHHYHHHHHGHEGGGGELTRAQEVVLRFAKMVGWVNLANFLREHLQLCCCSMGLLLLAAACPYALPTRVVRASQNALIAVAFPLVGISSALDAVVNIAGGKINIHVLMALAAYASIFMGNALEGGLLLAMFNLAHIAEEYFTSRSMIDVKELKDNYPDHALVLEVNDDQPVQFLKLNYKKIPVSDLEVGSFILVRAGEAVPVDGEVFQGASTVTIEHLTGEAKPLERKVGDAIPGGARNLEGMMTIKVSKSWKDSTLSKIVQLTEEGQLNKAKLQRWLDEFGEHYSKVVVALSLGVALLGPFLFKWPFIGSPVSRGSVYRALGLMVAASPCALAVAPLAYAIAISSCASKGILLKGGRVLDALAACQIIAFDKTGTLTTGKLMCKAIEPIHGHFVGLNKSHFSSCCVPSCEKEALAVAAAMEK >DRNTG_13338.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1071009:1077849:1 gene:DRNTG_13338 transcript:DRNTG_13338.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase EZA1 [Source:Projected from Arabidopsis thaliana (AT4G02020) UniProtKB/Swiss-Prot;Acc:Q9ZSM8] MLSSSSSGAADLSSMRSKRPDGDHSKDAIHGISSKISQVKKETQASRLIFIRERVEKNRMELEEHSKSMFELAKGRGSDGSEHGNLLSVRMGSPLGKFGVLDSWEREGVNVQDENLSSGTVVFGSNGGKCVVRLIRLPFVEKIPPYTTWMFLDRNQRMAEDQSVVGRRQIYYDDKYGSETLICSDSEEEIIEPEEEKHKFSKGEDEIIWKVLQEHGVNPQALNVLSQSIYVNPSEIEKRYETLMKKNQDKGKRNTDSSAELESDGKLFLDKTLSSALDSFDTIFCRRCLVFDCRLHGCPQNLILPNEKLSSVFEPEELRTPCGDNCYLKRIADAEGLSHGISNTGNPNEIESMNLMEKLDTTRLDSEDSTPDDDSKDSTEPEGMSIDGITTTLQFARRNKAKRKVSKNENTAAENSQSTVEKCVGTIDKKQKILSGEDTFKNADSGDTDNFPNKTLDSVMEYDRMEKISSSSDLGQTSQNWNALEKDLYLKGVEIFGKNSCLIARNLLSGLKTCAEVSNYMFEDKGAIPSRPLFSNSTMEDSEKEQEMPRTRIWRRRGKARKLKYTWKSAGHPSVRKRIADGKQELCTQYNPCGCLQMCGKQCPCMQDGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDGSLGEPPARGDGYQCGNMKLLLKQQQRILLGRSDVAGWGAFIKNPVNKNDYLGEYTGELISHKEADKRGKIYDRANSSFLFDLNDQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIFAKEHIEASEELFYDYRYGPDQAPAWARKPEGSKKDDPSVSHGRAQKVA >DRNTG_13338.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1071009:1077849:1 gene:DRNTG_13338 transcript:DRNTG_13338.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase EZA1 [Source:Projected from Arabidopsis thaliana (AT4G02020) UniProtKB/Swiss-Prot;Acc:Q9ZSM8] MLSSSSSGAADLSSMRSKRPDGDHSKDAIHGISSKISQVKKETQASRLIFIRERVEKNRMELEEHSKSMFELAKGRGSDGSEHGNLLSVRMGSPLGKFGVLDSWEREGVNVQDENLSSGTVVFGSNGGKCVVRLIRLPFVEKIPPYTTWMFLDRNQRMAEDQSVVGRRQIYYDDKYGSETLICSDSEEEIIEPEEEKHKFSKGEDEIIWKVLQEHGVNPQALNVLSQSIYVNPSEIEKRYETLMKKNQDKGKRNTDSSAELESDGKLFLDKTLSSALDSFDTIFCRRCLVFDCRLHGCPQNLILPNEKLSSVFEPEELRTPCGDNCYLKRIADAEGLSHGISNTGNPNEIESMNLMEKLDTTRLDSEDSTPDDDSKDSTEPEGMSIDGITTTLQFARRNKAKRKVSKNENTAAENSQSTVEKCVGTIDKKQKILSGEDTFKNADSGDTDNFPNKTLDSVMEYDRMEKISSSSDLGQTSQNWNALEKDLYLKGVEIFGKNSCLIARNLLSGLKTCAEVSNYMFEDKGAIPSRPLFSNSTMEDSEKVDGDYLEQEMPRTRIWRRRGKARKLKYTWKSAGHPSVRKRIADGKQELCTQYNPCGCLQMCGKQCPCMQDGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDGSLGEPPARGDGYQCGNMKLLLKQQQRILLGRSDVAGWGAFIKNPVNKNDYLGEYTGELISHKEADKRGKIYDRANSSFLFDLNDQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIFAKEHIEASEELFYDYRYGPDQAPAWARKPEGSKKDDPSVSHGRAQKVA >DRNTG_34597.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23175488:23175803:1 gene:DRNTG_34597 transcript:DRNTG_34597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSSEVRISENVTVATSREGDRAGTGEEDARGDAWISGDEVFSR >DRNTG_32575.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25153848:25156766:-1 gene:DRNTG_32575 transcript:DRNTG_32575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNEISSFVQVELESLFETWERFKELLRKCPQHGFPEWMIVQTFYNGLNPSTRQLLDAAEGGTLGNGVK >DRNTG_10880.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14136650:14139349:-1 gene:DRNTG_10880 transcript:DRNTG_10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDALPPPILPYRTNLFPSAIPSSQRFLSSHKISIRSHTVFCAHNAQYLLDKMPNRPSKVSSWPSLILDSTKGGCFSQAFSIFSEMLKSDDKPDEFVLGSLLKASSGLSDLCIGEQLHAKAIRLGLASERGVRTSLIAMYSNCGFLKLARQVFDDVPFVHVTDVPTWNCLISVYVSHGQYDICFCVFSYMLSMGHLAPTDATYASIISACASAGEIEIGRMTHAMIVKDKTIDKTMLLNSLITMYSKCGDLDSANKVFQRVEKTNIVSWNAMIAGFGQNEEFNLALKSFRELTRFDQQVPVKPNRITFLSVLSSVSGCTALKLGREVHAQVIKTGLEIDTSIGNALVTMYGKCSDVIKCRLVFDRLLFRNVITWNSMLFGYAQNNQLENCIELFKEMIALGIKPDNHTVTILLNTLSSVTPISDCSMLIREIHGYLLRRSRSGLLNIAAYNAIITMYAKCEMLHEAEKLFNWMSKHDSYSWNAMIDGYSSNGYYDEAIAFFVYMQEQGLKCDHLTFSILLTACGRLASAELGKQIHAFTLKHHFPRCQHQSRLLSVNNALVAMYSKCGSISDSTKAFHHMAKKDVFSWTGMITAYAHHSMVYESFECFERMKRNGIEPNSVTFLGLLTACAHAGLVKEGTQYFNSMIEIYDVNPNVEHYACMVDLYGRSGDFERAVKMIETGILQLDLRQNSCVPLLKALLGACHAHNQLELGVQVARLILELDPEDETSHVLLSNLYASVGMWENAITVRNMMKAKGLKKEAGCSWVELENRRHVFVAGDCSHPDRKRIYDKLAELDEKCRLIGYIPMTNFVLHDVDEVQKEAILSSHSEKLAVSFSVLQNGRRKGAIRVIKNLRVCGDCHNWMKFASKVEGREIVLRDSRRFHFFEDGKCSCGDYW >DRNTG_34020.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31582555:31583632:1 gene:DRNTG_34020 transcript:DRNTG_34020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLPTKLVRIPLTNWSFSLNPGPFSLKEHVLITLFANAGASGPFALDIVTLMKAFYHRRINPVAALLLVDSPYMWWPGNLVAVSLFR >DRNTG_34020.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31582555:31585578:1 gene:DRNTG_34020 transcript:DRNTG_34020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLPTKLVRIPLTNWSFSLNPGPFSLKEHVLITLFANAGASGPFALDIVTLMKAFYHRRINPVAALLLVDSPYMWWPGNLVAVSLFR >DRNTG_10713.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5084444:5085226:-1 gene:DRNTG_10713 transcript:DRNTG_10713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEDYAEDCNGSFPSSLRAFEVIVCMLLLLAWRDHDLDRTLHHLIKINCLALFAVEEHNKKENKLLEFARVELQEFKHAGDSPSLTASDLGVKRDGHEPGWRNVPAHDPVVKDAANHAVTTIQQRSNSIVPYELLDILHAKAEVIEDSAKFDMLLKLKRGKEEKFKVEVHKNLEGTFHLNQMQQEHVDSV >DRNTG_01904.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20957116:20960808:-1 gene:DRNTG_01904 transcript:DRNTG_01904.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQLILAEIRERDWCNVVTCHMDTTKAYVWRLQNYVLGEHILTPSADNQTPVKVCAISACGNFAILGTEDGWIERFNLQSGLSRGCYVDYSEGKNKAHQAEVVGVACDATNNVLISGGYHGDIKVWDFKGRELKSRWEIGHCVNKFVFHRTNGLLAAVADDMILRLFDVVALRMVRKFEGHTDRITDLCFSEDGKWLLSSSMDGSLRIWDVILARQIDAIRVDVPITALSLSPAMDILATSHVDQNGVYLWVNQDMFSGSSHRNSYASGENVCSVQMPSVSAKGGEAESVHTLSDPDQIRNKRSASHFESRLPNLITLSLLPKSQWQSLTNLDIIKIRNKPIEPPKKPEKAPFFLPTTPSLSGEVLFKSDVVVTDEVKEAKGNELKPNKQKSDLSSPFLQLLNSCSDKQNYSAFTDYIKGLPPSTLDVELRMLQIIDDVDMEKLDQMPELHSIALLFDYFIHEVSNMNNYEFIQAVIRLFLKIHGESVRRRPVLQEKAKKLLEVQSLVWQRLDKIFQGVRCMVTFLSNSQL >DRNTG_01904.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20957116:20962473:-1 gene:DRNTG_01904 transcript:DRNTG_01904.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTKAYVWRLQNYVLGEHILTPSADNQTPVKVCAISACGNFAILGTEDGWIERFNLQSGLSRGCYVDYSEGKNKAHQAEVVGVACDATNNVLISGGYHGDIKVWDFKGRELKSRWEIGHCVNKFVFHRTNGLLAAVADDMILRLFDVVALRMVRKFEGHTDRITDLCFSEDGKWLLSSSMDGSLRIWDVILARQIDAIRVDVPITALSLSPAMDILATSHVDQNGVYLWVNQDMFSGSSHRNSYASGENVCSVQMPSVSAKGGEAESVHTLSDPDQIRNKRSASHFESRLPNLITLSLLPKSQWQSLTNLDIIKIRNKPIEPPKKPEKAPFFLPTTPSLSGEVLFKSDVVVTDEVKEAKGNELKPNKQKSDLSSPFLQLLNSCSDKQNYSAFTDYIKGLPPSTLDVELRMLQIIDDVDMEKLDQMPELHSIALLFDYFIHEVSNMNNYEFIQAVIRLFLKIHGESVRRRPVLQEKAKKLLEVQSLVWQRLDKIFQGVRCMVTFLSNSQL >DRNTG_11230.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21950877:21960388:1 gene:DRNTG_11230 transcript:DRNTG_11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPDPSPPATALDSIRKQKQKQGFGQQQEKEEQQEHVQQLRGPSGENTLLASSVHEVQGGSNLRLSSAAIPCSQSSDKHSALYQENQNEGEDVHQHGEFQQQTYYQLAPQTAIPQKLYGNLCVQQSGQNSKSGLSESDQNLLQSTFRIQMQQGIASADRPNELKPSQVPSQQSHSSGMMMIGQQVPVDLQNIANTQLRVQLQTKTYARLAAMNRSIVTSVGGSCSHQSSWQERARPPLSLPNSRLGTSFGALVAQMGHSSPQLAIPPGFQSSGNATTMLNSNNLRMQQQQQEGGRHSDNQNGMVPKHLVENVNRGKSIDLSQSSRFTSCNAMQLSSSKNMATPLVTRRMANLMSMKQNVHMSQGVSSSSGGSRVQAPSRDESIQLPQPRLGFGFTEMQYVTFKTQVSAFKRLRRGDKALPFEMLISITPPPLHLLHRQTFREHIIERTDGRQERVANFNKSKVHASVKVENFHGKENVPSSGHMATIEEGSQTFPVQGYCNFYQENVSPVESARFPTLSPTVGYGQPAFTGSIMVPNDIFKTKSPAPHLGHSSFTRNNRHVSSGVAKDDPSKKLSLAYDTNHLLTAEGIAVVNKKRTEFLNKIGSLLSVNLERKRIKPDAILRLQIEEKKLRLSNFQARLRDEVAQRQTEILAMPDSMYQKFSRRCQRQRTNFLRQSQQVHEKLRKRKLKSINLGRKKFLEAHSAIRDARSFCNRGVIKFHEKMLKSFPKKKDEDRTKRMEALKNNDVDRYREMLLEQQVGISGAAAERFSILSSFLSQTEEYIFKLGQKLIATKEQQEQESTESGTEVVAQSQGLSEENVKTAVVCAREDVTVRNNFCALNAQKESSSVSKYYNLAHAVNETVRQPSLLQGGTLRDYQIAGLKWMLSLYNNKLNGILADEMGLGKTVQVSYGIDRLFDGIQREPWTASHYCPKCRLSKLEGKALFHSFMFVMQVALCGHQLTSFCIFLFLQSELFKWLPSVSCIFYVGTKEQRLKIFSEAICAVKFNVLVTSYEFVMHDRAKLSKVDWNYIIIDEAQRMKDRESVLAHNLDRYRCQRRLLLTGTPLQNNLKELWSLLNLLLPEVFDNHKTFHDWFSKPLCKVASSHNSEDEWLETEKKVIIIHRLHQILEPFVLRRRVEDVEGALPPKMVKYSCEPWLQVSVVVRCRMSAVQSCIYNWIRDSGTIRVYPEDEMRKVQRNPNYKVKKYMNLTNKCIELRKVCNHPLLNYPYFNDYSKDFIVRSCGKLWVLDKILMKLKRAGHRVLLF >DRNTG_21572.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19256980:19268148:1 gene:DRNTG_21572 transcript:DRNTG_21572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPETATAAAPPEEGEAVVAAGTDEEARKEVEEEMLVARAQRLISKITATQANPNPRLIHALASMLEAQESSYIQQSGSSSLNNGRASHLIGRLGSLVRETDEFYELISSKFLYESRYSTSVRSASARLLLSCSSTWMFPHVFDDAVLDNIKSWVMEDPVEVSGDSSHCECESGRTKPTDAEMLQTYATGLLALSLAGGTQVVEDLLTSGLSAKLMHYLRVRIFGDAGTGQKDNIAPDIRHCSSIRNKEESRNKYRQVVNSSRLDVPRIGDEPLLGGQTSEKDLDRVVNMRQAQGPECWGEAGEFLESEMIVSSSGAVGVCEIADEDNGMTGEGWHNREFLDGKLRPGPGERDSAVRNIWEDDEDENTRDDSSRRRANKGWPRTRGKGRTSEGTQESERILSSPGSGLRIGGSGRGFRDRNQLKIEDNKRIIDSKKNVSKMDLDVFATGEENDDRFADCNIGSKDISHLVKEATRAAEAEARAADGPVEAIKAACDAAAELVKGSALEVWKATNDEDAAVLAASKAASTVVDAAKATENSRNSLKVNEVLDNPKPVEPEADDGLDDFFILDIEPLARLREKYCIQCLEILGQYVEALGPVLKEKGVDVCLAFLQRCCKDENSSDGLSLLPEVLKLICALAAHRKFATVFVDRGGIQKLLSTPRASQTFFGLSSCLFTIGSLQGVMERVCALPSDVVHQVVELALQLLDCPQDQARKNAAIFFATAFVFRAVLDSFDSQEGLQKMLTLLHHAASVRSGGNSGALGAHNANLRNDRSPAEVLTATEKQIAYHSCFALHQYFRAHLLLLVDLLRPNKSSRGIARSTTSARAAYKPLDISNEAMDTVFVQIQRDRKLGPALVRARWSVVDKFLASNGHIIMLELCQALPVERYLHDLAQYALGILHIVTFVPYSRKLIVNASLSNERVGMAVILDAASGAGYVDPEVIHPALNVLVNLVCPPPSISNKPSPTVQGQQSVSLSTANGAGAESRDRNGESNLIERGGSTPFPGGSSQTALTGMSAGVVGDRRISLGPGAGCAGLAAQLEQGYRQAREAVRANNGIKVLLHLLHPRMITPPGVLDCLRALACRVLLGLARDDTIAHILTKLQVGKKLSELIRDSSTQPSGTEMSRWHTELAQVAIELIAIVTNSGRASTLAATDAAAPTLRRIERAAIAAATPITYHSRELLLLIHEHLQLSGFTTTAALLKKEADLTPLPSLGGPPPPLHQVTVQEGSSTLLQWPSGRSSGFLLDASKPILRDEDVSLRSDLVSNSFKKKSLSFSSSLSQSKSRPPPLSLTGSKPSTAINGPSVGGQETPSISTPKSSLDIDHTLKSPILLPMKRKFTELKDIGSSSPSKRLATVDLVTQSPMCQTPGTGRKNHMLDFKGQSPSLNLTPQDSQFRMKSSIFLDHSDETLYGSTFQASMTSDAQVGLHADSQPGNAERMTLDSLVVQYLKHQHRQCPAPITTLPPLSLLHPHVCPEPSRSLNAPANVTSRVGSREFLKDYGQNHARRRDRQFVYSRFKPFRTCRDEPALLSCITFLGDSSRIATGSQSGELKIFDTYNGNLLESQSCHQSLVTLVQSACSGGVQLILSSSIHDVRLWDASSISSGPLHSFDGCKAAQFSHSGTMFAAFSPEASRREVLLYDIQTYNLEQRFSDSSNMSGRGHTQSLIHFSPSDTMLLWNGILWDRRSSGPVHRFDQFTDYGGGGFHPAGNEVILNSEVWDLRKFKLLRSVPSLDQTVITFNSTGDVIYAILRRNLEDVTSAVHTRRVRHTLFPAFRTIDAVNYSDIATVQVDRCVLDLATEPNDSFVGVVAMDDHEDLYSSARLYEIGRRRRTDDDSDPDDGPDTDEEEDEENDDSDVDADALLGPDLDGEGDSDSDDLSNDEDDDSVDEADDDVGDFNMDGQGLLEMVTEGDEDDDSEVLESLGSEDDGDFVGNGFGF >DRNTG_21572.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19256980:19268148:1 gene:DRNTG_21572 transcript:DRNTG_21572.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPETATAAAPPEEGEAVVAAGTDEEARKEVEEEMLVARAQRLISKITATQANPNPRLIHALASMLEAQESSYIQQSGSSSLNNGRASHLIGRLGSLETDEFYELISSKFLYESRYSTSVRSASARLLLSCSSTWMFPHVFDDAVLDNIKSWVMEDPVEVSGDSSHCECESGRTKPTDAEMLQTYATGLLALSLAGGTQVVEDLLTSGLSAKLMHYLRVRIFGDAGTGQKDNIAPDIRHCSSIRNKEESRNKYRQVVNSSRLDVPRIGDEPLLGGQTSEKDLDRVVNMRQAQGPECWGEAGEFLESEMIVSSSGAVGVCEIADEDNGMTGEGWHNREFLDGKLRPGPGERDSAVRNIWEDDEDENTRDDSSRRRANKGWPRTRGKGRTSEGTQESERILSSPGSGLRIGGSGRGFRDRNQLKIEDNKRIIDSKKNVSKMDLDVFATGEENDDRFADCNIGSKDISHLVKEATRAAEAEARAADGPVEAIKAACDAAAELVKGSALEVWKATNDEDAAVLAASKAASTVVDAAKATENSRNSLKVNEVLDNPKPVEPEADDGLDDFFILDIEPLARLREKYCIQCLEILGQYVEALGPVLKEKGVDVCLAFLQRCCKDENSSDGLSLLPEVLKLICALAAHRKFATVFVDRGGIQKLLSTPRASQTFFGLSSCLFTIGSLQGVMERVCALPSDVVHQVVELALQLLDCPQDQARKNAAIFFATAFVFRAVLDSFDSQEGLQKMLTLLHHAASVRSGGNSGALGAHNANLRNDRSPAEVLTATEKQIAYHSCFALHQYFRAHLLLLVDLLRPNKSSRGIARSTTSARAAYKPLDISNEAMDTVFVQIQRDRKLGPALVRARWSVVDKFLASNGHIIMLELCQALPVERYLHDLAQYALGILHIVTFVPYSRKLIVNASLSNERVGMAVILDAASGAGYVDPEVIHPALNVLVNLVCPPPSISNKPSPTVQGQQSVSLSTANGAGAESRDRNGESNLIERGGSTPFPGGSSQTALTGMSAGVVGDRRISLGPGAGCAGLAAQLEQGYRQAREAVRANNGIKVLLHLLHPRMITPPGVLDCLRALACRVLLGLARDDTIAHILTKLQVGKKLSELIRDSSTQPSGTEMSRWHTELAQVAIELIAIVTNSGRASTLAATDAAAPTLRRIERAAIAAATPITYHSRELLLLIHEHLQLSGFTTTAALLKKEADLTPLPSLGGPPPPLHQVTVQEGSSTLLQWPSGRSSGFLLDASKPILRDEDVSLRSDLVSNSFKKKSLSFSSSLSQSKSRPPPLSLTGSKPSTAINGPSVGGQETPSISTPKSSLDIDHTLKSPILLPMKRKFTELKDIGSSSPSKRLATVDLVTQSPMCQTPGTGRKNHMLDFKGQSPSLNLTPQDSQFRMKSSIFLDHSDETLYGSTFQASMTSDAQVGLHADSQPGNAERMTLDSLVVQYLKHQHRQCPAPITTLPPLSLLHPHVCPEPSRSLNAPANVTSRVGSREFLKDYGQNHARRRDRQFVYSRFKPFRTCRDEPALLSCITFLGDSSRIATGSQSGELKIFDTYNGNLLESQSCHQSLVTLVQSACSGGVQLILSSSIHDVRLWDASSISSGPLHSFDGCKAAQFSHSGTMFAAFSPEASRREVLLYDIQTYNLEQRFSDSSNMSGRGHTQSLIHFSPSDTMLLWNGILWDRRSSGPVHRFDQFTDYGGGGFHPAGNEVILNSEVWDLRKFKLLRSVPSLDQTVITFNSTGDVIYAILRRNLEDVTSAVHTRRVRHTLFPAFRTIDAVNYSDIATVQVDRCVLDLATEPNDSFVGVVAMDDHEDLYSSARLYEIGRRRRTDDDSDPDDGPDTDEEEDEENDDSDVDADALLGPDLDGEGDSDSDDLSNDEDDDSVDEADDDVGDFNMDGQGLLEMVTEGDEDDDSEVLESLGSEDDGDFVGNGFGF >DRNTG_31980.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:670006:671884:-1 gene:DRNTG_31980 transcript:DRNTG_31980.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5G61520 protein [Source:Projected from Arabidopsis thaliana (AT5G61520) UniProtKB/TrEMBL;Acc:B9DGV5] MKTQETTMAESHSLWFSLALLLHLVAFFLAMTLESQGDFNHSVLLCNFKTGGVTAMSSFLKKFFPEVHKRTLNRTQGDNYCKFNSELLTLFTSSLYLSGLIASLFASTVTRKFGRRMSILIGGAVFLAGSGIGAASVNLEMLILGRVLLGIGVGFTNQAIPVYLSELAPPQHRGAFNNGFEICVGLGILFANIVNYATQKIEGGWGWRVSLAMAALPSSFLFIGSIFLPETPNSIIQHTGDIRKAANLLKRIRGTDDIQAELEDLIAAANNTASKPFYTIFQPKYRPQLVMAIAIPNFQLLTGISLLGFYSPILFRTIGFKESASLMLTFFTRIAASLFNIIVMVLVDRVGRRPLFLVGGVQMIVAEMVLGIVLATQLGDHGGMSEGYGFVVFVMVCVLIAGFSLSWGPFGWLVPSEVLSLETRSAGQSIAVVGNFTSIFVFSQLLLAMLCRLKYAIFFFYAGLVFIMTVFVFLFLPEMKNVPLERIDQLWKEHWFWKKIVSDEMQTHANSETSNVDCK >DRNTG_31980.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:669494:671988:-1 gene:DRNTG_31980 transcript:DRNTG_31980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5G61520 protein [Source:Projected from Arabidopsis thaliana (AT5G61520) UniProtKB/TrEMBL;Acc:B9DGV5] MSILIGGAVFLAGSGIGAASVNLEMLILGRVLLGIGVGFTNQAIPVYLSELAPPQHRGAFNNGFEICVGLGILFANIVNYATQKIEGGWGWRVSLAMAALPSSFLFIGSIFLPETPNSIIQHTGDIRKAANLLKRIRGTDDIQAELEDLIAAANNTASKPFYTIFQPKYRPQLVMAIAIPNFQLLTGISLLGFYSPILFRTIGFKESASLMLTFFTRIAASLFNIIVMVLVDRVGRRPLFLVGGVQMIVAEMVLGIVLATQLGDHGGMSEGYGFVVFVMVCVLIAGFSLSWGPFGWLVPSEVLSLETRSAGQSIAVVGNFTSIFVFSQLLLAMLCRLKYAIFFFYAGLVFIMTVFVFLFLPEMKNVPLERIDQLWKEHWFWKKIVSDEMQTHANSETSNVDCK >DRNTG_31980.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:671583:671884:-1 gene:DRNTG_31980 transcript:DRNTG_31980.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5G61520 protein [Source:Projected from Arabidopsis thaliana (AT5G61520) UniProtKB/TrEMBL;Acc:B9DGV5] MSSSFAITSNENTRNYNGRITLFVVLSCIVASSGGFLFGYDIGVSGLNFSLSVILSLYIYNI >DRNTG_31980.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:671583:671988:-1 gene:DRNTG_31980 transcript:DRNTG_31980.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5G61520 protein [Source:Projected from Arabidopsis thaliana (AT5G61520) UniProtKB/TrEMBL;Acc:B9DGV5] MSSSFAITSNENTRNYNGRITLFVVLSCIVASSGGFLFGYDIGVSGLNFSLSVILSLYIYNI >DRNTG_31980.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:670006:671884:-1 gene:DRNTG_31980 transcript:DRNTG_31980.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5G61520 protein [Source:Projected from Arabidopsis thaliana (AT5G61520) UniProtKB/TrEMBL;Acc:B9DGV5] MSSSFAITSNENTRNYNGRITLFVVLSCIVASSGGFLFGYDIGVSGGVTAMSSFLKKFFPEVHKRTLNRTQGDNYCKFNSELLTLFTSSLYLSGLIASLFASTVTRKFGRRMSILIGGAVFLAGSGIGAASVNLEMLILGRVLLGIGVGFTNQAIPVYLSELAPPQHRGAFNNGFEICVGLGILFANIVNYATQKIEGGWGWRVSLAMAALPSSFLFIGSIFLPETPNSIIQHTGDIRKAANLLKRIRGTDDIQAELEDLIAAANNTASKPFYTIFQPKYRPQLVMAIAIPNFQLLTGISLLGFYSPILFRTIGFKESASLMLTFFTRIAASLFNIIVMVLVDRVGRRPLFLVGGVQMIVAEMVLGIVLATQLGDHGGMSEGYGFVVFVMVCVLIAGFSLSWGPFGWLVPSEVLSLETRSAGQSIAVVGNFTSIFVFSQLLLAMLCRLKYAIFFFYAGLVFIMTVFVFLFLPEMKNVPLERIDQLWKEHWFWKKIVSDEMQTHANSETSNVDCK >DRNTG_31980.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:669494:671884:-1 gene:DRNTG_31980 transcript:DRNTG_31980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5G61520 protein [Source:Projected from Arabidopsis thaliana (AT5G61520) UniProtKB/TrEMBL;Acc:B9DGV5] MAALPSSFLFIGSIFLPETPNSIIQHTGDIRKAANLLKRIRGTDDIQAELEDLIAAANNTASKPFYTIFQPKYRPQLVMAIAIPNFQLLTGISLLGFYSPILFRTIGFKESASLMLTFFTRIAASLFNIIVMVLVDRVGRRPLFLVGGVQMIVAEMVLGIVLATQLGDHGGMSEGYGFVVFVMVCVLIAGFSLSWGPFGWLVPSEVLSLETRSAGQSIAVVGNFTSIFVFSQLLLAMLCRLKYAIFFFYAGLVFIMTVFVFLFLPEMKNVPLERIDQLWKEHWFWKKIVSDEMQTHANSETSNVDCK >DRNTG_19490.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:12261682:12263724:1 gene:DRNTG_19490 transcript:DRNTG_19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQEPSTELYVVGQKRTASGQQVEAEKKKKTRESSRAVVMRFGDLEHRACYRRLIDQSFVEGWEQFFGFEKLTYFELTQEVSPRHHIVDVSLERRQHEGHEPSLARGVGLIYCYSCMRRVGAMQPLRVTTLRAMRMIERWDTPHRVQFFQPLLLLLR >DRNTG_34624.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:81720:82797:1 gene:DRNTG_34624 transcript:DRNTG_34624.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G24340) UniProtKB/TrEMBL;Acc:Q8GYJ7] MQLHALKSSNEDCFSTLDLISGDKFEFVLVIAPIKQSYDLARIALKVASEFKVPLKVCVIWPQGSASEKANGSDKELEPWSNYIDVEEVRRSPSVSWWDMCQMTSKGVILVRPDDHVAWTAESDAVKDPLLEMERVFTIILKRE >DRNTG_34624.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:78763:82797:1 gene:DRNTG_34624 transcript:DRNTG_34624.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G24340) UniProtKB/TrEMBL;Acc:Q8GYJ7] MDGLAEEIERLQPPVDLWRKFIYCTSLSGSILGSVDHMQPQDFEKIISPTSVAHFSQYKLVRLLLKKLETLGFYICAPNDFESLNHKIICERKILMGHECHSVNFTDKGVTVGVSFLEGGKIVDRDIHCDILVGSDGARSTVRNLVGIDMKGERDLQKLVSVHFLSRDLGQYLLHERPGMLFFIFNPKAIGVLVAHDLYEGEFVLQIPYYPPQQMFEDFDFKVCEQIISNLVGWNLADVQILDAKPWAMHAEVAENFVSFDNRVILVGDAAHRFPPAGGFGMNTGIQDAHNLAWKISSLLNGIAPWSILQTYETERRPIAIFNTELSVQNFKAAMAVPAALGLDPTIANSVHQVMNSSIGSVLPSKLQKVALEGIFSIGRAQLSEFLLNEKNPLGSFRLAKLRRIFQEGKSLQLQFPAEDLGFRYYEGALVANAGHVPPQGTVESDGNSNRQLRKYVPSAKTGSRLPHMQLHALKSSNEDCFSTLDLISGDKFEFVLVIAPIKQSYDLARIALKVASEFKVPLKVCVIWPQGSASEKANGSDKELEPWSNYIDVEEVRRSPSVSWWDMCQMTSKGVILVRPDDHVAWTAESDAVKDPLLEMERVFTIILKRE >DRNTG_34624.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:79675:82797:1 gene:DRNTG_34624 transcript:DRNTG_34624.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G24340) UniProtKB/TrEMBL;Acc:Q8GYJ7] MLLIGSLLLVVLVNDPLTCAFFFSLTNFVSCEGQLFFLLLLCSLWVHNAGMNTGIQDAHNLAWKISSLLNGIAPWSILQTYETERRPIAIFNTELSVQNFKAAMAVPAALGLDPTIANSVHQVMNSSIGSVLPSKLQKVALEGIFSIGRAQLSEFLLNEKNPLGSFRLAKLRRIFQEGKSLQLQFPAEDLGFRYYEGALVANAGHVPPQGTVESDGNSNRQLRKYVPSAKTGSRLPHMQLHALKSSNEDCFSTLDLISGDKFEFVLVIAPIKQSYDLARIALKVASEFKVPLKVCVIWPQGSASEKANGSDKELEPWSNYIDVEEVRRSPSVSWWDMCQMTSKGVILVRPDDHVAWTAESDAVKDPLLEMERVFTIILKRE >DRNTG_34624.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:82138:82861:1 gene:DRNTG_34624 transcript:DRNTG_34624.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G24340) UniProtKB/TrEMBL;Acc:Q8GYJ7] MCQMTSKGVILVRPDDHVAWTAESDAVKDPLLEMERVFTIILKRE >DRNTG_34624.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:78763:82797:1 gene:DRNTG_34624 transcript:DRNTG_34624.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G24340) UniProtKB/TrEMBL;Acc:Q8GYJ7] MLLIGSLLLVVLVNDPLTCAFFFSLTNFVSCEGQLFFLLLLCSLWVHNAGMNTGIQDAHNLAWKISSLLNGIAPWSILQTYETERRPIAIFNTELSVQNFKAAMAVPAALGLDPTIANSVHQVMNSSIGSVLPSKLQKVALEGIFSIGRAQLSEFLLNEKNPLGSFRLAKLRRIFQEGKSLQLQFPAEDLGFRYYEGALVANAGHVPPQGTVESDGNSNRQLRKYVPSAKTGSRLPHMQLHALKSSNEDCFSTLDLISGDKFEFVLVIAPIKQSYDLARIALKVASEFKVPLKVCVIWPQGSASEKANGSDKELEPWSNYIDVEEVRRSPSVSWWDMCQMTSKGVILVRPDDHVAWTAESDAVKDPLLEMERVFTIILKRE >DRNTG_34624.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:78763:82797:1 gene:DRNTG_34624 transcript:DRNTG_34624.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G24340) UniProtKB/TrEMBL;Acc:Q8GYJ7] MLLIGSLLLVVLVNDPLTCAFFFSLTNFVSCEGQLFFLLLLCSLWVHNAGMNTGIQDAHNLAWKISSLLNGIAPWSILQTYETERRPIAIFNTELSVQNFKAAMAVPAALGLDPTIANSVHQVMNSSIGSVLPSKLQKVALEGIFSIGRAQLSEFLLNEKNPLGSFRLAKLRRIFQEGKSLQLQFPAEDLGFRYYEGALVANAGHVPPQGTVESDGNSNRQLRKYVPSAKTGSRLPHMQLHALKSSNEDCFSTLDLISGDKFEFVLVIAPIKQSYDLARIALKVASEFKVPLKVCVIWPQGSASEKANGSDKELEPWSNYIDVEEVRRSPSVSWWDMCQMTSKGVILVRPDDHVAWTAESDAVKDPLLEMERVFTIILKRE >DRNTG_34624.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:80944:82797:1 gene:DRNTG_34624 transcript:DRNTG_34624.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G24340) UniProtKB/TrEMBL;Acc:Q8GYJ7] MAVPAALGLDPTIANSVHQVMNSSIGSVLPSKLQKVALEGIFSIGRAQLSEFLLNEKNPLGSFRLAKLRRIFQEGKSLQLQFPAEDLGFRYYEGALVANAGHVPPQGTVESDGNSNRQLRKYVPSAKTGSRLPHMQLHALKSSNEDCFSTLDLISGDKFEFVLVIAPIKQSYDLARIALKVASEFKVPLKVCVIWPQGSASEKANGSDKELEPWSNYIDVEEVRRSPSVSWWDMCQMTSKGVILVRPDDHVAWTAESDAVKDPLLEMERVFTIILKRE >DRNTG_34624.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:78763:82797:1 gene:DRNTG_34624 transcript:DRNTG_34624.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G24340) UniProtKB/TrEMBL;Acc:Q8GYJ7] MHAEVAENFVSFDNRVILVGDAAHRFPPAGGFGMNTGIQDAHNLAWKISSLLNGIAPWSILQTYETERRPIAIFNTELSVQNFKAAMAVPAALGLDPTIANSVHQVMNSSIGSVLPSKLQKVALEGIFSIGRAQLSEFLLNEKNPLGSFRLAKLRRIFQEGKSLQLQFPAEDLGFRYYEGALVANAGHVPPQGTVESDGNSNRQLRKYVPSAKTGSRLPHMQLHALKSSNEDCFSTLDLISGDKFEFVLVIAPIKQSYDLARIALKVASEFKVPLKVCVIWPQGSASEKANGSDKELEPWSNYIDVEEVRRSPSVSWWDMCQMTSKGVILVRPDDHVAWTAESDAVKDPLLEMERVFTIILKRE >DRNTG_29596.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1181029:1181359:-1 gene:DRNTG_29596 transcript:DRNTG_29596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSERLNLCHGTKLQSRQWLKKQKHQQ >DRNTG_08536.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18249917:18254517:-1 gene:DRNTG_08536 transcript:DRNTG_08536.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLIHADAQTNELNLPVSTLAWNGEGKLLATGSLSALACIWSKNGAVHGNIFICSSGQGEGSSSVGCGSAAGAAVAAGGGAGAAVAAGGAGGGVR >DRNTG_08536.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18249917:18254517:-1 gene:DRNTG_08536 transcript:DRNTG_08536.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMYLTKSSKTTDRKEILMFMEISACAWSPTDALLTVGFSYSTALIWKIADDLSSVCGLGQKMYFLIHADAQTNELNLPVSTLAWNGEGKLLATGSLSALACIWSKNGAVHGNIFICSSGQGEGSSSVGCGSAAGAAVAAGGGAGAAVAAGGAGGGVR >DRNTG_08536.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18249917:18254517:-1 gene:DRNTG_08536 transcript:DRNTG_08536.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLIHADAQTNELNLPVSTLAWNGEGKLLATGSLSALACIWSKNGAVHGNIFICSSGQGEGSSSVGCGSAAGAAVAAGGGAGAAVAAGGAGGGVR >DRNTG_08536.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18249917:18254517:-1 gene:DRNTG_08536 transcript:DRNTG_08536.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHYTDAGLLISPDCLEPLDIITSCVHDLSKIIKDRKGKAIEYDVSNQEQQDNRQERNIDVHGGSRLMACTPSLPPVTRQVSDPDVFLLKGNSSEISACAWSPTDALLTVGFSYSTALIWKIADDLSSVCGLGQKMYFLIHADAQTNELNLPVSTLAWNGEGKLLATGSLSALACIWSKNGAVHGNIFICSSGQGEGSSSVGCGSAAGAAVAAGGGAGAAVAAGGAGGGVR >DRNTG_08536.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18249917:18254517:-1 gene:DRNTG_08536 transcript:DRNTG_08536.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLIHADAQTNELNLPVSTLAWNGEGKLLATGSLSALACIWSKNGAVHGNIFICSSGQGEGSSSVGCGSAAGAAVAAGGGAGAAVAAGGAGGGVR >DRNTG_22778.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3970624:3972145:1 gene:DRNTG_22778 transcript:DRNTG_22778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMPYLNRINETSTKIYATRTLLFLKEDRTLKPIAIELSLPDPRGEEHGAVNQVYTPAEHGVQGSIWQLAKAYAAVNDSGVHQLISHFLNTHAVIEPFVIATNRQLSVMHPIHKLLSPHYRDTMNINALARHILINAGGILELTVFPGKYAMEMSSVVYKSWKFTEQGLPADLLKRGVAVEDKTQANKIRLLIKDYPYAVDGLEIWFAIKKWVSDYCSIYYKSDGEVQGDTELQQWWKEVVEVGHAW >DRNTG_18944.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11931413:11936256:1 gene:DRNTG_18944 transcript:DRNTG_18944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTWKFTRMCSRLGFEEALRRFLEWFYGLQHRVPLEESYWGSFHWHRFSEACLRLDKGTLREDVAAPQNHRYELQVVTIVLWRTLEFSKGPFVRPRIHLAGSMPTKAFPLTFFQMEHDVEAVEHLQIPCQYLSKS >DRNTG_02727.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19713713:19716318:1 gene:DRNTG_02727 transcript:DRNTG_02727.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKTLVRAGASLFSRLLQTNPLQGLNPRIPCDSSVRSALLKSLVQSPSLGGGVGDLESIKRVASPEGLSFPCGLPSLRFFIEDGDSFTNEPICLLPKRTYQPSHIRRKRTHGYLARKATKGGRKVIARRIAKGRARIAV >DRNTG_06637.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16464824:16465263:-1 gene:DRNTG_06637 transcript:DRNTG_06637.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGINKTIKQDNKKKSQNSEKKTSLPLSLLVTSSTQKPSIKRKENDTKIRPRLRCFKDKRVWRARKLVAQLSL >DRNTG_23041.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3282134:3284211:-1 gene:DRNTG_23041 transcript:DRNTG_23041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLVTLVLVCVCAVVTGAILRVLYLVWFKPKMLELQLRKQGIPGNKYRPFTGDMNDEKAAVKEAWSKPMELTHSIIPRVIPYNHHMVKRYGKIWFKWNGTTPRVNISDPDMIREILVNKSGHFIKLKVNPLIMLLTMGLTALEGEVWAQRRKLINPAFHFDKLKEMVPAFRTSCIGLAERWKKLVSAEGSCELDIWPEFQNLTGDVISRSAFGSSFEEGKRIFELQKEQAVLVMEAARSLYLPGFRFLPTAKNKRRMFIDKEIKRMLREIICKKLDLMEMGTSANDDLLGLLLQSYNQNAASKDKNKNNGITIDDIIEECKLFYFAGQETTSVLLTWTLILLSIYPNWQQKAREEVLHTCGKNPPDFESISHLKIVNMIIHEVLRLYPPVTLMARQINKKIKLGDITLPEGAEVWIPVLEVHHDPEIWGEDAEEFDPQRFSEGVAKASKGQNAFFPFGWGPRICIGQTFAMIEAKLALAMILQRFSFELSPSYAHAPFTVITLQPQYGAHLILHHL >DRNTG_13506.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21918328:21922147:1 gene:DRNTG_13506 transcript:DRNTG_13506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSLLTQDWLKLSSYKEHLLGLSLGFLSTRYHDLTYNLTWRTLIDPSQMASKSVRRQLGHSLLSSIKYTFKIDKRNSHLRPRHGYAFLSSSQIAGLVPDSKSLRFIRQEFDLRGAFPLGFYNAALNVGVAAGFVMPWGSGFVNNHSPLPDQFFMGGHSSPVCTIGGPTSLLGFKYRGLGPTDTRRHIPTDNEGSSTAVGSDAIGGDIAVGTFADLSFDLPLKIFRQAGIHGHAFICAGNLAKLFPQELKSFSLHKFGETFRSSAGFGVIVPTKLFRMEINYCYILRQSENDRAKTGIQFSFSSP >DRNTG_34823.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16833454:16835633:1 gene:DRNTG_34823 transcript:DRNTG_34823.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFCGIARFNGEGRVLMFTAQTSWELVLAEICERWGLEVSLVRVKFITPDGYKTVCPIENDVDFQRMCHVHSIFKCAVVDLVVETEDVPLPNPNENEFYSLNSDSASAPVHPHGDPDGDALYEEGDYHEIITFVSDRFKGLVNAIARVFPSSPHAYCLRHLEANFMKANVRLGKALREECWSICFRIAWASTAKDFDGTVNELQATSPEAHHWLINKSDMAHWSNYIFRGDRWGEMYSNVAESFNAWIKEARHLPVTKMVDSIRFKLMHMLCNRREQANKWETYLCPDIHSKVEIIVEDSRNLRVGRCVDDRYEVIDQCSNSVDLAIRTCSCRRW >DRNTG_19468.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000999.1:23056:23758:-1 gene:DRNTG_19468 transcript:DRNTG_19468.4 gene_biotype:protein_coding transcript_biotype:protein_coding MINYEEMMLQGGRGTEAGSYIEERERVGDEGSGEMDSDRKPSKNFSKGEGDGVEVAWRLTLVL >DRNTG_19468.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000999.1:23035:23758:-1 gene:DRNTG_19468 transcript:DRNTG_19468.3 gene_biotype:protein_coding transcript_biotype:protein_coding MINYEEMMLQGGRGTEAGSYIEERERVGDEGSGEMDSDRKPSKNFSKGEGDGVEVAWRLTLVL >DRNTG_19468.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000999.1:23056:24021:-1 gene:DRNTG_19468 transcript:DRNTG_19468.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINYEEMMLQGGRGTEAGSYIEERERVGDEGSGEMDSDRKPSKNFSKGEGDGVEVAWRLTLVL >DRNTG_19468.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000999.1:23035:24021:-1 gene:DRNTG_19468 transcript:DRNTG_19468.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINYEEMMLQGGRGTEAGSYIEERERVGDEGSGEMDSDRKPSKNFSKGEGDGVEVAWRLTLVL >DRNTG_34469.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18140347:18147248:1 gene:DRNTG_34469 transcript:DRNTG_34469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNEWINGYLEAILDSGAVTIAEDHRAAAVSLTDRGHFNPTKYFVEEVVTGVDETDLHRTWLKVVATRNSRERSSRLENMCWRIWHLARKKKQLELEDAQRLANRRWEQELGRREATEDMSEDLSEGEKGDVVGELTQSETPKKKLQRNFSDLPVWSDDNKEKKIYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELARALSMMPGVYRVDLFTRQITSPDVDWSYGEPTEMLTSGSYDAEGNDAGESAGAYIIRIPCGPRDKYLRKELLWPYLQEFVDGALSHILNMSRVLGEQIGGGHPVWPYVIHGHYADAGDVAALLSGALNVPMVLTGHSLGRNKLEQLIKQGRQSREDINATYKIMRRIEAEELSLDASELVITSTRQEIEEQWGLYDGFDVKLEKVLRARARRGVSCHGRYMPRMVVIPPGMDFSNVVVQEDSNEVDGELTQITGADGASPRSVPPIWPEVMRFFTNPHKPMILALSRPDPKKNITTLLKAFGECRPLRELANLALIMGNRDDIDEMSSGNASVLTTVLKMIDKYDLYGLVAYPKHHKQSDVPEIYRMAAKTKGVFINPALVEPFGLTLIEAAAHGLPMVATRNGGPVDIHRALNNGLLVDPHDQKAIADALLKLVSEKNLWHECRKNGWRNINLFSWPEHCRTYLTRVAACRMRHPQWQTDTPMDDLAVEESLGDSLKDVQESSLRLSVDGEKNSLDFSLDHNQEELEKVVAEKGGDPELQDQVKRILNKIKKQVPDAQSENRNQNQENLPPSINKYPRLRRRRRLFVIALDSYDPMGKPDKKMLMVIQEVFKAIRSDDQMSRISGFALSTAMPISETLELLKLGKIQVTDFDALICSSGSEVYYPGTLQCTDSAGKFCADPDYATHIEYRWGYDGVKRTIAKLMNSQDCSVEEDLKSCNAYCVSFLVKDHSKAKQVDDLRQKLRMRGLRCHLMYCRNSTRLQVIPLLASRSQALRYLFVRWGLSLTNMYVILGDRGDTDYEELISGSHKTVIMKGIIEKGSEGLLRTSGSYQKEDIIPDESPLIVYTDEGINSETIMKALKAASKATSGM >DRNTG_34469.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18140347:18147248:1 gene:DRNTG_34469 transcript:DRNTG_34469.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNEWINGYLEAILDSGAVTIAEDHRAAAVSLTDRGHFNPTKYFVEEVVTGVDETDLHRTWLKVVATRNSRERSSRLENMCWRIWHLARKKKQLELEDAQRLANRRWEQELGRREATEDMSEDLSEGEKGDVVGELTQSETPKKKLQRNFSDLPVWSDDNKEKKIYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELARALSMMPGVYRVDLFTRQITSPDVDWSYGEPTEMLTSGSYDAEGNDAGESAGAYIIRIPCGPRDKYLRKELLWPYLQEFVDGALSHILNMSRVLGEQIGGGHPVWPYVIHGHYADAGDVAALLSGALNVPMVLTGHSLGRNKLEQLIKQGRQSREDINATYKIMRRIEAEELSLDASELVITSTRQEIEEQWGLYDGFDVKLEKVLRARARRGVSCHGRYMPRMVVIPPGMDFSNVVVQEDSNEVDGELTQITGADGASPRSVPPIWPEVMRFFTNPHKPMILALSRPDPKKNITTLLKAFGECRPLRELANLALIMGNRDDIDEMSSGNASVLTTVLKMIDKYDLYGLVAYPKHHKQSDVPEIYRMAAKTKGVFINPALVEPFGLTLIEAAAHGLPMVATRNGGPVDIHRALNNGLLVDPHDQKAIADALLKLVSEKNLWHECRKNGWRNINLFSWPEHCRTYLTRVAACRMRHPQWQTDTPMDDLAVEESLGDSLKDVQESSLRLSVDGEKNSLDFSLDHNQEELEKVVAEKGGDPELQDQVKRILNKIKKQVPDAQSENRNQNQENLPPSINKYPRLRRRRRLFVIALDSYDPMGKPDKKMLMVIQEVFKAIRSDDQMSRISGFALSTAMPISETLELLKLGKIQVTDFDALICSSGSEVYYPGTLQCTDSAGKFCADPDYATHIEYRWGYDGVKRTIAKLMNSQDCSVEEDLKSCNAYCVSFLVKDHSKAKQVDDLRQKLRMRGLRCHLMYCRNSTRLQVIPLLASRSQALRYLFVRWGLSLTNMYVILGDRGDTDYEELISGSHKTVIMKGIIEKGSEGLLRTSGSYQKEDIIPDESPLIVYTDEGINSETIMKALKAASKATSGM >DRNTG_12488.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3102246:3106504:1 gene:DRNTG_12488 transcript:DRNTG_12488.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonucleoside-diphosphate reductase small chain A [Source:Projected from Arabidopsis thaliana (AT3G23580) UniProtKB/Swiss-Prot;Acc:P50651] MGSEKKESDGEEEVMEEPLLAESSQRFCMFPIKYRELWEMYKKALASFWTADEVDLSCDVQHWETLLDSEKHFISHVLAFFASSDGIVLENLAARFLKDVQIPEARAFYGFQIAMENIHSEMYSLLLETFIKDPKEKHMLFNAIENVPCVAKKAKWALDWIESSNSFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYRLLVKHLSWQKVHKIIHDAVEIEIEFVCDALPCDLIGMNSVLMSQYIRFVADHLLVSLGCQKKYNVDNPFEWMEFISLQGKANFFEKRVGDYQKASVMSNLQNGNGSYVFRTDEDF >DRNTG_35456.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3669007:3670402:1 gene:DRNTG_35456 transcript:DRNTG_35456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRILREVSAMSRLSHPNIVRLHEVMATKSKIYLVMEHARGGELFARISRRGRLPEPMARRYFQQLVSALRFCHARGVSHRDVKPQNLLLDRDGNLKISDFGLSALPEHVKDGLLHTACGTPAYTAPEVIRRKGYDGAKADAWSCGVILFVLLAGFLPFDDANLPLMYRKIQKRDYEFPPWFPPSAKRVVFRLLDPNPETRLTIEGVMELPWFKRSLSVDSQLSLMENEEDTTTKKMMIRTISMNAFDIISLSKGLDLSGMFEGGRVREQRFTTKETVEKVSERIREVGMKLGYEFENRKGGIVGVGKDGSVLKVEVSEVAPPLLLVELKEEGSTVHVSDHGDVDNGNGERVKWDKMRRELADIVFAWHNLDDDDDT >DRNTG_04680.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30402355:30404436:1 gene:DRNTG_04680 transcript:DRNTG_04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRQWNALPYNRVASIAMKNYKDIFKMHDNDRFLNFLEDVKKGKAKIAAGALLPHEILAAVCKPKDKDGDDDDVAELQWARMVQDVLKLGKLNNCIAVCDVSGSMFGTPMEVCVAMGILISELSEEPWKGRVITFSAEPQLHKITGNTLREKSEFVESMTWWGMNTDFQKVFDQILQVAVQGNLQPEQMIKRVFVFSDMEFDQASNSSWETDYEVICRKFQASGYGEAIPEIVFWNLRDSSSIPVTANQKTVGLVSGFSKNLVKLFLKGGGIVDPVAIMDRAISSKLYEKLVVFD >DRNTG_27205.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:795245:798222:-1 gene:DRNTG_27205 transcript:DRNTG_27205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRRMCRRTPVIIGWRWSRRRRGLVGVVHELLSLTLWKKLSIVKLEHFKREFGLPEDLRGMLLRHPCLFYVSNRYKIYTLVLREGYKGSELVEKDPLVVVKDKFGELMQEGLHEYNRRRCLVNLEKKRKKGEILVKEKKVEEKEEEVDSVEKREERKRFYKVLFDENP >DRNTG_07452.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19634613:19634945:1 gene:DRNTG_07452 transcript:DRNTG_07452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALMAVGSGQTSLGIK >DRNTG_01471.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13455593:13456231:1 gene:DRNTG_01471 transcript:DRNTG_01471.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIESLFIIFINFFFRFLATLKRYVRNRARPEGSIAEAYIANECLTFCSMYLHGVETKFNKDERNVDSNQEGGLSVFSQRLRLLGAGKYVELDKMEIDMAHWYILKNCEEIDSFAIEHKEELRQDSEMNIEQRHKDTFANWFIRLMIVLHNCENSQATEALYSL >DRNTG_32170.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30897979:30899576:-1 gene:DRNTG_32170 transcript:DRNTG_32170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHACEIHDIAIAQSAAKSQKHVLLAHLPPLFVQKMVAEAIATFLLVFVTCGAAALSKKNESSVSKLGASVAGGLIVTVMIYAVGHISGAHMNPAVTLAFAAFRHFPWLQVPFYWSAQLTGAIISGFTLRCVLHPLIELGTTMPSSTSFQALVMEIIVTFTMMFVTSAVATDTKAVGELAGLAVGSSVCIASIFAGPISGGSMNPARTLGPALASKEYKGLWVYFVGPVTGTLLGAGAYKFIRESDSSMNVSSSQKLSSFKLRRLQSQDSSNEEMPVP >DRNTG_09046.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31388511:31389272:1 gene:DRNTG_09046 transcript:DRNTG_09046.3 gene_biotype:protein_coding transcript_biotype:protein_coding NLQDVGKSILESYSRVLESLAFNVFSRIDDLLYADDLAKHSDQLSVVGPAGAIVHNKVAVPYTVPVSSTPYATAYPVASFSPAPLLSPARADSSSFIIRKPQNRGFGVKKVLTEYLGFENRGKNNSDSVVSRMFMSINKEHQDS >DRNTG_09046.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31386937:31389272:1 gene:DRNTG_09046 transcript:DRNTG_09046.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRREMELLLCVSDHIVELVPSWQTFPDGSKLEVMTCRPRSDLYINLPALRKLDNMLLEILDGFSDTEFWYVDQGILSADHDGSRSFRRNLNLQEEKWWLPVPCVPPGGLYEGTRKQLEHKRECTNQILKAAIAINGNALAEMEVPQSYLDSLPKNGRTSLGDVIYRYINSDNFSPECLLDCLDFASEHQALEIANRVETSIYVWRQKPLAKFTNNASRNNPRTSWGMVKEMVVDPEKRELLADRAETLLLCLKQRFPGLTQTTLDMSKIQFNKDVGKSILESYSRVLESLAFNVFSRIDDLLYADDLAKHSDQLSVVGPAGAIVHNKVAVPYTVPVSSTPYATAYPVASFSPAPLLSPARADSSSFIIRKPQNRGFGVKKVLTEYLGFENRGKNNSDSVVSRMFMSINKEHQDS >DRNTG_09046.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31385784:31389272:1 gene:DRNTG_09046 transcript:DRNTG_09046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHTSLFRVKDRNCTSCLPLARCLPSHFKPFALLGFWFTKSLRRQRARSFSGGRKSAILWGCPVFKIEVEQLNMADLVGTFGGKDDCLIASAGGCESELFSDAVEGESHGSSSSPETSISEDPHSSIGLEESSSQLEPLCSQMDKLSVSDFVSPGEKTKKDNEEVVKLKPNSSVIEMMKERFAKLLLGEDMSGCGKGVCTALAISNAITNLCATIFGQIWRLEPLPPEKKSMWRREMELLLCVSDHIVELVPSWQTFPDGSKLEVMTCRPRSDLYINLPALRKLDNMLLEILDGFSDTEFWYVDQGILSADHDGSRSFRRNLNLQEEKWWLPVPCVPPGGLYEGTRKQLEHKRECTNQILKAAIAINGNALAEMEVPQSYLDSLPKNGRTSLGDVIYRYINSDNFSPECLLDCLDFASEHQALEIANRVETSIYVWRQKPLAKFTNNASRNNPRTSWGMVKEMVVDPEKRELLADRAETLLLCLKQRFPGLTQTTLDMSKIQFNKDVGKSILESYSRVLESLAFNVFSRIDDLLYADDLAKHSDQLSVVGPAGAIVHNKVAVPYTVPVSSTPYATAYPVASFSPAPLLSPARADSSSFIIRKPQNRGFGVKKVLTEYLGFENRGKNNSDSVVSRMFMSINKEHQDS >DRNTG_15930.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:882358:886910:-1 gene:DRNTG_15930 transcript:DRNTG_15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSELKSLTGSNGSLAQHEDSPRGVSGAFKDERPLLKSDSNAGVAESTESIEEMEKKYAPYVRHDVYGTMGLGPWETKEKVLLGIALSILVPIRLVIGMLILVLYYLICRICTLFSAPNRDEEQEDYAHMGGWRRTTVINSGRFLSRAMLFTLGFYWISESHRSSSDQGESTGLRDESERPGAIVSNHVSHLDILYHMSSSFPSFVAKRSVAKLPLVGLISKCLGCVYVQRESKSSDFKGVAGIVTQRIIEAHQNELSPRMLLFPEGTTTNGDFLLPFKTGAFLAKVPVLPVIVRYPYSRFSPAWDTISGARHIFLLLCQFVNYMEVVHLPAYYPSEEEKENPKLYANNVRKLMAVEGNLTLSEIGLAEKRIYHAALNGMFSQS >DRNTG_15930.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:882666:886910:-1 gene:DRNTG_15930 transcript:DRNTG_15930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSELKSLTGSNGSLAQHEDSPRGVSGAFKDERPLLKSDSNAGVAESTESIEEMEKKYAPYVRHDVYGTMGLGPWETKEKVLLGIALSILVPIRLVIGMLILVLYYLICRICTLFSAPNRDEEQEDYAHMGGWRRTTVINSGRFLSRAMLFTLGFYWISESHRSSSDQGESTGLRDESERPGAIVSNHVSHLDILYHMSSSFPSFVAKRSVAKLPLVGLISKCLGCVYVQRESKSSDFKGVAGIVTQRIIEAHQNELSPRMLLFPEGTTTNGDFLLPFKTGAFLAKVPVLPVIVRYPYSRFSPAWDTISGARHIFLLLCQFVNYMEVVHLPAYYPSEEEKENPKLYANNVRKLMAVEGNLTLSEIGLAEKRIYHAALNGNSLPRALHQKDD >DRNTG_05667.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:33966:40932:-1 gene:DRNTG_05667 transcript:DRNTG_05667.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin-like protein ARC5 [Source:Projected from Arabidopsis thaliana (AT3G19720) UniProtKB/Swiss-Prot;Acc:Q84N64] MDPAVLAAATADDIDDDDTLEESSAGRLYEAYNELHGLAREFETRVDAPAVLVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPIALHMTYDPSCEIPRCRLVPDSGDPAAEPRVMSLPDIQAYIEAENMRLENEPRQFSEKDIIIKIEYKYCPNLTIIDTPGLVAPAPGRKNRALQSQARAVEALVRAKMQQKEYIILCLEDCSDWSNATTRRIVMQVDPELSRAVIVSTKFDTRIPQFARSSDVEVFLKPPAYTLDGCLLGDSPFFTSVPSGRVGPGNDAVYRSNEEFKKAISLREIEDIACLEEKLGRLLTREERSRIGVSSLRLFLEDLLRKRYMDCVPLIIPLLEKEYRSATRKLREINQEISNLDEVKLKEKGRAFHDSFLMKISLLLKGTVVAPPDKFGETLQDERTNGGAFIGTDGLQFPPKMMPNAGMRLYGGAQYHRAMAEFRLVVGGVKCPPITREEIVNACGVEDIHDGTNYSRTACVIAVAKARDTFEPFLHQLGFRLLYILKRLLPISVYLLQKDGEYLSGHEVFLRRVEVAFNNFAESTERACRDKCMEDLTSTTRYVSWSLHNKNRAGLRHFLDSFAGTEHSSSSANLSCSALPQESSFGQTISDNKLESRLKVESKSSFDISHTTEARLVDLLDSTLWNRRLAPSSERIVYALVHQIFHGIKEHFLVSTELKFNCFLLMPVVDKLPTLLREDLESVFEDDLDNIFDVTQLLHSLGQRKRELEIELKRIQKLKDKFKDIHEQLNSPHHLHQVNVPDSNKPA >DRNTG_21960.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2094727:2098277:-1 gene:DRNTG_21960 transcript:DRNTG_21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPPRGTTTAPSAPPAPTASTFISRITSRPSPSLPIVPLPPSSLPTGRTPARSTRMAIIPAPTTRGRRAIPALLELVLDALKSCLLIDLRFLSRTGSADEIGEERLAALEEEKGSEERWEEKPRPCLNFAAPSPLRESSS >DRNTG_08317.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000377.1:11092:12594:1 gene:DRNTG_08317 transcript:DRNTG_08317.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWNKGTRQEEMITCYAGIRSFALSGVGEELIHHPIRKIRPVCRTRRGFRVSSNHPDGHRLGDAGNGGRDLVRADYLGELNQRRGKGEITGVGAKEEVDLRGDQS >DRNTG_04602.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4029814:4031958:-1 gene:DRNTG_04602 transcript:DRNTG_04602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCERAEATVLCCADEAALCWGCDEKVHAANKLAGKHQRVPLLSCSSSNPSSNSSPNPTCDICQEKTGYFFCLEDRALLCRHCDVSIHSASPFVSSHQRFLITGVRVALQHYLTNNSSNISSSSNNVSSNSSSNNGNNIRSNSLASNSSPANKKLKMSLMNLAGDEVDGRRSQWPWSEILETTGMDQCYGFPEPGASN >DRNTG_12224.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25084894:25086779:1 gene:DRNTG_12224 transcript:DRNTG_12224.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPGVAMDVEHVLRMKEGLGDTSYAQNSSLQNKTMQTLRHMIVKAAAEASESLALESMTMADLGCASGPNSLSLVGDIIKAVCQKSGEGARPVPEFMVFLNDLPSNDFNAMFSSFPGFIVRIGADAGAQVFLAGVPGNFYGRLFGKVCLHFIFSCYSLHWLSQVPLGLYNDEGKSMNKGKAYISETSQPIVTTAYFKQFQMDFNLFLKSRSAELKSSGKMALLLLGRRTQGHQDMATNFFWNMLDKSFSILVSQGMVEEDKVDSYNVPFYAPSSKEVEDEVEREGSFAIEHIEVCEFSSSSGDAEKDVRTAAMAIRAIQESMIKHHFGEQIIDPLFKVFTDLLTEARAKAEIKGVHLIVILKKSA >DRNTG_17674.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16330118:16334116:-1 gene:DRNTG_17674 transcript:DRNTG_17674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYVGPGTTTGRWLGLVTAVWVQCISGNNYTFSNYSDAIKNLMGLTQLQLNNLSVAKDVGKAFGLLAGLASDRVPTSILLLIGSVEGLIGYGAQWLVVRETIAPPPYWQMCVYLCLGGNSTTWMNTAVLVTCIRNFRQNRGPVSGLLKGFVGLSTAIFTDLCSALFADDPAKFLLMLAIVPFAVCCTAMLFLRESPPSVSPSPAAKEDDETETKYFNIINAFAVVVAVYLLSFDMTGEHGQLLSRAFSIVLIILLALPVAIPIYIWFKEAISRANRAPELDLQVRIEEPLLIEKENEEGTEKAVIIPEGDEKRQPSIGEEHTIFEALRTVDFWILFVSFLCGVGTGLAVMNNMAHMGLAVGYDDVSIFVSLTSIWGFFGRIASGSISEYFLKKSATPRAIWNAASQILMAMGYIVMAIAPPGSLYIGSIVVGLCYGVRLSITVPMASELFGLKSYGLIYNMIILNLPLGSFLFSGLLAGYLYDAHATKSASGANTCIGAHCYRLVFVIMAIACVVGFGLDLILAFRTKKLYRRIHSSKVKKALQQPIKC >DRNTG_11041.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10582243:10582818:1 gene:DRNTG_11041 transcript:DRNTG_11041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIVVGVLIPCGSSSKAHILVAIMAAMDISKYSHNPVYKAVITYNYATLKHKDKHIKGASSTEKDKAYVIFTIIDCRDIPNCETTLLLTVKLANATTTEMFMVARANCSLQNEQGWSALQEAICTHEETLVKIIVYHYQPLAWAKWCRRFPMFVASMKRMRDFYMELTVHFESSVIPFFSRISPFDTYKI >DRNTG_32607.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001868.1:10506:12643:1 gene:DRNTG_32607 transcript:DRNTG_32607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYSLISFCLADEESSAFKTTLKSIGMKDTWDKYWYTTYIPVTDELKEFIFKEIKGKASSAQDSKSYKRFSDYRGEWALQKKGYRKELGWSVEVELDESILLWHIATDLCFYSHKNEQSEPERNRENEQSEPDRNCENKQSEPERNLQMSKALSDYMLYLLLVRPSMLTAGIGQVRYGDTCAEAKIFFRRGEAVLDQQQASEKLLRVETKVPSVQIKGDRSKSVLFDACVLAKKLLDLKTGRRWRIISAVWVEMLCYAAIHCRSYFHMKQLNSGGELITLVWLLMAHFGLGDQYRIEAGHARAKLIVDK >DRNTG_22381.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17002712:17003378:1 gene:DRNTG_22381 transcript:DRNTG_22381.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFLPPPFILTPPPNHLPPPPNPFSHRQRRQIPSQTRLPIPFLYLFANTVEHN >DRNTG_22381.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17002712:17003209:1 gene:DRNTG_22381 transcript:DRNTG_22381.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFLPPPFILTPPPNHLPPPPNPFSHRQRRQIPSQTRLPIPFLYLFANTVEHN >DRNTG_26931.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2412501:2414246:-1 gene:DRNTG_26931 transcript:DRNTG_26931.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQQPAGWFLLQSSLVSSLLTSMDEEHDDDDDDDDDEDRIGGIGVPQPENMVICFHNHAFGLSRVNISFDAKHRWAKAFCARGSIIDASKSM >DRNTG_26931.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2413799:2414246:-1 gene:DRNTG_26931 transcript:DRNTG_26931.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQQPAGWFLLQSSLVSSLLTSMDEEHDDDDDDDDDEDRIGGIGVPQPENMVICFHNHAFGLSRVNISFDAKHRYAFHFLVYYLTSCLESLDFGGF >DRNTG_12363.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22255145:22256241:-1 gene:DRNTG_12363 transcript:DRNTG_12363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTKREYTGSNMQSIRHWDKPNKNRRSC >DRNTG_16697.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22155831:22160071:-1 gene:DRNTG_16697 transcript:DRNTG_16697.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFVVHSYYKQGASHAPGPWILSEYMFGHTDLKTCQAWAEQLNSIIQMEIGRPKSLLVFVHPLCGKGKGWKNWETVAPLFSRAKVEVKVNVTQRAGHAYDIITSSTDKVLGAFDGIVAVGGDGLFNEILNGLLSSRHKAPYPPAPIEFGYTGSLDQDQQHRINSFNDRASNENDAHEPLISTSESNGGGISNIKHQLEQCNAG >DRNTG_16697.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22155831:22158509:-1 gene:DRNTG_16697 transcript:DRNTG_16697.4 gene_biotype:protein_coding transcript_biotype:protein_coding VFVHPLCGKGKGWKNWETVAPLFSRAKVEVKVNVTQRAGHAYDIITSSTDKVLGAFDGIVAVGGDGLFNEILNGLLSSRHKAPYPPAPIEFGYTGSLDQDQQHRINSFNDRASNENDAHEPLISTSESNGGGISNIKHQLEQCNAG >DRNTG_16697.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22155831:22160071:-1 gene:DRNTG_16697 transcript:DRNTG_16697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNGSASPSSALFLDRVGEVRLTLNADGFSWKPIDSDHAELNSRSCLGIKINAETEAEIKYSDIYAIEYIGLGLIHDFTASVGGLISGRNLEMFRFVVHSYYKQGASHAPGPWILSEYMFGHTDLKTCQAWAEQLNSIIQMEIGRPKSLLVFVHPLCGKGKGWKNWETVAPLFSRAKVEVKVNVTQRAGHAYDIITSSTDKVLGAFDGIVAVGGDGLFNEILNGLLSSRHKAPYPPAPIEFGYTGSLDQDQQHRINSFNDRASNENDAHEPLISTSESNGGGISNIKHQLEQCNADQDPVLSFPNDWFRLGLIPSGSTDAIVISTTGVRDPITSALQIILGYKAPLDIAQVVRWKTRLSSVDVPFVRYAASFVGSASP >DRNTG_16697.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22158392:22160071:-1 gene:DRNTG_16697 transcript:DRNTG_16697.5 gene_biotype:protein_coding transcript_biotype:protein_coding MERNGSASPSSALFLDRVGEVRLTLNADGFSWKPIDSDHAELNSRSCLGIKINAETEAEIKYSDIYAIEYIGLGLIHDFTASVGGLISGRNLEMFRFVVHSYYKQGASHAPGPWILSEYMFGHTDLKTCQAWAEQLNSIIQMEIGRPKSLLVFVHPLCGKGKGWKNWETVAPLFSRAKVEVKV >DRNTG_16697.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22155831:22160071:-1 gene:DRNTG_16697 transcript:DRNTG_16697.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERNGSASPSSALFLDRVGEVRLTLNADGFSWKPIDSDHAELNSRSCLGIKINAETEAEIKYSDIYAIEYIGLGLIHDFTASVGGLISGRNLEMFRFVVHSYYKQGASHAPGPWILSEYMFGHTDLKTCQAWAEQLNSIIQMEIGRPKSLLVFVHPLCGKGKGWKNWETVAPLFSRAKVEVKVNVTQRAGHAYDIITSSTDKVLGAFDGIVAVGGDGLFNEILNGLLSSRHKAPYPPAPIEFGYTGSLDQDQQHRINSFNDRASNENDAHEPLISTSESNGGGISNIKHQLEQCNAG >DRNTG_30780.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001625.1:35563:35891:1 gene:DRNTG_30780 transcript:DRNTG_30780.1 gene_biotype:protein_coding transcript_biotype:protein_coding AQETQKTPPPGKLLKYPSVTSSPTSA >DRNTG_24303.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19078918:19079234:1 gene:DRNTG_24303 transcript:DRNTG_24303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFALIKEKGSLWKPKNPLWFAVISHKLLMLSPDHCLNVDPKPDWVVELAGYPASPVTVGAYRLLHEGCGDGAWDEGE >DRNTG_01866.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21201686:21207065:-1 gene:DRNTG_01866 transcript:DRNTG_01866.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTVADANLTVYVHPSNANKVKDALLRQLSSLLFKYDENFDGVILAYEIKFESKDAKILPGLHPLFGVKLKANLLLFQPKVNELLEGKVVKLGKECIHVIILGFSSATIMSEDIREEFKFRIKHGVEVFASSAHKRHVIKTGSFIRFLVKSLDVDILHVNGSLIPSNTGCIHWLSRYGTEDISETDRSLKRPKPIEEQDSQAMVDLSLNSNHHHKSHKRTVTNGMKS >DRNTG_01866.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21201686:21207065:-1 gene:DRNTG_01866 transcript:DRNTG_01866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTVADANLTVYVHPSNANKVKDALLRQLSSLLFKYDENFDGVILAYEIKFESKDAKILPGLHPLFGVKLKANLLLFQPKVNELLEGKVVKLGKECIHVIILGFSSATIMSEDIREEFKFRIKHGVEVFASSAHKRHVIKTGSFIRFLVKSLDVDILHVNGSLIPSNTGCIHWLSRYGTEDISETDRSCRSLKRPKPIEEQDSQAMVDLSLNSNHHHKSHKRTVTNGMKS >DRNTG_01866.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21201686:21207065:-1 gene:DRNTG_01866 transcript:DRNTG_01866.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTVADANLTVYVHPSNANKVKDALLRQLSSLLFKYDENFDGVILAYEIKFESKDAKILPGLHPLFGVKLKANLLLFQPKVNELLEGKVVKLGKECIHVIILGFSSATIMSEDIREEFKFRIKHGVEVFASSAHKRHVIKTGSFIRFLVKSLDVDILHVNGSLIPSNTGCIHWLSRYGTEDISETDRFAD >DRNTG_30378.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001567.1:42806:43545:-1 gene:DRNTG_30378 transcript:DRNTG_30378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPINDIEKHDQKKHLGDSFMGIDMSLKNLESSSFPLEVRSSRLDSMLDDVAESEIMWEHLVIGERIGLVYHADWNGTRYTRTVDSRTNTQYKPSKPVDQR >DRNTG_21526.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1468564:1472387:1 gene:DRNTG_21526 transcript:DRNTG_21526.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGTAASPPCPKTLPATSNGSWQGDNPLDHALPLAILQICLVLVVTRSLAFLFRPIRQPRVIAEIIGGVLLGPSALGRSKTFLNNVFPKQSLTVLDTLANIGLLFFLFLIGLELDLKAIRRTGKPALAIAVAGISFPFALGVGTSFIFHSTVSKDARQIPFLVFMGVALSITAFAVLARILAELKLLTTDIGRMAMSAAAFNDVIAWVLLALAIALSGSGSPLVTLWVLLSGIAFLIFSVVAIRPALAWMAKGSQEGEPVKELYICATLATVLAAGFITDAIGIHALFGAFVVGIYVPKDGPFGRVMIEKIEDLVTSLFLPLYFVSSGLKTNVATISSGQSWGLVVLVITTACVGKILGTILVALLVKIPCREAVTLGFLMNTKGLVELIVLNIGKDRKVLNDETFTIMVLMALFTTFITTPIVMAIYKPARSATSYKHRTIERNDTQSELRMLACFRGNSNISTLVNLIESSRGIRRHGLIVYALHLMEFSERSSAIYTVHRTRRNGLPFWNKTDNSGNKDHYVVAFETFERLSHVSVRPMTCISELQTMHEDILASAQQKRAALIVLPFHKTMQPDGTFQSFGRAYQQINQLVLERAPCSVGILIDRGFGGRAQILASDVSYGIGVIFFGGADDREALAYGASMAEHPGIALVVFRLSLLHSQVSITLDETDRAADDAAIAAVRASNESIRFQESEVQTQAEFVTAIKDLGKCNLVLVGRSTPTMGSRASLDCPELGPVGSYLATNEFSSTTSVLVLQQYDTADNWGADSAGSVYRFG >DRNTG_16224.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30771227:30774067:-1 gene:DRNTG_16224 transcript:DRNTG_16224.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSSVRDMEELEEFLRSFPAAMNLAGADLFDLADFDTDIVDIFLEDTVDTSTVTKTETWSPTQYINVESPASILKPNNKGSIGGSSGSEQSDDETIEIETGFGFSSEQSTLTHDSKRIRRMESNRESARKSREKKQAHLANLQLLVDQLQEQKTSLNKQLVDANQQFSEAVMDNHELRSGVEALRIKVKMLDLVVRSSFTFGLDSLIQNHIGINNGSIPMMNTHLPFQQGQQVLPLTREEAEAFNSSMQTDMQLQGTGMGTCFNLENMPATDVRAWDKLASDRTEP >DRNTG_33237.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1336472:1337030:-1 gene:DRNTG_33237 transcript:DRNTG_33237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMPIQKQTCAIQRTHFKAFVVVGDSNRHGGLGVKCVKEVATAIQGRSSFLAKLSVVPVRKGYWGTILGSLIPFIAKLLESDLQRKLRVTSTIFLWHNTSQFSLIKDISKEVGIGK >DRNTG_09817.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:12893409:12901774:1 gene:DRNTG_09817 transcript:DRNTG_09817.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKVTFVPGLYMINAADKKHNYNDKVKKTTGGRNGYGAKLTNIFSTEFVIETADGKRQKKYKQVLSDNMSKKSEPNITKCKDGENWTKVTFKLDLAKFNMAMLEDDVVVLMKRRVVDLAGTLGKTVKDNGESERKVGVAKSGVLDNVLSWANMKQSKELKKTDGTKKQRITGIPKLDDANEAGSSNSHKCTLVMTEGDSAKHLRNHYGGVFPLRGKLLNHGKQYESVNGLSYGHLMIMTDQATHHNGRVLAFYTMLEYEAWKKSIEGNANGWTIKYYKGLGTSTSKVGKEYLSDLGKHQKDFLWVNEQDRDAIELAFSKKKIEARKNWLCQFEVNGKNLLAMQLGQYRPWLMASSQTKGSSCTALSSFKRPLIKELKVAQFSGYVSEKSVYHNDEQSLASTIIRMVQDFVGSNNIQLLQPNEDSLAPDIKGCLDAKSGVGVKREYMQYLVWVSINGSEGACGGSETHPCEEGVIKIGLVLRDLGLSILLIDTSYFRMDGDSQSRGRSQSKHYWTIEEDKALIDALVELSTNPLWRAENGFRNGYLAQLERMVKEKIPSSTLKAVPNIESRIKLFRSKTTAIADILQISGFVWNFEMCTIECEKSKVVRPGPGNDPAKPRGQGSMGSTGSNRG >DRNTG_18783.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:286145:288075:-1 gene:DRNTG_18783 transcript:DRNTG_18783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAQRHLRQLLREKQEPFVLQSFIEERRGQLRQSSVLNKQVLFSKNPTFSSNPSQSPSFTPTHTKRSPVFDLDVKCPSFGLKPVAYLILDAALRVEEKQSKSRRGNSIKGLSFGFFGSILKRLALRKRGREIDGEEMKVSVKDILGWDSSYPRIRRRKFQVANRPGYSSFRGKVDELEKNVFRAMTSSNLQGRCSLVDVKDMLCLTSSPECSPGSRKTEVFGGTRPERKEQSEVEHEEKEPQLSPVSVLDPSFAEEDEEDHSPECSNAESTRQAGRLERLAASLLPIELDQLLALEQDDEIEDMKLIADITDEERQFLLLKSCKLDERGLLERVCKRFEAWKEAGHCTIDMMVELDFGLDSAMWKRCEEQMPEIATGIEISIFDLLVYELFDELVF >DRNTG_27512.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21082755:21082895:1 gene:DRNTG_27512 transcript:DRNTG_27512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPSFSQPFLLHRLCAHSGTFSSRYENPRLSKPSPWNRSYP >DRNTG_25842.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:607695:608572:1 gene:DRNTG_25842 transcript:DRNTG_25842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKKPSKRPRGTPMVNPMIPNRVPNNPMSSKTTTTHRITRRRRR >DRNTG_03277.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8647071:8647468:-1 gene:DRNTG_03277 transcript:DRNTG_03277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCDDCECRPLGWLLGLPFAFLSLLVSIVGIAIWIIGLLLSCICPCCLCVTILVELAIELIKAPLHVMKWFTSQIPC >DRNTG_07882.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18828404:18838795:1 gene:DRNTG_07882 transcript:DRNTG_07882.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFESKLVISAAEARKFEELSNEKSSHAEVQAKKALEFENMLEAAKLGAKDMEDQIGNLQEELKGLYDKITENQKVEEALSATVSELSATREMLQFSNSKITDLEQKLASEESTIHDLTEQLNLRKVSEEQMREDIIALESLLSASKEESQAKIAKLEELDAKLLEELKIRQTFEESLKAHGTQTSNLLEEVAKLSGEKEALENIIADLNTNLSMNKELCNELEEKLNLADTNLGNTALLLTQALSKIEELEQKQKVLEDNLEESKKSAEAEIQKILELDALLQASKASEEDVKSQLKETEVKLMSTEKQSTELEQQLALAELRFTDADREINELKEKTAELTTLLKEADEAKELSRCHFQAYEERIGQLESSLGSSSTKHDALEQELKQLAEKCTEHEGRASETHQRNLELENLVQESHSRAEDAGKKASELDLLLETANYRTQELENLVSVMETKHRDAEAEATRHGVRISEISAELEAFQAKSASLEVLLQTANVKEQELADMLSTTTDEKKKFEELSKLYAEKVGHAENVVDVLQGELKSVREKVESVEEDLQAFTVREAELLEKLRFTEEQLEHHGRAAEQANARSLELESFHNSFSKESELKLQAALESISQKDSETRQLLEEVKSLDEQVLLYQNQALEATEKVASLKAELEANAIKFVALEETIDDLKRKLADIEGKAEQSSSENELLAGTNKKLREELETHQLKVNELMSSIHTEKEATAEQLASHMKTIAELTDKHSRGLQIQSETESRVKETEIQLHEAVQKFTQRDSDARDLNEKLLALEDQVRIYEEQVRESVAVVESQKSNLEETTLKLQDMDKLVDEMHSKAKQFETENETLIRTNLTLTQELAEYEAKMNELKAALDAVSAEKEHTFSQLDSSTKVIEDLKQQIASDKEKLDSQISSIQVENNSLNEMHEAAKQELEEVRVQMDEKLNELKERESSLAVYGEKLEQGLCEKALLEARITELEQQLLVAGRKSNEEIQSKEAILSAMGEHAAGLHKEDLFLESPRHLQKEQDLTQNTIATKDKDAVTETTVGSVEVKSRDFVLGTSTTTKRKSKKRSEAVPSETIPAHASTTDTAHHSGAMAFKFVLGVALVSMVIGVILGKRY >DRNTG_07882.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18828404:18838795:1 gene:DRNTG_07882 transcript:DRNTG_07882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFESKLVISAAEARKFEELSNEKSSHAEVQAKKALEFENMLEAAKLGAKDMEDQIGNLQEELKGLYDKITENQKVEEALSATVSELSATREMLQFSNSKITDLEQKLASEESTIHDLTEQLNLRKVSEEQMREDIIALESLLSASKEESQAKIAKLEELDAKLLEELKIRQTFEESLKAHGTQTSNLLEEVAKLSGEKEALENIIADLNTNLSMNKELCNELEEKLNLADTNLGNTALLLTQALSKIEELEQKQKVLEDNLEESKKSAEAEIQKILELDALLQASKASEEDVKSQLKETEVKLMSTEKQSTELEQQLALAELRFTDADREINELKEKTAELTTLLKEADEAKELSRCHFQAYEERIGQLESSLGSSSTKHDALEQELKQLAEKCTEHEGRASETHQRNLELENLVQESHSRAEDAGKKASELDLLLETANYRTQELENLVSVMETKHRDAEAEATRHGVRISEISAELEAFQAKSASLEVLLQTANVKEQELADMLSTTTDEKKKFEELSKLYAEKVGHAENVVDVLQGELKSVREKVESVEEDLQAFTVREAELLEKLRFTEEQLEHHGRAAEQANARSLELESFHNSFSKESELKLQAALESISQKDSETRQLLEEVKSLDEQVLLYQNQALEATEKVASLKAELEANAIKFVALEETIDDLKRKLADIEGKAEQSSSENELLAGTNKKLREELETHQLKVNELMSSIHTEKEATAEQLASHMKTIAELTDKHSRGLQIQSETESRVKETEIQLHEAVQKFTQRDSDARDLNEKLLALEDQVRIYEEQVRESVAVVESQKSNLEETTLKLQDMDKLVDEMHSKAKQFETENETLIRTNLTLTQELAEYEAKMNELKAALDAVSAEKEHTFSQLDSSTKVIEDLKQQIASDKEKLDSQISSIQVENNSLNEMHEAAKQELEEVRVQMDEKLNELKERESSLAVYGEKLEQGLCEKALLEARITELEQQLLVAGRKSNEEIQSKEAILSAMGEHAAGLHKEDLFLESPRHLQKEQDLTQNTIATKDKDAVTETTVGSVEVKSRDFVLGTSTTTKRKSKKRSEAVPSETIPAHASTTDTAHHSGAMAFKFVLGVALVSMVIGVILGKRY >DRNTG_19995.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21052106:21054742:-1 gene:DRNTG_19995 transcript:DRNTG_19995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRGYFPHACAIAVRVEGVFSRERIGACVRPCGSFVMKHTGVGNYRTPVWMHRTSTVIMAPRSKKQADKRPRESSPEPEGMRFAIPEHQGDEFADEVEDLVSAGGWPQLLKIREPAIQEFALEVLSSFEFDRSYTCFDSLDTIKFRVFGHHHTLSVTQFSVRLGLYEEAFIDTEEYAQLLTDYPGTLTPQRAYRLLCGQGQYEPGVSKATCLSRPAYRYLHAIMSKSVNGRGDSTGFLSRQ >DRNTG_21933.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2190315:2191520:-1 gene:DRNTG_21933 transcript:DRNTG_21933.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSKSIHFVSHVISSGTFNLQLPFSRIIYPYHCSGLSRIEECRLQAHIPLYIFSKI >DRNTG_00390.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17904788:17910652:-1 gene:DRNTG_00390 transcript:DRNTG_00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMASNGTSPSLGDTESSLERIKRQLTSGSGKHLLQGPLLKRSETLRKWNERWVILDPTTGKMEYKLRRSEALVKGTIIFDSNSTITVSPVNFHGLPKYDGCCFYIGTPQKKEYFLCAETPGAARAWVSTLQATQLVLRAHKEAVNSLSGSGSAKLGTVATVVAAANSTAVEASKEIEAAMKISMRAALGLITNRPNEGQLDDLTIMKETLRVKDEELQQLAKELRARDSTIKEIAEKLTETAEAAEAAAAAAHVMDDERRLACAEIERLTIDAEKEKIVILQKLKESEDKVLTLSKEKEDLLKQRDSASQEAHLWRTEIAKAREHAVLLEAAVVRAEERARVAEASAMAKLKDAADQATIAAKEKEDLLALVNMLQSQVQREESNTKQVLEQRSESCSGTDDTLPMTKHVDLSDDNVDKACLNDSRVEFRSIGDAEWSDIQSTDATEADVREISPEGDGRSLDIPIVTHHVDEHHHSGNFQP >DRNTG_00390.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17904788:17910652:-1 gene:DRNTG_00390 transcript:DRNTG_00390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMASNGTSPSLGDTESSLERIKRQLTSGSGKHLLQGPLLKRSETLRKWNERWVILDPTTGKMEYKLRRSEALVKGTIIFDSNSTITVSPVNFHGLPKYDGCCFCILNIIGFEFLFSHLSAESCTCRYHYILVSKQHFGLAS >DRNTG_05712.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1806789:1809660:-1 gene:DRNTG_05712 transcript:DRNTG_05712.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein At2g13690 [Source:Projected from Arabidopsis thaliana (AT2G13690) UniProtKB/Swiss-Prot;Acc:Q9SKH2] MQHSSVVDRGRRSSAAAHRKSPGFSRAWCCSFAAAPGSPDPRSAPSPKPHSKSLSTGSPSPSAKRIIDPRRILSPGRVSPLPQTPSPPPLDPVPDNRSPPPSRPEPPSPVARVSTTEKRLDLRLSLKGKDGKLLVLELDSRVLCESSSFFAAMVLEARRKVSDMSTDFRKIEVGGVEDLGVFKETIELMYEKDAMRWILNAGVSRAIDILEVSSTIMFDRGVMSCLKYLEAVPWSESEEEKLKILFTKCVFDEEVSRDVLARLGEQGPGKSEELAVRLIQSVVSGTNCNSRKELQSLVNGLLSKSSVYQKDPAGLNKESLYNVCYSCLNSLVELFEEASGSVPSGPKSKETKPLVERVSKEVENLNWLLEILIEKQMAEDFVCLWANQVQLMMMHERASPMIRYELSRVSASVFIALGKGKVQCKGDTRSSVLLAWFTPMLLDFGWLQRCSKGLDMRMLEEGLGQALLTLPLKQQQTLFVEWFQCFSLKGTECPNLSKAFQVWWRRSFVKSSESRS >DRNTG_06875.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000316.1:33480:36102:-1 gene:DRNTG_06875 transcript:DRNTG_06875.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDRWLEGNGIKHKRFAVVTWGDWDCRTMLESECRFKRIPKPPYFNRWINLKVPFQDMFGRVRCNLKEAVQLAGLAWEGRPHCGLDDARNTARLLALLMHRGFRFSITNELPFHPTDCPFATHQPFNNHPIDQTPQPQRLKEAPRPMTQFHPFINPISREMFNYCHCGVMSSKSFIRKPGPSPRDGVSSGVGIGRIKARRLQLLCLGFSMKG >DRNTG_06875.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000316.1:33319:36102:-1 gene:DRNTG_06875 transcript:DRNTG_06875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDRWLEGNGIKHKRFAVVTWGDWDCRTMLESECRFKRIPKPPYFNRWINLKVPFQDMFGRVRCNLKEAVQLAGLAWEGRPHCGLDDARNTARLLALLMHRGFRFSITNELPFHPTDCPFATHQPFNNHPIDQTPQPQRLKEAPRPMTQFHPFINPISREMFNYCHCGVMSSKSFIRKPGPSPRDGVSSGVGIGRIKARRLQLLCLGFSMKG >DRNTG_06875.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000316.1:33791:36295:-1 gene:DRNTG_06875 transcript:DRNTG_06875.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHDRWLEGNGIKHKRFAVVTWGDWDCRTMLESECRFKRIPKPPYFNRWINLKVPFQDMFGRVRCNLKEAVQLAGLAWEGRPHCGLDDARNTARLLALLMHRGFRFSITNELPFHPTDCPFATHQPFNNHPIDQTPQPQRLKEAPRPMTQFHPFINPISREMFNYCHCGVMSSKSFIRKPG >DRNTG_06875.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000316.1:33791:36102:-1 gene:DRNTG_06875 transcript:DRNTG_06875.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHDRWLEGNGIKHKRFAVVTWGDWDCRTMLESECRFKRIPKPPYFNRWINLKVPFQDMFGRVRCNLKEAVQLAGLAWEGRPHCGLDDARNTARLLALLMHRGFRFSITNELPFHPTDCPFATHQPFNNHPIDQTPQPQRLKEAPRPMTQFHPFINPISREMFNYCHCGVMSSKSFIRKPG >DRNTG_19834.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001073.1:5985:7473:-1 gene:DRNTG_19834 transcript:DRNTG_19834.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSSIASLHIFLLLLPSILCKPCDFPAIFALGDSNSDTGGFSAAFGPLSSPYGDTFFHMPSGRFSDGRLIIDFIAENLGLPYLSSYLDSLGANFSHGANFATSLSTIIPQNITLAQGGVLKSLMPMKDYFSRALYIIDIGQNDLTALYFSNSSAEQYIHDAMKAFSKTIKSLYKNGGRYFWIHNTGPLGCLPYVLRQVPGYRKLDSVGCAVVFNELAKKFNRMLNETVAQLQKEFPVAAFTYVDIYSAKYLLISQATKFGFRKPLMACCGHGGGAYNYDSIARCGTSVNVHGNEVLIGKSCMDSSKRVSWDGAHYTEAANKWVFDQIVNGHFSYPSNSLNMACYNHNHKSF >DRNTG_12170.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12372995:12373520:1 gene:DRNTG_12170 transcript:DRNTG_12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding IELCCSYLGSVYANTKTRSLGSSSSSGSLSKRQSRAGYIS >DRNTG_18382.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000946.1:85091:85906:-1 gene:DRNTG_18382 transcript:DRNTG_18382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSAILKPTNPDETKSQTSGGLLSAGGKLLDATEAVVIDTVTKITSGDILEPFTGHAKHGKEHQTDTSTTKPGESNTNPDHASSENENEFRPGQDYYLMPLPKSKTTTTTKTDASEKNNKNENENENDFTPGQEYYVMPLPKSTTTTTTKTDADEYHIAGGGEVKH >DRNTG_08113.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11882333:11884008:-1 gene:DRNTG_08113 transcript:DRNTG_08113.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRELERDRSQSLARSQGNRQSSDVPDTWVQDTSTTQSMSLLDSWSWGSWSLGSSTTNSSSVVIVGSCPGNRPTQTGVPKARSTSDGGRWTYAP >DRNTG_27345.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17033535:17034437:-1 gene:DRNTG_27345 transcript:DRNTG_27345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLYFFRKNLTSVDSLSFFSFAFCNLLFFALVSCKSKP >DRNTG_19325.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:733303:736101:1 gene:DRNTG_19325 transcript:DRNTG_19325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLIQISASPTPLLSLRLNNNGHHPTTHISFASKSFASPLLSVSASSEKPVVVVGSANADIYVEIDRLPKEGETVSARSGETLAGGKGANQACCGALLCPHGRSTFFLGRVGSDAHGQLIEDALSDGGVRLDRLQRVEAAPTGHAVVMLQENGQNSIIIVGGANIWGWQDEVMVEDLEVVKDAGIVLLQREIPDRVNLQVAQAARRANVPVILDVGGMEGPISKELINVVDILSPNETELARLTGMPTENFEQITHAAMKCHEMGTKQVLVKLGEKGSALFIQGQEPIRQPIITAKRVVDTTGAGDTFTAAFAVALVEGKSKTECLKFAAAAASLCVQVKGAIPSMPKRAAVMKLLDSL >DRNTG_01147.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000071.1:8133:29386:-1 gene:DRNTG_01147 transcript:DRNTG_01147.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESFGTGDYINAIIDRQKAETISSVLYPDDRSYQGKELRLKQQYFFVSASVQDIIRRFKDSHSSFDKFHEKVALQLNDTHPSLAIVEVIRVLVDEEHLSWKEAWDIACNIFSYTTHTVVPEGLEKIPVDLLGSLLPRHLQIIYDINFSFTEELKQRFGLDYDRLSRMSIIEEGAVKNIRMANLSIVVCHNVNGVSRAHLDTLKSKVFKDFYELWPQKFHHKTNGITQRRWVVVSNPGLCDLITKWLGTDAWIREVDLLLGLREHVENKELQLEWRTVRRVNKIRLVEFIEAMTGMKVSPDAMFDVQIKRIHEYKRQLLNILGIIHRYDCIKNMKDSERKRVVPRVCIIGGKAAPGYEMAKKIIKLCHSVGEKINSDPDIGDLLKLIFIPDYNVSVAELVIPGSDLSQHISTAGHEASGTGSMKFLINGCLLLATADGSTLEIIDEIGEENMFICGAKIHEVAMMRENGEAPKLPLELVHVLRMVRDGYFGHEEYFKPLCDSIESAGDFYLLGHDFPSYLEAQAAADKAFVDQETWTKMSILSTAGSGKFSSDRTIGDYAAETWGIEPCRCPF >DRNTG_01147.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000071.1:8133:29386:-1 gene:DRNTG_01147 transcript:DRNTG_01147.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIVFFPTCPSLPIIPSFPPPSLSFWSSLKPTTKLRSRLSGRLRPVAAAAPLASETTITADNADSPDATAIVIRARNRVGLLRVITRVLGVLGLRIDRATVEADGDFFLKTFFVVDSHGAKIEDFDSLERIKNAIRDAIDGGFDLAMSGRSEIVPRRRAGVGGLVSGEEKGRTVRFFEMMDGFLKNDPVSLQKDILDHVEFTVARSRFNIDDFEAYQGMAHSVRDRLIERWHDTQQFFKKKDPKRLYYLSLEFLMGRSLSNSIINLGVKDQYMDALNQLGFSFEILAEQEGDAALGNGGLARLSACQMDSLATMDFPAWGYGLRYQYGLFRQVILDGFQHEQPDYWLNFGNPWEIERVHVSYAVKFYGTVEEKHLNGKNCKVWIPGETVEAVAYDNPIPGYGTRNTINLRLWAAKPSGQYDMESFGTGDYINAIIDRQKAETISSVLYPDDRSYQGKELRLKQQYFFVSASVQDIIRRFKDSHSSFDKFHEKVALQLNDTHPSLAIVEVIRVLVDEEHLSWKEAWDIACNIFSYTTHTVVPEGLEKIPVDLLGSLLPRHLQIIYDINFSFTEELKQRFGLDYDRLSRMSIIEEGAVKNIRMANLSIVVCHNVNGVSRAHLDTLKSKVFKDFYELWPQKFHHKTNGITQRRWVVVSNPGLCDLITKWLGTDAWIREVDLLLGLREHVENKELQLEWRTVRRVNKIRLVEFIEAMTGMKVSPDAMFDVQIKRIHEYKRQLLNILGIIHRYDCIKNMKDSERKRVVPRVCIIGGKAAPGYEMAKKIIKLCHSVGEKINSDPDIGDLLKLIFIPDYNVSVAELVIPGSDLSQHISTAGHEASGTGSMKFLINGCLLLATADGSTLEIIDEIGEENMFICGAKIHEVAMMRENGEAPKLPLELVHVLRMVRDGYFGHEEYFKPLCDSIESAGDFYLLGHDFPSYLEAQAAADKAFVDQETWTKMSILSTAGSGKFSSDRTIGDYAAETWGIEPCRCPF >DRNTG_16881.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29899958:29900989:-1 gene:DRNTG_16881 transcript:DRNTG_16881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVSGSGITHCFRWASPIPLDTAREPCNLHVPLQHSTYPPKLSMRSLSSFPRWPVIRCESLSHPTSAQNSPAITGV >DRNTG_27049.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2615186:2621026:-1 gene:DRNTG_27049 transcript:DRNTG_27049.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g18370/MYF24_8 [Source:Projected from Arabidopsis thaliana (AT3G18370) UniProtKB/TrEMBL;Acc:Q93ZM0] MEVWSNFMEPRISKRLSALVERRLKHRKPKLLERIELQEFSLGSCPPSLGQNGVHWITSGDQQVMRLGFEWNTNDMSVMLLAKLARPLIGTARIVINNLYIKGDLRLLPILDGQAVLYSFESTPEVRIGVAFGSGGSQSLPATELPGVSSWLVKVFTDTLVRMMVEPRRGCYSLPTVDLRKKAVGGVLSVTVVSASKLGRNNGFSRETSTSSGNIMENGGNKILQTFIEVELGDLTRRTSISQGSSPKWNATFNMVLHGESGILKFNLYEWDPSSVKYNYLTSCEIKMKYVLDDTTTFWAIGPNFSVLAKQAEYCGKEVEMIVPFEETNVGELTVKLVLKEWQYSDDSTSLNKASSRTQNSVYNSQHIQLKTGRKLKVTVAEGRSLMAKDKSGRCDPYVKVQYGKLMHKTKTLSHTTNPVWNHRFDFDEIGGGECLMIKCYNADIFGDENIGSARVNLDGLVEGSCKDVWIPLEKVNSGELRLLIEALNNEDFEVSRTIVSQSGSGWVELVLIEARDLIAADLRGTSDPYVRVQYGNTKKRTKVVHKTLNPQWNQILEFPDTGKQLVLHVKDHNALLPTSSIGDCVVEYEWLPPNQTADKWIPLQGVKSGEIHVKVTRKVPELQKNTSLDTDISKTLSKANKISGQVRELLKKLHGLIEDGDYENLSLALSEVENIEDGQVEYMLQLEKEKTLLLDKIGELGREISRTSSTPSKMPY >DRNTG_27049.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2615186:2620093:-1 gene:DRNTG_27049 transcript:DRNTG_27049.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g18370/MYF24_8 [Source:Projected from Arabidopsis thaliana (AT3G18370) UniProtKB/TrEMBL;Acc:Q93ZM0] MRLGFEWNTNDMSVMLLAKLARPLIGTARIVINNLYIKGDLRLLPILDGQAVLYSFESTPEVRIGVAFGSGGSQSLPATELPGVSSWLVKVFTDTLVRMMVEPRRGCYSLPTVDLRKKAVGGVLSVTVVSASKLGRNNGFSRETSTSSGNIMENGGNKILQTFIEVELGDLTRRTSISQGSSPKWNATFNMVLHGESGILKFNLYEWDPSSVKYNYLTSCEIKMKYVLDDTTTFWAIGPNFSVLAKQAEYCGKEVEMIVPFEETNVGELTVKLVLKEWQYSDDSTSLNKASSRTQNSVYNSQHIQLKTGRKLKVTVAEGRSLMAKDKSGRCDPYVKVQYGKLMHKTKTLSHTTNPVWNHRFDFDEIGGGECLMIKCYNADIFGDENIGSARVNLDGLVEGSCKDVWIPLEKVNSGELRLLIEALNNEDFEVSRTIVSQSGSGWVELVLIEARDLIAADLRGTSDPYVRVQYGNTKKRTKVVHKTLNPQWNQILEFPDTGKQLVLHVKDHNALLPTSSIGDCVVEYEWLPPNQTADKWIPLQGVKSGEIHVKVTRKVPELQKNTSLDTDISKTLSKANKISGQVRELLKKLHGLIEDGDYENLSLALSEVENIEDGQVEYMLQLEKEKTLLLDKIGELGREISRTSSTPSKMPY >DRNTG_27049.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2615186:2617848:-1 gene:DRNTG_27049 transcript:DRNTG_27049.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g18370/MYF24_8 [Source:Projected from Arabidopsis thaliana (AT3G18370) UniProtKB/TrEMBL;Acc:Q93ZM0] MMVEPRRGCYSLPTVDLRKKAVGGVLSVTVVSASKLGRNNGFSRETSTSSGNIMENGGNKILQTFIEVELGDLTRRTSISQGSSPKWNATFNMVLHGESGILKFNLYEWDPSSVKYNYLTSCEIKMKYVLDDTTTFWAIGPNFSVLAKQAEYCGKEVEMIVPFEETNVGELTVKLVLKEWQYSDDSTSLNKASSRTQNSVYNSQHIQLKTGRKLKVTVAEGRSLMAKDKSGRCDPYVKVQYGKLMHKTKTLSHTTNPVWNHRFDFDEIGGGECLMIKCYNADIFGDENIGSARVNLDGLVEGSCKDVWIPLEKVNSGELRLLIEALNNEDFEVSRTIVSQSGSGWVELVLIEARDLIAADLRGTSDPYVRVQYGNTKKRTKVVHKTLNPQWNQILEFPDTGKQLVLHVKDHNALLPTSSIGDCVVEYEWLPPNQTADKWIPLQGVKSGEIHVKVTRKVPELQKNTSLDTDISKTLSKANKISGQVRELLKKLHGLIEDGDYENLSLALSEVENIEDGQVEYMLQLEKEKTLLLDKIGELGREISRTSSTPSKMPY >DRNTG_27049.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2615186:2622022:-1 gene:DRNTG_27049 transcript:DRNTG_27049.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g18370/MYF24_8 [Source:Projected from Arabidopsis thaliana (AT3G18370) UniProtKB/TrEMBL;Acc:Q93ZM0] MVRKRLGNYYAKEAVEFLNHVIRDKPLLPFLVPLGLFAWAIERWLVPFSNWVPLAFAVWATIQYGRYQRRLLVEGLNSRWRQLIMNTSPTTPLEPCAWLNKLSMEVWSNFMEPRISKRLSALVERRLKHRKPKLLERIELQEFSLGSCPPSLGQNGVHWITSGDQQVMRLGFEWNTNDMSVMLLAKLARPLIGTARIVINNLYIKGDLRLLPILDGQAVLYSFESTPEVRIGVAFGSGGSQSLPATELPGVSSWLVKVFTDTLVRMMVEPRRGCYSLPTVDLRKKAVGGVLSVTVVSASKLGRNNGFSRETSTSSGNIMENGGNKILQTFIEVELGDLTRRTSISQGSSPKWNATFNMVLHGESGILKFNLYEWDPSSVKYNYLTSCEIKMKYVLDDTTTFWAIGPNFSVLAKQAEYCGKEVEMIVPFEETNVGELTVKLVLKEWQYSDDSTSLNKASSRTQNSVYNSQHIQLKTGRKLKVTVAEGRSLMAKDKSGRCDPYVKVQYGKLMHKTKTLSHTTNPVWNHRFDFDEIGGGECLMIKCYNADIFGDENIGSARVNLDGLVEGSCKDVWIPLEKVNSGELRLLIEALNNEDFEVSRTIVSQSGSGWVELVLIEARDLIAADLRGTSDPYVRVQYGNTKKRTKVVHKTLNPQWNQILEFPDTGKQLVLHVKDHNALLPTSSIGDCVVEYEWLPPNQTADKWIPLQGVKSGEIHVKVTRKVPELQKNTSLDTDISKTLSKANKISGQVRELLKKLHGLIEDGDYENLSLALSEVENIEDGQVEYMLQLEKEKTLLLDKIGELGREISRTSSTPSKMPY >DRNTG_27946.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5797444:5799517:-1 gene:DRNTG_27946 transcript:DRNTG_27946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICYFDVREVSIRVRTSRLKRVERVSHEIVECPLSFPIECILSPFLKLYATIFGVRREIERFLRRDLDLLPIFSSKVCLPLGEVLCNRSPAGRVGRRPKRPKRKTPAPKSSPFALENQPNIYPVRSIWVPCGRMEPVKLTAISPRKGVSATVLSYNISSTILRVVKFQQTSQAPMQAHGPREAHIRMSATVSTPQICRFWC >DRNTG_12556.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20997785:20998854:-1 gene:DRNTG_12556 transcript:DRNTG_12556.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase 3 [Source:Projected from Arabidopsis thaliana (AT1G65590) UniProtKB/Swiss-Prot;Acc:Q8L7S6] MNEPLKNISKPEQQKLILGGEVCMWGETVDASDIEQTIWPRAAAAAERLWTPLEMLAEDPKQVTTRLARFRCLLDRRGVAAAPLAAPGRGVPLGKGACLRQ >DRNTG_12556.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20997785:21002016:-1 gene:DRNTG_12556 transcript:DRNTG_12556.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase 3 [Source:Projected from Arabidopsis thaliana (AT1G65590) UniProtKB/Swiss-Prot;Acc:Q8L7S6] MPLREVTGGGGRRVFLGFVVVYLLAFVVVVDGDVHLWPMPASVSRGSRTLYLSSDLALKTDGSKFSDGSGILKDGFERMVELVRESRSVEGQVNGSDNLLAGLHVVVSSSNDSLYYGVDESYKLSIPATGNLIYGYIEASTVYGALHAFETFSQLCDFNLMTRTIELHGAPWNIIDQPRFSYRGLLIDTSRHYQPLSMIKKVIDAMAYSKLNVLHWHIVDRESFPIEIPSYPKLWNGAYSYSERYTVADAKEIVQYAEKRGINVLAEIDVPGHAISWGIGYPSLWPSANCQEPLDVSNELAFKVIDGILSDFSKIFKFKFVHLGGDEVNTSCWATSSHVNKWLDNHRLNESDAYKYFVLRTQSIAAKHGYEPINWEETFNNFGNKLNPKTVVHNWLGGGVAPAVVAAGLRCIVSNQDKWYLDHLDITWQDFYMNEPLKNISKPEQQKLILGGEVCMWGETVDASDIEQTIWPRAAAAAERLWTPLEMLAEDPKQVTTRLARFRCLLDRRGVAAAPLAAPGRGVPLGKGACLRQ >DRNTG_12556.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20997785:21002016:-1 gene:DRNTG_12556 transcript:DRNTG_12556.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase 3 [Source:Projected from Arabidopsis thaliana (AT1G65590) UniProtKB/Swiss-Prot;Acc:Q8L7S6] MPLREVTGGGGRRVFLGFVVVYLLAFVVVVDGDVHLWPMPASVSRGSRTLYLSSDLALKTDGSKFSDGSGILKDGFERMVELVRESRSVEGQVNGSDNLLAGLHVVVSSSNDSLYYGVDESYKLSIPATGNLIYGYIEASTVYGALHAFETFSQLCDFNLMTRTIELHGAPWNIIDQPRFSYRGLLIDTSRHYQPLSMIKKVIDAMAYSKLNVLHWHIVDRESFPIEIPSYPKLWNGAYSYSERYTVADAKEIVQYAEKRGINVLAEIDVPGHAISWGIGYPSLWPSANCQEPLDVSNELAFKVIDGILSDFSKIFKFKFVHLGGDEVNTSCWATSSHVNKWLDNHRLNESDAYKYFVLRTQSIAAKHGYEPINWEETFNNFGNKLNPKTVVHNWLGGGVAPAVVAAGLRCIVSNQDKWYLDHLDITWQDFYMNEPLKNISKPEQQKLILGGEVCMWGETVDASDIEQTIWPRAAAAAERLWTPLEMLAEDPKQVTTRLARFRCLLDRRGVAAAPLAAPGRGVPLGKGACLRQ >DRNTG_03311.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000154.1:26701:27636:1 gene:DRNTG_03311 transcript:DRNTG_03311.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLASCTNGSTEIFFSHGNHWMALPLLPLTWKKTKTAQPGILPLIWHILNDLDGHISPWCVARLLSQLLRLPLAEVWGLLGLRLANDVVLPFNYLSYAAQV >DRNTG_32220.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001803.1:23861:27891:1 gene:DRNTG_32220 transcript:DRNTG_32220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQHLFPFLLLLLPFSCLLSVSSSQATFQRYLDCLPVPFTCGDLHINISYPFRIDSRRDYCGYPGFYLNCTNNTTTLTITMSGTLYVIKDLDYFNHIITIMNSDFSNHTCPLTYTNTTIDTTIFEFYNQNQMLNLYLNCSSLSIPSSLMSIPCLLEKTGNHSYFSLLSDGLQGFLRRCSSTVIIPVKKEAADRLSNNSTAFGDVLQEGFMVKWIAGRGWCSFCTNSGGVCAYDGNDPLDQTCYCPYGSTTGVCSNGALTGVAAIITLIFICLCYSYRKRQQYSSALLDIVCWRNTSKDQIIETFLQKHGTLTPKRYSYPEVKKITRSFQHKLGQGGFGSVFKGNLSNGHDVAVKLLNRIDGDGEEFLNEVASIGRTSHINIVSLVGFCFQGSQRALIYDFMPNGSLEKYIYVEDPKTMLGWEKLFQIASGIARGLEYLHRGCNTKIVHFDIKPHNILLDENFCPKISDFGLAKFGTHKESILSLAGARGTIGYIAPEVFSRNFGTISSKSDVYSYGMMILEMAGGRKNVKVTADRTSEIYFPHWIYEHLDEDEDMEHYGVTTDKQDIARKLILVGLWCIQIRPESRPTMTKVVEMLEGSINDLQLPPKPYLFSPSRSSPST >DRNTG_13394.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22942548:22944963:1 gene:DRNTG_13394 transcript:DRNTG_13394.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIVGDSLSMMVHSLSMVIAGLVIAMIANWKLSFIVIALLPLLCLQGYAQMKSLKGFSADAKVKYEEASQVANDAVGNIRTVASFCAETRVMEAYQKKCEGPMRNGVRQGIISGLGFGFSFAVLYVGYAICFYAGARFIHNGSATFGQVFKVFYALTMAASGVSQSSAFGTDTNKAKDSAASIFEILDHKSKIDSSTDEGVTLSNVQGNIVFQHVSFKYPTRPEVQIFKDLCLTISSGKTVALVGESGSGKSTVIAMLERFYDPDSGMILLDGVKLQDLKICWLRQQIGLVSQEPVLFNDTIHANIAYGKQGQVSEEEIISVAEVANAHRFVSGLPQGYDTNVGERGAQLSGGQKQRIAIARAIIKDPQDLVAR >DRNTG_00288.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2012310:2013450:1 gene:DRNTG_00288 transcript:DRNTG_00288.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGHHKQPPPPGGPPGTPPPPGPVAPPPPSPAPPPPGHGPYAPPPPAPGPPPPPPGAFAPPPPGAFAPPPPRC >DRNTG_00288.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2012310:2013450:1 gene:DRNTG_00288 transcript:DRNTG_00288.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGHHKQPPPPGGPPGTPPPPGPVAPPPPSPAPPPPGHGPYAPPPPAPGPPPPGPSPYAAPPPPGAFAPPPPPGAFAPPPPGAFAPPPPRC >DRNTG_00288.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2012310:2013450:1 gene:DRNTG_00288 transcript:DRNTG_00288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGHHKQPPPPGGPPGTPPPPGPVAPPPPSPAPPPPGHGPYAPPPPAPGPPPPPPGPPPPGPSPYAAPPPPGAFAPPPPPGAFAPPPPGAFAPPPPRC >DRNTG_24384.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2750545:2752796:1 gene:DRNTG_24384 transcript:DRNTG_24384.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g53360, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G53360) UniProtKB/Swiss-Prot;Acc:Q9LFI1] MRGFRLHPDDITTRALLCAFTSSSSLHQGQLVHCYALKMGLCRNIAVLNSLLAMYTKCSDLYTSFDLLFKDINHNHDIVSWNTILTACLQRHQPEKVFQLLKELQNSSNKPDQITLNAILCACADLAYLDMGNQINAYAIKLGLNTDPMVCNGLIDAYAKCGSLDNARKLFELMGDNRDVFSWSSLIVGYAQYGFGRESLELFTLMQDLSIEPNHVTFVGVLTACKHIGLVDEGLHYYKQMEAEYHIAPTREHCSCIIDLLGRSGRLIEAERFKDEMPFEADIVMLKTLLAACRVHNNVEIGKRAAEGILKMDPFNSAAYVLMCSIYASAGCWDEFARLRKAMKSNGVIKSPGRSWIEVKGEVRVFIVEDTSHSESDEIYMVLDVLQMEMLEAGYSPMPLSWHE >DRNTG_00159.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30913602:30915369:1 gene:DRNTG_00159 transcript:DRNTG_00159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLAVFLFFCDRNLFWFAITISRKALHLVASNRLFKNCIISVIYNSSHFCNQCFLWHELSKIAPLQLPWLVLGDLNAELSMNEHKGRNFMYYDRKARFFKDFVVSNNLLDLNFFGPSYIGVTIRLALLGG >DRNTG_04915.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:9385407:9388728:-1 gene:DRNTG_04915 transcript:DRNTG_04915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKEEHSTGWEPSIKKAQFQQQSARRRSWIFMPMSIAPADEEEEDNTTTPTEAAMVEEVGEEVNHAEKLLPNGDFYTGQWRGGVPHGNGKYLWTDGCMYEGQWQHGKTMGKGKFSWPSGATYEGEFKSGFMDGIGTYTGSSGDTYRGSWSMNLKHGEGNKSYSNGDYYDGEWRTGFQEGHGRYIWRNGNEYVGQWLGGKIHGRGTLIWANGNRYDGGWEDGMPKGNGNFRWADGSLYIGVWSRDGNNVQQQKGVYYPSSAASTPTARDPQEAFAAGLSDCLVCEGETVSVMPSQKTLNWSEMEADFLQKQALWRSLKSSDSIGRPRRRASVDALVAMGGTPSWRMNGRANTTIGFASSGGGDRSFNRICAWEPDGGDITCDTGDRNSLVLEGKDDVVMRGLPDEADSKSEGRSPLHMGWLPKEMKKQGETISKGHKNYDLMLNLQLGISFSLQRHAVGRQPSPNMSFDLKASAFDPKDKIWTRFPTEGSKHTPPHNSCEFKWKDYCPLVFRTLRRLFKVDAGDYMLSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTVKKSEVKVLLRMLPAYYNHVRAFENTLVTKFFGLHCVKLTGAIQRKVRFVIMGNLFCTDYPIHRRFDLKGSSHGRTTDKPETEIDANTTLKDLDLNYIFRLQKAWFQEFLRQVDRDCELLEQERIMDYSLLVGIHFIDPSMPKEIPVFEDNIDTDNTKEVTPRLSRVDIDQFLCDPSRWAMIRLGINMPAMVERSIRKNETELFGEPTGEFYDVILFFGIIDILQDYDISKKLEHAYKSFQYDPTSISAVDPKQYSKRFRDFIFNVFKDET >DRNTG_25296.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19734610:19738853:1 gene:DRNTG_25296 transcript:DRNTG_25296.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGFALVHPYRPHCPRPSLAVRATAAPARHRDRRHQNVDGEFFVDRRCIDCDTCRWMAPETFSRIDGQSAVVNQPSCQEERLKALQALLSCPTSSIHTEKPPKEIVEVQKMFPLPIDEQRLPGVYHCGYHSERSYGAASYLIAHPEGNILVDSPRYTPKLAQRIDMLGGAKYLFLTHKDDVGDHEKWFNHLRCKRILHAGDVEPHTADVEMQLQGEGPWSISTDIELIHTPGHTEGSVCLYYKPLKILFTGDHFAKSEDSKLSIFEQYNKLACN >DRNTG_26108.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20826887:20831804:1 gene:DRNTG_26108 transcript:DRNTG_26108.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRKRRRRMGILAGSGERRSSSWCSSYQRMPPILVAPDPTVRMSPPAGSAGSRAVGDGSANAKISGEKGTDRIGGEPPHSGPTTPLPDKKLLLFILDRLQKKDTYGVYSEPVDPEELPDYHEVIAHPMDFGTVRKKLTNGVYVNLEQFEVGRFSFYFLFQLVYCLMGF >DRNTG_26108.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20826887:20831804:1 gene:DRNTG_26108 transcript:DRNTG_26108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRKRRRRMGILAGSGERRSSSWCSSYQRMPPILVAPDPTVRMSPPAGSAGSRAVGDGSANAKISGEKGTDRIGGEPPHSGPTTPLPDKKLLLFILDRLQKKDTYGVYSEPVDPEELPDYHEVIAHPMDFGTVRKKLTNGVYVNLEQFEKDVFLISSNSMKYNAPSTIYHRQARAIQELAKKSFENLRQESDDNEPEQKTVRRGRPPTKNVFKRMISRPPENAGSDPSTVATLANAGDNGHWSSSVQGLSRKAPMLDKPNPADVYARELHGFRKAETSTLIGEHKPERDEEQTGSMLKGVSGRYGKKPIVIDENRRNTYKQSQWSTFAYDLPLLTDGEKKQLIPIGFHMEHTYPRSLARFAAKLGPIAWAIASRKIERVLPPGSKFGRGWVGDGESPQQSKLISCSTSAGGTKPLEEQEPPSSDSDIEEGHSKSSLTPAPTASESRVDTQDICRDKTPSTWPRPNLTMQPGVNGVVSTPSSFNLSKAVSINSELPMTHARALDMVSRGNNNIQFRHQTANTHSDAVKTTSVSNQGMVKSKQDSKRSSSFPPDLNAGSQRAGSPPSGVIVESHQPDLALQL >DRNTG_13496.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21830523:21834212:1 gene:DRNTG_13496 transcript:DRNTG_13496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPEDRTLREEEQKVSVSRDKRIEQGPDAESPQAPSIARAPGNPENPPLISESKKRALDALERRFAAASKSAENAAAKRYKPSASKDKSKVEDCSVASPQQPCDSSLKPRAQSGISDVEPHPAYSEISQILHDNLKTNASKESNRGEDVNRVIRDILLSGDKGYQYAKGSRNVKFDSWILLDNFVSKNAASANSRTKALKNLSNRSKKHMSMREHRQCGSFNLPKEFHNFDQFKPMHEIWKEYILELLKEIGGKKLAQNLLIADLHGAILSVVECKTAVFKGVNGIMIRETAQTFGIITTENRFLVVPKKGSVFILQAGPWKITLYGDKLSLRCGGA >DRNTG_14266.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:952900:957685:-1 gene:DRNTG_14266 transcript:DRNTG_14266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEAPQCYAGVARQSAAFRLMKQMGWEEGEGLGKEKQGIRGHVRVKNKQDTKGIGVDNPANNWVLDTSQFDNILKRLKVQVADPDEKEFVETENVKEDPEIIAVNDSSAAKVTRPQGRYKRREIGKSVNSYSAKDLQGILGNKVEEDLQSECDADDETTSIEISDAHACHEDGGFLGVQSRKKKSNKPKESEGLAFDKRGAFDEDDQERLYKLVQDKATTGKQGLGISTQPKKIAGSHWKGKKTSFDDSDGEQSEISTDSGGSLKRKRNESSVVEVNVETKPKLKRLCKHLLLQVPTQSLRLKQLKVLIDEHSSSVFSGFSSKRDSLSYLKQKLEGSKNFNVEGKRVSLCKKKA >DRNTG_26652.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:261956:264418:1 gene:DRNTG_26652 transcript:DRNTG_26652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRRAFLAFMLSIVSLAICSSHALDSITSNQSLSGDQRLFSKGGNFVLGFFSKDSASSKFYIGTWYKKVQELTPVWVANRATPVSDIAKARLHISQDGNLALLDQSKSLIWSTNATISSNSSTITVLLDNGNLVLRDTENSSNVFWQSIENPTDTWLPGGKIAYNKITGVGQRLMSWKNSENPAPGLFSLVLQENDQYVIQWNMSKTYWFSGPWDGHATFRQVPEMTSGCSVTDCRYIVKSKEKYFTYNLKNNGTAPVMVVMDVSGQIKAMMWQEVSQQWTHFYTVPGAQCDVTKFCGPFGSCNEQRQQHCSCVKGFSQRSPRDWALTDYSGGCVRDTPLQYCDGTGSDHVGERDKFFQISSVRLPDDGQSLQVGSNDECMQACLCNCSCTAYSYNGTGCSVWYGDLYNLQDEYEGSGGGTLYLRLAASELPSHHNNKSVSGLVAGLVTASLACFGIMFILVTIKQRRRRAFKLVMGGLVAFRYSDLQRVTKNFSDKLGAGSFGSVFKGVLPDSTAIAVKKLEGLRQGEKQFRAEVSTLGTIQHVNLVPFRGFCAEGKERVACWCIQGLESQRPTMGLVVRMLEGLTEVL >DRNTG_13164.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:15809972:15819014:-1 gene:DRNTG_13164 transcript:DRNTG_13164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTITAIQRIRSRGMKTSAAASSSIRAVANTSSPIPASLIPSSAEPTSTPKMTPFSRSAPAPETSPSDSSNTPAKSSLCVANIPYGISSPLIAKLLFETRPPCFRTATLQFKRFPPRLE >DRNTG_25851.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8784482:8785662:-1 gene:DRNTG_25851 transcript:DRNTG_25851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQILRRLLFVLIFLVYSVNSYQSSSKSMHSHKNITHDPQKMKPQLSFQITLHALLLWFSVGFLMPLGILIVRISHKVQCCRKLKLLFYCHVFLQITAVLIALAGAALSVKYFENSFDNTHQKIGLGLYAFILIQPLIGFYRPKRGVKLRSLWYFVHWLIGTGVCIMGIVNIYIGLHAFHAKTSKSVRLWIVLFTAEIFIFVFVYLMQDRWEHIKKQGVILGNEQIRPTDQSSPSNQKDGNEGLVAV >DRNTG_05664.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:8672:9472:1 gene:DRNTG_05664 transcript:DRNTG_05664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFSGLSRYLSRRAPGTPPALVRMLSKASKAAKAAKTAKAEKAAPAPAQNRNRKLIADLRKIMTTNTAASTTRNPRDTYYPPIPIDSRIPEASTPSHPGDSLIPEASTPSHPGDSLIPEASTPSHPGGTPAKPTTSLLTKVTLAVNLGALCERAAQSYQSLKEKLKEKYDSLFKKKKNGDGEKGKGNGNGKQGKCNGNGKKGKGDGNGGDGGGGGSGSGGGGGGGEDDIVHEMMRHVNDFF >DRNTG_04000.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25807044:25808896:-1 gene:DRNTG_04000 transcript:DRNTG_04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLHLIFGDNINFDYLNAENFNHGYDNWWVWYPKTRKLTIPSMVYYHFTHGVDPFDCWDGWANLWNLKIAPRAKHFIWLLLHNAIKTYEFLYRLNLGPRTYYRLCNIDYETSEHLFNLS >DRNTG_12920.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1434529:1442260:-1 gene:DRNTG_12920 transcript:DRNTG_12920.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase homolog RECG, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G01440) UniProtKB/Swiss-Prot;Acc:F4INA9] MCNDCDNGGKKIIYLHLKKFFRGTRFTYQPFLMSIQSKYEEGDCVCVSGKVKKMKLKNHYEMREYNIDILEDEEEKHAHLECRPYPLYPSKAGLKPDFFRDIIVRALKILPANIDPIPDEILKEFNLLKLHDAYMQIHSPKTLDEADLARRRLIFDEFFYLQLARLYQMLEALGSHIEKEELLNKYKNQELHAVSVEEWFPLTKTLLKALPYSLTPSQLNAVSEIIWDLKRPVPMNRLLQGDVGCGKTVVAFLACMEVIASGYQAAFMVPTELLAIQHYEHVLSLLQALADGVCKPSVALLTGSTPTKQSRAVLEGIKTGDISFVIGTHSLIAEKVEFSNLRIAVIDEQHRFGVIQRGRFNSKLYSIPTNLRVDAASSGQSSEETTYMAPHVLAMSATPIPRTLALALYGDMSITQITDLPPGRTPVETIVLEGNDVGFESVFQMMRDELVAGGKVYLVYPVIEESEQLPQLRAATCEFDSISKKFEEYKCGLLHGRMKSEQKDEALRKFRTGETCILLATQVIEIGVDVPDASMMVVMNAERFGIAQLHQLRGRVGRGTKKSRCVFLSSTSGTLERLKVLEKSSDGFYLAQADLLLRGPGNLLGKKQSGHLPEFPIARLEIDGNMLQEAHLAALKVLATSNDLECFPGLKAELSMRQPLCILGD >DRNTG_12920.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1434529:1441821:-1 gene:DRNTG_12920 transcript:DRNTG_12920.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase homolog RECG, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G01440) UniProtKB/Swiss-Prot;Acc:F4INA9] MLQVKKMKLKNHYEMREYNIDILEDEEEKHAHLECRPYPLYPSKAGLKPDFFRDIIVRALKILPANIDPIPDEILKEFNLLKLHDAYMQIHSPKTLDEADLARRRLIFDEFFYLQLARLYQMLEALGSHIEKEELLNKYKNQELHAVSVEEWFPLTKTLLKALPYSLTPSQLNAVSEIIWDLKRPVPMNRLLQGDVGCGKTVVAFLACMEVIASGYQAAFMVPTELLAIQHYEHVLSLLQALADGVCKPSVALLTGSTPTKQSRAVLEGIKTGDISFVIGTHSLIAEKVEFSNLRIAVIDEQHRFGVIQRGRFNSKLYSIPTNLRVDAASSGQSSEETTYMAPHVLAMSATPIPRTLALALYGDMSITQITDLPPGRTPVETIVLEGNDVGFESVFQMMRDELVAGGKVYLVYPVIEESEQLPQLRAATCEFDSISKKFEEYKCGLLHGRMKSEQKDEALRKFRTGETCILLATQVIEIGVDVPDASMMVVMNAERFGIAQLHQLRGRVGRGTKKSRCVFLSSTSGTLERLKVLEKSSDGFYLAQADLLLRGPGNLLGKKQSGHLPEFPIARLEIDGNMLQEAHLAALKVLATSNDLECFPGLKAELSMRQPLCILGD >DRNTG_12920.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1434529:1443018:-1 gene:DRNTG_12920 transcript:DRNTG_12920.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase homolog RECG, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G01440) UniProtKB/Swiss-Prot;Acc:F4INA9] MLAVVKQWLLSLLVWRSLHQVIRLLSWFQLSCLLSSTMSMCFLYCKLWRMVSVSLLLPCLPVRPQQSNHVLFWRHGIKTGDISFVIGTHSLIAEKVEFSNLRIAVIDEQHRFGVIQRGRFNSKLYSIPTNLRVDAASSGQSSEETTYMAPHVLAMSATPIPRTLALALYGDMSITQITDLPPGRTPVETIVLEGNDVGFESVFQMMRDELVAGGKVYLVYPVIEESEQLPQLRAATCEFDSISKKFEEYKCGLLHGRMKSEQKDEALRKFRTGETCILLATQVIEIGVDVPDASMMVVMNAERFGIAQLHQLRGRVGRGTKKSRCVFLSSTSGTLERLKVLEKSSDGFYLAQADLLLRGPGNLLGKKQSGHLPEFPIARLEIDGNMLQEAHLAALKVLATSNDLECFPGLKAELSMRQPLCILGD >DRNTG_12920.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1434529:1443018:-1 gene:DRNTG_12920 transcript:DRNTG_12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase homolog RECG, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G01440) UniProtKB/Swiss-Prot;Acc:F4INA9] MARAFSLVCSCYQGLNESHLRSAIYSEVEKGYSNTFFRTMRFSNLLHSQLLKWCSKSNCSSAQKLLEEVGGYSTASVSERTKFLNKVLALMGYNNIQDLIEQDLKRESDIDLEDVSGELDLRLACARFPSIMLGDSSLVELYNEVPAQHENFSPLLPEIPDSLGTVGTNYDQINETWQFFSSSSENRASQSNVKGSYKFSYNSPAEHSNGDVKLENRVATEKSLMMTVGSESLQGLSTEITLDKTVKSIPGTTSKQCRQLEDCGFHTVRKLLHHFPRNYADLQNAYGAVDDNAYLIFVGRIISSRAIRAGYSFSFLEVVVQCEFVNNETNSEFMCNDCDNGGKKIIYLHLKKFFRGTRFTYQPFLMSIQSKYEEGDCVCVSGKVKKMKLKNHYEMREYNIDILEDEEEKHAHLECRPYPLYPSKAGLKPDFFRDIIVRALKILPANIDPIPDEILKEFNLLKLHDAYMQIHSPKTLDEADLARRRLIFDEFFYLQLARLYQMLEALGSHIEKEELLNKYKNQELHAVSVEEWFPLTKTLLKALPYSLTPSQLNAVSEIIWDLKRPVPMNRLLQGDVGCGKTVVAFLACMEVIASGYQAAFMVPTELLAIQHYEHVLSLLQALADGVCKPSVALLTGSTPTKQSRAVLEGIKTGDISFVIGTHSLIAEKVEFSNLRIAVIDEQHRFGVIQRGRFNSKLYSIPTNLRVDAASSGQSSEETTYMAPHVLAMSATPIPRTLALALYGDMSITQITDLPPGRTPVETIVLEGNDVGFESVFQMMRDELVAGGKVYLVYPVIEESEQLPQLRAATCEFDSISKKFEEYKCGLLHGRMKSEQKDEALRKFRTGETCILLATQVIEIGVDVPDASMMVVMNAERFGIAQLHQLRGRVGRGTKKSRCVFLSSTSGTLERLKVLEKSSDGFYLAQADLLLRGPGNLLGKKQSGHLPEFPIARLEIDGNMLQEAHLAALKVLATSNDLECFPGLKAELSMRQPLCILGD >DRNTG_12920.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1434529:1438125:-1 gene:DRNTG_12920 transcript:DRNTG_12920.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase homolog RECG, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G01440) UniProtKB/Swiss-Prot;Acc:F4INA9] MEVIASGYQAAFMVPTELLAIQHYEHVLSLLQALADGVCKPSVALLTGSTPTKQSRAVLEGIKTGDISFVIGTHSLIAEKVEFSNLRIAVIDEQHRFGVIQRGRFNSKLYSIPTNLRVDAASSGQSSEETTYMAPHVLAMSATPIPRTLALALYGDMSITQITDLPPGRTPVETIVLEGNDVGFESVFQMMRDELVAGGKVYLVYPVIEESEQLPQLRAATCEFDSISKKFEEYKCGLLHGRMKSEQKDEALRKFRTGETCILLATQVIEIGVDVPDASMMVVMNAERFGIAQLHQLRGRVGRGTKKSRCVFLSSTSGTLERLKVLEKSSDGFYLAQADLLLRGPGNLLGKKQSGHLPEFPIARLEIDGNMLQEAHLAALKVLATSNDLECFPGLKAELSMRQPLCILGD >DRNTG_12920.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1434529:1437707:-1 gene:DRNTG_12920 transcript:DRNTG_12920.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase homolog RECG, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G01440) UniProtKB/Swiss-Prot;Acc:F4INA9] MAPHVLAMSATPIPRTLALALYGDMSITQITDLPPGRTPVETIVLEGNDVGFESVFQMMRDELVAGGKVYLVYPVIEESEQLPQLRAATCEFDSISKKFEEYKCGLLHGRMKSEQKDEALRKFRTGETCILLATQVIEIGVDVPDASMMVVMNAERFGIAQLHQLRGRVGRGTKKSRCVFLSSTSGTLERLKVLEKSSDGFYLAQADLLLRGPGNLLGKKQSGHLPEFPIARLEIDGNMLQEAHLAALKVLATSNDLECFPGLKAELSMRQPLCILGD >DRNTG_12920.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1434529:1443018:-1 gene:DRNTG_12920 transcript:DRNTG_12920.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase homolog RECG, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G01440) UniProtKB/Swiss-Prot;Acc:F4INA9] MKLKNHYEMREYNIDILEDEEEKHAHLECRPYPLYPSKAGLKPDFFRDIIVRALKILPANIDPIPDEILKEFNLLKLHDAYMQIHSPKTLDEADLARRRLIFDEFFYLQLARLYQMLEALGSHIEKEELLNKYKNQELHAVSVEEWFPLTKTLLKALPYSLTPSQLNAVSEIIWDLKRPVPMNRLLQGDVGCGKTVVAFLACMEVIASGYQAAFMVPTELLAIQHYEHVLSLLQALADGVCKPSVALLTGSTPTKQSRAVLEGIKTGDISFVIGTHSLIAEKVEFSNLRIAVIDEQHRFGVIQRGRFNSKLYSIPTNLRVDAASSGQSSEETTYMAPHVLAMSATPIPRTLALALYGDMSITQV >DRNTG_12920.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1434529:1437150:-1 gene:DRNTG_12920 transcript:DRNTG_12920.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase homolog RECG, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G01440) UniProtKB/Swiss-Prot;Acc:F4INA9] MAPHVLAMSATPIPRTLALALYGDMSITQITDLPPGRTPVETIVLEGNDVGFESVFQMMRDELVAGGKVYLVYPVIEESEQLPQLRAATCEFDSISKKFEEYKCGLLHGRMKSEQKDEALRKFRTGETCILLATQVIEIGVDVPDASMMVVMNAERFGIAQLHQLRGRVGRGTKKSRCVFLSSTSGTLERLKVLEKSSDGFYLAQADLLLRGPGNLLGKKQSGHLPEFPIARLEIDGNMLQEAHLAALKVLATSNDLECFPGLKAELSMRQPLCILGD >DRNTG_12920.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1434529:1436064:-1 gene:DRNTG_12920 transcript:DRNTG_12920.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase homolog RECG, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G01440) UniProtKB/Swiss-Prot;Acc:F4INA9] MMRDELVAGGKVYLVYPVIEESEQLPQLRAATCEFDSISKKFEEYKCGLLHGRMKSEQKDEALRKFRTGETCILLATQVIEIGVDVPDASMMVVMNAERFGIAQLHQLRGRVGRGTKKSRCVFLSSTSGTLERLKVLEKSSDGFYLAQADLLLRGPGNLLGKKQSGHLPEFPIARLEIDGNMLQEAHLAALKVLATSNDLECFPGLKAELSMRQPLCILGD >DRNTG_12920.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1434529:1438622:-1 gene:DRNTG_12920 transcript:DRNTG_12920.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase homolog RECG, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G01440) UniProtKB/Swiss-Prot;Acc:F4INA9] MLEALGSHIEKEELLNKYKNQELHAVSVEEWFPLTKTLLKALPYSLTPSQLNAVSEIIWDLKRPVPMNRLLQGDVGCGKTVVAFLACMEVIASGYQAAFMVPTELLAIQHYEHVLSLLQALADGVCKPSVALLTGSTPTKQSRAVLEGIKTGDISFVIGTHSLIAEKVEFSNLRIAVIDEQHRFGVIQRGRFNSKLYSIPTNLRVDAASSGQSSEETTYMAPHVLAMSATPIPRTLALALYGDMSITQV >DRNTG_16787.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:494042:496474:-1 gene:DRNTG_16787 transcript:DRNTG_16787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSRRGDYKNGKGNGASPGKIFVGGLHEDTDDGLFREHFEKYGIIDDFVIMRDKNTKKPRRFGFITYADPSVVDKVKEDTHVILGKQVEIKRAIPRESTLLKDFKTKKIFVGGIPSSLTDGSLCDIVILIQN >DRNTG_11780.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:563206:565549:-1 gene:DRNTG_11780 transcript:DRNTG_11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPLMRLREREWFSAPSSTRFCDPGDRFIPTRSLMNLDLAHCLLTTKDQARTSNQSPTLNSKEEYRRRLEENLTLDDEGKPFRMLVFKPSPKKCKKSLFLADEMLQDEKKPPQTPRCIPTVADRILDGPKILDDYYLNLLDWGKNNILAIALGSSLYLWNAANSEVQLLLTMENEEDYPTSVSWSDDGKTLAVGFASSKIELWDAVALSKVRALEGPSDRVGSLSWNEKILTSGSRDTSIINHDVRSFSQSKSYFQGHTQEVCGLRWSSSGNFLASGGNDNIVHVWESSKMGSSKFLHRFTDHSAAVRALAWCPFQSHRLASGGGTLDQCIKIWNTQLGKCIKSTITGAQASLSLVCALEWNRHQKEILSAHGYNQNQLSLWTYPSMSKVTDLRGHTARVLHLAQSPDGSTLVSAAADETIRFWKVFEPPSSSTLRSSIDESHNFFSLKRMHIR >DRNTG_26219.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:375363:381675:1 gene:DRNTG_26219 transcript:DRNTG_26219.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTVLSRAISQTQATQIHAYLTTTNQLQNPLLHTQLINIYARCDLFSQAILVFKSINEHSNIITWTSLITHLSHNHQPLHAIQLLIKLFGSEFSLRPNHFTLSAVFPACAQTGSVAHGEQVHTLARKLGLECDVFVASSLLDMYAKCGDMDSSRKVFDEMPLRNLVSWNSLIVGFTRNKSCDMAMETFKEMHAECSSVCFGEVNVSSVLSACAGGGLSFGRGVHGSVVKVGMESLVYVNNSLIDMYGKCGCFQYAVEVFDRMRERDVVTWNVLMMGLVHSDRMEEACKYFWAMRRDGIVPDEASFSAALHACANMAAWCYGAAVHNQIIKAGFESNQCVASSLITMYAKCGCLIDAHRVFEESREYVNVVSWTAIIAAFQQHGQGDIVIRLFDEMLERGIKPDYVTYVCVLSACSHNGLIEQGFKYFRFNVQSSWDGTRK >DRNTG_26219.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:375363:381675:1 gene:DRNTG_26219 transcript:DRNTG_26219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTVLSRAISQTQATQIHAYLTTTNQLQNPLLHTQLINIYARCDLFSQAILVFKSINEHSNIITWTSLITHLSHNHQPLHAIQLLIKLFGSEFSLRPNHFTLSAVFPACAQTGSVAHGEQVHTLARKLGLECDVFVASSLLDMYAKCGDMDSSRKVFDEMPLRNLVSWNSLIVGFTRNKSCDMAMETFKEMHAECSSVCFGEVNVSSVLSACAGGGLSFGRGVHGSVVKVGMESLVYVNNSLIDMYGKCGCFQYAVEVFDRMRERDVVTWNVLMMGLVHSDRMEEACKYFWAMRRDGIVPDEASFSAALHACANMAAWCYGAAVHNQIIKAGFESNQCVASSLITMYAKCGCLIDAHRVFEESREYVNVVSWTAIIAAFQQHGQGDIVIRLFDEMLERGIKPDYVTYVCVLSACSHNGLIEQGFKYFRFNVQSSWDGTRK >DRNTG_26219.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:375363:378845:1 gene:DRNTG_26219 transcript:DRNTG_26219.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTVLSRAISQTQATQIHAYLTTTNQLQNPLLHTQLINIYARCDLFSQAILVFKSINEHSNIITWTSLITHLSHNHQPLHAIQLLIKLFGSEFSLRPNHFTLSAVFPACAQTGSVAHGEQVHTLARKLGLECDVFVASSLLDMYAKCGDMDSSRKVFDEMPLRNLVSWNSLIVGFTRNKSCDMAMETFKEMHAECSSVCFGEVNVSSVLSACAGGGLSFGRGVHGSVVKVGMESLVYVNNSLIDMYGKCGCFQYAVEVFDRMRERDVVTWNVLMMGLVHSDRMEEACKYFWAMRRDGIVPDEASFSAALHACANMAAWCYGAAVHNQIIKAGFESNQCVASSLITMYAKCGCLIDAHRVFEESREYVNVVSWTAIIAAFQQHGQGDIVIRLFDEMLERGIKPDYVTYVCVLSACSHNGLIEQGFKYFRFNVQSSWDGTRK >DRNTG_26219.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:375363:378017:1 gene:DRNTG_26219 transcript:DRNTG_26219.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTVLSRAISQTQATQIHAYLTTTNQLQNPLLHTQLINIYARCDLFSQAILVFKSINEHSNIITWTSLITHLSHNHQPLHAIQLLIKLFGSEFSLRPNHFTLSAVFPACAQTGSVAHGEQVHTLARKLGLECDVFVASSLLDMYAKCGDMDSSRKVFDEMPLRNLVSWNSLIVGFTRNKSCDMAMETFKEMHAECSSVCFGEVNVSSVLSACAGGGLSFGRGVHGSVVKVGMESLVYVNNSLIDMYGKCGCFQYAVEVFDRMRERDVVTWNVLMMGLVHSDRMEEACKYFWAMRRDGIVPDEASFSAALHACANMAAWCYGAAVHNQIIKAGFESNQCVASSLITMYAKCGCLIDAHRVFEESREYVNVVSWTAIIAAFQQHGQGDIVIRLFDEMLERGIKPDYVTYVCVLSACSHNGLIEQGFKYFRFNVQSSWDGTRK >DRNTG_02559.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5169947:5176904:-1 gene:DRNTG_02559 transcript:DRNTG_02559.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNRTPTRSGQSQSLTHLTVLIHHECYTQLFEASHKVLTINEPAYHELTFEFLATYERLLG >DRNTG_27317.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:211817:213487:-1 gene:DRNTG_27317 transcript:DRNTG_27317.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIFMLSAILVFYSLFHFFNLLYHHRRNQTCYLLDYVCFKPSDDRKLSTELCGDIVHRNKNLGLKEHKFLLKVIVNSGIGEDTYGPRNIIAGREEMPTLEDGVEEMDECFFATLDELFGKSGFSAKDIDVLVVNVSMFSPAPCLAARIVNHYKMREDIKTYNLSGMGCSASVISIDLVQNIFKTKKKTMALVLTSESIGPNWYSGNDKSMMLGNCLFRSGGCSILLSNDQALKDRAKMSLKCLVRTHIGANDEAHHCALQKEDDNGYLGFHLSKDLPKAAALAFAANLQRLAPRILPMKQLAIYIARKIHQSSKHANPNPNFKSVVDHFCLHTGGSAVIEGVGRSLGLTKYDLEPARMTLHRFGNTSASSLWYVLGYMEAKKRLMKNDRVLMISFGAGFKCNSCLCEVMRDLDSGNVWEDCIEDYPPETLVNPFMDKYRWINEA >DRNTG_26393.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18058180:18060269:-1 gene:DRNTG_26393 transcript:DRNTG_26393.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASFNHDERSATLSNHINIHWPCDRSTLREKVQNVHHCQKQQHHLQNHLQFKLEPSRNFHP >DRNTG_26393.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18058180:18062912:-1 gene:DRNTG_26393 transcript:DRNTG_26393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASFNHDERSATLSNHINIHWPCDRSTLREKVQNVHHCQKQQHHLQNHLQFKLEPSRNFHP >DRNTG_26393.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18058180:18061898:-1 gene:DRNTG_26393 transcript:DRNTG_26393.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASFNHDERSATLSNHINIHWPCDRSTLREKVQNVHHCQKQQHHLQNHLQFKLEPSRNFHP >DRNTG_26393.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18058180:18060114:-1 gene:DRNTG_26393 transcript:DRNTG_26393.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASFNHDERSATLSNHINIHWPCDRSTLREKVQNVHHCQKQQHHLQNHLQFKLEPSRNFHP >DRNTG_25509.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1146504:1149122:1 gene:DRNTG_25509 transcript:DRNTG_25509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISSSALPYKRTPPSWLKISALDVDENICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGSKILRILKGHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPVWKYESTTASTLVA >DRNTG_32519.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4827452:4831535:1 gene:DRNTG_32519 transcript:DRNTG_32519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQRRKKEIHLFYCAESEDLARKVADKSDAIHLQSISWRSFDDGFPNLFINNAHDIRGQHVAFLASFSSPGVIFEQISAIFALPKLFIASFTLVLPFFPTGSFERMEEEGDVATAFTMARILSMIPKSRGGPTSLVIYDIHALQERFYFGDDVLPCFETGIPLLLQRLRQLPDSENVTIAFPDDGAWKRFHKQLQHFPMVVCAKVREGDKRIVRLKEGNPAGRHVVIVDDLVQSGGTLIECQKVLAAHGATRVSAYVTHGVFPKQSWDRFTESDANGGRSPFAYFWITDSCPLTVKAIGDKPPFEVLSLAGSIADALQI >DRNTG_07127.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4782794:4784177:1 gene:DRNTG_07127 transcript:DRNTG_07127.1 gene_biotype:protein_coding transcript_biotype:protein_coding EDDEHEVDKKADEFIAKFREQIRLQRIESIKKSSGQRSAKSP >DRNTG_02203.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1297632:1299023:1 gene:DRNTG_02203 transcript:DRNTG_02203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFIDHKMKKEVAVIIGAGPAGIATAACLNNLSIPNIILEKDDCIASLWKKRSYDRLKLHLAKQFCSLPLMPLPHSAPTFISKAEFIGYLDNYVEHFKLHVVYCTEVVSAALDENGNSWIITVSNRISGKKDEYSARFLIVATGENSEKFIPEIHGLKSFSGEVIHSSEYRSGEVFSTKNVLVVGSGNSGMEIAYDLSKFGARTSIAVRSPFHVMSKETIHFGMVLMKYFPVKIVDVLLVMLAKFKYGNLSKYGIVRPKNGPLKMKAATGRSAVIDVGTVDKIKSGEITSTGKEGMDSIVQDCQEEDFLVFPWMLKT >DRNTG_33373.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20895366:20895581:1 gene:DRNTG_33373 transcript:DRNTG_33373.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNIKPTIFSERVEAALRKWHEQARNRVAKNHRSMSSTWSNTSGASFSLSHSSKHLQSFIDKIMLSP >DRNTG_18492.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:924864:926842:-1 gene:DRNTG_18492 transcript:DRNTG_18492.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNNIPNGDAILMKWILHDWSDEHGLKNTEKNCWKSFAEKMEK >DRNTG_18492.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:924864:926217:-1 gene:DRNTG_18492 transcript:DRNTG_18492.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFICYVFDKGVEHVGGDMFNNIPNGDAILMKWILHDWSDEHGLKNTEKNCWKSFAEKMEK >DRNTG_18492.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:924864:925435:-1 gene:DRNTG_18492 transcript:DRNTG_18492.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLAHSPGGKERTAQEFESMAKQAGFSAMKPYFSFAGAWVIELFK >DRNTG_26214.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:360251:363308:-1 gene:DRNTG_26214 transcript:DRNTG_26214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAQVVESKDGTISVASAFSGHHEAVQDRDHKFLSKAVEKAYHGVECGDGGPFGAVVVRNDEVVVSCHNMVLKNTDPTAHAEVTAIREACKKLKKIELSDCEIYASCEPCPMCFGAIHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGTYQKAHMEIKRADGNGAIIAEQVFEKTKEKFRMY >DRNTG_18316.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2514912:2520650:-1 gene:DRNTG_18316 transcript:DRNTG_18316.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRTTEDHSHDGGAHRALASSSQSGSLPAAECHSRDGDERRRRVTVARPRHRGEDVRSSQGLLRTAGQRGSRRTTGGFWKARDSSFIRGSDVHGNQGDVRVASSIGSQSRHGDHPSVQQMSVVAPPLG >DRNTG_18316.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2514912:2520650:-1 gene:DRNTG_18316 transcript:DRNTG_18316.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRTTEDHSHDGGAHRALASSSQSGSLPAAECHSRDGDERRRRVTVARPRHRGEDVRSSQGLLRTAGQRGSRRTTGGFWKARDSSFIRGSDVHGNQGDVRVASSIGSQSRHGDHPSVQQMSVVAPPLG >DRNTG_31186.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26976735:26980677:1 gene:DRNTG_31186 transcript:DRNTG_31186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNRDEALRAKEIAERKFNARDFSGAKKFALKAQNLFPALEGINQMISTMDVYIAGELKVNGERDWYAILSVQAMADEETVKKQYRKLALQLHPDKNKSLGAEGAFQLISEAWSVLSDRSRKLIYDQKRTVKGCQQKASQAHRDHHSAPNANGFYNFTSKTKSHARAHKKSNSSSRSAAVPPRPPNADTFWTSCHRCKMQYEYLRIYLNHNLLCPNCKEPFLAIETGFPSNGNNCNASWSASQHHNVNHNSSAKDTYDAGRNQNTVPGSTVYQHGTNYDVYANQNYNWGPFSRTAGAASANASSAAAAQAANVVHQTYEKVRRDREESQAAAKREESLRRRNHASKRNYSTSTSGNFSVGAGGSGHDRDRPGKRRRSITDDSGINYVGTESEQMVQGTVKSGSTLQGFKVPYNLSRETAQLDIRSMLMEKAKAGIKKKLEEWNTAAAAKSAEKEKAKHKQKPKENDNGKLSAAAFVDGNSTEKDIHDVADKQDPTKKDSSNDPSSDSEKEKTGTVSIDVPDPDFHDFDKDRSEKAFFGDQVWATYDGEDGMPRFYALVQKVISSKPFKIRMSFLTSKTNSEFGSLNWVGSGFAKTCGDFRVGRYEVNDTVNIFSHKVQWEKGPRGVIKIIPRKGEIWAVYKNWSPDWNEHTTDDVIYKYEMVEVLDDYNEEQGVSVTPLVKVAGFKTVFHRHMDPKQTRMIPKEEMFRFSHQVPSYILTGEEAPNAPKGCRELDPAATPVELLQVITEVKEDEPMETDEQQPVS >DRNTG_19074.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16484719:16503180:-1 gene:DRNTG_19074 transcript:DRNTG_19074.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWSDRVFSKGPLVKPRAHLAGSMSPDSSPITYLGVPLSSQRPRGTTHSYQLRSFYNPGVMDISFQAPNLAHHAQQQTLSEASGKIKRALSFTSARVTSLPNDSECNINQDPDFSTVVVSLAQIWDTAGQESLFGPIPSVLNLASSKVCSFSSLLRIEGLLLNMASKLPSRRVVQGTNVGDMTVVPSENIKVCIENRDCEIKMEFLSESDTESLSDTEEEVNNLDMHMDEYIGRMYAGAAVAQYQYMKSMLKGEKRTSSFTGQRMGSNDLDVLEGLEDINQIKEGECGFEDDGVGPQHPCINRRTPNKNNKGLEKKMLNKSNDLQFNATFVKNMGTLNPSVGTMKQISSKKKLKRMVSGALLPTMPGA >DRNTG_09470.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21362529:21369428:1 gene:DRNTG_09470 transcript:DRNTG_09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVKLEQNCVENRQSAVASSSSHSDASFGSSRRSPAAFSPVNSSPSQRRTSGPIRRAKGGWTPQEDETLRNAVEAYKGRSWKKIAEYFPDRSEVQCLHRWQKVLNPELIKGPWTQEEDKKIIELVAKYGPTKWSVIAKSLPGRIGKQCRERWHNHLNPSIKKDAWTLEEELALMNAHHIHGNKWAEIAKVLPGRTDNSIKNHWNSSLKKKLEFYLATGKLPPVPKPGVQNGIKDVTKASNGQAISCSNNDLVKSRSVSSEISDSSWKFEDHKGWFKSSSKQIVAAETLEVPLSESRNSDAVGRKVQDTINRSELRFTLGSCSSYNENYHENKIAEALVQPKTPDRNSLCYKPPELECLHGSSALTLLDACSSVQKAYDSLIVSSPAGYFTPSLVERKGSAEPSVEVILRNAADSFPLTPSILRKRRSKTCAVLPPDRAAMADRIKTPESSCSREGDIAADSEKSSNFRLCSSDCSHNEAAPCNANKFDFSPPYRLSSKRAPLLKSVEKHLDFTSEEDKFNVNVDTMSLSIHGNSCSTDSTLVRAQASKLNERKVGLEGLSGEFTYVTKMGVT >DRNTG_09470.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21362529:21369428:1 gene:DRNTG_09470 transcript:DRNTG_09470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHFGLSPLSDFLQDETLRNAVEAYKGRSWKKIAEYFPDRSEVQCLHRWQKVLNPELIKGPWTQEEDKKIIELVAKYGPTKWSVIAKSLPGRIGKQCRERWHNHLNPSIKKDAWTLEEELALMNAHHIHGNKWAEIAKVLPGRTDNSIKNHWNSSLKKKLEFYLATGKLPPVPKPGVQNGIKDVTKASNGQAISCSNNDLVKSRSVSSEISDSSWKFEDHKGWFKSSSKQIVAAETLEVPLSESRNSDAVGRKVQDTINRSELRFTLGSCSSYNENYHENKIAEALVQPKTPDRNSLCYKPPELECLHGSSALTLLDACSSVQKAYDSLIVSSPAGYFTPSLVERKGSAEPSVEVILRNAADSFPLTPSILRKRRSKTCAVLPPDRAAMADRIKTPESSCSREGDIAADSEKSSNFRLCSSDCSHNEAAPCNANKFDFSPPYRLSSKRAPLLKSVEKHLDFTSEEDKFNVNVDTMSLSIHGNSCSTDSTLVRAQASKLNERKVGLEGLSGEFTYVTKMGVT >DRNTG_11604.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19463585:19465742:1 gene:DRNTG_11604 transcript:DRNTG_11604.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEMKPLDLLPDSDGEIGSEALNSSHSSTSSGSFSPLSLHAVAAAAAAAVCIAHKPHRSSDPAWEAIRAHSSRLGPRDFKLLRRIGSGDIGTVYLCRLRGDHRNASSYYAMKVVDKKALEKKKKLGRAETERRILKTLDHPFLPTLYADFDAAPHYSCVVMEYCSGGDLHSLRHRQPSHRFPFSAARFYAAEVLLALEYLHMLGIVYRDLKPENVLIRSDGHIMLSDFDLSLESTTCPVLEHVAAADPSCLPDRLFRFRKPVPARFVAEPVGARSCSFVGTHEYVAPEVASGGAHGCQVDWWAYGVFLYELLYGRTPFAGPTNESTLRNIIKQTLTFPTEPSPLDSTYHRDLISRLLVKDPSQRLGSRRGAADVKAHPFFKGLNLALLRSYKPPVIPGLTRSKSCKTPSRFDFF >DRNTG_11604.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19463428:19465742:1 gene:DRNTG_11604 transcript:DRNTG_11604.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEMKPLDLLPDSDGEIGSEALNSSHSSTSSGSFSPLSLHAVAAAAAAAVCIAHKPHRSSDPAWEAIRAHSSRLGPRDFKLLRRIGSGDIGTVYLCRLRGDHRNASSYYAMKVVDKKALEKKKKLGRAETERRILKTLDHPFLPTLYADFDAAPHYSCVVMEYCSGGDLHSLRHRQPSHRFPFSAARFYAAEVLLALEYLHMLGIVYRDLKPENVLIRSDGHIMLSDFDLSLESTTCPVLEHVAAADPSCLPDRLFRFRKPVPARFVAEPVGARSCSFVGTHEYVAPEVASGGAHGCQVDWWAYGVFLYELLYGRTPFAGPTNESTLRNIIKQTLTFPTEPSPLDSTYHRDLISRLLVKDPSQRLGSRRGAADVKAHPFFKGLNLALLRSYKPPVIPGLTRSKSCKTPSRFDFF >DRNTG_11604.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19463428:19465973:1 gene:DRNTG_11604 transcript:DRNTG_11604.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEMKPLDLLPDSDGEIGSEALNSSHSSTSSGSFSPLSLHAVAAAAAAAVCIAHKPHRSSDPAWEAIRAHSSRLGPRDFKLLRRIGSGDIGTVYLCRLRGDHRNASSYYAMKVVDKKALEKKKKLGRAETERRILKTLDHPFLPTLYADFDAAPHYSCVVMEYCSGGDLHSLRHRQPSHRFPFSAARFYAAEVLLALEYLHMLGIVYRDLKPENVLIRSDGHIMLSDFDLSLESTTCPVLEHVAAADPSCLPDRLFRFRKPVPARFVAEPVGARSCSFVGTHEYVAPEVASGGAHGCQVDWWAYGVFLYELLYGRTPFAGPTNESTLRNIIKQTLTFPTEPSPLDSTYHRDLISRLLVKDPSQRLGSRRGAADVKAHPFFKGLNLALLRSYKPPVIPGLTRSKSCKTPSRFDFF >DRNTG_11604.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19463585:19465009:1 gene:DRNTG_11604 transcript:DRNTG_11604.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEMKPLDLLPDSDGEIGSEALNSSHSSTSSGSFSPLSLHAVAAAAAAAVCIAHKPHRSSDPAWEAIRAHSSRLGPRDFKLLRRIGSGDIGTVYLCRLRGDHRNASSYYAMKVVDKKALEKKKKLGRAETERRILKTLDHPFLPTLYADFDAAPHYSCVVMEYCSGGDLHSLRHRQPSHRFPFSAARFYAAEVLLALEYLHMLGIVYRDLKPENVLIRSDGHIMLSDFDLSLESTTCPVLEHVAAADPSCLPDRLFRFRKPVPARFVAEPVGARSCSFVGTHEYVAPEVASGGAHGCQVDWWAYGVFLYELLYGRTPFAGPTNESTLRNIIKQTLTFPTEPSPLDSTYHRDLISRLLVKDPSQRLGSRRGAADVKAHPFFKGLNLALLRSYKPPVIPGLTRSKSCKTPSRFDFF >DRNTG_11604.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19463428:19465009:1 gene:DRNTG_11604 transcript:DRNTG_11604.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEMKPLDLLPDSDGEIGSEALNSSHSSTSSGSFSPLSLHAVAAAAAAAVCIAHKPHRSSDPAWEAIRAHSSRLGPRDFKLLRRIGSGDIGTVYLCRLRGDHRNASSYYAMKVVDKKALEKKKKLGRAETERRILKTLDHPFLPTLYADFDAAPHYSCVVMEYCSGGDLHSLRHRQPSHRFPFSAARFYAAEVLLALEYLHMLGIVYRDLKPENVLIRSDGHIMLSDFDLSLESTTCPVLEHVAAADPSCLPDRLFRFRKPVPARFVAEPVGARSCSFVGTHEYVAPEVASGGAHGCQVDWWAYGVFLYELLYGRTPFAGPTNESTLRNIIKQTLTFPTEPSPLDSTYHRDLISRLLVKDPSQRLGSRRGAADVKAHPFFKGLNLALLRSYKPPVIPGLTRSKSCKTPSRFDFF >DRNTG_11604.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19463585:19465973:1 gene:DRNTG_11604 transcript:DRNTG_11604.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEMKPLDLLPDSDGEIGSEALNSSHSSTSSGSFSPLSLHAVAAAAAAAVCIAHKPHRSSDPAWEAIRAHSSRLGPRDFKLLRRIGSGDIGTVYLCRLRGDHRNASSYYAMKVVDKKALEKKKKLGRAETERRILKTLDHPFLPTLYADFDAAPHYSCVVMEYCSGGDLHSLRHRQPSHRFPFSAARFYAAEVLLALEYLHMLGIVYRDLKPENVLIRSDGHIMLSDFDLSLESTTCPVLEHVAAADPSCLPDRLFRFRKPVPARFVAEPVGARSCSFVGTHEYVAPEVASGGAHGCQVDWWAYGVFLYELLYGRTPFAGPTNESTLRNIIKQTLTFPTEPSPLDSTYHRDLISRLLVKDPSQRLGSRRGAADVKAHPFFKGLNLALLRSYKPPVIPGLTRSKSCKTPSRFDFF >DRNTG_00742.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27967876:27970224:1 gene:DRNTG_00742 transcript:DRNTG_00742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQPYIKFKLDHGMHRRGVGKQGPRTNETV >DRNTG_00742.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27969022:27969719:1 gene:DRNTG_00742 transcript:DRNTG_00742.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHQPYIKFKLDHGMHRRGVGKQGPRTNETV >DRNTG_00742.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27968288:27969719:1 gene:DRNTG_00742 transcript:DRNTG_00742.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQPYIKFKLDHGMHRRGVGKQGPRTNETV >DRNTG_15636.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28642244:28656369:1 gene:DRNTG_15636 transcript:DRNTG_15636.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHPLHLLEARARDFDIQKLTKGMVTSHNGRGCDLEPKQYLTNHGHHNGHEITVSSTIEDSLGIDSLQTYGINEEENMVYEIEDDASIQGIKGQLETVLDLLDRWIEKRMWEELDNGLFNRNHRKWQMVVDHGKLKKMKKTLTAMSCVMKVNSASLKNVLLLELLIFSMGGSGAGAPSTIMLMETDTKHDLAPPTENHKKKVGRGASVEAYHIPDEGILGRRVEDFPQDHRHDYREGASPFLSDVIDSTSVARVLCSHNRVNGLRDELPLGLSCACNGVKR >DRNTG_14284.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:813110:818023:1 gene:DRNTG_14284 transcript:DRNTG_14284.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALRHLAGNHSSVCITNPFLFAIQGLRYRKLEVILTTNIEKLGKAGETVKVAPGHFRNHLMPKLLAVPNINKYAFLIREQRKLYQCEEVETLAEVPKTEDTDATAMKMEDKMKEYQAAANQLDKARLGLRRLIKVDNELRSPVTKEELVAEVARQLCISIHPDNLHLPSPLTSLGEYEIPLRLPRSIPRPEGKLQWTLNVKIRRK >DRNTG_28896.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:618443:620303:-1 gene:DRNTG_28896 transcript:DRNTG_28896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKARKGRKDVVTREYTINLHKRLHGCTFKKMAPNAIKEIKKFAQKAMGTTDVRVDVKLNKQIWSRGIRGVPRRVRVRIARKRNDEEDAKEELFSLVTVAEVPTEGLKGLGTKVIEDEDE >DRNTG_20342.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23356366:23359071:-1 gene:DRNTG_20342 transcript:DRNTG_20342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKNSINLQESTYTITKDISHIKAKQLKKKKEKKDNGTRSPALET >DRNTG_20342.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23356366:23359005:-1 gene:DRNTG_20342 transcript:DRNTG_20342.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKNSINLQESTYTITKDISHIKAKQLKKKKEKKDNGTRSPALET >DRNTG_23085.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1400585:1400945:-1 gene:DRNTG_23085 transcript:DRNTG_23085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCSGKSKHLSTPHECLTTTVNQRRSVKDRQRRGET >DRNTG_21726.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:679585:685323:-1 gene:DRNTG_21726 transcript:DRNTG_21726.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALDH7B4 [Source:Projected from Arabidopsis thaliana (AT1G54100) UniProtKB/TrEMBL;Acc:A0A178W3F2] MGFARKEYQFLADLGLGPRNPGAFVNGVWRGAGPSVTSTNPANNQVIAEVMEASMQDYEDGMKACKGASKLWMQIPAPKRGEIVRQIGDALRAKLQYLGRLVSLEMGKILPEGIGEVQEIIDMCDYAVGLSRQLNGSVIPSERPNHMMLEVWNPLGIVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTEIVAGVLEKNNLPGAIFTSFCGGAEIGQAIACDRRIPLVSFTGSSKVGQMVQQTVNERFGKCLLELSGNNAIIVMDDADIQLAVRSVLFAAVGTAGQRCTTCRRLLLHESIYQTVLDQLIGVYKQVKIGDPLEKGTLLGPLHTPASKENYIKGIEVIKSQGGKILIGGSILEGEGNFVQPTIVEISSDAPVVKEELFAPVLYVMKFKTLKEAIDINNSVPQGLSSSIFTRKPEIIFKWIGPLGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGSELPLAQGINFG >DRNTG_21726.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:679585:685323:-1 gene:DRNTG_21726 transcript:DRNTG_21726.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALDH7B4 [Source:Projected from Arabidopsis thaliana (AT1G54100) UniProtKB/TrEMBL;Acc:A0A178W3F2] MGFARKEYQFLADLGLGPRNPGAFVNGVWRGAGPSVTSTNPANNQVIAEVMEASMQDYEDGMKACKGASKLWMQIPAPKRGEIVRQIGDALRAKLQYLGRLVSLEMGKILPEGIGEVQEIIDMCDYAVGLSRQLNGSVIPSERPNHMMLEVWNPLGIVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTEIVAGVLEKNNLPGAIFTSFCGGAEIGQAIACDRRIPLVSFTGSSKVGQMVQQTVNERFGKCLLELSGNNAIIVMDDADIQLAVRSVLFAAVGTAGQRCTTCRRLLLHESIYQTVLDQLIGVYKQVKIGDPLEKGTLLGPLHTPASKENYIKGIEVIKSQGGKILIGGSILEGEGNFVQPTIVEISSDAPVVKEELFAPVLYVMKFKTLKEAIDINNSVPQGLSSSIFTRKPEIIFKWIGPLGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGSELPLAQGINFG >DRNTG_05583.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22083191:22085556:-1 gene:DRNTG_05583 transcript:DRNTG_05583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQHPSIVRVTRPTRPDKARRLGYKAKQG >DRNTG_07901.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2507751:2509965:-1 gene:DRNTG_07901 transcript:DRNTG_07901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYLLLLGILLLPFLLLLVLAFLAWPAPVKLQLKGRHVLISGGSSGIGLAMARQAASEGAHVSILARNPAKLEAAREAIRQATGSDVSILSVDVQDFDSVSKAIQDASPIDVLVANHGVYFSQELELQDLQEIKLQVEINLMGTFHLIKAALPGMKQNAKNTNFPASIAIMSSQSGQVGIYGYGAYSATKYALRGLAEALRHEVVLDNIYVSLIFPPGTETPGFAEEKKRRSELINMIAASSGCMKADEVAEKAFNGIKCGTFIIPCNFEGVMLAIATAGLYPQNSCLGAFVEVIGAGFMRFVGLCFQWKWFGIIEKYHAKRNGS >DRNTG_19374.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22295054:22298678:-1 gene:DRNTG_19374 transcript:DRNTG_19374.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKRELPPEPPIPAPKPEDVAQSEASKPRPLSPYSMYDDLKPPTSPTPTPSSSLDLQEKEQAKFTPQKHQPLSPYTVYEDLKPPKSPTPTPSTASSLKDINVDGVVSLGLNSGKGMQI >DRNTG_19374.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22295054:22297761:-1 gene:DRNTG_19374 transcript:DRNTG_19374.10 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKRELPPEPPIPAPKPEDVAQSEASKPRPLSPYSMYDDLKPPTSPTPTPSSSLDLQEKEQAKFTPQKHQPLSPYTVYEDLKPPKSPTPTPSTASSLKDINVDGVVSLGLNSGKGMQI >DRNTG_19374.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22295054:22298678:-1 gene:DRNTG_19374 transcript:DRNTG_19374.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKRELPPEPPIPAPKPEDVAQSEASKPRPLSPYSMYDDLKPPTSPTPTPSSSLDLQEKEQAKFTPQKHQPLSPYTVYEDLKPPKSPTPTPSTASSLKDINVDGVVSLGLNSGKGMQI >DRNTG_19374.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22295054:22296404:-1 gene:DRNTG_19374 transcript:DRNTG_19374.11 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFPCQELPPEPPIPAPKPEDVAQSEASKPRPLSPYSMYDDLKPPTSPTPTPSSSLDLQEKEQAKFTPQKHQPLSPYTVYEDLKPPKSPTPTPSTASSLKDINVDGVVSLGLNSGKGMQI >DRNTG_19374.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22295054:22298678:-1 gene:DRNTG_19374 transcript:DRNTG_19374.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKRELPPEPPIPAPKPEDVAQSEASKPRPLSPYSMYDDLKPPTSPTPTPSSSLDLQEKEQAKFTPQKHQPLSPYTVYEDLKPPKSPTPTPSTASSLKDINVDGVVSLGLNSGKGMQI >DRNTG_19374.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22295054:22298678:-1 gene:DRNTG_19374 transcript:DRNTG_19374.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKRELPPEPPIPAPKPEDVAQSEASKPRPLSPYSMYDDLKPPTSPTPTPSSSLDLQEKEQAKFTPQKHQPLSPYTVYEDLKPPKSPTPTPSTASSLKDINVDGVVSLGLNSGKGMQI >DRNTG_19374.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22295054:22298678:-1 gene:DRNTG_19374 transcript:DRNTG_19374.8 gene_biotype:protein_coding transcript_biotype:protein_coding MQCAITRCRYEDLKPPKSPTPTPSTASSLKDINVDGVVSLGLNSGKGSVSGANCKELAAKPDVDGFLVCGASLKPEFIDIIKSATVKSST >DRNTG_19374.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22295054:22299295:-1 gene:DRNTG_19374 transcript:DRNTG_19374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKRELPPEPPIPAPKPEDVAQSEASKPRPLSPYSMYDDLKPPTSPTPTPSSSLDLQEKEQAKFTPQKHQPLSPYTVYEDLKPPKSPTPTPSTASSLKDINVDGVVSLGLNSGKGMQI >DRNTG_02283.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:853889:856861:-1 gene:DRNTG_02283 transcript:DRNTG_02283.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGETDLSSWYTTWEASATQIIPGRQKQNQTRKTQVEKTLL >DRNTG_34345.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21157486:21158747:-1 gene:DRNTG_34345 transcript:DRNTG_34345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANRFSERQPIGTAAQSQDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFMATFLFLYITLLTVMGVKNSNSQCSTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYMVMQCLGAICGAGVVKGFKKGLYDSQGGGANVVNPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPLLAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKHHAWSDHWIFWVGPFIGAALAATYHQIVIRAIPFKSRP >DRNTG_20019.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4897428:4897739:1 gene:DRNTG_20019 transcript:DRNTG_20019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSRIRSLRGPSSAHRRSCACSPTTHPGSYRCSDHKVVVPQRPISVSPSQTQIGPLSGDWARRVLVLAALSRPSSHLHHRKAVFQPRPSRLSSVSSADDL >DRNTG_25557.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3082590:3083703:-1 gene:DRNTG_25557 transcript:DRNTG_25557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRNVVTSNTMITCLARHDDLSAARSLFNSMPERDIASWSAIIGGYMARSCRTQGLALFRDMMALGQVIPDQLILVTVLSGCASTGSFTLLGKSIHGYCVKHDLEINVQLGTSLIDMYMKCGCLMSAFKVFERMPERNVMHWTAMICGLALHGHGDDALAFFREMRLAGVRPNEITFTGVLNACCHAGLVEEGQKYFDSMVEEFGFEPGIHHYGCMVELFAKVGRLEDAYGVIQSMKVEPNIVVWTSLLAACKKHGKFEVAEKVIDKVLVVVDPDEDGGIYTLISDLYAMVGRWSDAERVRMLMDENNVKKN >DRNTG_05739.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7139529:7145736:-1 gene:DRNTG_05739 transcript:DRNTG_05739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLLQAHVMSAQMTFLGKAFHQGTSVDSLPRSSPPSPATTPPSRASITHQQLVRMIGKVFSPATEPSSPILLGSCHPPPSQKHLKKKKKVISPLMVPMTPSHCHYHH >DRNTG_06840.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6455282:6472593:-1 gene:DRNTG_06840 transcript:DRNTG_06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRLMGLEVVRNVTRTQSRKVHGSLARAGKMRGQTPKVAKQDKKKKPRGRAHKRMLKMEIEGLNLRGNLNPLCAELKGHIASNCQNFPIGYEKWQKIPESYKDHVGNNIIKSKLEVNDDGHKQYIFKSLAKKWRDNRYNLYNLMKCDPDGPREANIARKPPEIPLEQWVAFVDYRALPATKAKAEQNTINRTHQTMPHTLGSKSIARLENEMEKQLGRSVTRAELFQASHTTSDGSFVNEVARHNHVLAFRDIEPQAPTHILIIPKVKDGLSGLSKVEERHIEILGYLLYIAKVVAKHLGALLQNRVQAGPCTRPVSGRASPGTLAGPARGPAGLAVGN >DRNTG_27853.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001412.1:94816:95194:1 gene:DRNTG_27853 transcript:DRNTG_27853.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAQLVREQSIYNIANVPNLEARQEMASASKILVFIIVITVFLSTAYTGTQGGSQIYAYRRSLEETLRPYSPPPPPPSPGPITGP >DRNTG_06029.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2839685:2842599:-1 gene:DRNTG_06029 transcript:DRNTG_06029.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKFAMSYVFPLFRKMILNQFGVSIKRLRSDNGRAYFNHNLTSFFAKMGILHESSFVDTPQQNGLAERKNHHLLEVTYSLLFQNANSKILIGRNNSYS >DRNTG_06029.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2839749:2842599:-1 gene:DRNTG_06029 transcript:DRNTG_06029.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKFAMSYVFPLFRKMILNQFGVSIKRLRSDNGRAYFNHNLTSFFAKMGILHESSFVDTPQQNGLAERKNHHLLEVT >DRNTG_20597.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18324971:18336502:1 gene:DRNTG_20597 transcript:DRNTG_20597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPKRLHEDGGHSASLKRPLDETSLSSGVSGKLIQSVGNDFHLPFEHGSDGRMAKVPRTESRDVDKRSSLVHRMSPSSNNPVDHPITSDSRPESRNLKDVRDTKTDHRETKAEIRDLYPDMRMDSQGGKGEIRENDARLDGKGEEKELRSDRGSHGIIKSEIKFDKDGHTTSHPYSSWKESKENHRGKRHFEFPSDGFDSWRVSRHGLQTTEEGARDFSMAEDRVSAEGREAVGENKVHVKGDDKLRDMDRKRKDEKHRDFGERDKDRNDWRNNMLLASASNERKDPMRDDRDMEKTERDRKDAQKDKERIDKEMDTMKLESSNVNEKENAHYQREMIDGSARNTEQETVALDPKSVKDESWKSYERDAKDKRRERDADAGDRSEHRVRCYEKESEDACIDGVAERDREALVSGVQQRTRMLRSRGAPQAPHREPRFRSRTRENEGSQVKSEASSIVYKVGECMQELLKSWKEFEAAQEDKNGESLQNGPTLEIRIPAEYVIATNHQVKGAQLWGTDIYTNDSDLVAVLMHTGYCRPTASPPPSAIQELRATVRVLPPQDCYTSTLRNNVRSRAWGAGIGCSFRVERCCIVKKGGGMIDLEPRLAHMSAVEPSLAPVSAERTMTTRAAASNALRQQRFVHEVTIQYNLCNEPWLKYSISVVADKGLKRPLYTSARLKKGEVLYLETHFTRYELCFNGEKAVSNGTIPGSSHVTEAEPDKVQNHSLHVQTTDKNMDRENIIDVFRWSRCKKPLPQNIMRSIGIPLPLDHLEVLEENLSWEDVQWSQTGVWVAGKEYVLARAHFLSPN >DRNTG_20597.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18329379:18336502:1 gene:DRNTG_20597 transcript:DRNTG_20597.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYDVQRLQLSDFNLSFFVLCILIRSSFGAVKSEASSIVYKVGECMQELLKSWKEFEAAQEDKNGESLQNGPTLEIRIPAEYVIATNHQVKGAQLWGTDIYTNDSDLVAVLMHTGYCRPTASPPPSAIQELRATVRVLPPQDCYTSTLRNNVRSRAWGAGIGCSFRVERCCIVKKGGGMIDLEPRLAHMSAVEPSLAPVSAERTMTTRAAASNALRQQRFVHEVTIQYNLCNEPWLKYSISVVADKGLKRPLYTSARLKKGEVLYLETHFTRYELCFNGEKAVSNGTIPGSSHVTEAEPDKVQNHSLHVQTTDKNMDRENIIDVFRWSRCKKPLPQNIMRSIGIPLPLDHLEVLEENLSWEDVQWSQTGVWVAGKEYVLARAHFLSPN >DRNTG_00984.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13885034:13891413:-1 gene:DRNTG_00984 transcript:DRNTG_00984.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDASNTNPEEAVLCIALSKNDSYVMSACGGKVSLFNKMTFKIMAAFMPPPPTSTFLAFHLLDNDIIAIGMEDTAIHIYNVRVYEVKKNLSGHQKLLTGLAFSNNLGILVSLVLMLSYVYGILTAGRKRNPLQFNWLQGNHLLVIFECSSIPINSLISIP >DRNTG_00984.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13885034:13888124:-1 gene:DRNTG_00984 transcript:DRNTG_00984.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDASNTNPEEAVLCIALSKNDSYVMSACGGKVSLFNKMTFKIMAAFMPPPPTSTFLAFHLLDNDIIAIGMEDTAIHIYNVRVYEVKKNLSGHQKLLTGLAFSNNLGILVSLVLMLSYVYGILTAGRKRNPLQFNWLQGNHLLVIFECSSIPINSLISIP >DRNTG_00984.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13888419:13891413:-1 gene:DRNTG_00984 transcript:DRNTG_00984.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEPMSVVFQVGANGVGLLDPGSEANNGEKGTEVDLPFWLAHELYLRQAASINVCVCFNQKAAFGEHKRMQNKKALSLKKQRQLGLIIRPYRRPEWAQRA >DRNTG_00984.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13885034:13888124:-1 gene:DRNTG_00984 transcript:DRNTG_00984.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDASNTNPEEAVLCIALSKNDSYVMSACGGKVSLFNKMTFKIMAAFMPPPPTSTFLAFHLLDNDIIAIGMEDTAIHIYNVRVYEVKKNLSGHQKLLTGLAFSNNLGILVSLVLMLSYVYGILTAGRKRNPLQFNWLQGNHLLVIFECSSIPINSLISIP >DRNTG_00984.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13885034:13888124:-1 gene:DRNTG_00984 transcript:DRNTG_00984.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDASNTNPEEAVLCIALSKNDSYVMSACGGKVSLFNKMTFKIMAAFMPPPPTSTFLAFHLLDNDIIAIGMEDTAIHIYNVRVYEVKKNLSGHQKLLTGLAFSNNLGILVSLVLMLSYVYGILTAGRKRNPLQFNWLQGNHLLVIFECSSIPINSLISIP >DRNTG_00984.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13888419:13891413:-1 gene:DRNTG_00984 transcript:DRNTG_00984.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYYNINGILMEEEPMSVVFQVGANGVGLLDPGSEANNGEKGTEVDLPFWLAHELYLRQAASINVCVCFNQKAAFGEHKRMQNKKALSLKKQRQLGLIIRPYRRPEWAQRA >DRNTG_00984.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13885034:13891413:-1 gene:DRNTG_00984 transcript:DRNTG_00984.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDASNTNPEEAVLCIALSKNDSYVMSACGGKVSLFNKMTFKIMAAFMPPPPTSTFLAFHLLDNDIIAIGMEDTAIHIYNVRVYEVKKNLSGHQKLLTGLAFSNNLGILVSLVLMLSYVYGILTAGRKRNPLQFNWLQGNHLLVIFECSSIPINSLISIP >DRNTG_12523.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23137356:23140110:-1 gene:DRNTG_12523 transcript:DRNTG_12523.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPASAYRSMSFENFLTDVIVEPACLVKDCSFPESAIEIRDDGNKENSSSWSLIRDESKASEDSHAEPVMKSENDTITAVNEAQCLEETVLHKLEGVMTQLSEKTRICFRDALYRLAESSKKAEYRNRPPAAGEVNCDNSRNLKKGSESKTNAIDRTVANLLFTEPSMSPALSCEGPVYDNNDNTVVTGMQYSLDQSSGRPQATYYEDMDNEE >DRNTG_12523.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23137356:23140110:-1 gene:DRNTG_12523 transcript:DRNTG_12523.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWFLLIKKHQKFHVLMKFLHYLMTGTKWTTINPKILDHLKNFVEDPHLLMRENSILIHNKYMIKLTMSLLCITVKDRVNSSACGGSSLLNHSAGTSEASFQDQPDFRLQNNMEQMDEIFLNSLLEDDLQNLGSPYEPLPMFPASAYRSMSFENFLTDVIVEPACLVKDCSFPESAIEIRDDGNKENSSSWSLIRDESKASEDSHAEPVMKSENDTITAVNEAQCLEETVLHKLEGVMTQLSEKTRICFRDALYRLAESSKKAEYRNRPPAAGEVNCDNSRNLKKGSESKTNAIDRTVANLLFTEPSMSPALSCEGPVYDNNDNTVVTGMQYSLDQSSGRPQATYYEDMDNEE >DRNTG_12523.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23137356:23140110:-1 gene:DRNTG_12523 transcript:DRNTG_12523.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFTYYNILFLMLYLMSFFRNSLLEDDLQNLGSPYEPLPMFPASAYRSMSFENFLTDVIVEPACLVKDCSFPESAIEIRDDGNKENSSSWSLIRDESKASEDSHAEPVMKSENDTITAVNEAQCLEETVLHKLEGVMTQLSEKTRICFRDALYRLAESSKKAEYRNRPPAAGEVNCDNSRNLKKGSESKTNAIDRTVANLLFTEPSMSPALSCEGPVYDNNDNTVVTGMQYSLDQSSGRPQATYYEDMDNEE >DRNTG_24730.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2344185:2351925:-1 gene:DRNTG_24730 transcript:DRNTG_24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTGYVGLWTKLTIASQHPPWTPSGCGTQDPSSSKAPASTDIAAGECVCMRDGLWTRLTTASQQIEGGILHIYGNVNDSEEGSWLEYVLKTITNIAKSEGFVSTGDWKRFDKTINLRIPITE >DRNTG_01895.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21009045:21012167:1 gene:DRNTG_01895 transcript:DRNTG_01895.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNGSEWDLEDLFRQDDGAAAGVYFEDDFSTAADLSFPAVRVDNMSSKTVGIGDSMAGSQMLSQPLKTFKQAKLSPTMETQSSVCASSPTSSLKPKGHDYQALGGTSGSEQSDDESQEMEQSTNKTDIKRMRRMVSNRESARRSRKRKQAHLADLELQVDQLREENSSLYKQLTDANQQFGEAVTDHRVLKSDVEALRVKVKMAEDMVARGSLPCSMDHLLQNQLGLVQMLNTRQPCRAAEVLPTMLVPGDDVFNIIGIPADGQVQNMGMQNREAKDGLNQSITGLDDLQSRISGGEVTSCVTDVWPWDSRTLSISKQI >DRNTG_22722.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31547973:31550066:1 gene:DRNTG_22722 transcript:DRNTG_22722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSILLLLLLPISSSQQTEFFYAGFSNSSDANTNTNTNISLNGVAEIEDNGILRLTNETSRLIGRAFYPIPLPFKNSTSASVFSFSTCFAFAIVPEYPKLGGHGFAFVISTSSSLPGALPSQYLGLLNATDNGNATNHVFAVEFDTVQDFEFGDINDNHVGIDINSMTSNVSKAAAYFSDDFTKQDLNLKGGDTIQAWIDYDAVSKVLNVTIAPSSTKPTIPLISFPVDLSPILHDNMFVGFSASTGLLASSHYLFGWNFKMNGVARSLDLSSLPSLPKPPKKNTSFVIAISITSVVFVIVVVSSAAYLFYKIKNADVIESWELECGPHRFSYKELKRATKGFRDRELLGFGGFGKVYKGTLPGSKEEVAVKRVSHESRQGIREFVAEIASIGRLRHRNLVQLQGWCRRRGDLILVYDYMPNGSLDKYLFSDPETQQPPKPLLSWRQRFHILKGIASALLYLHEEWEHIVIHRDVKASNVLLDGELNGRLGDFGLAKLYEHGANPSTTRVVGTLGYLAPELTRTGKSTTSSDVFAFGAVVLEVVCGRRPIEPKALPEELVLVDWVWGLWAAGKWEDVVDPRLNMDYDRKEVELALKVGLSCSHPAASARPRMRDVVRYLDGGEVPEVRSPPEEYEGKSQVGFDDYVHSYPSSSFEKVSSQCSVAVGAGEAVVAVDNRNEVGPFGYAPLALLSRGMNA >DRNTG_26013.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23136183:23137583:-1 gene:DRNTG_26013 transcript:DRNTG_26013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGLKRDYEIGEEIGRGRFGAVFRCFAADSGEALAVKTIDKTQLTDPTDRQLVEREAKITLLAAAGNPHVVQIHAVYEDESSIHLVLDLLPGPDLFERISGRGGPIPEPEAAAIISQLMEALNACHQRGIAHRDVKPDNVMFDSLGRLRLVDFGSAECFGKGMTMSGVVGTPYYVAPEVVEGREYGEKVDVWSAGVILYLMLGGIPPFYGETATEIFEAVMRGNLRFPRRIFSSVSTAAKDLIRRMLCRDASRRLSADQVLRHHWIVGGGGALHLT >DRNTG_26013.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23136339:23137688:-1 gene:DRNTG_26013 transcript:DRNTG_26013.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGLKRDYEIGEEIGRGRFGAVFRCFAADSGEALAVKTIDKTQLTDPTDRQLVEREAKITLLAAAGNPHVVQIHAVYEDESSIHLVLDLLPGPDLFERISGRGGPIPEPEAAAIISQLMEALNACHQRGIAHRDVKPDNVMFDSLGRLRLVDFGSAECFGKGMTMSGVVGTPYYVAPEVVEGREYGEKVDVWSAGVILYLMLGGIPPFYGETATEIFEAVMRGNLRFPRRIFSSVSTAAKDLIRRMLCRDASRRLSADQVLRHHWIVGGGGALHLT >DRNTG_26013.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23136339:23137583:-1 gene:DRNTG_26013 transcript:DRNTG_26013.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGLKRDYEIGEEIGRGRFGAVFRCFAADSGEALAVKTIDKTQLTDPTDRQLVEREAKITLLAAAGNPHVVQIHAVYEDESSIHLVLDLLPGPDLFERISGRGGPIPEPEAAAIISQLMEALNACHQRGIAHRDVKPDNVMFDSLGRLRLVDFGSAECFGKGMTMSGVVGTPYYVAPEVVEGREYGEKVDVWSAGVILYLMLGGIPPFYGETATEIFEAVMRGNLRFPRRIFSSVSTAAKDLIRRMLCRDASRRLSADQVLRESL >DRNTG_26013.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23136339:23137382:-1 gene:DRNTG_26013 transcript:DRNTG_26013.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGLKRDYEIGEEIGRGRFGAVFRCFAADSGEALAVKTIDKTQLTDPTDRQLVEREAKITLLAAAGNPHVVQIHAVYEDESSIHLVLDLLPGPDLFERISGRGGPIPEPEAAAIISQLMEALNACHQRGIAHRDVKPDNVMFDSLGRLRLVDFGSAECFGKGMTMSGVVGTPYYVAPEVVEGREYGEKVDVWSAGVILYLMLGGIPPFYGETATEIFEAVMRGNLRFPRRIFSSVSTAAKDLIRRMLCRDASRRLSADQVLRHHWIVGGGGALHLT >DRNTG_26013.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23136298:23137614:-1 gene:DRNTG_26013 transcript:DRNTG_26013.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGLKRDYEIGEEIGRGRFGAVFRCFAADSGEALAVKTIDKTQLTDPTDRQLVEREAKITLLAAAGNPHVVQIHAVYEDESSIHLVLDLLPGPDLFERISGRGGPIPEPEAAAIISQLMEALNACHQRGIAHRDVKPDNVMFDSLGRLRLVDFGSAECFGKGMTMSGVVGTPYYVAPEVVEGREYGEKVDVWSAGVILYLMLGGIPPFYGETATEIFEAVMRGNLRFPRRIFSSVSTAAKDLIRRMLCRDASRRLSADQVLRHHWIVGGGGALHLT >DRNTG_26013.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23136298:23137688:-1 gene:DRNTG_26013 transcript:DRNTG_26013.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGLKRDYEIGEEIGRGRFGAVFRCFAADSGEALAVKTIDKTQLTDPTDRQLVEREAKITLLAAAGNPHVVQIHAVYEDESSIHLVLDLLPGPDLFERISGRGGPIPEPEAAAIISQLMEALNACHQRGIAHRDVKPDNVMFDSLGRLRLVDFGSAECFGKGMTMSGVVGTPYYVAPEVVEGREYGEKVDVWSAGVILYLMLGGIPPFYGETATEIFEAVMRGNLRFPRRIFSSVSTAAKDLIRRMLCRDASRRLSADQVLRHHWIVGGGGALHLT >DRNTG_26013.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23136298:23137382:-1 gene:DRNTG_26013 transcript:DRNTG_26013.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGLKRDYEIGEEIGRGRFGAVFRCFAADSGEALAVKTIDKTQLTDPTDRQLVEREAKITLLAAAGNPHVVQIHAVYEDESSIHLVLDLLPGPDLFERISGRGGPIPEPEAAAIISQLMEALNACHQRGIAHRDVKPDNVMFDSLGRLRLVDFGSAECFGKGMTMSGVVGTPYYVAPEVVEGREYGEKVDVWSAGVILYLMLGGIPPFYGETATEIFEAVMRGNLRFPRRIFSSVSTAAKDLIRRMLCRDASRRLSADQVLRHHWIVGGGGALHLT >DRNTG_26013.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23136339:23137583:-1 gene:DRNTG_26013 transcript:DRNTG_26013.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGLKRDYEIGEEIGRGRFGAVFRCFAADSGEALAVKTIDKTQLTDPTDRQLVEREAKITLLAAAGNPHVVQIHAVYEDESSIHLVLDLLPGPDLFERISGRGGPIPEPEAAAIISQLMEALNACHQRGIAHRDVKPDNVMFDSLGRLRLVDFGSAECFGKGMTMSGVVGTPYYVAPEVVEGREYGEKVDVWSAGVILYLMLGGIPPFYGETATEIFEAVMRGNLRFPRRIFSSVSTAAKDLIRRMLCRDASRRLSADQVLRHHWIVGGGGALHLT >DRNTG_26013.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23136298:23137583:-1 gene:DRNTG_26013 transcript:DRNTG_26013.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGLKRDYEIGEEIGRGRFGAVFRCFAADSGEALAVKTIDKTQLTDPTDRQLVEREAKITLLAAAGNPHVVQIHAVYEDESSIHLVLDLLPGPDLFERISGRGGPIPEPEAAAIISQLMEALNACHQRGIAHRDVKPDNVMFDSLGRLRLVDFGSAECFGKGMTMSGVVGTPYYVAPEVVEGREYGEKVDVWSAGVILYLMLGGIPPFYGETATEIFEAVMRGNLRFPRRIFSSVSTAAKDLIRRMLCRDASRRLSADQVLRHHWIVGGGGALHLT >DRNTG_26013.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23136183:23137382:-1 gene:DRNTG_26013 transcript:DRNTG_26013.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGLKRDYEIGEEIGRGRFGAVFRCFAADSGEALAVKTIDKTQLTDPTDRQLVEREAKITLLAAAGNPHVVQIHAVYEDESSIHLVLDLLPGPDLFERISGRGGPIPEPEAAAIISQLMEALNACHQRGIAHRDVKPDNVMFDSLGRLRLVDFGSAECFGKGMTMSGVVGTPYYVAPEVVEGREYGEKVDVWSAGVILYLMLGGIPPFYGETATEIFEAVMRGNLRFPRRIFSSVSTAAKDLIRRMLCRDASRRLSADQVLRHHWIVGGGGALHLT >DRNTG_10510.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:10358670:10360907:1 gene:DRNTG_10510 transcript:DRNTG_10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLEKHLARFVQSSNTRFESVKATLRNHTASLYNLENQVGLIAKSLSKRPHGSLPSNTETNPREHVKVISLRSGCEVEGRLLSEKPKEHAPEPAGFPKSSRENTRACGISARPWLCTVNSSREGTEAQGCPRGRTMRSGATSVGNFRTGGAFPAVGQIFPRTNRGVDSPLLLPPSRENTGLWVALVNWAL >DRNTG_25045.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8906163:8906707:-1 gene:DRNTG_25045 transcript:DRNTG_25045.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANDVMDAFVYIIQISLTIVPYRYKKRASITRPLALFMSMQEYAHYTTMAMIGDVVHNLHDVAIVILPIIMNDHFHRNLFDLCIDMVFGESVTAKYPLVHNTETPRRKQGSVDCAVYVMRFIK >DRNTG_13148.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3676852:3678066:1 gene:DRNTG_13148 transcript:DRNTG_13148.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHEVMASSEKQATIAEGSIGSYQDLHLLDGKYSAKYHADKSAQNISLQSLSGDTSENKTFPESGIGSIPLDCLITRRASKQDISIGSIDSSHSGSSSPANSYNSRGLLKPIITKSSASVGIENQQGNQDEPCGGSILGESNKRRKDKDVSEMGSVLKSPHENKHAGGKQNTSSNFLPSSLNTLISNKLLKAKSTVAPQFSTLNESAKNVSQPTSVGISKQLYSLANKKLEKKILCTLNGK >DRNTG_33274.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23896866:23899340:1 gene:DRNTG_33274 transcript:DRNTG_33274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRSGALRRYSSCAHEGEQQAMLAETAKRVCETIRTTPRWESRLLSLFPSAQIFHPDCIRLVLSSSNPLLSLRYFLWLSSSSSSSSSPLDPTPLIDSLARAKAWKPALHAIRSTKCLPETQVLHSLLLLLLDDAAGIDHAFDVLSLLDAQSLPLPTWNAALSGSLRAGKTDLFWLFYQSMMQSGASGGDASTASLLIQALCKEKKLFEACGLLREACRNGIMPDVASITQLISGFSRAGNYGKVSELLHLMIAGGRPPDIVTYQTIIHGLCANGMGDEAFRIFNDLKLRGYAPDTVTYTSMIDGLCKMRRMEEAKTLWCEMASKGMKPNHYTYGALLNGYCKSGDFHHARKVYDEMLSHGYKESIITCNMMIAGLCLHGRMAEAVDMFEGMTKKRIVPDVITYNTLIQGFCKNGSSITHAKDLYKQLLAKGLQPSVSTYTPIIRALCEEGSMADAVTMMTDMVEIRGLEPLIRTYDYIIVGFCKLGEAREALSWLHRMMKGKLKPRNDTLILLVECLSSVGQVDDALSVSNLLLELGYSLGGSACHQLVSALCCKTDKHTTKEWLEEIKVGN >DRNTG_15141.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1785424:1786263:-1 gene:DRNTG_15141 transcript:DRNTG_15141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREKEREAARLALRKVGKTVDINDNFQTFKDLESLAYSLSGHQLDEMDRIRNPLEKLGLFIKREELDEPDDHVGVAADNSHNNGDVEEGEIGCL >DRNTG_21979.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:883521:885553:-1 gene:DRNTG_21979 transcript:DRNTG_21979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLLLIITIIISSLFLFLFFFISSITTTKKSTTGALPPGPKGWPILGNLPQLGQKPHHTLQALSKTYGPLFRLRLGSIDVVVASNASTASAFLRTHDSNFSNRPPNSGAEHIAYNYQDLVFAPYGPRWRSLRRLCSLHLFSVKALEDLRGVREEELGVLVEGLMKMKQKTVNVGEAVNVCATNALARATVGRRVFTEEEEGEAGEFKAMVVELMRLAGVFNVGDFVPWLRPLDLQGVVKKMKKLHRRYDEFFDKIIEEHRSDVGGGGGGGDLLSVMLALEELEDGGGKLNDTEIKALLLNLFTAGTDTTSSTVEWALAELLRHPDILTMAQSELDAVIGHGRLVADSDLHKLPLMQAIIKETFRLHPPTPLSLPRIASETCQVAGYQIPKSTTLFVNVWAIARDPEVWPNPLEFDPHRFLPGGKHAHVGVKGSDFELIPFGAGRRVCAGLSLGWKMVQFMTATLVHAFDWALPEGMTAEKLDMEEAYGLTVQRAVPLMANPIPRLNKDAYSVIF >DRNTG_19906.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18322405:18326580:-1 gene:DRNTG_19906 transcript:DRNTG_19906.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAQKLSSSLLQRRELFLRVLPSSPGLRHFDRHIHFAGNDGGDTKSDNSSESADDFERRVFSGTDDSSNFNSFYRKLDKHEKFVGTSGTGFNMNDRGQFRSMDGLSESVDTLSDGMDSKLKKAAQTFVVSDEIQNEDYSFRPDMTFRPGSTYTARDLDLTKPGIPKQFKPPQFETTTEEVLKKADFRNVRFLQNFLTEAGIIIKRSQTRISAKAQRKVAREIKTARAFGLMPFTTMGTKPFSFGRSMDPQYLMSEDYVVFNNNMQDAAREPPLEEI >DRNTG_19906.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18322405:18326580:-1 gene:DRNTG_19906 transcript:DRNTG_19906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAQKLSSSLLQRRELFLRVLPSSPGLRHFDRHIHFGNDGGDTKSDNSSESADDFERRVFSGTDDSSNFNSFYRKLDKHEKFVGTSGTGFNMNDRGQFRSMDGLSESVDTLSDGMDSKLKKAAQTFVVSDEIQNEDYSFRPDMTFRPGSTYTARDLDLTKPGIPKQFKPPQFETTTEEVLKKADFRNVRFLQNFLTEAGIIIKRSQTRISAKAQRKVAREIKTARAFGLMPFTTMGTKPFSFGRSMDPQYLMSEDYVVFNNNMQDAAREPPLEEI >DRNTG_20203.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001098.1:47881:51696:-1 gene:DRNTG_20203 transcript:DRNTG_20203.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 8 [Source:Projected from Arabidopsis thaliana (AT4G00660) UniProtKB/Swiss-Prot;Acc:Q8RXK6] MLIMDEADKLLSPEFQPSIEQLIQFLPAHRQVLMFSATFPVTVKEFKEKYLPKPYIINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNAETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPQIDQAIYCR >DRNTG_23838.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29424420:29428525:1 gene:DRNTG_23838 transcript:DRNTG_23838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATIALGAAQSPWGVAGGAIAGHLVATAIAVIGGALLANYISEKVVGYLGGVLFLIFAIATLLGIF >DRNTG_14276.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:901298:904463:-1 gene:DRNTG_14276 transcript:DRNTG_14276.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLRSSSFASLGHFPQVGKPSGWIRSPSRLLLLSTRSFPRIFASSSSSSVDADLNSELDAVSSFSEIVPDTVVFDDFERFPPTAATVSSSLLLGICSLPDTKFKSAIETALADSECYEQRDPSKRLSCFYDKALVNVGADLAMLVPGRVSTEVDARLAYDTHAIIHKVHELLKLYNEIEVPPERLLFKIPSTWQGIEASRLLESEGIQTHLTFVYSFSQAAAAAQAGASVIQIFVGRLRDWARNHSGDPEIEAASKRGEDAGVALVTKAYNYIHKYGHKSRLMAAAIRNKQDIFSLLGVDYIIAPLKILQSLKDSITYPDEKYSYVRRLSPSSAMLYNFSEEELVKWDQLSLASAMGPAAEELLTAGLEGYVNQALRVEEHFGKIWPPPNV >DRNTG_14276.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:901298:904463:-1 gene:DRNTG_14276 transcript:DRNTG_14276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLRSSSFASLGHFPQVGKPSGWIRSPSRLLLLSTRSFPRIFASSSSSSVDAVDLNSELDAVSSFSEIVPDTVVFDDFERFPPTAATVSSSLLLGICSLPDTKFKSAIETALADSECYEQRDPSKRLSCFYDKALVNVGADLAMLVPGRVSTEVDARLAYDTHAIIHKVHELLKLYNEIEVPPERLLFKIPSTWQGIEASRLLESEGIQTHLTFVYSFSQAAAAAQAGASVIQIFVGRLRDWARNHSGDPEIEAASKRGEDAGVALVTKAYNYIHKYGHKSRLMAAAIRNKQDIFSLLGVDYIIAPLKILQSLKDSITYPDEKYSYVRRLSPSSAMLYNFSEEELVKWDQLSLASAMGPAAEELLTAGLEGYVNQALRVEEHFGKIWPPPNV >DRNTG_19408.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6212259:6216707:1 gene:DRNTG_19408 transcript:DRNTG_19408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSELVFPAEVECAHRVPVPPSKPFSEALKANLKETFFPDDPLRQFKNQPPLKKFTNGLQYLIPFLEWAPKYTLAYFKSDLIAGITIASLAIPQGISYAKLGNLPPILGLYSSFVPPLVYAMLGSSKDVAVGTVAVASLLIASMFSKEVSASQNPGLYLHLAFTATFFAGVFEAALGFLRLGFIVDFLSHATIVGFMGGAATVVCLQQLKGILGLEHFTTSTDLVSVMESVFTQTQKWRWESVLLGCCFLCFLLSTRYFGKVRPKYFWVSASAPLISVIFGSVLVYLTHAENHGVQVIGHLKKGLNPISVTNLVLSPPHMMLALKVGIITGIIALAEGIAVGRSFAMFKNYHIDGNKEMIAFGMMNIVGSFTSCYLTTGPFSRSAVNYNAGCKTAMSNVIMAMAVMITLLFLTPLFHYTPLVVLSAIIISAMLGLLDYEAAIHLWHVDKMDFFNCIAAYLGVVFCSVEIGLVLAVSISILRVLLFVARPRTTVLGNMPNSMIYRRMDQYPMAQCVPGVFIIQIDAPIYFANSSYLRERLSRWIDEEEDKIKDKGEDTLQYVILDMGAVGSIDTSGISMLGEVKKTLERRGLQLVLANPGSEVMKKLDKSKVLEEIGHAWIFLTVGEAVGACSFLLHTCKARTVSSVNSDVLAHDNIV >DRNTG_08377.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4391443:4397692:1 gene:DRNTG_08377 transcript:DRNTG_08377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSIDQMVTGSQAGKMGGRISMEKKESLSIILREWMNFLRERLRNTVSL >DRNTG_25522.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2931262:2932885:1 gene:DRNTG_25522 transcript:DRNTG_25522.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNSTNNSGCPNANMEKNAKEHTSSMAALKCPRCNSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPIGGGCRKNKRLKKPTTSITTHPSSSSTSSALLSCHPPPPPPPPPPPSAPQVPSSSLPLISSNNNMDFSIHYANLPPLPAFLQQPQLDGFNLGYPSNAPTNHDQQQHLFDPLLGSSLSTATAASLFAFKH >DRNTG_25522.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2930894:2932778:1 gene:DRNTG_25522 transcript:DRNTG_25522.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNSTNNSGCPNANMEKNAKEHTSSMAALKCPRCNSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPIGGGCRKNKRLKKPTTSITTHPSSSSTSSALLSCHPPPPPPPPPPPSAPQPQLDGFNLGYPSNAPTNHDQQQHLFDPLLGSSLSTATAASLFAFKH >DRNTG_25522.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2931088:2932778:1 gene:DRNTG_25522 transcript:DRNTG_25522.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNSTNNSGCPNANMEKNAKEHTSSMAALKCPRCNSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPIGGGCRKNKRLKKPTTSITTHPSSSSTSSALLSCHPPPPPPPPPPPSAPQVPSSSLPLISSNNNMDFSIHYANLPPLPAFLQQPQLDGFNLGYPSNAPTNHDQQQHLFDPLLGSSLSTATAASLFAFKH >DRNTG_25522.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2931262:2932714:1 gene:DRNTG_25522 transcript:DRNTG_25522.13 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNSTNNSGCPNANMEKNAKEHTSSMAALKCPRCNSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPIGGGCRKNKRLKKPTTSITTHPSSSSTSSALLSCHPPPPPPPPPPPSAPQVPSSSLPLISSNNNMDFSIHYANLPPLPAFLQQPQLDGFNLGYPSNAPTNHDQQQHLFDPLLGSSLSTATAASLFAFKH >DRNTG_25522.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2930894:2932778:1 gene:DRNTG_25522 transcript:DRNTG_25522.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNSTNNSGCPNANMEKNAKEHTSSMAALKCPRCNSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPIGGGCRKNKRLKKPTTSITTHPSSSSTSSALLSCHPPPPPPPPPPPSAPQVPSSSLPLISSNNNMDFSIHYANLPPLPAFLQQPQLDGFNLGYPSNAPTNHDQQQHLFDPLLGSSLSTATAASLFAFKH >DRNTG_25522.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2931187:2932885:1 gene:DRNTG_25522 transcript:DRNTG_25522.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNSTNNSGCPNANMEKNAKEHTSSMAALKCPRCNSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPIGGGCRKNKRLKKPTTSITTHPSSSSTSSALLSCHPPPPPPPPPPPSAPQVPSSSLPLISSNNNMDFSIHYANLPPLPAFLQQPQLDGFNLGYPSNAPTNHDQQQHLFDPLLGSSLSTATAASLFAFKH >DRNTG_25522.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2931187:2932714:1 gene:DRNTG_25522 transcript:DRNTG_25522.11 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNSTNNSGCPNANMEKNAKEHTSSMAALKCPRCNSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPIGGGCRKNKRLKKPTTSITTHPSSSSTSSALLSCHPPPPPPPPPPPSAPQPQLDGFNLGYPSNAPTNHDQQQHLFDPLLGSSLSTATAASLFAFKH >DRNTG_25522.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2931187:2932778:1 gene:DRNTG_25522 transcript:DRNTG_25522.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNSTNNSGCPNANMEKNAKEHTSSMAALKCPRCNSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPIGGGCRKNKRLKKPTTSITTHPSSSSTSSALLSCHPPPPPPPPPPPSAPQVPSSSLPLISSNNNMDFSIHYANLPPLPAFLQQPQLDGFNLGYPSNAPTNHDQQQHLFDPLLGSSLSTATAASLFAFKH >DRNTG_25522.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2931088:2932714:1 gene:DRNTG_25522 transcript:DRNTG_25522.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNSTNNSGCPNANMEKNAKEHTSSMAALKCPRCNSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPIGGGCRKNKRLKKPTTSITTHPSSSSTSSALLSCHPPPPPPPPPPPSAPQVPSSSLPLISSNNNMDFSIHYANLPPLPAFLQQPQLDGFNLGYPSNAPTNHDQQQHLFDPLLGSSLSTATAASLFAFKH >DRNTG_25522.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2930894:2932714:1 gene:DRNTG_25522 transcript:DRNTG_25522.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNSTNNSGCPNANMEKNAKEHTSSMAALKCPRCNSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPIGGGCRKNKRLKKPTTSITTHPSSSSTSSALLSCHPPPPPPPPPPPSAPQVPSSSLPLISSNNNMDFSIHYANLPPLPAFLQQPQLDGFNLGYPSNAPTNHDQQQHLFDPLLGSSLSTATAASLFAFKH >DRNTG_25522.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2931262:2932778:1 gene:DRNTG_25522 transcript:DRNTG_25522.12 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNSTNNSGCPNANMEKNAKEHTSSMAALKCPRCNSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPIGGGCRKNKRLKKPTTSITTHPSSSSTSSALLSCHPPPPPPPPPPPSAPQVPSSSLPLISSNNNMDFSIHYANLPPLPAFLQQPQLDGFNLGYPSNAPTNHDQQQHLFDPLLGSSLSTATAASLFAFKH >DRNTG_25522.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2931187:2932714:1 gene:DRNTG_25522 transcript:DRNTG_25522.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNSTNNSGCPNANMEKNAKEHTSSMAALKCPRCNSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPIGGGCRKNKRLKKPTTSITTHPSSSSTSSALLSCHPPPPPPPPPPPSAPQVPSSSLPLISSNNNMDFSIHYANLPPLPAFLQQPQLDGFNLGYPSNAPTNHDQQQHLFDPLLGSSLSTATAASLFAFKH >DRNTG_25522.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2931187:2933234:1 gene:DRNTG_25522 transcript:DRNTG_25522.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNSTNNSGCPNANMEKNAKEHTSSMAALKCPRCNSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPIGGGCRKNKRLKKPTTSITTHPSSSSTSSALLSCHPPPPPPPPPPPSAPQVPSSSLPLISSNNNMDFSIHYANLPPLPAFLQQPQLDGFNLGYPSNAPTNHDQQQHLFDPLLGSSLSTATAASLFAFKH >DRNTG_31659.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:931621:932152:1 gene:DRNTG_31659 transcript:DRNTG_31659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLAGYDKLQLIVFGKKYGSGGA >DRNTG_17361.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32275650:32279798:-1 gene:DRNTG_17361 transcript:DRNTG_17361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSFSSAAAIASRSKTLNPPSRTLCSTLSFSSSRSLGRVFAAVRSMSVGGAAVGAKMVSAPAVSKPPPLLDFETSVFKKEKINLAGHDEFIVRGGRDLFPLLPEAFKGIKQIGVIGWGSQGPAQAQNLRDSLAAAKSDIVVKIGLRKGSRSFNEARAAGFTEENGTLGDIWETISGSDLVLLLISDAAQADNYEKILSHMKPNSILGLSHGFLLGHLQSLRLDFPKHISVIAVCPKGMGPSVRRLYVQGKEINGAGINSSFAVHQDIDGRATDVALGWSVALGSPFTFATTLEQEYRSDIFGERGILLGAVHGMVEALFRRYTESGMAEELAYKNTVECITGIMSKTISTKGMLALYNSLSEDGKKEFNAAYSASFYPCMDILYECYEDVASGSEIRSVVLAGQRFYEKEGLPAFPMGKIDQTRMWKVGERVRASRSADDLGPLHPFTAGVYVALMMAQIEVLRKKGHSYSEIINESVIEAVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYILTQQAFVAVDKNTAINQDLISNFFSDAVHGAMEVCAQLRPTVDISVPPDADFVRPELRQSSN >DRNTG_07549.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21654809:21658843:-1 gene:DRNTG_07549 transcript:DRNTG_07549.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGGSGGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINITCVNHETGMVEPKKFGLLANWQREYTMEDILTQLKKEMAAPHNRKLVQPPEGTFF >DRNTG_13876.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4909586:4910831:-1 gene:DRNTG_13876 transcript:DRNTG_13876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLKSNSGGVSLGFTNGGALEET >DRNTG_14582.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3823224:3823649:1 gene:DRNTG_14582 transcript:DRNTG_14582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSMVLWKVLYSSSKDSFIRAGIEQLHGLVRYTRPCRISTWPWIISTHPCGFSVSLVSRLAVNSAATVLAALLYYSL >DRNTG_33550.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7720257:7722000:1 gene:DRNTG_33550 transcript:DRNTG_33550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDKNLLRSDDLLKYILETSVYPREHEQLKELREATMKDERGGMSVPPEEGQLLSIILKLMDAKRTIEIGVFSGYSLLTTALALPKDGKIIAIDLDRSSFEIGLPFIRKAGVEEKINFIESEAIPILNKMIEEVKNNNEELYDFAFVDADKTNYGEYHERLMKLVKIGGAIIYDNTLWSGTVAEPLNSSIPAEILEIRNFIVKFNDFLAGDPRVEISQVCIGDGLTICRRII >DRNTG_16711.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17883360:17884140:-1 gene:DRNTG_16711 transcript:DRNTG_16711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSFLGRKSSDPSKAELCHFYDLVADALNSMVQEGLLEEEKVNTFNMPLYAASKEEVQQVIQSEGSFYIEQMQILESNWDPFDDSDDDKVFDNVMSGHNVAKYKRAVLEPLLVRHFGEQAFIDQVFARYAQNVAMHLLKEKTKHIVFILALKAKYLNN >DRNTG_09618.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:22044487:22048401:1 gene:DRNTG_09618 transcript:DRNTG_09618.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABA5a [Source:Projected from Arabidopsis thaliana (AT5G47520) UniProtKB/Swiss-Prot;Acc:Q9FGK5] MAYYGDEEESQDYLFKIVLIGDSAVGKSNLLARFARNEFYPNSKSTIGVEFQTQKMDIDGREIKAQIWDTAGQERFRAVTSAYYRGAVGALVVYDISRRQTFDSVGRWLNELHTHSDMNVVTILVGNKTDLEEAREVSTAEGKLLAEAQGLFFMETSALDSSNVKAAFETVVKEIYDILRRKVFQSQEHKKLESSSLGNGRTVVLQGGTGETDDKAARNWCCSSS >DRNTG_09618.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:22044037:22048401:1 gene:DRNTG_09618 transcript:DRNTG_09618.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABA5a [Source:Projected from Arabidopsis thaliana (AT5G47520) UniProtKB/Swiss-Prot;Acc:Q9FGK5] MAYYGDEEESQDYLFKIVLIGDSAVGKSNLLARFARNEFYPNSKSTIGVEFQTQKMDIDGREIKAQIWDTAGQERFRAVTSAYYRGAVGALVVYDISRRQTFDSVGRWLNELHTHSDMNVVTILVGNKTDLEEAREVSTAEGKLLAEAQGLFFMETSALDSSNVKAAFETVVKEIYDILRRKVFQSQEHKKLESSSLGNGRTVVLQGGTGETDDKAARNWCCSSS >DRNTG_13487.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21778282:21779489:1 gene:DRNTG_13487 transcript:DRNTG_13487.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLLLRANQETDARHGGSIQHSPWPSTQESRVHLARAICIYSCSKKKAITIQGPSFTIEI >DRNTG_13487.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21778282:21778780:1 gene:DRNTG_13487 transcript:DRNTG_13487.3 gene_biotype:protein_coding transcript_biotype:protein_coding QLLLRANQETDARHGGSIQHSPWPSTQESRVHLARAICIYSCSKKKAITIQGPSFTIEI >DRNTG_21725.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:669407:671159:-1 gene:DRNTG_21725 transcript:DRNTG_21725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSILPVVTSNRTEKQTFGKEYLENLLKQHFGHSEFRGKQLEAIEAVLNGKDCFCLMPTGGGKSMCYQIPALAKPGIVLVVSPLIGEC >DRNTG_00059.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21743673:21750754:1 gene:DRNTG_00059 transcript:DRNTG_00059.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase PASTICCINO1 [Source:Projected from Arabidopsis thaliana (AT3G54010) UniProtKB/Swiss-Prot;Acc:Q7DMA9] MAVDEANKQEYVPKKKKPSEEDERRKKVTPGSLMKAIIRPGGGTEHPGEGDQVVIHCTTRTLDGVIVNSTRSEHGGKGNPVRYILGKSKMLLGFCEGLPTMLKGEVAMFKIKPNLHYAEDDCPLLAPDGFPKDDELHFEIEMLDFYKVKVVSEDLGILKKIINEGQGWESPREPYEVISWISAMTLHGEVILPCAKEPFHFTFGKSEVPKGLEMAIGTMTRGEKAAVFVSNAYLTESPLMNITEDLEGVQFEVELVHFIQVRDMLGDGRLIKRRIVDGRGEFPMDCPLQDSLLKVHYKGMLLNEEKTVFYDTRVDNDGQPLEFSSGEGLVPEGLEMCVRLMLPGEISVVTCPPDYAYDKFLRPPNVPEGAHVQWEIELLGFEMPKDWTGLNFQSIMDEADKIKSTGNRLFKEGKFELARAKYEKVLREYNHVNPQDDEEGKVFLNSRNSLHLNVAVCYQKLGEYRKSIETCNKVLDANPVHVKALYRRGMAYMLGGDFDEAKNDFEMMIKIDKSSEPDATAAILKLKQKEQAMEKKARKQFKGLFDKRPGEIAEVETGSTEEQCLDEEDDEQVKLGKQSQANDAANQDGNGEVRRAVEVDRKGLFSNLRPSVRGFFIALGFPKWSVVMLGMILLLATQLALVFFGQGGGFRLG >DRNTG_28564.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9899494:9906861:1 gene:DRNTG_28564 transcript:DRNTG_28564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAAWQRTANRCFVQEDAKRAPKLACCPSSSSPLQADSSNGNDANGTDHTASSFVPLNWNPMNSNLPPDTKWWLQLQPHFGYHKDITREQLKALEDELEAEGENAVPISELDEETLQDRSMDVDLKKTDCSLDSPWSMISTAYMKGDNDSKVEEIKAFDVKLQQPLKRKAEMEEYFLQDEEFMDWKSVDHIISKAKNCSDMRAPWTDGKSEPWWRITDKDELALLVAKKSSQPIENCDLPSPTLTVPIGTDPFACLESLDGQQIFSSSLGRKSHVGIYNAVELTQHQSASRKMDEKHWPPPDAGRLAYNTEKHSSGSQTYNTTKKDPPENNPNFGSNSSRAELLEALRHSQTRAREAEIAAKKAYEEKEHILKLLFRQASHLFAYKQWLNILQLESLCLQLKIKDHQISNIFPILPWMPLKGRPSSRNKSNKPAKGAGKRAKKCGICRYAVVFAVGLGLAGAGLLLGWTLGWLFPSF >DRNTG_28564.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9899494:9906789:1 gene:DRNTG_28564 transcript:DRNTG_28564.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAAWQRTANRCFVQEDAKRAPKLACCPSSSSPLQADSSNGNDANGTDHTASSFVPLNWNPMNSNLPPDTKWWLQLQPHFGYHKDITREQLKALEDELEAEGENAVPISELDEETLQDRSMDVDLKKTDCSLDSPWSMISTAYMKGDNDSKVEEIKAFDVKLQQPLKRKAEMEEYFLQDEEFMDWKSVDHIISKAKNCSDMRAPWTDGKSEPWWRITDKDELALLVAKKSSQPIENCDLPSPTLTVPIGTDPFACLESLDGQQIFSSSLGRKSHVGIYNAVELTQHQSASRKMDEKHWPPPDAGRLAYNTEKHSSGSQTYNTTKKDPPENNPNFGSNSSRAELLEALRHSQTRAREAEIAAKKAYEEKEHILKLLFRQASHLFAYKQWLNILQLESLCLQLKIKDHQISNIFPILPWMPLKGRPSSRNKSNKPAKGAGKRAKKCGICRYAVVFAVGLGLAGAGLLLGWTLGWLFPSF >DRNTG_28564.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9899494:9906718:1 gene:DRNTG_28564 transcript:DRNTG_28564.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAAWQRTANRCFVQEDAKRAPKLACCPSSSSPLQADSSNGNDANGTDHTASSFVPLNWNPMNSNLPPDTKWWLQLQPHFGYHKDITREQLKALEDELEAEGENAVPISELDEETLQDRSMDVDLKKTDCSLDSPWSMISTAYMKGDNDSKVEEIKAFDVKLQQPLKRKAEMEEYFLQDEEFMDWKSVDHIISKAKNCSDMRAPWTDGKSEPWWRITDKDELALLVAKKSSQPIENCDLPSPTLTVPIGTDPFACLESLDGQQIFSSSLGRKSHVGIYNAVELTQHQSASRKMDEKHWPPPDAGRLAYNTEKHSSGSQTYNTTKKDPPENNPNFGSNSSRAELLEALRHSQTRAREAEIAAKKAYEEKEHILKLLFRQASHLFAYKQWLNILQLESLCLQLKIKDHQISNIFPILPWMPLKGRPSSRNKSNKPAKGAGKRAKKCGICRYAVVFAVGLGLAGAGLLLGWTLGWLFPSF >DRNTG_28564.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9899494:9906718:1 gene:DRNTG_28564 transcript:DRNTG_28564.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAAWQRTANRCFVQEDAKRAPKLACCPSSSSPLQADSSNGNDANGTDHTASSFVPLNWNPMNSNLPPDTKWWLQLQPHFGYHKDITREQLKALEDELEAEGENAVPISELDEETLQDRSMDVDLKKTDCSLDSPWSMISTAYMKGDNDSKVEEIKAFDVKLQQPLKRKAEMEEYFLQDEEFMDWKSVDHIISKAKNCSDMRAPWTDGKSEPWWRITDKDELALLVAKKSSQPIENCDLPSPTLTVPIGTDPFACLESLDGQQIFSSSLGRKSHVGIYNAVELTQHQSASRKMDEKHWPPPDAGRLAYNTEKHSSGSQTYNTTKKDPPENNPNFGSNSSRAELLEALRHSQTRAREAEIAAKKAYEEKEHILKLLFRQASHLFAYKQWLNILQLESLCLQLKIKDHQISNIFPILPWMPLKGRPSSRNKSNKPAKGAGKRAKKCGICRYAVVFAVGLGLAGAGLLLGWTLGWLFPSF >DRNTG_31337.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4142193:4148656:-1 gene:DRNTG_31337 transcript:DRNTG_31337.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SK31 [Source:Projected from Arabidopsis thaliana (AT3G61140) UniProtKB/TrEMBL;Acc:A0A178VFN7] MDGEDEPMGSNGVEDTEKPTPKPAAALGGEHLDVEAYAGLYTGRTKITRLLFIADRCGNESLQLEALRMAYDEIRKSENTCLHREVAQKIAGRLGSDFLLDQAWADAVDRRAELKKEKLENELNGYRTNLIKESIRMGYNDFGDFYYSHGQLGDAFKSYVRTRDYCTTSKHITQMCLNVILVSIELGQFMHVSNYVSKAEQTPDSQDPVTVAKLKCALGLAFLETKKYKLAARKFLETGPELANNYTEVIAPQDVGTYGGLCALASFDRTELKNKVIDNINFRNFLELVPEIRELIHDFYASHYASCLGYLESLKHNLLLDIHLYDHVEILYTQIRHKAIIQYTHPFISVNLLTMADAFKTTVTGLEKELEALITENQIQARIDSHNKILYARHADQRNATFQRVLQTGEEFNRDAKAMLLRANLLKHEQNLKASRK >DRNTG_11508.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29501471:29506939:1 gene:DRNTG_11508 transcript:DRNTG_11508.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid:diacylglycerol acyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G13640) UniProtKB/Swiss-Prot;Acc:Q9FNA9] MLRRRKPEKKPEAVTPAPASVSVPAAATRPARWSCVDTCCWFVGWICFSWWVLLFLYHAAPASLTQQVTEAISGPMPDPPGALLRKEGVTARHPVVFVPGIVTGGLELWEGRPCAEGLFRKRLWGGTFGEVYKRPSCWLEHMSLDNETGLDPPGIRIRAVSGLVAADYFAAGYFVWAVLIANLAHIGYEEKTMYMASYDWRLSFQNTEVRDQTLSRIKSNIELMVATNGGKKAVVIPHSMGVLYFLHFMKWVEAPAPMGGGGGSDWCARHIKAVMNIGGPLLGVPKAIAGLFSAEAKDVAVAREKAPGVLDSDFFGLQTLPHIMRLSRTWDSTMSMIPKGGDTIWGGLDWSPEEGYDCSDNKKSENVPHASEEFRVGVDDIHISNANYGRIISFGKDVAEPPSSNIKRIDFRDAVKGNNFAHSNNSCHDVWTEYHEMGREGIQAVADYKSYTTSDVLDLLHFVAPKMMKRGGAHFSYGIADDLDDPKYKHYKYWSNPLETKLPNAPDMEIYALYGVGIPTERAYVYKLAQPAECYIPFQIDITADGVSKNSCLKDGVYNVNGDETVPVLSAGFMSAKAWRGKTRFNPSNIKQYIREYNHAPPANFLEGRGTQSGAHVDIMGNFALIEDILRVAAGATGEELGGDRVYSDIFTWSKKIDLRL >DRNTG_29228.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3270293:3273932:-1 gene:DRNTG_29228 transcript:DRNTG_29228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTGPKETQNHDNNNQKVYPQPVEESMSMNQKKDSIEALILQIFNNISSLKTAYIQLQDAHTPYDPEKIQAADKLVIQELMKLSELKHSYRESNPKPASSSPQDSRLATEIQEQQNLLKTYEVMVKKFQTQIQTKDSEIVELQQQIQESSQRKLKLEKKLKQRGLLNKDQEDSEEENNFFSIELTPNLFCSAVDAAYKSIHDFSKPLINMMKAAGWDLDAAANSIEPAVIYAKRAHKKYAFESHICQRIFSGFHEESFSVEAGDLTVSNEGFFHQFLAVRAMDPLDILSQNPDSVFGKFCRSKYLLIVHSKMENSFFGNLDQRNYVLSGGHPRTPFYQAFLKLAKSIWMLHRLAYSFDPTVKVFQVKNGAEFSEVYMESVVKNIVLGEGDQKPKVGLMVMPGFMIGANVIQSQVYLSGAKCVD >DRNTG_35412.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1535610:1537573:-1 gene:DRNTG_35412 transcript:DRNTG_35412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQWLALILALLLIIIHLLTKDRKQNKRLPPGSMGIPIIGQSLSLLRAMRTNTGERWIEDRINKYGSISKLSLFGQPTVFLTGPAANKFIFSNDSLALKQPQSFTRIIGSRNMTEFVGADHKRLRGAVSYFLKPEILRKYVGKLDEEIRQHFRTNWFGHQQVKVSPSMKRLTFNAMCATIFGIKQGTTRELLVNEFKYMMAGMWTVPINLPFTRFHNSLKGREKVGKILTRIIEEKRSALQKGQCSSEDEDLITYLLSLGTDNDETFTEEEILDNAVLLMIAGHDTTAIVLTFICRHLANDAVTYAAVLNEQEEIAKSKAPGEALTWDDLLKMKYTWRVAQEILRTIPPAFFGFRRVIKDVEFGWLSHSKRMASVLDYKRNTHGWKYLQRTRKVRSVTLREAIGDSTILFCSVWSRAKDMCRL >DRNTG_08119.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11818015:11823024:1 gene:DRNTG_08119 transcript:DRNTG_08119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLNPGNLTGNSCRRYSNHRLPQRRQVHEHGKKIAVILNEFGPKIGVERAMINEGENGALVEELDEHANGLDHILLQMTRLADPAPMVSILWLDDQLESSVKLDSIITVSFLHHIDLFFMLPLSFHSSSHLQDVIILNKVGLVNQEDCGSAVSGVIEDLVKKVHNINSPATIIRSVRCQVDLDKLLGCQAYGTNLARLESLLEDSKFVSAKSRHDHSVQTLCFYEQEPVDLTKVFFPSSFNALILCTLDLTKAVREVYKVLPARKWLQIEKQLNKIVVIGKARTQKSYCFDHILIVIESPATLCSCCFSGRDLNEEILTHTFRACTILDVYAVLGGFNLTLLDYLNGGYARRRGICACAVTFIVRGLSVINAVARAYSENLPIMFIAGGPNTND >DRNTG_23934.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1083325:1089631:-1 gene:DRNTG_23934 transcript:DRNTG_23934.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate phosphatase PAH1 [Source:Projected from Arabidopsis thaliana (AT3G09560) UniProtKB/Swiss-Prot;Acc:Q9SF47] MNVVGKVGSLISQGVYSVATPFHPFGGAVDIIVVEQEDGTYRSTPWYVRFGKFQGVLKGSEKVVTITVNGVEANFNMCLENSGEAYFMREVIPGSKYEKNEQDLNNLGYVEGDELGRNGDGNHHSFDESEEATDNNEHNLQDDELSQENGGEAADYGSYHYGSLVDVESVVDASEDTNSEMVLVSVDGHVLTAPISSNMENTDNVQLSTPQFHLGPGEGSGDEFRSNRDAWDDGLCCDIDVSSPKNSNYSDYQFESFKDTRVVSISETEKDVSITRNADAGSMEMILDMNRDDKFQSCLDLTSHGQEIDSEDVGSPSLGSETKDSFVHSPRISSFSDQALEEGKRDSPNFRSGSPLQLPDVGLPCDEKTLSVGIDIAVSETNVCGDSNSNENQLHVIQHLEDSELPTCNGPSERKHKVKDSDVANGNPDIGCASVNSTSEDPEENDQGAENINGVPFIVEEYSKTSESDKIISGLGLEISMCGNLLHSGMGQKSAEEVFEAHRISKEQFEASGATFVKNGNLVVRWKDRYVLWEKSAHIVLGMAVFGSEFVVDPKDSIPVEKDDVSKGREDAAPVTPSVSRRWRLWPIPFRRVKSIHHSDDGSSNEDLFLDSESGLQEHAEQSPTNHNSPTHSKQLLRTKIPTTEQIASLNLKDGQNMIAFSFSTRVLGKQQVDAHIYLWKWNARIVISDVDGTITKSDVLGQFMPLVGRDWTQSGVARLFSAIRENGYQLLFLSARAIVQAYLTRSFLLNLKQDGKALPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIRALFPSDYNPFYAGFGNRDTDELSYRKIGIPKGKIFIINPKGEVAINHCIDVKSYTSLHTLVNDMFPPTSLVEQEDFNSWNYWKMPLPNIDC >DRNTG_23934.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1083325:1089631:-1 gene:DRNTG_23934 transcript:DRNTG_23934.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate phosphatase PAH1 [Source:Projected from Arabidopsis thaliana (AT3G09560) UniProtKB/Swiss-Prot;Acc:Q9SF47] MNVVGKVGSLISQGVYSVATPFHPFGGAVDIIVVEQEDGTYRSTPWYVRFGKFQGVLKGSEKVVTITVNGVEANFNMCLENSGEAYFMREVIPGSKYEKNEQDLNNLGYVEGDELGRNGDGNHHSFDESEEATDNNEHNLQDDELSQENGGEAADYGSYHYGSLVDVESVVDASEDTNSEMVLVSVDGHVLTAPISSNMENTDNVQLSTPQFHLGPGEGSGDEFRSNRDAWDDGLCCDIDVSSPKNSNYSDYQFESFKDTRVVSISETEKDVSITRNADAGSMEMILDMNRDDKFQSCLDLTSHGQEIDSEDVGSPSLGSETKDSFVHSPRISSFSDQALEEGKRDSPNFRSGSPLQLPDVGLPCDEKTLSVGIDIAVSETNVCGDSNSNENQLHVIQHLEDSELPTCNGPSERKHKVKDSDVANGNPDIGCASVNSTSEDPEENDQGAENINGVPFIVEEYSKTSESDKIISGLGLEISMCGNLLHSGMGQKSAEEVFEAHRISKEQFEASGATFVKNGNLVVRWKDRYVLWEKSAHIVLGMAVFGSEFVVDPKDSIPVEKDDVSKGREDAAPVTPSVSRRWRLWPIPFRRVKSIHHSDDGSSNEDLFLDSESGLQEHAEQSPTNHNSPTHSKQLLRTKIPTTEQIASLNLKDGQNMIAFSFSTRVLGKQQVDAHIYLWKWNARIVISDVDGTITKSDVLGQFMPLVGRDWTQSGVARLFSAIRENGYQLLFLSARAIVQAYLTRSFLLNLKQDGKALPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIRALFPSDYNPFYAGFGNRDTDELSYRKIGIPKGKIFIINPKGEVAINHCIDVKSYTSLHTLVNDMFPPTSLVEQEDFNSWNYWKMPLPNIDC >DRNTG_23934.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1083325:1089631:-1 gene:DRNTG_23934 transcript:DRNTG_23934.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate phosphatase PAH1 [Source:Projected from Arabidopsis thaliana (AT3G09560) UniProtKB/Swiss-Prot;Acc:Q9SF47] MNVVGKVGSLISQGVYSVATPFHPFGGAVDIIVVEQEDGTYRSTPWYVRFGKFQGVLKGSEKVVTITVNGVEANFNMCLENSGEAYFMREVIPGSKYEKNEQDLNNLGYVEGDELGRNGDGNHHSFDESEEATDNNEHNLQDDELSQENGGEAADYGSYHYGSLVDVESVVDASEDTNSEMVLVSVDGHVLTAPISSNMENTDNVQLSTPQFHLGPGEGSGDEFRSNRDAWDDGLCCDIDVSSPKNSNYSDYQFESFKDTRVVSISETEKDVSITRNADAGSMEMILDMNRDDKFQSCLDLTSHGQEIDSEDVGSPSLGSETKDSFVHSPRISSFSDQALEEGKRDSPNFRSGSPLQLPDVGLPCDEKTLSVGIDIAVSETNVCGDSNSNENQLHVIQHLEDSELPTCNGPSERKHKVKDSDVANGNPDIGCASVNSTSEDPEENDQGAENINGVPFIVEEYSKTSESDKIISGLGLEISMCGNLLHSGMGQKSAEEVFEAHRISKEQFEASGATFVKNGNLVVRWKDRYVLWEKSAHIVLGMAVFGSEFVVDPKDSIPVEKDDVSKGREDAAPVTPSVSRRWRLWPIPFRRVKSIHHSDDGSSNEDLFLDSESGLQEHAEQSPTNHNSPTHSKQLLRTKIPTTEQIASLNLKDGQNMIAFSFSTRVLGKQQVDAHIYLWKWNARIVISDVDGTITKSDVLGQFMPLVGRDWTQSGVARLFSAIRENGYQLLFLSARAIVQAYLTRSFLLNLKQDGKALPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIRALFPSDYNPFYAGFGNRDTDELSYRKIGIPKGKIFIINPKGEVAINHCIDVKSYTSLHTLVNDMFPPTSLVEQEDFNSWNYWKMPLPNIDC >DRNTG_21870.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19227269:19228671:-1 gene:DRNTG_21870 transcript:DRNTG_21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMGEGRHTNPTIHVPPWPMFDDPTDGVGFNHALAGEGFLLGDSTLAALQRYLPSNDAFDDDDDIDAPLDAYSCDEFRMYEFKVRRCARGRSHDWTECPYAHPGEKARRRDPRKYHYSGTACPEFRKGSCKRGDACEYAHGVFECWLHPARYRTQPCKDGTACRRRVCFFAHTPEQLRVLPQSQVPSPKTPVDSYDGSPLRHHVLDAYFPKNIFSSSPTSTLTSPPLSPPSDSPPMSPSAPSLRRAPLGGSVNEVLASLRQLQLSKAKSSSQLLGFPSGRRMLIRLSKISNIYSDELHHQRRRQLARPMGTRR >DRNTG_06360.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24377736:24385252:-1 gene:DRNTG_06360 transcript:DRNTG_06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRREQRTCIHLALCELTRVLRPRRNPVGGLERVLTRLEIIAGANLWISPPKPKQARVTVSPRFTKISNLQLKEKWLDSLSMSPLGGDEGVICASEPQWVLGVDPDVSAAVALLKPDGSVSSAQVFDTPNVKVLVGKRVRNCLDARSIVQLLQTFGVPHGMKLESVRVPDAWWKFLGSCPCVDYCALCFARPWKAVAQGNCKFENSRKAIAYIVCHLVFNFLIRKSTHDTWLHYRGTRAYIESQIPSRKMESSCVYCCLFHYFMHGWWSGGYTYGLWIGVLVTSGFSVVPVTSHEWKNHYELSQSSSSKDDSREVSSALFPSLIHQLKRKKDHGIYYQQIPPKLVYIVELKLFLLRHTEEALYNNWTKLILMVPIYLLTCSIH >DRNTG_08208.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14563:15748:1 gene:DRNTG_08208 transcript:DRNTG_08208.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAQAALAKIIAIISTVVTPSQLDSGADPDAPLPSRNRGLGFDLNLRLRSCPEDDDDDDDDDFRSAKDGGGYGVTCDDSAPAESAVVSSSEKKGSISLNESEAGDALTVEKSSQGECDPDEAEGETRKAMEGRAKEYSEITNIPAEPEEQPYRREEGYLDLLLEAVRHVSAGVHTDEPDEKETETSKSSKRRGPCCTALELYEDCGPVVRSKRGEESSTAVEVSRLDPGAMAEDAHHHSVWQGGQTMNR >DRNTG_08208.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14483:15479:1 gene:DRNTG_08208 transcript:DRNTG_08208.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAQAALAKIIAIISTVVTPSQLDSGADPDAPLPSRNRGLGFDLNLRLRSCPEDDDDDDDDDFRSAKDGGGYGVTCDDSAPAESAVVSSSEKKGSISLNESEAGDALTVEKSSQGECDPDEAEGETRKAMEGRAKEYSEITNIPAEPEEQPYRREEGYLDLLLEAVRHVSAGVHTDEPDEKETETSKSSKRRGPCCTALELYEDCGPVVRSKRGEESSTAVEVSRLDPGAMAEDAHHHSVWQGGQTMNR >DRNTG_08208.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14380:15748:1 gene:DRNTG_08208 transcript:DRNTG_08208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAQAALAKIIAIISTVVTPSQLDSGADPDAPLPSRNRGLGFDLNLRLRSCPEDDDDDDDDDFRSAKDGGGYGVTCDDSAPAESAVVSSSEKKGSISLNESEAGDALTVEKSSQGECDPDEAEGETRKAMEGRAKEYSEITNIPAEPEEQPYRREEGYLDLLLEAVRHVSAGVHTDEPDEKETETSKSSKRRGPCCTALELYEDCGPVVRSKRGEESSTAVEVSRLDPGAMAEDAHHHSVWQGGQTMNR >DRNTG_08208.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14380:15479:1 gene:DRNTG_08208 transcript:DRNTG_08208.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAQAALAKIIAIISTVVTPSQLDSGADPDAPLPSRNRGLGFDLNLRLRSCPEDDDDDDDDDFRSAKDGGGYGVTCDDSAPAESAVVSSSEKKGSISLNESEAGDALTVEKSSQGECDPDEAEGETRKAMEGRAKEYSEITNIPAEPEEQPYRREEGYLDLLLEAVRHVSAGVHTDEPDEKETETSKSSKRRGPCCTALELYEDCGPVVRSKRGEESSTAVEVSRLDPGAMAEDAHHHSVWQGGQTMNR >DRNTG_08208.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14563:15479:1 gene:DRNTG_08208 transcript:DRNTG_08208.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAQAALAKIIAIISTVVTPSQLDSGADPDAPLPSRNRGLGFDLNLRLRSCPEDDDDDDDDDFRSAKDGGGYGVTCDDSAPAESAVVSSSEKKGSISLNESEAGDALTVEKSSQGECDPDEAEGETRKAMEGRAKEYSEITNIPAEPEEQPYRREEGYLDLLLEAVRHVSAGVHTDEPDEKETETSKSSKRRGPCCTALELYEDCGPVVRSKRGEESSTAVEVSRLDPGAMAEDAHHHSVWQGGQTMNR >DRNTG_08208.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14483:15748:1 gene:DRNTG_08208 transcript:DRNTG_08208.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAQAALAKIIAIISTVVTPSQLDSGADPDAPLPSRNRGLGFDLNLRLRSCPEDDDDDDDDDFRSAKDGGGYGVTCDDSAPAESAVVSSSEKKGSISLNESEAGDALTVEKSSQGECDPDEAEGETRKAMEGRAKEYSEITNIPAEPEEQPYRREEGYLDLLLEAVRHVSAGVHTDEPDEKETETSKSSKRRGPCCTALELYEDCGPVVRSKRGEESSTAVEVSRLDPGAMAEDAHHHSVWQGGQTMNR >DRNTG_20558.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3519146:3521647:1 gene:DRNTG_20558 transcript:DRNTG_20558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNEVNANESKMAVPLNTWVLISNFKLAYNMLRRPDGTFNRHLAEFLDRKVQANATPVNGVLSFDQLIDRSTNLLVRIYRPTPIPDTEDPQTSPSPATSSIFSSLISCPSPDPFPVIIFFHGGSFAHSSANTAIYDSLCRRFVTLCNAVVVSVNYRRSPEYRYPCAYDDGFTALKWACAQPWLHSGKDSKLRVFLSGDSSGGNIAHHVAVQAIESGITISGNILLNPMFGGQARTESEERLDGKYFVTLQDRDWYWKAFLPEGADRDHPASNPFGPNGIDLRGLPYPKSLVVIAGLDLIQDWQLAFVEGLKKADQDVKLVYREQATIGFYLLPNTDHFHEVMEEIKCFVSSNC >DRNTG_10907.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17602462:17603751:-1 gene:DRNTG_10907 transcript:DRNTG_10907.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY69 [Source:Projected from Arabidopsis thaliana (AT3G58710) UniProtKB/TrEMBL;Acc:A0A178V7K8] MHQQKTLNSHHLSTTMDTRHTTQKEQKSKKSIRKVIQKRVVSVPISEGDGIRSRIGGDGAPSFDTWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPSMLVVTYSAEHNHPWPLPKHQHQHDHRKQEQKTNKQFPPLMPKVEEEVKKAEEIVDQEEDKLVELIEGESSLWLPEMLTSHAGVYDPVCSIATDMAGLSPEEWERFGGGASKGGSLEEEEELMYADLGELPECSMVFSRSSFLECTG >DRNTG_10907.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17602531:17604044:-1 gene:DRNTG_10907 transcript:DRNTG_10907.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY69 [Source:Projected from Arabidopsis thaliana (AT3G58710) UniProtKB/TrEMBL;Acc:A0A178V7K8] MHQQKTLNSHHLSTTMDTRHTTQKEQKSKKSIRKVIQKRVVSVPISEGDGIRSRIGGDGAPSFDTWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPSMLVVTYSAEHNHPWPLPKHQHQHDHRKQEQKTNKQFPPLMPKVEEEVKKAEEIVDQEEDKLVELIEGESSLWLPEMLTSHAGVYDPVCSIATDMAGLSPEEWERFGGGASKGGSLEEEEELMYADLGELPECSMVFSRSSFLECTG >DRNTG_10907.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17602242:17603751:-1 gene:DRNTG_10907 transcript:DRNTG_10907.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY69 [Source:Projected from Arabidopsis thaliana (AT3G58710) UniProtKB/TrEMBL;Acc:A0A178V7K8] MHQQKTLNSHHLSTTMDTRHTTQKEQKSKKSIRKVIQKRVVSVPISEGDGIRSRIGGDGAPSFDTWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPSMLVVTYSAEHNHPWPLPKHQHQHDHRKQEQKTNKQFPPLMPKVEEEVKKAEEIVDQEEDKLVELIEGESSLWLPEMLTSHAGVYDPVCSIATDMAGLSPEEWERFGGGASKGGSLEEEEELMYADLGELPECSMVFSRSSFLECTG >DRNTG_10907.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17602531:17603861:-1 gene:DRNTG_10907 transcript:DRNTG_10907.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY69 [Source:Projected from Arabidopsis thaliana (AT3G58710) UniProtKB/TrEMBL;Acc:A0A178V7K8] MHQQKTLNSHHLSTTMDTRHTTQKEQKSKKSIRKVIQKRVVSVPISEGDGIRSRIGGDGAPSFDTWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPSMLVVTYSAEHNHPWPLPKHQHQHDHRKQEQKTNKQFPPLMPKVEEEVKKAEEIVDQEEDKLVELIEGESSLWLPEMLTSHAGVYDPVCSIATDMAGLSPEEWERFGGGASKGGSLEEEEELMYADLGELPECSMVFSRSSFLECTG >DRNTG_10907.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17602242:17603929:-1 gene:DRNTG_10907 transcript:DRNTG_10907.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY69 [Source:Projected from Arabidopsis thaliana (AT3G58710) UniProtKB/TrEMBL;Acc:A0A178V7K8] MHQQKTLNSHHLSTTMDTRHTTQKEQKSKKSIRKVIQKRVVSVPISEGDGIRSRIGGDGAPSFDTWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPSMLVVTYSAEHNHPWPLPKHQHQHDHRKQEQKTNKQFPPLMPKVEEEVKKAEEIVDQEEDKLVELIEGESSLWLPEMLTSHAGVYDPVCSIATDMAGLSPEEWERFGGGASKGGSLEEEEELMYADLGELPECSMVFSRSSFLECTG >DRNTG_10907.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17602242:17603861:-1 gene:DRNTG_10907 transcript:DRNTG_10907.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY69 [Source:Projected from Arabidopsis thaliana (AT3G58710) UniProtKB/TrEMBL;Acc:A0A178V7K8] MHQQKTLNSHHLSTTMDTRHTTQKEQKSKKSIRKVIQKRVVSVPISEGDGIRSRIGGDGAPSFDTWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPSMLVVTYSAEHNHPWPLPKHQHQHDHRKQEQKTNKQFPPLMPKVEEEVKKAEEIVDQEEDKLVELIEGESSLWLPEMLTSHAGVYDPVCSIATDMAGLSPEEWERFGGGASKGGSLEEEEELMYADLGELPECSMVFSRSSFLECTG >DRNTG_10907.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17602531:17603929:-1 gene:DRNTG_10907 transcript:DRNTG_10907.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY69 [Source:Projected from Arabidopsis thaliana (AT3G58710) UniProtKB/TrEMBL;Acc:A0A178V7K8] MHQQKTLNSHHLSTTMDTRHTTQKEQKSKKSIRKVIQKRVVSVPISEGDGIRSRIGGDGAPSFDTWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPSMLVVTYSAEHNHPWPLPKHQHQHDHRKQEQKTNKQFPPLMPKVEEEVKKAEEIVDQEEDKLVELIEGESSLWLPEMLTSHAGVYDPVCSIATDMAGLSPEEWERFGGGASKGGSLEEEEELMYADLGELPECSMVFSRSSFLECTG >DRNTG_10907.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17602462:17603861:-1 gene:DRNTG_10907 transcript:DRNTG_10907.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY69 [Source:Projected from Arabidopsis thaliana (AT3G58710) UniProtKB/TrEMBL;Acc:A0A178V7K8] MHQQKTLNSHHLSTTMDTRHTTQKEQKSKKSIRKVIQKRVVSVPISEGDGIRSRIGGDGAPSFDTWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPSMLVVTYSAEHNHPWPLPKHQHQHDHRKQEQKTNKQFPPLMPKVEEEVKKAEEIVDQEEDKLVELIEGESSLWLPEMLTSHAGVYDPVCSIATDMAGLSPEEWERFGGGASKGGSLEEEEELMYADLGELPECSMVFSRSSFLECTG >DRNTG_10907.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17602531:17603751:-1 gene:DRNTG_10907 transcript:DRNTG_10907.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY69 [Source:Projected from Arabidopsis thaliana (AT3G58710) UniProtKB/TrEMBL;Acc:A0A178V7K8] MHQQKTLNSHHLSTTMDTRHTTQKEQKSKKSIRKVIQKRVVSVPISEGDGIRSRIGGDGAPSFDTWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPSMLVVTYSAEHNHPWPLPKHQHQHDHRKQEQKTNKQFPPLMPKVEEEVKKAEEIVDQEEDKLVELIEGESSLWLPEMLTSHAGVYDPVCSIATDMAGLSPEEWERFGGGASKGGSLEEEEELMYADLGELPECSMVFSRSSFLECTG >DRNTG_27158.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:669849:670706:1 gene:DRNTG_27158 transcript:DRNTG_27158.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSAO [Source:Projected from Arabidopsis thaliana (AT1G08380) UniProtKB/TrEMBL;Acc:A0A178W5Y5] MAAMATSSIAGLGLPSLSSSHKPSSLSSGFIKSQRAARNPLSQKVTSGGRFTCFERDWLRTDLNVIGFGLIGWLAPSSIPAINGNSLTGLFFQSIGEELAHWPTGPALTSPFWLWMITWHIGLFLCLTFGQIGFKGRSEEYF >DRNTG_13185.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000600.1:20527:21927:1 gene:DRNTG_13185 transcript:DRNTG_13185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGVYNVEKDMGNLIKATELRLGLPGSEEEIEKPIVNRKSKRALPEGDEESEYKRSSSEEDLSPVTGHRETEPVSKAQVVGWPPVRSYRKNSLKAMKDCESGGLYVKVSMDGAPYLRKIDLKVYKGYKELKQALEHMFKCFSLGEVSSETEEYNPYEYAITYEDKDGDWMLVGDVPWGMFINSCKRLRIMKGSEARGLTSNA >DRNTG_33062.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31517301:31518033:1 gene:DRNTG_33062 transcript:DRNTG_33062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQRPLYLLRLHCSKNTAKDLIFNLKDAGQKIQLNSADQARHTKEMDNHVARWSLHGTKALVTGGTKGIGYGTLLIP >DRNTG_21738.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13670488:13692827:1 gene:DRNTG_21738 transcript:DRNTG_21738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAGNPFQENHFIQDSYNRTTPAASPKENISSLQDELHGKSNWSNLLNPVLHPWLRRELIKYGDFAQATYDGFDYNPLSEYHGSALYNKNRLFEKLGLTSSGYNITKYIYAMSNIELPRWIEHSLHANTWSKDSNWMGFVAVSDDTESRRIGCRDIVVAWRGTVATTEWFENAHLKLESIKDVDEEDQGDAKVEHGFLSIYKSKSVTTRYNKSSASEQVITEIQRLVAHYRSKGEQVRLTVTGHSLGGALALLNAHEAASTIADLPVSVITFAGPRVGNDAFGDQLRNLNVKVLRVVVKQDVVPKLPGVLLNERLEKLEGIIGELQWVYEHVGMELRLDVKSSPYLKHHGFDLAGFHGLETYLHLVDGYVSEEDEYRRNARRDVALVNKYGGMLLEELRVPESWYQLENKGMVRNAYGRWVMPDREPEDIPSPFRDCRPLAIHESFLLIWTCWDVAIFGKPSLVGAINGMITGLVCITPTARLVQSWAVIVMGIVSGSVPWYTMMYLNKKV >DRNTG_18671.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000968.1:40861:41665:-1 gene:DRNTG_18671 transcript:DRNTG_18671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAGGGNDFRDVIANSQENVRDVHHGNANEKDGYENRLEEDSEKDDDDNENEDSCEDDDDDDD >DRNTG_24007.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001286.1:1507:3818:1 gene:DRNTG_24007 transcript:DRNTG_24007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLCSFGRIFLVRPAAAIPLRFYCASSPSNSKLFVGGLSWTVDEKSLHDAFSSFGEVTEVRIMYDKGSGRSRGFGFVYFATAYEAKCAKEAMDGKAFLGRPLRISFALEKVRGAPVVVPRLLNSEESRN >DRNTG_02810.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13053264:13060735:-1 gene:DRNTG_02810 transcript:DRNTG_02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPTFLAHVRLQQSWGIFGGIFEPSSFFGAPFSRFPGNILDGRVNGLVESPLLVVQGFRRPNLSKTLANTPRNPSRSPLTSWGKDREKNTKIMAESALNRAGIGQLHGRGRATTVIAILLLQCSATVFDLNNFPIPYFHRDGMLECACLCVHPNGCTLSNARRLAHTLASHTYPMSSRLNLSKISSKIVSANDALATKVDVLSRKLDMLMGSSTKSESVMSCSTSGGGHGVAQCPIASSSVASIENVDYIGGQRPQGNPYSSTYNPGWKYHPNISWNQGQQQKATPPPQGSLPSNTEENPREHLKAIALRSGKQVETRVGVDPSVKEARVAEVEAPNIVEKVIEKSKQILSLNPLDEYLGELENEDQEEPHSPLPSSNLKWPMEKVMCTNAKEKENKDSMLKKIWREVRGKKKKGSTHSHQIPQEKKKPYGFNELLIEVLKKFQKVYTTSHGLNELLIEVLKTIQQLFSTSHDLNELLIEVLKKFQQHYTRAYGLNELLIEAV >DRNTG_23215.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10181578:10182465:-1 gene:DRNTG_23215 transcript:DRNTG_23215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIPNPKEVNGVKVLQLETAAGATIRFFNHAIGINVPCSHFLPVKVTSDLLLVQLDLYTH >DRNTG_03893.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18903061:18904311:1 gene:DRNTG_03893 transcript:DRNTG_03893.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKFLNITRNSDGTLDRSSSLASLTTPNSSLSKDLPLNLSLHTSIRIFHPNPNPNSNPNSLLPIILYFHGGGFILFSSSSTFFHNSCTTLATQIPALIISVDYRLAPEHRLPAAYEDAMDALLWLKSQSQNPTHPWLSSGIDFSRCFLMGSSCGANIAYQAGLRTTDMDLEPLKIAGIIMNQPYFGGIERTESEKMMVDDKVVPLAANDLMWELALPEGMDRDHEYSNPGKGDVVRMRKLGRCLVRGYLGDPLVDRAREIGRMLEKEGVRVDLKVDLEGHHGIELLKEEKLEELAEDIRKFVSACGLASL >DRNTG_03893.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18903192:18904433:1 gene:DRNTG_03893 transcript:DRNTG_03893.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKFLNITRNSDGTLDRSSSLASLTTPNSSLSKDLPLNLSLHTSIRIFHPNPNPNSNPNSLLPIILYFHGGGFILFSSSSTFFHNSCTTLATQIPALIISVDYRLAPEHRLPAAYEDAMDALLWLKSQSQNPTHPWLSSGIDFSRCFLMGSSCGANIAYQAGLRTTDMDLEPLKIAGIIMNQPYFGGIERTESEKMMVDDKVVPLAANDLMWELALPEGMDRDHEYSNPGKGDVVRMRKLGRCLVRGYLGDPLVDRAREIGRMLEKEGVRVDLKVDLEGHHGIELLKEEKLEELAEDIRKFVSACGLASL >DRNTG_03893.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18903192:18904311:1 gene:DRNTG_03893 transcript:DRNTG_03893.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKFLNITRNSDGTLDRSSSLASLTTPNSSLSKDLPLNLSLHTSIRIFHPNPNPNSNPNSLLPIILYFHGGGFILFSSSSTFFHNSCTTLATQIPALIISVDYRLAPEHRLPAAYEDAMDALLWLKSQSQNPTHPWLSSGIDFSRCFLMGSSCGANIAYQAGLRTTDMDLEPLKIAGIIMNQPYFGGIERTESEKMMVDDKVVPLAANDLMWELALPEGMDRDHEYSNPGKGDVVRMRKLGRCLVRGYLGDPLVDRAREIGRMLEKEGVRVDLKVDLEGHHGIELLKEEKLEELAEDIRKFVSACGLASL >DRNTG_04893.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11443593:11485191:-1 gene:DRNTG_04893 transcript:DRNTG_04893.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-N-acetylglucosaminidase [Source:Projected from Arabidopsis thaliana (AT5G13690) UniProtKB/Swiss-Prot;Acc:Q9FNA3] MSKGDNNAVWLMQGWLFSSDATFWKPAQMKALLHSVPIGKMIVLDLFADVKPIWKKSSQFYGVPYVWCMLHNFGGNIEMYGILDAISSGPIDARVSKNSTMVGVGMCMEGIEHNPVVYELMSEMAFRSQRVLVEGWLKSYSYRRYGQANTKMEEAWKILYSTIYNCTDGIADHNKDFIVEFPDYGPYFGSPQISKEDPFHKLHVKKRRFAFREINSDRPHPHLWYSTEEVIGALKLFLDAGNDISGCHTYRYDLVDLTRQVLSKLANEVYLDVMKAYKKHDADGLLIQSQKFLELIEDIDTLLGSDDNFLLGTWLESAKALALSEKDRKLFEWNARTQITMWYDTAKFNQSKLHDYANKFWSGLLKSYYLPRASMYFSYLSTSLKKNTYFPLETWRREWISYSNSWQAGQELYTVKAKGNALAIAKTLFSKYIG >DRNTG_04893.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11493026:11502926:-1 gene:DRNTG_04893 transcript:DRNTG_04893.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-N-acetylglucosaminidase [Source:Projected from Arabidopsis thaliana (AT5G13690) UniProtKB/Swiss-Prot;Acc:Q9FNA3] MAISLPLLLLLSSFLLLFLLPVRSSPSSDAMEELLRRVESQRSPTSVQEAAAKALLERLLPSHVSSFSFKIVGKDVCGVSSCFRISNIKNSSSNGPEIMIEGTTAVEVAAGFHWYLKYWCGVHVSWDKTGGSQVGSVPQPGSLPPVEDEGINIKRPVPWNYYQNVVTSSYSFVWWDWKRWEREIDWMALQGINLPLAFTGQEAIWKKVFKDFNVSSEDLNNFFGGPAFLAWARMGNLHGWGGPLSEDWLDQQLILQKQILTRMIQLGMTPVLPSFSGNVPAAFKDLFPSASITRLGDW >DRNTG_04893.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11443593:11471044:-1 gene:DRNTG_04893 transcript:DRNTG_04893.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-N-acetylglucosaminidase [Source:Projected from Arabidopsis thaliana (AT5G13690) UniProtKB/Swiss-Prot;Acc:Q9FNA3] MKALLHSVPIGKMIVLDLFADVKPIWKKSSQFYGVPYVWCMLHNFGGNIEMYGILDAISSGPIDARVSKNSTMVGVGMCMEGIEHNPVVYELMSEMAFRSQRVLVEGWLKSYSYRRYGQANTKMEEAWKILYSTIYNCTDGIADHNKDFIVEFPDYGPYFGSPQISKEDPFHKLHVKKRRFAFREINSDRPHPHLWYSTEEVIGALKLFLDAGNDISGCHTYRYDLVDLTRQVLSKLANEVYLDVMKAYKKHDADGLLIQSQKFLELIEDIDTLLGSDDNFLLGTWLESAKALALSEKDRKLFEWNARTQITMWYDTAKFNQSKLHDYANKFWSGLLKSYYLPRASMYFSYLSTSLKKNTYFPLETWRREWISYSNSWQAGQELYTVKAKGNALAIAKTLFSKYIG >DRNTG_04893.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11443593:11502926:-1 gene:DRNTG_04893 transcript:DRNTG_04893.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-N-acetylglucosaminidase [Source:Projected from Arabidopsis thaliana (AT5G13690) UniProtKB/Swiss-Prot;Acc:Q9FNA3] MAISLPLLLLLSSFLLLFLLPVRSSPSSDAMEELLRRVESQRSPTSVQEAAAKALLERLLPSHVSSFSFKIVGKDVCGVSSCFRISNIKNSSSNGPEIMIEGTTAVEVAAGFHWYLKYWCGVHVSWDKTGGSQVGSVPQPGSLPPVEDEGINIKRPVPWNYYQNVVTSSYSFVWWDWKRWEREIDWMALQGINLPLAFTGQEAIWKKVFKDFNVSSEDLNNFFGGPAFLAWARMGNLHGWGGPLSEDWLDQQLILQKQILTRMIQLGMTPVLPSFSGNVPAAFKDLFPSASITRLGDWNTVSGDPRWCCTYLLDPSDSLFVEVGEAFIKQQIKEYGDITDIYNCDTFNENSPPTNDPAYISSLGAAVYEAMSKGDNNAVWLMQGWLFSSDATFWKPAQMKALLHSVPIGKMIVLDLFADVKPIWKKSSQFYGVPYVWCMLHNFGGNIEMYGILDAISSGPIDARVSKNSTMVGVGMCMEGIEHNPVVYELMSEMAFRSQRVLVEGWLKSYSYRRYGQANTKMEEAWKILYSTIYNCTDGIADHNKDFIVEFPDYGPYFGSPQISKEDPFHKLHVKKRRFAFREINSDRPHPHLWYSTEEVIGALKLFLDAGNDISGCHTYRYDLVDLTRQVLSKLANEVYLDVMKAYKKHDADGLLIQSQKFLELIEDIDTLLGSDDNFLLGTWLESAKALALSEKDRKLFEWNARTQITMWYDTAKFNQSKLHDYANKFWSGLLKSYYLPRASMYFSYLSTSLKKNTYFPLETWRREWISYSNSWQAGQELYTVKAKGNALAIAKTLFSKYIG >DRNTG_04893.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11443593:11492702:-1 gene:DRNTG_04893 transcript:DRNTG_04893.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-N-acetylglucosaminidase [Source:Projected from Arabidopsis thaliana (AT5G13690) UniProtKB/Swiss-Prot;Acc:Q9FNA3] MSKGDNNAVWLMQGWLFSSDATFWKPAQMKALLHSVPIGKMIVLDLFADVKPIWKKSSQFYGVPYVWCMLHNFGGNIEMYGILDAISSGPIDARVSKNSTMVGVGMCMEGIEHNPVVYELMSEMAFRSQRVLVEGWLKSYSYRRYGQANTKMEEAWKILYSTIYNCTDGIADHNKDFIVEFPDYGPYFGSPQISKEDPFHKLHVKKRRFAFREINSDRPHPHLWYSTEEVIGALKLFLDAGNDISGCHTYRYDLVDLTRQVLSKLANEVYLDVMKAYKKHDADGLLIQSQKFLELIEDIDTLLGSDDNFLLGTWLESAKALALSEKDRKLFEWNARTQITMWYDTAKFNQSKLHDYANKFWSGLLKSYYLPRASMYFSYLSTSLKKNTYFPLETWRREWISYSNSWQAGQELYTVKAKGNALAIAKTLFSKYIG >DRNTG_04893.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11443593:11465385:-1 gene:DRNTG_04893 transcript:DRNTG_04893.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-N-acetylglucosaminidase [Source:Projected from Arabidopsis thaliana (AT5G13690) UniProtKB/Swiss-Prot;Acc:Q9FNA3] MIVLDLFADVKPIWKKSSQFYGVPYVWCMLHNFGGNIEMYGILDAISSGPIDARVSKNSTMVGVGMCMEGIEHNPVVYELMSEMAFRSQRVLVEGWLKSYSYRRYGQANTKMEEAWKILYSTIYNCTDGIADHNKDFIVEFPDYGPYFGSPQISKEDPFHKLHVKKRRFAFREINSDRPHPHLWYSTEEVIGALKLFLDAGNDISGCHTYRYDLVDLTRQVLSKLANEVYLDVMKAYKKHDADGLLIQSQKFLELIEDIDTLLGSDDNFLLGTWLESAKALALSEKDRKLFEWNARTQITMWYDTAKFNQSKLHDYANKFWSGLLKSYYLPRASMYFSYLSTSLKKNTYFPLETWRREWISYSNSWQAGQELYTVKAKGNALAIAKTLFSKYIG >DRNTG_33212.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:420212:421794:-1 gene:DRNTG_33212 transcript:DRNTG_33212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTKLDRHELLLLLLLLCLWIASMSLVGCSFIIFFF >DRNTG_33212.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:420250:421794:-1 gene:DRNTG_33212 transcript:DRNTG_33212.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTKLDRHELLLLLLLLCLWIASMSLVGCSFIIFFF >DRNTG_14211.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:24820225:24824002:-1 gene:DRNTG_14211 transcript:DRNTG_14211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKVGFREMFNPDLYEGLFDQEEGHEDVMMLGSTEEVPSTMGILKKVLRKMKRARRRHRKHSKAVGDVREWKELDESLLGGPKPDNTPSTLK >DRNTG_14658.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5824443:5825554:1 gene:DRNTG_14658 transcript:DRNTG_14658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITSITNPSLCLSSPIPRKKLTKYSLINKAFPFSDSQACCSLSGRAMKLSVLVAKATPATESQPSKSEGGEGGEDKYEVELDKPYGLRFMKGRDGGTYIDAIAPGSSADKSGKFTVGDKVIATRLHSLFVSCGRRNGRSAG >DRNTG_04017.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29237243:29239643:1 gene:DRNTG_04017 transcript:DRNTG_04017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKKFTQDGSVDLRGRPVIASGTGRWRACAFLVGYEAFERMAFYGVASNLVVYLTTQLHEDTVSSVRSVNNWSGSVWITPIIGAYIADTYIGRFWTFTIASLIYVMGMVLLTMAVSLESLRPTCNNGICNKASHSQIAFFYSSLYIIAIGAGGTKPNISTFGADQFDDFDPKEKQLKGSFFNWWMFSSFLGGLMATLGLVYIQENLGWGLGYGIPTAGLMISLVIFYIGTPNYRHKVKKTKSPAREMIRVWLTAFANRKLNLPENPSELYELEHQHYVVTGKRRVHHTMAFRFLDKAAVKAEGGTTTTPPCTVTQVEETKLLVAMGLIWLATLIPSTIWAQVNTLFVKQGTTLDRDIGNGFRLPAASLGSFITISMLLSVPLYDRYFVPFMQRRTDNLEASLSSNASAPASASISSSPWWPTSLNSNECTR >DRNTG_31726.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:190191:191866:1 gene:DRNTG_31726 transcript:DRNTG_31726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYNVTRVSKSLVTPSEPTPNETLTLSFIDCVPGLRHGVRSIHIFKQGHEPAKVIKEALSKALVHYYPFAGRFIDPDSPAAGEVTVACSGEGAYFIEAKANCSLEDVNYLDLPLMIPEFELLPEPHPDVPLLNMPFLMQVTEFTCGGFAVGLITVHSLADGLGAAQMINAIGELTRGLTHPTIKPLRNSAIIPKPPSVLPGPPPSLDDLKLKYSTFDISQEFINNIKSDYFKENGQHCSTFDISVAKAWQARTRAVKLEDNNEIVNICFFANTRQLLNKEELPSGSGFYGNCFYPVTVSATCGEVVNMDLVGLVKMIKEAKLRLPNEFSKWAEGGFKKDPYEITFSYNFMFVSDWTRLGFQEVDYGWGTPLHVIPFAYFDFMAVSILNSPPPPKKGCRVMTQCVKIEHLADLQREMANI >DRNTG_31608.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:687172:689090:1 gene:DRNTG_31608 transcript:DRNTG_31608.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKEDNGESEQECPEPSTDAQVDAGVKEEDFPSLVMDPVVEQNPPEQAEDDPVNNSEPGGVPTSEHVPVIDEPIKKDTGMPPELDNAAKEPTLNSSAKESSPELNNAVEEHRLNNAAEEPTLDNAANETPSDNAAKETLFDNVAKEIASNEISEDPASENIAEDLVLNKILEEPTSNHICEETASNLITKEPASNHFADEPPSNHISKELVSNHIAGEPASDQRSKEPALNHIAEEPASKNIPKDPTSHDIAENPSSNNIAEDLASNDVVEGPVSRNYPQDPLLDNVGTDSLDADADLINQQENVVDQDPPLDVNAGKEIELAETKVENGGGPKEQDTKATKFDDNFFVDSAMKEEREGDYPSHEDGHSQSFLFDYTEGEESGTEEDQAAFMKELENFHKDKSLEFKPPKFYGEGLNCLK >DRNTG_31608.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:687172:689090:1 gene:DRNTG_31608 transcript:DRNTG_31608.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKEDNGESEQECPEPSTDAQVDAGVKEEDFPSLVMDPVVEQNPPEQAEDDPVNNSEPGGVPTSEHVPVIDEPIKKDTGMPPELDNAAKEPTLNSSAKESSPELNNAVEEPTLDNAANETPSDNAAKETLFDNVAKEIASNEISEDPASENIAEDLVLNKILEEPTSNHICEETASNLITKEPASNHFADEPPSNHISKELVSNHIAGEPASDQRSKEPALNHIAEEPASKNIPKDPTSHDIAENPSSNNIAEDLASNDVVEGPVSRNYPQDPLLDNVGTDSLDADADLINQQENVVDQDPPLDVNAGKEIELAETKVENGGGPKEQDTKATKFDDNFFVDSAMKEEREGDYPSHEDGHSQSFLFDYTEGEESGTEEDQAAFMKELENFHKDKSLEFKPPKFYGEGLNCLK >DRNTG_31608.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:687172:689090:1 gene:DRNTG_31608 transcript:DRNTG_31608.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKEDNGESEQECPEPSTDAQVDAGVKEEDFPSLVMDPVVEQNPPEQAEDDPVNNSEPGGVPTSEHVPVIDEPIKKDTGMPPELDNAAKEPTLNSSAKESSPELNNAVEEPTLDSAAEDSALELNNAVEEHRLNNAAEEPTLDNAANETPSDNAAKETLFDNVAKEIASNEISEDPASENIAEDLVLNKILEEPTSNHICEETASNLITKEPASNHFADEPPSNHISKELVSNHIAGEPASDQRSKEPALNHIAEEPASKNIPKDPTSHDIAENPSSNNIAEDLASNDVVEGPVSRNYPQDPLLDNVGTDSLDADADLINQQENVVDQDPPLDVNAGKEIELAETKVENGGGPKEQDTKATKFDDNFFVDSAMKEEREGDYPSHEDGHSQSFLFDYTEGEESGTEEDQAAFMKELENFHKDKSLEFKPPKFYGEGLNCLK >DRNTG_08228.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8258964:8260789:-1 gene:DRNTG_08228 transcript:DRNTG_08228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRIRRRDSFPKRNGNTIEPEMGGGS >DRNTG_05323.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2041344:2045402:1 gene:DRNTG_05323 transcript:DRNTG_05323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEGSERPEALLQWRQESLLSGHKGSKKASTIIEPSSLFFELYNGNPSYFFGISICPSIKFQYESIK >DRNTG_08740.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18722418:18724720:-1 gene:DRNTG_08740 transcript:DRNTG_08740.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSADEELDSVLISTDGLSSKLLEELALDKVMMGISDSSILDEAAETKGSEEKLFMKESPDKAALIHQDIICNNGNGIAAVNNSSVQADTPEMVSVTCEAQSPLNRKQDSSIKSKTKTASGTSRSNYTVPQPFALATYKRALGSNFPVNIVFVLLF >DRNTG_08740.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18722547:18725035:-1 gene:DRNTG_08740 transcript:DRNTG_08740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSAVHFNPTVMESKSLIHKLKMVIPSPLSPTGLRTLPNLAALLFPLSNPSAAQTSPSGPAPTPTTVPILTPPPSTISTSSLSHVINYVYLGNEASTRDHDVLRRQGTTHVFNCVGPTCPKYFHNILTYKTTLAP >DRNTG_08740.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18722547:18724779:-1 gene:DRNTG_08740 transcript:DRNTG_08740.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPSPLSPTGLRTLPNLAALLFPLSNPSAAQTSPSGPAPTPTTVPILTPPPSTISTSSLSHVINYVYLGNEASTRDHDVLRRQGTTHVFNCVGPTCPKYFHNILTYKTTLAP >DRNTG_08740.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18722418:18725035:-1 gene:DRNTG_08740 transcript:DRNTG_08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSAVHFNPTVMESKSLIHKLKMVIPSPLSPTGLRTLPNLAALLFPLSNPSAAQTSPSGPAPTPTTVPILTPPPSTISTSSLSHVINYVYLGNEASTRDHDVLRRQGTTHVFNCVGPTCPKYFHNILTYKTTLAP >DRNTG_08740.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18722547:18724779:-1 gene:DRNTG_08740 transcript:DRNTG_08740.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPSPLSPTGLRTLPNLAALLFPLSNPSAAQTSPSGPAPTPTTVPILTPPPSTISTSSLSHVINYVYLGNEASTRDHDVLRRQGTTHVFNCVGPTCPKYFHNILTYKTTLAP >DRNTG_08740.16.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18722547:18724585:-1 gene:DRNTG_08740 transcript:DRNTG_08740.16 gene_biotype:protein_coding transcript_biotype:protein_coding MSADEELDSVLISTDGLSSKLLEELALDKVMMGISDSSILDEAAETKGSEEKLFMKESPDKAALIHQDIICNNGNGIAAVNNSSVQADTPEMVSVTCEAQSPLNRKQDSSIKSKTKTASGTSRSNYTVPQPFALATYKRALGSNFPVNIVFVLLF >DRNTG_08740.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18722547:18725035:-1 gene:DRNTG_08740 transcript:DRNTG_08740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSAVHFNPTVMESKSLIHKLKMVIPSPLSPTGLRTLPNLAALLFPLSNPSAAQTSPSGPAPTPTTVPILTPPPSTISTSSLSHVINYVYLGNEASTRDHDVLRRQGTTHVFNCVGPTCPKYFHNILTYKTTLAP >DRNTG_08740.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18722418:18724779:-1 gene:DRNTG_08740 transcript:DRNTG_08740.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPSPLSPTGLRTLPNLAALLFPLSNPSAAQTSPSGPAPTPTTVPILTPPPSTISTSSLSHVINYVYLGNEASTRDHDVLRRQGTTHVFNCVGPTCPKYFHNILTYKTTLAP >DRNTG_08740.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18722599:18725035:-1 gene:DRNTG_08740 transcript:DRNTG_08740.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSAVHFNPTVMESKSLIHKLKMVIPSPLSPTGLRTLPNLAALLFPLSNPSAAQTSPSGPAPTPTTVPILTPPPSTISTSSLSHVINYVYLGNEASTRDHDVLRRQGTTHVFNCVGPTCPKYFHNILTYKTTLAP >DRNTG_08740.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18722599:18724720:-1 gene:DRNTG_08740 transcript:DRNTG_08740.13 gene_biotype:protein_coding transcript_biotype:protein_coding MSADEELDSVLISTDGLSSKLLEELALDKVMMGISDSSILDEAAETKGSEEKLFMKESPDKAALIHQDIICNNGNGIAAVNNSSVQADTPEMVSVTCEAQSPLNRKQDSSIKSKTKTASGTSRSNYTVPQPFALATYKRALGSNFPVNIVFVLLF >DRNTG_08740.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18722547:18724720:-1 gene:DRNTG_08740 transcript:DRNTG_08740.12 gene_biotype:protein_coding transcript_biotype:protein_coding MSADEELDSVLISTDGLSSKLLEELALDKVMMGISDSSILDEAAETKGSEEKLFMKESPDKAALIHQDIICNNGNGIAAVNNSSVQADTPEMVSVTCEAQSPLNRKQDSSIKSKTKTASGTSRSNYTVPQPFALATYKRALGSNFPVNIVFVLLF >DRNTG_08740.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18722599:18724779:-1 gene:DRNTG_08740 transcript:DRNTG_08740.10 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPSPLSPTGLRTLPNLAALLFPLSNPSAAQTSPSGPAPTPTTVPILTPPPSTISTSSLSHVINYVYLGNEASTRDHDVLRRQGTTHVFNCVGPTCPKYFHNILTYKTTLAP >DRNTG_08740.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18722599:18725035:-1 gene:DRNTG_08740 transcript:DRNTG_08740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSAVHFNPTVMESKSLIHKLKMVIPSPLSPTGLRTLPNLAALLFPLSNPSAAQTSPSGPAPTPTTVPILTPPPSTISTSSLSHVINYVYLGNEASTRDHDVLRRQGTTHVFNCVGPTCPKYFHNILTYKTTLAP >DRNTG_08740.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18722599:18724779:-1 gene:DRNTG_08740 transcript:DRNTG_08740.11 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPSPLSPTGLRTLPNLAALLFPLSNPSAAQTSPSGPAPTPTTVPILTPPPSTISTSSLSHVINYVYLGNEASTRDHDVLRRQGTTHVFNCVGPTCPKYFHNILTYKTTLAP >DRNTG_08740.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18722599:18724720:-1 gene:DRNTG_08740 transcript:DRNTG_08740.14 gene_biotype:protein_coding transcript_biotype:protein_coding MSADEELDSVLISTDGLSSKLLEELALDKVMMGISDSSILDEAAETKGSEEKLFMKESPDKAALIHQDIICNNGNGIAAVNNSSVQADTPEMVSVTCEAQSPLNRKQDSSIKSKTKTASGTSRSNYTVPQPFALATYKRALGSNFPVNIVFVLLF >DRNTG_21436.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15413234:15416339:-1 gene:DRNTG_21436 transcript:DRNTG_21436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDMLRRYKTQGKKFQRKDGLNKEKEEIEDHHKKEEIEDHLMKIKCRECGGFGHYQADCANTLRKKGKSLNARWSDNSDGSTEEDDEGSLSNHHTSFPAMINGSMPVAEYVATSATTSTETNSEYNEVTENDLLTSYKLIMNRFNDMMLQNQRLEEELSICKEKLCNAEKTLDSMNKGTAKLDEILSVGRTSKARHGIGYIEESSSVKTEGLGVMFVKSTAQQNIKEKQVKAREEPKSEVPTCFHCGETGRIRPKCNKLKEDLKSGRIIGHEQTIIKRKVRGKTIVIKKLWIRKGEVPREEEEFSSSGLKMVINQTGEREEEDEDSEKR >DRNTG_28132.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19512749:19514784:-1 gene:DRNTG_28132 transcript:DRNTG_28132.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTDNEVVPRGKGEKNPHQGSDNFQSRHLRQAEVSSAFCTKISQMMKGHNVTDPNFLCKRLHLNNCRNVIVIILISLVLIQFLS >DRNTG_11286.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1349746:1351795:1 gene:DRNTG_11286 transcript:DRNTG_11286.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase U10 [Source:Projected from Arabidopsis thaliana (AT1G74590) UniProtKB/Swiss-Prot;Acc:Q9CA57] MGEKREVKLFGMWASPFSLRVEWALKLKGIDYEYINEKDIPYKKSQELLHFNPITKKIPVLVHHGKPLPESTVIVEYIDEAWPNGFRILPLDPFERAQARFWARFADEKFLPIIFNVYTKTGEELKKAAKELQEALKNLEKALDGKKFFGGETLGYLDIIVGWIPYWLRVIEELTGAIIIDDETLPLMNAWFDNFLAVEIIKNTLPPKDEFYEFSRGRREEFMSGQLTLD >DRNTG_00608.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22392359:22396201:1 gene:DRNTG_00608 transcript:DRNTG_00608.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOH1 family protein [Source:Projected from Arabidopsis thaliana (AT5G19910) TAIR;Acc:AT5G19910] MDPSGDDGDHHSDPGSSQAPKSVYKDPDDGRQRFLLELEFVQCLANPMYIHYLAQNRYFEDEAFIGYLKYLQYWQKPEYVKYIMYPHCLFFLELLQNSNFRNAMAHPGNKVTFL >DRNTG_00608.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22392359:22396201:1 gene:DRNTG_00608 transcript:DRNTG_00608.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOH1 family protein [Source:Projected from Arabidopsis thaliana (AT5G19910) TAIR;Acc:AT5G19910] MDPSGDDGDHHSDPGSSQAPKSVYKDPDDGRQRFLLELEFVQCLANPMYIHYLAQNRYFEDEAFIGYLKYLQYWQKPEYVKYIMYPHCLFFLELLQNSNFRNAMAHPGNKELAHRQQYFFWKHYKNNRLKHILPRPLPEPALSAPAPAPAPAPALVPVPASAPPPIPSQAQMPSMVAAAPASSMQFLGQPGSALPKADMRNNMGDRRKRKY >DRNTG_13171.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19998251:19999427:-1 gene:DRNTG_13171 transcript:DRNTG_13171.1 gene_biotype:protein_coding transcript_biotype:protein_coding DPEAILDSTATLLDGQTPELITGLVLGKLRRLEVSSEDKDCKRPCKGDTVDDGTGLPFESAKF >DRNTG_24162.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001288.1:35251:40547:-1 gene:DRNTG_24162 transcript:DRNTG_24162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLSNIFSVLNMDAEDDKEEVAPLAAAKNGAGAAKSDKNNGKGKTSGKQSTLIKNESNLENPKSSSSSGEYRLPLVWIDLEMTGLNVEVDRILEIACVITDGNLNKLVEGPDLVIGQTKECLDNMGEWCREHHAASGLTKRVLQSTITEHDAEKQVIDFVKKYVDSDSPLLAGNSIYVDFMFLKKYMPELAGLFPHVLVDVSSVMALCVRWFPKDKRKAPKKENKHRAMDDIKESIKELKFYKDNIFKAPNRSRH >DRNTG_24162.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001288.1:35251:40547:-1 gene:DRNTG_24162 transcript:DRNTG_24162.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFGVMSGLSNIFSVLNMDAEDDKEEVAPLAAAKNGAGAAKSDKNNGKGKTSGKQSTLIKNESNLENPKSSSSSGEYRLPLVWIDLEMTGLNVEVDRILEIACVITDGNLNKLVEGPDLVIGQTKECLDNMGEWCREHHAASGLTKRVLQSTITEHDAEKQVIDFVKKYVDSDSPLLAGNSIYVDFMFLKKYMPELAGLFPHVLVDVSSVMALCVRWFPKDKRKAPKKENKHRAMDDIKESIKELKFYKDNIFKAPNRSRH >DRNTG_13138.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1716104:1716767:-1 gene:DRNTG_13138 transcript:DRNTG_13138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVALQNGNLGFKAIVVNHAIDHDLVELEQVTKCILLDYPAEVGILVQRIFELVAGHMGGPVKVASIMLAR >DRNTG_14022.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27614145:27614669:-1 gene:DRNTG_14022 transcript:DRNTG_14022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQEKKRRKRERERKKQALTHEPCMEGGCGLRSSLFISPFFLSFSLSLSL >DRNTG_00347.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18707418:18712793:-1 gene:DRNTG_00347 transcript:DRNTG_00347.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEALEITRKFMSKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVIIEELPSNVADLI >DRNTG_00347.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18707418:18712793:-1 gene:DRNTG_00347 transcript:DRNTG_00347.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEALEITRKFMSKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVIIEELPSNVADLI >DRNTG_00347.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18703419:18713493:-1 gene:DRNTG_00347 transcript:DRNTG_00347.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEALEITRKFMSKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGCSGRFGRKGVAINFVTRDDERMLFDIQRFYNVVIEELPSNVADLI >DRNTG_00347.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18707418:18713493:-1 gene:DRNTG_00347 transcript:DRNTG_00347.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEALEITRKFMSKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVIIEELPSNVADLI >DRNTG_00347.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18707418:18712793:-1 gene:DRNTG_00347 transcript:DRNTG_00347.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEALEITRKFMSKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVIIEELPSNVADLI >DRNTG_00347.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18707418:18713493:-1 gene:DRNTG_00347 transcript:DRNTG_00347.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEALEITRKFMSKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVIIEELPSNVADLI >DRNTG_00347.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18708415:18713493:-1 gene:DRNTG_00347 transcript:DRNTG_00347.11 gene_biotype:protein_coding transcript_biotype:protein_coding MRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFK >DRNTG_00347.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18703419:18713493:-1 gene:DRNTG_00347 transcript:DRNTG_00347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEALEITRKFMSKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGCSGRFGRKGVAINFVTRDDERMLFDIQRFYNVVIEELPSNVADLI >DRNTG_00347.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18703419:18712793:-1 gene:DRNTG_00347 transcript:DRNTG_00347.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEALEITRKFMSKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGCSGRFGRKGVAINFVTRDDERMLFDIQRFYNVVIEELPSNVADLI >DRNTG_00347.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18703419:18712793:-1 gene:DRNTG_00347 transcript:DRNTG_00347.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEALEITRKFMSKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGCSGRFGRKGVAINFVTRDDERMLFDIQRFYNVVIEELPSNVADLI >DRNTG_00347.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18707418:18712793:-1 gene:DRNTG_00347 transcript:DRNTG_00347.10 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEALEITRKFMSKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVIIEELPSNVADLI >DRNTG_03546.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:295624:296257:1 gene:DRNTG_03546 transcript:DRNTG_03546.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMSLGDLCFFYHSGKADRRIVGVVEVVKPWYEDPEKGDGAVDVRSVGEMRRAVGLEEIKREEGEVMKGFALLKQPRLSVVPVPASVWDRICEMGGGFSEAAAEEEEEEE >DRNTG_03546.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:295624:298696:1 gene:DRNTG_03546 transcript:DRNTG_03546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMSLGDLCFFYHSGKADRRIVGVVEVVKPWYEDPEKGDGAVDVRSVGEMRRAVGLEEIKREEGEVMKGFALLKQPRLSVVPVPASVWDRICEMGGGFSEAAAEEEEEEE >DRNTG_26899.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3324782:3330966:1 gene:DRNTG_26899 transcript:DRNTG_26899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAELASQERGREEVDPSSEVEEGKIDDEAVVSSPGRRPASEPLQPHPLEHAWTFWFNNPSAKAKQAAWGSSMRPVHTFSTVEDFWSLYNNIHHPRKLTVGVDFYCFKDKIEPKWEDLVCANGGKWTISCARGKADTVWLYTLLGMIGEQFDYGDEICGVVVNVRGKQERIAIWTKNASNEAAQISIGKQWKELLDSKETIGFIFHDDAKKLDRGAKSRYTNLKKRKFFLSKAHLLIILTDETEAAAVTTSEEEEEAVEKVLKMADQMKVVDRMKVEDSTKEFNALFYVSSDLSCWHVLSYVVSLYLQYVE >DRNTG_13477.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:17597692:17599770:-1 gene:DRNTG_13477 transcript:DRNTG_13477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKTLAENAVLNAIEIISSLYAEHAAGNTKVGIDLEEGGCKDVSTTNIWDLYVAKFFALKYGADAVCTVLRADQIIMAKIAGGPKRDQPAGMDED >DRNTG_13477.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:17598061:17599770:-1 gene:DRNTG_13477 transcript:DRNTG_13477.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKTLAENAVLNAIEIISSLYAEHAAGNTKVGIDLEEGGCKDVSTTNIWDLYVAKFFALKYGADAVCTVLRADQVI >DRNTG_00843.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11598742:11603040:-1 gene:DRNTG_00843 transcript:DRNTG_00843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSFDDRDRKPSSADDIKPVFLSKAEREKLALQRREEEATEKKRRALDQLNHQSRSKPSSDPPSSTPDDNPRHHRSRDRDRDRDRDTDRRGGGGRDREREREDEARSRERARVEKQAEREKEKELESIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNVLYQNPHEARPLFGRGFRAGMDRREQKKLAARNEKEIRAEIRKKEGVEERPEEAAAQRQKEAAADLYDAFDMRVDRHWSEKKLEEMTERDWRIFREDYNISYKGSKLPRPMRNWPESKLSPELLKAVERAGYKSPSPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLTYITRLPPMNEDNEAEGPYAVVMAPTRELAQQIEEETVKFAHYLGIKVVSIVGGQSIEEQGFKLRQGCEVVIATPGRLLDCLERRLLVS >DRNTG_00843.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11600334:11603040:-1 gene:DRNTG_00843 transcript:DRNTG_00843.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSFDDRDRKPSSADDIKPVFLSKAEREKLALQRREEEATEKKRRALDQLNHQSRSKPSSDPPSSTPDDNPRHHRSRDRDRDRDRDTDRRGGGGRDREREREDEARSRERARVEKQAEREKEKELESIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNVLYQNPHEARPLFGRGFRAGMDRREQKKLAARNEKEIRAEIRKKEGVEERPEEAAAQRQKEAAADLYDAFDMRVDRHWSEKKLEEMTERDWRIFREDYNISYKGSKLPRPMRNWPESKLSPELLKAVERAGYKSPSPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLTYITRLPPMNEDNEAEGPYAVVMAPTRELAQQIEEETVKFAHYLGIKVVSIVGGQSIEEQGFKLRQGCEVVIATPGRLLDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNMKPENEDEELDEKRIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLITQHVIMLKESEKLPRLQKLLNDLGDKTAIVFCNTKKSADARAKDLDKLGYRVTTLHGGKSQEQREISLEGFRSRRFNVLVATDVAGRGIDIPDVAHVINYEMPGSIDMYTHRIGRTGRAGKTGVATTFLTLHDTEVFYDLKQMLVQSNSPVPPELARHEASKFKPGTIPDRPPRRNDTVYAH >DRNTG_13790.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000641.1:1887:11095:1 gene:DRNTG_13790 transcript:DRNTG_13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATATANGQSSRALLPWLNLMATEPFYILHIAAFSSYFAARASASPDVSHRLLQREIQAILAFSVLAVVKMVKEETWEAFIADTFLYAKGFLFALAMVINHNVAICYLVSFLVIFVLTQQPPYDGAGDASQMTPLQLETVLTEGTISRFWLIEFRSACSPSCIRTSRVFHDLSTIYSNKSISFGIVDLGHFPGVAEKIGIQLGQLPTYILFDNAVEVARFPFLYSEGKGSTPTISKSTLCRHFDLDRRLIEYISGK >DRNTG_21550.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:308081:310944:1 gene:DRNTG_21550 transcript:DRNTG_21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKASEGLSKLVGDEVQRWGSMKPTGVSLRYMMEFGSRPTERNLLRSAQFLHKELPIRIARRAIELENLPFGLSEKPAVLKVRDWYLDSFHDLRSFPEVKDKADELAFTQMIKMIKVRHNNVVPAMAFGVQHLKKDLSPSFVPTDFEEIHEFLDRFYMTRIGIRMLIGQHVALHDPDPEPGCVGQINTKMSPMKVARAASEDARSICFREYGSAPEVSVIGDPNFTFPYVPSHLHLMVFELVKNSLRAVQERCMDSDKDALPIKIIVADGIEDVTIKISDEGGGIRRSGLSKIFTYLYSTAKNPLEENYEGVHQGVTMAGYGYGLPITRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSQEPLP >DRNTG_08271.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17542796:17544435:-1 gene:DRNTG_08271 transcript:DRNTG_08271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAVPFSNGRTFVNSPMSETASLATFPTGSSPSPQRCLCHARSKQHRDHTQSVSEFRRV >DRNTG_08271.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17543539:17544435:-1 gene:DRNTG_08271 transcript:DRNTG_08271.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAVPFSNGRTFVNSPMSETASLATFPTGSSPSPQRCLCHARSKQHRDHTQSVSEFRRV >DRNTG_16784.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000847.1:58903:61541:-1 gene:DRNTG_16784 transcript:DRNTG_16784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFHLGLGSKAKKLFVSSPTKKKPLNGEIQVGNLEPGLGGFRSPDYGSKDETFFDSRAWLDSDCDDDFYSVNGDFTPSRGSTPNHQFGTTPRNKAYMGSTFPNSSVEPSPTERKKKLAELLQESLYSEQISNGQNVSHNNKAPNGELFANRLDTEHPPKSVVGTPYFSGASSVCGSEAMTPSRDLKHRKERRSSSCCLPSLLLNLTFTEKRRQKMSPEHGRG >DRNTG_22502.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001243.1:40604:42840:-1 gene:DRNTG_22502 transcript:DRNTG_22502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLHINHPLAFIFGMCGNLSSFLVYLAPLPTFYRVYRKKSTEGFQSIPYVVALFSAMLWIYYATVKGNTVLLITINSFGCFIELIYISMYIHYAPRKEKIFTAKMMFLVNILLFGLIVVSTMLFTKGEGRATVLGWICVAFAVSVFVAPLSIMKRVIQTKSVEFMPFYLSLFLTLSALSWFAYGLVKLDKYVALPNVLGFLFGMAQMILYMIYKGEKKIIQEEKLADHIVSIIIPEGEGQGEATVKGKPDDNNNNMGRNVTQEEVEMSQNAV >DRNTG_23693.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4723099:4727769:1 gene:DRNTG_23693 transcript:DRNTG_23693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELTTEDDQEMAMSPQSQDEREVRIPFVGMEFEDEDAAFQYYLDYAKSKGFGVRKGHVYRSSSSQVITCRHFVCDKEGAKSMLDKRQLGKTVRRRRDTRTNCEARMVVSKMKSGRWTIKTFDDVHNHVLLTTPSKMCLLDLVSLLLLLLVSTITCNQFYLALELVCEIESGGDINGGSGCCK >DRNTG_26194.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29058196:29062274:-1 gene:DRNTG_26194 transcript:DRNTG_26194.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLYPIQPQSPPPSFLPSPLRLRLRGGGGDGGATGAESRDCYLNMYAVKKPDKVDPNETRLSRWTTCALSSEPLAPPVVIDRLGSLFNKEPLVEALIHKKLPKAFSHIRGLKDMIPVHLSPVPGRSDSEAKFQCPITGLEFNGKYGFLAIRGCGHVLSVKAFKEVKTSACLVCHKEFADSEKIVINGTAEEVAALRERMEEERGKVGKVKERKEKKALGAFVDGAKRGCGDEIEDGRKDRDHKKFKAGIAVPANATKEVYESIFISSKKKSNFKETYSCRSLPLGRN >DRNTG_26194.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29058539:29062274:-1 gene:DRNTG_26194 transcript:DRNTG_26194.11 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLYPIQPQSPPPSFLPSPLRLRLRGGGGDGGATGAESRDCYLNMYAVKKPDKVDPNETRLSRWTTCALSSEPLAPPVVIDRLGSLFNKEPLVEALIHKKLPKAFSHIRGLKDMIPVHLSPVPGRSDSEAKFQCPITGLEFNGKYGFLAIRGCGHVLSVKAFKEVKTSACLVCHKEFADSEKIVINGTAEEVAALRERMEEERGKVGKVKERKEKKALGAFVDGAKRGCGDEIEDGRKDRDHKKFKAGIAVPANATKEVYESIFISSKKKSNFKETYSCRSLPLGRN >DRNTG_26194.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29058396:29062151:-1 gene:DRNTG_26194 transcript:DRNTG_26194.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLYPIQPQSPPPSFLPSPLRLRLRGGGGDGGATGAESRDCYLNMYAVKKPDKVDPNETRLSRWTTCALSSEPLAPPVVIDRLGSLFNKEPLVEALIHKKLPKAFSHIRGLKDMIPVHLSPVPGRSDSEAKFQCPITGLEFNGKYGFLAIRGCGHVLSVKAFKEVKTSACLVCHKEFADSEKIVINGTAEEVAALRERMEEERGKVGKVKERKEKKALGAFVDGAKRGCGDEIEDGRKDRDHKKFKAGIAVPANATKEVYESIFISSKKKSNFKETYSCRSLPLGRN >DRNTG_26194.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29057739:29062151:-1 gene:DRNTG_26194 transcript:DRNTG_26194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLYPIQPQSPPPSFLPSPLRLRLRGGGGDGGATGAESRDCYLNMYAVKKPDKVDPNETRLSRWTTCALSSEPLAPPVVIDRLGSLFNKEPLVEALIHKKLPKAFSHIRGLKDMIPVHLSPVPGRSDSEAKFQCPITGLEFNGKYGFLAIRGCGHVLSVKAFKEVKTSACLVCHKEFADSEKIVINGTAEEVAALRERMEEERGKVGKVKERKEKKALGAFVDGAKRGCGDEIEDGRKDRDHKKFKAGIAVPANATKEVYESIFISSKKKSNFKETYSCRSLPLGRN >DRNTG_26194.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29058196:29062151:-1 gene:DRNTG_26194 transcript:DRNTG_26194.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLYPIQPQSPPPSFLPSPLRLRLRGGGGDGGATGAESRDCYLNMYAVKKPDKVDPNETRLSRWTTCALSSEPLAPPVVIDRLGSLFNKEPLVEALIHKKLPKAFSHIRGLKDMIPVHLSPVPGRSDSEAKFQCPITGLEFNGKYGFLAIRGCGHVLSVKAFKEVKTSACLVCHKEFADSEKIVINGTAEEVAALRERMEEERGKVGKVKERKEKKALGAFVDGAKRGCGDEIEDGRKDRDHKKFKAGIAVPANATKEVYESIFISSKKKSNFKETYSCRSLPLGRN >DRNTG_26194.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29058539:29062151:-1 gene:DRNTG_26194 transcript:DRNTG_26194.13 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLYPIQPQSPPPSFLPSPLRLRLRGGGGDGGATGAESRDCYLNMYAVKKPDKVDPNETRLSRWTTCALSSEPLAPPVVIDRLGSLFNKEPLVEALIHKKLPKAFSHIRGLKDMIPVHLSPVPGRSDSEAKFQCPITGLEFNGKYGFLAIRGCGHVLSVKAFKEVKTSACLVCHKEFADSEKIVINGTAEEVAALRERMEEERGKVGKVKERKEKKALGAFVDGAKRGCGDEIEDGRKDRDHKKFKAGIAVPANATKEVYESIFISSKKKSNFKETYSCRSLPLGRN >DRNTG_26194.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29058171:29062151:-1 gene:DRNTG_26194 transcript:DRNTG_26194.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLYPIQPQSPPPSFLPSPLRLRLRGGGGDGGATGAESRDCYLNMYAVKKPDKVDPNETRLSRWTTCALSSEPLAPPVVIDRLGSLFNKEPLVEALIHKKLPKAFSHIRGLKDMIPVHLSPVPGRSDSEAKFQCPITGLEFNGKYGFLAIRGCGHVLSVKAFKEVKTSACLVCHKEFADSEKIVINGTAEEVAALRERMEEERGKVGKVKERKEKKALGAFVDGAKRGCGDEIEDGRKDRDHKKFKAGIAVPANATKEVYESIFISSKKKSNFKETYSCRSLPLGRN >DRNTG_26194.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29058539:29062274:-1 gene:DRNTG_26194 transcript:DRNTG_26194.10 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLYPIQPQSPPPSFLPSPLRLRLRGGGGDGGATGAESRDCYLNMYAVKKPDKVDPNETRLSRWTTCALSSEPLAPPVVIDRLGSLFNKEPLVEALIHKKLPKAFSHIRGLKDMIPVHLSPVPGRSDSEAKFQCPITGLEFNGKYGFLAIRGCGHVLSVKAFKEVKTSACLVCHKEFADSEKIVINGTAEEVAALRERMEEERGKVGKVKERKEKKALGAFVDGAKRGCGDEIEDGRKDRDHKKFKAGIAVPANATKEVYESIFISSKKKSNFKETYSCRSLPLGRN >DRNTG_26194.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29058539:29062151:-1 gene:DRNTG_26194 transcript:DRNTG_26194.12 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLYPIQPQSPPPSFLPSPLRLRLRGGGGDGGATGAESRDCYLNMYAVKKPDKVDPNETRLSRWTTCALSSEPLAPPVVIDRLGSLFNKEPLVEALIHKKLPKAFSHIRGLKDMIPVHLSPVPGRSDSEAKFQCPITGLEFNGKYGFLAIRGCGHVLSVKAFKEVKTSACLVCHKEFADSEKIVINGTAEEVAALRERMEEERGKVGKVKERKEKKALGAFVDGAKRGCGDEIEDGRKDRDHKKFKAGIAVPANATKEVYESIFISSKKKSNFKETYSCRSLPLGRN >DRNTG_26194.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29058196:29062151:-1 gene:DRNTG_26194 transcript:DRNTG_26194.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLYPIQPQSPPPSFLPSPLRLRLRGGGGDGGATGAESRDCYLNMYAVKKPDKVDPNETRLSRWTTCALSSEPLAPPVVIDRLGSLFNKEPLVEALIHKKLPKAFSHIRGLKDMIPVHLSPVPGRSDSEAKFQCPITGLEFNGKYGFLAIRGCGHVLSVKAFKEVKTSACLVCHKEFADSEKIVINGTAEEVAALRERMEEERGKVGKVKERKEKKALGAFVDGAKRGCGDEIEDGRKDRDHKKFKAGIAVPANATKEVYESIFISSKKKSNFKETYSCRSLPLGRN >DRNTG_26194.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29058396:29062151:-1 gene:DRNTG_26194 transcript:DRNTG_26194.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLYPIQPQSPPPSFLPSPLRLRLRGGGGDGGATGAESRDCYLNMYAVKKPDKVDPNETRLSRWTTCALSSEPLAPPVVIDRLGSLFNKEPLVEALIHKKLPKAFSHIRGLKDMIPVHLSPVPGRSDSEAKFQCPITGLEFNGKYGFLAIRGCGHVLSVKAFKEVKTSACLVCHKEFADSEKIVINGTAEEVAALRERMEEERGKVGKVKERKEKKALGAFVDGAKRGCGDEIEDGRKDRDHKKFKAGIAVPANATKEVYESIFISSKKKSNFKETYSCRSLPLGRN >DRNTG_26194.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29057739:29062151:-1 gene:DRNTG_26194 transcript:DRNTG_26194.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLYPIQPQSPPPSFLPSPLRLRLRGGGGDGGATGAESRDCYLNMYAVKKPDKVDPNETRLSRWTTCALSSEPLAPPVVIDRLGSLFNKEPLVEALIHKKLPKAFSHIRGLKDMIPVHLSPVPGRSDSEAKFQCPITGLEFNGKYGFLAIRGCGHVLSVKAFKEVKTSACLVCHKEFADSEKIVINGTAEEVAALRERMEEERGKVGKVKERKEKKALGAFVDGAKRGCGDEIEDGRKDRDHKKFKAGIAVPANATKEVYESIFISSKKKSNFKETYSCRSLPLGRN >DRNTG_26194.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29058171:29062151:-1 gene:DRNTG_26194 transcript:DRNTG_26194.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLYPIQPQSPPPSFLPSPLRLRLRGGGGDGGATGAESRDCYLNMYAVKKPDKVDPNETRLSRWTTCALSSEPLAPPVVIDRLGSLFNKEPLVEALIHKKLPKAFSHIRGLKDMIPVHLSPVPGRSDSEAKFQCPITGLEFNGKYGFLAIRGCGHVLSVKAFKEVKTSACLVCHKEFADSEKIVINGTAEEVAALRERMEEERGKVGKVKERKEKKALGAFVDGAKRGCGDEIEDGRKDRDHKKFKAGIAVPANATKEVYESIFISSKKKSNFKETYSCRSLPLGRN >DRNTG_15590.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1575370:1576783:-1 gene:DRNTG_15590 transcript:DRNTG_15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFSSSLLPSILNQKCDVAMGPSSCPPSQLQVITFFVSLYLVAFAQGGHKPCTQAFGADQFDQNNPLESKSRSSFFNWWYFGMTFGMLFTTVLNYVQDNVSWGFGFGIPCMAMVLALIVFLSGSRTYRYCILEETSPFIRIGKACWRASAVKTSQAEEEEEKEAKGILRLFPIWATCLVYAIVFAQSMTFFTKQASTLDRRIGSSFVIPSASLKSISTISIVAFIPIYDHILVPAVRKLTGLHSGITMLQRIGIGMAISAIVMAISSLVEMKRIKTAREYGLIDSPNIPIPMSLLWLMPQYVLNGLADVFTMIGLQEFFYDQMPDSLRSLGLALYLSIFGVGSFISGFIVSLIDKVSAAQGESWFPDNLNHAHLDYFFWFLTALNASGLLIYIYFAQSYAYRKKGCSPLL >DRNTG_00833.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:12011637:12012748:1 gene:DRNTG_00833 transcript:DRNTG_00833.1 gene_biotype:protein_coding transcript_biotype:protein_coding DPRVVTPGFSHNRTPCQWLRAPLDQGKPGLTTPPPIRPDRGTPHCSVGLKSAVTIKTECHI >DRNTG_11039.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10602426:10608798:1 gene:DRNTG_11039 transcript:DRNTG_11039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMMVGVLIPCCSSSKACILPAIMTAMDVSKYSHKPVYKAVISYDCATLKRILNSLPCLTNPSEICIEVAFITEEDKADIISAIIGNRDVLNCETTLLLTVKLGDATTAEMFMVVRADWSLQNEKGWSVNQEAICICEETLVKIIVHHYQPLAWAKWCRRLTKFVATMMRTRDFYMELSVHFESSVIPFISKISPSDTYKIWKRGVVVFTIDLKKVGEDGDVWFLEGKGLWQHHVIVSMKYRQCLDLLLENFMSTSLKPTMSLLCLKLVGLCKGGGFIYREERKVLIDFKKGLEDLHECLSSWIGLDYCSLISVHCYITTTTLNMLNWIPFFIGSLVIPQYLVLSYTDFNGCIPHQLVNLSSLCYLDPFSQIFILFDI >DRNTG_29289.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14690746:14691107:1 gene:DRNTG_29289 transcript:DRNTG_29289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPVVAGVLLGGVKGCDTNLYDVIDEAEIVGEIHPIFSTLDGDRLAMENVVGEGEVAHLLVISFLAIYVAAKREAERSASQRGIGRLAFFMRA >DRNTG_23084.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1398373:1400202:1 gene:DRNTG_23084 transcript:DRNTG_23084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQLLALILALLLIIIHLLTKDRKQNKRLPPGSMGIPIIGQSLSLLRAMRTNTGERWIKDRINKYGSICKLSLFGGPTVFLTGPAANKFIFSSDSLTLKQPQSITRIIGSQNMMELIGADHKRVRGAVSYFLKPEILRKYVGKIDEEIRQHFRTNWLSHQQVKVSPSMKSLTFNVICSTIFGIKQGTTREALVNEFNKMLAGMWAVPINLPFTHFHNSLKARKKVGKILNRIIVEKRSALQRGECSSEDEDLITYLISLGADKGETLTEEEILDNAVL >DRNTG_23708.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:677316:688022:-1 gene:DRNTG_23708 transcript:DRNTG_23708.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAADIGSKEIVNCLLNAGGDPNIADEDGVKPVEAAAMRGDREVVEILLPVTSPIPNISKWSVDGIIEHMQSVRTNEQVNMKEPSSEHTDAQNMKKTIEVTPEAKKNSLEAKSRGEEAFRRKDYLSAIYAYTQAIDLDPTEATLLSNRSLCWLRLGQADQALTDAKACRALKPDWPKACYREGAALRQLERFDEAANAFYEGVRLDPENKELVNAFREAVEAGRKFHGTDQQKQKRNIICDDRGSIGAGEEEVNRGSP >DRNTG_23708.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:677316:679813:-1 gene:DRNTG_23708 transcript:DRNTG_23708.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLEVEMPWNVLIDPDKLDAKGLLLRKAIIIRLLEDIANRKASKEHGYYVAVTALKKIGEGKVREMTGEVLFPVTFTCITQKPSKGEVLVGTVDKILKQGLFLKSGPMESIFLSEKTMKDYQYSAGDNPMFLNDKHSKLEKDTLVRFKIFGIKWIESDRHFQILATLAGDYLGPL >DRNTG_23708.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:681321:688022:-1 gene:DRNTG_23708 transcript:DRNTG_23708.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAADIGSKEIVNCLLNAGGDPNIADEDGVKPVEAAAMRGDREVVEILLPVTSPIPNISKWSVDGIIEHMQSVRTNEQVNMKEPSSEHTDAQNMKKTIEVTPEAKKNSLEAKSRGEEAFRRKDYLSAIYAYTQAIDLDPTEATLLSNRSLCWLRLGQADQALTDAKACRALKPDWPKACYREGAALRQLERFDEAANAFYEGVRLDPENKELVNAFREAVEAGRKFHGTDQQKQKRNIICDDRGSM >DRNTG_18840.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2605242:2611876:-1 gene:DRNTG_18840 transcript:DRNTG_18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSTTDPSSDEPRNTWVLTSGIDSDAVATARQWLEDAAGQAIVLKQDLLAAARTAGRRILAASSSGFHETKEAVEWIKMEYAAREDELFSKIKEGVAIAAAHPNLSAGVTVSLGLVAFKAPRRFLIHNTRRLFVSEEFLLSQAEAKVNQLRQSVNSVKNDIKKFEERASNAQNEMERGRQTLIDTGSKIHRELRYIDKLEREAMGLKEFISELPRREASEYRSQVSGVISKVKEDKKVLRQVISKMVNYGISV >DRNTG_29638.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2992856:3001493:1 gene:DRNTG_29638 transcript:DRNTG_29638.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYFAVLFGHAYEVVLLVTVDFECTSFLVIKRADLRWNRSLEDLEQLMDLQDELLHAASRLVKPGGILVYSTCSIDPEENEERIVTFLRRHPEFAIDPVDRYVPPDFTTKEGFYRSNPVKHSIDGSFAARLVRSLNQENPATKK >DRNTG_29638.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2984560:3001493:1 gene:DRNTG_29638 transcript:DRNTG_29638.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLLLQILRIGFFEIVKLAMPPYAVVDENVRLAKVALRPGAGNMVNGILRKLVLLKETNSLPLPKIEGDARAQARALAVIHSHPVWMVRRWTNFLGQEEAIKLMNWNNGDPRFCLRVNTSRGYTRTDLVEKLEMLKVPHEPSPCMDDFVRVQTGLQTVIQAGLLREGVCSVQDESAGLVVSVVDPQPGETIVDCCAAPGGKTLYMASRLRGQGMVVAIDLNKGRLRILKETSKLHNLDGVITAIHSDLRLYSEKTNLKFDKVLLDAPCSGLGVLSKRADLRWNRSLEDLEQLMDLQDELLHAASRLVKPGGILVYSTCSIDPEENEERIVTFLRRHPEFAIDPVDRYVPPDFTTKEGFYRSNPVKHSIDGSFAARLVRSLNQENPATKK >DRNTG_29638.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2984560:3001493:1 gene:DRNTG_29638 transcript:DRNTG_29638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFASSSSSPFSTLSLSRKPLPFSCSLPKSPIKTKKFNARIIAFAYKAAGLKSKQKIQKINEEVSPHRAVSAVRLLRIEQGGAFADLLSEKGRGAAENEMAYVERTLGFRTRDLEDRDLRLVTDIVGGAVRWRRLLDHLILSLCHEERTFREMEPLLLQILRIGFFEIVKLAMPPYAVVDENVRLAKVALRPGAGNMVNGILRKLVLLKETNSLPLPKIEGDARAQARALAVIHSHPVWMVRRWTNFLGQEEAIKLMNWNNGDPRFCLRVNTSRGYTRTDLVEKLEMLKVPHEPSPCMDDFVRVQTGLQTVIQAGLLREGVCSVQDESAGLVVSVVDPQPGETIVDCCAAPGGKTLYMASRLRGQGMVVAIDLNKGRLRILKETSKLHNLDGVITAIHSDLRLYSEKTNLKFDKVLLDAPCSGLGVLSKRADLRWNRSLEDLEQLMDLQDELLHAASRLVKPGGILVYSTCSIDPEENEERIVTFLRRHPEFAIDPVDRYVPPDFTTKEGFYRSNPVKHSIDGSFAARLVRSLNQENPATKK >DRNTG_00137.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2336338:2339296:-1 gene:DRNTG_00137 transcript:DRNTG_00137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMETLLLLLFFSSSFSQRCQSRFDPLLISIIHGEGFLAVLGSVALDDVSELIHNEKVDGIGERGEQWMEVISWEPRIFIYRNLLSNEECEYLIKLSLPRMQQSTVIDKNTGGNISSRQRTSSGMFLKRGHDSIIQAIEKRIADFTFMPIEHGEGLQILHYEVGQKYDPHYDHFHNADRIADRIATVLMYLSDVEEGGETVFPLAKNTMNSVSRSSNLSECGKMGLSVKPKKGDALLFWSLKPDGSLDTTSLHGEAHF >DRNTG_01789.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:134396:134977:-1 gene:DRNTG_01789 transcript:DRNTG_01789.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDAIRGAEKTSIPAPLSLKTMRLMGMVRRVRTGVFALVLPALEIAEAEGDETGVPQSALEPQPAPMETEAPPVILERDVASSFVLRPRTSQPPSASPAPPSPTSAPVDPPCVSSPAAAPEPEGDTDT >DRNTG_20772.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21823200:21823852:1 gene:DRNTG_20772 transcript:DRNTG_20772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFLSWNRKELHCGFWILMVIVDFSPTLQCGVPRSGLMGGGVVNLEFTLVKRCTEPLTRGLFM >DRNTG_22438.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23420725:23422936:-1 gene:DRNTG_22438 transcript:DRNTG_22438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEVVGMSESRFKRICVFCGSSHGRKRSYQDAAIDLAHELVSRNIDLVYGGGSVGLMGLISQAVYDGGRHVIGVIPKTLMPREITGVTVGEVKAVADMHQRKAEMARRSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFINRGAQNIIVSAPTAKELVKKLEEYSPCHDRVVCKLKWESEQQIGQSPVCGLTR >DRNTG_11613.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27575214:27580188:-1 gene:DRNTG_11613 transcript:DRNTG_11613.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFVVEGNLGRHLNHHHPGYDWQSDTSEQVAGAIVPFKKPPTQVKPATVDFDHLNWLLLKWLIEASLPPSSLDEMLNRSFKFLNPLVKFWPKEKVQAVILEVFRSMREDIKASLEQINSKVSITLDFWTSCEQLYYMCVKCHWIDENWYPHKVLLDVCHIPYPFTGSEIFQVLIKVLKMYNIDNRVLSCTHDNSQHAVHACRMLSEELDAQKAPFCYIPCAARTLNLIIEDGLRTPKPILSKIREFVIQLNSSAEIAQDFKQIAITYQEGLWKLPLDTSASWSGDYTMLDIVRKASNSMDTAIRKHEETFGSRHLLSSTEKSVINLLHSYFEPFYKITTNLCASKVQSIGLVLFFMDHVFEVIGACRDSCRNEWLKSAADDMATRTRSFNNHAYNSFTFMAAVLDPRIKRELIPESLNSEKNLEEARDHFSRHYSPNQFPCITNTYTGRDTEEVGVSFAEEIARKRRRASMIAATDELTQYLTEPLVPIASDVLDWWRVNATRYPRLSVMARDYLAVQGTSVEPEELFSSKGDDIRKQQFSLPHMSMQPLMCIKSWLHCGYKFKYRGSLIDFDKLTEASVSANEIAGTIVEKKQK >DRNTG_11613.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27575214:27579912:-1 gene:DRNTG_11613 transcript:DRNTG_11613.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYFVVEGNLGRHLNHHHPGYDWQSDTSEQVAGAIVPFKKPPTQVKPATVDFDHLNWLLLKWLIEASLPPSSLDEMLNRSFKFLNPLVKFWPKEKVQAVILEVFRSMREDIKASLEQINSKVSITLDFWTSCEQLYYMCVKCHWIDENWYPHKVLLDVCHIPYPFTGSEIFQVLIKVLKMYNIDNRVLSCTHDNSQHAVHACRMLSEELDAQKAPFCYIPCAARTLNLIIEDGLRTPKPILSKIREFVIQLNSSAEIAQDFKQIAITYQEGLWKLPLDTSASWSGDYTMLDIVRKASNSMDTAIRKHEETFGSRHLLSSTEKSVINLLHSYFEPFYKITTNLCASKVQSIGLVLFFMDHVFEVIGACRDSCRNEWLKSAADDMATRTRSFNNHAYNSFTFMAAVLDPRIKRELIPESLNSEKNLEEARDHFSRHYSPNQFPCITNTYTGRDTEEVGVSFAEEIARKRRRASMIAATDELTQYLTEPLVPIASDVLDWWRVNATRYPRLSVMARDYLAVQGTSVEPEELFSSKGDDIRKQQFSLPHMSMQPLMCIKSWLHCGYKFKYRGSLIDFDKLTEASVSANEIAGTIVEKKQK >DRNTG_11613.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27575214:27580188:-1 gene:DRNTG_11613 transcript:DRNTG_11613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDVSLLPNVDSIEFGIGSSEKGNTLTTGKPRKKSMTSLYLKFFETAPDGKSRRCKFCKQSYAMSTATGNLGRHLNHHHPGYDWQSDTSEQVAGAIVPFKKPPTQVKPATVDFDHLNWLLLKWLIEASLPPSSLDEMLNRSFKFLNPLVKFWPKEKVQAVILEVFRSMREDIKASLEQINSKVSITLDFWTSCEQLYYMCVKCHWIDENWYPHKVLLDVCHIPYPFTGSEIFQVLIKVLKMYNIDNRVLSCTHDNSQHAVHACRMLSEELDAQKAPFCYIPCAARTLNLIIEDGLRTPKPILSKIREFVIQLNSSAEIAQDFKQIAITYQEGLWKLPLDTSASWSGDYTMLDIVRKASNSMDTAIRKHEETFGSRHLLSSTEKSVINLLHSYFEPFYKITTNLCASKVQSIGLVLFFMDHVFEVIGACRDSCRNEWLKSAADDMATRTRSFNNHAYNSFTFMAAVLDPRIKRELIPESLNSEKNLEEARDHFSRHYSPNQFPCITNTYTGRDTEEVGVSFAEEIARKRRRASMIAATDELTQYLTEPLVPIASDVLDWWRVNATRYPRLSVMARDYLAVQGTSVEPEELFSSKGDDIRKQQFSLPHMSMQPLMCIKSWLHCGYKFKYRGSLIDFDKLTEASVSANEIAGTIVEKKQK >DRNTG_21639.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:98605:100768:1 gene:DRNTG_21639 transcript:DRNTG_21639.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLDATRAELGLIVLYLNKAEARDKICRAIQYGSKFISNGQSGTAQNVDKTTTLARKVFRLFKFVNDLHSLISPHPQGTPLPLILLGKSKNALLSTFFFLDQFVWAGRTGIYQNKEKVELIGRISLFCWMGSSICTSLVELAELVRLSSSMKKLEKDQNESDKYQNEQYINKLKQSNERLLALIKSSMDIVVAIGLLQLAPKTVNSRFTGAFGFVTSLISCYQLLPSQTKAKAQ >DRNTG_21639.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:98605:100768:1 gene:DRNTG_21639 transcript:DRNTG_21639.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLDATRAELGLIVLYLNKAEARDKICRAIQYGSKFISNGQSGTAQNVDKTTTLARKVFRLFKFVNDLHSLISPHPQGTPLPLILLGKSKNALLSTFFFLDQFVWAGRTGIYQNKEKVELIGRISLFCWMGSSICTSLVELAELVRLSSSMKKLEKDQNESDKYQNEQYINKLKQSNERLLALIKSSMDIVVAIGLLQLAPKTVNSRFTGAFGFVTSLISCYQLLPSQTKAKAQ >DRNTG_21639.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:98605:100768:1 gene:DRNTG_21639 transcript:DRNTG_21639.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLDATRAELGLIVLYLNKAEARDKICRAIQYGSKFISNGQSGTAQNVDKTTTLARKVFRLFKFVNDLHSLISPHPQGTPLPLILLGKSKNALLSTFFFLDQFVWAGRTGIYQNKEKVELIGRISLFCWMGSSICTSLVELAELVRLSSSMKKLEKDQNESDKYQNEQYINKLKQSNERLLALIKSSMDIVVAIGLLQLAPKTVNSRFTGAFGFVTSLISCYQLLPSQTKAKAQ >DRNTG_35320.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1517547:1519383:-1 gene:DRNTG_35320 transcript:DRNTG_35320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKFTTNLPFLTFIFILFFSACLSDDSPATPVNAGAACNLAPDPSFCKQVLPPNKTDSIYNYSRFSFAKSLLNARKFADLINRYLSRPKTLTSAALQALRDCQFLCNLNIEFLIASSNVVNSTTNLLDPQTELIHTLLSALLTNQQTCLESLQSSAQAWSTKNGITSPLFNGSKLYSVSLALFTKAWVPNKKIKSSKNSFVSMRVPQVKDKKKRKPPHHGSRSLLFHEVDLNGDSGLPLKMSDEKRRAFGRRKLLNDSSDPVLVADYVVVSQDGSGNFTNITDAINSAPNNNNGSNGYFLIYVTGGVYEEYVNVPSKKMYIMMIGDGINQTVITGNRSVGDGWTTFNSATFIVTGQGFVGISLSIRNTAGAVKGQAVALRNGADLSTFYDCSIEGYQDTLYTHSLRQFYRECDVYGTVDFIFGNAAVVFQNCNIYSRVPIHGQSNTITAQGRTDPNQNTGTSMQNCSLLAGADLAANPGAAITYLGRPWKMYSRTVIMQSFMDSLIDPTGWLAWNGTFAIDTLYYGEYVNRGPGSDTSNRVNWSGVHPVMNRTDAANYTVSNFLLGDNWLPPTGVPYYSGLL >DRNTG_20706.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21127868:21137432:-1 gene:DRNTG_20706 transcript:DRNTG_20706.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RadA family protein [Source: Projected from Oryza sativa (Os06g0151600)] MQRLHLNLHLHLHLHLHPQFSMQSLRHLLLQLPKSKPYAINPVSVVSLSSSFHSSHQRWNQEQNGENPKVWTFYDPLGDQIVTRADGDDKCRAGDGEEKRTAGKNKKRDEDSSGANSQAWSSMARRKPGKVKVSWVCENCGVTPGQWWGTCPSCQTLGSVKQFSESEVSRARGAEVSEAAMRSWLPKKLGNLAPQSIAEINKGKNQSEWRIPLSGHFGMEVARVLGGGLVPGSLVLVGGDPGVGKSTLLLQLAAIIAQGLGYKGPAPVIYVSGEESIEQIANRADRMRITTGDLFLYSSTDIEDILYKIQPLSPQALIVDSIQTVYLRGVTGSAGNIMQVKECTSALLRFAKQTDIPVLLIGHVTKTGDIAGPRILEHIVDTVLYMEGERYSSYRLLRSVKNRFGSTDELGVFEMSQSGLQAVSNPSEMFLSEHYTDSEVLAGLAITVIVDGSRAFVIEIQVANVLFRDEDYFLLFMAFFVHVH >DRNTG_20706.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21127435:21137432:-1 gene:DRNTG_20706 transcript:DRNTG_20706.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RadA family protein [Source: Projected from Oryza sativa (Os06g0151600)] MQRLHLNLHLHLHLHLHPQFSMQSLRHLLLQLPKSKPYAINPVSVVSLSSSFHSSHQRWNQEQNGENPKVWTFYDPLGDQIVTRADGDDKCRAGDGEEKRTAGKNKKRDEDSSGANSQAWSSMARRKPGKVKVSWVCENCGVTPGQWWGTCPSCQTLGSVKQFSESEVSRARGAEVSEAAMRSWLPKKLGNLAPQSIAEINKGKNQSEWRIPLSGHFGMEVARVLGGGLVPGSLVLVGGDPGVGKSTLLLQLAAIIAQGLGYKGPAPVIYVSGEESIEQIANRADRMRITTGDLFLYSSTDIEDILYKIQPLSPQALIVDSIQTVYLRGVTGSAGNIMQVKECTSALLRFAKQTDIPVLLIGHVTKTGDIAGPRILEHIVDTVLYMEGERYSSYRLLRSVKNRFGSTDELGVFEMSQSGLQAVSNPSEMFLSEHYTDSEVLAGLAITVIVDGSRAFVIEIQALCVSNSA >DRNTG_20706.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21136752:21137432:-1 gene:DRNTG_20706 transcript:DRNTG_20706.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RadA family protein [Source: Projected from Oryza sativa (Os06g0151600)] MQRLHLNLHLHLHLHLHPQFSMQSLRHLLLQLPKSKPYAINPVSVVSLSSSFHSSHQRWNQEQNGENPKVWTFYDPLGDQIVTRADGDDKCRAGDGEEKRTAGKNKKRDEDSSGANSQAWSSMARRKPGKVKVSWVCENCGVTPGQWWGTCPSCQTLGSVKQFSESEVSRARGAEVSEAAMRSWLPKKLGNLAPQSIAEINKGKNQSEWRIPL >DRNTG_27833.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19656499:19658222:1 gene:DRNTG_27833 transcript:DRNTG_27833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERSTNLDWYKGPTLLDALDMIQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGILKPGMVVTFGPTGLTTEVKSVEMHHESLPEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTAQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGFVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDPSGAKVTKSAAKKK >DRNTG_34251.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28117966:28121638:-1 gene:DRNTG_34251 transcript:DRNTG_34251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGAWWRWCSYKRTTFVVCSVNVIVALCVLRSVYLHVFSSSSDPDAISEFKYTDDQIKRVRESIRLRQESEPAELVKLVKKLNGFLQEERLELSLHMKQNLTNEILSRLKGVNNAANVTKQREAVERWRTEKLEAVRKSSFANPIINTSSTVRETKYLEKTLESNLLMLLEDIGLWMPADVVNKDNNNKPENEPELEEEEIIPGRPIPPECHAERHTDYDGAAVRWGLTHHKETAADCCQACLDHAKRAKPGEIKCNIWVYCPSPSGCYSPDIYEHKHQECWLKQAATPRLNFKDKYSESYRNSHPTAPVIVPWISGVVSA >DRNTG_30767.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28736226:28738490:-1 gene:DRNTG_30767 transcript:DRNTG_30767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKKMGDRDELHVLAVDDSVIERKFLEIMLKKSHYKVTTAENGLRALEQLGLIGDENPSTMEGNDLKVNLIITDYCMPGMTGYELMKKIKVLMKYSIHSTKLVLKFEEKIKCRSHQT >DRNTG_09446.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21256299:21256768:-1 gene:DRNTG_09446 transcript:DRNTG_09446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTVNYYFGAKVQSPSTGIVLNNEMNDFSVPGEPTPDELPPSPANFIEPGKRPLSSMTPVIILKAIYL >DRNTG_16767.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22160663:22162105:1 gene:DRNTG_16767 transcript:DRNTG_16767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKYVLDGVFSVKSDVFSFGVLILEIISGQRNRAFLSQPNLYLLGNAWSLWNEGKVLDLLDPLIGNLFSVSQVMRCVNIGLLCVQEKAEDRPIMSSVVIMLGNVYDDAPLATPKAPGFNGI >DRNTG_16767.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22160663:22161334:1 gene:DRNTG_16767 transcript:DRNTG_16767.2 gene_biotype:protein_coding transcript_biotype:protein_coding VLRPCRLTGPRLVGLRPFVSAPGSGRDTKYSTQGVDQFKNEVTFIAKLQHRNLVRLLGYCIQRDEKILVYEYMPNGSLDALLFDKEKGVHLDWQTRFHIIV >DRNTG_04373.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20531993:20534045:1 gene:DRNTG_04373 transcript:DRNTG_04373.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHPLLPTVARGGAEPQNKLRRLPRIFSKMLELPLRRGADVAIEEETTNLRFTAATEKAWHEVQAHAIEILPGFTKVVIRDAAGADPEAGYDDLEFDRWRCRLPDSALPGLATARYENGKLIVTVPK >DRNTG_04373.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20531993:20532655:1 gene:DRNTG_04373 transcript:DRNTG_04373.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHPLLPTVARGGAEPQNKLRRLPRIFSKMLELPLRRGADVAIEEETTNLRFTAATEKAWHEVQAHAIEILPGFTKVVIRDAAGADPEAGYDDLEFDRWRCRLPDSALPGLATARYENGKLIVTVPK >DRNTG_28836.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28246783:28248174:1 gene:DRNTG_28836 transcript:DRNTG_28836.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSAADIVLWRNKQLSASILAGVTVLWLLFECMGYHLLTFVSHSLILALAVSFIWSNAAAFLNRAPPKFPEVMLSEELFLSIAHTIRYEINEAFATFQYVASGKDLKKFLMVIAGLWIVSVVGSWFSFLTLSYIVFVLLYTLPALYENYEDQVDTAAEKAMVEINKKYAILDAKFLQKIPRGPFADKKQQ >DRNTG_24349.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:399969:400684:1 gene:DRNTG_24349 transcript:DRNTG_24349.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEGTEPDHVTFVNLLCACSHMGLVEKGWHFFRLMQDEYCLEARVEHYACMVDILSRAGLVENAKEFIASVPIDHGTSLWRILLGACRDRQSFDDIGAYAGERLVELGTQDSSAYILLSNIYAARNRWDDVERVRRKMRERGVNKDPGCSWIEFKSGVHVFVSRELLHPQIKEIQEQVRSLTKHMRLEGYHTCLQLHSFPEYSIQEQVTEEDEFQLIESCVS >DRNTG_06943.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16774261:16776582:1 gene:DRNTG_06943 transcript:DRNTG_06943.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFSEVVMICPQVSGLKWRGSPGDLQIHRSPLQPSLILVLELCLMKRTESEPLSSPSHKELIATKAKKGSQRPSGLLVYGSPIEVSPLVDSWLR >DRNTG_06943.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16774145:16776582:1 gene:DRNTG_06943 transcript:DRNTG_06943.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFSEVVMICPQVSGLKWRGSPGDLQIHRSPLQPSLILVLELCLMKRTESEPLSSPSHKELIATKAKKGSQRPSGLLVYGSPIEVSPLVDSWLR >DRNTG_06943.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16774261:16778497:1 gene:DRNTG_06943 transcript:DRNTG_06943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFSEVVMICPQVSGLKWRGSPGDLQIHRSPLQPSLILVLELCLMKRTESEPLSSPSHKELIATKAKKGSQRPSGLLVYGSPIEVSPLVDSWLRAHFWEL >DRNTG_06943.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16774261:16778497:1 gene:DRNTG_06943 transcript:DRNTG_06943.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFSEVVMICPQVSGLKWRGSPGDLQIHRSPLQPSLILVLELCLMKRTESEPLSSPSHKELIATKAKKGSQRPSGLLVYGSPIEVSPLVDSWLRAHFWEL >DRNTG_09066.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1260681:1262429:-1 gene:DRNTG_09066 transcript:DRNTG_09066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLFIPIILSFITIATADTIAVLDTDGDVLKPGIEYYIVPGITDVAGGLTLASRNGSCPLSVAQAPRGGGDGFPVIFTPANPNAKTVNIGEDTNIAFSAVTICIQLTVWRLTGPDEVSKWFYVSTGGVTGNPGKNTLSNWFKIEQYMGVYKLVFCPGVCDVCRPVCGDLTIDVVGDQRWLALSRGSGVDWSTMKEIEMEGVLEAVDFSTSQ >DRNTG_22324.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:503788:507024:1 gene:DRNTG_22324 transcript:DRNTG_22324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWQSALPLYQGTTSSSRRRRRRRRRGNG >DRNTG_15350.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12312150:12314851:1 gene:DRNTG_15350 transcript:DRNTG_15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIEKNICESILGTLLNIEGKTKDTIIARLDLEDLNIKKELHLKKQSNGSYLMPPACYTLSKDEKKKFCEFLKYVKFPDGYASNISRCANANDGKLSGLKSHDCHILLQRLLPVAIRNFVSKDVFQALDELANFFRLLCCKTLKKKDLLVLEADIIIILCKLEKIFPPAFFDVMVHLALHLPQEAMLGGPVHYRWMYPIERFLGKLKAYVRNKARPEGCIAESYIYTECLTFCSMYLSGIETKFNREERNYDGLKNMNDRQLSIFSTTVRPFGASKFNILSEQEFKMIQWYILNNCDEVEPYLLKYKMELDQQSSFSYEEIQKERFPIWFKTQITSLRNQGLEEVSDELFALAYGPDARVRKYTGCIVNGVRFHTKEHEFHLRSQNSGVVVEGNHEANEIDFYGVLTDIIQLDYIKDCKVVIFRCQWFDLGGKRRIHKDGHLTSIMVNRFWYENDPFILAIQAKQVFYVDDIKLGRDWKVVQKFHHRHLFDVPEMQDNENSEIFDVDANIDQDTEIYGSERIFQIEDNGERPLNRQDIAAEVIDSEFIERNKKTNEDPYLEDIDENINEVEEFQSSEDDDSDLDPFTPYCSGEWCWKYAMNKTNYLCRQKYAAVAMAYLDLHPSNL >DRNTG_15350.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12313076:12314851:1 gene:DRNTG_15350 transcript:DRNTG_15350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSGIETKFNREERNYDGLKNMNDRQLSIFSTTVRPFGASKFNILSEQEFKMIQWYILNNCDEVEPYLLKYKMELDQQSSFSYEEIQKERFPIWFKTQITSLRNQGLEEVSDELFALAYGPDARVRKYTGCIVNGVRFHTKEHEFHLRSQNSGVVVEGNHEANEIDFYGVLTDIIQLDYIKDCKVVIFRCQWFDLGGKRRIHKDGHLTSIMVNRFWYENDPFILAIQAKQVFYVDDIKLGRDWKVVQKFHHRHLFDVPEMQDNENSEIFDVDANIDQDTEIYGSERIFQIEDNGERPLNRQDIAAEVIDSEFIERNKKTNEDPYLEDIDENINEVEEFQSSEDDDSDLDPFTPYCSGEWCWKYAMNKTNYLCRQKYAAVAMAYLDLHPSNL >DRNTG_10043.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1756058:1757855:-1 gene:DRNTG_10043 transcript:DRNTG_10043.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVNGQSRVPPGFRFHPTEEELLNYYLTKKVACEKIDLDVIRDVDLNKLEPWDIQEKCRIGSTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKVIHTSYKRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDHCSDNNSSNVSSCTMGGETGGQEDGWVVCKVFKKKNHHKVLDSPNTSSSININEAKTQVLHSSNDGSLDQILQYMGRSCKQENEVFNINTPTTNINSNSNNNAWKYLLPIDAAIGSSLHERFMKLPALESPMSNEYPPAHPDNSSGSQTSYTPDGLHAVVNMCSTDMSTEAGLGDWAALDRLVASHLNGSESEASKHDLSCFDDTGYDYQSGGDGDLWSFRHASH >DRNTG_10043.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1755527:1757817:-1 gene:DRNTG_10043 transcript:DRNTG_10043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVNGQSRVPPGFRFHPTEEELLNYYLTKKVACEKIDLDVIRDVDLNKLEPWDIQEKCRIGSTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKVIHTSYKRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDHCSDNNSSNVSSCTMGGETGGQEDGWVVCKVFKKKNHHKVLDSPNTSSSININEAKTQVLHSSNDGSLDQILQYMGRSCKQENEVFNINTPTTNINSNSNNNAWKYLLPIDAAIGSSLHERFMKLPALESPMSNEYPPAHPDNSSGSQTSYTPDGLHAVVNMCSTDMSTEAGLGDWAALDRLVASHLNGSESEASKHDLSCFDDTGYDYQSGGDGDLWSFRHASH >DRNTG_07227.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14160891:14165156:-1 gene:DRNTG_07227 transcript:DRNTG_07227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCAARLSMPAAHGAQIRPLRARYPLAAGLCCVSFVGSRLWSSSTSHSKPFACVASASFSTSASVSKEAVQTEKAPAALGPYSQAIKANNLLFVSGVLGLVPETGKFISDSIEGQTEQVLKNMGEILKASGADYSAVVKTTIMLADLKDFKAVNEIYSKYFSSPAPARSTYQVAALPMNARIEIECIAAL >DRNTG_05206.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22710757:22711290:1 gene:DRNTG_05206 transcript:DRNTG_05206.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSIPCEIGNLVNLRDLEISDNQITGPIPHSIGNLTKLKTFYLYNNSINGSIPCEIGNLVNLRDLEISDNQITGPIPHSIGNLTKLETFYLHDNSINGSIPCEIGNLVNLRDLEISDNQITGPIPHSIGN >DRNTG_05206.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22710757:22711081:1 gene:DRNTG_05206 transcript:DRNTG_05206.2 gene_biotype:protein_coding transcript_biotype:protein_coding GSIPCEIGNLVNLRDLEISDNQITGPIPHSIGNLTKLKTFYLYNNSINGSIPCEIGNLVNLRDLEISDNQITGPIPHSIGNLTKLETFYLYDNSIKGSIPCEIGNLVN >DRNTG_23885.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:83075:85705:-1 gene:DRNTG_23885 transcript:DRNTG_23885.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT1.5 [Source:Projected from Arabidopsis thaliana (AT3G14240) UniProtKB/Swiss-Prot;Acc:Q9LUM3] MLLSILITILFFFFFFFFHSPLISAVDPPSINGHDDLRTYIIHMDHESKPSIFPSHRLWYETSLLSITASDASAGADIIHTYSTVFHGFSARLSSSAAASFASLPGVISLLPELIRHPSTTRSPEFLGLSGHHQSHHPSPSTGSLLSESDFGSDLVIAVIDTGIWPERRSFSDSGLGPVPAKWRGSCDAGIRCNRKLVGARFFSGGYESATGRMNESAEIRSPRDTDGHGTHTASIAAGRYAFPASSLGYAKGLAAGMAPKARLAVYKVCWAAGCFDSDILAAFDAALADGADVISLSVGGAVVPYHLDAIAVAAFTSAEHGIFVSASAGNGGPAPLSVTNVAPWLTTVGAGSLDRDFPADVRLADGRTVPGVSVYGGPDLPHGRFFPLVYSSAAGDGYSSSLCLEGSLNPSSTAGKIVVCDRGVNSRAAKGEVVRKAGGIGMILANGVFDGEGLVADCHVLPATAVGATSGEIIRKYITSSTPSSPAMATIVFHGTRLGVHPAPVVAAFSARGPNPQSPDILKPDIIAPGLNILAAWPDNIGPAGIPSDHRQTEFNILSGTSMACPHVSGLAALLKAAHPEWSPAAIRSALMTTAYTKDNRDKTMLDESSGNSSTVFDYGAGHVDPQRAMDPGLIYDLTATDYINFLCNLNYTSDSIKTITRRSADCRGARRAGPCRKP >DRNTG_07425.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7110448:7111242:-1 gene:DRNTG_07425 transcript:DRNTG_07425.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C-2 [Source:Projected from Arabidopsis thaliana (AT1G56170) UniProtKB/Swiss-Prot;Acc:Q8LCG7] MDQQGHGTPPVMGVAAPVQYGTPAAAGAPYQAYQHMFAQQQQQQQQQLQMFWADQYREIEQTTDFKNHSLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRSWAHAEENKRRTLQKNDIAAGDPLSYYYVQQ >DRNTG_25305.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001328.1:8036:10774:-1 gene:DRNTG_25305 transcript:DRNTG_25305.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRQYQEETYPYMLLVVVGLCLPLFLREMTNWFLSSLAFGKYFYHSTTSLGSCRNELSFRFRSARKTRC >DRNTG_29937.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2343925:2345978:1 gene:DRNTG_29937 transcript:DRNTG_29937.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTERVEGADGQLLCHRFHSHRPNPIRFLASSAGHDHQENRNISASCLLP >DRNTG_17105.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000872.1:17647:18770:-1 gene:DRNTG_17105 transcript:DRNTG_17105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAINAAAKAGVRRFVFTSSIGAVYMNPNRSSDTALDENCWSDLDYCKKTDNWYCYAKMVAELVAVDLAKKRGLDLIVVVPPV >DRNTG_07572.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21946521:21954221:1 gene:DRNTG_07572 transcript:DRNTG_07572.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPNLDALVPLVLLHLMDDDIGSHQLRSHQIGNSTQSNEIESPNPEGSFPNEHTIEEDIGDHTESTTQIGRLKSVVWSHFKKTQVNGEDKAQCNYCKKLLSGKSKNGMKHLHLHMESCIQ >DRNTG_07572.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21944790:21954221:1 gene:DRNTG_07572 transcript:DRNTG_07572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPNLDALVPLVLLHLMDDDIGSHQLRSHQIGNSTQSNEIESPNPEGSFPNEHTIEEDIGDHTESTTQIGRLKSVVWSHFKKTQVNGEDKAQCNYCKKLLSGKSKNGMKHLHLHMESCIQ >DRNTG_06260.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8245366:8251825:1 gene:DRNTG_06260 transcript:DRNTG_06260.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSAELLDQDFKFVELEEVLQYYPQGYHGVDKQGRPVYIERLGQVDHNKLMNITTVERFMKYHVQEFEKAFCEKFLACSIAAKRHINSSTTILDVHGLGLKSLNKTARDLLLNLQKIDGSYYPETLHQMFIVNAGHGFKLLWNTVKSFLDPKTTAKIHVLGTKYQSKLLEAIDSSQLPDFLGGSCTCSDAGGCLRSNKGPWNDPTVMKLVRKLDGTFARETKKALDREWTNESCTWPHKLKTRGRFSDTSTAESGSDVDDLGSPALSRMSDFFILDPVHEEIKVRDSTASYNCDNNFVAVNKTVECGQGETSAMRAAIELDNKRHTDRTSCSPDNSALDRHTAKDGVEGGRGFLRNIARAFVAFLVKVLSIIRILHLRKDKRRLENVHPLDASVTDFDQNLTPTPVKEEPVNPCLQRLQRLEAVFHELSNKRAEIPPEKERMLLESWDRIKSIEFDLRQTKNVLKATIVKQMEIQDSLASVQESNVVKKKFCLQ >DRNTG_06260.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8245366:8251825:1 gene:DRNTG_06260 transcript:DRNTG_06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSEILLTQCERKGRRLDGEVSDDESRRTKIGALKKKAFGASTKFTHSLKRRGKKKIDYQVPSISIEDIRDAEEEQAVYSFRQELITQDLLPKKHDDYHKLLRFLKARKFDFNKSSQMWAEMLQWRKDFGTDTIMEDFKFVELEEVLQYYPQGYHGVDKQGRPVYIERLGQVDHNKLMNITTVERFMKYHVQEFEKAFCEKFLACSIAAKRHINSSTTILDVHGLGLKSLNKTARDLLLNLQKIDGSYYPETLHQMFIVNAGHGFKLLWNTVKSFLDPKTTAKIHVLGTKYQSKLLEAIDSSQLPDFLGGSCTCSDAGGCLRSNKGPWNDPTVMKLVRKLDGTFARETKKALDREWTNESCTWPHKLKTRGRFSDTSTAESGSDVDDLGSPALSRMSDFFILDPVHEEIKVRDSTASYNCDNNFVAVNKTVECGQGETSAMRAAIELDNKRHTDRTSCSPDNSALDRHTAKDGVEGGRGFLRNIARAFVAFLVKVLSIIRILHLRKDKRRLENVHPLDASVTDFDQNLTPTPVKEEPVNPCLQRLQRLEAVFHELSNKRAEIPPEKERMLLESWDRIKSIEFDLRQTKNVLKATIVKQMEIQDSLASVQESNVVKKKFCLQ >DRNTG_06260.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8245366:8251825:1 gene:DRNTG_06260 transcript:DRNTG_06260.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSAELLDQDFKFVELEEVLQYYPQGYHGVDKQGRPVYIERLGQVDHNKLMNITTVERFMKYHVQEFEKAFCEKFLACSIAAKRHINSSTTILDVHGLGLKSLNKTARDLLLNLQKIDGSYYPETLHQMFIVNAGHGFKLLWNTVKSFLDPKTTAKIHVLGTKYQSKLLEAIDSSQLPDFLGGSCTCSDAGGCLRSNKGPWNDPTVMKLVRKLDGTFARETKKALDREWTNESCTWPHKLKTRGRFSDTSTAESGSDVDDLGSPALSRMSDFFILDPVHEEIKVRDSTASYNCDNNFVAVNKTVECGQGETSAMRAAIELDNKRHTDRTSCSPDNSALDRHTAKDGVEGGRGFLRNIARAFVAFLVKVLSIIRILHLRKDKRRLENVHPLDASVTDFDQNLTPTPVKEEPVNPCLQRLQRLEAVFHELSNKRAEIPPEKERMLLESWDRIKSIEFDLRQTKNVLKATIVKQMEIQDSLASVQESNVVKKKFCLQ >DRNTG_06260.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8245366:8251825:1 gene:DRNTG_06260 transcript:DRNTG_06260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSEILLTQCERKGRRLDGEVSDDESRRTKIGALKKKAFGASTKFTHSLKRRGKKKIDYQVPSISIEDIRDAEEEQAVYSFRQELITQDLLPKKHDDYHKLLRFLKARKFDFNKSSQMWAEMLQWRKDFGTDTIMEDFKFVELEEVLQYYPQGYHGVDKQGRPVYIERLGQVDHNKLMNITTVERFMKYHVQEFEKAFCEKFLACSIAAKRHINSSTTILDVHGLGLKSLNKTARDLLLNLQKIDGSYYPETLHQMFIVNAGHGFKLLWNTVKSFLDPKTTAKIHVLGTKYQSKLLEAIDSSQLPDFLGGSCTCSDAGGCLRSNKGPWNDPTVMKLVRKLDGTFARETKKALDREWTNESCTWPHKLKTRGRFSDTSTAESGSDVDDLGSPALSRMSDFFILDPVHEEIKVRDSTASYNCDNNFVAVNKTVECGQGETSAMRAAIELDNKRHTDRTSCSPDNSALDRHTAKDGVEGGRGFLRNIARAFVAFLVKVLSIIRILHLRKDKRRLENVHPLDASVTDFDQNLTPTPVKEEPVNPCLQRLQRLEAVFHELSNKRAEIPPEKERMLLESWDRIKSIEFDLRQTKNVLKATIVKQMEIQDSLASVQESNVVKKKFCLQ >DRNTG_06260.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8245366:8251825:1 gene:DRNTG_06260 transcript:DRNTG_06260.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLWFQDFKFVELEEVLQYYPQGYHGVDKQGRPVYIERLGQVDHNKLMNITTVERFMKYHVQEFEKAFCEKFLACSIAAKRHINSSTTILDVHGLGLKSLNKTARDLLLNLQKIDGSYYPETLHQMFIVNAGHGFKLLWNTVKSFLDPKTTAKIHVLGTKYQSKLLEAIDSSQLPDFLGGSCTCSDAGGCLRSNKGPWNDPTVMKLVRKLDGTFARETKKALDREWTNESCTWPHKLKTRGRFSDTSTAESGSDVDDLGSPALSRMSDFFILDPVHEEIKVRDSTASYNCDNNFVAVNKTVECGQGETSAMRAAIELDNKRHTDRTSCSPDNSALDRHTAKDGVEGGRGFLRNIARAFVAFLVKVLSIIRILHLRKDKRRLENVHPLDASVTDFDQNLTPTPVKEEPVNPCLQRLQRLEAVFHELSNKRAEIPPEKERMLLESWDRIKSIEFDLRQTKNVLKATIVKQMEIQDSLASVQESNVVKKKFCLQ >DRNTG_06260.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8245366:8251825:1 gene:DRNTG_06260 transcript:DRNTG_06260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLWFQDFKFVELEEVLQYYPQGYHGVDKQGRPVYIERLGQVDHNKLMNITTVERFMKYHVQEFEKAFCEKFLACSIAAKRHINSSTTILDVHGLGLKSLNKTARDLLLNLQKIDGSYYPETLHQMFIVNAGHGFKLLWNTVKSFLDPKTTAKIHVLGTKYQSKLLEAIDSSQLPDFLGGSCTCSDAGGCLRSNKGPWNDPTVMKLVRKLDGTFARETKKALDREWTNESCTWPHKLKTRGRFSDTSTAESGSDVDDLGSPALSRMSDFFILDPVHEEIKVRDSTASYNCDNNFVAVNKTVECGQGETSAMRAAIELDNKRHTDRTSCSPDNSALDRHTAKDGVEGGRGFLRNIARAFVAFLVKVLSIIRILHLRKDKRRLENVHPLDASVTDFDQNLTPTPVKEEPVNPCLQRLQRLEAVFHELSNKRAEIPPEKERMLLESWDRIKSIEFDLRQTKNVLKATIVKQMEIQDSLASVQESNVVKKKFCLQ >DRNTG_06260.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8245366:8251825:1 gene:DRNTG_06260 transcript:DRNTG_06260.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSAELLDQDFKFVELEEVLQYYPQGYHGVDKQGRPVYIERLGQVDHNKLMNITTVERFMKYHVQEFEKAFCEKFLACSIAAKRHINSSTTILDVHGLGLKSLNKTARDLLLNLQKIDGSYYPETLHQMFIVNAGHGFKLLWNTVKSFLDPKTTAKIHVLGTKYQSKLLEAIDSSQLPDFLGGSCTCSDAGGCLRSNKGPWNDPTVMKLVRKLDGTFARETKKALDREWTNESCTWPHKLKTRGRFSDTSTAESGSDVDDLGSPALSRMSDFFILDPVHEEIKVRDSTASYNCDNNFVAVNKTVECGQGETSAMRAAIELDNKRHTDRTSCSPDNSALDRHTAKDGVEGGRGFLRNIARAFVAFLVKVLSIIRILHLRKDKRRLENVHPLDASVTDFDQNLTPTPVKEEPVNPCLQRLQRLEAVFHELSNKRAEIPPEKERMLLESWDRIKSIEFDLRQTKNVLKATIVKQMEIQDSLASVQESNVVKKKFCLQ >DRNTG_06260.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8245366:8251825:1 gene:DRNTG_06260 transcript:DRNTG_06260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSEILLTQCERKGRRLDGEVSDDESRRTKIGALKKKAFGASTKFTHSLKRRGKKKIDYQVPSISIEDIRDAEEEQAVYSFRQELITQDLLPKKHDDYHKLLRFLKARKFDFNKSSQMWAEMLQWRKDFGTDTIMEDFKFVELEEVLQYYPQGYHGVDKQGRPVYIERLGQVDHNKLMNITTVERFMKYHVQEFEKAFCEKFLACSIAAKRHINSSTTILDVHGLGLKSLNKTARDLLLNLQKIDGSYYPETLHQMFIVNAGHGFKLLWNTVKSFLDPKTTAKIHVLGTKYQSKLLEAIDSSQLPDFLGGSCTCSDAGGCLRSNKGPWNDPTVMKLVRKLDGTFARETKKALDREWTNESCTWPHKLKTRGRFSDTSTAESGSDVDDLGSPALSRMSDFFILDPVHEEIKVRDSTASYNCDNNFVAVNKTVECGQGETSAMRAAIELDNKRHTDRTSCSPDNSALDRHTAKDGVEGGRGFLRNIARAFVAFLVKVLSIIRILHLRKDKRRLENVHPLDASVTDFDQNLTPTPVKEEPVNPCLQRLQRLEAVFHELSNKRAEIPPEKERMLLESWDRIKSIEFDLRQTKNVLKATIVKQMEIQDSLASVQESNVVKKKFCLQ >DRNTG_06260.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8245366:8251825:1 gene:DRNTG_06260 transcript:DRNTG_06260.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSAELLDQDFKFVELEEVLQYYPQGYHGVDKQGRPVYIERLGQVDHNKLMNITTVERFMKYHVQEFEKAFCEKFLACSIAAKRHINSSTTILDVHGLGLKSLNKTARDLLLNLQKIDGSYYPETLHQMFIVNAGHGFKLLWNTVKSFLDPKTTAKIHVLGTKYQSKLLEAIDSSQLPDFLGGSCTCSDAGGCLRSNKGPWNDPTVMKLVRKLDGTFARETKKALDREWTNESCTWPHKLKTRGRFSDTSTAESGSDVDDLGSPALSRMSDFFILDPVHEEIKVRDSTASYNCDNNFVAVNKTVECGQGETSAMRAAIELDNKRHTDRTSCSPDNSALDRHTAKDGVEGGRGFLRNIARAFVAFLVKVLSIIRILHLRKDKRRLENVHPLDASVTDFDQNLTPTPVKEEPVNPCLQRLQRLEAVFHELSNKRAEIPPEKERMLLESWDRIKSIEFDLRQTKNVLKATIVKQMEIQDSLASVQESNVVKKKFCLQ >DRNTG_00667.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16204991:16206665:1 gene:DRNTG_00667 transcript:DRNTG_00667.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPYYVKEWSDGAGGQVKQICIWHGDLIYGILVSYERNGKFCLSSRHGGTEGDFEQILLDEPITCVSGYYGSWCLEPDIFESEEEAPYNYTTVIRSLKFETGRATYGPFGHETGLPFRFKMDTGCAGFHGRSSSDDDHGFLQAIGVYVRSFASKPNSDGCSPSISSAPSVFDPNENDEQ >DRNTG_00667.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16204991:16206665:1 gene:DRNTG_00667 transcript:DRNTG_00667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLRIGEDGEIYVIAKCKGNMQRPYYVKEWSDGAGGQVKQICIWHGDLIYGILVSYERNGKFCLSSRHGGTEGDFEQILLDEPITCVSGYYGSWCLEPDIFESEEEAPYNYTTVIRSLKFETGRATYGPFGHETGLPFRFKMDTGCAGFHGRSSSDDDHGFLQAIGVYVRSFASKPNSDGCSPSISSAPSVFDPNENDEQ >DRNTG_00667.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16204991:16206665:1 gene:DRNTG_00667 transcript:DRNTG_00667.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPYYVKEWSDGAGGQVKQICIWHGDLIYGILVSYERNGKFCLSSRHGGTEGDFEQILLDEPITCVSGYYGSWCLEPDIFESEEEAPYNYTTVIRSLKFETGRATYGPFGHETGLPFRFKMDTGCAGFHGRSSSDDDHGFLQAIGVYVRSFASKPNSDGCSPSISSAPSVFDPNENDEQ >DRNTG_00696.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30015125:30019231:-1 gene:DRNTG_00696 transcript:DRNTG_00696.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRADKIRIQKWYNIYKDHITLKDYEIHDGMGLELYYN >DRNTG_04023.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22909902:22919560:-1 gene:DRNTG_04023 transcript:DRNTG_04023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPLTLPVSRENPEEMALLLPCSGNVKVGQSSAIDLPRDGEAEFMFGTLHSSLLPRDSISQDSCIISNADPTRKPPIMSRDKASYDLIPSRDQVSDPITLMNIDMMPRDNQPSIISISPPILESLPRDPHKSDIPPDGDLLPHDKHSPNNVLPSSTKDLLSHDKHLTSTNLDITKLNKSTPDSGSGKVSHSSTKDDPTDSNMQGFLPSHLKILPPSIPIPDGYKWIFVHGGWTLIPIINSDKFYSEDPLPQNDPSDVPSNEELLDWGDDDDILDNDVADDDTFLEEAVGTAGGIIIAWDSSLVHGTLFHKGSFSISIGFSNRTNNHSWICTSVYGPNTRSIRPDFWNELRHLRNLISTPWVICGDFNTIFNFDDKNNGIFNPRDISTSQSILSDLDLIDPPINGRRFTWTNG >DRNTG_16511.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22344538:22345594:-1 gene:DRNTG_16511 transcript:DRNTG_16511.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSLRSSAPHREKSLRHTASMSSSLRLSTSSSKNALGHTASLRSSLRSSALN >DRNTG_19391.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22200536:22201442:1 gene:DRNTG_19391 transcript:DRNTG_19391.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DMP7 [Source:Projected from Arabidopsis thaliana (AT4G28485) UniProtKB/Swiss-Prot;Acc:Q5XV67] MAMNNQKNITTDAESQAKQPLLPSSNPPEDELTLMEKAIRQTFKSTSHLANLLPTGTVLAFQLLAPIFTNQGHCDYANRWMTALLVILCSFTCFILSFSDSFQDDGGKVRYGFATFSGFYVVDGAAVLPQTKRLEYKIKLMDFVHAFLSVLVFVAVALFDKNVVSCFFPEPSEEMNQLLTMLPVGIGVVTSGLFVTFPPTRHGIGFPLSPN >DRNTG_19391.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22200536:22201315:1 gene:DRNTG_19391 transcript:DRNTG_19391.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DMP7 [Source:Projected from Arabidopsis thaliana (AT4G28485) UniProtKB/Swiss-Prot;Acc:Q5XV67] MAMNNQKNITTDAESQAKQPLLPSSNPPEDELTLMEKAIRQTFKSTSHLANLLPTGTVLAFQLLAPIFTNQGHCDYANRWMTALLVILCSFTCFILSFSDSFQDDGGKVRYGFATFSGFYVVDGAAVLPQTKRLEYKIKLMDFVHAFLSVLVFVAVALFDKNVVSCFFPEPSEEMNQLLTMLPVGIGVVTSGLFVTFPPTRHGIGFPLSPN >DRNTG_19391.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22200243:22201315:1 gene:DRNTG_19391 transcript:DRNTG_19391.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DMP7 [Source:Projected from Arabidopsis thaliana (AT4G28485) UniProtKB/Swiss-Prot;Acc:Q5XV67] MAMNNQKNITTDAESQAKQPLLPSSNPPEDELTLMEKAIRQTFKSTSHLANLLPTGTVLAFQLLAPIFTNQGHCDYANRWMTALLVILCSFTCFILSFSDSFQDDGGKVRYGFATFSGFYVVDGAAVLPQTKRLEYKIKLMDFVHAFLSVLVFVAVALFDKNVVSCFFPEPSEEMNQLLTMLPVGIGVVTSGLFVTFPPTRHGIGFPLSPN >DRNTG_19391.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22200422:22201442:1 gene:DRNTG_19391 transcript:DRNTG_19391.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DMP7 [Source:Projected from Arabidopsis thaliana (AT4G28485) UniProtKB/Swiss-Prot;Acc:Q5XV67] MAMNNQKNITTDAESQAKQPLLPSSNPPEDELTLMEKAIRQTFKSTSHLANLLPTGTVLAFQLLAPIFTNQGHCDYANRWMTALLVILCSFTCFILSFSDSFQDDGGKVRYGFATFSGFYVVDGAAVLPQTKRLEYKIKLMDFVHAFLSVLVFVAVALFDKNVVSCFFPEPSEEMNQLLTMLPVGIGVVTSGLFVTFPPTRHGIGFPLSPN >DRNTG_19391.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22200422:22201315:1 gene:DRNTG_19391 transcript:DRNTG_19391.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DMP7 [Source:Projected from Arabidopsis thaliana (AT4G28485) UniProtKB/Swiss-Prot;Acc:Q5XV67] MAMNNQKNITTDAESQAKQPLLPSSNPPEDELTLMEKAIRQTFKSTSHLANLLPTGTVLAFQLLAPIFTNQGHCDYANRWMTALLVILCSFTCFILSFSDSFQDDGGKVRYGFATFSGFYVVDGAAVLPQTKRLEYKIKLMDFVHAFLSVLVFVAVALFDKNVVSCFFPEPSEEMNQLLTMLPVGIGVVTSGLFVTFPPTRHGIGFPLSPN >DRNTG_19391.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22200243:22201442:1 gene:DRNTG_19391 transcript:DRNTG_19391.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DMP7 [Source:Projected from Arabidopsis thaliana (AT4G28485) UniProtKB/Swiss-Prot;Acc:Q5XV67] MAMNNQKNITTDAESQAKQPLLPSSNPPEDELTLMEKAIRQTFKSTSHLANLLPTGTVLAFQLLAPIFTNQGHCDYANRWMTALLVILCSFTCFILSFSDSFQDDGGKVRYGFATFSGFYVVDGAAVLPQTKRLEYKIKLMDFVHAFLSVLVFVAVALFDKNVVSCFFPEPSEEMNQLLTMLPVGIGVVTSGLFVTFPPTRHGIGFPLSPN >DRNTG_06155.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25397902:25398391:1 gene:DRNTG_06155 transcript:DRNTG_06155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLSLALHHHHSSFISSLTLAYISHGLIHSAHLLFHTIPSPSPYLYNLMIRSLTNHGHFHHSLGLYSSLLSSTTHRPDKFTFPFALKSCAALSDIHNG >DRNTG_34112.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11179484:11182881:1 gene:DRNTG_34112 transcript:DRNTG_34112.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLNSTSSQQSNTNETTSPDQIQDLLEAARYADMDDVRSLSSAGVSLDSKDSQGRT >DRNTG_34112.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11179405:11183083:1 gene:DRNTG_34112 transcript:DRNTG_34112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLNSTSSQQSNTNETTSPDQIQDLLEAARYADMDDVRSLSSAGVSLDSKDSQGRTGSHLNSLNFSFHIIHFFS >DRNTG_34112.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11179576:11183083:1 gene:DRNTG_34112 transcript:DRNTG_34112.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLNSTSSQQSNTNETTSPDQIQDLLEAARYADMDDVRSLSSAGVSLDSKDSQGRTGSHLNSLNFSFHIIHFFS >DRNTG_34112.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11179405:11182881:1 gene:DRNTG_34112 transcript:DRNTG_34112.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLNSTSSQQSNTNETTSPDQIQDLLEAARYADMDDVRSLSSAGVSLDSKDSQGRT >DRNTG_34112.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11179484:11183083:1 gene:DRNTG_34112 transcript:DRNTG_34112.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLNSTSSQQSNTNETTSPDQIQDLLEAARYADMDDVRSLSSAGVSLDSKDSQGRTGSHLNSLNFSFHIIHFFS >DRNTG_34112.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11179576:11182881:1 gene:DRNTG_34112 transcript:DRNTG_34112.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLNSTSSQQSNTNETTSPDQIQDLLEAARYADMDDVRSLSSAGVSLDSKDSQGRT >DRNTG_20020.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4911233:4915392:1 gene:DRNTG_20020 transcript:DRNTG_20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVAFPGHTTPKRSKKASPLKKPSPNQHRSIWCTDRGQGSCFHLSS >DRNTG_15188.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5941905:5943123:-1 gene:DRNTG_15188 transcript:DRNTG_15188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVSYSMSSLTVEKLRRAFIFKESPLSLIELMTKLGGSFPLISVFTVKFFYNFLNDGCLLFPACTWLERNARIFNAYFASADAIISKIDHLLLSWFFTASKASKGHLEVPIASVHHNLLLKEPHTVSTTVTQTSEVDPILLEE >DRNTG_01737.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4189286:4189639:1 gene:DRNTG_01737 transcript:DRNTG_01737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDDDWGLDARRPKLHHHHHHHHHLVSHQTTTITSTSFPISSSLFPLLIRKRPPFSNMNSKTSATPSSPNSNPSKTQNHHLSNPPSL >DRNTG_22647.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20979153:20980779:1 gene:DRNTG_22647 transcript:DRNTG_22647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGIITPKTISEIHVHFHQKVNEPWTSYSEYPKSELNTLFARYLAVGFSHNQPEEEVKKAFENLVKCRYSDWMLRIRKPIFEKHETHSYKHPHSFIPSNVWKEMVDKWMGDNWQHKSDKNKINRSQSQIIHTTGRVSMAKYRSDMVKETGSELGPIDCFKKFHTKKNGESWATEKAKDLWDQMDNIRSIATSEGSIVNEWEIYRNVTGELSHGRVLGLGTGVQGKDVYGSSSSQTCSKRCKEIQKMKEKEWEDRFKQMESTIDKLQQQVPVMVQEVLQSLGLSNIQLAIHV >DRNTG_06535.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29510144:29510377:1 gene:DRNTG_06535 transcript:DRNTG_06535.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFEEVLGSEQANGTQGVGLVSKGEEEEVGSESESDEESSSEESSSSSSGEEE >DRNTG_08343.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3944992:3947736:-1 gene:DRNTG_08343 transcript:DRNTG_08343.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription factor IIIA [Source:Projected from Arabidopsis thaliana (AT1G72050) TAIR;Acc:AT1G72050] MTRHVKEFHNDVTTANGSEKKHVCQEEGCGKVFKYASRLRKHEGTHVKLEYVEVICGEPSCLKQFTNSECLKAHIESSHRYIKCEVCGARQLKKNFKRHKRAHDRKRGKCTTERVKCTFEDCLCTFSNKSNLTKHLKAVHEDCRPFTCTIADCGQKFSYKHVRDNHEKSAHVYVQGDFVETDELFHSQPRGGRKRKCLSVESLLRKRIVPPAEASTLDDGTEYLRWLLSDDNQE >DRNTG_08343.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3944992:3947736:-1 gene:DRNTG_08343 transcript:DRNTG_08343.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription factor IIIA [Source:Projected from Arabidopsis thaliana (AT1G72050) TAIR;Acc:AT1G72050] MGTAAIDAEEATSSPSPSPSPALALEETEAMVKEIKKPVFRDIRRYYCEFCGICRSKKALIRSHILSHHQEDMADDKKGGIEAATEEKGGNTCEECGASFRKPAHLKQHMQSHSLQRPFACPLDDCPHSYRRKDHLTRHSLKHEGKIFTCPLDNCNRKFAFQGNMTRHVKEFHNDVTTANGSEKKHVCQEEGCGKVFKYASRLRKHEGTHVKLEYVEVICGEPSCLKQFTNSECLKAHIESSHRYIKCEVCGARQLKKNFKRHKRAHDRKRGKCTTERVKCTFEDCLCTFSNKSNLTKHLKAVHEDCRPFTCTIADCGQKFSYKHVRDNHEKSAHVYVQGDFVETDELFHSQPRGGRKRKCLSVESLLRKRIVPPAEASTLDDGTEYLRWLLSDDNQE >DRNTG_08343.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3944992:3947736:-1 gene:DRNTG_08343 transcript:DRNTG_08343.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription factor IIIA [Source:Projected from Arabidopsis thaliana (AT1G72050) TAIR;Acc:AT1G72050] MTRHVKEFHNDVTTANGSEKKHVCQEEGCGKVFKYASRLRKHEGTHVKLEYVEVICGEPSCLKQFTNSECLKAHIESSHRYIKCEVCGARQLKKNFKRHKRAHDRKRGKCTTERVKCTFEDCLCTFSNKSNLTKHLKAVHEDCRPFTCTIADCGQKFSYKHVRDNHEKSAHVYVQGDFVETDELFHSQPRGGRKRKCLSVESLLRKRIVPPAEASTLDDGTEYLRWLLSDDNQE >DRNTG_08343.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3944848:3947736:-1 gene:DRNTG_08343 transcript:DRNTG_08343.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription factor IIIA [Source:Projected from Arabidopsis thaliana (AT1G72050) TAIR;Acc:AT1G72050] MGTAAIDAEEATSSPSPSPSPALALEETEAMVKEIKKPVFRDIRRYYCEFCGICRSKKALIRSHILSHHQEDMADDKKGGIEAATEEKGGNTCEECGASFRKPAHLKQHMQSHSLQRPFACPLDDCPHSYRRKDHLTRHSLKHEGKIFTCPLDNCNRKFAFQGNMTRHVKEFHNDVTTANGSEKKHVCQEEGCGKVFKYASRLRKHEGTHVKLEYVEVICGEPSCLKQFTNSECLKAHIESSHRYIKCEVCGARQLKKNFKRHKRAHDRKRGKCTTERVKCTFEDCLCTFSNKSNLTKHLKAVHEDCRPFTCTIADCGQKFSYKHVRDNHEKSAHVYVQGDFVETDELFHSQPRGGRKRKCLSVESLLRKRIVPPAEASTLDDGTEYLRWLLSDDNQE >DRNTG_08343.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3944992:3947736:-1 gene:DRNTG_08343 transcript:DRNTG_08343.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription factor IIIA [Source:Projected from Arabidopsis thaliana (AT1G72050) TAIR;Acc:AT1G72050] MTRHVKEFHNDVTTANGSEKKHVCQEEGCGKVFKYASRLRKHEGTHVKLEYVEVICGEPSCLKQFTNSECLKAHIESSHRYIKCEVCGARQLKKNFKRHKRAHDRKRGKCTTERVKCTFEDCLCTFSNKSNLTKHLKAVHEDCRPFTCTIADCGQKFSYKHVRDNHEKSAHVYVQGDFVETDELFHSQPRGGRKRKCLSVESLLRKRIVPPAEASTLDDGTEYLRWLLSDDNQE >DRNTG_08343.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3944754:3947736:-1 gene:DRNTG_08343 transcript:DRNTG_08343.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription factor IIIA [Source:Projected from Arabidopsis thaliana (AT1G72050) TAIR;Acc:AT1G72050] MGTAAIDAEEATSSPSPSPSPALALEETEAMVKEIKKPVFRDIRRYYCEFCGICRSKKALIRSHILSHHQEDMADDKKGGIEAATEEKGGNTCEECGASFRKPAHLKQHMQSHSLQRPFACPLDDCPHSYRRKDHLTRHSLKHEGKIFTCPLDNCNRKFAFQGNMTRHVKEFHNDVTTANGSEKKHVCQEEGCGKVFKYASRLRKHEGTHVKLEYVEVICGEPSCLKQFTNSECLKAHIESSHRYIKCEVCGARQLKKNFKRHKRAHDRKRGKCTTERVKCTFEDCLCTFSNKSNLTKHLKAVHEDCRPFTCTIADCGQKFSYKHVRDNHEKSAHVYVQGDFVETDELFHSQPRGGRKRKCLSVESLLRKRIVPPAEASTLDDGTEYLRWLLSDDNQE >DRNTG_08343.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3944992:3947736:-1 gene:DRNTG_08343 transcript:DRNTG_08343.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription factor IIIA [Source:Projected from Arabidopsis thaliana (AT1G72050) TAIR;Acc:AT1G72050] MTRHVKEFHNDVTTANGSEKKHVCQEEGCGKVFKYASRLRKHEGTHVKLEYVEVICGEPSCLKQFTNSECLKAHIESSHRYIKCEVCGARQLKKNFKRHKRAHDRKRGKCTTERVKCTFEDCLCTFSNKSNLTKHLKAVHEDCRPFTCTIADCGQKFSYKHVRDNHEKSAHVYVQGDFVETDELFHSQPRGGRKRKCLSVESLLRKRIVPPAEASTLDDGTEYLRWLLSDDNQE >DRNTG_08343.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3944992:3947736:-1 gene:DRNTG_08343 transcript:DRNTG_08343.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription factor IIIA [Source:Projected from Arabidopsis thaliana (AT1G72050) TAIR;Acc:AT1G72050] MTRHVKEFHNDVTTANGSEKKHVCQEEGCGKVFKYASRLRKHEGTHVKLEYVEVICGEPSCLKQFTNSECLKAHIESSHRYIKCEVCGARQLKKNFKRHKRAHDRKRGKCTTERVKCTFEDCLCTFSNKSNLTKHLKAVHEDCRPFTCTIADCGQKFSYKHVRDNHEKSAHVYVQGDFVETDELFHSQPRGGRKRKCLSVESLLRKRIVPPAEASTLDDGTEYLRWLLSDDNQE >DRNTG_08343.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3944848:3947736:-1 gene:DRNTG_08343 transcript:DRNTG_08343.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription factor IIIA [Source:Projected from Arabidopsis thaliana (AT1G72050) TAIR;Acc:AT1G72050] MTRHVKEFHNDVTTANGSEKKHVCQEEGCGKVFKYASRLRKHEGTHVKLEYVEVICGEPSCLKQFTNSECLKAHIESSHRYIKCEVCGARQLKKNFKRHKRAHDRKRGKCTTERVKCTFEDCLCTFSNKSNLTKHLKAVHEDCRPFTCTIADCGQKFSYKHVRDNHEKSAHVYVQGDFVETDELFHSQPRGGRKRKCLSVESLLRKRIVPPAEASTLDDGTEYLRWLLSDDNQE >DRNTG_08343.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3944992:3947736:-1 gene:DRNTG_08343 transcript:DRNTG_08343.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription factor IIIA [Source:Projected from Arabidopsis thaliana (AT1G72050) TAIR;Acc:AT1G72050] MTRHVKEFHNDVTTANGSEKKHVCQEEGCGKVFKYASRLRKHEGTHVKLEYVEVICGEPSCLKQFTNSECLKAHIESSHRYIKCEVCGARQLKKNFKRHKRAHDRKRGKCTTERVKCTFEDCLCTFSNKSNLTKHLKAVHEDCRPFTCTIADCGQKFSYKHVRDNHEKSAHVYVQGDFVETDELFHSQPRGGRKRKCLSVESLLRKRIVPPAEASTLDDGTEYLRWLLSDDNQE >DRNTG_15587.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1562731:1563307:1 gene:DRNTG_15587 transcript:DRNTG_15587.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSINAQSPSLKTYFKTPEGRYKLQYEKSHPAGLLHYSHGKVVSQLTIAYLREKPVAQPASTPTTPSSGGGVRSAAARFLGTGNGSRALSFVG >DRNTG_24374.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17106553:17120259:1 gene:DRNTG_24374 transcript:DRNTG_24374.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTINHGEVTVNNASESKIDRDKLIIFLEEEVNSLKARIAEFEQKHQSKMSIGEEENGSEKSSLRKLSTDQNHSKTSYTPYIKYTKLAFKVDTFFAVGSPLGVFLALRNVRIGIGRGQDYWQDERICEEMPRCRQMFNIFHPFDPVAYRIEPLVCKEYISKRPVIIPYHRGGKRLHIGFQEFTEELAAHSQAVANHLKSVQVKVVNIFRSKNKNDIDASVEDEHNTEKSYGSIMIEKLTGSEDGRIDHVLQDKTFQHPYISALGSHTNYWRDPDTALFILKHLYRDVPEEPDSPGQSEAGCGSRKQNGPAGLYFDRDDADEDLPLTFSDHLMIREFSRKAKKAMTSG >DRNTG_24374.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17099853:17120259:1 gene:DRNTG_24374 transcript:DRNTG_24374.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTINHGEVTVNNASESKIDRDKLIIFLEEEVNSLKARIAEFEQKHQSKMSIGEEENGSEKSSLRKLSTDQNHSKTSYTPYIKYTKLAFKVDTFFAVGSPLGVFLALRNVRIGIGRGQDYWQDERICEEMPRCRQMFNIFHPFDPVAYRLGFPTE >DRNTG_24374.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17099083:17120259:1 gene:DRNTG_24374 transcript:DRNTG_24374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTINHGEVTVNNASESKIDRDKLIIFLEEEVNSLKARIAEFEQKHQSKMSIGEEENGSEKSSLRKLSTDQNHSKTSYTPYIKYTKLAFKVDTFFAVGSPLGVFLALRNVRIGIGRGQDYWQDERICEEMPRCRQMFNIFHPFDPVAYRFVQEYFLLIPLSFIVLIHMLHEFLRKCF >DRNTG_24374.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17107404:17120259:1 gene:DRNTG_24374 transcript:DRNTG_24374.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTINHGEVTVNNASESKIDRDKLIIFLEEEVNSLKARIAEFEQKHQSKMSIGEEENGSEKSSLRKLSTDQNHSKTSYTPYIKYTKLAFKVDTFFAVGSPLGVFLALRNVRIGIGRGQDYWQDERICEEMPRCRQMFNIFHPFDPVAYRLGFPTE >DRNTG_24374.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17099853:17120259:1 gene:DRNTG_24374 transcript:DRNTG_24374.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTINHGEVTVNNASESKIDRDKLIIFLEEEVNSLKARIAEFEQKHQSKMSIGEEENGSEKSSLRKLSTDQNHSKTSYTPYIKYTKLAFKVDTFFAVGSPLGVFLALRNVRIGIGRGQDYWQDERICEEMPRCRQMFNIFHPFDPVAYRIEPLVCKEYISKRPVIIPYHRGGKRLHIGFQEFTEELAAHSQAVANHLKSVQVKVVNIFRSKNKNDIDASVEDEHNTEKSYGSIMIEKLTGSEDGRIDHVLQDKTFQHPYISALGSHTNYWRDPDTALFILKHLYRDVPEEPDSPGQSEAGCGSRKQNGPAGLYFDRDDADEDLPLTFSDHLMIREFSRKAKKAMTSG >DRNTG_16655.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000833.1:56:3033:1 gene:DRNTG_16655 transcript:DRNTG_16655.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFQTQALADPVAYDAYMEKRKQEKIEAERASRITIKKKLPKVNRLLAARLLENEEAKEAEQENVSEDITKKKKKNKGLSSEILQDERFKAMFEDKDFVN >DRNTG_16655.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000833.1:56:3033:1 gene:DRNTG_16655 transcript:DRNTG_16655.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKQEKIEAERASRITIKKKLPKVNRLLAARLLENEEAKEAEQENVSEDITKKKKKNKGLSSEILQDERFKAMFEDKDFVN >DRNTG_16655.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000833.1:56:3033:1 gene:DRNTG_16655 transcript:DRNTG_16655.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFQTQALADPVAYDAYMEKRKQEKIEAERASRITIKKKLPKVNRLLAARLLENEEAKEAEQENVSEDITKKKKKNKGLSSEILQDERFKAMFEDKDFVN >DRNTG_16655.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000833.1:56:3033:1 gene:DRNTG_16655 transcript:DRNTG_16655.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKQEKIEAERASRITIKKKLPKVNRLLAARLLENEEAKEAEQENVSEDITKKKKKNKGLSSEILQDERFKAMFEDKDFVN >DRNTG_16655.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000833.1:14:3033:1 gene:DRNTG_16655 transcript:DRNTG_16655.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFQTQALADPVAYDAYMEKRKQEKIEAERASRITIKKKLPKVNRLLAARLLENEEAKEAEQENVSEDITKKKKKNKGLSSEILQDERFKAMFEDKDFVN >DRNTG_26871.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2127337:2132920:1 gene:DRNTG_26871 transcript:DRNTG_26871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHNPNSDSISFRKGTGIKGIDNEILADSHGVNLNVLRENRKKEIAAIGRSSRLRRRRRQGVMACRSSVEGLGIEGIAYMEERVREVLELGFQMVSPFTSLHFSLFRPSKVCNPVTQLSYYRFTCPESDSEALQAYEAHTLWVCDRLSAYR >DRNTG_08872.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27710709:27716781:1 gene:DRNTG_08872 transcript:DRNTG_08872.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGVVRLGAAAAVVVALAIGASRRYGWDGEAALAAFRGIKDRLGFWAIPIYVGAHTLTLALCLPSAVFFEAGASLLFGFLPAILCVFAAKVLGASLSFWIGRAVFRSSKSAMEWAKRSKYFHLLARGVERDGWKFVLLARFSPLPSYVINYAMAATEVGFLIDFLLPTVIGCLPMILQNTSIGSLAGAAVASSSGPQKSRVSSYLFPLLGITSSVIISLRIKKYSSEFVMDAELNSSSPSNAIDADDKHVVDSSNITGNERAKERK >DRNTG_08872.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27712036:27716781:1 gene:DRNTG_08872 transcript:DRNTG_08872.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANGVVRLGAAAAVVVALAIGASRRYGWDGEAALAAFRGIKDRLGFWAIPIYVGAHTLTLALCLPSAVFFEAGASLLFGFLPAILCVFAAKVLGASLSFWIGRAVFRSSKSAMEWAKRSKYFHLLARGVERDGWKFVLLARFSPLPSYVINYAMAATEVGFLIDFLLPTVIGCLPMILQNTSIGSLAGAAVASSSGPQKSRVSSYLFPLLGITSSVIISLRIKKYSSEFVMDAELNSSSPSNAIDADDKHVVDSSNITGNERAKERK >DRNTG_08872.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27714712:27716781:1 gene:DRNTG_08872 transcript:DRNTG_08872.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAKRSKYFHLLARGVERDGWKFVLLARFSPLPSYVINYAMAATEVGFLIDFLLPTVIGCLPMILQNTSIGSLAGAAVASSSGPQKSRVSSYLFPLLGITSSVIISLRIKKYSSEFVMDAELNSSSPSNAIDADDKHVVDSSNITGNERAKERK >DRNTG_08872.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27713490:27716781:1 gene:DRNTG_08872 transcript:DRNTG_08872.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANGVVRLGAAAAVVVALAIGASRRYGWDGEAALAAFRGIKDRLGFWAIPIYVGAHTLTLALCLPSAVFFEAGASLLFGFLPAILCVFAAKVLGASLSFWIGRAVFRSSKSAMEWAKRSKYFHLLARGVERDGWKFVLLARFSPLPSYVINYAMAATEVGFLIDFLLPTVIGCLPMILQNTSIGSLAGAAVASSSGPQKSRVSSYLFPLLGITSSVIISLRIKKYSSEFVMDAELNSSSPSNAIDADDKHVVDSSNITGNERAKERK >DRNTG_08872.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27710709:27716781:1 gene:DRNTG_08872 transcript:DRNTG_08872.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANGVVRLGAAAAVVVALAIGASRRYGWDGEAALAAFRGIKDRLGFWAIPIYVGAHTLTLALCLPSAVFFEAGASLLFGFLPAILCVFAAKVLGASLSFWIGRAVFRSSKSAMEWAKRSKYFHLLARGVERDGWKFVLLARFSPLPSYVINYAMAATEVGFLIDFLLPTVIGCLPMILQNTSIGSLAGAAVASSSGPQKSRVSSYLFPLLGITSSVIISLRIKKYSSEFVMDAELNSSSPSNAIDADDKHVVDSSNITGNERAKERK >DRNTG_20617.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20601191:20655644:-1 gene:DRNTG_20617 transcript:DRNTG_20617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFINGTTSTTTSTNNTMRSCIKAERDALLAFKAEIIYHKVHPISSWGDQTDDCCHWAGVHCDSNSGHIVHLNLQRSRPRQYYDDDVWNIRCDEWDLSGKISQSLIALQHLTYLDLSGNCFINISIPKLLGSLENLIYLDISHSGFTGVIPHELGNLTRLRYLNLATDDGPGYNVDDAEWLSGLSSLRYLYMDGANISGVNNVMQSLNKLRHLKLVSLSNCSMNSIPESLRDLNFASLTFMDIGSNMFDNINIPEWFFRIPNLRELHMKECGLTGTIPSSVRNASSLQFLDLSYNGGISGDMPRGFGDLCNLQSLYLDGTFMGKSLDDFKDAFSGCINQNLNVLSFGFSSLQGPLTDWLGEFRNLTILDLSSNYFYSSIPTSIGRLSRLQELWLYRSALNGSIPESLGRLSGLQMLELADNNLNGSIPASLGRLSGLTFLILYSNALTDPIPESLFQLSNLVELDLSDNNFNYSFIITEAHLANLTSLMFLTLNHLVLNISTDTIPGFQPSQIDLSYCHVGPKFPVWLANQVNLDSLDISNARIKDSMPDWFWNITNNLTFLDLSNNEISGRLPQRLKFQTEEDDSEIFLRSNRFEGSVPYFPHNIYALDLSNNSLSGIIPHDLAYFGGIRPQLNSFSLSSNNLTGNIPNSLCNLVDLVLLDLSSNHLEGAIPNCWNNLTSLKFLILANNSLVGDIPYSFTSSSQSLQVLHLSNNQLQGEFPSFLKNCTSITTLALDHNNLSGNFPSWVGETMTSLMILTLKANNFSGNLPLLFNLTSLHFLDLSHNSFIGGIPQSYGNFTGMINISMNGGAKFSTIWEVLVISITVSTKGLELQFGVTLSSIRFIDLSKNNLSGQIPEGIVNLVGLQNLDLSCNNLSGVIPSNIGRMQSLESLDLSRNELVGLIPSSLSTLHFLESLNLSHNNLFGKIPYTSQLTTFNDPSIYDGNLNLCGAPLDKNCTNDEPTSNSQADDQENDDDNDNPPLWFGIGLMSGFVVGFWIVWGLLLFKKEWRHVYFRFLDRMYA >DRNTG_20617.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20601191:20604489:-1 gene:DRNTG_20617 transcript:DRNTG_20617.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGYKASPPFIFLSTQPQVLLLLCLALMMHLTTSATATSNGNTKRGCIKEEREALLAFKAEISYHKDHPISSWGDQNDNCCHWAGVHCNNISGHVFHLNLQSNNGPPPPYYTCDVGCNEWGLSGNISESLISLQHLTYLNLGSNCFLNFSIPKLLGSFENLVHLDLSYSCFTGVIPHELGNLTRLHYLNLATNGVSYKVDDAEWLSGLSSLRYLYMDGANISGVNNVMQSLNKLRHLKLVSLSNCSMNSIPESLRDLNFASLTFMDIGSNMFDNINIPEWFFRIPNLRELHMKECGLTGTIPSSVRNASSLQFLDLSYNGGISGDMPRGFGDLCNLQSLYLDGTFMGKSLDDFKDAFSGCINQNLNVLSFGFSSLQGPLTDWLGEFRNLTILDLSSNYFYSSIPTSIGRLSRLQELWLYRSALNGSIPESLGRLSGLQMLELADNNLNGSIPASLGRLSGLTFLILYSNALTDPIPESLFQLSNLVELDLSDNNFNYSFIITEAHLANLTSLMFLTLNHLVLNISTDTIPGFQPSQIDLSYCHVGPKFPVWLANQVNLDSLDISNARIKDSMPDWFWNITNNLTFLDLSNNEISGRLPQRLKFQTEEDDSEIFLRSNRFEGSVPYFPHNIYALDLSNNSLSGIIPHDLAYFGGIRPQLNSFSLSSNNLTGNIPNSLCNLVDLVLLDLSSNHLEGAIPNCWNNLTSLKFLILANNSLVGDIPYSFTSSSQSLQVLHLSNNQLQGEFPSFLKNCTSITTLALDHNNLSGNFPSWVGETMTSLMILTLKANNFSGNLPLLFNLTSLHFLDLSHNSFIGGIPQSYGNFTGMINISMNGGAKFSTIWEVLVISITVSTKGLELQFGVTLSSIRFIDLSKNNLSGQIPEGIVNLVGLQNLDLSCNNLSGVIPSNIGRMQSLESLDLSRNELVGLIPSSLSTLHFLESLNLSHNNLFGKIPYTSQLTTFNDPSIYDGNLNLCGAPLDKNCTNDEPTSNSQADDQENDDDNDNPPLWFGIGLMSGFVVGFWIVWGLLLFKKEWRHVYFRFLDRMYA >DRNTG_20617.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20653094:20655644:-1 gene:DRNTG_20617 transcript:DRNTG_20617.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFINGTTSTTTSTNNTMRSCIKAERDALLAFKAEIIYHKVHPISSWGDQTDDCCHWAGVHCDSNSGHIVHLNLQRSRPRQYYDDDVWNIRCDEWDLSGKISQSLIALQHLTYLDLSGNCFINISIPKLLGSLENLIYLDISHSGFTGVIPHELGNLTRLRYLNLATDDGPGYNVDDVEWLSSLSSLRYLFMDGASFFGVNNTMQTLNKLPHLKQVSLFDCSMNSIPESLPYLNFTSLVVMDIGFNMFDNTSIPEWLFRISKLQNLSMVACGFTGAIPSSIGKAKSLQFLDLSGNKGISGDMPRGFGDLCNLQSLRLGHTFLGKSLEDFKDAFSGCIRRSLNVLSFAYSSLQGPLPSWLGEFRNLTFLDLALNSFNSSIPASIGRLSQLQELHFYGSALNGSIPESMGRLSRLQYLDLSQNALNGSIPESLGRLSRLQTVDLRSNKLNGPLPESLSQLSNLVTLDLSYNNFNYCYSIITEAHLANLTSLKFLVLDHTNLVLNISTDWIPGFQADHIYLSDCHVGPKFPLWLANQVNLSSLDISNARIKDSIPDWFWNITYTIRFLNLSNNEIYGRLPQRLKFQSKEDRLGIFLGSNCFEGLVPYFPPNVYALDLSNNSFSGAIPYDLGNFGGIRPWLTFLSFSSNNLVGSIPNSLCNFVDLVSLELSNNRLEGVIPDCWNNLMDLQYLILANNLLVSEVPDSFVSSSQSLQVLHLSNNQLHGQFPSFLKECTSITTLALDHNNLSGKIPSWVGETMTSLMIFTLKENKFSGNLPLLSNLTLLHFLDFSHNSFVGNIPHSYGNLKGMINISMDGGATFSANIS >DRNTG_22216.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13592826:13597011:1 gene:DRNTG_22216 transcript:DRNTG_22216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCGIGFQKRCKSRSRRMVLVMGIMVFNVFVFQILGFLSRGALSPHFGEVEILDLEKSSSLYGGSSSSTSVSIGKFSLLNGLQNSGNSSVVFKGPKKFEALNMGNKFDDDNKERDEKLEGGDHAGDDIDRGNSLQKRASVGKIVEFDHGAFNDLGKLNLALPLEQFKKPDEESPSDRRESVHLASDIKNQSAGRSHYDSSDTIVNAASGSSFSGQSQTFQETPMLNGATSGENFTGFRILSINRKKNMGFPVGYPIGLPPVYISEMNRLLVMNRNAYHSMRPRWPSSLDQQLFAIRAQIESAPIIKNIQELYAPAFRNISVFKRSYELMVRTLKVYVYKEGQKPIFHQPLLNGIYSSEGWFMKLMEGNRNFIVKDPRKAHLFYLPFSSRLLQSTLYVPNSHNRTLMELCLKEYLDKIATKYPFWNRTGGADHFLVACHDWAPYETASSLVLAIRALCTADVHSGFVLGKDVSLPQIYIWSAGQYHLRGIGGRPANKRHILAFFAGKLHGRLRPILLQHWENKDPDMKIFGPMSSINMNKMDYIQHMKNSKYCLCPSGHEGNSPRIVESIFYECVPVLISDNYVPPFFEVLNWDAFAVILPEKDVPRLKSILVSIHEKKYLKLQLGVRKVQKHFLWHTKPVKYDLFHMTLHSIWYNRLYQIRT >DRNTG_22216.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13592965:13597011:1 gene:DRNTG_22216 transcript:DRNTG_22216.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADCGIGFQKRCKSRSRRMVLVMGIMVFNVFVFQILGFLSRGALSPHFGEVEILDLEKSSSLYGGSSSSTSVSIGKFSLLNGLQNSGNSSVVFKGPKKFEALNMGNKFDDDNKERDEKLEGGDHAGDDIDRGNSLQKRASVGKIVEFDHGAFNDLGKLNLALPLEQFKKPDEESPSDRRESVHLASDIKNQSAGRSHYDSSDTIVNAASGSSFSGQSQTFQETPMLNGATSGENFTGFRILSINRKKNMGFPVGYPIGLPPVYISEMNRLLVMNRNAYHSMRPRWPSSLDQQLFAIRAQIESAPIIKNIQELYAPAFRNISVFKRSYELMVRTLKVYVYKEGQKPIFHQPLLNGIYSSEGWFMKLMEGNRNFIVKDPRKAHLFYLPFSSRLLQSTLYVPNSHNRTLMELCLKEYLDKIATKYPFWNRTGGADHFLVACHDWAPYETASSLVLAIRALCTADVHSGFVLGKDVSLPQIYIWSAGQYHLRGIGGRPANKRHILAFFAGKLHGRLRPILLQHWENKDPDMKIFGPMSSINMNKMDYIQHMKNSKYCLCPSGHEGNSPRIVESIFYECVPVLISDNYVPPFFEVLNWDAFAVILPEKDVPRLKSILVSIHEKKYLKLQLGVRKVQKHFLWHTKPVKYDLFHMTLHSIWYNRLYQIRT >DRNTG_22931.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9870380:9875757:1 gene:DRNTG_22931 transcript:DRNTG_22931.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINYYLPHLSQLPALSSSPFPSTPIVVFPPPTSSQVTSTSPNLPQPQPILSSTTQGVPSSGMTDISSPFSPKASKSTDVFSAQVPSSKPSPLTPSEVETTSSRSTTSRSTPMTISTTTSSNGNYMKETIVGATFAGVLVFFVAFFFVIKKTKKSQRTDLGNQYISSSSALTNPDVYYHGQPHLMVSGPLSPSVAPGNMYGNFKGQAYQGGQSEPAGSKTCFTYEELMDITNGFSYDTLIGEGGFGSVYKGMLPDGKAVAIKQLKAGGGQGEKEFRAEVDVISRVHHRHLVSLVGYCLAEHRRMLVFEFLPNKTLEHHLHGKGLPVLEWPKRLRIAIGSARGLSYLHEDCHPRIIHRDIKSANILLDESFEAQVADFGLARFANDDSHTHVSTRVMGTFGYLAPEYATSGKLTDKSDVFSFGVVLLELITGRRPVFGDESLVEWARPLLVNALETGEYEDLVDPRLENNFAKNEMSRMIEVAAACVRHSAPKRPRMVQVLRTLDSEGDMPDLSNGVKFGQSMIYNSTQYSTDINNFRKMAFGTEDFSANHGHSNPSGLELNRSLKEDLI >DRNTG_19796.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001061.1:9838:10570:1 gene:DRNTG_19796 transcript:DRNTG_19796.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNRNQQHEAGSSTMKSEQNRTEQNINSRSRIFDRSRGVALLQKTCAHG >DRNTG_34967.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3066620:3067095:1 gene:DRNTG_34967 transcript:DRNTG_34967.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGPLKFLTCNVNSLLFRVKNNWPEFSKFIQTLDPNGNQQGDLYGTIKVREDLVFQREKADMQCYLEGSSWYSTWLEGHFKRKRNKVKKYLNVGTEDMMRKNIKIIDDVVYKLIDTKLEQLAAYQENFMK >DRNTG_08565.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000387.1:33139:35947:-1 gene:DRNTG_08565 transcript:DRNTG_08565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLLFLYSMTRYVPLHLGCILADILQYQGHSTRLSLLFVGPYITRLILGMGLGDALRGAERTVLPTPLAFDTIRMMWLVRRFGLGVYILATATAETTRSEGDTAGGVQQIPTPSVAPGTRAYDRIERLETDVREIRTEVAELRAMQMGSSARSYGPVRLSTRYTEIRTLGSSKDPHPLPRHRWTHHVLHQQQQQHRSLSTTLTF >DRNTG_12349.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20816886:20817180:1 gene:DRNTG_12349 transcript:DRNTG_12349.6 gene_biotype:protein_coding transcript_biotype:protein_coding RFCTAIVTKPKPASTKNSPSRETPASQPQQNKEPQPRDDAEVTDHATDAHGQTATAAPSEQMDTNKSDPPNPMAQNK >DRNTG_12349.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20811500:20817180:1 gene:DRNTG_12349 transcript:DRNTG_12349.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDVGDENCVVGVARQRGIDIVLNDESKRETPAVVSFGEKQRFISTAGAASLMRNPKNSVSQIKRLIGRKFSDPDLQRDLQSMPFTVTEGPDGFPLIHVRYLGEQSTFKPTQVLAMVLSNLKSIAEKNLNTAVVDCCIGIPVYFTDLQRRAVMDAAAIAGLRPLQLFHETTATALAYGIYKTDLPENDQRNVAFVDIGHASMQVCIAGFKKGQLKILSHGFDPSLGGRDFDEVLFKHFAAEFKVRYGIDVYQNARACLRLRTACEKLKKVLSANPEAHLSIECLMDEKDVKGFIKREEFERISTPILDRVKAPLEKALAEAGLTLEKIHSVEVVGSGSRVPAILRILTEFFKKEPRRTMNASECVARGCALQCAILSPTFKVREFQVHDGFPYSIALSWKGFAPDSENVVTDNRQTTIVFPKGNPIPSVRVLTFYRSSTFTVDAMYTNLEDSQVPANISTYTFGPFQSTKGERAKIKMKVRLNIHGIFSVESATMLEVEDVEIPAPSIKESHKESIKMDTDATNKNTSVAESDIDMQDAKTDFADLDVETESGAPGPEDKPVQMDADGKVYKKKFKRTMVPVAEFVYGGMSAADLQEAVEKEFEMALQDRIMEETKDKKNAVEAYVYEMRNKLHDKYQEFVTRPEKEALAAKLQEVEDWLYDDGEDETKGVYIAKLEELKKHGEFIEERYKENTERGPAVDQLVRCINSYRDALCKDPKFDCIDVAEKKKVINECAAAEAWLREKKQQQDALPNYAEPVLHSADLRRKAEALDRFCTAIVTKPKPASTKNSPSRETPASQPQQNKEPQPRDDAEVTDHATDAHGQTATAAPSEQMDTNKSDPPNPMAQNK >DRNTG_12349.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20816565:20817180:1 gene:DRNTG_12349 transcript:DRNTG_12349.4 gene_biotype:protein_coding transcript_biotype:protein_coding VINECAAAEAWLREKKQQQDALPNYAEPVLHSADLRRKAEALDRFCTAIVTKPKPASTKNSPSRETPASQPQQNKEPQPRDDAEVTDHATDAHGQTATAAPSEQMDTNKSDPPNPMAQNK >DRNTG_12349.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20815843:20816211:1 gene:DRNTG_12349 transcript:DRNTG_12349.5 gene_biotype:protein_coding transcript_biotype:protein_coding LHDKYQEFVTRPEKEALAAKLQEVEDWLYDDGEDETKGVYIAKLEELKKHGEFIEERYKENTERGPAVDQLVRCINSYRDALCKDPKFDCIDVAEKKK >DRNTG_12349.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20811500:20813858:1 gene:DRNTG_12349 transcript:DRNTG_12349.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDVGDENCVVGVARQRGIDIVLNDESKRETPAVVSFGEKQRFISTAGAASLMRNPKNSVSQIKRLIGRKFSDPDLQRDLQSMPFTVTEGPDGFPLIHVRYLGEQSTFKPTQVLAMVLSNLKSIAEKNLNTAVVDCCIGIPVYFTDLQRRAVMDAAAIAGLRPLQLFHETTATALAYGIYKTDLPENDQRNVAFVDIGHASMQVCIAGFKKGQLKILSHGFDPSLGGRDFDEVLFKHFAAEFKVRYGIDVYQNARACLRLRTACEKLKKVLSANPEAHLSIECLMDEKDVKGFIKREEFERISTPILDRVKAPLEKALAEAGLTLEKIHSVEVVGSGSRVPAILRILTEFFKKEPRRTMNASECVARGCALQCAILSPTFKVREFQVHDGFPYSIALSWKGFAPDSENVVTDNRQTTIVFPKGNPIPSVRVLTFYRSSTFTVDAMYTNLEDSQVPANISTYTFGPFQSTKGERAKIKMKVRLNIHGIFSVESATMLEVEDVEIPAPSIKESHKESIKMDTDATNKNTSVAESDIDMQDAKTDFADLDVETESGAPGPEDKPVQMDADGK >DRNTG_12349.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20811500:20812992:1 gene:DRNTG_12349 transcript:DRNTG_12349.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDVGDENCVVGVARQRGIDIVLNDESKRETPAVVSFGEKQRFISTAGAASLMRNPKNSVSQIKRLIGRKFSDPDLQRDLQSMPFTVTEGPDGFPLIHVRYLGEQSTFKPTQVLAMVLSNLKSIAEKNLNTAVVDCCIGIPVYFTDLQRRAVMDAAAIAGLRPLQLFHETTATALAYGIYKTDLPENDQRNVAFVDIGHASMQVCIAGFKKGQLKILSHGFDPSLGGRDFDEVLFKHFAAEFKVRYGIDVYQNARACLRLRTACEKLKKVLSANPEAHLSIECLMDEKDVKGFIKREEFERISTPILDRVKAPLEKALAEAGLTLEKIHSVEVVGSGSRVPAILRILTEFFKKEPRRTMNASECVARGCALQCAILSPTFKVREFQ >DRNTG_34138.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21849196:21852170:-1 gene:DRNTG_34138 transcript:DRNTG_34138.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pterin-4-alpha-carbinolamine dehydratase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51110) UniProtKB/Swiss-Prot;Acc:Q9LU63] MASSFLSNPISFALPTNPLRRRSSSLPCSPTLRRGGDRNRGVLAMGGDFLGDFGARDPFPAEIESNFCENIVGNWDTEHKILIPNLAALSLAQQSCQPISPSQPPLPFEEAEKLLRKVVGWRLVDGDGGTRLQCLWKARDYGCGIELINRIYRVVDEAGHYPDLHLEHPNQVRAELWTASIGGLSLNDFIVAAKIDEIKTLDLLPKKRIWA >DRNTG_16610.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31321203:31321544:-1 gene:DRNTG_16610 transcript:DRNTG_16610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCPAAAACLWSSTAPFSSSVSLRGTRLIPITNVTPLLQSAATFPCIVAKTVTRKKNRDARHQRVRKKVSPSPSS >DRNTG_16610.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31321029:31321544:-1 gene:DRNTG_16610 transcript:DRNTG_16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPAAAACLWSSTAPFSSSVSLRGTRLIPITNVTPLLQSAATFPCIVAKTVTRKKNRDARHQRVRKKVEGTPERPRLSV >DRNTG_31817.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14245714:14246777:1 gene:DRNTG_31817 transcript:DRNTG_31817.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLATLAAVQPVTIKGLGGSSITGTKLIVKPFSSSLIKRSRSSGAVVAKYGEKSVYFDLDDIGNTTGQWDVYGSDAPSPYNPLQSKFFETFAAPFTKRGLLLKFLILGGGFTIGYFGATVSGDILPIKKGPQLPPQPGPRGKI >DRNTG_06598.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:859513:871398:-1 gene:DRNTG_06598 transcript:DRNTG_06598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLTLRSSSSAQNPAWRAKSSPLPAMTTPPIPSARLFRPFLNPVNLLLPFSLLRSIFISWTILRRFRPQIVLGTGSYVAAPVCFAAALAGIKFVIQEQNSFPGLTNRSLAPYAEKIFLAFNACVKYFPKEKCVVSGNPVRLALRRYVSKAVARSHFFPKASAKNGDEKAQVVLVLGGSSGAYALNIAVLNMYYEMLLEHKNRYIIWQTGADGYNEMESLVKNNRRLLLTPYRHLQLQMIIKQKMLILWQTLLGQKSFHKMNLIQAV >DRNTG_11331.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23562131:23562598:1 gene:DRNTG_11331 transcript:DRNTG_11331.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHKFPPSPVVRSMFIVGNIAPEDLNYPSISISLDPSKTKSVNRTLTNVGDANEVYNIDVEEPKGISVVVSPSSIQFSQIAEEKNISKGMPLNQGNILDGQLKPDSGKHFIRSPISITIL >DRNTG_22754.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4135764:4138525:1 gene:DRNTG_22754 transcript:DRNTG_22754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFAVPHGVHAVRLGNHASISQNITVKVGKLYALSFGASRTCPQDETLRVSVPPVFGDLPLQTLYSSNGGDTYAWAFIVRKTNQANATTVTVTVMFHNPGIQEDSSCGPLLDIVAIKELVPPFPTRYNLVKNGDFEEGPHSFKNSTSGILLPPRQEDAISPLPGWIIESLKAVRFIDSAHFSIPYGQYAVELVAGRESAIAQLLRTVAGKSYNLTFVIGDAKNGCHGKMLVEAFAANATAKVPFESHGKGKFVPGSLKFIAMGSRTRITFFSSFYHTKINDVGSLCGPVLDRVRVYPIY >DRNTG_30078.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22799114:22801357:1 gene:DRNTG_30078 transcript:DRNTG_30078.2 gene_biotype:protein_coding transcript_biotype:protein_coding SQNTPELLHKVDQSREGNGSTHFRSYDHKTPLGSPASAAPNCVVSNMLQNQPSASLNFTPEFIPSSQEKLFSNHSFPLQPSLSTVSGFVSMPVDLEAGSRGQQTPSAIMTGQSASQVHDACQSSLHSTAIASPALPQLSRQQLQQSICDRSYLGVEAHPTNLSLEGHLNYNAHFRQTEDFYDKPLADQSSQALFATMSSRNSSGNLSSQFYSVNKGHPQQITCTPRGSLSQEHSIDHTKLASKPGVAGGLSEMLHGVWATPSDQQCPSRVKLQNISNLVQLQGVLSQSGETNSLIQKMVDYPSSKKGASAPSDTYSTGMQHVIFEERDKCNSLQKIPSSKDVDDNAQQTDSRIISNNVNEQNIVFKLPTKSDHATTSEQNLFASSLQMLGFSSRQMAEKGIDGSLEFGGKDMPSQDVPSCGQQCSKNNTQFLSLRSTLASLGESECHQTNALITPALPGQKIGIFRNGQILVCDGLNSSEQSPKTVARNGFSRRDSNNFNDNNLVKQKGDACQGDRFRQITQSVIPTAMASQSWPVDAGGHLVVPQQKKRKREAPELLPWHKAFTQGSQRLCSMSKTEMDWAKTTNRLMEKVENMVIGDGPLVPAHKRLILTTQLMQQIIMSVPAEIIKANALISYEDVLYYIAKLALGNACSLISSLGNNTSVCSDSRNM >DRNTG_30078.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22797347:22802425:1 gene:DRNTG_30078 transcript:DRNTG_30078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALGASSSDMVVQEDWSDLTFQNAELSSGSHSAILNENGLQGSSSLTSRPFPLCSDADESSNSFPAPIVEQALLENSNELDEGILSDSAHHFFWQQGEQMNNNQLYQSRHQEQYFGSSNQAHIQDTTLSCGTWPGQMCELSGNYANSANMEFDAQNTSDTWAETKKTQFHPVVDQLSERLQRPHGWISNSFGELQLVKSVGGSLEMSIEVPDANQFVPTIISNSSELNWEMDEASDVRHFNCGRHAASDSSNRNSTEYHQHQPNLGQQASDMLLNAPAKDTVVSYDNRQKYAGTDNSSFAGRPSSILLSSSQKSSGQYFGKSLASHRGQHHRMGDFEITHGDALNQRPYLKGSSQSFALGSESQNPGSFDHLHFPGLPNFSNAANMRKGNITDSETTVKQAERMQSTWAVSQHVPTSVAIDRSTATFPQEKRIGQTSQNTPELLHKVDQSREGNGSTHFRSYDHKTPLGSPASAAPNCVVSNMLQNQPSASLNFTPEFIPSSQEKLFSNHSFPLQPSLSTVSGFVSMPVDLEAGSRGQQTPSAIMTGQSASQVHDACQSSLHSTAIASPALPQLSRQQLQQSICDRSYLGVEAHPTNLSLEGHLNYNAHFRQTEDFYDKPLADQSSQALFATMSSRNSSGNLSSQFYSVNKGHPQQITCTPRGSLSQEHSIDHTKLASKPGVAGGLSEMLHGVWATPSDQQCPSRVKLQNISNLVQLQGVLSQSGETNSLIQKMVDYPSSKKGASAPSDTYSTGMQHVIFEERDKCNSLQKIPSSKDVDDNAQQTDSRIISNNVNEQNIVFKLPTKSDHATTSEQNLFASSLQMLGFSSRQMAEKGIDGSLEFGGKDMPSQDVPSCGQQCSKNNTQFLSLRSTLASLGESECHQTNALITPALPGQKIGIFRNGQILVCDGLNSSEQSPKTVARNGFSRRDSNNFNDNNLVKQKGDACQGDRFRQITQSVIPTAMASQSWPVDAGGHLVVPQQKKRKREAPELLPWHKAFTQGSQRLCSMSKTEMDWAKTTNRLMEKVENMVIGDGPLVPAHKRLILTTQLMQQIIMSVPAEIIKANALISYEDVLYYIAKLALGNACSLISSLGNNTSVCSDSRNMNTRQRTFHIEGGEVLVKAMEDFIDKSRKLESDFFRLENRASISDVRMEFQDLERCSIIHGFAKFHGRSKTDRLETSLTSDFPPGKLLQRQVRQTSMPSNHLEGVVCFSL >DRNTG_24796.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31927282:31928453:-1 gene:DRNTG_24796 transcript:DRNTG_24796.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLKIRPEIPILAVFAFASLPLLPLSSGSRTEDQKVLILNLKMGRAKLPLKLIQDKKQRSITFRKRFESVKKKAFELSILCDVPVIFMFIGLDGQMHVWPEDRNMVLGIADRLQQLKKKDRRRLCEDSLSECLPGKINATEADLHQEIPMPLPQPLMSASSDIFGEPYLQFDDFFGGNLAFGEGCSSSYFS >DRNTG_22001.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:8841413:8939130:-1 gene:DRNTG_22001 transcript:DRNTG_22001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSNLCNASKIVVKYDFIEDATRSTTWMCAHSCGLDEKCEFGSIWRSTVVGSTIDSLPTHQGIITSTNPCTCGFTRKRVLSV >DRNTG_18103.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:381969:384258:1 gene:DRNTG_18103 transcript:DRNTG_18103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLHFWITVIFLFAVTQCSNARRHFMDVNSDVKDESNDLSCVFNVRSFGAVGDGYTDDTRAFHSAWKAACSVESGTLLVPSDGVFTITSTIFSGPCKPETVFQVDGVLMPPDGPECWPETDSKQQWLVFYKLNGMTLTGKGTIEGNGEKWWNLPCKPHRGPNGSTLPGPCDSPALIRFFMSYNLRVRDLRIENSPQFHFKFDGCENVQIEGISINSPALSPNTDGIHVENTKSVFISNSFISNGDDCISIGPGCSMVEIDNVTCGPSHGISIGSLGVHNSQACVSNITVRNTVIRNSDNGVRIKTWQGGIGSVSEIIFDNIFMENVRNCIIVDQYYCLTKDCMNQSTAVYVSNVLYSNIKGTYDVRSPPIHFACSDSIPCTNITMAEVELLPHEGELVDDPFCWNAYGVQETLIIPPISCLQDGAPEALQDSINFGC >DRNTG_10210.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000431.1:72607:74607:-1 gene:DRNTG_10210 transcript:DRNTG_10210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGARKSYTLQGLSEESSWALFEQRAFTTSVSRQPQFLEIGKKIVNKCKGLPLAIQVMGSLMQSKIEESQWQAVLDNEIWDIPHATDKIRPELWISYVNLPSEVKKCFAFCALFPKDSVIEVDMLVQFWIAHGFIPSQTGKDIEVEGHEIFSELIWRSLLQYATYDCGLCKMHDLIHDLAQFVTGDECSTLAERNEFMKISKRTRHFELNFDVGYDMGDCPPSVRTALNVQTNFTGLSKLMSRPEPGSPGPVH >DRNTG_10210.9.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000431.1:73532:73851:-1 gene:DRNTG_10210 transcript:DRNTG_10210.9 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQRDLRQLLGHKRYLLVLDDVWNEDHMKWDALRHLLLDGA >DRNTG_10210.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000431.1:72717:74607:-1 gene:DRNTG_10210 transcript:DRNTG_10210.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGARKSYTLQGLSEESSWALFEQRAFTTSVSRQPQFLEIGKKIVNKCKGLPLAIQVMGSLMQSKIEESQWQAVLDNEIWDIPHATDKIRPELWISYVNLPSEVKKCFAFCALFPKDSVIEVDMLVQFWIAHGFIPSQTGKDIEVEGHEIFSELIWRSLLQYATYDCGLCKMHDLIHDLAQFVTGDECSTLAERNEFMKISKRTRHFELNFDVGYDMGDCPPSVRTALNVQTNFTGLSKLMSRPEPGSPGPVH >DRNTG_10210.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000431.1:71885:74607:-1 gene:DRNTG_10210 transcript:DRNTG_10210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGARKSYTLQGLSEESSWALFEQRAFTTSVSRQPQFLEIGKKIVNKCKGLPLAIQVMGSLMQSKIEESQWQAVLDNEIWDIPHATDKIRPELWISYVNLPSEVKKCFAFCALFPKDSVIEVDMLVQFWIAHGFIPSQTGKDIEVEGHEIFSELIWRSLLQYATYDCGLCKMHDLIHDLAQFVTGDECSTLAERNEFMKISKRTRHFELNFDVGYDMGDCPPSVRTALNVQTNFTGLSKLMSRPEPGSPGPVH >DRNTG_10210.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000431.1:72717:73851:-1 gene:DRNTG_10210 transcript:DRNTG_10210.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGARKSYTLQGLSEESSWALFEQRAFTTSVSRQPQFLEIGKKIVNKCKGLPLAIQVMGSLMQSKIEESQWQAVLDNEIWDIPHATDKIRPELWISYVNLPSEVKKCFAFCALFPKDSVIEVDMLVQFWIAHGFIPSQTGKDIEVEGHEIFSELIWRSLLQYATYDCGLCKMHDLIHDLAQFVTGDECSTLAERNEFMKISKRTRHFELNFDVGYDMGDCPPSVRTALNVQTNFTGLSKLMSRPEPGSPGPVH >DRNTG_10210.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000431.1:72607:73851:-1 gene:DRNTG_10210 transcript:DRNTG_10210.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGARKSYTLQGLSEESSWALFEQRAFTTSVSRQPQFLEIGKKIVNKCKGLPLAIQVMGSLMQSKIEESQWQAVLDNEIWDIPHATDKIRPELWISYVNLPSEVKKCFAFCALFPKDSVIEVDMLVQFWIAHGFIPSQTGKDIEVEGHEIFSELIWRSLLQYATYDCGLCKMHDLIHDLAQFVTGDECSTLAERNEFMKISKRTRHFELNFDVGYDMGDCPPSVRTALNVQTNFTGLSKLMSRPEPGSPGPVH >DRNTG_10210.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000431.1:72607:74966:-1 gene:DRNTG_10210 transcript:DRNTG_10210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGARKSYTLQGLSEESSWALFEQRAFTTSVSRQPQFLEIGKKIVNKCKGLPLAIQVMGSLMQSKIEESQWQAVLDNEIWDIPHATDKIRPELWISYVNLPSEVKKCFAFCALFPKDSVIEVDMLVQFWIAHGFIPSQTGKDIEVEGHEIFSELIWRSLLQYATYDCGLCKMHDLIHDLAQFVTGDECSTLAERNEFMKISKRTRHFELNFDVGYDMGDCPPSVRTALNVQTNFTGLSKLMSRPEPGSPGPVH >DRNTG_10210.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000431.1:73532:74607:-1 gene:DRNTG_10210 transcript:DRNTG_10210.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEPNYSSNPTNGLDPNNKFNFKVADNNNNNNSPWRNRPQTQSHVIESEVIGRDEEKEQIVQMLIRDHFEEKVTVVSIVGMGGLGKTKLAQLVYGVKDVESHFQLRIWVCVSDDFNVAKLVGNIIHTASGKVCDHTNMELLQRDLRQLLGHKRYLLVLDDVWNEDHMKWDALRHLLLDGA >DRNTG_10210.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000431.1:71885:74966:-1 gene:DRNTG_10210 transcript:DRNTG_10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARKSYTLQGLSEESSWALFEQRAFTTSVSRQPQFLEIGKKIVNKCKGLPLAIQVMGSLMQSKIEESQWQAVLDNEIWDIPHATDKIRPELWISYVNLPSEVKKCFAFCALFPKDSVIEVDMLVQFWIAHGFIPSQTGKDIEVEGHEIFSELIWRSLLQYATYDCGLCKMHDLIHDLAQFVTGDECSTLAERNEFMKISKRTRHFELNFDVGYDMGDCPPSVRTALNVQTNFTGLSKLMSRPEPGSPGPVH >DRNTG_12431.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20300103:20308302:1 gene:DRNTG_12431 transcript:DRNTG_12431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLFIWVGGWQPSLAVHILYSKSGIQFELKMNEVIGGMETRDLAGLSPKRRCRKSGNQEDGGDCGCDDGDGGEGCGDDGEDRGGDENEEKRYGESAIEGGWVEDGDDEGDCGDVEAYARWSGVDVEMRKEAAAQQILGMQILPDMLTKAVTRDKHQFF >DRNTG_07555.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21716981:21720277:1 gene:DRNTG_07555 transcript:DRNTG_07555.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEIKYEDCRGWAARDPSGKLSPYDFIRRAPCSDDVSLKITHCGVCYADIVWARNKFGDAKYPLVPGHEIVGVVKEIGSDVNNFKVGDLVAVGTFVESCRDCEYCIERNEILCSEHPIYTFNGLDKYGMITKGGYSGHIVVSERQAILNLH >DRNTG_07555.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21716981:21720277:1 gene:DRNTG_07555 transcript:DRNTG_07555.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEIKYEDCRGWAARDPSGKLSPYDFIRRAPCSDDVSLKITHCGVCYADIVWARNKFGDAKYPLVPGHEIVGVVKEIGSDVNNFKVGDLVAVGTFVESCRDCEYCIERNEILCSEHPIYTFNGLDKYGMITKGGYSGHIVVSERQAILNLH >DRNTG_07555.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21716981:21722028:1 gene:DRNTG_07555 transcript:DRNTG_07555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEIKYEDCRGWAARDPSGKLSPYDFIRRAPCSDDVSLKITHCGVCYADIVWARNKFGDAKYPLVPGHEIVGVVKEIGSDVNNFKVGDLVAVGTFVESCRDCEYCIERNEILCSEHPIYTFNGLDKYGMITKGGYSGHIVVSERFCYKIPEGYPPAKAAPLLCAGITVYTPMIRHKMNQPGKSLGVIGLGGLGHLAVKFGKAFGLHVTVFSTSASKKKEALELLRADKFVLSSDEQEMKSLVNTLDFIIDVASGDHPFDPYLALLKACGVLVLVGAPSEVCLRPTSLLMGAKSISGTLTGGTKHTQEMLEFCAARKIYPEIEVIDIQYINEAHERLINRDVKYRFVIDIENSLK >DRNTG_07555.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21720631:21722028:1 gene:DRNTG_07555 transcript:DRNTG_07555.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIRHKMNQPGKSLGVIGLGGLGHLAVKFGKAFGLHVTVFSTSASKKKEALELLRADKFVLSSDEQEMKSLVNTLDFIIDVASGDHPFDPYLALLKACGVLVLVGAPSEVCLRPTSLLMGAKSISGTLTGGTKHTQEMLEFCAARKIYPEIEVIDIQYINEAHERLINRDVKYRFVIDIENSLK >DRNTG_21352.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13087716:13089706:-1 gene:DRNTG_21352 transcript:DRNTG_21352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPPSSFSFSGYLSFFSCQPFETLR >DRNTG_07375.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20621574:20624364:1 gene:DRNTG_07375 transcript:DRNTG_07375.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 13 [Source:Projected from Arabidopsis thaliana (AT4G39410) UniProtKB/Swiss-Prot;Acc:Q9SVB7] MMFPSSASLMLNQRTWGEEGGMSGKRTTTTATATATATTTLSVERQQNQKMINSSGGNGLSVASLRMKKVKARRKVREPRFCFKTMSEIDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTQDNCRVKKRVERLAEDPRMVITTYEGRHAHSPSHDEDHDSHTPSSHHHPSFLW >DRNTG_06659.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18807937:18809720:-1 gene:DRNTG_06659 transcript:DRNTG_06659.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDEERKMHSKHIMVATAAGVAPFRSNIQGIFLSNILGNTDNIWLISGADNYNSLLYDKEFIQVQNNNSDRFRILWSSSFARAATPRRKDIRTRSASTPSPRPFLGARLTLAETLTLTLVPTQLLLRR >DRNTG_06659.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18807937:18809720:-1 gene:DRNTG_06659 transcript:DRNTG_06659.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDEERKMHSKHIMVATAAGVAPFRSNIQGIFLSNILGNTDNIWLISGADNYNSLLYDKEFIQVQNNNSDRFRYFHYTNLIL >DRNTG_06659.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18807937:18809720:-1 gene:DRNTG_06659 transcript:DRNTG_06659.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDEERKMHSKHIMVATAAGVAPFRSNIQGIFLSNILGNTDNIWLISGADNYNSLLYDKEFIQVQNNNSDRFRYFHYTNLIL >DRNTG_06659.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18807937:18809720:-1 gene:DRNTG_06659 transcript:DRNTG_06659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDEERKMHSKHIMVATAAGVAPFRSNIQGIFLSNILGNTDNIWLISGADNYNSLLYDKEFIQVQNNNSDRFRILWSSSFARAATPRRKDIRTRSASTPSPRPFLGARLTLAETLTLTLVPTQLLLRR >DRNTG_03346.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26190800:26191215:1 gene:DRNTG_03346 transcript:DRNTG_03346.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKTSKKTSKKGNKSEPSADITLPHTSSASLTNKLNKSPNKSPWPNK >DRNTG_20270.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23662109:23662639:1 gene:DRNTG_20270 transcript:DRNTG_20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRTKHMDIKYHYLRELVTSGFITLEFYPTNEQLADICTKALSIQKHLSLRMELGMQCFKSQEGVECDLKLNNKEASGCNDAWPMECKEGI >DRNTG_31913.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18776159:18778189:1 gene:DRNTG_31913 transcript:DRNTG_31913.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRNYYRWEVGTSYLIIRDSAVHLLILEVLASFEFDRSYADFDSVDTIQLRAFGQYYKSLQNTMWSWLISEWIRDSMGVLSKLVVIFSTPYIGRLILGMGLRDMIRGAEKTIIPAPLGLKTMRLMGMIRKYRDGVYVLNMPPLEPFVSDETAAEGS >DRNTG_14228.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:881370:882428:-1 gene:DRNTG_14228 transcript:DRNTG_14228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSDHAAHFSQCFLSPRTQQDIERDEQIYNSYEELTSQLPINHDWPLFSLQHYQSFWIPARFVPACMAIQSHFNPRPSDILLVTNPKSGTTWLKALSFATLHRRSFSLSDHPLLTNTPHQCLPYLEHLFSHRTFPNLNVLPSPTIFATHLPLSLLPQSALRCRIVYLCRDPKDTFVSMYHFVDRSMGSFAEHTSTTQGLDLSKTFQMFSQGTSAFGPFWDHMLGYWKESLRSPEMVLFFRYEEMMEDPVSRLSRLAQFMGCPFSMEEERDGVVEDIVKLCSFDNLREFEVNKDNKGSFEGKLPASSFFRKGKVGDWVNYLSMEMAEKLDAITKEKLHGSGLTFESSSVVP >DRNTG_08015.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30099413:30106798:1 gene:DRNTG_08015 transcript:DRNTG_08015.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose nonfermenting 4-like protein [Source:Projected from Arabidopsis thaliana (AT1G09020) UniProtKB/Swiss-Prot;Acc:Q944A6] MFSPGTDMSQDASGVVLIPTRFVWPHGGKRVFLTGTFTRWSDHIQMSPMEGCPTVFQTIYRLAPGFHQYKFCVDGEWRHDERQPYVNGNYGIVNTVLLTQDPDPIPAMLSPETGNRMNMDVDNENVQRVATLSGALQESALRSSDTDLEISRHRISGFLSAHTAYELLPESGKVVALDVNLPVKQAFHILYEQGIPVAPLWDFYRGQFVGVLSALDFILILRELGNHGSNLTEEELETHTIAAWKEGKQQLCRQMGEHGGTFNRHLIHAGPYDSLKDVALKILENGVATVPIIHSASYDGSFPQLLHLASLSGILKCICRHFRHSSSSLPILQQPICTIPLGTWVPKIGESNGRPLAMLRPHASLSSALALLVQARVSSLPIVDDNDSLLDTYSRSDITALAKDRAYAQIRLDEMSIHQALQLGQDANSHFGFFNGQRVQMCLRSDSLQLVMERLSNPGVRRLIIVEAGSKRVEGIISLSDVLRFLLG >DRNTG_08015.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30099413:30106798:1 gene:DRNTG_08015 transcript:DRNTG_08015.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose nonfermenting 4-like protein [Source:Projected from Arabidopsis thaliana (AT1G09020) UniProtKB/Swiss-Prot;Acc:Q944A6] MFSPGTDMSQDASGVVLIPTRFVWPHGGKRVFLTGTFTRWSDHIQMSPMEGCPTVFQTIYRLAPGFHQYKFCVDGEWRHDERQPYVNGNYGIVNTVLLTQDPDPIPAMLSPETGNRMNMDVDNENVQRVESALRSSDTDLEISRHRISGFLSAHTAYELLPESGKVVALDVNLPVKQAFHILYEQGIPVAPLWDFYRGQFVGVLSALDFILILRELGNHGSNLTEEELETHTIAAWKEGKQQLCRQMGEHGGTFNRHLIHAGPYDSLKDVALKILENGVATVPIIHSASYDGSFPQLLHLASLSGILKCICRHFRHSSSSLPILQQPICTIPLGTWVPKIGESNGRPLAMLRPHASLSSALALLVQARVSSLPIVDDNDSLLDTYSRSDITALAKDRAYAQIRLDEMSIHQALQLGQDANSHFGFFNGQRVQMCLRSDSLQLVMERLSNPGVRRLIIVEAGSKRVEGIISLSDVLRFLLG >DRNTG_23089.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1432412:1432973:1 gene:DRNTG_23089 transcript:DRNTG_23089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAWKRERSTPLFSWRTLLLIPLVISVYFLFAFFSSSSANPNPISIPKTLAFASSSPIRPFNCSQCPQATPVFASVVEGVAHPFLYSLADLGTLPDKPHKNIARMLKGKPFRRPDISVTIQDYLASKRAAVSEGIVVDVGANVGMATFAATAMGFHVVAFEPVFENLQRLCDGLFLNRAW >DRNTG_22792.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3896784:3907778:1 gene:DRNTG_22792 transcript:DRNTG_22792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAAIPTVLVTNDDGIDAAGLRLLVQALVSTGRCRVLVCAPASDQSGVGHGITWRHALPAKPVNIDGATAFAVAGTPADCASLGVSGALFNGIIPDLVLSGINIGSNCGYHIVYSGTVAGAREAFMRGVPSIGLSYDWVASRSSTHDLKLAAESCLPIINSVLNEIRNKTFPLESFLNVDVPTDVSNHKGFKLTRQGKSMIKIGWTQTSSGVSIKGDATANMYTNDTTDTEKISSSSPAQEQRWYKRVVRVTDTNSEKEEEGNDIDYQALQEGYITVTPLGALSCSEIDTVPYFRGWLLHVIDNSCSSSL >DRNTG_32473.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12406264:12407828:1 gene:DRNTG_32473 transcript:DRNTG_32473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHGWAHTHVVGHVTSSLSNVLMTLLKTLHKLEHEFVTALEHFQFVDRFAHSYEQCRLNDASSSPKNTECSSSFDDETLPLKSKLLITSKPQAKLHQDDVFVSSLLP >DRNTG_27584.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6733082:6735267:-1 gene:DRNTG_27584 transcript:DRNTG_27584.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLLLIFPFLFLILKPTSKSKSQIHPPSPASLPFIGHLHLLLPIPYRALHSLSLIHGPIMLLQLGQIPTLILSSSSAVRSMTKSHDIAFSSRPNLKVPRQLVYNSKNISFSPYGPYWRQSRKLSVLHLLSTKRVLSFRPIQNSELSIMLSHISNHSPSGPINLSETINFFTTNILCKVALGRSIIEESQCRMLHDSVCQATKLIGSFNIDDYFPSLKWLNMFSSLDSKIAEIFKNLDGFITSVIDDHLVAGVRDKDDDNADLNMFAAGTTTSYIFLEWAMSELIRNPKVMNKLKEEAKLASEKSSMVVEENVNKMSYLKAVVKEVLRLHPPIPLLLPRETIENTELQGYKIPAKTRVLINGWAIGRDPKFWNAPKEFIPERFVNNELDFRGQDFEFIPFGVGRRICPGMHFAVATIEFALANLVHQFDWEMPNGLSAEDLNMDEAQGLIMHRKHPLVLVAKKVN >DRNTG_16797.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25397311:25398380:1 gene:DRNTG_16797 transcript:DRNTG_16797.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYDVAYTSRGNNTAALTRQDLFFLYSMVRNVPIHLGCIVPDVLRYQGQSARVGLLFAGPYLTRLILGMGLVDTLRGVERTVVPTPLTFETIRMMGLGPRAYDRIERLESDVREIRTEIAELRVIQSAQYTDLMAHFDFRRDLLRSRPSAPPALPSPILAPQDPPCASTPPAAVEKPTECGTGI >DRNTG_09252.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:428826:431627:1 gene:DRNTG_09252 transcript:DRNTG_09252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPLRTSTRSTSSLPSFSSSSARSCRASALALISSFITYKVMIFNQNWF >DRNTG_18180.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29102556:29103748:-1 gene:DRNTG_18180 transcript:DRNTG_18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIFLSFIFSFFFFSSVHGYDAGWTNAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGACYELKCVSDSNWCLPGSIVITVTNFCPPNPSLSNTDGGWCNPPLHHFDLSQPVFQHIAQYKAGIVPVSYRRVACRKKGGIRFTINGHSYFNLVLITNVGGAGDVHAVMIKGSKTKWEPMSRNWGQNWQSNTYLNGQALSFKVTTSDGHSVTSMNVAPSGWSFGQTFSGTQFH >DRNTG_10918.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:16414:17635:-1 gene:DRNTG_10918 transcript:DRNTG_10918.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFQFANGRFVLPIPKMVKPMPEEKLKSEEIKQQPLHALSPFHHEKKIEQGKKQEDALQAEKMKPIQGREKVAADQIPQPKTSPPTDHEKKFEEREKVAADQIIQPKTSPPTDHEKKIEEREKVTAELSQTKVPPPNEKKSDHIQDEGSKEKITDDQNEKKFRLLCKRKFREEGWLDHGMLDSLIERINNNKKVILVAVVALSVGFYVSHKLRSSRK >DRNTG_10918.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:16414:17635:-1 gene:DRNTG_10918 transcript:DRNTG_10918.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTFQFANGRFVLPIPKMVKPMPEEKLKSEEIKQQPLHALSPFHHEKKIEQGKKQEDALQAEKMKPIQGREKVAADQIPQPKTSPPTDHEKKIEEREKVAADQIIQPKTSPPTDHEKKFEEREKVAADQIPQSKTSPPTDHEKKIEDREKVAADQIIQPKTSPPTDHEKKIEEREKVTAELSQTKVPPPNEKKSDHIQDEGSKEKITDDQNEKKFRLLCKRKFREEGWLDHGMLDSLIERINNNKKVILVAVVALSVGFYVSHKLRSSRK >DRNTG_10918.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:16414:17635:-1 gene:DRNTG_10918 transcript:DRNTG_10918.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFQFANGRFVLPIPKMVKPMPEEKLKSEEIKQQPLHALSPFHHEKKIEQGKKQEDALQAEKMKPIQGREKVAADQIPQPKTSPPTDHEKKFEEREKVAADQIIQPKTSPPTDHEKKFEEREKVAADQIPQPKTSPPTDHEKKIEEREKVAADQIIQPKTSPPTDHEKKFEEREKVAADQIPQSKTSPPTDHEKKIEDREKVAADQIIQPKTSPPTDHEKKIEDREKVAADQIIQPKTSPPTDHEKKIEEREKVTAELSQTKVPPPNEKKSDHIQDEGSKEKITDDQNEKKFRLLCKRKFREEGWLDHGMLDSLIERINNNKKVILVAVVALSVGFYVSHKLRSSRK >DRNTG_02221.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1191178:1194307:1 gene:DRNTG_02221 transcript:DRNTG_02221.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translocase subunit SecA [Source:Projected from Arabidopsis thaliana (AT1G21650) UniProtKB/TrEMBL;Acc:F4HY36] MLANEVQNKAVIFTGHSVGGSLASLAALYFLCLASYSNSLAPASLLCVTFGSPLLGNEALALAILRERWGDKFCHLVAQHDIMPRLLFCPPNSIDPQLVAHMLQSWQLAMRYPHLVKNTIMQFSDEEKEGLFQFVAMHVDKAATETHGSLYKPFGSYVMCSKEGAVYVDNSDVVLRLLYLTFAAGCGDHSVEDEHLFYGDLLVTITQQLLVKKQFFIQEEAPKSYYSTGVQQALGALGIGFQDMEAVEAQECLRISKRMWQNPNLKCANLAIKLAKVTPCRAQIEWYKASCDDDMGYYDTFKQRKASKREFKVNINRIKLAQFWNEVINMLQDNLLPSDFDKRAKWVNASQFYMLLVEPLDIAEYYKTGMHKRKGHYISHGRERRYQVFDKWWKTRNKYTVDTKRSKYAGLTQDSCFWAKVEEAREWMEEVRRGRDATGLTDLCQKLISFEFYAKELINRKEVSIDVLAPRSSYTLWNAEWNSLKAELGLKSNLDIGFL >DRNTG_02221.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1190314:1194307:1 gene:DRNTG_02221 transcript:DRNTG_02221.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translocase subunit SecA [Source:Projected from Arabidopsis thaliana (AT1G21650) UniProtKB/TrEMBL;Acc:F4HY36] MREEEEPMFETSHVLGGLLVSTPILPRAWKQCMLANSTNTAFFVQQVDDVVYVGFSAVQAMSSVAGVPDGRFFSAVPVGHGFFSPLVREEDDGEPLLFHASSLHLFMALCNSPQFQMLANEVQNKAVIFTGHSVGGSLASLAALYFLCLASYSNSLAPASLLCVTFGSPLLGNEALALAILRERWGDKFCHLVAQHDIMPRLLFCPPNSIDPQLVAHMLQSWQLAMRYPHLVKNTIMQFSDEEKEGLFQFVAMHVDKAATETHGSLYKPFGSYVMCSKEGAVYVDNSDVVLRLLYLTFAAGCGDHSVEDEHLFYGDLLVTITQQLLVKKQFFIQEEAPKSYYSTGVQQALGALGIGFQDMEAVEAQECLRISKRMWQNPNLKCANLAIKLAKVTPCRAQIEWYKASCDDDMGYYDTFKQRKASKREFKVNINRIKLAQFWNEVINMLQDNLLPSDFDKRAKWVNASQFYMLLVEPLDIAEYYKTGMHKRKGHYISHGRERRYQVFDKWWKTRNKYTVDTKRSKYAGLTQDSCFWAKVEEAREWMEEVRRGRDATGLTDLCQKLISFEFYAKELINRKEVSIDVLAPRSSYTLWNAEWNSLKAELGLKSNLDIGFL >DRNTG_02221.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1177637:1194307:1 gene:DRNTG_02221 transcript:DRNTG_02221.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translocase subunit SecA [Source:Projected from Arabidopsis thaliana (AT1G21650) UniProtKB/TrEMBL;Acc:F4HY36] MASPLRLSVLSPSFSPLTLLFSTSFKPSRLLSPPPHASVSSSPSSSSSSTTLTPHKLFSTSLKLGSFEGVKWGDLWSLNNWVVRDYYRLVDSVNGLEQSVRGLSDEQLRLKTTEFRQRLSRGETLADIQAEAFAVVREAARRTLGMRHFDVQIIGGAVLHDGCIAEMKTGEGKTLVSTLAAYLNALGGNGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQRGMRADERRSNYGCDITYTNNSELGFDYLRDNLCGNKGQLVMRWPKPFHFAIVDEVDSVLIDEGRNPLLISGEANKDAARYPVAAKVAELLVRGIHYDVELKDNSVNLTEEGVALAEMVLETNDLWDENDPWARFLMNALKAKEFYRRDVQYIVRNGKALIINELTGRVEEKRRWSEGIHQAVEAKEGLQIQADSVVVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFQMPVIEVPTNLPNIRMDLPIQAFATARGKWEYVREEVEHMFQLGRPVLVGTTSVENSEYLSELLKDRKIPHNVLNARPKYAAREAETIAQAGRKYAITISTNMAGRGTDIILGGNPKMLAKKILEDRLLPFMTEEAPNVEIDGEQISQKGLSKIEIGPSSIALLAKAACIAKYGRKSKEDWPYQKAKLLIQESIEKSQSVDIDKLEKLLAEESELFLGDAITCAYLSVLQDCEAHCLNEGAEVKRLGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFQKFNFDTEWAVRLISRITNNEDIPIEGHTIVKQLLGLQINAEKYYFGIRKSLVEFDEVLEVQRKHVYDLRQLILTGDSESCCEHIFRYMQEVADEIVFANVDPLKSPRDWTLGKLLDEFVDIGGTCLAEQFAEIREEDMLSSLEKVHGLDFTVIDAFSLPGLPLPPKTFRGIRKKQSSLKRWLNICADESMKNGGFRRIANFLRKYLGDILIASYLQIVEESGYDDTYFQEIEREVIVKSLDCFWRDHLINMNRLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRLTVESLFQYWSSPKNSEELFEL >DRNTG_02221.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1191178:1194535:1 gene:DRNTG_02221 transcript:DRNTG_02221.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translocase subunit SecA [Source:Projected from Arabidopsis thaliana (AT1G21650) UniProtKB/TrEMBL;Acc:F4HY36] MREEEEPMFETSHVLGGLLVSTPILPRAWKQCMLANSTNTAFFVQQVDDVVYVGFSAVQAMSSVAGVPDGRFFSAVPVGHGFFSPLVREEDDGEPLLFHASSLHLFMALCNSPQFQMLANEVQNKAVIFTGHSVGGSLASLAALYFLCLASYSNSLAPASLLCVTFGSPLLGNEALALAILRERWGDKFCHLVAQHDIMPRLLFCPPNSIDPQLVAHMLQSWQLAMRYPHLVKNTIMQFSDEEKEGLFQFVAMHVDKAATETHGSLYKPFGSYVMCSKEGAVYVDNSDVVLRLLYLTFAAGCGDHSVEDEHLFYGDLLVTITQQLLVKKQFFIQEEAPKSYYSTGVQQALGALGIGFQDMEAVEAQECLRISKRMWQNPNLKCANLAIKLAKVTPCRAQIEWYKASCDDDMGYYDTFKQRKASKREFKVNINRIKLAQFWNEVINMLQDNLLPSDFDKRAKWVNASQFYMLLVEPLDIAEYYKTGMHKRKGHYISHGRERRYQVFDKWWKTRNKYTVDTKRSKYAGLTQDSCFWAKVEEAREWMEEVRRGRDATGLTDLCQKLISFEFYAKELINRKEVSIDVLAPRSSYTLWNAEWNSLKAELGLKSNLDIGFL >DRNTG_31653.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:910160:913553:-1 gene:DRNTG_31653 transcript:DRNTG_31653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHTNTKRKERQGNDFNDSFGHSILQKMKQMSCILSSSSQRL >DRNTG_03091.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:476196:479324:-1 gene:DRNTG_03091 transcript:DRNTG_03091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSIDRHKQLPNNSELRSHGSKDFDYAFEEKVKKMFKNKSRTNKDVVSHISRT >DRNTG_03091.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:476196:477183:-1 gene:DRNTG_03091 transcript:DRNTG_03091.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSIDRHKQLPNNSELRSHGSKDFDYAFEEKVKKMFKNKSRTNKDVVSHISRT >DRNTG_05504.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30192874:30195582:-1 gene:DRNTG_05504 transcript:DRNTG_05504.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSQQRVFAIQVFELHRLIKVQKLIAASPNMLLEGNRCLSKPSKKVPLKSLEGNQCLTKSSQQAPSEITKQQESLSKTQAVTTRQEDDLQKPNQNIEAPPSYSSRQDGLHREGLHREVSVQHPESSPSVACAQRLSSWCFPPPGNQWLVPVISPLEGLVYKPCAGPYLPNNGFMPPVYQGCAPLNTAYGIIATYQRPNISVPPSVLPFSANYYPASYPMPVMNQAAVASATEQVSPMDGSRPTGQTEQSSCKKSNPKVVAFSGRLRKFQASKDGRAASSPCEKGQPVVLAAEGSVQPSQGSKNQTQTRTRVIKAVPQNAKSEPELATKIFQSIQEERQPHDT >DRNTG_05504.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30192874:30194963:-1 gene:DRNTG_05504 transcript:DRNTG_05504.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPKIESGKYSTRERKTTLVCSEHESMEESKVLDTKSVSIKTSQNEQRRKKALSIKEQKKSAPILHAGENLAEPDLPKSIDLNEDLHMESEEQDKKPHDIDASVQNKCLNDNGCRNASSAKNESCSKTLLDNSCRRENTGNDHNQEKDNKASGERNEACDVPMVDSSSRREVHPDDIVESIGPKQFWKARSAILNQQRVFAIQVFELHRLIKVQKLIAASPNMLLEGNRCLSKPSKKVPLKSLEGNQCLTKSSQQAPSEITKQQESLSKTQAVTTRQEDDLQKPNQNIEAPPSYSSRQDGLHREGLHREVSVQHPESSPSVACAQRLSSWCFPPPGNQWLVPVISPLEGLVYKPCAGPYLPNNGFMPPVYQGCAPLNTAYGIIATYQRPNISVPPSVLPFSANYYPASYPMPVMNQAAVASATEQVSPMDGSRPTGQTEQSSCKKSNPKVVAFSGRLRKFQASKDGRAASSPCEKGQPVVLAAEGSVQPSQGSKNQTQTRTRVIKAVPQNAKSEPELATKIFQSIQEERQPHDT >DRNTG_05504.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30192874:30195798:-1 gene:DRNTG_05504 transcript:DRNTG_05504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKKEEKGGPKAHQIRNKMTLYEQLSVSSQKLNPRPGSSLSFPPHCGNACTMGPSPSSNQYCRHERSVLYPFQMSVPKIESGKYSTRERKTTLVCSEHESMEESKVLDTKSVSIKTSQNEQRRKKALSIKEQKKSAPILHAGENLAEPDLPKSIDLNEDLHMESEEQDKKPHDIDASVQNKCLNDNGCRNASSAKNESCSKTLLDNSCRRENTGNDHNQEKDNKASGERNEACDVPMVDSSSRREVHPDDIVESIGPKQFWKARSAILNQQRVFAIQVFELHRLIKVQKLIAASPNMLLEGNRCLSKPSKKVPLKSLEGNQCLTKSSQQAPSEITKQQESLSKTQAVTTRQEDDLQKPNQNIEAPPSYSSRQDGLHREGLHREVSVQHPESSPSVACAQRLSSWCFPPPGNQWLVPVISPLEGLVYKPCAGPYLPNNGFMPPVYQGCAPLNTAYGIIATYQRPNISVPPSVLPFSANYYPASYPMPVMNQAAVASATEQVSPMDGSRPTGQTEQSSCKKSNPKVVAFSGRLRKFQASKDGRAASSPCEKGQPVVLAAEGSVQPSQGSKNQTQTRTRVIKAVPQNAKSEPELATKIFQSIQEERQPHDT >DRNTG_16764.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22098755:22100059:-1 gene:DRNTG_16764 transcript:DRNTG_16764.2 gene_biotype:protein_coding transcript_biotype:protein_coding ATRLYCFVHKVPVCGECICFSEHQICVVKKYSDWVIDGEYDWPSTCSLCNVAFEDGNNAQTTRLGCLHLMHTECLVSHIRSFPPQTAPAGYVCPLCSSSIWPPKSIKETGSRLHSKLKEAIILVGIICSFCCLC >DRNTG_16764.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22099293:22100523:-1 gene:DRNTG_16764 transcript:DRNTG_16764.3 gene_biotype:protein_coding transcript_biotype:protein_coding KKYSDWVIDGEYDWPSTCSLCNVAFEDGNNAQTTRLGCLHLMHTECLVSHIRSFPPQTAPAGYVCPLCSSSVRGFPEFH >DRNTG_16764.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22098755:22100523:-1 gene:DRNTG_16764 transcript:DRNTG_16764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCKCRKATRLYCFVHKVPVCGECICFSEHQICVVKKYSDWVIDGEYDWPSTCSLCNVAFEDGNNAQTTRLGCLHLMHTECLVSHIRSFPPQTAPAGYVCPLCSSSIWPPKSIKETGSRLHSKLKEAIILVGIICSFCCLC >DRNTG_16764.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22098755:22099648:-1 gene:DRNTG_16764 transcript:DRNTG_16764.4 gene_biotype:protein_coding transcript_biotype:protein_coding VKKYSDWVIDGEYDWPSTCSLCNVAFEDGNNAQTTRLGCLHLMHTECLVSHIRSFPPQTAPAGYVCPLCSSSIWPPKSIKETGSRLHSKLKEAIILVGIICSFCCLC >DRNTG_33991.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32048598:32051900:1 gene:DRNTG_33991 transcript:DRNTG_33991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGALKLAWQLHGYLWKHCIEKNVHVHNSLIDMYAKCGSLHEAHKVFSEMPTKDVVSFNVMIGGFANYGHGENALELFAEMLEGGIQPDTFTFLGILSACCHSRLVDSGAKFFSCMKRDFGIEPSVDHYACMVDLFGRAGYVEEAYDFVKAMHVAPHAGVWGALLNACRTYCDVKIGEIAAHELFRIEPWNPGNYVILSNIYARAHCWDSVTKIRRFMRGRTVEKTSGSSWIQVNHEFHKFTMGEALHPQNEEIYGVLKNIYLQFL >DRNTG_23495.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21805934:21809903:-1 gene:DRNTG_23495 transcript:DRNTG_23495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLPDLSEAHPLGISATQSSSSPSSLSDVSVPSSSWTSTSSWPRQSVARLSMFVRESNTEFSSSSSDIANIQSSHSCHSSLSLSAGNVSDMFCLALFLSMKTLK >DRNTG_26515.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2345962:2348254:1 gene:DRNTG_26515 transcript:DRNTG_26515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEEEVGRLKEEIQRLGQLQSDGTYKVKFGVLFNDDKCANIFEALVGTLRAAKKRKVVTYEGELLLQGVHDNVEILFSHHLQDCTSCHCLIFNSFVIADYAMCPQCFIFRSFVQE >DRNTG_02997.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:2741096:2741944:1 gene:DRNTG_02997 transcript:DRNTG_02997.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLVDIRSSNVLLFDDYAPKIDDFNLTNQSPDTAARLHSTRVLVTFSYHAPEYAMTGQLTQKSDVYSFDVLLLELLTGRKPVDHTMPKGQQSLVTWATPRLSKDKVKRCVNPKLNNEYPPKVIAKLIQSILALNTETKDSSLCVALVSEMG >DRNTG_16611.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31322497:31326394:-1 gene:DRNTG_16611 transcript:DRNTG_16611.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLARATPFFLCSSSHLETFPFPFPFPFLLNYRRNGRNLPVCRVAYKRIPMDTPGAYQLIDEHTGEKFIVWGGSDDGGDDSIPSEQVLSWKPEAVKSSDSLADDDRAARSRTGFSKLKASKIRGLMIKRSSANRTLDCKENAPATYQEEPDFVRARTGFGLLHDNGRDGDIKDGSFSNVRPDFVGESSTSPGNASSSLRGRGWGSASSSFSSESNTTAAARLPQRSRKSANGGFFSNKSFENLGCSPDMVQSLKDLQFIRPSHIQAMAYGPVLEGKSCIIADQSGSGKTLAYLAPTIQRLRQEELAGLHKSSARSPRVIILAPTAELASQVLNNCRSISKGGVPFRSMVATGGFRQKTQLDNLQQEMDVLIATPGRLIYLLQEGFLLLTNLRCVILDEVDILFGDEGFEQVLQTLSSSAPMTSQYLFVTATLPVDIYNKLVETFPDCEVIMGPGLHRMSSRLEEVLVDCSGDVEGEKSPDTAFTNKRSALLQLVQESLVSRTIVFCNKIETCRKVENALKRFDRKGVHVKVLPFHAALDQETRLFNMKEFLNLKSTDNLFLICTDR >DRNTG_16611.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31322240:31326394:-1 gene:DRNTG_16611 transcript:DRNTG_16611.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARATPFFLCSSSHLETFPFPFPFPFLLNYRRNGRNLPVCRVAYKRIPMDTPGAYQLIDEHTGEKFIVWGGSDDGGDDSIPSEQVLSWKPEAVKSSDSLADDDRAARSRTGFSKLKASKIRGLMIKRSSANRTLDCKENAPATYQEEPDFVRARTGFGLLHDNGRDGDIKDGSFSNVRPDFVGESSTSPGNASSSLRGRGWGSASSSFSSESNTTAAARLPQRSRKSANGGFFSNKSFENLGCSPDMVQSLKDLQFIRPSHIQAMAYGPVLEGKSCIIADQSGSGKTLAYLAPTIQRLRQEELAGLHKSSARSPRVIILAPTAELASQVLNNCRSISKGGVPFRSMVATGGFRQKTQLDNLQQEMDVLIATPGRLIYLLQEGFLLLTNLRCVILDEVDILFGDEGFEQVLQTLSSSAPMTSQYLFVTATLPVDIYNKLVETFPDCEVIMGPGLHRMSSRLEEVLVDCSGDVEGEKSPDTAFTNKRSALLQLVQESLVSRTIVFCNKIETCRKVENALKRFDRKGVHVKVLPFHAALDQETRLFNMKEFLNLKSTDNLFLICTDRASRGIDFTNVDHV >DRNTG_13109.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11188166:11191290:1 gene:DRNTG_13109 transcript:DRNTG_13109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSNPEHQTRFEKLSALGFGQTRFMDLQVLRDIQRGDELADEINEMLVVGRWKRLLTISEPAYRTLTLEVLASFEFYLMHGRFDTMEAIQFWAFGHPFSMSVTEFSIRMGPYDVAYIGTVEFGRLLGDFPVSVTPHHVYRILCGHGEYEPGISKATSLSRLSYRYLHVVISRSVSGRAHNTAALTRQDLLFLYSMARNVPIHLGCILADILRKKVGRGASVKAYPILDEGILRRRVEDFPQDHRHDY >DRNTG_07222.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14057394:14066220:-1 gene:DRNTG_07222 transcript:DRNTG_07222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSSSPLSNPFPRTRTSRKNWMGSLGIMLGEKVPLYFAERLTDYYKRANGEGPHIYLKREDLNHTGAHKINNAVAQALLAKHLGKKRIIAETGAGQHGVATATVCARFGLDCVIYMGSQDMERQSLNVFRMQLLGAEVRAVHSGTATLKDATSEAIRDWVTNVESTHYILGSVAGPHPYPMMVRDFHAVIGKETRRQAMEKWGGKPDVLVACVGGGSNAIGLFHEFIDDGDVRLIGVEAAGYGTRQWKARCNLDKRRGGSVAWGNELFIAG >DRNTG_20258.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20913031:20916425:1 gene:DRNTG_20258 transcript:DRNTG_20258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTASSPPSPTSSLISSLSHLYAMAFSPLVDLGITALRSRLISETEIGRRAIKATAYSRFCAGEDAESAAKTLTELWGRGMRGILDYGLEDAEDNAACDRNLAGFLHTVEMTSSLPRDSVSFACVKITAICPITLLERVSDLLRWEQKKKTIDLPWKSHSLPILSPSSPLYHTPSSPPPLSETEEQDLRLAEERLTTLSQRCSDFNVPLLIDAEYTSVQPAIDYLTYSAMLRFNHNDRPIVYGTIQAYLRDAKERLMLAVEAAEKERISIGFKLVRGAYLTRETELASSLGAASPIHSSIEKTHACYNDCTSFMLGKVVSGSGSVVLATHNFNSGKFAAAKAEELGIDKGDQKLQFAQLKGMAEALSIGLSNAGFRVSKYLPFGPVDQVIPYLLRRAEENRGFLSASTLDRQLMRKEIKRRLKTMLSGRG >DRNTG_20258.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20913031:20915787:1 gene:DRNTG_20258 transcript:DRNTG_20258.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTASSPPSPTSSLISSLSHLYAMAFSPLVDLGITALRSRLISETEIGRRAIKATAYSRFCAGEDAESAAKTLTELWGRGMRGILDYGLEDAEDNAACDRNLAGFLHTVEMTSSLPRDSVSFACVKITAICPITLLERVSDLLRWEQKKKTIDLPWKSHSLPILSPSSPLYHTPSSPPPLSETEEQDLRLAEERLTTLSQRCSDFNVPLLIDAEYTSVQPAIDYLTYSAMLRFNHNDRPIVYGTIQAYLRDAKERLMLAVEAAEKERISIGFKLVRGAYLTRETELASSLGAASPIHSSIEKTHACYNDCTSFMLGKVVSGSGSVVLATHNFNS >DRNTG_01310.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1820711:1822854:1 gene:DRNTG_01310 transcript:DRNTG_01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMEIDAQAKPEDLFRVAESGKASLFASFSDDELRRASSLRNEDGRSLLHVAVSSGHSEVVRVLAKGDPAVSGVNSTDEEGWAPIHSASSSGNVEIVEILLTRGADVNLANDGGRIALHYAASKGWLKVAEILISHGSKLNRKDKVGCTPLHRAASVGNSEMCELLIEEGAEVDAVDKAGETPLMNAVICENKEVALLLIRHGANVDAEDKEGYTVLGRASDTFRPVLVDAAKVMLED >DRNTG_20047.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26176354:26181600:-1 gene:DRNTG_20047 transcript:DRNTG_20047.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGVSWKLEDHPKLPKGKTVAVVVLDGWGEANPDKYNCIHVAETPTMDSLKKGAPEKWRLVKAHGKAVGLPTEDDMGNSEVGHNALGAGRIYAQGAKLVDFALASGKIYEGEGFKYIKECFDKGTLHLIGLLSDGGVHSRLDQLQLLLKGASENCAKRIRVHILTDGRDVLDGTSVGFVETLENDLLKLQEKGVDAQIASGGGRMYVTMDRYENDWGVVKRGWDAQVLGEAPHKFRSAVEAVKKLREDPNVNDQYLPPFVIVDESGKPVGPILDGDAVVTFNFRADRMVMIAKALEYEDFDKFDRVRVPKIHYAGMLQYDGELKLPKHYLVDPPEIERTSGEYLVHNGIRTFACSETVKFGHVTFFWNGNRSGYFDASMEEYVEIPSDAGITFNVQPKMKALEIAEKARDAILSRKFDQGIRFVLIFPTETWWDIQVTLRPLL >DRNTG_20047.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26176354:26181600:-1 gene:DRNTG_20047 transcript:DRNTG_20047.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGVSWKLEDHPKLPKGKTVAVVVLDGWGEANPDKYNCIHVAETPTMDSLKKGAPEKWRLVKAHGKAVGLPTEDDMGNSEVGHNALGAGRIYAQGAKLVDFALASGKIYEGEGFKYIKECFDKGTLHLIGLLSDGGVHSRLDQLQLLLKGASENCAKRIRVHILTDGRDVLDGTSVGFVETLENDLLKLQEKGVDAQIASGGGRMYVTMDRYENDWGVVKRGWDAQVLGEAPHKFRSAVEAVKKLREDPNVNDQYLPPFVIVDESGKPVGPILDGDAVVTFNFRADRMVMIAKALEYEDFDKFDRVRVPKIHYAGMLQYDGELKLPKHYLVDPPEIERTSGEYLVHNGIRTFACSETVKFGHVTFFWNGNRSGYFDASMEEYVEIPSDAGITFNVQPKMKALEIAEKARDAILSRKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKMILDAIEQVGGIYVVTADHGNAEDMVKRNKSGQPALDKNGNIQVLTSHTLQPVPIAIGGPGLMHGVRFRSDVPDGGLANVAATVMNLHAFIAPSDYEPTLIEVVDN >DRNTG_04441.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10272671:10276223:1 gene:DRNTG_04441 transcript:DRNTG_04441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNAGAELDAMNTGLSAQDVCARFMMNADAELEAMNSTIFNTQQSLQSMENKMGESSNISTKLPRTIEDIYENGIRKEENNVEIVVEVGIEVLEVEEAIDVPPIPFEQIIDVRPQVESKEDKVKDEIIDVETFEEVKEIPSTTFKDTTCLNFDHSINQSLIFKWKEEIPGIVFEDVGKRPRAIEGHHTMVEKNIVDRILKQPINPPMHGLTSSQPNLFPWRPKQHLWVVQGILTWVEEENAGRRLKPSKDPPMPSLNNSRPKLFPWRPKGDSCLTSKFASSRRENAEYHPCGRKDAPQDHPSLFEALGDLATRLGMNSVSKRCFEPGITLAKYCISVTVAVSL >DRNTG_02858.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20842971:20846626:-1 gene:DRNTG_02858 transcript:DRNTG_02858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAENNSENNNNMKAWMAKGEEIIRISALIACIVATLVMGMNKESKSIVVAVVANTSISQTLTAKFQDTPAFIYFVIANGIASLYNLSVLSVRFFSKRRGFDITVHLLDLVILVIVDGAVASASSMAELGKNGNMAARWSPICNNFSGFCTHGGFAIAASFVGALLLLILTMLSTINAHIAHPSKPQVILP >DRNTG_02858.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20844587:20846626:-1 gene:DRNTG_02858 transcript:DRNTG_02858.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAENNSENNNNMKAWMAKGEEIIRISALIACIVATLVMGMNKESKSIVVAVVANTSISQTLTAKFQDTPAFIYFVIANGIASLYNLSVLSVRFFSKRRGFDITVHLLDL >DRNTG_22990.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1781489:1785722:-1 gene:DRNTG_22990 transcript:DRNTG_22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAANCSPISYPLLPSTHQHHMWDAWDMAAEICLAKLPQLIEDPNAEFQPSPFFTEQLTAFEVWLDHGSEHKKLPEQLPIVLQVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTTAMELRQILVFIWTKILALDKSCQVDLVKDGGHAYFIRFLDSMDAYPEQRAMAAFVLAVIVDGHRRGQEACFQANLVHVCLRHLQLANPHDAQTEPLLLQWLCLCLGKLWEDFSEAQLLGLQSDASSIFASLLSEPQPEVRAAAVFALGTLLDVGSDSFRDGMVGDGDDDDEKMKSDLNIVRSLLQVVTDGSPLVRAEVAVALGRFAFGHNKHLKAVAATYWKPQPNSLLNTLPSLTNISNPSSGYTNPGQFVQSGPIPFCPN >DRNTG_22664.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20459936:20464041:1 gene:DRNTG_22664 transcript:DRNTG_22664.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit K [Source:Projected from Arabidopsis thaliana (AT4G33250) UniProtKB/Swiss-Prot;Acc:Q9SZA3] MARDREASQSPQQQYTVEELVAVNPYNPDILTDLENYVNEQVTNQTYSLDANLCLLRFYQFEPERMNIQIVARILIKQMEEQFKTLIVLSHYLETARFRQFWDEAAKHRSILEVIPGFEQAIQAYAIHILSLTYQKVPRPVLAEAINLEGPALDKTLEYQVANCGWVLEKGHGRDQLIVLPRNEFNHPELKKNTEDSMPLDHVAGIFPILG >DRNTG_24958.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6529302:6530688:1 gene:DRNTG_24958 transcript:DRNTG_24958.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYDDLDLPFAKLRLLPKGGHGGHNGMKSVINHLKGSRDFPRLRIGIGRPPGKMDPASFVLRPFNKKEREELDFALQRGLEAIRILLLEGLNKSATFVNSSQPSELMNR >DRNTG_24958.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6528942:6530688:1 gene:DRNTG_24958 transcript:DRNTG_24958.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVGSIVSYFHVPLNQVVLMYDDLDLPFAKLRLLPKGGHGGHNGMKSVINHLKGSRDFPRLRIGIGRPPGKMDPASFVLRPFNKKEREELDFALQRGLEAIRILLLEGLNKSATFVNSSQPSELMNR >DRNTG_24958.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6528942:6530688:1 gene:DRNTG_24958 transcript:DRNTG_24958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKPQTFMNASGESVGSIVSYFHVPLNQVVLMYDDLDLPFAKLRLLPKGGHGGHNGMKSVINHLKGSRDFPRLRIGIGRPPGKMDPASFVLRPFNKKEREELDFALQRGLEAIRILLLEGLNKSATFVNSSQPSELMNR >DRNTG_02508.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7794174:7807592:1 gene:DRNTG_02508 transcript:DRNTG_02508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGYARRRPEERRPLGRRSKGLGVDKKRKKAKSVTLKNQIRSTERMLRKNLPPEVREAQEKKLDELKKQQEIQTRLAVERKIQLRDRKIKFFERRKIERMIRRLEKQHRSSSDHTLEAKISDQLSKLREDLEYVRFFPKSEKYVSLFVGGDKPDIVDKRDKLRKQIKANLIAAAASGKDLEETASDDDVLDTSDDDFFLSGSSSDEAEADDEWTDKSAREPASSASGKATSGMSSDEKNQRQISARALMPPPRPLSAIRNQPANRNQSVKKLPVSTSSNTSYSTSSVSSQSRGVSNARTDHNSNLSSNSDAHKPRRKRRPKKKKQA >DRNTG_07613.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000340.1:52309:57228:1 gene:DRNTG_07613 transcript:DRNTG_07613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGNQDGKSPSKGSDPSKGSDSSKSEAPSSQPQPLPTDFPGNPFDFASLTNMLNDQSIRELAQQIAEDPVFNQMAEQLHKSVQGAGQDGVPAFDTNQYISTMQQVMHNPQFMTMAERLGNALMQDPAMSNILENMTNPSNKEKLEDQMSRIKEDPTLKPILDEIETGGPSAMMKYWNDQDVLKKLGQAMGIGVSGEAATSAEISGPEEAEEAGYEDESIVHHTASTGDVEGLKSALAAGADKDEEDSEGRRALHFACGYGEVKCAQVLLEAGAAVDALDKNKNTALHYAAGYGRKDCVALLLEHGAAVTLQNLDNKTPIDVAKLNNQDEVLKLLEKDAFL >DRNTG_16129.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14572957:14576391:-1 gene:DRNTG_16129 transcript:DRNTG_16129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMQTLARPWVISARACEVLQRLADFPKSTEGSGLAPMIDLVKIAWELLISTRPCETLQRSYLHPENECFPKSTQGHAPTLVKLSCGGLSFPLHPKILQLSERTSTLFPNLISPVWKDFAWFSGRFQSFLISTRRSKKKTGKHPRESFPESESKRFTIPEHQACFQHLSRLRFGQTRFLDTRLVCQIGSDLLGSIHYVISSWYGSLRVQTGVDKVPLCISRKCMGLSKLVVISVLMIQFSKVKTMSKKARVKEEVSIRRLRIPSSGIGYASTEAPLPAFFLRNDDVRAVEPLQNLSQYLSRPLLKPSPSAATVPSSHCSATIPGLKYFPNPYFLRGDANGHTFTSWIALLL >DRNTG_24715.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17919710:17920148:-1 gene:DRNTG_24715 transcript:DRNTG_24715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERFGFSKKIEQVVIGGSGGNPFLGRWVCCTTPANGLDWTIVGNGKSTYYAETMDVAGTEVRKLVQKAYSRAKTIITTHIDIHINYPNFSLKRRPSMGEEFMSLFIDGKA >DRNTG_23718.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:770741:774251:-1 gene:DRNTG_23718 transcript:DRNTG_23718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQTLKPSIHGVRESLLSVKQRAFLLPKQWRSIPAEKNRCDLRITVARGGNKVDGFGGKYGEVLRRNGVGMKDVDMATLGNLCVDIVLDVPSLPPALKDERLAYMERLEASPPDKKHWEAGGNCNLAIAAARLGLHCASLGHVGDEIYGHFLLDVLREEGIDFVDMNENIESICRESISYQTLLCWVLVDPFQKHGFCSRADFSDEPMFNWMRELSAKAKIAIQLSKILFCNGYAFDEFSSDIIISALYCAIDMGTAVFFDPGPRARTLLLGLPQQQRALELFLRHSDVLLFTADEAESLTGITNPIQAGQELLKKGAHTRWVIIKMGGKGSILITNSSIICAPAFKVNVVDTVGCGDSFTAAIGYGFLHDISPVSTLVLANAVGAATATGCGAGRNVADLDKVSKLLSQSNLNEDDNFWRDLINENLENPDILLVSKVETINGQQKDGVIRLPIQHALYEILPKLNTVCDRKVTQV >DRNTG_07308.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3404043:3405514:1 gene:DRNTG_07308 transcript:DRNTG_07308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITLQAQELHYLTLSQRQYSHEQESMQNPNHHHQQHQITTSQTLESIDPSASGKWAEKLLKECAKAISEKDSAFFCKATESGERCYKTLISVAEKSHSFESARKVILKFQEVSPWTTFGHVASNGAILEAMDGEAKLHIIDISSTYCTQWPTLLEALATRNDDTPHLRLTVVVTAGTGGSVMKEIGQRMEKFARLMGVPFEFNVVSGFSRLGELKEEDFGVRDDEAVAVNCIGALRKVSVIERSPFIRMLHHLRPKVVTVVEEEADFTSNLDEFMACSEECLRFYSIFFEMLEESFVPTSNERLMLERESSRSILSVLACEGEGGGECERREKGSQWCERLTGDFSPMSFNDDGVDDVKALLRRYRAGWALLPAQGTDATGLYLTWKDEPVVWASAWKPS >DRNTG_34196.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1955260:1958198:1 gene:DRNTG_34196 transcript:DRNTG_34196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATCKVVITTRNRDICKVMRCEEIVELETLSDDESWRLFASRAGDAVESPTMREWAQNIVKECAGLPLALVVLGTALKGKKPDIWKTVLMQIKRSMDVGLQGVSKQVFQSIKLSFDFLESEEAKSCFLHCCLYPEDYDIPKEELMHLMVGGGILIGDVSLNDAQGMVDLRLDQLKTRGLLLQGKDERFVKMHDVVRDVAMQIGAVADHAFYCRAGQGLKEWPRTTESEMRNCRRLSLMDNDIEDLPHDPMQYPKLEMLILRGNKWLSSIPAMFFLHMGCLMVLDLSYTAIKSLPESLSCLNNLRVLNLRRCYSLRDISHINGLKMLEILILDDCSVSIVPADVGCARSLRFVDLGFKEGRSSCLNIFFSKKLPRFHRLEQLFMGKFAGSFRELISLRHLTHIFIREVVDLGDSLSHELVSPGSWPDRLQDFSISFHQAQSLFCVRDRRNLKLMGTKRLAVWVKRLLEKTIHLTLAEFQETELSSIGVSLPSLECLEVFNRPYLTKLLGDELLLHEQIPLRQLITDNCPTLTSLIPSEKLDHISVMACPMMPELFPNLKRLEINDCGMRYVVSSKMETVAILADPFPALEILGIRYCPEMIGMISPPASLQAPCFSQRLREITIAACPRLTHLFSYKQAISMQHLIELSVINCAALEAVVISTENKEEASSSAHVADHESYNSPFPNLRILYLVNLPQLTAFHHPTAPPAEWLHLGSYDIDECPKLQEPLEERIRSLCARMKKKKAGSVKGEEDD >DRNTG_28600.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001442.1:3657:8361:1 gene:DRNTG_28600 transcript:DRNTG_28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGRRRRARKLEDHPSPRSKTLKTLTQIKIVFSFVGENSVTKSFAFFMMKQYMTCKKKGNNKEIIAEPGTYAAPDLQLEEETIDETPTSSPLPNPKCCDSGILVTASLFSPKLQGKVSVKKKKKEEQKKKKKNASPTNHDKTEASWVRSQLGQGCKHYRRREVEGLAPALLVDVGVVVSVAD >DRNTG_25859.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8879131:8880322:1 gene:DRNTG_25859 transcript:DRNTG_25859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLGRGLYLSSKAEMFSSSETQPRLHRNANQERGPHL >DRNTG_23186.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1793165:1793683:1 gene:DRNTG_23186 transcript:DRNTG_23186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNTTSRQVTFSKRRNGLLKKAKELSILCDAEVGLIIFSSTGRLYDFSSS >DRNTG_22725.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31562503:31565360:1 gene:DRNTG_22725 transcript:DRNTG_22725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRVVESSAPSQVSGEDASHQHALPPACSLLSVGRAFSGTQNVSSFQKDEAWRVNVRIQGCDLGHGYLCGTMEALNVPLADTPVVTFWEGEIVDMKNYTFYTGKWEATPENDIKHWSKFPAFSSLSSQVEADGGKSLDLSNYPYIFMRWKEQYFVNVGTDCGLTIAGFYYVCFSCSDGSVNGFYYDPNSSPFQKLELKSTNEGRLGFTFSSYQLQ >DRNTG_09085.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6028220:6029758:-1 gene:DRNTG_09085 transcript:DRNTG_09085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKANIIRILNETRVYPSSGCVDEATVPLTFFDAIWIHGQLVERIFFYPLPQQYTTDHFINSIIPSLTSSLSLTLHHFYPLAGKLIRLSPNSNDFAIHYKHGDSVPFTIAESLADFQALSSNHPHPFNDVYPDLVPILDKFSDDSKPLLSLQVTFFPKYSGITIGIALNHIAGDGPSFMHFFKSWALSCSSSGAVPLLQPPPLFDNSLVNDPHGCCSIFLQDSLSPSADKQRPVSSAPAISDSPVCATFSLDSDHIQRLKNNFYKKSKLNKTPSSFAVTCAYAWVCFVKAQGYSTQQRANFCFTVDCRERLKPPLPANFFGNCIGPGFVEEDVEKLIGQDGVLVACEAIITTIEECRHDVLRDVEGWMRKFGAWVANGRLLTVAGSPKFGVYGIDFGWGKPCKVEVVSIAKTGAMSLAERKDEQGGIELGFVMPKAIMDQFASLFSKGLQVLISS >DRNTG_15400.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4755854:4758166:1 gene:DRNTG_15400 transcript:DRNTG_15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYISTNPIPITTMRNRNNHSKPLTTIQCSATSHPFVQHITQSSPYYQQSSSPFIKEDMSSMSQLMGPTKHERQQHTRESSSGSRPSWNIFQRAAAAALDVIEEAFISRVLESGHPLPKTADPDVQISGNFAPVDEQPPCHNLEVSGRIPPFINGFYVRNGANPLFKPVAGHHFFDGDGMVHAVRISNGSASYACRYTETERLRQERDIGRAIFPKAIGELHGHSGVARLLLFYARGLFGLVDHTHGIGVANAGLVYFNDRLLAMSEDDLPYQVRLKADGDLETVGRYDFNGQLKDSMIAHPKLDPDTRELFGLSYDVIKKPYLKYFKFSPDGEKSADVEIPSRETNHDARLRHHREIRSHSRSTGGVQDAGDDPRWLTRGVRQGQDIKIRDSTEERQGRGGDCMGGRSRLLLFPSLECMGG >DRNTG_17975.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000928.1:23505:26228:-1 gene:DRNTG_17975 transcript:DRNTG_17975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAYDYPKEKLSVYLSDDAGSELTFYALYEACKFAKHWIPFCNKFDVEPRSPNAFFVKAYHSSSSSLSISKEWSQMKDMYEAMINRIDFVVTQGKVPQELKQHQGFSKWISNMTSRNHDTIIQILIDGNDEKSIDVEGYLLPRVIYIAREKRPQHHHNFKAGSMNVLKDCNVKKKWKLPEKPFKFVKLPKTLR >DRNTG_18392.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12782700:12788489:1 gene:DRNTG_18392 transcript:DRNTG_18392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPWMTRGYDSGEKEKKTRRGNVGIWIMAYGLNAARYRARDNHCSYNRRYLLDGVCLPQIVSILSSIASGIALRMEAIGSGIALRMGAIGSGIALGMEAIKGGGLLKIFWQPSLGGRGETKTPFFGRVCLEAWEDQELELKGEASSSKEEKHSASLGLEEASFGQHFLSSSSFELGISANDALTAKVDVLSRKLYMLMGSSSKSESVMSCSTCGGGHGVAHCPIASSSVALIENVDYIGGQRPQGRATVKSCTTSTRTPNATTSAREEEVLPLNPLGEYLGELENEDQEEPHSPLPSSNLKWPMEKVMCTNAKEKEKENSMLKKIWREVRGKKKKGSSHSHQTPQEKKKPYGLNKLLIEVLKKF >DRNTG_23828.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29502334:29507214:1 gene:DRNTG_23828 transcript:DRNTG_23828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLVMILLSLLPLSSCDPGSSTLHINLSPSEHRTSPTDEKKFPLADGSHMLQFDLLSRPKQTPSRSFNFLLKVLNQARMRILGPPTTQLSRHERLTLIPSGPSPAPAPSPKHHGTSRRPHRSKNHRKRHPSISPTSFPPPEGQDCDGYCSEPLTQSPIGAPCGCVYPMQVVIDLSVPAYQLFPRIAELEVEVAAGTYLKQSQVRIMGANASLRDPEWTTVAMDLVPLVDKFDNMTALLTYQRFWQKKVPLDMSLFGDYQVIYVHYPGLPSSPPGNASSAFGPSGSHEYPFTANVLSGRSQKMNARTIAVIVLSVFIFVLVCFGVTCVLLKWRKFSGRSASTAGPAFTLPVTKRSGFKSMRSSSLASSTTVSLGSHMATCPPTVKTFSLAELEIATEKFSSKRILGEGGFGRVYHGIMDDGSEVAIKLLTREDQSGDREFIAEVEMLSRLHHRNLVKLIGICVEKRTRCLVYELIRNGSVESHLHGADKTKAPLDWEARMKIALGAARGLAYLHEDSNPRVIHRDFKASNVLLEEDFTPKVSDFGLAREASEGSHHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVYMSQSQGPENLVTWARPLLTTKEGVQQLIDPSLCGNYDFDSVAKVAAIASMCVHTEASQRPFMGEVVQALKLICNDMDETFEDSYSQRESSSGPDCSFKGDFGLEHSWCSDSIPRLTYSHASPFVTMEYSSGPMEEMQQRHSANALVGRVESTVRQNRSGPLRTIRKKSNFYRLRGSMSEHGDLPKRRNLDGFWN >DRNTG_12858.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18507765:18509383:1 gene:DRNTG_12858 transcript:DRNTG_12858.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKEPNALQGDQGNMVIPSSRKKNCILKCEELNSFDLHHPNSINTRFSFPVNSLRRLEMPKKLPFSLGRTPHNRKVIILKDPSMRLWPVLYLEDMKHIISGWKEFAAANKIRRGDICEFQLISESEYILQVRITKK >DRNTG_12858.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18505640:18509383:1 gene:DRNTG_12858 transcript:DRNTG_12858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLNFFKIMIGNFRNAMFIPPICIRTLEGLLEKNCSIEDSNGHVWQVKLSLLNGSMAFTHGWRDFVLDHSIAVGELVVFKYVNSGSKFHAQIFGTSGAERVKLIQPEKCHEELNLDKTVRRSSVNNDSSIQDQEEKEKPEMAEHCSNCSKDDRKRKSVKVETHDEEIHLNRMKIRKLAENDLLYLNESIKKDLEEKRACSVALDNGFFSKNFDGKVATECKSIPKKSQVCDDKFEPPNVRFSATEYKPPMPPIDKDSRKNKEVHKTYSRDYRKTVNNGIPKYSECGKVHVEKHAHKLKSYAVCSRYLGGVKTKIVKQERCPAPDIKPGSPQAPINVETSKSDIKKVFPVQCSKVYQKTQLPSQKMIDKKVHTPERGAMRPIKSHKAAAACTEAIGRNQNPSRGILNKYLLTEDGICHIVDDDSMTTPGS >DRNTG_12858.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18507969:18509383:1 gene:DRNTG_12858 transcript:DRNTG_12858.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPSSRKKNCILKCEELNSFDLHHPNSINTRFSFPVNSLRRLEMPKKLPFSLGRTPHNRKVIILKDPSMRLWPVLYLEDMKHIISGWKEFAAANKIRRGDICEFQLISESEYILQVRITKK >DRNTG_12858.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18506237:18509383:1 gene:DRNTG_12858 transcript:DRNTG_12858.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKKVTACQDGGAIMPQQLAMGGSFRQDGHRNHMALTKKIQNDHHTKSKLDHLGTSSKNLIVVPGKKKEHDLMKGKEPNALQGDQGNMVIPSSRKKNCILKCEELNSFDLHHPNSINTRFSFPVNSLRRLEMPKKLPFSLGRTPHNRKVIILKDPSMRLWPVLYLEDMKHIISGWKEFAAANKIRRGDICEFQLISESEYILQVRITKK >DRNTG_07400.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7394435:7394747:1 gene:DRNTG_07400 transcript:DRNTG_07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGKQVHNQVSSSTQRPKASHHLLCFHSGHPYTRNRSGSRHPRSR >DRNTG_12665.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1187301:1190736:-1 gene:DRNTG_12665 transcript:DRNTG_12665.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNLYQAVTQGNVQRLKSLAEKEPKLLLSRTPHENMALHIAAKLGHKEVADEIISRDNTLLSMQNKDDDTPLHIAVRTTHTDVASLLINFTKNYPAGIELGEKPFRQINNKGNTVLHDAVSSNSIQIVKELLEADPELRHTLNKKNESPLHIAALKGLSEIVDEFLLKFGCEVPAEKLDTGTPLHQAVLGGHIKIVEKLLERNADLIGQCDVHGNTALHFAAQKNYVNIVGLLLRKNLTLAYSKNEEIKPPLLVATAYGSNAAIKEILKHCPDASELVDDRGRNALHIAVYSRTVGSLKCLLNSIESEDIINKQDDDGNTPLHLAAKQSRIQSTLLLLNDKRVNPYLKNNEGRTARMLVENLENMDAYEVYIWKKLKDRETKRFNKEKFPETMQMQSRSFRWKTNPSDKQFQIQCNNIHIGCCTDSHCYICSNLHNARRLQPNNWQTIARRSCVIQGVHHLKHHCHV >DRNTG_12665.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1187301:1190736:-1 gene:DRNTG_12665 transcript:DRNTG_12665.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNLYQAVTQGNVQRLKSLAEKEPKLLLSRTPHENMALHIAAKLGHKEVADEIISRDNTLLSMQNKDDDTPLHIAVRTTHTDVASLLINFTKNYPAGIELGEKPFRQINNKGNTVLHDAVSSNSIQIVKELLEADPELRHTLNKKNESPLHIAALKGLSEIVDEFLLKFGCEVPAEKLDTGTPLHQAVLGGHISKFH >DRNTG_31828.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2213822:2224306:1 gene:DRNTG_31828 transcript:DRNTG_31828.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin-conjugating enzyme E2 23 [Source:Projected from Arabidopsis thaliana (AT2G16920) UniProtKB/Swiss-Prot;Acc:Q9ZVX1] MESEHLHDTDKLSGIDDSVELKAIKKLMDPASNVSESILDGNSGSDETNIYQRPSLNLSNEMFMYRQDVVRCKHNKDLIGVVLEVAGESDSEGSVTDDSESDEDEDKHSANPATGQGNDGDDADMENSGNVEAPEETDSLPDGQVRVIWANGSETTNDLGDIELVDRGFLHGDIIASLSDPTGQLGLVVDVNISVDLQAVNGDMIKGVPSRDLKRIREFYVGDYVVSGPWLGRVNDVLDNVTVMFDDGSVCKVLKADPMRLKAVSKPVVDDANCPYYPGQRVKAASSVFKNSRWLYGLWKANRLEGTVTKVEVASVVVYWIASAYLGVHTNSAAVPSEEQSPKNLTLLSCFSDSHWQLGDWCLLPSVPCVNGTSNTSINEPSGECRSYLKHSKECSVNQCTDTCETNCVQGSQLAVDDLLTSENQALDGHDTESVSSEASEECHSQSNCPMKPNTGNNFGGTNGIESDDVSPQCDSSSVSTAVSKEAAHESWPLYRKKLRKVLFKRDKKARKRDETFERALCIVNIRTTVDVAWQDGTKEFGRDSKSIIPIHSPNDHEFFPEQYVVEQASGEGDDSSETKRVGVVRSVNSKERTVCVRWCKPVTRPEDTREFDCDEVVSAYELDGHPDYDYCYGDVVVRLAPASDSAENAYCGSPDDEANQQIDPTEEGAASKEDSHNVEGQTDKDASGANFTSLSWVGNITGLHEGDIEVTWADGMVSMVGPQAVYVVSQEDDGDSFDGGSEVSDAAASWETVDDNEMDLDDNEKEADPRYTSTKSNKEDKTTAAPSEFSCTERNGPLSMPLAALGFVTRFATGLFSRGKKAEQPELEHLVGEGEETEVLEGETADVKHGFDTSDALDKHNPQNGLEITEECNNNSLAEDTEMQMGKDLGGLKSVEIDADGTRSQSEAGFFDFKHFDITENPLDHHFLGGSGQGAGGRKWIKKIQLEWSILEKNLPDAIYVRVFEDRMDLLRAVIVGACGTPYQDGLFFFDFQLPPDYPQVPPSAFYHSGGLRVNPNLYVDGKVCLSLLNTWTGKGNEVWDPSTSSVLQVLVSLQGLVLNAKPYFNEAGYEKQVGTIEGEKNSLPYNENTYLLNLKSMLYLLRRPPMHFEDFVKDHFRRRGLHILKACEAYMDGCMIASLTTDASMTEKSREQPCSIGFKLMLAKMMPKLVSAFSEIGVDCDQFKHLLKS >DRNTG_13135.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2890795:2893362:-1 gene:DRNTG_13135 transcript:DRNTG_13135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFILYSIAGLFSLLCFAIASRRWRRRSPPLPPGPIGLPLVGSLFSLDPDLHTHFASLAKTYGPIFSLKLGTKLGVVITSPEISKEVLRDQDAIFANRDVPAVAHIIAYCASDIVWTPDGPTWRMLRKVCVREMLSKASLDGVYGLRRREMRAAVVDLKRSCGKAVDVGAQMFVTVMNVITSTLWGGIVEGEEGRSTVGKEFREVVAEITELLGQPNLCDFFPRLGWMDLQGIEKKMKRNLERFDGIFKRIIYERKGKQEEEGEGGKEKDFLEFMLRLEKEGADAKIPFTMTHVKALLMDMVVGGTETTSNTIEWAMAEMMKKPEIMRKAQEELDQVVGKDSVVEESHILKLPFLGAVIKEVLRLHPALPLLVPHCPSSPSTVAGFSIPQGSRVFINVWAIHRDPSIWENPLEFNPERFLGLDGGKWDYKGNDFSYFPFGSGRRICAGIAMAERMVGYSLATLLHAFDWELPSGSKLDLAEKFGIVLKKAEPLVAIPTPRLHHDLQLYT >DRNTG_03805.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000179.1:7676:8019:1 gene:DRNTG_03805 transcript:DRNTG_03805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTAMASPGGCPWATRNSAGGRAVLPRSWRRRPAFGHPVSPGRARRAPVSALTRHCTRAVPNSSPPSAARR >DRNTG_10493.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000441.1:50268:59190:-1 gene:DRNTG_10493 transcript:DRNTG_10493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALARFPVAPSSSTPPPLSPSCASDHRAAAGGAAAPANPSPPSPSDASRTSPAGDFMMSEEEFQVQLALAISDSEFRDDPDRDQIRAAKLLSLGKQRMDPIREEDVSADVLSRRYWEYNILDYKDRVLDGFYDVLGLSISANEGKIPSLVALQNGNLGFEAIVVNHAIDHDLVELEQVTQCILLDCPAEVGILVQRMSELVAGHMGGPVKDASIMLARWLENSTELRTSLQTSVLPIGCIKLGLSRHRALLFKVLADKVGVPCKLVKGSQYTGVDDDAVNIIKLDTGREFLVDLMADPGTLIPADVLSIKDVPLSSGDSRLAENFNSWATNKSGGDLLGSKQLYENESGASSLDNNISDKRSRHEETILVPSVASSGMSSITVGSSSSTNAKGLSSDQPNQFPSKQKGIAVSPLDVNNSISEKLSIVSSLQNAEDSRNLFAELNPFQEIGVRKTSGPPKVTDNKKYEYQRRRESIAPLPARPQQPLIWKNRPACNEVPNTKQYKFVEGILPRKEIGMNDLNASSSHQSTADGKALLDGSSGTGSSFVTGRDTSDSKRNGAFSAGRPSEFKKLNISSELHQDGRMYIGQTTSQANKQLNQPSERKNRNEDQMALQSSAEMNEPINDIEKHDQKKHLGDSFMGTDMSLKNLESSSSPSEVRSSRLDSMLDDVAEPEIPWEHLVIGERIGLGSYGEVYRADWNGTEVAVKKFLDQDFDGDALDEFRREVKIMRRLRHPNVVLFMGAVTRPPNLSIVSEFLPRGSLYRILHRPNSQIEEKRRIKMALDVAKGMNCLHTSIPTIVHRDLKSPNLLVDKNWTVKVCDFGLSRLKHSTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATLRMPWSGMNPMQVVGAVGFQDRRLEIPKEVDPLVAKIIWQCWQKDPNLRPSFADLTTGLKSLQRLCCPCLSGCAKPTFGTRNISKHNALNILNGI >DRNTG_26756.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7874650:7876284:-1 gene:DRNTG_26756 transcript:DRNTG_26756.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLKIGCSRWCQGPKKHYKSPKVKKSSRDLTNSGVLRGID >DRNTG_13831.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:35035:39078:-1 gene:DRNTG_13831 transcript:DRNTG_13831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSYTNLVDLATGNFSALSAAGAARPRRLSRVMTAPGPVSELDDEDRANSVSSDAPSSLAQDRIIIVANQLPVRARRRSDGRGWSLSWDEESLLLQLRDGLPDDMEVLYVGSLRSDSPIDPRDHDDLSQTLLDRFKCLPAFLPPDILEKFYHGFCKKLLWPLFHYLLPSPGAGGFDRGLWEAYVLANKVFAQKVIEIISPEDDYVWVHDYHLLALPSFLRRRFLRLRMGFFLHSPFPSSEIYRTLPVRLEILRSLLSCDLIGFHTFDYARHFLSCCSRMLGLEYQSRRGYVGLDYFGRTVGIKIMPVGVHMGQLQSALSFSDGEVRVDELRKQFEGKTVLLGVDDMDIFKGIDLKFLAFEQMLKLHPEWQGKAVLVQIANPARGKGRDLEEIQAEIEETCKRVNGQFGCDGYSPVVYVNRTVSLSERIAYYLIAECVVVTAVRDGMNLIPYEYIVCRQGMPGSEPQCMKKSMLVLSEFIGCSPSLSGAIRINPWNAEATAEAMNEAISMSEAEKQLRHEKHYRYVSTHDVGYWSRSFMQDMERTCRDHLKRRSWGIGLGFGFRVVALDPNFRKLNVDSIVSDYAKAKSRAIFLDYDGTVMPQTSINKTPNAEVISIINALCADSKNIVFIVSGRGRDCLGKWFLPCKKLGIAAEHGYFMRWNGEEDWETYGHDTDFGWIQMAQPVMKLYAETTDGSFLEAKESALVWHHQDADPDFGSSQAKEMLDHLENVLANEPVAVKRGQFIVEVKPQGVSKGIVSEKILSSMCDNGRKADFLLCIGDDRSDEDMFEGITRIVDKDMVAANTSVYACTVGQKPSKAKYYLDDTADVINMLQALAEASEPSPSSETNAEPSFSAEIKA >DRNTG_16473.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2023893:2027517:1 gene:DRNTG_16473 transcript:DRNTG_16473.3 gene_biotype:protein_coding transcript_biotype:protein_coding FPGNEIVSYENPRPTAGIHRIVFVLFQQDVRQTIYAPGWRQNFNKRDFAALYNLGLPVAAMFFNCQRENSCGGRR >DRNTG_16473.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2000957:2027517:1 gene:DRNTG_16473 transcript:DRNTG_16473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGKQFMRLDGDRTSTQETLQHFTTSGHLLPQCSSTARGRTVVAVEGKTGSQSSSTRPPATFDKHYM >DRNTG_16473.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1999350:2004228:1 gene:DRNTG_16473 transcript:DRNTG_16473.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGKQFMRLDGDRTSTQETLQHFTTSGHLLPQCSSTARGRTVVAVEGKTGSQSSSTRPPATFDKHYM >DRNTG_33559.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28526567:28528387:1 gene:DRNTG_33559 transcript:DRNTG_33559.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLVEAQKPLLHFLLKLAGLRPTTVEIDPGTVMSFWVPKTNQYINEIKKTKKKKKKKDKPSVVLVHGFAAEGIVTWQFQLGALTNKYDVYVPDLLYFGGSKSSGSERSPEFQAQCLGSALARLGVARCAVVGFSYGGMVAFKLAEMWPELVSHLVVSGSVIAMTDSMSDATLQRLGFASSAELLLPDSVKGLKALLTVATYKKLWFPDCIHRDYLQVMFGNRKERAELLEGLVMSNKDAVVPVLPQRIMLLWGENDNIFNIELAKNMKEQLGEKATLQSISKAGHLVHLERPCAYNRHLKEFLAQIVIDDPINNK >DRNTG_17106.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000872.1:31472:50657:1 gene:DRNTG_17106 transcript:DRNTG_17106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMLILILELASRSSSLGSLAYCAHIFYLPGIAPRDFHKDDELQVKVDKLSSTKTQLPYDYYFLDFYKIMNSAENLGEVLHGDCFENSVYIFKMRNRETCRVACCRQLNTETTKNFMEKIDDEYQVNMILDNLPVVVDRQRRDESQTPSYEHGFCVGFKGNQVNGKDNNKYHINNHLSFKVMYHKDPESEDAHIVGFEEISVEDRDAGKPPLVSPAGEKDTDEEMRTCEEDEKNKHWFSVNTSQERKDE >DRNTG_03289.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8951962:8952296:-1 gene:DRNTG_03289 transcript:DRNTG_03289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRDEGGGCAREVGGREMARGNCRTEEEGGRRRLPVAAEEEET >DRNTG_34361.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19393689:19394081:1 gene:DRNTG_34361 transcript:DRNTG_34361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKQHPTPFEKEPKNEKVEPAVIVDHETLSAVEDKAPEQSVIDGIVSEIMQDTLLMDELCGLLEDEHVDDEIGKK >DRNTG_15859.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25262075:25262840:1 gene:DRNTG_15859 transcript:DRNTG_15859.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVTNFDISCYVNCIQLPQLLPMPVPVPTSMQLSPELNLEELSEIESCDQMKPLAITLPGNQNTVTESMTELPWSMFIDPAVFERYPVDHIAVDQAIDFPDLFDGNVFEVDIEQLLEGSEIMGSSEGDGTGTKSDDVLDSKLVEEEQCGRVSEKSSEDDGV >DRNTG_15859.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25261684:25262840:1 gene:DRNTG_15859 transcript:DRNTG_15859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMSRQEYLASLKRRSSGFSRGVSKYRGVARHHQNGRWEARIGHVLGSKYIYLGTFNTQEEAAQAYDIAAIEYRGMNAVTNFDISCYVNCIQLPQLLPMPVPVPTSMQLSPELNLEELSEIESCDQMKPLAITLPGNQNTVTESMTELPWSMFIDPAVFERYPVDHIAVDQAIDFPDLFDGNVFEVDIEQLLEGSEIMGSSEGDGTGTKSDDVLDSKLVEEEQCGRVSEKSSEDDGV >DRNTG_14455.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:16040006:16043250:-1 gene:DRNTG_14455 transcript:DRNTG_14455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRWGLVAKAMEANEEAKRVMPEGWLAFSRAKMMTPTDDWRAKQGEGAKQQRWRGTASVPWGKANGQGTGKKESKREETDVASSVVVNALKSKKEAKIGYKDTMLGVLVQFKDQDTRGVHKRGDVCQLPKRFKSIH >DRNTG_28760.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24198634:24200700:1 gene:DRNTG_28760 transcript:DRNTG_28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFWPRRRETEREREMKYNPRVSSSRRKSRKAHFTAPSSVRRVLMSAPLSGDLRHKYNVRSVPIRKDDEIQVVRGTFKGREGKVVQVYRRRWVIHVERITREKANGSTVNVGLDPSKVVITKLKLDKDRKALLDRKAKGRAADKAKGKFSAEEVAAAGAPSLQEVD >DRNTG_28760.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24199958:24200700:1 gene:DRNTG_28760 transcript:DRNTG_28760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNPRVSSSRRKSRKAHFTAPSSVRRVLMSAPLSGDLRHKYNVRSVPIRKDDEIQVVRGTFKGREGKVVQVYRRRWVIHVERITREKANGSTVNVGLDPSKVVITKLKLDKDRKALLDRKAKGRAADKAKGKFSAEEVAAAGAPSLQEVD >DRNTG_27256.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:783786:787015:1 gene:DRNTG_27256 transcript:DRNTG_27256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPAIALYASPPSHHPCQLASASSHGSPEHDFNPRPCPPSSCPKPIAMGGLSCLFSSSTARHTADELSSIWHDRSDDLSSPFSFKSRDASPVSVFRGPVSSSRSPPSFRIPKDWIGCDLRTGRDRLFRGFVRNALGSCLDYDSPSFPMPGSRGLDSEELAFDLEDGLDVMAPACEPYARELLAGAQERHKIFYEELVVKAFYEAEKAHRGQVRASGGPYLQHCVETAVLLAKIGANATVVAAGLLHDTFDDSFMDYDYIFRSFGAAVADLVEGVSKLSQLSKLARDNNTASKTVEADRLHTMFLAMTDARAVLIKLADRLHNMMTLDALPMDKQLRFAKETLEIFAPLANRLGISSWKEQLESLCFKHLYPGQHKELTSKLISSFDEAMIASVIEKLEEVLKAEGVSYHVLSGRHKSLYSIYSKMLKKQLTMDEIHDIHGLRVIVDKEEDCYTALNIVHNLWPKIIGRFKDYISCPKFNGYRSLHTVVMGEDMLPLEVQIRTKEMHLQAEYGFAAHWRYKEGDSMHSSFVLQMVEWARWVLTWQCEIMNTDGCSSLGESELVKPPCPFPSHSEDCPYSYTQQCDHDGPIFIIMLENEKMSVQELPPNSTVNDLLERASRRSSRWALYNLPVKEELRPKLNHEPICNPKQKL >DRNTG_32210.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31456620:31457499:-1 gene:DRNTG_32210 transcript:DRNTG_32210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGYSWRKFGQKQSGENSRSYYYCTYPNCKVKRNVEYNADGVVTMIVYKGGDHKHSKPDQPDHRQFSTDVMNEEIKNEPIRLCDSPQPAFEGIELNFKRIKVEACATDLKSTSRAELEPMFVIQTISEVDLLDDGYRWRKYGQRTVRGNLNPRNYFRCTYLGCSVRKYVERSSSNEKAVITTYEGRHNHEVPAAYGSQFCTSVS >DRNTG_31867.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7698689:7704515:1 gene:DRNTG_31867 transcript:DRNTG_31867.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMSALHLKQCVQSFSVFDFLRDIMNKVPDLGGSDAAGDDRASKRRRTVDEGNDSDEDMKLARTQDSIGPSSGGRGRGRGRGRGRGARGNRAAERESAHFDKCEDDPDTSPQREERQHGIKSETIPDNFNVTQPGETKGINTEDAASVRNFDLNLKLDENGDTTTATKQEVKAPDEYLGWSDNMAQISIDPVQLALLNGRLDEEDEDYDNVDD >DRNTG_31867.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7698689:7704515:1 gene:DRNTG_31867 transcript:DRNTG_31867.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMSALHLKQCVQSFSVFDFLRDIMNKVPDLGGSDAAGDDRASKRRRTVDEGNDSDEDMKLARTQDSIGPSSGGRGRGRGRGRGRGARGNRAAERESAHFDKCEDDPDTSPQREERQHGIKSETIPDNFNVTQPGETKGINTEDAASVRNFDLNLKLDENGDTTTATKQEVKAPDEYLGWSDNMAQISIDPVQLALLNGRLDEEDEDYDNVDD >DRNTG_31867.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7698689:7704515:1 gene:DRNTG_31867 transcript:DRNTG_31867.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVPDLGGSDAAGDDRASKRRRTVDEGNDSDEDMKLARTVRFRLDNSLLSTSFHKAHKRMNAIICKCSGLFLLIIFWPPISMK >DRNTG_33252.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3552538:3553739:-1 gene:DRNTG_33252 transcript:DRNTG_33252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDLVVSFGEMLIDFVPDVAGVSLAESQGFINAPGGAPANVAVAITKHGGNSAFIGKFGDDEFGHMLVDILKKNSVNVDGVLFDEHARTALAFVTLRSDGEREFMFYRNPSADMLLTEAELNMSLIKNAKIFHYGSISLITEPCRSAHMAAMKAARDAGALLSYDPNVRLPLWPSEQAAREGIMSIWKHADFIKVSDDEVAFLTQGDPYNEDVVLSLWYEGLKLLLVTDGEKGCRYFTKDFKGKVGGYSVKTVDTTGAG >DRNTG_00746.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27992685:27992986:-1 gene:DRNTG_00746 transcript:DRNTG_00746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWPFFAEQPTNCRFACREWGVSMEIGSGVERGEVEKVVREMMGGEKGSEMRKKAMEWKECALKACQIGG >DRNTG_22105.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:164050:165855:-1 gene:DRNTG_22105 transcript:DRNTG_22105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSSRRHHHWFGWQASSEASEEEHLAPHLHLHLHLHLDVDLGLLHCLALHEQSHSGGLRHPVDTEHTHHNFQVHVIWEGPNGEHQHRKQHYDQHSPGHKALDQNPFGEVVGELVPPSVPCFSDVYW >DRNTG_30401.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:104931:105965:1 gene:DRNTG_30401 transcript:DRNTG_30401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAPSCRPFRPCCVPGCPYSGDVQVGVDNQAGRDFEMDITDNDIIGGRGRARLGAGNDAGRDNRASIYENRILNANVNADIGVGNRAGRDNVMEVYRNTVRGGRDGANVRVGAGNDACGDNRMRVFCNNVEDAEDDVDIGTGNSAGRDNFMEVYNNDVRGARGGVRIGVGNQAGRDNRGRVSGNIVRR >DRNTG_14942.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27060807:27062245:1 gene:DRNTG_14942 transcript:DRNTG_14942.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGGRPLPKFGEWDVNNPASAEGFTVIFNKARDEKKSTTSTSATTSDRRNNAGAYHRDLNYQYPRTNRRKWFCCG >DRNTG_30962.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17793766:17798098:-1 gene:DRNTG_30962 transcript:DRNTG_30962.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNLGIPWVILGHSERRALLGESNEFVGDKVSYALSQGLKVIACVGETLEQREAGSTMDVVAAQTKAIADKINDWTNVVIAYELVWAIGIGRVATPAQAQEVHADLRKWFQANVSAEVAESIRIMYGGSVSGANCKELAAKPDVDGFLVGGASLKPEFIDTIKSATMKSST >DRNTG_30962.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17793766:17797747:-1 gene:DRNTG_30962 transcript:DRNTG_30962.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNLGIPWVILGHSERRALLGESNEFVGDKVSYALSQGLKVIACVGETLEQREAGSTMDVVAAQTKAIADKINDWTNVVIAYELVWAIGIGRVATPAQAQEVHADLRKWFQANVSAEVAESIRIMYGGSVSGANCKELAAKPDVDGFLVGGASLKPEFIDTIKSATMKSST >DRNTG_30962.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17794304:17797033:-1 gene:DRNTG_30962 transcript:DRNTG_30962.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNLGIPWVILGHSERRALLGESNEFVGDKVSYALSQGLKVIACVGETLEQREAGSTMDVVAAQTKAIADKINDWTNVVIAYELVWAIGIGRVATPAQAQEVHADLRKWFQANVSAEVAESIRIMYGG >DRNTG_30962.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17793766:17798098:-1 gene:DRNTG_30962 transcript:DRNTG_30962.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNLGIPWVILGHSERRALLGESNEFVGDKVSYALSQGLKVIACVGETLEQREAGSTMDVVAAQTKAIADKINDWTNVVIAYELVWAIGIGRVATPAQAQEVHADLRKWFQANVSAEVAESIRIMYGGSVSGANCKELAAKPDVDGFLVGGASLKPEFIDTIKSATMKSST >DRNTG_24930.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001317.1:52698:54349:-1 gene:DRNTG_24930 transcript:DRNTG_24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSWELRLNHGHDQGAEELGRVSLSTHRNTTPMELASSNATLGANVDHNAPIFEEIMLRFNREDIGACDIIHARGVARFQSYLKPISAPILVFFCPSFPQLVRGLRLGFRGVLAKVLEKFYGSDIVIPLGRRLVGELRSRRILYRTKESLDDE >DRNTG_06128.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25592387:25593583:-1 gene:DRNTG_06128 transcript:DRNTG_06128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLISPDRYITVGDQPNKRHLYYYFATSERNPSKDAVLLWVNGGPGCSGLDAIVHQHGLFKIIDDFAPRRPVKLLSNPFSWTKVASIIYVDSPAGTGYSYADSVDDYITSDTK >DRNTG_19603.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001026.1:24657:28766:1 gene:DRNTG_19603 transcript:DRNTG_19603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQTTTDFFISGKTIFLFSFIPCIDILCIEITDKKKNDMIQPQTLLNVADNSGARKLMCIRIIGTGNPRYAHIGDVIVAVIKEAVPNMPLERSEVIRAVIVRTCKELKRNNGIIIRYDDNAAVVIDQEGNPKGTRVFGAIPRELRQFNFTKIVSLAPEVL >DRNTG_23317.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1113960:1115476:-1 gene:DRNTG_23317 transcript:DRNTG_23317.1 gene_biotype:protein_coding transcript_biotype:protein_coding NRLHILPSQKKTVTILEETSGIIKPNRLTLLLGPPGSGKTTLLLALAGRLSPDIKVSGKVSYNGYGINEFVPQRTAAYVSQYDLHIGELTVRETLAFSARCQGSGSIHEMIAELSRREAAAGIKPNPDIDAFLKAAAIKGQNGNVFVDY >DRNTG_28055.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16146494:16152370:-1 gene:DRNTG_28055 transcript:DRNTG_28055.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERIDQLFHAYMDKSLGMVGPEGIESFCLDVGIDHTDVRILMLAWKMQAEKQGYFTLDEWRRGLSALHADTILKIRKRLQELEKEVMRPTNFLDFYVYAFCYSLAEEKQKNIDIESACELLTVVLGFRFPLQVGKFIEYLKSQNDYKVINLDQWTSFLRFCNEINFPSLDNYDAELAWPLILDNFVEWMREKL >DRNTG_28055.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16146494:16152370:-1 gene:DRNTG_28055 transcript:DRNTG_28055.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERIDQLFHAYMDKSLGMVGPEGIESFCLDVGIDHTDVRILMLAWKMQAEKQGYFTLDEWRRGLSALHADTILKIRKRLQELEKEVMRPTNFLDFYVYAFCYSLAEEKQKNIDIESACELLTVVLGFRFPLQVGKFIEYLKSQNDYKVINLDQWTSFLRFCNEINFPSLDNYDAELAWPLILDNFVEWMREKL >DRNTG_28055.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16146494:16152967:-1 gene:DRNTG_28055 transcript:DRNTG_28055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSRKSGSAAIPVTSSSGVSDLFRCATSKAVSKEMERIDQLFHAYMDKSLGMVGPEGIESFCLDVGIDHTDVRILMLAWKMQAEKQGYFTLDEWRRGLSALHADTILKIRKRLQELEKEVMRPTNFLDFYVYAFCYSLAEEKQKNIDIESACELLTVVLGFRFPLQVGKFIEYLKSQNDYKVINLDQWTSFLRFCNEINFPSLDNYDAELAWPLILDNFVEWMREKL >DRNTG_28055.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16146494:16152370:-1 gene:DRNTG_28055 transcript:DRNTG_28055.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERIDQLFHAYMDKSLGMVGPEGIESFCLDVGIDHTDVRILMLAWKMQAEKQGYFTLDEWRRGLSALHADTILKIRKRLQELEKEVMRPTNFLDFYVYAFCYSLAEEKQKNIDIESACELLTVVLGFRFPLQVGKFIEYLKSQNDYKVINLDQWTSFLRFCNEINFPSLDNYDAELAWPLILDNFVEWMREKL >DRNTG_21433.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4848972:4849562:-1 gene:DRNTG_21433 transcript:DRNTG_21433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCENSLPFNVNDTEEMLLFEMLASASASSVSGSTLSGEEVDSGGRKTDHKEKSYRGVRRRPWGKFAAEIRDSTRHGIRVWLGTFDSAEAAALAYDQAAFAMRGTMAVLNFPVEMVQESLEQLKNGGGIDDGECSPVVALKRRHSMRSKTVGKNKKSKESVVELEDLGEEYLDELLRTSLEVNCGVNCSTNSVRW >DRNTG_04087.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5458642:5467700:1 gene:DRNTG_04087 transcript:DRNTG_04087.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLQVQPQAPHSGTGPAGNGVVGSQFPTTSLYVGDLELNVTDSQLFDLFSQVGPVVSVRVCRDANSRRSLGYAYVNYSNAMDALRAMEVLNFTPVNNKPIRIMYSNRDPSSRKSGAANIFIKNLDKTIDNKALYDTFSVFGNILSCKVAIDPSGQSKGYGFVQFDQEEAALNAIEKLNGMLLNDKQVFVGPFLRKQERENAADKAKFTNVFVKNLSESTTDDDIKEAFGKFGNITSAVVMRDSEGKSKCFGFVNFELPEDAARAVQELNGKKVDDKDWYVGRAQKKSERETELKGRFEQSIKEAVDKYQGVNLYLKNLDDSISDDKLKDLFSEFGTITSCKVMRDPSGVSRGSGFVAFSTPEEASRALAEMNGKMIGGKPLYVALAQRKEERRARLQAQFSQMRPLAMAPAPRIPMYAPGTPGMGQQVFYGQGPPALIPPQPGFGYQQPLVPGMRPGGPMPNFFMPLVQQGQQNPRPGGRRAGAGPVQQTQQTVPLLQQQMFPRGGRVYRYPGRGMSDVPMPGVAGGMLSVPYEMGGLPMRDTGMSQPIPIGALASALANSSPEQQRTMLGENLYPLVEQLEPEHAAKVTGMLLEMDQTEVLHLLESPDALKAKVAEALEVLRSVTQQQQPNAPSDHLAALSLNDGIVS >DRNTG_10580.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8651677:8652762:1 gene:DRNTG_10580 transcript:DRNTG_10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKYLSDPSHVIQHEPVELQKNLSYVEQPAEFLAYKEQQRGNRMIPLIQVRWSNHSKDEATWEREADLRDRYPELFPDS >DRNTG_18921.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12941439:12945262:-1 gene:DRNTG_18921 transcript:DRNTG_18921.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAEVTNTPWGERVLFSFNPRSDLVAKPLHVSPFMDMLGNWNIHANSPGDELFIRILVQHPSLGTYFTATLSAKRINSSSGTLELAIYFWLMPHKVAMWIYWQALELWWKTVAFQSHPKYTNSMYRADALKRDQELCCSRYRQTEANLSSSTRDKDKGNRWCIWRDAPWPWS >DRNTG_18921.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12944906:12945262:-1 gene:DRNTG_18921 transcript:DRNTG_18921.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFYLLGSIASTLAKSALLSLALAVRSLPHFLFPPAPPSAPPTPLPDDAVQLYDGRVHHVRLRPVHHAFDYPVRYALIDLDRAPHFQPSRLSADQAREVAQTTGPV >DRNTG_23871.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20829984:20830369:1 gene:DRNTG_23871 transcript:DRNTG_23871.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYSLEDVIVPLGKPKKQTDHQGGLLYNEYIVYDVDQIRMRYVVHVNFNFKR >DRNTG_23871.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20829984:20830418:1 gene:DRNTG_23871 transcript:DRNTG_23871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYSLEDVIVPLGKPKKQTDHQGGLLYNEYIVYDVDQIRMRYVVHVNFNFKR >DRNTG_15403.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4784355:4790971:1 gene:DRNTG_15403 transcript:DRNTG_15403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRRAPRTVIDPKVRQVGFVTPGAPPARSHSIPADACSSPPRAPDFTLPSNSLSPVMIPPARHASADGIQVLTRASAPVPVPSTTTNPPSPLRRDGVQIPIGSYNPSESLGTSPMRSPSSRMDGPEFSEDMSMVSSSRLARGSSAKAAAASFPGTNTEMMAAGKVVGNVVPAKSSLTTASVVKTLPGLSDKGDGSLAEVHGEAAGVAKPLKQKTSRADRRAIQEAQRAAKSAAREAGGGKPSGGTVPANAAKGEFGKPSQKKDAASVSGANVVPEKKVADRPPEKERKKDVPHPRMQFDDQHRVEKAKKRAVVNQSEARNRVELFRHLPQYVHGTQLPDLESKFFQLDQIHPSVYKVGLQYLSGNITGGNARCIAMLLAFREAIKDYSTPPEKALVRDLTAKIGNYVSFLIECRPLSISMGNAIKFLKSRIAKLPHTLSESEAKFTLQSDIDRFINEKILLAEKVIVRHAVEKIRNGDVLLTYGWSSVVEMLLLYAHESNKQFRVVVVDSRPKLEGKALLHRLLSKGLNCTYTHINAVSYIMHEVTRVFLGASSVLSNGTVYSRVGTACVAMVAHAFHVPVLICCEAYKFHERVQLDSICSNELGDPDVISVVPGRKDLDHLDNWAENENLQLLNLTYDATPSDYVSVIVTDYGLLPPTSVPVIVREYRREQLWM >DRNTG_06318.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6963255:6982195:1 gene:DRNTG_06318 transcript:DRNTG_06318.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 8 [Source:Projected from Arabidopsis thaliana (AT5G22030) TAIR;Acc:AT5G22030] MYLSLPLPSTTMRSMTVTVFSTDGSLRPTSYTVNVPKHGKSKDLIQALSNVCSLKDDESLLVAEVYSNCILRYLEDPSDSLSLIRDGDRLVAYRLSKDLEKLPLVVFMHQRMEEQGIHNKMLGQWKAFGVPLIARIPTTGSGTTIRSLFFKLLNPFVLPKDKEDGNLCDGASETDLSSNIPDCEATINDSLTGKLVEDALQFHVTDVKGQTTHAKIELNEPVSLTGYQKQLHVLVSWQDTALSNYDVCLLSSLPEIYKCSLFSKRPQDSASLYACLEAFLREEPLGPEDMWYCPSCKKHQQASKKLDLWRLPEVLVIHLKRFSYSRFMKNKLETFVDFPIHNLDLSNYIAHKSDQAYPYSLYAVSNHYGNMGGGHYTAYVYHEGQDCWYDFDDRHVASMTEDSIQTSAAYVLFYRRVQTKNLDKQVIS >DRNTG_06318.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6974190:6982328:1 gene:DRNTG_06318 transcript:DRNTG_06318.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 8 [Source:Projected from Arabidopsis thaliana (AT5G22030) TAIR;Acc:AT5G22030] MYLSLPLPSTTMRSMTVTVFSTDGSLRPTSYTVNVPKHGKSKDLIQALSNVCSLKDDESLLVAEVYSNCILRYLEDPSDSLSLIRDGDRLVAYRLSKDLEKLPLVVFMHQRMEEYCPSCKKHQQASKKLDLWRLPEVLVIHLKRFSYSRFMKNKLETFVDFPIHNLDLSNYIAHKSDQAYPYSLYAVSNHYGNMGGGHYTAYVYVSGISLEI >DRNTG_06318.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6974190:6976128:1 gene:DRNTG_06318 transcript:DRNTG_06318.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 8 [Source:Projected from Arabidopsis thaliana (AT5G22030) TAIR;Acc:AT5G22030] MSSDSYTNGGSKGVGNLGLTGLENLGNTCFMNSAIQCLAHMPKLVDYFLGDYMREINHHNPLGMDGEIALAFGDLLRKLWSPDRTPVSPRFFKAKLARFAPQFSGFNQHDSQEFLAFLLDGLHEDLNRVKCKPYFEAKDACGRPDEEVADEYWKNHLARNDSIIVDICQGQYRSTLVCPVCNKVSVTFDPFMYLSLPLPSTTMRSMTVTVFSTDGSLRPTSYTVNVPKHGKSKDLIQALSNVCSLKDDESLLVAEV >DRNTG_06318.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6966153:6982195:1 gene:DRNTG_06318 transcript:DRNTG_06318.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 8 [Source:Projected from Arabidopsis thaliana (AT5G22030) TAIR;Acc:AT5G22030] MNEWNRIPQDCQRQPDQEILLELQVSLLLDSVNCRGDSKKDDLSLQQSHITNPSYCGSLVGNGILGNMSSDSYTNGGSKGVGNLGLTGLENLGNTCFMNSAIQCLAHMPKLVDYFLGDYMREINHHNPLGMDGEIALAFGDLLRKLWSPDRTPVSPRFFKAKLARFAPQFSGFNQHDSQEFLAFLLDGLHEDLNRVKCKPYFEAKDACGRPDEEVADEYWKNHLARNDSIIVDICQGQYRSTLVCPVCNKVSVTFDPFMYLSLPLPSTTMRSMTVTVFSTDGSLRPTSYTVNVPKHGKSKDLIQALSNVCSLKDDESLLVAEVYSNCILRYLEDPSDSLSLIRDGDRLVAYRLSKDLEKLPLVVFMHQRMEEQGIHNKMLGQWKAFGVPLIARIPTTGSGTTIRSLFFKLLNPFVLPKDKEDGNLCDGASETDLSSNIPDCEATINDSLTGKLVEDALQFHVTDVKGQTTHAKIELNEPVSLTGYQKQLHVLVSWQDTALSNYDVCLLSSLPEIYKCSLFSKRPQDSASLYACLEAFLREEPLGPEDMWYCPSCKKHQQASKKLDLWRLPEVLVIHLKRFSYSRFMKNKLETFVDFPIHNLDLSNYIAHKSDQAYPYSLYAVSNHYGNMGGGHYTAYVYHEGQDCWYDFDDRHVASMTEDSIQTSAAYVLFYRRVQTKNLDKQVIS >DRNTG_06318.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6963255:6982195:1 gene:DRNTG_06318 transcript:DRNTG_06318.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 8 [Source:Projected from Arabidopsis thaliana (AT5G22030) TAIR;Acc:AT5G22030] MDAADADDARTTNQPEDADRVYLVPYGWWREAQEPLPSQQQEEGTAPVGDVARGIPYSASPAPSPYGGPMKLINNIFNSDLIFNLCRNDDLAQDAGEGVSGRTYALIPPDMWAQTIGWHNNSCLTLKSSGNLSYMEEPTVKVFPLMLRISVIRDSNTMTVKISKKDNAIENYKKACKIFNVDFEPVHVWDFSGQTNLIFMNEWNRIPQDCQRQPDQEILLELQVSLLLDSVNCRGDSKKDDLSLQQSHITNPSYCGSLVGNGILGNMSSDSYTNGGSKGVGNLGLTGLENLGNTCFMNSAIQCLAHMPKLVDYFLGDYMREINHHNPLGMDGEIALAFGDLLRKLWSPDRTPVSPRFFKAKLARFAPQFSGFNQHDSQEFLAFLLDGLHEDLNRVKCKPYFEAKDACGRPDEEVADEYWKNHLARNDSIIVDICQGQYRSTLVCPVCNKVSVTFDPFMYLSLPLPSTTMRSMTVTVFSTDGSLRPTSYTVNVPKHGKSKDLIQALSNVCSLKDDESLLVAEVYSNCILRYLEDPSDSLSLIRDGDRLVAYRLSKDLEKLPLVVFMHQRMEEQGIHNKMLGQWKAFGVPLIARIPTTGSGTTIRSLFFKLLNPFVLPKDKEDGNLCDGASETDLSSNIPDCEATINDSLTGKLVEDALQFHVTDVKGQTTHAKIELNEPVSLTGYQKQLHVLVSWQDTALSNYDVCLLSSLPEIYKCSLFSKRPQDSASLYACLEAFLREEPLGPEDMWYCPSCKKHQQASKKLDLWRLPEVLVIHLKRFSYSRFMKNKLETFVDFPIHNLDLSNYIAHKSDQAYPYSLYAVSNHYGNMGGGHYTAYVYHEGQDCWYDFDDRHVASMTEDSIQTSAAYVLFYRRVQTKNLDKQVIS >DRNTG_08867.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27744760:27745347:1 gene:DRNTG_08867 transcript:DRNTG_08867.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTVKQGIKKQRKSRSFSTKPKPPEKNNKYISFFYYLPP >DRNTG_28730.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2355823:2359846:-1 gene:DRNTG_28730 transcript:DRNTG_28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHNNKSRRPRNSVSRRGKPGSKLEFQLDADHEGESLPTHQGETSEEAHVPKIQLAMWDFGHCDVKRCTGRKLSRFGFLKELRVTSGFGGIALSPVGTQCISKG >DRNTG_28730.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2355823:2359846:-1 gene:DRNTG_28730 transcript:DRNTG_28730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHNNKSRRPRNSVSRRGKPGSKLEFQLDADHEGESLPTHQGETSEEAHVPKIQLAMWDFGHCDVKRCTGRKLSRFGFLKESF >DRNTG_28730.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2355823:2359846:-1 gene:DRNTG_28730 transcript:DRNTG_28730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHNNKSRRPRNSVSRRGKPGSKLEFQLDADHEGESLPTHQGETSEEAHVPKIQLAMWDFGHCDVKRCTGRKLSRFGFLKESF >DRNTG_04534.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000219.1:9716:10319:-1 gene:DRNTG_04534 transcript:DRNTG_04534.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVISARCKPLPPGNRYVLHLDLESPPAERLELAADIRGDPVYQRYKNVWVITRANLVTYRGPTMVANTLHAAAILLKE >DRNTG_04534.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000219.1:8439:10319:-1 gene:DRNTG_04534 transcript:DRNTG_04534.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYAGKSGDLSRSDDGCEHAPRCGHLAEGGRDWDWFINLSASDYPLVTQDDLLYTLSTIPRQWNFIEHTSDIGWKEYQRAKPVIIDPGLYSLHKSDVFWATEKRSVPTAFKLFTGELFLSTLSCYFCGSPFFQDPNSLVYVVKQTCYGSLLFGLAS >DRNTG_04534.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000219.1:9716:10721:-1 gene:DRNTG_04534 transcript:DRNTG_04534.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVISARCKPLPPGNRYVLHLDLESPPAERLELAADIRGDPVYQRYKNVWVITRANLVTYRGPTMVANTLHAAAILLKE >DRNTG_04534.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000219.1:7247:10319:-1 gene:DRNTG_04534 transcript:DRNTG_04534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVISARCKPLPPGNRYVLHLDLESPPAERLELAADIRGDPVYQRYKNVWVITRANLVTYRGPTMVANTLHAAAILLKEGGIGIGLLISARLTTRWSPRMICYTHCQLYQGNGISLNTQVTSDGRSTRGPSL >DRNTG_04534.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000219.1:7247:10319:-1 gene:DRNTG_04534 transcript:DRNTG_04534.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVISARCKPLPPGNRYVLHLDLESPPAERLELAADIRGDPVYQRYKNVWVITRANLVTYRGPTMVANTLHAAAILLKEGGIGIGLLISARLTTRWSPRMICYTHCQLYQGNGISLNTQVTSDGRSKLIIYLFLKGVLVLIVCICVRL >DRNTG_07898.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2492842:2497454:-1 gene:DRNTG_07898 transcript:DRNTG_07898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSVSLAFVVILCFFCLSAVAKPHNRPISDNEVRAKKNACYSDIESGMWGWKCKSSMIEKENCALRCVSPVCYDLIYGGDPLEEGEKDYVRSQEFKYCLHKLSLGESLDGVKGAFA >DRNTG_19171.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6113971:6116815:1 gene:DRNTG_19171 transcript:DRNTG_19171.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAMQSKVKLAMVIVCMLCYQSMGQLSCMPFATSLSSCMSYIMGNATAPSPLCCSNLADVIQTQARCLCTVLNSGAAQLGIPVNQTQALTLPGVCSVTVPALSQCNGMVLNSKRNITRTYLSLIVLKRLCCSSRCRNNTRSSGTNFLCNSLGTTSISGNCFSINTNKPFSH >DRNTG_19171.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6113971:6115289:1 gene:DRNTG_19171 transcript:DRNTG_19171.9 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAMQSKVKLAMVIVCMLCYQSMGQLSCMPFATSLSSCMSYIMGNATAPSPLCCSNLADVIQTQARCLCTVLNSGAAQLGIPVNQTQALTLPGVCSVTVPALSQCNAAAAGTTQGAQVPTSSAIPLAPPASVGTASPSTPTNPSVTDIPNGKTSQGVSSAALLSAKSCNSMLSLVIIFASYVSINH >DRNTG_19171.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6114024:6116815:1 gene:DRNTG_19171 transcript:DRNTG_19171.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAMQSKVKLAMVIVCMLCYQSMGQLSCMPFATSLSSCMSYIMGNATAPSPLCCSNLADVIQTQARCLCTVLNSGAAQLGIPVNQTQALTLPGVCSVTVPALSQCNGMVLNSKRNITRTYLSLIVLKRLCCSSRCRNNTRSSGTNFLCNSLGTTSISGNCFSINTNKPFSH >DRNTG_19171.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6113971:6115289:1 gene:DRNTG_19171 transcript:DRNTG_19171.8 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAMQSKVKLAMVIVCMLCYQSMGQLSCMPFATSLSSCMSYIMGNATAPSPLCCSNLADVIQTQARCLCTVLNSGAAQLGIPVNQTQALTLPGVCSVTVPALSQCNAAAGTTQGAQVPTSSAIPLAPPASVGTASPSTPTNPSVTDIPNGKTSQGVSSAALLSAKSCNSMLSLVIIFASYVSINH >DRNTG_19171.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6114024:6116815:1 gene:DRNTG_19171 transcript:DRNTG_19171.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAMQSKVKLAMVIVCMLCYQSMGQLSCMPFATSLSSCMSYIMGNATAPSPLCCSNLADVIQTQARCLCTVLNSGAAQLGIPVNQTQALTLPGVCSVTVPALSQCNAAAGTTQGAQVPTSSAIPLAPPASVGTASPSTPTNPSVTDIPNGKTSQGVSSAALLSAKSCNSMLSLVIIFASYVSINH >DRNTG_19171.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6114024:6115289:1 gene:DRNTG_19171 transcript:DRNTG_19171.10 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAMQSKVKLAMVIVCMLCYQSMGQLSCMPFATSLSSCMSYIMGNATAPSPLCCSNLADVIQTQARCLCTVLNSGAAQLGIPVNQTQALTLPGVCSVTVPALSQCNAAAAGTTQGAQVPTSSAIPLAPPASVGTASPSTPTNPSVTDIPNGKTSQGVSSAALLSAKSCNSMLSLVIIFASYVSINH >DRNTG_19171.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6114024:6116815:1 gene:DRNTG_19171 transcript:DRNTG_19171.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAMQSKVKLAMVIVCMLCYQSMGQLSCMPFATSLSSCMSYIMGNATAPSPLCCSNLADVIQTQARCLCTVLNSGAAQLGIPVNQTQALTLPGVCSVTVPALSQCNAAAAGTTQGAQVPTSSAIPLAPPASVGTASPSTPTNPSVTDIPNGKTSQGVSSAALLSAKSCNSMLSLVIIFASYVSINH >DRNTG_19171.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6113971:6115289:1 gene:DRNTG_19171 transcript:DRNTG_19171.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAMQSKVKLAMVIVCMLCYQSMGQLSCMPFATSLSSCMSYIMGNATAPSPLCCSNLADVIQTQARCLCTVLNSGAAQLGIPVNQTQALTLPGVCSVTVPALSQCNGMVLNSKRNITRTYLSLIVLKRLCCSSRCRNNTRSSGTNFLCNSLGTTSISGNCFSINTNKPFSH >DRNTG_19171.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6113971:6116815:1 gene:DRNTG_19171 transcript:DRNTG_19171.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAMQSKVKLAMVIVCMLCYQSMGQLSCMPFATSLSSCMSYIMGNATAPSPLCCSNLADVIQTQARCLCTVLNSGAAQLGIPVNQTQALTLPGVCSVTVPALSQCNAAAAGTTQGAQVPTSSAIPLAPPASVGTASPSTPTNPSVTDIPNGKTSQGVSSAALLSAKSCNSMLSLVIIFASYVSINH >DRNTG_19171.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6113971:6116815:1 gene:DRNTG_19171 transcript:DRNTG_19171.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAMQSKVKLAMVIVCMLCYQSMGQLSCMPFATSLSSCMSYIMGNATAPSPLCCSNLADVIQTQARCLCTVLNSGAAQLGIPVNQTQALTLPGVCSVTVPALSQCNAAAGTTQGAQVPTSSAIPLAPPASVGTASPSTPTNPSVTDIPNGKTSQGVSSAALLSAKSCNSMLSLVIIFASYVSINH >DRNTG_19171.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6114024:6115289:1 gene:DRNTG_19171 transcript:DRNTG_19171.11 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAMQSKVKLAMVIVCMLCYQSMGQLSCMPFATSLSSCMSYIMGNATAPSPLCCSNLADVIQTQARCLCTVLNSGAAQLGIPVNQTQALTLPGVCSVTVPALSQCNAAAGTTQGAQVPTSSAIPLAPPASVGTASPSTPTNPSVTDIPNGKTSQGVSSAALLSAKSCNSMLSLVIIFASYVSINH >DRNTG_07281.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3683096:3689276:1 gene:DRNTG_07281 transcript:DRNTG_07281.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPQMVRGTWRWMLGVAALPAILQFLLMLLLPESPRWLYMKNEKAKAIEVLAKIYDPVRLEEEKDLLSVASVDHLEFESSVSYSDVFKSKEIRLAFFTGAGLQLFQQFTGINTVMYYSPTIVQMAGFSSNQLALLLSLIVAAMNAAGTIVGIYLIDRCGRRRLALTSLLGVIVSLFILSGAFLLQSSGASSGICSSFTTQGSCGGTNLGWFAVLGLVLYIAFFSPGMGPVPWAVNSEIYPEAYRGVCGGMSATVNWLSNLIVAQTFLSVVALVGTAGTFLILAGIAIVAFAFVIFFVPETKGLSFEQVERLWKDMAWGTGDSQQSLLSDEPNP >DRNTG_07281.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3683096:3689276:1 gene:DRNTG_07281 transcript:DRNTG_07281.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPQMVRGTWRWMLGVAALPAILQFLLMLLLPESPRWLYMKNEKAKAIEVLAKIYDPVRLEEEKDLLSVASVDHLEFESSVSYSDVFKSKEIRLAFFTGAGLQLFQQFTGINTVMYYSPTIVQMAGFSSNQLALLLSLIVAAMNAAGTIVGIYLIDRCGRRRLALTSLLGVIVSLFILSGAFLLQSSGASSGICSSFTTQGSCGGTNLGWFAVLGLVLYIAFFSPGMGPVPWAVNSEIYPEAYRGVCGGMSATVNWLSNLIVAQTFLSVVALVGTAGTFLILAGIAIVAFAFVIFFVPETKGLSFEQVERLWKDMAWGTGDSQQSLLSDEPNP >DRNTG_07281.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3683096:3689276:1 gene:DRNTG_07281 transcript:DRNTG_07281.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVAALPAILQFLLMLLLPESPRWLYMKNEKAKAIEVLAKIYDPVRLEEEKDLLSVASVDHLEFESSVSYSDVFKSKEIRLAFFTGAGLQLFQQFTGINTVMYYSPTIVQMAGFSSNQLALLLSLIVAAMNAAGTIVGIYLIDRCGRRRLALTSLLGVIVSLFILSGAFLLQSSGASSGICSSFTTQGSCGGTNLGWFAVLGLVLYIAFFSPGMGPVPWAVNSEIYPEAYRGVCGGMSATVNWLSNLIVAQTFLSVVALVGTAGTFLILAGIAIVAFAFVIFFVPETKGLSFEQVERLWKDMAWGTGDSQQSLLSDEPNP >DRNTG_07281.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3683096:3689276:1 gene:DRNTG_07281 transcript:DRNTG_07281.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPQMVRGTWRWMLGVAALPAILQFLLMLLLPESPRWLYMKNEKAKAIEVLAKIYDPVRLEEEKDLLSVASVDHLEFESSVSYSDVFKSKEIRLAFFTGAGLQLFQQFTGINTVMYYSPTIVQMAGFSSNQLALLLSLIVAAMNAAGTIVGIYLIDRCGRRRLALTSLLGVIVSLFILSGAFLLQSSGASSGICSSFTTQGSCGGTNLGWFAVLGLVLYIAFFSPGMGPVPWAVNSEIYPEAYRGVCGGMSATVNWLSNLIVAQTFLSVVALVGTAGTFLILAGIAIVAFAFVIFFVPETKGLSFEQVERLWKDMAWGTGDSQQSLLSDEPNP >DRNTG_07281.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3683096:3689276:1 gene:DRNTG_07281 transcript:DRNTG_07281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPQMVRGTWRWMLGVAALPAILQFLLMLLLPESPRWLYMKNEKAKAIEVLAKIYDPVRLEEEKDLLSVASVDHLEFESSVSYSDVFKSKEIRLAFFTGAGLQLFQQFTGINTVMYYSPTIVQMAGFSSNQLALLLSLIVAAMNAAGTIVGIYLIDRCGRRRLALTSLLGVIVSLFILSGAFLLQSSGASSGICSSFTTQGSCGGTNLGWFAVLGLVLYIAFFSPGMGPVPWAVNSEIYPEAYRGVCGGMSATVNWLSNLIVAQTFLSVVALVGTAGTFLILAGIAIVAFAFVIFFVPETKGLSFEQVERLWKDMAWGTGDSQQSLLSDEPNP >DRNTG_07281.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3683096:3689276:1 gene:DRNTG_07281 transcript:DRNTG_07281.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVAALPAILQFLLMLLLPESPRWLYMKNEKAKAIEVLAKIYDPVRLEEEKDLLSVASVDHLEFESSVSYSDVFKSKEIRLAFFTGAGLQLFQQFTGINTVMYYSPTIVQMAGFSSNQLALLLSLIVAAMNAAGTIVGIYLIDRCGRRRLALTSLLGVIVSLFILSGAFLLQSSGASSGICSSFTTQGSCGGTNLGWFAVLGLVLYIAFFSPGMGPVPWAVNSEIYPEAYRGVCGGMSATVNWLSNLIVAQTFLSVVALVGTAGTFLILAGIAIVAFAFVIFFVPETKGLSFEQVERLWKDMAWGTGDSQQSLLSDEPNP >DRNTG_07281.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3683096:3689276:1 gene:DRNTG_07281 transcript:DRNTG_07281.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTIESAPGSSESLGPKRSVSYFSNRYVLGLTITAGIGGLLFGYDTGVISGALLYIKDDFEVVSQNSFLQETIVSMALVGAMIGAAGGGLINDAYGRKRATILADVVFTVGSLVMSAAPDPYVLIFGRFLVGLGIGVASVTAPVYIAEVSPSEIRGGLVGTNVLMITGGQFLSYLVNLAFTEVRGTWRWMLGVAALPAILQFLLMLLLPESPRWLYMKNEKAKAIEVLAKIYDPVRLEEEKDLLSVASVDHLEFESSVSYSDVFKSKEIRLAFFTGAGLQLFQQFTGINTVMYYSPTIVQMAGFSSNQLALLLSLIVAAMNAAGTIVGIYLIDRCGRRRLALTSLLGVIVSLFILSGAFLLQSSGASSGICSSFTTQGSCGGTNLGWFAVLGLVLYIAFFSPGMGPVPWAVNSEIYPEAYRGVCGGMSATVNWLSNLIVAQTFLSVVALVGTAGTFLILAGIAIVAFAFVIFFVPETKGLSFEQVERLWKDMAWGTGDSQQSLLSDEPNP >DRNTG_14466.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000693.1:56591:63245:1 gene:DRNTG_14466 transcript:DRNTG_14466.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNTNDNEIKCKRKQSIGKPIDSPGDGLVESSLLIARSPCPVSDTPRQINPTEAPLLTFFQRDDDVRAVEPVIREERFTFLL >DRNTG_15303.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4699623:4703300:1 gene:DRNTG_15303 transcript:DRNTG_15303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGSIPSSLGSLQYISTALNLSSNRLNGSIPPSLGQLRGLEVLDLSNNFLSGEIPSRLSDMVALTFVNVSSNQLSGRLPEGWIKFLNSSPASFSGNPALCIVGNYYCQKEAKEHGHGIHWAIILVIVVLGIIFCLVGMRIATYVAAGRAQDGSSHLPSSIRSVDSVADFPEDLTYEDILRATENLSEKYVIGRGKHGTVYRTEFEAGKLWAVKRVDLSQSCFILEMKVLSSVKHRNLVKVAGYCVKDGFGMIIYEYMPGGTLFDTLHDRKTQVALDWETRHRIALGIAQGLSYLHHDCVPRIVHRDVKSNNILMDSDLEPKIGDFGTAKLLGDAESSSTVSVVVGTLGYIAPEIGYSTKVTEKSDVYSYGVVLLELLCRKLAVDPNFEDGVDIVTWIRSKLENADMFSRLSLLDVEMQYWMEDEKNKALELLDLAISCTKVAFEARPSMREVVGMLMKMKGRETKKEDKKKTSF >DRNTG_19955.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:495461:496001:-1 gene:DRNTG_19955 transcript:DRNTG_19955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLEIPLEALAFRYSAAVAGSLWAWLAVLTAALSLWRIRSSGSKPVSLPSDPYPKPAQAEAQPSTPPRCHVDDAGTPEQGPVHDLLPPR >DRNTG_00217.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15669130:15673248:-1 gene:DRNTG_00217 transcript:DRNTG_00217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSLLLRPLLPSSNARLTGHPLRPLSLSPRLPPGRHRLQALIPKASTRTPQEQSKNPSKSDQSSEKYEDVEEELPWIQEKAMDLVEFTGTVTQAIPGPRVGQSPLPWLLAVPLAYLGLTFVIAFVKTVKKFTSPKAQRKRLVNKNAYLVKSIDELVLKGESGVTHQELQHLAQKTDFSMDEILRKYIRYALNEKPFNPVLVVDLLRVRKASMLEDSQVAEVLNEISRRIVKEKGPVVMDLSGFTEKGFKRKLAVQALFGKVFYLSELPEFCSRDSSLIIKEIFGVTDEDAEKLRIHTLSETSDVESLNKMAGVEDAELPHSSDPLPPEVDDPDSGAEDD >DRNTG_26720.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7269577:7270374:-1 gene:DRNTG_26720 transcript:DRNTG_26720.7 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRGADIRLVSSHPEVYEPCDDSFALVDALLADRGNLLNHQARFCMEIGCGSGYIITSLAIILGKENSGIHYFTSDINPYATRVTQRTLDAHGVHAEIINTDIASGLQKRLVGMMDVIVVNPPYVPTPEEEVSCEGITASWAGGYNGRTVIDRILPVVDELLSDSGWLYMVTLTANNPSEICLLMRKRGFASRIVVQRSTEEESLHVIKFWREGVDDVKDGNITSSLGTWFGTK >DRNTG_26720.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7269577:7271617:-1 gene:DRNTG_26720 transcript:DRNTG_26720.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPINPPFVGSIESPAASSTSKQVGSEFYIPFPISRCQSLKLRLSKVMFPRGADIRLVSSHPEVYEPCDDSFALVDALLADRGNLLNHQARFCMEIGCGSGYIITSLAIILGKENSGIHYFTSDINPYATRVTQRTLDAHGVHAEIINTDIASGLQKRLVGMMDVIVVNPPYVPTPEEEVSCEGITASWAGGYNGRTVIDRILPVVDELLSDSGWLYMVTLTANNPSEICLLMRKRGFASRIVVQRSTEEESLHVIKFWREGVDDVKDGNITSSLGTWFGTK >DRNTG_26720.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7269577:7271563:-1 gene:DRNTG_26720 transcript:DRNTG_26720.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRGADIRLVSSHPEVYEPCDDSFALVDALLADRGNLLNHQARFCMEIGCGSGYIITSLAIILGKENSGIHYFTSDINPYATRVTQRTLDAHGVHAEIINTDIASGLQKRLVGMMDVIVVNPPYVPTPEEEVSCEGITASWAGGYNGRTVIDRILPVVDELLSDSGWLYMVTLTANNPSEICLLMRKRGFASRIVVQRSTEEESLHVIKFWREGVDDVKDGNITSSLGTWFGTK >DRNTG_26720.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7269507:7271563:-1 gene:DRNTG_26720 transcript:DRNTG_26720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRGADIRLVSSHPEVYEPCDDSFALVDALLADRGNLLNHQARFCMEIGCGSGYIITSLAIILGKENSGIHYFTSDINPYATRVTQRTLDAHGVHAEIINTDIASGLQKRLVGMMDVIVVNPPYVPTPEEEVSCEGITASWAGGYNGRTVIDRILPVVDELLSDSGWLYMVTLTANNPSEICLLMRKRGFASRIVVQRSTEEESLHVIKFWREGVDDVKDGNITSSLGTWFGTK >DRNTG_26720.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7269334:7271617:-1 gene:DRNTG_26720 transcript:DRNTG_26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPINPPFVGSIESPAASSTSKQVGSEFYIPFPISRCQSLKLRLSKVMFPRGADIRLVSSHPEVYEPCDDSFALVDALLADRGNLLNHQARFCMEIGCGSGYIITSLAIILGKENSGIHYFTSDINPYATRVTQRTLDAHGVHAEIINTDIASGLQKRLVGMMDVIVVNPPYVPTPEEEVSCEGITASWAGGYNGRTVIDRILPVVDELLSDSGWLYMVTLTANNPSEICLLMRKRGFASRIVVQRSTEEESLHVIKFWREGVDDVKDGNITSSLGTWFGTK >DRNTG_26720.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7269507:7271617:-1 gene:DRNTG_26720 transcript:DRNTG_26720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPINPPFVGSIESPAASSTSKQVGSEFYIPFPISRCQSLKLRLSKVMFPRGADIRLVSSHPEVYEPCDDSFALVDALLADRGNLLNHQARFCMEIGCGSGYIITSLAIILGKENSGIHYFTSDINPYATRVTQRTLDAHGVHAEIINTDIASGLQKRLVGMMDVIVVNPPYVPTPEEEVSCEGITASWAGGYNGRTVIDRILPVVDELLSDSGWLYMVTLTANNPSEICLLMRKRGFASRIVVQRSTEEESLHVIKFWREGVDDVKDGNITSSLGTWFGTK >DRNTG_26720.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7269334:7271563:-1 gene:DRNTG_26720 transcript:DRNTG_26720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRGADIRLVSSHPEVYEPCDDSFALVDALLADRGNLLNHQARFCMEIGCGSGYIITSLAIILGKENSGIHYFTSDINPYATRVTQRTLDAHGVHAEIINTDIASGLQKRLVGMMDVIVVNPPYVPTPEEEVSCEGITASWAGGYNGRTVIDRILPVVDELLSDSGWLYMVTLTANNPSEICLLMRKRGFASRIVVQRSTEEESLHVIKFWREGVDDVKDGNITSSLGTWFGTK >DRNTG_30141.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3257421:3259494:1 gene:DRNTG_30141 transcript:DRNTG_30141.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At1g27050 [Source:Projected from Arabidopsis thaliana (AT1G27050) UniProtKB/Swiss-Prot;Acc:P0CJ66] MSSRGKRERAATPPSIPLRKRLRRPPVTEAPDENDEGTAKRVPSSLVVVTGLPADCTVLELKSRMEMYGPVSRIRMDADGSGHVTFRSSTAAEAAVSAALNPDFGVLVRSQRVISLTLLSLSCSDLLYLVFDLMQI >DRNTG_30141.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3257421:3260100:1 gene:DRNTG_30141 transcript:DRNTG_30141.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At1g27050 [Source:Projected from Arabidopsis thaliana (AT1G27050) UniProtKB/Swiss-Prot;Acc:P0CJ66] MSSRGKRERAATPPSIPLRKRLRRPPVTEAPDENDEGTAKRVPSSLVVVTGLPADCTVLELKSRMEMYGPVSRIRMDADGSGHVTFRSSTAAEAAVSAALNPDFGVLVRSQRVQVCRGTDPSVQWRIGVQVSSTSRLLRAEKPLSKYGRSNKKLDIGAKNPTNTSELPSKGREIVAYDDIF >DRNTG_30141.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3257308:3259861:1 gene:DRNTG_30141 transcript:DRNTG_30141.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At1g27050 [Source:Projected from Arabidopsis thaliana (AT1G27050) UniProtKB/Swiss-Prot;Acc:P0CJ66] MSSRGKRERAATPPSIPLRKRLRRPPVTEAPDENDEGTAKRVPSSLVVVTGLPADCTVLELKSRMEMYGPVSRIRMDADGSGHVTFRSSTAAEAAVSAALNPDFGVLVRSQRVQVCRGTDPSVQWRIGVQVSSTSRLLRAEKPLSKYGRSNKKLDIGAKNPTNTSELPSKGREIVAYDDIF >DRNTG_30141.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3257421:3259861:1 gene:DRNTG_30141 transcript:DRNTG_30141.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At1g27050 [Source:Projected from Arabidopsis thaliana (AT1G27050) UniProtKB/Swiss-Prot;Acc:P0CJ66] MSSRGKRERAATPPSIPLRKRLRRPPVTEAPDENDEGTAKRVPSSLVVVTGLPADCTVLELKSRMEMYGPVSRIRMDADGSGHVTFRSSTAAEAAVSAALNPDFGVLVRSQRVQVCRGTDPSVQWRIGVQVSSTSRLLRAEKPLSKYGRSNKKLDIGAKNPTNTSELPSKGREIVAYDDIF >DRNTG_30141.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3257421:3259800:1 gene:DRNTG_30141 transcript:DRNTG_30141.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At1g27050 [Source:Projected from Arabidopsis thaliana (AT1G27050) UniProtKB/Swiss-Prot;Acc:P0CJ66] MSSRGKRERAATPPSIPLRKRLRRPPVTEAPDENDEGTAKRVPSSLVVVTGLPADCTVLELKSRMEMYGPVSRIRMDADGSGHVTFRSSTAAEAAVSAALNPDFGVLVRSQRVQVCRGTDPSVQWRIGVQVSSTSRLLRAEKPLSKYGRSNKKLDIGAKNPTNTSELPSKGREIVAYDDIF >DRNTG_30141.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3257421:3259494:1 gene:DRNTG_30141 transcript:DRNTG_30141.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At1g27050 [Source:Projected from Arabidopsis thaliana (AT1G27050) UniProtKB/Swiss-Prot;Acc:P0CJ66] MSSRGKRERAATPPSIPLRKRLRRPPVTEAPDENDEGTAKRVPSSLVVVTGLPADCTVLELKSRMEMYGPVSRIRMDADGSGHVTFRSSTAAEAAVSAALNPDFGVLVRSQRVQVCRGTDPSVQWRIGVQVSSTSRLLRAEKPLSKYGRSNKKLDIGAKNPTNTSELPSKGREIVAYDDIF >DRNTG_30141.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3257308:3259494:1 gene:DRNTG_30141 transcript:DRNTG_30141.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At1g27050 [Source:Projected from Arabidopsis thaliana (AT1G27050) UniProtKB/Swiss-Prot;Acc:P0CJ66] MSSRGKRERAATPPSIPLRKRLRRPPVTEAPDENDEGTAKRVPSSLVVVTGLPADCTVLELKSRMEMYGPVSRIRMDADGSGHVTFRSSTAAEAAVSAALNPDFGVLVRSQRVQVCRGTDPSVQWRIGVQVSSTSRLLRAEKPLSKYGRSNKKLDIGAKNPTNTSELPSKGREIVAYDDIF >DRNTG_14396.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:564545:565093:1 gene:DRNTG_14396 transcript:DRNTG_14396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAPPGQLTSDSESWAKQRKRSKRHHRYLDHPPNEEEYLALCLVMLARGGSGHPPVSHARSPLHQTKTLYKCSVCDKTFGSYQALGGHKASHRKLSPAAAEDGTSSLVAANGGRVHRCLICNKVFGSGQALGGHKRCHFDGTPASGGGCGGGGGGGGAVVEERRGFDLNLPVMEVMSTLAL >DRNTG_11793.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:454570:455880:1 gene:DRNTG_11793 transcript:DRNTG_11793.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKKKERNPWRLCTITQVEEVKCILRLLPIWLCTIIYSVVFTQMASLFVEQGAAMSTKVFSFPIPPASMSAFDILSVSVFIFLYRRVLHPLFSKLRKDPKGLTERHRRTLQIKTQRTSINSLSNPTICSYWSI >DRNTG_19975.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:798756:801755:1 gene:DRNTG_19975 transcript:DRNTG_19975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGSIVWDPWLILSQIVCLQCLYYLTLGFLMFIFVGFRVSRLSLVYLFDFSILTASSATGWCAIAAFLLSSLAGSVYMFYLNERAKKCLDFSATLYIIHLFICIVYGGWPASVTWWVVNGTGLALMSLLGEWLCIRREMREIPMSRLRSSKWYFSHWGLYPSPKILAHAIDSF >DRNTG_19975.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:798756:801640:1 gene:DRNTG_19975 transcript:DRNTG_19975.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGSIVWDPWLILSQIVCLQCLYYLTLGFLMFIFVGFRVSRLSLVYLFDFSILTASSATGWCAIAAFLLSSLAGSVYMFYLNERAKKCLDFSATLYIIHLFICIVYGGWPASVTWWVVNGTGLALMSLLGEWLCIRREMREIPMSRLRSNV >DRNTG_35406.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1559942:1567649:-1 gene:DRNTG_35406 transcript:DRNTG_35406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIRGNRPERRRHLSHHSRERFTYSIGRSDRSRDGHCHWDVPHHGSRARFTYSIEQRDHSRDRHCNWDVSHSDSDWEWHESHLNNKPTSSSHGFDRERHHGGALTSYDLRDVHEDAPSHGPLSMREEFGQRSHERRNVDSTLKQRLGPRQVGGAPCKYFAEAQCYYGEKCKFSHQLELGYPKDRRHDDVYACQKDTRSTSSLNRQKRGDGTSTIDLPNSACWMDVDNDARFRAPLSTDGYLLRKTEHAQSHILKPERSERDIQQSMDPNNGLSQQHVHSVVPNWFHEHSSPWHPLNTNGFPSDARSHQILKNGDAYSLPGDSAQPYSVPSVSQAVETSEWIACVPSSSTTSAMVSKQPSQLYTGSTWIDSTATPPVPPGFSPVDQGVQEQHHNMDNLKDYGTHTEALNPYLQTNEWTKDENVMMMLKFELVDLVKELLRPAWKNGNLSRETHKTIVKKVVDKVISSINGPDIPQTKEMADIYLLESKSNISKLVQGYIEKYMNS >DRNTG_35406.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1561436:1565174:-1 gene:DRNTG_35406 transcript:DRNTG_35406.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKKCMPKCDLMTENYVSSDFNQEIVSSVKLVDSHQEKESKSDGHSKGRDSTECSDIKAGSQPASKCSIRNSIPGKQTAVHETDNKVPTESAAQGQSDNDSWAVALAQYDEQVRNKSVLDAWHRHSTAVSAKPCWSTSQRLSHDSQYGPKSQDDEYRSRRGLTRDLSDFSGSQDDSKISNWEKATGLQTARRSSSTNIESNRWDSWVVACDQTDKCDTMNTKKHTPSRCLMDEKHALPASQEIVSSVKRGDPYQEKEPKSDYHSKCTKRARCSVIKTGHQPVSKCSIQDGIHGNRTAMHKTDNKEHTNDLSGAHHRNDNDGWPAAHDQSNKDSWAAALDQQDEQARNSSVLDGWQRHSPAISMKHDQGMSNRGYHHGPSHGSQFENETCDERYQSRGKLAAHLSDSAGSKDGSNSKSSNWDKATGLQSASRSFSSNKVTNGWDPWVAAHDQTNRWDRKNSMNQNPTFVVMNEKHAPAVSQEILSLEKHDESYHEKAPKSDQSSKFAETDNKEKTDNWSAALEQHDAWVSNTSHGGWNRHSTVSTKYSRSTTDRSRSHSPSHGSRYGSETRDDRSRKGFSTCIRDVSGSKDCSESSNWEKAIGSQTVNRRFSPKKESNTWDPWVAACNQTNRKRKSMSSGCELWGEHSCDIKPRHGSSQSGSRRWNISNTIKHGPRSADNSGQTRAGEFPIACTGISCNKDDSRGSNLNNVSGDLIANRVGMSKTSTGRWGAAPDRANKSMPPDLHTWRRRGRSISPQVGWNKLERSPTPLSVTKSGSESWNRRDRTGAGSSARGYSDREDGAWRKSDNDMREIRGNRPERRRHLSHHSRERFTYSIGRSDRSRDGHCHWDVPHHGSRARFTYSIEQRDHSRDRHCNWDVSHSDSDWEWHESHLNNKPTSS >DRNTG_35406.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1560222:1562783:-1 gene:DRNTG_35406 transcript:DRNTG_35406.6 gene_biotype:protein_coding transcript_biotype:protein_coding MREIRGNRPERRRHLSHHSRERFTYSIGRSDRSRDGHCHWDVPHHGSRARFTYSIEQRDHSRDRHCNWDVSHSDSDWEWHESHLNNKPTSSSHGFDRERHHGGALTSYDLRDVHEDAPSHGPLSMREEFGQRSHERRNVDSTLKQRLGPRQVGGAPCKYFAEAQCYYGEKCKFSHQLELGYPKDRRHDDVYACQKDTRSTSSLNRQKRGDGTSTIDLPNSACWMDVDNDARFRAPLSTDGYLLRKTEHAQSHILKPERSERDIQQSMDPNNGLSQQHVHSVVPNWFHEHSSPWHPLNTNGFPSDARSHQILKNGDAYSLPGDSAQPYSVPSVSQAVETSEWIACVPSSSTTSAMVSKQPSQLYTGSTWIDSTATPPVPPGFSPVDQGVQEQHHNMDNLKDYGTHTEALNPYLQTNEWTKDENVMMMLKFELVDLVKELLRPAWKNGNLSRETHKTIVKKVVDKVISSINGPDIPQTKEMADIYLLESKSNISKLVQ >DRNTG_35406.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1560222:1563504:-1 gene:DRNTG_35406 transcript:DRNTG_35406.5 gene_biotype:protein_coding transcript_biotype:protein_coding MREIRGNRPERRRHLSHHSRERFTYSIGRSDRSRDGHCHWDVPHHGSRARFTYSIEQRDHSRDRHCNWDVSHSDSDWEWHESHLNNKPTSSSHGFDRERHHGGALTSYDLRDVHEDAPSHGPLSMREEFGQRSHERRNVDSTLKQRLGPRQVGGAPCKYFAEAQCYYGEKCKFSHQLELGYPKDRRHDDVYACQKDTRSTSSLNRQKRGDGTSTIDLPNSACWMDVDNDARFRAPLSTDGYLLRKTEHAQSHILKPERSERDIQQSMDPNNGLSQQHVHSVVPNWFHEHSSPWHPLNTNGFPSDARSHQILKNGDAYSLPGDSAQPYSVPSVSQAVETSEWIACVPSSSTTSAMVSKQPSQLYTGSTWIDSTATPPVPPGFSPVDQGVQEQHHNMDNLKDYGTHTEALNPYLQTNEWTKDENVMMMLKFELVDLVKELLRPAWKNGNLSRETHKTIVKKVVDKVISSINGPDIPQTKEMADIYLLESKSNISKLVQ >DRNTG_35406.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1563708:1564411:-1 gene:DRNTG_35406 transcript:DRNTG_35406.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKKHTPSRCLMDEKHALPASQEIVSSVKRGDPYQEKEPKSDYHSKCTKRARCSVIKTGHQPVSKCSIQDGIHGNRTAMHKTDNKEHTNDLSGAHHRNDNDGWPAAHDQSNKDSWAAALDQQDEQARNSSVLDGWQRHSPAISMKHDQGMSNR >DRNTG_35406.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1559942:1567649:-1 gene:DRNTG_35406 transcript:DRNTG_35406.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREIRGNRPERRRHLSHHSRERFTYSIGRSDRSRDGHCHWDVPHHGSRARFTYSIEQRDHSRDRHCNWDVSHSDSDWEWHESHLNNKPTSSSHGFDRERHHGGALTSYDLRDVHEDAPSHGPLSMREEFGQRSHERRNVDSTLKQRLGPRQVGGAPCKYFAEAQCYYGEKCKFSHQLELGYPKDRRHDDVYACQKDTRSTSSLNRQKRGDGTSTIDLPNSACWMDVDNDARFRAPLSTDGYLLRKTEHAQSHILKPERSERDIQQSMDPNNGLSQQHVHSVVPNWFHEHSSPWHPLNTNGFPSDARSHQILKNGDAYSLPGDSAQPYSVPSVSQAVETSEWIACVPSSSTTSAMVSKQPSQLYTGSTWIDSTATPPVPPGFSPVDQGVQEQHHNMDNLKDYGTHTEALNPYLQTNEWTKDENVMMMLKFELVDLVKELLRPAWKNGNLSRETHKTIVKKVVDKVISSINGPDIPQTKEMADIYLLESKSNISKLVQGYIEKYMNS >DRNTG_35406.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1561436:1567649:-1 gene:DRNTG_35406 transcript:DRNTG_35406.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKKCMPKCDLMTENYVSSDFNQEIVSSVKLVDSHQEKESKSDGHSKGRDSTECSDIKAGSQPASKCSIRNSIPGKQTAVHETDNKVPTESAAQGQSDNDSWAVALAQYDEQVRNKSVLDAWHRHSTAVSAKPCWSTSQRLSHDSQYGPKSQDDEYRSRRGLTRDLSDFSGSQDDSKISNWEKATGLQTARRSSSTNIESNRWDSWVVACDQTDKCDTMNTKKHTPSRCLMDEKHALPASQEIVSSVKRGDPYQEKEPKSDYHSKCTKRARCSVIKTGHQPVSKCSIQDGIHGNRTAMHKTDNKEHTNDLSGAHHRNDNDGWPAAHDQSNKDSWAAALDQQDEQARNSSVLDGWQRHSPAISMKHDQGMSNRGYHHGPSHGSQFENETCDERYQSRGKLAAHLSDSAGSKDGSNSKSSNWDKATGLQSASRSFSSNKVTNGWDPWVAAHDQTNRWDRKNSMNQNPTFVVMNEKHAPAVSQEILSLEKHDESYHEKAPKSDQSSKFAETDNKEKTDNWSAALEQHDAWVSNTSHGGWNRHSTVSTKYSRSTTDRSRSHSPSHGSRYGSETRDDRSRKGFSTCIRDVSGSKDCSESSNWEKAIGSQTVNRRFSPKKESNTWDPWVAACNQTNRKRKSMSSGCELWGEHSCDIKPRHGSSQSGRRWNISNTIKHGPRSADNSGQTRAGEFPIACTGISCNKDDSRGSNLNNVSGDLIANRVGMSKTSTGRWGAAPDRANKSMPPDLHTWRRRGRSISPQVGWNKLERSPTPLSVTKSGSESWNRRDRTGAGSSARGYSDREDGAWRKSDNDMREIRGNRPERRRHLSHHSRERFTYSIGRSDRSRDGHCHWDVPHHGSRARFTYSIEQRDHSRDRHCNWDVSHSDSDWEWHESHLNNKPTSS >DRNTG_00040.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21661275:21662743:1 gene:DRNTG_00040 transcript:DRNTG_00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNQKNSISPVTSLAGRWSLNGATALVTGGTKGIGHAIVEELAKFGASVYTCSRNEAELAECLKQWEGKNFKVTGSVCDVSSRIEREKLMDNVSTFFQGKLDILINNAGAVLTKPTVECTAEDYSFMMTTNFESALHLSQLAHPLMKASASASASASASASIVFISTIGTLRVYVGSAMYAASKGAMNQLTKHLACEWAKDNIRVNCVGPSVIKTTLIENLGLGNAFLVSEKSQVPLGRLGEPEEVASVVAFLCLPAASYVTGQIIYVDGGRTQTW >DRNTG_19765.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6365537:6368134:1 gene:DRNTG_19765 transcript:DRNTG_19765.2 gene_biotype:protein_coding transcript_biotype:protein_coding ARLRATKLAEEAQKAAEEAAIKESKEAAEKEAEALHKDITEKVQGSPSKVSNNIVSNVDKGIKVLAADKALKVEESRIKFHDEVAQEMMLSSNEEFDRCGRQISKLLRQINRTQQKVRAVSVALFG >DRNTG_19765.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6362570:6368134:1 gene:DRNTG_19765 transcript:DRNTG_19765.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEARLRATKLAEEAQKAAEEAAIKESKEAAEKEAEALHKDITEKVQGSPSKVSNNIVSNVDKGIKVLAADKALKVEESRIKFHDEVAQEMMLSSNEEFDRCGRQISKLLRQINRTQQKVRAVSVALFG >DRNTG_15999.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5233152:5233575:1 gene:DRNTG_15999 transcript:DRNTG_15999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPNNFKNNNITSQDDRGDKLGKRSVLADWDWNGPSQPHKPFQFLPTQLGYGVDVNQEISSCNQLGSNFTQDMQMASSFRQINPTDNSN >DRNTG_07434.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6993244:6994323:-1 gene:DRNTG_07434 transcript:DRNTG_07434.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLVSFAVTKLANLLAQEVVFLKGVDDELRSLLRLLEWIQAHLKSIDHCNEDDQRDKVWVNQIQEIAHDAEDIVDDYIFKVHQRRPLSPSKLTFLHDLGNKIRKVKGTAQEIFDNRCKFGNIESSGASTTSISNSEARPPLPLVRRRRNPDVEEADVLGFDEHLQALARMLMGDDGNQRRVVVSITGMGGVGKTTLTKKIFSDPGIRRHFACQAWIWVSQEYRAGEVLKTIAKDAMALSNQRLKDLSHEELQWEVYKHLEEMKYLVILDDVWSKEAWDSIKILLPDMMNGSRVLITTRNHDVALHAGRQSPPPYDLMFLG >DRNTG_07434.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6991311:6994213:-1 gene:DRNTG_07434 transcript:DRNTG_07434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLVSFAVTKLANLLAQEVVFLKGVDDELRSLLRLLEWIQAHLKSIDHCNEDDQRDKVWVNQIQEIAHDAEDIVDDYIFKVHQRRPLSPSKLTFLHDLGNKIRKVKGTAQEIFDNRCKFGNIESSGASTTSISNSEARPPLPLVRRRRNPDVEEADVLGFDEHLQALARMLMGDDGNQRRVVVSITGMGGVGKTTLTKKIFSDPGIRRHFACQAWIWVSQEYRAGEVLKTIAKDAMALSNQRLKDLSHEELQWEVYKHLEEMKYLVILDDVWSKEAWDSIKILLPDMMNGSRVLITTRNHDVALHAGRQSPPPYDLMFLGEEDSWELFCRKAIPTKCTKDCPPYLESIGREMVAKCCGLPLAIVVLGGLMLTKRQSVEEWRKLLKCANWQLRQGEEQISEILALSYHHLPYYIKPCFLYFSIYSKGALISAKRLIRLWIAEGFIQPRDQEIMEEVAEDYLEELVHCSMIQVVERHHHGGIKICQIHELLHDLSIFLAQGMNFIHIPNNNDNEENISHKPRRLSLCDDKSTCYIARLHSTDYTSRLRTITSIDMEKRVSEMEKFFHNMKLLRVINVQGTKIRSLPNDIGKLIHLRYLGLRYTNLRGLPSSISKLTNLQTLDIKNSVRMIELPSQVWKMHRNLRHLEGTGFSIKGLPSTESLPNLQTLSNVKAGPWLQNGLQKMTCLSKLGVHDVTDTYKEALLDCLGKLDNLKKLAWKAEKDSTIPSSILSTGQHKNNLQVLYLRGRLEGLPDGICMPLSLTKLTLESSRLQEDPLVMLGKLDNLQVLRLRYDAFVGGEMVCLEKGFPELQVLELNCLSELEVWRIEDEAMPKLRELEIEACNFLMMLPQGLQRVTSLQQECMIADKGSSVGCRWCMSIPTTGRMNLLSPSQEMMLRVT >DRNTG_25665.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21627247:21631390:1 gene:DRNTG_25665 transcript:DRNTG_25665.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLREGAGWCLCAGGGKSERIKGAIFSGKGPALAAISSVPGGGCGTGFLIHRGLLLTTHTNIPTVAAAEAAEIRLHHGRISARLVPHRFFITSSVLDLSIVGLDTIDADSSSQGQQPHSLKPCCNPSLDLGSLVYVLGHTNKMDLTVGEGKVVIATDNLIKLSTDGMTWCPGSAGFDAQGNLAFMVCDPMKLASSPTARSSSASSSSSLSWKKDSSMQFGIPIPIIFDWLHQHWEGSLDELSKPKLPLIRLLSTGQKSEHSCTSFTQRRVFKSSEEDNDDISSSPQVILRTRCQPGPSNSPNAVANQENLVADLRSAHEQGIPTPEIYESPRLTSGPLRKKENISNHLLDINFPPRNPRSVALPLPLKQLLPDPKENNAEEPKQQKLVVEAGHASHEIPESNDGQNPPTLPADSTLLDCCSEVQSSSSPVEVSDLQMYGDGFSSEGETMYSAETMESRNYPSPNQNNLQQVGRSQSCVNYSRWTSSIRNSGARKGNLQKQNTFIPLRKTYSQTPAAPQRSHDYYSPTVSSSMKKRNNQEESRKPRQREVQVSPRWMF >DRNTG_34264.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10969080:10970334:1 gene:DRNTG_34264 transcript:DRNTG_34264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVINKQELLYLYSMVQNKLVHLGHILAEYLKHQGQYPRLGVIFSGPYITRLIVGMGLRDKISETEKAIIPAPLGLGTMRLMGLIRKYSNGVYVLNIPFEDEAGASQSAPKPQPVPMESETPPAVEELPPVRIFPPSQAHDRFERLESSLGVIRTEVAEAQAEIAEIRAMQAT >DRNTG_26319.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21418867:21419366:1 gene:DRNTG_26319 transcript:DRNTG_26319.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTGSSGLDAPRAPSRGRSGTTSVSFFESAGRWRRRRRRGCRRGARYSLMRGPASWHRSTPLRNASADRLALSATTAAAPVSVFSFSLLRCCWAR >DRNTG_23899.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2054708:2055882:-1 gene:DRNTG_23899 transcript:DRNTG_23899.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 13 [Source:Projected from Arabidopsis thaliana (AT2G14530) UniProtKB/Swiss-Prot;Acc:Q9ZQR5] MYFRWGAPAKFDPTQSPMLFFYEGIPVSPPILPEEGLDLALKHMMLYVDKTSRSNAIKIFRTQSPRHFEGGDWNEGGSCRRTKPLSPEQVEELFSVEKNGTNIEDRLVNRHLYKAVEGSSFRVLDITHMSEFRADAHPSVAGGKKHEDCMHWCLPGLTDSWNDLLVAYLDNWKN >DRNTG_23899.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2057142:2057789:-1 gene:DRNTG_23899 transcript:DRNTG_23899.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 13 [Source:Projected from Arabidopsis thaliana (AT2G14530) UniProtKB/Swiss-Prot;Acc:Q9ZQR5] MAARDAGRRRPSSFPCLAAVLVLISLLLLFAAFRSSLAPQDRTAVLLLPPGSSCNYSDGSWIRDPDLMTPRYDHTCKEIFKGWNCIANRKSKALDTLRWRWQPSHCDLPPFDPLRFLRLYRNKNIGAFFSL >DRNTG_23899.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2056376:2057789:-1 gene:DRNTG_23899 transcript:DRNTG_23899.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 13 [Source:Projected from Arabidopsis thaliana (AT2G14530) UniProtKB/Swiss-Prot;Acc:Q9ZQR5] MAARDAGRRRPSSFPCLAAVLVLISLLLLFAAFRSSLAPQDRTAVLLLPPGSSCNYSDGSWIRDPDLMTPRYDHTCKEIFKGWNCIANRKSKALDTLRWRWQPSHCDLPPFDPLRFLRLYRNKNIGAFFSL >DRNTG_23899.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2055347:2057789:-1 gene:DRNTG_23899 transcript:DRNTG_23899.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 13 [Source:Projected from Arabidopsis thaliana (AT2G14530) UniProtKB/Swiss-Prot;Acc:Q9ZQR5] MAARDAGRRRPSSFPCLAAVLVLISLLLLFAAFRSSLAPQDRTAVLLLPPGSSCNYSDGSWIRDPDLMTPRYDHTCKEIFKGWNCIANRKSKALDTLRWRWQPSHCDLPPFDPLRFLRLYRNKNIGAFFSL >DRNTG_23899.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2054708:2057789:-1 gene:DRNTG_23899 transcript:DRNTG_23899.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 13 [Source:Projected from Arabidopsis thaliana (AT2G14530) UniProtKB/Swiss-Prot;Acc:Q9ZQR5] MAARDAGRRRPSSFPCLAAVLVLISLLLLFAAFRSSLAPQDRTAVLLLPPGSSCNYSDGSWIRDPDLMTPRYDHTCKEIFKGWNCIANRKSKALDTLRWRWQPSHCDLPPFDPLRFLRLYRNKNIGFVGDSLNRNMFVSLVCMLRRVSGDVKKWRPAGADRGYTFLSYNLTVAYHRTNLLVRYGRWSADANGGVLESLGYKQGYRVDVDVPEPTWADAPKFHDILIFNTGHWWGAPAKFDPTQSPMLFFYEGIPVSPPILPEEGLDLALKHMMLYVDKTSRSNAIKIFRTQSPRHFEGGDWNEGGSCRRTKPLSPEQVEELFSVEKNGTNIEDRLVNRHLYKAVEGSSFRVLDITHMSEFRADAHPSVAGGKKHEDCMHWCLPGLTDSWNDLLVAYLDNWKN >DRNTG_24187.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17145086:17146429:-1 gene:DRNTG_24187 transcript:DRNTG_24187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGYGPEDKSTVLELMYNYGVHEYDKGNGYAQIAIGTDDVYRTAEAIKICGGKIIREPGPLPGINTKITACLDPDGWKTVFVDNIDFTTELE >DRNTG_08171.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11868972:11871815:-1 gene:DRNTG_08171 transcript:DRNTG_08171.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G05760) UniProtKB/Swiss-Prot;Acc:Q9SHZ3] MIFFSMLGKFGALFASIPFPIFAALYCVLFGLVASVGLSFLQFTNMNSMRNLIITGLSLFLGISIPQYFNDSWGTSGHGPVHTRAGWFNAFLNTIFLSPPTVGLIVSVFLDNTLEVEKSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >DRNTG_08171.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11868972:11870211:-1 gene:DRNTG_08171 transcript:DRNTG_08171.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G05760) UniProtKB/Swiss-Prot;Acc:Q9SHZ3] ASVGLSFLQFTNMNSMRNLIITGLSLFLGISIPQYFNDSWGTSGHGPVHTRAGWFNAFLNTIFLSPPTVGLIVSVFLDNTLEVEKSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >DRNTG_08171.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11868972:11871982:-1 gene:DRNTG_08171 transcript:DRNTG_08171.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G05760) UniProtKB/Swiss-Prot;Acc:Q9SHZ3] MMAAVLVSLAESTAAYKAASRLAIATPPPAYVLSRGIGWQGIGVLLDGLFGTGTGSTVSVENVGLLGLTRVGSRRVVQISAGFMIFFSMLGKFGALFASIPFPIFAALYCVLFGLVASVGLSFLQFTNMNSMRNLIITGLSLFLGISIPQYFNDSWGTSGHGPVHTRAGWFNAFLNTIFLSPPTVGLIVSVFLDNTLEVEKSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >DRNTG_08171.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11868972:11872260:-1 gene:DRNTG_08171 transcript:DRNTG_08171.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G05760) UniProtKB/Swiss-Prot;Acc:Q9SHZ3] MMAAVLVSLAESTAAYKAASRLAIATPPPAYVLSRGIGWQGIGVLLDGLFGTGTGSTVSVENVGLLGLTRVGSRRVVQISAGFMIFFSMLGKFGALFASIPFPIFAALYCVLFGLVASVGLSFLQFTNMNSMRNLIITGLSLFLGISIPQYFNDSWGTSGHGPVHTRAGWFNAFLNTIFLSPPTVGLIVSVFLDNTLEVEKSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >DRNTG_08171.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11868972:11876413:-1 gene:DRNTG_08171 transcript:DRNTG_08171.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G05760) UniProtKB/Swiss-Prot;Acc:Q9SHZ3] MADISHPPMEQLQDLEYCIDSNPPWVETILLAFQNYILMLGTSVMIPSMLVPLMGGSAGDKVRVIQTILFVSGINTLLQALFGTRLPTVVSGSFAYVVPILYIIGDSSLQRISDPQERFLQTMRAIQGALIIASSLQIILGYSQLWGIFSRFFSPLGMAPVIGLVGLGLFERGFPAVGNCVEIGIPMLLLVIGLSQYLKHYRPFRDIPIFERFPVVICVSIIWLYALILTAGGAYRHRPAKTQDSCRTDRANLISTAPWFKFPYPLQWGAPTFNAGHSVAMMAAVLVSLAESTAAYKAASRLAIATPPPAYVLSRGIGWQGIGVLLDGLFGTGTGSTVSVENVGLLGLTRVGSRRVVQISAGFMIFFSMLGKFGALFASIPFPIFAALYCVLFGLVASVGLSFLQFTNMNSMRNLIITGLSLFLGISIPQYFNDSWGTSGHGPVHTRAGWFNAFLNTIFLSPPTVGLIVSVFLDNTLEVEKSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >DRNTG_14929.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27152644:27158920:-1 gene:DRNTG_14929 transcript:DRNTG_14929.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSEGRSRGNEGVKLDSAGRQDADQGENNEGEITPTPTHHSRRPNLSSLQIPERKLENTLPASVRINVPPVPTPSSTRAGLPPRPASARTKSSTKKLLPQWSFKAKNTTPEGDRAILLIPGAPTSSGQQDKPSTSRTFSLPKVFSAVSGKFTHSLPSTPIGNPGLTTTPDGQVADLPDSDKQEVKTHITRSFSVPGNAKPRTLGRMDSLSMIRVITPRPAAVDNTNQNDAIEIDDASEHDGEDIPEDEAVCRICLIELAEGGETLKMECSCKGELALAHQECAIKWFSIKGNKTCDVCKQEVQNLPVTLLRIQNSQAANRRASNAFQQRDAARYRVWQDVPVLVMVSMLAYFCFLEQLLVTDMGSRALAISLPFSCVLGLLSSMIASTMVSRSYIWAYASFQFAIVILFAHIFYNVLRVAAVLSVLLSSFTGFGIAISTNSLLVEYLRWRVRQNVQSAQQNTPAPRNAPAQQQESRGGDDP >DRNTG_00115.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10911954:10914531:1 gene:DRNTG_00115 transcript:DRNTG_00115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVSLSKPIVSANLLFAARLPCSCPKSSLKFPSRSLRRNRGALVSSSSAPAAEEEEWLNRLPEKKKPLYCHSLPCIEAWLKSLGFSQSRDDRAVWNVEKPDWLAQLSLDVTDLYIRYLKSGPGNLEKDVERRFSYALSREDIENAILGGP >DRNTG_00676.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15957356:15961017:1 gene:DRNTG_00676 transcript:DRNTG_00676.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSENEGIDGKRFVVTGGLGFVGSSLCLELVRRGAGEVRSLDPRISSPWSSDLLSSGVVCIQGDVTKKKDVEKALRGVDCVFHLASYGMSGKEMLQSGRVDEVNINGTCNILEVCHEFGVRRLVYVSTYNVVFGGKEIVNGNESLKYFPIDDHVDAYGRSKSIAEQLVLKNNGRPSKKNNDAHLYTCAIRPAAIYGPGEERHLPRILSLAKMGLVFFKVGDPSVKTDWVHVDNLVLALILASMGLLDDIPGREGHPVAAGQPYFICDGAPVNSFESIVSPILQSLGYKVPKITIDVKHALFMSRIFLVIYTMLYPWLNRWWLPQPLLLPAEVYKIGVTHYFSILKAREELGFVPMVSPRDGLAATIAYWQERKRRELDGPTIFAWLFVIIGMSAVFCAAYLPPIGPLKWVHALNLFVFRSYSVIRFVFLVSVVFHFGEGAYAWYLSRRVDPKNSKGWFWQTFALGIFSLRYLLKRARD >DRNTG_00676.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15957396:15961017:1 gene:DRNTG_00676 transcript:DRNTG_00676.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSENEGIDGKRFVVTGGLGFVGSSLCLELVRRGAGEVRSLDPRISSPWSSDLLSSGVVCIQGDVTKKKDVEKALRGVDCVFHLASYGMSGKEMLQSGRVDEVNINGTCNILEVCHEFGVRRLVYVSTYNVVFGGKEIVNGNESLKYFPIDDHVDAYGRSKSIAEQLVLKNNGRPSKKNNDAHLYTCAIRPAAIYGPGEERHLPRILSLAKMGLVFFKVGDPSVKTDWVHVDNLVLALILASMGLLDDIPGREGHPVAAGQPYFICDGAPVNSFESIVSPILQSLGYKVPKITIDVKHALFMSRIFLVIYTMLYPWLNRWWLPQPLLLPAEVYKIGVTHYFSILKAREELGFVPMVSPRDGLAATIAYWQERKRRELDGPTIFAWLFVIIGMSAVFCAAYLPPIGPLKWVHALNLFVFRSYSVIRFVFLVSVVFHFGEGAYAWYLSRRVDPKNSKGWFWQTFALGIFSLRYLLKRARD >DRNTG_00676.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15957443:15961190:1 gene:DRNTG_00676 transcript:DRNTG_00676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSENEGIDGKRFVVTGGLGFVGSSLCLELVRRGAGEVRSLDPRISSPWSSDLLSSGVVCIQGDVTKKKDVEKALRGVDCVFHLASYGMSGKEMLQSGRVDEVNINGTCNILEVCHEFGVRRLVYVSTYNVVFGGKEIVNGNESLKYFPIDDHVDAYGRSKSIAEQLVLKNNGRPSKKNNDAHLYTCAIRPAAIYGPGEERHLPRILSLAKMGLVFFKVGDPSVKTDWVHVDNLVLALILASMGLLDDIPGREGHPVAAGQPYFICDGAPVNSFESIVSPILQSLGYKVPKITIDVKHALFMSRIFLVIYTMLYPWLNRWWLPQPLLLPAEVYKIGVTHYFSILKAREELGFVPMVSPRDGLAATIAYWQERKRRELDGPTIFAWLFVIIGMSAVFCAAYLPPIGPLKWVHALNLFVFRSYSVIRFVFLVSVVFHFGEGAYAWYLSRRVDPKNSKGWFWQTFALGIFSLRYLLKRARD >DRNTG_00676.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15957443:15961017:1 gene:DRNTG_00676 transcript:DRNTG_00676.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSENEGIDGKRFVVTGGLGFVGSSLCLELVRRGAGEVRSLDPRISSPWSSDLLSSGVVCIQGDVTKKKDVEKALRGVDCVFHLASYGMSGKEMLQSGRVDEVNINGTCNILEVCHEFGVRRLVYVSTYNVVFGGKEIVNGNESLKYFPIDDHVDAYGRSKSIAEQLVLKNNGRPSKKNNDAHLYTCAIRPAAIYGPGEERHLPRILSLAKMGLVFFKVGDPSVKTDWVHVDNLVLALILASMGLLDDIPGREGHPVAAGQPYFICDGAPVNSFESIVSPILQSLGYKVPKITIDVKHALFMSRIFLVIYTMLYPWLNRWWLPQPLLLPAEVYKIGVTHYFSILKAREELGFVPMVSPRDGLAATIAYWQERKRRELDGPTIFAWLFVIIGMSAVFCAAYLPPIGPLKWVHALNLFVFRSYSVIRFVFLVSVVFHFGEGAYAWYLSRRVDPKNSKGWFWQTFALGIFSLRYLLKRARD >DRNTG_03435.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3199075:3199488:1 gene:DRNTG_03435 transcript:DRNTG_03435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLLRVPSLKRMVSSPKADKHSDFNECSTSSVAEKGHFFVYTSERKRFMVPLAYLTNNIFKELLKISEEEFGLPGDGPITLPCDAASMEYVLSLLRRGVSEEVERALLSSIFISCQSTCSAFAVENPHALAVCSC >DRNTG_26872.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2130159:2132742:-1 gene:DRNTG_26872 transcript:DRNTG_26872.1 gene_biotype:protein_coding transcript_biotype:protein_coding NRTRQHLLTVFQDPHRSTTRDFDGRKREK >DRNTG_07701.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23102046:23105857:1 gene:DRNTG_07701 transcript:DRNTG_07701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAVSVGSSVRDELCTRLIQVMSQLINEAGDVVVEKESFLMFSMYVKQMKTIIEALHGKRVEARTESRPMRKALEELELDVNKALDVIKSHKSRGRFSLLINSGSLLSKMKQVADEIARDVTLLSLANIDATLELKSKTNEIINGFQSMEFKSAASTEAIIMEIEKCNAARNGKSGEYAENLLKQIADAVGVTPNVSTVRSEIQLLQQEKEELELRKQRAEALQLSQLIHLLQSLDTMPQSPPETSAGLQNNLISAFVCPLSGEPMEDPVAICCGHSFERKAISDHFERGEISCPVCEEELSSLELTANISLRSSILEWKNRNMNLRLQKAISAFDYIESDVLNQALEDLQELIDIPECTAEVSRKGLVSKLVNLMQCSSMNTKATLKCLLCLANHSRENKEVIASKGAIRYMIKRFHRGEIEADAVDLLVRLSDEQMIAEQIGNTKDAIPTLVSLVQNPNPEISQKAEIVLRNLPSSNTEFIVKMAEAGHFDPFLAQFHQVPSATRFLMATALTRIQLSESAANKFETEDFIRALTKMLYSNPPDSKSACLLCIKKLVTFQRLARQFLLERDTIPALLGLMYSSISENHWKQEAADILISLVRVSEPADYSTNISLRELHSQHNIDRLLNLTATSTPQTSAALLRFFLAMVQKSEVARDSIHSDQIATICFSSASEGNLQGEVRLQALKLMHFVVKDKPSGFQLPQSPQKESIVTALVTILISSRSIEERSTVAGIIGHLPVDDIAIDEMLCRLEALRSILDVISAADTRHVEMMTREAIPTADDSTHGLLENALAALLRYTDPAKPELWKQVSKLEVYPTLVHVLSTGSSVAKQQTALALTNLCRSTHHPATSSGAATVEKVTIFTPPRWLTEFLDNSSWCCPFSPSVHPGLCPIHGSACSSGQTFCLIKANAIKPLVQMLSETQSGAPETALMALDTLFIDSRTFSNAAMAIVDNQGIAPILNVMEKGLPPAQEKAIDLFEKIFPHCTLKNPQFERLEGILIHLLHFDNLKRKAASMLGQMKVIPQQSSYF >DRNTG_07701.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23102046:23105687:1 gene:DRNTG_07701 transcript:DRNTG_07701.7 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFMFQSNRMDFAVSVGSSVRDELCTRLIQVMSQLINEAGDVVVEKESFLMFSMYVKQMKTIIEALHGKRVEARTESRPMRKALEELELDVNKALDVIKSHKSRGRFSLLINSGSLLSKMKQVADEIARDVTLLSLANIDATLELKSKTNEIINGFQSMEFKSAASTEAIIMEIEKCNAARNGKSGEYAENLLKQIADAVGVTPNVSTVRSEIQLLQQEKEELELRKQRAEALQLSQLIHLLQSLDTMPQSPPETSAGLQNNLISAFVCPLSGEPMEDPVAICCGHSFERKAISDHFERGEISCPVCEEELSSLELTANISLRSSILEWKNRNMNLRLQKAISAFDYIESDVLNQALEDLQELIDIPECTAEVSRKGLVSKLVNLMQCSSMNTKATLKCLLCLANHSRENKEVIASKGAIRYMIKRFHRGEIEADAVDLLVRLSDEQMIAEQIGNTKDAIPTLVSLVQNPNPEISQKAEIVLRNLPSSNTEFIVKMAEAGHFDPFLAQFHQGKEMIN >DRNTG_07701.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23102046:23105687:1 gene:DRNTG_07701 transcript:DRNTG_07701.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAVSVGSSVRDELCTRLIQVMSQLINEAGDVVVEKESFLMFSMYVKQMKTIIEALHGKRVEARTESRPMRKALEELELDVNKALDVIKSHKSRGRFSLLINSGSLLSKMKQVADEIARDVTLLSLANIDATLELKSKTNEIINGFQSMEFKSAASTEAIIMEIEKCNAARNGKSGEYAENLLKQIADAVGVTPNVSTVRSEIQLLQQEKEELELRKQRAEALQLSQLIHLLQSLDTMPQSPPETSAGLQNNLISAFVCPLSGEPMEDPVAICCGHSFERKAISDHFERGEISCPVCEEELSSLELTANISLRSSILEWKNRNMNLRLQKAISAFDYIESDVLNQALEDLQELIDIPECTAEVSRKGLVSKLVNLMQCSSMNTKATLKCLLCLANHSRENKEVIASKGAIRYMIKRFHRGEIEADAVDLLVRLSDEQMIAEQIGNTKDAIPTLVSLVQNPNPEISQKAEIVLRNLPSSNTEFIVKMAEAGHFDPFLAQFHQVPSATRFLMATALTRIQLSESAANKFETEDFIRALTKMLYSNPPDSKSACLLCIKKLVTFQRLARQFLLERDTIPALLGLMYSSISENHWKQEAADILISLVRVSEPADYSTNISLRELHSQHNIDRLLNLTATSTPQTSAALLRFFLAMVQKSEVARDSIHSDQIATICFSSASEGNLQGEVRLQALKLMHFVVKDKPSGFQLPQSPQKESIVTALVTILISSRSIEERSTVAGIIGHLPVDDIAIDEMLCRLEALRSILDVISAADTRHVEMMTREAIPTADDSTHGLLENALAALLRYTDPAKPELWKQVSKLEVYPTLVHVLSTGSSVAKQQTALALTNLCRSTHHPATSSGAATVEKVTIFTPPRWLTEFLDNSSWCCPFSPSVHPGLCPIHGSACSSGQTFCLIKANAIKPLVQMLSETQSGAPETALMALDTLFIDSRTFSNAAMAIVDNQGIAPILNVMEKGLPPAQEKAIDLFEKIFPHCTLKNPQFERLEGILIHLLHFDNLKRKAASMLGQMKVIPQQSSYF >DRNTG_07701.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23102046:23105687:1 gene:DRNTG_07701 transcript:DRNTG_07701.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFMFQSNRMDFAVSVGSSVRDELCTRLIQVMSQLINEAGDVVVEKESFLMFSMYVKQMKTIIEALHGKRVEARTESRPMRKALEELELDVNKALDVIKSHKSRGRFSLLINSGSLLSKMKQVADEIARDVTLLSLANIDATLELKSKTNEIINGFQSMEFKSAASTEAIIMEIEKCNAARNGKSGEYAENLLKQIADAVGVTPNVSTVRSEIQLLQQEKEELELRKQRAEALQLSQLIHLLQSLDTMPQSPPETSAGLQNNLISAFVCPLSGEPMEDPVAICCGHSFERKAISDHFERGEISCPVCEEELSSLELTANISLRSSILEWKNRNMNLRLQKAISAFDYIESDVLNQALEDLQELIDIPECTAEVSRKGLVSKLVNLMQCSSMNTKATLKCLLCLANHSRENKEVIASKGAIRYMIKRFHRGEIEADAVDLLVRLSDEQMIAEQIGNTKDAIPTLVSLVQNPNPEISQKAEIVLRNLPSSNTEFIVKMAEAGHFDPFLAQFHQVPSATRFLMATALTRIQLSESAANKFETEDFIRALTKMLYSNPPDSKSACLLCIKKLVTFQRLARQFLLERDTIPALLGLMYSSISENHWKQEAADILISLVRVSEPADYSTNISLRELHSQHNIDRLLNLTATSTPQTSAALLRFFLAMVQKSEVARDSIHSDQIATICFSSASEGNLQGEVRLQALKLMHFVVKDKPSGFQLPQSPQKESIVTALVTILISSRSIEERSTVAGIIGHLPVDDIAIDEMLCRLEALRSILDVISAADTRHVEMMTREAIPTADDSTHGLLENALAALLRYTDPAKPELWKQVSKLEVYPTLVHVLSTGSSVAKQQTALALTNLCRSTHHPATSSGAATVEKVTIFTPPRWLTEFLDNSSWCCPFSPSVHPGLCPIHGSACSSGQTFCLIKANAIKPLVQMLSETQSGAPETALMALDTLFIDSRTFSNAAMAIVDNQGIAPILNVMEKGLPPAQEKAIDLFEKIFPHCTLKNPQFERLEGILIHLLHFDNLKRKAASMLGQMKVIPQQSSYF >DRNTG_07701.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23102046:23105687:1 gene:DRNTG_07701 transcript:DRNTG_07701.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAVSVGSSVRDELCTRLIQVMSQLINEAGDVVVEKESFLMFSMYVKQMKTIIEALHGKRVEARTESRPMRKALEELELDVNKALDVIKSHKSRGRFSLLINSGSLLSKMKQVADEIARDVTLLSLANIDATLELKSKTNEIINGFQSMEFKSAASTEAIIMEIEKCNAARNGKSGEYAENLLKQIADAVGVTPNVSTVRSEIQLLQQEKEELELRKQRAEALQLSQLIHLLQSLDTMPQSPPETSAGLQNNLISAFVCPLSGEPMEDPVAICCGHSFERKAISDHFERGEISCPVCEEELSSLELTANISLRSSILEWKNRNMNLRLQKAISAFDYIESDVLNQALEDLQELIDIPECTAEVSRKGLVSKLVNLMQCSSMNTKATLKCLLCLANHSRENKEVIASKGAIRYMIKRFHRGEIEADAVDLLVRLSDEQMIAEQIGNTKDAIPTLVSLVQNPNPEISQKAEIVLRNLPSSNTEFIVKMAEAGHFDPFLAQFHQVPSATRFLMATALTRIQLSESAANKFETEDFIRALTKMLYSNPPDSKSACLLCIKKLVTFQRLARQFLLERDTIPALLGLMYSSISENHWKQEAADILISLVRVSEPADYSTNISLRELHSQHNIDRLLNLTATSTPQTSAALLRFFLAMVQKSEVARDSIHSDQIATICFSSASEGNLQGEVRLQALKLMHFVVKDKPSGFQLPQSPQKESIVTALVTILISSRSIEERSTVAGIIGHLPVDDIAIDEMLCRLEALRSILDVISAADTRHVEMMTREAIPTADDSTHGLLENALAALLRYTDPAKPELWKQVSKLEVYPTLVHVLSTGSSVAKQQTALALTNLCRSTHHPATSSGAATVEKVTIFTPPRWLTEFLDNSSWCCPFSPSVHPGLCPIHGSACSSGQTFCLIKANAIKPLVQMLSETQSGAPETALMALDTLFIDSRTFSNAAMAIVDNQGIAPILNVMEKGLPPAQEKAIDLFEKIFPHCTLKNPQFERLEGILIHLLHFDNLKRKAASMLGQMKVIPQQSSYF >DRNTG_07701.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23101903:23105687:1 gene:DRNTG_07701 transcript:DRNTG_07701.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAVSVGSSVRDELCTRLIQVMSQLINEAGDVVVEKESFLMFSMYVKQMKTIIEALHGKRVEARTESRPMRKALEELELDVNKALDVIKSHKSRGRFSLLINSGSLLSKMKQVADEIARDVTLLSLANIDATLELKSKTNEIINGFQSMEFKSAASTEAIIMEIEKCNAARNGKSGEYAENLLKQIADAVGVTPNVSTVRSEIQLLQQEKEELELRKQRAEALQLSQLIHLLQSLDTMPQSPPETSAGLQNNLISAFVCPLSGEPMEDPVAICCGHSFERKAISDHFERGEISCPVCEEELSSLELTANISLRSSILEWKNRNMNLRLQKAISAFDYIESDVLNQALEDLQELIDIPECTAEVSRKGLVSKLVNLMQCSSMNTKATLKCLLCLANHSRENKEVIASKGAIRYMIKRFHRGEIEADAVDLLVRLSDEQMIAEQIGNTKDAIPTLVSLVQNPNPEISQKAEIVLRNLPSSNTEFIVKMAEAGHFDPFLAQFHQVPSATRFLMATALTRIQLSESAANKFETEDFIRALTKMLYSNPPDSKSACLLCIKKLVTFQRLARQFLLERDTIPALLGLMYSSISENHWKQEAADILISLVRVSEPADYSTNISLRELHSQHNIDRLLNLTATSTPQTSAALLRFFLAMVQKSEVARDSIHSDQIATICFSSASEGNLQGEVRLQALKLMHFVVKDKPSGFQLPQSPQKESIVTALVTILISSRSIEERSTVAGIIGHLPVDDIAIDEMLCRLEALRSILDVISAADTRHVEMMTREAIPTADDSTHGLLENALAALLRYTDPAKPELWKQVSKLEVYPTLVHVLSTGSSVAKQQTALALTNLCRSTHHPATSSGAATVEKVTIFTPPRWLTEFLDNSSWCCPFSPSVHPGLCPIHGSACSSGQTFCLIKANAIKPLVQMLSETQSGAPETALMALDTLFIDSRTFSNAAMAIVDNQGIAPILNVMEKGLPPAQEKAIDLFEKIFPHCTLKNPQFERLEGILIHLLHFDNLKRKAASMLGQMKVIPQQSSYF >DRNTG_07701.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23101903:23105687:1 gene:DRNTG_07701 transcript:DRNTG_07701.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAVSVGSSVRDELCTRLIQVMSQLINEAGDVVVEKESFLMFSMYVKQMKTIIEALHGKRVEARTESRPMRKALEELELDVNKALDVIKSHKSRGRFSLLINSGSLLSKMKQVADEIARDVTLLSLANIDATLELKSKTNEIINGFQSMEFKSAASTEAIIMEIEKCNAARNGKSGEYAENLLKQIADAVGVTPNVSTVRSEIQLLQQEKEELELRKQRAEALQLSQLIHLLQSLDTMPQSPPETSAGLQNNLISAFVCPLSGEPMEDPVAICCGHSFERKAISDHFERGEISCPVCEEELSSLELTANISLRSSILEWKNRNMNLRLQKAISAFDYIESDVLNQALEDLQELIDIPECTAEVSRKGLVSKLVNLMQCSSMNTKATLKCLLCLANHSRENKEVIASKGAIRYMIKRFHRGEIEADAVDLLVRLSDEQMIAEQIGNTKDAIPTLVSLVQNPNPEISQKAEIVLRNLPSSNTEFIVKMAEAGHFDPFLAQFHQGKEMIN >DRNTG_03772.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18163511:18163851:-1 gene:DRNTG_03772 transcript:DRNTG_03772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLSTAVWKFRTGACTIHARGVARFQPYLKPIQPRFWLLEKFYGSDIARHLEEGYWQSFRRHRSGEVYSRPDKGSLATSRGLSTRPLT >DRNTG_02428.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000115.1:10018:13737:-1 gene:DRNTG_02428 transcript:DRNTG_02428.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKSFSIGSRKTGFAENLQPEKGLELFKLMNQQGLQRDRFSFVAVLGICCDKEDLETGRSLHCQTIKLGLDTSAFVGNVLMTIYSKCGSIGSVESVFWSIKEKDVISWNTFIVACSHFGDHAKALMVYREMENACVIHPDDFTLSGVLTACAELASIRHGSQMHTHLIRSKPNLDIGVCNALINMYAKCGAIELALRLFHSIPNRNLVSYNTMIMGLGNHGCGQTALEIFKQMKTWGLSPDSITFIGVLTACSHAGLVDAGLIYFDSMKETYGISPQLEHLSCLVDMLGRAGRLEVAERYIEMFCFGNDLIIWGSLLSSCRLHKNVVVGERVAKRLLELQPTVSSPYVLLSNLYALNGRWNDVAEARKMLKGSGVKKEPGHSMIEIEGGTVKFTVGDFSHKRIEEVREILGTLNFQAEEFNLQVSSSS >DRNTG_02428.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000115.1:10018:12160:-1 gene:DRNTG_02428 transcript:DRNTG_02428.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLREHVSTTTGCSSISMLQKQGFQTWPSSSCSCYQDRFRVRPLPWQPSHKHADKPLKAMEIFSQMPLQPNEYIYASVITACASLSALVQGKQVHAHSLKSGYKYISFVSNSLLSMYMKCGLFDDAFSIFSNISAPNAVAYNAMITGFAENLQPEKGLELFKLMNQQGLQRDRFSFVAVLGICCDKEDLETGRSLHCQTIKLGLDTSAFVGNVLMTIYSKCGSIGSVESVFWSIKEKDVISWNTFIVACSHFGDHAKALMVYREMENACVIHPDDFTLSGVLTACAELASIRHGSQMHTHLIRSKPNLDIGVCNALINMYAKCGAIELALRLFHSIPNRNLVSYNTMIMGLGNHGCGQTALEIFKQMKTWGLSPDSITFIGVLTACSHAGLVDAGLIYFDSMKETYGISPQLEHLSCLVDMLGRAGRLEVAERYIEMFCFGNDLIIWGSLLSSCRLHKNVVVGERVAKRLLELQPTVSSPYVLLSNLYALNGRWNDVAEARKMLKGSGVKKEPGHSMIEIEGGTVKFTVGDFSHKRIEEVREILGTLNFQAEEFNLQVSSSS >DRNTG_02428.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000115.1:10018:13737:-1 gene:DRNTG_02428 transcript:DRNTG_02428.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQQLGAVLYRCCKNKDFKHGLPLHAAVIKIGFESDLYLGNHLINMYAKCGVLSDSQHLFDSMPNRNLVSWSAIISGYVQADKPLKAMEIFSQMPLQPNEYIYASVITACASLSALVQGKQVHAHSLKSGYKYISFVSNSLLSMYMKCGLFDDAFSIFSNISAPNAVAYNAMITGFAENLQPEKGLELFKLMNQQGLQRDRFSFVAVLGICCDKEDLETGRSLHCQTIKLGLDTSAFVGNVLMTIYSKCGSIGSVESVFWSIKEKDVISWNTFIVACSHFGDHAKALMVYREMENACVIHPDDFTLSGVLTACAELASIRHGSQMHTHLIRSKPNLDIGVCNALINMYAKCGAIELALRLFHSIPNRNLVSYNTMIMGLGNHGCGQTALEIFKQMKTWGLSPDSITFIGVLTACSHAGLVDAGLIYFDSMKETYGISPQLEHLSCLVDMLGRAGRLEVAERYIEMFCFGNDLIIWGSLLSSCRLHKNVVVGERVAKRLLELQPTVSSPYVLLSNLYALNGRWNDVAEARKMLKGSGVKKEPGHSMIEIEGGTVKFTVGDFSHKRIEEVREILGTLNFQAEEFNLQVSSSS >DRNTG_02428.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000115.1:10018:12160:-1 gene:DRNTG_02428 transcript:DRNTG_02428.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCKSFSIGSRKTGFAENLQPEKGLELFKLMNQQGLQRDRFSFVAVLGICCDKEDLETGRSLHCQTIKLGLDTSAFVGNVLMTIYSKCGSIGSVESVFWSIKEKDVISWNTFIVACSHFGDHAKALMVYREMENACVIHPDDFTLSGVLTACAELASIRHGSQMHTHLIRSKPNLDIGVCNALINMYAKCGAIELALRLFHSIPNRNLVSYNTMIMGLGNHGCGQTALEIFKQMKTWGLSPDSITFIGVLTACSHAGLVDAGLIYFDSMKETYGISPQLEHLSCLVDMLGRAGRLEVAERYIEMFCFGNDLIIWGSLLSSCRLHKNVVVGERVAKRLLELQPTVSSPYVLLSNLYALNGRWNDVAEARKMLKGSGVKKEPGHSMIEIEGGTVKFTVGDFSHKRIEEVREILGTLNFQAEEFNLQVSSSS >DRNTG_02428.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000115.1:10018:13737:-1 gene:DRNTG_02428 transcript:DRNTG_02428.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCKSFSIGSRKTGFAENLQPEKGLELFKLMNQQGLQRDRFSFVAVLGICCDKEDLETGRSLHCQTIKLGLDTSAFVGNVLMTIYSKCGSIGSVESVFWSIKEKDVISWNTFIVACSHFGDHAKALMVYREMENACVIHPDDFTLSGVLTACAELASIRHGSQMHTHLIRSKPNLDIGVCNALINMYAKCGAIELALRLFHSIPNRNLVSYNTMIMGLGNHGCGQTALEIFKQMKTWGLSPDSITFIGVLTACSHAGLVDAGLIYFDSMKETYGISPQLEHLSCLVDMLGRAGRLEVAERYIEMFCFGNDLIIWGSLLSSCRLHKNVVVGERVAKRLLELQPTVSSPYVLLSNLYALNGRWNDVAEARKMLKGSGVKKEPGHSMIEIEGGTVKFTVGDFSHKRIEEVREILGTLNFQAEEFNLQVSSSS >DRNTG_08084.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27475885:27476371:1 gene:DRNTG_08084 transcript:DRNTG_08084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACSTAYNAKGLMKAAIRSENPVVLFEHVLLYNLKERIPDEEYICCLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLYTIGKSIKKTHRVLIVEECMRTGGIGASLQAAIIK >DRNTG_29969.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5194161:5196935:1 gene:DRNTG_29969 transcript:DRNTG_29969.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVEQQQRMQLIKGERHLFSSSDDATVMKQILATHAPDGREVEVRPILKLVEDILRRATPTVVMVPQAHELELVEDKAQQYEVTSMLEALAYPIHRISSEITYKCSGGGEVHATALALFQTLSTYTWDAKLVLTLAAFAVTYGGFWLSAQIHSVNPLAKSIAQLKQLPDILEQTDVLKPRFDAINNLIKAMLDVTKCIIEFKELPTEYIPLDSPDINLAMAHIPTAVYWIVRSVLACASQIIALIGLGHEYMSSTTEAWELSSLAHKVANIHGHLRKQLSLCHRIIDDKKDIEIYQTLVRLFETIHLDNMKILKALIYSKDDLPLIEGTTKKRVRVDVLRRKIVLLFITDLDITPEELFVLIQIYNDTHQGKVERHYEIVWLPIINLHVSLLPSKEATFDQLSSVMPWYSLQHPALLDKAVVKYIRDMWHFDKKPILVVLDPQGKVVCPNALHMMWIWVVWHSFHKCKEEALWKEETWRLELLIDEIDPTIVEW >DRNTG_17044.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22167037:22171633:1 gene:DRNTG_17044 transcript:DRNTG_17044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRLAFRPEAFLCFSSCPPKRAAARSPRVSMASTIPSSKVENLKKPFTPPREVHVQVTHSMPPQKIEMFKSLEEWAENNVLVHLKSVEKCWQPQDFLPDPSSDGFYEEVKELRERAKEIPDEYFVCLVGDMITEEALPTYQTMLNTLDGVRDETGASPTSWAVWTRAWTAEENRHGDLLNKYLYLSGRVDMKQIEKTIQYLIGSGMDPRTENSPYLGFIYTSFQERATFISHGNTARHAKEHGDIKLAQICGIIAADEKRHETAYTKIVEKLFEMDPDGTVLAFADMMKKRISMPAHLMYDGIDDNLFEHFSAVAQRLGVYTAKDYADILEFLVDRWKVGDLTGLSGEGNKAQDFVCALAPRIRRLEERAQGRANRAPTKSFSWIHGREVQL >DRNTG_17044.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22167037:22171586:1 gene:DRNTG_17044 transcript:DRNTG_17044.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRLAFRPEAFLCFSSCPPKRAAARSPRVSMASTIPSSKVENLKKPFTPPREVHVQVTHSMPPQKIEMFKSLEEWAENNVLVHLKSVEKCWQPQDFLPDPSSDGFYEEVKELRERAKEIPDEYFVCLVGDMITEEALPTYQTMLNTLDGVRDETGASPTSWAVWTRAWTAEENRHGDLLNKYLYLSGRVDMKQIEKTIQYLIGSGMDPRTENSPYLGFIYTSFQERATFISHGNTARHAKEHGDIKLAQICGIIAADEKRHETAYTKIVEKLFEMDPDGTVLAFADMMKKRISMPAHLMYDGIDDNLFEHFSAVAQRLGVYTAKDYADILEFLVDRWKVGDLTGLSGEGNKAQDFVCALAPRIRRLEERAQGRANRAPTKSFSWIHGREVQL >DRNTG_30052.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18635441:18643843:-1 gene:DRNTG_30052 transcript:DRNTG_30052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAQKGDAEMAEAQQGGGGGGAPPVPAAPVPMQGLESIQATLSHGGRFIQYNIFGNIFEVTSKYKPPIMPIGKGAYGIVCSALNSETGEQVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENVVAIRDIIPPPQREVFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSASVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNSSEYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPNEADLGFVNENARRYIRQLPRYARQSFPEKFPHVHRAAIDLVEKMLTFDPRQRITVEDALAHPYLASLHDISDEPVCMMPFSFDFEQHALSEDQMKELIYREGLAFNPEYQT >DRNTG_02903.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23585946:23591465:1 gene:DRNTG_02903 transcript:DRNTG_02903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTPEFIVSSNTTNVNVNETDASSKPDVASQPEDLIPKKPKYRHDYYNSPTEVVLTIFAKGVPKNNVLIDFGEQILSVTISIPGDEIYHFQPRLFGKIIPDKCRYLVLSSKIEIHLAKAEAVTWPSLEFRKDKTPLQRTHVPSASKSDRPSYPSSKTKVDWDKLEAKVKEEEKAEKLDGDAALNKLFQDIYRNADEDTRRAMSKSFVESNGTVLSTNWKDVGSKKVAGSPPDGMELKKW >DRNTG_06406.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29664536:29665776:1 gene:DRNTG_06406 transcript:DRNTG_06406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPFWRQDLNKGMIRAEDGRWVQADRPVVHDDHPHDVYHHLEQLGLSFDH >DRNTG_06406.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29665045:29665776:1 gene:DRNTG_06406 transcript:DRNTG_06406.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDRYHGRGQRFVLASGRDPALVNKACDFLKDHHMVPPFWRQDLNKGMIRAEDGRWVQADRPVVHDDHPHDVYHHLEQLGLSFDH >DRNTG_08003.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30038103:30039574:-1 gene:DRNTG_08003 transcript:DRNTG_08003.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYGLEVAVDTARVMATLEEMAVDYHLIPIDPEAGETKLPTYLSINPFGQIPALQDAHLTLFGSRAISKYIARKHKRMSKDLIRESNPEEEAMVEVWMEVEAEQYEPVISALINYVIEVGYFGKVEDEQVMAKKVGDLEKVLDVYEERLGKSKYLAGDFFSLADLHHLPQTHRLMASPRCALLINSRPKVRAWWEDLLSRPACKKVTETMDACAIAWKEKKKKNNNNES >DRNTG_08003.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30038103:30039610:-1 gene:DRNTG_08003 transcript:DRNTG_08003.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYGLEVAVDTARVMATLEEMAVDYHLIPIDPEAGETKLPTYLSINPFGQIPALQDAHLTLFGSRAISKYIARKHKRMSKDLIRESNPEEEAMVEVWMEVEAEQYEPVISALINYVIEVGYFGKVEDEQVMAKKVGDLEKVLDVYEERLGKSKYLAGDFFSLADLHHLPQTHRLMASPRCALLINSRPKVRAWWEDLLSRPACKKVTETMDACAIAWKEKKKKNNNNES >DRNTG_08003.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30037973:30039610:-1 gene:DRNTG_08003 transcript:DRNTG_08003.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDLIRESNPEEEAMVEVWMEVEAEQYEPVISALINYVIEVGYFGKVEDEQVMAKKVGDLEKVLDVYEERLGKSKYLAGDFFSLADLHHLPQTHRLMASPRCALLINSRPKVRAWWEDLLSRPACKKVTETMDACAIAWKEKKKKNNNNES >DRNTG_08003.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30038103:30039610:-1 gene:DRNTG_08003 transcript:DRNTG_08003.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDLIRESNPEEEAMVEVWMEVEAEQYEPVISALINYVIEVGYFGKVEDEQVMAKKVGDLEKVLDVYEERLGKSKYLAGDFFSLADLHHLPQTHRLMASPRCALLINSRPKVRAWWEDLLSRPACKKVTETMDACAIAWKEKKKKNNNNES >DRNTG_08003.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30038103:30039610:-1 gene:DRNTG_08003 transcript:DRNTG_08003.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDLIRESNPEEEAMVEVWMEVEAEQYEPVISALINYVIEVGYFGKVEDEQVMAKKVGDLEKVLDVYEERLGKSKYLAGDFFSLADLHHLPQTHRLMASPRCALLINSRPKVRAWWEDLLSRPACKKVTETMDACAIAWKEKKKKNNNNES >DRNTG_08003.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30037973:30039574:-1 gene:DRNTG_08003 transcript:DRNTG_08003.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYGLEVAVDTARVMATLEEMAVDYHLIPIDPEAGETKLPTYLSINPFGQIPALQDAHLTLFGSRAISKYIARKHKRMSKDLIRESNPEEEAMVEVWMEVEAEQYEPVISALINYVIEVGYFGKVEDEQVMAKKVGDLEKVLDVYEERLGKSKYLAGDFFSLADLHHLPQTHRLMASPRCALLINSRPKVRAWWEDLLSRPACKKVTETMDACAIAWKEKKKKNNNNES >DRNTG_08003.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30037973:30039610:-1 gene:DRNTG_08003 transcript:DRNTG_08003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYGLEVAVDTARVMATLEEMAVDYHLIPIDPEAGETKLPTYLSINPFGQIPALQDAHLTLFGSRAISKYIARKHKRMSKDLIRESNPEEEAMVEVWMEVEAEQYEPVISALINYVIEVGYFGKVEDEQVMAKKVGDLEKVLDVYEERLGKSKYLAGDFFSLADLHHLPQTHRLMASPRCALLINSRPKVRAWWEDLLSRPACKKVTETMDACAIAWKEKKKKNNNNES >DRNTG_08003.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30038103:30039574:-1 gene:DRNTG_08003 transcript:DRNTG_08003.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDLIRESNPEEEAMVEVWMEVEAEQYEPVISALINYVIEVGYFGKVEDEQVMAKKVGDLEKVLDVYEERLGKSKYLAGDFFSLADLHHLPQTHRLMASPRCALLINSRPKVRAWWEDLLSRPACKKVTETMDACAIAWKEKKKKNNNNES >DRNTG_08003.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30038103:30039709:-1 gene:DRNTG_08003 transcript:DRNTG_08003.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYIYIHIHLIKKAFKAFKYNTHSSAQTERNKEKTEMKLYGLEVAVDTARVMATLEEMAVDYHLIPIDPEAGETKLPTYLSINPFGQIPALQDAHLTLFGSRAISKYIARKHKRMSKDLIRESNPEEEAMVEVWMEVEAEQYEPVISALINYVIEVGYFGKVEDEQVMAKKVGDLEKVLDVYEERLGKSKYLAGDFFSLADLHHLPQTHRLMASPRCALLINSRPKVRAWWEDLLSRPACKKVTETMDACAIAWKEKKKKNNNNES >DRNTG_08003.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30038103:30039574:-1 gene:DRNTG_08003 transcript:DRNTG_08003.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDLIRESNPEEEAMVEVWMEVEAEQYEPVISALINYVIEVGYFGKVEDEQVMAKKVGDLEKVLDVYEERLGKSKYLAGDFFSLADLHHLPQTHRLMASPRCALLINSRPKVRAWWEDLLSRPACKKVTETMDACAIAWKEKKKKNNNNES >DRNTG_00571.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30069112:30069868:-1 gene:DRNTG_00571 transcript:DRNTG_00571.1 gene_biotype:protein_coding transcript_biotype:protein_coding FHLSPSGNPFPSPDCNHPMRPPLNSMKLRALDPKKWRKFQQNKTFRCV >DRNTG_22337.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:578886:580124:1 gene:DRNTG_22337 transcript:DRNTG_22337.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLETLPGAEPPKNAELRRSSSESRVPRDLPHWGVVHPDPARAVEELYCSRVADPVEYRKPEPNPRNPVPSLQRKSFFEARDYFPEPKNGGAGFLYGEIEKRLRMRGIDEPAKDLETLKQILEALQLKGLLHSRPSAAQPINGRYNVIYDPPASRSAGESLIIAGKATSRSSPGRRPGSSSPPVAPRSGSTRRNVIPEPLPPSRTRRERSPRSPDLRTPTPPARRRPMNTDTDKKIPQQRRISAAHSPRPSPKRVGHDPLAVPSPRNRRLSPELFHKERVYLPAEDDTSTESSSLSSSSHYDFE >DRNTG_23744.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21035175:21038133:-1 gene:DRNTG_23744 transcript:DRNTG_23744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYKRFELMDPFSRLFFYRETSIFKHSHHPSIDDLEDELGLALDLLNPISIPTPSPFHFPLGFFECAADLVLSDRMEAQLRQEETKAHLQSLSDRVAQLELDFFREMRVKPVDYGRRCRWMTEFKGGERDGMDQKYKWIEAKREGDKNVKWMAGISSKGEPSSRAYTFPSSMAPRHSDAKEKMGKKQTGTSITKRVLEIEEPVNPGCIMLKKAFAKRPFGHYKGKRKEHSPQDAALLIQMSFRSHLVRRAQMLHGLREVAIAKAKLKEIRALFSNFSYRRRIENNAEERQRFSEKIIVLLLTIDVLEGVDYIIRAAKRSMTMELEAMLEVVDPQPPGRLGSMKRRQFDLPAGQSISKEMALGVEEVVQMLDEEGIHQR >DRNTG_16379.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:909432:912333:-1 gene:DRNTG_16379 transcript:DRNTG_16379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAEERLLDLVGAMEDLKAMRNRVLREIELQEEKGKQRTQKVQAWLDKVVDKDQCTNQLVIDFSRCCVTGCCSINIYSRLNASRKATKLKKEIDELMKEKNQVSVLAKRGPSNPIIDMPTSTTNVGIMIGSNLGIVRDCLADKTFVIIGIYGMGGVGKTTLLKEIKRSIGEWNMGFDYVIYVRASHEHHVEDFRDCIAEQLSLCEPSIETIFKFLKYKNLLLLLDDLWEELDITILGIPDPHDNSVITNLYKHKVVFTTRSKQVCDSMRADKKIKLKCLDQDEGWQLFKENIVMNLEDAPSIEEVAREVANLCGGLPLALIMVGRAMSNQTWLAKWNLMLDQLQGRLFSSLCESMLLYLRSSYDSLAHETLQQCFLCFCLWPKRKLILIEDLIKCWLGFGLIYYFDSISEAYMHGCYIIKVLEEASLVITHDNRTVVEVHEVIHDMAQWIASRAEGDHKAWFVKQNIIFEQLSSEEMETWIQLERVSIINCDMNSLPELQCQCPSLLSLSIQHNQRLEKSTEDLS >DRNTG_30686.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1841320:1844497:1 gene:DRNTG_30686 transcript:DRNTG_30686.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLSVNCGLGSRTGRWKSPEAAVIQNIHLPMQSHEVKNRTLVEDIKSLRLITAIKTPYLPDGRFDLEAYDSLLHMQIASGVEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGEAVKVIGNAGSNSTREAIHATEQGFAVGMHAALHVNPYYGKTSMKGVVSHFEAILSMGPNYHIQCALQNWTRYSPSFDTYNFAIS >DRNTG_29097.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001469.1:6162:14755:1 gene:DRNTG_29097 transcript:DRNTG_29097.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPNQKKEQNKEEGVGGTWKHAIKVSYEATQQAFPGGVILAHLYHKSFKSWQKNAVTSFLAEQNIQVGKPSDFF >DRNTG_29097.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001469.1:6162:14755:1 gene:DRNTG_29097 transcript:DRNTG_29097.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPNQKKEQNKEEGVGGTWKHAIKVSYEATQQAFPGGVILAHLYHKSFKSWQKNAVTSFLAEQNIQVGKPSDFF >DRNTG_29097.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001469.1:42:1162:1 gene:DRNTG_29097 transcript:DRNTG_29097.5 gene_biotype:protein_coding transcript_biotype:protein_coding KGSSWTWRWSLWILIILSFKSWQKNAITSFLAEQNIQVGGKPSDFF >DRNTG_29097.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001469.1:6162:14755:1 gene:DRNTG_29097 transcript:DRNTG_29097.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPNQKKEQNKEEGVGGTWKHAIKVSYEATQQAFPGGVILAHLYHKSFKSWQKNAVTSFLAEQNIQVGKPSDFF >DRNTG_29097.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001469.1:42:14755:1 gene:DRNTG_29097 transcript:DRNTG_29097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPNQKKEQNKEEGVGGTWKHAIKVSYEATQQAFPGGVILAHLYHKSFKSWQKNAVTSFLAEQNIQVGKPSDFF >DRNTG_26019.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23179592:23182028:-1 gene:DRNTG_26019 transcript:DRNTG_26019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNHIFGSIPKSVGQLTSLTSLNINHNLISSEIPAEFSRLSKLQILLLAGNQIQGPIPAVLGNLSEITVLDLSGNILGRSIPATFANYQRLQSLDLSNNKFNGSIPKEIFSISSLSSLLNLSRNQLSGRLPEEIERMENVVIVDLSDNLLSGDIPESIGKCHSLQVFSMSNNSFTGFIPEQISNLKGLQSLDLSSNQLSGIIPSDLGKLGGLQFLNLSFNDLQGVIPNEGIFKNASSVHLQGNSKLCNLSSPNWCQQSSKGGGKKNTSRLIVLFVVIFFVTCSMLVLVVWLVFFRKGRRRNHGQVISSHTNSFKGQHPLISYEELLRATENFSSSNLIGTGSSASVFKGVLTNGVAVAIKVLNLGIHGAAKSFLAECEALKNAKHRNLVKLVTSCTSIDFENRDFIALVYEFMSGGSLEDLIHGSGDLTILV >DRNTG_26630.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:385679:392825:-1 gene:DRNTG_26630 transcript:DRNTG_26630.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSLYDLLHKHHNILELSMLLEFALDICKGMNYLHQNNVIHRDLKTANLLIDEHRVVKVADFGVARLQNQGGDMTAETGTYRWMAPEVINHQRYDQKADVFSFAVVLWELLTSKIPYDTLTPLQAALGVRQGLRPELPENTHPILSDLIQRCWEADPAKRPSFAEIIVELEELLKQVKSPEETSDERRENANDD >DRNTG_26630.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:385679:392825:-1 gene:DRNTG_26630 transcript:DRNTG_26630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALEDMGESSSPPKGFGPPGVFDIKYDIYARLLESRNEEELLNSDFREQFMAHFNRLPPSYLLDLNVDRAEDVLLHQKILTEAKDPEKRPVFHVRFIKLDDLNVDVRKNLEDIEDGSYLTEALSVRACRHDTFVPIHEIIFSTVDKPKLLSQLSALLSNIGLNIREAHVFSTTDGYSLDVFVVDGWPAQDANGLSKELEAAVARIEGSWSGSTHSSGADKILAMQPKIGDWEIDKRLLKMGEKIACGSCGDLYRGSYLGWDVAIKVLRSEHLDEASGVEFAQEVMILREVQHRNVVRFIGASTKPPQFCIVTGIVM >DRNTG_26630.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:385679:392825:-1 gene:DRNTG_26630 transcript:DRNTG_26630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALEDMGESSSPPKGFGPPGVFDIKYDIYARLLESRNEEELLNSDFREQFMAHFNRLPPSYLLDLNVDRAEDVLLHQKILTEAKDPEKRPVFHVRFIKLDDLNVDVRKNLEDIEDGSYLTEALSVRHDTFVPIHEIIFSTVDKPKLLSQLSALLSNIGLNIREAHVFSTTDGYSLDVFVVDGWPAQDANGLSKELEAAVARIEGSWSGSTHSSGADKILAMQPKIGDWEIDKRLLKMGEKIACGSCGDLYRGSYLGWDVAIKVLRSEHLDEASGVEFAQEVMILREVQHRNVVRFIGASTKPPQFCIVTGIVM >DRNTG_26630.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:385679:392825:-1 gene:DRNTG_26630 transcript:DRNTG_26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALEDMGESSSPPKGFGPPGVFDIKYDIYARLLESRNEEELLNSDFREQFMAHFNRLPPSYLLDLNVDRAEDVLLHQKILTEAKDPEKRPVFHVRFIKLDDLNVDVRKNLEDIEDGSYLTEALSVRHDTFVPIHEIIFSTVDKPKLLSQLSALLSNIGLNIREAHVFSTTDGYSLDVFVVDGWPAQDANGLSKELEAAVARIEGSWSGSTHSSGADKILAMQPKIGDWEIDKRLLKMGEKIACGSCGDLYRGSYLGWDVAIKVLRSEHLDEASGVEFAQEVMILREVQHRNVVRFIGASTKPPQFCIVTEYMRGGSLYDLLHKHHNILELSMLLEFALDICKGMNYLHQNNVIHRDLKTANLLIDEHRVVKVADFGVARLQNQGGDMTAETGTYRWMAPEVINHQRYDQKADVFSFAVVLWELLTSKIPYDTLTPLQAALGVRQGLRPELPENTHPILSDLIQRCWEADPAKRPSFAEIIVELEELLKQVKSPEETSDERRENANDD >DRNTG_26630.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:385679:392825:-1 gene:DRNTG_26630 transcript:DRNTG_26630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALEDMGESSSPPKGFGPPGVFDIKYDIYARLLESRNEEELLNSDFREQFMAHFNRLPPSYLLDLNVDRAEDVLLHQKILTEAKDPEKRPVFHVRFIKLDDLNVDVRKNLEDIEDGSYLTEALSVRACRHDTFVPIHEIIFSTVDKPKLLSQLSALLSNIGLNIREAHVFSTTDGYSLDVFVVDGWPAQDANGLSKELEAAVARIEGSWSGSTHSSGADKILAMQPKIGDWEIDKRLLKMGEKIACGSCGDLYRGSYLGWDVAIKVLRSEHLDEASGVEFAQEVMILREVQHRNVVRFIGASTKPPQFCIVTEYMRGGSLYDLLHKHHNILELSMLLEFALDICKGMNYLHQNNVIHRDLKTANLLIDEHRVVKVADFGVARLQNQGGDMTAETGTYRWMAPEVINHQRYDQKADVFSFAVVLWELLTSKIPYDTLTPLQAALGVRQGLRPELPENTHPILSDLIQRCWEADPAKRPSFAEIIVELEELLKQVKSPEETSDERRENANDD >DRNTG_22435.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23404355:23409184:-1 gene:DRNTG_22435 transcript:DRNTG_22435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSVAAAGPTRGGLPSDSGDGVVTLDQVPRWIDADQRSATYGSGDPSSPFSYFSDPLTASAEGDAGNDGVVSRFPVDQEINSKIYLWRGHPWNLEVDAVVNSTNESLDEAHSSPGLHAAAGPGLAEECATLGGCRTGMAKMTNAYDLPARRIIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYIESKNYPREPAAHVAIRTVRRFLEKQKDKITAIVFCTSTSSDTEIYKRLLPLYFPRDKHEEEVAISKLPADVGDENGETTIDERKIRIKPLPAVSVNAPKPSIATIDPPLSDVGLTIQRKKSFQLDAYLDPAFMSLIKDPDQQRKEQWEKAAQAKGGFNCAKLLGFGDLGGPPLSAAEEYSLHSRYLAKANSLNLSEIAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCMDLERFILYVVKEFEPLIQKPYTIVYLHSAASLQVQPDLGWMKRLEQILGRKHQRNLHAIYVLHPTLGLKAAVFALQLLVDGEVWRKVVYVDRLLQLFRYVPREQLTIPDFVFQHDLEVNGGKGLIVDPRTKYIYHRPSA >DRNTG_05120.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30545494:30547675:1 gene:DRNTG_05120 transcript:DRNTG_05120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSQPARINLSDLKSQIWRKLGPERSQRYFSFLNRFLFRKLGASEFKKLCFLTFGRENIHLHNKLISGILRNAHYSKCPPPLKPPVSLGKNLRKKDDAFSPSLAPTPPNQVWSNGDILPPSPRKVRSAIRDRRIKDRPSHLLGPNGRTDMGASQSLALADEFGVRANGSLVLSSSKSPVVQHQHDSAAEQQVKRQQKLNLVPDDQAPVHDKDLVETVSQEDGAEMSNGLNSRCDPLKAPLGIPFCPASVGGARRSLPLVTGSGISGYCSGCGSGELCDTEILRRRMEKIAEVHGLEGVSMDCANLLNNGVDVYLKRLIKSCIELVGVRSRHDRLNHSLLKQRSYANAVNGVWRGNHLHVQSDHMPLNAINQLKNHRSISLQDFKVAMELNPKQVGEDYPLLLEEICFHSFEE >DRNTG_05120.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30545494:30547675:1 gene:DRNTG_05120 transcript:DRNTG_05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSQPARINLSDLKSQIWRKLGPERSQRYFSFLNRFLFRKLGASEFKKLCFLTFGRENIHLHNKLISGILRNAHYSKCPPPLKPPVSLGKNLRKKDDAFSPSLAPTPPNQVWSNGDILPPSPRKVRSAIRDRRIKDRPSHLLGPNGRTDMGASQSLALADEFGVRANGSLVLSSSKSPVVQHQHDSAAEQQVKRQQKLNLVPDDQAPVHDKDLVETVSQEDGAEMSNGLNSRCDPLKAPLGIPFCPASVGGARRSLPLVTGSGISGYCSGCGSGELCDTEILRRRMEKIAEVHGLEGVSMDCANLLNNGVDVYLKRLIKSCIELVGVRSRHDRLNHSLLKQRSYANAVNGVWRGNHLHVQSDHMPLNAINQLKNHRSISLQDFKVAMELNPKQVGEDYPLLLEEICFHSFEE >DRNTG_05120.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30545494:30547675:1 gene:DRNTG_05120 transcript:DRNTG_05120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSQPARINLSDLKSQIWRKLGPERSQRYFSFLNRFLFRKLGASEFKKLCFLTFGRENIHLHNKLISGILRNAHYSKCPPPLKPPVSLGKNLRKKDDAFSPSLAPTPPNQVWSNGDILPPSPRKVRSAIRDRRIKDRPSHLLGPNGRTDMGASQSLALADEFGVRANGSLVLSSSKSPVVQHQHDSAAEQQVKRQQKLNLVPDDQAPVHDKDLVETVSQEDGAEMSNGLNSRCDPLKAPLGIPFCPASVGGARRSLPLVTGSGISGYCSGCGSGELCDTEILRRRMEKIAEVHGLEGVSMDCANLLNNGVDVYLKRLIKSCIELVGVRSRHDRLNHSLLKQRSYANAVNGVWRGNHLHVQSDHMPLNAINQLKNHRSISLQDFKVAMELNPKQVGEDYPLLLEEICFHSFEE >DRNTG_23964.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30830615:30833650:-1 gene:DRNTG_23964 transcript:DRNTG_23964.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARSLTPVLLFLSISSLLPSSSQNPNPKNYPRSSRRFAEALIRDLNLIPGIQEIDGQIPENDQRNPRLVEKKLNLDILGDIGSGISIQELGHHAGYYSLNHTHAARMFYFFFESRGSSSDPLVLWLTGGPGCSSELALFYENGPFTIADNLSLVWNDYGWDKASNIIYVDQPTGTGFSYSKDLRDLRFNEKGVSNDLYDFLQAFFEAHPKFLKNEFYITGESYAGHYIPAVAERVHRGNKGKEGLKINLKGFCYW >DRNTG_27219.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:857038:861044:1 gene:DRNTG_27219 transcript:DRNTG_27219.5 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSGEDNSFLFTDEELREMSGLKKGRDFIEVTCGCTSHRYGDAVGRLRVSASGDLEITCECTPGCQEDKLTPAAFEKHSGRETARKWKSNVWVIVNGEKVPLAKTVLLKYYNLASKHMNGSHKGPNGRPCHRDEFVRCTRCGKERRFRLRTKEECRVHHDAVADPNWKCSDLPFDKITCEDDEERASRKVLRGCSRSPSCKGCTSCVCFGCETCRFVDCSCQTCMDFTRNAET >DRNTG_27219.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:857101:860847:1 gene:DRNTG_27219 transcript:DRNTG_27219.10 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSGEDNSFLFTDEELREMSGLKKGRDFIEVTCGCTSHRYGDAVGRLRVSASGDLEITCECTPGCQEDKLTPAAFEKHSGRETARKWKSNVWVIVNGEKVPLAKTVLLKYYNLASKHMNGSHKGPNGRPCHRDEFVRCTRCGKERRFRLRTKEECRVHHDAVADPNWKCSDLPFDKITCEDDEERASRKVLRGCSRSPSCKGCTSCVCFGCETCRFVDCSCQTCMDFTRNAET >DRNTG_27219.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:856946:860847:1 gene:DRNTG_27219 transcript:DRNTG_27219.8 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSGEDNSFLFTDEELREMSGLKKGRDFIEVTCGCTSHRYGDAVGRLRVSASGDLEITCECTPGCQEDKLTPAAFEKHSGRETARKWKSNVWVIVNGEKVPLAKTVLLKYYNLASKHMNGSHKGPNGRPCHRDEFVRCTRCGKERRFRLRTKEECRVHHDAVADPNWKCSDLPFDKITCEDDEERASRKVLRGCSRSPSCKGCTSCVCFGCETCRFVDCSCQTCMDFTRNAET >DRNTG_27219.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:856946:861044:1 gene:DRNTG_27219 transcript:DRNTG_27219.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSGEDNSFLFTDEELREMSGLKKGRDFIEVTCGCTSHRYGDAVGRLRVSASGDLEITCECTPGCQEDKLTPAAFEKHSGRETARKWKSNVWVIVNGEKVPLAKTVLLKYYNLASKHMNGSHKGPNGRPCHRDEFVRCTRCGKERRFRLRTKEECRVHHDAVADPNWKCSDLPFDKITCEDDEERASRKVLRGCSRSPSCKGCTSCVCFGCETCRFVDCSCQTCMDFTRNAET >DRNTG_27219.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:856547:860847:1 gene:DRNTG_27219 transcript:DRNTG_27219.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSGEDNSFLFTDEELREMSGLKKGRDFIEVTCGCTSHRYGDAVGRLRVSASGDLEITCECTPGCQEDKLTPAAFEKHSGRETARKWKSNVWVIVNGEKVPLAKTVLLKYYNLASKHMNGSHKGPNGRPCHRDEFVRCTRCGKERRFRLRTKEECRVHHDAVADPNWKCSDLPFDKITCEDDEERASRKVLRGCSRSPSCKGCTSCVCFGCETCRFVDCSCQTCMDFTRNAET >DRNTG_27219.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:856547:861044:1 gene:DRNTG_27219 transcript:DRNTG_27219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSGEDNSFLFTDEELREMSGLKKGRDFIEVTCGCTSHRYGDAVGRLRVSASGDLEITCECTPGCQEDKLTPAAFEKHSGRETARKWKSNVWVIVNGEKVPLAKTVLLKYYNLASKHMNGSHKGPNGRPCHRDEFVRCTRCGKERRFRLRTKEECRVHHDAVADPNWKCSDLPFDKITCEDDEERASRKVLRGCSRSPSCKGCTSCVCFGCETCRFVDCSCQTCMDFTRNAET >DRNTG_27219.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:857038:860972:1 gene:DRNTG_27219 transcript:DRNTG_27219.7 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSGEDNSFLFTDEELREMSGLKKGRDFIEVTCGCTSHRYGDAVGRLRVSASGDLEITCECTPGCQEDKLTPAAFEKHSGRETARKWKSNVWVIVNGEKVPLAKTVLLKYYNLASKHMNGSHKGPNGRPCHRDEFVRCTRCGKERRFRLRTKEECRVHHDAVADPNWKCSDLPFDKITCEDDEERASRKVLRGCSRSPSCKGCTSCVCFGCETCRFVDCSCQTCMDFTRNAET >DRNTG_27219.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:857038:860847:1 gene:DRNTG_27219 transcript:DRNTG_27219.9 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSGEDNSFLFTDEELREMSGLKKGRDFIEVTCGCTSHRYGDAVGRLRVSASGDLEITCECTPGCQEDKLTPAAFEKHSGRETARKWKSNVWVIVNGEKVPLAKTVLLKYYNLASKHMNGSHKGPNGRPCHRDEFVRCTRCGKERRFRLRTKEECRVHHDAVADPNWKCSDLPFDKITCEDDEERASRKVLRGCSRSPSCKGCTSCVCFGCETCRFVDCSCQTCMDFTRNAET >DRNTG_27219.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:856547:860972:1 gene:DRNTG_27219 transcript:DRNTG_27219.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSGEDNSFLFTDEELREMSGLKKGRDFIEVTCGCTSHRYGDAVGRLRVSASGDLEITCECTPGCQEDKLTPAAFEKHSGRETARKWKSNVWVIVNGEKVPLAKTVLLKYYNLASKHMNGSHKGPNGRPCHRDEFVRCTRCGKERRFRLRTKEECRVHHDAVADPNWKCSDLPFDKITCEDDEERASRKVLRGCSRSPSCKGCTSCVCFGCETCRFVDCSCQTCMDFTRNAET >DRNTG_27219.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:857101:861044:1 gene:DRNTG_27219 transcript:DRNTG_27219.6 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSGEDNSFLFTDEELREMSGLKKGRDFIEVTCGCTSHRYGDAVGRLRVSASGDLEITCECTPGCQEDKLTPAAFEKHSGRETARKWKSNVWVIVNGEKVPLAKTVLLKYYNLASKHMNGSHKGPNGRPCHRDEFVRCTRCGKERRFRLRTKEECRVHHDAVADPNWKCSDLPFDKITCEDDEERASRKVLRGCSRSPSCKGCTSCVCFGCETCRFVDCSCQTCMDFTRNAET >DRNTG_19162.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6208555:6208989:1 gene:DRNTG_19162 transcript:DRNTG_19162.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFRRCFQGCGCRVFYFGFDRRTKLYLFCCFKEEFASEVGRKTEINSQSFDFVDSCFCLICFFFIRLL >DRNTG_34834.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29009212:29014352:1 gene:DRNTG_34834 transcript:DRNTG_34834.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWDWAISFPWIPAIYTGIFSTGLCLWAEMAAMCDVSATETAIIYGLEPVWGGAFAWFLLGERWGTIEWIGAALVLCGNLTVQILGSAQEKSKKDDERSDYNHLLSATDKRNDLALSTVVINTSKNTSN >DRNTG_34834.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29009212:29014352:1 gene:DRNTG_34834 transcript:DRNTG_34834.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSWGRSPIVAGTGAAAAVQASLTLPTTSSPLSVLQTKKIKRLRIRSSYGCPPEKNPPNSEPLVWLEKGSKTLPLSIPRSGDFVSVIRKLSSDLGIKYFRKRPLWKRIFFASKKVRSLILLNVLTVIYASDIPVLKEVEDVMDPAVFTAVRFLLTAIPFLPFIFQTHGDWKTRSCGLELGIWVSLGFLCQSLGLLTSDAGHASFISAITVIVVPMIDGLAGAKVPAITWYGALLSLMGIAMLERCGSSVCVGDALNLMSAIFFLESIHFVLSIFHEVQIRISSWLYWAIRYLLWHCHL >DRNTG_03572.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:7270551:7271072:1 gene:DRNTG_03572 transcript:DRNTG_03572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQTHHRDGSFGRHSWGREDDRIGTPQLRD >DRNTG_12818.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000575.1:6355:8726:-1 gene:DRNTG_12818 transcript:DRNTG_12818.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARREENTHVRVTDPQGQRHVPVASRRACRNHKVAHRATSTPMCLL >DRNTG_11304.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1573029:1574272:1 gene:DRNTG_11304 transcript:DRNTG_11304.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQKNGVTVTDKKRIEADMVFLGLISFFDPPKDSAKQALWGLAEKGVIAKVLTGDSLTLAMKVCEEVGIKTTHVITGPELELLDNYELHETIQRATVLARLSPLQKQQVVQSLQTQGNHVVGYLGDGINDSLALDAADVGISVDSGASAAKDLADIILLKKDLNVLVSGVELGRLTYGNIMKYIKMSLVANVGSIISLLTATIILKFEPLSPSQLLIQNFLYNLGQIAIPWDKMEERYMKAPQHWSSKKLPVFMVWNGVVCSIFDMITLFFLWFYYGAYTISASKFFHSAWFVEGLIMQSLIIHMIRTEKIPFVQDMASSRIILSTCLVSALAIIIPFTAVGTAMGLTTLPLSYFGFLVVLFLGYLFFGQIAKRAYIMIFDQ >DRNTG_00026.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21599376:21600625:1 gene:DRNTG_00026 transcript:DRNTG_00026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEADPLDCDLLMDGCEDGDGRRVLEWEIGLPSADDLTPLSQTLITPELASAFSITPEPCRTILDVIRASQNTVSNLKRNSASSSSSTSALKSFPPFPSPASDDPMVLARDDDPVNPKVDDGESEPSRKARRQDSAVMEDGDSSALRQENSTDDHSARTLKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSNEGPSSSDHLFASTPVPQSLREQQPMPVPMPYTMPSMFPMPVYGMSHHQGHSPVAMVPVNNHHGAGAGAGAGAGATMDLRLSIIIPTELLSLRGPGIAPEVTS >DRNTG_04771.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3718263:3720951:1 gene:DRNTG_04771 transcript:DRNTG_04771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVCESFFCGGSSRRRNMEGYFAGVAADGHVVKTQRLNIIYFLSRDSHIEHPHLIRVHHFYRNAIHLFDVKKWLAELRGREMPGSYSWSYKRRYKSGYVWQDLLKDEDIITPVSNNEYVLKGSLIQKDVQKTPLQLISTTTTITSQEMMQMEQEEMVSPEVLPEVEESPECNISPKNELIRTRNETVKELASKKERKEKEESFLRKNNSGRKILEKLLSCREVKTDVAAQKPTYQHARQSWTSYNGRGEEKVAPSSYKPMTEPNCSQCGKTFKPEKLHSHMKSCKAFKAHRKSTGNIDKLIFKTTTATTTTTDTHSSQHTHETQEPPPHAMLSHSHLISQSTLV >DRNTG_04771.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3718263:3720951:1 gene:DRNTG_04771 transcript:DRNTG_04771.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREVCESFFCGGSSRRRNMEGYFAGVAADGHVVKTQRLNIIYFLSRDSHIEHPHLIRVHHFYRNAIHLFDVKKWLAELRGREMPGSYSWSYKSGYVWQDLLKDEDIITPVSNNEYVLKGSLIQKDVQKTPLQLISTTTTITSQEMMQMEQEEMVSPEVLPEVEESPECNISPKNELIRTRNETVKELASKKERKEKEESFLRKNNSGRKILEKLLSCREVKTDVAAQKPTYQHARQSWTSYNGRGEEKVAPSSYKPMTEPNCSCRQCGKTFKPEKLHSHMKSCKAFKAHRKSTGNIDKLIFKTTTATTTTTDTHSSQHTHETQEPPPHAMLSHSHLISQSTLV >DRNTG_27832.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19655214:19658222:1 gene:DRNTG_27832 transcript:DRNTG_27832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHISIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLDALDMIQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGILKPGMVVTFGPTGLTTEVKSVEMHHESLPEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTAQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGFVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDPSGAKVTKSAAKKK >DRNTG_17941.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17350403:17476079:-1 gene:DRNTG_17941 transcript:DRNTG_17941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERALLQKSTWACGKYPRLCGCHRFANKGESTPRGVSTPLCLLWMSSEQKRQRPCGNSTQACVFSGCLRKFCRLCRKFFLTMIHPICSVHARLQQSWSVSGGVS >DRNTG_12501.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29926645:29930923:1 gene:DRNTG_12501 transcript:DRNTG_12501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGDGGQKKHLLPLLCIGALVLVFLFMYYGSFFDSQELSTNTALEHGSKLSKSLSWSSDESGEDGKLEEFIFGQEHRDDNVIPKSYPVCDDRHSELIPCLDRNLIYQTRLKLDLSLMEHYERHCPHADRRYNCLIPPPPGYKVPIKWPKSRDEVWQANIPHTHLAQEKSDQNWMVVKGDKIVFPGGGTHFHYGADKYIKSIANMLNFNNNNLNNEGRLRTVLDVGCGVASFGGYLLSSDIIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRNGILLLELDRLLRPGGYFAYSSPEAYAQDEEDRRIWKEMSALVERMCWKIAAKKNQTVIWVKPLTNDCYHNRRPGTKPPLCKTDNDPDSVWGVPMEACITPYSDQNHKAKGSGLAPWPARLTTPPPRLVDFDISPDVFMKDMEIWLQRVEGYWKLLSPKIRPNTIRNVMDMRASLGSFAAALKDKPVWVMNVVPEDGPNTLKIIYDRGLIGSVHDWCEAYSTYPRTYDLLHAWTVFSGIIERKGCSAEDLLLEMDRILRPTGFIIVRDKPVVIDFIKKYMPVLHWVSVAVVEADPGSDPENSESVLVIRKQMWNTNDNLKYPA >DRNTG_08878.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27671392:27675278:-1 gene:DRNTG_08878 transcript:DRNTG_08878.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGRRIAPLLALVLIACLAFQLHASDPLFYESFDESFEGRWIVSNKDDYQGIWKHSKSEGHEDYGLLVSDKARKYAIVKELDEPVKLKDGTIVLQFETRLQNGLECGGAYIKYLRPQDAGWVPKEFDNESPYSIMFGPDKCGSTNKVHFILNHKNPKTGKFVEHHLKYPPSVPSDKLTHVYTAILKPNNELQILIDGEEKKKANFLSADDFEPALIPPETIPDPDDKKPEDWDERAKIPDPDAVKPEDWDEDAPMEIEDEDAVKPEGWLDDEPEDIDDPEATKPEDWDDDEDGEWEAPKIDNPKCEEAPGCGEWKRPTKRNPAYKGKWYAPMIDNPSYKGIWKPQQIPNPDYFELDKPDFEPIAAIGIEIWTMQDGILFDNILIASDEKKAESYRDEKWKPKYTSEKEKQKADDAASGSDGLSSFQKKVFDVLYKVANIPFLEAYKIKIIDVLEKGEKQPNLTIGILVSIVVVFLTIIFKILFGGKRAVAPANPPSETKTVDAPESSEGKEETEKEEKEDGAAARPRRPRRET >DRNTG_18598.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22163355:22167684:1 gene:DRNTG_18598 transcript:DRNTG_18598.3 gene_biotype:protein_coding transcript_biotype:protein_coding METKIVQRGKRWVLPLLASLLLSCLLITVSLLFSSSPLRHTLVFLSFSQISSSAADSDDSPLFVESKLQLPPLPPANNVPRLAYLISGSTGDGRSIKRTLQALYHPGNRYVLHLDLESPPAERLELAADIRGDPVYQRYKNVWVITRANLVTYRGPTMVANTLHAAAILLKEGGDWDWFINLSASDYPLVTQDDLLYTLSTIPRQWNFIEHTSDIGWKEYQRAKPVIIDPGLYSLHKSDVFWATEKRSVPTAFKLFTGSAWMMLTHQFIEFCLWGWDNLPRTVLMYYANFLSSPEGYFHTVICNADEFRNTTVNHDLHFISWDNPPKQHPHFLTVNDFQRMVDSNAPFARKFGRDDPVLDRIDEEILGRDHSGFVPGGWYDALKKNTSDVNYTVRSISDLRPGAGTKRIEKLILGLLNQDDFDKKHCI >DRNTG_18598.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22163842:22167684:1 gene:DRNTG_18598 transcript:DRNTG_18598.4 gene_biotype:protein_coding transcript_biotype:protein_coding METKIVQRGKRWVLPLLASLLLSCLLITVSLLFSSSPLRHTLVFLSFSQISSSAADSDDSPLFVESKLQLPPLPPANNVPRLAYLISGSTGDGRSIKRTLQALYHPGNRYVLHLDLESPPAERLELAADIRGDPVYQRYKNVWVITRANLVTYRGPTMVANTLHAAAILLKEGGDWDWFINLSASDYPLVTQDDLLYTLSTIPRQWNFIEHTSDIGWKEYQRAKPVIIDPGLYSLHKSDVFWATEKRSVPTAFKLFTGSAWMMLTHQFIEFCLWGWDNLPRTVLMYYANFLSSPEGYFHTVICNADEFRNTTVNHDLHFISWDNPPKQHPHFLTVNDFQRMVDSNAPFARKFGRDDPVLDRIDEEILGRDHSGFVPGGWYDALKKNTSDVNYTVRSISDLRPGAGTKRIEKLILGLLNQDDFDKKHCI >DRNTG_18598.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22167773:22189307:1 gene:DRNTG_18598 transcript:DRNTG_18598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPQQFLAIIEAALLGPSPPSPAQRIELLHAVRSSLPMLQNLLVYPGPKASDRAQVQSMEVRLPDSPPISLDNMDVQIALKLSDELNLNEVECVQLLVSANKEWVLLGREPLEIFRLAAGLWYAERRDLITSLYTLLRAVVLDQGLETDLLSDVQKYLEELFNSGLRQRLLLLIKELNREEPSGWGGPNCERYMLDFRGAIVHRQDVVSRERLTLSHCLVLSVLITRIGSKDVKDVFAILKDCAAELNNGGDTVMQFQIIFSLVFTLVIAFTSDALSVVTDKASVLSNDASFRHEFHEIVMATGTNPNVEGFVDVIRLAWTVHLILTQDCGFVKESTSSSGELANIYSCLELACSNNVFQFLLTRILWTAAYQNEDEDMSYMYNGYLHKLMMCFLSHPITKDKVKEMKEKAMNVLSPYILVGPDDFRDDNGFGSQQHAQMNNQPFVSLLELVSEIYQKEPELLSGNEDLWAFIKFAGEDHTSIQTLVAFLRMLGTLASNEEGASKVFELLQSKMFRTVGWSTLFECLSIYEERFKLSLQASGSLLPDFQEGDAQALVAYLNVLQKVVENGNPIERKKWFPDIEPLFKLLGYENVPPYLKGALRNAIATFIRVSPVLKDAIWSYLEQYDLPVVIGPSLGNGAQLISSQVYDMRFELNEVEARKERYPSTISFLNLLNALIAEERDVSDRGRRFLGIFRFVYDHVFGPFPQRAYADPCEKWQLVISCLQHFKMVLSIYDINEEDIGNSVGLSQLGSAVHASSDIQLPVVELMKDFMSGKIVFMNIMSILQLGVNAIINDRTSQTYGQHLEKAVLLSLEIILLVLERDLFLADSWRPLYQPLDVILSQDPNQIVALLEYVRYSFLPQIQLCSIRITNILSSRIAGLVQLLLKSNAANVLIADFASCLELRFEECQVIENTKEDPGVLILQLLIDNISRPAPNITHLLLKFEVNGPVERTVLQPKVHYSCLKVILDNLERLSKPDINALLYEFSFQLLYELCLEPLTSVPIMDLLSTKKYQFFSEHLETIGVAPLPKRTNNQALRISTLHQRAWLLKLIALELHLGDVATTTHQGTCIAILSHLFAQCNIGISGDSNVSQFFESDAGYVGARTMNKCKVLELLEVVQFKSPDVTLKFPQPMLKFKYEPQVEDLLKNPATSDIGGIYYYSERGDRLIDLDAFHEKLWQICNVLNPQLVSFNEVEKGELRESIQQLLRWGWRYNKNLEEQAAQLHMLTGWTQIVEISISRRMSLLENRSQILFELLDASLSAAASPDCSLKMALIFSHAGLTCMAKLQDERFLCPGGLDSDNVTCLDILLAKQLSNGACHSILFKLIMCVLRNESSEVLRRRQYALLLNYFQYCRSILDPDVPASILHFMIHEEQDGDDDLSLRKIDREHAELSRANFSLLRKEAHMLFDVVTKDALQGCEVGRAISFYVLDAFVSIDQDKFFLSQLQSRGILRSCLIDICNFSRKDGWCSVQSFQRLSTLEAELGLMLRISHKYGKVGAQILLSMGVLEHLASCRAVDMQIKRGGNQVGRDPIGIDKQQLLVAPILRVVSSLTSLVDSSDLLEVKNKIVRDIIDFVKRHQSLFDQIIREDLSRSDTKHLECVSLVVSILCKVWPYEENDEYGFVQELFGMMKFLFCLDFEASWLVQPSESRENRKSSELIIFQLCFSLSSYLYFLITKKGLRLQIMESSGELGVSGSQQQPTLFLLTSLLNSVNVALEKAGEERFMLLNKIQNINELSRQEVDEIISICMRQDCITPSDNIRKRRYIAMVEICQIAGNSNQLIMLLLQLAELVLNILLIHLQDNKTGANEDLSLLCGRLLPILERLEQLREDKMGHGLNLLHRAVAVLKEVSIRNMAP >DRNTG_18598.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22163842:22168834:1 gene:DRNTG_18598 transcript:DRNTG_18598.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKIVQRGKRWVLPLLASLLLSCLLITVSLLFSSSPLRHTLVFLSFSQISSSAADSDDSPLFVESKLQLPPLPPANNVPRLAYLISGSTGDGRSIKRTLQALYHPGNRYVLHLDLESPPAERLELAADIRGDPVYQRYKNVWVITRANLVTYRGPTMVANTLHAAAILLKEGGDWDWFINLSASDYPLVTQDDLLYTLSTIPRQWNFIEHTSDIGWKEYQRAKPVIIDPGLYSLHKSDVFWATEKRSVPTAFKLFTGSAWMMLTHQFIEFCLWGWDNLPRTVLMYYANFLSSPEGYFHTVICNADEFRNTTVNHDLHFISWDNPPKQHPHFLTVNDFQRMVDSNAPFARKFGRDDPVLDRIDEEILGRDHSGFVPGGWYDALKKNTSDVNYTVRSISDLRPGAGTKRIEKLILGLLNQDDFDKKHCI >DRNTG_18598.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22167773:22168834:1 gene:DRNTG_18598 transcript:DRNTG_18598.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSMALFLPALSACAPAVRANGRHMRYAAVAPHSSSSSSRGVDWVKSTDAEYFQEDTRPIMLFDGVCNLCNGGVRFVRDNDHNRRIRYEALQSESGKKLLRRSGRSPDDISSVVLVEKDKSYIKSEAVLKIMEYLQVPFPQFALFFRLVPLFIRDIAYDNVANNRYTLFGRSDTDSCQI >DRNTG_25488.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1679734:1681309:1 gene:DRNTG_25488 transcript:DRNTG_25488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPPPLLRHLLRRVPAAAASDVAHSIAGELQKISSSVSTDLPGHLSLHFSDVRFTPSLLHDVLTHSPPTAGRAAADLFRHLAGTRCLPASDPSLALLVGLLARRHDFKAINELLHDFPRAVGHETLSTTLLSLASAGRPAAAVRLFQSLGKDLNVPRDPAALSALVTALCAHGFTGYAERAVKAAAAEIFPNVDICNALIGGYCTELKLGEARRLMEEILRGGFELSTPAYNSILDCVCRLARKKDPLQMQHEAEKILLEMESAGIPRNARTFHVLIYNLCKIRKTEDAMKLFGRMPEWGCSAEADTYLVLIRSLYQAARVSEGDEMIEWMRSAGFGSELDRKAYYGFIKILCGIERVDHAMKVFRMMKGYGHAPGIKTYDLLIGKLAAHNQGDRANALFKEAVARGVPVTPNVYKVDPRFVKQKKVKQGKKRETLPEKMARKRKRIRKLKMSFVKKPRSTRRVI >DRNTG_32250.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3381926:3383681:-1 gene:DRNTG_32250 transcript:DRNTG_32250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCILRSDKDYCVSYLRMDVRPFMYLASIMRDRHLLRDTRHVSIEEQLAIFLHVFSVYKEMDDGMTGIGSSLGARARRGTPNKRWKTEYDDFLIPVLVEQVNKGLKCDKTFKKPTFVYAANAVNAKFKTDLTVENVENHYKTLKTRYSEIKKAKELSGAGWNDAEKIITLF >DRNTG_16654.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21219021:21221737:1 gene:DRNTG_16654 transcript:DRNTG_16654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAAGENRGGLLRSSGFQGTGMDRAAGENCGGLFRSSGFQGLPMEGVKFGSETQNNIGGNRRALRDIKNFIGAPPYPCAINKNKVAVDDNKNSNLNVGGVARRPMTRMFAASLANKSEACQQENSARLPPIGNERQKRLISSVQTTNESETSTAIDVDDLRSANDIPLPMVDEMEEVANCELNKEVEMEDLEEEDDEEQLPDIDANDLNNPLAVVDYVEEIYSFYKSNEAQSCVCPNYMSNQFDINEKMRSILIDWLIEVHYKFELMDETLYLTVNIIDRFLARQQVVRKKLQLVGMTAMLLACKYEEVSVPVVEDLILLSDRAYTRAEVLEMEKLIVNTLHFNLSVPTPYVFMRRYLKAAESDKKRMLKNDGELSSKSRNWKTDRCSQKIQYVQVWLCSKIRTSSFSAEKLGN >DRNTG_02060.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000103.1:64844:67042:-1 gene:DRNTG_02060 transcript:DRNTG_02060.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSKARQWLLRIPRPKRSPLHRQVPQETLIVVRTQPDHTLPTFTRLQNPRLYSTNPSFHSGEADDSNAGTVGNAIDADDSCESEASSVDLNEKGDDLQELSKQAADVDSLSSLWEESVGADDSINVFGSQEASEGVGNEIHEVDDVQVESVLSLLQSTLVEPLELSLDKMDLRLSEAFAVRVIQTDNISGENLVGFFKWASRNCQSVKCSRAVELLVLAVSNLPELWKEEAYMLWDLVKEIGVEKGMVTTVVLNDLISMFWKLGKSKAGLEVFEKFTDFGCVPDGDSYYYTIEALGKRSMFDTAWSVCEKMIDSGNLRDKEKIGKIIAFFCKGKKAKEAYLVYLVAKDKEICQERSWLDLLVHHLAKNNETVCLACELLDEYDKKSLKNATKSFADVVKGLCRIGDVKEAEKLVLRMVESGPAPAKAVFNSVITSFSRRGEMEDAIALMKVMESKGLRPDVYTYTVVMSGYAKGGMMDEAYNIFSEARKRHSRLSPATYHVLTRGYCKMEEYDKAIDIWKEMKKDGMQANTDEYNKMIQSLCLKALDWRKAEQLLEEMKEDGVPLKEITRSLIAAVKSLEEEQVQSAAISIEA >DRNTG_02060.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000103.1:64237:67042:-1 gene:DRNTG_02060 transcript:DRNTG_02060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSKARQWLLRIPRPKRSPLHRQVPQETLIVVRTQPDHTLPTFTRLQNPRLYSTNPSFHSGEADDSNAGTVGNAIDADDSCESEASSVDLNEKGDDLQELSKQAADVDSLSSLWEESVGADDSINVFGSQEASEGVGNEIHEVDDVQVESVLSLLQSTLVEPLELSLDKMDLRLSEAFAVRVIQTDNISGENLVGFFKWASRNCQSVKCSRAVELLVLAVSNLPELWKEEAYMLWDLVKEIGVEKGMVTTVVLNDLISMFWKLGKSKAGLEVFEKFTDFGCVPDGDSYYYTIEALGKRSMFDTAWSVCEKMIDSGNLRDKEKIGKIIAFFCKGKKAKEAYLVYLVAKDKEICQERSWLDLLVHHLAKNNETVCLACELLDEYDKKSLKNATKSFADVVKGLCRIGDVKEAEKLVLRMVESGPAPAKAVFNSVITSFSRRGEMEDAIALMKVMESKGLRPDVYTYTVVMSGYAKGGMMDEAYNIFSEARKRHSRLSPATYHVLTRGYCKMEEYDKAIDIWKEMKKDGMQANTDEYNKMIQSLCLKALDWRKAEQLLEEMKEDGVPLKEITRSLIAAVKSLEEEQVQSAAISIEA >DRNTG_02060.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000103.1:64237:67181:-1 gene:DRNTG_02060 transcript:DRNTG_02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSKARQWLLRIPRPKRSPLHRQVPQETLIVVRTQPDHTLPTFTRLQNPRLYSTNPSFHSGEADDSNAGTVGNAIDADDSCESEASSVDLNEKGDDLQELSKQAADVDSLSSLWEESVGADDSINVFGSQEASEGVGNEIHEVDDVQVESVLSLLQSTLVEPLELSLDKMDLRLSEAFAVRVIQTDNISGENLVGFFKWASRNCQSVKCSRAVELLVLAVSNLPELWKEEAYMLWDLVKEIGVEKGMVTTVVLNDLISMFWKLGKSKAGLEVFEKFTDFGCVPDGDSYYYTIEALGKRSMFDTAWSVCEKMIDSGNLRDKEKIGKIIAFFCKGKKAKEAYLVYLVAKDKEICQERSWLDLLVHHLAKNNETVCLACELLDEYDKKSLKNATKSFADVVKGLCRIGDVKEAEKLVLRMVESGPAPAKAVFNSVITSFSRRGEMEDAIALMKVMESKGLRPDVYTYTVVMSGYAKGGMMDEAYNIFSEARKRHSRLSPATYHVLTRGYCKMEEYDKAIDIWKEMKKDGMQANTDEYNKMIQSLCLKALDWRKAEQLLEEMKEDGVPLKEITRSLIAAVKSLEEEQVQSAAISIEA >DRNTG_02060.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000103.1:64237:67042:-1 gene:DRNTG_02060 transcript:DRNTG_02060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSKARQWLLRIPRPKRSPLHRQVPQETLIVVRTQPDHTLPTFTRLQNPRLYSTNPSFHSGEADDSNAGTVGNAIDADDSCESEASSVDLNEKGDDLQELSKQAADVDSLSSLWEESVGADDSINVFGSQEASEGVGNEIHEVDDVQVESVLSLLQSTLVEPLELSLDKMDLRLSEAFAVRVIQTDNISGENLVGFFKWASRNCQSVKCSRAVELLVLAVSNLPELWKEEAYMLWDLVKEIGVEKGMVTTVVLNDLISMFWKLGKSKAGLEVFEKFTDFGCVPDGDSYYYTIEALGKRSMFDTAWSVCEKMIDSGNLRDKEKIGKIIAFFCKGKKAKEAYLVYLVAKDKEICQERSWLDLLVHHLAKNNETVCLACELLDEYDKKSLKNATKSFADVVKGLCRIGDVKEAEKLVLRMVESGPAPAKAVFNSVITSFSRRGEMEDAIALMKVMESKGLRPDVYTYTVVMSGYAKGGMMDEAYNIFSEARKRHSRLSPATYHVLTRGYCKMEEYDKAIDIWKEMKKDGMQANTDEYNKMIQSLCLKALDWRKAEQLLEEMKEDGVPLKEITRSLIAAVKSLEEEQVQSAAISIEA >DRNTG_02060.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000103.1:64142:67042:-1 gene:DRNTG_02060 transcript:DRNTG_02060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSKARQWLLRIPRPKRSPLHRQVPQETLIVVRTQPDHTLPTFTRLQNPRLYSTNPSFHSGEADDSNAGTVGNAIDADDSCESEASSVDLNEKGDDLQELSKQAADVDSLSSLWEESVGADDSINVFGSQEASEGVGNEIHEVDDVQVESVLSLLQSTLVEPLELSLDKMDLRLSEAFAVRVIQTDNISGENLVGFFKWASRNCQSVKCSRAVELLVLAVSNLPELWKEEAYMLWDLVKEIGVEKGMVTTVVLNDLISMFWKLGKSKAGLEVFEKFTDFGCVPDGDSYYYTIEALGKRSMFDTAWSVCEKMIDSGNLRDKEKIGKIIAFFCKGKKAKEAYLVYLVAKDKEICQERSWLDLLVHHLAKNNETVCLACELLDEYDKKSLKNATKSFADVVKGLCRIGDVKEAEKLVLRMVESGPAPAKAVFNSVITSFSRRGEMEDAIALMKVMESKGLRPDVYTYTVVMSGYAKGGMMDEAYNIFSEARKRHSRLSPATYHVLTRGYCKMEEYDKAIDIWKEMKKDGMQANTDEYNKMIQSLCLKALDWRKAEQLLEEMKEDGVPLKEITRSLIAAVKSLEEEQVQSAAISIEA >DRNTG_27195.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:536608:537001:1 gene:DRNTG_27195 transcript:DRNTG_27195.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQVFAASTIDFCKAFARASSKVCINKQHHGRRAKETGRHSNNGKIGRKNRSQFNIRRCR >DRNTG_05302.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2661198:2662780:-1 gene:DRNTG_05302 transcript:DRNTG_05302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVKVFGSPTSSEVARVLVCLFEKNVEFQLTRVDIYNGLEKKPEYLKLQSNVKALTFEDDVINLVESREICRYICEKYKDQGNTDILGTGEREKKLVDRWLQIEADKFEPLNSDLVFDLIFLEFAPTISLKVDSNKEAIEEYKKKLGKVLDVYEQRLQETRFLAGDKFTLADLSHLPDVHRLIKSTWCADLFASRKMVTNWWKEISSRASWKKVMEMQVE >DRNTG_11237.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21914967:21921695:-1 gene:DRNTG_11237 transcript:DRNTG_11237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPAARVSDSGMANGSPEGFVLRSGVRSGLKREFSWALKAQSQIPSSLSRTRSGKSPATFSSPLPVNNKKPKRSDGRDSPSAVEPIKIDNLDASEKDVGPPPPPPPPPPSSPPTLPEKPFRRFTRSVMKGFAIPPGPIDQAGEGVEVPILIEEDDGRSLGEAQDVMVKSSTGLVLKPQREEPAMHDNLIDLDGQDGSENPGRVLPSPVLEIKVEKIEPSMETTPLNGIVSEEPVKRFSRSSKLKEPVVPMEDSCKPERRFTRLALKTMVKVEIEDQDVMVVDAASPEYPTKRITRSAMKVAVETPIESGDRDIKKDDASVEVSAINGSLRTTPKKKLELKMSKKIALTKTPSNIKELLVTGLLEGFPVMYVTGNGMQGGLQGVINNDGILCSCDSCHGSKTISAYRFEQHAGSTKKHPSDFIFLENGKSVHDVLRECTNCPLDTLEAVIQKAISPVSLKSLTCRKCKGQFHGSQLAKFVLLCDSCVQSQRLLSTPGSSNRASNIGRSMKLVVVPKSADSETKGKSSTKESSRGRLTKKDVGLHKLVFSSDILPEGTEVGYYVRGKRLLEGFIKDSGICCHCCNTVVSPSQFEAHAGRAARRKPYNNIYTSNGVSLHELSVSLSKGRKLSTSENDDLCSICADGGDLLLCDLCPRAFHSDCVGLSSIPQGDWYCRYCHNLHQREKCLESNANAIAAGRVAGVDPIEQIFKRCIRVVQTQESDVGGCVLCRCQDYSKSRFSPRTVLLCDQCEKEYHVGCLKDHNMADLQELPEGEWFCSHDCSKIHMALQGMLIRGLEPLQDLCRDHMKKKQEESGSCNDSCADVSWRLLSGKFSSADSKPLLSKAVAIFHESFDPIVDIITGRDLIPAMVYGRNLRDQDYGGMYCAVLTIGSSVVSVGLLRVLGCEVAELPLVATHRESQGQGYFRLLFACIEKLLGSLQVKHFVLHAADEAESIWINKFGFSRISPEKLNEYTNGAHLTHFQGTSVLHKLIPQCQISTQGN >DRNTG_35434.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13991825:13993463:1 gene:DRNTG_35434 transcript:DRNTG_35434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKFRTGACIVHARGVARFQPYLKPIQPRFRKKVGRGASIEAYPIPDEGILGRRVEDFSQDHRYEHRGGFFMDSLLLHSISLILQVMTRGNPSILIEGDPELERTLRRKGKEPVQEQPNPANLEVEGSENMAKQNEQQ >DRNTG_10097.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20743063:20743637:1 gene:DRNTG_10097 transcript:DRNTG_10097.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVECKLFHPSDSNKQHQGQHPACQ >DRNTG_10097.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20742839:20743637:1 gene:DRNTG_10097 transcript:DRNTG_10097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVECKLFHPSDSNKQHQGQHPACQ >DRNTG_05433.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:4537804:4538391:-1 gene:DRNTG_05433 transcript:DRNTG_05433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNACILPSSRGPTKLIFWGGNIAYLKEKHLAGELLFQYPDHLVCHADSFFIGHPLPVLSISDELRSHETYFIIPIDCFRCQTLTAASLAVLASSNKGSPINFSKCVFEYVKGENGRMLIKVPPELLMRVFVGDSRKSDTDDFENDSLCSTPELRRHYEQLVGPRDRPWSPKLETISESKIRFSPVKLLGLERKL >DRNTG_18164.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3913302:3916244:1 gene:DRNTG_18164 transcript:DRNTG_18164.8 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVDSQIIARAVKMKLIIQFGVGLEGVDVVAATQHNIKVARIPGKISGNSKACAEMAIYLILGLLRKKVH >DRNTG_18164.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3913302:3916244:1 gene:DRNTG_18164 transcript:DRNTG_18164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVDSQIIARAVKMKLIIQFGVGLEGVDVVAATQHNIKVARIPGKISGNSKACAEMAIYLILGLLRKKREMEESMQRKIVDQPLSDTLF >DRNTG_18164.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3913302:3916244:1 gene:DRNTG_18164 transcript:DRNTG_18164.10 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVDSQIIARAVKMKLIIQFGVGLEGVDVVAATQHNIKVARIPGKISGNSKACAEMAIYLILGLLRKKVH >DRNTG_18164.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3913302:3916244:1 gene:DRNTG_18164 transcript:DRNTG_18164.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVDSQIIARAVKMKLIIQFGVGLEGVDVVAATQHNIKVARIPGKISGNSKACAEMAIYLILGLLRKKREMEESMQRKIVDQPLSDTLF >DRNTG_18164.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3913302:3916244:1 gene:DRNTG_18164 transcript:DRNTG_18164.9 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVDSQIIARAVKMKLIIQFGVGLEGVDVVAATQHNIKVARIPGKISGNSKACAEMAIYLILGLLRKKAGIINKEFLSSIKKVILVV >DRNTG_18164.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3913302:3916244:1 gene:DRNTG_18164 transcript:DRNTG_18164.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVDSQIIARAVKMKLIIQFGVGLEGVDVVAATQHNIKVARIPGKISGNSKACAEMAIYLILGLLRKKREMEESMQRKIVDQPLSDTLF >DRNTG_18164.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3915840:3916244:1 gene:DRNTG_18164 transcript:DRNTG_18164.12 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYFILKGGANGLRDLSINSHENITKESGNINENDNNDNSFEEDCERSDDPHDDDGDNDEDGDEDDDDGDGNDDEDDDFKFLLFLNMFSLH >DRNTG_18164.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3913302:3916244:1 gene:DRNTG_18164 transcript:DRNTG_18164.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVDSQIIARAVKMKLIIQFGVGLEGVDVVAATQHNIKVARIPGKISGNSKACAEMAIYLILGLLRKKREMEESMQRKIVDQPLSDTLF >DRNTG_18164.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3913302:3916244:1 gene:DRNTG_18164 transcript:DRNTG_18164.11 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVDSQIIARAVKMKLIIQFGVGLEGVDVVAATQHNIKVARIPGKISGNSKACAEMAIYLILGLLRKKREMEESMQRKIVDQPLSDTLF >DRNTG_18164.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3913302:3916244:1 gene:DRNTG_18164 transcript:DRNTG_18164.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVDSQIIARAVKMKLIIQFGVGLEGVDVVAATQHNIKVARIPGKISGNSKACAEMAIYLILGLLRKKREMEESMQRKIVDQPLSDTLF >DRNTG_18164.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3913302:3916244:1 gene:DRNTG_18164 transcript:DRNTG_18164.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNIARGGVVEYKSLYESLNTGHLGGLAMDVAWQDPFDPQDPILKLPNVIITPHVAGVTELSYTSMAKGGANGLRDLSINSHENITKESGNINENDNNDNSFEEDCERSDDPHDDDGDNDEDGDEDDDDGDGNDDEDDDFKFLLFLNMFSLH >DRNTG_18164.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3913302:3916244:1 gene:DRNTG_18164 transcript:DRNTG_18164.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVDSQIIARAVKMKLIIQFGVGLEGVDVVAATQHNIKVARIPGKISGNSKACAEMAIYLILGLLRKKREMEESMQRKIVDQPLSDTLF >DRNTG_27290.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22537646:22539567:-1 gene:DRNTG_27290 transcript:DRNTG_27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRPRKPAFQSLLSAALRRHFSTSVKPSSTATTSSGGVALYLRRARLIDSLRRRLRSSTIPDFSSSLDSFVAVHALRAAPSPDSALLLFRSLPSPHHPLLLRSLATRLALSGRLPDLLPLLPDLHPSPIDLLRWFSAAGDTTSALTAWSSLRSTAVSRRHHPCTESYNLIMALHVSTASHSDAIAVFSQMISDGANPNSRTYTIIIDHLIKAGYLDPGMEIFTLLPSMRIRRTSRQYDVLASAFSSSNRFDDLRKLLAEMEIDGILPGRGVRAAIIAMRATGHTAGTENYIQEITPDERIQYIVDSGDEEEENDGNDRMDLKPWMDPGALASALEGWDAENVRALEEARFVWTTRLVCKLLRAFKKPETAWAFFSWVACQPGGFAHDKHTVSRMIAILAKHGHCELVDRLLTKVQSEGILLPFATIRLIIDFYGLSKKPDAAMKVHREASKLCGPISHANCLLLCSSMLRTLLKSRKGDSAMDFLEDMMMNGMIPDLQTFSGLMEFFAGDGDLKRVHRVFGMVRECGMVPDAFMLQVVMRAYCKKERAALALRVFDEMRSLGLVPDRVAKELLVKSLWKEGKLREAAQVEEKCEDLAQELPMATPGHVWTVSLMDFKKVFDIYS >DRNTG_11538.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21315320:21315938:1 gene:DRNTG_11538 transcript:DRNTG_11538.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILLRISILLHLLHSLLYSLPKKIKSCLKTSKITAKQDDDDVLITGTRPMLDLLELRRVFQTFDHDGDGRITKEELQDSLENLGIFISEGDLSAMIGRIDVNGDGCVDIDEFGALYGTIVGEMGREEVEEDVKEAFDVFDVNGDGYISVDELKFVLSSLGLRQGRTADDFTEDDREGRSGWRWQSEF >DRNTG_02016.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:15397905:15398372:1 gene:DRNTG_02016 transcript:DRNTG_02016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIRRGSVFDPFPLDVWDPFGSSFSGFFNHALSVPRSNFVSDTAPFANTRIDWKETPEAHDFKADLPGFKKEEVKVEEVRVLQISGERSKELEEKNDKWHFVEWSSGKFLRRFRVPENAKVDQVKATMENGVLTMTVPMEEVKKPEIKSINISG >DRNTG_23294.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1255902:1257314:1 gene:DRNTG_23294 transcript:DRNTG_23294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRYPGDWDCRACQHLNFSRRDTCQRCGEARIGGGGGKVNGSKSSDAKPGDWYCMCGTHNFASRPNCFTCGTYKTGCVVAAGAFDGYGYTGVPTGWKSGDWICTMPGCNEHNYASRKECFRCNTPRDYYN >DRNTG_21260.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:136784:137671:1 gene:DRNTG_21260 transcript:DRNTG_21260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPAPVKPPPISGAPIPSPSPSPPRRKIDSRRNPNPNPRPSSSSGDSGWFSSDDEIYTDLFNQTSSLTLNNCDDEDDDDDDDDDDDETETLISSSFTDSSDAINLRMEVEKLTVKESFAVVKRSDDPYSDFRRSMAEMIVEKELYEPRDLEELLHCLLSLNSRHHHRAIISAFSEIWDSLFPATTAAAGEQ >DRNTG_21260.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:137049:137740:1 gene:DRNTG_21260 transcript:DRNTG_21260.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKLTVKESFAVVKRSDDPYSDFRRSMAEMIVEKELYEPRDLEELLHCLLSLNSRHHHRAIISAFSEIWDSLFPATTAAAGEQ >DRNTG_21260.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:137049:137539:1 gene:DRNTG_21260 transcript:DRNTG_21260.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKLTVKESFAVVKRSDDPYSDFRRSMAEMIVEKELYEPRDLEELLHCLLSLNSRHHHRAIISAFSEIWDSLFPATTAAAGEQ >DRNTG_21260.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:136784:137539:1 gene:DRNTG_21260 transcript:DRNTG_21260.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPAPVKPPPISGAPIPSPSPSPPRRKIDSRRNPNPNPRPSSSSGDSGWFSSDDEIYTDLFNQTSSLTLNNCDDEDDDDDDDDDDDETETLISSSFTDSSDAINLRMEVEKLTVKESFAVVKRSDDPYSDFRRSMAEMIVEKELYEPRDLEELLHCLLSLNSRHHHRAIISAFSEIWDSLFPATTAAAGEQ >DRNTG_21260.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:136757:137740:1 gene:DRNTG_21260 transcript:DRNTG_21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPAPVKPPPISGAPIPSPSPSPPRRKIDSRRNPNPNPRPSSSSGDSGWFSSDDEIYTDLFNQTSSLTLNNCDDEDDDDDDDDDDDETETLISSSFTDSSDAINLRMEVEKLTVKESFAVVKRSDDPYSDFRRSMAEMIVEKELYEPRDLEELLHCLLSLNSRHHHRAIISAFSEIWDSLFPATTAAAGEQ >DRNTG_21260.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:136757:137671:1 gene:DRNTG_21260 transcript:DRNTG_21260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPAPVKPPPISGAPIPSPSPSPPRRKIDSRRNPNPNPRPSSSSGDSGWFSSDDEIYTDLFNQTSSLTLNNCDDEDDDDDDDDDDDETETLISSSFTDSSDAINLRMEVEKLTVKESFAVVKRSDDPYSDFRRSMAEMIVEKELYEPRDLEELLHCLLSLNSRHHHRAIISAFSEIWDSLFPATTAAAGEQ >DRNTG_21260.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:136784:137740:1 gene:DRNTG_21260 transcript:DRNTG_21260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPAPVKPPPISGAPIPSPSPSPPRRKIDSRRNPNPNPRPSSSSGDSGWFSSDDEIYTDLFNQTSSLTLNNCDDEDDDDDDDDDDDETETLISSSFTDSSDAINLRMEVEKLTVKESFAVVKRSDDPYSDFRRSMAEMIVEKELYEPRDLEELLHCLLSLNSRHHHRAIISAFSEIWDSLFPATTAAAGEQ >DRNTG_21260.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:137049:137671:1 gene:DRNTG_21260 transcript:DRNTG_21260.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKLTVKESFAVVKRSDDPYSDFRRSMAEMIVEKELYEPRDLEELLHCLLSLNSRHHHRAIISAFSEIWDSLFPATTAAAGEQ >DRNTG_29548.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11371744:11372143:1 gene:DRNTG_29548 transcript:DRNTG_29548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLAMPKSPIFFPPLSTLTTGQQNPPRRAQYAPPLSH >DRNTG_10251.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19946450:19948737:1 gene:DRNTG_10251 transcript:DRNTG_10251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRRHNTSEKSELDAAFQEEKVSELRAALGSLSRRSSQFCTDACLRRYLRARNWNIDKSKKMLEDTLKWRSNYKPEEIRWPEVAQEGETGKAYRANFQDKDGRTVIVMTPAKQNTTSHDKQLQHLVYLLENAISNLPEEQEQMVWLIDFNGWSLSNSTPIKTAREATNILQNHYPERLATAFLYNPPRIFETFWKIVKYFLDPMTFQKVKFIYPKNEETVDLIQRNFDVEVLPKEFGGKNDMQYNHEEFSRLMEKDDTKMAGFWGLNEKSKQVANGHLTSEITPEAEPSPPLAAHAS >DRNTG_10251.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19947273:19948737:1 gene:DRNTG_10251 transcript:DRNTG_10251.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRRHNTSEKSELDAAFQEEKVSELRAALGSLSRRSSQFCTDACLRRYLRARNWNIDKSKKMLEDTLKWRSNYKPEEIRWVRLISLQFLKSKSLLQFFSVCQPEVAQEGETGKAYRANFQDKDGRTVIVMTPAKQNTTSHDKQLQHLVYLLENAISNLPEEQEQMVWLIDFNGWSLSNSTPIKTAREATNILQNHYPERLATAFLYNPPRIFETFWKIVKYFLDPMTFQKVKFIYPKNEETVDLIQRNFDVEVLPKEFGGKNDMQYNHEEFSRLMEKDDTKMAGFWGLNEKSKQVANGHLTSEITPEAEPSPPLAAHAS >DRNTG_10251.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19946450:19948737:1 gene:DRNTG_10251 transcript:DRNTG_10251.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRRHNTSEKSELDAAFQEEKVSELRAALGSLSRRSSQFCTDACLRRYLRARNWNIDKSKKMLEDTLKWRSNYKPEEIRWVRLISLQFLKSKSLLQFFSVCQPEVAQEGETGKAYRANFQDKDGRTVIVMTPAKQNTTSHDKQLQHLVYLLENAISNLPEEQEQMVWLIDFNGWSLSNSTPIKTAREATNILQNHYPERLATAFLYNPPRIFETFWKIVKYFLDPMTFQKVKFIYPKNEETVDLIQRNFDVEVLPKEFGGKNDMQYNHEEFSRLMEKDDTKMAGFWGLNEKSKQVANGHLTSEITPEAEPSPPLAAHAS >DRNTG_10979.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000480.1:11856:13122:1 gene:DRNTG_10979 transcript:DRNTG_10979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKTLCNACGVRYKSGRLVPEYRPAASPTFVVSEHSNSHRKVLQLRRQKEQEQLRLGPEEVVNADADEFLIHDHIGIDFRQLI >DRNTG_10979.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000480.1:11856:13122:1 gene:DRNTG_10979 transcript:DRNTG_10979.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKTLCNACGVRYKSGRLVPEYRPAASPTFVVSEHSNSHRKVLQLRRQKEQEQLRLGPEEVVNADADEFLIHDHIGIDFRQLI >DRNTG_10979.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000480.1:12315:13122:1 gene:DRNTG_10979 transcript:DRNTG_10979.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKTLCNACGVRYKSGRLVPEYRPAASPTFVVSEHSNSHRKVLQLRRQKEQEQLRLGPEEVVNADADEFLIHDHIGIDFRQLI >DRNTG_28270.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2845112:2847316:1 gene:DRNTG_28270 transcript:DRNTG_28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEEDANGGKKEEEEFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEIPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >DRNTG_00530.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30333462:30334267:-1 gene:DRNTG_00530 transcript:DRNTG_00530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRAIPLLLLILSFIPSFIESGEHNNKMINKIKSNGDDEYECVYTVYVQTGTKVGAGTDSVISLTLTQKDGHGVLIKNLEAWGGIMGSNHDYFEAGNLDIFSGRGPCLSSTTPCRMNLTSDGTGSFPDWYCNYVEVTTTRPRGLCAQRLFSVGQWLNAGKPFAVRDYCSSEPEDGNRWPAAPRRNIAA >DRNTG_00530.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30342318:30343288:-1 gene:DRNTG_00530 transcript:DRNTG_00530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRAIPLILLILCIISSFTESRVHNETINKINRDDACVYTLYVQTGQKVAAGTDSNINLTLSGDHGHGVAIHNLKAWGGLMGSKHDYFEAGNLDIFSGRAPCLPATPCKIRLTTDGTGSFPAWYCDYVEVTTTRPGSLCAKTNFSVGQWLNIFTLYTANVDYCSKTEDGNRLPAAPLLLPA >DRNTG_00530.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30333462:30343288:-1 gene:DRNTG_00530 transcript:DRNTG_00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRAIPLILLILCIISSFTESRVHNETINKINRDDACVYTLYVQTGQKVAAGTDSNINLTLSGDHGHGVAIHNLKAWGGLMGSKHDYFEAGNLDIFSGRAPCLPATPCKIRLTTDGTGSFPAWYCDYVEVTTTRPRGLCAQRLFSVGQWLNAGKPFAVRDYCSSEPEDGNRWPAAPRRNIAA >DRNTG_03236.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16179033:16184082:1 gene:DRNTG_03236 transcript:DRNTG_03236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIPSPPSSEAKKDHKLSGGAIARITIGVVIEFVLLLLIIILLYMRKSSKSRTIAVAAKLPELMSPFVTKALSTESQGMECLIVHHRHQWRTPAKGENTKKLLFFGTGLRTFDLEDSLRVSAKVLGNGTFGTVRSTNTTKCLSLGSCNYLGFIAADEYCTPRVIESLKKFSPSTCSVHADADRTFGLIDHTDPGILTVLVQDNIGGLQVKSLRDECWVDVKPIPGALVVNVGDLL >DRNTG_03498.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:736086:738639:-1 gene:DRNTG_03498 transcript:DRNTG_03498.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMASPPAPTRILVGVNQSSMKGYPHPSISSRNAFEWTLSKLIRNNYSGFSLLFLHVQIPDEDGFDDMDSIYASPEDFKDMKRRDKIRGIHLLEYFVGRCHEIGINCEAWIKKGDPKDVICSEVKRVKPDILIMGSRGLGPFHRVFVGTVSEFCVKHAECPVITIKRRADEAPQDPIDD >DRNTG_02315.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:686563:688321:1 gene:DRNTG_02315 transcript:DRNTG_02315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEGNHEIEKLPPMESSPFKSYNARWRMPYDISASDSNLYYSFDVAGGVVHVLMLGSYTDFDSSSAQFKWLMADLDKIDRKQTPWLLALIHAPWYNSNEAHQGDGEDMRKSMENLLYQYKVDVVFAGHVHAYERFTRVYDNSKDGCGPMHVTIGDGGNREGLANKFLDPQPEISVFREASFGHGEFLVVNETHAHWTWHRNDDDEQVMADQVWVTTLISQTTCKNKTTK >DRNTG_14162.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14466380:14474608:1 gene:DRNTG_14162 transcript:DRNTG_14162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFRTPVDNHRPNSQGHSHAPVCSRDGEGIVGVCPRPYVLSGKIPRFLQNNARPCGNFARLCDNRKVAHRGESTPLCLLWMSSQYNPMGVWKFHTLVRFL >DRNTG_23097.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19800108:19800739:-1 gene:DRNTG_23097 transcript:DRNTG_23097.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTLYFIFVFDSSENELAMVDLIQETGS >DRNTG_02159.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29154686:29155506:1 gene:DRNTG_02159 transcript:DRNTG_02159.2 gene_biotype:protein_coding transcript_biotype:protein_coding SAEEGRRRKKKKKKKEKMRERSQLSFLS >DRNTG_02159.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29153138:29155506:1 gene:DRNTG_02159 transcript:DRNTG_02159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLQLETSGFKKRMRLSRPDPRAHGM >DRNTG_24775.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:25610544:25612208:-1 gene:DRNTG_24775 transcript:DRNTG_24775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMLRKMKCVRRRHKKCPKANGDEQEQSKGDDPCAGHVSTPVNDLVTVTRPCGISTWACVSLQSLELYPEKTQGRVPAPVDDLVNYTREWVISTRQCETLQRRFPPSRGDIWVCECPCEWPCEDPHPCGISTRACETLTEILVWIEEPQERVSGPVGQAHGCGKFPHARVDAFRVKECHPKSTQGRVSAPVKLSCRVTRAWQILEQDMTSPFLMRPRTPQAFPAPPSPVPAQVDPPSSTAPAVAPAETTQDTDS >DRNTG_28333.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:116055:119928:-1 gene:DRNTG_28333 transcript:DRNTG_28333.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSRLNLTCLSWLASGCNSSLSFFLSGINF >DRNTG_28333.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:115952:119928:-1 gene:DRNTG_28333 transcript:DRNTG_28333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSRLNLTCLSWLASGCNSSLSFFLLCKKPRSCVVSTER >DRNTG_23058.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2365744:2367227:1 gene:DRNTG_23058 transcript:DRNTG_23058.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVSEAKHGVEWRVTVSEGSSVKIEDGTGFLSRAWLGLAGILLAMKMKLLGFVSKVWNIGADDPRKVIHGVKVGLALSLVSLFYYTRPLYDGVGGTAIWAVMTVVVVFEFTVGGCLCKGFNRATATLTGGTLALGFHYLADTSGKTFEPIIIGVSMFLLGSAATFSRFIPLIKDRFDYGITIFILTFSFVSVSGYRVEHLVTMAQQRLSTIAIGISICFCICIFICPVWAGGDLHFLIVRNMEKLATSLEGLVANYFDDDDDDDEENENEKSPSKTWQQYKCVLNSKGAEDSLANLAIWEPAHGLFGFKHPWQQYLKIGVALRRCAYCVETLNGCINSDIQ >DRNTG_23058.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2365744:2368042:1 gene:DRNTG_23058 transcript:DRNTG_23058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVSEAKHGVEWRVTVSEGSSVKIEDGTGFLSRAWLGLAGILLAMKMKLLGFVSKVWNIGADDPRKVIHGVKVGLALSLVSLFYYTRPLYDGVGGTAIWAVMTVVVVFEFTVGGCLCKGFNRATATLTGGTLALGFHYLADTSGKTFEPIIIGVSMFLLGSAATFSRFIPLIKDRFDYGITIFILTFSFVSVSGYRVEHLVTMAQQRLSTIAIGISICFCICIFICPVWAGGDLHFLIVRNMEKLATSLEGLVANYFDDDDDDDEENENEKSPSKTWQQYKCVLNSKGAEDSLANLAIWEPAHGLFGFKHPWQQYLKIGVALRRCAYCVETLNGCINSDIQAPQYVKKHLNEVCTKVSLEAAKVLKETANSIKSMTKSPCIDSLIEEMNISVEELHTALRTLPNKKVTSSSQGIETMSFIEALPIIPVTSLLIEISTRVEGVVDSVEELAELASFKTSEIEKHEQSNEDQGKVVTIEVQQV >DRNTG_14593.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:25557875:25558420:1 gene:DRNTG_14593 transcript:DRNTG_14593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAGNLNDSNSFSATKVDAQVDFIGGQRTQGNPCSTTFDPQWKYHPCSSLYQGQQTPQIKRFTAEDALEKFMINTKQIFQDINTKFYTLTTEHHAFDAKCNARFEAIDATLLLVQASLHKEVDENFSVLEVKTVEEVAPMSLELALAWK >DRNTG_22897.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1317776:1320927:-1 gene:DRNTG_22897 transcript:DRNTG_22897.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDTIVLGVEKKSTPKLQDSRTVRKIVNLDNHIALACAGLKADARVLINKARIECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYSGVPSLYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGKDTIKLAIRALLEVVEGGGNIEIAVMTKEGLRQLEEPEIEAIIGEIEAENAAAEAKPKA >DRNTG_25902.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19831660:19834469:-1 gene:DRNTG_25902 transcript:DRNTG_25902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEMPKGLPFSVDTWSSASGRKRHHFLTHAHKDHLVGAASSTAGPIYTTAITKTLSCRFFPQLEDAVFVEIKVGEPVLVKDPDGDFTVTAFDANHCMGAVMFLFEGPFGNLLHTGDCRLTPDCLQSLPAKYVTKKSGESSSHLDYLFLDCTFGRCSINLPSKKSAIQQVINCIWKNPNAPVVYLACDLLGQEEILVEVSKTFGSKIYVDKTNNSECFFAVSLTAPEILSDDASSRFHVVEGFPRLYERANEKLSAARANLQPEPLFIRPSVQWYAGIERMEIN >DRNTG_25902.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19831660:19832646:-1 gene:DRNTG_25902 transcript:DRNTG_25902.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSRQELESALQFLRPKWVISTTPPCRAMELNYVKKHCFKTQMTADDPLWKLLKLSPRKPISIPSSTAPKNPRDSDTSSENRLEPENSSTEHFELKLDSVVPCRARPITLFGRARLGAYYEELDLSLPAVKKPEFITLK >DRNTG_10977.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1096586:1097374:-1 gene:DRNTG_10977 transcript:DRNTG_10977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLELPVDPNEPTYCFCNQVSFGEMVACDNPDCKIEWFHFGCVGLKEHPKGKWYCSNCSGMQKRRKGK >DRNTG_10977.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1096586:1097374:-1 gene:DRNTG_10977 transcript:DRNTG_10977.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLELPVDPNEPTYCFCNQVSFGEMVACDNPDCKIEWFHFGCVGLKEHPKGKWYCSNCSGMQKRRKGK >DRNTG_14974.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24068571:24074340:-1 gene:DRNTG_14974 transcript:DRNTG_14974.1 gene_biotype:protein_coding transcript_biotype:protein_coding RARLGLNSKGPKSEELHRREDLKNGSPSLG >DRNTG_13370.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2335239:2336154:-1 gene:DRNTG_13370 transcript:DRNTG_13370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic spindle checkpoint protein MAD2 [Source:Projected from Arabidopsis thaliana (AT3G25980) UniProtKB/Swiss-Prot;Acc:Q9LU93] MLLSQDEGVKSFISSLTSQLSGKLQRVVLVIMSKASSEVLERWNFNIETDGEVVEKGVAREKSDKEIMREIQAIMRQIASSITYLPCLDEPC >DRNTG_13370.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2334692:2335788:-1 gene:DRNTG_13370 transcript:DRNTG_13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic spindle checkpoint protein MAD2 [Source:Projected from Arabidopsis thaliana (AT3G25980) UniProtKB/Swiss-Prot;Acc:Q9LU93] MLLSQDEGVKSFISSLTSQLSGKLQRVVLVIMSKASSEVLERWNFNIETDGEVVEKGVAREKSDKEIMREIQAIMRQIASSITYLPCLDEPCVFDVLAYTDKDVAVPFTWIESDAKLIKNPQMVKLHSFDTKIHKVDTLVSYKNDDWDDQE >DRNTG_24907.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:475373:476101:-1 gene:DRNTG_24907 transcript:DRNTG_24907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKKLSNRLLEFMAKNLGLDPAEMAGMLENGDQSVRINCYPPCPADKKVLGFSPHSDASFLTLVLQVNDVPGLQIRRNDKWFPVKPLPGAFVANIGDVLEILSNGKYKSIEHRAVTNTEKERFSIAAFHGPNTNATIGPHSELVLEDEPLYKSLDYESYMKLFFASKLDGKGFLGRMKLNK >DRNTG_19913.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:6055460:6056059:-1 gene:DRNTG_19913 transcript:DRNTG_19913.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKLRLQTHHQNVEAVLSLYKDLVFKVDGNAQKDKVFTEIDNALSALFKRKLETNSASTAAGLTY >DRNTG_30203.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3597142:3598587:-1 gene:DRNTG_30203 transcript:DRNTG_30203.9 gene_biotype:protein_coding transcript_biotype:protein_coding AHAQSRSGSGSPLEQRHGHHVLPLMQRMEQCTHSHKEWAKGKQRMRYVLLP >DRNTG_30203.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3595131:3598587:-1 gene:DRNTG_30203 transcript:DRNTG_30203.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCPKSLRLWFSIRATSWAPCSSIDAENGAMHSQSQGMGKRKATNEK >DRNTG_30203.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3595237:3597348:-1 gene:DRNTG_30203 transcript:DRNTG_30203.8 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMEQCTHSHKEWAKGKQRMRWQK >DRNTG_30203.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3595237:3598587:-1 gene:DRNTG_30203 transcript:DRNTG_30203.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCPKSLRLWFSIRATSWAPCSSIDAENGAMHSQSQGMGKRKATNEK >DRNTG_30203.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3595131:3598587:-1 gene:DRNTG_30203 transcript:DRNTG_30203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMEQCTHSHKEWAKGKQRMRWQK >DRNTG_30203.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3595237:3598587:-1 gene:DRNTG_30203 transcript:DRNTG_30203.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCPKSLRLWFSIRATSWAPCSSIDAENGAMHSQSQGMGKRKATNEK >DRNTG_30203.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3595237:3598587:-1 gene:DRNTG_30203 transcript:DRNTG_30203.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMEQCTHSHKEWAKGKQRMRWQK >DRNTG_30203.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3595237:3598587:-1 gene:DRNTG_30203 transcript:DRNTG_30203.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMEQCTHSHKEWAKGKQRMRWQK >DRNTG_17056.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:18128:19352:1 gene:DRNTG_17056 transcript:DRNTG_17056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIARKCSHCGNNGHNSRTCRNPISGVNNYGLRLFGVQLHIGSPLKKSFSMDFLPSSSSSHAAASPSSSSSSSSHISVDEAAEKISNGYLSDGLLGKTPEKPKKGVPWTEEEHRSFLAGLEILGKGDWRGISRHFVMTRTPTQVASHAQKYFLRQNNINKKKRRLSLFDTVGPCASVIELSLCPLPDLELSIASSRLLDQ >DRNTG_17056.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:18431:19391:1 gene:DRNTG_17056 transcript:DRNTG_17056.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIARKCSHCGNNGHNSRTCRNPISGVNNYGLRLFGVQLHIGSPLKKSFSMDFLPSSSSSHAAASPSSSSSSSSHISVDEAAEKISNGYLSDGLLGKTPEKPKKGVPWTEEEHRSFLAGLEILGKGDWRGISRHFVMTRTPTQVASHAQKYFLRQNNINKKKRRLSLFDTVGPCASVIELSLCPLPDLELSIASSRLLDQ >DRNTG_04919.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8947864:8948757:-1 gene:DRNTG_04919 transcript:DRNTG_04919.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSGNDPPKIFLEAQDSLNALESSLMSRVLRLEGFLSEARSPMAPQNAMTLKEPIKVGEELPLNRRRPSPQTLAASLS >DRNTG_08675.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4811854:4812372:-1 gene:DRNTG_08675 transcript:DRNTG_08675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSESSFDAWTKPTTSPLSQSEVHHHQKAHSAGWHSPVLYLFGGLAAMVGLIAFALLILACSYWKLSGYLDSTENTTHDEVQEMTNMKQEMIKTATQQCSEMRLVVIMAGEEKPTFLATPINSSHAASSSSSSSSSGGGKMSKNEMEVKEEKMESSDHSGQRVEQLADASSS >DRNTG_29583.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18224464:18228752:1 gene:DRNTG_29583 transcript:DRNTG_29583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLVCSCPGGLDSSLVASVASRNLSEVKSTIQWGSRLHTFCVGLKGSPDLKAAREIADYLGTIHHEFHFTVQEGIDALEEVIFHIESYDVTTIRASKPMFSMSCKIKSLGVKMVLFGEGSDEIFGCYLYLHKVPNKVEFHQERCRKQVMLAAQHSTTLPTCPDPDPVFGFNGSDSRPGLNVIDLLRLSSWNWVLILKYSIGICWW >DRNTG_15296.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4648729:4649848:1 gene:DRNTG_15296 transcript:DRNTG_15296.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSSFLEDEERIVRPALSHHIVSLTSTTYGLLTTLDHSTVPPTPSPPTPPPPPPPVPPSLPHLPLRPEPEIINSWELMAGLDFSTPTNTPIPRRLPSKQHTHTHSHSHSRSNSQSHRALFVMDQPLKLEKNEHPVVLYTTTLRGVRKTFEDCNAVRSVLHGLGVAVKEKDVSMDLGFLEELRRVMKGRNDGFVVPRLFVKGRYVGGVEEVMRLHEEGGLARLVEGLPKVKVGDVCDGCGGVLFLPCFQCSGSRKLVVVVVVGRRR >DRNTG_02078.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000104.1:124040:132972:-1 gene:DRNTG_02078 transcript:DRNTG_02078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSPFHLMAPLKPFSRAEGEVRFFSRSLDSVCFGGGLKFGRGGGGGVLEVRASSAEKQGSEVPVSFSDLPPSTTSNARVKRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNTDKALFTIVVSGTDRVLQQVVAQLNKLVNVLKVEDLSKEPQVERELMLVKLNIGPDKRQEVMFLVNTFRAKVVDISEHSVTVEVTGDPGKMTAVLRNLSKFGIQEIARTGKIALRREKMGESAPFWRFSAASYPDLEGTTPPNALPGAMDVSFSDSQQSSRGDVYPVEPYEGFPLNQVLDAHWGVLDHDDSTGLCSHTLSILVNDAPGVLNIVTGVFSRRGYNIQSLAVGPAEKAGISRITTVVPGTDESIGKLVQQLYKLIDVHEVQDITHLPFAEREMMLIKVAVNSTARRDVLDIADIFRAKAVDVSDHTITLQLTGDLDKMVALQRLLEPYGICEVARTGRVALIRESGVDTKYLRHRLDSS >DRNTG_28528.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6393053:6399081:1 gene:DRNTG_28528 transcript:DRNTG_28528.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCPMLKIAFFFHLFFSSALCISRDSQGFEQASGARTLTQEIPHNHEVHCSRERSRVAWQIIEEYLMPFVDQEEYQLPSKCRLHPDNDMFREQEQHKVHVDTNEWRCGFCKKSFRAEKFLDQHFDNRHYVLLNNTHGRCLADLCGGLHCDQMSEFKKAKKCNPAAVARNRHQCEGLADSCFPVSQGQSASHLHELFLRQFCDAHTCNGKQKPFSRGGKKQSSVFYLAICVLTLMLLPVFYLLVFLHQREMKKGIQDLKRIPKAGRKTKPS >DRNTG_28528.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6393053:6405748:1 gene:DRNTG_28528 transcript:DRNTG_28528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCPMLKIAFFFHLFFSSALCISRDSQGFEQASGARTLTQEIPHNHEVHCSRERSRVAWQIIEEYLMPFVDQEEYQLPSKCRLHPDNDMFREQEQHKVHVDTNEWRCGFCKKSFRAEKFLDQHFDNRHYVLLNNTHGRCLADLCGGLHCDQMSEFKKAKKCNPAAVARNRHQCEGLADSCFPVSQGQSASHLHELFLRQFCDAHTCNGKQKPFSRGGKKQSSVFYLAICVLTLMLLPVFYLLVFLHQREMKKGIQDLKRIPKAGRKTKPS >DRNTG_28528.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6400858:6405748:1 gene:DRNTG_28528 transcript:DRNTG_28528.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSELKKAKKCNPAAVARNRHQCERNEEGNTEFETHSKSRAQNKTFLVRLPSS >DRNTG_28528.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6393281:6405748:1 gene:DRNTG_28528 transcript:DRNTG_28528.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVDQEEYQLPSKCRLHPDNDMFREQEQHKVHVDTNEWRCGFCKKSFRAEKFLDQHFDNRHYVLLNNTHGRCLADLCGGLHCDQMSEFKKAKKCNPAAVARNRHQCEGLADSCFPVSQGQSASHLHELFLRQFCDAHTCNGKQKPFSRGGKKQSSVFYLAICVLTLMLLPVFYLLVFLHQREMKKGIQDLKRIPKAGRKTKPS >DRNTG_12796.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2118920:2120386:1 gene:DRNTG_12796 transcript:DRNTG_12796.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIKPKLSIYLMLIALILAYDLCPLSALTCTNVQQSLQPCIRYVKGIGILTAPCCAGVRQLNNVAKTTADRRLVCGCLKKFYSMIHGFKPSLIPGIPGMCRVKLPYSISANMDCSRIG >DRNTG_00787.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:10208851:10210159:1 gene:DRNTG_00787 transcript:DRNTG_00787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTKRLASKCPRTTGPSSTPDGPVFKLSHHRERYDRLKTKPFGTLCYLEWGLVENLGIASQVREWLSTNCWDKLFAINEPTFRQLTLEVLSTFEAQQDGDSVWNRKILTIQFKLLEGSILCTTWILPSTWESM >DRNTG_14285.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:809915:811882:-1 gene:DRNTG_14285 transcript:DRNTG_14285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMMLDWLLISTTLFIIISTFFFFKTLNNGGLPPGPATIPIIGNLQWLWTSFTNIEPFLRDLHARLGPIVTLRIGSRQAIFIADRHLAHNALVTNGAIFADRPPALPATRVLNSNQHNISSASYGPLWRLLRRNLISEILHSSRVKLFSDGRQWVLNILTGKIRASAEANNSIVLDFKENLQFSMFCLLVFMCFGEKLDEKGIRDIETAQRNFLLYVTKLGVFAFVPRISKLIFRKRWNTAMDLRQKQKDIIIPLIRTREKHKEKENKQGRSDDEKERFVCSYLDSLLDVKLPEEGNRKLDDSEIVSICSEFLNAGTDTTATALEWIMANLVKQPDIQAKLFDEIQGVVGSEAEEVKEEELHKMPYLKAVVLEGLRRHPPGHFVLPHTVTEDVMLNGYVIPKGASVNFMVAEMGRDEKVWENPMEFRPERFMKGGEGEGVDITGNKGIKMMPFGVGRRICPGLTLALLHLEYFVANLIKEFEWKAVDGKEIDLIQEKSEFTIVMKNTFHARVTPRKM >DRNTG_14053.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4947960:4953195:-1 gene:DRNTG_14053 transcript:DRNTG_14053.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPVIMEFWVENDPHIPAQSFETAQKILQKLDKIVSSPKEKSSELKAISMDGSPSNLTLGMPQGQALRSIEGIDSSNFMNSSRNFIVDDGHASLPQSMPHNQDILKNGPLEPGVSLVQLTPESAVSVHAITPATNAKSDSERASGSAISGSAAVPLQEKTAFKISAVEGSLKMDDDYKVSYGRDKLSKTGFKDTISNTEISDEPFLSTCQSVPVSTTKSFGLFESKTSDGPVGFSFPATPSSSSFNSKAAPPATIFLPKFSDTVGLKSSSELSTSNTFTATQLEDSDLFRDKIRKAGELLKFNTFGSPVSPDFSSSAGSSIFALDAPVNSSLNNGPLNPKLSANFASNASGSGNQMVSIFSTANAAATSPTSSLVSSTAPMFSTANTFQMVASGTACAPSSVSAPFGASGSKDLKAKSTKTLPFTFTRTSFMGTSAISNPGIKDSSLSTSSSTLGTSPSLAYTSSSVFLSTGSGNFSFSFPAQSSGSSIYQSSNQFGVPASPAFGAQANSSSTTLLTSSPSLGFGSSLFAQPATGLSSSSFQSSPSMFAAPAAGNSQFTIGSSSGPAFSFTASTSAFGTGFLLNNQMNTEDIMADDPLQSTAPTFRSFDQPSFPVGSPAIPSLGIQQPMVQFSQPTGNVDLGGSFSLGTASDGKAHRRFVRVKRSKTGKK >DRNTG_14053.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4947960:4951179:-1 gene:DRNTG_14053 transcript:DRNTG_14053.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPVIMEFWVENDPHIPAQSFETAQKILQKLDKIVSSPKEKSSELKAISMDGSPSNLTLGMPQGQALRSIEGIDSSNFMNSSRNFIVDDGHASLPQSMPHNQDILKNGPLEPGVSLVQLTPESAVSVHAITPATNAKSDSERASGSAISGSAAVPLQEKTAFKISAVEGSLKMDDDYKVSYGRDKLSKTGFKDTISNTEISDEPFLSTCQSVPVSTTKSFGLFESKTSDGPVGFSFPATPSSSSFNSKAAPPATIFLPKFSDTVGLKSSSELSTSNTFTATQLEDSDLFRDKIRKAGELLKFNTFGSPVSPDFSSSAGSSIFALDAPVNSSLNNGPLNPKLSANFASNASGSGNQMVSIFSTANAAATSPTSSLVSSTAPMFSTANTFQMVASGTACAPSSVSAPFGASGSKDLKAKSTKTLPFTFTRTSFMGTSAISNPGIKDSSLSTSSSTLGTSPSLAYTSSSVFLSTGSGNFSFSFPAQSSGSSIYQSSNQFGVPASPAFGAQANSSSTTLLTSSPSLGFGSSLFAQPATGLSSSSFQSSPSMFAAPAAGNSQFTIGSSSGPAFSFTASTSAFGTGFLLNNQMNTEDIMADDPLQSTAPTFRSFDQPSFPVGSPAIPSLGIQQPMVQFSQPTGNVDLGGSFSLGTASDGKAHRRFVRVKRSKTGKK >DRNTG_14053.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4947960:4955135:-1 gene:DRNTG_14053 transcript:DRNTG_14053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPVIMEFWVENDPHIPAQSFETAQKILQKLDKIVSSPKEKSSELKAISMDGSPSNLTLGMPQGQALRSIEGIDSSNFMNSSRNFIVDDGHASLPQSMPHNQDILKNGPLEPGVSLVQLTPESAVSVHAITPATNAKSDSERASGSAISGSAAVPLQEKTAFKISAVEGSLKMDDDYKVSYGRDKLSKTGFKDTISNTEISDEPFLSTCQSVPVSTTKSFGLFESKTSDGPVGFSFPATPSSSSFNSKAAPPATIFLPKFSDTVGLKSSSELSTSNTFTATQLEDSDLFRDKIRKAGELLKFNTFGSPVSPDFSSSAGSSIFALDAPVNSSLNNGPLNPKLSANFASNASGSGNQMVSIFSTANAAATSPTSSLVSSTAPMFSTANTFQMVASGTACAPSSVSAPFGASGSKDLKAKSTKTLPFTFTRTSFMGTSAISNPGIKDSSLSTSSSTLGTSPSLAYTSSSVFLSTGSGNFSFSFPAQSSGSSIYQSSNQFGVPASPAFGAQANSSSTTLLTSSPSLGFGSSLFAQPATGLSSSSFQSSPSMFAAPAAGNSQFTIGSSSGPAFSFTASTSAFGTGFLLNNQMNTEDIMADDPLQSTAPTFRSFDQPSFPVGSPAIPSLGIQQPMVQFSQPTGNVDLGGSFSLGTASDGKAHRRFVRVKRSKTGKK >DRNTG_14053.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4947960:4954471:-1 gene:DRNTG_14053 transcript:DRNTG_14053.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIFSTANAAATSPTSSLVSSTAPMFSTANTFQMVASGTACAPSSVSAPFGASGSKDLKAKSTKTLPFTFTRTSFMGTSAISNPGIKDSSLSTSSSTLGTSPSLAYTSSSVFLSTGSGNFSFSFPAQSSGSSIYQSSNQFGVPASPAFGAQANSSSTTLLTSSPSLGFGSSLFAQPATGLSSSSFQSSPSMFAAPAAGNSQFTIGSSSGPAFSFTASTSAFGTGFLLNNQMNTEDIMADDPLQSTAPTFRSFDQPSFPVGSPAIPSLGIQQPMVQFSQPTGNVDLGGSFSLGTASDGKAHRRFVRVKRSKTGKK >DRNTG_10851.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25093401:25096126:-1 gene:DRNTG_10851 transcript:DRNTG_10851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSLDFDDTLYFLDTNDQIIDEYMQEMFNPDPYEGLLDQEVENEEVLMFGLKEEVPSTPGIMKKVLRKMKRLRRRHKKQSKAVGDAQELDKVDESLLSEKAQGRGLAPVGDPVTNARPWVFSSYFESFFDSPFQSFADMAPRSKNQDGKHPREQSPEREYTEFAISEHQAHFEWLSRLRYWRSKSLLFELLSSFELEVEDSYWRSEILRSTLSCRQTIWLLDQAEGVWSAMHTGAYARLGVIFSGPYIMRLIIGMGLLTAIRGTEKASILVSLGIEILRLMGMVCKVQSRVYALIAPTPEVAEGEDDLAEVSQSAPKPQLTLMETEAPSVVEEPPPVRMSSPSRACDRFERLESAVGVLRTEITEVKAIQATQYTKFMARCDILQPILE >DRNTG_23694.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4731262:4732308:-1 gene:DRNTG_23694 transcript:DRNTG_23694.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTWNALILLIILSILLQQTKHAGSIGVNYGLNGDNLPNPATVVQLFNSHGIQKLRLFAPNNQVQQALEGTNIYVSLGVANDDLANLAGSQAAANEWVGTNILPFSKTLYQYVTVGNEAIPGPNSQQVAPAMRNIKTALNSAGLSQIMVTTVIADTALSFSFPPSQG >DRNTG_34076.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:305928:306957:1 gene:DRNTG_34076 transcript:DRNTG_34076.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFNRISRIGHGLASCSSLKELNLAGNKISEVEGLHRLLKLYFLDLRFNKISTSKGLGQLAANTSLQAINLEGNPAQRNVGDEQLKKYLLSLLPSLVYFNKQTIRASSSKEVASLQFERELRTSHKNTRRTHGSGLHKGSAASSSSHALGSLLKHASSKGRHEHSVSARTKPANHLRNINTKPLGLQPADVIRRIHSEGGFKEH >DRNTG_32918.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1215604:1218151:-1 gene:DRNTG_32918 transcript:DRNTG_32918.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit TIM13 [Source:Projected from Arabidopsis thaliana (AT1G61570) UniProtKB/Swiss-Prot;Acc:Q9XH48] MDAFSSSSPSNGSSSASPEALMDQLKSQLAQAYAEEFLETVRSKCFAKCITKPGSSLSGSESSCISRCVDRYIEATGIIGRALFNSPR >DRNTG_09782.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000411.1:97903:99179:1 gene:DRNTG_09782 transcript:DRNTG_09782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITTLKGVFYGFLAFTFDFIVDCNYLNGELNRLVEKAILERVERVSRECISRL >DRNTG_34991.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002222.1:109841:113410:-1 gene:DRNTG_34991 transcript:DRNTG_34991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSICTSVRPQRRKERMNEMEEGVATRISPARVKSC >DRNTG_34991.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002222.1:109841:113239:-1 gene:DRNTG_34991 transcript:DRNTG_34991.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSICTSVRPQRRKERMNEMEEGVATRISPARVKSC >DRNTG_34991.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002222.1:109841:113157:-1 gene:DRNTG_34991 transcript:DRNTG_34991.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSICTSVRPQRRKERMNEMEEGVATRISPARVKSC >DRNTG_34991.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002222.1:109776:113239:-1 gene:DRNTG_34991 transcript:DRNTG_34991.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSICTSVRPQRRKERMNEMEEGVATRISPARVKSC >DRNTG_16386.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15984914:15997237:1 gene:DRNTG_16386 transcript:DRNTG_16386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVGRTLKKEFAGFGTFTGVVESYDSAAGYFRIEYEDGDSEEVDFEEIGEIFKGMGEAVPVGQMRRSARGRRPKKRRRIEVGSGGLGVVDSVELSGALDVVNAGVSDGGLRENGDLGSLGEGQMDIECLDGELVGKKLDFDGDGELEQNASLEKGDFDHMGEGFRENGGNELGLDGNHGGESTSCRLEMEEQVPRRKRGRPPKVASVTPLRRSARKASVALQSPDDPAVAKDGPVSEHSAWYGLQNGVLSEELKPLLPSSSSDLGLDGLAVLDFFSVYACLRSFSRLLFLSPFTVEAFLAALKCTSANSLTDWIHFSILHSLKPHLESLALEGSESATDCLRSLNWELLDIVTWPVYLAEYLLVHGSPLSMGYKLTDLKILSEGYYKQSPMVKLEILRCLCDDVIEVEGIRLELNRRMMEFDLDVDADNKMSIDRQTKDFMKTDPFTREASEETADGNSDECCLCRMDGVLICCDGCPAAFHSRCVGVAKDLLPEGDWYCPECMIKKNDELVKLVKPFHGAEFLGIDPHGRLYYESCGYLLVSELNNTVPSYHYYNKKDVAAVIRVLNASHASYFTIIKEVAAYFDVYTGKSSPSLDHEIPNISDALNVQMVSQSLLNSKVESINDELSEKMATVNSAQENCTLKTSNLTDLPQPVTSNQYMSMALPPAGIGTSAEITHDAACDKIPQKSGADCSEDSTSPAKEVVAVKPIHLAVGQKKCIGFPGRGFNSVVNEKMEFESRQLKADPACYLNCYSFGRLASFIAEELQHKASECYDKESKKHLDDIKSTQLRAIARKHKKFLYSLPKLSVDVEKEKCGWCFSCKTSSDDNCIFGVADDKQFDWSKNRITGLRSEKQKKRHIVSAIHYILSIEDRIRGLLSGPWDNPYYSKSWRKLVMKATDVASLKYPLLSLELNLRRVVLSAEWMKPVDSDHRVGSASHVLTSAAHDRSSNRRHAKKNCSGAEFDFSNDVSSSGIYWWRGGRLSRQVFRWKMLPQSLASKGGRQAGCRKIRGISYPDGLEFAKRSKNIAWRAAVEMSETIAQFIFLVKDFDSNIRWTELTNTQVFSQLAKEPKKSSKPLKKVTICKKSMEGLQVKYLLDFGKTDAIPAIVSRYGAMSDESTDGRKRFWLNESHVPLNLLKVFEEKNLACLSKKKDSDMVLEEENKDNVKKRKRSDGLAYLFIKAKGFEKQICGYCNKDVQIRDAVNCQHCDGLLHKKHFRVPKGAITTTYTCTRCKDKSSVKAKAQDGKITNTKAKAQDRKITNGKAKAQDPVITHVKAKANNPVTRPKRRKLSSGKQLVVKPKRKKNVSQKSSKKGKRVILKHKKKRLGFENCEGKREPIDPGMHKRKRTTMHFSYWLNGLLFTGKPDDERVRKFREGKVLLPSQSMEVPDMQPLCCLCNEKYNSGAIYICCENCEDWFHGDTFSLTLENINNLIGFKCPKCRMRSIPACSFGEARLVAESKPMKGNSKEEVLVVKVQGQS >DRNTG_16386.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15984914:15986740:1 gene:DRNTG_16386 transcript:DRNTG_16386.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVGRTLKKEFAGFGTFTGVVESYDSAAGYFRIEYEDGDSEEVDFEEIGEIFKGMGEAVPVGQMRRSARGRRPKKRRRIEVGSGGLGVVDSVELSGALDVVNAGVSDGGLRENGDLGSLGEGQMDIECLDGELVGKKLDFDGDGELEQNASLEKGDFDHMGEGFRENGGNELGLDGNHGGESTSCRLEMEEQVPRRKRGRPPKVASVTPLRRSARKASVALQSPDDPAVAKDGPVSEHSAWYGLQNGVLSEELKPLLPSSSSDLGLDGLAVLDFFSVYACLRSFSRLLFLSPFTVEAFLAALKCTSANSLTDWIHFSILHSLKPHLESLALEGSESATDCLRSLNWELLDIVTWPVYLAEYLLVHGSPLSMGYKLTDLKILSEGYYKQSPMVKLEILRCLCDDVIEVEGIRLELNRRMMEFDLDVDADNKMSIDRQTKDFMKTDPFTREASEETADGNSDECCLCRMDGVLICCDGCPAAFHSRCVGVAKDLLPEGDWYCPECMIKKNDELVKLVKPFHGAEFLGIDPHGRLYYESCGYLLV >DRNTG_31252.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3018985:3022256:1 gene:DRNTG_31252 transcript:DRNTG_31252.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit-related protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G12410) UniProtKB/Swiss-Prot;Acc:Q9XJ36] MAASLHYPAASCLNSPSMSCKTKSFVGLKPLSAGAFGSAKPNLSAGFHSKVHQSLSLRLSSRKGIRPCVYNMPIGTPRVPYRTPGEGTWQWVDLWNALYRDRVIFIGQPIDEEFSNQILATMLYLDSIESSQKLYLYINGPGGDLTPSMAIYDTMQSLKSPVATQCVGYAYNLAAFLLAAGEKV >DRNTG_31252.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3018985:3022256:1 gene:DRNTG_31252 transcript:DRNTG_31252.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit-related protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G12410) UniProtKB/Swiss-Prot;Acc:Q9XJ36] MAASLHYPAASCLNSPSMSCKTKSFVGLKPLSAGAFGSAKPNLSAGFHSKVHQSLSLRLSSRKGIRPCVYNMPIGTPRVPYRTPGEGTWQWVDLWNALYRDRVIFIGQPIDEEFSNQILATMLYLDSIESSQKLYLYINGPGGDLTPSMAIYDTMQSLKSPVATQCVGYAYNLAAFLLAAGEKVSRTAMPLARIALQSPAGAARGQADDIRNEANELLRIKEHLFGELAKKTGQPLEKINKDLSRMKRFTAQEALEYGIIDRVLRPARIKADAPRKDSTGPGIG >DRNTG_08270.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17545994:17551365:-1 gene:DRNTG_08270 transcript:DRNTG_08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPSFGEPGQCFALKGSTGFVDIKLRTAVFIKAITLEHVSESVSYDRSSAPKTGRVFGWIESEVTDAINETQSMVQLLDFEYDLEKNNVQTFDIDPHTMTVVDTVRLEVTSNHGNSIQTCIYRLRVHGFEANPVNKVLASH >DRNTG_22412.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22715712:22720887:1 gene:DRNTG_22412 transcript:DRNTG_22412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRHWEIIRRTPFAAFTELEAIYQERALLDSLLQRYDVHTNKFRIGESLLSFRPQDVALVIGLRCDGDAVVFQKKKTRSAFEGRYLSKTYERHRDSIKSTLVQLVRQRGEEDNFVKLLMVYLMGIVLFPNTSCSVPN >DRNTG_29015.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22256409:22257232:-1 gene:DRNTG_29015 transcript:DRNTG_29015.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPALLPWPAKLSSLARSPLYPTSSTPLEIKTQERRHTERERERMKKLGCSSPQV >DRNTG_15196.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5874278:5878082:1 gene:DRNTG_15196 transcript:DRNTG_15196.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSAGHQRASHGGRGRQGSEAAKGRLGFPSVGRSLEMEGAVKTK >DRNTG_15196.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5874278:5878082:1 gene:DRNTG_15196 transcript:DRNTG_15196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSAGHQRASHGGRGRQGSEAAKGRLGFPSVGRSLEMEGAVKTK >DRNTG_14580.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:3830421:3831152:-1 gene:DRNTG_14580 transcript:DRNTG_14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRGVEIVRFLLRDMYRLQVMTRENPSILIEGDPELERTLRRKGKEPVQEQPNPADLEVEGSDNMAEQNEQQ >DRNTG_24879.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18093171:18095257:1 gene:DRNTG_24879 transcript:DRNTG_24879.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFRAGRRMSLMRSTKAPPDFDHNR >DRNTG_24879.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18093171:18093436:1 gene:DRNTG_24879 transcript:DRNTG_24879.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFRAGRRMSLMRSTKAPPDFDHNR >DRNTG_01394.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000077.1:52707:62637:1 gene:DRNTG_01394 transcript:DRNTG_01394.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNPSILIEGDPELERTLRRKGKEPVQEQHNPVDLEVEGSENMAEQNEQQRTLSDYARPSVLGTQSSIVHPLITAQNFKLKPAFIHMLQQSAQFNGLADEDPNSHTESFLESAAPLIPPAIYYARLHQQGGKLWRRRLGEDPRHPRGSLQIFKIFTIQGDLKLEGVVLTSFLVYLFLGLVVLGCVIIALVLDALGLEGIHVQIHRDHREMFNPDPYEGLFDQEESNEEVMMLGSTGEETSTPGILKKVLQKMKRARRRHRKCSKIVGDVHEPRKLGKPLLGAWVISHARCETLQLLPPSRENTGCGRLPEATGAYVCPCGSWHLGQRSKLIRGHVSHPLSPRACDLRFPNIRSVISDCQDSVSDRLDFWTLLYCGSFS >DRNTG_00481.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30679897:30680464:1 gene:DRNTG_00481 transcript:DRNTG_00481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQTPKFTPRPKITLLPQEDVNAAKVQP >DRNTG_35343.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2681879:2687394:-1 gene:DRNTG_35343 transcript:DRNTG_35343.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGFVEGGIASIVAGCSTHPLDLIKVRMQLQGESLAAGAGAGAGLRPALAFQPSGSVTVPLHPLPRPGLISVGVQILRSEGPAALFSGISATVLRQTLYSTTRMGLYDMMKKSWSGDNGILPLHRKIAAGLIAGGIGAAVGNPADVAMVRMQADGRLPVAQRRNYKSVVDAISRMVREEGVTSLWRGSSLTVNRAMIVTASQLATYDQAKEAILRRRGAGADGMGTHVAASFAAGIVAAVASNPVDVVKTRVMNMRVGVGEVAPYAGAVDCAVKTVRAEGVMALYKGFIPTVSRQGPFTVVLFVTLEQVRALLKDF >DRNTG_35343.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2681879:2683472:-1 gene:DRNTG_35343 transcript:DRNTG_35343.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGFVEGGIASIVAGCSTHPLDLIKVRMQLQGESLAAGAGAGAGLRPALAFQPSGSVTVPLHPLPRPGLISVGVQILRSEGPAALFSGISATVLRQTLYSTTRMGLYDMMKKSWSGDNGILPLHRKIAAGLIAGGIGAAVGNPADVAMVRMQADGRLPVAQRRNYKSVVDAISRMVREEGVTSLWRGSSLTVNRAMIVTASQLATYDQAKEAILRRRGAGADGMGTHVAASFAAGIVAAVASNPVDVVKTRVMNMRVGVGEVAPYAGAVDCAVKTVRAEGVMALYKGFIPTVSRQGPFTVVLFVTLEQVRALLKDF >DRNTG_27366.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:2242655:2248747:1 gene:DRNTG_27366 transcript:DRNTG_27366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCDLGIESYLCRVSHGRVEITNARVPPTGTSSSTIVPSNHGQAMTDVYVPRARYVVTMNTRDFSSIVASELRNIYMEHCHQDESHMPKFRWRYGVLEEFIMTFDNAHAVLKYGAAVEQWNRERLH >DRNTG_23511.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22183383:22183878:1 gene:DRNTG_23511 transcript:DRNTG_23511.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGNTKQLKAEEITEPRQYNTEERKNAIKKYRSKRDKRNFSKRIKYHCRSEQANGQLRIRGRFARREDAENAQINHRHHHHHHQQQQQQQQVYISGDEGYSFSSESYAYSTENTESGGEEEWARQMQQLWSMNSDTFYL >DRNTG_34940.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17014500:17017179:-1 gene:DRNTG_34940 transcript:DRNTG_34940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLASGMKVALAPAVLGYINTSLDAMAFHPKGPCYASAYLLYHFFYGWIDAHFEGTYSRLNKPNDHIRNINGLREIPSLAYISGVKASTFALKRARIILRKEEYLKWCPFTCSDFKNEDWYEDHKEPSDNHKSSEPHVSSPAESRISPKRVQITSSSQIHSAPLHEPHQLPPQDQASVSKLESNPIASSPKTSPYAHDSFSSDFAHDDNYTPILDANPPIERPFSKEASKSPQVNPSPQHAKEDSTPKAMEVASMSSSLPQLVRKDIGLESFWVNKLIEKVKSSRPHEHESLREETTKLHKNLMTHGADISNTRNKIYEVLDMAKIAHGTNNGGSNLSLEEKEELMAKEERLRKTKLSLTTMSQHMDEANMELVQIRKKLQELRALEEELKAQEKEKEQIISSGMSLLLDTPPIEEVNKSLDDIHQQIEALEGGGDKSQPSLSYKEALANFERAKSQL >DRNTG_04440.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10239583:10240077:-1 gene:DRNTG_04440 transcript:DRNTG_04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDAPTVAKDPCSVRMFSPSRAHDYFERLENAVGVIRTEVAEEILERDVASSFVLRPRTPQTPPASPSPPPPSPFDLAPVAAEEPERGTDT >DRNTG_30396.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:77451:77810:1 gene:DRNTG_30396 transcript:DRNTG_30396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPGFILMSRMRRRPKPARAQSVEGRAARRWSFTRIAAPLCDRSGGGIKYCRRGIETRSWSHGGRCPPSLGVAGRPNHEPVNLCGKVTFPSRLPLNAW >DRNTG_34500.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1511315:1512268:1 gene:DRNTG_34500 transcript:DRNTG_34500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAEPKAPLALEAMAGALQRLVVRNEKLFIDGEEPKLGPEPEGKRRGLAAFRGARAPSISIATYLERIYRYTSCSPSCFVVGFIYVDRMVHKDPGSLVVSLNVHRVVLTSVMVASKVLDDV >DRNTG_34500.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1509656:1512268:1 gene:DRNTG_34500 transcript:DRNTG_34500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAEPKAPLALEAMAGALQRLVVRNEKLFIDGEEPKLGPEPEGKRRGLAAFRGARAPSISIATYLERIYRYTSCSPSCFVVGFIYVDRMVHKDPGSLVVSLNVHRVVLTSVMVASKVLDDVHYNNSFFARVGGVSNAELNRMELELLSLLDFGVMVSSRVFESYCFHLEKEMAWSGASQKIERTIIDSLNVEIETPENDKTKSFPSPPRKSLG >DRNTG_31629.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:806150:807351:-1 gene:DRNTG_31629 transcript:DRNTG_31629.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGMATGGSVPVTAAIAGRRIAFTTPTSYAPRLSGLLEIAGAECFAIPTVAVGATPRTLAAISPFFLPGTLDPFTALAFTSRAGISAAAAAICSLAEATPPLSDLGDPLTVAALGRDAELLHDNGLLSKLCRNPSRIRVLVPEIASPSGLVEALGDGIGRRVLCPVPDVVDLEEPPVVPDFIRDLESRNWVAIRVPAYETRWAGPRCVEALVRLDGKLDAIVFTSSAEVEGLLKGLEEFGWEWGRVRTRWPEMVVAAHGPVTARGAERLGITVDVVNSKFSSFDGILEALTSRWSDESSES >DRNTG_31629.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:806150:807553:-1 gene:DRNTG_31629 transcript:DRNTG_31629.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGMATGGSVPVTAAIAGRRIAFTTPTSYAPRLSGLLEIAGAECFAIPTVAVGATPRTLAAISPFFLPGTLDPFTALAFTSRAGISAAAAAICSLAEATPPLSDLGDPLTVAALGRDAELLHDNGLLSKLCRNPSRIRVLVPEIASPSGLVEALGDGIGRRVLCPVPDVVDLEEPPVVPDFIRDLESRNWVAIRVPAYETRWAGPRCVEALVRLDGKLDAIVFTSSAEVEGLLKGLEEFGWEWGRVRTRWPEMVVAAHGPVTARGAERLGITVDVVNSKFSSFDGILEALTSRWSDESSES >DRNTG_31629.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:806264:807553:-1 gene:DRNTG_31629 transcript:DRNTG_31629.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGMATGGSVPVTAAIAGRRIAFTTPTSYAPRLSGLLEIAGAECFAIPTVAVGATPRTLAAISPFFLPGTLDPFTALAFTSRAGISAAAAAICSLAEATPPLSDLGDPLTVAALGRDAELLHDNGLLSKLCRNPSRIRVLVPEIASPSGLVEALGDGIGRRVLCPVPDVVDLEEPPVVPDFIRDLESRNWVAIRVPAYETRWAGPRCVEALVRLDGKLDAIVFTSSAEVEGLLKGLEEFGWEWGRVRTRWPEMVVAAHGPVTARGAERLGITVDVVNSKFSSFDGILEALTSRWSDESSES >DRNTG_31629.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:806264:807290:-1 gene:DRNTG_31629 transcript:DRNTG_31629.15 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGMATGGSVPVTAAIAGRRIAFTTPTSYAPRLSGLLEIAGAECFAIPTVAVGATPRTLAAISPFFLPGTLDPFTALAFTSRAGISAAAAAICSLAEATPPLSDLGDPLTVAALGRDAELLHDNGLLSKLCRNPSRIRVLVPEIASPSGLVEALGDGIGRRVLCPVPDVVDLEEPPVVPDFIRDLESRNWVAIRVPAYETRWAGPRCVEALVRLDGKLDAIVFTSSAEVEGLLKGLEEFGWEWGRVRTRWPEMVVAAHGPVTARGAERLGITVDVVNSKFSSFDGILEALTSRWSDESSES >DRNTG_31629.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:806219:807351:-1 gene:DRNTG_31629 transcript:DRNTG_31629.12 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGMATGGSVPVTAAIAGRRIAFTTPTSYAPRLSGLLEIAGAECFAIPTVAVGATPRTLAAISPFFLPGTLDPFTALAFTSRAGISAAAAAICSLAEATPPLSDLGDPLTVAALGRDAELLHDNGLLSKLCRNPSRIRVLVPEIASPSGLVEALGDGIGRRVLCPVPDVVDLEEPPVVPDFIRDLESRNWVAIRVPAYETRWAGPRCVEALVRLDGKLDAIVFTSSAEVEGLLKGLEEFGWEWGRVRTRWPEMVVAAHGPVTARGAERLGITVDVVNSKFSSFDGILEALTSRWSDESSES >DRNTG_31629.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:806150:807290:-1 gene:DRNTG_31629 transcript:DRNTG_31629.11 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGMATGGSVPVTAAIAGRRIAFTTPTSYAPRLSGLLEIAGAECFAIPTVAVGATPRTLAAISPFFLPGTLDPFTALAFTSRAGISAAAAAICSLAEATPPLSDLGDPLTVAALGRDAELLHDNGLLSKLCRNPSRIRVLVPEIASPSGLVEALGDGIGRRVLCPVPDVVDLEEPPVVPDFIRDLESRNWVAIRVPAYETRWAGPRCVEALVRLDGKLDAIVFTSSAEVEGLLKGLEEFGWEWGRVRTRWPEMVVAAHGPVTARGAERLGITVDVVNSKFSSFDGILEALTSRWSDESSES >DRNTG_31629.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:806219:807553:-1 gene:DRNTG_31629 transcript:DRNTG_31629.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGMATGGSVPVTAAIAGRRIAFTTPTSYAPRLSGLLEIAGAECFAIPTVAVGATPRTLAAISPFFLPGTLDPFTALAFTSRAGISAAAAAICSLAEATPPLSDLGDPLTVAALGRDAELLHDNGLLSKLCRNPSRIRVLVPEIASPSGLVEALGDGIGRRVLCPVPDVVDLEEPPVVPDFIRDLESRNWVAIRVPAYETRWAGPRCVEALVRLDGKLDAIVFTSSAEVEGLLKGLEEFGWEWGRVRTRWPEMVVAAHGPVTARGAERLGITVDVVNSKFSSFDGILEALTSRWSDESSES >DRNTG_31629.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:806080:807351:-1 gene:DRNTG_31629 transcript:DRNTG_31629.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGMATGGSVPVTAAIAGRRIAFTTPTSYAPRLSGLLEIAGAECFAIPTVAVGATPRTLAAISPFFLPGTLDPFTALAFTSRAGISAAAAAICSLAEATPPLSDLGDPLTVAALGRDAELLHDNGLLSKLCRNPSRIRVLVPEIASPSGLVEALGDGIGRRVLCPVPDVVDLEEPPVVPDFIRDLESRNWVAIRVPAYETRWAGPRCVEALVRLDGKLDAIVFTSSAEVEGLLKGLEEFGWEWGRVRTRWPEMVVAAHGPVTARGAERLGITVDVVNSKFSSFDGILEALTSRWSDESSES >DRNTG_31629.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:805744:807351:-1 gene:DRNTG_31629 transcript:DRNTG_31629.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGMATGGSVPVTAAIAGRRIAFTTPTSYAPRLSGLLEIAGAECFAIPTVAVGATPRTLAAISPFFLPGTLDPFTALAFTSRAGISAAAAAICSLAEATPPLSDLGDPLTVAALGRDAELLHDNGLLSKLCRNPSRIRVLVPEIASPSGLVEALGDGIGRRVLCPVPDVVDLEEPPVVPDFIRDLESRNWVAIRVPAYETRWAGPRCVEALVRLDGKLDAIVFTSSAEVEGLLKGLEEFGWEWGRVRTRWPEMVVAAHGPVTARGAERLGITVDVVNSKFSSFDGILEALTSRWSDESSES >DRNTG_31629.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:806219:807290:-1 gene:DRNTG_31629 transcript:DRNTG_31629.14 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGMATGGSVPVTAAIAGRRIAFTTPTSYAPRLSGLLEIAGAECFAIPTVAVGATPRTLAAISPFFLPGTLDPFTALAFTSRAGISAAAAAICSLAEATPPLSDLGDPLTVAALGRDAELLHDNGLLSKLCRNPSRIRVLVPEIASPSGLVEALGDGIGRRVLCPVPDVVDLEEPPVVPDFIRDLESRNWVAIRVPAYETRWAGPRCVEALVRLDGKLDAIVFTSSAEVEGLLKGLEEFGWEWGRVRTRWPEMVVAAHGPVTARGAERLGITVDVVNSKFSSFDGILEALTSRWSDESSES >DRNTG_31629.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:805744:807351:-1 gene:DRNTG_31629 transcript:DRNTG_31629.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGMATGGSVPVTAAIAGRRIAFTTPTSYAPRLSGLLEIAGAECFAIPTVAVGATPRTLAAISPFFLPGTLDPFTALAFTSRAGISAAAAAICSLAEATPPLSDLGDPLTVAALGRDAELLHDNGLLSKLCRNPSRIRVLVPEIASPSGLVEALGDGIGRRVLCPVPDVVDLEEPPVVPDFIRDLESRNWVAIRVPAYETRWAGPRCVEALVRLDGKLDAIVFTSSAEVEGLLKGLEEFGWEWGRVRTRWPEMVVAAHGPVTARGAERLGITVDVVNSKFSSFDGILEALTSRWSDESSES >DRNTG_31629.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:805744:807553:-1 gene:DRNTG_31629 transcript:DRNTG_31629.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGMATGGSVPVTAAIAGRRIAFTTPTSYAPRLSGLLEIAGAECFAIPTVAVGATPRTLAAISPFFLPGTLDPFTALAFTSRAGISAAAAAICSLAEATPPLSDLGDPLTVAALGRDAELLHDNGLLSKLCRNPSRIRVLVPEIASPSGLVEALGDGIGRRVLCPVPDVVDLEEPPVVPDFIRDLESRNWVAIRVPAYETRWAGPRCVEALVRLDGKLDAIVFTSSAEVEGLLKGLEEFGWEWGRVRTRWPEMVVAAHGPVTARGAERLGITVDVVNSKFSSFDGILEALTSRWSDESSES >DRNTG_31629.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:806264:807351:-1 gene:DRNTG_31629 transcript:DRNTG_31629.13 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGMATGGSVPVTAAIAGRRIAFTTPTSYAPRLSGLLEIAGAECFAIPTVAVGATPRTLAAISPFFLPGTLDPFTALAFTSRAGISAAAAAICSLAEATPPLSDLGDPLTVAALGRDAELLHDNGLLSKLCRNPSRIRVLVPEIASPSGLVEALGDGIGRRVLCPVPDVVDLEEPPVVPDFIRDLESRNWVAIRVPAYETRWAGPRCVEALVRLDGKLDAIVFTSSAEVEGLLKGLEEFGWEWGRVRTRWPEMVVAAHGPVTARGAERLGITVDVVNSKFSSFDGILEALTSRWSDESSES >DRNTG_31629.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:805744:807553:-1 gene:DRNTG_31629 transcript:DRNTG_31629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGMATGGSVPVTAAIAGRRIAFTTPTSYAPRLSGLLEIAGAECFAIPTVAVGATPRTLAAISPFFLPGTLDPFTALAFTSRAGISAAAAAICSLAEATPPLSDLGDPLTVAALGRDAELLHDNGLLSKLCRNPSRIRVLVPEIASPSGLVEALGDGIGRRVLCPVPDVVDLEEPPVVPDFIRDLESRNWVAIRVPAYETRWAGPRCVEALVRLDGKLDAIVFTSSAEVEGLLKGLEEFGWEWGRVRTRWPEMVVAAHGPVTARGAERLGITVDVVNSKFSSFDGILEALTSRWSDESSES >DRNTG_31629.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:806080:807553:-1 gene:DRNTG_31629 transcript:DRNTG_31629.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGMATGGSVPVTAAIAGRRIAFTTPTSYAPRLSGLLEIAGAECFAIPTVAVGATPRTLAAISPFFLPGTLDPFTALAFTSRAGISAAAAAICSLAEATPPLSDLGDPLTVAALGRDAELLHDNGLLSKLCRNPSRIRVLVPEIASPSGLVEALGDGIGRRVLCPVPDVVDLEEPPVVPDFIRDLESRNWVAIRVPAYETRWAGPRCVEALVRLDGKLDAIVFTSSAEVEGLLKGLEEFGWEWGRVRTRWPEMVVAAHGPVTARGAERLGITVDVVNSKFSSFDGILEALTSRWSDESSES >DRNTG_13633.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7518700:7527064:-1 gene:DRNTG_13633 transcript:DRNTG_13633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDFFTLTEMKNGLATSARVEELISMMQKQVDNVTSNTGDAARQWSIVAGTLAATDNKDCLHHFVQLNGVILLNRWLQEAIKCSDEAGDSSVEELVNALLGSLERLPIDNEKSIASGINITVERLLCHKTCNIQERAKVLLDQWNCARVYDAKCQITDTGDAPSADDATKGCVMHQTSPEGNSSCKPKFEDTALGIVSPDNEHGSKCSNTTQLDNAGTLEVPISHVSSPGADLITIDADAVSSDRMGSSHLSTSCMNNLARTGESSVCPAISMASTSTCNNIDGGRKVDESNSQLDDGVNDEKQIEVAVNAKGDKEGSHLENCNISSSDLSQRKPLKESNDNFDSKESSSCIPKDAVSQVSEIGDDESLKYTRNFNDCQGKENEDHSLDCQGLFSKATINREIDVIETNCQLKEAFSSKDDTVKVDGTYNLKVNGVDLKAVEAKLRGVTDRPSDLELECGEIDAVDVAQQDARKVEHEVSNYREPSSRSPEISSEKIEANTFDSLESKEGQPPIKEQEVNGQAIGNNVSERPSSPMEDGLKVSEDLVFDPKKEDMASLKSVAMDKKPAGEVAVCRLDFDLNADVADEENDCSMYPSNKSSVILSAPKAVVASSKGTSGLPVPRLQFEGEMGWRGSAATSAFRPTSSQKTPDSSSGSKQKPVFLGIDLNMTSEDGEAANTTSVKQLPHSGFPSADSSIEVSSRRSEKLNLDLNRLGDEEISPYSSLNWRPLHKIADRSMSPSSSSSSRVPSTRDFDLNDNLSLLGVVGSQNLNRSSLNTSGTYDSSKTEDPCITIMGSRMTVERKSYADQPSPSHQAFLGGGVNIGTNMAARTMMPYHMPATAYGYNTIGMETAMPIPSALYGLHCTPYLVDSSGVKVIPQLLRTSEQNTSTSGAFHLGVGSDRPGWNMPEASEPALDLNFAMTPMESASRQPGSLKQFFGFLEEQATTSQPESSGMSLKRKEPDSGWDSHPFSYKQVKSSWL >DRNTG_03896.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18932829:18934178:-1 gene:DRNTG_03896 transcript:DRNTG_03896.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLCFSPRSILTSLRRRLLSSSGHRPPSPSDEDEDDPHPPPVTVDPSYPMLTKSSPSSNKTVSASNPRPPSTISGQISPPPSSMSSSASSTPP >DRNTG_03896.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18928913:18934178:-1 gene:DRNTG_03896 transcript:DRNTG_03896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFSMRVIDWNCEIPHKGPFCDLMWSDLEEIETWVVSPHGAGCLFGSRVTSEFNHINKLDLICRAHQLVQEGLKYVFQDKGLVTVWSAPNYCYRCGNVASILSFNENMVSLER >DRNTG_03896.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18927679:18930377:-1 gene:DRNTG_03896 transcript:DRNTG_03896.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIDWNCEIPHKGPFCDLMWSDLEEIETWVVSPHGAGCLFGSRVTSEFNHINKLDLICRAHQLVQEGLKYVFQDKGLVTVWSAPNYCYRCGNVASILSFNENMVSLDLHIRRPLVQGLNKDGVY >DRNTG_03436.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3192411:3198998:-1 gene:DRNTG_03436 transcript:DRNTG_03436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITNAYIEEKEYSFLILMLAARSCSVNTYSRTSQLMFLDLVLKFPHEEWTRWILDTPMTCWFYIINPSNMALLLPSMEVALLLLTYIRLWKPLLLPPRQSQLSDTQNFDSHKYLSETAMKLMKVPSLKRMISSPRADTQSDFNACSASSVSEKGHFNVYTTEGKRFIVPLAYLYNKIFKELLRISEEEFGLPCDGPITLPCDAASMEYVLSLLRRGVSKEIEMQLLSSIFIYCQSTCSMLAVENPQQIAVFIYFIYFLVDKPQTLLRKFVVFEYLFNHLNSTQFRLPIAADVVEGLNDDTNNVIETNLEFDEEHTSAVPTENHMSNGPNVSRPPLKRPKMLDVANKFIENMDNYMSAVRSSILLIVKKMPDPPMERNLGEEVNKLGLSEDEEVDLIIKFSHKPEYEKYLWELNGV >DRNTG_15073.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15164703:15165432:-1 gene:DRNTG_15073 transcript:DRNTG_15073.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVLSRSVNGHGDSTGVLSRQELLYLYSMDQHLIRWPLHYEAYYGHGPNGPERDGDAIEGSQPL >DRNTG_16075.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25637350:25638766:-1 gene:DRNTG_16075 transcript:DRNTG_16075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPKSSFTILFFFIFLFVYSANAGGSDGWQAAHATFYGGGDATGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEMKCDDDPQWCLPGSIMVTATNYCPPNYALSNTNGGWCNPPLQHFDLAEPAFLQIAQYRAGIVPVSFRRVPCVKKGGVRFTINGHSYFNLVLISNVGGAGDVHAVSIKGSKTGWQTMSRNWGQNWQSNSYLNGQSLSFKVTTSDGRMITAYNVAPAGWQFGQTFEGGQF >DRNTG_15892.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5789397:5793504:1 gene:DRNTG_15892 transcript:DRNTG_15892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIQILQQNKTTSTDCPSRSTHRSNTHDLVR >DRNTG_16835.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18081895:18084145:-1 gene:DRNTG_16835 transcript:DRNTG_16835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTPKHQFLVKLINPGSKLHIPQAFAKHIAKDNHGKATIFILNKFWHVKVQEDEQGLHFGDGWQELRKALDLMDGYNVVFSYEGNMVFTLKVFDLSCCRKYDFMSNYTVPLKKRKIQHADNNLRHFNVDDVCESVRPAFQREISESNIVYSYLCIPSEFASLAGLLTPKQVFLKDQTGKLWSVNVNHFRGTTKFQKGWGKFIQHNNVKSGDLCRFELISKENVIISVNITKAWNVSC >DRNTG_20867.12.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001167.1:3819:4730:1 gene:DRNTG_20867 transcript:DRNTG_20867.12 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVWPTREESMAGGVGGSDSVKFAREMGTLLHMEVG >DRNTG_20867.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001167.1:2195:4672:1 gene:DRNTG_20867 transcript:DRNTG_20867.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVWPTREESMAGGVGGSDSVKFAREMGTLL >DRNTG_20867.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001167.1:2195:4672:1 gene:DRNTG_20867 transcript:DRNTG_20867.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVWPTREESMAGGVGGSDSVKFAREMGTLL >DRNTG_20867.11.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001167.1:2278:4672:1 gene:DRNTG_20867 transcript:DRNTG_20867.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVWPTREESMAGGVGGSDSVKFAREMGTLL >DRNTG_20867.9.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001167.1:2278:4672:1 gene:DRNTG_20867 transcript:DRNTG_20867.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVWPTREESMAGGVGGSDSVKFAREMGTLL >DRNTG_20867.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001167.1:2107:4730:1 gene:DRNTG_20867 transcript:DRNTG_20867.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVWPTREESMAGGVGGSDSVKFAREMGTLLHMEVG >DRNTG_20867.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001167.1:2278:4730:1 gene:DRNTG_20867 transcript:DRNTG_20867.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVWPTREESMAGGVGGSDSVKFAREMGTLLHMEVG >DRNTG_20867.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001167.1:2195:4730:1 gene:DRNTG_20867 transcript:DRNTG_20867.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVWPTREESMAGGVGGSDSVKFAREMGTLLHMEVG >DRNTG_20867.10.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001167.1:2278:4672:1 gene:DRNTG_20867 transcript:DRNTG_20867.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVWPTREESMAGGVGGSDSVKFAREMGTLL >DRNTG_20867.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001167.1:2278:4730:1 gene:DRNTG_20867 transcript:DRNTG_20867.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVWPTREESMAGGVGGSDSVKFAREMGTLLHMEVG >DRNTG_20867.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001167.1:2278:4730:1 gene:DRNTG_20867 transcript:DRNTG_20867.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVWPTREESMAGGVGGSDSVKFAREMGTLLHMEVG >DRNTG_20867.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001167.1:2195:4672:1 gene:DRNTG_20867 transcript:DRNTG_20867.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVWPTREESMAGGVGGSDSVKFAREMGTLL >DRNTG_20955.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1191138:1268106:1 gene:DRNTG_20955 transcript:DRNTG_20955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPANSHKLQSNQIKHKPMAQYHHLLPFLALIVLLILPSSSHAILTDALFSETNDFIFGGQNLTNGNGTLSLLNNCGLSYYIKGQKVVDFNTTINGTKGCTLSVTRRGLLSLDDGSGNAPRTLGTAGELGEYVLLASNERFGVYGPRIWDNGVSRPPSTTLENKKLLRATSNNFIYSDNSIDGIANGNATIATNDDVKAYITQKCTLSVKNSTGINIWNSNSSSAEPRICSLYLTRRGVLPLLYYDESNELHTQWTGGALAELKKYYVLVLRYYGGLDIYGVSINVRNIPPYSGPVTENIKMVTA >DRNTG_20955.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1191138:1192359:1 gene:DRNTG_20955 transcript:DRNTG_20955.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQPANSHKLQSNQIKHKPMAQYHHLLPFLALIVLLILPSSSHAILTDALFSETNDFIFGGQNLTNGNGTLSLLNNCGLSYYIKGQKVVDFNTTINGTKGCTLSVTRRGLLSLDDGSGNAPRTLGTAGELGEYVLLASNERFGVYGPRIWDNGVSRPPSTTLENKKLLRATSNNFIYSDNSIDGIANGNATIATNDDVKAYITQKCTLSVKNSTGTIWESTPSSNESNVCSLWLTNRGPLLLQYEDSKGLQTQWTGGAFEKVNLYVALLRSFGKIGIYGLKDDIRDISPYPGSAAENIKMVTA >DRNTG_20955.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1191138:1267268:1 gene:DRNTG_20955 transcript:DRNTG_20955.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPANSHKLQSNQIKHKPMAQYHHLLPFLALIVLLILPSSSHAILTDALFSETNDFIFGGQNLTNGNGTLSLLNNCGLSYYIKGQKVIDFNTPTDEEGCYLTINNFGQLVIKYSNERKKPVTLGTAGKYGTYALLTTKIWDW >DRNTG_20955.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1200068:1268106:1 gene:DRNTG_20955 transcript:DRNTG_20955.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADHHIHHLLPLILALILLILPSSSHASNQTDGLFSEPYDFLVSGNNLTDGNQTLSLQPDCGLYYYVNGTLVKNFQTNTDSTGCILTINYFGQLVLDKGDGTQPQTLGTAGALGFYALLLTDDLLGVYGPRTWSNGILRSRTLKNKNLKQATSNNFIYSDNSIDGVANGNATIATNEGVTAYITQKCTLSVKNSAGIIWESTPSINGSYVCYLWLTNRGPLLLQYEDSKGLQTQWTGGVLGEKNKYVAVLRSYGGIDIYGLKDGILDIPPVTAAVAKNIKMVTA >DRNTG_15211.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23261121:23269373:-1 gene:DRNTG_15211 transcript:DRNTG_15211.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G16750) UniProtKB/TrEMBL;Acc:Q9LFE2] MGMACHASGGLLATAGADKRVCVWDVDGGFCTHFFKGHEGVVTNVMFHNDPNNLLLFSGSDDACVRIWNLQSKKCVTVLKEHFSPVTSLALSEDGNTLLSASRDKVVNVWDLHDYSLKTVPTYEMVESICVIPAGTCFSTLVSNLSTRKRKSKTSIPIHFLTVGERGVVRIWSSEGAVCLYEQRSSDETPSSDESRRGFRSAVMLPSDQGLICVTADQQFLFYSAMKSSEETSQLNLYRRLVGYNEEVLDMRFLGEEEQYLAVATNQEQVRVYEVSSMSCSYVLAGHTDTVVCLDSCISSLGSALLVTGSKDNTVRVWDVESKNCIGIGKNNMGAVGAVAFSRKHRNFFVSGSCERMIRVWSLDGVSDAYHESTLKVKAFVAAHDKDINSLAVAPNDSLLCSGSEDRTACIWRLPDLVSLHVLKGHKRGIWSVEFSPVDQCVMTSSGDKTIKIWAISDGSCLRTFEGHNSSVLRAAFLTRGTQLISCGKMGLPWGAIRSTFCISLSLKCHPFSFRW >DRNTG_15211.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23261121:23269373:-1 gene:DRNTG_15211 transcript:DRNTG_15211.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G16750) UniProtKB/TrEMBL;Acc:Q9LFE2] MVARATHSLKRSYRCVQSLQQFYSGGPFAISPDGSFLACGCGEEIKIVDSSTAAVRRTLEGDSEAVTAITISSDGRLLYSAGHSRLIRVWDIPSWKCLRSWKGHDGPVMGMACHASGGLLATAGADKRVCVWDVDGGFCTHFFKGHEGVVTNVMFHNDPNNLLLFSGSDDACVRIWNLQSKKCVTVLKEHFSPVTSLALSEDGNTLLSASRDKVVNVWDLHDYSLKTVPTYEMVESICVIPAGTCFSTLVSNLSTRKRKSKTSIPIHFLTVGERGVVRIWSSEGAVCLYEQRSSDETPSSDESRRGFRSAVMLPSDQGLICVTADQQFLFYSAMKSSEETSQLNLYRRLVGYNEEVLDMRFLGEEEQYLAVATNQEQVRVYEVSSMSCSYVLAGHTDTVVCLDSCISSLGSALLVTGSKDNTVRVWDVESKNCIGIGKNNMGAVGAVAFSRKHRNFFVSGSCERMIRVWSLDGVSDAYHESTLKVKAFVAAHDKDINSLAVAPNDSLLCSGSEDRTACIWRLPDLVSLHVLKGHKRGIWSVEFSPVDQCVMTSSGDKTIKIWAISDGSCLRTFEGHNSSVLRAAFLTRGTQLISCGGDGLVKLWTVKASECIATYDQHEGKVWALAVGKKTEMLATGGTDATINMWHDCTAADKEEAFHKEEEAILRGQELENAVTDSDYTKAIQLAFELRRPHKLLDLFSVTFRSGGDGDPIGKAISALGKDELRVLLEYIREWNTKPKFCHVAQFILSRFFKIFPPEEILEIKGIGELIEGILPYSKRHFTRMDRHVRNTFLLDYTLTQMKVLEPETSVFSSKTHPRLHQEEDGHPRNASPKENGSTNNSLSDNNIKVPTALASEVSEPASLKKRKSSKSKKKQRDVTLISV >DRNTG_15211.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23261121:23266824:-1 gene:DRNTG_15211 transcript:DRNTG_15211.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G16750) UniProtKB/TrEMBL;Acc:Q9LFE2] MLPSDQGLICVTADQQFLFYSAMKSSEETSQLNLYRRLVGYNEEVLDMRFLGEEEQYLAVATNQEQVRVYEVSSMSCSYVLAGHTDTVVCLDSCISSLGSALLVTGSKDNTVRVWDVESKNCIGIGKNNMGAVGAVAFSRKHRNFFVSGSCERMIRVWSLDGVSDAYHESTLKVKAFVAAHDKDINSLAVAPNDSLLCSGSEDRTACIWRLPDLVSLHVLKGHKRGIWSVEFSPVDQCVMTSSGDKTIKIWAISDGSCLRTFEGHNSSVLRAAFLTRGTQLISCGGDGLVKLWTVKASECIATYDQHEGKVWALAVGKKTEMLATGGTDATINMWHDCTAADKEEAFHKEEEAILRGQELENAVTDSDYTKAIQLAFELRRPHKLLDLFSVTFRSGGDGDPIGKAISALGKDELRVLLEYIREWNTKPKFCHVAQFILSRFFKIFPPEEILEIKGIGELIEGILPYSKRHFTRMDRHVRNTFLLDYTLTQMKVLEPETSVFSSKTHPRLHQEEDGHPRNASPKENGSTNNSLSDNNIKVPTALASEVSEPASLKKRKSSKSKKKQRDVTLISV >DRNTG_21310.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1516508:1518231:1 gene:DRNTG_21310 transcript:DRNTG_21310.11 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQLPYLLEKTHQKLMNIINATIS >DRNTG_21310.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1516508:1518927:1 gene:DRNTG_21310 transcript:DRNTG_21310.3 gene_biotype:protein_coding transcript_biotype:protein_coding ALHKNINFPNKSLTLNQGKKNKEHHSQINISIKFRPWAWFLIQYNLKTQSENLNYYKLKILKP >DRNTG_21310.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1516508:1518886:1 gene:DRNTG_21310 transcript:DRNTG_21310.4 gene_biotype:protein_coding transcript_biotype:protein_coding ALHKNINFPNKSLTLNQGKKNKEHHSQINISIKFRPWAWFLIQYNLKTQSENLNYYKLKILKP >DRNTG_21310.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1516363:1518231:1 gene:DRNTG_21310 transcript:DRNTG_21310.8 gene_biotype:protein_coding transcript_biotype:protein_coding ALHKNINFPNKSLTLNQGKKNKEHHSQINISIKFRPWAWFLIQYNLKTQSENLNYYKLKILKP >DRNTG_21310.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1516508:1518309:1 gene:DRNTG_21310 transcript:DRNTG_21310.9 gene_biotype:protein_coding transcript_biotype:protein_coding ALHKNINFPNKSLTLNQGKKNKEHHSQINISIKFRPWAWFLIQYNLKTQSENLNYYKLKILKP >DRNTG_21310.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1516573:1518927:1 gene:DRNTG_21310 transcript:DRNTG_21310.5 gene_biotype:protein_coding transcript_biotype:protein_coding ALHKNINFPNKSLTLNQGKKNKEHHSQINISIKFRPWAWFLIQYNLKTQSENLNYYKLKILKP >DRNTG_21310.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1516363:1518927:1 gene:DRNTG_21310 transcript:DRNTG_21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALHKNINFPNKSLTLNQGKKNKEHHSQINISIKFRPWAWFLIQYNLKTQSENLNYYKLKILKP >DRNTG_21310.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1516573:1518309:1 gene:DRNTG_21310 transcript:DRNTG_21310.10 gene_biotype:protein_coding transcript_biotype:protein_coding ALHKNINFPNKSLTLNQGKKNKEHHSQINISIKFRPWAWFLIQYNLKTQSENLNYYKLKILKP >DRNTG_21310.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1516363:1518309:1 gene:DRNTG_21310 transcript:DRNTG_21310.7 gene_biotype:protein_coding transcript_biotype:protein_coding ALHKNINFPNKSLTLNQGKKNKEHHSQINISIKFRPWAWFLIQYNLKTQSENLNYYKLKILKP >DRNTG_21310.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1516363:1518886:1 gene:DRNTG_21310 transcript:DRNTG_21310.2 gene_biotype:protein_coding transcript_biotype:protein_coding ALHKNINFPNKSLTLNQGKKNKEHHSQINISIKFRPWAWFLIQYNLKTQSENLNYYKLKILKP >DRNTG_21310.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1516573:1518886:1 gene:DRNTG_21310 transcript:DRNTG_21310.6 gene_biotype:protein_coding transcript_biotype:protein_coding ALHKNINFPNKSLTLNQGKKNKEHHSQINISIKFRPWAWFLIQYNLKTQSENLNYYKLKILKP >DRNTG_21310.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1516573:1518231:1 gene:DRNTG_21310 transcript:DRNTG_21310.12 gene_biotype:protein_coding transcript_biotype:protein_coding ALHKNINFPNKSLTLNQGKKNKEHHSQINISIKFRPWAWFLIQYNLKTQSENLNYYKLKILKP >DRNTG_32023.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27457579:27460185:-1 gene:DRNTG_32023 transcript:DRNTG_32023.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLVNDRRQQTRMDRWIDGREEEKDGREKERGERWPGREERGKRESEKTLTSEAARLGGREKR >DRNTG_32023.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27457341:27460247:-1 gene:DRNTG_32023 transcript:DRNTG_32023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLVNDRRQQTRMDRWIDGREEEKDGREKERGERWPGREERGKRESEKTLTSEAARLGGREKRK >DRNTG_32023.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27457579:27460185:-1 gene:DRNTG_32023 transcript:DRNTG_32023.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLVNDRRQQTRMDRWIDGREEEKDGREKERGERWPGREERGKRESEKTLTSEAARLGGREKR >DRNTG_32023.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27457341:27460185:-1 gene:DRNTG_32023 transcript:DRNTG_32023.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLVNDRRQQTRMDRWIDGREEEKDGREKERGERWPGREERGKRESEKTLTSEAARLGGREKRK >DRNTG_32023.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27457547:27460185:-1 gene:DRNTG_32023 transcript:DRNTG_32023.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLVNDRRQQTRMDRWIDGREEEKDGREKERGERWPGREERGKRESEKTLTSEAARLGGREKRK >DRNTG_32023.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27457579:27460247:-1 gene:DRNTG_32023 transcript:DRNTG_32023.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLVNDRRQQTRMDRWIDGREEEKDGREKERGERWPGREERGKRESEKTLTSEAARLGGREKR >DRNTG_32023.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27457579:27460185:-1 gene:DRNTG_32023 transcript:DRNTG_32023.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLVNDRRQQTRMDRWIDGREEEKDGREKERGERWPGREERGKRESEKTLTSEAARLGGREKR >DRNTG_32023.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27457547:27460247:-1 gene:DRNTG_32023 transcript:DRNTG_32023.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLVNDRRQQTRMDRWIDGREEEKDGREKERGERWPGREERGKRESEKTLTSEAARLGGREKRK >DRNTG_32023.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27457341:27460185:-1 gene:DRNTG_32023 transcript:DRNTG_32023.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLVNDRRQQTRMDRWIDGREEEKDGREKERGERWPGREERGKRESEKTLTSEAARLGGREKRK >DRNTG_32023.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27457547:27460185:-1 gene:DRNTG_32023 transcript:DRNTG_32023.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLVNDRRQQTRMDRWIDGREEEKDGREKERGERWPGREERGKRESEKTLTSEAARLGGREKRK >DRNTG_32023.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27457579:27460247:-1 gene:DRNTG_32023 transcript:DRNTG_32023.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLVNDRRQQTRMDRWIDGREEEKDGREKERGERWPGREERGKRESEKTLTSEAARLGGREKR >DRNTG_14461.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000693.1:39711:40992:1 gene:DRNTG_14461 transcript:DRNTG_14461.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEPAYRALTLEVLASFEFHLMSVLGRADNTAALTRQDLFFLYSMARNVPIHLGCILADILSVEALLKADRENRNSENPHERVKIIHVRAEIPHGHVKDPQARVVARF >DRNTG_33635.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1921114:1924540:1 gene:DRNTG_33635 transcript:DRNTG_33635.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRLPCQHSSTLQLQKSAKLDGSGVVAKFKARPLEKKIFSSKGDIGVFRSIKQETTTPKEFNFETNKRLQQNPPIDLFSKLSLNSEAQQSNASLGSKENIIKLPRQEPKRETFRNFEGQCTQAGSAVSSSFRTQANTFRSLGIR >DRNTG_33635.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1921114:1923143:1 gene:DRNTG_33635 transcript:DRNTG_33635.2 gene_biotype:protein_coding transcript_biotype:protein_coding RRLPCQHSSTLQLQKSAKLDGSGVVAKFKARPLEKKIFSSKGDIGVFRSIKQETTTPKEFNFETNKRLQQNPPIDLFSKLSLNSEAQQSNASLVIISQSIPVINK >DRNTG_24188.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22383417:22388939:-1 gene:DRNTG_24188 transcript:DRNTG_24188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSELKESARVAAFGVLFTLGFIIFVIFFVVAIEILGLFILVFTTALSKRLNLLEIISHIFWFRVVYEASHYFICAIMPLEFQPNNSRAYKDEEVPGELKFPRNTLFLFKYLLNNPSETAADFAECFTRHILSNLGFRLHLSLSSSSSSVSVALSLKV >DRNTG_24950.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17234717:17236195:1 gene:DRNTG_24950 transcript:DRNTG_24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKTRVEKNSRNYLPFTFPTAQSLSIPQH >DRNTG_07144.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:13137912:13149096:-1 gene:DRNTG_07144 transcript:DRNTG_07144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQTYCNTVFHNPVESQDIPDYLFSSNSANTSYENLTTQGSTSLSIWHSGEPSSSGHSVNYCHCDGPKQEHMWPSSMNIDEESPALEERDFQATGPLSLERVNINLNSNHVVDADSFLQDPNSGDVPQSSDMDVRHNTTTSPASETEPRSLPYNTVLMQSAYLPSTSRTPNPSGSSSGCPGIVLDDSDSRSEASLDSRRLSCKRKNIEGVSGQASSSGNAGFPFHNENSSLHSVSAPHNVATVINISGSSTEAAGSNPSEVQSTERSSAIVRGTVSERYPISVGRVENSVRNFRMRFNPTHQHDICPSNIPTTGVTIRQSNVWSSHQPSSHAVPLNHSVDSRLAVSGSSSQPQHQLSMLNRLTPDVHSSQWNGDANSRVGSQPSTGDSGERLNSSGEEVRSRSIHGNSISGHSLLAYPYDIRQTGQDPTHLHIGNVSSNVPQNTLPASRAGTSSGTHSPVGATWVPQHILHGQQQLLEAVRRPPHLGSSVRGERSNIVPAHPSSHLVSSHETNHHSGVGFHGSHQSLLRSAFLAERQNDGVLSAPLSIRNLAAVRESRYRMLSEIRNALDLMRRGENLRFEDVFVLDQSAFIGGGADLHDRHRDMRLDVDNMSYEELLALEERIGNVSTGLGEEKISECLKQRVYIPLTESSNEMEPCCVCQEEYVEGEDIGALECGHDFHAACIKQWLTHKNLCPICKTTGLVT >DRNTG_07144.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:13137912:13149096:-1 gene:DRNTG_07144 transcript:DRNTG_07144.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQTYCNTVFHNPVESQDIPDYLFSSNSANTSYENLTTQGSTSLSIWHSGEPSSSGHSVNYCHCDGPKQEHMWPSSMNIDEESPALEERDFQATGPLSLERVNINLNSNHVVDADSFLQDPNSGDVPQSSDMDVRHNTTTSPASETEPRSLPYNTVLMQSAYLPSTSRTPNPSGSSSGCPGIVLDDSDSRSEASLDSRRLSCKRKNIEGVSGQASSSGNAGFPFHNENSSLHSVSAPHNVATVINISGSSTEAAGSNPSEVQSTERSSAIVRGTVSERYPISVGRVENSVRNFRMRFNPTHQHDICPSNIPTTGVTIRQSNVWSSHQPSSHAVPLNHSVDSRLAVSGSSSQPQHQLSMLNRLTPDVHSSQWNGDANSRVGSQPSTGDSGERLNSSGEEVRSRSIHGNSISGHSLLAYPYDIRQTGQDPTHLHIGNVSSNVPQNTLPASRAGTSSGTHSPVGATWVPQHILHGQQQLLEAVRRPPHLGSSVRGERSNIVPAHPSSHLVSSHETNHHSGVGFHGSHQSLLRSAFLAERQNDGVLSAPLSIRNLAAVRESRYRMLSEIRNALDLMRRGENLRFEDVFVLDQSAFIGGGADLHDRHRDMRLDVDNMSYEELLALEERIGNVSTGLGEEKISECLKQRVYIPLTESSNEMEPCCVCQEEYVEGEDIGALECGHDFHAACIKQWLTHKNLCPICKTTGLVT >DRNTG_17997.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000931.1:11003:16900:-1 gene:DRNTG_17997 transcript:DRNTG_17997.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGKTPEEIRETFHLPDDLTEEEKLEPLKNPTDDPRIRLLNRLYAKKRKELKERQKLQDVEVEERVDDRSVDDLLSFINGGHQADSKGARSAKNKKKNRRRKDQSNDSSTDATNKTHRKDAPDHSTLSHEVDVSSSNSPSRHPKKHKKVLKML >DRNTG_17997.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000931.1:11003:16900:-1 gene:DRNTG_17997 transcript:DRNTG_17997.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESAMAIIKPEALKSFIWLQMGDGSLQQIEEEVAMFCPMICREIAQTGMGSSKNYPISLPPRVNPSSLSLILDYCRFHQVPGRSNKERKSFDEKFVKIDTKQLCELTSAADSLQLRPLVDLTSRALARMIEGKTPEEIRETFHLPDDLTEEEKLEPLKNPTDDPRIRLLNRLYAKKRKELKERQKLQDVEVEERVDDRSVDDLLSFINGGHQADSKGARSAKNKKKNRRRKDQSNDSSTDATNKTHRKDAPDHSTLSHEVDVSSSNSPSRHPKKHKKVLKML >DRNTG_17997.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000931.1:11003:16900:-1 gene:DRNTG_17997 transcript:DRNTG_17997.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGKTPEEIRETFHLPDDLTEEEKLEPLKNPTDDPRIRLLNRLYAKKRKELKERQKLQDVEVEERVDDRSVDDLLSFINGGHQADSKGARSAKNKKKNRRRKDQSNDSSTDATNKTHRKDAPDHSTLSHEVDVSSSNSPSRHPKKHKKVLKML >DRNTG_33345.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21496552:21499382:1 gene:DRNTG_33345 transcript:DRNTG_33345.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G00030) UniProtKB/Swiss-Prot;Acc:O81304] MLWTTEKEQLFIIKNAGLFGTAAGDVLQVIDVGNGVLNNVITFPPDGVFFVRSSIDPAPPQRVNFK >DRNTG_33345.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21496552:21499382:1 gene:DRNTG_33345 transcript:DRNTG_33345.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G00030) UniProtKB/Swiss-Prot;Acc:O81304] MLWTTEKEQLFIIKNAGLFGTAAGDVLQVIDVGNGVLNNVITFPPDGVFFVRSSIDPAPPQRVNFKFTSAVLRGSNWEIPLPPFGQGWFESVYMDDEIRVAKDIRGDYLVVDRAPYSWKE >DRNTG_33345.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21496552:21499382:1 gene:DRNTG_33345 transcript:DRNTG_33345.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G00030) UniProtKB/Swiss-Prot;Acc:O81304] MLWTTEKEQLFIIKNAGLFGTAAGDVLQVIDVGNGVLNNVITFPPDGVFFVRSSIDPAPPQRVNFKFTSAVLRGSNWEIPLPPFGQGWFESVYMDDEIRVAKDIRGDYLVVDRAPYSWKE >DRNTG_33021.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4888041:4892549:-1 gene:DRNTG_33021 transcript:DRNTG_33021.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLKLKAMKVIRSETKSSQNAGTSNEGGISSAQMHDAQPRTSTNSSDTNSNHNGDDENQDHVNILENTSGSVNVNTVDNVGRHKGRGRTALKELWVLPPEDKVLVSANRHGQPIGPEAQLFSSFLGMIARSSQKIGLQYES >DRNTG_33021.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4887828:4888766:-1 gene:DRNTG_33021 transcript:DRNTG_33021.3 gene_biotype:protein_coding transcript_biotype:protein_coding RKNISKERMGCKQIMKSIQKGPLHGNGKS >DRNTG_33021.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4887828:4892549:-1 gene:DRNTG_33021 transcript:DRNTG_33021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLKLKAMKVIRSETKSSQNAGTSNEGGISSAQMHDAQPRTSTNSSDTNSNHNGDDENQDHVNILENTSGSVNVNTVDNVGRHKGRGRTALKELWVLPPEDKVLVSANRHGQPIGPEAQLFSSFLGMIARSSQKIGLQYES >DRNTG_19061.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29083175:29085576:1 gene:DRNTG_19061 transcript:DRNTG_19061.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGFNEHEASEVVYSKDNVTIHPTQYGSERISGRLRLVKQGESVFMTWVPYKPYPDGPDHLGQSSNSGLSEKDRNLYTIAPVPLSEVCSIRRHTPTLSWPYMIVILSSGLAFPPLYFYSGGLREFLATLKQHVHVVR >DRNTG_19061.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29083175:29085794:1 gene:DRNTG_19061 transcript:DRNTG_19061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGFNEHEASEVVYSKDNVTIHPTQYGSERISGRLRLVKQGESVFMTWVPYKPYPDGPDHLGQSSNSGLSEKDRNLYTIAPVPLSEVCSIRRHTPTLSWPYMIVILSSGLAFPPLYFYSGGLREFLATLKQHVHVVRSADDANVFLVNNFQDPL >DRNTG_19061.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29083175:29084669:1 gene:DRNTG_19061 transcript:DRNTG_19061.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGFNEHEASEVVYSKDNVTIHPTQYGSERISGRLRLVKQGESVFMTWVPYKPYPDGPDHLGQSSNSGLSEKDRNLYTIAPVPLSEVCSIRRHTPTLSWPYMIVILSSGSR >DRNTG_10722.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21678472:21679419:-1 gene:DRNTG_10722 transcript:DRNTG_10722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSILGQGFERYWLRIWSGSTALTSSFLRKKVGRRASVEVYPIPDEGILGRRVENFPQDHRHDYRGEHPLSPPT >DRNTG_21357.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001220.1:14033:16753:1 gene:DRNTG_21357 transcript:DRNTG_21357.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIDEAALDRLSLVTEMTKHIRVRVSGGKSTVSEIGQFSPIFVWLLRDFYLELSEGNQKITPRDYLELALKPVQGLGKDLSSKNEIRESIHNLFPDRECFALVRPLNKESDLQRLDQILLNELRPEFRSGLDALTKFIFERTRPKQVGATILTGPVLAGITQSFLDAINKGAVPTISSSWQSVEEAECRRAYDKAAEVYIKSFDRTKPTEEVRTI >DRNTG_21357.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001220.1:13862:16753:1 gene:DRNTG_21357 transcript:DRNTG_21357.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVYNQMGGIDEAALDRLSLVTEMTKHIRVRVSGGKSTVSEIGQFSPIFVWLLRDFYLELSEGNQKITPRDYLELALKPVQGLGKDLSSKNEIRESIHNLFPDRECFALVRPLNKESDLQRLDQILLNELRPEFRSGLDALTKFIFERTRPKQVGATILTGPVLAGITQSFLDAINKGAVPTISSSWQSVEEAECRRAYDKAAEVYIKSFDRTKPTEEVRTI >DRNTG_20188.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:12872619:12875564:-1 gene:DRNTG_20188 transcript:DRNTG_20188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSPSIPAPNDPIQSLSTPEIEIEDHDFAQVEPPCSQPNISQPQNSCSASRQRLGDEVQRRKKDRKRKNVQRSFLEQYIDMRRAETDRYIDAMKMNRVEEKYTIGECMAAFNVLCDHFPDEDFVKITTLFKDKDNCEIFLSLINEERKVLWLRQMIN >DRNTG_02808.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000128.1:42992:43911:-1 gene:DRNTG_02808 transcript:DRNTG_02808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAHLRYLDENKVRLERVERVSREVAECPFPLWCDLSYLHILRVLRGQSRVNGLRDDLPLGLIYECNRVKR >DRNTG_31610.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:697930:698269:-1 gene:DRNTG_31610 transcript:DRNTG_31610.1 gene_biotype:protein_coding transcript_biotype:protein_coding GNAFWPKDGMYDEDDDEPMGDRIPETADKPWKPLTRKIPIPSAIISPYRMLIVVRLVVLGFFLSWRVQHPNEDAPWLWGMSIVCE >DRNTG_00807.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12048210:12052344:-1 gene:DRNTG_00807 transcript:DRNTG_00807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSFSGLNAIYGAVNGAGDVWIRDNRFRIVRQLGEGGAAFVFLVKEVLADPSAGGLARKKSINPSHLSDDGIYAMKKVLIQNEDQLELVKQEISVSSLFSHPNLLPLLDHEIIAVKGAKEGSKSNEAYLLFPVHLDGTLLDNCKAMQAKKEFFPTITVLEIFRQLCSGLQHMHNFDPPYAHNDVKPGNVLITHRKGQLPLAILMDFGSARPARRTISTHSEALQLQEWAAEHCSAPFRAPELWDCPSNASIDERTDIWSLGCTLFAIMYGASPFEYALVESEGNLKETVMNAQIKWLPVPDPAYPEALNQFVVWMLQPQPAVRPHINDIVIHVDKLISKCVN >DRNTG_00942.27.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21205607:21207345:-1 gene:DRNTG_00942 transcript:DRNTG_00942.27 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAMAPGFAFGWGPTAMISGHHSPETRVPPEFSPPLIAMVAVVGAALLIVIYARLLSRLLRPLLRRWRRRRRRLLIASSSPPPPASSSDDYYLPLSPFGLDDAAIKSLPLSVFSKSKSKSLPIRDCAVCLFEFEDGDSLRTLPACSHAFHVDCIDVWLRSHASCPLCRAGIFRPDSPFIPMRAARIRPSLDDLIFDQPPDLVSPIPESDNPEIALATASPIATVAAAPAHDFLLKRSYSFGFERSLAADRMVLEASTASPWRYRHRSFWSKRWPSPFGGGSSSASRASRVFSFRSYRGVSSVKSPFLRRRGFFPLSLESSVRFAGAGPSSRRSRSMASPSSMLARAQGWGFSSSRMRCGDPEALLSPERLNRR >DRNTG_00942.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21205181:21207297:-1 gene:DRNTG_00942 transcript:DRNTG_00942.15 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAMAPGFAFGWGPTAMISGHHSPETRVPPEFSPPLIAMVAVVGAALLIVIYARLLSRLLRPLLRRWRRRRRRLLIASSSPPPPASSSDDYYLPLSPFGLDDAAIKSLPLSVFSKSKSKSLPIRDCAVCLFEFEDGDSLRTLPACSHAFHVDCIDVWLRSHASCPLCRAGIFRPDSPFIPMRAARIRPSLDDLIFDQPPDLVSPIPESDNPEIALATASPIATVAAAPAHDFLLKRSYSFGFERSLAADRMVLEASTASPWRYRHRSFWSKRWPSPFGGGSSSASRASRVFSFRSYRGVSSVKSPFLRRRGFFPLSLESSVRFAGAGPSSRRSRSMASPSSMLARAQGWGFSSSRMRCGDPEALLSPERLNRR >DRNTG_00942.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21205210:21207579:-1 gene:DRNTG_00942 transcript:DRNTG_00942.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAMAPGFAFGWGPTAMISGHHSPETRVPPEFSPPLIAMVAVVGAALLIVIYARLLSRLLRPLLRRWRRRRRRLLIASSSPPPPASSSDDYYLPLSPFGLDDAAIKSLPLSVFSKSKSKSLPIRDCAVCLFEFEDGDSLRTLPACSHAFHVDCIDVWLRSHASCPLCRAGIFRPDSPFIPMRAARIRPSLDDLIFDQPPDLVSPIPESDNPEIALATASPIATVAAAPAHDFLLKRSYSFGFERSLAADRMVLEASTASPWRYRHRSFWSKRWPSPFGGGSSSASRASRVFSFRSYRGVSSVKSPFLRRRGFFPLSLESSVRFAGAGPSSRRSRSMASPSSMLARAQGWGFSSSRMRCGDPEALLSPERLNRR >DRNTG_00942.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21205181:21207579:-1 gene:DRNTG_00942 transcript:DRNTG_00942.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAMAPGFAFGWGPTAMISGHHSPETRVPPEFSPPLIAMVAVVGAALLIVIYARLLSRLLRPLLRRWRRRRRRLLIASSSPPPPASSSDDYYLPLSPFGLDDAAIKSLPLSVFSKSKSKSLPIRDCAVCLFEFEDGDSLRTLPACSHAFHVDCIDVWLRSHASCPLCRAGIFRPDSPFIPMRAARIRPSLDDLIFDQPPDLVSPIPESDNPEIALATASPIATVAAAPAHDFLLKRSYSFGFERSLAADRMVLEASTASPWRYRHRSFWSKRWPSPFGGGSSSASRASRVFSFRSYRGVSSVKSPFLRRRGFFPLSLESSVRFAGAGPSSRRSRSMASPSSMLARAQGWGFSSSRMRCGDPEALLSPERLNRR >DRNTG_00942.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21205181:21207579:-1 gene:DRNTG_00942 transcript:DRNTG_00942.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAMAPGFAFGWGPTAMISGHHSPETRVPPEFSPPLIAMVAVVGAALLIVIYARLLSRLLRPLLRRWRRRRRRLLIASSSPPPPASSSDDYYLPLSPFGLDDAAIKSLPLSVFSKSKSKSLPIRDCAVCLFEFEDGDSLRTLPACSHAFHVDCIDVWLRSHASCPLCRAGIFRPDSPFIPMRAARIRPSLDDLIFDQPPDLVSPIPESDNPEIALATASPIATVAAAPAHDFLLKRSYSFGFERSLAADRMVLEASTASPWRYRHRSFWSKRWPSPFGGGSSSASRASRVFSFRSYRGVSSVKSPFLRRRGFFPLSLESSVRFAGAGPSSRRSRSMASPSSMLARAQGWGFSSSRMRCGDPEALLSPERLNRR >DRNTG_00942.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21205210:21207579:-1 gene:DRNTG_00942 transcript:DRNTG_00942.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAMAPGFAFGWGPTAMISGHHSPETRVPPEFSPPLIAMVAVVGAALLIVIYARLLSRLLRPLLRRWRRRRRRLLIASSSPPPPASSSDDYYLPLSPFGLDDAAIKSLPLSVFSKSKSKSLPIRDCAVCLFEFEDGDSLRTLPACSHAFHVDCIDVWLRSHASCPLCRAGIFRPDSPFIPMRAARIRPSLDDLIFDQPPDLVSPIPESDNPEIALATASPIATVAAAPAHDFLLKRSYSFGFERSLAADRMVLEASTASPWRYRHRSFWSKRWPSPFGGGSSSASRASRVFSFRSYRGVSSVKSPFLRRRGFFPLSLESSVRFAGAGPSSRRSRSMASPSSMLARAQGWGFSSSRMRCGDPEALLSPERLNRR >DRNTG_00942.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21205210:21207345:-1 gene:DRNTG_00942 transcript:DRNTG_00942.10 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAMAPGFAFGWGPTAMISGHHSPETRVPPEFSPPLIAMVAVVGAALLIVIYARLLSRLLRPLLRRWRRRRRRLLIASSSPPPPASSSDDYYLPLSPFGLDDAAIKSLPLSVFSKSKSKSLPIRDCAVCLFEFEDGDSLRTLPACSHAFHVDCIDVWLRSHASCPLCRAGIFRPDSPFIPMRAARIRPSLDDLIFDQPPDLVSPIPESDNPEIALATASPIATVAAAPAHDFLLKRSYSFGFERSLAADRMVLEASTASPWRYRHRSFWSKRWPSPFGGGSSSASRASRVFSFRSYRGVSSVKSPFLRRRGFFPLSLESSVRFAGAGPSSRRSRSMASPSSMLARAQGWGFSSSRMRCGDPEALLSPERLNRR >DRNTG_00942.22.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21205337:21207297:-1 gene:DRNTG_00942 transcript:DRNTG_00942.22 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAMAPGFAFGWGPTAMISGHHSPETRVPPEFSPPLIAMVAVVGAALLIVIYARLLSRLLRPLLRRWRRRRRRLLIASSSPPPPASSSDDYYLPLSPFGLDDAAIKSLPLSVFSKSKSKSLPIRDCAVCLFEFEDGDSLRTLPACSHAFHVDCIDVWLRSHASCPLCRAGIFRPDSPFIPMRAARIRPSLDDLIFDQPPDLVSPIPESDNPEIALATASPIATVAAAPAHDFLLKRSYSFGFERSLAADRMVLEASTASPWRYRHRSFWSKRWPSPFGGGSSSASRASRVFSFRSYRGVSSVKSPFLRRRGFFPLSLESSVRFAGAGPSSRRSRSMASPSSMLARAQGWGFSSSRMRCGDPEALLSPERLNRR >DRNTG_00942.16.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21205210:21207297:-1 gene:DRNTG_00942 transcript:DRNTG_00942.16 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAMAPGFAFGWGPTAMISGHHSPETRVPPEFSPPLIAMVAVVGAALLIVIYARLLSRLLRPLLRRWRRRRRRLLIASSSPPPPASSSDDYYLPLSPFGLDDAAIKSLPLSVFSKSKSKSLPIRDCAVCLFEFEDGDSLRTLPACSHAFHVDCIDVWLRSHASCPLCRAGIFRPDSPFIPMRAARIRPSLDDLIFDQPPDLVSPIPESDNPEIALATASPIATVAAAPAHDFLLKRSYSFGFERSLAADRMVLEASTASPWRYRHRSFWSKRWPSPFGGGSSSASRASRVFSFRSYRGVSSVKSPFLRRRGFFPLSLESSVRFAGAGPSSRRSRSMASPSSMLARAQGWGFSSSRMRCGDPEALLSPERLNRR >DRNTG_00942.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21205181:21207297:-1 gene:DRNTG_00942 transcript:DRNTG_00942.13 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAMAPGFAFGWGPTAMISGHHSPETRVPPEFSPPLIAMVAVVGAALLIVIYARLLSRLLRPLLRRWRRRRRRLLIASSSPPPPASSSDDYYLPLSPFGLDDAAIKSLPLSVFSKSKSKSLPIRDCAVCLFEFEDGDSLRTLPACSHAFHVDCIDVWLRSHASCPLCRAGIFRPDSPFIPMRAARIRPSLDDLIFDQPPDLVSPIPESDNPEIALATASPIATVAAAPAHDFLLKRSYSFGFERSLAADRMVLEASTASPWRYRHRSFWSKRWPSPFGGGSSSASRASRVFSFRSYRGVSSVKSPFLRRRGFFPLSLESSVRFAGAGPSSRRSRSMASPSSMLARAQGWGFSSSRMRCGDPEALLSPERLNRR >DRNTG_00942.26.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21205607:21207345:-1 gene:DRNTG_00942 transcript:DRNTG_00942.26 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAMAPGFAFGWGPTAMISGHHSPETRVPPEFSPPLIAMVAVVGAALLIVIYARLLSRLLRPLLRRWRRRRRRLLIASSSPPPPASSSDDYYLPLSPFGLDDAAIKSLPLSVFSKSKSKSLPIRDCAVCLFEFEDGDSLRTLPACSHAFHVDCIDVWLRSHASCPLCRAGIFRPDSPFIPMRAARIRPSLDDLIFDQPPDLVSPIPESDNPEIALATASPIATVAAAPAHDFLLKRSYSFGFERSLAADRMVLEASTASPWRYRHRSFWSKRWPSPFGGGSSSASRASRVFSFRSYRGVSSVKSPFLRRRGFFPLSLESSVRFAGAGPSSRRSRSMASPSSMLARAQGWGFSSSRMRCGDPEALLSPERLNRR >DRNTG_00942.19.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21205337:21207345:-1 gene:DRNTG_00942 transcript:DRNTG_00942.19 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAMAPGFAFGWGPTAMISGHHSPETRVPPEFSPPLIAMVAVVGAALLIVIYARLLSRLLRPLLRRWRRRRRRLLIASSSPPPPASSSDDYYLPLSPFGLDDAAIKSLPLSVFSKSKSKSLPIRDCAVCLFEFEDGDSLRTLPACSHAFHVDCIDVWLRSHASCPLCRAGIFRPDSPFIPMRAARIRPSLDDLIFDQPPDLVSPIPESDNPEIALATASPIATVAAAPAHDFLLKRSYSFGFERSLAADRMVLEASTASPWRYRHRSFWSKRWPSPFGGGSSSASRASRVFSFRSYRGVSSVKSPFLRRRGFFPLSLESSVRFAGAGPSSRRSRSMASPSSMLARAQGWGFSSSRMRCGDPEALLSPERLNRR >DRNTG_00942.24.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21205337:21207297:-1 gene:DRNTG_00942 transcript:DRNTG_00942.24 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAMAPGFAFGWGPTAMISGHHSPETRVPPEFSPPLIAMVAVVGAALLIVIYARLLSRLLRPLLRRWRRRRRRLLIASSSPPPPASSSDDYYLPLSPFGLDDAAIKSLPLSVFSKSKSKSLPIRDCAVCLFEFEDGDSLRTLPACSHAFHVDCIDVWLRSHASCPLCRAGIFRPDSPFIPMRAARIRPSLDDLIFDQPPDLVSPIPESDNPEIALATASPIATVAAAPAHDFLLKRSYSFGFERSLAADRMVLEASTASPWRYRHRSFWSKRWPSPFGGGSSSASRASRVFSFRSYRGVSSVKSPFLRRRGFFPLSLESSVRFAGAGPSSRRSRSMASPSSMLARAQGWGFSSSRMRCGDPEALLSPERLNRR >DRNTG_00942.28.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21205607:21207297:-1 gene:DRNTG_00942 transcript:DRNTG_00942.28 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAMAPGFAFGWGPTAMISGHHSPETRVPPEFSPPLIAMVAVVGAALLIVIYARLLSRLLRPLLRRWRRRRRRLLIASSSPPPPASSSDDYYLPLSPFGLDDAAIKSLPLSVFSKSKSKSLPIRDCAVCLFEFEDGDSLRTLPACSHAFHVDCIDVWLRSHASCPLCRAGIFRPDSPFIPMRAARIRPSLDDLIFDQPPDLVSPIPESDNPEIALATASPIATVAAAPAHDFLLKRSYSFGFERSLAADRMVLEASTASPWRYRHRSFWSKRWPSPFGGGSSSASRASRVFSFRSYRGVSSVKSPFLRRRGFFPLSLESSVRFAGAGPSSRRSRSMASPSSMLARAQGWGFSSSRMRCGDPEALLSPERLNRR >DRNTG_00942.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21205181:21207345:-1 gene:DRNTG_00942 transcript:DRNTG_00942.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAMAPGFAFGWGPTAMISGHHSPETRVPPEFSPPLIAMVAVVGAALLIVIYARLLSRLLRPLLRRWRRRRRRLLIASSSPPPPASSSDDYYLPLSPFGLDDAAIKSLPLSVFSKSKSKSLPIRDCAVCLFEFEDGDSLRTLPACSHAFHVDCIDVWLRSHASCPLCRAGIFRPDSPFIPMRAARIRPSLDDLIFDQPPDLVSPIPESDNPEIALATASPIATVAAAPAHDFLLKRSYSFGFERSLAADRMVLEASTASPWRYRHRSFWSKRWPSPFGGGSSSASRASRVFSFRSYRGVSSVKSPFLRRRGFFPLSLESSVRFAGAGPSSRRSRSMASPSSMLARAQGWGFSSSRMRCGDPEALLSPERLNRR >DRNTG_00942.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21205181:21207345:-1 gene:DRNTG_00942 transcript:DRNTG_00942.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAMAPGFAFGWGPTAMISGHHSPETRVPPEFSPPLIAMVAVVGAALLIVIYARLLSRLLRPLLRRWRRRRRRLLIASSSPPPPASSSDDYYLPLSPFGLDDAAIKSLPLSVFSKSKSKSLPIRDCAVCLFEFEDGDSLRTLPACSHAFHVDCIDVWLRSHASCPLCRAGIFRPDSPFIPMRAARIRPSLDDLIFDQPPDLVSPIPESDNPEIALATASPIATVAAAPAHDFLLKRSYSFGFERSLAADRMVLEASTASPWRYRHRSFWSKRWPSPFGGGSSSASRASRVFSFRSYRGVSSVKSPFLRRRGFFPLSLESSVRFAGAGPSSRRSRSMASPSSMLARAQGWGFSSSRMRCGDPEALLSPERLNRR >DRNTG_00942.30.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21205607:21207297:-1 gene:DRNTG_00942 transcript:DRNTG_00942.30 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAMAPGFAFGWGPTAMISGHHSPETRVPPEFSPPLIAMVAVVGAALLIVIYARLLSRLLRPLLRRWRRRRRRLLIASSSPPPPASSSDDYYLPLSPFGLDDAAIKSLPLSVFSKSKSKSLPIRDCAVCLFEFEDGDSLRTLPACSHAFHVDCIDVWLRSHASCPLCRAGIFRPDSPFIPMRAARIRPSLDDLIFDQPPDLVSPIPESDNPEIALATASPIATVAAAPAHDFLLKRSYSFGFERSLAADRMVLEASTASPWRYRHRSFWSKRWPSPFGGGSSSASRASRVFSFRSYRGVSSVKSPFLRRRGFFPLSLESSVRFAGAGPSSRRSRSMASPSSMLARAQGWGFSSSRMRCGDPEALLSPERLNRR >DRNTG_00942.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21205210:21207345:-1 gene:DRNTG_00942 transcript:DRNTG_00942.11 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAMAPGFAFGWGPTAMISGHHSPETRVPPEFSPPLIAMVAVVGAALLIVIYARLLSRLLRPLLRRWRRRRRRLLIASSSPPPPASSSDDYYLPLSPFGLDDAAIKSLPLSVFSKSKSKSLPIRDCAVCLFEFEDGDSLRTLPACSHAFHVDCIDVWLRSHASCPLCRAGIFRPDSPFIPMRAARIRPSLDDLIFDQPPDLVSPIPESDNPEIALATASPIATVAAAPAHDFLLKRSYSFGFERSLAADRMVLEASTASPWRYRHRSFWSKRWPSPFGGGSSSASRASRVFSFRSYRGVSSVKSPFLRRRGFFPLSLESSVRFAGAGPSSRRSRSMASPSSMLARAQGWGFSSSRMRCGDPEALLSPERLNRR >DRNTG_00942.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21205210:21207579:-1 gene:DRNTG_00942 transcript:DRNTG_00942.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAMAPGFAFGWGPTAMISGHHSPETRVPPEFSPPLIAMVAVVGAALLIVIYARLLSRLLRPLLRRWRRRRRRLLIASSSPPPPASSSDDYYLPLSPFGLDDAAIKSLPLSVFSKSKSKSLPIRDCAVCLFEFEDGDSLRTLPACSHAFHVDCIDVWLRSHASCPLCRAGIFRPDSPFIPMRAARIRPSLDDLIFDQPPDLVSPIPESDNPEIALATASPIATVAAAPAHDFLLKRSYSFGFERSLAADRMVLEASTASPWRYRHRSFWSKRWPSPFGGGSSSASRASRVFSFRSYRGVSSVKSPFLRRRGFFPLSLESSVRFAGAGPSSRRSRSMASPSSMLARAQGWGFSSSRMRCGDPEALLSPERLNRR >DRNTG_00942.17.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21205210:21207297:-1 gene:DRNTG_00942 transcript:DRNTG_00942.17 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAMAPGFAFGWGPTAMISGHHSPETRVPPEFSPPLIAMVAVVGAALLIVIYARLLSRLLRPLLRRWRRRRRRLLIASSSPPPPASSSDDYYLPLSPFGLDDAAIKSLPLSVFSKSKSKSLPIRDCAVCLFEFEDGDSLRTLPACSHAFHVDCIDVWLRSHASCPLCRAGIFRPDSPFIPMRAARIRPSLDDLIFDQPPDLVSPIPESDNPEIALATASPIATVAAAPAHDFLLKRSYSFGFERSLAADRMVLEASTASPWRYRHRSFWSKRWPSPFGGGSSSASRASRVFSFRSYRGVSSVKSPFLRRRGFFPLSLESSVRFAGAGPSSRRSRSMASPSSMLARAQGWGFSSSRMRCGDPEALLSPERLNRR >DRNTG_00942.18.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21205210:21207297:-1 gene:DRNTG_00942 transcript:DRNTG_00942.18 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAMAPGFAFGWGPTAMISGHHSPETRVPPEFSPPLIAMVAVVGAALLIVIYARLLSRLLRPLLRRWRRRRRRLLIASSSPPPPASSSDDYYLPLSPFGLDDAAIKSLPLSVFSKSKSKSLPIRDCAVCLFEFEDGDSLRTLPACSHAFHVDCIDVWLRSHASCPLCRAGIFRPDSPFIPMRAARIRPSLDDLIFDQPPDLVSPIPESDNPEIALATASPIATVAAAPAHDFLLKRSYSFGFERSLAADRMVLEASTASPWRYRHRSFWSKRWPSPFGGGSSSASRASRVFSFRSYRGVSSVKSPFLRRRGFFPLSLESSVRFAGAGPSSRRSRSMASPSSMLARAQGWGFSSSRMRCGDPEALLSPERLNRR >DRNTG_00942.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21205210:21207345:-1 gene:DRNTG_00942 transcript:DRNTG_00942.12 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAMAPGFAFGWGPTAMISGHHSPETRVPPEFSPPLIAMVAVVGAALLIVIYARLLSRLLRPLLRRWRRRRRRLLIASSSPPPPASSSDDYYLPLSPFGLDDAAIKSLPLSVFSKSKSKSLPIRDCAVCLFEFEDGDSLRTLPACSHAFHVDCIDVWLRSHASCPLCRAGIFRPDSPFIPMRAARIRPSLDDLIFDQPPDLVSPIPESDNPEIALATASPIATVAAAPAHDFLLKRSYSFGFERSLAADRMVLEASTASPWRYRHRSFWSKRWPSPFGGGSSSASRASRVFSFRSYRGVSSVKSPFLRRRGFFPLSLESSVRFAGAGPSSRRSRSMASPSSMLARAQGWGFSSSRMRCGDPEALLSPERLNRR >DRNTG_00942.20.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21205607:21207579:-1 gene:DRNTG_00942 transcript:DRNTG_00942.20 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAMAPGFAFGWGPTAMISGHHSPETRVPPEFSPPLIAMVAVVGAALLIVIYARLLSRLLRPLLRRWRRRRRRLLIASSSPPPPASSSDDYYLPLSPFGLDDAAIKSLPLSVFSKSKSKSLPIRDCAVCLFEFEDGDSLRTLPACSHAFHVDCIDVWLRSHASCPLCRAGIFRPDSPFIPMRAARIRPSLDDLIFDQPPDLVSPIPESDNPEIALATASPIATVAAAPAHDFLLKRSYSFGFERSLAADRMVLEASTASPWRYRHRSFWSKRWPSPFGGGSSSASRASRVFSFRSYRGVSSVKSPFLRRRGFFPLSLESSVRFAGAGPSSRRSRSMASPSSMLARAQGWGFSSSRMRCGDPEALLSPERLNRR >DRNTG_00942.23.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21205337:21207297:-1 gene:DRNTG_00942 transcript:DRNTG_00942.23 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAMAPGFAFGWGPTAMISGHHSPETRVPPEFSPPLIAMVAVVGAALLIVIYARLLSRLLRPLLRRWRRRRRRLLIASSSPPPPASSSDDYYLPLSPFGLDDAAIKSLPLSVFSKSKSKSLPIRDCAVCLFEFEDGDSLRTLPACSHAFHVDCIDVWLRSHASCPLCRAGIFRPDSPFIPMRAARIRPSLDDLIFDQPPDLVSPIPESDNPEIALATASPIATVAAAPAHDFLLKRSYSFGFERSLAADRMVLEASTASPWRYRHRSFWSKRWPSPFGGGSSSASRASRVFSFRSYRGVSSVKSPFLRRRGFFPLSLESSVRFAGAGPSSRRSRSMASPSSMLARAQGWGFSSSRMRCGDPEALLSPERLNRR >DRNTG_00942.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21205181:21207297:-1 gene:DRNTG_00942 transcript:DRNTG_00942.14 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAMAPGFAFGWGPTAMISGHHSPETRVPPEFSPPLIAMVAVVGAALLIVIYARLLSRLLRPLLRRWRRRRRRLLIASSSPPPPASSSDDYYLPLSPFGLDDAAIKSLPLSVFSKSKSKSLPIRDCAVCLFEFEDGDSLRTLPACSHAFHVDCIDVWLRSHASCPLCRAGIFRPDSPFIPMRAARIRPSLDDLIFDQPPDLVSPIPESDNPEIALATASPIATVAAAPAHDFLLKRSYSFGFERSLAADRMVLEASTASPWRYRHRSFWSKRWPSPFGGGSSSASRASRVFSFRSYRGVSSVKSPFLRRRGFFPLSLESSVRFAGAGPSSRRSRSMASPSSMLARAQGWGFSSSRMRCGDPEALLSPERLNRR >DRNTG_00942.29.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21205607:21207297:-1 gene:DRNTG_00942 transcript:DRNTG_00942.29 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAMAPGFAFGWGPTAMISGHHSPETRVPPEFSPPLIAMVAVVGAALLIVIYARLLSRLLRPLLRRWRRRRRRLLIASSSPPPPASSSDDYYLPLSPFGLDDAAIKSLPLSVFSKSKSKSLPIRDCAVCLFEFEDGDSLRTLPACSHAFHVDCIDVWLRSHASCPLCRAGIFRPDSPFIPMRAARIRPSLDDLIFDQPPDLVSPIPESDNPEIALATASPIATVAAAPAHDFLLKRSYSFGFERSLAADRMVLEASTASPWRYRHRSFWSKRWPSPFGGGSSSASRASRVFSFRSYRGVSSVKSPFLRRRGFFPLSLESSVRFAGAGPSSRRSRSMASPSSMLARAQGWGFSSSRMRCGDPEALLSPERLNRR >DRNTG_00942.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21205181:21207345:-1 gene:DRNTG_00942 transcript:DRNTG_00942.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAMAPGFAFGWGPTAMISGHHSPETRVPPEFSPPLIAMVAVVGAALLIVIYARLLSRLLRPLLRRWRRRRRRLLIASSSPPPPASSSDDYYLPLSPFGLDDAAIKSLPLSVFSKSKSKSLPIRDCAVCLFEFEDGDSLRTLPACSHAFHVDCIDVWLRSHASCPLCRAGIFRPDSPFIPMRAARIRPSLDDLIFDQPPDLVSPIPESDNPEIALATASPIATVAAAPAHDFLLKRSYSFGFERSLAADRMVLEASTASPWRYRHRSFWSKRWPSPFGGGSSSASRASRVFSFRSYRGVSSVKSPFLRRRGFFPLSLESSVRFAGAGPSSRRSRSMASPSSMLARAQGWGFSSSRMRCGDPEALLSPERLNRR >DRNTG_00942.21.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21205607:21207579:-1 gene:DRNTG_00942 transcript:DRNTG_00942.21 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAMAPGFAFGWGPTAMISGHHSPETRVPPEFSPPLIAMVAVVGAALLIVIYARLLSRLLRPLLRRWRRRRRRLLIASSSPPPPASSSDDYYLPLSPFGLDDAAIKSLPLSVFSKSKSKSLPIRDCAVCLFEFEDGDSLRTLPACSHAFHVDCIDVWLRSHASCPLCRAGIFRPDSPFIPMRAARIRPSLDDLIFDQPPDLVSPIPESDNPEIALATASPIATVAAAPAHDFLLKRSYSFGFERSLAADRMVLEASTASPWRYRHRSFWSKRWPSPFGGGSSSASRASRVFSFRSYRGVSSVKSPFLRRRGFFPLSLESSVRFAGAGPSSRRSRSMASPSSMLARAQGWGFSSSRMRCGDPEALLSPERLNRR >DRNTG_00942.25.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21205337:21207297:-1 gene:DRNTG_00942 transcript:DRNTG_00942.25 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAMAPGFAFGWGPTAMISGHHSPETRVPPEFSPPLIAMVAVVGAALLIVIYARLLSRLLRPLLRRWRRRRRRLLIASSSPPPPASSSDDYYLPLSPFGLDDAAIKSLPLSVFSKSKSKSLPIRDCAVCLFEFEDGDSLRTLPACSHAFHVDCIDVWLRSHASCPLCRAGIFRPDSPFIPMRAARIRPSLDDLIFDQPPDLVSPIPESDNPEIALATASPIATVAAAPAHDFLLKRSYSFGFERSLAADRMVLEASTASPWRYRHRSFWSKRWPSPFGGGSSSASRASRVFSFRSYRGVSSVKSPFLRRRGFFPLSLESSVRFAGAGPSSRRSRSMASPSSMLARAQGWGFSSSRMRCGDPEALLSPERLNRR >DRNTG_00942.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21205181:21207579:-1 gene:DRNTG_00942 transcript:DRNTG_00942.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAMAPGFAFGWGPTAMISGHHSPETRVPPEFSPPLIAMVAVVGAALLIVIYARLLSRLLRPLLRRWRRRRRRLLIASSSPPPPASSSDDYYLPLSPFGLDDAAIKSLPLSVFSKSKSKSLPIRDCAVCLFEFEDGDSLRTLPACSHAFHVDCIDVWLRSHASCPLCRAGIFRPDSPFIPMRAARIRPSLDDLIFDQPPDLVSPIPESDNPEIALATASPIATVAAAPAHDFLLKRSYSFGFERSLAADRMVLEASTASPWRYRHRSFWSKRWPSPFGGGSSSASRASRVFSFRSYRGVSSVKSPFLRRRGFFPLSLESSVRFAGAGPSSRRSRSMASPSSMLARAQGWGFSSSRMRCGDPEALLSPERLNRR >DRNTG_33821.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2943008:2945660:-1 gene:DRNTG_33821 transcript:DRNTG_33821.10 gene_biotype:protein_coding transcript_biotype:protein_coding YLKSQKLVNGFGCGFCKTSERSQPDAIEPIRAIGRADSEGGKPSILSKLSDSNGPLRRVNASANDIAGCQQSAAALPLPCAPASPPHNPMLQVSQPPHPPLLQEPRPLRHLQMSHNLCYQPSAVQSHPIFQAGGGRG >DRNTG_33821.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2945742:2949103:-1 gene:DRNTG_33821 transcript:DRNTG_33821.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPIHLLLLLLLLRAPSMAAQSASFYYEKCGPSTCGNVTVSFPFSSSDSFCSPTSYEIYCDHSTSIPSLTLSGITFSVKAIYYSDGLISLSDTALVQALSSNSCSNLKSHFLPTVNFTPLKLPYWKTTLNLSFCDGAVSPTEALLYARCDGNQSLYVLEGAESGNESLPSSCSHAGLPIEQTNLVKANLSKLQDVNFVVKEGFSLVWPNFTECWDCEAAGGHCGYNRSTEQVLCFCKDGGVVSGGPYVCGVSGGPASNRSNSLKIIAGIVIPVVVIFLVGLMVLWKFRARAMSVFRSSRNLSLSRTDSIDVKEFIKSYQSTLTTKYSYSELKKMTDGFKHKLGSGGYSNVYKGKLPTGQFIAVKVLDKSSHNSRDFINEVATIGMIRHVHIIKLLGYCFDGLHRSLVYEFMPHGSLGDLLSKKDDKAKIGEQKLLEIAIGVARGIEYLHQGCDKRILHLDIKPHNVLLDSNFHPKISDFGLAKFHSKKDSIVPLTGGARGTIGYIAPEVFMRNLGGVSHKSDVYSYGMLLLEMIVGRSSCISENITRETEEGEEIYFPDWIYEQMNKWKDMEQIDDDSVVDFDISVSRKMVMVGLWCIQTNPVDRPSISTVIEMLNGRLEAIQMPPKPFLIAPPKQEQVMFFSDKAFVSGDSTRMSGGLSEITER >DRNTG_33821.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2945742:2948920:-1 gene:DRNTG_33821 transcript:DRNTG_33821.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPIHLLLLLLLLRAPSMAAQSASFYYEKCGPSTCGNVTVSFPFSSSDSFCSPTSYEIYCDHSTSIPSLTLSGITFSVKAIYYSDGLISLSDTALVQALSSNSCSNLKSHFLPTVNFTPLKLPYWKTTLNLSFCDGAVSPTEALLYARCDGNQSLYVLEGAESGNESLPSSCSHAGLPIEQTNLVKANLSKLQDVNFVVKEGFSLVWPNFTECWDCEAAGGHCGYNRSTEQVLCFCKDGGVVSGGPYVCGVSGGPASNRSNSLKIIAGIVIPVVVIFLVGLMVLWKFRARAMSVFRSSRNLSLSRTDSIDVKEFIKSYQSTLTTKYSYSELKKMTDGFKHKLGSGGYSNVYKGKLPTGQFIAVKVLDKSSHNSRDFINEVATIGMIRHVHIIKLLGYCFDGLHRSLVYEFMPHGSLGDLLSKKDDKAKIGEQKLLEIAIGVARGIEYLHQGCDKRILHLDIKPHNVLLDSNFHPKISDFGLAKFHSKKDSIVPLTGGARGTIGYIAPEVFMRNLGGVSHKSDVYSYGMLLLEMIVGRSSCISENITRETEEGEEIYFPDWIYEQMNKWKDMEQIDDDSVVDFDISVSRKMVMVGLWCIQTNPVDRPSISTVIEMLNGRLEAIQMPPKPFLIAPPKQEQVMFFSDKAFVSGDSTRMSGGLSEITER >DRNTG_33821.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2945871:2949103:-1 gene:DRNTG_33821 transcript:DRNTG_33821.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPIHLLLLLLLLRAPSMAAQSASFYYEKCGPSTCGNVTVSFPFSSSDSFCSPTSYEIYCDHSTSIPSLTLSGITFSVKAIYYSDGLISLSDTALVQALSSNSCSNLKSHFLPTVNFTPLKLPYWKTTLNLSFCDGAVSPTEALLYARCDGNQSLYVLEGAESGNESLPSSCSHAGLPIEQTNLVKANLSKLQDVNFVVKEGFSLVWPNFTECWDCEAAGGHCGYNRSTEQVLCFCKDGGVVSGGPYVCGVSGGPASNRSNSLKIIAGIVIPVVVIFLVGLMVLWKFRARAMSVFRSSRNLSLSRTDSIDVKEFIKSYQSTLTTKYSYSELKKMTDGFKHKLGSGGYSNVYKGKLPTGQFIAVKVLDKSSHNSRDFINEVATIGMIRHVHIIKLLGYCFDGLHRSLVYEFMPHGSLGDLLSKKDDKAKIGEQKLLEIAIGVARGIEYLHQGCDKRILHLDIKPHNVLLDSNFHPKISDFGLAKFHSKKDSIVPLTGGARGTIGYIAPEVFMRNLGGVSHKSDVYSYGMLLLEMIVGRSSCISENITRETEEGEEIYFPDWIYEQMNKWKDMEQIDDDSVVDFDISVSRKMVMVGLWCIQTNPVDRPSISTVIEMLNGRLEAIQMPPKPFLIAPPKQEQVMFFSDKAFVSGDSTRMSGGLSEITER >DRNTG_33821.17.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2945871:2947545:-1 gene:DRNTG_33821 transcript:DRNTG_33821.17 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWKFRARAMSVFRSSRNLSLSRTDSIDVKEFIKSYQSTLTTKYSYSELKKMTDGFKHKLGSGGYSNVYKGKLPTGQFIAVKVLDKSSHNSRDFINEVATIGMIRHVHIIKLLGYCFDGLHRSLVYEFMPHGSLGDLLSKKDDKAKIGEQKLLEIAIGVARGIEYLHQGCDKRILHLDIKPHNVLLDSNFHPKISDFGLAKFHSKKDSIVPLTGGARGTIGYIAPEVFMRNLGGVSHKSDVYSYGMLLLEMIVGRSSCISENITRETEEGEEIYFPDWIYEQMNKWKDMEQIDDDSVVDFDISVSRKMVMVGLWCIQTNPVDRPSISTVIEMLNGRLEAIQMPPKPFLIAPPKQEQVMFFSDKAFVSGDSTRMSGGLSEITER >DRNTG_33821.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2945742:2948866:-1 gene:DRNTG_33821 transcript:DRNTG_33821.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPIHLLLLLLLLRAPSMAAQSASFYYEKCGPSTCGNVTVSFPFSSSDSFCSPTSYEIYCDHSTSIPSLTLSGITFSVKAIYYSDGLISLSDTALVQALSSNSCSNLKSHFLPTVNFTPLKLPYWKTTLNLSFCDGAVSPTEALLYARCDGNQSLYVLEGAESGNESLPSSCSHAGLPIEQTNLVKANLSKLQDVNFVVKEGFSLVWPNFTECWDCEAAGGHCGYNRSTEQVLCFCKDGGVVSGGPYVCGVSGGPASNRSNSLKIIAGIVIPVVVIFLVGLMVLWKFRARAMSVFRSSRNLSLSRTDSIDVKEFIKSYQSTLTTKYSYSELKKMTDGFKHKLGSGGYSNVYKGKLPTGQFIAVKVLDKSSHNSRDFINEVATIGMIRHVHIIKLLGYCFDGLHRSLVYEFMPHGSLGDLLSKKDDKAKIGEQKLLEIAIGVARGIEYLHQGCDKRILHLDIKPHNVLLDSNFHPKISDFGLAKFHSKKDSIVPLTGGARGTIGYIAPEVFMRNLGGVSHKSDVYSYGMLLLEMIVGRSSCISENITRETEEGEEIYFPDWIYEQMNKWKDMEQIDDDSVVDFDISVSRKMVMVGLWCIQTNPVDRPSISTVIEMLNGRLEAIQMPPKPFLIAPPKQEQVMFFSDKAFVSGDSTRMSGGLSEITER >DRNTG_33821.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2945742:2947545:-1 gene:DRNTG_33821 transcript:DRNTG_33821.14 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWKFRARAMSVFRSSRNLSLSRTDSIDVKEFIKSYQSTLTTKYSYSELKKMTDGFKHKLGSGGYSNVYKGKLPTGQFIAVKVLDKSSHNSRDFINEVATIGMIRHVHIIKLLGYCFDGLHRSLVYEFMPHGSLGDLLSKKDDKAKIGEQKLLEIAIGVARGIEYLHQGCDKRILHLDIKPHNVLLDSNFHPKISDFGLAKFHSKKDSIVPLTGGARGTIGYIAPEVFMRNLGGVSHKSDVYSYGMLLLEMIVGRSSCISENITRETEEGEEIYFPDWIYEQMNKWKDMEQIDDDSVVDFDISVSRKMVMVGLWCIQTNPVDRPSISTVIEMLNGRLEAIQMPPKPFLIAPPKQEQVMFFSDKAFVSGDSTRMSGGLSEITER >DRNTG_33821.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2943044:2948920:-1 gene:DRNTG_33821 transcript:DRNTG_33821.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPIHLLLLLLLLRAPSMAAQSASFYYEKCGPSTCGNVTVSFPFSSSDSFCSPTSYEIYCDHSTSIPSLTLSGITFSVKAIYYSDGLISLSDTALVQALSSNSCSNLKSHFLPTVNFTPLKLPYWKTTLNLSFCDGAVSPTEALLYARCDGNQSLYVLEGAESGNESLPSSCSHAGLPIEQTNLVKANLSKLQDVNFVVKEGFSLVWPNFTECWDCEAAGGHCGYNRSTEQVLCFCKDGGVVSGGPYVCGVSGGPASNRSNSLKIIAGIVIPVVVIFLVGLMVLWKFRARAMSVFRSSRNLSLSRTDSIDVKEFIKSYQSTLTTKYSYSELKKMTDGFKHKLGSGGYSNVYKGKLPTGQFIAVKVLDKSSHNSRDFINEVATIGMIRHVHIIKLLGYCFDGLHRSLVYEFMPHGSLGDLLSKKDDKAKIGEQKLLEIAIGVARGIEYLHQGCDKRILHLDIKPHNVLLDSNFHPKISDFGLAKFHSKKDSIVPLTGGARGTIGYIAPEVFMRNLGGVSHKSDVYSYGMLLLEMIVGRSSCISENITRETEEGEEIYFPDWIYEQMNKWKDMEQIDDDSVVDFDISVSRKMVMVGLWCIQTNPVDRPSISTVIEMLNGRLEAIQMPPKPFLIAPPKQEQVMFFSDKAFVSGDSTRMSGGLSEITER >DRNTG_33821.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2947782:2948920:-1 gene:DRNTG_33821 transcript:DRNTG_33821.18 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPIHLLLLLLLLRAPSMAAQSASFYYEKCGPSTCGNVTVSFPFSSSDSFCSPTSYEIYCDHSTSIPSLTLSGITFSVKAIYYSDGLISLSDTALVQALSSNSCSNLKSHFLPTVNFTPLKLPYWKTTLNLSFCDGAVSPTEALLYARCDGNQSLYVLEGAESGNESLPSSCSHAGLPIEQTNLVKANLSKLQDVNFVVKEGFSLVWPNFTECWDCEAAGGHCGYNRSTEQVLCFCKDGGVVSGGPYVCGVSGGPASNRSNSLKIIAGKNKLELHTSLVC >DRNTG_33821.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2945266:2948920:-1 gene:DRNTG_33821 transcript:DRNTG_33821.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPIHLLLLLLLLRAPSMAAQSASFYYEKCGPSTCGNVTVSFPFSSSDSFCSPTSYEIYCDHSTSIPSLTLSGITFSVKAIYYSDGLISLSDTALVQALSSNSCSNLKSHFLPTVNFTPLKLPYWKTTLNLSFCDGAVSPTEALLYARCDGNQSLYVLEGAESGNESLPSSCSHAGLPIEQTNLVKANLSKLQDVNFVVKEGFSLVWPNFTECWDCEAAGGHCGYNRSTEQVLCFCKDGGVVSGGPYVCGVSGGPASNRSNSLKIIAGIVIPVVVIFLVGLMVLWKFRARAMSVFRSSRNLSLSRTDSIDVKEFIKSYQSTLTTKYSYSELKKMTDGFKHKLGSGGYSNVYKGKLPTGQFIAVKVLDKSSHNSRDFINEVATIGMIRHVHIIKLLGYCFDGLHRSLVYEFMPHGSLGDLLSKKDDKAKIGEQKLLEIAIGVARGIEYLHQGCDKRILHLDIKPHNVLLDSNFHPKISDFGLAKFHSKKDSIVPLTGGARGTIGYIAPEVFMRNLGGVSHKSDVYSYGMLLLEMIVGRSSCISENITRETEEGEEIYFPDWIYEQMNKWKDMEQIDDDSVVDFDISVSRKMVMVGLWCIQTNPVDRPSISTVIEMLNGRLEAIQMPPKPFLIAPPKQEQVMFFSDKAFVSGDSTRMSGGLSEITER >DRNTG_33821.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2945871:2948920:-1 gene:DRNTG_33821 transcript:DRNTG_33821.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPIHLLLLLLLLRAPSMAAQSASFYYEKCGPSTCGNVTVSFPFSSSDSFCSPTSYEIYCDHSTSIPSLTLSGITFSVKAIYYSDGLISLSDTALVQALSSNSCSNLKSHFLPTVNFTPLKLPYWKTTLNLSFCDGAVSPTEALLYARCDGNQSLYVLEGAESGNESLPSSCSHAGLPIEQTNLVKANLSKLQDVNFVVKEGFSLVWPNFTECWDCEAAGGHCGYNRSTEQVLCFCKDGGVVSGGPYVCGVSGGPASNRSNSLKIIAGIVIPVVVIFLVGLMVLWKFRARAMSVFRSSRNLSLSRTDSIDVKEFIKSYQSTLTTKYSYSELKKMTDGFKHKLGSGGYSNVYKGKLPTGQFIAVKVLDKSSHNSRDFINEVATIGMIRHVHIIKLLGYCFDGLHRSLVYEFMPHGSLGDLLSKKDDKAKIGEQKLLEIAIGVARGIEYLHQGCDKRILHLDIKPHNVLLDSNFHPKISDFGLAKFHSKKDSIVPLTGGARGTIGYIAPEVFMRNLGGVSHKSDVYSYGMLLLEMIVGRSSCISENITRETEEGEEIYFPDWIYEQMNKWKDMEQIDDDSVVDFDISVSRKMVMVGLWCIQTNPVDRPSISTVIEMLNGRLEAIQMPPKPFLIAPPKQEQVMFFSDKAFVSGDSTRMSGGLSEITER >DRNTG_33821.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2945742:2948866:-1 gene:DRNTG_33821 transcript:DRNTG_33821.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWKFRARAMSVFRSSRNLSLSRTDSIDVKEFIKSYQSTLTTKYSYSELKKMTDGFKHKLGSGGYSNVYKGKLPTGQFIAVKVLDKSSHNSRDFINEVATIGMIRHVHIIKLLGYCFDGLHRSLVYEFMPHGSLGDLLSKKDDKAKIGEQKLLEIAIGVARGIEYLHQGCDKRILHLDIKPHNVLLDSNFHPKISDFGLAKFHSKKDSIVPLTGGARGTIGYIAPEVFMRNLGGVSHKSDVYSYGMLLLEMIVGRSSCISENITRETEEGEEIYFPDWIYEQMNKWKDMEQIDDDSVVDFDISVSRKMVMVGLWCIQTNPVDRPSISTVIEMLNGRLEAIQMPPKPFLIAPPKQEQVMFFSDKAFVSGDSTRMSGGLSEITER >DRNTG_33821.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2943044:2948920:-1 gene:DRNTG_33821 transcript:DRNTG_33821.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPIHLLLLLLLLRAPSMAAQSASFYYEKCGPSTCGNVTVSFPFSSSDSFCSPTSYEIYCDHSTSIPSLTLSGITFSVKAIYYSDGLISLSDTALVQALSSNSCSNLKSHFLPTVNFTPLKLPYWKTTLNLSFCDGAVSPTEALLYARCDGNQSLYVLEGAESGNESLPSSCSHAGLPIEQTNLVKANLSKLQDVNFVVKEGFSLVWPNFTECWDCEAAGGHCGYNRSTEQVLCFCKDGGVVSGGPYVCGVSGGPASNRSNSLKIIAGIVIPVVVIFLVGLMVLWKFRARAMSVFRSSRNLSLSRTDSIDVKEFIKSYQSTLTTKYSYSELKKMTDGFKHKLGSGGYSNVYKGKLPTGQFIAVKVLDKSSHNSRDFINEVATIGMIRHVHIIKLLGYCFDGLHRSLVYEFMPHGSLGDLLSKKDDKAKIGEQKLLEIAIGVARGIEYLHQGCDKRILHLDIKPHNVLLDSNFHPKISDFGLAKFHSKKDSIVPLTGGARGTIGYIAPEVFMRNLGGVSHKSDVYSYGMLLLEMIVGRSSCISENITRETEEGEEIYFPDWIYEQMNKWKDMEQIDDDSVVDFDISVSRKMVMVGLWCIQTNPVDRPSISTVIEMLNGRLEAIQMPPKPFLIAPPKQEQVMFFSDKAFVSGDSTRMSGGLSEITER >DRNTG_33821.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2943044:2945660:-1 gene:DRNTG_33821 transcript:DRNTG_33821.13 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRSAHMNAVFGAQGAPWAPTLPQNRMPATQLPGPPPLPSGHMAPGSGISSVPQVPTVFFMLSTHGCL >DRNTG_33821.20.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2943044:2943917:-1 gene:DRNTG_33821 transcript:DRNTG_33821.20 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRKQGLTSNISIIRSAHMNAVFGAQGAPWAPTLPQNRMPATQLPGPPPLPSGHMAPGSGISSVPQVPTVFFMLSTHGCL >DRNTG_33821.19.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2943008:2943917:-1 gene:DRNTG_33821 transcript:DRNTG_33821.19 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRKQGLTSNISIIRSAHMNAVFGAQGAPWAPTLPQNRMPATQLPGPPPLPSGHMAPGSGISSVPQVPTVFFMLSTHGCL >DRNTG_33821.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2943008:2945660:-1 gene:DRNTG_33821 transcript:DRNTG_33821.11 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRSAHMNAVFGAQGAPWAPTLPQNRMPATQLPGPPPLPSGHMAPGSGISSVPQVPTVFFMLSTHGCL >DRNTG_33821.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2943008:2944748:-1 gene:DRNTG_33821 transcript:DRNTG_33821.15 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRKQGLTSNISIIRSAHMNAVFGAQGAPWAPTLPQNRMPATQLPGPPPLPSGHMAPGSGISSVPQVPTVFFMLSTHGCL >DRNTG_33821.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2943044:2945660:-1 gene:DRNTG_33821 transcript:DRNTG_33821.12 gene_biotype:protein_coding transcript_biotype:protein_coding YLKSQKLVNGFGCGFCKTSERSQPDAIEPIRAIGRADSEGGKPSILSKLSDSNGPLRRVNASANDIAGCQQSAAALPLPCAPASPPHNPMLQVSQPPHPPLLQEPRPLRHLQMSHNLCYQPSAVQSHPIFQAGGGRG >DRNTG_33821.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2943044:2944748:-1 gene:DRNTG_33821 transcript:DRNTG_33821.16 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRKQGLTSNISIIRSAHMNAVFGAQGAPWAPTLPQNRMPATQLPGPPPLPSGHMAPGSGISSVPQLPPEMEDDFLEEFINLKEEEINLFF >DRNTG_15915.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:975220:977881:1 gene:DRNTG_15915 transcript:DRNTG_15915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNSHLKSLHISSIHTVRSRSNGRYSRSKPYSPSPSFLRRSSVHSLARQLAALRQVSAFMEREHEFRFLAAFPEDLEGKPFTRSAVVCARYSDDEYFRIRCKGSREIFFERYGRHNIDKIWRDDILPCRVYLRHCVLAAKNLGQIAYDNFLDHTFIGDRKTTIREYLASTGSGIMDEEPPEELKSRYGG >DRNTG_01211.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:414605:416595:1 gene:DRNTG_01211 transcript:DRNTG_01211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEIGRVLGRSSDLRSKFNECIRSRKGGEEEEESIIGIRDAFESLDQQLNALQALRQQQIHEREAALAQIDSSCLALLNKLKEYRGEEELELIHEVSIFAGEIFEHHDDLLLPPCPRHLPDSMLDGIFASHLLCRSKLLQTGLAVGHTDDTKKSIDESEKRQSAQPLGSNGIGIGIGIVGFVTRSVIAIVGLVSILNLTGFKPCHNIWERTIKALQQFKFSAAVQRRRISMKCPPGKVLVIEDGKPRCMVKERVEIPFEVDLKTPNVTYGFG >DRNTG_01211.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:414652:416595:1 gene:DRNTG_01211 transcript:DRNTG_01211.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEIGRVLGRSSDLRSKFNECIRSRKGGEEEEESIIGIRDAFESLDQQLNALQALRQQQIHEREAALAQIDSSCLALLNKLKEYRGEEELELIHEVSIFAGEIFEHHDDLLLPPCPRHLPDSMLDGIFASHLLCRSKLLQTGLAVGHTDDTKKSIDESEKRQSAQPLGSNGIGIGIGIVGFVTRSVIAIVGLVSILNLTGFKPCHNIWERTIKALQQFKFSAAVQRRRISMKCPPGKVLVIEDGKPRCMVKERVEIPFEVDLKTPNVTYGFG >DRNTG_01211.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:414652:416595:1 gene:DRNTG_01211 transcript:DRNTG_01211.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEIGRVLGRSSDLRSKFNECIRSRKGGEEEEESIIGIRDAFESLDQQLNALQALRQQQIHEREAALAQIDSSCLALLNKLKEYRGEEELELIHEVSIFAGEIFEHHDDLLLPPCPRHLPDSMLDGIFASHLLCRSKLLQTGLAVGHTDDTKKSIDESEKRQSAQPLGSNGIGIGIGIVGFVTRSVIAIVGLVSILNLTGFKPCHNIWERTIKALQQFKFSAAVQRRRISMKCPPGKVLVIEDGKPRCMVKERVEIPFEVDLKTPNVTYGFG >DRNTG_01211.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:414695:415122:1 gene:DRNTG_01211 transcript:DRNTG_01211.8 gene_biotype:protein_coding transcript_biotype:protein_coding EKPSNTHRDRDREFVNDGGGGDRQGAWSIFGSPFQVQRVHS >DRNTG_01211.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:414695:416595:1 gene:DRNTG_01211 transcript:DRNTG_01211.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEIGRVLGRSSDLRSKFNECIRSRKGGEEEEESIIGIRDAFESLDQQLNALQALRQQQIHEREAALAQIDSSCLALLNKLKEYRGEEELELIHEVSIFAGEIFEHHDDLLLPPCPRHLPDSMLDGIFASHLLCRSKLLQTGLAVGHTDDTKKSIDESEKRQSAQPLGSNGIGIGIGIVGFVTRSVIAIVGLVSILNLTGFKPCHNIWERTIKALQQFKFSAAVQRRRISMKCPPGKVLVIEDGKPRCMVKERVEIPFEVDLKTPNVTYGFG >DRNTG_01211.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:414652:416595:1 gene:DRNTG_01211 transcript:DRNTG_01211.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEIGRVLGRSSDLRSKFNECIRSRKGGEEEEESIIGIRDAFESLDQQLNALQALRQQQIHEREAALAQIDSSCLALLNKLKEYRGEEELELIHEVSIFAGEIFEHHDDLLLPPCPRHLPDSMLDGIFASHLLCRSKLLQTGLAVGHTDDTKKSIDESEKRQSAQPLGSNGIGIGIGIVGFVTRSVIAIVGLVSILNLTGFKPCHNIWERTIKALQQFKFSAAVQRRRISMKCPPGKVLVIEDGKPRCMVKERVEIPFEVDLKTPNVTYGFG >DRNTG_01211.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:414605:416595:1 gene:DRNTG_01211 transcript:DRNTG_01211.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEIGRVLGRSSDLRSKFNECIRSRKGGEEEEESIIGIRDAFESLDQQLNALQALRQQQIHEREAALAQIDSSCLALLNKLKEYRGEEELELIHEVSIFAGEIFEHHDDLLLPPCPRHLPDSMLDGIFASHLLCRSKLLQTGLAVGHTDDTKKSIDESEKRQSAQPLGSNGIGIGIGIVGFVTRSVIAIVGLVSILNLTGFKPCHNIWERTIKALQQFKFSAAVQRRRISMKCPPGKVLVIEDGKPRCMVKERVEIPFEVDLKTPNVTYGFG >DRNTG_01211.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:414695:416595:1 gene:DRNTG_01211 transcript:DRNTG_01211.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEIGRVLGRSSDLRSKFNECIRSRKGGEEEEESIIGIRDAFESLDQQLNALQALRQQQIHEREAALAQIDSSCLALLNKLKEYRGEEELELIHEVSIFAGEIFEHHDDLLLPPCPRHLPDSMLDGIFASHLLCRSKLLQTGLAVGHTDDTKKSIDESEKRQSAQPLGSNGIGIGIGIVGFVTRSVIAIVGLVSILNLTGFKPCHNIWERTIKALQQFKFSAAVQRRRISMKCPPGKVLVIEDGKPRCMVKERVEIPFEVDLKTPNVTYGFG >DRNTG_20807.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22088227:22089188:-1 gene:DRNTG_20807 transcript:DRNTG_20807.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWPEPVIRVQSLSESGDRAIPERFIKPPSERVTAHQGPEKTMSIPIIDIGGIANRSKFDEDTMRTLSNACKEWGFFQLVNHGMNKEILEKTKEVWREFFHLPMIEKQAFANSPVTYEGYGSRVGVEKNASLDWSDYFFLNIFPKNLRNYEKWPSLPNSLRETTKNYTKEIVKLCMILMEMLSLGLGLDKEYFREAFGGDEYEACLRACFYPKCPQPELTLGLSSHSDPGGITVLLSDDHVSGLQVRKDGEWVTVKPVPGAVIVNIGDQIQ >DRNTG_20807.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22088673:22089188:-1 gene:DRNTG_20807 transcript:DRNTG_20807.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWPEPVIRVQSLSESGDRAIPERFIKPPSERVTAHQGPEKTMSIPIIDIGGIANRSKFDEDTMRTLSNACKEWGFFQLVNHGMNKEILEKTKEVWREFFHLPMIEKQAFANSPVTYEGYGSRVGVEKNASLDWSDYFFLNIFPKNLRNYEKWPSLPNSLR >DRNTG_20807.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22087072:22089188:-1 gene:DRNTG_20807 transcript:DRNTG_20807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWPEPVIRVQSLSESGDRAIPERFIKPPSERVTAHQGPEKTMSIPIIDIGGIANRSKFDEDTMRTLSNACKEWGFFQLVNHGMNKEILEKTKEVWREFFHLPMIEKQAFANSPVTYEGYGSRVGVEKNASLDWSDYFFLNIFPKNLRNYEKWPSLPNSLRETTKNYTKEIVKLCMILMEMLSLGLGLDKEYFREAFGGDEYEACLRACFYPKCPQPELTLGLSSHSDPGGITVLLSDDHVSGLQVRKDGEWVTVKPVPGAVIVNIGDQIQVMSNDIYKSAEHRVQVNSEKERLSMALFFNPKGDIPIGPAKQLLSPQKPALFQHNFTFNEYRQFIRKRGPTGKYQLESLKTM >DRNTG_11148.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000487.1:17637:19335:1 gene:DRNTG_11148 transcript:DRNTG_11148.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFQDKMLNVAFPGHQVQNFWRSQHQGPRDQRRGH >DRNTG_08036.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30240087:30242371:-1 gene:DRNTG_08036 transcript:DRNTG_08036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGYSQNQRPAQAIELFRSMQGVRPDGMTMVSLIDACSQTGVLSQGEQIHTFIQENKIQSDIFLTTALIDMYAKCGDLNCSRRLFLEMEEKHLTSWNAMINALAIHGKGNEALNLFSSMEKNGIMPNDITYIGLLNACSHAGLVDEGLKLFKSMQRQYNIVPRLEHYGCVIDLLGRAGRLKEAYKFIKIMPIKPDSVVWAALLAACRNQHDVELAEEAAGQLLQLDSRHDGNYVLLSNVYASQGKWEDVEKVRAQMREHNVKKVPGCSTVEIDGVLHEFTAGDKLHPRSDEIYAAIDALMEKLKLKGYKPDTGALLRNLDEEEKEEALYGP >DRNTG_17249.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5650005:5654978:1 gene:DRNTG_17249 transcript:DRNTG_17249.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT2G19430) UniProtKB/Swiss-Prot;Acc:Q8L4M1] MATINFEGDAREWNEDAYRRNILRDRERAARTVFRTAFAPSERHKPETIVAASSDGSIACYSLESCICSAAQVRNGVDVLMEEPIAVIEGHKGPVYDLKFYDDGKEALLFSCGDDGHIRGWKWLDVLNSEVPVAIQGSHLKPVLDLVNPQHEGPWSAQSPVPENNAIAINKQEGCIISATGDACAYCWDVETGKRKMVFRGHSDYLHCVVARESSNQIITGSEDGSARIWDCRNGLCTQVINPEKGRKLKETSWVSCLAIDASESWLACGTGRDLSVWSLLSCECIFNIESHTPVQDVSFDGNQHILAVGSEPILRRYSINGKALSKIQCAPQSAFSVSFHSSGITAVGGYGGLVDVVSEFGSHLCVFCCRGLDKDKFT >DRNTG_02807.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:952678:959208:1 gene:DRNTG_02807 transcript:DRNTG_02807.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISQLLRVALLCHLLMHITASAGDHEFTFNGFNNANLSLDGDTNLAANGLLQLTNATRQSKGQAFYPSPLRFKMSKSTSVLSFSTTFVFAIVTEYPGFSSYGFTFCISPTMALHGDSGHYMGLLNSTNNGLSSNHIIGVEFDTIQTQEFHDIDDNHVGIDIHSEISNSSHSAGYHTSDTNAEFQNMSLSSGQRMQVWIEYDSKALQLNVTLAPFQLPMPKRSLLSLDIDLSSHISQDMYVGFTASKGDDVTTHSILGWSFNMDGNATALDLESLPSLPIRSTNKKEKSKTWLIWLSVSAFLGLLTAALITRYMVARRSKFAEVREDWEQEYGPHRFSYKELYQATDGFKEEYFLGFGGFGSVYKGVLPTTKAEVAVKKVSHESRQGIREFVAEVVSLGQLRHRNLVNLLGYCRRKTELILVYEFMPNGSLDKYLFSQTTPCLDWNHRFRIIKGVATGLLYLHEEWVKVVIHRDIKASNVLLDSEFNARLGDFGLARLYDHGTDFQTTHVMGTMGYIAPELTRRGRATTSSDVFAFGVFLLEVACGRRPIEPNVDGDGEDVVLAEWVLDNWRRGDILASSDGSLDKQYVVEEMELVLKLGLLCCHPMPTSRPSMRQAMQNLNGDSPFIEFSPFSLSADILDSHADEGFDNYLVSFPSSMFSLLSRGR >DRNTG_02807.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:952678:959208:1 gene:DRNTG_02807 transcript:DRNTG_02807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQLLRVALLCHLLMHITASAGDHEFTFNGFNNANLSLDGDTNLAANGLLQLTNATRQSKGQAFYPSPLRFKMSKSTSVLSFSTTFVFAIVTEYPGFSSYGFTFCISPTMALHGDSGHYMGLLNSTNNGLSSNHIIGVEFDTIQTQEFHDIDDNHVGIDIHSEISNSSHSAGYHTSDTNAEFQNMSLSSGQRMQVWIEYDSKALQLNVTLAPFQLPMPKRSLLSLDIDLSSHISQDMYVGFTASKGDDVTTHSILGWSFNMDGNATALDLESLPSLPIRSTNKKEKSKTWLIWLSVSAFLGLLTAALITRYMVARRSKFAEVREDWEQEYGPHRFSYKELYQATDGFKEEYFLGFGGFGSVYKGVLPTTKAEVAVKKVSHESRQGIREFVAEVVSLGQLRHRNLVNLLGYCRRKTELILVYEFMPNGSLDKYLFSQTTPCLDWNHRFRIIKGVATGLLYLHEEWVKVVIHRDIKASNVLLDSEFNARLGDFGLARLYDHGTDFQTTHVMGTMGYIAPELTRRGRATTSSDVFAFGVFLLEVACGRRPIEPNVDGDGEDVVLAEWVLDNWRRGDILASSDGSLDKQYVVEEMELVLKLGLLCCHPMPTSRPSMRQAMQNLNGDSPFIEFSPFSLSADILDSHADEGFDNYLVSFPSSMFSLLSRGR >DRNTG_02807.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:952678:959208:1 gene:DRNTG_02807 transcript:DRNTG_02807.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISQLLRVALLCHLLMHITASAGDHEFTFNGFNNANLSLDGDTNLAANGLLQLTNATRQSKGQAFYPSPLRFKMSKSTSVLSFSTTFVFAIVTEYPGFSSYGFTFCISPTMALHGDSGHYMGLLNSTNNGLSSNHIIGVEFDTIQTQEFHDIDDNHVGIDIHSEISNSSHSAGYHTSDTNAEFQNMSLSSGQRMQVWIEYDSKALQLNVTLAPFQLPMPKRSLLSLDIDLSSHISQDMYVGFTASKGDDVTTHSILGWSFNMDGNATALDLESLPSLPIRSTNKKEKSKTWLIWLSVSAFLGLLTAALITRYMVARRSKFAEVREDWEQEYGPHRFSYKELYQATDGFKEEYFLGFGGFGSVYKGVLPTTKAEVAVKKVSHESRQGIREFVAEVVSLGQLRHRNLVNLLGYCRRKTELILVYEFMPNGSLDKYLFSQTTPCLDWNHRFRIIKGVATGLLYLHEEWVKVVIHRDIKASNVLLDSEFNARLGDFGLARLYDHGTDFQTTHVMGTMGYIAPELTRRGRATTSSDVFAFGVFLLEVACGRRPIEPNVDGDGEDVVLAEWVLDNWRRGDILASSDGSLDKQYVVEEMELVLKLGLLCCHPMPTSRPSMRQAMQNLNGDSPFIEFSPFSLSADILDSHADEGFDNYLVSFPSSMFSLLSRGR >DRNTG_02807.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:952678:956255:1 gene:DRNTG_02807 transcript:DRNTG_02807.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKIFFWALILRLGLSASDDFTYNGFKDVNLSLDGLAGITSDGLLKLTNATRHAMGHAMFPSPLRFKKSQADNVLSFSTTFVFAIIPEYQTLGSAGFTFVLSPSKVLTKASTDYLLGIINITNNGNASNHILAVEFDTWDSPQAEDINDNHVGIDINNIISNYSTPAGFTSDDDGKFQNLTLLSGEPMQVWIEYNGTSMQFKVTLAPLWKPKAKVALLSPTINLSSIVLDHMYVGFSASVGALYSYHYILGWSFNIDGQAPELNLSSLPPLPQNLTSLEKKRKTLLLWLPLTLFLVLLTVAGATLTVVRRKKFSELHDDWELEFESNRFPYEQLYKATRGFKEKYLLGIGGFGRVYRGVLPASKVEVAVKRVSHESKEGVREFVAEIVSLGKLRHRNLVQFLGYCRSKGELLLVYEYMPNGSLDKFLFSETKQTLDWSLRFKIIKGVASGLQYLHDGWDQVVIHRDIKASNVLLDGDMNGRLGDFGLARLYDHGAAPHTTNVVGTLGFLAPELARTCKITTSSDVFAFGAFLLEVACGRRALEPNKQESEQVLVEWVFANWKMGNLYETKDPRLGKDYVLEELDLVLKLGLFCSHPLPSARPSMRQITQFLNGDVPLPEMLPYQCHAGGIFQFRNESS >DRNTG_09196.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4928213:4929926:1 gene:DRNTG_09196 transcript:DRNTG_09196.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSRSPHIAILPTPGMGHLIPIAELAKFLVSHHGFSVTFITFAESASNAQQAFLDALPSTITSIKLPPVPLSDLPSGTAIETLISLASLRSLPALRSILLDLQKSTNLVAFIADLFGADTFDVAKELQIPPYMFFPSNLLLLSLLLHLPDLDTKMTCEFKDLPTPVELPGCVPIPGTEILQPLQDRSNECYSWMVHHGRKYREATGIIVNSFSDVEPEAAKIFSQSPPGFPPVHLVGPLVQTGLPNVEGSECLKWLDEQPSGSVLYVSFGSGGVLTCEQTIELACGLEMSGQRFLWVIRSPSVKASDTYFSATSKQDPFSYLPEGFLERTKKFGLVVPSWAPQMQVLAHTATGGFLSHCGWNSTLESLSHAVPMIGWPLYAEQKMNAVMLSEGTKLALRLRPREDGVYGREEISRVVKELMEGEEGKKVRGRARELQAAAVKSVAYDGESCKTLGDLVEKWKKSVM >DRNTG_09196.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4877493:4929926:1 gene:DRNTG_09196 transcript:DRNTG_09196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSRSPHIAILPTPGMGHLIPIAELAKFLVSHHGFSVTFITFAESASNAQQAFLDALPSTITSIKLPPVPLSDLPSGTAIETLISLASLRSLPALRSILLDLQKSTNLVAFIADLFGADTFDVAKELQIPPYMFFPSNLLLLSLLLHLPDLDTKMTCEFKDLPTPVELPGCVPIPGTEILQPLQDRSNECYSWMVHHGRKYREATGIIVNSFSDVEPEAAKIFSQSPPGFPPVHLVGPLVQTGLPNVEGSECLKWLDEQPSGSVLYVSFGSGGVLTCEQTIELACGLEMSGQRFLWVIRSPSVKASDTYFSATSKQDPFSYLPEGFLERTKKFGLVVPSWAPQMQVLAHTATGGFLSHCGWNSTLESLSHAVPMIGWPLYAEQKMNAVMLSEGTKLALRLRPREDGVYGREEISRVVKELMEGEEGKKVRGRARELQAAAVKSVAYDGESCKTLGDLVEKWKKSVM >DRNTG_34668.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002176.1:30588:35737:1 gene:DRNTG_34668 transcript:DRNTG_34668.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLGENFFSSQSESSMIARAFASLAIKHSTRVAYKRKDVVIRELEKIVTSLMGSLKAPELQTLVQCRQLGSSSSFDVAFHYEVLPSMEPRVREQRRRWPGCQEDSVFRLVVPVLKMKKDDESGEQHECNIIELYKSTHYKKGKGWTSLEAKANYCAMQQKFKKAIDENQDVDVDKICDEILGTRSGYIKGLGYGPKPKASRCGHAKIIKDLEEEKNMWKEKYEAEVLENKTN >DRNTG_20125.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22556181:22561780:1 gene:DRNTG_20125 transcript:DRNTG_20125.2 gene_biotype:protein_coding transcript_biotype:protein_coding CNRTSSYSNHIYCPYLEVSAFVSWELDLVTVQSLIRLLTLLRRIQCPCELLSPRKKIQIDDGDNERSIKARRTNPRNLGENSGGKGSEKQKYIYTYTV >DRNTG_20125.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22556181:22561780:1 gene:DRNTG_20125 transcript:DRNTG_20125.1 gene_biotype:protein_coding transcript_biotype:protein_coding APFPLQERKFRSTTETMRDPSRREEQTLEIWGRTVAGKDRRNKSIYIHTQSKMHRDLQLYERFRSLSSQPSKHGLSLWASPTS >DRNTG_20125.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22556181:22561780:1 gene:DRNTG_20125 transcript:DRNTG_20125.4 gene_biotype:protein_coding transcript_biotype:protein_coding CNRTSSYSNHIYCPYLEVSAFVSWELDLVTVQSLIRLLTLLRRIQCPCELLSPVKSRKLGYHSYPIKCNQSRTLNHSTRKKIQIDDGDNERSIKARRTNPRNLGENSGGKGSEKQKYIYTYTV >DRNTG_22248.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1191140:1195343:1 gene:DRNTG_22248 transcript:DRNTG_22248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSSSGLESLVHESMRVGLRLALSERSRAGSRDELAITALVRGREDDGGKLDVYLHLGFYVPPAFGIGAHLAVAGSGREVAEAKYSDWARIRKQLEKMRPPSVTELLLSNDGDHILEGSLTNFFIVVRKVVSASRDRSHIDVERDSMFEVQTAPICDGILPGVIRQLVIEVCSDMGIPVKEVAPSWSEHELWEEAFVTSSLRLVQFVETIQAPTTCEDLQSRTWKDVSWVQKRFEGAGLITTKIQREITKRAGASCCQTSNIL >DRNTG_09541.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18965249:18966467:1 gene:DRNTG_09541 transcript:DRNTG_09541.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKGRVTIQFGCCYNYATDKDGNLPGIVRSVEADPMPPLLISMIKRMVSWRVLPPTCVPNSCIINLYDKDDCIPPHIDHHDFLRPFCTVSFLSECNILFGANLKVLGPGEFSGSLAIPLPLGSVLILKGNGADIAKHCVPAVPAKRISITFRKMDDRKLPYRYTPDPELRNLRQLASPSVKPSAQPAHSSPQAVSPHSQNLMPDVRLTTTPASQARKAEFQAQKPETASQSVKDSMSSNPFGANDFPTLSSTSSKPSKRSWK >DRNTG_09541.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18963045:18966467:1 gene:DRNTG_09541 transcript:DRNTG_09541.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFTAKFDRLSVRNREQGNVEDDNLKDQEDFVRMSLVKRKKDFRYMERIEGRLVNIAEGLELHTGVFDAVEQKKIVDFVYRLQAKGRAGILGERTYSEPRKWMRGKGRVTIQFGCCYNYATDKDGNLPGIVRSVEADPMPPLLISMIKRMVSWRVLPPTCVPNSCIINLYDKDDCIPPHIDHHDFLRPFCTVSFLSECNILFGANLKVLGPGEFSGSLAIPLPLGSVLILKGNGADIAKHCVPAVPAKRISITFRKMDDRKLPYRYTPDPELRNLRQLASPSVKPSAQPAHSSPQAVSPHSQNLMPDVRLTTTPASQARKAEFQAQKPETASQSVKDSMSSNPFGANDFPTLSSTSSKPSKRSWK >DRNTG_09541.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18965790:18966467:1 gene:DRNTG_09541 transcript:DRNTG_09541.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRKLPYRYTPDPELRNLRQLASPSVKPSAQPAHSSPQAVSPHSQNLMPDVRLTTTPASQARKAEFQAQKPETASQSVKDSMSSNPFGANDFPTLSSTSSKPSKRSWK >DRNTG_09541.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18963177:18966467:1 gene:DRNTG_09541 transcript:DRNTG_09541.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVKRKKDFRYMERIEGRLVNIAEGLELHTGVFDAVEQKKIVDFVYRLQAKGRAGILGERTYSEPRKWMRGKGRVTIQFGCCYNYATDKDGNLPGIVRSVEADPMPPLLISMIKRMVSWRVLPPTCVPNSCIINLYDKDDCIPPHIDHHDFLRPFCTVSFLSECNILFGANLKVLGPGEFSGSLAIPLPLGSVLILKGNGADIAKHCVPAVPAKRISITFRKMDDRKLPYRYTPDPELRNLRQLASPSVKPSAQPAHSSPQAVSPHSQNLMPDVRLTTTPASQARKAEFQAQKPETASQSVKDSMSSNPFGANDFPTLSSTSSKPSKRSWK >DRNTG_32801.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001920.1:26:3631:1 gene:DRNTG_32801 transcript:DRNTG_32801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMQSKIEESQWQAVLDNEIWDIPRATDKIRPELWLSYVNLPSEVKKCFAFCGIFPKDSLIEVDMLVQFWIAYGFIPSQTGIDIEVEGHEIFSELISRSLLQDVNIHAAGTHLYISVNNRYEWPGVRLCKMHDLIHDLAQFVTGDGCSTLPKKNEFKKISKRARHFILKDDDAEYYMGDRPINGRTALSVQRGFIGLSKLKLLRVLELGYGANVDELSTSIQYLQHLRYLNLSSTKIRELPESICMLVNLQTLNLNGCDLLTKLPMSIVYMNSLRHLHLSDCPKLKIMPSGLSRLRCLKTLTKYIVSEKAGNKIGELKHWNLDGELGLYDLHKVKNVDEAKEANMSSRQRINSLSLSWGASVENAEQVLEALKPHAALKVLSLLDYPGTQFSTWIRDGHQLQNLVKIFLHNCQGCEQLPPLEQLPCLEELTIRRMDGIKYIINNTTGDALSLFPALRTLHLYEMANLEGWCVEEDRETAPPMFPCLAELVIIRCPKLTTMPPQIPTLKGLFITESYCRTQIALVSKEKGVFKHLNSLKQLSLTRCEELALLLEDKEETRPLSSSIRDLCINDCSQFSLSVALRNLTSLERLWMYHFEELVSWPDELLRDSESLRCSIFMFLQEFDRCIITRRLWSTVSRAS >DRNTG_06144.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25485572:25494088:1 gene:DRNTG_06144 transcript:DRNTG_06144.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group B protein 15 [Source:Projected from Arabidopsis thaliana (AT1G04880) UniProtKB/Swiss-Prot;Acc:Q9MAT6] MYALICSVSCCLDLSRLAGSPVTGVIEGKCEHGYFVSVRVGTTNLRGILYHLPGQDAKKKISRHWHKKKLRKRDPAFPRPNRSGYNFFFAEQHARLKPLYPGKDREIGRMIGDLWNKLTDVEKAVYQEKGVKDKERYQSELEVYMKQFHIGQVISDAVPIQQCPADTDLATVDKDSKILTDNSDNSTSDGSDNSEGKNLNTNSDTEMVQ >DRNTG_06144.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25492578:25494088:1 gene:DRNTG_06144 transcript:DRNTG_06144.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group B protein 15 [Source:Projected from Arabidopsis thaliana (AT1G04880) UniProtKB/Swiss-Prot;Acc:Q9MAT6] MSPGNFGEPYNETPPAARKRKSNDLVAPTDLSRLAGSPVTGVIEGKCEHGYFVSVRVGTTNLRGILYHLPGQDAKKKISRHWHKKKLRKRDPAFPRPNRSGYNFFFAEQHARLKPLYPGKDREIGRMIGDLWNKLTDVEKAVYQEKGVKDKERYQSELEVYMKQFHIGQVISDAVPIQQCPADTDLATVDKDSKILTDNSDNSTSDGSDNSEGKNLNTNSDTEMVQ >DRNTG_06144.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25492578:25494088:1 gene:DRNTG_06144 transcript:DRNTG_06144.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group B protein 15 [Source:Projected from Arabidopsis thaliana (AT1G04880) UniProtKB/Swiss-Prot;Acc:Q9MAT6] MYALICSVSCCLDLSRLAGSPVTGVIEGKCEHGYFVSVRVGTTNLRGILYHLPGQDAKKKISRHWHKKKLRKRDPAFPRPNRSGYNFFFAEQHARLKPLYPGKDREIGRMIGDLWNKLTDVEKAVYQEKGVKDKERYQSELEVYMKQFHIGQVISDAVPIQQCPADTDLATVDKDSKILTDNSDNSTSDGSDNSEGKNLNTNSDTEMVQ >DRNTG_06144.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25484254:25494088:1 gene:DRNTG_06144 transcript:DRNTG_06144.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group B protein 15 [Source:Projected from Arabidopsis thaliana (AT1G04880) UniProtKB/Swiss-Prot;Acc:Q9MAT6] MTQEVVAEKNLVGKQLIDKGKQLVGQMDSASQTNYHPYPKPLATYENVVSDRKLFMETLEKLHLMMGTKFMVPTVAGKVLDLHQLFVEVTTRGGMEKVIRDRRWRDVVASFNFPSTATNASFVLRKYYMSLLYHYEQIYLFKSQGWNPPPTGSLQSPPAPSMSPGNFGEPYNETPPAARKRKSNDLVAPTDLSRLAGSPVTGVIEGKCEHGYFVSVRVGTTNLRGILYHLPGQDAKKKISRHWHKKKLRKRDPAFPRPNRSGYNFFFAEQHARLKPLYPGKDREIGRMIGDLWNKLTDVEKAVYQEKGVKDKERYQSELEVYMKQFHIGQVISDAVPIQQCPADTDLATVDKDSKILTDNSDNSTSDGSDNSEGKNLNTNSDTEMVQ >DRNTG_25042.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8851076:8862187:1 gene:DRNTG_25042 transcript:DRNTG_25042.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYLLPVDAPQNPKPSRRPRWGRSVLELDGRISSRYRHETSQLILDSYAEVGTFGHHYSIGFDMCPTHMTLLANAVNQDEPISSLRAGISGLEFDSKGIYLASVTKSGCLTVHDFESLYCTTYGPSSSSLEDEKKQLLHHSTSQPLGVVRWNPTNQDEVACASRQGNKVPIFDIGYVSSEPIEVLEKGKPKNSRQDCERHIGLSDIIFPSVDKSRFFSSGLDGVVYGWDRRISPLPYLELTNNSQTQLTSLQLDIEDRVVFAAGQNGIIYAWDLRGGRTSLAFQSHNEVYYPLLASFKLSAMLERIAPLKAQLNIISRGIHSINFDPSCRHQLAFHLYDGWSGVLNLNSSSVTHVHCPPSWLDDQELSSAPSDLRKPCWLPTSSIYTVGSSSSNGLYLLDFFPDASSACHVDFNEEVLDNADENKRTVRNRFLPLSQSVLVCAAHPLNGTIIAGTK >DRNTG_25042.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8851076:8859605:1 gene:DRNTG_25042 transcript:DRNTG_25042.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERYLLPVDAPQNPKPSRRPRWGRSVLELDGRISSRYRHETSQLILDSYAEVGTFGHHYSIGFDMCPTHMTLLANAVNQDEPISSLRAGISGLEFDSKGIYLASVTKSGCLTVHDFESLYCTTYGPSSSSLEDEKKQLLHHSTSQPLGVVRWNPTNQDEVACASRQGNKVPIFDIGYVSSEPIEVLEKGKPKNSRQDCERHIGLSDIIFPSVDKSRFFSSGLDGVVYGWDRRISPLPYLELTNNSQTQLTSLQLDIEDRVVFAAGQNGIIYAWDLRGGRTSLAFQSHNEVY >DRNTG_25042.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8858271:8866970:1 gene:DRNTG_25042 transcript:DRNTG_25042.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLERIAPLKAQLNIISRGIHSINFDPSCRHQLAFHLYDGWSGVLNLNSSSVTHVHCPPSWLDDQELSSAPSDLRKPCWLPTSSIYTVGSSSSNGLYLLDFFPDASSACHVDFNEEVLDNADENKRTVRNRFLPLSQSVLVCAAHPLNGTIIAGTKDSSLLLVSQKHENV >DRNTG_25042.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8851076:8852122:1 gene:DRNTG_25042 transcript:DRNTG_25042.6 gene_biotype:protein_coding transcript_biotype:protein_coding MERYLLPVDAPQNPKPSRRPRWGRSVLELDGRISSRYRHETSQLILDSYAEVGTFGHHYSIGFDMCPTHMTLLANAVNQDEPISSLRAGISGLEFDSK >DRNTG_25042.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8851076:8858611:1 gene:DRNTG_25042 transcript:DRNTG_25042.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERYLLPVDAPQNPKPSRRPRWGRSVLELDGRISSRYRHETSQLILDSYAEVGTFGHHYSIGFDMCPTHMTLLANAVNQDEPISSLRAGISGLEFDSKGIYLASVTKSGCLTVHDFESLYCTTYGPSSSSLEDEKKQLLHHSTSQPLGVVRWNPTNQDEVACASRQGNKVPIFDIGYVSSEPIEVLEKGKPKNSRQDCERHIGLSDIIFPSVDKSR >DRNTG_25042.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8851076:8851905:1 gene:DRNTG_25042 transcript:DRNTG_25042.7 gene_biotype:protein_coding transcript_biotype:protein_coding MERYLLPVDAPQNPKPSRRPRWGRSVLELDGRISSRYRHETSQLILDSYAEVGTFGHHYSIGFDMCPTHMTLLANAVNQDEPISSLR >DRNTG_23596.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:638080:638824:1 gene:DRNTG_23596 transcript:DRNTG_23596.2 gene_biotype:protein_coding transcript_biotype:protein_coding SSCEKIDAPSTSTICPEGKEKLTLKSLFPIYLTDESNGQTKKSKSLENNFICPSCKVTITNTTTLVAISTCGHVFCKKCSDRFLAVDKVCLVCNKECKERHLVTLEKGGTGFAGHGDHLQASDFKHLGSGSGLGLVRPATKT >DRNTG_23596.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:637199:638824:1 gene:DRNTG_23596 transcript:DRNTG_23596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRHSKNNNDLAFFTYDEKRKLGYGTQKERLGKDSIKPFDACCLCLKPFIDPLCCPKGHVFCKECILECLLAQKKDIKRKLAAHAAQQKQEKEEEEEKLMLKKARELEAF >DRNTG_07772.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1057915:1059564:1 gene:DRNTG_07772 transcript:DRNTG_07772.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRKRTAEGKETRTKSKNNTSIQTRDGTAIQANSRVLGGREMERWET >DRNTG_07772.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1057690:1059564:1 gene:DRNTG_07772 transcript:DRNTG_07772.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRKRTAEGKETRTKSKNNTSIQTRDGTAIQANSRVLGGREMERWET >DRNTG_15231.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23362972:23365652:1 gene:DRNTG_15231 transcript:DRNTG_15231.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPNILLEHNVPVYKAVQKPGEYIITFPRAYHSGFSHGFNCGEAVNFAIGDWFPLGAVASQRYALLKRTPLLPHEELLCKDAYLLYKRLLSPNSEVSPPSSEDLSTQHSIKVSFVRLMRFQHRARWLLMKSGASVCSFPNKVLTILCSLCQRDCYVSYVKCQCVSNPICLRHESELRRCLCGCKQVIFVREDILELEAASKKFEHKRQIVEEGQKHSPLEDDPDLAKLFLSTVDDGYRPYCEIKCDTKGPTCSPVPSSSFSLGQVGTVLHDNENVKSNETQFCQNKYSEGELVAPRGPAETTAFVKCKSGHQAHSNGTVDDADDDSDTEIFRVKRRSVINVEKRTGDVMPVKPCESQVHVYLSIRDYLCLILF >DRNTG_15231.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23359335:23365652:1 gene:DRNTG_15231 transcript:DRNTG_15231.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKETLTSSQVNIATSRGSNSDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGAFKTWYGIPGHAAPDFERVVRDHVYDCGILASEGVDAAFDVLLGKTTMFPPNILLEHNVPVYKAVQKPGEYIITFPRAYHSGFSHGFNCGEAVNFAIGDWFPLGAVASQRYALLKRTPLLPHEELLCKDAYLLYKRLLSPNSEVSPPSSEDLSTQHSIKVSFVRLMRFQHRARWLLMKSGASVCSFPNKVLTILCSLCQRDCYVSYVKCQCVSNPICLRHESELRRCLCGCKQVIFVREDILELEAASKKFEHKRQIVEEGQKHSPLEDDPDLAKLFLSTVDDGYRPYCEIKCDTKGPTCSPVPSSSFSLGQVGTVLHDNENVKSNETQFCQNKYSEGELVAPRGPAETTAFVKCKSGHQAHSNGTVDDADDDSDTEIFRVKRRSVINVEKRTGDVMPVKPCESQGFKRLKKLHQEGGGVHPSSPARVPDLNRNFFSEGGIASTSFKVRKQPHDSKLEKDELREAKSKEHIRDNLQFSTAENINEAPSIELGPKRLKVRGPSFF >DRNTG_15231.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23359335:23365652:1 gene:DRNTG_15231 transcript:DRNTG_15231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRVCLSEEIRNGLEILKRKRSQQIKLGISPEATEFTNMMSRSGGDSLKAAASCGMRMWEGADAFSRRNGLVKDSPLKHKVEKFDTSNLEWIDKIPECPVFSPTKDEFEDPLTYLQRIAPVASKYGICKVVSPISASVPAGVVLMKEKLGFKFTTRVQPLRLAEWVEDDRATFFMSGRKYTFRDFEKMANKVFSRRFSSAGCLPARYLEEQFWHEIGCGKTEFVEYACDIEGSAFSSSPSDQLGKSKWNLKRLSRLPKSILRHLGAAIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGAFKTWYGIPGHAAPDFERVVRDHVYDCGILASEGVDAAFDVLLGKTTMFPPNILLEHNVPVYKAVQKPGEYIITFPRAYHSGFSHGFNCGEAVNFAIGDWFPLGAVASQRYALLKRTPLLPHEELLCKDAYLLYKRLLSPNSEVSPPSSEDLSTQHSIKVSFVRLMRFQHRARWLLMKSGASVCSFPNKVLTILCSLCQRDCYVSYVKCQCVSNPICLRHESELRRCLCGCKQVIFVREDILELEAASKKFEHKRQIVEEGQKHSPLEDDPDLAKLFLSTVDDGYRPYCEIKCDTKGPTCSPVPSSSFSLGQVGTVLHDNENVKSNETQFCQNKYSEGELVAPRGPAETTAFVKCKSGHQAHSNGTVDDADDDSDTEIFRVKRRSVINVEKRTGDVMPVKPCESQGFKRLKKLHQEGGGVHPSSPARVPDLNRNFFSEGGIASTSFKVRKQPHDSKLEKDELREAKSKEHIRDNLQFSTAENINEAPSIELGPKRLKVRGPSFF >DRNTG_15231.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23359335:23365652:1 gene:DRNTG_15231 transcript:DRNTG_15231.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIGMLFSMFAWHVEDHYLYSINYHHCGAFKTWYGIPGHAAPDFERVVRDHVYDCGILASEGVDAAFDVLLGKTTMFPPNILLEHNVPVYKAVQKPGEYIITFPRAYHSGFSHGFNCGEAVNFAIGDWFPLGAVASQRYALLKRTPLLPHEELLCKDAYLLYKRLLSPNSEVSPPSSEDLSTQHSIKVSFVRLMRFQHRARWLLMKSGASVCSFPNKVLTILCSLCQRDCYVSYVKCQCVSNPICLRHESELRRCLCGCKQVIFVREDILELEAASKKFEHKRQIVEEGQKHSPLEDDPDLAKLFLSTVDDGYRPYCEIKCDTKGPTCSPVPSSSFSLGQVGTVLHDNENVKSNETQFCQNKYSEGELVAPRGPAETTAFVKCKSGHQAHSNGTVDDADDDSDTEIFRVKRRSVINVEKRTGDVMPVKPCESQGFKRLKKLHQEGGGVHPSSPARVPDLNRNFFSEGGIASTSFKVRKQPHDSKLEKDELREAKSKEHIRDNLQFSTAENINEAPSIELGPKRLKVRGPSFF >DRNTG_01511.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:13892457:13898427:-1 gene:DRNTG_01511 transcript:DRNTG_01511.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDINFLWRRAIVEAFDHIDSERCVLGSTEQSLEKKKWLPMTITTESKGVIFVEFLHSLLTLSMILYCSMESETPISDEAGHSSSDCPISIGGWLDQEVDNEEISSIGLVDNVQPTSGVMKRAHLLHLKKKQWHGSALTENFPSREDTGSCSYPCGPSVNPHKPQGHVGAPVPGLVPGLVGYMGVGNFHTPLWMRSEAKVAIARAHRGMAPRTKKLPGKCTRDPSLEQLEFSIPKHQSEPLHLGHIVAGYLRHQGQYVRVGVLFVDPYITRLILGMGLLKAIRGSEKTIVPSPLGLETMRLIGMVRRYGPSTYVMIMPSPDASEGEGDEAEGSQLAPQP >DRNTG_30706.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1752286:1752988:-1 gene:DRNTG_30706 transcript:DRNTG_30706.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRVFFDIAIGKARAGRIIMELFADVVPKTAENFRCLCTGEKGIGISGKPLHYKGSSFHRIIPGFMCQGGDFTRGNGTGGESIYGARFEDENFKKKHTGPGMVSMANAGANTNGSQFFICTARTGWLDGKHVVFGKVVDGYGVVEAMEKEGSGSGETKTKVVIEDCGEITTESS >DRNTG_28602.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28554273:28557357:1 gene:DRNTG_28602 transcript:DRNTG_28602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSEILGGGEGRRLPGEDPETVSRYAVSYVRGMQGDSFNNKKGGVTASSSSTGLKVSACCKHYTAYDLDNWEKVVRYTFDAKVTAQDLADTYQPPFQSCVQEGHASGMMCSYNRVNGVPTCADYNFLSKTARASWGFYGYIVSDCDAVSIIHDAQGYAKAPEDAVADVLQAGMDVNCGNYVQKYAGSAIQQKKITESDINRALQNLFTIRMRLGLFNGSPKNQAYGNIPPSQVCTQDHQNLALEAAREGIVLLKNSNNLLPLSKAGSCISWSDRSKCK >DRNTG_30584.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3644718:3653209:-1 gene:DRNTG_30584 transcript:DRNTG_30584.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHENVVGGKLRLKGKALTVKEGLLKKNKKKKHKHHYDQEIQSGGISSDPNENRLEDEDYQQMHDDYLTPVEKIRTPPVIKDSQQITS >DRNTG_30584.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3644718:3645082:-1 gene:DRNTG_30584 transcript:DRNTG_30584.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDDYLTPVEKIRTPPVIKDSQQITS >DRNTG_12680.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:12525217:12526796:1 gene:DRNTG_12680 transcript:DRNTG_12680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMDRSQDSRTTSGRGQSGRRSSGRSTSSRGGRSISGRGRCTQNIHQTSANPAVVSSTPANLGMPPCIHPNIQVTTTMTPLTPIPQPSIVAPIAQSPPQPYMETSTHATEDPPIEMEGPSNESVSTGPPWVITPDSVIIDHEVKRTIHELVKGHYKEAWTGWGKVPKDVRQRIFTAFRGIYTWEAQHESSILRHLNHEASEWLKKNLYLAHNLYKAPFPWMAPAVWEGLQRYWESDEFKRKSEKNKLNRTESVSSSIVIYRGGSVSTAVHRLRLAEELGREPTLKECFIHTHKKKDGTLEVGRATQIVVSMRLLSQNKSS >DRNTG_12680.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:12518881:12526796:1 gene:DRNTG_12680 transcript:DRNTG_12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDRSQDSRTTSGSGRGQSGRRSSGRSTSSRGGRSISGRGRCTQNIHQTSANPAVVSSTPANLGMPPCIHPNIQVTTTMTPLTPIPQPSIVAPIAQSPPQPYMETSTHATEDPPIEMEGPSNESVSTGPPWVITPDSVIIDHEVKRTIHELVKGHYKEAWTGWGKVPKDVRQRIFTAFRGIYTWEAQHESSILRHLNHEASEWLKKNLYLAHNLYKAPFPWMAPAVWEGLQRYWESDEFKRKSEKNKLNRTESVSSSIVIYRGGSVSTAVHRLRLAEELGREPTLKECFIHTHKKKDGTLEVGRATQIVVSMRLLSQNKSS >DRNTG_12680.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:12521289:12521776:1 gene:DRNTG_12680 transcript:DRNTG_12680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRKWMYNRNLPGRKGLTDEFIAGVDEFIQFAVSQDDSYKNGENIRCPCFKCKNTRFLAPDDVVLHLYRRGFKQCYWNWTCHGEELFPINEELNENRDQSVEHVANWGEYEQMTWDQRMVYDCLRSRVPIWPPSHCNDEAGPSVQPPLDEPTSGFQID >DRNTG_12680.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:12518881:12521776:1 gene:DRNTG_12680 transcript:DRNTG_12680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRKWMYNRNLPGRKGLTDEFIAGVDEFIQFAVSQDDSYKNGENIRCPCFKCKNTRFLAPDDVVLHLYRRGFKQCYWNWTCHGEELFPINEELNENRDQSVEHVANWGEYEQMTWDQRMVYDCLRSRVPIWPPSHCNDEAGPSVQPPLDEPTSGFQID >DRNTG_05958.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20218230:20225716:1 gene:DRNTG_05958 transcript:DRNTG_05958.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYFAIGGNPITGKIPDFIGNWTQLVELEMLGTSMEGPFPPIFYTVGNMRMLEVSDLNGGDGKFPALQNMTGMLYLYLRNMSITDELPSYIENMTMLLILVVKPNMK >DRNTG_05958.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20212134:20225716:1 gene:DRNTG_05958 transcript:DRNTG_05958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLNGTIPAVWASTPLTDIELTGNRITGRIPDELGRITTLKYLYLESNLIEGPLPQSLGNLTNLGQLDLGANAITGRLPGSLGNLKNMIYFAIGGNPITGKIPDFIGNWTQLVELEMLGTSMEGPFPPIFYTVGNMRMLEVSDLNGGDGKFPALQNMTGMLYLYLRNMSITDELPSYIENMTMLLILVVKPNMK >DRNTG_08301.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000375.1:122383:125279:1 gene:DRNTG_08301 transcript:DRNTG_08301.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-1,6-bisphosphatase, cytosolic [Source:Projected from Arabidopsis thaliana (AT1G43670) UniProtKB/Swiss-Prot;Acc:Q9MA79] MDHAADAHRTDLMTITRYVLNEQSRHPESRGDFSILLSHIVLGCKFVCSAVNKAGLAKLIGLAGETNIQGEEQKKLDVLSNEVFVKALVSSGRTCILVSEEDEEATFVDPTLRGRYCVVFDPLDGSSNIDCGVSIGTIFGIYMAQDKDKASLDDVLQPGKNMLAAGYCMYGSSCAFVLSTGNGVNGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNAKNWDGPTEKYVEKCKFPKDGSPSKSLRYVGSMVADVHRTLLYGGIFLYPADKKSPNGKLRVLYEVFPMSFLMEQAGGQAFTGKERALDLVPSKIHERSPIFLGSYDDVEEIKALYADEIKA >DRNTG_19643.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3146333:3148976:-1 gene:DRNTG_19643 transcript:DRNTG_19643.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATRVVLSRIQALDPENAAKIMGFILIQDYGEKEMIRLAFGPESLLQSIVLKARIHLGIIPPSSSSSQVLLSRQNSSSTLLSPPPPLTVSSPSWVPPASPFSKVNTCSDELQSPDGCAGGDLIDEVSFLNGELGWNHHRRSFSVADLAVDAAGGFGWRPCLYFARGYCKNGTSCRFLHGIPDDAGAMTKLESPVKNQRASQLMASAFPYSPTSSSPKSMSFLFQPQSESPRVSAMRIESLVNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFVCDARVLVKPYKEKGKVPDKFRKDSREPYDLQHLVSPGSGRILYSSGSSNSTQEALMRRKLEEQQQAIELQQAIELQGRRFMGLQLLDLKSRSFSSHSNPINQQQLPQLEEKQESSGEVGINEYCDFQESDEHNLPDNPFASPTKDTHLMNIDTSNHLLTTSFNSCFFPVPRVSSGHGAVGM >DRNTG_19643.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3146333:3148976:-1 gene:DRNTG_19643 transcript:DRNTG_19643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATRVVLSRIQALDPENAAKIMGFILIQDYGEKEMIRLAFGPESLLQSIVLKARIHLGIIPPSSSSSQVLLSRQNSSSTLLSPPPPLTVSSPSWVPPASPFSKVNTCSDELQSPDGCAGGDLIDEVSFLNGELGWNHHRRSFSVADLAVDAAGGFGWRPCLYFARGYCKNGTSCRFLHGIPDDAGAMTKLESPVKNQRASQLMASAFPYSPTSSSPKSMSFLFQPQSESPRVSAMRIESLVNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFVCDARVLVKPYKEKGKVPDKFRKDSREPYDLQHLVSPGSGRILYSSGSSNSTQEALMRRKLEEQQQAIELQQAIELQGRRFMGLQLLDLKSRSFSSHSNPINQQQLPQLEEKQESSGEVGINEYCDFQERSDEHNLPDNPFASPTKDTHLMNIDTSNHLLTTSFNSCFFPVPRVSSGHGAVGM >DRNTG_16094.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25498480:25499777:1 gene:DRNTG_16094 transcript:DRNTG_16094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHNQIMEQKKSSVKARSHDPTQLNK >DRNTG_35301.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22641484:22641985:-1 gene:DRNTG_35301 transcript:DRNTG_35301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNAALITIAKNCSHLTWFRLCILNRKKPDHIKYSCTLASNAERLDVLSIASAGDETDKAMIHVINGCKSLRKLEIRDSPFGDAVLLQDVGKYEKMQSLWMSCCNVTLGGCKTVAEKMRMLNVEIMNISTILVDTL >DRNTG_26505.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:736805:739918:1 gene:DRNTG_26505 transcript:DRNTG_26505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDLENPLTSSDSELDSVSALFSAESDHMSSLTTALDLHSRRQAISLILQVQAQCACNVDPFMAYLAINYVDRYLARHEIPREKPWAAWLLAASSLSLASKMKKSEFSFPDFQMEEGFIFDEQTIHRMELLVLGALDWRVRSITPFSFLRFFLSLFSPAHPPLIHALKDRALRILLKAQIEIKMLEFKPSLIAASALISAAGELFPIQSPAFSTAIFSSDFVNQEKLKDCCLVMREMLEMMTMDGCDRALKMVSSCSTPATVLGGACPSSDSERTVGSSMNGRDVYKDPIEETPPPSSFFCP >DRNTG_26505.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:736805:739918:1 gene:DRNTG_26505 transcript:DRNTG_26505.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDLENPLTSSDSELDSVSALFSAESDHMSSLTTALDLHSRRQAISLILQVQAQCACNVDPFMAYLAINYVDRYLARHEIPREKPWAAWLLAASSLSLASKMKKSEFSFPDFQMEEGFIFDEQTIHRMELLVLGALDWRVRSITPFSFLRFFLSLFSPAHPPLIHALKDRALRILLKAQIEIKMLEFKPSLIAASALISAAGELFPIQSPAFSTAIFSSDFVNQEKLKDCCLVMREMLEMMTMDGCDRALKMVSSCSTPATVLGGACPSSDSERTVGSSMNGRDVYKDPIEETPPPSSFFCP >DRNTG_17747.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4201084:4204614:-1 gene:DRNTG_17747 transcript:DRNTG_17747.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNQRNIKKTRNKPRIEVHEKPRLVPRSLV >DRNTG_17747.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4201084:4204614:-1 gene:DRNTG_17747 transcript:DRNTG_17747.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNQRNIKKTRNKPRIEVHEKPRLVPRSLV >DRNTG_17747.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4201084:4202646:-1 gene:DRNTG_17747 transcript:DRNTG_17747.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNQRNIKKTRNKPRIEVHEKPRLVPRSLV >DRNTG_14092.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20769778:20771574:-1 gene:DRNTG_14092 transcript:DRNTG_14092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTAVGEVEEESPPAASAEIQLPAEIDWEMLDKWRFFVLGAALFSGVSFVLYPAVVLKTRLQCSSQPLSCRHAAMAILHHDGPRGFYRGFATSLTGTIPARALYMTALEVTKSAVGAAASRLGVAEPAAAAAASAAAGLSAAMASQIVWTPIDVISQRLMVQGSQNPSPTKYLGGIDAFWKILRSDGLRGLYRGFGMSILTYAPSNAVWWASYSVSQRIIWGGIGYYLCRRGDCDSSPEEGGTAGLRPDYKMVAVVQGVSAAMAGGASCLVTMPLDTIKTRMQVMDDDSSGGRSSNKRSSAITIGRTMRNLLKEGGWGACYRGLGPRWASMSMSATTMITTYEFLKRLSAKNQDSTSV >DRNTG_30863.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001636.1:81473:82414:1 gene:DRNTG_30863 transcript:DRNTG_30863.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAIPKHQAHFERFVKLKFSQSRFPDLCALRETQLVDDMADEVDELLLVGNWWRLLLIRELAIRMLTLWVLTSFEFDCSYHSFDSIGAIQFRAFGQYHKEYDQLLTDYPGSLIPQGAYRVLCHQGHYGPGVSKVTCLSQLANCYIHAILSRSVNCSGDSTGVLSRQELLYLYSMVQSEPFHLGHILAEYLSHQGQYARVGVIFSDAYITRLIIRMGLLDAIYGAEMTIIPSPLGIEMMRLMGIMRIYRLGVYVMVTLALEIPEGGGDAAKGSEPTQEPQLEQIETDVLPAA >DRNTG_18997.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22666903:22670520:-1 gene:DRNTG_18997 transcript:DRNTG_18997.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIQNPKRSELAHRRLRRPFSVSEVEALVQAVERLGTGRWRDVKICAFDNANHRTYVDLKDKWKTLVHTAGISPQQRRGEPVPQKLLDRVLIADAYWSHQQRFKLPVKPPPTDACM >DRNTG_18997.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22666903:22671411:-1 gene:DRNTG_18997 transcript:DRNTG_18997.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIQNPKRSELAHRRLRRPFSVSEVEALVQAVERLGTGRWRDVKICAFDNANHRTYVDLKDKWKTLVHTAGISPQQRRGEPVPQKLLDRVLIADAYWSHQQRFKLPVKPPPTDACM >DRNTG_18997.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22666903:22670520:-1 gene:DRNTG_18997 transcript:DRNTG_18997.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIQNPKRSELAHRRLRRPFSVSEVEALVQAVERLGTGRWRDVKICAFDNANHRTYVDLKDKWKTLVHTAGISPQQRRGEPVPQKLLDRVLIADAYWSHQQRFKLPVKPPPTDACM >DRNTG_18997.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22666903:22670520:-1 gene:DRNTG_18997 transcript:DRNTG_18997.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIQNPKRSELAHRRLRRPFSVSEVEALVQAVERLGTGRWRDVKICAFDNANHRTYVDLKDKWKTLVHTAGISPQQRRGEPVPQKLLDRVLIADAYWSHQQRFKLPVKPPPTDACM >DRNTG_18997.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22666903:22671411:-1 gene:DRNTG_18997 transcript:DRNTG_18997.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIQNPKRSELAHRRLRRPFSVSEVEALVQAVERLGTGRWRDVKICAFDNANHRTYVDLKDKWKTLVHTAGISPQQRRGEPVPQKLLDRVLIADAYWSHQQRFKLPVKPPPTDACM >DRNTG_18997.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22666903:22671411:-1 gene:DRNTG_18997 transcript:DRNTG_18997.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIQNPKRSELAHRRLRRPFSVSEVEALVQAVERLGTGRWRDVKICAFDNANHRTYVDLKDKWKTLVHTAGISPQQRRGEPVPQKLLDRVLIADAYWSHQQRFKLPVKPPPTDACM >DRNTG_24036.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26641255:26651973:1 gene:DRNTG_24036 transcript:DRNTG_24036.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCC3 [Source:Projected from Arabidopsis thaliana (AT2G47980) UniProtKB/TrEMBL;Acc:A0A178VN21] MENAAVASETSVRRSKRGRVLETSDVAPSKSGGSMEEKSTDQEQGSGDGSFDELEEILPKPKRKRGRPPRAAGLKECDTLFDAVKNNGKYIQYMVKKWVERYEADPKSALVEILLMLFETCGAKYQLGVASFDEINVDDVVVSLVELARNGVIEDHYNSKSRELKTFKENLGLFWDTLVLECQNEPLFDKILFEKCMDYVIALSCTPPRVYRQVASLVGLQLVTSFITVAKILGRQRETTQRQLNAEKKKRNDGPRVESLNKRLSMTHERITYMEEMMRKIFTGLFMHRYRDVDPDIRMSCIKSLGIWIVSYPTLFLQDLYLKYLGWTLNDKSAAVRKTSVLALQNLYELDDNVPSLGLFTERFCNRMIELADDIDVSVAVSAIGLLKQLLRHQLLTDDELGPLYDLLIDEPPMIRRAIGELVYDHLIAQKVKNSQSGMSGGDNESSEVHLGRMLQILREFPDDPVLSAYVIDDVWDDMKAMKDWKCIIAMLLDENPVIGLTDVDATNLVRLLHASAKKAVGEKIVPATDNRKQYYTKAQKEGLENSRKEITIAMMKNYPQLLRKYIADKTKVSSLVEIVVLLKLELYSLKRQEKNFKAILELITDAFFKHGEKDTLRSCIKAITYCSNESQADLQDFAQNKLKDLENELLTKLKSAVKEVTVGDDEYSLLVNLKRLYELQLTKSVSNDDLYEDMAKILRDFKDLEDEVKSFLLLNMYLHVAWCLQSIDGENPSETSLTALMSKRTMLIEQLHYFTNTIPEVQKEGRGRNVLSSRVCIILAEVWCLFKMSRYTSTKLEILGYCPDTLILQKFWKLCESQLNVSDETEDEDANEEYIEETNRDAIMIAAAKLVASHTVSKDYLGPEIISHFVMHGPSVAEIIKYLITVLKKNENEDLPFLFLEALKMAYQRHLLDLSVSDYETLTNKSSDFKELASRLAGTFVGAARNKYRSEILKIVKDGISFAFVDVPKHLTFLEGAVLPFIPKLPPTDIAEILKDVQRRAENVNTDEDPSGWRPYFTFIEHLKEKCARNEGLQDEKDGIPVRRRGRPRKDANLQGKKLFEQQDSSDEDSISASENDQDDDEDDDDEQQPLIHTFRSSVSKLRSLRVQQQEANGQAGPSRTTGSNG >DRNTG_20056.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26256978:26285567:-1 gene:DRNTG_20056 transcript:DRNTG_20056.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVKACALFIIAFFLSGLIELSHGEAMAPSPATGDGKALDQGIAYVLMLVALLVTYLIH >DRNTG_20056.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26251342:26251699:-1 gene:DRNTG_20056 transcript:DRNTG_20056.13 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVKAWALFVIAFFLSGLIELSHGEAMAPSPATGDGKALDQGIAYVLMLVALLVTYLIH >DRNTG_20056.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26278033:26280788:-1 gene:DRNTG_20056 transcript:DRNTG_20056.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVKACALFIIAFFLSGLIELSHGEAMAPSPATGDGKALDQGIAYVLMLVALLVTYLIH >DRNTG_20056.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26256978:26260590:-1 gene:DRNTG_20056 transcript:DRNTG_20056.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVKACALFIIAFFLSGLIELSHGDTMAPSPATGDGKALDQGIAYVLMLVALLVTYLIH >DRNTG_20056.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26260232:26260590:-1 gene:DRNTG_20056 transcript:DRNTG_20056.12 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVKACALFIIAFFLSGLIELSHGDTMAPSPATGDGKALDQGIAYVLMLVALLVTYLIH >DRNTG_20056.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26278033:26285567:-1 gene:DRNTG_20056 transcript:DRNTG_20056.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVKACALFIIAFFLSGLIELSHGEAMAPSPATGDGKALDQGIAYVLMLVALLVTYLIH >DRNTG_20056.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26280369:26280788:-1 gene:DRNTG_20056 transcript:DRNTG_20056.11 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVKACALFIIAFFLSGLIELSHGEAMAPSPATGDGKALDQGIAYVLMLVALLVTYLIH >DRNTG_20056.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26278033:26285567:-1 gene:DRNTG_20056 transcript:DRNTG_20056.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVKACALFIIAFFLSGLIELSHGEAMAPSPATGDGKALDQGIAYVLMLVALLVTYLIH >DRNTG_20056.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26278033:26285567:-1 gene:DRNTG_20056 transcript:DRNTG_20056.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVKACALFIIAFFLSGLIELSHGEAMAPSPATGDGKALDQGIAYVLMLVALLVTYLIH >DRNTG_20056.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26260232:26285567:-1 gene:DRNTG_20056 transcript:DRNTG_20056.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVKACALFIIAFFLSGLIELSHGEAMAPSPATGDGKALDQGIAYVLMLVALLVTYLIH >DRNTG_20056.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26256978:26257305:-1 gene:DRNTG_20056 transcript:DRNTG_20056.14 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVKACALFIIAFFLSGLIELSHGEAMAPSPATGDGKALDQGIAYVLMLVALLVTYLIH >DRNTG_20056.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26251342:26280788:-1 gene:DRNTG_20056 transcript:DRNTG_20056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVKACALFIIAFFLSGLIELSHGEAMAPSPATGDGKALDQGIAYVLMLVALLVTYLIH >DRNTG_20056.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26280369:26285567:-1 gene:DRNTG_20056 transcript:DRNTG_20056.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVKACALFIIAFFLSGLIELSHGEAMAPSPATGDGKALDQGIAYVLMLVALLVTYLIH >DRNTG_20056.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26251342:26257305:-1 gene:DRNTG_20056 transcript:DRNTG_20056.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVKACALFIIAFFLSGLIELSHGEAMAPSPATGDGKALDQGIAYVLMLVALLVTYLIH >DRNTG_03185.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21900629:21901089:1 gene:DRNTG_03185 transcript:DRNTG_03185.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSVLRLTTPSLMRCRVFLPLLPVHRTVFFRTGSDLRFLSAGARRSVRPTRAKRRERDEAVGAAAAEQKGDDGNGGLMVKEKDGGGDGRVVPTELHKEATEAYMAYAMSVLLGRALPDVRDGLKPVHRRIL >DRNTG_03185.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21900629:21901339:1 gene:DRNTG_03185 transcript:DRNTG_03185.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSVLRLTTPSLMRCRVFLPLLPVHRTVFFRTGSDLRFLSAGARRSVRPTRAKRRERDEAVGAAAAEQKGDDGNGGLMVKEKDGGGDGRVVPTELHKEATEAYMAYAMSVLLGRALPDVRDGLKPVHRRILFAMHELGLSSRKPFKKCARVVGEVNKKRNIFFCSVLFYS >DRNTG_03185.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21900629:21902271:1 gene:DRNTG_03185 transcript:DRNTG_03185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSVLRLTTPSLMRCRVFLPLLPVHRTVFFRTGSDLRFLSAGARRSVRPTRAKRRERDEAVGAAAAEQKGDDGNGGLMVKEKDGGGDGRVVPTELHKEATEAYMAYAMSVLLGRALPDVRDGLKPVHRRILFAMHELGLSSRKPFKKCARVVGEVLGKYHPHGDTAVYESLVRMAQDFSLRSPLIRGHGNFGSIDADPPAAMRYTECRLE >DRNTG_07795.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1229906:1230959:-1 gene:DRNTG_07795 transcript:DRNTG_07795.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRLFIVTSTIVSFLVISSFAQLPQFEQPIKPDASLSLLAIGDWGRKGTFNQSLVAKQMGRIGEELGIDFVISVGDNFYDAGLIDVYDKSFEESFTNIYTAKSLQKQWYAVLGNHDYRGNAVAQLDPILRDIDKRWLCLRSFMVNTDIAQFFFIDTTPFVKKYWKHPKKNHYDWRGVAPRKKYISKVLKDLEIALSESTSIWKVVVGHHTIRSVSEHGDTDELVDMLLPMLEASNVLSSITAN >DRNTG_30094.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21503340:21511470:-1 gene:DRNTG_30094 transcript:DRNTG_30094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISATHPQSSSSMSSSTRGLSSSSSYNSFFMNMSPLPSRLSSSPRSICLTLFPLCLQLFIDTFKMCGEALDRCPLQSHPVEC >DRNTG_13442.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9097661:9098070:1 gene:DRNTG_13442 transcript:DRNTG_13442.1 gene_biotype:protein_coding transcript_biotype:protein_coding EAKAWLQTTTTHLLHHFYVPQHPLVLVIPHLVTFGQSLGRVGHQLKKLSPRFDDRTLNCGVREEVKHRIPRLGDE >DRNTG_21930.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2214152:2217932:1 gene:DRNTG_21930 transcript:DRNTG_21930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSSDVLPEDLSASVESFFEAAPPLQDSGLTAQKLQAFIDRNSKPLEDGRPVRVVCITSGGTTVPLEQRCVRYIDNFSSGHRGAASTEYFVKAGYAVIFLHRRGSCQPYCHHLPEDSFLDLFEVGEDSSIQVCQSHSAVVKKAIRDYRTAVERGQLLRLPFTTIFEYLQLLRMIAISMKQLGPYGMFYLAAAVSDFYVPWESMAKHKIQSSSGPMDMRLSQVPKMLSVLRKDWAPMAFCISFKLETDADILLQKAEIAMKKYQMHIVVANLLATYKREVTVVTYNGTIDVRKLGEDSDVEDELIKLLIDRHCKHLEQSGI >DRNTG_21930.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2214152:2220970:1 gene:DRNTG_21930 transcript:DRNTG_21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSSDVLPEDLSASVESFFEAAPPLQDSGLTAQKLQAFIDRNSKPLEDGRPVRVVCITSGGTTVPLEQRCVRYIDNFSSGHRGAASTEYFVKAGYAVIFLHRRGSCQPYCHHLPEDSFLDLFEVGEDSSIQVCQSHSAVVKKAIRDYRTAVERGQLLRLPFTTIFEYLQLLRMIAISMKQLGPYGMFYLAAAVSDFYVPWESMAKHKIQSSSGPMDMRLSQVPKMLSVLRKDWAPMAFCISFKLETDADILLQKAEIAMKKYQMHIVVANLLATYKREVTVVTYNGTIDVRKLGEDSDVEDELIKLLIDRHCKHLEQSGI >DRNTG_03351.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18122698:18123533:1 gene:DRNTG_03351 transcript:DRNTG_03351.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVAATTAAAAAKPFLSPVRSQSSYLPFLSLSYTLKSSSHCSTFPILRSSITTFHGPCFVVGDNIDTDQIIPAEHLTLVPSKPDEYRKLGTLALIGLPSSLYPTPFVPPDSPSTHYPIIIAGANFGCGSSREHAPVALGAAGAHAVVAESYARIFFRNSVATGEIYPLETETVGLYRECTTGDVVTVDLAGSRLINHTTGKEYKLKPIGDAGPVIEAGGIFAYVRKTGMIASSPSSA >DRNTG_03351.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18122698:18123533:1 gene:DRNTG_03351 transcript:DRNTG_03351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVAATTAAAAAKPFLSPVRSQSSYLPFLSLSYTLKSSSHCSTFPILRSSIRPQPNSKPFAISASSSTSTSITTFHGPCFVVGDNIDTDQIIPAEHLTLVPSKPDEYRKLGTLALIGLPSSLYPTPFVPPDSPSTHYPIIIAGANFGCGSSREHAPVALGAAGAHAVVAESYARIFFRNSVATGEIYPLETETVGLYRECTTGDVVTVDLAGSRLINHTTGKEYKLKPIGDAGPVIEAGGIFAYVRKTGMIASSPSSA >DRNTG_31744.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21698600:21702101:-1 gene:DRNTG_31744 transcript:DRNTG_31744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGLAALSPIGEDSPLSPYMSDGIRSPHCLPESILIYLTVPGSSVMPLSVQESDSIALVKLRIQSFKGFVVKKQKLVFDGRELARNDSLVRDYGVTDGKVLHLVIRLSDLCCLTVKTACGKKFKFHVERSRSIAYVKQQIAKKGEDFVNLNDQKLICDGEELDDQQLIDDVCRKNDAVIHLIVGKSAKIRTKPFEKDFELSIVAPDTKDESRAENVQVITKKQDRDSWVEPVIGNPKVKYSQIIKDLIQDTYAGLEKGNAPIMSSEGSGGAYFMHDLSGERIVAVFKPIDEEPMAENNPRGLPLSTDGEGLKRGTRVGEGALREVAAYMLDHPIDGRHSFVGDEVGFSGVPPTVMVRCLHGAFNHPGGYGYRAKNCKIGSLQMFVENSGSCEDMGPRAFPVEEVHKIAVLDIRLANADRHAGNILIHKEGEEGRIVLVPIDHGYCLPENFEDCTFEWLYWPQSREPFNSVTMDYIRSLDAEEDIALLRFYGWELSPECRRTLRISTMLLKKGVERGLTPYEIGSILCRETLKKESKIEDIIREAKDAVLPGTSEAAFMDSISDIMDRYLDELSK >DRNTG_10941.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:142669:168390:1 gene:DRNTG_10941 transcript:DRNTG_10941.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIEEMVVSPSNEQTRQGQRHRALVILHQMIDDAHRGKRQFLSGKLHNLARAVADEDADNNYLRGEGFNSEKKASLNFEKGVVFGLGLKVPKPVSLNSSSAESSGELAGHDVKYPGKKLFGPLTSKPSTYLSSFIIYIATIGDVVDGIDTTHDFNFFSLIYEWPKDLITRLVFERGSIDAAGKVADIMCADFVHEVITACVPPVLPPKLGHGWACIPVIPPFSRMSSENKIPSTKGSPCSSFSTVPGNSLYSLQLNIVKHLGKLSPVRAVLACVFGSSILSSSAELSASSSSNDGLMQAPDAERLFYEFALDQSERFPTLNRWIQMQSNLHRVSESTMAKSDSDVSTTKPEVKNSVKRFRESESDTESEVGDLVGSGHASSTLGEFNAQGNLVSDSCQGSPRSDKVEIDHTVYISFDWENEGPYENAVERLINEGKLMDALALSDRCLRDGASDRLLQLLIEQDDENNPITGHPYGYGTRNFASNSWQYCIRLKDKQLAAKLALTFLHRWELDAAMDVLTMCNCHLPQSDPLKTEVMQMRQSLQRYNHILSADDHYSCWQEVEAECNEDPEGLALRLAGKGAVSAALEVAESASLSLDLRRELQGRQLVKLLTTDPLSGGGPAEASRFLSSLRDSDDALPVAIGAMQLLPDLRSKQLLVHFFLKRRVSNLSDAEVTRLNSWALGLRVLALLPLPSQQRCSALHEHPHLILEVLLMMKQLQSAALILKEFPSLRNDDLILSYAAKAIAVNMNSAPREPRISISASRPKQKTRSGMPSRSNFTQSIGNLQKEARRAFSWAPRDTGNKTAPKDAHRKRKSSGWMQSERGSWEAMSGIQEERISAYSADGQERLPFVSIAEEWVLTGDSNKDNAVRLSHRYETAPDITVFKALLSLCSDELTSAKGALQLCVSQMKNVLSSQYLPLHASTETLGRAYYATEIYVQGLATVKSQLRKLAGTGELLGNSERSRDADDSSTDTGISSVSSHYSDELSEFLAQSEIWLGRAELLQSLLGSGIVASLDDISDKESAARLRDRLIEDERYSMAIYTCKKCKIDAFPVWNSWGHALIRMEHYAQARVKFKQALQLYKGDPGPVVLEIINTVEGGPPADVAAVRSMYEHLAKSAPTILDDSLSADAYLNVLYMPSTFPRSERSRRFQEAANYKAMLSGSGLGFDDGPRSNLDNVRYIECIHYLQEYARPQILAFMFRHGHYTDACLLFFPLNAIPSPPQPASHGAATPTQRPDLLATDYGTIDDLCDFCVGYGAMSVLGDIISERKASSASQDATVIQYTNAALGRICNYCETHRHFNYLYKFQVIKGDHVAAGLCCIQLFMNSCAQEEAVKHLEHAKVHFEEGLSARHRAGETTKLVSKSRSKSASEKLSEEGLVKFSARVAIQVDVVKAFNDIDGPQWNHSLFGNPNDPETFRRRCKVAETLSEKHFDLAFQVIYEFNLPAVDIYAGVAASLAERKKGSQLTEFLRNIKGTIDEDDWDQVLGAAINVYANKHKERPDRLIDMLTSSHRKVLACVICGRLKTAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYM >DRNTG_10941.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:139697:150652:1 gene:DRNTG_10941 transcript:DRNTG_10941.4 gene_biotype:protein_coding transcript_biotype:protein_coding RAMEKEEAFLARVAVNHLFLSQFEALRASILSLRKRNPGLALAFLRTIVADGGRFDGVLWSGTCSSPSHLAWLAALEVLDFRNIASVWSFDPDLLRLKVELLLLVQLVISRVSDAEGVGGEAYVNVLNRVLDLGLRILRSYVMDDIGDDDEIPLIMDDELGSLWTVFLEHADVFDEICANIQRQTQWFETPDSSGLAISLRTEAKGSSNSSAKELEALSGMQKHVQLAHLDAFKKCLSSENMDEALLHLRFFHLDHGVEETEYKMAVEDLIGMAWPQIDSYGEAWLVSRNKMLMIFTEALSSDCLQLAQTVQVIQDELLSNEVEKHRASNAGSIPFPIQKYLITLSLENTANLDDKVPQSLAIKSCMRDMYHYARISGTHILECVIDAALSAVRREGLQEASDIISLFPLLQPLVAVLGWDLLSGKTTARRKLMQLMWTSKSQVLRLEEFPIYGKHSDEVSCVEYLCDLLCFYLDLAFFVACVNSGRPWSTKFSLLFSQKDQVGMLNSSEDFDPFVENFVLERLAVQTPMRVLFDVVPGIKFQDAIEIISMQPIASTSAAWKRLQDIGLMHMRYALESAVLALGVMERGLGEANEAQFHRAVCYLKDLRSHIEAVGSTPRKVFIISILISLLHMDEISVDSTNCPSSQYSSASCEQSNSSSSSEGGSKLVVTFIDLLLDILHQNLPELEQMVDNSVTAVARQALQWRVSNAKHFIEDWKWRLSVLQRLQPLSEHSWNWRQALTILRAAPSKLLNLCMQRAKYDIGEEAVQRFSLPPEDKAALELAEWVAGAFRRVSVEDAVSRVAEGTPNAVKELDVSSFRAQLGPLPAILLCIDAAATSAQSVDMCTFLLNQGRVMLSEIYPGSTPKIGSGYWDQLHEVAIISVTRRILQHLHDLLEQ >DRNTG_10941.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:139697:168316:1 gene:DRNTG_10941 transcript:DRNTG_10941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEAFLARVAVNHLFLSQFEALRASILSLRKRNPGLALAFLRTIVADGGRFDGVLWSGTCSSPSHLAWLAALEVLDFRNIASVWSFDPDLLRLKVELLLLVQLVISRVSDAEGVGGEAYVNVLNRVLDLGLRILRSYVMDDIGDDDEIPLIMDDELGSLWTVFLEHADVFDEICANIQRQTQWFETPDSSGLAISLRTEAKGSSNSSAKELEALSGMQKHVQLAHLDAFKKCLSSENMDEALLHLRFFHLDHGVEETEYKMAVEDLIGMAWPQIDSYGEAWLVSRNKMLMIFTEALSSDCLQLAQTVQVIQDELLSNEVEKHRASNAGSIPFPIQKYLITLSLENTANLDDKVPQSLAIKSCMRDMYHYARISGTHILECVIDAALSAVRREGLQEASDIISLFPLLQPLVAVLGWDLLSGKTTARRKLMQLMWTSKSQVLRLEEFPIYGKHSDEVSCVEYLCDLLCFYLDLAFFVACVNSGRPWSTKFSLLFSQKDQVGMLNSSEDFDPFVENFVLERLAVQTPMRVLFDVVPGIKFQDAIEIISMQPIASTSAAWKRLQDIGLMHMRYALESAVLALGVMERGLGEANEAQFHRAVCYLKDLRSHIEAVGSTPRKVFIISILISLLHMDEISVDSTNCPSSQYSSASCEQSNSSSSSEGGSKLVVTFIDLLLDILHQNLPELEQMVDNSVTAVARQALQWRVSNAKHFIEDWKWRLSVLQRLQPLSEHSWNWRQALTILRAAPSKLLNLCMQRAKYDIGEEAVQRFSLPPEDKAALELAEWVAGAFRRVSVEDAVSRVAEGTPNAVKELDVSSFRAQLGPLPAILLCIDAAATSAQSVDMCTFLLNQGRVMLSEIYPGSTPKIGSGYWDQLHEVAIISVTRRILQHLHDLLEQEEATIIQKMLIEEMVVSPSNEQTRQGQRHRALVILHQMIDDAHRGKRQFLSGKLHNLARAVADEDADNNYLRGEGFNSEKKASLNFEKGVVFGLGLKVPKPVSLNSSSAESSGELAGHDVKYPGKKLFGPLTSKPSTYLSSFIIYIATIGDVVDGIDTTHDFNFFSLIYEWPKDLITRLVFERGSIDAAGKVADIMCADFVHEVITACVPPVLPPKLGHGWACIPVIPPFSRMSSENKIPSTKGSPCSSFSTVPGNSLYSLQLNIVKHLGKLSPVRAVLACVFGSSILSSSAELSASSSSNDGLMQAPDAERLFYEFALDQSERFPTLNRWIQMQSNLHRVSESTMAKSDSDVSTTKPEVKNSVKRFRESESDTESEVGDLVGSGHASSTLGEFNAQGNLVSDSCQGSPRSDKVEIDHTVYISFDWENEGPYENAVERLINEGKLMDALALSDRCLRDGASDRLLQLLIEQDDENNPITGHPYGYGTRNFASNSWQYCIRLKDKQLAAKLALTFLHRWELDAAMDVLTMCNCHLPQSDPLKTEVMQMRQSLQRYNHILSADDHYSCWQEVEAECNEDPEGLALRLAGKGAVSAALEVAESASLSLDLRRELQGRQLVKLLTTDPLSGGGPAEASRFLSSLRDSDDALPVAIGAMQLLPDLRSKQLLVHFFLKRRVSNLSDAEVTRLNSWALGLRVLALLPLPSQQRCSALHEHPHLILEVLLMMKQLQSAALILKEFPSLRNDDLILSYAAKAIAVNMNSAPREPRISISASRPKQKTRSGMPSRSNFTQSIGNLQKEARRAFSWAPRDTGNKTAPKDAHRKRKSSGWMQSERGSWEAMSGIQEERISAYSADGQERLPFVSIAEEWVLTGDSNKDNAVRLSHRYETAPDITVFKALLSLCSDELTSAKGALQLCVSQMKNVLSSQYLPLHASTETLGRAYYATEIYVQGLATVKSQLRKLAGTGELLGNSERSRDADDSSTDTGISSVSSHYSDELSEFLAQSEIWLGRAELLQSLLGSGIVASLDDISDKESAARLRDRLIEDERYSMAIYTCKKCKIDAFPVWNSWGHALIRMEHYAQARVKFKQALQLYKGDPGPVVLEIINTVEGGPPADVAAVRSMYEHLAKSAPTILDDSLSADAYLNVLYMPSTFPRSERSRRFQEAANYKAMLSGSGLGFDDGPRSNLDNVRYIECIHYLQEYARPQILAFMFRHGHYTDACLLFFPLNAIPSPPQPASHGAATPTQRPDLLATDYGTIDDLCDFCVGYGAMSVLGDIISERKASSASQDATVIQYTNAALGRICNYCETHRHFNYLYKFQVIKGDHVAAGLCCIQLFMNSCAQEEAVKHLEHAKVHFEEGLSARHRAGETTKLVSKSRSKSASEKLSEEGLVKFSARVAIQVDVVKAFNDIDGPQWNHSLFGNPNDPETFRRRCKVAETLSEKHFDLAFQVIYEFNLPAVDIYAGVAASLAERKKGSQLTEFLRNIKGTIDEDDWDQVLGAAINVYANKHKERPDRLIDMLTSSHRKVLACVICGRLKTAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYM >DRNTG_10941.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:146556:168316:1 gene:DRNTG_10941 transcript:DRNTG_10941.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHMRYALESAVLALGVMERGLGEANEAQFHRAVCYLKDLRSHIEAVGSTPRKVFIISILISLLHMDEISVDSTNCPSSQYSSASCEQSNSSSSSEGGSKLVVTFIDLLLDILHQNLPELEQMVDNSVTAVARQALQWRVSNAKHFIEDWKWRLSVLQRLQPLSEHSWNWRQALTILRAAPSKLLNLCMQRAKYDIGEEAVQRFSLPPEDKAALELAEWVAGAFRRVSVEDAVSRVAEGTPNAVKELDVSSFRAQLGPLPAILLCIDAAATSAQSVDMCTFLLNQGRVMLSEIYPGSTPKIGSGYWDQLHEVAIISVTRRILQHLHDLLEQEEATIIQKMLIEEMVVSPSNEQTRQGQRHRALVILHQMIDDAHRGKRQFLSGKLHNLARAVADEDADNNYLRGEGFNSEKKASLNFEKGVVFGLGLKVPKPVSLNSSSAESSGELAGHDVKYPGKKLFGPLTSKPSTYLSSFIIYIATIGDVVDGIDTTHDFNFFSLIYEWPKDLITRLVFERGSIDAAGKVADIMCADFVHEVITACVPPVLPPKLGHGWACIPVIPPFSRMSSENKIPSTKGSPCSSFSTVPGNSLYSLQLNIVKHLGKLSPVRAVLACVFGSSILSSSAELSASSSSNDGLMQAPDAERLFYEFALDQSERFPTLNRWIQMQSNLHRVSESTMAKSDSDVSTTKPEVKNSVKRFRESESDTESEVGDLVGSGHASSTLGEFNAQGNLVSDSCQGSPRSDKVEIDHTVYISFDWENEGPYENAVERLINEGKLMDALALSDRCLRDGASDRLLQLLIEQDDENNPITGHPYGYGTRNFASNSWQYCIRLKDKQLAAKLALTFLHRWELDAAMDVLTMCNCHLPQSDPLKTEVMQMRQSLQRYNHILSADDHYSCWQEVEAECNEDPEGLALRLAGKGAVSAALEVAESASLSLDLRRELQGRQLVKLLTTDPLSGGGPAEASRFLSSLRDSDDALPVAIGAMQLLPDLRSKQLLVHFFLKRRVSNLSDAEVTRLNSWALGLRVLALLPLPSQQRCSALHEHPHLILEVLLMMKQLQSAALILKEFPSLRNDDLILSYAAKAIAVNMNSAPREPRISISASRPKQKTRSGMPSRSNFTQSIGNLQKEARRAFSWAPRDTGNKTAPKDAHRKRKSSGWMQSERGSWEAMSGIQEERISAYSADGQERLPFVSIAEEWVLTGDSNKDNAVRLSHRYETAPDITVFKALLSLCSDELTSAKGALQLCVSQMKNVLSSQYLPLHASTETLGRAYYATEIYVQGLATVKSQLRKLAGTGELLGNSERSRDADDSSTDTGISSVSSHYSDELSEFLAQSEIWLGRAELLQSLLGSGIVASLDDISDKESAARLRDRLIEDERYSMAIYTCKKCKIDAFPVWNSWGHALIRMEHYAQARVKFKQALQLYKGDPGPVVLEIINTVEGGPPADVAAVRSMYEHLAKSAPTILDDSLSADAYLNVLYMPSTFPRSERSRRFQEAANYKAMLSGSGLGFDDGPRSNLDNVRYIECIHYLQEYARPQILAFMFRHGHYTDACLLFFPLNAIPSPPQPASHGAATPTQRPDLLATDYGTIDDLCDFCVGYGAMSVLGDIISERKASSASQDATVIQYTNAALGRICNYCETHRHFNYLYKFQVIKGDHVAAGLCCIQLFMNSCAQEEAVKHLEHAKVHFEEGLSARHRAGETTKLVSKSRSKSASEKLSEEGLVKFSARVAIQVDVVKAFNDIDGPQWNHSLFGNPNDPETFRRRCKVAETLSEKHFDLAFQVIYEFNLPAVDIYAGVAASLAERKKGSQLTEFLRNIKGTIDEDDWDQVLGAAINVYANKHKERPDRLIDMLTSSHRKVLACVICGRLKTAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYM >DRNTG_19627.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001033.1:26466:30651:-1 gene:DRNTG_19627 transcript:DRNTG_19627.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKVNAKIKNSASSRIKSLISKKMSKKRDKKKKTSPLASKLQRTLSIHYLECNDYVLSDELSSDSEASTVESNSCEDESCTSSGPATPMWEDFDGARQFEAYGIVSTISHAGHNQLNEIGNQFVENQVVLTEKLTQAKDAWIKLKSLKYDTKGQSADVAVYQSKDFSDMLDLFNTNKELFQQISQDPNSVFIHHLPEKHASSSEMALTKSGSFPGTALSGKKRSGLSRFKREGEGGDSGNSRESQADNSASVSSTNDNSLQHGAPRAHMQFGFLKPEHAAMNSSGTNSNLESLLASPRGFNNQMDAVAVSSRFKGLKQRIQDLIQETKKEQQRISMDGLLHKIPYGRKVSEKDERHNLWDGLAPEKAFSANSKHYSRGFRRSRSLTESLESYSRLLESVSFSEYDHKVSENVKGERHILGDGLVPEKPLSANSKHYSSSFRRSRSLTESLESYGRLLDSVSFRESTRLPEELGLVQEDPVMQNKKNPRTLGRILSNPEYGSYLQTKVLLTGDGAVNPVGSYVPKPIDSIIPEEECKETNMLSPHALASETIDGGLEEIATMNELDQNQKGISKDLVHVEEAGDGLVSVEHMLRANHGDIADGNSEKEMSVNALDQSSQPDAMDDLKGEQNSYSVINEQEVTIEEEPCNKQTKPSPISDLNSCIEEEPVCPAKYSAIEGGSELSIGGILCQAPIAHLDQDNELDVIERDTTKTQLQSAVADGDAFYVQVSKKDEAEFKYVKDLLKKSEFSGQSLPREFYSPYPEVHHSLLQKDTKCSNHDTDIATDDHEMSLDHQLRFDLINEVLADIYVRSFSYWPGFMHFNSRTRPMPTGYHILEEVWENISWHLGSQTLHASQNLDSINARDFTANDGWMNLRWDAECVALELEALLLDDLVHEAVLDYNGLLISS >DRNTG_19627.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001033.1:26466:30651:-1 gene:DRNTG_19627 transcript:DRNTG_19627.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKVNAKIKNSASSRIKSLISKKMSKKRDKKKKTSPLASKLQRTLSIHYLECNDYVLSDELSSDSEASTVESNSCEDESCTSSGPATPMWEDFDGARQFEAYGIVSTISHAGHNQLNEIGNQFVENQVVLTEKLTQAKDAWIKLKSLKYDTKGQSADVAVYQSKDFSDMLDLFNTNKELFQQISQDPNSVFIHHLPEKHASSSEMALTKSGSFPGTALSGKKRSGLSRFKREGEGGDSGNSRESQADNSASVSSTNDNSLQHGAPRAHMQFGFLKPEHAAMNSSGTNSNLESLLASPRGFNNQMDAVAVSSRFKGLKQRIQDLIQETKKEQQRISMDGLLHKIPYGRKVSEKDERHNLWDGLAPEKAFSANSKHYSRGFRRSRSLTESLESYSRLLESVSFSEYDHKVSENVKGERHILGDGLVPEKPLSANSKHYSSSFRRSRSLTESLESYGRLLDSVSFRESTRLPEELGLVQEDPVMQNKKNPRTLGRILSNPEYGSYLQTKVLLTGDGAVNPVGSYVPKPIDSIIPEEECKETNMLSPHALASETIDGGLEEIATMNELDQNQKGISKDLVHVEEAGDGLVSVEHMLRANHGDIADGNSEKEMSVNALDQSSQPDAMDDLKGEQNSYSVINEQEVTIEEEPCNKQTKPSPISDLNSCIEEEPVCPAKYSAIEGGSELSIGGILCQAPIAHLDQDNELDVIERDTTKTQLQSAVADGDAFYVQVSKKDEAEFKYVKDLLKKSEFSGQSLPREFYSPYPEVHHSLLQKDTKCSNHDTDIATDDHEMSLDHQLRFDLINEVLADIYVRSFSYWPGFMHFNSRTRPMPTGYHILEEVWENISWHLGSQTLHASQNLDSINARDFTANDGWMNLRWDAECVALELEALLLDDLVHEAVLDYNGLLISS >DRNTG_19627.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001033.1:26466:31339:-1 gene:DRNTG_19627 transcript:DRNTG_19627.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLRHPESTVRHDKNHVGWMWGFLHFFDFHHHLHVRKMLTDKKHTDGRHSQGTKDTNLKQNVPLSGEEHELLLSEADISMDDKVNAKIKNSASSRIKSLISKKMSKKRDKKKKTSPLASKLQRTLSIHYLECNDYVLSDELSSDSEASTVESNSCEDESCTSSGPATPMWEDFDGARQFEAYGIVSTISHAGHNQLNEIGNQFVENQVVLTEKLTQAKDAWIKLKSLKYDTKGQSADVAVYQSKDFSDMLDLFNTNKELFQQISQDPNSVFIHHLPEKHASSSEMALTKSGSFPGTALSGKKRSGLSRFKREGEGGDSGNSRESQADNSASVSSTNDNSLQHGAPRAHMQFGFLKPEHAAMNSSGTNSNLESLLASPRGFNNQMDAVAVSSRFKGLKQRIQDLIQETKKEQQRISMDGLLHKIPYGRKVSEKDERHNLWDGLAPEKAFSANSKHYSRGFRRSRSLTESLESYSRLLESVSFSEYDHKVSENVKGERHILGDGLVPEKPLSANSKHYSSSFRRSRSLTESLESYGRLLDSVSFRESTRLPEELGLVQEDPVMQNKKNPRTLGRILSNPEYGSYLQTKVLLTGDGAVNPVGSYVPKPIDSIIPEEECKETNMLSPHALASETIDGGLEEIATMNELDQNQKGISKDLVHVEEAGDGLVSVEHMLRANHGDIADGNSEKEMSVNALDQSSQPDAMDDLKGEQNSYSVINEQEVTIEEEPCNKQTKPSPISDLNSCIEEEPVCPAKYSAIEGGSELSIGGILCQAPIAHLDQDNELDVIERDTTKTQLQSAVADGDAFYVQVSKKDEAEFKYVKDLLKKSEFSGQSLPREFYSPYPEVHHSLLQKDTKCSNHDTDIATDDHEMSLDHQLRFDLINEVLADIYVRSFSYWPGFMHFNSRTRPMPTGYHILEEVWENISWHLGSQTLHASQNLDSINARDFTANDGWMNLRWDAECVALELEALLLDDLVHEAVLDYNGLLISS >DRNTG_19627.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001033.1:26466:31568:-1 gene:DRNTG_19627 transcript:DRNTG_19627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLRHPESTVRHDKNHVGWMWGFLHFFDFHHHLHVRKMLTDKKHTDGRHSQGTKDTNLKQNVPLSGEEHELLLSEADISMDDKVNAKIKNSASSRIKSLISKKMSKKRDKKKKTSPLASKLQRTLSIHYLECNDYVLSDELSSDSEASTVESNSCEDESCTSSGPATPMWEDFDGARQFEAYGIVSTISHAGHNQLNEIGNQFVENQVVLTEKLTQAKDAWIKLKSLKYDTKGQSADVAVYQSKDFSDMLDLFNTNKELFQQISQDPNSVFIHHLPEKHASSSEMALTKSGSFPGTALSGKKRSGLSRFKREGEGGDSGNSRESQADNSASVSSTNDNSLQHGAPRAHMQFGFLKPEHAAMNSSGTNSNLESLLASPRGFNNQMDAVAVSSRFKGLKQRIQDLIQETKKEQQRISMDGLLHKIPYGRKVSEKDERHNLWDGLAPEKAFSANSKHYSRGFRRSRSLTESLESYSRLLESVSFSEYDHKVSENVKGERHILGDGLVPEKPLSANSKHYSSSFRRSRSLTESLESYGRLLDSVSFRESTRLPEELGLVQEDPVMQNKKNPRTLGRILSNPEYGSYLQTKVLLTGDGAVNPVGSYVPKPIDSIIPEEECKETNMLSPHALASETIDGGLEEIATMNELDQNQKGISKDLVHVEEAGDGLVSVEHMLRANHGDIADGNSEKEMSVNALDQSSQPDAMDDLKGEQNSYSVINEQEVTIEEEPCNKQTKPSPISDLNSCIEEEPVCPAKYSAIEGGSELSIGGILCQAPIAHLDQDNELDVIERDTTKTQLQSAVADGDAFYVQVSKKDEAEFKYVKDLLKKSEFSGQSLPREFYSPYPEVHHSLLQKDTKCSNHDTDIATDDHEMSLDHQLRFDLINEVLADIYVRSFSYWPGFMHFNSRTRPMPTGYHILEEVWENISWHLGSQTLHASQNLDSINARDFTANDGWMNLRWDAECVALELEALLLDDLVHEAVLDYNGLLISS >DRNTG_19627.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001033.1:26466:31339:-1 gene:DRNTG_19627 transcript:DRNTG_19627.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLRHPESTVRHDKNHVGWMWGFLHFFDFHHHLHVRKMLTDKKHTDGRHSQGTKDTNLKQNVPLSGEEHELLLSEADISMDDKVNAKIKNSASSRIKSLISKKMSKKRDKKKKTSPLASKLQRTLSIHYLECNDYVLSDELSSDSEASTVESNSCEDESCTSSGPATPMWEDFDGARQFEAYGIVSTISHAGHNQLNEIGNQFVENQVVLTEKLTQAKDAWIKLKSLKYDTKGQSADVAVYQSKDFSDMLDLFNTNKELFQQISQDPNSVFIHHLPEKHASSSEMALTKSGSFPGTALSGKKRSGLSRFKREGEGGDSGNSRESQADNSASVSSTNDNSLQHGAPRAHMQFGFLKPEHAAMNSSGTNSNLESLLASPRGFNNQMDAVAVSSRFKGLKQRIQDLIQETKKEQQRISMDGLLHKIPYGRKVSEKDERHNLWDGLAPEKAFSANSKHYSRGFRRSRSLTESLESYSRLLESVSFSEYDHKVSENVKGERHILGDGLVPEKPLSANSKHYSSSFRRSRSLTESLESYGRLLDSVSFRESTRLPEELGLVQEDPVMQNKKNPRTLGRILSNPEYGSYLQTKVLLTGDGAVNPVGSYVPKPIDSIIPEEECKETNMLSPHALASETIDGGLEEIATMNELDQNQKGISKDLVHVEEAGDGLVSVEHMLRANHGDIADGNSEKEMSVNALDQSSQPDAMDDLKGEQNSYSVINEQEVTIEEEPCNKQTKPSPISDLNSCIEEEPVCPAKYSAIEGGSELSIGGILCQAPIAHLDQDNELDVIERDTTKTQLQSAVADGDAFYVQVSKKDEAEFKYVKDLLKKSEFSGQSLPREFYSPYPEVHHSLLQKDTKCSNHDTDIATDDHEMSLDHQLRFDLINEVLADIYVRSFSYWPGFMHFNSRTRPMPTGYHILEEVWENISWHLGSQTLHASQNLDSINARDFTANDGWMNLRWDAECVALELEALLLDDLVHEAVLDYNGLLISS >DRNTG_33035.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3532715:3534238:-1 gene:DRNTG_33035 transcript:DRNTG_33035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSVLLLLVVFFASLSSLSFSEKIIVGDSNHWRFGFNYTDWAMKNAPFYQHDSLVFMYDPPNSTTPPHSVYLLKDLNSFLACNLKGAKLVGSVVQGGGQGLEFVLKKRKPHYFACGEHSGVHCNLGLMKFSVFPIKDSCHG >DRNTG_28711.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18523507:18524382:1 gene:DRNTG_28711 transcript:DRNTG_28711.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTHVKMVGEKLVGEVNFQRSIPVMGAEDFAFYSQIIPSAVIQLGIQNETLGPSHMLHSPYFFIDEQALPVGAAMYAAVAMAYLDLHPSNL >DRNTG_28711.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18523195:18524382:1 gene:DRNTG_28711 transcript:DRNTG_28711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTHVKMVGEKLVGEVNFQRSIPVMGAEDFAFYSQIIPSAVIQLGIQNETLGPSHMLHSPYFFIDEQALPVGAAMYAAVAMAYLDLHPSNL >DRNTG_24066.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26476074:26478481:1 gene:DRNTG_24066 transcript:DRNTG_24066.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable S-sulfocysteine synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G03630) UniProtKB/Swiss-Prot;Acc:O22682] MGGVDIFIASIGTGGTITGTGKYLKMMNKDVKVIGVEPAETSVISGENPGM >DRNTG_24066.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26474769:26478481:1 gene:DRNTG_24066 transcript:DRNTG_24066.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable S-sulfocysteine synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G03630) UniProtKB/Swiss-Prot;Acc:O22682] MIYLNKVVEGCVANIAAKLESMEPCKSVKDRIGYSMISEAEETGAISPGRSILVEPTSGNTGVGIAFVAAAKGYKLIVTMPASISLERRILLRALGAEIVLTESNKGIKGAVNKAEEIVRSTPNAYMFQQFDNQANTKAQKYGRTQWVVLTYLSPA >DRNTG_24066.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26474769:26478481:1 gene:DRNTG_24066 transcript:DRNTG_24066.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable S-sulfocysteine synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G03630) UniProtKB/Swiss-Prot;Acc:O22682] MPASISLERRILLRALGAEIVLTESNKGIKGAVNKAEEIVRSTPNAYMFQQFDNQANTKIHFETTGPEIWEDTMGGVDIFIASIGTGGTITGTGKYLKMMNKDVKVIGVEPAETSVISGENPGYVPSILDTKLLDEVIKITTTEAVEVAKELALKEGLLVGISSGAAAAAAIHVAKRPENAGKLIAVIFPSFGERYISTVLFNSIHEEVRKLQKR >DRNTG_24066.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26474769:26478481:1 gene:DRNTG_24066 transcript:DRNTG_24066.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable S-sulfocysteine synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G03630) UniProtKB/Swiss-Prot;Acc:O22682] MALLASLVPSNLLISRPRALFVPSLRYSTSVRTKKSLVFTPLHARNELEAVNIAEDVTQLIGKTPMIYLNKVVEGCVANIAAKLESMEPCKSVKDRIGYSMISEAEETGAISPGRSILVEPTSGNTGVGIAFVAAAKGYKLIVTMPASISLERRILLRALGAEIVLTESNKGIKGAVNKAEEIVRSTPNAYMFQQFDNQANTKIHFETTGPEIWEDTMGGVDIFIASIGTGGTITGTGKYLKMMNKDVKVIGVEPAETSVISGENPGYVPSILDTKLLDEVIKITTTEAVEVAKELALKEGLLVGISSGAAAAAAIHVAKRPENAGKLIAVIFPSFGERYISTVLFNSIHEEVRKLQKR >DRNTG_24208.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22536145:22537828:1 gene:DRNTG_24208 transcript:DRNTG_24208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLVFYGATIALAIIIFWRLFHGVKKEEVHRRRSRAPPTIPGSLPLLGHLHLMRHPVHQRLAGYAASYGPILSLRFGSRPVLIVSSAAIAEECLVAKGTAFANRPQLTFSQIFGYDYTAVDVAPYGPHWRSLRRIMAQEVLSQARVTSFAGVREDEVKGLLGKLCNNPGRVAMRAYLYDLTFNFMVRIVMGKRYVNGGGEEGREFRRIVEAVFFLSGKLCMDDFLPWWVARLFGGGLKERIVKLGKDMDELLQSLMDERRQRRKEVEEMAVIDVLLGLQEKDPGFCSDVIIKGILLTLISGGTDSVAGTLEWALVLLLNHPEILNKARDEIAMQVGTERLVTDTDLPKLSYLHKIIKETLRLFPAFPALLPHESSEDCTISGFDISSGTVLLVNAYAIHRDPELWKDPLKFDPERFDCKVGDQGKDFKYIAFGSGRRGCPGEGFSKRMLMLTLGSLIQCFEWERIGEELVDLDEGEGFAIPKANPLEVICKPRPHMLHLLSQL >DRNTG_31224.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2280581:2284263:-1 gene:DRNTG_31224 transcript:DRNTG_31224.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKHPNKQASPSHHQHFQIEDSNGDHQSEQKRWSKASCLCCEIDVAPFAFLMLLLILVSAFLFRGDQWTLFDKTKLPIMNKGQEDDISTFSNAPSKDKFIGGLLSDEFDEDSCKSRYNSMLFTNSSPHKPSSYLIQRLRKYEKLHKKCGPNTEHYNKAIDDLKSGNKNNNEQSECKYLINQPNSGLGNRMITLVSCFLYAILSNRVLLTQSGMDMSDLFCEPFPLSSWLLPLDFPHNHLHHLSFGNMVRDSTRNSTTNYAFLDLSHGSNHYDKLFFCDEYHHGFIDNITWIKTQSNQYFLPAFFLMPSYKQELDLLFPEKDTVFHHLGRYLFHPTNSVWGLITRYYSSYLAHAEQRLGVQIRVFDPAMSIFLNEKTPSELVLEQIISCALKEDLLPNVTVSVKNITRSTRSKSVLITSLSSEFFDKIKNMYWEKATVDGEIISVYQPSHEEHQQTEKSSHDMKALAEMYLLSYSNVLMTSSLSTFGYVAQSLGGMKPWILSRPDKYKVLHGVPCTRDLSMEPCYHAPPTFDCEAKKDTDLGLVVPHVKHCEDVDNGLKLVHS >DRNTG_00971.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20930004:20932253:1 gene:DRNTG_00971 transcript:DRNTG_00971.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,6-galactosyltransferase GALT29A [Source:Projected from Arabidopsis thaliana (AT1G08280) UniProtKB/Swiss-Prot;Acc:Q9SGD2] MKRSLRVPFSLLLLIALATAVTLLGAARRSIPSQPSGSPFLSPLSAVPNVTLVRLASADVGVEELRKEVDELIDRELPLPGRGRQRSISLYRSGNHLQARPRSMVRLRTTKDFRVLPEFRRLLQGWIRRLRFQPEVMVELADLVKGSIDRHLGRVEGERRRYGSCAVVGNSGILLKSDHGTLIDSHDLVIRLNNARIEGYQRNVGSKTGLSFVNSNILHLCARRIVKYYSLKLFVEETGKAPEEWAKTHDQKLFHYSSGMQAIMLAVGVCDQVSVFGFGKATDAKHHYHTNQKAELDLHDYKAEYVLYQDLVERPQVIPFLKDSGFKVPPVVFYH >DRNTG_27077.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001379.1:68163:68540:-1 gene:DRNTG_27077 transcript:DRNTG_27077.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPSGVLPRRRPSPIAIKKRNDGSGEEGKEEEKEKKGSWMEYEGEAEQPLSPAARLFHQPRFNCYIVAEMGIGTPIDVAAIKAGLLSTLVLHPRFSSVQVPL >DRNTG_27077.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001379.1:58741:68540:-1 gene:DRNTG_27077 transcript:DRNTG_27077.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPSGVLPRRRPSPIAIKKRNDGSGEEGKEEEKEKKGSWMEYEGEAEQPLSPAARLFHQPRFNCYIVAEMGIGTPIDVAAIKAGLLSTLVLHPRFSSVQILDESKGKKPKWVRTNVVIDNHIVIPNMDPNSNNPDQLVEDYVASLSNSPAIDLSRPLWDLHILNFQTSKASSVVILRIHHSLGDGTSLMSLLLACTRKTSNPDSPPSLPFSHHPPRSHPQNRLFAMLAALWAFLVLIFHSFVDLIIFTATAAFLNDSDTPFKGGDGTERHPKRFVHQSVCLDDIKIVKNAVGCTVNDVLLGVTSAGLTRYLYRKYESVNEKEKKKRFKSNIRLRSTVLVNIRPSPGIHALADMMQAGKCDAKWGNLMGYMILPFPIAFHRDPLDYVRKGKAIADRKKSSLEAIFTYSCAYMMMKLFGVKATAALSHRLLCHTSLSFSNIIGPVEEIGFYGHPLLYIAPSVYGHPQALTVHYQSYMNKMEIVLAVDESLIPDPHRLLDDLAESLQLIKDAAIAVKSIG >DRNTG_31076.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30758534:30763148:-1 gene:DRNTG_31076 transcript:DRNTG_31076.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSISFSLSLASPAISSGSHSALQPPSCNSALRFCGLRRGTIPFRAVNPVRSWRARKTSPLRVSAVISANGSPSKGFDYDLVIIGAGVGGHGAALHAVEQGLKTAIIEGDVIGGTCVNRGCVPSKALLAVSGRMRELQDEHHLKTLGLQVSAPEYDRQGVADHANNLALKIRNNLTNSLKALGVDILTGVGTIVGPQKVKYGKVGFSETEITAKDIIIATGSVPFIPKGIEIDGKTVFTSDHALKLEWVPEWIAIVGSGYIGLEFSDVYTALGSEVTFIEALDQLMPGFDPEIGKLAQRILINPRKIDYFTGVFANKITPAKDGKPVRIELIDAKTKEAKDTLEVDAALIATGRAPFTKGLGLENINVVTQRGFVPVNEHMQVLDANGDLVPNLYCIGDANGKMMLAHAASAQGISVVERVCRKENVLNHLSIPAACFTHPEISMVGLTEPQARDKAEQNGFEIRVAKTSFKANTKALAENEAEGLAKLIYRPDTGEILGVHILGLHAADLVHEASNAIALGTRIQDIKFAVHAHPTLSEVLDELFKSAKLNTGASHSVNEPVAA >DRNTG_31076.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30758534:30763148:-1 gene:DRNTG_31076 transcript:DRNTG_31076.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSISFSLSLASPAISSGSHSALQPPSCNSALRFCGLRRGTIPFRAVNPVRSWRARKTSPLRVSAVISANGSPSKGFDYDLVIIGAGVGGHGAALHAVEQGLKTAIIEGDVIGGTCVNRGCVPSKALLAVSGRMRELQDEHHLKTLGLQVSAPEYDRQGVADHANNLALKIRNNLTNSLKALGVDILTGVGTIVGPQKVKYGKVGFSETEITAKDIIIATGSVPFIPKGIEIDGKTVFTSDHALKLEWVPEWIAIVGSGYIGLEFSDVYTALGSEVTFIEALDQLMPGFDPEIGKLAQRILINPRKIDYFTGVFANKITPAKDGKPVRIELIDAKTKEAKDTLEVDAALIATGRAPFTKGLGLENINVVTQRGFVPVNEHMQVLDANGDLVPNLYCIGDANGKMMLAHAASAQGISVVERVCRKENVLNHLSIPAACFTHPEISMVGLTEVTNTFF >DRNTG_05371.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13640702:13642414:-1 gene:DRNTG_05371 transcript:DRNTG_05371.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLHPIPPLPNNKLPPTSRTKPCFLSHLPEIHTPSLTHGFSKVLGSSSLTTTTTTTTQKDQPFSLPSWKTSKNDLKSKELKLHDAFLYLEYMVNRGHKPDTSQATQLLYDLCKANKVRKAIHVMELLVKSGNTPDCSVYTFLVNQLCKRGSVGYAMQLVDKMHQYGVTPSTVTYNSLVRGLCVHGNLQQTLQLLERLMQRGLVPNVFTFSFLLEAAYKERGVDEAVRLLDEIIAKGGKPNLVSYNVLLTGFCKEGRLEEAMKFFRELPYKGFSPNVVSYNILLRSLCYNGQWTEAEELLTEMGNNGCEPSIITYNILIGSLALHGKPEQALEILEELLDKRYKPVAASYNPIIAWYCKQGRLDMVVKCLDMMMYRHCTPNDGTYNAIAVLCGEGKVEECFSILQSLGNKQNVSMHDYHRNVVSLLCKKGNTLAAFQLLNEMTKSGFTPDSYTYSSLIRGLCMEGMLDEALEIIQVMEESGCKPDVDNYNALILGLCKAQRTDLAFDVFETMVDRRYSPNETTYTILVEGIAHEDEADLAREVLKELYLRNAISQGTMERITLQYDLE >DRNTG_25709.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001334.1:11753:12720:-1 gene:DRNTG_25709 transcript:DRNTG_25709.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPQGYPHAPVVSLSSREISLSVSNALMEIPHGRGSSQGDLQGHSHAPTSSLDGGELLCKVSHGRVKITHTRRGRVIEFVTAQWLATLTPFLHMPINLGAFLVTSP >DRNTG_28856.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22240745:22241563:-1 gene:DRNTG_28856 transcript:DRNTG_28856.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKQVTDVQVSIHGGGEDSIQSKDCRFKERFSAERPVSCTNDSMSRG >DRNTG_28856.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22240509:22241586:-1 gene:DRNTG_28856 transcript:DRNTG_28856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKQVTDVQVSIHGGGEDSIQSKDCRFKERFSAERPVSCTNDSMSRG >DRNTG_28856.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22240509:22241563:-1 gene:DRNTG_28856 transcript:DRNTG_28856.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKQVTDVQVSIHGGGEDSIQSKDCRFKERFSAERPVSCTNDSMSRG >DRNTG_28856.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22240745:22241586:-1 gene:DRNTG_28856 transcript:DRNTG_28856.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKQVTDVQVSIHGGGEDSIQSKDCRFKERFSAERPVSCTNDSMSRG >DRNTG_11265.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21742921:21745341:-1 gene:DRNTG_11265 transcript:DRNTG_11265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLICGIPLLECVYCLACTRWAWKRCLHSAGHDSETWGLASSDEFAPVPRLCRYILANYEDDLENPRFPPPGGYRMNARQVLAQKTYKDTGGHVPPYLVYLDHDHSDIVLAVRGLNLAKEGDFAVLLDNRLGKRKFDGGYVHNGLLKAAGWVLDRECDLMKELLDKVSKLYINFCWAFIGLRRCSNAGNAGGAEQR >DRNTG_05117.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30528982:30530285:1 gene:DRNTG_05117 transcript:DRNTG_05117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMNMNMNLSSTSNDSPPPPSFFPFPSFQPPLMNNLNFNYNYNYNYNYNNHQYLMPMTNSSYTTNLLAKPEQDISVSSIGLNLGQRTYFSSDDVSMINKIFSRSRGVYSSLSNQQPPKCQAEGCHSDLSLAKHYHRRHKVCEFHSKATIVIAAGLQQRFCQQCSRFHVLSEFDETKRSCRKRLADHNRRRRKPQLHKNKQVMIMSTSNSYGNKGNMEGEKKMEVETFEFEFSNLDQNQNQVHDFIVSDQHDEQQTSPNMSLLLHHDHNLFCSTSSGEQHEQQQQQHNHEDVMFEVEFM >DRNTG_33885.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15113644:15114279:-1 gene:DRNTG_33885 transcript:DRNTG_33885.1 gene_biotype:protein_coding transcript_biotype:protein_coding DCIGALDGTHIHASVPVSEVAEFRGRKAYSTQNVLVAVDFDLHFTYVLAGWEGSAHDALVLRDALERPNGLSVPEGKYYLVDAGYATRPGFIAPYRGVRYHLKEFGSQTPANHKELFNLRHSSARTTIERAFGSLKGHFKIFSSRPFFPFKTQAELVLAACILHNYIISGGEDIFIPSEEEWTPP >DRNTG_33885.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15114051:15114279:-1 gene:DRNTG_33885 transcript:DRNTG_33885.2 gene_biotype:protein_coding transcript_biotype:protein_coding DCIGALDGTHIHASVPVSEVAEFRGRKAYSTQNVLVAVDFDLHFTYVLAGWEGSAHDALVLRDALERPNGLSVPE >DRNTG_12910.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26551412:26567515:-1 gene:DRNTG_12910 transcript:DRNTG_12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 25 [Source:Projected from Arabidopsis thaliana (AT1G25540) UniProtKB/Swiss-Prot;Acc:Q7XYY2] MAERQLIVVVEGTAALGPYWKTILSDYLEKIVRSFYGSELPGQKLAGSYPELAMVVFNTHGPYSDFLVQRTGWTKNLNVFFEWLSAIPFSGGGFGEAAIAEGLAEALMMLAPSTTANLSRQTPDAQKHCILVAASNPHPLPTPVFAIPASSLEHTETVEVKGEICLADADTVAKSIGQCAISLSVISPKQLPKLRAIYNAGKRNPRASDSTVDNAKNTHYLVLLSENFMEARAASTRPSIGNVPSNQNVKVDTASSAPIPGPSATPVPPASGTIISRQPVPVGNIPTANVKVEPTTVSAMVSAPGFSHLPSIPNVASQGVPSIQTSSPSPTSQETIAISESMQEFKPLVSSLPQTRPVGPAPANVSILNNISQRRQLMNTASIAGASSLGLQNIGAPMAMHMSNMISSGMPSSGIAGLTSVAGSGALMASGQVTQNTTLSSFPSTTSGVSGNANIGLSPALANLQGNTGIDQSVASVGQGGLTSGAQIGQSGIGMNQNIMGNLGANTISSGPGTMIPTPGMSQQASVHSLGMTNNAPINMPLAASGVQQGQSKYIKIWEGNLSGQRQGQPVFICKLEGYRSASASETLAADWPNAMQIVRLISQDHMNNKQYVGKADFLVFRTLNQHGFLVQLQEKKLCAVIQLPSQTLLLSVSEKASRLIGMLFPGDMVVFKPQVPNQQQMQQQQSQQQQIQQQQQQMQQAQQQHLQHQQLQQQTQPHQQQQQQPMVGSGMNQTFVPGPGRPQMMSQPKVQPSQGPGNMSSGGFLP >DRNTG_35011.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11262391:11263786:1 gene:DRNTG_35011 transcript:DRNTG_35011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSCLSLSRLTKWKWLSMMTGRMTMSTSCRLRVVSPIIVGAVLLVSSCLDMNSASSCLIEARFIYGYSTLIRDLFPCPLLTSSFGSSPGVVVDSCGRVSPLDAVVPSASSTETGISLTMLSTIMSITAMMIA >DRNTG_01357.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:332055:332627:1 gene:DRNTG_01357 transcript:DRNTG_01357.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMDEGLGERHVERWAKGKQRSRRQRSPEMATEEENLALCLVMLARGESDRWRSAPTYSCSVCGKVFGSYQALGGHKASHRKPAGGEETVAVAVVGGGGGKGQHQCSVCLKTFASGQALGGHKRCHYDGSGGVTATETAVVVTRGFDLNLPAVGEMGFEAGRRCAAAEEEEEEVLSPLVMKKPRLLIPA >DRNTG_27274.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22466175:22466829:1 gene:DRNTG_27274 transcript:DRNTG_27274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEDRSPDSLLCSSSSLSRGTGELLG >DRNTG_09699.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000404.1:84450:85715:-1 gene:DRNTG_09699 transcript:DRNTG_09699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRNEGGSGTGGSRTPSSSRRKPDLSLPLPQRVPSVAVPLPLPPPPSSTISSSSVPSTSPSFTQTVNFSDLERVRRVGSGAGGTVWMVRHKQTSMIYALKNIYGNHDDNVRRQIFREIEILRTVDSPFIVRCHGFNDSSGEIQVLLEFMDGGSLQGHRISIESHLSGVARQVISGLAYLHSRKIVHRDIKPANLLINHQGRVKIADFGVSRILAQTMDCKSSVGTIAYMSPERIDTDLNQGLYDGFAGDIWSFGVSILEFYLGYFPYGANLPSKGDWASLIYAIRFSDPPQVPATASPELRDFIARCLQKEPRRRFTAVQLLQHPFITKFQVHP >DRNTG_35045.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23516120:23517391:-1 gene:DRNTG_35045 transcript:DRNTG_35045.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKIGKWSLKRMTALVTGGTKGIGKAVVEELATLGAVVHTCSRNEAELNASLQLWAGKGLQVTGSVCDVSSRPQRQQLIAQVSSIFGGKLNILINNAGTTIVKPTVEYTEEETSMMWATNFESAFHLCQLSHPLLKASGSASIVFISSVAGVVAVPFGSPYASTKGAMNQLTKNLACEWGKDNIRANSVTPWLTRTPLAESVFDFDNAEPMEKLSEWTPIGRAAEASEVSPLVAFLCLPAASYITGQTISVDGGLTVNGFFTS >DRNTG_35045.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23492015:23517391:-1 gene:DRNTG_35045 transcript:DRNTG_35045.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKIGKWSLKRMTALVTGGTKGIGKAVVEELATLGAVVHTCSRNEAELNASLQLWAGKGLQVTGSVCDVSSRPQRQQLIAQVSSIFGGKLNILINNAGTTIVKPTVEYTEEETSLMWATNFESAFHLCQLSHPLLKASGSASIVFISSVAGVVAVPFGSPYASTKGAMNQLTKNLSCEWGKDNIRANSVTPWLTRTPLAESVFDFDNSELMEKLSERTPIGRAAEASEVSPLVAFLCLPVASYITGQTISVDGGLTVNGFFTS >DRNTG_35045.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23492405:23517910:-1 gene:DRNTG_35045 transcript:DRNTG_35045.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKIGKWSLKRMTALVTGGTKGIGKAVVEELATLGAVVHTCSRNEAELNASLQLWAGKGLQVTGSVCDVSSRPQRQQLIAQVSSIFGGKLNILINNAGTTIVKPTVEYTEEETSLMWATNFESAFHLCQLSHPLLKASGSASIVFISSVAGVVAVPFGSPYASTKGAMNQLTKNLSCEWGKDNIRANSVTPWLTRTPLAESV >DRNTG_12173.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12542305:12544339:1 gene:DRNTG_12173 transcript:DRNTG_12173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPRDARSLTVQVIHGRWFMVFASFLIMSAAGATYIFAIYSKDIKTILGYDQETLNTLAFFKDLGANVGIISGFVGEIAPPWVVLSIGAIMNLVGYLMIYLSLTGRIEQPRFWLMCLFIAVGANSQTFSNTGVLVTCVKNFPESRGIILGLLKGFVGLSGAIFTQLYLAFYGNDSKSLVLLIAWLPAAISVVFVHTIRVMKVVRQANEFKVFCYFLYISLVLASYLMIMIIIEKKFIFTRTEYSASAAAIVFLLFLPLFIVIREEFILWKQTRTNPTLVQITTTSPATSSQPVPTSTSTTTSTNSTTSMKLNLRSIITTFKPPKRGEDYSILQALFSIDMLIIFFATICGIGGVLTAVDNLSQIGESLGYPPRSISTFISLTSIWNYMGRVTAGFTSEILLSKYKFPRPMMFSIVLLLSCSGHLLIAFGVPGSLYAASVIMGFSLGAQMPLIFSIISELFGLKYFATFYNFGGLASPLASYILNVRITGHLYDIQAAKQRSETKTCIGVECFKLSFLIVTALTLVGALVLMVLVWRTRDFYRGDIYAKFREKRMQDEVEMMESEKGEIK >DRNTG_05280.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16727201:16728053:1 gene:DRNTG_05280 transcript:DRNTG_05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLYKYGHGVHVLIVPDDGSGDTIEGSQLAQDLPPTQTETEAPQVAEDPLPMHMFS >DRNTG_20002.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3214204:3216293:-1 gene:DRNTG_20002 transcript:DRNTG_20002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLDLLFGRPNRTTLTSCKPLLLAPLFLLLLSFFSLNNFNPSLFLFPSPSSSSSSSSSSSSSSYSEENSRRECNIYKGEWVKDEEAPYYTNKTCWSIQEHQNCMKYGRPDSEFMRWRWKPEGCDLRRFDPANFLEMVKGKSLAFVGDSIARNHMQSLMCMLAKVAYPKDISRNKDENFKHMLLRLQLHHFHLLVTLPHQSSPRRH >DRNTG_20002.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3214204:3215243:-1 gene:DRNTG_20002 transcript:DRNTG_20002.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSTCYSDYNFTISIFWSPFLIKAHLEDTEGPNGTGLWDLYLDEADDRWSSEIKSYDYIIFSGGNWFTRPSKFFVDKKLIGCHFCLLNNVTDLTLRYSHRAAFHTAFSTLNKMENFKGQIILRTVSPSHFENGEWNKGETV >DRNTG_30482.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:615204:617128:1 gene:DRNTG_30482 transcript:DRNTG_30482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAYRRLSRVDTVELKSQIFKKLGAQKAEKYFYSLERLLTRKLSKLEFEKLVLSTIGKENVGLHNLLIRSILHNASLRDALPSKETASGHSRTSRTLNGQVVDPILMSPRRGRSTGSRDRRSSNRPSPLGPYGKALPGNLQEVSNSCDPQRSREQQSAVEVVSIGSKALLEVASVEDGEEVEQVRGSPCVQSRSPVRAPLGITLSAGSCSRKPLRIGSTASSNFSMPSVPENCHSASELPDLISLKTRMEHQLEAQGLGLSVECVNLLNSSLDLYLKGLIKPCIDLARARCSSESIHRQNSHRLGVRQEQFQRPNQFYSVSLHDFRVAMELNPGVLGVDWPEKLEKICLYSSDD >DRNTG_17411.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14785236:14786668:1 gene:DRNTG_17411 transcript:DRNTG_17411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMVGDIAKRWRLLSGEDNWKGLLDPLDIDLRRNIINYGQMAQETYDAFNSEQVSPYAGSCRYNRRDLFEKEEGKVALGRRDVLMAWSGTVQALEWIKDLDFSMVPGEKVTGDGGGKGQPLVHRGWLSYLWAALATLNAIDIVINGLNVKKKKSRVLVTGVTFASPRVGDSKY >DRNTG_12046.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000527.1:42532:44348:-1 gene:DRNTG_12046 transcript:DRNTG_12046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARFSYKEICIATQNFSQEIGRGGSGVIFKGMLNDGTLIAVKQLKNERQGIDDFLTEVRTIGSIHLINLVRLIGFCAEKLHRILVFEYMRIHE >DRNTG_04526.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19309568:19311121:1 gene:DRNTG_04526 transcript:DRNTG_04526.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFTLLMLEKVSNTEILYFSFDSIKFLWVCVGESGDVILLHGFPEIWYSWRYQMLALAEAGFRAIAPDCRGYGLSGQPQELDKASWQDLTSDLLGILDTLNIPKVCIHHTGLHMYLDREVKL >DRNTG_04526.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19310273:19311121:1 gene:DRNTG_04526 transcript:DRNTG_04526.2 gene_biotype:protein_coding transcript_biotype:protein_coding SKKQKTQEPGRAEKDFGRFEVKRVLRNIYILFCRRELQVAEEDQEIMDLVEPSTPLPHWFTEDDLACYTALYENSGFSYPLQMP >DRNTG_04191.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6954038:6956330:1 gene:DRNTG_04191 transcript:DRNTG_04191.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFLCASIPCPGCPYSCVERAPHAFSFVPENGTAHASSQGHNEDYLVHLAVGQCPRRCIYYVTPSQRAVLEELMQSIINAPFDPAEVALLESLISKANFENNRYQKPKRKPKVSTEVVDWF >DRNTG_03330.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15178879:15181355:-1 gene:DRNTG_03330 transcript:DRNTG_03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPKPANRNRGKKPMLRRKDPLEEDTGFTKGIVCRKKAEVMRDSHASGDNADGMALGSQEQLSQVIDIPIQSHVGIPIASLAEQISRISTQPTSRPSNPSKSSAGGVTTTIGNVGGERKLCKSQARKQFPARPSILRGVILDKKSEDNNKLGSGEKKRRKIPSMKDRTAGEDLLFRLQVGNVGDAGGVVALSKERGCVG >DRNTG_21068.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4729298:4733732:-1 gene:DRNTG_21068 transcript:DRNTG_21068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEFISKEMQPLKGGRQQDTPFQKKEILPDCLGNRAKESSATSRTGGRELGERSMSAAKLLSLGKQQMDPIREEDASADVLADNIGVLCKLVKGNKYTRVDNAAIGIIKLDNGKEFLVDLMGDPGSLIPANTLRAKDTHLRSDKARYEKAILVSSVASSGTSSTPQQLEVVQ >DRNTG_29559.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001485.1:24028:24942:1 gene:DRNTG_29559 transcript:DRNTG_29559.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYVHLLPQLRLVGTTNQPFSLGVCFGCFGSFTATSFGSGSSTIISDPSSSTSSSKFSPSFSLTTCLL >DRNTG_30416.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001573.1:126001:129642:-1 gene:DRNTG_30416 transcript:DRNTG_30416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWMAKLINCPMLILYDEMELIRQLSKKELLFQNLQQKNKILQNLCFLNCLWSAFAIFTGLLFHSVGKMGNAKERALVVLLSERYDMLPENGIRPDAIIGPGKELSPEKLDSPLLG >DRNTG_00948.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21159703:21163249:-1 gene:DRNTG_00948 transcript:DRNTG_00948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDYYYSQSSDDEDAGDDDDDDCYDDLFDDPLCDEDSSTNSYEYTVIEEKSLLTLQMEALQKVIEFLSLSESSARILLIHNRWDVTKILELFDRKGKEQLFLEAGLVLDDNNYNNNNDSSFSALSQITCNVCFDDFSKDEFSVMSCGHYYCNNCWTEHFIVKINNGASRRISCITPKCNAICDEAIVRKLVAKKSPDVVCRFDRFLLESYVEDNNKVKWCPSTPHCGNAICVEDDPCCEVECSCGLTFCFNCLLEAHSPCSCKMWELWSQKCKDESENINWIMVNTKPCPKCRKNVVKNGGCNHVRCICGQCFCWLCGNATGTAHTYDTIDSHSCGRYDDAKSKHIERAKRDLYRYTHYHNRYESHAKSLKEEINLYETIEGLINDSKSEDTHFKSFRWALDGLNQLKRAREVLSYSYPFAFYMFGRELFIDDMRPEESAMKKNLFEDQQQQLECQVENLSMHLGKSFDLLSDKELFETSQHVTNLSSIVNRLCKEMYKCIENDLLLPFRKNHPIATYMSNALRKKR >DRNTG_00948.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21159703:21160535:-1 gene:DRNTG_00948 transcript:DRNTG_00948.3 gene_biotype:protein_coding transcript_biotype:protein_coding WLCGNATGTAHTYDTIDSHSCGRYDDAKSKHIERAKRDLYRYTHYHNRYESHAKSLKEEINLYETIEGLINDSKSEDTHFKSFRWALDGLNQLKRAREVLSYSYPFAFYMFGRELFIDDMRPEESAMKKNLFEDQQQQLECQVENLSMHLGKSFDLLSDKELFETSQHVTNLSSIVNRLCKEMYKCIENDLLLPFRKNHPIATYMSNALRKKR >DRNTG_00948.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21161635:21162201:-1 gene:DRNTG_00948 transcript:DRNTG_00948.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQKVIEFLSLSESSARILLIHNRWDVTKILELFDRKGKEQLFLEAGLVLDDNNYNNNNDSSFSALSQITCNVCFDDFSKDEFSVMSCGHYYCNNC >DRNTG_00948.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21159703:21162201:-1 gene:DRNTG_00948 transcript:DRNTG_00948.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQKVIEFLSLSESSARILLIHNRWDVTKILELFDRKGKEQLFLEAGLVLDDNNYNNNNDSSFSALSQITCNVCFDDFSKDEFSVMSCGHYYCNNCWTEHFIVKINNGASRRISCITPKCNAICDEAIVRKLVAKKSPDVVCRFDRFLLESYVEDNNKVKWCPSTPHCGNAICVEDDPCCEVECSCGLTFCFNCLLEAHSPCSCKMWELWSQKCKDESENINWIMVNTKPCPKCRKNVVKNGGCNHVRCICGQCFCWLCGNATGTAHTYDTIDSHSCGRYDDAKSKHIERAKRDLYRYTHYHNRYESHAKSLKEEINLYETIEGLINDSKSEDTHFKSFRWALDGLNQLKRAREVLSYSYPFAFYMFGRELFIDDMRPEESAMKKNLFEDQQQQLECQVENLSMHLGKSFDLLSDKELFETSQHVTNLSSIVNRLCKEMYKCIENDLLLPFRKNHPIATYMSNALRKKR >DRNTG_26204.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001349.1:79151:81531:1 gene:DRNTG_26204 transcript:DRNTG_26204.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETRINTSLLQSSSYRLPDFKQSVKLKYVKLGYHYLITHAMYLFLTPLLGIIVAQLSTFSRQDFHELWDHLRFNLISVIICSALLVFLSTLYFLTRPRPVYLVNFACYKPEESRKCTRQIFMERSKLTGSFTDENLEFQRKILERSGLGEDTYLPEAVLNVPPNPCIAEARKEAETVMFGALDELFAKTNIKPKDVGILVVNCSLFNPTPSLSAMIVNHYKLRGNIISYNLGGMGCSAGLISIDLAKDLLQAHANSYALVVSMENITLNWYFGNNRSMLVSNCLFRMGGAAVLLSNKSSDRHRSKYQLVHTVRTHKGSDDKCFSCVTQEEDANGKVGVSLSKDLMGVAGDALKTNITTLGPLVLPMSEQLLFFATLVGRKVLKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSDWHMEPSRMTLYRFGNTSSSSLWYELAYTEGKGRIKKSDRIWQIAFGSGFKCNSAVWKALKTVNPAKEKNPWMDEIDNFPVPVPRVSAI >DRNTG_11624.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000513.1:14751:18454:-1 gene:DRNTG_11624 transcript:DRNTG_11624.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDYSTTWAKRRSEKSASTTKKTLKQTPPTAVLVAKPKDTIVNDTNFLPYGATYVLDTPLTAEQDPNSKVVGQAQGLAASAGQNQDLVVFVVDYGFTSGKFKDSSVSVLSRYPILETTTELAVVGGRGKFRMARG >DRNTG_32715.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18130560:18132216:1 gene:DRNTG_32715 transcript:DRNTG_32715.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIGFFSAPPPPPPPSLFQAAEHECCVDERKKKMKALSFNGEQEVENGQQKLCARGHWRPAEDAKLRELVSQFGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRKAFSEEEEERLLAAHRLYGNKWALIARLFPGRTDNAVKNHWHVIMARKQREQSSAHKRRRSSIATNVSSAESTITSTDTDLSLNSISFPIPPFHPPQTSDFLLVSEIANKAVADNSESDSCEKIRMQFIDFLGVGAT >DRNTG_32715.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18130560:18132216:1 gene:DRNTG_32715 transcript:DRNTG_32715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIGFFSAPPPPPPPSLFQAAEHECCVDERKKKMKALSFNGEQEVENGQQKLCARGHWRPAEDAKLRELVSQFGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRKAFSEEEEERLLAAHRLYGNKWALIARLFPGRTDNAVKNHWHVIMARKQREQSSAHKRRRSSIATNVSSAESTITSTDTDLSLNSISFPIPPFHPPQTSDFLLVSEIANKAVADNSESDSCEKIRMQFIDFLGVGAT >DRNTG_32715.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18130560:18132216:1 gene:DRNTG_32715 transcript:DRNTG_32715.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIGFFSAPPPPPPPSLFQAAEHECCVDERKKKMKALSFNGEQEVENGQQKLCARGHWRPAEDAKLRELVSQFGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRKAFSEEEEERLLAAHRLYGNKWALIARLFPGRTDNAVKNHWHVIMARKQREQSSAHKRRRSSIATNVSSAESTITSTDTDLSLNSISFPIPPFHPPQTSDFLLVSEIANKAVADNSESDSCEKIRMQFIDFLGVGAT >DRNTG_11391.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5965475:5974353:-1 gene:DRNTG_11391 transcript:DRNTG_11391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDVEEKLNLPQNRGRRPTYQLQHWANKVEEADEKVTQLLDEYSKSCCVLGPCCLNCFSRYSISRSAFNLLNEITQLKGEQSEVSFIEQLPPKPVPESYKIMGKKIVSDLDIALSWLTDETVGIIGIWGMGGVGKTTLLKRINKSLLDDANMGFNHVLFIKASKDIQPEELRKMISKSLELEPSAGKEDIFNVLKSGNFVLLLDNIWEEVDLINLGIPHPYSGDNSTTRYKHKVIFTTRSEDVCAKMNAGEKIIKVECLEDDEAWDLFKDNVNLDVIESDQKFKEIAWQVMGRCGGLPLALKVVGKAMSNKKIVQDWEFILNSLKKSDTEVVQGVQESLLPILRFSYDNLPRNMNIRECFLCASTLRGSSKDDLIECWMGLGLITDFVNLQQAYLQARYIVNILEEAGLLHSSNYGYVRLHDVIYEMAMWIASDCGRNRNKWIVKKYDGLAAEIPTHDAENWGFANRVIITGEVELLPILSHQCSDLLCLMIQGSRYFKNIPDGFFRQMPNLKYLDLSRTCMKELPKGIKCLVNLEYLNISSTNISSLPKELVYLKKLKYLICRYLEGFGKVEDGLMSKLQNLKVIDIYPFGWVEPEELKLLKKLIKAIGMRVVSQEILQQLSSLPTTRLCLVNMDSLISLSFDTLSCKDHGFLQKLEITSCPDLKDLVMNGSGSHLNELKILNVKKLQNIMWTDLTPPEFFHMLKWLFISGCNLDNLAWVLHLPCLFRLEIRDCAEAETLFHVQEEEREIQQVSKHRMFPTLRHLYLKNLPKLVSISNFALDFPLLLKLVVHDCAEIEMLFSIEEREIRQQEVLERLMFPALRDLSLRKLPKLVSISNIALDFPRLSGLTLYQCHNLKKLPFKSGINNNQRMIDIYCFRECWKHLEWDDGTIPAHLRPYFYPVGHMEAMENKKISFLAKREEKRISYYPHTTRVWEGMYLVCDGLIWGSSSFHTTRCMRGRLIKRTFCGSTFWEIIWEILGDLGEEKKGKKARRSFQAQGPRLSRQEGNIIQSGDRSRFEGRRTMS >DRNTG_09225.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000396.1:11459:12628:1 gene:DRNTG_09225 transcript:DRNTG_09225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDIKFLWRRAIGGAFISVDLARCAQGSTRELFGEDEAAPQDHQYGLRGGFLYGLFALIFDFIVDFVLLHGEL >DRNTG_09840.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13542126:13544961:-1 gene:DRNTG_09840 transcript:DRNTG_09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRPPSRTPSSHSSSLSLSLSLSLSLDFDLDLDLDKK >DRNTG_11152.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000490.1:25210:31745:-1 gene:DRNTG_11152 transcript:DRNTG_11152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRDPWGGTLEISNAESATDDDRSRNLQDLDRAALSRQLDETQQSWLLAGPGDAGKKKKRYVDLGCIVVSRKLFLWTIGSIVGVGVLVGFIMLIIKAIPHRHHPAPPPDDYTRALHKALMFFNAQRSGPLPKHNNVSWRGNSGMRDGLSDDSVKKNLVGGYYDAGDAIKFNFPMSFAMTVLSWSVIEYSAKYEAAGELQHVKEIIKWGTDYLLKTFNSSADTIDRIAAQVGLGDTSKGPAPNDHYCWVRPEDIDYPRPVYECHSCSDLAGEMAAALAAASIVFKDSKTYSEKLVHGARTLFKYGREQRGRYSPGGSDPSLFYNSSSYWDEFVWGGAWLYYATGNSSYLQLATTPGIAKHAGAFWGGPDYGVFSWDNKLTGAQVLLSRLRLFLSPGYPYEEILRTFHNQTGNVMCSYLPIFRSFNRTKGGLIQLNHGRPQPLQYVVNAAFLASVFSDYLDAADTPGWYCGPQLLFN >DRNTG_04119.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30143982:30146655:1 gene:DRNTG_04119 transcript:DRNTG_04119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQASHSSHRDGGVTWRTASRSSFRVQTKIGPSNSAGTVPPRPTRTSRPSPKL >DRNTG_13919.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19684374:19688283:-1 gene:DRNTG_13919 transcript:DRNTG_13919.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEITQVLLSAQSPDGKIRSAAEEALKQLQEQNLPLFLLSLSAELSNDQKPPESRRLAGIVLKNSLDAKESARKEELTQRWVHIDPSVKAQIKESLLKTLGSSVGEARHTSSQVIAKIASIEIPRREWLEVISLLLSNMSQQGPPALQQATLETLGYVCEEISPQDLEQDQVNAILTAVAHGMTQANQNSEVRLAAVRALYNALDFAQTNFENEMERTFIMKVVCETAVSNELEIRKAAFECLVSISSMYYEILEPYMEALFTLTANAVKGDEEPVALQAIEFWSSICDEEIQIQEEYEEAGTASSGSPHSHFVEKALLMLVPMLLETLLKQEEDQDQDDETWNLSMAGGTCLGLVAKTVGDAIVPLVMPFVQENIMKEDWHCREAATFAFGSILEGPSVEKLAPLVQGGLEFLLNAMKDQNSHVKDTTAWTLGRIFEVLHSPATAQSVITATTLPRIMSVLLESIKDAPNVAMKVCGAIYFLAHGYEDAEPDSSILTPYLGEVIKALLLSADRSDTGNSRLRASAYETLNEIVRCSRLPETSNILCQLLQEIMKRLGQTMELQVFSSDDREKQGELQALLCGVLQVLVQKLSSCDETRFIIQQAADGMMVLFLKVFACRSSTVHEEAMLAIGALAYATGPEFAKYMPEFYKYLDMGLQNFEEYQVCSISVGVVGDICRALDDKILPFCDAIMTHLLKDLSNSMLHRSVKPPIFSCFGDIALAIGEHFEKYLSYAMPMLQGASELCSRLETADEDMIEYGNQLRHGIFEAYSGILQGFKSSKAELMMPPLEVIFCSS >DRNTG_10443.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4664773:4666334:1 gene:DRNTG_10443 transcript:DRNTG_10443.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin synthase sulfur carrier subunit [Source:Projected from Arabidopsis thaliana (AT4G10100) UniProtKB/Swiss-Prot;Acc:Q9S7A3] MDEQEGSYGVPCHPSSTIDSSNINESAEEVGNLKRKNGEDQNKGSPIKVKALFFARARDLTGLTDISLQMPFGSTAGDCLSKLLADFPSLNEIYNSMVLALNEEYAPQSTVVRNGDELAIIPPISGG >DRNTG_10443.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4664773:4667313:1 gene:DRNTG_10443 transcript:DRNTG_10443.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin synthase sulfur carrier subunit [Source:Projected from Arabidopsis thaliana (AT4G10100) UniProtKB/Swiss-Prot;Acc:Q9S7A3] MDEQEGSYGVPCHPSSTIDSSNINESAEEVGNLKRKNGEDQNKGSPIKVKALFFARARDLTGLTDISLQMPFGSTAGDCLSKLLADFPSLNEIYNSMVLALNEEYAPQSTVVRNGDELAIIPPISGG >DRNTG_09416.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21085479:21087880:-1 gene:DRNTG_09416 transcript:DRNTG_09416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKANLCSVMHAQMLPGKSLGFNRSASLIFSNLSFRNNAPTSLPCLSLRKEKQQYSNYNHNVIRMSGLRATKLNAAVIPLEAAEVRSEVPVEVEKLYTTVVSVETLVGPKGGLGEVCHIVLDHGGSFKFKEGQYLLVHFQSIKRYFSIASCSRGDTFDDKTLSLCVRRAELLPDSVSNYLCNVKAGDTVEISGPFGGQMVFTERREMNSKHIMVASATGVAPFRSNIQHIFSSILLGNTDHVWLISGADNYNSLLYDKEFTQIQNNHINRFRYQRALNNSVDDSIYGSGDEIFKLLETGAYIYISGSSTTLPGIKATFAKIAQERGVVWDDMLRELQNTNHWRVEVY >DRNTG_01514.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:13795806:13815939:-1 gene:DRNTG_01514 transcript:DRNTG_01514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPDYLSTLRRYLEEFSSLILPNFRRFHMHLFDIASEIFTIFLSFCTPAMAPRAKKNEVKRLRLTSPEPIHMEFSNPEHQAHFERLSALGFDKARLERVEKVSREGVRIAYNSSSVVVLTVHRWVTDPMLLIGVSIWTIISTSDLPVHELTFSLVFSGFHSLAYSSRCSTFEVEALSEKVDRSFTSQQQNIPCYNAYHPIEVGYPNVLWDNGEQHWEAPQEECQTGEIFGEDALQLQRVLANFIEASDVCVQNMETTLRCHEASYKNLEHQLGGILDTLSKEQQAFEQAIQVPCRDDVVVNDNEDVGQIEYIGVENEKGDAEYHFEILDKKTSRRVEFPRLSGFLSELIEERRSAAAPVSDHHAVTRPWVISARAESLQRISPSRGRHGGVRLPCELG >DRNTG_02111.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10776474:10787807:-1 gene:DRNTG_02111 transcript:DRNTG_02111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMSPTSGQRGDCHVLYHGSRPNNTL >DRNTG_21582.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19184984:19185430:1 gene:DRNTG_21582 transcript:DRNTG_21582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLNKAMLALLLLIILELDAINGGSCSAGTQTIDCIGKCQYRCSKASRHNMCINACMVCCERCNCVPPGTSGNRDVCPCYAKMKTHGGRLKCP >DRNTG_12256.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24909758:24910196:-1 gene:DRNTG_12256 transcript:DRNTG_12256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTQTRREGFKEEKRKKKHKSLVPPGKPRSEEE >DRNTG_06181.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25276263:25278478:1 gene:DRNTG_06181 transcript:DRNTG_06181.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDTADGSLNQRPPSEWLEETLIDLYLGGYSKSEDYGNTSSLYPNKEKANSSSELEPDQVNIAKSTDCKWDMALQQGDETVQKPEDIQDESELSDVIAGEGSFGDEENWLAQYGQVVQSEHEVLPSFPTVELWDWEMLQETTRKKQRICRLTGRLVKPTSKLHPSMPAGGGLLKTAAICEVHLDFVRVKSGKVYRLRRPGTKYLSSLSTYDSSNPTKDWGFPDLDIGKYKSPSSGIIEAYGSDVSNVYTSDESSASIHPHSAAMCGQKSGTFYRDRAAERRRLHAGFGIGLGEKSVMSNRRFSEAGSSSEFPDDADADADADAVESNTPFGEGSYARRILESMGWKEVSPGFLTDI >DRNTG_06181.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25275467:25279334:1 gene:DRNTG_06181 transcript:DRNTG_06181.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDTADGSLNQRPPSEWLEETLIDLYLGGYSKSEDYGNTSSLYPNKEKANSSSELEPDQVNIAKSTDCKWDMALQQGDETVQKPEDIQDESELSDVIAGEGSFGDEENWLAQYGQVVQSEHEVLPSFPTVELWDWEMLQETTRKKQRICRLTGRLVKPTSKLHPSMPAGGGLLKTAAICEVHLDFVRVKSGKVYRLRRPGTKYLSSLSTYDSSNPTKDWGFPDLDIGKYKSPSSGIIEAYGSDVSNVYTSDESSASIHPHSAAMCGQKSGTFYRDRAAERRRLHAGFGIGLGEKSVMSNRRFSEAGSSSEFPDDADADADADAVESNTPFGEGSYARRILESMGWKEGEALGSSTKGIIEPIQAIGNRGFAGLGWSHTHRAN >DRNTG_06181.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25275467:25276154:1 gene:DRNTG_06181 transcript:DRNTG_06181.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFMAEKASVSDCAFVWDEDSKLYFHASSGFYHDPSGGWYYSSRDGLYYTFEDGAYVLLQSNKDK >DRNTG_06181.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25275467:25279334:1 gene:DRNTG_06181 transcript:DRNTG_06181.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDTADGSLNQRPPSEWLEETLIDLYLGGYSKSEDYGNTSSLYPNKEKANSSSELEPDQVNIAKSTDCKWDMALQQGDETVQKPEDIQDESELSDVIAGEGSFGDEENWLAQYGQVVQSEHEVLPSFPTVELWDWEMLQETTRKKQRICRLTGRLVKPTSKLHPSMPAGGGLLKTAAICEVHLDFVRVKSGKVYRLRRPGTKYLSSLSTYDSSNPTKDWGFPDLDIGKYKSPSSGIIEAYGSDVSNVYTSDESSASIHPHSAAMCGQKSGTFYRDRAAERRRLHAGFGIGLGEKSVMSNRRFSEAGSSSEFPDDADADADADAVESNTPFGEGSYARRILESMGWKEGEALGSSTKGIIEPIQAIGNRGFAGLGWSHTHRAN >DRNTG_06181.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25275467:25279334:1 gene:DRNTG_06181 transcript:DRNTG_06181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFMAEKASVSDCAFVWDEDSKLYFHASSGFYHDPSGGWYYSSRDGLYYTFEDGAYVLLQSNKDKEEESDVHQSSNYISNEHEHVQDQQLGVMEQDTADGSLNQRPPSEWLEETLIDLYLGGYSKSEDYGNTSSLYPNKEKANSSSELEPDQVNIAKSTDCKWDMALQQGDETVQKPEDIQDESELSDVIAGEGSFGDEENWLAQYGQVVQSEHEVLPSFPTVELWDWEMLQETTRKKQRICRLTGRLVKPTSKLHPSMPAGGGLLKTAAICEVHLDFVRVKSGKVYRLRRPGTKYLSSLSTYDSSNPTKDWGFPDLDIGKYKSPSSGIIEAYGSDVSNVYTSDESSASIHPHSAAMCGQKSGTFYRDRAAERRRLHAGFGIGLGEKSVMSNRRFSEAGSSSEFPDDADADADADAVESNTPFGEGSYARRILESMGWKEGEALGSSTKGIIEPIQAIGNRGFAGLGWSHTHRAN >DRNTG_06181.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25277506:25279334:1 gene:DRNTG_06181 transcript:DRNTG_06181.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLQETTRKKQRICRLTGRLVKPTSKLHPSMPAGGGLLKTAAICEVHLDFVRVKSGKVYRLRRPGTKYLSSLSTYDSSNPTKDWGFPDLDIGKYKSPSSGIIEAYGSDVSNVYTSDESSASIHPHSAAMCGQKSGTFYRDRAAERRRLHAGFGIGLGEKSVMSNRRFSEAGSSSEFPDDADADADADAVESNTPFGEGSYARRILESMGWKEGEALGSSTKGIIEPIQAIGNRGFAGLGWSHTHRAN >DRNTG_06814.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:15789878:15791680:1 gene:DRNTG_06814 transcript:DRNTG_06814.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor A-5 [Source:Projected from Arabidopsis thaliana (AT4G13980) UniProtKB/Swiss-Prot;Acc:Q94BZ5] MESAAAAATSGSAGGGPAPFLIKTYDMIDDSSTDDIVSWSSSHASFVVWNPPEFSARLLPLFFKHNNFSSFIRQLNTYVIDFALFHFAFISISLDSLMGFFSFL >DRNTG_06814.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:15789878:15791680:1 gene:DRNTG_06814 transcript:DRNTG_06814.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor A-5 [Source:Projected from Arabidopsis thaliana (AT4G13980) UniProtKB/Swiss-Prot;Acc:Q94BZ5] MESAAAAATSGSAGGGPAPFLIKTYDMIDDSSTDDIVSWSSSHASFVVWNPPEFSARLLPLFFKHNNFSSFIRQLNTYGFRKIHPERWEFANEEFIQGQKHLLKNIHRRKPIHSHSHTPDQERAALEEEIDRLSREKTALQADLCRFRQQQPGMKSQLDGLDQRLHDMEQRQEKMISFIQRAIQNPTFMETLVKMAVAGSMDFSAIHKKRRLPNDNSYYDDHSTTSKNEVVGHVFHRYFSDKLKLELSPAISDCNLVSACTHSSNEDQESPVQHEKVMIEGLPLVPETVELSDTGTSFCSRKSVLFSSTVEDGDGLMPCHLNLTLASSSMQVDSNQFPSRTMSLEEHSAGNGSVLRMSNEERDEGFSREIRKNQDNLGDSGENQQSNNHAPAAPPALAATGRVNDVFWEQFLTERPGSSEVEEASSNARTNPSEEQLEERSPRNESMWKSTKDMEQLTL >DRNTG_03605.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:213251:217929:-1 gene:DRNTG_03605 transcript:DRNTG_03605.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMKRGREGHPLLRGVKRESKAYTHGFTASQIQSLSAICEAFIPSLPMATSIGREDDNSINSNLQAFFLSSASHPPFPDEVAELVKKRGIKEVVPLFKVVLWLLSIRLGTLLLCGTLCLSRAFPFIDKFSDMPLDKREQVLNRWSKEKRFILLKLVFVVAKIFCFYTFYSITNENSENATWKAIGYSLPSEEKPNRNQTERPLQKGMIEATKLNDLSFKNSLTQKGLKVIEDGGGKAYKVECDVVIVGSGCGGGVAAALLASSGYKVIVVEKGNYFTFEDYTSIEGPSMSELYESGGLFGSIDGKMMLLAGSTVGGGSAINWSACIRTPEHVLDEWSKKHQLNLFKSSEYVSAMNAVSERIGVTKSCFEEGFQNKVLRKGCNNLGLDVNSVARNSPGGHFCGTCGYGCQTGEKRGTDTTWLVDAVNNGALIIAGCKAERLVFEENKHGEKKAMKCVGLVANPLSETMTKKLEIRSKITISACGSLLTPALLMRSGLKNKNIGKNLHLHPVMFAWGYFPVKRNKH >DRNTG_33765.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32485512:32488050:1 gene:DRNTG_33765 transcript:DRNTG_33765.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSSVVAKKEHRGEEKETTTRSEEMGIMEKLKIFVVQEPVVAASCLIAGFGLFLPAVVRPILDSFDTAKEVPQPALSDVVAGMTGKKPE >DRNTG_13562.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29460990:29462436:-1 gene:DRNTG_13562 transcript:DRNTG_13562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMARVVVARVRKRKLALPEEEAAYYRGLFEKTDQPPFSSFSCMNRAALIQQGDVAIDGSIARPWSLCSVEDVNDLKTPIRIVPLWTSNVFLSISIATQASLSVLQALTMDRSLGSHFSVPAGSFSIATLLAICLTLFILDRGIYPLCHRLTSYTPTPLQRVGIGQAFNIAAMVASALVEHRRSIIVHEHQAENQPDWIVPMSAFWLVLPYVFVGIGEAFHFPGQIAFYYQEFPKSLKSMATGIVAVLMSIGFYLSTAVLAVVRRATSWLPDNLNSSRLE >DRNTG_33963.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1021004:1023632:1 gene:DRNTG_33963 transcript:DRNTG_33963.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCPDDQKNAVLGLPKIDFNALHPDRPGCEGWAEVRAQVADAVRTYGCFEAVYNKVTPELKEKVFGSVVKDMFNLPIEAKHRNVSNKPFHGYLGQIPNLSYESLTIMHPHQPDGVKAFADLMWPQASPATATFCETVCGLSKLLVELDRMVGRMVLESFGVEKHYDSLMNTNKFMLRVSEYPAPDKDEEKKKLLGLVPHHDKNVITIVCQNQVDGLDIETQDGQWIRAMPSPSSVIIFAGEAIRAWTNGRTYSPLHNVKVGGSETRYSTIMFSLPDDDFVIEPLPELVDESHPALFRPYTYGEFVRFCHFEGRDIKCPHDAFCRIAP >DRNTG_33963.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1021004:1023729:1 gene:DRNTG_33963 transcript:DRNTG_33963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPDDQKNAVLGLPKIDFNALHPDRPGCEGWAEVRAQVADAVRTYGCFEAVYNKVTPELKEKVFGSVVKDMFNLPIEAKHRNVSNKPFHGYLGQIPNLSYESLTIMHPHQPDGVKAFADLMWPQASPATATFCETVCGLSKLLVELDRMVGRMVLESFGVEKHYDSLMNTNKFMLRVSEYPAPDKDEEKKKLLGLVPHHDKNVITIVCQNQVDGLDIETQDGQWIRAMPSPSSVIIFAGEAIRAWTNGRTYSPLHNVKVGGSETRYSTIMFSLPDDDFVIEPLPELVDESHPALFRPYTYGEFVRFCHFEGRDIKCPHDAFCRIAP >DRNTG_33963.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1021004:1023606:1 gene:DRNTG_33963 transcript:DRNTG_33963.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCPDDQKNAVLGLPKIDFNALHPDRPGCEGWAEVRAQVADAVRTYGCFEAVYNKVTPELKEKVFGSVVKDMFNLPIEAKHRNVSNKPFHGYLGQIPNLSYESLTIMHPHQPDGVKAFADLMWPQASPATATFCETVCGLSKLLVELDRMVGRMVLESFGVEKHYDSLMNTNKFMLRVSEYPAPDKDEEKKKLLGLVPHHDKNVITIVCQNQVDGLDIETQDGQWIRAMPSPSSVIIFAGMDEWANVFTAAQRESGRQRDQIFNYHVLTAR >DRNTG_33963.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1021004:1023606:1 gene:DRNTG_33963 transcript:DRNTG_33963.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCPDDQKNAVLGLPKIDFNALHPDRPGCEGWAEVRAQVADAVRTYGCFEAVYNKVTPELKEKVFGSVVKDMFNLPIEAKHRNVSNKPFHGYLGQIPNLSYESLTIMHPHQPDGVKAFADLMWPQASPATATFCETVCGLSKLLVELDRMVGRMVLESFGVEKHYDSLMNTNKFMLRVSEYPAPDKDEEKKKLLGLVPHHDKNVITIVCQNQVDGLDIETQDGQWIRAMPSPSSVIIFAGEAIRAWTNGRTYSPLHNVKVGGSETRYSTIMFSLPDDDFVIEPLPELVDESHPALFRPYTYGEFVRFCHFEGRDIKCPHDAFCRIAP >DRNTG_21288.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27337270:27338045:1 gene:DRNTG_21288 transcript:DRNTG_21288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSHKTWQFFPQAPHTQPPLGSCPNKHIPKSF >DRNTG_04376.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20651171:20651785:-1 gene:DRNTG_04376 transcript:DRNTG_04376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKSFQLCFSRLKRNSSSTPEHTLNPHHTPPSSSLPSTSSSSSSSIVFKNFNSLYDPLTATSESDTLFNGSTSASASESETQLDLATAIASRRLFPSAPGPSNSIVDSAAVPIGSSGSASGLAVPTYSPDPYADFRRSMEEMVAALGLGLAGRAELQELLLCYLALNRRHAHKYIVGAFADLLVGLSAASGSKPDKRSPSFEM >DRNTG_02888.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:806919:807849:-1 gene:DRNTG_02888 transcript:DRNTG_02888.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMWISALSVLFLAAFAVTIARGDKDEECVYTVYVKTGSVIKGGTDSKIGLSLQDSSGNGIVISDLESWGGLMGSDHDYFERGTLDVFSGRGSCGVNPPVCMLNLTSDGSGAHHGWYCEYVEVTTTGPHVPCDKTLFFVHQWLALDAPPYQLYATVNGCGQLSARGGHDHHQKRLLVIGDQSSASSM >DRNTG_20167.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4801473:4807657:-1 gene:DRNTG_20167 transcript:DRNTG_20167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPVTQNTGCPTNALLLYASSHLLEPDNEGNCPPFQVSGIMVLPLTTQLHSCSHFGKIIHQPPVDGMRPGIVADSLQVVRIPGHEDGRIEGCVREEDGGHEEHDEELMKVSSVPDFPRTSRQPQIQMRPFPIMSEGDNLFHAWDAHNDGDVLGPEWQHAVTNLEPFGSAIIYTEQCVQYPTFVDHGGQVHHVRKRGLVEDLPMRFGHHFLQFLYHLHDRGQRACRPLLFLDGTHLLGKYRGTLLGATGKDGNNGFFHVAFGIVDNETDANWTWFISKLGDALYEEGDYHEIITFVSDRSKGLVNAIARVFPSSPHAYCLRHLEANFMKANVRLGKALREECWSICFRIAWVSTAKDFDDTVNELQATSPEAHHWLINKSDMAHWSNYLFRGDRWGEMYSNVAESFNAWIKEARHLPVTKMVDSIRFKLMRMLCNWREQANKWETYLCPDIHSKVEIIIEDSRNLRVGRCVDDHYEVIDQCSNSVDLAIITCSCRRWQVYAASFDDRPSDGNRELRLRPPVTRRQPGCPRRKRIESQEFDVRELHCSHCHGSGHNRRSCNETVTD >DRNTG_00477.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30689635:30690225:1 gene:DRNTG_00477 transcript:DRNTG_00477.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKQHLRQGKGEEEYLNRAKPPKPNSITQVLHAQ >DRNTG_20770.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21783707:21786365:-1 gene:DRNTG_20770 transcript:DRNTG_20770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSFILAICKKTMIETENGELACYDHGQGIPKLTLRLRMIMKDETADMEITAFDKQAELMTNLNVDFLQAIEEISQTIVPEKILAVVKKKFTFTIGLPPKAIKDDVLTYRIYRIKPIQLEGQSSTQTQKVQLAFTQ >DRNTG_20770.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21783707:21786365:-1 gene:DRNTG_20770 transcript:DRNTG_20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSFILAICKKTMIETENGELACYDHGQGIPKLTLRLRMIMKDETADMEITAFDKQAELMTNLNVDFLQAIEEISQTIVPEKILAVVKKKFTFTIGLPPKAIKDDVLTYRIYRIKPIQLEGQSSTQTQKVQLAFTQ >DRNTG_19017.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22589257:22592496:-1 gene:DRNTG_19017 transcript:DRNTG_19017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKKPNNPAPSPSPLPATSRRVTRSFAAAAAKRQAESESAAQEPAKKPKTAARKGKAKVSDGGQEKSKAMEAVKEEVKSSDDATEHAHESSVSQKVVIEACKQCNSFKTRALHVKDGLEKSVPGITVEINPVKPRRGCFEIRNANQIFLSLLDMQRPFTRMKNLDMDQVVQDVVQKIQALP >DRNTG_28875.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001453.1:40709:41540:1 gene:DRNTG_28875 transcript:DRNTG_28875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATTTTQRQQACILLSFLLLFLGSEGSKMERSLVGSRPPRCERRCISCGHCEAVQVPSVPQGINSWRDDSNYKPLSWKCKCGNMIINP >DRNTG_18923.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12837684:12838429:1 gene:DRNTG_18923 transcript:DRNTG_18923.1 gene_biotype:protein_coding transcript_biotype:protein_coding EACTKIQKRNKESASKKKKHKQTLPLLILTYDDLHKTLEN >DRNTG_34271.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002110.1:66151:69442:1 gene:DRNTG_34271 transcript:DRNTG_34271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFNVLNWWQVACEQTFSASGNMLDSTRSQLTPQNIEIQVCTDDWKRAQVWQQEAEQGSPDASDFFYTDNMMSTPGLTVIDRRIRRANPARRVLTQPGRVRVGGPVNRTRRARLTGRAHLYSHSKSMVSSDYLTPPSHSSGPRLRPTYSSRLTHSFDYHIDTSSPINSSLQDLLLLFPTLLF >DRNTG_03408.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20831322:20831776:1 gene:DRNTG_03408 transcript:DRNTG_03408.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSNVQIPLKNPALLLPSRHRDQKSPSDLSPESKKTSLGSRGDEFLGFLRDFPLPFLDHTLCVSFEVTNKKGKINLNGVKFPIYFII >DRNTG_10125.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30495192:30496890:1 gene:DRNTG_10125 transcript:DRNTG_10125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQALQPSNLNSYDDSTTHQRDLSDEDIHMITHKLLGNEDDRTTHQREIRVDDFFTEEEISMRSHKLLENEDTQHLLRVHSMGGTAHLAEDGFSFPSFMPSPSPNYNIDEDLNHISGKVVVGWLKIKAAMRWGIFIRKKAAERRAQLVELEE >DRNTG_27896.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9083258:9088770:-1 gene:DRNTG_27896 transcript:DRNTG_27896.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDAENVIDEMLDSGFQVDEQSLPVVMKMYIDEGLLDEANMFFEKHCSGREISSKNYAAIMDAYAEKGLWKEAEVVFFRKRDAEHKKEVVEYNVMVKAYGKAKLYDKALSLFESMRSYGTWPDECTFNSIIQMLSSGDQPEKARELLDRMKVAGFKPRCETVSALIASYIHAGLISEGVKLYQDMKNLDVQPNEVVYGLLIDAFAESGDTEEALHYFHLMEESGFTANQIVLTSLIKAYSKNGSWERAQELYGKMKTLEGGPDIIASNCMINHFAGLGMVREAKLIFDDLRKKVQADDVSYSTMMYLYKSMGMLDEATNLALEMKSSGLLTDCASYNNALATFAVNSKLRDCGELVHHMLARKILPDPSTFKIIFTLLKKGGLPPEAVSQLELAYTEGKPYARQIVITSLFSIVGLHPFALESCKTFVSAEVGLDLSAYNVAIQAYGAANEVEKALNLFMRMQDEGLKPDLITYINLACCYGKAGMIEGLKRIYGLLKYGEIEPNESLFRALINAYNDTGKHDLAEIVEQEMRISPHDENSSGCETEDEV >DRNTG_27896.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9083258:9089480:-1 gene:DRNTG_27896 transcript:DRNTG_27896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSEEEGGGCQKPRRAATYNTLIDLYGKAGKLDKASEVFAEMLTNGVAPDVITFNTMIGVCGTNGRLGEAEALLGEMEQRGVRPDAKTFNVFMSMYAATGNVEKVLKYYRKISLAGLRPDVVTHRIILQVLCERRMVNDAENVIDEMLDSGFQVDEQSLPVVMKMYIDEGLLDEANMFFEKHCSGREISSKNYAAIMDAYAEKGLWKEAEVVFFRKRDAEHKKEVVEYNVMVKAYGKAKLYDKALSLFESMRSYGTWPDECTFNSIIQMLSSGDQPEKARELLDRMKVAGFKPRCETVSALIASYIHAGLISEGVKLYQDMKNLDVQPNEVVYGLLIDAFAESGDTEEALHYFHLMEESGFTANQIVLTSLIKAYSKNGSWERAQELYGKMKTLEGGPDIIASNCMINHFAGLGMVREAKLIFDDLRKKVQADDVSYSTMMYLYKSMGMLDEATNLALEMKSSGLLTDCASYNNALATFAVNSKLRDCGELVHHMLARKILPDPSTFKIIFTLLKKGGLPPEAVSQLELAYTEGKPYARQIVITSLFSIVGLHPFALESCKTFVSAEVGLDLSAYNVAIQAYGAANEVEKALNLFMRMQDEGLKPDLITYINLACCYGKAGMIEGLKRIYGLLKYGEIEPNESLFRALINAYNDTGKHDLAEIVEQEMRISPHDENSSGCETEDEV >DRNTG_27896.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9083194:9088770:-1 gene:DRNTG_27896 transcript:DRNTG_27896.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDAENVIDEMLDSGFQVDEQSLPVVMKMYIDEGLLDEANMFFEKHCSGREISSKNYAAIMDAYAEKGLWKEAEVVFFRKRDAEHKKEVVEYNVMVKAYGKAKLYDKALSLFESMRSYGTWPDECTFNSIIQMLSSGDQPEKARELLDRMKVAGFKPRCETVSALIASYIHAGLISEGVKLYQDMKNLDVQPNEVVYGLLIDAFAESGDTEEALHYFHLMEESGFTANQIVLTSLIKAYSKNGSWERAQELYGKMKTLEGGPDIIASNCMINHFAGLGMVREAKLIFDDLRKKVQADDVSYSTMMYLYKSMGMLDEATNLALEMKSSGLLTDCASYNNALATFAVNSKLRDCGELVHHMLARKILPDPSTFKIIFTLLKKGGLPPEAVSQLELAYTEGKPYARQIVITSLFSIVGLHPFALESCKTFVSAEVGLDLSAYNVAIQAYGAANEVEKALNLFMRMQDEGLKPDLITYINLACCYGKAGMIEGLKRIYGLLKYGEIEPNESLFRALINAYNDTGKHDLAEIVEQEMRISPHDENSSGCETEDEV >DRNTG_27896.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9083258:9088770:-1 gene:DRNTG_27896 transcript:DRNTG_27896.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDAENVIDEMLDSGFQVDEQSLPVVMKMYIDEGLLDEANMFFEKHCSGREISSKNYAAIMDAYAEKGLWKEAEVVFFRKRDAEHKKEVVEYNVMVKAYGKAKLYDKALSLFESMRSYGTWPDECTFNSIIQMLSSGDQPEKARELLDRMKVAGFKPRCETVSALIASYIHAGLISEGVKLYQDMKNLDVQPNEVVYGLLIDAFAESGDTEEALHYFHLMEESGFTANQIVLTSLIKAYSKNGSWERAQELYGKMKTLEGGPDIIASNCMINHFAGLGMVREAKLIFDDLRKKVQADDVSYSTMMYLYKSMGMLDEATNLALEMKSSGLLTDCASYNNALATFAVNSKLRDCGELVHHMLARKILPDPSTFKIIFTLLKKGGLPPEAVSQLELAYTEGKPYARQIVITSLFSIVGLHPFALESCKTFVSAEVGLDLSAYNVAIQAYGAANEVEKALNLFMRMQDEGLKPDLITYINLACCYGKAGMIEGLKRIYGLLKYGEIEPNESLFRALINAYNDTGKHDLAEIVEQEMRISPHDENSSGCETEDEV >DRNTG_07326.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2566911:2570683:1 gene:DRNTG_07326 transcript:DRNTG_07326.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root phototropism protein 3 [Source:Projected from Arabidopsis thaliana (AT5G64330) UniProtKB/Swiss-Prot;Acc:Q9FMF5] MRYELIGAAIMQYASKWLPGLCKEVGNHTGNSEDAWSVVTEGLHLIVAGGGSGNKDENSGTQVREQRMIIESLISIIPPQKDCVTCAFLLKLLRLANMLKVAPALVTELEKRVGMLLEQATLHDLLIPSYNKSETLYDVDLVQRLLEHFLVQEQTESSSPAREGVGVGVGGAAGGGGHGYESNINSRGINGTNAKMRVARLLDSYLTEVSRDRNLSLTKFQVLAEALPESARTCDDGLYRAVDSYLKAHPMLSEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQVKISNAIANTTLKESGDSHYQPMISTRKQLLEGTPQSFQEGWAAAKKDINTLKFELESMKAKYLELQNDMDVLQRQFEKITSSKPAKQTASAWTTGWKKLSKLAKPVTGDQAHDMGKPSWYCC >DRNTG_03618.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11309727:11317193:-1 gene:DRNTG_03618 transcript:DRNTG_03618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFRRSLSLLQRDRPIQNGESGSDTSPSPKLPRSRAYMVLRCFVNSMVNPVIVLMFLDSMRASFCGFFSQRSSRHMERLKVKGQHWHRGVFHLLVFFLLGIIIGFTPYFSVDVSKNFGLKHKAFSFEEDLTAGNAHHDSGKNEVSLAKLSTYKRNESLGKVAAMEWTGEPSYIPSSTEDTTLVDRKLLIVVTPTYPHSFQAYYLNRLAYTLRNVPPPLLWIVVEMPPQSAETAKTLRETGVMFRHLVCKQNMTNVKDGHVYQRNAALSHIEKHHLDGIVYFADDDRVYSTDLFEQMREIRRFGTWPVAMLSQSKNKVILEGPICNGTEVIGWHSDQIKKISKRFSLDISGFAFNSTILWDPKRWRRPTIEPIRLYDTIKGLLETTLVEQLVEDESQMQGLPSNCSKIMVWHAHMEAPEIFYSPGWLMLKDIEVAIPLP >DRNTG_03618.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11309727:11310967:-1 gene:DRNTG_03618 transcript:DRNTG_03618.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQSKNKVILEGPICNGTEVIGWHSDQIKKISKRFSLDISGFAFNSTILWDPKRWRRPTIEPIRLYDTIKGLLETTLVEQLVEDESQMQGLPSNCSKIMVWHAHMEAPEIFYSPGWLMLKDIEVAIPLP >DRNTG_03618.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11309727:11317193:-1 gene:DRNTG_03618 transcript:DRNTG_03618.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFRRSLSLLQRDRPIQNGESGSDTSPSPKLPRSRAYMVLRCFVNSMVNPVIVLMFLDSMRASFCGFFSQRSSRHMERLKVKGQHWHRGVFHLLVFFLLGIIIGFTPYFSVDVSKNFGLKHKAFSFEEDLTAGNAHHDSGKNEVSLAKLSTYKRNESLGKVAAMEWTGEPSYIPSSTEDTTLVDRKLLIVVTPTYPHSFQAYYLNRLAYTLRNVPPPLLWIVVEMPPQSAETAKTLRETGVMFRHLVCKQNMTNVKDGHVYQRNAALSHIEKHHLDGIVYFADDDRVYSTDLFEQMREIRRFGTWPVAMLSQSKNKVILEGPICNGTEVIGWHSDQIKKISKRFSLDISGFAFNSTILWDPKRWRRPTIEPIRLYDTIKGLLETTLVEQLVEDESQMQGLPSNCSKIMVWHAHMEAPEIFYSPGWLMLKDIEVAIPLP >DRNTG_03618.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11309727:11317193:-1 gene:DRNTG_03618 transcript:DRNTG_03618.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFRRSLSLLQRDRPIQNGESGSDTSPSPKLPRSRAYMVLRCFVNSMVNPVIVLMFLDSMRASFCGFFSQRSSRHMERLKVKGQHWHRGVFHLLVFFLLGIIIGFTPYFSVDVSKNFGLKHKAFSFEEDLTAGNAHHDSGKNEVSLAKLSTYKRNESLGKVAAMEWTGEPSYIPSSTEDTTLVDRKLLIVVTPTYPHSFQAYYLNRLAYTLRNVPPPLLWIVVEMPPQSAETAKTLRETGVMFRHLVCKQNMTNVKDGHVYQRNAALSHIEKHHLDGIVYFADDDRVYSTDLFEQMREIRRFGTWPVAMLSQSKNKVILEGPICNGTEVIGWHSDQIKKISKRFSLDISGFAFNSTILWDPKRWRRPTIEPIRLYDTIKGLLETTLVEQLVEDESQMQGLPSNCSKIMVWHAHMEAPEIFYSPGWLMLKDIEVAIPLP >DRNTG_03618.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11309727:11317193:-1 gene:DRNTG_03618 transcript:DRNTG_03618.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFRRSLSLLQRDRPIQNGESGSDTSPSPKLPRSRAYMVLRCFVNSMVNPVIVLMFLDSMRASFCGFFSQRSSRHMERLKVKGQHWHRGVFHLLVFFLLGIIIGFTPYFSVDVSKNFGLKHKAFSFEEDLTAGNAHHDSGKNEVSLAKLSTYKRNESLGKVAAMEWTGEPSYIPSSTEDTTLVDRKLLIVVTPTYPHSFQAYYLNRLAYTLRNVPPPLLWIVVEMPPQSAETAKTLRETGVMFRHLVCKQNMTNVKDGHVYQRNAALSHIEKHHLDGIVYFADDDRVYSTDLFEQMREIRRFGTWPVAMLSQSKNKVILEGPICNGTEVIGWHSDQIKKISKRFSLDISGFAFNSTILWDPKRWRRPTIEPIRLYDTIKGLLETTLVEQLVEDESQMQGLPSNCSKIMVWHAHMEAPEIFYSPGWLMLKDIEVAIPLP >DRNTG_03618.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11309727:11317193:-1 gene:DRNTG_03618 transcript:DRNTG_03618.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFRRSLSLLQRDRPIQNGESGSDTSPSPKLPRSRAYMVLRCFVNSMVNPVIVLMFLDSMRASFCGFFSQRSSRHMERLKVKGQHWHRGVFHLLVFFLLGIIIGFTPYFSVDVSKNFGLKHKAFSFEEDLTAGNAHHDSGKNEVSLAKLSTYKRNESLGKVAAMEWTGEPSYIPSSTEDTTLVDRKLLIVVTPTYPHSFQAYYLNRLAYTLRNVPPPLLWIVVEMPPQSAETAKTLRETGVMFRHLVCKQNMTNVKDGHVYQRNAALSHIEKHHLDGIVYFADDDRVYSTDLFEQMREIRRFGTWPVAMLSQSKNKVILEGPICNGTEVIGWHSDQIKKISKRFSLDISGFAFNSTILWDPKRWRRPTIEPIRLYDTIKGLLETTLVEQLVEDESQMQGLPSNCSKIMVWHAHMEAPEIFYSPGWLMLKDIEVAIPLP >DRNTG_34793.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15841370:15849492:-1 gene:DRNTG_34793 transcript:DRNTG_34793.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLVPIGRGQRELIIGDKQTGKTAIAIDTILNQKQMNSRGNSPSETLYCVYVAIGRKRFDCGTISSNSSE >DRNTG_22892.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1297740:1298852:-1 gene:DRNTG_22892 transcript:DRNTG_22892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLMDQSVANRRGGARGIVFRSYCHSSSPNHQGFHPRPRYSTLPSRIAPPLLPLPIPTHGIAMKPQRKPRSGFNSRKEQRSRREDVPGIVAHRRPVEMRDDEEEEEMVFDGSLYSISPPPSSLPLPRFSMAKPKPGPAPASCVVEAIGSGGGIDAGATDDLRRLLRL >DRNTG_20029.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001086.1:49586:50307:-1 gene:DRNTG_20029 transcript:DRNTG_20029.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLLLKNLFTTFSRRGYSGMASEVERKGKVMEEKVVMMNREGSGGVGGGGAVEESWVPDPVTGFYRPANRLVEMDAAELRQMLLSHKYSSRV >DRNTG_20029.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001086.1:49586:50373:-1 gene:DRNTG_20029 transcript:DRNTG_20029.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLLLKNLFTTFSRRGYSGMASEVERKGKVMEEKVVMMNREGSGGVGGGGAVEESWVPDPVTGFYRPANRLVEMDAAELRQMLLSHKYSSRV >DRNTG_20029.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001086.1:49663:50373:-1 gene:DRNTG_20029 transcript:DRNTG_20029.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLLLKNLFTTFSRRGYSGMASEVERKGKVMEEKVVMMNREGSGGVGGGGAVEESWVPDPVTGFYRPANRLVEMDAAELRQMLLSHKYSSRV >DRNTG_20029.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001086.1:49663:50307:-1 gene:DRNTG_20029 transcript:DRNTG_20029.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLLLKNLFTTFSRRGYSGMASEVERKGKVMEEKVVMMNREGSGGVGGGGAVEESWVPDPVTGFYRPANRLVEMDAAELRQMLLSHKYSSRV >DRNTG_01758.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14213308:14218549:-1 gene:DRNTG_01758 transcript:DRNTG_01758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADGLAIIEIETLSWARPRCFGTCPCELHNPSEHYAQGLISIKRRVVKPIMKERSQRGSQCTDFGGNLAKFQTRRHRGSMALTSRVIWKKVIGRAFVGIDPARLNDNEEVGQIEYIGVENEKGEAEYHFEISDSVNEDCAYERETFQGDLLASCSFQAENTQEEVNPKNILRHPEKTHGRVEFPHARGLALRAHAEKAQGRAATPVNDHATVTRPWVIYARACEFLQSWAVYPGSTQGHGLTPVGDFVNHTRAWVIFAWGLGLREFSIEHLSILRRHRGVPPTSSSPAVKQNSFIVLVGWKELPPMKKSYHLESVKDTLAAALEKTTLEDV >DRNTG_05447.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14535009:14541352:1 gene:DRNTG_05447 transcript:DRNTG_05447.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKNKTFVTMTDARGNKKTGASAGCLEERKWRSRLSRYAAEATAEHVGRSARKMGLKSVVMKVKGCLKS >DRNTG_24370.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2885164:2886886:1 gene:DRNTG_24370 transcript:DRNTG_24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKDGLRCRLSSMILSLGSLPSQRGWPPLFLSLSLALPLSRAADPGPSLTRALGYLKFWDESLVALFSLVPLLPTAALLSGVLVRESRLGLKNQVFVLFIFDEEMNQDICVV >DRNTG_07515.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19172631:19173042:1 gene:DRNTG_07515 transcript:DRNTG_07515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNFFRIINRNWQRRQRKTKLKPFKRK >DRNTG_15498.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20872700:20875469:1 gene:DRNTG_15498 transcript:DRNTG_15498.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKGILNLLSHHHSNDDNDHHDSHHDHHHHHRFLSMLLPRHHHTQDHLDHNHKVHNHDHAVDDDDHQHRHGMKSILSHLHLHLHPHHHRHHHRHHHDDLTTEQSVSATVMEENINVAEPIVLKWDDNTSPYAKITWLFSDDRAEAHQFLRAVAELQAAMLFFVSSEHGGASVSRSHSLARAQTLMQTAMRRLEREFYQILSANRDRLDPESISTQSSNSSVSVASDGGDDADEELRAAGDFISEVENTSALAMTDLRDIADAMISAGYGKECVQIYRVIRKSIVDEGLYRLGFENHAPNQIQKLEWEALDLKIRSWTSVAKVAVKTLFSGERILCDHVFGGSEPIRESCFADVARDAAVQFLGFAESVTRTKRSPEKLFRMLELYDTISDLCSDIATIFSFESTAAVRSQAITSLQKLSETTRSTLNDFESTIQKDASKIPPPGAGIHPLTSSATIYITSLADYEAPLAEILEEGAIQTQTSAFYSDGTVSSPSTASFSSNEGIRSTMAARLARLLLVLICKLDSKAGIYKEGSMTYLFLANNLWFISRKVKESKLGFILGEEWVAQQAATARQHAGNYQRAAWGRTTAVAAAAEGVAGAEEAWEKVRAFNVAFEETMRSQGECVIADAELREEVRASIAGSIVPGYRILYDKCCEAREPAMSVARYYSPDDVWIRVSGLFENLTGLGHGSFKVSPRAR >DRNTG_01964.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8000666:8001181:-1 gene:DRNTG_01964 transcript:DRNTG_01964.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFHKNSSNNHHFIFSINPSSKPSPSSSTNYHTYKHLLPHQPSTHTNIQTYTHASQNKII >DRNTG_27902.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10407745:10409711:1 gene:DRNTG_27902 transcript:DRNTG_27902.1 gene_biotype:protein_coding transcript_biotype:protein_coding WHKTKGIPTFYYPHSSIKPQESKHSDQKEEASSYLSQFKVQTKLSKKCKDH >DRNTG_07650.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:13626016:13639372:1 gene:DRNTG_07650 transcript:DRNTG_07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRLGLYSKDDAMCYHNIVCSRGCSHASLVGRGFLPSGSDICTWRPMFLQLMHWPHKADAAKGGVTSRRVSSLTWAESSFISWSPSLLWNPMVSKETKNLVDGPSYSMLQKERKGTCDGVSFPLLFVESPALSSPSPLLPFPHPPVHFIYHRRDPTETSSQSVIYDIFPTIDPAPEEALLMLKTDDDDDDDDAIDDDSEDNDNDGDHAWHYNMYG >DRNTG_23779.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001278.1:41830:42201:-1 gene:DRNTG_23779 transcript:DRNTG_23779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKADLGISCNEPAGFKLFGKVIRIEEKTSKESDRKDGMKVEDSVINLQCPRCESMETKFCYFNNYNVNQPRHFCRSCHRYWTAGGTLRNVPKGAGRRRTRSFVRHSGPFLKELVARHWLLL >DRNTG_25668.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21603118:21605603:1 gene:DRNTG_25668 transcript:DRNTG_25668.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYDVNSPLFRSFLSQKGGGMASDKRKSEEQKPKEHKPKANENKPIMTE >DRNTG_03638.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:24071824:24077682:-1 gene:DRNTG_03638 transcript:DRNTG_03638.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIGSCFRGFSAVFNGSCIREGIAGSLSRSPHFFAPPISRIRRPLSIFLSLPSSPAQRSTPVRRRRKRKAVLQPKGRPKRDDASCVPSAEEASIRVGTLYQNGDPLGRKELGKCVIRWICQGMRSMASDFASAELQGDFPELRQRLIGNAASTGSLAFVIQAQPYLHAVPVPKGLEALCFKACTHYPTLFDHFQRELRDILQDLQRKSVFSDWRSTESWKLLKEFASSAQHREAVRKTPHAKPVHSGLGMELGKAKVIQSRIEEFVDHMSDLLRIERDSELEFTQEELNAVPTPDENSDSSKPIEYLVSHGQAQQEQCDTICNLNAISSSIGLGGMHLVLFRVERNNRLPPTSLSPGDMVCVRTCNSRGAGATSCMQGFVNNLGEDGCSITVALESRHGDPTFSKLFGKSVRIDKIQGLADALTYERNCEALMLLQKNGLQKKNPSIAVVATLFGDREVIAWLEKNQLVEWGQLEFEGMMVNKSFDDSQLKTIALGLNKKRPLLVIQGPPGTGKSGLLKELITLAVQQGERVLVTAPTNAAVDNMVERLSGNGLNIVRVGNPARISASVASKSLGEIVNDKLANFKKEFERKKSDLRKDLRHCLKDDSLAAGIRQLLKQLGKTLKKKEKETIKEVLSSAQVILSTNTGAADPLIRRVESFDLVIIDEAAQAIEPSCWIPILQGKRCILAGDQCQLAPVILSRKALEGGLGISLLERASPLFDGILATKLTVQYRMHDAIANWASREMYDGLLQSSPTVSSHLLVDSPFVKATWITQCALLLLDTRMPYGSLYVGCEEHLDLAGTGSFYNEGEADIVVRHVFNLIYSGVSASAIAVQSPYIAQVQLLRDKLDEFPEASGVEVATIDSFQGREADAVIISMVCENIF >DRNTG_03638.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:24056098:24077682:-1 gene:DRNTG_03638 transcript:DRNTG_03638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIGSCFRGFSAVFNGSCIREGIAGSLSRSPHFFAPPISRIRRPLSIFLSLPSSPAQRSTPVRRRRKRKAVLQPKGRPKRDDASCVPSAEEASIRVGTLYQNGDPLGRKELGKCVIRWICQGMRSMASDFASAELQGDFPELRQRLIGNAASTGSLAFVIQAQPYLHAVPVPKGLEALCFKACTHYPTLFDHFQRELRDILQDLQRKSVFSDWRSTESWKLLKEFASSAQHREAVRKTPHAKPVHSGLGMELGKAKVIQSRIEEFVDHMSDLLRIERDSELEFTQEELNAVPTPDENSDSSKPIEYLVSHGQAQQEQCDTICNLNAISSSIGLGGMHLVLFRVERNNRLPPTSLSPGDMVCVRTCNSRGAGATSCMQGFVNNLGEDGCSITVALESRHGDPTFSKLFGKSVRIDKIQGLADALTYERNCEALMLLQKNGLQKKNPSIAVVATLFGDREVIAWLEKNQLVEWGQLEFEGMMVNKSFDDSQLKTIALGLNKKRPLLVIQGPPGTGKSGLLKELITLAVQQGERVLVTAPTNAAVDNMVERLSGNGLNIVRVGNPARISASVASKSLGEIVNDKLANFKKEFERKKSDLRKDLRHCLKDDSLAAGIRQLLKQLGKTLKKKEKETIKEVLSSAQVILSTNTGAADPLIRRVESFDLVIIDEAAQAIEPSCWIPILQGKRCILAGDQCQLAPVILSRKALEGGLGISLLERASPLFDGILATKLTVQYRMHDAIANWASREMYDGLLQSSPTVSSHLLVDSPFVKATWITQCALLLLDTRMPYGSLYVGCEEHLDLAGTGSFYNEGEADIVVRHVFNLIYSGVSASAIAVQSPYIAQVQLLRDKLDEFPEASGVEVATIDSFQGREADAVIISMVRSNTLGAVGFLGDSRRMNVAITRARKHVALVCDSSTICHNTFLARLLRHIRHYGRVKHAEPSSFGGSGMGMDPMLPSIG >DRNTG_15363.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26789805:26792035:1 gene:DRNTG_15363 transcript:DRNTG_15363.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLITKNLTTIQTKNPRNPTPPIPNSSSIAFYLIDSFGLSPEKAFAAVKKLNCRCKLDIKSDKIKARLDPNAVPSFFVSNGFTKDQISKIIIKFPRILLCRPNRTLKPKIDFFLGAGFSRSDLARLMSDDVEILQASLNKRIIPVFDFLKTILYSDKEVIAALKQAPRLLHYHAEKRISPNVETLRGFGMPEHRIYTLSKARARILVCETDRFRRSIERVRDLGFKVSDYSFVVALQCVSWLSAATWERKVVALKSFGLSEDQILLVFKKEPAVMIISEDKLKRNMSFFVSRVNWSPEYVVLEPRLLGFSLERRLLPRTLTCEVLLSKGLISDKAFNHRVFLMNESRFFEKYVMRYQEEHPQVLEVYQAALKQQH >DRNTG_15363.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26789805:26793109:1 gene:DRNTG_15363 transcript:DRNTG_15363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLITKNLTTIQTKNPRNPTPPIPNSSSIAFYLIDSFGLSPEKAFAAVKKLNCRCKLDIKSDKIKARLDPNAVPSFFVSNGFTKDQISKIIIKFPRILLCRPNRTLKPKIDFFLGAGFSRSDLARLMSDDVEILQASLNKRIIPVFDFLKTILYSDKEVIAALKQAPRLLHYHAEKRISPNVETLRGFGMPEHRIYTLSKARARILVCETDRFRRSIERVRDLGFKVSDYSFVVALQCVSWLSAATWERKVVALKSFGLSEDQILLVFKKEPAVMIISEDKLKRNMSFFVSRVNWSPEYVVLEPRLLGFSLERRLLPRTLTCEVLLSKGLISDKAFNHRVFLMNESRFFEKYVMRYQEEHPQVLEVYQAALKQQH >DRNTG_13584.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30398467:30402565:1 gene:DRNTG_13584 transcript:DRNTG_13584.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGLSGVCPSSGSSRRLSRGGPAGRDVTILLVSELLVKLIAIFCSCLRSSLVVVSGST >DRNTG_24176.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3412455:3415140:1 gene:DRNTG_24176 transcript:DRNTG_24176.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTISANPQGRTRAGKLPQRSHSLETGERGQGESVFLNYLSTNNLNINF >DRNTG_17261.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5746203:5746667:1 gene:DRNTG_17261 transcript:DRNTG_17261.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSLHLIFLLAVIFADVAVDSSKEFLQRARETEFYDWMVGIRRRIHENPELGFEEFQTSELVRKELDAMEIPYKHPFAVTGVVGYVGSGKPPFVALRADMDALALQVF >DRNTG_07448.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19677224:19678862:1 gene:DRNTG_07448 transcript:DRNTG_07448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGDPQYYHCKVCRCAFNFNPTPLNVSCPFCSSTGEYIRAFHRSSQSSSSSSSSSSSSSPALAIVFNLRSVDDLIMLPDFFVLSRSLNVFDYDTIDFIFDHSRRSFFRCHLARGGRIDLFLPNHSNFGSQELVLLFTQGAQDNLRQYVVEHGTIPPSKSAIEALPDIQITEETDCGICNETLEMATVVKQMRCGHLYYNDCLFHWFEMCNRCPVCRFELSTDDLEYESKKENLETFLGSTARRIGDSNLEEFSGLILLGNDSRQSLAIQKSRLIASIHQPGAH >DRNTG_05138.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30652602:30656734:-1 gene:DRNTG_05138 transcript:DRNTG_05138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIRVFERILRVERNWEGIGEDEEIKGSVVCRLLVPNGQVGCVIGKGGKIVEKIKTESGAQIRILGHEQVPACAAAGDELIHISGNFPAVKKALLAVSNCLQDHPRVEASSPAIGKPNAANFRGAGPPPPGDPYAPSPRGYIPNMHGPDYQYRGFSPGIDSPMSNHWKVFEEEVAFRMLCPNEKVGSIIGKAGVIVRGLQNETGSSIKVAEPVPDSDERVIVVSARENPEFKHSPAQDGVLRIFSRLSEMDMGSSTLSARLLVHSQQIGCLLGKGGAIISEMRRATGANIRIFLKEHVPKCAQPNDEVVQVIGNYQSVQEALLQITGRIRETIFPLPPHPNVGMPPPYMSGAPEMPPPYMSGAPEMPPPFMPGAPDMLPPYMSGPPDMPPPRPRNDSMPSAPYPPMGFPHGPPDHSVGLSHGIERQHAHPHGMEHFGSMAPDRPPFHYGSEARGPHPGFDHPASPRAWAPLPVSNVTPRGIPDNGAGFGFRSAPAGSQTAFVPNTTVKVPQDLLQFVYGENGTNLNDIREISNAKVVIHDPEPGAAEGTVIISGNPGQIRAAQSLLHAFILSGKTSS >DRNTG_19062.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29074909:29078412:1 gene:DRNTG_19062 transcript:DRNTG_19062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLSLLSHLHGQHSAECLLDWGRRMMIAIGAAEGIAYLHHSATPHIIHRDIKASNVLLNSDFEALVADFGFAKLVPDGATHVTTKVKGTLGYLAPEYAMLGKASESCDVYSFGVVLLELVSGKKPIEKLSPTSKRTITEWALPLAQEGKFKEIADPKAQRQLHRVRTEENGDCSTYLFAKPTRTQTVNA >DRNTG_15505.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20836024:20838463:-1 gene:DRNTG_15505 transcript:DRNTG_15505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSSGFVKEVLPPVLDSTSSPPNLFDGTTRLYVSYICPYAQRTWIARNVKGLQEKIKLVPIDLQNRPSWYKEKVYPGNKVPSLEHNNEVKGESLDLIKYIDEQFEGPALLPDDPAKREFAEELFSYSDTFNLVNYKAFTSTGDVEKEIGPAYDKIEDALSKFDDGPFFLGPFSLVDIAYAPFIERFQTFWLEVKNYDITKGRPKLDLWIKESNNIDAYTQTRHDPQELLAVTKKRFGIE >DRNTG_20256.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20921021:20922103:-1 gene:DRNTG_20256 transcript:DRNTG_20256.1 gene_biotype:protein_coding transcript_biotype:protein_coding AILDWHHSNLRLGAASIIVNSIAGPALGLPLNPKAAKEAEKILGSSLAKIETIWLKGNAKFLLDFG >DRNTG_21970.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2250306:2251758:-1 gene:DRNTG_21970 transcript:DRNTG_21970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAQRSCKQDLTIRSENNKPQPINVYS >DRNTG_21970.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2250385:2253728:-1 gene:DRNTG_21970 transcript:DRNTG_21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQFPNKELNPQNSTKFPDLVPAIPRIGTNNSRIDVNCKVD >DRNTG_21970.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2250385:2251758:-1 gene:DRNTG_21970 transcript:DRNTG_21970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHQFPNKELNPQNSTKFPDLVPAIPRIGTNNSRIDVNCKVD >DRNTG_21970.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2250385:2252749:-1 gene:DRNTG_21970 transcript:DRNTG_21970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQFPNKELNPQNSTKFPDLVPAIPRIGTNNSRIDVNCKVD >DRNTG_13082.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13206999:13207796:1 gene:DRNTG_13082 transcript:DRNTG_13082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVYENDQATGSFAKTGSQSFRSLGVRIEMPSIPAALDFDDQPQRLDDWDFTQSQHPLAETPTMSTSKTKEVNKGSKSIRQQELEVMEKISTGLDRFASAPETDKGIQLSKRSYDEVMTLINYYNKSNLGLTYDHLNAQNNLATAFINNDHDLQHFWMDGFLRELGRDG >DRNTG_20897.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25142655:25143777:1 gene:DRNTG_20897 transcript:DRNTG_20897.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSVNQKRGAKQAKSKRKAPLKVVYISSPMKFETSAAKFRELVQSVTGQDSDIDSLLGFHGSSTTVDVKVSLPAQPDSVNHVVEINHGGVNDGLGFGTEGSPWPSMFYESPVMYDTPASVSGAAITFRAFD >DRNTG_20897.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25142849:25143474:1 gene:DRNTG_20897 transcript:DRNTG_20897.10 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSVNQKRGAKQAKSKRKAPLKVVYISSPMKFETSAAKFRELVQSVTGQDSDIDSLLGFHGSSTTVDVKVSLPAQPDSVNHVVEINHGGVNDGLGFGTEGSPWPSMFYESPVMYDTPASVSGAAITFRAFD >DRNTG_20897.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25142740:25143474:1 gene:DRNTG_20897 transcript:DRNTG_20897.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSVNQKRGAKQAKSKRKAPLKVVYISSPMKFETSAAKFRELVQSVTGQDSDIDSLLGFHGSSTTVDVKVSLPAQPDSVNHVVEINHGGVNDGLGFGTEGSPWPSMFYESPVMYDTPASVSGAAITFRAFD >DRNTG_20897.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25142849:25143527:1 gene:DRNTG_20897 transcript:DRNTG_20897.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSVNQKRGAKQAKSKRKAPLKVVYISSPMKFETSAAKFRELVQSVTGQDSDIDSLLGFHGSSTTVDVKVSLPAQPDSVNHVVEINHGGVNDGLGFGTEGSPWPSMFYESPVMYDTPASVSGAAITFRAFD >DRNTG_20897.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25142849:25143616:1 gene:DRNTG_20897 transcript:DRNTG_20897.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSVNQKRGAKQAKSKRKAPLKVVYISSPMKFETSAAKFRELVQSVTGQDSDIDSLLGFHGSSTTVDVKVSLPAQPDSVNHVVEINHGGVNDGLGFGTEGSPWPSMFYESPVMYDTPASVSGAAITFRAFD >DRNTG_20897.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25142655:25143474:1 gene:DRNTG_20897 transcript:DRNTG_20897.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSVNQKRGAKQAKSKRKAPLKVVYISSPMKFETSAAKFRELVQSVTGQDSDIDSLLGFHGSSTTVDVKVSLPAQPDSVNHVVEINHGGVNDGLGFGTEGSPWPSMFYESPVMYDTPASVSGAAITFRAFD >DRNTG_20897.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25142740:25145545:1 gene:DRNTG_20897 transcript:DRNTG_20897.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSVNQKRGAKQAKSKRKAPLKVVYISSPMKFETSAAKFRELVQSVTGQDSDIDSLLGFHGSSTTVDVKVSLPAQPDSVNHVVEINHGGVNDGLGFGTEGSPWPSMFYESPVMYDTPASVSGAAITFRAFD >DRNTG_20897.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25142849:25143777:1 gene:DRNTG_20897 transcript:DRNTG_20897.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSVNQKRGAKQAKSKRKAPLKVVYISSPMKFETSAAKFRELVQSVTGQDSDIDSLLGFHGSSTTVDVKVSLPAQPDSVNHVVEINHGGVNDGLGFGTEGSPWPSMFYESPVMYDTPASVSGAAITFRAFD >DRNTG_20897.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25142655:25143527:1 gene:DRNTG_20897 transcript:DRNTG_20897.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSVNQKRGAKQAKSKRKAPLKVVYISSPMKFETSAAKFRELVQSVTGQDSDIDSLLGFHGSSTTVDVKVSLPAQPDSVNHVVEINHGGVNDGLGFGTEGSPWPSMFYESPVMYDTPASVSGAAITFRAFD >DRNTG_20897.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25142655:25143616:1 gene:DRNTG_20897 transcript:DRNTG_20897.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSVNQKRGAKQAKSKRKAPLKVVYISSPMKFETSAAKFRELVQSVTGQDSDIDSLLGFHGSSTTVDVKVSLPAQPDSVNHVVEINHGGVNDGLGFGTEGSPWPSMFYESPVMYDTPASVSGAAITFRAFD >DRNTG_20135.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:21554077:21566888:-1 gene:DRNTG_20135 transcript:DRNTG_20135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCYRCRWMMPSLLYVVANVTVVAVNIIAAVPLLSSLPVADNAEVVDDKNNIVFTREVFVMMQEVMLKVKGMSVKVDQIEGLHMLESPDGLKVKVAKAMEVLRSVSQQQTNTASNQTQAFKPSLVVSASKDEFVRLWNVHTGIRILIFDGAGGHMWRPLIGGSQDFSLGTSYPEENLTVPRLPSRCRRPNVIVPAIEKNTPDKKPRTSVFQRLSCPNKMVSADNENDEPIFIVTFKGKESGIFQSKEAKPRKSVFSRLPPRKLNKNNQSQSQGLVDSIADAKTNGSLDGKSPRTTIEEEIQQMVDTELKNAKNGEIRVDSDKPYPGYHDLVPYSRGYNEPKFKQFNGIGNPDQHLAHFAKECGDTNAKPSLLLRQFCASLTGVTSEWYVSLQPDPIQNWQQLKDAFRVWFGGVSDEITIADLTATRQNKDEKVVDFMMRWRNLSIKCEQRLDQPQAVELLLGNIHSWMAPFLSTSCITTFQELISQAKKLAKTRPRVLSNFQTYKNGKPQKAEGVKYIPTTFNVDKEKAL >DRNTG_29917.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:14099:15388:1 gene:DRNTG_29917 transcript:DRNTG_29917.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKTLEEQNNILERILIEKQKAAGVSQQREGEQQPANPQTSSSSPPPFLVQDALPTTLNIGTYHAMAATEEKPPQPLTQSNSKTLPAWMISHMNR >DRNTG_29917.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:13720:15388:1 gene:DRNTG_29917 transcript:DRNTG_29917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKTLEEQNNILERILIEKQKAAGVSQQREGEQQPANPQTSSSSPPPFLVQDALPTTLNIGTYHAMAATEEKPPQPLTQSNSKTLPAWMISHMNR >DRNTG_24277.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10713740:10714946:1 gene:DRNTG_24277 transcript:DRNTG_24277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSSSKPTTLPMKRDNHYPQLPLFFSHGTCYTTSKSTSPSFLYMLFITCKKKIEEERREREMTRALHGLDYVVFMIMVVVMAELVSSESHLKSGPTMDPPSDCCHVVQKVDVSCLCSQVTPETEEAINMQKVTHVA >DRNTG_16319.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1556125:1559503:-1 gene:DRNTG_16319 transcript:DRNTG_16319.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLTEETLLLLLLSSLVASPALSDTVYQSCGTTGNYTTNSTFQSNLFTLLPSLSSNGSVSGFYTNTVGTVPDKIYGLVLCRGDTNATTCRNCLDVARQDVIQICPNNKGGLVWYDPCFLRYSNQNFLSSTDNSNPPILLNTQNVSEPEKFNKLVVELMDMIAQFASYNSSRRFATGEANFTVSNPKIYGLTQCTPDLSGDQCYRCLQGAFNAISSFADKQGLRVLGVRCNFRYELYSFYEGKSMVLLSASSPQSNGTNTTITPTVKEEGKKNNTGIILAISIPLFIAVLIISTICICFWKRRLSKKIPSLTESEEITNVESILFDLSILRDATANFSDENKLGEGGFGAVYKGLLHDGREIAVKRLSRGSGQGIGELKNELVLVAKLQHRNLVRLLGVCLEEQEKILVYEYVPNRSLDTILFDSVKRKQLDWGTRYKIINGIARGLLYLHEDSQLKIIHRSKSKQYIVG >DRNTG_25120.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23731915:23732983:1 gene:DRNTG_25120 transcript:DRNTG_25120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRASEDDRRRTFHGSQFHGRLATTDFLHSINLGPHSFCVFCNLVQESSEHLFHECCKTRGVWDLVSVAVGKNTSFPASFISGEWLFPRCFAMRIRVIIALVACFLWKA >DRNTG_25120.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23731915:23732983:1 gene:DRNTG_25120 transcript:DRNTG_25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASEDDRRRTFHGSQFHGRLATTDFLHSINLGPHSFCVFCNLVQESSEHLFHECCKTRGVWDLVSVAVGG >DRNTG_01983.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13272684:13273660:1 gene:DRNTG_01983 transcript:DRNTG_01983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRQLLEDVHRKVVTRLMAYKIQMILYRTDVTIRSSQGYPQETILSPIKKVG >DRNTG_09248.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000397.1:30829:31780:-1 gene:DRNTG_09248 transcript:DRNTG_09248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAGREIRETENPHGHVEIIHGHVIRRAFIGIDPERCILGRTNDPLRRVEDSPQDYRHDHRGGFFMDSLLLHSISLIVLSS >DRNTG_09750.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24768731:24769168:1 gene:DRNTG_09750 transcript:DRNTG_09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQNPYILSGLSEESSWDLFERKAFARGAQRPPKLVQIGQEIVKKCQGLPLAIEVLVCIMHYKREEGEWQAVLQNIKTWKLQHTKNEIMPELWLSYVDLPTHLKKCFAFCAIFPKDRDIEKVKLIRLWLAHGFIASQKGNDMKG >DRNTG_25846.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8771377:8773707:-1 gene:DRNTG_25846 transcript:DRNTG_25846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKKGEEGGEGEGEVGESGVVMVVASSVEEEKEKEQEVGHPFDFHVCGPRNISAPNWKDLIRSSWRDARYKRMVIACFIQAVYLLELDRQDERTEDNGLAPKWWKPFKYKLAKALVDERDGSIYGAILEWDRSAALSDFILMRPSGAPRAVLALRGTLLKSPTIRRDLQDDLRFLAWESLKGSVRFNGALEALKSVVDKYGSSNVCVAGHSLGAGFALQVGKALAKQGVFVESHLFNPPSVSLAMSMRIIGEKAAYFWKRIKAALPSNADVLIEGKEEKASPFSDEAKKWVPHLYVNNSDYICCYYTDRTGEAGPGDSAIDNGRENSGAGDVAAKLFVMSKGPQKFLEAHGLQQWWSDDMELQLALNHSKLINRQLRSLYNTPPPQLLVKS >DRNTG_13950.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21306180:21310007:-1 gene:DRNTG_13950 transcript:DRNTG_13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPIGFWQITPSNEFRTGGPTKQSLTSHVGPTMLAIFVSAHYSGEDLVPKFRNGEHWKKVFGPVFMYLNSSLYPGDYKLLWDDANVQMQTEVESWPYSFPISDDFQKSEQRGCVSGRLLVRDRYINHEDICASSAYIGLALPGEAGSWQRESKGYQFWTKTDEKGCFFISNARTGDYNLYAWCPGFIGDYMFDVTLTITPGNVIDLGELVYEPPRDGPTLWEIGIPNRSAKEFYVPDPNPLYINKLYVNHPDKFRQYGLWERYAELYPDNNLIYTVGVSDYTKDWFFAQVTKKGEGNAFLQTTWQIKFKLDEVNKNGIYKLRVAIAMSAVAELQVRFNELKTVSQPRFSTGLIGKDNAIARHGIHGLYWLFNIDVKSDWLVEGDNIIHFTQPRCTSPFQGIMYDYIRMEGPPKEDV >DRNTG_13950.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21306180:21309471:-1 gene:DRNTG_13950 transcript:DRNTG_13950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPIGFWQITPSNEFRTGGPTKQSLTSHVGPTMLAIFVSAHYSGEDLVPKFRNGEHWKKVFGPVFMYLNSSLYPGDYKLLWDDANVQMQTEVESWPYSFPISDDFQKSEQRGCVSGRLLVRDRYINHEDICASSAYIGLALPGEAGSWQRESKGYQFWTKTDEKGCFFISNARTGDYNLYAWCPGFIGDYMFDVTLTITPGNVIDLGELVYEPPRDGPTLWEIGIPNRSAKEFYVPDPNPLYINKLYVNHPDKFRQYGLWERYAELYPDNNLIYTVGVSDYTKDWFFAQVTKKGEGNAFLQTTWQIKFKLDEVNKNGIYKLRVAIAMSAVAELQVRFNELKTVSQPRFSTGLIGKDNAIARHGIHGLYWLFNIDVKSDWLVEGDNIIHFTQPRCTSPFQGIMYDYIRMEGPPKEDV >DRNTG_13950.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21306180:21309471:-1 gene:DRNTG_13950 transcript:DRNTG_13950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPIGFWQITPSNEFRTGGPTKQSLTSHVGPTMLAIFVSAHYSGEDLVPKFRNGEHWKKVFGPVFMYLNSSLYPGDYKLLWDDANVQMQTEVESWPYSFPISDDFQKSEQRGCVSGRLLVRDRYINHEDICASSAYIGLALPGEAGSWQRESKGYQFWTKTDEKGCFFISNARTGDYNLYAWCPGFIGDYMFDVTLTITPGNVIDLGELVYEPPRDGPTLWEIGIPNRSAKEFYVPDPNPLYINKLYVNHPDKFRQYGLWERYAELYPDNNLIYTVGVSDYTKDWFFAQVTK >DRNTG_31684.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18450053:18461120:1 gene:DRNTG_31684 transcript:DRNTG_31684.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDHAGGAAGAGTPLAPSAAESKLAEAIGSSVIPIVNKLQDIFAHLGSDSTIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLVLQLVQCPPRVDGAGGEGDEWGEFLHLPGKRLYDFLEIRREIQAETDREAGGNKGVSDKQIRLKIFSPNVLNITLVDLPGITKVPVGDQPSDIENRIRNMIRSYIRYETCIILAVSPANADLANSDALQMARIVDPDGSRTIGVITKLDIMDRGTDARNLLTGKVIPLKLGYVGIVNRSQEDINQNRSIKDALAYEESFFRSRPVYHGLAHCLGIPQLAKKLNQILVQHIRAILPSLKSRINSQLVAVAKEHAAYGDVAESKAGQGVKLLNILTKYCEAFSSMVDGKDELSTDELSGGARIHYIFQSIYVKSLEEVDPCDGMTDEDIRLAIQNASGPKSALFVPEVPFDILVRRQIGRLLDPSLQCAKFIYDELIKMSHRCLAGELQRFPFLRKKMDEVIWSFLREGLQPAETMITHMIEMEMDYINTSHPNFIGGSKAVEIAQQQVRLSRAPVSSVRLKDGEDSDKSQASEKSQKSRAILGRSTVNGIVSDQGIHPVADSERPSSAGGAKSAWGISSIFGSSDNRAPTRDSSPSKVYSIPVHNVEQSSSMIQLKEPPIILKPSENQTEQEAMEITITKLLLKSYYDIVRKNIEDSVPKAIMHFLVNHTKRELHNVFIRKLYRENLFEEMLREPDDIVAKRKRIRETLWVLQQANRTLEELPLEADTVEKGYNLENDATGLPRPPSSFYAGSEFSTSYNSSPSHPRSRRSLHSGEQTPLFYSNYDANGSGHHSGQ >DRNTG_20825.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2755926:2761015:-1 gene:DRNTG_20825 transcript:DRNTG_20825.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLLLLLFIVSDPLGSLSTSSFSFDIHHRFSDPVRKFSSDAWPSPEKGSREYYTALVNHDRALRGRRLSETQELTFVDGNSTFNFPSLGFLYYAIVAVGTPNVTFLVALDTGSDLFWLPCECQSCAPTSSQNYGLDLVFDTYSPKNSSTSKTLPCNSSYCEHQSECSKLAGQCPYKVQYATANTSTSGILVEDVLYLTTENTSSKVVQAPIVFGCGQVQTGSFLKSAAPNGLFGLGMDKISVPSILSSAGLTSNSFSMCFRHNGVGRISFGDKGSSDQGETAFHIEKGQHPSYNISITGVNIGSSSVDVNFSAIVDSGTSFTSLADPSYTLLANDFNAQVKEKRQSTLDGKLPFEYCYQISPNATSILLPFINLTTEGGSQFPVHSSVVLVTDKNGEYFYCLAILKASTSELNIIGQNFMSGLRIVFDRERLVLGWKNSDCYDTNSTEPEPANPPISSAFAPNPSITIVPSNPQTVGNNKQGSSPSSSAGISQSLSFSRRIILMLFLLCFTIF >DRNTG_30636.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1094787:1095618:-1 gene:DRNTG_30636 transcript:DRNTG_30636.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKAIGSGASKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYFVHQVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGAVTIANGGVMPNIHNLLLPKKAGTGSSKAGPADDDN >DRNTG_11172.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4392419:4393917:1 gene:DRNTG_11172 transcript:DRNTG_11172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQFRGRPKIAPNQREKFLQKLQQVQ >DRNTG_25524.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2935964:2936436:-1 gene:DRNTG_25524 transcript:DRNTG_25524.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic checkpoint serine/threonine-protein kinase BUB1 [Source:Projected from Arabidopsis thaliana (AT2G20635) UniProtKB/Swiss-Prot;Acc:F4IVI0] MHKVMEEVLCIYYTIEMLRMLEALHSVGIIHGDFKPDNLLVRYARDDLTDDGFSSRTGSWRDQ >DRNTG_25524.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2934845:2938617:-1 gene:DRNTG_25524 transcript:DRNTG_25524.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic checkpoint serine/threonine-protein kinase BUB1 [Source:Projected from Arabidopsis thaliana (AT2G20635) UniProtKB/Swiss-Prot;Acc:F4IVI0] MLEVKSICQACSLLYEAYGIFLISKGRLVEAHDVYQLGVSRHAQPLDRLKRTHTLFLNHISEIVSSAGPDPKIDTGTHSGVEHSHVNPWSSSTIDDLLKRMDSDFKKYDGCYRSNKGYSGKVSLSSLKNSSRNKIIDLGGCTYQIKGCSGLGGFAQVYKAYVGSNPDDVVALKVQKPAFPWEFYMYRQLDKRITDVERSSFGFAHKVHIYSDWSVLVCNYLSHGTLQDAINSYVVMHKVMEEVLCIYYTIEMLRMLEALHSVGIIHGDFKPDNLLVRYARDDLTDDGFSSRTGSWRDQGLCLVDWGRGIDLSLFPAGTEFNGDCRTSCFRCVEMQENRLWTYQVDTYGLCVVVHMMLHGSYMSIEKKSTPDGSYYYQPKTAFKRYWNVDLWKPLFSKLLNIKSNESDVPLLQSLRSSFEDYMCRNPQLINKLKHLLLKQKASLCSA >DRNTG_25524.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2934845:2938617:-1 gene:DRNTG_25524 transcript:DRNTG_25524.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic checkpoint serine/threonine-protein kinase BUB1 [Source:Projected from Arabidopsis thaliana (AT2G20635) UniProtKB/Swiss-Prot;Acc:F4IVI0] MLEVKSICQACSLLYEAYGIFLISKGRLVEAHDVYQLGVSRHAQPLDRLKRTHTLFLNHISEIVSSAGPDPKIDTGTHSGVEHSHVNPWSSSTIDDLLKRMDSDFKKYDGCYRSNKGYSGKVSLSSLKNSSRNKIIDLGGCTYQIKGCSGLGGFAQVYKAYVGSNPDDVVALKKIIFLQRSSFGFAHKVHIYSDWSVLVCNYLSHGTLQDAINSYVVMHKVMEEVLCIYYTIEMLRMLEALHSVGIIHGDFKPDNLLVRYAGMI >DRNTG_09048.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31391313:31392129:1 gene:DRNTG_09048 transcript:DRNTG_09048.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIITMMKCLGLCVLLVVLGVTGVEVVDGAGECGNVPVNRMAFRMAPCAAASQDPEAQVSASCCSAVQKIGKSPTCLCAVMLSDTAKSAGVKPEVAITIPKRCNLADRPVGYNCGSYTLP >DRNTG_29185.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3063427:3065127:-1 gene:DRNTG_29185 transcript:DRNTG_29185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTGVAMAVWLTDLVVTILLALYLFIKEGNKGGGWLEQSVSEWVRLLKLSAPCCLTTCLEWWCYEILVLLAGRLPNAQRAVVVLAVMLNFDYLIFSVMLSLATCASTRVSNELGAGRAMLARSSAYVSLGVAVISGFFGGSVMFAARGEWSSLFSHDKLVTNLVKEDHDAYGYC >DRNTG_30498.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:707198:715219:-1 gene:DRNTG_30498 transcript:DRNTG_30498.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64570) UniProtKB/TrEMBL;Acc:F4I6S6] MYMELEEEDEEEDVDFNPFLREETPSEASSGLSSDNEGLVDNVRKDNSISVDHQDANASSIPVVGIENYNRGSGNEDEEVVMQARISPEVVHCEVVRVQSIQLENVPGSDDASCKIVEEIPGRTCDLKDSLKQAIHIDSEEAICRRTRAHHSLAHHTLEELEAFLQESDDDGDFQNVDDEEEYRKFLAAVLLDGAEKGQEVQDEENIDDEENDADFELEIEEALESDDDECINNDKEWKKKREGDLHRPETRQKKRLEESTKDRKNLLGQAKIPLRPILPFVPNTHISPYPPLGWQFSPPGGFSQCSSSFSGADLINGFTAQQIGQLYSSIYEHTQLLIQTFSISVLDPSRQQVASDVKNMILEIVDKWEEALTLRKTPYPNQCFHSLHLHFSLNHNGNQTAKFSDWTPSMDIPVLSVLDVAPLKLAKNYLTDVSATVLRYRHLHVEDVADKSHFTKEPLFQLPLCEPSGERNDVVIEETAIASSSTASSQSSGLPQPKKTLAAMLVENTMKEPVALVPKDIAKLTQRFYPLFNSALFPHKPPIQTAASRVLFTDAEDRLLAMGIMKYNNDWASIRRHYLPCKTDHQIFVRQKNRSSSKAPENAIKAVRRMKASPLTPDEQARIYEGLKVFKHDWLSVWKFFVPHRDPSLLQRQWRMATGTQKSYKKCEARKLQRRLYEAKRRERKASLGERQLSSGKEVDNGLDNNADAIDDEDEAYVHEAFLAETQPGSYNCMPSDGRGDFPRLRGATNEHVASPLTLNKFSHSSDLGGGMSQTVLLSKQLPSKLIPKPSRKQMASLSCQTQKSKGVRLVKLAPGLPPVNLPPSGRVISQSAFKSYQCRSVHSNVGSNMTKTAVSSVPQVVNAGPTASNLEKHKTNFSDNDLVIGCRQDGKTHADQSVAEENASEYDLHMHPLLFQTSENQLSLYYSVNCNGTASSTYNFFPPNSLQFDNNLLQGQHFLRPVDEVPSSLSTIDFHRLLQRTENLNHDAAIQSSGELLSGNLQLVQRNYDHVAGPSSCNLRQGMANDDHVVMISKSPSHGEKENDIDLDIRLSSSKDAESTKRRRYRSEGSIEGNRPTDEEQDSRKQLTHEFPM >DRNTG_25197.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28252450:28256151:-1 gene:DRNTG_25197 transcript:DRNTG_25197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESLEKMSRLLKTIDMDNKGMDFEDMTPENHDFVGRKTSTNFQWTPMMTSFMLTSLVKEGNLGLKSDKGFKSVAINAVARAVGARFNLVAHLGKEIYINKPIKDYEEMPIICGNDHATGYFCKNRFSKL >DRNTG_12606.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20389324:20391422:-1 gene:DRNTG_12606 transcript:DRNTG_12606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKMIPFFESMPAKSSSSLAHQCSSALLQRLFPPRQSINKVLWFLYAGKIIETKGGFRAMAATAFPFQNKRSSSTPLLSMCVSPPAVILGSDNYQQMYCHLLCGLHCSASIDAIRAPYAVGLIRAIHLLESKWEQLCNDIEFGFLSSEFITDSSMREAVQELLGGPRPEIAKAIRGFCSKGKWEGVLRELWPEARYIACVTTGSMEQYYLKLRYYAGGIPILCGDYFSSECSVGININRLSPPESTSFVIIPTTAYFEFLPFRPETPLETNETVDISGVEIGELYEIVVTTYRGLYRYRLGDIVKVIGFYNSSPEVKFITKSTKGLIGDLHGK >DRNTG_12606.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20389324:20390839:-1 gene:DRNTG_12606 transcript:DRNTG_12606.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKMIPFFESMPAKSSSSLAHQCSSALLQRLFPPRQSINKVLWFLYAGKIIETKGGFRAMAATAFPFQNKRSSSTPLLSMCVSPPAVILGSDNYQQMYCHLLCGLHCSASIDAIRAPYAVGLIRAIHLLESKWEQLCNDIEFGFLSSEFITDSSMREAVQELLGGPRPEIAKAIRGFCSKGKWEGVLRELWPEARYIACVTTGSMEQYYLKLRYYAGGIPILCGDYFSSECSVGININRLSPPESTSFVIIPTTAYFEFLPFRPETPLETNETVDISGVEIGELYEIVVTTYRGLYRYRLGDIVKVIGFYNSSPEVKFITKSTKGLIGDLHGK >DRNTG_13716.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5405520:5406633:-1 gene:DRNTG_13716 transcript:DRNTG_13716.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKIYISLCNNSVYSSEDAEQVEQLMISESSIQHGHDHEHTKWMSSKMRLMKKMMNSNQSTSTSKPRRNNSVPESGDVIRVCSDCRTTKTPLWRSGPQGPKSLCNACGIRQRKARKLQSMAMSSDRGHTVPFKKRFKITTTENSAFHPRLLPQDEKEAAILLMALSCGLLHS >DRNTG_13716.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5405520:5406633:-1 gene:DRNTG_13716 transcript:DRNTG_13716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCRQRYTSLFAIIQVSLPSLNVILFFFFFFKSSLLFPMTSVYSSEDAEQVEQLMISESSIQHGHDHEHTKWMSSKMRLMKKMMNSNQSTSTSKPRRNNSVPESGDVIRVCSDCRTTKTPLWRSGPQGPKSLCNACGIRQRKARKLQSMAMSSDRGHTVPFKKRFKITTTENSAFHPRLLPQDEKEAAILLMALSCGLLHS >DRNTG_21006.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:2312:6456:1 gene:DRNTG_21006 transcript:DRNTG_21006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAPKDEHEAQVQFALERGIPAISAVMGTQRLQFPGRVFDLVHCARCRVPWHADGGTLLLELNRVLRPGGYFVWSATPVYQKLKEDAEIWKAMTSLTISMCWDLVTIKKDKLNGVAAAIYRKPVSNECYENRKHHNPPMCNEDDDPNAAWYIPLKACMHRVPVDASERGSKWPEQWPRRAASAPYWLNNSQVGVYGRPAPDDFRSDYEHWKRVVTKSYLNGLGVDWSKVRNVMDMRAVYGGFAAALKDLNLWVMNVVNIDAPDTLPIIYERGLLGIYHDWCESFSTYPRTYDLLHADHLFSQLKERCNIVPVMAEIDRIVRPGGKLIVRDETGIASEIENVLKSMHWEIRLTFSKNQEGILCAEKTEWRPEKYAASS >DRNTG_27460.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21809707:21812188:-1 gene:DRNTG_27460 transcript:DRNTG_27460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPSFGRLRPSPPSLLPHRITDHASSSSRPEKRPSALQQEKSSKMAIGDISLNSETSCPPKSNRETVDIAGASTTTTNEWPRILIALSRKEKEDDFLVMKGTKLPQRPKKRPKNIEKTLQYCFPGLWLSELTRGRYEVRERKCVKKKKRGLKGMESMDSDSE >DRNTG_27460.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21809707:21812188:-1 gene:DRNTG_27460 transcript:DRNTG_27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRYQTVSTECIPLSIGKKPLLKACKEDDLVSNNGGGRAFDPKPLRASQDHQHQHEPLLPEPERSPVSVPKTQNESNGDVLLQWGRRKRTRLSRAESRPAPEDDHESESSRPPIKVRRRSAAGSNRLGAAAAMPPPPPPPSFGRLRPSPPSLLPHRITDHASSSSRPEKRPSALQQEKSSKMAIGDISLNSETSCPPKSNRETVDIAGASTTTTNEWPRILIALSRKEKEDDFLVMKGTKLPQRPKKRPKNIEKTLQYCFPGLWLSELTRGRYEVRERKCVKKKKRGLKGMESMDSDSE >DRNTG_15898.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5983513:5984557:1 gene:DRNTG_15898 transcript:DRNTG_15898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIGGRVHGLGNNCSDEIYLKLRTSTEILSKQWYICGNVLTEADVRLFVTLIRFD >DRNTG_14861.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2833548:2834550:1 gene:DRNTG_14861 transcript:DRNTG_14861.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSAENATKAFLRTLKTGNNAMEPDVAEFISAMAGGSGARLMVDVCADRAGSTTLALIAAAQQTGGRVVCIVPGADELNSSVISLGMQAENVELVMGDGRELLMGEYRGADFVLVDCDLAGHERVFRAAQAGAMEACGGVVVGYNAFHKEMCGSGSGSGSGLRVELLPIGEGLRVSRVPPAAKRSQWVVRVDECTGEEHVFRVRSPRRKWIEA >DRNTG_14089.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20780872:20782619:-1 gene:DRNTG_14089 transcript:DRNTG_14089.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSNLMPTIDACNKILSSFLKTNQPHNAWVLYAEMFRLRISSNVITYNIMINLLCKEGKLRKALAFVEFMEDTGINPTAVTFNTIIRGHCDKGKVGVALEMLKLMRSKAIEPDDYSYGTIIAGMCKGGRVEDAVKLFDEMLQRGLTPTAMIYNALIDGYCNKGLLDVAFDYWNEMSRREIEPTVRTYNLLVHGLFMDGRSKEVDELLKQMEGKRIEADAVTYNILINGHCKDGNVKKAFQLFAEMSRKCVSPTTVTYTSLIYALCKKGRVVDADKLFREAAKKGFSPDLVMYNAMIDGHCAKGDMVRAFALLKEMKKKGITPDDVTYNTLMRGLCLLGKVEEARELLNEMTTHGIKPDLISYNTLISGYSRKGDTKDALKVRDEMLSKGFNPTLLTYNALIQGLCKNGEGEHAEELLKEMVRNGINPDDSTYISLIEGFGNNEGPIKDGNV >DRNTG_14089.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20780844:20782619:-1 gene:DRNTG_14089 transcript:DRNTG_14089.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSNLMPTIDACNKILSSFLKTNQPHNAWVLYAEMFRLRISSNVITYNIMINLLCKEGKLRKALAFVEFMEDTGINPTAVTFNTIIRGHCDKGKVGVALEMLKLMRSKAIEPDDYSYGTIIAGMCKGGRVEDAVKLFDEMLQRGLTPTAMIYNALIDGYCNKGLLDVAFDYWNEMSRREIEPTVRTYNLLVHGLFMDGRSKEVDELLKQMEGKRIEADAVTYNILINGHCKDGNVKKAFQLFAEMSRKCVSPTTVTYTSLIYALCKKGRVVDADKLFREAAKKGFSPDLVMYNAMIDGHCAKGDMVRAFALLKEMKKKGITPDDVTYNTLMRGLCLLGKVEEARELLNEMTTHGIKPDLISYNTLISGYSRKGDTKDALKVRDEMLSKGFNPTLLTYNALIQGLCKNGEGEHAEELLKEMVRNGINPDDSTYISLIEGFGNNEGPIKDGNV >DRNTG_14089.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20780987:20782619:-1 gene:DRNTG_14089 transcript:DRNTG_14089.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSNLMPTIDACNKILSSFLKTNQPHNAWVLYAEMFRLRISSNVITYNIMINLLCKEGKLRKALAFVEFMEDTGINPTAVTFNTIIRGHCDKGKVGVALEMLKLMRSKAIEPDDYSYGTIIAGMCKGGRVEDAVKLFDEMLQRGLTPTAMIYNALIDGYCNKGLLDVAFDYWNEMSRREIEPTVRTYNLLVHGLFMDGRSKEVDELLKQMEGKRIEADAVTYNILINGHCKDGNVKKAFQLFAEMSRKCVSPTTVTYTSLIYALCKKGRVVDADKLFREAAKKGFSPDLVMYNAMIDGHCAKGDMVRAFALLKEMKKKGITPDDVTYNTLMRGLCLLGKVEEARELLNEMTTHGIKPDLISYNTLISGYSRKGDTKDALKVRDEMLSKGFNPTLLTYNALIQGLCKNGEGEHAEELLKEMVRNGINPDDSTYISLIEGFGNNEGPIKDGNV >DRNTG_14089.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20780765:20782619:-1 gene:DRNTG_14089 transcript:DRNTG_14089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSNLMPTIDACNKILSSFLKTNQPHNAWVLYAEMFRLRISSNVITYNIMINLLCKEGKLRKALAFVEFMEDTGINPTAVTFNTIIRGHCDKGKVGVALEMLKLMRSKAIEPDDYSYGTIIAGMCKGGRVEDAVKLFDEMLQRGLTPTAMIYNALIDGYCNKGLLDVAFDYWNEMSRREIEPTVRTYNLLVHGLFMDGRSKEVDELLKQMEGKRIEADAVTYNILINGHCKDGNVKKAFQLFAEMSRKCVSPTTVTYTSLIYALCKKGRVVDADKLFREAAKKGFSPDLVMYNAMIDGHCAKGDMVRAFALLKEMKKKGITPDDVTYNTLMRGLCLLGKVEEARELLNEMTTHGIKPDLISYNTLISGYSRKGDTKDALKVRDEMLSKGFNPTLLTYNALIQGLCKNGEGEHAEELLKEMVRNGINPDDSTYISLIEGFGNNEGPIKDGNV >DRNTG_02723.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19502821:19505625:-1 gene:DRNTG_02723 transcript:DRNTG_02723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRFFPNDMPNSVPETDDPSAAGAVGSSSSLLKLLSLPYSKTAERFLRAGLDLKEKVVKETWVRAGRRVKDFSLYTGALGTAFLLLKSYQVTGDRRDLTLCNEIVRGCDSASQGSKYVTFICGRAGVCALGAVVAKHTGDEALLNHYLKAFQEINLPPGVPNELLYGRAGYLWACSFLNKHVGDGTIPSTHMIEIAKEIITDGRKLSNKGSCPLMYEWHGTKYWGAAHGLAGIMHVLMDMDLKPEECEFVKGTLAYMIQNCFRSGNYPSSEGKDTDRLVHWCHGAPGLALTFNKAALVFKDEQFLRAATDAAEVVWNRGLLKRVGVCHGVSGNAHVFLSLYRSTGNVEYLYRAKAFTCFLLDKANQLIAEGKMHSGDRPYSLFEGQAGMAYLFLNMVKPSESLFPAYEL >DRNTG_10304.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7656874:7659462:-1 gene:DRNTG_10304 transcript:DRNTG_10304.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHWRMPRLHTHWFIGIHEKEDNMNPVLLEFAKLDFNILQSTHRKEIKQCSRWWSTLNLLDDDLSFSRDRLVENYLFAMGWVSKAKFSFYRETLTQVNCLITVIDDIYDTYGSIHELELFTSAVDRWDVNDIDYLPKFMKICFLGLFNTTNDTAYKVLKMRNVNCIPYLKKSWLELCKAYLVEANWAHSDYKPTLKEYLDNGWISIGTFPIFFYSFFCINEAISKEALEILEYFPIIMRQPFVIVRLCNDLGTSTEEVNRGDVSKSIQCYMHEKGVSETIAREHIQDLIRETWKELNTKIFTMSSLFDVSLNNLAMNIARTGHFMYDHGDGFGIPQHKTKDRVISLFIKPIPFDKM >DRNTG_10304.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7656392:7659462:-1 gene:DRNTG_10304 transcript:DRNTG_10304.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWRMPRLHTHWFIGIHEKEDNMNPVLLEFAKLDFNILQSTHRKEIKQCSRWWSTLNLLDDDLSFSRDRLVENYLFAMGWVSKAKFSFYRETLTQVNCLITVIDDIYDTYGSIHELELFTSAVDRWDVNDIDYLPKFMKICFLGLFNTTNDTAYKVLKMRNVNCIPYLKKSVCS >DRNTG_21911.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1711511:1713021:-1 gene:DRNTG_21911 transcript:DRNTG_21911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHTPTRTGTTFLRTCFNGVNALAGLGILSIPYALSQGGWLSLILLLTTATICFYTGLLLQRCMNINANVKTYPDIGELAFGYKGRLAVAIFMYLELYLVAVEFLILEGDHLEKLFPNTHFNIAWFKLGGKQGFVLLASLVILPTTWLRNLSVFSYVSFGGVLASIIVVGAVLWTGVVNGIGFHEKGELINWTRVPTAVSVYAFCYSGHAVFPTIYTSMKEKTMFPMMLFICFIICTLNYLFMAIIGYLMYGDNTQSQITLNLPGNKLTSKIAIYITLINPFTKYALLIMPIADAIEESFQVSKYKFIGLLIRTLIVMSTVIVALTVPFFGYVLALTGSFLSSTATMLLPCACYLKIFKDSQRIGFIQLVIIIGIIVIGTSVAVMGTFSSVKQIVCNL >DRNTG_11235.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21928590:21931525:-1 gene:DRNTG_11235 transcript:DRNTG_11235.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDEKGGEGNASRGADWEVVSLTASTYAAAPGPEGFRSPDESRDLDFDKNEHQRADPLFMSGHFVFPPSEHENLPIENYGDEIQDEPSGEDIASVLEEDENHGKGADENLKSKTDESLQGIQMFDSGEQVSVHHMEFGDSKSLRGLSFVGKEDILYSSSAFGALHSETEISMSDPCDESADTVESNDPSLDADALKLDKQNKNNGSGLPCEAWWKRHAASWYNHAKEGSTFWSVFVAAALMGLVILGKRWHRENLQLQQLKWQFNINAERMKWMTAPINRFKDILVGSHQRSLLVRAEALPNHQVL >DRNTG_20773.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21839442:21843344:1 gene:DRNTG_20773 transcript:DRNTG_20773.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLPRFIVINYFNDNYKKDDKRYYMGYINEKGKEYKGYLAFTETLAVSAFAKFEVETVDRNGLVHIRSCQNNKYWVRTKENNNRGDLAWIAATAEKAEHNQYKEYCTLFKFIILDAAMNMYQIVHIQSGRYLSLACQASNFPRFVVAKDKSCLFGDDIFKINDWDSLVILPKYLAFKGDNGQYLCLRQIERHPYLQFSTDDIGDSTATFENLTTEDGTIRIKSTSNNKFWRRSPNWIWADSNENSNNNKDTFFRPIKVDYQTIGLLNLGNNYFCKRLTTEGKTSCLNAAVPSVTKEAKLKVEEPVLTRKIYDVKYDMENSRVYGETVLVMAKNSATNNTQQSLKFRREALLHKY >DRNTG_20773.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21839357:21843344:1 gene:DRNTG_20773 transcript:DRNTG_20773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLPRFIVINYFNDNYKKDDKRYYMGYINEKGKEYKGYLAFTETLAVSAFAKFEVETVDRNGLVHIRSCQNNKYWVRTKENNNRGDLAWIAATAEKAEHNQYKEYCTLFKFIILDAAMNMYQIVHIQSGRYLSLACQASNFPRFVVAKDKSCLFGDDIFKINDWDSLVILPKYLAFKGDNGQYLCLRQIERHPYLQFSTDDIGDSTATFENLTTEDGTIRIKSTSNNKFWRRSPNWIWADSNENSNNNKDTFFRPIKVDYQTIGLLNLGNNYFCKRLTTEGKTSCLNAAVPSVTKEAKLKVEEPVLTRKIYDVKYDMENSRVYGETVLVMAKNSATNNTQQSLKFRREALLHKY >DRNTG_20773.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21839442:21843164:1 gene:DRNTG_20773 transcript:DRNTG_20773.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLPRFIVINYFNDNYKKDDKRYYMGYINEKGKEYKGYLAFTETLAVSAFAKFEVETVDRNGLVHIRSCQNNKYWVRTKENNNRGDLAWIAATAEKAEHNQYKEYCTLFKFIILDAAMNMYQIVHIQSGRYLSLACQASNFPRFVVAKDKSCLFGDDIFKINDWDSLVILPKYLAFKGDNGQYLCLRQIERHPYLQFSTDDIGDSTATFENLTTEDGTIRIKSTSNNKFWRRSPNWIWADSNENSNNNKDTFFRPIKVDYQTIGLLNLGNNYFCKRLTTEGKTSCLNAAVPSVTKEAKLKVEEPVLTRKIYDVKYDMENSRVYGETVLVMAKNSATNNTQQSLKFRREALLHKY >DRNTG_20773.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21839357:21843164:1 gene:DRNTG_20773 transcript:DRNTG_20773.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLPRFIVINYFNDNYKKDDKRYYMGYINEKGKEYKGYLAFTETLAVSAFAKFEVETVDRNGLVHIRSCQNNKYWVRTKENNNRGDLAWIAATAEKAEHNQYKEYCTLFKFIILDAAMNMYQIVHIQSGRYLSLACQASNFPRFVVAKDKSCLFGDDIFKINDWDSLVILPKYLAFKGDNGQYLCLRQIERHPYLQFSTDDIGDSTATFENLTTEDGTIRIKSTSNNKFWRRSPNWIWADSNENSNNNKDTFFRPIKVDYQTIGLLNLGNNYFCKRLTTEGKTSCLNAAVPSVTKEAKLKVEEPVLTRKIYDVKYDMENSRVYGETVLVMAKNSATNNTQQSLKFRREALLHKY >DRNTG_20773.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21839400:21843164:1 gene:DRNTG_20773 transcript:DRNTG_20773.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLPRFIVINYFNDNYKKDDKRYYMGYINEKGKEYKGYLAFTETLAVSAFAKFEVETVDRNGLVHIRSCQNNKYWVRTKENNNRGDLAWIAATAEKAEHNQYKEYCTLFKFIILDAAMNMYQIVHIQSGRYLSLACQASNFPRFVVAKDKSCLFGDDIFKINDWDSLVILPKYLAFKGDNGQYLCLRQIERHPYLQFSTDDIGDSTATFENLTTEDGTIRIKSTSNNKFWRRSPNWIWADSNENSNNNKDTFFRPIKVDYQTIGLLNLGNNYFCKRLTTEGKTSCLNAAVPSVTKEAKLKVEEPVLTRKIYDVKYDMENSRVYGETVLVMAKNSATNNTQQSLKFRREALLHKY >DRNTG_27324.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28298464:28308388:-1 gene:DRNTG_27324 transcript:DRNTG_27324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREKIKIKKIDNATARQVTFSKRRRGLLKKAQELAILCDADVGLIVFSASGKLFEYASLSMCEILRKHSMQLDNTLKQGNQTVDSLTGNSRYAGLKKEYDDKNRQLRQMRGEDLQELTLEELMHLERTIDIGLTCVLERKGLQIMEQLSSLQQKEMQLLEENKRLKEKVEEMRMVEKQPLINQDQVNGFHEDGQCSSVLVPQDCDHTSDTLLKLGLP >DRNTG_27324.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28298464:28302461:-1 gene:DRNTG_27324 transcript:DRNTG_27324.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCEILRKHSMQLDNTLKQGNQTVDSLTGNSRYAGLKKEYDDKNRQLRQMRGEDLQELTLEELMHLERTIDIGLTCVLERKGLQIMEQLSSLQQKEMQLLEENKRLKEKVEEMRMVEKQPLINQDQVNGFHEDGQCSSVLVPQDCDHTSDTLLKLGLP >DRNTG_16828.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:21550605:21551629:1 gene:DRNTG_16828 transcript:DRNTG_16828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHKYTTIATDKIKSSKYTSSPQGSPASGDLGVYSSMQKNTSNKSMKTTTNGIRKLPQTIEVGRQAKLGGNAFTDAVMGAASLVVYKLPKKRSMKI >DRNTG_21987.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5427357:5428670:-1 gene:DRNTG_21987 transcript:DRNTG_21987.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCCYKYHRYPKAHTYSFIIFLLPFSFAGSQTILTVGKCLFCRCFLSDDTQPVAIIIKNILQCALNFRQCFFGGGLHVASDVDSSSLLSRINFTQVLAVKATFEKNINDLYLLYLKSPRHGESSICRFWDLLNYNNFYSTIFNKGTQYFSL >DRNTG_23102.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9711421:9728117:1 gene:DRNTG_23102 transcript:DRNTG_23102.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASNVGIPAQTQVPHFSQSMHHLPPRSAQQPGQAPASSQAMPMLYASPNRPITSGPLQPQQNSQLSNNNLSNAATPGMPLSASYTFASSYSQPPNNINTPSHFPTTPQMPSPGVPLAGQTWSASVPQSTPLVMQNAQVIQQSSGSMGTNAAPSVQSSPTEQSSDWQEHTAADGKRYYYNKKTKQSSWEKPPELMTPIERADASTVWKEFTTAEGRKYYYNKVTKTSKWTIPEELKLAREQAENPGTMSGHSEPAIAAISSATATVTSIVPPTSLPATSPAEVSPAPMSVNIANPSPVVASGSPSIGALASAEISVGASVGTTDATGISKLSMPLTSMAGNSGVTLDVTRTMTRVNDDTSSKQNTVSTADGASAQSNEDAKTSIPVAGITNVPSLEEKIADDEPVYANKLEAKNAFKALLESANVESDWSWEQTMRVILNDKRYTALKTPGERKQAFNEYLGQRRKQELEEKRIKQKKAREEFTKMLEECEELTSSTRWSKAVSMFEDDERFSAVERTRDREDLFETYLLELQKKERAKAAEEHKKNIQEYKSFLESCDYIKANSQWRKFQDRLEADERCSRLEILDRLEIFQEYIRGLEKEEEEQKKIQKDQLRRAERRNRDAFRTMMEGHVASGILTAKIYWRDYCAKIKESPAYLAVSMNTSGSTPKDLFDDVAEELDKQFQEDKAHIKEAVKIGKLTLTSAWGFEDFKAAIFSDDNLKGISDINLKLVFEELLERVKEKEDKEAKKRQRLADKFSDFLYSIKEITATSIWEECKSLFEDSEEYKSIGEEGFAKDIFEDYVMKLQEKLKEKDRRRDEEKAKKEKEREEKEKRKDKEKEKEKEKEKERKDKDKEREKEKGKERSRKEETESENVDITDNHGSKDKKREKEKERKHRKRSRADDVSSENDEKEESKRSRKHNSDHKKSKKHAYSNDSDNKSRHKKHKKDRDGSHRNGAYEELEDGEVGEDGEIH >DRNTG_11461.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18507456:18509688:1 gene:DRNTG_11461 transcript:DRNTG_11461.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDDDGGEEYLFKVVIIGDSAVGKSNLLSRYARNEFNPHSKATIGVEFQTQSMEIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALVVYDISRRTTFDSVARWLQELETHSDSTIARILVGNKCDLENIREVPVEEGKELAEEQGLFFMETSALDSTNVKTAFEIVIKEIYANVSRKVLNSDSHKAELSQNRVSLTTNGEAKQTSTRFSCC >DRNTG_18507.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1637715:1638468:-1 gene:DRNTG_18507 transcript:DRNTG_18507.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSTNEKDLLFTVKRSSVFQLRTDLEVFLATNTNKNECDFKVKGEFHKRSSVIYKGNTSVVVAQMNKEHKVVKVPLGKHAFGVSIVENMDIAFIAGLVVVLNEFYEYEMAAVAGGASGAGTSAALAASC >DRNTG_18507.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1637715:1638800:-1 gene:DRNTG_18507 transcript:DRNTG_18507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEQHSRPIVAPQYCLARPTDLAFARKVDGVKHGKLSITDVNGNILFWFDASAWRSKRKLVDAATGIPILSITQKFWSAHDRWNVFMGDSTNEKDLLFTVKRSSVFQLRTDLEVFLATNTNKNECDFKVKGEFHKRSSVIYKGNTSVVVAQMNKEHKVVKVPLGKHAFGVSIVENMDIAFIAGLVVVLNEFYEYEMAAVAGGASGAGTSAALAASC >DRNTG_33750.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32380037:32383660:-1 gene:DRNTG_33750 transcript:DRNTG_33750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOL2 [Source:Projected from Arabidopsis thaliana (AT4G21610) UniProtKB/Swiss-Prot;Acc:O65426] MDVETPSSPETAPPGWEHVSPVPPPQPPPEMGQMVCGKCRELISYPQGVIRVKCPCCQIVNFVLEAHQVGNVKCRGCDVLLMYPYGAPSVRCSCCRSVTEIDTHNMRPSVSVQQGQPPPPQHSSN >DRNTG_33750.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32380037:32382408:-1 gene:DRNTG_33750 transcript:DRNTG_33750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOL2 [Source:Projected from Arabidopsis thaliana (AT4G21610) UniProtKB/Swiss-Prot;Acc:O65426] MGQMVCGKCRELISYPQGVIRVKCPCCQIVNFVLEAHQVGNVKCRGCDVLLMYPYGAPSVRCSCCRSVTEIDTHNMRPSVSVQQGQPPPPQHSSN >DRNTG_29858.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23078752:23097140:1 gene:DRNTG_29858 transcript:DRNTG_29858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENKINRQVTFAKRRNGLLKKAHELSVLCDAEVALIIFSNRGKLYEFSNPSSMLKTLEQYRKCNYGASNVAIQPNNVQSSYQEYLNMKARVEALQRSQRNLLGEELDALNLNEIDQLEKQVDSSLKHIRSTMTQLMLDQLCELKRKEKMLQDTNKSIIKKLEEFTPGIPLHPPLENGFYTVHDTTNAPNNQHEVHSHEFFQLLSSDFSLQIGFNPASTDQLNAPNATQNVNGYLNGWLG >DRNTG_28008.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24483902:24484355:-1 gene:DRNTG_28008 transcript:DRNTG_28008.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCGDIHTEIKFPFWTEDRLDYCGHPGYQLTCDPENKTLSMNIGDKSYHVRERIDYENQSLALIDADLSAAVTTTSFCRPEKITNTTISSLESSFLIYGDNDTNVTLYLNCS >DRNTG_28008.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24482912:24484300:-1 gene:DRNTG_28008 transcript:DRNTG_28008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCGDIHTEIKFPFWTEDRLDYCGHPGYQLTCDPENKTLSMNIGDKSYHVRERIDYENQSLALIDADLSAAVTTTSFCRPEKITNTTISSLESSFLIYGDNDTNVTLYLNCSISTILNLNKFFPIPCTSYFPDFFGQKAFFTLAKEQIEIPENEQCNATVLIPVYDQFNLGDFITGAKNFSDVMKAGFGVKWTIGQDWCDKCTKSGGLCGSNDINPACFCPVGMTIGTICSHGKNRTVMNKHIIIGVVSGVGIILALCCLYLYCWFRRKRQQHSSSTLY >DRNTG_28008.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24483466:24484300:-1 gene:DRNTG_28008 transcript:DRNTG_28008.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCGDIHTEIKFPFWTEDRLDYCGHPGYQLTCDPENKTLSMNIGDKSYHVRERIDYENQSLALIDADLSAAVTTTSFCRPEKITNTTISSLESSFLIYGDNDTNVTLYLNCSISTILNLNKFFPIPCTSYFPDFFGQKAFFTLAKEQIEIPENEQCNATVLIPVYDQFNLGDFITGAKNFSDVMKAGFGVKWTIGQDWCDKCTKSGGLCGSNDINPACFCPVGMTIGTICSHGINSLLLFFADLFSSVPCPELSC >DRNTG_15272.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4492427:4497059:1 gene:DRNTG_15272 transcript:DRNTG_15272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFSPRSITPIIIPSSDPNPSAPDPSPSIDFGNPDSLSEIRSLTDVGTMTHLLHECVAYQRSLDLRLESLLSTRTDLDRHLSSLSRSSHLLDLARSEASHLLLSLQSASSLADSVSRKVRSLDLAQSRALSALSRADAILRRSHLLDLCRRSLSSDDLESAADSIRSFLEIDAQFPDPSSDHRDQLLHLKRDLESTIRKRLSAAIDQRDHPSILRYVRIFPPLGLQEEGLQTYVSYLKKVIALRSRLEFEHLAELAEQAPPQSNQLNFVGCITNLFKDIVLAVEENDEILRSLCGEDGIVYAILELQDECDSRGTQILKKYMDHRKLARLASEINSYSKNLLSVGVLEGPDPREVEMYLEEILSLTQLGEDYTEFMISKIRGLASVDPKLGPRATKAFRSGSFSRMVQDLTGFYVILEEFFMVENVRKAIKIDEHVPDSLTTSMVDDVFYVLQSCCRRAISTTSINSVFAVLSGAMNLLSNEYQEALQQKMRELNLGAKLFLGGVGVQKTGTDLSTALNNMDVSTEYVLKLKHEIEEQCAEAFPAPADREKLKSCLSELGEISSSFKQILNAGLEQLVVTVTPRIRPVLDSVGTISYELTDAEYEENEMNDPWVQKLLHAVETNIVWLQSAMTSNNYDSLVHLIIDFIVKRLEVIMMQKKFSQLGGLQLDREVRALVNHFSEMTQRPVRDKFARLSQMTTILNFERVSEILDFWGENAGHMTWLLTPAEVRRVLGLRTDFKAEAIAALRL >DRNTG_07702.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23101892:23103424:1 gene:DRNTG_07702 transcript:DRNTG_07702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFMFQSNRMDFAVSVGSSVRDELCTRLIQVMSQLINEAGDVVVEKESFLMFSMYVKQMKTIIEALHGKRVEARTESRPMRKALEELELDVNKALDVIKSHKSRGRFSLLINSGSLLSKMKQVADEIARDVTLLSLANIDATLELKSKTNEIINGFQSMEFKSAASTEAIIMEIEKCNAARNGKSGEYAENLLKQIADAVGVTPNVSTVRSEIQLLQQEKEELELRKQRAEALQLSQLIHLLQSLDTMPQSPPETSAGLQNNLISAFVCPLSGEPMEDPVAICCGHSFERKAISDHFERGEISCPVCEEELSSLELTANISLRSSILEWKNRNMNLRLQKAISAFDYIESDVLNQALEDLQELIDIPECTAEVSRKGLVSKLVNLMQCSSMNTKATLKCLL >DRNTG_05450.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7186055:7187076:1 gene:DRNTG_05450 transcript:DRNTG_05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVDSIRGAEKMSVPAPLGLETMRLMGMVRRVRTGVFTLVLPAPEITEDEGDDARASQPTPEPQPASMDIEAPPAAEEPPPVRMFSPSRANDRFESLENAIGVLRDPFEPRRLPRAPEDPLYASTSVVAA >DRNTG_04775.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15607845:15610096:1 gene:DRNTG_04775 transcript:DRNTG_04775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLVSRQGRQLQRYNSNGYRLVVGCIPYRLKKEEGKISDDEVLEILVITSQKGHGMMFPKGGWEIDESMKQAAFREAMEEAGVIGTIECDLGKWLYRSKRNNNFHEGFMFGLNVTKELLQWPEMDTRKRKWVTVEEVRAGCQHQWMTEALERLVASLPVQVDIKVLKSFRKIDHVSM >DRNTG_21161.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2205346:2210401:-1 gene:DRNTG_21161 transcript:DRNTG_21161.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNHVIGSISCKNSEPGKSESRIAPSDNIVLVRLMWIDTSGQHRCRVIPAKRFYEVVKNNGVGLAVVSMGMTSFLDAPADGTNLTAVGEIRLVPDLSTKYTIPWTKHEEIVLGDMYIQPGEPWEYCPRDALRRVAKIMKDEFNLVVNAGFENEFYLLKNVVRDGKEEWAPFDLTPYCSSSAFDSVSSMLQEVNSCLHSLEISVEQLHAEAGKGQFEIALGHKACTLSADHLAFTREVIRSIARKHGLLATFVPKYYLDDIGSGSHVHLSLWKDGKNVFMGSESSKTEHGMSVTGEMFMAGVFHHLPSILAFIAPLPNSYDRIQPNTWSGAYYCWGKENREAPIRTSCPPGVASGVVSNFEMKSFDGCANPHLGLASIVAAGIDGLRRNLTLPRPIESNPSIYESELKRLPKELQESIDALDQDQILKDLIGDKIVSTVIAVRQAEVNYYKKNKDAYKQLIHRY >DRNTG_21161.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2205346:2208917:-1 gene:DRNTG_21161 transcript:DRNTG_21161.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEVNSCLHSLEISVEQLHAEAGKGQFEIALGHKACTLSADHLAFTREVIRSIARKHGLLATFVPKYYLDDIGSGSHVHLSLWKDGKNVFMGSESSKTEHGMSVTGEMFMAGVFHHLPSILAFIAPLPNSYDRIQPNTWSGAYYCWGKENREAPIRTSCPPGVASGVVSNFEMKSFDGCANPHLGLASIVAAGIDGLRRNLTLPRPIESNPSIYESELKRLPKELQESIDALDQDQILKDLIGDKIVSTVIAVRQAEVNYYKKNKDAYKQLIHRY >DRNTG_21161.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2212573:2215765:-1 gene:DRNTG_21161 transcript:DRNTG_21161.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAKYQELRKAVETIPLVDAHAHNLVSIDSTFPFLRCFSEAEDEALDLTPHCLSFKRSLRDLTDLYKCGPSLSALESYRQSCGQPSIARKCFEAANISVVLIDDGIKFDKMHNWEWHNDFVPAVGRILRIEHEAETILNE >DRNTG_21161.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2205346:2215765:-1 gene:DRNTG_21161 transcript:DRNTG_21161.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISSVKELLELAPIKKVMFSTDGYAFPETFYLGAKRAREVVFSVLSSACDDGDLTIGEAVEAVEDIFRQNSLRFYNMNHVIGSISCKNSEPGKSESRIAPSDNIVLVRLMWIDTSGQHRCRVIPAKRFYEVVKNNGVGLAVVSMGMTSFLDAPADGTNLTAVGEIRLVPDLSTKYTIPWTKHEEIVLGDMYIQPGEPWEYCPRDALRRVAKIMKDEFNLVVNAGFENEFYLLKNVVRDGKEEWAPFDLTPYCSSSAFDSVSSMLQEVNSCLHSLEISVEQLHAEAGKGQFEIALGHKACTLSADHLAFTREVIRSIARKHGLLATFVPKYYLDDIGSGSHVHLSLWKDGKNVFMGSESSKTEHGMSVTGEMFMAGVFHHLPSILAFIAPLPNSYDRIQPNTWSGAYYCWGKENREAPIRTSCPPGVASGVVSNFEMKSFDGCANPHLGLASIVAAGIDGLRRNLTLPRPIESNPSIYESELKRLPKELQESIDALDQDQILKDLIGDKIVSTVIAVRQAEVNYYKKNKDAYKQLIHRY >DRNTG_21161.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2205346:2215765:-1 gene:DRNTG_21161 transcript:DRNTG_21161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAKYQELRKAVETIPLVDAHAHNLVSIDSTFPFLRCFSEAEDEALDLTPHCLSFKRSLRDLTDLYKCGPSLSALESYRQSCGQPSIARKCFEAANISVVLIDDGIKFDKMHNWEWHNDFVPAVGRILRIEHEAETILNEETDDLSTWTLKSFIEIFLRKIKSVADKIVSLKSIAAYRSGLQIDTEVSWKAAEEGLLADLNSGRPVRIRNKSFIDYLFTRSLEAALMFGLPMQIHTGFGDKDLDLRLCNPLHLRGVLEDKRFAKCRIVLLHASYPFSKEASYLASVYPQVYLDFGLAVPKLSTQGMISSVKELLELAPIKKVMFSTDGYAFPETFYLGAKRAREVVFSVLSSACDDGDLTIGEAVEAVEDIFRQNSLRFYNMNHVIGSISCKNSEPGKSESRIAPSDNIVLVRLMWIDTSGQHRCRVIPAKRFYEVVKNNGVGLAVVSMGMTSFLDAPADGTNLTAVGEIRLVPDLSTKYTIPWTKHEEIVLGDMYIQPGEPWEYCPRDALRRVAKIMKDEFNLVVNAGFENEFYLLKNVVRDGKEEWAPFDLTPYCSSSAFDSVSSMLQEVNSCLHSLEISVEQLHAEAGKGQFEIALGHKACTLSADHLAFTREVIRSIARKHGLLATFVPKYYLDDIGSGSHVHLSLWKDGKNVFMGSESSKTEHGMSVTGEMFMAGVFHHLPSILAFIAPLPNSYDRIQPNTWSGAYYCWGKENREAPIRTSCPPGVASGVVSNFEMKSFDGCANPHLGLASIVAAGIDGLRRNLTLPRPIESNPSIYESELKRLPKELQESIDALDQDQILKDLIGDKIVSTVIAVRQAEVNYYKKNKDAYKQLIHRY >DRNTG_21161.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2205346:2209049:-1 gene:DRNTG_21161 transcript:DRNTG_21161.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEVNSCLHSLEISVEQLHAEAGKGQFEIALGHKACTLSADHLAFTREVIRSIARKHGLLATFVPKYYLDDIGSGSHVHLSLWKDGKNVFMGSESSKTEHGMSVTGEMFMAGVFHHLPSILAFIAPLPNSYDRIQPNTWSGAYYCWGKENREAPIRTSCPPGVASGVVSNFEMKSFDGCANPHLGLASIVAAGIDGLRRNLTLPRPIESNPSIYESELKRLPKELQESIDALDQDQILKDLIGDKIVSTVIAVRQAEVNYYKKNKDAYKQLIHRY >DRNTG_21161.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2205346:2215765:-1 gene:DRNTG_21161 transcript:DRNTG_21161.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISSVKELLELAPIKKVMFSTDGYAFPETFYLGAKRAREVVFSVLSSACDDGDLTIGEAVEAVEDIFRQNSLRFYNMNHVIGSISCKNSEPGKSESRIAPSDNIVLVRLMWIDTSGQHRCRVIPAKRFYEVVKNNGVGLAVVSMGMTSFLDAPADGTNLTAVGEIRLVPDLSTKYTIPWTKHEEIVLGDMYIQPGEPWEYCPRDALRRVAKIMKDEFNLVVNAGFENEFYLLKNVVRDGKEEWAPFDLTPYCSSSAFDSVSSMLQEVNSCLHSLEISVEQLHAEAGKGQFEIALGHKACTLSADHLAFTREVIRSIARKHGLLATFVPKYYLDDIGSGSHVHLSLWKDGKNVFMGSESSKTEHGMSVTGEMFMAGVFHHLPSILAFIAPLPNSYDRIQPNTWSGAYYCWGKENREAPIRTSCPPGVASGVVSNFEMKSFDGCANPHLGLASIVAAGIDGLRRNLTLPRPIESNPSIYESELKRLPKELQESIDALDQDQILKDLIGDKIVSTVIAVRQAEVNYYKKNKDAYKQLIHRY >DRNTG_09561.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22619065:22622893:1 gene:DRNTG_09561 transcript:DRNTG_09561.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 10 [Source:Projected from Arabidopsis thaliana (AT3G15050) UniProtKB/TrEMBL;Acc:Q9LKA0] MGSGDWFKTIINRKRSKHGKPKQVKGSASDKTNGLKLKKHSHKGFNSLYRAVSSVNPEDGITIEDIAATRIQNAFRRFKARKTLQSLKGAKRLQILSQTHPVKKQGSTALNYIQSWSKIQSEIRARRACMVAEGRIKQKKLDNQLKLEAKLHDLQVEWCGGSDTMEEILARIQQREEAAVKRERAMAYAFSHQWRANQGQGPLVYEVGKGSWGWSWMERWIAARPWESRLPYQSVSPNKSQKKAGGNKVAKNTNSATRVSNSVKPSASNGKGAANKKISESSDEKPANQEPNPKPVILRPKAKNGTMMQDQQLQPQASDNAG >DRNTG_23003.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1711428:1711931:-1 gene:DRNTG_23003 transcript:DRNTG_23003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVELKVGMHCDKCIKSIKRAIKKIEDIETYRLDTEVNKVTVTGNVTTEEVVKVLHKIGKTATSWSED >DRNTG_26485.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:617162:618532:1 gene:DRNTG_26485 transcript:DRNTG_26485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLVLENICDRVKSLIFPKGETSSWKKLIQITREGDPVQRMLFVVRGHLESSQVLRDGVKSRCMLGPGNFSGDELLSWCLRRPFIERLPLSSSTLVTLETTEAFGLDASDVKYVTQHFRYTFVNEKVRRSARYYSPGWRTWAAVAIQLAWRRYRHRKTLTSLSFIRPRRPLSRCNSLGEEKLRLYTALLTSPKPDQDDFLL >DRNTG_26485.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:617162:618532:1 gene:DRNTG_26485 transcript:DRNTG_26485.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLVLENICDRVKSLIFPKGETSSWKKLIQITREGDPVQRMLFVVRGHLESSQVLRDGVKSRCMLGPGNFSGDELLSWCLRRPFIERLPLSSSTLVTLETTEAFGLDASDVKYVTQHFRYTFVNEKVRRSARYYSPGWRTWAAVAIQLAWRRYRHRKTLTSLSFIRPRRPLSRCNSLGEEKLRLYTALLTSPKPSSGCCCCFFNSPFLSLSLSLSLSLSLLGYVVDVSSENNLSMKIIGLSTFKKKKKIIGEQLIDHLRNFSRVLKLSVCAGMMHEVGAGAECVVGPFFFVFLMISKIQDQN >DRNTG_02384.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7671747:7672302:-1 gene:DRNTG_02384 transcript:DRNTG_02384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGRENIHKNVTPRLQELIMLKNQQEHKNSIMSAKKDSTKDVSHKRKMTNTVSDK >DRNTG_00575.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30000130:30001624:-1 gene:DRNTG_00575 transcript:DRNTG_00575.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARSDCERPATGVHRSKHRRGKLGFIKRKGLGFSSEEVQSSRATTPRDASLL >DRNTG_20976.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11431551:11431903:1 gene:DRNTG_20976 transcript:DRNTG_20976.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAIAVILDPRYKIDIVEFAFMKLYGDDEGNSKMNNIHEKLQNLFGEYCNIDLQNQGSSSTIQTTQDQVIEGDPNDPLMAYEAFQSKR >DRNTG_08474.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7415078:7416765:1 gene:DRNTG_08474 transcript:DRNTG_08474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTLISTTLHIRSQYIKPRHQMASLLLHIHGHGKALNTLFFLLFIYHSIAIETLTYMSIKAKCGTTKYVDNSPFSTNLNSLLSTLKNKSSSSISINETAGEAPATVFGLYFCTGDLPQANCQACIQTAINNITGNCPSSKQAIIWYDYCELRYSDTNFFGVPDNNGFAMINKIENTTSPRPVEVVSQLVKDAPLAHPLMFKSQALIPEGLYALAQCSSDLTRQGCSDCLTTILASIKSCCTTAKGWRYLAPSCWIRYEATPFLQNLNTTSIEITRSFCSSNDFPASNGLNAATQLENLLSSLTEQAPAQKGFYNTSEGEDMNKIYGLALCRGDLQNKMDDCKSCLKNASKSIVEDCPNKAQAIEWYEKCFVRYSNQNFFGMVDTNGAQALCGTGKISPTADNEVEALTMSLISDAINSPMFFRAVQNLSNHVLVQCTRDLSQESCRECLQAGMSKVSNDCKQANGWRYLSGSCTLRYEEYPFFNSTSISPPPPTSASLSPVTPEKDGAGNKASTISLAAVVTSVLAVIIL >DRNTG_09093.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6225270:6234145:-1 gene:DRNTG_09093 transcript:DRNTG_09093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAPQNPYPGSYYGPPIPPQQANHRNRRRTIIRAFIAFWIAIGITVLILWLVYRPNKIKFSVENAYLTNFTLTTSPSYLDYDLYTTVSIRNPNKKIGVYYDRLEASAFYSGYRFGWIPLPTFYQGHKNTTMLFPSFDGRSIVTDSSVSDTFKNENATRDKSTVPVLLSPACSSSKGNKHKRAYAGALFRMCYNHAENRLHGEGIDAGRMEMDGGVEAYKAGVDDDCTQWSGLGWVKSRRMEGGGGREG >DRNTG_14006.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27698014:27702872:1 gene:DRNTG_14006 transcript:DRNTG_14006.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ uptake permease 9 [Source:Projected from Arabidopsis thaliana (AT4G19960) TAIR;Acc:AT4G19960] MASAPIDEESCKGSMWVLDQKLDQPMDEEAGRLKNMYRERKVSYMLILRLAFQSLGVVFGDLGTSPLYVFYNTFPHGVDDKEDVIGALSMIIYTLTMIPLLKYVFIVLRANDNGQGGTFALYSLLCRHAKVNTIPNQHRTDEELTTYSREPYDENSLAAKIKRWIEAHSYKKNALLILVLIGTCMAIGDGILTPAISVLSASGGIRVDHPQMSNDVVIVVAVVILVGLFSLQHYGTDKVGWLFAPVVLLWFILIGSIGALNIWRYDSSVLKAYSPVFIYRYLKKGHWASLGGIMLSITGTEALFADLCHFPVLAVQIAFTSIVFPCLLLAYTGQAAYILDNQGHVFDAFYRSIPHAVYWPVFIIATLAAIIASQATISATFSIIKQALALGCFPRVNVVHTSKKFLGQIYIPDINWILMILCIAVTAGFKNQSQIGNAYGTAVVIVMLVTTLLMIPIMLLVWRSHWLLVVIFTALSLFVELTYFSAVLFKVDQGGWVPLVIAGTFLIIMYVWHYGTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPVDERFLVKRIGPKNFHMFRCVARYGYKDLHKKDEDFEKMLFDSLLLFVRLETMMDGYTDSEEYSLGGQQTERSIDLLLTDNGDSLSSVPEDYTNQSTCDSIVPVKVLGRGQSLVRSSGQTSQSTGDELEFLNRCKEAGVVHILGNTIVRARRDSTLIKRIAVDYIYAFLRRICRENSVIFHLPHESLLNVGQIFYV >DRNTG_22515.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3405124:3408169:1 gene:DRNTG_22515 transcript:DRNTG_22515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWVRSCLQSTLKLVNSVIGMAGMAMILYALWMIRVWCKEMNGQWGRALGSTPPWFIYTFFGLGISLCLITCSGHIAAETANGHCLSCYMVFVFLLIILEGAIATDIFLNRNWEQDFPEDRTGRFNEFKHFVRSNFEICKWVGLIVVASQAVSIFLAMILRALGPDRGSFYDSDDDYTPARLPLLRNHVQHNPSTVSPHPPLNSDSWNVGIHEKINR >DRNTG_03904.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14654408:14657995:1 gene:DRNTG_03904 transcript:DRNTG_03904.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFSKLYRVPDFGAEQYRTSAVNELDEEKTRTTVNIGLPRGSSKKFNVGHGCVVVKNDLKYVYVGNETQVHDDPNVALFFLEMDLNPGSKFDLQFTKMTFGSLFISHSQANTIPFSLNKLLVIVTHFQVKPRSVMTGAMKKTRACVV >DRNTG_17252.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5666805:5674460:1 gene:DRNTG_17252 transcript:DRNTG_17252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPPPPHQTAIPLPPASGDQPLHLVHRTRIPIPPAAVTEAGGDLPDDTDQSSLVPIHIVDDASQLPHEFLNPSADKKLVIGFDCEGVDLCRNGALCVMQLAFPDAVYLVDAIKGGEALMQACKPALESSYITKVIHDCKRDSEALYFQFGIRLHNVMDTQIAYSLIEEQEGKKRAPDDYISFVGLLADPRYCGVSYLEKQTVRVLLREDPKFWTYRPMTEMMIRAATDDVRFLLYIYHKLMEKLNEKSLWQLSVRGSLYCRCFCINDNDYADWPPLPSLPESLVAEGYVPVEEILSVLHVPPGKMGRIIGRGGASITSVKECCNCEILIGGSKGPPDKVFIIGPVKEVRKAEAILRGKMLYI >DRNTG_05523.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2448578:2449933:-1 gene:DRNTG_05523 transcript:DRNTG_05523.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPQRQLVNQNRMRRKWGKSPDPKLRARPESERQLRKLSVVFSDPDATDSSSDEDEPEYETRKKAKRVLREIPILPSLAIGPISFKPRKTLTASRAKNGKLLPSSSIGSSKHKGVRYRPWGKWAAEIRDPLRGVRVWLGTYDTEHEAALAYQAASRRIDAEKRAAAAAATVSGSSYQQPNPNLFSVPSPASVLDVFADADSRMLTEFFVREDPLMTLPGFGLQPESPFWEHISSVSDLTGLDFADLDEWMDFSFDI >DRNTG_05523.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2442426:2449933:-1 gene:DRNTG_05523 transcript:DRNTG_05523.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGFSESFSFALFSSSSRPRSQRGSVSTSMPFHSSRAGYRLKSFGFLHFIDIILKP >DRNTG_19170.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6114053:6118397:-1 gene:DRNTG_19170 transcript:DRNTG_19170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSALRDFAARGELEKITRSGEEFRFGGDYSFPCSAETAYRSKQGNLYTLETLLYYLRHHHLKHTEYLQSARLSRVPAVTLPDRKPLLDYLLGRVSSSDAVELLPPHPDDLPLAPSRPADIPFAPPSHDPSFVSTIRSLERPLKDREALLECRNRDFFSVLTASTRRQEERQRLDSQQRKDGLVAKSRILGSDTGYADDAGGYDATPRPKMLHLKGSKVGEGVPIILVPSASQTLITIYNVKEFLEDGVFVPSDVKVKQSAGEKKPEFVTVRKKFSRDQVVAAYEVRDKPSVLKPEDWDRVVAVFVLGKEWQFKDWPFKDHVEIFNKIIGFYVRFEDDSIESAKMVKQWNVKIISISKNKRHQDRAAALEVWNRLEEFMRSRSHT >DRNTG_19170.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6116530:6118397:-1 gene:DRNTG_19170 transcript:DRNTG_19170.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSALRDFAARGELEKITRSGEEFRFGGDYSFPCSAETAYRSKQGNLYTLETLLYYLRHHHLKHTEYLQSARLSRVPAVTLPDRKPLLDYLLGRVSSSDAVELLPPHPDDLPLAPSRPADIPFAPPSHDPSFVSTIRSLERPLKDREALLECRNRDFFSVLTASTRRQEERQRLDSQQRKDGLVAKSRILGSDTGYADDAGGYDATPRPKMLHLKGSKVGEGVPIILVPSASQTLITIYNVKEFLEDGVFVPSDVKVKQSAGEKKPEFVTVRKKFSRDQVVAAYEVRDKPSVLKPEDWDRVVAVFVLGKEWQFKDWPFKDHVEIFNKIIGFYVRFEDDSIESAKMVKQWNVKIISISKNKRHQDRAAALEVWNRLEEFMRSRSHT >DRNTG_19170.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6114053:6118397:-1 gene:DRNTG_19170 transcript:DRNTG_19170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSALRDFAARGELEKITRSGEEFRFGGDYSFPCSAETAYRSKQGNLYTLETLLYYLRHHHLKHTEYLQSARLSRVPAVTLPDRKPLLDYLLGRVSSSDAVELLPPHPDDLPLAPSRPADIPFAPPSHDPSFVSTIRSLERPLKDREALLECRNRDFFSVLTASTRRQEERQRLDSQQRKDGLVAKSRILGSDTGYADDAGGYDATPRPKMLHLKGSKVGEGVPIILVPSASQTLITIYNVKEFLEDGVFVPSDVKVKQSAGEKKPEFVTVRKKFSRDQVVAAYEVRDKPSVLKPEDWDRVVAVFVLGKEWQFKDWPFKDHVEIFNKIIGFYVRFEDDSIESAKMVKQWNVKIISISKNKRHQDRAAALEVWNRLEEFMRSRSHT >DRNTG_19170.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6114053:6118397:-1 gene:DRNTG_19170 transcript:DRNTG_19170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSALRDFAARGELEKITRSGEEFRFGGDYSFPCSAETAYRSKQGNLYTLETLLYYLRHHHLKHTEYLQSARLSRVPAVTLPDRKPLLDYLLGRVSSSDAVELLPPHPDDLPLAPSRPADIPFAPPSHDPSFVSTIRSLERPLKDREALLECRNRDFFSVLTASTRRQEERQRLDSQQRKDGLVAKSRILGSDTGYADDAGGYDATPRPKMLHLKGSKVGEGVPIILVPSASQTLITIYNVKEFLEDGVFVPSDVKVKQSAGEKKPEFVTVRKKFSRDQVVAAYEVRDKPSVLKPEDWDRVVAVFVLGKEWQFKDWPFKDHVEIFNKIIGFYVRFEDDSIESAKMVKQWNVKIISISKNKRHQDRAAALEVWNRLEEFMRSRSHT >DRNTG_19170.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6114022:6118397:-1 gene:DRNTG_19170 transcript:DRNTG_19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSALRDFAARGELEKITRSGEEFRFGGDYSFPCSAETAYRSKQGNLYTLETLLYYLRHHHLKHTEYLQSARLSRVPAVTLPDRKPLLDYLLGRVSSSDAVELLPPHPDDLPLAPSRPADIPFAPPSHDPSFVSTIRSLERPLKDREALLECRNRDFFSVLTASTRRQEERQRLDSQQRKDGLVAKSRILGSDTGYADDAGGYDATPRPKMLHLKGSKVGEGVPIILVPSASQTLITIYNVKEFLEDGVFVPSDVKVKQSAGEKKPEFVTVRKKFSRDQVVAAYEVRDKPSVLKPEDWDRVVAVFVLGKEWQFKDWPFKDHVEIFNKIIGFYVRFEDDSIESAKMVKQWNVKIISISKNKRHQDRAAALEVWNRLEEFMRSRSHT >DRNTG_12226.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25060719:25061964:-1 gene:DRNTG_12226 transcript:DRNTG_12226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAFKNTSSPKVMTIADLGCSSGPNTLVAVSDAIDAVESVCKELNQKSLPEIHIMLNDLPSNDFNGLIGSFEDFKRSHPCFISVAPGSFYGRLFPTQTIHFCPLFHMPPLAFSGSSGAPKTGLNKGNVSISKTSPPFVLEAYTKQFERDFSQFLKCRAEELIHDGCMVFTLVARKDEDPSVEGIYLHWELLAQALMDMASQGIVETEKIDSFNLPFYAPTSKEVKNAIKREGSFAIKSIRMFDVGWGEARDHACEHCKVEENATTAQITVKSMRAGSESLFVSHFGAEIMDELFERYSSLMEGYFSMYPDGGLTNICAFVQKIK >DRNTG_13728.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5442028:5445068:-1 gene:DRNTG_13728 transcript:DRNTG_13728.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALARRLSPRRFPSDRLLLFFFSSSESLIPRQSPIFLLFSSPFSSASQSSSPPPLADLRGGCNAEDQPPPLLPLCPGCGVHMQSTHSSLPGFFIPPAPKSPDYHAPLSLLPGASDEPHLSLSLKSGVLSPNPKPSTTPGLDSKPLVCSRCHSLRHYGRVKDPSAENLLPDFDFDRMVAPKMASPSGPRSVVLMVVDAADFDGSFPRKVARFVSSSIEKHSIAYKEGKPANVPRVILVVTKIDLLPSSIAPDDLEHWVRKQARIGGANKLSGVHLVSSVRDWGVRNLVEHVRELAGSRGNVWAVGAQNAGKSTLINAMGKCVEGKVSHLTVAPVPGTTLGIVRVEGVLGEQAKLFDTPGILHPYQITSRLTREEQKLVLMSKELKPRTYRIKAGHSVHIGGLMKLDVEELSVETLYLTVWASPLVPLHMGKTENTSTMKENHFGRQLQPPIGENRVAELGKWSRREFRISGSKWDASSVDIATAGLGWIAVGLKGEAVLGVWTYDGIDVITRSPLLSIKARIFEEAGFTTSKIVAEADSVYNKLKHKKSEKGKHLGHKSRPVEGDLNA >DRNTG_07552.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21687830:21689083:1 gene:DRNTG_07552 transcript:DRNTG_07552.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRHKMNQPGKSLGVIGLGGLGHLAVKFGKAFGLNVTVFSTSASKKKEALELLGADKFVLSSDEQEMKSLVNTLDFIIDVASGDHPFDPYLALLKACGVLVLVGAPSEVRLRPTSLLMGAKSIAGTGTGGTKHTQEMLEFCAARKIYPEIEVIDIQYINEAHERLINRDVKYRFVIDIENSLK >DRNTG_07552.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21684076:21689083:1 gene:DRNTG_07552 transcript:DRNTG_07552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITKGGYSGHIVVSERFCYKIPEGYPPAKAAPLLCAGITVYTPMIRHKMNQPGKSLGVIGLGGLGHLAVKFGKAFGLNVTVFSTSASKKKEALELLGADKFVLSSDEQEMKSLVNTLDFIIDVASGDHPFDPYLALLKACGVLVLVGAPSEVRLRPTSLLMGAKSIAGTGTGGTKHTQEMLEFCAARKIYPEIEVIDIQYINEAHERLINRDVKYRFVIDIENSLK >DRNTG_00534.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30308335:30311934:1 gene:DRNTG_00534 transcript:DRNTG_00534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGSKPEKNEPLVLCKERTRYIKLAVDARYALSAAHLAYIQSLRNVGSALRRFAEAEILIESSLSTSEPDKSPSHSSYASPSPSRIPEHVGSPLSPRLSNLSYVRATRSNAVTVTINPTFDKFAGDEHMDFPMPPPPPPPPGSSWDYFDPTDVIENVGLVNGESSPNLNFSRWTGLREFKEEVMAPLFEDEVKSSQRDVDKFASSVLNDDFGGRNALANSRSINGGERVQKLERGNSNGSSEVLTRIASSEIKGAKADLEKEICTEMEDPSEFITHRAKDFLSSIKDIEHRFLRAAEAGNEVSRMLETSKIQLGVLSCTTGKSSVSLFLSVLHPGCCRTDDDSEHESAQHVTKIMTWNRSISSRSSSSKNPLTAASKDDHSEKGSDFIEEFSMISGSHSSTLDRLYAWERKLWDEVKASETIRKAYDQKCIQLRHQCAKDMNAQAIDKTRAIVKDLHSRLRVALHAVDSISKRIEKLRDEELQPQLFELIQGFIRMWRSMLECHHSQYITISLAYHAKSSAIGPQSEPHRQALTNLRGEIDCFGSSFANWVSAHKSYVEALNSWLQKCILQPQERRRGRKVPFSPYQFLAPPIFVLCHDWLSGINFLPSDELCSSIEDLRWELHAHACYEHKTEEKPVDTIPEEVQNAVELAEKKDGKEEEKKQGKSSNLGAMQTSLTRMFDRLTKFAEASLKVYDEVKKGTESARIAYSNGRIR >DRNTG_00534.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30308335:30311934:1 gene:DRNTG_00534 transcript:DRNTG_00534.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGSKPEKNEPLVLCKERTRYIKLAVDARYALSAAHLAYIQSLRNVGSALRRFAEAEILIESSLSTSEPDKSPSHSSYASPSPSRIPEHVGSPLSPRLSNLSYVRATRSNAVTVTINPTFDKFAGDEHMDFPMPPPPPPPPGSSWDYFDPTDVIENVGLVNGESSPNLNFSRWTGLREFKEEVMAPLFEDEVKSSQRDVDKFASSVLNDDFGGRNALANSRSINGGERVQKLERGNSNGSSEVLTRIASSEIKGAKADLEKEICTEMEDPSEFITHRAKDFLSSIKDIEHRFLRAAEAGNEVSRMLETSKIQLGVLSCTTGKSSVSLFLSVLHPGCCRTDDDSEHESAQHVTKIMTWNRSISSRSSSSKNPLTAASKDDHSEKGSDFIEEFSMISGSHSSTLDRLYAWERKLWDEVKASETIRKAYDQKCIQLRHQCAKDMNAQAIDKTRAIVKDLHSRLRVALHAVDSISKRIEKLRDEELQPQLFELIQGFIRMWRSMLECHHSQYITISLAYHAKSSAIGPQSEPHRQALTNLRGEIDCFGSSFANWVSAHKSYVEALNSWLQKCILQPQERRRGRKVPFSPYQFLAPPIFVLCHDWLSGINFLPSDELCSSIEDLRWELHAHACYEHKTEEKPVDTIPEEVQNAVELAEKKDGKEEEKKQGKSSNLGAMQTSLTRMFDRLTKFAEASLKVYDEVKKGTESARIAYSNGRIR >DRNTG_00534.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30308335:30311934:1 gene:DRNTG_00534 transcript:DRNTG_00534.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGSKPEKNEPLVLCKERTRYIKLAVDARYALSAAHLAYIQSLRNVGSALRRFAEAEILIESSLSTSEPDKSPSHSSYASPSPSRIPEHVGSPLSPRLSNLSYVRATRSNAVTVTINPTFDKFAGDEHMDFPMPPPPPPPPGSSWDYFDPTDVIENVGLVNGESSPNLNFSRWTGLREFKEEVMAPLFEDEVKSSQRDVDKFASSVLNDDFGGRNALANSRSINGGERVQKLERGNSNGSSEVLTRIASSEIKGAKADLEKEICTEMEDPSEFITHRAKDFLSSIKDIEHRFLRAAEAGNEVSRMLETSKIQLGVLSCTTGKSSVSLFLSVLHPGCCRTDDDSEHESAQHVTKIMTWNRSISSRSSSSKNPLTAASKDDHSEKGSDFIEEFSMISGSHSSTLDRLYAWERKLWDEVKASETIRKAYDQKCIQLRHQCAKDMNAQAIDKTRAIVKDLHSRLRVALHAVDSISKRIEKLRDEELQPQLFELIQGFIRMWRSMLECHHSQYITISLAYHAKSSAIGPQSEPHRQALTNLRGEIDCFGSSFANWVSAHKSYVEALNSWLQKCILQPQERRRGRKVPFSPYQFLAPPIFVLCHDWLSGINFLPSDELCSSIEDLRWELHAHACYEHKTEEKPVDTIPEEVQNAVELAEKKDGKEEEKKQGKSSNLGAMQTSLTRMFDRLTKFAEASLKVYDEVKKGTESARIAYSNGRIR >DRNTG_00534.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30310188:30311934:1 gene:DRNTG_00534 transcript:DRNTG_00534.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTWNRSISSRSSSSKNPLTAASKDDHSEKGSDFIEEFSMISGSHSSTLDRLYAWERKLWDEVKASETIRKAYDQKCIQLRHQCAKDMNAQAIDKTRAIVKDLHSRLRVALHAVDSISKRIEKLRDEELQPQLFELIQGFIRMWRSMLECHHSQYITISLAYHAKSSAIGPQSEPHRQALTNLRGEIDCFGSSFANWVSAHKSYVEALNSWLQKCILQPQERRRGRKVPFSPYQFLAPPIFVLCHDWLSGINFLPSDELCSSIEDLRWELHAHACYEHKTEEKPVDTIPEEVQNAVELAEKKDGKEEEKKQGKSSNLGAMQTSLTRMFDRLTKFAEASLKVYDEVKKGTESARIAYSNGRIR >DRNTG_00534.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30308335:30311934:1 gene:DRNTG_00534 transcript:DRNTG_00534.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGSKPEKNEPLVLCKERTRYIKLAVDARYALSAAHLAYIQSLRNVGSALRRFAEAEILIESSLSTSEPDKSPSHSSYASPSPSRIPEHVGSPLSPRLSNLSYVRATRSNAVTVTINPTFDKFAGDEHMDFPMPPPPPPPPGSSWDYFDPTDVIENVGLVNGESSPNLNFSRWTGLREFKEEVMAPLFEDEVKSSQRDVDKFASSVLNDDFGGRNALANSRSINGGERVQKLERGNSNGSSEVLTRIASSEIKGAKADLEKEICTEMEDPSEFITHRAKDFLSSIKDIEHRFLRAAEAGNEVSRMLETSKIQLGVLSCTTGKSSVSLFLSVLHPGCCRTDDDSEHESAQHVTKIMTWNRSISSRSSSSKNPLTAASKDDHSEKGSDFIEEFSMISGSHSSTLDRLYAWERKLWDEVKASETIRKAYDQKCIQLRHQCAKDMNAQAIDKTRAIVKDLHSRLRVALHAVDSISKRIEKLRDEELQPQLFELIQGFIRMWRSMLECHHSQYITISLAYHAKSSAIGPQSEPHRQALTNLRGEIDCFGSSFANWVSAHKSYVEALNSWLQKCILQPQERRRGRKVPFSPYQFLAPPIFVLCHDWLSGINFLPSDELCSSIEDLRWELHAHACYEHKTEEKPVDTIPEEVQNAVELAEKKDGKEEEKKQGKSSNLGAMQTSLTRMFDRLTKFAEASLKVYDEVKKGTESARIAYSNGRIR >DRNTG_12984.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24808379:24812451:1 gene:DRNTG_12984 transcript:DRNTG_12984.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDLPPFDKISIPGPVLAAILHRCSSSSGDSNGLLFGRAVPLPPREPTDDLGPSPSPTLAAVVTSHLSSSSPASFFDPLGRIDSNSSFLCSPPVPGSHLIGWFSARRRSPLRPSMRERAVSLSLFKSVIQDSADFSARPSVFLLLSSLESGNQAVHTHDYRAFVICPSGALEPRSVSIVNVGPAFRGQYGAFTPESPFPWIPMAPRWKDDDGGERRSLNGLRQVAHEQRVLDVSAEGFGIERLGILVGDGAAEYTSEVEDLYKKMLVKLEGLARNVEESNVRVLEQESRNIELRKKLAGLD >DRNTG_11582.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:12523335:12526862:-1 gene:DRNTG_11582 transcript:DRNTG_11582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGVPLHLGFVVADYFRHQSEYLRLGALFAGPYITRLMFKMGLIRSVRGEERVSSPIPLGLVTLRLMGIVRRTGSGGYALVESSSEDDEEPAEATEAPSAAEPRITEAAPIASTDPESSTSRVHERLARLEAAVATILENQVRILERLDRIQQTLDEEVSSASVPASAPAPAPAPDADA >DRNTG_08398.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4723005:4723686:1 gene:DRNTG_08398 transcript:DRNTG_08398.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFMLASLVEQANLGLKSDKGFKSVAINVVAMAVGERFNLAAHPGEEIYINKPIQNYEEMAIVCGNDQAMGSFARTSAQSSRSLGTQLEPPLQMPIINLDDQSQGFDDFDDIGQTQPPTNDTPTSSTSKGKNGKK >DRNTG_07161.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31689858:31691381:1 gene:DRNTG_07161 transcript:DRNTG_07161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFEKKGHGVFFSMCLMWCLFMMAVYGVLATSSVFIHLLCGGMMGFLWIQSGWIGHDSGHYQVMTSPKFNRLAQILSGNCLAGISIGWWKRNHNAHHIACNSLEFDPDLQHMPLFAVSSKLFASLTSYFYERKMYFDAVSRFLVSYQHWTFYPVMCFARVNLFAQSILLLVSKKKVPYRWHEILGVIVFWIWYPCLVSFLPTWSERVMFVIASFVVTGIQHVQFCLNHFSSCVYVGPPKGNDWFEKQTMGTLDISCSPWMDWFHGGLQFQVEHHLFPRLPRCHLRAISPLVRELCKKHKLAYSSVSFWEANRLTIKTLRTAALQAREMVGPIPKNLVWEAVNTHG >DRNTG_12242.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24988660:24989812:1 gene:DRNTG_12242 transcript:DRNTG_12242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWNSSFKDQKYVMYQRNKSLTRGEIDAYWKSKKKTEEEHLGELSGSKSNTQESSYEESKGRMQRSSSLPLVEKREILSKYGAKNQMGKSSAKSAVAGGQGVTGRF >DRNTG_29929.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001520.1:2924:4574:1 gene:DRNTG_29929 transcript:DRNTG_29929.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFKEHSPSHELEHFQEPNQVLSNGYHNKISSLVPGAAAVSQTVNKKPRMEQRSSFSPFKVRKEKLGDRIAALQQLVSPFGKTDTASVLMEAIGYIKFLQDQVETLSVPYMRSSGNKKLKPMHGGALVCVEEKEEPKPDLRSRGLCLVPLSCTSYVTNDNGGLWSPPNFRGGT >DRNTG_01442.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8244260:8251361:-1 gene:DRNTG_01442 transcript:DRNTG_01442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEEFQVITRRNPSILIEGDPELEHTLRKSGKEPMQEMSNQAEIEAEGDHEEGASEDEEGEMMPPETPQGYWGWQAECVYMFKNFLQSLQSFLRHPEKAHERGYFPHARGSTLRAHPKKAQERGLASVNDLMSLACPWVIFSLACNFLQRLNNFSREKTGAWTHPYRRSCDKCTGVEKPQGRVSAPVGRAHGHGHFPHARAVTLIEVKCFPESAQGCAFTLVSLSSGDMAPRSKKHEVKHPRENPPEPVHMEFSNPEHQTRFDRLSALSFGNDESKPVQGVARPRGGGCRSLDGWLEEKVTSTPGIVKKVLRKMKRARRCHKKCPKANGDVQEWSEGDKPSSEEKLNNLSISHSYRIAMSSRDSK >DRNTG_28343.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:205166:209338:1 gene:DRNTG_28343 transcript:DRNTG_28343.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLIPRLLPPSVPSSAPRTLRSVAIIGAGAAGLAAARELRREGHGVVVFERGAEVGGTWIYNPTVESDPVGLDPERHVIHSSLYASLRTNLPREVMGFLDYPFVVRKNSEDFRRFPGHREVLRYLKDFARDFDLCGMIRLETEVVRLAIEGDGRWSVRSRKVGKDLDGGDHYEEEIYDGVVVCNGHYTEPRIAHIPGIDAWPGQQIHSHNYRVPCPFYDQVVVLIGSAASAHDISRDIAGVAKEVHVAARSAPAGTLVKQPGYDNMWLHSMVKALSPD >DRNTG_28343.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:205166:209338:1 gene:DRNTG_28343 transcript:DRNTG_28343.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLIPRLLPPSVPSSAPRTLRSVAIIGAGAAGLAAARELRREGHGVVVFERGAEVGGTWIYNPTVESDPVGLDPERHVIHSSLYASLRTNLPREVMGFLDYPFVVRKNSEDFRRFPGHREVLRYLKDFARDFDLCGMIRLETEVVRLAIEGDGRWSVRSRKVGKDLDGGDHYEEEIYDGVVVCNGHYTEPRIAHIPGIDAWPGQQIHSHNYRVPCPFYDQVVVLIGSAASAHDISRDIAGVAKEVHVAARSAPAGTLVKQPGYDNMWLHSMIESAHEDGTLVFQDGSSAHADVIMHCTGYKYHFPFLDTKGIVTIDDNCVGPLYKHIFPPKLAPGLSFIGLPWKVYICHALCYIHLIAAINILITLLLGCPVPTV >DRNTG_28343.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:205166:209338:1 gene:DRNTG_28343 transcript:DRNTG_28343.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLIPRLLPPSVPSSAPRTLRSVAIIGAGAAGLAAARELRREGHGVVVFERGAEVGGTWIYNPTVESDPVGLDPERHVIHSSLYASLRTNLPREVMGFLDYPFVVRKNSEDFRRFPGHREVLRYLKDFARDFDLCGMIRLETEVVRLAIEGDGRWSVRSRKVGKDLDGGDHYEEEIYDGVVVCNGHYTEPRIAHIPGIDAWPGQQIHSHNYRVPCPFYDQVVVLIGSAASAHDISRDIAGVAKEVHVAARSAPAGTLVKQPGYDNMWLHSMVKALSPD >DRNTG_28343.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:205166:209338:1 gene:DRNTG_28343 transcript:DRNTG_28343.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLIPRLLPPSVPSSAPRTLRSVAIIGAGAAGLAAARELRREGHGVVVFERGAEVGGTWIYNPTVESDPVGLDPERHVIHSSLYASLRTNLPREVMGFLDYPFVVRKNSEDFRRFPGHREVLRYLKDFARDFDLCGMIRLETEVVRLAIEGDGRWSVRSRKVGKDLDGGDHYEEEIYDGVVVCNGHYTEPRIAHIPGIDAWPGQQIHSHNYRVPCPFYDQVVVLIGSAASAHDISRDIAGVAKEVHVAARSAPAGTLVKQPGYDNMWLHSMIESAHEDGTLVFQDGSSAHADVIMHCTGYKYHFPFLDTKGIVTIDDNCVGPLYKHIFPPKLAPGLSFIGLPWKVVPFPLCELQSKWVAGVLSGRVTLPTQIEMMEDIKALYSEMEVNGLPKRHFHNIGNYQFEYDDWLATECGYPAVEEWRKLMYSECGKNKIARPESYRDDWNDDHLVAQAMEDFKKFL >DRNTG_30264.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5097267:5097752:1 gene:DRNTG_30264 transcript:DRNTG_30264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEGNRKAVKEEKGKGAGGHGNDVVDDDDNHGLDPTVKMLIHQLITGGQAAAAQGDESHTKKDEKKQVVTNSPFQVLAFSRTVNQIDSNLE >DRNTG_12481.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9125914:9126935:-1 gene:DRNTG_12481 transcript:DRNTG_12481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKLGELHSINWRRAQDPDEKPKQKSIIEEYIDSLEDYQQKEKALITLGDYFPNEVKELFLDLDESQDEEPMVETFRVITIYEEEAWDDGDDEDELELYYPDEDDSLPE >DRNTG_19301.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:596827:598100:-1 gene:DRNTG_19301 transcript:DRNTG_19301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYSPLLSSNSNSSDNDQHDDMDFVIEDYLNFTGDDEENGCVLPSPELHQKPLLRANTAPVHATYTFNSTKDLVNNTASRGGRMKMAFKTKSEHEILDDGYKWRKYGKKMVKDSPNPRNYYRCSYTGCDVKKRVERLREDPSYVITTYEGVHNHLAPSASTVKQIQSSYSLPAHGFST >DRNTG_17125.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20989838:20991552:1 gene:DRNTG_17125 transcript:DRNTG_17125.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSASCSPLRRSRRTGAKHEQTKTGDLNALWQSNRTLEAGCAASSFTFEDRSELHLRRHSSQTGPKPDIKLTIYNFQQ >DRNTG_20387.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19092169:19107567:-1 gene:DRNTG_20387 transcript:DRNTG_20387.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSRGRSGGCCPPMDLMRSEEMQLVQVIVPIESSHLTISYLGDLGLLQFKDLNADKSPFQRTYANQIKRCGEMARKLRLFREQMTKAGISSAAMADTWTHVDFDDLEIKLGELEAELVEVNANNEKLQRTYNELLEYKLVLQKTGEFFYSAQSSATAQQREIGAHQVGIGSLESPLLEQEMSSDPSKQVKLGFMCGLVPKEKSMAFERILFRATRGNVYLKQVQIDEPVTDPVSGEKVAKNVFVVFYSGERAKIKILKICEAFGANRYPFTEDFGKQMQMTEEVSGRITELKTTIDIGLLHRDNILKNIAHQFEQWNHLVRREKSIFHTLNMLSLDVTKKCLVGEGWCPVFATSQIQDALQRATFDSNSQVGSIFQVLQTGELPPTYFQTNKFTTAFQEIVDAYGVAKYQEANPGVFTIITFPFLFAVMFGDWGHGLCLLVATLLLIIREKKLSSQKLGDIMEMTFGGRYVILMMSVFSIYTGLIYNEFFSVPFELFGPSAYACRDASCRDATTEGLIKARRTYPFGVDPKWHGTRSELPFLNSLKMKMSILLGVAQMNLGIFLSYFNAKFFGNSINIWYQFVPQLIFLNSLFGYLSLLIIVKWCTGSKADLYHVMIYMFLSPTDELGENALFPGQKMLQLVLLALALISVPWMLFPKPFLLKKQHQERHQGQAYTMLHGNDNSLEVEDNGAHDHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGFNNVFILIIGFVVFIFATIGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFAPFSFTLLSAEDD >DRNTG_02675.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20715240:20717308:1 gene:DRNTG_02675 transcript:DRNTG_02675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLPNMMNMQMDIRQIFTELIPTDLSKPSSSSSSSLRSLSIGSPEYSPLLLNKPSTTHFDDNFRDTATKQATPPSYLLTMQASGFNQYQQTWFPNQSSEDDAMTKAMLAVISSSSPSSHPPPPPPPKLNQPVGAFRLYNPSLAPRTDPKNTTWHSQKMIKMAIALSKRINLIKFKSAAVLQDQRPTSNQLHHMISERKRREKLNESFLALRNLLPPGFKKDKASVLANTKDYLNTLKAEISELKQKNEILEKKLLFADEIPENADDDSNEKFKVQITRLSESTSQGQRITLTIDVREECDIIVFILRVLECLKSMSFINLVSINASTLPPHIHMFARGTLTLQIEASDWDEASFKETVTRMVARTLARPETES >DRNTG_02675.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20715499:20717308:1 gene:DRNTG_02675 transcript:DRNTG_02675.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLPNMMNMQMDIRQIFTELIPTDLSKPSSSSSSSLRSLSIGSPEYSPLLLNKPSTTHFDDNFRDTATKQATPPSYLLTMQASGFNQYQQTWFPNQSSEDDAMTKAMLAVISSSSPSSHPPPPPPPKLNQPVGAFRLYNPSLAPRTDPKNTTWHSQKMIKMAIALSKRINLIKFKSAAVLQDQRPTSNQLHHMISERKRREKLNESFLALRNLLPPGFKKDKASVLANTKDYLNTLKAEISELKQKNEILEKKLLFADEIPENADDDSNEKFKVQITRLSESTSQGQRITLTIDVREECDIIVFILRVLECLKSMSFINLVSINASTLPPHIHMFARGTLTLQIEASDWDEASFKETVTRMVARTLARPETES >DRNTG_09089.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6143821:6145951:1 gene:DRNTG_09089 transcript:DRNTG_09089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSFRTRERLKPLLPATFFGNCILPGLVEEDVEKLIGEGVIAACVGAATGKFFSLVGPPKLELYDLDFRWGRPRKSLLIIDYQLQQKKVEFDQLVDYKQSRMLP >DRNTG_31376.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001695.1:1:9010:-1 gene:DRNTG_31376 transcript:DRNTG_31376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFERKQGADDARRLELLLRRRRTVETLCVSIRSIGWINILLVTRSHNCFIIRDIMPKGKTSNKSKANKGLGDEAVEEPPEVPETQNPDIGMAETKDAFTHDAVARKRLRGPNKVIPTPTNANDRVFITTLHDEYVCLEFFILICL >DRNTG_03892.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3932292:3938732:-1 gene:DRNTG_03892 transcript:DRNTG_03892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTRACRGGAWHTSSSASPSISLSPAKPPAETASTSLTETVNGSHQFKITGYSLSKGTGVGKYMASDTFTVGGYSWAIYFYPDGKSAEDNAAYVSLFIALASDGSDVRALFELALLDQSGKERHKVHTHFGRNLEGGPYTLKYRGSMWGYKRFFKRTALEASDYLKDDCLSVKCTVGVVKSHTEGPKIFNISVPLSNIAEHFGKLLETGDGADVSFEVDGETFHAHKLVLAARSPVFRAQLFGPMKDRNMQCIKVEDMEAPVFKALLHFIYWDHLPDMEELTGLNAKWASTLMAQHLLAAADRYALERLRLLCEVKLCEDVAINTVATTLALAEQHHCNQLKSVCLKFVALPENLRAVMQTEGFEYLKISCPSIIHELLQYVARVREHSAICCSHTNEGLDGSDANGRRVKPRI >DRNTG_07591.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14955943:14959014:1 gene:DRNTG_07591 transcript:DRNTG_07591.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQTQNLKGNHVAVCFLEDGDQVDDEWMQSVAKEFNISETAFLTRLVVLSLVTTLGQIFGASPRSQRLVFVVMQLYQQHIFFDHLAW >DRNTG_10832.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5016270:5022891:-1 gene:DRNTG_10832 transcript:DRNTG_10832.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLYNYLQCNPLTVWYLTNKTCSQTLLSFYPYLNCFTEFSFQLCIEVLISYWITKLEDFSLEEETMPIKKNQSSEGGRSIRLQIFRPPSVDSSNLHDLGGSFFEPQRETSYPTPIGMQDADNINKNHWERAPEKKLIIFAIRLAILEKAASGLGALGFIWATAVLLGGFASLMDSKDFWFVTVILLTEGTRIFSRSHELEWQHQATWTITDAGKRSFRTIASSSQFFIRVIKVIFQPFSAIKPESAQQQHIANDIQIITQTQVPPPIRQCTWRSSDVLMVPYTGLIFISKNISKALYWLQLLSAVACMTLSLMRLIQQNYGVEQPGVENLKLALNLFYGLALAEAHLFLLEKAYWEWKISICKLLEQVNQECDLEITDIVSIKRFFYDAYSSCINGSVFDGLKMDLISFAKELLDSDFHDEQLIGVQILQKFANSDRFSSDTLRKIGTCTSLIERLIEMLSWKNPAKENIRKSAAEIVSKLAGKKQNALRVAGIPASIECVSSLLYTGRKFDNKPYEMWERDIVADQRNYEFSAFNLLGLLILKKLANDHENCWKIGNTRSLLSKIIDFTSTGERFLRNDRVTESQIKAVKRSLQVVKKLVSTTGETGKMLRQEISNIVFTVSNIRDILEYGENHMLRGCN >DRNTG_10832.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5016270:5023761:-1 gene:DRNTG_10832 transcript:DRNTG_10832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYNYLQCNPLTVWYLTNKTCSQTLLSFYPYLNCFTEFSFQLCIEVLISYWITKLEDFSLEEETMPIKKNQSSEGGRSIRLQIFRPPSVDSSNLHDLGGSFFEPQRETSYPTPIGMQDADNINKNHWERAPEKKLIIFAIRLAILEKAASGLGALGFIWATAVLLGGFASLMDSKDFWFVTVILLTEGTRIFSRSHELEWQHQATWTITDAGKRSFRTIASSSQFFIRVIKVIFQPFSAIKPESAQQQHIANDIQIITQTQVPPPIRQCTWRSSDVLMVPYTGLIFISKNISKALYWLQLLSAVACMTLSLMRLIQQNYGVEQPGVENLKLALNLFYGLALAEAHLFLLEKAYWEWKISICKLLEQVNQECDLEITDIVSIKRFFYDAYSSCINGSVFDGLKMDLISFAKELLDSDFHDEQLIGVQILQKFANSDRFSSDTLRKIGTCTSLIERLIEMLSWKNPAKENIRKSAAEIVSKLAGKKQNALRVAGIPASIECVSSLLYTGRKFDNKPYEMWERDIVADQRNYEFSAFNLLGLLILKKLANDHENCWKIGNTRSLLSKIIDFTSTGERFLRNDRVTESQIKAVKRSLQVVKKLVSTTGETGKMLRQEISNIVFTVSNIRDILEYGENHMLLQMHGIEILTSLAMDQDAREKIGSTGGVIRLLLSIFFKPRLTEDQNKVSVEAGETLALLTLNSKHNANCVLKQMQVVDQLVDSLTDPVLQINSSRILRNLCTYSGSENFFCMRGVTAAAPTVSLFIKVFAFHKRKSLVVKVVIDLLH >DRNTG_10832.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5016270:5022891:-1 gene:DRNTG_10832 transcript:DRNTG_10832.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSNSKPFSKAIKLKNSNINTIEHTINPFSASSKSNDQALNHSRNHKSK >DRNTG_10832.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5016270:5022891:-1 gene:DRNTG_10832 transcript:DRNTG_10832.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLYNYLQCNPLTVWYLTNKTCSQTLLSFYPYLNCFTEFSFQLCIEVLISYWITKLEDFSLEEETMPIKKNQSSEGGRSIRLQIFRPPSVDSSNLHDLGGSFFEPQRETSYPTPIGMQDADNINKNHWERAPEKKLIIFAIRLAILEKAASGLGALGFIWATAVLLGGFASLMDSKDFWFVTVILLTEGTRIFSRSHELEWQHQATWTITDAGKRSFRTIASSSQFFIRVIKVIFQPFSAIKPESAQQQHIANDIQIITQTQVPPPIRQCTWRSSDVLMVPYTGLIFISKNISKALYWLQLLSAVACMTLSLMRLIQQNYGVEQPGVENLKLALNLFYGLALAEAHLFLLEKAYWEWKISICKLLEQVNQECDLEITDIVSIKRFFYDAYSSCINGSVFDGLKMDLISFAKELLDSDFHDEQLIGVQILQKFANSDRFSSDTLRKIGTCTSLIERLIEMLSWKNPAKENIRKSAAEIVSKLAGKKQNALRVAGIPASIECVSSLLYTGRKFDNKPYEMWERDIVADQRNYEFSAFNLLGLLILKKLANDHENCWKIGNTRSLLSKIIDFTSTGERFLRNDRVTESQIKAVKRSLQVVKKLVSTTGETGKMLRQEISNIVFTVSNIRDILEYGENHMLRGCN >DRNTG_10832.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5016270:5022891:-1 gene:DRNTG_10832 transcript:DRNTG_10832.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLYNYLQCNPLTVWYLTNKTCSQTLLSFYPYLNCFTEFSFQLCIEVLISYWITKLEDFSLEEETMPIKKNQSSEGGRSIRLQIFRPPSVDSSNLHDLGGSFFEPQRETSYPTPIGMQDADNINKNHWERAPEKKLIIFAIRLAILEKAASGLGALGFIWATAVLLGGFASLMDSKDFWFVTVILLTEGTRIFSRSHELEWQHQATWTITDAGKRSFRTIASSSQFFIRVIKVIFQPFSAIKPESAQQQHIANDIQIITQTQVPPPIRQCTWRSSDVLMVPYTGLIFISKNISKALYWLQLLSAVACMTLSLMRLIQQNYGVEQPGVENLKLALNLFYGLALAEAHLFLLEKAYWEWKISICKLLEQVNQECDLEITDIVSIKRFFYDAYSSCINGSVFDGLKMDLISFAKELLDSDFHDEQLIGVQILQKFANSDRFSSDTLRKIGTCTSLIERLIEMLSWKNPAKENIRKSAAEIVSKLAGKKQNALRVAGIPASIECVSSLLYTGRKFDNKPYEMWERDIVADQRNYEFSAFNLLGLLILKKLANDHENCWKIGNTRSLLSKIIDFTSTGERFLRNDRVTESQIKAVKRSLQVVKKLVSTTGETGKMLRQEISNIVFTVSNIRDILEYGENHMLLQMHGIEILTSLAMDQDAREKIGSTGGVIRLLLSIFFKPRLTEDQNKVSVEAGETLALLTLNSKHNANCVLKQMQVVDQLVDSLTDPVLQINSSRILRNLCTYSGSENFFCMRGVTAAAPTVSLFIKVFG >DRNTG_10832.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5016270:5022891:-1 gene:DRNTG_10832 transcript:DRNTG_10832.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSNSKPFSKAIKLKNSNINTIEHTINPFSASSKSNDQALNHSRNHKSK >DRNTG_10832.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5016270:5022891:-1 gene:DRNTG_10832 transcript:DRNTG_10832.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLYNYLQCNPLTVWYLTNKTCSQTLLSFYPYLNCFTEFSFQLCIEVLISYWITKLEDFSLEEETMPIKKNQSSEGGRSIRLQIFRPPSVDSSNLHDLGGSFFEPQRETSYPTPIGMQDADNINKNHWERAPEKKLIIFAIRLAILEKAASGLGALGFIWATAVLLGGFASLMDSKDFWFVTVILLTEGTRIFSRSHELEWQHQATWTITDAGKRSFRTIASSSQFFIRVIKVIFQPFSAIKPESAQQQHIANDIQIITQTQVPPPIRQCTWRSSDVLMVPYTGLIFISKNISKALYWLQLLSAVACMTLSLMRLIQQNYGVEQPGVENLKLALNLFYGLALAEAHLFLLEKAYWEWKISICKLLEQVNQECDLEITDIVSIKRFFYDAYSSCINGSVFDGLKMDLISFAKELLDSDFHDEQLIGVQILQKFANSDRFSSDTLRKIGTCTSLIERLIEMLSWKNPAKENIRKSAAEIVSKLAGKKQNALRVAGIPASIECVSSLLYTGRKFDNKPYEMWERDIVADQRNYEFSAFNLLGLLILKKLANDHENCWKIGNTRSLLSKIIDFTSTGERFLRNDRVTESQIKAVKRSLQVVKKLVSTTGETGKMLRQEISNIVFTVSNIRDILEYGENHMLRGCN >DRNTG_10832.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5016270:5023761:-1 gene:DRNTG_10832 transcript:DRNTG_10832.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYNYLQCNPLTVWYLTNKTCSQTLLSFYPYLNCFTEFSFQLCIEVLISYWITKLEDFSLEEETMPIKKNQSSEGGRSIRLQIFRPPSVDSSNLHDLGGSFFEPQRETSYPTPIGMQDADNINKNHWERAPEKKLIIFAIRLAILEKAASGLGALGFIWATAVLLGGFASLMDSKDFWFVTVILLTEGTRIFSRSHELEWQHQATWTITDAGKRSFRTIASSSQFFIRVIKVIFQPFSAIKPESAQQQHIANDIQIITQTQVPPPIRQCTWRSSDVLMVPYTGLIFISKNISKALYWLQLLSAVACMTLSLMRLIQQNYGVEQPGVENLKLALNLFYGLALAEAHLFLLEKAYWEWKISICKLLEQVNQECDLEITDIVSIKRFFYDAYSSCINGSVFDGLKMDLISFAKELLDSDFHDEQLIGVQILQKFANSDRFSSDTLRKIGTCTSLIERLIEMLSWKNPAKENIRKSAAEIVSKLAGKKQNALRVAGIPASIECVSSLLYTGRKFDNKPYEMWERDIVADQRNYEFSAFNLLGLLILKKLANDHENCWKIGNTRSLLSKIIDFTSTGERFLRNDRVTESQIKAVKRSLQVVKKLVSTTGETGKMLRQEISNIVFTVSNIRDILEYGENHMLLQMHGIEILTSLAMDQDAREKIGSTGGVIRLLLSIFFKPRLTEDQNKVSVEAGETLALLTLNSKHNANCVLKQMQVVDQLVDSLTDPVLQINSSRILRNLCTYSGSENFFCMRGVTAAAPTVSLFIKVFG >DRNTG_22980.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26968015:26969314:-1 gene:DRNTG_22980 transcript:DRNTG_22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSFIRKKVGRGAFIEAYPISDEGILGRREEDFPQDYRHDHRGGASPFASNVIDSTSVPRVLCGHNRVNGLRDDSPLGLSYCVQWSEALCSRL >DRNTG_23392.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001263.1:38374:39332:1 gene:DRNTG_23392 transcript:DRNTG_23392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKLSKLAYALSNSNDESTSSVNSRMAGSLIVNNCRQPPSKTRSSISSANSSPC >DRNTG_15662.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19920501:19923842:-1 gene:DRNTG_15662 transcript:DRNTG_15662.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable receptor-like protein kinase At1g30570 [Source:Projected from Arabidopsis thaliana (AT1G30570) UniProtKB/Swiss-Prot;Acc:Q9SA72] MKWHVLLFVIVILFVHSNVGEAQRNALFIDCGSNSSTNVDGRKWIGDISTTENFTLSYSGIVAFIDTVDNEPTYGSLYRTARFFNVTSTYKISVFPGTYFVRLHFFPFSFRSFNINESAFDVSANSLKLVSALDIPGEISWKNTRTMSNYSSLVKEYFLNISTNQLEIVFIPKSGFFAFVNAIEVVPVINQLFVDTVNRVGGNGLKTDLNLAERGMETMFRLNVGVPASESSEDKDMWRKWESDEKYMFSVNAASILSNRSSISYSNNDSFVAPLLVYETARIMTDNGVAEKRFNMSWEFNVDPNFDYLIRLHFCEFIFDKQNQRLFKIYINNKTAAEDYDVFAQAGGINKAYHQDYVDSVPQQTDTLWVQLGPDPLTGSPSTDAFLNGMEIFKLSRNGDLAHASNRITINQPGSAGSQPKNKILWVSIGSGILSVLIISLIGAFIFCLCIQRKKVAVPVKETSPGWLPLSLHGAMGSTTNARSYKSPLCATASLCNRTGRRFTLAEIKSATNNFDESLAIGTGGFGKVFKGEIEEGTPVAIKRANPQSEQGLAEFETEIEMLSKLRHRHLVSLIGYCDEQSEMILVYEYMANGTLRSHLFGSDLPALTWKQRLEACIGAARGLHYLHTGADRCIIHRDIKTTNILLDENFVAKMADFGLSKTGPGLDHTHVSTAVKGSFGYLDPEYFRRQQLTQKSDVYSFGVVLFEVVCARPVINPTLPKDQINLAEWAIRWQRQHSLETILDPHLKGNYSLESLKKFGEIAEKCLADEGKNRPTMGEVLWHLEYALQLHEAYTESSNVESFSSSELRFADMAINLPQIKEGETASPNVSRSNYNSTENFRQ >DRNTG_15662.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19920433:19922860:-1 gene:DRNTG_15662 transcript:DRNTG_15662.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable receptor-like protein kinase At1g30570 [Source:Projected from Arabidopsis thaliana (AT1G30570) UniProtKB/Swiss-Prot;Acc:Q9SA72] MSNYSSLVKEYFLNISTNQLEIVFIPKSGFFAFVNAIEVVPVINQLFVDTVNRVGGNGLKTDLNLAERGMETMFRLNVGVPASESSEDKDMWRKWESDEKYMFSVNAASILSNRSSISYSNNDSFVAPLLVYETARIMTDNGVAEKRFNMSWEFNVDPNFDYLIRLHFCEFIFDKQNQRLFKIYINNKTAAEDYDVFAQAGGINKAYHQDYVDSVPQQTDTLWVQLGPDPLTGSPSTDAFLNGMEIFKLSRNGDLAHASNRITINQPGSAGSQPKNKILWVSIGSGILSVLIISLIGAFIFCLCIQRKKVAVPVKETSPGWLPLSLHGAMGSTTNARSYKSPLCATASLCNRTGRRFTLAEIKSATNNFDESLAIGTGGFGKVFKGEIEEGTPVAIKRANPQSEQGLAEFETEIEMLSKLRHRHLVSLIGYCDEQSEMILVYEYMANGTLRSHLFGSDLPALTWKQRLEACIGAARGLHYLHTGADRCIIHRDIKTTNILLDENFVAKMADFGLSKTGPGLDHTHVSTAVKGSFGYLDPEYFRRQQLTQKSDVYSFGVVLFEVVCARPVINPTLPKDQINLAEWAIRWQRQHSLETILDPHLKGNYSLESLKKFGEIAEKCLADEGKNRPTMGEVLWHLEYALQLHEAYTESSNVESFSSSELRFADMAINLPQIKEGETASPNVSRSNYNSTENFRQ >DRNTG_15662.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19920501:19923842:-1 gene:DRNTG_15662 transcript:DRNTG_15662.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable receptor-like protein kinase At1g30570 [Source:Projected from Arabidopsis thaliana (AT1G30570) UniProtKB/Swiss-Prot;Acc:Q9SA72] MKWHVLLFVIVILFVHSNVGEAQRNALFIDCGSNSSTNVDGRKWIGDISTTENFTLSYSGIVAFIDTVDNEPTYGSLYRTARFFNVTSTYKISVFPGTYFVRLHFFPFSFRSFNINESAFDVSANSLKLVSALDIPGEISWKNTRTMSNYSSLVKEYFLNISTNQLEIVFIPKSGFFAFVNAIEVVPVINQLFVDTVNRVGGNGLKTDLNLAERGMETMFRLNVGVPASESSEDKDMWRKWESDEKYMFSVNAASILSNRSSISYSNNDSFVAPLLVYETARIMTDNGVAEKRFNMSWEFNVDPNFDYLIRLHFCEFIFDKQNQRLFKIYINNKTAAEDYDVFAQAGGINKAYHQDYVDSVPQQTDTLWVQLGPDPLTGSPSTDAFLNGMEIFKLSRNGDLAHASNRITINQPGSAGSQPKNKILWVSIGSGILSVLIISLIGAFIFCLCIQRKKVAVPVKETSPGWLPLSLHGAMGSTTNARSYKSPLCATASLCNRTGRRFTLAEIKSATNNFDESLAIGTGGFGKVFKGEIEEGTPVAIKRANPQSEQGLAEFETEIEMLSKLRHRHLVSLIGYCDEQSEMILVYEYMANGTLRSHLFGSDLPALTWKQRLEACIGAARGLHYLHTGADRCIIHRDIKTTNILLDENFVAKMADFGLSKTGPGLDHTHVSTAVKGSFGYLDPEYFRRQQLTQKSDVYSFGVVLFEVVCARPVINPTLPKDQINLAEWAIRWQRQHSLETILDPHLKGNYSLESLKKFGEIAEKCLADEGKNRPTMGEVLWHLEYALQLHEAYTESSNVESFSSSELRFADMAINLPQIKEGETASPNVSRSNYNSTENFRQ >DRNTG_06722.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21112091:21112556:-1 gene:DRNTG_06722 transcript:DRNTG_06722.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLSIRRHTAETPNHHLPSFISHRSHLESTDNTKITTIRSQIDQKSHPG >DRNTG_08007.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30049363:30052477:-1 gene:DRNTG_08007 transcript:DRNTG_08007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFMAPPVTAQESKRATGVKVAKLPKRKREDGTPARTDDLGLVKKKKLKKESGIAVGPQRLQKKSAAGKPFGGVSSVPARPESAQGDLPRRGVVGNTLLLPKVDIGSMNIEFPQVITDLSELAINPFYGSQVNVPSIVSHIILKFRSLVYQKSLVLPPASETETAEFRAAKLAAGRVFLEQQGNVNVEMVVAKESAEQREPAQPSFVRQIKPSLRPDDPTKSGRKRGPSNRQEEISVKRVKKLTQVKTLAAEKKAGLVQKPPEKNRQDQEATKVFNASPAALVSRPVSRPPLAKKEEAPEATKVVSPTYLVMKFPPNSTLPSIASMKAKFARFGTLETDSFRVYWKSLTCKVLFRHRVDAVAAYSFGRSNDMFGYKVNYSIRDADVPVTEPQDSSRRQEPKAEGTQFGTGNGNAGSGMSLNPLRPLHQPRQQSVQLKSILKKPNDDVSGSGTGPVKESQRVKFMLGGDDVRKEPPSIVASNKSGVRLEVPMESVGVKPLSVSSMNYFPPTQPLARPSLSQHPPRFQQPLRLPELHPPAPQFQQAQPHPPRLSESHTPASHFQQPPRISELHPPAPQFQQTQRLPELHHPQPPRLPDVHYRPLGQLMQQPPPQLQPHAPEGRSRTQFPSGAESARPFLLLLFKCSEIVKNLESNLGYIPYHGL >DRNTG_33536.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8209092:8210314:1 gene:DRNTG_33536 transcript:DRNTG_33536.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQAAATLMQPCKLNANKQPQLRSFPSLSKSFGFEPATSRLTCSLNSDLKDIAKKCSDATKLAGFALATSALIVSGANAEGVPKRLTYDEIQSKTYMEVKGTGTANQCPIIDGGVDSFAFKPGKYYMKKLCLEPTSFTVKAEAVSKNAPPEFQKTKLMTRLTYTLDEIEGPFEVGADGSLKFEEKDGIDYAAVTVQLPGGERVPFLFTIKQLVATGKAESFGGEFLVPSYRGSSFLDPKGRGGSQGYDNAVALPAGGRGDEEELVKENIKDTSSLSGKITLSVTKSKPESGEVIGVFESVQPSDTDLGSKAPKDVKIEGIWYAQLE >DRNTG_02833.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20104138:20104621:1 gene:DRNTG_02833 transcript:DRNTG_02833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFNKHNTGTKHHSMAPTVMIPAPARPTVPTPAPTSTSSSTTTMPGGAIVSREDVLVRTAALGRGAATIGFKQFFTLTEAAKDRKDGSFRKLFNPWFCFICRYRCSAFSSNAHGKGGLYTNGAENTLRDGIAFC >DRNTG_34914.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20710875:20713790:1 gene:DRNTG_34914 transcript:DRNTG_34914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDFVPDVAGVSLAESTGFLKAPGGAPANVAVAISKLGGRSAFVGKFGDDEFGHMLADILRKNGVEDDGVLFDTHARTALAFVTLKSDGEREFMFYRNPSADMLLTEAELNMELIRRAKIFHYGSISLISEPCRSAHLAAMRAAKESGIFLSYDPNVRLPLWPSHDAARDGIKSIWTEADFIK >DRNTG_34914.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20712313:20713790:1 gene:DRNTG_34914 transcript:DRNTG_34914.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLADILRKNGVEDDGVLFDTHARTALAFVTLKSDGEREFMFYRNPSADMLLTEAELNMELIRRAKIFHYGSISLISEPCRSAHLAAMRAAKESGIFLSYDPNVRLPLWPSHDAARDGIKSIWTEADFIK >DRNTG_24468.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18786517:18787399:-1 gene:DRNTG_24468 transcript:DRNTG_24468.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIYAAHNLNLTAGAGSHIIPTCRHNSYTQTSPSHQENAQPTTTSMASNPHNSLPVASSRTWSSLWNENTNCAANTGRLWHTAGVHSGGAKQLPGVSGH >DRNTG_18799.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:378314:382395:1 gene:DRNTG_18799 transcript:DRNTG_18799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPPSKKKKKGHAPEAQFRRALDTCSRNGDLSGALDLYRAAISNGTHLISYHFNNILHLCSSSLETLPSPDKPSAISSGFEIFNCMIAHGTLPTEATITSMARIAAHRIEGGGDLAFDLVKTLKEKYGASPKLRTYGPALFWFCKNLDADKAYDVENHMVLNGISPEEPEIAALLDVSARLGREEKVYEYLLKLRGSVACVSRSTAEVLERWFGSESAREVGRLGWDVSAVRDAITVNGGGWHGLGWLGKGKWRVSWGSVGFDGRCSCCSETLACVDITQKETEMFSDSVASLAMSRETWSNFTNFQDWLGKHAAYEAIVDGANVGLYQQNFADGGFSLSQLDAVVNELYKRSHGKWPLVILHNKRVRVFMENPSAKELIETWRAKGILYTTPSGSNDDWYWLYAAVRLKCLLVTNDEMRDHIFELLGSNFFPKWKERHQVKYTFAKGVLVLHMPPTYSSVIQESETGSWHIPIEDKNAEEGRRLWLCATRCGACDNGCDDNLPGGSCQILRSLPEMQSVAAVKHANGAQRSGSPDQSDNKSTDQPGDN >DRNTG_19210.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:8318736:8325691:1 gene:DRNTG_19210 transcript:DRNTG_19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLQYSTIATVFPPDDPESLPPMRSISSSSR >DRNTG_01319.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:28488:34766:1 gene:DRNTG_01319 transcript:DRNTG_01319.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTVELLSTNRLLSSSNVRSDEACSLVKALLREYSGPSFQRTELRPKFFGFAYNAVMRMIANKRYYAYADESSSEGGNEFRDMVKQGSSIAGASNAADFIPLARWFRVGGHEKKLQGLKKRRDKFFQGLIDEHRTKKKMNGSQDGESSSVARSTFLDLLLSMQDDDPENVPDVFIKHSIA >DRNTG_01319.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:28488:86014:1 gene:DRNTG_01319 transcript:DRNTG_01319.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELYFTVTSLTFLLLSLFILIKINHKNKKNPNPNPPSPPGLPFLGHLHLLKPPIHQALAHLSDFHGPILLLRFGSRRVLLVSSYSAAEECFTINDIIFANRPRLLAGKHLGYNFTLLVWAPYGPHWRNLRRISTLQLFSTNRLLSSSDVRSDEVLSLVKALLRDYSGPGFHCTELRPKFFTLAYNVATTMIANKRFYSNTDESSLETAKEFRDIIKETIAALGTSNAADFVPLWRWLGIGGYEKKLKSLKKRRDKFFQGLIDEQREKKKKMAASRQGHGLPAARSTIIDLLLSMQDDDP >DRNTG_01319.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:28488:29323:1 gene:DRNTG_01319 transcript:DRNTG_01319.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEELYFTVTSLTFLLLSLFILIKINHKNKKNPNPNPPSPPGLPFLGHLHLLKPPIHQALAHLSDLHGPILLLRFGSRRVLLVSSYSAADECD >DRNTG_15708.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4408206:4413109:1 gene:DRNTG_15708 transcript:DRNTG_15708.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g52850, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G52850) UniProtKB/Swiss-Prot;Acc:Q9FLX6] MSLLRSTNCTTHFLRTEMKISGPILSPCLPRKLGPVQREGAGSFFSGDSCLLRLLELCKTRSLRKGICAHSSAIKSGFQDQLLLNNFLLPVYASCYGINQARKLFDGMNIRDVASWTSIISAYVRCGNHEEALDLFEKMLGSGCVPNEFTYSVILQCASALRNYNLGERTHALTVKCGFECNAVLGSSLIDFYSKCNMLEEASQIFTNLDDKDIVSWTTIICGCVEAEDWGEAMWLYASMLASKVVLPNEFTYTKLLKACASIGSNCSRLVHAHIIRLGIKLNLVLKTSLVDMYSKCHRMADALKVMKQTLESDVMLWTALITGYCQTGDYKEAITLFQQMEVAKISPNSFTFAKLINVCSDVPLLMLGKQIHSRVIKSGLAHDASVGNALVDLYAKFPSESDFVDCVRVFEGIVSPNVVSWTALIVGLVRHEHEPEAFAAFEAMRVDGVCPNSFTLSAILKGCGSPKFVAHVQMLHAYILKTMLDEWDISVGNSLVDAYSRYGRVGEALSISREMPLRDVWTYTSLAKGLNQIGLHRRTLDMIACMHGEGVLMDDFSVSCFLSACASLAAVEMGKQLHSYSAKSGLGSWISVSNSLIDMYGKCGSINEARRVFAEVKEPNIVSWNGLISGLASNGQFSGALSSFEDMRLAGASPDGVTFLLVLYACSHGGLVDMGVEYFNTMSSDLYGVPCQPDHYVCLVDMLGRAGRLEEAVCAIQTMPFQPGALIYKTLLGACKVHGNLLLGECMARCAMELDPMDPAIYVLLAGIYDDAGKLEMGEQTRKLMKERRAIKCPGWSWMRNTPK >DRNTG_15708.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4408206:4413109:1 gene:DRNTG_15708 transcript:DRNTG_15708.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g52850, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G52850) UniProtKB/Swiss-Prot;Acc:Q9FLX6] MSLLRSTNCTTHFLRTEMKISGPILSPCLPRKLGPVQREGAGSFFSGDSCLLRLLELCKTRSLRKGICAHSSAIKSGFQDQLLLNNFLLPVYASCYGINQARKLFDGMNIRDVASWTSIISAYVRCGNHEEALDLFEKMLGSGCVPNEFTYSVILQCASALRNYNLGERTHALTVKCGFECNAVLGSSLIDFYSKCNMLEEASQIFTNLDDKDIVSWTTIICGCVEAEDWGEAMWLYASMLASKVVLPNEFTYTKLLKACASIGSNCSRLVHAHIIRLGIKLNLVLKTSLVDMYSKCHRMADALKVMKQTLESDVMLWTALITGYCQTGDYKEAITLFQQMEVAKISPNSFTFAKLINVCSDVPLLMLGKQIHSRVIKSGLAHDASVGNALVDLYAKFPSESDFVDCVRVFEGIVSPNVVSWTALIVGLVRHEHEPEAFAAFEAMRVDGVCPNSFTLSAILKGCGSPKFVAHVQMLHAYILKTMLDEWDISVGNSLVDAYSRYGRVGEALSISREMPLRDVWTYTSLAKGLNQIGLHRRTLDMIACMHGEGVLMDDFSVSCFLSACASLAAVEMGKQLHSYSAKSGLGSWISVSNSLIDMYGKCGSINEARRVFAEVKEPNIVSWNGLISGLASNGQFSGALSSFEDMRLAGASPDGVTFLLVLYACSHGGLVDMGVEYFNTMSSDLYGVPCQPDHYVCLVDMLGRAGRLEEAVCAIQTMPFQPGALIYKTLLGACKVHGNLLLGECMARCAMELDPMDPAIYVLLAGIYDDAGKLEMGEQTRKLMKERRAIKCPGWSWMRNTPK >DRNTG_15708.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4408206:4413109:1 gene:DRNTG_15708 transcript:DRNTG_15708.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g52850, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G52850) UniProtKB/Swiss-Prot;Acc:Q9FLX6] MSLLRSTNCTTHFLRTEMKISGPILSPCLPRKLGPVQREGAGSFFSGDSCLLRLLELCKTRSLRKGICAHSSAIKSGFQDQLLLNNFLLPVYASCYGINQARKLFDGMNIRDVASWTSIISAYVRCGNHEEALDLFEKMLGSGCVPNEFTYSVILQCASALRNYNLGERTHALTVKCGFECNAVLGSSLIDFYSKCNMLEEASQIFTNLDDKDIVSWTTIICGCVEAEDWGEAMWLYASMLASKVVLPNEFTYTKLLKACASIGSNCSRLVHAHIIRLGIKLNLVLKTSLVDMYSKCHRMADALKVMKQTLESDVMLWTALITGYCQTGDYKEAITLFQQMEVAKISPNSFTFAKLINVCSDVPLLMLGKQIHSRVIKSGLAHDASVGNALVDLYAKFPSESDFVDCVRVFEGIVSPNVVSWTALIVGLVRHEHEPEAFAAFEAMRVDGVCPNSFTLSAILKGCGSPKFVAHVQMLHAYILKTMLDEWDISVGNSLVDAYSRYGRVGEALSISREMPLRDVWTYTSLAKGLNQIGLHRRTLDMIACMHGEGVLMDDFSVSCFLSACASLAAVEMGKQLHSYSAKSGLGSWISVSNSLIDMYGKCGSINEARRVFAEVKEPNIVSWNGLISGLASNGQFSGALSSFEDMRLAGASPDGVTFLLVLYACSHGGLVDMGVEYFNTMSSDLYGVPCQPDHYVCLVDMLGRAGRLEEAVCAIQTMPFQPGALIYKTLLGACKVHGNLLLGECMARCAMELDPMDPAIYVLLAGIYDDAGKLEMGEQTRKLMKERRAIKCPGWSWMRNTPK >DRNTG_32812.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21562790:21570571:-1 gene:DRNTG_32812 transcript:DRNTG_32812.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDSIRGAEKTSVPAPLDLETMRLMGMVRRVRIGVFALVLQAPELAEDEGDDTGESQPVPEPQPASMETEAPPAAEVTEIRATQATQYTEFMARFDILQQILERDVASSFVLQPRTLQAPPVPPAPPSSTPARERLRHLTFLLLSCILLYLIFLFLDLFTQKGFSF >DRNTG_23738.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001275.1:80916:86049:1 gene:DRNTG_23738 transcript:DRNTG_23738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINVILIVDQVCLVQAITIEAEEREDGSHHTTSSIHISSFHCCLLVLKPNSASKLEEHFGLDLVQVKHWFVEIQDRVDAMKVLEKIQRLSKNDRYHSWSPRRNSCKFKLRIPFCCWTEHQGEAQEHPTRGLLYSGGVTAGKAGLVEMRRKQGSERVERSLEKKSGPGRISGDLSGDRTGGKSFPLYLVVFRLRSSRSIDL >DRNTG_13026.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1754462:1755822:-1 gene:DRNTG_13026 transcript:DRNTG_13026.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translation factor SUI1 homolog (GOS2 protein) [Source: Projected from Oryza sativa (Os07g0529800)] MIDEKNICNHLVILFAVLSKRVRSQRKNLALAFSTLNFQVFMSELDGQIPTAFDPFADANADDSGAGAKEYVHVRIQQRNGRKSLTTVQGLKKELSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSNFLIQAGIVKKEHIKIHGF >DRNTG_25186.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001326.1:40906:42418:-1 gene:DRNTG_25186 transcript:DRNTG_25186.2 gene_biotype:protein_coding transcript_biotype:protein_coding SWYIFNNDGLTRKWFLSVLKTHASVLCIGYKMGHLLEEQSVWTPLQTMIQCL >DRNTG_25186.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001326.1:40906:44827:-1 gene:DRNTG_25186 transcript:DRNTG_25186.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISPHKPSAQVICTVKPQPSWYIFNNDGLTRKWFLSVLKTHASVLCIGYKMGHLLEEQSVWTPLQTMIQCL >DRNTG_12939.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1610356:1612075:-1 gene:DRNTG_12939 transcript:DRNTG_12939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNINGDDHNRNWSTLPWLAINSIACNLNSVDYVRFRSTCTGWRSSTQEREKAPLVILMDRDNEGDTIKALSFFDIIGKGIIPLRPVAYQVVTNSYYLGSASGWIFVGRHTAAGENGNQEQLSITLLNPFTDRVITINPPLLTKQRRGRVFFVHSPLSHNAFTHVVYYVDIDDEGRPAQVNFISLGPPGPENQWTTFWLDEPPNGVISISGYLYANYNGILQAINLANQNLLQMNMLLLGLLPNLSSDPALFLRFFNDLWGQLHVLFTTSYRTRSHCFLRTSPMPMETLGVHHYRAPLKFSMLPTRSLVISNDLTVELRYQQTTVHIDDYSPFRLLLRLSTFWNNGQNQWEPVGWITPALIRYDQDQVLY >DRNTG_17793.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:7233338:7235464:-1 gene:DRNTG_17793 transcript:DRNTG_17793.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLQLILFLSIPFFLTSTTNGASPNPFTAKAALIRYWDRKTPNQRPHPSFFLSKLSPLSALDSTTYSSLLSSNPSSLTPHLLTFCSAAHLLCSPSLTSKSPKDSNFANYNNVNFTTYGTTAAGGQDSFKKYSKSQTLPVDVFSSYSRASAGHDETFTTYSPNGTIITDNFTSYATIATGGSGDFTSYGRLSRVSELTFKNYETGSNGHAQNFTSYSDDNSGGDETFTSYGKGATGVPTNFRSYANNSGILISTFKSYGEGATGPSDTFTKYGNNPGSSHQNFQSYSENANSGNERFSGYRGDSDVGDDKFQSYGKGGNHPTVDFKTYGQDYKTGEDHFKNYGENANNPEISFTTYKGNPTDFKSYAKTGVVFKDYQNITTSSAKVESSGKTVNRWVEPGKFFRESSLKEGIVMPMPDIRDKMPKRSFLPRDISGKLPFNAIELGNIFNAPAGTGLGRAIADTISECERAPSRGETKRCATSAEDMIDFAVSVLGNDAVPRSTESASGSGGNSLIGKVKGINGGRVTKSVSCHQSLFPYLVYYCHSVPKVRVYQAEILSVESKKKINQGVAICHLDTSDWSAGHGAFVALGSKPGAIEVCHWIFEGDLTWAAAD >DRNTG_02135.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28843969:28850896:1 gene:DRNTG_02135 transcript:DRNTG_02135.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYEQDPDVLQWGLDLLQGDQYANNGYCGINALDGDAYYGGGYSIEEVSGLSHADEEHRQASVLAQDWFGPPVRNFLSGGDEEEDDREASSSCSSPGEKLYDVEWPLELTDDLSVDGEVGKRLNQMVPIPHVPRINGDIPSIDEATSDHQRLIDRLRLYDLVELKVQGDGNCQFRALSDQFYRTPEHHEFVRQQVINQLKSNPEMYEGYVPMAYGDYLNNLSKSGEWGDHVTLQAAADSYGVKIFVITSFKDTCYIEILPHVQKSNRVIFLSFWAEVHYNSIYPEGDTYGRHHLADLPTLETKKKRRWWRFRNKH >DRNTG_02135.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28843969:28850896:1 gene:DRNTG_02135 transcript:DRNTG_02135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYEQDPDVLQWGLDLLQGDQYANNGYCGINALDGDAYYGGGYSIEEVSGLSHADEEHRQASVLAQDWFGPPVRNFLSGGDEEEDDREASSSCSSPGEKLYDVEWPLELTDDLSVDGEVGKRLNQMVPIPHVPRINGDIPSIDEATSDHQRLIDRLRLYDLVELKVQGDGNCQFRALSDQFYRTPEHHEFVRQQVINQLKSNPEMYEGYVPMAYGDYLNNLSKSGEWGDHVTLQAAADSYGVKIFVITSFKDTCYIEILPHVQKSNRVIFLSFWAEVHYNSIYPEGDLPTLETKKKRRWWRFRNKH >DRNTG_20149.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12803114:12811329:1 gene:DRNTG_20149 transcript:DRNTG_20149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPMTSPSSNSKSSHSFPSRDDKLVSIDTCFR >DRNTG_30033.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19253269:19256826:1 gene:DRNTG_30033 transcript:DRNTG_30033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFFARAEELQQRVFMEKYNFDPVNDLPLPGRYEWVKLDA >DRNTG_15311.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4815295:4825680:-1 gene:DRNTG_15311 transcript:DRNTG_15311.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G16310) UniProtKB/Swiss-Prot;Acc:F4JLS1] MSQPSDIPCETTKMSESCSLMMGDQDIQGEDADGGFKSTAFNAHTADPSSEVNDRRPGPVVSLKMIEVSLSKFQPTESEGGKYACEEITGDGPAVTHSTGACYSSVSDLDVNKKIVVIGAGPAGLTAARHLQRQGFSVTVLEARDRIGGRVYTDRSTFSVPVDLGASIITGVEADVATERRPDPSSLVCAQLGLELTVLNSDCPLYDLVTGEKVPSDLDEALEAEYNSLLDDMVVFVAQNGEGVMRMSLEDGLEYALRKRRTSQPSSNAVQSDRINLFSETGNMDIVMRTTDGGITGDANDLKGNIMSPLERRVMDWHFANLEYGCAALLKEVSLPYWNQDDVYGGFGGPHCMIKGGYSTVIESLGDGLDIHLNHVVTEIIYNEDSDGSGLNPNKVKICTSNGMVYEGDAVLITVPLGCLKANTIKFSPILPNWKQSSIQRLGFGVLNKVVLEFSKVFWDDTVDYFGATAEETGQRGQCFMFWNVKKTVGAPVLIALVVGKAARDGQNLSASDHVNHALFVLRKLFGEASVPDPVASAVTNWGIDPFSKGAYSYVAVGASGEDYDILGRPVGNCLFFAGEATCKEHPDTVGGAMMSGLREAVRIIDILVNGKDYAAEAEAIEALQRRSESERNEVKDLAKRFDTCKLSSAIYSSDGRNVSFSEKALLKDMFSSAKTTSGRLHLAKELLRLPVEVLKSFAGTKEGLSVLNSWILDSLGKNATQLLRHCVRLLVIVSTDLLAVRSSGVGRTVKEKVCVHTSRDIRAIASQLVNVWIEVFRKEKAANRGLKLLRQTTSSESSKVRARDLSGKPTVHNNIESSDSRGNPHACPAESQSPSKVNHKKTNSRAPSLERLMDSKCCAISSHSESEIQGVIAEVNCVRMSDEEAAAIAAAEAARVAACAAAEAYASSEAEISTLRELPKIPSFHKFARREQYAQMDDSEFKKKWLGGTFSRQDCISEIDSRNCRVRDWSVDFAATCNNLNHSKMSSDDAQLSGSNEIACSINLREYSGESGAMDCRFTRAWVDTDTAGGGGVKDHLAIERWQSQAMDADFFNSMHIKDEEDSNKMIKLPSVKDQKQSNESSASWAAATKSSIGQPRGREHIKQGVVDYVASLLMPLYKARKIDKEGYKSIMKKTATKVMEHCTEAEKAMTVVEFLDFKRKNKIRSFVDKLIERHMAMNSTAKT >DRNTG_15311.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4815295:4821325:-1 gene:DRNTG_15311 transcript:DRNTG_15311.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G16310) UniProtKB/Swiss-Prot;Acc:F4JLS1] MSQPSDIPCETTKMSESCSLMMGDQDIQGEDADGGFKSTAFNAHTADPSSEVNDRRPGPVVSLKMIEVSLSKFQPTESEGGKYACEEITGDGPAVTHSTGACYSSVSDLDVNKKIVVIGAGPAGLTAARHLQRQGFSVTVLEARDRIGGRVYTDRSTFSVPVDLGASIITGVEADVATERRPDPSSLVCAQLGLELTVLNSDCPLYDLVTGEKVPSDLDEALEAEYNSLLDDMVVFVAQNGEGVMRMSLEDGLEYALRKRRTSQPSSNAVQSDRINLFSETGNMDIVMRTTDGGITGDANDLKGNIMSPLERRVMDWHFANLEYGCAALLKEVSLPYWNQDDVYGGFGGPHCMIKGGYSTVIESLGDGLDIHLNHVVTEIIYNEDSDGSGLNPNKVKICTSNGMVYEGDAVLITVPLGCLKANTIKFSPILPNWKQSSIQRLGFGVLNKVVLEFSKVFWDDTVDYFGATAEETGQRGQCFMFWNVKKTVGAPVLIALVVGKAARDGQNLSASDHVNHALFVLRKLFGEASVPDPVASAVTNWGIDPFSKGAYSYVAVGASGEDYDILGRPVGNCLFFAGEATCKEHPDTVGGAMMSGLREAVRIIDILVNGKDYAAEAEAIEALQRRSESERNEVKDLAKRFDTCKLSSAIYSSDGRNVSFSEKALLKDMFSSAKTTSGRLHLAKELLRLPVEVLKSFAGTKEGLSVLNSWILDSLGKNATQLLRHCVRLLVIVSTDLLAVRSSGVGRTVKEKVCVHTSRDIRAIASQLVNVWIEVFRKEKAANRGLKLLRQTTSSESSKVRARDLSGKPTVHNNIESSDSRGNPHACPAESQSPSKVNHKKTNSRAPSLERLMDSKCCAISSHSESEIQGVIAEVNCVRMSDEEAAAIAAAEAARVAACAAAEAYASSEAEISTLRELPKIPSFHKFARREQYAQMDDSEFKKKWLGGTFSRQDCISEIDSRNCRVRDWSVDFAATCNNLNHSKMSSDDAQLSGSNEIACSINLREYSGESGAMDCRFTRAWVDTDTAGGGGVKDHLAIERWQSQAMDADFFNSMHIKDEEDSNKMIKLPSVKDQKQSNESSASWAAATKSSIGQPRGREHIKQGVVDYVASLLMPLYKARKIDKEGYKSIMKKTATKVMEHCTEAEKAMTVVEFLDFKRKNKIRSFVDKLIERHMAMNSTAKT >DRNTG_31832.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2106039:2109819:1 gene:DRNTG_31832 transcript:DRNTG_31832.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYRGVRKRPWGKYAAEIRDPNRKGSHVWLGTFDTAVEAAKAYDRAALQMRGCKAILNFLNEIASSGDLAAMQMTSVVTRTKRRRVTEETEKGIKAVKRESTPEEEMRRSGRAFGRTQKGRGSSACRHPRRSLLTPLLVSPNSWYNE >DRNTG_31832.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2106039:2109819:1 gene:DRNTG_31832 transcript:DRNTG_31832.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYRGVRKRPWGKYAAEIRDPNRKGSHVWLGTFDTAVEAAKAYDRAALQMRGCKAILNFLNEIASSGDLAAMQMTSVVTRTKRRRVTEETEKGIKAVKRESTPEEEMRRSGRAFGRTQKGRGSSACRHPRRSLLTPLLVSPNSWYNE >DRNTG_31832.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2105980:2109819:1 gene:DRNTG_31832 transcript:DRNTG_31832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYRGVRKRPWGKYAAEIRDPNRKGSHVWLGTFDTAVEAAKAYDRAALQMRGCKAILNFLNEIASSGDLAAMQMTSVVTRTKRRRVTEETEKGIKAVKRESTPEEEMRRSGRAFGRTQKGRGSSACRHPRRSLLTPLLVSPNSWYNE >DRNTG_31105.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7018204:7020859:-1 gene:DRNTG_31105 transcript:DRNTG_31105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYDCLLMMKQPLTRVAMEDLVGRVVRRVYQRNGVITDIKSFGTVKLGYGIKKLDGRHYEGQLMQMTFMVPPAFPKELHYLNKEDQLLRWLVVKHRNTGYGLEFVNEYDGKDEISLFRTGGLYSRKDDDEDDEDDDDDDEDNNEGDEGNN >DRNTG_10096.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20741941:20742445:1 gene:DRNTG_10096 transcript:DRNTG_10096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQYTVELLSQSSTPPPALVAFCLCNLIIAMLFFSSSNINESPKLCSSIIPIVEVSKKEQAKEQEDIVTFTLEEEEEEEKEEEEDGDDELKKRVEEYIEKMNRLWKSENGHHKSF >DRNTG_17925.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:210565:218644:-1 gene:DRNTG_17925 transcript:DRNTG_17925.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGGAAEDCCVKVAVHIRPLIGDERLQGCKDCVFVVHGKPQVQIGSHSFTFDRVYGSSGSPSSAMFEECVSPLVDGLFQGYNATVLAYGQTGSGKTYTMGTAVKDGQQSGLIPQVMNALFGKIESLKHQAEFQLRVSFIEILKEEVRDLLDPASTGKLEAGNGNAGKVSVPGKPPVQIREASNGVITLAGSTEIDVNTQTEMAACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKLDPIITADGSPIEDMNEDFLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEESLNTLKYANRARNIQNKPIVNRNPITSEMQKMRQQLEYLQAELLGRGGGPSSHEIQVLKQRISWLEATNEDLRRELHEYHNRSNVADHYEGEVQRAGSFFTKAEGLKRSLPSSTDSFEYQMGETLEGVNSKEIDEEAAKEWEHTMLQNTMGKELNELNKRLEQKESEMKLFGGLDTFALKQHFGKKLMELEDEKRIVQQERDRLFAEVENLAANSDGQAQKLPDVHLQKLKSLEAQILDLKKKQENQVQLLKEKQRSDEAAKKLQDEIQSIKAQKVQLQHKIKQEAEQFRQWKASREKELLQLRKEGRRNEFERHKLQALNQRQKLVLQRKTEEAAMATKRLKELLEARKSAGRENSAIANGNSHGIQVSEKSLQRWIDQELEVMVNVHEVRSEYEKQSQVRAALAEELSILKNDEAISGGLVTPNGKNRHSRMYSMSPNARSARIASLENMVSISSSTLVAMASQLSEAEERERSFAGRGRWNQLRSMGDAKNSLQYMFSIAADARCQLREKDSEIKELKEQMNELVGILRLSEARRKETEKQLKSREHAMAAATTTPTSGKSNGSLKHSADETSTPLSPVPVPAQKQLKYTPGVTNNTANRGAEAITTKPKKIVPVKQLSAARKLTLAGQACKLWKWKRSHHQWLLQFKWKWQKPWRLSEYIRHCDEAIIRARPRPQQLRSIR >DRNTG_14987.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24005093:24005703:1 gene:DRNTG_14987 transcript:DRNTG_14987.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSPRQRFFSSLDLVEKRMASESAKKTLTEANPIPFSSSSNDQLRSPLYLLNTNPIPVSGTSSSGPPLDFLSNDSPQEQRSSDDGDGDEIEQLIELLGGEKGINLKCEKEESRLDGWIEYYRRERKEPARLAHLLLGKAVSFSGDGAFAGLGFPSTVHDFLRNDPPMR >DRNTG_09039.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31362852:31363742:1 gene:DRNTG_09039 transcript:DRNTG_09039.2 gene_biotype:protein_coding transcript_biotype:protein_coding IHANTLVRSTKSGNKAKSVGEGLSGIQGQSTCSKLMAGITVCVCVCYIKTKR >DRNTG_09039.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31362852:31364778:1 gene:DRNTG_09039 transcript:DRNTG_09039.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQKCGGGLVWHPRPVNLLKVDGRYKESELNIGENSCIDRCVSKNTGRQAMH >DRNTG_23226.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6740704:6745900:1 gene:DRNTG_23226 transcript:DRNTG_23226.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTYGRHVPIIADRYVDKEFGTGVLKISPGHDHNDYHLARKLGLPILNVMNKDGTLNEVAGLFSGLDRFEARKKLWSELEETDLAVKKESHTLRVPRSQRGGEVIEPLISKQWFVTMEPLAEKALQVVEEGKLTILPERFEKTYKHWLINIKDWCISRQLWWGHRIPVWYVVGKDGDEEYIVARNSDEAHAKAREKYGKSVEIYQDPDVLDTWFSSGLWPFSTLGWPDVSSEDFKQFYPTTILETGHDILFFWVARMVMMGIEFTGTTPFSFVYLHGLIQILK >DRNTG_23226.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6731501:6733137:1 gene:DRNTG_23226 transcript:DRNTG_23226.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLSMAVPNHSILLSSCSSAYRLNPLLLSRGSLRLRRHRCIAFFSAAASACQVFTSPATSKSFDFASEERIYRWWESQGYFKPNFDRGGDPFVIPMPPPNVTGSLHMGHAMFVTLEDIMARYFRMKGRPTLWIPGTDHAGIATQLVVERMLASKGIKRTELDRDEFIDKVWEWKEK >DRNTG_23226.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6731501:6745900:1 gene:DRNTG_23226 transcript:DRNTG_23226.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLSMAVPNHSILLSSCSSAYRLNPLLLSRGSLRLRRHRCIAFFSAAASACQVFTSPATSKSFDFASEERIYRWWESQGYFKPNFDRGGDPFVIPMPPPNVTGSLHMGHAMFVTLEDIMARYFRMKGRPTLWIPGTDHAGIATQLVVERMLASKGIKRTELDRDEFIDKVWEWKEKYGGTITNQIKRLGASCDWSREHFTLDEQLSRAVVEAFVRLHEKGLIYQGSYMVNWSPNLQTAVSDLEVEYSEEPGLLYFIKYRIAGGSRSDWLTIATTRPETLFGDVAVAVHPEDERYSKYIGRQVIVPMTYGRHVPIIADRYVDKEFGTGVLKISPGHDHNDYHLARKLGLPILNVMNKDGTLNEVAGLFSGLDRFEARKKLWSELEETDLAVKKESHTLRVPRSQRGGEVIEPLISKQWFVTMEPLAEKALQVVEEGKLTILPERFEKTYKHWLINIKDWCISRQLWWGHRIPVWYVVGKDGDEEYIVARNSDEAHAKAREKYGKSVEIYQDPDVLDTWFSSGLWPFSTLGWPDVSSEDFKQFYPTTILETGHDILFFWVARMVMMGIEFTGTTPFSFVYLHGLIQILK >DRNTG_23226.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6739046:6745900:1 gene:DRNTG_23226 transcript:DRNTG_23226.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTYGRHVPIIADRYVDKEFGTGVLKISPGHDHNDYHLARKLGLPILNVMNKDGTLNEVAGLFSGLDRFEARKKLWSELEETDLAVKKESHTLRVPRSQRGGEVIEPLISKQWFVTMEPLAEKALQVVEEGKLTILPERFEKTYKHWLINIKDWCISRQLWWGHRIPVWYVVGKDGDEEYIVARNSDEAHAKAREKYGKSVEIYQDPDVLDTWFSSGLWPFSTLGWPDVSSEDFKQFYPTTILETGHDILFFWVARMVMMGIEFTGTTPFSFVYLHGLIQILK >DRNTG_23226.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6732069:6735136:1 gene:DRNTG_23226 transcript:DRNTG_23226.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPNVTGSLHMGHAMFVTLEDIMARYFRMKGRPTLWIPGTDHAGIATQLVVERMLASKGIKRTELDRDEFIDKVWEWKEKYGGTITNQIKRLGASCDWSREHFTLDEQLSRAVVEAFVRLHEKGLIYQ >DRNTG_23226.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6731451:6745900:1 gene:DRNTG_23226 transcript:DRNTG_23226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNWSPNLQTAVSDLEVEYSEEPGLLYFIKYRIAGGSRSDWLTIATTRPETLFGDVAVAVHPEDERYSKYIGRQVIVPMTYGRHVPIIADRYVDKEFGTGVLKISPGHDHNDYHLARKLGLPILNVMNKDGTLNEVAGLFSGLDRFEARKKLWSELEETDLAVKKESHTLRVPRSQRGGEVIEPLISKQWFVTMEPLAEKALQVVEEGKLTILPERFEKTYKHWLINIKDWCISRQLWWGHRIPVWYVVGKDGDEEYIVARNSDEAHAKAREKYGKSVEIYQDPDVLDTWFSSGLWPFSTLGWPDVSSEDFKQFYPTTILETGHDILFFWVARMVMMGIEFTGTTPFSFVYLHGLIQILK >DRNTG_29254.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21446587:21458276:1 gene:DRNTG_29254 transcript:DRNTG_29254.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRLRSIKASKVSQLSSLQREERIIDSQQHVSLPMDPSVSSTQPPSNASLQVGSSEHFLYDNYYLLASHNSWSFADIWIADNTFEEATKNLSKENDGSPIYNFLYPGKELLLDGKEMSIFDYLEELRKRIFVFILAVGAAVLGCFAFSKELIVFLEAPVAVQGVLFLQLSPEESFTTLKVLVIQLLLGQVGLVSGYQMLDMLLLVLWLPPLYRSIDSDIVSRIFCLD >DRNTG_07655.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23200890:23206711:-1 gene:DRNTG_07655 transcript:DRNTG_07655.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFQKGLLVLTSKSLVRLLNNLKSTSWFAFNLQQVIKQGRICRKKSSMDIVASVGKAIYSLESILDSSNFMNNLFVSINVISSVVLFVHLVCKISSRMIPGQSLFCFNSWLRVSSAVYNGCLGSVYLGLVLWMFVEERENYRPLLVLFSQGQTMVLIVLVLTIRSSVLGCVFAKIWSGIVAISSAILCIYSTSVIMAEKETWIEFFLDLLPLPGVMALLICTFTSSTSAEEDGNIGNGPYQTLPDEDVTVTVTPFANAGIFSKMSFWWLNSLMKKGCERPLEEKDIPLLREHDRAESCYNEFMERWNKRKQTKSSASPSYLWTIVSCHKHDIFISGFFALLKILTTSAGPVLLNAFINVASGNQSFKYEGYVLALGMFIAKIVESISQRTWYFRTRLLGLKVKSLLSAAIYQKQLRLSNSAKLIHSSGEIMNYVTVDAYRIGEFPFWFHQTWTTSLQICIALIILYDAVGLATIAAFAVIILTVLCNTPLAKMQHKFQTELMEAQDERLKAMSESLVNMKVLKLYAWETHFKIVIEGLRSMECRWLSAFQLRRAYNTFLFWSSPVLVSAATFLSCYLLHVPLNPSNVFTFVATVRLLQEPVRAIPEVIGVVIQAKVAFSRIVSFLDAPELDNGYAKKCVSELKQPIIINSCGFSWDGDVSKQTLKNINLEVYPGEKVAICGEVGSGKSTLLAAILGEIPKVNGDIQVCGNIAYVSQTAWIQTGTVRDNILFGSPMDSHRYKETLEMCSLVKDIEMLPFGDLTEIGERGVNLSGGQKQRIQLARALYQNAEMYLLDDPFSAVDAHTASSLFNDYVMGALSTKTVLLVTHQVDFLPAFNCILLLSDGEIVRIAPYKELLASCKEFQGLVNAHKETVSTESLEKVASQKANRSSKGEIKHCFNGYQQNSEKESGFGQLIKKEEKETGDTGLKPYVLYLNQNKGFLYASLAILCHIIFLVGQILQNSWMAANVQNPQVSTLWLISFYIAIGVVNAIFLFIRAVFIAVLGLLSSISLFSRLLNSLFQAPMSFFDSTPLGRILSRVSSDLSIIDLDVPFSLILSICATLNAFSILCVLASVTPQILFVTIPLLFLTIKLQRYYAASAKELMRINGTTKSLVANHLAESLSGSMTIRAFKGEDRFFVKCLELIDKNASPFFLNFASTEWLIQRLEVISAAVLSSSALIMVLLPQGTLSSGLVGMALSYGLTLNLSLAYSIQSLCTLANYIISVERLSQYMNVLGEAPAVIDENKPALNWPSVGRVELHDLKIKYRPDTPLVLQGISCTFEGGHKIGIVGRTGSGKTTLISALFRLVEPAGGKIIIDGINISSIGLHDLRSQLGIIPQDPTLFMGSVRYNLDPLGQHTDAEIWEVLFLLFLYL >DRNTG_07655.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23200890:23206711:-1 gene:DRNTG_07655 transcript:DRNTG_07655.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVASVGKAIYSLESILDSSNFMNNLFVSINVISSVVLFVHLVCKISSRMIPGQSLFCFNSWLRVSSAVYNGCLGSVYLGLVLWMFVEERENYRPLLVLFSQGQTMVLIVLVLTIRSSVLGCVFAKIWSGIVAISSAILCIYSTSVIMAEKETWIEFFLDLLPLPGVMALLICTFTSSTSAEEDGNIGNGPYQTLPDEDVTVTVTPFANAGIFSKMSFWWLNSLMKKGCERPLEEKDIPLLREHDRAESCYNEFMERWNKRKQTKSSASPSYLWTIVSCHKHDIFISGFFALLKILTTSAGPVLLNAFINVASGNQSFKYEGYVLALGMFIAKIVESISQRTWYFRTRLLGLKVKSLLSAAIYQKQLRLSNSAKLIHSSGEIMNYVTVDAYRIGEFPFWFHQTWTTSLQICIALIILYDAVGLATIAAFAVIILTVLCNTPLAKMQHKFQTELMEAQDERLKAMSESLVNMKVLKLYAWETHFKIVIEGLRSMECRWLSAFQLRRAYNTFLFWSSPVLVSAATFLSCYLLHVPLNPSNVFTFVATVRLLQEPVRAIPEVIGVVIQAKVAFSRIVSFLDAPELDNGYAKKCVSELKQPIIINSCGFSWDGDVSKQTLKNINLEVYPGEKVAICGEVGSGKSTLLAAILGEIPKVNGDIQVCGNIAYVSQTAWIQTGTVRDNILFGSPMDSHRYKETLEMCSLVKDIEMLPFGDLTEIGERGVNLSGGQKQRIQLARALYQNAEMYLLDDPFSAVDAHTASSLFNDYVMGALSTKTVLLVTHQVDFLPAFNCILLLSDGEIVRIAPYKELLASCKEFQGLVNAHKETVSTESLEKVASQKANRSSKGEIKHCFNGYQQNSEKESGFGQLIKKEEKETGDTGLKPYVLYLNQNKGFLYASLAILCHIIFLVGQILQNSWMAANVQNPQVSTLWLISFYIAIGVVNAIFLFIRAVFIAVLGLLSSISLFSRLLNSLFQAPMSFFDSTPLGRILSRVSSDLSIIDLDVPFSLILSICATLNAFSILCVLASVTPQILFVTIPLLFLTIKLQRYYAASAKELMRINGTTKSLVANHLAESLSGSMTIRAFKGEDRFFVKCLELIDKNASPFFLNFASTEWLIQRLEVISAAVLSSSALIMVLLPQGTLSSGLVGMALSYGLTLNLSLAYSIQSLCTLANYIISVERLSQYMNVLGEAPAVIDENKPALNWPSVGRVELHDLKIKYRPDTPLVLQGISCTFEGGHKIGIVGRTGSGKTTLISALFRLVEPAGGKIIIDGINISSIGLHDLRSQLGIIPQDPTLFMGSVRYNLDPLGQHTDAEIWEVLFLLFLYL >DRNTG_07655.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23200890:23206711:-1 gene:DRNTG_07655 transcript:DRNTG_07655.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVASVGKAIYSLESILDSSNFMNNLFVSINVISSVVLFVHLVCKISSRMIPGQSLFCFNSWLRVSSAVYNGCLGSVYLGLVLWMFVEERENYRPLLVLFSQGQTMVLIVLVLTIRSSVLGCVFAKIWSGIVAISSAILCIYSTSVIMAEKETWIEFFLDLLPLPGVMALLICTFTSSTSAEEDGNIGNGPYQTLPDEDVTVTVTPFANAGIFSKMSFWWLNSLMKKGCERPLEEKDIPLLREHDRAESCYNEFMERWNKRKQTKSSASPSYLWTIVSCHKHDIFISGFFALLKILTTSAGPVLLNAFINVASGNQSFKYEGYVLALGMFIAKIVESISQRTWYFRTRLLGLKVKSLLSAAIYQKQLRLSNSAKLIHSSGEIMNYVTVDAYRIGEFPFWFHQTWTTSLQICIALIILYDAVGLATIAAFAVIILTVLCNTPLAKMQHKFQTELMEAQDERLKAMSESLVNMKVLKLYAWETHFKIVIEGLRSMECRWLSAFQLRRAYNTFLFWSSPVLVSAATFLSCYLLHVPLNPSNVFTFVATVRLLQEPVRAIPEVIGVVIQAKVAFSRIVSFLDAPELDNGYAKKCVSELKQPIIINSCGFSWDGDVSKQTLKNINLEVYPGEKVAICGEVGSGKSTLLAAILGEIPKVNGDIQVCGNIAYVSQTAWIQTGTVRDNILFGSPMDSHRYKETLEMCSLVKDIEMLPFGDLTEIGERGVNLSGGQKQRIQLARALYQNAEMYLLDDPFSAVDAHTASSLFNDYVMGALSTKTVLLVTHQVDFLPAFNCILLLSDGEIVRIAPYKELLASCKEFQGLVNAHKETVSTESLEKVASQKANRSSKGEIKHCFNGYQQNSEKESGFGQLIKKEEKETGDTGLKPYVLYLNQNKGFLYASLAILCHIIFLVGQILQNSWMAANVQNPQVSTLWLISFYIAIGVVNAIFLFIRAVFIAVLGLLSSISLFSRLLNSLFQAPMSFFDSTPLGRILSRVSSDLSIIDLDVPFSLILSICATLNAFSILCVLASVTPQILFVTIPLLFLTIKLQRYYAASAKELMRINGTTKSLVANHLAESLSGSMTIRAFKGEDRFFVKCLELIDKNASPFFLNFASTEWLIQRLEVISAAVLSSSALIMVLLPQGTLSSGLVGMALSYGLTLNLSLAYSIQSLCTLANYIISVERLSQYMNVLGEAPAVIDENKPALNWPSVGRVELHDLKIKYRPDTPLVLQGISCTFEGGHKIGIVGRTGSGKTTLISALFRLVEPAGGKIIIDGINISSIGLHDLRSQLGIIPQDPTLFMGSVRYNLDPLGQHTDAEIWEVLDKCQLREVVQRERAGLGFNSC >DRNTG_31422.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:644714:647365:-1 gene:DRNTG_31422 transcript:DRNTG_31422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYQFRNDRLILMILHENRELKKQREIAAALKEEEKKKREEAKAAAAARVQAKLDSKKQQGRGKGKAKKHVCA >DRNTG_16331.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:13030810:13031172:1 gene:DRNTG_16331 transcript:DRNTG_16331.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTSGLSNDPEFLKNDASDSKDKNMVHYKDWHSVISGLIEIAKKFERMVAGDQRFEVVVPMTFVMVCFRLVEPEGWPEEVNELNRSSTVSMTIM >DRNTG_24202.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22494028:22497877:-1 gene:DRNTG_24202 transcript:DRNTG_24202.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIIIEISSSTRLQSFANARATEMHSLVKALFQDTNNHAGISKKVEIKPRLFELILNVMMKMIAGKRYYGEKATATEKEEAMKFKKVVEQAFLLLGASNLGTDTLVVTIEWAMSLLFNNREVLDNVARGIVRSIWENSMEFRPERFIGMGKRRCPEEGAGEEGGRAGVGRVGARVVRVRIRDESVRMIEEFSSSNDQLADLFTEGVDARKQNEFREALGVCNLQSRGIC >DRNTG_03400.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20909012:20911047:-1 gene:DRNTG_03400 transcript:DRNTG_03400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 13 [Source:Projected from Arabidopsis thaliana (AT3G59520) UniProtKB/Swiss-Prot;Acc:Q9M1B5] MGKPLFIEIWQKPATSCIIGICSAVWFYIQKNNIGYANVGISYETAAEGHYWRLITSAFSHISVLHLVFNMSALWSIGEVEQLGHIGLGVEFYLHYTLVLVVLSGLLVLGAYHILIQKFKLEYFRRVTSVGYSCVVFGWMTILAVKQPSSKLNLFGVLSLPISFAPFESLIFTSIIVPQASFLGHLSGIVVGYSIAWGLIHGMNNYWALSMLGWIILVAVLSMKRTGAVDFSFIKIESVADPSLPTVGFPTSGTGRTLQVDIQSARVSDLV >DRNTG_03400.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20909012:20911047:-1 gene:DRNTG_03400 transcript:DRNTG_03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 13 [Source:Projected from Arabidopsis thaliana (AT3G59520) UniProtKB/Swiss-Prot;Acc:Q9M1B5] MGKPLFIEIWQKPATSCIIGICSAVWFYIQKNNIGYANVGISYETAAEGHYWRLITSAFSHISVLHLVFNMSALWSIGEVEQLGHIGLGVEFYLHYTLVLVVLSGLLVLGAYHILIQKFKLEYFRRVTSVGYSCVVFGWMTILAVKQPSSKLNLFGVLSLPISFAPFESLIFTSIIVPQASFLGHLSGIVVGYSIAWGLIHGMNNYWALSMLGWIILVAVLSMKRTGAVDFSFIKIESVADPSLPTVGFPTSGTGRTLQVDIQSARVSDLV >DRNTG_04929.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8470592:8477942:1 gene:DRNTG_04929 transcript:DRNTG_04929.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAEFDFVMEHKPGKMNCVADALSRRAELASVSSPNFPLASRIQEGLEHDPQAKNILEAASGGKTRRFWVEDGALVTKGGRLYVPKWQGLRKEIMKECHDALWAGHPGAQRTQALIERAYYWPQMREDIELYVKTCLVCQQDKVEHGRTPGLLQPLPTPEKPWESISMDFIVCLPKSDGCGNIMVVVDRFSKYGVFIPVPTKFNAEDAARLFLKYVVKYWGIPKSIVSDRDTRFTGRFWTELFKLMGSELNFSTSFHPQTDGQTERVNALVELYLRHYVSANQQDWVRYLDMAQFSYNLQKSESLGASPFEVATGRQPATPHTLEGGYKGPSPAAFKFAKGWQEKADMARAYLAKASKKMKKWADMKRRHVEFEEGDLVMVKLLQHQTRRFSKVHKGLVRRYEGPFEVERRVGKVAYQLKLPSHLEMHPVFHVSLLKPYHADKVEASRGESRRAPTAITTFEERDVEEILSHRTIRRRGAHPSYKEYFVKWRDLPMSEASWEPALKLWNHRDILKAYEEDATWTSPD >DRNTG_04929.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8470592:8477942:1 gene:DRNTG_04929 transcript:DRNTG_04929.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAEFDFVMEHKPGKMNCVADALSRRAELASVSSPNFPLASRIQEGLEHDPQAKNILEAASGGKTRRFWVEDGALVTKGGRLYVPKWQGLRKEIMKECHDALWAGHPGAQRTQALIERAYYWPQMREDIELYVKTCLVCQQDKVEHGRTPGLLQPLPTPEKPWESISMDFIVCLPKSDGCGNIMVVVDRFSKYGVFIPVPTKFNAEDAARLFLKYVVKYWGIPKSIVSDRDTRFTGRFWTELFKLMGSELNFSTSFHPQTDGQTERVNALVELYLRHYVSANQQDWVRYLDMAQFSYNLQKSESLGASPFEVATGRQPATPHTLEGGYKGPSPAAFKFAKGWQEKADMARAYLAKASKKMKKWADMKRRHVEFEEGDLVMVKLLQHQTRRFSKVHKGLVRRYEGPFEVERRVGKVAYQLKLPSHLEMHPVFHVSLLKPYHADKVEASRGESRRAPTAITTFEERDVEEILSHRTIRRRGAHPSYKEYFVKWRDLPMSEASWEPALKLWNHRDILKAYEEDATWTSPD >DRNTG_04929.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8470592:8472273:1 gene:DRNTG_04929 transcript:DRNTG_04929.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAEFDFVMEHKPGKMNCVADALSRRAELASVSSPNFPLASRIQEGLEHDPQAKNILEAASGGKTRRFWVEDGALVTKGGRLYVPKWQGLRKEIMKECHDALWAGHPGAQRTQALIERAYYWPQMREDIELYVKTCLVCQQDKVEHGRTPGLLQPLPTPEKPWESISMDFIVCLPKSDGCGNIMVVVDRFSKYGVFIPVPTKFNAEDAARLFLKYVVKYWGIPKSIVSDRDTRFTGRFWTELFKLMGSELNFSTSFHPQTDGQTERVNALVELYLRHYVSANQQDWVRYLDMAQFSYNLQKSESLGASPFEVATGRQPATPHTLEGGYKGPSPAAFKFAKGWQEKADMARAYLAKASKKMKKWADMKRRHVEFEEGDLVMVKLLQHQTRRFSKVHKGLVRRYEGPFEVERRVGKVAYQLKLPSHLEMHPVFHVSLLKPYHADKVEASRGESRRAPTAITTFEERDVEEILSHRTIRRRGAHPSYKEYFVKWRDLPMSEASWEPALKLWNHRDILKAYEEDATWTSPD >DRNTG_04929.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8470592:8477942:1 gene:DRNTG_04929 transcript:DRNTG_04929.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAEFDFVMEHKPGKMNCVADALSRRAELASVSSPNFPLASRIQEGLEHDPQAKNILEAASGGKTRRFWVEDGALVTKGGRLYVPKWQGLRKEIMKECHDALWAGHPGAQRTQALIERAYYWPQMREDIELYVKTCLVCQQDKVEHGRTPGLLQPLPTPEKPWESISMDFIVCLPKSDGCGNIMVVVDRFSKYGVFIPVPTKFNAEDAARLFLKYVVKYWGIPKSIVSDRDTRFTGRFWTELFKLMGSELNFSTSFHPQTDGQTERVNALVELYLRHYVSANQQDWVRYLDMAQFSYNLQKSESLGASPFEVATGRQPPHHILWKAATRVLARPHSSLPRDGKRRRIWQGLI >DRNTG_04929.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8470592:8477942:1 gene:DRNTG_04929 transcript:DRNTG_04929.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAEFDFVMEHKPGKMNCVADALSRRAELASVSSPNFPLASRIQEGLEHDPQAKNILEAASGGKTRRFWVEDGALVTKGGRLYVPKWQGLRKEIMKECHDALWAGHPGAQRTQALIERAYYWPQMREDIELYVKTCLVCQQDKVEHGRTPGLLQPLPTPEKPWESISMDFIVCLPKSDGCGNIMVVVDRFSKYGVFIPVPTKFNAEDAARLFLKYVVKYWGIPKSIVSDRDTRFTGRFWTELFKLMGSELNFSTSFHPQTDGQTERVNALVELYLRHYVSANQQDWVRYLDMAQFSYNLQKSESLGASPFEVATGRQPATPHTLEGGYKGPSPAAFKFAKGWQEKADMARAYLAKASKKMKKWADMKRRHVEFEEGDLVMVKLLQHQTRRFSKVHKGLVRRYEGPFEVERRVGKVAYQLKLPSHLEMHPVFHVSLLKPYHADKVEASRGESRRAPTAITTFEERDVEEILSHRTIRRRGAHPSYKEYFVKWRDLPMSEASWEPALKLWNHRDILKAYEEDATWTSPD >DRNTG_04929.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8470592:8475985:1 gene:DRNTG_04929 transcript:DRNTG_04929.5 gene_biotype:protein_coding transcript_biotype:protein_coding TDNVAASYFLTQKKLTPKQARWQMFLAEFDFVMEHKPGKMNCVADALSRRAELASVSSPNFPLASRIQEGLEHDPQ >DRNTG_03774.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18167362:18171465:-1 gene:DRNTG_03774 transcript:DRNTG_03774.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGQPHAPVDLSHARGISAWNPATISARNTLESMFSSSESIENPLDSHDDCLVESPLLVQRSLCLAYNTPRRIVPYYSAALKHSETMFSLRSRNTYNVTAFVPLQIIVLALIQGGLCYAVDAVARSKGAGGGGNGDVKGARGLPISN >DRNTG_33101.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1113741:1114987:-1 gene:DRNTG_33101 transcript:DRNTG_33101.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFKSLLLFIAILVLIYSGATHARTLAETNAPATKQSYDDPYNYNNNNPNIPTYGGYASPTPP >DRNTG_35337.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2745647:2746231:-1 gene:DRNTG_35337 transcript:DRNTG_35337.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKLFILALLVALLSNTSNAARRLLDTPAAPTTPTTPPTIPNMPTIPTIPTIPTIPTTQIPPLPSLPKPTIPGLVIPTMPAATLPPIPSIPLPTMPTIPKSIPPLPSFPNVIPNFPTIPGFKMPPLPFFSPPPAATSP >DRNTG_22622.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17864719:17865480:-1 gene:DRNTG_22622 transcript:DRNTG_22622.1 gene_biotype:protein_coding transcript_biotype:protein_coding KPSLQDPSTSILRRGGGRERRGDHDERESGNGNK >DRNTG_04216.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20896750:20898517:1 gene:DRNTG_04216 transcript:DRNTG_04216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYMIKYKNNSTTYKGKHYLLFHMGSMIASCIDNHSLASSRAWDGVLTSCTLGSHSSTSGI >DRNTG_06742.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11010740:11012622:-1 gene:DRNTG_06742 transcript:DRNTG_06742.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMEFTTTELVEAHAQVWNLSFGYLKSMCLKCSLELGIADVLKKHGKPMELSKLTLALSIPPSKFEPFDRFMTTLVHLELFAKEQDDSGATKYMLTPASHLLLKDEAMSIAPLITLFLDPTICDSSNALGPWFKGPKGTPFEFYFRKGIWDVAGEKPQFNKMFNEGMASDSRFVCNVVMTSCRDVFKGLKSMVDVGGGTGTMARSIAHAFPRIKCTVFDLPHVIDTIEDQQPGVEYVGGDMFVYVPHANAVLLKWILHDWNNEECVKILQRCKEAIPSRADGGKIIIIDMVIGAVTNKHVCAKETQLLCDLLVTSLYNGKERNEREWHNIFLSAGFIDYKITHFLGIRSIIELYP >DRNTG_06742.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11010511:11012622:-1 gene:DRNTG_06742 transcript:DRNTG_06742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMEFTTTELVEAHAQVWNLSFGYLKSMCLKCSLELGIADVLKKHGKPMELSKLTLALSIPPSKFEPFDRFMTTLVHLELFAKEQDDSGATKYMLTPASHLLLKDEAMSIAPLITLFLDPTICDSSNALGPWFKGPKGTPFEFYFRKGIWDVAGEKPQFNKMFNEGMASDSRFVCNVVMTSCRDVFKGLKSMVDVGGGTGTMARSIAHAFPRIKCTVFDLPHVIDTIEDQQPGVEYVGGDMFVYVPHANAVLLKWILHDWNNEECVKILQRCKEAIPSRADGGKIIIIDMVIGAVTNKHVCAKETQLLCDLLVTSLYNGKERNEREWHNIFLSAGFIDYKITHFLGIRSIIELYP >DRNTG_06742.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11010867:11012690:-1 gene:DRNTG_06742 transcript:DRNTG_06742.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMEFTTTELVEAHAQVWNLSFGYLKSMCLKCSLELGIADVLKKHGKPMELSKLTLALSIPPSKFEPFDRFMTTLVHLELFAKEQDDSGATKYMLTPASHLLLKDEAMSIAPLITLFLDPTICDSSNALGPWFKGPKGTPFEFYFRKGIWDVAGEKPQFNKMFNEGMASDSRFVCNVVMTSCRDVFKGLKSMVDVGGGTGTMARSIAHAFPRIKCTVFDLPHVIDTIEDQQPGVEYVGGDMFVYVPHANAVLLKWILHDWNNEECVKILQRCKEAIPSRADGGKIIIIDMVIGAVTNKHVCAKETQLLCDLLVTSLYNGKERNEREWHNIFLSAGFIDYKITHFLGIRSIIELYP >DRNTG_06742.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11010867:11012622:-1 gene:DRNTG_06742 transcript:DRNTG_06742.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMEFTTTELVEAHAQVWNLSFGYLKSMCLKCSLELGIADVLKKHGKPMELSKLTLALSIPPSKFEPFDRFMTTLVHLELFAKEQDDSGATKYMLTPASHLLLKDEAMSIAPLITLFLDPTICDSSNALGPWFKGPKGTPFEFYFRKGIWDVAGEKPQFNKMFNEGMASDSRFVCNVVMTSCRDVFKGLKSMVDVGGGTGTMARSIAHAFPRIKCTVFDLPHVIDTIEDQQPGVEYVGGDMFVYVPHANAVLLKWILHDWNNEECVKILQRCKEAIPSRADGGKIIIIDMVIGAVTNKHVCAKETQLLCDLLVTSLYNGKERNEREWHNIFLSAGFIDYKITHFLGIRSIIELYP >DRNTG_06742.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11010740:11012690:-1 gene:DRNTG_06742 transcript:DRNTG_06742.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMEFTTTELVEAHAQVWNLSFGYLKSMCLKCSLELGIADVLKKHGKPMELSKLTLALSIPPSKFEPFDRFMTTLVHLELFAKEQDDSGATKYMLTPASHLLLKDEAMSIAPLITLFLDPTICDSSNALGPWFKGPKGTPFEFYFRKGIWDVAGEKPQFNKMFNEGMASDSRFVCNVVMTSCRDVFKGLKSMVDVGGGTGTMARSIAHAFPRIKCTVFDLPHVIDTIEDQQPGVEYVGGDMFVYVPHANAVLLKWILHDWNNEECVKILQRCKEAIPSRADGGKIIIIDMVIGAVTNKHVCAKETQLLCDLLVTSLYNGKERNEREWHNIFLSAGFIDYKITHFLGIRSIIELYP >DRNTG_00181.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:77808:83546:-1 gene:DRNTG_00181 transcript:DRNTG_00181.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2423 [Source:Projected from Arabidopsis thaliana (AT3G48470) UniProtKB/TrEMBL;Acc:F4JF17] MVTSVLVANNSGEDVLQLIKPRDLTFISMLAQTLASIPDKARVKASSVLSSHKFFQQVVIQLMDGAAERAINFPHRADGLDEPTTDSAFLFVGETFSRICRRGSTDILVAELIPRLLKHAQQCSLLDGDFTALDLVHSQPETLFWLKIMETIRDQYTVERLSDSLLHQLSRRNTSDREAFLTLWLLFSQLFKHHIGMRSMFIDKFLFAKVFPICCLRWILQISVFNSSPYADVHMDQKREGFLDIVHRLASTWSRREFTQSVAIEQQAYITAALGLCLERMTKRELESTKDVLHSILQGVSCRLESPIHLVRKMASSIALVFSKVVDPKNPLYLDDEHSETVDWEFGLIPLRNEVGTPFPANRGDKNESKSSSSRKKRHNGHAKGKNVIGDFVRDDSNFSRFSDPDKVIDPALINSGTLYNNGDDEEEDDDDSKNSEASSDSSLQPYDLTDDDSDLQRKISQIGEICAALRKPDDADGVERALSAAERLVRASPDELRHSAGDLVRALVHVRCSDIAIEGEEDSAEDKRQKALVALLVTSPFESLDAVTKLLYSPNVDVSQRILIIDVMTDAALELADSKIMSVEHHRRNLISTVSGTQPWFIPNSKGPSGAGPWKEILETGTSLNWSHRYERELPSRPGQLKMGKSRRWSIRTAKDNIQEWSKNKFPLYAAAFMFPAMQEFDKVRHGVDLLNRDFIVLGKLIYMLGVCMKCVAMHPEASALAPSLLDMLRRREISHHAEAFVRRSVIFTASCIVAALHPSFVASALIEGNDEISNGLEWIRTLALHVAERDPDTECSTMAMKCLQLHAEMALQTSRSLESADCFRTKASALLPSKTNDIIIPSSSMKLQL >DRNTG_00181.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:77808:85502:-1 gene:DRNTG_00181 transcript:DRNTG_00181.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2423 [Source:Projected from Arabidopsis thaliana (AT3G48470) UniProtKB/TrEMBL;Acc:F4JF17] MVTSVLVANNSGEDVLQLIKPRDLTFISMLAQTLASIPDKARVKASSVLSSHKFFQQVVIQLMDGAAERAINFPHRADGLDEPTTDSAFLFVGETFSRICRRGSTDILVAELIPRLLKHAQQCSLLDGDFTALDLVHSQPETLFWLKIMETIRDQYTVERLSDSLLHQLSRRNTSDREAFLTLWLLFSQLFKHHIGMRSMFIDKFLFAKVFPICCLRWILQISVFNSSPYADVHMDQKREGFLDIVHRLASTWSRREFTQSVAIEQQAYITAALGLCLERMTKRELESTKDVLHSILQGVSCRLESPIHLVRKMASSIALVFSKVVDPKNPLYLDDEHSETVDWEFGLIPLRNEVGTPFPANRGDKNESKSSSSRKKRHNGHAKGKNVIGDFVRDDSNFSRFSDPDKVIDPALINSGTLYNNGDDEEEDDDDSKNSEASSDSSLQPYDLTDDDSDLQRKISQIGEICAALRKPDDADGVERALSAAERLVRASPDELRHSAGDLVRALVHVRCSDIAIEGEEDSAEDKRQKALVALLVTSPFESLDAVTKLLYSPNVDVSQRILIIDVMTDAALELADSKIMSVEHHRRNLISTVSGTQPWFIPNSKGPSGAGPWKEILETGTSLNWSHRYERELPSRPGQLKMGKSRRWSIRTAKDNIQEWSKNKFPLYAAAFMFPAMQEFDKVRHGVDLLNRDFIVLGKLIYMLGVCMKCVAMHPEASALAPSLLDMLRRREISHHAEAFVRRSVIFTASCIVAALHPSFVASALIEGNDEISNGLEWIRTLALHVAERDPDTECSTMAMKCLQLHAEMALQTSRSLESADCFRTKASALLPSKTNDIIIPSSSMKLQL >DRNTG_21790.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:16922877:16923751:-1 gene:DRNTG_21790 transcript:DRNTG_21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPHIFFHHPSPSTLGRSVFHDIALTSSGDLSYLGCEWRRYVFFASFEVSIQMDVSVERMIYGVTGVDPQRREELIKVLDIELSWRVHKSSYGQRRRLQICMGLLKPFKVLLLVSQCKLGVKAVNLCKKGDDFIRDQAGSVYKNVKKKIERGVAFPT >DRNTG_06599.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26586538:26593095:-1 gene:DRNTG_06599 transcript:DRNTG_06599.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRVDDESGVSMLELLRYLHAIMSRSMNCRGDSTGVLSRQELLYLYSMVQRVPIHLGHIMAEYIRHQGHYARLGAIFSVPYITRLVLGMGLLDSIRGTEKTIIPAPLSLEPMRLMGMVRRVRTGVFALVLPAPEIAEDEGDEARASQAAPEPQPASMETEVPPVA >DRNTG_12784.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2234237:2235732:-1 gene:DRNTG_12784 transcript:DRNTG_12784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTCSFQCKEAAKCELELVGFESLPTFLKDNEFILNYYRSQWPWKQTILSIFSIHNETLNIWTHLIGFIIFLTLTLCTMHAFAPLAFSQNSDKVIIMSLNATSATVFRAQVPANQTNIINILSIMKDDDVLESTTTRWPFYAYLFGAMFCLLTSSVCHLLSCHSEHCAYTMLRLDYAGISTLIVTSFYPLVYYTFMCDPFVRNLYIGFITVFGVAAILASLVPVFQTPEFRSVRALLFFCMGVSGLVPIIHKLMVFNEEPVAVMTAMYELVMGGFYGLGVVVYATRMPERWMPGKFDLVGHSHQLFHVLVIAGAYTHYLASVMYLNWREMDQCYLL >DRNTG_01148.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000071.1:30898:33488:1 gene:DRNTG_01148 transcript:DRNTG_01148.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKVLQVDKDAKDDDLKKAYRKLAMKWHPDKNPNNKKEAEAKFKQISEAYEVLSDSQKRAIYDQYGEEGLKGQVPPPGAGGSSFNFGGGGDGPNVFRFNPRNADDIFAEFFGFSSPFGSMGGGVKRAGTSRFGGSMFGDDFFSQAFSGEGMGGAGGPMNAGRSQKAAPIENLLPCSLEELYKGTTKKMKISREIADISGKTIPVEEILTIDIKPGWKKGTKITFPEKGNEQPNVIPADIIFVIDEKPHGVFTRDGNDLIATQKISLVEALTGYTVHLTTLDGRTLTIPINNVIHPNYEEVVPREGMPLPKDATKKGNLRIKFNIKFPTRLTAEQKAGIKRLLGP >DRNTG_12517.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:13473758:13475827:1 gene:DRNTG_12517 transcript:DRNTG_12517.1 gene_biotype:protein_coding transcript_biotype:protein_coding VILAASTVNKCVCLLQSKAIIDAASYVPPPPSEEQKKRIQKLRAAIGEHKRM >DRNTG_12517.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:13473758:13475024:1 gene:DRNTG_12517 transcript:DRNTG_12517.2 gene_biotype:protein_coding transcript_biotype:protein_coding VILAASTVNKCVCLLQSKAIIDAASYVPPPPSEEQKKRIQKLRAAIGEHKRM >DRNTG_25689.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19276713:19277017:1 gene:DRNTG_25689 transcript:DRNTG_25689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMSMGVAMLTWPMHSDQPSNALLITEYLKVGVMVREWEKRDEVLKWEKVDEAIRKVMVHEEGVEIRTRRRSWERRYGMVLK >DRNTG_14522.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:8219682:8220909:1 gene:DRNTG_14522 transcript:DRNTG_14522.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFLSWSRRMLMKLYLFEATTGSMLLLRNHLLEGKMRGQPLPFSLDIVLLFQHSPTTPNSNTPLTTITTIPPIASATPDRGSSPMALGDDVTATLLQPCQILMTEFPRLVARVDALEGRSQLIVPSLQTNEVPGRDEASEFDGDDHCIGMVIPRRPHSKRLIKKWKPIIPLSPSPVDDETTAAPLVADAVIESVAIDDMVVMVEKIIDDVAIATVNKIINSVVNEIPVTVESGPTVQHRR >DRNTG_31717.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:233239:236638:1 gene:DRNTG_31717 transcript:DRNTG_31717.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEFSTSENKATTLSKSPVPGTRARASRTKECPRAEPVNGVSPGLKLRPKPVSPEPNTIPKPGRSILPSKMRPGGDEVGAPGEREMEDPKIVVRTGNRAVEHYARMRRRSDSNFKGVEVDGKTSEWQQRLEASESLVNRLQSEMLMMKAQLERMHSHNLELEAHKKQLTESLLAAQAKISGYERRDQLINQLEAQKKQLTDNLLAAQAKISAYEKRSQVAMTSQESQQSEFEDLRNVIASKLDNLRSKKDVTRQERTVLVQPLVAEPRTRAPETQPKFPVAQPFPPPPPPPPRGLPPPPPPPPSRVAPTGSNAMQKPTALVELYQSLMKRDGKKGPLGNGSSSSPLASNAHNSIVGEIQNRSSHLLAIKADVETKGGFIRDLIEKVNSAAYSDIEDVLNFVGWLDKELSTLADERAVLKHFNWPERKADALREAAFEYRDLKRLALESASFEDDTSMSCEATLKKITTLLDKSERSIQRLVKLRETTMLSYKENKIPVDWMLDSGMVKKIKLASVKLARVYIRRVLTELRSGRHTEKESTHESLIVQGVHFAYRAYQFAGGLDSETMCAFEELRKRAVQSNGRGSRDQLPGITLS >DRNTG_31717.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:230187:236638:1 gene:DRNTG_31717 transcript:DRNTG_31717.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEFSTSENKATTLSKSPVPGTRARASRTKECPRAEPVNGVSPGLKLRPKPVSPEPNTIPKPGRSILPSKMRPGGDEVGAPGEREMEDPKIVVRTGNRAVEHYARMRRRSDSNFKGVEVDGKTSEWQQRLEASESLVNRLQSEMLMMKAQLERMHSHNLELEAHKKQLTESLLAAQAKISGYERRDQLINQLEAQKKQLTDNLLAAQAKISAYEKRSQVAMTSQESQQSEFEDLRNVIASKLDNLRSKKDVTRQERTVLVQPLVAEPRTRAPETQPKFPVAQPFPPPPPPPPRGLPPPPPPPPSRVAPTGSNAMQKPTALVELYQSLMKRDGKKGPLGNGSSSSPLASNAHNSIVGEIQNRSSHLLAIKADVETKGGFIRDLIEKVNSAAYSDIEDVLNFVGWLDKELSTLADERAVLKHFNWPERKADALREAAFEYRDLKRLALESASFEDDTSMSCEATLKKITTLLDKSERSIQRLVKLRETTMLSYKENKIPVDWMLDSGMVKKIKLASVKLARVYIRRVLTELRSGRHTEKESTHESLIVQGVHFAYRAYQFAGGLDSETMCAFEELRKRAVQSNGRGSRDQLPGITLS >DRNTG_31717.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:230187:236638:1 gene:DRNTG_31717 transcript:DRNTG_31717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEFSTSENKATTLSKSPVPGTRARASRTKECPRAEPVNGVSPGLKLRPKPVSPEPNTIPKPGRSILPSKMRPGGDEVGAPGEREMEDPKIVVRTGNRAVEHYARMRRRSDSNFKGVEVDGKTSEWQQRLEASESLVNRLQSEMLMMKAQLERMHSHNLELEAHKKQLTESLLAAQAKISGYERRDQLINQLEAQKKQLTDNLLAAQAKISAYEKRSQVAMTSQESQQSEFEDLRNVIASKLDNLRSKKDVTRQERTVLVQPLVAEPRTRAPETQPKFPVAQPFPPPPPPPPRGLPPPPPPPPSRVAPTGSNAMQKPTALVELYQSLMKRDGKKGPLGNGSSSSPLASNAHNSIVGEIQNRSSHLLAIKADVETKGGFIRDLIEKVNSAAYSDIEDVLNFVGWLDKELSTLADERAVLKHFNWPERKADALREAAFEYRDLKRLALESASFEDDTSMSCEATLKKITTLLDKSERSIQRLVKLRETTMLSYKENKIPVDWMLDSGMVKKIKLASVKLARVYIRRVLTELRSGRHTEKESTHESLIVQGVHFAYRAYQFAGGLDSETMCAFEELRKRAVQSNGRGSRDQLPGITLS >DRNTG_12855.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000577.1:6850:31713:1 gene:DRNTG_12855 transcript:DRNTG_12855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSVVEVVTAVDKVELEVVEEVGLAVTTTKTGNRTPVSLRDPGSLLPIAAYFGRAPQPAKGGEPSQMHKVSSEEIRKTWIIHTRIPNALVEEAVRPRRSSRSPTSVGQSNPLSPPQGFLPITGSKRPRSSPTCNHCLRSSHKTSECRHRLTCKRCGGAGHLAANCRVELQASPRHRRVRPKAKSGPSHSATSLDEVQKTVDDLPSKPKWQRSQISISLTQETSKLRKDLAKIIVLDIISGQTSEDILQEFLPGALNTPRVDAVYDFKGNSYLATLCSEEEAIKASKIGELSLPSKLGPCVISIKPWSPEIGSVGSASGNAQVLLIWNLPLHAWTWSVLVDILRPIGDLVAIPQPSKPHKSFVSVLVRCRPRVVLPHEFILSFGMRKFIVLITDNRLPFPTFRRDLDKYVVISPRHEDPSVANLHSTTDAGREIKGKEVVKAGDEDLANKSEAKRDRDSQQHRRVWKPRPQPNSTRPVSSAPVVPTCPSKFTRPVSVTPGVSITLPETRPASPAPGVDENRLTRKDGQRSASRRTVGPEPLNQGITRQSLTLHASHEPPDQGSVVDHALVDVEGCAVDHALVKVFIPSDDRSHATMLERVEIIPSGNVQGDHVCSPLTSSQLDVQSGPPPCKLNTPNPVSTEQFTLGSASNLVDLGPPLLGPSSAFKKTDGLDPANDFPGPISEAQQENKNSNSTSNVQGQPPHGEFALEFDPFCNPDYPPPNLPLPPDFSWIFNHGFWSLVPIKFSKEITTRFLERDDSSMTENMAQGNADIPADVSLQDPVVQISEGSGQEGDSLNCSEMEDSESDFEVKVRRLLHSGQTEDPTQGTRRSERRKKPSSRWNEEAGFLPQPPRSSKKKGNSSTTRDAKAVNQIKGA >DRNTG_16642.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1983404:1985869:1 gene:DRNTG_16642 transcript:DRNTG_16642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAPQSEEIMQEEPKRERLMHLDFVAVAAAQAVVCLASLYDFSKGQRRTTQVRCSERRRHRQSRRRPCLR >DRNTG_02253.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1021734:1023721:1 gene:DRNTG_02253 transcript:DRNTG_02253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPRAREQHAKESDDPKAGKPRRISDNIMPHLLNLYASRATAQDFEIYAPGATFEDPLMCAHGVKQIKSAFYSLSKVFSESKIVEYNILENAIAPGKMEILIDNKQHYKIFGKDIDVLSLIRLNVEDGRIIRHEDWWDGKPLKNRDTVKLPLIGRLAEASRRGSMLITHAMMGFGKDPQP >DRNTG_27711.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15516872:15519447:-1 gene:DRNTG_27711 transcript:DRNTG_27711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKANQNWDKTTRQVFVPKKRKCVGQSCLNKYEQELMRIFLNCRMDSLHEVQIVILPILMNGHFHVVVLDNDKQEYRHYSSCAGYEKDALDMRNVFDTTVDMQFGESRPQSTHSFTTWKPHGKNKEASIAPSMSCVLSSNYFGVS >DRNTG_14690.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000740.1:38215:44275:-1 gene:DRNTG_14690 transcript:DRNTG_14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAKESRGEEDEIGRIGVGSLKATSF >DRNTG_14690.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000740.1:38215:41992:-1 gene:DRNTG_14690 transcript:DRNTG_14690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAKESRGEEDEIGRIGVGSLKATSF >DRNTG_05720.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5296744:5297409:1 gene:DRNTG_05720 transcript:DRNTG_05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNLLTLLLLPLILPVSYAATFNIVNQCSYTVWAAAIPGGGKQLDKGQTWTINVNASTTGGRVWARTGCNIDSSGHGSCQTGDCNGLLQCQAYGKPPNTLAEFALNQYANLDYIDISLVDGFNVPMDFSPTGGCARGIRCSADIIGKCPAQLKTTGGCNNPCTVFKTNEYCCTSGSCQATDYSKFFKNLCSDAYSYPKDDQTSTFTCPAGTNYKVTFCP >DRNTG_32731.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001904.1:16182:16884:-1 gene:DRNTG_32731 transcript:DRNTG_32731.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIDRRNHDTTCKNTKKKKRMRRTDDNDEYKESSRCQQFIRN >DRNTG_17370.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000892.1:22631:83779:1 gene:DRNTG_17370 transcript:DRNTG_17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTKLLVLGFMLLVAISLSSAARTLNEEYGGGYGGGGGHGGGGEYGKGGGEDGGGGHGGGGIDGHGGYGGGGYEHGGYGGGGYGHGGGGGHGGGGGD >DRNTG_17370.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000892.1:82939:83779:1 gene:DRNTG_17370 transcript:DRNTG_17370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTKLLVLGFMLLLAISLSLAARTLNEENGGGYGGGEGHGGGGYGGGGGHGGGGEYGKGGGEDGGGGHGGGGIDGHGGYGGGGYGHGGYGGGGYGHGGYGGGGYEHGHGGYGGGGYEHGGYGGGGYGHGGGGGHGGGGGD >DRNTG_35025.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7984467:7987544:1 gene:DRNTG_35025 transcript:DRNTG_35025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDTINNEIESKSMQSISRYSCRWSCGVAASSPKVPSSSLKHTSPNRCRRKLPQ >DRNTG_27526.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21088596:21091981:-1 gene:DRNTG_27526 transcript:DRNTG_27526.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVIGVALDFSPCSKAALRWAIDHVVRTGDHLILVNVQKDVNYDVGEVQLWEATGSPLIPLSDLSEPGIIKKYGVKPDAETLDIITTAARQKEIVIVMKIYWGDPREKIIEAVDKIPLSSLVIGSRGLGKIKRVLLGSVSNYVVNSSSCPVTVVKSSEHEA >DRNTG_21715.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:613484:618444:1 gene:DRNTG_21715 transcript:DRNTG_21715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTGRSIEIGRTVKGIGMVILSIGMLAGRRRKRIGIGIVAGIEIRGTKRRSGTRPRRMWARRRRRRIKRGRRRKRRGRERGRKEREERAREREEREKEREERAREREEREKERAREREEREREKERARKREEREERERERTREKRRERERDEDREEDGRDHERKRRRREDSRERDRERSSRSERHKEEAEDHEEKKKKTREEDMEEEQRKLDEEMDKRRRRVQEWQELKRKKEEQEREKMGEGNADEEPKSGKNWTLEGESDDDESAPIKVDEKDTAAGEDSVKPSVEDADAMNVDSGDGNVVPDGTTDGANEEEEIDPLDAFMNSMVLPEVEKLNNSSENAVKPEEKITETEKKASKDGLSNGNQARKAAKSSVGRILPSDDSDSDYGDLENDEVNLEDEDDDDFMKRVKKTKVEKLSIVDHSKIKYPEFRKNFYIEVKEISKMTSEEVAAYRKQLELKVHGKDVPKPIKTWNQTGLTSKILDTIKKLNFEKPMPIQAQAFPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPLNSGDGPIALIMAPTRELVQQIHSDIKKFAKVLNIGCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVEILARKVLNKPAEVQVGGRSVVNKDITQLVEVRPENERFLRLLELLGEWYEKGKILVFVHSQDKCDSLFKELIRHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSIAARGLDVKELELVVNFDVPNHYEDYVHRVGRTGRAGKKGCAITFISEEDARYAPDLVKALELSEQAVPEDLKALADGFMAKVNQGMEHAHGTGYGGSGFKFNEEEDEARKAAKKAQAREFGFEEDKSDSDSEDEGIRKAGGDLSQATSIAQVAALAAAKAAALTAPATTSVLMPTGNLPAVPIPVVPGQSVAPSLPVVGVPNEAAARATALAAAINLQHNLARIHADAMPEHYEAELEINDFPQNARWKITHKETLGPISDWTGAAITTRGQFFPPGKIPGPGERKLYLFIEGPTESSVKKAKAEVKRVLEDYTAQALSLPGAAQPGKYSVI >DRNTG_24791.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31948168:31948711:-1 gene:DRNTG_24791 transcript:DRNTG_24791.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEWFWLKSTTVLRKKMSVVDEIVSAPTAEEKKDDHKDDKYKGDGKKQQQQQQQQQQLPVSVPNHDQMIMRKDNRYRNDPGCFVM >DRNTG_24791.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31947889:31949127:-1 gene:DRNTG_24791 transcript:DRNTG_24791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWFWLKSTTVLRKKMSVVDEIVSAPTAEEKKDDHKDDKYKGDGKKQQQQQQQQQQLPVSVPNHDQMIMRKDNRYRNDPGCFVM >DRNTG_24791.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31948168:31948770:-1 gene:DRNTG_24791 transcript:DRNTG_24791.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEWFWLKSTTVLRKKMSVVDEIVSAPTAEEKKDDHKDDKYKGDGKKQQQQQQQQQQLPVSVPNHDQMIMRKDNRYRNDPGCFVM >DRNTG_24791.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31947889:31948770:-1 gene:DRNTG_24791 transcript:DRNTG_24791.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEWFWLKSTTVLRKKMSVVDEIVSAPTAEEKKDDHKDDKYKGDGKKQQQQQQQQQQLPVSVPNHDQMIMRKDNRYRNDPGCFVM >DRNTG_24791.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31947889:31948711:-1 gene:DRNTG_24791 transcript:DRNTG_24791.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEWFWLKSTTVLRKKMSVVDEIVSAPTAEEKKDDHKDDKYKGDGKKQQQQQQQQQQLPVSVPNHDQMIMRKDNRYRNDPGCFVM >DRNTG_24791.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31948168:31949127:-1 gene:DRNTG_24791 transcript:DRNTG_24791.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWFWLKSTTVLRKKMSVVDEIVSAPTAEEKKDDHKDDKYKGDGKKQQQQQQQQQQLPVSVPNHDQMIMRKDNRYRNDPGCFVM >DRNTG_32439.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12585787:12586819:1 gene:DRNTG_32439 transcript:DRNTG_32439.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSMVLWKVLYSSSKDSFIRYRIRLDRSSPTNLLPNGITMAGIGQLHGRGRYTCPCGISTWAWIISTRPCGFSVSLVSRPTVNSAATVFDLNNFPIPYFHRDGMLECDCLCALQYGCAHSNARRLAHTLASHTCPMSSRLNLSKISSKIDFKSRRRLVICLVVEDSSGPSGITITTSTSTLQSNTRSSRGTRARHRH >DRNTG_32261.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001813.1:25517:29744:-1 gene:DRNTG_32261 transcript:DRNTG_32261.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNIHTLNISLLLPFQELRSLNLRGNHFNSCIPSDCFGRMAKSDINLRFLDLSWNNFDSKALSSLAALRSLKALSLKQFWLESSLQYTYDKQGQMKSELFINTLSSALSKLSKLKYLDLSENWMNGSIIPYLGHISSLKTLDLSGNKMDEGLDLNGLCKIKNLEELDISYNSMNANIPLCIRNLSSLNYFDASYNQFEMNLPSSIFENLTRLEFLDFSNNNFEGVFSLSSFANNTELKFLDLSNNRRLEIETEDLINPLFQLKVIDLANCIVNMVSHSFPTLFSTQYMVQYIDLSSNNLEGNIPLWLFVNKTNLINLTLRNNSLGGSLILPSQSTKLVRLDVSNNKLTGEVPVSIGLVFPNLTYLNMSHNLLQGVIPSSIKHLKLLKYLALSNNNISGQISDFVEELQDLYVLDLSVNQFQGHLLPNNWNPLGISVFVFAVNNNQLTGEIPTNLCNMSSLSFLDISENHFSGNLPSCITNMFLSVLSVEGNNLEGNLPTELCYMNGLALLDLSKNNFFGQVPSCFNLLTQLEYLNLRGNKLTGPFPNAFSNMSQLATLDLGNNHFVGHIPNWIGTKLHLLKIFSLKGNHFNGPISEQICNLRFLRILDLSHNNLSGHIPSCLHNIGHDPGLDFQFSIMIDLLTPQVSKVPHQEYITFEVKPADSTLTISEYIEFHMKKRSDNYRGDILHYLSGLDLSCNQLIGMIPENIGQMTWLRVLNFSNNLLIGPIPATLSNLRNIESLDLSHNMLAGRIPPQLAELHFLAVFSVAYNNLSGPTVGLVAQFSTFDETSYEGNLYLCGPPLVKNCTSIVPSPKHQVTNDIHPDKKAAKDRLILFASIALGFIIGFWGWMALLFLNRNLRYSYFLAIDGYMEEAFDMAGNLLSKMKSCL >DRNTG_18924.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12783236:12790916:-1 gene:DRNTG_18924 transcript:DRNTG_18924.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18370) UniProtKB/Swiss-Prot;Acc:Q9SEL7] MGMATLTSLHSVPLPSSTSPRKPPSTSLSSSRFTRRTSSIALLASPLLFISDNNAKAEEKKDEFQPDEEERVVRIFEETSPSVVFIKDLELPARNREADGEDEFRDAKVEGTGSGFVWDKMGHIVTNYHVVEKLANDRSGLQRCQVFFEDMNGNTFSREGKLVGYDPAYDLAVLKVDVEGDKLRPASIGSSRSLLVGQSCFAIGNPYGYDHTLTTGVVSGLGREIPSPNGRAIRGAIQTDAAINAGNSGGPLIDSYGHVIGVNTATFTRKGSGISSGVNFAIPIDIVCQTVPYLIVYGTPFNNRF >DRNTG_35374.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18225303:18227030:-1 gene:DRNTG_35374 transcript:DRNTG_35374.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDIKSIINSNLPIGGMTGKGSKTSESSSSSSDALSIDVKQSIECHDASSSIGFAALPVKSSDQDYWSFLALQQQQQQQNSSNGALGFGVFSNGVNMGFMSSHDQQNNMMGHGSGCYVWNNNGMVGEQQEQSSSCNSMPYGSTATTSSSSYYEGNNNYVGNWGAVAPNSSTAYYQYETGKTNMGLVQTPIYGIE >DRNTG_35374.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18225303:18227668:-1 gene:DRNTG_35374 transcript:DRNTG_35374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDIKSIINSNLPIGGMTGKGSKTSESSSSSSDALSIDVKQSIECHDASSSIGFAALPVKSSDQDYWSFLALQQQQQQQNSSNGALGFGVFSNGVNMGFMSSHDQQNNMMGHGSGCYVWNNNGMVGEQQEQSSSCNSMPYGSTATTSSSSYYEGNNNYVGNWGAVAPNSSTAYYQYETGKTNMGLVQTPIYGIE >DRNTG_35374.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18225303:18226534:-1 gene:DRNTG_35374 transcript:DRNTG_35374.3 gene_biotype:protein_coding transcript_biotype:protein_coding RHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDIKSIINSNLPIGGMTGKGSKTSESSSSSSDALSIDVKQSIECHDASSSIGFAALPVKSSDQDYWSFLALQQQQQQQNSSNGALGFGVFSNGVNMGFMSSHDQQNNMMGHGSGCYVWNNNGMVGEQQEQSSSCNSMPYGSTATTSSSSYYEGNNNYVGNWGAVAPNSSTAYYQYETGKTNMGLVQTPIYGIE >DRNTG_29757.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15957026:15958698:1 gene:DRNTG_29757 transcript:DRNTG_29757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENNSFLDPLQSSYKYQVAEALNYSSKKTPKKASKQASRTPSKMQAATVCFTGPMPLKSTKTLVPRKSTKTYRTVICPKAVAVAAPDNDTVDYTSSAS >DRNTG_30387.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001569.1:29879:30856:-1 gene:DRNTG_30387 transcript:DRNTG_30387.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKRSTSSIGIVERTEYDPNRSSRIALVRWIEGVLLRRQRKCNTIEEFAPPRKILEPTTATIFLPFFSFSSLPGKVDQRKVACSQAKCLLTLYVVVGLPTRMPPWSKSQAWAGSKQTCAKDVFFSALSSPLAKGETASLSFGSSLGFPRIAVAGAKPAFFAPRMREKLIGKKTFSLCEIRKWRTHCVLWAHRIKRKAALSWQSLRQQETLGLVGAAEHNESKPKADQGSLPAKPIGEGPKDGACKVNRAPVVSNPAAEMSSGFRGLDEWPATIVVRRAKSPGHSRMNQCE >DRNTG_31181.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16455630:16456821:1 gene:DRNTG_31181 transcript:DRNTG_31181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLGSRMTNYYMNFQVDEIGQVVSIGDGIARVYGLNEIQAGEMVEFASGHVVNALGVPIDGRGALSDHELRRVEVKAPGIIEHKSVHEPMQTGLKVVDSLVPIGRDQQEVIIGDRQTGKIAIAIDTILNQKQMNSKGTSQSETLYCVYVAIRQKRSTMAQLVQILPEANALKYSILVATNARIPASCNF >DRNTG_16162.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14892320:14918215:-1 gene:DRNTG_16162 transcript:DRNTG_16162.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH15 chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70070) UniProtKB/Swiss-Prot;Acc:B9DFG3] MNLHHLSSPMQTSSSPSLPFRFPPPISFLLPKLSSPSPNLVSIFRCPRIISRSRIPLHATFPTEKQFSDTEEEDEEEEREKDDAAEDYDEVLLVGVSDEEEEREEDVFQPSADAFSRFEEHKWQRVQRFLSDVREFGEDIIDFAELASIYDFSIDKFQRLAIQAFLRGSSVVVSAPTSSGKTLIAEAAALATIARGRRLFYTTPLKALSNQKFRDFRKTFGETNVGLLTGDSAVNKDAHVLIMTTEILRNMLYQSIGTISSGSRLFHVDVIVLDEVHYLSDISRGTVWEEIVIYCPKEVQLICLSATVANPDELAGWIEQIHGKTELVTSKKRPVPLTWHFSLKSSLLPLLDDKGQKMNRNLSLDYMQLSPSRTDTFSGKRMRREKRKGKAEQGVDSIATFSRQTQLSKNDINSIRRSQVPQIKDTLRQLREKDMLPAIWFIFSRRGCDAAVQYLEDSNLLDECEGVEVELELKRFRNLYPDAVRDIATRGLLHGIAAHHAGCLPLWKSFIEELFQRGLVKLVFATETLAAGINMPARTAVISSLSKRGEIGRIPLSSNELLQMAGRSGRRGIDDAGHVVLLQSPYEGAEECCDILFSGLEPLVSQFTASYGMVLNLLA >DRNTG_16162.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14873622:14918215:-1 gene:DRNTG_16162 transcript:DRNTG_16162.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH15 chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70070) UniProtKB/Swiss-Prot;Acc:B9DFG3] MNLHHLSSPMQTSSSPSLPFRFPPPISFLLPKLSSPSPNLVSIFRCPRIISRSRIPLHATFPTEKQFSDTEEEDEEEEREKDDAAEDYDEVLLVGVSDEEEEREEDVFQPSADAFSRFEEHKWQRVQRFLSDVREFGEDIIDFAELASIYDFSIDKFQRLAIQAFLRGSSVVVSAPTSSGKTLIAEAAALATIARGRRLFYTTPLKALSNQKFRDFRKTFGETNVGLLTGDSAVNKDAHVLIMTTEILRNMLYQSIGTISSGSRLFHVDVIVLDEVHYLSDISRGTVWEEIVIYCPKEVQLICLSATVANPDELAGWIEQIHGKTELVTSKKRPVPLTWHFSLKSSLLPLLDDKGQKMNRNLSLDYMQLSPSRTDTFSGKRMRREKRKGKAEQGVDSIATFSRQTQLSKNDINSIRRSQVPQIKDTLRQLREKDMLPAIWFIFSRRGCDAAVQYLEDSNLLDECEGVEVELELKRFRNLYPDAVRDIATRGLLHGIAAHHAGCLPLWKSFIEELFQRGLVKLVFATETLAAGINMPARTAVISSLSKRGEIGRIPLSSNELLQMAGRSGRRGIDDAGHVVLLQSPYEGAEECCDILFSGLEPLVSQFTASYGMVLNLLAGAKVTHKLKEPDGSKTVQSGRTLEEARKLVEQSFGNYVGSNVMLAAKEELTKIKQEIELLSLEVSEDAIDRKCQEQLSEAEYAEISDLQEELRREKRVRTELRREMEFKRMVAWKPLLKEVDSCNLPFMCLQYKGNEAVQHVIPAVFIGKLSSLFAPRILDMEIQHMEKIVNLLTTLLLVRITLGISSQRSG >DRNTG_08092.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9996650:9997164:-1 gene:DRNTG_08092 transcript:DRNTG_08092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSPTENSSTDTVCERRNTDIIQVSVTQESSTTRSTTKD >DRNTG_27092.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001381.1:15224:16850:-1 gene:DRNTG_27092 transcript:DRNTG_27092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGFPAPLASLSTTEPFAKRQLRWLSHFRGGSSFYQSSSFHSSHEIALSLISTGEESGSTGAGTSDGGNGDA >DRNTG_30858.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001636.1:41524:44172:-1 gene:DRNTG_30858 transcript:DRNTG_30858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSFLWKKVGRGASVEAGLSVFIPYSLWIRYPLIRDYYFDKPVHLRDIRKGILSSFWHHFWGKMINMFQSFDTIMRMVENVEQKVQIVALHDIPCYSNHEQYTTQQPVEESIEEYIARIQGQNYELDNVIKQFERSTSVSMSDQLEESVERILAKFDSSYQDQRQELFSVGVAISKAELCGMNTLISVADCKEIGRVVRPSMKEIGQCGS >DRNTG_07064.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3880813:3882402:-1 gene:DRNTG_07064 transcript:DRNTG_07064.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLNAVLGRSTKQTSKLKALFGLCVSRIAILRKQHQTRCLQARGDIAQLIQLGHQDRALSRVKWFLYLFWMSLW >DRNTG_07064.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3880296:3882402:-1 gene:DRNTG_07064 transcript:DRNTG_07064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLNAVLGRSTKQTSKLKALFGLCVSRIAILRKQHQTRCLQARGDIAQLIQLGHQDRALSRVKWFLYLFWMSLW >DRNTG_04146.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:9196660:9197949:-1 gene:DRNTG_04146 transcript:DRNTG_04146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSLKSSSARKLPIKRRPPPLSSSSPPSPSPSPDPDPSSTLPYSDDAADDDPSSDSLHPPPFKFQRIWSESDEIRFLQGLLGCHAQSMVFPRDLNLFFDRFSEHMAQPFTRSQLSEKLRRLRTKFRIMSGRIHRGQDPSRLAPHDRDILHLCTRLWHPDYAATSPFSSPDAGGGSNKRRRRNPRPLPSASPSQPPPLLPALPAPQEDDKSAVNLLGENEPPQILAKEEEDDVVVAGEEEVDGLAINGVVPDEPEVKPNALPVKIAEATGFGTIRTHGGGNLVKKIVLDVFNECVKEVQKALDDRRVEHMETELSRRWREQQALELDVLSRRLRLVLEHVTP >DRNTG_16374.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000810.1:88036:91035:-1 gene:DRNTG_16374 transcript:DRNTG_16374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFSFQDEIMQLQDIDFSTFSPDPFGLIPVTIQTNIQSSASVLLSKKERARCIAPCFVKYSRSSGEHVRSLELKSSAQMNVHRRVFEYLRKIPKPYKPMTDTRVNKKNSELFQHRMKERLRREKISQYNGDLHKIILPRPKADKNSIVQSAAAYLKELKTTKEDLYKQNKNLKERVDTENILLSKGLNLRTGHKMEDENMKIDEAKINVQLMNPVSTIDSMIKALQCMKEMGVIAMSIQSKFSEDESTTMMTINTKVFSQFLSVTLKFISK >DRNTG_05779.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000269.1:106822:109194:1 gene:DRNTG_05779 transcript:DRNTG_05779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLAGSVPTKALPLTFFQMARNPAVNSAGTVFLLQCRSEILPNPCFHRGAKEAEGALGVLDRRANDKQTSSSKIYYNVSKRAMNSIYCAACTALISAISARASTTSVCITPTVLSSLSK >DRNTG_19371.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5903794:5913344:1 gene:DRNTG_19371 transcript:DRNTG_19371.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UGT80B1 [Source:Projected from Arabidopsis thaliana (AT1G43620) UniProtKB/TrEMBL;Acc:A0A384L9N2] MLDLMARESAGVEGLVKKEQVFSLEAVRAEDGSNVESLDLRISASEEPGLRDSPRPGLDHCHKAPIQHDSSSLLHKGHEIALSRLKTDKRCNKKHDMLWDRLSESEKKKLIEDLVKIQHDGTVEVDVTRNVPVASELLELDALDASSGHTEDAISEACKPIPRLKIAMLVVGTRGDVQVFLAFAKRLQEFGHHVRLATHINFRTFVKSAGVEFYPLGGDPRIMAGYMAKNKGFLLSGPTEISIQRKQLKEVIDSLLPACIEPDLDSGAPFKAEAIIANPPAYGHMHVAEALGVPLHIFFTMPWTPTYEFPHPLARVPQSAAYRLSYLVVDLIVWWGIRAFINEFRKRKLKLSPIAYFSTYHGSISHLPTGYMWSPHLVPKPSDWGALVDVVGFCFLDLGINYQPQREFADWIKLGPKPIYIGFGSMPLEDAEKTTTIILEALKETGQRGIIDRGWGHLGVISEFPADVFLLEDCPHDWLFPQCAAVVHHGGAGTTATGLRAGCPTTVVPFFGDQFFWGDRIHERGVGPAPIPINDLNVERLSNAIKFMLDPEVKIRAMELAKLIEEEDGTANAVNAFHRHLPSELPLPPSLEEPPNPLQWLLLTIEKWCCLPCAT >DRNTG_19371.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5910433:5913344:1 gene:DRNTG_19371 transcript:DRNTG_19371.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:UGT80B1 [Source:Projected from Arabidopsis thaliana (AT1G43620) UniProtKB/TrEMBL;Acc:A0A384L9N2] MLDPEVKIRAMELAKLIEEEDGTANAVNAFHRHLPSELPLPPSLEEPPNPLQWLLLTIEKWCCLPCAT >DRNTG_07577.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22077966:22081728:1 gene:DRNTG_07577 transcript:DRNTG_07577.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFDKYWTQMHGIMSVATILDPRYKMKLLEYYCPLIYGDEVAKMEIDRIQKICYHLISEYSAKFNIGGYGGCSKSSKDYPTQSSLSEESKSMSNFDLFVASIETEKETVSTEFDHHLEERLIPGKGDFDTLSWWRTVLNYPTL >DRNTG_07577.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22077966:22081728:1 gene:DRNTG_07577 transcript:DRNTG_07577.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFDKYWTQMHGIMSVATILDPRYKMKLLEYYCPLIYGDEVAKMEIDRIQKICYHLISEYSAKFNIGGYGGCSKSSKDYPTQSSLSEESKSMSNFDLFVASIETEKETVSTEFDHHLEERLIPGKGDFDTLSWWRTVLNYPTL >DRNTG_07577.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22077966:22081728:1 gene:DRNTG_07577 transcript:DRNTG_07577.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTMPSAIHHHQEARSRRSSEQPKRPNRISYHNPQNPWPNRIPPQRLLPAPNSPRSNKVDVHHKDGTAINL >DRNTG_07577.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22077966:22081768:1 gene:DRNTG_07577 transcript:DRNTG_07577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLHEYPLTMVEHLGFRKFVGVIQPLFKNISRGTLKKDILKIYEHERAKTMKLFEENTNRFAITTDMWTSSNQKKGFMAIMAHYIDASWSLQGRIIRLMFIIRMEPQLIYELISVELDFVFNKLMVLDCVNGLDWLFYVTA >DRNTG_18432.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20102117:20103037:-1 gene:DRNTG_18432 transcript:DRNTG_18432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCQLLTRDFSFEIRWKGKSCNYRGYAGRDNFGDNFGNGKTEDAS >DRNTG_35208.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7956069:7959999:-1 gene:DRNTG_35208 transcript:DRNTG_35208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSSAIAYKPSLNSGEIIDLRQRQTLASQFNCSFPNHKVIFCKTCSANNLALRNTWNSINLFQCRSNASHVEGPQIENDTVKELRRKKLGIFVSGGGSNFQAIHDASSRGLIHGDVSVLVTDKASCGGAEYARDKGIPVLLFPRSKNCPEGLSSIDLINALRTFEVDFLLLAGYLKLIPTELVQAYPKCILNIHPSLLPAFGGKGFYGMKVHRAVIASGARFSGPTVHFVDEHYDTGLILAQRVVPVLANDTPEQLAARVLHEEHNVYAEVVAALCEDRIVWRDDGVPLIRSKANPHEYY >DRNTG_28122.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18798232:18800321:1 gene:DRNTG_28122 transcript:DRNTG_28122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHGESWLQPFRRFSISEAVSEVVSTGAASVIAAFPFPPVSRRRSPSQVVGISSVCNLRLVTMAVNLVNGRCYLTPVVETLAELKDNMTPRHWEIIRRTPFAAFTELEAIYQERALLDSLLQRYDGRTNKFRIGESLLSFRPQDVALVLGLRCDGDAVVFKKNKTRSAFEGRYLSKTYERHRDSIKSTLVQLVRQRGKEENFVKLLMVYLMGKVLFPNTSCSVQNWIVDYVDDLPVMGRYAWAQATHKWQMEDIPQAAARVQDRCAGKKTNTGYIKGCSVALNVWFYELTGTGKKVHFGKIPRMLCYGERNYRKQAMVETSLSSLEGKEVPANAEEEIFVGANRRMDAIASETLARRQDERATSIVRAQRRSPTSSPPRARIPRHRRSPPLPRQIATTPYHDNDSPPNCGSPPDHGSTTDDI >DRNTG_13147.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3680334:3681874:1 gene:DRNTG_13147 transcript:DRNTG_13147.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEIVNGGQNLSNNFEVPILIEDDGNVEKAEACAKELDDICAMLKKKHEEAKEILVRAVVNNNTLLMLN >DRNTG_28820.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4170129:4171244:1 gene:DRNTG_28820 transcript:DRNTG_28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAQLIGKYSSLKSLSLSSSGSTIVLRSGQSLHHSSAHLIAIFAKDIMENIADEIYRNNNSTFEDIEIDINELGLYEESEGHVTQGIDSHQVEDMHELATQDNQEMAISPQSRDERDVRIPFVGMEFEDENEAFRYYLDYAKSKGFGVRKGHVYSSSISQIITCRHFVCDKEEAKCMSDKRQLGKIVQRRRVEYGEWNMDHKDV >DRNTG_34238.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1439463:1446008:1 gene:DRNTG_34238 transcript:DRNTG_34238.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSFTCVIGSSRISRLCVEKFKMKLIGLLERRKQISPVPIQLSIYSPNVVNLTMIDLPGLTKVAVEGQPESIVSDIENMVRSYVEKPNSIILAISPANQDIATSDAIKLAREVDPTGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQQPWVGIVNRSQADINKNVDMIAARRNEREYFETSTDYSHLASKMGSEYLAKLLSKHLESVIRSRIPSITSLINKTIDELEKEMDQIGRPVAVDPGAQLYTILELCRAFDRVFKEHLDGGRAGGDRIYGVFDNQLPAALKKLPIDRHLSLQNVRKVVSEADGYQPHLIAPEQGYRRLIEGALSFFRGPAEASVDAVHFVLKELVRKSIGETQELRRFPSLQAELAAASYEALERFREDGRKTALRLVDMEASYLTVEFFRKLPQEMGNLQGYGQGPRSKSRSQPRPGPRSSPRPRSQPRPSQRSSPRTSASAVCFY >DRNTG_34238.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1439463:1446008:1 gene:DRNTG_34238 transcript:DRNTG_34238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSFTCVIGSSRISRLCVEKFKMKLIGLLERRKQISPVPIQLSIYSPNVVNLTMIDLPGLTKVAVEGQPESIVSDIENMVRSYVEKPNSIILAISPANQDIATSDAIKLAREVDPTGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQQPWVGIVNRSQADINKNVDMIAARRNEREYFETSTDYSHLASKMGSEYLAKLLSKHLESVIRSRIPSITSLINKTIDELEKEMDQIGRPVAVDPGAQLYTILELCRAFDRVFKEHLDGGRAGGDRIYGVFDNQLPAALKKLPIDRHLSLQNVRKVVSEADGYQPHLIAPEQGYRRLIEGALSFFRGPAEASVDAVHFVLKELVRKSIGETQELRRFPSLQAELAAASYEALERFREDGRKTALRLVDMEASYLTVEFFRKLPQEMGNLQGYGQGPRSKSRSQPRPGPRSQPKAKVTAKAKPKVKPKAKVTAKAKPKVKPKDQRKRRLLLLIDILRGISGG >DRNTG_34238.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1439463:1446008:1 gene:DRNTG_34238 transcript:DRNTG_34238.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSFTCVIGSSRISRLCVEKFKMKLIGLLERRKQISPVPIQLSIYSPNVVNLTMIDLPGLTKVAVEGQPESIVSDIENMVRSYVEKPNSIILAISPANQDIATSDAIKLAREVDPTGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQQPWVGIVNRSQADINKNVDMIAARRNEREYFETSTDYSHLASKMGSEYLAKLLSKHLESVIRSRIPSITSLINKTIDELEKEMDQIGRPVAVDPGAQLYTILELCRAFDRVFKEHLDGGRAGGDRIYGVFDNQLPAALKKLPIDRHLSLQNVRKVVSEADGYQPHLIAPEQGYRRLIEGALSFFRGPAEASVDAVHFVLKELVRKSIGETQELRRFPSLQAELAAASYEALERFREDGRKTALRLVDMEASYLTVEFFRKLPQEMGNLQGYGQGPRSKSRSQPRPGPRSQPKAKVTAKAKPKVKPKDQRKRRLLLLIDILRGISGG >DRNTG_20545.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:600143:600454:-1 gene:DRNTG_20545 transcript:DRNTG_20545.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSESADNQPNNPENGSGTKIPEISNGGIQRGNPVGPDN >DRNTG_01381.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28581912:28585034:1 gene:DRNTG_01381 transcript:DRNTG_01381.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMAQTQVPIALTFSVLIFLISISHAARSTPPPSKLKAVNLGGWLVTEGWIKPSLFDAIPNNDLLDGTQVEFKSVTQGMYLCAESGGGTIMVANRTIASGWETFKLWRITSNEFNLRVFNGEFIGLESSGDAVAVSNRPGDTETFEIVRNSGDSSRVRIKASNGLFLQAKTEISVTADYLENTNWGDDDPSVFLMTIVGSMRGEFQITNGYGAEKATAVMQEHWRSFIVEDDFKFMAASGLGGVRIPVGWWMASDPTPPWPFVGGSLLALDNAFIWAEKYNLKVIIDLHAAPGSQNGFEHSGSRDGSTEWGTTQANIDQTVSVIEFLAVRYARRKCLYAIELINEPRAPSVTLESLKNYYKAGYNAVRRHTTVAYVIMSARLSADETELLQFASGFYGAVIDVHYYNLYSNIFDNLSVQQNIDFVNNNRSRQVNTLTTSNGPLVLIGEWVAEWQVNGASKEDYQRFAKAQLEVYGRASFGWAYWTWKNVNQHWSLEWMIKNGYISLI >DRNTG_11174.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4328367:4330409:-1 gene:DRNTG_11174 transcript:DRNTG_11174.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEMTEVSELHPVLDAHVPVMGFKCNGVSIDLLYARLSLRVISEVAQFLGGINWPLLVARICQLYPNALTSMLVSLFFRVFTLWQQMLIKCRSNRCSKVDNGSNSY >DRNTG_11174.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4328367:4330409:-1 gene:DRNTG_11174 transcript:DRNTG_11174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEMTEVSELHPVLDAHVPVMGFKCNGVSIDLLYARLSLRVISEVAQFLGGINWPLLVARICQLYPNALTSMLVSLFFRVFTLWQQMLIKCRSNRCSKVDNGSNSY >DRNTG_11174.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4328367:4330409:-1 gene:DRNTG_11174 transcript:DRNTG_11174.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEMTEVSELHPVLDAHVPVMGFKCNGVSIDLLYARLSLRVISEVAQFLGGINWPLLVARICQLYPNALTSMLVSLFFRVFTLWQQMLIKCRSNRCSKVDNGSNSY >DRNTG_03479.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:857274:860599:1 gene:DRNTG_03479 transcript:DRNTG_03479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRAAQQAIQALGSSVSQSRQLHATPGSKKIVGVFYKAGEYSEKNPNFVGCVERGLGIGDWLKSQGHQYIVTDDKEGPNCELEKHIPDMHVLITTPFHPAYVTAERIKKAKNLQLLLTAGIGSDHIDLKAAADAGLTVAEVTGSNVVSVAEDELMRILILVRNFVPGHQQVIRGEWDVAAISYRSYDLEGKTVGTIGAGRIGKLLLQRLKPFNCNLLYHDRLKMDAQLEQQIGAKFEEDLDAMLPKCDVIVINMPLTEKTKGLFNKERIAKCKKGVLIVNNARGAIMDTQAVADACASGHIAGYSGDVWNPQPAPKDHPWRYMPNQAMTPHTSGTTIDGQLRYAAGTKDMLDRYFKGEDFPPQNYIVKEGKLASQYQ >DRNTG_14065.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5075039:5080099:-1 gene:DRNTG_14065 transcript:DRNTG_14065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKLIKALYGLKQSPRAWYAKLDSWFQSQNFQRSQTEHTLYKKLEMKNMFDMNDLGLMKYFLGFEIKQDINLLDIKEARCMLRLSMS >DRNTG_26932.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2404922:2408761:1 gene:DRNTG_26932 transcript:DRNTG_26932.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERIRAEDYAHSPAHYAVALADKNRLSRFLATLPRLAPPSAILTESDSTRESHLADLVSTALDRRDVPRRDTPLHLAVRLNLPAAVSSLAAAGADPSLQNAAGWTPLQEAISLRRRPLALILLRQHRLSALSKLRRRLPALLSALRRLPDFYLELSLRLDSPLLPFLPRDSLRLWKRSGDLRADSALPSFTSSLRPRRHPLSFLFLASPPTPHPPGSLLVLDRSKKQIRNAFEGADSLDPSPDDADLIADASAYRPGLDVTKAELIPRTNWRGREKTETVGEWKTRVYDVHNVVFSFKTLKPVDGSDDPEILPLDLDEEDGFLVAEIPDLPARHSCSDLGSRGKKMEISGRRSVDISRERMRVGREMVTMAAARGKEKEMVKSLRPSLWLTEDFPLKTEEFLPLLDILSSKVKAVRRLRELLTAKLPPGTFPVKVAIPIVPTVRLVLTFNKFTYLQPSEEFFTPLSSPRHLSLPEDEEHQKTETGRYKSSWLNWHSNSTPKTSTPSPKVSHSSQVVDNVDPFSIPSEYTWLSSAPKSQRMMKSQSKKGLRNEAL >DRNTG_26932.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2404960:2408761:1 gene:DRNTG_26932 transcript:DRNTG_26932.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEERIRAEDYAHSPAHYAVALADKNRLSRFLATLPRLAPPSAILTESDSTRESHLADLVSTALDRRDVPRRDTPLHLAVRLNLPAAVSSLAAAGADPSLQNAAGWTPLQEAISLRRRPLALILLRQHRLSALSKLRRRLPALLSALRRLPDFYLELSLRLDSPLLPFLPRDSLRLWKRSGDLRADSALPSFTSSLRPRRHPLSFLFLASPPTPHPPGSLLVLDRSKKQIRNAFEGADSLDPSPDDADLIADASAYRPGLDVTKAELIPRTNWRGREKTETVGEWKTRVYDVHNVVFSFKTLKPVDGSDDPEILPLDLDEEDGFLVAEIPDLPARHSCSDLGSRGKKMEISGRRSVDISRERMRVGREMVTMAAARGKEKEMVKSLRPSLWLTEDFPLKTEEFLPLLDILSSKVKAVRRLRELLTAKLPPGTFPVKVAIPIVPTVRLVLTFNKFTYLQPSEEFFTPLSSPRHLSLPEDEEHQKTETGRYKSSWLNWHSNSTPKTSTPSPKVSHSSQVVDNVDPFSIPSEYTWLSSAPKSQRMMKSQSKKGLRNEAL >DRNTG_26932.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2404823:2408761:1 gene:DRNTG_26932 transcript:DRNTG_26932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERIRAEDYAHSPAHYAVALADKNRLSRFLATLPRLAPPSAILTESDSTRESHLADLVSTALDRRDVPRRDTPLHLAVRLNLPAAVSSLAAAGADPSLQNAAGWTPLQEAISLRRRPLALILLRQHRLSALSKLRRRLPALLSALRRLPDFYLELSLRLDSPLLPFLPRDSLRLWKRSGDLRADSALPSFTSSLRPRRHPLSFLFLASPPTPHPPGSLLVLDRSKKQIRNAFEGADSLDPSPDDADLIADASAYRPGLDVTKAELIPRTNWRGREKTETVGEWKTRVYDVHNVVFSFKTLKPVDGSDDPEILPLDLDEEDGFLVAEIPDLPARHSCSDLGSRGKKMEISGRRSVDISRERMRVGREMVTMAAARGKEKEMVKSLRPSLWLTEDFPLKTEEFLPLLDILSSKVKAVRRLRELLTAKLPPGTFPVKVAIPIVPTVRLVLTFNKFTYLQPSEEFFTPLSSPRHLSLPEDEEHQKTETGRYKSSWLNWHSNSTPKTSTPSPKVSHSSQVVDNVDPFSIPSEYTWLSSAPKSQRMMKSQSKKGLRNEAL >DRNTG_02466.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2112892:2117291:-1 gene:DRNTG_02466 transcript:DRNTG_02466.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRRDIGGPPAPPPALPVVSPATAPPVPSKPMTQSAPASAPAAPQKASSSPFTNVSPVKASKLAALEASGLNTFVVVSSPTVGSFRKGRTLKGKKQPPSCKQGDIIKEGQVIGFLDQFGNELPIKSDVAGEVLKVLFEDGEAVGYGDPLIAVLPSFHGIK >DRNTG_06028.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14923981:14925418:-1 gene:DRNTG_06028 transcript:DRNTG_06028.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLTIAFEIVLGFFPSGAGELIFAKNISFRLPITYQLSPYSPSFDLHHSPMVLHADEEHLKKTFTGQVTRSLTGYVVFALIGSHHYPVTLSFNTGSDLTWVQCKPCGQCYPKYNPVYNHTISSTFMNTMCEGQYCKIEADRVMRSCTDDRRCLFGHAYGDNKNVMGSLVSDYFEFQEMAGTKKIFHSRLTFGCAHSTVGNFNKEEDGVLGLGRGPFSLISQLAISAFSHCLPPPESYKTSYISFGDAAQTQGPGAYLILNKRYPSRYYLKLHSIALLDHQKKITLDGIPSNTFAFDDDGFGGFYLDMGTPFINLPQVAYHELRKVLDSTLLAYNIRPIGSSDPSGSCFEASFDDVQHISLVFTISLHDLILTGTQVFYENFDSKGVICLGVMESKSKETILGSFAQTSRNIGYDLENMMITFQDMEC >DRNTG_06028.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14922142:14933714:-1 gene:DRNTG_06028 transcript:DRNTG_06028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAEQSAFMIDLYQGGCYFRLNCAHLAGTVKCMLCCISHGFFPSGAGELIFAKNISFRLPITYQLSPYSPSFDLHHSPMVLHADEEHLKKTFTGQVTRSLTGYVVFALIGSHHYPVTLSFNTGSDLTWVQCKPCGQCYPKYNPVYNHTISSTFMNTMCEGQYCKIEADRVMRSCTDDRRCLFGHAYGDNKNVMGSLVSDYFEFQEMAGTKKIFHSRLTFGCAHSTVGNFNKEEDGVLGLGRGPFSLISQLAISAFSHCLPPPESYKTSYISFGDAAQTQGPGAYLILNKRYPSRYYLKLHSIALLDHQKKITLDGIPSNTFAFDDDGFGGFYLDMGTPFINLPQVAYHELRKVLDSTLLAYNIRPIGSSDPSGSCFEASFDDVQHISLVFTISLHDLILTGTQVFYENFDSKGVICLGVMESKSKETILGSFAQTSRNIGYDLENMMITFQDMEC >DRNTG_06028.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14922142:14927738:-1 gene:DRNTG_06028 transcript:DRNTG_06028.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCISHGFFPSGAGELIFAKNISFRLPITYQLSPYSPSFDLHHSPMVLHADEEHLKKTFTGQVTRSLTGYVVFALIGSHHYPVTLSFNTGSDLTWVQCKPCGQCYPKYNPVYNHTISSTFMNTMCEGQYCKIEADRVMRSCTDDRRCLFGHAYGDNKNVMGSLVSDYFEFQEMAGTKKIFHSRLTFGCAHSTVGNFNKEEDGVLGLGRGPFSLISQLAISAFSHCLPPPESYKTSYISFGDAAQTQGPGAYLILNKRYPSRYYLKLHSIALLDHQKKITLDGIPSNTFAFDDDGFGGFYLDMGTPFINLPQVAYHELRKVLDSTLLAYNIRPIGSSDPSGSCFEASFDDVQHISLVFTISLHDLILTGTQVFYENFDSKGVICLGVMESKSKETILGSFAQTSRNIGYDLENMMITFQDMEC >DRNTG_06028.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14932833:14933714:-1 gene:DRNTG_06028 transcript:DRNTG_06028.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVLFFQICIFLLLLGKAHDIVHNILFQFLYHTIISLPNILNSLNSIMIPRSVDDTLKDKNWALAMKEEMQALEKNKIWEIVPKPENAIPVGCKWMFTIKYRVDGTLECYKARLVAKGYTQKYGIDYL >DRNTG_06028.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14927796:14933714:-1 gene:DRNTG_06028 transcript:DRNTG_06028.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYSRRNRLIQTLQQTQSLEPRPGLEMTRIRNP >DRNTG_06028.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14923981:14925167:-1 gene:DRNTG_06028 transcript:DRNTG_06028.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMCEGQYCKIEADRVMRSCTDDRRCLFGHAYGDNKNVMGSLVSDYFEFQEMAGTKKIFHSRLTFGCAHSTVGNFNKEEDGVLGLGRGPFSLISQLAISAFSHCLPPPESYKTSYISFGDAAQTQGPGAYLILNKRYPSRYYLKLHSIALLDHQKKITLDGIPSNTFAFDDDGFGGFYLDMGTPFINLPQVAYHELRKVLDSTLLAYNIRPIGSSDPSGSCFEASFDDVQHISLVFTISLHDLILTGTQVFYENFDSKGVICLGVMESKSKETILGSFAQTSRNIGYDLENMMITFQDMEC >DRNTG_06028.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14922142:14925418:-1 gene:DRNTG_06028 transcript:DRNTG_06028.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLTIAFEIVLGFFPSGAGELIFAKNISFRLPITYQLSPYSPSFDLHHSPMVLHADEEHLKKTFTGQVTRSLTGYVVFALIGSHHYPVTLSFNTGSDLTWVQCKPCGQCYPKYNPVYNHTISSTFMNTMCEGQYCKIEADRVMRSCTDDRRCLFGHAYGDNKNVMGSLVSDYFEFQEMAGTKKIFHSRLTFGCAHSTVGNFNKEEDGVLGLGRGPFSLISQLAISAFSHCLPPPESYKTSYISFGDAAQTQGPGAYLILNKRYPSRYYLKLHSIALLDHQKKITLDGIPSNTFAFDDDGFGGFYLDMGTPFINLPQVAYHELRKVLDSTLLAYNIRPIGSSDPSGSCFEASFDDVQHISLVFTISLHDLILTGTQVFYENFDSKGVICLGVMESKSKETILGSFAQTSRNIGYDLENMMITFQDMEC >DRNTG_06337.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20155953:20156378:1 gene:DRNTG_06337 transcript:DRNTG_06337.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLARKASRPRRRKEPTPGPALDGNPRLSRVSIREVNRSKMGL >DRNTG_33475.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17922099:17924790:-1 gene:DRNTG_33475 transcript:DRNTG_33475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNYHFFLVFFSSSSSFSFICCSFNKHGGGVLIPALGMAQNTTIVHVGLVLDLESLAGKRSHASISMALDDFYASHPSYKTRLLIHSRDSKQNIIHAASAALDLLKNFQAQVILGPLTSPQAVFITDLGNETQVPIVSFSVTSPSISPENTPYFVRTTVNDSSQVGAISSLVKSFGWQEVVLVYENTEYGSGVIPYLVDALQEIDARVPYRSVISPSVNDDQLNEELYKLMTMQTRVFVVHMRSSLTSRLFLMAKEIGMMEEGYVWIMTDGIGNMIDSLDPRSIDAMQGAVAVRSYVPRSQTIANFTTRWKTRFRLENPSSEPADPSVFELWAYDTVWALAMATEKAGVSNSSFRKLPGGDNSTDLGNVGISQNGPELLETLLSTRFKGLSGEFRLVNGQQQSSVFEIVNVIGKGARNIAFWTPEFKISKQFNSASPANLKTIIWPGDTITVSKGWEIPTNGKRLKIGVPVKIGFNEFVNVVHDNTTNRTTVTGYCIDVFDAIMQSLPYAVPYDYLPFEI >DRNTG_28554.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3785670:3787663:-1 gene:DRNTG_28554 transcript:DRNTG_28554.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRILQWGEDRRFDEMRNNLGKLAVFWMFQAVWVWTVSLPVTVVNASNRNPSVQARDIIGWIMWSIGITIEATADQQKLIFKNSPVNKGKWCNVGVWRYCRHPNYFGEILLWWGIFVASTPVLHGAEWLVIFGPVFLTLLLLFVSGVPLLEESADKRYGGIEEYRLYKNTTSPLVPLPPAIYEGLPLWFKRVFLFEFPLYSRNLGVRLS >DRNTG_28554.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3785670:3787663:-1 gene:DRNTG_28554 transcript:DRNTG_28554.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRILQWGEDRRFDEMRNNLGKLAVFWMFQAVWVWTVSLPVTVVNASNRNPSVQARDIIGWIMWSIGITIEATADQQKLIFKNSPVNKGKWCNVGVWRYCRHPNYFGEILLWWGIFVASTPVLHGAEWLVIFGPVFLTLLLLFVSGVPLLEESADKRYGGIEEYRLYKNTTSPLVPLPPAIYEGLPLWFKRVFLFEFPLYSRNLGVRPHMD >DRNTG_28554.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3784998:3787663:-1 gene:DRNTG_28554 transcript:DRNTG_28554.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRILQWGEDRRFDEMRNNLGKLAVFWMFQAVWVWTVSLPVTVVNASNRNPSVQARDIIGWIMWSIGITIEATADQQKLIFKNSPVNKGKWCNVGVWRYCRHPNYFGEILLWWGIFVASTPVLHGAEWLVIFGPVFLTLLLLFVSGVPLLEESADKRYGGIEEYRLYKNTTSPLVPLPPAIYEGLPLWFKRVFLFEFPLYSRNLGVRPHMD >DRNTG_28554.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3784998:3787663:-1 gene:DRNTG_28554 transcript:DRNTG_28554.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRILQWGEDRRFDEMRNNLGKLAVFWMFQAVWVWTVSLPVTVVNASNRNPSVQARDIIGWIMWSIGITIEATADQQKLIFKNSPVNKGKWCNVGVWRYCRHPNYFGEILLWWGIFVASTPVLHGAEWLVIFGPVFLTLLLLFVSGVPLLEESADKRYGGIEEYRLYKNTTSPLVPLPPAIYEGLPLWFKRVFLFEFPLYSRNLGHLLNGFGPPS >DRNTG_28554.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3784998:3787663:-1 gene:DRNTG_28554 transcript:DRNTG_28554.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRILQWGEDRRFDEMRNNLGKLAVFWMFQAVWVWTVSLPVTVVNASNRNPSVQARDIIGWIMWSIGITIEATADQQKLIFKNSPVNKGKWCNVGVWRYCRHPNYFGEILLWWGIFVASTPVLHGAEWLVIFGPVFLTLLLLFVSGVPLLEESADKRYGGIEEYRLYKNTTSPLVPLPPAIYEGLPLWFKRVFLFEFPLYSRNLGVRLS >DRNTG_28554.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3785670:3789640:-1 gene:DRNTG_28554 transcript:DRNTG_28554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTLLDSHFLAFTALVTVGYQLVFFIITALLKFDKVTDFAGSTNFIILSILTLAIKGTWHFRQIVLTVLVVIWGFRLGLFLLMRILQWGEDRRFDEMRNNLGKLAVFWMFQAVWVWTVSLPVTVVNASNRNPSVQARDIIGWIMWSIGITIEATADQQKLIFKNSPVNKGKWCNVGVWRYCRHPNYFGEILLWWGIFVASTPVLHGAEWLVIFGPVFLTLLLLFVSGVPLLEESADKRYGGIEEYRLYKNTTSPLVPLPPAIYEGLPLWFKRVFLFEFPLYSRNLGVRLS >DRNTG_10714.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5128548:5130042:-1 gene:DRNTG_10714 transcript:DRNTG_10714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLSKSKLLFPSEGRSVSFSRMRRSNSLAGLHPSLSPSFRSLRFSSSSSSSPSVGNGGMALGDWSRRRSVERNAIDCLHAKMSLRIGKSVKWWEKGLQSNMKEVQSAQDLVDSLLNADNKLVIVNFYSPGCGGCKALHPKICQFAELNPDVLFFQVNYEEHKSMCYSLNVHVLPFFRFYRGAHGRLCSFSCTNATIKKFKDALAKHSTERCSLGSAKGLEESELLALAANKDLSFNYFKKPMPVPAPDDVAEATPISPMFSPTRVLKGSEDKVFSKVG >DRNTG_09332.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:8652578:8655465:-1 gene:DRNTG_09332 transcript:DRNTG_09332.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSFGRWEADPLFSAAEVVQDSADRMESVFQMLLHEQKLVQGESSDSKLLGQIQYHRRDLLTALETTKWQLEDFERAVNFAASSDKSNSRQNAIVKHREFIIAIREQSAQVERGLASSTTDFDRNSQWAALNEQDRDGLASSLSGGDSNDCHAHYERGNSGIMRRFLDSNMESDEIVEVKVEEGDNLEKYGLGAWTLCQDSATE >DRNTG_09332.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:8652578:8655465:-1 gene:DRNTG_09332 transcript:DRNTG_09332.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSFGRWEADPLFSAAEVVQDSADRMESVFQMLLHEQKLVQGESSDSKLLGQIQYHRRDLLTALETTKWQLEDFERAVNFAASSDKSNSRQNAIVKHREFIIAIREQSAQVERGLASSTTDFDRNSQWAALNEQDRDGLASSLSGGDSNDCHAHYERGNSGIMRRFLDSNMESDEIVEVKVEEGDNLEKYGLGAWTLCQDSATEVEQGESNISFTYYDLENSESGSKCYAYSSRFRRMPIWRFFRNFQLANTGKRSFAKRRKDEEIAGRCACRYWRRDVILSF >DRNTG_09332.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:8652578:8655465:-1 gene:DRNTG_09332 transcript:DRNTG_09332.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSFGRWEADPLFSAAEVVQDSADRMESVFQMLLHEQKLVQGESSDSKLLGQIQYHRRDLLTALETTKWQLEDFERAVNFAASSDKSNSRQNAIVKHREFIIAIREQSAQVERGLASSTTDFDRNSQWAALNEQDRDGLASSLSGGDSNDCHAHYERGNSGIMRRFLDSNMESDEIVEVKVEEGDNLEKYGLGAWTLCQDSATE >DRNTG_09332.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:8652578:8655465:-1 gene:DRNTG_09332 transcript:DRNTG_09332.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSFGRWEADPLFSAAEVVQDSADRMESVFQMLLHEQKLVQGESSDSKLLGQIQYHRRDLLTALETTKWQVRSTHNLSVLSAFIFTICENVNFVEFSWIVILVISWRTLRGLSILLRHLINPTQGKMQ >DRNTG_09332.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:8652578:8655465:-1 gene:DRNTG_09332 transcript:DRNTG_09332.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSFGRWEADPLFSAAEVVQDSADRMESVFQMLLHEQKLVQGESSDSKLLGQIQYHRRDLLTALETTKWQVRSTHNLSVLSAFIFTICENVNFVEFSWIVILVISWRTLRGLSILLRHLINPTQGKMQ >DRNTG_09332.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:8652578:8655465:-1 gene:DRNTG_09332 transcript:DRNTG_09332.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSFGRWEADPLFSAAEVVQDSADRMESVFQMLLHEQKLVQGESSDSKLLGQIQYHRRDLLTALETTKWQLEDFERAVNFAASSDKSNSRQNAIVKHREFIIAIREQSAQVERGLASSTTDFDRNSQWAALNEQDRDGLASSLSGGDSNDCHAHYERGNSGIMRRFLDSNMESDEIVEVKVEEGDNLEKYGLGAWTLCQDSATEVEQGESNISFTYYDLENSESGSKCYAYSSRFRRMPIWRFFRNFQLANTGKRSFAKRRKDEEIAGRCACRYWRRDVILSF >DRNTG_09332.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:8652578:8655465:-1 gene:DRNTG_09332 transcript:DRNTG_09332.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSFGRWEADPLFSAAEVVQDSADRMESVFQMLLHEQKLVQGESSDSKLLGQIQYHRRDLLTALETTKWQLEDFERAVNFAASSDKSNSRQNAIVKHREFIIAIREQSAQVERGLASSTTDFDRNSQWAALNEQDRDGLASSLSGGDSNDCHAHYERGNSGIMRRFLDSNMESDEIVEVKVEEGDNLEKYGLGAWTLCQDSATE >DRNTG_09332.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:8652578:8655465:-1 gene:DRNTG_09332 transcript:DRNTG_09332.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSFGRWEADPLFSAAEVVQDSADRMESVFQMLLHEQKLVQGESSDSKLLGQIQYHRRDLLTALETTKWQLDCNPCD >DRNTG_09332.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:8652578:8655465:-1 gene:DRNTG_09332 transcript:DRNTG_09332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSFGRWEADPLFSAAEVVQDSADRMESVFQMLLHEQKLVQGESSDSKLLGQIQYHRRDLLTALETTKWQLEDFERAVNFAASSDKSNSRQNAIVKHREFIIAIREQSAQVERGLASSTTDFDRNSQWAALNEQDRDGLASSLSGGDSNDCHAHYERGNSGIMRRFLDSNMESDEIVEVKVEEGDNLEKYGLGAWTLCQDSATEVEQGESNISFTYYDLENSESGSKCYAYSSRFRRMPIWRFFRNFQLANTGKRSFAKRRKDEEIAGRCACRYWRRDVILSF >DRNTG_09332.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:8652578:8655465:-1 gene:DRNTG_09332 transcript:DRNTG_09332.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSFGRWEADPLFSAAEVVQDSADRMESVFQMLLHEQKLVQGESSDSKLLGQIQYHRRDLLTALETTKWQLDCNPCD >DRNTG_17761.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:717268:720948:-1 gene:DRNTG_17761 transcript:DRNTG_17761.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSKVQSSLKQEIQQLEKHLKDQFMVRRALEKALGYRSSAIDSSNDSSMPKLTKELIREIAVLEFEVMYLEQYLLSLYRRAFDQQICTLSPSTMDERSKQLLCSLSGHPHEVAKPDISSMRGDSAAQHSQMVLPRKSFTNSSYGTCFAGYQHKLNGHGVDRSHSSILHHSCFSARMSPAAENLCGCPRECHSEPLSPLDHGQNPASGVISLAEYLGTNIADHIPETPGKLSEGMVRCMGSIYCKIADPPLVSQGRSSSPTSSFSSMSAFSPQYVGDMWSPGCKRGSTLDSRLENPFRVEGLKEFSGPYNAMVEVPSICRENLQLPDVEETLLKYKSLVRQLETVDPRKMNADEKLAFWINIHNALMMHAYLDYGIPQNIVKRASLFTKAAYSIGGHSINLDTIQGLILRCRTHRPGQWLRALLSTRTKLKAGDDWLAYAIEQPEPLIHFALCLGNYSDPAVRIYSSRRLYQQLETAKVEYIRATVGIRKEQKILLPKIIDSFAKDKGLCLP >DRNTG_17761.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:718290:718989:-1 gene:DRNTG_17761 transcript:DRNTG_17761.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCMGSIYCKIADPPLVSQGRSSSPTSSFSSMSAFSPQYVGDMWSPGCKRGSTLDSRLENPFRVEGLKEFSGPYNAMVEVPSICRENLQLPDVEETLLKYKSLVRQLETVDPRKMNADEKLAFWINIHNALMMH >DRNTG_17761.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:717268:718989:-1 gene:DRNTG_17761 transcript:DRNTG_17761.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCMGSIYCKIADPPLVSQGRSSSPTSSFSSMSAFSPQYVGDMWSPGCKRGSTLDSRLENPFRVEGLKEFSGPYNAMVEVPSICRENLQLPDVEETLLKYKSLVRQLETVDPRKMNADEKLAFWINIHNALMMHAYLDYGIPQNIVKRASLFTKAAYSIGGHSINLDTIQGLILRCRTHRPGQWLRALLSTRTKLKAGDDWLAYAIEQPEPLIHFALCLGNYSDPAVRIYSSRRLYQQLETAKVEYIRATVGIRKEQKILLPKIIDSFAKDKGLCLP >DRNTG_17761.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:717268:721353:-1 gene:DRNTG_17761 transcript:DRNTG_17761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELACHSTVGLEAVISRNVIAARHKRSKSDSEKGAKRDKLEWSPKSFHHVKLDVAIAEGKSEETQMPRSKVQSSLKQEIQQLEKHLKDQFMVRRALEKALGYRSSAIDSSNDSSMPKLTKELIREIAVLEFEVMYLEQYLLSLYRRAFDQQICTLSPSTMDERSKQLLCSLSGHPHEVAKPDISSMRGDSAAQHSQMVLPRKSFTNSSYGTCFAGYQHKLNGHGVDRSHSSILHHSCFSARMSPAAENLCGCPRECHSEPLSPLDHGQNPASGVISLAEYLGTNIADHIPETPGKLSEGMVRCMGSIYCKIADPPLVSQGRSSSPTSSFSSMSAFSPQYVGDMWSPGCKRGSTLDSRLENPFRVEGLKEFSGPYNAMVEVPSICRENLQLPDVEETLLKYKSLVRQLETVDPRKMNADEKLAFWINIHNALMMHAYLDYGIPQNIVKRASLFTKAAYSIGGHSINLDTIQGLILRCRTHRPGQWLRALLSTRTKLKAGDDWLAYAIEQPEPLIHFALCLGNYSDPAVRIYSSRRLYQQLETAKVEYIRATVGIRKEQKILLPKIIDSFAKDKGLCLP >DRNTG_17761.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:717268:720568:-1 gene:DRNTG_17761 transcript:DRNTG_17761.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSKVQSSLKQEIQQLEKHLKDQFMVRRALEKALGYRSSAIDSSNDSSMPKLTKELIREIAVLEFEVMYLEQYLLSLYRRAFDQQICTLSPSTMDERSKQLLCSLSGHPHEVAKPDISSMRGDSAAQHSQMVLPRKSFTNSSYGTCFAGYQHKLNGHGVDRSHSSILHHSCFSARMSPAAENLCGCPRECHSEPLSPLDHGQNPASGVISLAEYLGTNIADHIPETPGKLSEGMVRCMGSIYCKIADPPLVSQGRSSSPTSSFSSMSAFSPQYVGDMWSPGCKRGSTLDSRLENPFRVEGLKEFSGPYNAMVEVPSICRENLQLPDVEETLLKYKSLVRQLETVDPRKMNADEKLAFWINIHNALMMHAYLDYGIPQNIVKRASLFTKAAYSIGGHSINLDTIQGLILRCRTHRPGQWLRALLSTRTKLKAGDDWLAYAIEQPEPLIHFALCLGNYSDPAVRIYSSRRLYQQLETAKVEYIRATVGIRKEQKILLPKIIDSFAKDKGLCLP >DRNTG_12554.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21015524:21016497:1 gene:DRNTG_12554 transcript:DRNTG_12554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDVTISSTGIRTGDDCISIGPGTSGLWIEGVSCGPGHGISIGSLGKEDEEDGVQNVTVRNTVFKGSQNGLRIKTWGRPSTSFVKDIMFEHATMQNVQNPIIITQNYCPGEHHCPGQNSGVKISGVTYNDIQGSSATQVAVDFDCSGSNPCSGIGLE >DRNTG_25724.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17045904:17051783:-1 gene:DRNTG_25724 transcript:DRNTG_25724.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 3 [Source:Projected from Arabidopsis thaliana (AT5G50320) UniProtKB/TrEMBL;Acc:A0A178UGS1] MSTPDHRKKPAPGRGGVEIPVGLSDEEARVRAIAEIVSAMAERSRRGETVDLNALKSAACRKYGLSRAPKLVEMIAAVPEADRAALLPRLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFVRNLHDALSGHTSVNVEEAICYSEHSATKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFCLAKDAGFKVVAHMMPDLPNVGVERDLESFKEFFESPAFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPELLVDIVARILSMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMEDLGLKCRDVRTREAGIQDIHNKIKPEEVELVRRDYVANEGWETFLSYEDTRQDILVGLLRLRRCGRNTTCPELMGRCSIVRELHVYGTAVPVHGRDADKLQHQGYGTLLMEEAERIAQREHRSKKIAVISGVGTRHYYRKLGYELEGPYMVKYL >DRNTG_24287.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21171971:21173160:-1 gene:DRNTG_24287 transcript:DRNTG_24287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYIIPINLSSLRVMEEIKIALTDDADVVTMADMSVAEKVGACSASYKARGAKEACLRYQTT >DRNTG_28607.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28519102:28522392:-1 gene:DRNTG_28607 transcript:DRNTG_28607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERRNPRSTADLLTWSETPLENPEPPRPIKPAGGVGKVLFGGQVTDEEAASLLKRKPCSGSKLREMTGSGIFVGDGENGTSDSSCASLTPNSKTSVRIYQQAIGAMSQISFSADESVSPKKPTSVAEVAKQRELSGTLDSESDSILRKQISDAKCKELSGHDIFGPPPEILPRPLAAQNLGKEKDVEEPAPRNIHTSVKVSNPAGGPSSMIFSEETPVKTAKKIHNQKFAELTGNNIFKEDTPPGSAEKSLLSMAKLKEMTGSNIFADGKVESKDYFGGVRKPPGGESSIALV >DRNTG_26520.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2369502:2370980:-1 gene:DRNTG_26520 transcript:DRNTG_26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTWLGLAPPTSTLAEELPKKVAFGRQNSQQMSALKKKNEEKNEALKRKACLTNNSSPIM >DRNTG_02702.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19658766:19660930:1 gene:DRNTG_02702 transcript:DRNTG_02702.1 gene_biotype:protein_coding transcript_biotype:protein_coding FARSELIPDKDVNARNNNQIACKESGEANISHADMETHDSVCTQETRSE >DRNTG_04475.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31682170:31684870:1 gene:DRNTG_04475 transcript:DRNTG_04475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRAVNPSRRLADGGSLPFVGVFPQKSRTSPLLSVGLVVLGGFLLIAYSFAGSGGLGSVRVASNIGEGLLCTSEVQQAIPILKKAYVDSMQKVLHVGPDTCSVVSNLLKEDGIEAWGVEPYDLEDADATCKNLVRKGIVRVADIKFPLPYKSKSFSMVIVSDALDYLSPKYLNKTLPDLARVSADGLVIFAGFPGQRRAKLSELSKFGKPAKFRSSSWWIRYFVQTGLEENEAAMKKFEQAANKNSYKPSCQVFHLTSSQ >DRNTG_04475.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31682170:31684200:1 gene:DRNTG_04475 transcript:DRNTG_04475.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRAVNPSRRLADGGSLPFVGVFPQKSRTSPLLSVGLVVLGGFLLIAYSFAGSGGLGSVRVASNIGEGLLCTSEVQQAIPILKKAYVDSMQKVLHVGPDTCSVVSNLLKEDGIEAWGVEPYDLEDADATCKNLVRKGIVRVADIKFPLPYKSKSFSMVIVSDALDYLSPKYLNKTLPDLARVSADGLVIFAGFPGQRRAKLSELSKFGKP >DRNTG_34523.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002164.1:44442:45050:1 gene:DRNTG_34523 transcript:DRNTG_34523.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVLIVNTNRGGHTVIVFYLAHDLLTSGHEVTILTVGDVSSDKMKKPPFNQFSELVISSV >DRNTG_17441.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000897.1:67001:68143:1 gene:DRNTG_17441 transcript:DRNTG_17441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRLFSQPLRETMARSSLSKVFGMDSSWLPWRGAPCPSIWNGFDLDTNFIVVHGAGSFRHLKASRLGVHKEGLQRPLVKAGLMATRISFTTNELNCRFNMKITW >DRNTG_30023.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19306566:19309294:-1 gene:DRNTG_30023 transcript:DRNTG_30023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEVRHRNIVKLHGFCSRNGVMYLVYEYVSRGSLGKVLYGDEGGKKFDWAMRVKVIQGVAHALAYLHHDCSPAIVHRDISINNILLESDFEPRVADFGTAKLLLPDASNWTAVAGSYGYIAPELAYTMKVTDKCDVYSFGVVALEVLMGKHPGELLSSVSSMSSTGGNDILLKDVLDQRLVPPTGQLAEEVVFIVKIALTCTQVDPALRPQMRFVAQEISARTQAYLPEPLRAITINKLAGLQQ >DRNTG_02761.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11670591:11673340:1 gene:DRNTG_02761 transcript:DRNTG_02761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWLINTETGIPVIGLIGIFFYGSYLELGSFLQVIIFLHKRASRSESATILLSPSFVFLLGNTIILVLLDKSGNFSTSPTSTTSSPLAGNVYDDFLQTSGSYPITSQGEVDVVMYEDKPVSVEIKIEYR >DRNTG_05908.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18530376:18533039:-1 gene:DRNTG_05908 transcript:DRNTG_05908.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein MALE STERILITY 1 [Source:Projected from Arabidopsis thaliana (AT5G22260) UniProtKB/Swiss-Prot;Acc:Q9FMS5] MHCGNGSSMKRKRGERVFRFESFCEQGVPCHFHGCFYENIMALVEFGNAEPSTTNKATKCWSFRLELHHHPPIFVRLFIVEEIIALSPYRHCPYCRTIGWGHHMICNKRFHIVLSSKGKGSAIPMIEGLELETIFRGTDSANKLSKSMEPQGHLMHGIMHANGFGHLIFVKGIEAGSEHLSGNQILFLWDRICNALHLRKVSLIDVAMKETMELRLIHGIAYGHSWFGHWGYKFSHGSYGITEQMYQKSIEALQALPLCLLLPHFSCSCHELPIIVAKYQAICCHTLLTLGDLLRFMIELKNHLPMNSTTPTMEYHGIISEATCRWSMKRVEMAAQVIVSELKKTESRWTSRQQIRDAARAYIGDTGLLDYVLKSLGNHVVGNYIVRRVVNPVTKVLEYCLEDISNKALPTCNNKNTVRFRCHVTRAQLSRDLLYLYKHVLKERRPGFTSGIFSSIPMAVRIILDMKNLIKDHGKESRLPGRIESGTNRTIELMCTVNITGEGKLPPYEQVSMQAHITIGELKRVVEKHYREMYLGLKSFTAEKVENKEAKDSDLVLRVVEPGSRIVIQGRVAVENGDQEMEIYESGNEERVINCRCGAKEDDGERMISCDICDVFQHTRCMGIADAEETPRVFLCSQCEHDIVSFPSIHY >DRNTG_14587.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000708.1:45600:46863:1 gene:DRNTG_14587 transcript:DRNTG_14587.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHPGRKSYHLESVKATLAAALEMATLEDVLNTVEEHCSKNVATTVAALFTAGREIRETDNPHGRVEIIHARVEIPHGREYRQRPWSCPILALFKADSAPILVFFSPSFPQLARGLRLGL >DRNTG_30196.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6534253:6535397:-1 gene:DRNTG_30196 transcript:DRNTG_30196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPVRLIRSNFLYTSSSSPTSRSTSQSISLDSPK >DRNTG_23896.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2067266:2068312:-1 gene:DRNTG_23896 transcript:DRNTG_23896.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB67 [Source:Projected from Arabidopsis thaliana (AT3G12720) UniProtKB/TrEMBL;Acc:A0A384L704] MGHHCCSKQKVKRGLWSPEEDEKLIKCITTYGHPCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGSFTAQEETIIIDVHRIVGNRWAQIAKHLPGRTDNEVKNFWNSCIKKKLLAQGLDPKTHNLLPNARPSPSSTTVLPFTITSTSSNGFDHAVMSFQDQPNVSSSCFDPTGFIDEASYAWSSTTADHTLNVMNQTQLQGLPQVEPQQHHQQQQQQQQQQGPMHVNDNEMEFNTEAFDLDFMESALSSSDVFCNGNSLDDHLNWDCQDL >DRNTG_23470.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2791138:2794093:1 gene:DRNTG_23470 transcript:DRNTG_23470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFLLSLLFSSILLFSSPSSRFSQALTLPADAAFPSDQAERFIRALNLFPKDLSPDSGSAAFHQTNGRTIVEKPFSLPGLSGGDSIEDLGLHAGYYPLPHSHDAKMFYFFFESRNSKDDPVVIWLTGGPGCSSELAVFYENGPFTIADNMTLVWNDFGWDKASNLIYVDQPTGTGFSYSSDRRDIRHDEKGVSDDLYDFLQAFFATHPKYASNDFYITGESYAGHYIPAFASRVHAGNKAMDGLHINLKGFAIGNGLTDPAIQYKAYTDYALDMGVIHEAEYKRINKIYPACELAIKLCGNSC >DRNTG_23470.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2791138:2800526:1 gene:DRNTG_23470 transcript:DRNTG_23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFLLSLLFSSILLFSSPSSRFSQALTLPADAAFPSDQAERFIRALNLFPKDLSPDSGSAAFHQTNGRTIVEKPFSLPGLSGGDSIEDLGLHAGYYPLPHSHDAKMFYFFFESRNSKDDPVVIWLTGGPGCSSELAVFYENGPFTIADNMTLVWNDFGWDKASNLIYVDQPTGTGFSYSSDRRDIRHDEKGVSDDLYDFLQAFFATHPKYASNDFYITGESYAGHYIPAFASRVHAGNKAMDGLHINLKGFAIGNGLTDPAIQYKAYTDYALDMGVIHEAEYKRINKIYPACELAIKLCVTCLASLLVCNAIFNSIIKIADGINYYDIRKQCEGISVMIFFEHGKIPQP >DRNTG_23470.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2799286:2800526:1 gene:DRNTG_23470 transcript:DRNTG_23470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFLNLKSVRKSLGVGDIEFISCSPTVYQAMLTDWMRNLEVGIPALLEDGVKVLIYAGEYDLICNWLGNSRWVHSMEWSGQQKFVSSSELPFTVDGAEAGLLKTYGPLSFLKVHDAGHMVPMDQPKAALEMLKRWTRGELAQYSESNELHAEI >DRNTG_11465.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18540867:18545731:-1 gene:DRNTG_11465 transcript:DRNTG_11465.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCSSAQSMAICASNATDVDAGVDLEISIYKSCGDHESNSSKLDKMDEIAEVDVPLDDSSVNQISSIDISDDFCIKDEEPDLKTFHTDTEITKADGQRFLSEVIPDTASCDIETNDCKNLGKSEMDASVCKKNSDMEPDEAVTVCQESGNVECSEAVTSVGVVAGERQGYYLEDTVLKLKHLEFNKKFDGGPDDFPSDSVGLKKEMEYRTAIHYDGAKDGDHCAEGFPSPCLNAFTFELDVQEAHPHDATSGPSEALEIVSCTIGTDLAKPDCSFKGQAICHVQSSVSIPEDNMFLPKVCAIPATEAIEDIDQTKLGITSRVDHEETEVDLANVVGCDEDYAWSCSSVTSEPIIFFPESKFASDDDTRIKKTQELGLSIKSNVLGFNVLEIGSGTASDPCPQQMDKQKPDKEKAAHCAFGNSSEHPSAETGLPFADGEKENDFFIEPLDELDHHCFQIKHINDVSGSPSDEFDQQEVLQIQLKEFTVSHASNGLLEKKVQISQVMTENKVVDFSCEEIKLNVLDEGVMYRQTAQEFFLRGDIAGLGGTSTCTQGYATLEDSKTSCSVDVTSQKDDIEGHGASISDRTIPSEKHVTDVLVPEAEMSGLHASIRNKGDKSEIDFMDIFEAPSFATLIEPDMQRPPNEIQPMPEKQLTRSSPSVHPERRSEEITASMSNCSPGRHLVPLKTLLDEANRESRRRMLHARDHSLSSRATKAQVSCRISGESTSTSVTKYQKECFVGVDEKGWNSPARLPVVRKRNARARLPWRPFICCPSLKEGAYC >DRNTG_11465.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18540867:18545731:-1 gene:DRNTG_11465 transcript:DRNTG_11465.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLHASIRNKGDKSEIDFMDIFEAPSFATLIEPDMQRPPNEIQPMPEKQLTRSSPSVHPERRSEEITASMSNCSPGRHLVPLKTLLDEANRESRRRMLHARDHSLSSRATKAQVSCRISGESTSTSVTKYQKECFVGVDEKGWNSPARLPVVRKRNARARLPWRPFICCPSLKEGAYC >DRNTG_07583.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22162662:22167732:-1 gene:DRNTG_07583 transcript:DRNTG_07583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVKFPFRPSRKKSRSGRWGSGSMLEAPHQDFTHFPDKANR >DRNTG_29925.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5421869:5423499:1 gene:DRNTG_29925 transcript:DRNTG_29925.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPTNPKAFKQWQQNAENFTDVQIQTSSGHTIYANANILALASPVLERMLEHARRCSSNSRRQIKVLGVPCDAVLSFVRLLYSSKWRSSDKEEVEEMEKHGMHLLALSHTYRVQWLKRVCEAGLIVSTVNVIDTLKLAKWCDARRLYIKCMVVLTQDLTGVQCAESWRFIQAHDPQLELEILQFIQEKDLRMKRMRRRKEEQGVYMQLVQVLECLDHICTEGCTNVGPLDVDLASTSRGPCGSFSTCEGLQQLILHLPLCSKKLAPGKCTHCKRMWQIFRLHSSLCARTEFCKVPLCK >DRNTG_29925.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5421869:5423499:1 gene:DRNTG_29925 transcript:DRNTG_29925.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPTNPKAFKQWQQNAENFTDVQIQTSSGHTIYANANILALASPVLERMLEHARRCSSNSRRQIKVLGVPCDAVLSFVRLLYSSKWRSSDKEEVEEMEKHGMHLLALSHTYRVQWLKRVCEAGLIVSTVNVIDTLKLAKWCDARRLYIKCMVVLTQDLTGVQCAESWRFIQAHDPQLELEILQFIQEKDLRMKRMRRRKEEQGVYMQLVQVLECLDHICTEGCTNVGPLDVDLASTSRGPCGSFSTCEGLQQLILHLPLCSKKLAPGKCTHCKRMWQIFRLHSSLCARTEFCKVPLCKQFQMRKQEEGKGDDEMWRLLVKKVAFARFMSCLEKTKRTEEVWRKAGKCGDSSCRSVTSSQRRK >DRNTG_28951.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2205026:2212589:1 gene:DRNTG_28951 transcript:DRNTG_28951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGHKRGRTQRKHFKQSKENVWKYKNGSDEASKKTRSSDPSDENPNPNPSWQPFATQNTGFEEYYKAQGIVPENEWEEFICVLRKPLPAAFRINSSGQFFRDILSQLEDDFMKSLEAEIDNENEIDAIRPLPWYPGKLAWHSNFSRMQLRKNQTLERFHEFLKQENEVGNITRQEAVSMVPPLFLDVLPDHHILDMCAAPGSKTFQLLEMIHQLDKPGLLPNGLVIANDVDVQRCNLLIHQTKRMCSANLIVTNHEAQHFPNCSLKSCTENSTGEEARKHTLQFDRVLCDVPCTGDGTLRKAPDIWRKWNAGMGNGLHRLQVDIAMRGLALLKVGGRMVYSTCSMNPVENEAVVAEVLRRSGDSVELLDVSGELPELIRRPGLKTWKVRDRGLWLASYRDVPRYRRGVITPSMFPSDQCFKLDQTEHDNMQEETVTIDAVTGTENASSLELSHDGGNNVETIETAVDMKEAVSNVHEVSGMSSSPEDLDGEIKVEVSSYPLECCMRIVPHDQNSGAFFIAVLKKISPLQAVPENQTSQSTKRKQKIPRNSNENLEVENAEIKPSECIPDQHHHTSAEEPSNDSSKIDQSSLKTTDVEPALPSNQLNDIENELEEVEPSGIGENEKVSKGKLQIQGRWRGVDPVVFFQEKTIIDSIRSFYGICESFPLEGHLVTRNTDANHVKRIYYISKSVHDILQLNFKVGQRLKITSLGLKTFERQASKDCSSLCSFRLSSEGLPLLLPYISKQILHASLLDFQHLLQYRTIKFPDFVNSDFREKATNLMPGCCVVTLNEGNKPIDEILLDASTIAIVCWKGKTNLSIMVSQLDAQELRERLSLRFPSEVTISEDKENNSNSEAEAKKISVDNESTDDFRS >DRNTG_04586.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000220.1:71851:72256:-1 gene:DRNTG_04586 transcript:DRNTG_04586.1 gene_biotype:protein_coding transcript_biotype:protein_coding YDLVDPSANLIFGAVIDQSLTGQVSITLIATGFKRQEETEGGSLQGTQSGGHGDGLGMNRRQSSSITEGN >DRNTG_30327.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19912943:19918799:-1 gene:DRNTG_30327 transcript:DRNTG_30327.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase 4, peroxisomal [Source:Projected from Arabidopsis thaliana (AT3G51840) UniProtKB/Swiss-Prot;Acc:Q96329] MAPSQNQGDFSAGSIKSHVGLPALDVSLAFPQATPAAIFPPSASDYYHFDDLLSSEEQALRRKIREVMEKEIAPIMTAYWEKAEFPFHVIPKLASLKVAGGTIKGYGCPGLSLTANAFAMAEVARVDASCSTFILVHSSLAMLTISLCGSEEQKQKYLPSLAELQTVACWGLTEPDYGSDASSLSTTATKVSGGWILDGQKRWIGNSTFADVLVIFARNTETNQINGFIVKKGAPGLRATKIENKIGLRIVQNGDILLKKVFIPEEDRLPGMNSFQDTKKVLAVSRVMVAWQPIGISMGVFDMCHRYLKERKQFGAPLAAFQLNQEKLVRMLGNIQAMVLVGWRLCKLYESGKMTSGHASLGKGWISLKARETVSLGRELLGGNGILADFLVAKAFCDLEPIFSYEGTYDINSLVTGREITGIASFKPAAFGKRSRL >DRNTG_05348.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18407580:18418472:1 gene:DRNTG_05348 transcript:DRNTG_05348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFILYSGESLLSGQALYYASYTFIMQSDCNLVEYDNGKAIWASGTNGRGTNCRVTMQSDGNLVIYDNNNKAVWASNTNVGQGHYVLILQKDRNVVIYGGALWATNTNKIGASGVMFIESKATIFGALPANKTTAEAKTASISMVVNKKVS >DRNTG_33247.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001980.1:894:2637:-1 gene:DRNTG_33247 transcript:DRNTG_33247.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKSFALIGDSVFRNHARSLLCLLSVVAKPDEIYHDRTNSGIFYYSEYNFTIYDIWSPFLVSYKLEKNLPDLELYLDVLDSQWTKNYDKYDYMLMSGSHWFYRRAMIYENNKVIGCHYCPDLELNNIATDVPYRKALELTFKFITTSEHKPFVVP >DRNTG_33247.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001980.1:911:2798:-1 gene:DRNTG_33247 transcript:DRNTG_33247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKSFALIGDSVFRNHARSLLCLLSVVAKPDEIYHDRTNSGIFYYSEYNFTIYDIWSPFLVSYKLEKNLPDLELYLDVLDSQWTKNYDKYDYMLMSGSHWFYRRAMIYENNKVIGCHYCPDLELNNIATDVPYRKALELTFKFITTSEHKPFVVP >DRNTG_24352.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:410416:411738:-1 gene:DRNTG_24352 transcript:DRNTG_24352.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGSSGSFSEACHRTSHLSKKGSKEKRKFCSTLLPRIIICFACYNLFHTKSNALYTIGSVTLFS >DRNTG_24997.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27189666:27191849:1 gene:DRNTG_24997 transcript:DRNTG_24997.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIVGRSSVDFRELLLHHLFSHCLTLTNSFSSPILLIPLPMRGRLRFLLPLQDHSGTVIGAGRRHNGVYVLDTLHLSSSSRPTHQCHAAVLSHHMWHHRLGHLCQSRMSSLVRHGVLGAVSPSSDIVCVGCKLGKQLQRPYPTSVSQTTAPFELIHSDVWGPAPFISKGGNRYYVIFIDDYTRFTWIYFMHNRSQLLSIYRSFIAMVHTQFAASVKTFRSDSGGEYISQAFRDFLSS >DRNTG_13836.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000643.1:50325:52574:-1 gene:DRNTG_13836 transcript:DRNTG_13836.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic proteinase NANA, chloroplast [Source:Projected from Arabidopsis thaliana (AT3G12700) UniProtKB/Swiss-Prot;Acc:Q9LTW4] MPLSSGAYAHNGEYFVKIHVGTPPQKFLLVADTGSELLWMNCRRSQRCRRCANATGKKRAFHSDSSSSFQPISCSSDLCKTTLPFSLTTCPRSDSPCLYDYSYDDGSTAQGIYARETATVMQSNGRLEKLENLVIGCTSSTSGSSFQGSDGVLGLGYSPISFAVRTANRFSGRFSYCLVDHLSSRNRSNYLVFGPNRSVRSKLARSTPLVISEQTQPLYQVQVLGISIDGQDLRISKAVWDVNGDGGTIVDSGTSLTFLVEPAYKAVTAALNRPLEPVPRVQIDPFEYCYNWTSLKALEIEKNGMPKMVVHLNNSARFEPPVKSYVIDVAPGVKCLGFQSVKWPGQSTLGNIIQQEHFWEFDIRNLRLRFQRSRCLIKR >DRNTG_13836.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000643.1:50574:52440:-1 gene:DRNTG_13836 transcript:DRNTG_13836.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic proteinase NANA, chloroplast [Source:Projected from Arabidopsis thaliana (AT3G12700) UniProtKB/Swiss-Prot;Acc:Q9LTW4] MPLSSGAYAHNGEYFVKIHVGTPPQKFLLVADTGSELLWMNCRRSQRCRRCANATGKKRAFHSDSSSSFQPISCSSDLCKTTLPFSLTTCPRSDSPCLYDYSYDDGSTAQGIYARETATVMQSNGRLEKLENLVIGCTSSTSGSSFQGSDGVLGLGYSPISFAVRTANRFSGRFSYCLVDHLSSRNRSNYLVFGPNRSVRSKLARSTPLVISEQTQPLYQVQVLGISIDGQDLRISKAVWDVNGDGGTIVDSGTSLTFLVEPAYKAVTAALNRPLEPVPRVQIDPFEYCYNWTSLKALEIEKNGMPKMVVHLNNSARFEPPVKSYVIDVAPGVKCLGFQSVKWPGQSTLGNIIQQEHFWEFDIRNLRLRFQRSRCLIKR >DRNTG_13836.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000643.1:50325:52440:-1 gene:DRNTG_13836 transcript:DRNTG_13836.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic proteinase NANA, chloroplast [Source:Projected from Arabidopsis thaliana (AT3G12700) UniProtKB/Swiss-Prot;Acc:Q9LTW4] MPLSSGAYAHNGEYFVKIHVGTPPQKFLLVADTGSELLWMNCRRSQRCRRCANATGKKRAFHSDSSSSFQPISCSSDLCKTTLPFSLTTCPRSDSPCLYDYSYDDGSTAQGIYARETATVMQSNGRLEKLENLVIGCTSSTSGSSFQGSDGVLGLGYSPISFAVRTANRFSGRFSYCLVDHLSSRNRSNYLVFGPNRSVRSKLARSTPLVISEQTQPLYQVQVLGISIDGQDLRISKAVWDVNGDGGTIVDSGTSLTFLVEPAYKAVTAALNRPLEPVPRVQIDPFEYCYNWTSLKALEIEKNGMPKMVVHLNNSARFEPPVKSYVIDVAPGVKCLGFQSVKWPGQSTLGNIIQQEHFWEFDIRNLRLRFQRSRCLIKR >DRNTG_13836.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000643.1:50223:52440:-1 gene:DRNTG_13836 transcript:DRNTG_13836.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic proteinase NANA, chloroplast [Source:Projected from Arabidopsis thaliana (AT3G12700) UniProtKB/Swiss-Prot;Acc:Q9LTW4] MPLSSGAYAHNGEYFVKIHVGTPPQKFLLVADTGSELLWMNCRRSQRCRRCANATGKKRAFHSDSSSSFQPISCSSDLCKTTLPFSLTTCPRSDSPCLYDYSYDDGSTAQGIYARETATVMQSNGRLEKLENLVIGCTSSTSGSSFQGSDGVLGLGYSPISFAVRTANRFSGRFSYCLVDHLSSRNRSNYLVFGPNRSVRSKLARSTPLVISEQTQPLYQVQVLGISIDGQDLRISKAVWDVNGDGGTIVDSGTSLTFLVEPAYKAVTAALNRPLEPVPRVQIDPFEYCYNWTSLKALEIEKNGMPKMVVHLNNSARFEPPVKSYVIDVAPGVKCLGFQSVKWPGQSTLGNIIQQEHFWEFDIRNLRLRFQRSRCLIKR >DRNTG_33769.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:6959:9632:-1 gene:DRNTG_33769 transcript:DRNTG_33769.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKMKCLHTLKEDPLIPFNRFHAFVYFFAVLAVVYHRFSLVMNDTSSGVPFSMFASLLVAELLFALQWFTGQGSKWRPVTRREFPERLWEMVEEKQLPKLDVFVCTADPYKEPPVNVVCTALSAMAFDYPTDRLSVYVSDDGCSELTLFAFMEAAKFALHWLPFCKDNNILVRSPEAYFNSSNHHDHSHQKIKIMYQDMKERIDSCMKRGFVSEDMIISQKESELFMKWKRFTRRDHPSIIEILLESDKDKDVSSVPLPNLIYLSREKSSKIAHNFKAGALNSLLRVSGMITNAPVILTLDCDMYSNDPSTPLRAMCYLLDPSMASDLAYVQFPQRFPGINDKDIYAAEIRHLFQINSQGLAGLCGPSCVGTNCFFNRRCFFGSPSSSSLHNDAICDAKNEGISYSILQKALDALSCRYEHGTKWGSSIGFQYESIVEDYNTGYRLQCRGWRSVFCEPERPAFFGDAPMSLNDALGQTKRWCVGLYEVGFSRYCPLTFGAKNVSFLAGLCYAYYSFWGLWSISITIYALLPQLALINHIPLFPKISDPCFYLYAYLFITTYAQELIEFMRGGSTFWKWWNEQRIWMIRGVTSFLFGSIEFTLKCIGISTPGFTVTNKVMEDDEQSERYNKGLYEFGVASPLFFPLAVVTIVNLSCFTLGVAEVLRKKVSFDEMFVQLFISGFVVLNSWPIYEAMFFRKDQGRMPTIVTVFGVCMTSLFVLLSFFLF >DRNTG_10402.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1631827:1633882:1 gene:DRNTG_10402 transcript:DRNTG_10402.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATCHASAPIMAKVILQNMHHLFHCSWMEMKPASIYTPRTQYIRLKTTQTINKSKYICSSSLPRDLPIQQNFQCFLLTSLILSKLSILSRIKNKVDKSRIASYPYGSPSSKNQSFTKEPKSSSHPTRNQFRKRMDPQDIALNKKSKNPQSNINHHLTNTSKTKKSKIRRKTPKAGPPKGITRKHLDLHP >DRNTG_10402.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1631827:1633882:1 gene:DRNTG_10402 transcript:DRNTG_10402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCHASAPIMAKVILQNMHHLFHCSWMEMKPASIYTPRTQYIRLKTTQTINKSKYICSSSLPRDLPIQQNFQCFLLTSLILSKLSILSRIKNKVDKSRIASYPYGSPSSKNQSFTKEPKSSSHPTSY >DRNTG_10402.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1632262:1633882:1 gene:DRNTG_10402 transcript:DRNTG_10402.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATCHASAPIMAKVILQNMHHLFHCSWMEMKPASIYTPRTQYIRLKTTQTINKSKYICSSSLPRDLPIQQNFQCFLLTSLILSKLSILSRIKNKVDKSRIASYPYGSPSSKNQSFTKEPKSSSHPTSY >DRNTG_10402.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1631827:1633882:1 gene:DRNTG_10402 transcript:DRNTG_10402.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATCHASAPIMAKVILQNMHHLFHCSWMEMKPASIYTPRTQYIRLKTTQTINKSKYICSSSLPRDLPIQQNFQCFLLTSLILSKLSILSRIKNKVDKSRIASYPYGSPSSKNQSFTKEPKSSSHPTKEEIKNPKKSIQEKDGSSRHRFE >DRNTG_10402.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1632262:1633882:1 gene:DRNTG_10402 transcript:DRNTG_10402.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATCHASAPIMAKVILQNMHHLFHCSWMEMKPASIYTPRTQYIRLKTTQTINKSKYICSSSLPRDLPIQQNFQCFLLTSLILSKLSILSRIKNKVDKSRIASYPYGSPSSKNQSFTKEPKSSSHPTKEEIKNPIVLIFIPRNQFRKRMDPQDIALNKKSKNPQSNINHHLTNTSKTKKSKIRRKTPKAGPPKGITRKHLDLHP >DRNTG_10402.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1632262:1633882:1 gene:DRNTG_10402 transcript:DRNTG_10402.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATCHASAPIMAKVILQNMHHLFHCSWMEMKPASIYTPRTQYIRLKTTQTINKSKYICSSSLPRDLPIQQNFQCFLLTSLILSKLSILSRIKNKVDKSRIASYPYGSPSSKNQSFTKEPKSSSHPTRNQFRKRMDPQDIALNKKSKNPQSNINHHLTNTSKTKKSKIRRKTPKAGPPKGITRKHLDLHP >DRNTG_27116.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1724722:1725009:1 gene:DRNTG_27116 transcript:DRNTG_27116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKSEVEKKADVYLTDESHSSEKVPLETSDNSSNVEKGVQSDSKDGNDSSQQVPLETENKASRGKKAKFVKNTNKTFDWDRLRKQVSS >DRNTG_18730.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1143615:1146972:1 gene:DRNTG_18730 transcript:DRNTG_18730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVYELHRLYRIQKDLMKELKMKELTNGSVPIDASRSTLYPSQMPAEVTKHVAQMYHLREVSNHYEGVAPMDNDNMRIPLNFLKENGAQTSPASSIPKANRVSVKDGESSGSKIARYPKRMIDLELPADVYIDCEDTENVEIGNIGSSTSVVNSHNKGSDLFPENDVKLTLSVGKDPSAIGVCLQPYSQNSLFTQRLSDGNERFKGSCAKEAPNLASSDFFDTKSYNEKIQEHQNSTRSRTNFLGHERGFFKDKHGNEGTRLNFFHANEEVKRELPLFNSEAGQSRSTGSYFSPSLCSERDLISPSKPKKLYENNQSDQCRREKWLGEKPTYFPECSERGPQFTNSSYSRSTPQTVHPFSVPPTDYLISSSQLVPSSRKPSNGITHVPIAVQALPCFTGSSATGMHNRNSKSRTQNGKTQDIYSNGFHNGSQSDSISASHLFPTSFTLGKPNLKTGDDLTYGNSEFHGPRKLYNGLGSKDMKSSNANQQDKTISGIEWRHDESSGVISWLGAKPPSMRSVDGKHAEFYTYASSSGGMVAPKFEWGQERERSHMSTFLDSVSAVGVKERRFQINEVSDDPSSKRILGFSVTDQIQANLSNPVLNSREKLSLSVNSKHKQIDGCTEDRNSKKRAYMSDLFSGTGAAGHISKVPPESTIVVSFPAKTTTGIDLEAPNNHLEDDGTPSGHLGIPVEIPYSKTNGSLEKDLFNDPIIRNAAENIIFLLSDTCGYMKDASSCQLSPGSCHSLDWFAEVVSSIAENAGSRCHEDDELDSFESMTLKLEEVKVEEYTQWCKPMEQPDNPKDGEANAASLLFTRPRRGHARRRRQKDFQKDILPGLVSLSRHEVIEDLQTIGAMMRAAGMPWPMGLSRRGTGQGRGRRRTRNVAVTVVENPPVSPPPVQPSSTEVEANGTCMVGWGRRTTRQCRRQRYPSGNVAVLLT >DRNTG_18730.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1141241:1146972:1 gene:DRNTG_18730 transcript:DRNTG_18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVHCESYLPGYYTMRDPNEGVSSGWFPFYDRNLNGHLHGGLLPEPVKGHLNHDMEIVKRTILEHDSVFKKQVYELHRLYRIQKDLMKELKMKELTNGSVPIDASRSTLYPSQMPAEVTKHVAQMYHLREVSNHYEGVAPMDNDNMRIPLNFLKENGAQTSPASSIPKANRVSVKDGESSGSKIARYPKRMIDLELPADVYIDCEDTENVEIGNIGSSTSVVNSHNKGSDLFPENDVKLTLSVGKDPSAIGVCLQPYSQNSLFTQRLSDGNERFKGSCAKEAPNLASSDFFDTKSYNEKIQEHQNSTRSRTNFLGHERGFFKDKHGNEGTRLNFFHANEEVKRELPLFNSEAGQSRSTGSYFSPSLCSERDLISPSKPKKLYENNQSDQCRREKWLGEKPTYFPECSERGPQFTNSSYSRSTPQTVHPFSVPPTDYLISSSQLVPSSRKPSNGITHVPIAVQALPCFTGSSATGMHNRNSKSRTQNGKTQDIYSNGFHNGSQSDSISASHLFPTSFTLGKPNLKTGDDLTYGNSEFHGPRKLYNGLGSKDMKSSNANQQDKTISGIEWRHDESSGVISWLGAKPPSMRSVDGKHAEFYTYASSSGGMVAPKFEWGQERERSHMSTFLDSVSAVGVKERRFQINEVSDDPSSKRILGFSVTDQIQANLSNPVLNSREKLSLSVNSKHKQIDGCTEDRNSKKRAYMSDLFSGTGAAGHISKVPPESTIVVSFPAKTTTGIDLEAPNNHLEDDGTPSGHLGIPVEIPYSKTNGSLEKDLFNDPIIRNAAENIIFLLSDTCGYMKDASSCQLSPGSCHSLDWFAEVVSSIAENAGSRCHEDDELDSFESMTLKLEEVKVEEYTQWCKPMEQPDNPKDGEANAASLLFTRPRRGHARRRRQKDFQKDILPGLVSLSRHEVIEDLQTIGAMMRAAGMPWPMGLSRRGTGQGRGRRRTRNVAVTVVENPPVSPPPVQPSSTEVEANGTCMVGWGRRTTRQCRRQRYPSGNVAVLLT >DRNTG_02578.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19401225:19403691:1 gene:DRNTG_02578 transcript:DRNTG_02578.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQRPHCPPAQLLRPPRCPWSSHCFRVAAMSPLIQSPSSMVRISFVFTACLNSSIPEIHCQSTPGVTGAVMWDSGVVVGKFLEHAVDVGELSLNGKKVVKLGSKCGLVR >DRNTG_02578.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19401225:19401947:1 gene:DRNTG_02578 transcript:DRNTG_02578.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQRPHCPPAQLLRPPRCPWSSHCFRVAAMSPLIQSPSSMVRISFVFTACLNSSIPEIHCQSTPGVTGAVMWDSGVVVGKFLEHAVDVGELSLNGKKVVKLGSKCGLVSCVGWGCDSHSSS >DRNTG_02578.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19401225:19403691:1 gene:DRNTG_02578 transcript:DRNTG_02578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEEGLRPWWRTWRRRSSSYGRSTTPLPAGTTSSSATLPLVFPLLSCGRHVSIDPVPFLYGVTGAVMWDSGVVVGKFLEHAVDVGELSLNGKKVVKLGSKCGLVSCVGWGCDSHSSS >DRNTG_02578.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19401225:19403691:1 gene:DRNTG_02578 transcript:DRNTG_02578.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQRPHCPPAQLLRPPRCPWSSHCFRVAAMSPLIQSPSSMSTPGVTGAVMWDSGVVVGKFLEHAVDVGELSLNGKKVVKLGSKCGLVSCVGWGCDSHSSS >DRNTG_02578.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19401225:19403691:1 gene:DRNTG_02578 transcript:DRNTG_02578.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQRPHCPPAQLLRPPRCPWSSHCFRVAAMSPLIQSPSSMVRISFVFTACLNSSIPEIHCQSTPGVTGAVMWDSGVVVGKFLEHAVDVGELSLNGKKVVKLGSKCGLVSCVGWGCDSHSSS >DRNTG_31214.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21677349:21680492:-1 gene:DRNTG_31214 transcript:DRNTG_31214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGDHNNLVVRIMDALQNATSGAGEEGNHDGSSDRVESDEDMTLVQFQKEAKAEAMGRRGDDKLWIHIFNLKYGEFNCWNNKPVPKASSFYKALCSAAAKVKPNYWINECNPASLNFLNDPWLFEIPLGFKLTFLNMDIFVENFSVEDCLELAWTAESRLV >DRNTG_17305.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31849409:31850530:1 gene:DRNTG_17305 transcript:DRNTG_17305.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFFSSDEFMVMIVPLIVYWVYSGLYEMLGSLDNYRLHSRRDEDTKNLVSKLDVFKGVLFQQSLQAATTFLIFKLTHDPNQSKTTSTSTTSSFPTLARQIFVAMFVLDAWQYMVHRCMHSNKFLYRNFHSWHHRVVAPYAFAAQYNHPLDGFFTEALSGAMAFFISGMSARTSIFFFSFTTIKGIDDHCGLVLPWNPFQLLFSNNTAYHDVHHQLSGSKCNFSQPFFVVWDKVFGTYAPYSIKKREGGGYEARVLKSCDN >DRNTG_18908.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3361454:3364876:-1 gene:DRNTG_18908 transcript:DRNTG_18908.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:Projected from Arabidopsis thaliana (AT3G11980) UniProtKB/TrEMBL;Acc:A0A178VDD9] MGFACQSSGQVTLEKTVAQASFLVPDKRERWRPTRLMFMPVTKRNCNAVFCNANGNLSNITIQDTVDMINLMPCNGTKSNGAMVGSEEGLGIASYLKGKQFFITGATGFLGKVLIEKILRTAPDVGKIYVLIKAKSKESAIKRLKCEIINTELFRCLREMHGKNYQAFMLRKLVPVVGNVRQAELGLEPELANEISRDVDVIVNSAANTTFDERYDVALDINTLGPSRLMSFTKGFHKLKLFLQISTAYVNGQRQGNILEKPFCIGDTILSETLPPSPENPTRVLDVDGEIKLANQCLSTESCDASLMQKMKSLGLERAKMHGWQDTYVFTKAMGEMVINEKRGSIPVVVIRPSVIESTYQEPFPGWMEGNRMMDPIILHYGKGQLTGFLVDPNGVLDVVPADMVVNSMLAAMAKHGSIAEQGMHVYHIASSVTNPLIFQDLAHLIYEHFNASPCVDSKGRPILVPRMRLFGDMNDFSSYILEDAMWRSRRASVPYEKLSQKLQNLCMKSVDQAKYLASIYKPYTFYGGRFDNTNTQELMQEMSEEERRKFGFDVGAIDWKDYISNVHIPGLRRHVMKGRGVPTGSQLVATAL >DRNTG_25860.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8888353:8893054:1 gene:DRNTG_25860 transcript:DRNTG_25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGALGDGASDSILFSKWRSDFSRKFQYYLDRSTPHPVGRWLGTLAVAAIYILRVYFVQGFYIVSYGLGIYVLNLLIGFLSPMVDPELEVLEGASLPTRGSDEFKPFIRRLPEFKFWYSITKALCVAFVMTFFSIFDVPVFWPILLFYWVVLFVLTMKRQILHMIKYKYVPFSMGKQRYSGKKTAASSSASMD >DRNTG_23508.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:845414:850272:1 gene:DRNTG_23508 transcript:DRNTG_23508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSDQNYHCRFQGENKEWVTLNFGHPNPSIDDWIGVFSPANFSASVCAPENEKVYPPTLCTSPIKFQYANYKDNGYNKTGTGSLKLQLINQREDFSFGLFSGGFSNPKLVAISNTVTFAYPKAPVYPRLAQRKSWNEMTVTWTSGYSIDEAIPFVEWVSKKGTPTHSPAGTLTISRNSMCGAPARTVGWRDPGYIHTSFLKDLWPNMKYAYRLGHKLNNGSYVWSRSYSFRASPFPGQESLQRVIVFGDMGKAEADGSNEFNDFQPASLNTTNQLIKDLENIDLVLHIGDLCYANGYISQWDQFTAQIEPIASTVPYMVGSGNHERDWPGTGSFYGNMDSGGECGVLAETMFYVPADNRAKFWYKTDYGMFRFCIADTEHDWRPGTEQYKFIEHCLASVDRRKQPWLIFLAHRVLGYSSGSFYGIKGSFEEPMGRESLQELWQKYKVDIAFYGHVHNYERTCPVYQNTCMRNASNLYTGPFAATTHVVVGGGGASLAEFTKLRTHWSYFQDFDYGFGKLTTFNHSTLLFEYKKSSDGKVYDHFIITRDYRDILACAFDSCERTTLAT >DRNTG_31481.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1979817:1981349:-1 gene:DRNTG_31481 transcript:DRNTG_31481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKSRVGMRNWEFLDHTGHTSSLIKSNSGVSIADNASADQPTFLKMNTYPDRNTMIDESNSEVSAMDFSWNPQRNFLSHKKNLTPIPSTQVGSEMVRMPEAPENTLHGDELAMKPAKVRKQQASGKSANRIASKALRPKEPKKPPSVPRKKKDKPVSVGKREKKNQNEIVDGAMLDLSTIPVPVCSCTGVPRQCYRWGAGGWQSSCCTTNISEYPLPMSSSRPGARLAGRKMSIGAYGKLLQKLAAEGHDFSFAVDLKIHWARHGTNKFVTIR >DRNTG_06981.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6044:8109:1 gene:DRNTG_06981 transcript:DRNTG_06981.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGKYHCDYCDKEFLDTPPARKRHLQGTQHKRARALWYDSIKAAGGFVFVQSHGNIPQGVCHHFVRTGICKFGDSCKYFHPQHNVLNPAQKVAGTSIMRTLQPPVFPGNQPPGTNLLSGNSIGDAGISWGNLPPSLRPPPEGGYPPLPFIDWG >DRNTG_06981.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6044:8109:1 gene:DRNTG_06981 transcript:DRNTG_06981.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGKYHCDYCDKEFLDTPPARKRHLQGTQHKRARAL >DRNTG_06981.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6044:8109:1 gene:DRNTG_06981 transcript:DRNTG_06981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGKYHCDYCDKEFLDTPPARKRHLQGTQHKRARALWYDSIKADPAGGFVFVQSHGNIPQGVCHHFVRTGICKFGDSCKYFHPQHNVLNPAQKVAGTSIMRTLQPPVFPGNQPPGTNLLSGNSIGDAGISWGNLPPSLRPPPEGGYPPLPFIDWG >DRNTG_06981.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6331:8109:1 gene:DRNTG_06981 transcript:DRNTG_06981.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLQPPVFPGNQPPGTNLLSGNSIGDAGISWGNLPPSLRPPPEGGYPPLPFIDWG >DRNTG_00522.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30406124:30406605:-1 gene:DRNTG_00522 transcript:DRNTG_00522.1 gene_biotype:protein_coding transcript_biotype:protein_coding AELHKKNSQKNLRPSTMSAIKQYNSE >DRNTG_12626.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000557.1:15787:17029:-1 gene:DRNTG_12626 transcript:DRNTG_12626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVRMKRNVNPSKGTHTSWRSVHPHGRAEITHAVHLPREEPQGRRPTYRVERTHPFVFSGWRELFCKDPHGRAENGHARVFVTVTRISNSLGAFPVVSPYYLHLLEHLLQDPWS >DRNTG_17782.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000910.1:127051:128354:1 gene:DRNTG_17782 transcript:DRNTG_17782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSSTSSDQPRGGTKIAEAKRPRSSKSLTCGRCFRTSHSTAECKHQVVCLRCSGVEHLAACYPGGLRHSLQRKQLYVRSKLLKGSAGYSEIVQPAKVAVLSLIDGFVNDSSILEVAPSIFNIPFAKPITQLNECAFLVPLKSREEVTEVCKMGTFEIGGGWSLLYAFGTLGIISEVLRSARELIALSQASLPHKMFVSALVRRLTGVALPLEVDLSLGGMDACTHEEADHDLAGMMEGHGVKMDGSMGAGMVLCRSKTALRRSKENGIVL >DRNTG_03939.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000187.1:53030:58015:-1 gene:DRNTG_03939 transcript:DRNTG_03939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECDCPCAPPNVASSMTDKLIEILFYVHKSECLSVTALVPLQMVVLTQIRGSLVISACVIRMQSILSLWGTHRGVWIPDSGTLKADFQPDFSILFSIFSPTFKRVVAMVLGGIGKGFGELQGITKGNPSQIIEGDPELEQILRRIGKEPVKEQSTRAKVEGQGLDNMAK >DRNTG_24873.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32720178:32722855:1 gene:DRNTG_24873 transcript:DRNTG_24873.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIQPASLLLIIPQLLLLIISLSKQTRAQNISSDTTLTTSGTTTSVMSPSGDFSFGFTPLPSNDNTTTNLFLLAIWFAKTVDKTIVWTANGNNPAPAGSELNLTPNGTLLLTSPNGTTIFSLASGAGSHAAMLDTGNLILVDANSDDPAWQSFDHPTDTILPTQVLTSNIFLQSRLSNTDFSTGRFRLYQKDGKLSLSQVAIPKDTLYEPYWSSDQAVDGTQLVFDRSGYVYFDLTNNTHLNVTTANLDSSSLSKFYQKGTLDVDGVFRHYKYPKDNTGGWQQKWNIADRVPRDTNICLRPIPDDYYGSGVCGYNSFCQTDVESDQLTTCKCPSNYSFIDSRNQYSGCSPDFDTQRCDVDDTARFQLVAMTNTDWPRSDYEHYTNASEEECREFCLKDCLCAVAIFRNVECWKKRLPLSNGRTDSTANAFIKVAKDGSTSRDEQPAEHDEKIKKEYCSKAMVVVGSTLLGGSVLINLFLLAYTLMVAFYSSRKRKQNQFHPETLAGVGGSNLHSFTYKQLHEATNGFNEELGRGAFGTVYKGVLQREDRIFIAVKKLDKLLKDAEKEFTSEVRSIGQTHHKNLVRLIGICKEAENRMLVYEFMSNGSLTSFLFGEEKLEWNKRVNIIYDVAKGLLYLHEECVSPIIHCDIKSQNILLDDHFVARISDFGLAKLLLVDQTRTNTGIRGTKGYVAPEWFKNTLVTTKVDVYSYGVLMLEIICCRKNLELELGFDQERAVLVYWACDCYRNGELDLLVESDIEAMMDMRRVEKFVRVAIWCIQEDPSLRPSMEKVTQMLKGSVDVPVPPDPSSFMRTLR >DRNTG_24873.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32715152:32722855:1 gene:DRNTG_24873 transcript:DRNTG_24873.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIICCRKNLELELGFDQERAVLVYWACDCYRNGELDLLVESDIEAMMDMRRVEKFVRVAIWCIQEDPSLRPSMEKVTQMLKGSVDVPVPPDPSSFMRTLR >DRNTG_21531.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1452057:1454547:1 gene:DRNTG_21531 transcript:DRNTG_21531.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAP [Source:Projected from Arabidopsis thaliana (AT1G69490) UniProtKB/TrEMBL;Acc:A0A178W8K0] MRTNSLPPGFRFHPTDEELIVHYLRNQASSKPCPVPIIPAVNIYKFDPWQLPEKSEMVEGEWYFFTPRDRKYPNGGRPNRAAVSGYWKATGTDKAIYSKSKYVGLKKALVFYRGRPPKGSKTGWIMHEYRLDGSFANNNSTMPSKVLDEWVLCRIYEKKHHGRNRNNNTNMIEDAQECSPSEETVVTDSTKLSEFEEKKHVFPRSCSLARLLEPDYLTSSASFLVDESPFFNSMDEMFESKVGSNNGTLFGFPIHVDPLFFPFH >DRNTG_28923.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22064432:22067903:1 gene:DRNTG_28923 transcript:DRNTG_28923.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASSLISNLVGKSKTFSRNAPKTFPDRQLFPRCPSRHLQTFSDNTLPSSPAPVPAPAHTPSRQRKLRELSELEEKFEAATSTDEILIAFEALEPLLAPDDHKLGLACLKVGQHLESISFDDPQKTLEFALRALSILDRDSKPSISVAMALHLVGAVHYTLKRFNDSLGFLNRANRILTAIEKEGSCDFDVRPVVHAVQLQLANTKTAMGRREEALVNLRRCLDLKVEILEPDSRELGAAHRDLAEAYASVLNFKEALPLCLKALEIHEKQLGSNSVDVAHDRRLLGVIYTGLEEHEKALDQNEKSQKVLKSWGMGPDLLNAEIDQANIQIALGKFDEAIKTLKGVVEQTDNNSEVRALVFVSMAKALCSQEKFADSKRCLEISCEILKNKELVSPDMVAETFVEISALYESMNEFGTAISLLKRALSMLERLPQQQHLEGNVSAKIGWLLLLTGKVELAVPYLESAAERLKETFGPKHFGVGYIYNNLGAAYLEMNRLQSAAQMFALAKDIMDVSLGPHHADSIEACQSLANAYSTMGSYILALEFQQRVVDAWESYGPNATNELREAKRLLDELKKKASGSISEAIIHKALPHESDSLHTKSN >DRNTG_28923.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22064432:22067903:1 gene:DRNTG_28923 transcript:DRNTG_28923.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASSLISNLVGKSKTFSRNAPKTFPDRQLFPRCPSRHLQTFSDNTLPSSPAPVPAPAHTPSRQRKLRELSELEEKFEAATSTDEILIAFEALEPLLAPDDHKLGLACLKVGQHLESISFDDPQKTLEFALRALSILDRDSKPSISVAMALHLVGAVHYTLKRFNDSLGFLNRANRILTAIEKEGSCDFDVRPVVHAVQLQLANTKTAMGRREEALVNLRRCLDLKVEILEPDSRELGAAHRDLAEAYASVLNFKEALPLCLKALEIHEKQLGSNSVDVAHDRRLLGVIYTGLEEHEKALDQNEKSQKVLKSWGMGPDLLNAEIDQANIQIALGKFDEAIKTLKGVVEQTDNNSEVRALVFVSMAKALCSQEKFADSKRCLEISCEILKNKELVSPDMVAETFVEISALYESMNEFGTAISLLKRALSMLERLPQQQHLEGNVSAKIGWLLLLTGKVELAVPYLESAAERLKETFGPKHFGVGYIYNNLGAAYLEMNRLQSAAQMFALAKDIMDVSLGPHHADSIEACQSLANAYSTMGSYILALEFQQRVVDAWESYGPNATNELREAKRLLDELKKKASGSISEAIIHKALPHESDSLHTKSN >DRNTG_28923.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22064432:22068011:1 gene:DRNTG_28923 transcript:DRNTG_28923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASSLISNLVGKSKTFSRNAPKTFPDRQLFPRCPSRHLQTFSDNTLPSSPAPVPAPAHTPSRQRKLRELSELEEKFEAATSTDEILIAFEALEPLLAPDDHKLGLACLKVGQHLESISFDDPQKTLEFALRALSILDRDSKPSISVAMALHLVGAVHYTLKRFNDSLGFLNRANRILTAIEKEGSCDFDVRPVVHAVQLQLANTKTAMGRREEALVNLRRCLDLKVEILEPDSRELGAAHRDLAEAYASVLNFKEALPLCLKALEIHEKQLGSNSVDVAHDRRLLGVIYTGLEEHEKALDQNEKSQKVLKSWGMGPDLLNAEIDQANIQIALGKFDEAIKTLKGVVEQTDNNSEVRALVFVSMAKALCSQEKFADSKRCLEISCEILKNKELVSPDMVAETFVEISALYESMNEFGTAISLLKRALSMLERLPQQQHLEGNVSAKIGWLLLLTGKVELAVPYLESAAERLKETFGPKHFGVGYIYNNLGAAYLEMNRLQSAAQMFALAKDIMDVSLGPHHADSIEACQSLANAYSTMGSYILALEFQQRVVDAWESYGPNATNELREAKRLLDELKKKASGSISEAIIHKALPHESDSLHTKSN >DRNTG_05329.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18846061:18847391:-1 gene:DRNTG_05329 transcript:DRNTG_05329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTVPPTVAAHQTSLEDDVTTTLLQACQILMTEFPRLVTWVEALEGRLQSTVPSLQTNEAPGMDEASEFDDDDIIRVA >DRNTG_24819.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31805660:31806935:-1 gene:DRNTG_24819 transcript:DRNTG_24819.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEYIYLLQKHSKVDISNTKKLLEGDRGKTIHAKGIIVVGESGLFTPENISYVQDAGVRAVLVGESLIKQTDPGKAITGLFGKDISL >DRNTG_32827.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001921.1:13068:23845:1 gene:DRNTG_32827 transcript:DRNTG_32827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHIMAGCLTVCPCPFESSVLTLMFGEIGLLREGILIGILMDIQPLPIFQPFGQQVLCAQYLDNYFDGIGGVLQLGKKEAAFIGNLCAFQFWQRIFKDKQRMECLKYIGVVDGAQTSLALNPILEEEWCYLHNLVPTSLHNINDIYEDIVHVIHRYRPKFIGANRLPSYLEPSEYVHLCNIKGNLEEDDDHHLHHPITERSCISTPFVTSTDFQSTVIAERLKNFIKEMRLQYSKGVPQIDGEPAVNFMSHILSPELCRFYINGLCNKGNQCAFSHSLEARRPICKFFLTLQGCRYGSSCLYSHESGPRISSIPSRICWQEHEIPSADSFLQLLPVADDSLILIMNDKHLLFSSSFSSYYAPSGIIVTTPHPYDSDLDDLPSDVMVLWDVTDFCHSIIETKEKIPIPWKNVKTVLWFPELEDDEGKTLFSLLQSFFEFLAVRILIDSLCDVRVILTMNNIRFSLLKVEKLARECFFFLTQSFPFDASTFGDIPDTISAVRPMQLSMPITYVFELRPPPEARSRGYTAALRQIVCSKY >DRNTG_32827.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001921.1:22234:23845:1 gene:DRNTG_32827 transcript:DRNTG_32827.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQYSKGVPQIDGEPAVNFMSHILSPELCRFYINGLCNKGNQCAFSHSLEARRPICKFFLTLQGCRYGSSCLYSHESGPRISSIPSRICWQEHEIPSADSFLQLLPVADDSLILIMNDKHLLFSSSFSSYYAPSGIIVTTPHPYDSDLDDLPSDVMVLWDVTDFCHSIIETKEKIPIPWKNVKTVLWFPELEDDEGKTLFSLLQSFFEFLAVRILIDSLCDVRVILTMNNIRFSLLKVEKLARECFFFLTQSFPFDASTFGDIPDTISAVRPMQLSMPITYVFELRPPPEARSRGYTAALRQIVCSKY >DRNTG_00702.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2725636:2726392:1 gene:DRNTG_00702 transcript:DRNTG_00702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSHSVHFAAKSPPNQASLLSLQTPTAQNPSASTSQALISPPTNSSDPWRSLPASPSPTSPPRPRANNLLLLLLASTLASCGFDYRTPSRSGSISRQPRF >DRNTG_17848.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8307112:8307950:-1 gene:DRNTG_17848 transcript:DRNTG_17848.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLIHKKSILEYSEEDGKDVDEEKQVFFKKNSRSLEFCITTRACGNYARPCVSTVEGHRGRRTPQCALGIALAVSGNTRTGVWKLPTLMLPTHSGSRTPLCALCPTTGPTHRGIRTPLCALGMEKESSVDLHTGVWKMPTPVRWSQGCPQGRVHAPMCSKDELVIQPHGRVEIPHVRAFSLDDLKNSVGSAEIF >DRNTG_14515.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000701.1:52627:54912:-1 gene:DRNTG_14515 transcript:DRNTG_14515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDSIRGAEKTSVPAPLGLETMRLMGMVSRIRTGVFALVLPAPEIDEDEGDDAGASQPVPEPQTASMETEAPPAAGEPPPVHMISPSRANDRFERLENAIGVVRAEILVRDIASSFVLQPRTLQAPLVPPAPPSSTPAPEDPLYASSSAAAAAEPESDSDN >DRNTG_30003.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11583163:11593090:1 gene:DRNTG_30003 transcript:DRNTG_30003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGERKRREEIYRGGDKMGKGTEFLVPCGERGWESIPRPRPARRGGIFPPPRPAGDVSGNPRPRERGFPAPLASLR >DRNTG_08319.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000377.1:21067:22020:1 gene:DRNTG_08319 transcript:DRNTG_08319.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLALRSPLPAIFRGPWRTFSTTTAVRALSTEVLRNQSRGGLPRFHSPSLPSSKGSIVQVQGDEFWHMTKVLRLRVGDRVELFDGAGGLVEGCIHNVDRSWLDVISVEDTKIVPLKGVQWHVYAAFGTLKGGRADWLVEKCTELGASSVTPLLTERSPSISENRVDRLERVVLAAVKQC >DRNTG_08319.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000377.1:21067:22267:1 gene:DRNTG_08319 transcript:DRNTG_08319.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLALRSPLPAIFRGPWRTFSTTTAVRALSTEVLRNQSRGGLPRFHSPSLPSSKGSIVQVQGDEFWHMTKVLRLRVGDRVELFDGAGGLVEGCIHNVDRSWLDVISVEDTKIVPLKGVQWHVYAAFGTLKGGRADWLVEKCTELGASSVTPLLTERSPSISENRVDRLERVVLAAVKQCQRLHEMVLNPPMTFKSFLPI >DRNTG_08319.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000377.1:21067:21671:1 gene:DRNTG_08319 transcript:DRNTG_08319.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLALRSPLPAIFRGPWRTFSTTTAVRALSTEVLRNQSRGGLPRFHSPSLPSSKGSIVQVQGDEFWHMTKVLRLRVGDRVELFDGAGGLVEGCIHNVDRSWLDVISVEDTKIVPLKGVQWHVYAAFG >DRNTG_35495.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12419829:12422099:1 gene:DRNTG_35495 transcript:DRNTG_35495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLSSSVDINGEMALHKAEEAGSSS >DRNTG_35495.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12419967:12422099:1 gene:DRNTG_35495 transcript:DRNTG_35495.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLSSSVDINGEMALHKAEEAGSSS >DRNTG_03333.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15148744:15149855:1 gene:DRNTG_03333 transcript:DRNTG_03333.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription repressor MYB4 [Source:Projected from Arabidopsis thaliana (AT4G38620) UniProtKB/Swiss-Prot;Acc:Q9SZP1] MGRSPCCEKAHTNKGAWTKEEDERLIAHIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKLPGRTDNEIKNYWNTHIRRKLLSRGIDPTTHRPLHQPLSDITISFVKQEERINEEKEKILRCPDLNLELCISPPFQEMNNSHHVEPVMKREGGDQGFTLCFTCSLGPHKSQGCKCNNSSSTVVLGL >DRNTG_11047.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10502925:10507888:1 gene:DRNTG_11047 transcript:DRNTG_11047.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAPIPENWMSGVPLNQWDAAHHVVYSILHPSPIHLDPIPHRDKLTNSRSSKISGGGNSERAFFSQDPLPPYCSQPSQMVAVVNGRSSLRIQCSLVGLNHLERRLQRRGILLSGSSIVNRLREMSDVGWDEENKKIIMVEEECMTYLMSHPNEEPYINKPNEDYDLLEVVCGNDHAIGRFARDSIETPSTDDGSKMDRLALAIEEHNPVTVTTKLSEACMKLTEVGYSTRDVPKVYSYYADSETRIFKFLGVPDILRHHMAEELVGPPRQIF >DRNTG_12687.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:14722272:14727407:1 gene:DRNTG_12687 transcript:DRNTG_12687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRNGGGKWRLERGHGAEKGSVAGARTGSREGRRGPRAGSGEGNDDRNGAKNFMINISKKRLTNSLVFAV >DRNTG_16687.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16307077:16309339:1 gene:DRNTG_16687 transcript:DRNTG_16687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEKVAKWDDSAGEEAFHNAKARYWAEINGLPCDIPLPDPDAYNDVIDHDPVLDPLLLEELSNLPSAVSEEDSSGGWDFLLVDQPVLADGPVDEVPTLNKRTEQNSTVPLNDVYHGNASFYFCQKENNDGYGNFANRPNYLSNAVNFGHGNAQHGRSGQNNTNLSGKDHSREVATTQDRRI >DRNTG_16687.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16308460:16309339:1 gene:DRNTG_16687 transcript:DRNTG_16687.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEKVAKWDDSAGEEAFHNAKARYWAEINGLPCDIPLPDPDAYNDVIDHDPVLDPLLLEELSNLPSAVSEEDSSGGWDFLLVDQPVLADGPVDEVPTLNKRTEQNSTVPLNDVYHGNASFYFCQKENNDGYGNFANRPNYLSNAVNFGHGNAQHGRSGQNNTNLSGKDHSREVATTQDRRI >DRNTG_21465.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:387152:412161:1 gene:DRNTG_21465 transcript:DRNTG_21465.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISVKSKSKLSPSRFFQQQQQKQQQ >DRNTG_27544.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1669977:1673760:-1 gene:DRNTG_27544 transcript:DRNTG_27544.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHVYRLNRSLYGLKQVPGAWLSCFTTYFASLGFQLQTLDPSLSIYLLLYVDDIILIASSSNLLRRCHAPAPQPSPLTDRPHPTTGCNASNLTLTKGGNTEILIKAENTTLRHPRS >DRNTG_27544.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1669977:1673760:-1 gene:DRNTG_27544 transcript:DRNTG_27544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVYRLNRSLYGLKQVPGAWLSCFTTYFASLGFQLQTLDPSLSIYLLLYVDDIILIASSSNLLRRCHAPAPQPSPLTDRPHPTTGCNASNLTWSESTQTSIYEQ >DRNTG_27544.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1669977:1670619:-1 gene:DRNTG_27544 transcript:DRNTG_27544.4 gene_biotype:protein_coding transcript_biotype:protein_coding KKKKKKKRKEEEEKEEEEEDASPTKRQDRSITGGEAS >DRNTG_27544.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1669977:1673760:-1 gene:DRNTG_27544 transcript:DRNTG_27544.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVYRLNRSLYGLKQVPGAWLSCFTTYFASLGFQLQTLDPSLSIYLLLYVDDIILIASSSNLLRRCHAPAPQPSPLTDRPHPTTGCNASNLTSLAAVEPKALRLRDPPHGWPFQSETQRKVAI >DRNTG_10668.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6102815:6104305:-1 gene:DRNTG_10668 transcript:DRNTG_10668.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSRSHLNDLRILNVKKLQNIIWRDLSPPEFFHVLKVLYIWGCNLENLTWVLHLPCLSFLHITDCAKIETLFYIEEEKEIQQQEVSEHCPTFPALKFLLIKKLPKLVSISNFALDFPRLSQLLVYECLNLKKLPFKSGINNNSQRILIGCEREWWESLDWDDATIPSHLLPRFLTDANPNECTTSGSK >DRNTG_10668.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6102057:6104305:-1 gene:DRNTG_10668 transcript:DRNTG_10668.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSRSHLNDLRILNVKKLQNIIWRDLSPPEFFHVLKVLYIWGCNLENLTWVLHLPCLSFLHITDCAKIETLFYIEEEKEIQQQEVSEHCPTFPALKFLLIKKLPKLVSISNFALDFPRLSQLLVYECLNLKKLPFKSGINNNSQRILIGCEREWWESLDWDDATIPSHLLPRFLTDANPNECTTSGSK >DRNTG_27806.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27241058:27242480:1 gene:DRNTG_27806 transcript:DRNTG_27806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHSQEHSSNSSSHGSNQQDRIPTEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLEKFGAVGDANVFYWFQNRRSRSRRRQRQIQAGLAAAAAADPRTAGLPRATSTGSPMYHESASSSRSSTSSSSSSGGAFLPCSSTTTTSSSSLIGDDGADDLFSISRQMGFMDGNQNPMFYPSDVSQLHYQSGPITVFINGMPSEVPRGPIDLRAMFGQDVMLVHSSGELVPVNDYGVVYQGLQMGESYYLVSRTT >DRNTG_10634.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:263463:266845:1 gene:DRNTG_10634 transcript:DRNTG_10634.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OTC [Source:Projected from Arabidopsis thaliana (AT1G75330) UniProtKB/TrEMBL;Acc:A0A178W4A4] MAAMISTGCSLRGDAGAGVAVQSSLSSSASSFSGCLIRLPSSPARLAPSPFRVSCVMASPSAIGDQAKTGLKDFLHISDFDKPTIMGILGRALEVKALLKSGNRDFQPFKGKSMAMIFAKPSMRTRVSFETGFFLLGGHAIYLGPDDIQMGKREETRDVARVLSGYNDIIMARVFAHQDILDLARHASVPVVNGLTDYNHPCQIMADALTIIEHIGQLEGAKVVYVGDGNNIVHSWLLLAAVVPLHFVCACPKGFEPDKKTVEQARRAGISKIEITNDPREAVRGANVVYSDVWASMGQKEEAAYRKQKFQGFQIDEALMELAGPKSYFMHCLPAERGIEVTDGVIEAPNSIVFPQAENRMHAQNAIMLHLFGL >DRNTG_07256.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22916431:22917306:1 gene:DRNTG_07256 transcript:DRNTG_07256.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLRRSLPLLRRSIPSKIFSSPRPHLPLPPPLFSRSISNPNPTAGPIPNPSLSWRTILQPLALLIGVIVGGGGIIYYLYFETVPFSNNSRLVIVSPLAERKISEIEFQKLKNGLEGRILPANHPDTIRVRRISENIIEAIQPCLHHDKRQRGDLRYACEIQALERSPETRKKSAEAERWEVLVVSDKTFYAFCLPCRKIVVSTRVLDHLRTDAEIATLLGHE >DRNTG_07256.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22916431:22917470:1 gene:DRNTG_07256 transcript:DRNTG_07256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLRRSLPLLRRSIPSKIFSSPRPHLPLPPPLFSRSISNPNPTAGPIPNPSLSWRTILQPLALLIGVIVGGGGIIYYLYFETVPFSNNSRLVIVSPLAERKISEIEFQKLKNGLEGRILPANHPDTIRVRRISENIIEAIQPCLHHDKRQRGDLRYACEIQALERSPETRKKSAEAERWEVLVVSDKTFYAFCLPCRKIVVSTRVLDHLRTDAEIATLLGHEVAHVVARHGAEIATKDLWMDIYFR >DRNTG_16620.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31409724:31411690:1 gene:DRNTG_16620 transcript:DRNTG_16620.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L3-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G43030) UniProtKB/Swiss-Prot;Acc:Q9SKX4] MTVSMPLMMRGAVGFGCCPMPVRPPQNSLPNCFLGRRLNDHASSRVIVRRNRRGIVAMSMEAGVGVMGTKLGMMSVFEPEGTVVPVTVIGFREGNIVTQVKTPATDGYHAVQVGYRRVRDRKLTKPELGHLEKAGAIPMRHLQEFRLQSVDGFEPGERLVIEDILKEGDLVDVSGNSIGKGFQGGIKRHNFRRGPMTHGSKSHRALGSIGAGTTPGRVYKGKKMPGRMGGTKTKVRKLKIIKIDTELRVVMIKGAVPGKPGNLLRITPAKIVGKNIPKN >DRNTG_16620.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31408629:31411626:1 gene:DRNTG_16620 transcript:DRNTG_16620.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L3-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G43030) UniProtKB/Swiss-Prot;Acc:Q9SKX4] MTVSMPLMMRGAVGFGCCPMPVRPPQNSLPNCFLGRRLNDHASSRVIVRRNRRGIVAMSMEAGVGVMGTKLGMMSVFEPEGTVVPVTVIGFREGNIVTQVKTPATDGYHAVQVGYRRVRDRKLTKPELGHLEKAGAIPMRHLQEFRLQSVDGFEPGERLVIEDILKEGDLVDVSGNSIGKGFQGGIKRHNFRRGPMTHGSKSHRALGSIGAGTTPGRVYKGKKMPGRMGGTKTKVRKLKIIKIDTELRVVMIKGAVPGKPGNLLRITPAKIVGKNIPKN >DRNTG_16620.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31408169:31411626:1 gene:DRNTG_16620 transcript:DRNTG_16620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L3-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G43030) UniProtKB/Swiss-Prot;Acc:Q9SKX4] MTVSMPLMMRGAVGFGCCPMPVRPPQNSLPNCFLGRRLNDHASSRVIVRRNRRGIVAMSMEAGVGVMGTKLGMMSVFEPEGTVVPVTVIGFREGNIVTQVKTPATDGYHAVQVGYRRVRDRKLTKPELGHLEKAGAIPMRHLQEFRLQSVDGFEPGERLVIEDILKEGDLVDVSGNSIGKGFQGGIKRHNFRRGPMTHGSKSHRALGSIGAGTTPGRVYKGKKMPGRMGGTKTKVRKLKIIKIDTELRVVMIKGAVPGKPGNLLRITPAKIVGKNIPKN >DRNTG_16620.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31409724:31411626:1 gene:DRNTG_16620 transcript:DRNTG_16620.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L3-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G43030) UniProtKB/Swiss-Prot;Acc:Q9SKX4] MTVSMPLMMRGAVGFGCCPMPVRPPQNSLPNCFLGRRLNDHASSRVIVRRNRRGIVAMSMEAGVGVMGTKLGMMSVFEPEGTVVPVTVIGFREGNIVTQVKTPATDGYHAVQVGYRRVRDRKLTKPELGHLEKAGAIPMRHLQEFRLQSVDGFEPGERLVIEDILKEGDLVDVSGNSIGKGFQGGIKRHNFRRGPMTHGSKSHRALGSIGAGTTPGRVYKGKKMPGRMGGTKTKVRKLKIIKIDTELRVVMIKGAVPGKPGNLLRITPAKIVGKNIPKN >DRNTG_16620.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31408169:31411626:1 gene:DRNTG_16620 transcript:DRNTG_16620.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L3-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G43030) UniProtKB/Swiss-Prot;Acc:Q9SKX4] MTVSMPLMMRGAVGFGCCPMPVRPPQNSLPNCFLGRRLNDHASSRVIVRRNRRGIVAMSMEAGVGVMGTKLGMMSVFEPEGTVVPVTVIGFREGNIVTQVKTPATDGYHAVQVGYRRVRDRKLTKPELGHLEKAGAIPMRHLQEFRLQSVDGFEPGERLVIEDILKEGDLVDVSGNSIGKGFQGGIKRHNFRRGPMTHGSKSHRALGSIGAGTTPGRVYKGKKMPGRMGGTKTKVRKLKIIKIDTELRVVMIKGAVPGKPGNLLRITPAKIVGKNIPKN >DRNTG_16620.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31408629:31411626:1 gene:DRNTG_16620 transcript:DRNTG_16620.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L3-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G43030) UniProtKB/Swiss-Prot;Acc:Q9SKX4] MTVSMPLMMRGAVGFGCCPMPVRPPQNSLPNCFLGRRLNDHASSRVIVRRNRRGIVAMSMEAGVGVMGTKLGMMSVFEPEGTVVPVTVIGFREGNIVTQVKTPATDGYHAVQVGYRRVRDRKLTKPELGHLEKAGAIPMRHLQEFRLQSVDGFEPGERLVIEDILKEGDLVDVSGNSIGKGFQGGIKRHNFRRGPMTHGSKSHRALGSIGAGTTPGRVYKGKKMPGRMGGTKTKVRKLKIIKIDTELRVVMIKGAVPGKPGNLLRITPAKIVGKNIPKN >DRNTG_16620.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31409690:31411690:1 gene:DRNTG_16620 transcript:DRNTG_16620.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L3-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G43030) UniProtKB/Swiss-Prot;Acc:Q9SKX4] MTVSMPLMMRGAVGFGCCPMPVRPPQNSLPNCFLGRRLNDHASSRVIVRRNRRGIVAMSMEAGVGVMGTKLGMMSVFEPEGTVVPVTVIGFREGNIVTQVKTPATDGYHAVQVGYRRVRDRKLTKPELGHLEKAGAIPMRHLQEFRLQSVDGFEPGERLVIEDILKEGDLVDVSGNSIGKGFQGGIKRHNFRRGPMTHGSKSHRALGSIGAGTTPGRVYKGKKMPGRMGGTKTKVRKLKIIKIDTELRVVMIKGAVPGKPGNLLRITPAKIVGKNIPKN >DRNTG_16620.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31408169:31411626:1 gene:DRNTG_16620 transcript:DRNTG_16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L3-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G43030) UniProtKB/Swiss-Prot;Acc:Q9SKX4] MTVSMPLMMRGAVGFGCCPMPVRPPQNSLPNCFLGRRLNDHASSRVIVRRNRRGIVAMSMEAGVGVMGTKLGMMSVFEPEGTVVPVTVIGFREGNIVTQVKTPATDGYHAVQVGYRRVRDRKLTKPELGHLEKAGAIPMRHLQEFRLQSVDGFEPGERLVIEDILKEGDLVDVSGNSIGKGFQGGIKRHNFRRGPMTHGSKSHRALGSIGAGTTPGRVYKGKKMPGRMGGTKTKVRKLKIIKIDTELRVVMIKGAVPGKPGNLLRITPAKIVGKNIPKN >DRNTG_16620.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31408169:31411626:1 gene:DRNTG_16620 transcript:DRNTG_16620.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L3-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G43030) UniProtKB/Swiss-Prot;Acc:Q9SKX4] MTVSMPLMMRGAVGFGCCPMPVRPPQNSLPNCFLGRRLNDHASSRVIVRRNRRGIVAMSMEAGVGVMGTKLGMMSVFEPEGTVVPVTVIGFREGNIVTQVKTPATDGYHAVQVGYRRVRDRKLTKPELGHLEKAGAIPMRHLQEFRLQSVDGFEPGERLVIEDILKEGDLVDVSGNSIGKGFQGGIKRHNFRRGPMTHGSKSHRALGSIGAGTTPGRVYKGKKMPGRMGGTKTKVRKLKIIKIDTELRVVMIKGAVPGKPGNLLRITPAKIVGKNIPKN >DRNTG_16620.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31409690:31411626:1 gene:DRNTG_16620 transcript:DRNTG_16620.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L3-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G43030) UniProtKB/Swiss-Prot;Acc:Q9SKX4] MTVSMPLMMRGAVGFGCCPMPVRPPQNSLPNCFLGRRLNDHASSRVIVRRNRRGIVAMSMEAGVGVMGTKLGMMSVFEPEGTVVPVTVIGFREGNIVTQVKTPATDGYHAVQVGYRRVRDRKLTKPELGHLEKAGAIPMRHLQEFRLQSVDGFEPGERLVIEDILKEGDLVDVSGNSIGKGFQGGIKRHNFRRGPMTHGSKSHRALGSIGAGTTPGRVYKGKKMPGRMGGTKTKVRKLKIIKIDTELRVVMIKGAVPGKPGNLLRITPAKIVGKNIPKN >DRNTG_11227.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15411737:15413500:1 gene:DRNTG_11227 transcript:DRNTG_11227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVPEGVVQHILSQMSNARDIASCACVSKRWKDSIPFLPSLYFPRGVFDGLPRADADAAISHMVSSALCLEELVIYCPFSASHLASWLSLRSHSLRRLELRMDCTAEKPAPCRLDAIGSAKNLEDLKLWGVSLTKSPNWGVLQRLRVLEIVGAAVRDTAIKDVVQACPKLVELALLGCDGCSSVYIELDSLEKCRLDFLGSANSSVHLSSPKLVNLELQGFSWIHVNERHSLRRLSIAKTSGNVYKVDVGKLPDLEYLSIRGVQWSWNAISSVLQSGSEVKHLLMKIEFTGDLDTLQPFPQIDLVDFFNNHQKLCKFEIHGAMFAALCQKNSLKNLDSRFSIPCLEEVLVTVRSPLNPLQKLNTLESLVKYSVNLQKLVIRISKMRNCHETADEFFKQICKFKHMNHNIVHIE >DRNTG_32369.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:17452229:17455105:1 gene:DRNTG_32369 transcript:DRNTG_32369.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINPVVALYLQVEALSKKVDRIVTSRQQNIPCYNAYHPTEVGYPNVLWDNGEQHWEAPQEECQTGEILGEDAFYLQRVLANFIEASDVCVQNMETTRRCHEASYKNLEHQLGGILDTLSREQQVFEQASQVPYREDVVVNDNEEWTVCL >DRNTG_33641.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1965958:1969232:1 gene:DRNTG_33641 transcript:DRNTG_33641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKLVEWLVAGFLNSRSFDMVQILCNEVSPEMCGERMESGGFRFAVVMTCAGVLRAFRMVGSSSKLGINPQMRSSVEGSIALVAKSLVTGSSDSEKHLLLQCLAIGLTRCGPISFNADVFRCLCISLLDVIFPIMYLCRRALEVQDGNSEVKQHLESILFKEAGVITGVFCNQYALADEKSRVLIESDMWRYTQEVYLNLRLAVFVLRGVKDELLGDLEKIAEAAFLMVVVFAAEVTKHKLNAKASCETRSEVSVHVLVSFSCVEYLRRVRLSEYTDAIRQAVLTIQENASTCASFIGSMPSYVELTEQQGLPHLEGKRYVWCNDEVQTARILFYLRVMPTCIGLVPGSLFAKVAAPTVFLYMQHPNGKVARAAHSVFVAFISSGHDSEQDDRSALKEQLTFYYIQRALEAFPGVTPFEGLASGVAALVRYLPAGSPALFYCVHSLVEKTHDLCTKAMSRDANIWKNWEKDSEPCKKVAELLLRLISLVDIQVLPYTLKQLAELITGLPKEGQNVLLGQLYSQIAESDDVVRKPILVSWLQSLSYLCSQKKPFGSSSYGSSSTSDTLSMNATMSRL >DRNTG_04138.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30245610:30250523:-1 gene:DRNTG_04138 transcript:DRNTG_04138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDVDRLATAKEEFHAILEEDELKGAVVLVYANKQDLPGALDDAGVTESLELHKLKNRQWAIFKTSAIKGEGLFEGLDWLSNTLKSGGG >DRNTG_04138.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30246893:30250523:-1 gene:DRNTG_04138 transcript:DRNTG_04138.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDVDRLATAKEEFHAILEEDELKGAVVLVYANKQVRIVFLLLILFIYFFGCVFFEELYTLS >DRNTG_09375.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15147948:15148258:1 gene:DRNTG_09375 transcript:DRNTG_09375.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPYTPFKKHPSRETKCLQPAKNPSLNGARHT >DRNTG_03975.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26493619:26494010:-1 gene:DRNTG_03975 transcript:DRNTG_03975.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAVRGSDLLLHSSSRESDRSDFRTMSCQPPHQISARSDGEQVRIVQKCNSAKLSEKPAEKPSAVPFLFSSF >DRNTG_33564.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28618142:28619959:-1 gene:DRNTG_33564 transcript:DRNTG_33564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACENYDPCFPDQPVVHLYLPIWANQPSFKSKSAFVWVEDTNESLTSSSISYSELNSSVQAISSHLLQTLHKGDTILILCKPSIQLITILFACQRAGLIAVPIIPPNMSAKPGPSHFHLFHALSQTKPVAAIANPSFISSLSSYPQFENLQWFSSDELENNISFSDHDGCGEEDVYLIQYTSGATGVPKPVLVTAGAAAHNVRTARRAYDLQPSSVIVSWLPQYHDCGLMFLLLTVITGATCVLTSPANFLNRPRLWLELITEFKATCTPVPSFALPLVAKRGNINHGKFKLELKLSSLRNLILINEPIYKSLVEDFINEFSKVGLKPSSISPSYGLAENCTFVSTSWDSHADQDNHFPNMQSYKKLLPSAKLGSFSSNETADIDIIVVDEETRELVEDGVEGEIWVSSASNAVGYHGHPCMTREVFHARIEGRISKCYLRTGDRGVIKGENRYVYIMGRVTDVIKVDQRWIHPHYLETIAYKSNAKWLRGGCIVAFGVEIPRKSGVVIMVVAELAQKNGNGDELRNICRRIKGDIWEEEGVEVGVVALVRSGSVAKTTSGKVRRWMVKDEMMRGRLRVVYTEEYLGEDEMKEGEGKRVSLLSFL >DRNTG_02678.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19871501:19874955:-1 gene:DRNTG_02678 transcript:DRNTG_02678.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSGRRERSLNQERDQEDQDHESTNQATSLIIEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDTSSNEKGLLLSFEDRSGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTISFGRGVGEAARDRLFIDWKRRPDRGQNPQHPFSSTISSSSSSRSLSPWSYGYLTPSSIATSSMVYDHRQSYTYNVGSPSSGAGQYLLFRSAGALSQPPVQVGHVGGDPTVASPMVLESVPVVHGKATAKRVRLFGVNLDCPQDVVAENSHSTIPLLPLHLQLGRRTESLEPSSSSSPQDQPPSLDLDI >DRNTG_02678.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19872700:19874955:-1 gene:DRNTG_02678 transcript:DRNTG_02678.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSGRRERSLNQERDQEDQDHESTNQATSLIIEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDTSSNEKGLLLSFEDRSGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTISFGRGVGEAARDRLFIDWKRRPDRGQNPQHPFSSTISSSSSSRSLSPWSYGYLTPSSIATSSMVYDHRQSYTYNVGSPSSGAGQYLLFRSAGALSQPPVQVGHVGGDPTVASPMVLESVPVVHGKATAKRVRLFGVNLDCPQDVVAENSHSTIPLLPLHLQLGRRTESLEPSSSSSPQDQPPSLDLDI >DRNTG_02678.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19871501:19874955:-1 gene:DRNTG_02678 transcript:DRNTG_02678.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSGRRERSLNQERDQEDQDHESTNQATSLIIEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDTSSNEKGLLLSFEDRSGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTISFGRGVGEAARDRLFIDWKRRPDRGQNPQHPFSSTISSSSSSRSLSPWSYGYLTPSSIATSSMVYDHRQSYTYNVGSPSSGAGQYLLFRSAGALSQPPVQVGHVGGDPTVASPMVLESVPVVHGKATAKRVRLFGVNLDCPQDVVAENSHSTIPLLPLHLQLGRRTESLEPSSSSSPQDQPPSLDLDI >DRNTG_02678.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19871501:19874955:-1 gene:DRNTG_02678 transcript:DRNTG_02678.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSGRRERSLNQERDQEDQDHESTNQATSLIIEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDTSSNEKGLLLSFEDRSGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTISFGRGVGEAARDRLFIDWKRRPDRGQNPQHPFSSTISSSSSSRSLSPWSYGYLTPSSIATSSMVYDHRQSYTYNVGSPSSGAGQYLLFRSAGALSQPPVQVGHVGGDPTVASPMVLESVPVVHGKATAKRVRLFGVNLDCPQDVVAENSHSTIPLLPLHLQLGRRTESLEPSSSSSPQDQPPSLDLDI >DRNTG_02678.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19871501:19874955:-1 gene:DRNTG_02678 transcript:DRNTG_02678.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSGRRERSLNQERDQEDQDHESTNQATSLIIEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDTSSNEKGLLLSFEDRSGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTISFGRGVGEAARDRLFIDWKRRPDRGQNPQHPFSSTISSSSSSRSLSPWSYGYLTPSSIATSSMVYDHRQSYTYNVGSPSSGAGQYLLFRSAGALSQPPVQVGHVGGDPTVASPMVLESVPVVHGKATAKRVRLFGVNLDCPQDVVAENSHSTIPLLPLHLQLGRRTESLEPSSSSSPQDQPPSLDLDI >DRNTG_02678.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19871501:19874955:-1 gene:DRNTG_02678 transcript:DRNTG_02678.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSGRRERSLNQERDQEDQDHESTNQATSLIIEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDTSSNEKGLLLSFEDRSGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTISFGRGVGEAARDRLFIDWKRRPDRGQNPQHPFSSTISSSSSSRSLSPWSYGYLTPSSIATSSMVYDHRQSYTYNVGSPSSGAGQYLLFRSAGALSQPPVQVGHVGGDPTVASPMVLESVPVVHGKATAKRVRLFGVNLDCPQDVVAENSHSTIPLLPLHLQLGRRTESLEPSSSSSPQDQPPSLDLDI >DRNTG_02678.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19871501:19875012:-1 gene:DRNTG_02678 transcript:DRNTG_02678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSGRRERSLNQERDQEDQDHESTNQATSLIIEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDTSSNEKGLLLSFEDRSGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTISFGRGVGEAARDRLFIDWKRRPDRGQNPQHPFSSTISSSSSSRSLSPWSYGYLTPSSIATSSMVYDHRQSYTYNVGSPSSGAGQYLLFRSAGALSQPPVQVGHVGGDPTVASPMVLESVPVVHGKATAKRVRLFGVNLDCPQDVVAENSHSTIPLLPLHLQLGRRTESLEPSSSSSPQDQPPSLDLDI >DRNTG_02678.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19872700:19874955:-1 gene:DRNTG_02678 transcript:DRNTG_02678.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSGRRERSLNQERDQEDQDHESTNQATSLIIEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDTSSNEKGLLLSFEDRSGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTISFGRGVGEAARDRLFIDWKRRPDRGQNPQHPFSSTISSSSSSRSLSPWSYGYLTPSSIATSSMVYDHRQSYTYNVGSPSSGAGQYLLFRSAGALSQPPVQVGHVGGDPTVASPMVLESVPVVHGKATAKRVRLFGVNLDCPQDVVAENSHSTIPLLPLHLQLGRRTESLEPSSSSSPQDQPPSLDLDI >DRNTG_15767.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17617534:17620105:1 gene:DRNTG_15767 transcript:DRNTG_15767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNHLHPLLLLLLLTALPPPSLSDDPTAFVYAGCSQLKYTPGSPYEFNVDSILSSISTSSPLTSFSNFTASNASPSSPAYGLYQCRGDLSLSDCQSCIHSSLSQLSALCPSAVGAALQLQGCYFRYGNESFLGVLDTTLVYKKCGQALSINGVYDPNMLSMREAALSQLQQGGGGGGFPVSSSYRTGGAVNFQAISQCVGDINAKECGDCVSAAIVQLKSSCSFAVSGDVYLGKCYARFWSSGDYGRMSNGGGGGGIGGGGGGGGANDDASKNWAIVIGIVAGVILIIVFLSFIRKSASGGKK >DRNTG_15767.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17617534:17618534:1 gene:DRNTG_15767 transcript:DRNTG_15767.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATNHLHPLLLLLLLTALPPPSLSDDPTAFVYAGCSQLKYTPGSPYEFNVDSILSSISTSSPLTSFSNFTASNASPSSPAYGLYQCRGDLSLSDCQSCIHSSLSQLSALCPSAVGAALQLQGCYFRYGNESFLGVLDTTLVYKKCGQALSINGVYDPNMLSMREAALSQLQQGGGGGGFPVSSSYRTGGAVNFQAISQCVGDINAKECGDCVSAAIVQLKSSCSFAVSGDVYLGKCYARFWSSGDYGRMSNGGGGGGIGGGGGGGGGKSISPATACGPGLFLLFFFFSLFLFLFLL >DRNTG_15767.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17617334:17618373:1 gene:DRNTG_15767 transcript:DRNTG_15767.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNHLHPLLLLLLLTALPPPSLSDDPTAFVYAGCSQLKYTPGSPYEFNVDSILSSISTSSPLTSFSNFTASNASPSSPAYGLYQCRGDLSLSDCQSCIHSSLSQLSALCPSAVGAALQLQGCYFRYGNESFLGVLDTTLVYKKCGQALSINGVYDPNMLSMREAALSQLQQGGGGGGFPVSSSYRTGGAVNFQAISQCVGDINAKECGDCVSAAIVQLKSSCSFAVSGDVYLGKCYARFWSSGDYGRMSNGGG >DRNTG_15767.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17617534:17618373:1 gene:DRNTG_15767 transcript:DRNTG_15767.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATNHLHPLLLLLLLTALPPPSLSDDPTAFVYAGCSQLKYTPGSPYEFNVDSILSSISTSSPLTSFSNFTASNASPSSPAYGLYQCRGDLSLSDCQSCIHSSLSQLSALCPSAVGAALQLQGCYFRYGNESFLGVLDTTLVYKKCGQALSINGVYDPNMLSMREAALSQLQQGGGGGGFPVSSSYRTGGAVNFQAISQCVGDINAKECGDCVSAAIVQLKSSCSFAVSGDVYLGKCYARFWSSGDYGRMSNGGG >DRNTG_12861.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18437753:18441910:-1 gene:DRNTG_12861 transcript:DRNTG_12861.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMLEGEKEMGSTVNSARVIEEGEAMGKRAETKDKDLKEIAFPSSLPDPPHIIKQRKLTWKERWLVLKEASRLYAASWVRDIGPDLRPNNYKKEDHESDNETRDDVQRSLIISPLSLSRGWLYVATPSSLYHSLSLSCLSQSHDPLTHGIYGPKIWTTRLFIEH >DRNTG_12815.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13999712:14002531:1 gene:DRNTG_12815 transcript:DRNTG_12815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFSAELAKFLIVREKTHTRVNFYTAHALIRSSSRDGTGSGLPYEQPCEFRMHRADLTPRSKKHDGKHPESTPLNLSM >DRNTG_07676.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23293895:23295222:-1 gene:DRNTG_07676 transcript:DRNTG_07676.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGGSGSGSGERTPEGSEEQQKRSEIYTYEAPWHIYSMNWSVRRDKKYRLAIASLMEQYQNGVEIVQLDDATGDIRSDSALSFEHPYPPTKTMFVPDRDCVRPDLLATSADFLRLWSIHDDHVELKALLNGNKNSEFCGPLTSFDWNEAEPRRIGTSSIDTTCTIWDVEREAVDTQLIAHDKEVYDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYESSDPAETPLVRLGWNKQDPRYMATIIMDSPKIVVLDIRFPTLPVVELQRHQASVNAIAWAPHSSCHICTAGDDSQALIWDLSSMGSGSGQQPEGGLDPILAYTAGAAIEQLQWSSSQPDWVAIAFSNKLQILRV >DRNTG_07676.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23293971:23297269:-1 gene:DRNTG_07676 transcript:DRNTG_07676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALHCTCQRNEKPSREMGGDGGSGSGSGERTPEGSEEQQKRSEIYTYEAPWHIYSMNWSVRRDKKYRLAIASLMEQYQNGVEIVQLDDATGDIRSDSALSFEHPYPPTKTMFVPDRDCVRPDLLATSADFLRLWSIHDDHVELKALLNGNKNSEFCGPLTSFDWNEAEPRRIGTSSIDTTCTIWDVEREAVDTQLIAHDKEVYDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYESSDPAETPLVRLGWNKQDPRYMATIIMDSPKIVVLDIRFPTLPVVELQRHQASVNAIAWAPHSSCHICTAGDDSQALIWDLSSMGSGSGQQPEGGLDPILAYTAGAAIEQLQWSSSQPDWVAIAFSNKLQILRV >DRNTG_07676.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23293971:23295222:-1 gene:DRNTG_07676 transcript:DRNTG_07676.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGGSGSGSGERTPEGSEEQQKRSEIYTYEAPWHIYSMNWSVRRDKKYRLAIASLMEQYQNGVEIVQLDDATGDIRSDSALSFEHPYPPTKTMFVPDRDCVRPDLLATSADFLRLWSIHDDHVELKALLNGNKNSEFCGPLTSFDWNEAEPRRIGTSSIDTTCTIWDVEREAVDTQLIAHDKEVYDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYESSDPAETPLVRLGWNKQDPRYMATIIMDSPKIVVLDIRFPTLPVVELQRHQASVNAIAWAPHSSCHICTAGDDSQALIWDLSSMGSGSGQQPEGGLDPILAYTAGAAIEQLQWSSSQPDWVAIAFSNKLQILRV >DRNTG_07676.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23295384:23297056:-1 gene:DRNTG_07676 transcript:DRNTG_07676.2 gene_biotype:protein_coding transcript_biotype:protein_coding RYGKSGIESVDKARKERKGEEKRRGLWKQSH >DRNTG_10380.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15643399:15645643:-1 gene:DRNTG_10380 transcript:DRNTG_10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPERFTVTDARPCALSGKHSTFLNVVARACGKYPRPCAQPTMVATRPCSFHGRARIAWSFTGASPRPCAFSG >DRNTG_30744.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31730850:31733251:1 gene:DRNTG_30744 transcript:DRNTG_30744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRAVNPSRRLADGGSLPFVGVFPQKSRTSPLLSVGLVVLGGFLLIAYSFAGSGGLGSVRVASNSGEGLLCTSEVQQAIPFLKKAYVDSMQKVLHVGPDTCSVVSNLLKEDGIEAWGVEPYDLEDADATCKNLVRKGVVRVADIKFPLPYKSKSFSLVIVSDALDYLSPKYLNKTLPDLARVSADGLVIFAGFPGQRRAKLSELSKFGKPAKLRSSSWWIRYFVQTGLEENEAAMKKFEQAANKNSYKPSCQVFHLTSSQ >DRNTG_16901.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6451257:6454763:1 gene:DRNTG_16901 transcript:DRNTG_16901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEATPMEPRRLRVENLPPVRSVTPSQTHDRFERLENALGAVRAEILERDVASSFVLRLRTPQAPSTSTGPLSPTPAPVDPPCASPGRDSTRRPSGTLTF >DRNTG_27301.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:154876:156001:1 gene:DRNTG_27301 transcript:DRNTG_27301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPSFSIPILLILSISITISASDHWNILSFLSSNNCKNTLSFNLKNYCESWRMNVELHNILDFDVIPGECINYISKYMTSSQYKADIQRATEEASLFLTNNIIHGFDDLDAWIFDIDDTLLSTLPYYQKYHFGGDDCCKEEKTNRVLLEAWMEEENAPAVEHMVRFYNEIKERGFKIFLISSRGEHLRDATINNLIKVGYHGWAQLILRCEDDEKQGIEDYKANQRKKLMKQGYHLWGNIGDQWSSLNGHSYARRSFKLPNPMYYEA >DRNTG_24953.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16202918:16203571:-1 gene:DRNTG_24953 transcript:DRNTG_24953.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF084 [Source:Projected from Arabidopsis thaliana (AT1G80580) UniProtKB/Swiss-Prot;Acc:Q9M8M5] MNQLKPYPTSPETHHPPIPPPPLDGIGAVVGYHVLFGNKHEEKQSTMLIANETLRIKNGNNTTTSSSSNEGVLMMINNNNNNNNDNNNKNYRGVRKRPWGRWSAEIRDRVGRCRHWLGTFDTAEDAAKAYDAAARRLRGSKARTNFPSSLFIPIPIPSTPNSTTSTSTPSRKKCTRVSSFSQLCTSSSSSSSSSSTSTSFCVEGLKGRQGGHLVNHA >DRNTG_26775.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3370588:3372022:-1 gene:DRNTG_26775 transcript:DRNTG_26775.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAHMFTIESPEYMKMAERYLNEEDAWASKYLDESNHSSLMRALQVVWLFEHGDELLEKSGFTSLLKDERKEDLKRIFSMFRCCSAILDKMIALFEQVVDESIGLHKVNDSRSEQDTEELTAKHKKYLNLIEECFDRHPDFYQ >DRNTG_26775.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3369744:3374821:-1 gene:DRNTG_26775 transcript:DRNTG_26775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEQVDLEDGWAKLKVFICELIARVNAGKQPYFVDEFKTNWTIVRSLCSKKLPHKNDEILYNRYRNTLSDYLEEKVLPRLKYKCGQYLLKEITDLWPKYLSIVEHISYVFAYLNLVITETTSQPNLKDAALMCFYSLVYKEIHCGVEDALLALLEKDRRGEKIDRALADSSIKFCGDLKCVDVKNYSQDFATSLVKQIQEYHSRMASAHMFTIESPEYMKMAERYLNEEDAWASKYLDESNHSSLMRALQVVWLFEHGDELLEKSGFTSLLKDERKEDLKRIFSMFRCCSAILDKMIALFEQVVDESIGLHKVNDSRSEQDTEELTAKHKKYLNLIEECFDRHPDFYQVLNKPFAFLPK >DRNTG_25773.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21152121:21155773:1 gene:DRNTG_25773 transcript:DRNTG_25773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLLSNGVLHKRLPENYIRPESQRPKLNEVINDTNIPLIDLSLSDKSLLISQISYACHSYGFFQVVNHGIGKEDLEKVMEIAKQFFRLPKEDKMELYSDDPNKKIRLSTSFNVKKEIVHNWRDYLRLHCYPLEEFLPHWPSKPTFFKDVVSTYCREVRELGFKLLGLISLSLGLEEEYIKKVLGEQEQHMAMNYYPPCPQPDLTYGLPAHTDPNALTILLQDQQVAGLQVLKEEKWIAVNPVPNALVINIGDQIQALSNGRYKSVWHRAVVNTGNERLSVASFLCPCSTAIIGPPINLIEDGATPIYRNFTYDEYYKKFWSRNLDQEHCLELFKTQ >DRNTG_25773.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21154908:21155773:1 gene:DRNTG_25773 transcript:DRNTG_25773.2 gene_biotype:protein_coding transcript_biotype:protein_coding SPLLCTLQALSNGRYKSVWHRAVVNTGNERLSVASFLCPCSTAIIGPPINLIEDGATPIYRNFTYDEYYKKFWSRNLDQEHCLELFKTQ >DRNTG_33188.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21473315:21480564:1 gene:DRNTG_33188 transcript:DRNTG_33188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLESYAKHFDLLRYIRFGAKVVGMEFIGVDEEEMACWDLWAGTGEAFSGGGRKGRWRLTVQLDKEQSMEEYEFDFVILCIGKFSGVPNIPSFPEKQGPEAFDGQVIHSMDYSKLDKDAAIQLVKDKRVTIVGFLKSAIDITYECAIANGVEYPCTVICRTPRWIIPDFFAWGIPIFYFYATRFSELLFHKPGEGHLLSLLATLLSPLRWAFSKFTESYYKWAVPMQKHGMVPKHSFFQAITSCVLAILPEKFYEKVEEGSIVIKKSKCFSFCKEGVMVDGESSPIESDLVILATGFRGDNKLKYLFTSPYFQNIILGPSSATVPLYRECISPRVPQMAILGYSESLSNLFTSEMRAKWLAHFLDGGFTLPSIKAMEDNVKEWDKFMKTYCGDHFRRSISTVHIWYSDQLCKDMGCKPRRKKGFFAEWFQPYGPMDYVHLFSNTKN >DRNTG_33188.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21473315:21478375:1 gene:DRNTG_33188 transcript:DRNTG_33188.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLESYAKHFDLLRYIRFGAKVVGMEFIGVDEEEMACWDLWAGTGEAFSGGGRKGRWRLTVQLDKEQSMEEYEFDFVILCIGKFSGVPNIPSFPEKQGPEAFDGQVIHSMDYSKLDKDAAIQLVKDKRVTIVGFLKSAIDITYECAIANG >DRNTG_18104.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:384119:384761:-1 gene:DRNTG_18104 transcript:DRNTG_18104.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPQKLALQQQIYSELDSYGCLAAHNNVSKAFNTGLSVLLDQLNSQYTNATFVYVDIFRIKYDLVANHTKYGIDNPLMACCGFGGPPYNYVFRKTCGEPNVQPCSNASRYLSWDGVHNTEAANSIIASKILSGKYSKPPDQVTDSLQSLIPSP >DRNTG_13074.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:992497:997614:1 gene:DRNTG_13074 transcript:DRNTG_13074.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacyl-tRNA deacylases [Source:Projected from Arabidopsis thaliana (AT2G03800) TAIR;Acc:AT2G03800] MVTLVVATTADPASIGPASALLSMPGWSPGPSLPDGIESYVNGDVRMVKHSSSIVAEDDLDRRWEAFAGEPVAETIFLSRHTAVSSRPALTVHPIGVPHLKDGDVLVAGGKPGWAGLPNPRIGPWLRLLKKIAERQGLVPEFEVTLEATHHGPVVSTPTMFVEIGSTKECWGRQDAAQAIALLLWEGLGLGGGDGVGNWHRNNDNQKVLLGLGGGHYVPRHMDIILKDGAWVGHLLSGYSLPMDDPNQKKEQNKEKGVGGTWKQAIKVSYEATQQAFPGGVILAHLDHKSFKSWQKNAITSFLAEQNIQVGKPSDFF >DRNTG_01369.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17788525:17790575:1 gene:DRNTG_01369 transcript:DRNTG_01369.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFADEEEKKRRRALSQRRCCERIPTRYENELSVRGGVYLAGVNLEYMELQRKVEEINKAAEADTIRQSSGRIKRLFQLISRPSTALSDGKKRRFSTSSQSLNLSTDGDDIMNKISSIIKQINNIESKMKDEIKLEEWFDKISLNGVYDPREQQQFTQNKRVTTSSTNERELYGRDYEFRQLIKLVLREPNANDNISVVPIVGMGGIGKTTLAQFVFNSTEIANHFDKKAWICVSDHFDKFRITKEILDSFSIVDSNSPTDLCGITTSLDLLERELKRHLKGKKFLLVLDDVWSEEWQKVLILLEFAQTEAIKLIVTCRDPKILGVLADGGNQITLKGLSDGDYWLFFLNCAFGGKNPDNYSQQLHDLGKKIVGKLKGSPLAAKTVGKILGRSLTEKHWKDILESDFWKLETDAHDIMSALALSFYYLPQPLQLCFTFCSMFPKGYEYDMYNLIDMWIAHDYIQECESSSKTL >DRNTG_02101.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10644081:10645298:-1 gene:DRNTG_02101 transcript:DRNTG_02101.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIPEEQEQAKGVSPVDVAVATIKKIVESVAVADSTTSKQDTIPQQQEACKDMSAVNAVVVPLSKPDTIPQQQEPYKDMSAVDAVVVPASKEDAVGAEHRQGSRTVLHDDPDQATRDMIKANKKIDETTRKVFVSKKKKWVGQSRLNKYEQELIRIFLNCPMDSTTQDKLYTLLEGKETVTDDDDAHETTMAMIGDAVRNLHEVKIVILPIIMNGHFHVVVLDNNQQEYRHYSSCQSAGYDKDTLDMRNRFDICVDMEFGESATSKYPLVHDMETP >DRNTG_04439.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10179342:10182170:1 gene:DRNTG_04439 transcript:DRNTG_04439.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTQDHPTEPVVAYPSCVFPVTLKFEEVVYKVKVNSKQKGWTCCNSVQSEKTILNGISGMVCPGEILAMLGPSGSGKTTLLTALGGRLRGKLSGKITYNGQPFSSSMRRRTGFVLQDDVLYPHLTVSETLMYTALLRLPSSLTKDEKVQQAQRVMAELGLGGVANTMIGGPLFRGVSGGEKKRVSIGQEMLLNPSLLLVDEPTSGLDSTTAQRIMSTLRRLAVEGGLTVVTTIHQPSSRLYHMFHKVVLISEGCPMYYGPASSALDYLSSIGYSSPIVANPADVLLDLANGNYYYYYLFIYL >DRNTG_04439.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10179342:10182170:1 gene:DRNTG_04439 transcript:DRNTG_04439.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTQDHPTEPVVAYPSCVFPVTLKFEEVVYKVKVNSKQKGWTCCNSVQSEKTILNGISGMVCPGEILAMLGPSGSGKTTLLTALGGRLRGKLSGKITYNGQPFSSSMRRRTGFVLQDDVLYPHLTVSETLMYTALLRLPSSLTKDEKVQQAQRVMAELGLGGVANTMIGGPLFRGVSGGEKKRVSIGQEMLLNPSLLLVDEPTSGLDSTTAQRIMSTLRRLAVEGGLTVVTTIHQPSSRLYHMFHKVVLISEGCPMYYGPASSALDYLSSIGYSSPIVANPADVLLDLANGISPNNSNVEKEQNEQKMVKEELILAYDRNIASRLKSELCSVDPNTFNYLREIPNNVKREQWSTSWWEQFRVLLSRGLKERRYEAFNKLRIFQVLSVATVGGLLWWQTPPSHIQDRVIN >DRNTG_04439.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10179342:10182170:1 gene:DRNTG_04439 transcript:DRNTG_04439.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTQDHPTEPVVAYPSCVFPVTLKFEEVVYKVKVNSKQKGWTCCNSVQSEKTILNGISGMVCPGEILAMLGPSGSGKTTLLTALGGRLRGKLSGKITYNGQPFSSSMRRRTGFVLQDDVLYPHLTVSETLMYTALLRLPSSLTKDEKVQQAQRVMAELGLGGVANTMIGGPLFRGVSGGEKKRVSIGQEMLLNPSLLLVDEPTSGLDSTTAQRIMSTLRRLAVEGGLTVVTTIHQPSSRLYHMFHKVVLISEGCPMYYGPASSALDYLSSIGYSSPIVANPADVLLDLANGISPNNSNVEKEQNEQKMVKEELILAYDRNIASRLKSELCSVDPNTFNYLREIPNNVKREQWSTSWWEQFRVLLSRGLKERRYEAFNKLRIFQVLSVATVGGLLWWQTPPSHIQDRTSLLFFFSVFWGFYPLYNAVYTFPQERAMLIKEQSSGMYRLSSYFLARTVGDLPMELALPTAFVLIIYWMGGLKSDPATFILSLLVILYSVLVAQSLGLAVGAALMDIKQGTTMASVITLVFLAAGGYYVQQIPSFIAWFKYFSYSFYCFKLLLGIHYRADEFYECSPGIMCPVMEFPAIKAVGLAHIWADVCVMALMLIGYRLVAFLALHRLRLR >DRNTG_04424.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1364059:1367463:1 gene:DRNTG_04424 transcript:DRNTG_04424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSDAIVNNTVSEGTDEHALLQALQLVNSSILPMTFKTAFELNLFNIISAASPNPLSATEITTLLPSSTPSTPVMLDRILRLLSSYSIFTCSLSTDPISGATTHLYAAAPAVKYLAQNEDGFTLSTLGLMNQDKVLMESWDYLKDAVLNGGIPFNMAHGMTSFEYHGTDPRFNKVFNEAMKNHSGIIMKRILEKYRGFDDVKVLVDVGGGVGNTLAQVVAKHKHIKGINFDLPHVISEAPLIPGVEHVGGDMFNSIPKGDAILMKCVLHDWSDEDGLKILKNCWKTLPKNGKLISVEYIRPTTPDNTNITQYLSSLDIAMLAYNPGGKERTAQEFESMAKQIGFSSIKPKFSLTGLWLIELYK >DRNTG_21231.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:200232:203822:-1 gene:DRNTG_21231 transcript:DRNTG_21231.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 2 [Source:Projected from Arabidopsis thaliana (AT2G34190) UniProtKB/Swiss-Prot;Acc:Q94C70] MADIKPEEITHPPMDQLQGFEYCIDSNPSWGEAVVLGFQHYILALGTAVMIPSFLVPLMGGTDGDKVRVVQTLLFVGGINTLLQTLFGTRLPTVIGGSYAFIVPIISIIQDSSFRDIPDGHERFLQTIRAIQGALIVASSIQIILGYSQLWGICSRFFSPLGMVPVVAAVGFGLFNRGFPVVGKCVEIGVPMLILFVAFSQYLKHIHTRRVPLLERFALLAATAIIWLYAHLLTVGGAYKHSPPLTQSHCRTDRANLISAAPWIKIPYPLQWGAPTFDAGHSFGMMAAVLVSLIESTGAYKGCSSTSECYTTACSRAQSRHRLAGDRNSTRWTLWHMHWLNRLCRECGASGSNQSREPQSCSNICWFYDILLYVRKIWSSVCINTVPDICCSLLCIVRSCCGRRPLVFAVH >DRNTG_21231.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:200232:203822:-1 gene:DRNTG_21231 transcript:DRNTG_21231.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 2 [Source:Projected from Arabidopsis thaliana (AT2G34190) UniProtKB/Swiss-Prot;Acc:Q94C70] MADIKPEEITHPPMDQLQGFEYCIDSNPSWGEAVVLGFQHYILALGTAVMIPSFLVPLMGGTDGDKVRVVQTLLFVGGINTLLQTLFGTRLPTVIGGSYAFIVPIISIIQDSSFRDIPDGHERFLQTIRAIQGALIVASSIQIILGYSQLWGICSRFFSPLGMVPVVAAVGFGLFNRGFPVVGKCVEIGVPMLILFVAFSQYLKHIHTRRVPLLERFALLAATAIIWLYAHLLTVGGAYKHSPPLTQSHCRTDRANLISAAPWIKIPYPLQWGAPTFDAGHSFGMMAAVLVSLIESTGAYKGCSSTSECYTTACSRAQSRHRLAGDRNSTRWTLWHMHWLNRLCRECGASGSNQSREPQSCSNICWFYDILLYVRKIWSSVCINTVPDICCSLLCIVRSCCGRRPLVFAVH >DRNTG_21231.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:200232:203822:-1 gene:DRNTG_21231 transcript:DRNTG_21231.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 2 [Source:Projected from Arabidopsis thaliana (AT2G34190) UniProtKB/Swiss-Prot;Acc:Q94C70] MADIKPEEITHPPMDQLQGFEYCIDSNPSWGEAVVLGFQHYILALGTAVMIPSFLVPLMGGTDGDKVRVVQTLLFVGGINTLLQTLFGTRLPTVIGGSYAFIVPIISIIQDSSFRDIPDGHERFLQTIRAIQGALIVASSIQIILGYSQLWGICSRFFSPLGMVPVVAAVGFGLFNRGFPVVGKCVEIGVPMLILFVAFSQYLKHIHTRRVPLLERFALLAATAIIWLYAHLLTVGGAYKHSPPLTQSHCRTDRANLISAAPWIKIPYPLQWGAPTFDAGHSFGMMAAVLVSLIESTGAYKGCSSTSECYTTACSRAQSRHRLAGDRNSTRWTLWHMHWLNRLCRECGASGSNQSREPQSCSNICWFYDILLYVRKIWSSVCINTVPDICCSLLCIVRSCCGRRPLVFAVH >DRNTG_21231.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:200232:203822:-1 gene:DRNTG_21231 transcript:DRNTG_21231.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 2 [Source:Projected from Arabidopsis thaliana (AT2G34190) UniProtKB/Swiss-Prot;Acc:Q94C70] MADIKPEEITHPPMDQLQGFEYCIDSNPSWGEAVVLGFQHYILALGTAVMIPSFLVPLMGGTDGDKVRVVQTLLFVGGINTLLQTLFGTRLPTVIGGSYAFIVPIISIIQDSSFRDIPDGHERFLQTIRAIQGALIVASSIQIILGYSQLWGICSRFFSPLGMVPVVAAVGFGLFNRGFPVVGKCVEIGVPMLILFVAFSQYLKHIHTRRVPLLERFALLAATAIIWLYAHLLTVGGAYKHSPPLTQSHCRTDRANLISAAPWIKIPYPLQWGAPTFDAGHSFGMMAAVLVSLIESTGAYKGCSSTSECYTTACSRAQSRHRLAGDRNSTRWTLWHMHWLNRLCRECGASGSNQSREPQSCSNICWFYDILLYVRKIWSSVCINTVPDICCSLLCIVRSCCGRRPLVFAVH >DRNTG_16218.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30824505:30826840:1 gene:DRNTG_16218 transcript:DRNTG_16218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRKYSEGIPDCPKRIRLLRSWLPSIIEEEEGLRMNADSQEAVSGKTETILAAPSNEDKELVLYEAVEEPLLQPSTNLSNISLIVSPELIASLKSRPFWPQNPYPTVVSGVQIGNTSYSDKTCGAIIPWMPSNALAIKPPITMSEESSKVQLPGSIVSPESRGEALEDPMETEEGEDATMEVEEDREQLASLDLDGKGLQQQWQQQQCMPPQLQQTTLPAILWSHQ >DRNTG_25246.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19268177:19268587:-1 gene:DRNTG_25246 transcript:DRNTG_25246.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSENIPTRITKCLEEGAEDFLLKPVRSSDVQRVCSRMMTR >DRNTG_25246.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19268177:19269388:-1 gene:DRNTG_25246 transcript:DRNTG_25246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTDGDRMHVLAVDDSSVDRAVISTLLRSSKHRVTAVDSGKRALELLDLEPNVNMIITDYWMPDMTGFELLKKVKGSTEYRQIPVVIMSSENIPTRITKCLEEGAEDFLLKPVRSSDVQRVCSRMMTR >DRNTG_14899.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20599560:20601399:-1 gene:DRNTG_14899 transcript:DRNTG_14899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAQVLLIGLLGAYLASGYSNILTSSAREDINKTVTLRDIISWAYILNRLDARTDSCAVTEDRNSSSRTSNCGLFCCEAGSPFGDQSVCSANGLSYVSFSMALGGLYMWTYTYGIMKKARRLQLKLQTDNNGGDNADNIDEAAVLTSTRPIEESTESELGVPLLSTFGLRRSTMNILERFKEILDQIAEELLAPPTFSAILGFIFGTVPWLRSLVVGEEAPLRVVQDSIKLLGNGTIPCITLILGGNLSKGLRKSTLKPLMIIAVICVRYMVLPVIGIGVVKLANELGYLPEDPLYHFVLMIQFTMPPAMNISTMAQLLHSGQDECSVVLLWSYLVAALALTVWSMIFMWILS >DRNTG_11742.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1942936:1944114:-1 gene:DRNTG_11742 transcript:DRNTG_11742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKNTRWMGEKKARLTRKKEKAQRFYRSEAMCVQSV >DRNTG_32484.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001845.1:51763:56517:-1 gene:DRNTG_32484 transcript:DRNTG_32484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVANDIGENFGMLPGVLCNRFPPWLVLLIGGCSCFIGYGVLWLAVSETVTVLPYWALWFALCIATNSNAWLGTGVLVTNMRNFPLSRGTVAGILKGYVGLSAAVYTEIYTGVLRNSPPKLLLFLTLGIPTICIAMMYFVRPCTPSLEDDSLEHGHFLFTQIASVVLGLYLLTATVLDDVLSLNTTITYTLFGIVILLLMAPLAIPLKMTLFPRRKKVSLIGPSDSSDKLYAGDSDDAEPLLASTSSTTNIGDLQEVDEASDIDILLAEGEGAIKKKRRPKRGEDFTFREALIKADYWLIFLVYFLGVGSGVTVLNNLAQVGTASGVNDTTILLCLFSFCNFLGRLSGGVVSEFFVRWKMIPRPILMTFTQIIMVITYVLFASALNGTLYASTALLGICYGVQFSVMVPTVSELFGLKHFGLLYNFMLLGNPLGALLFSGGLAGYIYDQEAERQRQAGLSDGSTCIGPDCFRITFLVLAGVCGLGSLLSIILTVRIRPVYQMLYASGSFRLPRSSH >DRNTG_04614.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3074616:3095077:-1 gene:DRNTG_04614 transcript:DRNTG_04614.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSGKKIGHFEFSKATHTKKDGSYLNKETEEIMEKANEKLSEYQSIDGDEGMVETEILTQVIGKERHGRVRGLGLGPTPTSYYGHSDSRRLTTCSGHSSECIEFRQQMNEKFQKMEDELGQEQDLTDRAYSHKHSRKASDPSSPAPLRPIPYAHK >DRNTG_31589.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18651585:18653459:1 gene:DRNTG_31589 transcript:DRNTG_31589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDTVMGAASLIIFKLPKEFCPSLTGHIQAAWSLVTNLYVKVNKVDVSSSDSGGVRLQAAFKDEREPKDVF >DRNTG_19196.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000987.1:3400:6568:-1 gene:DRNTG_19196 transcript:DRNTG_19196.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEIAESFVGNVPEFGHKDLPRKFGRHEVLRDYVPLWGSVSICGKRPQMEDAVMTVPRFFHIPMTMLPADLVVDGIIPDLISLSGHFFGVYDGHGGSQVANYCRERLHLALVEELKNIGTSTGDDWQKQWEKAFINCFLKVDDEVCGKVSRGAAGSTSDVSGEISISLVSGSAGMSEPVAPENVGSTALVAVICSSHIIIANCGDSRAVLCRGEQPVALSNDHKPNREDEYARIEAEGGEVVIHSDRYCVCGVLPVSRSIGCKYLKPWIIPDPEITVVERAREDECLILASDGLWDVMSSEEVCDAARRRILLWHKKSAGTTATPSRIQKSEEADSAAQAAADYLSYLAMEKGSMDNITVIVVDLKARRRKLVSGWT >DRNTG_19196.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000987.1:3400:7083:-1 gene:DRNTG_19196 transcript:DRNTG_19196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELVNEEERENKEDDAITTFPKLKYLKIVRLPKLVEISSCAIDFPHLSKVHLEDCPNLKRLPFKPDIVSNQGLLIKCERKWWERLEWDDANVHSQFCSNSTEDEEIAEFFVGNVPMEDEEIAESFVGNVPEFGHKDLPRKFGRHEVLRDYVPLWGSVSICGKRPQMEDAVMTVPRFFHIPMTMLPADLVVDGIIPDLISLSGHFFGVYDGHGGSQVANYCRERLHLALVEELKNIGTSTGDDWQKQWEKAFINCFLKVDDEVCGKVSRGAAGSTSDVSGEISISLVSGSAGMSEPVAPENVGSTALVAVICSSHIIIANCGDSRAVLCRGEQPVALSNDHKPNREDEYARIEAEGGEVVIHSDRYCVCGVLPVSRSIGCKYLKPWIIPDPEITVVERAREDECLILASDGLWDVMSSEEVCDAARRRILLWHKKSAGTTATPSRIQKSEEADSAAQAAADYLSYLAMEKGSMDNITVIVVDLKARRRKLVSGWT >DRNTG_19196.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000987.1:3400:4578:-1 gene:DRNTG_19196 transcript:DRNTG_19196.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAVMTVPRFFHIPMTMLPADLVVDGIIPDLISLSGHFFGVYDGHGGSQVANYCRERLHLALVEELKNIGTSTGDDWQKQWEKAFINCFLKVDDEVCGKVSRGAAGSTSDVSGEISISLVSGSAGMSEPVAPENVGSTALVAVICSSHIIIANCGDSRAVLCRGEQPVALSNDHKPNREDEYARIEAEGGEVVIHSDRYCVCGVLPVSRSIGCKYLKPWIIPDPEITVVERAREDECLILASDGLWDVMSSEEVCDAARRRILLWHKKSAGTTATPSRIQKSEEADSAAQAAADYLSYLAMEKGSMDNITVIVVDLKARRRKLVSGWT >DRNTG_07943.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1102570:1104035:1 gene:DRNTG_07943 transcript:DRNTG_07943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLLKVFERLGMPISDWNSLFWITHAGGRAIFDRIEEKLGLKPEKLKATRHVMSEYGNMISCCVFFAMDEMRKSSMPEGLRTAGEGLEWDVLHGFGPGLTMETVVLRAPPLGGLVSN >DRNTG_21360.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19242421:19245395:-1 gene:DRNTG_21360 transcript:DRNTG_21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPSKHLQNNNFVSDLKALFPVQKTRKTKIFVYGFMFAFIACTAFLAFNSSGSASPWFNSLFNSYSTSASSYRSQVSSIFSYIFPNSSLTASAGASVSEVRVDDGKKDGILGRNQTTGSGVSEKDKGKSVEGLAKNQTEKAVPVKDQGKESVATEKGAVLEGKNQTGNGVQAKNQKGNGGQAKTQTTNGVPAKNQTGNAVSAKKQTGNAVPAKNQTVNAVPAKNQTGNAVPAKNQAGNAVPGKNQPREGSGSKKDGVLEGRNQSKTGVSDKNSTGSGVTASKNTSKQSGVSGSNKNNTKVESKGNVALTKNETAPKKSGNSTINVTKGVPSGAAVVNLTASLKKGNGSSSLSSNGSADKKGDDWIKSMIGCDIFQGRWVKDDSYPLYPEGSCPHIDEPFDCFLNGRPDRSYQKLRWQPNGCNIPRLNATDMLERLRGKRLVFVGDSLNRNMWESLVCVLRNSVKDKKKVFEMSGRREFRTEGSYSFLFKDYGCTIEFFRSPFLVQEWEVPDNHGHKKETLRLDTIEKSSFKYKDAYAIIFNTGHWWTHEKTSKGKDYYQEGTHVYGELKVIEAFHKALNTWAKWVDTNVNPKKTLVFFRGYSASHFSGGQWNSGGACDRETEPIKNETYLAPYPPKMGVLETVLKEMKTPVTFMNITRITDYRKDAHPSIYRKQNLSDEERRAPERYQDCSHWCLPGVPDIWNELLYAQLLIKQYQQKH >DRNTG_05678.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:111372:123621:1 gene:DRNTG_05678 transcript:DRNTG_05678.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAGAEHTAAVTEDGELYGWGWGRYGNLGLGDRNDRLIPEKVSSVGEQKMVLVACGWRHTITVSSSGGLYTYGWSKYGQLGHGDFEDHLVPHQVEALQSSHVSQISGGWRHTMGLTSDGKLYGWGWNKFGQVGVGDNVDHCSPVQVKFPNEEKVVQISCGWRHTIAVTERKNLFSWGRGTCGQLGHGDILDRDSPKMIEVLSTDGSGCKEIDSLKIESVSGDSSYSLFVIFFFPHFIVSGKKTSFFALLFSTGKVWISPSERYAIVPDEKLTKELVDPVKGNGNDASVPDTDVKRIRI >DRNTG_05678.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:111372:123621:1 gene:DRNTG_05678 transcript:DRNTG_05678.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPGTAGDSEASSPHVVLISAGASHSVALLSGHIVCSWGRGEDGQLGHGNAEDRLIPTLLSALDNARIVSIVCGADHTTAYSESELQVYSWGWGDFGRLGHGNSSDVFTPQPIKALQGIIIKQIACGDSHCLAVTMDGEVQSWGRNQNGQLGLGTTEDSLVPQKIQSFQGIPVKMIAAGAEHTAAVTEDGELYGWGWGRYGNLGLGDRNDRLIPEKVSSVGEQKMVLVACGWRHTITVSSSGGLYTYGWSKYGQLGHGDFEDHLVPHQVEALQSSHVSQISGGWRHTMGLTSDGKLYGWGWNKFGQVGVGDNVDHCSPVQVKFPNEEKVVQISCGWRHTIAVTERKNLFSWGRGTCGQLGHGDILDRDSPKMIEVLSTDGSGCKEIDSLKIESVSGKVWISPSERYAIVPDEKLTKELVDPVKGNGNDASVPDTDVKRIRI >DRNTG_22035.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2442299:2448099:1 gene:DRNTG_22035 transcript:DRNTG_22035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKEIRHLCSYLQDLKKASAEEMRKSVYANYAAFIRTSKEISDLEGELLSIRNLLSTQVALIHGLAEGVPTESLSAGSERSLGDDMSKAEDREPSDIERWAIDFPDMLAVLLAERRVDEALDALDEADRIAAEAKQNKALKPAELRSLQTAITLHRQKLADQLAETAGQSSTRGVELRAAASALKRLGDGPRAHTLLLNAHYQRLQYNMQTIHPTSTSYGGAYTAALSQQVFSAIAQALHDSLDVFGDDSAFASELVAWSTEQAETFAQLVKRHALASCAAAGGLRAAAECVQIALGHCSLLESQGLSLSSVLLKLFRPSVEQALDANLKRIEESTAALAAADDWVLTYPPSGARTSNRTSSTSVGNQPKLSSSAHRFNSMVQDFFEDVGPILSMQLGGPTLDGLLRVFNSYISLLINALQGSIEDDGNVGVSGNKIVRMAETEAQQLALLANASLLSEELLPRAVMKLSPTYQANGIDDTRKRASDRHNRMPEQREWKRKLQRCVDGLRDSFCRQHALDLIFTEDGFTHLSADMYLSLDGSGYEPDWSPSPIFQELYAKLNSMASIAADMFVGRERFATLLMMRLIETVILWLSDDQAFWEEIEEGPKPLGPLGLRQFYLDMQFVIVFGQGRFLSRHVHQVIMDIIERAMAAFSATGMDPDSALPNDDWFIDIAQETVSKISGKTRVTTDREPNSPTASVSAQSMSSVRSHGSS >DRNTG_19897.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18207366:18210614:-1 gene:DRNTG_19897 transcript:DRNTG_19897.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQMRNFRRYAHALQISMWMSDRRYFPLTPGDYADRLYLISKLQGLEHAENYFNNISVQMKAYQTYGTLLNCYAEEKNVEKAEAIFQKMKELKILTSFSFNAMMKLYSNTSRLEKVKSIFREMQKKGIPADCFTNSILMEAYVAASDTDGIDKLLQKLKHPNAAKSWHVYAVAAKGYAKAMLVDEALKALKKSEELVPQKKGRVAYGFLLTVCAEMGSKDDLYKVWHKYKSSEKQCNSMFMCMISALLKLDDIEGAETILKEWESQTSFYDFRVPNLLIAAYCKNGLLGKAESLLNESLKTGRTPFANSWERLANGCFEDDQTSKAVEFMKKALVAGQQHEWKPSPVNITSSLEYFKDQKNVEGAEEFVKLVRFLAPLTREIYNCLLEVYLAAGKPVLDVLKRMEDDGFNADKETHGVRRNENLQKL >DRNTG_19897.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18207465:18210614:-1 gene:DRNTG_19897 transcript:DRNTG_19897.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQMRNFRRYAHALQISMWMSDRRYFPLTPGDYADRLYLISKLQGLEHAENYFNNISVQMKAYQTYGTLLNCYAEEKNVEKAEAIFQKMKELKILTSFSFNAMMKLYSNTSRLEKVKSIFREMQKKGIPADCFTNSILMEAYVAASDTDGIDKLLQKLKHPNAAKSWHVYAVAAKGYAKAMLVDEALKALKKSEELVPQKKGRVAYGFLLTVCAEMGSKDDLYKVWHKYKSSEKQCNSMFMCMISALLKLDDIEGAETILKEWESQTSFYDFRVPNLLIAAYCKNGLLGKAESLLNESLKTGRTPFANSWERLANGCFEDDQTSKAVEFMKKALVAGQQHEWKPSPVNITSSLEYFKDQKNVEGAEEFVKLVRFLAPLTREIYNCLLEVYLAAGKPVLDVLKRMEDDGFNADKETHGVRRNENLQKL >DRNTG_19897.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18207465:18210713:-1 gene:DRNTG_19897 transcript:DRNTG_19897.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFRSSIRSRLSTATAAAAVESRPPGWPDSLYTRVVLVSNPKVSVVPVLEKWINEGKQVSKADLQWMVKQMRNFRRYAHALQISMWMSDRRYFPLTPGDYADRLYLISKLQGLEHAENYFNNISVQMKAYQTYGTLLNCYAEEKNVEKAEAIFQKMKELKILTSFSFNAMMKLYSNTSRLEKVKSIFREMQKKGIPADCFTNSILMEAYVAASDTDGIDKLLQKLKHPNAAKSWHVYAVAAKGYAKAMLVDEALKALKKSEELVPQKKGRVAYGFLLTVCAEMGSKDDLYKVWHKYKSSEKQCNSMFMCMISALLKLDDIEGAETILKEWESQTSFYDFRVPNLLIAAYCKNGLLGKAESLLNESLKTGRTPFANSWERLANGCFEDDQTSKAVEFMKKALVAGQQHEWKPSPVNITSSLEYFKDQKNVEGAEEFVKLVRFLAPLTREIYNCLLEVYLAAGKPVLDVLKRMEDDGFNADKETHGVRRNENLQKL >DRNTG_19897.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18207465:18209117:-1 gene:DRNTG_19897 transcript:DRNTG_19897.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWMSDRRYFPLTPGDYADRLYLISKLQGLEHAENYFNNISVQMKAYQTYGTLLNCYAEEKNVEKAEAIFQKMKELKILTSFSFNAMMKLYSNTSRLEKVKSIFREMQKKGIPADCFTNSILMEAYVAASDTDGIDKLLQKLKHPNAAKSWHVYAVAAKGYAKAMLVDEALKALKKSEELVPQKKGRVAYGFLLTVCAEMGSKDDLYKVWHKYKSSEKQCNSMFMCMISALLKLDDIEGAETILKEWESQTSFYDFRVPNLLIAAYCKNGLLGKAESLLNESLKTGRTPFANSWERLANGCFEDDQTSKAVEFMKKALVAGQQHEWKPSPVNITSSLEYFKDQKNVEGAEEFVKLVRFLAPLTREIYNCLLEVYLAAGKPVLDVLKRMEDDGFNADKETHGVRRNENLQKL >DRNTG_19897.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18207143:18210614:-1 gene:DRNTG_19897 transcript:DRNTG_19897.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQMRNFRRYAHALQISMWMSDRRYFPLTPGDYADRLYLISKLQGLEHAENYFNNISVQMKAYQTYGTLLNCYAEEKNVEKAEAIFQKMKELKILTSFSFNAMMKLYSNTSRLEKVKSIFREMQKKGIPADCFTNSILMEAYVAASDTDGIDKLLQKLKHPNAAKSWHVYAVAAKGYAKAMLVDEALKALKKSEELVPQKKGRVAYGFLLTVCAEMGSKDDLYKVWHKYKSSEKQCNSMFMCMISALLKLDDIEGAETILKEWESQTSFYDFRVPNLLIAAYCKNGLLGKAESLLNESLKTGRTPFANSWERLANGCFEDDQTSKAVEFMKKALVAGQQHEWKPSPVNITSSLEYFKDQKNVEGAEEFVKLVRFLAPLTREIYNCLLEVYLAAGKPVLDVLKRMEDDGFNADKETHGVRRNENLQKL >DRNTG_19714.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1021181:1021464:1 gene:DRNTG_19714 transcript:DRNTG_19714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEMIGKHPGVCRDLQRLQSLQEKCTELLE >DRNTG_00426.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21938256:21939730:-1 gene:DRNTG_00426 transcript:DRNTG_00426.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLRPAVPGGGPCHGGRGRDGWLFKAKSRVEGHNLVGL >DRNTG_33633.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11338089:11339777:1 gene:DRNTG_33633 transcript:DRNTG_33633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFKGSCPNYYSHWKRSKKTVKILHRVKILQEIGKSFHQSESIFIDSLPETSSSLPTTTLHGSSAERKKGEILQCIMNPEVRKIGIFGMGGVGKTTVMRHIYNQLKEKKDDFTIVIWVDVSSSFNLEKVQEKIAEKLGCNLSSSTDEKSRALVLHEAFKRRRNFVIFLDDVWESVSLQDVSIPEADGSNGSKIVWTTHFVNVCHSMESQGEVKVECLADEKAWALFKEKVGGEDVIMSPEIEPIARKVARECGGLPLALITVGRALRK >DRNTG_20596.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18306767:18320388:1 gene:DRNTG_20596 transcript:DRNTG_20596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGIPFPAPPCPIPIPSLNYDIEEERRQKLARSPRGGENWRSPPHGVHMQLESTDGVSLDVDLVVAQNRAMVAKSHRGRVRNPQAHVDARFQPYLICDSARFWGHLSSFSPSFLHLLGGRRLGFREAFGKSLEWSYGFDTALLLEEGYWGSFHRHRSGEGIYTWEPQHESSLLAALRVQGIDLSSMSITARTPHAPRAPTRESQTHADKHSPMLKRVRASPSTVNSLDEFEDDVGQD >DRNTG_35430.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1762179:1765970:-1 gene:DRNTG_35430 transcript:DRNTG_35430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFREDSFQKALNALVAPEGDMKRRNGRQKNDRLVGNPSGNGSLSICTGKLLEDLLDSNLFLALNLAPSIHLLQPLEALEDDGGERVAKDPFNDVLTPLLKCFRDGFAVWFTDVKMPVRALGILLKFP >DRNTG_17023.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10668408:10668611:-1 gene:DRNTG_17023 transcript:DRNTG_17023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKQIEEMVVALKESKRPHLWVVRKDNREEAGEIGGEGMDGNGNRMMVVSRPEPGALTNGLIPTRS >DRNTG_33728.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1290999:1296218:-1 gene:DRNTG_33728 transcript:DRNTG_33728.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxybenzoate polyprenyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G23660) UniProtKB/TrEMBL;Acc:F4JPA9] MCKLLVLQVERTKHRPFASGLLTPYQGVGFLGVQLLLGLGILLQLNNYSQILGASSLLLVFSYPLMKRLTFWPQAYLGLTFNWGALLGWAAIKGNLDPAIVLPLYSSGVFWTLVYDTIYAHQDKEDDLKVGVKSTALRFGVLTKNWISGFGIACIGSLFVSGFNAELAWPYYLFLTSAAGQLGWQILTVDLFDHSDCNRKFVSNKWFGALVFSGILLGRLAS >DRNTG_33728.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1290999:1292530:-1 gene:DRNTG_33728 transcript:DRNTG_33728.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxybenzoate polyprenyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G23660) UniProtKB/TrEMBL;Acc:F4JPA9] MKRLTFWPQAYLGLTFNWGALLGWAAIKGNLDPAIVLPLYSSGVFWTLVYDTIYAHQDKEDDLKVGVKSTALRFGVLTKNWISGFGIACIGSLFVSGFNAELAWPYYLFLTSAAGQLGWQILTVDLFDHSDCNRKFVSNKWFGALVFSGILLGRLAS >DRNTG_33728.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1290999:1296218:-1 gene:DRNTG_33728 transcript:DRNTG_33728.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxybenzoate polyprenyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G23660) UniProtKB/TrEMBL;Acc:F4JPA9] MALLLASRAARRLRPQCWASAATVFFAPGHRKPSPIKPILSPKTLGTLFSAPIGSPGYHLLALRQTLSLASITSFSSSGNPNKEEDEDEKPWNRRSAVSNSSWIDLYLPDSLRPYALLARLDKPIGTWLLAWPCFWSITMAAQPGEYPDLKMLALFGCGAVLLRGAGCTVNDLLDRDIDVKVERTKHRPFASGLLTPYQGVGFLGVQLLLGLGILLQLNNYSQILGASSLLLVFSYPLMKRLTFWPQAYLGLTFNWGALLGWAAIKGNLDPAIVLPLYSSGVFWTLVYDTIYAHQDKEDDLKVGVKSTALRFGVLTKNWISGFGIACIGSLFVSGFNAELAWPYYLFLTSAAGQLGWQILTVDLFDHSDCNRKFVSNKWFGALVFSGILLGRLAS >DRNTG_33728.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1289222:1296218:-1 gene:DRNTG_33728 transcript:DRNTG_33728.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxybenzoate polyprenyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G23660) UniProtKB/TrEMBL;Acc:F4JPA9] MALLLASRAARRLRPQCWASAATVFFAPGHRKPSPIKPILSPKTLGTLFSAPIGSPGYHLLALRQTLSLASITSFSSSGNPNKEEDEDEKPWNRRSAVSNSSWIDLYLPDSLRPYALLARLDKPIGTWLLAWPCFWSITMAAQPGEYPDLKMLALFGCGAVLLRGAGCTVNDLLDRDIDVKVERTKHRPFASGLLTPYQGVGFLGVQLLLGLGILLQLNNYSQILGASSLLLVFSYPLMKRLTFWPQAYLGLTFNWGALLGWAAIKGNLDPAIVLPLYSSGVFWTLVYDTIYAHQDKEDDLKVGVKSTALRFGVLTKNWISGFGIACIGSLFVSGFNAELAWPYYLFLTSAAGQLGWQILTVDLFDHSDCNRKFVSNKWFGALVFSGILLGRLAS >DRNTG_33728.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1289222:1296218:-1 gene:DRNTG_33728 transcript:DRNTG_33728.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxybenzoate polyprenyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G23660) UniProtKB/TrEMBL;Acc:F4JPA9] MALLLASRAARRLRPQCWASAATVFFAPGHRKPSPIKPILSPKTLGTLFSAPIGSPGYHLLALRQTLSLASITSFSSSGNPNKEEDEDEKPWNRRSAVSNSSWIDLYLPDSLRPYALLARLDKPIGTWLLAWPCFWSITMAAQPGEYPDLKMLALFGCGAVLLRGAGCTVNDLLDRDIDVKVERTKHRPFASGLLTPYQGVGFLGVQLLLGLGILLQLNNYSQILGASSLLLVFSYPLMKRLTFWPQAYLGLTFNWGALLGWAAIKGNLDPAIVLPLYSSGVFWTLVYDTIYAHQDKEDDLKVGVKSTALRFGVLTKNWISGFGIACIGSLFVSGFNAELAWPYYLFLTSAAGQLGWQILTVDLFDHSDCNRKFVSNKWFGALVFSGILLGRLAS >DRNTG_09708.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:782851:783544:1 gene:DRNTG_09708 transcript:DRNTG_09708.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGKASWMVAMSVGAVEALKDQVGLCRWNYALRSLHQHAKNNLGYLSQARRISSSVDVMTKAEVDEKMKKTEETLKKVMYLTCWGPN >DRNTG_09100.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6351672:6354482:-1 gene:DRNTG_09100 transcript:DRNTG_09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFGDGVEFRCSMLGKNKDLDFSGFTKNMLLEMCIFSGCDYLQSLPGIGLKRAHALVQKFKSYKKMAAIERYENMESSDDEESVPRMVDESQDRDVHTQHSNNIIGTSYKASRSERQVVVVATGDMSSRKRGRGPTINIKLAKKKAEGKQIDIQFPPPYYKVCGKHANLFKSEVTLAIRQLAPLQVASWTKIPNEDIKIIWIFLKDKLQKEDEKNREKISSAHLPLVDHFGLVLERKSSYSRGLGLKGITSTFQQKSQILVEAEAAEKRASDLDDEVARLNETTKNQDEKIQAQRVAIEKQAMEMKQISTVFAHLRDNGLIPSILLNPCTPIGLPSTSPTSSAQTSHVRIKCIGECLILESSLE >DRNTG_30340.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25905596:25908785:1 gene:DRNTG_30340 transcript:DRNTG_30340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSVQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHVSQHPIKRCKHFEIGGDKKGKGTSLF >DRNTG_20546.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:594116:596027:1 gene:DRNTG_20546 transcript:DRNTG_20546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSELIKEVALVVKEESAINDAIEEKKLISPITEDGSMDRKGNPAIKKKTGQWKSAIILLVNYGLSTLAFFGVGVNLVLFLTRVMQQENAEAANSVSKWTGTVYILSLFGAFLSDSYWGRYFTCAIFQLIFVMGLTLLSLSSWFLLVKPLGCGYALKQCNPPSTIGTILFYLSTYMIAFGNGGYQPSIATFGSDQFDEMDQRKAIQRWHSSVTFT >DRNTG_05425.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5030202:5031618:-1 gene:DRNTG_05425 transcript:DRNTG_05425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALFSHQPSSTLGLQLEEAMIQELRWNCPVLSFPSKEQEASYNFHQDLDYDDLSFPISPSSHDLSNMTKKLSHNAYERDRRKKLNDLYSSLRSLLPETEQNKKLSIPCTISRVVKYIPELQRQVQRLSKKKEEMALNKSRERRNVNVISRSEGAIHPIISATCLNNKQVMMQICVFNKQISRIPLSKVLRVLEVQGLQLIDGSTFTTKNSDQTFYSLHLQAKETINMDFHLFCDRLVTAIKDQSSFASSNACNGGT >DRNTG_30080.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22813909:22816001:-1 gene:DRNTG_30080 transcript:DRNTG_30080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLVVFMEAEKLDAIIARIEHKSRKIESLLKQSKPVEALKTALEGSPPNTKDERCKSANWIVVHRALMAIKDLDGMFSYLDPEYYDILMKYLYRGLATGDRPTCDQCLKIHEKLTERAGLGCILRALADTVNTV >DRNTG_01791.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:92959:94081:-1 gene:DRNTG_01791 transcript:DRNTG_01791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTYVRGVVHLPLDVPRSPLVLAKARERAEMPRLHHPHPRLSLELVALKQKPLSEPCGSYPSISSSLDSGEQVYSSSRPHESNPREPCQH >DRNTG_26885.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21430610:21431928:-1 gene:DRNTG_26885 transcript:DRNTG_26885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVGVGEEEMACWDLWAGTGEAFSGGGRRGRWRLTVQLQKEQCIEEFEFDFVILCIGRFSGLPNIPSFPEKKGPEVFDGQVIHSMDYSKFDKDAAIQLVKGKRVTVVGFMKSALDITYECAIANG >DRNTG_26152.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29345755:29349078:-1 gene:DRNTG_26152 transcript:DRNTG_26152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLVARTGRHQQRYKDGYRLVAGCIPFRYKSCEEDCDDDPMRTIEVLMINSQSGPGLLFPKGGWENDETVKEAAVREAVEEAGVRGDLKKFLGFYCFKSKSHQDEFSPEGWCKAAMYALLVNEELLSWPEQSTRERKWLHVPEAVEQCRHKWMEDVLDAFSKWLANKALAIEEEDPISAPASPHLGDSMSIPD >DRNTG_21177.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001205.1:80914:82476:-1 gene:DRNTG_21177 transcript:DRNTG_21177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKFVSMIVNMMKSEELYASQGGPIILSQIENEYQNVEGAFHEKGPPYVKWAASMATSLNTGVPWIMCKQDDAPDPLINTCNGLRCGETFVGPNRPYKPALWTENWVSLYQVFGEEPYKRSAQDIAFHVALFI >DRNTG_03792.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22040018:22040677:-1 gene:DRNTG_03792 transcript:DRNTG_03792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDRSQEHLTKKAHQIHLSHPHSHLWVPLCLFKFRKIDHDLAKIEQIRSLITLRSMVTLKIMTWMRLRSYFGRHRILITWSRLFNGFVLNRMFCRGIDFYSKMRIHSIKPNDTTVVALLMLYTHCKYAWFGKSIHGYLLRRWSHLPTHNGEERLDTLEYFQGRGKVALDVFK >DRNTG_19232.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18934562:18935426:-1 gene:DRNTG_19232 transcript:DRNTG_19232.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSEVSKLTADLEQTKAKIKSAEIRQHAVKNIEAEAKASEVAALEKIKALSDSDNSNSNIQNTSRVNLPVKQFLELFRKASEVDKLSRRNIEENQSKQEAITEITEKSNTSRQSVDEARRKWRLEHVQKQHSLRNNTTKLTDKTTNEVTTKSVSSEDNNAGQKDNRKPKVTLRQLLNEEKSVRNVCKKFPTKRKKFSVLSLLNWMIKIRRTRRRRLRIRRRSMFDFSC >DRNTG_08138.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5316232:5327058:-1 gene:DRNTG_08138 transcript:DRNTG_08138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTVVENLPSSTFSSIVADHSAEQAPLDLPNHENMEPKYDISAEESKCIIEVIAATGKFWHDWEVLRSLLSSQLKQALGEYRESQMVGGDGPQPNSLSGETYAELATRLEEALLSFSDGPPFTLQRLCEILLSPKSTYKTLSKLALALEKNLLVTSTITRSTEPYPTELLQKSAESEKGSSEAQVHHSSPTPNEPQVHQSSPTPNGVEALAGDSDEEMVEAEADEEAVNSDMDVQEDNASGKSESTSAVAADSDPPTNSEEHGLTAA >DRNTG_05283.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16731234:16731922:1 gene:DRNTG_05283 transcript:DRNTG_05283.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLCELVLTISSTVQQIHATDQPCDVGSYKDSSQTNKLTLMNE >DRNTG_23574.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18213661:18217549:-1 gene:DRNTG_23574 transcript:DRNTG_23574.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEVSEEVDDDAAEVDNVEAADEDDDDGGMVARGRWQGYGIPCIRINDDINSHAREEPFPWTTTPRRPHYPPPPPPLPPLPMDNHSI >DRNTG_11533.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21341862:21347475:-1 gene:DRNTG_11533 transcript:DRNTG_11533.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDFMVCVDRIIASACFDPHNGLAVAAAPAALVKNGPAGLEEGSSENKKGGSVKKKKKKGGGEVIECRICQEEGEEGDMESPCACNGTLKFAHRKCIQRWCNKKGDITCEICNQVYTPNYSVPPSRATSDVMAIDIRQNWATGIDLNNPHYLAIAAAEQEFLRAEYENYAAASSSGIYCCRTVALILMLLLLVRHILMVIVDSGMVQDISPFFNVSLLQFLGFLLPCYVIVRACYIVQSRRRRQV >DRNTG_29573.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2264186:2266278:1 gene:DRNTG_29573 transcript:DRNTG_29573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKLSRGRERTSTLATMLDVAAELLGLNRNAIELKAEMMSMNSELLHLVHDHFERASWRHFTCWTTSKTTSLKLRLTLPWDTLAQ >DRNTG_26738.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:27519754:27523817:1 gene:DRNTG_26738 transcript:DRNTG_26738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKINWVMDDAIKLKAFLFSLKERAKQSSGYTHYLEPRLPYGRRWNEISSFVQLELESLFETWETFKELLRKCPQYDFPEWMIVQTFYNGLNLSTRQLLDVEAEGALGQIAKSLSERPQGSLTSNTETKP >DRNTG_03894.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18907166:18911321:1 gene:DRNTG_03894 transcript:DRNTG_03894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMFPPVRSNGRELQQGVFVGYPKKKKKKEKYLWVMEDDCEVVVMGLLNALKVAAKSGAMEFCVRFLDVGEAMKNSLRCRKVGEFISGSLAASTSKHSTTRDFEPVAEKRAAQRPAVLPRSEFARWRWVREVGKVWEVVLVVGHAHVHRSSVRIVSLRKRTKGTRDWGVLFYFHSLIRAVIWILGAYRALYLLN >DRNTG_18435.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14751913:14752279:-1 gene:DRNTG_18435 transcript:DRNTG_18435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDVGSGGWGEKCTIAGELTMGPDDVVIGRPPSFFLAVQLSLAGSAWSGKSSLEEKGKEDEAHTSAEKVELERERSMRPRITS >DRNTG_20085.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10997316:11002778:1 gene:DRNTG_20085 transcript:DRNTG_20085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKKISSGQKEKKTRISYYPVWGPGLFWSVCPDFHTTQYGGCMHPGRYEGLFQLGFF >DRNTG_24862.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20183438:20184079:-1 gene:DRNTG_24862 transcript:DRNTG_24862.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSHPRLTSRATITGHHHHHRRPPSPPSPSSRLSISNATYTC >DRNTG_33793.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:142969:154166:1 gene:DRNTG_33793 transcript:DRNTG_33793.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4G03560 protein [Source:Projected from Arabidopsis thaliana (AT4G03560) UniProtKB/TrEMBL;Acc:B9DFD5] MDSPLITEASSSGGAGAGAGYHKHKPYQRRSDAIAYGTRYQKAAALVDLAEDGIGLPEEVLNDSSFDRAAKLYFIYIRFDWVWSLNLFALLLLNFLEKPLWCSTSYDQYACQDREYFFLGQLPYLNNVQSLIYEGITLSILILHTFFPIAYEGFHLYWKSPSNRIKVVLLLLLICDMLIYALYVSPVLLAYLPLRIAPYVRVIFVIMTIRDLRGCIVTIAGMMGMYLNVLALSLLFLLFASWLAYVTFEDTLQGKTMFSSYGATLYQMFVLFTTSNNPDVWIPAYKKSRWSCLFFVLYVLVAVYFLTNLILAVVYDSFKSELAKQVIEMDSTRRRILEKAFNLIDIHRNGHLNKEQCVHLFEELNKYRSLPKTKSEDFELVFDELDDSGDFKINLEEFTDLCNAIALRFQKEPLPSWFEQYPSFYHSQPCKKFKAFVQGPIFGYIVAFVLFLNLVAVIIETTLDIQNSSAQKSWQAVEFFFGWLYVLEMALKIFSYGFDAYWMEGQNRFDFIITWIIVIGETATFCVPSGLALLSNGEWIRYLLLARMLRLIRLLLHVNRYKAFVATFLKLIPSLMPYLGTIFCVLCIYCTLGLQLFGGLVNAGNPILETTDLYDNDYLLFNFNDYPNGMVTLFNLLVMGNWQVWMQSYKELTGTSWSLAYFISFYLLTVLLLLNLVVAFVLEAFFAEMELETTNESEDDQDASRRKDRRRHVGFKTRSRTVDTLLHRILSSELSESQRSKS >DRNTG_04015.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29230920:29232420:1 gene:DRNTG_04015 transcript:DRNTG_04015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLALTLYQPSKVLLKQLLTKEARMGASLLRLHFHDCFVNGCDASILLNDTANFTGEQTAGPNVNSIRGLDVIDNIKSQVESVCNQTVSCADILAVAARDAVVALGGPSWTVLLGRRDARTASLSTANSDIPSPTFDLSNLTSSFSKQGLSVTDMVALAGAHTIGQARCISFRSRIYNETNIDSSFKTSLQSNCPSSGGDNNTSPLDTNTPTSFDNNYYKNLISQKGLLHSDQQLFNNGSTDSQVNTYSSNSSQFNSDFASAMVKMGNISPLTGSNGEIRINCGKVN >DRNTG_21604.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1321454:1323234:1 gene:DRNTG_21604 transcript:DRNTG_21604.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTKDMQEHDSSKEKDLYLQFNLVLSDVSAFLVDGDYWWGAPLVTDQNKHNILPVIDKCAIVIKLQQIKFENPFYPSTRLAVRLPCLGFHFSPARYHRLLQVVKIFEEDDGSSPDMVCPWNQADFEGWLSLLSWKGVGNREAVWQRRYFCLVGPFLYILENPSSKSYKQI >DRNTG_21604.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1315065:1323234:1 gene:DRNTG_21604 transcript:DRNTG_21604.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAASGKLSWEQVLRYARLQKKYVPLYASLLKPDMIHSAVDHNKEIEKMDRELDISVILQWRMVAHKFVDQSTELDNQQRGLKTKKSWWPFGWGGSTKDENEPRGFTEEDWEQLNRIIGYKEGSDENLLTAYDKGDLLHVLFEINMKHNASKLVTDNQVYLADLSCEGLHCSIKSYLETKVFNLKLGSYRLSSPSGLLAESATLSDSLVGTFSYKPFDAEVDWSFAVKASPCYMTYMKDSVDQIIAFFKSGTAVSQNIALETAAAVQMTIDGVKRTAQEQVTRALKDYSRFFLDVDIAAPKITIPTKFSPDSSHSTKLLLDLGNLMLCTKDMQEHDSSKEKDLYLQFNLVLSDVSAFLVDGDYWWGAPLVTDQNKHNILPVIDKCAIVIKLQQIKFENPFYPSTRLAVRLPCLGFHFSPARYHRLLQVVKIFEEDDGSSPDMVCPWNQADFEGWLSLLSWKGVGNREAVWQRRYFCLVGPFLYILENPSSKSYKQI >DRNTG_21604.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1320663:1321601:1 gene:DRNTG_21604 transcript:DRNTG_21604.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTYMKDSVDQIIAFFKSGTAVSQNIALETAAAVQMTIDGVKRTAQEQVTRALKDYSRFFLDVDIAAPKITIPTKFSPDSSHSTKLLLDLGNLMLCTK >DRNTG_21604.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1315065:1316047:1 gene:DRNTG_21604 transcript:DRNTG_21604.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDQVAFLLQKYLGNYVRGLSKEALKISVWNGDVELKNMQLRPEALNALKLPVRVKAGFLGSVKIKVPWSRLGQEPVLVSLDRILILAEPALQVEGSSEDALQEAKKNRIR >DRNTG_21604.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1320101:1322283:1 gene:DRNTG_21604 transcript:DRNTG_21604.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHKFVDQSTELDNQQRGLKTKKSWWPFGWGGSTKDENEPRGFTEEDWEQLNRIIGYKEGSDENLLTAYDKGDLLHVLFEINMKHNASKLVTDNQVYLADLSCEGLHCSIKSYLETKVFNLKLGSYRLSSPSGLLAESATLSDSLVGTFSYKPFDAEVDWSFAVKASPCYMTYMKDSVDQIIAFFKSGTAVSQNIALETAAAVQMTIDGVKRTAQEQVTRALKDYSRFFLDVDIAAPKITIPTKFSPDSSHSTKLLLDLGNLMLCTKDMQEHDSSKEKDLYLQFNLVLSDVSAFLVDGDYWWGAPLVTDQNKHNILPVIDKCAIVIKLQQIKFENPFYPSTRLAVRLPCLGFHFSPARYHRLLQVVKIFEEDDGSSPDMVCPWNQADFEGWLSLLSWKVCFLLFKNVMSYLIVLLWLVSCLCTDHRAIVLENC >DRNTG_15924.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:923184:925923:-1 gene:DRNTG_15924 transcript:DRNTG_15924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKCITGALAGTCVIAYVCDTIVSDKKIFGGTTPKTITDKEWWEATDKKFQAWPRTAGPPVVMNPISRQNFIVKQSEA >DRNTG_22649.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20573831:20575520:-1 gene:DRNTG_22649 transcript:DRNTG_22649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPSDEVSKKPEKPASTFTLTCNLLSQFLKDRKPSSSFPDLSLGISAPNPLDLHHRVKTMNLLPGVEVAGGDAGNENHKGNAMDLNLFPQRAGFAPAPALAEGSRELEKPQLTIFYGGKVIVFDNFPASKAMDLLNLAGKTSTPAEAPVIAGEPIKSVSPSNSTLPSTPVAPPAAAVRPPCLNQASGTEMPIARRASLHRFLEKRKDRVNAKAPYEVNGGGRPAAKPEESKQWLGLGPHASK >DRNTG_03173.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4479432:4479765:-1 gene:DRNTG_03173 transcript:DRNTG_03173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLRSAKMAMRSANVSPRGRGRGRGRGRGRPPTRIFADDTRYMKFEDDQSMSPEHGEPPMGETEGVNGKEKISLNGH >DRNTG_14100.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20717058:20720370:-1 gene:DRNTG_14100 transcript:DRNTG_14100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLHLSSASLLSQRRSLYHPLVLLPRRRSSHGLLCGASEKKDASFTDQILDYIEGGPKLRRWYGAPDLLPKDGGLEEEDESPEIEEVRDCVLVTDGESEMGQMVILSLILKRARIKALVKDKKASVDAFGSYVEPVVGDKSDKLFLTKTMRNVRAIICPSNDGFLSSIGSMKDVEHIVLLSQLAVYKSSSGIQAIMTSKARERAKQDEEAVMESGIAYTIIRAGMLQNSPGGVQGFSFGEGVAGKGKLSKEDAALICAEALDAIPQKGLILEVANGNEKVSNWKEWFATQLKNTEESQMIILVLSI >DRNTG_14100.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20717058:20720370:-1 gene:DRNTG_14100 transcript:DRNTG_14100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVRAIICPSNDGFLSSIGSMKDVEHIVLLSQLAVYKSSSGIQAIMTSKARERAKQDEEAVMESGIAYTIIRAGMLQNSPGGVQGFSFGEVSILTSSKIFLPNQNQLV >DRNTG_14100.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20717058:20720370:-1 gene:DRNTG_14100 transcript:DRNTG_14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNTLFSSLRSQLAVYKSSSGIQAIMTSKARERAKQDEEAVMESGIAYTIIRAGMLQNSPGGVQGFSFGEGVAGKGKLSKEDAALICAEALDAIPQKGLILEVANGNEKVSNWKEWFATQLKNTEESQMIILVLSI >DRNTG_14100.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20717058:20720370:-1 gene:DRNTG_14100 transcript:DRNTG_14100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVRAIICPSNDGFLSSIGSMKDVEHIVLLSQLAVYKSSSGIQAIMTSKARERAKQDEEAVMESGIAYTIIRAGMLQNSPGGVQGFSFGEVSILTSSKIFLPNQNQLV >DRNTG_33638.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1956260:1957228:1 gene:DRNTG_33638 transcript:DRNTG_33638.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRDMISFTATGLNERTKQRKEMATNSSFMFKWLICCALVINVGLISAREDDESREGNITSMSSPSQSPTLPDAPYICELRVKIFGYKCEEHEVTTKDGYILSIQRIPQGLSSSKIEGEKREPVLLIHGLLMVIIENYHNQ >DRNTG_33638.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1956260:1958774:1 gene:DRNTG_33638 transcript:DRNTG_33638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRDMISFTATGLNERTKQRKEMATNSSFMFKWLICCALVINVGLISAREDDESREGNITSMSSPSQSPTLPDAPYICELRVKIFGYKCEEHEVTTKDGYILSIQRIPQGLSSSKIEGEKREPVLLIHGLLMDGVIWLINHPNESLGFILADKGYDVWIANTRGTWSSRRHTFLSASHRDYWEWSWDELVSFDLPAIFNYIYTNTTQQKFHYVGHSLGSLIAFALFSQNNLPAKMVRSAVMLCPIAYMNLERSILVQFAAATFTAETHYWLGLKEFIPTGKNVLKFLKKICNEPGVDCSNLLTALTGKNCCLNISSIHVFTKFEPQSTSTKLMIHLSQMIRRGTVAKYDYNDVKKNRDHYGQPTPPAYNLSAIPLDLPLFLVYGGMDWLADVGDLDELLFELRFHKSDKLKLHFQPDYSHVDFIMGFNAKEEIYDHVLEFMSLQ >DRNTG_33638.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1957717:1958774:1 gene:DRNTG_33638 transcript:DRNTG_33638.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSAVMLCPIAYMNLERSILVQFAAATFTAETHYWLGLKEFIPTGKNVLKFLKKICNEPGVDCSNLLTALTGKNCCLNISSIHVFTKFEPQSTSTKLMIHLSQMIRRGTVAKYDYNDVKKNRDHYGQPTPPAYNLSAIPLDLPLFLVYGGMDWLADVGDLDELLFELRFHKSDKLKLHFQPDYSHVDFIMGFNAKEEIYDHVLEFMSLQ >DRNTG_03921.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15015067:15016415:1 gene:DRNTG_03921 transcript:DRNTG_03921.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVITMMIMMMMMMMMMVVGSNAAGVSCGDVVSALIPCGPYLLGQGADKPSDECCKSAQGLNKMVATVTMRRQLCECMEQTGPSFGVEPKRASGLPSYCRLKLDIPVSLHTNCSLIK >DRNTG_15398.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4734914:4735625:-1 gene:DRNTG_15398 transcript:DRNTG_15398.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITSAVVAIAAVILGWITIEIACKPCLEKGREAIDRSLDPNYDPDSPISAPNHTQPLLDPTPSAPPISTTTVKTI >DRNTG_15398.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4730127:4735625:-1 gene:DRNTG_15398 transcript:DRNTG_15398.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITSAVVAIAAVILGWITIEIACKPCLEKGREAIDRSLDPNYDPDSPISAPNHTQPLLDPTPSAPPISTTTVKTI >DRNTG_21518.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1508857:1512660:-1 gene:DRNTG_21518 transcript:DRNTG_21518.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCWCFSFPVLCPSLFSPLGHASPSLFSEWNSPKPRHLSLMSGALQRQISNEQKSDLWAPLPPQGWKQCKQTRNNPILPEVPNGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHLEVNPVWKDSSSFEEIFDVDHFINVLKDEISIVRNLPEEYSWSTREYYAVAIRATRVKSAPVHASANWYLENVLPVLESYGIAAIAPFSHRLAFDSLPEDIQRLRCKVNFQALTFVPHINELGEALVHRLRYPARPQSGADEFIQMSVEESHSESFGKFVVLHLRFDKDMAAHSACDFGGGKAERLALAKYRQVLWQGRVLNSQFTDEELRSQGSMSFDS >DRNTG_16303.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2027368:2028800:1 gene:DRNTG_16303 transcript:DRNTG_16303.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSLSVFTLHPLYLRVQALSTNLPEEIKKEILSEKDRLDRKDVDYEATMAAKLSIAKKIFNLEKDKILDSGSFLQFFSENEVYGVYVLTCFWLFSCSILYKLKSH >DRNTG_34970.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4060347:4060912:-1 gene:DRNTG_34970 transcript:DRNTG_34970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNSKDLRIYSNRVACYTWLGAWAEGLKDAQNCIDLDPSFSNEMFNQEKYNSILHGSSIH >DRNTG_34161.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6576898:6577456:1 gene:DRNTG_34161 transcript:DRNTG_34161.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQAGKGTPSDAWLWLSSSLTYDPHRTKATTLHSLVLCYIHFMLGHT >DRNTG_09588.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23658251:23659946:-1 gene:DRNTG_09588 transcript:DRNTG_09588.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSLRAPQSKLRWGELDEDDGEDLDFLLPPKIVEGPDENGVKRVIEYRFNEDGNKVKVTTTTRVRKLARARLSKRAMERRSWSKFGDAAHEDAGSRLTMVSTEEIVLERPRAPGSQAEEVKVAGDPLAAMGKAGAVLMVCRTCGKKGDHW >DRNTG_15753.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20470675:20473415:1 gene:DRNTG_15753 transcript:DRNTG_15753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLLLSSLPFIFLLSKSLPLKQTHWLDLVPSLNLSIKMSSKRRSQSEGENEGENDMFPSVLDLPELALDMVLGKLSPAGLSSMSCVCKSLRETCRSDHMWEKHMKAKWGRLIGKAAQRQWDLCMALRNQSLCKAKGKGFFARLSCVLPLHWIKSRIDGGAKSKGVFFPDVSIMSWYLSLENGKFWFPAQVYNREHGHVGFMLSCYDAEVSYDCHTDTFRARYPPHGRRTVVIEEGVQWERLRASPVETSAHELHISDCLHELLPGDHIEIQWRRNKEFPYGWWYGVVGHLESCDGSEYHCRCHNSDTIMLEFNQYTPGSRWRRAAVNRKNHREEGNETDGFYGGIRKLKSKDEINMWKQLWPTDNLE >DRNTG_13501.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21894498:21899020:1 gene:DRNTG_13501 transcript:DRNTG_13501.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of separase [Source:Projected from Arabidopsis thaliana (AT4G22970) TAIR;Acc:AT4G22970] MMIIAISMNLPLPSLELKFEASLVMYFVILVRSLRLSMLMDGDLVVFSEVEGMTELNDGKPRRIKNVRPHSFILEEDTTSFGMYERGGIVTQIKQHKVLKFKPLRDALRDPREFLPSDYSKFDRSQFFHLAFQALNKFRNDFGCFPAAGSSKDAEKLAAFAADINQSTINGSLTEIDTKLLRQFASGSGAVLNPMAAMFGGIVGQEVVKACSGKFHPLHQFFYFDSVESLPAEPLNPVDLEPQNCRYDDQICVFGHKLQKKLEDAEVFLVGSGALGCEFLKNLALMGVCCSPKGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAASINPSLHIEALQNRACPETEDVFNDTFWCHLDVVINALDNVQARHYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASSDPPEKQTPECTLHSFPHNIEHCLTWARSEFEGLFEKIPNEVNSFLSNPIEYISTIGKNSDPQTRSKLVTVAQCLFEERCETFQDCVTWARLKFEDYFVNRVKQLTFTFPKDAVSNRDTHSPFWSPPKRFPEPLQFSSSDPTHLSFIKAAAMLRAETFQIPVPDSLKNPLVLAEAVDKVTVPEFLPKRDAHIVSDLEGSLPNSASFDDDVVVRDIIRKLERFTESLPPGFQMNPIQFEKDDDTNYHMDFIAAVGNLRARNYKIPEVDKLKAKFIAGRIIPAIATSTALATGLVCLELYKVLAGGHKRMDYRNSFVNLALPLFSMAEPVPPKVTKYRDMTWTVWDRWIIKGDLTVGELIQWLEEKHLNAYSISCASSFIYNGLLPNHKERLDRKVVDLAKEIAKVEVPPYKSHLDVEVGCEDDDGNDIDIPLISISFREVKTIKSN >DRNTG_13501.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21893443:21898040:1 gene:DRNTG_13501 transcript:DRNTG_13501.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of separase [Source:Projected from Arabidopsis thaliana (AT4G22970) TAIR;Acc:AT4G22970] MMIIAISMNLPLPSLELKFEASLVMYFVILVRSLRLSMLMDGDLVVFSEVEGMTELNDGKPRRIKNVRPHSFILEEDTTSFGMYERGGIVTQIKQHKVLKFKPLRDALRDPREFLPSDYSKFDRSQFFHLAFQALNKFRNDFGCFPAAGSSKDAEKLAAFAADINQSTINGSLTEIDTKLLRQFASGSGAVLNPMAAMFGGIVGQEVVKACSGKFHPLHQFFYFDSVESLPAEPLNPVDLEPQNCRYDDQICVFGHKLQKKLEDAEVFLVGSGALGCEFLKNLALMGVCCSPKGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAASINPSLHIEALQNRACPETEDVFNDTFWCHLDVVINALDNVQARHYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASSDPPEKQTPECTLHSFPHNIEHCLTWARSEFEGLFEKIPNEVNSFLSNPIEYISTIGKNSDPQTRSKLVTVAQCLFEERCETFQDCVTWARLKFEDYFVNRVKQLTFTFPKDAVSNRDTHSPFWSPPKRFPEPLQFSSSDPTHLSFIKAAAMLRAETFQIPVPDSLKNPLVLAEAVDKVTVPEFLPKRDAHIVSDLEGSLPNSASFDDDVVVRDIIRKLERFTESLPPGFQMNPIQFEKVSL >DRNTG_13501.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21890167:21899020:1 gene:DRNTG_13501 transcript:DRNTG_13501.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of separase [Source:Projected from Arabidopsis thaliana (AT4G22970) TAIR;Acc:AT4G22970] MMIIAISMNLPLPSLELKFEASLVMYFVILVRSLRLSMLMDGDLVVFSEVEGMTELNDGKPRRIKNVRPHSFILEEDTTSFGMYERGGIVTQIKQHKVLKFKPLRDALRDPREFLPSDYSKFDRSQFFHLAFQALNKFRNDFGCFPAAGSSKDAEKLAAFAADINQSTINGSLTEIDTKLLRQFASGSGAVLNPMAAMFGGIVGQEVVKACSGKFHPLHQFFYFDSVESLPAEPLNPVDLEPQNCRYDDQICVFGHKLQKKLEDAEVFLVGSGALGCEFLKNLALMGVCCSPKGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAASINPSLHIEALQNRACPETEDVFNDTFWCHLDVVINALDNVQARHYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASSDPPEKQTPECTLHSFPHNIEHCLTWARSEFEGLFEKIPNEVNSFLSNPIEYISTIGKNSDPQTRSKLVTVAQCLFEERCETFQDCVTWARLKFEDYFVNRVKQLTFTFPKDAVSNRDTHSPFWSPPKRFPEPLQFSSSDPTHLSFIKAAAMLRAETFQIPVPDSLKNPLVLAEAVDKVTVPEFLPKRDAHIVSDLEGSLPNSASFDDDVVVRDIIRKLERFTESLPPGFQMNPIQFEKDDDTNYHMDFIAAVGNLRARNYKIPEVDKLKAKFIAGRIIPAIATSTALATGLVCLELYKVLAGGHKRMDYRNSFVNLALPLFSMAEPVPPKVTKYRDMTWTVWDRWIIKGDLTVGELIQWLEEKHLNAYSISCASSFIYNGLLPNHKERLDRKVVDLAKEIAKVEVPPYKSHLDVEVGCEDDDGNDIDIPLISISFREVKTIKSN >DRNTG_13501.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21882381:21892889:1 gene:DRNTG_13501 transcript:DRNTG_13501.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of separase [Source:Projected from Arabidopsis thaliana (AT4G22970) TAIR;Acc:AT4G22970] MFESLLGKLYLKRELPNLAESELNSAKQILGDCMMGTVCTHCKLILDVSLDMRFGDLKRVLSNKNGQMQPGQSEPPALGVYTSALDKLNFTEVNCPFLHCEDKNVKIVDSDDPSLKLVSISSNAAVHLSNKAKQLEACEYCSTSEPKMAFEYQSKPKHNSEVPSAFPVDNEMNPEHKKPRRSTRTRKTSKHVQEEQCSKRKDTTRTGSDHQFSHRAQSDAEGQFECLKTSNCRTRTQEQQTESTNNVCDEACRCHGAFCWRCLIMRVVEAGSVHGMIYVKREYQRRHLLLSLLHRIRKCTEACGEVHEVHKIFWRSIFILYDRKLLSEAYSEIRHCLLLELIGRESPTDLFALGRARLLYNICWFSVKQFFSKKHSSSANCCLLSDIKMPTIVSWLLQAFLVCRHVPLLLKKVTRLLAVIYLLSTFGAPFPSLVSDKLVASSWAAFFHQVSIGTGQHHKYLSILNERLDLCSSGNSELSSLAKVVREAQESLRIAPDKLEDLEEFIDDYFRRLPSITIICLCFLGSGYTFIFKNMLSLATPISSWMFFSRISSNQQPVTVILPMTQVPEEQTSVNFDLQSIFVTRDKWICPWSSNVIDDVAPQFRSMLEESYSCSKLQVDDDISRTMYWVQKAKLNKRLENFTRSLEDLCFGTWRFLLLGDPFDCPELDRVHVKLVRELKQKLKYEALENLVQIFLSAKYELEIENWIAQLLAYRGCFARGNWEPRSFSACLSVEEVKDNISDSSYKLILKAIRELEEKHFNRRSIVLVLDADMQMLPWESLPTLRKQEVYRMPSVTSIFALLKQMHNGRGLARRNETPFPLVNPFDAYFLLNPSGDLRETQTEFEDLFKREMTEGRVCSVPPFEELASALQKHDLFIYFGHGSGEQFWPRKKIENLDRCAATLLMGCSSGSLVIKGQYTPEGPPLSYLLAGCPAIIANLWDVLSNDINRYCKVLLDAWLRDASQTDSGEELRFASLMGKARDACRFPFLTGAAPVCYGVPTRILKKDT >DRNTG_13501.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21895280:21899020:1 gene:DRNTG_13501 transcript:DRNTG_13501.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of separase [Source:Projected from Arabidopsis thaliana (AT4G22970) TAIR;Acc:AT4G22970] MMIIAISMNLPLPSLELKFEASLVMYFVILVRSLRLSMLMDGDLVVFSEVEGMTELNDGKPRRIKNVRPHSFILEEDTTSFGMYERGGIVTQIKQHKVLKFKPLRDALRDPREFLPSDYSKFDRSQFFHLAFQALNKFRNDFGCFPAAGSSKDAEKLAAFAADINQSTINGSLTEIDTKLLRQFASGSGAVLNPMAAMFGGIVGQEVVKACSGKFHPLHQFFYFDSVESLPAEPLNPVDLEPQNCRYDDQICVFGHKLQKKLEDAEVFLVGSGALGCEFLKNLALMGVCCSPKGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAASINPSLHIEALQNRACPETEDVFNDTFWCHLDVVINALDNVQARHYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASSDPPEKQTPECTLHSFPHNIEHCLTWARSEFEGLFEKIPNEVNSFLSNPIEYISTIGKNSDPQTRSKLVTVAQCLFEERCETFQDCVTWARLKFEDYFVNRVKQLTFTFPKDAVSNRDTHSPFWSPPKRFPEPLQFSSSDPTHLSFIKAAAMLRAETFQIPVPDSLKNPLVLAEAVDKVTVPEFLPKRDAHIVSDLEGSLPNSASFDDDVVVRDIIRKLERFTESLPPGFQMNPIQFEKDDDTNYHMDFIAAVGNLRARNYKIPEVDKLKAKFIAGRIIPAIATSTALATGLVCLELYKVLAGGHKRMDYRNSFVNLALPLFSMAEPVPPKVTKYRDMTWTVWDRWIIKGDLTVGELIQWLEEKHLNAYSISCASSFIYNGLLPNHKERLDRKVVDLAKEIAKVEVPPYKSHLDVEVGCEDDDGNDIDIPLISISFREVKTIKSN >DRNTG_13501.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21887575:21899020:1 gene:DRNTG_13501 transcript:DRNTG_13501.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of separase [Source:Projected from Arabidopsis thaliana (AT4G22970) TAIR;Acc:AT4G22970] MMIIAISMNLPLPSLELKFEASLVMYFVILVRSLRLSMLMDGDLVVFSEVEGMTELNDGKPRRIKNVRPHSFILEEDTTSFGMYERGGIVTQIKQHKVLKFKPLRDALRDPREFLPSDYSKFDRSQFFHLAFQALNKFRNDFGCFPAAGSSKDAEKLAAFAADINQSTINGSLTEIDTKLLRQFASGSGAVLNPMAAMFGGIVGQEVVKACSGKFHPLHQFFYFDSVESLPAEPLNPVDLEPQNCRYDDQICVFGHKLQKKLEDAEVFLVGSGALGCEFLKNLALMGVCCSPKGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAASINPSLHIEALQNRACPETEDVFNDTFWCHLDVVINALDNVQARHYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASSDPPEKQTPECTLHSFPHNIEHCLTWARSEFEGLFEKIPNEVNSFLSNPIEYISTIGKNSDPQTRSKLVTVAQCLFEERCETFQDCVTWARLKFEDYFVNRVKQLTFTFPKDAVSNRDTHSPFWSPPKRFPEPLQFSSSDPTHLSFIKAAAMLRAETFQIPVPDSLKNPLVLAEAVDKVTVPEFLPKRDAHIVSDLEGSLPNSASFDDDVVVRDIIRKLERFTESLPPGFQMNPIQFEKDDDTNYHMDFIAAVGNLRARNYKIPEVDKLKAKFIAGRIIPAIATSTALATGLVCLELYKVLAGGHKRMDYRNSFVNLALPLFSMAEPVPPKVTKYRDMTWTVWDRWIIKGDLTVGELIQWLEEKHLNAYSISCASSFIYNGLLPNHKERLDRKVVDLAKEIAKVEVPPYKSHLDVEVGCEDDDGNDIDIPLISISFREVKTIKSN >DRNTG_13501.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21876219:21892889:1 gene:DRNTG_13501 transcript:DRNTG_13501.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of separase [Source:Projected from Arabidopsis thaliana (AT4G22970) TAIR;Acc:AT4G22970] MDSDEDPSSLLALLEGSDYRGLHRRFSSFLKPFQPFLSSSATSKSTKKDKKIRDLCSKYLPFLNSVIKILSKQCSQPPKSTADRDAMAGELFSIYRLYIDCMSCVAPALVLKPYSDILWRVSYLRRLCEWGRYRETEEEGLAVLSELRSRIGGDAAKLLPEPQGDFENPELAADVVHVVSRMARCAYGKESFLEEDYLRVLALLDQVRPWLRLADSEVSEKLLGTIVWELYHCTLFIMEHFSNFNEDMLCSFCMETMAECLKSSRKLDLIKMARKFCFSFEPNWAKSPLVIRSILKSALGFIVCDCKHGTVNANDILDLLYHFATKCQNQSSVICKEAEEFLLDIAADFFQVLPHIACILTFYAAGLFFEYASLKARQTDAMNEGLKSASAISLFLDNEVLLENLARSLCALRRHVKQCPNITTELPGPCIYKICDSSHEQVSLLSYVQALGFFCTPLVETIRTIWEQNYRGKDVVQLPAYVNCIQDALYQFCDVLLFGLSNASDELVDKLRKHLLAAGYGAIAGFRVALLTYGNFQKSISCVQQVITMNWLKPQDLRSVAVSLYNIGVDLYNSKNLEQASIALNLSFQAIWAHVSLLGCKYAGKADGSADDLTQDALKDSISSSCAYSSFYLDVLHQCGNESLNEILAESLLNWSSANDLSKSFFSPMVLVKQWVKIVFKEFQNSLVEDNVPMLSTILSSSSKIFSTASLGTILEQELITYAEMEARQPTFCRAMQHKITDVLLQELYLKKDYSLQRARVLVSKSRLARMDGTDGLKLSIQHLSEAISILEDFSAGPYERDMSLHQLVLTYCLRALCLEESDHDSEVFLHDISRVLKIWSNTDVTNLSLCKADIIRVIPLLYHIADLLSLKGFAQLQNEIYKHIVILYKWNNVSMEESLAILLGGRWLNHAICGSPLDEAFIAMLCKRFDIPANSIDFWMNAMKSSQPLLLGLKQKLSISSSHCAQLVNQHSGGSKSLVVTSEDVTEAASAMMAHGPVSSNSAFVAGCLYYDLSERLASSGQLVEGLLYATEALRLRKMVLFRFFKHSYHIKPSKFAPVVDIHQLEAWGSLVAIFWPDIAKEEKTAGPILSPWNILKCYLESVLQVGNLYELTRNAEDAELLFLVGKNISCVLGLPIFRVMFESLLGKLYLKRELPNLAESELNSAKQILGDCMMGTVCTHCKLILDVSLDMRFGDLKRVLSNKNGQMQPGQSEPPALGVYTSALDKLNFTEVNCPFLHCEDKNVKIVDSDDPSLKLVSISSNAAVHLSNKAKQLEACEYCSTSEPKMAFEYQSKPKHNSEVPSAFPVDNEMNPEHKKPRRSTRTRKTSKHVQEEQCSKRKDTTRTGSDHQFSHRAQSDAEGQFECLKTSNCRTRTQEQQTESTNNVCDEACRCHGAFCWRCLIMRVVEAGSVHGMIYVKREYQRRHLLLSLLHRIRKCTEACGEVHEVHKIFWRSIFILYDRKLLSEAYSEIRHCLLLELIGRESPTDLFALGRARLLYNICWFSVKQFFSKKHSSSANCCLLSDIKMPTIVSWLLQAFLVCRHVPLLLKKVTRLLAVIYLLSTFGAPFPSLVSDKLVASSWAAFFHQVSIGTGQHHKYLSILNERLDLCSSGNSELSSLAKVVREAQESLRIAPDKLEDLEEFIDDYFRRLPSITIICLCFLGSGYTFIFKNMLSLATPISSWMFFSRISSNQQPVTVILPMTQVPEEQTSVNFDLQSIFVTRDKWICPWSSNVIDDVAPQFRSMLEESYSCSKLQVDDDISRTMYWVQKAKLNKRLENFTRSLEDLCFGTWRFLLLGDPFDCPELDRVHVKLVRELKQKLKYEALENLVQIFLSAKYELEIENWIAQLLAYRGCFARGNWEPRSFSACLSVEEVKDNISDSSYKLILKAIRELEEKHFNRRSIVLVLDADMQMLPWESLPTLRKQEVYRMPSVTSIFALLKQMHNGRGLARRNETPFPLVNPFDAYFLLNPSGDLRETQTEFEDLFKREMTEGRVCSVPPFEELASALQKHDLFIYFGHGSGEQFWPRKKIENLDRCAATLLMGCSSGSLVIKGQYTPEGPPLSYLLAGCPAIIANLWDVLSNDINRYCKVLLDAWLRDASQTDSGEELRFASLMGKARDACRFPFLTGAAPVCYGVPTRILKKDT >DRNTG_13501.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21885575:21892889:1 gene:DRNTG_13501 transcript:DRNTG_13501.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of separase [Source:Projected from Arabidopsis thaliana (AT4G22970) TAIR;Acc:AT4G22970] MPTIVSWLLQAFLVCRHVPLLLKKVTRLLAVIYLLSTFGAPFPSLVSDKLVASSWAAFFHQVSIGTGQHHKYLSILNERLDLCSSGNSELSSLAKVVREAQESLRIAPDKLEDLEEFIDDYFRRLPSITIICLCFLGSGYTFIFKNMLSLATPISSWMFFSRISSNQQPVTVILPMTQVPEEQTSVNFDLQSIFVTRDKWICPWSSNVIDDVAPQFRSMLEESYSCSKLQVDDDISRTMYWVQKAKLNKRLENFTRSLEDLCFGTWRFLLLGDPFDCPELDRVHVKLVRELKQKLKYEALENLVQIFLSAKYELEIENWIAQLLAYRGCFARGNWEPRSFSACLSVEEVKDNISDSSYKLILKAIRELEEKHFNRRSIVLVLDADMQMLPWESLPTLRKQEVYRMPSVTSIFALLKQMHNGRGLARRNETPFPLVNPFDAYFLLNPSGDLRETQTEFEDLFKREMTEGRVCSVPPFEELASALQKHDLFIYFGHGSGEQFWPRKKIENLDRCAATLLMGCSSGSLVIKGQYTPEGPPLSYLLAGCPAIIANLWDVLSNDINRYCKVLLDAWLRDASQTDSGEELRFASLMGKARDACRFPFLTGAAPVCYGVPTRILKKDT >DRNTG_13501.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21889041:21899020:1 gene:DRNTG_13501 transcript:DRNTG_13501.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of separase [Source:Projected from Arabidopsis thaliana (AT4G22970) TAIR;Acc:AT4G22970] MMIIAISMNLPLPSLELKFEASLVMYFVILVRSLRLSMLMDGDLVVFSEVEGMTELNDGKPRRIKNVRPHSFILEEDTTSFGMYERGGIVTQIKQHKVLKFKPLRDALRDPREFLPSDYSKFDRSQFFHLAFQALNKFRNDFGCFPAAGSSKDAEKLAAFAADINQSTINGSLTEIDTKLLRQFASGSGAVLNPMAAMFGGIVGQEVVKACSGKFHPLHQFFYFDSVESLPAEPLNPVDLEPQNCRYDDQICVFGHKLQKKLEDAEVFLVGSGALGCEFLKNLALMGVCCSPKGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAASINPSLHIEALQNRACPETEDVFNDTFWCHLDVVINALDNVQARHYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASSDPPEKQTPECTLHSFPHNIEHCLTWARSEFEGLFEKIPNEVNSFLSNPIEYISTIGKNSDPQTRSKLVTVAQCLFEERCETFQDCVTWARLKFEDYFVNRVKQLTFTFPKDAVSNRDTHSPFWSPPKRFPEPLQFSSSDPTHLSFIKAAAMLRAETFQIPVPDSLKNPLVLAEAVDKVTVPEFLPKRDAHIVSDLEGSLPNSASFDDDVVVRDIIRKLERFTESLPPGFQMNPIQFEKDDDTNYHMDFIAAVGNLRARNYKIPEVDKLKAKFIAGRIIPAIATSTALATGLVCLELYKVLAGGHKRMDYRNSFVNLALPLFSMAEPVPPKVTKYRDMTWTVWDRWIIKGDLTVGELIQWLEEKHLNAYSISCASSFIYNGLLPNHKERLDRKVVDLAKEIAKVEVPPYKSHLDVEVGCEDDDGNDIDIPLISISFREVKTIKSN >DRNTG_13501.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21884259:21899020:1 gene:DRNTG_13501 transcript:DRNTG_13501.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of separase [Source:Projected from Arabidopsis thaliana (AT4G22970) TAIR;Acc:AT4G22970] MMGTVCTHCKLILDVSLDMRFGDLKRVLSNKNGQMQPGQSEPPALGVYTSALDKLNFTEVNCPFLHCEDKNVKIVDSDDPSLKLVSISSNAAVHLSNKAKQLEACEYCSTSEPKMAFEYQSKPKHNSEVPSAFPVDNEMNPEHKKPRRSTRTRKTSKHVQEEQCSKRKDTTRTGSDHQFSHRAQSDAEGQFECLKTSNCRTRTQEQQTESTNNVCDEACRCHGAFCWRCLIMRVVEAGSVHGMIYVKREYQRRHLLLSLLHRIRKCTEACGEVHEVHKIFWRSIFILYDRKLLSEAYSEIRHCLLLELIGRESPTDLFALGRARLLYNICWFSVKQFFSKKHSSSANCCLLSDIKMPTIVSWLLQAFLVCRHVPLLLKKVTRLLAVIYLLSTFGAPFPSLVSDKLVASSWAAFFHQVSIGTGQHHKYLSILNERLDLCSSGNSELSSLAKVVREAQESLRIAPDKLEDLEEFIDDYFRRLPSITIICLCFLGSGYTFIFKNMLSLATPISSWMFFSRISSNQQPVTVILPMTQVPEEQTSVNFDLQSIFVTRDKWICPWSSNVIDDVAPQFRSMLEESYSCSKLQVDDDISRTMYWVQKAKLNKRLENFTRSLEDLCFGTWRFLLLGDPFDCPELDRVHVKLVRELKQKLKYEALENLVQIFLSAKYELEIENWIAQLLAYRGCFARGNWEPRSFSACLSVEEVKDNISDSSYKLILKAIRELEEKHFNRRSIVLVLDADMQMLPWESLPTLRKQEVYRMPSVTSIFALLKQMHNGRGLARRNETPFPLVNPFDAYFLLNPSGDLRETQTEFEDLFKREMTEGRVCSVPPFEELASALQKHDLFIYFGHGSGEQFWPRKKIENLDRCAATLLMGCSSGSLVIKGQYTPEGPPLSYLLAGCPAIIANLWDVLSNDINRYCKVLLDAWLRDASQTDSGEELRFASLMGKARDACRFPFLTGAAPVCYGVPTRILKKDT >DRNTG_13501.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21892297:21899020:1 gene:DRNTG_13501 transcript:DRNTG_13501.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of separase [Source:Projected from Arabidopsis thaliana (AT4G22970) TAIR;Acc:AT4G22970] MMIIAISMNLPLPSLELKFEASLVMYFVILVRSLRLSMLMDGDLVVFSEVEGMTELNDGKPRRIKNVRPHSFILEEDTTSFGMYERGGIVTQIKQHKVLKFKPLRDALRDPREFLPSDYSKFDRSQFFHLAFQALNKFRNDFGCFPAAGSSKDAEKLAAFAADINQSTINGSLTEIDTKLLRQFASGSGAVLNPMAAMFGGIVGQEVVKACSGKFHPLHQFFYFDSVESLPAEPLNPVDLEPQNCRYDDQICVFGHKLQKKLEDAEVFLVGSGALGCEFLKNLALMGVCCSPKGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAASINPSLHIEALQNRACPETEDVFNDTFWCHLDVVINALDNVQARHYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASSDPPEKQTPECTLHSFPHNIEHCLTWARSEFEGLFEKIPNEVNSFLSNPIEYISTIGKNSDPQTRSKLVTVAQCLFEERCETFQDCVTWARLKFEDYFVNRVKQLTFTFPKDAVSNRDTHSPFWSPPKRFPEPLQFSSSDPTHLSFIKAAAMLRAETFQIPVPDSLKNPLVLAEAVDKVTVPEFLPKRDAHIVSDLEGSLPNSASFDDDVVVRDIIRKLERFTESLPPGFQMNPIQFEKDDDTNYHMDFIAAVGNLRARNYKIPEVDKLKAKFIAGRIIPAIATSTALATGLVCLELYKVLAGGHKRMDYRNSFVNLALPLFSMAEPVPPKVTKYRDMTWTVWDRWIIKGDLTVGELIQWLEEKHLNAYSISCASSFIYNGLLPNHKERLDRKVVDLAKEIAKVEVPPYKSHLDVEVGCEDDDGNDIDIPLISISFREVKTIKSN >DRNTG_03366.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17989406:17991564:1 gene:DRNTG_03366 transcript:DRNTG_03366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFTEDNPWAFTCAIIGSVMSGVLYLTPLRTFYGVYNLKGGIEELTPVPYIISLFNLGATSWLAYGILMKNVNIAVPNALSLMFGVIQIVIYMMYKHAATEEVTDSLQLAEGHSGTGKGEVIVEMSIVV >DRNTG_02654.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22811394:22814709:1 gene:DRNTG_02654 transcript:DRNTG_02654.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGILLQVFYGLLGSWTAYLISVLYIEYRTRKEKENVSFKNHVIQWFEVLDGLLGPYWKAIGLAFNCTFLLFGSVIQLIGCASNIYYINDHLDKRTWTYIFGACCATSVFIPSFHNYRIWSFLGLGMTTYTAWYLAIASFAHGQVEGVTHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTLPSAAAMYWAFGDELLNHSNAFALLPKNRFRDAAVVLMLIHQFITFGFACTLSTLYGEKVIGMHDTKSIYIRALIRLPVVIPIWFFAIIFPFFGPINSAVGALLVSFTVYIIPSLAHICTYRTASARRNAAEKPPFFLPSWTGMFALNAFVVAWIFVVGFGFGGWASITNFVKQVNNFGLFAKCYQCAKPPPGPMKPH >DRNTG_02654.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22811394:22814709:1 gene:DRNTG_02654 transcript:DRNTG_02654.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQKQAEEAIVANQNEGEGGDQEEVTAGEDHVAGAQQGFSLKSILWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILLQVFYGLLGSWTAYLISVLYIEYRTRKEKENVSFKNHVIQWFEVLDGLLGPYWKAIGLAFNCTFLLFGSVIQLIGCASNIYYINDHLDKRTWTYIFGACCATSVFIPSFHNYRIWSFLGLGMTTYTAWYLAIASFAHGQVEGVTHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTLPSAAAMYWAFGDELLNHSNAFALLPKNRFRDAAVVLMLIHQFITFGFACTLSTLYGEKVIGMHDTKSIYIRALIRLPVVIPIWFFAIIFPFFGPINSAVGALLVSFTVYIIPSLAHICTYRTASARRNAAEKPPFFLPSWTGMFALNAFVVAWIFVVGFGFGGWASITNFVKQVNNFGLFAKCYQCAKPPPGPMKPH >DRNTG_02654.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22811394:22814709:1 gene:DRNTG_02654 transcript:DRNTG_02654.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGILLQVFYGLLGSWTAYLISVLYIEYRTRKEKENVSFKNHVIQWFEVLDGLLGPYWKAIGLAFNCTFLLFGSVIQLIGCASNIYYINDHLDKRTWTYIFGACCATSVFIPSFHNYRIWSFLGLGMTTYTAWYLAIASFAHGQVEGVTHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTLPSAAAMYWAFGDELLNHSNAFALLPKNRFRDAAVVLMLIHQFITFGFACTLSTLYGEKVIGMHDTKSIYIRALIRLPVVIPIWFFAIIFPFFGPINSAVGALLVSFTVYIIPSLAHICTYRTASARRNAAEKPPFFLPSWTGMFALNAFVVAWIFVVGFGFGGWASITNFVKQVNNFGLFAKCYQCAKPPPGPMKPH >DRNTG_02654.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22811394:22814709:1 gene:DRNTG_02654 transcript:DRNTG_02654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQKQAEEAIVANQNEGEGGDQEEVTAGEDHVAGAQQGFSLKSILWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILLQVFYGLLGSWTAYLISVLYIEYRTRKEKENVSFKNHVIQWFEVLDGLLGPYWKAIGLAFNCTFLLFGSVIQLIGCASNIYYINDHLDKRTWTYIFGACCATSVFIPSFHNYRIWSFLGLGMTTYTAWYLAIASFAHGQVEGVTHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTLPSAAAMYWAFGDELLNHSNAFALLPKNRFRDAAVVLMLIHQFITFGFACTLSTLYGEKVIGMHDTKSIYIRALIRLPVVIPIWFFAIIFPFFGPINSAVGALLVSFTVYIIPSLAHICTYRTASARRNAAEKPPFFLPSWTGMFALNAFVVAWIFVVGFGFGGWASITNFVKQVNNFGLFAKCYQCAKPPPGPMKPH >DRNTG_02654.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22811394:22814709:1 gene:DRNTG_02654 transcript:DRNTG_02654.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQKQAEEAIVANQNEGEGGDQEEVTAGEDHVAGAQQGFSLKSILWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILLQVFYGLLGSWTAYLISVLYIEYRTRKEKENVSFKNHVIQWFEVLDGLLGPYWKAIGLAFNCTFLLFGSVIQLIGCASNIYYINDHLDKRTWTYIFGACCATSVFIPSFHNYRIWSFLGLGMTTYTAWYLAIASFAHGQVEGVTHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTLPSAAAMYWAFGDELLNHSNAFALLPKNRFRDAAVVLMLIHQFITFGFACTLSTLYGEKVIGMHDTKSIYIRALIRLPVVIPIWFFAIIFPFFGPINSAVGALLVSFTVYIIPSLAHICTYRTASARRNAAEKPPFFLPSWTGMFALNAFVVAWIFVVGFGFGGWASITNFVKQVNNFGLFAKCYQCAKPPPGPMKPH >DRNTG_02304.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:758943:761079:-1 gene:DRNTG_02304 transcript:DRNTG_02304.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLPVEVVGNILSHVGAARDVVIASMTCRKWREACRKHLQSLSFNSDDWQGYRDLTTSQIEILITQTIFQTMGLQCLSIHMDDKHKFSAAPVIAWLMYTRETLRNLHYNVRTTPNVYILEKCGRHKLEMLELDHNTISGVDPSYQRFPFLKVLSLRSVNISALDLSLLFAACPGIEVLTLDHLEIVWSDAQPSMELCSSTLKSIYIKSLGVDKIVLEADNLESLHLHALNLDLFELIGKGSLKHLRIDDVSVTHLDIGDCTENLEAVDVSNFTIMWPKFYQMMSRASKLRRLRLWSVVFDDDDEIVDLETTAVCFPHLRHLSLGYDLKDGLLHYGLQGSSPFENVLVLELGWAVISEQFGHLVVGMIERCPNLKKLVIHGVLSDVKTREERQLLANFTSFMVCLMRKYVHVDLQFEYE >DRNTG_02304.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:758846:760787:-1 gene:DRNTG_02304 transcript:DRNTG_02304.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLPVEVVGNILSHVGAARDVVIASMTCRKWREACRKHLQSLSFNSDDWQGYRDLTTSQIEILITQTIFQTMGLQCLSIHMDDKHKFSAAPVIAWLMYTRETLRNLHYNVRTTPNVYILEKCGRHKLEMLELDHNTISGVDPSYQRFPFLKVLSLRSVNISALDLSLLFAACPGIEVLTLDHLEIVWSDAQPSMELCSSTLKSIYIKSLGVDKIVLEADNLESLHLHALNLDLFELIGKGSLKHLRIDDVSVTHLDIGDCTENLEAVDVSNFTIMWPKFYQMMSRASKLRRLRLWSVVFDDDDEIVDLETTAVCFPHLRHLSLGYDLKDGLLHYGLQGSSPFENVLVLELGWAVISEQFGHLVVGMIERCPNLKKLVIHGVLSDVKTREERQLLANFTSFMVCLMRKYVHVDLQFEYE >DRNTG_22054.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28534281:28534823:1 gene:DRNTG_22054 transcript:DRNTG_22054.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTTSLEPNWANTIKTEQNVVYIHLSSMNSIDGQQVFPVSSSSSYKVGKHFPFLPNTGPILCSRTAIETSVCQPLLETMIVPSENSSIKMFSSDGLTQVLNSDCALSLFCHHQLRVEFLCHMTLLVDTLASRIQAAFHILFWYQVLTTHIFPARICSRLTVDGTGSSVDTSNALTFSWH >DRNTG_24913.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:541606:554495:-1 gene:DRNTG_24913 transcript:DRNTG_24913.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNGFQSQNFQLVGDNNNRFPSSLRSFPLPKLDLDENFEVNLRFDSLVRTDGLLGIQGQVNDWIENFSCGNSALESDSTATEPCSISKHGNAWSEATSSESVEILLKSIGEGEIENETIMNEVGAHNQQIGFKEQQNPCPKMEDIVKSGTAPPSDSCAKISLSIVGNSARDPDQFDGASQISNGEKSGMDFDVVPLHERSQSNQESGAEVCVIDRKNTASSQDASKMCPVLGELFFEPSQKKSHIDIGVVGNIACDDSGCFAKECQKTSNPDICSAQADPSPASISSFLSVDKSGCQMFSHNEMEKHDDMTMPDKEAPTNNDSPKNEFRSAKVNDQFSEGHTIDSALCGMKNSSYLEANLDTSVLLTEGCGDPLFSGNPDGLLEAIAYPVKVWNEIDEVGDKNLTTTAEMSYLAVEGSANDEKHPVETNHEENANCHDLSENRSNEAFYGENSMLVEDAKLVGSLGKEKKLHTTLGVTKERKNPEYSSIDSDNSHIANNCREAGNLPSSHDQAYSEGTENKDGANSSKTNLSDAVLLKHGSADKEKCAEDGVIEKEVIKDTRETVRLEKFSPALGNVADEDILSSNDQSKMGRAADSLPGHMGLSGSPVSRNSDNDASKELDKRKLLKVVDDSLVRDMVQNESEHREAEFPSPVSYEDKDVTISSSVHTSMLRSDAEAQILPKTASGSAHMGGLPVKEIVCGVTAASFIPDCPNPATPRKANANVIQLDETSGKCSMILKGDANSQISVTSTAVNVAGQPSALKCENKGDTEADVASGDGTYDQNLTHQSESNTQYHSQEATTGKSDSSEPNCGSPPVMGCIEHNKDMKEQKDQRDIVGVSQPWTCSATMVGCVDNVQLVPHDSKDSIASDDDRSFTFEVGPVDVLSEKSPGHFCAMHSFKLAQGPKENLRESRFESGKAKLHGPVIKTTDKDNTWALSGCCAEQITASSARRPTDVSPPLQLTKMEEKHSSRSPSIVGTISKDMESDDKRQCPPIEDNSSKVSCSPSFQASDFPDFNSAISLAALSHQPFTDLQQVQLRAQIFVYGSLIQGTPPDEACMIAAFGESDGGRSHWETVWHVAVDRFQNQKQPASACETPVSSRSAVKSSEQLTRGNTLQGRALGPGLCQSSTKISSLATYNSSSFPAPLWNISPRDGLNTNMSRGTNLDFNQALSPMHSYQPLQMRQHPGNIASWFSPSAHPGTLITPSQGSRSDGTPQYSAVAVTTAQATPSRELLVSRASNLPLATASVLLPSPGSSNVSVTQVAQIEAPRKPANTVNNKNPSTVHKPRKRKKAAVMADFVPVFPVSLSQIEPHVTVGSENRISAGLPSSVNSPTKVGTDCPLASTDQIISPTQYQIVSSGTTEQRVIFSEEMRSKIEQAKLQAEDAAALAVSTVSHSQGIWSQIALKKDSGLVSEAEEKLVSAAVASTVAAAVAKAAAAAAKVASDAALQAKLMADEAMDSVKQANITRSSETGHDKERNLSRLTSMSISKGKEKSQGSSLAIAVAREASKRRLESTTAAAKRAENFDAIVKAAELAAEAVSQAGIIVAMGDPLPFTLRELAEAGPENYWKVHCSADEGINNTVPQNGTQELGTGGSCAQDTTEKEFNVGRTTQDESLLLSDKKYTGSGLGNTSMGEPVASNLIVDIIRKDSVVEVVADEDGLRGVWFSARVLDLKDDKAYICYDNLLTNEGPDRLKEWIPLKVDGLKAPRIRIAHPATVVKPDGGTKKRRREAINNYDWTIGDHVDAWIRDGWWEGVVTDKSKEDESKLHVHFPAGGDSSIVRAWNLRPSLIWKDGQWTQWSRHKENILEPYEGDTPQEKRPKTISFRDNSDSDVDGRGNGKMSRNLPDDALKKPEEPLILSANERTFSVGKNVREANNPGTLKSRQTVLQKERSRVVFGVPKPGKKRKFMEVSKHYVADKTDKISEGNNSVKFAKYLMPQASRSLRNTSKVDPRGKQAVGSKPKWPRPIKSQATQTKSAAEKDNSSVSNASASSCAESGPGAFSKPGVSANDEECQLERQNMLEVGSTRTLGRTDLPLMESSVQPAPNVPPSIEMPSSAVDIDLHVKQKITAVVEKSITSEAKGLEHPGKVMTEASELRRSNRRFQPTSRLLEGLQSSLIISKAPVVQHDRGARALHRGGSSSKGNVHG >DRNTG_34378.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21719694:21720708:1 gene:DRNTG_34378 transcript:DRNTG_34378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNSMFLALVLVLSATLTMAKDIECENMEVSKCAFSVSSTGFRCVLEKRLALRGRLEVQTCRTSTIKAESFKGFVETDECIVGCGLDRTSFGISTDALLEPHFTDKLCSNQCYNGCPNIIDLYFNLAAGEGVFLPKMCEVRQGRARREMVELRSGGGKLVAAAAVPESMESHASSPQSSVVFLGLGPVQPPL >DRNTG_09112.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:69956:72218:-1 gene:DRNTG_09112 transcript:DRNTG_09112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKAGGVGINLTAATNAFLLDPWWNPAVEEQAVMRIHRIGQTKSVSIRRFIVKGTVEERMEVVQARKQRMISGALTDQEVRTARIEELKMLFT >DRNTG_09112.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:69956:71697:-1 gene:DRNTG_09112 transcript:DRNTG_09112.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKAGGVGINLTAATNAFLLDPWWNPAVEEQAVMRIHRIGQTKSVSIRRFIVKGTVEERMEVVQARKQRMISGALTDQEVRTARIEELKMLFT >DRNTG_26556.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22240846:22244886:1 gene:DRNTG_26556 transcript:DRNTG_26556.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIWLGCRLVSRTFVRKIQSLSPYSSAPSSSLLLEELHFDSHPTIDNSSGKKSGFWVARRLTLSPLVGHVVHTLNWSYLREIGFLGAVGMRRKIPFCLLKSLAQYCRNGNSDLFCLVLELVGLSGGALNLLQVYGTIILSFAESLMFEDACLAYLEAKQIGLELDVPFCNRLLKYLVDNNRVDYAIDLFHEMKHSGPMPNVYTYTIMIDLYVNGKTLDIDAAEGILMEMKEFKVSPNEVTYGTYIHGLCAAGHSELAMEFLKDLLRRGLPYNSYCFNAVIRSLYLEGKQHEAWSMFEKMKECRCTPDVYTYSILIDGLCKNRDFCNALKVQEEMMSKGTMPTVVSYSSILHGLCMQGEMEAAGKLFCDMKDRGYEEDQIALNILIHECCRRGDMETAWDLWEEMIQNNIVPDVYNYTSLIYGYCRNGCLKIARGLFEYMRQIGVMPNVVTCTVIIDELCKRNYVVEAYRFFSIIRSMGIVPNLIMYNVMISGLCKAGELDRPLKILGAILKSGYIPDVIIYSTLIDSFAKKSNLKEALKLYNRMLDEGVKPNVYTYTCLISLLCNNDRLPEASIMFKEMIAKGIEPDKIVFTSLISGYCRHKNMKRGIQLFQDMWQRGLSPDVHTYTCLIDGYCRSHSMGKAIARMKEMHEQLNPNVVTYTAVITGYRRIGNWDKAYQMYESMLQQGIKPDAVASSLGLELASSQGLEFPAELKS >DRNTG_26556.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22240846:22244886:1 gene:DRNTG_26556 transcript:DRNTG_26556.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEDACLAYLEAKQIGLELDVPFCNRLLKYLVDNNRVDYAIDLFHEMKHSGPMPNVYTYTIMIDLYVNGKTLDIDAAEGILMEMKEFKVSPNEVTYGTYIHGLCAAGHSELAMEFLKDLLRRGLPYNSYCFNAVIRSLYLEGKQHEAWSMFEKMKECRCTPDVYTYSILIDGLCKNRDFCNALKVQEEMMSKGTMPTVVSYSSILHGLCMQGEMEAAGKLFCDMKDRGYEEDQIALNILIHECCRRGDMETAWDLWEEMIQNNIVPDVYNYTSLIYGYCRNGCLKIARGLFEYMRQIGVMPNVVTCTVIIDELCKRNYVVEAYRFFSIIRSMGIVPNLIMYNVMISGLCKAGELDRPLKILGAILKSGYIPDVIIYSTLIDSFAKKSNLKEALKLYNRMLDEGVKPNVYTYTCLISLLCNNDRLPEASIMFKEMIAKGIEPDKIVFTSLISGYCRHKNMKRGIQLFQDMWQRGLSPDVHTYTCLIDGYCRSHSMGKAIARMKEMHEQLNPNVVTYTAVITGYRRIGNWDKAYQMYESMLQQGIKPDAVASSLGLELASSQGLEFPAELKS >DRNTG_26556.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22240846:22244886:1 gene:DRNTG_26556 transcript:DRNTG_26556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWLGCRLVSRTFVRKIQSLSPYSSAPSSSLLLEELHFDSHPTIDNSSGKKSGFWVARRLTLSPLVGHVVHTLNWSYLREIGFLGAVGKYGLSHSLESFAMLIRIFLSSGMRRKIPFCLLKSLAQYCRNGNSDLFCLVLELVGLSGGALNLLQVYGTIILSFAESLMFEDACLAYLEAKQIGLELDVPFCNRLLKYLVDNNRVDYAIDLFHEMKHSGPMPNVYTYTIMIDLYVNGKTLDIDAAEGILMEMKEFKVSPNEVTYGTYIHGLCAAGHSELAMEFLKDLLRRGLPYNSYCFNAVIRSLYLEGKQHEAWSMFEKMKECRCTPDVYTYSILIDGLCKNRDFCNALKVQEEMMSKGTMPTVVSYSSILHGLCMQGEMEAAGKLFCDMKDRGYEEDQIALNILIHECCRRGDMETAWDLWEEMIQNNIVPDVYNYTSLIYGYCRNGCLKIARGLFEYMRQIGVMPNVVTCTVIIDELCKRNYVVEAYRFFSIIRSMGIVPNLIMYNVMISGLCKAGELDRPLKILGAILKSGYIPDVIIYSTLIDSFAKKSNLKEALKLYNRMLDEGVKPNVYTYTCLISLLCNNDRLPEASIMFKEMIAKGIEPDKIVFTSLISGYCRHKNMKRGIQLFQDMWQRGLSPDVHTYTCLIDGYCRSHSMGKAIARMKEMHEQLNPNVVTYTAVITGYRRIGNWDKAYQMYESMLQQGIKPDAVASSLGLELASSQGLEFPAELKS >DRNTG_34611.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:1432:8261:-1 gene:DRNTG_34611 transcript:DRNTG_34611.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate isomerase [Source:Projected from Arabidopsis thaliana (AT5G42740) UniProtKB/TrEMBL;Acc:A0A178UPP5] MERSTENRSVLHVALRAARDKVIQSDGKNVVPDVWHVLDQIKEFSERIRSGSWVGATGKALKDVVAVGIGGSFLGPLFVHTALQTDPEAAECAKGRQLRFLANVDPIDVARSIAGLNPETTLVVVVSKTFTTAETMLNARTLREWISGALGHQAVAKHMVAVSTNLTLVEKFGIDPANAFAFWDWVGGRYSVCSAVGVLPLSLQYGFPIVQKFLNGAESIDDHFHSTPFEKNIPVLLGLLSVWNVSFLGYPARAILPYSQALEKFAPHIQQVSMESNGKGVSIDGIPLTFETGEIDFGEPGTNGQHSFYQLIHQGRIIPCDFIGVMRSQQPIYLKGEVVSNHDELMSNFFAQPDALAYGKAAEQLFNEKVPNHLIPHKTFLGNRPSLSLLLPTLNAYNIGQVCANIYQKNIYKYF >DRNTG_07961.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:18231808:18233832:1 gene:DRNTG_07961 transcript:DRNTG_07961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEAKGACGCPCGSGAWAWGRAPAPVKLSCGGTRAWIMTPRSKNQADKKSRESSCESSPKPEGMSFVIPEHQGDEFANEVEDLVSAGGWWQLLTIRELVIREFALERAYRVLCGQGQSMNGHGDSTGVLRRQELLCLLEAIFMGPYITRLVLAMGLLDSIHGAEKTSTPNTLSLETLRLMGMVRRVRTGIYALVLPAPEIAEGEGDHAEASQPATQSKSAPMEIEAPSAAEDALPVTQYTEFMARFDLLQQILERDVASTFVLRPRTPQPPLASPAPPSPIPAPVDPPYVSPPPVVAEEPAERDTDI >DRNTG_17480.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6784299:6784816:-1 gene:DRNTG_17480 transcript:DRNTG_17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHDKRTQRSQSHVHAQSFKSKETVTRFPKRSGTLHTSSGELDVHVMAPAHAIPTPSTSQQ >DRNTG_21985.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:834032:836686:1 gene:DRNTG_21985 transcript:DRNTG_21985.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ protein JJJ1 homolog [Source:Projected from Arabidopsis thaliana (AT1G74250) UniProtKB/Swiss-Prot;Acc:Q9C911] MADTAKRCYYEVLGVSREATAEELRSAYRRLALQLHPDKQVATGVSAAEATAAFQELGHAYEVLSDPKERSWYDSHRSQILFSDPKSGSSSAHFSDVDFYKFFSPSCFSGYSKKGSGFFKVYGEVFSKIHSQEVYFAQKLGLGVDSVPPAPLIGDLDSPYEQATAFYNYWLGFSTVMDFAWVDEYDALAGVNRRSRRFMEEENKKIRKKAKRERNELVRGLAAFAKKRDKRVIDWVVKKNQEEEERRAKEKAKREEEEKRKRERIKMYEEQEWAKIDENEEEDDVFDDERKKKKGKDMEFYCVACNKKFKSDKQWKNHEQSKKHKDKVAELRDAFEEEDGDVKEGVHVEFEYRPLSEDEDSDPVEEICDELRDGVVLEEKDGDEDVEGSDGKLGSGFGGR >DRNTG_01391.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28275580:28276362:1 gene:DRNTG_01391 transcript:DRNTG_01391.1 gene_biotype:protein_coding transcript_biotype:protein_coding PHKGRVYLTEHSDSPNSSKSSRNTHSSDRGRQFSPKGKSFKAKGKPTHSRSQTSQQPKSSASKPYEKSPKHCFVCGRTNHVARDCFFRKTEPVSKNSGPPKPQVNVLEMGEPSSGTFFRSQVEDV >DRNTG_22330.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:550961:554180:1 gene:DRNTG_22330 transcript:DRNTG_22330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELEKTEKASASCQELLHKVESKPDPLLPVTIGPVIPTWNRWFEGPQDLQGCRCWIL >DRNTG_22330.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:550961:554180:1 gene:DRNTG_22330 transcript:DRNTG_22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAERKAAPAAAATAAVPKPAVDTRGKHRISAELKRLEQEARFLEEEMEELEKTEKASASCQELLHKVESKPDPLLPVTIGPVIPTWNRWFEGPQDLQGCRCWIL >DRNTG_18655.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16034043:16035373:1 gene:DRNTG_18655 transcript:DRNTG_18655.6 gene_biotype:protein_coding transcript_biotype:protein_coding MICRDDTTCSGTGNLDTGEGFGGAPDIDHLDIRVQNELSDWLNWLKSDIGFDSWRLDFAKGYSADIAKININRAKPDFVVGEIWNSLAYDGSKPVYDQDAHRNDLQRWVNAVGGPTTAFDFTTKGILNSAVQDELWRLKDSNGNPPGFIGISPDKAVTFIDNHDTGSTQNQWPFPSDKVMQGYTYILTHPGIP >DRNTG_18655.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16033382:16035482:1 gene:DRNTG_18655 transcript:DRNTG_18655.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICRDDTTCSGTGNLDTGEGFGGAPDIDHLDIRVQNELSDWLNWLKSDIGFDSWRLDFAKGYSADIAKININRAKPDFVVGEIWNSLAYDGSKPVYDQDAHRNDLQRWVNAVGGPTTAFDFTTKGILNSAVQDELWRLKDSNGNPPGFIGISPDKAVTFIDNHDTGSTQNQWPFPSDKVMQGYTYILTHPGIPSIVSFFFQLFIVFNHK >DRNTG_18655.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16034043:16035990:1 gene:DRNTG_18655 transcript:DRNTG_18655.4 gene_biotype:protein_coding transcript_biotype:protein_coding MICRDDTTCSGTGNLDTGEGFGGAPDIDHLDIRVQNELSDWLNWLKSDIGFDSWRLDFAKGYSADIAKININRAKPDFVVGEIWNSLAYDGSKPVYDQDAHRNDLQRWVNAVGGPTTAFDFTTKGILNSAVQDELWRLKDSNGNPPGFIGISPDKAVTFIDNHDTGSTQNQWPFPSDKVMQGYTYILTHPGIPSIVSFFFQLFIVFNHK >DRNTG_18655.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16033382:16035990:1 gene:DRNTG_18655 transcript:DRNTG_18655.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICRDDTTCSGTGNLDTGEGFGGAPDIDHLDIRVQNELSDWLNWLKSDIGFDSWRLDFAKGYSADIAKININRAKPDFVVGEIWNSLAYDGSKPVYDQDAHRNDLQRWVNAVGGPTTAFDFTTKGILNSAVQDELWRLKDSNGNPPGFIGISPDKAVTFIDNHDTGSTQNQWPFPSDKVMQGYTYILTHPGIPSIVSFFFQLFIVFNHK >DRNTG_18655.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16033382:16035373:1 gene:DRNTG_18655 transcript:DRNTG_18655.3 gene_biotype:protein_coding transcript_biotype:protein_coding MICRDDTTCSGTGNLDTGEGFGGAPDIDHLDIRVQNELSDWLNWLKSDIGFDSWRLDFAKGYSADIAKININRAKPDFVVGEIWNSLAYDGSKPVYDQDAHRNDLQRWVNAVGGPTTAFDFTTKGILNSAVQDELWRLKDSNGNPPGFIGISPDKAVTFIDNHDTGSTQNQWPFPSDKVMQGYTYILTHPGIP >DRNTG_18655.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16034043:16035482:1 gene:DRNTG_18655 transcript:DRNTG_18655.5 gene_biotype:protein_coding transcript_biotype:protein_coding MICRDDTTCSGTGNLDTGEGFGGAPDIDHLDIRVQNELSDWLNWLKSDIGFDSWRLDFAKGYSADIAKININRAKPDFVVGEIWNSLAYDGSKPVYDQDAHRNDLQRWVNAVGGPTTAFDFTTKGILNSAVQDELWRLKDSNGNPPGFIGISPDKAVTFIDNHDTGSTQNQWPFPSDKVMQGYTYILTHPGIPSIVSFFFQLFIVFNHK >DRNTG_30367.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3698397:3702361:-1 gene:DRNTG_30367 transcript:DRNTG_30367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLQWPLTSLFPTLTLLLSLLLLLLLLNLKKNHKKSSLKLPPGPRALPIIGNLHQLGTMPHLSLHRLSKAHGPIIHLTLGEIPTIVVSSSRLAREVLRTHDLPMSSRPQMYSAFQLFYGCTDIAFSPYGPYWRQIRKLSIVELLSAKRVESYSIFRSAEVERLVQRIESSSGSNLNLSKALGLYANGVLCRAAFGKDFLEGGEYERHGFQRMLEEYQELLGGFSLGDFFPSLEWINSVNGMKRRLKHTFMRFDVLFDEIIRDHLERKEQIKGNSSIDDDEQDDKQDKMEAKDLVDVLLDVQNGTGLEMPLTMDNIKAVILDMFAAGTDTTFITLDWGMTELIMNPRVMKKAQEEVRSRVGNRKFVLESDLPHLPYLKAVIKEIFRLHPPAPLLVPRESMEQVTIEGYQIPAKTRVFINAWVIGRDPESWENPQVFEPERFMNTSIDFKGQDFELIPFGAGRRGCPAITFGVVTIEIALAQLLHGFDWELPPGIVAEDLDMKEAFGITMHRIQELVVVAKSYFI >DRNTG_00383.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18465748:18466644:1 gene:DRNTG_00383 transcript:DRNTG_00383.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENPCDFFSGRLGNVEYLVCRDAKGDLHAFHNACRHRAALLVSGKGSKSCFSCPYHGWTYGLNGSLLNANRMAGIQNFNKDV >DRNTG_02103.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10651337:10656985:1 gene:DRNTG_02103 transcript:DRNTG_02103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPGLSCSEERWVRMEENHLNASSMAKKGMEMDRRGERGERV >DRNTG_27645.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18800012:18801917:-1 gene:DRNTG_27645 transcript:DRNTG_27645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAPKIFYNTKVALEIYDKISFDFVDSMEILYMVSNKLLIGYMDNVYVNLKGRDVQYDKLLPLLISIDLSRNELSGEIPEELMYLSYLQNLNFAGNHLTGRIPEKMGMLLKLESLDLSNNDLSGAIPTTMIMLSFLSHLNLSYNNLSGRIPQGGQFLALPDPSIYFGNYFLCGFPLDNNCEDI >DRNTG_15446.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:293412:294899:1 gene:DRNTG_15446 transcript:DRNTG_15446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSAVVVVDKEGGRSCRSFLSIFMESLHYYAAMFDSLEDCLPAESTERLSIEKNHLGREIKSCITNEVMKKEEDHEIINDKFDTWKGMMESIGYEGVRLSSRSLSQAKLLLKIKSHCSSMEHGSNGGFQVVERDGGMGISLGWQDRTLVTASAW >DRNTG_23687.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10184344:10185112:-1 gene:DRNTG_23687 transcript:DRNTG_23687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGLSDACPSSGSSRRLSRGGPAGQDVTV >DRNTG_13951.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21320303:21325846:-1 gene:DRNTG_13951 transcript:DRNTG_13951.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARQMIDKFNEYRLVSSGSAMPTGDKQTPISVKKVALRELPNEARNIMTQPPGNSPPSKDSQAVSNTVKVYGTKRQQPEHPSSPSSAHLPANNGANGHLVYVRRKLDTEPGKTNSCANTEASASLEPRKSSNGSTKEAKLLREQLQGSSSSFQTITPVPVASSTISSQGTSPPATLGKSVAGLAFPEPKDSTVTTTMPVQLESPQPCASPNYWKERFLQLQTFLKNCDQASQDDYIRMLRSLSAVGRSQHAVELEKRAIHLLLEEVKELHRMRVLNVLGKALPNDRGSPSISATSAVLDFMKADIAFENPKYPWLNHG >DRNTG_13951.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21320350:21325846:-1 gene:DRNTG_13951 transcript:DRNTG_13951.6 gene_biotype:protein_coding transcript_biotype:protein_coding MARQMIDKFNEYRLVSSGSAMPTGDKQTPISVKKVALRELPNEARNIMTQPPGNSPPSKDSQAVSNTVKVYGTKRQQPEHPSSPSSAHLPANNGANGHLVYVRRKLDTEPGKTNSCANTEASASLEPRKSSNGSTKEAKLLREQLQGSSSSFQTITPVPVASSTISSQGTSPPATLGKSVAGLAFPEPKDSTVTTTMPVQLESPQPCASPNYWKERFLQLQTFLKNCDQASQDDYIRMLRSLSAVGRSQHAVELEKRAIHLLLEEVKELHRMRVLNVLGKALPNDRGSPSISATSAVLDFMKADIAFENPKYPWLNHG >DRNTG_13951.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21320350:21325846:-1 gene:DRNTG_13951 transcript:DRNTG_13951.10 gene_biotype:protein_coding transcript_biotype:protein_coding MARQMIDKFNEYRLVSSGSAMPTGDKQTPISVKKVALRELPNEARNIMTQPPGNSPPSKDSQAVSNTVKVYGTKRQQPEHPSSPSSAHLPANNGANGHLVYVRRKLDTEPGKTNSCANTEASASLEPRKSSNGSTKEAKLLREQLQGSSSSFQTITPVPVASSTISSQGTSPPATLGKSVAGLAFPEPKDSTVTTTMPVQLESPQPCASPNYWKERFLQLQTFLKNCDQASQDDYIRMLRSLSAVGRSQHAVELEKRAIHLLLEEVKELHRMRVLNVLGKALPNDRGSPSISATSAVLDFMKADIAFENPKYPWLNHG >DRNTG_13951.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21320350:21325846:-1 gene:DRNTG_13951 transcript:DRNTG_13951.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARQMIDKFNEYRLVSSGSAMPTGDKQTPISVKKVALRELPNEARNIMTQPPGNSPPSKDSQAVSNTVKVYGTKRQQPEHPSSPSSAHLPANNGANGHLVYVRRKLDTEPGKTNSCANTEASASLEPRKSSNGSTKEAKLLREQLQGSSSSFQTITPVPVASSTISSQGTSPPATLGKSVAGLAFPEPKDSTVTTTMPVQLESPQPCASPNYWKERFLQLQTFLKNCDQASQDDYIRMLRSLSAVGRSQHAVELEKRAIHLLLEEVKELHRMRVLNVLGKALPNDRGSPSISATSAVLDFMKADIAFENPKYPWLNHG >DRNTG_13951.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21320350:21325846:-1 gene:DRNTG_13951 transcript:DRNTG_13951.9 gene_biotype:protein_coding transcript_biotype:protein_coding MARQMIDKFNEYRLVSSGSAMPTGDKQTPISVKKVALRELPNEARNIMTQPPGNSPPSKDSQAVSNTVKVYGTKRQQPEHPSSPSSAHLPANNGANGHLVYVRRKLDTEPGKTNSCANTEASASLEPRKSSNGSTKEAKLLREQLQGSSSSFQTITPVPVASSTISSQGTSPPATLGKSVAGLAFPEPKDSTVTTTMPVQLESPQPCASPNYWKERFLQLQTFLKNCDQASQDDYIRMLRSLSAVGRSQHAVELEKRAIHLLLEEVKELHRMRVLNVLGKALPNDRGSPSISATSAVLDFMKADIAFENPKYPWLNHG >DRNTG_13951.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21320350:21325846:-1 gene:DRNTG_13951 transcript:DRNTG_13951.11 gene_biotype:protein_coding transcript_biotype:protein_coding MARQMIDKFNEYRLVSSGSAMPTGDKQTPISVKKVALRELPNEARNIMTQPPGNSPPSKDSQAVSNTVKVYGTKRQQPEHPSSPSSAHLPANNGANGHLVYVRRKLDTEPGKTNSCANTEASASLEPRKSSNGSTKEAKLLREQLQGSSSSFQTITPVPVASSTISSQGTSPPATLGKSVAGLAFPEPKDSTVTTTMPVQLESPQPCASPNYWKERFLQLQTFLKNCDQASQDDYIRMLRSLSAVGRSQHAVELEKRAIHLLLEEVKELHRMRVLNVLGKALPNDRGSPSISATSAVLDFMKADIAFENPKYPWLNHG >DRNTG_13951.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21320303:21325846:-1 gene:DRNTG_13951 transcript:DRNTG_13951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQMIDKFNEYRLVSSGSAMPTGDKQTPISVKKVALRELPNEARNIMTQPPGNSPPSKDSQAVSNTVKVYGTKRQQPEHPSSPSSAHLPANNGANGHLVYVRRKLDTEPGKTNSCANTEASASLEPRKSSNGSTKEAKLLREQLQGSSSSFQTITPVPVASSTISSQGTSPPATLGKSVAGLAFPEPKDSTVTTTMPVQLESPQPCASPNYWKERFLQLQTFLKNCDQASQDDYIRMLRSLSAVGRSQHAVELEKRAIHLLLEEVKELHRMRVLNVLGKALPNDRGSPSISATSAVLDFMKADIAFENPKYPWLNHG >DRNTG_13951.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21320303:21325846:-1 gene:DRNTG_13951 transcript:DRNTG_13951.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARQMIDKFNEYRLVSSGSAMPTGDKQTPISVKKVALRELPNEARNIMTQPPGNSPPSKDSQAVSNTVKVYGTKRQQPEHPSSPSSAHLPANNGANGHLVYVRRKLDTEPGKTNSCANTEASASLEPRKSSNGSTKEAKLLREQLQGSSSSFQTITPVPVASSTISSQGTSPPATLGKSVAGLAFPEPKDSTVTTTMPVQLESPQPCASPNYWKERFLQLQTFLKNCDQASQDDYIRMLRSLSAVGRSQHAVELEKRAIHLLLEEVKELHRMRVLNVLGKALPNDRGSPSISATSAVLDFMKADIAFENPKYPWLNHG >DRNTG_13951.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21320350:21325846:-1 gene:DRNTG_13951 transcript:DRNTG_13951.8 gene_biotype:protein_coding transcript_biotype:protein_coding MARQMIDKFNEYRLVSSGSAMPTGDKQTPISVKKVALRELPNEARNIMTQPPGNSPPSKDSQAVSNTVKVYGTKRQQPEHPSSPSSAHLPANNGANGHLVYVRRKLDTEPGKTNSCANTEASASLEPRKSSNGSTKEAKLLREQLQGSSSSFQTITPVPVASSTISSQGTSPPATLGKSVAGLAFPEPKDSTVTTTMPVQLESPQPCASPNYWKERFLQLQTFLKNCDQASQDDYIRMLRSLSAVGRSQHAVELEKRAIHLLLEEVKELHRMRVLNVLGKALPNDRGSPSISATSAVLDFMKADIAFENPKYPWLNHG >DRNTG_13951.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21320350:21325846:-1 gene:DRNTG_13951 transcript:DRNTG_13951.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARQMIDKFNEYRLVSSGSAMPTGDKQTPISVKKVALRELPNEARNIMTQPPGNSPPSKDSQAVSNTVKVYGTKRQQPEHPSSPSSAHLPANNGANGHLVYVRRKLDTEPGKTNSCANTEASASLEPRKSSNGSTKEAKLLREQLQGSSSSFQTITPVPVASSTISSQGTSPPATLGKSVAGLAFPEPKDSTVTTTMPVQLESPQPCASPNYWKERFLQLQTFLKNCDQASQDDYIRMLRSLSAVGRSQHAVELEKRAIHLLLEEVKELHRMRVLNVLGKALPNDRGSPSISATSAVLDFMKADIAFENPKYPWLNHG >DRNTG_13951.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21320350:21325846:-1 gene:DRNTG_13951 transcript:DRNTG_13951.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRGSNLSIPLVHPVPISLPTMGQMDILFMSVGNLTQNQARQILVPTQKLLLL >DRNTG_09410.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21023863:21024473:-1 gene:DRNTG_09410 transcript:DRNTG_09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRNMFIQDIFGIIPGDTDYQIFAKDNGDIQGLDIIFVLGGYFYHTSYDTIERLLYALGSTVIQILCFEYLDLDIFTKWVLILECIDETVPEVFQDWILFVPQQQGCNTEF >DRNTG_02831.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20121686:20124127:1 gene:DRNTG_02831 transcript:DRNTG_02831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLLLAHRHFSSPPMKVIGKALPLSFHPNIQTARSSSDVKAYVEKEGDYIDWGEFQIDGRSSRSGLLNLADIYAEALNSSSFGDSLQIIREKDPKLLFLQYHNLKANADRIFARPVSVFESKWDCSSFVVDTGPSRTGKTAWAQSLGPHNYICGHMDLNANTFWNDQDWQSNCKYGKPVQIPRDVLATILCNPGPNSSYSEFLHKSENVSLRNWTHQNAVFEFIYFGDSVICILLLSRRHLCRLSATWIPVPDSSLLHNRLRGAYMFGS >DRNTG_00330.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2301001:2301944:-1 gene:DRNTG_00330 transcript:DRNTG_00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGIQMDQETALELVKKGATLLLLDVPQFTLFGIDTQMFSVGPNFKGMKMIPPGPHFVYYSSANKEGNEFSPTIGFFITTSYSEVL >DRNTG_03926.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15116310:15119349:1 gene:DRNTG_03926 transcript:DRNTG_03926.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase HT1 [Source:Projected from Arabidopsis thaliana (AT1G62400) UniProtKB/Swiss-Prot;Acc:Q2MHE4] MGFCFRAFKFGRKNSGTPNLPSPPSQSSVCLPSSSSSQFRRRGSLPPEMEIMEKKRWDSLESWSMLLEPGNAESLDDGQDGEREEWMADLSQLFIGNKFASGSHSRIYRGIYKQRAVAIKMVRIPDQDEEKRAKLEEQFKSEVSFLSRLYHPNVVQFIAACKKPPVYCIITEYMSQGTLRMYLHKKEPYSLSTDTILSQGC >DRNTG_03926.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15112735:15116116:1 gene:DRNTG_03926 transcript:DRNTG_03926.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase HT1 [Source:Projected from Arabidopsis thaliana (AT1G62400) UniProtKB/Swiss-Prot;Acc:Q2MHE4] MVIARKAMAECPKKVASLIDLANLPTNLREFVGLSQISRLDFFIRVWSYIKANNLQDPSNKNLVNCDDKLKRILLGKPQVALAELPMLIKLHFPKELKR >DRNTG_03926.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15112735:15119349:1 gene:DRNTG_03926 transcript:DRNTG_03926.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase HT1 [Source:Projected from Arabidopsis thaliana (AT1G62400) UniProtKB/Swiss-Prot;Acc:Q2MHE4] MEIMEKKRWDSLESWSMLLEPGNAESLDDGQDGEREEWMADLSQLFIGNKFASGSHSRIYRGIYKQRAVAIKMVRIPDQDEEKRAKLEEQFKSEVSFLSRLYHPNVVQFIAACKKPPVYCIITEYMSQGTLRMYLHKKEPYSLSTDTILSQGC >DRNTG_05173.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:15460226:15461419:-1 gene:DRNTG_05173 transcript:DRNTG_05173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLDFAKYLGIYDDDFIKSMPGKRLELDFPSEVGRSNYWATLAGEDTTRKASRMIDPAHRFIHALIARSLWGRTDSKGVVTQSDIFTMYGIFERRPTHLGYLVADAFLHQGSYTRLGAIFIGPYVTRLIRGMGLFEQTRGMTIVGGTAPLGKAHIRAIGLVVAERMRGRPPQHQATGESSQQQTEHTESEPEGAPIPTPGTTSLPDFDMRLRGIEGEVQGVRQEQREIRDQLHQVLEGQRRLEADFHRFITSYYGSASHTPSATMPPPPAPDCDE >DRNTG_24427.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8349334:8353339:1 gene:DRNTG_24427 transcript:DRNTG_24427.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKALISLAFLALLSFHVNSQSCNLYEGSWIFDESFPLYNSSICPHLRREFDCQKYGRPDKNYLNYRWQPSSCNIPRFDGKEFLRMWSNKKIMFVGDSLSLNQWQSLLCMLHSAAPNSIKTPSGDTVAISSITFEDYNVTVMYYRTPFLVDIITENEGRVLNLDSIQAGKQWLQANLLIFNTWHWWLRSGQYQPWDFIQDGNKMLKDMDRTVAFSKALTTWAKWVDSSVNPNTTKIFYQGVSPSHYHGSDWNESSTKSCAGETEPFMGSRYPGGPIPQEDIVKSILKTISKPVSLLDITSLSQLRKDAHPSSYNGIHLRLDCSHWCVPGLPDVWNSLMYATLVS >DRNTG_23168.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001260.1:42003:44919:1 gene:DRNTG_23168 transcript:DRNTG_23168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGANLKAETLALMEKRASVEAEMNAIIEILCGPGGPGISGNLVDAEGFPRSDIDIPAVRSQRHRLAELRNDHKYVTAKIDTNLELLHSVKLPNSGSRTPENSAASSLHDTTSQASPMDEGSVHRIPFAIIDEISDASPAAEDGLQLGDMIVKFGNVESGDSLHSRLALEAQSNEGHPVPLQIMRQGSLLNLTVTPKQWHGRGLLGCHFRML >DRNTG_04219.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20906708:20907268:1 gene:DRNTG_04219 transcript:DRNTG_04219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAISMPNLAQSFYNGLLKRLAANQKTPLRPNTYIETYLFGLIDEDAKSIAPGAFEGHWGIFAFDGQPKYPLNLSGSPTLTTTKDVTYLPQQWCVYNPNTNFACTYADCTALSYGSSCNGLDEKGNASYAFNSFFQTQGQTDGSCDFQGLAMVTTQNYSHHFPVQIVGFHYSSASFASLSLLKMYQ >DRNTG_20660.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20321126:20326537:1 gene:DRNTG_20660 transcript:DRNTG_20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTSSATPSMAAVGAISEDLYPSQDDLLYEEEILRNPFSLKLWWRYLVARADAPFKKRSVIYERALKALPGSYKLWHAYLRERLDQVRPLPISHPLYDSLNNTFERALVTMHKMPRIWTMYLTSLSEQRLLTRARRAHDRALCALPVTQHDRIWSSYLALVSRPGVPVETSLRVYRRYLLFDPSHIEDFIDFLLSSELWQEAADRLASVLNDDSFHSIKGKTKHQLWLELCDLLTHHAKEISGINVDGIIRGGIRKYTNEIGRLWTSLADYYVRRGLFEKARDIFEEGLATVVSARDFGVIFDSYTQFEESTLRAKMETMSLKGDDDKVSDKLVRDYWLNDDDDTDLRLARLDHLLARYPELKNSVHLRQNPHNVENWRKRVQIYMGNPIKQIMTYTEAVRTVDPMKAVGKPHTLWVDFAKLYEVHNDLANARVIFDKATQVNYKKVDNLASVWCEWAEMELRHQNLKGALQLMRRATAEPSVEVKRRDGNEPVQMKLHKSLRLWSFYVDLEESLGTLESARAVYERILDLRIATPQIILNYAELLEEKKYFEDAFKVYERGVKIFKYPHVKDIWTTYLKKFVERYKATKLERARELFEHAIEKTPPEDVKPLYLQYAKLEEQHGLAKRAMQVYNQAVKAVPAKEKLEMYEIYIARASEIFGVPKTREIYEQAIESGLPDDDAKAMAMKYAELERSLGEIDRARAIYVFASQLADPRSDPIFWKKWKDFEVQHGNEDTFREMLRMSRSVSASYSQTHFILPENLMQKDQKLNLETAVDTLKRAGVPEDEMAALERQLAPSSTANANDGARKVNFVSAGADSQPGVIRTPDGGRKVTANNEDIELPEESDSDDEKVEIAQKAVPAAVFGELAHQVQKDKDADSAAENGGNSSLGALERIKRQRRQ >DRNTG_27247.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20163829:20164391:-1 gene:DRNTG_27247 transcript:DRNTG_27247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRTRITEEEMNELISKLQNLLPESRRRNTSRASASKVLKETCNYIKSLHREVDGLSDRLSELINTMDNDSAQAEIIRGLLRS >DRNTG_17935.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25194730:25197460:-1 gene:DRNTG_17935 transcript:DRNTG_17935.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQDNNKELQQSLNEMKEERDQYREELLRQMKDMMEHFETRML >DRNTG_17935.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25194730:25197460:-1 gene:DRNTG_17935 transcript:DRNTG_17935.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQDNNKELQQSLNEMKEERDQYREELLRQMKDMMEHFETRML >DRNTG_17935.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25194730:25197460:-1 gene:DRNTG_17935 transcript:DRNTG_17935.7 gene_biotype:protein_coding transcript_biotype:protein_coding MCMSLSTGTSLKAVNTEIKELKQAIMQLQDNNKELQQSLNEMKEERDQYREELLRQMKDMMEHFETRML >DRNTG_17935.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25194730:25197460:-1 gene:DRNTG_17935 transcript:DRNTG_17935.9 gene_biotype:protein_coding transcript_biotype:protein_coding MCMSLSTGTSLKAVNTEIKELKQAIMQLQDNNKELQQSLNEMKEERDQYREELLRQMKDMMEHFETRML >DRNTG_17935.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25194730:25197460:-1 gene:DRNTG_17935 transcript:DRNTG_17935.8 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQDNNKELQQSLNEMKEERDQYREELLRQMKDMMEHFETRML >DRNTG_17935.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25194730:25197460:-1 gene:DRNTG_17935 transcript:DRNTG_17935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQDNNKELQQSLNEMKEERDQYREELLRQMKDMMEHFETRML >DRNTG_17935.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25194730:25197460:-1 gene:DRNTG_17935 transcript:DRNTG_17935.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQDNNKELQQSLNEMKEERDQYREELLRQMKDMMEHFETRML >DRNTG_17935.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25194730:25197460:-1 gene:DRNTG_17935 transcript:DRNTG_17935.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQDNNKELQQSLNEMKEERDQYREELLRQMKDMMEHFETRML >DRNTG_17935.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25194730:25197460:-1 gene:DRNTG_17935 transcript:DRNTG_17935.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQDNNKELQQSLNEMKEERDQYREELLRQMKDMMEHFETRML >DRNTG_35000.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24103901:24105800:1 gene:DRNTG_35000 transcript:DRNTG_35000.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVCCEVVSQREVVTESCEPSTRAARRRRMQLRRIKFVSGVGTVVGTAEPMRKRQRVELLEDVVRSGAVEHHREGSGSGTNSSSCAPRRAKYGMTAVCGRRREMEDVVSIQTEFLRGSLGHETYQFYGVFDGHGCSHAAVSCQDRMHELVAEEIRMVDTGSMAMAVRNWEGVMKRSFLRMDAEVEDWRGSIRTGTCKCELRMPNCDHVGSTAVVAVVTPDQIIVSNCGDSRAVLCKGGVAIPLSTDHKPDRPDEMERIEQAGGRVIYWDGPRVLGVLAMSRAIGDAYLKPYVISEPEVTVTERTGEEECLILASDGLWDVVSNEMACDIARMCLRASGGDGDESVGYETEGHARRGSDKACCDASMLLTKLALARHSTDNVSVVVIDL >DRNTG_35000.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24103901:24105548:1 gene:DRNTG_35000 transcript:DRNTG_35000.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVCCEVVSQREVVTESCEPSTRAARRRRMQLRRIKFVSGVGTVVGTAEPMRKRQRVELLEDVVRSGAVEHHREGSGSGTNSSSCAPRRAKYGMTAVCGRRREMEDVVSIQTEFLRGSLGHETYQFYGVFDGHGCSHAAVSCQDRMHELVAEEIRMVDTGSMAMAVRNWEGVMKRSFLRMDAEVEDWRGSIRTGTCKCELRMPNCDHVGSTAVVAVVTPDQIIVSNCGDSRAVLCKGGVAIPLSTDHKPDRPDEMERIEQAGGRVIYWDGPRVLGVLAMSRAIGDAYLKPYVISEPEVTVTERTGEEECLILASDGLWDVVSNEMACDIARMCLRASGGDGDESVGYETEGHARRGSDKACCDASMLLTKLALARHSTDNVSVVVIDL >DRNTG_35000.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24104033:24105723:1 gene:DRNTG_35000 transcript:DRNTG_35000.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVCCEVVSQREVVTESCEPSTRAARRRRMQLRRIKFVSGVGTVVGTAEPMRKRQRVELLEDVVRSGAVEHHREGSGSGTNSSSCAPRRAKYGMTAVCGRRREMEDVVSIQTEFLRGSLGHETYQFYGVFDGHGCSHAAVSCQDRMHELVAEEIRMVDTGSMAMAVRNWEGVMKRSFLRMDAEVEDWRGSIRTGTCKCELRMPNCDHVGSTAVVAVVTPDQIIVSNCGDSRAVLCKGGVAIPLSTDHKPDRPDEMERIEQAGGRVIYWDGPRVLGVLAMSRAIGDAYLKPYVISEPEVTVTERTGEEECLILASDGLWDVVSNEMACDIARMCLRASGGDGDESVGYETEGHARRGSDKACCDASMLLTKLALARHSTDNVSVVVIDL >DRNTG_35000.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24103374:24105548:1 gene:DRNTG_35000 transcript:DRNTG_35000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVCCEVVSQREVVTESCEPSTRAARRRRMQLRRIKFVSGVGTVVGTAEPMRKRQRVELLEDVVRSGAVEHHREGSGSGTNSSSCAPRRAKYGMTAVCGRRREMEDVVSIQTEFLRGSLGHETYQFYGVFDGHGCSHAAVSCQDRMHELVAEEIRMVDTGSMAMAVRNWEGVMKRSFLRMDAEVEDWRGSIRTGTCKCELRMPNCDHVGSTAVVAVVTPDQIIVSNCGDSRAVLCKGGVAIPLSTDHKPDRPDEMERIEQAGGRVIYWDGPRVLGVLAMSRAIGDAYLKPYVISEPEVTVTERTGEEECLILASDGLWDVVSNEMACDIARMCLRASGGDGDESVGYETEGHARRGSDKACCDASMLLTKLALARHSTDNVSVVVIDL >DRNTG_35000.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24103374:24105800:1 gene:DRNTG_35000 transcript:DRNTG_35000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVCCEVVSQREVVTESCEPSTRAARRRRMQLRRIKFVSGVGTVVGTAEPMRKRQRVELLEDVVRSGAVEHHREGSGSGTNSSSCAPRRAKYGMTAVCGRRREMEDVVSIQTEFLRGSLGHETYQFYGVFDGHGCSHAAVSCQDRMHELVAEEIRMVDTGSMAMAVRNWEGVMKRSFLRMDAEVEDWRGSIRTGTCKCELRMPNCDHVGSTAVVAVVTPDQIIVSNCGDSRAVLCKGGVAIPLSTDHKPDRPDEMERIEQAGGRVIYWDGPRVLGVLAMSRAIGDAYLKPYVISEPEVTVTERTGEEECLILASDGLWDVVSNEMACDIARMCLRASGGDGDESVGYETEGHARRGSDKACCDASMLLTKLALARHSTDNVSVVVIDL >DRNTG_35000.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24103374:24105548:1 gene:DRNTG_35000 transcript:DRNTG_35000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVCCEVVSQREVVTESCEPSTRAARRRRMQLRRIKFVSGVGTVVGTAEPMRKRQRVELLEDVVRSGAVEHHREGSGSGTNSSSCAPRRAKYGMTAVCGRRREMEDVVSIQTEFLRGSLGHETYQFYGVFDGHGCSHAAVSCQDRMHELVAEEIRMVDTGSMAMAVRNWEGVMKRSFLRMDAEVEDWRGSIRTGTCKCELRMPNCDHVGSTAVVAVVTPDQIIVSNCGDSRAVLCKGGVAIPLSTDHKPDRPDEMERIEQAGGRVIYWDGPRVLGVLAMSRAIGESYIYIYIGEVIV >DRNTG_35000.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24103901:24105548:1 gene:DRNTG_35000 transcript:DRNTG_35000.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVCCEVVSQREVVTESCEPSTRAARRRRMQLRRIKFVSGVGTVVGTAEPMRKRQRVELLEDVVRSGAVEHHREGSGSGTNSSSCAPRRAKYGMTAVCGRRREMEDVVSIQTEFLRGSLGHETYQFYGVFDGHGCSHAAVSCQDRMHELVAEEIRMVDTGSMAMAVRNWEGVMKRSFLRMDAEVEDWRGSIRTGTCKCELRMPNCDHVGSTAVVAVVTPDQIIVSNCGDSRAVLCKGGVAIPLSTDHKPDRPDEMERIEQAGGRVIYWDGPRVLGVLAMSRAIGESYIYIYIGEVIV >DRNTG_35000.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24104033:24105548:1 gene:DRNTG_35000 transcript:DRNTG_35000.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVCCEVVSQREVVTESCEPSTRAARRRRMQLRRIKFVSGVGTVVGTAEPMRKRQRVELLEDVVRSGAVEHHREGSGSGTNSSSCAPRRAKYGMTAVCGRRREMEDVVSIQTEFLRGSLGHETYQFYGVFDGHGCSHAAVSCQDRMHELVAEEIRMVDTGSMAMAVRNWEGVMKRSFLRMDAEVEDWRGSIRTGTCKCELRMPNCDHVGSTAVVAVVTPDQIIVSNCGDSRAVLCKGGVAIPLSTDHKPDRPDEMERIEQAGGRVIYWDGPRVLGVLAMSRAIGDAYLKPYVISEPEVTVTERTGEEECLILASDGLWDVVSNEMACDIARMCLRASGGDGDESVGYETEGHARRGSDKACCDASMLLTKLALARHSTDNVSVVVIDL >DRNTG_35000.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24104033:24105548:1 gene:DRNTG_35000 transcript:DRNTG_35000.12 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVCCEVVSQREVVTESCEPSTRAARRRRMQLRRIKFVSGVGTVVGTAEPMRKRQRVELLEDVVRSGAVEHHREGSGSGTNSSSCAPRRAKYGMTAVCGRRREMEDVVSIQTEFLRGSLGHETYQFYGVFDGHGCSHAAVSCQDRMHELVAEEIRMVDTGSMAMAVRNWEGVMKRSFLRMDAEVEDWRGSIRTGTCKCELRMPNCDHVGSTAVVAVVTPDQIIVSNCGDSRAVLCKGGVAIPLSTDHKPDRPDEMERIEQAGGRVIYWDGPRVLGVLAMSRAIGESYIYIYIGEVIV >DRNTG_35000.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24103374:24105723:1 gene:DRNTG_35000 transcript:DRNTG_35000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVCCEVVSQREVVTESCEPSTRAARRRRMQLRRIKFVSGVGTVVGTAEPMRKRQRVELLEDVVRSGAVEHHREGSGSGTNSSSCAPRRAKYGMTAVCGRRREMEDVVSIQTEFLRGSLGHETYQFYGVFDGHGCSHAAVSCQDRMHELVAEEIRMVDTGSMAMAVRNWEGVMKRSFLRMDAEVEDWRGSIRTGTCKCELRMPNCDHVGSTAVVAVVTPDQIIVSNCGDSRAVLCKGGVAIPLSTDHKPDRPDEMERIEQAGGRVIYWDGPRVLGVLAMSRAIGDAYLKPYVISEPEVTVTERTGEEECLILASDGLWDVVSNEMACDIARMCLRASGGDGDESVGYETEGHARRGSDKACCDASMLLTKLALARHSTDNVSVVVIDL >DRNTG_35000.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24104033:24105800:1 gene:DRNTG_35000 transcript:DRNTG_35000.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVCCEVVSQREVVTESCEPSTRAARRRRMQLRRIKFVSGVGTVVGTAEPMRKRQRVELLEDVVRSGAVEHHREGSGSGTNSSSCAPRRAKYGMTAVCGRRREMEDVVSIQTEFLRGSLGHETYQFYGVFDGHGCSHAAVSCQDRMHELVAEEIRMVDTGSMAMAVRNWEGVMKRSFLRMDAEVEDWRGSIRTGTCKCELRMPNCDHVGSTAVVAVVTPDQIIVSNCGDSRAVLCKGGVAIPLSTDHKPDRPDEMERIEQAGGRVIYWDGPRVLGVLAMSRAIGDAYLKPYVISEPEVTVTERTGEEECLILASDGLWDVVSNEMACDIARMCLRASGGDGDESVGYETEGHARRGSDKACCDASMLLTKLALARHSTDNVSVVVIDL >DRNTG_35000.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24103901:24105723:1 gene:DRNTG_35000 transcript:DRNTG_35000.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVCCEVVSQREVVTESCEPSTRAARRRRMQLRRIKFVSGVGTVVGTAEPMRKRQRVELLEDVVRSGAVEHHREGSGSGTNSSSCAPRRAKYGMTAVCGRRREMEDVVSIQTEFLRGSLGHETYQFYGVFDGHGCSHAAVSCQDRMHELVAEEIRMVDTGSMAMAVRNWEGVMKRSFLRMDAEVEDWRGSIRTGTCKCELRMPNCDHVGSTAVVAVVTPDQIIVSNCGDSRAVLCKGGVAIPLSTDHKPDRPDEMERIEQAGGRVIYWDGPRVLGVLAMSRAIGDAYLKPYVISEPEVTVTERTGEEECLILASDGLWDVVSNEMACDIARMCLRASGGDGDESVGYETEGHARRGSDKACCDASMLLTKLALARHSTDNVSVVVIDL >DRNTG_08785.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7355081:7355735:-1 gene:DRNTG_08785 transcript:DRNTG_08785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEFAMGGLFSAKSDVYSFGVLVLEIVTGQRNGREHFEEHDQTLIRNIWHLWVEGRAVELMDPSLEGSYPINEAMKCIKIGLLCVQENTEVRPTMSLVVHMLRSVDETVFPEPSQPPTFMRQRSSVSNGSSSSIGS >DRNTG_32527.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1317263:1318569:1 gene:DRNTG_32527 transcript:DRNTG_32527.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIQAMQRREKPLSSAAILALATANPSNVVEQSTFTDYYFRITNNEDNLALKEKFQRISTKTTVRKRHLHLTEEILKENPNMCEYMAPSLDARQEIAISVLPNLARDAAEKALKEWGRPRDEITHMIFCSTTGADSPGADYRLLKLLNLKPNVKRLMFYHLGCYAGGTALRVAKDIAENNKNARVLVVCAEITVQCFRGPELTDIPNLCAQAIFGDGAAALVIGADPVISMEKPLYEIVSAMQVILPDSEGAVDVHLNEAGLTIHSSPRLQDIIAKNLEPSLVEAFEPLGISDWNELFWLAHPGGPGVLDRVEAELGLGPNKLDDSRYVLREYGNMSSATVLFILNKMRCRSVAEKKGTTGDGLEFGVLCGFGPGLTVEMVVLRSVPI >DRNTG_34752.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002187.1:15665:17255:1 gene:DRNTG_34752 transcript:DRNTG_34752.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVKLLGLVLLALVRLVFGSEEWHGNGTEVESELNVWTAPRPLRVGLTLIPSAVAQGAVCLDGTPPAYHLHRGHGTGANSWLIQLEGGGWCNDIATCVHRKNTHRGSSNYMERVIEFTGILSNKPEENPDFYNWNRVKIRYCDGASFSGESYNK >DRNTG_01908.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20936996:20941122:1 gene:DRNTG_01908 transcript:DRNTG_01908.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTDDTGKRCPLLPCPIPNITNTSMHMMQQQQQQQQMVDHASTYTMDEALLPMGFGKFQAFLLAYSGMGWISEAMEMMLLSFVGPSVQEIWKLSAQEQSLITSVVFVGMLIGAFSWGLISDIYGRRIGFLFTAIITGVAGFLSAFAPNYIALIVLRFLVGIGLGGGPVLSAWFLEFVPSPNRGAWMVVFSAFWSVGTILEASLAWAVMPKMGWRWLLALSSTPSFLLLLLYWFTPESPRYLCMKGKTTDAMQVLERMAKWNHVSLPSGRLVSDHSCVPDENPASSAGLDSITIQRHDTNIDDSESKRGGITSLFRILSRKLLRTTLLLWMVIFGCAFAYYGIILLTSELSSGNKRCSSNKLHLKSDGSGLYKNVLITSLAEIPGLFIAATIVDRIGRKLTMSSMLFVSCILLIPLVSHQKEVLTVGLLFGARMLISGSFTIVYIYAPEVYPTSTRTTGVGVASAVGRVGGIVCPLVAVGLVHGCHQTAAVLLFELVILLAAVAICFFPFETSRRYLLDSVHNIK >DRNTG_01908.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20937956:20941122:1 gene:DRNTG_01908 transcript:DRNTG_01908.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHASTYTMDEALLPMGFGKFQAFLLAYSGMGWISEAMEMMLLSFVGPSVQEIWKLSAQEQSLITSVVFVGMLIGAFSWGLISDIYGRRIGFLFTAIITGVAGFLSAFAPNYIALIVLRFLVGIGLGGGPVLSAWFLEFVPSPNRGAWMVVFSAFWSVGTILEASLAWAVMPKMGWRWLLALSSTPSFLLLLLYWFTPESPRYLCMKGKTTDAMQVLERMAKWNHVSLPSGRLVSDHSCVPDENPASSAGLDSITIQRHDTNIDDSESKRGGITSLFRILSRKLLRTTLLLWMVIFGCAFAYYGIILLTSELSSGNKRCSSNKLHLKSDGSGLYKNVLITSLAEIPGLFIAATIVDRIGRKLTMSSMLFVSCILLIPLVSHQKEVLTVGLLFGARMLISGSFTIVYIYAPEVYPTSTRTTGVGVASAVGRVGGIVCPLVAVGLVHGCHQTAAVLLFELVILLAAVAICFFPFETSRRYLLDSVHNIK >DRNTG_01908.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20937284:20941122:1 gene:DRNTG_01908 transcript:DRNTG_01908.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTDDTGKRCPLLPCPIPNITNTSMHMMQQQQQQQQMVDHASTYTMDEALLPMGFGKFQAFLLAYSGMGWISEAMEMMLLSFVGPSVQEIWKLSAQEQSLITSVVFVGMLIGAFSWGLISDIYGRRIGFLFTAIITGVAGFLSAFAPNYIALIVLRFLVGIGLGGGPVLSAWFLEFVPSPNRGAWMVVFSAFWSVGTILEASLAWAVMPKMGWRWLLALSSTPSFLLLLLYWFTPESPRYLCMKGKTTDAMQVLERMAKWNHVSLPSGRLVSDHSCVPDENPASSAGLDSITIQRHDTNIDDSESKRGGITSLFRILSRKLLRTTLLLWMVIFGCAFAYYGIILLTSELSSGNKRCSSNKLHLKSDGSGLYKNVLITSLAEIPGLFIAATIVDRIGRKLTMSSMLFVSCILLIPLVSHQKEVLTVGLLFGARMLISGSFTIVYIYAPEVYPTSTRTTGVGVASAVGRVGGIVCPLVAVGLVHGCHQTAAVLLFELVILLAAVAICFFPFETSRRYLLDSVHNIK >DRNTG_01908.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20936996:20941122:1 gene:DRNTG_01908 transcript:DRNTG_01908.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTDDTGKRCPLLPCPIPNITNTSMHMMQQQQQQQQMVDHASTYTMDEALLPMGFGKFQAFLLAYSGMGWISEAMEMMLLSFVGPSVQEIWKLSAQEQSLITSVVFVGMLIGAFSWGLISDIYGRRIGFLFTAIITGVAGFLSAFAPNYIALIVLRFLVGIGLGGGPVLSAWFLEFVPSPNRGAWMVVFSAFWSVGTILEASLAWAVMPKMGWRWLLALSSTPSFLLLLLYWFTPESPRYLCMKGKTTDAMQVLERMAKWNHVSLPSGRLVSDHSCVPDENPASSAGLDSITIQRHDTNIDDSESKRGGITSLFRILSRKLLRTTLLLWMVIFGCAFAYYGIILLTSELSSGNKRCSSNKLHLKSDGSGLYKNVLITSLAEIPGLFIAATIVDRIGRKLTMSSMLFVSCILLIPLVSHQKEVLTVGLLFGARMLISGSFTIVYIYAPEVYPTSTRTTGVGVASAVGRVGGIVCPLVAVGLVHGCHQTAAVLLFELVILLAAVAICFFPFETSRRYLLDSVHNIK >DRNTG_14129.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10851985:10853080:-1 gene:DRNTG_14129 transcript:DRNTG_14129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYQPQISLDLHHNNLSGEIPQFGALLNQQPLRNNAAVIPSEKKPVWKPMYLVQTIVYGTYDEVSSWCSTPPQQQSGDTERHWFSLRTAEGLVEF >DRNTG_30312.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:6197338:6201881:-1 gene:DRNTG_30312 transcript:DRNTG_30312.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQDYNEVPQGKVFMCHTPMFDSEAEPAIIYEGEEQSEWVKRYPNRMAIAKLTTNRWFEDGLTDAICLKPEGEVKDLKTFETLGSRIINHRPGWKGREPIQNSLIDLPYYAEWAKFIVNNYSNELSEAELMGGIVASVGRYDFDMNFYKALVELWCPDTNTFHFLHGEVGISLWDIKELGGLPITGDIYDEVIPLNDTICRRYDPELSI >DRNTG_33026.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17149705:17153512:1 gene:DRNTG_33026 transcript:DRNTG_33026.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQLPCIVQALPAASNKLTPTKQGTTSRKGDGTPAKQGTTSRKDTGAPTKQGTTSRKDDRAHTKEGTTSRKDNGAPTKQGTTSRKDDGAPPKWELAIIQAPPSCRYTRSQAAPDWTQQEMLILVNEMAGLEEDWLKSVSSFQRWKIVSDNCAVSDVIRSSNQCKRKWELLLADYKKIRKWESHTRGSSYWSLDGKRRKSFGLPAVFDNQVFDSMDAVIKAQEDQMGLSKSDSEGHIATAGVEQQMDVDTDSGSEGETWSKTDEKSTDKAQETASRLEDNAMRIHAILKGEIEGISDTSETELARRQAVELIGAFGDLTGTINEFIDLIKAGEFEGIRACKSLTP >DRNTG_33026.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17149705:17153512:1 gene:DRNTG_33026 transcript:DRNTG_33026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTEQPCIVQALPAINAAGMGTSSKQNNFKIVHYRRHASRMESQLPCIVQALPAASNKLTPTKQGTTSRKGDGTPAKQGTTSRKDTGAPTKQGTTSRKDDRAHTKEGTTSRKDNGAPTKQGTTSRKDDGAPPKWELAIIQAPPSCRYTRSQAAPDWTQQEMLILVNEMAGLEEDWLKSVSSFQRWKIVSDNCAVSDVIRSSNQCKRKWELLLADYKKIRKWESHTRGSSYWSLDGKRRKSFGLPAVFDNQVFDSMDAVIKAQEDQMGLSKSDSEGHIATAGVEQQMDVDTDSGSEGETWSKTDEKSTDKAQETASRLEDNAMRIHAILKGEIEGISDTSETELARRQAVELIGAFGDLTGTINEFIDLIKAGEFEGIRACKSLTP >DRNTG_33026.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17149705:17153512:1 gene:DRNTG_33026 transcript:DRNTG_33026.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYHVSDRRHASRMESQLPCIVQALPAASNKLTPTKQGTTSRKGDGTPAKQGTTSRKDTGAPTKQGTTSRKDDRAHTKEGTTSRKDNGAPTKQGTTSRKDDGAPPKWELAIIQAPPSCRYTRSQAAPDWTQQEMLILVNEMAGLEEDWLKSVSSFQRWKIVSDNCAVSDVIRSSNQCKRKWELLLADYKKIRKWESHTRGSSYWSLDGKRRKSFGLPAVFDNQVFDSMDAVIKAQEDQMGLSKSDSEGHIATAGVEQQMDVDTDSGSEGETWSKTDEKSTDKAQETASRLEDNAMRIHAILKGEIEGISDTSETELARRQAVELIGAFGDLTGTINEFIDLIKAGEFEGIRACKSLTP >DRNTG_16622.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31412723:31415806:-1 gene:DRNTG_16622 transcript:DRNTG_16622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFSNKIAREDLKPGDHIYSWRTAYIYAHHGIYVGDGMVIHFTRAAGQEIGTGTILDRIIFSCSPSNVGASCEICGDQSMKQGVISSCVDCFLAGGDLYIFHYSVSPIFFIAKARGGTCTLAASDPTSDALHRAKYLLNNGFGMYSLFKNNCEDFAIYCKTGLLLVTSFSVGRSGQLTSLAAAVSAVAASPLRFMTMSAGGLTMVAGGMYCIGRYVSDIGVRRDVVKIPVERLVAESTPHEPETAPPAQANH >DRNTG_11501.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29542408:29543059:-1 gene:DRNTG_11501 transcript:DRNTG_11501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRLILVFLSATLAGYVAWRSIGSAPTISDNVPENEDNADAVGAKNGRRVGLRKLLIRRPEMKSGSCG >DRNTG_09985.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22052831:22054993:-1 gene:DRNTG_09985 transcript:DRNTG_09985.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKSLAVLKRQLIRKKMVNCAKESIRQKASSTEIFGKMEEIFIEMDERRTPTITVDKELKDLKDAVMRNEDNGMELNGPFDPEKHIDAHLPVRVNEQRVSNLLQSLLVGACVGAMPFIKKIPTSVLWGYFAYMAIDSLPGNQFWERLLLLFITPRRRFKVLEGPHASFVETVPFKATAAFTIFQFVYLLLCFGVTWIPIAGILFPLPFFLLIIIRQHVLPKFFRRHHLSELDAAEYEEFCATPRRNGSFSFHDCEESETGSGNDSVEVCDAEILDALTTSRGEFKRRSVSFNDRSLQVHPEQVPQK >DRNTG_09985.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22052831:22054993:-1 gene:DRNTG_09985 transcript:DRNTG_09985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGLYFFDHSVASQMAQQKEFNLRNPPSYHYDILVLGLMVLICGLLGIPPSNGVLPQSPMHTKSLAVLKRQLIRKKMVNCAKESIRQKASSTEIFGKMEEIFIEMDERRTPTITVDKELKDLKDAVMRNEDNGMELNGPFDPEKHIDAHLPVRVNEQRVSNLLQSLLVGACVGAMPFIKKIPTSVLWGYFAYMAIDSLPGNQFWERLLLLFITPRRRFKVLEGPHASFVETVPFKATAAFTIFQFVYLLLCFGVTWIPIAGILFPLPFFLLIIIRQHVLPKFFRRHHLSELDAAEYEEFCATPRRNGSFSFHDCEESETGSGNDSVEVCDAEILDALTTSRGEFKRRSVSFNDRSLQVHPEQVPQK >DRNTG_02240.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1092774:1093697:-1 gene:DRNTG_02240 transcript:DRNTG_02240.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNNGKSPKLDLKLNLSPPPLRGNTSRAVRDGENSPNGSTSSTSPPSSCVSSCSNSPEATSMVLAGCPRCLMYVMLSEEDPRCPKCKNPVLLDFLQGNSSNNTTKKNRKS >DRNTG_02240.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1092655:1093589:-1 gene:DRNTG_02240 transcript:DRNTG_02240.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNNGKSPKLDLKLNLSPPPLRGNTSRAVRDGENSPNGSTSSTSPPSSCVSSCSNSPEATSMVLAGCPRCLMYVMLSEEDPRCPKCKNPVLLDFLQGNSSNNTTKKNRKS >DRNTG_02240.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1092655:1093634:-1 gene:DRNTG_02240 transcript:DRNTG_02240.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNNGKSPKLDLKLNLSPPPLRGNTSRAVRDGENSPNGSTSSTSPPSSCVSSCSNSPEATSMVLAGCPRCLMYVMLSEEDPRCPKCKNPVLLDFLQGNSSNNTTKKNRKS >DRNTG_02240.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1092655:1093697:-1 gene:DRNTG_02240 transcript:DRNTG_02240.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNNGKSPKLDLKLNLSPPPLRGNTSRAVRDGENSPNGSTSSTSPPSSCVSSCSNSPEATSMVLAGCPRCLMYVMLSEEDPRCPKCKNPVLLDFLQGNSSNNTTKKNRKS >DRNTG_02240.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1092774:1093634:-1 gene:DRNTG_02240 transcript:DRNTG_02240.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNNGKSPKLDLKLNLSPPPLRGNTSRAVRDGENSPNGSTSSTSPPSSCVSSCSNSPEATSMVLAGCPRCLMYVMLSEEDPRCPKCKNPVLLDFLQGNSSNNTTKKNRKS >DRNTG_02240.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1092774:1093589:-1 gene:DRNTG_02240 transcript:DRNTG_02240.11 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNNGKSPKLDLKLNLSPPPLRGNTSRAVRDGENSPNGSTSSTSPPSSCVSSCSNSPEATSMVLAGCPRCLMYVMLSEEDPRCPKCKNPVLLDFLQGNSSNNTTKKNRKS >DRNTG_02240.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1092655:1094029:-1 gene:DRNTG_02240 transcript:DRNTG_02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNNGKSPKLDLKLNLSPPPLRGNTSRAVRDGENSPNGSTSSTSPPSSCVSSCSNSPEATSMVLAGCPRCLMYVMLSEEDPRCPKCKNPVLLDFLQGNSSNNTTKKNRKS >DRNTG_02240.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1092491:1093634:-1 gene:DRNTG_02240 transcript:DRNTG_02240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNNGKSPKLDLKLNLSPPPLRGNTSRAVRDGENSPNGSTSSTSPPSSCVSSCSNSPEATSMVLAGCPRCLMYVMLSEEDPRCPKCKNPVLLDFLQGNSSNNTTKKNRKS >DRNTG_02240.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1092384:1093634:-1 gene:DRNTG_02240 transcript:DRNTG_02240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNNGKSPKLDLKLNLSPPPLRGNTSRAVRDGENSPNGSTSSTSPPSSCVSSCSNSPEATSMVLAGCPRCLMYVMLSEEDPRCPKCKNPVLLDFLQGNSSNNTTKKNRKS >DRNTG_02240.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1092491:1093589:-1 gene:DRNTG_02240 transcript:DRNTG_02240.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNNGKSPKLDLKLNLSPPPLRGNTSRAVRDGENSPNGSTSSTSPPSSCVSSCSNSPEATSMVLAGCPRCLMYVMLSEEDPRCPKCKNPVLLDFLQGNSSNNTTKKNRKS >DRNTG_02240.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1092384:1093589:-1 gene:DRNTG_02240 transcript:DRNTG_02240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNNGKSPKLDLKLNLSPPPLRGNTSRAVRDGENSPNGSTSSTSPPSSCVSSCSNSPEATSMVLAGCPRCLMYVMLSEEDPRCPKCKNPVLLDFLQGNSSNNTTKKNRKS >DRNTG_11670.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:242707:243072:-1 gene:DRNTG_11670 transcript:DRNTG_11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding RHRAVVQNYYFLCESEYRACTVVETSARDGRENLGRRNGSDCDPDSEGRGR >DRNTG_02911.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23620088:23621945:1 gene:DRNTG_02911 transcript:DRNTG_02911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHSEESKVDQLVEKIKGELHGDSSSSDSDHEKSESSSSAIKAKIYHLFGREQPVHRVFGGGKAADVFLWRDKKATSMVLGGATAIWVLFELMEYHLLTLVCHGVILTLAILFLYSNASTFINKSPPRIPEVSISEDMAVKIARSLRYEINMALAVLREIALGRDLKKFLGAIAGLWLVSVFGGCCNFLTLFYIVFVLMHTLPFLYEKYEDKVDAFAEKAMIEIKKQYAVFDAKVLSKIPRGPLKDKKH >DRNTG_28877.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001453.1:46348:50598:1 gene:DRNTG_28877 transcript:DRNTG_28877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALGWWLMLVGSLRLASVWFGFFNIWALRLAVFSKTEMTDVHGRTFGVWTLLTLWMLLEWNTHKPRAISKLE >DRNTG_20786.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4213058:4220630:1 gene:DRNTG_20786 transcript:DRNTG_20786.1 gene_biotype:protein_coding transcript_biotype:protein_coding KDEATNPQNAPAQTQSRGEGGFWEGCCAALCCCCLLDMCT >DRNTG_20786.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4219789:4220630:1 gene:DRNTG_20786 transcript:DRNTG_20786.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQHQAPPPSYPPPGQAYQAPTEGYPTYAAPPPAGYPTKDEATNPQNAPAQTQSRGEGGFWEGCCAALCCCCLLDMCT >DRNTG_34784.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:19634708:19635243:1 gene:DRNTG_34784 transcript:DRNTG_34784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTISRLALSTLFNLEILTLMETSLSPRSHSKLGSPVASLMLWTEEESIKVGDEAPPKPQRPPFQILAISPLKSHTKDEKE >DRNTG_29992.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6567937:6571036:1 gene:DRNTG_29992 transcript:DRNTG_29992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGILEQQPKPQLASPLDSTTVMKPITQQPNTELIKEDHQPFLSSDSTVMKQKSPQQKMELIKGERHLFSSSDDSTVMKQITATHAPDGREVDVMPILHIVEDIMQRATPSLILMPQTQLELVEQTTHRAAVVSMLEAVAYTVHRMSSEINYKCSIGGDAHATTLALLQSLSSYTWDAKLVLVLAAFTMRYGEFWLTTQLHTVNPLAKPLAQLKQLPNILEQTNILKPRFDAINNLIKAMLDVAKCIVEFRELPSEYISYDAPDMAMALAHIPIAVYWTIRGAIACTSQIVGLIGLGREYISSTTEAWELSSLAHKINNIYGHLIKQLTTCQQQIGEKKHMEAYQTLVRLFETIHLDNMKILRALMHSKDDLPIMDGVAKKRVSVEVLRRKIVMLFISDLDITHEELFVLIQIYNDTHQGRVERHYDIVWLPIIDRHVPWLHAREESFNSLTSSMPWYSLVHPSLLDKAVVQYIRNVWHFNKKPMLVVLDPQGKVVCPNAMHMMWIWGSIAYPFTSNREEALWKEEIWRLELLVDEIDPTILQWVTEGRHVCLYGGDNIDWIRRFTTTMRRITQEAKIPLEMVYVGRSNPKEKVKKAMSVIADEKLSGYWQELAMIWFFWIRLESMWYSKMQHGRTVEDDHIMQEVMQMLSFDSSEEGWAIISRGSVEVLKSQGKKLLDCLMKYDSWKGNVEQVGFIPALEIALLPYQTHEHCSKLILPGDTGMIGEKVACAECKKPMEKYVLYRCCTD >DRNTG_18608.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22270715:22271051:1 gene:DRNTG_18608 transcript:DRNTG_18608.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYLRHELLTGDFTSNLKLLQHYPQVDLERLLNLAHQITPIHVGRRI >DRNTG_18608.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22269505:22271051:1 gene:DRNTG_18608 transcript:DRNTG_18608.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFSGDSSLGKSNQKAMKDILFLFAKLNPAIGYVQGMNEVLAPLYFVCRTDFDEQNAPNVEADCFACFVRLMFDSVNHFCQQLDNSSMGIHSTLHQLSELLRTNDQELWQHLMITCKLNPQFYAFRWITLLLTQEFDFDCIIRLWDSLLSNPLGVQELLLRVCCAMLLYLRHELLTGDFTSNLKLLQHYPQVDLERLLNLAHQITPIHVGRRI >DRNTG_18608.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22262106:22271051:1 gene:DRNTG_18608 transcript:DRNTG_18608.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEQQVGLLCRHEISNGDHPLNMGKTSIWCRYFKDAEILEQIDRDLPRTHPDMKFFSGDSSLGKSNQKAMKDILFLFAKLNPAIGYVQGMNEVLAPLYFVCRTDFDEQNAPNVEADCFACFVRLMFDSVNHFCQQLDNSSMGIHSTLHQLSELLRTNDQELWQHLMITCKLNPQFYAFRWITLLLTQEFDFDCIIRLWDSLLSNPLGVQELLLRVCCAMLLYLRHELLTGDFTSNLKLLQHYPQVDLERLLNLAHQITPIHVGRRI >DRNTG_18608.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22262106:22269429:1 gene:DRNTG_18608 transcript:DRNTG_18608.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEGKFKHSVPDPTGEELETFQTVRIRLERTHVEAGDEHEKESMVVIHRKIGKEVHEEMKRELECEISERVVNLEALQKIAGSGQLDGEFRALVWKLLLGYLPPEKDDWERELAANRSRYAELKKELLINPSESCREEDEKMSSSEQQVGLLCRHEISNGDHPLNMGKTSIWCRYFK >DRNTG_13613.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6195907:6199784:1 gene:DRNTG_13613 transcript:DRNTG_13613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFHYKLHYELNTHSRSTEDEIKYGQLLKQVFAALTKCRLNNIRRLLHINSTNERMPATKPPKPPGRCITSNGLANRRLQLGSKMC >DRNTG_23192.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001261.1:47373:50590:-1 gene:DRNTG_23192 transcript:DRNTG_23192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLLPSSAIAPSAMASAIFLFILFFFFASSTFVSATGDNYCAPSSCGNLTNIRHPFRLKDDPPNCGDSNYELTCDGLNRTILTVSSNHSYYVTNITYRDYSFDYLSVYFEIQVMYVGMEKYNINNNSSCSHLIPLPASPLTPSNYLLSTSYNYPRSNRIHYMINPFEYWVTLVNCSKEVKNKSMHHYYDIYNHKHYYYYSPVACLSHDNNSFIYLFPSKSVRDLMPSCRFLAMYPAKYPIFHDQQPIDIFKFLAQGLTLSGFIEPTKAFRINNIPHCLTKSIRETYQEATGKSNSISVRIALILWGIEFKFLDCMGISNDDMSMKRYHLVPSTRIMLGILLSIARAGIVFAVSGRCIFAPLIIFTFLSHKLYQMMSSIDIVEKFLRNQQTLIPTRYSYTDIIAMTSHFKEKLGQGGFGSVFKGRLPFDKLVAIKMLTNSKHNTGEDFINEVSTIGMVHHINVVKLIGFCSDGTQRALIYEYMANGSLDKFIFSSNNGPNHKFSLDKLIDIALGVARGLDYLHKGCDMQILHFDIKPHNILLDHNFNPKVSDFGLAKLYPKNNSLVSLGVARGTIGYIAPELISRSFGVISHKCDVYSFGMLLLEMTGGRRNSNPRADNTSQVYYPSWIYDKLVNAIVDHDMVKMDTSFVIDEREKKLCIIGLWCIQIRPSDRPSMNKVIEMLEGDIGSLQMPPKPFFSEPTQIFSMVSCLSTDDGELTTISEDANEIN >DRNTG_16062.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25700420:25704939:1 gene:DRNTG_16062 transcript:DRNTG_16062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAALRFSSRSAPTSLPMLRSGFPMKARILAPMPLRSRFPRIYAFSSNDIKVGSNIEVDGAPWRVLEFLHVKPGKGAAFVRTKVRNYITGNTVDKTFRAGSTIAEANVIKETKQYTYKDGSQYVFMDLASYEESRLNEAEVGDKKKWLKEGMDCTLLFWNGREDQNQLH >DRNTG_16345.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21305485:21306184:1 gene:DRNTG_16345 transcript:DRNTG_16345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRITARIVWVLSNFIVFLAMTAFTILSVISMNEHHITEPNHNIRIIALVIFTILGFPSAILYSVPFACSTAQLAKNEGGGQGLCTGVLNISIVIPQVIIALGAGPWDAIFGKGNTPAFADSAAFAFVCAFIVFFMIF >DRNTG_08250.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:176329:178407:-1 gene:DRNTG_08250 transcript:DRNTG_08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIKKKMIDLEEKNQSLMQQNKNMMRQMRREWKHMRMMM >DRNTG_01469.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13317113:13319914:1 gene:DRNTG_01469 transcript:DRNTG_01469.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLKSAMRQRPSTVNPSEIQSAEKPTAKSSIRGNCKYFGRILLAFAFIFLLGGTLTVFLEKLPELISFVSSAM >DRNTG_01469.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13317113:13319914:1 gene:DRNTG_01469 transcript:DRNTG_01469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLKSAMRQRPSTVNPSEIQSAEKPTAKSSIRGNCKYFGRILLAFAFIFLLGGTLTVFLEKLPELISFVSSAM >DRNTG_22661.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20486838:20490878:-1 gene:DRNTG_22661 transcript:DRNTG_22661.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSSSKHSYGSMEVERSKMQEEPQLSGAYIRSLVKQLSSSRTKEPMNPRSPNTVLGDELSQDPTKLAESPGEPQLPQPSVPPQPKKQVRRRLHTSRPYQERLLNMAEARREIVTALKFHRASMKQAAEQQQQQQQQQQHTTPPLPPPPPPPPPPQPSLPPLGETTPRVCHTNSMLPNYFQSPPLQPHTYPSPYSWPYSPITPLPISDNLNLLLPNQPLGLNLSFQAFSDIESPFYSNKPSIYSPSSPSSSSSSYCSPSPPMTLPGLQVPTVLNGPPPSSEVALNPPSSVLHPVMDDNEIAEIQSLSQQHDMEWNDTMNLMTSAWWCKFLNNMELDSHKGVGGDGDGFQVFEEVMDIPAWLSDGSGGNASDSSFLPQHFDDYYLDNYSRDTSLPCLDIGEIEGLDGDWLS >DRNTG_30385.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001569.1:21778:25000:-1 gene:DRNTG_30385 transcript:DRNTG_30385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLLNTKNKIPIEPVVVLNHFVAPGVAEPSTMGGAKEGSLEKRIRSRIAFFVESSTSEKKCLAQAKKRLIHFIRLANDLRFAGTTKTTISLFPFFGATFFFPRDGLGVYNNLFLEYARELVLGQLKIKCWNLMGKDKVMELIEKFIDLGGIGELIKGIEMMIKIILRNRRIPYGYNSYFNEVQKMRSFLSNRTKTNTLIESVKIKSVYQSASLIAQDISFQPRNNQISFRSIFSKIVKDIPLIMPKGVEGIRICCSGRLGGAEIARTECGKYGKTSRNVFNQKIDYAPAEVSTRYGISGVKVRISYSQNKKGRAISETYQI >DRNTG_28779.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21645840:21647035:1 gene:DRNTG_28779 transcript:DRNTG_28779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMESNSSNTHKSEFGQQRASTTSLEPRRYQHHIQYNAYPSSGRQA >DRNTG_25321.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20416669:20419155:1 gene:DRNTG_25321 transcript:DRNTG_25321.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYRGAAAAIIVYDITSTESFERAKKWVLELQKQGNPNMVTALAGNKVDLQDKRKVTTEATRSYADENGLFFMETSAKTTVNVNDIFVEIARRLPRAQPAQNPSGMVLANRPAEQVQTSACCS >DRNTG_27351.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17561630:17566137:1 gene:DRNTG_27351 transcript:DRNTG_27351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSSSNRRRTGYYHQNPPSVFSPSSHPPLPPPPPATPPPPPPPPPPPPAPAPASYPYCAPQPASSYGPPIRYYNPFIGRSGYPAYLPGAQGTSWWPSGSASGPSSPWIMPPPQPPPPPYVEHQKAKTIKNDVNLHKDTIQLEPDVHSPDHQLVSFTYDSLVDGSVTIFYFAKEGADCTFSPIYPDIYMPVNVPFQKAVHQKFCQPSGSGIDLGFFESDDLSKPSSDDVFPLVIYAEASPQPPPEETSHSKPASRAQITLAVIERKADGAFLVKVVKQILWIDGQRYELQEIFGIGSSVETGIDGNDDENDDDIGKECVICLSEPRDTAVLPCRHMCMCSECAKALRLQSNKCPICRQPVEKLMEIKVKRTFEQ >DRNTG_03319.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15386114:15395809:1 gene:DRNTG_03319 transcript:DRNTG_03319.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIHVSDRKGNRIKGASILVQQHSKDFPFGSAIANTILGNSVYQKWYIKRFNATVFENELKWYSTEPEQGKHNYTLADQLLKFVRSNRIVARGHNIFWENPIYNPKWVLNLTNQELKSAVESRIQSLMSRYKGEFVHWDVDNERLHFDFYEQRLGSKASLSFFQTAQKEDPLATLFLNDYNVVETCDDVNSSVDSYILRLRELMQGGAILEGIGLEGHFTRPNNPFMRAVLDKLATLNLPIWLTELDISKKMDQQTQAIYLEEVLREGFSHPSISGIMLWTPLHPFGCYQMCLTDNNFKNLPTGDVVDKLLHEWQTSQTGGVTNEHGLYNFNGFLGKYKMSVSFGNKSKITTFCLDQGQETKHINIQLY >DRNTG_34785.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:19624701:19627133:1 gene:DRNTG_34785 transcript:DRNTG_34785.1 gene_biotype:protein_coding transcript_biotype:protein_coding STTTLGWGARLLSCFGALLFLAFFFPGGLEEGGFAHAGRAGEGAPTSAPSRNLSAGSIPAKQSRGTPVGRGKERSGEEAG >DRNTG_06547.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22711104:22712066:1 gene:DRNTG_06547 transcript:DRNTG_06547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGGFFNGKDKDGKEGSKSSASGSSWHFFKNL >DRNTG_34158.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5384122:5384523:1 gene:DRNTG_34158 transcript:DRNTG_34158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDHMGQFLISIAASYGGLYSALEVEATALKKVLSWLKTQEFTNVIIETDAQAIVQCLANMVKDITLVGLLILECHNILSQCPHFTLSFVRRQANVVSHALTKLSYMYATPCIWEEPPVTIVGALSHDISSMQ >DRNTG_23851.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001280.1:46624:50162:1 gene:DRNTG_23851 transcript:DRNTG_23851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPIKKQEFTAEELLQAQAQVFHHVYAQIGAMSLNCAIELDIPGIISKHGGEPMPLSNLISSLPISAKKAAFLPRLMRILTHMGYFVQETASDKKEVAYTITPLSKVLLKDSPTSLSSWVMGMLHPYSRVSWHRLSDWFLEERYETPCELEHGKSLWDLASEIPVADEGGGDKMRGGVPWNEDTGGCRWRDWDIGKDYC >DRNTG_23985.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30926609:30927312:1 gene:DRNTG_23985 transcript:DRNTG_23985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESELCCICFDQVCTIEVQDCGHQMCAHCTLALCCHNKPNPSSLCMSTPVCPFCRSNIARLVVVKTKAEDDSEKDAPSKIRKLRRSCNHSEGSSSFKGLTAFGSFGMMSGRGSGRRASTSEIMDKP >DRNTG_12033.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:68292:70731:1 gene:DRNTG_12033 transcript:DRNTG_12033.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVANMQLEELKRQITEKDSLIKSSSSQLSNAQIKLAEKQAALEKFELEAKASNAKVEELQADLDCMDFEIRAHMQLFEELSKCDFDADSDGITTFHRVDHLPHMDDLDIDEDTIEKIEEARLAYAAALALPIYTLTTNFDGGNE >DRNTG_12033.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:66996:70731:1 gene:DRNTG_12033 transcript:DRNTG_12033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVANMQLEELKRQITEKDSLIKSSSSQLSNAQIKLAEKQAALEKFELEAKASNAKVEELQADLDCMDFEIRAHMQLFEELSKCDFDADSDGITTFHRVDHLPHMDDLDIDEDTIEKIEEARLAYAAALALPIYTLTTNFDGGNE >DRNTG_31431.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:672985:675654:-1 gene:DRNTG_31431 transcript:DRNTG_31431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSKSAAKAAHAHQQNGHALPSKFAKLFDPEASWDKDQLGDVLHWIRQVLGLVCGLLWGAVPLVGAVWIVLFLLLSSVVIYGYYGHILKIDEEEFGGHAALLQEGLFASFSLFLLAWILVYSLGHF >DRNTG_04995.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4289091:4289734:-1 gene:DRNTG_04995 transcript:DRNTG_04995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAMEIRSAMDGDEKLRRRTRRRRYVQATNLSTILQAQDDKKLQPRTKTEEKSRDGEKAYSFRLADEFGDGLQSLGEDGGGIDPLPTHIRREIQAQYGDEEQLRTEMRSSRRKRRRYVQEGAQLGNFFNPRREKIDDMVAT >DRNTG_15306.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4762202:4766992:1 gene:DRNTG_15306 transcript:DRNTG_15306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGKYELGKTLGEGSFGKVKFARNVETGNPVAIKILNKNEVLRHKMVEHIKREISTMKLIKHPNVVQLYEVMASKTKIYIVLEYVDGGELFDKIVNHGRLKEEEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSHGVLKVSDFGLSTFVPQLRGDGLLHTACGTPNYVAPEVLNDGGYDGAAADVWSCGVILFVLLAGYLPFDEPNIASLYKKISRADFFIPSHFSSSTKKLIKRILDPNPLTRLTIPQIIESEWFKVGYKPPDFEHGEQVSLDDVDAVFNDSEEHHVTEKKERPESMNAFALISRSQGFNLGNLFEKQMGLVKRETRFTSKCSPNEIISKIEETAKPLGFNVRKRNYKMRLQGDKTGRKGHLSVATEVFEVAPSLHVVELRKTGGDTLEFHNFYKSFSSGLKDIVWKSEPITEEKS >DRNTG_09097.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6297841:6301214:-1 gene:DRNTG_09097 transcript:DRNTG_09097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLLSSPSSADYAPSTPSYIYPICLARFQPTVLHLHRMKGGMTLIQDILRVSVTHLQTLIPSVRRDPLLEIKERFVEMLKRDLELLAKRNSKQPMQ >DRNTG_22734.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31585780:31586449:1 gene:DRNTG_22734 transcript:DRNTG_22734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMTKLFATSPSIAAFPSHPPAQARAIGSPLFKRVVAVSAVGDVSSDSTTYLIAGAAAVALIGTAFPILFSRKDICPECDGAGFIRKAGATLRANAARKDQSQIVCPNCNGLGKLGQIDK >DRNTG_11080.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30813838:30830164:1 gene:DRNTG_11080 transcript:DRNTG_11080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHWDFTVNPCNGTSGWFDQTNPAKPIGVMCSGCDIGNCHVINIILPDQNLTGSLPDEFSNFTSLQVIDLSLNYLNGTIPFAWASLPLINLNLWGNRITGQIPDQLGEIATLQFINLASNLLEGSLPETLGNLSRLGTVELRSNNFTNSLPKSLGNLKSMVNFLISGNPISGEIPGFIGNWTELQILHIQGTFLEGPFPPIFSTLGKIRFLEVSNLKGDDGKFPALQNMTNLQPLVLRNMSI >DRNTG_11080.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30811565:30830164:1 gene:DRNTG_11080 transcript:DRNTG_11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSHGLVPLFLFLCVLVWSCSETLHCQAQTLPQSEVDALKKIGARWRMHWDFTVNPCNGTSGWFDQTNPAKPIGVMCSGCDIGNCHVINIILPDQNLTGSLPDEFSNFTSLQVIDLSLNYLNGTIPFAWASLPLINLNLWGNRITGQIPDQLGEIATLQFINLASNLLEGSLPETLGNLSRLGTVELRSNNFTNSLPKSLGNLKSMVNFLISGNPISGEIPGFIGNWTELQILHIQGTFLEGPFPPIFSTLGKIRFLEVSNLKGDDGKFPALQNMTNLQPLVLRNMSI >DRNTG_20053.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26234413:26235795:1 gene:DRNTG_20053 transcript:DRNTG_20053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQDTNLNSTSKDGGGYMRSANSIICYAPTMITTNGIWMGAQPLEYSLPLFIFQLVIVVLTTRALVTILRPFRQPRVLAEILAGVVLGPTVFGRFPGFGETVFPMKSLLTLETAAHIGLLYFLFLVGVEMDVSVIRRMGRKALVIAIAGMIVPFAIGTSTSFIFRKQISNGLQQGPFLVFLGVALSMTAFPVLARILAEIKLLNTELGRIAMSAAIVNDIFAWVLLALAIALAETERMAYASFLVLLSGAVFVFFCFYFVRPLMWWIIRRIPEGESVDDFYVCSILIGVMLAGLVTDAIGIHAAFGAFVFGLVIPNGPLGATLIEKLEDFVTGLLLPLFFVISGLRTNLNQIQDPVALGLLVLVFLLASMGKVMGTIAVALFYSMPLREGLSLGFLMNTRGLVEMIILNIGRDKKVIFFSFYKIKTFSF >DRNTG_10476.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:13053764:13054169:1 gene:DRNTG_10476 transcript:DRNTG_10476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPQNPREDPRGDGDREENSTRRGIGNGESPSPPLTGTGTGTGRGIPAPAPPRYHP >DRNTG_31414.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001699.1:99818:103480:-1 gene:DRNTG_31414 transcript:DRNTG_31414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKGKKAAGRGEEMAALYAFGPREDDAIIKHRLLTRTTTTRGEPPLKKLQKKFTSFALEIEKDADNISECERLYKTFLQEMATFEVPLLKSKAVVEANIREKESFNELQGDIQRQILQAQTDIEDLKKQLEESKIERQHKEECEAIRRLIALQPPRSATQKIISDLEKEIAMLEAENAAGIRTLDLRKKQFSLLLHVVDELQSTIEDDQKNFADELKIVMEEQRFNIEDVSVVSEAMAVD >DRNTG_10000.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21637235:21638677:-1 gene:DRNTG_10000 transcript:DRNTG_10000.2 gene_biotype:protein_coding transcript_biotype:protein_coding KQALKLVVFWSGSSESTPFELKFYNKLGTWQAEPGVVGQAVITAVKAGYRHIDCAQTYGNENEIGLALKKLFDDGVVKREVLFITSKLRLQVW >DRNTG_10000.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21637235:21638677:-1 gene:DRNTG_10000 transcript:DRNTG_10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVFATYFEALKLVVFWSGSSESTPFELKFYNKLGTWQAEPGVVGQAVITAVKAGYRHIDCAQTYGNENEIGLALKKLFDDGVVKREVLFITSKLRCGDHDPEDVPEAPEATLKDLRLDYLDLYLV >DRNTG_30146.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3288928:3293462:-1 gene:DRNTG_30146 transcript:DRNTG_30146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKENGDVLDPKQSQVKYTGWKAMPYVIGNEAFEKLGTIGTLSNLLVYLTTIYHFKSVSAALILNVFSGTTNLAPVLGAFICDTYLGRFTILGIASIASLIGMTILTLTAGIKNFHPRQCTQGQPCESAVLSQLAILFSGFTFLVIGAGGIRPCNLAFGADQFDPKTESGKRGINSFFNWYYFTFTIAVMISSTVIIYIQSEISWTLGLAIPAVLMFTSVCFFFMGSRIYVKVRPEGSPFTSVAQVLVASFKKRKLVVPFDPSSLFNPPHKSLLNSKLPHSDQFRFFDKAAIIISTDEIKANGTSSNPWKLCTLQQVEEVKCIARIIPVWSTGIIYYTAVVQQSTYVVYQAMQSDRHFFGKNFEIPAASFSVFTMFAVTIWIPIYDRLIIPCFRRITSQEGGITLLQRMGVGLILGITAMLVSGLVEQMRRSHTSIDHALPRTSNGYIISSMSSFWLIPQLVILGLSEAFNAIGQIEFYYKQFPENMRSVAGSLFFLSMALSNYLSGFIILMVHRMTGKRKW >DRNTG_22358.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20795390:20799702:-1 gene:DRNTG_22358 transcript:DRNTG_22358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVLAAMAAQAILYDPPILPFLPSARPFHYSSLPAHPLPLSPSSLNLTAVAAPNPVAVVAETKKAVAVLKGSSNVEGIVNLLQEPNGQTTVKVRVTGLTPGFHGFHLHEYGDTTNGCISTGPHFNPNKMTHGSPKDKIRHAGDLGNIVANKQGIAETTIVDSQIPLGGLNSVIGRAFVVHELEDDLGKGGQELSLTTGNAGGRLACGIVGLTP >DRNTG_07166.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31700334:31702209:1 gene:DRNTG_07166 transcript:DRNTG_07166.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI-1-like 1 [Source:Projected from Arabidopsis thaliana (AT2G46225) UniProtKB/TrEMBL;Acc:B3H5V3] MQQQLQQENPTSMSLNDVSMECNKSFVNALQELKSLRPQLYSAAEYCEKSYVHNEEKQLVLDNLKDYVVRALVNSVDHLGTVANKFTDLYEQQRVDALTLELNVSCLNQRILTCQTYTDVEGLRKQQTLSSIIRHQKHYILPETLSKKAQKSPNQQTNAAQTGAPTQSTGDPDAHLPASEDYRLPDREKAASASQSSIRSYPKSATLIPRSNVVSQRFDVKNPPGVLKPLTPFRSFDKSRGFELHRRPLHSKSMLVSLFSKTKSSKQKKLK >DRNTG_07166.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31700334:31702209:1 gene:DRNTG_07166 transcript:DRNTG_07166.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI-1-like 1 [Source:Projected from Arabidopsis thaliana (AT2G46225) UniProtKB/TrEMBL;Acc:B3H5V3] MSLNDVSMECNKSFVNALQELKSLRPQLYSAAEYCEKSYVHNEEKQLVLDNLKDYVVRALVNSVDHLGTVANKFTDLYEQQRVDALTLELNVSCLNQRILTCQTYTDVEGLRKQQTLSSIIRHQKHYILPETLSKKAQKSPNQQTNAAQTGAPTQSTGDPDAHLPASEDYRLPDREKAASASQSSIRSYPKSATLIPRSNVVSQRFDVKNPPGVLKPLTPFRSFDKSRGFELHRRPLHSKSMLVSLFSKTKSSKQKKLK >DRNTG_02214.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1223286:1225704:-1 gene:DRNTG_02214 transcript:DRNTG_02214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQEKVKKSLEAAGEEIVSTATLNSLPPRFYDAFIVKGLRIDAIEHGRVLCSMTVPPRLLNTGNFLHGGATASLVDIVGSAAFYSVGVVSSGVSLEISISYLDSAFVNEEIEIEAKVLRAGKAVGVSTVEIRKKKTGKLIAQGRHTKYLAASSKL >DRNTG_19081.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000979.1:2594:6122:-1 gene:DRNTG_19081 transcript:DRNTG_19081.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVGAVRCQRIGCDAFFTEEDNADGSCRYHDSVPIFHDGMKEWSCCKQRSHDFSLFLAIPGCKTGKHTTEKPVLNRQSPSPPKPIAPVSLTKKNNDTCSRCRQGFFCSDHGSQTKPSKPLLLPNSKDDSEKDKPAPVKKKIDLNEIQTCRNKGCGKTFKEKDNHETACEYHPGPPVFHDRIRGWKCCDMHVKEFDEFMNIPPCTKGWHNADPS >DRNTG_19081.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000979.1:2594:6122:-1 gene:DRNTG_19081 transcript:DRNTG_19081.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVGAVRCQRIGCDAFFTEEDNADGSCRYHDSGPIFHDGMKEWSCCKQRSHDFSLFLAIPGCKTGKHTTEKPVLNRQSPSPPKPIAPVSLTKKNNDTCSRCRQGFFCSDHGSQTKPSKPLLLPNSKDDSEKDKPAPVKKKIDLNEIQTCRNKGCGKTFKEKDNHETACEYHPGPPVFHDRIRGWKCCDMHVKEFDEFMNIPPCTKGWHNADPS >DRNTG_19081.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000979.1:2594:4070:-1 gene:DRNTG_19081 transcript:DRNTG_19081.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKEWSCCKQRSHDFSLFLAIPGCKTGKHTTEKPVLNRQSPSPPKPIAPVSLTKKNNDTCSRCRQGFFCSDHGSQTKPSKPLLLPNSKDDSEKDKPAPVKKKIDLNEIQTCRNKGCGKTFKEKDNHETACEYHPGPPVFHDRIRGWKCCDMHVKEFDEFMNIPPCTKGWHNADPS >DRNTG_19081.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000979.1:5411:6122:-1 gene:DRNTG_19081 transcript:DRNTG_19081.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVGAVRCQRIGCDAFFTEEDNADGSCRYHDSVMFLSFSHSISCFPMLYFCSMASIAFAS >DRNTG_19081.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000979.1:5743:6122:-1 gene:DRNTG_19081 transcript:DRNTG_19081.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVGAVRCQRIGCDAFFTEEDNADGSCRYHDSVMFLSFSHSISCFPMLYFCSMASIAFAS >DRNTG_19081.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000979.1:2594:6122:-1 gene:DRNTG_19081 transcript:DRNTG_19081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEWSCCKQRSHDFSLFLAIPGCKTGKHTTEKPVLNRQSPSPPKPIAPVSLTKKNNDTCSRCRQGFFCSDHGSQTKPSKPLLLPNSKDDSEKDKPAPVKKKIDLNEIQTCRNKGCGKTFKEKDNHETACEYHPGPPVFHDRIRGWKCCDMHVKEFDEFMNIPPCTKGWHNADPS >DRNTG_19081.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000979.1:2594:4070:-1 gene:DRNTG_19081 transcript:DRNTG_19081.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKEWSCCKQRSHDFSLFLAIPGCKTGKHTTEKPVLNRQSPSPPKPIAPVSLTKKNNDTCSRCRQGFFCSDHGSQTKPSKPLLLPNSKDDSEKDKPAPVKKKIDLNEIQTCRNKGCGKTFKEKDNHETACEYHPGPPVFHDRIRGWKCCDMHVKEFDEFMNIPPCTKGWHNADPS >DRNTG_29362.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1551199:1553759:1 gene:DRNTG_29362 transcript:DRNTG_29362.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEFFTDFVKVAQDEGRHFSLLLARLEELGSYYGAFPAHDGLWDSAIQTSHDLLARLAVEHCVHEARGLDVLPTTISRLRNGGDECTAKLLETVIYPEEITHCAAGVKWFRYLCLRSSASCPNNALAPLVSSESPKAEEDTVKQLQISSIIGDSELVKNSQENSENLNSEDTDVHHDAVIQTFHASVRKYFRGPLKPPFNVQARKAAGFGPDWYEPLAVKQAVDVT >DRNTG_29362.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1551199:1553759:1 gene:DRNTG_29362 transcript:DRNTG_29362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPAPSPAPQPELTLVEASLQVLNTADPVEKARLGESIATKWLQGFISLPFRPHDPPPLVPDRPSRLPNVKLVPSRLMPKLGKGGNLQSRQAIVHSLVHTESWAIDLSWDIIARFGIQESMPVEFFTDFVKVAQDEGRHFSLLLARLEELGSYYGAFPAHDGLWDSAIQTSHDLLARLAVEHCVHEARGLDVLPTTISRLRNGGDECTAKLLETVIYPEEITHCAAGVKWFRYLCLRSSASCPNNALAPLVSSESPKAEEDTVKQLQISSIIGDSELVKNSQENSENLNSEDTDVHHDAVIQTFHASVRKYFRGPLKPPFNVQARKAAGFGPDWYEPLAVKQAVDVT >DRNTG_29362.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1551199:1553759:1 gene:DRNTG_29362 transcript:DRNTG_29362.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEFFTDFVKVAQDEGRHFSLLLARLEELGSYYGAFPAHDGLWDSAIQTSHDLLARLAVEHCVHEARGLDVLPTTISRLRNGGDECTAKLLETVIYPEEITHCAAGVKWFRYLCLRSSASCPNNALAPLVSSESPKAEEDTVKQLQISSIIGDSELVKNSQENSENLNSEDTDVHHDAVIQTFHASVRKYFRGPLKPPFNVQARKAAGFGPDWYEPLAVKQAVDVT >DRNTG_11046.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10508700:10510111:1 gene:DRNTG_11046 transcript:DRNTG_11046.9 gene_biotype:protein_coding transcript_biotype:protein_coding MRINGFITRSPEAIKELIERTMQLGFTCGSRMFLQGLSVVAMRRGEALEKKMEFFRKLGWSEDEAKSAFRKNPKVLSLRAENIQSKLDFLMGVVGLDLSSIAAVPLLLTYSLEKRLMPRFRVLDILNSKGLLKKECKLNTAMSLSDEEFEKKFVLPFEDLVPQLVMTRQ >DRNTG_11046.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10508537:10510333:1 gene:DRNTG_11046 transcript:DRNTG_11046.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRITLRLKFPINFYSTKPHKPQFIITDYLINSLGLSPERAARAAPRLAHLRDASRPDSVRHFLEHLGLTHSHIQTLVSWRPTLLSVDLSSTLRPNADALHSHGFSGPLLLHLVRTNPFVLTSHAVLPRLHFWKDFFRDDRASLVKAFRRNRYLVQYSIADKILPNIELLRRYGFSDRDIGIVVMRINGFITRSPEAIKELIERTMQLGFTCGSRMFLQGLSVVAMRRGEALEKKMEFFRKLGWSEDEAKSAFRKNPKVLSLRAENIQSKLDFLMGVVGLDLSSIAAVPLLLTYSLEKRLMPRFRVLDILNSKGLLKKECKLNTAMSLSDEEFEKKFVLPFEDLVPQLVMTRQ >DRNTG_11046.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10508537:10510182:1 gene:DRNTG_11046 transcript:DRNTG_11046.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRITLRLKFPINFYSTKPHKPQFIITDYLINSLGLSPERAARAAPRLAHLRDASRPDSVRHFLEHLGLTHSHIQTLVSWRPTLLSVDLSSTLRPNADALHSHGFSGPLLLHLVRTNPFVLTSHAVLPRLHFWKDFFRDDRASLVKAFRRNRYLVQYSIADKILPNIELLRRYGFSDRDIGIVVMRINGFITRSPEAIKELIERTMQLGFTCGSRMFLQGLSVVAMRRGEALEKKMEFFRKLGWSEDEAKSAFRKNPKVLSLRAENIQSKLDFLMGVVGLDLSSIAAVPLLLTYSLEKRLMPRFRVLDILNSKGLLKKECKLNTAMSLSDEEFEKKFVLPFEDLVPQLVMTRQ >DRNTG_11046.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10508537:10510111:1 gene:DRNTG_11046 transcript:DRNTG_11046.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRITLRLKFPINFYSTKPHKPQFIITDYLINSLGLSPERAARAAPRLAHLRDASRPDSVRHFLEHLGLTHSHIQTLVSWRPTLLSVDLSSTLRPNADALHSHGFSGPLLLHLVRTNPFVLTSHAVLPRLHFWKDFFRDDRASLVKAFRRNRYLVQYSIADKILPNIELLRRYGFSDRDIGIVVMRINGFITRSPEAIKELIERTMQLGFTCGSRMFLQGLSVVAMRRGEALEKKMEFFRKLGWSEDEAKSAFRKNPKVLSLRAENIQSKLDFLMGVVGLDLSSIAAVPLLLTYSLEKRLMPRFRVLDILNSKGLLKKECKLNTAMSLSDEEFEKKFVLPFEDLVPQLVMTRQ >DRNTG_11046.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10508475:10510182:1 gene:DRNTG_11046 transcript:DRNTG_11046.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRITLRLKFPINFYSTKPHKPQFIITDYLINSLGLSPERAARAAPRLAHLRDASRPDSVRHFLEHLGLTHSHIQTLVSWRPTLLSVDLSSTLRPNADALHSHGFSGPLLLHLVRTNPFVLTSHAVLPRLHFWKDFFRDDRASLVKAFRRNRYLVQYSIADKILPNIELLRRYGFSDRDIGIVVMRINGFITRSPEAIKELIERTMQLGFTCGSRMFLQGLSVVAMRRGEALEKKMEFFRKLGWSEDEAKSAFRKNPKVLSLRAENIQSKLDFLMGVVGLDLSSIAAVPLLLTYSLEKRLMPRFRVLDILNSKGLLKKECKLNTAMSLSDEEFEKKFVLPFEDLVPQLVMTRQ >DRNTG_11046.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10508700:10510645:1 gene:DRNTG_11046 transcript:DRNTG_11046.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRINGFITRSPEAIKELIERTMQLGFTCGSRMFLQGLSVVAMRRGEALEKKMEFFRKLGWSEDEAKSAFRKNPKVLSLRAENIQSKLDFLMGVVGLDLSSIAAVPLLLTYSLEKRLMPRFRVLDILNSKGLLKKECKLNTAMSLSDEEFEKKFVLPFEDLVPQLVMTRQ >DRNTG_11046.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10508700:10510333:1 gene:DRNTG_11046 transcript:DRNTG_11046.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRINGFITRSPEAIKELIERTMQLGFTCGSRMFLQGLSVVAMRRGEALEKKMEFFRKLGWSEDEAKSAFRKNPKVLSLRAENIQSKLDFLMGVVGLDLSSIAAVPLLLTYSLEKRLMPRFRVLDILNSKGLLKKECKLNTAMSLSDEEFEKKFVLPFEDLVPQLVMTRQ >DRNTG_11046.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10508537:10510645:1 gene:DRNTG_11046 transcript:DRNTG_11046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRITLRLKFPINFYSTKPHKPQFIITDYLINSLGLSPERAARAAPRLAHLRDASRPDSVRHFLEHLGLTHSHIQTLVSWRPTLLSVDLSSTLRPNADALHSHGFSGPLLLHLVRTNPFVLTSHAVLPRLHFWKDFFRDDRASLVKAFRRNRYLVQYSIADKILPNIELLRRYGFSDRDIGIVVMRINGFITRSPEAIKELIERTMQLGFTCGSRMFLQGLSVVAMRRGEALEKKMEFFRKLGWSEDEAKSAFRKNPKVLSLRAENIQSKLDFLMGVVGLDLSSIAAVPLLLTYSLEKRLMPRFRVLDILNSKGLLKKECKLNTAMSLSDEEFEKKFVLPFEDLVPQLVMTRQ >DRNTG_11046.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10508700:10510182:1 gene:DRNTG_11046 transcript:DRNTG_11046.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRINGFITRSPEAIKELIERTMQLGFTCGSRMFLQGLSVVAMRRGEALEKKMEFFRKLGWSEDEAKSAFRKNPKVLSLRAENIQSKLDFLMGVVGLDLSSIAAVPLLLTYSLEKRLMPRFRVLDILNSKGLLKKECKLNTAMSLSDEEFEKKFVLPFEDLVPQLVMTRQ >DRNTG_21966.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2201648:2211367:-1 gene:DRNTG_21966 transcript:DRNTG_21966.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVKRVPKIDIDSKGKILENVHGDVEFKGVDFAYPSRPENVILNKFSLNVPAGMTVALVGGSGSGKSTVIALLERFYDPLHGEVLLDGVSIKKLKLKWLRSQMGLVSQEPALFATSIKENILFGKEDASMDEVVAAAKASNAHNFISQLPQGYDTHVGERGVQISGGQKQRIAIARALIKSPKILLLDEATSALDSKSERIVQEALDKASLGRTTIIITHRLSTIHNANIIVVVEAGKVIEAGNHDKLIENKNGLYSKFIHLQQSSKTSKVVEVNTSTSMHACIISNKHDSNNWSMSRLNFEGLMSSNEQQEDQSEKSQMKPPATSFYRLLMMNMPEWKKAIIGILSAASFGAVQPIYAYVLGAVISVYFLKDHKEMSDKIRMYSLMFLSLSFVTLFLNVVQHYNFGAMGRQYLTKRVRETMLSKMLTFEVGWFDLDENSTGSICSRLAKDANVVRSLVGDRMSLLIQTFSTVTIACTMGLVIAWRLALVLIAMQPLIIICFYARKMLLKNMSGKAIKAQSESSKIASEAVSNLRTVTAFSLQDHILHFFKLAQEGPKGESVRQSWYAGFGLGVSQCLMICTWSLSFWFGGKLVSNGYVTVKEFMQTFLILVSTGRIIAEAGTMTSDIAKGGDAVGSVFEVLDRNSHIEPENLEGYRPKKLIGNVDICSIDFAYPGRPDVIILKNCSLSITVGKATALVGPSGSGKSTIIGLIERFYNPLRGTINIDGKDIKTYHLRVLRQHIALVGQEPTLLAGTIKENIKYGTEEATEAEIEAAARIANAHDFISCLKDGYATSCGDRGVQLSGGQKQRIAIARAILKNPSILLLDEATSALDSQSEKVVQEALERVTVGRTSVVVAHRLSTIQNCDLIVVLEKGMVVEKGNHASLLAKGPSGSYFSIVSLQQGYMDQ >DRNTG_33441.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3000896:3003954:-1 gene:DRNTG_33441 transcript:DRNTG_33441.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEE63 [Source:Projected from Arabidopsis thaliana (AT1G02140) UniProtKB/TrEMBL;Acc:A0A178WA48] MANNGDQEEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFLTPAVLRECRRIIADSEIMKEDDNNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQSSKDPEGLRIFYYLVQDLKCFVFSLISLHFKIKPIQS >DRNTG_30715.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21963822:21964692:-1 gene:DRNTG_30715 transcript:DRNTG_30715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKEMEKIEKKRKRGVWKEMKELFGLALQARTNATSQMQTSTSKICKPTGVNRPPTHPPEFTLRWMPDGSSQVFYVQQSSVTGAISANPPEVQLRQPYKQVTS >DRNTG_17036.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10787626:10788650:-1 gene:DRNTG_17036 transcript:DRNTG_17036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVLVVPLSSFICCCCLCMLDDVVQAVIQDGLKEEEEEEEKEEEEEEEYGVFQERDDQTAGVWHVNKLFVEVKEGEVPSIEMAFEEKVKEGGDMWW >DRNTG_12580.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:824091:824905:1 gene:DRNTG_12580 transcript:DRNTG_12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGCNRIRLLADGNFKVCLFGPSEVSLRDPLRAGIDDLGLKEIIGAAIKRKKATHAGMFDIAKTSNRPMIHIGG >DRNTG_13220.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2722404:2722931:1 gene:DRNTG_13220 transcript:DRNTG_13220.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHVHGNYESRNPSPAAVAAAVAYNHLIQSNTSHRRSPASTYVSGESSPPLNLLQVSLLAQ >DRNTG_13220.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2722266:2722931:1 gene:DRNTG_13220 transcript:DRNTG_13220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHVHGNYESRNPSPAAVAAAVAYNHLIQSNTSHRRSPASTYVSGESSPPLNLLQVSLLAQ >DRNTG_13220.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2722404:2723018:1 gene:DRNTG_13220 transcript:DRNTG_13220.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHVHGNYESRNPSPAAVAAAVAYNHLIQSNTSHRRSPASTYVSGESSPPLNLLQVSLLAQ >DRNTG_13220.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2722266:2723105:1 gene:DRNTG_13220 transcript:DRNTG_13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHVHGNYESRNPSPAAVAAAVAYNHLIQSNTSHRRSPASTYVSGESSPPLNLLQVSLLAQ >DRNTG_13220.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2722266:2723018:1 gene:DRNTG_13220 transcript:DRNTG_13220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHVHGNYESRNPSPAAVAAAVAYNHLIQSNTSHRRSPASTYVSGESSPPLNLLQVSLLAQ >DRNTG_13220.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2722371:2723018:1 gene:DRNTG_13220 transcript:DRNTG_13220.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHVHGNYESRNPSPAAVAAAVAYNHLIQSNTSHRRSPASTYVSGESSPPLNLLQVSLLAQ >DRNTG_13220.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2722371:2723105:1 gene:DRNTG_13220 transcript:DRNTG_13220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHVHGNYESRNPSPAAVAAAVAYNHLIQSNTSHRRSPASTYVSGESSPPLNLLQVSLLAQ >DRNTG_22383.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16980538:16987575:1 gene:DRNTG_22383 transcript:DRNTG_22383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVSEKEKGSPKLPIPGHRNILITSALPYVNNVPHLGNIIGCVLSADVFARFCRLRGYNVIYICGTDEYGTATETKAMEENCTPKEICDKYHAIHKEVYKWFGISFDEFGRTSSPQQTEVCQQIFHTLMENGWLSENTMQQLFCDTCKRFLADRLVEGTCPTLNCNYESARGDQCEKCGKLLNPTELLDPKCKVCKNTPRIRDTDHLFLELPLLREKLESYINSMSVAGSWSQNAIQATKAWLKEGLKPRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYVSITACYTADWERWWKDPENVELYQFMGKDNVPFHTVMFPSTLLGTGENWTMMKTISVTEYLNYESGKFSKSKGIGVFGNDAKDTNIPAEVWRYYLLTNRPEVSDTLFTWADLQAKLNSELLNNLGNFINRVLSFIAKPSGSGYDSTIPEVLDADSHLPTKTLAEKVSKHIEQYLDSLEKVKLKEGLKTAMSISGEGNAYLQESQFWKLYKEDPTSCAIVMKTSVGLVFLLASLLEPFMPSFSVEVLKQLNISPEEKLTFCDEKGETDKAKRPWDLIPSGHVIGKPEPLFKELRDDEVELFRQRFSGSQADRKEKAEADAKKIAGQLKATKISEGNTKKKSTTATKAKATVADISVSRLDIRVGVIKKVQKHPDADSLYVEEIDVGEESTRTVVSGLVKYIPLEEMQDRKVCVLCNLKPASMRGIKSHAMVLAASNGDHTKVELVEPPASAVVGERVTFTGYAGEPDGVLNPKHKVWETVQPDLHTDAELVACYKDVPFTTSAGICKVSSIASGAIR >DRNTG_00698.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30001886:30003350:-1 gene:DRNTG_00698 transcript:DRNTG_00698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEEGNIWDVTTTTKTSNGTGTGDVFDNIDEFFFDLPSDDEQLFGLSQDHNPSILIDQLQLQLCNYLFSCLH >DRNTG_26052.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17785435:17789816:1 gene:DRNTG_26052 transcript:DRNTG_26052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRQVRYSPLSQDDDNGREDDLRFSYNPKALDRIPWKSIALALFLLSLGCLLLFLSVFIFTGHMGGDQSQAYGLLMLGILSFLPGFYETRVAYYSWRGAPGYRFSSIPGY >DRNTG_10614.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:143211:143993:-1 gene:DRNTG_10614 transcript:DRNTG_10614.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHKEKKLRSRKMDMHGHGGDHSHSPFALEAPAP >DRNTG_00728.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14355039:14360906:-1 gene:DRNTG_00728 transcript:DRNTG_00728.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWREIHGRKKKGTNLHHPTSQGGKGIQPYWAVWWPSETNTEPTRGSVPSQTAPQTAPHTEPSELGLLSTERGLLAHMAPIRGRMPNTKRLASKRPRTTRPSSTPNEPVFKLSHHRERYDRLKTKPFGTLCYLDWGLVENLGIPSQVREWLSKNCWDKVFAINEPTFRQLTLEVLSTFEAQQDGESVWNRKIITIHFQAFERKRTMHHLDFAKYLGIYDDEFINSMPGKCLKLDFPSGVGRSNYWATLAGDDQTRKASRMIDPAHRFIHALIARSIGGRTDSKEVVTQADIYTMYGIFERRPTHLGHLVADAFLHQGSYTRLGAIFIGPYVTRLIRGMGHKEATENKKISFGRKEKKTGISYYPQTTQYRGRQKKVFWLYFLLGIFWEILERLVVTLGRRRRARKLEDHPSPRSKTLKARRQLHSRGDPPRFEGRRPAARGSIIGHSFGGKSVIRHILHLLI >DRNTG_00871.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21874130:21875151:-1 gene:DRNTG_00871 transcript:DRNTG_00871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKISDFGLARNFEDCETMIKTRKVVGTYGYMAPEYTLDGVFSMKSDVFSFGMLILEIISGQRNRILLSNPHLYLLGKAWRLWNEGKVLDLLDPFISNSFSVSQVMRCINIGLLCVQEKSEDRPSMASVVVMLSNDDAPLLEPKEPGFKAIFSTKYDAVSNQNDLHTFNDITLTEQIGR >DRNTG_07908.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2545168:2546968:-1 gene:DRNTG_07908 transcript:DRNTG_07908.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tocopherol O-methyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G64970) UniProtKB/Swiss-Prot;Acc:Q9ZSK1] MDPLMRCGLSPFIGLYEAPHVPGGYVMLPGMRAQLAGAVQVVRDREELKKGIADLYDESSKMWEGLWGEHMHHGFYDPGLPGSVAHHRRAQLRMIEETLSFAGVTEDSSKWPKRVVDVGCGIGGSSRYLAKKYGAQCQGITLSPVQAQRAQALAVAEGLADKVSFQVADALDQPFPDGHFDLVWSMESGEHMPDKNKFVSELTRVAAPGATIIIVTWCHRDLSQSEDSLKPDEITLLNKICNAYYLPEWCSPSDYVKIAESLSLKDIKTADWSDNVAPFWPAVIQSAFTWKGFISLLRSGWKSIKGALVMPLMIEGYNKKLIKFTIITCRKPE >DRNTG_07908.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2545168:2551129:-1 gene:DRNTG_07908 transcript:DRNTG_07908.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tocopherol O-methyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G64970) UniProtKB/Swiss-Prot;Acc:Q9ZSK1] MAAEGLKKGIAEFYNESSGLWESIWGEHMHHGFYDTTTPASFSDHRSAQIRMVDEALRFACVPEDSSKWPKRVVDVGCGIGGSSRYLAKKYGAQCQGITLSPVQAQRAQALAVAEGLADKVSFQVADALDQPFPDGHFDLVWSMESGEHMPDKNKFVSELTRVAAPGATIIIVTWCHRDLSQSEDSLKPDEITLLNKICNAYYLPEWCSPSDYVKIAESLSLKDIKTADWSDNVAPFWPAVIQSAFTWKGFISLLRSGWKSIKGALVMPLMIEGYNKKLIKFTIITCRKPE >DRNTG_34543.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:954177:955194:1 gene:DRNTG_34543 transcript:DRNTG_34543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSAEDQRRSKRSPRWRRPLIAQSTTSKFYKTTTTSTAGQAFSGRFQCRGDLTPSDCSTCVSKLTPMWGSLCGSSIVAAARVQLTGCYALYEIAGFPQVAATELLFKTCGSDGGGTGFEEKRSTALSSLQSGLSASGGAGFYATSYESVYAMAQCEGDLSPGDCGDCVVAAVEKVEVECGGAASGQVYLDKCYISYSYYPNGVPRGAGAGAGAGEGDLGGKERGKTVAIVVGGIAGF >DRNTG_25727.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16977899:16986019:-1 gene:DRNTG_25727 transcript:DRNTG_25727.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSCFPMQGVDGDREVSIALAFGGSVSFGRFMSESLDWGRWSSFRHNRHLEEVERYARPGSVAQKKAFFEAHYKKMAALKKAGSLEESVDVISENQEESVDMAAGSTDEVTGNKCEELKVMPNDERPNLADENGGLEGDHDHEVDENQGPEEKEDFSFTESASVIQSSCGVEDIGNQISESAISPLKEIFVANRVSLGDSAEKKPRISVLKSPNPGSKPFRFATCVASKLSPSSVKHSPASKDCAKENKFTPTNYRARCSSEKIRSNAKSIHRSMNVSECPRGSVAKHFSTKAPSILEKVQQFEATSNFSKASNHRLCPYRKSAQPSGHNVSNLSPVTQDSARARTPLVKTYSGRRKVDLELQNLTSNCSKLLSIGGTRSRMQATSSSASCKADERAEKPCKEAFSSLENKFCTKEVKKIQMQRKTTEKTESRVAKLRQSFCSRVTPMIDFSQNFEPPKNERKKIPLTQPRSPKLGRRNKPALSPGSNSLMPLTPTITDGLIHCAGKSSHTPRRPASSLLNKRN >DRNTG_25727.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16980288:16986019:-1 gene:DRNTG_25727 transcript:DRNTG_25727.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSCFPMQGVDGDREVSIALAFGGSVSFGRFMSESLDWGRWSSFRHNRHLEEVERYARPGSVAQKKAFFEAHYKKMAALKKAGSLEESVDVISENQEESVDMAAGSTDEVTGNKCEELKVMPNDERPNLADENGGLEGDHDHEVDENQGPEEKEDFSFTESASVIQSSCGVEDIGNQISESAISPLKEIFVANRVSLGDSAEKKPRISVLKSPNPGSKPFRFATCVASKLSPSSVKHSPASKDCAKENKFTPTNYRARCSSEKIRSNAKSIHRSMNVSECPRGSVAKHFSTKAPSILEKVQQFEATSNFSKASNHRLCPYRKSAQPSGHNVSNLSPVTQDSARARTPLVKTYSGRRKVDLELQNLTSNCSKLLSIGGTRSRMQATSSSASCKADERAEKPCKEAFSSLENKFCTKEVKKIQMQRKTTEKTESRVAKLRQSFCSRVTPMIDFSQNFEPPKNERKKIPLTQPRSPKLGRRNKPALSPGSNSLMPLTPTITDGLIHCAGKSSHTPRRPASSLLNKRN >DRNTG_27882.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001414.1:18610:21159:-1 gene:DRNTG_27882 transcript:DRNTG_27882.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIISKRNRHEICKYLFQEGVLYAKKDYNLEKHPLIDVPNLQVIKLMQSFKSREYVRETFAWMHYYWYLTNDGIEYLRTFLNLPSEIVPATLKKSSKPPPRSFGGGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGQGDFGDKGGTPPEFQPSFRVSGGRPGFGRGGGGYGAGPASSSLE >DRNTG_27882.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001414.1:18610:21159:-1 gene:DRNTG_27882 transcript:DRNTG_27882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISKRNRHEICKYLFQEGVLYAKKDYNLEKHPLIDVPNLQVIKLMQSFKSREYVRETFAWMHYYWYLTNDGIEYLRTFLNLPSEIVPATLKKSSKPPPRSFGGGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGQGDFGDKGGTPPEFQPSFRVSVTFR >DRNTG_30693.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1810451:1811446:-1 gene:DRNTG_30693 transcript:DRNTG_30693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVHHHHLIQKNTILANIMDAKQRTSSGMRSYESVEPDYQWIEDSTSSNLIVHLPGFKKEQIKVQVDTFGTLRVSAERPIEGNRWRRYRKDFRIPETTNVSEIKAKFENEALHVSVPKPITQTEVTEHEQPKPTPEPPVIQKEMQADDKGEEEKTVPKKEDDKEKKVEESSGAKESPTGSRQSKKEKLRHGIGRLTMKAKEPRTLMVNVVTAVILLIIGAAIYMRHKMRESGQVDNYEAL >DRNTG_14633.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2324755:2330134:1 gene:DRNTG_14633 transcript:DRNTG_14633.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 7 [Source:Projected from Arabidopsis thaliana (AT1G22460) UniProtKB/Swiss-Prot;Acc:B7ZWR7] MLPLLFQNLIKDHFGKILGEFSLLYFMCFPLNSSNGLIMKIWNNSNFSDVFDEEYFIQSLANDVKIVKKLPKEFATATKAVKHFISWSGVEYYQGEIARLWDDYQVIRAAKSDSRLANNNLPADIQKLRCRAFYNSLRFSPRIEALAHLLVERMRSFGPYIALHLRYEKDMLAFSGCTYGLSLAEADELTKIRENTSYWKVKDIDSQEQRVKGYCPLTPKEVGILLSALGYPSNTPIYVAAGEIYGGDSQMADLRSRFPTLISKENLASSEELEPFSHYASQMAALDYIVSVQSDVFIPSYSGNMARAVAGHRRYLGHLKTINPDRKALVHLFNKLDRGSLNEGRKLSETIIELHKRRQGSPRKRKGPISGTRGKERFRSEEAFYENPLPDCLCQEESKFMHRS >DRNTG_14633.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2324755:2330134:1 gene:DRNTG_14633 transcript:DRNTG_14633.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 7 [Source:Projected from Arabidopsis thaliana (AT1G22460) UniProtKB/Swiss-Prot;Acc:B7ZWR7] MRAGICDMVAVARLINATLVVPELDKRSFWQDSSNFSDVFDEEYFIQSLANDVKIVKKLPKEFATATKAVKHFISWSGVEYYQGEIARLWDDYQVIRAAKSDSRLANNNLPADIQKLRCRAFYNSLRFSPRIEALAHLLVERMRSFGPYIALHLRYEKDMLAFSGCTYGLSLAEADELTKIRENTSYWKVKDIDSQEQRVKGYCPLTPKEVGILLSALGYPSNTPIYVAAGEIYGGDSQMADLRSRFPTLISKENLASSEELEPFSHYASQMAALDYIVSVQSDVFIPSYSGNMARAVAGHRRYLGHLKTINPDRKALVHLFNKLDRGSLNEGRKLSETIIELHKRRQGSPRKRKGPISGTRGKERFRSEEAFYENPLPDCLCQEESKFMHRS >DRNTG_28318.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:37243:39070:1 gene:DRNTG_28318 transcript:DRNTG_28318.10 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLLRLFLLLFTSLISLPYSSPHEQQQQQQQQLFFPLSHSLANSHNTSVHHLLKLSAHRSNSRVQRHRRPRQVSLPLTSGSDYTLSLSLPPSPAVPLYMDTGSDLVWLPCAPFECILCENKPKPTTPPVSLPPSSRPVPCHSHLCSAAHSSLPSSDLCAIASCPLDSIETSSCSSSPCPRFYYAYGDGSLIASLHHAHVSLSSLLLPNFTFACAHSTLAEPVGVAGFGRGPLSLPAQLATLHPSLASRFSYCLVSHSFRPDRLLHPSPLILGRSSSPDTSSLSSSSSSSFVFAPLLHNPKHPYLYSLALDSISIGRSTIKSPSSLTSIDHRGNGGMAVDSGTTFTMLPTSMYSSLTDEFQRQMTANGFARAPEVEAETGLGPCYHYHGGNETKRVPLMELHFAGNAKVALPTRNYFMGWQRELKGVGCLMVMDGGDESEGGPAGTLGNFQQQGMEVVYDLEDRRIGFARRHCAALWDSLSRGG >DRNTG_28318.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:30946:33662:1 gene:DRNTG_28318 transcript:DRNTG_28318.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSCLFCQIARSATSTRLLYADERVVAFPDINPSAFRHYLVIPVEHVATVNDLKRGVDDHQLVSHMLNVGQNLLSRDAPESKLYRFGFHQPPFNSVDHLHLHCFALPYIPRWKHMKYISLGSIGFIEAEKLLERIKPLEPIGS >DRNTG_28318.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:37323:39155:1 gene:DRNTG_28318 transcript:DRNTG_28318.9 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLLRLFLLLFTSLISLPYSSPHEQQQQQQQQLFFPLSHSLANSHNTSVHHLLKLSAHRSNSRVQRHRRPRQVSLPLTSGSDYTLSLSLPPSPAVPLYMDTGSDLVWLPCAPFECILCENKPKPTTPPVSLPPSSRPVPCHSHLCSAAHSSLPSSDLCAIASCPLDSIETSSCSSSPCPRFYYAYGDGSLIASLHHAHVSLSSLLLPNFTFACAHSTLAEPVGVAGFGRGPLSLPAQLATLHPSLASRFSYCLVSHSFRPDRLLHPSPLILGRSSSPDTSSLSSSSSSSFVFAPLLHNPKHPYLYSLALDSISIGRSTIKSPSSLTSIDHRGNGGMAVDSGTTFTMLPTSMYSSLTDEFQRQMTANGFARAPEVEAETGLGPCYHYHGGNETKRVPLMELHFAGNAKVALPTRNYFMGWQRELKGVGCLMVMDGGDESEGGPAGTLGNFQQQGMEVVYDLEDRRIGFARRHCAALWDSLSRGG >DRNTG_28318.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:37243:39499:1 gene:DRNTG_28318 transcript:DRNTG_28318.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLLRLFLLLFTSLISLPYSSPHEQQQQQQQQLFFPLSHSLANSHNTSVHHLLKLSAHRSNSRVQRHRRPRQVSLPLTSGSDYTLSLSLPPSPAVPLYMDTGSDLVWLPCAPFECILCENKPKPTTPPVSLPPSSRPVPCHSHLCSAAHSSLPSSDLCAIASCPLDSIETSSCSSSPCPRFYYAYGDGSLIASLHHAHVSLSSLLLPNFTFACAHSTLAEPVGVAGFGRGPLSLPAQLATLHPSLASRFSYCLVSHSFRPDRLLHPSPLILGRSSSPDTSSLSSSSSSSFVFAPLLHNPKHPYLYSLALDSISIGRSTIKSPSSLTSIDHRGNGGMAVDSGTTFTMLPTSMYSSLTDEFQRQMTANGFARAPEVEAETGLGPCYHYHGGNETKRVPLMELHFAGNAKVALPTRNYFMGWQRELKGVGCLMVMDGGDESEGGPAGTLGNFQQQGMEVVYDLEDRRIGFARRHCAALWDSLSRGG >DRNTG_28318.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:30946:39155:1 gene:DRNTG_28318 transcript:DRNTG_28318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLLRLFLLLFTSLISLPYSSPHEQQQQQQQQLFFPLSHSLANSHNTSVHHLLKLSAHRSNSRVQRHRRPRQVSLPLTSGSDYTLSLSLPPSPAVPLYMDTGSDLVWLPCAPFECILCENKPKPTTPPVSLPPSSRPVPCHSHLCSAAHSSLPSSDLCAIASCPLDSIETSSCSSSPCPRFYYAYGDGSLIASLHHAHVSLSSLLLPNFTFACAHSTLAEPVGVAGFGRGPLSLPAQLATLHPSLASRFSYCLVSHSFRPDRLLHPSPLILGRSSSPDTSSLSSSSSSSFVFAPLLHNPKHPYLYSLALDSISIGRSTIKSPSSLTSIDHRGNGGMAVDSGTTFTMLPTSMYSSLTDEFQRQMTANGFARAPEVEAETGLGPCYHYHGGNETKRVPLMELHFAGNAKVALPTRNYFMGWQRELKGVGCLMVMDGGDESEGGPAGTLGNFQQQGMEVVYDLEDRRIGFARRHCAALWDSLSRGG >DRNTG_28318.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:30946:33662:1 gene:DRNTG_28318 transcript:DRNTG_28318.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSCLFCQIARSATSTRLLYADERVVAFPDINPSAFRHYLVIPVEHVATVNDLKRGVDDHQLVSHMLNVGQNLLSRDAPESKLYRFGFHQPPFNSVDHLHLHCFALPYIPRWKHMKYISLGSIGFIEAEKLLERIKPLEPIGS >DRNTG_28318.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:37323:39070:1 gene:DRNTG_28318 transcript:DRNTG_28318.11 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLLRLFLLLFTSLISLPYSSPHEQQQQQQQQLFFPLSHSLANSHNTSVHHLLKLSAHRSNSRVQRHRRPRQVSLPLTSGSDYTLSLSLPPSPAVPLYMDTGSDLVWLPCAPFECILCENKPKPTTPPVSLPPSSRPVPCHSHLCSAAHSSLPSSDLCAIASCPLDSIETSSCSSSPCPRFYYAYGDGSLIASLHHAHVSLSSLLLPNFTFACAHSTLAEPVGVAGFGRGPLSLPAQLATLHPSLASRFSYCLVSHSFRPDRLLHPSPLILGRSSSPDTSSLSSSSSSSFVFAPLLHNPKHPYLYSLALDSISIGRSTIKSPSSLTSIDHRGNGGMAVDSGTTFTMLPTSMYSSLTDEFQRQMTANGFARAPEVEAETGLGPCYHYHGGNETKRVPLMELHFAGNAKVALPTRNYFMGWQRELKGVGCLMVMDGGDESEGGPAGTLGNFQQQGMEVVYDLEDRRIGFARRHCAALWDSLSRGG >DRNTG_28318.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:37243:39287:1 gene:DRNTG_28318 transcript:DRNTG_28318.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLLRLFLLLFTSLISLPYSSPHEQQQQQQQQLFFPLSHSLANSHNTSVHHLLKLSAHRSNSRVQRHRRPRQVSLPLTSGSDYTLSLSLPPSPAVPLYMDTGSDLVWLPCAPFECILCENKPKPTTPPVSLPPSSRPVPCHSHLCSAAHSSLPSSDLCAIASCPLDSIETSSCSSSPCPRFYYAYGDGSLIASLHHAHVSLSSLLLPNFTFACAHSTLAEPVGVAGFGRGPLSLPAQLATLHPSLASRFSYCLVSHSFRPDRLLHPSPLILGRSSSPDTSSLSSSSSSSFVFAPLLHNPKHPYLYSLALDSISIGRSTIKSPSSLTSIDHRGNGGMAVDSGTTFTMLPTSMYSSLTDEFQRQMTANGFARAPEVEAETGLGPCYHYHGGNETKRVPLMELHFAGNAKVALPTRNYFMGWQRELKGVGCLMVMDGGDESEGGPAGTLGNFQQQGMEVVYDLEDRRIGFARRHCAALWDSLSRGG >DRNTG_28318.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:37323:39499:1 gene:DRNTG_28318 transcript:DRNTG_28318.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLLRLFLLLFTSLISLPYSSPHEQQQQQQQQLFFPLSHSLANSHNTSVHHLLKLSAHRSNSRVQRHRRPRQVSLPLTSGSDYTLSLSLPPSPAVPLYMDTGSDLVWLPCAPFECILCENKPKPTTPPVSLPPSSRPVPCHSHLCSAAHSSLPSSDLCAIASCPLDSIETSSCSSSPCPRFYYAYGDGSLIASLHHAHVSLSSLLLPNFTFACAHSTLAEPVGVAGFGRGPLSLPAQLATLHPSLASRFSYCLVSHSFRPDRLLHPSPLILGRSSSPDTSSLSSSSSSSFVFAPLLHNPKHPYLYSLALDSISIGRSTIKSPSSLTSIDHRGNGGMAVDSGTTFTMLPTSMYSSLTDEFQRQMTANGFARAPEVEAETGLGPCYHYHGGNETKRVPLMELHFAGNAKVALPTRNYFMGWQRELKGVGCLMVMDGGDESEGGPAGTLGNFQQQGMEVVYDLEDRRIGFARRHCAALWDSLSRGG >DRNTG_28318.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:37323:39287:1 gene:DRNTG_28318 transcript:DRNTG_28318.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLLRLFLLLFTSLISLPYSSPHEQQQQQQQQLFFPLSHSLANSHNTSVHHLLKLSAHRSNSRVQRHRRPRQVSLPLTSGSDYTLSLSLPPSPAVPLYMDTGSDLVWLPCAPFECILCENKPKPTTPPVSLPPSSRPVPCHSHLCSAAHSSLPSSDLCAIASCPLDSIETSSCSSSPCPRFYYAYGDGSLIASLHHAHVSLSSLLLPNFTFACAHSTLAEPVGVAGFGRGPLSLPAQLATLHPSLASRFSYCLVSHSFRPDRLLHPSPLILGRSSSPDTSSLSSSSSSSFVFAPLLHNPKHPYLYSLALDSISIGRSTIKSPSSLTSIDHRGNGGMAVDSGTTFTMLPTSMYSSLTDEFQRQMTANGFARAPEVEAETGLGPCYHYHGGNETKRVPLMELHFAGNAKVALPTRNYFMGWQRELKGVGCLMVMDGGDESEGGPAGTLGNFQQQGMEVVYDLEDRRIGFARRHCAALWDSLSRGG >DRNTG_28318.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:30946:35684:1 gene:DRNTG_28318 transcript:DRNTG_28318.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSCLFCQIARSATSTRLLYADERVVAFPDINPSAFRHYLVIPVEHVATVNDLKRGVDDHQLVSHMLNVGQNLLSRDAPESKLYRFGFHQPPFNSVDHLHLHCFALPYIPRWKHMKYISLGSIGFIEAEKLLERIKPLEPIGS >DRNTG_31841.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21362418:21365159:1 gene:DRNTG_31841 transcript:DRNTG_31841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCTCSHIFQTWSTALIFLCLALFFFFYTNEPTSPLLSFLQENMAEQLPNSIYDLSVKDMDGNDVNLSDYNGKVLLIVNVASKCGLTHTNYKEMNVLYDKYKDQGFEILAFPCNQFAGQEPGSNDEIKEVACTMFKAEFPIFDKIEVNGKNAAPLYKFLKSQKGGIFGDGIKWNFTKFLIDKHGKVVERYAPTTAPMKIEKDIQNLLAAP >DRNTG_00665.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16302738:16304548:1 gene:DRNTG_00665 transcript:DRNTG_00665.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEWSDGAGGQVKQICIWHGHLIYGIQVSYERNGEFCLSPRHGGTEGDFEQMSQSLV >DRNTG_21547.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:286844:289388:-1 gene:DRNTG_21547 transcript:DRNTG_21547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPPSRALSVACLLADLQMDAEVISAGILREALISGAITMDAVKGQIGIGTAHLLHENLRMRHIPSDVGIVDDESASALRRYCLTYYDIRALILELALKLDLMRHLDYLPRYHQQMKSLEVMRIYAPLAHAVGASALSLELEDLSFRYLFPLSYLYVDTWLRSRAVDSKPLIDVYKEQLVRALETDTELADMVEDIQVQGRYKSRFSTMKKLLKDGRKPEEVNDILGLRVILKQISGENTTEIGNRACYRTYEVIRTMWKEVPRRTKDYIGRPKANGYKSLHVAVDVSEHKGGIPLMEIQIRTTEMDKLADGGAASHSLYKGGLTDPGEAKRLKAIMLAAAELAALRLRDLPSSNERGLDIDQTNRVFRLLDKNGDGRISIEELTEVMEELGAGGKDAQELMLRLDANSDGSLSSDEFDMFQRQVEFMRNMEYKDDYYKTMLGDKLKMADDTGLIQVYRKELGDKLVVN >DRNTG_00156.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26175116:26176197:1 gene:DRNTG_00156 transcript:DRNTG_00156.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNALELRKCIEEKAAMISEHRALTEHCACLEEECLRYERDLKRLMEACDELGKENDALQECILDNSVVRLVDEIGLLKQDKQQMRVNLCRAEDEVKALFEENKLLDQENRRLLTQLKKERQHQETDDQHSAGASVEVRKKNSYFLILSFAGPNS >DRNTG_00156.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26174898:26176197:1 gene:DRNTG_00156 transcript:DRNTG_00156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALELRKCIEEKAAMISEHRALTEHCACLEEECLRYERDLKRLMEACDELGKENDALQECILDNSVVRLVDEIGLLKQDKQQMRVNLCRAEDEVKALFEENKLLDQENRRLLTQLKKERQHQETDDQHSAGASVEVRKKNSYFLILSFAGPNS >DRNTG_04670.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30353677:30355443:1 gene:DRNTG_04670 transcript:DRNTG_04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRGKSKADLLTQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKAALREVYKKKKLLPLDLRPKKTRTIRRRLSKHQLSLKTEREKKRELYFPMRKYAIKA >DRNTG_18999.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22659384:22661070:-1 gene:DRNTG_18999 transcript:DRNTG_18999.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 4 [Source:Projected from Arabidopsis thaliana (AT3G53780) UniProtKB/Swiss-Prot;Acc:F4JBM4] MATKIPSPPHQQADIQITVHPSDPKPSPLQQHPLFTKWSPWLVPLFIFANIIMFIITMFFNNCPKNSSSCVGAHFLGRLSFQPLKENPLLGPSASMLERMGALQVNKVVYGHQGWRLLTCIWLHAGVFHILANMFGLLFIGIKLEQDFGFVKIGLLYFISGFGGSLMSALFIQSSISVGASGALFGLLGGMLSELLTNWTIYANKFAALSTLLLVIVINLAVGILPHVDNFAHIGGFLSGFFLGFVFLIRPQFAWISQRTQPSGHNTVPTKTQTQTISVCLVYHCCHYSNCLVYCGAYYAFPGL >DRNTG_07516.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19177407:19181077:-1 gene:DRNTG_07516 transcript:DRNTG_07516.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSVGHCQVGWGTLSLLRLLTFPYNSVYGSFLQLRLPSLQALYLSSTNFNGTIPYYLGQLFPELDMLDLSYNNIAVRPDWVPPPKLKYLHMNDCKVGPRFPSWLQNLKNLSSLGMSNASIGDVLPLWFWNFSLDIVDIDLSHNEIKGKLPACSLKLTNLKSLDLSHNYLEGQLPQFSSYLVDLDPAHGSFSRSTFSNTSIIVPNLWELYISSNKITGSIPSSLCELNYLQVLDLSKNMIEGVIPNCWNSLIIMDLSYNNLHGIIPASICSTFLWVLHLSNNEFFGEFPLSFQNCTSLRSLDLEHNKISGSIPAWLGENLRRLEILELRFNMLTGTIPPQLENLTYLHLIDVSNNHLSGAIPHSFGNFTAMKTNNLGRVWSGIEYYVNNIEINMKGREFQLEGRIPSLFICIDLSNNMLSGEIPEELAHLSFLQSLNLSRNQLLGQLSEKMGELRWLEVLDLSVNNLSGVIPPTMINLTSLNHLNLSYNNFYGEIPYGGQFQVLLDPSIYFGNQGLCGVPLNTKCEIEAPAQPPGLPNNEDDNNLEAIWFYLSMSLGFIFGFWAIFGALILKKKVEVCLFSIC >DRNTG_07516.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19175312:19181077:-1 gene:DRNTG_07516 transcript:DRNTG_07516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSVGHCQVGWGTLSLLRLLTFPYNSVYGSFLQLRLPSLQALYLSSTNFNGTIPYYLGQLFPELDMLDLSYNNIAVRPDWVPPPKLKYLHMNDCKVGPRFPSWLQNLKNLSSLGMSNASIGDVLPLWFWNFSLDIVDIDLSHNEIKGKLPACSLKLTNLKSLDLSHNYLEGQLPQFSSYLVDLDPAHGSFSRSTFSNTSIIVPNLWELYISSNKITGSIPSSLCELNYLQVLDLSKNMIEGVIPNCWNSLIIMDLSYNNLHGIIPASICSTFLWVLHLSNNEFFGEFPLSFQNCTSLRSLDLEHNKISGSIPAWLGENLRRLEILELRFNMLTGTIPPQLENLTYLHLIDVSNNHLSGAIPHSFGNFTAMKTNNLGRVWSGIEYYVNNIEINMKGREFQLEGRIPSLFICIDLSNNMLSGEIPEELAHLSFLQSLNLSRNQLLGQLSEKMGELRWLEVLDLSVNNLSGVIPPTMINLTSLNHLNLSYNNFYGEIPYGGQFQVLLDPSIYFGNQGLCGVPLNTKCEIEAPAQPPGLPNNEDDNNLEAIWFYLSMSLGFIFGFWAIFGALILKKKVEVCLFSIC >DRNTG_22356.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20759547:20764644:1 gene:DRNTG_22356 transcript:DRNTG_22356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGKGRIVPYRGERSCRMHTNPTNEEKLTNSRNAIQLNDTTTEAPNPTNASRDIANNDPNYTISTPTISQASSDPLDATANGGRLRIVIVNGLRDHNRRLKMKIKEVGCRLHGEEKEKVGSRPLASLKRNRGMKHCVWDSSVEAQVMVAWVVKASQ >DRNTG_21679.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1058905:1062727:1 gene:DRNTG_21679 transcript:DRNTG_21679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPSKFTERLPRIPFSVGSLSFWCPNRSGNLNFLQDRRRFGCGLWGHMTQNSKQCDMGYITKAGVILPASEDHVEEAISAIKDEKVIAVPTDTLYGFACDACSAQAVKRIYDIKGRQQTSPLAISVADVSDISRFAILDHLPPGLLDCLLPGPVTVVLSRGNSSDLEKSLNPGLDSIGVRVPDSNFIQAIARGCRSALALTSANLSGQPSSVSTTDFQNLWEHCAYVFDGGLLPAGRAGSTVVDLTKPGTYKILRPGSARDATVAILEKFHLEEAS >DRNTG_33294.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23784624:23785718:-1 gene:DRNTG_33294 transcript:DRNTG_33294.2 gene_biotype:protein_coding transcript_biotype:protein_coding QGNKSEGIEHLKRIAELTEPENPMEKACYYRGLVMLGSTLFQQGEKIEAAKYLRRAAAYDPAVFAYVKECEEIGEDQKKQSADSN >DRNTG_33294.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23784624:23792380:-1 gene:DRNTG_33294 transcript:DRNTG_33294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAVNLLRRRVPPAISGSLFPHTPFRNLKPITSPNPFSSSPPFCATISFRSFSWYSWTAGPKADDKDSSPESDGSGEGLIHVGDPGAGLALLGSELDGAVLSEAAVSDASWYQYPVHGVVSLLEGFHDFSGLPWWVVIPTSTLALRATLFPVLLLQLKKVGEIARLYPKLPPPLPPPLSGRSYREQFLLFQKKKRELGCPSYLWSFAPFFIQFPCFLLWMASIRTMCLDHHPGFESGGILWFQDLTCYPHGVFGPIFPILIAGLHYTNVQVSFQTFKVEKLQGVLGLLARYYKLYLDVLAIPLVFIGYCVPQGSLVYWVTNSSLTLFQQLCLRSPYVRKKLGLHDEKALLHKSPTESIGEENKGPLELQISAESLSAEKLLDFALEELAKGHQDSALPLLRIATEKNPELPRAHVALGQILCSKGLFAEASESFQQAIPKIGQEDVGLLSLAYFGAGVSQIWQGNKSEGIEHLKRIAELTEPENPMEKACYYRGLVMLGSTLFQQGEKIEAAKYLRRAAAYDPAVFAYVKECEEIGEDQKKQSADSN >DRNTG_33294.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23785804:23786776:-1 gene:DRNTG_33294 transcript:DRNTG_33294.3 gene_biotype:protein_coding transcript_biotype:protein_coding DIKILQQLCLRSPYVRKKLGLHDEKALLHKSPTESIGEENKGPLELQISAESLSAEKLLDFALEELAKGHQDSALPLLRIATEKNPELPRAHVALGQILCSKGLFAEASESFQQAIPKIGQEDVGLLSLAYFGAGVSQIWQ >DRNTG_32392.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30255238:30256720:1 gene:DRNTG_32392 transcript:DRNTG_32392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDPIRVLVTGAAGQIGYALVPMIARGVMLGADQPVILHMLDIPPASESLNGVKMELVDAAFPLLKGVVATTDVVEACTGVNIAVMVGGFPRKEGMERKDVMSKNVSIYKAQASALEKHAAANCKVLVVANPANTNALILKEFAPSIPEKNISCLTRLDHNRALGQISERLNVQVCDVKNVIIWGNHSSTQYPDVNHATVKTPGGDMPVRQLVADDDWLNEEFITTVQQRGAAIIKARKLSSALSAASAACDHIRDWVLGTPE >DRNTG_32324.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18270203:18272393:-1 gene:DRNTG_32324 transcript:DRNTG_32324.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin-C4 [Source:Projected from Arabidopsis thaliana (AT5G20500) UniProtKB/Swiss-Prot;Acc:Q8LFQ6] MAVARRSGAVAAAALLAAMAAASLFGSSMGASPSAFVKKTVAAHEIVIFSKSYCPYCRRAKGVFKSLSKVPYVVELDERDDGWDIQDALSEIVGRRTVPQVFINGKHLGGSDDTVEAYENGKLAKLLGVERKEDL >DRNTG_00580.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29968899:29976336:-1 gene:DRNTG_00580 transcript:DRNTG_00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSGNTTAVPSEKMQFPGGGDLHSGQQWGFAAEREAFMSWLRCEFAAANAIIDVLVHHIRATGEPGEYDHVFGAIHHRRYNWTPVLHMQHYYSIADVVNALQHASWRKHQQRHFEPPKVVEKDFRRPSFGHRQLHRFDNVRENYNSSASSPGDRDKGEEKVEKSEEGKQKGETHLLEVKGSVVAEENEGIDDSHTSEANHSTKDGQLPLGTECGKLEPAIKDDHNKPHLTGVSNEANLQDVDDKIPAQDEKQTQSSVPKTFVANETNDGRMVNVVEGLKLYDQLFDSSEVSKLVSLANDLRAAGRRGEYPRPTFALYKRPMKGHGREIIQLGVPVAEGPLEDENTSGTSRDGKVEDIPELLQDVLDRAVQLQILAVKPDFCVIDFFHEGDHSHPHLWPSWFGRPVSSLFLTSCDMVLGRAIVADPRGEYRGSLKLSLSPGDLLVMQGKSADLARHAIPSIRKHRILLTFGKSQPRKNLPSDLPRFPPSATPPPSHWGPPPIRSPGLPRHSLGPKHFGVAPSTGVLSAPSIRPQHLPPPNGIQPMFVPPAPVAPTSVTYPTPIPVPVASAGWTGAATQRHPSPRLPVPGTGVFLPPSGSGHSPPSPIAEPPLPPASHETSCAPETTEHENGVDKHHINNNASPRNKTDDPETRLDCNGSLDNGLSTGVRLPNGKEDQQGGISKKKVTSKAATTASK >DRNTG_00580.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29968899:29976336:-1 gene:DRNTG_00580 transcript:DRNTG_00580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSGNTTAVPSEKMQFPGGGDLHSGQQWGFAAEREAFMSWLRCEFAAANAIIDVLVHHIRATGEPGEYDHVFGAIHHRRYNWTPVLHMQHYYSIADVVNALQHASWRKHQQRHFEPPKVVEKDFRRPSFGHRQLHRFDNVRENYNSSASSPGDRDKGEEKVEKSEEGKQKGETHLLEVKGSVVAEENEGIDDSHTSEANHSTKDGQLPLGTECGKLEPAIKDDHNKPHLTGVSNEANLQDVDDKIPAQDEKQTQSSVPKTFVANETNDGRMVNVVEGLKLYDQLFDSSEVSKLVSLANDLRAAGRRGEYPRPTFALYKRPMKGHGREIIQLGVPVAEGPLEDENTSGTSRDGKVEDIPELLQDVLDRAVQLQILAVKPDFCVIDFFHEGDHSHPHLWPSWFGRPVSSLFLTSCDMVLGRAIVADPRGEYRGSLKLSLSPGDLLVMQGKSADLARHAIPSIRKHRILLTFGKSQPRKNLPSDLPRFPPSATPPPSHWGPPPIRSPGLPRHSLGPKHFGVAPSTGVLSAPSIRPQHLPPPNGIQPMFVPPAPVAPTSVTYPTPIPVPVASAGWTGAATQRHPSPRLPVPGTGVFLPPSGSGHSPPSPIAEPPLPPASHETSCAPETTEHENGVDKHHINNNASPRNKTDDPETRLDCNGSLDNGLSTGVRLPNGKEDQQGGISKKKVTSKAATTASK >DRNTG_00556.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30149353:30152353:1 gene:DRNTG_00556 transcript:DRNTG_00556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSSPQYRSRFGDTTMTKVFVGGLAWETPTEELRRYFEQFGDILEAVIITDKNTGRSKGYGFVTFRDPESARRSVIEPNPIIDGRRANCNIASLGRPRPSPPRGRSQIGNVYQGPTMYTRVPTQIPPPLPPQMIYPPYGYMTYTPDYAYQQAMYNPQMAPRYYHQMYGSAPSSSSSVGSPGPYQYPHLGYTMPGPSSRGSFPLGPQAYGPPYVQYPTTPVDGSFGPSSSQPYTFQLQAPPHARQPLNTTDSSNIQQSSTSGANSGSTDA >DRNTG_00556.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30149353:30152353:1 gene:DRNTG_00556 transcript:DRNTG_00556.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSSPQYRSRFGDTTMTKVFVGGLAWETPTEELRRYFEQFGDILEAVIITDKNTGRSKGYGFVTFRDPESARRSVIEPNPIIDGRRANCNIASLGRPRPSPPRGRSQIGNVYQGPTMYTRVPTQIPPPLPPQMIYPPYGYMTYTPDYAYQQAMYNPQMAPRYYHQMYGSAPSSSSSVGSPGPYQYPHLGYTMPGPSSRGSFPLGPQAYGPPYVQYPTTPVDGSFGPSSSQPYTFQLQAPPHARQPLNTTVSDSSNIQQSSTSGANSGSTDA >DRNTG_20533.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17777932:17782939:-1 gene:DRNTG_20533 transcript:DRNTG_20533.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKYPPAASEMSGGVQVAAKESSCGIPYTVLTRKNFSVWSIKMQAVLEANDLWDVVDPIDPKTSMDAKRNKVARAAIFSVIPEDVLFLVAKKENAKDVWTTLKVMFLGAERVQEARVQSLKEELDVLKMKSSESVEDYAFKVGTIVNKIRELGEKMEDSYVVKRMLRSLPNKFLQIVSSIEQFADLNTMSVEELIGRLKAHEERMRTADDGNNEHVLLTRSQWKVMEEKKYGEVSSNNSTRAYGGGRGRGKGRGRGHGRGRGDGERVNDGKKFDKTKIKCYNCQNLGHFASECRLRKKEDKAYVAEKQDDEPALLMAEGTTTSNKSRNTTSSIDVGVILDTKTWLGNISWSCMSMAMEDFYNSHSNFTKRLSLHLQDVNKDDRIASASAGLLSSVSLV >DRNTG_10475.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:2794594:2818490:1 gene:DRNTG_10475 transcript:DRNTG_10475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLSTPVWKFRFWRASTAPTSRIIWKKVIGRAFVGIDPARCILGRTKDSLRRVEDSPQDHRHDHRGPSYLFHF >DRNTG_34974.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:2554891:2558258:-1 gene:DRNTG_34974 transcript:DRNTG_34974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLADATRDADKTIILSPLRVDTLRMIGLVCRHGTRIFILATSTTESTKGGGDATGDSAPTVTETLRRPSTLSGAYDRIERLESTVNVLRSEIIEILVIQLAQYTEMVACFDVLHQLLIGRPPVPPASPSSPPPAPFDLAPTSAAEQRLDDTDT >DRNTG_15053.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5566997:5568796:-1 gene:DRNTG_15053 transcript:DRNTG_15053.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEALALAHHHHFTNPLPSSPHNNPSTPNTINLCKPSTLLFFPKSPLSSIPKPCYSTPSLRYNKFTVRMSSTAGSEEEEEETKQAKEMAAARKRWEALIRDQKVKVLTPKEAGYAIKLSNKTLLDVRPSTEHNKAWVKGSVWIPIFDVDDSSDLGTTSKKITNFVMGGWWSGSRMLAYDK >DRNTG_15053.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5566997:5568796:-1 gene:DRNTG_15053 transcript:DRNTG_15053.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALALAHHHHFTNPLPSSPHNNPSTPNTINLCKPSTLLFFPKSPLSSIPKPCYSTPSLRYNKFTVRMSSTAGSEEEEEETKQAKEMAAARKRWEALIRDQKVKVLTPKEAGYAIKLSNKTLLDVRPSTEHNKAWVKGSVWIPIFDVDDSSDLGTTSKKITNFVMGGWWSGSRMLAYDKNFVSNVEEKFSKDTDLILVCQKGLRYYFFLSVYLKICIKNKLFVHFLIILKISCIFLASFSQ >DRNTG_15053.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5565703:5568796:-1 gene:DRNTG_15053 transcript:DRNTG_15053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALALAHHHHFTNPLPSSPHNNPSTPNTINLCKPSTLLFFPKSPLSSIPKPCYSTPSLRYNKFTVRMSSTAGSEEEEEETKQAKEMAAARKRWEALIRDQKVKVLTPKEAGYAIKLSNKTLLDVRPSTEHNKAWVKGSVWIPIFDVDDSSDLGTTSKKITNFVMGGWWSGSRMLAYDKNFVSNVEEKFSKDTDLILVCQKGLREV >DRNTG_23656.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20449312:20450181:1 gene:DRNTG_23656 transcript:DRNTG_23656.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGKQKELDELTSLSAELQHSVKDLSERLGASMQSRSDADEIIHR >DRNTG_23656.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20439310:20449223:1 gene:DRNTG_23656 transcript:DRNTG_23656.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSDPAAAEKSASSSSMPKPIKSAEEEICAVARNLSDQPVPNPEPGVWAVLTAISKNARQRPQGINIILSRDNHRIGRCVEDTRFQIGEITVSGKHCRIFRERLMLENGDQDPSISVPVFIKDTSMNGTFLNWERLTKAFSES >DRNTG_23656.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20439310:20449638:1 gene:DRNTG_23656 transcript:DRNTG_23656.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSDPAAAEKSASSSSMPKPIKSAEEEICAVARNLSDQPVPNPEPGVWAVLTAISKNARQRPQGINIILSRDNHRIGRCVEDTRFQIGEITVSGKHCRIFRERLMLENGDQDPSISVPVFIKDTSMNGTFLNWERLTKAFSES >DRNTG_23656.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20449312:20449638:1 gene:DRNTG_23656 transcript:DRNTG_23656.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGKQKELDELTSLSAELQHSVKDLSERLGASMQSRSDADEIIHR >DRNTG_16995.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000863.1:27898:30323:-1 gene:DRNTG_16995 transcript:DRNTG_16995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFIPLLLLTLLLAGFSPSLAQTCLSDTFSGNRFYSNCNTLLYLGAKVHWTYHPSNATIDVAYRAPISSNGWVAWALNPTGSGMIGAQALFAFSGSGSAVSVYATAISSYTPDVKDGNLSFKVYSKSGELSNGVMTIFATLALPQNRTTVNQVWQAGPLSNGVPAQHSTTGDNIKSSGSIDLLSGSVSGDTSNSRQRRKNTHGVLNAVSWGILMPVGAIMARYMKVFADPAWFYLHVACQLSAYIIGVAGWGTGLKLGSESSGITYHGHRNIGIALFCLATLQIFALLLRPKKDNKYRFYWNIYHHSVGYCVIVLSVINIFKGFDILIPGDGWKTAYIIIIATLGGIALILEVVTWIIVLKRKDREEKSHHGTNGFNGYEARQQSRV >DRNTG_00310.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2161548:2164717:1 gene:DRNTG_00310 transcript:DRNTG_00310.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKKFMQLVEEKKKRILEKKEAPLKWEQKLEAAKAKAEAEAEAEAKGKEKKQKIKKHKRKNSSASDYDSDSDSSDTERKLKKRSHKKHRSHHSKKRHSRSSDESSLDEYESESDGGRHKKKHSHKKRQKHHPSRTDSNSDSSSEDEAETGRKGHSRPHKRHRRSSADNSSDSEDNKTHRRQHKQHIRLSSGDSISESDDQRNARRSRSLGKSSDDNSEEIGEPRNFKKSHHRHGHHHHHHDRHHHHSHRHHPDSTEANLVDKGM >DRNTG_00310.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2161548:2164793:1 gene:DRNTG_00310 transcript:DRNTG_00310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKKFMQLVEEKKKRILEKKEAPLKWEQKLEAAKAKAEAEAEAEAKGKEKKQKIKKHKRKNSSASDYDSDSDSSDTERKLKKRSHKKHRSHHSKKRHSRSSDESSLDEYESESDGGRHKKKHSHKKRQKHHPSRTDSNSDSSSEDEAETGRKGHSRPHKRHRRSSADNSSDSEDNKTHRRQHKQHIRLSSGDSISESDDQRNARRSRSLGKSSDDNSEEIGEPRNFKKSHHRHGHHHHHHDRHHHHSHRHHPDSTEANLVDKGM >DRNTG_00310.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2161548:2165324:1 gene:DRNTG_00310 transcript:DRNTG_00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKKFMQLVEEKKKRILEKKEAPLKWEQKLEAAKAKAEAEAEAEAKGKEKKQKIKKHKRKNSSASDYDSDSDSSDTERKLKKRSHKKHRSHHSKKRHSRSSDESSLDEYESESDGGRHKKKHSHKKRQKHHPSRTDSNSDSSSEDEAETGRKGHSRPHKRHRRSSADNSSDSEDNKTHRRQHKQHIRLSSGDSISESDDQRNARRSRSLGKSSDDNSEEIGEPRNFKKSHHRHGHHHHHHDRHHHHSHRHHPDSTEANLVDKGM >DRNTG_00310.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2161493:2164717:1 gene:DRNTG_00310 transcript:DRNTG_00310.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKKFMQLVEEKKKRILEKKEAPLKWEQKLEAAKAKAEAEAEAEAKGKEKKQKIKKHKRKNSSASDYDSDSDSSDTERKLKKRSHKKHRSHHSKKRHSRSSDESSLDEYESESDGGRHKKKHSHKKRQKHHPSRTDSNSDSSSEDEAETGRKGHSRPHKRHRRSSADNSSDSEDNKTHRRQHKQHIRLSSGDSISESDDQRNARRSRSLGKSSDDNSEEIGEPRNFKKSHHRHGHHHHHHDRHHHHSHRHHPDSTEANLVDKGM >DRNTG_00310.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2161548:2164717:1 gene:DRNTG_00310 transcript:DRNTG_00310.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKKFMQLVEEKKKRILEKKEAPLKWEQKLEAAKAKAEAEAEAEAKGKEKKQKIKKHKRKNSSASDYDSDSDSSDTERKLKKRSHKKHRSHHSKKRHSRSSDESSLDEYESESDGGRHKKKHSHKKRQKHHPSRTDSNSDSSSEDEAETGRKGHSRPHKRHRRSSADNSSDSEDNKTHRRQHKQHIRLSSGDSISESDDQRNARRSRSLGKSSDDNSEEIGEPRNFKKSHHRHGHHHHHHDRHHHHSHRHHPDSTEANLVDKGM >DRNTG_00310.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2161548:2164793:1 gene:DRNTG_00310 transcript:DRNTG_00310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKKFMQLVEEKKKRILEKKEAPLKWEQKLEAAKAKAEAEAEAEAKGKEKKQKIKKHKRKNSSASDYDSDSDSSDTERKLKKRSHKKHRSHHSKKRHSRSSDESSLDEYESESDGGRHKKKHSHKKRQKHHPSRTDSNSDSSSEDEAETGRKGHSRPHKRHRRSSADNSSDSEDNKTHRRQHKQHIRLSSGDSISESDDQRNARRSRSLGKSSDDNSEEIGEPRNFKKSHHRHGHHHHHHDRHHHHSHRHHPDSTEANLVDKGM >DRNTG_00310.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2161548:2164793:1 gene:DRNTG_00310 transcript:DRNTG_00310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKKFMQLVEEKKKRILEKKEAPLKWEQKLEAAKAKAEAEAEAEAKGKEKKQKIKKHKRKNSSASDYDSDSDSSDTERKLKKRSHKKHRSHHSKKRHSRSSDESSLDEYESESDGGRHKKKHSHKKRQKHHPSRTDSNSDSSSEDEAETGRKGHSRPHKRHRRSSADNSSDSEDNKTHRRQHKQHIRLSSGDSISESDDQRNARRSRSLGKSSDDNSEEIGEPRNFKKSHHRHGHHHHHHDRHHHHSHRHHPDSTEANLVDKGM >DRNTG_00310.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2161548:2164861:1 gene:DRNTG_00310 transcript:DRNTG_00310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKKFMQLVEEKKKRILEKKEAPLKWEQKLEAAKAKAEAEAEAEAKGKEKKQKIKKHKRKNSSASDYDSDSDSSDTERKLKKRSHKKHRSHHSKKRHSRSSDESSLDEYESESDGGRHKKKHSHKKRQKHHPSRTDSNSDSSSEDEAETGRKGHSRPHKRHRRSSADNSSDSEDNKTHRRQHKQHIRLSSGDSISESDDQRNARRSRSLGKSSDDNSEEIGEPRNFKKSHHRHGHHHHHHDRHHHHSHRHHPDSTEANLVDKGM >DRNTG_00310.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2161548:2164717:1 gene:DRNTG_00310 transcript:DRNTG_00310.10 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKKFMQLVEEKKKRILEKKEAPLKWEQKLEAAKAKAEAEAEAEAKGKEKKQKIKKHKRKNSSASDYDSDSDSSDTERKLKKRSHKKHRSHHSKKRHSRSSDESSLDEYESESDGGRHKKKHSHKKRQKHHPSRTDSNSDSSSEDEAETGRKGHSRPHKRHRRSSADNSSDSEDNKTHRRQHKQHIRLSSGDSISESDDQRNARRSRSLGKSSDDNSEEIGEPRNFKKSHHRHGHHHHHHDRHHHHSHRHHPDSTEANLVDKGM >DRNTG_00310.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2161493:2164717:1 gene:DRNTG_00310 transcript:DRNTG_00310.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKKFMQLVEEKKKRILEKKEAPLKWEQKLEAAKAKAEAEAEAEAKGKEKKQKIKKHKRKNSSASDYDSDSDSSDTERKLKKRSHKKHRSHHSKKRHSRSSDESSLDEYESESDGGRHKKKHSHKKRQKHHPSRTDSNSDSSSEDEAETGRKGHSRPHKRHRRSSADNSSDSEDNKTHRRQHKQHIRLSSGDSISESDDQRNARRSRSLGKSSDDNSEEIGEPRNFKKSHHRHGHHHHHHDRHHHHSHRHHPDSTEANLVDKGM >DRNTG_11781.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:562435:563243:1 gene:DRNTG_11781 transcript:DRNTG_11781.1 gene_biotype:protein_coding transcript_biotype:protein_coding GNPANEIVDMINQNRTSNKLPKLYDSAGLGCMALQYISECTGNCSKNNTMNCRPPEVNITEVYAPNCGVELPTVGIISGHLLGCNWNELSPQQAFSSVLIQNKQMLTLLHSKEHTEVGVGFSKDHRGPNFWCVLFSSGKTNSSFVLEGGKGIEQKTGCFSGTDLPCSAGMKLFLSEILLAVVVVLLVFLHSCMLN >DRNTG_17960.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20403962:20404249:1 gene:DRNTG_17960 transcript:DRNTG_17960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASWVSSIHVAWVGAIMLLLLMSAMQTHAQLTPTFYEKSCPAALKTIRKAVRTAIAKERRMAASLIRLHFHDCFVQ >DRNTG_17960.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20403962:20405384:1 gene:DRNTG_17960 transcript:DRNTG_17960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASWVSSIHVAWVGAIMLLLLMSAMQTHAQLTPTFYEKSCPAALKTIRKAVRTAIAKERRMAASLIRLHFHDCFVQGCDASVLLDDAPSINSEKNAFQNFRSLRGFEVVDSIKAAVEKVCSGVVSCADILAVASRDASEYVNGPTWTVKLGRRDSTTASKDLAEADLPIAFNDLDAQISSFANQGLSVRDMVALSGAHTIGQAQCATFRGRVYNDTNIDAGFARMRRRGCPVSDGNGNLAPLDLVTPNSFDNNYFKNLIKKKGLLHSDQVLFNGGSTDDIVTSYSTNKAAFYSDFASAMLKMGDIKTLTGSSGQIRKICSIVN >DRNTG_17960.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20404880:20405384:1 gene:DRNTG_17960 transcript:DRNTG_17960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGAHTIGQAQCATFRGRVYNDTNIDAGFARMRRRGCPVSDGNGNLAPLDLVTPNSFDNNYFKNLIKKKGLLHSDQVLFNGGSTDDIVTSYSTNKAAFYSDFASAMLKMGDIKTLTGSSGQIRKICSIVN >DRNTG_17960.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20403962:20420563:1 gene:DRNTG_17960 transcript:DRNTG_17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWVSSIHVAWVGAIMLLLLMSAMQTHAQLTPTFYEKSCPAALKTIRKAVRTAIAKERRMAASLIRLHFHDCFVQGCDASVLLDDAPSINSEKNAFQNFRSLRGFEVVDSIKAAVEKACPGVVSCADILAVASRDASEYVNGPMWTVKLGRRDSITASKDLAEADLPIAFNDLDAQISSFAKQGLSVRDMVALSGAHTIGQAQCATFRGRVYNDTNIDAGFARMRRRGCPVSDGNGNLAPLDLVTPNSFDNNYYKNLIQKKGLLHSDQVLFNGGSTDDIVTSYSKDKTAFYSDFASAMVKMGDISPLTGSSGQIRKVCSVVN >DRNTG_22207.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1391595:1392145:1 gene:DRNTG_22207 transcript:DRNTG_22207.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDFLGRIQESCEQNPQKTPRKTFNYCSQ >DRNTG_22373.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6148680:6187678:1 gene:DRNTG_22373 transcript:DRNTG_22373.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPREVAKIIWQCWQKDPNLRPSFADLTTALKSLQRLVVPLLIRMRKTHLWHKKYQ >DRNTG_22373.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6186001:6187678:1 gene:DRNTG_22373 transcript:DRNTG_22373.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPEWMAPGVLHNEPSNEKDPNLRPSFADLTTALKSLQRLVVPLLIRMRKTHLWHKKYQ >DRNTG_22373.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6186445:6187678:1 gene:DRNTG_22373 transcript:DRNTG_22373.5 gene_biotype:protein_coding transcript_biotype:protein_coding PEWMAPGVLHNEPSNEKDPNLRPSFADLTTALKSLQRLVVPLLIRMRKTHLWHKKYQ >DRNTG_22373.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6148680:6149811:1 gene:DRNTG_22373 transcript:DRNTG_22373.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNMQRSKLAAFLCGSHNSSQVFIAACCPCLSGYTKPTFGQRNTSKHNALNILNGKQAKSIHPFIAFCSVY >DRNTG_22373.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6143940:6145352:1 gene:DRNTG_22373 transcript:DRNTG_22373.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNFLPCHTVELRLHRHNTIHHRSRHNRNTGKNGYR >DRNTG_22373.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6143940:6149811:1 gene:DRNTG_22373 transcript:DRNTG_22373.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNFLPCHTVELRLHRHNTIHHRSRHNRNTGKNGYR >DRNTG_07046.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3748065:3749792:1 gene:DRNTG_07046 transcript:DRNTG_07046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPCCEKVGLKKGPWTADEDKKLINFILTNGHCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSDSEEQMVIDLHARLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLKKLGIDPLTHKPLPSTDQQHLQQQQQQQQQQKEEDDDDDDDYKKNAVVSMESRDEFCTDEVPLIQPHEILVPSSSSSSSSSSSSCSSSCMDWLEPMHFWGLDEFMSGWDFFGDDANGVLWTTTTTTTSSLDHDLLLQCPRSTLATTPTTTTSSLDHDLLLQCPRSTLATTPTTTTSSSSYFDQEAWKFE >DRNTG_07046.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3749138:3749792:1 gene:DRNTG_07046 transcript:DRNTG_07046.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESRDEFCTDEVPLIQPHEILVPSSSSSSSSSSSSCSSSCMDWLEPMHFWGLDEFMSGWDFFGDDANGVLWTTTTTTTSSLDHDLLLQCPRSTLATTPTTTTSSLDHDLLLQCPRSTLATTPTTTTSSSSYFDQEAWKFE >DRNTG_07046.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3748770:3749792:1 gene:DRNTG_07046 transcript:DRNTG_07046.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDLHARLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLKKLGIDPLTHKPLPSTDQQHLQQQQQQQQQQKEEDDDDDDDYKKNAVVSMESRDEFCTDEVPLIQPHEILVPSSSSSSSSSSSSCSSSCMDWLEPMHFWGLDEFMSGWDFFGDDANGVLWTTTTTTTSSLDHDLLLQCPRSTLATTPTTTTSSLDHDLLLQCPRSTLATTPTTTTSSSSYFDQEAWKFE >DRNTG_21995.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5473532:5478846:-1 gene:DRNTG_21995 transcript:DRNTG_21995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLQKVAADLRSSIWKQMANAGIKYIPSNTFSYYDQVLDTTAMLGAVPTRYGWTGGEIGFDTYFSMARGNASLPAMEMTKWFDTNYHFIVPELGPDTKFSYSSHKAVSEYKEAKALGINTVPVLIGPVSYLLLSKPAKGVEKSFALLSLLGKVLAVYKEVIAELKAAGASWIQFDEPTLVMDLDSHQLQAFTEAYSELESSFSGLNVLIETYFADVPAEAYKTIIALKGICGFGFDLVRGTKTLDLIKSKGFPSGKFLFAGVVDGRNIWANDLASSLSTLESLESVVGKDKLVVSTSCSLMHTAVDLVNETKLDDEIKSWLAFAAQKIVEVNALARALAGHKDQAFFQSNASAQASRKSSPRVTNQEVQKAAAGLKGSDHRRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTMDVRRVRREYKAKKISEEEYVNAMKAEISKVVKLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIFGDVSRPKAMTVFWSSMAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRYETCYQIALAIKTEVEDLEAAGIQVIQIDEAALREGLPLHKSEQAFYLDWAVHSFRITNCGVKDTTQIHTHMCYSHFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYPEVKPALTNMVSAAKILRKQLASAK >DRNTG_03087.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:436112:440079:-1 gene:DRNTG_03087 transcript:DRNTG_03087.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLHLRSCFLLLTSALFSLTIARDTLTPADTLTDGETLVSSGEKFELGFFSPPGSTNKYVGLWYKKVTVQTIVMVLNRQNPINSSTGVLTITNNGSLVISEQNSSIIIWSTPATSLENPVARLLDTGNLVVGEDASVSADNAAWQSFDYPTDTLLPGMKLGWDLRRGLNRNLTAWLSSSDPAIGPYTMAMDIQGDPQIFLWSGSTRTWRTGPWNGLRYSGIPEMQTYSMFTFTYVTNQNEIYYTFNIRDQSIISRLIVNQTGSAQRLVWLNQTGSWNLFWFAPKDQCDSVSPCGPNGVCDPNNSPICDCLQGFVPKSPTNWALRDGTDGCVRKTELDCRNRTDGFVTVAETKLPDTSSSTVDMSLSLDDCKAKCLSNCSCTAYASANISGSGSGCITWSTGLTDLRLYTFAGQDLYVRLAAKDLGSTSNGSHNSGASTVAIVVPVVLGTLIITLIGCCLWRRKRKRIQRVARRATMTFSDLHNIDEHSGKKEVDLPLFDFATIVDATEDFSMENKLGEGGFGPVYKGKLADGKEIGVKRLAKTSVQGCDEFKNEVMLIAKLQHRNLVQLVGCCTQGEERMLVYEYMPNKSLDALLFDKSKAALLDWQTRHRIIMGIARGLLYLHQDSRFRIIHRDLKASNILLDKEMNPKISDFGMARIFGGDETEVNTRKVVGTYGYMSPEYAMDGIFSVKSDVFSFGVLVLEIISGKKNRGIYLSDPKLNLLGHAWNLWKDGNALELVDESLGFAFPMAEVLRCIKVGLLCVQERPEDRPTMSNVVLMLGSESATLPNPRQPGFITARVPLEVDSSSSKQDSVSINEISVTMFEGR >DRNTG_28710.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18522765:18523137:1 gene:DRNTG_28710 transcript:DRNTG_28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACGHDAHTTMLLGAAKLLQHHKNELKGTVKLFFQPAEEGHAGAYHMIQEGSLDDVQAIFGMHVEPGLPTGTIACSPGPVL >DRNTG_05020.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5622359:5625510:1 gene:DRNTG_05020 transcript:DRNTG_05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSRSNWKKVSGRYFVGTDPVRCILRRTKGRLDEWRTCHKTIVMTIEGSKISVKKLIELLLNNNKEIYVAAHIIQSKGATQTSYGIVMDNIGKHLKRNVKREQQVFEQAIQVPYRDDVVVNDNEEVERNEACSVSKCPKKTHARVEFSHGRVFLFRAHPETAQGHGLAPVNDIKMVHDGATFPHERVFPCRDSKIFADKTQRHGLALVDICREVFLHPEKTQGVLSFSFSFLRPIFVHFVAPESSSSSAVELEQNTDA >DRNTG_06444.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29918957:29924403:1 gene:DRNTG_06444 transcript:DRNTG_06444.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLREHFELPPKNPSVEAQRRWRSAVGQIVKNRRRRFRHVPDLDKRSEVEAKKRKIQEKIRVALYVQKAALTFIEAVAKKDYKLPKEAKDAGFDIGADELASIVSGHDIKSLKFHGGVEGISRKVSVSVDDGVNSSDLSIRQNIFGVNRYIEKPSRSFWMFVWDALQDLTLIILMVCAAISVVVGLSTEGWPKGMYDGLGIILSILLVVGVTAVSDYKQSLQFKALDAEKKKIFIQVTRDGCRQKVSIYDLVVGDVVHLSIGDQVPADGLFISGYSFLIDESSLSGESEPVYISEEKPFLLAGTKVQDGSAKMLVISVGMRTEWGRLMETLSQGGEDETPLQVKLNGVATIIGKIGLAFATLTFLVLLVRFLIDKAVSVGLLTWHPDDALTILNYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMDDKALVRHLSACETMGSASCICSDKTGTLTTNHMVVDKYWICDVSKSCKGDGTADELKSLVSEKVLSILLQCIFQNTGSEVVRGKDGKNTILGSPTETAVLEFGLHLEGVDAQRQHHDCRKLKVEPFNSVKKKMSVLVSVCGGGVRAFCKGASEIILQMCDKMIDSDGNTVPLSEEKTKDIMNVINNFACEALRTLCLAFKDMDGSYNADGIPY >DRNTG_06444.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29918842:29924403:1 gene:DRNTG_06444 transcript:DRNTG_06444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLREHFELPPKNPSVEAQRRWRSAVGQIVKNRRRRFRHVPDLDKRSEVEAKKRKIQEKIRVALYVQKAALTFIEAVAKKDYKLPKEAKDAGFDIGADELASIVSGHDIKSLKFHGGVEGISRKVSVSVDDGVNSSDLSIRQNIFGVNRYIEKPSRSFWMFVWDALQDLTLIILMVCAAISVVVGLSTEGWPKGMYDGLGIILSILLVVGVTAVSDYKQSLQFKALDAEKKKIFIQVTRDGCRQKVSIYDLVVGDVVHLSIGDQVPADGLFISGYSFLIDESSLSGESEPVYISEEKPFLLAGTKVQDGSAKMLVISVGMRTEWGRLMETLSQGGEDETPLQVKLNGVATIIGKIGLAFATLTFLVLLVRFLIDKAVSVGLLTWHPDDALTILNYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMDDKALVRHLSACETMGSASCICSDKTGTLTTNHMVVDKYWICDVSKSCKGDGTADELKSLVSEKVLSILLQCIFQNTGSEVVRGKDGKNTILGSPTETAVLEFGLHLEGVDAQRQHHDCRKLKVEPFNSVKKKMSVLVSVCGGGVRAFCKGASEIILQMCDKMIDSDGNTVPLSEEKTKDIMNVINNFACEALRTLCLAFKDMDGSYNADGIPY >DRNTG_09457.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21297955:21300317:1 gene:DRNTG_09457 transcript:DRNTG_09457.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucuronosyltransferase GlcAT14C [Source:Projected from Arabidopsis thaliana (AT2G37585) UniProtKB/Swiss-Prot;Acc:Q8S8P3] MRKPHRSDRHCLPILLLFLLSLPLLLPLILLPLISTSSSSEPLRQPPTVPRLAYLIYGSSGDGVRLARLLRAVYHPWNYYLLSLDAAAAVDERVDLAKFVASDAVFEKFGNVWVAGDADEVTRKGPTMIASTLHAVAILLRECKDWSWFINLSAGDYPLMPQDDLLHIFSYLPRDLNFIEHTSDIGLKEYQRARPIIVDPGLYGSNRKDVFLAKEKRSLPSSFKLFAGIALNLFCLKVHF >DRNTG_09457.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21297955:21300317:1 gene:DRNTG_09457 transcript:DRNTG_09457.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucuronosyltransferase GlcAT14C [Source:Projected from Arabidopsis thaliana (AT2G37585) UniProtKB/Swiss-Prot;Acc:Q8S8P3] MRKPHRSDRHCLPILLLFLLSLPLLLPLILLPLISTSSSSEPLRQPPTVPRLAYLIYGSSGDGVRLARLLRAVYHPWNYYLLSLDAAAAVDERVDLAKFVASDAVFEKFGNVWVAGDADEVTRKGPTMIASTLHAVAILLRECKDWSWFINLSAGDYPLMPQDDLLHIFSYLPRDLNFIEHTSDIGLKEYQRARPIIVDPGLYGSNRKDVFLAKEKRSLPSSFKLFAGSSFVVLSRSFLEFCIWGWDNLPRTLLMYYTNFISSSEGYFHTVICNSRDFQNTTVNHDLRFVMWDDPPRPAPINLTSANFDQMVESGAPFAYNFIKDEQVLDIIDLELLKRSGSRFTPGGWCVGNSDSAMDLCSVHEKPNVIRPSTSSKRLERLLLKLLDPKNFKSGQCK >DRNTG_09003.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18672727:18674367:-1 gene:DRNTG_09003 transcript:DRNTG_09003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSNACFWLLCISIVESSINEIDRSRERERERERRENPSQGFANRTKVGDKNE >DRNTG_14909.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20517471:20517998:1 gene:DRNTG_14909 transcript:DRNTG_14909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDGDLETVQSWRRRLLPPAILLAAVVLPCSLIYLASTMDNSWPLLTSLPAGVTEEMKLRRVLSSAAMADNKTVILTTVNSAWISPGSVLDLFMESFKLGNETSELLDHLVVVAMDKKGYVRCMQVHKHCFALTTEGVDFSEHKNFMSGDYLKMMWRRLEFLGTILDLGFDFIFS >DRNTG_27823.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20184169:20187929:-1 gene:DRNTG_27823 transcript:DRNTG_27823.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCGLSGSVPQWLAKCSKLKVLDLSWNRFQGNVPSFLGELDFLFYLDLSNNSFTGEIPNSLAQLKSLMSGNVTQKEPSTEFFPFFIKRNGTAKGLQYNQVSSFPPSLLLGHNNLTGSILPGFGDLKNLLALDLSKNDLSGTIPEELSGMSSLENLDLSHNNLTGGIPSSLTKLNFLSSFSVAFNKLVGAIPTGGQFSTFSSAAFEGNVALCGFNFSSCAAEAPSSSVNQNRKNKRALVGIAFGVGIGASFILVIIYILVSRSHCRMPEDNAMKVADANGLAESAASSLVLLFQNKDNKELSINDILNSTNNFNQALIIGCGGFGLVYKATLPDGRKVAIKRLSGDFCQMEREFQAEVEALSRAQHKNLVLLQGYCRIGNDRLLIYSYMENGSLDYWLHEKFETGATLDWNTRLQIAQGAARGLSYLHQSCQPHILHRDIKSSNILLDSNFKAHLADFGLARLILPYDTHVTTDVVGTLGYIPPEYGHSSVATFKGDVYSFGVVLLELLTSRRPVDMCKPKNCRDLISWVLQMKKDKKEIEVFDPCIYDTAHDNQMMQVLEIACMCVNKTPKLRPPTQQLVAWLDTIGLDEQLTK >DRNTG_11375.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5928461:5948359:1 gene:DRNTG_11375 transcript:DRNTG_11375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLGQSFQVQEPSIMLPNQCNENTQGVWKFSCARGVALRAHPEKAQGRAAAPVNDHVIVTHPWVISGRGCEFLQSWADYPESTQGRVLALVGDLVNHARAWVISTRPCESLQRSFPHSEKTLGRVAAPRSHRGVRLPLWVGCTGVGIFCTPVANLVGFLRGFPAGSPFSHPISSIMAPRSKKQADKRPRESSPEPEGMRFVILEHQGDELADEVEDLVSASGWRQLLTIREPAIRDFALEVLSSFEFDRSYASFGSLNSIRFRVFGHHHSLSITQFSVLVGLYEEAFTDTEEYAQLPTNYPGTLTPQRAYRVLCGQGYASTEAPLPTFFLRNDDVGAIEPLQNLSTS >DRNTG_23502.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21770925:21774277:-1 gene:DRNTG_23502 transcript:DRNTG_23502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLEQLPISPEVAQPTGGGLHSWFLDCHGLWYTLALTLPSVLFIAYLASQARRSFNTLRFGRSYIIILYYALLWIVSVLNLFWCLAQAWQCTSGKELSWNLLTLFTTSGLLFLEVSLVAFLLQGNYTTGLESLTQTLVISGVIVAADIIIKIIYIFGFGIPLFIDASETTNRVKWGLWIVHKLLLTAIYGFILFMHHSKWRERLPARPAFYNYICAMFLLYVIALFGCVLAGNGAGFGFWLYNLATVCYHSLYLPLIYVTFLADFFQEDDLRLENVYYSEMKDAGFFDADWD >DRNTG_32953.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30878308:30884389:-1 gene:DRNTG_32953 transcript:DRNTG_32953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIAINPTKRYSVPESVDVPLTEKEEKLSHDRVVDGDDLFKGEEKCRSSNGFGKRDNTKMGTGKKNKQRPSSVSSNDLLNDGIPSSDQSNDVPSEVADAVDSGLLSDASQLRGNGSPILGSKSRLSNSTRGRFDGTLWQNVMGIFSFSDTAVCRSIGSFIMFIIKAVNGWIERQKPWVNSLTSAIHDACDNMHVQVKHFSPIICARVVQFAKLMVLLVMVWLDCNVRGLDSLLRLGITSFFTLLWCSILSMAAMAGITKMVILMVFAVLVGIFVGVTLAVLLVAFCSTLILWLYGSMWTTGFVVLFGGITFALSHERMALLVTTLYSLYCARSYVGWIGLLFGLNLSFISSDVLGHFLKNNISEHGSNTGQNQGRAGQFYGEDMNGSSADDAFPSSSTRPADRSTSDPSTSGAETELTSENEVARLLNCNDHYSALGFARYENVDISTLKREYRKKAMLVHPDKNMGHEKAADAFKKLQNAYEVLLDSLKRKTYDDELRREEILNYFRRFQAASQKNGRHNFFSSGFTHFEAEADGTHGESRRIACKKCNDFHIWICVDRSKSQARWCQECKDYHQAKDGDGWVEQSSHPLLFGLLQKMEAPCAFVCAESRIYDATEWFICQGMRCPANTHKPSFHVNTSVMSRHNSAKGTSSSHRGGGPMPATMDEPMTEEQFFEWFQNAVQAGMFEGNANTSNENSSPKTGSSSSKGNSKKKKKG >DRNTG_05919.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5124225:5129376:1 gene:DRNTG_05919 transcript:DRNTG_05919.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGRNQRTFRPKKSAPSGSKGAQLRRHIDATLGSGNLREAVRLPPGEDPNEWLAVNTVDFFNQVNLLYGTLTEFCTPESCPTMTAGPRYEYRWADGVQIKKPIEVSAPKYVEYLMDWIEAQLDDESIFPQRLGAPFPSNFREVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTNEFVLIDKKELAPLQELIESIIVPY >DRNTG_15260.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4386831:4389681:1 gene:DRNTG_15260 transcript:DRNTG_15260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSMKGYRVISIDIPCVWNYHEWVHAFEKFLDTINIYYVHLYGTSLGGFLAQLFAQHRPRRVKSLVLSNTFLETHKFSASMPWAPVLSWTPSFLLKRYILSGIRDGPHEPFIADSIDFVVGQVYAALLFA >DRNTG_15260.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4389994:4391592:1 gene:DRNTG_15260 transcript:DRNTG_15260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNDYCAIPQQLKDQVTERYLGARRAILKTGGDFPFLSRSDEVNLHLQLHLRHVGVEPNPGSLQDISKNGNAGNSANETNGGGGFDDPQEDRGSLGHDDCDETDQSEPSGSGSSKLDEQLLSNVRLYLMKLETTPLLVHAPTLFLALHLYFATLCILWKPLMVV >DRNTG_15260.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4386323:4391592:1 gene:DRNTG_15260 transcript:DRNTG_15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGILSSPGDYVHFKSLVPVQKITIGAKQWKYYDFGPKTVSPLVCIPGIAGNADVYYKQIMCLSMKGYRVISIDIPCVWNYHEWVHAFEKFLDTINIYYVHLYGTSLGGFLAQLFAQHRPRRVKSLVLSNTFLETHKFSASMPWAPVLSWTPSFLLKRYILSGIRDGPHEPFIADSIDFVVGQVERLSVEDLSSRLTLNANAVAVTRLLLSDSFITLMDTNDYCAIPQQLKDQVTERYLGARRAILKTGGDFPFLSRSDEVNLHLQLHLRHVGVEPNPGSLQDISKNGNAGNSANETNGGGGFDDPQEDRGSLGHDDCDETDQSEPSGSGSSKLDEQLLSNVRLYLMKLETTPLLVHAPTLFLALHLYFATLCILWKPLMVV >DRNTG_32943.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30941917:30944749:1 gene:DRNTG_32943 transcript:DRNTG_32943.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein VIP3 [Source:Projected from Arabidopsis thaliana (AT4G29830) UniProtKB/Swiss-Prot;Acc:Q9SZQ5] MKLAGIKSVENAHDESIWTAAWAPATETRSALLLTGSLDETVRFWSSDELNQVGTPSGGHALGVVAVAAHPAGFLAASASLDSFIRVFEIDSNSTIATLEAPPSEVWGMQFDPKGTVLAVAGGGSASVKLWDTSSWQLIATLAVPPPEGSRPADKTGSGKFVLSVAWSLDGRRLACGSMDGTIAVFDVARAKFLHHLDGHYMPVRSMVYSPVDPHVLFTACDDTHVHMYDAERKGMIGAMSGPYELGA >DRNTG_03477.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:868313:869137:1 gene:DRNTG_03477 transcript:DRNTG_03477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSATEVPKMERKTVEKNRRLHMKRLCSQLSSFIPKDEFSNSKEVLTQPDHLEQAAKYIKELKERIEKLKEKRRGMMMNIEGMDIGCNLPVIEVRHLDSTLEVLLISGIHSKSFMFYEVISLLEEEGAEVLNANYSVVGDKIFHTIHSQAVSSRIGFDTTRVSERLRQLVR >DRNTG_19948.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11432533:11435465:-1 gene:DRNTG_19948 transcript:DRNTG_19948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGTHPESLEDHPCGRKDAPQDYPRGFGRKRFRANTLIGLGITAVTIGVIYFWMSLGPTTVAFVILASI >DRNTG_19007.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22628214:22630315:1 gene:DRNTG_19007 transcript:DRNTG_19007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSPAKTLAVLMVMIHLFSSVTAVSIGVNYGAFADNLPPPAQVATFIKERTYINRVKLFDCNPDFIRAFAGTGISLMITAPNGDIPSLANATAARAWVAANVAPFYPATDISLIAVGNEIMATSDKFLIARLVPAMRSLSAALSAAGFHKIRVSTPHSLGILSASEPPSSGRFRRGYDRVIFGPMLKFHRETKTPFIVNPYPYFGYTDRTLDYALFRPNPGKYDPATGINYTNMFDAQLDAVYAAMNRLGYGDVEIAVGETGWPSMAEPNQAGVSVEDARSFNLNLIRKVNSGKGTPRMPNRTFETYIFSLFNEDLKPGPIAERNFGLFRPDLTPVYDVGILRGGQSGGGVQPSPTRRWCVAQLGASNQALQDNIDYACSNGADCKQIEVGGACFEPNTLLAHASFAMNSYFQKAGRHDFNCDFSQTGVITATDPSYGTCKFSS >DRNTG_19007.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22628214:22630315:1 gene:DRNTG_19007 transcript:DRNTG_19007.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSPAKTLAVLMVMIHLFSSVTAVSIGVNYGAFADNLPPPAQVATFIKERTYINRVKLFDCNPDFIRAFAGTGISLMITAPNGDIPSLANATAARAWVAANVAPFYPATDISLIAVGNEIMATSDKFLIARLVPAMRSLSAALSAAGFHKIRVSTPHSLGILSASEPPSSGRFRRGYDRVIFGPMLKFHRETKTPFIVNPYPYFGYTDRTLDYALFRPNPGKYDPATGINYTNMFDAQLDAVYAAMNRLGYGDVEIAVGETGWPSMAEPNQAGVSVEDARSFNLNLIRKVNSGKGTPRMPNRTFETYIFSLFNEDLKPGPIAERNFGLFRPDLTPVYDVGILRGGQVSHL >DRNTG_20893.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25086654:25087979:1 gene:DRNTG_20893 transcript:DRNTG_20893.1 gene_biotype:protein_coding transcript_biotype:protein_coding KPLRPQPYQQEKLRFHRKNGEKNLQRPRRNPRMDQGCLAPNLATLGGINKEKWISFNCWSFELESRSDSYR >DRNTG_07071.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2113831:2114766:1 gene:DRNTG_07071 transcript:DRNTG_07071.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNKTTSQEQHQEGNNSNSNQRRGAAETEEGYLA >DRNTG_07071.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2113831:2114484:1 gene:DRNTG_07071 transcript:DRNTG_07071.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNKTTSQEQHQEGNNSNSNQRRGAAETEEGYLA >DRNTG_32564.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19013797:19019712:-1 gene:DRNTG_32564 transcript:DRNTG_32564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPDPYEGLFDQEESNEEVMMLGSNGEETSTLGILKNVLRKMKRARRRHRKCPKTIGDVHELRKLDERLLERAHGHAAAPVDDHVTALVAELGKFSREHTGAWTRPCCSLHPEKTQGRQGAPRELGLECPRLGEFPPGRGRLDIFLECPWKPQGRAYAPVEWWLAAVVDD >DRNTG_17239.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16831846:16833526:1 gene:DRNTG_17239 transcript:DRNTG_17239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLEVHTVQNLLHDNSRVHRQAPSVQVIQEEKDDEEEQAKGATLKNDGDKPYSSFHDQVAYPKGYNVPKFKQFNGLGNPDQHLAHFITACGDTSNNPYCC >DRNTG_06838.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6546777:6551678:1 gene:DRNTG_06838 transcript:DRNTG_06838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTSPLPKVTDGSNQANSRRAKWWYATFHNVTAMVGAGVLSLPYAMAHLGWGPGSLALVLSWCITLYTLWLMIQLHECVPGTRFDRYRDLGQYAFGPKLGLWIVVPQQLIVQLGCDIVYMVTGGKCLEKFMEIIFPSLATMHTSYWICFFGAIQFFLSQLPDLNSMSAVSFAAAVMSLSYSTISWVSCLSRGPIDNVTYSYKKSSPEDSMFRVFGALGQVAFAYAGHGVILEIQATIPSSSTKPSKDPMWKGTVVAYFITALCYFPVAMVGYWAFGQDVEDNVLMALKRPGWLIAAANLMVVIHVIGSYQVYAMPVFDSIETILVSKFKLHSGFALRLIARSAYVAFTLFLGVTFPFFGDLLGFFGGFGFTPTSYFLPCIIWLAIKKPKRFSLSWIVNWGCIIIGVCIMLVSTISGLRNIISDSSTFQFYS >DRNTG_06838.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6546777:6549317:1 gene:DRNTG_06838 transcript:DRNTG_06838.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTSPLPKVTDGSNQANSRRAKWWYATFHNVTAMVGAGVLSLPYAMAHLGWGPGSLALVLSWCITLYTLWLMIQLHECVPGTRFDRYRDLGQYAFGPKLGLWIVVPQQLIVQLGCDIVYMVTGGKCLEKFMEIIFPSLATMHTSYWICFFGAIQFFLSQLPDLNSMSAVSFAAAVMSLSYSTISWVSCLSRGPIDNVTYSYKKSSPEDSMFRVFGALGQVAFAYAGHGVILEIQATIPSSSTKPSKDPMWKGTVVAYFITALCYFPVAMVGYWAFGQDVEDNVLMALKRPGWLIAAANLMVVIHVIGSYQVSSIFHLFETSCC >DRNTG_16911.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4985860:4991100:1 gene:DRNTG_16911 transcript:DRNTG_16911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLELHSVLRLPSLPSPPRWAIHRASIVCRAVSAASPPILVADGELEALDGRERTVARIGLPSKGRMAEETLELLKACQLTVKQANPRQYVAYIPQLENLEVWFQRPKDIVRKLKSGDLDLGIVGLDTVTEHGQGSEDLVLIHDELDFGHCHLSIAIPQYGIFENVNSIEELAQMPHWTEERPLRIATGFSYLGPKFTAEKGLKHVIFSSADGALEAAPAMGIADGILDLVSSGTTLRENDLKEIEGGVVLESQGVLVGSKKALIQREGVLESTHEILERLEAHLRAVGQFTVVANMRGSSEQEVAERILGHAELSGLQGPTISPVYCERDGKVVVDYYAIVICVPRKALYKSVQELRAISGSGVLVSELTYIFEEDTPRWCKLLSKLGF >DRNTG_31983.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:688670:697286:1 gene:DRNTG_31983 transcript:DRNTG_31983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVRRRTNLCDAADKAAIIPLAIAASPEDEVPASAPVPFPAPSSFVNWTSLPDDTVVQLFSCLNYRDRASLSSTCRSWHHLGASPCLWTSLDLRAHRCGPETAIALARRCVALRRLRFRGADSATSVIHLHARDLREISGDGCRDITDATLSVLAARHEALENLQIGPEPCQRITSDAVRHVALCCSGLRRLRLSGVREIDGDAVNALARHCTCLEEVAFIDCGAVDETALGSVTSIRFLSLAGSGNLKWSSAAVSLSKLPNLVGLDVSRTDASPGAVSRLLSSSKSLKVLCALNCAALEEEWNHNETAFSNKKGKLLAALFTDVFKGVASLFVDITASNDRAVFGEWRDWTSSDKGLDDIMEWLEWILSHCLLRMAETNPAGMDEFWLKQGTALLLSLAKSTQEDVQEKAAFGLATFVVIDDENATVDPARAEAVMMDGGIPLLLDLAKSSREGVQSEAAKAIANLSVNSKVAKTVADEGGISILAELARSMNRFVAEEAAGGLWNLSVGEEHKAAIAEAGGVKALVDLIFKWPSGIDGVLERAAGALANLAADEICSMEVAAAGGVHALVMLARSCKVEGVQEQAARALANLAAHGDSNSNNAAVGQEAGALEALVQLTCSQNEGVRQEAAGALWNLSFDDRNREAIAAAGGVEALVALAQACSNGSQGLQERAAGALWGLSVSEANSIAIGREGGVAPLIELARSDAQDVHETAAGALWNLAFNPGNALRIVEEGGVPALVHLCSSSGSKMARFMAALALAYMFDGRMDEIALVGSSSEGTSKSVNLDGARRMALKHIESFVLSFSDSHLFSMAVASSGPGALTQIGEAARIQEAGHLRCSGAEIGRFVAMLRNASPILRSCAAFALLQFTIPGGRHAVHHASLLQKVGAWRVLRKAGAATTAPIEAKVFAKVVSSYLKDNMGDPV >DRNTG_00280.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1859782:1860793:1 gene:DRNTG_00280 transcript:DRNTG_00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIAGLTTQAIGNLLRIKTTQNKRTTFLSGDYGIGVNKLKLIGCGGIPMTHVTGLDERILIRIAETTMTKKSWDILNTEHQGNTKILLIKLYSLREELEAVKMKKGEKIPDYISRVLDVVYQIRMLGEDILEYMVVTKILRSLTHDFKHVKLTVEELSGSLKSHKAILHILAEPEEEAAFKAATNYPVNIKG >DRNTG_23819.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29533195:29538401:1 gene:DRNTG_23819 transcript:DRNTG_23819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDRDKEERNERAKKAIGAMKAMGIPVHKAKPVLKSLLKESENSWDFIEADNYSVLVDALISLPESPDASAKKRDAGLAEQSGPTRKKLKLNEEDEDEDEASPSGTRVDELRATSPVQQAPEDDVSPQLQLRRRRMEPAGESASPQPSFGQHGGGTAVSPRGNGQEMSAPSVGVQIGHKKEQAPTPSSLVTYGRDKGLMKENMDSPVYHRNRKGEQANQNPVSPIIPKDEPLDDNEPGFEVPIAVMPPDEPTSFRNKDLQHQNVHNDIPVSNGSTSQINASETLASQHSERRKENGVPCNNSGCKDDSAQELAGLQDASVKKVEIASSKSGEVKVSLTCSSDNQNFVMPDLESLFKMVEDRCLKSYRILQPDFSLMNVMTELCQCVVELSSNPTGEKEESTVNVTPLVDSLKKSGMGSTLGGIPSFPSNSSPLAVPHCIRPLASEDLTMNGSGKKKNNSGNPGTSNVSASELMVFKQQHPQCVNVRPLHDANDITKGEERVRISVVNEVSSERLPPSFHYIPQNLVYQNGYINFSLARIGDEDCCADCFGDCLAAAIPCACARETGGEFAYTSEGVIKKEFLDECISMNSDPEKHHHVYCKDCPLERSKNKTRPGACKGHLVRKFIKECWSKCGCNKQCGNRVVQRGITCNLQVFYTSEGKGWGLRTLDDLPRGAFVCEYVGEILTNMELYERTMQTTGNARHTYPVLLDADWGSEGVLKDEEALCLDATFYGNVGRFVNHRCFDANLIEIPVEVETADHHYYHLAFFTTRKVEALEELTWDYGIDFGDHKHPVKAFQCRCLSRFCRDKKKPRTRRNSLVLK >DRNTG_03081.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:380413:385436:1 gene:DRNTG_03081 transcript:DRNTG_03081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRARTSEGVILHRWNDLRKRVLTSTTKMKQTLNDETLPSSSPLTPQANREIKKRLAPSSQQAKFVDHSSITRRSLPFRSIVMTPLVEMLPIAQSELSVLAAVEANPA >DRNTG_17624.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4405573:4407098:-1 gene:DRNTG_17624 transcript:DRNTG_17624.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSGFIPNTFTFPPLAVSCARSASQTDVEVVHAQAIRRGADSVVHVCNSLIHAYAACGLVGHARVLFDEMPLRDLVSWNSLVDGYVKVGDLRSARCLFDRMPERNVVSWNIMISGCLKCRCPESGLDLFREMERVGVLANVKTMVSITTACGRLGLIRYGRTVHGYFVRSFREKNNLIFETALVDMYSKCKRVDIARKVFDRIAEKNLVSWNAMILGHCIHACSQDGLALFDEMVQIGEEDSEVKPDETTFVGILSGCARAGLLDEGRRYFDEMTSIHGLKPTFAHYWCMANLYGSLGMVQEAEEVLMSMPEDTEALVWSTLLGLCRFRGDIELGEQIGKRLIELEPYNGSRYALLWNIYIVAERWEDVEKVKEMMKQRAVKTIPGHRLFDLKEIVHSFKAGDRSRPEMKDIYSMMDKIAARLKLSTRLGESKIDSCL >DRNTG_22312.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:413565:417500:-1 gene:DRNTG_22312 transcript:DRNTG_22312.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKPHAPIYPRLVKNVVDGLTFEETKELRDKGLNSPALMKLTRNGVYVNVVDRVREAFKTSDVLRLDCTHVGRNDCKKIGVKLRDLVPCVPLLFKGEQIVIWRGGRNKPGFQQNS >DRNTG_22312.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:413565:417500:-1 gene:DRNTG_22312 transcript:DRNTG_22312.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERAMEEERRKAVLGDPLTEEEVAELVEKYRHSDCFRQINLGKDGVTHNMLVDVHNHWKRSEAVRIKCLGVPTLDMDNVCFHLEVWVYNLKIGFAFDNLFHLSYFCLVKIMVNAGQNWWEDYL >DRNTG_22312.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:413565:417500:-1 gene:DRNTG_22312 transcript:DRNTG_22312.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERAMEEERRKAVLGDPLTEEEVAELVEKYRHSDCFRQINLGKDGVTHNMLVDVHNHWKRSEAVRIKCLGVPTLDMDNVCFHLEDKTGGKIIYRSVNIILLYRGRNYDPKQRLIIPVMLWKPHAPIYPRLVKNVVDGLTFEETKELRDKGLNSPALMKLTRNGVYVNVVDRVREAFKTSDVLRLDCTHVGRNDCKKIGVKLRDLVPCVPLLFKGEQIVIWRGGRNKPGFQQNS >DRNTG_10163.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000425.1:20726:21737:1 gene:DRNTG_10163 transcript:DRNTG_10163.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYATNSTIIPALISKGGLIISDSLNHNSIVNGSRGSSAVVRIFQHNTLSHLEEVLRE >DRNTG_10163.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000425.1:19487:21737:1 gene:DRNTG_10163 transcript:DRNTG_10163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAYLDYSQGGLIISDSLNHNSIVNGSRGSSAVVRIFQHNSKVFALFELSQTLSIAHLTFATCRIFSSVSLGGSAERVDHRRIVGSFVWIICIFLV >DRNTG_22821.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14829607:14832159:-1 gene:DRNTG_22821 transcript:DRNTG_22821.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLELIAILYECEVEKLFNFSSGTCIGIIFSKGALIRPRAYLVGSMPTKAPPITFFQPKRSAKSGEPLQRHAKSLSKP >DRNTG_29293.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11655133:11655509:-1 gene:DRNTG_29293 transcript:DRNTG_29293.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSPLPILQNPPPPPSPLRKPSPPSPPLSPSLSRCCEERCARRPTTLLPDPFHLDPPVRHRIVALHRRIEPPLPSPITADLTTTVTSVPTFCSLLYMFSLFLDMLAILLSSSFDL >DRNTG_26530.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3324905:3327482:-1 gene:DRNTG_26530 transcript:DRNTG_26530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDSCNLTGTTHYQILSVKEDANYDEIRAGYKAAILNSHPDKLHMNTKESQLDHELQERFLSVQKAWEVLSDPTSRANYDMELQSSRQKLEAVADEVTLEEMTIETIGDAQEFFYQCRCGDYFSVTPSELDEIGLPLNININGDVASQSATGLQPAAVLLPCGSCSLKIRLILHSVP >DRNTG_26530.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3321273:3324079:-1 gene:DRNTG_26530 transcript:DRNTG_26530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVSIEYRLAPEFPLPAAYDDCWKALQWVVHQLDGATAVPEPWLVNFGDLSRLFLAGDSAGANIAHHMALRAGAEGSEGVKMRGMVLMHPYFWGSKMEGEEVGDELRKRLDELWMLVCPASAGVDDPMINPLAETAPDLGPMACEKIMVCVAEKDLLSVRGMAYYEKLKEKRVDGVELVVSHGMGHVFHLDEAGCDQAAVLINKVVAFLSS >DRNTG_26530.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3321273:3327482:-1 gene:DRNTG_26530 transcript:DRNTG_26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVSIEYRLAPEFPLPAAYDDCWKALQWVVHQLDGATAVPEPWLVNFGDLSRLFLAGDSAGANIAHHMALRAGAEGSEGVKMRGMVLMHPYFWGSKMEGEEVGDELRKRLDELWMLVCPASAGVDDPMINPLAETAPDLGPMACEKIMVCVAEKDLLSVRGMAYYEKLKEKRVDGVELVVSHGMGHVFHLDEAGCDQAAVLINKVVAFLSS >DRNTG_26530.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3321273:3322732:-1 gene:DRNTG_26530 transcript:DRNTG_26530.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVSIEYRLAPEFPLPAAYDDCWKALQWVVHQLDGATAVPEPWLVNFGDLSRLFLAGDSAGANIAHHMALRAGAEGSEGVKMRGMVLMHPYFWGSKMEGEEVGDELRKRLDELWMLVCPASAGVDDPMINPLAETAPDLGPMACEKIMVCVAEKDLLSVRGMAYYEKLKEKRVDGVELVVSHGMGHVFHLDEAGCDQAAVLINKVVAFLSS >DRNTG_26530.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3321273:3322556:-1 gene:DRNTG_26530 transcript:DRNTG_26530.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVSIEYRLAPEFPLPAAYDDCWKALQWVVHQLDGATAVPEPWLVNFGDLSRLFLAGDSAGANIAHHMALRAGAEGSEGVKMRGMVLMHPYFWGSKMEGEEVGDELRKRLDELWMLVCPASAGVDDPMINPLAETAPDLGPMACEKIMVCVAEKDLLSVRGMAYYEKLKEKRVDGVELVVSHGMGHVFHLDEAGCDQAAVLINKVVAFLSS >DRNTG_26530.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3325002:3327482:-1 gene:DRNTG_26530 transcript:DRNTG_26530.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDSCNLTGTTHYQILSVKEDANYDEIRAGYKAAILNSHPDKLHMNTKESQLDHELQERFLSVQKAWEVLSDPTSRANYDMELQSSRQKLEAVADEVTLEEMTIETIGDAQEFFYQCRCGDYFSVTPSELDEIGLPLNININGDVASQSATGLQPAAVLLPCGSCSLKIRLILHSVP >DRNTG_26530.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3321273:3324079:-1 gene:DRNTG_26530 transcript:DRNTG_26530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVSIEYRLAPEFPLPAAYDDCWKALQWVVHQLDGATAVPEPWLVNFGDLSRLFLAGDSAGANIAHHMALRAGAEGSEGVKMRGMVLMHPYFWGSKMEGEEVGDELRKRLDELWMLVCPASAGVDDPMINPLAETAPDLGPMACEKIMVCVAEKDLLSVRGMAYYEKLKEKRVDGVELVVSHGMGHVFHLDEAGCDQAAVLINKVVAFLSS >DRNTG_01968.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12975968:12977514:1 gene:DRNTG_01968 transcript:DRNTG_01968.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSVWESLQKYWGSEEFKKISEQNKKNRAVNGSSSIVIYRGGSVSTAVHRLRLIEELDREPTPKECFIRTHGKKDGTLEGERATQIVLSIL >DRNTG_01968.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12974032:12977514:1 gene:DRNTG_01968 transcript:DRNTG_01968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSVWESLQKYWGSEEFKKISEQNKKNRAVNGSSSIVIYRGGSVSTAVHRLRLIEELDREPTPKECFIRTHGKKDGTLEGERATQIVLSIL >DRNTG_01968.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12975042:12977514:1 gene:DRNTG_01968 transcript:DRNTG_01968.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSVWESLQKYWGSEEFKKISEQNKKNRAVNGSSSIVIYRGGSVSTAVHRLRLIEELDREPTPKECFIRTHGKKDGTLEGYIHIYLSKLRSYRQSLLKPKLSTMQCLPSMIRCEPNMMRCGLSGLVVSHLKCHCLQHLG >DRNTG_01968.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12974032:12975890:1 gene:DRNTG_01968 transcript:DRNTG_01968.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTHYPDYSSISGRGGSTLRYGGRSISGRGRSTASIRQKSVSSKLVSNNSPELGMPPCIHPNIQAPEVSPSITQSPQTSQPPLTPHAEASTHVSEGQHEATEDQSNESNSTGPPWFITPDSVVIDYEVKKAIHDLVQGHYKEPWTGWGKVPRDVRQRMFTAFRV >DRNTG_02277.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:898221:900458:-1 gene:DRNTG_02277 transcript:DRNTG_02277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAILRSMSSSAARRLLFLSRSSFSTIPITAKGFLQHSPRLSPSSSIFRPISDCGIPFALSFKRSFSADVKQFPAFADPDIEAAFKDLMAMSWDEIPDSVLGSTKKALSKNTDDKAGQEALANVFRAAEASVEFGAVLVSLRMALDDLCGLTGENVDRLPDYLEDALKAAYKRYVTYLDAFGPDEAFLKKKVENELGTKMIHLKMRCSGIGSEWGKVTLLGTSGISGSYVEQRA >DRNTG_18096.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:342114:348635:1 gene:DRNTG_18096 transcript:DRNTG_18096.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Squamosa promoter-binding-like protein 6 [Source: Projected from Oryza sativa (Os03g0833300)] MEARLGGESHQLYGVGPSEVNGRGGKSLEWDLNDWNWDGDRFRAIPLNASPSDCRSKQLPHVLAARGLSNNSSSCSEGTELAIVGKGKGTLEKRRRAAVVGDDEPNDEVGSFSLKLGGHDYPVVEADLANWDGKNGKRSKLQGASANRSACQVEGCAADLIDAKDYHRRHKVCEMHAKASSAVVANAVQRFCQQCSRFHLLQEFDDGKRSCRRRLAGHNRRRRKTHPDAAVSGNGLTDERASSYLLISLLRILTNLHTDDSDKSKDQDLLSHLLRNLASLAGSLDSQNLSGLLRANEDTRKTGSGTATASEALLSNGTAVQKSSGPLCGPSTVTYMNGTQNLTMPPADQSLSVPAATVEMPVNRNVREEAFVEARPPVSSKTSTVLVPGKDAVSAKPAAAAATMLSLLPEMVPAGSVSKKMQLKDFDLNDTYDDTEECIRRCEQLAMPATMEAGSPTCMSWMMPDSHHSSPPQTSGNSEPTSTQSLSSSNGDAQSRTDRIIFKLFGKDPRDFPIDLRTQVFNWLSHSPTEMESYIRPGCIILTIYLRLPESEWKELYQDLSSGLHKLLQISDGDFWRSGWIYARVQHQIAFLYNGRVVLNTPLLLKSPRYLDVLCISPIAVPTASRVNFKVKGFGMTQSTVRILCAFEGKYLVQETLQALVEGRNDGRECGGSQCLSFSCSLPNTSGRGFIEVEDHGLSSIFFPFLVAEEDVCSEIRMLEGAIDVDSNDVHLQEQIDVMNARNLAMEFLHEMGWFLRRSQLMSRVKEVGSCPNTFPLTRFWWLMSFAVDREWSAVVKKLLDLLFQGIVDLGNISPSSVLSSSDLLHSAVRKKCKSIVEVLLRYAPTSALKGTSDGNYLFRPDMPGPLNITPLHIAASNSGAENILDSLTNDPGQLGITAWRSARDSTGFSPEDYARSRGYESYLQLVQKKINRQFDKGSVVLDISGSSLATNSSQKQLDNLCSSKLSGFQIDMRKMEHIIRPACKLCAQQVIYRSPVGRSLLYRPVMLSMVGIAAVCVCVGLLLKSPPLVLYLNHPFRWESLGYGSI >DRNTG_27024.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2515405:2517141:1 gene:DRNTG_27024 transcript:DRNTG_27024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHTELLQSSEGAQPWKINAGDFRLPERPKEPLLASLVLKSKERKIARYYKKQEKLLKDFNEMESISSAGFTADAPTEDELRKLARSERMAINISNFANLILFVAKVYASVASRSMAVIASTLDSFLDLLSGLILLVTSRAMSKPNQYSYPIGKKRMQPVGIIVFASVMATLGFQVIFESGRQILEQSQPNTDSVKEKWMIGIMVSVTAVKFILMLYCRMYKNKIIKAYAQDHFFDVVTNSIGLITAILGIRVRWWIDPLGAILIAIYTISTWAKTVMENVWSLIGQTAPPEYLTKLTYLIWNHHEDIKHIDTVRAYTFGSHYFVEVDIVLPGEMQLSQAHDIGEDLQVKLEQLPEVERAFVHVDFEFTHRPEHKAMV >DRNTG_05483.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23355078:23356727:1 gene:DRNTG_05483 transcript:DRNTG_05483.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVREEERGKAKRKKTMGKEMDGMWPRIKAKKDLQINHLKGNQLFTVPNFLTAAEAKAFVDAAEAIGFAHQGSQGPAKGEAYRDNDRISVSDPVLAKTIWESGLKSMFDDIELRGKIAVGLNPNIRFYRYKVGQRFGQHIDESVNLGEGHRTQYTLLIYLSGDLCSKTRHGLDKKQDSSTHSLVGGETVFYDERRGIVAE >DRNTG_05483.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23356891:23357471:1 gene:DRNTG_05483 transcript:DRNTG_05483.7 gene_biotype:protein_coding transcript_biotype:protein_coding VAPVMGMALLHIHGDRCMLHEARAVIKNVKYVLRSDMVFA >DRNTG_05483.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23356891:23357707:1 gene:DRNTG_05483 transcript:DRNTG_05483.6 gene_biotype:protein_coding transcript_biotype:protein_coding VAPVMGMALLHIHGDRCMLHEARAVIKNVKYVLRSDMVFA >DRNTG_05483.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23355078:23357707:1 gene:DRNTG_05483 transcript:DRNTG_05483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVREEERGKAKRKKTMGKEMDGMWPRIKAKKDLQINHLKGNQLFTVPNFLTAAEAKAFVDAAEAIGFAHQGSQGPAKGEAYRDNDRISVSDPVLAKTIWESGLKSMFDDIELRGKIAVGLNPNIRFYR >DRNTG_05483.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23355078:23357471:1 gene:DRNTG_05483 transcript:DRNTG_05483.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVREEERGKAKRKKTMGKEMDGMWPRIKAKKDLQINHLKGNQLFTVPNFLTAAEAKAFVDAAEAIGFAHQGSQGPAKGEAYRDNDRISVSDPVLAKTIWESGLKSMFDDIELRGKIAVGLNPNIRFYRYKVGQRFGQHIDESVNLGEGHRTQYTLLIYLSGDLCSKTRHGLDKKQDSSTHSLVGGETVFYDERRGIVAEVAPVMGMALLHIHGDRCMLHEARAVIKNVKYVLRSDMVFA >DRNTG_05483.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23355078:23357471:1 gene:DRNTG_05483 transcript:DRNTG_05483.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGLRILYSCLTVGGEVILELRMYMQRTYRDKFANALMETTMPFVYFTLQIDEGHLILSFVSIVAARYKVGQRFGQHIDESVNLGEGHRTQYTLLIYLSGDLCSKTRHGLDKKQDSSTHSLVGGETVFYDERRGIVAEVAPVMGMALLHIHGDRCMLHEARAVIKNVKYVLRSDMVFA >DRNTG_05483.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23355078:23356727:1 gene:DRNTG_05483 transcript:DRNTG_05483.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVREEERGKAKRKKTMGKEMDGMWPRIKAKKDLQINHLKGNQLFTVIYPRLNSSSLFDPCV >DRNTG_31452.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:776104:778493:-1 gene:DRNTG_31452 transcript:DRNTG_31452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSLPLYLDPPNWNQEQVGHQPVHRNGDGDHPNQTTNTHRPGSMAERARLAKLPQPEQALKCPRCDSTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSKASGSSSKSSSSLSSSAAVSTSTVAAVMQSHATVPPQLPPFMASLHSLPDYGAAANLGLNFTGMQTMDHNHAAHEYQATGIQHMPQLPFLGGLESPVPMSVPGLFPFEGFSGEVHQKQSNSGMITQLASVKMEENSLARLSLQRQFLSLPGSDQYWNGAAGGGSTSIGNSGWASDLPGFNSSTNGSSVL >DRNTG_31452.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:777194:778493:-1 gene:DRNTG_31452 transcript:DRNTG_31452.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSLPLYLDPPNWNQEQVGHQPVHRNGDGDHPNQTTNTHRPGSMAERARLAKLPQPEQALKCPRCDSTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSKASGSSSKSSSSLSSSAAVSTSTVAAVMQSHATVPPQLPPFMASLHSLPDYGAAANLGLNFTGMQTMDHNHAAHEYQATGIQHMPQLPFLGGLESPVPMSVPGLFPFEGFSGEVHQKQSNSGMITQLASVKMEENSLARLSLQRQFLSLPGSDQYWNGAAGGGSTSIGNSGWASDLPGFNSSTNGSSVL >DRNTG_16862.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21935449:21937819:1 gene:DRNTG_16862 transcript:DRNTG_16862.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKKMDPISINGGSTDPDTSPCPSPQALDSMVVPNISETGSYSPSTSTSSSVFTKLNDSAAVSDSSATTSTNTTTTSTRSNSLDSSSTYSAPIRRHTGGDCRWEAIRLANSRDSPIGLGHFRLLKRLGYGDIGSVYLVELRGTCAYFAMKVMDRASLVSRNKVLRSQTEREILGLLDHPFLPTLYSHFETDKFYCLVMEYCSGGNLHSLRQKQPNKYFTEQAARFYASEVLLALEYLHMLGIVYRDLKPENVLVREEGHIMLSDFDLSLRCSVNPTLVKSSSVHGGGGGILDSENAVQGCIQPTAFFPRILPKRNRKSKSDFGVGNGNLPELMAEPTNARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLFELLHGTTPFKGAGNRATLFNVVGQPLRFPDTPSVSMVARDLIRGLLVKDPQKRIAYRRGATEIKQHPFFEGVNWALIRSTTPPHVPDAVDFSQYAVKEKKGAENGVDVSISSGAGGGGGGSSGGGNKGNNANDSSYLDFEYF >DRNTG_16862.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21935605:21937843:1 gene:DRNTG_16862 transcript:DRNTG_16862.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTNISSNIDIKTIPVTTDKPSVLMNKKKMDPISINGGSTDPDTSPCPSPQALDSMVVPNISETGSYSPSTSTSSSVFTKLNDSAAVSDSSATTSTNTTTTSTRSNSLDSSSTYSAPIRRHTGGDCRWEAIRLANSRDSPIGLGHFRLLKRLGYGDIGSVYLVELRGTCAYFAMKVMDRASLVSRNKVLRSQTEREILGLLDHPFLPTLYSHFETDKFYCLVMEYCSGGNLHSLRQKQPNKYFTEQAARFYASEVLLALEYLHMLGIVYRDLKPENVLVREEGHIMLSDFDLSLRCSVNPTLVKSSSVHGGGGGILDSENAVQGCIQPTAFFPRILPKRNRKSKSDFGVGNGNLPELMAEPTNARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLFELLHGTTPFKGAGNRATLFNVVGQPLRFPDTPSVSMVARDLIRGLLVKDPQKRIAYRRGATEIKQHPFFEGVNWALIRSTTPPHVPDAVDFSQYAVKEKKGAENGVDVSISSGAGGGGGGSSGGGNKGNNANDSSYLDFEYF >DRNTG_16862.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21935449:21937819:1 gene:DRNTG_16862 transcript:DRNTG_16862.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNISSNIDIKTIPVTTDKPSVLMNKKKMDPISINGGSTDPDTSPCPSPQALDSMVVPNISETGSYSPSTSTSSSVFTKLNDSAAVSDSSATTSTNTTTTSTRSNSLDSSSTYSAPIRRHTGGDCRWEAIRLANSRDSPIGLGHFRLLKRLGYGDIGSVYLVELRGTCAYFAMKVMDRASLVSRNKVLRSQTEREILGLLDHPFLPTLYSHFETDKFYCLVMEYCSGGNLHSLRQKQPNKYFTEQAARFYASEVLLALEYLHMLGIVYRDLKPENVLVREEGHIMLSDFDLSLRCSVNPTLVKSSSVHGGGGGILDSENAVQGCIQPTAFFPRILPKRNRKSKSDFGVGNGNLPELMAEPTNARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLFELLHGTTPFKGAGNRATLFNVVGQPLRFPDTPSVSMVARDLIRGLLVKDPQKRIAYRRGATEIKQHPFFEGVNWALIRSTTPPHVPDAVDFSQYAVKEKKGAENGVDVSISSGAGGGGGGSSGGGNKGNNANDSSYLDFEYF >DRNTG_16862.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21935605:21937819:1 gene:DRNTG_16862 transcript:DRNTG_16862.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTNISSNIDIKTIPVTTDKPSVLMNKKKMDPISINGGSTDPDTSPCPSPQALDSMVVPNISETGSYSPSTSTSSSVFTKLNDSAAVSDSSATTSTNTTTTSTRSNSLDSSSTYSAPIRRHTGGDCRWEAIRLANSRDSPIGLGHFRLLKRLGYGDIGSVYLVELRGTCAYFAMKVMDRASLVSRNKVLRSQTEREILGLLDHPFLPTLYSHFETDKFYCLVMEYCSGGNLHSLRQKQPNKYFTEQAARFYASEVLLALEYLHMLGIVYRDLKPENVLVREEGHIMLSDFDLSLRCSVNPTLVKSSSVHGGGGGILDSENAVQGCIQPTAFFPRILPKRNRKSKSDFGVGNGNLPELMAEPTNARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLFELLHGTTPFKGAGNRATLFNVVGQPLRFPDTPSVSMVARDLIRGLLVKDPQKRIAYRRGATEIKQHPFFEGVNWALIRSTTPPHVPDAVDFSQYAVKEKKGAENGVDVSISSGAGGGGGGSSGGGNKGNNANDSSYLDFEYF >DRNTG_16862.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21935605:21937843:1 gene:DRNTG_16862 transcript:DRNTG_16862.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKKMDPISINGGSTDPDTSPCPSPQALDSMVVPNISETGSYSPSTSTSSSVFTKLNDSAAVSDSSATTSTNTTTTSTRSNSLDSSSTYSAPIRRHTGGDCRWEAIRLANSRDSPIGLGHFRLLKRLGYGDIGSVYLVELRGTCAYFAMKVMDRASLVSRNKVLRSQTEREILGLLDHPFLPTLYSHFETDKFYCLVMEYCSGGNLHSLRQKQPNKYFTEQAARFYASEVLLALEYLHMLGIVYRDLKPENVLVREEGHIMLSDFDLSLRCSVNPTLVKSSSVHGGGGGILDSENAVQGCIQPTAFFPRILPKRNRKSKSDFGVGNGNLPELMAEPTNARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLFELLHGTTPFKGAGNRATLFNVVGQPLRFPDTPSVSMVARDLIRGLLVKDPQKRIAYRRGATEIKQHPFFEGVNWALIRSTTPPHVPDAVDFSQYAVKEKKGAENGVDVSISSGAGGGGGGSSGGGNKGNNANDSSYLDFEYF >DRNTG_16862.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21935605:21937956:1 gene:DRNTG_16862 transcript:DRNTG_16862.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTNISSNIDIKTIPVTTDKPSVLMNKKKMDPISINGGSTDPDTSPCPSPQALDSMVVPNISETGSYSPSTSTSSSVFTKLNDSAAVSDSSATTSTNTTTTSTRSNSLDSSSTYSAPIRRHTGGDCRWEAIRLANSRDSPIGLGHFRLLKRLGYGDIGSVYLVELRGTCAYFAMKVMDRASLVSRNKVLRSQTEREILGLLDHPFLPTLYSHFETDKFYCLVMEYCSGGNLHSLRQKQPNKYFTEQAARFYASEVLLALEYLHMLGIVYRDLKPENVLVREEGHIMLSDFDLSLRCSVNPTLVKSSSVHGGGGGILDSENAVQGCIQPTAFFPRILPKRNRKSKSDFGVGNGNLPELMAEPTNARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLFELLHGTTPFKGAGNRATLFNVVGQPLRFPDTPSVSMVARDLIRGLLVKDPQKRIAYRRGATEIKQHPFFEGVNWALIRSTTPPHVPDAVDFSQYAVKEKKGAENGVDVSISSGAGGGGGGSSGGGNKGNNANDSSYLDFEYF >DRNTG_16862.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21936727:21937956:1 gene:DRNTG_16862 transcript:DRNTG_16862.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIVYRDLKPENVLVREEGHIMLSDFDLSLRCSVNPTLVKSSSVHGGGGGILDSENAVQGCIQPTAFFPRILPKRNRKSKSDFGVGNGNLPELMAEPTNARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLFELLHGTTPFKGAGNRATLFNVVGQPLRFPDTPSVSMVARDLIRGLLVKDPQKRIAYRRGATEIKQHPFFEGVNWALIRSTTPPHVPDAVDFSQYAVKEKKGAENGVDVSISSGAGGGGGGSSGGGNKGNNANDSSYLDFEYF >DRNTG_16862.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21935449:21937843:1 gene:DRNTG_16862 transcript:DRNTG_16862.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNISSNIDIKTIPVTTDKPSVLMNKKKMDPISINGGSTDPDTSPCPSPQALDSMVVPNISETGSYSPSTSTSSSVFTKLNDSAAVSDSSATTSTNTTTTSTRSNSLDSSSTYSAPIRRHTGGDCRWEAIRLANSRDSPIGLGHFRLLKRLGYGDIGSVYLVELRGTCAYFAMKVMDRASLVSRNKVLRSQTEREILGLLDHPFLPTLYSHFETDKFYCLVMEYCSGGNLHSLRQKQPNKYFTEQAARFYASEVLLALEYLHMLGIVYRDLKPENVLVREEGHIMLSDFDLSLRCSVNPTLVKSSSVHGGGGGILDSENAVQGCIQPTAFFPRILPKRNRKSKSDFGVGNGNLPELMAEPTNARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLFELLHGTTPFKGAGNRATLFNVVGQPLRFPDTPSVSMVARDLIRGLLVKDPQKRIAYRRGATEIKQHPFFEGVNWALIRSTTPPHVPDAVDFSQYAVKEKKGAENGVDVSISSGAGGGGGGSSGGGNKGNNANDSSYLDFEYF >DRNTG_23163.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1819109:1819615:1 gene:DRNTG_23163 transcript:DRNTG_23163.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIREELSACKVPISVLNITQLSEYRKDAHLSIYYQKLDHQLKPKELANPKSFADCYHWCVPGVPDVWNELLYTKLFFP >DRNTG_23163.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1816195:1819615:1 gene:DRNTG_23163 transcript:DRNTG_23163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKELVGWVEKNMDPRKTRVFFVTMSPSHPMSWEWGGVKDGNCYNETSLIKDPNYWGSYSKKNIMKVIREELSACKVPISVLNITQLSEYRKDAHLSIYYQKLDHQLKPKELANPKSFADCYHWCVPGVPDVWNELLYTKLFFP >DRNTG_19341.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5244517:5245322:1 gene:DRNTG_19341 transcript:DRNTG_19341.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLIFQVLKAVAERKQIVFTGHSSGGAISVLAAIWLLEKHLKTDNGNHNVPFCITFGSPLIGDNLFCQALQREDWSSCFLHFVMTMDIVPRIPLAPLASLKEELHSILQFLCPKSSCLSSNSFEISQLLSRFYFTVLRNALTISNHQSCLSMGCATSLLGNLSGFIKFSPYKPFGTYVFCCNNGRLVSVKNSDAILQMLFYCLREEKADDPYRSFKEHLQYESKLKSYLEAQNVVHGDYLQANELFSSEMNPDEMPLVETFLNDLDM >DRNTG_19341.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5243578:5247038:1 gene:DRNTG_19341 transcript:DRNTG_19341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISAAASMDKVLLHHCVSLSMKAHHSSTSPFLLHSLSVPSPCSIFAFAGSWSADDWIVGDHAPFGASDIDSLLFPSLKTLVRDVPAAVNMAFLRSFQMILEASRLQAEVLKAVAERKQIVFTGHSSGGAISVLAAIWLLEKHLKTDNGNHNVPFCITFGSPLIGDNLFCQALQREDWSSCFLHFVMTMDIVPRIPLAPLASLKEELHSILQFLCPKSSCLSSNSFEISQLLSRFYFTVLRNALTISNHQSCLSMGCATSLLGNLSGFIKFSPYKPFGTYVFCCNNGRLVSVKNSDAILQMLFYCLREEKADDPYRSFKEHLQYESKLKSYLEAQNVVHGDYLQANELFSSEMNPDEMPLVETFLNDLDMGKEAWLHLHAAAEWEMQKFRNQKGIDANYSKIQEALNALDNYRSMCELRGLCYYDAFKLQRDTEDFNANVKRLELAGLWDEIIEMLSRFELPDRFEGRNEWVKLGTRYCLLVEPLDIANYYRHSKNEDTGPYMVKGRPRRYKFTQRWGEHAQRMPKGSSLESCFWAMVEEISADMNNKKPFVEVKERVLELEKNVSAWLDSGKLGSDVLLGGSTFVKWWMALPKQHKLSSCIARIMSRVEKSG >DRNTG_24394.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2693942:2694660:1 gene:DRNTG_24394 transcript:DRNTG_24394.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATSSTKTTSTASSTTQTTTTSQSYTSSSA >DRNTG_16740.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13976659:13977059:-1 gene:DRNTG_16740 transcript:DRNTG_16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDEENDQKSSENYTAEFHTGLWKLPMLLWICRA >DRNTG_08386.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4539581:4543352:1 gene:DRNTG_08386 transcript:DRNTG_08386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVYIVYYSMYGHVEKLAEEINKGASSVEGVEAKLWQVPETLSDEVLSKMGAPPKSDVPIITPNELAEADGILFGFPTRFGMMCAQFKAFLDATGGLWRAQQLAGKPAGIFYSTGSQGGGQETTPLTAITQLAHHGMIFVPVGYTFGAGMFEMENVKGGSPYGAGTYAGDGSRFPTELELEQAFHQGKYFANIVKKFKGSS >DRNTG_19749.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19354879:19358315:1 gene:DRNTG_19749 transcript:DRNTG_19749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEPGTMDALRTGPYGQIFRPDNFVFGQNGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQICHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISTTMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFTPLTSRGSQQYRALTIPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNTSYFVEWIPNNVKSSVCDVPPKGMSMSATFMGNSTSIQEMFRRVAEQFTVMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDAIAEDEEEYVEEAEEN >DRNTG_01408.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6850977:6857696:1 gene:DRNTG_01408 transcript:DRNTG_01408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACDGGGVAGDGGDDGGESRRMWPDEKLCPSTSPSLARPARRSKSSPCAHVFCSSATPRLRSKIRLRLLIFCSVLFRLHSRRSNLMLLISVISQGDVHVCFAVDSDQVKSKALHPVGPSPVGCGRSVSGPGFGAGA >DRNTG_02348.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000107.1:1:14366:-1 gene:DRNTG_02348 transcript:DRNTG_02348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHIAGKPNEPGTRRDDSWGTHRVSVLNGPRPVGKTCRQG >DRNTG_26849.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1598400:1600957:1 gene:DRNTG_26849 transcript:DRNTG_26849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRSKRVKRTAPRSDDVYLKLLVKLYRFLVRRTGSKFNAVVLKRLFMSKTNRPPISLRRLIKFMDGKNDKISVIVGTVTDDKRVYEVPAMMVTALRFTETARARILKAGGECLTFDQLALRAPLGQNTVFLRGPKNAREAVKHFGPAPGVPHSSTKPYVRAKGRKFEKARGRRESRGFRV >DRNTG_02959.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22583606:22586676:-1 gene:DRNTG_02959 transcript:DRNTG_02959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQYYGAKANLNVWVPKVTSPSEVSLSQIWVISGSFGDDLNTIEVGWQTDAYQATRCYNLL >DRNTG_12568.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:782323:785182:-1 gene:DRNTG_12568 transcript:DRNTG_12568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGSSSLPASLACGSNDSLNGLKFGKKIYFEDGGGGGSSSKASSGQAPAKKGKIGVQGVQQPPRCQVEGCNVDLSDTKAYYSKHKVCGMHSKSPKVIVAGLEQRFCQQCSRFHQLPEFDQGKRSCRRRLAGHNERRRKPPPGSISRNGRLSSSGHEDSGRFRSFMMDFTYAKQAGNDRDAWLANRSGNRVVGNHQWQGGLNALDQWSCCSWFRSINARFTKHKQCYVLSTTREFEFFP >DRNTG_27752.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24477837:24480536:-1 gene:DRNTG_27752 transcript:DRNTG_27752.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMSWLCGCCGAKSLRVLRKRPEDLNQRSQAPAMGNSGAASWDLFIDLKTLEAATDCFSDANRLGQGGFGPVYKGRIEGWEEEVAVKKLAMDSRQGVREFMNEVRLLLKVQHRNLVSLIGCCAHPEHKMLVYPYFPNRSLDHFLFSDNEKRASLNWSKRFEIVVGVAKGLLYLHEESPVKIIHRDIKASNILLDDQLCPKISDFGMARLFSADDTHVNTFKISGTYGYMAPEYAMNGYLSAKADVFSFGVLVLEIVSGRKNLDRQLDEDKIDLLTYTWKLFEAGRALELVDPSLDNYNNEEAALCIQLGLLCCQALVADRPDMYSVHLMLSSDSFSLPKPGKPGIRGRGGRWTATSSTAATNNTRTNSTYTGTDTTRASNIFNSSIEEYSGNSISTSFTTEGR >DRNTG_27752.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24477837:24480536:-1 gene:DRNTG_27752 transcript:DRNTG_27752.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRQGVREFMNEVRLLLKVQHRNLVSLIGCCAHPEHKMLVYPYFPNRSLDHFLFSDNEKRASLNWSKRFEIVVGVAKGLLYLHEESPVKIIHRDIKASNILLDDQLCPKISDFGMARLFSADDTHVNTFKISGTYGYMAPEYAMNGYLSAKADVFSFGVLVLEIVSGRKNLDRQLDEDKIDLLTYTWKLFEAGRALELVDPSLDNYNNEEAALCIQLGLLCCQALVADRPDMYSVHLMLSSDSFSLPKPGKPGIRGRGGRWTATSSTAATNNTRTNSTYTGTDTTRASNIFNSSIEEYSGNSISTSFTTEGR >DRNTG_18635.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6373993:6386569:-1 gene:DRNTG_18635 transcript:DRNTG_18635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLRVHSIDQLHRPENAGGATTSNQAPPPSPQNPNPRIQVRRGVIHLYHPTRSPSASSSSSLCHPPLESLLPSQRITLLFALAVPSRVSINDFLRFCHPYSPACEILIIRNDAVEDLYSVVVRFDEQESADRFYCDLNGWRLSDTEVKCRCEFLE >DRNTG_18635.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6373993:6385954:-1 gene:DRNTG_18635 transcript:DRNTG_18635.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQSVLREKMKEIEERQKAAAKLKDEKIHELEEEIRDFTVFIEAQKVLDNVGGSNDIKGGTVLPVTAPQPSAGRSRRPTKLNRKRT >DRNTG_18635.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6373993:6386569:-1 gene:DRNTG_18635 transcript:DRNTG_18635.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQSVLREKMKEIEERQKAAAKLKDEKIHELEEEIRDFTVFIEAQKVLDNVGGSNDIKGGTVLPVTAPQPSAGRSRRPTKLNRKRT >DRNTG_05240.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19618518:19618949:-1 gene:DRNTG_05240 transcript:DRNTG_05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLEELTTIAYARKGHHKKLNSEVYDLDFTAICWTVTQIEDLTNMTYGHMCSYKAHEHLLPTSFRS >DRNTG_11493.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19502686:19516624:-1 gene:DRNTG_11493 transcript:DRNTG_11493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDYKVEMINDGMQEFYVDFHGPNESLYQGGVWRIRVELPDAYPYKSPSIGFVNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRPAYEQRVKEYCEKYAKAEDVGAPSEEKSSDEELSDDEYDSSDEAVVGKPDP >DRNTG_29137.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1349447:1353360:1 gene:DRNTG_29137 transcript:DRNTG_29137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDSWSRFAAAVASKRHQHAQQSRHDMYLGFEEIDAGDDEASRAEYACPFCGEDFDIVGLCCHIDEEHPIEVLNSLIGNYMQRRRRLRKDSSGSHSTISLLRLELRDGNLQALLGGSSYSIPPAAPDPFLSSLIYTLPASDSLRDSQPGHLDGGNVTLTAKGSDEKAVERSPNIEPSLSDKDQKERARRSEFVRGLVLSTIFEETS >DRNTG_20647.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20419590:20422406:1 gene:DRNTG_20647 transcript:DRNTG_20647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSPASGSGRLFTFGLVASWYSSNIGVLLLNKYLLSNYGFRYPIFLTMCHMTACSLFSYASVAWLKLVPLQTVRSRIQFLKIAALSLVFCFSVVSGNVSLKYLPVSFNQAVGATTPFFTALFAYFMTVKRESWITYFTLVPVVTGVIIASGGEPSFHLFGFLMCIGATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPMAVFFLLPATIFMEENVLGVTLALAKEDKKIIWYLLFNSSLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVISILIFRNPVSVTGMFGYTLTVIGVILYSESKKRNK >DRNTG_20647.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20419669:20422406:1 gene:DRNTG_20647 transcript:DRNTG_20647.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSPASGSGRLFTFGLVASWYSSNIGVLLLNKYLLSNYGFRYPIFLTMCHMTACSLFSYASVAWLKLVPLQTVRSRIQFLKIAALSLVFCFSVVSGNVSLKYLPVSFNQAVGATTPFFTALFAYFMTVKRESWITYFTLVPVVTGVIIASGGEPSFHLFGFLMCIGATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPMAVFFLLPATIFMEENVLGVTLALAKEDKKIIWYLLFNSSLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVISILIFRNPVSVTGMFGYTLTVIGVILYSESKKRNK >DRNTG_20647.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20419669:20422406:1 gene:DRNTG_20647 transcript:DRNTG_20647.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSPASGSGRLFTFGLVASWYSSNIGVLLLNKYLLSNYGFRYPIFLTMCHMTACSLFSYASVAWLKLVPLQTVRSRIQFLKIAALSLVFCFSVVSGNVSLKYLPVSFNQAVGATTPFFTALFAYFMTVKRESWITYFTLVPVVTGVIIASGVRICQI >DRNTG_20647.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20419590:20422406:1 gene:DRNTG_20647 transcript:DRNTG_20647.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSPASGSGRLFTFGLVASWYSSNIGVLLLNKYLLSNYGFRYPIFLTMCHMTACSLFSYASVAWLKLVPLQTVRSRIQFLKIAALSLVFCFSVVSGNVSLKYLPVSFNQAVGATTPFFTALFAYFMTVKRESWITYFTLVPVVTGVIIASGGEPSFHLFGFLMCIGATAARALKSVLQGILLSSEG >DRNTG_21508.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17861533:17862503:-1 gene:DRNTG_21508 transcript:DRNTG_21508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVGCLFKQGFPLLVIPGNFPRVREPPGSSSISRLASLSDSPDSSMLSSNSSDSSFSSPEKAFASRIIVAKHRTNTA >DRNTG_09079.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5960499:5965961:-1 gene:DRNTG_09079 transcript:DRNTG_09079.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADYKQSNLNGAYYGPAIPPSRTHRSIGRGGCDCCCFPCCLLSTLLKLIFSIIIILGIIVLILWLVLRPNEIKAYVETATLSNFSLSSNTTNSNFLTSNLTMDLSIRNPNKRISFYYDYIETQAWYDNSRIGFKILDPFYQGKKNTTVLHPEFSSRTAVLGDSVVTTYNREKGEGFFYVNVKVYNRMRLKVWIFKISGFKPEFDCSLKLPAPTSSGSAVSTFERTECDVHYF >DRNTG_09079.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5960499:5965961:-1 gene:DRNTG_09079 transcript:DRNTG_09079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYKQSNLNGAYYGPVIPPSRTHRSVGRGDCDCCCFPCCLISTLLKFIFSIIITLGIIVLVLWLVLRPNKIKPYVETATLSTFNISTNTNNNSTNYLTYNLTMDLSIRNPNKRISFYYDYIETQAWYDNSRIGFKILDPFYQGKKNTTVLHPEFSSRTAVLGDSVVTTYNREKGEGFFYVNVKVYNRMRLKVWIFKISGFKPEFDCSLKLPAPTSSGSAVSTFERTECDVHYF >DRNTG_09079.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5964633:5965961:-1 gene:DRNTG_09079 transcript:DRNTG_09079.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADYKQSNLNGAYYGPAIPPSRTHRSIGRGGCDCCCFPCCLLSTLLKLIFSIIIILGIIVLILWLVLRPNEIKAYVETATLSNFSLSSNTTNSNFLTSNLTMDLSIRNPNKRISFYYDYIETQAWYDDTRIGFKTLDPFYQGKKNTTVLHPEFSSRTAVLSDSVVTTYNREKGEGFFYVDVKVYMKMRLKVGIFKIHGFKPEIDCTLKLPATTSSGSAVSTFERTKCDVNYF >DRNTG_17153.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17696718:17707596:-1 gene:DRNTG_17153 transcript:DRNTG_17153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNVKSAMEKGEGCRVYGVLDVQRVAGNFHISVHGLNIFVAQQIFGGAAHVNVSHMIHDLSFGPKYPGIHNPLDGTTRILHETSGTFKYYIKIVPTDYRYLSKDVLPTNQFSVTEYFVPIRDGDRSWPAVYFLYDLSPITVTIKEERRSFLHFITRLCAVLGGTFALTGMLDRWMFRLIESFTKPKSRSSLR >DRNTG_19153.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6296970:6298666:1 gene:DRNTG_19153 transcript:DRNTG_19153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENLQKVKSKEGLRPPLRPRRSLRPACRGGVIDRLITMRANSGMSTLMALNLAVAMVKYHIEFVVRGRSPAVAIRRAVFHRFNLT >DRNTG_22833.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5032092:5032716:-1 gene:DRNTG_22833 transcript:DRNTG_22833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFDDRPKSWNADLSPSKTSNQGDLGTTMNAISFGFVATAILISMFLIMAIFEYLLRPKPSNTDQEMQQQTTAQLRSPGKLSNLKDIIYRDFSVLMPGQNYPTYLAQPAPLPCQREGIHWPYNS >DRNTG_02569.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19472815:19474036:1 gene:DRNTG_02569 transcript:DRNTG_02569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDTNNGEVIGFPVGSITYSAEKLIDFPAPDIEVVFGCNVCNSHASSQFKKNRKDPVKYWMSRFSKTAKSYAKSIRANVNFGPKIVDTVKGKLSLSKRVLQVGGVEKVFKQSFSVGKREKLVKALQCNISTTAGPISGMLFISTEKIAFHSDKPINITSPKGNQAKVPYKVVIPLNRIKRVHPSRDKNKPDQKYVHLVTVDDFEFWFMGFVSYQKSLKHLQVQQANCNSNALFL >DRNTG_30955.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2422779:2423768:1 gene:DRNTG_30955 transcript:DRNTG_30955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKRGKGMLCRSDSATAVCISGDRSVVVPRRSDHRALVNDHSKLSDMKYSRLVADSQSFNSPSCQNNGVLTLPVHSKKNKHLPLNPNPNPNPNPNNITTFQVVVMRVSLHCQGCAGKVKKHISKMEGVTSFSIDLEAKRVTVMGPCIS >DRNTG_26454.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:444524:445288:-1 gene:DRNTG_26454 transcript:DRNTG_26454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLYMRENPKADIKDSVAYITEILDEKKKELLELTLMNDGNDPPKEWKKIFLATLKAFQMFFNSSNAFDSPTALLEDMSFAFYDPLVLHDAPETSRVLSESLKFPSELKNSKTRNPRKSTLMKFQKDVIGHGLIPSPSENKKSSKQFSISGRVHYPRRSSSYMVTSVMPRHAAGIVFSM >DRNTG_28520.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001439.1:2406:5105:-1 gene:DRNTG_28520 transcript:DRNTG_28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIEEKVQSTLGIVKKVLQKMKQARRCHKKRRKAVGDTQEHNKADGPSRDMAPHSKKQEGKRPRETSPELAHIQFLNPEHQTQFERLSRIRFGQSHFVDLSALREVQRGDELANKIDKLLAVGS >DRNTG_01998.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13438152:13440258:-1 gene:DRNTG_01998 transcript:DRNTG_01998.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKDFWSKEERKRKKIRRKKKDKVSKDHLFPLQAGAKKNKDPPSTIQDATDRISTKPVSAILELVMRIP >DRNTG_06516.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7024801:7030388:1 gene:DRNTG_06516 transcript:DRNTG_06516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYKAPSDGKWGEHELDYLLFIVRDVNVHPNPDEVADIKYVNRDQLKELLRKADAGEDGIKLSPWFRLVVDNFLPKWWDHVEKGTLEEAADMKTIHKLT >DRNTG_26134.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28395090:28395382:1 gene:DRNTG_26134 transcript:DRNTG_26134.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIYHLVTSRTYWLTIVLIIVIALLPRFLYKVFQQVFRPSDIQIAREAEIFRKPANPLSTRSDQDSC >DRNTG_01188.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29417516:29421579:-1 gene:DRNTG_01188 transcript:DRNTG_01188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEHSALPGVEIPPVWQEAANSIAYDSSSRRPPTSLVCGPGNCGKSTFSLHLLNTLLKRYERVAYLDTDVGQAEFSPPGCISLLIFDNPIPDLSILCLKIPERCVFYGGVSAEHDPNAYLNSIYALYDHYIKEHYQARETDGLRKPMLPLIVNTSGWVKGLGYNLLVEILRYINLTHVVQFRTSHEKKNLPRGLFWLEKSAKGQINLIEIPQTSDDSSIRFVSMKKEARVMRDLRIVSYFRQCMPRDFDISSYKELVHSFATVHLYELHFSEIGVIGFHYQAPSSETFQSLDKSIVGLGDSSMLPSESENYTTRWCIGLGFVVAVNITKDLLYLITPVPRTRMKKVDLLLQGSIETPTCLLQVHGCIAASLTSNPSDDIAQMAETTKLLTRLKAT >DRNTG_32695.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001892.1:21365:26283:1 gene:DRNTG_32695 transcript:DRNTG_32695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFCEWLTLTRSDLRLCTQWGPVPLDAADLSADPAVSAISEKRMEDDWAFPLLDDEDDEDEDDNDQTASLSWLNTRLVFTADGEAVYKPIEFPSRRNVKRNSGWNTKAGPSPSRLPQGLSTCKGDVVAFSPAVQRLMATSSDVGMVEGLNSCAPFPSHRFTHEIPLEIKGKSKVTEVSLIHKLDTYTHILPPVRTALVIQNVFEDDVHIHRQPLRDFPSCIVKVEETNSRTRCRHDEKSRSDGRSHDQTRTRRPTRPTIETRKVVEDTNSHQAPVIIASPVVVPSALEKPGGIAIESLDRYVVHNPTTAEDTVKVINSCAQIPRFTIKIPHSAKGKESTVGVVIAPRSEQYTDMAGMDASRAEMHSDSHPVVEVINSHKPRVHVAPAISPTATVVTEACLHPPIACNSRTNDSGGGMLGGASWVVGSPYVVVNHPLEQIIEETMQTNHIMTRGIDESPKVACNVGVSQYISEGRFVKHMDRLKSTNLKLVAFNSNLTCFDVIQGPKSSIGLGQQATQLSDISNYLHESREIHNMVQNSGTSDIIISKVNLEEVGLIDDDTIVQESQLSPNQKELNKQDTEDSGVLSARRSVRHKKPLSKRIEEAGYMVFSPHSRKIKRDESTFRTPEAPEAKEARLDNSVVIAKRSLKLLEK >DRNTG_28955.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27474227:27480899:-1 gene:DRNTG_28955 transcript:DRNTG_28955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQTLFSSSAAEIHGGAPELADKIKPFRRFVLSVSSPILFIVLIVLLMPLAQSSAVFGRGGGGSPVLVGGRKVLLPGGSVVGQGSNSYAVVFDAGSSGSRVHVFHFDEEFNLLKIGDDVEVFEQVKPGLSSYANDPQEAANSLLSLLEKAESVVPVELQPSTPVRVGATAGLRNLGIETSDQILQAVRDLLQNRSSFLFKSEWVAILDGYQEGSYLWVAINFLLENLGKELSGTVGVVDLGGGSAQMAYAISNSEAENAPNVPGEDPYVKPLILKGNTYHVYAHSYLNYGLLAARAEILNAGGDSYNYCILDGYEGSYTYNGKTYPSSASSSGPSYDECRSNVITVLKVDEQCAYKNCSFNGVWSGGGGDGQKNLYVASFFYDRAVEAGFVDSEQHTAKVSPLDFKDSSNASMQSKC >DRNTG_25549.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3058807:3060566:1 gene:DRNTG_25549 transcript:DRNTG_25549.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSTIASKNNEPATEEDFGDIDEDNLFSLGSLAPTNLQKLHQQEDYGFDAYLNFPMEMEKQISVDPASIKGITKVNNNHYKPKFISASLPCSTSSSPRSDSVLIKSMMKWKGHNQTSLFSRQRSTALHRSSLQQSTNLRKSKSCGEGRSCMPSDEFVDILSRRFSFQQQPSENGVQVLYECKDDDDDVKDCNKDQEYEVEKEEEEAEPHVEEESFKCGALCLFLPGLSKKKSAMPAIKMREDQVSVASRVVSLEKFECGSWSSSPVLHGDENSESIRSYFDLPLELIKSSANEANSPVKAAFLFDKDRKGVLKKCSSRSAPRKSHESSNRHVRFSTSAPMSYPPSPTSACITPRLLKAREDFNAFLEAQSV >DRNTG_02268.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:944898:947831:-1 gene:DRNTG_02268 transcript:DRNTG_02268.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGQGIESSFSSGHEDDGGSSVIESSFSRIVTLKPSSDSKSVETRDSEKSTQEDDEDDWEAIADRGEAAEASSGPSREAGVSSVASEVSEDAALPRRRGRGFFLYQKSCLYSEQKDVPSHSGEDSDPDIDSDEQNQMRTSEFLSKI >DRNTG_02268.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:944898:947831:-1 gene:DRNTG_02268 transcript:DRNTG_02268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGQGIESSFSSGHEDDGGSSVIESSFSRIVTLKPSSDSKSVETRDSEKSTQEDDEDDWEAIADRGEAAEASSGPSREAGVSSVASEVSEDAALPRRRGRGFFLYQKSCLYSEQKDVPSHSGEDSDPDIDSDEQNQMRTILDKFGTRHALVLYDFPPSTRTTDLEKLLERFKEHGFAIRWVDDTTALAVFRTPEIASEAQNNVKFPFKVRILKEDDIILSHISIKDLDPPYTRPKTSVRTAQRLIAQGMGMKPSTMFGSSELRKQEEARKVRIQSRQNMRDDAWGSD >DRNTG_02268.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:944898:947831:-1 gene:DRNTG_02268 transcript:DRNTG_02268.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGQGIESSFSSGHEDDGGSSVIESSFSRIVTLKPSSDSKSVETRDSEKSTQEDDEDDWEAIADRGEAAEASSGPSREAGVSSVASEVSEDAALPRRRGRGFFLYQKSCLYSEQKDVPSHSGEDSDPDIDSDEQNQMRTNKFGTRHALVLYDFPPSTRTTDLEKLLERFKEHGFAIRWVDDTTALAVFRTPEIASEAQNNVKFPFKVRILKEDDIILSHISIKDLDPPYTRPKTSVRTAQRLIAQGMGMKPSTMFGSSELRKQEEARKVRIQSRQNMRDDAWGSD >DRNTG_11474.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15568526:15569395:1 gene:DRNTG_11474 transcript:DRNTG_11474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSATLSSSSLFLHLLQHAIRVNSEGFLCFRGWFFRKTSCKERYSRRLVTTVSLLFLLYPTTSDDILAELGSLANLQTLNLGNNSLEGEMPSQLGKLNQVIYLNFIGNKLQSTIPKSFGRLVSLQNLDLFVNQLTSSVPEELSELSELVFLVLFGNQLFWPLSRKLCQNSSKLEHLLLCANNFIGEIPMSLVSSQSLKQLDLANNNLSGLIPSKIDELLNLTNLLLNNNSFSSTIPRSLGNLNSLQTLTLYHNELRGRIREELGMLYNSLHGNDGEETKEMMKEKRGG >DRNTG_06174.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25297402:25298007:1 gene:DRNTG_06174 transcript:DRNTG_06174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRPGHDGMEGVGKTQPHQRFRPVGGLQHPY >DRNTG_11164.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:2437113:2443686:-1 gene:DRNTG_11164 transcript:DRNTG_11164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDRGDSTGILSWLELLYLYLMMQRTPIHLGHIIAEYIPHHGQYARLGVIFSGPYIMRLALGMGLLGVFFWAEKTSIPVSLSQETVRLMGMVLRVQIGVYALVLPTPEIG >DRNTG_03699.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8239890:8245389:-1 gene:DRNTG_03699 transcript:DRNTG_03699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVGTIVKNHAENSDKVRQVADESKNGNEIKTYIIYLAKPEERKLLGYEELESWHKSFLPNLTLDSGEPRLVYSYFEVMTGFTAKLTPDEVQDAMVVGNFKYTASGMAPQAYLAIYKTYDSSEDYLKSYDQAILYFQISWIRLFLYRNPLDGRVDGLVESPLLVVQGFLRPNLSKTLANTPRNPSRNPLASWGKDGEKNTKIGAESALNRAEIGQLHGRGCYTCPCGISTRAWIISTRPCGFSVSLISRPAVNSAATVIVTVLLQCSATASA >DRNTG_06976.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:49684:52004:1 gene:DRNTG_06976 transcript:DRNTG_06976.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPFRGIKNDLRGRLLCYKQDWIGGFRAGVRILAPTTYIFFASAIPVISFGEQLERNTDGLITAVQTLVSTALCGIIHSIIGGQPLLILGVAEPTVLMYTFMFNFAKDRSDLGPKLFLAWTAWVCVWTAGLLFLLSILGACSIINRFTRVAGELFGMLIAMLFMQQAIEGVIDEFRIPKREDPRALEFVPSWRFGNGMFALVLSFGLLITALRSRKARSWRYGTGWLRGFIADLWSSL >DRNTG_06976.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:49684:51644:1 gene:DRNTG_06976 transcript:DRNTG_06976.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPFRGIKNDLRGRLLCYKQDWIGGFRAGVRILAPTTYIFFASAIPVISFGEQLERNTDGLITAVQTLVSTALCGIIHSIIGGQPLLILGVAEPTVLMYTFMFNFAKDRSDLGPKLFLAWTAWVCVWTAGLLFLLSILGACSIINRFTRVAGELFGMLIAMLFMQQAIEGVIDEFRIPKREDPRALEFVPSWRFGNGMFALVLSFGLLITALRSRKARSWRYGTGWLRGFIADLWSSL >DRNTG_06976.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:49684:51978:1 gene:DRNTG_06976 transcript:DRNTG_06976.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPFRGIKNDLRGRLLCYKQDWIGGFRAGVRILAPTTYIFFASAIPVISFGEQLERNTDGLITAVQTLVSTALCGIIHSIIGGQPLLILGVAEPTVLMYTFMFNFAKDRSDLGPKLFLAWTAWVCVWTAGLLFLLSILGACSIINRFTRVAGELFGMLIAMLFMQQAIEGVIDEFRIPKREDPRALEFVPSWRFGNGMFALVLSFGLLITALRSRKARSWRYGTGMKKLTGTNSWYY >DRNTG_06976.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:49684:52004:1 gene:DRNTG_06976 transcript:DRNTG_06976.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPFRGIKNDLRGRLLCYKQDWIGGFRAGVRILAPTTYIFFASAIPVISFGEQLERNTDGLITAVQTLVSTALCGIIHSIIGGQPLLILGVAEPTVLMYTFMFNFAKDRSDLGPKLFLAWTAWVCVWTAGLLFLLSILGACSIINRFTRVAGELFGMLIAMLFMQQAIEGVIDEFRIPKREDPRALEFVPSWRFGNGMFALVLSFGLLITALRSRKARSWRYGTGWLRGFIADLWSSL >DRNTG_14254.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1015895:1016661:-1 gene:DRNTG_14254 transcript:DRNTG_14254.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSNTHTEEEEEEEEEEAAYYQLPKLIFYLTIFMSSLHHFLFKAFHLFGLSDLLLLDDLSSSSTTTTTTTTTTSSCKVMSNYSIDEVLPVVKYEQVMAQGTSITDGGCIVCLHEFHGDDEVRKLTNCRHVFHRCCLDGWLDLGRMTCPLCRSPLLSVAVAVDEPSFSFVSETNHLFH >DRNTG_24173.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3458080:3462493:-1 gene:DRNTG_24173 transcript:DRNTG_24173.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGINPAVIKRLANFPPVGRGGRQSSITASHIEKSLDRLSVDDAMNQWRIFILDHHDYLMPYLRRINAEGVCMYASRTLLFLKQDATMKPIAIELSLPGNNEGEEISRVFTPATEGTDGALWQLAKAHVAANDSGHHQLISHWLHTHAAVEPFIIATRRQLSAMHPIHKLLEPHFKDTMHINALARSILLNAGGILEKTMFPGKYSLELSSSIYEDWRFDQQGLPADLIKRGFAFEDPDEPSGVHILFDDYPYGLDGLDVWTAIKTWVSDYCKIFYPDDEAVINDLEIQAWWTEIRRVGHGDRKEGWYELDSIPNLTEALTTLIWIASALHASVNFGQYAYAGFPPNRPTKFDKFIPSEGTPEFAMFIQNPDKYFLKMTPDRFTTTLGVALMEVLSRHTGDELYIGQRGSTEWTNHDQVLKLFKKFGDDLRRVERSINGRNNDPELKNRRGPAMIPYTLLYPDTSNSGSEKGITGKGIPNSVSI >DRNTG_24173.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3458080:3462493:-1 gene:DRNTG_24173 transcript:DRNTG_24173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGINPAVIKRLANFPPVGRGGRQSSITASHIEKSLDRLSVDDAMNQWRIFILDHHDYLMPYLRRINAEGVCMYASRTLLFLKQDATMKPIAIELSLPGNNEGEEISRVFTPATEGTDGALWQLAKAHVAANDSGHHQLISHWLHTHAAVEPFIIATRRQLSAMHPIHKLLEPHFKDTMHINALARSILLNAGGILEKTMFPGKYSLELSSSIYEDWRFDQQGLPADLIKRGFAFEDPDEPSGVHILFDDYPYGLDGLDVWTAIKTWVSDYCKIFYPDDEAVINDLEIQAWWTEIRRVGHGDRKEGWYELDSIPNLTEALTTLIWIASALHASVNFGQYAYAGFPPNRPTKFDKFIPSEGTPEFAMFIQNPDKYFLKMTPDRFTTTLGVALMEVLSRHTGDELYIGQRGSTEWTNHDQVLKLFKKFGDDLRRVERSINGRNNDPELKNRRGPAMIPYTLLYPDTSNSGSEKGITGKGIPNSVSI >DRNTG_11427.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:987726:1005549:-1 gene:DRNTG_11427 transcript:DRNTG_11427.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:kinesin like protein for actin based chloroplast movement 2 [Source:Projected from Arabidopsis thaliana (AT5G65460) TAIR;Acc:AT5G65460] MADQQKNRWTWEVPGFEPRKSVEDPKPVSPLARRYSISTPSVAPRAELAKHSVAVKLQSLKDQLKHAREDYLELRQEANDLREYSNAKLDRVTRYLGVLADKARKLDQAAVESEARVAPLINEKKRLFNDLLTAKGNVKVFCRTRPLFEDEGTSIVEFPDEFTVRINTGDDSLAIPKKDFEFDRVYGPHVGQGEFFRDMQPFIQSAMDGFNVSIFAYGQSHSGKTYTMEGSSSERGLYLRSFEELFDLSISDATSTSRYDFYFTALELYNEEVRDLLAEFRNSLPRVQMGPPDSVVEVVQEKVDNPLDFSRVLKAALQNYTRDPSKANVSQLILTIHIRYTNWVTMENLYSKLSLVDLPGSECLLVEDASGDHVKDFLHVSKSLSALGDVLSSLTSKKETIPYENSMLTKILSDSLGDSSKTLLIINICPDALSLSKTLSTLNFSARARNAELSLGNRDTIKKWRDVANDSRKELYEKEKEILDLRQEGLELNLALKDANDQCILLFNEVQKAWKVSFTLQADLKWENSMLAEKLNTEKEQNNQLRSQLAHLFQVEQEQKILIQGHDSTIKSLQDKLKVMEAQLDEVRHSNDSQSALQSESGSLGVSAPKATGDSVNSSDVTRKLEEELAKRDALIERLHEENEKLFDRLTEKASLGGSPQVSSPSIKKTSGLQAKDLNRNDTSNVSTVDVLPLPDGQDKSSSAGAIVKSGSEKGKTTPAGEYLTAALTEFDPDQFESFAAIADGANKLLMLVLAAVIKAGAAREHEILAEIRDAVFSFIRKIEPRRVMDTMLVSRVRILYIRSLLARSPELQSIKVSPVERFLEKANTGRSRSSSRSSSPGRSPVYYDSSTRNAIIDEHVQGFKVNIKQEKKSKFSSIVLKLRGIDQETWRQHVTGGKLREITEEAKAFAIGNKALAALFVHTPAGELQRQIRSWLAENFEFLSVTGSDATAGMTGQLELLSTAIMDGWMAGLGAAQPPNTDALGQLLSEYTKRVYTSQLQHLKDIAGTLAMEEADDLPHVNKLRSALESVDHKRRKILQQMRSDAALITKEEGGSPIHNPSTAAEDARLASLISLESILKQVKEIIRQTAVRSLTKTKKKALLASLDELAGQMPSLLDMDHPCAQRHISDARRMVEPIPEEEGDSSEDSQSGQPSTADTEVTQWNVLQFNTGSSVPFIIKCGANSNSELVIKADARVQEPKGGEIVRVAPRPSSLANMTFEEIKHVFDQLPEAISSLALARTADGTRARYSRLYRTLASKVSSLKHLVDELEKGGNSERR >DRNTG_11101.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30656994:30658113:-1 gene:DRNTG_11101 transcript:DRNTG_11101.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVKAFAWLLFMLQIMSCSLAYEFQVGGKDGWILNPHESYSQWSGRNRFQVHDKLGMNFFHNFLL >DRNTG_11101.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30656994:30658113:-1 gene:DRNTG_11101 transcript:DRNTG_11101.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVKAFAWLLFMLQIMSCSLAYEFQVGGKDGWILNPHESYSQWSGRNRFQVHDKLGMNFFHNFLL >DRNTG_20856.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15977698:15980808:-1 gene:DRNTG_20856 transcript:DRNTG_20856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNDGMTSDSVLVDDVVIVTFRDVFYGLKSFVKVGGGTGTMARAIAHVFPCDLSTLFLICLMRLIL >DRNTG_00265.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5347607:5348433:-1 gene:DRNTG_00265 transcript:DRNTG_00265.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVLLTMAVSLESLRPTCNNGICNKASHSQIAFFYSSLYIIAIGAGGTKPNISTFGADQFDDFDPKRSSSRDHSSIGGCSARSWVV >DRNTG_00265.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5346894:5348433:-1 gene:DRNTG_00265 transcript:DRNTG_00265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSISIMWSLARGECITPWPSAVKAEGGTTTTPPCTVTQVEETKLLVAMGLIWLATLIPSTIWAQVNTLFVKQGTTLDRDIGNGFRLPAASLGSFITISMLLSVPLYDRYFVPFMQRRTDNPRGITLLQRLGTGFGFHIIVTMVAYIVELKRMHEIKSHNVNGPKDIVPMSIFWLLPQYVLLGVGDVFHAIGLLEFFYDQSPEDMQSLGTTFFTSGIGVGNFLNSLLVTLVDNVTRK >DRNTG_25802.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2721521:2726486:-1 gene:DRNTG_25802 transcript:DRNTG_25802.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein ClpB4, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G25140) UniProtKB/Swiss-Prot;Acc:Q8VYJ7] MALEGIIGAVEAARVNKQQVVETEHLLKALLEQKDGLARRIFSRAGIDNTTALQATVQFISQQPKVIGDTSGPIFGQNLLSLLENAKKYKKEFGDEFLSVEHFILSFYSDKRFGQQFFKNLGLGEKELRDAISAVRGSQRVTDQNPEGKYEALVRYGNDLTELARRGKLDPVIGRDDEIRRCIQILCRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLMNRKLISLDMGALIAGAKFRGDFEERLKAVLKEVTASNGQIILFIDEIHTVVGAGASNGAMDAGNLLKPMLGRGELRCIGATTLNEYRKYIEKDSALERRFQQVYCGQPSVEDTISILRGLRERYELHHGVKISDSALVAAAVLSDRYITGRFLPDKAIDLVDEAAAKLKMEVTSKPTELDEVDRAILKLEMEKLSLQKDTDKASKERLSKLEADLELLKQKQTELAKHWEHEKNLMNQIRSIKEEIDRVNLEMEAAEREYNLNRAAELKVWDTDFSSETA >DRNTG_26540.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001357.1:17396:21728:1 gene:DRNTG_26540 transcript:DRNTG_26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEILAFSDAMDLGCGIALPMMMKAMIELDVLETMAAAGSGALLSPEEIASKIQTSNPDAHEVLDRMLRFLAAHKVMMCDEMDGEEDGKSKRRYGLGPVCKFFTKDEDGVSLAPLLLIHHSKFMADTW >DRNTG_26540.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001357.1:17396:17659:1 gene:DRNTG_26540 transcript:DRNTG_26540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEILAFSDAMDLGCGIALPMMMKAMIELDVLETMAAAGSGALLSPEEIASKIQTSN >DRNTG_02699.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19673384:19675262:-1 gene:DRNTG_02699 transcript:DRNTG_02699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSSSPTPLSLHPSTSPLLIPSPFPTPRSLPLSIAQPGSSPPPPPTLSGSSHPGHHLLRLHFHPFSTPSFNLSSALFHVSTSNLVLLSNFNPADLPGPILREFIIRSPSDELILSFTPASQSTFAFVNAIEVFSAPADLVPDIARLVSPDHVQFYDLVSSQALETLYRINVGGLKVTPFNDTLWRTWIPDSKFLTSDSRTKVVTFSGRINYREHRASREVVPDNVDNTARVLEIKLNMTWAFDVSAGYQYFIRMHFCDIASLALNELYFNIYINGYSAYEYFDLSDATSQFLASPFYMDFVTDVVNSSGVLTVSIGASNFSSPSWVQGMLNGLEIMKMNNTVGSFDGEFPVSAILVKPVKGFFGEFARWFVCGIGFISLFSIVFMLVLRLRAEAWNALAWSRLPTEVSEGKPAKGNLMLTSKFIFS >DRNTG_21371.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19321809:19326044:1 gene:DRNTG_21371 transcript:DRNTG_21371.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGKETREGKGEEAPAKSQNPKPPFRPVKDDTKPLLRDPISRSDPIETEQAVLRLPPFNKSQLYSKQSGMLH >DRNTG_28663.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6210731:6211696:-1 gene:DRNTG_28663 transcript:DRNTG_28663.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLRSEFGGAEFEPHVTVVRALRLRAADALRRLHSAVSSLNYYSARVSSISRGSCVSLLLDPTPEVVNASCHCRAHFGYSNSTPYMPHLSLLYGDLTEEAKEKARARAEELAEGIVGTTFDISKIALFKTDPQDTSLWEKVAVCELLKDK >DRNTG_10540.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000444.1:32720:38280:-1 gene:DRNTG_10540 transcript:DRNTG_10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLQGVSYVVVNVLKGEKEAKIGYKDTFWEFLLHSRTKTPEELINVESRLVFYKGSLVNHTGIPRRIDANESSPNNFLPKELDVEGQ >DRNTG_34895.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21823766:21826274:1 gene:DRNTG_34895 transcript:DRNTG_34895.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFHTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKMVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDMNLHFVESPALAPPEVQIDLAAQQQYEAEIAAAAAQPLPDDDDDAFE >DRNTG_34691.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22819447:22821543:1 gene:DRNTG_34691 transcript:DRNTG_34691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHCNDKEQLVTESIAGEIYDQNADQMVITNTENSSSNEGQSDDHLSIDTTDKNSAEEDAYNEWKMRHPSALNKFDKMMSIAKEKKLVVFLDYDGTLSPIVDDPDRAFMSDSMRSAVSKVAQYFPTCIVSGRRRDKVYDFVRLNELYYVGSHGMDIMAPLKCSKNASSSFHEKAIDENGNEVVVFQPAQEFLPIIEEIFDELEEQTKEIPGIMIENNKFCVSVHFRRVDEEYWGVLEEQVVEIANKYPSLHLTRGRKVIEIRPSIKWDKGRALEYLLDTLGFANDGDAIPVYIGDDRTDEDAFKVLRNRGEGYPIIVSSIPKDTKASYSLRDPSEVMSFLSLLFKWKRSSSVDWE >DRNTG_02128.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28767515:28768966:-1 gene:DRNTG_02128 transcript:DRNTG_02128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPSPSKTRSFEPSAPLFTFTFTFTSSSSSAMASSSTQKPLKILEQSHVSPPPDSVPSTTIPLTFFDIIWLFSGPVQRLFFYSFPHSTSHFINYHLPTLKTSLSLTLQSFFPLSGHVRQLGNEFELFYIDGDSVSFTVAESNSDFKTLSGHQPRSFKTLLSLSPALKPIPGELIGGPLMAIQVTVFPNQGLCLGISINHVACDGTSSMNFMKSWAATCRAGAGSPVLAVPPVYDRSMVVDPANLSSIYYKASMEAAKAAEAAAAAETLTLACSKSTSGDHEENMGLVSATFTLRKDQLEKLKKMMGSKSSTFVVACAHAWTCLMRSRRQPEERTAYAAFAVDCRHRLEPPLPEGYFGNCVGAAFAEANAGEMIGEEGVKVAGEVLKKAVEELGGGVLRGAEKWLEKVIEVLPKRPLSVAGSPKFKVYETDFGWGSPEKVEVVSLEKNGSLSMAESGKEEGGVEIGLVLTKEEMEEFSLAFHA >DRNTG_01622.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1011127:1011667:1 gene:DRNTG_01622 transcript:DRNTG_01622.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLADLLPAHSDKPPVMVGSKIKRLDNPDHRFPPLRLPASCSL >DRNTG_01622.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1011127:1012669:1 gene:DRNTG_01622 transcript:DRNTG_01622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLADLLPAHSDKPPVMVGSKIKRLDNPDHRFPPLRLPASCSL >DRNTG_05860.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31479450:31480920:-1 gene:DRNTG_05860 transcript:DRNTG_05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQQQGQGQVAPFVAKTYQMVSDPMTDELILWGRGNNSFLVLDPAQFSQFLLPSYFKHCNFSSFIRQLNTYVR >DRNTG_11135.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30407488:30411279:1 gene:DRNTG_11135 transcript:DRNTG_11135.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEILAPKRGMVLPFKPLNMSFSDVNYSVDMPAEMKAQGVSEERLQLLRGVTGAFQPGILTALMGVSGAGKTTLMDVLAGRKTGGYIEGEIRISGYIKNQETFARISGYCEQNDIHSPQLTVKESLTYSAFLRLPPEVSDVEKIRFVDEVMELVELDSLRDAIVGLPAITGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDLFEAFDELLLMKRGGQAIYSGPLGVNSCKIIKYFEAIPGVPKFKDKQNPATWMLEASSTTIEAKLGIDFADHYISSNLFKQNKALVRDLSKPTFDSIDLYFPTKYAQPTYGQFKLCLWKHWWTYWRSPDYNYERLFFTFVTALLLGAIFWQLAHKKESSNNIMLITGAMYCSVLFIGVNNWSTVLPVVAVERTVYYRERAAGMYSSLSYAIAQVIVEIPYVFHPVSSLCSHSVLHDGF >DRNTG_11135.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30407488:30410048:1 gene:DRNTG_11135 transcript:DRNTG_11135.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEILAPKRGMVLPFKPLNMSFSDVNYSVDMPAEMKAQGVSEERLQLLRGVTGAFQPGILTALMGVSGAGKTTLMDVLAGRKTGGYIEGEIRISGYIKNQETFARISGYCEQNDIHSPQLTVKESLTYSAFLRLPPEVSDVEKIRFVDEVMELVELDSLRDAIVGLPAITGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDLFEAFDELLLMKRGGQAIYSGPLGVNSCKIIKYFEAIPGVPKFKDKQNPATWMLEASSTTIEAKLGIDFADHYISSNLFKQNKALVRDLSKPTFDSIDLYFPTKYAQPTYGQFKLCLWKHWWTYWRSPDYNYERLFFTFVTALLLGAIFWQLAHKK >DRNTG_11135.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30404517:30411279:1 gene:DRNTG_11135 transcript:DRNTG_11135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATAVEGGNSSLQTDYTLRILGLDICADTIVGNEMLRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCLQQIVHQGEATILMSLLQPAPETYELFDDIMLIAEGKIVYQGSREFVLDFFEGCGFHCPERKGVADFLQEVTSWKDQEQYWADRMTPYRYISVSEFAEHFKRFHVGRKLEHELSAPFDNHKGHTSALVFSKYSVSFKELLNASFFKEWILFKRNSIVYIFKTVQIVIVATVASTMFLRTRLHSDTEADGALYVGALSFGLVVNMFNGFTELALVIAKLPVFYKHRDLYFYPPWLFVLPNVLIGIPPSIIETIVWVCITYFTIGFAPEANRFFKQTLLTFLIQQTASSLFRFLAGVCRSTVISTTWGSLVCAVMFVLGGFILPREIIPKVWYWGYWVSPLTYAYNALVVNEMFGSRWMNKFAPDGRRLGLAVLENFNIFPDENWYWIGVGALLGFIVLFNTLFAISLVYLNPLGKPQAVKPEEVPAEIKVNQVRKTEFPRMIKRISSTKDISAQSLSSVDGKNTLESLILSSANASPNVINQQMPIHATRDFSSKERHGSSIQTS >DRNTG_11135.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30404517:30407026:1 gene:DRNTG_11135 transcript:DRNTG_11135.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLELSERQEFIERLIRVAEEDNERFLKNVRARYDKVGIELPTVEVRFEHVRVEAKCYMGKRAMPTLLNKVRDVAETLVGLIGVKPTEMTNLTILNDVSGIIKPSRMTLLLGPPSSGKTTLLKALASKLESSLKMSGDVTYNGYTFG >DRNTG_30134.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3204613:3207182:1 gene:DRNTG_30134 transcript:DRNTG_30134.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRLFAFSPPLPTHPAARRDSLPSCNGSISSPSNPFVKHCVKLRLSSSYRRSSSSAIVVGLTPILEICKFRELWGSSLVDCLLLLDGSETPEGFRDSSARILHVSSHVMKKVSGLQSADSIEAVAIIKFPSSFLDLDGAQEGASCETWFPSPQRILVLDGIQDPGNLGTLLRSTMAFKWDGVFLLPGCCDPFNEKALRAARGASFQLPLVSGNWLHLEALGSRFHMKMLAGHPDNCSGKLEGPSLLSQEFADSLAGMPLCLVLGSEGRGLSEKALQVCDLVSIPMVGIFESLNVSVAGGIFLFMLQSEHRR >DRNTG_13463.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:32046:32989:1 gene:DRNTG_13463 transcript:DRNTG_13463.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-like protein 4 [Source:Projected from Arabidopsis thaliana (AT4G32490) UniProtKB/TrEMBL;Acc:Q84JV2] MAFNWDFKLVSFSTMIFLLLFISSSSHAYKFNVGGRQGWVLNPNETYNHWAERNRFQVNDTLVFKYKKGNDSVLVVDKKDYYSCNMNNPIEKFFDGDTEFKFDRSGPFFFVSGVPGHCSDGQKLNVVVLAIRNKNTVMPPELSPGYDSPPEVSPSALNSPSSSSSSSSLSVCCSFFFGLMGVGWLLGSLNS >DRNTG_21893.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19600430:19602891:-1 gene:DRNTG_21893 transcript:DRNTG_21893.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTVEIMVGLLALVCIALSSGMVDGGRSIQPLETEDIQVEKKLKLLNNPFVKSIQSEDGDVIDCVDIYKQPAFDHPLLKNHTIKVSPSFVPTNGDTSASSIMNSSLPFSQVWHKSGSCPNGTIPIRRILRQHLLNASSLERYGKKPMNIITKCDFHILDYTFALGIQHYHSTAALIASGFSYTGAKADINVWNPPVEADDEYTTGQMTLTSGPFNNSDTIEVGWMVNPSVYGDRRTRLFIYWTNDSGKSSGCFDLLCPGFVQVNKDVLLGGAIEPTSSYYGQQYVIPLEVVKDFEQDVWWLITRNETDTLTIGYWPCDMFISMYHIAEMLMWGGDVYSPRMHTGRHTATAMGSGHFSSEHWSMASYIKQPRMRDYSMTYKYPYPSSPFTLEVDCYSAENYAEVLFTEPLFYYGGPGRNLYCP >DRNTG_24602.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28819490:28821137:1 gene:DRNTG_24602 transcript:DRNTG_24602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPPADDETIQDHRAIDGVTVDDMVVTVEEIADDVAIAAVDKINDSIMNPVERAADSSTSKIDTIPEEQEPANIVSPVDAVVMATVEKVVNSIINEIIVTVEPMADSAASKPDTIPQRKEACKDMSPLDVAVMPASKEDDAGAKHRQPLTTVPHDDPKTDVDEGQGNAAEMTTREKINANKKLEEVRKVFIPKKKKYVGQSHLNKYEQELIRIFLNCPVDNTVVWKNDSVSFTRSRLFDLLEGKDMVADDRASITRPLALFMSMQKDAHDTTMAMIGDTMRNLHDVEIVILPIIMNGHFHVIILDNDKQEYRHYSSCQSKEYDKDTLDMRNLFDLCIGMEFGESAIAKYPLAHDTETLRQRQGSIDCTVYVMRFIEQLLADEKLRLPQTDVPYLRLKYVSRILKEGRAAGITAKGECSKAGS >DRNTG_32352.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9543848:9547920:-1 gene:DRNTG_32352 transcript:DRNTG_32352.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSLAALKLFCGQLRSARQDNSTSSSANVLLGILFQRAWLQGVLISGSEEGRFLLDDGTGVVELSLSSEFQPQDWKIGLYVMVVGIYNALPVGGLPLIKVHKIVDLSACPDREAMWQLEVIEAYKLFYLPSVV >DRNTG_32352.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9543848:9547839:-1 gene:DRNTG_32352 transcript:DRNTG_32352.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGIYNALPVGGLPLIKVHKIVDLSACPDREAMWQLEVIEAYKLFYLPSVV >DRNTG_32352.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9543892:9547839:-1 gene:DRNTG_32352 transcript:DRNTG_32352.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSLAALKLFCGQLRSARQDNSTSSSANVLLGILFQRAWLQGVLISGSEEGRFLLDDGTGVVELSLSSEFQPQDWKIGLYVMVVGIYNALPVGGLPLIKVHKIVDLSACPDREAMWQLEVIEAYKLFYLPSVV >DRNTG_32352.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9543892:9547839:-1 gene:DRNTG_32352 transcript:DRNTG_32352.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGIYNALPVGGLPLIKVHKIVDLSACPDREAMWQLEVIEAYKLFYLPSVV >DRNTG_32352.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9543848:9547839:-1 gene:DRNTG_32352 transcript:DRNTG_32352.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSLAALKLFCGQLRSARQDNSTSSSANVLLGILFQRAWLQGVLISGSEEGRFLLDDGTGVVELSLSSEFQPQDWKIGLYVMVVGIYNALPVGGLPLIKVHKIVDLSACPDREAMWQLEVIEAYKLFYLPSVV >DRNTG_32352.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9543892:9547920:-1 gene:DRNTG_32352 transcript:DRNTG_32352.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSLAALKLFCGQLRSARQDNSTSSSANVLLGILFQRAWLQGVLISGSEEGRFLLDDGTGVVELSLSSEFQPQDWKIGLYVMVVGIYNALPVGGLPLIKVHKIVDLSACPDREAMWQLEVIEAYKLFYLPSVV >DRNTG_32352.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9543698:9547839:-1 gene:DRNTG_32352 transcript:DRNTG_32352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSLAALKLFCGQLRSARQDNSTSSSANVLLGILFQRAWLQGVLISGSEEGRFLLDDGTGVVELSLSSEFQPQDWKIGLYVMVVGIYNALPVGGLPLIKVHKIVDLSACPDREAMWQLEVIEAYKLFYLPSVV >DRNTG_26121.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001348.1:51234:55757:-1 gene:DRNTG_26121 transcript:DRNTG_26121.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABF1 [Source:Projected from Arabidopsis thaliana (AT3G54840) UniProtKB/Swiss-Prot;Acc:Q9CB01] MGCSSSLPARNSGQIGSLSTEGSSVSDSKNLRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTVALQDSTTVKFEIWDTAGQERYAALAPLYYRGAAVAVVVYDITSPESFSKAQYWIKELQKHGSPDIVLALVGNKADLHENRSVPSQDAIDYAEKNGMFFIETSAKTADNINQLFEEIAKRLPRPTFHFLTVKPRNLSMSYIELSYTLLWLPTM >DRNTG_27206.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:812996:813414:-1 gene:DRNTG_27206 transcript:DRNTG_27206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQSSTRMRGFTTNKKLFELSQEG >DRNTG_32179.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30831888:30833153:-1 gene:DRNTG_32179 transcript:DRNTG_32179.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERQPWCDKTVKKGPWTPEEDIILASYIQEHGPRNWRSVPANTGSYPCISLYVQIYI >DRNTG_32179.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30810648:30811364:-1 gene:DRNTG_32179 transcript:DRNTG_32179.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDSSKSVPINQYEKKSADSLPQLPLQSPETWLLDEIAGEVMNDILRNN >DRNTG_32179.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30810648:30811617:-1 gene:DRNTG_32179 transcript:DRNTG_32179.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDWPPRCDKIVKKGPWTPEEDIVLASYIQEHGPCNWRAVPPNTGLLRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIIHLQALLGNRWATIASHLPQRTDNDIKNHWNTHLKKKLSNLHNYGDHQAIVAKGQWEKTLQKDVHLAKRAFSEALSLGLKPSSSVQCSCTKPPFSPSYSYALSTENISRLLQGWMKNDSSKSVPINQYEKKSADSLPQLPLQSPETWLLDEIAGEVMNDILRNN >DRNTG_32179.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30810648:30833153:-1 gene:DRNTG_32179 transcript:DRNTG_32179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQPWCDKTVKKGPWTPEEDIILASYIQEHGPRNWRSVPANTGSYPCISLYVQIYI >DRNTG_32179.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30810648:30811364:-1 gene:DRNTG_32179 transcript:DRNTG_32179.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDSSKSVPINQYEKKSADSLPQLPLQSPETWLLDEIAGEVMNDILRNN >DRNTG_32179.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30831888:30833153:-1 gene:DRNTG_32179 transcript:DRNTG_32179.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERQPWCDKTVKKGPWTPEEDIILASYIQEHGPRNWRSVPANTGSYPCISLYVQIYI >DRNTG_32179.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30831888:30833153:-1 gene:DRNTG_32179 transcript:DRNTG_32179.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERQPWCDKTVKKGPWTPEEDIILASYIQEHGPRNWRSVPANTGLLRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIIHLQALLGNR >DRNTG_12305.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29654541:29662576:-1 gene:DRNTG_12305 transcript:DRNTG_12305.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPQAALPAGRPRTRHSRKGASGVAGGAGGMKVDYDDVFGGLPRFSGPAKATRGDEYVEIFGDFAASCSIPFLELPVGFDDVDTGVDPRAPGFDYREIFGGFSGSDFGVSYEELIAGKTPPEDSNGRVPKKTSNRQQASEASNLASQTPDNEFVASVEEDQIIFDLHQSNGGIKKLDMSDHNTNWGGVEGGQSAPLTKHHTIPGYNSVVDASSPNLRSDSIPEVKANDPSLSSDPSDPNGKIADMKQEKISMAASDDASTGSSIIDLKDVKSLSTKSPASEDVSANLQSHSRSSSYHSTTSSGDISFHDPTYVTISEISLRTQPLEVPPPSRPPPKLSNKQSHPKIKIYSSANDAERGSLYRQTSDSWKYYSSSFSKTDAVQGSVKDGSNFFDVEVDASSAAAASAAAMKEAMEQAQAKLKSAKELMGRKRDGFQSRKKLPQHDNINFKERKDVEAAEEVNCCIGDIPQMRFAKDEEKMNDFLKQERQKHTKATKIAIDQAEKETGAGKEQTVQGEKSKSSPYNLEEKTVEQKIDNLTYEQEEKEVDKQESRKHTQDREIAIDQEQNEICAGKKQALQGRKLKSSPHDLEEKAEERKIEDQFYEQKEKEMDKQERQRHTDATKIAINLEENEMHAGKEQALPGQKLKASQYNLEEKTVERKIDDRYCEREKEMDKQERQKHTNVTKTAFDKDEKEMHKQERQKDTKATKIIIHQEEKEAEKQESQKHAKATETAVDQEEKEMYAGKERTLQDKKSVSSQYDLEEKTGEWKIDSQYYELINNNKCRPAQKLSEQECAQKTLKGTKVQNEHVQDCKVVETPNELKKSRKLWAVNEPHVVEKIKVNAETMASREDEIKEILSAIREAHVQEKHPDVQEVADVFSLGEENEKSEDAQEIYPGVVDEIKEDAIKETTCEPHESENVQKIADKTSVVNETGKEFDVLKYSFMPEPGKKFTATQADIPWESFEKRHESSELGCAPEIHEIDSKTDVLLVAEGINTLSMMQESCGSVESKKAVYIDRETNESEENVKLSKAAKGPGEECEIREDCKTTKVPLGYVENAMKMAEAKKVSLENQNKKGKENLQELENDEEHMMESMTENSDESQMMAEADNIEEENEKPKLVQATSDLGKSHNGVNKAQVVCQDPEDFELVSAVNLDRNCNDANADLLVGQQPVNESLEAVRQVRLPESEKKGKLPSLLKVDSESHCMGAVDNSNINSQKTESMKSVGRKRESEREHEKEWAKKLEEKEREREREKDRLAVERATSEAHERALAEARERAERIAVERVTAEARQRALAEAREKAEKASAEALEKSLAEKTSREAKLRAERAAVERATAEARERAVERAIAEKAAAEAREQAERLNGSFRDKIRKDNEALNNLRSSDKGDGGIRIRPGSHDIRHNESQNVGSTQKYSASINHGAAGESALRCKARLERHQRTVERAAKALAEKNMRDILAQREQAERNRLAESLDADVKRWSNGKEGNLRALLSTLQYILGPDSGWQPIPLTEVITAAAAKKAYRRATLCVHPDKLQQRGASIQQKYVCEKVFDLLKEAWNKFNSEER >DRNTG_12509.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6752430:6760735:-1 gene:DRNTG_12509 transcript:DRNTG_12509.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKRFRRNLKKESAKVLGHTSRSQDRISANDKALDEDGG >DRNTG_03437.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3185547:3185960:-1 gene:DRNTG_03437 transcript:DRNTG_03437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLMKVPSIKRMISSPRADKLYDFSECSTSCVAEKGHFFVYTSDGKRFMISLAYLTNNIFKELLRISEEEFGLPCDGPITLPCDAAFMEYVLSLLGRGVSKEIEMQLLSSIFVSRQSACSMLAVEQPQQLAVCSF >DRNTG_00050.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21706470:21709516:1 gene:DRNTG_00050 transcript:DRNTG_00050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPIGQVLERTELADGLILEKTSCPLGVLLIVFESRPDALVQIASLAVRSGNGLLLKGGKEAMRSNAILHKVITGAIPSSVGEKLIGLVTSRDEISDLLKLDDVIDLVIPRGSNKLVTLIKESTKIPVLGHADGICHVYIDKSANMDMAKLIVADAKLDYPAACNAMETLLVHEHLLKTEELNDLIVALRAEGVVLYGGPRASTELKIPAAHSLHHEYNSLACTIEIVDDVFAAIDHIHRHGSAHTDCIVADDQEVAQIFLNQVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTTRWILRGSGQLVDGDKGVVYTHKNLPL >DRNTG_00050.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21703378:21709516:1 gene:DRNTG_00050 transcript:DRNTG_00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTRAFLKDVKRIIIKVGTAVVTRTDGRLALGRIGALCEQIKELNSRGFEVILVTSGAVGVGRQRLRYRKLINSSFADLQKPQVELDGKACAAVGQSGLMALYDALFSQLDVASSQLLVTDNDFRDSDFRRQLTETVNSLLALKVIPVFNENDAISTRKAPYEDSSGIFWDNDSLAALLALELKADLLVLLSDVEGLYSGPPGERDSRIIHTYVKEKHQGEITFGDKSRVGRGGMTAKVKAAVYAASAGTPVVITSGFATDNIIKVLQGQRVGTLFHRDAHLWVLSKEVGAHEMAVSARECSRRLQSLSSDERKKILLAIADALEANEKFD >DRNTG_17698.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28413399:28414784:1 gene:DRNTG_17698 transcript:DRNTG_17698.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:Projected from Arabidopsis thaliana (AT2G25090) UniProtKB/TrEMBL;Acc:A0A178W078] MGELQENTTTSSSCSDTNSSDQEATARKLILSRYELGRLLGKGTFAKVYHGRDLTTGESVAIKILSKDQVLREPSMADQIQREISIMRLVRHPNVVELKEVLATRSRIFFVLELARAGELFARVSQGRLPEPEARRYFRQLLSAVDFCHSRGVSHRDLKPENLLLDHNGDLKVSDFGLSALPEQLRHDGLLHTQCGTPAYVAPEVLRRRGYDGAKADLWSCGVILYVLLAGFLPFQDENLMKMYAKVFRADYQIPPWFSPEARRLVSRLLVADPVKRISIQGIMEMPWFRKPFIPRALSLSPVEVGTGAGGGEESVGSPRFYNAFELIASMSGGWDLSGLFEKKRKSGTVFTSRLPAAEILERLEEVGRGLGFGVEKGKGGYGVRMEGREERRGRLEVVAEVFEAAPEVAVVEFFKISGDALDFAKFCEDDVRPGLKDIVWTWQGDDGGDPATAHRPVMGL >DRNTG_24500.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18998187:19004847:1 gene:DRNTG_24500 transcript:DRNTG_24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDIATAEEEIARWKAAAEQEAAAGRAVEQEFQTQLSTLRRELDEAKQALLESENKLKFKEETAAAAMAARDAAEKSLRLADMRATRLRERVEELSQQLEESENQHDSRNRSRHRYVCWPWQWLGLDLVRNPQETIQSSNEMELSEPLI >DRNTG_19469.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22372740:22374980:1 gene:DRNTG_19469 transcript:DRNTG_19469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCKGSGDNINTAKAIAKECGILTDDGLAIEGPDFRSKSPKEMNDLILKNKVQRFFHKTIHLFPVYWFSNEKYTVLSSSSQNWVNVLNSQRSEESREDGSDGNEVAFNDIDNHGKDVTPLEIHDFESFDFVREFVGTAQDLNFGDDIVLGFEIGASDDGDDTGGDDMEGYSLEKS >DRNTG_11412.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:854935:863359:1 gene:DRNTG_11412 transcript:DRNTG_11412.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate isomerase [Source:Projected from Arabidopsis thaliana (AT4G24620) UniProtKB/TrEMBL;Acc:A0A178UUU3] MASISGFCSSSASPAAALKPRRLLSPQKSPLLRPIVGPRTLVSVARDISADLSTNSSIPVSPKSAAIEKDPVNLWRRYVDWLYQHKELGLYLDVSRISFTDEFFDSMEPRLQKAFLHMKELEKGAIANPDEGRMVGHYWLRNPKMAPNSFLRLQIENTLEAICDFADKIISGQIKPPSFPEGRFTQILSVGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDHQVAQLGSELASTLVIVISKSGGTPETRNGLLEVQKAFRDAGLDFAKQGVAITQQNSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQGIDIREMLAGAALMDVANRNTEVKNNPAALLALCWYWASDGVGSKVNQGLSVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYGNNRESISVTVQEVTPRSVGALIALYERAVGIYASLVNINAYHQPGVEAGKKAAGEVLALQKRVLAVLNEASCKEPVEPLTLDEIADRCHAPEEIEMIYKIIVHMAANDRALIAEGSCGSPRSIKVFLGECNVDDLYA >DRNTG_05109.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2099177:2100721:1 gene:DRNTG_05109 transcript:DRNTG_05109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLRSRAKLMEKLLCSPAARSAAPATRFFNTNAQMRDAAADERSLDVDRRAEDRVFGRRGPAEFPSFFSDVLDPFGTTRSLSQVLNVMDQMLDNSFPGSSRVATRAYRGWDAREDENGLHLRIDMPGLDKEHVRVSAEQGTLVIKGECESELEDEPPRRYSSRVDLPDEVFKMDEIKAEMKNGVLKIMVPKVKEEERKDVYQVKIE >DRNTG_06014.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11982566:11983375:1 gene:DRNTG_06014 transcript:DRNTG_06014.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVLWSKIEDETLRKMVEEHGLHNWTLISGSIPGRSRKSCRVRWNNHLSPDIEHKPFTL >DRNTG_01277.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:10414410:10439314:-1 gene:DRNTG_01277 transcript:DRNTG_01277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKEERTCPLCMEVMDLTDQQLKPCKCGYEICLWCLHHIMEMGKDDSKGKCPACRNPYDMERIFRMPISSKLQAELHSEKKLKMRKEKLKTSEQLKDLANIRVVQRDVVYVASLPVNIANEQICYVLKSRGSCSVYSSCQWLHSGWETFESMFWRCKIL >DRNTG_01277.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:10414410:10431464:-1 gene:DRNTG_01277 transcript:DRNTG_01277.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHPRRSGSRFPPPVENCKHNVTTFRPLPQSAIYGKCHNSEGLHPTSDHRLSDFLQEFHCGQEVTFGRTSYLNVVSQGLQSDSKKLGNSLSSKHEKVQISGQSSSKGQLNKEMVMVNNHRLVSDRFQEKITSEKPSLKNDYSETSIFQDIKAHVETGSVLKYSGSTTSALFENNARAQTFVQQQVPSVKLESTKLQSNSHDSVDCSAGMRLENEIYHDHIFCFVPVSPTSSKLVTSTSESETLAINQTTKTAPIFQNVPLTADQFARPSSSASWISTTQLEAENKLSLFDIQKVKNEPGISVPFSSDCVRRSLKGEQDNFEFQSESVGCHREISKLLQGYVPSRHTSSKDHIGLHSLQCQEDVNSKAVGFITCEFEGSGEVDVTSDLLSLDLGRNDSILDNGGLEKVFYDSSDSRHPSEMFSPGILSNNYASKFSFSRIDTADHSTNDLWQKDNAYLPDTFEENFIYRNKLLDYPSDLEIASDSYLLLNLHESDSLASKQGEYQLFGVPSKKISVSAPLVFSQPATYQNQAHCIPQTSVFTQGNLPQPAKKPIVSNFDSNLLSRSNKDQKFTFQSKLVNLNPGSAAVGENNIKDTASQDALLNYCGAWSSCSMSSLIAAESVLLVRGSDSNLEGISRSDHANSHYDYSRQFHDHGDYNCQLPDTNCFYNVALEQIWCMHDRS >DRNTG_01277.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:10414410:10432130:-1 gene:DRNTG_01277 transcript:DRNTG_01277.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHPRRSGSRFPPPVENCKHNVTTFRPLPQSAIYGKCHNSEGLHPTSDHRLSDFLQEFHCGQEVTFGRTSYLNVVSQGLQSDSKKLGNSLSSKHEKVQISGQSSSKGQLNKEMVMVNNHRLVSDRFQEKITSEKPSLKNDYSETSIFQDIKAHVETGSVLKYSGSTTSALFENNARAQTFVQQQVPSVKLESTKLQSNSHDSVDCSAGMRLENEIYHDHIFCFVPVSPTSSKLVTSTSESETLAINQTTKTAPIFQNVPLTADQFARPSSSASWISTTQLEAENKLSLFDIQKVKNEPGISVPFSSDCVRRSLKGEQDNFEFQSESVGCHREISKLLQGYVPSRHTSSKDHIGLHSLQCQEDVNSKAVGFITCEFEGSGEVDVTSDLLSLDLGRNDSILDNGGLEKVFYDSSDSRHPSEMFSPGILSNNYASKFSFSRIDTADHSTNDLWQKDNAYLPDTFEENFIYRNKLLDYPSDLEIASDSYLLLNLHESDSLASKQGEYQLFGVPSKKISVSAPLVFSQPATYQNQAHCIPQTSVFTQGNLPQPAKKPIVSNFDSNLLSRSNKDQKFTFQSKLVNLNPGSAAVGENNIKDTASQDALLNYCGAWSSCSMSSLIAAESVLLVRGSDSNLEGISRSDHANSHYDYSRQFHDHGDYNCQLPDTNCFYNVALEQIWCMHDRS >DRNTG_01277.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:10414410:10431895:-1 gene:DRNTG_01277 transcript:DRNTG_01277.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHPRRSGSRFPPPVENCKHNVTTFRPLPQSAIYGKCHNSEGLHPTSDHRLSDFLQEFHCGQEVTFGRTSYLNVVSQGLQSDSKKLGNSLSSKHEKVQISGQSSSKGQLNKEMVMVNNHRLVSDRFQEKITSEKPSLKNDYSETSIFQDIKAHVETGSVLKYSGSTTSALFENNARAQTFVQQQVPSVKLESTKLQSNSHDSVDCSAGMRLENEIYHDHIFCFVPVSPTSSKLVTSTSESETLAINQTTKTAPIFQNVPLTADQFARPSSSASWISTTQLEAENKLSLFDIQKVKNEPGISVPFSSDCVRRSLKGEQDNFEFQSESVGCHREISKLLQGYVPSRHTSSKDHIGLHSLQCQEDVNSKAVGFITCEFEGSGEVDVTSDLLSLDLGRNDSILDNGGLEKVFYDSSDSRHPSEMFSPGILSNNYASKFSFSRIDTADHSTNDLWQKDNAYLPDTFEENFIYRNKLLDYPSDLEIASDSYLLLNLHESDSLASKQGEYQLFGVPSKKISVSAPLVFSQPATYQNQAHCIPQTSVFTQGNLPQPAKKPIVSNFDSNLLSRSNKDQKFTFQSKLVNLNPGSAAVGENNIKDTASQDALLNYCGAWSSCSMSSLIAAESVLLVRGSDSNLEGISRSDHANSHYDYSRQFHDHGDYNCQLPDTNCFYNVALEQIWCMHDRS >DRNTG_01277.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:10415500:10428517:-1 gene:DRNTG_01277 transcript:DRNTG_01277.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHPRRSGSRFPPPVENCKHNVTTFRPLPQSAIYGKCHNSEGLHPTSDHRLSDFLQEFHCGQEVTFGRTSYLNVVSQGLQSDSKKLGNSLSSKHEKVQISGQSSSKGQLNKEMVMVNNHRLVSDRFQEKITSEKPSLKNDYSETSIFQDIKAHVETGSVLKYSGSTTSALFENNARAQTFVQQQVPSVKLESTKLQSNSHDSVDCSAGMRLENEIYHDHIFCFVPVSPTSSKLVTSTSESETLAINQTTKTAPIFQNVPLTADQFARPSSSASWISTTQLEAENKLSLFDIQKVKNEPGISVPFSSDCVRRSLKGEQDNFEFQSESVGCHREISKLLQGYVPSRHTSSKDHIGLHSLQCQEDVNSKAVGFITCEFEGSGEVDVTSDLLSLDLGRNDSILDNGGLEKVFYDSSDSRHPSEMFSPGILSNNYASKFSFSRIDTADHSTNDLWQKDNAYLPDTFEENFIYRNKLLDYPSDLEIASDSYLLLNLHESATVFTQGNLPQPAKKPIVSNFDSNLLSRSNKDQKFTFQSKLVNLNPGSAAVGENNIKDTASQDALLNYCGAWSSCSMSSLIAAESVLLVRGSDSNLEGISRSDHANSHYDYSRQFHDHGDYNCQLPDTNCFYNVALEQIWCMHDRS >DRNTG_01277.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:10415500:10428035:-1 gene:DRNTG_01277 transcript:DRNTG_01277.6 gene_biotype:protein_coding transcript_biotype:protein_coding GQEVTFGRTSYLNVVSQGLQSDSKKLGNSLSSKHEKVQISGQSSSKGQLNKEMVMVNNHRLVSDRFQEKITSEKPSLKNDYSETSIFQDIKAHVETGSVLKYSGSTTSALFENNARAQTFVQQQVPSVKLESTKLQSNSHDSVDCSAGMRLENEIYHDHIFCFVPVSPTSSKLVTSTSESETLAINQTTKTAPIFQNVPLTADQFARPSSSASWISTTQLEAENKLSLFDIQKVKNEPGISVPFSSDCVRRSLKGEQDNFEFQSESVGCHREISKLLQGYVPSRHTSSKDHIGLHSLQCQEDVNSKAVGFITCEFEGSGEVDVTSDLLSLDLGRNDSILDNGGLEKVFYDSSDSRHPSEMFSPGILSNNYASKFSFSRIDTADHSTNDLWQKDNAYLPDTFEENFIYRNKLLDYPSDLEIASDSYLLLNLHESATVFTQGNLPQPAKKPIVSNFDSNLLSRSNKDQKFTFQSKLVNLNPGSAAVGENNIKDTASQDALLNYCGAWSSCSMSSLIAAESVLLVRGSDSNLEGISRSDHANSHYDYSRQFHDHGDYNCQLPDTNCFYNVALEQIWCMHDRS >DRNTG_22578.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14416893:14423539:-1 gene:DRNTG_22578 transcript:DRNTG_22578.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLTSPSQSHLQAYQIKRCPIDVSQGLIFAVQSKRLVGHSHQRTTPPLAHASLQSDDRLPDL >DRNTG_25064.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17227617:17228062:-1 gene:DRNTG_25064 transcript:DRNTG_25064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDAKNRGKLRKRGPPKFIRLFYHTHIESRKSVESHSDLQLHFTLFDPGFMVHLLKWFRYELLSLMETCSCTLRKEGEIAGTYSDPSK >DRNTG_03934.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2650889:2652147:1 gene:DRNTG_03934 transcript:DRNTG_03934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSQSISALDSNASDQLASKKMKRIHHEYTTSTVKRRRSVNDSTAVVTTTPFCDGYQWQKYGEKDIKNSEFRRTYYKCINEECKARKKVQQQDKYEPSNFIVIYDMQHTCNNVVQETNQNLFTIDSSPSTSTLNFGPILESSYLMMNNQQEQTLSATSDQLQIKKTENHDNISVAKAVQGDESIVDNMEIFSPLTSLLDLNENENENIWELFNLTDDEFASSPLDLNENENIWELFNLMDDELASSPDDGFPF >DRNTG_30524.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21424846:21427160:1 gene:DRNTG_30524 transcript:DRNTG_30524.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 29 [Source:Projected from Arabidopsis thaliana (AT4G16650) UniProtKB/Swiss-Prot;Acc:Q8LPF8] MSSPFLYANRFEPDMLAFSGCYYGGGEKERSELGAIRKRWETLPDLIPEDERSRGRCPLTPHEVGLMLRALGFGNDSYLYVASGEIYGGGKTLQPLKDLFPNFYTKEMLAGDDLKPFLPFASRLAAIDYIVCDESDVFVTNNNGNMAKILAGRRRYMGHKRTIRPNSKKLSSLFKGRDKMNTETFAKKVKSCQKGFMGEPR >DRNTG_30524.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21423879:21427160:1 gene:DRNTG_30524 transcript:DRNTG_30524.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 29 [Source:Projected from Arabidopsis thaliana (AT4G16650) UniProtKB/Swiss-Prot;Acc:Q8LPF8] MSFLSKDVKIVKRIPDKVMRSMEKPPYTMRVPRKSSPEYYLDEVLPILLRRRALQLTKFDYRLANWLGEDLQKLRCRVNYHALRFTRPIETLGQKLITTMRSMTSRFIAVHLRFEPDMLAFSGCYYGGGEKERSELGAIRKRWETLPDLIPEDERSRGRCPLTPHEVGLMLRALGFGNDSYLYVASGEIYGGGKTLQPLKDLFPNFYTKEMLAGDDLKPFLPFASRLAAIDYIVCDESDVFVTNNNGNMAKILAGRRRYMGHKRTIRPNSKKLSSLFKGRDKMNTETFAKKVKSCQKGFMGEPR >DRNTG_30524.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21422134:21427160:1 gene:DRNTG_30524 transcript:DRNTG_30524.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 29 [Source:Projected from Arabidopsis thaliana (AT4G16650) UniProtKB/Swiss-Prot;Acc:Q8LPF8] MRSMEKPPYTMRVPRKSSPEYYLDEVLPILLRRRALQLTKFDYRLANWLGEDLQKLRCRVNYHALRFTRPIETLGQKLITTMRSMTSRFIAVHLRFEPDMLAFSGCYYGGGEKERSELGAIRKRWETLPDLIPEDERSRGRCPLTPHEVGLMLRALGFGNDSYLYVASGEIYGGGKTLQPLKDLFPNFYTKEMLAGDDLKPFLPFASRLAAIDYIVCDESDVFVTNNNGNMAKILAGRRRYMGHKRTIRPNSKKLSSLFKGRDKMNTETFAKKVKSCQKGFMGEPR >DRNTG_30524.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21422134:21427160:1 gene:DRNTG_30524 transcript:DRNTG_30524.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 29 [Source:Projected from Arabidopsis thaliana (AT4G16650) UniProtKB/Swiss-Prot;Acc:Q8LPF8] MYLPILCMQALQLTKFDYRLANWLGEDLQKLRCRVNYHALRFTRPIETLGQKLITTMRSMTSRFIAVHLRFEPDMLAFSGCYYGGGEKERSELGAIRKRWETLPDLIPEDERSRGRCPLTPHEVGLMLRALGFGNDSYLYVASGEIYGGGKTLQPLKDLFPNFYTKEMLAGDDLKPFLPFASRLAAIDYIVCDESDVFVTNNNGNMAKILAGRRRYMGHKRTIRPNSKKLSSLFKGRDKMNTETFAKKVKSCQKGFMGEPR >DRNTG_30524.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21421940:21427160:1 gene:DRNTG_30524 transcript:DRNTG_30524.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 29 [Source:Projected from Arabidopsis thaliana (AT4G16650) UniProtKB/Swiss-Prot;Acc:Q8LPF8] MSFLSKDVKIVKRIPDKVMRSMEKPPYTMRVPRKSSPEYYLDEVLPILLRRRALQLTKFDYRLANWLGEDLQKLRCRVNYHALRFTRPIETLGQKLITTMRSMTSRFIAVHLRFEPDMLAFSGCYYGGGEKERSELGAIRKRWETLPDLIPEDERSRGRCPLTPHEVGLMLRALGFGNDSYLYVASGEIYGGGKTLQPLKDLFPNFYTKEMLAGDDLKPFLPFASRLAAIDYIVCDESDVFVTNNNGNMAKILAGRRRYMGHKRTIRPNSKKLSSLFKGRDKMNTETFAKKVKSCQKGFMGEPR >DRNTG_30524.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21426435:21427160:1 gene:DRNTG_30524 transcript:DRNTG_30524.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 29 [Source:Projected from Arabidopsis thaliana (AT4G16650) UniProtKB/Swiss-Prot;Acc:Q8LPF8] MGHKRTIRPNSKKLSSLFKGRDKMNTETFAKKVKSCQKGFMGEPR >DRNTG_30524.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21419859:21427160:1 gene:DRNTG_30524 transcript:DRNTG_30524.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 29 [Source:Projected from Arabidopsis thaliana (AT4G16650) UniProtKB/Swiss-Prot;Acc:Q8LPF8] MSFLSKDVKIVKRIPDKVMRSMEKPPYTMRVPRKSSPEYYLDEVLPILLRRRALQLTKFDYRLANWLGEDLQKLRCRVNYHALRFTRPIETLGQKLITTMRSMTSRFIAVHLRFEPDMLAFSGCYYGGGEKERSELGAIRKRWETLPDLIPEDERSRGRCPLTPHEVGLMLRALGFGNDSYLYVASGEIYGGGKTLQPLKDLFPNFYTKEMLAGDDLKPFLPFASRLAAIDYIVCDESDVFVTNNNGNMAKILAGRRRYMGHKRTIRPNSKKLSSLFKGRDKMNTETFAKKVKSCQKGFMGEPR >DRNTG_21922.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1655832:1657490:1 gene:DRNTG_21922 transcript:DRNTG_21922.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQVSSTTTNSNLLSVDAKPRLKWTRELHERFVHAVSQLGGVEKATPKSVMRMMGVPGLTLYHLKSHLQKYRLSKIRDHDSKKQEICELDDACKQQSMNETVLRIQMEVQRKLQEQIEVKKKNMIDFVAVVICELSFIIE >DRNTG_21922.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1655832:1657490:1 gene:DRNTG_21922 transcript:DRNTG_21922.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQVSSTTTNSNLLSVDAKPRLKWTRELHERFVHAVSQLGGVEKATPKSVMRMMGVPGLTLYHLKSHLQKYRLSKIRDHDSKKQEICELDDACKQQSMNETVLRIQMEVQRKLQEQIEVQKHLQIRIEAQGKYLQAVLRKAQETLASYASNSMGVEEAKAEITELVCAVDSECLSSGFMVTKRETRQQHGDCSIDSCLTTCEKTEGNNEEFGETNPDCRKVCDQEEQRFQEKQEFDLNS >DRNTG_09633.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21953218:21956009:1 gene:DRNTG_09633 transcript:DRNTG_09633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAKAYVIIAKERSNAQLAWELSSQIRKCQRLLSQAAVRGKSVTLEEAHPVVSRLSELIYRSRDNHYDISTMIVTLKKHSQALEERAIAATVQSAEFGQLAAESLPKYLHCLNIKLTMDWFENLKLKKLADEQRNSPRLVDINLYHFCIFSDNVLATSVVINSTVSNSDHPQQLVFHVVTDAVNYYAMKAWFLRNNFQGCTVDIQNIDDFSWLNASYSPMVKRLLNEETQHQYLSGVTAGENEDLKFRSPKFVSLLNHLRFYIPEVYPYLEKVVFLDDDVVVQKDLTPLFSLDLHGNVMGAVETCLEAFHRFYKYLNFSNPLISSKFDPQACGWAFGVNVFDLAAWKKANVTGRYHYWLEQNSDQLLWKKGALPPGLLVFYGLMEPLDRRWHVLGLGYDMEVDDRLIDSAAVAHFNGNMKPWQKLAISRYKHLWQQYINLSNTHLQDCIMN >DRNTG_16800.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000849.1:1:4112:1 gene:DRNTG_16800 transcript:DRNTG_16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTAHRIGVDESSPITLFRKKRSVEDRKPLQKPSQRLSKP >DRNTG_23620.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30166983:30171718:-1 gene:DRNTG_23620 transcript:DRNTG_23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 1B [Source:Projected from Arabidopsis thaliana (AT2G01720) UniProtKB/Swiss-Prot;Acc:Q9ZUA0] MEGIPPRRALILVLVVSSLFSVLASSSSSSSSPSQDVRILSAERRIDLTSFIVRAFLAFKVENTGASDVSEILIAFSPNEAQHLAIVKASTTAGKRKKKAYLPLDVNPIESPKGPNGAQLFSIALPTPLKSGDTAALEVLYVLTHSQEPFPAEISQSESQYVYYRDSALLLSPYHIKEQRTFIKTPNSKVESYTNVSPSNRVGTELRYGPYHDRSPYSHSPILVHFENNNPFAVVDELTREVEISHWGNVQITEHYKLSHAGAHHKGVFSRVEYQARPSISGVSSFKSLLARLPPRVHSVYYRDEIGNISSSRLRSDSLKSELEIEPRYPLFGGWKTTFVIGYGLPLQDFLFESSDGQHYLNFTFGCPLLETVVDRLTIKVVLPEGSKDPSAVVPFPVKQHYETSYSYLDVVGRKTLVLVKENVVPEHNVPFEIYYKFNPIFMLAEPLMLVSAFFLFFIACIAYLHTDLSISK >DRNTG_21211.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:985519:986393:1 gene:DRNTG_21211 transcript:DRNTG_21211.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSPTTVIRFQIQKSDLPNRKKLNKTGGSFFNAKKSLPRITQA >DRNTG_21211.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:985424:986393:1 gene:DRNTG_21211 transcript:DRNTG_21211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSPTTVIRFQIQKSDLPNRKKLNKTGGSFFNAKKSLPRITQA >DRNTG_21276.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4851579:4853587:-1 gene:DRNTG_21276 transcript:DRNTG_21276.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTFHLVLKPFPFIPGKSLFSNKNAFSMSQIIPDSSFIPPDGTQEHTTSSLMGSQSHPPTLHAPRHNFKPLKQPRGLIPMAHPHHLVLPHHLSTKKPTFPKKHRTPSSQTLAFPQQQPHLQASTQGAHAIADSKDGDSILVNEL >DRNTG_21276.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4851579:4853667:-1 gene:DRNTG_21276 transcript:DRNTG_21276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFHLVLKPFPFIPGKSLFSNKNAFSMSQIIPDSSFIPPDGTQEHTTSSLMGSQSHPPTLHAPRHNFKPLKQPRGLIPMAHPHHLVLPHHLSTKKPTFPKKHRTPSSQTLAFPQQQPHLQASTQGAHAIADSKDGDSILVNEL >DRNTG_21276.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4851636:4853587:-1 gene:DRNTG_21276 transcript:DRNTG_21276.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTFHLVLKPFPFIPGKSLFSNKNAFSMSQIIPDSSFIPPDGTQEHTTSSLMGSQSHPPTLHAPRHNFKPLKQPRGLIPMAHPHHLVLPHHLSTKKPTFPKKHRTPSSQTLAFPQQQPHLQASTQGAHAIADSKDGDSILVNEL >DRNTG_21276.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4851636:4853587:-1 gene:DRNTG_21276 transcript:DRNTG_21276.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTFHLVLKPFPFIPGKSLFSNKNAFSMSQIIPDSSFIPPDGTQEHTTSSLMGSQSHPPTLHAPRHNFKPLKQPRGLIPMAHPHHLVLPHHLSTKKPTFPKKHRTPSSQTLAFPQQQPHLQASTQGAHAIADSKDGDSILVNEL >DRNTG_21276.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4851636:4853587:-1 gene:DRNTG_21276 transcript:DRNTG_21276.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSHPPTLHAPRHNFKPLKQPRGLIPMAHPHHLVLPHHLSTKKPTFPKKHRTPSSQTLAFPQQQPHLQASTQGAHAIADSKDGDSILVNEL >DRNTG_21276.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4851636:4853587:-1 gene:DRNTG_21276 transcript:DRNTG_21276.11 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSHPPTLHAPRHNFKPLKQPRGLIPMAHPHHLVLPHHLSTKKPTFPKKHRTPSSQTLAFPQQQPHLQASTQGAHAIADSKDGDSILVNEL >DRNTG_21276.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4851636:4853667:-1 gene:DRNTG_21276 transcript:DRNTG_21276.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFHLVLKPFPFIPGKSLFSNKNAFSMSQIIPDSSFIPPDGTQEHTTSSLMGSQSHPPTLHAPRHNFKPLKQPRGLIPMAHPHHLVLPHHLSTKKPTFPKKHRTPSSQTLAFPQQQPHLQASTQGAHAIADSKDGDSILVNEL >DRNTG_21276.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4851636:4853587:-1 gene:DRNTG_21276 transcript:DRNTG_21276.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSHPPTLHAPRHNFKPLKQPRGLIPMAHPHHLVLPHHLSTKKPTFPKKHRTPSSQTLAFPQQQPHLQASTQGAHAIADSKDGDSILVNEL >DRNTG_21276.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4851636:4853587:-1 gene:DRNTG_21276 transcript:DRNTG_21276.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSHPPTLHAPRHNFKPLKQPRGLIPMAHPHHLVLPHHLSTKKPTFPKKHRTPSSQTLAFPQQQPHLQASTQGAHAIADSKDGDSILVNEL >DRNTG_21276.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4851636:4853587:-1 gene:DRNTG_21276 transcript:DRNTG_21276.12 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSHPPTLHAPRHNFKPLKQPRGLIPMAHPHHLVLPHHLSTKKPTFPKKHRTPSSQTLAFPQQQPHLQASTQGAHAIADSKDGDSILVNEL >DRNTG_21276.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4851636:4853587:-1 gene:DRNTG_21276 transcript:DRNTG_21276.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSHPPTLHAPRHNFKPLKQPRGLIPMAHPHHLVLPHHLSTKKPTFPKKHRTPSSQTLAFPQQQPHLQASTQGAHAIADSKDGDSILVNEL >DRNTG_15011.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23866157:23869240:-1 gene:DRNTG_15011 transcript:DRNTG_15011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGVFHSSSVQQMMGGNNPNWWSSISNMKQTAGDETSLLYPFPSSSPLFHHFSHHQPSSLFLPPMFPFQENQDLPESWSQLLLGGLVGEDADQRCVSLNPFQDQVVYSSASSTHIGGGDVKQESSESGYVYGPGSSTCDEIHAGRSCVTTSFSSSMLDFSNSNKAEKRQAQAPDHSSECNSTANGAAFKKARVQASSSPQSTFKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQGQIEALSSPYLTTSSGSRRQSGVQGETNCVFPEDPGQLLNDNNMKKRGPKDQAVNDEAKDLRSRGLCLVPVSCTLHVGSENGADYWAPTFGAGFR >DRNTG_15011.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23866157:23869240:-1 gene:DRNTG_15011 transcript:DRNTG_15011.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGVFHSSSVQQMMGGNNPNWWSSISNMKQTAGDETSLLYPFPSSSPLFHHFSHHQPSSLFLPPMFPFQENQDLPESWSQLLLGGLVGEDADQRCVSLNPFQDQVVYSSASSTHIGGGDVKQESSESGYVYGPGSSTCDEIHAGRSCVTTSFSSSMLDFSNSNKAEKRQAQAPDHSSECNSTANGAAFKKARVQASSSPQSTFKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQGQIEVSVSIFISV >DRNTG_01061.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3735736:3738432:1 gene:DRNTG_01061 transcript:DRNTG_01061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPFSTAKRYSLAPTSQAPCLQLVSLPTKGTTQPSCLQAQIYNEETSTVLQCYGSKKHNTVHCTHPDRATVSTNGTDDPASPLPVIPCRCAHPASVARTAARTYRYPSAMLLARLLGNGRVPTLGNSHPPWRAPTRIPLAATRITPRALPSEPSAMRGTMPPHPLTRHPRLEFSVMRRLNPRIG >DRNTG_15264.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4425565:4427694:1 gene:DRNTG_15264 transcript:DRNTG_15264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREVLQKHDQAFSYRAIPDAIRALRHSDLSVAWLPPNPEWRNLRMIYNTELFSTKRLESTKVVRAQQVRELISYVSECCLSRKTLNIGEIVSVTILNVLSRTMFSVDLSDLRSESSLEVVQLVQTIMKGTSEPNLSDFFPWLKVLDPLGVRRRVTKSIKKLHDIFDEQVERRLRGEDATPHDDLLDRLPHGEKMECMHDRFIRNAFFTELFIAGTETSANTVEWTMAELLRNPVMMAKVKEEIERVIEPGKEVEESDIEKLHYLQAVMKESFRLHPTAAFLLPRRAERTVDVGDHYVVPEGTRVVVNNWIISRDKRVWEDPEVFLPERFLKKDIDIRGREFELMPFGSGRRICAGLQLAVRMVPLIIASLLRGFDWQLPDGMGPEDIDMKETQGIPVAMAVPLRALPLAA >DRNTG_31812.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001746.1:14983:17858:-1 gene:DRNTG_31812 transcript:DRNTG_31812.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDSSLNSENFELYQSPKPPPPPCLGGLLFPASPPL >DRNTG_31812.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001746.1:15313:17858:-1 gene:DRNTG_31812 transcript:DRNTG_31812.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKTTSFKLTNKKSAHPKSQHTIQPSTSRNEKR >DRNTG_12015.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:696349:702726:1 gene:DRNTG_12015 transcript:DRNTG_12015.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDEERKMHSKHIMVATATGVAPFRSNIQGIFLSNILGNTDNIWLISGADNYNSLLYDKEFIQVQNNNSDRFRILWSSSFARAATPRRKRYQNPKRLDSLSSPLPRRKIDSCRNPNPNPSPNPASPPAIVDGSPAMMRFTPISSTKPLLSPLTIAMMMRPKPLIFLLHRLIGRH >DRNTG_12015.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:696349:702726:1 gene:DRNTG_12015 transcript:DRNTG_12015.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDEERKMHSKHIMVATATGVAPFRSNIQGIFLSNILGNTDNIWLISGADNYNSLLYDKEFIQVQNNNSDRFRILWSSSFARAATPRRKRYQNPKRLDSLSSPLPRRKIDSCRNPNPNPSPNPASPPAIVDGSPAMMRFTPISSTKPLLSPLTIAMMMRPKPLIFLLHRLIGRH >DRNTG_12015.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:696349:702726:1 gene:DRNTG_12015 transcript:DRNTG_12015.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDEERKMHSKHIMVATATGVAPFRSNIQGIFLSNILGNTDNIWLISGADNYNSLLYDKEFIQVQNNNSDRFRYFHYTNLIL >DRNTG_12015.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:696349:702726:1 gene:DRNTG_12015 transcript:DRNTG_12015.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDEERKMHSKHIMVATATGVAPFRSNIQGIFLSNILGNTDNIWLISGADNYNSLLYDKEFIQVQNNNSDRFRILWSSSFARAATPRRKRYQNPKRLDSLSSPLPRRKIDSCRNPNPNPSPNPASPPAIVDGSPAMMRFTPISSTKPLLSPLTIAMMMRPKPLIFLLHRLIGRH >DRNTG_12015.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:696349:702726:1 gene:DRNTG_12015 transcript:DRNTG_12015.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDEERKMHSKHIMVATATGVAPFRSNIQGIFLSNILGNTDNIWLISGADNYNSLLYDKEFIQVQNNNSDRFRILWSSSFARAATPRRKRYQNPKRLDSLSSPLPRRKIDSCRNPNPNPSPNPASPPAIVDGSPAMMRFTPISSTKPLLSPLTIAMMMRPKPLIFLLHRLIGRH >DRNTG_12015.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:696349:702726:1 gene:DRNTG_12015 transcript:DRNTG_12015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDEERKMHSKHIMVATATGVAPFRSNIQGIFLSNILGNTDNIWLISGADNYNSLLYDKEFIQVQNNNSDRFRILWSSSFARAATPRRKRYQNPKRLDSLSSPLPRRKIDSCRNPNPNPSPNPASPPAIVDGSPAMMRFTPISSTKPLLSPLTIAMMMRPKPLIFLLHRLIGRH >DRNTG_12015.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:696349:702726:1 gene:DRNTG_12015 transcript:DRNTG_12015.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDEERKMHSKHIMVATATGVAPFRSNIQGIFLSNILGNTDNIWLISGADNYNSLLYDKEFIQVQNNNSDRFRYFHYTNLIL >DRNTG_12015.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:696349:702726:1 gene:DRNTG_12015 transcript:DRNTG_12015.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDEERKMHSKHIMVATATGVAPFRSNIQGIFLSNILGNTDNIWLISGADNYNSLLYDKEFIQVQNNNSDRFRILWSSSFARAATPRRKRYQNPKRLDSLSSPLPRRKIDSCRNPNPNPSPNPASPPAIVDGSPAMMRFTPISSTKPLLSPLTIAMMMRPKPLIFLLHRLIGRH >DRNTG_13481.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:17733058:17736586:-1 gene:DRNTG_13481 transcript:DRNTG_13481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSVVNPEFTQVKREEAISEHDPTVEPLMHAPKVQGASPMPGSYLTPETLEAFRAHWATTVKGYEASKRCQNYGMSHKG >DRNTG_21469.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2664126:2666818:1 gene:DRNTG_21469 transcript:DRNTG_21469.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVALIDKDNYDGKITGSVILTCIMAASGGLIFGYDIGISGGVTSMESFLKEFFPEILDKMGKAKQDEYCVFDSQILTAFTSSLYIAGLFASLFATTATKNYGRLNVMLIGGAAFFIGAALNASAVNIAMLIIGRIMLGFGVGFTNQATPVYLAEVAPARWRGALTTGFQFFIGIGVLAANLTNFATSLIPNWGWRLSLGLAGVPASILFLAALLIPDTPSSLLQRGHVSAARSALLRLRGPMANIEAELRDIAGSIEAAKVRDKDESQFRRIGRRRYRAQLVMAVAMPLFQQMTGVVVIAFFAPVLFRTVGFGSQSALMSAVILGGVNLISILIASVVVDRYGRKVLFLQGGLQIIICEVAVAWILGSNMGPNGETNLPRGHSIAVLVLMCAYSAGFGWSWGPLSWVVPSEIFPVEIRSAGQSINVAVNLGVTFIQTQVFLSMLCHLKYGVFIFYAAWIAVMTIFVIAFLPETKGVPLDSMMNSVWARHWFWRRFVVDEEVHQRPVSKT >DRNTG_21469.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2664160:2666663:1 gene:DRNTG_21469 transcript:DRNTG_21469.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVALIDKDNYDGKITGSVILTCIMAASGGLIFGYDIGISGGVTSMESFLKEFFPEILDKMGKAKQDEYCVFDSQILTAFTSSLYIAGLFASLFATTATKNYGRLNVMLIGGAAFFIGAALNASAVNIAMLIIGRIMLGFGVGFTNQATPVYLAEVAPARWRGALTTGFQFFIGIGVLAANLTNFATSLIPNWGWRLSLGLAGVPASILFLAALLIPDTPSSLLQRGHVSAARSALLRLRGPMANIEAELRDIAGSIEAAKVRDKDESQFRRIGRRRYRAQLVMAVAMPLFQQMTGVVVIAFFAPVLFRTVGFGSQSALMSAVILGGVNLISILIASVVVDRYGRKVLFLQGGLQIIICEVAVAWILGSNMGPNGETNLPRGHSIAVLVLMCAYSAGFGWSWGPLSWVVPSEIFPVEIRSAGQSINVAVNLGVTFIQTQVFLSMLCHLKYGVFIFYAAWIAVMTIFVIAFLPETKGVPLDSMMNSVWARHWFWRRFVVDEEVHQRPVSKT >DRNTG_21469.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2664160:2666901:1 gene:DRNTG_21469 transcript:DRNTG_21469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVALIDKDNYDGKITGSVILTCIMAASGGLIFGYDIGISGGVTSMESFLKEFFPEILDKMGKAKQDEYCVFDSQILTAFTSSLYIAGLFASLFATTATKNYGRLNVMLIGGAAFFIGAALNASAVNIAMLIIGRIMLGFGVGFTNQATPVYLAEVAPARWRGALTTGFQFFIGIGVLAANLTNFATSLIPNWGWRLSLGLAGVPASILFLAALLIPDTPSSLLQRGHVSAARSALLRLRGPMANIEAELRDIAGSIEAAKVRDKDESQFRRIGRRRYRAQLVMAVAMPLFQQMTGVVVIAFFAPVLFRTVGFGSQSALMSAVILGGVNLISILIASVVVDRYGRKVLFLQGGLQIIICEVAVAWILGSNMGPNGETNLPRGHSIAVLVLMCAYSAGFGWSWGPLSWVVPSEIFPVEIRSAGQSINVAVNLGVTFIQTQVFLSMLCHLKYGVFIFYAAWIAVMTIFVIAFLPETKGVPLDSMMNSVWARHWFWRRFVVDEEVHQRPVSKT >DRNTG_21469.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2664243:2666663:1 gene:DRNTG_21469 transcript:DRNTG_21469.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVALIDKDNYDGKITGSVILTCIMAASGGLIFGYDIGISGGVTSMESFLKEFFPEILDKMGKAKQDEYCVFDSQILTAFTSSLYIAGLFASLFATTATKNYGRLNVMLIGGAAFFIGAALNASAVNIAMLIIGRIMLGFGVGFTNQATPVYLAEVAPARWRGALTTGFQFFIGIGVLAANLTNFATSLIPNWGWRLSLGLAGVPASILFLAALLIPDTPSSLLQRGHVSAARSALLRLRGPMANIEAELRDIAGSIEAAKVRDKDESQFRRIGRRRYRAQLVMAVAMPLFQQMTGVVVIAFFAPVLFRTVGFGSQSALMSAVILGGVNLISILIASVVVDRYGRKVLFLQGGLQIIICEVAVAWILGSNMGPNGETNLPRGHSIAVLVLMCAYSAGFGWSWGPLSWVVPSEIFPVEIRSAGQSINVAVNLGVTFIQTQVFLSMLCHLKYGVFIFYAAWIAVMTIFVIAFLPETKGVPLDSMMNSVWARHWFWRRFVVDEEVHQRPVSKT >DRNTG_10432.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22787547:22788100:-1 gene:DRNTG_10432 transcript:DRNTG_10432.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGLVGLALSYALTLTTSQVYLTRWYCNLDNHIISVERIIQYMHISSEPPAILESNRPPFSWPSKGRIDLQDLQIKYRLHAPLVLKGITCTFPAGHKIGVVGRTGSGKTTLISAFVSISRSSRRENSYRWS >DRNTG_10432.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22787547:22788145:-1 gene:DRNTG_10432 transcript:DRNTG_10432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHISSEPPAILESNRPPFSWPSKGRIDLQDLQIKYRLHAPLVLKGITCTFPAGHKIGVVGRTGSGKTTLISAFVSISRSSRRENSYRWS >DRNTG_25839.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:632310:633887:1 gene:DRNTG_25839 transcript:DRNTG_25839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIFAGRKESSNTLPCSVTRASMLVRINSLLQGYSGVRFEILEAMTSLLNNNITPCLPLRGTITASGDIIPLSYIAGVLIGRPNCKAITQEGKIVDADEAFKLAGITGGFFDLNPKEGLAFVNGTAVGSGLASIVLFDANILVVLAELMHGKLEYTDHLTHKLKHHPGQIEAVAIMEHILNGSSYMKMTKKINEHDPLQKPKQDHYALRSSPQWLGPQIEVIRMATKSIEREINSVSDNPLVDVSRNKALHCGNFQGTPIGVSMDIAQLLGKLMFAQITELVNDFYNNGLPSNLSGGRNPSLDYGFKGAKIAMASYCSELQYLANP >DRNTG_23451.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:769594:775701:-1 gene:DRNTG_23451 transcript:DRNTG_23451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATALRRLSSSVAKKPLAPPISSRVSLYFMSSLPSEAVHDKDKSRITWTKVLNEPLEVVDPEIADIIELEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFRLDPAKWGVNVQSLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQLEKSATLFRPKLVVAGASAYARLYDYARIRKICDRQKAVLLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGLKEINKQGQEVLYDYEDKINAAVFPGLQGGPHNHTISGLAVALKQATTPEYKAYQEQVLCNCAKFAQSLIEKGYELVSGGTENHLVLVNLKNKGIDGSRVEKVLELVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVAEFFDTTVKLAQKIKAETKGGSKLKDFLATIQTDANIQSEIAKIRQEVEEFAKQFPTIGFEKETMKYKD >DRNTG_28888.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:661448:665163:-1 gene:DRNTG_28888 transcript:DRNTG_28888.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger 4 [Source:Projected from Arabidopsis thaliana (AT5G55470) UniProtKB/Swiss-Prot;Acc:Q8S397] MELDGISMGFMAMIGDEDHDSRVLSISVFVAVLCLCIVAGHLLEEHRWFNESIIAILIGWITGAVVLLLSKGKKSHILRFNEEVFFIYILPPIIFNAGFQVKKKEFFRNFFTIMLFGVIGVFISFAIISAGTWWLFPKIGFHGLGIEDYLALGTIFSSTDTICTLQVLHQDETPLLYSLVFGEGVVNDATSVVLFNAIQKIDASHMDSHKALSVLKDFLYLFSTSTMLGVAAGLLTAYALRALYFGRHSTDREIALMVLMAYLSYMLAEILQLSGILTVFFCGIVMSHYAWYNVTEKSRITTRHTFATLSFIAETFIFLYVGMDALDIEKWKMTKTNTQTSFGIYSSVMLLTLLGRAAFVFPLSALSNYMSSDAGKSLITIKHQIVIWWAGLMRGAVSIALAFKQFTSSGVAWNPVTATMITSTVVVVLFSTLVFGILTKPLIDHLLPPHAQEHQNNKHEMNNSPREELNLPLLSRDDSWPTGILKAKHSLTMLLERPAHTIHYYWRKFDDAHMRPVFGGPNPSSLNN >DRNTG_31442.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:725549:728590:-1 gene:DRNTG_31442 transcript:DRNTG_31442.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable GTP-binding protein OBGM, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G07615) UniProtKB/Swiss-Prot;Acc:F4HSD4] MMILLIWNTLTAKEKSSLTAGQPGTEAVLILELKSIADVGLVGMPNAGKSTLLGAISRAKPAVGHYAFTTLRPNIGNLTYEDFFSVTVADIPGIIRGAHENRGLGHAFLRHIERTKVLAYVVDLAATLDGKMGITPWEQLRDLVLELEYHQEGLSKRPSLVVANKIDEDGAESVYEELKRRVKGVMIFPVCAVLEEGVPELKAGLRSLIAGGKQQGLDLNKIMVD >DRNTG_31442.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:725549:728590:-1 gene:DRNTG_31442 transcript:DRNTG_31442.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable GTP-binding protein OBGM, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G07615) UniProtKB/Swiss-Prot;Acc:F4HSD4] MMILLIWNTLTAKEKSSLTAGQPGTEAVLILELKSIADVGLVGMPNAGKSTLLGAISRAKPAVGHYAFTTLRPNIGNLTYEDFFSVTVADIPGIIRGAHENRGLGHAFLRHIERTKVLAYVVDLAATLDGKMGITPWEQLRDLVLELEYHQEGLSKRPSLVVANKIDEDGAESVYEELKRRVKGVMIFPVCAVLEEGVPELKAGLRSLIAGGKQQGLDLNKIMVD >DRNTG_31442.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:725549:728590:-1 gene:DRNTG_31442 transcript:DRNTG_31442.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable GTP-binding protein OBGM, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G07615) UniProtKB/Swiss-Prot;Acc:F4HSD4] MMILLIWNTLTAKEKSSLTAGQPGTEAVLILELKSIADVGLVGMPNAGKSTLLGAISRAKPAVGHYAFTTLRPNIGNLTYEDFFSVTVADIPGIIRGAHENRGLGHAFLRHIERTKVLAYVVDLAATLDGKMGITPWEQLRDLVLELEYHQEGLSKRPSLVVANKIDEDGAESVYEELKRRVKGVMIFPVCAVLEEGVPELKAGLRSLIAGGKQQGLDLNKIMVD >DRNTG_31442.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:725549:728590:-1 gene:DRNTG_31442 transcript:DRNTG_31442.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable GTP-binding protein OBGM, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G07615) UniProtKB/Swiss-Prot;Acc:F4HSD4] MWWRRAAIAHSEKLLRNSFKSQWLAPSFAFSEMASKKGKAAPLQERRMRDKFRLWAKGGEGGNGCWSLRRSRTDRRGKPDGGNGGRGGDVILECSPAVWDFSSLQHHLNAKGGGNGVSKNKIGSCGSDKVVQVPVGTVIHLVCGETPSLVETNSFKSLKPWEIYDSPEADPSKSIDFSDNKSSASGSLKKEKVDDIRSSCGTPRRSCGSYILEEVESKRYAEVNHTEISHEEDDEDAYEMDFEEEEEEEEEEEIVNYSVAELTQAGQRLLVARGGEGGLGNASIKKDNKYFRNSKRYDDSSDLEHLDGEREIFIDSWSAWHRSRAYIRT >DRNTG_34854.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002197.1:10:2603:1 gene:DRNTG_34854 transcript:DRNTG_34854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRHWEIIRKTPFAAFTKVEAVFQERAFLDSLLQRYDGRTNKFRRRRKHAPLLKIDIFQKPTRDTKTPSREHLSSLFSRGREKENFCQTL >DRNTG_23133.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16026721:16027460:1 gene:DRNTG_23133 transcript:DRNTG_23133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNGFQAVEDEKEEGIQGFFIGRLAYLGILRNHTGVRKLPTAVCLIKRAPQGQAHAPVRSREKLA >DRNTG_19159.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6230834:6232673:1 gene:DRNTG_19159 transcript:DRNTG_19159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVVVLSVTVLIVIFVSMVGEIQCQSNDCTSVIVSLSPCLNYIDGQSDTPTVPCCTQLASVVKSNPECLCEVLDGGGASVGININTTRALELPAACNVTTPPVSECNRTAGGPVTPPTGGGPSGAVYAAQMTVSFALCLLVAASILLPHNSYFEA >DRNTG_07828.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10092119:10093710:1 gene:DRNTG_07828 transcript:DRNTG_07828.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY9 [Source:Projected from Arabidopsis thaliana (AT1G68150) UniProtKB/TrEMBL;Acc:A0A178WJS2] MHQMKEENKLLRKAIDKTMKDHYNLQVKLANFCHPDHPKDEKISLSLGLSHELQDPKTNYRTTKRGTEDLELSLQLQSHASLLDGDDLGDQKGKKLKNCIQLDSKQQRIDPTNRKARVSVRVRCQGPTINDGCQWRKYGQKVAKGNPCPRAYYRCTVAQGCPVRKQVQRCLEDMSILITTYEGTHNHPLPVGATAMASTATDVTNCMMLCNNPHLSTLYSPQQHLIYHPWTSAMHQNYDGDDDGSVASNKAVWNFSDC >DRNTG_07828.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10092119:10093710:1 gene:DRNTG_07828 transcript:DRNTG_07828.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY9 [Source:Projected from Arabidopsis thaliana (AT1G68150) UniProtKB/TrEMBL;Acc:A0A178WJS2] MLLEIWDCPEELQEEEEEDEEKEEDKQTDQKKNEELCLLQNEMHQMKEENKLLRKAIDKTMKDHYNLQVKLANFCHPDHPKDEKISLSLGLSHELQDPKTNYRTTKRGTEDLELSLQLQSHASLLDGDDLGDQKGKKLKNCIQLDSKQQRIDPTNRKARVSVRVRCQGPTINDGCQWRKYGQKVAKGNPCPRAYYRCTVAQGCPVRKQVQRCLEDMSILITTYEGTHNHPLPVGATAMASTATDVTNCMMLCNNPHLSTLYSPQQHLIYHPWTSAMHQNYDGDDDGSVASNKAVWNFSDC >DRNTG_15570.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:548434:551864:1 gene:DRNTG_15570 transcript:DRNTG_15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMEDPKKRKLERTGTGDSVLARQSDEQLRLLLDPLRKDQLVDLLVKLGTQIPEVAEEIRGVASADPVHRKLFVRGLSWETTSETLFSAFSAHGDIEEGAVITDKATGKSRGYGFITYRHMESAQRALQEPSKLIDGRLAVCNLACEGLSSGSVSTDLALRKIYIGGLSPEISSETLLKFFGRHGEIEEGSVAYDKETTKSKGFGFVTYKTVEAAKKALDDPNKSLGGRNITVKLADSHKSKVIQTQAPMSMGPLALPYQHGFTQQGKSHAVSPDPVGYASYTHALPSYPTAYGNAPSGFAHQPQTSYMGLKKDQPGLPSTTTGVTGFSFYAAKP >DRNTG_15570.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:548434:551800:1 gene:DRNTG_15570 transcript:DRNTG_15570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMEDPKKRKLERTGTGDSVLARQSDEQLRLLLDPLRKDQLVDLLVKLGTQIPEVAEEIRGVASADPVHRKLFVRGLSWETTSETLFSAFSAHGDIEEGAVITDKATGKSRGYGFITYRHMESAQRALQEPSKLIDGRLAVCNLACEGLSSGSVSTDLALRKIYIGGLSPEISSETLLKFFGRHGEIEEGSVAYDKETTKSKGFGFVTYKTVEAAKKALDDPNKSLGGRNITVKLADSHKSKVIQTQAPMSMGPLALPYQHGFTQQGKSHAVSPDPVGYASYTHALPSYPTAYGNAPSGFAHQPQTSYMGLKKDQPGLPSTTTGVTGFSFYAAKP >DRNTG_04443.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10436794:10439019:-1 gene:DRNTG_04443 transcript:DRNTG_04443.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSSFFLPRVGGVNELLIEAVCLQTNTSLLWRHLFFFSFFTQIVSPCLPWHFHAIRMGNFHKPMVNIFQRVHLHPEKTQGCVTVLVSTPLWVGHTGVGNFHMHMWMDLETARGYPESKPGRVSALVSRPVGVLLS >DRNTG_18562.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000959.1:33469:34530:1 gene:DRNTG_18562 transcript:DRNTG_18562.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGMSLMLLVEFVFMAVVSLGVKILRNPEIRYKWEALTEDPEIGTSVYPIVLVQNPIINKKEVYKLSIGAACGLAWPPDRFIIQVLGDSTDTIVKIPHTWCIVVEILLNLRGVVQCLWNRSSYYFGSA >DRNTG_18562.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000959.1:33688:35019:1 gene:DRNTG_18562 transcript:DRNTG_18562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGMSLMLLVEFVFMAVVSLGVKILRNPEIRYKWEALTEDPEIGTSVYPIVLVQNPIINKKEVYKLSIGAACGLAWPPDRFIIQVLGDSTDTIVKIPHTWCIVVEILLNLREGEKSTNTLE >DRNTG_05013.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5469124:5514192:1 gene:DRNTG_05013 transcript:DRNTG_05013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYGPGSKKHDDKRLRESSPKAERMDFVIPEHQARFERSSKLRFGQSRWGRLLSIREPAIRTLTLEVLASFEFDSSYSSFDSIGAIQF >DRNTG_08499.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000381.1:14156:18501:-1 gene:DRNTG_08499 transcript:DRNTG_08499.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLSFVSRAKTAFHSAAVKAEKVLSDIKADLTIDRERDAQSNRVVGIASDQDMVGADESNKVLEEATDRKTTEEEDNSGKCIKYTVIPATILGQLAVAFERAQSYKSIKDILSLAVDPLPNKDRTGFGFSTVKALVRREKDEKSNSDCCLDEEFHYLTRILFDSEEHIPSGKATSCSKILPMMYLSRDILSAPPESLVVRLSVLVGGFKSLQKMVAFWVYVVGELRRLWSEGKPIPHVPLEGNPDLNSCPLHQQLQVINCCISRQQRRVLATESLDFVLKEVSLDGDQSDYLPGTNQMVYARTSSGHHVLRLGADHPSENLTMLETGEAVYSPVTQEGPVLTEELIKETEEFVLRTGSVGAGCSQLLSDMQAFKAANPGCVLENFVRWHSPPDWTEDSDGEANVAADAEDSSSRRGRLSRRMRKEGNLWRELWETAKPLPAIRQTPLFDEDLAV >DRNTG_08499.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000381.1:14156:18501:-1 gene:DRNTG_08499 transcript:DRNTG_08499.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLSFVSRAKTAFHSAAVKAEKVLSDIKADLTIDRERDAQSNRVVGIASDQDMVGADESNKVLEEATDRKTTEEEDNSGKCIKYTVIPATILGQLAVAFERAQSYKSIKDILSLAVDPLPNKDRTGFGFSTVKALVRREKDEKSNSDCCLDEEFHYLTRILFDSEEHIPSGKATSCSKILPMMYLSRDILSAPPESLVVRLSVLVGGFKSLQKMVAFWVYVVGELRRLWSEGKPIPHVPLEGNPDLNSCPLHQQLQVINCCISRQQRRVLATESLDFVLKEVSLDGDQSDYLPGTNQMVYARTSSGHHVLRLGADHPSENLTMLETGEAVYSPVTQEGPVLTEELIKETEEFVLRTGSVGAGCSQLLSDMQAFKAANPGCVLENFVRWHSPPDWTEDSDGEANVAADAEDSSSRRGRLSRRMRKEGAFIVPDFLFL >DRNTG_08499.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000381.1:14156:18501:-1 gene:DRNTG_08499 transcript:DRNTG_08499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYLSRDILSAPPESLVVRLSVLVGGFKSLQKMVAFWVYVVGELRRLWSEGKPIPHVPLEGNPDLNSCPLHQQLQVINCCISRQQRRVLATESLDFVLKEVSLDGDQSDYLPGTNQMVYARTSSGHHVLRLGADHPSENLTMLETGEAVYSPVTQEGPVLTEELIKETEEFVLRTGSVGAGCSQLLSDMQAFKAANPGCVLENFVRWHSPPDWTEDSDGEANVAADAEDSSSRRGRLSRRMRKEGAFIVPDFLFL >DRNTG_08499.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000381.1:15861:18501:-1 gene:DRNTG_08499 transcript:DRNTG_08499.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLSFVSRAKTAFHSAAVKAEKVLSDIKADLTIDRERDAQSNRVVGIASDQDMVGADESNKVLEEATDRKTTEEEDNSGKCIKYTVIPATILGQLAVAFERAQSYKSIKDILSLAVDPLPNKDRTGFGFSTVKALVRREKDEKSNSDCCLDEEFHYLTRILFDSDIRRGTYSFGESHVLFKNPSYDVFVKGYT >DRNTG_08499.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000381.1:14156:15396:-1 gene:DRNTG_08499 transcript:DRNTG_08499.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPEEAVKIMDRSDETFSNEQPKNRFKKISMNFLGKDRQTPLHWKAVKDEKNSQEKQSHVLSNLFDKGSSLFSKMNSKSSGAPAPAPAPSSVPSGLDGTDWTIV >DRNTG_08499.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000381.1:17265:18501:-1 gene:DRNTG_08499 transcript:DRNTG_08499.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLSFVSRAKTAFHSAAVKAEKVLSDIKADLTIDRERDAQSNRVVGIASDQDMVGADESNKVLEEATDRKTTEEEDNSGKCIKYTVIPATILGQLAVAFE >DRNTG_08499.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000381.1:14156:18501:-1 gene:DRNTG_08499 transcript:DRNTG_08499.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMYLSRDILSAPPESLVVRLSVLVGGFKSLQKMVAFWVYVVGELRRLWSEGKPIPHVPLEGNPDLNSCPLHQQLQVINCCISRQQRRVLATESLDFVLKEVSLDGDQSDYLPGTNQMVYARTSSGHHVLRLGADHPSENLTMLETGEAVYSPVTQEGPVLTEELIKETEEFVLRTGSVGAGCSQLLSDMQAFKAANPGCVLENFVRWHSPPDWTEDSDGEANVAADAEDSSSRRGRLSRRMRKEGNLWRELWETAKPLPAIRQTPLFDEDLAVESILTTLEEISPSELFEQLFVSALCSSFLIAEATLSADSNLSELFYKCKDYVIATYQSGTTDKNLADICKVYETVEKIMTHPEEAVKIMDRSDETFSNEQPKNRFKKISMNFLGKDRQTPLHWKAVKDEKNSQEKQSHVLSNLFDKGSSLFSKMNSKSSGAPAPAPAPSSVPSGLDGTDWTIV >DRNTG_22210.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1378696:1379464:1 gene:DRNTG_22210 transcript:DRNTG_22210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSDLASVDQHHQINGCDDANNNDHRKRVMVCLPDLNISAEEMDRWERAAEAAQARKRRLEICRQKSSSTATATAIAAGRGLPSRPSMKFKVRW >DRNTG_22210.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1379067:1379464:1 gene:DRNTG_22210 transcript:DRNTG_22210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSDLASVDQHHQINGCDDANNNDHRKRVMVCLPDLNISAEEMDRWERAAEAAQARKRRLEICRQKSSSTATATAIAAGRGLPSRPSMKFKVRW >DRNTG_22210.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1378229:1379464:1 gene:DRNTG_22210 transcript:DRNTG_22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRLTSDEHEVADVLRNLSRMFTIKPELKPRQRYFGILPRWGVRKPRSIPSNKPPDPSKALEPNQSIPPALPASSSPSTPMSFPATSEEAEPNLVSPKKPSPSRSYKIHQQWIEEQNEKIAVLSVIELNIQQKLEASRGNHISIEAQSSWLNEISLQLKQRTRKRTRVTVEETVNPMNGSDLASVDQHHQINGCDDANNNDHRKRVMVCLPDLNISAEEMDRWERAAEAAQARKRRLEICRQKSSSTATATAIAAGRGLPSRPSMKFKVRW >DRNTG_14670.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5704682:5705452:1 gene:DRNTG_14670 transcript:DRNTG_14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPLAGRTAIVTGASRGIGRAIALHLASLGANLVINYSSNSTEAELVAAEINVSSSPSQPRAVAIRANISIAADVKALFDYAEKAFDKTPHIIVNNAGISNYLTIENTPESEWDKIFNVNAKGTFLCCQEAAKRLMRGGGGRIINLSSSLVGSNLTGYGAYTASKAAVEGLTRVLAKELKGTGITANCVAPGPIATELFFAGKSEEDVKRVVDMCPMGRLGETKDVAPVVGFLATDAAEWVNGQVVRVNGGYV >DRNTG_15801.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000786.1:16541:33546:-1 gene:DRNTG_15801 transcript:DRNTG_15801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLVDNNCLQPPTETRPSNSSTNSSPHPVLENSKSHTLGLGTRLTRPLYRLASLTEVP >DRNTG_25978.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001342.1:75788:79299:1 gene:DRNTG_25978 transcript:DRNTG_25978.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSVSPGRRDQEATGAAAAAESLLAPGSTALPPGTAARHPPPPEEGSFTAYVIYFILGTGYLLPWNAFITAVDYFSFLYPSASVDRVFPVAYMVTNLVFLLLVLGVAGKSSSPMRINAGLGLFVVSLVVVPVMDEAWVKGVQGMYAAYDVTVAVVVLAGIADAMVQSGVIGEAGELPERYMQATVAGTAASGVLVSAMRIITKSMYPQDADGLRKSANLYFIVSIVVMIICVICYNIVDKLPVVQYYKNLKIQALKEERSEQGLEITSTWSLSLRHIIQRIKWLAFANALIYVVTLSIFPGYITEDVHSKALKDWYPIILIAAYNVFDLVGKCFTAVYMLENAKIAVVSCVLRLLFYPLFLGCLHGPKFFRTEIPVTVLTCILGLTNGYLTSVLMIIAPKLVAIQHSETAGILMVIFLAIGLAAGSVVSWFWVI >DRNTG_25978.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001342.1:75923:79243:1 gene:DRNTG_25978 transcript:DRNTG_25978.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNLVFLLLVLGVAGKSSSPMRINAGLGLFVVSLVVVPVMDEAWVKGVQGMYAAYDVTVAVVVLAGIADAMVQSGVIGEAGELPERYMQATVAGTAASGVLVSAMRIITKSMYPQDADGLRKSANLYFIVSIVVMIICVICYNIVDKLPVVQYYKNLKIQALKEERSEQGLEITSTWSLSLRHIIQRIKWLAFANALIYVVTLSIFPGYITEDVHSKALKDWYPIILIAAYNVFDLVGKCFTAVYMLENAKIAVVSCVLRLLFYPLFLGCLHGPKFFRTEIPVTVLTCILGLTNGYLTSVLMIIAPKLVAIQHSETAGILMVIFLAIGLAAGSVVSWFWVI >DRNTG_25978.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001342.1:75923:79206:1 gene:DRNTG_25978 transcript:DRNTG_25978.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNLVFLLLVLGVAGKSSSPMRINAGLGLFVVSLVVVPVMDEAWVKGVQGMYAAYDVTVAVVVLAGIADAMVQSGVIGEAGELPERYMQATVAGTAASGVLVSAMRIITKSMYPQDADGLRKSANLYFIVSIVVMIICVICYNIVDKLPVVQYYKNLKIQALKEERSEQGLEITSTWSLSLRHIIQRIKWLAFANALIYVVTLSIFPGYITEDVHSKALKDWYPIILIAAYNVFDLVGKCFTAVYMLENAKIAVVSCVLRLLFYPLFLGCLHGPKFFRTEIPVTVLTCILGLTNGYLTSVLMIIAPKLVAIQHSETAGILMVIFLAIGLAAGSVVSWFWVI >DRNTG_25978.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001342.1:75923:79488:1 gene:DRNTG_25978 transcript:DRNTG_25978.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNLVFLLLVLGVAGKSSSPMRINAGLGLFVVSLVVVPVMDEAWVKGVQGMYAAYDVTVAVVVLAGIADAMVQSGVIGEAGELPERYMQATVAGTAASGVLVSAMRIITKSMYPQDADGLRKSANLYFIVSIVVMIICVICYNIVDKLPVVQYYKNLKIQALKEERSEQGLEITSTWSLSLRHIIQRIKWLAFANALIYVVTLSIFPGYITEDVHSKALKDWYPIILIAAYNVFDLVGKCFTAVYMLENAKIAVVSCVLRLLFYPLFLGCLHGPKFFRTEIPVTVLTCILGLTNGYLTSVLMIIAPKLVAIQHSETAGILMVIFLAIGLAAGSVVSWFWVI >DRNTG_25978.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001342.1:75923:79299:1 gene:DRNTG_25978 transcript:DRNTG_25978.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNLVFLLLVLGVAGKSSSPMRINAGLGLFVVSLVVVPVMDEAWVKGVQGMYAAYDVTVAVVVLAGIADAMVQSGVIGEAGELPERYMQATVAGTAASGVLVSAMRIITKSMYPQDADGLRKSANLYFIVSIVVMIICVICYNIVDKLPVVQYYKNLKIQALKEERSEQGLEITSTWSLSLRHIIQRIKWLAFANALIYVVTLSIFPGYITEDVHSKALKDWYPIILIAAYNVFDLVGKCFTAVYMLENAKIAVVSCVLRLLFYPLFLGCLHGPKFFRTEIPVTVLTCILGLTNGYLTSVLMIIAPKLVAIQHSETAGILMVIFLAIGLAAGSVVSWFWVI >DRNTG_28126.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19601892:19606415:1 gene:DRNTG_28126 transcript:DRNTG_28126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSEDAENGVAAWQGLLVDIDETSRASEELLGWEPVPWNTKWRLAVWESKNLGMLSWATVVITVFNFMLSLITQMFVGHFGALALAGASVANVGIQGLAYGIMLGMASAVQTVCGQAYGAKKYKAMGIVCQRALILHFIAAAILSILYWYSGAFLRAIKQSADIAAIGQIYARGLIPQLLAFALYCPMQRFLQAQNIVNPIAYISVAVFLFHVLLSWLVVFVFKLGILAAALSLSFSWWVLVIITWVYILFSPRCKQTWTGLSIKALRGLWAYCKLTVSSAIMLCLEVWYAQGIVLLSGFLPNPVISLDAISICANYWNWDFMILLGISNAASVRVSNELGAAHPRVAKFSVIVVVTMCVILSLFISIIVLLLRVPLSKLYTSSEAVVEAVSNLTPLLSISIFLNGIQPILSGVAIGSGWQAIVAYVNVGAYYIIGLPIGCVLAFKTNLAVAGIWWGLIIGVFVQTVTLAILTARTNWNLEVDKAIRRLKEAAEEDSLALANLEE >DRNTG_20496.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2662685:2663603:1 gene:DRNTG_20496 transcript:DRNTG_20496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFFSCTTNNHRRRHRHRIFTHSELATATSNFSSSSLLGRGSQSSVFLAIFPSSPPLIAAAKLSSSFSELSILFSLPYSPFIVNLLGFTHPSPSIPLLELMPSGSLDHLLHNSSPPPPWRRRLHLAFFSSLSLSFLHSLSPPIIHRDVKPSNILLDSSLQPSSLRLRSRRRLPFSLPSRRHSRLP >DRNTG_14430.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000680.1:42490:43444:1 gene:DRNTG_14430 transcript:DRNTG_14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVAHRKEASRGETSPYICRTRSRAQNPLFQPNSESSNRELTVTSEGTRHQSRTVATLFNLKGVVLPVLPHLNQGSVPNVPFCVAIDKRGSFSDRFPSRRHRTCAPTHGELR >DRNTG_31791.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14880547:14888926:-1 gene:DRNTG_31791 transcript:DRNTG_31791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTANAATSLPPNPNPMATLPLVVSLNCLEDPALEEETLAGLATVEHVGLSHVSDGRIDSAAAVLLHSLAFLPRAAQRRLQPWQLILCLGSTDRVVDSSLASDLGLRLVHVDSGRAEEVADTIMALFLSLLRRTHLLSRQSSSAASGWLGSIQPFCRGMRRCRGLVLGIIGRSASARCLATRSLAFKMRVLYFDFHEGGKGKSRRLPSTFPPAARRMDTLNDLLAASDLVSLHCSLTDDTMHLINADRLQHLKPGAFIVNTGSSQLIDDCALKQLLIDGTIAGCALDGAEGPQWMEAWVREMPNVLILPHSADYSEEVWMEIREKAISLLHSFFLDGVIPKNSISDEDEEPSETGYEDDVSDKRAKESILRICDDRMTDESHLSAAFSEKKGLHETNDPESSALSQNIPRSERRRSRSGKKGKKRPAHRRSRQKSDEFLAAERDSNSISRRDNDTVMCGRDQVLSSSSRFASPEDSRSKESRLLEPKLELSSGKQAPFNAGLGRNSGELLKDGFVIALHARDHPGFHVSRQRVPGGGWFLDTMSNITKRDPAAQFLVAFKSKDELGLRSFTAGGKLLQINRRMEFVFASYSFDVWESWMLEGSWLDECRLVNCRNPLAILDVRIEILATVGEDDGITRWLN >DRNTG_25192.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5712945:5754641:-1 gene:DRNTG_25192 transcript:DRNTG_25192.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGNENSDLGMKIKGMALIHSYFWGRDALEGENHDPKFREEMERMWRFVCPSSTEGTDDVWANPVKEPAERLARLGCEKVMVWVAEKDILRTRGVAYCEALKKSGWNGDVKLGEHEGQGHCFHLLDPQSQQALEFLEDFGKFLNQE >DRNTG_25192.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5753368:5754641:-1 gene:DRNTG_25192 transcript:DRNTG_25192.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGNENSDLGMKIKGMALIHSYFWGRDALEGENHDPKFREEMERMWRFVCPSSTEGTDDVWANPVKEPAERLARLGCEKVMVWVAEKDIFRARGVAYCEALKKSRWNGDVKLVEHKGQGHVFHLLDPQSQQALEFLEDLGGFLNQEQ >DRNTG_25192.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5712945:5754641:-1 gene:DRNTG_25192 transcript:DRNTG_25192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGNENSDLGMKIKGMALIHSYFWGRDALEGENHDPKFREEMERMWRFVCPSSTEGTDDVWANPVKEPAERLARLGCEKVMVWVAEKDIFRARGVAYCEALKKSRWNGDVKLVEHKGQGHVFHLLDPQSQQALEFLEDLGGF >DRNTG_25192.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5712945:5714157:-1 gene:DRNTG_25192 transcript:DRNTG_25192.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLDNQIDQDFSPLLRIYKSGRVERLLGSEVVTPSTDPSTGVSSKDLLIDPTTGLSVRLYLPAGHPHPDPNLPLLIYFHGGAFCVESASSPTYHNYLNALVARSNITVVSVDYRRAPEHPLPAAYDDSWAVLRWAANLADFKRVFLAGDSAGANIAHRMAMMAGNEGSDLGMKIKGMALIHSYFWGRDALEGESEDLKFREGMERMWRFVCPSTTEGTDDVWANPLREPVERLARLGCQKVMVWVAEKDILRTRGVAYCEALKKSGWNGDVKLGEHEGQGHCFHLLDPQSQQALEFLEDFGKFLNQE >DRNTG_23487.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001265.1:42723:44868:-1 gene:DRNTG_23487 transcript:DRNTG_23487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEEKNSVEKERKEKNGRKRRERREMRVK >DRNTG_23487.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001265.1:43677:44868:-1 gene:DRNTG_23487 transcript:DRNTG_23487.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMCARFPQESPPKDGRKAPKKCGGDGPNGQGKSPLFCPKSHLLYPSWHTGRIGVVWGSYSTQII >DRNTG_15997.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5212612:5215532:1 gene:DRNTG_15997 transcript:DRNTG_15997.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKERKARSVSFERRSRGSPFPSSSSNCPKHRPSSSRNPPRSPSRTAAAKDIKEWEEVRCPVCMEHPHNAVLLLCSSHDKGCRPFMCDTSYRHSNCLDQFRKAFASSCTTQDNHKEQLPTELSCPLCRGFVSGWTVNEPARYYMNAKSRSCSMETCDFSGAYGDLRKHARRDHPSVRPSVVDPARERDWRRMEQQRDFGDLLSTLMTAVGQRGTVERDDEYEENLFTFPSVAVYFVLRVRQHSGAENHRSPRHQPEQLTYSGPSSTISNDSDDGDDGRSEEVSAPSRGQGRPRRQLRMSDDEDEVL >DRNTG_15997.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5212612:5215532:1 gene:DRNTG_15997 transcript:DRNTG_15997.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKERKARSVSFERRSRGSPFPSSSSNCPKHRPSSSRNPPRSPSRTAAAKDIKEWEEVRCPVCMEHPHNAVLLLCSSHDKGCRPFMCDTSYRHSNCLDQFRKAFASSCTTQDNHKEQLPTELSCPLCRGFVSGWTVNEPARYYMNAKSRSCSMETCDFSGAYGDLRKHARRDHPSVRPSVVDPARERDWRRMEQQRDFGDLLSTLMTAVGQRGTVERDDEYEENLFTFPSVAVYFVLRVRQHSGAENHRSPRHQPEQLTYSGPSSTISNDSDDGDDGRSEEVSAPSRGQGRPRRQLRMSDDEDEVL >DRNTG_15997.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5212612:5215532:1 gene:DRNTG_15997 transcript:DRNTG_15997.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPKERKARSVSFERRSRGSPFPSSSSNCPKHRPSSSRNPPRSPSRTAAAKDIKEWEEVRCPVCMEHPHNAVLLLCSSHDKGCRPFMCDTSYRHSNCLDQFRKAFASSCTTQDNHKEQLPTELSCPLCRGFVSGWTVNEPARYYMNAKSRSCSMETCDFSGAYGDLRKHARRDHPSVRPSVVDPARERDWRRMEQQRDFGDLLSTLMTAVGQRGTVERDDEYEENLFTFPSVAVYFVLRVRQHSGAENHRSPRHQPEQLTYSGPSSTISNDSDDGDDGRSEEVSAPSRGQGRPRRQLRMSDDEDEVL >DRNTG_15997.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5212612:5215451:1 gene:DRNTG_15997 transcript:DRNTG_15997.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPKERKARSVSFERRSRGSPFPSSSSNCPKHRPSSSRNPPRSPSRTAAAKDIKEWEEVRCPVCMEHPHNAVLLLCSSHDKGCRPFMCDTSYRHSNCLDQFRKAFASSCTTQDNHKEQLPTELSCPLCRGFVSGWTVNEPARYYMNAKSRSCSMETCDFSGAYGDLRKHARRDHPSVRPSVVDPARERDWRRMEQQRDFGDLLSTLMTAVGQRGTVERDDEYEENLFTFPSVAVYFVLRVRQHSGAENHRSPRHQPEQLTYSGPSSTISNDSDDGDDGRSEEVSAPSRGQGRPRRQLRMSDDEDEVL >DRNTG_15997.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5212612:5215451:1 gene:DRNTG_15997 transcript:DRNTG_15997.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPKERKARSVSFERRSRGSPFPSSSSNCPKHRPSSSRNPPRSPSRTAAAKDIKEWEEVRCPVCMEHPHNAVLLLCSSHDKGCRPFMCDTSYRHSNCLDQFRKAFASSCTTQDNHKEQLPTELSCPLCRGFVSGWTVNEPARYYMNAKSRSCSMETCDFSGAYGDLRKHARRDHPSVRPSVVDPARERDWRRMEQQRDFGDLLSTLMTAVGQRGTVERDDEYEENLFTFPSVAVYFVLRVRQHSGAENHRSPRHQPEQLTYSGPSSTISNDSDDGDDGRSEEVSAPSRGQGRPRRQLRMSDDEDEVL >DRNTG_15997.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5212612:5215451:1 gene:DRNTG_15997 transcript:DRNTG_15997.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPKERKARSVSFERRSRGSPFPSSSSNCPKHRPSSSRNPPRSPSRTAAAKDIKEWEEVRCPVCMEHPHNAVLLLCSSHDKGCRPFMCDTSYRHSNCLDQFRKAFASSCTTQDNHKEQLPTELSCPLCRGFVSGWTVNEPARYYMNAKSRSCSMETCDFSGAYGDLRKHARRDHPSVRPSVVDPARERDWRRMEQQRDFGDLLSTLMTAVGQRGTVERDDEYEENLFTFPSVAVYFVLRVRQHSGAENHRSPRHQPEQLTYSGPSSTISNDSDDGDDGRSEEVSAPSRGQGRPRRQLRMSDDEDEVL >DRNTG_15997.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5212612:5215475:1 gene:DRNTG_15997 transcript:DRNTG_15997.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPKERKARSVSFERRSRGSPFPSSSSNCPKHRPSSSRNPPRSPSRTAAAKDIKEWEEVRCPVCMEHPHNAVLLLCSSHDKGCRPFMCDTSYRHSNCLDQFRKAFASSCTTQDNHKEQLPTELSCPLCRGFVSGWTVNEPARYYMNAKSRSCSMETCDFSGAYGDLRKHARRDHPSVRPSVVDPARERDWRRMEQQRDFGDLLSTLMTAVGQRGTVERDDEYEENLFTFPSVAVYFVLRVRQHSGAENHRSPRHQPEQLTYSGPSSTISNDSDDGDDGRSEEVSAPSRGQGRPRRQLRMSDDEDEVL >DRNTG_15997.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5212612:5215475:1 gene:DRNTG_15997 transcript:DRNTG_15997.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPKERKARSVSFERRSRGSPFPSSSSNCPKHRPSSSRNPPRSPSRTAAAKDIKEWEEVRCPVCMEHPHNAVLLLCSSHDKGCRPFMCDTSYRHSNCLDQFRKAFASSCTTQDNHKEQLPTELSCPLCRGFVSGWTVNEPARYYMNAKSRSCSMETCDFSGAYGDLRKHARRDHPSVRPSVVDPARERDWRRMEQQRDFGDLLSTLMTAVGQRGTVERDDEYEENLFTFPSVAVYFVLRVRQHSGAENHRSPRHQPEQLTYSGPSSTISNDSDDGDDGRSEEVSAPSRGQGRPRRQLRMSDDEDEVL >DRNTG_20329.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5823805:5828065:-1 gene:DRNTG_20329 transcript:DRNTG_20329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGEVKRVKEVAKSTSVSMTPVRPGKTYPLSPLDHAMAAHTLHLVFYYKAGPEMEEDKLKESLSDVLSHYPAMAGRLVRGEKDGNWVVKCNDAGVRVVDAKAGVTLERWLETATAEEEMDLAHWEPMVENTSIWSPFYIQITEFEDKAYAIGLSCPHMHADPTCAMLFIKAWSDAHRHACIINPPFFHPPGLRSRPLPNPSSPLLSSKSNSISHSSSSSSSSSSSNNISSATFLFSDSSLKSLLSDHHLTLSPQLTPFSILAALFLSRIATGNTFTVCTDFRKRMHAPLPHGFYGNAMHFSSVTAELEAGVGNVAERIAAHVAGLEEEEYWSAIEWVEEEMMMMKKKKKVEFPVYGPELTCVNLEHVFGYGAVFDEGEKGKPVHMSCRIGGVVGEGVVVVLPTPEEGMGRTVVISLREDLTERICRDEVIAKYEPVLMFH >DRNTG_00666.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16223243:16223787:1 gene:DRNTG_00666 transcript:DRNTG_00666.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSQSSSTGTSSQELQIKITQLCETIDQLQDKNKEHQESLYEMRADKDEYHDQMMC >DRNTG_14782.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30005732:30010036:-1 gene:DRNTG_14782 transcript:DRNTG_14782.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEQDGGKYGIGIENSVPTEKEQVFSDSFTEDFADEFRLPISHRPTENLDMDNLEQVSLDTQITSSNIGFRLLQKMGWKGKGLGKDEQGIVEPIRAGIRDPKLGVGKQEQDDFFTSGENVQRRKLEVELEETEEHTKKREVVAEREQKIQTEVKEIQKVFFCALCNKQYKLAMEFEVHLSSYDHNHRKRFKEMKEMHGGSSRDDRLKREQLREEKEMAKFAQLADAHKQRQQQQQEQSGGSQDAGSVCNTSAGLVTQNQRQALKFGFSSRLGSTKNSTGSAAKKQKVAVKVSSIFGNDSDEES >DRNTG_14782.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30005732:30010036:-1 gene:DRNTG_14782 transcript:DRNTG_14782.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEQDGGKYGIGIENSVPTEKEQVFSDSFTEDFADEFRLPISHRPTENLDMDNLEQVSLDTQITSSNIGFRLLQKMGWKGKGLGKDEQGIVEPIRAGIRDPKLGVGKQEQDDFFTSGENVQRRKLEVELEETEEHTKKREVVAEREQKIQTEVKEIQKVFFCALCNKQYKLAMEFEVHLSSYDHNHRKRFKEMKEMHGGSSRDDRLKREQLREEKEMAKFAQLADAHKQRQQQQQEQSGGSQDAGSVCNTSAGLVTQNQRQALKFGFSSRLGSTKNSTGSAAKKQKVAVKVSSIFGNDSDEES >DRNTG_14782.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30005732:30010036:-1 gene:DRNTG_14782 transcript:DRNTG_14782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEQDGGKYGIGIENSVPTEKEQVFSDSFTEDFADEFRLPISHRPTENLDMDNLEQVSLDTQITSSNIGFRLLQKMGWKGKGLGKDEQGIVEPIRAGIRDPKLGVGKQEQDDFFTSGENVQRRKLEVELEETEEHTKKREVCNRIFLFWLFTFH >DRNTG_14782.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30005732:30010036:-1 gene:DRNTG_14782 transcript:DRNTG_14782.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEQDGGKYGIGIENSVPTEKEQVFSDSFTEDFADEFRLPISHRPTENLDMDNLEQVSLDTQITSSNIGFRLLQKMGWKGKGLGKDEQGIVEPIRAGIRDPKLGVGKQEQDDFFTSGENVQRRKLEVELEETEEHTKKREVCNRIFLFWLFTFH >DRNTG_14782.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30005732:30008566:-1 gene:DRNTG_14782 transcript:DRNTG_14782.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLEQVSLDTQITSSNIGFRLLQKMGWKGKGLGKDEQGIVEPIRAGIRDPKLGVGKQEQDDFFTSGENVQRRKLEVELEETEEHTKKREVVAEREQKIQTEVKEIQKVFFCALCNKQYKLAMEFEVHLSSYDHNHRKRFKEMKEMHGGSSRDDRLKREQLREEKEMAKFAQLADAHKQRQQQQQEQSGGSQDAGSVCNTSAGLVTQNQRQALKFGFSSRLGSTKNSTGSAAKKQKVAVKVSSIFGNDSDEES >DRNTG_14782.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30005732:30010036:-1 gene:DRNTG_14782 transcript:DRNTG_14782.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEQDGGKYGIGIENSVPTEKEQVFSDSFTEDFADEFRLPISHRPTENLDMDNLEQVSLDTQITSSNIGFRLLQKMGWKGKGLGKDEQGIVEPIRAGIRDPKLGVGKQEQDDFFTSGENVQRRKLEVELEETEEHTKKREKGHQLWRVLRITCSFYYLVASTAWFVHIDENPGCSRT >DRNTG_14782.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30005732:30008566:-1 gene:DRNTG_14782 transcript:DRNTG_14782.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLEQVSLDTQITSSNIGFRLLQKMGWKGKGLGKDEQGIVEPIRAGIRDPKLGVGKQEQDDFFTSGENVQRRKLEVELEETEEHTKKREVCNRIFLFWLFTFH >DRNTG_14782.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30005732:30010036:-1 gene:DRNTG_14782 transcript:DRNTG_14782.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEQDGGKYGIGIENSVPTEKEQVFSDSFTEDFADEFRLPISHRPTENLDMDNLEQVSLDTQITSSNIGFRLLQKMGWKGKGLGKDEQGIVEPIRAGIRDPKLGVGKQEQDDFFTSGENVQRRKLEVELEETEEHTKKREKGHQLWRVLRITCSFYYLVASTAWFVHIDENPGCSRT >DRNTG_00436.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21873675:21876193:1 gene:DRNTG_00436 transcript:DRNTG_00436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFPTLRLRSFPSLRQIHSSQTLLRRIPNPSLQSAPPELLDRLCRILLLERFDAVSKLSFSFSDDLLDSVLARLRLEPVAALGFFRIAAKQPYFRPNCRSYCKMIHILSKGRMLDDARACLKELVRFFGSKDSVSFVFDEMVRVYKEFSFSPTVFDMLLKVFAESGLIKHALHVFDNMGKFGRIPSLRSCNSLLSCLVRNKESRVAVQVYEQMSRLGILPDVFTVSIVVNAYCRDGEVQKGLGFVEEMERKGFEVNIVAYHALINGYCSVGDMEVALSLFRLMVGKGILPNAVSYTLLIKGYCKQGKIAKAEEIIKEMKEMPCLAADEVAYGVIINAYCQLGKMDDAIRVRDNMQIAGLKPNISVCNTIINGYCKAGRVKEAEKILIEMEFGSLKPDSYSYHTLLDGFCKEGLMDKAFETCNVMLERGIEVTVLTYNTLLKGFCLLGAMDDALHLWFLMLKRGVAPNEISSSTLLDGFLKTGDFERALKLWSEILARGFAKNQITFNTAINGFCKIGRMGEAEAILTKMKDLGCCPDCVTYRTLIDGYCKAGDLEKAFRIRDEMEASGFSLSFEMISSRNAIPATG >DRNTG_02869.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:25685681:25687976:1 gene:DRNTG_02869 transcript:DRNTG_02869.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAYRYEILDLNSQVGNCIPLANICMGTWVHDIECHPSQGAKSARAAGTYAKK >DRNTG_25562.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3634685:3635485:-1 gene:DRNTG_25562 transcript:DRNTG_25562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFSYGRFGSLTDETGDVDWLYELQHPITKTRSLISTALQAMHAPTITFTIEFICQDMYFPGINHAYAEYLDVESYTIERKEFNKMDMMPFITNKKSAEDALRTMFLNTRTWNNMLIFETCSEKRESMFTEISETIFSFLDRRLNRRVSEIVFNLEVQKFIPIRVDDIPEEFQFLEELEDFDLDGFDEQYDEYNCPAPQALLESMVTEVFHGEEEDMDCVICLEELVSGTEVKRLPCSHCFHGQCIDGWFQGMDKCPICRFTLPA >DRNTG_23636.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6970770:6971722:1 gene:DRNTG_23636 transcript:DRNTG_23636.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGDELQDVITEPKFSDNVTVTAAPTLTSFSSSIWSSQENKMFEVELAYNPRETVNRWEKIAAKLPGRSVQEVIEHYEELTLDLAAIEAGAVQFPACQDVDDVNDDDDDYDGGGGVNVNEVNQGFREPLASGLMSMNCSNPSSSRTKVENKKQRANPWSEEEHKAFLKGLAEFGKGDWRSISRFAVKTRTPSQVASHAQKFFIRSSGGKKSKRKSIHDITEC >DRNTG_12048.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000527.1:73789:74757:-1 gene:DRNTG_12048 transcript:DRNTG_12048.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNLEMILVHIWIITLIPIVHLKPMSLMTCFLRRLIAICIHHHLSTTNQRVQNSGECLPPPNQEKEKGKRKMVSEVEAIQEMNNTIKKALGEKKSTRDLDFIKELIGECMKLKAYGYSGRDINKAYDWLMTNNSRAMGFLAKDEELRKY >DRNTG_03464.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20519681:20524719:1 gene:DRNTG_03464 transcript:DRNTG_03464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVFSGVKQCYVVKIGSKKGQSRVNSHPIPSLHPREVSSVPSPLFCTSLKVLPAPEIDIAGERHHRGSQEKDINVEPRGLLLFFRCFLHCWSNKWEEEKISLRKGEDKAAYLWEHHPRQ >DRNTG_02036.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13219798:13223995:1 gene:DRNTG_02036 transcript:DRNTG_02036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGAGATAGGGGSLSEIYQNARRLLLRTRDGLARLERFESSSFSSAAASSSSSAPLGIGLDSPELSFSVKRDIAQIQSLCTDMDRLWRSIPAKGQRDLWKRKVEQVTEEVDSLKEGLDKLLLRQQKRMMEAKERAELFQRANGESEHVLRIFDDEAQALQSARNSSMMLEEAYATGVAVLSKYAEQRDRLKRAQRKALDILNTVGLSNSVLKLIERRHRVDKWVAYGGMIATIIVVYLFWRWTH >DRNTG_12972.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30522730:30524969:-1 gene:DRNTG_12972 transcript:DRNTG_12972.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVIGAQSFKQGNTTIPPTDIDQGHGTHVAGIAAGNFVDNAEVLGQAPGRAAGMAPKAFISVYKVCWKDIGCESSGILAGIDKAIQDGVHILQMSFGARPPYLPNSFDDDEVAVATYSAMQKGIFPCTAAGNNGPDLETLSHAAPWDMVVGATTTDRRIRATVTLGNGKQFHGESAYQPKTVTNKFLPLVFPGSNGRSDQLSCKNNSLNGFNVRNKIVMCYVGDIENTEKGDFVRNAGGAGMILMNFDVLGFTTSSEPHPLPVSHVSDKDAIQIRDYFATNSTPTAKITFGGTIFGVRPAPALAYFSSRGPARYNGNIVKPDVTAPGVNILAAWPVEVGPFPSGLKRKTFNFLSGTSMATPHVSGIVALIMSKLKNDNKRQWSTSEIQSALITTANTFDLDGRPIFDEATLNNSANILQRGAGQVNVTNAMDPG >DRNTG_15850.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25176797:25178881:1 gene:DRNTG_15850 transcript:DRNTG_15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSLSSPISCSHGSSSPGVKAAGKPSSLSWSSSFPSFTISAHYLFENRQPIAPDRQSFVVQAAWTRRSRGEAAKKPNRKSWKQRTDMYMRPFLLNVFFSKRFIHAKVMHRGTSKVIAVATTNAKDLRNTLPSLIDNNACMTIGELIAERSKEADVFAMAYEPRKNEKIEGKLGIVIDSIKANGIIFVDD >DRNTG_26206.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17343689:17344353:1 gene:DRNTG_26206 transcript:DRNTG_26206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVGKINTSFHDCSNQGFVSGNDLELSRESDLELPLLDLKTVAFATDNFTVANKLGEGCFGPVFKGKLGNGQEIAVKRLAKTSVQGMVEFKNEVLLIAKLHRRNLVRLLFLLH >DRNTG_29227.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3267842:3269509:-1 gene:DRNTG_29227 transcript:DRNTG_29227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGSGGGGAMAVVPEQENQDQTPPPSPGLRLNSPLIQVSLIGVVCFCCPGMFNALSGMGGGGQLDHTAANNANTALYTTFAIFGVLGGGFYNLLGPRLTLLSGCSTFVLYAGSFLYYNHHQHQLFVIISGAILGIGAGLLWSGQGAIMTSYPPPHRKGTFISIFWSIFNLGGVIGGLIPFILNYHRTSATSVNDGTYIAFMAFMALGTVLSLVILPPNQVIRDDGYRVATVKYSSAATEALEILRLFTNWKMLLIVPAAWASNFFYSYQFNNVNGKLFNLRTSGLNNVFYWGAQMIGSLGLGYLLDFSFSSRRLRGFVGIAVVSVLSTAIWAGGLANQLRYNDGELSKKLDFKDSAFAGPFILYFSYGLLDAMFQSLCYWIIGALADDSETLSRYSGFYKGVQSAGGAVAWQIDTHKVPLVTQLVVNWSLTTISYPLLVVLVILAVKDDNITTLNIESKTEKPSVSSPAGIGVIENGSELALPA >DRNTG_06240.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20679129:20679695:1 gene:DRNTG_06240 transcript:DRNTG_06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSQKHQEKCPEMSSSPLGSRSVSLRIFFFPNPHPFLLASASKSPSGMHPLVNSPTIAA >DRNTG_14789.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29943435:29947823:1 gene:DRNTG_14789 transcript:DRNTG_14789.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTNPFDLLVDDDNDDPSHLIAAAQLQKVAAKKPAAPAAAPPAAAKLPSKPLPPAEAVKEARSNAGRGGGVGRGGFGRGGGRGGRGGEPRQNRGAGNESMNPNGSSGGYGGGFGGAGGEEGDGGRVPDRERGSYNGPRQSFRGGRRGGYGSGEAGGDSERPQRRTYERRSGTGRGSEMKREGAGRGNWGTSTDEALVEETEEKFNVDDKALNPEKNIEEDDVPSSEVNKDAKEGTTNEAEQKEEDKEMTLEEFEKIREEKRKNLLAMKNEERKVDVDKEFESMQQLSVKKGNDDIFIKLGSDKDVKKRDERAKKSVSINEFLKPAEGERYYTPGGRGRGRGRGGDRGPYRGGFGTGGSGLAVAAPSIEDPGQFPTLGGK >DRNTG_17675.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16314156:16317174:1 gene:DRNTG_17675 transcript:DRNTG_17675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLGDLLLSVVVSIAVALLIVVIFAIGDLEHRADDKRAAVVDDLGVDEKLKRCGSESEGEDEIVGEIAVDLFSSCVGDAEVHEFNPEMEIKGLNCARASEVDLEEKSFEVVEGGQMKLEEEEEGEKKKGLMIGVEDDWEGIERSEVVKRFGVACKYSGSADGGMTLSKLGGDVRLRLYGLRKVAIEGPCYQPQPMALKMSARAKWQAWQKLGNMNPEVAMEQYINLLSESIPGWMEQLTRGTANKQDSSDSSGWQSITEKLDVASPLHGKLTSGTDRSPEDCIREYEATVGTEYFGHGLPKTVLFNLLSPSPVRFLNLLW >DRNTG_04433.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9794511:9796738:-1 gene:DRNTG_04433 transcript:DRNTG_04433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVLRKMKRARICHRKCPMTIGEMGELNNLEEPLLGGTKPDNSSSTFKGLCSSCFLAMALGYDPREPLNID >DRNTG_31969.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:629194:631425:-1 gene:DRNTG_31969 transcript:DRNTG_31969.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFISSPSLFNPTLAGIFAPVNATTRFSSRIQKNQVSRIEREGNGSRRKVLIFMIPLVSSGLLDSLSASGKVKGSNPYNEKRLLEQNKKMQAANNVPNDFPSFIREGFQVKVVASDNYQKRDSGLMYLDIEVGNGDCPRDGQQVIFHYIGYNESGRRIDSTYLQGSPAKIRLGNKALVPGFEEGIRDMRPGGKRRLIIPPELGPPVGPSTFFSSKQFEVFDVELLSVQDCQRRTIGFYSDVICN >DRNTG_28220.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11852682:11853880:-1 gene:DRNTG_28220 transcript:DRNTG_28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFPRFIARVEALEGRSQSTAPSLQSNEAPGTDEASKFNDEDFIGMVIPKRPRLKRLVKKSKTIMPLYPPPANDETVAAPSAADAIIKSVAVDDMPVTVEKIVDDVAIAVIDNIVDFVVKEIPITVEPPTDIDAVVIPASKEDAAGAEQRQLSTTVPHDDPKTAVDEGQGNATEKTMRDMIIANQQHEEVRKDFIPKKKKYPGQSRLNKFELETIVWMNDSLSTTRSRLFTLLDGKEMVSDEVMDAFEDSADTTFAMIEYAACNLHHVDIVIL >DRNTG_31082.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30783768:30785328:1 gene:DRNTG_31082 transcript:DRNTG_31082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRTMTSLALRGPVPPISSTSIQFAHRSAGDRIRTPSLLPIRRRSNSLSSMLLGTRVSHPLALSRPGLSPGIMVDTPSQSSAFQGMH >DRNTG_19405.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6253101:6258832:1 gene:DRNTG_19405 transcript:DRNTG_19405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKLNGFGEVSEKKMFFRADQIDLKKLDIQLEKTLSRVWTMSRTNTISPKPKEEWEIDLAKLDIRHVVAKGTYGTVYRGNYDGKDVAVKLLDWGEDGLTTDAETAALRSSFKQEVAVWHKLGHPNITKFIGASMGTSDLKIPEKNALNNGENNTIPARACCVVVEYLAGGTLKQFLIRHRQRKLAYKIVIELALDLSRGLSYLHSRKIVHRDVKTENMLLDVDRKLKIADFGVARVEAQNTHDMTGQTGTLGYMAPEV >DRNTG_13954.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000646.1:65289:67400:1 gene:DRNTG_13954 transcript:DRNTG_13954.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSNGSKGKFKRNQQQKLQQLPPRDIEREHHHHHQKFQHLISREVVRESNQNLLQMPPKKGPQYKAPKSK >DRNTG_16526.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12277271:12278979:-1 gene:DRNTG_16526 transcript:DRNTG_16526.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLKLTDMKVIRSETNPSRFVATSNEGGMSSAQMLDAQPRTSSHSLDIDSNRNGDDKNQDHGNIHENTSGSVNVNTVDNAGHYKGRGRTTLKELWALPPQDKVLFSANRHGHIIGPEVQLFTSFLGMITRSNQKIGVQYESWHKMPKTLKDELLNFIEMRFVLEIPKDYVLKSFGKKWKDYKHDLKKKYFKREDGLQANKENHPEGTISWQWERGSSFLVLEERGGIRTSWGFLEKATKVHTHFWSLEVERE >DRNTG_10754.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26055939:26060498:-1 gene:DRNTG_10754 transcript:DRNTG_10754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGLLALAIIFTAELTCSSVSATARHLTSSNPSPSVPFLAKQDATQTNPLLLDWDIPPYDLIKPDHLVPGVKTIVSQLEKDLAALESAAQPDYISLVRPLEKIYDRIQVFAYMMANYMRFDLVNFADPAGTASDLLDDLETKLWTSVPILNAFKAIQASSSYSTLTKSQQSVVKLYLEQAKQNGVELSAADKQKVKQIKEQLDTLGEQYAGNSVADYYVVQDMAQKKSVSVLPTTLTDMSGNLSSLTGLAENTLGKICFPADSDLGPTSLINHACDRSLRQEFYLADIQKSSSGSTDNGAVIEQMLTLRHDLAKLLGYDSFAKMTLGAKLEMVEGVLENLRKASKALSTEDIDDLKSFVQSVDPSAASDLKQWDVGYWKWIKNAGDPKFIDEDSVTPYFTLEALINQVFATVKKMFGVVIEPADGQAPVWHEDVRFFIVKDSEGQPLGHLFLDPYYRAGKYGGFWTSEIIVHSKTLTRPGQSVRLPAYLIGAAYTAPTPNTPTLMGFYEIRSLFHEIGHALQGILTQQEENMVAGFRNLDAGSYELVSMFMIYWCFQRDTMKAMGKHYQTGAPIPDNVLDMYIVTTKKSLIVELQSWVEIASVDLELHSKYTPGGSESIYDVYQRIQSESEFLPPLPQNKALCSTMRQEFGGQYGSVFFDYLLSDVIAADAFSELEGVGLSNAEGIRKLGLKFRNTFLSFGGGIPPNEVFADFRGRGPILDVQRMLKLKGMTS >DRNTG_29366.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1329112:1333553:1 gene:DRNTG_29366 transcript:DRNTG_29366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVASARACLAGDAVRALDDAVAVARRRAHAQTTSLHVVSALLSPSFSSALLRDALARARSAAYSPRLQFKALELCFGVALDRLSSAHPPPAEPPVSNSLMAAIKRSQANQRRNPDTFHLYNGAAAAAAGGTTAAAVVKVELQQMVMAILDDPVVSRVFGEAGFRSYDIKLAVLRPPSPILRFPRSARCPPLFLCNFDSPDAGDENCRRICEILSKTTANNPILVGVGASDAARDFTRALELRNPNVLPPELQGVKTVSLEKGNEGDLEEMVRNSEGKGLVLTVGDLKGLVEDEGSSSSLVVAVTKVMADRKAAARVWIMGWSATYEMYMKLLSLHPSLDKAWNLQLLPITSLKNGIGSFNTRPQSLMESFVPFGGFFPLAYESKSSLSSQYQSIPRCQLCNEMYEQEVAAMMKTYSAQGEEQDKSNLPSWLQSAETGNIEKGLHGAEAKDGNAMLNANVEDLQKKWNDNCKRLHRGFPMPETDSSRLPPHLTSLRCVPDKGIAGCQCSNTTVNQKQSGRDSKLPISDDLEIASASSSVSVAVTSGTKDNFLSRLQVRVPENQQLRIDECRSQPGTQSDLVPDDRASPSVTSVTTDLVLGTPREVSQTQKERLQDFSEYSPSKRVDSYACSPVSRAKVTSTITRGVSPFEKFSQNASSVRQQSDPTDYKAILQSLIDRVGRQEEAICAITQAILRCKTGPERLRGASLKGDIWLSFLGPDKVAKQRIAVALSELMFGSMENFIHMDLSVQNSVVRPNVICDLKYINGYDIKFRGKTITDHIAAVVSKKPWSVIFLENVDKADFLVQNSLSQSIQTGKFSDSNGREFSINNAIFITTTKTAHCRTFYSNKEVANFSEERILAAQRWQMKILIDPLHETVSSSKQASVFVTKRKLDALDECRDQLAFENSPKQARKSCNTFLDLNLPVEEFLNSDTNSINTDENNSLSENTEAWIDEFFELMDETVNFKPFDFDALVDCVLKEITRNFNRIMGPECILEIDIKALEQILAATWILEDRTSLNNWIETVLCRSFDEAKRRCNVGARLVIRLVTCQDTFMDEQAPGILLPSRIALK >DRNTG_29366.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1329112:1333553:1 gene:DRNTG_29366 transcript:DRNTG_29366.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNANVEDLQKKWNDNCKRLHRGFPMPETDSSRLPPHLTSLRCVPDKGIAGCQCSNTTVNQKQSGRDSKLPISDDLEIASASSSVSVAVTSGTKDNFLSRLQVRVPENQQLRIDECRSQPGTQSDLVPDDRASPSVTSVTTDLVLGTPREVSQTQKERLQDFSEYSPSKRVDSYACSPVSRAKVTSTITRGVSPFEKFSQNASSVRQQSDPTDYKAILQSLIDRVGRQEEAICAITQAILRCKTGPERLRGASLKGDIWLSFLGPDKVAKQRIAVALSELMFGSMENFIHMDLSVQNSVVRPNVICDLKYINGYDIKFRGKTITDHIAAVVSKKPWSVIFLENVDKADFLVQNSLSQSIQTGKFSDSNGREFSINNAIFITTTKTAHCRTFYSNKEVANFSEERILAAQRWQMKILIDPLHETVSSSKQASVFVTKRKLDALDECRDQLAFENSPKQARKSCNTFLDLNLPVEEFLNSDTNSINTDENNSLSENTEAWIDEFFELMDETVNFKPFDFDALVDCVLKEITRNFNRIMGPECILEIDIKALEQILAATWILEDRTSLNNWIETVLCRSFDEAKRRCNVGARLVIRLVTCQDTFMDEQAPGILLPSRIALK >DRNTG_21351.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13071596:13073444:1 gene:DRNTG_21351 transcript:DRNTG_21351.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 54 [Source:Projected from Arabidopsis thaliana (AT1G71870) UniProtKB/Swiss-Prot;Acc:Q9LE20] MAAPITAMNLVVYLRAMISVVFLGHLGPLELAGGALAIGFTNITGYSVLFGLASGLEPFCSQAFGSRNFDLISLSFQRTILLLLAAAGPIALLWLHLGPILVSLGQNPAITAAAADYALYALPDLLTNTVLQPLRVFLRSQGITRPMAACSAAAVAFHIPLNLLFVSVLHLGVPGVALAGALTNLNMVLFLISYLRFSGACGLTWRGWSSAAFTGVTPLLRLAVPSCFGVCLEWWWYEIMTVLAGYLPNPTSAVGATAILIQTTSFMYTVPMALAACVSTRVGNELGAGRPKKAKIAALVALACAVAIGFLNVGWTTIYREKWAKLFSKDKEVVSLAAQVLPLVGLCEVGNCPQTTGCGVLRGTARPAIGARINLLSFYLVGTPDCCGACILVGAWF >DRNTG_31387.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27380087:27381571:1 gene:DRNTG_31387 transcript:DRNTG_31387.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHHSLPPCQPTPQPSSYSPSRARMLIGILACVKGF >DRNTG_31387.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27380087:27381571:1 gene:DRNTG_31387 transcript:DRNTG_31387.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHHSLPPCQPTPQPSSYSPSRARMLIGILACVKGF >DRNTG_31387.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27380087:27381571:1 gene:DRNTG_31387 transcript:DRNTG_31387.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHHSLPPCQPTPQPSSYSPSRARMLIGILACVKGF >DRNTG_31387.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27380087:27381571:1 gene:DRNTG_31387 transcript:DRNTG_31387.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHHSLPPCQPTPQPSSYSPSRARMLIGILACVKGF >DRNTG_24136.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26327965:26335488:1 gene:DRNTG_24136 transcript:DRNTG_24136.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTASRCQISHALASFIVVSLCLSISDANYLVDIQSLFTLRDSLAEGRHLLSSWFNQEIQPCNWSGVTCIDSIVHGIDLSNKNLNILFPSCIVAFRSLELLNFSNCGFTGQIPETLGDLKNLKHLDLSNNQLNGALPSSLTDLKMLKELVLGSNLLSGSLIPLINLRGLTKLSISANSFEGSIPSEIGYMQYLEHLDLSTNSFSGTLPSSFGNLTKLLHFDCSRNGLTGEIFPGIGSLSKLLTMDLSYNSMTGSLPGELGRLTSLEALWLGPNEFSGSIPIEIGNLTQLKVFSIHSCKLTGRIPEEISNFKRLTELDLSENSFSGELPAGIGELKYLLYLVAANAGLNGRIPESLGSCKILKMLDLSFNSLSGSLPESLAGLESITSFIAEGNRLSGPIPIWISNWKMVRSIRLGKNLFNGFLPPLKLPYLTTFSADSNQLSGKIPSGICEAVSLTILSLSENLLTGSIAETFKECSNLTDLILLGNNLYGGIPGYLGKLNLVTLELSHNNFIGLLPDELWESQTIIEFSASSNKLVGQIPSKIGKMTQLQMLQLDDNLFEGEIPSSIGRLRNLTNLSLNGNRLSGPIPTELFNCESLVAVDLGSNNLTGSIPRNISQLKLLDNLVLSGNQLSGHIPGEVCYGFQKVPYPESEFNQHYGVLDLSNNNLEGLIPAAIQNCTVVKELRLQGNKLNGSIPPELADLLNLTFIDLSFNFLTGPILPTSIPLTNLQGLILSNNKFDGSIPDNISSILPSLVKLNVSGNQLTGFFPQSLYHIKTLTDLDISQNSLSGYIPFSGSTNGEMSSLLIFNVSNNHFTGALSDTISNLTSLSVLDLHNNGLTGGLPSSLSKLYYLTYLDVSDNDLQDAIPCSICNIVGLSYINFFGNRFDRYSPEDCDPTKQCSANHMTSPPLVAYLSSHSLNQASKWGITIGAVASFFVLLYFLLRWRRRSQKAKALASSTGKAKPAAIEPSSTDELLGKKLREPLSINIATFEHPLLRLSLADILKATEHFSKARIIGDGGFGTVYKAILPEGRMVAIKRLNGGNHFQGDREFLAEMETIGKVKHRNLVPLLGYCVFSDERFLIYEYMENGSLELWLRNEADAVETLGWPIRFKICIGAARGLAFLHHGFVPHIIHRDMKSSNILLDKAFEPRVSDFGLARIISACETHVSTDLAGTFGYIPPEYGQMMKATAKGDVYSFGVVVLELLTGRPPTGQEEVDTGGNLVGWVRWMIAQGKEYEVFDACLPKMGLSRDQMSRVLIVARACTADEPWKRPSMLEVVKLFKEIKMMAGHV >DRNTG_24136.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26327965:26335488:1 gene:DRNTG_24136 transcript:DRNTG_24136.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTASRCQISHALASFIVVSLCLSISDANYLVDIQSLFTLRDSLAEGRHLLSSWFNQEIQPCNWSGVTCIDSIVHGIDLSNKNLNILFPSCIVAFRSLELLNFSNCGFTGQIPETLGDLKNLKHLDLSNNQLNGALPSSLTDLKMLKELVLGSNLLSGSLIPLINLRGLTKLSISANSFEGSIPSEIGYMQYLEHLDLSTNSFSGTLPSSFGNLTKLLHFDCSRNGLTGEIFPGIGSLSKLLTMDLSYNSMTGSLPGELGRLTSLEALWLGPNEFSGSIPIEIGNLTQLKVFSIHSCKLTGRIPEEISNFKRLTELDLSENSFSGELPAGIGELKYLLYLVAANAGLNGRIPESLGSCKILKMLDLSFNSLSGSLPESLAGLESITSFIAEGNRLSGPIPIWISNWKMVRSIRLGKNLFNGFLPPLKLPYLTTFSADSNQLSGKIPSGICEAVSLTILSLSENLLTGSIAETFKECSNLTDLILLGNNLYGGIPGYLGKLNLVTLELSHNNFIGLLPDELWESQTIIEFSASSNKLVGQIPSKIGKMTQLQMLQLDDNLFEGEIPSSIGRLRNLTNLSLNGNRLSGPIPTELFNCESLVAVDLGSNNLTGSIPRNISQLKLLDNLVLSGNQLSGHIPGEVCYGFQKVPYPESEFNQHYGVLDLSNNNLEGLIPAAIQNCTVVKELRLQGNKLNGSIPPELADLLNLTFIDLSFNFLTGPILPTSIPLTNLQGLILSNNKFDGSIPDNISSILPSLVKLNVSGNQLTGFFPQSLYHIKTLTDLDISQNSLSGYIPFSGSTNGEMSSLLIFNVSNNHFTGALSDTISNLTSLSVLDLHNNGLTGGLPSSLSKLYYLTYLDVSDNDLQDAIPCSICNIVGLSYINFFGNRFDRYSPEDCDPTKQCSANHMTSPPLVAYLSSHSLNQASKWGITIGAVASFFVLLYFLLRWRRRSQKAKALASSTGKAKPAAIEPSSTDELLGKKLREPLSINIATFEHPLLRLSLADILKATEHFSKARIIGDGGFGTVYKAILPEGRMVAIKRLNGGNHFQGDREFLAEMETIGKVKHRNLVPLLGYCVFSDERFLIYEYMENGSLELWLRNEADAVETLGWPIRFKICIGAARGLAFLHHGFVPHIIHRDMKSSNILLDKAFEPRVSDFGLARIISACETHVSTDLAGTFGYIPPEYGQMMKATAKGDVYSFGVVVLELLTGRPPTGQEEVDTGGNLVGWVRWMIAQGKEYEVFDACLPKMGLSRDQMSRVLIVARACTADEPWKRPSMLEVVKLFKEIKMMAGHV >DRNTG_06339.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20146663:20153452:-1 gene:DRNTG_06339 transcript:DRNTG_06339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDNIDGGKFPFMEMIFHPRGHVEKIPWWMRRSPSVWPINGGSRVVYTWFVGKEAEEMEGLVEDDVISGVRATLEGFVAVGSSGDDMDLMGQP >DRNTG_32925.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001931.1:5831:8211:-1 gene:DRNTG_32925 transcript:DRNTG_32925.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLKKAFLYEDAAANCCPSELLDIGHRLMRKCGGLPLALVVLGGFLSIKDKTPVVWRRVLETMDWDTEGRECQEILAVLSYEDLPHQMKLCFLYLGAYPDDYEIPGKELIRKWVAEGFIPQQGNKMMEETGEIILEELIQRSLIHVNDRTSNGSVKTCGVHDLLLDFTRSVAKKDRFLTVCSTQNDQPAVSLTTSSHRVAINIIDNTLGQ >DRNTG_29569.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2244260:2250966:-1 gene:DRNTG_29569 transcript:DRNTG_29569.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGHARSRYLNRKEGDAEGGASDWSEVVTR >DRNTG_29569.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2244260:2250966:-1 gene:DRNTG_29569 transcript:DRNTG_29569.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSHHAPYAPGRHTCYNGRDKGSRSREGELTPKTRPQFGLQAATRLHEVGIASNRRSAIRR >DRNTG_29569.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2244260:2251098:-1 gene:DRNTG_29569 transcript:DRNTG_29569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGHARSRYLNRKEGDAEGGASDWSEVVTR >DRNTG_29569.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2244260:2245994:-1 gene:DRNTG_29569 transcript:DRNTG_29569.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSHHAPYAPGRHTCYNGRDKGSRSREGELTPKTRPQFGLQAATRLHEVGIASNRRSAIRR >DRNTG_29569.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2244260:2245994:-1 gene:DRNTG_29569 transcript:DRNTG_29569.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSHHAPYAPGRHTCYNGRDKGSRSREGELTPKTRPQFGLQAATRLHEVGIASNRRSAIRR >DRNTG_29632.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5911750:5916735:-1 gene:DRNTG_29632 transcript:DRNTG_29632.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEMEKYEVLEQIGKGAFGSALLVKHKEEKKRYVLKKIRLARQTDRCRRSAHQEMELISKVRHPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKANGTLFTEEKLCKWLVQLLMALDYLHSNYILHRDVKCSNIFLMKDHKIRLGDFGLAKILTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTALKPAFKAFDMQALINKINKSIVAPLPSSYSGAFRGLIKSMLRKSPEHRPSAAELLKHPHLQPYVFQINLKASAPRKLFPIKQPASNAVKMIRFPDDEEDSLCKDKERYKTFSNERTLKLTQPASQQDSFCSTQSIKHYPDYIHRKIKDLSLGSSQVGEYGSEMTISEKTISNAKASGYTPSKTIATPGRKLDQSRTTYTRTTYDMRQHSRTPADRNAQPTRRASLPLPTFKTPLRKNHSVLDGMGSPDVSVNAPLIDRIAEFPLASYEDPLFSIHKISSPQTFTSTPPHCGDRSLTKDKCTIQIFRTEGDNGSDSSGKNSKAVDASSRGSSESRQRRFDTSSYQQRAEALEGLLEFSAQLLQQERFEELGVLLKPFGPEKVSPRETAIWLTKSFKETAL >DRNTG_04733.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:99140:100146:1 gene:DRNTG_04733 transcript:DRNTG_04733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGKGSEHGVAEVEGGVVEVEEDGEGVGVVEIGGERGVDEFSEGDGVGDEGGGDHESLLRSGLHRSYPYKPNFVPFGIFSQRPSFGIYGLNG >DRNTG_25786.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3584988:3591946:-1 gene:DRNTG_25786 transcript:DRNTG_25786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDKAANSGMGSRERDLELLIPVGEHGPAGDSDSKASGSGSGSSSHHHHHHSSREAFYKVIQSWASKKFMTGCVILFPIAITFYITWWFIHFVDGFFSPIYAQLGINIFGLGFITSITFIFMIGVFMSSWLGASVLGLGEWFIKRMPFIRHIYNASKQISAAISPDQNTQAFKEVAIIRHPRIGEYAFAFITSTVVLQNYSGEEELYCVYVPTNHLYIGDIFLINSKEVIRPNLSVREGIEIVVSGGMSMPQILSTLDSHTIDMDRTRTSRS >DRNTG_00938.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21240701:21241155:1 gene:DRNTG_00938 transcript:DRNTG_00938.5 gene_biotype:protein_coding transcript_biotype:protein_coding RNFSGNQKIAAIGIRASRWITYHGLALNVTTNLTPFQHIVPCGIRDRQVGSLRGLLEENSASATEDAILLDITYSSLLKEFSEVFQLSLQQASISKFDFSASSLSLVV >DRNTG_00938.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21238699:21241155:1 gene:DRNTG_00938 transcript:DRNTG_00938.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILLNTLFTLPTPLHTRKANPTKAISASHQALRRCVCYDLHKQLIPYQEAWSWQKSIVRTRHSLLGQDEDHSDVVVVLQHPPVFTLGTGSSEEYLNFDVKNPPYAIHRTERGGEVTYHGPGQLVMYPIVNLRYHKMDLNWYLRSLEEVIIRVLSSTFSIKASRIEGLTGVWVGNQKIAAIGIRASRWITYHGLALNVTTNLTPFQHIVPCGIRDRQVGSLRGLLEENSASATEDAILLDITYSSLLKEFSEVFQLSLQQASISKFDFSASSLSLVV >DRNTG_00938.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21238699:21241155:1 gene:DRNTG_00938 transcript:DRNTG_00938.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILLNTLFTLPTPLHTRKANPTKAISASHQALRRCVCYDLHKQLIPYQEAWSWQKSIVRTRHSLLGQDEDHSDVVVVLQHPPVFTLGTGSSEEYLNFDVKNPPYAIHRTERGGEVTYHGPGQLVMYPIVNLRYHKMDLNWYLRSLEEVIIRVLSSTFSIKASRIEGLTGVWEIRRLLQ >DRNTG_00938.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21238699:21241228:1 gene:DRNTG_00938 transcript:DRNTG_00938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLNTLFTLPTPLHTRKANPTKAISASHQALRRCVCYDLHKQLIPYQEAWSWQKSIVRTRHSLLGQDEDHSDVVVVLQHPPVFTLGTGSSEEYLNFDVKNPPYAIHRTERGGEVTYHGPGQVGFSCMDHLHISFSHANRCGCICSFDFNT >DRNTG_00938.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21238699:21241155:1 gene:DRNTG_00938 transcript:DRNTG_00938.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYPIVNLRYHKMDLNWYLRSLEEVIIRVLSSTFSIKASRIEGLTGVWVGNQKIAAIGIRASRWITYHGLALNVTTNLTPFQHIVPCGIRDRQVGSLRGLLEENSASATEDAILLDITYSSLLKEFSEVFQLSLQQASISKFDFSASSLSLVV >DRNTG_29852.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23127060:23129201:-1 gene:DRNTG_29852 transcript:DRNTG_29852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGQSSQPRPRPMRRGLERCQNKGSSDNGEVIDVEKIDVVVIDDTESSYYRTRGKNKIPSFVISIDDEEEVDAERNPGGVGCSAAVEGSDSDGDCVVFVKEETVPLNSRMKNVIFPECGSSRNRFGLYTPSEDGSSENGSSEIELSESESSDAESSDCEIMDGSSGGIRELWEKASLRKKIAEMSRYEPEDRVSASGSNTDPESLSPETTQDKAEVRDCFNESHSKNSEQVPVQCRESCSVREGPCPGKDTATKLSEDSRTARGKYSVVDSYPDAGMHCDDPIYNHMDEQVSEKRVNLPGENAFNYKGDATEDVEVPQRTPACDDKLQTDVRAGNDQFTFPDKGEQIPVENAFLPSELPNEYISQDRNGPSPANSSSPDPQPRNMKDIFSGVTGPENKGKLTPEPSLNSKDQRRADVDYGTYPQETNDFFPGKRSLHDPQPSSTNEDFSEVTGFGGKNKASKPFSDPQTQQVDVNDEPVSRESDTPCEKISSSDPQPSCKNEVFPEFVAFKGEEKAVPPSCYPEAQHANVNYDPNVDSGKPCAEKISVFHPRPCYVNQVFSEVAGFDDDEQLAVKPSCNSRAQHANVGCEDILQEKAYSTHEELSAKKSMDCSSQAENTKVGCIVTKESEAENEVIGDREKHKESDEYKRAQEEEWASRQREL >DRNTG_01773.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10913745:10914308:-1 gene:DRNTG_01773 transcript:DRNTG_01773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYLYLMTKRTYASIPQLSLHLLSQYTQLCPNAPLSLVLNLNGGTSLCPNTVLAGSRSRLWRRDEMLVVHTTVDAKAGALAAGVTTLLDVVKTKLQCQIAIRGCETLELCPPFILSATLS >DRNTG_06830.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:8347873:8348698:1 gene:DRNTG_06830 transcript:DRNTG_06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPRETPLELEHIELSNPEHLARFERLSRLRLGHSSFVNLSVLREIQRGDMLEDKIDEILAMGRCRRLLSISRASYPRINDGSVGILSSLMLYNSKHSDINFA >DRNTG_13444.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000613.1:3881:12473:1 gene:DRNTG_13444 transcript:DRNTG_13444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKNKNPLSFIQAVAHQIPFVEALSQMPRYVKFLMDLLTNKKNSKESASVILYALCSAVLQKNMPNKKKGLRSFIIRCNIGFMGEEKALAYSGSSINVMPYTFNKCLCTKNAKCSFLMMSINFIRAFDCDLHGVHAPA >DRNTG_14304.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000667.1:23292:27089:1 gene:DRNTG_14304 transcript:DRNTG_14304.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSESHSPSSTRSPPRSPLPFRTHRSHHRHCCLKALSSPLHPPLQCTCMPKTSL >DRNTG_14304.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000667.1:23292:23821:1 gene:DRNTG_14304 transcript:DRNTG_14304.2 gene_biotype:protein_coding transcript_biotype:protein_coding KSESHSPSSTRSPPRSPLPFRTHRSHHRHCCLKALSSPLHPPLQCTCMPKTSL >DRNTG_14475.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000693.1:110490:113539:1 gene:DRNTG_14475 transcript:DRNTG_14475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCPRRIGADESFPNSSLPKETRCRRPSKLSKALPKPLQTLAASASKDGERWKKR >DRNTG_19316.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:676938:682758:-1 gene:DRNTG_19316 transcript:DRNTG_19316.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEKRNPGGGEDEEAAMAIAGLLTLSSAVQQPYVSELLSFTLDRLHKEPELLRVDAERIRRQMQEVAVGNYGAFISASEALSFIREKLTDFDKHLESLITEIPNLTSGCTEFVESAQQILEERKINQTLLANHSTLLDLLEIPQLMDTCIRNGNYDEALDLEAFVNKLSKMHTELPVIQALAAEVKQTTQSMLSQLLQKLRSNIQLPECLRIVGHLRRIGVFTESEMRLQFLRCREAWLSGILDDLDQRNVYDYLKGMVNCHRMHLFDVVNQYRAIFNNDKSGSEENYDGGLLFSWAMHQIKNHLITLEAMLPRITDGGSLSNILDQCMYCAMGLGLVGLDFRGLLPPLFEDAVFKLFSKNMNTAVENFQVVLDSHRWVPLPPVGFTANGAIDESNDDVTPPSILMEHPPVAVFVNGFSAAMNELRPCAPLSLRHVLAQEVIKGLQSVSDSLLRYNSIRSLRGNESTLFFSLCQAFLEVAYPYCATCFGRCYPNGANLITDHRNVLDGLSQLVAVSPARGLKTHLIDKTANGETKLTDNGNADVGEVLEVRTVDKTDAGPVESDTQISK >DRNTG_35122.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6372551:6377592:1 gene:DRNTG_35122 transcript:DRNTG_35122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTPYSIWRKDFGGAFVGIDPVRQFWHQTPQGRVRAGMRSPSLPRIASFHPEQITCSSTAVKWIQMSMSRIADHLGIRLSLEPTFTVCPTSHIGVVTSNLGESQDDSTSSSSDNPSESAQF >DRNTG_07292.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3553210:3553641:1 gene:DRNTG_07292 transcript:DRNTG_07292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCWTCDRKGDHWTSKCPYKDLAPQVDAFVDNLPKETLASSGADKDAGGAYMPPNMHESRDASTRMSFGYTILLMKQKP >DRNTG_21116.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2611386:2611626:1 gene:DRNTG_21116 transcript:DRNTG_21116.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAATTCYVALNPQVEGITGKYFSDSNLATPTAKATDTELSKKLWDFTMNLISA >DRNTG_00171.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2883256:2887225:1 gene:DRNTG_00171 transcript:DRNTG_00171.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIFVLPGFVMSMYALLRSSKTPPTEEQIEEYISGNLCRCTGYRAIIDGFRVFSKIDDSLYTSSFEKGHTDKFVCPSSGKPCSCRATSGDGCGSSAVSTTHDHQQKPISYSDIDGSSYTEKELIFPPELLLRNSMPLHLYGSGGLQWYRPLRLEHVLNLKCRYPEAKLVIGNTEVGIEMKFKNAPYQVLISLTHVPELNKLSITDTGLEIGASVRLTEVQNFLKKLVVERVPHETSSCKAILEQLRWFAGKQIKNAASVGGNICTASPISDLNPLWMAAGASFKVIDFKGNQRTILAKEFFLGYRKVDLTATEILLS >DRNTG_29489.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12167423:12169349:-1 gene:DRNTG_29489 transcript:DRNTG_29489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLAAYLLAVYGGNSNPSADDLKEILASVGADAEVDRIKLLLSEVKGKDITELIAIGREKFASVPSGGGGAIAIAAPAGAGGGGGAAAPAAAEPKKEEKVEEKEESDEDMGFSLFD >DRNTG_03279.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8663641:8666030:1 gene:DRNTG_03279 transcript:DRNTG_03279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPECTMNWRKNTGRFGEIGSEEGKGFLLIARAHSQRWR >DRNTG_11478.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15451761:15455961:-1 gene:DRNTG_11478 transcript:DRNTG_11478.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWKIPLMKNFSTRKKEMIILKMSSLRMNNFLLIIYTLKLRSKFKEVKAQETFWSME >DRNTG_26451.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:424221:428082:-1 gene:DRNTG_26451 transcript:DRNTG_26451.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVHSVASQRILPVLRPIHKIPSGSHFVALPNPRFRRSHAVVSSATAADDLDVIPVQSSDTTDQQDGVVAFGVTEREDGSNSAASQVGGFAFESGGAGDVVSGAGASGTAFNSSSTPILGGEDFDKQLDRAINAMIVLAAGTFAITKLLTIDHDYWHGWTLYEILRYAPQHNWTAYEEALKANPILAKMMISGVVYSLGDWIAQCYEGKPLFEFDRMRMFRSGLVGFTLHGSLSHYYYHFCEALFPFQDWWVVPAKVGFDQTVWAALWNSIYYVALGLLRLESPANIYTELKATFWPMLTAGWKLWPFAHLVTYGVIPVEQRLLWVDCVELVWVTILSTYSNEKSEARYAEASDSSTKFTSIISEDTPE >DRNTG_26451.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:424251:427938:-1 gene:DRNTG_26451 transcript:DRNTG_26451.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVHSVASQRILPVLRPIHKIPSGSHFVALPNPRFRRSHAVVSSATAADDLDVIPVQSSDTTDQQDGVVAFGVTEREDGSNSAASQVGGFAFESGGAGDVVSGAGASGTAFNSSSTPILGGEDFDKQLDRAINAMIVLAAGTFAITKLLTIDHDYWHGWTLYEILRYAPQHNWTAYEEALKANPILAKMMISGVVYSLGDWIAQCYEGKPLFEFDRMRMFRSGLVGFTLHGSLSHYYYHFCEALFPFQDWWVVPAKVGFDQTVWAALWNSIYYVALGLLRLESPANIYTELKATFWPMLTAGWKLWPFAHLVTYGVIPVEQRLLWVDCVELVWVTILSTYSNEKSEARYAEASDSSTKFTSIISEDTPE >DRNTG_26451.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:424221:427895:-1 gene:DRNTG_26451 transcript:DRNTG_26451.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVHSVASQRILPVLRPIHKIPSGSHFVALPNPRFRRSHAVVSSATAADDLDVIPVQSSDTTDQQDGVVAFGVTEREDGSNSAASQVGGFAFESGGAGDVVSGAGASGTAFNSSSTPILGGEDFDKQLDRAINAMIVLAAGTFAITKLLTIDHDYWHGWTLYEILRYAPQHNWTAYEEALKANPILAKMMISGVVYSLGDWIAQCYEGKPLFEFDRMRMFRSGLVGFTLHGSLSHYYYHFCEALFPFQDWWVVPAKVGFDQTVWAALWNSIYYVALGLLRLESPANIYTELKATFWPMLTAGWKLWPFAHLVTYGVIPVEQRLLWVDCVELVWVTILSTYSNEKSEARYAEASDSSTKFTSIISEDTPE >DRNTG_26451.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:424251:427895:-1 gene:DRNTG_26451 transcript:DRNTG_26451.9 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVHSVASQRILPVLRPIHKIPSGSHFVALPNPRFRRSHAVVSSATAADDLDVIPVQSSDTTDQQDGVVAFGVTEREDGSNSAASQVGGFAFESGGAGDVVSGAGASGTAFNSSSTPILGGEDFDKQLDRAINAMIVLAAGTFAITKLLTIDHDYWHGWTLYEILRYAPQHNWTAYEEALKANPILAKMMISGVVYSLGDWIAQCYEGKPLFEFDRMRMFRSGLVGFTLHGSLSHYYYHFCEALFPFQDWWVVPAKVGFDQTVWAALWNSIYYVALGLLRLESPANIYTELKATFWPMLTAGWKLWPFAHLVTYGVIPVEQRLLWVDCVELVWVTILSTYSNEKSEARYAEASDSSTKFTSIISEDTPE >DRNTG_26451.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:423840:427895:-1 gene:DRNTG_26451 transcript:DRNTG_26451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVHSVASQRILPVLRPIHKIPSGSHFVALPNPRFRRSHAVVSSATAADDLDVIPVQSSDTTDQQDGVVAFGVTEREDGSNSAASQVGGFAFESGGAGDVVSGAGASGTAFNSSSTPILGGEDFDKQLDRAINAMIVLAAGTFAITKLLTIDHDYWHGWTLYEILRYAPQHNWTAYEEALKANPILAKMMISGVVYSLGDWIAQCYEGKPLFEFDRMRMFRSGLVGFTLHGSLSHYYYHFCEALFPFQDWWVVPAKVGFDQTVWAALWNSIYYVALGLLRLESPANIYTELKATFWPMLTAGWKLWPFAHLVTYGVIPVEQRLLWVDCVELVWVTILSTYSNEKSEARYAEASDSSTKFTSIISEDTPE >DRNTG_26451.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:424221:427938:-1 gene:DRNTG_26451 transcript:DRNTG_26451.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVHSVASQRILPVLRPIHKIPSGSHFVALPNPRFRRSHAVVSSATAADDLDVIPVQSSDTTDQQDGVVAFGVTEREDGSNSAASQVGGFAFESGGAGDVVSGAGASGTAFNSSSTPILGGEDFDKQLDRAINAMIVLAAGTFAITKLLTIDHDYWHGWTLYEILRYAPQHNWTAYEEALKANPILAKMMISGVVYSLGDWIAQCYEGKPLFEFDRMRMFRSGLVGFTLHGSLSHYYYHFCEALFPFQDWWVVPAKVGFDQTVWAALWNSIYYVALGLLRLESPANIYTELKATFWPMLTAGWKLWPFAHLVTYGVIPVEQRLLWVDCVELVWVTILSTYSNEKSEARYAEASDSSTKFTSIISEDTPE >DRNTG_26451.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:424221:427825:-1 gene:DRNTG_26451 transcript:DRNTG_26451.10 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVHSVASQRILPVLRPIHKIPSGSHFVALPNPRFRRSHAVVSSATAADDLDVIPVQSSDTTDQQDGVVAFGVTEREDGSNSAASQVGGFAFESGGAGDVVSGAGASGTAFNSSSTPILGGEDFDKQLDRAINAMIVLAAGTFAITKLLTIDHDYWHGWTLYEILRYAPQHNWTAYEEALKANPILAKMMISGVVYSLGDWIAQCYEGKPLFEFDRMRMFRSGLVGFTLHGSLSHYYYHFCEALFPFQDWWVVPAKVGFDQTVWAALWNSIYYVALGLLRLESPANIYTELKATFWPMLTAGWKLWPFAHLVTYGVIPVEQRLLWVDCVELVWVTILSTYSNEKSEARYAEASDSSTKFTSIISEDTPE >DRNTG_26451.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:423840:427825:-1 gene:DRNTG_26451 transcript:DRNTG_26451.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVHSVASQRILPVLRPIHKIPSGSHFVALPNPRFRRSHAVVSSATAADDLDVIPVQSSDTTDQQDGVVAFGVTEREDGSNSAASQVGGFAFESGGAGDVVSGAGASGTAFNSSSTPILGGEDFDKQLDRAINAMIVLAAGTFAITKLLTIDHDYWHGWTLYEILRYAPQHNWTAYEEALKANPILAKMMISGVVYSLGDWIAQCYEGKPLFEFDRMRMFRSGLVGFTLHGSLSHYYYHFCEALFPFQDWWVVPAKVGFDQTVWAALWNSIYYVALGLLRLESPANIYTELKATFWPMLTAGWKLWPFAHLVTYGVIPVEQRLLWVDCVELVWVTILSTYSNEKSEARYAEASDSSTKFTSIISEDTPE >DRNTG_26451.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:424251:428082:-1 gene:DRNTG_26451 transcript:DRNTG_26451.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVHSVASQRILPVLRPIHKIPSGSHFVALPNPRFRRSHAVVSSATAADDLDVIPVQSSDTTDQQDGVVAFGVTEREDGSNSAASQVGGFAFESGGAGDVVSGAGASGTAFNSSSTPILGGEDFDKQLDRAINAMIVLAAGTFAITKLLTIDHDYWHGWTLYEILRYAPQHNWTAYEEALKANPILAKMMISGVVYSLGDWIAQCYEGKPLFEFDRMRMFRSGLVGFTLHGSLSHYYYHFCEALFPFQDWWVVPAKVGFDQTVWAALWNSIYYVALGLLRLESPANIYTELKATFWPMLTAGWKLWPFAHLVTYGVIPVEQRLLWVDCVELVWVTILSTYSNEKSEARYAEASDSSTKFTSIISEDTPE >DRNTG_26451.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:424251:427825:-1 gene:DRNTG_26451 transcript:DRNTG_26451.11 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVHSVASQRILPVLRPIHKIPSGSHFVALPNPRFRRSHAVVSSATAADDLDVIPVQSSDTTDQQDGVVAFGVTEREDGSNSAASQVGGFAFESGGAGDVVSGAGASGTAFNSSSTPILGGEDFDKQLDRAINAMIVLAAGTFAITKLLTIDHDYWHGWTLYEILRYAPQHNWTAYEEALKANPILAKMMISGVVYSLGDWIAQCYEGKPLFEFDRMRMFRSGLVGFTLHGSLSHYYYHFCEALFPFQDWWVVPAKVGFDQTVWAALWNSIYYVALGLLRLESPANIYTELKATFWPMLTAGWKLWPFAHLVTYGVIPVEQRLLWVDCVELVWVTILSTYSNEKSEARYAEASDSSTKFTSIISEDTPE >DRNTG_26451.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:424126:427895:-1 gene:DRNTG_26451 transcript:DRNTG_26451.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVHSVASQRILPVLRPIHKIPSGSHFVALPNPRFRRSHAVVSSATAADDLDVIPVQSSDTTDQQDGVVAFGVTEREDGSNSAASQVGGFAFESGGAGDVVSGAGASGTAFNSSSTPILGGEDFDKQLDRAINAMIVLAAGTFAITKLLTIDHDYWHGWTLYEILRYAPQHNWTAYEEALKANPILAKMMISGVVYSLGDWIAQCYEGKPLFEFDRMRMFRSGLVGFTLHGSLSHYYYHFCEALFPFQDWWVVPAKVGFDQTVWAALWNSIYYVALGLLRLESPANIYTELKATFWPMLTAGWKLWPFAHLVTYGVIPVEQRLLWVDCVELVWVTILSTYSNEKSEARYAEASDSSTKFTSIISEDTPE >DRNTG_00955.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21116718:21118049:1 gene:DRNTG_00955 transcript:DRNTG_00955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNHTVHNQSYKAHKNGIKKPRRHRHISTKGMDPKFLRNQRYGRKHNNKKEASESEAEE >DRNTG_23374.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5688883:5695947:-1 gene:DRNTG_23374 transcript:DRNTG_23374.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ and MATH domain-containing protein 4 [Source:Projected from Arabidopsis thaliana (AT3G03740) UniProtKB/Swiss-Prot;Acc:Q9SRV1] MPEIMAEEELVLAPTSSRSVTETVNGSHKFVIKGYSLAKGMGIGKHIASEPFTVGGYQWAIYFYPDGKNPEDNSTYVSVFIALASDGTDVRALFELTLMDQSGKEKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRAALETSDFLKDDCLKINCTVGVVVSGIDSPRLHSIDIPDSDIGMHFGALLETQEGSDVLFNVAGVKFHAHKLILAARSPVFKTSFSDAFTGDKSEILVTDIEPEVFKAMLQFIYCDTLVDDEMLAASSSTSSVSDSFAAKLLAAADSYCLERLRLMCESYLCKDLSVNSVARTLAFAERYHAMELKSVCLKFAAENLAAVMETDGFKYLKDNCPALQSELLKIIAGCEEECSSGGKSRSVWGQLSDGGDSNGRRVRPRT >DRNTG_23374.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5688883:5695947:-1 gene:DRNTG_23374 transcript:DRNTG_23374.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ and MATH domain-containing protein 4 [Source:Projected from Arabidopsis thaliana (AT3G03740) UniProtKB/Swiss-Prot;Acc:Q9SRV1] MPEIMAEEELVLAPTSSRSVTETVNGSHKFVIKGYSLAKGMGIGKHIASEPFTVGGYQWAIYFYPDGKNPEDNSTYVSVFIALASDGTDVRALFELTLMDQSGKEKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRAALETSDFLKDDCLKINCTVGVVVSGIDSPRLHSIDIPDSDIGMHFGALLETQEGSDVLFNVAGVKFHAHKLILAARSPVFKTSFSDAFTGDKSEILVTDIEPEVFKAMLQFIYCDTLVDDEMLAASSSTSSVSDSFAAKLLAAADSYCLERLRLMCESYLCKDLSVNSVARTLAFAERYHAMELKSVCLKFAAENLAAVMETDGFKYLKDNCPALQSELLKIIAGCEEECSSGGKSRSVWGQLSDGGDSNGRRVRPRT >DRNTG_28302.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8125007:8125511:1 gene:DRNTG_28302 transcript:DRNTG_28302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVDSGVFRWNTVAADLKDLLPIFFSSLEALVVGFEEALARFLEWFYGLQHHVPSEECYWGSFCRYQFGEVCPRLDEGTLGEDEATPQDHRYRLQEGFTYGLHVFTFDFIIDCILLHGELNP >DRNTG_08515.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28854787:28855848:1 gene:DRNTG_08515 transcript:DRNTG_08515.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVKLRLPDAAAHQRLSEALAPRHLRTHLQDNLFFDGAAGELSSRFAVLRLRFYDGDTRCVLSLKARAQLSGGVSRVEEEEEDIEPTLGRACAAEPWRLADLASTSCIMKKVVDELGMKGDGGKVGAFVCFGGFKNVRSVYGWEEGLVLELDETQFEFGTNYELECETADPERAKELLEKFLKDIEVPYEYAQASKYAVFRAGKLLP >DRNTG_08515.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28854787:28857642:1 gene:DRNTG_08515 transcript:DRNTG_08515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVKLRLPDAAAHQRLSEALAPRHLRTHLQDNLFFDGAAGELSSRFAVLRLRFYDGDTRCVLSLKARAQLSGGVSRVEEEEEDIEPTLGRACAAEPWRLADLASTSCIMKKVVDELGMKGDGGKVGAFVCFGGFKNVRSVYGWEEGLVLELDETQFEFGTNYELECETADPERAKELLEKFLKDIEVPYEYAQASKYAVFRAGKLLP >DRNTG_20579.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8399412:8405977:-1 gene:DRNTG_20579 transcript:DRNTG_20579.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLEDELEFEPQCVTRYCFVDEKETPLSFTVLPFCYDEAQMPNASDMQVFVHGKTDNGLRSVYKQVTAWKLNLQHEHPEFFVLCKERKWLKLLKPMKSYKGLVRTILVTALWLHFLKRNPETSEKRLWDCLCKFFSSFEVPPSENDLRNHLTLIKSFADNDETLSKSQLMILFLKDNLLKRSSSFDLLNSDRVTKEPFIASGDKFDESMGVFEGDLVDANSGLFDSVCSICHNGGEILFCEGGCLRSFHATRDAGIDSNCKTLGYSRSEVEAMEKFVCKNCCYGQHQCFVCGQLGCSDKSVGAEVFRCASAECCHFYHPQCVAQWVFPEEKAEAIVYRERIVAGETFVCPVHKCHICRQSENKRIKELQFAMCARCPKSYHRKCLPRFSYKPTRFLE >DRNTG_20579.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8399412:8404288:-1 gene:DRNTG_20579 transcript:DRNTG_20579.4 gene_biotype:protein_coding transcript_biotype:protein_coding MILFLKDNLLKRSSSFDLLNSDRVTKEPFIASGDKFDESMGVFEGDLVDANSGLFDSVCSICHNGGEILFCEGGCLRSFHATRDAGIDSNCKTLGYSRSEVEAMEKFVCKNCCYGQHQCFVCGQLGCSDKSVGAEVFRCASAECCHFYHPQCVAQWVFPEEKAEAIVYRERIVAGETFVCPVHKCHICRQSENKRIKELQFAMCARCPKSYHRKCLPSDIPFENLGYGSFQRAWEDLLLDRILIYCIKHKIEENLRTPIRNHIVFPDTPDRKDINFVPGTKIKLLLKKRPVSEELLRETDPVILTNKSSTSDANIVKHSAMVAREYVPHAIKKAKVFVKSGSSDLHRDNHVSILDNDKILWKESPKAARRNTTRELFNSSFPVVDCETEKRMFDLINKASFELTLEDVVSKRTIPSTYVYNEKQIDKSITLAKVEGSIE >DRNTG_20579.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8399269:8405977:-1 gene:DRNTG_20579 transcript:DRNTG_20579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLEDELEFEPQCVTRYCFVDEKETPLSFTVLPFCYDEAQMPNASDMQVFVHGKTDNGLRSVYKQVTAWKLNLQHEHPEFFVLCKERKWLKLLKPMKSYKGLVRTILVTALWLHFLKRNPETSEKRLWDCLCKFFSSFEVPPSENDLRNHLTLIKSFADNDETLSKSQLLNSDRVTKEPFIASGDKFDESMGVFEGDLVDANSGLFDSVCSICHNGGEILFCEGGCLRSFHATRDAGIDSNCKTLGYSRSEVEAMEKFVCKNCCYGQHQCFVCGQLGCSDKSVGAEVFRCASAECCHFYHPQCVAQWVFPEEKAEAIVYRERIVAGETFVCPVHKCHICRQSENKRIKELQFAMCARCPKSYHRKCLPSDIPFENLGYGSFQRAWEDLLLDRILIYCIKHKIEENLRTPIRNHIVFPDTPDRKDINFVPGTKIKLLLKKRPVSEELLRETDPVILTNKSSTSDANIVKHSAMVAREYVPHAIKKAKVFVKSGSSDLHRDNHVSILDNDKILWKESPKGTVTSVLSRPISENKKIPLNDNHKVAVLAARRNTTRELFNSSFPVVDCETEKRMFDLINKASFELTLEDVVSKRTIPSTYVYNEKQIDKSITLAKVEGSIEAIRTALLKI >DRNTG_20579.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8404434:8405977:-1 gene:DRNTG_20579 transcript:DRNTG_20579.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLEDELEFEPQCVTRYCFVDEKETPLSFTVLPFCYDEAQMPNASDMQVFVHGKTDNGLRSVYKQVTAWKLNLQHEHPEFFVLCKERKWLKLLKPMKSYKGLVRTILVTALWLHFLKRNPETSEKRLWDCLCKFFSSFEVPPSENDLRNHLTLIKSFADNDETLSKSQVSNVLHLFIIPIFFFKRVPKS >DRNTG_20579.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8399412:8401645:-1 gene:DRNTG_20579 transcript:DRNTG_20579.7 gene_biotype:protein_coding transcript_biotype:protein_coding LQVFRCASAECCHFYHPQCVAQWVFPEEKAEAIVYRERIVAGETFVCPVHKCHICRQSENKRIKELQFAMCARCPKSYHRKCLPSDIPFENLGYGSFQRAWEDLLLDRILIYCIKHKIEENLRTPIRNHIVFPDTPDRKDINFVPGTKIKLLLKKRPVSEELLRETDPVILTNKSSTSDANIVKHSAMVAREYVPHAIKKAKVFVKSGSSDLHRDNHVSILDNDKILWKESPKGTVTSVLSRPISENKKIPLNDNHKVAVLAARRNTTRELFNSSFPVVDCETEKRLTRASHWLRWRVLLR >DRNTG_20579.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8402662:8405441:-1 gene:DRNTG_20579 transcript:DRNTG_20579.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLEDELEFEPQCVTRYCFVDEKETPLSFTVLPFCYDEAQMPNASDMQVFVHGKTDNGLRSVYKQVTAWKLNLQHEHPEFFVLCKERKWLKLLKPMKSYKGLVRTILVTALWLHFLKRNPETSEKRLWDCLCKFFSSFEVPPSENDLRNHLTLIKSFADNDETLSKSQLMILFLKDNLLKRSSSFDLLNSDRVTKEPFIASGDKFDESMGVFEGDLVDANSGLFDSVCSICHNGGEILFCEGGCLRSFHATRDAGIDSNCKTLGYSRSEVEAMEKFVCKNCCYGQHQCFVCGQLGCSDKSVGAEV >DRNTG_20579.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8399412:8401645:-1 gene:DRNTG_20579 transcript:DRNTG_20579.6 gene_biotype:protein_coding transcript_biotype:protein_coding LQVFRCASAECCHFYHPQCVAQWVFPEEKAEAIVYRERIVAGETFVCPVHKCHICRQSENKRIKELQFAMCARCPKSYHRKCLPRFSYKPTRFLE >DRNTG_20579.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8399269:8405977:-1 gene:DRNTG_20579 transcript:DRNTG_20579.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLEDELEFEPQCVTRYCFVDEKETPLSFTVLPFCYDEAQMPNASDMQVFVHGKTDNGLRSVYKQVTAWKLNLQHEHPEFFVLCKERKWLKLLKPMKSYKGLVRTILVTALWLHFLKRNPETSEKRLWDCLCKFFSSFEVPPSENDLRNHLTLIKSFADNDETLSKSQLMILFLKDNLLKRSSSFDLLNSDRVTKEPFIASGDKFDESMGVFEGDLVDANSGLFDSVCSICHNGGEILFCEGGCLRSFHATRDAGIDSNCKTLGYSRSEVEAMEKFVCKNCCYGQHQCFVCGQLGCSDKSVGAEVFRCASAECCHFYHPQCVAQWVFPEEKAEAIVYRERIVAGETFVCPVHKCHICRQSENKRIKELQFAMCARCPKSYHRKCLPRFSYKPTRFLE >DRNTG_01248.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15707166:15713471:-1 gene:DRNTG_01248 transcript:DRNTG_01248.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSGVGRLLLVDFDQVSLSSLNRHAVAARDDVGIPKALCLQKHFSLIFPECQIEARVQLFDESTEEEILSGQPDFVLDCIDNIDTKVALLAACVRRGLRVLSAMGAGARADPTRIRIADLRESTNDPLSRSVRYRLRKDYGISSGIPTVFSLEKPKVKLLPFKSPSGEEENPSDYQIVPGFRVRIIPVMGTIPAIFGQVMASYVLTQLSGLPVHTEPVVNLDLDHYLVLHQRLLEHEELVYGSTTQVLVDVEEVMYVVKELWHGRSARDQSEKEVGRKMWRSVNELMLVRWDKAKPAGVSNLILLKFKEVDEHESTTVDAIKENEPEFYNMVTQVLRRAEKDFAL >DRNTG_01248.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15707166:15711097:-1 gene:DRNTG_01248 transcript:DRNTG_01248.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRWSNCFVGQVALLAACVRRGLRVLSAMGAGARADPTRIRIADLRESTNDPLSRSVRYRLRKDYGISSGIPTVFSLEKPKVKLLPFKSPSGEEENPSDYQVKDTFQ >DRNTG_01248.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15709245:15714914:-1 gene:DRNTG_01248 transcript:DRNTG_01248.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSRRLSLCQTLCKMKWFLNNLLVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSLSSLNRHAVAARDDVGIPKALCLQKHFSLIFPECQIEARVQLFDESTEEEILSGQPDFVLDCIDNIDTKVALLAACVRRGLRVLSAMGAGARADPTRIRIADLRESTNDPLSRSVRYRLRKDYGISSGIPTVFSLEKPKVKLLPFKSPSGEEENPSDYQVKDTFQ >DRNTG_01248.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15707166:15712845:-1 gene:DRNTG_01248 transcript:DRNTG_01248.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGARADPTRIRIADLRESTNDPLSRSVRYRLRKDYGISSGIPTVFSLEKPKVKLLPFKSPSGEEENPSDYQIVPGFRVRIIPVMGTIPAIFGQVMASYVLTQLSGLPVHTEPVVNLDLDHYLVLHQRLLEHEELVYGSTTQVLVDVEEVMYVVKELWHGRSARDQSEKEVGRKMWRSVNELMLVRWDKAKPAGVSNLILLKFKEVDEHESTTVDAIKENEPEFYNMVTQVLRRAEKDFAL >DRNTG_01248.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15707166:15709703:-1 gene:DRNTG_01248 transcript:DRNTG_01248.7 gene_biotype:protein_coding transcript_biotype:protein_coding VRYRLRKDYGISSGIPTVFSLEKPKVKLLPFKSPSGEEENPSDYQIVPGFRVRIIPVMGTIPAIFGQVMASYVLTQLSGLPVHTEPVVNLDLDHYLVLHQRLLEHEELVYGSTTQVLVDVEEVMYVVKELWHGRSARDQSEKEVGRKMWRSVNELMLVRWDKAKPAGVSNLILLKFKEVDEHESTTVDAIKENEPEFYNMVTQVLRRAEKDFAL >DRNTG_01248.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15708257:15715766:-1 gene:DRNTG_01248 transcript:DRNTG_01248.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSRRLSLCQTLCKMKWFLNNLLVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSLSSLNRHAVAARDDVGIPKALCLQKHFSLIFPECQIEARVQLFDESTEEEILSGQPDFVLDCIDNIDTKVALLAACVRRGLRVLSAMGAGARADPTRIRIADLRESTNDPLSRSVRYRLRKDYGISSGIPTVFSLEKPKVKLLPFKSPSGEEENPSDYQIVPGFRVRIIPVMGTIPAIFGQVMASYVLTQLSGLPVHTEPVVNLDLDHYLVLHQRLLEHEELVYGSTTQVLVDVEEVMYVVKELWHGRSARDQSEKEVGRKMWRSVNELMLVR >DRNTG_01248.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15707166:15715766:-1 gene:DRNTG_01248 transcript:DRNTG_01248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKVKQWLLIGGGALLGSISTMALLKLFPRLFQNLQMTNMTYSRSTPSKINGQESLLRGREQYDGLSETLIMSNFMQDEVVSEQLTRNIQFFGIESQQKVTESFVVVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSLSSLNRHAVAARDDVGIPKALCLQKHFSLIFPECQIEARVQLFDESTEEEILSGQPDFVLDCIDNIDTKVALLAACVRRGLRVLSAMGAGARADPTRIRIADLRESTNDPLSRSVRYRLRKDYGISSGIPTVFSLEKPKVKLLPFKSPSGEEENPSDYQIVPGFRVRIIPVMGTIPAIFGQVMASYVLTQLSGLPVHTEPVVNLDLDHYLVLHQRLLEHEELVYGSTTQVLVDVEEVMYVVKELWHGRSARDQSEKEVGRKMWRSVNELMLVRWDKAKPAGVSNLILLKFKEVDEHESTTVDAIKENEPEFYNMVTQVLRRAEKDFAL >DRNTG_34789.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15816797:15818662:-1 gene:DRNTG_34789 transcript:DRNTG_34789.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRWAWKQPSFEESVIAHWSSSMAPKMSQGSSDSPKRRDLESCFFKCQ >DRNTG_07694.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23149769:23151927:-1 gene:DRNTG_07694 transcript:DRNTG_07694.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQVQHPYVPRDLHLPGYVPCFLSQSEIVTPFLGSSLLLVVLIWFISGRFHKISKLDRLLMCWWAFTGLTHMILEGYFAFSPEFYKEKTSFFLAEVWKEYSKGDSRYAGRDSAVVTVEAVTAVIEGPACLLVIYAIARKKAYSYTLQLAICLGQLYGCLVYFITAFLEGDNFSTSPFYYWVYYIGANCWWVLIPSLIAIRSWKKINAAFQSERKTKTR >DRNTG_16968.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:21005035:21005693:1 gene:DRNTG_16968 transcript:DRNTG_16968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGCLRPHVSLGRVVGIYMPIRAEHIDTPGTHPGRVYMTSGVVCQIRSDILRPLHHETSYGYGFLGHDSRSREVNYTCPHRHRDDEVDGDGSQGLARSEAPPVCVFSPSQAHDRFERLKSAVGVLRTEITEARETQTAHHAEEMAHFDTLQ >DRNTG_21602.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1350101:1350605:-1 gene:DRNTG_21602 transcript:DRNTG_21602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISKYSSYPLQSDSGIKYSMNYMT >DRNTG_03538.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:258781:261536:1 gene:DRNTG_03538 transcript:DRNTG_03538.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFDWWAKESQRGTPVVVKMENPNWSMVELSGDDTDEDSTLSGTAAAKIGRNKNAKQITWVLLLKAHRAAGCLTSLAWTFFSLVSAIRRRLAAGRTDATDSHHLRSRVYSFIKVFLFLSITLLIFELAAYFNGWHLRKLSIPTFSLASIYSDWVRFRVAYLAPPLQFLADSCVVLFLIQSADRLILCLGFFWIRFKGLKPLPEPSAISGEDDVEAPGEDFPMVLVQMPMCNEKEVYQQSISAVCNLDWPKSNMLIQVLDDSDDVATQALIKEEVEKWKENGTRIVYRHRVLRDGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPTPDFLKRTVPHFKGKDDVGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGMFINFFGFNGTAGVWRIKALEDAGGWLERTTVEDMDIAVRAHLKGWKFVFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRSKIGFWKKCNLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPAWVVCYIPATMSFPQHPPRAEILPVHRPIPSLREHNVSNQVQCHDLWPISAWKCL >DRNTG_21844.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6102582:6108547:-1 gene:DRNTG_21844 transcript:DRNTG_21844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVQEPVKPSGTLLEEMKLLGEAQNKQGVKKAINSELWHACAGPLVSLPKPGSLVYYFPQGHSEQVTASTRKTANSHIPNYPSLSSQLMCQVHNVTLHADRDTDEIYAQLTLQPVNSEMDVYPIPDFGHSISKQPTEYFCKNLTASDTSTHGGFSVPRRAAEKLFPQLDYSMQPPNQELVVRDLHDNLWTFRHIYRGQPKRHLLTTGWSSFVGAKRLKAGDSVLFIRDDKSQLLLGVRRANRQQITIPSSVLSADSMHMGVLAAADHAAASRSPFTVYYNPRACPSDFIIPLAKYHKAAYTQVSVGMRFGMMFETEESSKRRYMGTIVGINDYDPLRWPNSQWRNLQVEWDEHGYGERPERVSVWEIETPESLFVFPPATSSLKRQCLPGFVVPGVQIGFANSSPLLQAPENGNAVLNPLLSDHDSQLLLLQGQKSCLNTHLGSQQSIYASIFQNIRGHNGTKIVPYCPMPSISTMRSQVPHEAKTAILQNDHLSLMQQHIPLQSVLLQNEKPLPFVDQQHVDVDCVSWTMKEPQESLPEKSFPDRHLPAKTEGAERSTCLPGNSCETTSVESEIPSAKPPNEVNEHSSNLQRTSPQQLQAEHHSESKENIIADTIANDELKFEGQSDPLPNEPPLSRKDSLDSVSMDNPTILPLHEGYFPSHNLDHDDWIFRRSPDHSIIDPLKEPEQGFLFSSASDSTSLSGDISTLVNPENFSSFDMYQFSGSSDSHSPQCLPSFMQEFFSPQDLNPPNVEHGAPAPGILSYEMHDLEAIREEGTKLSGIRDFPNGSYVQSEACNNTNFMPDAHVLNTSIESSGKLKSSCLQVPSGSLIRNWSSNQDMQSQVTSASLADSQFYSFQDIPDSSGGTSSGSMDVNEYSCLSRGTRKQVSPLPLRTYTKVQKLGSVGRSIDVTRFQNYQELKSAIACMFGLEGQLDDPISSEWKLVYVDYENDILLVGDDPWEEFVNCVRCIKILSPSEVQQMSQDGMQLSNTSNINPEILYSER >DRNTG_21844.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6102582:6108547:-1 gene:DRNTG_21844 transcript:DRNTG_21844.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVQEPVKPSGTLLEEMKLLGEAQNKQGVKKAINSELWHACAGPLVSLPKPGSLVYYFPQGHSEQVTASTRKTANSHIPNYPSLSSQLMCQVHNVTLHADRDTDEIYAQLTLQPVNSEMDVYPIPDFGHSISKQPTEYFCKNLTASDTSTHGGFSVPRRAAEKLFPQLDYSMQPPNQELVVRDLHDNLWTFRHIYRGQPKRHLLTTGWSSFVGAKRLKAGDSVLFIRTIYRDDKSQLLLGVRRANRQQITIPSSVLSADSMHMGVLAAADHAAASRSPFTVYYNPRACPSDFIIPLAKYHKAAYTQVSVGMRFGMMFETEESSKRRYMGTIVGINDYDPLRWPNSQWRNLQVEWDEHGYGERPERVSVWEIETPESLFVFPPATSSLKRQCLPGFVVPGVQIGFANSSPLLQAPENGNAVLNPLLSDHDSQLLLLQGQKSCLNTHLGSQQSIYASIFQNIRGHNGTKIVPYCPMPSISTMRSQVPHEAKTAILQNDHLSLMQQHIPLQSVLLQNEKPLPFVDQQHVDVDCVSWTMKEPQESLPEKSFPDRHLPAKTEGAERSTCLPGNSCETTSVESEIPSAKPPNEVNEHSSNLQRTSPQQLQAEHHSESKENIIADTIANDELKFEGQSDPLPNEPPLSRKDSLDSVSMDNPTILPLHEGYFPSHNLDHDDWIFRRSPDHSIIDPLKEPEQGFLFSSASDSTSLSGDISTLVNPENFSSFDMYQFSGSSDSHSPQCLPSFMQEFFSPQDLNPPNVEHGAPAPGILSYEMHDLEAIREEGTKLSGIRDFPNGSYVQSEACNNTNFMPDAHVLNTSIESSGKLKSSCLQVPSGSLIRNWSSNQDMQSQVTSASLADSQFYSFQDIPDSSGGTSSGSMDVNEYSCLSRGTRKQVSPLPLRTYTKVQKLGSVGRSIDVTRFQNYQELKSAIACMFGLEGQLDDPISSEWKLVYVDYENDILLVGDDPWEEFVNCVRCIKILSPSEVQQMSQDGMQLSNTSNINPEILYSER >DRNTG_33850.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2024208:2034428:1 gene:DRNTG_33850 transcript:DRNTG_33850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQSLEKAVGGMIPNAEKKIESILKNIAIFQAPGRYILKPGVEVENHRKQGSESGSSPESAHDHTGAAESFFMEKGSRENIEQETKLNSKLEEESDPFTVIDMNPSGPDPFSGKDKTGNESEARANSSSESGSDSDSDSDSSDSESDSGSQSHSPAGSASASSSNSESDNSSSSKEGSDVDVDIMTSDDEKEDGEHKAEAPNAKLSSSPGEWQQNDGLPAQNGNVDGHRDEHFTSSPLDLNDLDVGDERDVDIIESPINNGPKASENFRTEIAKNADLETYPMNSRYSAEVLAFSPDKHKKTERLLPYARNSTDDPNQQTFDQSVTGTQTIFSEKSGPDKMGVKEKNSKAKSRKTSDREEFQEKPEISKRSKSTSSAKTLYLGKSKDSANSEDLQNITPEKSRPGQYKDHILQADNNVRMNANAEAITQDYSLSVPGKFKASRNIGRKQPGPDFQNTPIYEGDQPGQRISDFGRKRKASNNVDKSTRCVENSGRGNKPAETLSVHPDESDASTMKNIPLHENVPMTRNKLHKDLRDENGDSSERYLTKNMRESIAGEKLSSTPDSYSRKSGELKDSGQVPQFRRSDMLNPPVVDRKGNTLRRELSDLELGEFREPPATEENVGVKRLFERKDSFKSSDNKVAIPNNLEQDMNKARAMTNSTIDSKRQSPSIMRGGSNGKQDGFDRRIEDNLVTSSRPQQRVMVSQGQPFLKDPVDSEVVSHLDKSTEIVGKTEKKTNEGIGLESHAPRNDHKRGGPTGSKTIKESKSQKINSLRDSACQGNNTAWVESNANDRGRRESSSDEDSFFYTKYDKDEPELKPPIKDYLQYKEYVQEYREKYGHYCSLNKNLENFRNDFLGLGQELDHAQGRDLEEYYDILEHLRKLYRQHGEKHKQMKKVFILLHEEVKHLKQRIKDFAETYSQE >DRNTG_33850.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2024208:2034428:1 gene:DRNTG_33850 transcript:DRNTG_33850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEEAERQSKSRKSIVLDPANPSVKNQAKTMAAAAVEGSMRRMSWKHKKDTFFKKHKSEQNPGPSVVSSKTFPKGGISSNNTTKAMPAVSPQLSPPEQPGPGTSSSPIVAANYTKEDLNIEEIFAPLTGGKEDTGNFGKEMSCNVNDRGIAGQHRDLRSLLISRLLENPKGMNLKSLEKAVGGMIPNAEKKIESILKNIAIFQAPGRYILKPGVEVENHRKQGSESGSSPESAHDHTGAAESFFMEKGSRENIEQETKLNSKLEEESDPFTVIDMNPSGPDPFSGKDKTGNESEARANSSSESGSDSDSDSDSSDSESDSGSQSHSPAGSASASSSNSESDNSSSSKEGSDVDVDIMTSDDEKEDGEHKAEAPNAKLSSSPGEWQQNDGLPAQNGNVDGHRDEHFTSSPLDLNDLDVGDERDVDIIESPINNGPKASENFRTEIAKNADLETYPMNSRYSAEVLAFSPDKHKKTERLLPYARNSTDDPNQQTFDQSVTGTQTIFSEKSGPDKMGVKEKNSKAKSRKTSDREEFQEKPEISKRSKSTSSAKTLYLGKSKDSANSEDLQNITPEKSRPGQYKDHILQADNNVRMNANAEAITQDYSLSVPGKFKASRNIGRKQPGPDFQNTPIYEGDQPGQRISDFGRKRKASNNVDKSTRCVENSGRGNKPAETLSVHPDESDASTMKNIPLHENVPMTRNKLHKDLRDENGDSSERYLTKNMRESIAGEKLSSTPDSYSRKSGELKDSGQVPQFRRSDMLNPPVVDRKGNTLRRELSDLELGEFREPPATEENVGVKRLFERKDSFKSSDNKVAIPNNLEQDMNKARAMTNSTIDSKRQSPSIMRGGSNGKQDGFDRRIEDNLVTSSRPQQRVMVSQGQPFLKDPVDSEVVSHLDKSTEIVGKTEKKTNEGIGLESHAPRNDHKRGGPTGSKTIKESKSQKINSLRDSACQGNNTAWVESNANDRGRRESSSDEDSFFYTKYDKDEPELKPPIKDYLQYKEYVQEYREKYGHYCSLNKNLENFRNDFLGLGQELDHAQGRDLEEYYDILEHLRKLYRQHGEKHKQMKKVFILLHEEVKHLKQRIKDFAETYSQE >DRNTG_33850.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2027953:2034428:1 gene:DRNTG_33850 transcript:DRNTG_33850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQSLEKAVGGMIPNAEKKIESILKNIAIFQAPGRYILKPGVEVENHRKQGSESGSSPESAHDHTGAAESFFMEKGSRENIEQETKLNSKLEEESDPFTVIDMNPSGPDPFSGKDKTGNESEARANSSSESGSDSDSDSDSSDSESDSGSQSHSPAGSASASSSNSESDNSSSSKEGSDVDVDIMTSDDEKEDGEHKAEAPNAKLSSSPGEWQQNDGLPAQNGNVDGHRDEHFTSSPLDLNDLDVGDERDVDIIESPINNGPKASENFRTEIAKNADLETYPMNSRYSAEVLAFSPDKHKKTERLLPYARNSTDDPNQQTFDQSVTGTQTIFSEKSGPDKMGVKEKNSKAKSRKTSDREEFQEKPEISKRSKSTSSAKTLYLGKSKDSANSEDLQNITPEKSRPGQYKDHILQADNNVRMNANAEAITQDYSLSVPGKFKASRNIGRKQPGPDFQNTPIYEGDQPGQRISDFGRKRKASNNVDKSTRCVENSGRGNKPAETLSVHPDESDASTMKNIPLHENVPMTRNKLHKDLRDENGDSSERYLTKNMRESIAGEKLSSTPDSYSRKSGELKDSGQVPQFRRSDMLNPPVVDRKGNTLRRELSDLELGEFREPPATEENVGVKRLFERKDSFKSSDNKVAIPNNLEQDMNKARAMTNSTIDSKRQSPSIMRGGSNGKQDGFDRRIEDNLVTSSRPQQRVMVSQGQPFLKDPVDSEVVSHLDKSTEIVGKTEKKTNEGIGLESHAPRNDHKRGGPTGSKTIKESKSQKINSLRDSACQGNNTAWVESNANDRGRRESSSDEDSFFYTKYDKDEPELKPPIKDYLQYKEYVQEYREKYGHYCSLNKNLENFRNDFLGLGQELDHAQGRDLEEYYDILEHLRKLYRQHGEKHKQMKKVFILLHEEVKHLKQRIKDFAETYSQE >DRNTG_10243.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19829297:19831243:-1 gene:DRNTG_10243 transcript:DRNTG_10243.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dUTP-PYROPHOSPHATASE-LIKE 1 [Source:Projected from Arabidopsis thaliana (AT3G46940) UniProtKB/TrEMBL;Acc:A0A1I9LM85] MASKNSTEPISLDAHEPSPKLPKLSQNGITESRNLSPFLRIKKLSENAVMPSRASPLSAGYDLSSAVETKVPARGKALVPTDLSIAIPDGTYARIAPRSGLTWKHSIDVGAGVIDADYRGPVGVILFNHSDVDFTVKPGDRIAQMIIERILTPEVLEVEDLDATVRGAGGFGSTGV >DRNTG_22221.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13637253:13638002:1 gene:DRNTG_22221 transcript:DRNTG_22221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKLIYSGKHLHIINQKMHIYTSLLTVSCFIYMVDGYNSLVE >DRNTG_32976.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20996317:20996777:1 gene:DRNTG_32976 transcript:DRNTG_32976.1 gene_biotype:protein_coding transcript_biotype:protein_coding TYQKTPLKENQHKSLLHSETKKSYQFVIIADFRLKQTLNTTIREHKRLSNISSVHQTRQQDTRKGHRHKLTAMHNLYCQTTIH >DRNTG_20502.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16427021:16431282:-1 gene:DRNTG_20502 transcript:DRNTG_20502.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase domain containing protein [Source: Projected from Oryza sativa (Os06g0717200)] MHPTMNHLLLLLLLLLLLHSFTFSSSSPMSDLQTLLDLKSSMLSSSGSGLHNWHSTVLPPAHCSFSGVTCDSNSNVISLNISFTPLHGILPSSISNLSHLINLTLSSNPLTGPLPPSLSLLPSLRLLNLSNNNFTGPFLTSLPPRLEILDVYNNNFSGLLPSFLSYLPFLRYLHLGGNYFTGDIPEDFCRIAKLQYLGLNGNSLTGKIPPCLSLLSELRHMYIGYFNQYEGGVPPELGELSLLIRLDLAGCNLSGPIPPSLGRLKHLDTLFLHKNNLSGDIPPELGSLPSLQSLDLSNNDLTGEIPDSFENLTQLKLLNLFRNHLHGRIPPFIGEFPNLEVLQVWENNFTFELPDRLGLNGRLLNLDVTMNRLTGTIPPGLCSGRKLQTLVLMENFFFGPIPSQLGECKSLTHVRLSKNFLNGTIPAGLFDLPNADMLEFSDNFLSGEIPATIAGDKLGMILLSNNMISGPIPSSIRNLPALQALSLDANRITGGIPPEIGDLQQLSKLNLSGNDLSGEIPPNLARCSYLASIDLSRNNLVGEIPSEVSSLKILSVLNLSRNQLSGEVPPEFQKMQSLTTLDLSFNHFSGEIPAAGQYLLFNESSFDGNPGLCGGPLRTTPCDPNLNAAGSKRKRSGPFDTSHLIIICSFLFTLMITVAAVKAYNVWNEKRKASTGWKLTAFQRLDLTVDDVMECLKEDNVIGKGGAGIVYRGSMRSGTEMAIKRLVGRGCGGEHDRGFTAEVTTLGRIRHRNIVRLLGFVSSRDANLLLYEYMPNGSLGEMLHSAKGAHLGWEARYRIAGEAARGLCYLHHDCSPLIIHRDVKSNNILLDSNLEAHVADFGLAKFFRDTGASECMSSIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGRRPVGGFGDGVDIVQWVHKMTGDPPEVNNPAAVLAIVDRRLAHNPLDKIMNLFKVAMLCVEEKSVVRPTMRELVHMLDNPTTTIPSLASPDLLVI >DRNTG_20502.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16426899:16431215:-1 gene:DRNTG_20502 transcript:DRNTG_20502.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase domain containing protein [Source: Projected from Oryza sativa (Os06g0717200)] MHPTMNHLLLLLLLLLLLHSFTFSSSSPMSDLQTLLDLKSSMLSSSGSGLHNWHSTVLPPAHCSFSGVTCDSNSNVISLNISFTPLHGILPSSISNLSHLINLTLSSNPLTGPLPPSLSLLPSLRLLNLSNNNFTGPFLTSLPPRLEILDVYNNNFSGLLPSFLSYLPFLRYLHLGGNYFTGDIPEDFCRIAKLQYLGLNGNSLTGKIPPCLSLLSELRHMYIGYFNQYEGGVPPELGELSLLIRLDLAGCNLSGPIPPSLGRLKHLDTLFLHKNNLSGDIPPELGSLPSLQSLDLSNNDLTGEIPDSFENLTQLKLLNLFRNHLHGRIPPFIGEFPNLEVLQVWENNFTFELPDRLGLNGRLLNLDVTMNRLTGTIPPGLCSGRKLQTLVLMENFFFGPIPSQLGECKSLTHVRLSKNFLNGTIPAGLFDLPNADMLEFSDNFLSGEIPATIAGDKLGMILLSNNMISGPIPSSIRNLPALQALSLDANRITGGIPPEIGDLQQLSKLNLSGNDLSGEIPPNLARCSYLASIDLSRNNLVGEIPSEVSSLKILSVLNLSRNQLSGEVPPEFQKMQSLTTLDLSFNHFSGEIPAAGQYLLFNESSFDGNPGLCGGPLRTTPCDPNLNAAGSKRKRSGPFDTSHLIIICSFLFTLMITVAAVKAYNVWNEKRKASTGWKLTAFQRLDLTVDDVMECLKEDNVIGKGGAGIVYRGSMRSGTEMAIKRLVGRGCGGEHDRGFTAEVTTLGRIRHRNIVRLLGFVSSRDANLLLYEYMPNGSLGEMLHSAKGAHLGWEARYRIAGEAARGLCYLHHDCSPLIIHRDVKSNNILLDSNLEAHVADFGLAKFFRDTGASECMSSIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGRRPVGGFGDGVDIVQWVHKMTGDPPEVNNPAAVLAIVDRRLAHNPLDKIMNLFKVAMLCVEEKSVVRPTMRELVHMLDNPTTTIPSLASPDLLVI >DRNTG_20502.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16427099:16431377:-1 gene:DRNTG_20502 transcript:DRNTG_20502.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase domain containing protein [Source: Projected from Oryza sativa (Os06g0717200)] MHPTMNHLLLLLLLLLLLHSFTFSSSSPMSDLQTLLDLKSSMLSSSGSGLHNWHSTVLPPAHCSFSGVTCDSNSNVISLNISFTPLHGILPSSISNLSHLINLTLSSNPLTGPLPPSLSLLPSLRLLNLSNNNFTGPFLTSLPPRLEILDVYNNNFSGLLPSFLSYLPFLRYLHLGGNYFTGDIPEDFCRIAKLQYLGLNGNSLTGKIPPCLSLLSELRHMYIGYFNQYEGGVPPELGELSLLIRLDLAGCNLSGPIPPSLGRLKHLDTLFLHKNNLSGDIPPELGSLPSLQSLDLSNNDLTGEIPDSFENLTQLKLLNLFRNHLHGRIPPFIGEFPNLEVLQVWENNFTFELPDRLGLNGRLLNLDVTMNRLTGTIPPGLCSGRKLQTLVLMENFFFGPIPSQLGECKSLTHVRLSKNFLNGTIPAGLFDLPNADMLEFSDNFLSGEIPATIAGDKLGMILLSNNMISGPIPSSIRNLPALQALSLDANRITGGIPPEIGDLQQLSKLNLSGNDLSGEIPPNLARCSYLASIDLSRNNLVGEIPSEVSSLKILSVLNLSRNQLSGEVPPEFQKMQSLTTLDLSFNHFSGEIPAAGQYLLFNESSFDGNPGLCGGPLRTTPCDPNLNAAGSKRKRSGPFDTSHLIIICSFLFTLMITVAAVKAYNVWNEKRKASTGWKLTAFQRLDLTVDDVMECLKEDNVIGKGGAGIVYRGSMRSGTEMAIKRLVGRGCGGEHDRGFTAEVTTLGRIRHRNIVRLLGFVSSRDANLLLYEYMPNGSLGEMLHSAKGAHLGWEARYRIAGEAARGLCYLHHDCSPLIIHRDVKSNNILLDSNLEAHVADFGLAKFFRDTGASECMSSIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGRRPVGGFGDGVDIVQWVHKMTGDPPEVNNPAAVLAIVDRRLAHNPLDKIMNLFKVAMLCVEEKSVVRPTMRELVHMLDNPTTTIPSLASPDLLVI >DRNTG_20502.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16426899:16431282:-1 gene:DRNTG_20502 transcript:DRNTG_20502.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase domain containing protein [Source: Projected from Oryza sativa (Os06g0717200)] MHPTMNHLLLLLLLLLLLHSFTFSSSSPMSDLQTLLDLKSSMLSSSGSGLHNWHSTVLPPAHCSFSGVTCDSNSNVISLNISFTPLHGILPSSISNLSHLINLTLSSNPLTGPLPPSLSLLPSLRLLNLSNNNFTGPFLTSLPPRLEILDVYNNNFSGLLPSFLSYLPFLRYLHLGGNYFTGDIPEDFCRIAKLQYLGLNGNSLTGKIPPCLSLLSELRHMYIGYFNQYEGGVPPELGELSLLIRLDLAGCNLSGPIPPSLGRLKHLDTLFLHKNNLSGDIPPELGSLPSLQSLDLSNNDLTGEIPDSFENLTQLKLLNLFRNHLHGRIPPFIGEFPNLEVLQVWENNFTFELPDRLGLNGRLLNLDVTMNRLTGTIPPGLCSGRKLQTLVLMENFFFGPIPSQLGECKSLTHVRLSKNFLNGTIPAGLFDLPNADMLEFSDNFLSGEIPATIAGDKLGMILLSNNMISGPIPSSIRNLPALQALSLDANRITGGIPPEIGDLQQLSKLNLSGNDLSGEIPPNLARCSYLASIDLSRNNLVGEIPSEVSSLKILSVLNLSRNQLSGEVPPEFQKMQSLTTLDLSFNHFSGEIPAAGQYLLFNESSFDGNPGLCGGPLRTTPCDPNLNAAGSKRKRSGPFDTSHLIIICSFLFTLMITVAAVKAYNVWNEKRKASTGWKLTAFQRLDLTVDDVMECLKEDNVIGKGGAGIVYRGSMRSGTEMAIKRLVGRGCGGEHDRGFTAEVTTLGRIRHRNIVRLLGFVSSRDANLLLYEYMPNGSLGEMLHSAKGAHLGWEARYRIAGEAARGLCYLHHDCSPLIIHRDVKSNNILLDSNLEAHVADFGLAKFFRDTGASECMSSIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGRRPVGGFGDGVDIVQWVHKMTGDPPEVNNPAAVLAIVDRRLAHNPLDKIMNLFKVAMLCVEEKSVVRPTMRELVHMLDNPTTTIPSLASPDLLVI >DRNTG_20502.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16427021:16431215:-1 gene:DRNTG_20502 transcript:DRNTG_20502.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase domain containing protein [Source: Projected from Oryza sativa (Os06g0717200)] MHPTMNHLLLLLLLLLLLHSFTFSSSSPMSDLQTLLDLKSSMLSSSGSGLHNWHSTVLPPAHCSFSGVTCDSNSNVISLNISFTPLHGILPSSISNLSHLINLTLSSNPLTGPLPPSLSLLPSLRLLNLSNNNFTGPFLTSLPPRLEILDVYNNNFSGLLPSFLSYLPFLRYLHLGGNYFTGDIPEDFCRIAKLQYLGLNGNSLTGKIPPCLSLLSELRHMYIGYFNQYEGGVPPELGELSLLIRLDLAGCNLSGPIPPSLGRLKHLDTLFLHKNNLSGDIPPELGSLPSLQSLDLSNNDLTGEIPDSFENLTQLKLLNLFRNHLHGRIPPFIGEFPNLEVLQVWENNFTFELPDRLGLNGRLLNLDVTMNRLTGTIPPGLCSGRKLQTLVLMENFFFGPIPSQLGECKSLTHVRLSKNFLNGTIPAGLFDLPNADMLEFSDNFLSGEIPATIAGDKLGMILLSNNMISGPIPSSIRNLPALQALSLDANRITGGIPPEIGDLQQLSKLNLSGNDLSGEIPPNLARCSYLASIDLSRNNLVGEIPSEVSSLKILSVLNLSRNQLSGEVPPEFQKMQSLTTLDLSFNHFSGEIPAAGQYLLFNESSFDGNPGLCGGPLRTTPCDPNLNAAGSKRKRSGPFDTSHLIIICSFLFTLMITVAAVKAYNVWNEKRKASTGWKLTAFQRLDLTVDDVMECLKEDNVIGKGGAGIVYRGSMRSGTEMAIKRLVGRGCGGEHDRGFTAEVTTLGRIRHRNIVRLLGFVSSRDANLLLYEYMPNGSLGEMLHSAKGAHLGWEARYRIAGEAARGLCYLHHDCSPLIIHRDVKSNNILLDSNLEAHVADFGLAKFFRDTGASECMSSIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGRRPVGGFGDGVDIVQWVHKMTGDPPEVNNPAAVLAIVDRRLAHNPLDKIMNLFKVAMLCVEEKSVVRPTMRELVHMLDNPTTTIPSLASPDLLVI >DRNTG_20502.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16427099:16427645:-1 gene:DRNTG_20502 transcript:DRNTG_20502.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase domain containing protein [Source: Projected from Oryza sativa (Os06g0717200)] EYAYTLRVDEKSDVYSFGVVLLELITGRRPVGGFGDGVDIVQWVHKMTGDPPEVNNPAAVLAIVDRRLAHNPLDKIMNLFKVAMLCVEEKSVVRPTMRELVHMLDNPTTTIPSLASPDLLVI >DRNTG_20502.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16427021:16431377:-1 gene:DRNTG_20502 transcript:DRNTG_20502.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase domain containing protein [Source: Projected from Oryza sativa (Os06g0717200)] MHPTMNHLLLLLLLLLLLHSFTFSSSSPMSDLQTLLDLKSSMLSSSGSGLHNWHSTVLPPAHCSFSGVTCDSNSNVISLNISFTPLHGILPSSISNLSHLINLTLSSNPLTGPLPPSLSLLPSLRLLNLSNNNFTGPFLTSLPPRLEILDVYNNNFSGLLPSFLSYLPFLRYLHLGGNYFTGDIPEDFCRIAKLQYLGLNGNSLTGKIPPCLSLLSELRHMYIGYFNQYEGGVPPELGELSLLIRLDLAGCNLSGPIPPSLGRLKHLDTLFLHKNNLSGDIPPELGSLPSLQSLDLSNNDLTGEIPDSFENLTQLKLLNLFRNHLHGRIPPFIGEFPNLEVLQVWENNFTFELPDRLGLNGRLLNLDVTMNRLTGTIPPGLCSGRKLQTLVLMENFFFGPIPSQLGECKSLTHVRLSKNFLNGTIPAGLFDLPNADMLEFSDNFLSGEIPATIAGDKLGMILLSNNMISGPIPSSIRNLPALQALSLDANRITGGIPPEIGDLQQLSKLNLSGNDLSGEIPPNLARCSYLASIDLSRNNLVGEIPSEVSSLKILSVLNLSRNQLSGEVPPEFQKMQSLTTLDLSFNHFSGEIPAAGQYLLFNESSFDGNPGLCGGPLRTTPCDPNLNAAGSKRKRSGPFDTSHLIIICSFLFTLMITVAAVKAYNVWNEKRKASTGWKLTAFQRLDLTVDDVMECLKEDNVIGKGGAGIVYRGSMRSGTEMAIKRLVGRGCGGEHDRGFTAEVTTLGRIRHRNIVRLLGFVSSRDANLLLYEYMPNGSLGEMLHSAKGAHLGWEARYRIAGEAARGLCYLHHDCSPLIIHRDVKSNNILLDSNLEAHVADFGLAKFFRDTGASECMSSIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGRRPVGGFGDGVDIVQWVHKMTGDPPEVNNPAAVLAIVDRRLAHNPLDKIMNLFKVAMLCVEEKSVVRPTMRELVHMLDNPTTTIPSLASPDLLVI >DRNTG_20502.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16426899:16431377:-1 gene:DRNTG_20502 transcript:DRNTG_20502.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase domain containing protein [Source: Projected from Oryza sativa (Os06g0717200)] MHPTMNHLLLLLLLLLLLHSFTFSSSSPMSDLQTLLDLKSSMLSSSGSGLHNWHSTVLPPAHCSFSGVTCDSNSNVISLNISFTPLHGILPSSISNLSHLINLTLSSNPLTGPLPPSLSLLPSLRLLNLSNNNFTGPFLTSLPPRLEILDVYNNNFSGLLPSFLSYLPFLRYLHLGGNYFTGDIPEDFCRIAKLQYLGLNGNSLTGKIPPCLSLLSELRHMYIGYFNQYEGGVPPELGELSLLIRLDLAGCNLSGPIPPSLGRLKHLDTLFLHKNNLSGDIPPELGSLPSLQSLDLSNNDLTGEIPDSFENLTQLKLLNLFRNHLHGRIPPFIGEFPNLEVLQVWENNFTFELPDRLGLNGRLLNLDVTMNRLTGTIPPGLCSGRKLQTLVLMENFFFGPIPSQLGECKSLTHVRLSKNFLNGTIPAGLFDLPNADMLEFSDNFLSGEIPATIAGDKLGMILLSNNMISGPIPSSIRNLPALQALSLDANRITGGIPPEIGDLQQLSKLNLSGNDLSGEIPPNLARCSYLASIDLSRNNLVGEIPSEVSSLKILSVLNLSRNQLSGEVPPEFQKMQSLTTLDLSFNHFSGEIPAAGQYLLFNESSFDGNPGLCGGPLRTTPCDPNLNAAGSKRKRSGPFDTSHLIIICSFLFTLMITVAAVKAYNVWNEKRKASTGWKLTAFQRLDLTVDDVMECLKEDNVIGKGGAGIVYRGSMRSGTEMAIKRLVGRGCGGEHDRGFTAEVTTLGRIRHRNIVRLLGFVSSRDANLLLYEYMPNGSLGEMLHSAKGAHLGWEARYRIAGEAARGLCYLHHDCSPLIIHRDVKSNNILLDSNLEAHVADFGLAKFFRDTGASECMSSIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGRRPVGGFGDGVDIVQWVHKMTGDPPEVNNPAAVLAIVDRRLAHNPLDKIMNLFKVAMLCVEEKSVVRPTMRELVHMLDNPTTTIPSLASPDLLVI >DRNTG_20502.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16427099:16431282:-1 gene:DRNTG_20502 transcript:DRNTG_20502.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase domain containing protein [Source: Projected from Oryza sativa (Os06g0717200)] MHPTMNHLLLLLLLLLLLHSFTFSSSSPMSDLQTLLDLKSSMLSSSGSGLHNWHSTVLPPAHCSFSGVTCDSNSNVISLNISFTPLHGILPSSISNLSHLINLTLSSNPLTGPLPPSLSLLPSLRLLNLSNNNFTGPFLTSLPPRLEILDVYNNNFSGLLPSFLSYLPFLRYLHLGGNYFTGDIPEDFCRIAKLQYLGLNGNSLTGKIPPCLSLLSELRHMYIGYFNQYEGGVPPELGELSLLIRLDLAGCNLSGPIPPSLGRLKHLDTLFLHKNNLSGDIPPELGSLPSLQSLDLSNNDLTGEIPDSFENLTQLKLLNLFRNHLHGRIPPFIGEFPNLEVLQVWENNFTFELPDRLGLNGRLLNLDVTMNRLTGTIPPGLCSGRKLQTLVLMENFFFGPIPSQLGECKSLTHVRLSKNFLNGTIPAGLFDLPNADMLEFSDNFLSGEIPATIAGDKLGMILLSNNMISGPIPSSIRNLPALQALSLDANRITGGIPPEIGDLQQLSKLNLSGNDLSGEIPPNLARCSYLASIDLSRNNLVGEIPSEVSSLKILSVLNLSRNQLSGEVPPEFQKMQSLTTLDLSFNHFSGEIPAAGQYLLFNESSFDGNPGLCGGPLRTTPCDPNLNAAGSKRKRSGPFDTSHLIIICSFLFTLMITVAAVKAYNVWNEKRKASTGWKLTAFQRLDLTVDDVMECLKEDNVIGKGGAGIVYRGSMRSGTEMAIKRLVGRGCGGEHDRGFTAEVTTLGRIRHRNIVRLLGFVSSRDANLLLYEYMPNGSLGEMLHSAKGAHLGWEARYRIAGEAARGLCYLHHDCSPLIIHRDVKSNNILLDSNLEAHVADFGLAKFFRDTGASECMSSIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGRRPVGGFGDGVDIVQWVHKMTGDPPEVNNPAAVLAIVDRRLAHNPLDKIMNLFKVAMLCVEEKSVVRPTMRELVHMLDNPTTTIPSLASPDLLVI >DRNTG_20502.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16427099:16431215:-1 gene:DRNTG_20502 transcript:DRNTG_20502.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase domain containing protein [Source: Projected from Oryza sativa (Os06g0717200)] MHPTMNHLLLLLLLLLLLHSFTFSSSSPMSDLQTLLDLKSSMLSSSGSGLHNWHSTVLPPAHCSFSGVTCDSNSNVISLNISFTPLHGILPSSISNLSHLINLTLSSNPLTGPLPPSLSLLPSLRLLNLSNNNFTGPFLTSLPPRLEILDVYNNNFSGLLPSFLSYLPFLRYLHLGGNYFTGDIPEDFCRIAKLQYLGLNGNSLTGKIPPCLSLLSELRHMYIGYFNQYEGGVPPELGELSLLIRLDLAGCNLSGPIPPSLGRLKHLDTLFLHKNNLSGDIPPELGSLPSLQSLDLSNNDLTGEIPDSFENLTQLKLLNLFRNHLHGRIPPFIGEFPNLEVLQVWENNFTFELPDRLGLNGRLLNLDVTMNRLTGTIPPGLCSGRKLQTLVLMENFFFGPIPSQLGECKSLTHVRLSKNFLNGTIPAGLFDLPNADMLEFSDNFLSGEIPATIAGDKLGMILLSNNMISGPIPSSIRNLPALQALSLDANRITGGIPPEIGDLQQLSKLNLSGNDLSGEIPPNLARCSYLASIDLSRNNLVGEIPSEVSSLKILSVLNLSRNQLSGEVPPEFQKMQSLTTLDLSFNHFSGEIPAAGQYLLFNESSFDGNPGLCGGPLRTTPCDPNLNAAGSKRKRSGPFDTSHLIIICSFLFTLMITVAAVKAYNVWNEKRKASTGWKLTAFQRLDLTVDDVMECLKEDNVIGKGGAGIVYRGSMRSGTEMAIKRLVGRGCGGEHDRGFTAEVTTLGRIRHRNIVRLLGFVSSRDANLLLYEYMPNGSLGEMLHSAKGAHLGWEARYRIAGEAARGLCYLHHDCSPLIIHRDVKSNNILLDSNLEAHVADFGLAKFFRDTGASECMSSIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGRRPVGGFGDGVDIVQWVHKMTGDPPEVNNPAAVLAIVDRRLAHNPLDKIMNLFKVAMLCVEEKSVVRPTMRELVHMLDNPTTTIPSLASPDLLVI >DRNTG_15001.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23934712:23935431:1 gene:DRNTG_15001 transcript:DRNTG_15001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKREREKKVRLNGERKREMRGWC >DRNTG_09879.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:283229:286524:1 gene:DRNTG_09879 transcript:DRNTG_09879.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLFSSLFAQMTSSCSLWLPYAPRADHPLPLLPRG >DRNTG_35107.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002231.1:35603:41506:-1 gene:DRNTG_35107 transcript:DRNTG_35107.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLQFQAICLVYNTNFIFSITLIYKFILYFLIFHLLCNIYSIIIINFIFLTNQFEKVYNLRALITTNSNAVCVP >DRNTG_01151.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000071.1:54684:57127:1 gene:DRNTG_01151 transcript:DRNTG_01151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLDRMRIQGRKPDAGLCGKVINGLCDSGKFHEAANCLDEMVLSGVQPNRVTWSLHVRMHNLVVRGLCGESDANRAFQVYLSMRSRGINTEPETFQLLIDCLCKKDDLQRAAQLAYEMLVGGCVPDYVTWRAIVGVFWNRSRVRESAELLWDTITDCVKT >DRNTG_23898.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2058616:2061065:-1 gene:DRNTG_23898 transcript:DRNTG_23898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATATMIGALLGLGTQMYSNALRKLPYMRHPWEHVLGMGLGVVFVNQLVKYDAKLKEDLDKILEKAKAANERRYFDDDED >DRNTG_09922.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1619507:1620840:-1 gene:DRNTG_09922 transcript:DRNTG_09922.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKISDFGLARLFVGDQLGGTTRRVVGTFGYMAPEYALRGQYSAKSDIFSFGVLVLEILTGQKNSNFLETGLAKDLLSYTWQHWRKRTISEIIDPSLGDHWPRSEVLRCIHIGLLCVQEVPASRPSMSMVVLMLNSYSMSLQAPSKPAFL >DRNTG_09922.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1619283:1620840:-1 gene:DRNTG_09922 transcript:DRNTG_09922.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYEYVPNRSLDTILFDSIKREQLDWQRRYKIISGIARGLLYLHEDSQPKIIHRDLKASNILLDDDMNPKISDFGLARLFVGDQLGGTTRRVVGTFGYMAPEYALRGQYSAKSDIFSFGVLVLEILTGQKNSNFLETGLAKDLLSYTWQHWRKRTISEIIDPSLGDHWPRSEVLRCIHIGLLCVQEVPASRPSMSMVVLMLNSYSMSLQAPSKPAFL >DRNTG_09922.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1619507:1621574:-1 gene:DRNTG_09922 transcript:DRNTG_09922.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYEYVPNRSLDTILFDSIKREQLDWQRRYKIISGIARGLLYLHEDSQPKIIHRDLKASNILLDDDMNPKISDFGLARLFVGDQLGGTTRRVVGTFGYMAPEYALRGQYSAKSDIFSFGVLVLEILTGQKNSNFLETGLAKDLLSYTWQHWRKRTISEIIDPSLGDHWPRSEVLRCIHIGLLCVQEVPASRPSMSMVVLMLNSYSMSLQAPSKPAFL >DRNTG_09922.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1619507:1620840:-1 gene:DRNTG_09922 transcript:DRNTG_09922.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYEYVPNRSLDTILFDSIKREQLDWQRRYKIISGIARGLLYLHEDSQPKIIHRDLKASNILLDDDMNPKISDFGLARLFVGDQLGGTTRRVVGTFGYMAPEYALRGQYSAKSDIFSFGVLVLEILTGQKNSNFLETGLAKDLLSYTWQHWRKRTISEIIDPSLGDHWPRSEVLRCIHIGLLCVQEVPASRPSMSMVVLMLNSYSMSLQAPSKPAFL >DRNTG_31072.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30738301:30740868:1 gene:DRNTG_31072 transcript:DRNTG_31072.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETLGSWQANLLFEPIEFEGMDVLVHRGIYEAAKGIYSQILPEVRQHLESHGDSATFRFTGHSLGGSLSMLINLMLLIRGEVPVSSLLPAVTFGAPSIMCGGDYLLRKLGLPQTHVQSVMLHRDIVPRAFSCIYPDHVVDILKAINGNFRHHPCLNKKKLLYAPMGKLWILQPEDKFSPQHHLLPAGSGLFLLDSNSSADCADALPLLQSAWFAFLNTPHPLEILIDRNAYGSRGSVIRDHDVHGYLKSLQGVIHQELRFIRTTNRKQGLRTGLSSKSFGIYNAIFHGGKETLKRLSKLITSQSMQILVIFLVPIGLLFSGALVVAILV >DRNTG_31072.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30738301:30740868:1 gene:DRNTG_31072 transcript:DRNTG_31072.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPICAFTSSQLLIIVCTKMSFIPFHLQGSETLGSWQANLLFEPIEFEGMDVLVHRGIYEAAKGIYSQILPEVRQHLESHGDSATFRFTGHSLGGSLSMLINLMLLIRGEVPVSSLLPAVTFGAPSIMCGGDYLLRKLGLPQTHVQSVMLHRDIVPRAFSCIYPDHVVDILKAINGNFRHHPCLNKKKLLYAPMGKLWILQPEDKFSPQHHLLPAGSGLFLLDSNSSADCADALPLLQSAWFAFLNTPHPLEILIDRNAYGSRGSVIRDHDVHGYLKSLQGVIHQELRFIRTTNRKQGLRTGLSSKSFGIYNAIFHGGKETLKRLSKLITSQSMQILVIFLVPIGLLFSGALVVAILV >DRNTG_31072.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30738301:30740868:1 gene:DRNTG_31072 transcript:DRNTG_31072.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLHLLQWFPSRPLSLSRPRSHLPVASAAAAKKSALPPDGALKGGWVLKILRVGSLFAEGEQKKREAIDGALEGDEMEMDVNGDDRCVDCGCACGDEETTAEFDRESFSRLLRRASLMETRLYAKMSYLGSLAYCIPRIKSEHRLRRHGLRFVTSSFDKKEAKSLAAEKEGTLIQDSQPKEKTPATATATKECVHEHRKDREISAPAAYHIAASAAAYLQSQTRGFLHLRSPNVNVGKDSPELGINNEGKDEENMTAKMASFIATKDSVTAMVAGKEEMKQALANDLSSSNSSPCEWYICDDDHSGTRYFVIQGSETLGSWQANLLFEPIEFEGMDVLVHRGIYEAAKGIYSQILPEVRQHLESHGDSATFRFTGHSLGGSLSMLINLMLLIRGEVPVSSLLPAVTFGAPSIMCGGDYLLRKLGLPQTHVQSVMLHRDIVPRAFSCIYPDHVVDILKAINGNFRHHPCLNKKKLLYAPMGKLWILQPEDKFSPQHHLLPAGSGLFLLDSNSSADCADALPLLQSAWFAFLNTPHPLEILIDRNAYGSRGSVIRDHDVHGYLKSLQGVIHQELRFIRTTNRKQGLRTGLSSKSFGIYNAIFHGGKETLKRLSKLITSQSMQILVIFLVPIGLLFSGALVVAILV >DRNTG_06391.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21126083:21128341:1 gene:DRNTG_06391 transcript:DRNTG_06391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVGWFLGGKYTDDQESDNSIVTPEEFKKMLDELFLLSGVLNIGDSIPWLDFLDLQGYVKRMKKLSKKFDKFLEHVLDEHNERMVSEGENFVAKDMVDVLLQLAADPNLEVKLSRDGVKAFTQDLIAGGTESSAVTVEWAMSELLKQPHIFEKATEELDQVIGNDRWVDEKDIPNLPYIEAIVKETMRMHPVAPMLVPRLSREDSTIDGYDIPAGTRILVNVWTIGRDPTIWEAPNEFKPERFIGKAIDVKGNNFELLPFGAGRRMCPGYSLGLKVIQVSLANLLHGFKWKLPHGMKAEDLNMDEIFGLSTPRKVLLEVVLEPRLPSHVYNV >DRNTG_05582.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22074454:22081559:-1 gene:DRNTG_05582 transcript:DRNTG_05582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGGGSRPAVAGSAEHNLARTFKYLMATQLLSRGIPFVFNSWIVRHLTEIDYAIYAVQFQLLVTCILFLSREGFRRACLRMDFSCDGATLEENAARLLRVTWMTFPIGIFFTTITCFSLILFQKRKFSDPYAQAILIQGFACILELLAEPLYILSQNLLLLKLRLVVESAATILRCMTTYTLIVQGANMEKEIIFALSQVAYGACVFIGYWTYFLTFRVIKCSNLFPLRPWILLDEDKQLRHMCAVFTGQSFRKLILQEGEKFVLVGIDTPYNQAAYGLVDKLGSLVVRMVFLPFEESSFATFAKLASGKSSQQDMRLANALVDALKLVLLIGLFVVAFGPSYSYILIRLLYGKNWSDGEATMALRCYCLYVISLAMNGTSEAFLHAVANESQLKRSNFYLLMFSVVYVGLNVMLIKAAGAVGLIAANSLNMILRIIYSVFFIKNYFQGSFSFQRCLPSGWILLIISGAITLFSGDNVFR >DRNTG_23868.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20841042:20845708:-1 gene:DRNTG_23868 transcript:DRNTG_23868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRTEIEVGADGVALITIINPPVNSLALDVLRSLKESYDEALRRDDVKAIVVTGAGGRFSGGFDISAFGGIQEGKGEQVNAGYISIDIVTNTLEGARKPSVAAIDGLALGGGLEVAMACHARISTSNAQLGLPELQLGIIPGFGGTQRLPRLVGLAKALEMMLMSKPIKGKEAHELGLVDAIVQPGELVNAARAWALDIAAFRKPWIKSLHKTDKLEPLGEAREILTFARAQARKQAANLQHPLVCIDVIDEGVVSGPYAGLLKEAQSFEVLLRSDTCKSLVQVFFAQRATSKVPGITDLGLMPRKIKKVGILGGGLMGSGIATALILSNYPVILKEVNEKFLKGGMDRVKANLQSRVKKGTMTQEKLEKTLSLLTGVLDYESFKGVDMVIEAIIENVSLKQQIFADLEKFCPPHCVLATNTSTIDLNLIGDKIKSQDRIVGAHFFSPAHIMPLLEIVRTHKTSPQVVVDLLDVGKKIRKTPVVVGNCTGFAVNRMFFPYTQSAHLLVDRGLDVYKIDRAITKFGMPMGPFRLADLVGFGVALATGSQYLQSFPERCYKSMLIPIMVDDKRAGEASRKGFYIYDGKRKASPDPEIKKYIEKSRSMAGSAPDPEIMKLSDKDIVEMIFFPVVNEATRVLDEGIAVKSSDLDIASIMGMGFPAYRGGVVFWADSLGAKYIHSRLEAWTKKYGNFFKPSAYLAERAAKGLSLSAPASQSKSQSRL >DRNTG_25886.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19729785:19731168:-1 gene:DRNTG_25886 transcript:DRNTG_25886.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Floral homeotic protein PISTILLATA [Source:Projected from Arabidopsis thaliana (AT5G20240) UniProtKB/Swiss-Prot;Acc:P48007] MGRGKIEIKRIENSTNRQVTFSKRRNGIIKKAREISVLCDAQVSVVIFSSSGKMSEYCSPSTSLSKMLEKYQQNSGRRLWDAKHENLSAEIDRVKKENDNMQIELRHLKGEDLNSLNPKELIPIEEALQNGLTNVRDKQMDYLKMLKKNESLLEEENKRLSYILHHQQMAMNGNVRDMELGYHQKDRDYPSQMPMAFRVQPIQPNLQEDKQ >DRNTG_09645.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:939950:941649:-1 gene:DRNTG_09645 transcript:DRNTG_09645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPSAQLIDMELDVTDDSKDADALAV >DRNTG_32309.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3368421:3372192:1 gene:DRNTG_32309 transcript:DRNTG_32309.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyribodipyrimidine photo-lyase [Source:Projected from Arabidopsis thaliana (AT1G12370) UniProtKB/Swiss-Prot;Acc:Q9SB00] MANVHPLQQGLVHGGRIRVLKPSSSSSNGPVVYWMFRDQRASDNWALLHAADLAARSSAPLAVVFNLFHRFLDAHARQLGFMIRGLRLLSLRLQSLGIPFLLLRGDAVDTIPDLLYRCGASYLVTDFSPLRQVRAWKDDICSRVGPGVAVHEVDAHNVVPLWVASQKLEYGARTIRGKIHKLLPEYLVGFPELPVLENKWKAWDPPEIDWDDLLADVLRRGEDVPEIRWCEPGEAGAMEVLKGSKGGFLTKRLKNYESDRNDPLKPKGLSGLSPYLHFGQISAQRCALEAQALRKSQSKSVNTFLEELIVRRELADNFCYYQPHYDSLQGAWEWAQKTLMDHAGDKREHIYTKEQLEKAKTADPLWNAAQLEMVHYGKMHGFMRMYWAKKILEWTTGPEEALSVAIYLNDKYEIDGRDPNGYVGCMWSICGIHDQGWKERPVFGKIRYMNYAGCKRKFDVDGYISYINKLVFETKKRKSEVISAPIANHVQKVMKSRP >DRNTG_32309.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3371691:3372192:1 gene:DRNTG_32309 transcript:DRNTG_32309.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyribodipyrimidine photo-lyase [Source:Projected from Arabidopsis thaliana (AT1G12370) UniProtKB/Swiss-Prot;Acc:Q9SB00] LQGWKERPVFGKIRYMNYAGCKRKFDVDGYISYINKLVFETKKRKSEVISAPIANHVQKVMKSRP >DRNTG_32309.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3368421:3369683:1 gene:DRNTG_32309 transcript:DRNTG_32309.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyribodipyrimidine photo-lyase [Source:Projected from Arabidopsis thaliana (AT1G12370) UniProtKB/Swiss-Prot;Acc:Q9SB00] MANVHPLQQGLVHGGRIRVLKPSSSSSNGPVVYWMFRDQRASDNWALLHAADLAARSSAPLAVVFNLFHRFLDAHARQLGFMIRGLRLLSLRLQSLGIPFLLLRGDAVDTIPDLLYRCGASYLVTDFSPLRQVRAWKDDICSRVGPGVAVHEVDAHNVVPLWVASQKLEYGARTIRGKIHKLLPEYLVGFPELPVLENKWKAWDPPEIDWDDLLADVLRRGEDVPEIRWCEPGEAGAMEVLKGSKGGFLTKRLKNYESDRNDPLKPKGLSGLSPYLHFGQISAQRCALEAQALRKSQSKVSFLDFSRDLSLMICFIQLCNEPFPA >DRNTG_02336.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1539076:1541267:-1 gene:DRNTG_02336 transcript:DRNTG_02336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLHLQLLLLFTAASSTAVASDDARCLRGVRDAFSTSSTSPFSWNFANQSAGFVCSFSGVTCWNDQENRVLTLSLPSMSLSGSIPSDLQYCSSLQNLDLSGNSISGPLPSSLCDWLPYLVTLDLSSNSLSGQIPSELSNCRFLNTLLLSDNRLSGPIPLSLSRLERLKRLSLSGNQLSGTIPSSLSHFDSSSFDGNPSLCGHPLRSCGRSLTRTGLIIIIASGVLGAAASLLLAYAVWRWCFSPSSSRLKRRAAAAGEDGRLWADRLRASQHRLAPVSLFQKPIVKVKLADLMSATNDFRNDHIVVAGSSRTGTSYKAVLRDGSALTVKRLNGCVLPEKQFRAEMSRLGQLRHPNLVPLLGFCIVEEERLLVYKNMPGGALSTLLRSGNGDLDWPTRLKIGIGTARGLAWLHHGFEIPYLHQNLSSSAVLLDEDYDPRLTDFCLARLVKTSTNSNNSNTNTNTSPFMNGDFGDFGYVAPEYATTPVATMKGDVYAFGVVLLELATGQKPTEISTDAAGEVFKGSLVDWVNQLANAGQINDAIDRSIRGKGYDDEIVQCLIIACSCVVARLTERPSMYKVYNSLKSVGKGRETDEFDEFPLNFGKDDAEA >DRNTG_05581.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22069196:22073130:1 gene:DRNTG_05581 transcript:DRNTG_05581.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWRRSGPESSTNPLGFLSWRFASALSAGQPLPESYSPPADEPWTTGIFGCADDMDSCWTGLFCPCVLFGRNVERIREDIPWTRPCVCHAICVEGGVALAAATALIHGIEPRTAFLIGEGLLFTWWMCGIYTGIFRQQLQKKYHLKNSPCDPCMVHCCMHWCANCQEHREMKNRLSENAIMPMTSRQSTACAGDEHE >DRNTG_05581.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22069196:22073130:1 gene:DRNTG_05581 transcript:DRNTG_05581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWRRSGPESSTNPLGFLSWRFASALSAGQPLPESYSPPADEPWTTGIFGCADDMDSCWTGLFCPCVLFGRNVERIREDIPWTRPCVCHAICVEGGVALAAATALIHGIEPRTAFLIGEGLLFTWWMCGIYTGIFRQQLQKKYHLKNSPCDPCMVHCCMHWCANCQEHREMKNRLSENAIMPMTSRQSTACAGDEHE >DRNTG_13782.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13250752:13254121:1 gene:DRNTG_13782 transcript:DRNTG_13782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGLAGIIAAARDPSRGVFFMGCGSHGRHAPVRLASLFLLRAFGHEFHGLHAPAWVPVRFAGNSKYSVTVANTVAEYCITGMFSGHLASDEGSRPSSRRPWEAFPPSLACLPTSIV >DRNTG_00563.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30101093:30104446:1 gene:DRNTG_00563 transcript:DRNTG_00563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGILGFDYGIVQAPLGPDISGPELVAAVANAGAVGLLRAPDWEAPDYLRELIRKTRTLTSKPFGVGVVLAFPHKENMKVILEEKVAMVQVAWGEYPRELVCEAHEAGVKVVHQIGHFEEAEKAKNAGVDAIIVQGHEAGGHVIGLDGLVSLVPRVVDLVSSCGIPVIAAGGIVDARGYAAALALGAHGICMGTRFVATLESFAHPLYKQSLVELDETKYTNIFGRSRWPVPHRVLQTSFFTENGQLPDHENEDNKPIIGHAVINGIEKDIRLFAGTVPNARTTGDVERMVMYAGEGVGLIKDIVPAGEVVKRLVEETKHLIQQLLNA >DRNTG_04931.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8468374:8475625:1 gene:DRNTG_04931 transcript:DRNTG_04931.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLQHWARLEIQRRGAQDLATAITIAESLIEFKKPEKPKSFKDKGYKGKSGGETKKENFSKFSKPKEARPEGKERPPLKCYFCDGPHFARNCPNKSKISALVEEKESAHEEKKMGSLQILDAIKAKVETKGEKKGRLFVEANVKGQVVRALVDTGASNNFLEVKEAERLGVTYKSEHGWLKAVNSEAKSIFGVARGVEVRLGEWRSQVDFSIVPMDDYSMVLGMDFMDSVKAVPIPFANTMCIVGEGSPSMIPLAREVSLQAKQISALQLQKGLKKKSCSTFLAVLKEEAGEKTCEVPKEIIPVLEKFKDIMPPELPKKLPPKREVDHKIELEHGSTPPAAVPYRMAPPELEELRRQLKELLDAGYIRPSKAPYGAPVLFQKKHDGSLRMCIDYRALNKLTVKNKYPIPLIGDLFDRLGDARWFTKLDLRSGYYQVRIAEEDVPKTACVTRYGSYEFLVMPFGLTNAPATFCTLMNHVFHPFLDKFVVVYLDDIVVYSKTLDEHIQHLQQVFQVLRENELFVKREKCEFVRPEVSFLGHVVGHGKIKMDMAKIQAIESWEAPKRATELRSFLGFVNYYRKFIRGHSSIASPLTNLLKKNKAWEWNVACQEAFMKLKQAVMEEPVLALPDHAKPFEVQTDASDYAIGGVLMQEGHP >DRNTG_28721.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8249245:8250627:-1 gene:DRNTG_28721 transcript:DRNTG_28721.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SOMBRERO [Source:Projected from Arabidopsis thaliana (AT1G79580) UniProtKB/Swiss-Prot;Acc:Q9MA17] MNPVNGQITVPPGFRFHPTDEELLYYYLRKKVAYEAIDLDVIRDVDLNKLEPWDLKDKCRIGSGPQNEYYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIHLSNSKRIGMRKTLVFYTGRAPHGQKTDWIMHEYRLDETNSEVQEDGWVVCRVFKKKNNQRGIPPEQILEDHELVNVRASSSAPVDEKHLYHQMQYEHSLIDNSMHLPQLLSSEQSAPPPFMPPSSLSSLDLECSQNLMKLMTSNGEVLVPQERFNGSDWSILDKLLGNIPPTQLMHMGSSSSSTSSSSAPAHRFPLQYLGCETDLMKFTK >DRNTG_28721.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8249245:8250896:-1 gene:DRNTG_28721 transcript:DRNTG_28721.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SOMBRERO [Source:Projected from Arabidopsis thaliana (AT1G79580) UniProtKB/Swiss-Prot;Acc:Q9MA17] MNPVNGQITVPPGFRFHPTDEELLYYYLRKKVAYEAIDLDVIRDVDLNKLEPWDLKDKCRIGSGPQNEYYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIHLSNSKRIGMRKTLVFYTGRAPHGQKTDWIMHEYRLDETNSEVQEDGWVVCRVFKKKNNQRGIPPEQILEDHELVNVRASSSAPVDEKHLYHQMQYEHSLIDNSMHLPQLLSSEQSAPPPFMPPSSLSSLDLECSQNLMKLMTSNGEVLVPQERFNGSDWSILDKLLGNIPPTQLMHMGSSSSSTSSSSAPAHRFPLQYLGCETDLMKFTK >DRNTG_16330.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18928446:18929552:1 gene:DRNTG_16330 transcript:DRNTG_16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLKIKQKQNKKQKNKKQTETMPNDLYRVNFLEGHPPFIFLQIGYPALI >DRNTG_06630.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18884177:18894120:1 gene:DRNTG_06630 transcript:DRNTG_06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCIKSPLPNSGMEHKEARVSAMRSAISETFPEPNRRLLQRIMKMMHTIAAHTSDNRMTPSAVAACMAPLLLRPLLAGECELDDDFDVNGDNSAQLLAAANAANNAQAIITIILEEYDNIFT >DRNTG_06630.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18884895:18894120:1 gene:DRNTG_06630 transcript:DRNTG_06630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKEARVSAMRSAISETFPEPNRRLLQRIMKMMHTIAAHTSDNRMTPSAVAACMAPLLLRPLLAGECELDDDFDVNGDNSAQLLAAANAANNAQAIITIILEEYDNIFT >DRNTG_33920.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14169762:14170688:1 gene:DRNTG_33920 transcript:DRNTG_33920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIFKQVKEVENLMQKLSKQLQRLQEANEEPKTVTQASTMKAIKQRMEKDVDNGLKVARCIKAKLEDIDKDVDFMAQSVNC >DRNTG_12400.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12376543:12377994:-1 gene:DRNTG_12400 transcript:DRNTG_12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGTRSRRGSLASSMVYTLVDLLFYVHKSECSNVTTFVPLQMVVPTRIRGGKSRNKDTLTLSLSLSLNDDKELQYRTNDASSSPMNAKFSSSLDDEAFLLKFKPMITSKPQAKLSNDVFVLLLLHP >DRNTG_21139.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2391428:2396114:-1 gene:DRNTG_21139 transcript:DRNTG_21139.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLPLSSSFTPKCPLTPHLPPRSSTSSSVRVLCSHSSKPSLLTLLDFIPNWSDEVQERGLRRRRNLYTPDDWRAHRSSRRHLRHLISSLSSRVILSLVPPVLSFTAIAAALAAYNSAVAAGWLPAWFPLLHASSLPYQLTAPALALLLVFRTEASYARFQEGRNAWMKVMAGADELAGMVISMGARDGGVDAGLRRALLNYIMAFPVALKVF >DRNTG_21139.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2391428:2396114:-1 gene:DRNTG_21139 transcript:DRNTG_21139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLPLSSSFTPKCPLTPHLPPRSSTSSSVRVLCSHSSKPSLLTLLDFIPNWSDEVQERGLRRRRNLYTPDDWRAHRSSRRHLRHLISSLSSRVILSLVPPVLSFTAIAAALAAYNSAVAAGWLPAWFPLLHASSLPYQLTAPALALLLVFRTEASYARFQEGRNAWMKVMAGADELAGMVISMGARDGGVDAGLRRALLNYIMAFPVALKCHVVNSSDVKADLQNCLEEDDLAIVVRSKHRPRCIVEFILQSLEIMHLEEAKWQILESKLHSFHEGIGVCDQIMGIPIPLSYTRLTSRFLVLWHLSLPVILWDDCNWIVVPATFISAASLFCIEEVGVLIEEPFPMLALDEQCKQLHDRIKESMAMEKLIHKQILTKMDRHLDERQVNGWPHL >DRNTG_27201.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:765206:767558:-1 gene:DRNTG_27201 transcript:DRNTG_27201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDEIVDPKKYLEDRCQPKCVKALFEYQACVKRVQDDETGHKHCTGQYFDYWSCIDKCVATKLFEKMR >DRNTG_19303.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:605108:618960:1 gene:DRNTG_19303 transcript:DRNTG_19303.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRSGIEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGRISGAAIRTYLLERSRVCQINDPERNYHCFYLLCAAPPEDIEKYKLGNPKSFHYLNQSNCYELDDVNDSHEYLATRRAMDIVGISEQEQEAIFRVVAAILHIGNIDFAKGKEIDSSVIKDEKSRFHLNMTAELLKCDGQGLEDALIKRVMVTPEEVITRTLDPSSAIVSRDGLAKTLYSRLFDWLVNKINVSIGQDPNSKSLIGVLDIYGFESFKCNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFVDNQDVLDLIEKKPGGIISLLDEACMFPKSTHETFAQKLYTTFKNNKRFAKPKLSRTDFTICHYAGDVTYQADQFLDKNKDYVVAEHQDLLNASKCPFVSGLFPPLPEETSKSSKFSSIGSRFKLQLQSLMDTLNTTEPHYIRCVKPNNVLKPAIFENSNIIQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGVLAPEILEGNYDDKVACQKILDKKGLLGYQIGKTKVFLRAGQMAELDARRAEVLGRAARTIQRQIRTHIARKEFIKMRKAAILLQSHWRGRLARKLYEHMRREAAAVKIQKNERRYFARKSYTTLRSSAIALQTGLRAMTARDEFRFRKRTKAAIIIQAYWRCHRDYSYYKNLQKATLTYQCAWRQRIARRELRQLKMAARETGALKEAKDKLEKRVEELTWRLSLEKRLRTDLEEAKAQEIAKLQETLHELQLQVEEANSLLIKEREAARKAIEDAPPVIKETPVLVQDTEKIDSLTAEVEQLKTSLISEREATEEAKKVYAEAQGKNSELVKKLEDAETRADQLQDSAQRLEEKISNLESENQVLRQQALAISPTARALSARPKSTIIQRTPDNGNVASNETKLALDLSPALPNPKELESEEKPQKSLNEKQQENQDLLIKCISQDLGFSGGRPIAACLIYKSLLHWRSFEVERTSVFDRIIQTIGSAIETQDNNDALSYWLSNSATLLLLLQRTLKASGAASLTPQRRRTSSASLFGRMSQGMRASPQSAGLPFLNGRMISGFSDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGSRSQANAMAQQALIAHWQSIVKSLTNYLKTMRANFVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELERWCYDATEEYAGSAWEELKHIRQAVGFLVIHQKPKKTLKEITNDLCPVLSIQQLYRISTMYWDDKYGTHSVSSDVIASMRVMMTEDSNNAVSSSFLLDDDSSIPFSVDDISKSLTQIEISDIDPPPLLRENSGFVFLLQRTE >DRNTG_19303.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:605108:618960:1 gene:DRNTG_19303 transcript:DRNTG_19303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPDNIVLGSHVWVEDPSLAWIDGEVFRINDQEVHVRATNGKTVVAKLSKVFPKDTEAPPGGVDDMTKLSYLHEPGVLQNLATRYELNEIYTYTGNILIAINPFQRLPHLYDTHMMEQYKGAGFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRSGIEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGRISGAAIRTYLLERSRVCQINDPERNYHCFYLLCAAPPEDIEKYKLGNPKSFHYLNQSNCYELDDVNDSHEYLATRRAMDIVGISEQEQEAIFRVVAAILHIGNIDFAKGKEIDSSVIKDEKSRFHLNMTAELLKCDGQGLEDALIKRVMVTPEEVITRTLDPSSAIVSRDGLAKTLYSRLFDWLVNKINVSIGQDPNSKSLIGVLDIYGFESFKCNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFVDNQDVLDLIEKKPGGIISLLDEACMFPKSTHETFAQKLYTTFKNNKRFAKPKLSRTDFTICHYAGDVTYQADQFLDKNKDYVVAEHQDLLNASKCPFVSGLFPPLPEETSKSSKFSSIGSRFKLQLQSLMDTLNTTEPHYIRCVKPNNVLKPAIFENSNIIQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGVLAPEILEGNYDDKVACQKILDKKGLLGYQIGKTKVFLRAGQMAELDARRAEVLGRAARTIQRQIRTHIARKEFIKMRKAAILLQSHWRGRLARKLYEHMRREAAAVKIQKNERRYFARKSYTTLRSSAIALQTGLRAMTARDEFRFRKRTKAAIIIQAYWRCHRDYSYYKNLQKATLTYQCAWRQRIARRELRQLKMAARETGALKEAKDKLEKRVEELTWRLSLEKRLRTDLEEAKAQEIAKLQETLHELQLQVEEANSLLIKEREAARKAIEDAPPVIKETPVLVQDTEKIDSLTAEVEQLKTSLISEREATEEAKKVYAEAQGKNSELVKKLEDAETRADQLQDSAQRLEEKISNLESENQVLRQQALAISPTARALSARPKSTIIQRTPDNGNVASNETKLALDLSPALPNPKELESEEKPQKSLNEKQQENQDLLIKCISQDLGFSGGRPIAACLIYKSLLHWRSFEVERTSVFDRIIQTIGSAIETQDNNDALSYWLSNSATLLLLLQRTLKASGAASLTPQRRRTSSASLFGRMSQGMRASPQSAGLPFLNGRMISGFSDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGSRSQANAMAQQALIAHWQSIVKSLTNYLKTMRANFVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELERWCYDATEEYAGSAWEELKHIRQAVGFLVIHQKPKKTLKEITNDLCPVLSIQQLYRISTMYWDDKYGTHSVSSDVIASMRVMMTEDSNNAVSSSFLLDDDSSIPFSVDDISKSLTQIEISDIDPPPLLRENSGFVFLLQRTE >DRNTG_20941.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28147626:28149038:-1 gene:DRNTG_20941 transcript:DRNTG_20941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHYVFIWSVVKRRISQVRLLRLLGSHATSTWLSMLERMRFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPLGTCARVSIGQVLLSVRCKDSNGHHAQEALRRAKFKFPGRQKIIVSRKWGFTKFNRADYLKWKSENRIIPDGVNAKLLRSHERLSDRQPGRAFLPETISQN >DRNTG_34757.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:8502312:8505714:1 gene:DRNTG_34757 transcript:DRNTG_34757.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESCSSSFHVAFLAVPFGSHASVLFSLARHLATSLPSGTFSFFSTARSNSGLVRYNNLWPTNLRSYDVHDGLPDGALQLSPLEEIDLFLGLVPGNFSERMMAVEEESGRITCVVSDGFWGFAGDLAVERGALWVSYWNSAPTALLAHLCTDLLRQSAGTEGDRARAQEALDSIPGFSSLCIEDLPEGIVLSDTTSSLALQLHHMSQMITNAAAVVLNSFEGLDPTLDTQFQTKFKRCHNIMPFSLLSSKLSEVNDKHCCLAWLDSQVAGSVVYISFGSSALPPKEELVEIAEGLQASGTPFLWSLKEIAWGHLPDDFLDYVASRGLIVPWAPQPQVLEHSAVGAFLTHSGWNSVLESITTGVPMILRPFFGDQHFKCEGRFRCLENWSGF >DRNTG_34757.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:8502243:8505660:1 gene:DRNTG_34757 transcript:DRNTG_34757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCSSSFHVAFLAVPFGSHASVLFSLARHLATSLPSGTFSFFSTARSNSGLVRYNNLWPTNLRSYDVHDGLPDGALQLSPLEEIDLFLGLVPGNFSERMMAVEEESGRITCVVSDGFWGFAGDLAVERGALWVSYWNSAPTALLAHLCTDLLRQSAGTEGDRARAQEALDSIPGFSSLCIEDLPEGIVLSDTTSSLALQLHHMSQMITNAAAVVLNSFEGLDPTLDTQFQTKFKRCHNIMPFSLLSSKLSEVNDKHCCLAWLDSQVAGSVVYISFGSSALPPKEELVEIAEGLQASGTPFLWSLKEIAWGHLPDDFLDYVASRGLIVPWAPQPQVLEHSAVGAFLTHSGWNSVLESITTGVPMILRPFFGDQHFKCEGRFRCLENWSGF >DRNTG_34757.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:8502312:8505660:1 gene:DRNTG_34757 transcript:DRNTG_34757.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESCSSSFHVAFLAVPFGSHASVLFSLARHLATSLPSGTFSFFSTARSNSGLVRYNNLWPTNLRSYDVHDGLPDGALQLSPLEEIDLFLGLVPGNFSERMMAVEEESGRITCVVSDGFWGFAGDLAVERGALWVSYWNSAPTALLAHLCTDLLRQSAGTEGDRARAQEALDSIPGFSSLCIEDLPEGIVLSDTTSSLALQLHHMSQMITNAAAVVLNSFEGLDPTLDTQFQTKFKRCHNIMPFSLLSSKLSEVNDKHCCLAWLDSQVAGSVVYISFGSSALPPKEELVEIAEGLQASGTPFLWSLKEIAWGHLPDDFLDYVASRGLIVPWAPQPQVLEHSAVGAFLTHSGWNSVLESITTGVPMILRPFFGDQHFKCEGRFRCLENWSGF >DRNTG_03905.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14658282:14660510:1 gene:DRNTG_03905 transcript:DRNTG_03905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAMSLLLLLPLLSSSSSPFFPLKLLFSETVHLSADEMDEVKTRTTVNTGKLGGSGTNFNVGHDGGGVNDGLKYVYVGSAT >DRNTG_04560.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:7348537:7351074:-1 gene:DRNTG_04560 transcript:DRNTG_04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASHCQVLCLFFSISICFFLHFNFGAATSLLEHKPAENSSVSAAVSTKTGLEFYDGRQAQEGEAIDVEKWNYGTKTIVCAALIGATLLIFAVSAVVLCLRCRSKIPKSSQKHRTAILSRVADKVSFDSGPELFYMNSLSQLLDNKSSVQKMNGSRNVLLKPVKYETLPCSERNVSHSPSFSPFSSSNRSISPDLDLEKQSFSMSSPCENKGVSCADVNEESHSSSVPLFCNGNGGRIPKPPQPPLPPVPLARVQYCRPVSKEGSPLPRLKPLHWDKVRPASSHSTVWDNIRSKSFEFDEEMIELLFGYNGKCLTRNEEVRAKNPSPGLSILELKRLQNITILLKALNATIDDVHHALMLGTGLCVEQLEVLLKVTPTKIEEEKLVNYEGDINNLASSEKFLKAMLEIPLAFLRIKVMLYKQNFDEDVCHLKETFRMLEDACKELRTSRHFLKLLKAVLKAGNRMNVGTMRGGATAFKLDCLLRLADIKGTDGKITLLHFVVQEMIRAENVTNNDAEECSQMMAADLMSILNSELQSVKKSANLDLDDLANAVLNLSNVMNEMKHLLQEDLCMYEDAWKFVNSMKPFLDHAEVVIKELKDSKECALVGVREITEYYHGDVGKNEVNSLQIFVIIRDFLELLDRECKS >DRNTG_04560.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:7348537:7349545:-1 gene:DRNTG_04560 transcript:DRNTG_04560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIPLAFLRIKVMLYKQNFDEDVCHLKETFRMLEDACKELRTSRHFLKLLKAVLKAGNRMNVGTMRGGATAFKLDCLLRLADIKGTDGKITLLHFVVQEMIRAENVTNNDAEECSQMMAADLMSILNSELQSVKKSANLDLDDLANAVLNLSNVMNEMKHLLQEDLCMYEDAWKFVNSMKPFLDHAEVVIKELKDSKECALVGVREITEYYHGDVGKNEVNSLQIFVIIRDFLELLDRECKS >DRNTG_04560.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:7348537:7349233:-1 gene:DRNTG_04560 transcript:DRNTG_04560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGTMRGGATAFKLDCLLRLADIKGTDGKITLLHFVVQEMIRAENVTNNDAEECSQMMAADLMSILNSELQSVKKSANLDLDDLANAVLNLSNVMNEMKHLLQEDLCMYEDAWKFVNSMKPFLDHAEVVIKELKDSKECALVGVREITEYYHGDVGKNEVNSLQIFVIIRDFLELLDRECKS >DRNTG_31897.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11263368:11267495:-1 gene:DRNTG_31897 transcript:DRNTG_31897.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFLARCLINQGNKLVARLESIDHVEWLTKEENLHIIALLFKLLKKHGLHVSEGKLALRCGFPGRDFNFQMAAIAWYGPLIDLSDAASHLDDFVQLIVFVHRSQPILVLP >DRNTG_20419.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29255457:29258006:-1 gene:DRNTG_20419 transcript:DRNTG_20419.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDWINSYLEAILDSGPGIGADKKSLLLRERGRFSPTRYFVEEVITGFDETDLYKSWVRAASTRGPQERNTRLENMCWRIWNLARKKKQIESEEAQQISKRRLERERARRDATADMSEDLSEGEKGDHVADLSSRGGSTKGKLSRVSSVDAIEAWASQHKDKKLYIVLIRHETYLAAGTFYMEF >DRNTG_20419.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29250227:29258006:-1 gene:DRNTG_20419 transcript:DRNTG_20419.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVYRVDLLTRQILAPDVDWSYGEPTEMLAPRNPESLVHELGESSGAYIVRIPFGPKDKYIPKELLWPHIQEFVDGALSHILQMSKVLGEQIGGGEPVWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKLDQLLRQGRQTREEINATYKIMRRIEAEELALDSSDIIITSTRQEIDEQWQLYDGFDVILDRKLRARIKRGVSCHGRFMPRMCIIPPGMEFHHIVVHDTDMDDDVEGNEESPATPDPPIWFEIMRFFSNPRKPMILALARPDPKKNLITLVKAFGECRPLRELANLTLIMGNREDIDEMSTTNASMLTSILKMIDKYDLYGQVAYPKHHKQSDVPEIYRLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPIVATKNGGPVDIHRVLDNGLLVDPHDQHAIADALLKLVADKNLWAQCRQNGLKNIHLFSWPEHCKTYLSRIATCRPRQPRWRRSYDLFENSESESPGDSLRDIQDLSLNLKLSVDGEKAEDSGSLGNTLDIMNKIDFSKKIEEKSNASKLPILKEEKTHLCHCCRHRH >DRNTG_20419.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29250227:29255058:-1 gene:DRNTG_20419 transcript:DRNTG_20419.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELGRDSDTGGQVKYVVELARALAAMPGVYRVDLLTRQILAPDVDWSYGEPTEMLAPRNPESLVHELGESSGAYIVRIPFGPKDKYIPKELLWPHIQEFVDGALSHILQMSKVLGEQIGGGEPVWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKLDQLLRQGRQTREEINATYKIMRRIEAEELALDSSDIIITSTRQEIDEQWQLYDGFDVILDRKLRARIKRGVSCHGRFMPRMCIIPPGMEFHHIVVHDTDMDDDVEGNEESPATPDPPIWFEIMRFFSNPRKPMILALARPDPKKNLITLVKAFGECRPLRELANLTLIMGNREDIDEMSTTNASMLTSILKMIDKYDLYGQVAYPKHHKQSDVPEIYRLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPIVATKNGGPVDIHRVLDNGLLVDPHDQHAIADALLKLVADKNLWAQCRQNGLKNIHLFSWPEHCKTYLSRIATCRPRQPRWRRSYDLFENSESESPGDSLRDIQDLSLNLKLSVDGEKAEDSGSLGNTLDIMNKIDFSKKIEEKSNASKLPILKEEKTHLCHCCRHRH >DRNTG_20419.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29250227:29258006:-1 gene:DRNTG_20419 transcript:DRNTG_20419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDWINSYLEAILDSGPGIGADKKSLLLRERGRFSPTRYFVEEVITGFDETDLYKSWVRAASTRGPQERNTRLENMCWRIWNLARKKKQIESEEAQQISKRRLERERARRDATADMSEDLSEGEKGDHVADLSSRGGSTKGKLSRVSSVDAIEAWASQHKDKKLYIVLISIHGLIRGENMELGRDSDTGGQVKYVVELARALAAMPGVYRVDLLTRQILAPDVDWSYGEPTEMLAPRNPESLVHELGESSGAYIVRIPFGPKDKYIPKELLWPHIQEFVDGALSHILQMSKVLGEQIGGGEPVWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKLDQLLRQGRQTREEINATYKIMRRIEAEELALDSSDIIITSTRQEIDEQWQLYDGFDVILDRKLRARIKRGVSCHGRFMPRMCIIPPGMEFHHIVVHDTDMDDDVEGNEESPATPDPPIWFEIMRFFSNPRKPMILALARPDPKKNLITLVKAFGECRPLRELANLTLIMGNREDIDEMSTTNASMLTSILKMIDKYDLYGQVAYPKHHKQSDVPEIYRLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPIVATKNGGPVDIHRVLDNGLLVDPHDQHAIADALLKLVADKNLWAQCRQNGLKNIHLFSWPEHCKTYLSRIATCRPRQPRWRRSYDLFENSESESPGDSLRDIQDLSLNLKLSVDGEKAEDSGSLGNTLDIMNKIDFSKKIEEKSNASKLPILKEEKTHLCHCCRHRH >DRNTG_20419.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29250227:29255058:-1 gene:DRNTG_20419 transcript:DRNTG_20419.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVYRVDLLTRQILAPDVDWSYGEPTEMLAPRNPESLVHELGESSGAYIVRIPFGPKDKYIPKELLWPHIQEFVDGALSHILQMSKVLGEQIGGGEPVWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKLDQLLRQGRQTREEINATYKIMRRIEAEELALDSSDIIITSTRQEIDEQWQLYDGFDVILDRKLRARIKRGVSCHGRFMPRMCIIPPGMEFHHIVVHDTDMDDDVEGNEESPATPDPPIWFEIMRFFSNPRKPMILALARPDPKKNLITLVKAFGECRPLRELANLTLIMGNREDIDEMSTTNASMLTSILKMIDKYDLYGQVAYPKHHKQSDVPEIYRLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPIVATKNGGPVDIHRVLDNGLLVDPHDQHAIADALLKLVADKNLWAQCRQNGLKNIHLFSWPEHCKTYLSRIATCRPRQPRWRRSYDLFENSESESPGDSLRDIQDLSLNLKLSVDGEKAEDSGSLGNTLDIMNKIDFSKKIEEKSNASKLPILKEEKTHLCHCCRHRH >DRNTG_34942.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2319027:2321381:-1 gene:DRNTG_34942 transcript:DRNTG_34942.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLAPLGGPNWEVKLGRRDSKTASLSKANTNLPSPASNLSRLISDFKSQGLSFKDMVALSGGHTIGKARCISFRGHIYNDKNINNNFAKERQAKCPKQAGSGDFNLAPLDLQTPNLFDNKYYKNLINHKGLLHSDQVLFNNGPADSLVKTYASSTTTFFSHFVTAMIKMGDIKPLTGSKGEIRKNCRKIN >DRNTG_13592.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:720163:721856:1 gene:DRNTG_13592 transcript:DRNTG_13592.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTISTLRSSLRAANSHRTCLQHLARALSTTPSGTDDLTKKTQNKAEKKPKGNTSTPPNLKTRELPPPSDPSLQQRRRCHVSKEALDGVSCVGFDGGIITGGDWKEDFKEYYEDHKPSPLSEIEIVDTRKPITKAIDERWEEGVGPAMVEDTVDAALERAERLFREAAERGDPEAPQSKALARMIAMRERNHSDGFCRLI >DRNTG_13592.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:721053:721856:1 gene:DRNTG_13592 transcript:DRNTG_13592.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRREWGRRWWRIRWMRRWSGRRGCSERRRREEIRRRRNRRRLRE >DRNTG_09352.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:24667976:24670338:1 gene:DRNTG_09352 transcript:DRNTG_09352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTLFVILSLLSILFCSISSIHTRLESEIKLLYEGWLVVHHKNNYYDLREKEKRYEIFKDNLRYIDEHNAGNHSFQLGLNVFADLTLDEYHNLYLSPQSETWNKTLKVSNRYKVTKKDKLPDSIDWRDKGAVTSVKHQGGCNSCWAFAVAATIEGINQIVTGELVSLSPQELVDCFNMGCSAGYRHLAYEFIVKNGGIHTEQDYPYTGINTTCDEEQRKGKAVTIDGYKIVPVRDEKALQKAVVNQPISAGVDGYSQAFQLYQSGIFKDFCTTFLNHAITIIGYDSENGIDYWSVKNSWGNQWGEAGYIRIMRNVNTPEGKCGIANWPLYPTKKGKPLGVTSKDTSNRSSS >DRNTG_25996.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1005329:1007148:-1 gene:DRNTG_25996 transcript:DRNTG_25996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRMKGRPGRWRFEQSSSIARREGTERTGDGER >DRNTG_09599.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2590154:2596562:-1 gene:DRNTG_09599 transcript:DRNTG_09599.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVEDIASDYSHSQSQRSTLRTQQSIPSMPPEVMSKLEFLEKAYEELKQQN >DRNTG_24942.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21347513:21351351:1 gene:DRNTG_24942 transcript:DRNTG_24942.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEAWATLKHAIEAYTGLSAATFFTVLALAVAIYYAVSGFFGEPAVVSDKREVEAEELEPLPPPVQIGEVTEEELRAYDGSDPKKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEEKDLTGDISGLGPFELDALQDWEYKFMSKYVKVGTIKKPDFEKDVPTVDASEATAQTAEGSSLNTTVTADDLEERKEVVAGEEAKE >DRNTG_24942.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21347513:21351985:1 gene:DRNTG_24942 transcript:DRNTG_24942.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEAWATLKHAIEAYTGLSAATFFTVLALAVAIYYAVSGFFGEPAVVSDKREVEAEELEPLPPPVQIGEVTEEELRAYDGSDPKKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEEKDLTGDISGLGPFELDALQDWEYKFMSKYVKVGTIKKPDFEKDVPTVDASEATAQTAEGSSLNTTVTADDLEERKEVVAGEEAKE >DRNTG_24942.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21347513:21351985:1 gene:DRNTG_24942 transcript:DRNTG_24942.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEAWATLKHAIEAYTGLSAATFFTVLALAVAIYYAVSGFFGEPAVVSDKREVEAEELEPLPPPVQIGEVTEEELRAYDGSDPKKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEEKDLTGDISGLGPFELDALQDWEYKFMSKYVKVGTIKKPDFEKDVPTVDASEATAQTAEGSSLNTTVTADDLEERKEVVAGEEAKE >DRNTG_24942.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21347513:21350071:1 gene:DRNTG_24942 transcript:DRNTG_24942.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEAWATLKHAIEAYTGLSAATFFTVLALAVAIYYAVSGFFGEPAVVSDKREVEAEELEPLPPPVQIGEVTEEELRAYDGSDPKKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEEKDLTGDISGLGPFELDALQDWEYKFMSKYVKVGTIKKPDFEKDVPTVDASEATAQTAEGSSLNTTVTADDLEERKEVVAGEEAKE >DRNTG_24942.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21347462:21350071:1 gene:DRNTG_24942 transcript:DRNTG_24942.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLLLSASSKTKPSNFAPERETGSMAVAEAWATLKHAIEAYTGLSAATFFTVLALAVAIYYAVSGFFGEPAVVSDKREVEAEELEPLPPPVQIGEVTEEELRAYDGSDPKKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEEKDLTGDISGLGPFELDALQDWEYKFMSKYVKVGTIKKPDFEKDVPTVDASEATAQTAEGSSLNTTVTADDLEERKEVVAGEEAKE >DRNTG_24942.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21347513:21351891:1 gene:DRNTG_24942 transcript:DRNTG_24942.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEAWATLKHAIEAYTGLSAATFFTVLALAVAIYYAVSGFFGEPAVVSDKREVEAEELEPLPPPVQIGEVTEEELRAYDGSDPKKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEEKDLTGDISGLGPFELDALQDWEYKFMSKYVKVGTIKKPDFEKDVPTVDASEATAQTAEGSSLNTTVTADDLEERKEVVAGEEAKE >DRNTG_24942.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21347462:21350178:1 gene:DRNTG_24942 transcript:DRNTG_24942.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLLLSASSKTKPSNFAPERETGSMAVAEAWATLKHAIEAYTGLSAATFFTVLALAVAIYYAVSGFFGEPAVVSDKREVEAEELEPLPPPVQIGEVTEEELRAYDGSDPKKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEEKDLTGDISGLGPFELDALQDWEYKFMSKYVKVGTIKKPDFEKDVPTVDASEATAQTAEGSSLNTTVTADDLEERKEVVAGEEAKE >DRNTG_24942.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21347513:21350178:1 gene:DRNTG_24942 transcript:DRNTG_24942.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEAWATLKHAIEAYTGLSAATFFTVLALAVAIYYAVSGFFGEPAVVSDKREVEAEELEPLPPPVQIGEVTEEELRAYDGSDPKKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEEKDLTGDISGLGPFELDALQDWEYKFMSKYVKVGTIKKPDFEKDVPTVDASEATAQTAEGSSLNTTVTADDLEERKEVVAGEEAKE >DRNTG_15789.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17105352:17105637:-1 gene:DRNTG_15789 transcript:DRNTG_15789.1 gene_biotype:protein_coding transcript_biotype:protein_coding TNRQQVSQGTILSPHDDEPCHQSISTNTPAH >DRNTG_11373.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:6630074:6632150:1 gene:DRNTG_11373 transcript:DRNTG_11373.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNFQQSLQIFLSHPEKTHGRVEFPHAYVAALRAQPEKAQGHAAAPVNDHATVTRPWVISARACEFLQSWADYPESTQGRGLAPVGDLVKHARAWVISTRLCETLQRISLHPEKTQGRVAAPARWACECPRPWGISARVCGTLGIFLGCPEKLQGRAAALWVGLTGMDMAPRVKKNEVKHLRVTPPEPLHLDFSNPEHKTRFERLSALGFVGSWRRLLTISEQAYRALTLEVLASFVFRLMYGRFNTTDAIQFRAFGHPFSMSITEFLIRTGLYDIAYTGTVEYGRLPADFPISVTPHHAYRILCGHGEYEPGLSKATSLSRSSYRYLHTFISRSVSGRADNTSALNKQDLLFLFSMARKVSIHLGCILADILRYQGQSVIVGLLFAGPYITRLILGMGLGDALRRAKRTVVPTPLAFDTIRMMGLVRRCGPVVYILATATPETARSEGDTAEGSPQIPRTPAA >DRNTG_25869.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8998590:9003158:1 gene:DRNTG_25869 transcript:DRNTG_25869.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVSFVQLLSGMNALLFFASDIFKAAGAG >DRNTG_19838.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001073.1:12552:14199:-1 gene:DRNTG_19838 transcript:DRNTG_19838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETYVGPSELHLKKELGALCKARFLRDPETCSSWRSRLSSRSLTAAYNLKRGNAPGTKLTRTDNSQKKVYLYNWRHHSSKSSDRGLKLNDNSRQDSVDE >DRNTG_03207.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000146.1:31819:32436:-1 gene:DRNTG_03207 transcript:DRNTG_03207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGLSGVCIPPRVATIVVTYLARGLYLHLDLPSYCLDLSCLFLPFLVCDADLIDELACTYLDKWDAMT >DRNTG_17524.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28942935:28946376:1 gene:DRNTG_17524 transcript:DRNTG_17524.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSPPLPLSGRCRLSFGPTTPMTVPVVLSSPISVASLGSLRTGERRWVVTHLDANRVLFESYLQRNFFDLVDVDSFGSDSSFLRSSMMALKKGGLLYATSTDGYSSGGTSSSAFLSFIWGICSSDAICQ >DRNTG_17524.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28942935:28946376:1 gene:DRNTG_17524 transcript:DRNTG_17524.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSPPLPLSGRCRLSFGPTTPMTVPVVLSSPISVASLGSLRTGERRWVVTHLDANRVLFESYLQRNFFDLVDVDSFGSDSSFLRSSMMALKKGGLLYATSTDGYSSGGTSSSAFLSFIWGICSSDAICQ >DRNTG_17524.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28945673:28946376:1 gene:DRNTG_17524 transcript:DRNTG_17524.5 gene_biotype:protein_coding transcript_biotype:protein_coding MINALQKEGYAATRSHIAPNAIKTNCPMGSCIQIAKELRHAN >DRNTG_17524.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28942935:28946376:1 gene:DRNTG_17524 transcript:DRNTG_17524.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSPPLPLSGRCRLSFGPTTPMTVPVVLSSPISVASLGSLRTGERRWVVTHLDANRVLFESYLQRNFFDLVDVDSFGSDSSFLRSSMMALKKGGLLYATSTDGYSSGGTSSSAVLLDDEETERFG >DRNTG_17524.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28943654:28946376:1 gene:DRNTG_17524 transcript:DRNTG_17524.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLAEEWGWAYTKQNGIDLQKLLNQMIDESNPLLPFGYIKLDEVASRAKINSPPVSTMINALQKEGYAATRSHIAPNAIKTNCPMGSCIQIAKELRHAN >DRNTG_19974.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:791232:797624:-1 gene:DRNTG_19974 transcript:DRNTG_19974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSIDLCGTHDSGEPKQMHYPDEQENDMKLVTESSLPSDQLCFSSEENECDTNGNSNEERGLMEYGCPHYRRRCHIRAPCCNQIFDCRHCHNEAMNSINVDKVHRHDLPRHQVQQVICSLCGTQQEVRQVCINCGVCMGKYFCATCKLFDDDTSKQQYHCNGCGICRIGGRENFFHCFRCGCCYSVLLKNSHACVEGAMHHDCPVCFEYLFESTNDVSVLPCGHTIHVKCLKEMQQHFQYACPLCSKSVCDMSKVWEKLDIEIAATPMPELYQNKMVRILCNDCATVSEVQFHVVAQKCLNCRSYNTRQM >DRNTG_17594.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7433432:7434203:1 gene:DRNTG_17594 transcript:DRNTG_17594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDRFSLAFSTPPHLPDRSPLRSS >DRNTG_34461.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18210542:18212416:-1 gene:DRNTG_34461 transcript:DRNTG_34461.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTVMSVKALGIALKLTFSGSNQFLYAQTWFFAFVLSVCVIMQLNFLNKALDIFNTAVVSPVYYVMFTILTILASMIMFKDWSSQDAMEIATEICGLLTIISGTYLLHKTNDMGDSKTSLDPSNGRANGLTTPTSSQNSH >DRNTG_34461.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18210542:18211955:-1 gene:DRNTG_34461 transcript:DRNTG_34461.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTVMSVKALGIALKLTFSGSNQFLYAQTWFFAFVLSVCVIMQLNFLNKALDIFNTAVVSPVYYVMFTILTILASMIMFKDWSSQDAMEIATEICGLLTIISGTYLLHKTNDMGDSKTSLDPSNGRANGLTTPTSSQNSH >DRNTG_32579.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12985951:12989339:-1 gene:DRNTG_32579 transcript:DRNTG_32579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYEDLGRSDSDPILSVRLGNRRKVSKSSSLGPGNTTLSCSHKRAESKRASQGCHFSERETLVARVEDGSSTKKDGVTILEDPEVDEQVSGKNDDKQDCVQEVLAINPVDEYLEEVDNKEDKMKVLVPPPMQHVVKALGFQKGAKIGYHGIILGVLVQIKLEDTRGVRV >DRNTG_09578.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21535588:21539962:-1 gene:DRNTG_09578 transcript:DRNTG_09578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGAKKRKEENKQHMVKLLRLIIACNVIYVLVRMLIFHSTFTWKHWIGLFVTSLAYGISYQQLANMAKPTYSNNGELVDGGFDMSTGGVCGYLHDVIYITSFVQVTSIISGKFWWVYLVIPAFAAYKILGFAKGFMSLGSEGDTEDEKSRKKREKMERRASRGKFIKTRTK >DRNTG_09578.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21535588:21539962:-1 gene:DRNTG_09578 transcript:DRNTG_09578.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGAKKRKEENKQHMVKLLRLIIACNVIYVLVRMLIFHSTFTWKHWIGLFVTSLAYGISYQQLANMAKPTYSNNGELVDGGFDMSTGGVCGYLHDVIYITSFVQVTSIISGKFWWVYLVIPAFAAYKILGFAKGFMSLGSEGDTEDEKSRKKREKMERRASRGKFIKTRTK >DRNTG_02465.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2082646:2085611:-1 gene:DRNTG_02465 transcript:DRNTG_02465.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint control protein family [Source:Projected from Arabidopsis thaliana (AT3G05480) UniProtKB/TrEMBL;Acc:F4J7B7] MELSMSGNSLKTFSRSIISLSRIGSELVLHGSSSQLLLHCLNTSRSAYQSISFTPSFFDSYSISSSGVGNDVHCGVLLKSVCAVLRTPPSAIDRLSIRLPSDAIKLHFSLRCLNGITKTYCLSCNADPDVQHLSIDKSRFPSLITVRPRDLTRLLANFQSSLHEITVIATEQSARADDYGGEIGGKAVELRSYIDPTKDDGDMALHTQLWIDPAEEFLQYTHAGDPVDVTFGVKELKAFLSFCEGCEADIQIFFEKAGEPILMAPRFGFDGASNSDFDATLVLASMLVSQLNDETTDHHPAMASNDQGACGMGTEQEPARAPSPVSDRPSNHTKIWSDLTGSAAKSSDGTRERHIQTEGNPSSTLQNNMQNLNAVGTSKTPPARENFTDLRQAMETVNVNPDEPEDNRNYQTQHHPSNWVGGDDDEEEEDEVYVQSTPHFYD >DRNTG_02465.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2078594:2084005:-1 gene:DRNTG_02465 transcript:DRNTG_02465.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint control protein family [Source:Projected from Arabidopsis thaliana (AT3G05480) UniProtKB/TrEMBL;Acc:F4J7B7] MSSLSSSSCIMTFGDQETERKTSLHGRTSWKYMFIISMGIMAMAECEEFGLSSREIYRRILAVGNHISYGALEPDTTPCSQPGASYYNCRAGAEANPYRRGCNALTQCRS >DRNTG_02465.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2084709:2085611:-1 gene:DRNTG_02465 transcript:DRNTG_02465.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint control protein family [Source:Projected from Arabidopsis thaliana (AT3G05480) UniProtKB/TrEMBL;Acc:F4J7B7] MELSMSGNSLKTFSRSIISLSRIGSELVLHGSSSQLLLHCLNTSRSAYQSISFTPSFFDSYSISSSGVGNDVHCGVLLKSVCAVLRTPPSAIDRLSIRLPSDAIKLHFSLRCLNGITKTYCLSCNADPDVQHLSIDKSRFPSLITVRPRDLTRLLANFQSSLHEITVIATEQSARADDYGGEIGGKAVELRSYIDPTKDDGDMALHTQLWIDPAEEFLQYTHAGDPVDVTFGVKELK >DRNTG_02465.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2078594:2080336:-1 gene:DRNTG_02465 transcript:DRNTG_02465.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint control protein family [Source:Projected from Arabidopsis thaliana (AT3G05480) UniProtKB/TrEMBL;Acc:F4J7B7] MANFSKTRSLLTVNNTIFILSMFIISMGIMAMAECEEFGLSSREIYRRILAVGNHISYGALEPDTTPCSQPGASYYNCRAGAEANPYRRGCNALTQCRS >DRNTG_18837.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2633937:2636578:1 gene:DRNTG_18837 transcript:DRNTG_18837.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G01310) UniProtKB/Swiss-Prot;Acc:O04603] MANTSPAFSLSPLPLSLSPPPTRCSPTLLPLRTIRVSPVLVAAPTTSTTGVVLVDRSDAEKVNRLKSVFLEKVIPILKEEFSYMNIHEVPKIEKVVVNCGMGDAEQNSKGLEAAIKDLAVITGQRPVKTRAKNSIAAFKLREGTTVGIAVTLRGNVMYSFLDRLINLGLPRTRDFQGTRQLVNREEWMSA >DRNTG_05928.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5257507:5258424:-1 gene:DRNTG_05928 transcript:DRNTG_05928.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLLSTKGYNTKPIDKHSKKMKLRPYEKSKVTNF >DRNTG_28826.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4116015:4116425:-1 gene:DRNTG_28826 transcript:DRNTG_28826.6 gene_biotype:protein_coding transcript_biotype:protein_coding VLITFFIVSSKSPVCVKCLQILPRRQSQQTAHTKEYQLFQSSRHQQRHAYESSERENYPAYCNTTH >DRNTG_28826.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4114814:4116497:-1 gene:DRNTG_28826 transcript:DRNTG_28826.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPETMADTELNKPSGSNGPRHHEGASSLRGPRFGEL >DRNTG_28826.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4115242:4116497:-1 gene:DRNTG_28826 transcript:DRNTG_28826.4 gene_biotype:protein_coding transcript_biotype:protein_coding VLITFFIVSSKSPVCVKCLQILPRRQSQQTAHTKEYQLFQSSRHQQRHAYESSEPDNQINKSSISNNTVAETKNYMYIDIRENYPAYCNTTH >DRNTG_28826.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4114421:4116425:-1 gene:DRNTG_28826 transcript:DRNTG_28826.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPETMADTELNKPSGSNGPRHHEGASSLRGPRFGEL >DRNTG_28826.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4114114:4116425:-1 gene:DRNTG_28826 transcript:DRNTG_28826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPETMADTELNKPSGSNGPRHHEGASSLRGPRFGEL >DRNTG_28826.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4115698:4116425:-1 gene:DRNTG_28826 transcript:DRNTG_28826.5 gene_biotype:protein_coding transcript_biotype:protein_coding VLITFFIVSSKSPVCVKCLQILPRRQSQQTAHTKEYQLFQSSRHQQRHAYESSERENYPAYCNTTH >DRNTG_19649.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3058290:3061295:-1 gene:DRNTG_19649 transcript:DRNTG_19649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVLPVTLPTSLTITENRPDWLNSGDNAWQLIAASLVGYQSVPGLIILYSGIVKKKWAVNSAFMALYAFAVVLLCWVLFCHCMAFDEQLLPIIGFPGSSLGSSFLESKSIALFPNATYTFFQFAFAAITVVLLAGSLLGRMNFYAWMLFVPLWVSLSYTVGAFSIWGQGFLYKWGLVDFAGGYVIHLSSGVAGFTAAYWVGPRLSHDRQQFPPNNIIHMLGGAGFLWLGWSGFNGGASSSADLIASLAVLNTHLCTATSLSIWLSLDMIVYKKSSVIGAVQGMMTGLVCITPAAGLVEPWAAMLMGVLSGSLPWYTMMVIHKKSSFLQKVDDTLGVLHTHAVAGLLGGILGGILAHPRLMRLQYGYHKNHHGLLYSFLQGNAGKGLHQLGIQILGAIFVTVWNLVVTSVICVLIRQVVQLRMKEEDLMVGDDAAHGEEAYAIWGDGEKSIVYVRRAVTPKLPAFFRFELF >DRNTG_00291.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2028062:2030684:-1 gene:DRNTG_00291 transcript:DRNTG_00291.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKTTASEDRAAAKGSKTAAGSATSGAKKEKMSVSAMLASMDRPKPSSSTAAAAAPKKSKPKPVSTYTDGIDLPPSDSDSDADDGLPAPPPPKSRGGASSDLSNAAAVVSGKDVKKLEKKEVMAAHAREVARQEALRDDRDAFTVVLGTRVSSEDDSATAADDNVKDIVVENFSVSARGKELLKNTSVKISHGRRYGLVGPNGMGKSTLLKLLAWRKIPVPRNIDVLLVEQEIIGDDRSALTAVVSADEELVHLRKEAEELSNKPEGDDDGADDDDGEKLKEIYEKLQLMGSDAAEARASKILAGLGFTKEMQGRPTKSFSGGWRMRISLARALFIQPTLLLLDEPTNHLDLRAVLWLEQYLTRWKKTLIVVSHDRDFLNTVCEEIIHLHDLKLHVYRGNFDDFERGYEQKRTEMNKKFEVYDKQLKAARRTGSKAQQDKVKDRAKFTAAKEAAKGKGKGKGKAADDDDDQRPEAPKKWRDYSVEFHFPEPTELTPPLLQLIDASFSYPGREDFKLSDLNLGIDMGTRVAIVGPNGAGKSTLLNLIAGDLSPIEGEVRRSQKLRIGRYSQHFVDLLTMDETPVEYLLRLHPNQVGMSKQEAVRAKLGKFGLPSHNHLTPIVKLSGGQKARVVFTSISMSNPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCEYEENSEIWEVDAGTVRKFPGSFEEYKDELLDIIKAEVDD >DRNTG_24161.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001288.1:35251:36203:-1 gene:DRNTG_24161 transcript:DRNTG_24161.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFSVDKRKAPKKENKHRAMDDIKESIKELKFYKDNIFKAPNRSRH >DRNTG_22347.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29881239:29889405:1 gene:DRNTG_22347 transcript:DRNTG_22347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDEVASGQEADAGNWQVFQSFLMKCEEENARNVDRGMFSGEKEPLVNGRKNRVNGDPVVSSERGGGGVEDLKVVEFDSANGRTIRRKQVLSKDELFSSNEASFKDSHFDSQFQEIEDGGRGYRRTDSDEFMVYGRQKQLGNKNFPDPLESEHGNVIHLEKHSSNTKDESFIVPSRLDTQVEFLANGRTALDMDAELPSSLQRSVKSSNNMKNKRNYEPHDLRLMPRRNERESVGYDRAADYDVEINAQDAVKLKTRNQGNGPLSTKKETKGMGKEKKTRSGQDGNEKRRTDLMVRKGKPTKPNPLADARARAEKLRLFKLDLQKAKKEKEDEALKRLEALKQERQKRIAARSGSSSTKPILTPQQTRAQLPAKTSPISSLKGSKFSDAEPTSTSPLTKVTRRATTLGSAGLFKTTKVDRLNQGTHSAIKELRRSASSLPDLSKESKMLAPEEKDKAASIRTRRLSDPEGMNVSHASSLKSARIDKLSKQIVSGEHQKKISAIMHQNKTRSASLPEPKVKPLTSPSDTIQNKASKGTGSKNSLSSESHRMKRTSEKFSRMSNGDESVVVDKTIVMLENETISASAIMRSEDVVSTRDGSFREDVKGKAELVPDYVAIRAPPSPLLEGEAEDATKHEVIVDYAKDETQKIVEPKHDQANISSPFCQSNITGRSSDQQFRMQGNSISS >DRNTG_30660.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8535785:8536183:-1 gene:DRNTG_30660 transcript:DRNTG_30660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIDPVRMEAYYNALFKNPNHFYNKVVLDVGTGTGIRAIWCACRSYKDVRPCSCPC >DRNTG_26391.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18049109:18067624:-1 gene:DRNTG_26391 transcript:DRNTG_26391.1 gene_biotype:protein_coding transcript_biotype:protein_coding STPPPHAHCYNPAPIHPVSSNTNRLRSKHQPAVPAQNIHVLETCSPKS >DRNTG_26391.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18049109:18050170:-1 gene:DRNTG_26391 transcript:DRNTG_26391.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMKKAMLHPQSKQQAMETSDKESPDQHSN >DRNTG_28360.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2411687:2417654:-1 gene:DRNTG_28360 transcript:DRNTG_28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding GHLRENPSFFSPSPNPQATKVMPFIPNLNQEDFRCMQVAEETEGDDKESDLFRKD >DRNTG_27852.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001412.1:94739:96208:-1 gene:DRNTG_27852 transcript:DRNTG_27852.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein 8 [Source:Projected from Arabidopsis thaliana (AT3G13662) UniProtKB/Swiss-Prot;Acc:F4JDF3] MKKKKRTHFTVYWHDIVSGPNPSGAMVAQAPSTNTSTTSFGLVRIIDNPLTKGPTMSSDLLGHAQGLYAFTSLESVGLFMAMNFVFTSGKYNGSTVTILGRDEIFTDVRELPVIGGSVLFRWAQGYAQARTSVVNMTTHDAVVKYDVHVMHY >DRNTG_27106.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14519877:14520926:-1 gene:DRNTG_27106 transcript:DRNTG_27106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRVTISYSGFLASHVRSGFTTTLHHTGTSMTTLSDFSAPCSPPPPMAPQPLLILILSLLILLKKTIMSTLFLKIFMARSFAS >DRNTG_25937.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001341.1:5232:5658:-1 gene:DRNTG_25937 transcript:DRNTG_25937.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSSIIKGITSYSSRQEQMQLQKTENKNQAR >DRNTG_14801.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29888374:29889091:-1 gene:DRNTG_14801 transcript:DRNTG_14801.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQGEAGAVIRGKKGSGGVTVKKTNQALIFGIYDEPMAPGQCNMVVERMGDYLIDQGL >DRNTG_14801.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29888374:29889985:-1 gene:DRNTG_14801 transcript:DRNTG_14801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDDHLMCDIEGQRLTSAAIIGQDGSIWAQSANFPQFKSEEITAIVNDFNEPGSLAPTGLHLAGTKYMVIQGEAGAVIRGKKGSGGVTVKKTNQALIFGIYDEPMAPGQCNMVVERMGDYLIDQGL >DRNTG_00242.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25993023:25996467:1 gene:DRNTG_00242 transcript:DRNTG_00242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIVEDVSPHPNVIHLYDVYEDSNGVHLVLELCSGGELFDRIVSQRQYSETEAARVVRQIAEGLEALHKAHIVHRDLKPENCLFLDNSEHSPLKIMDFGLSSVEEFTDPIVALFGSIDYVSPEALGQRRVSSASDMWSLGVILYILLSGYPPFHAPSNREKQQRILAGDFSFDEHTWKTITPSAKQLISSLLSVDPFKRPTAKDLLQHPWVIGDSAKHDVMDAEVVSRLQRFNARRKLRAAAIASVLSSKVFLRTKKLKSLIGTHDLSTDELENLRLHFKRICADGDNATLPEFEEVLKAMKMDSLIPLAPRVFDLFDNNRDGTVDMRELLCGLSSLKNSQGDEALQICFQMYDTDRSGSISKEELASMLRALPEDCLPADITDPG >DRNTG_12163.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12177309:12189993:1 gene:DRNTG_12163 transcript:DRNTG_12163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAQINSNSTEVKAGSVFEVDVVTTLATQGESLSKNIDRMMTPRAATIMSCETCNGGHPTTDCPIKRSINSLTLAAIWKSIGDCFSIPACDLERCSRLGFGEALARPLERFYGLQHRIPLEESYWGSFRWHRFGEMCPRLDKGTFGEDEMDP >DRNTG_02818.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000130.1:3440:3991:1 gene:DRNTG_02818 transcript:DRNTG_02818.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRHCNIGEGREGESRGSGPSPFLSDVIYPTSTFQEFFAVIVE >DRNTG_24018.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16971351:16982926:1 gene:DRNTG_24018 transcript:DRNTG_24018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSLNLLLLSPTLPKHPLLNPRHHHHLPGHRAGRRSLTCCLALHPSSSGSGLRVVVTGGGTGGHIYPAIAIADELKNAYPDAQILFIGTESGMESEVVPSAGYDYSPIPSARLFRPFLNPVNLLLPFSLLRSIFISWTILRRFRPQIVLGTGSYVAAPVCFAAALAGIKFVIQEQNSFQVSLIALLLLMLRRSFLLSMLA >DRNTG_26513.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2340870:2341789:-1 gene:DRNTG_26513 transcript:DRNTG_26513.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 27 [Source:Projected from Arabidopsis thaliana (AT3G47870) UniProtKB/Swiss-Prot;Acc:Q9STS6] MHHSSSSSSSSSSPMTLKGGTSQACAACKYQRRKCTSDCPLAPYFPPDMPKQFQNAHKLFGVSNILKIINKLDPSRRPEAMKTIIYEANIRDRSPVHGCLGIIYAIHFQIHQAQLELDALNQHLSLLQRHAATASSSAASNDDYMPFDNAVPFAPISNACNIKSMLHDYDEISPYFDALVQDDQQSFIDSREFNSVSSGEKEGGDQQGEHMTENELKNAAACFTLTSIMN >DRNTG_21346.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20485073:20486083:-1 gene:DRNTG_21346 transcript:DRNTG_21346.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCLLHLHPHLFLLLLGLLVIPLLPSNPNPNHRFATASSEVDDDPFSIVNLDLTWHDYSPPSPPPPPASPTPPSSSCEGDIGGVGSFDTLCQLKSSVNVTGDIYIKGNGSLVLLPGVLLSCPVAGCFILANLSGDAYSWSSLAKPDCFGSKGGSTSQEKDYGGGGGGRIWLEIKDVLEIDGVVCADGGEGGSHGGGGSGGSIYIT >DRNTG_29564.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001485.1:40072:43768:-1 gene:DRNTG_29564 transcript:DRNTG_29564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNSKYNTGEDFINEVSTIGRIHHINVVKLIGFCSDGMQRALVYEYMPNGSLDKFIFSSNNGPNHKFSLDKLIDMALGVARGLDYLHKGCDMQILHFDIKPHNILLDHNFNPKVSDFGLAKLYPKNNSLVSLSVTRGTIGYIAPELISRSFGVISHKCDVYSFGMLLLEMAGGRRNSDPRVENTSQVYYPSWIYDKLIEDTIDHNAIEMDTSIAIDEREKKLCMIGLWCIQMRPSDRPSMCKVIQMLEGDISSLQMPPKPFFSEPSQIHSKVSYLNTDDGELTTISEDADELN >DRNTG_29564.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001485.1:40072:41794:-1 gene:DRNTG_29564 transcript:DRNTG_29564.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNSKYNTGEDFINEVSTIGRIHHINVVKLIGFCSDGMQRALVYEYMPNGSLDKFIFSSNNGPNHKFSLDKLIDMALGVARGLDYLHKGCDMQILHFDIKPHNILLDHNFNPKVSDFGLAKLYPKNNSLVSLSVTRGTIGYIAPELISRSFGVISHKCDVYSFGMLLLEMAGGRRNSDPRVENTSQVYYPSWIYDKLIEDTIDHNAIEMDTSIAIDEREKKLCMIGLWCIQMRPSDRPSMCKVIQMLEGDISSLQMPPKPFFSEPSQIHSKVSYLNTDDGELTTISEDADELN >DRNTG_24793.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31937182:31937934:-1 gene:DRNTG_24793 transcript:DRNTG_24793.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKMILKLTMEDDKKRSKAMQIAVSLNGVISAGLEGEAKDKLVVIGDGVDSIKLTTALRKKMSRIVELVSVAAVEEKKDDKKDDKKTSEGDDAKKQTQTPIYVPHYYETIPPHHYVYGGYEYPPDNSYDPGCSIM >DRNTG_19445.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000998.1:126932:128958:-1 gene:DRNTG_19445 transcript:DRNTG_19445.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGYSTPPSRRSDPRLRPTSSSRLSHSIDPSSPINSSLQDLLLLSPPPSSFRHPKLLPEPIPDVIHRKKSKSSNQLLPTTCASPRSARRARRRLEKEIIRDDRDFGCGIDDDVAKPRKPRQSTKPKVSRKERMILFSPPPIPPSPPPPPPPPPISAPVPRIVDEDCCSSVDGLLEMILELIMWKNVAKSSFWFGFGLVLFLSFWFSRDVNFSFITAVSHLCILVLAIAFFVDSISRSMQKKWRGEFKLTEDDVLRVSRVVLPLANAALVAPVLIFGAKFGHLITPWRLSATGFFLLFTAPKLFSCYSQQIHKKAFISIVMLRYHHQQLLVGEDNDKKEMQEGEQQQALVLVE >DRNTG_08966.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000392.1:43943:44515:-1 gene:DRNTG_08966 transcript:DRNTG_08966.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLAESSEDVRFKGVRRRRWGKWVSEIRLPNSRERIWLGSYDAPEKAARAFDAAAYCLRGRRAHLNFPENPPCISSPKSLTHQQIRLAAARHANAIPVGGAAAGTSAAESSSANSLTPLPEQPLPHSESDASDGLTPESEQGGLEPEPVDWSAVGFPDIDDLNYYHPIVQEPPPPDSDENGLSYNLWSF >DRNTG_17244.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000886.1:23393:25604:-1 gene:DRNTG_17244 transcript:DRNTG_17244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRCRSRRTSPKPWPIPLQTLAETLSQVGEKMEKRMLKSGLKSALNRAGIERLHGRSLSVSATAAAEVEAYSGSSGAGVEDGGAGGTDDPGLRRSRRRSNWAIRSLY >DRNTG_02955.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22606633:22607579:-1 gene:DRNTG_02955 transcript:DRNTG_02955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVQFIESFNAAGNHTFELGINQFADLTNQEFRAMHTGFKPFSSNNKASKTSFKYANSTDAPESVDWRTKGAVTPIKDQGQCGSCWAFSAVASMEGAAMLSTGKLISLSEQELVDCDIKGGDQGCKGGLMDEAFKFIINNGGLNTEDKYPYTGADDSCDTEKAASHAATIKSYEDVPANSEADLLKAVANQPVSVAIDASGSFQFYSSGVFSDKGCGNLLDHGVAAVGYGVTSNGTKYWIVKNSWGESWGEKGYVRMERDIADLRGMCGIAMAASYPTA >DRNTG_26492.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:659896:660724:1 gene:DRNTG_26492 transcript:DRNTG_26492.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSHDPMGHIPAREKQIKMTMPKSKSSEVNGRLHQDRRDQMDFRAV >DRNTG_32431.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10922584:10923359:-1 gene:DRNTG_32431 transcript:DRNTG_32431.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLTSSKLLKNLKESSSQERKEKSLSFLHDSR >DRNTG_30205.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3560320:3561757:1 gene:DRNTG_30205 transcript:DRNTG_30205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDFNWTEILKRKEQYREAFSNFDYNVVAKMDEKKIIEISSNKDLMIAESRVRCIVENAKCIQKVAKEFGSFSGYIWGHVNHKPMINKYKYIRSVPLRTPKAEAISKDLVRRGFRLVGPVIVYSFMQASGMAIDHLVDCFRFKDCVRIAERSWGMTNMAV >DRNTG_30205.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3560320:3561757:1 gene:DRNTG_30205 transcript:DRNTG_30205.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDFNWTEILKRKEQYREAFSNFDYNVVAKMDEKKIIEISSNKDLMIAESRVRCIVENAKCIQKVAKEFGSFSGYIWGHVNHKPMINKYKYIRSVPLRTPKAEAISKDLVRRGFRLVGPVIVYSFMQASGMAIDHLVDCFRFKDCVRIAERSWGMTNMAV >DRNTG_08552.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17290218:17291381:-1 gene:DRNTG_08552 transcript:DRNTG_08552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVCVMCFIVCCFSLFYLLGLIVLKHLKTEREREKRERDPVEEEEEERDF >DRNTG_09361.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:14983084:14988705:-1 gene:DRNTG_09361 transcript:DRNTG_09361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCANLSVCIFCSLYIHESSSLSTSERPLQGSPHSVVVGKEGSAVPSPV >DRNTG_33868.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20530011:20531162:1 gene:DRNTG_33868 transcript:DRNTG_33868.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRYSPECLPQSKQGNNPSPIRPLQIFVFARVQLAHSQQHKLAKKMSSFL >DRNTG_33868.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20530011:20530640:1 gene:DRNTG_33868 transcript:DRNTG_33868.2 gene_biotype:protein_coding transcript_biotype:protein_coding RRRYSPECLPQSKQGNNPSPIRPLQIFVFARVQLAHSQQHKLAKKMSSFL >DRNTG_16661.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20277440:20279507:-1 gene:DRNTG_16661 transcript:DRNTG_16661.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTETIIEMLRNVSEQVSDLPYHNNRRTRSAKDTYTLLRILCHKKDKDASKYLKLHYQLPKSSDYDDSLTTNETASKSPLSNRCFPKKH >DRNTG_13788.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11886494:11896682:1 gene:DRNTG_13788 transcript:DRNTG_13788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTRAMHPLCCISANYHNISAVTGVFYKWANYGRGWRFWCFSLRNAILSYSKIHRRAGDGGDLIGDGGDCLIGNALELFSHFGVKLVGVSYLMISSFRGSKYDDRQFFIFSPTKALHLRTYSKKVHVAWIEVAKSYAFRGSCKHQISDQNMARGKLALKKNADTGIPVHFDLTQASRSISEVHGLVSKDISDDQKNLSIPAINEVDDPPFAPKDFVNGLVLDSMVLIFHMSGMMVLCRTSKKGWVVRFWHIIISGAPIYCIQTMKDLYARERCLGALASLVNIDDKQSDVPEYCINVGSVVDDPPFAPKGFVYSKARQTVKDLKIPSDIVGCNCVGDCIDLRKCVYAGLNGFDFTYVRKDCGRFINHSCGLNHFVPFVLSSHHEFKMVRMVLFAADTMPPLQELTYDYRYGLDSVVGLDGKNDGGRLVEVKNVVFEYSRAAYAELPGLYELE >DRNTG_24227.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19799157:19801623:-1 gene:DRNTG_24227 transcript:DRNTG_24227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTSSKQARRHRHRNLSGRLFNSRSMPANAHSTGTDLDHRITLKSCTLGSLKLDHDISDEAMMKASNDDHPKLTPAPAPVPETINAWELMEGLEDVTPIGTPCKAMQNSTPFTEYVDPEVVSSFRKTLLELSPQHRQLLRSPEPEKMASEVLLRRSKYEEVEEDEEESCRKTPDLTGIVRTRIMEFQERIDAKKGMKVKQRLESWVGGGGKVVIYMTSLRGIRETYNVCKEVREIVRSYKVRVDERDVSMDRGFKEELHEVLVGKEGGRLPALFVKGEYLGGVEEVRRMHEGGELGKVLDGCEMVKIGKGSGSGGGGGGGGGDCEECGEVRFVLCGRCSGSCKVFVEDDDEDDDDGYVYGGGFWCRCPECNENGLVRLGKDFKLWSLTVNGSFSVKSFYDRLNDGGLRCPIAKCNKLPTATCVLCHEVVESVDHLFLQCRFSLEVWAQISRVVQLPSLPSSMSFLWGAWRNALPAVSHVVGDGVIKAFVWAIWLTRNDVIFSDKSSEGLRSTLEDFISSIRRSLEFTGPQSEGQWRLTRLRRHWLSL >DRNTG_10911.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17578889:17580129:-1 gene:DRNTG_10911 transcript:DRNTG_10911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQQQEKEKEKDSLLSLFDTLWFYHPILFHNPPPPPPPPAPAPEPIPIKVVQRIRSHRRSLSDEQLLHIPSSSTATNSHSKLQTILSGKESTVLQENTSIEIKKTGNNNNNKHSKRRLYRRRGSSSTKSLSDLEFEELKGFMDLGFTFSDAETDPRLMSIVPGLRRRVACDDEKFESERHGCDDDDEEGGGGVVRPYLSEAWEVEEERRLRNWKIPAPVEGVDMKDCLRLWAQAVASTVR >DRNTG_20963.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001181.1:16325:22124:-1 gene:DRNTG_20963 transcript:DRNTG_20963.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVPGVLLKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGGDLYSNKGFYLKVSDSSHATYVSLPDEHVDLILSDKIQLGQFIHVERLEGASPVPILKGVRVVPGRHPCVGSPEDLVATHSLGFLNMDKLKLSNGIKTDSNRSTEKIDKERNNVGKTNGTSKVEKAEKNKPSVSKSNSLVMKQVANCKVEKRDPIGVKSKSASSRSIPSSPTSCYSLPASFEKFSKEIKQQAKVKGTEKGNSLKMGLLERAASVLKATTAGRKTSVANSIGNMLPGIEPGPKALRKSWEGNMETKVRDKSNSRSSKIEIKSESRSSSVPRKSIASEKPQPKEDTRFQTPQKKANSTGASDDGDKSNKQRSHGVKKTPEGATGLNLGSLVKVSPSRKWSNHSDSWASLPPPLAKLGKEVLKYRDASQFAAIEAMQEASAAESIIRCLRAKFNCKGR >DRNTG_20963.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001181.1:16325:22124:-1 gene:DRNTG_20963 transcript:DRNTG_20963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVPGVLLKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGGDLYSNKGFYLKVSDSSHATYVSLPDEHVDLILSDKIQLGQFIHVERLEGASPVPILKGVRVVPGRHPCVGSPEDLVATHSLGFLNMDKLKLSNGIKTDSNRSTEKIDKERNNVGKTNGTSKVEKAEKNKPSVSKSNSLVMKQVANCKVEKRDPIGVKSKSASSRSIPSSPTSCYSLPASFEKFSKEIKQQAKVKGTEKGNSLKMGLLERAASVLKATTAGRKTSVANSIGNMLPGIEPGPKALRKSWEGNMETKVRDKSNSRSSKIEIKSESRSSSVPRKSIASEKPQPKEDTRFQTPQKKANSTGASDDGDKSNKQRSHGVKKTPEGATGLNLGSLVKVSPSRKWSNHSDSWASLPPPLAKLGKEVLKYRDASQFAAIEAMQEASAAESIIRCLSMYAELSSTAKEDNPQPAVEQFLTLHSTLNRAGLVADSLLKTFLPSDTSSDQSASNNEPSISEEALKVSSDKRKRATSWINAALATDLSPFSLYEHKSSNQTSTSSSVSSFSSLTVILDSPTKPTSSKVKPRLSSASTPSKSAVTSRGKARAPPPLLPQAWSRGGGLEEKTDLARALDDESRSWFLGFVERFLDADVNDPGPRDRERVAGMLSQLKKVNDWLDEIGRREDEDGDREEVPIETIERLRKKIYEYLLTHVESAAVALGSGSAKDDDRPGRKG >DRNTG_20963.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001181.1:16325:22124:-1 gene:DRNTG_20963 transcript:DRNTG_20963.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVPGVLLKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGGDLYSNKGFYLKVSDSSHATYVSLPDEHVDLILSDKIQLGQFIHVERLEGASPVPILKGVRVVPGRHPCVGSPEDLVATHSLGFLNMDKLKLSNGIKTDSNRSTEKIDKERNNVGKTNGTSKVEKAEKNKPSVSKSNSLVMKQVANCKVEKRDPIGVKSKSASSRSIPSSPTSCYSLPASFEKFSKEIKQQAKVKGTEKGNSLKMGLLERAASVLKATTAGRKTSVANSIGNMLPGIEPGPKALRKSWEGNMETKVRDKSNSRSSKIEIKSESRSSSVPRKSIASEKPQPKEDTRFQTPQKKANSTGASDDGDKSNKQRSHGVKKTPEGATGLNLGSLVKVSPSRKWSNHSDSWASLPPPLAKLGKVSFLYFVQSCLGSTFFLKICFSSFFLCLVIYFSDHSFLLGSFEV >DRNTG_20963.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001181.1:16325:21466:-1 gene:DRNTG_20963 transcript:DRNTG_20963.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVPGVLLKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGGDLYSNKGFYLKVSDSSHATYVSLPDEHVDLILSDKIQLGQFIHVERLEGASPVPILKGVRVVPGRHPCVGSPEDLVATHSLGFLNMDKLKLSNGIKTDSNRSTEKIDKERNNVGKTNGTSKVEKAEKNKPSVSKSNSLVMKQVANCKVEKRDPIGVKSKSASSRSIPSSPTSCYSLPASFEKFSKEIKQQAKVKGTEKGNSLKMGLLERAASVLKATTAGRKTSVANSIGNMLPGIEPGPKALRKSWEGNMETKVRDKSNSRSSKIEIKSESRSSSVPRKSIASEKPQPKEDTRFQTPQKKANSTGASDDGDKSNKQRSHGVKKTPEGATGLNLGSLVKVSPSRKWSNHSDSWASLPPPLAKLGKEVLKYRDASQFAAIEAMQEASAAESIIRCLSMYAELSSTAKEDNPQPAVEQFLTLHSTLNRAGLVADSLLKTFLPSDTSSDQSASNNEPSISEEALKVSSDKRKRATSWINAALATDLSPFSLYEHKSSNQTSTSSSVSSFSSLTVILDSPTKPTSSKVKPRLSSASTPSKSAVTSRGKARAPPPLLPQAWSRGGGLEEKTDLARALDDESRSWFLGFVERFLDADVNDPGPRDRERVAGMLSQLKKVNDWLDEIGRREDEDGDREEVPIETIERLRKKIYEYLLTHVESAAVALGSGSAKDDDRPGRKG >DRNTG_20963.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001181.1:16325:22124:-1 gene:DRNTG_20963 transcript:DRNTG_20963.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVPGVLLKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGGDLYSNKGFYLKVSDSSHATYVSLPDEHVDLILSDKIQLGQFIHVERLEGASPVPILKGVRVVPGRHPCVGSPEDLVATHSLGFLNMDKLKLSNGIKTDSNRSTEKIDKERNNVGKTNGTSKVEKAEKNKPSVSKSNSLVMKQVANCKVEKRDPIGVKSKSASSRSIPSSPTSCYSLPASFEKFSKEIKQQAKVKGTEKGNSLKMGLLERAASVLKATTAGRKTSVANSIGNMLPGIEPGPKALRKSWEGNMETKVRDKSNSRSSKIEIKSESRSSSVPRKSIASEKPQPKEDTRFQTPQKKANSTGASDDGDKSNKQRSHGVKKTPEGATGLNLGSLVKVSPSRKWSNHSDSWASLPPPLAKLGKEVLKYRDASQFAAIEAMQEASAAESIIRCLSMYAELSSTAKEDNPQPAVEQFLTLHSTLNRAGLVADSLLKTFLPSDTSSDQSASNNEPSISEEALKVSSDKRKRATSWINAALATDLSPFSLYEHKSSNQTSTSSSVSSFSSLTVILDSPTKPTSSKVKPRLSSASTPSKSAVTSRGKARAPPPLLPQAWSRGGGLEEKTDLARALDDESRSWFLGFVERFLDADVNDPGPRDRERVAGMLSQLKKVNDWLDEIGRREDEDGDREEVPIETIERLRKKIYEYLLTHVESAAVALGSGSAKDDDRPGRKG >DRNTG_16233.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000801.1:6155:11269:1 gene:DRNTG_16233 transcript:DRNTG_16233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKVDGHVLTILSDSTKEDIRVFADNVVESSEVTAGITRIGDYELHDLVQLDNLSFGVIIRVENEAFQVLKGVPDRPEVVLVKLREIKSKIERRANAQDRSRNIVSSKDVVRVLEGPCKGKQGPVEHIHKGILFIYDRHHLEHAGFICVKAQSCIVVGGSNASDSFDRFSGLRSSAQINQSPRRLPGGGRPPIDFGGRHRGGRGNDPIVGRVIKIRRGPLKGYRGRVKEVTGSLVRVELDSQMKIVTVKRDEISDIAGTIGTPGRETPRYGFGSETPLHPSRTPLHPYQTPMRDPGATPIHDGMRTPMRDRAWAPMSPPRDWEDGNPASWGTSPQYQPGTPPARPYEAPTPGSGWANTPGGNYSDSATPRESSPIYGNAASPYVPSTPGGQPMTPSSNSYLPGTPGGQPMTPGNVGLDVMSPAIGGEGEGTWFYARCSGERPTGRRGLQYRSC >DRNTG_11154.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000490.1:57820:58308:-1 gene:DRNTG_11154 transcript:DRNTG_11154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARRWSLNLEMPRSSSAMLVFLDPKWIDLRNPSFSPLPTKSTERGSSQEHQIADALLP >DRNTG_31264.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4377028:4377321:-1 gene:DRNTG_31264 transcript:DRNTG_31264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEERRRTRTSATSAMEVKRAQTTMNEIRAFPWNYFSSESCLLFVCLTASLLILPLILPPLPPPPIMLLLLPIGILLVLLLLGFMPSDVRDIASSYL >DRNTG_07039.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3610369:3612990:-1 gene:DRNTG_07039 transcript:DRNTG_07039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTPYGSAAICLRIESGELGSRLDRGMPNIYQVLSQDSPTPNPMQRLEARLYIPIYEEDIEAKNDVNLELAKLDFHLLQLVHREEVTKIIIWELKQDAAQLDEKLQLGSMAICRTTAYHIIACASLLGIGEEVTKETFEWIASFPQISKDITSISRLMDDAADSEFEVEIDHAITTISYCMKEYGDSSEEAKLRILEMVENAWKDMNRECLRLNNMIQYYVVVRFVNLACMMETMYRNSDGYTESASLKKNNLITVG >DRNTG_08753.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18605970:18608836:-1 gene:DRNTG_08753 transcript:DRNTG_08753.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyacylglutathione hydrolase cytoplasmic [Source:Projected from Arabidopsis thaliana (AT3G10850) UniProtKB/TrEMBL;Acc:Q0WQY6] MKIYHIPCLEDNYAYLIVDEATREAAAVDPVEPEKVIRAADEAGADIKLVLTTHRHWDHAGGNNKMKQLVPGIKVCGGSLDNVEGCDTKVENGDRFSLGADVNILALHTPCHTKGHISYFVTGKSEEIPAVFTGDTLFIAGCGKFFEGTPEQMYESLCVTLGSLPRPTRVFCGHEYTEKNLRFALTVEPENEKISQKLSWAQQQRQANLPTVPSTIDEEFEINPFMRVELPEIQAKVGCHSPTEAIMEMRRMKDNWRG >DRNTG_26210.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:339890:342785:1 gene:DRNTG_26210 transcript:DRNTG_26210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSTAKVVFGGQWFNNHTLNYTRPHLVELINSTGVVISNLTFMNSPFWAIHPVYCSEVLIHDVTILAPLDSPNTDGIDPDSSSNVCIEDCYISTGDDVIVIKSGWDEYGIAFAHPSTNISISRITGQTRSGAGIAFGSEMSGGISEVQVANIHLFNSKHGIRIKTSPGRGGYVKNIHISGVIMEDVNIAIRIQGNYGEHPDDNFDPNALPLISRITMKDIVGTNISLAGLLAGIPGDNFSSICMSNIIFTGTSSSSSSSPAWKCSDIEGYSDMVSPESCEQLHKDIPEDPSKVCYGLYDSLQQEEHYDSELMASKPDQLAPE >DRNTG_26210.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:339890:342785:1 gene:DRNTG_26210 transcript:DRNTG_26210.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSTAKVVFGGQWFNNHTLNYTRPHLVELINSTGVVISNLTFMNSPFWAIHPVYCSEVLIHDVTILAPLDSPNTDGIDPDSSSNVCIEDCYISTGDDVIVIKSGWDEYGIAFAHPSTNISISRITGQTRSGAGIAFGSEMSGGISEVQVANIHLFNSKHGIRIKTSPGRGGYVKNIHISGVIMEDVNIAIRIQGNYGEHPDDNFDPNALPLISRITMKDIVGTNISLAGLLAGIPGDNFSSICMSNIIFTGTSSSSSSSPAWKCSDIEGYSDMVSPESCEQLHKDIPEDPSKVCYGLYDSLQQEEHYDSELMASKPDQLAPE >DRNTG_26210.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:339890:342829:1 gene:DRNTG_26210 transcript:DRNTG_26210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSTAKVVFGGQWFNNHTLNYTRPHLVELINSTGVVISNLTFMNSPFWAIHPVYCSEVLIHDVTILAPLDSPNTDGIDPDSSSNVCIEDCYISTGDDVIVIKSGWDEYGIAFAHPSTNISISRITGQTRSGAGIAFGSEMSGGISEVQVANIHLFNSKHGIRIKTSPGRGGYVKNIHISGVIMEDVNIAIRIQGNYGEHPDDNFDPNALPLISRITMKDIVGTNISLAGLLAGIPGDNFSSICMSNIIFTGTSSSSSSSPAWKCSDIEGYSDMVSPESCEQLHKDIPEDPSKVCYGLYDSLQQEEHYDSELMASKPDQLAPE >DRNTG_26210.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:339890:342785:1 gene:DRNTG_26210 transcript:DRNTG_26210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSTAKVVFGGQWFNNHTLNYTRPHLVELINSTGVVISNLTFMNSPFWAIHPVYCSEVLIHDVTILAPLDSPNTDGIDPDSSSNVCIEDCYISTGDDVIVIKSGWDEYGIAFAHPSTNISISRITGQTRSGAGIAFGSEMSGGISEVQVANIHLFNSKHGIRIKTSPGRGGYVKNIHISGVIMEDVNIAIRIQGNYGEHPDDNFDPNALPLISRITMKDIVGTNISLAGLLAGIPGDNFSSICMSNIIFTGTSSSSSSSPAWKCSDIEGYSDMVSPESCEQLHKDIPEDPSKVCYGLYDSLQQEEHYDSELMASKPDQLAPE >DRNTG_26210.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:339890:342829:1 gene:DRNTG_26210 transcript:DRNTG_26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTYIDSSSNVCIEDCYISTGDDVIVIKSGWDEYGIAFAHPSTNISISRITGQTRSGAGIAFGSEMSGGISEVQVANIHLFNSKHGIRIKTSPGRGGYVKNIHISGVIMEDVNIAIRIQGNYGEHPDDNFDPNALPLISRITMKDIVGTNISLAGLLAGIPGDNFSSICMSNIIFTGTSSSSSSSPAWKCSDIEGYSDMVSPESCEQLHKDIPEDPSKVCYGLYDSLQQEEHYDSELMASKPDQLAPE >DRNTG_26210.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:339890:342785:1 gene:DRNTG_26210 transcript:DRNTG_26210.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGISEVQVANIHLFNSKHGIRIKTSPGRGGYVKNIHISGVIMEDVNIAIRIQGNYGEHPDDNFDPNALPLISRITMKDIVGTNISLAGLLAGIPGDNFSSICMSNIIFTGTSSSSSSSPAWKCSDIEGYSDMVSPESCEQLHKDIPEDPSKVCYGLYDSLQQEEHYDSELMASKPDQLAPE >DRNTG_26210.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:339890:342785:1 gene:DRNTG_26210 transcript:DRNTG_26210.11 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSTAKVVFGGQWFNNHTLNYTRPHLVELINSTGVVISNLTFMNSPFWAIHPVYCSEVLIHDVTILAPLDSPNTDGIDPDSSSNVCIEDCYISTGDDVIVIKSGWDEYGIAFAHPSTNISISRITGQTRSGAGIAFGSEMSGGISEVQVANIHLFNSKHGIRIKTSPGRGGYVKNIHISGVIMEDVNIAIRIQGNYGEHPDDNFDPNALPLISRITMKDIVGTNISLAGLLAGIPGDNFSSICMSNIIFTGTSSSSSSSPAWKCSDIEGYSDMVSPESCEQLHKDIPEDPSKVCYGLYDSLQQEEHYDSELMASKPDQLAPE >DRNTG_26210.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:339890:342785:1 gene:DRNTG_26210 transcript:DRNTG_26210.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSTAKVVFGGQWFNNHTLNYTRPHLVELINSTGVVISNLTFMNSPFWAIHPVYCSEVLIHDVTILAPLDSPNTDGIDPDSSSNVCIEDCYISTGDDVIVIKSGWDEYGIAFAHPSTNISISRITGQTRSGAGIAFGSEMSGGISEVQVANIHLFNSKHGIRIKTSPGRGGYVKNIHISGVIMEDVNIAIRIQGNYGEHPDDNFDPNALPLISRITMKDIVGTNISLAGLLAGIPGDNFSSICMSNIIFTGTSSSSSSSPAWKCSDIEGYSDMVSPESCEQLHKDIPEDPSKVCYGLYDSLQQEEHYDSELMASKPDQLAPE >DRNTG_26210.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:339890:342785:1 gene:DRNTG_26210 transcript:DRNTG_26210.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGISEVQVANIHLFNSKHGIRIKTSPGRGGYVKNIHISGVIMEDVNIAIRIQGNYGEHPDDNFDPNALPLISRITMKDIVGTNISLAGLLAGIPGDNFSSICMSNIIFTGTSSSSSSSPAWKCSDIEGYSDMVSPESCEQLHKDIPEDPSKVCYGLYDSLQQEEHYDSELMASKPDQLAPE >DRNTG_26210.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:339890:342785:1 gene:DRNTG_26210 transcript:DRNTG_26210.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGISEVQVANIHLFNSKHGIRIKTSPGRGGYVKNIHISGVIMEDVNIAIRIQGNYGEHPDDNFDPNALPLISRITMKDIVGTNISLAGLLAGIPGDNFSSICMSNIIFTGTSSSSSSSPAWKCSDIEGYSDMVSPESCEQLHKDIPEDPSKVCYGLYDSLQQEEHYDSELMASKPDQLAPE >DRNTG_26210.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:339890:342785:1 gene:DRNTG_26210 transcript:DRNTG_26210.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGISEVQVANIHLFNSKHGIRIKTSPGRGGYVKNIHISGVIMEDVNIAIRIQGNYGEHPDDNFDPNALPLISRITMKDIVGTNISLAGLLAGIPGDNFSSICMSNIIFTGTSSSSSSSPAWKCSDIEGYSDMVSPESCEQLHKDIPEDPSKVCYGLYDSLQQEEHYDSELMASKPDQLAPE >DRNTG_19010.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22616606:22621436:-1 gene:DRNTG_19010 transcript:DRNTG_19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAALTKWIPEDDLLLKNAVEAGASLESLAKGAVRFSRRYTITELQDRWRSLLYDLDTSAEASARIIEIEIELSVSNASKANRLTGLKGKEIPGKRKGGSVRSHYFAMRKRVCSEPCNSANLGLLEPSSLISTGNGVGYRHFKPQIGDAVSNCVTAINSEFQEPSPNHYDHLEIAYESARDILHDSAMLGSAAVDASVDTADRFHTDSIDDDFPDVIADNDCLFQYTESDIPSVDKLDAGNAHQAFHHDFVPSDMPQPAIEHISSPNLFQNLHETGLPQTLPESSLYGHDGVKTESLPDFNPSISGQEQLCSGFEGKDDLSSQVPEHGDSFDRLRCSSSQVGIPVWETIDGISPPTIGIDGHFGDNQGANSLLDFHDITEMHSPGCHGAISETELQDRIPDGALDSARIVVENEYIGVSSSYMNFADDEFLLINMDEDIADRSSLNGLNSILLSSPSDALQDNACISSGPDVKEAADACPTVNDAAYQGDSNDLLEEFYPANADDDNVCIAGGNEPSTSVRIPHNTESTEGFMFCALNTEDTEIPCNDDVCLPCHSLPSSPSDVEHSPMEKHLSISSSSEFLIDDGRSIAQPFASSVKTGISNIGLLESSDGCRTEIRSIENESRAAASRCAGVALGDPNSCNY >DRNTG_06532.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29531981:29534158:-1 gene:DRNTG_06532 transcript:DRNTG_06532.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSMMIEDIRTSILEDWPSDVPAWESCGVNQTVCPNWYAAESISVACKFVYKNASPGSTLGDDYFFTRMPHVRKRLAQGGVRLAATLNRIFLGGYSLLYHLTDDIFPECSSNTICDRENQSAC >DRNTG_06532.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29531981:29535993:-1 gene:DRNTG_06532 transcript:DRNTG_06532.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLIFLTGIVFIFLYVLPGTHSWGKEGHYITCKIAEGLLSREASEAVKSLLPEYANGDLASVCSWPDEVSHRAGWRWSSPLHYVDTPDFRCNYDYCRDCHDFARSRNRCVTGAIYNYSAQLSTYKNSKFTDQCMKPKFLCQNHFEILTLSFV >DRNTG_06532.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29531881:29535993:-1 gene:DRNTG_06532 transcript:DRNTG_06532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSMMIEDIRTSILEDWPSDVPAWESCGVNQTVCPNWYAAESISVACKFVYKNASPGSTLGDDYFFTRMPHVRKRLAQGGVRLAATLNRIFLGGYSLLYHLTDDIFPECSSNTICDRENQSAC >DRNTG_06532.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29531881:29532287:-1 gene:DRNTG_06532 transcript:DRNTG_06532.6 gene_biotype:protein_coding transcript_biotype:protein_coding DDYFFTRMPHVRKRLAQGGVRLAATLNRIFLGGYSLLYHLTDDIFPECSSNTICDRENQSAC >DRNTG_06532.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29531981:29532287:-1 gene:DRNTG_06532 transcript:DRNTG_06532.7 gene_biotype:protein_coding transcript_biotype:protein_coding DDYFFTRMPHVRKRLAQGGVRLAATLNRIFLGGYSLLYHLTDDIFPECSSNTICDRENQSAC >DRNTG_06532.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29531981:29535993:-1 gene:DRNTG_06532 transcript:DRNTG_06532.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLIFLTGIVFIFLYVLPGTHSWGKEGHYITCKIAEGLLSREASEAVKSLLPEYANGDLASVCSWPDEVSHRAGWRWSSPLHYVDTPDFRCNYDYCRDCHDFARSRNRCVTGAIYNYSAQLSTYKNSKFTDQYNLTEALLFLSHFVGDIHQPLHCGFLGDEGGNTIILHWYRRKSNLHHVWDTLIIETALKKYNMDLSMMIEDIRTSILEDWPSDVPAWESCGVNQTVCPNWYAAESISVACKFVYKNASPGSTLGDDYFFTRMPHVRKRLAQGGVRLAATLNRIFLGGYSLLYHLTDDIFPECSSNTICDRENQSAC >DRNTG_06532.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29534189:29535993:-1 gene:DRNTG_06532 transcript:DRNTG_06532.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLIFLTGIVFIFLYVLPGTHSWGKEGHYITCKIAEGLLSREASEAVKSLLPEYANGDLASVCSWPDEVSHRAGWRWSSPLHYVDTPDFRCNYDYCRMFGSSCDYFHLVFIFYMWIVLSSTTNCFLQVIAMTLPEAEIDV >DRNTG_04620.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3181639:3189029:1 gene:DRNTG_04620 transcript:DRNTG_04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQHTFAPFPAKSKKLWRSGATQGGEELSMALETRGRGIPSIAPRVSW >DRNTG_04620.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3186789:3189029:1 gene:DRNTG_04620 transcript:DRNTG_04620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQHTFAPFPAKSKKLWRSGATQGGEELSMALETRGRGIPSIAPRVSW >DRNTG_16690.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16222481:16226022:-1 gene:DRNTG_16690 transcript:DRNTG_16690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFRTNTIRFFKLLMILFTSRITMHTSDSDVSSSLSTLSLEGQFSFHDMSHAAKDFGNRFQLLPSAVLQPKSVSDISTTIKHVYQMGARSQLTVAAQGHRHSLQGQAQAPGGVVIQMESFKGPGIQVQQGKLPYVDVAGGELWINLLKETLKYGLAPKSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVYQLEIVTGKGEVMTCSPAENADLFYGVLGGLGQFGIITRARIALEPAPKMVNFFFSLAVVAVNNSKSKKLLKKNISFFQEVTLKNNSFFLFLAGKMG >DRNTG_16690.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16222481:16226022:-1 gene:DRNTG_16690 transcript:DRNTG_16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFRTNTIRFFKLLMILFTSRITMHTSDSDVSSSLSTLSLEGQFSFHDMSHAAKDFGNRFQLLPSAVLQPKSVSDISTTIKHVYQMGARSQLTVAAQGHRHSLQGQAQAPGGVVIQMESFKGPGIQVQQGKLPYVDVAGGELWINLLKETLKYGLAPKSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVYQLEIVTGKGEVMTCSPAENADLFYGVLGGLGQFGIITRARIALEPAPKMVKWVRVLYSNFTTFTQDQEMLIAAENTFDYIEGFVVINRTGILNNWRSSFSPQDPVQASHFTSDGKTLFCLEMTMNFNPDETEIMNKEIYMLLSKLRYIPSTLFQSEVTYVEFLDRVHVSELKLRAKGLWEVPHPWLNLLIPRSKIHNFADGVFGNILRDSSNGPILLYPLNKSKWDNRTSVVIPDEEVFYLVAFLSSAPSSANHDTLESALKLNADILDFCKQADIGMKQYLPYYTKEEDWRTHFGSRWKVFAQRKLDYDPLAILAPGQRIFRKTTSISSQKLLQMV >DRNTG_16690.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16222481:16226022:-1 gene:DRNTG_16690 transcript:DRNTG_16690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAENTFDYIEGFVVINRTGILNNWRSSFSPQDPVQASHFTSDGKTLFCLEMTMNFNPDETEIMNKEIYMLLSKLRYIPSTLFQSEVTYVEFLDRVHVSELKLRAKGLWEVPHPWLNLLIPRSKIHNFADGVFGNILRDSSNGPILLYPLNKSKWDNRTSVVIPDEEVFYLVAFLSSAPSSANHDTLESALKLNADILDFCKQADIGMKQYLPYYTKEEDWRTHFGSRWKVFAQRKLDYDPLAILAPGQRIFRKTTSISSQKLLQMV >DRNTG_19426.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27006988:27013442:1 gene:DRNTG_19426 transcript:DRNTG_19426.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVAAALTDVTTVPRFPKYPFCFTKTHSVLPRIPLKPVPRTPLSIVSALPQHQTPIPTSPAIPHRFSPDEPRKGADILVEALELAGVTDVFAYPGGASMEIHQALTRSPIIRNHLLRHEQGESFAAEGYARSTGRPGVCIATSGPGATNLVSALADGLLDSVPVVAVTGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVDDIPRIIKEAFFLATSGRPGPVLVDIPKDIQQQMTVPDWSTPIRLPGYVSRLPKAPERPHLEQIFRLVSESRRPVLYVGGGCIDASAELRRFVELTGIPVASTLMGLGVYPTDHELSLKMLGMHGTVYANYAVDRSDLLLAFGVRFDDRVTGKLEAFAKRAKIVHIDIDPAEIGKNKLPHVSICADIKVVLEGLNTVLQDNDSKFDFSEWREELNEKKKEFPLTYKNFGDAIPPQYAIEVLSELTNGEAIISTGVGQHQMWAAQYYTYKRPRQWLTSAGLGAMGFGLPAAAGAAVGNPGVTVVDIDGDGSFLMNIQELAMIRIENLPVKVMVLNNQHLGMVVQWEDRFYKANRAHTYLGNPANETEIYPDFVQIAKGFKIPSARITKKEDVREAIKMMLETPGPFLLDVIVPHQEHVLPMIPSGGAFKDVIVDGDGRSSY >DRNTG_32035.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001777.1:5397:6516:1 gene:DRNTG_32035 transcript:DRNTG_32035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHHHHHHQQQHQQQSSSSSSSSSSSSSSSSSSSSSSSSSSKHSNKRSRNTTTTTNNINLNMTNNNNNNNNNNNNNNNMNKSKNNPPPLYRGVRMRNWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAALTIKGQAAFLNFPELASALPRPATSSPKDIQAAAAKAAQTTFSINVEKTNLPEVEADTDIDHYDYDDDALFDLPDLLIYSSPASSSSWFSNSSLDDDDIGFRLEDHQPLLWEHSV >DRNTG_13100.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9999249:10003064:-1 gene:DRNTG_13100 transcript:DRNTG_13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLGVVQSWEGVPGLAKTQGKPVVGSHGPAFRGGVVDLSVDLSRSGGSVEPLLDDTSGSPTRDRWANVKSPHVASPVNHTPTDNRRPSIDTGLMLPLLVANRHQEHIQHFFPTIRQTMSVDRKLTIVDHQPLLITIFVFAPSSS >DRNTG_10484.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1626064:1626546:-1 gene:DRNTG_10484 transcript:DRNTG_10484.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRGIEPPFSSGHEDDEGSSVIESSFSRIVALEPSRCDPKSVETRDSEKSTKEDDE >DRNTG_10484.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1626064:1626546:-1 gene:DRNTG_10484 transcript:DRNTG_10484.6 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSLFFPFFHWCVCWDPRVWVLMHLFAGVVVSGAMEEGRGIEPPFSSGHEDDEGSSVIESSFSRIVALEPSSDPKSVETRDSEKSTKEDDE >DRNTG_10484.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1626064:1626546:-1 gene:DRNTG_10484 transcript:DRNTG_10484.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRGIEPPFSSGHEDDEGSSVIESSFSRIVALEPSRCDPKSVETRDSEKSTKEDDE >DRNTG_10484.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1626064:1626546:-1 gene:DRNTG_10484 transcript:DRNTG_10484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRGIEPPFSSGHEDDEGSSVIESSFSRIVALEPSSDPKSVETRDSEKSTKEDDE >DRNTG_10484.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1626064:1626546:-1 gene:DRNTG_10484 transcript:DRNTG_10484.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSLFFPFFHWCVCWDPRVWVLMHLFAGVVVSGAMEEGRGIEPPFSSGHEDDEGSSVIESSFSRIVALEPSRCDPKSVETRDSEKSTKEDDE >DRNTG_18223.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2717290:2722104:1 gene:DRNTG_18223 transcript:DRNTG_18223.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAQSCTLIYCIISALISLRSVESYFVGITYVESAVAKGAVCLDGSPPAYHLSPGFGSGVNNWLVQIEGGGWCNNVTTCLARKNTRLGSSKAMVKQLAFSGIFSNKQNANPDFYNWNKVKVRYCDGSSFTGDVERVDPATNLHYRGARVFQAVMDDLLAKGMNKAQNALLSGCSAGGLTSILHCDKFRALVPAGARIRCLSDAGFFINAKDITGAEHIKAFYDDVVTTHGSSKNLPSCSSPLSSPGKCFFPQYVVPQVSTPLFILNAAYDSWQVKNILAPGVADPHGSWHDCKLDIKQCSSVQLTTMQDFRTEFLSALAASAGSSTTGLFINSCYAHCQSETQETWLRSDSPVLGDTTIAKAIGDWYFDRRPFKKIDCPYPCDKTCHNRIFEDHYEA >DRNTG_18223.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2717290:2722104:1 gene:DRNTG_18223 transcript:DRNTG_18223.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQLAFSGIFSNKQNANPDFYNWNKVKVRYCDGSSFTGDVERVDPATNLHYRGARVFQAVMDDLLAKGMNKAQNALLSGCSAGGLTSILHCDKFRALVPAGARIRCLSDAGFFINAKDITGAEHIKAFYDDVVTTHGSSKNLPSCSSPLSSPGKCFFPQYVVPQVSTPLFILNAAYDSWQVKNILAPGVADPHGSWHDCKLDIKQCSSVQLTTMQDFRTEFLSALAASAGSSTTGLFINSCYAHCQSETQETWLRSDSPVLGDTTIAKAIGDWYFDRRPFKKIDCPYPCDKTCHNRIFEDHYEA >DRNTG_18223.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2717290:2722104:1 gene:DRNTG_18223 transcript:DRNTG_18223.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQLAFSGIFSNKQNANPDFYNWNKVKVRYCDGSSFTGDVERVDPATNLHYRGARVFQAVMDDLLAKGMNKAQNALLSGCSAGGLTSILHCDKFRALVPAGARIRCLSDAGFFINAKDITGAEHIKAFYDDVVTTHGSSKNLPSCSSPLSSPGKCFFPQYVVPQVSTPLFILNAAYDSWQVKNILAPGVADPHGSWHDCKLDIKQCSSVQLTTMQDFRTEFLSALAASAGSSTTGLFINSCYAHCQSETQETWLRSDSPVLGDTTIAKAIGDWYFDRRPFKKIDCPYPCDKTCHNRIFEDHYEA >DRNTG_18223.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2717290:2722104:1 gene:DRNTG_18223 transcript:DRNTG_18223.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAQSCTLIYCIISALISLRSVESYFVGITYVESAVAKGAVCLDGSPPAYHLSPGFGSGVNNWLVQIEGGGWCNNVTTCLARKNTRLGSSKAMVKQLAFSGIFSNKQNANPDFYNWNKVKVRYCDGSSFTGDVERVDPATNLHYRGARVFQAVMDDLLAKGMNKAQNALLSGCSAGGLTSILHCDKFRALVPAGARIRCLSDAGFFINAKDITGAEHIKAFYDDVVTTHGSSKNLPSCSSPLSSPGKCFFPQYVVPQVSTPLFILNAAYDSWQVKNILAPGVADPHGSWHDCKLDIKQCSSVQLTTMQDFRTEFLSALAASAGSSTTGLFINSCYAHCQSETQETWLRSDSPVLGDTTIAKAIGDWYFDRRPFKKIDCPYPCDKTCHNRIFEDHYEA >DRNTG_18223.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2717290:2722104:1 gene:DRNTG_18223 transcript:DRNTG_18223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAQSCTLIYCIISALISLRSVESYFVGITYVESAVAKGAVCLDGSPPAYHLSPGFGSGVNNWLVQIEGGGWCNNVTTCLARKNTRLGSSKAMVKQLAFSGIFSNKQNANPDFYNWNKVKVRYCDGSSFTGDVERVDPATNLHYRGARVFQAVMDDLLAKGMNKAQNALLSGCSAGGLTSILHCDKFRALVPAGARIRCLSDAGFFINAKDITGAEHIKAFYDDVVTTHGSSKNLPSCSSPLSSPGKCFFPQYVVPQVSTPLFILNAAYDSWQVKNILAPGVADPHGSWHDCKLDIKQCSSVQLTTMQDFRTEFLSALAASAGSSTTGLFINSCYAHCQSETQETWLRSDSPVLGDTTIAKAIGDWYFDRRPFKKIDCPYPCDKTCHNRIFEDHYEA >DRNTG_19537.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:10760551:10762787:-1 gene:DRNTG_19537 transcript:DRNTG_19537.2 gene_biotype:protein_coding transcript_biotype:protein_coding STLLLSSCSSVSREDIYYFDLLCCSVRAIVALNLHNYGSGRNPWGNPKPEYLEKRGFVEANVDDGLLEIFGLKQGWHVSFVMVELISAKHIAQAAAIRLEIRGGESKQAYMQMDGEPWKQPIDCERLTFVDIQRVPFQSVLIGGSRLF >DRNTG_19537.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:10760551:10804165:-1 gene:DRNTG_19537 transcript:DRNTG_19537.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVAPEPCWIRSSGARVTKEDLRRMVAIPGRITMAMKEAAAIKDTVGAAIAAAAAEMEVEELPEAPVVVFVNAKSGGRHGPMLKDRLQHLIGEDQVYDLSIVKPSDFVQYGLACLEELSSRGDYCAQTTREKLRIMVAGGDGTVGWILGSLAELHLKNRAPVPPIGIIPLGTGNDLSRSFGWGGSFPFGWRSAVRNSLYRAITGPICHLDSWQVIVSMPEGEKIELPHCLRHLEDQSSIQDRDAKEELPEWFSRFEGVFYNYFSIGMDAQVAYGFHHLRDEKPYIAQGPIANKFIYTGYGCTQGWFVTPCMADPSLRGLKNILRLHIKKVNCPDWEQIHVPKSVRAIVALNLHNYGSGRNPWGNPKPEYLEKRGFVEANVDDGLLEIFGLKQGWHVSFVMVELISAKHIAQAAAIRLEIRGGESKQAYMQMDGEPWKQPIDCERLTFVDIQRVPFQSVLIGGSRLF >DRNTG_01992.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13360987:13361606:1 gene:DRNTG_01992 transcript:DRNTG_01992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCRGVIALKASVLQSFSYLLASISSQESIHIETHTVASQTIQSYRNGADIYNGDAFCKKKAIQLLKEIGLPNGLFPLDDIEEFGYNHEAGFVWLIQKKKKDHTFKKIKRAVSYAPEVTAFNEKGKMKKMTGVKMKELMLCLSIVDMYIDDPASKKITFKTGTSLSDSFPVSAFEFEE >DRNTG_09325.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1021909:1026255:-1 gene:DRNTG_09325 transcript:DRNTG_09325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLLQLFLFSLLFILHCTSAMDSLFQGQSLKDGQTLISSGQVFELGFFSSGNPFHRYVGIWYYNLSVQTVVWVANRDNPVTNTTGILIMATNGNLQVINGSGAIIWSTNIFTSSNSSTAKLNDYGNLILETTDDKTMLWQSFDHPSDTFLPGMKLSLNIKTGDNQLFTSWKSLTDPSKGNYSLGMDPSGSAQIFIWEGNLPRWRSGQWDGGTFIGTKMRALNIYGFSLTNDQQEGMMYFTFAPANSSLLKFALQYDGVENTSILVPQTKQWSSVWVQPVNECEIYGHCGINGMCSLVGDSSSPACSCLQGFQPKFPSEWNNGNWSAGCVRTTQLECEILLNSSSTSSNNNSESKGKTDGFVQIERLKLPDFSEWVNTDGCESYCMSNCSCKAYAYVTSIGCLVWSRDLIDIYQFSDGGQNLFIKVGGLDLDGSSKIWITIVVVSVIGVAILLLVISMWWRWRFNTKIKEWWKKDGRQENISSSLQLSTDARSGFSGVLEQQEEGQEGEDVQLPVYTVDFIASVTDNFDESNKLGEGGFGIVYKGVLPGGEIVAIKRLSRSSGQGLEQFKNEVILIAKLQHRNLVRLLGCCIQGEEKMLIYEFMPNKSLDAFLFDPRKKEMLGWSKRFEIIKGIARGLLYLHRDSRLRIVHRDLKASNILLDEEMNPKISDFGMARIFGGDQNEANTNRLVGTYGYMSPEYAMEGLFSVKSDVYSFGVLMLEIITGRRNNSFYHLEDSPNIIGYVWPLWNEDRVMELIDENMQKTCSAQQVSRCVHIGLLCVQDRVNDRPDMSAVVLMLESGGAILQTPKQPTFVSERGPIETGPFSVNDLTISIFSAR >DRNTG_09325.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1021909:1026255:-1 gene:DRNTG_09325 transcript:DRNTG_09325.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLLQLFLFSLLFILHCTSAMDSLFQGQSLKDGQTLISSGQVFELGFFSSGNPFHRYVGIWYYNLSVQTVVWVANRDNPVTNTTGILIMATNGNLQVINGSGAIIWSTNIFTSSNSSTAKLNDYGNLILETTDDKTMLWQSFDHPSDTFLPGMKLSLNIKTGDNQLFTSWKSLTDPSKGNYSLGMDPSGSAQIFIWEGNLPRWRSGQWDGGTFIGTKMRALNIYGFSLTNDQQEGMMYFTFAPANSSLLKFALQYDGVENTSILVPQTKQWSSVWVQPVNECEIYGHCGINGMCSLVGDSSSPACSCLQGFQPKFPSEWNNGNWSAGCVRTTQLECEILLNSSSTSSNNNSESKGKTDGFVQIERLKLPDFSEWVNTDGCESYCMSNCSCKAYAYVTSIGCLVWSRDLIDIYQFSDGGQNLFIKVGGLDLDGSSKIWITIVVVSVIGVAILLLVISMWWRWRFNTKIKGKLYACLHVHLL >DRNTG_09325.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1021909:1023761:-1 gene:DRNTG_09325 transcript:DRNTG_09325.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYEFMPNKSLDAFLFDPRKKEMLGWSKRFEIIKGIARGLLYLHRDSRLRIVHRDLKASNILLDEEMNPKISDFGMARIFGGDQNEANTNRLVGTYGYMSPEYAMEGLFSVKSDVYSFGVLMLEIITGRRNNSFYHLEDSPNIIGYVWPLWNEDRVMELIDENMQKTCSAQQVSRCVHIGLLCVQDRVNDRPDMSAVVLMLESGGAILQTPKQPTFVSERGPIETGPFSVNDLTISIFSAR >DRNTG_09325.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1021909:1023761:-1 gene:DRNTG_09325 transcript:DRNTG_09325.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWWRWRFNTKIKEWWKKDGRQENISSSLQLSTDARSGFSGVLEQQEEGQEGEDVQLPVYTVDFIASVTDNFDESNKLGEGGFGIVYKGVLPGGEIVAIKRLSRSSGQGLEQFKNEVILIAKLQHRNLVRLLGCCIQGEEKMLIYEFMPNKSLDAFLFDPRKKEMLGWSKRFEIIKGIARGLLYLHRDSRLRIVHRDLKASNILLDEEMNPKISDFGMARIFGGDQNEANTNRLVGT >DRNTG_11363.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3722987:3723293:-1 gene:DRNTG_11363 transcript:DRNTG_11363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPHGDCSLERDRVGMRGLSRVSGTVGSGGARSEACRELG >DRNTG_11333.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23580561:23604111:1 gene:DRNTG_11333 transcript:DRNTG_11333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGKYRLFTLHYALFFFQCLNSFYTYSYGILLTSVLDDQNDNKNSQLRTYIVHVQRPKHHELLSDEDIEDWHRSFLPNTSLDTGEPRLVHSYRHAISGFAARLTSEQVRGMESMEGFLSARPSRTLKLATTHTPSFLGFNSQSGLWPDSFMGSGVIIGVIDSGITPNHASFMDDGKIPPKPLKWNGTCGFHNKTLCNNKLIGAMAFNGSRRPSPKDGKKDGHGTHVAGIAAGNLVDNTNVLGLAKGRASGTAPKAHLATYKACYRTSCNNADIAAAIDEAIKNGVDILNLSLGRLNPSPFYDDDIMIATLSAVRAKIFVCMCAGNTGPFPNSLWNNGVPWILTVGASSHDRRVRATVRLGNGVELEGESGYQPSTTNATGNIIFPGFIGQNGTEGCKKNSFNNIDVKGKIVLCVILRGSFRDMSINVKNAGGVGMIVINTFAEGSTTFSNDYVLPTSHVNYTEARKIVYYFINSSSTATATIAFNGTKFGARPSPTIGYFSSRGPYAYNGGIIKPDILGPGVNILSAWPVKPGPNPNGPPTSYFNFLSGTSMASPHLAGIAALLKNTHKNWSAAAIRSAIMTTANRYDLDGNPILDDYDEHINRANITDMGSGQVNPVAANDPGLIYDINPEQYIQYLCGLGYNDTQVSIVASNSVQCSVVGSIAPEDLNYPSISIYLDPLKSKFVNRTLTNVVGANEVYNIHVEEPKGISVVVSPSLIQFSVIGEQKNITLEFSSKGIHSFGKPLTSVLDDLHDKKESQLRTYIVHIRRPKHDELLGDEDVEDWHRSFLPNTTLDTGEPRLIHSYRHAISGFAARLTSEEVRAIESMEGFLIARPSRTLKLSTTYTPRFLGLDRQSGLWVDSFMGGVVGMPLNQA >DRNTG_30932.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28160707:28166173:-1 gene:DRNTG_30932 transcript:DRNTG_30932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGPMDFNKIGDKAMLNGGKEMTRKLIKSNVLVSGLSGHGAEIAKNFILACVTQSLSLPSFPLSSHHEDMISAMGGGATQNDKEERLNSQMQVVQSQQRSENQSVDAFSAVMGGTRLGRAMFYGRSVTPTDLKEKELVVNLL >DRNTG_19088.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:85464:86294:-1 gene:DRNTG_19088 transcript:DRNTG_19088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSPPNFKVLNGGDFKPVTATTLRPLEGRVAIVTGGSGGIGGEICRHLASLGAKVVISYVGDQKPAGQLVASINQNQAQPQAVAVESDVTDPTQVGALFDEASRVFGPNLHIFVAAAGVQDPKYPSMAETSVESWERVFNTNAKGTFLCCREAAKRLVRGGGGRIITMSSSTVGSLRPGYSTYSASKAAIEVMTKVLAKELRGTRITANAVAPGPIATAMFFEGKTEERIRTVAVENPMQRLGEPRDVAPMVGFLASDAGEWVNGQIIRLNGGYV >DRNTG_17552.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29120352:29121489:-1 gene:DRNTG_17552 transcript:DRNTG_17552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSSDYHHQIMNTHTLEDVLVQQDQQQQQQQQQQQEKKPRPSPETALKCPRCESTNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNKKSSSNSSSSSSSSSSSKRSHDHYPLNTLPTPPPPFSPFDPNDLTLAFARLHNPNPNPNTSPSFITTTPYSNTALLDALRTGNTSTNPSGFQSLYYDNCLGLDGGNLFGSSVTTTTATITTTTTTSSSHEAMDHHGEENKAYLGLQWQLGNLDAGRDYWNGVNSSSWHDAGLINSSLL >DRNTG_32764.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20123101:20128767:1 gene:DRNTG_32764 transcript:DRNTG_32764.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRANYSMGYRYGASSSTLPNPHMMSFQSGAMDTSTSLTCNPVGLPSGQPGMVGTSSHVGRTGDPGPSNVLAGPGSGLKWNPGLAQEWSEAEDALLIGGLSKFGNESPLSKYVKIAATLKNKTVRDVALRCQWLNDKRKINRRKPDDHHVKKLKDRKEKMIASQANANMATMAPYNKATYSERTQSEVPVGDFVAQLLDQNNHLIQSILSNSGNRQQSFGLAQIKNNAALLSHLRDNIVIIKHWMSQSPVMSLMPPLPALKEESAIFLQEVANMDFQYGGL >DRNTG_32764.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20123101:20128767:1 gene:DRNTG_32764 transcript:DRNTG_32764.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRANYSMGYRYGASSSTLPNPHMMSFQSGAMDTSTSLTCNPVGLPSGQPGMVGTSSHVGRTGDPGPSNVLAGPGSGLKWNPGLAQEWSEAEDALLIGGLSKFGNESPLSKYVKIAATLKNKTVRDVALRCQWLNDKRKINRRKPDDHHVKKLKDRKEKMIASQANANMATMAPYNKATYSERTQSEVPVGDFVAQLLDQNNHLIQSILSNSGNRQQSFGLAQIKNNAALLSHLRDNIVIIKHWMSQSPVMSLMPPLPALKEESAIFLQEVANMDFQYGGL >DRNTG_32764.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20123101:20128767:1 gene:DRNTG_32764 transcript:DRNTG_32764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRANYSMGYRYGASSSTLPNPHMMSFQSGAMDTSTSLTCNPVGLPSGQPGMVGTSSHVGRTGDPGPSNVLAGPGSGLKWNPGLAQEWSEAEDALLIGGLSKFGNESPLSKYVKIAATLKNKTVRDVALRCQWLNDKRKINRRKPDDHHVKKLKDRKEKMIASQANANMATMAPYNKATYSERTQSEVPVGDFVAQLLDQNNHLIQSILSNSGNRQQSFGLAQIKNNAALLSHLRDNIVIIKHWMSQSPVMSLMPPLPALKEESAIFLQEVANMDFQYGGL >DRNTG_18229.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2738571:2748429:-1 gene:DRNTG_18229 transcript:DRNTG_18229.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGSGGGDLVRRPSGSASPSQPREGAFRPFKGFRSSGESSLKSRSLRVVVGMPMVARLTRDIIYTYQLCNPNFKYSDALNPRRFLTNPSAGVLNDGFDNVNSDLILHANLELVNSESKQRYIVKDMLGQGTFGQVAKCWVSETNSFVAVKIIKNQPAFYQQALVEVAILSKLNEFDPVDKHHIVRILDYFVFQRHLCITFEMLGNNLFELMKMNHFKGLSLSIVQMFSKQILDALVVMREADIIHCDLKPENILISTAVKPTKIKVIDFGSACMEGRTVYSYIQSRYYRSPEVLLGCAYTTAIDMWSFGCIVAELFLGLPLFPGASEYDLLIRMIETLGAQPPDDLLWKAKNASKFFKKVGSIYHAKDDEACKRNMSAYRVLGEEEYEARESEKPLIGKRYFNFIKLEDIVVNYPYRKNLSEDELSKEKLTRLALIDFLRGLVHFDPKKRWSPWQASRHPFVTGEPFSCPYEPPSETPRIVSSLLFELVGRSSPLIMCVHLYPFDRLIIWSYSDVACGLVKILCSYIILNFHFANPHKSA >DRNTG_18229.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2738571:2748429:-1 gene:DRNTG_18229 transcript:DRNTG_18229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGSGGGDLVRRPSGSASPSQPREGAFRPFKGFRSSGESSLKSRSLRVVVGMPMVARLTRDIIYTYQLCNPNFKYSDALNPRRFLTNPSAGVLNDGFDNVNSDLILHANLELVNSESKQRYIVKDMLGQGTFGQVAKCWVSETNSFVAVKIIKNQPAFYQQALVEVAILSKLNEFDPVDKHHIVRILDYFVFQRHLCITFEMLGNNLFELMKMNHFKGLSLSIVQMFSKQILDALVVMREADIIHCDLKPENILISTAVKPTKIKVIDFGSACMEGRTVYSYIQSRYYRSPEVLLGCAYTTAIDMWSFGCIVAELFLGLPLFPGASEYDLLIRMIETLGAQPPDDLLWKAKNASKFFKKVGSIYHAKDDEACKRNMSAYRVLGEEEYEARESEKPLIGKRYFNFIKLEDIVVNYPYRKNLSEDELSKEKLTRLALIDFLRGLVHFDPKKRWSPWQASRHPFVTGEPFSCPYEPPSETPRIPVMHTITVDHNPVGGHWHAAGLSPQVLSLNRCVPQNSPHFQMAPFSYGSYGSMGSHGSYNDNVGLAGSYGSHGDVNGNYTCYPQIGHGLSIHAQVGGSLLGVSPDGRRRHPFSHGNSVSVSPSTGNIAPMSLGASPSQFTPPTSQLQISTVSPGKYGPTSPARGSSHGSPLGKAVAIGQYNRRRSWGTPGTLHMQPHENASQQWHGHHIDGSTSIYPDTYSREHTSHTSYSASNFSNWRQQRSGGTVLSSGPTTTTYHNFTASHTFVHNQELLCEKPESSSSALNPADWDPNYSDDLLLEEDDSEVSSLSLRLADNARFIDAFDAGIGPSAANQLSHGHMQAHRSSNFSSSNQRTDGRIPEYSVCDGSPPSTHDAHTGHGWLSHFQPNSPSRFRQQSAHSVNHMYSANLHGECTPQASQHSHSNYGLADSHSPHTSLLGNGNAWGRRAAHPIATTLPSYHSRKDFGRIS >DRNTG_19383.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22251230:22252123:-1 gene:DRNTG_19383 transcript:DRNTG_19383.1 gene_biotype:protein_coding transcript_biotype:protein_coding NKEGKERELEREECSSQLKKKLYDKA >DRNTG_04083.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5517787:5518179:-1 gene:DRNTG_04083 transcript:DRNTG_04083.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein REV1 [Source:Projected from Arabidopsis thaliana (AT5G44750) UniProtKB/Swiss-Prot;Acc:A3EWL3] MMHTSDTISRSGASSSSTSRSSKKRGRPAKNSTTTRWKSPFADFGSYMVEKNRKLRHQFDAAASSSSFGSGRDSGDGKGVFHGVSIFIDGFTIPSSQ >DRNTG_04083.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5504233:5514458:-1 gene:DRNTG_04083 transcript:DRNTG_04083.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein REV1 [Source:Projected from Arabidopsis thaliana (AT5G44750) UniProtKB/Swiss-Prot;Acc:A3EWL3] MNIRKEIAETTQCTASAGIACNLLLARLATKSAKPNGQYYIPSEKVDDYLKELPVRALPGIGHALGEKLKRRQIQTCGQLKMISKEVLHKDFGAKIGDLLWNYSHGIDNRTVQMVQEMKSVGAEVNWGVRFNDDVDCHRFLMSLSEEVSLRLQGCGVLGRTITLKVKRRRIGAGEPSKYMGHGDCENLSHSTTVAVATDNIAVLQRMTKQLFSSFNLDVKEVRGIGLQVSRLENIDIARQ >DRNTG_04083.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5498531:5517708:-1 gene:DRNTG_04083 transcript:DRNTG_04083.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein REV1 [Source:Projected from Arabidopsis thaliana (AT5G44750) UniProtKB/Swiss-Prot;Acc:A3EWL3] MWQVADQFYSILHKHCNRVQALSCDEAFLDITGCCNNDPEQVAMNIRKEIAETTQCTASAGIACNLLLARLATKSAKPNGQYYIPSEKVDDYLKELPVRALPGIGHALGEKLKRRQIQTCGQLKMISKEVLHKDFGAKIGDLLWNYSHGIDNRTVQMVQEMKSVGAEVNWGVRFNDDVDCHRFLMSLSEEVSLRLQGCGVLGRTITLKVKRRRIGAGEPSKYMGHGDCENLSHSTTVAVATDNIAVLQRMTKQLFSSFNLDVKEVRGIGLQVSRLENIDIARQGREGNALESWLASASVDTREGQKMVCQDIKRDIGVVQFPGGDPQASSLNDANLTGSSILANGVQSSLRYSENRPSALPPLCHLDVEVMKSLPLEIISEMNDFYNGKLCDYLEKLKRNNSTADPALPTELIPRIDETALLSNNQAGSNIDQNMNPGDSEPLELHPGGRSLSRKLLPSASTLLTTPTKSKATESISHQIQSVPFTCSRSNDQPMISNAHVHQNDVMPVSLSQADFTVLQQLPEDLKLDIFELLPAHRVENRSSSISIGTINKFCDSKDIGNDKDFKLQFWMGNPPNWVDKFMHSGCLFLSSIANMYSTSGGTGLLSTALQSVFSFLHKLSDSSLKQWDESCSCVCELLTQYIDLKIESDIEELYVCFRLLKRFAAISTFFAEVYATVLPSLQISVSENYGGQFQLSID >DRNTG_04083.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5498434:5504303:-1 gene:DRNTG_04083 transcript:DRNTG_04083.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein REV1 [Source:Projected from Arabidopsis thaliana (AT5G44750) UniProtKB/Swiss-Prot;Acc:A3EWL3] MTKILNSNSGWGILQIGSISLCIAAACF >DRNTG_04083.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5498531:5504074:-1 gene:DRNTG_04083 transcript:DRNTG_04083.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein REV1 [Source:Projected from Arabidopsis thaliana (AT5G44750) UniProtKB/Swiss-Prot;Acc:A3EWL3] MTKILNSNSGWGILQIGSISLCIAAACF >DRNTG_04083.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5498531:5515361:-1 gene:DRNTG_04083 transcript:DRNTG_04083.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein REV1 [Source:Projected from Arabidopsis thaliana (AT5G44750) UniProtKB/Swiss-Prot;Acc:A3EWL3] MFVRDAKARCPHLVTFPYDFEAYQVVADQFYSILHKHCNRVQALSCDEAFLDITGCCNNDPEQVAMNIRKEIAETTQCTASAGIACNLLLARLATKSAKPNGQYYIPSEKVDDYLKELPVRALPGIGHALGEKLKRRQIQTCGQLKMISKEVLHKDFGAKIGDLLWNYSHGIDNRTVQMVQEMKSVGAEVNWGVRFNDDVDCHRFLMSLSEEVSLRLQGCGVLGRTITLKVKRRRIGAGEPSKYMGHGDCENLSHSTTVAVATDNIAVLQRMTKQLFSSFNLDVKEVRGIGLQVSRLENIDIARQGREGNALESWLASASVDTREGQKMVCQDIKRDIGVVQFPGGDPQASSLNDANLTGSSILANGVQSSLRYSENRPSALPPLCHLDVEVMKSLPLEIISEMNDFYNGKLCDYLEKLKRNNSTADPALPTELIPRIDETALLSNNQAGSNIDQNMNPGDSEPLELHPGGRSLSRKLLPSASTLLTTPTKSKATESISHQIQSVPFTCSRSNDQPMISNAHVHQNDVMPVSLSQADFTVLQQLPEDLKLDIFELLPAHRVENRSSSISIGTINKFCDSKDIGNDKDFKLQFWMGNPPNWVDKFMHSGCLFLSSIANMYSTSGGTGLLSTALQSVFSFLHKLSDSSLKQWDESCSCVCELLTQYIDLKIESDIEELYVCFRLLKRFAAISTFFAEVYATVLPSLQISVSENYGGQFQLSID >DRNTG_04083.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5498531:5518179:-1 gene:DRNTG_04083 transcript:DRNTG_04083.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein REV1 [Source:Projected from Arabidopsis thaliana (AT5G44750) UniProtKB/Swiss-Prot;Acc:A3EWL3] MMHTSDTISRSGASSSSTSRSSKKRGRPAKNSTTTRWKSPFADFGSYMVEKNRKLRHQFDAAASSSSFGSGRDSGDGKGVFHGVSIFIDGFTIPSSQELKGFMLNHGGRVENYFSREHVTHIICSNLPDSKKRNFRAFSRGLPVVKPAWVVDSIAANKLLSWVPYQLECSTPGNSKQQSLSSFLIPQSFSSFKDADTADLKDADAATPEDPHFQSEDMHPTSEKEELEHLLLSDENNICQYGSQESEGEMQVSNFTNQEDHNSIMKTFESSPDRPSDMTSSSHLDIKTTKESLNTKSPRVSFPRHSTSGDPDFVKNYFKKSRLHFIGTWRNRYRKRFSNLLSQNKHPKTSVIHDTIKPAIIHIDMDCFFVSVVIRNYPELLDKPVAVCHSDNPKGTAEISSANYPARDYGVRAGMFVRDAKARCPHLVTFPYDFEAYQVVADQFYSILHKHCNRVQALSCDEAFLDITGCCNNDPEQVAMNIRKEIAETTQCTASAGIACNLLLARLATKSAKPNGQYYIPSEKVDDYLKELPVRALPGIGHALGEKLKRRQIQTCGQLKMISKEVLHKDFGAKIGDLLWNYSHGIDNRTVQMVQEMKSVGAEVNWGVRFNDDVDCHRFLMSLSEEVSLRLQGCGVLGRTITLKVKRRRIGAGEPSKYMGHGDCENLSHSTTVAVATDNIAVLQRMTKQLFSSFNLDVKEVRGIGLQVSRLENIDIARQGREGNALESWLASASVDTREGQKMVCQDIKRDIGVVQFPGGDPQASSLNDANLTGSSILANGVQSSLRYSENRPSALPPLCHLDVEVMKSLPLEIISEMNDFYNGKLCDYLEKLKRNNSTADPALPTELIPRIDETALLSNNQAGSNIDQNMNPGDSEPLELHPGGRSLSRKLLPSASTLLTTPTKSKATESISHQIQSVPFTCSRSNDQPMISNAHVHQNDVMPVSLSQADFTVLQQLPEDLKLDIFELLPAHRVENRSSSISIGTINKFCDSKDIGNDKDFKLQFWMGNPPNWVDKFMHSGCLFLSSIANMYSTSGGTGLLSTALQSVFSFLHKLSDSSLKQWDESCSCVCELLTQYIDLKIESDIEELYVCFRLLKRFAAISTFFAEVYATVLPSLQISVSENYGGQFQLSID >DRNTG_02984.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9021354:9023725:-1 gene:DRNTG_02984 transcript:DRNTG_02984.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGTRPDTFFTTEAIRSVSSEVTTDLKIQVQNSLYLLHKFPLLSKCQLLQKLVAETKNSSSDIVLHLPDIPGGAEAFEQCAKFCYSITITLSALNIVSVRCAAEYLGMTEEVDRGNLVSKLDVFLKSCILHRWKDTLVVLQSTKQYPELSEKLRITSQCIEAIASMIINKRPTGKGWWAEDIAQLGIDLYWRIMVAVKSSGSVPDKVIGGALKVYACKWLPTVQRKQVPEINSSCMGSFQEITSKHRLILERIVSLLPMDKGSVSCSFLLKLLKSGNILNSSSSSKMELVRKVGMQLDEATVTDLLIPSLSYNDETLYDVDLVISILEEFMLQGQSPRTSPTRGKFGGIERRRRSRSAEDIDFEGVQENSRRSSSASHGSKLRVAKLIDGYLQEIAKDANLPMEKVIALAEAVPDFARQDHDDLYRVIDTYLRVCICSLSSLIV >DRNTG_02984.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9021354:9023725:-1 gene:DRNTG_02984 transcript:DRNTG_02984.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGTRPDTFFTTEAIRSVSSEVTTDLKIQVQNSLYLLHKFPLLSKCQLLQKLVAETKNSSSDIVLHLPDIPGGAEAFEQCAKFCYSITITLSALNIVSVRCAAEYLGMTEEVDRGNLVSKLDVFLKSCILHRWKDTLVVLQSTKQYPELSEKLRITSQCIEAIASMIINKRPTGKGWWAEDIAQLGIDLYWRIMVAVKSSGSVPDKVIGGALKVYACKWLPTVQRKQVPEINSSCMGSFQEITSKHRLILERIVSLLPMDKGSVSCSFLLKLLKSGNILNSSSSSKMELVRKVGMQLDEATVTDLLIPSLSYNDETLYDVDLVISILEEFMLQGQSPRTSPTRGKFGGIERRRRSRSAEDIDFEGVQENSRRSSSASHGSKLRVAKLIDGYLQEIAKDANLPMEKVIALAEAVPDFARQDHDDLYRVIDTYLRVCICSLSSLIV >DRNTG_02984.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9020834:9023725:-1 gene:DRNTG_02984 transcript:DRNTG_02984.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGTRPDTFFTTEAIRSVSSEVTTDLKIQVQNSLYLLHKFPLLSKCQLLQKLVAETKNSSSDIVLHLPDIPGGAEAFEQCAKFCYSITITLSALNIVSVRCAAEYLGMTEEVDRGNLVSKLDVFLKSCILHRWKDTLVVLQSTKQYPELSEKLRITSQCIEAIASMIINKRPTGKGWWAEDIAQLGIDLYWRIMVAVKSSGSVPDKVIGGALKVYACKWLPTVQRKQVPEINSSCMGSFQEITSKHRLILERIVSLLPMDKGSVSCSFLLKLLKSGNILNSSSSSKMELVRKVGMQLDEATVTDLLIPSLSYNDETLYDVDLVISILEEFMLQGQSPRTSPTRGKFGGIERRRRSRSAEDIDFEGVQENSRRSSSASHGSKLRVAKLIDGYLQEIAKDANLPMEKVIALAEAVPDFARQDHDDLYRVIDTYLRAHQDLDKSERKRLCRILNCKKLSVEACMHAAQNELLPLRMVVQVLFFEQTRAAMNGGEVTELPQSLKALLAKTNYVDQGNKRTNKMPNISNAESFDDDWSMPGFKTPRSKLATLKMKLAEADNDVEEDVMQCDSLGRSGSSRFKSICSIPSKPKRILSKLWAMNRSVILDLWCQC >DRNTG_02984.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9021354:9023725:-1 gene:DRNTG_02984 transcript:DRNTG_02984.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGTRPDTFFTTEAIRSVSSEVTTDLKIQVQNSLYLLHKFPLLSKCQLLQKLVAETKNSSSDIVLHLPDIPGGAEAFEQCAKFCYSITITLSALNIVSVRCAAEYLGMTEEVDRGNLVSKLDVFLKSCILHRWKDTLVVLQSTKQYPELSEKLRITSQCIEAIASMIINKRPTGKGWWAEDIAQLGIDLYWRIMVAVKSSGSVPDKVIGGALKVYACKWLPTVQRKQVPEINSSCMGSFQEITSKHRLILERIVSLLPMDKGSVSCSFLLKLLKSGNILNSSSSSKMELVRKVGMQLDEATVTDLLIPSLSYNDETLYDVDLVISILEEFMLQGQSPRTSPTRGKFGGIERRRRSRSAEDIDFEGVQENSRRSSSASHGSKLRVAKLIDGYLQEIAKDANLPMEKVIALAEAVPDFARQDHDDLYRVIDTYLRAHQDLDKSERKRLCRILNCKKLSVEACMHAAQNELLPLRMVVQVLFFEQTRAAMNGGEVTELPQSLKALLAKTNYVDQGNKRTNKMPNISNAESFDDDWSMPGFKTPRSKLATLKMKLAEADNDVEEDVMQCDSLGRSGSSRFKSICSIPSKPKRILSKLWAMNRSVSERN >DRNTG_02984.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9021354:9023725:-1 gene:DRNTG_02984 transcript:DRNTG_02984.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGTRPDTFFTTEAIRSVSSEVTTDLKIQVQNSLYLLHKFPLLSKCQLLQKLVAETKNSSSDIVLHLPDIPGGAEAFEQCAKFCYSITITLSALNIVSVRCAAEYLGMTEEVDRGNLVSKLDVFLKSCILHRWKDTLVVLQSTKQYPELSEKLRITSQCIEAIASMIINKRPTGKGWWAEDIAQLGIDLYWRIMVAVKSSGSVPDKVIGGALKVYACKWLPTVQRKQVPEINSSCMGSFQEITSKHRLILERIVSLLPMDKGSVSCSFLLKLLKSGNILNSSSSSKMELVRKVGMQLDEATVTDLLIPSLSYNDETLYDVDLVISILEEFMLQGQSPRTSPTRGKFGGIERRRRSRSAEDIDFEGVQENSRRSSSASHGSKLRVAKLIDGYLQEIAKDANLPMEKVIALAEAVPDFARQDHDDLYRVIDTYLRAHQDLDKSERKRLCRILNCKKLSVEACMHAAQNELLPLRMVVQVLFFEQTRAAMNGGEVTELPQSLKALLAKTNYVDQGFKTPRSKLATLKMKLAEADNDVEEDVMQCDSLGRSGSSRFKSICSIPSKPKRILSKLWAMNRSVSERN >DRNTG_15472.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16442743:16448779:-1 gene:DRNTG_15472 transcript:DRNTG_15472.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABC1 [Source:Projected from Arabidopsis thaliana (AT1G43890) UniProtKB/Swiss-Prot;Acc:O23657] MDSASSQPEFDYLFKLLLIGDSGVGKSSLLLRFTSDSFEDLSPTIGVDFKVKMVTMGCKRLKLAIWDTAGQERFRTLTSSYYRGAQGVIMVYDVTRRDTFTNLSDVWAKEIDLYSTNQDCIKMLVGNKVDKESERVVTKKEGIDFARQYGCLFLECSAKTRVNVEQCFEELVLKILDTPSLLAEGSSGLKKNIFKQKPPAAADASTSSCC >DRNTG_34777.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6871047:6873095:1 gene:DRNTG_34777 transcript:DRNTG_34777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVKVLSEHHEHVSVADRVHGRSRNQRNRESTRACGNYPCPCGNSIRARGASTPV >DRNTG_13617.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6226514:6229279:-1 gene:DRNTG_13617 transcript:DRNTG_13617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLGTTQKCKACEKTVYPVEQLTADNKAYHRACFRCHHCKGTLKFSNYSSFEGVLYCKPHYDQLFKMTGSLDKSFEGAPRAKPERANGHEVYVNNRVSSVFVGTQDKCVICKKTVYPIEKVAVDGQSYHRPCFKCAHGGCVISPSNYVAHEGRLYCRHHHSQLFKAKGNFSRLEDKHEEVKVIETKQENINDHQEPEKINDHQEPESQNPENNTETAESVSA >DRNTG_13259.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:12012922:12014619:-1 gene:DRNTG_13259 transcript:DRNTG_13259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRVAYGGHSTSNSRVQDRCVREEDQHVSTLKVARVALNIRFY >DRNTG_33033.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001940.1:3881:6871:1 gene:DRNTG_33033 transcript:DRNTG_33033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRKLPMGVRGLHDRSQRLVHAPVPSSEAYRRHHDHFSK >DRNTG_21685.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1025988:1030750:1 gene:DRNTG_21685 transcript:DRNTG_21685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEMESGGEELEGSELLLLGSDERERSWRLNFDGFRRSEHLEKPPRRLQDCLGVLGSGDDVADYYQQQGEMLEGFTEMDTLTDRGFLPRLSKEERESIAKAEKMAIRISNLANMVLFAAKVYASFRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYRYPIGKRRMQPLGILVFASVMATLGTSDNHRVYTLSNF >DRNTG_17216.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2811684:2827406:1 gene:DRNTG_17216 transcript:DRNTG_17216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKPIVQLLLSIIVLLFAVAGDSFAFPEELSPIAKLRLPSGQSVANSPGASSGSIVACNRVHFRGLPRLQNLRKFAHSRKIRVSVDQGDGFLHPLTVEVCLHRNSSIGLCMCSDSQWQRLYKGSWMHSISPYGDVILDIRRSINFASPIEVYNDEEFLFHRVVFLVVGMVLMLLSRVLSESLVFYYGSAMTIGIILVILMILFQGMKLLPTGRKSSLAIFMYSSIVGAATVILHYVSGLLRAVLIEIGIGEDMHNPLGVFLLVGLALAGAWCGYWGVRKLVLTEEGLVDTGVAYFVEWATMIFSGVMILQCSLDVPLAVETLLVAITISIMIRTKGKLRFFRRLFRKMMKNIKSRFGAPHREYFDSHDSHQSREPGSHSARSQSKHSKLASFASPAQGLAGTSQQMTPNGETYYSTFHNTPEKRRFTEEEWDKFTKDQTSKALRELVSSPDFNQWASANVEKLTLTPPGSNNHHKRRRFFLF >DRNTG_17216.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2811684:2827406:1 gene:DRNTG_17216 transcript:DRNTG_17216.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPTGRKSSLAIFMYSSIVGAATVILHYVSGLLRAVLIEIGIGEDMHNPLGVFLLVGLALAGAWCGYWGVRKLVLTEEGLVDTGVAYFVEWATMIFSGVMILQCSLDVPLAVETLLVAITISIMIRTKGKLRFFRRLFRKMMKNIKSRFGAPHREYFDSHDSHQSREPGSHSARSQSKHSKLASFASPAQGLAGTSQQMTPNGETYYSTFHNTPEKRRFTEEEWDKFTKDQTSKALRELVSSPDFNQWASANVEKLTLTPPGSNNHHKRRRFFLF >DRNTG_17216.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2811684:2827406:1 gene:DRNTG_17216 transcript:DRNTG_17216.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKPIVQLLLSIIVLLFAVAGDSFAFPEELSPIAKLRLPSGQSVANSPGASSGSIVACNRVHFRGLPRLQNLRKFAHSRKIRVSVDQGDGFLHPLTVEVCLHRNSSIGLCMCSDSQWQRLYKGSWMHSISPYGDVILDIRRSINFASPIEVYNDEEFLFHRVVFLVVGMVLMLLSRVLSESLVFYYGSAMTIGIILVILMILFQVMLSFLIFLDCCISLCSLCWGN >DRNTG_17216.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2811684:2827406:1 gene:DRNTG_17216 transcript:DRNTG_17216.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKPIVQLLLSIIVLLFAVAGDSFAFPEELSPIAKLRLPSGQSVANSPGASSGSIVACNRVHFRGLPRLQNLRKFAHSRKIRVSVDQGDGFLHPLTVEVCLHRNSSIGLCMCSDSQWQRLYKGSWMHSISPYGDVILDIRRSINFASPIEVYNDEEFLFHRVVFLVVGMVLMLLSRVLSESLVFYYGSAMTIGIILVILMILFQGMKLLPTGRKSSLAIFMYSSIVGAATVILHYVSGLLRAVLIEIGIGEDMHNPVFGSLPSCWPCPSWCLVWLLGCS >DRNTG_29023.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1180893:1181843:-1 gene:DRNTG_29023 transcript:DRNTG_29023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTIEIETAVPASRMFKAAFLEWHNLAPKVVPDHIKSASAVHVDGGVGSVRQINFTPALPFPYVKERLDFLDVENCEAKQTLIEGGDLGTKLESLCTHFKFTPTSSGGSTCKVTTTYKSPAWSHTRR >DRNTG_29023.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1180992:1181843:-1 gene:DRNTG_29023 transcript:DRNTG_29023.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTIEIETAVPASRMFKAAFLEWHNLAPKVVPDHIKSASAVHVDGGVGSVRQINFTPALPFPYVKERLDFLDVENCEAKQTLIEGGDLGTKLESLCTHFKFTPTSSGGSTCKVTTTYKSPAWSHTRR >DRNTG_29023.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1180964:1181843:-1 gene:DRNTG_29023 transcript:DRNTG_29023.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTIEIETAVPASRMFKAAFLEWHNLAPKVVPDHIKSASAVHVDGGVGSVRQINFTPALPFPYVKERLDFLDVENCEAKQTLIEGGDLGTKLESLCTHFKFTPTSSGGSTCKVTTTYKSPAWSHTRR >DRNTG_29023.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1180964:1181777:-1 gene:DRNTG_29023 transcript:DRNTG_29023.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTIEIETAVPASRMFKAAFLEWHNLAPKVVPDHIKSASAVHVDGGVGSVRQINFTPALPFPYVKERLDFLDVENCEAKQTLIEGGDLGTKLESLCTHFKFTPTSSGGSTCKVTTTYKSPAWSHTRR >DRNTG_29023.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1180893:1181777:-1 gene:DRNTG_29023 transcript:DRNTG_29023.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTIEIETAVPASRMFKAAFLEWHNLAPKVVPDHIKSASAVHVDGGVGSVRQINFTPALPFPYVKERLDFLDVENCEAKQTLIEGGDLGTKLESLCTHFKFTPTSSGGSTCKVTTTYKSPAWSHTRR >DRNTG_29023.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1180992:1181777:-1 gene:DRNTG_29023 transcript:DRNTG_29023.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTIEIETAVPASRMFKAAFLEWHNLAPKVVPDHIKSASAVHVDGGVGSVRQINFTPALPFPYVKERLDFLDVENCEAKQTLIEGGDLGTKLESLCTHFKFTPTSSGGSTCKVTTTYKSPAWSHTRR >DRNTG_08365.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4216833:4220276:-1 gene:DRNTG_08365 transcript:DRNTG_08365.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLPNPPPKVYKKPLRFPIAQEKEREKSQSFSRAADPEMSLSPCTGEMRYCR >DRNTG_07432.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7067330:7070462:1 gene:DRNTG_07432 transcript:DRNTG_07432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGRDGNSTPLLPELPETPYDHDEEIHRTGTLKTAIAHIITAVIGSGVLSLAWSTAQLGWIAGPLAMLFFAAVTMIQSSLLTNCYRSPDSELGHIRNRTYMDAVRIILGGKKNLWICGLFQQTSLIGCGIAYTITAATSMR >DRNTG_23426.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21212373:21222696:1 gene:DRNTG_23426 transcript:DRNTG_23426.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYGLRPLTLKGELFRILYDRGITGMTVSELARISQIVSLDHSTTEEELEQAICSTLSSDITLFEKIAPSAYRLRVNPQIKGADNSQPEDEDMGSVDDDSDNINTSSGSDDSDDSDNENRIIQYKVGKKGRHLKVTDETSIDESYSGEAWLLGLMEGEYSNLSIEEKLDALVALVDLAASSSSCQIEEPVRSLPAIIPNAQYHGSGGKIKKSTANHHQLADSSLTRPMHGIDKNYSSLCSSIVNSSSFFQQTSRKRQLSTTGNKGAAGKSEPDSHPLRSIYLGSDRRYNKYWLFLGPCDENDPGHRRVYFESSEDGHWEVIDTSQDLRTLLSVLDCRGTREAHLFASLEKREVSLGEVMDEHMIAETTSRQAGRSVSSELDGCSGDGSSPISDIDNFLLPSESSNAPSAPSGAIFLETGKNFVQKKQKWDRLQAFDKWIWDSFCSILNSVKYSRRSYMDSLTRCESCHDLYWRDEKHCRICHTTFELDFDLEERYAIHVATCRETEGSGMYPAFKVLPSQLQALKAAIHAIEAGMPDAALLGSWTRSTHKLWVKRLRRTASLPELLQVLTDFVGAINEEWLHECGVPRSSTAIDEIIVYFQTMPQTTSAVALWMFKLDTLIGPHLERLQQERTPRRMAQEKRRRTCTR >DRNTG_23426.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21214860:21222696:1 gene:DRNTG_23426 transcript:DRNTG_23426.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYGLRPLTLKGELFRILYDRGITGMTVSELARISQIVSLDHSTTEEELEQAICSTLSSDITLFEKIAPSAYRLRVNPQIKGADNSQPEDEDMGSVDDDSDNINTSSGSDDSDDSDNENRIIQYKVGKKGRHLKVTDETSIDESYSGEAWLLGLMEGEYSNLSIEEKLDALVALVDLAASSSSCQIEEPVRSLPAIIPNAQYHGSGGKIKKSTANHHQLADSSLTRPMHGIDKNYSSLCSSIVNSSSFFQQTSRKRQLSTTGNKGAAGKSEPDSHPLRSIYLGSDRRYNKYWLFLGPCDENDPGHRRVYFESSEDGHWEVIDTSQDLRTLLSVLDCRGTREAHLFASLEKREVSLGEVMDEHMIAETTSRQAGRSVSSELDGCSGDGSSPISDIDNFLLPSESSNAPSAPSGAIFLETGKNFVQKKQKWDRLQAFDKWIWDSFCSILNSVKYSRRSYMDSLTRCESCHDLYWRDEKHCRICHTTFELDFDLEERYAIHVATCRETEGSGMYPAFKVLPSQLQALKAAIHAIEAGMPDAALLGSWTRSTHKLWVKRLRRTASLPELLQVLTDFVGAINEEWLHECGVPRSSTAIDEIIVYFQTMPQTTSAVALWMFKLDTLIGPHLERLQQERTPRRMAQEKRRRTCTR >DRNTG_04699.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000223.1:15297:16179:-1 gene:DRNTG_04699 transcript:DRNTG_04699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVGLMLGSVVSSSSSSTSSSSSSSSSSNWSVRRRGNSKRTSVLVRCVASGSHSSEYKTLRIQPGASEMEVKKAFRQLALQYHPDVCKGSNCGVQFHRINEAYDIVMKSLRETEEEEEAEQNSWCHEDDDQMRGMYDSSWDLWEEWMGWEGAGILDYTSHINPYV >DRNTG_06639.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16470960:16475112:1 gene:DRNTG_06639 transcript:DRNTG_06639.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPNRVQTLVDSGLAAVPPQYIQPPDHRAGGLRHDAAAPPIAVPVIDLDPQSGDPILDIRRACKDWGAFQVINHGVPISLLEEMKRVGLAFFQSPTEAKLGYACNPRFPASEGYGSKMLSKDGGVLDWRDYFDHHTFPESRRNPNRWPDNPDNYRHVVGEYSNSMKALAQKLLSMISISLGLAPSYIEDVIGEVYQNITISYYPPCPQPELALGLQAHSDIGAISLLIQDDVGGLEVLKDGEWVLVQPLSDAIIVLLSDQTEIITNGEYTSAEHRALVNAKQARLSVATFYDPSKTRVISPAAELITDRSPQKYCSVVYGDYLSSWYGKGPDGKRNLDAILIHQ >DRNTG_26377.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:776745:781966:1 gene:DRNTG_26377 transcript:DRNTG_26377.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDNHRVGETGLSNSATSSQTLPYGIHGISPSPTNFFDHEGSSYFGELEEALMQGVVDRKVFLTTRPPTLEIFPSWPMRFQQTSKNSQSAESTDSGSALNTISQLESDSPVSRKTSPDQSTEQPVPHMMIMSRTGEAENHQPKSQEKRRLISERDGRPLDAKTLRRLAQNREAAKKSRLRKKAYVQQLENSRVKLAQIELDLHRARSQGLFLGGVGASASASATMSSGAAVFDIEYARWLDENLKHMMELRNALQTHLCDNDLRIIVDECLVHYDEFFRLKGIATKADVFHLITGMWVTPAERCFLWLGGFKPSELLKIITSQLDPMTEQQLIGICSLQQSSEQAEEALTQGHDQLQQSLAETVANGCLCETTINNVGNNVYMAHINNQMAIALEKLDNLQSFVLQADNLRQQTLHQMRRILTIRQAGRCLLAIGEYYGRLRALSSLWATRPRENLFTEESACPATTDLQIFHHQVPHFSAF >DRNTG_26377.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:776745:781966:1 gene:DRNTG_26377 transcript:DRNTG_26377.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQQTSKNSQSAESTDSGSALNTISQLESDSPVSRKTSPDQSTEQPVPHMMIMSRTGEAENHQPKSQEKRRLISERDGRPLDAKTLRRLAQNREAAKKSRLRKKAYVQQLENSRVKLAQIELDLHRARSQGLFLGGVGASASASATMSSGAAVFDIEYARWLDENLKHMMELRNALQTHLCDNDLRIIVDECLVHYDEFFRLKGIATKADVFHLITGMWVTPAERCFLWLGGFKPSELLKIITSQLDPMTEQQLIGICSLQQSSEQAEEALTQGHDQLQQSLAETVANGCLCETTINNVGNNVYMAHINNQMAIALEKLDNLQSFVLQADNLRQQTLHQMRRILTIRQAGRCLLAIGEYYGRLRALSSLWATRPRENLFTEESACPATTDLQIFHHQVPHFSAF >DRNTG_26377.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:776745:781966:1 gene:DRNTG_26377 transcript:DRNTG_26377.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQQTSKNSQSAESTDSGSALNTISQLESDSPVSRKTSPDQSTEQPVPHMMIMSRTGEAENHQPKSQEKRRLISERDGRPLDAKTLRRLAQNREAAKKSRLRKKAYVQQLENSRVKLAQIELDLHRARSQGLFLGGVGASASASATMSSGAAVFDIEYARWLDENLKHMMELRNALQTHLCDNDLRIIVDECLVHYDEFFRLKGIATKADVFHLITGMWVTPAERCFLWLGGFKPSELLKIITSQLDPMTEQQLIGICSLQQSSEQAEEALTQGHDQLQQSLAETVANGCLCETTINNVGNNVYMAHINNQMAIALEKLDNLQSFVLQADNLRQQTLHQMRRILTIRQAGRCLLAIGEYYGRLRALSSLWATRPRENLFTEESACPATTDLQIFHHQVPHFSAF >DRNTG_26377.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:776745:781966:1 gene:DRNTG_26377 transcript:DRNTG_26377.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQQTSKNSQSAESTDSGSALNTISQLESDSPVSRKTSPDQSTEQPVPHMMIMSRTGEAENHQPKSQEKRRLISERDGRPLDAKTLRRLAQNREAAKKSRLRKKAYVQQLENSRVKLAQIELDLHRARSQGLFLGGVGASASASATMSSGAAVFDIEYARWLDENLKHMMELRNALQTHLCDNDLRIIVDECLVHYDEFFRLKGIATKADVFHLITGMWVTPAERCFLWLGGFKPSELLKQLIGICSLQQSSEQAEEALTQGHDQLQQSLAETVANGCLCETTINNVGNNVYMAHINNQMAIALEKLDNLQSFVLQADNLRQQTLHQMRRILTIRQAGRCLLAIGEYYGRLRALSSLWATRPRENLFTEESACPATTDLQIFHHQVPHFSAF >DRNTG_26377.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:776745:781966:1 gene:DRNTG_26377 transcript:DRNTG_26377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDNHRVGETGLSNSATSSQTLPYGIHGISPSPTNFFDHEGSSYFGELEEALMQGVVDRKVFLTTRPPTLEIFPSWPMRFQQTSKNSQSAESTDSGSALNTISQLESDSPVSRKTSPDQSTEQPVPHMMIMSRTGEAENHQPKSQEKRRLISERDGRPLDAKTLRRLAQNREAAKKSRLRKKAYVQQLENSRVKLAQIELDLHRARSQGLFLGGVGASASASATMSSGAAVFDIEYARWLDENLKHMMELRNALQTHLCDNDLRIIVDECLVHYDEFFRLKGIATKADVFHLITGMWVTPAERCFLWLGGFKPSELLKIITSQLDPMTEQQLIGICSLQQSSEQAEEALTQGHDQLQQSLAETVANGCLCETTINNVGNNVYMAHINNQMAIALEKLDNLQSFVLQADNLRQQTLHQMRRILTIRQAGRCLLAIGEYYGRLRALSSLWATRPRENLFTEESACPATTDLQIFHHQVPHFSAF >DRNTG_26377.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:776745:781966:1 gene:DRNTG_26377 transcript:DRNTG_26377.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDNHRVGETGLSNSATSSQTLPYGIHGISPSPTNFFDHEGSSYFGELEEALMQGVVDRKVFLTTRPPTLEIFPSWPMRFQQTSKNSQSAESTDSGSALNTISQLESDSPVSRKTSPDQSTEQPVPHMMIMSRTGEAENHQPKSQEKRRLISERDGRPLDAKTLRRLAQNREAAKKSRLRKKAYVQQLENSRVKLAQIELDLHRARSQGLFLGGVGASASASATMSSGAAVFDIEYARWLDENLKHMMELRNALQTHLCDNDLRIIVDECLVHYDEFFRLKGIATKADVFHLITGMWVTPAERCFLWLGGFKPSELLKIITSQLDPMTEQQLIGICSLQQSSEQAEEALTQGHDQLQQSLAETVANGCLCETTINNVGNNVYMAHINNQMAIALEKLDNLQSFVLQADNLRQQTLHQMRRILTIRQAGRCLLAIGEYYGRLRALSSLWATRPRENLFTEESACPATTDLQIFHHQVPHFSAF >DRNTG_19958.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:468322:473036:1 gene:DRNTG_19958 transcript:DRNTG_19958.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Respiratory burst oxidase homolog protein E [Source:Projected from Arabidopsis thaliana (AT1G19230) UniProtKB/Swiss-Prot;Acc:O81211] MRTPSMGSSSRRSFGHRRIIDILADDQESDDEGIAPVFLSSDGSDLVEVMLELDHDSMVLRSVATVPPDTTPTLSRSSSTTSRIRRKFSWLRSPSPRLSSASASANDFSAIAAARDARRAQARLARTRSGAKRALKGLKFISHTTSGSCGDPTDLWRRVEDRFNKLSRDGLLSRDDFGECIGMGDSKEFATGIFDALARRRRQTLERISKEELYEFWLQISDQSFDARLQIFFDMADTNEDGRITREELEELIVLSASANKLSKLKEQAAEYAALIMEELDPENFGYIELWQLEGLLLQRDSYMSYSRPLSTASGGTTGWSQGLGPSRPARSWLAPLRRAAIRARLAAQIGWRRAWVIAAWVAAMAGLFAWKFVQYRRRAAFEVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSTKAHLFVPFDDNINFHKMIAMGIVIGILVHAGNHLTCDFPRVIRSSPEQYAIVARDFGSEKPTFKSLLFGVEGVTGIAMVVLMAISFTLATHPFRKNGGRLPYPLNKLTGFNAFWYSHHLLAIVYVLLIVHGYFMFLVHRWYQRTTWMYISVPLLLYIGERTLRAFRSQVYSVKILKVSLLPGSVLTIIMSKPHGFRHRSGQYIFLQCPTISSFEWHPFSITSAPGDDHLSVHIRTIGDWTQELKRIFIEHHQSPSFTGRAKLNQFFAEKQSLPRLLVDGPYGAPAQDYRNFDVLLLVGLGIGATPFISILRDLLNNIKTAEELMDSTTETSTSDDSNNSFNVSTASSSKKRSPRTTSAHFLLGHKGSRII >DRNTG_25218.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20099270:20104058:-1 gene:DRNTG_25218 transcript:DRNTG_25218.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phospholipase C 6 [Source:Projected from Arabidopsis thaliana (AT2G40116) UniProtKB/Swiss-Prot;Acc:Q8GV43] MLYYPDCETLEEFPSPESLKRRVILSTKPPKEYLESKSYKNGSLNAKDSNEEEAWGKEIPDFKTEIDESVKSDADLNENDGEDADDSGEDDEDQKLPQNAAPEYKRLITIRAGKPKGHLKDSLKVDPDKVRRLSLSEQELVKAAVSHGAELVRFTQKNLLRIYPKGTRFNSSNYNPFIGWMHGAQMVAFNMQGYGRSLWLMHGFYRANGGCGYVKKPDFLMSTGPHGEVFDPKVKLPVKKTLKVKVYMGDGWRIDFKQTHFDAYSPPDFYTKVGIAGVPADSIMKKTRTIEDDWTPVWSEEFMFPLTVPEIALLRVEVHEYDMSEKDDFGGQTCLPVSELRPGIRAVPLFDRKGNKYNSVKLLMQFEFI >DRNTG_25218.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20099270:20104058:-1 gene:DRNTG_25218 transcript:DRNTG_25218.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phospholipase C 6 [Source:Projected from Arabidopsis thaliana (AT2G40116) UniProtKB/Swiss-Prot;Acc:Q8GV43] MKAPLSHYYVYTGHNSYLTGNQLSSDCSDVPIIKALQRGVRVIELDIWPNSSKDNIDVLHGRTLTSPVELIKCLKSIKEYAFAASEYPVIITLEDHLTPDLQAKVAELVTQTFGDMLYYPDCETLEEFPSPESLKRRVILSTKPPKEYLESKSYKNGSLNAKDSNEEEAWGKEIPDFKTEIDESVKSDADLNENDGEDADDSGEDDEDQKLPQNAAPEYKRLITIRAGKPKGHLKDSLKVDPDKVRRLSLSEQELVKAAVSHGAELVRFTQKNLLRIYPKGTRFNSSNYNPFIGWMHGAQMVAFNMQGYGRSLWLMHGFYRANGGCGYVKKPDFLMSTGPHGEVFDPKVKLPVKKTLKVKVYMGDGWRIDFKQTHFDAYSPPDFYTKVGIAGVPADSIMKKTRTIEDDWTPVWSEEFMFPLTVPEIALLRVEVHEYDMSEKDDFGGQTCLPVSELRPGIRAVPLFDRKGNKYNSVKLLMQFEFI >DRNTG_34651.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002169.1:36812:39275:-1 gene:DRNTG_34651 transcript:DRNTG_34651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLCNRVTKNMPSVDASLDRPVKKKKKRSSNPTQILENSDARPRSGGASASKSEPNKSEIISTSHGVDGKKHKRRRMRGAAIISSSSDDEPNETPNNTGTLHVRKHKQIASNISREHAGSGTSANVGSKLVRIHKKSKGEHPKAGNTAKDHTLRISGNPKTELPEIRKKPKHDAPKKSMDSRHNTVNTGNKAKKESANVETSKADKESKGSTPIEIN >DRNTG_33348.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20687248:20688849:-1 gene:DRNTG_33348 transcript:DRNTG_33348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVEKMDVVFHGMRSSCHTFRHGPNFEDLQLFVQMMLAEVTPDSVTFLAVLTMNSASIEVNTITISTMLSLCAEYGDLEKGEQFHAYVMKTGKEEDVGNALLSMYADLDHVLSALKIFSETETLDTVSWNLLNMTLMRNQLQSQALDVFKQMQQSGTKSNSYTMVSLLLGCRDSSHLITGQIHPWVHFKI >DRNTG_19829.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:8553465:8554543:1 gene:DRNTG_19829 transcript:DRNTG_19829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARRRHKKRPKAVRDVQERNKEPAEFFKASRENTWSCGSLLQAHPERTQGRRLAPVNGLLMVHACGMGNFCTPVWIFAEELSSISRIHRGVRVPLLTEKPQGRVSAPMSRAHGYGNFRTPMWLHLEALSVILRAHRGVRLPLWVSPVESHGHG >DRNTG_20205.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14499340:14500992:-1 gene:DRNTG_20205 transcript:DRNTG_20205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFIFMCSNKTKAECFVNYVFGMPRKQLELVEKINPGTKLFLFDFDEKLLYGIFNAITWGGANLVPTAFGGKFPAQVKFEILQDCTPLSENIFKEVIHENYTTKSKFNPELSTRQVSWDSQCHLGLRL >DRNTG_07931.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1248240:1249678:-1 gene:DRNTG_07931 transcript:DRNTG_07931.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIQNFQRTERSDGLANVLAIGTANPPNIIEQSTFADYYFRVTNSEHKVELKEKFRRVCARTTVKKRYTYVTEEVLKENPNMIPYNAPSLDARQEIVISAVPKLGKEAAEKALEEWGRPRSEITHLIFCAMVGVDMPGADYQLLNLLNLKPNVKRSMFYQLGCYAGGTVLRLAKDIAENNKNARILVVCAEVMALTFRGPEEAHFDNLIGQAIFGDGAAALVIGADPIENVEKPVFELASASQVVLPDSDGAVEGHLIESGLTFHLLNKLPNIVAKNLEMSLAEVFEPLGISDWDELFYIVHPGGPAILDKAEEQLKLKEGKLRATRHVLSEFGNMSSATVLFIMDEMRRRSAEEKKGTTGEGREWGVLFGLGPGLTMEMVMLRGVAL >DRNTG_27117.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1745719:1748373:-1 gene:DRNTG_27117 transcript:DRNTG_27117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETAKGSSSLEKTYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIGDRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKDEYEESGPSIVHRKCF >DRNTG_26848.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1589181:1590244:-1 gene:DRNTG_26848 transcript:DRNTG_26848.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLGVVLEGKGMTKGGQILNKVINMKKYTKMLTSSSDNIEHEASSSININMTSFLQSCFLCHRPLSHSKDIYMYRGDRAFCSEECRCMQIRMDEEMALALASGGAAAGRLGKKACGFVKH >DRNTG_05200.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22671991:22673127:-1 gene:DRNTG_05200 transcript:DRNTG_05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQFGWGTFEDGWRKGPWTPQEDKLLIDHVNLHGEGRWNSVSKLTGLRRSGKSCRLRWVNYLRPDLKRGKITPQEENIILELHARWGNRWSTIARSLPGRTDNEIKNYWRTHFKKGKTSKNVERARARFLKQQQEQQQQQQQQQEFVLQVQVDQEQQQQVQQQVLHQYNCYDQQTNEVQHVGEQDIDEMIMAYMYPNMSSSMTSMSDGSSEDIGSSLGNFWNLDDVVQQHDQVLYFY >DRNTG_18954.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11240347:11242022:-1 gene:DRNTG_18954 transcript:DRNTG_18954.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLQADLLEANQTCHPKPLDPEEFRRQGHLVIDFLADYYHNVDKYPVRSQVEPGYLSKLIPDTAPNNPEPIETILQDVQNDIVPGITHWMSPNYYAYFPSSGSTAGFLGEMLSTGFNIVGFNWMSSPAATELETIVMDWLGKMIDLPPSFLYSGGGGGVLQGTTCEAILCTLTAARDKILNEIGRDQITRLVVYGSDQTHCALRKAAQIVGIQPRNFRAIETYQSNAFGLSPASFREALVADIAAGLVPLYLCATIGTTSSTAVDPIAGLCDVAKDFGVWVHVDAAYAGGACVCPEFRHFIDGVEKANSFSFNAHKWFFNNLDCCCLWVREPAALVNALSTNPEYLKNKATESKQVIDYKDWQIALSRRFRAMKLWLVLRSYGVASLRNFIRSHVKMAKLFEDMVQTDKRFEIFLARTFAMVCFRLLLPTSKSKGKEPERVVAIENELNQKLLEAVNSSGKIYMTHAIVGGVYIIRFAIGASLTEEKHVKFAWKVVQDHAEALLATLD >DRNTG_18954.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11240305:11242022:-1 gene:DRNTG_18954 transcript:DRNTG_18954.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLQADLLEANQTCHPKPLDPEEFRRQGHLVIDFLADYYHNVDKYPVRSQVEPGYLSKLIPDTAPNNPEPIETILQDVQNDIVPGITHWMSPNYYAYFPSSGSTAGFLGEMLSTGFNIVGFNWMSSPAATELETIVMDWLGKMIDLPPSFLYSGGGGGVLQGTTCEAILCTLTAARDKILNEIGRDQITRLVVYGSDQTHCALRKAAQIVGIQPRNFRAIETYQSNAFGLSPASFREALVADIAAGLVPLYLCATIGTTSSTAVDPIAGLCDVAKDFGVWVHVDAAYAGGACVCPEFRHFIDGVEKANSFSFNAHKWFFNNLDCCCLWVREPAALVNALSTNPEYLKNKATESKQVIDYKDWQIALSRRFRAMKLWLVLRSYGVASLRNFIRSHVKMAKLFEDMVQTDKRFEIFLARTFAMVCFRLLLPTSKSKGKEPERVVAIENELNQKLLEAVNSSGKIYMTHAIVGGVYIIRFAIGASLTEEKHVKFAWKVVQDHAEALLATLD >DRNTG_18954.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11240347:11242094:-1 gene:DRNTG_18954 transcript:DRNTG_18954.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLQADLLEANQTCHPKPLDPEEFRRQGHLVIDFLADYYHNVDKYPVRSQVEPGYLSKLIPDTAPNNPEPIETILQDVQNDIVPGITHWMSPNYYAYFPSSGSTAGFLGEMLSTGFNIVGFNWMSSPAATELETIVMDWLGKMIDLPPSFLYSGGGGGVLQGTTCEAILCTLTAARDKILNEIGRDQITRLVVYGSDQTHCALRKAAQIVGIQPRNFRAIETYQSNAFGLSPASFREALVADIAAGLVPLYLCATIGTTSSTAVDPIAGLCDVAKDFGVWVHVDAAYAGGACVCPEFRHFIDGVEKANSFSFNAHKWFFNNLDCCCLWVREPAALVNALSTNPEYLKNKATESKQVIDYKDWQIALSRRFRAMKLWLVLRSYGVASLRNFIRSHVKMAKLFEDMVQTDKRFEIFLARTFAMVCFRLLLPTSKSKGKEPERVVAIENELNQKLLEAVNSSGKIYMTHAIVGGVYIIRFAIGASLTEEKHVKFAWKVVQDHAEALLATLD >DRNTG_18954.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11240305:11242094:-1 gene:DRNTG_18954 transcript:DRNTG_18954.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLQADLLEANQTCHPKPLDPEEFRRQGHLVIDFLADYYHNVDKYPVRSQVEPGYLSKLIPDTAPNNPEPIETILQDVQNDIVPGITHWMSPNYYAYFPSSGSTAGFLGEMLSTGFNIVGFNWMSSPAATELETIVMDWLGKMIDLPPSFLYSGGGGGVLQGTTCEAILCTLTAARDKILNEIGRDQITRLVVYGSDQTHCALRKAAQIVGIQPRNFRAIETYQSNAFGLSPASFREALVADIAAGLVPLYLCATIGTTSSTAVDPIAGLCDVAKDFGVWVHVDAAYAGGACVCPEFRHFIDGVEKANSFSFNAHKWFFNNLDCCCLWVREPAALVNALSTNPEYLKNKATESKQVIDYKDWQIALSRRFRAMKLWLVLRSYGVASLRNFIRSHVKMAKLFEDMVQTDKRFEIFLARTFAMVCFRLLLPTSKSKGKEPERVVAIENELNQKLLEAVNSSGKIYMTHAIVGGVYIIRFAIGASLTEEKHVKFAWKVVQDHAEALLATLD >DRNTG_28995.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9143584:9146205:-1 gene:DRNTG_28995 transcript:DRNTG_28995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQRGKVENSINSRKLLQNEERSSNKSNCENSKESGSPFRQAPAPLVKKHEFDLHNKVSLGWLLALGKSLDIARGADVVLQVPSASLLQTWRRWCMFCDHNNVLMGFDVMYEKKEASRQVKKRS >DRNTG_30691.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1819449:1820424:1 gene:DRNTG_30691 transcript:DRNTG_30691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >DRNTG_23079.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20433857:20436283:-1 gene:DRNTG_23079 transcript:DRNTG_23079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKGLPTLFPSDEGDGRENIASDITQLVGWTPLVELKHIVQNEGLDIRIVGKLESYQPLSSIKDRSALRMIEDAEKKGVIKPGVTTLAEPTSGNLGIGLAYIAIRKGYRFIAVMQAHFSIDKRMLLKYLGAEVLITDPKLGFQEQIRRIEQLKESDPNVYVLDQFANPANPEAHFTGTGPEIWKDTAGKVDILVYGSGSGGTLTGAGRYLKMKNPDLKIICVEPAESPVISGGKPGPHNIQGLSPGFVPVNLDTSYLDEIITVTTEEAMANARRIAREEGILVGISSGANLAACLKVASRAENKGKMIVTVFASGGERYISTELFDNVREECINMKF >DRNTG_25460.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1538167:1538830:1 gene:DRNTG_25460 transcript:DRNTG_25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSSHNYNSSSPAAGKDSIRKQGNVSPAKQKAKVKAAAAAVELQS >DRNTG_11733.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15465231:15468159:1 gene:DRNTG_11733 transcript:DRNTG_11733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPDPYEGLFDQEVDNEEVMMLGSMEEVSSTPGILKKVLRKMKRVRRRHRKCPKAVGDVHEPNKLDEPLLGGTNPDKPAEFSKASRENARPCANSSRPLFCIASSSREDTWAWTHTCQRLGDFRTPMGCNLVALTCNRADFTPCRSTLAWVLSFGQSRFVDLSVLREIRWGDELADEIDEMLALGSWRRLLTIQEPAFSALTLEVCMTKPAQYKPGVSKATSLSQSSYRYLHSVPRQCMIRSRSIIRWSLCH >DRNTG_25921.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1832679:1834150:-1 gene:DRNTG_25921 transcript:DRNTG_25921.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVHISTPIFNTIRNSSIEYLAKAKEATASSSSSYHFVLNFSALTIQQQHQLYSILLNIQIFFSHLKEKLTKSINTLHPSVVPNEGQQPRNTATVLEELKSLVASITRFSDESLESIGRVASETVTEVTQRVQALEKELEETKASARFYNAIFSAVVMVLAAALAPISTLAVAPFLERFDRVWEDVMEKSILDNHKVCQTILCRISFAIVKAGKILDSIHGLSDMVTDSVYARSSSLVGNDGSAFNDSKMKPFEGNTVVIRPSVIANRLYDLKTNSLEGKGKAVMGLSSYSSSSSPVLVNDSNDFSEMRDAKLEGKVVVVGKGKAVMGLSSYSSSPVLVNDSNDFSKIRDAKLEGKVVVVNDSGALQEEGQLQEARFIGPPPFLEIGDATKRLLESGEGHGCRHGSSGDG >DRNTG_25921.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1832679:1834177:-1 gene:DRNTG_25921 transcript:DRNTG_25921.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVHISTPIFNTIRNSSIEYLAKAKEATASSSSSYHFVLNFSALTIQQQHQLYSILLNIQIFFSHLKEKLTKSINTLHPSVVPNEGQQPRNTATVLEELKSLVASITRFSDESLESIGRVASETVTEVTQRVQALEKELEETKASARFYNAIFSAVVMVLAAALAPISTLAVAPFLERFDRVWEDVMEKSILDNHKVCQTILCRISFAIVKAGKILDSIHGLSDMVTDSVYARSSSLVGNDGSAFNDSKMKPFEGNTVVIRPSVIANRLYDLKTNSLEGKGKAVMGLSSYSSSSSPVLVNDSNDFSEMRDAKLEGKVVVVGKGKAVMGLSSYSSSPVLVNDSNDFSKIRDAKLEGKVVVVNDSGALQEEGQLQEARFIGPPPFLEIGDATKRLLESGEGHGCRHGSSGDG >DRNTG_25921.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1832618:1834150:-1 gene:DRNTG_25921 transcript:DRNTG_25921.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVHISTPIFNTIRNSSIEYLAKAKEATASSSSSYHFVLNFSALTIQQQHQLYSILLNIQIFFSHLKEKLTKSINTLHPSVVPNEGQQPRNTATVLEELKSLVASITRFSDESLESIGRVASETVTEVTQRVQALEKELEETKASARFYNAIFSAVVMVLAAALAPISTLAVAPFLERFDRVWEDVMEKSILDNHKVCQTILCRISFAIVKAGKILDSIHGLSDMVTDSVYARSSSLVGNDGSAFNDSKMKPFEGNTVVIRPSVIANRLYDLKTNSLEGKGKAVMGLSSYSSSSSPVLVNDSNDFSEMRDAKLEGKVVVVGKGKAVMGLSSYSSSPVLVNDSNDFSKIRDAKLEGKVVVVNDSGALQEEGQLQEARFIGPPPFLEIGDATKRLLESGEGHGCRHGSSGDG >DRNTG_30439.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3218647:3220960:-1 gene:DRNTG_30439 transcript:DRNTG_30439.1 gene_biotype:protein_coding transcript_biotype:protein_coding RERRERERERERERERREDDLQEVVVVLVDDGDLGRRRHPRSCPVLRFWRKGEVPGIYVS >DRNTG_01302.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1891139:1892273:-1 gene:DRNTG_01302 transcript:DRNTG_01302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARGPKVGRLFPIQFSFPSSQSLPFVSCNFTHIDFQAWHKRLGHPNNIVLSNLLKSGLLGNKRS >DRNTG_01302.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1891481:1892273:-1 gene:DRNTG_01302 transcript:DRNTG_01302.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLWAEYMDIVYATIPIEGLKSVQAVHETTKRDQFLMKLRSEFEATRSNLMNQEPVPSLDACLNDLFREEQRLLTQNTLKEQKSNFVPMAYAAHSKPRGRDIRIVQCFCCKELGHYASTCSKKFCNYCKKNGHIIKE >DRNTG_24185.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17135534:17136218:1 gene:DRNTG_24185 transcript:DRNTG_24185.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGRGREQCGQRGSRGGRGPGGRSRRGRRGRKIQGQRRGR >DRNTG_24185.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17135534:17136332:1 gene:DRNTG_24185 transcript:DRNTG_24185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGRGREQCGQRGSRGGRGPGGRSRRGRRGRKIQGQRRGR >DRNTG_24185.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17135720:17136332:1 gene:DRNTG_24185 transcript:DRNTG_24185.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGRGREQCGQRGSRGGRGPGGRSRRGRRGRKIQGQRRGR >DRNTG_24185.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17135720:17136218:1 gene:DRNTG_24185 transcript:DRNTG_24185.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGRGREQCGQRGSRGGRGPGGRSRRGRRGRKIQGQRRGR >DRNTG_24476.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18852178:18856293:1 gene:DRNTG_24476 transcript:DRNTG_24476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNLAPYWLSPAAMEDGMQEDGDIDEVDFLPEDPFGMENFTAAIVGWMEDLEGDTFFTDLEYLLDRPAFRCPEPDGESSPHDGLLLSLGHLGVRDLLSMERVCRPLRAAVRDDPLLWRCIHIESPLSEKITDNKLVRLADRARGSLECLSLIECSRISDDALKHVLQSNLKLTKLSIPGCLRLSVDGLINNLKICASLGGVGLKCLRLGRLFSITEEQFGALKWLLKAEHFQRRSDKPQFYHYNRSAQPIDIELCPICEKVKLVYDCPMVNCQGDEGFDQCRACEVCIPRCLQCGRCIINCAYMETFSLSYVCSTCWESVMIANELIPLVSHRL >DRNTG_13771.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:11459359:11459802:1 gene:DRNTG_13771 transcript:DRNTG_13771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNAMTSKESIKVGEELPINRRRPSPQTLAASLSMSMLKAPQKVPLESAKRCIYSLTTRLSRAPTRPRGFSTRPRGLPHDRAHDHMVGYPAFPSSMRLERS >DRNTG_16102.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25434680:25436007:-1 gene:DRNTG_16102 transcript:DRNTG_16102.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVEQGVHNKIGFLDSFVLAFREGLHTDILVKPGTGPAIPAHKAILATRSVIFKTMLSSDECKAPANETITLPELKHEELKCLLEFLYSGSLATDDVEKHTYSLLIAADKYEIGFLREFCEQRLLESLDSANALEVLEVSGVCSSGLLRKQAMNCIIKNLEFIVYTERYEAFAIKNPHLNVEILRTLMEMKRF >DRNTG_16102.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25434680:25436007:-1 gene:DRNTG_16102 transcript:DRNTG_16102.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGELEQLLRREATGAVMDCCICSPTASVFRLPRNNICSSCHEGARNMATFFDELYSSQHKGLADVFKRLQEMKEVEQGVHNKIGFLDSFVLAFREGLHTDILVKPGTGPAIPAHKAILATRSVIFKTMLSSDECKAPANETITLPELKHEELKCLLEFLYSGSLATDDVEKHTYSLLIAADKYEIGFLREFCEQRLLESLDSANALEVLEVSGVCSSGLLRKQAMNCIIKNLEFIVYTERYEAFAIKNPHLNVEILRTLMEMKRF >DRNTG_16102.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25434680:25436007:-1 gene:DRNTG_16102 transcript:DRNTG_16102.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGELEQLLRREATGAVMDCCICSPTASVFRLPRNNICSSCHEGARNMATFFDELYSSQHKGLADVFKRLQEMKEVEQGVHNKIGFLDSFVLAFREGLHTDILVKPGTGPAIPAHKAILATRSVIFKTMLSSDECKAPANETITLPELKHEELKCLLEFLYSGSLATDDVEKHTYSLLIAADKYEIGFLREFCEQRLLESLDSANALEVLEVSGVCSSGLLRKQAMNCIIKNLEFIVYTERYEAFAIKNPHLNVEILRTLMEMKRF >DRNTG_16102.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25434680:25436007:-1 gene:DRNTG_16102 transcript:DRNTG_16102.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVEQGVHNKIGFLDSFVLAFREGLHTDILVKPGTGPAIPAHKAILATRSVIFKTMLSSDECKAPANETITLPELKHEELKCLLEFLYSGSLATDDVEKHTYSLLIAADKYEIGFLREFCEQRLLESLDSANALEVLEVSGVCSSGLLRKQAMNCIIKNLEFIVYTERYEAFAIKNPHLNVEILRTLMEMKRF >DRNTG_15896.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5939955:5941367:-1 gene:DRNTG_15896 transcript:DRNTG_15896.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNEKPDLPHRVLVGRHQQNEKPWWL >DRNTG_31743.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21722219:21722735:-1 gene:DRNTG_31743 transcript:DRNTG_31743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLAEPDPYDMVQAIKKAIHMLPFIDPHLMHNRMKSLYSWHDVAKRTEVVYDRARQCSKKDFLHHLSRCVSCWILNGLRINEPFAFVLTIKISMMRHDV >DRNTG_08430.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31065929:31066815:-1 gene:DRNTG_08430 transcript:DRNTG_08430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNAGVLGSVEGVMDMDLTQLDKTLAVHVRGTAAAIKHAARAMRASGTRGSIICTASVAASQGNLGPAAYTAAKHAVIGLMRSAVLDLGAHGIRINCISPFGVATPMSCAYIGKAAEGVEDLCYEMVALKGVILRGGHVAQAALFLASDESEYISGHDLVIDGGVTVVNKNDLKANK >DRNTG_08430.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31061836:31066815:-1 gene:DRNTG_08430 transcript:DRNTG_08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEETVHYTVEKYGRLDIMHSNAGVLGSVEGVMDMDLTQLDKTLAVHVRGT >DRNTG_34642.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:223684:227517:-1 gene:DRNTG_34642 transcript:DRNTG_34642.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVTRSMKAGVKRKGEDEDALKTSHVCHESSKRRQIDVVEPVELLDEMVDKTCQISPSDPVNMDVDVMTMTDGDNSDNAGDSISEGIGMSRDFVTEEQMPDVKLVQVPVKVGSRDSIFMKGNITVSSPDMIASEANGKSCNAVETDTLVTNEQSLHGSLSETDAECVRIVDPLISNSVLTRDSPQGVVAVSSINLTASEEKGNLCGAIEVDTLVINEELSPHHRLSPVKCQPLLASEFDGHGSQNENVILDPLINDGVLKGESPEENVAVSSVNLIPKEAIGSSCIVQQTTDGLVMNEERDCTSYGSRNETEVNCEVDVDPLISNTVITGDLPQGITTAECTKIQLEGGETDSSESFDDNVTEENLNTADGVYKFLGTLQGICYIVKEDSTDKFGDGMVNPFDLCDADEADILHKTDGTFNEDKSRHISPSVPLDKVPKNEAELGSLGKDNIHIYRSKSVSCLQTPEFQNDANVVEETVPQSGKMPVVSLEENEKNLNLSSEITAREDVKPESLIIGKKSVELLEETEKRSSLSPEVTVNEDLKPEYMDLVKPPSSSSFIFKFSLPSNNNSWLKLSNSIPYSFGKMFLLRKVLVLQDPVMRKWPVLYCENRRFTGFIGGWKEFAAANDLKEGDTCELHLADKENHTFVVRITKAKNPAL >DRNTG_34642.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:223684:228128:-1 gene:DRNTG_34642 transcript:DRNTG_34642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQEQQQACMESKKSHGKDPSSSHHHHHHDAVALLSFFKVMIGDFSQALFFPPIVAKKLSALLNQNVDIEDSNGHRWGVKVSLVDNHLAFEQGWQDFVLDHSIKFGEFVVFNHVDRLLFSAKIYAITGCERLEFVEKSIAGKSKRKRKNEASADDLSLKKCQSVGKLDKDVVDSIEESIKKKQTTKLASGDKSDSMMVTRSMKAGVKRKGEDEDALKTSHVCHESSKRRQIDVVEPVELLDEMVDKTCQISPSDPVNMDVDVMTMTDGDNSDNAGDSISEGIGMSRDFVTEEQMPDVKLVQVPVKVGSRDSIFMKGNITVSSPDMIASEANGKSCNAVETDTLVTNEQSLHGSLSETDAECVRIVDPLISNSVLTRDSPQGVVAVSSINLTASEEKGNLCGAIEVDTLVINEELSPHHRLSPVKCQPLLASEFDGHGSQNENVILDPLINDGVLKGESPEENVAVSSVNLIPKEAIGSSCIVQQTTDGLVMNEERDCTSYGSRNETEVNCEVDVDPLISNTVITGDLPQGITTAECTKIQLEGGETDSSESFDDNVTEENLNTADGVYKFLGTLQGICYIVKEDSTDKFGDGMVNPFDLCDADEADILHKTDGTFNEDKSRHISPSVPLDKVPKNEAELGSLGKDNIHIYRSKSVSCLQTPEFQNDANVVEETVPQSGKMPVVSLEENEKNLNLSSEITAREDVKPESLIIGKKSVELLEETEKRSSLSPEVTVNEDLKPEYMDLVKPPSSSSFIFKFSLPSNNNSWLKLSNSIPYSFGKMFLLRKVLVLQDPVMRKWPVLYCENRRFTGFIGGWKEFAAANDLKEGDTCELHLADKENHTFVVRITKAKNPAL >DRNTG_21773.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2995205:2995449:-1 gene:DRNTG_21773 transcript:DRNTG_21773.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLSPCPPPPSLELFQHAAPSASPPPSSPPPVEATASTASSSPPSTSSENPSSRSLRGLK >DRNTG_12414.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12322865:12323575:-1 gene:DRNTG_12414 transcript:DRNTG_12414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNSYQWNAREKKKVAGIHEIDAVTSLAAQVESLSKKLDLIASNRVAVVTNCTGCGGGHALSDCPIVIVESRISALETRMTYLEKHLTRFVQSANTRFESVEATLRNHTASLYNLENQVGQIVKSLSEMPHGSLPSNTETNPREHVKAIALRSDREVEGRLPSEKPKEHASEVV >DRNTG_28433.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11230261:11231663:1 gene:DRNTG_28433 transcript:DRNTG_28433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSHTTSVSVDVTMDTQTEEIKDFRPVDEDLIHIHKFEKDIFATTTYTNKGDMGRRLEFRVVLARLLKWFSIFDIAIFLVGSLWGSFGLH >DRNTG_11972.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9245518:9252262:-1 gene:DRNTG_11972 transcript:DRNTG_11972.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETGMGAELASQERGREEVDPSSEVEEGEIDDEAVVSSPGRRPASEPLQPHPLEHAWTFWFDNPSAKAKQAAWGSSMRPVHTFSTVEDFWSLYNNIHHPSKLTVGVDFYCFKDKIEPKWEDPVCANGGKWTISCARGKADTVWLYTLLAMIGEQFDFGDEICGVVVNVRGKQERIAIWTKNASNEAAQISIGKQWKELLDSKETIGFIFHDDAKKLDRGAKSRYTV >DRNTG_03230.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4633548:4635531:-1 gene:DRNTG_03230 transcript:DRNTG_03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIGYDPGLFLDNQFINLYSKCGQIEVARKVFDDMSERNVVSWNVLISGYCSNECYLDALELFCNMVEEGQWPNDPTLLGALRAAAGSRNIEHGRQIHSYILKMGFLSCISLGNALIGMYSKFCRTRDAELVFESMAEKDAVSWNSIIAAKAQNGLGVMEAMDLFVEMLMEGLPPDDYTFGSLLANIGVPGVEEIHAQVIKRGLGGNVVVGSTLLDAYARCLNPQDACQVFKMMEDRNTVAWNSVISACLMNGMENEGLELYLQMADCGILADAYTVSILLTAAAVSQWSVITGKQLHGLAVKMGLHMDTLIGNSLITMYAKNGEISDSWQAFKSVSEADIISWNSIVHAHLQNEQFEQALALYVQMKVLGFEPDEFSFVAALAACGELAWCNTGRGIHSNLVKIGMTPNAFVGSALIGMYSKFTATTDAKRVFDAIEDKDLVTWNSLISGFAQNGYVDEVLKLLSVMREENFEPDDFTFASILAACANTITVEHGRQVHCLILKSDQKMDAAVANALITMYSRAGREKEAEKVFSKLTTKNVISWTAMIGAYVQCGNCQEAFRLFEQMETSGVTPNE >DRNTG_29365.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15031700:15032866:1 gene:DRNTG_29365 transcript:DRNTG_29365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARRRHKKRSNAVGDAQEPNKRVSPSSREEKGACVCPCELPKKPQGRVSALVGRAHGYGYFPHARVVAFRDRGVFPENAQGLTSAPVTPSSGGTRAWVISACPCGCAEFQET >DRNTG_14908.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20519087:20521708:-1 gene:DRNTG_14908 transcript:DRNTG_14908.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVSDLNRSKSSSRVTLLNHFSEGVIRASGIADELSNGDSSLQRDFSALRLSKNVALNKKKKKKKSSQGMYWSWGRTQARCSSSGLISRYIRGGGCRVGACDDFDLSFRKRSNVSEDCMLHRLASGVEKIDVECLAHGTASLFQWKISKKAKDVEETVCEPEPIFEMPLLPDDVMEMILIRLPLTSLLAARQVCKKWKLLATTPHFMRMRLQGSYQSPWLFLFGISTNRSYHGEMHALDVSLDQWHRISDDALKNRFMFSVASMGNEIYIVGGCSSSVQLNLPLNDDSFKVHKGMLVFNPLTGLWRKAAPMNSARLHPVLGVFHVSANCSIFNANTHRSSNHHLKSRSFRISDVYEDPHRFSLRCQVSDYLHETRHHSHELNREPSEFARENSNNQLRFALIVVGGHRISSAGSLYHPLDTGEIYDPVTNKWIEIARLPRDFGTVCSGAVCNAKFYVFSENDKLAAYDLEMGLWVVIQVSQPPPRIQEYKLNLISCNSRLFMLCVSWGDTDGLLNRREKAVRKLWEFDIWHHTWSEVSRHPDAPMDRNATFVADDDKIYGTEIFKIFGQLVDFLTVCSVSDPEPRWSRLSRKHALPEADGVSFLIKNMLVLQL >DRNTG_10896.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000476.1:11987:22109:1 gene:DRNTG_10896 transcript:DRNTG_10896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHTPVFMPNSSPLKAAIQLRFLHLFPYLFFHLSPSLEAPAARVWRGFGKALERFNGLQHCVSFSRKLLVELSSAPVQREPAEFSKASSENTGGRGLTPVGDLVKIARAWGNSTRPCKSLQRSSLHPENTKGRALAPVSLACECQGTCGISARACGTLSKLSWMSRDATGACDCPRGSGTRHGYFPHACVFAFRGSECFPESVQGRASAPMRLSCGDAQAHFEHLSRFRFRLTRFLDPSILRDLQQGDEFADEIEDLVLVGGWRSLLSNREPAIQELTLQLGLYEEAFTYTEEYSQLSTDYPGALTPHRAYKALYSQDKSVNGRGDSTGFLSRHELLYLYSMIQRIPIHLGHIVPEYIRHQGLYARLGAIFSGPYIMRLALGMGLLCTIQGAEKMSILTPLSLETMRLMGMVHRVRTGIYALVLPIPEIAEEEGDDAKAFHPAMSLSQDLWTARDLTRSSCVYPASAQRCQRYASMEAPLPTFFQRDDDFSRPSMNIAAIGIIKKVLRKRMRIRRRHQKCSNAVGDVCEPNKLDEPLLGGIFYSSAEFLRHPDKTYRRIKLPHARGFVLQAHLEKTHGDLAIYPERTQGRALATVVNALKSKKEAKIDYKDKMLGVLAQFKDQDTRAVHKRGDVCQRPRRFKSIHYVEMCANVQEDSSQFTRSFARREISEPAAAWKNPHAGIMAPRSKKQADKRPRESSPETETMRFAILDHQGDELPDEVEDLISVGGWRQLVLTREPAIRALTLEFRAFGHHHSMSISQFSIWDMAI >DRNTG_32878.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23559654:23561398:1 gene:DRNTG_32878 transcript:DRNTG_32878.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-pyruvate monooxygenase YUCCA2 [Source:Projected from Arabidopsis thaliana (AT4G13260) UniProtKB/Swiss-Prot;Acc:Q9SVQ1] MPFPSSFPTYPTKQQFLQYLQDYADHFCITPVFNEAVETAEFDTGLGLWRVRTTSAEYRCPWLIVATGENADAVMPHINGMGQFKGQILHTSAYKSGDAFSGKKVLVVGCGNSGMEVSLDLYNYNARPSVVVRDAVHVLPREILGSSTFGLSMWLLKWLPMRMVDQLLLLASRFMLGDTSRLGLERPQLGPLELKAKSGKTPVLDVGTLARIRSGDIKVCPGIKRLTRHGADFMDGSSEEFDAIIL >DRNTG_30520.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21443113:21452387:-1 gene:DRNTG_30520 transcript:DRNTG_30520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNGGVGWGIWDLAGYHDTVSTTVMTSSVAGAGDDHQLHYQQQQQQQQQRRQLTSLNLGKRPYYEGNGIIGSSPVMMKRERLGTSASLSSSSMAVVPRCQVDGCGKPLIDAKEYHRRHKVCEMHSKAPKVVVLGAEQRFCQQCSRFHAVSEFDDAKRSCRRRLAGHNERRRKSSHESICKNSSLGTMMGNRFPYISSATPGRALSLLSSKSSPWISTSDLSSRSSAALRELIAENRAALLARQLFSDRNGWQNTSTITSTTLSYLPQQHEQIHSQEPSQLTSTWDHFQETGTQVTLDLMQMPDQSFEFLSGRSRNKDQEEECCDIWKSLEGTHVV >DRNTG_19271.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:436269:442004:1 gene:DRNTG_19271 transcript:DRNTG_19271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWIKTLLFGKKTTRSHSSRTKDNLARNDKGYFGGKDPPALAVNSPVISEPVLVSAGRSGIIPGIEKGAPSNLPNDGAGVTHQHEDKSGILGPTASNDQGKLREEQAAVKAQAAVRGFLARRAFRTLKGIIRLQALVRGHLVRRQAVATLYAIHAIVKVQALVRGRQTRHSCISGEIASKVFQAKAGGIKVVGNWRENLSANVFVNKLLSSSPTTMPLQIHYTNGEPNSSFIWLERWTSYHVWKPVSPPKKTLDSKPQTKRHTYAMETESGKPKRIPRKNSTSNGTESGPTTGTSDPEKPKRNLRKVSSPAVDSKQEHPQSELEKVKRNLRKVSNRAADVSNQTNTITEKPSRSSRRVASASTEVLEHGMKDSTEKPKKDIPPSVEEKPEKDSSPSREKKPDAEAAQKGMTPAEPTDDCLAIQVPQINDTEKGEDSTTMNVVITATNSKEEQASNENQKHSKRRSSFSAKSEYADNGSPNTPTLPSYMAATESAKAKLRAQNSPRFGSDPIDRNGSTRRHSLPSSTNGKLSSHSPRAQRLLQASGKGSIRSDRSLLSSRDANERPIQVEWRR >DRNTG_29108.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10435396:10437659:-1 gene:DRNTG_29108 transcript:DRNTG_29108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHTNSYHYIFFVLSLQPLLGTTILFVGFGVITLISLIFIYFIILETKELTLEEIEAKILYMVQS >DRNTG_17037.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10822325:10827351:1 gene:DRNTG_17037 transcript:DRNTG_17037.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDREWMYNSLTHGHRFINPEFMVGVESFIQYACDQPRFMDGDKIRCPCYKCQNRRYEVVDNVKLHLLRNGFTQKFITGYVMGNLWYMQIVVKLSATL >DRNTG_17037.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10822325:10822682:1 gene:DRNTG_17037 transcript:DRNTG_17037.6 gene_biotype:protein_coding transcript_biotype:protein_coding ALRHHSARSQDSPPAPTLDPFTFSKASRLCSALHLDTRGHMRTGRW >DRNTG_17037.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10822325:10831023:1 gene:DRNTG_17037 transcript:DRNTG_17037.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKERTRLRGGRSGGRTSHAHSNIEGSSNADSVPF >DRNTG_17037.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10822325:10825394:1 gene:DRNTG_17037 transcript:DRNTG_17037.4 gene_biotype:protein_coding transcript_biotype:protein_coding ALRHHSARSQDSPPAPTLDPFTFSKASRLCSALHLDTRGHMRTGRW >DRNTG_17037.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10822325:10824974:1 gene:DRNTG_17037 transcript:DRNTG_17037.5 gene_biotype:protein_coding transcript_biotype:protein_coding ALRHHSARSQDSPPAPTLDPFTFSKASRLCSALHLDTRGHMRTGRW >DRNTG_17037.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10822325:10830384:1 gene:DRNTG_17037 transcript:DRNTG_17037.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTYPLYFIKGYKFHTTEHGARRMTINSGVCVKGSNYSATSYDYYGLLREIIQLEYPALPIKRTTLFKCDWFDPTLNVGMKIHKDYNLVDINHQKKV >DRNTG_18529.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3419237:3419632:1 gene:DRNTG_18529 transcript:DRNTG_18529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSSSSSTTHTSTQLSLKPKSGDRSKGTPTTPTTILNTRNMKPQQEPKKYSNGSLAKKEKVVRYRECRKNHAANIGGYAVDGCREFMASGEEGTVEALKCAACNCHRSFHKREVERELECDCSSNSNSWR >DRNTG_27375.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001391.1:89185:92099:1 gene:DRNTG_27375 transcript:DRNTG_27375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARRRHRKRPKTVGDVHEPRKLDEPLLERAQGRAATLVDDHATGVRPWVISTRACAFLQSWADFLENTQGHGLAPMGDLVNHARAWVISARPCKTLQVAPFIPRKHRGVRPPLGVRLPLWVWRTGVDNFRMPVGDRSKSVRVFPESAQGRAYAPVELLKSWHLGQRSKLIKGHRDEFADEVEDLVSKGGWRQLLTIREPAIREFALEGQYEPGVSKATCLSRPAYRYLNAIMSRSVNGRGDSTGVLSCQELLYLYSMVERVPIHLGHILADYISH >DRNTG_12674.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1579964:1580869:1 gene:DRNTG_12674 transcript:DRNTG_12674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTLEFGGGLELLCESIKIHEVDVNPKSGEDKLIMRDLLGWIKANIIKERPEMFMKGDSVRPGVLVLVNDCDWELSGNLDTSLEEKDVVVFISTLHGG >DRNTG_32699.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:31033084:31036551:1 gene:DRNTG_32699 transcript:DRNTG_32699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKMWKSLVGHAKTCVLSGKYYVYYSDDTRNFGAIFNNIYEFVGLIAGGQYYLAESLGDCQKVFVDTLVKKAYDNWMNVIEYDGKALLNFTRNEVPSAQANYSASYDQQHVSQASLSVSVPSDQSLIDTGVTVGVVISSSYGGNQSGQCSTQTEHVAPNAQLQYEAVHVIHKISSSILLSRPKSQEMIVPAWYWSPRNNLAWVSNP >DRNTG_32699.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:31034209:31036551:1 gene:DRNTG_32699 transcript:DRNTG_32699.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKMWKSLVGHAKTCVLSGKYYVYYSDDTRNFGAIFNNIYEFVGLIAGGQYYLAESLGDCQKVFVDTLVKKAYDNWMNVIEYDGKALLNFTRNEVPSAQANYSASYDQQHVSQASLSVSVPSDQSLIDTGVTVGVVISSSYGGNQSGQCSTQTEHVAPNAQLQYEAVHVIHKISSSILLSRPKSQEMIVPAWYWSPRNNLAWVSNP >DRNTG_17899.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17310004:17315692:-1 gene:DRNTG_17899 transcript:DRNTG_17899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTLRKVNLLHGKVHNGIGDVNRNNDSNVNGMREEKPFRLLLLFAHLSGSSVFPSSSAGMAVEWAEFIVNNYSKELSETELVGGIVALVGRYDFDMNFYKTLVELWCPETNTFHFLHGEVGISLWDIKELGGLPIIGDIYDEVIPPNDTICRKYPPGPNVLDSYTMEYVMNLRCGVIPLWCAGDLFTEPYNLHRFGRQFGYDQHTPAYIDVPRRASSLRILTGYWLHLYRQKTSSSFYIPEHNREGRLILVYAQKWLRTVKAFFQQNLSLLIQDDFKDKRAINRERRQFSKSHSFINESIFIDRTDPTVDPVVPTKRQRTEGQVNTPGLSVGAEENIGVGDDIDAPSSLESDGGNVDANIKSLPHESRNVNVDTTPPEMPNEVVQEIAKDIHTPTEELESGGSNADVGVESLTKQAQAYAKEASEDPNVQARIRSQQAKACVHESTLALERLNKLRTDWTNDINTNKEEYQNLPEREHQL >DRNTG_08484.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000380.1:20005:26794:-1 gene:DRNTG_08484 transcript:DRNTG_08484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSLNDDRIVSLEQKLESLIDDVNQVKNAIEIPLAFKPTFHNMDFNFKQIQVDDLVSNGFWDNSMLEDIFGAGFNSHSMKLGMIDPNSANVWNIEHMFVNYLKIKDMWNHLSNALGSNIEFGEGIGTGLWLRKRKCSDSVCVSFVIVVVLLMVWKTICNAIFKGI >DRNTG_32493.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20975593:20976361:1 gene:DRNTG_32493 transcript:DRNTG_32493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMMGGTSERRKDGETAETMEQEEEMAWDDARLVICYEQGRTIIEQQHRQLYPDLVTSVLPHRVCLEKAAPSYSFEVTDFVDEPKFYLAALKFCKARDTAQ >DRNTG_15800.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000786.1:7267:10952:1 gene:DRNTG_15800 transcript:DRNTG_15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNPSNHSKDLPKASPNPSRWLPKQWRKVEEKR >DRNTG_19001.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22652233:22653672:-1 gene:DRNTG_19001 transcript:DRNTG_19001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSVAILSSLISILAPATAHIPGVFTGGSWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVETAALSTALFNDGMSCGSCFELKCADDPQWCHGGSPSIFITATNFCPPNYALPSDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVSYRRVPCRKEGGIRFTINGFKYFNLVLISNVAGAGNIVRVSVKGSRTGWMPMSRNWGQNWQSNSVLVGQSLSFRVTGSDRRTSTSWNIVPANWQFGQTFSGKNFRV >DRNTG_00304.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2101962:2103514:1 gene:DRNTG_00304 transcript:DRNTG_00304.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKGLPLTAKENEEKNSTKEGLIPQSSITCDFSGPRSDTCYIHGDVRVLGNVILSASSSQLNSPNTTWQIRPYARKWETNVMGYIKEISLRSLENFKENAHCAVNHSVPAVVFSTGGFLGNFFHDFTDVLIPLFTTARQYHGEVQFLVTDFNAKWINKYQLILQKLSRYEIINIDTDTRVHCFQNLNVGLQSDKVMGIDPSKSPNGYSMADFREFIRESLSLQRRYTKMIPAQSRRKPRLLILLRKGSRSITNAKQVIQMARRSGYKVVTAGPEETRNLTKFAHTVNSCDVMMGVHGAGLANMVFLPTNATLIQIIPWGGLKWACRHDFGEPAPDMGIRYVEYEIKEEESSLIEQYPRNNAVFTDPLSVQKQGWNALWSIFLNKQKVKLDVGRFRPVLLEALRFLKP >DRNTG_00304.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2099237:2103514:1 gene:DRNTG_00304 transcript:DRNTG_00304.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLILDSNEKKQEANNMRKDMGEKGLPLTAKENEEKNSTKEGLIPQSSITCDFSGPRSDTCYIHGDVRVLGNVILSASSSQLNSPNTTWQIRPYARKWETNVMGYIKEISLRSLENFKENAHCAVNHSVPAVVFSTGGFLGNFFHDFTDVLIPLFTTARQYHGEVQFLVTDFNAKWINKYQLILQKLSRYEIINIDTDTRVHCFQNLNVGLQSDKVMGIDPSKSPNGYSMADFREFIRESLSLQRRYTKMIPAQSRRKPRLLILLRKGSRSITNAKQVIQMARRSGYKVVTAGPEETRNLTKFAHTVNSCDVMMGVHGAGLANMVFLPTNATLIQIIPWGGLKWACRHDFGEPAPDMGIRYVEYEIKEEESSLIEQYPRNNAVFTDPLSVQKQGWNALWSIFLNKQKVKLDVGRFRPVLLEALRFLKP >DRNTG_00304.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2099237:2103514:1 gene:DRNTG_00304 transcript:DRNTG_00304.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACKSMARIKPEKLGCMAVLACLLVVPIIILALPNITSQSLDIMSLHLPIREAHVPLSTVNESKDSYKPPDAEISILTLEAEEIEEESNKNADINTVVFTDASNEIKLQQNYTKKDGIDTAVLADASNEQKQQQNYTRNDSKMTDLILDSNEKKQEANNMRKDMGEKGLPLTAKENEEKNSTKEGLIPQSSITCDFSGPRSDTCYIHGDVRVLGNVILSASSSQLNSPNTTWQIRPYARKWETNVMGYIKEISLRSLENFKENAHCAVNHSVPAVVFSTGGFLGNFFHDFTDVLIPLFTTARQYHGEVQFLVTDFNAKWINKYQLILQKLSRYEIINIDTDTRVHCFQNLNVGLQSDKVMGIDPSKSPNGYSMADFREFIRESLSLQRRYTKMIPAQSRRKPRLLILLRKGSRSITNAKQVIQMARRSGYKVVTAGPEETRNLTKFAHTVNSCDVMMGVHGAGLANMVFLPTNATLIQIIPWGGLKWACRHDFGEPAPDMGIRYVEYEIKEEESSLIEQYPRNNAVFTDPLSVQKQGWNALWSIFLNKQKVKLDVGRFRPVLLEALRFLKP >DRNTG_00304.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2099237:2103514:1 gene:DRNTG_00304 transcript:DRNTG_00304.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLILDSNEKKQEANNMRKDMGEKGLPLTAKENEEKNSTKEGLIPQSSITCDFSGPRSDTCYIHGDVRVLGNVILSASSSQLNSPNTTWQIRPYARKWETNVMGYIKEISLRSLENFKENAHCAVNHSVPAVVFSTGGFLGNFFHDFTDVLIPLFTTARQYHGEVQFLVTDFNAKWINKYQLILQKLSRYEIINIDTDTRVHCFQNLNVGLQSDKVMGIDPSKSPNGYSMADFREFIRESLSLQRRYTKMIPAQSRRKPRLLILLRKGSRSITNAKQVIQMARRSGYKVVTAGPEETRNLTKFAHTVNSCDVMMGVHGAGLANMVFLPTNATLIQIIPWGGLKWACRHDFGEPAPDMGIRYVEYEIKEEESSLIEQYPRNNAVFTDPLSVQKQGWNALWSIFLNKQKVKLDVGRFRPVLLEALRFLKP >DRNTG_19919.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12590592:12602558:1 gene:DRNTG_19919 transcript:DRNTG_19919.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGNPTASVGRSNVRIVVVGDQGTGKSSLIVSIATESFPENVPRVMPPTRLPADIYPDRVPITIIDTSSSPDNKAKLIGECQLADAIILTYACDRPATLDRLSTYWLPELRRLEVRVPVIVVGCKLDLRDEQQVSLEQVMSPIMQQYREIETCIECSALRQIQVPEVFYYAQKAVLHPTAPLFDQETQSLKARCIRALKRIFILCDNDKDGALSDAELNDFQPTEITGVKKVVQEKMPEGVNDRGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNDDLKLRDDLLPTSFKRAPDQCVELTEEALDFLKGIFAMFDIDSDGALRSAELEDLFSTAPESPWAESPYKDAAEKNVLGGLTLNGFLSEWALMTLLDPASSLANLVYIGYTGDPASAFTITRKRRVDRKRKVSQRNVVHCFVFGPKNAGKSALLNALIGRSFSEKYVPTTSECFAANFVDVPSGVKKALVMREIPEDGVKALLSNKESLAACDIAIFVHDSSDESSWKKTAELLVQVASHGENNGFEVPCLIVSAKDDLGPYQSAIQDSTRVSQDMGIETPIPVSIKLRDYNNVFRKIVTAAQNPHLSIPETEAGRNRKQYRRLVNRSLMFVSVGAAVAVVGLAAYRVYAARKNTSG >DRNTG_16130.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14569427:14570830:1 gene:DRNTG_16130 transcript:DRNTG_16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSFLRKKVGRGASVEAYPIPDEGIVGRRVEDFPQDHRHDYRGGFFMDSLLLHSISLLFQVMTRGNPSILIEGDPDLERTIRRKEKEPVQEQSNLADLEVEGSENMA >DRNTG_25518.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2911049:2914568:-1 gene:DRNTG_25518 transcript:DRNTG_25518.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLPLPLPLPLFFTLLTITTIKLVVITAVTAPQNSAAAEPFVGVCIGTQVSNILSPADLVEFLKSQQITHIRLYEPDTNILSALNGSGIHVLLGIPNNQLLALGSSPATAAAWVSRHVLPFHPSTPISAIAVGDDVPFTFPSSLPLLLPALLSLHSSLSNLSIPISTPLSFSSIIDPFPPSQAFFNQSLVSGFILPLLRFLSSTSSPLMLNLYPYYVLTRARGVIPLDNALFRPLPPSREEVDPNTLLHYTNVLDAMLDAAYFSMRNLNFTNIPILITETGWPSFGDRHQEPFASKDNADTYNSNMIKHVLDRSGTPLHPEMTSSVYLYELFDEDLRPGPVSEQHWGLFYGNATPVYLLHVTGSGGLLANDTTDKTYCVAEEGADRKMLQAALDWACGPGKANCSEIQPGESCYDPNTVKAHASYAFDSYYQKEGKVAGSCYFQGVAMVTTTDPSEYKLYILNFLCCICY >DRNTG_25518.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2911049:2914385:-1 gene:DRNTG_25518 transcript:DRNTG_25518.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLPLPLPLPLFFTLLTITTIKLVVITAVTAPQNSAAAEPFVGVCIGTQVSNILSPADLVEFLKSQQITHIRLYEPDTNILSALNGSGIHVLLGIPNNQLLALGSSPATAAAWVSRHVLPFHPSTPISAIAVGDDVPFTFPSSLPLLLPALLSLHSSLSNLSIPISTPLSFSSIIDPFPPSQAFFNQSLVSGFILPLLRFLSSTSSPLMLNLYPYYVLTRARGVIPLDNALFRPLPPSREEVDPNTLLHYTNVLDAMLDAAYFSMRNLNFTNIPILITETGWPSFGDRHQEPFASKDNADTYNSNMIKHVLDRSGTPLHPEMTSSVYLYELFDEDLRPGPVSEQHWGLFYGNATPVYLLHVTGSGGLLANDTTDKTYCVAEEGADRKMLQAALDWACGPGKANCSEIQPGESCYDPNTVKAHASYAFDSYYQKEGKVAGSCYFQGVAMVTTTDPSHGKCFFPGSKLVVNVTQAAMNATTQTSNAERSYRQWRRTRSAIDAALLVTVVVLLTSIPHIW >DRNTG_25518.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2911049:2914469:-1 gene:DRNTG_25518 transcript:DRNTG_25518.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLPLPLPLPLFFTLLTITTIKLVVITAVTAPQNSAAAEPFVGVCIGTQVSNILSPADLVEFLKSQQITHIRLYEPDTNILSALNGSGIHVLLGIPNNQLLALGSSPATAAAWVSRHVLPFHPSTPISAIAVGDDVPFTFPSSLPLLLPALLSLHSSLSNLSIPISTPLSFSSIIDPFPPSQAFFNQSLVSGFILPLLRFLSSTSSPLMLNLYPYYVLTRARGVIPLDNALFRPLPPSREEVDPNTLLHYTNVLDAMLDAAYFSMRNLNFTNIPILITETGWPSFGDRHQEPFASKDNADTYNSNMIKHVLDRSGTPLHPEMTSSVYLYELFDEDLRPGPVSEQHWGLFYGNATPVYLLHVTGSGGLLANDTTDKTYCVAEEGADRKMLQAALDWACGPGKANCSEIQPGESCYDPNTVKAHASYAFDSYYQKEGKVAGSCYFQGVAMVTTTDPSHGKCFFPGSKLVVNVTQAAMNATTQTSNAERSYRQWRRTRSAIDAALLVTVVVLLTSIPHIW >DRNTG_16545.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8690202:8690963:-1 gene:DRNTG_16545 transcript:DRNTG_16545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIYSSALSFQNSNPNRNFHLFSKFHLLSRVRFPLRSSSRNRLRVSASFALPPFTNPLFNSANSLSSMLSLLNTQLREVSTSVAPRLLAAFMNHRADFVLPMTAVLARAVRWLDIFDQVLMVRVLLSWYPNIPWDRQPFNALSDLCDPFLNLFRKIIPRVGAMDVSPLLAFVVLRIIRSLLGAPDPVMY >DRNTG_22253.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:874692:882248:-1 gene:DRNTG_22253 transcript:DRNTG_22253.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH4 [Source:Projected from Arabidopsis thaliana (AT4G17380) UniProtKB/Swiss-Prot;Acc:F4JP48] MLKTTKTIGGTRLLRANLLQPLKDINTINARLDCLDELMSNEELFFGLSQGLRKFPKETDKVLCHFCFKPKKVTDVVLKPANGRKSQMLISSIIILKTALDALPFLSKVLKDAKSFLLCNIYRSICENPKYEEMRKRIAGVIDEDVVHARVPFLAYTQQCFAVKAGVDGLLDVARRSFCDTSEAIHNLANKYREEYKLPNLKIPFNNRQGFYFTIPQKDVNGKLPGKFIQVMKHGKNIHCSTFELASLNVRNKSAAAECFMRTEICLEALLEVIREDISPLTLLAEVLCLLDMIVNSFAHTISTKPVDRYTRPEFTDNGPMAIDAGRHPVLESLHSDFVV >DRNTG_22253.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:877468:882248:-1 gene:DRNTG_22253 transcript:DRNTG_22253.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH4 [Source:Projected from Arabidopsis thaliana (AT4G17380) UniProtKB/Swiss-Prot;Acc:F4JP48] MLKTTKTIGGTRLLRANLLQPLKDINTINARLDCLDELMSNEELFFGLSQGLRKFPKETDKVLCHFCFKPKKVTDVVLKPANGRKSQMLISSIIILKTALDALPFLSKVLKDAKSFLLCNIYRSICENPKYEEMRKRIAGVIDEDVVHARVPFLAYTQQCFAVKAGVDGLLDVARRSFCDTSEAIHNLANKYREEYKLPNLKIPFNNRQGFYFTIPQKDVNGKLPGKFIQ >DRNTG_22253.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:874692:875869:-1 gene:DRNTG_22253 transcript:DRNTG_22253.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH4 [Source:Projected from Arabidopsis thaliana (AT4G17380) UniProtKB/Swiss-Prot;Acc:F4JP48] MQPNNLFLSDASNMIVVMGPNMSGKSTYLQQVCLIVLLAQTGCYVPARFASLRVVDRMFTRIGTGDNVEYNSSTVCCLEALFPFFLEFLSTSIHYCWIFIVMCG >DRNTG_22253.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:874692:879129:-1 gene:DRNTG_22253 transcript:DRNTG_22253.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH4 [Source:Projected from Arabidopsis thaliana (AT4G17380) UniProtKB/Swiss-Prot;Acc:F4JP48] MLISSIIILKTALDALPFLSKVLKDAKSFLLCNIYRSICENPKYEEMRKRIAGVIDEDVVHARVPFLAYTQQCFAVKAGVDGLLDVARRSFCDTSEAIHNLANKYREEYKLPNLKIPFNNRQGFYFTIPQKDVNGKLPGKFIQVMKHGKNIHCSTFELASLNVRNKSAAAECFMRTEICLEALLEVIREDISPLTLLAEVLCLLDMIVNSFAHTISTKPVDRYTRPEFTDNGPMAIDAGRHPVLESLHSDFVV >DRNTG_22253.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:878981:883332:-1 gene:DRNTG_22253 transcript:DRNTG_22253.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH4 [Source:Projected from Arabidopsis thaliana (AT4G17380) UniProtKB/Swiss-Prot;Acc:F4JP48] MNIDATSVRNLEIIDPLHIELWGSSNKKRSLFHMLKTTKTIGGTRLLRANLLQPLKDINTINARLDCLDELMSNEELFFGLSQGLRKFPKETDKVLCHFCFKPKKVTDVVLKPANGRKSQMLISSIIILKTALDALPFLSK >DRNTG_22253.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:878981:882248:-1 gene:DRNTG_22253 transcript:DRNTG_22253.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH4 [Source:Projected from Arabidopsis thaliana (AT4G17380) UniProtKB/Swiss-Prot;Acc:F4JP48] MLKTTKTIGGTRLLRANLLQPLKDINTINARLDCLDELMSNEELFFGLSQGLRKFPKETDKVLCHFCFKPKKVTDVVLKPANGRKSQMLISSIIILKTALDALPFLSK >DRNTG_22253.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:874692:875506:-1 gene:DRNTG_22253 transcript:DRNTG_22253.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH4 [Source:Projected from Arabidopsis thaliana (AT4G17380) UniProtKB/Swiss-Prot;Acc:F4JP48] MQPNNLFLSDASNMIVVMGPNMSGKSTYLQQVCLIVLLAQTGCYVPARFASLRVVDRMFTRIGTGDNVEYNSSTVCCLEALFPFFLEFLSTSIHYCWIFIVMCG >DRNTG_24920.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19301019:19305359:1 gene:DRNTG_24920 transcript:DRNTG_24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYERLIDEKDYERCTRERARKGCIPVIVGEEDECSEKFLMQVELLSDPRIAVLLEMAAGEFGYRQQGILRIPCDAEYFRQVVAVSIVQGFHPTPCQSLSEHYKKTGVSEAENFVTDRVEMRFLLKYTPHDCKEHERLINSQGRIEGDRVSKVPYV >DRNTG_08139.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5327635:5329917:1 gene:DRNTG_08139 transcript:DRNTG_08139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVMESFCAIARYNGEGRVLIFTALTSWESVLAEICERWGLDVSRVRVKFITPNGHKTVCPKENEVDFKRMCHVYSIFKCGVVDLVVETDDVPLSYPIENEFFSLNSDSASASVPPHGDPDGVARLLSSSDQFEVLSLDIRQRFQSNEKHRVTVECAADGCQWRVYASKEYNKNTFRVKTMHLSHTCGGGIGSASHPKVSKKCVSARVIQKLKDRPLYKTIDIQKDMLREHGVYIPYNVCIVGFKRACRSLLFLDGTHLLGKYRGNLLGAIGKDGNNGFFHVASGIIDNKTDANWTWFISKLGDALYDEGDYQEIITFVSDRFKLMRMLCNRHEQANNGRLTYTQTYIRRWQVYGIPCKHACAAIMQTY >DRNTG_02985.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9047106:9052387:-1 gene:DRNTG_02985 transcript:DRNTG_02985.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWESSQLFIPKYYKKMIFADRTPKVQKTSLTWLVNSRGIARKVKNATIFSDYQIKDFGAEAERECPNCKHIIDNSDVSLEWPGLPAGVKFDPSDQELLEHLAGKVGLDNSKSHMFIDEFIPTLEEDQGICYTHPENLPGVKKDGSSAHFFHRTSNAYATGQRKRRKIDGQYGISQEHVRWHKTGKTKPVLENGVQKGWKKIMVLYKSSKRGCKPDKANWVMHQYHLGSEEEEKDGELVVSKVLYQPQTKPAEKNETDMDNEDMDVLAIKTGPRTPKTNTPKPPRPRTNLPDDEELEHNFQIIPEQLKDNQETSTTESVLPVSLNECVNPTWCAGESQAVEDPDPNINDEALLCHEDLDSFPAFEDSSLQFQFPDLDHSKNEIPGKINNSLSGIYDLDNIEIDTPPDFQLADLQFGSQDSITSWLDRL >DRNTG_02985.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9047106:9050291:-1 gene:DRNTG_02985 transcript:DRNTG_02985.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFIDEFIPTLEEDQGICYTHPENLPGVKKDGSSAHFFHRTSNAYATGQRKRRKIDGQYGISQEHVRWHKTGKTKPVLENGVQKGWKKIMVLYKSSKRGCKPDKANWVMHQYHLGSEEEEKDGELVVSKVLYQPQTKPAEKNETDMDNEDMDVLAIKTGPRTPKTNTPKPPRPRTNLPDDEELEHNFQIIPEQLKDNQETSTTESVLPVSLNECVNPTWCAGESQAVEDPDPNINDEALLCHEDLDSFPAFEDSSLQFQFPDLDHSKNEIPGKINNSLSGIYDLDNIEIDTPPDFQLADLQFGSQDSITSWLDRL >DRNTG_02985.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9047106:9048469:-1 gene:DRNTG_02985 transcript:DRNTG_02985.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYKSSKRGCKPDKANWVMHQYHLGSEEEEKDGELVVSKVLYQPQTKPAEKNETDMDNEDMDVLAIKTGPRTPKTNTPKPPRPRTNLPDDEELEHNFQIIPEQLKDNQETSTTESVLPVSLNECVNPTWCAGESQAVEDPDPNINDEALLCHEDLDSFPAFEDSSLQFQFPDLDHSKNEIPGKINNSLSGIYDLDNIEIDTPPDFQLADLQFGSQDSITSWLDRL >DRNTG_02985.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9047106:9052387:-1 gene:DRNTG_02985 transcript:DRNTG_02985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESSQLFIPKYYKKMIFADRTPKVQKTSLDSCSRTWLVNSRGIARKVKNATIFSDYQIKDFGAEAERECPNCKHIIDNSDVSLEWPGLPAGVKFDPSDQELLEHLAGKVGLDNSKSHMFIDEFIPTLEEDQGICYTHPENLPGVKKDGSSAHFFHRTSNAYATGQRKRRKIDGQYGISQEHVRWHKTGKTKPVLENGVQKGWKKIMVLYKSSKRGCKPDKANWVMHQYHLGSEEEEKDGELVVSKVLYQPQTKPAEKNETDMDNEDMDVLAIKTGPRTPKTNTPKPPRPRTNLPDDEELEHNFQIIPEQLKDNQETSTTESVLPVSLNECVNPTWCAGESQAVEDPDPNINDEALLCHEDLDSFPAFEDSSLQFQFPDLDHSKNEIPGKINNSLSGIYDLDNIEIDTPPDFQLADLQFGSQDSITSWLDRL >DRNTG_02985.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9047106:9048859:-1 gene:DRNTG_02985 transcript:DRNTG_02985.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFIDEFIPTLEEDQGICYTHPENLPGVKKDGSSAHFFHRTSNAYATGQRKRRKIDGQYGISQEHVRWHKTGKTKPVLENGVQKGWKKIMVLYKSSKRGCKPDKANWVMHQYHLGSEEEEKDGELVVSKVLYQPQTKPAEKNETDMDNEDMDVLAIKTGPRTPKTNTPKPPRPRTNLPDDEELEHNFQIIPEQLKDNQETSTTESVLPVSLNECVNPTWCAGESQAVEDPDPNINDEALLCHEDLDSFPAFEDSSLQFQFPDLDHSKNEIPGKINNSLSGIYDLDNIEIDTPPDFQLADLQFGSQDSITSWLDRL >DRNTG_02985.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9047106:9050970:-1 gene:DRNTG_02985 transcript:DRNTG_02985.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWESSQLFIPKYYKKMIFADRTPKVQKTSLDSCSRTWLVNSRGIARKVKNATIFSDYQIKDFGAEAERECPNCKHIIDNSDVSLEWPGLPAGVKFDPSDQELLEHLAGKVGLDNSKSHMFIDEFIPTLEEDQGICYTHPENLPGVKKDGSSAHFFHRTSNAYATGQRKRRKIDGQYGISQEHVRWHKTGKTKPVLENGVQKGWKKIMVLYKSSKRGCKPDKANWVMHQYHLGSEEEEKDGELVVSKVLYQPQTKPAEKNETDMDNEDMDVLAIKTGPRTPKTNTPKPPRPRTNLPDDEELEHNFQIIPEQLKDNQETSTTESVLPVSLNECVNPTWCAGESQAVEDPDPNINDEALLCHEDLDSFPAFEDSSLQFQFPDLDHSKNEIPGKINNSLSGIYDLDNIEIDTPPDFQLADLQFGSQDSITSWLDRL >DRNTG_12085.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22010779:22011347:1 gene:DRNTG_12085 transcript:DRNTG_12085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLCWAEHGCAISLWFSLSGTPLFVTESKGEAQGCYLPVITPIWDWIILFRGSMVCVLLSWACPSTALVEHNRALLVISSRCHLALFTQKLEEEHALSTTVQDHVECEKSFLILRFRVTWFPLFGNLLLKWGNCQHNDDQSSPLELRMPMVS >DRNTG_30372.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:107157:108026:1 gene:DRNTG_30372 transcript:DRNTG_30372.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKIHSTPTPTVSTTVAASSQAQSTSFSGDATAVIQNSVITIRKPKPNQQNIITAQGRTDKREDTGLVIHKCRITAEPGAETFPNYLARPWKFKSRTIFLENEIGGFINPVGYLAWPSAPENSNTCFYGEYGNTGPGADTSKRVKWQGVKNLTREGAEKFTPANFINAGDWIKKTGVPVQLSFYKN >DRNTG_30372.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:107017:108026:1 gene:DRNTG_30372 transcript:DRNTG_30372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKIHSTPTPTVSTTVAASSQAQSTSFSGDATAVIQNSVITIRKPKPNQQNIITAQGRTDKREDTGLVIHKCRITAEPGAETFPNYLARPWKFKSRTIFLENEIGGFINPVGYLAWPSAPENSNTCFYGEYGNTGPGADTSKRVKWQGVKNLTREGAEKFTPANFINAGDWIKKTGVPVQLSFYKN >DRNTG_02079.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000104.1:150102:153469:1 gene:DRNTG_02079 transcript:DRNTG_02079.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ and TAZ domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT1G05690) UniProtKB/Swiss-Prot;Acc:Q9SYL0] MTVSRSRFLDVPDASKPSLSSFSSSSSSSSVPKPPPLPSSANGGCSSSKRLTGYSYVLDETRLVWDKLFHEGYEADVHVLTDDKSTILSHSCVLGISSAVIRNLLAQAKIRGGIRRIKIHGVPSEAANAFVRFLYSSSYEPDDMKNYVLHLLVMSHSYSIPSLKKVCINQVEQALLNAENVVDILQLARLCDAPRLTVLCTRMIMKDFKTISLSEGWKVMRQTNPELEQELLESLVEADSKRQEKMKKMEERKVYLRLNEAMEALVHICRDGCQTIGPSGKVVKDNQTACKFPACKGLESLVRHFSSCKTRVPGGCTHCKRMWQLFELHSLICSELEFCKVPLCRHFKAKIKNQSRKEEMKWNLLASKVIAVKGTLSSISARRIFVP >DRNTG_30128.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3169071:3169786:-1 gene:DRNTG_30128 transcript:DRNTG_30128.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNMGDGAMNTEVIRHVVYGYFSQRSGLIVYIEDTHLTRVQRFGLDTVYWETTIGSTIEDYREIDGILIAHQGRSVATVFRFGKSSGSESRIRMVEKWRIDDVVFDVPGLSIDCFIPPAEIMGVSKGL >DRNTG_30128.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3169071:3170616:-1 gene:DRNTG_30128 transcript:DRNTG_30128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPRRRKKVQTLMISVQPLTPLMEGPDDPNKEEKQVKKKQSHDITSRKRMNNLKLMLGVLACPLSPVPLQAQQTSHFSIKDSPIESSSAGYIVHQYLAASGWLKAKKEVKSKYTAGSLNMVYNDSGTESGCFVLWQMSPEMWLVDMVIGKCKVVAGSNGGVVWRHMPWLGAHAARGPPRPLRRIIQTRRQPQGCLRMHGA >DRNTG_31510.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14183743:14184156:-1 gene:DRNTG_31510 transcript:DRNTG_31510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPQASMSSSGVAGVPKECSHPRVPRGRGEQAKRFYGLIGYFSSPRGRVGKPRACVGAFWSRPGRHASPWRQPIRALGASPRGRVSLCYCRVSTNLTF >DRNTG_17658.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16888395:16889530:1 gene:DRNTG_17658 transcript:DRNTG_17658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKKSETKVSSDEAESAEQVNDDAGTGRSYECMFCKRGFTTAQALGGHMNIHRKDRAKMRQPPTNLAKKTDASSSLGKDEGVRRPQELSLFNKDVPLSLSMRFDGGGLEDGGEKMKEGEEEVDLELRLGQHHEP >DRNTG_28625.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28395325:28396284:-1 gene:DRNTG_28625 transcript:DRNTG_28625.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EXORDIUM-like 6 [Source:Projected from Arabidopsis thaliana (AT3G02970) UniProtKB/Swiss-Prot;Acc:Q9M8T8] MTTSSHIITKTTTFLILLLLFLSSFSICLGTRTLNSLYKPPPNLLKYHNGPLLEGNIPISILWYGNFKPSQKSILIDFILSLTPFHHPHTSNRAPTLSQWWKTVDDLLAMAGKAKTHIFISEQTTDIHYSLGKHLNKSQIERLTTKRAKTTKEGIMLVLTSEDVAVEGFCMSHCGYHSSYSGEKEAYIWVGNSVNQCPGQCAWPFHQPLYGPQMATPLVSPNGDVGVDGMVINLATLIAGTVTDPFGRGYFQGEEGGEVEVVAACSGVFGKGAFSGYTGEVLVDKTGNGGSYNVVGLTGRKFLVPAMLDPSTSSCSPMV >DRNTG_24183.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001292.1:39061:47999:1 gene:DRNTG_24183 transcript:DRNTG_24183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEPFIECANVQNISIEPADVSKSSREDTQLCRISARACAFTMSSSQEDIGGMDSPVDLEIFPEKTQGPELAPANDSVTNTRAWVISALPYGSLQRVLIHREKTQRMPRTKRHIVSSRSVNPNEDSGATEGHTTSTSAPPIVVEERETASMPLDQS >DRNTG_25764.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21301343:21306329:-1 gene:DRNTG_25764 transcript:DRNTG_25764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSLSLIFMKWMTINIHLLSRPLVKLARVKASLWEIVGEYCKRVRELALKLLEAISESLGREKKYMEKALGKQGQHMAINYYPPCPQPDLTFGLPGHNDPNAITILLQDTIPGLQVLRNGKWLAVNPLPNTLIINIGNQIEVLSNGRYKSVLHRAIVNKDNERISVATFYCPSYDAVIEPARELIDEEHPRITKTFTYGEYYDQFWTLDLQSESCLDLFKV >DRNTG_19985.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:834436:836095:-1 gene:DRNTG_19985 transcript:DRNTG_19985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPMYDIVFYIHGEIEVRWRESGWRRRRPAEVESGPERGWRRAEGGGGRGQSGRGEVWG >DRNTG_19985.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:834436:836095:-1 gene:DRNTG_19985 transcript:DRNTG_19985.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPMYDIVFYIHGEIEVRWRESGWRRRRPAEVESGPERGWRRAEGGGGRGQSGRGEVWG >DRNTG_12663.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1210621:1211390:-1 gene:DRNTG_12663 transcript:DRNTG_12663.1 gene_biotype:protein_coding transcript_biotype:protein_coding INTIPNTHPTSWSRKLSKPSPWPWLGSEPPRYSCP >DRNTG_19808.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17397799:17398188:1 gene:DRNTG_19808 transcript:DRNTG_19808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKDSDRSTMSKMFTEAKDVTISLLQSVASSLSPSSTQKTIRWSFVSTALHKKKVTCEEFEDSNCGDFSFNSIYEYVSCKDVDGLRAMKAQDRLAEIMSSLEGLEIELESLYRRLIQNRVSLLNLLSL >DRNTG_29248.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21485230:21489762:-1 gene:DRNTG_29248 transcript:DRNTG_29248.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent tRNA 4-demethylwyosine synthase [Source:Projected from Arabidopsis thaliana (AT1G75200) UniProtKB/Swiss-Prot;Acc:Q8RXN5] MEDIAGKAPSRRSSGVLTNGVLKNGENGVKEMVTPVIRASLEKQGYKIIGTHSGVKLCRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKSWRWKMDEPLEIVNGAIDQHTKMIKQMKGVPGVKQERLLEGLSPRHCALSLVGEPIMYPEINTIVDELHRRHISTFLVTNAQFPERIEMLKPVTQLYVSVDAASKESLKAIDRPLFGDFWERFLDSLRALRDKEQRTVYRLTLVKGWNAEDVDAYSNLLSIGKPDLVEIKGVTYCGSSATSKLTMENVPWHSDVKAFSEAMAAKSNGEYEVACEHVHSCCVLLAKVDKYKINGQWYTWIDYDRFHELVALGKPFKSEDYMAPTPTWAVYGADEGGFDPHQSRYKKERRHGDAARR >DRNTG_11351.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23739689:23747144:-1 gene:DRNTG_11351 transcript:DRNTG_11351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNIVSGTSLSSPVIAEVATLLKIAHPDWSPAAIKSAIMTTADTLDRDGLPISDLYSMGAGHINPTK >DRNTG_11351.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23746164:23747144:-1 gene:DRNTG_11351 transcript:DRNTG_11351.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNIVSGTSLSSPVIAEVATLLKIAHPDWSPAAIKSAIMTTADTLDRDGLPISDLYSMGAGHINPTKANDPGLVYDIVRDDYIAYLCGLGYTDKQVSAVARRIIECASIDPINAEELNYPSVSVALGSKAEKTITRSVTNVGESESLYSMQIKRPEGVEVSVYPEKLSFSEMNQTLTFSLYFSSGDVGAMRGGVSEGYLRWVSNKHIVRSPILVTFT >DRNTG_03861.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3657763:3658934:-1 gene:DRNTG_03861 transcript:DRNTG_03861.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCGGEDGVGLMTPGTQLTASTTAPEICCDKNCSPFHYEHYCSDSPTDSSLINSFSNSGSSSSPVVRLCNKEAQSSSSEITEQVGTVDSFNSMEFTQYLHEGYCKISELNDCCELTEAVTDVDSSSSHCEREKPEEDGDNDEMLGGVFAF >DRNTG_10588.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8718778:8720654:-1 gene:DRNTG_10588 transcript:DRNTG_10588.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEKRELYGYHHFNDELSSFFGQRSESMGGTAGMLDLQNGEPPAALMSFNEFFHGPAYYNALTRAFDVSSSPEEGLGVSEGGKTMRDVEVESNIGGGVTPVTPNSSVSLSSTEAAGEEDSGRCKKDLQLGEEKVEEKGAENDAEKSKKLNKPRKKGEKRQREPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCTVKKRVERSYQDPTIVITTYEGQHTHQSPATLRGNSHILASSSSSIVPPGFPQQLLMHQMPSLNINHHHQQQMDANPSMFLQSLQTPRHQQFQIPDNYGLLQDIIPTLIHNNHP >DRNTG_13068.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:931499:934444:-1 gene:DRNTG_13068 transcript:DRNTG_13068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRACSRVPGVAKARRKPAISAQEIENGIYSILTIHRWESLNHMDYQLGPLRRVHGKLALKSLSWIAQQQGFDQAAYIHIFCFTIHLLARARMFKHAKFILEHTCCLPVYIQKRMLKDALKIFRLMGLQGIKASVYSCNLILSTIAREKQINLVWLFFKEMLKQKIRPDICTFNIILNSFCFEGKLEKAGYLFKKIEEVGYIPTTVTYNAIVNWFCKMGRFKAALQVIDCLGKKGIMADGKVLTSESTYKTLISGFCEEGKVDVATRIFNKMLDLNLVPSCINYSILIDGHCRNGNTGRASILSNEMEAGGFRPNQISFGALINGYCKVLEMDAAINLVDEMQTRSLLVKHVIYTSLMDECRRKRQDYEALKFLTDMCDSGITPVVVSYSAIINGLCKMGRLNQIREILGRMQKTGVLPNNILYATRIYHICKDGDAMEALKFYTDIYYVGYCANLITRNTLISALCQKWMLIEAEQFMQHTLSMKIDPDLISFHHIINGHSNKGDPLGAFAVYEQMIEMGHHPNIYTFGILLKALCNGGNLNEAKKFFHRILQIPFAVDICTYNILLMELCKFGKLDDSLKLVDKMIENNIIPDMDTYNILLSGFCRKGKILPAIYLSEKMRTKGFFPNLVAYTCLISCLIKEGQLKAASYLFEEMVKKDGIPADVVVYNTLIAGYSRVG >DRNTG_34519.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5055014:5057265:1 gene:DRNTG_34519 transcript:DRNTG_34519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRYFHTPCGSLQRSSPPSQECTGSCKCPYELVL >DRNTG_12105.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4983947:4986850:1 gene:DRNTG_12105 transcript:DRNTG_12105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVLGKQAAGEAGAGAGAPKKSNSGDEAISTTVPIPRSPKEIIGWPAWLSAVAGDSITGLVPRRADSFQKLEKIGSGTYSNVYKARDLVTGRVVALKKVRFDILEPESVRFMAREITVLHRLDHPNVVRLEGLVISRVSPALYLVFQYMDHDLAGLAACSGVKFSEPQVKCYMKQLLSGLEHCHSRGVLHRDIKGSNLLLDNEGTLKIADFGLASTFDPNKKEARTSRVVTLWYRAPELLLGATHYDVGIDLWSAGCILAELLAGKPILPGRTEVEQLHRIFKLCGSPSEQYWKKSKLPHATMFKPQQPYKCCIAETFKDFPPSSLALVKKLLAMDPAERGTAIAALNSEFFTTKPYACEPSSLPQYPPSKEIDAKMRDEKARRQSLIDDKVNIEATKKPRTKNRGGKAVPAPEANAELQVNLDRRRIMAHGNVTSKSEKFPPPHRDAAVGVPGDPIHNSTVSFIASSASFASSVFEGSEVAGKKKTARAEAQPQTALLRALRPSSIGLLMELKRNARVPKRMRGKELEVFGGGM >DRNTG_01887.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21062122:21062809:-1 gene:DRNTG_01887 transcript:DRNTG_01887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYALSMIKDFPVQGTNLVEEMKELGKLCSSINMNEQQQQQQQQHQQQQQPVVVVVCPKPRRLNFRSYGDYTDIKVAGDLSDILVSKATPPYFTGSPPVRSTNPVSRDALFLKYKAP >DRNTG_11347.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23733472:23735820:-1 gene:DRNTG_11347 transcript:DRNTG_11347.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINGQISSITNTHHETYIVHVQKPKNVKSLRSTDLLSWYMSFLPTKTLASGESRIVYAYQTAITGFAARLTKEEVKAMETKEGFIHATKERMLYLHTTHINDFLGLNQGTCLQRDTYLGKGLIIGMLDTGVLPNHPSFKDSGLPHPPTKWKGHCDFKPTTCNNKLIGARSFQRGNKDLPYDAIGHGTHTASIAAGGFVKDAQVLGNAKGTVSGVAPNCHLAIYKVCHSSVCGSTDVLAGIDQAMDDGVDVISLSFGGGAVPFYDDAVAIGALAAVEKGIIVTSSAGNSGPTKGTIENDSPWLITVGASTMDRMIRARVKLGNNEELIGESAYHPSGFTSGFLPIVYPGRHGSSRAKACSDGSLSRLNIKGMVVLCHNSGANTTVEKGIVVKKAGGVAMILINEEDQNMTTRAAAHVLPAAHVSYFDGRRILAYALSKTNPTVTIIFDGTLYGISPAPSVASFSSRGPSSINEGVLKPDIIAPGVNILAAWPSPVGPLSLESPMNSTITSMAFNIVSGTSLSSPVIAGVTTLLKIAHPDWSPAAIKSAIMTTADTLDRDGLPISDLYSMGAGHINPTNANDPGLVYDIVEDDYIAYLCGLGYTDKQVSVVARRIIECASIDPINAEELNYPSVSVALGSKAEKTITRWVMNVGESESLYSVQIKRPEGVEVSVYPEKLSFSKMNQTLSFSLYFSSGDVGAMRGGASEGYLRWVSNKHIVRSPILVTFT >DRNTG_11347.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23733472:23741268:-1 gene:DRNTG_11347 transcript:DRNTG_11347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVILLLFHTTFLMINGQISSITNTHHETYIVHVQKPKNVKSLRSTDLLSWYMSFLPTKTLASGESRIVYAYQTAITGFAARLTKEEVKAMETKEGFIHATKERMLYLHTTHINDFLGLNQGTCLQRDTYLGKGLIIGMLDTGVLPNHPSFKDSGLPHPPTKWKGHCDFKPTTCNNKLIGARSFQRGNKDLPYDAIGHGTHTASIAAGGFVKDAQVLGNAKGTVSGVAPNCHLAIYKVCHSSVCGSTDVLAGIDQAMDDGVDVISLSFGGGAVPFYDDAVAIGALAAVEKGIIVTSSAGNSGPTKGTIENDSPWLITVGASTMDRMIRARVKLGNNEELIGESAYHPSGFTSGFLPIVYPGRHGSSRAKACSDGSLSRLNIKGMVVLCHNSGANTTVEKGIVVKKAGGVAMILINEEDQNMTTRAAAHVLPAAHVSYFDGRRILAYALSKTNPTVTIIFDGTLYGISPAPSVASFSSRGPSSINEGVLKPDIIAPGVNILAAWPSPVGPLSLESPMNSTITSMAFNIVSGTSLSSPVIAGVTTLLKIAHPDWSPAAIKSAIMTTADTLDRDGLPISDLYSMGAGHINPTNANDPGLVYDIVEDDYIAYLCGLGYTDKQVSVVARRIIECASIDPINAEELNYPSVSVALGSKAEKTITRWVMNVGESESLYSVQIKRPEGVEVSVYPEKLSFSKMNQTLSFSLYFSSGDVGAMRGGASEGYLRWVSNKHIVRSPILVTFT >DRNTG_20154.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1611215:1614979:-1 gene:DRNTG_20154 transcript:DRNTG_20154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHGWQHEKIHKVHHEYIAPMGFVAAYAHHAEVFIFSFPSFIGPAIVPGHMITFWLWIILRQMQGIETHCGYNFPFSPTKFIPFYGGAEFHDYHHYIGDQSQSNFGPVFTYCDYIYGTDKGYRYYKAHISKI >DRNTG_20154.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1611569:1614979:-1 gene:DRNTG_20154 transcript:DRNTG_20154.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHGWQHEKIHKVHHEYIAPMGFVAAYAHHAEVFIFSFPSFIGPAIVPGHMITFWLWIILRQMQGIETHCGYNFPFSPTKFIPFYGGAEFHDYHHYIGDQSQSNFGPVFTYCDYIYGTDK >DRNTG_03812.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000180.1:41512:47796:-1 gene:DRNTG_03812 transcript:DRNTG_03812.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESITSEGKGDLLVSCSFQAENTQEEVNPKEFFVEPAKFSKCPEKTQARVEFSHGRAFSFRAHPETAQGRGLIPKTQGCASAPVNCPVRIHARVELSHGREKHLENFLGWTEKPQERASAPVGLSWGLTREPAARVWRGFGKALERFDGLRHRVSFARELLGELSSVPIRRGRSKIKASQVLAPCSGSSAAGAGSEYAGGSNDGDAGGVWEVRGRSTKGVVASR >DRNTG_00419.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21969839:21979478:-1 gene:DRNTG_00419 transcript:DRNTG_00419.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent malic enzyme 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G00570) UniProtKB/Swiss-Prot;Acc:Q8L7K9] MWKLAHATRILRQSRRFSAAIPGPCIVHKRGTDILHDPWFNKDTAFPVTERDRLGLRGLLPPRVISFEQQYDRFMDSYRSLEHNTKGEREGIVALAKWRILNRLHDRNETLYYRVLIDNIKEFAPIIYTPTVGLVCQNYGGLFRRPRGMYFSAKDKGEMMSMIYNWPSPQVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPQRILPVMLDVGTNNQKLLEDKLYLGLRQPRLEGDEYLSIVDEFMEAAHARWPKAVIQFEDFQMKWAFETLERYRKRFCTFNDDIQGTAGVALAGLFGAVRAQGLPLKDFVKQKIVVVGAGSAGIGVLNMAKQAVSRMLGSEYSEENNQFWLLDKDGLITKDRKNIDPAAAPFARGFGPGEVEGLGEGASLLEVIRKVKPSCAFGAVRSWWSL >DRNTG_10483.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1621172:1623298:-1 gene:DRNTG_10483 transcript:DRNTG_10483.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTFSVLFLIQNDLIHGWGLDMKLGYCAQTSSHRTLDLRTQVRRQSTAELEKFKNRWNQAMLEDENWNDPFDHQRRKNSDDDHQSI >DRNTG_10483.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1624608:1625953:-1 gene:DRNTG_10483 transcript:DRNTG_10483.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNKFGTRHVLVLYDFPQSTRTTDLEKLLERFKEHGFAIWWVDDTTALAVFRTPEICTLNFTVSVPSQQIPVGI >DRNTG_10483.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1621172:1625953:-1 gene:DRNTG_10483 transcript:DRNTG_10483.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFCMISHKVLERQTLRNYWRGSKNMALQFGGLMILQPLLCFEHRKFNDLIHGWGLDMKLGYCAQTSSHRTLDLRTQVRRQSTAELEKFKNRWNQAMLEDENWNDPFDHQRRKNSDDDHQSI >DRNTG_10483.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1620929:1625953:-1 gene:DRNTG_10483 transcript:DRNTG_10483.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFCMISHKVLERQTLRNYWRGSKNMALQFGGLMILQPLLCFEHRKFNDLIHGWGLDMKLGYCAQGDRTEKVGVIDSEYIVHKGIPSLGGASTKMTSSHRTLDLRTQVRRQSTAELEKFKNRWNQAMLEDENWNDPFDHQRRKNSDDDHQSI >DRNTG_10483.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1620929:1625953:-1 gene:DRNTG_10483 transcript:DRNTG_10483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFCMISHKVLERQTLRNYWRGSKNMALQFGGLMILQPLLCFEHRKFNDLIHGWGLDMKLGYCAQTSSHRTLDLRTQVRRQSTAELEKFKNRWNQAMLEDENWNDPFDHQRRKNSDDDHQSI >DRNTG_01416.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6563073:6565918:-1 gene:DRNTG_01416 transcript:DRNTG_01416.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17850) UniProtKB/Swiss-Prot;Acc:F4I933] MQFSGPTKYALAYVDWIKDDDRFSDILVQISPTTTGHAFPRLRVRYKSSLVQVEGGTSDLPLLDPNMRATPLAPFEWRERMQAMNKAGKPFSQEDAVFLGRKSLLLDVRNGYEWDVGHFHGAERPNVDSFRNTSFGLSGQEEVASDSLAQIDKENTDILMYCTGGIRCDVYSAILRHKGFQNLYTLSGGVSNYLKNEGPADWVGNLFVFDSRLSLPPSAYKPEAIAETRPKIIFEGSTFARCYLCGSELLVFKHRNCANIDCNFLFLSCKTCVDKFRGCCSSECKDAPRLRPVLPGHQRYQKWHIYRDGVLQREPKV >DRNTG_01416.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6563073:6569070:-1 gene:DRNTG_01416 transcript:DRNTG_01416.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17850) UniProtKB/Swiss-Prot;Acc:F4I933] MHSGPTKYALAYVDWIKDDDRFSDILVQISPTTTGHAFPRLRVRYKSSLVQVEGGTSDLPLLDPNMRATPLAPFEWRERMQAMNKAGKPFSQEDAVFLGRKSLLLDVRNGYEWDVGHFHGAERPNVDSFRNTSFGLSGQEEVASDSLAQIDKENTDILMYCTGGIRCDVYSAILRHKGFQNLYTLSGGVSNYLKNEGPADWVGNLFVFDSRLSLPPSAYKPEAIAETRPKIIFEGSTFARCYLCGSELLVFKHRNCANIDCNFLFLSCKTCVDKFRGCCSSECKDAPRLRPVLPGHQRYQKWHIYRDGVLQREPKV >DRNTG_27553.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2996984:2998627:1 gene:DRNTG_27553 transcript:DRNTG_27553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLANEKPHAVCMPIPAQGHINAMMQFAKVLHLHGFFITFIHTEFNYKRILKSRGPSSLQGLPDFQFKTIPDGLPPSDENIPQDVKQVCQSTQENCAIPFHNLVVKLNNSSASGVPPVSCIISDIITKFTLPTSQELHIPNIFLCSVSACGFWGFFNYQQLMDKGLIPFKSEDDLVNGYLNKEVDWIPGLKNMRIRDMPSFLRTTDPEDFWFKFLKDETQCAMHATAIIFNTFDDLEGEVLETMSPVLPPMYSVGPLSLILKEMPTNPLESAGSNLWKENPGCIEWLDGKKEGSVVYVNFGSITVMSNKQMVEFAWGLANCGHDFLWVIRSDLVKGENAVLPEEFFNEITESGRGFLAGWCPQEKVLMHPSVGGYLTHCGWNSTMESICAGVPMICWPFFADQQTNCHYACSEWGIGMEIENNAKRDEVEGMIRELMDGDKGEDMKKKALEWKRLATMAAKQDGSSMKNLKTLISQVLLSKQ >DRNTG_10793.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000463.1:8673:11303:-1 gene:DRNTG_10793 transcript:DRNTG_10793.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTTAPPPTSDSQASPPTPQAPKTSAWGEPSTTTQV >DRNTG_10793.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000463.1:8530:11236:-1 gene:DRNTG_10793 transcript:DRNTG_10793.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTTAPPPTSDSQASPPTPQAPKTSAWGEPSTTTQV >DRNTG_10793.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000463.1:8673:11236:-1 gene:DRNTG_10793 transcript:DRNTG_10793.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTTAPPPTSDSQASPPTPQAPKTSAWGEPSTTTQV >DRNTG_10793.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000463.1:8530:11156:-1 gene:DRNTG_10793 transcript:DRNTG_10793.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTTAPPPTSDSQASPPTPQAPKTSAWGEPSTTTQV >DRNTG_10793.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000463.1:8673:11453:-1 gene:DRNTG_10793 transcript:DRNTG_10793.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTTAPPPTSDSQASPPTPQAPKTSAWGEPSTTTQV >DRNTG_10793.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000463.1:8673:11156:-1 gene:DRNTG_10793 transcript:DRNTG_10793.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTTAPPPTSDSQASPPTPQAPKTSAWGEPSTTTQV >DRNTG_10793.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000463.1:8673:11236:-1 gene:DRNTG_10793 transcript:DRNTG_10793.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTTAPPPTSDSQASPPTPQAPKTSAWGEPSTTTQV >DRNTG_10793.9.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000463.1:8673:11156:-1 gene:DRNTG_10793 transcript:DRNTG_10793.9 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTTAPPPTSDSQASPPTPQAPKTSAWGEPSTTTQV >DRNTG_10793.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000463.1:8673:11303:-1 gene:DRNTG_10793 transcript:DRNTG_10793.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTTAPPPTSDSQASPPTPQAPKTSAWGEPSTTTQV >DRNTG_30926.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17829383:17830364:-1 gene:DRNTG_30926 transcript:DRNTG_30926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVDAHHLPFKFSEVVMNINPEEYYTTFISPPPAPAPPPSFPSDTSSPFSSFPSQDFFSQLQLQHHLEIDHLITNHAEKVRQEMEERRTWVWRRVVAMAEEKVARKLRNKDEEIDRITRVNHALEERIKSLVIENQLWKDIARSNEAAAMLLRTNLDHLLASQDSHEEDVQSCSGGGGGAGEVGRRLCRRCGEEEAAVLVMPCRHLCLCLDCSPALDVCPVCKSSKNGTLVVNFS >DRNTG_13494.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21819636:21820652:-1 gene:DRNTG_13494 transcript:DRNTG_13494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNSPCASCKLLRRRCAKDCIFAPFFPSDDPHKFAIVHKVFGASNVSKMLQELPVHQRADAVSSLVYEANARMRDPVYGCVGAISYLQNQVSQLQMQLAVAQAEILCIQMQQENPLENQQMPMEPDDKSFIMHNNLASLPQFINFPSSSNVSQEPLKRESLWT >DRNTG_13494.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21819636:21820073:-1 gene:DRNTG_13494 transcript:DRNTG_13494.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPVYGCVGAISYLQNQVSQLQMQLAVAQAEILCIQMQQENPLENQQMPMEPDDKSFIMHNNLASLPQFINFPSSSNVSQEPLKRESLWT >DRNTG_07088.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2032085:2035669:1 gene:DRNTG_07088 transcript:DRNTG_07088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFHLPKTMEILASVTFFLILSSFCCFIIIPSLCSESLNIRCIESEKNSLLDFKSSLKDPHNLLSSWEGSDCCNWKGVTCNNETNHVVSLNIGYWHLFNGLSTAWRIGGELSPSLIALNELNHLDLSSNDFGGIAVPEFIGSMKKLSYLNLSNAGFSGRIPHQLGNLSTLRYLDLNSFYYFHDLYVDSLSWLSRLSSLQYLDMNSVNFGNIGDWFVSISLIPSLSVLKLSHCKLKEFPLSLSFLNLTSLTYLDLSNNEIYSRLPNWLFNLTSLQYLNLQFNQFQGSMPDEFASLISLEVVQLGNNELVGPITQTISFLCKLRTLDLSSNNITGDTASLAEISAGCAGKSLEVLNLRNNNLTGNLSDWLVKFERLDTLDLGHNSLNGVIPGSIGKLSALKYLTLTHNGFNGTLSESIGQLSELILLDLSFNSLDGVISDVHFANLSKLEQLSLASTSLAFGMSSSWIPPFQLKLIGLHLCKLGPKFPSWLRTQKDYSVMDLSNTKIEDAAPNWVWNLSEKFLMLDLSHNLISGKLPATLGFASISILDLSNNKFEGTLPTLASSMEYLDLSNNMFTGNILRFVSYRLPILSHLFLSNNLLSGPIPSSICQDLELYVIDLSNNQLSGELPTCLADLWALTALDLANNNLSGEIPSTLGNMKELRTLHLGGNHFKGELPTALQNCTTLVTLDLGRNEISGSIPAWIGELLPFLRILRLRSNFFNGTIPSQLSRLSSLQILDLANNSLSGTIPPSFGNITAMAQTHKPNERMLEDMRNAVQASVDNYGPFGYMETLLVVIKGREQEYSQNLQYVASIDLSNNQLTGHFPRELCDLIGLQNLNLSSNQLTGRIPDEIGKLKLLESLDVSVNGFTGSIPTGMSVLTLLSHLNLSYNNFSGRIPSGYQLQTFDYTSYLGNPGLCGPPLNKCGSNETIFNKILACADGSDECESEKLGLYLGIAFGFVTGFWAIWGVLLFTKTPSEAYFEFLDKLIDKVPFARNMSRYDEEDDEETSSQTY >DRNTG_00538.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30282544:30282973:-1 gene:DRNTG_00538 transcript:DRNTG_00538.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKRPRPPMRRTTSMTEFIPDVGVIETTKPQAEQNQRTAAPVDVPEWLTSRYVAAVATPRAGHRRNSADFSVVDTAPFLKACGLCKRRLGPGRDIFMY >DRNTG_13057.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:869775:874596:-1 gene:DRNTG_13057 transcript:DRNTG_13057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPSSNIDEVNGHFSPKKITDEVNDSPIEQVRLTVPITDDPTIPCLTFRTWALGLSSCVLLSFVNQFFVYRTNPIGISSVCAQIVTLPLGKLMAATLPKKVFHVPMTNWSFSLNPGPFTLKEHVLITIFANAGAAGPYAVNIITIVKAFYGRGINAMAAMLLAQTTQLLGYGWAGLFRKYLVDSPYMWWPGILVQVSLFRALHEDEKRPKGGLSRMQFFLIVFISSFAYYIIPNYFFPSLSALSFVCWIWKDSVTAQQIGSGLKGLGIGSFGLDWATVAAFLGSPLATPAFSIFNTLVGFIITVYVLLPITYWTNAYNAKRFPLITADVFDSDGKHYNISRILDPKTFSINYDEYDNYSKINLSVFFTYTYGLSFATLMASLTHAFLFYGKNTWEMWRKAEKSTSNKFADVHTRIMKKNYRPVPQWWFGILLLIVLGLSMFTCEGFHKQLQLPFWGILLACAMAMIFMLPVGVLLATTNQGPGLNVITELVIGYLYPGKPLANVTFKTYGYISMSQALTFLTDFKLGHYMKIPPKSMFLVQLVGTVVSSSIYFGTAWWLLTSIKDICNIDLLPDDSPWTCPGDDVFYNASIIWGVVGPRRMFGPLGLYSKMNWFFVIGLLAPVPVWLLTRAFPEKKWIRLINMPIVLSGAGSMPVAKTVHYISWFTVGIAFNFFVYRRYKAWWARHNYVLSAALDAGVAFMGVATYFALQNYNIYGMEWWGAEASDHCPLAKCPTAPGVVAKGCPVF >DRNTG_13057.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:869775:873120:-1 gene:DRNTG_13057 transcript:DRNTG_13057.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIADEVNDSPIEQVRLTVPITDDPTIPCLTFRTWALGLSSCVLLSFVNQFFVYRTNPIGISSVCAQIVTLPLGKLMAATLPKKVFHVPMTNWSFSLNPGPFTLKEHVLITIFANAGAAGPYAVNIITIVKAFYGRGINAMAAMLLAQTTQLLGYGWAGLFRKYLVDSPYMWWPGILVQVSLFRALHEDEKRPKGGLSRMQFFLIVFISSFAYYIIPNYFFPSLSALSFVCWIWKDSVTAQQIGSGLKGLGIGSFGLDWATVAAFLGSPLATPAFSIFNTLVGFIITVYVLLPITYWTNAYNAKRFPLITADVFDSDGKHYNISRILDPKTFSINYDEYDNYSKINLSVFFTYTYGLSFATLMASLTHAFLFYGKNTWEMWRKAEKSTSNKFADVHTRIMKKNYRPVPQWWFGILLLIVLGLSMFTCEGFHKQLQLPFWGILLACAMAMIFMLPVGVLLATTNQGPGLNVITELVIGYLYPGKPLANVTFKTYGYISMSQALTFLTDFKLGHYMKIPPKSMFLVQLVGTVVSSSIYFGTAWWLLTSIKDICNIDLLPDDSPWTCPGDDVFYNASIIWGVVGPRRMFGPLGLYSKMNWFFVIGLLAPVPVWLLTRAFPEKKWIRLINMPIVLSGAGSMPVAKTVHYISWFTVGIAFNFFVYRRYKAWWARHNYVLSAALDAGVAFMGVATYFALQNYNIYGMEWWGAEASDHCPLAKCPTAPGVVAKGCPVF >DRNTG_10582.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8674293:8674649:1 gene:DRNTG_10582 transcript:DRNTG_10582.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTCKHSCGQCWMWKKKREDEKQKKKKKRRKSSRRRKSRHFDKL >DRNTG_10582.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8673973:8674649:1 gene:DRNTG_10582 transcript:DRNTG_10582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKKREDEKQKKKKKRRKSSRRRKSRHFDKL >DRNTG_13666.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17712437:17713423:-1 gene:DRNTG_13666 transcript:DRNTG_13666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFQLNHSRLSLHQQTMDGPPSPLLCPYHGKNTGGLTR >DRNTG_09197.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4877599:4878997:-1 gene:DRNTG_09197 transcript:DRNTG_09197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESASRSPHIAILPTPGMGHLIPIAELAKLLVSHHGFSVTFITFAESASNAQQSFLDALPSTITSIQLPPVPLSDLPSGTAIETFVSLVSLHSLPALRSILLDLQKSTNLVSFIADLFGANTFDVAKELQIPPYMTYQPQSSSLAVCPTLALKSSNRYKTARTSATHGWSTTAGNTTGIIVNSFSDVEPEAAKIFSQSPPGFPPVHLVGPLVQTGLPNVEGSECLKWLDKQPSGSVLYVSFGSGGVLTCEQTIELACGLEMSGQRFLWVIRSPSDKASEKYFSATSKQDPSGYLPEGFLERMKNVGLVVPSWAPQMQVLAHSATDGFVSHCGWNSTLESLSHAVPMISWPLYAEQKMNAVMRSEDTKVALRLRPREDGVYGREEISRVVKELMEGEEGKKVRGRARELQAAAVKAVADEGESCKTLGDLVDKWKNSVM >DRNTG_08889.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27598441:27599394:1 gene:DRNTG_08889 transcript:DRNTG_08889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPNDIDLLNPPAELEKRRHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRRKGD >DRNTG_27720.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29288281:29291548:-1 gene:DRNTG_27720 transcript:DRNTG_27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSMESRPATKRVVVILSKNLPLTLRRTRVILLNPYESTPPDLNLDTLGHQLNIDPATDLWTGRTPFHYYASYPPVYKTWTSLVMRRSSETLKRVGILGVITISTRTYRFDSSVVKSITEIWCSRTNTFVTSFGENGVSLWDLRCIRGLPIIGEFYEEYVSPNHMLYSKECEKMRIYSALNSSLTRSRHDRVRSTDYSSPSLEKGHDLCAPSTIVSSLTPLCRRTCRAWKFCDSIV >DRNTG_30060.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001541.1:13678:14173:1 gene:DRNTG_30060 transcript:DRNTG_30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWMGMVRKVRTGVFALVLPAPEIAEDEGDDARASQPAPEPQHASMETEASPAAEEPPPVRMFSPSRANDRFERLENAIGVVRAEILEQDVASSFVLHRGPAQHRQDSPETSILDPSTRGPTICFHFRSNSSGARERLRYL >DRNTG_04531.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4987104:4987864:-1 gene:DRNTG_04531 transcript:DRNTG_04531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEMDSLKKNQTYELVNLPRGKKVLKNRWVFKNKKDGEKIVKRKARLVVKGCNQKKGVDYDEIFSPVVKMTSIRTVLGYVASLDLELEQLDVKTAFLHGDLHEEIYMEQPEGFEEKGKERLVCKLKKSLYGLKQAPRQWYRKFDSFMTSNSY >DRNTG_10344.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22760311:22762559:-1 gene:DRNTG_10344 transcript:DRNTG_10344.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAKHCPSLRRFSCGSCAFGSKGIEAILGGCPLLEELSVKRLRGLGDAVEVSSPGVAAGSLRSVCLKELYNGQCFGPLIAGCRNLKTLKLFRCSGDWDPLLEQIASHVPRIVEIHLEKLQVSDRSLFALSSCADLEVLHLVKTPECTDAGLALLAEKCVLLRKIHIDGWKTNRIGDAGLIAVAQRCLNLQELVLIGVNPTALSLGMIASNCRNLERLALCGSETFGDPEISCIAAKCLALKKLCIKGCPVSDHGMEALAGGCPNLVKVKVKKCKGVTAEGADWLRASRGSLAVNLDAVLVELQESSISDSGNLAEQIAAMDLPSSSNGRSVLSKARVFMASTLRRLSHGNSNPHHS >DRNTG_10344.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22760725:22762404:-1 gene:DRNTG_10344 transcript:DRNTG_10344.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAKHCPSLRRFSCGSCAFGSKGIEAILGGCPLLEELSVKRLRGLGDAVEVSSPGVAAGSLRSVCLKELYNGQCFGPLIAGCRNLKTLKLFRCSGDWDPLLEQIASHVPRIVEIHLEKLQVSDRSLFALSSCADLEVLHLVKTPECTDAGLALLAEKCVLLRKIHIDGWKTNRIGDAGLIAVAQRCLNLQELVLIGVNPTALSLGMIASNCRNLERLALCGSETFGDPEISCIAAKCLALKKLCIKGCPVSDHGMEALAGGCPNLVKVKVKKCKGVTAEGADWLRASRGSLAVNLDAVLVELQESSISDSGNLAEQIAAMDLPSSSNGRSVLSKARVFMASTLRRLSHGNSNPHHS >DRNTG_10344.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22760725:22762635:-1 gene:DRNTG_10344 transcript:DRNTG_10344.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAKHCPSLRRFSCGSCAFGSKGIEAILGGCPLLEELSVKRLRGLGDAVEVSSPGVAAGSLRSVCLKELYNGQCFGPLIAGCRNLKTLKLFRCSGDWDPLLEQIASHVPRIVEIHLEKLQVSDRSLFALSSCADLEVLHLVKTPECTDAGLALLAEKCVLLRKIHIDGWKTNRIGDAGLIAVAQRCLNLQELVLIGVNPTALSLGMIASNCRNLERLALCGSETFGDPEISCIAAKCLALKKLCIKGCPVSDHGMEALAGGCPNLVKVKVKKCKGVTAEGADWLRASRGSLAVNLDAVLVELQESSISDSGNLAEQIAAMDLPSSSNGRSVLSKARVFMASTLRRLSHGNSNPHHS >DRNTG_10344.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22760725:22762559:-1 gene:DRNTG_10344 transcript:DRNTG_10344.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAKHCPSLRRFSCGSCAFGSKGIEAILGGCPLLEELSVKRLRGLGDAVEVSSPGVAAGSLRSVCLKELYNGQCFGPLIAGCRNLKTLKLFRCSGDWDPLLEQIASHVPRIVEIHLEKLQVSDRSLFALSSCADLEVLHLVKTPECTDAGLALLAEKCVLLRKIHIDGWKTNRIGDAGLIAVAQRCLNLQELVLIGVNPTALSLGMIASNCRNLERLALCGSETFGDPEISCIAAKCLALKKLCIKGCPVSDHGMEALAGGCPNLVKVKVKKCKGVTAEGADWLRASRGSLAVNLDAVLVELQESSISDSGNLAEQIAAMDLPSSSNGRSVLSKARVFMASTLRRLSHGNSNPHHS >DRNTG_10344.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22760786:22762559:-1 gene:DRNTG_10344 transcript:DRNTG_10344.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAKHCPSLRRFSCGSCAFGSKGIEAILGGCPLLEELSVKRLRGLGDAVEVSSPGVAAGSLRSVCLKELYNGQCFGPLIAGCRNLKTLKLFRCSGDWDPLLEQIASHVPRIVEIHLEKLQVSDRSLFALSSCADLEVLHLVKTPECTDAGLALLAEKCVLLRKIHIDGWKTNRIGDAGLIAVAQRCLNLQELVLIGVNPTALSLGMIASNCRNLERLALCGSETFGDPEISCIAAKCLALKKLCIKGCPVSDHGMEALAGGCPNLVKVKVKKCKGVTAEGADWLRASRGSLAVNLDAVLVELQESSISDSGNLAEQIAAMDLPSSSNGRSVLSKARVFMASTLRRLSHGNSNPHHS >DRNTG_10344.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22760311:22762404:-1 gene:DRNTG_10344 transcript:DRNTG_10344.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAKHCPSLRRFSCGSCAFGSKGIEAILGGCPLLEELSVKRLRGLGDAVEVSSPGVAAGSLRSVCLKELYNGQCFGPLIAGCRNLKTLKLFRCSGDWDPLLEQIASHVPRIVEIHLEKLQVSDRSLFALSSCADLEVLHLVKTPECTDAGLALLAEKCVLLRKIHIDGWKTNRIGDAGLIAVAQRCLNLQELVLIGVNPTALSLGMIASNCRNLERLALCGSETFGDPEISCIAAKCLALKKLCIKGCPVSDHGMEALAGGCPNLVKVKVKKCKGVTAEGADWLRASRGSLAVNLDAVLVELQESSISDSGNLAEQIAAMDLPSSSNGRSVLSKARVFMASTLRRLSHGNSNPHHS >DRNTG_10344.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22760311:22762635:-1 gene:DRNTG_10344 transcript:DRNTG_10344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAKHCPSLRRFSCGSCAFGSKGIEAILGGCPLLEELSVKRLRGLGDAVEVSSPGVAAGSLRSVCLKELYNGQCFGPLIAGCRNLKTLKLFRCSGDWDPLLEQIASHVPRIVEIHLEKLQVSDRSLFALSSCADLEVLHLVKTPECTDAGLALLAEKCVLLRKIHIDGWKTNRIGDAGLIAVAQRCLNLQELVLIGVNPTALSLGMIASNCRNLERLALCGSETFGDPEISCIAAKCLALKKLCIKGCPVSDHGMEALAGGCPNLVKVKVKKCKGVTAEGADWLRASRGSLAVNLDAVLVELQESSISDSGNLAEQIAAMDLPSSSNGRSVLSKARVFMASTLRRLSHGNSNPHHS >DRNTG_10344.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22760786:22762404:-1 gene:DRNTG_10344 transcript:DRNTG_10344.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAKHCPSLRRFSCGSCAFGSKGIEAILGGCPLLEELSVKRLRGLGDAVEVSSPGVAAGSLRSVCLKELYNGQCFGPLIAGCRNLKTLKLFRCSGDWDPLLEQIASHVPRIVEIHLEKLQVSDRSLFALSSCADLEVLHLVKTPECTDAGLALLAEKCVLLRKIHIDGWKTNRIGDAGLIAVAQRCLNLQELVLIGVNPTALSLGMIASNCRNLERLALCGSETFGDPEISCIAAKCLALKKLCIKGCPVSDHGMEALAGGCPNLVKVKVKKCKGVTAEGADWLRASRGSLAVNLDAVLVELQESSISDSGNLAEQIAAMDLPSSSNGRSVLSKARVFMASTLRRLSHGNSNPHHS >DRNTG_02481.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2199437:2202236:-1 gene:DRNTG_02481 transcript:DRNTG_02481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVPVRVKAVVYSLSPFQQKVMPGLWNDLPNKIHHKVSENWISATLLLGPLIGTYAYVQNYQEKEKLAHRY >DRNTG_02481.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2199437:2202164:-1 gene:DRNTG_02481 transcript:DRNTG_02481.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVPVRVKAVVYSLSPFQQKVMPGLWNDLPNKIHHKVSENWISATLLLGPLIGTYAYVQNYQEKEKLAHRY >DRNTG_02481.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2199509:2202164:-1 gene:DRNTG_02481 transcript:DRNTG_02481.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVPVRVKAVVYSLSPFQQKVMPGLWNDLPNKIHHKVSENWISATLLLGPLIGTYAYVQNYQEKEKLAHRY >DRNTG_02481.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2199437:2202199:-1 gene:DRNTG_02481 transcript:DRNTG_02481.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVPVRVKAVVYSLSPFQQKVMPGLWNDLPNKIHHKVSENWISATLLLGPLIGTYAYVQNYQEKEKLAHRY >DRNTG_02481.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2199509:2202236:-1 gene:DRNTG_02481 transcript:DRNTG_02481.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVPVRVKAVVYSLSPFQQKVMPGLWNDLPNKIHHKVSENWISATLLLGPLIGTYAYVQNYQEKEKLAHRY >DRNTG_02481.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2199509:2202199:-1 gene:DRNTG_02481 transcript:DRNTG_02481.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVPVRVKAVVYSLSPFQQKVMPGLWNDLPNKIHHKVSENWISATLLLGPLIGTYAYVQNYQEKEKLAHRY >DRNTG_01328.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:69788:71926:1 gene:DRNTG_01328 transcript:DRNTG_01328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAMSLLLNNPETLNKLKEELDTNIKPGSLIKEEDLSKLPYLEAVITETLRMHPPTPLLLPHESSQDCTVGGFHVPKGTMLLVNAWKIHKDAELWEEPEKFKPERFLNSKSKKRWKTMAFGLGRRQCPGESLGMRVVALVVGILVQCFEWKPVGDEGMDMNEGAGVSIRRAKPLEVVYKPRGTISSHLFQL >DRNTG_12567.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:778468:781480:-1 gene:DRNTG_12567 transcript:DRNTG_12567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPPRPEDFSLKETAPNLGGSVPGDKLTTTYDLVEQMLYLYVRVVKAKDLPPKDVTGSLDPYVEVKLGNYKGTTKHFEKKSNPEWNQVFAFSRDRLQATAVEVTVKDKDFIKDDFVGRVVLELNEVPKRVPPDSPLAPQWYRLEDKKGDKAKGELMLAVWMGTQADEAFPEAWHSDAATVPSDVVANIRSKVYLNPRLWYVRVNVIEAQDLLITDKGRYPDVYVKASMGNQILKTRPSPSKNTNPMWNEDLIFVAAEPFEERLLLSVEDRVGPNKDEVLGRAMITLQSVPRRLDYKPVPSQWYNLERHVLLEGDQKKKEVKFSSRIHLRICLDGGYHVLDESTHYSSDLRPTAKQLWKPSIGILELGILSAHGLQPMKAGKEGIGMTDAYCVAKYGPKWVRTRTIVNNFNPKWNEQYTWEVFDPCTVITIGVFDNCHLQGGDKAAGTRDTRIGKVRIRLSTLETDRVYTHSYPLIVLLPSGVKKMGEVQLAVRFTCSSLLNMMYMYSQPFLPKMHYLHPLSVTQLDMLRHQATQIVSMRLSRAEPPLRKEVVEYMLDVDSHMWSMRKSKANFFRVMSVLSGIIAVSKWFDQICHWKNPLTTVLIHVLFIILVLYPELILPTIFLYLFLIGVWYFRWRPKHPPHMDTRLSHAESTTPDELDEEFDTFPSARPGDIVRMRYDRLRSVAGRIQTVVGDLATQGERLQNLLSWRDPRATALFVTFCFITAIVLYVTPFRVVALLVGLYVLRHPRFRHKLPSVPLNFFRRLPARTDSML >DRNTG_30036.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5667386:5669960:1 gene:DRNTG_30036 transcript:DRNTG_30036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRNFGDEGLFFLAESLGFNQTAEEVDFSGNGITATGLKAFDGVLQVNTVLKTLNLSGNAIGDEGVK >DRNTG_29698.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3771284:3774426:1 gene:DRNTG_29698 transcript:DRNTG_29698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFRRIAGMLGFSRDDGHDGGHEAEEDDDRKANDVHHRGTKGFSVQVPVAVDRPAPGPVLIPCKLGDGGVQGFRWYSRRMRIDEDGDMADEFLSEVCTTETHPKFEVKYNTRPAAIRKQVISDDGNVRSSIELQGKLHWV >DRNTG_29024.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:543081:544344:1 gene:DRNTG_29024 transcript:DRNTG_29024.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGILSMANSGPNTNGSQFFITYAKQPHLNGLYTVFGRVIHGFEVLDLMEKTQAGPGDRPLAEIRLNRVTIHANPLAG >DRNTG_29024.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:533664:544344:1 gene:DRNTG_29024 transcript:DRNTG_29024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTLHTNLGDIKCEIACDEVPKASENFLALCASGYYDGTIFHRNIKGFMIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGILSMANSGPNTNGSQFFITYAKQPHLNGLYTVFGRVIHGFEVLDLMEKTQAGPGDRPLAEIRLNRVTIHANPLAG >DRNTG_29024.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:533664:544344:1 gene:DRNTG_29024 transcript:DRNTG_29024.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGILSMANSGPNTNGSQFFITYAKQPHLNGLYTVFGRVIHGFEVLDLMEKTQAGPGDRPLAEIRLNRVTIHANPLAG >DRNTG_03828.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4658483:4661501:-1 gene:DRNTG_03828 transcript:DRNTG_03828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYALALEFQKHVIDSWERHGPNASDELREAHRLLEQLKKKAQGSPSAVFPANTLPLSWHN >DRNTG_31931.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2832570:2833645:-1 gene:DRNTG_31931 transcript:DRNTG_31931.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLAASVTMASSSSPSFFSPKWSPSKRPQLLPISSKRNDDAADLSSNPENPYKIVPFLKPQSSISPLSKDAAMGLVLSAAAGTGWTTGSGMEGPSSPAASDSGSPDQTVSTFPWSLFTKSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNVFHKAGGQPELVS >DRNTG_09166.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7132226:7133629:-1 gene:DRNTG_09166 transcript:DRNTG_09166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTMIPRVKLGTQGLEVSKLGFGCMGLTGAYNSPLPEEEGKAVIKHAFNQGITFFDTSNIYGPETNEILVGKALKELPREEIQLATKFGIASISKDIGFEVNGRPEYVRACCDASLKRLQVDYIDLYYQHRIDQTVPIEETIGEMKKLVEEGKVKYIGLSEASPDTIRRAHAVHPISAVQIEWSLWVRDIEQEIVPLCRELGIGIVPYSPLGRGFFAGKAVVENLPENTSLALHPRFTGENLEKNKALYARVENMAKKHNCSAAQLSLAWVLHQGDDVA >DRNTG_32726.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:19217250:19226922:1 gene:DRNTG_32726 transcript:DRNTG_32726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARSSQRIGLQYESWHKVPKTLKEELLNFIENQSTGPEIPDANIGGSSSRDQAKDGKCSWLNLANLFERERKKKKKKKKMEESQEDQGKQGKIISRTKKRLNNNNTDPIVTMSLIDDLQRLGIAYHFDEEIQALSSQCFNFQSGKDDLFGTSLCFRLLRQQCHNASIDMFLKFKDKNGRFKEELSKDLRGLQSLYEASYMGIEGEELLSQAMEFSKHHLQASMDWLQPSLAKQVGMSLAFPTPRMMEKFEARRYIENWKQDLRKNSEIIELATLDFNSVQSLYQREITELKRWWRELGLAHKLPFARDQPLECFLWTVGIFPEPNYSECRIEVAKAVAILWDIAGIDHLPEYMKICYMALYNNINETSYKILKEHGWCIIPQLTKQWQKICEAFLVEARWFCNNHVPKLDDYLNNGITTTGTYMALVYAFYLIGKQVTKESADLVNACPKLFYNSGRILRLWDDLGTAKDEQERGDVASSIEICKKENGFLSEEDARDGVRGLIDQTWKDLNKELIGLSPLGQSLIKASLNLARTSQLIYQHGDDEKAPGIEDSIHSLLIEPIHYS >DRNTG_05548.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23062923:23066506:1 gene:DRNTG_05548 transcript:DRNTG_05548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCRKDGASFINDEFVKGVDEFISFSYSQTAIVTDLVDDIYQEYELCISTYVTPLEELENPIVLANGIFEEINSFVEEQQQVEDEVNEDDDEDEDENGDEDGDDKNNVDDGDDGDEYEDNEENDFHYSDDN >DRNTG_26923.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2432766:2435319:-1 gene:DRNTG_26923 transcript:DRNTG_26923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIPSLTIPISSPSSFACSFINKTTPSLSIPRRNRRGHSKSSSSRTTTCNMNQENNYKLDRRDVLIGLGGLYGTTAGLILTGNASGAPIQAADPTKCGPADLPAGATPTDCCPPAEKVIDFVLPPSSDPLRVRRAAHLVDKDYIAKYTKAVELMKALPADDPRNFTQQANIHCAYCNGSFDQIGFPNVEIQVHDSWVFFPWHRFYLYFHEKILGKLIGDESFALPFWNWDSPAGYQIPAMYTNTKSSLYDKLRDAKHQPPALVDLNFNGTDLDLTQEQRTKRNLALMYRQVVTGGKTAELFMGSPYRAGDAPAPGAGTLENAPHGTVHVWTGDRTQPNTEDMGTLYSSARDPIFYAHHSNVDRMWNVWKSLGGKRKDFTDSDWLNTSFLFYNENAELVKVKIKDTLDPSKLRFTYQDVEVPWLKARPTPAVATKEKATVKSRALKVFKGPPTFPVTLDSPVSVTVKRPKPGRSQKEKEDEEEVLVVEGLEFDRDLYVKFDVYVNTPEEEGVAPGSSEFAGSFVNVPRKHQPSKGPKTLKTNFKIGITDLLEELECEGDESVVVTLVAKSAKGKIKVGGVKIVFSS >DRNTG_06805.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3825418:3826720:-1 gene:DRNTG_06805 transcript:DRNTG_06805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSLIPSLVCHNQHLWFVVQMHNPLILLMFASSESFSCCCISASSREDGDGDDDRYPINNRSVSPN >DRNTG_31650.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:885684:891853:-1 gene:DRNTG_31650 transcript:DRNTG_31650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 5 [Source:Projected from Arabidopsis thaliana (AT5G38880) UniProtKB/Swiss-Prot;Acc:Q9FMB4] MRGVVRRQRRELRARMMEVAREESERKRVLDERANARHKQVMLEAYDQQCDEAAKIFAEYQKRLHHYVNQARDVRRSSSSGLADAADELMLGEKEAVYSTVKGNKSSDDLILIETSRERNIRRACEILAANMIEKIRFMFPAYEGSGININSALDAAKLGIDIDGEIPGDVTAVSLEALKNPSLMIQSITLYTSRVNTLIHRETEKIDIRADAELLRYKYENDRVIDADASPDSSSPLPYQVYGNGKTGTDLPTKGTYNQLLERQKAHVQQFVATEDALNKAAEAKSLSLKLIKRLHGNNEMVPSHISGAGGTSTNVSNMKHFELDVWAKEREVAGLKASLSTLTSEVQRLNKLCTEWKEAEESLKKKWKKIEEFDARRSELECIYTALLRASMDASAYWEQQPSAAREYASRTIIPACSAVTELSNNAKDLIENEVSAFYQSLDNSLYMLPSTPQALLESMGIGGATGPEAVVNAEKNAALLTARAGARDPSAIPSICRISAALQSHGGTEGSDTALAAVLESLEFCLKLRGSEASILEDLSKAINLVRVRRDLVENDRVLLNHAHRVQQEYERMANYCLKVAAEQEKGVTERWLPELRNAVLEAQRGLEDCLRVRGLVDEWWEQPAATAVDWITVEGQNVGAWLNHVKQLQMAFYDQELL >DRNTG_13361.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2282025:2288014:-1 gene:DRNTG_13361 transcript:DRNTG_13361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVSVRVSGYPVEDLVKKLPGQPEVGFKQYAGYVDVDVKAGRSLFYYFAEAAVDPYLKPLTLWLNG >DRNTG_01411.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6760521:6762262:1 gene:DRNTG_01411 transcript:DRNTG_01411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLSKEEDTKQQCYYSVRVSRRERVAAVLPLQEHWLPLSNLDLLLPALHFDIFSCYKKPTNGTCFSTMVSVLRAALAQTLVSFYPLAGELVSNSQGEPELLCNNHGVDFIEAHADVELKDLCLYNPDQSVEGKLVPTKLEGLLCVQATELKCGGIVVACMFDHRITDAHSANMFLVTWAETAGVRPISITPSFRRSLLNPRRPGCYDESFNLLYTPLSLLPLMITTPAASYSENEDHSISRIYCITAEDVQAMQAAASAGLSSKRSKLEAFSAFLWSIIGKAVSNLAAPSPPAGEVCRMGIVVDGRGRLGCHMANYFGNVLSIPYGSLNLDELQSMQLCHVADKVHEFLEEAATEEHFRGMIDWVESIRPDKAVAKIYLDERTPSLVVSSGQRFPVDNLDFGWGSPVLASYHFPWGSNTGYVMPMPMPCGQGDWVVYVHLPSRLIKALEDESGHVFQRLTADHLGLISTADTINHGLCCCFGTSVTVPN >DRNTG_01411.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6761090:6762262:1 gene:DRNTG_01411 transcript:DRNTG_01411.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDHRITDAHSANMFLVTWAETAGVRPISITPSFRRSLLNPRRPGCYDESFNLLYTPLSLLPLMITTPAASYSENEDHSISRIYCITAEDVQAMQAAASAGLSSKRSKLEAFSAFLWSIIGKAVSNLAAPSPPAGEVCRMGIVVDGRGRLGCHMANYFGNVLSIPYGSLNLDELQSMQLCHVADKVHEFLEEAATEEHFRGMIDWVESIRPDKAVAKIYLDERTPSLVVSSGQRFPVDNLDFGWGSPVLASYHFPWGSNTGYVMPMPMPCGQGDWVVYVHLPSRLIKALEDESGHVFQRLTADHLGLISTADTINHGLCCCFGTSVTVPN >DRNTG_21851.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6408695:6424627:1 gene:DRNTG_21851 transcript:DRNTG_21851.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein CLC-d [Source:Projected from Arabidopsis thaliana (AT5G26240) UniProtKB/Swiss-Prot;Acc:P92943] MFYSLTVVTFGSAVPAGQFVPGIMIGSTYGRLVGMFVVKFYRKLNVEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLQLLPLIMLVLLISKAVGDVFNEGLYDEQARLRAIPLLESRPKQYMRNMTARDACKNQQVVYFSRVAKVADIVSVLRNNKHNGFPVVGHGRNQEPLVMGLILRSHLLVLLQSKIDFQNNPFPCDLRSIANRHHLSDFVKPISSKGLSIEKIHLGHDELEMYLDLTPFLNPSPYVVPEDMSLAKVYNLFRHLGLRHIFVVPQPSRVVGLITRKDLLLEENQDSATVELQSTSVRAPQVRRNIKQHVHSEHQPLLDNLLPVSK >DRNTG_21851.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6417121:6424627:1 gene:DRNTG_21851 transcript:DRNTG_21851.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein CLC-d [Source:Projected from Arabidopsis thaliana (AT5G26240) UniProtKB/Swiss-Prot;Acc:P92943] MRMTVSLCVIMVEITNNLQLLPLIMLVLLISKAVGDVFNEGLYDEQARLRAIPLLESRPKQYMRNMTARDACKNQQVVYFSRVAKVADIVSVLRNNKHNGFPVVGHGRNQEPLVMGLILRSHLLVLLQSKIDFQNNPFPCDLRSIANRHHLSDFVKPISSKGLSIEKIHLGHDELEMYLDLTPFLNPSPYVVPEDMSLAKVYNLFRHLGLRHIFVVPQPSRVVGLITRKDLLLEENQDSATVELQSTSVRAPQVRRNIKQHVHSEHQPLLDNLLPVSK >DRNTG_21851.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6409136:6424627:1 gene:DRNTG_21851 transcript:DRNTG_21851.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein CLC-d [Source:Projected from Arabidopsis thaliana (AT5G26240) UniProtKB/Swiss-Prot;Acc:P92943] MFYSLTVVTFGSAVPAGQFVPGIMIGSTYGRLVGMFVVKFYRKLNVEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLQLLPLIMLVLLISKAVGDVFNEGLYDEQARLRAIPLLESRPKQYMRNMTARDACKNQQVVYFSRVAKVADIVSVLRNNKHNGFPVVGHGRNQEPLVMGLILRSHLLVLLQSKIDFQNNPFPCDLRSIANRHHLSDFVKPISSKGLSIEKIHLGHDELEMYLDLTPFLNPSPYVVPEDMSLAKVYNLFRHLGLRHIFVVPQPSRVVGLITRKDLLLEENQDSATVELQSTSVRAPQVRRNIKQHVHSEHQPLLDNLLPVSK >DRNTG_21851.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6408695:6424627:1 gene:DRNTG_21851 transcript:DRNTG_21851.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein CLC-d [Source:Projected from Arabidopsis thaliana (AT5G26240) UniProtKB/Swiss-Prot;Acc:P92943] MFYSLTVVTFGSAVPAGQFVPGIMIGSTYGRLVGMFVVKFYRKLNVEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLQLLPLIMLVLLISKAVGDVFNEGLYDEQARLRAIPLLESRPKQYMRNMTARDACKNQQVVYFSRVAKVADIVSVLRNNKHNGFPVVGHGRNQEPLVMGLILRSHLLVLLQSKIDFQNNPFPCDLRSIANRHHLSDFVKPISSKGLSIEKIHLGHDELEMYLDLTPFLNPSPYVVPEDMSLAKVYNLFRHLGLRHIFVVPQPSRVVGLITRKDLLLEENQDSATVELQSTSVRAPQVRRNIKQHVHSEHQPLLDNLLPVSK >DRNTG_21851.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6408695:6424627:1 gene:DRNTG_21851 transcript:DRNTG_21851.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein CLC-d [Source:Projected from Arabidopsis thaliana (AT5G26240) UniProtKB/Swiss-Prot;Acc:P92943] MGSRPQGSCGRRSRDQRRRSSPRLGGMAMEVPRVLIMRLIENYAYREEQAQRGKLYVWYYVMLKWFFSLLIGIGTALSAVFINLAVENFSGWKYAATFSIIQHSYFAGFLVYIIFNLGLVFSSVFIVTQFAPAAAGSGIPEIKGYLNGVDTPGILLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLIGQGGSTKYHLNPRWLQVFESDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSHLMWRVFFTSAVVAVVVRSAMGWCKSGKCGTFWLWWLHYLGYIGWSGRLLISRNVAHGHNWCYWWSSRSLI >DRNTG_33651.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2038035:2041472:-1 gene:DRNTG_33651 transcript:DRNTG_33651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAKMNSLFSLLFISFLLLGSQSYGEERKVYIVYMGGHTSEGVSSSSLSSHLGMLKKVLPSGVSATDKLVYNYGRSFKGFAARLTDDEVKKFSEMGEVVSVLPNTKLKLHTTRSWDFIGLTQNRTLRLPTESDVIVGVLDTGIWPESESFSDSGMSPPPSKWKGKCQTGGTNFTCNNKLIGARYYNSENDPSEIKSPRDVEGHGTHTSSTAAGRPVGDASYFGLAEGIARGAVPEARIAMYKVCWLSGCWSADILAAFDDAIADGVDIISVSLGSDFPSQYFEDPIAIGSYHAMKKGILTSNSAGNGGPFPISVSNYAPWSLTVAASSIDRKFVSNVVLGNGNTYIGISINNFNMGNSVYPLIYGGQAVNVSAGSTELISSYCIDGSMNTEKIEGNLVLCNYGDGDETIYNAKGLGMIMSVDDNLQDVAFSFMFPVTIITTDDAREILAYIKSTSNPVAVIKVSDEWSDPLAPTVVSFSSRGPNPITPDILKPDLTAPGVDIIASWSPMASPTGYEEDKRSVNFNIISGTSMSCPHVTGAAAYVKSFHPNWSPAAIKSALMTTAIPMDPRKNEDAEFAYGSGHINPVQAVDPGLVYDASAQDYITFLCAQGYNTTTLRQVTGDNSTCNGIGLGKAWNLNYPSFALSVPDGGYATGSFYRTVTNVGPPNSTYNAIVFSPANLTISVVPSTLTFSQVGEKKSFVVKVNGGRLFQQPIMSACITWFDGKHSVRSPLVVYTTILPFDMDTDVTALSSLGRPNLAHKKKGRIGGN >DRNTG_10496.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6125092:6127472:-1 gene:DRNTG_10496 transcript:DRNTG_10496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGGYREKDARDIEIPNIKLEVFELMMRFIYTGSVSVTRDIAQDLLRAADQYLLEGLKRLCEYSIAQDVTLDNVANMYELSESFHAMSLRHTCVLFILEHFEKLNKKPGPSPLTQRIIPEIRNFFSKALRSNPRIYRP >DRNTG_09342.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:647802:651240:-1 gene:DRNTG_09342 transcript:DRNTG_09342.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ANTHESIS POMOTING FACTOR 1 [Source:Projected from Arabidopsis thaliana (AT5G14530) UniProtKB/Swiss-Prot;Acc:Q9LYK6] MSFLVLIVVEDVIFFIGLVVFARQEGNISSLDFHRTEDLLITASEDDSVRLYNIATASLLKTTYHKKHGADRVCFTHHPSSIICSSRYNSASTESLRYLSMYDNRCLRYFKGHKERVVSLCMSPVNDSFMSGSLDHTVRIWDLRVNACQGILRLRGRPTVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVCDIKFSNDGKSMLLTTTNNNIYVLDAYGGEKRCGFSLEPSPSMSTEATFTPDGQYVVSGSGDGTLHAWNINGRNEVACWNSHIGFATCLKWAPRRAMFAAASSVLTFWIPDESKRGDEATSGAETAAAAAPDPRNEN >DRNTG_09342.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:647802:651240:-1 gene:DRNTG_09342 transcript:DRNTG_09342.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ANTHESIS POMOTING FACTOR 1 [Source:Projected from Arabidopsis thaliana (AT5G14530) UniProtKB/Swiss-Prot;Acc:Q9LYK6] MSPVNDSFMSGSLDHTVRIWDLRVNACQGILRLRGRPTVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVCDIKFSNDGKSMLLTTTNNNIYVLDAYGGEKRCGFSLEPSPSMSTEATFTPDGQYVVSGSGDGTLHAWNINGRNEVACWNSHIGFATCLKWAPRRAMFAAASSVLTFWIPDESKRGDEATSGAETAAAAAPDPRNEN >DRNTG_09342.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:647802:651240:-1 gene:DRNTG_09342 transcript:DRNTG_09342.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ANTHESIS POMOTING FACTOR 1 [Source:Projected from Arabidopsis thaliana (AT5G14530) UniProtKB/Swiss-Prot;Acc:Q9LYK6] MSIMELDDEMVHSMAIGAVFTDYEGNISSLDFHRTEDLLITASEDDSVRLYNIATASLLKTTYHKKHGADRVCFTHHPSSIICSSRYNSASTESLRYLSMYDNRCLRYFKGHKERVVSLCMSPVNDSFMSGSLDHTVRIWDLRVNACQGILRLRGRPTVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVCDIKFSNDGKSMLLTTTNNNIYVLDAYGGEKRCGFSLEPSPSMSTEATFTPDGQYVVSGSGDGTLHAWNINGRNEVACWNSHIGFATCLKWAPRRAMFAAASSVLTFWIPDESKRGDEATSGAETAAAAAPDPRNEN >DRNTG_09342.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:647802:651240:-1 gene:DRNTG_09342 transcript:DRNTG_09342.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ANTHESIS POMOTING FACTOR 1 [Source:Projected from Arabidopsis thaliana (AT5G14530) UniProtKB/Swiss-Prot;Acc:Q9LYK6] MSPVNDSFMSGSLDHTVRIWDLRVNACQGILRLRGRPTVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVCDIKFSNDGKSMLLTTTNNNIYVLDAYGGEKRCGFSLEPSPSMSTEATFTPDGQYVVSGSGDGTLHAWNINGRNEVACWNSHIGFATCLKWAPRRAMFAAASSVLTFWIPDESKRGDEATSGAETAAAAAPDPRNEN >DRNTG_34291.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28207940:28208251:-1 gene:DRNTG_34291 transcript:DRNTG_34291.1 gene_biotype:protein_coding transcript_biotype:protein_coding GNVLTFGLFISPVPTFWKIWKRKAVEDFSPVPYLATLLNCALWVFYGMPFVHPNSLLIVTINGFGFVVEALYIIMFL >DRNTG_17771.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000910.1:33606:40743:1 gene:DRNTG_17771 transcript:DRNTG_17771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQKGWGGRESLQKVDKLSSAVLSWCSNDKARLYIDDLENILDENMVLSFNAEIEDDNIEEELEVGWATRSTMTSSAVVFGMAVGQVKLEARREAGFANPLPGSISRSLPLVLQVETFLIRAAAWSGRVLHLFKQ >DRNTG_29718.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26140837:26141204:-1 gene:DRNTG_29718 transcript:DRNTG_29718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGVQSYERVSDTVSDRVDGVSVSDTGTTSVRRQFCRVRASQIIPR >DRNTG_06945.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5755264:5756876:-1 gene:DRNTG_06945 transcript:DRNTG_06945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPAMYELHFGVPMTGGVLCTLNTRHDSAMVSILLKHSEAKIIFVDHQLLKIAQGALDLMSQDNSKLPILILISESGEHSFPDYLEYETLLRSANPNFDVRWPIDECDPITLNYTSGTTSRPKGVIYSHRGAFLNSISTLLFGDITTMPVFLWTVPMFHCNGWCLTWGVAAQGGINICLRNFTGKDVFDRIALHKVTHMGGAPTVLSMIANTPTDDRKPLPGCVAILTGAAPPPPQILFEMEQLGFRVVHAYGLTETYGPGTVCTWKPEWDALPTEERSKLKARQGLNHIGMEEVDIKDSKTMKSVPADGKTMGEVMFRGNVVMNGYFKDLNATMESFAGGWFRSGDLGVKQADGYIQLKDRSKDIIISGGENISTIEVESALFSHPAVLEAAVVGRPDDHWGETPCAFVKLKEGVHVQPEEIIQFCRAKLPHYMAPRSVIFENLPKTSTGKTQKFVLREKAKAMGSLFRKGGSKL >DRNTG_12575.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:811650:812294:1 gene:DRNTG_12575 transcript:DRNTG_12575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEHHPKTLQTFNDIAAVKPPCPSSSSFKIKTIVQAYVLRHVSHVVQALSNATSMVMELLKRKSTTTITNYSIRLRKKNKKKKQLFSSFRMHYNWCSSYVTPMPQPFEASFDMNHAAYYDSTWNSVIITDPDANQADIMDQHQQQQQQQQQQLSGYLNWLEEKSCEPLDQAEDDAASEIDRLAETFIARCHEKFRLEKQESYRRYQEMLDRSV >DRNTG_21241.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32761723:32764140:-1 gene:DRNTG_21241 transcript:DRNTG_21241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAIAATTHLHDLPDAVLTNIFSLVLHLRSRNSMSLVCHKWFSLERSTRTSLSLRGYSPHLFLLPTSFSAVTHLDLSLLYPWGHPPSPDLSLVALRLRQAFPNLNSLTLYARTPSAVEALAPQWATQLRAVRLVRWHQRPHLPHGGDLTPLLSSCPGLHSLDLSQFYCWIEDIPAALQAYPVAAASLIHLNLLSAASSDGYRAAELLSISLSCPNLREFLAPCVFNPRYIDFVGDETLLSLATNCSRLKLLHLVDPAAFSPATAPVINLDSEGFAPEDARITCDGLGRLFTALPLLEDLALDLSQNVRDSGPAFEELCYKCPKIKSLKLGMFHSVCRAAGLHLDGVSVCGGLKSLCISNSADLSDASLSTIARGCRRLSKFEIHACRNVTEIGIKKLSALLRMSLVQVRISGCPQFDVASVLRALEPIRDRIEHLHIDCSRWVLSPGKQDVDGGDTSQQEEEEEDDDDDLETPIESKKKKCKCSVEIEDDEFWLKTWRRLKYLSLWFPAGEILTPLAEAGMEFCPELEEMCIKVEGDCRLCPMPAQREFGLNSLVRYPKLSKMKLDCGEAIGYALTAPSGQMDLSWWERFYLHGIGALNLYELNYWPPQDKDVNQRTLSLPAAGLLQGCTTLRKLFIHGTAHEHFMGFFLQMPNLRDVQLREDYYPAPENDMSTEMRVDSCSRFKAALNERYIPD >DRNTG_23634.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6962152:6964155:-1 gene:DRNTG_23634 transcript:DRNTG_23634.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRPLLLQLPTHNTNSHVSYSIPQPFTLPNLIKFCGHHASLKQHRISSLTSPLIIPPRASSSSPDKGLVPSTDEDEDGVSLGTMKLPPDVDIARFETLLFQWANSLCQGANLPLPVPLKVDKIEGGARLGFIDVDDGKTEVRVYIDCLVFAATENSGPLFRAIRNGPFKQQAPPGEPRIMRSLLQALQKSIEIAQL >DRNTG_32200.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001797.1:4036:4900:1 gene:DRNTG_32200 transcript:DRNTG_32200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSRTNLQVTNGDLINSKKEFHPPNPHQ >DRNTG_08508.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28913780:28915196:1 gene:DRNTG_08508 transcript:DRNTG_08508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAIRKPAWLEALNTQKFFIGCSIHETSKKNEKNICCLDCCTSICPHCLPAHRCHRLVQVRRYVYHDVVRLEDLEKLIDCSNVQSYTINSSKVIFIKKRPQSRQFKGSGNICTSCDRSLQEPYIHCSLGCKVDYVLRQKKDLSACLRTCETLTLSPDFLIPHEADPGDDEANYETTHSTVVEGDEAGVSSDSENLSLPCTDFTRKKRSGMLFVCS >DRNTG_10914.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000478.1:2134:5097:-1 gene:DRNTG_10914 transcript:DRNTG_10914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLREMNLSHNDLVGGIPSSFQLMMGLTSFDISYNSLEGPIPENHFFQVAPIKWFTHNKGLCSQVHGLPQCNQSSSASKGDREKYRKVIILIVLPIFGILFLLLLVVAITLLCNKRKKFIANDSGEEVGGFSILNVNHGKGTYKAIIQATENFDNKYQIGAGACSMVYKATLSSGETLAIKKIQKEEGRVNEQAFQNEIQALIEIRHRNIVKFYGFCSTDKFSFLAYKYMERGSLGATLRSEQEALELDWIKRVTIVRDISQALSYLHHDCAPPIIHRDITSNNILLDEEYKACVSDFGISRSLKPNSSHWSFLAGTYGYMAPELAYAMRVTQKCDVYSFGIVALEVIHGTHPGDLLNNLTLSMLVKDILDPRLPLHIENQVITSQVLSVISIAMQCINTDSQARPTMQQVSQRLSSPKSLPASNIYPIEALSLDHLIKIAQTHIDDQAFE >DRNTG_03439.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3159776:3172306:-1 gene:DRNTG_03439 transcript:DRNTG_03439.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLLKVPSLKRMISSPRTDKLPDFNDCSTSYVAEKGHFNVYTSEGKRFMVPLAYLDNNIFKELLRISEEEFGLPGDGPITLPCDAASMEYVLSLLRRGVSKEMEMQLLSSIFISCQSACSMLPVEQPHQVAFTVFDFL >DRNTG_03439.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3171848:3172306:-1 gene:DRNTG_03439 transcript:DRNTG_03439.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLLKVPSLKRMISSPRTDKLPDFNDCSTSYVAEKGHFNVYTSEGKRFMVPLAYLDNNIFKELLRISEEEFGLPGDGPITLPCDAASMEYVLSLLRRGVSKEMEMQLLSSIFISCQSACSMLPVEQPHQVAVY >DRNTG_03439.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3159776:3160457:-1 gene:DRNTG_03439 transcript:DRNTG_03439.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLLKVPSLKRMISSPRSNDVCSDFDACSTSYVAEKGHFNVYTSEGKRFMVPLAYLDNNIFKELLRISEEEFGLPGDGPITLPCDAASMEYVLSLLRRGVSKEMEMQLLSSIFISCQSACSMLPVEQPHQVAVYSF >DRNTG_27089.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001380.1:8531:9507:1 gene:DRNTG_27089 transcript:DRNTG_27089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALFHGRLSTTNFLYHLNLGPNDPCILCGLSPETIDHLFSHCTKTKAGLVLSQPEA >DRNTG_16695.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22166272:22171637:-1 gene:DRNTG_16695 transcript:DRNTG_16695.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAVSPQWREKANGFFSSSGVKLKQAGQSAGSFVGDVAKDAGENVVDAAEKVGSMVKSRWALFREAREQRSPPPPRDTMQERLLSAAATTGLFFRKGISETKEKVVVGKMKVEEAAKKTADKSKVILNNIERWQKGVASSDVFGLPIEATVQRQHSTRPIPEVLVKCANYLIRSGLHTELIFKLEGDSKVIRQLISLYNQDWNASLPEGVNAVDVAALMKGYLASLPEPLTTFEIYHEIRDARNSINEMRNILKKLPNVSYSTLEFITALLLRVSQKSSLNKMDAHSLAVELAPVIMWQKDDPGTALRSHLSYSSKTPSRTIDLSSSNDAWKDLLDDDDGNDNASSQIPLDDGFPLDYGAIEVILCLIQHHNAIFTDANETVW >DRNTG_16695.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22166272:22171637:-1 gene:DRNTG_16695 transcript:DRNTG_16695.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAVSPQWREKANGFFSSSGVKLKQAGQSAGSFVGDVAKDAGENVVDAAEKVGSMVKSRWALFREAREQRSPPPPRDTMQERLLSAAATTGLFFRKGISETKEKVVVGKMKVEEAAKKTADKSKVILNNIERWQKGVASSDVFGLPIEATVQRQHSTRPIPEVLVKCANYLIRSGLHTELIFKLEGDSKVIRQLISLYNQDWNASLPEGVNAVDVAALMKGYLASLPEPLTTFEIYHEIRDARNSINEMRNILKKLPNVSYSTLEFITALLLRVSQKSSLNKMDAHSLAVELAPVIMWQKDDPGTALRSHLSYSSKTPSRTIDLSSSNDAWKDLLDDDDGNDNASSQIPLDDGFPLDYGAIEVILCLIQHHNAIFTDANETVW >DRNTG_16695.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22166272:22171637:-1 gene:DRNTG_16695 transcript:DRNTG_16695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAVSPQWREKANGFFSSSGVKLKQAGQSAGSFVGDVAKDAGENVVDAAEKVGSMVKSRWALFREAREQRSPPPPRDTMQERLLSAAATTGLFFRKGISETKEKVVVGKMKVEEAAKKTADKSKVILNNIERWQKGVASSDVFGLPIEATVQRQHSTRPIPEVLVKCANYLIRSGLHTELIFKLEGDSKVIRQLISLYNQDWNASLPEGVNAVDVAALMKGYLASLPEPLTTFEIYHEIRDARNSINEMRNILKKLPNVSYSTLEFITALLLRVSQKSSLNKMDAHSLAVELAPVIMWQKDDPGTALRSHLSYSSKTPSRTIDLSSSNDAWKDLLDDDDGNDNASSQIPLDDGFPLDYGAIEVILCLIQHHNAIFTDANETVW >DRNTG_27194.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:524732:537105:1 gene:DRNTG_27194 transcript:DRNTG_27194.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGKLPRIKTYGDLLLSFPLQVFAASTIDFCKAFARASSKVCINKQHHGRRAKETGRHSNNGKIGRKNRSQFNIRRCR >DRNTG_04525.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19304381:19305010:1 gene:DRNTG_04525 transcript:DRNTG_04525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPGLQGVCQALNQARRWTNGIEPTWQKSHKHAKPKNLLQAKQFDSPLNYNPQLSSDPR >DRNTG_06836.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6585826:6594040:1 gene:DRNTG_06836 transcript:DRNTG_06836.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRLSNGRSPLVRKQSQITSFFTPGKGAKVNPSPDPSPDPSPSLSKPKKPLLVIPPSPASIPRTPSSAGITSYKEEVIGRRIRVFWPLDKAWYEGSVRSYDEVSGKHTVQYDDADEEILDLGSEKIEFLQEEAPRSLRRLRRLSGSMGVATPSTDGNEESCRDDSTDDEELGKGMQIDKVDDDTDEMELEEEVIGSLRRRPSRCSGSEKRKKIEPMMLESAKKIKFKDENGKILSKASSKTIKSSPILPVDNGKREQVLDSFDLTLSGDVADRFGKRQAEKFRFLGQGRKDACGRRPGDADYDPKTIHLPLEFLKSLSGGQRQWWEFKSKHMDKVLFFKMGKFYELFEMDAHIGAKELDLQYMKGEQPHCGFPEKNFSMNLEKLARKGYRVLVIEQTETPEQLELRRKEMGSKDKVVKREICAMVTKGTLTEGELLSRDPEASYLMSITENHQMSTDQSKEGTVLGICIVDVSTSKFMIGQFEDDKERLCLCSILSELRPVEIIKPAKILTYETESILKNNLRDPLVNVLVPVVEFWDAEKTIGELRNIYQLPRHSQVSRPRDDRNYDAPADSDDAENESGFLPDVLSEFITSEANGNCALSALGGCLFYLRQAFLDEALLKCAKFELVPCSGTSNALQKSYMILDAAALENLEILENCRNGGLSGTLFSQLDHCVTAFGKRLLKSWLARPLHGTRSIIERQDAIAALKVCSKYVFDEISRRQSLKLLVIKFITLCVPCLLFILLLRYIYPLKLFLTFHLYIFVNISYS >DRNTG_30941.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2496038:2496489:1 gene:DRNTG_30941 transcript:DRNTG_30941.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSHKTSLHGIIPLLEAQAWSISPLNGGGHTKLVCMATYMLARSSMDALQGLTCSPSYSQSLVILPTSLSLWPFPPFLFALTKKPTSSMTS >DRNTG_18893.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2185233:2186007:1 gene:DRNTG_18893 transcript:DRNTG_18893.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRAVTVRSTMSRSPWTEEMHSSFLNWMEDSFVLGSLLGLTAPEHERCACSSWPRFPDFSFSDSHTVSHHPFPVLGNANHGGGGNRGRKRPVCDDDEAQDQVVPELRKKGNEADGLGGRRKRRSLC >DRNTG_18893.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2185233:2186144:1 gene:DRNTG_18893 transcript:DRNTG_18893.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRAVTVRSTMSRSPWTEEMHSSFLNWMEDSFVLGSLLGLTAPEHERCACSSWPRFPDFSFSDSHTVSHHPFPVLGNANHGGGGNRGRKRPVCDDDEAQDQSLERREMKQMDWVGEGRGEVYVDLVLSFLTHKE >DRNTG_08773.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5034539:5041046:-1 gene:DRNTG_08773 transcript:DRNTG_08773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIWKISNDLSTIYNSNPDVQCLIRPDAIDNGLDGFNTLAWNGEGELLATGSLDGLVIIWSKNGDLKKRLGNHRAVIFFIGWNSKGDFLLTGSRGNRIVVWDTNTWESIQEVAFHSELLAVTWRNDTSFAACLRDKRICIYNIGEPKPVKTFSGYQADLHGIKCNPTGSLLASYSHGMTIKVTIPYESGFWIFYDILLCIDVSIF >DRNTG_08773.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5036508:5036930:-1 gene:DRNTG_08773 transcript:DRNTG_08773.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIWKISNDLSTIYNSNPDVQCLIRPDAIDNGLDGFNTLAWNGEGELLATGSLDGLVIIWSKNG >DRNTG_08773.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5034539:5036930:-1 gene:DRNTG_08773 transcript:DRNTG_08773.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIWKISNDLSTIYNSNPDVQCLIRPDAIDNGLDGFNTLAWNGEGELLATGSLDGLVIIWSKNGDLKKRLGNHRAVIFFIGWNSKGDFLLTGSRGNRIVVWDTNTWESIQEVAFHSELLAVTWRNDTSFAACLRDKRICIYNIGEPKPVKTFSGYQADLHGIKCNPTGSLLASYSHGMTIKVTIPYESGFWIFYDILLCIDVSIF >DRNTG_08773.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5035288:5040232:-1 gene:DRNTG_08773 transcript:DRNTG_08773.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIWKISNDLSTIYNSNPDVQCLIRPDAIDNGLDGFNTLAWNGEGELLATGSLDGLVIIWSKNGDLKKRLGNHRAVIFFIGWNSKGDFLLTGSRGNRIVVWDTNTWESIQEVAFHSELLAVTWRNDTSFAACLRDKRICIYNIGEPKPVKTFSGYQVFIS >DRNTG_26999.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11735009:11737234:1 gene:DRNTG_26999 transcript:DRNTG_26999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSMPPKNPGHRRIQGKIIDMSWEPMTRSCPRVALSQHDHALVKLVVWMTIVVISYCDCKNLMCLRCTVILADLGGFENHRRNIAFEHMQEP >DRNTG_28934.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22136102:22142384:-1 gene:DRNTG_28934 transcript:DRNTG_28934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGPVSVANGGFEEFERDLEAVLREQQHQSRAALDREHELNFYRSGSAPPTVEGSRTALGSLFGVPESQRPGNQTNSEDAGELLSEEEMRSHPAYLSYYYSNENLNPRLPPPMVSKEDWRLAQRFHGFGGIGDRRMTKESWKGDGSSSSLFSLQPDLSACGEERGFLDHSPHDLLQQQSAEWFKNIGDGLIGLPDVGLGGRRKSFADVIQGEIGHSSSISGHLSHPVNHNNFDNVVEPTGVSNPQHALFHNGSDSIDDLDSGVANPGLVRVKSLGASISHSFASAVKSARSATPDHQPIRRSPSPLLPPVAGSPFDSNKTALINSNGGSDVSAHMADDSSMVAALSSMTLSKNIGSDGGNHIGQLHEEFDDQSEVLSSFPRHHTHYMQQKNLHKSEGEALSISGNFLPGYTNLSKKNGVLPDFNLSKLTSNGQINLHKQTSSSNLYKAMPSTVDSTSLSGSGLYQTAEMQHNPRLPAMLNNQHGAGVALGGVGEGQYLNRTGDQMLSGFQVPIAEPLYSHYAQGSSDSVTQAVARPDPSFGRNFLGNPPIDLPGYQKAYLEALLAQQRLQYGMPFVSKSGLNGFYGNPAFGLGMHYQENPISSSILSSLGPGSPLRQNDRLSRFPSITRNSTRGSAESWSLDNSTIDEVFVSSLLDEFKNNKARSFELSDIVDHVVEFSMDQYGSRFIQQKLETASFEEKNKIFPKIITQARSLMTDVFGNYVIQKFFEHGTDVQRKQLASQLTGHVLPLSLQMYGCRVIQKALEVVDVDLQTKMVLELEGSIMKCVRDQNGNHVIQKCIERVPQEKIQFIIKTFYGQVVTLSTHPYGCRVIQRVLEHCDDPETQRIMMEEILESVCTLTQDQYGNYVVQHVLQHGKPEERSLIISKLTGQIVKMSQQKFASNVIEKCLAYGTPEERQLLIDEMLGSNDENEPLQAMMKDQFANYVVQKVLETCDDQNRELILSRIKVHLNALKKYTYGKHIVARVEKLIATGERRIGIAAYSS >DRNTG_30673.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3703424:3704409:1 gene:DRNTG_30673 transcript:DRNTG_30673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSPKSRVDQFFGSRKRRPQSLKEEKPHENLKTTPESSPSGKGSLDSYLVRSPDSQRRLDLVKRNISLEIGLPSETAQKPVLRSCSSERTDGRVGEHGEQILEKDVSVCESIAGGTSELKKFATDFLSLYCSEVLPVEQEQKGQKRNGSPSLLVVCDQTNVKKQCALKIEDDAPVRDGSECSESKTSVKTQKMIDFSASKPTVSMRRCSGTPKAGLHAPGMLNT >DRNTG_32138.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001788.1:9293:11679:1 gene:DRNTG_32138 transcript:DRNTG_32138.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFQSFWQKKNNTPAAQERCILRLILYHVCVNLPVMIASYPAFRFMGMRSSLPLPSLSVIIPQVLFYFILEDFVFYWGHRVLHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATILGPALTGPHLFTMWLWMILRVLETVEAHCGYHFPWSLSNFLPLYGGSDFHDYHHRLLYTKSGNYASTFVYMDWLFGTDEGYRKLKAIEKEGKEPDEVY >DRNTG_32138.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001788.1:9293:11679:1 gene:DRNTG_32138 transcript:DRNTG_32138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASYPAFRFMGMRSSLPLPSLSVIIPQVLFYFILEDFVFYWGHRVLHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATILGPALTGPHLFTMWLWMILRVLETVEAHCGYHFPWSLSNFLPLYGGSDFHDYHHRLLYTKSGNYASTFVYMDWLFGTDEGYRKLKAIEKEGKEPDEVY >DRNTG_05800.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19152809:19157198:-1 gene:DRNTG_05800 transcript:DRNTG_05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGYSVFFEVMALQSFHRFEKNFSLSEVVSTGTSYVISAFPFPPVSRKRSHAQVFGISLVCNLRLVIMAVNLVNGRCYLTPVVETLAELKVHMTPRHWEII >DRNTG_29998.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6523287:6526210:-1 gene:DRNTG_29998 transcript:DRNTG_29998.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGILGQQPKTQLIRGEQQSLLSPLNSTAVTKPVTQQPTMELNRKENQLFLQSSNNPVMKQMAPQTKTDLIKTPLISSSDDSMALKPVAQQQKMQLIKGERHLFSSSDDSVLTKQIMATHTPDGREVEVKPILYIVEDILHQANPSLILTPQTQLEYVDETTHRAEVVSMLEALAYTVHRMSSEINYKCAIGGDGHATTLAVLQSLSNYTWDGKLVVALAAFALSYGEFWLTTQLHTVNPMAKSLAHLKQLPNILEHTDILKPRFDAINNLINAMLDVTKCIVEFRELPPEYIPHDAPEMAMALAHIPTAVYWTIRGVVACIAQIVGLIGLGHDYMTSTTEAWELSSLAHKVNNIHGHLIKQLNTCQQQIGERKHMEAYQTLVRLFETIHLDNIKILRALMYSKDDLPIIDGITKKRVSVDVLRRKIVMLFISDLDISHEELFVLIQIYNDTHQGRMERHYEIVWLPVVDRHVPWLQSREESFNRLASTMPWYSLVHPSLLDKAVVKYIREMWHFDKKPMLVVLDPQGKLVCPNALHMMWIWGSLAFPFTSNREEALWKEEIWRLELLVDEIDPAILQWVTEGRHVCLYGGDNLDWIRRFTTTMRRVAQDARVPLEMVYVGRSNPKEKVKRAMSVIAAEKLSGYWTDVAMIWFFWVRLESMWHSKMQHGRTVEDDPIMQEVMQILSFDGSEEGWAVISRGSVEVLKSQGKKLLDCLMEYDTWKGTVELEGFIPALGKALLPYQTHEHCTRLILPGETGKFGEKIVCAECKKPMEKYVLYRCCTD >DRNTG_35130.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1169400:1170448:-1 gene:DRNTG_35130 transcript:DRNTG_35130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHQHGTKSKEPGEKRKRERRKERKR >DRNTG_09096.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6290963:6291860:-1 gene:DRNTG_09096 transcript:DRNTG_09096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPKPSGIANPAARPPPPPPPAYGAPTAYPGYYGEPYPGYYSAPAHAQHSSTTLLRRFLIIIAATIVATGVAIFITWLVLRPRLPIFSISSASLSSFNLSSSQLSSDLSVSISVQNPNHKMTIHYYDFRAEALYDFYTISDVALPPMDQKKGNVTEIKARLVAMGEFVGEDVGQRIESERRTMGTVGFQVRVLSLVRYSSGFWWTRTNVLRVFCDDVRIKFANATANGGLLDGTAKPCLVRL >DRNTG_12793.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2145398:2146036:1 gene:DRNTG_12793 transcript:DRNTG_12793.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIEIIRRQTMRKLMDPILEPPPVTPSHGGAPGGGAEDGFDTNMVIILAALLCALICALGLNSIVRCVLRCSGRTVEEPPEEAAGRLAGTGLKKRMIRRLPVSMYGAGGGIRSTECPICLGEFADGEKVRVLPKCNHGFHIRCIDTWLVAHSSCPTCRNSLLDRPETAGGAAAPPLEEGVMMVDGDS >DRNTG_17532.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28983696:28985706:-1 gene:DRNTG_17532 transcript:DRNTG_17532.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin-A8 [Source:Projected from Arabidopsis thaliana (AT2G40610) UniProtKB/Swiss-Prot;Acc:O22874] MAIANFSSSNVLILILFSYFIFHFPAVFGDSYGWEGGHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEMKCNDDPRWCLPGSIVVTATNFCPPNFALANDNGGWCNPPLQHFDLAEPAFLQIAQYRAGIVPVAFRRVPCVKKGGIRFTINGHSYFNLVLITNVGGAGDVHAVSIKGSRTGWQAMSRNWGQNWQSNGYLNGQSLSFQVTTSDGRTITNFNVAPSGWQFGQTFEGAQF >DRNTG_25814.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2592354:2597440:-1 gene:DRNTG_25814 transcript:DRNTG_25814.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAGVASAFAGEVRVGSSHLDAFPEAAAATVAAAKMKERMFVKNSGDFRRPLAHVWGAKAGNKQSTRRVLTHSPPRVTPTIYEQGGLYRCLNVPNIAHTSFGISAAINLKPSEISCYNSCCNISCNMALTTDLIEFWLRCGRPQDPSTSRLCLVLVDAVKSPDPDCRQLTYLRSSRSPLARGAHPCASS >DRNTG_30465.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18681560:18683874:-1 gene:DRNTG_30465 transcript:DRNTG_30465.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEEVKAVMRSHGVDLWALIESVITVAVRDHGSELRSRRDSIVALLYSSEPATRCRNCGQGGGDEQGFDSRENEGKEVASPDGKRSVSSSPPRSEPEEFDDEEDDDEQRRILAIKDRIDDPDQPEEKLVMLLQNLLDMDITFKALKETDVGRHVNGLRKHPSGEVRRLVKLLVR >DRNTG_30465.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18681560:18683874:-1 gene:DRNTG_30465 transcript:DRNTG_30465.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEEVKAVMRSHGVDLWALIESVITVAVRDHGSELRSRRDSIVALLYSSEPATRCRNCGQGGGDEQGFDSRENEGKEVASPDGKRSVSSSPPRSEPEEFDDEEDDDEQRRILAIKDRIDDPDQPEEKLVMLLQNLLDMDITFKALKETDVGRHVNGLRKHPSGEVRRLVKLLVRKWKELVDEWVKSSSDGALPAIITDGDSPPQLVSGKTSQNGHQVLDFGFSPNPHNGGLGVEMESRGKVIPRKEVPPPKNSQISASSIITPPAGIKENMIDPERLASARKRLHENYQEAQNAKKQRTIQVMDIHEIPKPKNSFISRNKGGPQGKHR >DRNTG_17388.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000893.1:17009:17829:-1 gene:DRNTG_17388 transcript:DRNTG_17388.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSLVVTKLSDLVTHEVQLVRGVRDKVSWLENELRWIKGFLKDADARGKTDKNFKNWVIEVTEVAYQAEATIDTFLIKVRQSANIINR >DRNTG_17388.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000893.1:13647:17829:-1 gene:DRNTG_17388 transcript:DRNTG_17388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSLVVTKLSDLVTHEVQLVRGVRDKVSWLENELRWIKGFLKDADARGKTDKNFKNWVIEVTEVAYQAEATIDTFLIKVRQSANIINREEGTVECLARCLRLNEVIRLILG >DRNTG_13429.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9963200:9968741:-1 gene:DRNTG_13429 transcript:DRNTG_13429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHLNRLLVLTVLLGLFLRFCHGGVTSTFVRKVEKTIDMPLDADVFRVPSGYNAPQQVHITQGNMDGSAVIVSWVTQDEPGSNTVLYGTSEDNFEFHATGKLTQYTYYNYTSGFIHHCTLRNLKFSTKYYYKVGIGNTTRRFWFTTPPEVGPDVPYTFGLIGDLGQSYDSNSTLSHYESNPIRGETVLFVGDLSYADNYPNHDNVRWDTWGRFVERSVAYQPWIWTAGNHEIDFAPEIGETKPFKPFRHRYTVPYKASGSTAPFWYSIKRASAHIIVLSSYSAYAKYTPQYKWLEAEFPKVNRSETPWLIVLMHSPWYNSYNYHYMEGETMRVMYEPWFVQNKVDVVFAGHVHAYERSHRISNVAYNIVNGECTPVDDQSAPVYITIGDGGNLEGLATNMTYPQPKYSAYREASFGHAVFSIKNRTHAYYEWHRNQDGDAVVADSMWFYNRYWSSADDSITIFS >DRNTG_00902.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21633769:21636288:1 gene:DRNTG_00902 transcript:DRNTG_00902.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQIDPAFVQAAEHRPKSTISDAGGIPLIDLSPLLHHHIPSDPSDPAIADEISNLIAQIGEACKDWGFFQVVNHGVEVELLEKVEAVTKEFFALPAEEKRKVKRGEVNPMGYYDAEHTKNVRDWKEVFDFSVTEWETKLLRLENHWPENLPEMREICEKYYKGVEKLGYKLLELIALSLNLSAKRLSDFYENSNSYVRLNHYSPCQSPDLVLGVGRHKDCGGLTILFQDEVGGLDIKRKTDGEWVRVKPIHNSFIINLGDIIQVWSNDKYESVEHRVSVNSKKDRYSIPFFFNPSSSTNVKPLEELVSEDNPPKYHEYNWGDFYKARKDSNFQKLEKENVQIYHFKRV >DRNTG_00902.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21615819:21619064:1 gene:DRNTG_00902 transcript:DRNTG_00902.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQIDPTFVQAAEHRPKSTISDAGSIPLIDLSPLLHHQIPSDPSDPAIADEISNLIAQIGEACKNWGFFQVVNHGVELELLERVEAVAREFFALPAEEKKKVKKREVNPMGYYDAEHTKNVRDWREVFDFTVTELETTSLRLENQWPENLPELRKACEEYLEDVKKLAYKLLELIAMSLNLPAKRLNEFFEDSISYTRLNHYNPCPSPDLVLGLGHHKDGGALTILFQDEVGGLDVKRKVDGEWVRVKPVHDSFIVNIGDVMQVWSNDKYESVEHRVSVNSEKERLSIPFFFNPAAATNVKPLEELVSEGNPPKYHEYNWGDFYKSRRNSNFQKLEKENLQIHHFKRV >DRNTG_00902.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21615819:21628424:1 gene:DRNTG_00902 transcript:DRNTG_00902.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQIDPTFVQAAEHRPKSTISDAGSIPLIDLSPLLHHQIPSDPSDPAIADEISNLIAQIGEACKNWGFFQVVNHGVEMELLEKVEAVTKEFFALPAEEKRKVKRGEVNPMGYYDAEHTKNVRDWKEVFDFSVTEWVTPSLRLENQWPENPPEMRVVCEKYYIGVEKLGYKLLELISLSLNLAAKHLSAFYEDSNSYIRLNHYSPCNSPDLVLGVGHHKDCGGLTVLFQDEVGGLDIKRKTDGEWIRVKPIHNSFIVNLGDIIQVWSNDKYESVEHRVSVNSEKDRYSIPLFFNPASSTNVKPLEELVSEDNPPKYHEYNWGHFYKTRKDSNFQKLEKENIQIYHFKRV >DRNTG_00902.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21615819:21636288:1 gene:DRNTG_00902 transcript:DRNTG_00902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQIDPTFVQAAEHRPKSTISDAGSIPLIDLSPLLHHQIPSDPSDPAIADEISNLIAQIGEACKNWGFFQVVNHGVEVELLEKVEAVTKEFFALPAEEKRKVKRGEVNPMGYYDAEHTKNVRDWKEVFDFSVTEWETKLLRLENHWPENLPEMREICEKYYKGVEKLGYKLLELIALSLNLSAKRLSDFYENSNSYVRLNHYSPCQSPDLVLGVGRHKDCGGLTILFQDEVGGLDIKRKTDGEWVRVKPIHNSFIINLGDIIQVWSNDKYESVEHRVSVNSKKDRYSIPFFFNPSSSTNVKPLEELVSEDNPPKYHEYNWGDFYKARKDSNFQKLEKENVQIYHFKRV >DRNTG_00902.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21625418:21636288:1 gene:DRNTG_00902 transcript:DRNTG_00902.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQIDPAFVQAAEHRPKSTISDAGSIPLIDLSPLLHHQIPSDPSDPAIADEISNLIAQIGEACKDWGFFQVVNHGVEMELLEKVEAVTKEFFALPAEEKRKVKRGEVNPMGYYDAEHTKNVRDWKEVFDFSVTEWVTPSLRLENQWPENPPEMREICEKYYKGVEKLGYKLLELIALSLNLSAKRLSDFYENSNSYVRLNHYSPCQSPDLVLGVGRHKDCGGLTILFQDEVGGLDIKRKTDGEWVRVKPIHNSFIINLGDIIQVWSNDKYESVEHRVSVNSKKDRYSIPFFFNPSSSTNVKPLEELVSEDNPPKYHEYNWGDFYKARKDSNFQKLEKENVQIYHFKRV >DRNTG_16717.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3052213:3056411:-1 gene:DRNTG_16717 transcript:DRNTG_16717.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi SNAP receptor complex member 1-1 [Source:Projected from Arabidopsis thaliana (AT1G15880) UniProtKB/Swiss-Prot;Acc:Q9LMP7] MEMQVASWDSLRKQARKLEGQLDEQLNTYRRFVSNKPDGSENDIESVIERLLKQLQQVNSQMQSWVSSGGSEILSHTLNRHREILQDLSQEFKRLRSSLRAKQEHASLLDFRDFDKAGLDLEEGGGSVEQALLKEQAALSRSSGQIDSVISHAQATLGALVLQRSTFGGISSKITNVSSRLPTVNHILSSIRRKKSMDTIILSLVASVCTFLILIYWLSK >DRNTG_17701.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3953526:3956475:1 gene:DRNTG_17701 transcript:DRNTG_17701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDRSCSGGPIPAYSPRFLLSRWLSPSEDGSLGCRVRFPRHGGKKRREGKGGNREESESDGLRVVESLEVKEVVEQCGKETFDSSGHESKDVSSLNLGMGVGLIFLLVRSTTEFNKMTELRKEMEVLLRDVECEIQKKDAVSTLADSSSISFSVSDCSRNKNTSGNYRSSLCAIRTHCPTEFDGSRSKCEIISETTQYLKMDQMEAELEAEFQRLRLGLEDDNSSVLPQHLETQLADGGSSPTESLSEIFDGDDDINNEDSNEQFGVSPHELERKLHELLEAQQQERIAELESALELAEQRLQEKEMEICWWRDTAKLASQRKDAALNRFAP >DRNTG_13600.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23720492:23721192:1 gene:DRNTG_13600 transcript:DRNTG_13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPQNIKRTMAFISKDIIIPRSPNRPHISFDQQNPKCPNRCRKADL >DRNTG_23148.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14690900:14700145:1 gene:DRNTG_23148 transcript:DRNTG_23148.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGDGPRGQQEVSPKSLQKVPHIPPQSLSKMGSIRTSLSPTLILLG >DRNTG_00172.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2879430:2880823:-1 gene:DRNTG_00172 transcript:DRNTG_00172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASEFDNNDVIGKAIQKRPHLKRLVKKRKTIMHPSQPPADNETIATPSAADGVTVDVMAVTVEQIIDNVVIATVDKIVDSVVNEIMNPVERAADSAASKMDTIPEEQEPANIVSPIDVVTVATVEKVVDSIVNEIIIMEDDAGAKHRQPSTTVLHDDPKTAVDERQGNDAKIATREKINANQKLEEVRKVFIPKKKNTTIVWKNDSLSTTLSRLFDLLEGKEMVSDDVMDGFVCIIQNFLSIMPYRYKKRASITRPLALFMSMQGDAHDTTMAMIGDAARNLHDVEIVILPIIMNANFHVVILDNDK >DRNTG_29731.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:598285:599276:1 gene:DRNTG_29731 transcript:DRNTG_29731.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDALEVFDEMLSLEIKPSRITYNVLIDGYGKVCDMQSANSMYDRMILGGCQPDIVTFTSLIDGYCRCGLISDAMKLWHELVERELQPNAYTFAVVIIAFCKMNRLSEARELLNELNRRKDIVPRAFIYNPVIDGLCKAGKVDEANMTLMEMERKGCSPDKFTYTILIIGHCMKGRMVEAIQLFDRMVTSGCTPDHVTFGSLTSCLLKAAMPDEANRVMLMRERKIGLGCINSETGPSCLKPALSVSVAS >DRNTG_22231.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1289858:1292241:-1 gene:DRNTG_22231 transcript:DRNTG_22231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALRSSPLGIPRVSSSTLRSKMSSSCAIDSKPRIVKLKPIDATPESFAEFGQVVLSSYDGKGFGPDDAQLELHRGTPRFYIMNLKDRALKFSKITHHAGVTQCLGSIGGEEWYLGVSKPTIIDEGEIKTDNGGRVVLSSCGHYYTPPHPDDVYVFRIVGPKFLKLNVGTWHAGPLFKLKTMDFYNLELSNTNVVDHTTHNFNKDGVAFVVEE >DRNTG_02789.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1152996:1156583:-1 gene:DRNTG_02789 transcript:DRNTG_02789.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGENYLNGSIPAGLFSLPILAQIELQDNLLTGAFPETGKSTISPNLGQISLSNNRLSGPLPPTIGNYSGVQKLLLNQNLFSGEIPPEIGRLQQLSKLDFSGNRFSGLITPAISQCKLLTFVDLSRNNLSGQIPSEITGMRILNYLNLSRNALDGTIPPSIAAMQSLTAVDFSYNNLSGLVPGTGQFSYFNSTSFVGNPNLCGPYLNPCISSNTSRSSHSHGPLSASLKLLLVLGLLLCSIAFAIAAIIKARSLKKASEARAWKLTAFQRLDFSCDDVLNCLKEENIIGKGGAGIVYKSVMPNGDQVAVKRLPAMSRGSSHDHGFSAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEVLHGKKGGHLHWDTRYKIAVEAAKGLCYLHHDCSPLILHRDVKSNNILLDSNFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVSGRKPVGEFGDGVDIVQWVRKMTDSNKEGVLKILDPRLPTVPLHEAMHIFSIAMLCVEEQSVARPTMREVVQMLTELPKPSASKQEDHEDDDSIENPGEIPPPDLLSI >DRNTG_02789.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1152913:1156686:-1 gene:DRNTG_02789 transcript:DRNTG_02789.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGENYLNGSIPAGLFSLPILAQIELQDNLLTGAFPETGKSTISPNLGQISLSNNRLSGPLPPTIGNYSGVQKLLLNQNLFSGEIPPEIGRLQQLSKLDFSGNRFSGLITPAISQCKLLTFVDLSRNNLSGQIPSEITGMRILNYLNLSRNALDGTIPPSIAAMQSLTAVDFSYNNLSGLVPGTGQFSYFNSTSFVGNPNLCGPYLNPCISSNTSRSSHSHGPLSASLKLLLVLGLLLCSIAFAIAAIIKARSLKKASEARAWKLTAFQRLDFSCDDVLNCLKEENIIGKGGAGIVYKSVMPNGDQVAVKRLPAMSRGSSHDHGFSAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEVLHGKKGGHLHWDTRYKIAVEAAKGLCYLHHDCSPLILHRDVKSNNILLDSNFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVSGRKPVGEFGDGVDIVQWVRKMTDSNKEGVLKILDPRLPTVPLHEAMHIFSIAMLCVEEQSVARPTMREVVQMLTELPKPSASKQEDHEDDDSIENPGEIPPPDLLSI >DRNTG_02789.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1152913:1156583:-1 gene:DRNTG_02789 transcript:DRNTG_02789.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGENYLNGSIPAGLFSLPILAQIELQDNLLTGAFPETGKSTISPNLGQISLSNNRLSGPLPPTIGNYSGVQKLLLNQNLFSGEIPPEIGRLQQLSKLDFSGNRFSGLITPAISQCKLLTFVDLSRNNLSGQIPSEITGMRILNYLNLSRNALDGTIPPSIAAMQSLTAVDFSYNNLSGLVPGTGQFSYFNSTSFVGNPNLCGPYLNPCISSNTSRSSHSHGPLSASLKLLLVLGLLLCSIAFAIAAIIKARSLKKASEARAWKLTAFQRLDFSCDDVLNCLKEENIIGKGGAGIVYKSVMPNGDQVAVKRLPAMSRGSSHDHGFSAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEVLHGKKGGHLHWDTRYKIAVEAAKGLCYLHHDCSPLILHRDVKSNNILLDSNFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVSGRKPVGEFGDGVDIVQWVRKMTDSNKEGVLKILDPRLPTVPLHEAMHIFSIAMLCVEEQSVARPTMREVVQMLTELPKPSASKQEDHEDDDSIENPGEIPPPDLLSI >DRNTG_02789.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1152996:1156686:-1 gene:DRNTG_02789 transcript:DRNTG_02789.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGENYLNGSIPAGLFSLPILAQIELQDNLLTGAFPETGKSTISPNLGQISLSNNRLSGPLPPTIGNYSGVQKLLLNQNLFSGEIPPEIGRLQQLSKLDFSGNRFSGLITPAISQCKLLTFVDLSRNNLSGQIPSEITGMRILNYLNLSRNALDGTIPPSIAAMQSLTAVDFSYNNLSGLVPGTGQFSYFNSTSFVGNPNLCGPYLNPCISSNTSRSSHSHGPLSASLKLLLVLGLLLCSIAFAIAAIIKARSLKKASEARAWKLTAFQRLDFSCDDVLNCLKEENIIGKGGAGIVYKSVMPNGDQVAVKRLPAMSRGSSHDHGFSAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEVLHGKKGGHLHWDTRYKIAVEAAKGLCYLHHDCSPLILHRDVKSNNILLDSNFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVSGRKPVGEFGDGVDIVQWVRKMTDSNKEGVLKILDPRLPTVPLHEAMHIFSIAMLCVEEQSVARPTMREVVQMLTELPKPSASKQEDHEDDDSIENPGEIPPPDLLSI >DRNTG_02789.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1152634:1156583:-1 gene:DRNTG_02789 transcript:DRNTG_02789.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGENYLNGSIPAGLFSLPILAQIELQDNLLTGAFPETGKSTISPNLGQISLSNNRLSGPLPPTIGNYSGVQKLLLNQNLFSGEIPPEIGRLQQLSKLDFSGNRFSGLITPAISQCKLLTFVDLSRNNLSGQIPSEITGMRILNYLNLSRNALDGTIPPSIAAMQSLTAVDFSYNNLSGLVPGTGQFSYFNSTSFVGNPNLCGPYLNPCISSNTSRSSHSHGPLSASLKLLLVLGLLLCSIAFAIAAIIKARSLKKASEARAWKLTAFQRLDFSCDDVLNCLKEENIIGKGGAGIVYKSVMPNGDQVAVKRLPAMSRGSSHDHGFSAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEVLHGKKGGHLHWDTRYKIAVEAAKGLCYLHHDCSPLILHRDVKSNNILLDSNFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVSGRKPVGEFGDGVDIVQWVRKMTDSNKEGVLKILDPRLPTVPLHEAMHIFSIAMLCVEEQSVARPTMREVVQMLTELPKPSASKQEDHEDDDSIENPGEIPPPDLLSI >DRNTG_02789.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1152634:1156686:-1 gene:DRNTG_02789 transcript:DRNTG_02789.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENYLNGSIPAGLFSLPILAQIELQDNLLTGAFPETGKSTISPNLGQISLSNNRLSGPLPPTIGNYSGVQKLLLNQNLFSGEIPPEIGRLQQLSKLDFSGNRFSGLITPAISQCKLLTFVDLSRNNLSGQIPSEITGMRILNYLNLSRNALDGTIPPSIAAMQSLTAVDFSYNNLSGLVPGTGQFSYFNSTSFVGNPNLCGPYLNPCISSNTSRSSHSHGPLSASLKLLLVLGLLLCSIAFAIAAIIKARSLKKASEARAWKLTAFQRLDFSCDDVLNCLKEENIIGKGGAGIVYKSVMPNGDQVAVKRLPAMSRGSSHDHGFSAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEVLHGKKGGHLHWDTRYKIAVEAAKGLCYLHHDCSPLILHRDVKSNNILLDSNFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVSGRKPVGEFGDGVDIVQWVRKMTDSNKEGVLKILDPRLPTVPLHEAMHIFSIAMLCVEEQSVARPTMREVVQMLTELPKPSASKQEDHEDDDSIENPGEIPPPDLLSI >DRNTG_01004.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12842345:12845143:1 gene:DRNTG_01004 transcript:DRNTG_01004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFKDSFYTINSGLPIFLGQLPIEPLQCIELMVKCEDISRGQDARMCANLRVFKLAQWFGGAQRQSHSSTVHSRLKKRILENPHGRVEIIHAHAEIPQARVANPQGCVDALFQPQFSPDFRILFSIFSPTFKRAAARVLRGICKGVGVVLRLRHRAPLGRRLLGELSSVSIRRGVP >DRNTG_19414.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12068236:12069210:1 gene:DRNTG_19414 transcript:DRNTG_19414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFQQSMAIPWVCLLSTDGSVEDADGLQKEIEIAIVNYEVEVFLYAAFACFLLKLVHIFVP >DRNTG_06343.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24145375:24146017:-1 gene:DRNTG_06343 transcript:DRNTG_06343.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKPSLFARVATLRSGDIALITVISSFAQATTPRRRDIRTVIIAICSKFCEKRRAEAARILEKHRDRIAVRHYMNLIELQSFFIYIIL >DRNTG_06343.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24144882:24146017:-1 gene:DRNTG_06343 transcript:DRNTG_06343.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKPSLFARVATLRSGDIALITVISSFAQATTPRRRDIRTVIIAICSKFCEKRRAEAARILEKHRDRIAVRHYMNLIELQSFFIYIIL >DRNTG_06343.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24145375:24146108:-1 gene:DRNTG_06343 transcript:DRNTG_06343.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKPSLFARVATLRSGDIALITVISSFAQATTPRRRDIRTVIIAICSKFCEKRRAEAARILEKHRDRIAVRHYMNLIELQSFFIYIIL >DRNTG_02319.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:659542:661202:-1 gene:DRNTG_02319 transcript:DRNTG_02319.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphotransfer protein, Cytokinin signaling and stress respons [Source: Projected from Oryza sativa (Os09g0567400)] MLQEGLLDEQFTQLQTLQDETSPGFVAEVIAMFCGDTGTVFNEIETIMNQAVIDFQNVDPLVHRLKGSSCTVGAPKMKLACVQYRQFRDANDRQGCLSAVNLIKDEYNILRNKFETMLNLERMIQAGGTNKPNGK >DRNTG_19978.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:810634:816826:1 gene:DRNTG_19978 transcript:DRNTG_19978.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEGEESDYESDPEETLRPVAMRRREASDDEEGEGSDAGERVTRRVVGSDGESDGEGGAPVYEDDDEGEFGSEEEEEEEEELEGAGEEELGEEAGVEDGANGVVGEGRSSAETAQETGGESLEYRRNDQVEGEEGGEGEEGEAEGGEDEKKASEPFAVPKAGAFYMHDDRFQENGRGRHRRLYAGRKLWESKDERAWVHDRFEEMNLQDDEEKRMPRGRFRGRGSRRTRGAGRGYVREKKPQAFNDENNQNRAFRTVRGRGPRRYDTLLKSDSTNHRIQYKQAAKPQEQTVKTGAGRQMLHSSNAQSEPVIPNKQVFASSLSSASPPFYPSGSSSQDIPIAHKRDGQLGTSSKTLSSPMHLHENFSAPQPGSIPRGKATMESLNHDRLYMDDSNRLVGKPLANSHLSGSSLPLISAAQSSHSVVQGRGLTISAPLNKHPMSSINQAARVATQTQSSNFLQRPGSMPDQPALRVSTQQLGSRPSSGSQTSSVSQTLPGLSEVGETESPLGASKSKTALVAKGKTGNQGALLYNGNQVIGGSGAMGLVHGDQGFPGTPALLPVMQFGSQHHGGIPAVGMALPGYVAQPQLGFGNSEMTWVPLLAGASGALGASYGSPYIALDSTYFTRSSGQSSSVASRESSVNKPADTWKQPEGSETVSDEYGQRQKNKPRRYSEMSFGQ >DRNTG_19978.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:810634:816826:1 gene:DRNTG_19978 transcript:DRNTG_19978.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEGEESDYESDPEETLRPVAMRRREASDDEEGEGSDAGERVTRRVVGSDGESDGEGGAPVYEDDDEGEFGSEEEEEEEEELEGAGEEELGEEAGVEDGANGVVGEGRSSAETAQETGGESLEYRRNDQVEGEEGGEGEEGEAEGGEDEKKASEPFAVPKAGAFYMHDDRFQENGRGRHRRLYAGRKLWESKDERAWVHDRFEEMNLQDDEEKRMPRGRFRGRGSRRTRGAGRGYVREKKPQAFNDENNQNRAFRTVRGRGPRRYDTLLKSDSTNHRIQYKQAAKPQEQTVKTGAGRQMLHSSNAQSEPVIPNKQVFASSLSSASPPFYPSGSSSQDIPIAHKRDGQLGTSSKTLSSPMHLHENFSAPQPGSIPRGKATMESLNHDRLYMDDSNRLVGKPLANSHLSGSSLPLISAAQSSHSVVQGRGLTISAPLNKHPMSSINQAARVATQTQSSNFLQRPGSMPDQPALRVSTQQLGSRPSSGSQTSSVSQTLPGLSEVGETESPLGASKSKTALVAKGKTGNQGALLYNGNQVIGGSGAMGLVHGDQGFPGTPALLPVMQFGSQHHGGIPAVGMALPGYVAQPQLGFGNSEMTWVPLLAGASGALGASYGSPYIALDSTYFTRSSGQSSSVASRESSVNKPADTWKQPEGSETVSDEYGQRQKNKPRRYSEMSFGQ >DRNTG_16875.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29856005:29864599:-1 gene:DRNTG_16875 transcript:DRNTG_16875.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLHIPSRHPSSFIELDLPTLLQSAALMAIGFLYEGSAHPLTLKILLGEIGRRSSGDNVLEREGYAVAAGSALGLVALGRGKDAFGFMDTFVDRLFQYIGGKEVYHDRYFGSGQSASEHNRIAGQMMDSAQINVDVTAPGATMALALIFLKTESEAVASRLSIPMTHFDLQYVRPDFIMLRIIARNLIMWSRICPSGDWIDCQIPEIVKDGIAKLTDDAKDSDEIDVEALVQAYVNIVAGACIALGLKFAGTKNSDAQELLYSYARYFLNEIKHLSLISGSAFPKGISAHVDRGTLEICLHLIVLSLSVVMAGSGHLPTFRLLRYLRSRNSAEGHINFGIQMTVSLAIGFLFLGGGTQTFSTENSAVAALLITLYPRLPTGPNDNRCHLQAFRHLYVIAAESRWVQTVDVDTGLPVYAPLEVTITETDNYSETSFCEITPCILPERSMLKNVRVCGPRYWPQVIHLVPEDKPWWRSGDKTDPFNGGVLYIKRKVGSCSYIDDPVGCQSLLSRVSDTSHLSSFFTKICSNNEPGSFKVDHLVSTFSADPSLIAFAQLCSDSSWKTNTDRDFQEFCSQVLFECVSKDRPALLQLYLSFYTTVGSMWEQVLNGHLVFHDSLFLSSLKLALAYNDALVSGQLTSTSGGIVQPTFVESIKKRIEEILTSPYMFKDHFHKYLSLGKWPEEQHSGSKMNAILLSWYLCWFGVPPPHIVRSALVKIRSKVPCSSSLAPFLRMLLPSTHTKAITEIDQWQGLLEGGVSG >DRNTG_16875.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29856005:29863508:-1 gene:DRNTG_16875 transcript:DRNTG_16875.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGFLYEGSAHPLTLKILLGEIGRRSSGDNVLEREGYAVAAGSALGLVALGRGKDAFGFMDTFVDRLFQYIGGKEVYHDRYFGSGQSASEHNRIAGQMMDSAQINVDVTAPGATMALALIFLKTESEAVASRLSIPMTHFDLQYVRPDFIMLRIIARNLIMWSRICPSGDWIDCQIPEIVKDGIAKLTDDAKDSDEIDVEALVQAYVNIVAGACIALGLKFAGTKNSDAQELLYSYARYFLNEIKHLSLISGSAFPKGISAHVDRGTLEICLHLIVLSLSVVMAGSGHLPTFRLLRYLRSRNSAEGHINFGIQMTVSLAIGFLFLGGGTQTFSTENSAVAALLITLYPRLPTGPNDNRCHLQAFRHLYVIAAESRWVQTVDVDTGLPVYAPLEVTITETDNYSETSFCEITPCILPERSMLKNVRVCGPRYWPQVIHLVPEDKPWWRSGDKTDPFNGGVLYIKRKVGSCSYIDDPVGCQSLLSRVSDTSHLSSFFTKICSNNEPGSFKVDHLVSTFSADPSLIAFAQLCSDSSWKTNTDRDFQEFCSQVLFECVSKDRPALLQLYLSFYTTVGSMWEQVLNGHLVFHDSLFLSSLKLALAYNDALVSGQLTSTSGGIVQPTFVESIKKRIEEILTSPYMFKDHFHKYLSLGKWPEEQHSGSKMNAILLSWYLCWFGVPPPHIVRSALVKIRSKVPCSSSLAPFLRMLLPSTHTKAITEIDQWQGLLEGGVSG >DRNTG_16875.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29856005:29858958:-1 gene:DRNTG_16875 transcript:DRNTG_16875.11 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNVRVCGPRYWPQVIHLVPEDKPWWRSGDKTDPFNGGVLYIKRKVGSCSYIDDPVGCQSLLSRVSDTSHLSSFFTKICSNNEPGSFKVDHLVSTFSADPSLIAFAQLCSDSSWKTNTDRDFQEFCSQVLFECVSKDRPALLQLYLSFYTTVGSMWEQVLNGHLVFHDSLFLSSLKLALAYNDALVSGQLTSTSGGIVQPTFVESIKKRIEEILTSPYMFKDHFHKYLSLGKWPEEQHSGSKMNAILLSWYLCWFGVPPPHIVRSALVKIRSKVPCSSSLAPFLRMLLPSTHTKAITEIDQWQGLLEGGVSG >DRNTG_16875.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29852517:29878061:-1 gene:DRNTG_16875 transcript:DRNTG_16875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLTCLVLAPFVDSEWDSFTSSVMKICKRYFSSSTTSATTTSDTAWSHLIHSKFHKDYCKRTSISGISSVAMPFPGGSDYFSANNIGKEQNRDSLFYAKLIRETLDSLHALYENLKLVSLRKRDVGLLAVLLCNIAAALGEASFADYYIRDFPCILGEIGSYSCTVSPKSPPNLFRWLESCLKHGCHSVDTSDIPPLVFSDKICAVGWARKIICFYSLLLGAERVGRKLSTGVYCDLAKGSIRTKEEVTVLAMVAEKFGRQQLDILPVGVSLPLRHALDKCRESPPPDWPAAAYVLIGREDLAMSRFSSSGQAKRDESNNSADFISNSVPYMLHLRPVTLPSSISDVLKLNTVNFEDTDTLDKSIEDGMEHIFNSSTQLRYGRDMRLNEVRRLLCSARPVAIQTAVSPSASDQDFQQHQLWNLAQRTTSLPFGRGAFTLATTYTLLTETLSFPKLSLAGRLPAQQNATVSLDPNVRNIPELRSWPEFHNGVAAGLRLAPFQGKMSRTWIQYNKPEEPNVTHAGLLLALGLHEHLRVLLITDVYKYLAQEHDITTVGILLGMAASYRGTMHPAVSKMLFLHIPSRHPSSFIELDLPTLLQSAALMAIGFLYEGSAHPLTLKILLGEIGRRSSGDNVLEREGYAVAAGSALGLVALGRGKDAFGFMDTFVDRLFQYIGGKEVYHDRYFGSGQSASEHNRIAGQMMDSAQINVDVTAPGATMALALIFLKTESEAVASRLSIPMTHFDLQYVRPDFIMLRIIARNLIMWSRICPSGDWIDCQIPEIVKDGIAKLTDDAKDSDEIDVEALVQAYVNIVAGACIALGLKFAGTKNSDAQELLYSYARYFLNEIKHLSLISGSAFPKGISAHVDRGTLEICLHLIVLSLSVVMAGSGHLPTFRLLRYLRSRNSAEGHINFGIQMTVSLAIGFLFLGGGTQTFSTENSAVAALLITLYPRLPTGPNDNRCHLQAFRHLYVIAAESRWVQTVDVDTGLPVYAPLEVTITETDNYSETSFCEITPCILPERSMLKNVRVCGPRYWPQVIHLVPEDKPWWRSGDKTDPFNGGVLYIKRKVGSCSYIDDPVGCQSLLSRVSDTSHLSSFFTKICSNNEPGSFKVDHLVSTFSADPSLIAFAQLCSDSSWKTNTDRDFQEFCSQVLFECVSKDRPALLQLYLSFYTTVGSMWEQVLNGHLVFHDSLFLSSLKLALAYNDALVSGQLTSTSGGIVQPTFVESIKKRIEEILTSPYMFKDHFHKYLSLGKWPEEQHSGSKMNAILLSWYLCWFGVPPPHIVRSALVKIRSKVPCSSSLAPFLRMLLPSTHTKAITEIDQWQGLLEGGVSG >DRNTG_16875.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29856005:29870963:-1 gene:DRNTG_16875 transcript:DRNTG_16875.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLTCLVLAPFVDSEWDSFTSSVMKICKRYFSSSTTSATTTSDTAWSHLIHSKFHKDYCKRTSISGISSVAMPFPGGSDYFSANNIGKEQNRDSLFYAKLIRETLDSLHALYENLKLVSLRKRDVGLLAVLLCNIAAALGEASFADYYIRDFPCILGEIGSYSCTVSPKSPPNLFRWLESCLKHGCHSVDTSDIPPLVFSDKICAVGWARKIICFYSLLLGAERVGRKLSTGVYCDLAKGSIRTKEEVTVLAMVAEKFGRQQLDILPVGVSLPLRHALDKCRESPPPDWPAAAYVLIGREDLAMSRFSSSGQAKRDESNNSADFISNSVPYMLHLRPVTLPSSISDVLKLNTVNFEDTDTLDKSIEDGMEHIFNSSTQLRYGRDMRLNEVRRLLCSARPVAIQTAVSPSASDQDFQQHQLWNLAQRTTSLPFGRGAFTLATTYTLLTETLSFPKLSLAGRLPAQQNATVSLDPNVRNIPELRSWPEFHNGVAAGLRLAPFQGKMSRTWIQYNKPEEPNVTHAGLLLALGLHEHLRVLLITDVYKYLAQEHDITTVGILLGMAASYRGTMHPAVSKMLFLHIPSRHPSSFIELDLPTLLQSAALMAIGFLYEGSAHPLTLKILLGEIGRRSSGDNVLEREGYAVAAGSALGLVALGRGKDAFGFMDTFVDRLFQYIGGKEVYHDRYFGSGQSASEHNRIAGQMMDSAQINVDVTAPGATMALALIFLKTESEAVASRLSIPMTHFDLQYVRPDFIMLRIIARNLIMWSRICPSGDWIDCQIPEIVKDGIAKLTDDAKDSDEIDVEALVQAYVNIVAGACIALGLKFAGTKNSDAQELLYSYARYFLNEIKHLSLISGSAFPKGISAHVDRGTLEICLHLIVLSLSVVMAGSGHLPTFRLLRYLRSRNSAEGHINFGIQMTVSLAIGFLFLGGGTQTFSTENSAVAALLITLYPRLPTGPNDNRCHLQAFRHLYVIAAESRWVQTVDVDTGLPVYAPLEVTITETDNYSETSFCEITPCILPERSMLKNVRVCGPRYWPQVIHLVPEDKPWWRSGDKTDPFNGGVLYIKRKVGSCSYIDDPVGCQSLLSRVSDTSHLSSFFTKICSNNEPGSFKVDHLVSTFSADPSLIAFAQLCSDSSWKTNTDRDFQEFCSQVLFECVSKDRPALLQLYLSFYTTVGSMWEQVLNGHLVFHDSLFLSSLKLALAYNDALVSGQLTSTSGGIVQPTFVESIKKRIEEILTSPYMFKDHFHKYLSLGKWPEEQHSGSKMNAILLSWYLCWFGVPPPHIVRSALVKIRSKVPCSSSLAPFLRMLLPSTHTKAITEIDQWQGLLEGGVSG >DRNTG_16875.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29852517:29859947:-1 gene:DRNTG_16875 transcript:DRNTG_16875.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGHLPTFRLLRYLRSRNSAEGHINFGIQMTVSLAIGFLFLGGGTQTFSTENSAVAALLITLYPRLPTGPNDNRCHLQAFRHLYVIAAESRWVQTVDVDTGLPVYAPLEVTITETDNYSETSFCEITPCILPERSMLKNVRVCGPRYWPQVIHLVPEDKPWWRSGDKTDPFNGGVLYIKRKVGSCSYIDDPVGCQSLLSRVSDTSHLSSFFTKICSNNEPGSFKVDHLVSTFSADPSLIAFAQLCSDSSWKTNTDRDFQEFCSQVLFECVSKDRPALLQLYLSFYTTVGSMWEQVLNGHLVFHDSLFLSSLKLALAYNDALVSGQLTSTSGGIVQPTFVESIKKRIEEILTSPYMFKDHFHKYLSLGKWPEEQHSGSKMNAILLSWYLCWFGVPPPHIVRSALVKIRSKVPCSSSLAPFLRMLLPSTHTKAITEIDQWQGLLEGGVSG >DRNTG_16875.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29854905:29858524:-1 gene:DRNTG_16875 transcript:DRNTG_16875.7 gene_biotype:protein_coding transcript_biotype:protein_coding MWEQVLNGHLVFHDSLFLSSLKLALAYNDALVSGQLTSTSGGIVQPTFVESIKKRIEEILTSPYMFKDHFHKYLSLGKWPEEQHSGSKMNAILLSWYLCWFGVPPPHIVRSALVKIRSKVPCSSSLAPFLRMLLPSTHTKAITEIDQWQGLLEGGVSG >DRNTG_31788.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14975823:14977345:1 gene:DRNTG_31788 transcript:DRNTG_31788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIVLRLDLHDNKEKQKAMKAVSTLSGIDSLTMDMKEGKMTVVGIVDPVNVVSKLRKTWNAQILSIGPVKEPEKKQEGEKKEEPKKEEPKKEEPKKEEAESKKEEPENKKEEAEKKKEEPEKKEPPSEQQQMISELVNAYKAYHQFMSTHSHNQYVPATHSYNQYMPPTQYVPPAQYMPTHSYVHHSAEENPNSCVIC >DRNTG_22396.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16802660:16804217:1 gene:DRNTG_22396 transcript:DRNTG_22396.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKELSLQASFIDSSQNSISSNPRLAGDSSGRHVIPPRFTRIEFPKFSGENLKNCLHKCEHSSVV >DRNTG_22396.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16804924:16816988:1 gene:DRNTG_22396 transcript:DRNTG_22396.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPRKPSNQLLKPSEQEENRIDEGEEIEID >DRNTG_22396.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16802660:16816988:1 gene:DRNTG_22396 transcript:DRNTG_22396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPRKPSNQLLKPSEQEENRIDEGEEIEID >DRNTG_00497.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30563555:30564323:1 gene:DRNTG_00497 transcript:DRNTG_00497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRSRPSSSSRITDEQIIDLISKLQTLLPEAHTRNNDRVPASKVLQDTCNYIRSLHQEVDDLSERLSELLATTGTNSAQAAIIRSLFM >DRNTG_04393.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1175852:1179869:1 gene:DRNTG_04393 transcript:DRNTG_04393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHGPCCHCGVTSTPLWRNGPPEKPILCNACGSRWRTKGSLTNYTPMHARELLGSVEVKVSKVRSVQFKTKEQKLQKKNQTDGLVEIEREMPYCDQNFKKILEEDTSNRSSSGSAISFTEHFGTTEASDFTGSVQSNVWESLVPSKKRTCIARPKPSAVEKLTKDLYSIWHEQQSSYLSGSSEEDLLYESETPFGSDEIGHGGVLIRNPNSKITEEESEASSISTDNKLYFLNEAYSGLASFPMHSQNKVTSSSHVIRPQKSTVQILEDHAKRDKPSDEILQIVRNKESPLRSTDLRDVLSFEVFMTHLTREEQQKLMRYLPPIDTTNLPESLKSLFCGSQFVETCSYYQQLLAEGVFDLSFVGVDVEECRILKRLVLLDLTKAKWVERYKGLKEIKCKTKKEEVGGIETMKEPCLSGYSSMTPLKRLSDNQSNKIQEMKGAMRSPKRVCKSNGTNFSSGKPPLKNHGSISKAGPNMDEFVDNEASCFNVRNIFVSPADRSSMLGSLQFTDDIDSSVSDQDLLLDAPTNAPMMEAELLCQPWKLKT >DRNTG_27130.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19117276:19120370:1 gene:DRNTG_27130 transcript:DRNTG_27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSASPGTLNPSLVKVLLAVMALSIATYILGPPLYWHLAEALGSSSSSCPTCSCDCSSQPLLSLPEELGNVSFTDCAKRDPEVSEEMEKNFTDLLLEELKLKEQEATEAQRRSELMLLEAKKMASQYQKEADKCSSGMDTCEAARERAEATLIEQRKLTAMWEMRARQRGWKQS >DRNTG_01746.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4293523:4297068:1 gene:DRNTG_01746 transcript:DRNTG_01746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLEGDHQTPSSSSSQLHHYPSFSSPSLPLHHEHYYYYPSASMDINPNPNPNPNQEQELPLHCINEWAGLLSSNQIKNISEAEINDNVMESDHVQGEEGDPINYNNNNNNGGGCEDYKGKMIMEKKKKKRKSRPRFAFHTKSDDNILDDGYRWRKYGQKAVKNSIYPRSYYRCTQHTCNVKKQVQRHSRDKSIVVTTYEGVHNHPCEKLMETLSPLLKQIQFLSHF >DRNTG_29997.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6530855:6534274:-1 gene:DRNTG_29997 transcript:DRNTG_29997.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFNVCKQRSSHSTTMAVLESVEKYSWGMKAVIVLVAFAMSYGQFWLVIQLYDDNPLALCLAMLKGIPNKTELSSVFENCSKPLTYLFEKMVDLTKCMLDFEILPVQYTGIDYEATALMKTQIHLSSYWVMRSAVACTSQITSTIYEQAQKFCKFSLGALELGT >DRNTG_29997.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6530855:6534274:-1 gene:DRNTG_29997 transcript:DRNTG_29997.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNSSFMHLTPFSHEDILIRKILQTHMPDGRLFDAAPFLDVALSIVRHISKFSVVNSQINAFPVEKEHVVEIGMDNREIGCIINHIACEIMFNVCKQRSSHSTTMAVLESVEKYSWGMKAVIVLVAFAMSYGQFWLVIQLYDDNPLALCLAMLKGIPNKTELSSVFENCSKPLTYLFEKMVDLTKCMLDFEILPVQYTGIDYEATALMKTQIHLSSYWVMRSAVACTSQITSTIYEHKNSVSSVWELWSLAHRISCIHYHLKKEFDSFQLKIEKKIKMNLIHLLGEVHDDNQKVLSSLFALKKDFPLKSGSSKDKVGVDVLRNKEVILFISRPDISLEKILFILQQLQYDSWRENRAYDIVWVPIAKVIPWSHREKNIFIISRVVAMVPTI >DRNTG_29997.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6530855:6534274:-1 gene:DRNTG_29997 transcript:DRNTG_29997.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFCGNVDKISFKIKILVYWKFITHMPDGRLFDAAPFLDVALSIVRHISKFSVVNSQINAFPVEKEHVVEIGMDNREIGCIINHIACEIMFNVCKQRSSHSTTMAVLESVEKYSWGMKAVIVLVAFAMSYGQFWLVIQLYDDNPLALCLAMLKGIPNKTELSSVFENCSKPLTYLFEKMVDLTKCMLDFEILPVQYTGIDYEATALMKTQIHLSSYWAQKFCKFSLGALELGT >DRNTG_29997.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6530470:6532103:-1 gene:DRNTG_29997 transcript:DRNTG_29997.10 gene_biotype:protein_coding transcript_biotype:protein_coding MILGVRIEHMILFGFQLQRSFHGLIEKKTFSSLVELWPWYLLYEPTALSLSVIEFIKDVWQFHGDPVMVVLDFKGKVTSLNAIDMISIWHDLAYPFSVAREKELWVEQSWTMELLIDNIDPLIMYWVRFLFILFIYLIFFYYADIWLSYTSYKDSRK >DRNTG_29997.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6530855:6534274:-1 gene:DRNTG_29997 transcript:DRNTG_29997.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFNVCKQRSSHSTTMAVLESVEKYSWGMKAVIVLVAFAMSYGQFWLVIQLYDDNPLALCLAMLKGIPNKTELSSVFENCSKPLTYLFEKMVDLTKCMLDFEILPVQYTGIDYEATALMKTQIHLSSYWAQKFCKFSLGALELGT >DRNTG_29997.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6530855:6534274:-1 gene:DRNTG_29997 transcript:DRNTG_29997.7 gene_biotype:protein_coding transcript_biotype:protein_coding MFNVCKQRSSHSTTMAVLESVEKYSWGMKAVIVLVAFAMSYGQFWLVIQLYDDNPLALCLAMLKGIPNKTELSSVFENCSKPLTYLFEKMVDLTKCMLDFEILPVQYTGIDYEATALMKTQIHLSSYWVMRSAVACTSQITSTIYEQVHVLYFLLACSIY >DRNTG_29997.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6530855:6533946:-1 gene:DRNTG_29997 transcript:DRNTG_29997.9 gene_biotype:protein_coding transcript_biotype:protein_coding MFNVCKQRSSHSTTMAVLESVEKYSWGMKAVIVLVAFAMSYGQFWLVIQLYDDNPLALCLAMLKGIPNKTELSSVFENCSKPLTYLFEKMVDLTKCMLDFEILPVQYTGIDYEATALMKTQIHLSSYWAQKFCKFSLGALELGT >DRNTG_29997.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6530855:6533946:-1 gene:DRNTG_29997 transcript:DRNTG_29997.8 gene_biotype:protein_coding transcript_biotype:protein_coding MFNVCKQRSSHSTTMAVLESVEKYSWGMKAVIVLVAFAMSYGQFWLVIQLYDDNPLALCLAMLKGIPNKTELSSVFENCSKPLTYLFEKMVDLTKCMLDFEILPVQYTGIDYEATALMKTQIHLSSYWVMRSAVACTSQITSTIYEQVHVLYFLLACSIY >DRNTG_29997.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6530855:6534274:-1 gene:DRNTG_29997 transcript:DRNTG_29997.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNSSFMHLTPFSHEDILIRKILQTHMPDGRLFDAAPFLDVALSIVRHISKFSVVNSQINAFPVEKEHVVEIGMDNREIGCIINHIACEIMFNVCKQRSSHSTTMAVLESVEKYSWGMKAVIVLVAFAMSYGQFWLVIQLYDDNPLALCLAMLKGIPNKTELSSVFENCSKPLTYLFEKMVDLTKCMLDFEILPVQYTGIDYEATALMKTQIHLSSYWAQKFCKFSLGALELGT >DRNTG_29997.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6530855:6534274:-1 gene:DRNTG_29997 transcript:DRNTG_29997.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNSSFMHLTPFSHEDILIRKILQTHMPDGRLFDAAPFLDVALSIVRHISKFSVVNSQINAFPVEKEHVVEIGMDNREIGCIINHIACEIMFNVCKQRSSHSTTMAVLESVEKYSWGMKAVIVLVAFAMSYGQFWLVIQLYDDNPLALCLAMLKGIPNKTELSSVFENCSKPLTYLFEKMVDLTKCMLDFEILPVQYTGIDYEATALMKTQIHLSSYWAQKFCKFSLGALELGT >DRNTG_29997.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6530855:6532103:-1 gene:DRNTG_29997 transcript:DRNTG_29997.11 gene_biotype:protein_coding transcript_biotype:protein_coding MILGVRIEHMILFGFQLQRSFHGLIEKKTFSSLVELWPWYLLYEPTALSLSVIEFIKDVWQFHGDPVMVVLDFKGKVTSLNAIDMISIWHDLAYPFSVAREKELWVEQSWTMELLIDNIDPLIMY >DRNTG_35236.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18063732:18065697:-1 gene:DRNTG_35236 transcript:DRNTG_35236.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDARTMRRRYGSPERPRPWVEPPLKLLPGMKIPVVYYLCRNRHLEHPHFMEVPLSSTDGLYLRDVIDRLNALRGKGMAGMYSWSCKRSYKNGFVWHDLLEEDLVVPVHGNEYVLKGSELLDRSPGVNDGGGNTRDAQVVEPSPSPPSSSSSPPSVVIKEAKSPPSEVKLGVCKSAGVQDAATQTDNGDFVSLKPKRCPEIEEEVSPPPPSTSSSGGKTGTLESLIRAEARMNGFRFLDDDQVLVSARTRLKATSVFMHLITCGSISVKDQHNFGLVQSYKPGFSRAKFASPQQLDCFSDNPSLMALRLEDKEYFSGSLVETKRHTVRAGDGVPTLKRSSSYNADSAAEERVGVALNLED >DRNTG_35236.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18062053:18065697:-1 gene:DRNTG_35236 transcript:DRNTG_35236.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDARTMRRRYGSPERPRPWVEPPLKLLPGMKIPVVYYLCRNRHLEHPHFMEVPLSSTDGLYLRDVIDRLNALRGKGMAGMYSWSCKRSYKNGFVWHDLLEEDLVVPVHGNEYVLKGSELLDRSPGVNDGGGNTRDAQVVEPSPSPPSSSSSPPSVVIKEAKSPPSEVKLGVCKSAGVQDAATQTDNGDFVSLKPKRCPEIEEEVSPPPPSTSSSGGKTGTLESLIRAEARMNGFRFLDDDQVLVSARTRLKATSVFMHLITCGSISVKDQHNFGLVQSYKPGFSRAKFASPQQLDCFSDNPSLMALRLEDKEYFSGSLVETKRHTVRAGDGVPTLKRSSSYNADRTCKSLDTRKERNKVVDSSRSNCLPRTIKVTSNKQSRNETMRSPISNATGMSSAVLDCGKASPNCSSRGGSKRITDYASSLKGSSSRFESFREDKEKVIVIEESLLQELGL >DRNTG_35236.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18062053:18065697:-1 gene:DRNTG_35236 transcript:DRNTG_35236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARTMRRRYGSPERPRPWVEPPLKLLPGMKIPVVYYLCRNRHLEHPHFMEVPLSSTDGLYLRDVIDRLNALRGKGMAGMYSWSCKRSYKNGFVWHDLLEEDLVVPVHGNEYVLKGSELLDRSPGVNDGGGNTRDAQVVEPSPSPPSSSSSPPSVVIKEAKSPPSEVKLGVCKSAGVQDAATQTDNGDFVSLKPKRCPEIEEEVSPPPPSTSSSGGKTGTLESLIRAEARMNGFRFLDDDQVLVSARTRLKATSVFMHLITCGSISVKDQHNFGLVQSYKPGFSRAKFASPQQLDCFSDNPSLMALRLEDKEYFSGSLVETKRHTVRAGDGVPTLKRSSSYNADRTCKSLDTRKERNKVVDSSRSNCLPRTIKVTSNKQSRNETMRSPISNATGMSSAVLDCGKASPNCSSRGGSKRITDYASSLKGSSSRFESFREDKEKVIVIEES >DRNTG_35236.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18062053:18065697:-1 gene:DRNTG_35236 transcript:DRNTG_35236.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDARTMRRRYGSPERPRPWVEPPLKLLPGMKIPVVYYLCRNRHLEHPHFMEVPLSSTDGLYLRDVIDRLNALRGKGMAGMYSWSCKRSYKNGFVWHDLLEEDLVVPVHGNEYVLKGSELLDRSPGVNDGGGNTRDAQVVEPSPSPPSSSSSPPSVVIKEAKSPPSEVKLGVCKSAGVQDAATQTDNGDFVSLKPKRCPEIEEEVSPPPPSTSSSGGKTGTLESLIRAEARMNGFRFLDDDQVLVSARTRLKATSVFMHLITCGSISVKDQHNFGLVQSYKPGFSRAKFASPQQLDCFSDNPSLMALRLEDKEYFSGSLVETKRHTVRAGDGVPTLKRSSSYNADRTCKSLDTRKERNKVVDSSRSNCLPRTIKVTSNKQSRNETMRSPISNATGMSSAVLDCGKASPNCSSRGGSKRITDYASSLKGSSSRFESFREDKEKAYFRSSGYNTINSAI >DRNTG_27563.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3113478:3114192:1 gene:DRNTG_27563 transcript:DRNTG_27563.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCO2 [Source:Projected from Arabidopsis thaliana (AT3G19220) UniProtKB/TrEMBL;Acc:A0A178VCM9] MSLTHPNLILAIPPKPKLPPSLILFPPSRLRCRAASEASGAASTPDWFSPKENRAPGVRANANAGDESKNNNGKKKKWWWWSRNRESYLANDSDALPLPMTFPGTSPVSPEEIDRRLQCDPEIEDCKEVVYEWTGKCRSCQGTGFVSYYSKKGRETICKCVPCMGIGNVSCFL >DRNTG_27563.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3113478:3121174:1 gene:DRNTG_27563 transcript:DRNTG_27563.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCO2 [Source:Projected from Arabidopsis thaliana (AT3G19220) UniProtKB/TrEMBL;Acc:A0A178VCM9] MSLTHPNLILAIPPKPKLPPSLILFPPSRLRCRAASEASGAASTPDWFSPKENRAPGVRANANAGDESKNNNGKKKKWWWWSRNRESYLANDSDALPLPMTFPGTSPVSPEEIDRRLQCDPEIEDCKEVVYEWTGKCRSCQGTGFVSYYSKKGRETICKCVPCMGIGYVQKITTRKDIDVMEDLDNG >DRNTG_14868.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2860233:2861354:1 gene:DRNTG_14868 transcript:DRNTG_14868.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable carotenoid cleavage dioxygenase 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19170) UniProtKB/Swiss-Prot;Acc:O49675] MSVTQGTGLANTSLSFFAGRLYALGESDLPYSLHLSTTDGDISTLGREDFSGKLFMGMTAHPKKDPTTGELFAFRYGPIPPFLTYFRFDKDGNKLADVPIFSVREPSFLHDFAITENYAIFPDIQIVMKPMDMLLRGGAPVGSNRAKVPRLGLLPRYSTSDSDMRWFEVPGFNIIHALNAWEEDDGDTVVLVAANVLSIEHALDRMDLVHTCVELVRIDLRTGDVSRKPLAVDNLEFGVIHPGYIGKKNRYGYLGIGHPMPKISGVVKLDFSLAGTGDCVVAKREFGQGCYGGEPFFVPEHEAEEGEEDDGYLVSYVHNEISGESSFLVMDARSPELEIVAEVQLPVRVPYGFHGLFVTAGELRSQHPPQKYT >DRNTG_23884.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:79285:81464:1 gene:DRNTG_23884 transcript:DRNTG_23884.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TONSOKU [Source:Projected from Arabidopsis thaliana (AT3G18730) UniProtKB/Swiss-Prot;Acc:Q6Q4D0] MSEVPNMKLLKKLYNLEVSEDEILISDCALQDLSISPFLTALQVHRTVAVLDLSHNLLGNETMERLQQIFALSSQKYGSLILDLHCNRFGPTALFQICECPVIVARLEVLNLSQNRLTDACSSYLCAILENCKALYNLNIEACSITSRTIQKIADTLHEGLVLSHLSIGKNYPISGNAMANLLVKLASLKRFSELSLAGIKLNKAMVDGLCELARSSSMSSLSLGGTQIGV >DRNTG_23884.9.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:82056:82683:1 gene:DRNTG_23884 transcript:DRNTG_23884.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TONSOKU [Source:Projected from Arabidopsis thaliana (AT3G18730) UniProtKB/Swiss-Prot;Acc:Q6Q4D0] MNTEAEALEVADSEDEDMKRPAFSGQDGSCASSCQKNSFTCCQLIQDLSSAICLATQLQSLDLSKNRFSGEVIDALYTSWSSSRAGLQSQKHIVAKDHIVHFFTEGKKCCGIKPCCKRD >DRNTG_23884.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:79285:81275:1 gene:DRNTG_23884 transcript:DRNTG_23884.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TONSOKU [Source:Projected from Arabidopsis thaliana (AT3G18730) UniProtKB/Swiss-Prot;Acc:Q6Q4D0] MSEVPNMKLLKKLYNLEVSEDEILISDCALQDLSISPFLTALQVHRTVAVLDLSHNLLGNETMERLQQIFALSSQKYGSLILDLHCNRFGPTALFQICECPVIVARLEVLNLSQNRLTDACSSYLCAILENCKALYNLNIEACSITSRTIQKIADTLHEGLVLSHLSIGKNYPISGNAMANLLVKLASLKR >DRNTG_23884.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:80762:82683:1 gene:DRNTG_23884 transcript:DRNTG_23884.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TONSOKU [Source:Projected from Arabidopsis thaliana (AT3G18730) UniProtKB/Swiss-Prot;Acc:Q6Q4D0] MANLLVKLASLKRFSELSLAGIKLNKAMVDGLCELARSSSMSSLSLGGTQIGVEGAIKLTGALSSRPQELVKLDLSCCGLTSPGITQICANIASIGSVTELNLNGSSIGSECCDALAAILMDQQCSLKCLALNKCNLGLAGVVQIIQVLSGNDSLEELHLADNAAVPSERIIQFDLTPTRPKSNAAAPEPECKMNTEAEALEVADSEDEDMKRPAFSGQDGSCASSCQKNSFTCCQLIQDLSSAICLATQLQSLDLSKNRFSGEVIDALYTSWSSSRAGLQSQKHIVAKDHIVHFFTEGKKCCGIKPCCKRD >DRNTG_23884.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:81341:82683:1 gene:DRNTG_23884 transcript:DRNTG_23884.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TONSOKU [Source:Projected from Arabidopsis thaliana (AT3G18730) UniProtKB/Swiss-Prot;Acc:Q6Q4D0] MVDGLCELARSSSMSSLSLGGTQIGVEGAIKLTGALSSRPQELVKLDLSCCGLTSPGITQICANIASIGSVTELNLNGSSIGSECCDALAAILMDQQCSLKCLALNKCNLGLAGVVQIIQVLSGNDSLEELHLADNAAVPSERIIQFDLTPTRPKSNAAAPEPECKMNTEAEALEVADSEDEDMKRPAFSGQDGSCASSCQKNSFTCCQLIQDLSSAICLATQLQSLDLSKNRFSGEVIDALYTSWSSSRAGLQSQKHIVAKDHIVHFFTEGKKCCGIKPCCKRD >DRNTG_23884.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:81842:82683:1 gene:DRNTG_23884 transcript:DRNTG_23884.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TONSOKU [Source:Projected from Arabidopsis thaliana (AT3G18730) UniProtKB/Swiss-Prot;Acc:Q6Q4D0] MDQQCSLKCLALNKCNLGLAGVVQIIQVLSGNDSLEELHLADNAAVPSERIIQFDLTPTRPKSNAAAPEPECKMNTEAEALEVADSEDEDMKRPAFSGQDGSCASSCQKNSFTCCQLIQDLSSAICLATQLQSLDLSKNRFSGEVIDALYTSWSSSRAGLQSQKHIVAKDHIVHFFTEGKKCCGIKPCCKRD >DRNTG_23884.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:74215:82683:1 gene:DRNTG_23884 transcript:DRNTG_23884.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TONSOKU [Source:Projected from Arabidopsis thaliana (AT3G18730) UniProtKB/Swiss-Prot;Acc:Q6Q4D0] MAKRRDEEELNVARRGFKDAAREGNHEEEARWANVLGDIYKQRGEYVEALKWLRIDYEVSVKHLPCKQLLPTCQSLGEVYLRLDQFKDALIYQKKHLELAKDSDDLVEQQRASTQLGRTYHEMFLLSENDHHAIRNAKKYFKSAMKLVDKLRENESCKRSTVFLKEFIDAHNNIGLLELDLDNLEEAEKFLLQGLKICDEEEIPENDDARSRLHHNLGKLYLELRDWGKARVHIEKDIVICKRIGHPLGESKGFINLGELHYRIQKYGEAIRCYQKALDIAKLMEDEDALVSQINQNIKIVKEAAQVLEELERDEQELKKLMRSTCRARGTSNERKCLLEQKACLDSLIDKSSTISVWPKHREFAKRIKKVATELCDKEKLSDSYLAIGESYQKLRNFSKARKWYMKSWNIYKSIGNLEGQALAKINIGEVLDSSGDWVGALEAFEDGYRLAIEGKLLPVQLSALENMHYSHMIRFDNLEEARKLQRDIQKLRQLLKDTDALRNRGSDYCSETDTEGGEYSIYSSDSCGSPDRHKASASKIHLPNHVEEIDENAPLVSLLHGRKKNSFNAKMPQKTNNSCMPESSIRHTSKSDDNQQASGRKRPRIIVSDDESDEPIESDRSKRRAYESHVGNIVASDIDIKLEETTATNAVQDLAHHNAASKDVLSSSMPVQIEESVCSFKSKKSNSTADNDAVLPNSNRSAASGLKSDGNCAFGDFLANQKYAGFNLPEHENDQFVAFKIGDETIQLDVSSFIDGDALDVESLKVEIACVYFLQPSEEKRSKGLLPVIGQLKCCGKALCSADPIADLRHFLYEKNVGIDVIITGWVPKRLMKLYADNCQENV >DRNTG_23884.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:80981:82683:1 gene:DRNTG_23884 transcript:DRNTG_23884.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TONSOKU [Source:Projected from Arabidopsis thaliana (AT3G18730) UniProtKB/Swiss-Prot;Acc:Q6Q4D0] MANLLVKLASLKRFSELSLAGIKLNKAMVDGLCELARSSSMSSLSLGGTQIGVEGAIKLTGALSSRPQELVKLDLSCCGLTSPGITQICANIASIGSVTELNLNGSSIGSECCDALAAILMDQQCSLKCLALNKCNLGLAGVVQIIQVLSGNDSLEELHLADNAAVPSERIIQFDLTPTRPKSNAAAPEPECKMNTEAEALEVADSEDEDMKRPAFSGQDGSCASSCQKNSFTCCQLIQDLSSAICLATQLQSLDLSKNRFSGEVIDALYTSWSSSRAGLQSQKHIVAKDHIVHFFTEGKKCCGIKPCCKRD >DRNTG_23884.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:81479:82683:1 gene:DRNTG_23884 transcript:DRNTG_23884.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TONSOKU [Source:Projected from Arabidopsis thaliana (AT3G18730) UniProtKB/Swiss-Prot;Acc:Q6Q4D0] MMQEGAIKLTGALSSRPQELVKLDLSCCGLTSPGITQICANIASIGSVTELNLNGSSIGSECCDALAAILMDQQCSLKCLALNKCNLGLAGVVQIIQVLSGNDSLEELHLADNAAVPSERIIQFDLTPTRPKSNAAAPEPECKMNTEAEALEVADSEDEDMKRPAFSGQDGSCASSCQKNSFTCCQLIQDLSSAICLATQLQSLDLSKNRFSGEVIDALYTSWSSSRAGLQSQKHIVAKDHIVHFFTEGKKCCGIKPCCKRD >DRNTG_01878.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21126194:21128121:-1 gene:DRNTG_01878 transcript:DRNTG_01878.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVAKVSTSTNDPSRTLQALRGSPLKEWTAQQNVSKINVDMPAEGLRLSTNRVARAETAVNNGQAGLKHLPYQPPKGVHPRPQQTKGPLSGGVDLQRPPNRQSQPGTEQLQIYRKADDQLLGGLSGSDALADEANHGRPLLQSRRNLVHTDDLDYQHQDCESDQSHRLSDCDRNEQVSNQFGRGKRSSAEMFVGQRSCVREGKLPLRSGSSDEVDGSDLRLRLMKQRRLNGSSSGLHPDRRGETSRRNDCNAEERNQRRDSQRDRQDNHQEGSIRNRLQGRISASRRLSPDRPADIQPERESDWRRSQGRVSPGRPMSHRGRLSERIKWKPDEVRSADIWSSGGKSILIEDAEQLNFAGPKSLAELKGLKDAESCLSSGEHKGRVLKKQVGHQEPEASFSFEGPKPLSTILKQKRGVASTISRDEEGDNNVNPALSLSVLSNMQSIPLDLDDEEEEGQIRAEDEELPNDQDSCREEEEEEEEEDIADMVDSVVVDNMENQIPRKSEHKDEEESEFEPVDAGDSKQEDEGENTTFQDDIEDVHEDDDEEDEDDFARKVGLLFS >DRNTG_06986.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19340552:19345243:-1 gene:DRNTG_06986 transcript:DRNTG_06986.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVITVTSDSLILMKLEGSEVVHTHEHNGSLDHSVQQDPQIDGSIGDGMENPSAPETKIETLSCDRVGTKAPVTISKERRGKSLNRGDHVKATNAHGGKSEKSSGTAPVSLFHTRKKGNDSQAEMTTSYSGVSIMSASHSKKPVVVNGRQVVNGANRSTKHISCRSATHMSQSVIVGDTASAAVDMLSTDDSKEERPNLKPLKQIDVHKVEDYSHLASLSPSGGSTKPQRFGTMPSYSFSFRCNERAEKRKEFYSKLEEKIRAKEEEKTSLQAKSKETQEAEIKQLRKSLTFKATPMPSFYQEPAPPKVELKKIPPTRAKSPKLGRQKSTASTDGKGIISSTCRSDRLSLDNRKHQKCFSKDTSLHVKKPQRMSLPKLPSEETPSSAVDCHSAVEPQDEIPGLEIPPIDADKAEVDRIKNSDEDMKLADPESMDD >DRNTG_06986.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19340552:19345243:-1 gene:DRNTG_06986 transcript:DRNTG_06986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVITVTSDSLILMKLEGSEVVHTHEHNGSLDHSVQQDPQIDGSIGDGMENPSAPETKIETLSCDRVGTKAPVTISKERRGKSLNRGDHVKATNAHGGKSEKSSGTAPVSLFHTRKKGNDSQAEMTTSYSGVSIMSASHSKKPVVVNGRQVVNGANRSTKHISCRSATHMSQSVIVGDTASAAVDMLSTDDSKEERPNLKPLKQIDVHKVEDYSHLASLSPSGGSTKPQRFGTMPSYSFSFRCNERAEKRKEFYSKLEEKIRAKEEEKTSLQAKSKETQEAEIKQLRKSLTFKATPMPSFYQEPAPPKVELKKIPPTRAKSPKLGRQKSTASTDGKGIISSTCRSDRLSLDNRKHQKCFSKDTSLHVKKPQRMSLPKLPSEETPSSAVDCHSAVEPQDEIPGLEIPPIDADKAEVDRIKNSDEDMKLADPESMDD >DRNTG_14853.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22972983:22974505:-1 gene:DRNTG_14853 transcript:DRNTG_14853.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSKDALEPVALQAPVTLERPLRADLETSLPKPYMARGVAAPDIYHPEGSRDHKHHQLSVLQQHVAFFDRDNNGIIYPWETYAGCRAIGFNVIMSLILAVTVNGVLSFPTLPSWIPSPFFPIYIYNIHKGKHGSDSGTYDTEGRYMPVQFENIFSKYSKTVPDKLTFSELWQMTEGQRLAYDFFGGITAKLEWLLLYVLARDDEGFLSREAMRRCFDGSLFEYCEKQQESYNKVW >DRNTG_12441.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21019378:21024487:-1 gene:DRNTG_12441 transcript:DRNTG_12441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASLSLSCASPVISAKSNPALGSFSKSSALRFCGLKREVLGFRSVKSVGLGRIQRSVSRTVTAALSGNGSASKGFDYDLVIIGAGVGGHGAALHAVEEGLKTAIIEGDVIGGTCVNRGCVPSKALLAVSGRMRELQSEHHLKALGLQVSSAGYDRQGVADHANNLASKIRSNLTNSLKALGVDILNGVGSIVGPQKVKYGKAGFPETEITAKDIIIATGSVPFVPNGIEVDGKTVFTSDHALKLEWVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIGKLAQRILINPRNVDYHTGVFAKKITPAKDGRPVLIELIDAKTKEPSDTLEVDAALIATGRAPFTKGLGLENINVVTQRGFVSN >DRNTG_29437.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001477.1:85265:94962:-1 gene:DRNTG_29437 transcript:DRNTG_29437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSLTLPKGLSLAMPPHLQPSQFASPSRCSQLLPSSSVSG >DRNTG_04748.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:31662:33045:-1 gene:DRNTG_04748 transcript:DRNTG_04748.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLANAGFLGRIQPSPSPSPTTFSEVLQQIPRRMASTRTA >DRNTG_03937.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000187.1:41322:47025:1 gene:DRNTG_03937 transcript:DRNTG_03937.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSEKTHERVELTHARGFVLQAHPEKAQGRGLTIVNDLVILVHPWVISARASDFLQRLGKLFREHTRAWTHPCGRTCEIHTGLGNFHTAMRISVEELSPSREDTGALRLPLVNGLRDDLPLGLRCEWLGIFHLVKRFREIGSVLRNLQSSVKSLEHRVGELAKANFERPLGCLPSNTEDNLREHLNVIALRSERQVETRVEVDPSVKESGEVLSINPLDEFLGELGNEQQEEPHYHPQIKNLKQSIEKVSCNNAKEKEKKRIICEENMEGDSHEKKERKCVTTHFKASGKTRTMHHLDFAKYLGIYDDEFINSMLGNRLKLDFPSDRPTHLGHLVTNVFLHQGAIFAGPCVTRLIHGMGLFKQTRGTTIVGDPAPLRKAHIWVIGLVVSERARDRAHREQCEIHGQLYQIIEGQRRLEEDFHHFITLYYGSSSHTVTTSSATMPPTLALDCDE >DRNTG_10487.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7284967:7286467:1 gene:DRNTG_10487 transcript:DRNTG_10487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIKLDASKQYYATSSLVLGYAMCSSLLAVINKFAITKFNFPGLLTALQYLTSALGVWVLGKLGFLKHDPFTFETAKKFLPAATVFYLAIFTNTNLLRHANVDTFIVFRSLTPLLVAISDTAFRKQPCPSKLTFLALVVIFGGAVGYVMTDSAFTLTAYSWAVAYLVTITTEMVYIKHMVTNLGLNTWGFVFYNNLLSLMMAPVFWILTGEYADVFMAFGSRSENWLDFGTFVAVSLSCIFGLAISFFGFAARKAISATAFTVTGVVNKFLTVAINVLIWDKHASPFGLICLLFTLVGGVLYQQSVTVMGGPPPQHDPAASKLGNNMDNSDIEDNKQGMSISGKDSSV >DRNTG_10487.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7284967:7286467:1 gene:DRNTG_10487 transcript:DRNTG_10487.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIKLDASKQYYATSSLVLGYAMCSSLLAVINKFAITKFNFPGLLTALQYLTSALGVWVLGKLGFLKHDPFTFETAKKFLPAATVFYLAIFTNTNLLRHANVDTFIVFRSLTPLLVAISDTAFRKQPCPSKLTFLALVVIFGGAVGYVMTDSAFTLTAYSWAVAYLVTITTEMVYIKHMVTNLGLNTWGFVFYNNLLSLMMAPVFWILTGEYADVFMAFGSRSENWLDFGTFVAVSLSCIFGLAISFFGFAARKAISATAFTVTGVVNKFLTVAINVLIWDKHASPFGLICLLFTLVGGVLYQQSVTVMGGPPPQHDPAASKLGNNMDNSDIEDNKQGMSISGKDSSV >DRNTG_17383.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:9004487:9005006:-1 gene:DRNTG_17383 transcript:DRNTG_17383.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNNIQKFQHHKQRQDPSLYKVLKPLRMHKRN >DRNTG_17383.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:9004413:9004822:-1 gene:DRNTG_17383 transcript:DRNTG_17383.5 gene_biotype:protein_coding transcript_biotype:protein_coding ATTWLHIHSKTRRKGLSKVQLPSFSTSFLSTLYQSSNPFKNPTQKQERKGTAYKSPNP >DRNTG_17383.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:9004413:9004921:-1 gene:DRNTG_17383 transcript:DRNTG_17383.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNNIQKFQHHKQRQDPSLYKVLKPLRMHKRN >DRNTG_17383.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:9004487:9004921:-1 gene:DRNTG_17383 transcript:DRNTG_17383.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNNIQKFQHHKQRQDPSLYKVLKPLRMHKRN >DRNTG_17383.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:9004413:9005006:-1 gene:DRNTG_17383 transcript:DRNTG_17383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNNIQKFQHHKQRQDPSLYKVLKPLRMHKRN >DRNTG_17383.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:9004487:9004822:-1 gene:DRNTG_17383 transcript:DRNTG_17383.6 gene_biotype:protein_coding transcript_biotype:protein_coding ATTWLHIHSKTRRKGLSKVQLPSFSTSFLSTLYQSSNPFKNPTQKQERKGTAYKSPNP >DRNTG_16091.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25512114:25519221:1 gene:DRNTG_16091 transcript:DRNTG_16091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILCVVQRWSRRLVTMLPWLVIPLIALWAFSQLLPPRFRFEVTSPRLACVTVLLVTLFWYEILMPQLSVWRARCSARLRERRRAQALELQRLRKAATRRCRNCLTPYRDQKPGCGQFMCSYCGHISKRPVLDLPEGSGPSLGINGWICGYAWAAEGNGSRIGPVPRYWVGNNRCMTEKSYSGALLCASKLLSYFLGSIWWILRKIFRIGSSSKDSPDGDNKGSLSRGENGGNCQESRGEKSRRKAEEKRQARLEKEMLEEEERKQREEVARLVEERRKLRDEILEAERIHSRGSGLDGDRDRRKEAEKRRQDRKKEKDKGSSKSNSDVEEFDKKTSRENEKKRKLDKKYEIERRDGQKFVAGKYKCHTSESGNGLKVATSKSKYFDRITGSSLSPSKGFDGASFFGRNAQGTSTTVAKVNKFGYVDQTFSSAIRKDVYPAIHAMGKITSAADDRISKSNFDRAVGSEVRPPTAAPKKSWHQLFTRSTIVSPHDGVNTNGFSNQNEKAETHNECMMDQRVAASYSLDSQISVQPSSFGVSPSVNVSRGGNLVSHSLPAESISSERVRNSILEEAEIFEDPCYNPYPLSLLGPVSDSLDNFPLDLGAGFVSCNKMDEPHNASKKITAPADVIKPSPIESPISRSRISEEKRTAIGQSPSTPKSLDGASIALEQRTWQMWGTPLAQDALDMVTGPSNWILPLGQKKSNLDDMMMHPLSHTPVLSPVAVENLSIPGTHSPQNAHVDNSLNGGMFSRFGHGLNESDIWMRKPLFPQLAGDGESHVTFFPQFNPMDNAARNEMRHDASNGSEALRPFDLPPANFWSKKEWALHGLQDAGNSNPALNPGSLFSTGPDVQSVWASNQMDRI >DRNTG_08457.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21446890:21452861:1 gene:DRNTG_08457 transcript:DRNTG_08457.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGHMIPMIDMVRLIASRGVHVTFVTTPINAARNNTSINSMHGSGLPIHFLTLPFPGTEAGLPEGCENLDTLPSRALIKNFLDACRLLKDPLINHLKAQSHLPPPSLIITDLSHPWTREVSKELSIPRLFFNGFGCFALLCSFNIRQHKIHETITDENELFVIPGMPDRIELTRAQAPGFFYTKELEKYTQEVREAEIDADGVVVNSFDELESPYCEWYQKTTGKKAWMIGPLSLSNKNVADVAARGNKAAIDESLCMSWLDSMKPSSVLYVSFGSMARTKVDQLLELGLALEASEKPFVWVIKAGEKMAEVEKWLSEGFEERTRSRGLIIRGWAPQVTILSHQAVGGFMTHCGWNSTLEGVTAGVPMITWPHFAEQFINEKLIVQVLKIGVSVGVKHPTKWGDDNGDAVLLEKERELFATLVLMKLRECLIL >DRNTG_11796.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:402608:437620:1 gene:DRNTG_11796 transcript:DRNTG_11796.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIISFFGRRGSKGDLWDPFDGVPFAASPFTSAQVDWKETPDAHIYIADFPGMKKDEVKVEEEEEEE >DRNTG_11796.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:402608:403453:1 gene:DRNTG_11796 transcript:DRNTG_11796.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIISFFGRRGSKGDLWDPFDGVPFAASPFTSAQVDWKETPDAHIYIADFPGMKKDEVKVEVEEEKLLKISGQRTREAEEKNDKWHRIERSSDQIFRTIKLPPNVNTSKVKAALQNGVLTVTVPKDQDMKAVGRFIEISAMHL >DRNTG_11796.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:402608:403144:1 gene:DRNTG_11796 transcript:DRNTG_11796.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIISFFGRRGSKGDLWDPFDGVPFAASPFTSAQVDWKETPDAHIYIADFPGMKKDEVKVEVEEEKLLKISGQRTREAEEKNDKWHRIERSSDQIFRTIKLPPNVNTSKVKAALQNGVLTVTVPKDQDMKAVGRFIEISG >DRNTG_34782.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13476910:13479243:1 gene:DRNTG_34782 transcript:DRNTG_34782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLMADILTTATRVQLRYKGKCTMPSTTGKNSSFESTKKRAWDQSPQPPLRKLAIDSFWLRGRRRFHEYNTKYTGNAEILAQHDKVACGDVHVPPTATEEQEPEAVAQVHAADRSIRSDEILVSEGGMDEISSHVPFPEVGTDEIPSHVPSPKDGMDEITSYVPPPKALVAAQIQ >DRNTG_20724.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17865360:17866278:-1 gene:DRNTG_20724 transcript:DRNTG_20724.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNTFQSGFLSILYSLGSKPLQIWDKEGL >DRNTG_20724.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17863031:17864122:-1 gene:DRNTG_20724 transcript:DRNTG_20724.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIKMFVGVLEHQIFKCSVTRVKPFICTMPLKLDDGWNNIQLNLADYTRRAYGTNYVETLRVTVHANCRLRRIFFSDRLYSEEELPPEFKLYLPMQKA >DRNTG_20724.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17863031:17866278:-1 gene:DRNTG_20724 transcript:DRNTG_20724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIKRLPDEDIQSSVLEIIGPNVQSTYITCPADPAATLGIKLPFLVMIVKNLKKYFTFEIHILDDKNVRRRFRASNFQSVTRVKPFICTMPLKLDDGWNNIQLNLADYTRRAYGTNYVETLRVTVHANCRLRRIFFSDRLYSEEELPPEFKLYLPMQKA >DRNTG_20724.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17863031:17864122:-1 gene:DRNTG_20724 transcript:DRNTG_20724.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIKRLPDEDIQSSVLEIIGPNVQSTYITCPADPAATLGIKLPFLVMIVKNLKKYFTFEIHILDDKNVRRRFRASNFQSVTRVKPFICTMPLKLDDGWNNIQLNLADYTRRAYGTNYVETLRVTVHANCRLRRIFFSDRLYSEEELPPEFKLYLPMQKA >DRNTG_27859.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3883114:3886571:1 gene:DRNTG_27859 transcript:DRNTG_27859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQISVKKQGMGRKWQQKRSVEEGKEKQEEKGGVAQSTTLMTLTTDPFYNFLWTIECFSNVSKRAYMYTSREFSAQNCKWKLEFYPKKSVDGENYLALHLVLNGVRPFFTHYQYNVACQISLLNQSTGNNHSISTNCEVMLKGSCSFDKFIFLKDFHNEKNGYLVNDKCVFGVNISNASPIYTIPNSEIMVGTSYGYCKFISLRDFQDKMKGHSVFSRPPLLTWLPERVSQASPDTLDGIVGHATSSEARQLKQRTPRHAKGCHVSSFFPERWTVDKT >DRNTG_19705.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001043.1:16345:16689:-1 gene:DRNTG_19705 transcript:DRNTG_19705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGQKITFPGGADEVPDCITGDIVFVLQRKDNPKFKRKDDDLFVGHTLFLTEALCGFQFVLTHLDNR >DRNTG_23243.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21484609:21484856:1 gene:DRNTG_23243 transcript:DRNTG_23243.1 gene_biotype:protein_coding transcript_biotype:protein_coding PWRRSCSTASRLRSKPGVHSHQVPSSVARRPSSKAQESED >DRNTG_33840.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002043.1:25236:27051:-1 gene:DRNTG_33840 transcript:DRNTG_33840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFPTLMMMVLLMLILLFSNLWFPVFNMATASNIESQGRALLQWKSALETQELLDTWISKTSQCNWTGITCRYNGHHMATITRVQLGELGLEGKLETLNFSALPSLRVLELHSNHIHGSIPAAISALSKLTFLDLSTNNLTGVIPLDLGNLTRFKTLWLFKNQVSGSTPPFGKLLNMNSLAISRNFLVGSIPPMFGNLTKLNFLYLWKNNLTGSIPREIGHLSRVSIENDQWLSARDKMQSPLYAKFLGKSLPLDQAKLAMTDAWKGLGILQISEWHESFQPAFEKLSTAAVWIQLHHVPIELWCGDLLENIASHFGKKGTWVKYGDYAVFVIALYEKLPVFCYSCGRIGHGESKCSFIRSRRSESSHQLPTVSSEWEMEVDELNQPSGVANERLMDPFLVDDRDPKINPGADDGSSDFGAWLKPRCQSGRIRGRGRDGTRYPSSTRRNRDDG >DRNTG_09445.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21255810:21256197:-1 gene:DRNTG_09445 transcript:DRNTG_09445.1 gene_biotype:protein_coding transcript_biotype:protein_coding SYRVHGESQGFLGGEGSPSQGNVRRGILPACCT >DRNTG_03103.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15804900:15808443:-1 gene:DRNTG_03103 transcript:DRNTG_03103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEHIKGRIFTQGEQMSSNGKPHQPKIYLKVLHN >DRNTG_04272.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9267456:9268324:1 gene:DRNTG_04272 transcript:DRNTG_04272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRWDTEPMLVATSKDISIVDHPQNYRSTSVSEMSNPANRNKLSGDPSFGPWIMVTYHCSHGWESGRVGGGSLRGPHAPSTIGDEGSECWLRSPMEIIVKELDVHQIDHVGNKDVAMVRLKMNGDDVSSMQSLESPLEDHRIMVDRVEGLLRADQDSSKESDHSMSDLENFRRI >DRNTG_07832.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:124315:124774:-1 gene:DRNTG_07832 transcript:DRNTG_07832.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFYNSLYNTAEELANKIQKLEGWDCMPYIGKAWADLFKAFLREAEWHYSGYKPRLEEYLNNGWISVSGHVILVHVFLLSDRRGKTKEGLQHLMNYPNLIKSSSMIFRLCNDIATSA >DRNTG_07832.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:123801:124774:-1 gene:DRNTG_07832 transcript:DRNTG_07832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFYNSLYNTAEELANKIQKLEGWDCMPYIGKAWADLFKAFLREAEWHYSGYKPRLEEYLNNGWISVSGHVILVHVFLLSDRRGKTKEGLQHLMNYPNLIKSSSMIFRLCNDIATSAAELVRGDTPTSMHCYMSEYNTTEDQARREIWNLISKSWKELNEGLSDCSPLSLFFGKTAMNLARVMHCVYQHGDSHGAPDQDKENQIKSLFCEAMKLE >DRNTG_29968.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001529.1:6434:9718:1 gene:DRNTG_29968 transcript:DRNTG_29968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALQNDQTRKEDIPHRQGHHGVERERSQNLNSCQQTRKTHFH >DRNTG_27288.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22530461:22532576:1 gene:DRNTG_27288 transcript:DRNTG_27288.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04130) UniProtKB/TrEMBL;Acc:F4I456] MALWMEQGSEPVTESEQADLAAIAAIKESAAVELKEKGNKFVKLGKKHYSDAIDCYTKALNQMALSDSEHAVLYANRAHVNLLLGNHRRALEDAQEAIKLSATNVKAFYRAAKAAFFLGLLVEAASYCQSGLELNSENEELKKLFLQVNARKEELEKHNACVSKVVSEAKELASVIENRGYKLGKPMYRELIGSKKPILDKSGILHWPVVLLYAEVMSSDLIEDFCETDVFATHLDMMFSEDSPPLEWDKKHAYTRNAVELYYQVGSGALMSKKEILRHLLEGTVASSEALCEDDNDAEQSPHTIISASSGKWVKVNEKKTLHNVLEQPDYVIPGIPVFFVVSNQSNFYKDFTSGKWSSP >DRNTG_26802.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20315036:20315593:-1 gene:DRNTG_26802 transcript:DRNTG_26802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNTLLHLGHIVSEYLRHQGQYTRIKVIFSGPYITRHIIRMCLLDAIRGAEKTTVPAPLGLGTMRIRGMIKRYRDGVYVLIMPSLESVETEEDIAEGSQPVLEPQIEHAEAVNHTEVMARLDILKQLLEKDMTSRFIM >DRNTG_10909.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17584812:17585494:1 gene:DRNTG_10909 transcript:DRNTG_10909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTYVQNCYDDLQTSEEKLRYNKLCSHFSKAAELGAESNDKYNLLMKYVDEVIEKLNDNTTCKENFTPTLSEATIVPHQQFLTPLMVRSKGRPPSKRKKSKVEEIIIRNKKKKAQTKGDASSQKFSPNDHCTQESVVNSNSISINLDKHHSSSLGFTSHPNDF >DRNTG_25244.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27563200:27565542:-1 gene:DRNTG_25244 transcript:DRNTG_25244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTSAAAMATLRLSNPMLASSPPVFSVISKSISLRTPAWKNSNHQGNLGLSVSSSGGFGSLRGGTGVLERPTFDQSQFDPIPQVEEGGDFGKSIVKRGIGSGDSYRVLLIDDEHHTESLVEKALPKVVPSLTVIEARKLFHESRENGLAIVIITVKEHAEFYVQMMLQCGLRSAMEPDLSA >DRNTG_23116.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4250375:4251284:-1 gene:DRNTG_23116 transcript:DRNTG_23116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVMPIDHHQHYQFNCSFFIPIQAYITSTLPPNNQLKTENNQSCSNHPVNNSSLTSSKPVAATMTLPRGLYALTNTAHVL >DRNTG_27410.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001396.1:234012:237078:1 gene:DRNTG_27410 transcript:DRNTG_27410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFSLDEDVFNSGSKAYFKAGLMHWLVDKVPDQSLLNTASWKYLIPKLYKQYPNDDMELNLTLSSPPVIKVTTKNIGVTIRSDMIVNVLDFGVAVPVACISVDISATGVPEISGNNLAGSAQLDDFTLKLKWSTVGNFHMSLIQGVMRIFLDTVFMPYVNLHLRKGFPLPIIHNLTLENAVIVTSTSKIIICSDVVSNSSKLMFPSF >DRNTG_27410.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001396.1:234012:237078:1 gene:DRNTG_27410 transcript:DRNTG_27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPILILLVLSIFTSSAFSQLQSSETGYISVVISEKGLIFAKDLLIENAIQTLTALTLPDIHQSKKIPLIGSVSASLTNITVYSIDVPCSTIQPGESGIAIAASGATVDLSMNWEYSYNTWLIPIPISDKGTASVKVKGMEVGLTVNIQNQNGTLKLNLVQCGCYMEDITITLDGGASWFYQGFVNAFEGQIRSIVETAITKKITEGIVKLDSLLQTLPKKINVDKVASLNVTVVNGPLFRNSSVEVDINGLFIPSYDGAVVRYLNRDTQLSDFCDGKLKMLEFSLDEDVFNSGSKAYFKAGLMHWLVDKVPDQSLLNTASWKYLIPKLYKQYPNDDMELNLTLSSPPVIKVTTKNIGVTIRSDMIVNVLDFGVAVPVACISVDISATGVPEISGNNLAGSAQLDDFTLKLKWSTVGNFHMSLIQGVMRIFLDTVFMPYVNLHLRKGFPLPIIHNLTLENAVIVTSTSKIIICSDVVSNSSKLMFPSF >DRNTG_27410.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001396.1:236503:237078:1 gene:DRNTG_27410 transcript:DRNTG_27410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIQGVMRIFLDTVFMPYVNLHLRKGFPLPIIHNLTLENAVIVTSTSKIIICSDVVSNSSKLMFPSF >DRNTG_31708.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16589925:16590359:1 gene:DRNTG_31708 transcript:DRNTG_31708.2 gene_biotype:protein_coding transcript_biotype:protein_coding TLLVFSKTRTATESSRRSPNRQRDAPRLP >DRNTG_31708.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16589925:16590591:1 gene:DRNTG_31708 transcript:DRNTG_31708.1 gene_biotype:protein_coding transcript_biotype:protein_coding GIVWVYTIITYFPLDVLKFFIRYALSGKAWDNLLQNKTAFTSKKDYGKGEREAQWALAQRTLHGLQPPVDTTGLFQDKNSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIETIQQHYTV >DRNTG_26406.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18164645:18165855:1 gene:DRNTG_26406 transcript:DRNTG_26406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSPIQAAAMAAERRMHDELWCGSGSHEDPIVIDSKDGILWHQYVKHVVLRDLKSLQSSLRPGSANFVPLKTTLNLRDVQPEINGDFLMASAFNYRT >DRNTG_28107.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14294156:14294809:1 gene:DRNTG_28107 transcript:DRNTG_28107.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLECSLSKDEALSQLLAIPLLSNKKFIRVARELHEDGQPHLHTMRSCSDVKAYVEKEGDYTDWG >DRNTG_20659.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20333254:20335608:-1 gene:DRNTG_20659 transcript:DRNTG_20659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSASLCRSLFLRPSVATQTTSRPGFHQSRNVILNQYSACRSSFTTELTGLCTQKRWASRAATAAAARTSVDDNKISIGPKKGKLEKDAKETEVIYEGPISSTIKKVKLLSLSTCCLSVSLGPVITFMTSPELNVILKGGVASTVIFLSASTTAALHWFVSPYIHKLKWQPGSPTFDVEMMSWLATPLPRTIRFADVKAADTKRPFVTFKADDNFYFVDAEHCNNKALLARLTPEKPSGQQSAFKNI >DRNTG_10598.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7469240:7470926:1 gene:DRNTG_10598 transcript:DRNTG_10598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWRVLYSSSKNSLVRQVFTSSPSRCPNLRMATKHLASKQARRSPPPPIGEPNVTNEAHKARYALLLRK >DRNTG_29597.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7166953:7169589:1 gene:DRNTG_29597 transcript:DRNTG_29597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLLEDTHPFLGSTSIRGKQSRVQYNHLDKTCEHILDLLNTNKDEYLVIFTSGISSCYRHFGEMHHFPKGSLILTVPDHQKFIKHMVQGAAQSNAKIGTIPLKKNDLCIHVTEFQKLLRKRGWNSNGFGLLAYPVQSSSGMCHSLNWIVSAQQSGWKVLLDVSSCIPMVNVDLSLYQPEFVIGSLYHMLGYPSNVGFLLVRRSSHSICRETRSARLRIAELPEDGKAVHILTEGGSLNIHTFASLYFGLEHLQSIGVLAVQKRIKSLISWLVKTFKSLKHKLDDKPMLQLYGSSDMQHRGSILAFNVLDPTGSIFPARLVQQLAENNNIFLGTVNLCEPSLLDSQQKQDKQWESDSLRATGCHIEVLCLSLGPVSSFEDVYRLVQFLWRFRDEDYMSNEAAGYVEELEKGC >DRNTG_29597.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7166953:7169589:1 gene:DRNTG_29597 transcript:DRNTG_29597.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLLEDTHPFLGSTSIRGKQSRVQYNHLDKTCEHILDLLNTNKDEYLVIFTSGISSCYRHFGEMHHFPKGSLILTVPDHQKFIKHMVQGAAQSNAKIGTIPLKKNDLCIHVTEFQKLLRKRGWNSNGFGLLAYPVQSSSGMCHSLNWIVSAQQSGWKVLLDVSSCIPMVNVDLSLYQPEFVIGSLYHMLGYPSNVGFLLVRRSSHSICRETRSARLRIAELPEDGKAVHILTEGGSLNIHTFASLYFGLEHLQSIGVLAVQKRIKSLISWLVKTFKSLKHKLDDKPMLQVSSVLLSLPFSSVK >DRNTG_08072.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1814598:1815851:-1 gene:DRNTG_08072 transcript:DRNTG_08072.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEISDELLSAVVPVIVYWVFSFFYEFLAQHCVNYRLHPIGEEEEKNTVSRSKVIKGVLTHQALQILTVCIVTKVWEEKGEEPTVQHSLPRIAVQFVIGMAVIDTVQYFGHRYMHENKFLYKHTHSAHHALVVPYVYGAQYGSLLDGLVLDTLGSALAFVVSGMTVRTSIYFYSFAIIKNLDVHSGLYFPWNPLQGFFPNNCAFHDTHHQLKGQKYNFSQPFFLSWDLILGTYRPFTVEKRKEGGFQVCLLTKDD >DRNTG_01413.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6689507:6697818:-1 gene:DRNTG_01413 transcript:DRNTG_01413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSNTSFHQGLVPSSMYHSRLVSFQSGATNSVTGTVPAGLNNSGAINSTGGMLLAANPSMISNTSSVIQSGCSSSNILLDSVPCLKHDTGLVVEWTPEEQALLKQGLIKYANEPNIMKYIKIAAILGDKTVRDVALRCRWMLKRDIFKRRKSEENYLGKKIKDRKEKTIDLPLKMNMAPVPSNNTQAYSQLMHQEKMVDLPSKLNMNPVPEDYFLLEKMVESLPKMNANPVPSNNMPAYSQLMHQVPQNQFFYEAPVIDSATRNLLEENVRILGQIKANLSTFKVQDNIPLFYRMRNNVATILMRMSHMPGVMGRMPPLPVSTNEQLLSNMLPSLCQFF >DRNTG_06356.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24339595:24340418:1 gene:DRNTG_06356 transcript:DRNTG_06356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFLKIQKEYWVCGSRSSSLCHSQFLDGIPARQVLQKVLKEDHYFSAKFDLGILLYPEGIVAKPGLLLDDGVGRDLASSYRRWAWVALCHHTLLLFQGLLYWSSR >DRNTG_10221.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:35160:39411:-1 gene:DRNTG_10221 transcript:DRNTG_10221.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMMALLRSGSSKILPRYQPSILPRFLHSHATSFGFKQDEKSKLVVNVFSTVASTYDLMNDLISTSLHRLWKDRLVSLLHPFAGMKHLDVAGGKGDVAFRVLERMNSVSNKALQERLADTEEVTKIFVCDINPNMLNVGKKRAIERGFGEDRSLHWVEGDAEALSFADGSMDGYTIAFRIRNVTHIEKALSEAYRVLKRGGRFLCLELSHVELPVFKQIYDYYSFSIIPAMGELVAGDRASYQYLVESIRRFPNQTDDAGWSHKRKDLLWNIAEHSGSASKKSEINNDADFMFQRSEFGQEPLVGTVQMYGRHVFLCHKCPDLWPSHIETTECSQLPGLLSVALKARKEDLKRRTCLTIYQGEDDMDSMDGDVMIFPDMIKYKGLTQGDVDNFVDEVLVKESGWSFGEVETLSGSYVFVCAHGSRDRRCGLCGPVLVRKFREEIKSGDLHDQVSVHPCSHIGGHKFAGNVIIFSKKDDKVTGDWYGYVSPDDVPTILEQHIGKGRIVDRLWRGSMGLSKEEQKKAQLLRLQGSNTVGDKV >DRNTG_10221.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:35160:37829:-1 gene:DRNTG_10221 transcript:DRNTG_10221.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFQRSEFGQEPLVGTVQMYGRHVFLCHKCPDLWPSHIETTECSQLPGLLSVALKARKEDLKRRTCLTIYQGEDDMDSMDGDVMIFPDMIKYKGLTQGDVDNFVDEVLVKESGWSFGEVETLSGSYVFVCAHGSRDRRCGLCGPVLVRKFREEIKSGDLHDQVSVHPCSHIGGHKFAGNVIIFSKKDDKVTGDWYGYVSPDDVPTILEQHIGKGRIVDRLWRGSMGLSKEEQKKAQLLRLQGSNTVGDKV >DRNTG_10221.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:38092:38504:-1 gene:DRNTG_10221 transcript:DRNTG_10221.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVSNKALQERLADTEEVTKIFVCDINPNMLNVGKKRAIERGFGEDRSLHWVEGDAEALSFADGSMDGYTIAFRIRNVTHIEKALSEAYR >DRNTG_10221.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:35160:39411:-1 gene:DRNTG_10221 transcript:DRNTG_10221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQRSEFGQEPLVGTVQMYGRHVFLCHKCPDLWPSHIETTECSQLPGLLSVALKARKEDLKRRTCLTIYQGEDDMDSMDGDVMIFPDMIKYKGLTQGDVDNFVDEVLVKESGWSFGEVETLSGSYVFVCAHGSRDRRCGLCGPVLVRKFREEIKSGDLHDQVSVHPCSHIGGHKFAGNVIIFSKKDDKVTGDWYGYVSPDDVPTILEQHIGKGRIVDRLWRGSMGLSKEEQKKAQLLRLQGSNTVGDKV >DRNTG_10221.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:38346:39411:-1 gene:DRNTG_10221 transcript:DRNTG_10221.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMMALLRSGSSKILPRYQPSILPRFLHSHATSFGFKQDEKSKLVVNVFSTVASTYDLMNDLISTSLHRLWKDRQIGFIVASFCWNEAS >DRNTG_10221.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:35160:39411:-1 gene:DRNTG_10221 transcript:DRNTG_10221.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFQRSEFGQEPLVGTVQMYGRHVFLCHKCPDLWPSHIETTECSQLPGLLSVALKARKEDLKRRTCLTIYQGEDDMDSMDGDVMIFPDMIKYKGLTQGDVDNFVDEVLVKESGWSFGEVETLSGSYVFVCAHGSRDRRCGLCGPVLVRKFREEIKSGDLHDQVSVHPCSHIGGHKFAGNVIIFSKKDDKVTGDWYGYVSPDDVPTILEQHIGKGRIVDRLWRGSMGLSKEEQKKAQLLRLQGSNTVGDKV >DRNTG_10221.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:35160:38961:-1 gene:DRNTG_10221 transcript:DRNTG_10221.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLDVAGGKGDVAFRVLERMNSVSNKALQERLADTEEVTKIFVCDINPNMLNVGKKRAIERGFGEDRSLHWVEGDAEALSFADGSMDGYTIAFRIRNVTHIEKALSEAYRVLKRGGRFLCLELSHVELPVFKQIYDYYSFSIIPAMGELVAGDRASYQYLVESIRRFPNQTDDAGWSHKRKDLLWNIAEHSGSASKKSEINNDADFMFQRSEFGQEPLVGTVQMYGRHVFLCHKCPDLWPSHIETTECSQLPGLLSVALKARKEDLKRRTCLTIYQGEDDMDSMDGDVMIFPDMIKYKGLTQGDVDNFVDEVLVKESGWSFGEVETLSGSYVFVCAHGSRDRRCGLCGPVLVRKFREEIKSGDLHDQVSVHPCSHIGGHKFAGNVIIFSKKDDKVTGDWYGYVSPDDVPTILEQHIGKGRIVDRLWRGSMGLSKEEQKKAQLLRLQGSNTVGDKV >DRNTG_10416.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14295182:14305354:1 gene:DRNTG_10416 transcript:DRNTG_10416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELLERKFRRDSRTPIPSLFAVNQAFTSFEHPSSPSSLLHSPTPPCSHGKVEEKLVPSSELILLSEILTAGDKAKEINEPPVLSRSLVPKLIFFIRLWSILMHPEATIFCFFLSTCAGGLGINLAPADTVIIFDSDWNPQNDLQDEIEVMRGGEKRGVAHDDRGGNCESLREGLYEDLRAEVTRAREMEERLLISAVPKINDGTFWSHLIQLEVVDHDDGEIFPGPEEELYLVPNTGLDLWKFSSASVKLNQSIESVDMGSESINICPGVTSSTPLPETSNPLPENDFGSWLLAPRWRGRGRGRGRGRGASTRATHMTMDATAEIGNASNLIWDDSTIARGTCGSFRGHGRSGHVSSYTPHAEKFKSDMIPMSYLPSAVNPTVESTILEISQEVEPSNSSSLDVLETQFVGNTQQDSLSHTR >DRNTG_18964.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10931794:10932844:1 gene:DRNTG_18964 transcript:DRNTG_18964.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCEVLRLVDFSTGTLTMLDEALLMETIKGQMASNEEKIEQVVAYLDASKPYDEVLGFRTAEVQETVQGHRISYQGAELSSLLEDFDKSAFMSFKNGSENNLVEVSISMSREVATGSIGPESETSDEDETIGCVESISSIEVCNLGDRVNDEDVLEDLGVGELVPE >DRNTG_26482.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:603457:605502:-1 gene:DRNTG_26482 transcript:DRNTG_26482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNNERNREGKKRHKKFSHKFDTDRSLASVKTRRSDLQHCVAIHMLHRHWGYRLHTSKSEQPGVAPCFDSHQIIKDSRLRKKNEL >DRNTG_26482.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:603457:605396:-1 gene:DRNTG_26482 transcript:DRNTG_26482.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNNERNREGKKRHKKFSHKFDTDRSLASVKTRRSDLQHCVAIHMLHRHWGYRLHTSKSEQPGVAPCFDSHQIIKDSRLRKKNEL >DRNTG_26482.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:603681:605396:-1 gene:DRNTG_26482 transcript:DRNTG_26482.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQNNERNREGKKRHKKFSHKFDTDRSLASVKTRRSDLQHCVAIHMLHRHWGYRLHTSKSEQPGVAPCFDSHQIIKDSRLRKKNEL >DRNTG_26482.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:603681:605502:-1 gene:DRNTG_26482 transcript:DRNTG_26482.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQNNERNREGKKRHKKFSHKFDTDRSLASVKTRRSDLQHCVAIHMLHRHWGYRLHTSKSEQPGVAPCFDSHQIIKDSRLRKKNEL >DRNTG_13261.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11978753:11979515:-1 gene:DRNTG_13261 transcript:DRNTG_13261.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVGRCTNKEPVNPRYQVSDKKARSLGLDFIPLETSLKEVIESLKEKGFVSF >DRNTG_13261.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11978753:11984426:-1 gene:DRNTG_13261 transcript:DRNTG_13261.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPKKTEHLWALDGASERLQLFKANLLEEGSFDAAFDGCECVFHTASPCFLATEDPQADLIDPAVKGTLNVLNSCAKISSIKRVVMTSSMAAVTLNDRWTHDVMIDETWFSSVDFCERNELWYALSKTLAEECAWKFSKDNGIDMVTINPSFVIGSLLQPTLNLSAAQILNLINGTTYNPNENYRWVNVKDVALAHILAFEVPSANGRYCLVERVSRDSEVIKIINELYPTIQLPQKCTNKEPVNPRYQVSDKKARSLGLDFIPLETSLKEVIESLKEKGFVSF >DRNTG_13261.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11978753:11984426:-1 gene:DRNTG_13261 transcript:DRNTG_13261.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPKKTEHLWALDGASERLQLFKANLLEEGSFDAAFDGCECVFHTASPCFLATEDPQADLIDPAVKGTLNVLNSCAKISSIKRVVMTSSMAAVTLNDRWTHDVMIDETWFSSVDFCERNELWYALSKTLAEECAWKFSKDNGIDMVTINPSFVIGSLLQPTLNLSAAQILNLINGTTYNPNENYRWVNVKDVALAHILAFEVPSANGRYCLVERVSRDSEVIKIINELYPTIQLPQNFNGYCWQVHKQRAG >DRNTG_13261.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11978753:11984426:-1 gene:DRNTG_13261 transcript:DRNTG_13261.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKVVCVTGASGFIASWLVKLLLDRGYTVKATVRDLGDPKKTEHLWALDGASERLQLFKANLLEEGSFDAAFDGCECVFHTASPCFLATEDPQADLIDPAVKGTLNVLNSCAKISSIKRVVMTSSMAAVTLNDRWTHDVMIDETWFSSVDFCERNELWYALSKTLAEECAWKFSKDNGIDMVTINPSFVIGSLLQPTLNLSAAQILNLINGTTYNPNENYRWVNVKDVALAHILAFEVPSANGRYCLVERVSRDSEVIKIINELYPTIQLPQKCTNKEPVNPRYQVSDKKARSLGLDFIPLETSLKEVIESLKEKGFVSF >DRNTG_08544.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17382039:17389623:1 gene:DRNTG_08544 transcript:DRNTG_08544.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAPAIPRWNVDRPFLTGRFHSEIKVPSQPSGAKPYPVETFCRGSENVIGSYPVSVQELLVIDDLLYALMGVEGRYISIKRVRGKEGRVVFQIDPSMDLALQELTQRIFPLCENYLLISQFVEAKSQFKSGLVNHAFAAALRALLLDYQAMVAQLEHQFRIGRLSVQGLWFYCQPMMGSLHALGIVVEKVSSDNLFGSATLNLLQSQAKAMAGDNAVRSLLEKMTQCASSAYLCILERWVYEGVIDDPYGEFFIAENKALQKESLTQDYDAKYWQQRYSLKDGIPSFLTSVSGTILTTGKYLNVMRECGHNVQVPVSENSKLMTFGSNHQYFECIKTAYDFASCELLNLMKDKYDLIGKLRAMKRYLLLDQGDFLVHFMDIARDELAKRPDEISVEKLQSLLDLALRTTAAAADPCHEELACCVERTSLLKRLSILKDLDSPYPSNLGKTVGDGDDLTEPVSITGLETFSLSYKVQWPLSLVISRKALTKYQLIFRFLFHCKHVNRQLCMAWQVHQGFRCLNTLGTAISRSSLLCRSMLKFIISLLHYLTFEVLEPNWHLMHDRLRNVKSIDEVIQFHDFFLQKCLKECLLLWPQLLKKLEKLKAICLRYASSIQLLIPSVYNSEPDDAAVASSRMSKSRLRRSRINSPTLKSAAENSTISDSIMNFEKEFNAELHSLVPLLSNNSQTEPYLTRLAQCILGVGTDQ >DRNTG_33048.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26969476:26971791:-1 gene:DRNTG_33048 transcript:DRNTG_33048.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSPLLEELEITLCSFGTDAYESIGRACSQLKYFGLNSHWYQLLDDYEVPEVGRNKNALAIANTMHELRHLQLIGDLLTNTGLLAILDNCPHLESLDIRCCFNVRIDGDLSRRLSKIKEVRASH >DRNTG_33048.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26969476:26971791:-1 gene:DRNTG_33048 transcript:DRNTG_33048.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSPLLEELEITLCSFGTDAYESIGRACSQLKYFGLNSHWYQLLDDYEVPEVGRNKNALAIANTMHELRHLQLIGDLLTNTGLLAILDNCPHLESLDIRCCFNVRIDGDLSRRLSKIKEVRASH >DRNTG_21593.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001222.1:120174:126183:-1 gene:DRNTG_21593 transcript:DRNTG_21593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVWISVAKLSSIPRRHMGVRSPLEATRACICPCGSGARAWAFSSRSCGCVQKDMAPLSKKQGGKHPRETSLELADIEFSKPKHQARFERLSGLRVGQSRFMDLSVLSEVQRGLYDETYIDTEEYGYLPIDYPGTLTPRQAYQALCGQGQHEPGVFKATCLSRLSYRLVLGMGLMDAIRDTEKTVIPSPLGLDTLRIMGVMRR >DRNTG_15937.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000790.1:5005:5437:1 gene:DRNTG_15937 transcript:DRNTG_15937.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHVQIATDKQNQKNIRPQAPVTKSNSAFGAR >DRNTG_04032.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11240649:11240906:-1 gene:DRNTG_04032 transcript:DRNTG_04032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRECIALACALPLTLKAETRPLPDGGSNTNAHPSLERGGAL >DRNTG_09032.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31328796:31333020:-1 gene:DRNTG_09032 transcript:DRNTG_09032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARATDVEKENLQYDDGLGLEVDDDTAHQISHDPWYQVGFVLTTGVNSAYVLGYSGSIMVPLGWVAGTTGLVLAAAISLYANALLARLHEVGGKRHIRYRDLAGHIYGRKMYALTWALQYVNLFMINTGYIILAGQALKAIYVLYTDDHVLKLPYCIAIAGIVCAMFAFGIPHLSALRIWLGVSTILSLIYIIIAFVLSIRDGVNSPVRDYNIPGSNNSKIFNTIGAVASLVFAYNTGMLPEIQATVKPPVIKNMEKALWFQFTIGGLPLYAVTFMGYWAYGSVTSTYLLNSVKGPVWVKTMANIAAFLQTVIALHIFASPMYEYLDTHYGPGKGNAFSFQNLGFRVMVRGGYLTINTLVAALLPFLGDFMSLTGALSTFPLTFVLANHMYLVVKKNKLSPLQKAWHWLNVVGFSCLAATAAAAALRLIVVDSKTYHLFADL >DRNTG_09032.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31328846:31333020:-1 gene:DRNTG_09032 transcript:DRNTG_09032.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARATDVEKENLQYDDGLGLEVDDDTAHQISHDPWYQVGFVLTTGVNSAYVLGYSGSIMVPLGWVAGTTGLVLAAAISLYANALLARLHEVGGKRHIRYRDLAGHIYGRKMYALTWALQYVNLFMINTGYIILAGQALKAIYVLYTDDHVLKLPYCIAIAGIVCAMFAFGIPHLSALRIWLGVSTILSLIYIIIAFVLSIRDGVNSPVRDYNIPGSNNSKIFNTIGAVASLVFAYNTGMLPEIQATVKPPVIKNMEKALWFQFTIGGLPLYAVTFMGYWAYGSVTSTYLLNSVKGPVWVKTMANIAAFLQTVIALHIFASPMYEYLDTHYGPGKGNAFSFQNLGFRVMVRGGYLTINTLVAALLPFLGDFMSLTGALSTFPLTFVLANHMYLVVKKNKLSPLQKAWHWLNVVGFSCLAATAAAAALRLIVVDSKTYHLFADL >DRNTG_09032.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31328796:31332673:-1 gene:DRNTG_09032 transcript:DRNTG_09032.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARATDVEKENLQYDDGLGLEVDDDTAHQISHDPWYQVGFVLTTGVNSAYVLGYSGSIMVPLGWVAGTTGLVLAAAISLYANALLARLHEVGGKRHIRYRDLAGHIYGRKMYALTWALQYVNLFMINTGYIILAGQALKAIYVLYTDDHVLKLPYCIAIAGIVCAMFAFGIPHLSALRIWLGVSTILSLIYIIIAFVLSIRDGVNSPVRDYNIPGSNNSKIFNTIGAVASLVFAYNTGMLPEIQATVKPPVIKNMEKALWFQFTIGGLPLYAVTFMGYWAYGSVTSTYLLNSVKGPVWVKTMANIAAFLQTVIALHIFASPMYEYLDTHYGPGKGNAFSFQNLGFRVMVRGGYLTINTLVAALLPFLGDFMSLTGALSTFPLTFVLANHMYLVVKKNKLSPLQKAWHWLNVVGFSCLAATAAAAALRLIVVDSKTYHLFADL >DRNTG_14898.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20602523:20604972:-1 gene:DRNTG_14898 transcript:DRNTG_14898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFASSSLLAPTDLRLPSLSGRFLDSTEKSFVVCRRGSLAVRPIRSRRCQSQVVVRAAVGGDSGRSGSASIFVSGFVLGGLIVGALGCIYAPQISKALAGADRKDLMRKLPKFIYDEEKALERTRKILAEKIEQLNSAIDDVSAQLRADEAPNGTAVAADEIEAAT >DRNTG_32870.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001927.1:6427:7101:1 gene:DRNTG_32870 transcript:DRNTG_32870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACNHVGGDRTHGVFFAILGSVLFLPGFYYTRIAYYAYKGYKGFSFANIPPV >DRNTG_32870.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001927.1:6185:7045:1 gene:DRNTG_32870 transcript:DRNTG_32870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNHVGGDRTHGVFFAILGSVLFLPGFYYTRIAYYAYKGYKGFSFANIPPV >DRNTG_32870.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001927.1:6427:7045:1 gene:DRNTG_32870 transcript:DRNTG_32870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACNHVGGDRTHGVFFAILGSVLFLPGFYYTRIAYYAYKGYKGFSFANIPPV >DRNTG_33563.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28599500:28600815:1 gene:DRNTG_33563 transcript:DRNTG_33563.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLLWPPPPSSSLSASMGEQTLSQTHTSPSSSPSPASKIPFRPRKIRKVSSDGDDSNKPPPPPAKLPIRYLPRPLSADGEVASALRHLRAVDPHLARIIETHEPPVFNTPHPPFHSLARSILYQQLAFKAAASIYTRFISLCGGEDSVVPEAVLALSPHQLRQIGVSARKASYLHDLARKYHTGILSDASIVSMDDKSLFSMLTMVKGIGAWSVHMFMIFSLHRPDVLPVGDLGVRKGVQMLYGLEDVPRPSQMDSFCERWKPYRSVGAWYMWRLVESKGSTAAVTASNGAGTQAITSGGMGAGALQQAQLIDPIQMLPNLG >DRNTG_33563.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28599500:28600815:1 gene:DRNTG_33563 transcript:DRNTG_33563.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLLWPPPPSSSLSASMGEQTLSQTHTSPSSSPASKIPFRPRKIRKVSSDGDDSNKPPPPPAKLPIRYLPRPLSADGEVASALRHLRAVDPHLARIIETHEPPVFNTPHPPFHSLARSILYQQLAFKAAASIYTRFISLCGGEDSVVPEAVLALSPHQLRQIGVSARKASYLHDLARKYHTGILSDASIVSMDDKSLFSMLTMVKGIGAWSVHMFMIFSLHRPDVLPVGDLGVRKGVQMLYGLEDVPRPSQMDSFCERWKPYRSVGAWYMWRLVESKGSTAAVTASNGAGTQAITSGGMGAGALQQAQLIDPIQMLPNLG >DRNTG_33563.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28599500:28600815:1 gene:DRNTG_33563 transcript:DRNTG_33563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLLWPPPPSSSLSASMGEQTLSQTHTSPSSSPSPSSSTAPPIISPSDPPSSSSSAASKIPFRPRKIRKVSSDGDDSNKPPPPPAKLPIRYLPRPLSADGEVASALRHLRAVDPHLARIIETHEPPVFNTPHPPFHSLARSILYQQLAFKAAASIYTRFISLCGGEDSVVPEAVLALSPHQLRQIGVSARKASYLHDLARKYHTGILSDASIVSMDDKSLFSMLTMVKGIGAWSVHMFMIFSLHRPDVLPVGDLGVRKGVQMLYGLEDVPRPSQMDSFCERWKPYRSVGAWYMWRLVESKGSTAAVTASNGAGTQAITSGGMGAGALQQAQLIDPIQMLPNLG >DRNTG_34873.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21938097:21938887:-1 gene:DRNTG_34873 transcript:DRNTG_34873.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPVTRTSLDQKLAMAKRCSHEGVVAGAKAAIVASFATAVPTLASVRMLPWARTNLNPTAQALIISTVAGAAYFIVADKTVLASARKNSFKDVN >DRNTG_26290.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22928582:22930421:-1 gene:DRNTG_26290 transcript:DRNTG_26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLAKSSAAAAAAGAGMIVLSRNSFGLIRSPVRAFASTTAPDAVGADPEKSKRRKKKNLFEVAQFLPNWGLGYKLAKSHWRDVSYELTKINLYKDGRHGKAWGIRYKAGSKIGDAPVKMSGVNKPGWKYLTESMKNINSPSMPTQTTTPASEEALH >DRNTG_14345.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:359296:363033:1 gene:DRNTG_14345 transcript:DRNTG_14345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQVVAGGAQESSLPVKEIPGSWGIPFVSPIRDRLDFYYFQGQDNFFKTRIEQYGSTVFRVNMPPGPFMARNSGVIAVLDAKSFDVLFDVSRVEKRDVFTGTYMPSTSLTGGYRVCSYLDPSEPNHAKIKQLLFTLLASRKSNVIPSFRSFYSSLFQTMESQLTASPGAKSDFNKLNDVTAFDFLGDAFFGVSPSNTNLGTNGPSKATKWLFFQLCPLMTLGLPKILEELFLHTFPLPPFLAKSNYKALYDYFSSAAAPVLDTAEKLGLSREEACHNLLFTTVFNSYGGFKVLELLS >DRNTG_28488.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8507656:8509591:1 gene:DRNTG_28488 transcript:DRNTG_28488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVWWLCVAVSLLDQVMLAWSQLASPPLPVLPIPSVTQLKWQRREIIMFFHFGMNTFTDSEWGTGHESPSSFNPTNLDANQWVGAAADIGVSLVILTAKHHDGFCLWPSTYTDHTVEKSPWKGGKGDIVREFVDAAKARGVDVGLYLSPWDRHERSYGQDLQYNEFYIAQLQELLTRYGSISEIWFDGAKGADAANMTYYFNDWFSMVKQLQNSINIFSDAGPDVRWVGDESGFAGSTCWSPINRTMLTIGSASIVNYLNKGDPRGTDWVPPECDVSIRPGWFWHKPEAPKSLSKLLEIYYKSVGRNCVLLLNVPPNSTGLLSETDIKRLKEFHSAIYTIFSNNLTKGSKVKASSQRGGQRGGFSAENVLNDDELSYWAPEDTDGESWIEMKLSDNGVISFNVIRIQEAIWMGQRIKNHEVYADDKVVAQGMTVGYKRLHRLGKIIQARSVRIRITNSRGVPLLSSVGLHLDPFAASSNRI >DRNTG_28862.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22191704:22192123:-1 gene:DRNTG_28862 transcript:DRNTG_28862.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSTKKLLLLSRENHSKDNKRSSPNNHNNKNNIHPQPYLECLL >DRNTG_02478.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2189009:2192990:-1 gene:DRNTG_02478 transcript:DRNTG_02478.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSGTSDLNPNPNPLPEDHREEPFHADVPTQNPSLPKLLHLSFNQDDSCFAAGTDHGFRIYSCDPFVEKFRQEFNGNAGGIGIVEMLFQSNIFALVGGGDSPHCPPSKIMIWDNQLSRFIGELGFRSELRAVRLRRENIIVVCHQKVIVYDFANLKLVKEIVTLPNPKGLCEVSQQQGSLVLVCPSAQKGQLRVDHFGSSRWSRFIAAHDSSIACLALSLDGELVATASSKGTLVRVFSTADGSLLQELRRGADRAEIYSLTFSADREWLAVSSDKGTVHVFRLKVNPGSTESEAPPSSTEPNASAFYSFPSLLKGVLPKYFHSEWSLAQFRLPEGSLYKVAFGHQKNTIVILGMNGSFYRCEFDPVAGGEMKQLECHFYLKTEENH >DRNTG_24230.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19821768:19823226:1 gene:DRNTG_24230 transcript:DRNTG_24230.2 gene_biotype:protein_coding transcript_biotype:protein_coding ELQDYLLQTEDSDLLRSKISGMDTLLEDFKDLIEDLKNENYEGHSSMGNPDLCERSLVTSECNVESTKHSNALTNENQHQQNEFSSEHHDLIVKLPHDNASCPIISADQETITITSAEPLKENCENISVLPGPEFASPVQMVPPFNSFAEGIPTPKFSTSERNFLLNLLDMPSPSPSPNSSKAPSCKRALLNSLDHYHPPT >DRNTG_24230.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19819394:19820099:1 gene:DRNTG_24230 transcript:DRNTG_24230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGFDRVTAMENAITVKKDRHIVSWTPEEDELLRQQVSIYGADGWTSIAAKFKDKTSRQCRRR >DRNTG_24230.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19819394:19823226:1 gene:DRNTG_24230 transcript:DRNTG_24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGFDRVTAMENAITVKKDRHIVSWTPEEDELLRQQVSIYGADGWTSIAAKFKDKTSRQCRRRWYTYLNTECKKGGWSAEEDMILCEAQRIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKLEASLKENNGVNTSTNNKRLMVHKDHNIGVESSASSKQDGYPTSAHTENSNAKQQILRRQEMRPPLAEIVQNCNNVCNLPVQLLDNGKANEAVSNNKIQGSFLKRDDPKITALLQQAELLSSLAEKVNSENTKQSLEDAWKELQDYLLQTEDSDLLRSKISGMDTLLEDFKDLIEDLKNENYEGHSSMGNPDLCERSLVTSECNVESTKHSNALTNENQHQQNEFSSEHHDLIVKLPHDNASCPIISADQETITITSAEPLKENCENISVLPGPEFASPVQMVPPFNSFAEGIPTPKFSTSERNFLLNLLDMPSPSPSPNSSKAPSCKRALLNSLDHYHPPT >DRNTG_01530.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5127396:5130545:1 gene:DRNTG_01530 transcript:DRNTG_01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPNSIVTSRALLPPSFSDRSLKSSDLSLVIFGRKSMNFSPSLLSRARISTHKKRVVVQAAFGDTGRPSSASIFVGGFVLGGLIMGALGCIYAPQISKALAGTDKKDLMRKLPKFIYDEEKALETRKILEAKIEQLNAAIDGVSSQLRGDETPNGMPVVSDEIEAGI >DRNTG_05722.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:11836064:11840447:1 gene:DRNTG_05722 transcript:DRNTG_05722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRRSRLLFSPGACFRDVLHEHTMNTKKLSENVLRTTAKSLELNEDLFVSHLGDKFTIFARFNYYPCCSKPDLVFGLKPHTDGSLITVILPDKDVEGLQVMKDGKWITVTTSPHAFIINIGDQMEIMSNGIFKSPIHRVVTFSDKDRISIAMFCLNLPGKVIGPAEELVNDMRPRMYKNLKVKDYLEVFFQRFSQGKRAIDWA >DRNTG_05722.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:11836064:11836659:1 gene:DRNTG_05722 transcript:DRNTG_05722.2 gene_biotype:protein_coding transcript_biotype:protein_coding KISQPFTTTEHRSRFSSSLPLRSSMDAPMSPPVKSLTTERMMVQARAEAGEAPPTDYIVKDESQHPLDAPPPLTAPLPVVHLGHRDEAEEIKASLQSWGMFQVSPFPSFPFGIWVCLG >DRNTG_08844.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27911203:27912849:1 gene:DRNTG_08844 transcript:DRNTG_08844.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLQSFSEAMDSRNLQVWNNAAFDAAADGGSCKENRSPRSPLQELCRKGVKVLDDDLDESKIDAEIEEIEREIRRLSARLDALKIKKMGRDSKASAGNARRGGRIVPAKFMEPSVKKIEESPGSVRSRRRGLSLGPAEISKLDSSRKLCFLKLDGVEEDKEPRSWSKTPKPWPSISRGTTPIGLGKAAQSPAGARDAIVPRRGMSLGPSEIFSATRLRPQSKLQEIKEKEVMGKERGRSSSTSPKSRRATDSKISDLRKGIATVGPKKTVKKEDTPLTNLKPKALFQEPKNSITGKRPMKIVKGRVVPSRYSLVNSRTTGDEQGSKRRKWSLPEVGKEEAPADESKRSLSLGEVEVRSMIAHSPPSIMKVAALLPKIRTTRCAAQSPRDSGRAKRVAELVGKTSHFGTATDDGTCSPCQSLNFDEEQ >DRNTG_08844.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27911276:27912849:1 gene:DRNTG_08844 transcript:DRNTG_08844.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLQSFSEAMDSRNLQVWNNAAFDAAADGGSCKENRSPRSPLQELCRKGVKVLDDDLDESKIDAEIEEIEREIRRLSARLDALKIKKMGRDSKASAGNARRGGRIVPAKFMEPSVKKIEESPGSVRSRRRGLSLGPAEISKLDSSRKLCFLKLDGVEEDKEPRSWSKTPKPWPSISRGTTPIGLGKAAQSPAGARDAIVPRRGMSLGPSEIFSATRLRPQSKLQEIKEKEVMGKERGRSSSTSPKSRRATDSKISDLRKGIATVGPKKTVKKEDTPLTNLKPKALFQEPKNSITGKRPMKIVKGRVVPSRYSLVNSRTTGDEQGSKRRKWSLPEVGKEEAPADESKRSLSLGEVEVRSMIAHSPPSIMKVAALLPKIRTTRCAAQSPRDSGRAKRVAELVGKTSHFGTATDDGTCSPCQSLNFDEEQ >DRNTG_08844.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27911203:27912954:1 gene:DRNTG_08844 transcript:DRNTG_08844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLQSFSEAMDSRNLQVWNNAAFDAAADGGSCKENRSPRSPLQELCRKGVKVLDDDLDESKIDAEIEEIEREIRRLSARLDALKIKKMGRDSKASAGNARRGGRIVPAKFMEPSVKKIEESPGSVRSRRRGLSLGPAEISKLDSSRKLCFLKLDGVEEDKEPRSWSKTPKPWPSISRGTTPIGLGKAAQSPAGARDAIVPRRGMSLGPSEIFSATRLRPQSKLQEIKEKEVMGKERGRSSSTSPKSRRATDSKISDLRKGIATVGPKKTVKKEDTPLTNLKPKALFQEPKNSITGKRPMKIVKGRVVPSRYSLVNSRTTGDEQGSKRRKWSLPEVGKEEAPADESKRSLSLGEVEVRSMIAHSPPSIMKVAALLPKIRTTRCAAQSPRDSGRAKRVAELVGKTSHFGTATDDGTCSPCQSLNFDEEQ >DRNTG_08844.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27911276:27912954:1 gene:DRNTG_08844 transcript:DRNTG_08844.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLQSFSEAMDSRNLQVWNNAAFDAAADGGSCKENRSPRSPLQELCRKGVKVLDDDLDESKIDAEIEEIEREIRRLSARLDALKIKKMGRDSKASAGNARRGGRIVPAKFMEPSVKKIEESPGSVRSRRRGLSLGPAEISKLDSSRKLCFLKLDGVEEDKEPRSWSKTPKPWPSISRGTTPIGLGKAAQSPAGARDAIVPRRGMSLGPSEIFSATRLRPQSKLQEIKEKEVMGKERGRSSSTSPKSRRATDSKISDLRKGIATVGPKKTVKKEDTPLTNLKPKALFQEPKNSITGKRPMKIVKGRVVPSRYSLVNSRTTGDEQGSKRRKWSLPEVGKEEAPADESKRSLSLGEVEVRSMIAHSPPSIMKVAALLPKIRTTRCAAQSPRDSGRAKRVAELVGKTSHFGTATDDGTCSPCQSLNFDEEQ >DRNTG_21591.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19141124:19143237:1 gene:DRNTG_21591 transcript:DRNTG_21591.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGKLREVSGALSMQGYLLLHLNHENFRLLSLRKAQVKSGEAEGIPSNESATINEETLQRDLEIAIKEEDYARAAKIRDDLRLLQEDSKAAVLSANNRFYNAFRNGDLAAMNSIWAKGEHAYVVHPGAGRICNYDLVIGSWEIMCGAEHEFPLQIELQDVEVHVRGDVGYVSCLEVVRTGGSSWGKQMATNVFERIDGQWFICIHHASHVDM >DRNTG_09182.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7464889:7466180:1 gene:DRNTG_09182 transcript:DRNTG_09182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAVVTNIVDIKKCKEMKRNWMPTEIWDVLIDTVWSRESWQNKSKKALGNRLTEKEGSITKHTSGSRSFLATQKLMDKYQSALAEKYGDNTCYHSEFDLEAWTSSIGGKIATHTHVYGFGTMVNSKALFDATSSAAACTTNSVYGPSTSTPHMYVPLNDDRIVSLEQKLESLTDDVSQVKNVVGDISNLKNQFQIMMSFMIEKFGSNIPPPTKKDIGARREQQKKKLKEDNGQEEGTKKIKVI >DRNTG_08699.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18035591:18036354:1 gene:DRNTG_08699 transcript:DRNTG_08699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGRGTPGYAAPEMWMMGQVTYKCDVYSFGILLFEIAGRRRSFDASLEEDERWFPKWVWERYENGEMEKVMETIGVDDEHKGETERKLMVALWCVQYQPERRPPMDKVVKMLEGEMEIVPPLNPFQHLLSSSAPSKDLWSGTSLSSSGADNQGLRFHSLQQQQQQQEEEAARFYSLPV >DRNTG_29502.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21115197:21115666:1 gene:DRNTG_29502 transcript:DRNTG_29502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLGCLLFTLWFEASGDATQSFEDIGHSSIATTMRERFLVGVVEGYEPGEDAKKDREPGRARVLQERTGPSSRLTDYLWPLLILALAFGAWFYSNYYSDEA >DRNTG_18351.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14733443:14739834:1 gene:DRNTG_18351 transcript:DRNTG_18351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMRRARTLLLASRCLLPSSTASDHRLLRAAPQRLHPLPAPSDLIASKPVSLGLAGALSFSLTLMTRAEAKEPPAAELVPKDVVLYQYEACPFCNKVKAFLDYHDIPYKVVEVNPFGKKEIKWSEYKKVPILVVDGEQLVESSDIIDNLNCKIYPDYRNADEEETKWRRWVDDHLVHILSPNIYRTPSEALEAFDYIASHGNFSFAQRLTAKYAGAAVMYWVSKRLKDKHKITDERAALYEAAETWTKALNGRDFLGGSKPNLADLAVFGVLRPIRYLTAGKDMVEKTRIGDWYQRMENAVGDSARISA >DRNTG_20895.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25106613:25109384:-1 gene:DRNTG_20895 transcript:DRNTG_20895.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCNCFSNGKSSNSTPPPPATSKEPKPSQKPAPTPQAPTKPPAKPAAIGPVLGRPMEDVRATYSIGKELGRGQFGVTHLCTHKATGEQFACKTITKRKLANKEDIEDVRREVQIMHHLTGQPNIVELKGAYEDKQSVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVQIVHTFHSMGVVHRDLKPENFLLLSKDENAPLKATDFGLSVFFKQGEVFRDIVGSAYYIAPEVLRRRYGPEVDIWSVGVMLYILLCGVPPFWAETEHGIFNAILRGQVDFSSDPWPKISAGAKDLVKKMLTSDPKQRITAFEVLNHPWIKEDGEAPDTPLDNAVLNRLKQFRAMNKFKKAALRVIAGCLSEEEIRGLKEMFKNMDTDNSGSITLEELKQGLQKQGTKLTESEVKQLMEAADADGNGTIDYEEFITATMHINRMDREDHLYKAFQYFDTDNSGYITKEELEQALKAQGLYDGREIKDIISDADADNDGRINYEEFVAMMRQGNPEPNNPKKRRDVFIS >DRNTG_18263.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:9566759:9567604:-1 gene:DRNTG_18263 transcript:DRNTG_18263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKANQKWDKTGLKVFVPKKKKWVGQSRLNKYEQELMRIFLNCRMDSTVVWKNDAVSTSRDKLYTLLEGKEMVTDDVIDAFVCIIQKSLSKLPYPYKKRASITRPLALFMSKQGDAHETTMAM >DRNTG_33041.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27008110:27020027:1 gene:DRNTG_33041 transcript:DRNTG_33041.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWNYVVTAHKPTNVTHSCVGNFTAPQELNLIIAKCTRIEIHLLTTQGLQPMLDVPIYGRIATLELFRPHGEAQDFLFIATERYKFCVLHWDGESSELVTRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLYGCSKPTIVVLYQDNKDARHVKTYEVALKDKDFVEGPWLQNNLDNGAGLLIPVPMPLGGVIIIGEDTIVYCSSSVFKAVPTKPSITRAYGRVDSDGSRYLLSDNSGMLYLLVITHEKERVTGLKIEELGETSVASTLSYLDNGVVYVGSSYGDSQIIKLNTQPDAKGSYVEVCERYVNLGPIVDFCVVDLERQGQGQVVTCSGAYKDGSLRIVRNGIGINEQASVELQGIKGLWSLRCSTSDVYDTFLVVSFINETRVLAMNMEDELEETEIEGFYAQAQTLFCQNAINDQLVQVTSGSVRLVSSTSRELLDEWHAPSTFSVNVATANASQVLLATGGGHLVYLEIGVGKLVEVKHLQLEYEISCLDINPIGENSSYSTLAAVGMWTDISVRMFSLPGLDLITKECLGGEIIPRSVLLCSFEGISYLLCALGDGHLFNFQLNVKTGELTDRKKVSLGTQPITLRTFSSKDTTHVFAASDRPTVIYSSNKKLLYSNVNLKEVSHMCPFNSAAFPDSLAIAKEGELSIGTIDDIQKLHIRTIPLGEHARRICHQEQSRTFAICSMKNNCLSNPEESEMHFVRLLDDQTFDFLATYALDTYEYGCSILSCSFADDNNVYYCVGTAYVLPEENEPTKGRILVFIVEDGKLQLIAEKGNKRGGVFT >DRNTG_33041.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27006546:27020027:1 gene:DRNTG_33041 transcript:DRNTG_33041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWNYVVTAHKPTNVTHSCVGNFTAPQELNLIIAKCTRIEIHLLTTQGLQPMLDVPIYGRIATLELFRPHGEAQDFLFIATERYKFCVLHWDGESSELVTRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLYGCSKPTIVVLYQDNKDARHVKTYEVALKDKDFVEGPWLQNNLDNGAGLLIPVPMPLGGVIIIGEDTIVYCSSSVFKAVPTKPSITRAYGRVDSDGSRYLLSDNSGMLYLLVITHEKERVTGLKIEELGETSVASTLSYLDNGVVYVGSSYGDSQIIKLNTQPDAKGSYVEVCERYVNLGPIVDFCVVDLERQGQGQVVTCSGAYKDGSLRIVRNGIGINEQASVELQGIKGLWSLRCSTSDVYDTFLVVSFINETRVLAMNMEDELEETEIEGFYAQAQTLFCQNAINDQLVQVTSGSVRLVSSTSRELLDEWHAPSTFSVNVATANASQVLLATGGGHLVYLEIGVGKLVEVKHLQLEYEISCLDINPIGENSSYSTLAAVGMWTDISVRMFSLPGLDLITKECLGGEIIPRSVLLCSFEGISYLLCALGDGHLFNFQLNVKTGELTDRKKVSLGTQPITLRTFSSKDTTHVFAASDRPTVIYSSNKKLLYSNVNLKEVSHMCPFNSAAFPDSLAIAKEGELSIGTIDDIQKLHIRTIPLGEHARRICHQEQSRTFAICSMKNNCLSNPEESEMHFVRLLDDQTFDFLATYALDTYEYGCSILSCSFADDNNVYYCVGTAYVLPEENEPTKGRILVFIVEDGKLQLIAEKGNKRGGVFT >DRNTG_11962.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000523.1:33448:47503:-1 gene:DRNTG_11962 transcript:DRNTG_11962.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLEKALTRFVQSSDTRFQSIKVTLHNHTASLYNIQVGQITKSQLERPQGSLLSNTETNPREHVKAITL >DRNTG_35119.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2998329:3001329:-1 gene:DRNTG_35119 transcript:DRNTG_35119.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DROUGHT SENSITIVE 1 [Source:Projected from Arabidopsis thaliana (AT1G80710) UniProtKB/TrEMBL;Acc:Q9SAI7] MADKTLTDYERRRIDNIRRNGEMMASLMLHQKASSLLYSRRSPSPKPQSKKPKLSSSPVAIRRSLRTQGLPPSSSTPPSDDSPSSPSSSDQPPSTPPWKQGPLRISDALVGDSPLSDRDLMDVIKSGSVASEVDDLGFDPMVEMCLKPENVARVLPERILSVRFLPFGDQVVVTVGDKAGNVGFWDVGKGRDGVYVYKPHASPVSGISVHPFAATKIFTSSYDGFIRLMDVEEETFNMIYASDFEIFSISQVPNDHNSLYCGEGTGILKAWDERAGKVSGSWELHEDRINTIDFNPQNTNSIATSSTDRTACIWDVRSINKDSPESLKKVQHLRAVHSAFFSPSGSCLATTSIDDKVIILSGSDFDDLSMILHNNQTGRWLSSFRAIWGWDDSFLFIGNMRRAVDVISTSNKTTISLESEHMTSIPCRFSAHPCLKGTMACATAGGKVNIWTKISR >DRNTG_21710.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:587080:593229:1 gene:DRNTG_21710 transcript:DRNTG_21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Auxin response factor 1 [Source: Projected from Oryza sativa (Os06g0196700)] MKAPTLNDFSGNPNEGERKTINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMKKDTDSIPSYPSLSSKIVCVLHNVTLHADPETDEVYAQMTLQPVNNYDKEALLASELGLKQNKQPAEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELAAKDLHDVTWTFRHIYRGQPKRHLLTTGWSVFVSSKRLFAGDSVLVIRDEKSQLLLGVKRANRQQPALSSSVLPSDSMHIGILAAAAHAAASNSPFTIFYNPRASPSEFVVPLAKYLKAMYTQISLGMRFRMMFETEESGVRRYMGTVTGISDLDPVRWKNSQWRNLQVGWDESTAGERRTRVSIWEIEPVATPFYICPPPFLRPKFFKPPGMPDDSDVENGFRKTMPWLGDDFGKEAPNPFFTGFSLVQWMAMQQNSQLMASAAQSVHFPSLAASAVQNTSTDDPSRLLNFQTNALPPQNLQFTSKATHQLQQMEQQLALPWPQQHQQQQQQQQPQQQQQFSTVTLPEQSQKQQFLQQQRNPNHTQEQHHQQQHNSIVLENQSRQPQQHQKLHGQLPQNQLQEQHLRPAVSQQNIEPAQKQHQSFSSQFLPQNQILNQVLPPPSFQVQQQGIPKPGNCLSNISSFQPSSLSGISQVQPPVEDQLFLLKQQQQQQQQFQQSQQQQQQQQQCQSQLQLQLLQKLQQQQVLSQLNPQLQSQLLTQLSQQSQQQQNLQPQLLNTQFLQQKQQIPISHTTDHVKPEPLVRANSTLSENEGPSCSTSPSTNFHATPSSLLKRHRQGPSIFNESMGVRHLDNQLQEIQSRSDGQMKHELHCIKETDQLPHQHAVSDQLDASSASSFCMDAAAVQEGFSLHPLCMDSDVPEDNRNNFLIGATNDGLVMNTMPTRGLSSGKDIENLLSGYGNQKDMDAEVSTAAISSQSFGIPDMSFRPGCSSDPAANESRMYNRGMWANQPQRMRTYTKVQKRGSVGRSIDVTRYIGYDDLRRDLARMFGIEGQLEDPHRTEWKLVYVDHENDILLVGDDPWEEFVSCVQSIKILSPVEVQQMSLDGDLASVPPVQNQACSGSDNGNVWRGHYDDSAASFQHR >DRNTG_21710.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:587080:593229:1 gene:DRNTG_21710 transcript:DRNTG_21710.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Auxin response factor 1 [Source: Projected from Oryza sativa (Os06g0196700)] MKAPTLNDFSGNPNEGERKTINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMKKDTDSIPSYPSLSSKIVCVLHNVTLHADPETDEVYAQMTLQPVNNYDKEALLASELGLKQNKQPAEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELAAKDLHDVTWTFRHIYRGQPKRHLLTTGWSVFVSSKRLFAGDSVLVIRDEKSQLLLGVKRANRQQPALSSSVLPSDSMHIGILAAAAHAAASNSPFTIFYNPRASPSEFVVPLAKYLKAMYTQISLGMRFRMMFETEESGVRRYMGTVTGISDLDPVRWKNSQWRNLQVGWDESTAGERRTRVSIWEIEPVATPFYICPPPFLRPKFFKPPGMPDDSDVENGFRKTMPWLGDDFGKEAPNPFFTGFSLVQWMAMQQNSQLMASAAQSVHFPSLAASAVQNTSTDDPSRLLNFQTNALPPQNLQFTSKATHQLQQMEQQLALPWPQQHQQQQQQQQPQQQQQFSTVTLPEQSQKQQFLQQQRNPNHTQEQHHQQQHNSIVLENQSRQPQQHQKLHGQLPQNQLQEQHLRPAVSQQNIEPAQKQHQSFSSQFLPQNQILNQVLPPPSFQVQQQGIPKPGNCLSNISSFQPSSLSGISQVQPPVEDQLFLLKQQQQQQQQFQQSQQQQQQQQQCQSQLQLQLLQKLQQQQVLSQLNPQLQSQLLTQLSQQSQQQQNLQPQLLNTQFLQQKQQIPISHTTDHVKPEPLVRANSTLSENEGPSCSTSPSTNFHATPSSLLKRHRQGPSIFNESMGVRHLDNQLQEIQSRSDGQMKHELHCIKETDQLPHQHAVSDQLDASSASSFCMDAAAVQEGFSLHPLCMDSDVPEDNRNNFLIGATNDGLVMNTMPTRGLSSGKDIENLLSGYGNQKDMDAEVSTAAISSQSFGIPDMSFRPGCSSDPAANESRMYNRGMWANQPQRMRTYTKVQKRGSVGRSIDVTRYIGYDDLRRDLARMFGIEGQLEDPHRTEWKLVYVDHENDILLVGDDPWEEFVSCVQSIKILSPVEVQQMSLDGDLASVPPVQNQACSGSDNGNVWRGHYDDSAASFQHR >DRNTG_21710.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:587080:593229:1 gene:DRNTG_21710 transcript:DRNTG_21710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Auxin response factor 1 [Source: Projected from Oryza sativa (Os06g0196700)] MQPPAQELAAKDLHDVTWTFRHIYRGQPKRHLLTTGWSVFVSSKRLFAGDSVLVIRDEKSQLLLGVKRANRQQPALSSSVLPSDSMHIGILAAAAHAAASNSPFTIFYNPRASPSEFVVPLAKYLKAMYTQISLGMRFRMMFETEESGVRRYMGTVTGISDLDPVRWKNSQWRNLQVGWDESTAGERRTRVSIWEIEPVATPFYICPPPFLRPKFFKPPGMPDDSDVENGFRKTMPWLGDDFGKEAPNPFFTGFSLVQWMAMQQNSQLMASAAQSVHFPSLAASAVQNTSTDDPSRLLNFQTNALPPQNLQFTSKATHQLQQMEQQLALPWPQQHQQQQQQQQPQQQQQFSTVTLPEQSQKQQFLQQQRNPNHTQEQHHQQQHNSIVLENQSRQPQQHQKLHGQLPQNQLQEQHLRPAVSQQNIEPAQKQHQSFSSQFLPQNQILNQVLPPPSFQVQQQGIPKPGNCLSNISSFQPSSLSGISQVQPPVEDQLFLLKQQQQQQQQFQQSQQQQQQQQQCQSQLQLQLLQKLQQQQVLSQLNPQLQSQLLTQLSQQSQQQQNLQPQLLNTQFLQQKQQIPISHTTDHVKPEPLVRANSTLSENEGPSCSTSPSTNFHATPSSLLKRHRQGPSIFNESMGVRHLDNQLQEIQSRSDGQMKHELHCIKETDQLPHQHAVSDQLDASSASSFCMDAAAVQEGFSLHPLCMDSDVPEDNRNNFLIGATNDGLVMNTMPTRGLSSGKDIENLLSGYGNQKDMDAEVSTAAISSQSFGIPDMSFRPGCSSDPAANESRMYNRGMWANQPQRMRTYTKVQKRGSVGRSIDVTRYIGYDDLRRDLARMFGIEGQLEDPHRTEWKLVYVDHENDILLVGDDPWEEFVSCVQSIKILSPVEVQQMSLDGDLASVPPVQNQACSGSDNGNVWRGHYDDSAASFQHR >DRNTG_20455.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4605436:4619325:-1 gene:DRNTG_20455 transcript:DRNTG_20455.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTLHHWQDPQRSSQALNKELEAHSPNHHFHLHHLHLHLHSQHQLHHSSYSRLLLQTPPHPQQHRP >DRNTG_20455.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4618555:4619325:-1 gene:DRNTG_20455 transcript:DRNTG_20455.2 gene_biotype:protein_coding transcript_biotype:protein_coding SNTPSSKLSSFSLPSSSPSLESSPQRIRSPLPTPVSLYRQKDYSRSSKRDGIKLSSLSYTYSSLI >DRNTG_31251.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3021933:3025087:1 gene:DRNTG_31251 transcript:DRNTG_31251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYEQFGLCNILKLISFNVLGSSILSFAG >DRNTG_24502.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19007552:19013828:-1 gene:DRNTG_24502 transcript:DRNTG_24502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDIEKPEKVEVLNIDSKKVNHDYVKPSAHAIDKDSWQQVGVMLVTSCNCAYVLSFSNLMLVPLGWAWGIFCLIMVGAFAFYANWLLADFHIIDEYRFIRYRDLMGYVFGRRLYYTTWILQFLTLLLANMGFILLGGKALKEINSEFNSTTMRLQVFIVIAGFVYFLFAYLVPTMSALRNWLALSAILTITFEVTLLAILLKDGKKSKREEDYNIHGSKTDKIINAFGAIAAILACNASGLLPEIQSTLRKPAVVNMRKALTMQFTIGLAIYYGVSIVGYWAYGSSVSAYLPSELSGPKWAKVLINSFAFLQSIVSQHMFCAPIHEALDTKFLRLDEKMFSKNNLLRRFILRSIVFGMNTFITALLPFMGDFVNLLGSFTLFPLTFVFPSMIFLKVKGKSSNRVEKAWHWINIIVFSLLSIITTASAIRIIINNARIYYLFADT >DRNTG_35092.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1442565:1448273:-1 gene:DRNTG_35092 transcript:DRNTG_35092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTLSPKRNSPRASLQLGLLLCLASSITKDFVIRLPNNTTPKRKKKLTPFSSSLDLIQSSSRASGSPPKASAMHVLPLTATQERRSKREREKEREREREEEGGGEAKERGRRG >DRNTG_09642.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21916258:21916612:1 gene:DRNTG_09642 transcript:DRNTG_09642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWFKVHAMQTESEWKVGAGSNGRHGHGCGISFMKQEEEEEEELCHLLMSKAWQQLTGNWRRFQLAFVGG >DRNTG_35243.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3498983:3512573:-1 gene:DRNTG_35243 transcript:DRNTG_35243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKINCKPAVPPDKESNGLNDQGMQCEPFNNSKSSKIEASDELKIGGKSTMESKELDSNMSDKPEVADGQKKNELDDRIIEAKVAYECGSLEAVDGLRDGTEERKTEAEKIDLKESSKTEAADRQSDDPGDNRITAKMVDSDVSIKLETADSPRDNAEVGNIESEYLIPNKSHETESADKESEVIIDGSGEAIKADLNMSGNLQKNSPLSGRSEAEKPVLFGSSKSEAAKLDKSVDKSTKAKKSGSTKSSKLEPADRRRNVFMKGKVGSLVSDSKNFSKLEAEKTRSIQHSSQRTLKTGKGDTTHPICVKRKDHSIVKDKNVKMQGNFNVWKSLRGKKSGGPGKGLIVRAKEEEARNSLCSSSIAKQNKILKQPSVSGERKILIRSTSSRNQSATRKNSSVQKCRSYDAKADRLILSSKRNLKGLGSPDVKEHMTSKVEGRASSKAPLLDNQNAEAPSAGRGTARQKLREQIKGILLDAGWKIDLRPRRGRNYEDNVYISPEGRGYWSITKAYAMFQEKLPSGHEAKQKGLPIKLLKKSGGSDHNLEGPSSPISMNMLSILKRKTAKQRSREELEETPLKVSDHKRRIKVKQTTDDIGRKKKLKSSNISSTTNSVAQKPPILERNNSNKRGCALLVRGAHQEAESDDDDYVPYVWKRTVLSWLIDLGILPINGIVKYMNKRRTRAILEGRITRDGIHCNCCSKILSVSKFELHAGSKLQQPYENIYVDKLEMSLLQCLLDAWEKQESSERQGFFSVDVGGDDPNDDTCGICGDGGDLICCDGCPSTFHLNCLGIKVLPPGDWHCSNCSCKFCWVASGSCPRGSGQTAQLLCCSLCEEKYHKTCVPEVYALRRVSNNAPTSFCGRSCGEVFKGLHKLIGAKNDLEAGFSWSVLCRFDEDSPKHHFELPRKAECNSKIAVALAVMDECFLPITDERSGINLIHNVVYNCGSNFSRLNYSGFYTFVLERGDEIISVASIRIHGTRLAEMPFIGTRNMYRRQGMCRRLLTGIEVALSSLNIEKLIIPAISELTETWTTVFGFKPLDTSQRQEVRYVNMLVFPGTGLLQKALLSTNSTEHPTIATEVDKVEHLSKDNCKLDLAKGSPQVSSGDCKSKVDDTEPNGATFVDSGNSPSEVSLLSYNDSHGSQLKAHKFMEKASDTDLSLKTEGVYHDGTVNGNDKVDNAGAGFKLDVHAAAEVAAQHMPNAKFSSSDASGSNLQDRNESNLHVPVSSQVSSTDGAHLDRCEVANLSHSSLHEQQGSKIIPETDFQNLSGMSSLVNEPYASPVDSKSCADKDMKTDANIGKAKLVSESHINNIIAGQDTSEANRGLNVASGKESDVSLSGVASDFDVVTEKPSTFFHAPEASVNTFIQQDPELTKVLECHIPTRYNCNNPDSINILSPPKQNGTSNGVVLLQNKVPNGHSPVEQDPDAVSKSFGQNTPGLVGNDNLDLVAKLPSMFVSEVSALGEGATPDVSSAPDLATSESSAHLATMVRNAIDVASDCMVRRETETADEANTNVAVQPNGPSYDFGKREVDTNFSLVKLANECGSSAQSSLGMISVPASDSSEAIIQQHESELPKVVHHAPASGVAGPLADGTNNSEMHKVVDRCRQAVCLVDSTESAD >DRNTG_35243.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3498983:3502840:-1 gene:DRNTG_35243 transcript:DRNTG_35243.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEKASDTDLSLKTEGVYHDGTVNGNDKVDNAGAGFKLDVHAAAEVAAQHMPNAKFSSSDASGSNLQDRNESNLHVPVSSQVSSTDGAHLDRCEVANLSHSSLHEQQGSKIIPETDFQNLSGMSSLVNEPYASPVDSKSCADKDMKTDANIGKAKLVSESHINNIIAGQDTSEANRGLNVASGKESDVSLSGVASDFDVVTEKPSTFFHAPEASVNTFIQQDPELTKVLECHIPTRYNCNNPDSINILSPPKQNGTSNGVVLLQNKVPNGHSPVEQDPDAVSKSFGQNTPGLVGNDNLDLVAKLPSMFVSEVSALGEGATPDVSSAPDLATSESSAHLATMVRNAIDVASDCMVRRETETADEANTNVAVQPNGPSYDFGKREVDTNFSLVKLANECGSSAQSSLGMISVPASDSSEAIIQQHESELPKVVHHAPASGVAGPLADGTNNSEMHKVVDRCRQAVCLVDSTESAD >DRNTG_35243.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3498983:3512573:-1 gene:DRNTG_35243 transcript:DRNTG_35243.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKINCKPAVPPDKESNGLNDQGMQCEPFNNSKSSKIEASDELKIGGKSTMESKELDSNMSDKPEVADGQKKNELDDRIIEAKVAYECGSLEAVDGLRDGTEERKTEAEKIDLKESSKTEAADRQSDDPGDNRITAKMVDSDVSIKLETADSPRDNAEVGNIESEYLIPNKSHETESADKESEVIIDGSGEAIKADLNMSGNLQKNSPLSGRSEAEKPVLFGSSKSEAAKLDKSVDKSTKAKKSGSTKSSKLEPADRRRNVFMKGKVGSLVSDSKNFSKLEAEKTRSIQHSSQRTLKTGKGDTTHPICVKRKDHSIVKDKNVKMQGNFNVWKSLRGKKSGGPGKGLIVRAKEEEARNSLCSSSIAKQNKILKQPSVSGERKILIRSTSSRNQSATRKNSSVQKCRSYDAKADRLILSSKRNLKGLGSPDVKEHMTSKVEGRASSKAPLLDNQNAEAPSAGRGTARQKLREQIKGILLDAGWKIDLRPRRGRNYEDNVYISPEGRGYWSITKAYAMFQEKLPSGHEAKQKGLPIKLLKKSGGSDHNLEGPSSPISMNMLSILKRKTAKQRSREELEETPLKVSDHKRRIKVKQTTDDIGRKKKLKSSNISSTTNSVAQKPPILERNNSNKRGCALLVRGAHQEAESDDDDYVPYVWKRTVLSWLIDLGILPINGIVKYMNKRRTRAILEGRITRDGIHCNCCSKILSVSKFELHAGSKLQQPYENIYVDKLEMSLLQCLLDAWEKQESSERQGFFSVDVGGDDPNDDTCGICGDGGDLICCDGCPSTFHLNCLGIKVLPPGDWHCSNCSCKFCWVASGSCPRGSGQTAQLLCCSLCEEKYHKTCVPEVYALRRVSNNAPTSFCGRSCGEVFKGLHKLIGAKNDLEAGFSWSVLCRFDEDSPKHHFELPRKAECNSKIAVALAVMDECFLPITDERSGINLIHNVVYNCGSNFSRLNYSGFYTFVLERGDEIISVASIRIHGTRLAEMPFIGTRNMYRRQGMCRRLLTGIEVALSSLNIEKLIIPAISELTETWTTVFGFKPLDTSQRQEVRYVNMLVFPGTGLLQKALLSTNSTEHPTIATEVDKVEHLSKDNCKLDLAKGSPQVSSGDCKSKVDDTEPNGATFVDSGNSPSEVSLLSYNDSHGSQLKAHKFMEKASDTDLSLKTEGVYHDGTVNGNDKVDNAGAGFKLDVHAAAEVAAQHMPNAKFSSSDASGSNLQDRNESNLHVPVSSQVSSTDGAHLDRCEVANLSHSSLHEQQGSKIIPETDFQNLSGMSSLVNEPYASPVDSKSCADKDMKTDANIGKAKLVSESHINNIIAGQDTSEANRGLNVASGKESDVSLSGVASDFDVVTEKPSTFFHAPEASVNTFIQQDPELTKVLECHIPTRYNCNNPDSINILSPPKQNGTSNGVVLLQNKVPNGHSPVEQDPDAVSKSFGQNTPGLVGNDNLDLVAKLPSMFVSEVSALGEGATPDVSSAPDLATSESSAHLATMVRNAIDVASDCMVRRETETADEANTNVAVQPNGPSYDFGKREVDTNFSLVKLANECGSSAQSSLGMISVPASDSSEAIIQQHESELPKVVHHAPASGVAGPLADGTNNSEMHKVVDRCRQAVCLVDSTESAD >DRNTG_35243.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3498983:3512573:-1 gene:DRNTG_35243 transcript:DRNTG_35243.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKINCKPAVPPDKESNGLNDQGMQCEPFNNSKSSKIEASDELKIGGKSTMESKELDSNMSDKPEVADGQKKNELDDRIIEAKVAYECGSLEAVDGLRDGTEERKTEAEKIDLKESSKTEAADRQSDDPGDNRITAKMVDSDVSIKLETADSPRDNAEVGNIESEYLIPNKSHETESADKESEVIIDGSGEAIKADLNMSGNLQKNSPLSGRSEAEKPVLFGSSKSEAAKLDKSVDKSTKAKKSGSTKSSKLEPADRRRNVFMKGKVGSLVSDSKNFSKLEAEKTRSIQHSSQRTLKTGKGDTTHPICVKRKDHSIVKDKNVKMQGNFNVWKSLRGKKSGGPGKGLIVRAKEEEARNSLCSSSIAKQNKILKQPSVSGERKILIRSTSSRNQSATRKNSSVQKCRSYDAKADRLILSSKRNLKGLGSPDVKEHMTSKVEGRASSKAPLLDNQNAEAPSAGRGTARQKLREQIKGILLDAGWKIDLRPRRGRNYEDNVYISPEGRGYWSITKAYAMFQEKLPSGHEAKQKGLPIKLLKKSGGSDHNLEGPSSPISMNMLSILKRKTAKQRSREELEETPLKVSDHKRRIKVKQTTDDIGRKKKLKSSNISSTTNSVAQKPPILERNNSNKRGCALLVRGAHQEAESDDDDYVPYVWKRTVLSWLIDLGILPINGIVKYMNKRRTRAILEGRITRDGIHCNCCSKILSVSKFELHAGSKLQQPYENIYVDKLEMSLLQCLLDAWEKQESSERQGFFSVDVGGDDPNDDTCGICGDGGDLICCDGCPSTFHLNCLGIKVLPPGDWHCSNCSCKFCWVASGSCPRGSGQTAQLLCCSLCEEKYHKTCVPEVYALRRVSNNAPTSFCGRSCGEVFKGLHKLIGAKNDLEAGFSWSVLCRFDEDSPKHHFELPRKAECNSKIAVALAVMDECFLPITDERSGINLIHNVVYNCGSNFSRLNYSGFYTFVLERGDEIISVASIRIHGTRLAEMPFIGTRNMYRRQGMCRRLLTGIEVALSSLNIEKLIIPAISELTETWTTVFGFKPLDTSQRQEVRYVNMLVFPGTGLLQKALLSTNSTEHPTIATEVDKVEHLSKDNCKLDLAKGSPQVSSGDCKSKVDDTEPNGATFVDSGNSPSEVSLLSYNDSHGSQLKAHKFMEKASDTDLSLKTEGVYHDGTVNGNDKVDNAGAGFKLDVHAAAEVAAQHMPNAKFSSSDASGSNLQDRNESNLHVPVSSQVSSTDGAHLDRCEVANLSHSSLHEQQGSKIIPETDFQNLSGMSSLVNEPYASPVDSKSCADKDMKTDANIGKAKLVSESHINNIIAGQDTSEANRGLNVASGKESDVSLSGVASDFDVVTEKPSTFFHAPEASVNTFIQQDPELTKVLECHIPTRYNCNNPDSINILSPPKQNGTSNGVVLLQNKVPNGHSPVEQDPDAVSKSFGQNTPGLVGNDNLDLVAKLPSMFVSEVSALGEGATPDVSSAPDLATSESSAHLATMVRNAIDVASDCMVRRETETADEANTNVAVQPNGPSYDFGKREVDTNFSLVKLANECGSSAQSSLGMISVPASDSSEAIIQQHESELPKVVHHAPASGVAGPLADGTNNSEMHKVVDRCRQAVCLVDSTESAD >DRNTG_35243.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3498983:3512573:-1 gene:DRNTG_35243 transcript:DRNTG_35243.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKINCKPAVPPDKESNGLNDQGMQCEPFNNSKSSKIEASDELKIGGKSTMESKELDSNMSDKPEVADGQKKNELDDRIIEAKVAYECGSLEAVDGLRDGTEERKTEAEKIDLKESSKTEAADRQSDDPGDNRITAKMVDSDVSIKLETADSPRDNAEVGNIESEYLIPNKSHETESADKESEVIIDGSGEAIKADLNMSGNLQKNSPLSGRSEAEKPVLFGSSKSEAAKLDKSVDKSTKAKKSGSTKSSKLEPADRRRNVFMKGKVGSLVSDSKNFSKLEAEKTRSIQHSSQRTLKTGKGDTTHPICVKRKDHSIVKDKNVKMQGNFNVWKSLRGKKSGGPGKGLIVRAKEEEARNSLCSSSIAKQNKILKQPSVSGERKILIRSTSSRNQSATRKNSSVQKCRSYDAKADRLILSSKRNLKGLGSPDVKEHMTSKVEGRASSKAPLLDNQNAEAPSAGRGTARQKLREQIKGILLDAGWKIDLRPRRGRNYEDNVYISPEGRGYWSITKAYAMFQEKLPSGHEAKQKGLPIKLLKKSGGSDHNLEGPSSPISMNMLSILKRKTAKQRSREELEETPLKVSDHKRRIKVKQTTDDIGRKKKLKSSNISSTTNSVAQKPPILERNNSNKRGCALLVRGAHQEAESDDDDYVPYVWKRTVLSWLIDLGILPINGIVKYMNKRRTRAILEGRITRDGIHCNCCSKILSVSKFELHAGSKLQQPYENIYVDKLEMSLLQCLLDAWEKQESSERQGFFSVDVGGDDPNDDTCGICGDGGDLICCDGCPSTFHLNCLGIKVLPPGDWHCSNCSCKFCWVASGSCPRGSGQTAQLLCCSLCEEKYHKTCVPEVYALRRVSNNAPTSFCGRSCGEVFKGLHKLIGAKNDLEAGFSWSVLCRFDEDSPKHHFELPRKAECNSKIAVALAVMDECFLPITDERSGINLIHNVVYNCGSNFSRLNYSGFYTFVLERGDEIISVASIRIHGTRLAEMPFIGTRNMYRRQGMCRRLLTGIEVALSSLNIEKLIIPAISELTETWTTVFGFKPLDTSQRQEVRYVNMLVFPGTGLLQKALLSTNSTEHPTIATEVDKVEHLSKDNCKLDLAKGSPQVSSGDCKSKVDDTEPNGATFVDSGNSPSEVSLLSYNDSHGSQLKAHKFMEKASDTDLSLKTEGVYHDGTVNGNDKVDNAGAGFKLDVHAAAEVAAQHMPNAKFSSSDASGSNLQDRNESNLHVPVSSQVSSTDGAHLDRCEVANLSHSSLHEQQGSKIIPETDFQNLSGMSSLVNEPYASPVDSKSCADKDMKTDANIGKAKLVSESHINNIIAGQDTSEANRGLNVASGKESDVSLSGVASDFDVVTEKPSTFFHAPEASVNTFIQQDPELTKVLECHIPTRYNCNNPDSINILSPPKQNGTSNGVVLLQNKVPNGHSPVEQDPDAVSKSFGQNTPGLVGNDNLDLVAKLPSMFVSEVSALGEGATPDVSSAPDLATSESSAHLATMVRNAIDVASDCMVRRETETADEANTNVAVQPNGPSYDFGKREVDTNFSLVKLANECGSSAQSSLGMISVPASDSSEAIIQQHESELPKVVHHAPASGVAGPLADGTNNSEMHKVVDRCRQAVCLVDSTESAD >DRNTG_15181.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6090147:6094276:1 gene:DRNTG_15181 transcript:DRNTG_15181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVARENSKGRRLSRDANIFRKILAITKLKPKSMRIIRRTGVDGVIVEESKEADATIGKASHRLARGLRDKGYSVTKKVNSNGRMERIQMFHNLKQGKLLGGGSRQNEYAAGGWALPRD >DRNTG_02552.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5110302:5126339:1 gene:DRNTG_02552 transcript:DRNTG_02552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLMNTSWKCLIRTRTRVCFDQEVENKEVMMLGSTEEVPSTLGILKKVLRKMKRARRCHRKHSKVVGDGCEMTKLYKGVWTLANGFREHSEYTASISSIVWTWQYEPGVSKATNLSQLSYRYLHSFLSRSVSGRGYNIAILSRQSLLYLSCMVCNVSIHLRPVVADVLRHHGQFARIGVLFAGPYVTRLILGSGFLDAIHGAERTVVLSSLGIDTIRMMGRDAMEGSRQVPELQFAPIRTGAPLAAQETLWHPVPIFPPSQAHDRFERLDSAAGELQSELAEVRTLQVAQYTGLMVRLDIVLQ >DRNTG_08229.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8260865:8263353:1 gene:DRNTG_08229 transcript:DRNTG_08229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLVGVGMDEYGRHNSFADYVRASCKRKNAAVVPGNHFHDGLNSSHVSSSSLSVITEQPLWNEPYESGFNLLNPSTATPAVYGGINALQATEGSRRNLWSRSNGTTIHPDSSAVHHSNYLHQGNCIGQSAPPDNSWMAPFGNNAGGGGCSNWSNPHAVTHLQGRYFSSRDVELANMGVQGYQEIPFNANSAFLFQSAPMPNPAPIPNFHHHLAPLHSIQVQNYGQHINFPAPPYQLPLHSFCPSTINPSFNPLDSGNRFLPCPPPNAKLICGPLQQPQTAVSVSHRNLRILSTEDPAVLELPRFYGVGDVTDQHRDMRLDIDDMTYEELLALEEQIGDVSTGLTEESILQNLKTSFHITSSKSSFSDCSSRSFPENETCSICQVEYEESERLGTLNCGHNYHADCIKQWLLVKNLCPICKTSAFASNDKRDA >DRNTG_02897.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:736545:744288:-1 gene:DRNTG_02897 transcript:DRNTG_02897.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLYLKQEKTPSRFHELVSKNSAIQRSELFLSPEAKNHNGSTEKTQITKDQLK >DRNTG_29238.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21438547:21442519:-1 gene:DRNTG_29238 transcript:DRNTG_29238.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter 7 [Source:Projected from Arabidopsis thaliana (AT2G04032) UniProtKB/Swiss-Prot;Acc:Q8W246] MATKLFYLLLILSSTTFSLASDEDKAISTNCNAGTAGECYNKAESMRLKLIAIPTILIASMIGVCLPLFSHSISALRPDRNFFVLVKAFASGVILATGYMHVLPDSFDNLTSPCLPENPWRKFLFATFVAMLSALATLMMDSLMMSFHSRKMGGRANAEVSDLASPNEGMHNPSPSLGHGHGHSHGFQANNNKDLEASALQRNRIIAQVLEIGIVVHSVVIGLSMGASQNPCTIRPLVAALCFHQLFEGMGLGGCILQAEYGMKMKSILVFFFSTTTPFGIALGIALSNIYSDNSPTALIVVGLLNGASAGLLEYMALVDLLATDFMGPKLQNSIKLQLWAFLAVLLASLHLWIMRHIHEQVAKLPPKGRKLPALYFRIYTSYA >DRNTG_03347.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26188098:26188885:-1 gene:DRNTG_03347 transcript:DRNTG_03347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVIFELFRKVQVLLCEGAAIKEEKRIDATQQGQSERGTVDSLLRKHSVDQDRKTSEEDYDNDLLDRSNPFEDEQFPNFFDANNINEEVNAPEPAPNRMPASNFRRRSLVPRVKFQPNICSR >DRNTG_06164.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25337794:25340920:1 gene:DRNTG_06164 transcript:DRNTG_06164.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYTKLSPIIDILVLFLLLVSITLYSFTSTAATTTNTTTKSAEEFYKFSRIRAHLKKLNKPYLKIIQSPDGDIIDCVPSHLQPAFDHPKLKGQKPLDPPERPKGHYNSTTTDHVLNDSIQQWRVSGESCPEGTIPIRRTTEEDILRASSIRRFGKKTVTRVRRDSTASGHEHAVGYVMGEQYYGAKAGLNVWAPRVASSSEFSLSQIWVISGSFGDDLNTIEAGWQISPQLYGDNSPRFFTYWTTDAYQATGCYNLLCSGFVQTNNEIAIGAAISPTSTFKGHQFDISLLVWKDPKHGHWWLEFGSGLLVGYWPWWLFSHLAKHASMVQFGGEIVNSGSSGMHTATQMGSGHFSGEGFARASYFRNLEVVDWDNSLIPLNNLRLLADHPNCYDIRGGYNRPWGHYFYYGGPGRNVRCP >DRNTG_06164.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25337721:25340920:1 gene:DRNTG_06164 transcript:DRNTG_06164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYTKLSPIIDILVLFLLLVSITLYSFTSTAATTTNTTTKSAEEFYKFSRIRAHLKKLNKPYLKIIQAHIYIYIYIYLSFGFSWLLSLLVFNFLSFFFMLLQSPDGDIIDCVPSHLQPAFDHPKLKGQKPLDPPERPKGHYNSTTTDHVLNDSIQQWRVSGESCPEGTIPIRRTTEEDILRASSIRRFGKKTVTRVRRDSTASGHEHAVGYVMGEQYYGAKAGLNVWAPRVASSSEFSLSQIWVISGSFGDDLNTIEAGWQISPQLYGDNSPRFFTYWTTDAYQATGCYNLLCSGFVQTNNEIAIGAAISPTSTFKGHQFDISLLVWKVLLFVLN >DRNTG_27230.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1543430:1544677:1 gene:DRNTG_27230 transcript:DRNTG_27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSKDNTVYYPPPPAPGITTGIPAGISSFQVQSQAEGPWSTGLCNCFDDVSNCCVTCFCPCITFGQVAEIIDRGSTSCGTSGALYTLIMCLIGCHCIYSCFYRKKMRLQYSLASSPCNDCLVHCFCEQCALCQEYRELKRRGFNMEIGWQANLENQGHGQAVLPPNVQAGMTR >DRNTG_32848.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:22991228:22992409:-1 gene:DRNTG_32848 transcript:DRNTG_32848.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHLREKRSHNCQQQHLTMNRKIMLTRGKERTVVWKNDYVSTTRATLYTMLDRKKIVTDNVKRASIKRLLALFIYVARLYQATVGTIYGDVHLHNPEIFDESTISIYEVHLYQETAGSIHVQVGGRE >DRNTG_24558.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25879497:25880351:1 gene:DRNTG_24558 transcript:DRNTG_24558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFDTKKKKKKKKKKTYIKKNEKALTLVASLGLPFYFSPKGDLTWREKALQCLHVRQGQGEIVMEQLLMVAMEEEGVIQIVEGSMVVIVGRRGCCMSYVARRLLEGLKAYLTMCEVSEEFVVKITLPHNIEKIFSGDEKTLVAPMWGDIGWGFRLLIAIHVSGELISKLKTASAIWL >DRNTG_32148.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20759622:20760417:1 gene:DRNTG_32148 transcript:DRNTG_32148.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRVTPRVLVGHSFGGKVALSMVDQAAKPLARPVRVWVLDSTPGKVHAGGDGEDHPAELIDFLSRMPKQVSSKKEVVQALEQAGFSTDVAK >DRNTG_31954.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2305620:2317522:-1 gene:DRNTG_31954 transcript:DRNTG_31954.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKELGYRSSAMLGRSALKLFPFPCLRGSLALRRRAPGGLSVTSSLGPVIGSAAAVVSGGSHAAVTSTLAHVAVTAVAIASGACLSTKVDFLWPRAEQMPDSFVLDGVDVTGYPIFKEEKVQKAIAFANKAHHGQFRKTGDPYITHCIHTGKILAALVPSTGERAVNTVVAGILHDVVDDTIENLSCIEEHFGDDVAHLVAGVSKLSYVNQLLRRHRRNSFSESSLDPDEANNLRVMLLGMVDDPRVVLIKLADRLHNMRTIYALSLPKAHAVAQETLSIWCSLASRLGVWALKAEMEDLCFAVLQPHTFQTMRAELSSMWQPNNKTRNLRRLSTKAGFIVPLQDTNSIPNHDLPVSTNEERGNMRDLLYSVLPFDLLLDRRKRTSFLDNLKSHSDAAGMKPEVVNDVGIALGSLVVCEEALEQELLISNSYIPGMEITLSSRLKSLYSIYCKMKRKDINIRQVYDARALRVVVGDKNGKLHGPAVKSCYSLLDIIHRLWTPIDGEFDDYIVNPKPSGYQSLHTAVLGPDSSPLEVQIRTQRMHEYAEFGLAAHWLYKESKDETAGSMDDSKIKPSTYENNYLEDETYVEDSVNNKYASLKVGHPVLRVEGSQLLAAVIVRVDKGGRELLVAVSFDLEASESVAERRSSIQKKHWEDFARLYKKVSDQWWFAPGHGDWCTCLEKYTLSRDGIFHKEDQFQRLLPTFIQVLDLTEKEEAEYWMVMSAVVEGKQILSVSSDLANAERSRSESFSYTSTEDGINNKVYLLRTMLQWEEQVRHGATLGPRKNVTTSYGEPNSVPLGEVVIIRWPHGEIVRMRSGSTAADAAHRIGFEGKLVLVNGQLALPHTELKDGDIVEIRL >DRNTG_24952.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17246406:17249162:1 gene:DRNTG_24952 transcript:DRNTG_24952.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSNEVFYARKFWDTCCLCLLHVSLLVWIFAASNLLYHMFFSLIFYSESQDSDNPKRRAQVKREALSSYLKLTSSNFPAELIHGSKWLESDRKKLRWDQGSDERKLEIFEKLEERSKGHNEKDAHASERKGESDDEGLAEGEAEEESSEDDYNQNVDFDDDEDDLNMEEEADEDFYE >DRNTG_18079.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:260386:264560:-1 gene:DRNTG_18079 transcript:DRNTG_18079.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKFLLLFVGLWFLSCSLLLHASSSDGLLRIKLKKKKFDLESLVALKVARKEYNLHKKYGSKPNLLNSDADIIPLKNYLDAQYYGEIGIGSPPQKFTVVFDTGSSNLWVPSSKCYFSLPCYFHPKYKSSKSSTYTANGKTCKITYGSGSISGYFSEDNVLVGDLVVKDQVFIEATREAALVFLLAKFDGILGLGFQEISVGNFPPIWSTMADQNLIDEKIFSFWLNRNADDEDGGELVFGGVDPQHFKGNHSYVPISRKGYWQFDMGDILISGYSTGYCAGGCAAIADSGTSLLAGPTTIVTEVNHAIGAQGVISAECKEVVKEYGDIIIEMLIAQTRPDKICSQIGLCLFDGSQYVGTGIESVVGKSEKEQSSANSDIFCTACEMAVVWMQNQLRMNETKELILKYANQLCEKLPSPMGESTVDCDKIAEMPDISFTIGSKTFTLTPEQYVLKVEELGVTQCLSGFMAFDVPPPRGPLWVLGDVFMGAYHTVFDFGNERIGFAEAA >DRNTG_18079.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:260386:264560:-1 gene:DRNTG_18079 transcript:DRNTG_18079.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKFLLLFVGLWFLSCSLLLHASSSDGLLRIKLKKKKFDLESLVALKVARKEYNLHKKYGSKPNLLNSDADIIPLKNYLDAQYYGEIGIGSPPQKFTVVFDTGSSNLWVPSSKCYFSLPCYFHPKYKSSKSSTYTANGKTCKITYGSGSISGYFSEDNVLVGDLVVKDQVFIEATREAALVFLLAKFDGILGLGFQEISVGNFPPIWSTMADQNLIDEKIFSFWLNRNADDEDGGELVFGGVDPQHFKGNHSYVPISRKGYWQFDMGDILISGYSTGYCAGGCAAIADSGTSLLAGPTTIVTEVNHAIGAQGVISAECKEVVKEYGDIIIEMLIAQTRPDKICSQIGLCLFDGSQYVGTGIESVVGKSEKEQSSANSDIFCTACEMAVVWMQNQLRMNETKELILKYANQLCEKLPSPMGESTVDCDKIAEMPDISFTIGSKTFTLTPEQYVLKVEELGVTQCLSGFMAFDVPPPRGPLWVLGDVFMGAYHTVFDFGNERIGFAEAA >DRNTG_18079.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:260386:264560:-1 gene:DRNTG_18079 transcript:DRNTG_18079.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKFLLLFVGLWFLSCSLLLHASSSDGLLRIKLKKKKFDLESLVALKVARKEYNLHKKYGSKPNLLNSDADIIPLKNYLDAQYYGEIGIGSPPQKFTVVFDTGSSNLWVPSSKCYFSLPCYFHPKYKSSKSSTYTANGKTCKITYGSGSISGYFSEDNVLVGDLVVKDQVFIEATREAALVFLLAKFDGILGLGFQEISVGNFPPIWSTMADQNLIDEKIFSFWLNRNADDEDGGELVFGGVDPQHFKGNHSYVPISRKGYWQFDMGDILISGYSTGYCAGGCAAIADSGTSLLAGPTTIVTEVNHAIGAQGVISAECKEVVKEYGDIIIEMLIAQTRPDKICSQIGLCLFDGSQYVGTGIESVVGKSEKEQSSANSDIFCTACEMAVVWMQNQLRMNETKELILKYANQLCEKLPSPMGESTVDCDKIAEMPDISFTIGSKTFTLTPEQYVLKVEELGVTQCLSGFMAFDVPPPRGPLWVLGDVFMGAYHTVFDFGNERIGFAEAA >DRNTG_18079.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:260386:264560:-1 gene:DRNTG_18079 transcript:DRNTG_18079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKFLLLFVGLWFLSCSLLLHASSSDGLLRIKLKKKKFDLESLVALKVARKEYNLHKKYGSKPNLLNSDADIIPLKNYLDAQYYGEIGIGSPPQKFTVVFDTGSSNLWVPSSKCYFSLPCYFHPKYKSSKSSTYTANGKTCKITYGSGSISGYFSEDNVLVGDLVVKDQVFIEATREAALVFLLAKFDGILGLGFQEISVGNFPPIWSTMADQNLIDEKIFSFWLNRNADDEDGGELVFGGVDPQHFKGNHSYVPISRKGYWQFDMGDILISGYSTGYCAGGCAAIADSGTSLLAGPTTIVTEVNHAIGAQGVISAECKEVVKEYGDIIIEMLIAQTRPDKICSQIGLCLFDGSQYVGTGIESVVGKSEKEQSSANSDIFCTACEMAVVWMQNQLRMNETKELILKYANQLCEKLPSPMGESTVDCDKIAEMPDISFTIGSKTFTLTPEQYVLKVEELGVTQCLSGFMAFDVPPPRGPLWVLGDVFMGAYHTVFDFGNERIGFAEAA >DRNTG_07851.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5106:6997:-1 gene:DRNTG_07851 transcript:DRNTG_07851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTEPEHDYVKLLYDISHVRILTICTATLMCFTTRDEYANMDLQECFTINNEYDNMDLQVSLPNLQELQLLMECISSEDLTYVYGFFRICPSPNVEKLFIQLPKFHENEYHSSTQEPVVEEPSAITFNHLKVIKMNNFKGCKSEIRLVKFLLEKAIVLVDLLLVVPKKPCMEEHNSSSSGTHYYADRREIEMIHGQLVSFPKSSPGAKVVLCEYDEDDQAIIPAHTEYYWDHF >DRNTG_03257.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20335266:20336543:1 gene:DRNTG_03257 transcript:DRNTG_03257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEVQEIKDMSLEEKDLIHRLYRLLGDRWEMIAGRLPNRTAEEVEKYWKMKEIENFEKNKIYKPICIRLGPSFKFSMNN >DRNTG_18012.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24548396:24551803:-1 gene:DRNTG_18012 transcript:DRNTG_18012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTFMSNDMIIYCPCTVFALDSTTGPLSIESASALSVGLGAPPRHYPFRRSSSWRNWSKKLKVISQHPFKLRTEVADSLEKGTKHEDEYMISEKKFLVNQ >DRNTG_16450.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17203266:17204625:1 gene:DRNTG_16450 transcript:DRNTG_16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGIPFPASPSPTSIPSRGFWIGDDLHRVFIVVQYERLPMFCYSCGMVSHGSNSCPRSVKSGAAKTMWPHSTWKVGHGSSPVSDVQDQRMDDVDPPPDRPRRIMQNKLCKIFQTLILVPGYWWLASVVAPVVTGRRTVPSGRPPTSLVTSPVGVVTDQLSNQCTSASPPVASSSPNVPINACEFQDGDPLPLSTSPPLTSNQNTPLHTSPTSPSPQNPNPYTSLVDKVSNVLDVEDMEEDDSRGEEYSDEDDDDDLSKDALSDVCPDDEMSLMQYQTKARQEALVRKGSSMHSTSPKKGRLEAEEPCS >DRNTG_07023.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3388771:3425529:-1 gene:DRNTG_07023 transcript:DRNTG_07023.9 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGRVLGYGHTDYANTMYHLGTVLHLQGKEKDSVALVQESIRILEEIGQGETTTCVRRMRYLSQMLLKSNRLVEAENLQRKILHILEISKGWDSMDTVVAAEDLALTLQSLGSLQDAQELLERCLDIRKTIIAEDHIQIAANMLHLARIALLKSNQLRRMKIADARGEVERAKVLLDDSIRIAKTALNQSRKKQSVQNNSTQKNLKDEHVALIILLQSLDTIGLWEAAKHELGEKEDHIFPSEAELALRECLSIIKEPDFKRLLQRSPDVKAAYLSCLKHLVNLVTENAGSSPDLLKMKDEAHQIEAELLHNKRHSN >DRNTG_07023.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3388771:3425529:-1 gene:DRNTG_07023 transcript:DRNTG_07023.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGRVLGYGHTDYANTMYHLGTVLHLQGKEKDSVALVQESIRILEEIGQGETTTCVRRMRYLSQMLLKSNRLVEAENLQRKILHILEISKGWDSMDTVVAAEDLALTLQSLGSLQDAQELLERCLDIRKTIIAEDHIQIAANMLHLARIALLKSNQLRRMKIADARGEVERAKVLLDDSIRIAKTALNQSRKKQSVQNNSTQKNLKDEHVALIILLQSLDTIGLWEAAKHELGEKEDHIFPSEAELALRECLSIIKEPDFKRLLQRSPDVKAAYLSCLKHLVNLVTENAGSSPDLLKMKDEAHQIEAELLHNKRHSN >DRNTG_07023.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3388771:3425529:-1 gene:DRNTG_07023 transcript:DRNTG_07023.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTNSSSNFNFFVCLLMVLAQIVQIKGRVLGYGHTDYANTMYHLGTVLHLQGKEKDSVALVQESIRILEEIGQGETTTCVRRMRYLSQMLLKSNRLVEAENLQRKILHILEISKGWDSMDTVVAAEDLALTLQSLGSLQDAQELLERCLDIRKTIIAEDHIQIAANMLHLARIALLKSNQLRRMKIADARGEVERAKVLLDDSIRIAKTALNQSRKKQSVQNNSTQKNLKDEHVALIILLQSLDTIGLWEAAKHELGEKEDHIFPSEAELALRECLSIIKEPDFKRLLQRSPDVKAAYLSCLKHLVNLVTENAGSSPDLLKMKDEAHQIEAELLHNKRHSN >DRNTG_07023.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3388771:3425529:-1 gene:DRNTG_07023 transcript:DRNTG_07023.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGRVLGYGHTDYANTMYHLGTVLHLQGKEKDSVALVQESIRILEEIGQGETTTCVRRMRYLSQMLLKSNRLVEAENLQRKILHILEISKGWDSMDTVVAAEDLALTLQSLGSLQDAQELLERCLDIRKTIIAEDHIQIAANMLHLARIALLKSNQLRRMKIADARGEVERAKVLLDDSIRIAKTALNQSRKKQSVQNNSTQKNLKDEHVALIILLQSLDTIGLWEAAKHELGEKEDHIFPSEAELALRECLSIIKEPDFKRLLQRSPDVKAAYLSCLKHLVNLVTENAGSSPDLLKMKDEAHQIEAELLHNKRHSN >DRNTG_07023.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3388771:3425529:-1 gene:DRNTG_07023 transcript:DRNTG_07023.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGRVLGYGHTDYANTMYHLGTVLHLQGKEKDSVALVQESIRILEEIGQGETTTCVRRMRYLSQMLLKSNRLVEAENLQRKILHILEISKGWDSMDTVVAAEDLALTLQSLGSLQDAQELLERCLDIRKTIIAEDHIQIAANMLHLARIALLKSNQLRRMKIADARGEVERAKVLLDDSIRIAKTALNQSRKKQSVQNNSTQKNLKDEHVALIILLQSLDTIGLWEAAKHELGEKEDHIFPSEAELALRECLSIIKEPDFKRLLQRSPDVKAAYLSCLKHLVNLVTENAGSSPDLLKMKDEAHQIEAELLHNKRHSN >DRNTG_07023.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3388771:3425529:-1 gene:DRNTG_07023 transcript:DRNTG_07023.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRSISWQLMDIYCIISKSSILDFCVVSISLCLLLQGKLDEAEKYFLAALEEAKEGFGTRDPHVASSCNNLAELYRVRKAFEKAEPLYLEAINILEESFGTDDIRVGAAFHNLGQFYLAQRKLDQAHACYERALKIKGRVLGYGHTDYANTMYHLGTVLHLQGKEKDSVALVQESIRILEEIGQGETTTCVRRMRYLSQMLLKSNRLVEAENLQRKILHILEISKGWDSMDTVVAAEDLALTLQSLGSLQDAQELLERCLDIRKTIIAEDHIQIAANMLHLARIALLKSNQLRRMKIADARGEVERAKVLLDDSIRIAKTALNQSRKKQSVQNNSTQKNLKDEHVALIILLQSLDTIGLWEAAKHELGEKEDHIFPSEAELALRECLSIIKEPDFKRLLQRSPDVKAAYLSCLKHLVNLVTENAGSSPDLLKMKDEAHQIEAELLHNKRHSN >DRNTG_07023.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3388771:3425529:-1 gene:DRNTG_07023 transcript:DRNTG_07023.10 gene_biotype:protein_coding transcript_biotype:protein_coding MYHLGTVLHLQGKEKDSVALVQESIRILEEIGQGETTTCVRRMRYLSQMLLKSNRLVEAENLQRKILHILEISKGWDSMDTVVAAEDLALTLQSLGSLQDAQELLERCLDIRKTIIAEDHIQIAANMLHLARIALLKSNQLRRMKIADARGEVERAKVLLDDSIRIAKTALNQSRKKQSVQNNSTQKNLKDEHVALIILLQSLDTIGLWEAAKHELGEKEDHIFPSEAELALRECLSIIKEPDFKRLLQRSPDVKAAYLSCLKHLVNLVTENAGSSPDLLKMKDEAHQIEAELLHNKRHSN >DRNTG_07023.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3388771:3425529:-1 gene:DRNTG_07023 transcript:DRNTG_07023.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGAAFHNLGQFYLAQRKLDQAHACYERALKIKGRVLGYGHTDYANTMYHLGTVLHLQGKEKDSVALVQESIRILEEIGQGETTTCVRRMRYLSQMLLKSNRLVEAENLQRKILHILEISKGWDSMDTVVAAEDLALTLQSLGSLQDAQELLERCLDIRKTIIAEDHIQIAANMLHLARIALLKSNQLRRMKIADARGEVERAKVLLDDSIRIAKTALNQSRKKQSVQNNSTQKNLKDEHVALIILLQSLDTIGLWEAAKHELGEKEDHIFPSEAELALRECLSIIKEPDFKRLLQRSPDVKAAYLSCLKHLVNLVTENAGSSPDLLKMKDEAHQIEAELLHNKRHSN >DRNTG_07023.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3388771:3425529:-1 gene:DRNTG_07023 transcript:DRNTG_07023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTNSSSNFNFFVCLLMVLAQIVQIKGRVLGYGHTDYANTMYHLGTVLHLQGKEKDSVALVQESIRILEEIGQGETTTCVRRMRYLSQMLLKSNRLVEAENLQRKILHILEISKGWDSMDTVVAAEDLALTLQSLGSLQDAQELLERCLDIRKTIIAEDHIQIAANMLHLARIALLKSNQLRRMKIADARGEVERAKVLLDDSIRIAKTALNQSRKKQSVQNNSTQKNLKDEHVALIILLQSLDTIGLWEAAKHELGEKEDHIFPSEAELALRECLSIIKEPDFKRLLQRSPDVKAAYLSCLKHLVNLVTENAGSSPDLLKMKDEAHQIEAELLHNKRHSN >DRNTG_07023.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3388771:3425529:-1 gene:DRNTG_07023 transcript:DRNTG_07023.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRRTLGFSKRIQSALRFPAARILSNINPCFALSGSPDICAQICSSSSTWSKHHWRMLSGIMLFGQTALFIGLSENLVLAEDSSVVDNDQGQGFLAGLRRIEDGSVISNAHTSKWRIFTDNGRELFLKGKLDEAEKYFLAALEEAKEGFGTRDPHVASSCNNLAELYRVRKAFEKAEPLYLEAINILEESFGTDDIRVGAAFHNLGQFYLAQRKLDQAHACYERALKIKGRVLGYGHTDYANTMYHLGTVLHLQGKEKDSVALVQESIRILEEIGQGETTTCVRRMRYLSQMLLKSNRLVEAENLQRKILHILEISKGWDSMDTVVAAEDLALTLQSLGSLQDAQELLERCLDIRKTIIAEDHIQIAANMLHLARIALLKSNQLRRMKIADARGEVERAKVLLDDSIRIAKTALNQSRKKQSVQNNSTQKNLKDEHVALIILLQSLDTIGLWEAAKHELGEKEDHIFPSEAELALRECLSIIKEPDFKRLLQRSPDVKAAYLSCLKHLVNLVTENAGSSPDLLKMKDEAHQIEAELLHNKRHSN >DRNTG_07023.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3388771:3425529:-1 gene:DRNTG_07023 transcript:DRNTG_07023.12 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGAAFHNLGQFYLAQRKLDQAHACYERALKIKGRVLGYGHTDYANTMYHLGTVLHLQGKEKDSVALVQESIRILEEIGQGETTTCVRRMRYLSQMLLKSNRLVEAENLQRKILHILEISKGWDSMDTVVAAEDLALTLQSLGSLQDAQELLERCLDIRKTIIAEDHIQIAANMLHLARIALLKSNQLRRMKIADARGEVERAKVLLDDSIRIAKTALNQSRKKQSVQNNSTQKNLKDEHVALIILLQSLDTIGLWEAAKHELGEKEDHIFPSEAELALRECLSIIKEPDFKRLLQRSPDVKAAYLSCLKHLVNLVTENAGSSPDLLKMKDEAHQIEAELLHNKRHSN >DRNTG_07023.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3388771:3425529:-1 gene:DRNTG_07023 transcript:DRNTG_07023.11 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGAAFHNLGQFYLAQRKLDQAHACYERALKIKGRVLGYGHTDYANTMYHLGTVLHLQGKEKDSVALVQESIRILEEIGQGETTTCVRRMRYLSQMLLKSNRLVEAENLQRKILHILEISKGWDSMDTVVAAEDLALTLQSLGSLQDAQELLERCLDIRKTIIAEDHIQIAANMLHLARIALLKSNQLRRMKIADARGEVERAKVLLDDSIRIAKTALNQSRKKQSVQNNSTQKNLKDEHVALIILLQSLDTIGLWEAAKHELGEKEDHIFPSEAELALRECLSIIKEPDFKRLLQRSPDVKAAYLSCLKHLVNLVTENAGSSPDLLKMKDEAHQIEAELLHNKRHSN >DRNTG_25451.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1501699:1503860:1 gene:DRNTG_25451 transcript:DRNTG_25451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARSSAAGAIAVAAVAVFSCYVLFSNSSKFSWRRRVSRASRKGEKKGLIAAVGNTPLIRINSLSDATGCEILGKAEFLNPGGSVKDRVAVKIIEEALDAGALVPGGVVTEGSAGSTAISLATVVPSFGCKCHVVIPDDAAIEKSEILEVLGATVERVRPVSITHRDHYVNIARRRASEANKLAANGYRQCNGHSTEAGEFPAISMTDCKGGFFADQFENLANFRAHYEGTGPEIWEQTGGNLHGFIAAAGTGGTVAGISRFLKDKDPRIKCFLIDPPGSGLYNKVTRGVMYTKEEAEGRRLKNPFDTITEGIGINRLTMNFMMAELDGAFRGTDKEAVEMSRYLLKNDGLFVGSSSAMNCVGAVRLARVLGPGHTIVTILCDSGIRHLSKFHNSQYLSDHGLTPSATGLEFLDFQDTGQP >DRNTG_17425.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5982444:5987273:-1 gene:DRNTG_17425 transcript:DRNTG_17425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEEEYKQTLRTKSYIEIWNKGHHKFHKSITNNSSPFSIPDLVLEPKQEVLISTTKLFQGFSDRDPLVEYFDATFQAFNACSSLLASIESTRGYYHAIRNLLNKTNKFSELTSMLDLPNPVSPQTLTYFQEMSTNFGSLTQRLIEARRRMKRRIRVVNDWKRVTVVVLVVACSAAAVAAMVVAGHVLVVFGVVVAAPVVVAGKRGKKIRRVEKNVDEAARGAYIMGRDMETMSRMVRRVGDEVEHRREVAKMAVRSGERRMVMEAVKEMESGEEGFERQVEELEEHVYLSLLTINRKEVESADGTTGTAKTSPIITDLQGILEQERPVQSVPIEREAWEEEIEPLEMRQEE >DRNTG_02498.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7670277:7671131:-1 gene:DRNTG_02498 transcript:DRNTG_02498.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLTAQNSNLFREYIGAFSNGVRLSDVPINSFIDFHFILAFAIDYTDTTSPSPTDGKFNIFWDNTTLLPSQIWSIKQANPNVKVALSLGGDTVHGLPANFSPCSIDSWVNNAVISLTSIIQEYNLDSIDIDYEHFSTDEATFAASIGQLITTLKNNGVISFASIAPFEAVNSYYSALWRDYASVIDYVNFQFYAYDATTNVSQFLSYYDKQSCMYIGGKILASISTGVDANGLSPANGFFDACTVLKKENKLPGIFIWSADGSKADGFPYEQEAQKLLAIPYY >DRNTG_03024.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19769623:19776665:-1 gene:DRNTG_03024 transcript:DRNTG_03024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAESHQQEPLDAAQRIKRSLNFLSSRSTDPAGDSVHISVFSFSTDIVFLIPDESTNASKVSASSIAVHPKMKPMSLDILIIFLLFLFSLKCNAKTEAEALLTWKSSLFNIPQSLSSWSLTNATNHCHWFGITCNSAGGIVELNLPNCSLSGTLDELDFTSLSNLTKLNLSKNMLFGSIPQKFSALSKLISLEMGYNHFETSNFSEFKTMPTLTYLSLRSNNFPVMEFPSFILNCTNLTVLDLSVNEFKGTIPDSLGTNLVKLQYLNLSFNSFSGLIPSSIHNLVHLRKMILIHNQLSGLIPAEIGNMTSLEQLDMNGNSLEGKLPSTMSQLENLMYLDLSYNYNLTGSIPTGLGKGGLLHFANFSGNGFSGELPESLCSGFKLEYLDVGYNNFDGALPSWLRNCTELKQIVLSGNYFSGSLPTDWGHCTSLTSFIIDANNISGVIPKEFGNMTNLHYLSLASNSLIGVIPKELGNLTSLQQLSLDLNFLTGEIPKEFGNMTSLQHMNLASNSLTGEIPKELGNMTSLQELSLASNSLTGEIPKELGSMTSLQDLSLASNSLRGEIPKEFGNMASLQNLSLASNFLTGMIPKEFGKLTSLQHLNLASNSLEGNISKEFGNITSLQDLSLASNFLTGEIPDELGNLSSLQSLDLSNNSLFGHIT >DRNTG_05592.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11226712:11227286:1 gene:DRNTG_05592 transcript:DRNTG_05592.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWGLFPSESLRGTQKYYIFSKGSYKVGRRECDITVQTDTAVSRLHAEIIFDEVDQSLCSCCGSFEIWDLD >DRNTG_05592.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11227058:11227286:1 gene:DRNTG_05592 transcript:DRNTG_05592.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSLMKLISPCVRVVDRSKFGTWINKELGAKAVRLRENQEAMINDGDLISFGTGNATF >DRNTG_33076.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:315625:317744:1 gene:DRNTG_33076 transcript:DRNTG_33076.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSSTRWISEMGIDDVSFMDQWDMGSLDQFILQPYDQQETLQCPPPLMNTMSNTLSYSSMALEKPKKMPRTGSWSSTQAQPPDASPTSILSFGSSDSPVNHSNMYEEFLIEDVKPKDLRFLIPKGSKRSHEIMVEQGVKKTVAGARPPSYQDHIIAERKRREKLSQRFIALSAMIPNLKKMDKASVLGDAIRYVKQLQENVKTLEEQVVMKKVESAVLGQTNPNGDDNSSQVNPNIEVKQTEKTILIKIQCENRKGMITKALFEIENLNLSITNTSSMSFPSSSLDITVLANIEEGFSMTVEEVVKKLSIAL >DRNTG_33076.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:315625:317744:1 gene:DRNTG_33076 transcript:DRNTG_33076.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDQWDMGSLDQFILQPYDQQETLQCPPPLMNTMSNTLSYSSMALEKPKKMPRTGSWSSTQAQPPDASPTSILSFGSSDSPVNHSNMYEEFLIEDVKPKDLRFLIPKGSKRSHEIMVEQGVKKTVAGARPPSYQDHIIAERKRREKLSQRFIALSAMIPNLKKMDKASVLGDAIRYVKQLQENVKTLEEQVVMKKVESAVLGQTNPNGDDNSSQVNPNIEVKQTEKTILIKIQCENRKGMITKALFEIENLNLSITNTSSMSFPSSSLDITVLANVSSQLTKL >DRNTG_33076.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:315625:317744:1 gene:DRNTG_33076 transcript:DRNTG_33076.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSSTRWISEMGIDDVSFMDQWDMGSLDQFILQPYDQQETLQCPPPLMNTMSNTLSYSSMALEKPKKMPRTGSWSSTQAQPPDASPTSILSFGSSDSPVNHSNMYEEFLIEDVKPKDLRFLIPKGSKRSHEIMVEQGVKKTVAGARPPSYQDHIIAERKRREKLSQRFIALSAMIPNLKKMDKASVLGDAIRYVKQLQENVKTLEEQVVMKKVESAVLGQTNPNGDDNSSQVNPNIEVKQTEKTILIKIQCENRKGMITKALFEIENLNLSITNTSSMSFPSSSLDITVLANVSSQLTKL >DRNTG_33076.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:315625:317744:1 gene:DRNTG_33076 transcript:DRNTG_33076.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSSTRWISEMGIDDVSFMDQWDMGSLDQFILQPYDQQETLQCPPPLMNTMSNTLSYSSMALEKPKKMPRTGSWSSTQAQPPDASPTSILSFGSSDSPVNHSNMYEEFLIEDVKPKDLRFLIPKGSKRSHEIMVEQGVKKTVAGARPPSYQDHIIAERKRREKLSQRFIALSAMIPNLKKMDKASVLGDAIRYVKQLQENVKTLEEQVVMKKVESAVLGQTNPNGDDNSSQVNPNIEVKQTEKTILIKIQCENRKGMITKALFEIENLNLSITNTSSMSFPSSSLDITVLANIEEGFSMTVEEVVKKLSIAL >DRNTG_12540.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21104648:21107052:-1 gene:DRNTG_12540 transcript:DRNTG_12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 10 growth stages; BEST Arabidopsis thaliana protein match is: Thioredoxin superfamily pr /.../(TAIR:AT2G31840.1); Ha. [Source:Projected from Arabidopsis thaliana (AT4G28590) TAIR;Acc:AT4G28590] MSSIVSNGGLLSKKPFCFPFSFSSSSSTPFSFSLSIPCRRPIHHLSILAAAENPKRKSKPRKQAKPKETASSDKPEMPADGIPVMIPRKPRRGRRGEVAEVEDFVRASLERTFAEIREQNAEVLEGKGEVLKERLEEDEGSESDSEEEDSDDDAMVVEEENPNWPLDADVGWGVRASEYFDKHPIKNVVGDDGMEIDWEGELDTCWVKEINCLEWESFAYHPSPLVVLVFERYRRAKDNWKLLMELEKAAKVYWSAKDKLPPRTVKIDINIETDLAHALKVREGPQLLFLKGNRILYREKEFRTSEELVQMIAHFYYNAKRPSWVDPLAVAPPF >DRNTG_25908.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19886539:19888099:-1 gene:DRNTG_25908 transcript:DRNTG_25908.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEYIGASGVPVSFSDVPIDPEIDFNFILGFAIDSNPSGKPMNGVFSPYWEASLNPNAVQEIKASNPNVKVLASLSGWSLGNKVLQWYNPENPQEWITNAYNSLSMIIDEYKLDGIDIDYENFPKNETTFSFCIGELITKLKNNNVITVASIAPYYLTANHYIKLFESYREVIDYVNHQFYTDKVKTPAGYLDVFKLRAVQFNATKLLPSYEVKGRGIQGDAFFVALKLIEDNGFDVNGVMIFSADASKTNGFYFERKSQAFLLNSTANA >DRNTG_25908.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19886539:19888099:-1 gene:DRNTG_25908 transcript:DRNTG_25908.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMITKLPFLVSISVFSTLFFSSSCNGKVMMEYIGASGVPVSFSDVPIDPEIDFNFILGFAIDSNPSGKPMNGVFSPYWEASLNPNAVQEIKASNPNVKVLASLSGWSLGNKVLQWYNPENPQEWITNAYNSLSMIIDEYKLDGIDIDYENFPKNETTFSFCIGELITKLKNNNVITVASIAPYYLTANHYIKLFESYREVIDYVNHQFYTDKVKTPAGYLDVFKLRAVQFNATKLLPSYEVKGRGIQGDAFFVALKLIEDNGFDVNGVMIFSADASKTNGFYFERKSQAFLLNSTANA >DRNTG_01107.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21566828:21570797:1 gene:DRNTG_01107 transcript:DRNTG_01107.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSISTTVKFLMIFFFSSRIAMQTYNTDVDSTLSELSLDGEFDFHNVSHAAKDFGNRFQFQPFVVLTPKTISDISATIKHVYQMGQSSSLTVAARGHGHSLQGQAQAPGGIVIQMESLQQQEIYVHSGRLSSYVDVSAGELWINVLLESLKHGLAPKSWTDYLHLTVGGTLSNAGISGQAFKHGPQISNVHQLEIVTGKGEVVTCSPGENVDLFYGALGGLGQFGIITKARIALEPAPQMVKHCSLPGLKILSKTKQK >DRNTG_01107.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21566828:21570797:1 gene:DRNTG_01107 transcript:DRNTG_01107.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSISTTVKFLMIFFFSSRIAMQTYNTDVDSTLSELSLDGEFDFHNVSHAAKDFGNRFQFQPFVVLTPKTISDISATIKHVYQMGQSSSLTVAARGHGHSLQGQAQAPGGIVIQMESLQQQEIYVHSGRLSSYVDVSAGELWINVLLESLKHGLAPKSWTDYLHLTVGGTLSNAGISGQAFKHGPQISNVHQLEIVTGKGEVVTCSPGENVDLFYGALGGLGQFGIITKARIALEPAPQMVRWTRVLYSDFTAFTQDQEMLISAKKTFDYIEGFVIINRTGILNNWRSSFNPQDPVQANHFKSDGKTLFCLEMTKNFNADETDIMNQEVKTLLSQLRYIPSTLFQSEVTYLEFLDRVHVSEVKLRSKGLWEIPHPWLNLLIPKSKIHDFADGVFGNILKDSNNGPILFYPLNKSKWNNKTSAVIPDEEVFYLVAFLSSAPNSSNHDSLDRALEMNEKVLDFCKRADIGMKQYLPYYSSEEEWKAHFGSRWKLFAQRKTFL >DRNTG_28954.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2239268:2246403:-1 gene:DRNTG_28954 transcript:DRNTG_28954.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEHEVYGGEIPDEGEMEGYMDPQGADVDMARADDDSVKELDEMKKRLKEMEEEAAALREMQAKVEKEMGSVQDPANAAASQANKEEVDSRSVFVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFLEIEAVQEALQLNESELHGRQLKVLAKRTNVPGMKQFRPRRFNPYMGYRFRRPYVPPYFYSPYGYGKVPRFRRPMRYRPYF >DRNTG_28954.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2239268:2246403:-1 gene:DRNTG_28954 transcript:DRNTG_28954.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEHEVYGGEIPDEGEMEGYMDPQGADVDMARADDDSVKMQELDEMKKRLKEMEEEAAALREMQAKVEKEMGSVQDPANAAASQANKEEVDSRSVFVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFLEIEAVQEALQLNESELHGRQLKVLAKRTNVPGMKQFRPRRFNPYMGYRFRRPYVPPYFYSPYGYGKVPRFRRPMRYRPYF >DRNTG_28101.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1523241:1528266:1 gene:DRNTG_28101 transcript:DRNTG_28101.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein SHOOT MERISTEMLESS [Source:Projected from Arabidopsis thaliana (AT1G62360) UniProtKB/Swiss-Prot;Acc:Q38874] MEARNGSNGTSSMMGFGGEAHGGLTPLMIMPILAGTHTSTNNTTTTTNNNNSSINPLLLPPSSSSSPNPKPPTDHTHLLDTTNLLKAKIMSHPQYPRLLSAYVNCHKVGAPPEVVARLEEACTSSLMVGRASSSTFGGSSAGDDPGLDQFMEAYCEMLTKYEQELSKPFKEAMLFLSRVDAQFKSLSLSSPAPPPPPPPPPLNNSNNNNHPQACGEHPERNGSSEDDVDVCENYIDPQAEDRELKGQLLRKYSGYLGSLKQEFLKKRKKGKLPKEARQQLLDWWNRHYKWPYPSESQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDAAHPHYYMDSGIGNPFALDCGPTLL >DRNTG_28101.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1523241:1528236:1 gene:DRNTG_28101 transcript:DRNTG_28101.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein SHOOT MERISTEMLESS [Source:Projected from Arabidopsis thaliana (AT1G62360) UniProtKB/Swiss-Prot;Acc:Q38874] MEARNGSNGTSSMMGFGGEAHGGLTPLMIMPILAGTHTSTNNTTTTTNNNNSSINPLLLPPSSSSSPNPKPPTDHTHLLDTTNLLKAKIMSHPQYPRLLSAYVNCHKVGAPPEVVARLEEACTSSLMVGRASSSTFGGSSAGDDPGLDQFMEAYCEMLTKYEQELSKPFKEAMLFLSRVDAQFKSLSLSSPAPPPPPPPPPLNNSNNNNHPQACGEHPERNGSSEDDVDVCENYIDPQAEDRELKGQLLRKYSGYLGSLKQEFLKKRKKGKLPKEARQQLLDWWNRHYKWPYPSESQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDAAHPHYYMDSGIGNPFALDCGPTLL >DRNTG_28101.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1523031:1528236:1 gene:DRNTG_28101 transcript:DRNTG_28101.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein SHOOT MERISTEMLESS [Source:Projected from Arabidopsis thaliana (AT1G62360) UniProtKB/Swiss-Prot;Acc:Q38874] MEARNGSNGTSSMMGFGGEAHGGLTPLMIMPILAGTHTSTNNTTTTTNNNNSSINPLLLPPSSSSSPNPKPPTDHTHLLDTTNLLKAKIMSHPQYPRLLSAYVNCHKVGAPPEVVARLEEACTSSLMVGRASSSTFGGSSAGDDPGLDQFMEAYCEMLTKYEQELSKPFKEAMLFLSRVDAQFKSLSLSSPAPPPPPPPPPLNNSNNNNHPQACGEHPERNGSSEDDVDVCENYIDPQAEDRELKGQLLRKYSGYLGSLKQEFLKKRKKGKLPKEARQQLLDWWNRHYKWPYPSESQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDAAHPHYYMDSGIGNPFALDCGPTLL >DRNTG_28101.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1522620:1528236:1 gene:DRNTG_28101 transcript:DRNTG_28101.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein SHOOT MERISTEMLESS [Source:Projected from Arabidopsis thaliana (AT1G62360) UniProtKB/Swiss-Prot;Acc:Q38874] MEARNGSNGTSSMMGFGGEAHGGLTPLMIMPILAGTHTSTNNTTTTTNNNNSSINPLLLPPSSSSSPNPKPPTDHTHLLDTTNLLKAKIMSHPQYPRLLSAYVNCHKVGAPPEVVARLEEACTSSLMVGRASSSTFGGSSAGDDPGLDQFMEAYCEMLTKYEQELSKPFKEAMLFLSRVDAQFKSLSLSSPAPPPPPPPPPLNNSNNNNHPQACGEHPERNGSSEDDVDVCENYIDPQAEDRELKGQLLRKYSGYLGSLKQEFLKKRKKGKLPKEARQQLLDWWNRHYKWPYPSESQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDAAHPHYYMDSGIGNPFALDCGPTLL >DRNTG_35480.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002250.1:25726:26154:1 gene:DRNTG_35480 transcript:DRNTG_35480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDAFSLGRMVNDGLVFKQNFSIRSYEFMKLLTLQDETGPGLVTELHRWSPKMKLACVQYRQFPILTTAK >DRNTG_29210.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3199038:3203490:1 gene:DRNTG_29210 transcript:DRNTG_29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTRGAKRPVGAAMAWLRRQPPKVKAFVAVVAGMAALAFLRLVVRDHDNLFVAAEAVHALGISVLIYKLTKEKTCAGLSLKSQDLTALFLAVRLYCSFVMEYDIHTLLDSAALGTTLWVIYMMRFKLKSSYMEDKDNFSIYLVVAPCVVLAILIHPSTSHNIVNRICWAFCVYLEAVSVLPQLRLMQNTQIVEPFTAHYVFALGIARFLSCAHWILQVLDTHGRLLTALGHGLWPPIVLLSEIVQTFILADFCYYYVKSVVGGNLVLRLPSGVV >DRNTG_34034.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16305960:16313673:-1 gene:DRNTG_34034 transcript:DRNTG_34034.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVVSRHIRNIVISLVIGACLGDSLTLSQCLCHHHHPQCLQFSKHQWKIVKSVRA >DRNTG_31100.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21257033:21261149:-1 gene:DRNTG_31100 transcript:DRNTG_31100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLEKLETLQARILHRITELEISLYGQQLASVSLSSNDSTAPAGDTMDSRLTAILIALGVDDFLFKRVPFDYYDRSLESRRDILGAPSIEHLCKSIVLVNTQAPASVTDCSDRNNSKYYVVVIQYMARLNAENIKNFLYALNDRKIPKKRFNFRLAPEEDSYELTGYGHNAVTCFGSKTNIPVILDEAITKLEPNFFWLGGGEVDLKLGIRTPQFITAIKPFIVSCST >DRNTG_31100.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21257684:21261149:-1 gene:DRNTG_31100 transcript:DRNTG_31100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLNAENIKNFLYALNDRKIPKKRFNFRLAPEEDSYELTGYGHNAVTCFGSKTNIPVK >DRNTG_31100.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21257033:21257630:-1 gene:DRNTG_31100 transcript:DRNTG_31100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYLIQVILDEAITKLEPNFFWLGGGEVDLKLGIRTPQFITAIKPFIVSCST >DRNTG_18583.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3515040:3518963:-1 gene:DRNTG_18583 transcript:DRNTG_18583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFAGKLLERLGNVIEEKAIKVLGVKDELQKLRRRMERIALVLKDAEKRRIQDETAKGWVDELKDFMYDADDIIDLCMIQGTGLLQDDHHSPAVSSSASTRVFQKSYKEHYI >DRNTG_02327.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:630594:634930:1 gene:DRNTG_02327 transcript:DRNTG_02327.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monodehydroascorbate reductase 1 [Source:Projected from Arabidopsis thaliana (AT3G52880) UniProtKB/TrEMBL;Acc:F4J849] MAEKHFKYVILGGGVAAGYAAREFAKLGVNPGELAVISKEAVAPYERPALSKGYLFPQGAARLPGFHVCVGSGGERLPPEWYTQQGIELVLSTEIVKADIASKSLTSASGDIFTYDVLIVATGSTVIKLSDFGVEGANANNIFYLREIDDADKLVAAIQAKKNGKAVIVGGGYIGLELSAVLKLNDYDITMVYPEPWCMPRLFTSGIAAFYEGFYANKGIKIVKGTVAVGFDSDSKGDVTAVKLKDGRILDADIVVVGVGGRPLTTLVKGQLVEEKGGIKTDAFFETSVPGVYAVGDVATFPLKLYNELRRVEHVDHARKSAEQAVKAIKAKEGGQTIEEYDYLPFFYSRAFDLSWQFYGDNVGDTVLFGDNNPESPKPKFGSYWVKDGKVVGAFLESGTPEENKAIGKVARDQPPVEDLEQLKNEGLAFASKI >DRNTG_19500.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12504106:12509862:1 gene:DRNTG_19500 transcript:DRNTG_19500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RKD5 [Source:Projected from Arabidopsis thaliana (AT4G35590) UniProtKB/Swiss-Prot;Acc:O81791] MCTKFARRLGRRRGGWRGSSSFHRLRASRFTLLPLATSFCLDQRLISRSGGTKKGRLLAYGFAYLLLTLLNHQPPPQFLQFSAFQETSVSIKKKRAATEHVASIALRDLEKYFNLPIAEASKKLKVGLTVLKRKCREYGILRWPHRKIKSLDTLIHSVQEEVTRQELENKAAGAKAAARRKQMLESEKKCIETTPFMELQRETKLFRQDVFKRRHRAKAQSKKSDDKHLRC >DRNTG_19500.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12504106:12509862:1 gene:DRNTG_19500 transcript:DRNTG_19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RKD5 [Source:Projected from Arabidopsis thaliana (AT4G35590) UniProtKB/Swiss-Prot;Acc:O81791] MTSPIQPPLHCRLLSALFVFKNNLNQELIRSVHVYKVCTEVGEEERRVEREFLFSPTSCLEIHTPSFGHQLLPGSTADITKWRHQEGEAVGLWLCILAFDAAKPSTSSPIPPILCFSRNPRLKSVQSLASDLNKVFQLRGLQTQISGSSILERTFTDENVVIANSRRHHQDPISHSCFSVMQQLSKDKENGINLPETSVSIKKKRAATEHVASIALRDLEKYFNLPIAEASKKLKVGLTVLKRKCREYGILRWPHRKIKSLDTLIHSVQEEVTRQELENKAAGAKAAARRKQMLESEKKCIETTPFMELQRETKLFRQDVFKRRHRAKAQSKKSDDKHLRC >DRNTG_19500.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12504106:12509862:1 gene:DRNTG_19500 transcript:DRNTG_19500.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RKD5 [Source:Projected from Arabidopsis thaliana (AT4G35590) UniProtKB/Swiss-Prot;Acc:O81791] MQQLSKDKENGINLPETSVSIKKKRAATEHVASIALRDLEKYFNLPIAEASKKLKVGLTVLKRKCREYGILRWPHRKIKSLDTLIHSVQEEVTRQELENKAAGAKAAARRKQMLESEKKCIETTPFMELQRETKLFRQDVFKRRHRAKAQSKKSDDKHLRC >DRNTG_21383.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19451640:19453290:1 gene:DRNTG_21383 transcript:DRNTG_21383.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALQESLNKFKQQQEKCQSTLTSIAARSSSSKSSHSHKAAPGNSSSVPATIKAPSAAVKFSNDTERLQHINAIRKSPVGAQIKRVIDLLFEAWLCSLFHTENQLKHQCALLACLLVI >DRNTG_21383.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19453324:19455812:1 gene:DRNTG_21383 transcript:DRNTG_21383.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLQALKSAGQVWLLSNMDSQEDIVYPNDPKAVIKVDDDLKQLFRGIELPRDMVDIERDLQKNGMKPATNTAQRRAMAQVHGITAKPKPKKKQREISRRTKLTNAHLPELFQNLNGTS >DRNTG_21383.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19451640:19455812:1 gene:DRNTG_21383 transcript:DRNTG_21383.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQESLNKFKQQQEKCQSTLTSIAARSSSSKSSHSHKAAPGNSSSVPATIKAPSAAVKFSNDTERLQHINAIRKSPVGAQIKRVIDLLFETRQALTPEQINEACYVDINGNKAVFDSLRNNHKVNYDGKRFSYKSKHDLKGKDQLLSLIRKFPEGLAVVDVKDSYPSVMDDLQALKSAGQVWLLSNMDSQEDIVYPNDPKAVIKVDDDLKQLFRGIELPRDMVDIERDLQKNGMKPATNTAQRRAMAQVHGITAKPKPKKKQREISRRTKLTNAHLPELFQNLNGTS >DRNTG_21383.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19451640:19455812:1 gene:DRNTG_21383 transcript:DRNTG_21383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQESLNKFKQQQEKCQSTLTSIAARSSSSKSSHSHKAAPGNSSSVPATIKAPSAAVKFSNDTERLQHINAIRKSPVGAQIKRVIDLLFETRQALTPEQINEACYVDINGNKAVFDSLRNNHKVNYDGKRFSYKSKHDLKGKDQLLSLIRKFPEGLAVVDVKDSYPSVMDDLQALKSAGQVWLLSNMDSQEDIVYPNDPKAVIKVDDDLKQLFRGIELPRDMVDIERDLQKNGMKPATNTAQRRAMAQVHGITAKPKPKKKQREISRRTKLTNAHLPELFQNLNGTS >DRNTG_18276.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1848712:1852040:-1 gene:DRNTG_18276 transcript:DRNTG_18276.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase 5-2 [Source:Projected from Arabidopsis thaliana (AT1G35620) UniProtKB/Swiss-Prot;Acc:Q94F09] MASHRSWSSPSLVPLRGFLWLLVWLLSLSPALGHSFPRDGTVIELDESNFDAAISSFDFILVDFYAPWCGHCKRLSPELDVAAPKLAELNEPIVIAKINADKYRKLATKYDIDGFPTLKLFSHGVPIEYTGPRKADLLVRFLKKFVAPDVSLLESDSAVQSFVEAAGTHFPIFIGFGVNESLIVELASKYKKKAWFSVVKGFSEDIMITYDFDKVPALVSLHPAYNEQSVFYGPFEGEFLEEFIQHNQLPLCVPITYDTVKLLNSDKRNIVLTILEDQEDEKALEMAKNLRAAASANRDLLFAYVGFKQWEEFVDTFDVNKKSALPKMLVWDGNEAYHLVTGSETLNDEEDVRSQISRFLEGYREGRTIQKKISGPSILGFINSLISIKTVYIIVFVTAFVMLIQYLSKQGDYTPSVEQTRSQTEETDRMVSESENPRKNYQPGDKED >DRNTG_17892.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17387214:17388040:-1 gene:DRNTG_17892 transcript:DRNTG_17892.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKWLTSAGFGAMGFGIPTAAGAAVGNHGVTFVAIDGVGSFLMNIQEFAQIGVCFIVFTLL >DRNTG_17892.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17385023:17388040:-1 gene:DRNTG_17892 transcript:DRNTG_17892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWLTSAGFGAMGFGIPTAAGAAVGNHGVTFVAIDGVGSFLMNIQEFAQIGVCFIVFTLL >DRNTG_09281.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5542181:5543835:1 gene:DRNTG_09281 transcript:DRNTG_09281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANSSHQQQQAATMIMTATAPSSSTGSSSRAQQPAKGNNISSD >DRNTG_07548.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21647516:21651105:1 gene:DRNTG_07548 transcript:DRNTG_07548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNERILERLFSDRSSIICLQEVWLGNEELVQMYEKRLGDCGYLSFKLARTNNRGDGLLTAVRKDYFRVLNYGELTFHDSGDRVAQLLHVESVVPFWQNRNNGTLKQILIVNTHLMFPHDHSYCIIRLRQVYKILQYVDTYQKEHDANLVPIILCGDWNGSKRGHVYKFLRSQGFVSSYDAAHCYTDGDADAHKWVSHRNHRGNICGVDFIWLLNPNKHRKPLKCSWNEAVFGIIKNLLLKASLAENNAFAFLKADSPGVYITYSSFCQALCQIGLIGHQQGLSPQETQDLWIQAGTKGNGTMNYEKFQKWIWCPTWTEEDEQRHEQGREKRLRKDMQRLVFGFKAKNAVFFPPEVEKGMWPENYSLSDHAPLTVVFSPVKMSCSQYNC >DRNTG_26561.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20281521:20283120:-1 gene:DRNTG_26561 transcript:DRNTG_26561.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLAQLNHKALCNPQKVKFQHSLQMRMQKLDCSPLLHPTSTRGTEIRSKPEPSPYSNPLVRLNQQSIEHYMKCRFINHLIIVHSVHCAPTPASSTQKPDIQQKKWPAKLPQQPTAVHLLLVRCPYTHVHPHPLFLRIELRTHQRRHPQPEHVSGPPSPRCRPPNIPQRHTVIQRTSEMQHVPESVTHYNYIRIPLVHLDPP >DRNTG_26561.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20281521:20283021:-1 gene:DRNTG_26561 transcript:DRNTG_26561.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLAQLNHKALCNPQKVKFQHSLQMRMQKLDCSPLLHPTSTRGTEIRSKPEPSPYSNPLVRLNQQSIEHYMKCRFINHLIIVHSVHCAPTPASSTQKPDIQQKKWPAKLPQQPTAVHLLLVRCPYTHVHPHPLFLRIELRTHQRRHPQPEHVSGPPSPRCRPPNIPQRHTVIQRTSEMQHVPESVTHYNYIRIPLVHLDPP >DRNTG_26561.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20281521:20282963:-1 gene:DRNTG_26561 transcript:DRNTG_26561.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLAQLNHKALCNPQKVKFQHSLQMRMQKLDCSPLLHPTSTRGTEIRSKPEPSPYSNPLVRLNQQSIEHYMKCRFINHLIIVHSVHCAPTPASSTQKPDIQQKKWPAKLPQQPTAVHLLLVRCPYTHVHPHPLFLRIELRTHQRRHPQPEHVSGPPSPRCRPPNIPQRHTVIQRTSEMQHVPESVTHYNYIRIPLVHLDPP >DRNTG_26561.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20281427:20282963:-1 gene:DRNTG_26561 transcript:DRNTG_26561.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLAQLNHKALCNPQKVKFQHSLQMRMQKLDCSPLLHPTSTRGTEIRSKPEPSPYSNPLVRLNQQSIEHYMKCRFINHLIIVHSVHCAPTPASSTQKPDIQQKKWPAKLPQQPTAVHLLLVRCPYTHVHPHPLFLRIELRTHQRRHPQPEHVSGPPSPRCRPPNIPQRHTVIQRTSEMQHVPESVTHYNYIRIPLVHLDPP >DRNTG_26561.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20281427:20283021:-1 gene:DRNTG_26561 transcript:DRNTG_26561.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLAQLNHKALCNPQKVKFQHSLQMRMQKLDCSPLLHPTSTRGTEIRSKPEPSPYSNPLVRLNQQSIEHYMKCRFINHLIIVHSVHCAPTPASSTQKPDIQQKKWPAKLPQQPTAVHLLLVRCPYTHVHPHPLFLRIELRTHQRRHPQPEHVSGPPSPRCRPPNIPQRHTVIQRTSEMQHVPESVTHYNYIRIPLVHLDPP >DRNTG_00712.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000052.1:61016:61490:1 gene:DRNTG_00712 transcript:DRNTG_00712.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLSSCGAGERKGGLADWRKEPRAGRPVWLPITEERR >DRNTG_32019.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23640085:23640405:-1 gene:DRNTG_32019 transcript:DRNTG_32019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEDCYILEPDACQSLAADLKRKIALTDDSEEDVCIISKKKASVIVMFVMNELPVNSGKGNSAIAMLLTKNISGLI >DRNTG_26130.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28419712:28420466:-1 gene:DRNTG_26130 transcript:DRNTG_26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGSQTTLPFGVGRRSCPGIILALPILSITIGRLVQNFELLPPPGEKKIDTSEKGGQFSLHILKHSTIVCKPRAF >DRNTG_10898.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000476.1:65653:66203:1 gene:DRNTG_10898 transcript:DRNTG_10898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVGSWRRLLTIHEPAFRTVTLEVLVSFEFDQSYERFDTVDAIMFRAFRHPFCMSDTEFSVHMGLYDEMYTVDKAPRKCTELSK >DRNTG_25162.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23922116:23927195:1 gene:DRNTG_25162 transcript:DRNTG_25162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVFANALETIAELSTSHALQYLGPIWVGVHEQLQKLHRSLVFIQPLVEDAEERQLTDQAVRYWLLLLKDAVYDAEDILDEAKTHELLIQRKAELSGRLKSKVREFFSLDHNPLLFKLQLGKKLTNVNEKINELIEEMHKFNLRAVENNIKPWRSRPQTYSYAHESRVIVGRDKDKEKLVQMLTRDFFDEKVAVVTIVGMGGLGKTTLAQLVYADERVKNQFEPCIWVCVSDDFDVPKLAGKIIHRVSGEICDHTDMEALQQKLRKELGQKRYLLVLDDVWNEDFQKWDALRNMLLDGGEGSRILVTTRNEKCSRLMGAQNPYILSVLSEESSWDLFEQKAFAVSAPKPPTMVEIGKKIVKKCHGLPLAIEVLACVMRCKGREDEWQAVLENATWKLQHTKNEILPGLWLSYVDLPTYLKKCFAFCGMIPKDHDIEELKLIQFWIAHGFISSENGIDMEVEGQEIFTELIRRSLLQYDCRLHASENRRVCKMHDLIHDLAHFVLQNECSALLKSSAATKIPIKATSFEFTRW >DRNTG_07325.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3199573:3201280:1 gene:DRNTG_07325 transcript:DRNTG_07325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLETLLVTPRTRLTRPSMTTKRPRHLQGRKQGTFDTPKKQRVAYNMSSITFQRY >DRNTG_31366.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28428315:28438338:1 gene:DRNTG_31366 transcript:DRNTG_31366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLGSLSSPLLCSSLTTPAQARVRSFTSIVCTSKVLPKKIYKLLTTFLSLQSSTLNESLLHNLQATVTALG >DRNTG_04750.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5262:7328:1 gene:DRNTG_04750 transcript:DRNTG_04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSNPSGSPCCEKHSLDHRRSHTHHGHHPLPIQTSMDLAPCRNLPGVQRRTFPGGNRRSYTAAYLPGGDRRPTPSCGPCPWRSPA >DRNTG_04750.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:6552:7328:1 gene:DRNTG_04750 transcript:DRNTG_04750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSNPSGSPCCEKHSLDHRRSHTHHGHHPLPIQTSMDLAPCRNLPGVQRRTFPGGNRRSYTAAYLPGGDRRPTPSCGPCPWRSPA >DRNTG_11699.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:386205:387816:-1 gene:DRNTG_11699 transcript:DRNTG_11699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNTSSSSSSAAAHVLIIPFPAQGHVTPMLSLAKLISFSGVFTTFVNTESIHRRLSGSGRIDGRRLRFRAVPDLLTDDGGNERDFDFPSMFFNLQESMRRGSTETYKKLLTEEFIGEWPPVTCVIADGVLDFAIEVAGEVGIPTLVFRTSSPCCAWTYATIPSLINNGIIPFPEECDMDEIVKGVEGMEGIMRRRDLPSFMRMVKSTEDNHIKLMNGFNSNLVSGKVIIFNSFDALDPTLLPIMSSYWPPIFTIGPLHL >DRNTG_18459.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4930779:4931560:1 gene:DRNTG_18459 transcript:DRNTG_18459.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRKSIVRLQKQSTEQDKTISGLRQRYSDEIGKQSSERNESFGWLQIEHLRLTGVEQRLRKEVESCRHELELLRHENSCLLDRLQSTGGSCRFSLVKLDQELHA >DRNTG_34604.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23233064:23234485:-1 gene:DRNTG_34604 transcript:DRNTG_34604.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGEESLRSEEMEIAGAPANLMEEEYEQLKPTIEAHHQYRVGPGRCSSLLTQRVRSPASAVWAVLSSLRSASGLQALRP >DRNTG_34604.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23233649:23234485:-1 gene:DRNTG_34604 transcript:DRNTG_34604.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGEESLRSEEMEIAGAPANLMEEEYEQLKPTIEAHHQYRVGPGRCSSLLTQRVRSPASAVWAVLSSLRSASGLQALRP >DRNTG_26339.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1327814:1329034:1 gene:DRNTG_26339 transcript:DRNTG_26339.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor CRC [Source:Projected from Arabidopsis thaliana (AT1G69180) UniProtKB/TrEMBL;Acc:Q1PFF1] MDTVTVKCGHCNHLSFLSPRPLVQPLCSDYQMSFQSPCSDCMKGQPLTHHSSTSSEPLSPKPAFVVKPPEKKHRLPSAYNRFMKEEIQRIKAAKPDIPHREAFSMAAKNWAKCDPRNSSASNADKDRPARNIAHQNERGSGFSMENFNALKQLEHKN >DRNTG_26339.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1327508:1329034:1 gene:DRNTG_26339 transcript:DRNTG_26339.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor CRC [Source:Projected from Arabidopsis thaliana (AT1G69180) UniProtKB/TrEMBL;Acc:Q1PFF1] MDFVTPSEHLCYVRCTYCNTVLAVGVPFKRLMDTVTVKCGHCNHLSFLSPRPLVQPLCSDYQMSFQSPCSDCMKGQPLTHHSSTSSEPLSPKPAFVVKPPEKKHRLPSAYNRFMKEEIQRIKAAKPDIPHREAFSMAAKNWAKCDPRNSSASNADKDRPARNIAHQNERGSGFSMENFNALKQLEHKN >DRNTG_26339.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1328029:1328718:1 gene:DRNTG_26339 transcript:DRNTG_26339.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor CRC [Source:Projected from Arabidopsis thaliana (AT1G69180) UniProtKB/TrEMBL;Acc:Q1PFF1] MKGQPLTHHSSTSSEPLSPKPAFVVKPPEKKHRLPSAYNRFMKEEIQRIKAAKPDIPHREAFSMAAKNWAKCDPRNSSASNADKDRPARNIAHQN >DRNTG_26339.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1327814:1328718:1 gene:DRNTG_26339 transcript:DRNTG_26339.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor CRC [Source:Projected from Arabidopsis thaliana (AT1G69180) UniProtKB/TrEMBL;Acc:Q1PFF1] MDTVTVKCGHCNHLSFLSPRPLVQPLCSDYQMSFQSPCSDCMKGQPLTHHSSTSSEPLSPKPAFVVKPPEKKHRLPSAYNRFMKEEIQRIKAAKPDIPHREAFSMAAKNWAKCDPRNSSASNADKDRPARNIAHQN >DRNTG_17926.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:228932:232902:1 gene:DRNTG_17926 transcript:DRNTG_17926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDKGGSTAAMEVEDAGGVPAGSGDAWELLSMARQLVGEGKASLALQAVVMAMKSEGGQQAVFETLHRARELYRSRLQANAAADELASLFAECAIAEAKPAASNPPPSNMVRASLHLQESADNSILAMSGRKQIMLDAFADGSSFICLQCGGLVSNLRKDEHQAYWCD >DRNTG_34815.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8156415:8157441:-1 gene:DRNTG_34815 transcript:DRNTG_34815.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLTLRLGLRSGVGLGFLVASEHLLKCMARERGKDRDLKYGRGITRCCMCMWGKIYRFSSDTRGTRVIRETKSWAGRYISDTSVNSKLPCMYVFKCTSYKRRERERERERIDGMMERKKEERGNDEKKGKR >DRNTG_34815.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8156415:8158088:-1 gene:DRNTG_34815 transcript:DRNTG_34815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLTLRLGLRSGVGLGFLVASEHLLKCMARERGKDRDLKYGRGITRCCMCMWGKIYRFSSDTRGTRVIRETKSWAGRYISDTSVNSKLPCMYVFKCTSYKRRERERERERIDGMMERKKEERGNDEKKGKR >DRNTG_34489.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12811584:12812894:1 gene:DRNTG_34489 transcript:DRNTG_34489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVLWSSLVFSKGPIVQPRAHLAGSVPTKASPITLFQAERSVESVEPLQRFAKSPLEPWRVDSLET >DRNTG_08022.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30150459:30154456:1 gene:DRNTG_08022 transcript:DRNTG_08022.6 gene_biotype:protein_coding transcript_biotype:protein_coding MENRTEEEIERYAKVFKERYKELNDYDRIIKTIEKGEARIARRDEIMQAIGQKMARYQDPWSELKIQYGQNKGKLYSEECDRFMLCMISELGYGNWDELKYALRESPLFRFDWYAKSRTPQELSKRCDTLIRLIEKENQEEDEQDRGAGNDKNNVKDSMRKRSKSKASSAEASATASIRRRKQPTMDGSQGS >DRNTG_08022.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30147872:30155548:1 gene:DRNTG_08022 transcript:DRNTG_08022.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLDILEDYLMFRGYLYCRIDGNTDGEDRDTSIEAFNQPGSDKFIFLLSTRAGGLGINLASADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIESAYKKLALDALVIQQGQLSEHKTVNKDELLQMVKFGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMMKFTEDAIKFKMDDTGELYDFDDEKDESSFDFKKVVCENWVEPPKRERRRYYLETDYYSETVKQVGSSKTKERKMPRMPQMHDFQFFNTQRLSELFKKEVSCHLGTYQRKDASDGDESGDLVHSLTVEEQKEKEQLLQEGFPTWTRRDFNAFVRACEQYGRNDIKNICSEMENRTEEEIERYAKVFKERYKELNDYDRIIKTIEKGEARIARRDEIMQAIGQKMARYQDPWSELKIQYGQNKGKLYSEECDRFMLCMISELGYGNWDELKYALRESPLFRFDWYAKSRTPQELSKRCDTLIRLIEKENQEEDEQDRGAGNDKNNVKDSMRKRSKSKASSAEASATASIRRRKQPTMDGSQGSGRRKR >DRNTG_08022.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30146141:30147208:1 gene:DRNTG_08022 transcript:DRNTG_08022.11 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAPKSTIGNWMREIRRFCPVLRAVKFLGNPDERNHIRESLLVAGKFDVCVTSFEMAIKERTTLRRFSWRYVIIDEAHRIKNENSLLSKTMRLYRANYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAESFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQLQKQYYRALLQKDLEVINAGGERKCLLNIAMQLRKCCNHPYLFEGAEPGPPYTSGEHLITTAG >DRNTG_08022.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30150198:30151385:1 gene:DRNTG_08022 transcript:DRNTG_08022.9 gene_biotype:protein_coding transcript_biotype:protein_coding MENRTEEEIERYAKVFKERYKELNDYDRIIKTIEKGEARIARRDEIMQAIGQKMARYQDPWSELKIQYGQNKGKLYSEECDRFMLCMISELGYGNWDELKYALRESPLFRFDWYAKSRTPQELSKRCDTLIRLIEKENQEEDEQDRGAGNDKNNVKVEYLS >DRNTG_08022.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30143502:30155548:1 gene:DRNTG_08022 transcript:DRNTG_08022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPSESGVTFSFEDPSDGSGGGSDEERPEEVEADDAAELEAVTRNADPEEVEVDEEDSQSSEDDDEALEESSNSEIAKREKARLKEIQKLKKQKIQEILVAQNSAIDADMNKKGKGRLKYILQQAEIFAHFASGSQTASGKKPRGRGRHASKLTEEEEDEECLKEEEGGFSVGGGTWLVSQPTCIQGKLRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGISGPHMVVAPKSTIGNWMREIRRFCPVLRAVKFLGNPDERNHIRESLLVAGKFDVCVTSFEMAIKERTTLRRFSWRYVIIDEAHRIKNENSLLSKTMRLYRANYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAESFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQLQKQYYRALLQKDLEVINAGGERKCLLNIAMQLRKCCNHPYLFEGAEPGPPYTSGEHLITTAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYLYCRIDGNTDGEDRDTSIEAFNQPGSDKFIFLLSTRAGGLGINLASADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIESAYKKLALDALVIQQGQLSEHKTVNKDELLQMVKFGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMMKFTEDAIKFKMDDTGELYDFDDEKDESSFDFKKVVCENWVEPPKRERRRYYLETDYYSETVKQVGSSKTKERKMPRMPQMHDFQFFNTQRLSELFKKEVSCHLGTYQRKDASDGDESGDLVHSLTVEEQKEKEQLLQEGFPTWTRRDFNAFVRACEQYGRNDIKNICSEMENRTEEEIERYAKVFKERYKELNDYDRIIKTIEKGEARIARRDEIMQAIGQKMARYQDPWSELKIQYGQNKGKLYSEECDRFMLCMISELGYGNWDELKYALRESPLFRFDWYAKSRTPQELSKRCDTLIRLIEKENQEEDEQDRGAGNDKNNVKDSMRKRSKSKASSAEASATASIRRRKQPTMDGSQGSGRRKR >DRNTG_08022.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30150459:30155548:1 gene:DRNTG_08022 transcript:DRNTG_08022.5 gene_biotype:protein_coding transcript_biotype:protein_coding MENRTEEEIERYAKVFKERYKELNDYDRIIKTIEKGEARIARRDEIMQAIGQKMARYQDPWSELKIQYGQNKGKLYSEECDRFMLCMISELGYGNWDELKYALRESPLFRFDWYAKSRTPQELSKRCDTLIRLIEKENQEEDEQDRGAGNDKNNVKDSMRKRSKSKASSAEASATASIRRRKQPTMDGSQGSGRRKR >DRNTG_08022.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30145359:30146848:1 gene:DRNTG_08022 transcript:DRNTG_08022.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGKTLQTISLLGYLHEFRGISGPHMVVAPKSTIGNWMREIRRFCPVLRAVKFLGNPDERNHIRESLLVAGKFDVCVTSFEMAIKERTTLRRFSWRYVIIDEAHRIKNENSLLSKTMRLYRANYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAESFDEWFQISGENDQQEVVQQLHK >DRNTG_08022.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30145359:30149003:1 gene:DRNTG_08022 transcript:DRNTG_08022.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGKTLQTISLLGYLHEFRGISGPHMVVAPKSTIGNWMREIRRFCPVLRAVKFLGNPDERNHIRESLLVAGKFDVCVTSFEMAIKERTTLRRFSWRYVIIDEAHRIKNENSLLSKTMRLYRANYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAESFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQLQKQYYRALLQKDLEVINAGGERKCLLNIAMQLRKCCNHPYLFEGAEPGPPYTSGEHLITTAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYLYCRIDGNTDGEDRDTSIEAFNQPGSDKFIFLLSTRAGGLGINLASADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIESAYKKLALDALVIQQGQLSEHKTVNKDELLQMVKFGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMMKFTEDAIKFKMDDTGELYDFDDEK >DRNTG_08022.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30147872:30149003:1 gene:DRNTG_08022 transcript:DRNTG_08022.10 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLDILEDYLMFRGYLYCRIDGNTDGEDRDTSIEAFNQPGSDKFIFLLSTRAGGLGINLASADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIESAYKKLALDALVIQQGQLSEHKTVNKDELLQMVKFGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMMKFTEDAIKFKMDDTGELYDFDDEK >DRNTG_08022.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30150322:30155548:1 gene:DRNTG_08022 transcript:DRNTG_08022.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENRTEEEIERYAKVFKERYKELNDYDRIIKTIEKGEARIARRDEIMQAIGQKMARYQDPWSELKIQYGQNKGKLYSEECDRFMLCMISELGYGNWDELKYALRESPLFRFDWYAKSRTPQELSKRCDTLIRLIEKENQEEDEQDRGAGNDKNNVKDSMRKRSKSKASSAEASATASIRRRKQPTMDGSQGSGRRKR >DRNTG_08022.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30150322:30151385:1 gene:DRNTG_08022 transcript:DRNTG_08022.12 gene_biotype:protein_coding transcript_biotype:protein_coding MENRTEEEIERYAKVFKERYKELNDYDRIIKTIEKGEARIARRDEIMQAIGQKMARYQDPWSELKIQYGQNKGKLYSEECDRFMLCMISELGYGNWDELKYALRESPLFRFDWYAKSRTPQELSKRCDTLIRLIEKENQEEDEQDRGAGNDKNNVKVEYLS >DRNTG_08022.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30148517:30155548:1 gene:DRNTG_08022 transcript:DRNTG_08022.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMMKFTEDAIKFKMDDTGELYDFDDEKDESSFDFKKVVCENWVEPPKRERRRYYLETDYYSETVKQVGSSKTKERKMPRMPQMHDFQFFNTQRLSELFKKEVSCHLGTYQRKDASDGDESGDLVHSLTVEEQKEKEQLLQEGFPTWTRRDFNAFVRACEQYGRNDIKNICSEMENRTEEEIERYAKVFKERYKELNDYDRIIKTIEKGEARIARRDEIMQAIGQKMARYQDPWSELKIQYGQNKGKLYSEECDRFMLCMISELGYGNWDELKYALRESPLFRFDWYAKSRTPQELSKRCDTLIRLIEKENQEEDEQDRGAGNDKNNVKDSMRKRSKSKASSAEASATASIRRRKQPTMDGSQGSGRRKR >DRNTG_02881.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:852080:857655:-1 gene:DRNTG_02881 transcript:DRNTG_02881.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 11 [Source:Projected from Arabidopsis thaliana (AT4G38050) UniProtKB/Swiss-Prot;Acc:Q6SZ87] MDPGSSSKIPEETKARPRPGPWPPRIEPFVHNNDHNPRDLKSWAKRTGFNPNFSGETVVSTVGEVEMERPADEVDLEEGIERRRARSPPKVEIEPMLGRRRNIGVESKGIEIGSGNGGLRADEKDKRRVGVETGVGIKEERKPEEVNANVNANGNRNRNRNANVGVAAIAPVASGDEESKKKDAQKFDGEVEIDMFSESPELENPPLNRSPRLKSRLTDKPGYASLIPYGVQHYLSLAGSLVFIPLIMVPAMGGTDEETANVISTMLLVSGVTTIMHSFLGTRLPLVQGSSFVYLAPALVVINSEEFRNLSENKFRHIMRELQGAIIIGSVFQTILGYSGLMALFLRLINPVVVAPTVAAVGLAFFSYGFPQAGTCAEISVPLIILVLIFTLYLRRISIFGHHIFLVYAVPLSVAIVWAYAFFLTTGGAYNYKGCNSNIPNSNILLDSCKRHVDTMRHCRTDASNAWRTAAWVRVPYPFQWGAPSFHFKTSILMIIASIVASVDSVGTYHASSLLVNLRPPTPGVVSRGIGLEGISSILAGLWGTGIGSTTLTENMHTLEVTKMGSRKALVAGAAFLIFISFVGKVGALLASIPLALAAAVLCFTWGLIVALGLSTMQYTQTASSRNIIIVGFTMFISLSIPAFFQQYQPNTSLILPSYFIPYAAASDGPIHIGNAGLNYALNGLLSLNMVVALLVAFVLDNTVPGTKQERGVYIWSNPRSPDLDPASLEPYLLPKQISCFFRWAKCVGL >DRNTG_22813.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14891091:14906194:1 gene:DRNTG_22813 transcript:DRNTG_22813.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGQPHTPVACLVIRDKSPKCFARPCGKCRTVVDIHRSKSQGQAHTPVSSQDGESPSAKIRTGVRRLSTPMRSSQGRPQGRVHALVRCRDNLPNSGV >DRNTG_27465.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26377017:26377929:1 gene:DRNTG_27465 transcript:DRNTG_27465.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESHNDVDDNGGTRTLFDIIHQEGSSGTRRRGLKDNLYVEPWPRGRTGNRAPTPRGAPALARNFSARTDGVQPQDPPVEEPPAREPVTISLMALLEQSGRFGGDDDEESPMAEEEGEEGGGEMQCCVCMVRHKGAAFIPCGHTFCRLCSRELWASRGNCPLCNGFILEILDIF >DRNTG_27465.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26377017:26377929:1 gene:DRNTG_27465 transcript:DRNTG_27465.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESHNDVDDNGGTRTLFDIIHQEGSSGTRRRGLKDNLYVEPWPRGRTGNRAPTPRGAPALARNFSARTDGVQPQDPPVEEPPAREPVTISLMALLEQSGRFGGDDDEESPMAEEEGEEGGGEMQCCVCMVRHKGAAFIPCGHTFCRLCSRELWASRGNCPLCNGFILEILDIF >DRNTG_32452.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21384359:21385199:1 gene:DRNTG_32452 transcript:DRNTG_32452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGERSLIRSLCKKMAEEVKLIGLSMSMFVIRVKIALNLKGVKYENLEETFGSKSELLLKSNPIYKKVPVLIHNGKTICESMIIVQYVDDVWSDAGPSIVPSDPFDAAMARFWSYYIDDKWFPAYGEVIKGQTREAKIEAAKEAIATLQPLEEVFQQQSKGKDFFGGDTIGYLDIALGCYLGWIKAAEKLTGLEFFDKNETPLLAAWAECFYSNDAVKCAVPDVDEFVEFSIKIQAKLNA >DRNTG_05189.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22617678:22620243:1 gene:DRNTG_05189 transcript:DRNTG_05189.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 47, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G12770) UniProtKB/Swiss-Prot;Acc:Q8W4E1] MQIVREVEKLLGPEDKKLVQQLVGGANPSRQEEALKKNKPVIVVGTPGRIAEISAAGKLHTHGCRFLVLDEVDQLLSFNFREDMRRILEHVGKQKGSQPSCTVGPLARRSERQTIMVSATVPFSVIRAARSWGRDPLLVRAKSVAPLDSISVTAPVSLDQNSNTASAPNSVTQGAADSLPPALKHYYCIAKGQHKVDTLRKCVYALDAKSVIVFMNHTKQLKDVVFKLEARGMKAAELHGDIGKLARSTTLKKFKNGEMRVLVTNELSARGLDVSECDLVVNLELPTDAIHYAHRAGRAGRLGRKGSVVSVCEQPEVFVVKKLQRQLGVPIQSCEFAEGKLVICEEEETEKLVEVSG >DRNTG_21972.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2267370:2269487:-1 gene:DRNTG_21972 transcript:DRNTG_21972.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIVCEETSTTKNFHQRKKRHSQRIQSSRTLYSNDESMIDTNKFKFYFEHLWENLSEENRRSSICLDSLWFSMYKSKVTKD >DRNTG_17128.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20893972:20896594:1 gene:DRNTG_17128 transcript:DRNTG_17128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSSSPSLFSKKKEEDPLQKPNFELKNTTPNASTAVLLLVLFSGCLGTYFRHDCSTTCKVHVCAILGMFVNDAGIKELEGLPLSLFWDSNLHRENFEEGKDQASPYLLKGSRMSWSHKRSGASIQSSARKLEYESLYDAWERYKKMLRKWPPHGIVEWMQIQIFYNGLNISTKQMLDVASGGSLYNKQPSVAYTLIEDMTNNGYQWTSERSKPGKATRIDQVDVVTTLAV >DRNTG_22098.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:118517:119918:1 gene:DRNTG_22098 transcript:DRNTG_22098.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTYQLDLIAPPPFRLLSFVRQMVHVMDGTVLMSLLQPAPEVFELFDDIILLSEGHIVYQGPREHVLEFFEFMGFRCPERKGIADFLQEVTSKKDQEKYWFNVNQQSFRYISALEFAESFKTFHVGRYISDSLSIPYDQNRAEYNLIVKETSNKYGISNWKLFKACFFREWLLMKRNSFVYIFKTFQISIMSVIAMSVFLGSQMHHRTIADGTKYINALFYGLTTVMFNGMSEIVMTIERLPVFYKQRDFLFFPSWAFALPYIILRIPISLLESGIWMLITYYPMGFAPAASR >DRNTG_22098.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:118517:123616:1 gene:DRNTG_22098 transcript:DRNTG_22098.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEEVMGLVELNTLRNALVGSPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTTGLDARSAAIVMRTVRNTVDSGRSVLCTIHQPSIDIFESFDELMLMKTGGKLIYSGPLGQHSQNLIDYFEAIPGVPKIREGQNPATWVLEISSSEAQLNIDFAELYSSSSLYKRNEDIINELNIPAPGSKDLNFPTEYAQSFVTQSIACFWKQRQSYWRNTKYNSTRIITTIVYGLMFGTMFWNKGSKITKQQDLFNLLGGIYSAVFFLGAMAANKVQPVIATERAVLYREKAAGMYSSLSYAFAQVSIEMVYVAVQSLIYTILLFSMMNFGWQADKFFWFLYFIFMCFVYFVLFGMMLMAMTPNIAVASILYAFFNCLWNLFSGFIIPRSLTPIWWRWYYWVCPVFWTIYGIVVSQVGDKENLVLVPGQASIPVKDLLNHTLGFQQSFLGYMAVLHLAFVLIFFCVFVYCIKFLNYQSR >DRNTG_22098.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:118517:123616:1 gene:DRNTG_22098 transcript:DRNTG_22098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEEVMGLVELNTLRNALVGSPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTTGLDARSAAIVMRTVRNTVDSGRSVLCTIHQPSIDIFESFDELMLMKTGGKLIYSGPLGQHSQNLIDYFEAIPGVPKIREGQNPATWVLEISSSEAQLNIDFAELYSSSSLYKRNEDIINELNIPAPGSKDLNFPTEYAQSFVTQSIACFWKQRQSYWRNTKYNSTRIITTIVYGLMFGTMFWNKGSKITKQQDLFNLLGGIYSAVFFLGAMAANKVQPVIATERAVLYREKAAGMYSSLSYAFAQVSIEMVYVAVQSLIYTILLFSMMNFGWQADKFFWFLYFIFMCFVYFVLFGMMLMAMTPNIAVASILYAFFNCLWNLFSGFIIPRSLTPIWWRWYYWVCPVFWTIYGIVVSQVGDKENLVLVPGQASIPVKDLLNHTLGFQQSFLGYMAVLHLAFVLIFFCVFVYCIKFLNYQSR >DRNTG_18420.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4148074:4151509:-1 gene:DRNTG_18420 transcript:DRNTG_18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQSSTKPPPPPPLVSPQDFELLADDFSSGVTSRRLRWLPLPLLDLTLAALLRRDFPLSVKPLLLVFLEDSSFLLFPSLSSLAPLLDSLRSFLLSPDPPPPLKDQFVASATAILITTLPSPLDPSSTAPLESLISILLAVVHRPNHGPDRQSRAAAAECLRELELAFPLLLSTAAGHLWSLAQSERTHASQSYALLLASTLLHIVHSPLISSPLPLFSTSLPLLPFNIPQSVFSLADDDRDPTELNLREIRRVLAFLLDRTPALTPCALAEMVSALLGIVAALEHRVPAVTALLKVRFSGLIYSYDPVLVHVVLTLYARFSDAFTGEDELAIARRLVLLSKDAQMPLVFRLLALHWLLGSKHLVDEKVSLSELAPCFYPLVFDPLALKAKKLDALACIAAREEVSGDGGESEVVKLFNDGLVCVSAFKWLPPWSSETSVAFRAFHEFLIAVAPAAISDSTIFCFLKVMFVKLALEHPRLVPVIAAFVDRLWECNVHRQVGEWLLQTFDEQLLPKLKPGYQLVSCFPIFERIAESDSIPPCGLLELLTRHMVYLTEKHGPDAGLRSWSQGSKVLGICRMMLMHHHSSRVFHGLSRLLGFTCQFFPDLEVRDNARIYLRMLVCIPGKKLRHILSLGEQLLAVSPSTNSSSFFQVPSPRHSKDFKKISGVTSFIHLRRVTSLLVKQSWSLALPSLGTTNEISYSEGIRDIVPASVQSNEESEVDVEKISLPSEPLRVMDSKVAEIVGILRKHFACIPDYRHMAGIKITIPCTLSFEAEPFSRIWGIDLSDLGSDGVEGLPALYATTLTFTSTSKYGSIPPCRIPFLLGQPSKAGLDIVPIGSGFEKDSSIQASVTIELEPREPMPGLIDVAIKANVENGQIISGSLQCISVGLEDMFLQAIAPPDISKDELPVYYFDLFHALWEACGNSANTGRETFPLSGGKGAAAISGTRSVKLLEEHPDSLINAVEKHLSPFVVAVIGDTLVNSVKLNGILRDVVWIEDSSEFTGNDANALVPYSDKQTLQLEYTQDEHDSVNPPAIISKKSMGMFLVLVFLPPRFHLLFQMEIGNVSTLIRIRTDHWPCLAYVDEFLESLFSP >DRNTG_14158.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14362850:14368706:1 gene:DRNTG_14158 transcript:DRNTG_14158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLDFAGYLGIYDDEFINSMPCTRLKLDFPSELDRSNYWATLAGDDQTRKASRMVNLAHIFIHALIAHSIWGRTNIYTMYGILERRPTHLGHLVADTRSMTIVGGTRAGPHTGDRLGEHTESEPEGAPVSTPGSTSLPDFDMRLRRIEGEVQAVRQEQHCNRVVGWLLCLTSMCTLQKDFKMMLVQSTAEQGAFCWAYFGRPLERFWAILGRRRRARKLGDHSRPRSKTLKARRNVDLEEIPTYVRYWGSFHRHRSGEVCPRPDKGTLREDSTTPQDHQHEHRGGMTQSQLVCSGCCNLLLCLVDATFVCCVVCNVVTNVPSPGTEMA >DRNTG_11736.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000517.1:12381:14855:1 gene:DRNTG_11736 transcript:DRNTG_11736.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRSPHNFHLVHIRWLFKSHRSHPFSPGFGLGCLLQVCCFSQKAY >DRNTG_07533.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14298264:14299237:-1 gene:DRNTG_07533 transcript:DRNTG_07533.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQKRLKINNNKQNNNNSSKENKSTQLGVSKFFRLRYKDEGRNKNYGFDGRAETQLNQTNFACQRSWNMTVTGLQRNL >DRNTG_33386.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18830597:18832979:-1 gene:DRNTG_33386 transcript:DRNTG_33386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLPHGLRTLNTVWAQHLSEEVKRRFYKNWYRAKRTAFKKLLKKFESDEGKKEIQSQLEKMKKYASVVRVLAHTQIKKMKGLKQKKAHLMEIQVNGGTIAEKVDFAYGFFEKQIPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKAGDENHKALTEFDRTEKDITPMGGFPHYGVVKDDYLLIKGCCVGPKKRVVTLRQSLLKQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKQKFYGRLKA >DRNTG_28903.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4958782:4960895:-1 gene:DRNTG_28903 transcript:DRNTG_28903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSPPLAPPSSPATPSPPPCSVLAGLRMAGNVDEALKVYQHILATAPFSPSAVTYRHLTKGLIDSNRIGEAVDLLREMLSRGHGADSLVYNNLIAGFIDLGNMDKALELFDELRERCKVYDGVVHATFMEAHFKQGKDKEAMDSYQSLLDLQFKMSPVTCNVLLEVLLKHEKIKEAEKLFEQMLDAHTPPSFTGINTDTYNIMVNECFKKGRFLESIEVFHRTGKKACAMDVGCYNNIIVKLCENGLVSEAEKLFDEMHGKSVIPNAATYGFLIEGCLEVGRIEDALNYFEKMASGGDGAPKVDVSFYNKMFERLVKVGSVSSAMEIFGKMGERGLKPNSMSYELLIDGLCKEGDLDRAIHLMEEMLRSGASASPEFRERIMNAFAQAGRVEEVERMLGEKTGSFVPQAQQVAA >DRNTG_14235.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:916192:919667:-1 gene:DRNTG_14235 transcript:DRNTG_14235.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFHFIEAKTQTLCGQALHHHLLLHRRLLHWQPNPGATLSSQILTEACSCVESIGGTRAGRWKTTLTFYRPMARDGVSASESPRDFLGVQLHDLPGKYYFILRAHRILLEADSSIQAVMEKLQSYKARVVLNFEGFQYQLGDFQIRVGKCVPSQSESLRGIMMEVEYLPLSSIEKSRQIMEEFVEIWQETVWKKSLPGHFLHIDSNFTDYGLHDYYTPQHTAVQYATCMQQLIAAVRN >DRNTG_14235.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:916192:919667:-1 gene:DRNTG_14235 transcript:DRNTG_14235.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKWLLHWQPNPGATLSSQILTEACSCVESIGGTRAGRWKTTLTFYRPMARDGVSASESPRDFLGVQLHDLPGKYYFILRAHRILLEADSSIQAVMEKLQSYKARVVLNFEGFQYQLGDFQIRVGKCVPSQSESLRGIMMEVEYLPLSSIEKSRQIMEEFVEIWQETVWKKSLPGHFLHIDSNFTDYGLHDYYTPQHTAVQYATCMQQLIAAVRN >DRNTG_07904.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2516441:2516949:1 gene:DRNTG_07904 transcript:DRNTG_07904.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILTASNSYTVTVNRTVTNVGDATSTYSVTVVEPNDGSVTVNPETITFSSVNQQTQYSVTFSNSVGGTGTAVYSQGSLTWASSDGSTTVRSPIMVVVV >DRNTG_17965.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20373656:20381095:-1 gene:DRNTG_17965 transcript:DRNTG_17965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYGNPYPYPYPFTGAPPQPPMNPDPHQPPPHYLHPSNSFPANPPPSVSLSGHLYYPPPQHSPYDYYNPYPSSAYVPAPAPAPSPYYPSPSAPPDAPAPEHSLSSSSLYPIDSVLADMRISESTTPSSPSTSQHHGPVYPALPSGSSPSESQHMQIVPFNKQHSISSLKVLLLHGSLDIWIFDAFNLPNMDMFSKTIGDMLGKRLTSTLSGKLEHMSSMTSDPYVSIVASNAVLGRTYVISNSENPVWTQHFCVPVAHHAAEIEFVVKDNDVVGSQIIGTVAIPVEWIYSGEKVEGTYPVLGSNGKPCKPNAVLRLSIQYIPIHKLSMYHQGVGPGPEYGGVPGTYFPLRKGGKVTLYQDAHVPDGSLPDLRLGHGEHYQHGKCWRDICDAISQARRLVYIVGWSVFHTVCLVRDAGRPDYTLGDLLKSKSQEGVRVLILVWDDPTSRSILGFKMDGVMQTRDEETRRFFKHSSVQVLLCPRSAGKRHSWVKQQETATIYTHHQKTVIVDADAGYNKRKIVAFVGGLDLCGGRYDTPQHFLFSTLQTLHKNDYHNPTFTGPDTGGPREPWHDLHSKIDGPAAYDVLTNFEERWLKASKRHGIRKLKRSFDDALLKIERIQDIIGINDAQYLNDNHPESWHVQVFRSIDSNSVKGFPKDPKVATSKNLVCGKNVLIDMSIHTAYVKAIRSAQHFIYIENQYFLGSSYNWDTNKDLGANNLVPIEIALKIANKIKANERFSAYIVIPMWPEGIPTSTPTQRILFWQNKTMQMMYEIIYKALKEVGLEDQYEPQDYLNFFCLGNREAPDLSNPSSGGPAAVNAPNALARKNRRFMIYVHSKGMIVDDEYVIVGSANINQRSLEGTRDSEIAIGAYQPEHTWARKLSGPLGQIYGYRMSLWAEHIGFLEECFTQPETLECMRRVRALGEQNWKQFTAAEVTEMRGHLLKYPVDVDRKGKVKPLPGCETFPDVGGNICGTFLAIQENLTI >DRNTG_31889.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4563276:4564243:-1 gene:DRNTG_31889 transcript:DRNTG_31889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRNHRKDNYLLKMVGTPPPRQASMVISPRRRFNHVN >DRNTG_13884.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2500095:2503680:-1 gene:DRNTG_13884 transcript:DRNTG_13884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVGVDSIAGDFVDFLNASPTAFHAVAEAKLRLKEAGYEHISEREDWVLKPGGKYFFTRNHSTIIAFAIGQRFVAGNGFHIVGAHTDSPCLKIKPISKVVKGEFLEVGVQTYGGGLWHTWFDRDLTVAGRILIKLKKDGSDVYTHKLVRIQEPIMRIPTLAIHMDRSVMEGFKFNTQSNLVPVLATSIKNELQKLVGENIIVDQSDSTEKTNLSSNKHHLLLLKLIADQAGCEPDEICDFELQLCDTQPSIIGGALKEFIFSGRLDNLCMSFCSLKALIDATSAHGSLDQESGVRMVALFDHEEVGSNSAQGAASPAMFDALSRITQCFSSDSMLLAKAIQRSFVVSADMAHALHPNYMDKHEENHQPKMHGGLVIKHNANQRYATNAITSFIFREIADKHNLPIQDFVVRNDMACGSTIGPILASGVGVRTVDVGAPQLSMHSIREVCAVDDIRHSYEHFKAYFNEFTQLDAKNQRRLLSSFEFAYHITGMDLSLS >DRNTG_06473.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16909764:16912942:-1 gene:DRNTG_06473 transcript:DRNTG_06473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPMLNSGEIRPESLAVMREAKIAPEKVEMVKMEIEDHLEEEHGSFSKKIKLDVPTPLLYDASASLLNEESLPHNLISEPSPLGLRLKKTPSLLDLIQMKLSQVHSAAACAIKSGTFDDVKKELKSISAIDKMKASNFPASLLTIGNWEYVSRYEGDLVAKCYYAKHKIVWEVLDGGLKSKIEIQWSDIAAIKATFP >DRNTG_23595.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:639994:640429:-1 gene:DRNTG_23595 transcript:DRNTG_23595.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQDLHPTSWFAVAWYPIYTIPDKGTNLRAAFLTYHSFGHLVLRHIQSDALGGNAFCAVAPALGMQTYNAKV >DRNTG_21659.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:11187817:11188208:-1 gene:DRNTG_21659 transcript:DRNTG_21659.1 gene_biotype:protein_coding transcript_biotype:protein_coding IQFRKVNQLQSNAKNNQSCSNSKKSIRNRETLINLEGSETDASELS >DRNTG_21511.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17890041:17891920:1 gene:DRNTG_21511 transcript:DRNTG_21511.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSKKPTTMNNSHERPMCVQGDSGLVLTTDPKPRLRWTVELHDRFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNEIQIKEDMQRNAASSSGMMPRNMNKNVHITEAIRMQMEVQRRLHEQLEVQKHLQMRIEAQGKYMQSILEKACQTITVDNLATLGNMKDMNSQMSFPCLQDLHIYGSNGGDQIDMQKHLERSSLDGFLHNDDNSCDLGKKRSNPMVLMEKTR >DRNTG_33751.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32384695:32385124:1 gene:DRNTG_33751 transcript:DRNTG_33751.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTGVVVAAASSATLLAASACSASSPALFSDPRSRSPSQVYHL >DRNTG_33751.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32384660:32391467:1 gene:DRNTG_33751 transcript:DRNTG_33751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEGTRISLSAQSVGGCVHKQAEESLEQFREQNVPGFLISLSGELVNEEQPVDSSKLVGLILKNAYDAKEKHRKNELGTQMIEF >DRNTG_33751.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32384695:32385124:1 gene:DRNTG_33751 transcript:DRNTG_33751.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTGVVVAAASSATLLAASACSASSPALFSDPRSRSPSQGIEKINVEKQYSMTERYSPRFDGLRFIETLVTAHR >DRNTG_33751.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32387019:32391467:1 gene:DRNTG_33751 transcript:DRNTG_33751.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEGTRISLSAQSVGGCVHKQAEESLEQFREQNVPGFLISLSGELVNEEQPVDSSKLVGLILKNAYDAKEKHRKNELGTQMIEF >DRNTG_33751.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32384660:32391467:1 gene:DRNTG_33751 transcript:DRNTG_33751.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEGTRISLSAQSVGGCVHKQAEESLEQFREQNVPGFLISLSGELVNEEQPVDSSKLVGLILKNAYDAKEKHRKNELGTQMIEF >DRNTG_33751.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32384695:32385172:1 gene:DRNTG_33751 transcript:DRNTG_33751.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTGVVVAAASSATLLAASACSASSPALFSDPRSRSPSQGIEKINVEKQYSMTERYSPRFDGLRFIETLVTAHR >DRNTG_01022.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18146753:18149081:-1 gene:DRNTG_01022 transcript:DRNTG_01022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVSMKIPKIDFSSSPDLQPGADHWDQLRSQVLQALKSFGCFEAVYPKVSQETRQAFFSVLEDLFSLPVETKLLNTSEVPTFGYVGQYPHLPLYESLGISKVSLPDNILKFSNLMWPHGNINFREVVSLLVGQISDLEKMVRRMVMEGLGVDKYYESNTESIDYLLRMMKYKGPEKEGVREGLGSHTDMTMLSILFQNQVSGLQIQTKDGGEWIPVEISPNSFTVMAGDSFLAWSNGRVHAPWHRVMMEGNEARYSVAFFALPKGGHLVQAPEEMVGEEHPLLFKPFDVIKYLNYISSDGGKPGKSALKDYCGV >DRNTG_28990.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16741798:16743752:-1 gene:DRNTG_28990 transcript:DRNTG_28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSKGGEMEKRWPEIRLAVKELSLLQHEDQKAPTMAFLCVSKLLVNVLDEIGPTMVVLREDMQRNIERVEEIYLSNPSIFSSLEEVLQSDVDSGLARKGDSFSKAVLWLARSLNFSLALLEQLVKDSDASLALVVEEAYQLTIKPWHGWISRAAYKVAMKLIPEREDFVALLMKQEQDYDALKSDIKEFVSLFKPLLDEIQAILKKFRLDRLKST >DRNTG_03617.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11275868:11278083:1 gene:DRNTG_03617 transcript:DRNTG_03617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRVRISSSIDNSLTDLEGDEDSFG >DRNTG_22545.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3706638:3712334:1 gene:DRNTG_22545 transcript:DRNTG_22545.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid division protein CDP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G19180) UniProtKB/Swiss-Prot;Acc:Q8VY16] MQISKAKTICECLMESEGTNLKFEEAFCSFLLGQGSEKEAAEKLSQLEIKGASVTRSLESPYPIKENKDKHAVNRALENWLKESVLGVFPETKNCAPSLTGFFSGPKRILSGGKQKLGNVDSVTLSHRSLPHSLSTNQVPEEPLHLNSTRHLGEAVRQLAPVNLQNRLNMSKSSGTIKGPSVQVNRNPGQAHLKFWEHWSLIGDITVQIGCATFALCILVGSWKLFLLQSGQNKSMNNLHPSQQSLSRSSHIWTMDHTPDLKSNFARKNMFALFGELLAMFRHPSKHASDAVSSPNTRPDDISSLSVAYASPANMLHRRQMPLEEAEALVRQWQDIKAEALGPNHQIQALSDILAESMLSRWHDLANAAKTSLCFWRFVLLQLSIVRADILSDDLGGEIAEIEVVLEEAAELVDESHSKNPNYYSTYKINYILRKHDDGSWKFCECAIEEDVR >DRNTG_22545.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3706638:3712334:1 gene:DRNTG_22545 transcript:DRNTG_22545.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid division protein CDP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G19180) UniProtKB/Swiss-Prot;Acc:Q8VY16] MSKSSGTIKGPSVQVNRNPGQAHLKFWEHWSLIGDITVQIGCATFALCILVGSWKLFLLQSGQNKSMNNLHPSQQSLSRSSHIWTMDHTPDLKSNFARKNMFALFGELLAMFRHPSKHASDAVSSPNTRPDDISSLSVAYASPANMLHRRQMPLEEAEALVRQWQDIKAEALGPNHQIQALSDILAESMLSRWHDLANAAKTSLCFWRFVLLQLSIVRADILSDDLGGEIAEIEVVLEEAAELVDESHSKNPNYYSTYKINYILRKHDDGSWKFCECAIEEDVR >DRNTG_22545.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3710760:3712334:1 gene:DRNTG_22545 transcript:DRNTG_22545.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid division protein CDP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G19180) UniProtKB/Swiss-Prot;Acc:Q8VY16] MSKSSGTIKGPSVQVNRNPGQAHLKFWEHWSLIGDITVQIGCATFALCILVGSWKLFLLQSGQNKSMNNLHPSQQSLSRSSHIWTMDHTPDLKSNFARKNMFALFGELLAMFRHPSKHASDAVSSPNTRPDDISSLSVAYASPANMLHRRQMPLEEAEALVRQWQDIKAEALGPNHQIQALSDILAESMLSRWHDLANAAKTSLCFWRFVLLQLSIVRADILSDDLGGEIAEIEVVLEEAAELVDESHSKNPNYYSTYKINYILRKHDDGSWKFCECAIEEDVR >DRNTG_22545.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3706638:3712334:1 gene:DRNTG_22545 transcript:DRNTG_22545.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid division protein CDP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G19180) UniProtKB/Swiss-Prot;Acc:Q8VY16] MNKLMASEIVDLLSWDTLAIARKNKKSLQSQHQRIMIDFNCFYVAMIAHIAFGFSSRQTEMISKAKTICECLMESEGTNLKFEEAFCSFLLGQGSEKEAAEKLSQLEIKGASVTRSLESPYPIKENKDKHAVNRALENWLKESVLGVFPETKNCAPSLTGFFSGPKRILSGGKQKLGNVDSVTLSHRSLPHSLSTNQVPEEPLHLNSTRHLGEAVRQLAPVNLQNRLNMSKSSGTIKGPSVQVNRNPGQAHLKFWEHWSLIGDITVQIGCATFALCILVGSWKLFLLQSGQNKSMNNLHPSQQSLSRSSHIWTMDHTPDLKSNFARKNMFALFGELLAMFRHPSKHASDAVSSPNTRPDDISSLSVAYASPANMLHRRQMPLEEAEALVRQWQDIKAEALGPNHQIQALSDILAESMLSRWHDLANAAKTSLCFWRFVLLQLSIVRADILSDDLGGEIAEIEVVLEEAAELVDESHSKNPNYYSTYKINYILRKHDDGSWKFCECAIEEDVR >DRNTG_22545.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3708071:3712334:1 gene:DRNTG_22545 transcript:DRNTG_22545.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid division protein CDP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G19180) UniProtKB/Swiss-Prot;Acc:Q8VY16] MNKLMASEIVDLLSWDTLAIARKNKKSLQSQHQRIMIDFNCFYVAMIAHIAFGFSSRQTEMISKAKTICECLMESEGTNLKFEEAFCSFLLGQGSEKEAAEKLSQLEIKGASVTRSLESPYPIKENKDKHAVNRALENWLKESVLGVFPETKNCAPSLTGFFSGPKRILSGGKQKLGNVDSVTLSHRSLPHSLSTNQVPEEPLHLNSTRHLGEAVRQLAPVNLQNRLNMSKSSGTIKGPSVQVNRNPGQAHLKFWEHWSLIGDITVQIGCATFALCILVGSWKLFLLQSGQNKSMNNLHPSQQSLSRSSHIWTMDHTPDLKSNFARKNMFALFGELLAMFRHPSKHASDAVSSPNTRPDDISSLSVAYASPANMLHRRQMPLEEAEALVRQWQDIKAEALGPNHQIQALSDILAESMLSRWHDLANAAKTSLCFWRFVLLQLSIVRADILSDDLGGEIAEIEVVLEEAAELVDESHSKNPNYYSTYKINYILRKHDDGSWKFCECAIEEDVR >DRNTG_22545.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3706638:3712334:1 gene:DRNTG_22545 transcript:DRNTG_22545.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid division protein CDP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G19180) UniProtKB/Swiss-Prot;Acc:Q8VY16] MSKSSGTIKGPSVQVNRNPGQAHLKFWEHWSLIGDITVQIGCATFALCILVGSWKLFLLQSGQNKSMNNLHPSQQSLSRSSHIWTMDHTPDLKSNFARKNMFALFGELLAMFRHPSKHASDAVSSPNTRPDDISSLSVAYASPANMLHRRQMPLEEAEALVRQWQDIKAEALGPNHQIQALSDILAESMLSRWHDLANAAKTSLCFWRFVLLQLSIVRADILSDDLGGEIAEIEVVLEEAAELVDESHSKNPNYYSTYKINYILRKHDDGSWKFCECAIEEDVR >DRNTG_22545.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3706638:3712334:1 gene:DRNTG_22545 transcript:DRNTG_22545.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid division protein CDP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G19180) UniProtKB/Swiss-Prot;Acc:Q8VY16] MALAHAVSISPPLGFACVESNVFERRRTSPPGVMVLLAGKGVDGGLRQRALGDWRDLGRRVVRRSRRLNAAVAPVVESGQNRKTVEIPVTCYQILGVSEKAEKDEIVKAVMELKNSEIEDGYTADIVRIRQDILMDVRDKLLFEPVYAGDIKGNVAPKASLRIPWTWLPAALSLLQEVGEGKIALELGRTALQHADAKPYIHDLLLSMASAECSIAKTCFEKSKVSEGFEALARAQHLLRSEISLVKMPLLSQVEESLKELAPACTLELLGLPCTPKNIEHRQRAILALHELLRQGLNAEASCRVQDWPCFLTHAMNKLMASEIVDLLSWDTLAIARKNKKSLQSQHQRIMIDFNCFYVAMIAHIAFGFSSRQTEMISKAKTICECLMESEGTNLKFEEAFCSFLLGQGSEKEAAEKLSQLEIKGASVTRSLESPYPIKENKDKHAVNRALENWLKESVLGVFPETKNCAPSLTGFFSGPKRILSGGKQKLGNVDSVTLSHRSLPHSLSTNQVPEEPLHLNSTRHLGEAVRQLAPVNLQNRLNMSKSSGTIKGPSVQVNRNPGQAHLKFWEHWSLIGDITVQIGCATFALCILVGSWKLFLLQSGQNKSMNNLHPSQQSLSRSSHIWTMDHTPDLKSNFARKNMFALFGELLAMFRHPSKHASDAVSSPNTRPDDISSLSVAYASPANMLHRRQMPLEEAEALVRQWQDIKAEALGPNHQIQALSDILAESMLSRWHDLANAAKTSLCFWRFVLLQLSIVRADILSDDLGGEIAEIEVVLEEAAELVDESHSKNPNYYSTYKINYILRKHDDGSWKFCECAIEEDVR >DRNTG_01141.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7869690:7870016:1 gene:DRNTG_01141 transcript:DRNTG_01141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELTEFENRFSSWLSSGLEARKAHHFEFKLYNKLGTWQAKPGVVSQDVITAVKAGYRHIDCA >DRNTG_21456.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26839360:26844918:-1 gene:DRNTG_21456 transcript:DRNTG_21456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPATVERFGVGKQPERIWLYETSMMEEDSYMSILLYDIELIHSAVTCFVEAGEMMFKSSIALESPKPTIFLGEGSEVQLPNCVFNTGAAGAKMRFGTISESIQFVKRTSYPEENLTVPRLSSRDCRPNVIVPAFEKNITDEKPRTSIFQRLSCPKKIISADDEDDEPTFTVTAKWKESGIFRSE >DRNTG_07525.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19236118:19242207:-1 gene:DRNTG_07525 transcript:DRNTG_07525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMKKHFQLALLLFCLLCLELAGFCNCGGGSICREVERKALVDFKNGLKDPNGRLSSWIGLDCCSWTGVHCHNYTGHVIRLDLRRYGGNGSLEGEIRPSLLVLNHLRYLDLSENYFKYTRIPTFLGSLVSLQYLDLSSAGFIGRVPHQLGNLSRLQYLDLSYNSVDMVGSHWLTNLSSLQCLNLNGVNLSEAKNVLKSLNTLPLISEINLYDCELHIPLSLGVEINFTNLRFLDLSYNGINSTMPQWLFKSSGLEYLNLQGNNIQDLIPSNIGKLTSLRVLDLSYNGVLSVELPTTLGKLCNLTKLYLSENKYFSGDLNRLGEIFSGCLKNSLEELYWSNASLSGPLPSWLWNLKSLKALDLSSNNFNGTIPKYLGQLLPELDTLVLSYNNIAVRADWVPPPKLKYLNMNYCKVGPKFPSWLQNLKILSSLEMSNASIVDALPLWFWNFSLDIGRIDLSHNEIKGKIPAGSSKLTNLEILDLSHNYLEGQLPHFSSNLAYSDQAQGLFSRSSFSNTSFILLSLSELYISSNKITGSIPSSLCELNYLQVLDLSKNMIEGVVPDCWNHSLIIMDLSYNNLHGIIPASIFSTILLVLHLSNNDFFGELPSSFKNCTSLKTLDLGNNKISGSIPVWFGENLQALETLELRYNMLTGTIPPQLGNLTYLHVIDVSNNHLSGAIPYSFGNFSAMKTDNLGFIWSSINGYVNNIEINMKGREFQLEGRIPSLFICIDLSNNMLSGKIPEELAHLLFLQSLNLSRNQLSGQLSEKIGELRWLEVLDLSVNNLSGVIPPTMTNLTSLNHLNLSYNNFYGEIPYGGQFQVLLDPSIYIGNRGLCGVPLNTKCEIEATLQPPGLPNNEDDNNLEAIWFYLSMSLGFIFGFWAISGALIMKKSWRYAYFRFVDHIYDKIYVLVAVNLKKKMKRKCGLASE >DRNTG_13852.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000644.1:35765:40079:-1 gene:DRNTG_13852 transcript:DRNTG_13852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEHVFVRCPRANEVWGLVSQRVGVSINFLDGFRPGSWLTNGGFSIFIKSVIVATTWFIWKCRCDKIFRSSIMNPNVVACRALSHVMDYNYFFVANPPVHLIPRTWAIPACNIAAHGVNLHCLNLFLLAHIIDQLKLIDTVRQLGVAYHFEREIKDAIGTIYVLLDKNIEILKDDLFATSLLFRLLREHGFKISQGVFDGFKDENGNFQLSLCNDIKGMLSLYEASHLVMEGEDTLDNARAANALEDGKVEHLLVHWWWATLNLLDHDLSFRRDRLVENFLSAMGWAIEENSLNSVSLWADPRSGEWLGPVSDRGLRSVVDLIPFVALFPHQIEEVNRGDVKKAM >DRNTG_05584.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22100320:22100804:1 gene:DRNTG_05584 transcript:DRNTG_05584.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKLNFFFFFFFIIFIVSPLTIMNSCMAGKIAIYWGQNGNEGTLASTCATGNYAYVNLAFLCSFGNFQKPQLNLAGHCDPFSDGCTNLTQEISSCQASGVKILISIGGGATAYTLVSVADARYLADYIWNNFLGGHSSARPLGDAVLDGVDFDIEG >DRNTG_05584.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22100320:22101513:1 gene:DRNTG_05584 transcript:DRNTG_05584.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKLNFFFFFFFIIFIVSPLTIMNSCMAGKIAIYWGQNGNEGTLASTCATGNYAYVNLAFLCSFGNFQKPQLNLAGHCDPFSDGCTNLTQEISSCQASGVKILISIGGGATAYTLVSVADARYLADYIWNNFLGGHSSARPLGDAVLDGVDFDIEGGSPDYYGDLARFLKDYQNNNEIEG >DRNTG_09923.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17795984:17796193:1 gene:DRNTG_09923 transcript:DRNTG_09923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWAPVVIGVLLFVLLSPGLLFELPGTRRGVDFGSMRTNGKAVFIHTLIFFAIFSVLILALRVHIYTG >DRNTG_06090.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000278.1:33260:33984:-1 gene:DRNTG_06090 transcript:DRNTG_06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding LWRRWELEEKCATPIAKLRQVADAMTVEMHAGLASEGGSKLKMLISYVDNLPTGYPPAFLRFKDSAFSNLNSDLSSLSFYCMISMFAGKFVIFVRFTLSL >DRNTG_08285.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23872739:23873114:-1 gene:DRNTG_08285 transcript:DRNTG_08285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPFPGDVGAQAVALRVAGDQAAFYGCGVY >DRNTG_35428.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002244.1:39859:40673:1 gene:DRNTG_35428 transcript:DRNTG_35428.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSIYQGELKQCSRWWATLNLLDGDLSFTRDRLVENYLLAMGYISNPKFSFCRETLTQVNSLMTTIDDIYDIYGSLDELELFTSVIDRWDVNNIDCLPKYMKKCFLGLFNTTNDIAYKVLKMRNVNCIPYLKK >DRNTG_07752.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19488923:19491429:-1 gene:DRNTG_07752 transcript:DRNTG_07752.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSLPSIQVSSLIAASPDEQRGVDSKSSIREAVQEGFKNASIAAAVTAVPTLVGCRVIPWAKANLNHTAQALIISAAGIAAFFVTADKTILGRARENSMGKYDKTG >DRNTG_07752.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19488923:19489731:-1 gene:DRNTG_07752 transcript:DRNTG_07752.2 gene_biotype:protein_coding transcript_biotype:protein_coding EAVQEGFKNASIAAAVTAVPTLVGCRVIPWAKANLNHTAQALIISAAGIAAFFVTADKTILGRARENSMGKYDKTG >DRNTG_34156.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15188545:15189928:1 gene:DRNTG_34156 transcript:DRNTG_34156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEEDGDLEQHTNGRIYDKQEALRTFSRGFQKE >DRNTG_27776.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24354420:24356293:1 gene:DRNTG_27776 transcript:DRNTG_27776.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon catabolite repressor protein 4 homolog 5 [Source:Projected from Arabidopsis thaliana (AT1G73875) UniProtKB/Swiss-Prot;Acc:Q0WKY2] MLAIFSNAIIRAPEELIHAGIRTPTPKKPALDLLHAFLTTFPSSVYMSINSLAYISYTHDSESSLHPRLFAVKDEIFCMFEGSLENLTSLRQQYGLGKKENEVVLVMEAYRALRDRAPYPTSSMLGHLSGGFSFIIFDKTTSTVFVASDQDGRVPLFLGITSDGYLAFSNDSERLRGACGKSLASFPSGCFFSSSTGLKSYEHPKNKITAVPAMEEDVLGATFKIERPNANC >DRNTG_27776.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24354420:24356077:1 gene:DRNTG_27776 transcript:DRNTG_27776.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon catabolite repressor protein 4 homolog 5 [Source:Projected from Arabidopsis thaliana (AT1G73875) UniProtKB/Swiss-Prot;Acc:Q0WKY2] MLAIFSNAIIRAPEELIHAGIRTPTPKKPALDLLHAFLTTFPSSVYMSINSLAYISYTHDSESSLHPRLFAVKDEIFCMFEGSLENLTSLRQQYGLGKKENEVVLVMEAYRALRDRAPYPTSSMLGHLSGGFSFIIFDKTTSTVFVASVRSLLMLIIYMYILFSFLC >DRNTG_27776.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24349015:24354179:1 gene:DRNTG_27776 transcript:DRNTG_27776.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon catabolite repressor protein 4 homolog 5 [Source:Projected from Arabidopsis thaliana (AT1G73875) UniProtKB/Swiss-Prot;Acc:Q0WKY2] MGTSVPGESRYGALDNRRRRLEPWHGHRRVFKRRERRQIDESRRWVYSPRDLSALKDRFRIVSYNILGVENASNHLDLYTGVDPHYLSWERRRKKICKELRHYNAGILCLQEVDRFNDLEKLLQKDGYTGVYKRRTGGAEDGCAVFWKEEQFSLIHQESIEFCKYGLRENVAQLCLFKVGRKHSNASTGEDSDSEIPESMMQQTLLVGNTHVLFNPNRGDIKLGQIRVLLERAHAILQERGNCPVVIAGDLNSLPQSAVYQFLSSSQLNILTYDRKKVSGQIEFPSNQIPFAQIGAFRSLPVVKPKLMTYYWSKEDIFLAAGTSKCTYLQNPLRLSSAYKGVPGDLKIRDQHGEPLATSFHSKFLGTVDYIWHSAGLVPVGVIETLPVNSLRKLRGLPSLKWGSDHLSLVCELAFVDEESS >DRNTG_27776.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24349015:24354179:1 gene:DRNTG_27776 transcript:DRNTG_27776.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon catabolite repressor protein 4 homolog 5 [Source:Projected from Arabidopsis thaliana (AT1G73875) UniProtKB/Swiss-Prot;Acc:Q0WKY2] MGTSVPGESRYGALDNRRRRLEPWHGHRRVFKRRERRQIDESRRWVYSPRDLSALKDRFRIVSYNILGVENASNHLDLYTGVDPHYLSWERRRKKICKELRHYNAGILCLQEVDRFNDLEKLLQKDGYTGVYKRRTGGAEDGCAVFWKEEQFSLIHQESIEFCKYGLRENVAQLCLFKVGRKHSNASTGEDSDSEIPESMMQQTLLVGNTHVLFNPNRGDIKLGQIRVLLERAHAILQERGNCPVVIAGDLNSLPQSAVYQFLSSSQLNILTYDRKKVSGQIEFPSNQIPFAQIGAFRSLPVVKPKLMTYYWSKEDIFLAAGTSKCTYLQNPLRLSSAYKGVPGDLKIRDQHGEPLATSFHSKFLGTVDYIWHSAGLVPVGVIETLPVNSLRKLRGLPSLKWGSDHLSLVCELAFVDEESS >DRNTG_27776.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24354518:24356293:1 gene:DRNTG_27776 transcript:DRNTG_27776.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon catabolite repressor protein 4 homolog 5 [Source:Projected from Arabidopsis thaliana (AT1G73875) UniProtKB/Swiss-Prot;Acc:Q0WKY2] MLAIFSNAIIRAPEELIHAGIRTPTPKKPALDLLHAFLTTFPSSVYMSINSLAYISYTHDSESSLHPRLFAVKDEIFCMFEGSLENLTSLRQQYGLGKKENEVVLVMEAYRALRDRAPYPTSSMLGHLSGGFSFIIFDKTTSTVFVASDQDGRVPLFLGITSDGYLAFSNDSERLRGACGKSLASFPSGCFFSSSTGLKSYEHPKNKITAVPAMEEDVLGATFKIERPNANC >DRNTG_27776.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24354518:24356077:1 gene:DRNTG_27776 transcript:DRNTG_27776.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon catabolite repressor protein 4 homolog 5 [Source:Projected from Arabidopsis thaliana (AT1G73875) UniProtKB/Swiss-Prot;Acc:Q0WKY2] MLAIFSNAIIRAPEELIHAGIRTPTPKKPALDLLHAFLTTFPSSVYMSINSLAYISYTHDSESSLHPRLFAVKDEIFCMFEGSLENLTSLRQQYGLGKKENEVVLVMEAYRALRDRAPYPTSSMLGHLSGGFSFIIFDKTTSTVFVASVRSLLMLIIYMYILFSFLC >DRNTG_27776.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24354518:24356077:1 gene:DRNTG_27776 transcript:DRNTG_27776.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon catabolite repressor protein 4 homolog 5 [Source:Projected from Arabidopsis thaliana (AT1G73875) UniProtKB/Swiss-Prot;Acc:Q0WKY2] MLAIFSNAIIRAPEELIHAGIRTPTPKKPALDLLHAFLTTFPSSVYMSINSLAYISYTHDSESSLHPRLFAVKDEIFCMFEGSLENLTSLRQQYGLGKKENEVVLVMEAYRALRDRAPYPTSSMLGHLSGGFSFIIFDKTTSTVFVASDQDGRVPLFLGITSDGYLAFSNDSERLRGACGKSLASFPSGCFFSSSTGLKSYEHPKNKITAVPAMEEDVLGATFKIERPNANC >DRNTG_27776.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24354420:24356077:1 gene:DRNTG_27776 transcript:DRNTG_27776.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon catabolite repressor protein 4 homolog 5 [Source:Projected from Arabidopsis thaliana (AT1G73875) UniProtKB/Swiss-Prot;Acc:Q0WKY2] MLAIFSNAIIRAPEELIHAGIRTPTPKKPALDLLHAFLTTFPSSVYMSINSLAYISYTHDSESSLHPRLFAVKDEIFCMFEGSLENLTSLRQQYGLGKKENEVVLVMEAYRALRDRAPYPTSSMLGHLSGGFSFIIFDKTTSTVFVASDQDGRVPLFLGITSDGYLAFSNDSERLRGACGKSLASFPSGCFFSSSTGLKSYEHPKNKITAVPAMEEDVLGATFKIERPNANC >DRNTG_27776.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24354420:24356293:1 gene:DRNTG_27776 transcript:DRNTG_27776.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon catabolite repressor protein 4 homolog 5 [Source:Projected from Arabidopsis thaliana (AT1G73875) UniProtKB/Swiss-Prot;Acc:Q0WKY2] MLAIFSNAIIRAPEELIHAGIRTPTPKKPALDLLHAFLTTFPSSVYMSINSLAYISYTHDSESSLHPRLFAVKDEIFCMFEGSLENLTSLRQQYGLGKKENEVVLVMEAYRALRDRAPYPTSSMLGHLSGGFSFIIFDKTTSTVFVASVRSLLMLIIYMYILFSFLC >DRNTG_27776.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24349015:24356077:1 gene:DRNTG_27776 transcript:DRNTG_27776.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon catabolite repressor protein 4 homolog 5 [Source:Projected from Arabidopsis thaliana (AT1G73875) UniProtKB/Swiss-Prot;Acc:Q0WKY2] MLAIFSNAIIRAPEELIHAGIRTPTPKKPALDLLHAFLTTFPSSVYMSINSLAYISYTHDSESSLHPRLFAVKDEIFCMFEGSLENLTSLRQQYGLGKKENEVVLVMEAYRALRDRAPYPTSSMLGHLSGGFSFIIFDKTTSTVFVASDQDGRVPLFLGITSDGYLAFSNDSERLRGACGKSLASFPSGCFFSSSTGLKSYEHPKNKITAVPAMEEDVLGATFKIERPNANC >DRNTG_27776.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24349015:24354179:1 gene:DRNTG_27776 transcript:DRNTG_27776.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon catabolite repressor protein 4 homolog 5 [Source:Projected from Arabidopsis thaliana (AT1G73875) UniProtKB/Swiss-Prot;Acc:Q0WKY2] MGTSVPGESRYGALDNRRRRLEPWHGHRRVFKRRERRQIDESRRWVYSPRDLSALKDRFRIVSYNILGVENASNHLDLYTGVDPHYLSWERRRKKICKELRHYNAGILCLQEVDRFNDLEKLLQKDGYTGVYKRRTGGAEDGCAVFWKEEQFSLIHQESIEFCKYGLRENVAQLCLFKVGRKHSNASTGEDSDSEIPESMMQQTLLVGNTHVLFNPNRGDIKLGQIRVLLERAHAILQERGNCPVVIAGDLNSLPQSAVYQFLSSSQLNILTYDRKKVSGQIEFPSNQIPFAQIGAFRSLPVVKPKLMTYYWSKEDIFLAAGTSKCTYLQNPLRLSSAYKGVPGDLKIRDQHGEPLATSFHSKFLGTVDYIWHSAGLVPVGVIETLPVNSLRKLRGLPSLKWGSDHLSLVCELAFVDEESS >DRNTG_05866.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31444149:31448101:-1 gene:DRNTG_05866 transcript:DRNTG_05866.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable chlorophyll(ide) b reductase NYC1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13250) UniProtKB/Swiss-Prot;Acc:Q93ZA0] MAAIAKLQISVQSFYHPSSIDFSSIPNRNSSPFAYSGRNPSRRDGVSSYRWWKHRHFLAPMRCRSFRSEEEKTGRAPLKRKGPLYSLKSLILKVSGLPSQSGGGEYRKAAVEKAEEIFFSVVTQLGRYLLTMMSTGVILAVGFQLSGGDNQMNTLIWYSWLGGIIIGTMIGANTVLEEHCNAGPRNVVITGSTRGLGKALAREFLLSGDRVVVASRSPESVCTTVEELNENLKEGISMAGDKGKGKFSRAKVVGMACDVCKPDSVRKLADFAVNELGSIDIWINNAGTNKGFRPLIQFTDDDITQIVSTNLIGSLICTKEALRVMKSQDKGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQLHASLQKENKRSKAGIHTASPGMVLTDLLLSGSTLRNKQMFNIICELPETVARTLVPRMRVVKGSGKAINYLTPPRILLALVTAWLRRGRWFDDQGRALYAAEADRIRNWAESRAHFSFTDAMELYAENTWVSVFSLSVVCAFIILSSSSGAFPGT >DRNTG_01091.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000064.1:59594:60544:1 gene:DRNTG_01091 transcript:DRNTG_01091.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSLHKFDPQQQSTNRLELLRSGQSQLPYPLPGTKHTFHRR >DRNTG_14417.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000679.1:27991:29021:1 gene:DRNTG_14417 transcript:DRNTG_14417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARQRSYYIFSFCSVSVFFSDSEDEPADPNIIYEEPDDEASSSEKKMSSTRPDFNQLFGFLRRVRTWGQSKLGWGIEPPLYSKYVFLGALLSLAQMVSYEVSIGLILIVHLVSTFGSAKEIDQMFP >DRNTG_21047.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18366360:18368188:-1 gene:DRNTG_21047 transcript:DRNTG_21047.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSSPSPATASPLSGNPKKKRRPAGTPDPDAEVVALSPKTLLESDRYICEICGQGFQRDQNLQMHRRRHKVPWKLVKKDATESSGGGSSGSEVRKRVYVCPESSCLHHDPAHALGDLVGIKKHFRRKHSAHKQWVCARCGKGYAVQSDYKAHLKTCGTRGHTCDCGRVFSRVESFIEHQDACMANQACNQRPSECIELQLLPTCNNTNNILISSSTPSCYEEEEIATNLKLSIGPEPRGMDEEAKVEKVMADQARENAKRSMQMAEFEFNNAKRIRQRAQAELEQALALRQQAFNQINVSMLEITCHACKKRFSSDETSPTAVSYISSVVTEGEGENDNQNHEP >DRNTG_21047.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18366360:18368188:-1 gene:DRNTG_21047 transcript:DRNTG_21047.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRRHKVPWKLVKKDATESSGGGSSGSEVRKRVYVCPESSCLHHDPAHALGDLVGIKKHFRRKHSAHKQWVCARCGKGYAVQSDYKAHLKTCGTRGHTCDCGRVFSSC >DRNTG_08576.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000388.1:4852:5189:1 gene:DRNTG_08576 transcript:DRNTG_08576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFMEQLLHGEELSVPHSDVAHLRLEYAVRILSDGITRNTPQLALPTEASEASIEDGEKMDDVPPQSHQENDQQLITTPPIIEINADAQSSSDCAEKSNA >DRNTG_03632.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11776747:11779999:-1 gene:DRNTG_03632 transcript:DRNTG_03632.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLEHVVSHGYAHTGQRFSSSSKMFRHQAQESGRK >DRNTG_30626.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1125973:1127372:-1 gene:DRNTG_30626 transcript:DRNTG_30626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEIVCATVGTPWAKLVILVFVWLSFFGLHVLLADNDGQNNIVNQNGISTQPKFIFPVAALLSGIVGGLLGIGGGLLINPVLLQIGVPPQTTAATTCFMVLFSSSMSSAQYLILGMKGVKQALVYAQLCIVGSALGLIMMERVVIKSGRASLIVFMVSIVMALSTISITSFGAIDVWSDYTDGKNMGFKLPC >DRNTG_30626.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1125973:1126658:-1 gene:DRNTG_30626 transcript:DRNTG_30626.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLTRRVKWTFMQNNIVNQNGISTQPKFIFPVAALLSGIVGGLLGIGGGLLINPVLLQIGVPPQTTAATTCFMVLFSSSMSSAQYLILGMKGVKQALVYAQLCIVGSALGLIMMERVVIKSGRASLIVFMVSIVMALSTISITSFGAIDVWSDYTDGKNMGFKLPC >DRNTG_25547.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3053075:3055634:1 gene:DRNTG_25547 transcript:DRNTG_25547.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVASDKCHKWVFKEPSECEPNISNYWQSSFDALPSEWTDQFASGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHMFESLGYQSGFYLSQLFSSTRNTSPSSSTTPLKQMPTRPPPPPIFNWSHAPLPATMPLTMPNFPRMAPPNNKEDTHLFLLPHSSEAPMEDMIPDHDADLKWPNGLSFFTALTGRPDDAKLLFGSEGLGNKSAPQQSQEAEDYLSLESNSNKARKMENTSKFKRSFTLPARMTSSSASSSSLDHHHHHHHQQHQQQQQQQHQASTPHAMEYRGSEAGIYSDIMETFLD >DRNTG_25547.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3053075:3055634:1 gene:DRNTG_25547 transcript:DRNTG_25547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGAGGGAGGGDTGTRSKEAVGMMALHEALRNVCLNSDWTYSVFWTIRPRPRCRGGNGCKVGDDNGSLMLMWEDGFCRTRVAECLEDIDGEDPVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPSECEPNISNYWQSSFDALPSEWTDQFASGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHMFESLGYQSGFYLSQLFSSTRNTSPSSSTTPLKQMPTRPPPPPIFNWSHAPLPATMPLTMPNFPRMAPPNNKEDTHLFLLPHSSEAPMEDMIPDHDADLKWPNGLSFFTALTGRPDDAKLLFGSEGLGNKSAPQQSQEAEDYLSLESNSNKARKMENTSKFKRSFTLPARMTSSSASSSSLDHHHHHHHQQHQQQQQQQHQASTPHAMEYRGSEAGIYSDIMETFLD >DRNTG_26819.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1619267:1620007:-1 gene:DRNTG_26819 transcript:DRNTG_26819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDEPSDQASFPSYSEMIMAAIDALNDGNGASESLISKFIEETYGGLPSTHSVDLAVELARMKDSGELVLGENYYKRPDAGVAPKRGRGRPPKGEDLRD >DRNTG_18066.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:171304:173563:-1 gene:DRNTG_18066 transcript:DRNTG_18066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMEMGYTGMVLGALLVIIVTKLCHAFYNLIWKPYKITKSLEKQGLRGPPYKFPHGSTKQINDFQAAADGLVMDSRSHDISSKLLPHYHAWSSLYGRTFLYWMGAQPRICLGDPEMVKQVLSSNFGFYLKLYPGPDIMALLGKGLVLAEGSDWARHRRALNPAFHIDKLKMMTKTMAECALRMLHEWRNEIKEEVEMSRQFQELTANIISHTVFGTSNSIHGKQIFLAQKELLSLVAADFGGSKYLPTKKNLKKWNLEKKMKTTLTNIINNRLGNSKELGYGDDLLGLMLHSSMTDNNSRLTIDEIIDECKTFYFAGHETTSHLLTWTMFLLSINPEWQQRLREEVLNECGLETPNADMLSKFKLVTMVLWETLRLYPPVMLNAREASKHMNLGGLMIPKGMQLMIPVVMLQRDKKYWGDDANDFNPLRFENGASKAAMHPNSVLPFSLGPRACVGQNFAMMEAKVVMAMILQRFSFSLSPNYKHSPANLLTLQPQFGLPIDLKPLQL >DRNTG_20045.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9650828:9651292:1 gene:DRNTG_20045 transcript:DRNTG_20045.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAEKTSVPTSLSLETMRLIGMVRRVRTGVFALVLPALQIAEDEGDEARASQPRLEPQPATMETEAPPIAEEPPQAT >DRNTG_00030.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21613452:21616691:1 gene:DRNTG_00030 transcript:DRNTG_00030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g02130 [Source:Projected from Arabidopsis thaliana (AT5G02130) UniProtKB/TrEMBL;Acc:Q9LZL9] MFSRGELGDTIEKLQMVLRLEGASLPVRVAALEGLVGLRLENGEDNASRMQADDYLQLLKGSTDTQASFALDAVTSRAKAIKGLADLATGELNTAELLFSDENTNLEEDKIQKGNAVLSYGEYLHATGNFSLAKDLYERIIEVFEAKDGFDYAYPAAANMVPEEVLLGATCALGQLLSQSGKFNDSEELLTKALNMAENHFGSTHPKVGVVLTCIALLFKHKARIESSSSILIQEGLYRRALDLLKAPGCDFEVKNNHVDRRDVVALARGGYAEILLIQQNRKAEGERMSKWAEATWRNHRLSLAQALEFSEPSQAAVIDTRISRVL >DRNTG_00030.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21613452:21616691:1 gene:DRNTG_00030 transcript:DRNTG_00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g02130 [Source:Projected from Arabidopsis thaliana (AT5G02130) UniProtKB/TrEMBL;Acc:Q9LZL9] MLRRALGRAVTQISACSCSVTKPMLLRFCGTSGLGSSQEESVARQMIQYALSHARSQKSGESYAQAMLVLEQGLSNFQASGGDSDDDAIGVLLLAMSTLLYERGELGDTIEKLQMVLRLEGASLPVRVAALEGLVGLRLENGEDNASRMQADDYLQLLKGSTDTQASFALDAVTSRAKAIKGLADLATGELNTAELLFSDENTNLEEDKIQKGNAVLSYGEYLHATGNFSLAKDLYERIIEVFEAKDGFDYAYPAAANMVPEEVLLGATCALGQLLSQSGKFNDSEELLTKALNMAENHFGSTHPKVGVVLTCIALLFKHKARIESSSSILIQEGLYRRALDLLKAPGCDFEVKNNHVDRRDVVALARGGYAEILLIQQNRKAEGERMSKWAEATWRNHRLSLAQALEFSEPSQAAVIDTRISRVL >DRNTG_21085.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4288303:4289161:-1 gene:DRNTG_21085 transcript:DRNTG_21085.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKRGFRLGRRLIRVWRCVLLRRPNRYQRLDSTTPKPSKPRLFDWARRFFACSGGGGGGGRTDYRRIETSCTGEKPPPRGHLAVYVGSGVKGGGQRGQEEDGSGSKRYVVPVIYFNHPLFGELLREAEEEFGYEHPGGITIPCPVATFERVRTRIIAESTKPQLF >DRNTG_21085.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4288217:4289161:-1 gene:DRNTG_21085 transcript:DRNTG_21085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKRGFRLGRRLIRVWRCVLLRRPNRYQRLDSTTPKPSKPRLFDWARRFFACSGGGGGGGRTDYRRIETSCTGEKPPPRGHLAVYVGSGVKGGGQRGQEEDGSGSKRYVVPVIYFNHPLFGELLREAEEEFGYEHPGGITIPCPVATFERVRTRIIAESTKPQLFH >DRNTG_00502.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30532045:30532853:-1 gene:DRNTG_00502 transcript:DRNTG_00502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRDITEEEINELISKLQSLLPETRRRGAGRASASKLLKETCNYIKSLHREVDDLSDRLSGLMATMDTNSAQAEIIRSLLRS >DRNTG_01947.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32535496:32537424:-1 gene:DRNTG_01947 transcript:DRNTG_01947.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSQSLDEIVDEIMRTLRSLPPRPGGEDVEAAMELVRNVDREEQSRIDAIARQRKSFEVPDDLFFVLQEMQRSLVFSQCKDQKREALKLLDLENVHQLFDELIQRASKCIPIPTAVVASAASTAPPSTGSNGSSTTSLSTASSSPATASSSKTGCDSSSTFSSSAFYSEGDGGKIIRHASRDDSFLKSTHSDGMNLQLNSSAFKPVPASGEVTEKLSLIKLASLIEVSSRKGSRDLSLQNKLMDQIDWLPDSIGKLSGLITLDLSENRILILPRTIGTLSSLTKLDLCSNRLAELPDSCGDLISLQCLGVRGNQLTTLPASFGRLTNLVELDLSSNRLSILSDAIGNLSSLRKLIVEANDLEELPYTIGNCTSLVELRVDYNRLKALPEAIGRLGSLEILSIRYNNIKGFPTSMASMLKLKELDASFNELELIPESLCLATNLVKLNIGNNFADLQSLPRAIGNLEMLEELDISNNQIQVLPDSFGMLTHLHVLYAEENPLEVPPRHIVGMGAE >DRNTG_01947.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32534382:32537424:-1 gene:DRNTG_01947 transcript:DRNTG_01947.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSQSLDEIVDEIMRTLRSLPPRPGGEDVEAAMELVRNVDREEQSRIDAIARQRKSFEVPDDLFFVLQEMQRSLVFSQCKDQKREALKLLDLENVHQLFDELIQRASKCIPIPTAVVASAASTAPPSTGSNGSSTTSLSTASSSPATASSSKTGCDSSSTFSSSAFYSEGDGGKIIRHASRDDSFLKSTHSDGMNLQLNSSAFKPVPASGEVTEKLSLIKLASLIEVSSRKGSRDLSLQNKLMDQIDWLPDSIGKLSGLITLDLSENRILILPRTIGTLSSLTKLDLCSNRLAELPDSCGDLISLQCLGVRGNQLTTLPASFGRLTNLVELDLSSNRLSILSDAIGNLSSLRKLIVEANDLEELPYTIGNCTSLVELRVDYNRLKALPEAIGRLGSLEILSIRYNNIKGFPTSMASMLKLKELDASFNELELIPESLCLATNLVKLNIGNNFADLQSLPRAIGNLEMLEELDISNNQIQVLPDSFGMLTHLHVLYAEENPLEVPPRHIVGMGAEAVVHYMGEFVAKRDLKTQSVKTAKTWAPCCFSSRPTRKKHDILDHLKA >DRNTG_00834.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11891859:11897984:1 gene:DRNTG_00834 transcript:DRNTG_00834.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPCDASVMIASPNRDTEKDALDNLSLAGDGFDTVIKAKQAVDAVCPNVVSCTDILAIAARDVVVLVSSPLPPFLNLISIEA >DRNTG_00834.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11891859:11897984:1 gene:DRNTG_00834 transcript:DRNTG_00834.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPCDASVMIASPNRDTEKDALDNLSLAGDGFDTVIKAKQAVDAVCPNVVSCTDILAIAARDVVVLVSSPLPPFLNLISIEA >DRNTG_27347.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17028396:17031715:1 gene:DRNTG_27347 transcript:DRNTG_27347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGGGGFRARMEHYLYSGDKKHVFAGILVISVVFGAPWFLMSRGSKHQSHQDYMEKADKARQARLSSGQPGQPRTA >DRNTG_12189.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10745032:10748726:-1 gene:DRNTG_12189 transcript:DRNTG_12189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNSLMASTAATGLQMATVKPSVVSSRRMFTSKSPISVLELKDIAQYKLASSGRISSVQPFSMSCTPVAMNSNPFVTRAMAGDSDKGSAPGLPIDLRGKRAFIAGVADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFETSLRRGKFDESRVLRNGSLMEITKVYPLDAVYDGPEDVPEDVKTNKRYSGASNWTVKEVVETVKKDFGTIDILVHSLANGPEVSKPLLETSRYGYLTAVSASSYSFVSLLKHFLPIMNPGGATISLTYIASERTIPGYGGGMSSAKAALESDTRVLAYEAGKKGQIRVNTISAGPLGSRAAKAIGFIEKMIDYSYANAALQKELRADEVGNAAAFLVSPLASAITGSVVYVDNGLNVMGLAGDSPTLAV >DRNTG_33680.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18462900:18464871:-1 gene:DRNTG_33680 transcript:DRNTG_33680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLGQNPTEDELKAMIDDVDADLNGTIDFSEFLNLMVHKMEDADSEKEMREAFKIFDKDQNGLISADELRSVMANLGEKLTDEEVNEMIREADIDGDGQVNYEEFVRIMLGK >DRNTG_33680.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18462900:18465632:-1 gene:DRNTG_33680 transcript:DRNTG_33680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLTEKQIADFKEVFKLFDRDGDGQITAQELGTVMRSLGQNPTEDELKAMIDDVDADLNGTIDFSEFLNLMVHKMEDADSEKEMREAFKIFDKDQNGLISADELRSVMANLGEKLTDEEVNEMIREADIDGDGQVNYEEFVRIMLGK >DRNTG_12315.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29594294:29598384:1 gene:DRNTG_12315 transcript:DRNTG_12315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMGSLVDFTSMGMKFNGCVNRVKNGAVGSVESELWGECLGMKFKGGSFQSKLGGSDRCGSWDGKGRKGVTFNVLTSDVNQETMIFQAPMFGTKIADPKSVASIILGGGAGTQLFPLTSTRATPAVPIGGCYQLIDVPMSNCINSGINKIFIMTQFNSQSLNRHICHTYHGGSISFGDGFVEVLAATQTPGEAGMNWFQGTADAVRQFIWVFEDTKNKNIEHILVLSGDHLYRMDYMDFVQKHIDSGAEITVSCVPMDDSRASDCGLMKIDKTGRVIQFCEKPKGADLEAMKVDTRILRLSHQEALKYPYIASMGVYVFRRDFLLKLLRWNYPTLNDFGSEIIPAAVKEHNVHAYVFNDYWEDIGTIRPFFDANLALTSQSPKFQFYDPKTPFFTSPRFLPPTKMDKCKITDAIISHGCFLRECAVHHSIVGIRSRLETGVELKDTMMMGADYYETELEIASMLLEGKVPIGVGENTRIRNCIIDMNARIGKNVVIENKDGVQEADRPNEGFYIRSGIVIIVKNAIIKDGTVM >DRNTG_10179.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11966862:11967071:1 gene:DRNTG_10179 transcript:DRNTG_10179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIRSTTTSEGSLINEWEIYRNVTGEPSHGRVLGLGKGVKGKDVYGSSSTQTSLKKCEENQKRKEE >DRNTG_29560.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001485.1:24254:27897:-1 gene:DRNTG_29560 transcript:DRNTG_29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIPLPSLRFHNSSLLPLRRQPRLYPPASSVSGAIRASAPLTPSTCLPLPIALSGAIRCYEPDMLPKPLLAMLFLFPYNVKAKEEIRSSRGREAYNIPFVVAAPLYIDDLENILDENMVLSFNVEIEDGSVEEVVNENEGENLDEEVDEDGSEMIVDEPEPKEVAVNEPKQPKQTPNEKGWFVVPTKRNCGKRWT >DRNTG_06455.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29972699:29978750:1 gene:DRNTG_06455 transcript:DRNTG_06455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLAGSQWFRIWAFPAASAPLLRCKPCLLVRRRSLSVSASAVTGPADGEVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGKFILRIEDTDLERSTRKSEEAMLNDLSWLGLDWDEGPGAGGEYGPYRQSERNSLYKQYAEKLLNSGHVYRCFCSSEELEQMKETAKQMQLPPVYTGKWATASDEEVQKELEKGTPYTYRFRVPKEGRLKIEDIIRGEVSWGLDTLGDFVILRSNGQPVYNFCVTVDDATMHISHVIRAEEHLPNTLRQALIYNALGFPMPSFAHVSLILAPDRSKLSKRHGATSVGQFREMGYLPQAMVNYLALLGWGDGTENEFFTIDQLVEKFSIDRVNKSGAVFDSAKLRWMNGQHLRALPSEELVKIIGERWKTAGILLESEGMFVKEATELLKDGIDLVTDADKVMSNLLSYPLNSTLTSAEGKPVLEDKLPEVASSLIAAYDSGELRKALEEGHAGWQKWVKSFGKLLKRKGKSLFMPLRVLLTGKLHGPDMGGSILLIYKAGINGDIVNPQIGFVTLDERFRTLKELDWEALKALQEAQPEPAATAFH >DRNTG_06455.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29972699:29978750:1 gene:DRNTG_06455 transcript:DRNTG_06455.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLAGSQWFRIWAFPAASAPLLRCKPCLLVRRRSLSVSASAVTGPADGEVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGKFILRIEDTDLERSTRKSEEAMLNDLSWLGLDWDEGPGAGGEYGPYRQSERNSLYKQYAEKLLNSGHVYRCFCSSEELEQMKETAKQMQLPPVYTGKWATASDEEVQKELEKGTPYTYRFRVPKEGRLKIEDIIRGEVSWGLDTLGDFVILRSNGQPVYNFCVTVDDATMHISHVIRAEEHLPNTLRQALIYNALGFPMPSFAHVSLILAPDRSKLSKRHGATSVGQFREMGYLPQAMVNYLALLGWGDGTENEFFTIDQLVEKFSIDRVNKSGAVFDSAKLRWMNGQHLRALPSEELVKIIGERWKTAGILLESEGMFVKEATELLKDGIDLVTDADKVMSNLLSYPLNSTLTRYAYTFLSCL >DRNTG_06455.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29972699:29978750:1 gene:DRNTG_06455 transcript:DRNTG_06455.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLAGSQWFRIWAFPAASAPLLRCKPCLLVRRRSLSVSASAVTGPADGEVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGKFILRIEDTDLERSTRKSEEAMLNDLSWLGLDWDEGPGAGGEYGPYRQSERNSLYKQYAEKLLNSGHVYRCFCSSEELEQMKETAKQMQLPPVYTGKWATASDEEVQKELEKGTPYTYRFRVPKEGRLKIEDIIRGELGLGHTW >DRNTG_06455.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29972699:29978750:1 gene:DRNTG_06455 transcript:DRNTG_06455.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHISHVIRAEEHLPNTLRQALIYNALGFPMPSFAHVSLILAPDRSKLSKRHGATSVGQFREMGYLPQAMVNYLALLGWGDGTENEFFTIDQLVEKFSIDRVNKSGAVFDSAKLRWMNGQHLRALPSEELVKIIGERWKTAGILLESEGMFVKEATELLKDGIDLVTDADKVMSNLLSYPLNSTLTSAEGKPVLEDKLPEVASSLIAAYDSGELRKALEEGHAGWQKWVKSFGKLLKRKGKSLFMPLRVLLTGKLHGPDMGGSILLIYKAGINGDIVNPQIGFVTLDERFRTLKELDWEALKALQEAQPEPAATAFH >DRNTG_34142.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002090.1:30681:31221:1 gene:DRNTG_34142 transcript:DRNTG_34142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPARLLRPLLSSRQFTKTSQVFLSFTPPSVSDQLLLDSMLLCYCELRDLPRAQSVFHSIIKLGTLPSLASYGALLQLLCVKEQVSHALSLFFGVAKAGVLPPASSYHLLIT >DRNTG_18278.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1836687:1840691:-1 gene:DRNTG_18278 transcript:DRNTG_18278.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVSCSRCTCISWVPEGDGIFVVAHADGNLYVYDKSKDGATDCSFPVVKDQTQFTVSHARSSKSNPIARWHICQGSINSISFSTDGTHLATVGRDGYLRVFDYSKELLICGGKSYYGALLCCAWSPDGKYILTGGEDDLVQVWSMEDWKLVAWGEGHNSWVSGVAFDSYWSVPNSDGTGENVMYRFGSVGQDTQLLLWDLVMDEIVVPLRCYPSGGSPTLSSGSPSAHWDSIVPIGTLQPAPSMRDVPKLSPVVAHRAHVEPLAGLIFTQDSVLTICREGHIKIWVRPIQGDSSQSNASVTALGSDGSIDMLVTTSARSIGSNYKQPPPILLAD >DRNTG_18278.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1836687:1842304:-1 gene:DRNTG_18278 transcript:DRNTG_18278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSFNAQSPGLKTYFKTPEGRYKLQYEKTHPAGLLHYSHGKAVSQLTIAYLREKPAAQPPATPTTPGSGGGVRSAAARFLGAGNGSRALSFVGGNGVNRGVSGSARIGGSVGVSVGSSGPFASSNYDGKGTYLIFNAGDTLFISDLNSHDKDPIKCIHFSNSNPSCHAFDADAIDGHDLLIGLHSGDVYSVSLRQQLQDVGKKLVGVQHYNKDGAINNSRCTCISWVPEGDGIFVVAHADGNLYVYDKSKDGATDCSFPVVKDQTQFTVSHARSSKSNPIARWHICQGSINSISFSTDGTHLATVGRDGYLRVFDYSKELLICGGKSYYGALLCCAWSPDGKYILTGGEDDLVQVWSMEDWKLVAWGEGHNSWVSGVAFDSYWSVPNSDGTGENVMYRFGSVGQDTQLLLWDLVMDEIVVPLRCYPSGGSPTLSSGSPSAHWDSIVPIGTLQPAPSMRDVPKLSPVVAHRAHVEPLAGLIFTQDSVLTICREGHIKIWVRPIQGDSSQSNASVTALGSDGSIDMLVTTSARSIGSNYKQPPPILLAD >DRNTG_24559.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25887272:25896034:1 gene:DRNTG_24559 transcript:DRNTG_24559.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEFLAKNASLDRSLNLDDECSWDGDASPTSYSSCEGSDFDKYCSANSVLGTASVCSSIGRRAESLLDRSISHAESCSRALSEYKSSPGGGSAPCECFSDESGLVQHSDDEDDGDIRGKMPDLFPSPAGNRQIDGSLEDEDGLLGGWGTDDEDDDHQRQKNGIFFQSSREINSHIGNPLLMTSSVAFGASDWDEFELEETRDDDLFAPSLYHYERNDEHRGHLIIERSSSVPVVDHASALHLNGLKQDGDMRDIVPTQIIDNLAIFGLDVDEDSGDQILGDNTSEQLHSETSMRLHGEGIGSQDGTMTSGFQLMGKNGHETCLKKVGGKSVEECQQVDEVKKSSVNDTYEEMVLEMEEILLESGKPPGPWVSLSKEIGAAKQSQHFRDGSSTASTSGTDEAFLLSRESSKIDWVEVVGAKQKQGDVSLGERLVGVKEYTSYRLRVWSGNDQWEIERRYRDFFAFYKQLKILFTNSGLPLPSPWSNVDRESFKFFGNASPSVISERSTLIQDCLRSILHCRLPIEAPRIFALFLSPNGAVSNSVVPNNSTPQFLQKTEDENEEVKHPPETAPELASPMGKTISLIVELKPNKSIRQLLEAQHYACAGCHMHLNARKTLLQELVQKLKWHKPRLCEYTGQLFCGSCHTNDAAVLPAKVLHRWDFSLYPVSQLAKAYLESIYDQPMLCVSAVNPVLFSKVPALLHVMSVRKRIAAMFPYLRCPFRGSIQRGLGFRRHLLESNDFFALRDLVDLSKGVFAALPVVVETISSKIFEHITQQCLVCCDAGVPCAARQSCDDPSSFIFPFQDDEAMRCRLCGSIFHKPCFRKLDGCSCGKPSRAGSSEDSTGQMIHVNDTESNGVLDHFVRQSDALSGMLSNLLKARPAKRLQSKRSSPVILMGSLPSTSL >DRNTG_24559.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25887272:25896034:1 gene:DRNTG_24559 transcript:DRNTG_24559.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEFLAKNASLDRSLNLDDECSWDGDASPTSYSSCEGSDFDKYCSANSVLGTASVCSSIGRRAESLLDRSISHAESCSRALSEYKSSPGGGSAPCECFSDESGLVQHSDDEDDGDIRGKMPDLFPSPAGNRQIDGSLEDEDGLLGGWGTDDEDDDHQRQKNGIFFQSSREINSHIGNPLLMTSSVAFGASDWDEFELEETRDDDLFAPSLYHYERNDEHRGHLIIERSSSVPVVDHASALHLNGLKQDGDMRDIVPTQIIDNLAIFGLDVDEDSGDQILGDNTSEQLHSETSMRLHGEGIGSQDGTMTSGFQLMGKNGHETCLKKVGGKSVEECQQVDEVKKSSVNDTYEEMVLEMEEILLESGKPPGPWVSLSKEIGAAKQSQHFRDGSSTASTSGTDEAFLLSRESSKIDWVEVVGAKQKQGDVSLGERLVGVKEYTSYRLRVWSGNDQWEIERRYRDFFAFYKQLKILFTNSGLPLPSPWSNVDRESFKFFGNASPSVISERSTLIQDCLRSILHCRLPIEAPRIFALFLSPNGAVSNSVVPNNSTPQFLQKTEDENEEVKHPPETAPELASPMGKTISLIVELKPNKSIRQLLEAQHYACAGCHMHLNARKTLLQELVQKLKWHKPRLCEYTGQLFCGSCHTNDAAVLPAKVLHRWDFSLYPVSQLAKAYLESIYDQPMLCVSAVNPVLFSKVPALLHVMSVRKRIAAMFPYLRCPFRGSIQRGLGFRRHLLESNDFFALRDLVDLSKGVFAALPVVVETISSKIFEHITQQCLVCCDAGVPCAARQSCDDPSSFIFPFQQDDEAMRCRLCGSIFHKPCFRKLDGCSCGKPSRAGSSEDSTGQMIHVNDTESNGVLDHFVRQSDALSGMLSNLLKARPAKRLQSKRSSPVILMGSLPSTSL >DRNTG_32490.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5435523:5436586:-1 gene:DRNTG_32490 transcript:DRNTG_32490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWKVLYSSSKDSLVRRSPLSSWGKDGEKNTKIGAESALNRAGIGRLHRHGCSTRLAATIYATVLLQCSATLFDLNSFPIPYFHRGNATGTRSRRGSLASSMVYMLVELLFYVHKSECSNVTASVPLQMDVPTRIRGVPNLRLIMPNSVHVPEEKSNNLNTSHSHGVAKSSRDSK >DRNTG_28111.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22086706:22087478:1 gene:DRNTG_28111 transcript:DRNTG_28111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGPGGPYALFAGKDASRALAKMSFEEQDLTGDISGLGPFELEALQDWEYKFMSKYVKVGTVKKTVTVPVDEPAEITSLATENNPDHAAPVHKAEAEHCSESGPVEHVVESKDRSAEVEENAVPVHEVSSEDILIESVGMEEGRDAEVKEHVVSGHVAEAEEDGNDAETKKHVPVEHNVIPKEEPPAKHDAAEYVTVEDIKE >DRNTG_03336.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15085978:15093629:1 gene:DRNTG_03336 transcript:DRNTG_03336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSSVLGFFGFGVGLFAGIVIGYFLFIYFQPTDVKDPTIRPLVEHDSKSLERMFPEIPLWVKNPDFDRVDWLNKFLETMWPYLDKAICKMAKEISKPIIEENTKKYKIDSVEFETLTLGSLPPTFQGMKVYHTDEKELIMELLLKWAANPNITVVVKAYGLKVTAQVVDLQVFAIPRITLKPLVPNFPCFANILVSLMEKPHVDFGLKLLGADVMAVPGLYRFVQETIKTQVANMYLWPRTLDVPVMDPSKAFQKPVGILLVNVVRAQKLKKKDLMGKSDPYVKIKLTEDKLPSKKTTVKRSNLNPEWNEEFKLVVKDPQSQALEISVYDWEQVGKHDKMGMNVVPLRDLTPDEPKILTLELLKNMDPNDVQNEKSRGQIILEVTYKPFQEGDAPKEGTDDDSGAVEKAPEGTPSGGGLLVVIVHEAQDLEGKHHTNPYVRVIFRGEERKTRHIKKNRDPRWGDEFQFMCEEPPTNDRIHLEVLSKAPSIGIHSKEVLGYIDINLADVVSNKRINEKYHLIDSKNGRIQIEMQWRT >DRNTG_05921.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5175985:5178866:1 gene:DRNTG_05921 transcript:DRNTG_05921.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVAVDWLKDTKRVDHVARRQGCAAQVASDKGLFSFVVNLQVPGSTHYSMVFYFVTKHLVNGSLTQRFADGDDEFQNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCTYVRGPKYLEIDVDIGSSTVANGVLGLVFGVITTLVVDMAFLVQANTEDELPEQLLGAVRVSHIELSSAIVSGLQDTSHFAKSND >DRNTG_05921.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5174916:5178866:1 gene:DRNTG_05921 transcript:DRNTG_05921.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIDLKGWLVGNFLSFRQHALLHTLNSVAGLRELFSQTDEVHVFPRIPAMANMASNNVANKEIKTPGAFPHPVQSLDQMHSASRHSVMMDEDSDEEDDYHIPELEPEEAESVRCEDETKQTDQIDLSGFSGNIRRDDDDKSRDCWTISDGNNFRIRGKTFFKDKSKILGGKHLMELVAVDWLKDTKRVDHVARRQGCAAQVASDKGLFSFVVNLQVPGSTHYSMVFYFVTKHLVNGSLTQRFADGDDEFQNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCTYVRGPKYLEIDVDIGSSTVANGVLGLVFGVITTLVVDMAFLVQANTEDELPEQLLGAVRVSHIELSSAIVSGLQDTSHFAKSND >DRNTG_05921.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5175543:5175815:1 gene:DRNTG_05921 transcript:DRNTG_05921.5 gene_biotype:protein_coding transcript_biotype:protein_coding MANMASNNVANKEIKTPGAFPHPVQSLDQMHSASRHSVMMDEDSDEEDDYHIPELEPEEVNNILLSPYNW >DRNTG_05921.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5174916:5176983:1 gene:DRNTG_05921 transcript:DRNTG_05921.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQIDLKGWLVGNFLSFRQHALLHTLNSVAGLRELFSQTDEVHVFPRIPAMANMASNNVANKEIKTPGAFPHPVQSLDQMHSASRHSVMMDEDSDEEDDYHIPELEPEEAESVRCEDETKQTDQIDLSGFSGNIRRDDDDKSRDCWTISDGNNFRIRGKTFFKDKSKILGGKHLMELVAVDWLKDTKRVDHVARRQGCAAQVASDKGLFSFVVNLQ >DRNTG_05921.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5175543:5176983:1 gene:DRNTG_05921 transcript:DRNTG_05921.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANMASNNVANKEIKTPGAFPHPVQSLDQMHSASRHSVMMDEDSDEEDDYHIPELEPEEAESVRCEDETKQTDQIDLSGFSGNIRRDDDDKSRDCWTISDGNNFRIRGKTFFKDKSKILGGKHLMELVAVDWLKDTKRVDHVARRQGCAAQVASDKGLFSFVVNLQ >DRNTG_07040.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3657571:3660800:1 gene:DRNTG_07040 transcript:DRNTG_07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVNNGPPPNVITTTTKPGFRVAKNENVDVRPLASFHPSLWGDYFITNPSLLSTHQEPEEQMKQRVQVLVKNVKILIKDARGSMIEEMQLIDALQRLGVAYHFEQEINEVLCSINNNSSSHHPYSDDDLHFVALRFRLLRQHRYYVPPDVFNQFKDEKGKFKEEVSNDLKGMLSLYEAAYLGIPGEDELDEAINFTRSHLQSLMKHQGPRLAQKIEHALETPLRRRMSRLDARLYISVYEGDTEIRNDVVLELAKLDFHILQLLHREEAKRISIWWKDVGVPTKLTFARDRIVELCFWALGVYFEPQYSRARMMLVKVIAILSLMDDVYDSYGTMPELQHVTDAIQRWDLKAADEMENCLRIAFHAIYQTMGELEDEVLKDGNLYRIDYLRREFGKMAIVYLEEAKWRDECYLPSLAEHLELSLKTSAYHVVACATFLGIGEIAGKQSFDWVTRFPQIIKDVCKISRLMDDVGGYEIDVKMGRQHVVSTIHCCMNEFGDSLEEAKARLLHLVEDAWKDINKECLHLTIPSALLVRLVNLGCVMETIYRKVDGYTESSSLKNSISLLLVKPILC >DRNTG_32219.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001803.1:3924:4565:1 gene:DRNTG_32219 transcript:DRNTG_32219.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKIERILKVQNTQRTLTRFEDYRDLIKSKANKLAKKHPRCIADGNELLRFYCTSIKCSLGINGYTNLCDLIPHCRVCSIIRDGFKTDSFGKIRTMATSGRAHDCFENSTENDERRAMLVCRVIAGRVKKSQDGMEECDSIAGLAGIYSNLDELFVFNSKAILPCFVVIYEGF >DRNTG_32219.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001803.1:3394:4565:1 gene:DRNTG_32219 transcript:DRNTG_32219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKTKKKLHTEATENHSPRVLGLKSFFSCKHHHGCTRSIFNLKDHTNTNNNLVESPKKRVSMGSGSRSSSSRSLKAPLSEINGGSFRGMHLRRLSGCYECHLVVDPLNNGFSKVPSLRSTISPCPDCGEVFMKAESLELHQSVRHAVTELGVEDTSRNIVEIIFQSSWLKRQGSMCKIERILKVQNTQRTLTRFEDYRDLIKSKANKLAKKHPRCIADGNELLRFYCTSIKCSLGINGYTNLCDLIPHCRVCSIIRDGFKTDSFGKIRTMATSGRAHDCFENSTENDERRAMLVCRVIAGRVKKSQDGMEECDSIAGLAGIYSNLDELFVFNSKAILPCFVVIYEGF >DRNTG_07225.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14122309:14133410:1 gene:DRNTG_07225 transcript:DRNTG_07225.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHL [Source:Projected from Arabidopsis thaliana (AT3G47860) UniProtKB/TrEMBL;Acc:A0A178VKZ0] MLPHSKRIPLPRTPRKGTIIRCCFHKNTSFNAMISGHLLSCFSASIMFFTPPNQVAAAEMTQHNNTCQIASGTDSSSILNLDSMSDGRSLMMRGMTAKNFDPARYAGRWFEVASLKQGFAGQGQEDCHCTQGVYTFDAETPSIQVDTFCVHGGPDGYITGIRGKVQCLSEEQKEQTETALEKQEMIREKCYLRFPTLPFVPKEPYDVIATDYDNFALVSGAKDKTFVQIYSRTPNPGQAFIEKYKSYLANYGYDPSKIKDTPQDCESMSSNQLALMMSMRGMKEALTNQFPDLSLKKPIQLNPFTSIVDTLKKLLELYFKS >DRNTG_31755.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8300891:8304078:-1 gene:DRNTG_31755 transcript:DRNTG_31755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYREMFNPDSYKGLFDKEMENKEVMMLGSTEEVQSTPGILKKVLQKMKRVRRRLWNSPSTFKRLCSSCFQAMEPAEFLRRPEKMHGRVKFPCAEHLEIFLAWTEKAQGREAGLVGRAQGRGYFLHASVDAFRMVDYFPESTQGIDVEPCGFHAPQIHTDMAPHSKKHDVKSPRETPPEPVHMEFSNHEHQARFERLSALIFGQSCFVDLSVPREIRRGDELADEIDEILVVGSRRSLLTIQEPAFRAHPFVMSVTEISIHMGLYDKTYTGTEEQYKPGVSKATSLSRLSYRYLHSVLSRSVSGRGYNTIVLSRQDILYLYFMVSNVLIHLGHIVTDVLRQQGQFARVVVLFAGSYATRLSLGMGFLDAIHGAERTVVPSPLGINTIRMMGLVRRCGPKTYILATAAPEITEGGRDGVEHSRQVFEPQFASIETGAPLAAQETL >DRNTG_02900.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:721841:723388:1 gene:DRNTG_02900 transcript:DRNTG_02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGEMMPVPQPETPKEPMEFLSRSWSLSALEISKALQANKKNRKQSDREMILPEKLMLAASSHNQHTVSSTVVNTIAARHSTVRKWFHSMEDKKSKEKVREEKARIHAAVTVASVAAAVAAAMVTCERSEDDECSKMCTAMASATQLLASHCIEIAEQAGAERERVDSAIRSAVDVRTPGDLMTLTAAAATALRGATALKMRMQRELRNNAAVIPCEKSQCGSPGIWCKAGDLFKRSRKGKITTLVPALEENINLHQQKITGREINGNVIVKLKTTHLGGAISKRKKMIVYGAYDEVSAWRSTPPQQQLGDAERHWFGLRTAEGLVEFECENEMSKQQWMDGVNNLLHQVNRRNGGFDGMDKSFQLLNLS >DRNTG_32205.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001798.1:8442:9257:1 gene:DRNTG_32205 transcript:DRNTG_32205.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKNWRRTQKHNERPIGKKQSGDYH >DRNTG_03142.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21410468:21411094:1 gene:DRNTG_03142 transcript:DRNTG_03142.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSQLHKSKAEKFRGQILQAFECVLSSSVTLEIRYETRKEFTMNAHAPLVLPSCENGSSQMLVRQGSVANQSSVDSRAENCIRKLSKESTTQTKVLYSDSLEMRHGEVIKNSHAKVINNIPELNRKELESGWLEEASSSHRQGSLDHSQSERRESGEPQRQSIVRSRVSLADVIQNVDGCAQGGWSSQKAVSIAEKLEQENL >DRNTG_03142.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21407071:21412906:1 gene:DRNTG_03142 transcript:DRNTG_03142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKANGATPQRKGDTSNIPIDFNHLSSSTYSDSESLPLITEPHASEDTSENPALALDYLRERELHASHALRHGQDLLDHGSETRSGHQQTSRGSSLVRHRCLTQKYMPKAFKDLVGQNLAVQALSNAVLRRKVGLVYVFYGPHGTGKTSCARIFAKALNCQSDEHLKPCDICKFCISHNLGKSRTVIEIGSVGNFDIGGIMDILDSRIASPLSSHYRVFIFDDCDTLPTDSWSGISKAIDRAPGHVVFVLVSSNLDKLPHLIISRSQKFFFPKLKDSDIIQTLQWIATSECLEIDKDALKLIASRSDGSLRDAEMTLDQLSLLGQRITLSLVQELVGLVSDEKLVDLLDTALSADTVRTVKRLREIMETGVEPLALMSQLAAVITDILAGSYIFTQERLRRRFFRKQNLSNEDMEKLRQALKTLSEAEKQLRMSNDKLTWLTAALLQLAPDDQYMFPVSSQETSLNHTPTVLNNGHQRDVPRDCSNAWDEVSSGGRGLSRDNWRENQVARNASNVACENSKMSNNLIRAKRQTGSTPQQKLMLSTEAAKVNGGNDHGKNLGNEQLWEAVLDHLQPDALKQFVSQEGKLISVNLGAAPTVHVMFSSQLHKSKAEKFRGQILQAFECVLSSSVTLEIRYETRKEFTMNAHAPLVLPSCENGSSQMLVRQGSVANQSSVDSRAENCIRKLSKESTTQTKVLYSDSLEMRHGEVIKNSHAKVINNIPELNRKELESGWLEEASSSHRQGSLDHSQSERRESGEPQRQSIVRSRVSLADVIQNVDGCAQGGWSSQKAVSIAEKLEQENLRLESRYKNMLCWRVSRIPRRKLRQWRVKKRKPHSLLKLVTCGKCLSVKSNR >DRNTG_28147.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20733407:20735781:1 gene:DRNTG_28147 transcript:DRNTG_28147.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxine/pyridoxamine 5'-phosphate oxidase 2 [Source:Projected from Arabidopsis thaliana (AT2G46580) UniProtKB/Swiss-Prot;Acc:Q9ZPY1] MASWKQLLQAAMDSHAHLKHSSFIQLATLGTNGRPANRTVVFRGFLDGSDRIRIHTDSRSCKIEEIKHCPFGEICWYFTESWEQFRINGKIEIIDKSTTDAIKVEQRKKSWQTISGKSRFQYLAPAPRSPYKEEPGIDYELDPSAGPLDAFSLLILEPDQVDYINLKSDERWIFTLRMSDTDKIWMPERVNP >DRNTG_30204.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3563876:3567891:1 gene:DRNTG_30204 transcript:DRNTG_30204.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-regulated receptor-like kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G15730) UniProtKB/Swiss-Prot;Acc:Q9LFV3] MMGAQMKIIIIGVSVGVAVGILVVSCALIAVRIYKKRSHVQHRSTDIAPTLPIRANGFGASIDSSTSLSYSEVGCNFHPAKRGGYWGRRVIQNKDLSASGIPRYLYKDIQKATQNFTTILGQGSFGPVYKAIMPTSEVVAVKVLANNSRQGEREFQTEVLLLSRLHHRNLVNLLGYCVDKGQRILIYEFMSNGNLENLLYGDGPRSLSWEERLQIAHDVSHGIEYLHEGATPSVIHRDLKSANILLDKAMRAKVADFGLSKEDIYDGGKSGLKGTYGYMDPDYMSTNKFTKKSDIYSFGIIMFELITAINPQQGLMEYINLAVIGGDGKADWEEIIDKKLTGKSNYEEVGLLADIAYKCLDKNPRKRPSIADVTLAISKIRQRAFSRADTMASIGRDTSGVLHRIEHQQVELTNMASKKFQISRV >DRNTG_30204.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3563876:3567891:1 gene:DRNTG_30204 transcript:DRNTG_30204.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-regulated receptor-like kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G15730) UniProtKB/Swiss-Prot;Acc:Q9LFV3] MSNGNLENLLYGDGPRSLSWEERLQIAHDVSHGIEYLHEGATPSVIHRDLKSANILLDKAMRAKVADFGLSKEDIYDGGKSGLKGTYGYMDPDYMSTNKFTKKSDIYSFGIIMFELITAINPQQGLMEYINLAVIGGDGKADWEEIIDKKLTGKSNYEEVGLLADIAYKCLDKNPRKRPSIADVTLAISKIRQRAFSRADTMASIGRDTSGVLHRIEHQQVELTNMASKKFQISRV >DRNTG_30204.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3563876:3567891:1 gene:DRNTG_30204 transcript:DRNTG_30204.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-regulated receptor-like kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G15730) UniProtKB/Swiss-Prot;Acc:Q9LFV3] MRAKVADFGLSKEDIYDGGKSGLKGTYGYMDPDYMSTNKFTKKSDIYSFGIIMFELITAINPQQGLMEYINLAVIGGDGKADWEEIIDKKLTGKSNYEEVGLLADIAYKCLDKNPRKRPSIADVTLAISKIRQRAFSRADTMASIGRDTSGVLHRIEHQQVELTNMASKKFQISRV >DRNTG_30204.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3563876:3567891:1 gene:DRNTG_30204 transcript:DRNTG_30204.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-regulated receptor-like kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G15730) UniProtKB/Swiss-Prot;Acc:Q9LFV3] MLNSGDGPRSLSWEERLQIAHDVSHGIEYLHEGATPSVIHRDLKSANILLDKAMRAKVADFGLSKEDIYDGGKSGLKGTYGYMDPDYMSTNKFTKKSDIYSFGIIMFELITAINPQQGLMEYINLAVIGGDGKADWEEIIDKKLTGKSNYEEVGLLADIAYKCLDKNPRKRPSIADVTLAISKIRQRAFSRADTMASIGRDTSGVLHRIEHQQVELTNMASKKFQISRV >DRNTG_30204.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3563876:3567891:1 gene:DRNTG_30204 transcript:DRNTG_30204.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-regulated receptor-like kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G15730) UniProtKB/Swiss-Prot;Acc:Q9LFV3] MSNGNLENLLYGDGPRSLSWEERLQIAHDVSHGIEYLHEGATPSVIHRDLKSANILLDKAMRAKVADFGLSKEDIYDGGKSGLKGTYGYMDPDYMSTNKFTKKSDIYSFGIIMFELITAINPQQGLMEYINLAVIGGDGKADWEEIIDKKLTGKSNYEEVGLLADIAYKCLDKNPRKRPSIADVTLAISKIRQRAFSRADTMASIGRDTSGVLHRIEHQQVELTNMASKKFQISRV >DRNTG_30204.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3563876:3567891:1 gene:DRNTG_30204 transcript:DRNTG_30204.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-regulated receptor-like kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G15730) UniProtKB/Swiss-Prot;Acc:Q9LFV3] MMGAQMKIIIIGVSVGVAVGILVVSCALIAVRIYKKRSHVQHRSTDIAPTLPIRANGFGASIDSSTSLSYSEVGCNFHPAKRGGYWGRRVIQNKDLSASGIPRYLYKDIQKATQNFTTILGQGSFGPVYKAIMPTSEVVAVKVLANNSRQGEREFQTEVLLLSRLHHRNLVNLLGYCVDKGQRILIYEFMSNGNLENLLYGDGPRSLSWEERLQIAHDVSHGIEYLHEGVCRS >DRNTG_13648.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15798420:15799780:1 gene:DRNTG_13648 transcript:DRNTG_13648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKLKKQANKCSRESSPESESMRFTIPEHQVHFERLSRLWFGQTRFLDTSILRDLQQGDEFADEVEDLISVNGFRQLLSIREPAIRELILKVLLSFEFDISYARFDDLDVVQFRALGHYYSLSITQFSVQLGLYEETFTDIEEYSQLPTDYPGALILQRAYRALCGQGQYEPGVSKATCLSRPAYRYLHAIMSSSGYGSLGAIRGAEKTSIPAPLSLETMRLMAPIETEAPPVTEDPPPVRMFSPSRSQDLFERLDSAMGVIWTEVAEARAEIADIRVAQATQYTEFIARFDTLQQILERDVGSSFVLRPRTPQAPSVSPAPPSLILAPDDPPCTSSPAAEAAEPERDIDT >DRNTG_08383.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4495211:4498885:1 gene:DRNTG_08383 transcript:DRNTG_08383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSKLFFSLFFLLLSSQHHHLLLLLRVVAIDDRLIAPSQSITVNQTLVSHGGVFELGFFSSSNSTTIDHQPLYYLGIWYHNLPVRTIVWVANRDKPLKNSRGKLTFIKDGNLAVVDGNGDILWSSRVNPSSLSNEINAVLLDSGNFVLQQNSTYIWQSFDMPTDTFLPNMLLRSNMKTGEAQRISSWMSFDNPAPGNFSFGIDPKNSLQIVIWQGSNTPHWRSHVWNGKSLSGTRETNATSQYVLKVAVDNNVISIMFSPTQGTAQARYVLEPTGTLKMVVWNEPVKNWSLVWSRPSKPCDSYDVCGPSGYCDSSVLSLLPQCKCFPGFKPRDEEEWKLGNYSQGCVRRVALSCDNGDKFWKMERMKLPDRLTLLSNKSLMECQSECLTSCTCTAYAYSSVTGGGSSQRCLVWVDELMDLEHVSNGGEDLNVRLVASELVFTNSTELNNNEKKSRSLLVIVLPIVAGLILIFGCLCCFLWRRRMYIKGKKEAKKGLLFGDLSGKNEMEIPLLDYNTIMAATNNFAFVNKLGEGGFGPVYKGNLQGQEIAVKKLSKSSGQGYEEFKNEVELIARLQHTNLVRLMGWCMDEDEKLLIYEYLPNKSLDKFLFAKSASLDWRKRYQIIEGIAQGLLYLHRYSRLRIIHRDLKTSNILLDGALNPKISDFGLARIFGGNQTEANTKRVVGTYGYMSPEYAFDGVFSEKSDVFSFGVIVLEIVSGKRSTGFYANNNLLGYAWQLWEEGRGLQLLDNELGSSLCASEVMRCIQIGLLCIQENSADRPAMSAVISMLTNDNITLPSPKQPAFAVGRHPDPESVNSASIASIESVNEVTLSVVDAR >DRNTG_31011.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30422197:30427209:-1 gene:DRNTG_31011 transcript:DRNTG_31011.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEKKHLITIIKNKSQELSSCSMIIKLCEEAVDLLTGMNHPEGNCPFCLHPLLVEDQSGNSPPFMKLMSCYHCFHSECIIQWWQWLQDDRKQCDSVMASVGIQIEMKQQQHNCPVCRKLFEAKDIEHILDYAGTNSLQENAAEEMDADERKLLESESEKNRRQKFESLLQLQQENSGLIESRKEIVVLPGMYLPAPVVPASTAIDSAVEQQLDSASTASEAPDFNIPSNKATTSKHRDKNTRRKNRYHNSRRQQQNAYSNRKQTMD >DRNTG_31011.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30422197:30424178:-1 gene:DRNTG_31011 transcript:DRNTG_31011.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPEGNCPFCLHPLLVEDQSGNSPPFMKLMSCYHCFHSECIIQWWQWLQDDRKQCDSVMASVGIQIEMKQQQHNCPVCRKLFEAKDIEHILDYAGTNSLQENAAEEMDADERKLLESESEKNRRQKFESLLQLQQENSGLIESRKEIVVLPGMYLPAPVVPASTAIDSAVEQQLDSASTASEAPDFNIPSNKATTSKHRDKNTRRKNRYHNSRRQQQNAYSNRKQTMD >DRNTG_31011.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30422197:30427787:-1 gene:DRNTG_31011 transcript:DRNTG_31011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEQEEEVRMEVEAFRAFYGDDCEIIREFPPHLHVHITPRTAGDSSQQFVEVVLGILCDSQYPQKPPHLHIVDSKGLDENREKALDHNHKEQISRTLFMLDDHQTL >DRNTG_13866.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4731197:4733544:1 gene:DRNTG_13866 transcript:DRNTG_13866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTILLPMTQTNLSFPKSQLLIHNLSYHPILVFHLHLHHLCHLHVFTTSSSTAASFDTSTTSTCSTTSSRNHRICMIEEKHNIVLLDPRKYDNTKVKPVCSSVRQPTAKRLRSRSPPATDTRRKSIRCEQSPATPRLCQRQSIPSP >DRNTG_24234.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19837036:19841352:-1 gene:DRNTG_24234 transcript:DRNTG_24234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPLTKWAQRSDKVYITIELPDAKEVKLSLQPNGQFHFSATTGAENVPYEIDFELYDKVNVEESKAAVGLRNICYLVKKAESKWWSRLLKKEGRPPAFLKVDWDKWIDEDEEHGNKFDDEFGGMDFSKLDMGGADDDFDLDGAKDGDDDEMDENKAEDAAGEATTSAHDSEAKP >DRNTG_12072.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4088014:4090379:-1 gene:DRNTG_12072 transcript:DRNTG_12072.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKSYFIETILLVIIVSPSVAIVTPPPPGPPLYVFGDSLVDAGNNNHLQDPAPKANHPFHGVDYPGNVSTGRFCNGYIGSDYVAMCLGYPQSPPAYLSITNEFQTLGGINFASAGSGILNSTGEGTLSLATQVMYFEGAASNLSRRVGNMAPNTLLSKSIFYISSGSNDIFAYFFGSKNQTNNQFIATMVNNFRLHLTKLYNCGARKIIMLSTSNIGCIPFIRSLFPLSSGDCSEELNNLSIQFKNETRDLLQNLTSTMPELRYTFIDTYEIDSELRENAHQY >DRNTG_12072.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4088014:4089077:-1 gene:DRNTG_12072 transcript:DRNTG_12072.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGYPQSPPAYLSITNEFQTLGGINFASAGSGILNSTGEGTLSLATQVMYFEGAASNLSRRVGNMAPNTLLSKSIFYISSGSNDIFAYFFGSKNQTNNQFIATMVNNFRLHLTKLYNCGARKIIMLSTSNIGCIPFIRSLFPLSSGDCSEELNNLSIQFKNETRDLLQNLTSTMPELRYTFIDTYEIDSELRENAHQY >DRNTG_12072.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4086928:4090379:-1 gene:DRNTG_12072 transcript:DRNTG_12072.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKSYFIETILLVIIVSPSVAIVTPPPPGPPLYVFGDSLVDAGNNNHLQDPAPKANHPFHGVDYPGNVSTGRFCNGYIGSDYVAMCLGYPQSPPAYLSITNEFQTLGGINFASAGSGILNSTGEGTLSLATQVMYFEGAASNLSRRVGNMAPNTLLSKSIFYISSGSNDIFAYFFGSKNQTNNQFIATMVNNFRLHLTKLYNCGARKIIMLSTSNIGCIPFIRSLFPLSSGDCSEELNNLSIQFKNETRDLLQNLTSTMPELRYTFIDTYEIDSELRENAHQYGFTDLTNACCGHWKV >DRNTG_17073.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:111621:112502:-1 gene:DRNTG_17073 transcript:DRNTG_17073.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIPIGRKIDLKAYNSYEKLSLAVEELFLGLLAGNFTTYI >DRNTG_11374.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1007064:1009559:1 gene:DRNTG_11374 transcript:DRNTG_11374.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGSGQKLSLSPENYLFRHLKVHDAYCMGFFPNGEDPSTLLGGIIVRNILVTYDRQNRRIGFWKTNCSHLWEALHMDVTPSSMPSASHDKNYTGENSSAASSSAPQSYNLPGQFQIGAITFEISLSVKYPELVPHDVELAEVIAYQLEVDANQVHLLNFTNLGNSTLIKCAIIPSDSTNFISNDTAMSIISRLAEHRVHLPKNLGSYQLVKWKVDPPLKR >DRNTG_11374.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1006254:1007848:1 gene:DRNTG_11374 transcript:DRNTG_11374.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTYERQYAEMSSSSGVLGKDIVSFGNQSTLEPQRAVFGCENSETGDLFSQHADGIMGLGRGELSIMDQLVEKGVINDSFSLCYGGMDIGGGAMVLGGVSPPPEMVFSRSDPFRSPYYNVDLKEIHVAGKRLQIDPKVFDRKHGTILDSGTTYAYLPEEAFTLFRDSIINNLHLKQIPGPDPNYKDICFSGAGRLHLNYFPW >DRNTG_11374.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1006254:1010043:1 gene:DRNTG_11374 transcript:DRNTG_11374.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTYERQYAEMSSSSGVLGKDIVSFGNQSTLEPQRAVFGCENSETGDLFSQHADGIMGLGRGELSIMDQLVEKGVINDSFSLCYGGMDIGGGAMVLGGVSPPPEMVFSRSDPFRSPYYNVDLKEIHVAGKRLQIDPKVFDRKHGTILDSGTTYAYLPEEAFTLFRDSIINNLHLKQIPGPDPNYKDICFSGAGSDTSQLSKTFPQVDMVFGSGQKLSLSPENYLFRHLKVHDAYCMGFFPNGEDPSTLLGGIIVRNILVTYDRQNRRIGFWKTNCSHLWEALHMDVTPSSMPSASHDKNYTGENSSAASSSAPQSYNLPGQFQIGAITFEISLSVKYPELVPHDVELAEVIAYQLEVDANQVHLLNFTNLGNSTLIKCAIIPSDSTNFISNDTAMSIISRLAEHRVHLPKNLGSYQLVKWKVDPPLKRKWWHEHLVPVIFGILVAAVLSLSMLVVLRRYRCRVSGTYTRVEATSSEQELQTF >DRNTG_11374.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1006254:1008389:1 gene:DRNTG_11374 transcript:DRNTG_11374.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTYERQYAEMSSSSGVLGKDIVSFGNQSTLEPQRAVFGCENSETGDLFSQHADGIMGLGRGELSIMDQLVEKGVINDSFSLCYGGMDIGGGAMVLGGVSPPPEMVFSRSDPFRSIGMSTAPITMLI >DRNTG_11374.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1005550:1010043:1 gene:DRNTG_11374 transcript:DRNTG_11374.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGSGQKLSLSPENYLFRHLKVHDAYCMGFFPNGEDPSTLLGGIIVRNILVTYDRQNRRIGFWKTNCSHLWEALHMDVTPSSMPSASHDKNYTGENSSAASSSAPQSYNLPGQFQIGAITFEISLSVKYPELVPHDVELAEVIAYQLEVDANQVHLLNFTNLGNSTLIKCAIIPSDSTNFISNDTAMSIISRLAEHRVHLPKNLGSYQLVKWKVDPPLKRKWWHEHLVPVIFGILVAAVLSLSMLVVLRRYRCRVSGTYTRVEATSSEQELQTF >DRNTG_11374.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1005550:1010043:1 gene:DRNTG_11374 transcript:DRNTG_11374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGSGQKLSLSPENYLFRHLKVHDAYCMGFFPNGEDPSTLLGGIIVRNILVTYDRQNRRIGFWKTNCSHLWEALHMDVTPSSMPSASHDKNYTGENSSAASSSAPQSYNLPGQFQIGAITFEISLSVKYPELVPHDVELAEVIAYQLEVDANQVHLLNFTNLGNSTLIKCAIIPSDSTNFISNDTAMSIISRLAEHRVHLPKNLGSYQLVKWKVDPPLKRKWWHEHLVPVIFGILVAAVLSLSMLVVLRRYRCRVSGTYTRVEATSSEQELQTF >DRNTG_32066.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8464268:8464646:-1 gene:DRNTG_32066 transcript:DRNTG_32066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHRGKGGLEGTRGRGPLTSPIQRAARVEPWRNLRGKGAGEERRRSIDPAGEKGGGCGLPTPCSPTPALLLSEIVGSTHYFVRVDSFRVDSSDHQC >DRNTG_26663.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1015690:1019905:1 gene:DRNTG_26663 transcript:DRNTG_26663.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 32 homolog 2 [Source:Projected from Arabidopsis thaliana (AT4G29160) UniProtKB/Swiss-Prot;Acc:Q9SZE4] MLEKKEKVLLKKVAGEIEKAKEFTRAKNKRAAIQCLKRKRLYEQQVEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSAPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVHVPAGRQPPRPAPQKNTAEEDELAALQAEMAL >DRNTG_04854.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22519625:22521244:-1 gene:DRNTG_04854 transcript:DRNTG_04854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQRNALLIASALVLHWLLFAVTNLVIKVEHLSQRIDKLKRQD >DRNTG_04854.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22519983:22521244:-1 gene:DRNTG_04854 transcript:DRNTG_04854.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQRNALLIASALVLHWLLFAVTNLVIKVEHLSQRIDKLKRQD >DRNTG_04854.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22520709:22521244:-1 gene:DRNTG_04854 transcript:DRNTG_04854.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQRNALLIASALVLHWLLFAVTNLVIKVEHLSQRIDKLKRQD >DRNTG_04854.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22520647:22521244:-1 gene:DRNTG_04854 transcript:DRNTG_04854.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQRNALLIASALVLHWLLFAVTNLVIKVEHLSQRIDKLKRQD >DRNTG_17890.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17416122:17420884:1 gene:DRNTG_17890 transcript:DRNTG_17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVALLYISCGLFVSAFLEGYCWARTGQRQASRMRNRYLKAILRQDIAYFDLKIASTTEVITSISSDSLVIQDVISEKIPNFLMNFTVFIGGYTLGFFMLWRLALIALPTVLLLIIPGIMYGRILIGIASKIREEYNKAGHIAEQAISSIRTVHSFVREKKTVAEFSAALEGSIKLGLRQGLIKGIAVGSNGIIYSIWAFLLWYSSKLVINHDARGGTIFGVGTITIAGGIAFGTSLSNLKYLAEASAAAGRISEVVNRVPKIDSENDEGEILQRITGEVEFKDIKFAYPSRPETIVLNEFNLKVPAGKTLALVGSSGSGKSTVISLLQRFYDPINGSILLDGVDIQRLKLKWLRSQMGLVNQEPALFATSIKENILFGKEDASMDDVMVACKTANVHDFISQLPLGYDTQVGERGIQLSGGQKQRIAIARAVLRSPKILLLDEATSALDTESERIVQEAIDIASIGRTTIIIAHRLSTILNSDIITVVQAGHVVESGSHNELMRDENGVYSPLVKLQQTPIQVRREEEQCTSNIVLESSSSRKVSRNGSIKMLLSVVSRGNSVRSIEDNEEENKQEFIDSSVPSFWRLLCLNAPEWKNGVIGCLNAAFFGAIQPLYGYSLGSILAVFFLKDHEEIKTKTRFYCITFAFLSLLSLLINIGQHYNFGAMGEHVTKRVRELMLQKMLTFEVGWFEEEENSTGALCSRLAKDATMVRSLVGDRMALIIQTFSSIIIAFVLALITSWRLAIVLISIQPLITLSFYARPVFLRKMLNKTIQSQSESSKLAVEAVSNIRTITVFSSQDRFLELFQHSQKIYQNEDIKQSWFAGIGLGLSLCLMRLTWALTFWYSAKLLSRNQITAKTLFQTFLILVSTSRIIAEIGSMATDITRGTSSIAYIFKILDRRTKIEPEDSEGYCPEKAIGNVDIQNVDFAYPTRPNQLVFKNFSLSIEAGKSTAIVGPSGCGKSTIVCLIERFYDPLLGKVKIDGRDIKSYNLSSLRKHIALVSQEPMLFSGTVRENITYGTEVTTEGEIENSAKLANAHEFISSLTDGYETLCGDRGLQLSGGQKQRIAIARAILKNPAILLLDEATSALDSWSEKIVQETLERVMIGRTCVVVAHRLSTVQNCDLIVVLEKGKILEKGTHDSLLAIGPSGAYFALVNLQQGPLSPEV >DRNTG_17890.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17416673:17420884:1 gene:DRNTG_17890 transcript:DRNTG_17890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRYLKAILRQDIAYFDLKIASTTEVITSISSDSLVIQDVISEKIPNFLMNFTVFIGGYTLGFFMLWRLALIALPTVLLLIIPGIMYGRILIGIASKIREEYNKAGHIAEQAISSIRTVHSFVREKKTVAEFSAALEGSIKLGLRQGLIKGIAVGSNGIIYSIWAFLLWYSSKLVINHDARGGTIFGVGTITIAGGIAFGTSLSNLKYLAEASAAAGRISEVVNRVPKIDSENDEGEILQRITGEVEFKDIKFAYPSRPETIVLNEFNLKVPAGKTLALVGSSGSGKSTVISLLQRFYDPINGSILLDGVDIQRLKLKWLRSQMGLVNQEPALFATSIKENILFGKEDASMDDVMVACKTANVHDFISQLPLGYDTQVGERGIQLSGGQKQRIAIARAVLRSPKILLLDEATSALDTESERIVQEAIDIASIGRTTIIIAHRLSTILNSDIITVVQAGHVVESGSHNELMRDENGVYSPLVKLQQTPIQVRREEEQCTSNIVLESSSSRKVSRNGSIKMLLSVVSRGNSVRSIEDNEEENKQEFIDSSVPSFWRLLCLNAPEWKNGVIGCLNAAFFGAIQPLYGYSLGSILAVFFLKDHEEIKTKTRFYCITFAFLSLLSLLINIGQHYNFGAMGEHVTKRVRELMLQKMLTFEVGWFEEEENSTGALCSRLAKDATMVRSLVGDRMALIIQTFSSIIIAFVLALITSWRLAIVLISIQPLITLSFYARPVFLRKMLNKTIQSQSESSKLAVEAVSNIRTITVFSSQDRFLELFQHSQKIYQNEDIKQSWFAGIGLGLSLCLMRLTWALTFWYSAKLLSRNQITAKTLFQTFLILVSTSRIIAEIGSMATDITRGTSSIAYIFKILDRRTKIEPEDSEGYCPEKAIGNVDIQNVDFAYPTRPNQLVFKNFSLSIEAGKSTAIVGPSGCGKSTIVCLIERFYDPLLGKVKIDGRDIKSYNLSSLRKHIALVSQEPMLFSGTVRENITYGTEVTTEGEIENSAKLANAHEFISSLTDGYETLCGDRGLQLSGGQKQRIAIARAILKNPAILLLDEATSALDSWSEKIVQETLERVMIGRTCVVVAHRLSTVQNCDLIVVLEKGKILEKGTHDSLLAIGPSGAYFALVNLQQGPLSPEV >DRNTG_27075.12 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001379.1:33206:37908:1 gene:DRNTG_27075 transcript:DRNTG_27075.12 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPLVNDMLALTEKKLDMTLDDLIKMSKKNSSQRRRRQRVPIKSQGIFGNNTYQGTSKLRQFMDSRSSIRQGVLANKRSHFQWNQFPMITEVAKKAASVPVHNWVVNWNKRRFAAAPAQKKATESSQPGKGNVMGNQKPRTLDARFASIKELRMGGPQGSRKGHWPVRGRNKARAVSFGQQSGNFAR >DRNTG_27075.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001379.1:33092:37908:1 gene:DRNTG_27075 transcript:DRNTG_27075.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPLVNDMLALTEKKLDMTLDDLIKMSKKNSSQRRRRQRVPIKSQGIFGNNTYQGTSKLRQFMDSRSSIRQGVLANKRSHFQWNQFPMITEVAKKAASVPVHNWVVNWNKRR >DRNTG_27075.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001379.1:33206:38373:1 gene:DRNTG_27075 transcript:DRNTG_27075.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPLVNDMLALTEKKLDMTLDDLIKMSKKNSSQRRRRQRVPIKSQGIFGNNTYQGTSKLRQFMDSRSSIRQGVLANKRSHFQWNQFPMITEVAKKAASVPVHNWVVNWNKRRFAAAPAQKKATESSQPGKGNVMGNQKPRTLDARFASIKELRMGGPQGSRKGHWPVRGRNKARAVSFGQQSGNFAR >DRNTG_27075.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001379.1:33206:38146:1 gene:DRNTG_27075 transcript:DRNTG_27075.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPLVNDMLALTEKKLDMTLDDLIKMSKKNSSQRRRRQRVPIKSQGIFGNNTYQGTSKLRQFMDSRSSIRQGVLANKRSHFQWNQFPMITEVAKKAASVPVHNWVVNWNKRRFAAAPAQKKATESSQPGKGNVMGNQKPRTLDARFASIKELRMGGPQGSRKGHWPVRGRNKARAVSFGQQSGNFAR >DRNTG_27075.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001379.1:33206:38373:1 gene:DRNTG_27075 transcript:DRNTG_27075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPLVNDMLALTEKKLDMTLDDLIKMSKKNSSQRRRRQRVPIKSQGIFGNNTYQGTSKLRQFMDSRSSIRQGVLANKRSHFQWNQFPMITEVAKKAASVPVHNWVVNWNKRRFAAAPAQKKATESSQPGKGNVMGNQKPRTLDARFASIKELRMGGPQGSRKGHWPVRGRNKARAVSFGQQSGNFAR >DRNTG_27075.9 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001379.1:33206:38008:1 gene:DRNTG_27075 transcript:DRNTG_27075.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPLVNDMLALTEKKLDMTLDDLIKMSKKNSSQRRRRQRVPIKSQGIFGNNTYQGTSKLRQFMDSRSSIRQGVLANKRSHFQWNQFPMITEVAKKAASVPVHNWVVNWNKRR >DRNTG_27075.13 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001379.1:33206:37908:1 gene:DRNTG_27075 transcript:DRNTG_27075.13 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPLVNDMLALTEKKLDMTLDDLIKMSKKNSSQRRRRQRVPIKSQGIFGNNTYQGTSKLRQFMDSRSSIRQGVLANKRSHFQWNQFPMITEVAKKAASVPVHNWVVNWNKRR >DRNTG_27075.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001379.1:33206:38008:1 gene:DRNTG_27075 transcript:DRNTG_27075.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPLVNDMLALTEKKLDMTLDDLIKMSKKNSSQRRRRQRVPIKSQGIFGNNTYQGTSKLRQFMDSRSSIRQGVLANKRSHFQWNQFPMITEVAKKAASVPVHNWVVNWNKRRFAAAPAQKKATESSQPGKGNVMGNQKPRTLDARFASIKELRMGGPQGSRKGHWPVRGRNKARAVSFGQQSGNFAR >DRNTG_27075.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001379.1:33206:38146:1 gene:DRNTG_27075 transcript:DRNTG_27075.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPLVNDMLALTEKKLDMTLDDLIKMSKKNSSQRRRRQRVPIKSQGIFGNNTYQGTSKLRQFMDSRSSIRQGVLANKRSHFQWNQFPMITEVAKKAASVPVHNWVVNWNKRRFAAAPAQKKATESSQPGKGNVMGNQKPRTLDARFASIKELRMGGPQGSRKGHWPVRGRNKARAVSFGQQSGNFAR >DRNTG_27075.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001379.1:33092:37908:1 gene:DRNTG_27075 transcript:DRNTG_27075.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPLVNDMLALTEKKLDMTLDDLIKMSKKNSSQRRRRQRVPIKSQGIFGNNTYQGTSKLRQFMDSRSSIRQGVLANKRSHFQWNQFPMITEVAKKAASVPVHNWVVNWNKRRFAAAPAQKKATESSQPGKGNVMGNQKPRTLDARFASIKELRMGGPQGSRKGHWPVRGRNKARAVSFGQQSGNFAR >DRNTG_27075.14 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001379.1:33206:37908:1 gene:DRNTG_27075 transcript:DRNTG_27075.14 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPLVNDMLALTEKKLDMTLDDLIKMSKKNSSQRRRRQRVPIKSQGIFGNNTYQGTSKLRQFMDSRSSIRQGVLANKRSHFQWNQFPMITEVAKKAASVPVHNWVVNWNKRRFAAAPAQKKATESSQPGKGNVMGNQKPRTLDARFASIKELRMGGPQGSRKGHWPVRGRNKARAVSFGQQSGNFAR >DRNTG_27075.11 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001379.1:33206:38008:1 gene:DRNTG_27075 transcript:DRNTG_27075.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPLVNDMLALTEKKLDMTLDDLIKMSKKNSSQRRRRQRVPIKSQGIFGNNTYQGTSKLRQFMDSRSSIRQGVLANKRSHFQWNQFPMITEVAKKAASVPVHNWVVNWNKRRFAAAPAQKKATESSQPGKGNVMGNQKPRTLDARFASIKELRMGGPQGSRKGHWPVRGRNKARAVSFGQQSGNFAR >DRNTG_27075.10 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001379.1:33206:38008:1 gene:DRNTG_27075 transcript:DRNTG_27075.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPLVNDMLALTEKKLDMTLDDLIKMSKKNSSQRRRRQRVPIKSQGIFGNNTYQGTSKLRQFMDSRSSIRQGVLANKRSHFQWNQFPMITEVAKKAASVPVHNWVVNWNKRR >DRNTG_27075.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001379.1:33206:38146:1 gene:DRNTG_27075 transcript:DRNTG_27075.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPLVNDMLALTEKKLDMTLDDLIKMSKKNSSQRRRRQRVPIKSQGIFGNNTYQGTSKLRQFMDSRSSIRQGVLANKRSHFQWNQFPMITEVAKKAASVPVHNWVVNWNKRR >DRNTG_31282.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1136023:1137986:1 gene:DRNTG_31282 transcript:DRNTG_31282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIKQTETPTPKANHTNHDAPSFC >DRNTG_22516.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3409196:3411957:-1 gene:DRNTG_22516 transcript:DRNTG_22516.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingolipid delta(4)-desaturase DES1-like [Source:Projected from Arabidopsis thaliana (AT4G04930) UniProtKB/Swiss-Prot;Acc:Q9ZPH4] MGFQGDREEDEEGVMAADFFWSYTDEPHASRRRQILSQYPQIRKLFGPDPFAFLKVSLAVLLQLWTATYVCNASWLKILAVSYFFGSFLNHNLFLAIHELSHNLAFSTPTYNRWLGIFANLPIGVPMSVTFQKYHLEHHRFQGVDGIDMDIPTLAEAHTVTNAITKSIWVILQLFFYALRPIFIKPKPPGMWEFTNLIIQLSLNTYMVYFYGWKSLGYLILSTFVGGGMHPMAGHFISEHYIFNAGQETYSYYGPLNLMTWNVGYHNEHHDFPRIPGCRLYKVREIASEYYESMKSYRSWSQVIYMYIMDRTVGPFSRMKRKLSSKKDH >DRNTG_03640.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:24078778:24084437:-1 gene:DRNTG_03640 transcript:DRNTG_03640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TSL-kinase interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT2G36960) UniProtKB/TrEMBL;Acc:F4IP39] MLRWWSLLEKFSCSASKLHLKPRRFKIFIEALENQLLKDRNKTRKRHPSQGENSSSAPSTMFLSKAAETDAHPVKLIDVDTQNAPKIKATKGASWRRSGAAITFNKEDLSTQKIVRQKRRTGMGVSAACKRWEKAAMAGISLVADAAEQLEHTSNGRDHQQTFNMHCPGKKNINVSVDITHPPPPFLLGETCSHQIRKTLVHPSGKLKLQLFPIDEYTRRALEKDSQNPHLELTLSARKRISSVLEHLNRKWGNSSIAYGELVLFPYIIQQRDIASCQKWTIEDTDASAADVYATIGSPTIFRLRYGWFPVAAHSSETIPITCDVPHLDKSIQTRANHEGYARTERREGLPDSCGQTPCIFEKEDRDDDIIEQRTRNDATFSAGQWADSLMSVGDLLSEASKAANFGSTYAAPNPEQMQSSYDSFDAAIAAQIAGQQSPGSPTRLPQSIWHAEETCDGFSFRSFPDAKREDSNPLISSSNFVLRENTCTHSLAFEDYLKDLVESSPLDEPSSKYLKVASAAAEEIPSDAELNELVSESAEDILPDIEKQNKIDKDPCLSDVFWPDSLGPLDLEMPSSRYQGHDMIIDESISLGGLNRLIASSLDAFQNCSFFSVDRKDIPASEFQGASALLDSTPTSEE >DRNTG_03640.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:24078778:24088012:-1 gene:DRNTG_03640 transcript:DRNTG_03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TSL-kinase interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT2G36960) UniProtKB/TrEMBL;Acc:F4IP39] MALEVQSPSKDNELLKDGDCLHSPSLIDTDSAKPAKRQTRQWAAWTRQEEENFFNALRQVGKNFEKITCRVQSKNRDQVRHYYYRLVRRINKLLAPGFSLDAKNSKDTIAAMLRWWSLLEKFSCSASKLHLKPRRFKIFIEALENQLLKDRNKTRKRHPSQGENSSSAPSTMFLSKAAETDAHPVKLIDVDTQNAPKIKATKGASWRRSGAAITFNKEDLSTQKIVRQKRRTGMGVSAACKRWEKAAMAGISLVADAAEQLEHTSNGRDHQQTFNMHCPGKKNINVSVDITHPPPPFLLGETCSHQIRKTLVHPSGKLKLQLFPIDEYTRRALEKDSQNPHLELTLSARKRISSVLEHLNRKWGNSSIAYGELVLFPYIIQQRDIASCQKWTIEDTDASAADVYATIGSPTIFRLRYGWFPVAAHSSETIPITCDVPHLDKSIQTRANHEGYARTERREGLPDSCGQTPCIFEKEDRDDDIIEQRTRNDATFSAGQWADSLMSVGDLLSEASKAANFGSTYAAPNPEQMQSSYDSFDAAIAAQIAGQQSPGSPTRLPQSIWHAEETCDGFSFRSFPDAKREDSNPLISSSNFVLRENTCTHSLAFEDYLKDLVESSPLDEPSSKYLKVASAAAEEIPSDAELNELVSESAEDILPDIEKQNKIDKDPCLSDVFWPDSLGPLDLEMPSSRYQGHDMIIDESISLGGLNRLIASSLDAFQNCSFFSVDRKDIPASEFQGASALLDSTPTSEE >DRNTG_11440.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1152481:1154814:-1 gene:DRNTG_11440 transcript:DRNTG_11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRSNICQIQAPTYGSLITILSIDGGGIRGIIPAIILSFLESELQKLDGEDARIANYFDVIAGTSTGGLITSMLTTPNEDNRPLFSAKDVKCFYLENSPKIFPQPCGLLAKATKIFKAFWGPIYDGKYLHSLLKDRLGSTRLHETLTNVVIPTFDIKKLHPIIFSSFEVKYCKSSKDALLSDICIGTSAAPTYLPAHHFQTASPRGTIREFDLIDGGVAANNPALVAIGEVTKEVIKGNPDFLAHKPFDYRKYLLISIGTGSAKVEEKYTAKSASKWGILGWLFSSWSTPLVDVFLQSSADMVDIHISVFFQTLRSECNYLRIEDDTLSGTVSSVDVSTKENLADLVKVGEQLLKKPVSRVNLETGVFEPVGNGEGSNEEALKRFAKILSEERRLREMRSPHVKSPS >DRNTG_00974.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20917589:20918151:-1 gene:DRNTG_00974 transcript:DRNTG_00974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRERRLFELLEEQQEPFLSENGHSDKIFRSHSLTLCFPCYKCRRLFRISTIKCLFTKLFHRKFIRKSCSSGTKLDIVYVLECSPVDNQIKDKSLLSSIHKSVYNENKIELEKNRELIIDKIVIEIEAAIFDEMLAEIVLQMLELFHSC >DRNTG_35195.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20951454:20951868:-1 gene:DRNTG_35195 transcript:DRNTG_35195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEDAIRSSAGPSTLKKRGQTAMKAVHARSEENLKPILLNELNQPIGPNDKTLSEFSSFLGTLARNSTLAPLTVTNWSKMATKESLWEYVQVYKNLTCLLPNNFFSY >DRNTG_32529.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001856.1:7599:9440:1 gene:DRNTG_32529 transcript:DRNTG_32529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSRGRKNEQFTLLVATVSLALVSTGFQGMEYYQAPSTISDSIYGSTFFLATGFHGFHVIIVVIEPILQSWEEKAENFLHQNNEEQPDTGDKERGKHGHNGVTDRLSLLQQGDLPKGKSLTNFSSPFCLLMLRDKEDRTAIQSCASHPLTNEPKPDNQFSLRLVLEIVSAKRDPYFLTLMGHSSNRTRLKGWSSVVDRMARPYLDLRLKKDSRWNKLFVLYYLVRLEYSHPKQKPSSLGGLLRGSFEMME >DRNTG_31978.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:659372:662496:-1 gene:DRNTG_31978 transcript:DRNTG_31978.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDLVLMLLVLLLLLISSLGSSKVLGSYPVVVSTWPFVDAVRAAWRSADAGSPAVDAVVDGCSECEVLRCDGTVGPGGSPDENGETTIDALVMNGTTMEVGAVAAMRFVKDGIKAAKLVMEHTKHTLLVGEQASVFAMSMGLPGPTNLSSPESTAKWLKWKENSCQPNFWKNVIPANGCGPYHLRNVASNQEENSCMPSNEGTSGGTWLGDSLLVSDHSNSKLISQTSHDTISMAVIDKDGRIAVGTSTNGATFKIPGRVGDGPIVGSSAYADDEVGACGATGDGDIMMRFLPCYQIVESMRRGLEPQHAAIDAISRIARKYPDFVGAVFALRKDGIHAGACHGWTFQYSVRTPSMVDVEVFTVEPVINNGPILTKQTHATFGLS >DRNTG_31978.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:659372:662496:-1 gene:DRNTG_31978 transcript:DRNTG_31978.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGAVAAMRFVKDGIKAAKLVMEHTKHTLLVGEQASVFAMSMGLPGPTNLSSPESTAKWLKWKENSCQPNFWKNVIPANGCGPYHLRNVASNQEENSCMPSNEGTSGGTWLGDSLLVSDHSNSKLISQTSHDTISMAVIDKDGRIAVGTSTNGATFKIPGRVGDGPIVGSSAYADDEVGACGATGDGDIMMRFLPCYQIVESMRRGLEPQHAAIDAISRIARKYPDFVGAVFALRKDGIHAGACHGWTFQYSVRTPSMVDVEVFTVEPVINNGPILTKQTHATFGLS >DRNTG_31978.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:659372:662496:-1 gene:DRNTG_31978 transcript:DRNTG_31978.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGAVAAMRFVKDGIKAAKLVMEHTKHTLLVGEQASVFAMSMGLPGPTNLSSPESTAKWLKWKENSCQPNFWKNVIPANGCGPYHLRNVASNQEENSCMPSNEGTSGGTWLGDSLLVSDHSNSKLISQTSHDTISMAVIDKDGRIAVGTSTNGATFKIPGRVGDGPIVGSSAYADDEVGACGATGDGDIMMRFLPCYQIVESMRRGLEPQHAAIDAISRIARKYPDFVGAVFALRKDGIHAGACHGWTFQYSVRTPSMVDVEVFTVEPVINNGPILTKQTHATFGLS >DRNTG_31978.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:659372:662496:-1 gene:DRNTG_31978 transcript:DRNTG_31978.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGAVAAMRFVKDGIKAAKLVMEHTKHTLLVGEQASVFAMSMGLPGPTNLSSPESTAKWLKWKENSCQPNFWKNVIPANGCGPYHLRNVASNQEENSCMPSNEGTSGGTWLGDSLLVSDHSNSKLISQTSHDTISMAVIDKDGRIAVGTSTNGATFKIPGRVGDGPIVGSSAYADDEVGACGATGDGDIMMRFLPCYQIVESMRRGLEPQHAAIDAISRIARKYPDFVGAVFALRKDGIHAGACHGWTFQYSVRTPSMVDVEVFTVEPVINNGPILTKQTHATFGLS >DRNTG_27426.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28597458:28602850:1 gene:DRNTG_27426 transcript:DRNTG_27426.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARRSERKVHDLMDAARRRAQRRAVYLARRRGDPQQSLQVVGTRCRTHRDDGLYQAAQDQQGLIPWNGKQDILIDRFDGRALLDFIRDSESRPFRAREKTEEEEELEEFVNFERYRDLIKHQRRGFTDEEAMQNVSQELEAKSVAPFVLERTQSSQTQASKGSYSQVGFSYKGDGNEESHISDSDEEEEEEEDDEKDFSSDDSNDEGMEIIAKDFGIKRYNWLVYMDKKAKEEEKRQKEIIRGDPAIKKLSRKERRKASQMERGREREAALTTGRPLRRDPYREPRQSPTYEAYPRSRRSRSRSHSPYSRRHDRGLHSDSTLRSKSKTPKIEYITEFGSSTDMGEPKLEGISPPSSPLQAGLLNRSGHWTFITCQDRLDNVFCAAAYSGDRSGLELIYWGMGIGEA >DRNTG_27426.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28597458:28602850:1 gene:DRNTG_27426 transcript:DRNTG_27426.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARRSERKVHDLMDAARRRAQRRAVYLARRRGDPQQSLQVVGTRCRTHRDDGLYQAAQDQQGLIPWNGKQDILIDRFDGRALLDFIRDSESRPFRAREKTEEEEELEEFVNFERYRDLIKHQRRGCRY >DRNTG_27426.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28597458:28602850:1 gene:DRNTG_27426 transcript:DRNTG_27426.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARRSERKVHDLMDAARRRAQRRAVYLARRRGDPQQSLQVVGTRCRTHRDDGLYQAAQDQQGLIPWNGKQDILIDRFDGRALLDFIRDSESRPFRAREKTEEEEELEEFVNFERYRDLIKHQRRGFTDEEAMQNVSQELEAKSVAPFVLERTQSSQTQASKGSYSQVGFSYKGDGNEESHISDSDEEEEEEEDDEKDFSSDDSNDEGMEIIAKDFGIKRYNWLVYMDKKAKEEEKRQKEIIRGDPAIKKLSRKERRKASQMERGREREAALTTGRPLRRDPYREPRQSPTYEAYPRSRRSRSRSHSPYSRRHDRGLHSDSTLRSKSKTPKIEYITEFGSSTDMGEPKLEGISPPSSPLQAGLLNRPSSERILEALHVDPASALSVEQEKNKMPKPFVSTSSAMAKLSKAAPGGSLKTPQSEKKETPQERLKRIMSKQLNKQIRKDSAVEMAKKKEQERQRREKITEASRTSRYRRHSHSSSRSPSPPRRYHDSRSRSRSPRRHRSRSKSYSQSPPRTRSRTRY >DRNTG_27426.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28597458:28602850:1 gene:DRNTG_27426 transcript:DRNTG_27426.6 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARRSERKVHDLMDAARRRAQRRAVYLARRRGDPQQSLQVVGTRCRTHRDDGLYQAAQDQQGLIPWNGKQDILIDRFDGRALLDFIRDSESRPFRAREKTEEEEELEEFVNFERYRDLIKHQRRGCRYFFDFYYYLLLFCSISVLSLFYSLCPIMNGMRSFVISFYFFFK >DRNTG_27426.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28597458:28602850:1 gene:DRNTG_27426 transcript:DRNTG_27426.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARRSERKVHDLMDAARRRAQRRAVYLARRRGDPQQSLQVVGTRCRTHRDDGLYQAAQDQQGLIPWNGKQDILIDRFDGRALLDFIRDSESRPFRAREKTEEEEELEEFVNFERYRDLIKHQRRGCRYFFDFYYYLLLFCSISVLSLFYSLCPIMNGMRSFVISFYFFFK >DRNTG_27426.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28597458:28602850:1 gene:DRNTG_27426 transcript:DRNTG_27426.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARRSERKVHDLMDAARRRAQRRAVYLARRRGDPQQSLQVVGTRCRTHRDDGLYQAAQDQQGLIPWNGKQDILIDRFDGRALLDFIRDSESRPFRAREKTEEEEELEEFVNFERYRDLIKHQRRGCRY >DRNTG_28753.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7019758:7022836:1 gene:DRNTG_28753 transcript:DRNTG_28753.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENQLFIMIRLTVGMLFQFWSSYFVFPLYVIVTQMGPEMKKSVFSKSVRESLHNWSRRSKHSLQKDSFGRSLTTTSSLVSLDLTDDDKMDEIIQEENTCLNSFGTVKMHPGMGPEMKLVFSKSVRESLHNWSRRSKHSLQKDSSGRSLTTITSSLVSLDLTDDDKMDEIIQEETHA >DRNTG_28753.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7015255:7022836:1 gene:DRNTG_28753 transcript:DRNTG_28753.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQFWSSYFVFPLYVIVTQMGPEMKKSVFSKSVRESLHNWSRRSKHSLQKDSFGRSLTTTSSLVSLDLTDDDKMDEIIQEENTCLNSFGTVKMHPGMGPEMKLVFSKSVRESLHNWSRRSKHSLQKDSSGRSLTTITSSLVSLDLTDDDKMDEIIQEETHA >DRNTG_28753.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7015255:7022836:1 gene:DRNTG_28753 transcript:DRNTG_28753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQFWSSYFVFPLYVIVTQMGPEMKKSVFSKSVRESLHNWSRRSKHSLQKDSFGRSLTTTSSLVSLDLTDDDKMDEIIQEENTCLNSFGTVKMHPGMGPEMKLVFSKSVRESLHNWSRRSKHSLQKDSSGRSLTTITSSLVSLDLTDDDKMDEIIQEETHA >DRNTG_28753.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7021973:7022836:1 gene:DRNTG_28753 transcript:DRNTG_28753.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEMKKSVFSKSVRESLHNWSRRSKHSLQKDSFGRSLTTTSSLVSLDLTDDDKMDEIIQEENTCLNSFGTVKMHPGMGPEMKLVFSKSVRESLHNWSRRSKHSLQKDSSGRSLTTITSSLVSLDLTDDDKMDEIIQEETHA >DRNTG_28753.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7016922:7022836:1 gene:DRNTG_28753 transcript:DRNTG_28753.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQFWSSYFVFPLYVIVTQMGPEMKKSVFSKSVRESLHNWSRRSKHSLQKDSFGRSLTTTSSLVSLDLTDDDKMDEIIQEENTCLNSFGTVKMHPGMGPEMKLVFSKSVRESLHNWSRRSKHSLQKDSSGRSLTTITSSLVSLDLTDDDKMDEIIQEETHA >DRNTG_07995.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29996932:29999285:-1 gene:DRNTG_07995 transcript:DRNTG_07995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDVQGDRITQEEEQTLKYLGFVHVAAIQALLCFSKLYEYAKENAGPLKSGVQSVEGTVKTVVRPVYNKFYDVPFGLLKFVDRKMDESVCDLGKRMPSLVKDASTQAYTVVQKAPEVARSVAGEVQRTGVVGTATGMAKSAYTKCEPAAKDLYTKYEPAAERCAVSAWKSLNRLPLFPQVAHVMVPTAAYWSEKYNQAVCHAADCGYTVSAYLPLVPTQRIAKVFSEDNTGAEVPAVSQ >DRNTG_15721.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4325397:4328540:1 gene:DRNTG_15721 transcript:DRNTG_15721.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTSSMLTQYDIEEVQEHCNHAFSQQEIVSLYHRFCQLDRNGSGFISSDEFLSVPEFAVNPLSQRLLRMLDGLNFKEFVAFLSAFSSRTSLQQKIEFIFKVYDLDCNGKVSFNGILSVLRDLTGSFMTEQQRQKVLTHVLEEAGYKEDSLLSLSDFIKILGSSGLKMEVEVPID >DRNTG_30568.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001590.1:12249:12855:-1 gene:DRNTG_30568 transcript:DRNTG_30568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSYPIKTKPKDNDNLLEEKAVEDTHADWPKTDAESKIAIE >DRNTG_16763.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22096903:22097554:-1 gene:DRNTG_16763 transcript:DRNTG_16763.1 gene_biotype:protein_coding transcript_biotype:protein_coding KDSSTKADGVEGRPRHQKSSRMNPRKALLIMAIVACMTTLGILYFRLAQRILSENHQEEELQ >DRNTG_23127.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:254878:259329:-1 gene:DRNTG_23127 transcript:DRNTG_23127.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFSWVARRPGFRHSVDSYASLLNLLVQPQFHTHAERFRISMLHSCTTSEEVCRALQVFFSSSSSDEGRPLVIPSLRCYNILLNLLAKFRMISEMRHVYQQMLQDATFPNIFTYNTMINACCKDGSLLEAKLYFAHLSRAGLNPDTFTFNSLISGYCKVKDFHGASRVLVTMMHKGCPRDEFSYTILIQGLCASRRLDEAFELLSQMESDGCRPNAHTFAALVHGLCKEKRFADAEVLLSESSRSGLVPNVVCYNVLVDGFCKGGMIDAAFRTMESMDRNGCHPDIWTYTSLVDGLCRQEKLEDAWLLLTKASEKGLVLGVVTYNALIRGYCKKGEVSAALGITHSMALNHCRPDTRTYNELIHGHCEAGKVHEAMALLSKMVESGVSPTVVTYNLLIQGQCKKGYIDSAFRLLDLMSGNCLAPDQRTYSILIDALCKNGRSEEAYSLFNSLDKKNIQAHEVMYNALIHGQCEAGNIDVAHLLLDKMCSKGCLPDSYTYNPLIRGLCKDDKMEEAKSLMNDMRDKGVEPTVITYNILIDKLLMKGERVEAERMLEQMLSSGHRPDACTYTLFIRSHCGEGSLNEAENMMAEMNDKGVLPDLRTYNTLIEGYVNMGALDHAFSTLKNMIDASFHPNHHTFCVLLKPLHERKYGDSLSAANHPQVWNAVKMDTVQELLEQMNSYGSVPNTKTYDILIKCFCNCGRIEVANSLLFLMEEASITPSEDIYTSLISCCCNLKLYTEASTFLCSMVERGYLPYLVSYQLLLTGLCDKGSHEKARFLFGDLLGRGYNNDEITWKLLVDGLLKKGHDVMCSELLAVMRERHCCPSPQTWDSLIKEYPQLAHA >DRNTG_23127.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:255394:259329:-1 gene:DRNTG_23127 transcript:DRNTG_23127.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFSWVARRPGFRHSVDSYASLLNLLVQPQFHTHAERFRISMLHSCTTSEEVCRALQVFFSSSSSDEGRPLVIPSLRCYNILLNLLAKFRMISEMRHVYQQMLQDATFPNIFTYNTMINACCKDGSLLEAKLYFAHLSRAGLNPDTFTFNSLISGYCKVKDFHGASRVLVTMMHKGCPRDEFSYTILIQGLCASRRLDEAFELLSQMESDGCRPNAHTFAALVHGLCKEKRFADAEVLLSESSRSGLVPNVVCYNVLVDGFCKGGMIDAAFRTMESMDRNGCHPDIWTYTSLVDGLCRQEKLEDAWLLLTKASEKGLVLGVVTYNALIRGYCKKGEVSAALGITHSMALNHCRPDTRTYNELIHGHCEAGKVHEAMALLSKMVESGVSPTVVTYNLLIQGQCKKGYIDSAFRLLDLMSGNCLAPDQRTYSILIDALCKNGRSEEAYSLFNSLDKKNIQAHEVMYNALIHGQCEAGNIDVAHLLLDKMCSKGCLPDSYTYNPLIRGLCKDDKMEEAKSLMNDMRDKGVEPTVITYNILIDKLLMKGERVEAERMLEQMLSSGHRPDACTYTLFIRSHCGEGSLNEAENMMAEMNDKGVLPDLRTYNTLIEGYVNMGALDHAFSTLKNMIDASFHPNHHTFCVLLKPLHERKYGDSLSAANHPQVWNAVKMDTVQELLEQMNSYGSVPNTKTYDILIKCFCNCGRIEVANSLLFLMEEASITPSEDIYTSLISCCCNLKLYTEASTFLCSMVERGYLPYLVSYQLLLTGLCDKGSHEKARFLFGDLLGRGYNNDEITWKLLVDGLLKKGHDVMCSELLAVMRERHCCPSPQTWDSLIKEYPQLAHA >DRNTG_07710.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23050409:23051539:1 gene:DRNTG_07710 transcript:DRNTG_07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAHSISSPLLSLLFFSRMRFHSVSPDLYTFPLLLKACSLSSSSSSFALSAHSLTIKLGFIHDIYVQNTLIRFYCSSGRARRALQVFDEMPHRDSVSWSALISGLASTGWEPLALSAFRVMQTESPPDEITMLGVVSSVAALGDLELGIWVHSYILKRALRLTVALGTALVSMFAACGSIARAARMFDEMPERNLRTWTAMIDAFAEHGRSSDAVRVFDEMTRLGITPDHVTFIGVLTACSRGGLLNEGRRLFGSIKRMYGIEPILEHYGCIVDLLGRAGLVIEAHEFVLRMPIRPNLVIWRTLLGACVNFGYVEVAEQVKERIVEMDEQGHDGDYVLLSNVYGGVGRWAEKDHVRCTMRHAGIAKTPGCSLLGN >DRNTG_33030.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21678855:21691139:1 gene:DRNTG_33030 transcript:DRNTG_33030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVIGIKCKDGIVMGVEKLISSKMMLPGSNRRIHAVHRHSGMAIAGLAADGRQIVTRAKSEATSYEKVYGEPIPVKELAERVASYVHLCTLYWWLRPFGCGVILGGYDRNGPELYMVEPSGVSYRYFGAAIGKGRQAAKTEIEKLKLSELTCRQGVIEVAKIIYGVHDEAKDKAFELEMSWVCEESNYQHEKVPDDLLEEAKAAAKAALEDMDDD >DRNTG_33268.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23917300:23918115:-1 gene:DRNTG_33268 transcript:DRNTG_33268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLTVLIFFLSSLTISLTTTTTTTPSGTIQRTTKQQILASIPPSSPDNSQPFLTSPSGKYTALLLRRETAPAAGGFGNDFCYIQVQETSSGQSLWESECAPVSTANTCSLVFDDNGLDVFDGSNPVWDTGADNDFLETLQIVDEGDMRIIDRDGELAWKASDDPRSNQGCGLPGSAGLAPESPPFAKPLGGDVSNLPFGQGSQQGGGSAIPNPSLGLGFNGQQEQQQQQQPLVDNTAFESGCSRRVLGGFLGVGLGLVIMVVVVMVHGHV >DRNTG_11128.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30436636:30441312:-1 gene:DRNTG_11128 transcript:DRNTG_11128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGSRRGRAQPNPVVQNERPAAGVNRRRRAPARGRQPAEEIVVVEPKEEVGLAEGVGEIGGIGGGEENREGVGERMDEFDSGAKSADKLPGGEDEGSTAPLPEKVQIGNSPIYKIERKLGKGGFGQVYVGQRISPTNANDRMTGPSAVEVALKFEHRSSKGCNYGPPYEWQVYNTLGGIHGVPRVHYKGRQGDYYVMVMDMLGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGPPGTPEEKKLFLVDLGLATRWKDTSTGQHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPDSLCCFCPQPFRQFVEYVVNLKFDEEPNYAKCISLFDGIVGPNPDIRPINTDGAQKLIYQVGQKRGRLMMEDEDDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADARLGQHIEKGNEDGLFISCVASCTNLWALIMDAGTGFTAQVYELSANFLHKEWIMEQWEKNYYISALAGANNGSSLVVMSKGTQYAQQSYKVSDSFPFKWINKKWREGFYVTAMATAGSRWGVVMSRNAGFTDQVVELDFLYPSEGIHRRWDGGYRITATAATWDQAAFRLKCAQEEAIR >DRNTG_20890.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:2415278:2415745:-1 gene:DRNTG_20890 transcript:DRNTG_20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding LYKNESGASSFDNNILDKSSKHEKIVQVCSVTSSGTSSITVGSSSSTNAKGLSSDQPNRFL >DRNTG_18419.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4147995:4151516:1 gene:DRNTG_18419 transcript:DRNTG_18419.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHETNWYPGLSLGSNCSSNVWRSHSPTCLCTLHSHSLSTKAAITGTNRGCSNASFTNITFRKQKMVESEIAAGATAIKNSWNARKATDVSELHGGNHLNAETQTNPSLKSFTTSDSPPSPETSSRAAMQARASSFLAFKAKGSNTNG >DRNTG_18419.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4147995:4151516:1 gene:DRNTG_18419 transcript:DRNTG_18419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHETNWYPGLSLGSNCSSNVWRSHSPTCLCTLHSHSLSTKAAITGTNRGCSNASFTNITFRKQKMVESEIAAGATAIKNSWNARKATDVSELHGGNHLNAETQTNPSLKSFTTSDSPPSPETSSRAAMQARASSFLAFKAKGSNTNG >DRNTG_19835.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001073.1:7864:11312:-1 gene:DRNTG_19835 transcript:DRNTG_19835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQLSLLGKRITSSLVNDLLGIVSEERLLDLLEIAMSSDTAETVKRSRELMDSGVDPMALMNQLAALIMDIIAGTYQLTNSECGGTVLGGRSLTEGELERLQQALKILSDAEKQLKVSSERSTWFTAALLQLGSGHDAQQTPAISHELSTVLMRITGRSPTPHGHRRSESLPSQLLPSKDQSTDHEMSKDLAEIWKRCIERCHSKKLRELLYDHGKLVSITESEGILVAFIGFTDDNIKSQVERLSSSIKNSLDMVLRHSVEIRIGLMSEYYTKGKSVVVVSARDQAENVKLLLKKKMNQSDEFDEYSEKDRKHGASEFSRKSPDYLRNENGRVHRTLMGTTDEQRLESAWLQAEEKCTPGSLSHLKREKNQIHPQNGASLSLSSVVPRSSSCREEDLNQQIEALKVCNTRLTYNEETSRRVDHSAISPSLLHNNNLAANSEKENTEYDSAPGCDGLFCWNIPKSNRGKLKQGTRLRSRRSTHISLFGECGKSKSLESAATDKTS >DRNTG_28808.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28721821:28723723:-1 gene:DRNTG_28808 transcript:DRNTG_28808.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g39530 [Source:Projected from Arabidopsis thaliana (AT4G39530) UniProtKB/Swiss-Prot;Acc:Q9SVA5] MKQRLFDLLQSCIAGKAHHIHLQAIHALSITSGFQSDVFVANVLLSGYSKSGQIRHARKLFDGMPQRNLISWSTMISCYTQHCHSEEAFSLFSCLRRCFSESPNEFILASVLRACVQSSSIGRGSQVHGLTVKMGFSTDVFVGTSLINFYSRVACLEDAVFVFDDLPFRNSVTYTAIITGYSLIGRSDKSLHLFNRMREEGVMPDRFVLSSVISACADVQFLEGGKQIHGYAYRSGAEVDISVSNALIDLYCKCSRVKTACRLFDSLVVKNLVSWTTMIAGYMQNSLDEEAMGMFFRMSRLEFRPDGFACTSVLSSCGSLMALRQGKQVHGYAIKANLENDEYVKNGLIDMYAKCNSLVDARLVFDVMSDKNTISYNAMIEGYARNDELLEVFSLFKRMRLESLRPSLLTYVSLLGASAASSAVELSKQVHSLVIKIGFSLELYIGSALVDVYSKCSRVDDARRVFDEMNERDLVVWNAMISGYAQNGLGEEAVKLFHLLCLSGLKPTDFTFVALVTASSNLTSLFLGSQFHVHIIKAGVEFDPHISNALVDMYAKCGCIQEAHFLFNTMCGR >DRNTG_30354.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001565.1:6538:20594:-1 gene:DRNTG_30354 transcript:DRNTG_30354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKSVTGTEGGSGANEGSISPSSSSSSSSSLSSTSSLSSSSSSTSGKTARSSSSSEGIERLQLFLWQDRDLDRDENFFDSRAIVHGANFLGIGVCLAEINVVPLSNPTENEFFSLFKLMRMLCNRREHSKESVFAEICERWGLNVSRVRVKFITPDGYKMACPIENDVDFQRMCHVHSIFKCSVVNLVVEKDDVALSNPIENEFFSFARVIQKLKDRPLYKAIDIQKDMLQEHGVHIPYKHAWLGKEHARVVLDRSNISSYDLLLWYVDKVVQTNPGSIVIVEKDCEWFKRVFFSFSACVVGFKKACKALLFVDGTHLLGKYRGTLLGATGKDGNNGFFHVAFGIVDNETDANWTWFISKLGDALYEEEDYHEIITFVSDRSKGLVNSIARVFPTSPHAYCLRHLEANFMKTNDKLGKTLREECWSIYFRIAWASIAKDF >DRNTG_15906.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6055705:6060010:1 gene:DRNTG_15906 transcript:DRNTG_15906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCLTTERKHIFLTEDLESASTRPGEHDKPLIILVDSVRAIAFILLPANRWVLPALRTFTSLHCVVLHGKRSILIMFSSIMFSSVMISSLAVVEVYRSVIDQLAIKISVKKYLISPCEFSKSFHIYGHDLSPVSIKMLCVPRRVVAWMSICQSVGVNDIRVFMRLRGARYIRYSSYPELNIYLLTTTLIGILITSWCFIERLCEDIQSKLDEGSLDAKRKLFSKRYVSRPRQESLHSQFFLEPSYFIFGAIAGVMGTCFSVLIRMELAYPNNQILGGNHELHNSLITGAPDMAFPRSNNIILVVTTKSLAFIKPNLSRSG >DRNTG_07972.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2243390:2243733:-1 gene:DRNTG_07972 transcript:DRNTG_07972.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLSKEPKAPQKARTWMMNRERGAHGSAWESQGGKNQRVISSSDETWSSVMAQ >DRNTG_07972.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2243390:2245692:-1 gene:DRNTG_07972 transcript:DRNTG_07972.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLSKEPKAPQKARTWMMNRERGAHGSAWESQGGKNQRVISSSDETWSSVMAQ >DRNTG_14073.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8340907:8343067:-1 gene:DRNTG_14073 transcript:DRNTG_14073.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIYAYFHPTVEKWMEKLEESETKFRLAVKASIVSISLVVGYLWYEYIYKLDKITYNKFHPYTSWIPITVYICLRNFTQQLRSYSLTLFAWLGKITLETYISQIHIWLRSSMPNGQPKWLLSLIPDYPLLNFMLTTSLYLLMSYRIFDLTNSLKAAFLPSKDNKRLAHNFIAGIVISLCLYVTSLALLQIPPL >DRNTG_14073.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8340907:8348346:-1 gene:DRNTG_14073 transcript:DRNTG_14073.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMDAEIYNAIRVFIAAYVWMTGFGNFSYYYIRKDFSLARFAQMMWRLNFFVAFCCIVLNNDYMLYYICPMHTLFTLMVYGALGIFNKYNEIGSVIALKIISCFLMVILIWEVPGVFDLLWTPFTFLVGYKDPAPSKANLPLLHEWYFRSGLDRYIWIIGMIYAYFHPTVEKWMEKLEESETKFRLAVKASIVSISLVVGYLWYEYIYKLDKITYNKFHPYTSWIPITVYICLRNFTQQLRSYSLTLFAWLGKITLETYISQIHIWLRSSMPNGQPKWLLSLIPDYPLLNFMLTTSLYLLMSYRIFDLTNSLKAAFLPSKDNKRLAHNFIAGIVISLCLYVTSLALLQIPPL >DRNTG_14073.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8340907:8348103:-1 gene:DRNTG_14073 transcript:DRNTG_14073.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERMDAEIYNAIRVFIAAYVWMTGFGNFSYYYIRKDFSLARFAQMMWRLNFFVAFCCIVLNNDYMLYYICPMHTLFTLMVYGALGIFNKYNEIGSVIALKIISCFLMVILIWEVPGVFDLLWTPFTFLVGYKDPAPSKANLPLLHEWYFRSGLDRYIWIIGMIYAYFHPTVEKWMEKLEESETKFRLAVKASIVSISLVVGYLWYEYIYKLDKITYNKFHPYTSWIPITVYICLRNFTQQLRSYSLTLFAWLGKITLETYISQIHIWLRSSMPNGQPKWLLSLIPDYPLLNFMLTTSLYLLMSYRIFDLTNSLKAAFLPSKDNKRLAHNFIAGIVISLCLYVTSLALLQIPPL >DRNTG_14073.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8340907:8346787:-1 gene:DRNTG_14073 transcript:DRNTG_14073.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERMDAEIYNAIRVFIAAYVWMTGFGNFSYYYIRKDFSLARFAQMMWRLNFFVAFCCIVLNNDYMLYYICPMHTLFTLMVYGALGIFNKYNEIGSVIALKIISCFLMVILIWEVPGVFDLLWTPFTFLVGYKDPAPSKANLPLLHEWYFRSGLDRYIWIIGMIYAYFHPTVEKWMEKLEESETKFRLAVKASIVSISLVVGYLWYEYIYKLDKITYNKFHPYTSWIPITVYICLRNFTQQLRSYSLTLFAWLGKITLETYISQIHIWLRSSMPNGQPKWLLSLIPDYPLLNFMLTTSLYLLMSYRIFDLTNSLKAAFLPSKDNKRLAHNFIAGIVISLCLYVTSLALLQIPPL >DRNTG_12807.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2013662:2013996:1 gene:DRNTG_12807 transcript:DRNTG_12807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPPEDHDRLPEVVRPAGRTGGRSPEASRITGGLLVRHQKPVDWWSDCRGPWVGRRRPAKAEGPVLGGQGHQ >DRNTG_05788.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11865963:11867912:-1 gene:DRNTG_05788 transcript:DRNTG_05788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPESIYDPEFPDTSHFRSGRGCHSALRRIKEEWGTSRWFLEFDIRNTPRRRGDQKRPLVFPPSAALAAFLNKPSSLLCAALLIEAAGLTPKAEFYGREGFNKNLAMRDLIKYCKRRGPQIELGGEAILVIRSERRLARKLAPLKTHDLLIRICYARYADDLLLGIVGAVELLIEIQKRITHFLQSGLNLWVGSAESTTIAARSTVEFPGTVIREAPPGTTPIQFLRELEKRLRVKHRIHITACHLLSAIHSKFRDPGYSIPIKELTKGMSGRGRLLDAVQLAETLGTAGVRSPQVSVLWGAVKHIRQESRGISFLHSSGRSNVPSGVQQAVSRSGMRKVSLYTPAGRKAAGEGGGHWAGSFSSEFPIQIEAPIKKILRRLRDRGLISRRRPRPIHVASLTNVSDGDIVNRSAGIAISPLSYYRCRDNLCQVRTIVDHQIRWSAIFTPAHKHKSSARNIIPKYPKDSNSNIVNQEGGKTLAEFPNSIELGKLGPGQDPNKDGALNYI >DRNTG_01213.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:420943:422434:-1 gene:DRNTG_01213 transcript:DRNTG_01213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNTRQERIAFRESAPSLERVSSTRLLAAGVRFAGKATTTATSTTLRRYKVRDKPINALGCLSPHSQSHHVTQTRSGPTGYSCAECEECVGIGMSSLDQQHLFLGWNWILLVPAIMMTMTSLAGARHVLLSSVPIPSAQPISNTTATNATEQFLTVHNKARAAVNVAPLSWSPKLYSDASLFVRYQRDKKGCEFADLGSSPYGANQAWASYPASPLEVVGSWADEKQYYNHAKNTCEAGHECGTYTQVVWRKNHPGWVRTGHLRQRRGHAHHLPLLPSWKCAGPEPLL >DRNTG_24627.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31151000:31152720:-1 gene:DRNTG_24627 transcript:DRNTG_24627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEPLSPISGLASVCLSVLFIHVMISKQLVPQHSFPLLFSTTNFLIPNALVRDHILKNLELHKPHKLETIQMAKGVVTKCLFFSFLLHNVLTLGEPKKTMHDLMVTSVTNPATVLPTNPTKPVTQVTAPVMNPSPNSAISEKTWCVAKNGVTDVALQHALDYACGIGAADCSAIQINGNCYNPNTLQSHASYAFNNYYQKNPVPTSCDFAGTATIISANPSSAACVYPSSSLTYGVNPASTFGAGTGEDSETVLNINRTAASGRVYGLGIPTSSTAVQATPGRCCCPT >DRNTG_24627.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31151000:31152720:-1 gene:DRNTG_24627 transcript:DRNTG_24627.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLMVTSVTNPATVLPTNPTKPVTQVTAPVMNPSPNSAISEKTWCVAKNGVTDVALQHALDYACGIGAADCSAIQINGNCYNPNTLQSHASYAFNNYYQKNPVPTSCDFAGTATIISANPSSAACVYPSSSLTYGVNPASTFGAGTGEDSETVLNINRTAASGRVYGLGIPTSSTAVQATPGRCCCPT >DRNTG_24627.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31151000:31152720:-1 gene:DRNTG_24627 transcript:DRNTG_24627.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLMVTSVTNPATVLPTNPTKPVTQVTAPVMNPSPNSAISEKTWCVAKNGVTDVALQHALDYACGIGAADCSAIQINGNCYNPNTLQSHASYAFNNYYQKNPVPTSCDFAGTATIISANPSESHNNSSHS >DRNTG_23717.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:767813:769811:-1 gene:DRNTG_23717 transcript:DRNTG_23717.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLELNTVNMLRLTILSNMRKGVAEGVNINFPSAFHGLCMRHLTESFQKEFKNNMLVGLLWEAAQALTVADFDAKMMEINALSPQAAYWLNGVQYQLWATAHFKGSRFGLMMANLIELPIWIVEASSLPIIQMMECIRRQLMIWFSERREMSDQWSDLLVPSAFNHVSDPHQCAQEYQIMEANEAGLEVYSTHKGITNTVDIRNRCCSCYGWQVYGLPCSHAVTALISCGQNVYKFAENYFTVTSYRTAYSKTIHPIPDKSLWNVKDQLELVINPPRSVMPLLKPRKKRAQSHDGAPLKRVVHCSRCKQTGHFKSTCSAPV >DRNTG_23717.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:766941:769811:-1 gene:DRNTG_23717 transcript:DRNTG_23717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLELNTVNMLRLTILSNMRKGVAEGVNINFPSAFHGLCMRHLTESFQKEFKNNMLVGLLWEAAQALTVADFDAKMMEINALSPQAAYWLNGVQYQLWATAHFKGSRFGLMMANLIELPIWIVEASSLPIIQMMECIRRQLMIWFSERREMSDQWSDLLVPSAFNHVSDPHQCAQEYQIMEANEAGLEVYSTHKGITNTVDIRNRCCSCYGWQVYGLPCSHAVTALISCGQNVYKFAENYFTVTSYRTAYSKTIHPIPDKSLWNVKDQLELVINPPRSVMPLLKPRKKRAQSHDGAPLKRVVHCSRCKQTGHFKSTCSAPLKKKDR >DRNTG_29893.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4888552:4890616:1 gene:DRNTG_29893 transcript:DRNTG_29893.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVVWVSMAVGFLGILSAALGFAAEATRVKASEVKTSIPGECIYPKTPALVLGLSSAVALMIAQAMVNTIAGCLCCKKQRYSSETNWSIALIAFIVSW >DRNTG_29893.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4888552:4891121:1 gene:DRNTG_29893 transcript:DRNTG_29893.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVVWVSMAVGFLGILSAALGFAAEATRVKASEVKTSIPGECIYPKTPALVLGLSSAVALMIAQAMVNTIAGCLCCKKQRYSSETNWSIALIAFIVSWVTFIIAFLLLLTGAALNNQRGQESMYFGSYCYVVKPGVFAGGAVLSLASVSLGIVYYVALSNFKSTQTWGPQSNQGIAMGRPEIPPQSTQPVFVHEDTYNRRQVP >DRNTG_29893.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4888552:4890683:1 gene:DRNTG_29893 transcript:DRNTG_29893.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVVWVSMAVGFLGILSAALGFAAEATRVKASEVKTSIPGECIYPKTPALVLGLSSAVALMIAQAMVNTIAGCLCCKKQRYSSETNWSIALIAFIVSWVTFIIAFLLLLTGAALNNQRGQESMYFGSYCYVVKPGVFAGGAVLSLASVSLGIVYYVALSNFKSTQTWGPQSNQGIAMGRPEIPPQSTQPVFVHEDTYNRRQVP >DRNTG_29893.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4888552:4890616:1 gene:DRNTG_29893 transcript:DRNTG_29893.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVVWVSMAVGFLGILSAALGFAAEATRVKASEVKTSIPGECIYPKTPALVLGLSSAVALMIAQAMVNTIAGCLCCKKQRYSSETNWSIALIAFIVSWVTFIIAFLLLLTGAALNNQRGQESMYFGSYCYVVKPGVFAGGAVLSLASVSLGIVYYVALSNFKSTQTWGPQSNQGIAMGRPEIPPQSTQPVFVHEDTYNRRQVP >DRNTG_29893.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4888552:4890549:1 gene:DRNTG_29893 transcript:DRNTG_29893.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVVWVSMAVGFLGILSAALGFAAEATRVKASEVKTSIPGECIYPKTPALVLGLSSAVALMIAQAMVNTIAGCLCCKKQRYSSETNWSIALIAFIVSWVTFIIAFLLLLTGAALNNQRGQESMYFGSYCYVVKPGVFAGGAVLSLASVSLGIVYYVALSNFKSTQTWGPQSNQGIAMGRPEIPPQSTQPVFVHEDTYNRRQVP >DRNTG_03671.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13685827:13690845:-1 gene:DRNTG_03671 transcript:DRNTG_03671.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVAKKWIPELKHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPITTAQGEELKKLIAAPFYIECSSKTQQNVKGVFDAAIKVVLQPPKQKKKKRKAQKGCSIL >DRNTG_03671.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13685827:13690845:-1 gene:DRNTG_03671 transcript:DRNTG_03671.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVAKKWIPELKHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPITTAQGEELKKLIAAPFYIECSSKTQQNVKGVFDAAIKVVLQPPKQKKKKRKAQKGCSIL >DRNTG_03671.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13685827:13690845:-1 gene:DRNTG_03671 transcript:DRNTG_03671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVAKKWIPELKHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPITTAQGEELKKLIAAPFYIECSSKTQQNVKGVFDAAIKVVLQPPKQKKKKRKAQKGCSIL >DRNTG_03717.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000173.1:96808:97695:-1 gene:DRNTG_03717 transcript:DRNTG_03717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVTKINYKSMDIEMVRCECCGLKEDCTQDYISEVKANFDGKWLCGLCSEAVRDELVKGFVGDEAMKAHMAFCSKFKANPAVRVADGMRQLLRRRSGDLSSPSSSLASSSSSSSSLSSKKFGSKRGRDLNT >DRNTG_03584.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:12736094:12737226:1 gene:DRNTG_03584 transcript:DRNTG_03584.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVDAVVVPASKPDTIPQQQQPCKDVSAVDDVAVPASKEDATGDEHHQGSTTVPHEDPDRATREMIKANQQLDETARKVFVPKKKKWVGQSRLNKYEQELIRIFLNCHMDSTVVWKNDVVSTTRDKLYTLLEGKVMVTDDVMDAFVCIIQKSLSKVPYPYKKRASITRPLPLFMSKQDDAHEITMAMIGDVMRNLHEVQIVILPIIMNDHFYVLVHDNDKQEYRHYSSCAGYDKDALDMQNLFDICVDMEFGESATSKYPLVHDMETPRQKQGSVDCAVYVMRFIEQLLWGEKLRL >DRNTG_26264.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6217442:6219161:1 gene:DRNTG_26264 transcript:DRNTG_26264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKFHTAMDLHKGHSQGHSHAPVSPWDKWELSTKFHTGVSSRDRALNSAKKQMPVWKLSMGGTGTPWMTRGYDSGEKEKKTRSRNLIMAYGLNAVWYRVRDDHCTYNRRYSFDGVGLRQIVSMLSSIASGIALGIEAMGSGIALGIEATKGVAPPNHEDELRLSASLSRDLHVLNAIVGI >DRNTG_11896.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21499890:21504642:-1 gene:DRNTG_11896 transcript:DRNTG_11896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPFGGSGEGMAAALTASGSFKDGKALRRKPSMKPSLETDEFINLLHGSDPVKVELNRLENEVRDKDRELGEAQAEIKALRLSERAREKAVEELTEELTKVEEKLKLTESLLENKNLEIKKINEEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKIARQEIAKVQDDNRALDRLTKSKEAALLEAERTVQIALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLSQTVRELEEAVLAGGAAANAVRDYQRKVQEMNDERKTLDRELARAKVTANRVAVVVANEWKDANDKVMPVRQWLEERRFMQGEMQQLRDKLAIAERTARSEAQLKDKYHLRLKVLEEGLKMSNGTNRTNVEARSVSNGPSRRQSLGGAENVSKLSPNCLLTKRTTSSQSRFSLSSGTSTVLKHAKGTSKSFDGGTRSLDRSKILANGTGHSLNKSTDGTRDCQTRSNWKENLDEKPNEFSNVDSDDTVSGMFYDMLQKEVITLRKACHEKDQSLKDKDDAIEILAKKVDTLTKVMEVEAKKMRREVAAMEKEVAAMRVEKEQDNRAKRHGSSKAPALTSQLSHGRNAPRSGSMRNPQ >DRNTG_23808.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29609826:29613130:1 gene:DRNTG_23808 transcript:DRNTG_23808.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFLQEGHKVTLNVYDLSQGLARQLSMSFLGKAIEGIWHTGVVVYDEEYYFSGGIQHDPAGRTPYGTPIHVVELGFTHIPKDVFREYLQEISPRYTPESYNILTHNCNNFSNEIAQFLVGISIPDYILQLPNEVMSSPMGGLILPMIQRLDNTLRSGAVPQAAQFTPSPTLAQPTTNKPPSGKSDNQTTEAKNVTVKPAAAIGKQSSLSAGDARAKVQEEITREFEAIMAMGTLRASEAAALATRRIMQKYGHLKSTSMSQG >DRNTG_23808.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29609826:29613130:1 gene:DRNTG_23808 transcript:DRNTG_23808.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVTLNVYDLSQGLARQLSMSFLGKAIEGIWHTGVVVYDEEYYFSGGIQHDPAGRTPYGTPIHVVELGFTHIPKDVFREYLQEISPRYTPESYNILTHNCNNFSNEIAQFLVGISIPDYILQLPNEVMSSPMGGLILPMIQRLDNTLRSGAVPQAAQFTPSPTLAQPTTNKPPSGKSDNQTTEAKNVTVKPAAAIGKQSSLSAGDARAKVQEEITREFEAIMAMGTLRASEAAALATRRIMQKYGHLKSTSMSQG >DRNTG_23808.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29609826:29613130:1 gene:DRNTG_23808 transcript:DRNTG_23808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGHKVTLNVYDLSQGLARQLSMSFLGKAIEGIWHTGVVVYDEEYYFSGGIQHDPAGRTPYGTPIHVVELGFTHIPKDVFREYLQEISPRYTPESYNILTHNCNNFSNEIAQFLVGISIPDYILQLPNEVMSSPMGGLILPMIQRLDNTLRSGAVPQAAQFTPSPTLAQPTTNKPPSGKSDNQTTEAKNVTVKPAAAIGKQSSLSAGDARAKVQEEITREFEAIMAMGTLRASEAAALATRRIMQKYGHLKSTSMSQG >DRNTG_21991.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5457758:5458548:-1 gene:DRNTG_21991 transcript:DRNTG_21991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKQMFATFVFIAMALLPTTIHAIEYIVGEDNGWTENFDYQAWTSDKEFRVGDILVFKYEVGKYDVIEVTPAEFLACEAFSEAEPPVTSGEEIIILLSEGYKFYICGKDNGNHCLLGQRLVIDVLPAITNINVTQEPSPSPEHFHLLN >DRNTG_28341.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:181054:188404:-1 gene:DRNTG_28341 transcript:DRNTG_28341.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNHHHFEVDNSKEIDEEVAKEWEHMMLQNTMGKELNELNKRLEEKESEMKSFGGFETLALKQHFGKNLMELKMKKELYRLMIIFSSSAHLFKILLLPFYFFGSSLMLENIKHFSKRTCLVILMGQTHKLPDAHLQKLKSLEAQGKFCRTSAIWNLNVILYPSCSFMCMNSVLQILDLNKKTRKSSAAFEPKAERVTKLQRNFKIKYNLFPYGHVDLVYRSFYQTV >DRNTG_28341.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:181054:188404:-1 gene:DRNTG_28341 transcript:DRNTG_28341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNHHHFEVDNSKEIDEEVAKEWEHMMLQNTMGKELNELNKRLEEKESEMKSFGGFETLALKQHFGKNLMELKMKKELYSKRTCLVILMGQTHKLPDAHLQKLKSLEAQGKFCRTSAIWNLNVILYPSCSFMCMNSVLQILDLNKKTRKSSAAFEPKAERVTKLQRNFKIKYNLFPYGHVDLVYRSFYQTV >DRNTG_28341.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:181054:188404:-1 gene:DRNTG_28341 transcript:DRNTG_28341.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNHHHFEEELKRSLQSSDSFEYQMADNLEVVDNSKEIDEEVAKEWEHMMLQNTMGKELNELNKRLEEKESEMKSFGGFETLALKQHFGKNLMELKMKKELYSKRTCLVILMGQTHKLPDAHLQKLKSLEAQGKFCRTSAIWNLNVILYPSCSFMCMNSVLQILDLNKKTRKSSAAFEPKAERVTKLQRNFKIKYNLFPYGHVDLVYRSFYQTV >DRNTG_28341.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:181054:188404:-1 gene:DRNTG_28341 transcript:DRNTG_28341.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNHHHFEVVDNSKEIDEEVAKEWEHMMLQNTMGKELNELNKRLEEKESEMKSFGGFETLALKQHFGKNLMELKMKKELYSKRTCLVILMGQTHKLPDAHLQKLKSLEAQGKFCRTSAIWNLNVILYPSCSFMCMNSVLQILDLNKKTRKSSAAFEPKAERVTKLQRNFKIKYNLFPYGHVDLVYRSFYQTV >DRNTG_28341.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:181054:188404:-1 gene:DRNTG_28341 transcript:DRNTG_28341.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNHHHFEEELKRSLQSSDSFEYQMADNLEVDNSKEIDEEVAKEWEHMMLQNTMGKELNELNKRLEEKESEMKSFGGFETLALKQHFGKNLMELKMKKELYSKRTCLVILMGQTHKLPDAHLQKLKSLEAQGKFCRTSAIWNLNVILYPSCSFMCMNSVLQILDLNKKTRKSSAAFEPKAERVTKLQRNFKIKYNLFPYGHVDLVYRSFYQTV >DRNTG_28341.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:181054:188404:-1 gene:DRNTG_28341 transcript:DRNTG_28341.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNHHHFEVVDNSKEIDEEVAKEWEHMMLQNTMGKELNELNKRLEEKESEMKSFGGFETLALKQHFGKNLMELKMKKELYRLMIIFSSSAHLFKILLLPFYFFGSSLMLENIKHFSKRTCLVILMGQTHKLPDAHLQKLKSLEAQGKFCRTSAIWNLNVILYPSCSFMCMNSVLQILDLNKKTRKSSAAFEPKAERVTKLQRNFKIKYNLFPYGHVDLVYRSFYQTV >DRNTG_17750.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4212789:4213723:1 gene:DRNTG_17750 transcript:DRNTG_17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPSIRRYHGGSCSPEARRRTHPGRRVAFSDKDNSMVVKLPSNNLVMEEVTILKRGEDLKTLRPSSTGVDSTPCSTRRIGPEPDAFSKQIRSASAVPSNGAAADSFWGSPFLAAPAPSALPFPAMLLKGDALIRRPKDAMLQIS >DRNTG_11556.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15808348:15810172:-1 gene:DRNTG_11556 transcript:DRNTG_11556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRIKRFYFIPWDPPQVEFESRVMGNYPARFGEHLYVVCAGEWKPPPQERSGSSQAPSPLTLFIIMPAEKSEKQRFHMGVWKLSMPVWKFRCSACIRHASGVARFDSI >DRNTG_05902.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18604395:18606213:1 gene:DRNTG_05902 transcript:DRNTG_05902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAMAVMIPNVDLLLGGSLMPVIGLGTASFPPPPPDTIRAVVLDAIALGYRHFDTASIYDTERPLGDAIAEALRRGLIQSRSELFITSKVWCTETYTDRVLPSLQKSLRNLGLEYLDLYLVHWPVSLKPTEMFFPIETEGMMPFDYRAVWEAMEECHKLGLAKSIGVSNFSCKKLEQILSFANIPPSVNQVEMHPLWQQKKLMEFCKEKGIVITAYSALGGYGHVWGNNDVIGCKVLKEIASARGKTVAQVCLRWLYQQGGTFIVKTFKTERMKENLGIFDWGLTEEELKKIRELPQKRGNRAEDFISPNGPFKSVEELWDGEI >DRNTG_25017.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19520154:19522983:-1 gene:DRNTG_25017 transcript:DRNTG_25017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLLTSIHLLVGPSLEPPSQSYFGSHNFENHGFPQYYYRSPQHQVPSYLPLKSVSQFALEPKPLQSLPPSLPSQPSQSRPHQPLLHNKKSLLDKIREQIEYYFSDINLIKDWYLKKQMDTEGWVDINLIAGFNMVKARTTDVDVVKEAVKYSQFLEFNNDKVRRRRRWSAAE >DRNTG_14056.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4974173:4979722:-1 gene:DRNTG_14056 transcript:DRNTG_14056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKCSTLGLVCSAPASSLACFAPASCRSIPLNYIQAIRSMAIRSKFSQNIHSKIKTDNNSNNHNSRKQR >DRNTG_17727.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4059071:4060588:-1 gene:DRNTG_17727 transcript:DRNTG_17727.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLPSHTEMMYTWLAATPSTTQRSQGHSYHHSPARRQSSNPHGKTKLFSPTLHSQIFLASTN >DRNTG_22872.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4275837:4277361:1 gene:DRNTG_22872 transcript:DRNTG_22872.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVQLQGRFIDFISSLFREGFLDDQFTQLQQLQDESNPEFVMEVVSLFFEDSERLLNELTSTLEQQVVDFKKVDAHVHQLKGSSASIGAQRVKNVCVAFRNFCEAGDREGCLRCLQQVKHEYSLVKNKLETVFKLEQQIVQAGGSIP >DRNTG_06631.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18896753:18907770:1 gene:DRNTG_06631 transcript:DRNTG_06631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGQFSSSRSMDSKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQQQYGSLSAACDRYQHLQHHLSQPKIVQQDFDMNLAFCNNEKKQKRELISS >DRNTG_06631.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18896753:18907770:1 gene:DRNTG_06631 transcript:DRNTG_06631.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGQFSSSRSMDSKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQQQYGSLSAACDRYQHLQHHLSQPKIVQQDFDMNLAFCNNEKKQKSEESSSVADWRSVGGKNLNRKHSLDRSLGDAKDAEASTSVPSETNSFVPENVHLPKAIEGMEYMRHPPMASSTLVELTTRLDFFKERRSQLMEQLHNLDLSHGTSSQGTAHKFSPPWNSPR >DRNTG_06631.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18906998:18908009:1 gene:DRNTG_06631 transcript:DRNTG_06631.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEYMRHPPMASSTLVELTTRLDFFKERRSQLMEQLHNLDLSHGTSSQGTAHKFSPPWNSPR >DRNTG_06631.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18906998:18907770:1 gene:DRNTG_06631 transcript:DRNTG_06631.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEYMRHPPMASSTLVELTTRLDFFKERRSQLMEQLHNLDLSHGTSSQGTAHKFSPPWNSPR >DRNTG_06631.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18906998:18907859:1 gene:DRNTG_06631 transcript:DRNTG_06631.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEYMRHPPMASSTLVELTTRLDFFKERRSQLMEQLHNLDLSHGTSSQGTAHKFSPPWNSPR >DRNTG_06631.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18896753:18906101:1 gene:DRNTG_06631 transcript:DRNTG_06631.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGQFSSSRSMDSKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQQQYGSLSAACDRYQHLQHHLSQPKIVQQDFDMNLAFCNNEKKQKSEACVVSSPSSFL >DRNTG_12873.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22896482:22897587:1 gene:DRNTG_12873 transcript:DRNTG_12873.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLATSRECRAYSLRRSRNRWEYINAALYVFAAVLLLGGSAAQFSSQDAKSGLVILLIGITTVALVNVHDLVAHLAGIDYCLSLAALDVQLLLVEFAVPLVHLLGSILFFLSILFFFIQTEKGYNYRLEKYAMSMLIVGPVFWLIGSIHDACQIYERADGQLQILQKSVQVPSLFGSLLFLVGGIFNKHESHEFKILGRSWTWLSIFGSLLFIVGGLMNVVKVFKMQQMDGLGLEKLRGGAQERLSRNREGRIPLILEENTRRKKPVVHPREETRPVPVPVPVQAPAPLPVPTPYKDVLLSNQA >DRNTG_32893.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1050529:1055067:-1 gene:DRNTG_32893 transcript:DRNTG_32893.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP:RNA uridylyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT2G45620) UniProtKB/Swiss-Prot;Acc:O64642] MNNREQSSRVVRPHGPVERGRPLMERPAMVVKGRPPGPMYRAKGPVESININERSSGKYNVDGRQDVRMEKYSRSFPPSAEVLVSHAEASGVNRSHVGSRYNAENGVLLDSAARRSDSHTEEAHEDSSEGDVEESGVFEDEFGSNQDGKSGASGPEDALANQMVDALTLSDGAAGTSAVKQAKTSRGKDFRFDSFRGHHVSSFRMRLRRRLVECRYAIDKLVPHLLSIYQSLIPPEDEKAKQKQLLLSLEKLVNKQWPKAQLHIYGSCANSFGVSNSDIDICLAIDDADLSKSDILLKLADILQSDNLQNVQALTHARVPIVKLMDPISGISCDICVNNLLAVVNTKLLRDYAKIDDRLRQLAFIVKHWAKSRRVNETYRGTLSSYAYVLMCIYFLQQRKPAILPCLQQMEATYAITVDNTTCAFFDQVERLRDFGAHNKESIAYLLWAFFHYWAYCHDYTNDVISIRTGGIISKRLKDWTRRIGNDRHLICIEDPFEIEHDLGRVVDKFSIKILREEFERAADILQFDPNPCVTLFEPYIPPSLPQEQVHPELDE >DRNTG_31437.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:707140:707554:-1 gene:DRNTG_31437 transcript:DRNTG_31437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPWQHNKLSCSLLYLFYFSPYRAHQSNPKSTSNAKLPIKQKKEEYSKDNV >DRNTG_26141.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28327568:28330247:-1 gene:DRNTG_26141 transcript:DRNTG_26141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTLLLLTLSLTSISAQNSSFSEPYDPRDVILLNCGASSADNDSDGRQWFGDSGSKYAPSLSSPGSTPSSQDSSVPRVPFLTARLFSSAFTYSFPLGAGRKFLRLYFYPSNYSSTASAAAGVFSVTASPSTVLFSNFSASQTADALNFAYIIREFSLNVSSSGLNLTFTPSPSVHNSYAFINGIEIVSSPDLFTNTPLLVAGGTPITYPIEPDWALQTMYRLNVGGQSISPVADSGLFRSWDDDSPYIYGAGIGVTTSADPNVSIHYTVSLPEYIAPDDVYSTARTMGPDPSVNQQSNLSWILTVDAGFYYLLRFHFCEIIYPFTKVNMRAFDIYVNNQTAQVGADVIAWSHGIGNPVYQDYVAIAQGSGQSDLWVELHPDSSLKPQYYDAILNGLEVFKIQSSNGSLAGANPVPLPEPDVDPSKVLIPANHGSKSNAPAIAGGVVGGLVFLGFILFFCLCCHRRRRQPPKDGKNSGASDGPSGWLPLSLYGNSHSAGSAKTTTTGSYAPSLPSNLCRHFSFAEIEAATNGFDEALLLGVGGFGKVYRGEIDGGTTKVAIKRGQPSFRTRHP >DRNTG_07598.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15147775:15149926:1 gene:DRNTG_07598 transcript:DRNTG_07598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQHYHELLLSTLLFLFISLSTQNHETLVFPCQKKIPPPSNTSPAFTKEHLSSPVKLLVDIGSGFMWVNCDDHYISSSYRPAQCNSIECSVAESLTCNTCNDAPGPNCNNNTCGLFPENSIINLSTTGDVIDDVALFRSIDGNFAKVPHFLFSCGSNNLLEGLASGVQGVAGFGSTQMAVPVQLSSVFNIHPQFALCLSSTRSSNGFIFVGNGGNYKLAPGIDVSSSLMKTLLIPNPVSTRVSFKGESSSEYFIGVSSVKIDGKAVKINTKLLEIDHEGVGGTKLSTVTPFTSMETSIYKAVTRAFIKAAEAKKMKSVAPVKPFKTCFSSESIKSTRIGPDVPIIDLVLGSEDVYWRVFGANSMVEVKEKGVVCLGLVDGGLNPRTSIVIGGHQLENNFLEFDLATSVLRFSSSLLFRQTTCANFYFGSKP >DRNTG_32154.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001793.1:13527:22863:-1 gene:DRNTG_32154 transcript:DRNTG_32154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRVHDKFAIDPRFVTPQTWCTDKQPQTHGVGPSEPTRPQNFIDLVF >DRNTG_24974.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6935091:6936442:-1 gene:DRNTG_24974 transcript:DRNTG_24974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFNILISFPTHYCVFSDASWLPDCQIGGGGLIIVNFHKSIEITGCFRITVDNSVVAELVASKMALKIAAELHFNIAMLFTDCPRII >DRNTG_03197.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000145.1:1:6823:-1 gene:DRNTG_03197 transcript:DRNTG_03197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKITSHRVEAKIQKPVKRSPEKQGKENQHESLIMT >DRNTG_05227.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000236.1:66691:71383:-1 gene:DRNTG_05227 transcript:DRNTG_05227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVGMPNTKRLASKRPRTTGPSSTPDEPVFKLSHHRERYDRLKTKPFGTLCNLEWGLVENLGIASQVREWLSKNCWDKLFATNEPTFRQLTLEVLSIFEAQQDGDSVWNRKIVTIHFQAFGSKRTMHHLDFAKYLGIYDDEFIPT >DRNTG_32641.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22120725:22122384:-1 gene:DRNTG_32641 transcript:DRNTG_32641.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROP7 [Source:Projected from Arabidopsis thaliana (AT5G45970) UniProtKB/TrEMBL;Acc:A0A178UDV3] MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLMAFSLISKASYENIHKKWVPELKHYSPNVPIVLVGTKLDLRDDLQFLSDHQSVTPISTAQGEELKRMIGAVAYIECSSKTQQNVKTVFDAAIRVVLRPPKQKRKKRKQKGCLVL >DRNTG_26575.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20200970:20203308:1 gene:DRNTG_26575 transcript:DRNTG_26575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSMAGGAENERKVDQTPTLAVALVCAVFILISIFLEKWTSSHLTGTKYWFTDNNKKPLFEALERIKSELIILGFISLLLSFGMNYISKICIPEKAANVMLSCLLRNQTLAAVQNKHHRILSAVCSSGKVVLFSSEALHQIHMFIFFLAFFHVFHCTTTMSLGRAKIQKLKEWEKETTSTNYEFSSDPLRFRFAQDTSFVKQHLSFQNRISILFYIIILAVETKLQSIVTKMAIEIQDSHSVVRGIPLMHLSGNHFWFGRPHLILFLLHFTLFQNGFQIIYFLWIWYEFGLHSCFNENFKLVIARLYFGYVTLRLHALVSPANYFRNFHVI >DRNTG_34022.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31552934:31556232:-1 gene:DRNTG_34022 transcript:DRNTG_34022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPRFLLFGDSITEQGFRNGGWAAALAETYSRKADVLVRGYGGYNTRWALFLLDELFPPNSSMQITAATIFFGANDAALSGRTSERQYVPIEEFRSNLEKIVHQMKVSFPGVLIVLITPPPIDEDGRLEFARSQNKPIELPERTNENTGIYAKEVIYLAKELHLPYIDLWSKMQETEGWQKKFLRDGLHPTQEGNAVLHEEVVRVFSEANLRADEMPLDFPHHSKIDGNAPEKAFHIVI >DRNTG_01784.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10677004:10677853:1 gene:DRNTG_01784 transcript:DRNTG_01784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRPLGFFMMTNPSAMVPRRDSKVDRPAVPTPTHTPPIEHWLRSSKIRETSPGGFSCDAKRWGGSAVPGRRRRPGGPGGAGATSHDHTGALRRTP >DRNTG_01784.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10677004:10677502:1 gene:DRNTG_01784 transcript:DRNTG_01784.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRRAGMPPSPGRPRRPRRRRRDVTRREGRAPCHLPIHSCVFDETSPLGVFYDDKSIRDGPAARFQSRPTGRPDADAYSPDRTLATVIQNP >DRNTG_01784.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10677136:10677502:1 gene:DRNTG_01784 transcript:DRNTG_01784.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRRAGMPPSPGRPRRPRRRRRDVTRREGRAPCHLPIHSCVFDETSPLGVFYDDKSIRDGPAARFQSRPTGRPDADAYSPDRTLATVIQNP >DRNTG_22818.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14881723:14882650:1 gene:DRNTG_22818 transcript:DRNTG_22818.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCYSNPPARRRCFDAEFITNRFAKSPGLLFLFGCSLLDLYDLGCMFIKLLTRKPTFNLTTTSQCDHLLHALFSTEDVSPMEIGQ >DRNTG_05764.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3457962:3458241:-1 gene:DRNTG_05764 transcript:DRNTG_05764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPSRCSQISRSRLPATSLPLQFLITQSSRKGRTSLCVFMKLAKLSLQPSKFGLQKFSKLDLQFTQVWSSNLAVNRLQSIFIKDSSNHIFQL >DRNTG_03803.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000179.1:3174:5382:-1 gene:DRNTG_03803 transcript:DRNTG_03803.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRPWGFFMMTNPSAMVPRRDSKVDRPAVPTPTHTPPIKHWLRSSKIRETSPGGFSCDAKRWGGGAGPGRRRRPGGPGGAGATSHDGTGAIRVTLTVGASFLGHWARRGKFSRANGRGGA >DRNTG_03803.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000179.1:2085:5382:-1 gene:DRNTG_03803 transcript:DRNTG_03803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKKLPSPYITCKTSPPRQPRGYKGSLRPPFFLGRSLRTLPSGLAGSGAG >DRNTG_15907.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6081602:6096325:1 gene:DRNTG_15907 transcript:DRNTG_15907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPRRTLSDYERPQFIGKEFSVQAPVVPTNNFDIKKPPRVAGLYEVNDTTALATKVDALTKSVMDNNALATKVEASTQRFDQFMLGSSVNPKAVMSFETCGVRWCPCSRKAPAKRQRHLISVLAPTMIPMSISETPHDCPCGHKGTGKGTRIARPFKDASMKKSLTAYHMDVCLAMRPQEKSVWTTVVNSTVAKHEGQESSSKRARRDCSPPVDEPRFKNEDHKTRFTLLSQKGFGTIKRIHWDVTLELDGIILELISHDGWDRLFPIEEPTYKELTLEMLSTVEVAKQCPFTHQPDPLGRESKANPKNAPVDAEKEEGSYEDKLERELTTLLEGIMTNFYTNFHGDEIGRMVSIGDGIALVYGLNEIQAGEMVEFTRGVKGIALNLENENVGHVVDVLGVPIDGKGALSDHDEDMSKLAGFDEDWLSRLRKELPGTQEYIEIFAKTIEGNVCTADESEETSYRTWKFLLSFLPDGFWAKNFTYEKLCALSKPDPRVRQSKVLAIPETPNECLVLPRIALLEVNGRLRKRYFYIFLPFGPRITHLGVKEAKDFSEQKKGSIPENDLCPKEELISPQTETKASVGFKAGVKDYKLTYYTPDYETKAVAGESSTGTWTTVWTDGLTTKALHMYGGDHIHTGSVVGKLEGEHEMTLGFVDLLHDDFIEKERSHGLFFTQDWVSMSGVMPVAFGGIHVWHMDALTEYFGDDSALQFGGGTLGHPWGNAPGAVELIE >DRNTG_27528.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21078996:21082079:1 gene:DRNTG_27528 transcript:DRNTG_27528.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGPSPACRRRPGFSSAHSSDASSRDRRRTGQELSRCRSPSPHLVPWIWNTRSRRLL >DRNTG_27528.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21078996:21082079:1 gene:DRNTG_27528 transcript:DRNTG_27528.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLANKTPHSKQSTNTIHDKYRSNCIAARIQHALMRNSLRNIIKNKISV >DRNTG_31635.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:838538:839799:1 gene:DRNTG_31635 transcript:DRNTG_31635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLFSLLLLLPLFAGAGAATCNPSDREALLAIRDALKEPFLGVFSSWTGKDCCKWYAVGCDPTTGRVADLSLRGESEDPILTRSGIMTGRISPSVCRLTALSTLILADWKQISGPIPSCIPSSLPSLRILDLIGNRLSGPLPSNIGLLRHLTVLNVADNQISGKIPRSLPFLSSLMHLDLSNNLISGSIPRNFGNLHMLSRALLARNSLRGSIPISIGSMPRLADLDLSRNHISGQIPATLGSSRVLSSLILDSNRISGNIPASILKSQGLGILNLSRNSIAGEIPDVFTARSYFTALDLSFNRLRGHVPRTLSSATYVGHLDLSHNHLCGPIPTGPPFDHLDATSFAKNDCLCGSPLQSCV >DRNTG_18955.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11137522:11138513:-1 gene:DRNTG_18955 transcript:DRNTG_18955.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BREAST CANCER SUSCEPTIBILITY 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G21070) UniProtKB/Swiss-Prot;Acc:Q8RXD4] MDSLDGIEDKEHQKYLPVGKSGEILAVGAKRKCLKKKRSNAKKKKKNANEIRISISEAASHLLPVDSLDIAKNSSSEDLENNKFLNQGKKACKKLSKESSNYNKKHTMKSGKKQAAEQPLPIIAEVKHHSIGNETSELLHASSILETENDQAGGKENDPSSAKQKAKIRSQRKNMKSSKLPVMEAPDLLPSKGDTGEAFKDEDNQEANANLLAFPNNHGENGEAIRKRKPERVKEIQQEGKMKKPRRVDHNNAKDANIMPLASMSTGDIKNCLTTTSFGPESESMSINGTKTTGAALFRTSDAIMRKCGNIPSKIQCSFCQSDNDTE >DRNTG_18955.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11139816:11141136:-1 gene:DRNTG_18955 transcript:DRNTG_18955.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BREAST CANCER SUSCEPTIBILITY 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G21070) UniProtKB/Swiss-Prot;Acc:Q8RXD4] MGTRMADLCHLEKMGRELKCPICLSLLKSSVSLPCNHVFCNLCIMESMKSVSDCPVCKVPFHRREVRPTPHMDNLVSIYKGMEVAAGVNIFTTQIGPMVKKSGML >DRNTG_18955.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11137039:11138513:-1 gene:DRNTG_18955 transcript:DRNTG_18955.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BREAST CANCER SUSCEPTIBILITY 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G21070) UniProtKB/Swiss-Prot;Acc:Q8RXD4] MDSLDGIEDKEHQKYLPVGKSGEILAVGAKRKCLKKKRSNAKKKKKNANEIRISISEAASHLLPVDSLDIAKNSSSEDLENNKFLNQGKKACKKLSKESSNYNKKHTMKSGKKQAAEQPLPIIAEVKHHSIGNETSELLHASSILETENDQAGGKENDPSSAKQKAKIRSQRKNMKSSKLPVMEAPDLLPSKGDTGEAFKDEDNQEANANLLAFPNNHGENGEAIRKRKPERVKEIQQEGKMKKPRRVDHNNAKDANIMPLASMSTGDIKNCLTTTSFGPESESMSINGTKTTGAALFRTSDAIMRKCGNIPSKIQCSFCQSDNDTEVSGEMMHYFNGKPVTADHNGALNIIHAHKNCTEWAPDVYFEDEVAINLVAEVGRSKRIKCSCCSIKGAALGCFDRSCRKSFHFTCAKLIPECRWDI >DRNTG_18955.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11135435:11141136:-1 gene:DRNTG_18955 transcript:DRNTG_18955.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BREAST CANCER SUSCEPTIBILITY 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G21070) UniProtKB/Swiss-Prot;Acc:Q8RXD4] MGTRMADLCHLEKMGRELKCPICLSLLKSSVSLPCNHVFCNLCIMESMKSVSDCPVCKVPFHRREVRPTPHMDNLVSIYKGMEVAAGVNIFTTQIGPMVKKSDNVEGSCDAAKTSIATHQKSKRYRLSKKKKELNKADAGSNSSSSMMPSFPAKKRVHVTPYPPTETPIRPQRVIKSANPSTQSEAIQIFNKNNDNHKPSDPVFSPFFWLRERNEGVDDDDSDKHTTQHTADVSPFNVLPCFSDIKDSDDEDQMKNTPLSKLDAPGLYDSEMFEWTQRACSPELCSTPLKDQICMDSLDGIEDKEHQKYLPVGKSGEILAVGAKRKCLKKKRSNAKKKKKNANEIRISISEAASHLLPVDSLDIAKNSSSEDLENNKFLNQGKKACKKLSKESSNYNKKHTMKSGKKQAAEQPLPIIAEVKHHSIGNETSELLHASSILETENDQAGGKENDPSSAKQKAKIRSQRKNMKSSKLPVMEAPDLLPSKGDTGEAFKDEDNQEANANLLAFPNNHGENGEAIRKRKPERVKEIQQEGKMKKPRRVDHNNAKDANIMPLASMSTGDIKNCLTTTSFGPESESMSINGTKTTGAALFRTSDAIMRKCGNIPSKIQCSFCQSDNDTEVSGEMMHYFNGKPVTADHNGALNIIHAHKNCTEWAPDVYFEDEVAINLVAEVGRSKRIKCSCCSIKGAALGCFDRSCRKSFHFTCAKLIPECRWDIENFVMLCPLHSSSKLPIEVSEPQKKCRKGTAPREPQTLSRSNYESTGLKWKWPSGSPFKWVLCCSALTNDEKETVSKFAKLVGASVLKSWTPTVTHVIASTDENGASRRTLKFLMAIIHGKWILSINWIKDCMEAMEPVDEEKYEIKADVNGIRDGPRLGRVRAISKKQKLFDSMKFYLNGAFTASYKGYLQELINAAGGVVLQRKPISRDKERLLDGSHLQVTYIIYSSEISDMHQSNRESVISSRRDEAQVLADATGAKVASNTWIIDSIAACELQKLN >DRNTG_18955.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11135435:11136401:-1 gene:DRNTG_18955 transcript:DRNTG_18955.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BREAST CANCER SUSCEPTIBILITY 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G21070) UniProtKB/Swiss-Prot;Acc:Q8RXD4] MAIIHGKWILSINWIKDCMEAMEPVDEEKYEIKADVNGIRDGPRLGRVRAISKKQKLFDSMKFYLNGAFTASYKGYLQELINAAGGVVLQRKPISRDKERLLDGSHLQVTYIIYSSEISDMHQSNRESVISSRRDEAQVLADATGAKVASNTWIIDSIAACELQKLN >DRNTG_18955.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11135435:11135937:-1 gene:DRNTG_18955 transcript:DRNTG_18955.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BREAST CANCER SUSCEPTIBILITY 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G21070) UniProtKB/Swiss-Prot;Acc:Q8RXD4] MKFYLNGAFTASYKGYLQELINAAGGVVLQRKPISRDKERLLDGSHLQVTYIIYSSEISDMHQSNRESVISSRRDEAQVLADATGAKVASNTWIIDSIAACELQKLN >DRNTG_26822.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11320853:11323807:-1 gene:DRNTG_26822 transcript:DRNTG_26822.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVLVEFFAPWCGYCQVLTPTWERAATVLKGVVTVAALDADAHKSLAQEYGIKGFPTIKVFSPRKPPVDYQGARDVKAIAEFAY >DRNTG_26822.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11320853:11323404:-1 gene:DRNTG_26822 transcript:DRNTG_26822.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVLVEFFAPWCGYCQVLTPTWERAATVLKGVVTVAALDADAHKSLAQEYGIKGFPTIKVFSPRKPPVDYQGARDVKAIAEFAY >DRNTG_07480.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:16974203:16981706:1 gene:DRNTG_07480 transcript:DRNTG_07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDAQEGLPTSEDGGAWYILRFLPVLKSNVVSTTLKCNKFFYYGVVKADHPLGDHLKLEMKTLGQASSSKTYIRREKDVFDIETTIKEWVFESFNKKWKEFKCQLKRTHYNPFKGDKVGAKRNHPVELEQLEWDWCRFSGK >DRNTG_22905.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:6764153:6765733:1 gene:DRNTG_22905 transcript:DRNTG_22905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSIFQQILMNFSRIPQRASSEKITSDRHLQGSSLEGRERIKSDFCRFTRAYGNSTRSCGFPIPALYKAVTCIDLEILFSIFSPSFPQLWRGLRLGFRGALARFLEWFYGHRHRVPLEESYWGSFRRHRSG >DRNTG_10653.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:352550:354806:-1 gene:DRNTG_10653 transcript:DRNTG_10653.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPREDQLAGKSARKICLREAVSKGIVNNETLGYFIGRVFRFLARLGIDEDRLRFRQHLPNEMAHYAADCWDAEIESSFGWIECVGIADRSAYDLRGHTDKSGVPLVAHEKFSEPREVEKLVITPSKKDLGLAFEGNQKMVVGALEAMSEKEALEMKATLESKGEAEFLVCTLGKTVVITKNMVSIRMEKKKEHQRVFTPSVIEPSFGIGRIIYCLYEHSFHRRPCKSKDEQLNVFRFPPVVAPIKCTVFPLIKNPEFDDVARSIAETLTKAGISNIIDITGTSIGKRYARTDEIGVPFAVTVDSTTSVTIRERDSRQQIRVNIKEVVSVVKELIEGQSTWTALVRAYTQT >DRNTG_10653.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:352550:356512:-1 gene:DRNTG_10653 transcript:DRNTG_10653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSASVEAQVEAVRSIKASPGASQFEMDMAVESPKGLKLEVAARQAEVNRKEDGATSELINDALRQAVVNILERRLFIIPSFKIYRGVAGLYDYGPPGCAVKSNVLTLWRQHFVMEENMLEVDCPCITPEVVLKASGHVDKFTDLMVNDEKTGTCYRADHLLKDYCKEKLEKDLTLTPAKVAELKHVIAILDDLSAEELGAKLKEYGITAPDTKNPLSDPYPFNLMFRTSIGPTGLSTGFMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPDDKSHPKFANVAELEFFMFPREDQLAGKSARKICLREAVSKGIVNNETLGYFIGRVFRFLARLGIDEDRLRFRQHLPNEMAHYAADCWDAEIESSFGWIECVGIADRSAYDLRGHTDKSGVPLVAHEKFSEPREVEKLVITPSKKDLGLAFEGNQKMVVGALEAMSEKEALEMKATLESKGEAEFLVCTLGKTVVITKNMVSIRMEKKKEHQRVFTPSVIEPSFGIGRIIYCLYEHSFHRRPCKSKDEQLNVFRFPPVVAPIKCTVFPLIKNPEFDDVARSIAETLTKAGISNIIDITGTSIGKRYARTDEIGVPFAVTVDSTTSVTIRERDSRQQIRVNIKEVVSVVKELIEGQSTWTALVRAYTQT >DRNTG_04978.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4079417:4081023:1 gene:DRNTG_04978 transcript:DRNTG_04978.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKRKISLHVMNNNGKRRSLHCQS >DRNTG_04978.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4078201:4078869:1 gene:DRNTG_04978 transcript:DRNTG_04978.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAVKGSTKRRVKEPQTLRSEKRRCDDDDDAFLHLDLSSDIKGIISALQQIREKAQKDDRKKSEETIGSVALEIRSMIDDAKSRIEKER >DRNTG_04978.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4078201:4081023:1 gene:DRNTG_04978 transcript:DRNTG_04978.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAVKGSTKRRVKEPQTLRSEKRRCDDDDDAFLHLDLSSDIKGIISALQQIREKAQKDDRKKSEETIGSVALEIRSMIDDAKSRIEKERHAFLKALLKSSKECENTLKNEHAKFQADQEKFFKEKAVHLQTLKEIFSKYEDEKENLFARYEQQRKKEKSTLSELEKKCAQKISTAEESLKKKKQHSP >DRNTG_28379.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1189602:1190715:-1 gene:DRNTG_28379 transcript:DRNTG_28379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSCSQEGYYFAIPYTCVERSTMPNSIHVPEEKLNNFSTFHSYSIAMSSRDFDKVWRRGLCGFGGISSPTLIDSSSVIASRKPPMKLSIKAISSYIRGFISMVSNVLSFIDGVFCMLLHGE >DRNTG_33137.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10532015:10532403:-1 gene:DRNTG_33137 transcript:DRNTG_33137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRSLKSWLKSALNRAGIRHPHAPVDSPHRLNRATPRAWTIHAP >DRNTG_30000.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6509424:6517388:1 gene:DRNTG_30000 transcript:DRNTG_30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRESLIFNGLNDVMKAVSLLNPEIINLPLIQAFQTVIESLGKYNIMVILDNHG >DRNTG_21297.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27384115:27387109:1 gene:DRNTG_21297 transcript:DRNTG_21297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIWARSPPIYSGRLLIWSPRLPSTHDVVSQNFCEIPLGTVCVTDLQFKGRGRSKNVWESPPGCVMFSFTLEMEDGRKLPLLQYVVSLAITEAIKDVCRMQGLPELDVRIKWPNDLYLNGLKVGGILCTSTYRSKKFHVCAGVGLNLDNEKPTTCLNAALKEMISDSGQIKREDLVAAFFNKFENLFEVFSGQGFQALEELYYQTWLHSGQKVVIEEKNNGCVVNIAVIIQGLTPSGYLLATDEENKEFELHPDGNSFDFFKGLVRRKLE >DRNTG_17468.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6936840:6937128:-1 gene:DRNTG_17468 transcript:DRNTG_17468.2 gene_biotype:protein_coding transcript_biotype:protein_coding YFLGFSQGLHLFQLRLHPFSKKSDNTLIARASNTSISATRSRNPTTTPSLEPPTPPPPPRSCMWIISSYIHIFFLFRFC >DRNTG_17468.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6931665:6937128:-1 gene:DRNTG_17468 transcript:DRNTG_17468.1 gene_biotype:protein_coding transcript_biotype:protein_coding YFLGFSQGLHLFQLRLHPFSKKSDNTLIARASNTSISATRSRNPTTTPSLEPPTPPPPPRSLKVLQLILGFFVMQYLEDMG >DRNTG_01657.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23957551:23959361:1 gene:DRNTG_01657 transcript:DRNTG_01657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLEAAYGRRAVLLMVLFLGSVSFSCCIAAEFKKAKAKEMRLDGSLCALRQSPALGLGITALVCLSIAQMIGTSMAAARICPKHRKSGGLTAPGTTNHMLAIGFLLLSWVSFGLAAILLGAGSSMNARQRYGKGWLDGKCYVVKDGVYVGAGVLVLATTLSILALMHTTKMISKSLPLHHHHHHHHHHHTTATLGDSNKTTSTAPA >DRNTG_16254.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9601126:9602814:-1 gene:DRNTG_16254 transcript:DRNTG_16254.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISTLILEVDLSCSKCHRKIKELLCKLKDQENIKEINYDVKNNKVTISGPFDPHTLTRTLYCKACKIIKKITSPPPPTTHKPAVPKPTKPEFPPGPPCCAKPTYEWLYGVFKCASCGMVYAWTNQCPPPTIKKCHPGPGCCMGVTACGSGTNYQCLPPPAAKENGTDHHENNNNNNNNNKTPVFDPCRPPEQVCCPRPCYVGMHGGTMCVSCGLKCPWINHQVSVPQPQPHHVYGYGYVLYYFICEDITPLCTIM >DRNTG_32670.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:2504875:2510255:-1 gene:DRNTG_32670 transcript:DRNTG_32670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSKLLSLQISLSHPKKTHGRVEFPQARGCLLRAHPEMAQGRATAPVNDHATVIRPWVIFARACEFLQSWVNYPERTQGRGLAPVSNLVNHTRVWVISAHPCETLQRSPLYPVKTQGHVTAPMIMATKSKKAVAKRPRKPAHETEVIEFTLPVHQAWFEQLEKLKFGQMRIPNVRLLRKVHLGDDMADEVEKLLLVGNWHKLLNIHDPSIRKLNLEFRAFGQHHSMSVTQFSTRLGLYDEGYTETEVYRYLQAIISRSVNGRGDSTGVINKQELLYLYSMVRNEPVNLGHILAEYLKHQG >DRNTG_09081.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5968786:5972367:1 gene:DRNTG_09081 transcript:DRNTG_09081.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANLFPIYASRFPSPYGDATSGSRSLSRSCSRSPDFSDVENPENNLYVRGLSSHVTKDELEKYFATEGKVIDVCLVVDPWLRESLGFGFVTME >DRNTG_09081.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5968694:5970534:1 gene:DRNTG_09081 transcript:DRNTG_09081.5 gene_biotype:protein_coding transcript_biotype:protein_coding MANLFPIYASRFPSPYGDATSGSRSLSRSCSR >DRNTG_09081.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5968786:5972367:1 gene:DRNTG_09081 transcript:DRNTG_09081.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANLFPIYASRFPSPYGDATSGSRSLSRSCSRSPDFSDVENPENNLYVRGLSSHVTKDELEKYFATEGKVIDVCLVVDPWLRESLGFGFVTME >DRNTG_09081.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5968786:5972367:1 gene:DRNTG_09081 transcript:DRNTG_09081.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLFPIYASRFPSPYGDATSGSRSLSRSCSRSPDFSDVENPENNLYVRGLSSHVTKDELEKYFATEGKVIDVCLVVDPWLRESLGFGFVTME >DRNTG_09081.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5968786:5972367:1 gene:DRNTG_09081 transcript:DRNTG_09081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLFPIYASRFPSPYGDATSGSRSLSRSCSRSPDFSDVENPENNLYVRGLSSHVTKDELEKYFATEGKVIDVCLVVDPWLRESLGFGFVTME >DRNTG_16432.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7617733:7621007:-1 gene:DRNTG_16432 transcript:DRNTG_16432.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTASKSLSEQQLRQPDNQVLSATDGKPLHLQAPDSSSSEPNTTNSLHSSSDGTLSFEEHTDQGKKLLEQDTVKDSSALAKASDGTSSLRKTTDRADLVESGKSSLCRASTSSDVSDESTCSSLSSSINRPHKANDSRWEAIQTIRTKDGVLGLNHFRLLRRLGCGDIGSVYLSELSGTKAYFAMKVMDKGSLAGRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHSLRQRQPGKYFSEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVRDDGHIMLSDFDLSLRCDVSPTLIKSSNSEADSLRKNPAYCVQPSCIQPSCIQPSCVAPTTCFSPRFFSSKSKKDRKQKPEVVNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFAARDLIRGLLIKEPQQRLAYKRGATEIKQHAFFEGVNWALIRCATPPEIPKHVELDKSPVPTSSTSGKAKQNAMQKGGDNYLEFDFF >DRNTG_16432.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7617812:7620825:-1 gene:DRNTG_16432 transcript:DRNTG_16432.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTASKSLSEQQLRQPDNQVLSATDGKPLHLQAPDSSSSEPNTTNSLHSSSDGTLSFEEHTDQGKKLLEQDTVKDSSALAKASDGTSSLRKTTDRADLVESGKSSLCRASTSSDVSDESTCSSLSSSINRPHKANDSRWEAIQTIRTKDGVLGLNHFRLLRRLGCGDIGSVYLSELSGTKAYFAMKVMDKGSLAGRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHSLRQRQPGKYFSEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVRDDGHIMLSDFDLSLRCDVSPTLIKSSNSEADSLRKNPAYCVQPSCIQPSCIQPSCVAPTTCFSPRFFSSKSKKDRKQKPEVVNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFAARDLIRGLLIKEPQQRLAYKRGATEIKQHAFFEGVNWALIRCATPPEIPKHVELDKSPVPTSSTSGKAKQNAMQKGGDNYLEFDFF >DRNTG_16432.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7617733:7620871:-1 gene:DRNTG_16432 transcript:DRNTG_16432.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTASKSLSEQQLRQPDNQVLSATDGKPLHLQAPDSSSSEPNTTNSLHSSSDGTLSFEEHTDQGKKLLEQDTVKDSSALAKASDGTSSLRKTTDRADLVESGKSSLCRASTSSDVSDESTCSSLSSSINRPHKANDSRWEAIQTIRTKDGVLGLNHFRLLRRLGCGDIGSVYLSELSGTKAYFAMKVMDKGSLAGRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHSLRQRQPGKYFSEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVRDDGHIMLSDFDLSLRCDVSPTLIKSSNSEADSLRKNPAYCVQPSCIQPSCIQPSCVAPTTCFSPRFFSSKSKKDRKQKPEVVNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFAARDLIRGLLIKEPQQRLAYKRGATEIKQHAFFEGVNWALIRCATPPEIPKHVELDKSPVPTSSTSGKAKQNAMQKGGDNYLEFDFF >DRNTG_16432.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7617812:7620761:-1 gene:DRNTG_16432 transcript:DRNTG_16432.9 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTASKSLSEQQLRQPDNQVLSATDGKPLHLQAPDSSSSEPNTTNSLHSSSDGTLSFEEHTDQGKKLLEQDTVKDSSALAKASDGTSSLRKTTDRADLVESGKSSLCRASTSSDVSDESTCSSLSSSINRPHKANDSRWEAIQTIRTKDGVLGLNHFRLLRRLGCGDIGSVYLSELSGTKAYFAMKVMDKGSLAGRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHSLRQRQPGKYFSEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVRDDGHIMLSDFDLSLRCDVSPTLIKSSNSEADSLRKNPAYCVQPSCIQPSCIQPSCVAPTTCFSPRFFSSKSKKDRKQKPEVVNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFAARDLIRGLLIKEPQQRLAYKRGATEIKQHAFFEGVNWALIRCATPPEIPKHVELDKSPVPTSSTSGKAKQNAMQKGGDNYLEFDFF >DRNTG_16432.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7617812:7621418:-1 gene:DRNTG_16432 transcript:DRNTG_16432.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTASKSLSEQQLRQPDNQVLSATDGKPLHLQAPDSSSSEPNTTNSLHSSSDGTLSFEEHTDQGKKLLEQDTVKDSSALAKASDGTSSLRKTTDRADLVESGKSSLCRASTSSDVSDESTCSSLSSSINRPHKANDSRWEAIQTIRTKDGVLGLNHFRLLRRLGCGDIGSVYLSELSGTKAYFAMKVMDKGSLAGRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHSLRQRQPGKYFSEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVRDDGHIMLSDFDLSLRCDVSPTLIKSSNSEADSLRKNPAYCVQPSCIQPSCIQPSCVAPTTCFSPRFFSSKSKKDRKQKPEVVNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFAARDLIRGLLIKEPQQRLAYKRGATEIKQHAFFEGVNWALIRCATPPEIPKHVELDKSPVPTSSTSGKAKQNAMQKGGDNYLEFDFF >DRNTG_16432.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7617812:7621007:-1 gene:DRNTG_16432 transcript:DRNTG_16432.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTASKSLSEQQLRQPDNQVLSATDGKPLHLQAPDSSSSEPNTTNSLHSSSDGTLSFEEHTDQGKKLLEQDTVKDSSALAKASDGTSSLRKTTDRADLVESGKSSLCRASTSSDVSDESTCSSLSSSINRPHKANDSRWEAIQTIRTKDGVLGLNHFRLLRRLGCGDIGSVYLSELSGTKAYFAMKVMDKGSLAGRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHSLRQRQPGKYFSEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVRDDGHIMLSDFDLSLRCDVSPTLIKSSNSEADSLRKNPAYCVQPSCIQPSCIQPSCVAPTTCFSPRFFSSKSKKDRKQKPEVVNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFAARDLIRGLLIKEPQQRLAYKRGATEIKQHAFFEGVNWALIRCATPPEIPKHVELDKSPVPTSSTSGKAKQNAMQKGGDNYLEFDFF >DRNTG_16432.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7617733:7620825:-1 gene:DRNTG_16432 transcript:DRNTG_16432.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTASKSLSEQQLRQPDNQVLSATDGKPLHLQAPDSSSSEPNTTNSLHSSSDGTLSFEEHTDQGKKLLEQDTVKDSSALAKASDGTSSLRKTTDRADLVESGKSSLCRASTSSDVSDESTCSSLSSSINRPHKANDSRWEAIQTIRTKDGVLGLNHFRLLRRLGCGDIGSVYLSELSGTKAYFAMKVMDKGSLAGRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHSLRQRQPGKYFSEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVRDDGHIMLSDFDLSLRCDVSPTLIKSSNSEADSLRKNPAYCVQPSCIQPSCIQPSCVAPTTCFSPRFFSSKSKKDRKQKPEVVNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFAARDLIRGLLIKEPQQRLAYKRGATEIKQHAFFEGVNWALIRCATPPEIPKHVELDKSPVPTSSTSGKAKQNAMQKGGDNYLEFDFF >DRNTG_16432.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7617812:7620761:-1 gene:DRNTG_16432 transcript:DRNTG_16432.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTASKSLSEQQLRQPDNQVLSATDGKPLHLQAPDSSSSEPNTTNSLHSSSDGTLSFEEHTDQGKKLLEQDTVKDSSALAKASDGTSSLRKTTDRADLVESGKSSLCRASTSSDVSDESTCSSLSSSINRPHKANDSRWEAIQTIRTKDGVLGLNHFRLLRRLGCGDIGSVYLSELSGTKAYFAMKVMDKGSLAGRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHSLRQRQPGKYFSEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVRDDGHIMLSDFDLSLRCDVSPTLIKSSNSEADSLRKNPAYCVQPSCIQPSCIQPSCVAPTTCFSPRFFSSKSKKDRKQKPEVVNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFAARDLIRGLLIKEPQQRLAYKRGATEIKQHAFFEGVNWALIRCATPPEIPKHVELDKSPVPTSSTSGKAKQNAMQKGGDNYLEFDFF >DRNTG_16432.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7617733:7621418:-1 gene:DRNTG_16432 transcript:DRNTG_16432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTASKSLSEQQLRQPDNQVLSATDGKPLHLQAPDSSSSEPNTTNSLHSSSDGTLSFEEHTDQGKKLLEQDTVKDSSALAKASDGTSSLRKTTDRADLVESGKSSLCRASTSSDVSDESTCSSLSSSINRPHKANDSRWEAIQTIRTKDGVLGLNHFRLLRRLGCGDIGSVYLSELSGTKAYFAMKVMDKGSLAGRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHSLRQRQPGKYFSEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVRDDGHIMLSDFDLSLRCDVSPTLIKSSNSEADSLRKNPAYCVQPSCIQPSCIQPSCVAPTTCFSPRFFSSKSKKDRKQKPEVVNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFAARDLIRGLLIKEPQQRLAYKRGATEIKQHAFFEGVNWALIRCATPPEIPKHVELDKSPVPTSSTSGKAKQNAMQKGGDNYLEFDFF >DRNTG_00602.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22361493:22363989:1 gene:DRNTG_00602 transcript:DRNTG_00602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEDQLLRPVIQSRNQAVQRIKESQQQFIFVASLLDRIPNIAGLARTCEVFKAAGLAVSDASIIHDKQFQLISVTAEKWVPIIEVPCVQLEGF >DRNTG_32400.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17606359:17611570:1 gene:DRNTG_32400 transcript:DRNTG_32400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQNPFLAHGIITANPLNVEHIIKTKFVNYPKGPFATTLLNDLLGQSITSSDGEQWKHQRKAGSMEFSKKSLKDAVVDTVQWGIENRLLPLLRRAEVRDEILDLQDILECFGFDNICKLALNEDPACLSIKKEDEEKKIMSKKAKKAFGVAQRLTLVRANDWFPYTWQTMKLLDIGYEKTLRKSVAVVHDYAMKMILKRKELKLNSDFDILSHFESEKETNDGFLRDVLIGYALTGREMVSSALSWFFWLLSSAPEVEEKILKEVEEVKAKQGYEKLREMQYLHAALTESMRLYPPVAMNTVDCMEDDMLPDGTFVGKGWFVSYNTYAMGRLKELWGEDCDVFRPERWLKDGIFRPESPFKYPIFHAGPRTCLGKEVAYIQMKAVVARVLEEFKVETLVEKVRVPDHEFTLTLRMTDGLPVQVRRRE >DRNTG_32653.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21987416:21989785:-1 gene:DRNTG_32653 transcript:DRNTG_32653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDAVVAEVPALGEPMDLMTALQLVLKKSLAHDGLVRGLHEGAKAIEKHAAQLCLLAEDCNQPDYVKLVKALCADHNVHLITVPSAKTLGEWAGLCKIDSEGKARKVVGSSCVVIKDYGEESEGLHIVQEYVKSH >DRNTG_24956.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16248960:16253288:1 gene:DRNTG_24956 transcript:DRNTG_24956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYESCTFALNIADPNSFEDAVKHPGWRAAMEEELNSIQKNGTWELTTIPPGRKLIGLKWVFRTKYGADGEVLKLKARLVAKGYVQEYGVDFEEVFSPVARMETVRLLLAIAAQQNWPVFHLDVKSAFLNGEITEEVYVEQPLGYKVRGKEEMVYRLRKALYGLKQAPRAWYSKVDGFFLHLGFVRSLNEHTLYTKRDDHGHIMLVCIYVDDVLCMGSSIDLVKDFQASMKKKFEMSDLGLLSYFLGLEVKQGQRGTFITQRKYIQDLLKDYNMTGCKSVATPLNSNEKLKAEDSSEAMDARIFRCLVGKLMYITHTRPDISYAVGILSRFMNNPTKHHFGACKRVLRYLAGSLQLGLLYTHTKGCTLEGYSDSDWGGSIEDRKSTSGIVFTIGSAAISWNSKKQDITALSTTEAEYIAAAAAACQCVWLRRLLTDCGQTQKNASIIWCDNQSTINIAKNPTLHGRTKHIDLRYHFIRDLVTDEIILLNYCTTDEQRADILTKSLPTWKHEHLRASLGLCTLSSWEGVEAKD >DRNTG_15423.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17849384:17852796:1 gene:DRNTG_15423 transcript:DRNTG_15423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAVPQRTSRSGAGRPQSLFYRDLASPISFNRSGAGGRLATPGQAAAASSLWRENFAAESEPPPPPVFTLEDRVDFSPEPVLAESPATPRTPTPPPSGSPFRSQAEPSGSPGKTGWWSSLKSDGVERRQGSPVDGVVQPAAAGALLALPPPPPPQPQEVVRPDVEMSDGLPTRGLDEEWITVFGFSPVDTNVVLREFEKCGLILKHIPGPRGSNWMHVLYQRGYDAHKALKKDGIQINNVLIVGVKPVDPAERHSLNEKLKNSTNGGFNILRPPQPATGKSSTVRSPFTATPHHRYQQNGNTVSIDSGRQAMGAIALPAKSVVLKVIDLMFGI >DRNTG_11977.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20135682:20137079:1 gene:DRNTG_11977 transcript:DRNTG_11977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQKKKTRSAFEERAIRMGASDTQVAYGGHSTSSCSSFPELVSVNADKDIFVRANRLVDAIALESLARRQDKRPHLLCALDVVLLLPAQREHAFLDTVEALPYPARLQHPPYHDNDSPRITTVLRLRQPLDCGGSSDRGSPPMALGNDVTATLMQACQILMTEFPRVVARVEALEGQSQSTTTSLQTNEAPGTDEASEFDDGDFIGMAIFEETCKKEENNKASVSTIG >DRNTG_15323.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4894698:4900336:1 gene:DRNTG_15323 transcript:DRNTG_15323.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial phosphate carrier protein 3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G14040) UniProtKB/Swiss-Prot;Acc:Q9FMU6] MALSERRQPLVPSFLYSPSTQTPGLDRLLLGRNPISAPSTQLNGSPAPSVFAGAPSEPPFGKIELYSPKFYTACTFGGILSCGLTHMAVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGVRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAAKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLGDGLPKFVKSEGALGLYKGIVPLWGRQIPYTMMKFASFETIVEMLYKYAIPTPKDQCSKSLQLGISFAGGYVAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVFVGLPTTGGVTPAPAVAAPAELAKV >DRNTG_06591.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15270879:15271144:1 gene:DRNTG_06591 transcript:DRNTG_06591.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGSDIVIPLGRRLVGELRSRRILYGTKESLGDEY >DRNTG_17386.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:9067503:9076402:-1 gene:DRNTG_17386 transcript:DRNTG_17386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLSCFSSFLCHPLRLRPSSIFRLGFPSKAPPHFYATSSVVALEGSGIAPHPWPEWERFLDKLKSKGYFDKTLPAGEGEDGVAAASDEASMELHHVKAACLSFARERFDIFKSLSKEDINTIVEFGCPNLFRKTVNSAKRLRAHLRIDEGDVCSACSLRGSCDRAYIISKEDEAARTVDVVRILLSYAANPIAVSGREKPSENKVEQSARNLLLELFKLSDTIPDPSLPRPAILPSTRKDPATPKESSWNINTKLVGAQNNEMKKGDWLCPNCNFLNFARNIRCLQCKEDGPKSANEGRVGMKLGDWTCPACNYLNFARNVRCLQCKEDGPKKANQSRVEMKLGDWTCPECKFMNFSRNKKCFRCQGQRPKRELQPGEWECPSCDFINFRRNDVCLKCNRDRPKDDLNSVGHLWRRPNNITED >DRNTG_14039.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27501451:27510100:-1 gene:DRNTG_14039 transcript:DRNTG_14039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVKAVPGTDSCFASLPLSLIYALQSTAAGPLPPVLALELRSRTGDFWNIAWSGSASRSNAIEVDQKLAECISLPDGTKVQVKAVANLPIAGEVFIEPSAEDDWEILELNSEHAEEAILKQVGIVHEGMKFPLWLHGHVVVVFRVTSTSPKQSVVQLVPGTEVVVAPKMRKERVVGFEESHNQELLGEQVMSKALLRLQAENRMHIHWFEFNGVELGVVLTSVAFIHPETASRLSFDNLQLVTIVPRLPANETTKKGKDNAQKRGSYSPNTEENKGLSASSNKRYQQIVVRILCSELVTKDHLMLPQSLRLFLKAKLHSWVYIKKYSTSPKKDMPSMRISPFWFKLSEENNSTGNEALDVGGQSPRADFDANVADWSRHDEILVSIHDAFISNRKENKENASLYHTAQDRTFLIEAWLKGQVMAIGSHVGGMEIHSVVLTKETLFHFKVKNHRKNKSVLSDDLIKRSTSRESVSELLFLLTATLDEASPYALPCTYELTLRVATGDALHSWKPEDGKLEMGEPVFHECVRESSIRRDHMLTVSSLTWMEAAISDVINRLFVLLSPSSRKYQSTFGLPIPGHVLICGPSGSGKTSLATAVAKYFEEHDAILAHIVFVSCSKLALERSQTIQQAITHSVSEALVHAPSVLIFDDLDRIISLSSEAEGAQLNSSVTTLMKLFTDIMDEYAEKTLSSCAYGPIAFIASVQSLGNLPQALSSSGRLDFHVQLSAPKASKCGAILKQEICKHALHCSEGILTEIGSKCDGYDAYDLEILVGRAMSAAASHLRSNSSVDDKHGTITLVKEDFVQAMNGFVPVTMRGLTKSDYEGGRRGWSDVGGLREIRKAIEEMVELPSKFPDIFANAPLRLRSNLLLYGPPGCGKTHIVGAAAAACSLRFISIKGPELLNKYIGASEK >DRNTG_14039.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27501451:27508624:-1 gene:DRNTG_14039 transcript:DRNTG_14039.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSSLSSFRWFLVQLVPGTEVVVAPKMRKERVVGFEESHNQELLGEQVMSKALLRLQAENRMHIHWFEFNGVELGVVLTSVAFIHPETASRLSFDNLQLVTIVPRLPANETTKKGKDNAQKRGSYSPNTEENKGLSASSNKRYQQIVVRILCSELVTKDHLMLPQSLRLFLKAKLHSWVYIKKYSTSPKKDMPSMRISPFWFKLSEENNSTGNEALDVGGQSPRADFDANVADWSRHDEILVSIHDAFISNRKENKENASLYHTAQDRTFLIEAWLKGQVMAIGSHVGGMEIHSVVLTKETLFHFKVKNHRKNKSVLSDDLIKRSTSRESVSELLFLLTATLDEASPYALPCTYELTLRVATGDALHSWKPEDGKLEMGEPVFHECVRESSIRRDHMLTVSSLTWMEAAISDVINRLFVLLSPSSRKYQSTFGLPIPGHVLICGPSGSGKTSLATAVAKYFEEHDAILAHIVFVSCSKLALERSQTIQQAITHSVSEALVHAPSVLIFDDLDRIISLSSEAEGAQLNSSVTTLMKLFTDIMDEYAEKTLSSCAYGPIAFIASVQSLGNLPQALSSSGRLDFHVQLSAPKASKCGAILKQEICKHALHCSEGILTEIGSKCDGYDAYDLEILVGRAMSAAASHLRSNSSVDDKHGTITLVKEDFVQAMNGFVPVTMRGLTKSDYEGGRRGWSDVGGLREIRKAIEEMVELPSKFPDIFANAPLRLRSNLLLYGPPGCGKTHIVGAAAAACSLRFISIKGPELLNKYIGASEK >DRNTG_14039.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27509054:27510100:-1 gene:DRNTG_14039 transcript:DRNTG_14039.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVKAVPGTDSCFASLPLSLIYALQSTAAGPLPPVLALELRSRTGDFWNIAWSGSASRSNAIEVDQKLAECISLPDGTKVQVKAVANLPIAGEVFIEPSAEDDWEILELNSEHAEEAILKQ >DRNTG_14039.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27508671:27510100:-1 gene:DRNTG_14039 transcript:DRNTG_14039.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVKAVPGTDSCFASLPLSLIYALQSTAAGPLPPVLALELRSRTGDFWNIAWSGSASRSNAIEVDQKLAECISLPDGTKVQVKAVANLPIAGEVFIEPSAEDDWEILELNSEHAEEAILKQVGIVHEGMKFPLWLHGHVVVVFRVTSTSPKQSVGKRNYL >DRNTG_14039.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27501451:27510100:-1 gene:DRNTG_14039 transcript:DRNTG_14039.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSSLSSFRWFLVQLVPGTEVVVAPKMRKERVVGFEESHNQELLGEQVMSKALLRLQAENRMHIHWFEFNGVELGVVLTSVAFIHPETASRLSFDNLQLVTIVPRLPANETTKKGKDNAQKRGSYSPNTEENKGLSASSNKRYQQIVVRILCSELVTKDHLMLPQSLRLFLKAKLHSWVYIKKYSTSPKKDMPSMRISPFWFKLSEENNSTGNEALDVGGQSPRADFDANVADWSRHDEILVSIHDAFISNRKENKENASLYHTAQDRTFLIEAWLKGQVMAIGSHVGGMEIHSVVLTKETLFHFKVKNHRKNKSVLSDDLIKRSTSRESVSELLFLLTATLDEASPYALPCTYELTLRVATGDALHSWKPEDGKLEMGEPVFHECVRESSIRRDHMLTVSSLTWMEAAISDVINRLFVLLSPSSRKYQSTFGLPIPGHVLICGPSGSGKTSLATAVAKYFEEHDAILAHIVFVSCSKLALERSQTIQQAITHSVSEALVHAPSVLIFDDLDRIISLSSEAEGAQLNSSVTTLMKLFTDIMDEYAEKTLSSCAYGPIAFIASVQSLGNLPQALSSSGRLDFHVQLSAPKASKCGAILKQEICKHALHCSEGILTEIGSKCDGYDAYDLEILVGRAMSAAASHLRSNSSVDDKHGTITLVKEDFVQAMNGFVPVTMRGLTKSDYEGGRRGWSDVGGLREIRKAIEEMVELPSKFPDIFANAPLRLRSNLLLYGPPGCGKTHIVGAAAAACSLRFISIKGPELLNKYIGASEK >DRNTG_14039.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27501451:27508955:-1 gene:DRNTG_14039 transcript:DRNTG_14039.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSSLSSFRWFLVQLVPGTEVVVAPKMRKERVVGFEESHNQELLGEQVMSKALLRLQAENRMHIHWFEFNGVELGVVLTSVAFIHPETASRLSFDNLQLVTIVPRLPANETTKKGKDNAQKRGSYSPNTEENKGLSASSNKRYQQIVVRILCSELVTKDHLMLPQSLRLFLKAKLHSWVYIKKYSTSPKKDMPSMRISPFWFKLSEENNSTGNEALDVGGQSPRADFDANVADWSRHDEILVSIHDAFISNRKENKENASLYHTAQDRTFLIEAWLKGQVMAIGSHVGGMEIHSVVLTKETLFHFKVKNHRKNKSVLSDDLIKRSTSRESVSELLFLLTATLDEASPYALPCTYELTLRVATGDALHSWKPEDGKLEMGEPVFHECVRESSIRRDHMLTVSSLTWMEAAISDVINRLFVLLSPSSRKYQSTFGLPIPGHVLICGPSGSGKTSLATAVAKYFEEHDAILAHIVFVSCSKLALERSQTIQQAITHSVSEALVHAPSVLIFDDLDRIISLSSEAEGAQLNSSVTTLMKLFTDIMDEYAEKTLSSCAYGPIAFIASVQSLGNLPQALSSSGRLDFHVQLSAPKASKCGAILKQEICKHALHCSEGILTEIGSKCDGYDAYDLEILVGRAMSAAASHLRSNSSVDDKHGTITLVKEDFVQAMNGFVPVTMRGLTKSDYEGGRRGWSDVGGLREIRKAIEEMVELPSKFPDIFANAPLRLRSNLLLYGPPGCGKTHIVGAAAAACSLRFISIKGPELLNKYIGASEK >DRNTG_14039.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27501451:27508955:-1 gene:DRNTG_14039 transcript:DRNTG_14039.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPLWLHGHVVVVFRVTSTSPKQSVVQLVPGTEVVVAPKMRKERVVGFEESHNQELLGEQVMSKALLRLQAENRMHIHWFEFNGVELGVVLTSVAFIHPETASRLSFDNLQLVTIVPRLPANETTKKGKDNAQKRGSYSPNTEENKGLSASSNKRYQQIVVRILCSELVTKDHLMLPQSLRLFLKAKLHSWVYIKKYSTSPKKDMPSMRISPFWFKLSEENNSTGNEALDVGGQSPRADFDANVADWSRHDEILVSIHDAFISNRKENKENASLYHTAQDRTFLIEAWLKGQVMAIGSHVGGMEIHSVVLTKETLFHFKVKNHRKNKSVLSDDLIKRSTSRESVSELLFLLTATLDEASPYALPCTYELTLRVATGDALHSWKPEDGKLEMGEPVFHECVRESSIRRDHMLTVSSLTWMEAAISDVINRLFVLLSPSSRKYQSTFGLPIPGHVLICGPSGSGKTSLATAVAKYFEEHDAILAHIVFVSCSKLALERSQTIQQAITHSVSEALVHAPSVLIFDDLDRIISLSSEAEGAQLNSSVTTLMKLFTDIMDEYAEKTLSSCAYGPIAFIASVQSLGNLPQALSSSGRLDFHVQLSAPKASKCGAILKQEICKHALHCSEGILTEIGSKCDGYDAYDLEILVGRAMSAAASHLRSNSSVDDKHGTITLVKEDFVQAMNGFVPVTMRGLTKSDYEGGRRGWSDVGGLREIRKAIEEMVELPSKFPDIFANAPLRLRSNLLLYGPPGCGKTHIVGAAAAACSLRFISIKGPELLNKYIGASEK >DRNTG_17496.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6458646:6460252:-1 gene:DRNTG_17496 transcript:DRNTG_17496.3 gene_biotype:protein_coding transcript_biotype:protein_coding LCSIFSPAKLNLPQPSAVVNKPFFQHPLAILDNSHEYIIKLHSLYHDDIIISRGQKVQHCKERKGKEKRIASATNDNSTSDKQNAQQESNRKYTGHTDVASKVKQKRVSFRTLR >DRNTG_17496.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6457883:6460252:-1 gene:DRNTG_17496 transcript:DRNTG_17496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRNRRFASRRTKNEETNHQACRTIEAPNISTTQQEALEILATQQSMTTTESKETPQMSSVKATQESMITEHVIS >DRNTG_17496.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6457883:6460252:-1 gene:DRNTG_17496 transcript:DRNTG_17496.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVINALRNRRFASRRTKNEETNHQACRTIEAPNISTTQQEALEILATQQSMTTTESKETPQMSSVKATQESMITEHVIS >DRNTG_23284.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1322343:1323015:1 gene:DRNTG_23284 transcript:DRNTG_23284.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTASSFSAPISEHDRKISKDEKVPSSSSPPPASSGKASEATVFDGRPRSQVVNSPPAVARRPVFAPDDINESAEAFINRFKHQLQLQRLQSIENYNQMLARGL >DRNTG_21853.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6346335:6348643:1 gene:DRNTG_21853 transcript:DRNTG_21853.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMFKVSRPSIRFLPIGELVFLVNLIVLFVFALRYVLLPFMRRCLIWAFSFPMPSFIMEFLKLNKLSHAQLHPNSWRFLIGFHIFLLL >DRNTG_34019.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31580477:31585714:1 gene:DRNTG_34019 transcript:DRNTG_34019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLHEFTKPQTPDKMIEEVNDSPIEEVRLTVPITDDPTLPCLTFRTWTIGLTACVLLSFVNIFFGYRQNPISISSVCVQIVSLPIGKLMATSLPTKLVRIPLTNWSFSLNPGPFSLKEHVLITLFANAGASGPFALDIVTLMKAFYHRRINPVAALLLSHSTQLLGYGFAGMFRKYLVDSPYMWWPGNLVAVSLFRALNEEEKRPKGRLSRLQFFMIVLISSFAYYIVPGYFFPSISALSFVCWIWKNSITAQQIGSGYNGFGIGSFGLDWTTVSGFLGSPLANPAFAIINKMAGFILVAYVIIPIAYYTNAYNAKRYPIFTSNVYDSKGHPYNITRVLNNKTFSIDYDAYDSYGKMNVSMFFAYRYGFAFATLMASLTHVALFYGRTIWDLWRKAAATTQDKFLDVHGRIMKRNYEQVPQWWFMTILVLTLGLSFFTCEGFNRQLQLPYWGILFACAMALFFMLPIAVLIATTNQGSGIGVITEMIFGYLYPGRPLANLTFRCYGVTAMGQALWFISDFKLGYYMKIPPKSMFIMQLAGTIVASTVSFGTAWWLLTNIKDICHADLLPAGSPWTCPGEDMSYNISIIWGVVGPNRIFGSRGLYTKMNWFFLVGLFAPVLVWLLAWAFPKKKWIALINMPVIFEAILPMPAAKSVHYISWCIVGIFFNSFIYRKYKNWWARHTYVLSAGLDAGVAFLAVLAFFGLQNYKIYGLQWWGNQSNDHCPLAACPTAPGIVVKGCPTF >DRNTG_34019.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31582639:31585714:1 gene:DRNTG_34019 transcript:DRNTG_34019.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLPTKLVRIPLTNWSFSLNPGPFSLKEHVLITLFANAGASGPFALDIVTLMKAFYHRRINPVAALLLSHSTQLLGYGFAGMFRKYLVDSPYMWWPGNLVAVSLFRALNEEEKRPKGRLSRLQFFMIVLISSFAYYIVPGYFFPSISALSFVCWIWKNSITAQQIGSGYNGFGIGSFGLDWTTVSGFLGSPLANPAFAIINKMAGFILVAYVIIPIAYYTNAYNAKRYPIFTSNVYDSKGHPYNITRVLNNKTFSIDYDAYDSYGKMNVSMFFAYRYGFAFATLMASLTHVALFYGRTIWDLWRKAAATTQDKFLDVHGRIMKRNYEQVPQWWFMTILVLTLGLSFFTCEGFNRQLQLPYWGILFACAMALFFMLPIAVLIATTNQGSGIGVITEMIFGYLYPGRPLANLTFRCYGVTAMGQALWFISDFKLGYYMKIPPKSMFIMQLAGTIVASTVSFGTAWWLLTNIKDICHADLLPAGSPWTCPGEDMSYNISIIWGVVGPNRIFGSRGLYTKMNWFFLVGLFAPVLVWLLAWAFPKKKWIALINMPVIFEAILPMPAAKSVHYISWCIVGIFFNSFIYRKYKNWWARHTYVLSAGLDAGVAFLAVLAFFGLQNYKIYGLQWWGNQSNDHCPLAACPTAPGIVVKGCPTF >DRNTG_18407.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4051258:4052030:-1 gene:DRNTG_18407 transcript:DRNTG_18407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQSLALSFLLVLLLAFSLVESQMEISGGIGRSLLIAKPTLDCGGACKVRCSKSSRPNLCKRACRTCCMRCHCVPPGTYGNYAFCPCYATLTTHGGKRKCP >DRNTG_24140.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26304709:26305097:1 gene:DRNTG_24140 transcript:DRNTG_24140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTKKKKKKKKRKVRISNSGYPQENEDQIHHPCQQGLPPRRSSTGRSPHH >DRNTG_24140.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26303879:26305097:1 gene:DRNTG_24140 transcript:DRNTG_24140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTKKKKKKKKRKVRISNSGYPQENEDQIHHPCQQGLPPRRSSTGRSPHH >DRNTG_24140.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26303879:26305097:1 gene:DRNTG_24140 transcript:DRNTG_24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTKKKKKKKKRKVRISNSGYPQENEDQIHHPCQQGLPPRRSSTGRSPHH >DRNTG_31956.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001766.1:40369:43338:-1 gene:DRNTG_31956 transcript:DRNTG_31956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFKSEFSRGMINSANIDRNLNRTRESLDDEMRTLRKTTNTT >DRNTG_23833.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29472612:29475759:-1 gene:DRNTG_23833 transcript:DRNTG_23833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYCYLFLLCSLLSLTVASAARAFFVFGDSLVDNGNNNYLATTARADLPPYGIDYPTHRPTGRFSNGLNIPDIISEHLGAESTLPYLSPELRGQKLLVGANFASAGIGILNDTGIQFVNIIRITKQLEYFKQYQERLSSVVGQARAKQIVNQALVLITLGGNDFVNNYYLIPFSPRSREFSLPDYVHFLISEYKKILEKIYELGGRRVIVTGTGPLGCVPAELAEKSLTGECDVELQRAANLFNPQLVKLIHQLNQQFGSDVFIAANAKAMHMDFVSNPRAYGFVTSKYACCGQGPYNGLGLCTMASNLCPNRDLYAFWDAYHPTQKANRIIVNQILNGSPDYMTPFNLSTILAMDSTT >DRNTG_29652.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001491.1:41560:42247:1 gene:DRNTG_29652 transcript:DRNTG_29652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTDAAAESRKQKPGKIESTIACIN >DRNTG_18224.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2722947:2727175:1 gene:DRNTG_18224 transcript:DRNTG_18224.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 3-I [Source:Projected from Arabidopsis thaliana (AT1G61010) UniProtKB/Swiss-Prot;Acc:Q9C952] MASAVTGGGGGTAQKRRESTVTREGDQLVITPLGAGNEVGRSCVHMTYRGKTILFDCGIHPAYSGMAALPYFDEIDPSTVDVLLITHFHLDHAASLPYFLEKTTFRGRVFMTHATKAIYKLLLSDYVKVSKVSVEDMLYDEQDILRSMDRIEVIDFHQTLEVNGIRFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAEIPQFSPDICIIESTYGVQLHQPRLVREKRFTDVIHKTIAEGGRVLIPAFALGRAQELLLILDEYWARNPELHNIPIYYASPLAKRCMAVYQTYINSMNERIRNQFANSNPFDFKHISPLKSIENFDDVGPSVVMASPSGLQSGLSRQLFDKWCADKRNACVIPGYVVEGTLAKTIITEPKEVTLMNGLTAPLNMQVHYISFSAHADFAQTSTFLKELMPPNIILVHGEANEMGRLKQKLITQFSDKNTKIITPKNCQSVEMYFSSEKMAKTIGRLAEKTPDVGETVSGLLVKKGFTYQIMAPEDLHIFSQLSTANIIQRVSIPYSGAFGVIKHRLKQIYESVEPPPEEPDVPTVIVHKLVTVRQESENHVSLQWSSDPVSDMVSDSVVALILNISREGPKVMPVAEANKTEEENEKTVQKVVYSLLVSLFGNVKAGEAGRLIVTVDGDTAKVDWKNGEVECENESLKDRIQMACRRILSAARPIPLSA >DRNTG_18224.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2722903:2727175:1 gene:DRNTG_18224 transcript:DRNTG_18224.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 3-I [Source:Projected from Arabidopsis thaliana (AT1G61010) UniProtKB/Swiss-Prot;Acc:Q9C952] MASAVTGGGGGTAQKRRESTVTREGDQLVITPLGAGNEVGRSCVHMTYRGKTILFDCGIHPAYSGMAALPYFDEIDPSTVDVLLITHFHLDHAASLPYFLEKTTFRGRVFMTHATKAIYKLLLSDYVKVSKVSVEDMLYDEQDILRSMDRIEVIDFHQTLEVNGIRFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAEIPQFSPDICIIESTYGVQLHQPRLVREKRFTDVIHKTIAEGGRVLIPAFALGRAQELLLILDEYWARNPELHNIPIYYASPLAKRCMAVYQTYINSMNERIRNQFANSNPFDFKHISPLKSIENFDDVGPSVVMASPSGLQSGLSRQLFDKWCADKRNACVIPGYVVEGTLAKTIITEPKEVTLMNGLTAPLNMQVHYISFSAHADFAQTSTFLKELMPPNIILVHGEANEMGRLKQKLITQFSDKNTKIITPKNCQSVEMYFSSEKMAKTIGRLAEKTPDVGETVSGLLVKKGFTYQIMAPEDLHIFSQLSTANIIQRVSIPYSGAFGVIKHRLKQIYESVEPPPEEPDVPTVIVHKLVTVRQESENHVSLQWSSDPVSDMVSDSVVALILNISREGPKVMPVAEANKTEEENEKTVQKVVYSLLVSLFGNVKAGEAGRLIVTVDGDTAKVDWKNGEVECENESLKDRIQMACRRILSAARPIPLSA >DRNTG_18224.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2722826:2727175:1 gene:DRNTG_18224 transcript:DRNTG_18224.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 3-I [Source:Projected from Arabidopsis thaliana (AT1G61010) UniProtKB/Swiss-Prot;Acc:Q9C952] MASAVTGGGGGTAQKRRESTVTREGDQLVITPLGAGNEVGRSCVHMTYRGKTILFDCGIHPAYSGMAALPYFDEIDPSTVDVLLITHFHLDHAASLPYFLEKTTFRGRVFMTHATKAIYKLLLSDYVKVSKVSVEDMLYDEQDILRSMDRIEVIDFHQTLEVNGIRFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAEIPQFSPDICIIESTYGVQLHQPRLVREKRFTDVIHKTIAEGGRVLIPAFALGRAQELLLILDEYWARNPELHNIPIYYASPLAKRCMAVYQTYINSMNERIRNQFANSNPFDFKHISPLKSIENFDDVGPSVVMASPSGLQSGLSRQLFDKWCADKRNACVIPGYVVEGTLAKTIITEPKEVTLMNGLTAPLNMQVHYISFSAHADFAQTSTFLKELMPPNIILVHGEANEMGRLKQKLITQFSDKNTKIITPKNCQSVEMYFSSEKMAKTIGRLAEKTPDVGETVSGLLVKKGFTYQIMAPEDLHIFSQLSTANIIQRVSIPYSGAFGVIKHRLKQIYESVEPPPEEPDVPTVIVHKLVTVRQESENHVSLQWSSDPVSDMVSDSVVALILNISREGPKVMPVAEANKTEEENEKTVQKVVYSLLVSLFGNVKAGEAGRLIVTVDGDTAKVDWKNGEVECENESLKDRIQMACRRILSAARPIPLSA >DRNTG_18224.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2722903:2727581:1 gene:DRNTG_18224 transcript:DRNTG_18224.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 3-I [Source:Projected from Arabidopsis thaliana (AT1G61010) UniProtKB/Swiss-Prot;Acc:Q9C952] MASAVTGGGGGTAQKRRESTVTREGDQLVITPLGAGNEVGRSCVHMTYRGKTILFDCGIHPAYSGMAALPYFDEIDPSTVDVLLITHFHLDHAASLPYFLEKTTFRGRVFMTHATKAIYKLLLSDYVKVSKVSVEDMLYDEQDILRSMDRIEVIDFHQTLEVNGIRFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAEIPQFSPDICIIESTYGVQLHQPRLVREKRFTDVIHKTIAEGGRVLIPAFALGRAQELLLILDEYWARNPELHNIPIYYASPLAKRCMAVYQTYINSMNERIRNQFANSNPFDFKHISPLKSIENFDDVGPSVVMASPSGLQSGLSRQLFDKWCADKRNACVIPGYVVEGTLAKTIITEPKEVTLMNGLTAPLNMQVHYISFSAHADFAQTSTFLKELMPPNIILVHGEANEMGRLKQKLITQFSDKNTKIITPKNCQSVEMYFSSEKMAKTIGRLAEKTPDVGETVSGLLVKKGFTYQIMAPEDLHIFSQLSTANIIQRVSIPYSGAFGVIKHRLKQIYESVEPPPEEPDVPTVIVHKLVTVRQESENHVSLQWSSDPVSDMVSDSVVALILNISREGPKVMPVAEANKTEEENEKTVQKVVYSLLVSLFGNVKAGEAGRLIVTVDGDTAKVDWKNGEVECENESLKDRIQMACRRILSAARPIPLSA >DRNTG_31952.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2283374:2287147:-1 gene:DRNTG_31952 transcript:DRNTG_31952.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoacetylglucosamine mutase [Source:Projected from Arabidopsis thaliana (AT5G18070) UniProtKB/Swiss-Prot;Acc:P57750] MASEKQRSLLLESTSRFPIPPGVRFSYGTAGFRSDGLILGSTVFRAGVLAALRSIKTGHAIGLMITASHNPIFDNGVKIADPDGGMLTQKWEPFADALANAPDPQHLLDLVVQFAEEENIPLCGTQSAEVLLGRDTRPTGESLLETAKQGINAIIGAVAVDMGILTTPQLHWIVRSRNKGTKASEFDYYAQLSKSFRCLIDLIPENIVKSEVDPKVLVDGANGVGGEKLEELKKMLKGLDIEVRNSGKKGGVLNDGVGADYVQKEKVAPSSFGSIDMGLRCASLDGDADRLVYFHVLSASDNSIDLIDGDKILSLFAVFIKEQLSILNRNENDDVFNSLPARLGIVQTAYANGASTDYLKQMGLEVVFTPTGVKYLHKKAAEYDIGIYFEANGHGTIIFSEEFLSLLHARSDELSVSMAGSERHKAAVRLLAVSQLINQAVGDALSGLLLVEAVLSYMGWSIVRWSQLYADLPSRQLKVKVADRNAVVTANAETEVVQPVGLQKLIDTETAKYPRGRCFIRPSGTEDIVRVYAEASTQEAADRLANSVMQLVYQFLDFTNSHV >DRNTG_10613.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:136807:138716:-1 gene:DRNTG_10613 transcript:DRNTG_10613.1 gene_biotype:protein_coding transcript_biotype:protein_coding VESCHALNSPRRQTNGSAPTRQKPHRHAKPKNILPEMVDPW >DRNTG_10613.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:138365:138716:-1 gene:DRNTG_10613 transcript:DRNTG_10613.2 gene_biotype:protein_coding transcript_biotype:protein_coding IKDNISPGPSPSRPYQQLSSHKMQIVLGIKPTNYTRK >DRNTG_12937.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1592749:1593341:-1 gene:DRNTG_12937 transcript:DRNTG_12937.1 gene_biotype:protein_coding transcript_biotype:protein_coding PEKQFDRRNNLQSQSTNSSRHRLQLPTPMPAFAEYNYR >DRNTG_25062.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6376932:6381441:1 gene:DRNTG_25062 transcript:DRNTG_25062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMAMAAVRASWWFFAPPRSLQFSKPSLFRSSPVRLNAYGICIASGALKPGGGVVVDALLKGGGDRNVADVVKHITDMGRRASSRREILHTDFLTPPVVKEAMLALEKLADIQAVSQGGYPQAERCRLSVGHPDIMTTDPDTVAALSISGNFGFEPCSHGDFLGAILGTGIVRDKVGDIILQGEKGAHVLVVPDLVDFLTTTLDKVGNVSVSCIQIPLLALEYEPPRTKSFTTVEASARVDAVASAGFKISRSKLVDMISNGDVRVNWSPVTKNGATLKTGDIVSVSGKGRLKVNFQTKMKSSLPDCLNFKNPCFVSFDVLPVKCELCLETCKILSKDQLP >DRNTG_22650.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20565264:20570395:-1 gene:DRNTG_22650 transcript:DRNTG_22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQQRLKQQQQALMQQALLQQQQQISLYQHTALLAAHPQIEPMPSGNVPPGFDTSTCRSVYVGNIHNNVSEALLQEVFQSTGVVEGCKLIRKEKSSYGFIDYFDRRSAALAIMTLNGRHLFGQPIKVNWAYASGQREDTSGHFNVFVGDLSPEVTDAALFACFSTYTSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQNAINEQTGKWLGSRQIRCNWATKGAGSNDDKQSSDSKSVVELTNGSTDSQETTNDDAPENNPQYTTVYVGNLAPEVSQTDLHRFFHALNVGVIEEVRVQRDKGFGFVRYSSHSEAALAIQTGNARIFCGKPIKCSWGSKPTPPGTSSTPLPPPAPAPAPFPGLSPTDLLAYERSLALARLSSGQALLQGQHAHKQPALGVGAGASQVIYDPGFPNVAAAQQQLMYY >DRNTG_22650.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20565264:20569142:-1 gene:DRNTG_22650 transcript:DRNTG_22650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGNVPPGFDTSTCRSVYVGNIHNNVSEALLQEVFQSTGVVEGCKLIRKEKSSYGFIDYFDRRSAALAIMTLNGRHLFGQPIKVNWAYASGQREDTSGHFNVFVGDLSPEVTDAALFACFSTYTSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQNAINEQTGKWLGSRQIRCNWATKGAGSNDDKQSSDSKSVVELTNGSTDSQETTNDDAPENNPQYTTVYVGNLAPEVSQTDLHRFFHALNVGVIEEVRVQRDKGFGFVRYSSHSEAALAIQTGNARIFCGKPIKCSWGSKPTPPGTSSTPLPPPAPAPAPFPGLSPTDLLAYERSLALARLSSGQALLQGQHAHKQPALGVGAGASQVIYDPGFPNVAAAQQQLMYY >DRNTG_00199.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12606722:12607315:-1 gene:DRNTG_00199 transcript:DRNTG_00199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSFLRKKVGRRASVEAYPIPDEGILGRRVEDFPQDHQHDYRGDSTSVP >DRNTG_25220.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20090143:20090648:-1 gene:DRNTG_25220 transcript:DRNTG_25220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 10 [Source:Projected from Arabidopsis thaliana (AT3G08920) UniProtKB/Swiss-Prot;Acc:Q9SR92] MGSATLGVHIHHYLFHPTKLRTLNCTASSAQQDELLGSGKVRPIPAKDAAQVLRAEGYKLLDVRPAWEWDKARVVGSVHVPLFVEDKGMDPVTLLKKWVHFGYIGLWTGQLLTTINDQFLAQVQNAFADKESKLLVACGEGLRY >DRNTG_25220.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20088993:20090648:-1 gene:DRNTG_25220 transcript:DRNTG_25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 10 [Source:Projected from Arabidopsis thaliana (AT3G08920) UniProtKB/Swiss-Prot;Acc:Q9SR92] MGSATLGVHIHHYLFHPTKLRTLNCTASSAQQDELLGSGKVRPIPAKDAAQVLRAEGYKLLDVRPAWEWDKARVVGSVHVPLFVEDKGMDPVTLLKKWVHFGYIGLWTGQLLTTINDQFLAQVQNAFADKESKLLVACGEGLRSRIALKVLEKDGYKNLAWLAGGFNRSKNSDFPEVEGSGKLQFATIGGVSYLFLQLLLILQVLGKDSD >DRNTG_04617.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3142145:3144028:1 gene:DRNTG_04617 transcript:DRNTG_04617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKVFGSPTSSDVARVLACLFEKDVDFELIRIDGFKGKERKPDYLKLQPSGQPLTFEHGSRTLVESREICRYIAVEFAGQGNKDLLGKGTLERASIEQWLQTEMQSFDPPTSALVFNLAFAQILDLEPDQEVITKEQSKTSQFARCLRAKARGNKLSCWGQVHSRRSFSPSKCTISHGRDKMRKSF >DRNTG_34009.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31640561:31642438:-1 gene:DRNTG_34009 transcript:DRNTG_34009.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDENEANELSKHPSVVSVFPNKALKLHTTHTWEFLGLQKFYSGEIPDSSLWKKARFGEDVIIGTLDTGVWPESQSFREDDMGPIPSRWKGVCDNGIDKTFNCNKKLIGARYFNKGFKEAGDTSANITDTPRDTEGHGSHTLSTAAGAFVPGASYYGYANGTAKGGSSRARVATYKICWPSDVGGCMDADILAAFDAAIHDGVDVISMSVGGPPLDYYQDSIAIGSFHAVKKGIIVACSGGNDGPLLGTVSNVAPWIFTVGASTLDRYYPSYLVYNGKFVKGMTFSKGLPRPRPYPMINSVNAKAKNATEHAANLCMVNSLDPEKVKGKIVVCLRGKIERMLKGFVVQKAGGAGMIIANDEHYADDIEGDAHFIPAINIGYSDGLELYSYLNSSK >DRNTG_34009.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31639287:31642902:-1 gene:DRNTG_34009 transcript:DRNTG_34009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLVSLLILFSFTVLLPTQTLAAKKSYVVYLGAHSPNTREEVAVNSHHEILGSILKSKEKARDAIFYSYTKEINGFAANMDENEANELSKHPSVVSVFPNKALKLHTTHTWEFLGLQKFYSGEIPDSSLWKKARFGEDVIIGTLDTGVWPESQSFREDDMGPIPSRWKGVCDNGIDKTFNCNKKLIGARYFNKGFKEAGDTSANITDTPRDTEGHGSHTLSTAAGAFVPGASYYGYANGTAKGGSSRARVATYKICWPSDVGGCMDADILAAFDAAIHDGVDVISMSVGGPPLDYYQDSIAIGSFHAVKKGIIVACSGGNDGPLLGTVSNVAPWIFTVGASTLDRYYPSYLVYNGKFVKGMTFSKGLPRPRPYPMINSVNAKAKNATEHAANLCMVNSLDPEKVKGKIVVCLRGKIERMLKGFVVQKAGGAGMIIANDEHYADDIEGDAHFIPAINIGYSDGLELYSYLNSSKSSKGYILCGRTKFGKRPSPTIAPFSSLGPNVINQEILKPDITAPGVNVLAAFSEVANPSDLDYDTRRTPFVFLSGTSMSCPHIGGIVGLLKTLNPSWSPAMIKSAIMTTATTLDNTMEPIKDAFNETATPFSYGAGHVKTKALVDPGLVYDITPKDYLNFLCSLGYNKTMMGIFSGEFSCPSKTIKAEDLNYPSISIASLNGTVTVTRTLKNVGPPSKYNATVFAPKGVSVTVEPAELEFEEFGKEQQFQVTMEANEAEPVKGFTFGSLKWSDGIHHVRSPLVVYI >DRNTG_34009.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31641880:31642902:-1 gene:DRNTG_34009 transcript:DRNTG_34009.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLVSLLILFSFTVLLPTQTLAAKKSYVVYLGAHSPNTREEVAVNSHHEILGSILKSKEKARDAIFYSYTKEINGFAANMDENEANELSKHPSVVSVFPNKALKLHTTHTWEFLGLQKFYSGEIPDSSLWKKARFGEDVIIGTLDTGVWPESQSFREDDMGPIPSRWKGVCDNGIDKTFNCNK >DRNTG_34009.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31639287:31639919:-1 gene:DRNTG_34009 transcript:DRNTG_34009.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIKDAFNETATPFSYGAGHVKTKALVDPGLVYDITPKDYLNFLCSLGYNKTMMGIFSGEFSCPSKTIKAEDLNYPSISIASLNGTVTVTRTLKNVGPPSKYNATVFAPKGVSVTVEPAELEFEEFGKEQQFQVTMEANEAEPVKGFTFGSLKWSDGIHHVRSPLVVYI >DRNTG_25297.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19739434:19741948:-1 gene:DRNTG_25297 transcript:DRNTG_25297.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDPAAEYLIDDAGDVGAGSDGELQCAIESLCGMSPSSGMQMGDAFIGGACGLPQMNSVQKMEGGLMYENTRNLQLSDCMSTGMVYSQACGSQQTGVMDGLEPGLVYGDAGSIQQVNTRKRAMDEECSRPKSKACREKMRRDKLNDRFSELSSVLDPGRPPKSDKATILSDAARVLEQLKAEAEELKISNEKLQETIKDLKAEKNELRDEKIKLKTDKERLEQQLKAMNMAPAGYMPHPMVFHPAVFAPQGQTPATKSSSSPAFPSVPMWRWLPPALVDTTKDAKLWPPNA >DRNTG_25297.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19739434:19741948:-1 gene:DRNTG_25297 transcript:DRNTG_25297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDPAAEYLIDDAGDVGAGSDGELQCAIESLCGMSPSSGMQMGDAFIGGACGLPQMNSVQNMSTGMVYSQACGSQQTGVMDGLEPGLVYGDAGSIQQVNTRKRAMDEECSRPKSKACREKMRRDKLNDRFSELSSVLDPGRPPKSDKATILSDAARVLEQLKAEAEELKISNEKLQETIKDLKAEKNELRDEKIKLKTDKERLEQQLKAMNMAPAGYMPHPMVFHPAVFAPQGQTPATKSSSSPAFPSVPMWRWLPPALVDTTKDAKLWPPNA >DRNTG_11551.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15890706:15893924:-1 gene:DRNTG_11551 transcript:DRNTG_11551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNAEPRTLHLIYRSSQFSFKRIGSLVVNGQSIDRKPYDGKLPRLWIAQCPSLLLSNLLEGHQLSCGKDKIA >DRNTG_14931.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27137238:27140657:-1 gene:DRNTG_14931 transcript:DRNTG_14931.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALSSRVPRVLLRLLRSSPLRSFSSTSIPPQLQQAQKVGFIGLGNMGYHMANNLIRAGYLVTVHDINYDAMKKFSEKGIPSKETPFEVAETSDVVITMLPSASNVLDVYTGPNGLLHDRSCVRPWLFIDSSTIDPQTSRKLSASVSSCPLEEQKGYANTPVMLDAPVSGGVTGAEARTLTFMVGGSEEAYIAAKPLFMSMGKNIIYCGGTGNGAAAKICNNLAMAISMIGVSEALALGQSLGISASTLTSIFNSSSARCWSSDSYNPVPGVMERVPSSRNYDVGFSSKLMTKDLALALASAKEVGMNCPLASGAHEIYTELCKQGYESKDFSSVFRHFYGGKDEA >DRNTG_14931.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27137238:27140657:-1 gene:DRNTG_14931 transcript:DRNTG_14931.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALSSRVPRVLLRLLRSSPLRSFSSTSIPPQLQKVGFIGLGNMGYHMANNLIRAGYLVTVHDINYDAMKKFSEKGIPSKETPFEVAETSDVVITMLPSASNVLDVYTGPNGLLHDRSCVRPWLFIDSSTIDPQTSRKLSASVSSCPLEEQKGYANTPVMLDAPVSGGVTGAEARTLTFMVGGSEEAYIAAKPLFMSMGKNIIYCGGTGNGAAAKICNNLAMAISMIGVSEALALGQSLGISASTLTSIFNSSSARCWSSDSYNPVPGVMERVPSSRNYDVGFSSKLMTKDLALALASAKEVGMNCPLASGAHEIYTELCKQGYESKDFSSVFRHFYGGKDEA >DRNTG_00303.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2083991:2087290:1 gene:DRNTG_00303 transcript:DRNTG_00303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYPLVTPDDMAHEVQCPQAFTQEQRDYLHKWCSVCDRTFEHASGFDKHRDVVHKNTHEYKCGTCMQPLNGAKA >DRNTG_33704.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1132708:1135407:1 gene:DRNTG_33704 transcript:DRNTG_33704.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:Projected from Arabidopsis thaliana (AT5G20090) UniProtKB/TrEMBL;Acc:A0A178UR79] MASAFRSFWNSPVGPKTTHFWGPVANWGFVLAGLVDMQKPPEMISANMTAAMCVYSGLFMRFAWMVQPRNYLLLVCHASNETVQLYHLSRVARAQGYLQKKETEKETEKEK >DRNTG_04655.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30538918:30539833:1 gene:DRNTG_04655 transcript:DRNTG_04655.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFFEPEVELRQSITEMQQRDALFSFLSSSSSSSSSSSSLNLINNSEGFQGMASSSSGNSGGSFMTNKMVPLRSKKQKISHPVEEAPTIQTLRMNAPVKKSQKLGDKITATSTTSFTIWEGD >DRNTG_20352.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23426666:23429131:1 gene:DRNTG_20352 transcript:DRNTG_20352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMYSVSRMSSLLLHHPVALSKPPPSMLLPVSSTNPRSPWKIRFASRAYAVTHSPSFGEIHVIVGPMFAGKTTALIQRVQLETDQGRRAAMIKSDKDTRYGLDSIVSHDGVKMPCWALPDLSSFPAKVGPLAYDELDVIGIDEAQFFGDLYDFCRNAADHDGKTVVVAGLDGDYLRRRFGSVLDLIPIADSVTKLTARCGLCEQRAYFTLRKTNDTEKELIGGADVYMPVCRQHYVKGQIVVEAARIVLESQKIKL >DRNTG_01461.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27694795:27699134:-1 gene:DRNTG_01461 transcript:DRNTG_01461.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEVSSIFQQILVDFSRVHQWGSSEKIAHDRHLQRSSLERRQTQLAKPQRQKGNKESNVRAASTKKVWRKVQPKEEHTTEAEAKKKKKKIEESSWLLLPWLGDAEHRVRCRRLVDQRFVKGWVINWPTLERIRLADEGLR >DRNTG_06452.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29951812:29953064:1 gene:DRNTG_06452 transcript:DRNTG_06452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIEELCLGMELSQERSSHVDDNRMRQVRAEIRTVGRIGHRNLLRLLAHVSSGDHHFLVSEFKPKGSLYDVLKKGQLDWPARYKIAHGIASGLEYLHFIHKPCIIHRDMKPENILLDDDLNPRIADFGLAKVEDGSFVRTRLAGTLGYIAPEYYNGMACTHKCDVFSLGVILAVLVTGKFRVMVGVTAFPIAPTITVRRSSHHQGAEPAYGQG >DRNTG_19354.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5520236:5521450:1 gene:DRNTG_19354 transcript:DRNTG_19354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDATCAEHRQPSTTVPHDYPKTAVDERTVVWMNNSLSTTRARLFTLLEGKEMVSDDAMDAFVCIIQKLLSRVPYPYKKPASITRPLALFLSKQEDVADTTFAMIGDAAQTWRLFDMCIDMEFGETVTTKCPVVHNIETPRQKIGSVDCAVYVMQFIEQLLNNEKLRLPQTDVPYLRLKYVARILKKGRVADITEKGGPQLRGNRIAMILFVFKCKSMMSL >DRNTG_29031.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18308271:18309898:-1 gene:DRNTG_29031 transcript:DRNTG_29031.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPTATLPPGFRFHPTDEELILHYLRRRASAADSSPVPIIADVDIYKFNPWDLPSKAKFGEREWYFFTPRDRKYPNGVRPNRAAASGYWKATGTDKPIHSTSSSSASSSSSSTSLTPTTVTHPHSCIGVKKALVFYKGKPPKGSKTNWIMHEYRLISQPQIPIHSFKPFPLRDSSMRLDDWVLCRIYKKNYHVSNERDHEQEEEEGEEEDKHSLIITKHDHDHHLHKSSSLSELLNQLFDNPSEILGTLEQNDHDHHQVLLNVNNITATENCHKRLLEDGGDHHQPSFKKINTSTTTTTTTSSCLMMNQPFLFNQQILLNSHLGLHH >DRNTG_29031.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18308271:18308838:-1 gene:DRNTG_29031 transcript:DRNTG_29031.2 gene_biotype:protein_coding transcript_biotype:protein_coding LMIGCCAEYTRRTTMCQMKEIMNKKKKKEKKKINTH >DRNTG_28127.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19573791:19576458:1 gene:DRNTG_28127 transcript:DRNTG_28127.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGEDVENGVTRQGLLVDIEETSRASEELLVWEPVLWNTKWRLAVWEFKNLGTLSWATVVITMFNFMLNLITLMFVGHFGALALAGASVTNAGIRALAFGIMVQSRQGTHVLNVDPSVHRSVTPGYPMNIQSGLSAPT >DRNTG_24133.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16245930:16294260:-1 gene:DRNTG_24133 transcript:DRNTG_24133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSFASRPVLKASHTVLYGNNDLALAPYGEYWRQMRKICVTHLLSMRRVQLFQAARENEVAHLMDKIVSHASSHPLKALNMSRVLFCFTNDMLCRAILGEFNRDQEGRNEIFLEMIEENMILFSGFNLEDYFPSIGWLTSLLGFDERAKRNFRRWDGVLSKMIEEHKIKKDGDLKDEDFVDILLSLKKDASLDFSLNDEHIKALLVDMFGAGTDTSYIVLEWGMAELIRNPNAMKKLRDEINGIASGKSMVNEDDLSEMHYLKAVVKEILRLHPPAPLLLPRESMDNCQIEGYKIPRQCRVIINCWAITRDPKEWDMPNEFIPERFVNNTMDFKGQDFKYIPFGSGRRICPGIGFAISTIELALA >DRNTG_24133.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16245930:16250314:-1 gene:DRNTG_24133 transcript:DRNTG_24133.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKICVTHLLSMRRVQLFQAAREKEVAHLMDEIVSHASSHPLKALNMSQVLFCFTNDMLCRAILGEFSRDREGRNEIFLEMIEENMILFSGFNLEDYFPSIGWLTSLLGFDERAKRNFRRWDGVLSKMIEEHKIKKDGDLKDEDFVDILLSLKKDASLDFSLNDEHIKALLVDMFGAGTDTSYIVLEWGMAELIRNPNAMKKLRDEINGIASGKSMVNEDDLSEMHYLKAVVKEILRLHPPAPLLLPRESMDNCQIEGYKIPRQCRVIINCWAITRDPKEWDMPNEFIPERFVNNTMDFKGQDFKYIPFGSGRRICPGIGFAISTIELALA >DRNTG_24133.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16290107:16294260:-1 gene:DRNTG_24133 transcript:DRNTG_24133.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIRNPNVMKKLRDEINGIASGKSMVNEDDLSEMHYLKAVVKEILRLHPPAPLLLPRESMDNYQIEGYKIPSQCRVIINCWAITRDHKEWDMPNEFIPERFVNNTMDFKGQDFKYIPFGSGRRICPGIGFAISTIELALANLVFKFEWKLPDDHVGEVNMAEAPGLTTKMMKNLCLVPMPCF >DRNTG_04492.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000216.1:1488:5647:1 gene:DRNTG_04492 transcript:DRNTG_04492.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPCGKSFPLCAFRELFFQFQSCQPLTALLYIGRAGHYESCPILRVLADKLLRFLARPLAVMLPLHGSLLGRQLTPEEETMVKTVIPFTVPLSNGDKVALALAVKASFEKDLAVIIKNERTGFQQSTYGLHSNISRYGPTNTYFHVLAAPSSKNPKRNPEDGWAFEQKKRPVLAKEKMNRETLDGPEEFLGPPMEDETPLPKATKKKIVEIEEEEDAVQTLRRTRRRRGREKRREGDGGRGTSFYCLPLLLLLLKSLELDFDFP >DRNTG_21752.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3161766:3166388:1 gene:DRNTG_21752 transcript:DRNTG_21752.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSINCLLDFGWELLCKKVFTNNEVSDMQRLKDIGMQIIEKCDGLPVAIKSYCRCSTNKRPK >DRNTG_21752.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3161766:3166388:1 gene:DRNTG_21752 transcript:DRNTG_21752.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSINCLLDFGWELLCKKVFTNNEVSDMQRLKDIGMQIIEKCDGLPVAIKSYCRCSTNKRPK >DRNTG_21752.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3161766:3166388:1 gene:DRNTG_21752 transcript:DRNTG_21752.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINCLLDFGWELLCKKVFTNNEVSDMQRLKDIGMQIIEKCDGLPVAIKSYCRCSTNKRPK >DRNTG_13786.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15436395:15444268:1 gene:DRNTG_13786 transcript:DRNTG_13786.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERTLSVKGSVFSHLPSTMGTPCVGEITIPRSFAPLGSRGRRGLSFRTRRLRFNCRASSRMEEDWISSPTASPYQILGIDPMSCSPSQLKAAFRARVKEYHPDVCKDMRDSDMIIKRVIHAYEVLLKHYQHESPDR >DRNTG_13786.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15436395:15449388:1 gene:DRNTG_13786 transcript:DRNTG_13786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTLSVKGSVFSHLPSTMGTPCVGEITIPRSFAPLGSRGRRGLSFRTRRLRFNCRASSRMEEDWISSPTASPYQILGIDPMSCSPSQLKAAFRARVKEYHPDVCKDMRDSDMIIKRVIHAYEDLLRPL >DRNTG_13786.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15436395:15448189:1 gene:DRNTG_13786 transcript:DRNTG_13786.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERTLSVKGSVFSHLPSTMGTPCVGEITIPRSFAPLGSRGRRGLSFRTRRLRFNCRASSRMEEDWISSPTASPYQILGIDPMSCSPSQLKAAFRARVKEYHPDVCKDMRDSDMIIKRVIHAYEDLLRPL >DRNTG_01616.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1885342:1886582:-1 gene:DRNTG_01616 transcript:DRNTG_01616.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEFVVLASSNKEAEWLRDLMFDIPLVTKPIAQVYIHCDNEATLAKAFSQVYNGKSSHIGIRHSYVRDLISGGIITIDFVRSGQNLAVSLTKGLQRDLIK >DRNTG_04375.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20604050:20606929:1 gene:DRNTG_04375 transcript:DRNTG_04375.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g14850 [Source:Projected from Arabidopsis thaliana (AT4G14850) UniProtKB/Swiss-Prot;Acc:Q0WSH6] MRSSTSFHDAAQSLASLIERAVSDRAPRFGRATHAQIIKHLSPPPLPSFLSNHLINMYSKLDLPGSASRLLSLDPSPSVVSWTALISGHAQHSRPLPAFLSFISMLRSAVPPNDFTLPSVFKAAASFSSPLAGVQIHCLSIKLGFLPADLFVSSSALDMYLKTGLRQDAHKLFDEMPFRNEVSWNSIMTNSILDGRPDDAIRTFVAFRLSGGGVNTVALCAFLNACAGATYVWPGVQLHGLVIRSGFDSDVSVGNGIIDFYGKCHWVPEARKLFDEMPVKNDVSWCSMIVVYAQNGMEEEAFQVYLSARRDGMEPTDYMVSSILSTCAGLAGLDLGRSVHAVAFRACIDGNVFVGSALVDMYGKCGSIMDAQQAFEEMLVRNIVTWNAIIGGYAQNGDAEMALAVFDEMMREGEVKPNYVTLVSVISSCARAGLADVGLEIFKTMKEKYGIEPRTEHYACVVDLLGRAGREERAYELIKEMPMGPSISIWGALLGACKLHGKTELGRIAAEKLFELDPQDSGNHVLLSNLFASAGRWEEATEVRKEMKEVGIKKGPGCSWITWKNVVHVFQAKDTTHERNEEIQAMLAELRREMQAAGYTPDTKYALYDLEEEEKESEVFQHSEKLALAFGLLCFPPGIPIRITKNLRVCGDCHSAIKFISGIVRREIIVRDNNRFHHFRDGKCSCRDYW >DRNTG_18633.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6347969:6353670:1 gene:DRNTG_18633 transcript:DRNTG_18633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVVDGLPTEFILGRGNSHSSKAMMEVMPYTQSLLLKRWAIAYHSKVARPTMTMPRLKAMVSSTIGGFLDLAFNFNTQAFLDDNLLVEVDNNVTRHLEAELAANDWSLLILQYLGLDHVGHIGGSQSNVPPEELYATQLSQLQEMGFFDTYENIRALISTSGNVPYCN >DRNTG_22776.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3981982:3985656:-1 gene:DRNTG_22776 transcript:DRNTG_22776.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCRQEFPCKRRRLAVDLGNRKLVTRSEKGMRMLRLQTHRRPPVRLRPLPSSTSNPTSSSSSPSSSSLPPALAAASSKKTSEPQSDEQRIDDLVLPRLRFLKQPITLFGEDDDARLDRLKLTLKSGVFDADSDMTEGQTNDFLGDVYELRKRQKAGSLLHDRAKDKRDDGDGIEFDGGDDDGDKDVSDDGGSSGMDADKDIKRMKANFEELCDEDKILVFFKRLLNEWNQELDEMPGADKQTAKGKQMIATFMQCARYLNPLFKFCRKKVLQDDIRQALMVVVKCCMKRDYLAAMDQYIKLAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHILNDETTRKYLQSLKSLMTFCQRRYPADPSKSVEFNSLANGSDLHSLLSEERMIEKPTSEEELRIIPAARE >DRNTG_30467.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18687190:18687685:1 gene:DRNTG_30467 transcript:DRNTG_30467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVMASSSMGGSDLTRLQNSAAGDPSKTIGSMSVDDLLRNIYGEGASAPSPVPGGKTMEDVWREVSTGRKQEGGEGIEEMTLEDFLARTGAVMEEEVRDPSGPRIETGMGLENRILGFGTVADG >DRNTG_33293.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23795045:23797422:1 gene:DRNTG_33293 transcript:DRNTG_33293.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSSDEHKTHDTNEEHEQLLQEQKRQEEISLDCEITFNEALIEEREQGIKDVHSDVVEINEILKDLVFLIDNQQPTLGT >DRNTG_33293.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23795045:23797422:1 gene:DRNTG_33293 transcript:DRNTG_33293.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEDFGSSTSWNSIAGAKADVAAGIFQTATAVAGFRRLVDAIGSAKDSPKHRHTLQEARKWIAQLVKDTSSKLKVFSDANVDAQLINRTDEEKLAKDFQKVLLDFQKVQKLAVQKEAAYAMATSLSSDEHKTHDTNEEHEQLLQEQKRQEEISLDCEITFNEALIEEREQGIKDVHSDVVEINEILKDLVFLIDNQQPTLGT >DRNTG_33293.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23795045:23797422:1 gene:DRNTG_33293 transcript:DRNTG_33293.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEDFGSSTSWNSIAGAKADVAAGIFQTATAVAGFRRLVDAIGSAKDSPKHRHTLQEARKWIAQLVKDTSSKLKVFSDANVDAQLINRTDEEKLAKDFQKVLLDFQKVQKLAVQKEAAYAMATSLSSDEHKTHDTNEEHEQLLQEQKRQEEISLDCEITFNEALIEEREQGIKDVHSDVVEINEILKDLVFLIDNQQPTLEDIGIRIEAAAAASTTQAREQLAMGYKGNRSNTSSYCWVLMLFVVVLATLLMVLILY >DRNTG_33293.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23795045:23797422:1 gene:DRNTG_33293 transcript:DRNTG_33293.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEDFGSSTSWNSIAGAKADVAAGIFQTATAVAGFRRLVDAIGSAKDSPKHRHTLQEARKWIAQLVKDTSSKLKLINRTDEEKLAKDFQKVLLDFQKVQKLAVQKEAAYAMATSLSSDEHKTHDTNEEHEQLLQEQKRQEEISLDCEITFNEALIEEREQGIKDVHSDVVEINEILKDLVFLIDNQQPTLEDIGIRIEAAAAASTTQAREQLAMGYKGNRSNTSSYCWVLMLFVVVLATLLMVLILY >DRNTG_33293.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23795045:23797422:1 gene:DRNTG_33293 transcript:DRNTG_33293.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEDFGSSTSWNSIAGAKADVAAGIFQTATAVAGFRRLVDAIGSAKDSPKHRHTLQEARKWIAQLVKDTSSKLKVFSDANVDAQVRGLCKFAFSVRVSLNSFTVFIFLIGND >DRNTG_14125.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17264077:17264878:-1 gene:DRNTG_14125 transcript:DRNTG_14125.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTGNNPSIQPQLQPAFFVDAIIVIGKAWPRLHVQRCRYRFRILNSNNGHFLPLLFLQRSPHHLMYQTPLCPSFGSETLWALESILVARDVRSLVKTRVVLWDLLKSEPAFVLEEISDSSTDLKLGIVDFFVKAFAVVGDVEDSNNGEDDHSLRVSFEEWLTF >DRNTG_14125.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17264275:17264878:-1 gene:DRNTG_14125 transcript:DRNTG_14125.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTGNNPSIQPQLQPAFFVDAIIVIGKAWPRLHVQRCRYRFRILNSNNGHFLPLLFLQRSPHHLMYQTPLCPSFGSETLWALESILVARDVRSLVKTRVVLWDLLKSEPAFVLEEISDSSTDLKLGIVDFFVKAFAVVGDVE >DRNTG_14125.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17263650:17264878:-1 gene:DRNTG_14125 transcript:DRNTG_14125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTGNNPSIQPQLQPAFFVDAIIVIGKAWPRLHVQRCRYRFRILNSNNGHFLPLLFLQRSPHHLMYQTPLCPSFGSETLWALESILVARDVRSLVKTRVVLWDLLKSEPAFVLEEISDSSTDLKLGIVDFFVKAFAVVGDVEEQLQNQYWNS >DRNTG_17450.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:8264416:8267683:1 gene:DRNTG_17450 transcript:DRNTG_17450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMDDALVDEDRNSDTEQADDGKVPVGPAEVKLEVLPAGTDQEESLMNSRTMPL >DRNTG_17450.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:8264416:8267683:1 gene:DRNTG_17450 transcript:DRNTG_17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMDDALVDEDRNSDTEQADDGKVPVGPAEVKLEVLPAGTDQEESLMNSRTMPL >DRNTG_17450.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:8264416:8267683:1 gene:DRNTG_17450 transcript:DRNTG_17450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMDDALVDEDRNSDTEQADDGKVPVGPAEVKLEVLPAGTDQEESLMNSRTMPL >DRNTG_17450.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:8264416:8267683:1 gene:DRNTG_17450 transcript:DRNTG_17450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMDDALVDEDRNSDTEQADDGKVPVGPAEVKLEVLPAGTDQEESLMNSRTMPL >DRNTG_22924.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9815035:9820330:1 gene:DRNTG_22924 transcript:DRNTG_22924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAVPTDCSECPICLEEFRVGNEVRGLPCAHNFHVECIDQWLRLNVKCPRCRCSVFPNLDLSALSNIRLDSERASASEVTTATTRYMQTQTGGQSYRVRLQGLLRPVRSENANADGSENGAQEYGDETLVVSHPPASALCPVTPCVVVVDHINGH >DRNTG_09609.26 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:963574:993403:-1 gene:DRNTG_09609 transcript:DRNTG_09609.26 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKLYSDFYSSDIIIASPLGLVTKIGEAEVEDTDID >DRNTG_09609.32 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:974542:993403:-1 gene:DRNTG_09609 transcript:DRNTG_09609.32 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLLQQSAAHGWLGSQGSLGGFIIKKTIRVDILVDKYPSYNFVGRLLSPWGNSLKCVEASTDCRVLIRGRAA >DRNTG_09609.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:963574:993403:-1 gene:DRNTG_09609 transcript:DRNTG_09609.19 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKLYSDFYSSDIIIASPLGLVTKIGEAEVEDTDID >DRNTG_09609.23 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:963574:993403:-1 gene:DRNTG_09609 transcript:DRNTG_09609.23 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKLYSDFYSSDIIIASPLGLVTKIGEAEVEDTDID >DRNTG_09609.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:963574:993403:-1 gene:DRNTG_09609 transcript:DRNTG_09609.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLLQQSAAHGWLGSQGSLGGFIIKKTIRVDILVDKYPSYNFVGRLLSPWGNSLKCVEASTDCRVLIRGRAA >DRNTG_09609.30 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:971771:993403:-1 gene:DRNTG_09609 transcript:DRNTG_09609.30 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKLYSDFYSSDIIIASPLGLVTKIGEAEVEDTDID >DRNTG_09609.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:963574:993403:-1 gene:DRNTG_09609 transcript:DRNTG_09609.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKLYSDFYSSDIIIASPLGLVTKIGEAEVEDTDID >DRNTG_09609.31 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:971771:993403:-1 gene:DRNTG_09609 transcript:DRNTG_09609.31 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKLYSDFYSSDIIIASPLGLVTKIGEAEVEDTDID >DRNTG_09609.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:963574:993403:-1 gene:DRNTG_09609 transcript:DRNTG_09609.9 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKLYSDFYSSDIIIASPLGLVTKIGEAEVEDTDID >DRNTG_09609.22 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:963574:993403:-1 gene:DRNTG_09609 transcript:DRNTG_09609.22 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKLYSDFYSSDIIIASPLGLVTKIGEAEVEDTDID >DRNTG_09609.37.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:992344:993403:-1 gene:DRNTG_09609 transcript:DRNTG_09609.37 gene_biotype:protein_coding transcript_biotype:protein_coding MCHSSLRSSSATKSISLSASVEVCS >DRNTG_09609.21 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:963574:993403:-1 gene:DRNTG_09609 transcript:DRNTG_09609.21 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKLYSDFYSSDIIIASPLGLVTKIGEAEVEDTDID >DRNTG_09609.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:963574:993403:-1 gene:DRNTG_09609 transcript:DRNTG_09609.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKLYSDFYSSDIIIASPLGLVTKIGEAEVEDTDID >DRNTG_09609.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:963574:993403:-1 gene:DRNTG_09609 transcript:DRNTG_09609.18 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKLYSDFYSSDIIIASPLGLVTKIGEAEVEDTDID >DRNTG_09609.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:963574:993403:-1 gene:DRNTG_09609 transcript:DRNTG_09609.11 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKLYSDFYSSDIIIASPLGLVTKIGEAEVEDTDID >DRNTG_09609.34.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:989197:993403:-1 gene:DRNTG_09609 transcript:DRNTG_09609.34 gene_biotype:protein_coding transcript_biotype:protein_coding MCHSSLRSSSATKSISLSASVEVCS >DRNTG_09609.25 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:963574:993403:-1 gene:DRNTG_09609 transcript:DRNTG_09609.25 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKLYSDFYSSDIIIASPLGLVTKIGEAEVEDTDID >DRNTG_09609.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:963574:993403:-1 gene:DRNTG_09609 transcript:DRNTG_09609.13 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKLYSDFYSSDIIIASPLGLVTKIGEAEVEDTDID >DRNTG_09609.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:963535:993403:-1 gene:DRNTG_09609 transcript:DRNTG_09609.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYNFVGRLLSPWGNSLKCVEASTDCRVLIRGRAA >DRNTG_09609.27 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:963574:993403:-1 gene:DRNTG_09609 transcript:DRNTG_09609.27 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKLYSDFYSSDIIIASPLGLVTKIGEAEVEDTDID >DRNTG_09609.29 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:971771:993403:-1 gene:DRNTG_09609 transcript:DRNTG_09609.29 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKLYSDFYSSDIIIASPLGLVTKIGEAEVEDTDID >DRNTG_09609.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:963574:993431:-1 gene:DRNTG_09609 transcript:DRNTG_09609.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKLYSDFYSSDIIIASPLGLVTKIGEAEVEDTDID >DRNTG_09609.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:963535:993431:-1 gene:DRNTG_09609 transcript:DRNTG_09609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKLYSDFYSSDIIIASPLGLVTKIGEAEVEDTDID >DRNTG_09609.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:963574:993403:-1 gene:DRNTG_09609 transcript:DRNTG_09609.16 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKLYSDFYSSDIIIASPLGLVTKIGEAEVEDTDID >DRNTG_09609.24 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:963574:993403:-1 gene:DRNTG_09609 transcript:DRNTG_09609.24 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKLYSDFYSSDIIIASPLGLVTKIGEAEVEDTDID >DRNTG_09609.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:963574:993403:-1 gene:DRNTG_09609 transcript:DRNTG_09609.12 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKLYSDFYSSDIIIASPLGLVTKIGEAEVEDTDID >DRNTG_09609.33 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:974542:979633:-1 gene:DRNTG_09609 transcript:DRNTG_09609.33 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLLQQSAAHGWLGSQGSLGGFIIKKTIRVDILVDKYPSYNFVGRLLSPWGNSLKCVEASTDCRVLIRGRAA >DRNTG_09609.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:963574:993403:-1 gene:DRNTG_09609 transcript:DRNTG_09609.14 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKLYSDFYSSDIIIASPLGLVTKIGEAEVEDTDID >DRNTG_09609.35 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:971972:973870:-1 gene:DRNTG_09609 transcript:DRNTG_09609.35 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKLYSDFYSSDIIIASPLGLVTKIGEAEVEDTDID >DRNTG_09609.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:963574:993403:-1 gene:DRNTG_09609 transcript:DRNTG_09609.15 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKLYSDFYSSDIIIASPLGLVTKIGEAEVEDTDID >DRNTG_09609.28 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:963574:993403:-1 gene:DRNTG_09609 transcript:DRNTG_09609.28 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKLYSDFYSSDIIIASPLGLVTKIGEAEVEDTDID >DRNTG_09609.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:963574:993403:-1 gene:DRNTG_09609 transcript:DRNTG_09609.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKLYSDFYSSDIIIASPLGLVTKIGEAEVEDTDID >DRNTG_09609.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:963574:993403:-1 gene:DRNTG_09609 transcript:DRNTG_09609.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKLYSDFYSSDIIIASPLGLVTKIGEAEVEDTDID >DRNTG_09609.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:963574:993403:-1 gene:DRNTG_09609 transcript:DRNTG_09609.17 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLLQQSAAHGWLGSQGSLGGFIIKKTIRVDILVDKYPSYNFVGRLLSPWGNSLKCVEASTDCRVLIRGRAA >DRNTG_09609.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:963574:993403:-1 gene:DRNTG_09609 transcript:DRNTG_09609.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKLYSDFYSSDIIIASPLGLVTKIGEAEVEDTDID >DRNTG_09609.20 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:963574:993403:-1 gene:DRNTG_09609 transcript:DRNTG_09609.20 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKLYSDFYSSDIIIASPLGLVTKIGEAEVEDTDID >DRNTG_30026.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19284993:19287188:-1 gene:DRNTG_30026 transcript:DRNTG_30026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGAIIGAGFGGWMNDRFGRRPAILVADVLFFIGAIVMAIAPIPGIIILGRIFVGLGVGMASMTSPLYISEASPAKIRGALVSTNGLLITGGQFLSYLINLAFTKAPGTWRWMLGVAGLPALVQFILMLMLPESPRWLYRKDRKEEAVNILRKIYPADEVDREVEALHLSIEAEIAEEGPVGEAGFATKLRSAFGSVVVRRGLAAGILCQVAQQFVGINTVMYYSPTIVQLAGFASNSTALALSLITSGLNAAGSIVSIFFVDRMGRRRLLLISLVGIVICLALLSGVFFGAAAHSPPVSATESRFAGNSTCPDFHPASGMKWNCVKCLKAPSDCGFCAHAGNKLLPGSCLLVK >DRNTG_30026.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19286436:19287810:-1 gene:DRNTG_30026 transcript:DRNTG_30026.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGAIIGAGFGGWMNDRFGRRPAILVADVLFFIGAIVMAIAPIPGIIILGRIFVGLGVGMASMTSPLYISEASPAKIRGALVSTNGLLITGGQFLSYLINLAFTKAPGTWRWMLGVAGLPALVQFILMLMLPESPRWLYRK >DRNTG_30026.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19284993:19286339:-1 gene:DRNTG_30026 transcript:DRNTG_30026.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDTCKANHREWYTRGCPSNFGWLALIALAAYIISFSPGMGTVPWIINSEIYPLRFRGICGGMAAVANWVSNLIVAQTFLSLTEALGSAATFLLFCCISALAFFLIFFIVPETKGLPFEEVEKMLERKGYKPWKSWKTAPAQVQGH >DRNTG_11365.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3683009:3684796:-1 gene:DRNTG_11365 transcript:DRNTG_11365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRVLKLIGRIAEAVIISTCAAVSAAIATEVVRSKLSAPTPPPSPPASPNRVFGASPAKDGTQPPPSPTPQSSSTKVPGATRAVPAASPATDGTQPPPPPTSQGLPSVNVKDRNRDLAKSLDEGPEN >DRNTG_15601.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000772.1:37723:41120:-1 gene:DRNTG_15601 transcript:DRNTG_15601.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G55500) UniProtKB/TrEMBL;Acc:W8PUA9] MSRRQRARIVRAVVFLFFINVASVILYLILHPRPSSHHRGALTLAPTPPFSSLKPWPSLPSFMPWLPPPKPRARSCEAFFGHGFSRRLDVLPIFPSASGWLRCHYSETLMSSVCEGGGIRMDPGRIEMSRGGEALKEVIGRTEDQELPKFGAGSFEIERDMPAGSKAVDEAFLEKYVPRGHVSMHTMRALLDSIRVVEPGKLECSLWIDEPTVLVTRFEYANLFHTVTDWYSAYVSSRITGLPNRPHLVFVDGHCKAPLEETWEALFSTVRYAKNFSGPVCFRHAIISPLGYETALFKGLTESINCQGTTANILREHPDDMRTARLSEFGEMLRASFGLHGDGMQTKPTTGHNVLFVRREDYLAHPRHSGKVESRLSNEQEVFDSISKWASHHSKCKINMVNGLFAHMQMKEQFQAIQEASVIIGAHGAGLTHLVSATPNTIVLEIISSFYRRPHFSLISQWKGLEYHIINLSGSHAEPDEVIAKLREIMRSLGC >DRNTG_12768.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16813959:16816221:1 gene:DRNTG_12768 transcript:DRNTG_12768.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSPKTLELHVANLPPRGRKLPTFEGISRLDNWFNEWLNSDNGVQFDTVVWGSMVNPEFTLVKRCVEPLIGLYFMVVFGTLAGSVVEVVSLLTRMPAQRPRAHRGVDISFEEPPLVQLLSSPRQVVSSGHELAVAASVYAPGVPDPEPNIATPGVAPPPEVLQVFKAYWDAQGCQPTYQQYRNFLGYWSLFGGQPHIETVSMLPPPNSFSSTSKHLRGNVLKRRNSDFLSASKRNKSEGTSINFTGSSSVKPLSGKVGSQKGITFMSGSFRGKSTGNVPRCRNCNRFHFGPCREPRRCYQCGQAGHLKSACPELGTKALGSAPSPTR >DRNTG_27131.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19103858:19114368:-1 gene:DRNTG_27131 transcript:DRNTG_27131.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPADGAWAGRKPPKRLGGMAEALSIASDLGFSIPPIQEDSQGISNSSTGDKSDDLIRVLRELTSVQRNIANLQVELQGRKDDKNVAHLTHVSEMEKKCESLARMTAILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLLKAASDYGALTASVADFQWSQNFREPPSVWGEMLRPIPSALASCTRFFEAMSAMRDSFATLQQLRVGHSLPMTPSDSTGDSKFATPPQWKDETTSDDSMVGSWRKLEADNHDTEDSDAGDNINHRRLSWPPPMKKGL >DRNTG_05970.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20518156:20519137:-1 gene:DRNTG_05970 transcript:DRNTG_05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVLWRVLYSSSKGPFIRPRIHLAGTMLTKALPLTFFQIELNVRAIEPPKSLANTSQNPSQRPLPRWVNDGENNAGIGFMTRRNPSILAEEDPELERTLRRRRKEPVEEQSILADLEVEGSDNM >DRNTG_04564.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:7741891:7750892:-1 gene:DRNTG_04564 transcript:DRNTG_04564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNRAHNAEVTQTAAPISPTTDAPLVIKDPNREAFKGGCSTSMSLSRIAM >DRNTG_15852.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25188033:25190453:1 gene:DRNTG_15852 transcript:DRNTG_15852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTLTILLPWLPFSRSSPRFPWIQSSISGVSRPDLRPNSPPLRIQYRPLGSGPVDDPPSDEGESPSFDLAVSLFNGGDYYQCHDYIEELWYSAEEPGRTLLHGILQCAVGLHHLFNQNHRGAMLELGEGLCKLRKMNFQAGPFQEFEKEVSQVLEFVYRTQKELAACGDDLCVAMDGSERSYQLLGSFAAGQHLYSLDINSNGVPYILFSHDNQNATNEAVRVKVPMLYATEEHLKACE >DRNTG_17215.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2827925:2831243:-1 gene:DRNTG_17215 transcript:DRNTG_17215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFHNVNLEAGLQKLDQYLLTRSYITGYQASKDDIAVHAAFSSAPSSDYVNVSRWYNHIDALLKLSGVTCEGQGVTISGSAPPAEDDDDDDDVDLFGEETEEEKKAAEERAAAIKASGKKKESGKSSVLLDVKPWDDETDMQKLEESVRSIQMEGLLWGASKLVPVGYGIKKLQIMMTIVDDLVSVDSLIEEHLTVEPANEYIQSCDIVAFNKI >DRNTG_15274.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4511543:4522931:1 gene:DRNTG_15274 transcript:DRNTG_15274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDPEQLKEEYLSARAFNNHGTHTASTAAGVLVRNVSAHGLAAGAARGGAPRARLAIYKTCWGSNGDCSEAAVLKAIDDAIHDGVDVLSLSIGPVGGKGYLEGSLNAVAKGITLVFAGGNGGPATRNLINELPWVITVAASTIDRSFPTVITLGNGQKLVGQAIYYQPTNISFKPLYFGGSCSVDSLNNTDVVGSIVLCSPAEIISFSPRYDPYIAANNVIKANGAGIIYAMYTTNLLFFFESCNNYLVICAIVDFGIAREIQKYGNITSLEGTKPMIKVSLTRDVAGQRVPSPNIAFFSSRGPSALFPELLLPDIAAPGVSIVAAMRDSYDFLSGTSVACPHISGIVALLKSLHPSWSPAAIKSALVTTASITNEHGWPIEAEGLPRKLADPFDFGGGHVNPNKAADPGLIYDIDPKDYLKYFNCSIGIPDACDSTKPLYYLNLPSISIPNLKSTVTVWRTVTNVGSTNAVYKAIPESPVGVEMVVEPPVLVFNCTHKVQTFKVEFTAVFKMQGDYKFGSLTWYDKDDHRVRIPIAIRIVIQDFFSDAS >DRNTG_15274.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4514571:4522931:1 gene:DRNTG_15274 transcript:DRNTG_15274.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDPEQLKEEYLSARAFNNHGTHTASTAAGVLVRNVSAHGLAAGAARGGAPRARLAIYKTCWGSNGDCSEAAVLKAIDDAIHDGVDVLSLSIGPVGGKGYLEGSLNAVAKGITLVFAGGNGGPATRNLINELPWVITVAASTIDRSFPTVITLGNGQKLVGQAIYYQPTNISFKPLYFGGSCSVDSLNNTDVVGSIVLCSPAEIISFSPRYDPYIAANNVIKANGAGIIYAMYTTNLLFFFESCNNYLVICAIVDFGIAREIQKYGNITSLEGTKPMIKVSLTRDVAGQRVPSPNIAFFSSRGPSALFPELLLVFIIGESP >DRNTG_15274.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4514571:4522931:1 gene:DRNTG_15274 transcript:DRNTG_15274.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQSSPQSLYLLALITLSSLNLLHLKAEASSKLYVVYLGEKQHEDPNVVAADHHDMLTAQLGSKEEALSSILYNYKHGFSGFAAMLTESQAKTIGELPEVMSIRPSRTYKIQTTRSWDYLGLHYNEPKGLLHDSHQGEDIIIGMVDTGIWPESPSFSDADLGPIPSRWKGICQTGQAFNSSNCNRKIIGARWYTMGVDPEQLKEEYLSARAFNNHGTHTASTAAGVLVRNVSAHGLAAGAARGGAPRARLAIYKTCWGSNGDCSEAAVLKAIDDAIHDGVDVLSLSIGPVGGKGYLEGSLNAVAKGITLVFAGGNGGPATRNLINELPWVITVAASTIDRSFPTVITLGNGQKLVGQAIYYQPTNISFKPLYFGGSCSVDSLNNTDVVGSIVLCSPAEIISFSPRYDPYIAANNVIKANGAGIIYAMYTTNLLFFFESCNNYLVICAIVDFGIAREIQKYGNITSLEGTKPMIKVSLTRDVAGQRVPSPNIAFFSSRGPSALFPELLLPDIAAPGVSIVAAMRDSYDFLSGTSVACPHISGIVALLKSLHPSWSPAAIKSALVTTASITNEHGWPIEAEGLPRKLADPFDFGGGHVNPNKAADPGLIYDIDPKDYLKYFNCSIGIPDACDSTKPLYYLNLPSISIPNLKSTVTVWRTVTNVGSTNAVYKAIPESPVGVEMVVEPPVLVFNCTHKVQTFKVEFTAVFKMQGDYKFGSLTWYDKDDHRVRIPIAIRIVIQDFFSDAS >DRNTG_15274.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4514571:4522931:1 gene:DRNTG_15274 transcript:DRNTG_15274.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDPEQLKEEYLSARAFNNHGTHTASTAAGVLVRNVSAHGLAAGAARGGAPRARLAIYKTCWGSNGDCSEAAVLKAIDDAIHDGVDVLSLSIGPVGGKGYLEGSLNAVAKGITLVFAGGNGGPATRNLINELPWVITVAASTIDRSFPTVITLGNGQKLVGQAIYYQPTNISFKPLYFGGSCSVDSLNNTDVVGSIVLCSPAEIISFSPRYDPYIAANNVIKANGAGIIYAMYTTNLLFFFESCNNYLVICAIVDFGIAREIQKYGNITSLEGTKPMIKVSLTRDVAGQRVPSPNIAFFSSRGPSALFPELLLPDIAAPGVSIVAAMRDSYDFLSGTSVACPHISGIVALLKSLHPSWSPAAIKSALVTTASITNEHGWPIEAEGLPRKLADPFDFGGGHVNPNKAADPGLIYDIDPKDYLKYFNCSIGIPDACDSTKPLYYLNLPSISIPNLKSTVTVWRTVTNVGSTNAVYKAIPESPVGVEMVVEPPVLVFNCTHKVQTFKVEFTAVFKMQGDYKFGSLTWYDKDDHRVRIPIAIRIVIQDFFSDAS >DRNTG_15274.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4514571:4516265:1 gene:DRNTG_15274 transcript:DRNTG_15274.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDPEQLKEEYLSARAFNNHGTHTASTAAGVLVRNVSAHGLAAGAARGGAPRARLAIYKTCWGSNGDCSEAAVLKAIDDAIHDGVDVLSLSIGPVGGKGYLEGSLNAVAKGITLVFAGGNGGPATRNLINELPWVITVAASTIDRSFPTVITLGNGQKLVVRLYHYNC >DRNTG_15274.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4514571:4522931:1 gene:DRNTG_15274 transcript:DRNTG_15274.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDPEQLKEEYLSARAFNNHGTHTASTAAGVLVRNVSAHGLAAGAARGGAPRARLAIYKTCWGSNGDCSEAAVLKAIDDAIHDGVDVLSLSIGPVGGKGYLEGSLNAVAKGITLVFAGGNGGPATRNLINELPWVITVAASTIDRSFPTVITLGNGQKLVGQAIYYQPTNISFKPLYFGGSCSVDSLNNTDVVGSIVLCSPAEIISFSPRYDPYIAANNVIKANGAGIIYAMYTTNLLFFFESCNNYLVICAIVDFGIAREIQKYGNITSLEGTKPMIKVSLTRDVAGQRVPSPNIAFFSSRGPSALFPELLLPDIAAPGVSIVAAMRDSYDFLSGTSVACPHISGIVALLKSLHPSWSPAAIKSALVTTASITNEHGWPIEAEGLPRKLADPFDFGGGHVNPNKAADPGLIYDIDPKDYLKYFNCSIGIPDACDSTKPLYYLNLPSISIPNLKSTVTVWRTVTNVGSTNAVYKAIPESPVGVEMVVEPPVLVFNCTHKVQTFKVEFTAVFKMQGDYKFGSLTWYDKDDHRVRIPIAIRIVIQDFFSDAS >DRNTG_15274.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4514571:4516265:1 gene:DRNTG_15274 transcript:DRNTG_15274.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRPSRTYKIQTTRSWDYLGLHYNEPKGLLHDSHQGEDIIIGMVDTGIWPESPSFSDADLGPIPSRWKGICQTGQAFNSSNCNRKIIGARWYTMGVDPEQLKEEYLSARAFNNHGTHTASTAAGVLVRNVSAHGLAAGAARGGAPRARLAIYKTCWGSNGDCSEAAVLKAIDDAIHDGVDVLSLSIGPVGGKGYLEGSLNAVAKGITLVFAGGNGGPATRNLINELPWVITVAASTIDRSFPTVITLGNGQKLVVRLYHYNC >DRNTG_15274.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4514571:4516265:1 gene:DRNTG_15274 transcript:DRNTG_15274.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDPEQLKEEYLSARAFNNHGTHTASTAAGVLVRNVSAHGLAAGAARGGAPRARLAIYKTCWGSNGDCSEAAVLKAIDDAIHDGVDVLSLSIGPVGGKGYLEGSLNAVAKGITLVFAGGNGGPATRNLINELPWVITVAASTIDRSFPTVITLGNGQKLVVRLYHYNC >DRNTG_15274.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4514571:4516265:1 gene:DRNTG_15274 transcript:DRNTG_15274.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDPEQLKEEYLSARAFNNHGTHTASTAAGVLVRNVSAHGLAAGAARGGAPRARLAIYKTCWGSNGDCSEAAVLKAIDDAIHDGVDVLSLSIGPVGGKGYLEGSLNAVAKGITLVFAGGNGGPATRNLINELPWVITVAASTIDRSFPTVITLGNGQKLVVRLYHYNC >DRNTG_06268.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:33938:34388:1 gene:DRNTG_06268 transcript:DRNTG_06268.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGEQWKSKRGRRNRKKGLACGTARRV >DRNTG_01256.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1775233:1782361:-1 gene:DRNTG_01256 transcript:DRNTG_01256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLAFRHKVHVDVQGIKTRLQELSQTREVYGISNIGETIGTTSQPRSQNVIPILPQLRDDIDMVGFDDEKKKIVLELVDISNVNRSVISIVGMGGLGKTTLAKSVYNDLEVKRSFNIFAWVIISQQYTIHEILKEISSEISVTPSADTIQGFAVAISEKLQKGKYLIVLDDVWQEDAWTELQKVFPNINNGSRVIITTRFENVINIADPTTKLHKLHYLNEKDSWELFLRKVFPRQDIETCCSTDLVEYAHQLVQRCGGLPLALVVLGGLVSTKPQTQDAWHKVVDSMKGQFVEGGKRCLDILALSYNDLPYYLKSCFLYFGCFKEDAKIPTEKIIRLWSAEGFLPTKNGTTTEEIGFDCLEKLAQRCLIQFTKAEYDDSAKYCRIHDLLRDVCIRETKENRYFEICKNNDTVDHVTMSNAARRLVVYNKIDILNYSNSMLRGLFYGVKEFDNRLAFNALKGQLGRFKLLRVLCLYTTGISEIPSEIKSLIQLRYLEFADVNLKEVPSWIGHLRNLQTFILSCRNLEKISDSLWTIGNLRHVNLPSLLLRASRPPPPNMGNNVPKNLQTLEGINAGSWIGNALPKLTNLCELSINEVFNDHADALSSLLQKLGRLASLRIFGDEIPSDNIITAFSNQHYLKKLTLGGSLNLKQLPHNDVFPQQLVELHLEHSKLEQDPMATLEKLPCLKCLQLWHYSYRGKQMICSATGFPQLLYLEIVNLYELEEWKIEEKAMPCLKSLEINLCRRLKMIPEGLKNVPLDQLHFIYMHKEFMNRMKENTGEDWLK >DRNTG_10563.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000446.1:2288:4127:1 gene:DRNTG_10563 transcript:DRNTG_10563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKTLAAAALSQVGEKREKRMLKWWLESYPVREKKNEEMRRKIGDFRWRIGDRRRELAGVMAWLEEKVPSTPGIMTKVLRKMKRARRHQKKYPKAIGNAQE >DRNTG_06898.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13919950:13921212:1 gene:DRNTG_06898 transcript:DRNTG_06898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFIFSFLLLSVCHAAIFAIVNQCPYTIWPAAIPGGGRQLLPGQNWTIFVNPRMDDARIWARTGCTFNSSGYGRCETGDCNGLLECQTYGSPPNTLAEFTLNGFNNLDFIDISLVEGFNVPIEFSPIAGCNHAIKCSTNITGQCPDELKTSGGCNNPCTVFKTDEYCCKSGNCKSTNYSNFFKGLCPNAYSYPMDDESSTFTCIGGTDYRVVFCPDNNATFNIVNRCSYTVWAASIPGGGKQLDKDQTWTINVNVDTTGGRVWARTGCNFDSSGHGSCETGDCNGLLECQAYGKPPNTVAEFALNQYNNLDFIDISLVDGFNVPMEFSPTSGCARGMIQCSADINGQCPTQLKTNGGCNNPCTVFKTNEYCCNSSNCGPTNYSTFFKGFCPDAYSYPMDDNTSTFTCPGGASYKVVFCP >DRNTG_10482.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20358963:20361068:-1 gene:DRNTG_10482 transcript:DRNTG_10482.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 4.7 [Source:Projected from Arabidopsis thaliana (AT5G62730) UniProtKB/Swiss-Prot;Acc:Q9FM20] MLFTGLYLVALGVGGIKGSLPAHGAEQFDDTTVQGRKERSTFFNYFVFCLSTGGLIAVTFVVWVEDNKGWQWGFGISTITILLSIPVFLSGAKFYRNKLPIGSPLTTIAKVLVAATCNSTNVAQSPSNAVIDMSTSPTKGGTILKKHEELSMNNEHNSSKDDGMKFLDRASQGKPIHKSLVCTNVEVEDVKIVLRVLPIFLLTIMLSCCLAQLSTFSVQQAATMDTRVGGLTVPPASLPIFPVIFIMILAPIYDHILIPFARKLRKTEMGISHLQRIGIGLVFSIISMGVAALVEMKRKRVVKKIGIDSTEPLPITFFWVALQYFVLRIC >DRNTG_10482.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20358963:20362338:-1 gene:DRNTG_10482 transcript:DRNTG_10482.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 4.7 [Source:Projected from Arabidopsis thaliana (AT5G62730) UniProtKB/Swiss-Prot;Acc:Q9FM20] MLAAVFVLVVEVMENLAFLANASNLVTYLTGFMHFSPSRAANTVTNFMGTCFLLALLGGFLSDAFSTSFRVYLFSAIIEFLGLVILTVQAKSSTLKPPPCTSTSAENHCEQVFGSKAAMLFTGLYLVALGVGGIKGSLPAHGAEQFDDTTVQGRKERSTFFNYFVFCLSTGGLIAVTFVVWVEDNKGWQWGFGISTITILLSIPVFLSGAKFYRNKLPIGSPLTTIAKVLVAATCNSTNVAQSPSNAVIDMSTSPTKGGTILKKHEELSMNNEHNSSKDDGMKFLDRASQGKPIHKSLVCTNVEVEDVKIVLRVLPIFLLTIMLSCCLAQLSTFSVQQAATMDTRVGGLTVPPASLPIFPVIFIMILAPIYDHILIPFARKLRKTEMGISHLQRIGIGLVFSIISMGVAALVEMKRKRVVKKIGIDSTEPLPITFFWVALQYFVLRIC >DRNTG_10482.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20358963:20362338:-1 gene:DRNTG_10482 transcript:DRNTG_10482.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 4.7 [Source:Projected from Arabidopsis thaliana (AT5G62730) UniProtKB/Swiss-Prot;Acc:Q9FM20] MEEAYDQPWQGYVDWRNKPAYRSKHGGMLAAVFVLVVEVMENLAFLANASNLVTYLTGFMHFSPSRAANTVTNFMGTCFLLALLGGFLSDAFSTSFRVYLFSAIIEFLGLVILTVQAKSSTLKPPPCTSTSAENHCEQVFGSKAAMLFTGLYLVALGVGGIKGSLPAHGAEQFDDTTVQGRKERSTFFNYFVFCLSTGGLIAVTFVVWVEDNKGWQWGFGISTITILLSIPVFLSGAKFYRNKLPIGSPLTTIAKVLVAATCNSTNVAQSPSNAVIDMSTSPTKGGTILKKHEELSMNNEHNSSKDDGMKFLDRASQGKPIHKSLVCTNVEVEDVKIVLRVLPIFLLTIMLSCCLAQLSTFSVQQAATMDTRVGGLTVPPASLPIFPVIFIMILAPIYDHILIPFARKLRKTEMGISHLQRIGIGLVFSIISMGVAALVEMKRKRVVKKIGIDSTEPLPITFFWVALQYFVLRIC >DRNTG_10482.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20358963:20359963:-1 gene:DRNTG_10482 transcript:DRNTG_10482.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 4.7 [Source:Projected from Arabidopsis thaliana (AT5G62730) UniProtKB/Swiss-Prot;Acc:Q9FM20] MKVLVAATCNSTNVAQSPSNAVIDMSTSPTKGGTILKKHEELSMNNEHNSSKDDGMKFLDRASQGKPIHKSLVCTNVEVEDVKIVLRVLPIFLLTIMLSCCLAQLSTFSVQQAATMDTRVGGLTVPPASLPIFPVIFIMILAPIYDHILIPFARKLRKTEMGISHLQRIGIGLVFSIISMGVAALVEMKRKRVVKKIGIDSTEPLPITFFWVALQYFVLRIC >DRNTG_10482.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20358963:20361940:-1 gene:DRNTG_10482 transcript:DRNTG_10482.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 4.7 [Source:Projected from Arabidopsis thaliana (AT5G62730) UniProtKB/Swiss-Prot;Acc:Q9FM20] MENLAFLANASNLVTYLTGFMHFSPSRAANTVTNFMGTCFLLALLGGFLSDAFSTSFRVYLFSAIIEFLGLVILTVQAKSSTLKPPPCTSTSAENHCEQVFGSKAAMLFTGLYLVALGVGGIKGSLPAHGAEQFDDTTVQGRKERSTFFNYFVFCLSTGGLIAVTFVVWVEDNKGWQWGFGISTITILLSIPVFLSGAKFYRNKLPIGSPLTTIAKVLVAATCNSTNVAQSPSNAVIDMSTSPTKGGTILKKHEELSMNNEHNSSKDDGMKFLDRASQGKPIHKSLVCTNVEVEDVKIVLRVLPIFLLTIMLSCCLAQLSTFSVQQAATMDTRVGGLTVPPASLPIFPVIFIMILAPIYDHILIPFARKLRKTEMGISHLQRIGIGLVFSIISMGVAALVEMKRKRVVKKIGIDSTEPLPITFFWVALQYFVLRIC >DRNTG_26365.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:524832:527296:1 gene:DRNTG_26365 transcript:DRNTG_26365.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYNGIYHLFYQYNPKGAVWGNIVWAHSVSTDLINWTPLEPALTPTKPFDINGCWSGSATILPGNKPVIFYTGVDSNNIQVQNLAFPKNLSDPYLIEWIKPDYNPVIIPDESIEPSKFRDPTTGWFGHDNHWRVIIGSRHNHRGMAIMYRSKDFVHWIKAKHPLHSASNTGMWECPDFYPVSLHGNTGVDTSQYGDGFKHVLKNSLDVTRYEYYTVGTYYREKDRYVPDMTSADDQSGLRYDYGNFYASKTFYDSGKNRRILWGWSNETDSVQDDVAKGWSGIQAIPRALWLDEKGQQLVIWPVEEVENLRGKLVHIKNKELKYGEMFEVQGIMSSQANVEVSFEVTGLEKAEIYDPTWEDPQVLCGEKGASVKGGVGPFGLLVMASSGLQEQTGVLFRVFKAPNKDVVLLCHDATKSTLKGGVFKPNFAGYVDVDIRKTGKLSLRTLIDGSVIESFGAGGRTCITSRVYPTLAVGNNAHLYVFNNGQQKIKVSHLKAWEMVRPTMN >DRNTG_26365.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:526210:527296:1 gene:DRNTG_26365 transcript:DRNTG_26365.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGLQEQTGVLFRVFKAPNKDVVLLCHDATKSTLKGGVFKPNFAGYVDVDIRKTGKLSLRTLIDGSVIESFGAGGRTCITSRVYPTLAVGNNAHLYVFNNGQQKIKVSHLKAWEMVRPTMN >DRNTG_26365.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:523988:527296:1 gene:DRNTG_26365 transcript:DRNTG_26365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMENRKLALFLFNFLVWFLFSLLVRDGVVEASHVVYEELQSISPSIVSSKHRTGFHFQPQRNWLNDPNGPMYYNGIYHLFYQYNPKGAVWGNIVWAHSVSTDLINWTPLEPALTPTKPFDINGCWSGSATILPGNKPVIFYTGVDSNNIQVQNLAFPKNLSDPYLIEWIKPDYNPVIIPDESIEPSKFRDPTTGWFGHDNHWRVIIGSRHNHRGMAIMYRSKDFVHWIKAKHPLHSASNTGMWECPDFYPVSLHGNTGVDTSQYGDGFKHVLKNSLDVTRYEYYTVGTYYREKDRYVPDMTSADDQSGLRYDYGNFYASKTFYDSGKNRRILWGWSNETDSVQDDVAKGWSGIQAIPRALWLDEKGQQLVIWPVEEVENLRGKLVHIKNKELKYGEMFEVQGIMSSQANVEVSFEVTGLEKAEIYDPTWEDPQVLCGEKGASVKGGVGPFGLLVMASSGLQEQTGVLFRVFKAPNKDVVLLCHDATKSTLKGGVFKPNFAGYVDVDIRKTGKLSLRTLIDGSVIESFGAGGRTCITSRVYPTLAVGNNAHLYVFNNGQQKIKVSHLKAWEMVRPTMN >DRNTG_27060.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2725074:2725873:1 gene:DRNTG_27060 transcript:DRNTG_27060.2 gene_biotype:protein_coding transcript_biotype:protein_coding SLITETIPYHKDYKFSLKKEKLETRKKLLSVLEELERLKPHVQLLVSELKRNSANHVTYGQVHHDVSAEINRNSTNQAVVSVGQLHCHDSSNDKFQQTQKLLDEIADMMETLKKKNSESSSIQGNI >DRNTG_27060.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2724650:2725873:1 gene:DRNTG_27060 transcript:DRNTG_27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSPKVVINIVEVAERIEVANGISLSYYYNLSDNLIKQANIYRQQENNIINLYIELLIFASLITETIPYHKDYKFSLKKEKLETRKKLLSVLEELERLKPHVQLLVSELKRNSANHVTYGQVHHDVSAEINRNSTNQAVVSVGQLHCHDSSNDKFQQTQKLLDEIADMMETLKKKNSESSSIQGNI >DRNTG_09366.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15063527:15064340:-1 gene:DRNTG_09366 transcript:DRNTG_09366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSHALHAIQAISAPPLRPANGICFILVHYSARGHKEMVDMGLLDFTHDIPPGLTESLGKSVLESHGVFPEAKWYRIGLVALIGYVFLFNILFTGALTYLQPFGKISASNV >DRNTG_31938.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19468856:19473189:1 gene:DRNTG_31938 transcript:DRNTG_31938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRRKALLLWVRGIKCSSLRCPSIGRYDKQGRSCRSIYRASGMEELAGYVDYCYADQIFKIEVLSMARSLIKEVYVCIKVDNGDDEVVMTSCSKAGDNVAGQASDDKIVNAEEEEEIEDIEEEEEEEEEEEEEEEEIDLEEEEPMEDSEIASHQIVVATEPPKKADGSQVESDYAGLDKLNYCSSTDEDELSDQGPMMPPEPMNKKRRRKTLLKRREVDEENRGCTKGRETKKGVSMKCSVCGAIGHNKRFHERN >DRNTG_29445.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001479.1:7468:8227:1 gene:DRNTG_29445 transcript:DRNTG_29445.2 gene_biotype:protein_coding transcript_biotype:protein_coding RKRPQRAKKRAKKDTTKASKSPQIPGIPATAPFSMLGAGAGASTSCAVATAKTSSKRSAVAITDEIFIFIASIERDRAEDEEDARD >DRNTG_29445.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001479.1:7468:8097:1 gene:DRNTG_29445 transcript:DRNTG_29445.3 gene_biotype:protein_coding transcript_biotype:protein_coding RKRPQRAKKRAKKDTTKASKSPQIPGIPATAPFSMLGAGAGASTSCAVATAKTSSKRSAVAITDEIFIFIASIERDRAEDEEDARD >DRNTG_29445.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001479.1:7179:8020:1 gene:DRNTG_29445 transcript:DRNTG_29445.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKRPQRAKKRAKKDTTKASKSPQIPGIPATAPFSMLGAGAGASTSCAVATAKTSSKRSAVAITDEIFIFIASIERDRAEDEEDARD >DRNTG_29445.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001479.1:7468:8020:1 gene:DRNTG_29445 transcript:DRNTG_29445.4 gene_biotype:protein_coding transcript_biotype:protein_coding RKRPQRAKKRAKKDTTKASKSPQIPGIPATAPFSMLGAGAGASTSCAVATAKTSSKRSAVAITDEIFIFIASIERDRAEDEEDARD >DRNTG_17726.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4059067:4060701:-1 gene:DRNTG_17726 transcript:DRNTG_17726.2 gene_biotype:protein_coding transcript_biotype:protein_coding WLVATPSTTQRSQGHSYQHSPAHGQSANPHGKTKLFCPTLHSQIFLASTN >DRNTG_17726.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4059067:4060701:-1 gene:DRNTG_17726 transcript:DRNTG_17726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLPSHTEMMYTWLAATPSTTQRSQGHSYHHSPARRQSSNPHGKTKLFSPTLHSQIFLASTN >DRNTG_16213.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30844009:30845848:1 gene:DRNTG_16213 transcript:DRNTG_16213.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLRGSAGEENLPPTPSFKRRKKKLEIQPPEDANNKQA >DRNTG_16213.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30844009:30845848:1 gene:DRNTG_16213 transcript:DRNTG_16213.3 gene_biotype:protein_coding transcript_biotype:protein_coding TNNTRRTPTKQEACCSPSSFNHEQESPTSNLSEDPPVKRISLQLQASREEKKNWKFSLLKMPTTSKLE >DRNTG_16213.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30844009:30845848:1 gene:DRNTG_16213 transcript:DRNTG_16213.2 gene_biotype:protein_coding transcript_biotype:protein_coding SLRGSAGEENLPPTPSFKRRKKKLEIQPPEDANNKQA >DRNTG_20859.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15954562:15955380:-1 gene:DRNTG_20859 transcript:DRNTG_20859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDYGSYLTIMKVEDIDFETKSRLYTYKGRIINYFSGLDLSCNQLVGKIPMEMGNMSWLRALNLSNNQLSGPLPDTLSRLIDIESLDLSCNMLTGNIPTQLAELYFIEVFSVPYNNLSGPTLGRVSQFSTFDESSYKGNPYLCGPPLVRNCTPLPSPRQGEVEDDYGNEETIDNLFFFASFTLAFIIGFWGWMALLYFKISWRHYLFVVIDSYDVQRVWNVFLNLLQLSIMDGLLVRICLLTV >DRNTG_10031.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2928367:2930122:1 gene:DRNTG_10031 transcript:DRNTG_10031.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFITRRSSFGTKDAFQRLFSTSQLQRLAGKVAVITGAASGVGKATATEFIRQGANVILADIQHDAGQAIAVGLGPNATFAFCDVTKESDISTTISLAVKTYGHVDIVYNNAGIAGSLTPSVTELNIEDFDKVMAVNVRSVVTGIKHAAKAMIPRKTGCILCTASVTGILGGMAPIDYTVSKTAVVGAVRAAAAELCKYGIRVNCISPHALPTALGVKAMMQMLPGVTEERVVEMIYATGEFEGARCEPEDVAKAAVYLASDDAKYISGHNLVVDGGFTVNKKFDFPPP >DRNTG_12447.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20994962:20999552:-1 gene:DRNTG_12447 transcript:DRNTG_12447.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UNE5 [Source:Projected from Arabidopsis thaliana (AT2G47470) UniProtKB/TrEMBL;Acc:A0A178W1F8] MSTRAYVASTVFLDTPQSNGFRKGSLEPKKYEGPRTAEALAEFVNTEGGTNVKLATIPSNVVILNEENFNQVVLDETKDVLVEFYAPWCGHCKSLAPTYEKVANVYKLDEGVVIANLDADKHKDLAEKYGVSGYPTLKFFPKNNKAGEDYDGGRDVDDFVKFINEKCGTSRDAKGQLTSQAGVVESLDALVKEFVAAANDERKAILSRIEEEVEKLEGSSARYGKIYTKAAKSCIEKGTDYAKNEIERLKRMLEKSISPSKADEFIIKKNILSTFVA >DRNTG_01110.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:14263544:14264335:-1 gene:DRNTG_01110 transcript:DRNTG_01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVHHKNIKFTIGIDGGGVNDLFKYLYVGNETQVYYALNITLLFLEKDLNSGSKFDLRFTKITFRSVTTGAMKKTLVEYEEMKMERNGDAEMKPSYSIAPGVLRAIGGKKLVVFYLHLYSYAVFYCYAIWKGESKAYTMALEGNNETMMEVITMCYLNTSKWILKHLAFRVLKIKPWSMPVYHFMPEEHVLLIVSK >DRNTG_14436.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000681.1:20708:21722:1 gene:DRNTG_14436 transcript:DRNTG_14436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGRINQVAPSRATAGGRLRGAPPSRPRAAPRATGTAGGEAGVPLGGDVAGGTRDGRVFPSNPRRDGTRAGDAIDRGRRGGTAPTPATDHQLGHRIRERSRRPGRVS >DRNTG_03261.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000150.1:2160:3229:1 gene:DRNTG_03261 transcript:DRNTG_03261.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALLCSQENPHCFLMQPHGHEENSHAGVSDPQWQPHASMTSRRKLSH >DRNTG_04807.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2362233:2364565:-1 gene:DRNTG_04807 transcript:DRNTG_04807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTTTTVKQNLDDETLLPFSPPTPTVRERDEARSF >DRNTG_09293.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:867408:868884:-1 gene:DRNTG_09293 transcript:DRNTG_09293.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:21.7 kDa class VI heat shock protein [Source:Projected from Arabidopsis thaliana (AT5G54660) UniProtKB/Swiss-Prot;Acc:Q9FIT9] MAPRRVLEVRSGDQDTQKWRVPLREDVFESFIAHAGDTAHKVFADGSLFNPFLFRKFFDPADAFPLWEFDSEVLLKAVRTASNSSVDWQETDTEYILRAELPSTKKCEVEIAGEKGKVVEISGQWRGRESESMDWKAGRWWEHGYVRCIELPEDANWKKIEAYIDDENLLQIKIPKNNSDSNALQTSAVEPKESEFV >DRNTG_09293.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:865726:868884:-1 gene:DRNTG_09293 transcript:DRNTG_09293.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:21.7 kDa class VI heat shock protein [Source:Projected from Arabidopsis thaliana (AT5G54660) UniProtKB/Swiss-Prot;Acc:Q9FIT9] MAPRRVLEVRSGDQDTQKWRVPLREDVFESFIAHAGDTAHKVFADGSLFNPFLFRKFFDPADAFPLWEFDSEVLLKAVRTASNSSVDWQETDTEYILRAELPSTKKCEVEIAGEKGKVVEISGQWRGRESESMDWKAGRWWEHGYVRCIELPEDANWKKIEAYIDDENLLQIKIPKNNSDSNALQTSAVEPKESEFV >DRNTG_09293.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:867316:868884:-1 gene:DRNTG_09293 transcript:DRNTG_09293.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:21.7 kDa class VI heat shock protein [Source:Projected from Arabidopsis thaliana (AT5G54660) UniProtKB/Swiss-Prot;Acc:Q9FIT9] MAPRRVLEVRSGDQDTQKWRVPLREDVFESFIAHAGDTAHKVFADGSLFNPFLFRKFFDPADAFPLWEFDSEVLLKAVRTASNSSVDWQETDTEYILRAELPSTKKCEVEIAGEKGKVVEISGQWRGRESESMDWKAGRWWEHGYVRCIELPEDANWKKIEAYIDDENLLQIKIPKNNSDSNALQTSAVEPKESEFV >DRNTG_09293.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:867408:868589:-1 gene:DRNTG_09293 transcript:DRNTG_09293.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:21.7 kDa class VI heat shock protein [Source:Projected from Arabidopsis thaliana (AT5G54660) UniProtKB/Swiss-Prot;Acc:Q9FIT9] MAPRRVLEVRSGDQDTQKWRVPLREDVFESFIAHAGDTAHKVFADGSLFNPFLFRKFFDPADAFPLWEFDSEVLLKAVRTASNSSVDWQETDTEYILRAELPSTKKCEVEIAGEKGKVVEISGQWRGRESESMDWKAGRWWEHGYVRCIELPEDANWKKIEAYIDDENLLQIKIPKNNSDSNALQTSAVEPKESEFV >DRNTG_09293.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:865726:868589:-1 gene:DRNTG_09293 transcript:DRNTG_09293.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:21.7 kDa class VI heat shock protein [Source:Projected from Arabidopsis thaliana (AT5G54660) UniProtKB/Swiss-Prot;Acc:Q9FIT9] MAPRRVLEVRSGDQDTQKWRVPLREDVFESFIAHAGDTAHKVFADGSLFNPFLFRKFFDPADAFPLWEFDSEVLLKAVRTASNSSVDWQETDTEYILRAELPSTKKCEVEIAGEKGKVVEISGQWRGRESESMDWKAGRWWEHGYVRCIELPEDANWKKIEAYIDDENLLQIKIPKNNSDSNALQTSAVEPKESEFV >DRNTG_09293.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:867316:867903:-1 gene:DRNTG_09293 transcript:DRNTG_09293.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:21.7 kDa class VI heat shock protein [Source:Projected from Arabidopsis thaliana (AT5G54660) UniProtKB/Swiss-Prot;Acc:Q9FIT9] MDWKAGRWWEHGYVRCIELPEDANWKKIEAYIDDENLLQIKIPKNNSDSNALQTSAVEPKESEFV >DRNTG_09293.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:867316:868589:-1 gene:DRNTG_09293 transcript:DRNTG_09293.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:21.7 kDa class VI heat shock protein [Source:Projected from Arabidopsis thaliana (AT5G54660) UniProtKB/Swiss-Prot;Acc:Q9FIT9] MAPRRVLEVRSGDQDTQKWRVPLREDVFESFIAHAGDTAHKVFADGSLFNPFLFRKFFDPADAFPLWEFDSEVLLKAVRTASNSSVDWQETDTEYILRAELPSTKKCEVEIAGEKGKVVEISGQWRGRESESMDWKAGRWWEHGYVRCIELPEDANWKKIEAYIDDENLLQIKIPKNNSDSNALQTSAVEPKESEFV >DRNTG_07181.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:12298926:12300894:-1 gene:DRNTG_07181 transcript:DRNTG_07181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHGKSCCSHFGGLREMETLAEFKVHVTPRHWEIIRRTPFATFTELEAIFQERAFFYSLLQRYDGRTNKFRIWESLLSFRPEDVAFVLVLRCDGDAVVFQKKKTRSAFEERYLSKTYERHRDSIKSTLEQLIGQREEEENFAKLLMVYLMGTILFPNTLCLVLNWIVDYVDDLIGIGRYTWVQATHKWLIEDIPQTTAQVQARYAGKKTNTGYIKGLLSMALNISSFTSRRSRKESPFQRRS >DRNTG_34905.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002201.1:7013:12920:1 gene:DRNTG_34905 transcript:DRNTG_34905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSKVTADAWTNIKHAVLDGSVPFAKANGMTIYEHEDKDPHFSELFNETMFNRTTMYMKKMLENYKGFESINVLVDVGGGHGGILSIILSNYPHIKAINFDLHHVVSKAKTIQGVEFVGGDMFVSVPSGSDAIFMKDFGYFLLTFCLAGGRKYIRATKEEVLRRQYHHPPQCDLSSEVSSFIGVEETALEIQLIKGGMPIAEYFIIHLYYGLGDFMNLNEARFGGYVDVL >DRNTG_01672.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23852395:23856103:-1 gene:DRNTG_01672 transcript:DRNTG_01672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDGRWMVLAAAVWIQAFTGTNFDFSAYSSELKAALGISQVQLSYLATASDLGKALGWSSGLALIYLPLPLVLLLTAVIGLAAYAVQWLVITSRISLPYLPVFLVCLLAGCSICWFNTICFVLCIKNFPMSRAVALSLTISFNGVSAALFSLVAKTLGSTSSVYLLLNATLPLLASAASLPPILRYHHPVSSTTVSPHAATDNRIFLWLFALAFVTGLYLLFINSVSTTVVIACFLLVGALSLLLFPLCIPCLVCSHSQESIQTLQQLHKPFIDQQSSAAADVVEEDDISSREGRGPWKSWWCYCCCDQLMAMDRLSGLSEEHGVKQLMRRVDFWLYYLVYLCGATVGLVYSNNLGQIAQSLGKESQTTTLVTVYSSCSFFGRLFSAAPDFLTGKAHFARTGWLVVAQVLTPVAFFLLAESGDGKALLAGTALVGLSSGFVFAAAVSVTSDLFGPNSVGVNHNILITNIPVGSLLYGVLAALYYDANGVMIGDGMVVCMGRECYAKTFVLWGCISLFGLACSVVLYLRTRLAYEFSDRRRSPAALVDRGAIDNGVDG >DRNTG_08584.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9820767:9822509:-1 gene:DRNTG_08584 transcript:DRNTG_08584.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSIFFFLIISFIVFSSTTACDRCVHKSKVSYFPSSSSLSAGACGYGSMAMSFNGGYVAASNPSLYRHGVGCGACFQIRCKNKMCSTSGVKVILTDMSKSNTTSFVLAKPAFEALAKPGMASEMKNFSILDVAYKRIPCAYKRNLSVIVEESSEKPSNLVIKFLFQGGQTDIVAVDVAQVGSSDWRYMTQSYNGPVWNTNRAPAGPLQMRMVVTGGYDGKWIWADKQVIPIEWKIGSVYDLGVQISDIAQEGCSPCDTDEWK >DRNTG_30392.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13514296:13525560:1 gene:DRNTG_30392 transcript:DRNTG_30392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPITTITSLHNQRNDAPVMKVFKKGSSKREVTRYVERFERIVVRELQRGPMNNRERLFQNHRIRNMIDFIISTTHKLTDIYGDKDNARRDEFAILGGQTTTGINLFNDFYDRLKEIWEYHRRHPAAHVVDATEEFEELLKEEPFLERFYGSEIVISLEEVLDKARLERVERVSRECTIQQPVEEEYTARIQGQNCELDNVIEQFEKSVSSLSDYPESTQERELAPVGDLVNVARAWIIFARPYESLQRSSLYPKKAQGRALAPNDQRSHRGLRLPLTHRRTAVGMATRSKRAVGKHPRKPTPEPEVLEFTLPAHRACVGAIQFIAFGQHRSMSITQFSIRLGLYDEYTETEEYESLPIDMSGLSPEEAYKMLCEKGRYEPGVSKASCLSRPSYRYLHAIISRSVNCRRDSTGVINKQEFMYLYSMVRNESVHLGHILAEYLKHQGQYHKLGVIFSGPYITRLILGMGLRDTIRGAEKVTIPAPLGMETLRLMGMVRRVWIGVYALVLPTPEIVADEHDEARHLSLLPSLSEHRWRLRHPQL >DRNTG_06152.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25409392:25412164:-1 gene:DRNTG_06152 transcript:DRNTG_06152.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNDHEKKLSVAVEGPYGPATFPYQRYDSLILIAGGSGITPFLSILQDIVAQRIGPKNTCAVKIQLIYTVKRSEDLSMLAPISELLLYNELDGFRQLELKIFVTQEEKYSATAREILHEMCQVKTVILDVKSSQEDVIRPESLLWKATVTVLSSLTFLACVVVLNRVFGHQGKRASQDKAPSWVNDLLVLCSLIIAASVTIMATIFTEVEEVSEGYVL >DRNTG_06152.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25409392:25412164:-1 gene:DRNTG_06152 transcript:DRNTG_06152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKALLVSILKFSMTVIFAAWLCLWFLKPTHVWKSSWHDAEDWATITFLGASGFNVVVFCFPLLAVAAMVYVHIHMGARKGRVRYGFIELLSRLGKFNPLIVRSPVGVISIGELIFVAIFILLLAWTYYSNVSSDFKSMTSSKSMKLSRWQLKVMRLGVRFGSLSEACLAVLLLPVLRGMALFRLFGIQFEASVRYHIWIGNTLIMFSVLHGLFIMFSLAAKNSLLEEITKWQRTGRVYPAGAITLVVGLFIWMTSLPQIRKKKFLMFYSFHHLYVVFLLFFLLHAGDRHFYLIFSGVLLFALDKILRFIQSSQSC >DRNTG_28965.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9032231:9036089:-1 gene:DRNTG_28965 transcript:DRNTG_28965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLRNSSCLLSRLPFSSFQSPWLLSLRSLSQSLQTLACQEIQASEKPYDSTVLVLHGLLGSGRNWMTFSRNLLLELQKSSPSNEWRMVLVDLRNHGRSAGLKGFDPPHDMVNAAKDLSNLVKHHGWAWPEVVIGHSMGGKVGLEFIASCARGDYGDSAVLPKQLWVLDSDSGQVKSNGGDEVEKVLQTLQSLPSSLLSRKWVVDHMIQLGFSRSLSEWIGSNVKKSGEHYTWAFDLQAAIDMFNSYREKSYWSLLEHPPKDVKIEIVRAENSDRWDEHILQKLSSLTEKEERFDEGKVSLHVLPNSGHWVHVDNPKGLLEIILSNFISK >DRNTG_26427.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23936684:23938491:-1 gene:DRNTG_26427 transcript:DRNTG_26427.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHVQGGQCGNQIGAKFWEVVCAEHGIDSTGRYKGDTDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSIRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYSALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMAATFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEELDYEEEEEEEEEEGQEV >DRNTG_19343.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5233607:5237382:1 gene:DRNTG_19343 transcript:DRNTG_19343.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKNLLLCTRTLASIANSTTSSSIAIFWDLDNKPPNSIPPYDAAVRLKLAAASFGTLKFAVAYANAHAFRHVPAPIRAQRQDRRTLDRLENAGVYTPSEPYVCRVCGRNFYNHTKFINHFKQLHEREQGKRLRRLESAKGSKRVMLAAKLSMKMEKYRRAERDVLVPKIGYGLADELRRAGVLVRTVDDRPEAADRAIREHMVDTMDRRRVGCLMLVSDDAGFAGVLREARMRCLKTVVVGDEGDGVLKRCADAAFSWKEVSSGKARKEASSVVEKWRDRELLKRLEWRYRPEETEEVECGDLEEGLSDGDDEDGGDIGLHCQVETP >DRNTG_19343.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5233464:5237315:1 gene:DRNTG_19343 transcript:DRNTG_19343.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKNLLLCTRTLASIANSTTSSSIAIFWDLDNKPPNSIPPYDAAVRLKLAAASFGTLKFAVAYANAHAFRHVPAPIRAQRQDRRTLDRLENAGVYTPSEPYVCRVCGRNFYNHTKFINHFKQLHEREQGKRLRRLESAKGSKRVMLAAKLSMKMEKYRRAERDVLVPKIGYGLADELRRAGVLVRTVDDRPEAADRAIREHMVDTMDRRRVGCLMLVSDDAGFAGVLREARMRCLKTVVVGDEGDGVLKRCADAAFSWKEVSSGKARKEASSVVEKWRDRELLKRLEWRYRPEETEEVECGDLEEGLSDGDDEDGGDIGLHCQVETP >DRNTG_19343.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5233464:5237382:1 gene:DRNTG_19343 transcript:DRNTG_19343.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKNLLLCTRTLASIANSTTSSSIAIFWDLDNKPPNSIPPYDAAVRLKLAAASFGTLKFAVAYANAHAFRHVPAPIRAQRQDRRTLDRLENAGVYTPSEPYVCRVCGRNFYNHTKFINHFKQLHEREQGKRLRRLESAKGSKRVMLAAKLSMKMEKYRRAERDVLVPKIGYGLADELRRAGVLVRTVDDRPEAADRAIREHMVDTMDRRRVGCLMLVSDDAGFAGVLREARMRCLKTVVVGDEGDGVLKRCADAAFSWKEVSSGKARKEASSVVEKWRDRELLKRLEWRYRPEETEEVECGDLEEGLSDGDDEDGGDIGLHCQVETP >DRNTG_19343.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5233561:5237315:1 gene:DRNTG_19343 transcript:DRNTG_19343.10 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKNLLLCTRTLASIANSTTSSSIAIFWDLDNKPPNSIPPYDAAVRLKLAAASFGTLKFAVAYANAHAFRHVPAPIRAQRQDRRTLDRLENAGVYTPSEPYVCRVCGRNFYNHTKFINHFKQLHEREQGKRLRRLESAKGSKRVMLAAKLSMKMEKYRRAERDVLVPKIGYGLADELRRAGVLVRTVDDRPEAADRAIREHMVDTMDRRRVGCLMLVSDDAGFAGVLREARMRCLKTVVVGDEGDGVLKRCADAAFSWKEVSSGKARKEASSVVEKWRDRELLKRLEWRYRPEETEEVECGDLEEGLSDGDDEDGGDIGLHCQVETP >DRNTG_19343.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5233561:5237473:1 gene:DRNTG_19343 transcript:DRNTG_19343.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKNLLLCTRTLASIANSTTSSSIAIFWDLDNKPPNSIPPYDAAVRLKLAAASFGTLKFAVAYANAHAFRHVPAPIRAQRQDRRTLDRLENAGVYTPSEPYVCRVCGRNFYNHTKFINHFKQLHEREQGKRLRRLESAKGSKRVMLAAKLSMKMEKYRRAERDVLVPKIGYGLADELRRAGVLVRTVDDRPEAADRAIREHMVDTMDRRRVGCLMLVSDDAGFAGVLREARMRCLKTVVVGDEGDGVLKRCADAAFSWKEVSSGKARKEASSVVEKWRDRELLKRLEWRYRPEETEEVECGDLEEGLSDGDDEDGGDIGLHCQVETP >DRNTG_19343.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5233464:5237315:1 gene:DRNTG_19343 transcript:DRNTG_19343.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKNLLLCTRTLASIANSTTSSSIAIFWDLDNKPPNSIPPYDAAVRLKLAAASFGTLKFAVAYANAHAFRHVPAPIRAQRQDRRTLDRLENAGVYTPSEPYVCRVCGRNFYNHTKFINHFKQLHEREQGKRLRRLESAKGSKRVMLAAKLSMKMEKYRRAERDVLVPKIGYGLADELRRAGVLVRTVDDRPEAADRAIREHMVDTMDRRRVGCLMLVSDDAGFAGVLREARMRCLKTVVVGDEGDGVLKRCADAAFSWKEVSSGKARKEASSVVEKWRDRELLKRLEWRYRPEETEEVECGDLEEGLSDGDDEDGGDIGLHCQVETP >DRNTG_19343.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5233607:5237382:1 gene:DRNTG_19343 transcript:DRNTG_19343.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKNLLLCTRTLASIANSTTSSSIAIFWDLDNKPPNSIPPYDAAVRLKLAAASFGTLKFAVAYANAHAFRHVPAPIRAQRQDRRTLDRLENAGVYTPSEPYVCRVCGRNFYNHTKFINHFKQLHEREQGKRLRRLESAKGSKRVMLAAKLSMKMEKYRRAERDVLVPKIGYGLADELRRAGVLVRTVDDRPEAADRAIREHMVDTMDRRRVGCLMLVSDDAGFAGVLREARMRCLKTVVVGDEGDGVLKRCADAAFSWKEVSSGKARKEASSVVEKWRDRELLKRLEWRYRPEETEEVECGDLEEGLSDGDDEDGGDIGLHCQVETP >DRNTG_19343.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5233561:5237382:1 gene:DRNTG_19343 transcript:DRNTG_19343.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKNLLLCTRTLASIANSTTSSSIAIFWDLDNKPPNSIPPYDAAVRLKLAAASFGTLKFAVAYANAHAFRHVPAPIRAQRQDRRTLDRLENAGVYTPSEPYVCRVCGRNFYNHTKFINHFKQLHEREQGKRLRRLESAKGSKRVMLAAKLSMKMEKYRRAERDVLVPKIGYGLADELRRAGVLVRTVDDRPEAADRAIREHMVDTMDRRRVGCLMLVSDDAGFAGVLREARMRCLKTVVVGDEGDGVLKRCADAAFSWKEVSSGKARKEASSVVEKWRDRELLKRLEWRYRPEETEEVECGDLEEGLSDGDDEDGGDIGLHCQVETP >DRNTG_19343.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5233561:5237382:1 gene:DRNTG_19343 transcript:DRNTG_19343.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKNLLLCTRTLASIANSTTSSSIAIFWDLDNKPPNSIPPYDAAVRLKLAAASFGTLKFAVAYANAHAFRHVPAPIRAQRQDRRTLDRLENAGVYTPSEPYVCRVCGRNFYNHTKFINHFKQLHEREQGKRLRRLESAKGSKRVMLAAKLSMKMEKYRRAERDVLVPKIGYGLADELRRAGVLVRTVDDRPEAADRAIREHMVDTMDRRRVGCLMLVSDDAGFAGVLREARMRCLKTVVVGDEGDGVLKRCADAAFSWKEVSSGKARKEASSVVEKWRDRELLKRLEWRYRPEETEEVECGDLEEGLSDGDDEDGGDIGLHCQVETP >DRNTG_19343.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5233464:5237473:1 gene:DRNTG_19343 transcript:DRNTG_19343.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKNLLLCTRTLASIANSTTSSSIAIFWDLDNKPPNSIPPYDAAVRLKLAAASFGTLKFAVAYANAHAFRHVPAPIRAQRQDRRTLDRLENAGVYTPSEPYVCRVCGRNFYNHTKFINHFKQLHEREQGKRLRRLESAKGSKRVMLAAKLSMKMEKYRRAERDVLVPKIGYGLADELRRAGVLVRTVDDRPEAADRAIREHMVDTMDRRRVGCLMLVSDDAGFAGVLREARMRCLKTVVVGDEGDGVLKRCADAAFSWKEVSSGKARKEASSVVEKWRDRELLKRLEWRYRPEETEEVECGDLEEGLSDGDDEDGGDIGLHCQVETP >DRNTG_19343.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5233607:5237315:1 gene:DRNTG_19343 transcript:DRNTG_19343.12 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKNLLLCTRTLASIANSTTSSSIAIFWDLDNKPPNSIPPYDAAVRLKLAAASFGTLKFAVAYANAHAFRHVPAPIRAQRQDRRTLDRLENAGVYTPSEPYVCRVCGRNFYNHTKFINHFKQLHEREQGKRLRRLESAKGSKRVMLAAKLSMKMEKYRRAERDVLVPKIGYGLADELRRAGVLVRTVDDRPEAADRAIREHMVDTMDRRRVGCLMLVSDDAGFAGVLREARMRCLKTVVVGDEGDGVLKRCADAAFSWKEVSSGKARKEASSVVEKWRDRELLKRLEWRYRPEETEEVECGDLEEGLSDGDDEDGGDIGLHCQVETP >DRNTG_19343.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5233561:5237315:1 gene:DRNTG_19343 transcript:DRNTG_19343.11 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKNLLLCTRTLASIANSTTSSSIAIFWDLDNKPPNSIPPYDAAVRLKLAAASFGTLKFAVAYANAHAFRHVPAPIRAQRQDRRTLDRLENAGVYTPSEPYVCRVCGRNFYNHTKFINHFKQLHEREQGKRLRRLESAKGSKRVMLAAKLSMKMEKYRRAERDVLVPKIGYGLADELRRAGVLVRTVDDRPEAADRAIREHMVDTMDRRRVGCLMLVSDDAGFAGVLREARMRCLKTVVVGDEGDGVLKRCADAAFSWKEVSSGKARKEASSVVEKWRDRELLKRLEWRYRPEETEEVECGDLEEGLSDGDDEDGGDIGLHCQVETP >DRNTG_32727.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001902.1:142:857:1 gene:DRNTG_32727 transcript:DRNTG_32727.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVCTLRSRARKLEDHPSPRSKILKARRQHHSMGDLPRFEERRSTARGSVIWHSFGGESVIRQILRLLLHHFI >DRNTG_32126.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1385123:1386544:-1 gene:DRNTG_32126 transcript:DRNTG_32126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFKGRLPTYAFLSNMGIGPDNPCVLCNLHRETIDHLFYHCPHALRVWAMINSLDNQCISFPEEFSTGSWLKDCSYSQHTQASIVAGAWFIWVSRCNIIFKNHCPNHSSVVHKAIAHVREFECWSSVPFGKNLLINNFISSDEHFLFTHASVNEATKVRSIGFFVSNSNYVISFAGCIAQSQFVNSSDILFALEVALQISLDLNYHIKHIFSDHLDLFKIIMNPDLSVSWQFHPQISNVKFLLDMFGCPKLHSIPSAWMLPAINLASIGFNFHHLNLFLDGRDLPYWIMRSFKKLGFVF >DRNTG_18291.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2645786:2648445:1 gene:DRNTG_18291 transcript:DRNTG_18291.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSKPILSYAAPMMAFALTLLLLSHFLEFPSLSTPLPVSPDRTTTPNSPFSDLLSAFSLWDSHVGCPRFRNRLAAWSPNASALQAVDARECSGIGISHVSVLVKGWTWIPDNLDNLYSCRCGLTCLWTKSPVLADKPDALLFENVMPPSTRRNGEPLRVYIDLEASRKPSGFEDIFIGYHAKDDVQTTYAGSLFRNNRNFHISTQKRNDTLVYWSSSRCLPQRNSLAKQFLSLVPSHSFGKCLNNVGGLDIAPTLYPECSNSDNSAPHWWDHLHCAMSHYKFVLAIENTMTESYITEKLYYALDSGSVPIYFGAPNVWDFVPPNSIIDGSKFSSLEELASYVKQLANDPVAYAEYHAWRRCGVVGNYGKARAASLDTLPCRLCEFVSQKGGRSATTL >DRNTG_20162.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4727522:4735505:-1 gene:DRNTG_20162 transcript:DRNTG_20162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPWGGVGCCLSAAALYFLGRRSGRDAEALDSVTRVNQLKDLASLLDTACKVLPLVVSVSGRVGSDTPINCEHSGLRGVIVEETAEQHFLKHNDAGSWVQDSALMLSMSREVPWYLDDGTGRVYVVGARGASGLVLTVATEVFEESGRSLVRGTLDYLQGLKMLGVKRVERVLPTGTSLTVIGEAVKDDVGKIRIQRPHKGAFYVSPKNIDQLILYMGKWATWYKYASYGFSVFGIYLLAKHAVQFILERRRRSDLRKRVLAAAAQQNAEGPNGTAENGAECKRDAQMPDLCVICTEQRYNTVFVSCGHMCCCANCSSRLTNCPLCRRWITQAIKAYRH >DRNTG_09508.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21697957:21702861:-1 gene:DRNTG_09508 transcript:DRNTG_09508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVRSTGLTDPGWEHGVAQDEKKKKVKCNYCGKIVSGGIYRLKQHIARISGEVTYCKKAPEDVYLKMKENLEGNRAAKRQRQSEDDEHSFDLHSNDEYDEEEEHVGYRLKGKQVKGDQSIVVNMSPLRSMGTFVDPGWEHGVAQDEKKKKVKCNYCDKIVSGGINRFKQHLARIPGEVAYCKMAPEEVYLQMKENMKWHRTGRRRRPEAKEAAAFYAHLENDDHDELANGKTKVKCLVGDKDIPYRKGMRRRSRGRSCTVASGTELQMRHFNVDSVFPRTETGHIPQQCQQSKGKVATDKKGRKEVIAAICKFFYHAAIPFDAANSPYFHKMLELVSLYGRGLKGPSSKQLSGRFLHDEVLNIKQNLVEIKASWTITGCSVMADSWKDLHGRTLINFLVSCPRGIHFISSMDASDIVDDVTNLFNLLDKVVEDIGEENVVQVITENTPCYMAAGKMLEEKRRNLFWTPCVVSCIDQILEDLLKIRWVADCIDNSQKITKFIYNRAWLLNLMKREYTSGRNLLKPAIARYATSFSALENLLEQKAALKRMFQSSQWISSPSAKSDDGKEVEKIVWSPTFWKKMQFVKKSVQPVLYLLTKVENGEGLSMPCIYNEIYQTKLAIRNIHSDDERKYGPFWNVIQSYWKSLFQHPLYVAAYFLNPSFRYRSDFMADPEVIRGLNECITRLEPDNGRRVTAAAQISDFVFAKADFGTELALSTRTELDPAAWWQQHGINCLELRRIAIRILSQTCSSFGCAHNYSTLDHCYNTRRNRVAQKRLNDFAFVHYNLRLRERQLKRIADDSRSLDNVPFSDSFLDDWIVEPEKPAQQEDEDTLSYEMDYTDIYINENESPVAESTKAHADNAALHELSVPVEAHPVSIIAASDEDDDDHDFLDEDLSD >DRNTG_09508.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21697957:21701539:-1 gene:DRNTG_09508 transcript:DRNTG_09508.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVRSTGLTDPGWEHGVAQDEKKKKVKCNYCGKIVSGGIYRLKQHIARISGEVTYCKKAPEDVYLKMKENLEGNRAAKRQRQSEDDEHSFDLHSNDEYDEEEEHVGYRLKGKQVKGDQSIVVNMSPLRSMGTFVDPGWEHGVAQDEKKKKVKCNYCDKIVSGGINRFKQHLARIPGEVAYCKMAPEEVYLQMKENMKWHRTGRRRRPEAKEAAAFYAHLENDDHDELANGKTKVKCLVGDKDIPYRKGMRRRSRGRSCTVASGTELQMRHFNVDSVFPRTETGHIPQQCQQSKGKVATDKKGRKEVIAAICKFFYHAAIPFDAANSPYFHKMLELVSLYGRGLKGPSSKQLSGRFLHDEVLNIKQNLVEIKASWTITGCSVMADSWKDLHGRTLINFLVSCPRGIHFISSMDASDIVDDVTNLFNLLDKVVEDIGEENVVQVITENTPCYMAAGKMLEEKRRNLFWTPCVVSCIDQILEDLLKIRWVADCIDNSQKITKFIYNRAWLLNLMKREYTSGRNLLKPAIARYATSFSALENLLEQKAALKRMFQSSQWISSPSAKSDDGKEVEKIVWSPTFWKKMQFVKKSVQPVLYLLTKVENGEGLSMPCIYNEIYQTKLAIRNIHSDDERKYGPFWNVIQSYWKSLFQHPLYVAAYFLNPSFRYRSDFMADPEVIRGLNECITRLEPDNGRRVTAAAQISDFVFAKADFGTELALSTRTELDPAAWWQQHGINCLELRRIAIRILSQTCSSFGCAHNYSTLDHCYNTRRNRVAQKRLNDFAFVHYNLRLRERQLKRIADDSRSLDNVPFSDSFLDDWIVEPEKPAQQEDEDTLSYEMDYTDIYINENESPVAESTKAHADNAALHELSVPVEAHPVSIIAASDEDDDDHDFLDEDLSD >DRNTG_07894.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2450575:2452757:1 gene:DRNTG_07894 transcript:DRNTG_07894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDGSPNSLSKSQNQSHSQGGTTDRNMKKKNKKHSLPLRMFISVDGDDVVRHDCPNASNPFHKCADYCSLRTSDQAKKQEGSKGVKKVVEARRHVDPNCRHASNPYHSCGPYCYEDEGESSYSSYSSSSFRQLKGEKKEEVKKTGDVDPMCKNASNPFHKCSDYCFRGSTGSWKESVKDVGKGEMEMRLKSNGNLNCPNASNPFHVCGSYCLPVIPKKGQNKGNIKKPTESSNCKYASNPFSQLF >DRNTG_07894.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2450575:2451102:1 gene:DRNTG_07894 transcript:DRNTG_07894.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDGSPNSLSKSQNQSHSQGGTTDRNMKKKNKKHSLPLRMFISVDGDDVVRHDCPNASNPFHKCADYCSLRTSDQAKKQEGSKGVKKVVEARRHVDPNCRHASNPYHSCGPYCYEDEGESSYSSYSSSSFRQLK >DRNTG_07894.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2450575:2451350:1 gene:DRNTG_07894 transcript:DRNTG_07894.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDGSPNSLSKSQNQSHSQGGTTDRNMKKKNKKHSLPLRMFISVDGDDVVRHDCPNASNPFHKCADYCSLRTSDQAKKQEGSKGVKKVVEARRHVDPNCRHASNPYHSCGPYCYEDEGESSYSSYSSSSFRQLKGEKKEEVKKTGDVDPMCKNASNPFHKCSDYCFRGSTGSCCSQLLLLLFMYL >DRNTG_28297.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001432.1:26480:27377:1 gene:DRNTG_28297 transcript:DRNTG_28297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAREVCWNLTLVEEENLGRRLKPSKDPPIPTLNNSRPNLFPWRPKQLCGGLLKGILAGTTEEVGRMLKPSKEPPKFKLHNSRPKLFPWRTKGPYGLYTARMDHFYAPQGPQGCHAPVRQPVRLTASRARKGQKSLTGSMRPHGARMACGLEFPAL >DRNTG_12420.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15997658:16010096:1 gene:DRNTG_12420 transcript:DRNTG_12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGPTDLGFHERWQRLTERSSKGQLTNTDNLQGEWHYWQRPSGKNGADTKHGRPAPGEHRGARTVAGPPRMAGGACGKTRTYSFQEDLAERPAGAHPTRGTKCVGQRARGTYCPPLLCFDNRVNGEWAEQKGKRPSYGVAQLSSVGDCRMGRGRRTSILLLVHFPPGPEIKPSPPSDPFISASRRDPELNPLLFEADKYLCDMNAKRRVVRPRVTERSQCGSQCTDFGGNLAKFEESMSVLMSDQLEESVERILAQFDSFYRDQIQELFSVGVAISKVELCGIDTLISVADWMPNTKRLASKRPWTIGPSSTPDEPIFKLSHHRERYDRLKTKPFGTLYYLEWGLMENLGIASHRTMHHLDFAKYLGIYDDEFINSMPGKHLKLDFPSGVGRRNYWATLAGDDQTRKASRMIDPAHRFIHALIARFIWGRTDSKGVVTHADIYTMYGIFERYARHDRCRRYSTPRTNPHTSNRFGEHAWPPHTPPGHWLHLYPDFDMRSRGIEGEVQSCVGSEREIRGRSPDH >DRNTG_24853.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29438975:29442469:-1 gene:DRNTG_24853 transcript:DRNTG_24853.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVQAEGRTTSGLILTEDNKEKPSIGMVITFGPSSLDEEGLQKPLSITLGETVLYSQVFKK >DRNTG_31543.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22892527:22894935:-1 gene:DRNTG_31543 transcript:DRNTG_31543.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-alpha-acetyltransferase MAK3 [Source:Projected from Arabidopsis thaliana (AT2G38130) UniProtKB/Swiss-Prot;Acc:O80438] MEKREDGGGAEAAVFSPAEIEYVNYGGEHHLPLIMGLVDDELSEPYSIFTYRYFVYLWPNLSFLAFHKGKCVGTVVCKMGEHRNTFRGYIAMLVVIKPYRGRGIATELVTRSIQVMMESGCDEVTLEAEVTNKGALALYGRLGFIRSKRLFRYYLNGVDAFRLKLLFPRPDPLLSINSNESHNHSDHPQDVYSELHHNVQ >DRNTG_23361.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5921036:5932959:1 gene:DRNTG_23361 transcript:DRNTG_23361.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDLERNPVLQGYRMPAEWEPHSQCWMGWPERPDNWRDNAFYAQQTFAQVATEISKFELVTVCVSSQQYLNGYERLRGLANVSVVEMSTNDAWLRDTGPTFVVHDGKSSSKHQKNQVAGIDWTFNCWGGPEEGCYSDWSLDVHVAKKVLELERSPRFLNPMVLEGGSIHVDGEGTCITTEECLLNPNRNPHMTKEEIENELMCYLGVEKIIWLPRGLYGDDDTNGHVDNMCCFVKPGMVLLSWTDDESDPQYERSLEAISVLSSTTDAKGRKLSVIKLHVPGPLYMTEDEAKGVDNSGNAKPRLVGTRLAASYVNFYIANGGIIAPAFGDEKWDKEAYQVLSSVFPDHEVVMIDSAREICLGGGNIHCITQQQPAPRIIKE >DRNTG_23361.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5927954:5932959:1 gene:DRNTG_23361 transcript:DRNTG_23361.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDLERNPVLQGYRMPAEWEPHSQCWMGWPERPDNWRDNAFYAQQTFAQVATEISKFELVTVCVSSQQYLNGYERLRGLANVSVVEMSTNDAWLRDTGPTFVVHDGKSSSKHQKNQVAGIDWTFNCWGGPEEGCYSDWSLDVHVAKKVLELERSPRFLNPMVLEGGSIHVDGEGTCITTEECLLNPNRNPHMTKEEIENELMCYLGVEKIIWLPRGLYGDDDTNGHVDNMCCFVKPGMVLLSWTDDESDPQYERSLEAISVLSSTTDAKGRKLSVIKLHVPGPLYMTEDEAKGVDNSGNAKPRLVGTRLAASYVNFYIANGGIIAPAFGDEKWDKEAYQVLSSVFPDHEVVMIDSAREICLGGGNIHCITQQQPAPRIIKE >DRNTG_23361.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5921036:5932959:1 gene:DRNTG_23361 transcript:DRNTG_23361.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDLERNPVLQGYRMPAEWEPHSQCWMGWPERPDNWRDNAFYAQQTFAQVATEISKFELVTVCVSSQQYLNGYERLRGLANVSVVEMSTNDAWLRDTGPTFVVHDGKSSSKHQKNQVAGIDWTFNCWGGPEEGCYSDWSLDVHVAKKVLELERSPRFLNPMVLEGGSIHVDGEGTCITTEECLLNPNRNPHMTKEEIENELMCYLGVEKIIWLPRGLYGDDDTNGHVDNMCCFVKPGMVLLSWTDDESDPQYERSLEAISVLSSTTDAKGRKLSVIKLHVPGPLYMTEDEAKGVDNSGNAKPRLVGTRLAASYVNFYIANGGIIAPAFGDEKWDKEAYQVLSSVFPDHEVVMIDSAREICLGGGNIHCITQQQPAPRIIKE >DRNTG_23361.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5921036:5932959:1 gene:DRNTG_23361 transcript:DRNTG_23361.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDLERNPVLQGYRMPAEWEPHSQCWMGWPERPDNWRDNAFYAQQTFAQVATEISKFELVTVCVSSQQYLNGYERLRGLANVSVVEMSTNDAWLRDTGPTFVVHDGKSSSKHQKNQVAGIDWTFNCWGGPEEGCYSDWSLDVHVAKKVLELERSPRFLNPMVLEGGSIHVDGEGTCITTEECLLNPNRNPHMTKEEIENELMCYLGVEKIIWLPRGLYGDDDTNGHVDNMCCFVKPGMVLLSWTDDESDPQYERSLEAISVLSSTTDAKGRKLSVIKLHVPGPLYMTEDEAKGVDNSGNAKPRLVGTRLAASYVNFYIANGGIIAPAFGDEKWDKEAYQVLSSVFPDHEVVMIDSAREICLGGGNIHCITQQQPAPRIIKE >DRNTG_23361.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5921036:5932959:1 gene:DRNTG_23361 transcript:DRNTG_23361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDLERNPVLQGYRMPAEWEPHSQCWMGWPERPDNWRDNAFYAQQTFAQVATEISKFELVTVCVSSQQYLNGYERLRGLANVSVVEMSTNDAWLRDTGPTFVVHDGKSSSKHQKNQVAGIDWTFNCWGGPEEGCYSDWSLDVHVAKKVLELERSPRFLNPMVLEGGSIHVDGEGTCITTEECLLNPNRNPHMTKEEIENELMCYLGVEKIIWLPRGLYGDDDTNGHVDNMCCFVKPGMVLLSWTDDESDPQYERSLEAISVLSSTTDAKGRKLSVIKLHVPGPLYMTEDEAKGVDNSGNAKPRLVGTRLAASYVNFYIANGGIIAPAFGDEKWDKEAYQVLSSVFPDHEVVMIDSAREICLGGGNIHCITQQQPAPRIIKE >DRNTG_23361.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5921036:5932959:1 gene:DRNTG_23361 transcript:DRNTG_23361.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDLERNPVLQGYRMPAEWEPHSQCWMGWPERPDNWRDNAFYAQQTFAQVATEISKFELVTVCVSSQQYLNGYERLRGLANVSVVEMSTNDAWLRDTGPTFVVHDGKSSSKHQKNQVAGIDWTFNCWGGPEEGCYSDWSLDVHVAKKVLELERSPRFLNPMVLEGGSIHVDGEGTCITTEECLLNPNRNPHMTKEEIENELMCYLGVEKIIWLPRGLYGDDDTNGHVDNMCCFVKPGMVLLSWTDDESDPQYERSLEAISVLSSTTDAKGRKLSVIKLHVPGPLYMTEDEAKGVDNSGNAKPRLVGTRLAASYVNFYIANGGIIAPAFGDEKWDKEAYQVLSSVFPDHEVVMIDSAREICLGGGNIHCITQQQPAPRIIKE >DRNTG_24171.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3467191:3470220:1 gene:DRNTG_24171 transcript:DRNTG_24171.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIAGIRQMFHMMDTNKDGNLSMDELRKGLHMIGHGVLEPDVQMIMEAADSDGNGNLDCDEFLAISVHLKQLGNEELLSKAFKYFDKDGNGYIEINELREALGEDDLGPNEQVILDIISDVDKDKDGRISYKEFEIMMTSGTDWRNASRQYSRATLDSLNHRLFFDGSLKETRLSCNL >DRNTG_24171.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3467328:3470220:1 gene:DRNTG_24171 transcript:DRNTG_24171.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEACAGGELFDRIVTRGHYSERAAAGVARTIIQIIQVFSKQTPFINESLYSIHLSSSLQHCHKHGVMHRDLKPENFLFANASEDSPLKAIDFGLSVFFEPGERFHEIVGSSYYMAPEILKRNYGPEVDIWSAGVILYILLCGVPPFWAESDEGIARAIVKSVIDFERDPWPKVSDNAKDLVRRMLDPNPYTRLTAEEVLEHPWLQNHTVIPNIPLGETVRFRLKQFSVMNKFKKKALRVSLNQRGAC >DRNTG_24171.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3467328:3470119:1 gene:DRNTG_24171 transcript:DRNTG_24171.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEILKRNYGPEVDIWSAGVILYILLCGVPPFWAESDEGIARAIVKSVIDFERDPWPKVSDNAKDLVRRMLDPNPYTRLTAEEVLEHPWLQNHTVIPNIPLGETVRFRLKQFSVMNKFKKKALRVVADQLPMEEIAGIRQMFHMMDTNKDGNLSMDELRKGLHMIGHGVLEPDVQMIMEAADSDGNGNLDCDEFLAISVHLKQLGNEELLSKAFKYFDKDGNGYIEINELREALGEDDLGPNEQVILDIISDVDKDKDGRISYKEFEIMMTSGTDWRNASRQYSRATLDSLNHRLFFDGSLKETRLSCNL >DRNTG_24171.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3467328:3470119:1 gene:DRNTG_24171 transcript:DRNTG_24171.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEACAGGELFDRIVTRGHYSERAAAGVARTIIQIIQHCHKHGVMHRDLKPENFLFANASEDSPLKAIDFGLSVFFEPGERFHEIVGSSYYMAPEILKRNYGPEVDIWSAGVILYILLCGVPPFWAESDEGIARAIVKSVIDFERDPWPKVSDNAKDLVRRMLDPNPYTRLTAEEVLEHPWLQNHTVIPNIPLGETVRFRLKQFSVMNKFKKKALRVVADQLPMEEIAGIRQMFHMMDTNKDGNLSMDELRKGLHMIGHGVLEPDVQMIMEAADSDGNGNLDCDEFLAISVHLKQLGNEELLSKAFKYFDKDGNGYIEINELREALGEDDLGPNEQVILDIISDVDKDKDGRISYKEFEIMMTSGTDWRNASRQYSRATLDSLNHRLFFDGSLKETRLSCNL >DRNTG_11146.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30350992:30351463:-1 gene:DRNTG_11146 transcript:DRNTG_11146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRRAVGPSFSASASTVMASIDTRGGGQSAAAYFFGVSEDGVTGKGSWPFVSAVDAWFWPVTEFDQAAWKGDGEDEDAWLADVLGGPLLEVDEMPF >DRNTG_26082.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4116817:4120446:-1 gene:DRNTG_26082 transcript:DRNTG_26082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKVINKQVLLKDYVTGFPKEEDMVLTSSTIDLKLSQGSTAVLVKNLYLSCDPYMRLRMAKPLVQSYAEAFVPGKVIVGYGVSKVVDSGHPDFKAGDLVWGITGWEEYSLITAPERLKKIKYTDVPLSYYTGILGMPGLTAYVGFYEICCPKKGETVYVSAASGAVGQLVGQFAKLMGCYVVGSAGSQEKIDLLKNKLGFDDAFNYKEEQDLTAALKRCFPTGIDIYFENVGGAMLDAVLLNMREHGRIAVCGMISQYNKTHRDPVHNLFCLISKRILMQGFLEPDHKHKYPQFEEQVVQYIREGKVTYVEDVAEGLENAPSALIGLFSGKNIGKQVVFIAHE >DRNTG_17337.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32052062:32053318:1 gene:DRNTG_17337 transcript:DRNTG_17337.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGRVSSTVTVVAVAIEVESTMVNDHFESVVAVAVKEEVITVSYPIKLPKILNYFQVESSSITSDVMKTTLTSCEAPAMLGVYKLCATSLEAMVGFSLITLGTRDLHASSTMIMHEKSSDALTKTTYIVPLI >DRNTG_25623.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21972900:21980869:-1 gene:DRNTG_25623 transcript:DRNTG_25623.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQKLVSDDGNLKVIDVSTKDELKSRPCGLNTVNLLKVASSALGLGPHTAMQLAERLYTQGFVSYPRTESTAYPASFDYRATLGALQNNLLWGSDVRALLADGFHKPRSGSDAGDHPPITPMRSATEDMLGGDSWRLYQYICQHFIGSLSPDCKYTRTKVEFSAGGELFHCIGQHVTSKGFTSIMPWMAVSEKLIPRFTVGEKIGIIKVEIYEGMTSPPDYLSESELISLMEKNGIGTDASIPVHINNICERNYVQVNSGRRLVPTALGTTLIRGYQCIDPDLCLPDIRGFIEQQITLIAKGQADNTRVVQHVLRQFTEKYSYFVKQIENMDALFEAQFSPLADSGRLLSKCGKCSRYMKYISTQPTRLYCVTCEEVYCLPQNGTIKLYKELTCPLDGFELLLFSMSGSDAKSFPLCPYCYNSPPFEGIDTLFGAFKGGNSVKLGKGAGMPCFLCPHPTCRHSLITQGVCACPECSGTLVLDPVSAPKWRIYCNMCNCVVLLPQGAHRISTTNKRCPECDSAIIEVDFNKKTTPLPDGSTLHAGCILCDELLHSLIEMKHGKSFYRGRGRGRGRGRGRGGRARGRGGPRNSDPKMSFRDF >DRNTG_25623.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21972900:21986205:-1 gene:DRNTG_25623 transcript:DRNTG_25623.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKVLMVAEKPSIALSIASALSGGRMSTRKSSTDVHEFDGTFRGFDVHYKVTSVIGHVFSLDFPPTYQNWEVTDPMDLFQAPVLKSESNPKAHIRRHLSQEARGCGYLVLWLDCDREGENICFEVIECTGIPETECGKRILRARFSSVTEKDILNAMNTLVKPNKDEALAVDARQEIDLKVGVAFTRFQTRYFQGKYGNLDSRVISYGPCQTPTLGFCVQRYLQITTFKPEKFWSLNLHVIKDGYELLLEWDRNKVFDHEVAMLFQKLVSDDGNLKVIDVSTKDELKSRPCGLNTVNLLKVASSALGLGPHTAMQLAERLYTQGFVSYPRTESTAYPASFDYRATLGALQNNLLWGSDVRALLADGFHKPRSGSDAGDHPPITPMRSATEDMLGGDSWRLYQYICQHFIGSLSPDCKYTRTKVEFSAGGELFHCIGQHVTSKGFTSIMPWMAVSEKLIPRFTVGEKIGIIKVEIYEGMTSPPDYLSESELISLMEKNGIGTDASIPVHINNICERNYVQVNSGRRLVPTALGTTLIRGYQCIDPDLCLPDIRGFIEQQITLIAKGQADNTRVVQHVLRQFTEKYSYFVKQIENMDALFEAQFSPLADSGRLLSKCGKCSRYMKYISTQPTRLYCVTCEEVYCLPQNGTIKLYKELTCPLDGFELLLFSMSGSDAKSFPLCPYCYNSPPFEGIDTLFGAFKGGNSVKLGKGAGMPCFLCPHPTCRHSLITQGVCACPECSGTLVLDPVSAPKWRIYCNMCNCVVLLPQGAHRISTTNKRCPECDSAIIEVDFNKKTTPLPDGSTLHAGCILCDELLHSLIEMKHGKSFYRGRGRGRGRGRGRGGRARGRGGPRNSDPKMSFRDF >DRNTG_25623.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21972900:21986205:-1 gene:DRNTG_25623 transcript:DRNTG_25623.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQKLVSDDGNLKVIDVSTKDELKSRPCGLNTVNLLKVASSALGLGPHTAMQLAERLYTQGFVSYPRTESTAYPASFDYRATLGALQNNLLWGSDVRALLADGFHKPRSGSDAGDHPPITPMRSATEDMLGGDSWRLYQYICQHFIGSLSPDCKYTRTKVEFSAGGELFHCIGQHVTSKGFTSIMPWMAVSEKLIPRFTVGEKIGIIKVEIYEGMTSPPDYLSESELISLMEKNGIGTDASIPVHINNICERNYVQVNSGRRLVPTALGTTLIRGYQCIDPDLCLPDIRGFIEQQITLIAKGQADNTRVVQHVLRQFTEKYSYFVKQIENMDALFEAQFSPLADSGRLLSKCGKCSRYMKYISTQPTRLYCVTCEEVYCLPQNGTIKLYKELTCPLDGFELLLFSMSGSDAKSFPLCPYCYNSPPFEGIDTLFGAFKGGNSVKLGKGAGMPCFLCPHPTCRHSLITQGVCACPECSGTLVLDPVSAPKWRIYCNMCNCVVLLPQGAHRISTTNKRCPECDSAIIEVDFNKKTTPLPDGSTLHAGCILCDELLHSLIEMKHGKSFYRGRGRGRGRGRGRGGRARGRGGPRNSDPKMSFRDF >DRNTG_25623.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21972900:21983956:-1 gene:DRNTG_25623 transcript:DRNTG_25623.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPWMAVSEKLIPRFTVGEKIGIIKVEIYEGMTSPPDYLSESELISLMEKNGIGTDASIPVHINNICERNYVQVNSGRRLVPTALGTTLIRGYQCIDPDLCLPDIRGFIEQQITLIAKGQADNTRVVQHVLRQFTEKYSYFVKQIENMDALFEAQFSPLADSGRLLSKCGKCSRYMKYISTQPTRLYCVTCEEVYCLPQNGTIKLYKELTCPLDGFELLLFSMSGSDAKSFPLCPYCYNSPPFEGIDTLFGAFKGGNSVKLGKGAGMPCFLCPHPTCRHSLITQGVCACPECSGTLVLDPVSAPKWRIYCNMCNCVVLLPQGAHRISTTNKRCPECDSAIIEVDFNKKTTPLPDGSTLHAGCILCDELLHSLIEMKHGKSFYRGRGRGRGRGRGRGGRARGRGGPRNSDPKMSFRDF >DRNTG_09491.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21572629:21581593:-1 gene:DRNTG_09491 transcript:DRNTG_09491.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIILLETVAHLMRPDLPWVCKVVDHLVRGKVFSLLREMVLFGMQSVKTPESRNLASSLEHVIMLAASHISQQPCNCSSIEPRWSFSSQLLSIPFFWNHLPFLKEAFSTHGLGTHYIHQMALCLPSHASVLPPDISPEYPGYACLLGNLLEAASDALSLPSCNFHVAMDFLAVSTFLLEALPASKLSAREKSNENDMVLDEESIGTLDSDLGKQISNAVDSRLLQHLVNVLFRDTSLINSLEKDVPSDREVEATGAICAFLHVIFNTLPIERIMTGLAYRTEIVRVLWRFIKRSHENHRWPSSSKFTGYLSGDAPGWLLPSAVFCPVYKHMLMIVDNEEFYEQEKPLSLKDIRLLITILKQALWQLLWTIPSNLPSQKSLVGLSGQKKLSMEFMRYRVGIVISELLSQLQDWNNRRAFTSSVDFQSQEASSENFISQALLGNSKASEILKQAPFLVPFTSRVRIFTSQLAASKERNGAGLHPGLGRSRFKIRRDRILEDAFSQLSALSEEDLRGLIRVSFVNELGVEEAGIDGGGIFKDFMENITHVAFDVQYGLFKETSDHQLYPNPGSGLIHEQHLQFFHFLGSLLGKAMYEGILVDLPFAMFFLSKLKQKYNYLNDLPSLDPELYRHLLFLKHYQGDISELELYFVVVNNEYGEQTEEDLLPGGKDTRVTNENVITYIHLIANHRLNYQIRHQSLHFLRGFQQLIQKEWIEMFSEHEIQLLISGSLESLDVDDLRSNAHYSGGYHLDHRVIVMFWEVLKSFSLEHQKKFLKFVTGCSRGPLLGFKYLEPKFCIQRAAPLNATEDDLDRLPTSATCMNLLKLPPYRSKEQMQSKLLYAINAEAGFDLS >DRNTG_09491.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21572629:21583554:-1 gene:DRNTG_09491 transcript:DRNTG_09491.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSGDSSRKRVDLGGRSSKERDRQVLLEQTRMERKRRQGLRQQTTAAIKIQKYFRGRKEVEVERARARMVFSQTYGQPLQNVDWHCFGPDSAFLRYLLFFFNAKDKNDVDVLIEACRLLLQYVRLGGNIVSLFAGEGKTSPMSFVNNRVKKLAFFCLQAVHHNRDHWKDQLFLSSQYSEMPTIILLETVAHLMRPDLPWVCKVVDHLVRGKVFSLLREMVLFGMQSVKTPESRNLASSLEHVIMLAASHISQQPCNCSSIEPRWSFSSQLLSIPFFWNHLPFLKEAFSTHGLGTHYIHQMALCLPSHASVLPPDISPEYPGYACLLGNLLEAASDALSLPSCNFHVAMDFLAVSTFLLEALPASKLSAREKSNENDMVLDEESIGTLDSDLGKQISNAVDSRLLQHLVNVLFRDTSLINSLEKDVPSDREVEATGAICAFLHVIFNTLPIERIMTGLAYRTEIVRVLWRFIKRSHENHRWPSSSKFTGYLSGDAPGWLLPSAVFCPVYKHMLMIVDNEEFYEQEKPLSLKDIRLLITILKQALWQLLWTIPSNLPSQKSLVGLSGQKKLSMEFMRYRVGIVISELLSQLQDWNNRRAFTSSVDFQSQEASSENFISQALLGNSKASEILKQAPFLVPFTSRVRIFTSQLAASKERNGAGLHPGLGRSRFKIRRDRILEDAFSQLSALSEEDLRGLIRVSFVNELGVEEAGIDGGGIFKDFMENITHVAFDVQYGLFKETSDHQLYPNPGSGLIHEQHLQFFHFLGSLLGKAMYEGILVDLPFAMFFLSKLKQKYNYLNDLPSLDPELYRHLLFLKHYQGDISELELYFVVVNNEYGEQTEEDLLPGGKDTRVTNENVITYIHLIANHRLNYQIRHQSLHFLRGFQQLIQKEWIEMFSEHEIQLLISGSLESLDVDDLRSNAHYSGGYHLDHRVIVMFWEVLKSFSLEHQKKFLKFVTGCSRGPLLGFKYLEPKFCIQRAAPLNATEDDLDRLPTSATCMNLLKLPPYRSKEQMQSKLLYAINAEAGFDLS >DRNTG_14450.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000691.1:22175:22882:-1 gene:DRNTG_14450 transcript:DRNTG_14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRYNSSCLLSTPVPSPRPFTDSSLPVNGSSCSPSTIKN >DRNTG_05512.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2389775:2390457:1 gene:DRNTG_05512 transcript:DRNTG_05512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGTGVPTVVHLHGGVHPPFSDGNANSWFTSGFRSTGPTWSSPTSSYPNVQSPGNLWYHDHAMGITRVNLLTGLLGAYILRDPSLESPLSLPSFPFDLNLMVFDRKFRVDGSIYLNSTGNNPSIHPQWQPEYFGDTIIVNGKVWPRLHVQRRRY >DRNTG_14835.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4219333:4221182:-1 gene:DRNTG_14835 transcript:DRNTG_14835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLMICLTLLLSLQTSSCTPCKFPAIINFGDSNSDTGGLSATFGPAPPPNGETFFHMPAGRFSDGRLIIDFIAKSFGLAYLSAYLDSLGTNFSHGANFATAGSTIMQPSAPLNKGGYSPFSLQVQVSQFAQFKSRSQMISKKGKVFKSLMPKKDYFKKALYTIDIGQNDLTELFFSNQSADDYIPLTMKVFSDVVEEVYKHGGRYFWIHNTGPLGCLTYVLLRRPSSSMELDPVGCAVIFNKLAQKFNNMLNETVTQLRKDLPSATFIYVDVYSAKYNLFSKAEKYGFEKPLRTCCGYGGGEYNFDFNVRCGDKGIVEGEEVLLGKSCSHPEKSIIWDGVHYTEAANKWVFNEIATGKYSHPSLPLCKVCY >DRNTG_15089.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4101047:4107870:-1 gene:DRNTG_15089 transcript:DRNTG_15089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMVTNWRSQWNAGPSDGRNHDSMDGEMEGIPASRLFLLLSQGVSTGELWEHNHFVLMTSLARMATMFRKRGSVSFHSHLGHCSASLSPWTPEFGSHALATGDYHWIRLVNMPLHCWNWDSIMAVLRPLGDLIYVQKCEETSLQFLRVLAHLKKPIVFPMEVIVDVGFRSFKVLLEDDGIPILRRLSQFWSHLLSKFPGSPWSAGAATDLPPCPPELGRSSGERRSPKIPMTSSLGLRCKCRESRVAVREQPCMSGDITTTVDRPRDLISLQDPVITQLEDSLPHDQLISKVKIHDPLDPPQDKASQVINDHSFIKPRDKHAGVNSPLTSTVLPLVNATQGDAFPKAAISLDVPRDEDSLINFGRLVDENKRPRDLVCSTSKPDPKDKILSTIPEATPVSNLGSLCSEKTLSSPVKTMNPLPNHLKFLPPSIPIPERYTWVVLHRRWTLIPKVLSNKFTPNDQTLTDPPLDDPMDEELVDWGDDEDDQKFVEEKNFMDKDNVIEAQEAHFQDDPFLEDEVPTEFGGQENLRIFKRILYLSEEETVCHSLILGFQSPEGAKGNRIG >DRNTG_01550.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:8314683:8319901:1 gene:DRNTG_01550 transcript:DRNTG_01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLVRLKSPTSFPIEMTVDVGVRSFLVSLEDDGVPIIRSKTIQGSTFLPKPYVASSSRPPSFAEKTLFSAVSSDGLSSPKERRSFGDLPTVIPQIPPFSRERHEEGDALCLHSGHVKSSLTIAADVHRDQAAPDQLGRLRVSDLPRDCISPDSLNNSNENQSQYTHALSLDPKESGAPQDLISPVIKDNNPAELIMPRDMVASNISHVELIQSTPLILNQNLIVSDPNLSILPVNIHDNSSITLAGIKGKEIVTPMETDSSNTDDDHSDEELLDWGENDEFPSDVIADDDLILNEKNLNQFDSVIIPEELNSFTLSVPGTGLLSGRDSSSDMLVEKAPIVSISENRPAAATTPGHAHQQVRRSDRTRKPSGRWNEEAGFIPIPPRSSKKFVPVDPRDDSESHQQEATEDIQKIKRSLSFLSSRSSDHTGNMAPNTSQE >DRNTG_31248.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3041518:3046246:-1 gene:DRNTG_31248 transcript:DRNTG_31248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVLYANCLYLPAMFTRYVQAYEDEPTTDRQLSHILIASVVKRSLFLISCMIYLFQWPIIDPIRNGAGITVKSTISYGSLVALISGFNDILMQTVLFIDIYLQYCGQLFTNDDFAITGNGGDFSLQDLHGVLEFFTGLTAFTMWSCWMINQHGSYKAQASSTGVVFTWASVIEQGWWVIRNIAPLSPGDRAQGRKRSRPTKPTLACFNHGKLAPRPGTRDLSEG >DRNTG_21841.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6079200:6084024:-1 gene:DRNTG_21841 transcript:DRNTG_21841.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase A5 [Source:Projected from Arabidopsis thaliana (AT2G18030) UniProtKB/Swiss-Prot;Acc:Q9SL43] MEEPPRNPLYILGFLSLLFSTAGRTFAIRLPERNAGAGSHFSPEPPHRVAVFALGSFWRSEAAFGCLPGVVRTLVGYAGGSKTNPEYRNVGDHAECVQIEYDPRVIQFKQLLDVFWSSHDSRQVFGQGPDVGDQYRSIIFTNGTQEARLAAASKEREQTKSRSSIVTTQIQQLGAFYPAESEHQKFELKRHQFLLQLIGNMPDEELARSILAAKLNAYAAELCPSNIQRKIDSKIEEILKNGWPVLRDI >DRNTG_05052.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:259137:259819:1 gene:DRNTG_05052 transcript:DRNTG_05052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGWFMDPLAYGNYPSSMRMTVGNRLPRFTKEEVEIVKGSYDFIGLNYYTTWYSQSVPPINSLVNTSYSSDYQTNQSVTGVRLGKPLGPTVSDLFMKYI >DRNTG_22227.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001233.1:20389:30605:-1 gene:DRNTG_22227 transcript:DRNTG_22227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSVGRVIFVFPISGFSTSHNQNMNNSIAQFPICKCNDLYLNLVLPKSAVINCGYDKSPLGTSTNKLTSPKTPASRPKLSSPGATPVQSKKSLDYVSNIDSATCHDISGIRLALADERVNDCYRYMLEDAKTKVHLSAPVASVKNNSTTTCPSAVEITCRSIGERQAGDIPRLGGLSKEITALNEIITFSLGWETVILCQGDCDIKRKCE >DRNTG_24090.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001287.1:18544:21032:1 gene:DRNTG_24090 transcript:DRNTG_24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLETLQAIGDMLEPHKLDELLLDMVPRAKKQADKRPRESSPESEDSILRHEHTERFTAGRLVHR >DRNTG_02035.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13121140:13130916:-1 gene:DRNTG_02035 transcript:DRNTG_02035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIGALTRSTSRISSSCSSAAVAAAAGHLWHPFASSSPSSSPPPRHYAGGGRSPLSHRAQIRSSAALARGFERRFASMATKNSFEGILTRLAKPGGGEFGKYYSLPALDDPRIDRLPYSIRILLESAIRNCDEFQVTGKDVEKILDWENTSPKLVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNKLGGDSNKINPLVPVDLVVDHSVQVDVARSENAVQANMELEFHRNKERFAFLKWGSNAFNNMLVVPPGSGIVHQVNLEYLGRVVFNNGGMLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLSNGVTATDLVLTVTNILRKHGVVGKFVEFYGEGMSQLSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVAMIESYLRANKMFIDYSEPQTERVYSSYLELNLEDVVPCISGPKRPHDRVALKEMKADWHSCLDNKVGFKGFAVPKESQNKVAEFSFRGTPAQIKHGDVVIAAITSCTNTSNPSVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVQKYFDKSGLQKYLNQLGFNIVGYGCTTCIGNSGDLDESVSAAISENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVNIDFETEPIGTSKDGKNVYLRDIWPSNDEIAQVVQSSVLPDMFRGTYESITKGNPMWNQLSVPSGSLYSWDPASTYIHEPPYFKNMTQSPPGPYPVKDAFCLLNLGDSITTDHISPAGSIHKDSPAAKYLMERGVERKDFNSYGSRRGNDEVMARGTFANIRLVNKLLNGEVGPKTIHVPTGEKLSVFDAAMRYKSEGHDTIILAGAEYGSGSSRDWAAKGPMLQGVKAVISKNFERIHRSNLVGMGIIPLCFKAGEDADTLGLTGHERYTINLPSNVSDIKPGQDVTVVTDSGKSFTCTLRFDTEVELAYYNHGGILPYVIRNLTGAKQ >DRNTG_31038.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30546824:30549384:-1 gene:DRNTG_31038 transcript:DRNTG_31038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGINHRRVEVNGIGMHIAEKGEGGPIVLMLHGFPELWYSWRHQIIGLAAKGYHAVAPDLRGYGDTDAPSAISSYSIFHLVGDIVDLINVLGQEQVFVVGHDWGAVVAWHLCLFRPDKVKALVNLSVAYRPRNPAAKPVDYFKALYGDEYYVCRFQEPGAVEAQFASANTKQLCKWFLKFCDPGGLFIPKEGLVLPNDESSLPAWLSEEDLNYFTNKFEKTGFTGGLNYYRCVSSNWELLAPWTGAQIKVPSKYIVGDLDLTYNYPGIQDYIHKGGFKQAVPFLKDVVVMAGVAHFINQEKAHEITEHILDFVKQF >DRNTG_31038.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30546824:30549384:-1 gene:DRNTG_31038 transcript:DRNTG_31038.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGINHRRVEVNGIGMHIAEKGEGGPIVLMLHGFPELWYSWRHQIIGLAAKGYHAVAPDLRGYGDTDAPSAISSYSIFHLVGDIVDLINVLGQEQVVHHLIKILPFYKCSHCF >DRNTG_33665.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2144006:2146697:1 gene:DRNTG_33665 transcript:DRNTG_33665.1 gene_biotype:protein_coding transcript_biotype:protein_coding GCGQYIFNISTDVVKQLLPLGDIISDVVLLLQDEAALRLTDVSLRKTEHRPVNIFVNFYSDPEYKFKVERSNFFPQPNVSYFFMF >DRNTG_23289.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1290418:1291336:1 gene:DRNTG_23289 transcript:DRNTG_23289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSTETFRRSGSSGLVWEERFSGNLNQGKGGNDKNREGPELRQSRSVGSTGMMERSLSNGGGRGFRTMGVEPTFDPPSPKVSACGFCGVFRKSKGSKKSNPRSTKRNT >DRNTG_15629.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20959181:20961633:1 gene:DRNTG_15629 transcript:DRNTG_15629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHGLISDALLTLHRPLADGCRTFSSRLLHSANPLLLPPLQLPPRNPISFSLPPYRHLRSAATINSQAFFDLSQDPRFFEVELKVRDYELDQYGVVNNAVYASYCQHGHHELLEKIGLSADAVARTGKSLALSELSLKFISPLRSHDKFVVKVRISGTSAARIFFDHLIFKLPDQKVCDMIFFISPLIPWML >DRNTG_32113.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2442707:2445537:-1 gene:DRNTG_32113 transcript:DRNTG_32113.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGYGIIYTILVLIFATYFFKRKHKEEGQFNGKLRLPPGSMGFPYVGETLQLYSQDPNVFFTNKQKRYGEIFKTHVLGCPCVMLASPEAARFVLVTQSNLFKPTYPRSKERMIGPSALFFNQGEYHMGIRKLVQSCLAPEALRAIVPEIERIVVSMLESLGHGQVINTFHTMKKLSFDVGILTIFGGKLEARYKVELKKNYFIVDKGYNSFPTNIPGTHYNKAIKARKRLSELLSEIMNKRRKNGKVEKDLLGYLMESKDNGKGSKLSDEQISDNIIGVLFAAQDTTASVLTWILKYLGEDSKLLEAVKVEQMDIYATNECGSQPLTWAQTRAMTLTNKVVLETLRMASIISFTFREAVADVEYKGFLIPKGWKVMPLFRNIHYNPDFFAEPHKFEPSRFSSAPKPNTFLPFGSGVHACPGNELAKLEILILLHHLVTKYRFEIVGPNNEIEYSPFPIPKEGLPMHVWRNELNHGA >DRNTG_32113.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2443399:2445056:-1 gene:DRNTG_32113 transcript:DRNTG_32113.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPEAARFVLVTQSNLFKPTYPRSKERMIGPSALFFNQGEYHMGIRKLVQSCLAPEALRAIVPEIERIVVSMLESLGHGQVINTFHTMKKLSFDVGILTIFGGKLEARYKVELKKNYFIVDKGYNSFPTNIPGTHYNKAIKARKRLSELLSEIMNKRRKNGKVEKDLLGYLMESKDNGKGSKLSDEQISDNIIGVLFAAQDTTASVLTWILKYLGEDSKLLEAVKVEQMDIYATNECGSQPLTWAQTRAMTLTNKVVLETLRMASIISFTFREAVADVEYKGFLIPKGWKVMPLFRNIHYNPDFFAEPHKFEPSRFSSAPKPNTFLPFGSGVHACPGNELAKLEILILLHHLVTKYR >DRNTG_32471.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12431908:12432209:1 gene:DRNTG_32471 transcript:DRNTG_32471.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIQKEVTQMLIWVTILGLLSSTILSSCNIIERMMVPLNP >DRNTG_17183.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:24645445:24653823:-1 gene:DRNTG_17183 transcript:DRNTG_17183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPSRALDCFERLESAMGVIWIEMVLSLTELRLCDGHGQCALALDRVSFITRWNTTPKDLASSNATLGVREMVL >DRNTG_22881.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001252.1:72041:74028:-1 gene:DRNTG_22881 transcript:DRNTG_22881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKNDKIFDSLELMKQAKIPKEFIWPENEQPNTLEKLNAPVIDLTGFFQGDKASTKLAADLINNACQSHGFFQVINHGVNASLAEEALSCMNAFFKLPLNHKLKARRTPGSMWGYAGAHADRFSSRLPWKETLSFGYQEHDDHTEHIVVDYFISTLGDEFKHMGFVYQKYCEAMKKLSLGIMELLAISLGVEKSYYKDFFRDSNSIMRCNYYPPCKEPDLTLGTGPHCDPTSLTILQQDHVGGLQVFADGKWRCIPPVPNALVINIGDTFMALSNGKYKSCLHRAVVNRECERRSLAFFLCPRDDRVVRPPADIEGPRKYPDFTWSELWEFTQRHYRADMKTLHSFSQWLLSSVCKT >DRNTG_05286.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16734028:16736500:1 gene:DRNTG_05286 transcript:DRNTG_05286.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLDTILQLLVRDASSPPNRRPRTPQTLPASPSLSPPAQFDNESEGHERSYICVS >DRNTG_15519.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10044087:10045580:-1 gene:DRNTG_15519 transcript:DRNTG_15519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINSSSYTKIRKPNNNNSSMPTLTHLLYLLFISFLLFILLLHFPPSSSYTSSPTSSIIKHFSGDLRNITFSWNSLKLTGDKPPPTQLKIAVFSRKWPVVTAPGGMERHAMTLHYALARRNHDVHVFTSAPSPGDLPADGQTKPASLHVHHIHALPGQWKSDLAWELFDTENARGVFDVVHSESVALFHRQARHVPNLAVSWHGISLEALHSGIYQDLTRSPDEPISPAFNQSLAHSIYKVLDEIRFFHSYKHHVAISDSSGEILRDVYQIPPDRVHVILNGVDEENFEPDKALGKSFREEIAIPSKALVVFGVAGRLVKDKGYPLLYEAFSKFMSWHPDVYLVVAGSGPWAKRFEDLGSNVKVLGALPPAKLKAFYNSLDLFVNPTLRPQGLDLTLMEAMECGTAVAATRFPSIKGSIVVDDEFGYMFSPNVDSLRGVLDTAVAEGAGRLAERGRACRSYAKGMFGARKMALAYERLFLCIKDDMYCKYPIIDFDM >DRNTG_34505.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32676314:32676956:1 gene:DRNTG_34505 transcript:DRNTG_34505.2 gene_biotype:protein_coding transcript_biotype:protein_coding DLHGLLLKLRDEYLYCLYCGCKYESMETMLNDCPGPHEEDH >DRNTG_34505.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32673511:32676956:1 gene:DRNTG_34505 transcript:DRNTG_34505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRMGYRPEEGRAEPVGIEIRRAREGIGVGEEKKRKEREVVERKRIREEEMESDFGCRRRLEWRSRRVAGDYRKAEAALAQLENREVEPVKEDEGEDQEEEEEEQQITEEDLHGLLLKLRDEYLYCLYCGCKYESMETMLNDCPGPHEEDH >DRNTG_28404.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:17444764:17451595:1 gene:DRNTG_28404 transcript:DRNTG_28404.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jacalin-related lectin 3 [Source:Projected from Arabidopsis thaliana (AT1G19715) UniProtKB/Swiss-Prot;Acc:F4HQX1] MLSFKEGNEKGPVLVGPWGGQGGVPWDDGVYSTVRQIVITHGTAIDSIRIEYDRKGTSLWSVKHGGNGGAKTDKVPLDHPTEILTTVSGHYGSMTNGSPIIIRSLTFHSNYSKYGPFGLEQGTQFSCPINGAKIVGFHGRSGWYVDSIGFYMKHIKNPSPWKSLVPLRTLSTSSNDVAGYTVMEGSVGKGFDIVLAVRERGDNLPVISKKFTREPSSVPEYAEAGLVNKIVACPSLSGDNGLPNLGAVTYGPWGGTGGTIFDDGIYTGVRQIHLSRNVGITSMKVLYDKNGQEVWGNRRGGSGGLKFDKIIFDYPFEILTSVTGYFGTTMLMGPIAIKSLTFHTTKKKYGPFGEQQGTFFSSFLADGMIVGFHGRGGWYIDSIGVHVLEGKVSPPESPPAGYPWKGSGMGISEIDNPQWSNKIVLPRGITGEEVTYGIVKDPVPSGPGPWGGEGGKPWDDGVYLGVKQIFITRGDAICSIQIEYDRSGQSVWSSRHGSAGQITHRVKFEYPNEVLNCISGYYNSIGGNTGQNVISSITLYTSRGKYGPIGEELGTYFTSITTEGKVVGFHGRCGMYLDAIGVHMQHWLGDRRSSKSIFSKFLF >DRNTG_22500.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001243.1:8019:19642:1 gene:DRNTG_22500 transcript:DRNTG_22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSEWLEEEDDRMEEELGDDMTLGQEVRKEPERYAALGAQNHHSGIPTTNAKKPYLGDSRLTRERKKYI >DRNTG_17027.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10691375:10692298:1 gene:DRNTG_17027 transcript:DRNTG_17027.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQEPILSPSPIGSETSTSRVRRKRKSVVDQSSEKIPQNLNHFVEVIGPGFKTLADVAAHKVARDEACEAREKAHYDALAEIVKMKNMLPQVLFEIDGLSEDEALFILQVLPKDDDQMKIFFELPDNKKLRFCHILLSRLSFKAPNM >DRNTG_17027.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10687379:10690822:1 gene:DRNTG_17027 transcript:DRNTG_17027.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHASARNVIERTFVLLRSRWKILSSPSFYNIATQWRIINACCLLHNFIRQEMVEDPAEDNVGDETLEESTADDTENIMAVEPTDEWTQFRLNMALDMFNASHST >DRNTG_17027.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10687379:10692298:1 gene:DRNTG_17027 transcript:DRNTG_17027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQEPILSPSPIGSETSTSRVRRKRKSVVDQSSEKIPQNLNHFVEVIGPGFKTLADVAAHKVARDEACEAREKAHYDALAEIVKMKNMLPQVLFEIDGLSEDEALFILQVLPKDDDQMKIFFELPDNKKLRFCHILLSRLSFKAPNM >DRNTG_17027.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10691375:10691905:1 gene:DRNTG_17027 transcript:DRNTG_17027.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQEPILSPSPIGSETSTSRVRRKRKSVVDQSSEKIPQNLNHFVEVIGPGFKTLADVAAHKVARDEACEAREKAHYDALAEIVKMKNMLPQVLFEIDGLSEDEALFILQV >DRNTG_00692.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30043823:30047272:1 gene:DRNTG_00692 transcript:DRNTG_00692.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:decapping 2 [Source:Projected from Arabidopsis thaliana (AT5G13570) TAIR;Acc:AT5G13570] MMAAGGLHRSSSTPMRNSLPPQELLDDLCSRFVLNVPKEDLESFERILFLLEQAHWFYEDNSVEQNPSLKSLSFKEFTSLMFNSCAALRPYIAHIDDIYKDFTSYKFRVPVTGAIILDESYERCLLVKGWKAGSSWSFPRGKKSKDEEDHTCAVREVLEETGFDVSRLLNMDDFLEVVIGQQRVRLYIIAGVKEDTAFAPLTKKEISEISWHRLDELLPAGDEAISRGTNGLKLYMVAPFLISLKAWILAHPPPASPKQDSSAKGTCVWKAKPTSAGGTSIENSLVRAATGTGVEPQIADTAPGKSFRNFRFDTTSIIQAMEAVFSAN >DRNTG_12609.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20411334:20411957:-1 gene:DRNTG_12609 transcript:DRNTG_12609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGGGGRTPCWPGKPCIGGLPIWTAGVKFAMAPPPTGGGIGPVIGRGGPFDGGGGSGCDPPIGGGGGIIGGGRGAFMPGPDGGSACNGGGGGGGA >DRNTG_11138.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30393965:30394393:1 gene:DRNTG_11138 transcript:DRNTG_11138.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKHISMKSLEKVVLRGPSGSRWPVKLSRTVKGTFLCDGWMNFVKTHALREYEFLVFRYNGRALFDVLVFDITACEREDLFNIRRRKRLRKHKLEVLSLPQPEVIKTELKETQIQDVVYPVTMLKDACPDSVQG >DRNTG_11138.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30393590:30396012:1 gene:DRNTG_11138 transcript:DRNTG_11138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQQQKNRADVHVRKPHFFKVLLGDFSQRLKIPVRFMKHISMKSLEKVVLRGPSGSRWPVKLSRTVKGTFLCDGWMNFVKTHALREYEFLVFRYNGRALFDVLVFDITACEREDLFNIRRRKRLRKHKLEVLSLPQPEVIKTELKETQIQDVVYPVTMLKDACPDSVQGESLVNSETDKHVEIKVEVTEIPIVAASPKKCLKTQGYLSRRRPVSEEERSKAWEAANSFTSNFPYTVVCLSALHVYKASPTVTLPTPFSREHLPRRRTNLVFRDPNGQAWVIMFIPGLRNWLSGGWPAFARGNNLEEGDICVFELVGPIEFHVHIFRVVDETVPMIKLSSI >DRNTG_11138.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30394516:30396012:1 gene:DRNTG_11138 transcript:DRNTG_11138.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIPGLRNWLSGGWPAFARGNNLEEGDICVFELVGPIEFHVHIFRVVDETVPMIKLSSI >DRNTG_01366.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17721969:17722589:1 gene:DRNTG_01366 transcript:DRNTG_01366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDDPNYKKGFKFDHVWHIVRNFEKFKDNVITSRQINRKHGFDYVSSESENPTPESQAPESPGLSQFSLNLDDIGGGSPSERPIGQKKAKLKKKVNDEVAYSISRLKDDNSKIMEMLEKTNADRQMFLEMQNKNLAFQQMRYEDKILMRDLNSIADPNIRACIQAQQQEILQKRGHFQQPPPSGSNMFSDIFGNIGGSGDNMPDY >DRNTG_16220.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30794169:30803857:-1 gene:DRNTG_16220 transcript:DRNTG_16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTLMATTSILFCSGLAAIISSTYSVKKAVDDIVFGAHGELMMALKYVMILIIFLLAFLFYTLSIRLINQVNFLINIPVTFLDDVGVSPEMQEWYILDMMDRGFVLSTLGNRLFYTAVPLLLWIFGPLLVFLCSISMVFILYKLDIVPGEPTGKLRAVDVVGDEQIISCSNHVRVVVL >DRNTG_32655.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21983596:21985161:-1 gene:DRNTG_32655 transcript:DRNTG_32655.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAQQCQNGNGWQEEQEAQPGTEVRAWCQAVLVEN >DRNTG_00620.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22495812:22500023:-1 gene:DRNTG_00620 transcript:DRNTG_00620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGQLISVYPEELSFEFELGKPAYCDLKVVNNTEHHVAFKVKTTSPRKYFVRPNTSVVQPWDACTITVTLQAQKEYPPDMRCNDKFLLQSTKVPPITDVDELPPETFNKEGDKVVQELKLKVIYKAPTQLNDGNSEETGLTGAPRGSRQGSDSISVLNNSSMQEIQAVQHLKSERDAILQQNQQLQRELEMFRRRKSRRNDAGFSLTFSAFAGLVGLMLGFILNLALSSPPTA >DRNTG_00620.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22495812:22500023:-1 gene:DRNTG_00620 transcript:DRNTG_00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGQLISVYPEELSFEFELGKPAYCDLKVVNNTEHHVAFKVKTTSPRKYFVRPNTSVVQPWDACTITVTLQAQKEYPPDMRCNDKFLLQSTKVPPITDVDELPPETFNKEGDKVVQELKLKVIYKAPTQLNDGNSEETGLTGAPRGSRQGSDSISVLNNSSMQEIQAVQHLKSERDAILQQNQQLQRELEMFRRRKSRRNDAGFSLTFSAFAGLVGLMLGFILNLALSSPPTA >DRNTG_24732.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2309623:2312826:-1 gene:DRNTG_24732 transcript:DRNTG_24732.7 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLIKLCFSRHRHAAAAVGSNIYVFGGLGYEVIYSCMNVLHTETLQWTEVSVQGECPCARHSHSLVAYGSQLFLFGGYDGEKALGDLYSFDVRTLHWEKVKTNGRSPCPRFSHSMFIYKNYLGIIGGCPVRQENQELALLNLDHQSWVYVTVVCLGRELWVRCSTTVVDDDLVIVGGGASCYAFGTKFNPPMKVNLQQLETLHVTHCHKLVKQGSHSTFQESLQNLSVNGCGNFSDAEHLVLKIIKKNAKLAKDILKKFGWLDTDRKVRPSVDGSYICIPVNQNFFALIQKKPHGSMNVDIMDDGHQPEIFETKSISVHEVSLPMALSFISSSGSSLLKDSAVCDRKVSKSPQSIMRDFVSSLLRKKGLPPQLLDELPTRWERLGDIVVLPVTSFKDQAWNSMGEELWPIVANSIGAQRLARQGRILATGTRDTTLEILVGDNGWVTHQENGIFYSFDATKCMFSSGNLSEKLRMAQLDCRDEIIVDLFAGIGYFVLPFLVKAKAKLVYACEWNPHAINALRHNIDTNSVADRCVILEGDNRITAPKGIADRVCLGLLPTSEGSWVTAVRSLKIEGGILHIHGNVNDSEEGSWLEYVLKTITNIAKSEGLCWQISVEHLERVKWYGPHIRHLVADLHCKKL >DRNTG_24732.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2309623:2315320:-1 gene:DRNTG_24732 transcript:DRNTG_24732.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPKSGTLKEINTVDSPSPRMGHTSSLIGDQIFVIGGRDGPTQIFDEVWVLNNVESRWNLLKCNGSMFHPRHRHAAAAVGSNIYVFGGLGYEVIYSCMNVLHTETLQWTEVSVQGECPCARHSHSLVAYGSQLFLFGGYDGEKALGDLYSFDVRTLHWEKVKTNGRSPCPRFSHSMFIYKNYLGIIGGCPVRQENQELALLNLDHQSWVYVTVVCLGRELWVRCSTTVVDDDLVIVGGGASCYAFGTKFNPPMKVNLQQLETLHVTHCHKLVKQGSHSTFQESLQNLSVNGCGNFSDAEHLVLKIIKKNAKLAKDILKKFGWLDTDRKVRPSVDGSYICIPVNQNFFALIQKKPHGSMNVDIMDDGHQPEIFETKSISVHEVSLPMALSFISSSGSSLLKDSAVCDRKVSKSPQSIMRDFVSSLLRKKGLPPQLLDELPTRWERLGDIVVLPVTSFKDQAWNSMGEELWPIVANSIGAQRLARQGRILATGTRDTTLEILVGDNGWVTHQENGIFYSFDATKCMFSSGNLSEKLRMAQLDCRDEIIVDLFAGIGYFVLPFLVKAKAKLVYACEWNPHAINALRHNIDTNSVADRCVILEGDNRITAPKGIADRVCLGLLPTSEGSWVTAVRSLKIEGGILHIHGNVNDSEEGSWLEYVLKTITNIAKSEGLCWQISVEHLERVKWYGPHIRHLVADLHCKKL >DRNTG_24732.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2309623:2315320:-1 gene:DRNTG_24732 transcript:DRNTG_24732.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPKSGTLKEINTVDSPSPRMGHTSSLIGDQIFVIGGRDGPTQIFDEVWVLNNVESRWNLLKCNGSMFHPRHRHAAAAVGSNIYVFGGLGYEVIYSCMNVLHTETLQWTEVSVQGECPCARHSHSLVAYGSQLFLFGGYDGEKALGDLYSFDVRTLHWEKVKTNGRSPCPRFSHSMFIYKNYLGIIGGCPVRQENQELALLNLDHQSWVYVTVVCLGRELWVRCSTTVVDDDLVIVGGGASCYAFGTKFNPPMKVNLQQLETLHVTHCHKLVKQGSHSTFQESLQNLSVNGCGNFSDAEHLVLKIIKKNAKLAKDILKKFGWLDTDRKVRPSVDGSYICIPVNQNFFALIQKKPHGSMNVDIMDDGHQPEIFETKSISVHEVSLPMALSFISSSGSSLLKDSAVCDRKVSKSPQSIMRDFVSSLLRKKGLPPQLLDELPTRWERLGDIVVLPVTSFKDQAWNSMGEELWPIVANSIGAQRLARQGRILATGTRDTTLEILVGDNGWVTHQENGIFYSFDATKCMFSSGNLSEKLRMAQLDCRDEIIVDLFAGIGYFVLPFLVKAKAKLVYACEWNPHAINALRHNIDTNSVADRCVILEGDNRITAPKGIADRVCLGLLPTSEGSWVTAVRSLKIEGGILHIHGNVNDSEEGSWLEYVLKTITNIAKSEGLCWQISVEHLERVKWYGPHIRHLVADLHCKKL >DRNTG_24732.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2309623:2315320:-1 gene:DRNTG_24732 transcript:DRNTG_24732.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPKSGTLKEINTVDSPSPRMGHTSSLIGDQIFVIGGRDGPTQIFDEVWVLNNVESRWNLLKCNGSMFHPRHRHAAAAVGSNIYVFGGLGYEVIYSCMNVLHTETLQWTEVSVQGECPCARHSHSLVAYGSQLFLFGGYDGEKALGDLYSFDVRTLHWEKVKTNGRSPCPRFSHSMFIYKNYLGIIGGCPVRQENQELALLNLDHQSWVYVTVVCLGRELWVRCSTTVVDDDLVIVGGGASCYAFGTKFNPPMKVNLQQLETLHVTHCHKLVKQGSHSTFQESLQNLSVNGCGNFSDAEHLVLKIIKKNAKLAKDILKKFGWLDTDRKVRPSVDGSYICIPVNQNFFALIQKKPHGSMNVDIMDDGHQPEIFETKSISVHEVSLPMALSFISSSGSSLLKDSAVCDRKVSKSPQSIMRDFVSSLLRKKGLPPQLLDELPTRWERLGDIVVLPVTSFKDQAWNSMGEELWPIVANSIGAQRLARQGRILATGTRDTTLEILVGDNGWVTHQENGIFYSFDATKCMFSSGNLSEKLRMAQLDCRDEIIVDLFAGIGYFVLPFLVKAKAKLVYACEWNPHAINALRHNIDTNSVADRCVILEGDNRITAPKGIADRVCLGLLPTSEGSWVTAVRSLKIEGGILHIHGNVNDSEEGSWLEYVLKTITNIAKSEGLCWQISVEHLERVKWYGPHIRHLVADLHCKKL >DRNTG_24732.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2309623:2315320:-1 gene:DRNTG_24732 transcript:DRNTG_24732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQKRKAQTLAAMASPAPDKSPKGNLDAPIIPLLDAINNDPSYFTTSSCSGRISILLSLPPSAASTKKKARGGTWIFISHDPADPDFLVDLLFGARDRTDDAGGEMVFRFEPLIVAVECRDIDAAQALVSTAIASGFRESGITNAGKRVMVAIRCSIRLEVPLGQSGALLVSPEYVRYLVGIANEKMEANRRRTDGFLNVLQCKGLRQLVTEKIGYQSCMVPRESLLNLESKQNDVQSKILLIESDAVKVHEQHNIGSLDEDACGLESNWFFREDNGNDASSKTPLKASICLHEVEVESGNSCRSHKLRETVNGLSEKGSLLAVYLTIFDEPPEKLYLWGQSACVVNDNEHKQIFIFGGFGGLGRHARRNYSLMLDPKSGTLKEINTVDSPSPRMGHTSSLIGDQIFVIGGRDGPTQIFDEVWVLNNVESRWNLLKCNGSMFHPRHRHAAAAVGSNIYVFGGLGYEVIYSCMNVLHTETLQWTEVSVQGECPCARHSHSLVAYGSQLFLFGGYDGEKALGDLYSFDVRTLHWEKVKTNGRSPCPRFSHSMFIYKNYLGIIGGCPVRQENQELALLNLDHQSWVYVTVVCLGRELWVRCSTTVVDDDLVIVGGGASCYAFGTKFNPPMKVNLQQLETLHVTHCHKLVKQGSHSTFQESLQNLSVNGCGNFSDAEHLVLKIIKKNAKLAKDILKKFGWLDTDRKVRPSVDGSYICIPVNQNFFALIQKKPHGSMNVDIMDDGHQPEIFETKSISVHEVSLPMALSFISSSGSSLLKDSAVCDRKVSKSPQSIMRDFVSSLLRKKGLPPQLLDELPTRWERLGDIVVLPVTSFKDQAWNSMGEELWPIVANSIGAQRLARQGRILATGTRDTTLEILVGDNGWVTHQENGIFYSFDATKCMFSSGNLSEKLRMAQLDCRDEIIVDLFAGIGYFVLPFLVKAKAKLVYACEWNPHAINALRHNIDTNSVADRCVILEGDNRITAPKGIADRVCLGLLPTSEGSWVTAVRSLKIEGGILHIHGNVNDSEEGSWLEYVLKTITNIAKSEGLCWQISVEHLERVKWYGPHIRHLVADLHCKKL >DRNTG_24732.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2309623:2315320:-1 gene:DRNTG_24732 transcript:DRNTG_24732.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPKSGTLKEINTVDSPSPRMGHTSSLIGDQIFVIGGRDGPTQIFDEVWVLNNVESRWNLLKCNGSMFHPRHRHAAAAVGSNIYVFGGLGYEVIYSCMNVLHTETLQWTEVSVQGECPCARHSHSLVAYGSQLFLFGGYDGEKALGDLYSFDVRTLHWEKVKTNGRSPCPRFSHSMFIYKNYLGIIGGCPVRQENQELALLNLDHQSWVYVTVVCLGRELWVRCSTTVVDDDLVIVGGGASCYAFGTKFNPPMKVNLQQLETLHVTHCHKLVKQGSHSTFQESLQNLSVNGCGNFSDAEHLVLKIIKKNAKLAKDILKKFGWLDTDRKVRPSVDGSYICIPVNQNFFALIQKKPHGSMNVDIMDDGHQPEIFETKSISVHEVSLPMALSFISSSGSSLLKDSAVCDRKVSKSPQSIMRDFVSSLLRKKGLPPQLLDELPTRWERLGDIVVLPVTSFKDQAWNSMGEELWPIVANSIGAQRLARQGRILATGTRDTTLEILVGDNGWVTHQENGIFYSFDATKCMFSSGNLSEKLRMAQLDCRDEIIVDLFAGIGYFVLPFLVKAKAKLVYACEWNPHAINALRHNIDTNSVADRCVILEGDNRITAPKGIADRVCLGLLPTSEGSWVTAVRSLKIEGGILHIHGNVNDSEEGSWLEYVLKTITNIAKSEGLCWQISVEHLERVKWYGPHIRHLVADLHCKKL >DRNTG_24732.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2309623:2312826:-1 gene:DRNTG_24732 transcript:DRNTG_24732.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLIKLCFSRHRHAAAAVGSNIYVFGGLGYEVIYSCMNVLHTETLQWTEVSVQGECPCARHSHSLVAYGSQLFLFGGYDGEKALGDLYSFDVRTLHWEKVKTNGRSPCPRFSHSMFIYKNYLGIIGGCPVRQENQELALLNLDHQSWVYVTVVCLGRELWVRCSTTVVDDDLVIVGGGASCYAFGTKFNPPMKVNLQQLETLHVTHCHKLVKQGSHSTFQESLQNLSVNGCGNFSDAEHLVLKIIKKNAKLAKDILKKFGWLDTDRKVRPSVDGSYICIPVNQNFFALIQKKPHGSMNVDIMDDGHQPEIFETKSISVHEVSLPMALSFISSSGSSLLKDSAVCDRKVSKSPQSIMRDFVSSLLRKKGLPPQLLDELPTRFFSP >DRNTG_34732.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2218585:2221061:1 gene:DRNTG_34732 transcript:DRNTG_34732.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRMKAFTEQKPNHKILRDNISWDPISEKLKTRHDALCCMKWYNQLASPLVSEGLWVDSDDYRLIYALQNDDACCEEDVEWDNLLEHRPGDICRKRWKQMIRHIGGHREKSFIEQVDVLSQRYCPEMLEYRE >DRNTG_34732.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2218270:2221061:1 gene:DRNTG_34732 transcript:DRNTG_34732.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRMKAFTEQKPNHKILRDNISWDPISEKLKTRHDALCCMKWYNQLASPLVSEGLWVDSDDYRLIYALQNDDACCEEDVEWDNLLEHRPGDICRKRWKQMIRHIGGHREKSFIEQVDVLSQRYCPEMLEYRE >DRNTG_34732.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2218190:2221061:1 gene:DRNTG_34732 transcript:DRNTG_34732.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRMKAFTEQKPNHKILRDNISWDPISEKLKTRHDALCCMKWYNQLASPLVSEGLWVDSDDYRLIYALQNDDACCEEDVEWDNLLEHRPGDICRKRWKQMIRHIGGHREKSFIEQVDVLSQRYCPEMLEYRE >DRNTG_34732.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2218750:2221061:1 gene:DRNTG_34732 transcript:DRNTG_34732.12 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRMKAFTEQKPNHKILRDNISWDPISEKLKTRHDALCCMKWYNQLASPLVSEGLWVDSDDYRLIYALQNDDACCEEDVEWDNLLEHRPGDICRKRWKQMIRHIGGHREKSFIEQVDVLSQRYCPEMLEYRE >DRNTG_34732.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2218585:2221215:1 gene:DRNTG_34732 transcript:DRNTG_34732.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKWYNQLASPLVSEGLWVDSDDYRLIYALQNDDACCEEDVEWDNLLEHRPGDICRKRWKQMIRHIGGHREKSFIEQVDVLSQRYCPEMLEYRE >DRNTG_34732.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2218750:2221061:1 gene:DRNTG_34732 transcript:DRNTG_34732.13 gene_biotype:protein_coding transcript_biotype:protein_coding MKWYNQLASPLVSEGLWVDSDDYRLIYALQNDDACCEEDVEWDNLLEHRPGDICRKRWKQMIRHIGGHREKSFIEQVDVLSQRYCPEMLEYRE >DRNTG_34732.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2218585:2221123:1 gene:DRNTG_34732 transcript:DRNTG_34732.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKWYNQLASPLVSEGLWVDSDDYRLIYALQNDDACCEEDVEWDNLLEHRPGDICRKRWKQMIRHIGGHREKSFIEQVDVLSQRYCPEMLEYRE >DRNTG_34732.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2218750:2221123:1 gene:DRNTG_34732 transcript:DRNTG_34732.11 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRMKAFTEQKPNHKILRDNISWDPISEKLKTRHDALCCMKWYNQLASPLVSEGLWVDSDDYRLIYALQNDDACCEEDVEWDNLLEHRPGDICRKRWKQMIRHIGGHREKSFIEQVDVLSQRYCPEMLEYRE >DRNTG_34732.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2218585:2221215:1 gene:DRNTG_34732 transcript:DRNTG_34732.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRMKAFTEQKPNHKILRDNISWDPISEKLKTRHDALCCMKWYNQLASPLVSEGLWVDSDDYRLIYALQNDDACCEEDVEWDNLLEHRPGDICRKRWKQMIRHIGGHREKSFIEQVDVLSQRYCPEMLEYRE >DRNTG_34732.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2218190:2221061:1 gene:DRNTG_34732 transcript:DRNTG_34732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWYNQLASPLVSEGLWVDSDDYRLIYALQNDDACCEEDVEWDNLLEHRPGDICRKRWKQMIRHIGGHREKSFIEQVDVLSQRYCPEMLEYRE >DRNTG_34732.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2218270:2221123:1 gene:DRNTG_34732 transcript:DRNTG_34732.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRMKAFTEQKPNHKILRDNISWDPISEKLKTRHDALCCMKWYNQLASPLVSEGLWVDSDDYRLIYALQNDDACCEEDVEWDNLLEHRPGDICRKRWKQMIRHIGGHREKSFIEQVDVLSQRYCPEMLEYRE >DRNTG_34732.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2218585:2221123:1 gene:DRNTG_34732 transcript:DRNTG_34732.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRMKAFTEQKPNHKILRDNISWDPISEKLKTRHDALCCMKWYNQLASPLVSEGLWVDSDDYRLIYALQNDDACCEEDVEWDNLLEHRPGDICRKRWKQMIRHIGGHREKSFIEQVDVLSQRYCPEMLEYRE >DRNTG_34732.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2218750:2221215:1 gene:DRNTG_34732 transcript:DRNTG_34732.10 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRMKAFTEQKPNHKILRDNISWDPISEKLKTRHDALCCMKWYNQLASPLVSEGLWVDSDDYRLIYALQNDDACCEEDVEWDNLLEHRPGDICRKRWKQMIRHIGGHREKSFIEQVDVLSQRYCPEMLEYRE >DRNTG_26700.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31295064:31299701:-1 gene:DRNTG_26700 transcript:DRNTG_26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRGPMGNMRNRMGPVGGRGIMGNGGMVAPPPPVMHPGAMFDPTGYGAAMGRMAGGYGGFPGPVGAPFPGMLPSFPPVVAPHVNPAFFGRGMPAGVGMWSDPNMGGWGAEEQSSYGEDAASDQQYGEASHGKDRAAERDWSGSAPERRHEREKDLGSGHDVPERRHRDEREMGRERDRDWERERDRERERDRERERDRDRERERERDRERDRYRDDRDRHGDHHRHRDRELERDDDWERGRSSRRSKSREVEHSKRRRLSPE >DRNTG_14199.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000660.1:81517:85397:1 gene:DRNTG_14199 transcript:DRNTG_14199.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESQRRRVLTSIFLMTLLVSSAHCLEFGRKKKKKPDGPIKTVVILVMENRSFDHILGWLKSSRPDIDGLTGHESNRLSTSDPSSPEVFVSDDAVFIDSDPGHSFQAIREQIFGSEDTSADPAPMNGFAQQAESMGEGMARTVMSGFTPDSVPVYTALVNEFAVFDRWFASVPTSTQPNRFYVHSATSHGASSNVRKDLIHGFPQKTIFDSLDESGLSFGIYYQNIPATLFFKSLRKLKHITKFHNYKLTFKLHAKWGKLPNYVVIEQRYMDVELFPANDDHPSHDVARGQRFVKEVYETLRSSPQWNETALLITYDEHGGFYDHVPTPVFNVPNPDGIIGPDPFFFKFDRLGVRVPTILVSPWIDKATVIHEPNGPTPYSHFEHSSIPATIKKLFNLNSNFLTKRDAWAGTFESYFSIRKSPRTDCPEKLPEVTKSLRPFGPKEDAALSEFQMELIQLASQLVGDHVLNTYPEIGKGMSVGEANQYAEDAVARFLEAGRAALRAGANESAIVTMRPALTSRTSGATSTLHQSG >DRNTG_14199.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000660.1:81202:85397:1 gene:DRNTG_14199 transcript:DRNTG_14199.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQRRRVLTSIFLMTLLVSSAHCLEFGRKKKKKPDGPIKTVVILVMENRSFDHILGWLKSSRPDIDGLTGHESNRLSTSDPSSPEVFVSDDAVFIDSDPGHSFQAIREQIFGSEDTSADPAPMNGFAQQAESMGEGMARTVMSGFTPDSVPVYTALVNEFAVFDRWFASVPTSTQPNRFYVHSATSHGASSNVRKDLIHGFPQKTIFDSLDESGLSFGIYYQNIPATLFFKSLRKLKHITKFHNYKLTFKLHAKWGKLPNYVVIEQRYMDVELFPANDDHPSHDVARGQRFVKEVYETLRSSPQWNETALLITYDEHGGFYDHVPTPVFNVPNPDGIIGPDPFFFKFDRLGVRVPTILVSPWIDKATVIHEPNGPTPYSHFEHSSIPATIKKLFNLNSNFLTKRDAWAGTFESYFSIRKSPRTDCPEKLPEVTKSLRPFGPKEDAALSEFQMELIQLASQLVGDHVLNTYPEIGKGMSVGEANQYAEDAVARFLEAGRAALRAGANESAIVTMRPALTSRTSGATSTLHQSG >DRNTG_14199.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000660.1:81202:85397:1 gene:DRNTG_14199 transcript:DRNTG_14199.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESQRRRVLTSIFLMTLLVSSAHCLEFGRKKKKKPDGPIKTVVILVMENRSFDHILGWLKSSRPDIDGLTGHESNRLSTSDPSSPEVFVSDDAVFIDSDPGHSFQAIREQIFGSEDTSADPAPMNGFAQQAESMGEGMARTVMSGFTPDSVPVYTALVNEFAVFDRWFASVPTSTQPNRFYVHSATSHGASSNVRKDLIHGFPQKTIFDSLDESGLSFGIYYQNIPATLFFKSLRKLKHITKFHNYKLTFKLHAKWGKLPNYVVIEQRYMDVELFPANDDHPSHDVARGQRFVKEVYETLRSSPQWNETALLITYDEHGGFYDHVPTPVFNVPNPDGIIGPDPFFFKFDRLGVRVPTILVSPWIDKATVIHEPNGPTPYSHFEHSSIPATIKKLFNLNSNFLTKRDAWAGTFESYFSIRKSPRTDCPEKLPEVTKSLRPFGPKEDAALSEFQMELIQLASQLVGDHVLNTYPEIGKGMSVGEANQYAEDAVARFLEAGRAALRAGANESAIVTMRPALTSRTSGATSTLHQSG >DRNTG_14199.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000660.1:81517:85397:1 gene:DRNTG_14199 transcript:DRNTG_14199.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESQRRRVLTSIFLMTLLVSSAHCLEFGRKKKKKPDGPIKTVVILVMENRSFDHILGWLKSSRPDIDGLTGHESNRLSTSDPSSPEVFVSDDAVFIDSDPGHSFQAIREQIFGSEDTSADPAPMNGFAQQAESMGEGMARTVMSGFTPDSVPVYTALVNEFAVFDRWFASVPTSTQPNRFYVHSATSHGASSNVRKDLIHGFPQKTIFDSLDESGLSFGIYYQNIPATLFFKSLRKLKHITKFHNYKLTFKLHAKWGKLPNYVVIEQRYMDVELFPANDDHPSHDVARGQRFVKEVYETLRSSPQWNETALLITYDEHGGFYDHVPTPVFNVPNPDGIIGPDPFFFKFDRLGVRVPTILVSPWIDKATVIHEPNGPTPYSHFEHSSIPATIKKLFNLNSNFLTKRDAWAGTFESYFSIRKSPRTDCPEKLPEVTKSLRPFGPKEDAALSEFQMELIQLASQLVGDHVLNTYPEIGKGMSVGEANQYAEDAVARFLEAGRAALRAGANESAIVTMRPALTSRTSGATSTLHQSG >DRNTG_14199.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000660.1:81137:85397:1 gene:DRNTG_14199 transcript:DRNTG_14199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQRRRVLTSIFLMTLLVSSAHCLEFGRKKKKKPDGPIKTVVILVMENRSFDHILGWLKSSRPDIDGLTGHESNRLSTSDPSSPEVFVSDDAVFIDSDPGHSFQAIREQIFGSEDTSADPAPMNGFAQQAESMGEGMARTVMSGFTPDSVPVYTALVNEFAVFDRWFASVPTSTQPNRFYVHSATSHGASSNVRKDLIHGFPQKTIFDSLDESGLSFGIYYQNIPATLFFKSLRKLKHITKFHNYKLTFKLHAKWGKLPNYVVIEQRYMDVELFPANDDHPSHDVARGQRFVKEVYETLRSSPQWNETALLITYDEHGGFYDHVPTPVFNVPNPDGIIGPDPFFFKFDRLGVRVPTILVSPWIDKATVIHEPNGPTPYSHFEHSSIPATIKKLFNLNSNFLTKRDAWAGTFESYFSIRKSPRTDCPEKLPEVTKSLRPFGPKEDAALSEFQMELIQLASQLVGDHVLNTYPEIGKGMSVGEANQYAEDAVARFLEAGRAALRAGANESAIVTMRPALTSRTSGATSTLHQSG >DRNTG_07717.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000346.1:81536:82279:-1 gene:DRNTG_07717 transcript:DRNTG_07717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPRRIGADKSSPTNLLPNRARCRSNRTSAHVDSLFSSFFGRL >DRNTG_29178.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24277417:24280228:-1 gene:DRNTG_29178 transcript:DRNTG_29178.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRLYEGDDQKENQDQQGGKRLKKLPSFSTVIRDVIMAKSLQNFCLALEPLLRQVVKEEMEHKLAQGALMLPRSPQRQIQEEFSNLKLIFKKPPILPIYTFSKIEDEEGNHLQIQLIDTLTGETPVAFHRLSNLKVEIVAVNGDFPGDREEWMATEFNNNIMREREGRRPLLLGDVSVTLRDGVAYITHLSFTDNSSWIRSRHFRIGARVVPESYDGPRILEALTERFTVKDQRGELYKKHYPPALVDDVWRLDRIGKGGAFHVKLGAEHIETVQDFLKLLVIDPDHLRVILSSMSDKMWEGTINHAKTCPIGSKRYLFNDPYYGNTVFLNPICEIMGVILQGNLYTPDQLSIQQRAYVQSLAKQAYYNWDKLEETEFSLPANALLPKLPAPQGLMASSSWHAANQEITTVTEFQIEGPNESQFFEAAFSLDFSGSKTQPD >DRNTG_29178.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24277417:24280228:-1 gene:DRNTG_29178 transcript:DRNTG_29178.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRLYEGDDQKENQDQQGGKRLKKLPSFSTVIRDVIMAKSLQNFCLALEPLLRQVVKEEMEHKLAQGALMLPRSPQRQIQEEFSNLKLIFKKPPILPIYTFSKIEDEEGNHLQIQLIDTLTGETPVAFHRLSNLKVEIVAVNGDFPGDREEWMATEFNNNIMREREGRRPLLLGDVSVTLRDGVAYITHLSFTDNSSWIRSRHFRIGARVVPESYDGPRILEALTERFTVKDQRGEFLTQF >DRNTG_29178.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24277417:24280228:-1 gene:DRNTG_29178 transcript:DRNTG_29178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRLYEGDDQKENQDQQGGKRLKKLPSFSTVIRDVIMAKSLQNFCLALEPLLRQVVKEEMEHKLAQGALMLPRSPQRQIQEEFSNLKLIFKKPPILPIYTFSKIEDEEGNHLQIQLIDTLTGETPVAFHRLSNLKVEIVAVNGDFPGDREEWMATEFNNNIMREREGRRPLLLGDVSVTLRDGVAYITHLSFTDNSSWIRSRHFRIGARVVPESYDGPRILEALTERFTVKDQRGELYKKHYPPALVDDVWRLDRIGKGGAFHVKLGAEHIETVQDFLKLLVIDPDHLRVILSSMSDKMWEGTINHAKTCPIGSKRYLFNDPYYGNTVFLNPICEIMGVILQGNLYTPDQLSIQQRAYVQSLAKQAYYNWDKLEETEFSLPANALLPIELPAPQGLMASSSWHAANQEITTVTEFQIEGPNESQFFEAAFSLDFSGSKTQPD >DRNTG_03051.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18584793:18585477:-1 gene:DRNTG_03051 transcript:DRNTG_03051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEGWRERERERVMMSGRVSDEREARLLGVNSDEVNELGSTHGIAGGVRGGVWVFEGEDGDGDVSTTTIIRPGPVINFLKLIGQSKMDTEEFKDKSCFFKHRV >DRNTG_25772.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21156781:21157387:-1 gene:DRNTG_25772 transcript:DRNTG_25772.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPPLEISGIFVPEPFSGLLGWLSADSESHLQEASEDIQKIKRSLSFLSSRSTDF >DRNTG_21876.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19181306:19181698:1 gene:DRNTG_21876 transcript:DRNTG_21876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVSLSRRIAVNKEAIRVVGSRGFAAGGGKSKKGPKGGAGDAPKTSTLSNELKSTTVYGANILKDGADPKILTDSEYPDWLWHLLDKRPALSELRRKNIETLPFEDLKRFVKLDNRARIKENNAMKAKN >DRNTG_05615.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20582044:20584026:1 gene:DRNTG_05615 transcript:DRNTG_05615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLVTLDISKNKLSGSIPIWIGENLSSLIVLCLRSNLFHGIIPAQLAKLSSLQILDLAQNNLSGCIPHSFGDFKAMVVTNHTQWWSLFSILSVAEPFFPFFNDDVLNSFVYLESVLISAKGLQMEYSKVLSLATSIDLSNNKLSCELPEKLTKLHGLHFLNLSGNHLIGKIPESIGDMKQIESLDLSTNNLFGTIPSSMSTLNFLGHLNLSHNSLSGKIPSSTQLQSFDPSAYNSNHGLCGSPLQNCANGTHYSQVTNEEEGKGDWTEMLWLYIGLTMGFITGFWMIIGTIIIKQTIRIAYFRSIDKVHDWIYVKMVVYSEAEVNFLKKELRSK >DRNTG_17781.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000910.1:95142:95516:-1 gene:DRNTG_17781 transcript:DRNTG_17781.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAVSQVVTRLAGLLSQEFWLLYGLRDEVEWMERELRWIKCFLKDADAKGKRDERVKNWVNEVIQVAYQAEDAIDTFLIKVNHQSNGWLSRIKYW >DRNTG_33853.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2058012:2058359:-1 gene:DRNTG_33853 transcript:DRNTG_33853.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETDVLATPHGAQLTNMIFMDKNSSVMEFFPKGWK >DRNTG_22425.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23321677:23325396:-1 gene:DRNTG_22425 transcript:DRNTG_22425.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFFDGLDCRLRVSGMVADSIMLGIVNSAMETAFEKSCSKEGDLERLNEKSRFCELAIMQLEWCLKYVQEEMDSYIVESCREREKLLSELLETRDRLHNRLQETELVIAQMDGELIKMKSNDLRLRLALEMKDDELRSLQAALDLERTINVRGHSFHPCHTYTSKDIRPFDELSELRTSTERHLQRIRGKLEDGQQHLTDLLRKFSLSLSDIKKPSYSFNVREKGLTSDACKLLLQENGVVDLERAMPEYCHRRLMRKLNRELGEIAVDINMLNKKLDHSFEMMGRSMFLLKTALDEQQLEYNIEKEAFEVIVRNFILDLQCDSGKNAATKNFAAVVPDESWSAFMGEIKKLHSELELLINLKDTKSKVHGGFDVRLSPSPTSGICTAIKDKPDCEKTLQGSSCGLPKPADDSDCVADNNGTLHDVFTQAHELVSEENLSKENNSGQSVAAIVRSHEQRIIRQKSEELKWPKVELTREKLTSTHKDKDLDSVQKLISSVISRLQIIMKENVKLVAGSDACMPGYGGEMNSIQDDYISQPESLEKGSKITETTSEIPVELGGQGCCHASSGVCCDDVRNIKQEKNDWDFEVMILEEIYAILFVGLLNRLHLEFFNCDSETFITEDTQLTIFKEIIKECSLYRETCCIERLVSAAVDHIVFSEIVKDIKCTIRSAFRDIHGKVVPASDYLTDGLIIHDKKVVPSLEFVFLSKNSKIPEECSELMEYPIPNSPVVIEDNVLSQINQELGRGTQKKVHGNRQLHELSSSSVLLDNIQGIHDQTTSTGAFIQREFSQFKPKIFGESMPEELQSSLLPFLKLSQLITDLELIASEKIRKNTSRYPNILKLFLVIDCRFIL >DRNTG_22425.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23320459:23325396:-1 gene:DRNTG_22425 transcript:DRNTG_22425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFFDGLDCRLRVSGMVADSIMLGIVNSAMETAFEKSCSKEGDLERLNEKSRFCELAIMQLEWCLKYVQEEMDSYIVESCREREKLLSELLETRDRLHNRLQETELVIAQMDGELIKMKSNDLRLRLALEMKDDELRSLQAALDLERTINVRGHSFHPCHTYTSKDIRPFDELSELRTSTERHLQRIRGKLEDGQQHLTDLLRKFSLSLSDIKKPSYSFNVREKGLTSDACKLLLQENGVVDLERAMPEYCHRRLMRKLNRELGEIAVDINMLNKKLDHSFEMMGRSMFLLKTALDEQQLEYNIEKEAFEVIVRNFILDLQCDSGKNAATKNFAAVVPDESWSAFMGEIKKLHSELELLINLKDTKSKVHGGFDVRLSPSPTSGICTAIKDKPDCEKTLQGSSCGLPKPADDSDCVADNNGTLHDVFTQAHELVSEENLSKENNSGQSVAAIVRSHEQRIIRQKSEELKWPKVELTREKLTSTHKDKDLDSVQKLISSVISRLQIIMKENVKLVAGSDACMPGYGGEMNSIQDDYISQPESLEKGSKITETTSEIPVELGGQGCCHASSGVCCDDVRNIKQEKNDWDFEVMILEEIYAILFVGLLNRLHLEFFNCDSETFITEDTQLTIFKEIIKECSLYRETCCIERLVSAAVDHIVFSEIVKDIKCTIRSAFRDIHGKVVPASDYLTDGLIIHDKKVVPSLEFVFLSKNSKIPEECSELMEYPIPNSPVVIEDNVLSQINQELGRGTQKKVHGNRQLHELSSSSVLLDNIQGIHDQTTSTGAFIQREFSQFKPKIFGESMPEELQSSLLPFLKLSQLITDLELIASEKIRKNTSRLVDLNCQLNCLSDEIMSIKKKELLYKTAFTRRCYDLQIAEVEVDLLGDEVDLLVGLLEKIYVALDHYSPVLHNYFGIMDVIRLIHDALSGEIACTSL >DRNTG_22425.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23320459:23325396:-1 gene:DRNTG_22425 transcript:DRNTG_22425.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFFDGLDCRLRVSGMVADSIMLGIVNSAMETAFEKSCSKEGDLERLNEKSRFCELAIMQLEWCLKYVQEEMDSYIVESCREREKLLSELLETRDRLHNRLQETELVIAQMDGELIKMKSNDLRLRLALEMKDDELRSLQAALDLERTINVRGHSFHPCHTYTSKDIRPFDELSELRTSTERHLQRIRGKLEDGQQHLTDLLRKFSLSLSDIKKPSYSFNVREKGLTSDACKLLLQENGVVDLERAMPEYCHRRLMRKLNRELGEIAVDINMLNKKLDHSFEMMGRSMFLLKTALDEQQLEYNIEKEAFEVIVRNFILDLQCDSGKNAATKNFAAVVPDESWSAFMGEIKKLHSELELLINLKDTKSKVHGGFDVRLSPSPTSGICTAIKDKPDCEKTLQGSSCGLPKPADDSDCVADNNGTLHDVFTQAHELVSEENLSKENNSGQSVAAIVRSHEQRIIRQKSEELKWPKVELTREKLTSTHKDKDLDSVQKLISSVISRLQIIMKENVKLVAGSDACMPGYGGEMNSIQDDYISQPESLEKGSKITETTSEIPVELGGQGCCHASSGVCCDDVRNIKQEKNDWDFEVMILEEIYAILFVGLLNRLHLEFFNCDSETFITEDTQLTIFKEIIKECSLYRETCCIERLVSAAVDHIVFSEIVKDIKCTIRSAFRDIHGKVVPASDYLTDGLIIHDKKVVPSLEFVFLSKNSKIPEECSELMEYPIPNSPVVIEDNVLSQINQELGRGTQKKVHGNRQLHELSSSSVLLDNIQGIHDQTTSTGAFIQREFSQFKPKIFGESMPEELQSSLLPFLKLSQLITDLELIASEKIRKNTSRYPNILKLFLVIDCRFIL >DRNTG_22425.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23320459:23325396:-1 gene:DRNTG_22425 transcript:DRNTG_22425.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFFDGLDCRLRVSGMVADSIMLGIVNSAMETAFEKSCSKEGDLERLNEKSRFCELAIMQLEWCLKYVQEEMDSYIVESCREREKLLSELLETRDRLHNRLQETELVIAQMDGELIKMKSNDLRLRLALEMKDDELRSLQAALDLERTINVRGHSFHPCHTYTSKDIRPFDELSELRTSTERHLQRIRGKLEDGQQHLTDLLRKFSLSLSDIKKPSYSFNVREKGLTSDACKLLLQENGVVDLERAMPEYCHRRLMRKLNRELGEIAVDINMLNKKLDHSFEMMGRSMFLLKTALDEQQLEYNIEKEAFEVIVRNFILDLQCDSGKNAATKNFAAVVPDESWSAFMGEIKKLHSELELLINLKDTKSKVHGGFDVRLSPSPTSGICTAIKDKPDCEKTLQGSSCGLPKPADDSDCVADNNGTLHDVFTQAHELVSEENLSKENNSGQSVAAIVRSHEQRIIRQKSEELKWPKVELTREKLTSTHKDKDLDSVQKLISSVISRLQIIMKENVKLVAGSDACMPGYGGEMNSIQDDYISQPESLEKGSKITETTSEIPVELGGQGCCHASSGVCCDDVRNIKQEKNDWDFEVMILEEIYAILFVGLLNRLHLEFFNCDSETFITEDTQLTIFKEIIKECSLYRETCCIERLVSAAVDHIVFSEIVKDIKCTIRSAFRDIHGKVVPASDYLTDGLIIHDKKVVPSLEFVFLSKNSKIPEECSELMEYPIPNSPVVIEDNVLSQINQELGRGTQKKVHGNRQLHELSSSSVLLDNIQGIHDQTTSTGAFIQREFSQFKPKIFGESMPEELQSSLLPFLKLSQLITDLELIASEKIRKNTSRYPNILKLFLVIDCRFIL >DRNTG_09880.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:272798:273963:1 gene:DRNTG_09880 transcript:DRNTG_09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRGVEIVRFLLRDMYRVRAEGLNEVPIVAVYPSVFSLNGADDLNEDLIDAVCPRLSSLVGADGLNEAVCP >DRNTG_19953.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:501040:502806:-1 gene:DRNTG_19953 transcript:DRNTG_19953.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLFRLWRKFQVPKGDQDAKHLRRGRKSPTSVRVSMRDDMF >DRNTG_30249.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:257711:259196:-1 gene:DRNTG_30249 transcript:DRNTG_30249.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRREPRLASGQLASTLPLPASHPWLPSILCLFKSGSTMNVP >DRNTG_30249.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:257711:261795:-1 gene:DRNTG_30249 transcript:DRNTG_30249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRREPRLASGQLASTLPLPASHPWLPSILCLFKSGSTMNVP >DRNTG_08694.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18002167:18003110:-1 gene:DRNTG_08694 transcript:DRNTG_08694.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFCNAHHMRKVADHLYVIQMAHTLTALKGSNVTGWVVIDEARVHLNMKKRCCEEKQCSYIGNYMELQKHIKLKHPHSRPSEVDPARQLDWENFQQSSEIVDVLSIIHSEVPRGVVLGDYVIEYGDVETSDEYEDAPPNKGNWWTACIMCQVFDKKTSRNRRRTRARANAGRSSRLSSSSSSDDVFRTSVEIENYRYDGMDDEFMGRVVGAAVSRGSEMHHR >DRNTG_31880.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21074551:21076876:1 gene:DRNTG_31880 transcript:DRNTG_31880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVEKCFEKEESMVRDCMKPATEQKIVSEVEHKLLVLHSAQLFDKEYSGLKKLLEDGKVVDLARMYRLYSRVHDGLQHVCLAFKNHVTAQGMALVRQTEIEVSKQVVKNDVGALQNQQVHLIMEFIKLHEVYLSYVNDYFQSNSMFFKALKEAFEAFCNKSIAGVSPAELLSIFCDQTLKKKSCDNDKSTEDTLDNVVKLLVYISDKDMFAEFHRKKLARRLLFNKSDSDEHERYLLTKLKQKYGMHFTSKMEGMVTDLTLCQDTQASFTDYLRDNPDINPGFDLTVTVLTIGFWPSYKACTFNLPPEMLRCVEVFKQFYQTRTKRTRLSWLFSLGTCTIVGKFEPKTMDLIVTTLQASVLLLFNSSNRLSYSDIKDQLDLPDEDVTRILHSLSCAKYKILKKEPDTKTISKTDYFEFNSMFTDRLKRIKVPLPPVDDRKKVVETVVSDRRHAIEAAIVRIMKFRKVLGHHQLMSECAEVLSSTFRPDFKIIKLQIESLISREYLERDSKDHSVYRYLA >DRNTG_11579.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:12362778:12368988:1 gene:DRNTG_11579 transcript:DRNTG_11579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFLAVPEVSRPDALKKIWEHIKLRQLKNPANKREILCDEMLKSIFDGRDKVGMLEIARLVSSHFQKSK >DRNTG_11579.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:12368572:12368988:1 gene:DRNTG_11579 transcript:DRNTG_11579.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMSSFLEIFYDQNPANKREILCDEMLKSIFDGRDKVGMLEIARLVSSHFQKSK >DRNTG_22956.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9849111:9851871:1 gene:DRNTG_22956 transcript:DRNTG_22956.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPLPSLSPSSHHRPDHDLSIPVSRSHQERFTVGRRPRPLFQHQHPRVHMPFPSP >DRNTG_20030.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001086.1:55360:60093:1 gene:DRNTG_20030 transcript:DRNTG_20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAMGVAGAGAGVGGGAVTTTAADAPSLPPNMTIYINNLNEKIKIDELKKSLNAVFSQFGKILDVLAFKTFKHKGQAWVIFEDVSSASEALKRMQGFPFYEKPMRIQYAKTKSDLIAKADGTFVPRERRKKHDERADRKKREHHHDNNYSGGGLSATHGAYGATPPLSQLPLGVAKLPEAPAPPNSILFVQNLPTETTPMMLQMLFCQYPRI >DRNTG_02703.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19645005:19646750:1 gene:DRNTG_02703 transcript:DRNTG_02703.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASISAAAVPPLGSLRRAGSGAGAGCVLFFTPLLTCRSPVLRRRRPSTLCLVVGEDSAKTTTTSAIERKEKEEKEIIITLNESQIRAKERTERKQSERRTYLVAAVMSSFGFTSMAVAAVYYRFAWQMEGNEIPLSEMLGTFSLSVGAAVGMEYWARWAHRALWHASLWHMHESHHRPRDGPFELNDVFAIINAIPAISLLSFGFFNRGLVPGLCFGAGLGITLFGMAYMFVHDGLVHKRFPVGPIANVPYFRRVAAAHKIHHSDKFNGVPYGLFLGPKVG >DRNTG_02703.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19645005:19646750:1 gene:DRNTG_02703 transcript:DRNTG_02703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASISAAAVPPLGSLRRAGSGAGAGCVLFFTPLLTCRSPVLRRRRPSTLCLVVGEDSAKTTTTSAIERKEKEEKEIIITLNESQIRAKERTERKQSERRTYLVAAVMSSFGFTSMAVAAVYYRFAWQMEGNEIPLSEMLGTFSLSVGAAVGMEYWARWAHRALWHASLWHMHESHHRPRDGPFELNDVFAIINAIPAISLLSFGFFNRGLVPGLCFGAGLGITLFGMAYMFVHDGLVHKRFPVGPIANVPYFRRVAAAHKIHHSDKFNGVPYGLFLGPKELEEVGGMEELEKEINRRTKAYDAVDKTSGNSS >DRNTG_07809.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1307403:1310969:-1 gene:DRNTG_07809 transcript:DRNTG_07809.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGNSHKGIKISVTIIALVSVALILLLWEKTPLISSLIPPETLDVISTETVELTPDTHLKEYKQLSPNGHQSTVNTLEHQPVAEAGHVLDVHSVNSSGLPTSLLEPRQDDKDLTKSPAQETGCNYAKGKWLADKKRPLYSGFQCKQWLSSMWACRLMQRKDFSYESFRWQPKDCDMPEFKGSEFLKRMQGKTIALVGDSLGRQQFQSLMCMVTGGKENSEVEDIGSEYGLVQEPGAKRPAGWAYRFPSTNTTILYYWSASLCELEPLNLTDPATNYAMHLDRPVPFLKHYLHKLDVVVLNTGHHWNRGKFNANRWVMYASGKPVKDRKLAMMMNAKNLTIYSVVKWLDSQILQYPHLKAFFRSISPRHFVNGEWNTGGSCDNTTPLTAGSEVLRDGSSDPGAEGAVKGTKVKLLDITALSELRNEGHISKYGSKANAGVQDCLHWCLPGIPDTWNEILSAQL >DRNTG_07809.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1308625:1308838:-1 gene:DRNTG_07809 transcript:DRNTG_07809.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWACRLMQRKDFSYESFRWQPKDCDMPEFKGSEFLKR >DRNTG_07809.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1307403:1308526:-1 gene:DRNTG_07809 transcript:DRNTG_07809.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKTIALVGDSLGRQQFQSLMCMVTGGKENSEVEDIGSEYGLVQEPGAKRPAGWAYRFPSTNTTILYYWSASLCELEPLNLTDPATNYAMHLDRPVPFLKHYLHKLDVVVLNTGHHWNRGKFNANRWVMYASGKPVKDRKLAMMMNAKNLTIYSVVKWLDSQILQYPHLKAFFRSISPRHFVNGEWNTGGSCDNTTPLTAGSEVLRDGSSDPGAEGAVKGTKVKLLDITALSELRNEGHISKYGSKANAGVQDCLHWCLPGIPDTWNEILSAQL >DRNTG_05794.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19207050:19208134:-1 gene:DRNTG_05794 transcript:DRNTG_05794.4 gene_biotype:protein_coding transcript_biotype:protein_coding LIYKSLTNKVYLKQKLYGLKMQDGANLVRHINFFNHAVLIMEIGIEDEDKAMILLCSSDYTHMRYNQSK >DRNTG_05794.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19196186:19215583:-1 gene:DRNTG_05794 transcript:DRNTG_05794.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAMRQTGDRPGRRSPPYSLVLMVLLMLSAALLVLLAVGVVSLPFRNGIGRGENWWTRMLSWKPRGFIYQNSLHHTISMSWLSITTTMVITLWVISLLRVLLASSPCIPSSPAFLSAGSSATKKRRNALLVVAHPDDESMFFAPTLLYLASEGHNVHVLCLSTGNADGKGNIRKEEFYRACAILKVPLQQVQILDHPKLHDGFDKPWDIQLLAKIVKEEIRMRAIDLVITFDNYGVSGHPNHRDVHRGICVFLCDNSGSNVEAWELASTSIFRKYTGPVDIWLSIFFSHSYPGSRIYCLLNKCPSRSYLAMAEHSSQWVWLRKLFVVFSSYTYINTLRKVNF >DRNTG_05794.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19207050:19215583:-1 gene:DRNTG_05794 transcript:DRNTG_05794.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARAMRQTGDRPGRRSPPYSLVLMVLLMLSAALLVLLAVGVVSLPFRNGIGRGENWWTRMLSWKPRGFIYQNSLHHTISMSWLSITTTMVITLWVISLLRVLLASSPCIPSSPAFLSAGSSATKKRRNALLVVAHPDDESMFFAPTLLYLASEGHNVHVLCLSTGNADGKGNIRKEEFYRACAILKVPLQQVQILDHPKLHDGFDKPWDIQLLAKIVKEEIRMRAIDLVITFDNYGVSGHPNHRDVHRGICVFLCDNSGSNVEAWELASTSIFRKYTGPVDIWLSIFFSHSYPGSRIYCLLNKCPSRSYLAMAEHSSQWVWMNSITKFDVERFDGTGNFGL >DRNTG_05794.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19213626:19215583:-1 gene:DRNTG_05794 transcript:DRNTG_05794.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARAMRQTGDRPGRRSPPYSLVLMVLLMLSAALLVLLAVGVVSLPFRNGIGRGENWWTRMLSWKPRGFIYQNSLHHTISMSWLSITTTMVITLWVISLLRVLLASSPCIPSSPAFLSAGSSATKKRRNALLVVAHPDDESMFFAPTLLYLASEGHNVHVLCLSTGKAWLKFVICGIIILIQHRFR >DRNTG_09184.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7480929:7486115:-1 gene:DRNTG_09184 transcript:DRNTG_09184.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATARFC1 [Source:Projected from Arabidopsis thaliana (AT3G22950) UniProtKB/TrEMBL;Acc:A0A384KMJ2] MGAFVSRFWFMLFPAKEYKIVVVGLDNAGKTTTLYKLHLGEVVTTNPTIGSNVEEVVYKNIRFEVWDLGGQERLRTSWATYFRGTHAIIAVIDSTDRARISIMKDELFRLLQHGDLEHSVVLVFANKQDLKEAMSPAEITDALSLHSIKNHDWHIQASCALTGEGLYDGLGWIAQKVSGKPDSS >DRNTG_13757.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1008522:1012549:-1 gene:DRNTG_13757 transcript:DRNTG_13757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSSRSSAAAAASSPSSGVWLSSKRERKKKKKQKQRREKEKGISGLFEGKLVAGSGGGGDGEMAEEELHRLPGRMFLNGSSEVACLFTQQGRKGTNQDAMIVWENFTSRSDTIFCGVFDGHGPFGHMVAKKVRDSLPLKLCTQWRANTSNNNSPLQNGSLPGSMNSEETTSISFDNEWAESADVDENRKLPETYSSLKESLLKAFKLMDKELKLNSTIDCFCSGTTAVTVLKQGHDLVIGNVGDSRAVMGTRDMDNNLVAVQLTVDLKPNLPREAARIQQCRGRVFALQDEPEVARVWLPNNDSPGLAMARAFGDFCLKDYGLISVPDISYRHLTDKDEFVVLASDGVWDVLSNKEVVDIVATAPTRSTAARALVECAVRSWRLKFPTSKSDDCAVVVLFLDIPCSSNQLPENNSEKLLTEQTDTTVSSLIQQEPYASVTDEVTGSPQTQSYVSEIVVEDEEPKLEQMPDRCQSARSLAECLSNTEEEEWSALEGVTRVNSLLNLPRFLSSDKKNINRKKWL >DRNTG_05508.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30167655:30172737:-1 gene:DRNTG_05508 transcript:DRNTG_05508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGRSHYHTRGSGGGVAYNHNGELDLLLQWGNRKRLRCVKIQRRDDGSDKAPTASATAVRVGRRGIRADKESAILTRLASPPHQRVLRNNSEAMRGSQAQSHQQINGARGATLSPDKPSEEHSDKNNKKTAAGEGSSSGSEGAVWPKFAIGLTNKEKEEDFLVFKGSKLPQRPKKRAKLIQRTLNLVSPGSWLCDLTLERYEVREKKISKKRPRGLKAMGNMESDSE >DRNTG_05508.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30167655:30170116:-1 gene:DRNTG_05508 transcript:DRNTG_05508.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGKIMGYNNIQNNSEAMRGSQAQSHQQINGARGATLSPDKPSEEHSDKNNKKTAAGEGSSSGSEGAVWPKFAIGLTNKEKEEDFLVFKGSKLPQRPKKRAKLIQRTLNLVSPGSWLCDLTLERYEVREKKISKKRPRGLKAMGNMESDSE >DRNTG_19968.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:388174:392637:-1 gene:DRNTG_19968 transcript:DRNTG_19968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFIDPFLPSPSWADVNYSGRSWAETIVTQTSSLLADPVGVYEGDEKTPSICMIPSNHIMGNMVAAEPILHGHNANPSIFLNETLKYDINNSIYPTDNQLQHEGMMGNITVAGSFGTNLDTQCSITLPQSGLTDSGSIESNVGELPVLPHSLTDSHSNSPMPTVWPASYPGVSSLLMGHGKSQGLTSQGVGKNADMLRTACMEDGKFQQMDRLASSSVHLKMDQNVLHNPNLHSYPTGQQIKLVKTDGFQPHQQLLQTFEGNHIKQYTSTALGVQASPTNGSSGCNGAAKPRVRARRGQATDPHSIAERLRREKIAERMKNLQELVPNSNKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGAAGAVVPLITDVQNEGSGNLLSVGSGTADLSESQDNFAFEQEVVKLMESNVTTAMQYLQNKGLCLMPVALASAISCQKSAGSIIPPERKKPEEASTGCNGTVVKQEETPKPIKSTKELNTKAYKEN >DRNTG_19968.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:388174:392637:-1 gene:DRNTG_19968 transcript:DRNTG_19968.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFIDPFLPSPSWADVNYSGRSWAETIVTQTSSLLADPVGVYEGDEKTPSICMIPSNHIMGNMVAAEPILHGHNANPSIFLNETLKYDINNSIYPTDNQLQHEGMMGNITVAGSFGTNLDTQCSITLPQSGLTDSGSIESNVGELPVLPHSLTDSHSNSPMPTVWPASYPGVSSLLMGHGKSQGLTSQGVGKNADMLRTACMEDGKFQQMDRLASSSVHLKMDQNVLHNPNLHSYPTGQQIKLVKTDGFQPHQQLLQTFEGNHIKQYTSTALGVQASPTNGSSGCNGAAKPRVRARRGQATDPHSIAERLRREKIAERMKNLQELVPNSNKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGAAGAVVPLITDVQNEIYLSLKTTSPLSKKL >DRNTG_02708.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19606200:19607141:1 gene:DRNTG_02708 transcript:DRNTG_02708.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVHCWSLHPLLDTQNKPGNSDYMQWLDSQPINSVLYLSLGSFLSVSVAQMDEIVTGLHESGIRFLVVGRGATSRVQAKLGSTDLVVQWCDQLKVLCHPSIGGFFTHCGWNSTMECVFAGKPMLTFPLFGDQPLNCKLIANVWKVGLNVKEEIEDGNLVGGKAIAKVAKRLMDVEGIEYKEMREKVVELSETVHRAVEEGGSSYCAINAFVEDVSSSV >DRNTG_02708.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19603586:19603928:1 gene:DRNTG_02708 transcript:DRNTG_02708.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPGRGHINPLLNLAGHLSTRGLFVTVVLTEEWLGLLSTSPTPSHPAVQFRSIPNVIPSEHGRA >DRNTG_02708.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19603586:19607079:1 gene:DRNTG_02708 transcript:DRNTG_02708.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVHCWSLHPLLDTQNKPGNSDYMQWLDSQPINSVLYLSLGSFLSVSVAQMDEIVTGLHESGIRFLVVGRGATSRVQAKLGSTDLVVQWCDQLKVLCHPSIGGFFTHCGWNSTMECVFAGKPMLTFPLFGDQPLNCKLIANVWKVGLNVKEEIEDGNLVGGKAIAKVAKRLMDVEGIEYKEMREKVVELSETVHRAVEEGGSSYCAINAFVEDVSSSV >DRNTG_02708.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19606200:19607079:1 gene:DRNTG_02708 transcript:DRNTG_02708.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVHCWSLHPLLDTQNKPGNSDYMQWLDSQPINSVLYLSLGSFLSVSVAQMDEIVTGLHESGIRFLVVGRGATSRVQAKLGSTDLVVQWCDQLKVLCHPSIGGFFTHCGWNSTMECVFAGKPMLTFPLFGDQPLNCKLIANVWKVGLNVKEEIEDGNLVGGKAIAKVAKRLMDVEGIEYKEMREKVVELSETVHRAVEEGGSSYCAINAFVEDVSSSV >DRNTG_02708.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19606043:19607079:1 gene:DRNTG_02708 transcript:DRNTG_02708.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVHCWSLHPLLDTQNKPGNSDYMQWLDSQPINSVLYLSLGSFLSVSVAQMDEIVTGLHESGIRFLVVGRGATSRVQAKLGSTDLVVQWCDQLKVLCHPSIGGFFTHCGWNSTMECVFAGKPMLTFPLFGDQPLNCKLIANVWKVGLNVKEEIEDGNLVGGKAIAKVAKRLMDVEGIEYKEMREKVVELSETVHRAVEEGGSSYCAINAFVEDVSSSV >DRNTG_02708.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19606200:19607174:1 gene:DRNTG_02708 transcript:DRNTG_02708.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVHCWSLHPLLDTQNKPGNSDYMQWLDSQPINSVLYLSLGSFLSVSVAQMDEIVTGLHESGIRFLVVGRGATSRVQAKLGSTDLVVQWCDQLKVLCHPSIGGFFTHCGWNSTMECVFAGKPMLTFPLFGDQPLNCKLIANVWKVGLNVKEEIEDGNLVGGKAIAKVAKRLMDVEGIEYKEMREKVVELSETVHRAVEEGGSSYCAINAFVEDVSSSV >DRNTG_20426.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001116.1:39633:47191:1 gene:DRNTG_20426 transcript:DRNTG_20426.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMFNSDTYEGLFDQEVDNEEVMMLGLEEQVPSIPGIMKKVLWKMKRARRRHRKCPKAVGDVRELNKLDEPLLGEFSTASRENTRAWVFYTRLRVYTTSSFREGTRAWICPYE >DRNTG_22017.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23638483:23639338:1 gene:DRNTG_22017 transcript:DRNTG_22017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGTEAVRRVWDQLQEHDVPISAFWLQDWVGQRETLIGSQLWWNWEVDKNRYPGWKQLVHDLRACNIQVMTYCNPCLVPTDQKMNRERDLFEEAKNLGILVKDNNGDTYMIPNTTFDVGMLDFTHPRTGDWFKKILHEMVDSGVRGWMAD >DRNTG_22017.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23638567:23639338:1 gene:DRNTG_22017 transcript:DRNTG_22017.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGTEAVRRVWDQLQEHDVPISAFWLQDWVGQRETLIGSQLWWNWEVDKNRYPGWKQLVHDLRACNIQVMTYCNPCLVPTDQKMNRERDLFEEAKNLGILVKDNNGDTYMIPNTTFDVGMLDFTHPRTGDWFKKILHEMVDSGVRGWMAD >DRNTG_13324.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23115301:23115834:-1 gene:DRNTG_13324 transcript:DRNTG_13324.1 gene_biotype:protein_coding transcript_biotype:protein_coding EITEGEPRQTRKVTKKQEKRGTTTSKRRSLYSI >DRNTG_05133.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30614766:30617159:1 gene:DRNTG_05133 transcript:DRNTG_05133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKEAVVPESVLKKRKREEEWALAKKQELDGRKKKDKENRRVIFSRARQYAKEYETQEKELIQLKRESRLKGGFYVSPEPKLLFIIRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATMNMLHRVEPYVTYGYPNLKSVRELIYKRGYGKLNKQRTPLAENSVIEQALGKYGIICIEDLIHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >DRNTG_13434.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9561399:9562406:-1 gene:DRNTG_13434 transcript:DRNTG_13434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEEVKYMPNDASPTKGMPNDASSSRGSTSFQSW >DRNTG_31377.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001695.1:328:5833:1 gene:DRNTG_31377 transcript:DRNTG_31377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRSLAFVGVGITLFGPLKRFLATASWVKASLVSAMPISGFWVSGTSADGVCESIGWFVKVALYNGDGVGLNHAPHAATPGGSSTASSPRPLLALDLFEVFPLGMISLMGDLDANREACKL >DRNTG_06393.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:20594676:20598800:1 gene:DRNTG_06393 transcript:DRNTG_06393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKALDIDEYAKSFFDRHGNQKRETLYDIKMELLNGFKDWRIPFREPNGEEEFAMLSGETDETVSEGRIVQVTVHNIMENRVLCHFDSGLKGMVMAEDFDQNGDFEKVREGDILSCKVKNINKNRFVVYLTCKLDEPMRRQHLIPRDPYCAPDDKNSRQSEQDKVRKEKELAKKHFKPRMIVHPRFQNLTADEAMELLSDKDAGESIIRPSSKGPSFLTLTLKIYDGVYAHKDIVESGKDHKDITSLLRLGKTLTIDKENFEDLDEVMDRYVDPLVSHLKNMLGYRKFRRGSKADLDELLKMEKAENPMRIVYCFGISHEHPGTFILSYIRNANPHHEYIGLYPKGFRFRKRDFEDIDRLVAYFQRNIDKPPDAGPSLRTVAAMVPMKSPASGGSAGGDWAGSNNDWRGSSHPDRERSSTPNSRTGGRYGGRDHPSGLPRSGRGFGRGRNNEGRDSGFGSGGWGSGPKNNDDGGMNSFPGAKVQNSPGREMFPGGWSGGNGGRRGGGDNATGNGGGDSRWGGSRDSNRVGRAAGGGRDSGNG >DRNTG_26942.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30111602:30114295:1 gene:DRNTG_26942 transcript:DRNTG_26942.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQFPIPTRFLVSSPPSRHLHLLPPRFGTSFQHPWLSFRLPFKSLKSVRFDQILTSSEEEMGDGFFETIEELERMVRDPSDVLGGMIERLSVRELQLMLVYFSQEGRDSYCALEVFDWLRKENRVDGETMELMVSIACGWIERMVGGEHAVADVVGLLNEMECVGVEPGFSMVEKVVSLYWEQGKKDAAVAFVTDVMNRGGVGGYKIGKQGENEKEGPVGYLAWKMMGDGNYLGAVKLVIEFKEYGLNPEVYSYLIALTALVKEQKEYSKALRKLKASRKAALVAELDAVDLQQLGKYQSDLIRYGIRLSDWAIKEGSSVIPGAVHERLLAMYTCAGFGLEAENQLWQMKLSGKEPDRELYDVVLAICASQNEADAVRRLLAGVEATSAARRKKTLSWLLRGYVKGGYYINASETLLKMLDLGLCPEYLDRAAVLQGLRKAIQESGNPEPYIKLCKRLCDTDLIGPCLIYVYIDKYRLWIVKTI >DRNTG_26942.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30111602:30114177:1 gene:DRNTG_26942 transcript:DRNTG_26942.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQFPIPTRFLVSSPPSRHLHLLPPRFGTSFQHPWLSFRLPFKSLKSVRFDQILTSSEEEMGDGFFETIEELERMVRDPSDVLGGMIERLSVRELQLMLVYFSQEGRDSYCALEVFDWLRKENRVDGETMELMVSIACGWIERMVGGEHAVADVVGLLNEMECVGVEPGFSMVEKVVSLYWEQGKKDAAVAFVTDVMNRGGVGGYKIGKQGENEKEGPVGYLAWKMMGDGNYLGAVKLVIEFKEYGLNPEVYSYLIALTALVKEQKEYSKALRKLKASRKAALVAELDAVDLQQLGKYQSDLIRYGIRLSDWAIKEGSSVIPGAVHERLLAMYTCAGFGLEAENQLWQMKLSGKEPDRELYDVVLAICASQNEADAVRRLLAGVEATSAARRKKTLSWLLRGYVKGGYYINASETLLKMLDLGLCPEYLDRAAVLQGLRKAIQESGNPEPYIKLCKRLCDTDLIGPCLIYVYIDKYRLWIVKTI >DRNTG_26942.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30111545:30114295:1 gene:DRNTG_26942 transcript:DRNTG_26942.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQFPIPTRFLVSSPPSRHLHLLPPRFGTSFQHPWLSFRLPFKSLKSVRFDQILTSSEEEMGDGFFETIEELERMVRDPSDVLGGMIERLSVRELQLMLVYFSQEGRDSYCALEVFDWLRKENRVDGETMELMVSIACGWIERMVGGEHAVADVVGLLNEMECVGVEPGFSMVEKVVSLYWEQGKKDAAVAFVTDVMNRGGVGGYKIGKQGENEKEGPVGYLAWKMMGDGNYLGAVKLVIEFKEYGLNPEVYSYLIALTALVKEQKEYSKALRKLKASRKAALVAELDAVDLQQLGKYQSDLIRYGIRLSDWAIKEGSSVIPGAVHERLLAMYTCAGFGLEAENQLWQMKLSGKEPDRELYDVVLAICASQNEADAVRRLLAGVEATSAARRKKTLSWLLRGYVKGGYYINASETLLKMLDLGLCPEYLDRAAVLQGLRKAIQESGNPEPYIKLCKRLCDTDLIGPCLIYVYIDKYRLWIVKTI >DRNTG_26942.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30111545:30114177:1 gene:DRNTG_26942 transcript:DRNTG_26942.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQFPIPTRFLVSSPPSRHLHLLPPRFGTSFQHPWLSFRLPFKSLKSVRFDQILTSSEEEMGDGFFETIEELERMVRDPSDVLGGMIERLSVRELQLMLVYFSQEGRDSYCALEVFDWLRKENRVDGETMELMVSIACGWIERMVGGEHAVADVVGLLNEMECVGVEPGFSMVEKVVSLYWEQGKKDAAVAFVTDVMNRGGVGGYKIGKQGENEKEGPVGYLAWKMMGDGNYLGAVKLVIEFKEYGLNPEVYSYLIALTALVKEQKEYSKALRKLKASRKAALVAELDAVDLQQLGKYQSDLIRYGIRLSDWAIKEGSSVIPGAVHERLLAMYTCAGFGLEAENQLWQMKLSGKEPDRELYDVVLAICASQNEADAVRRLLAGVEATSAARRKKTLSWLLRGYVKGGYYINASETLLKMLDLGLCPEYLDRAAVLQGLRKAIQESGNPEPYIKLCKRLCDTDLIGPCLIYVYIDKYRLWIVKTI >DRNTG_26942.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30111473:30114177:1 gene:DRNTG_26942 transcript:DRNTG_26942.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQFPIPTRFLVSSPPSRHLHLLPPRFGTSFQHPWLSFRLPFKSLKSVRFDQILTSSEEEMGDGFFETIEELERMVRDPSDVLGGMIERLSVRELQLMLVYFSQEGRDSYCALEVFDWLRKENRVDGETMELMVSIACGWIERMVGGEHAVADVVGLLNEMECVGVEPGFSMVEKVVSLYWEQGKKDAAVAFVTDVMNRGGVGGYKIGKQGENEKEGPVGYLAWKMMGDGNYLGAVKLVIEFKEYGLNPEVYSYLIALTALVKEQKEYSKALRKLKASRKAALVAELDAVDLQQLGKYQSDLIRYGIRLSDWAIKEGSSVIPGAVHERLLAMYTCAGFGLEAENQLWQMKLSGKEPDRELYDVVLAICASQNEADAVRRLLAGVEATSAARRKKTLSWLLRGYVKGGYYINASETLLKMLDLGLCPEYLDRAAVLQGLRKAIQESGNPEPYIKLCKRLCDTDLIGPCLIYVYIDKYRLWIVKTI >DRNTG_12750.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25850888:25855490:1 gene:DRNTG_12750 transcript:DRNTG_12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGSTAADVDVVVVGLQEVEMGAGFLAMAAAKETVGSLEGSSNGNWWSDALGKVLDEDDSFVRVGSRQLAGLLLTVWARKTLRPYMGDVDAAAVPCGFGRAIGNKGAVGLRMRIYDRIVCFVNCHFAAHLEAVNRRNADFDHVYRNMTFTRSSNSQASAAISSSSSHHRGANANGTQFDDGRPELSEADMVVFLGDFNYRLHSITYDEARDFVSQRMFDWLRDRDQLRAEMKSGKVFQGMREGLIRFPPTYKFERHQAGLGGYDSGEKKRIPAWCDRILYRDNRLTPVCECSLECPIVSSISEYDACLEVTGSDHKPVRCIFNVEMAHIDELIKRQALGDIINSNEQVRSMLNEYNNIPETVVSTNDIKLQKGEVPLLQITNNSEKDISVFCIICEGQFTVKEEKQASELRPRISFGFPQWIKVSPAAGIIKPLNTIDVSVHHDDCHNMEEFEDGIPHKWWVEDTRDKEVILLVNYVGSSSGESRSHRIHIHHCCTTKSESKEHKKSKPKPEYLVLGGSSEVLNSSQMRCH >DRNTG_21443.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1823621:1825385:1 gene:DRNTG_21443 transcript:DRNTG_21443.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPKRTAKEKSRKGLASAMDDDADEEEEEEELTTAAAGVGRQDDKKRKGFVGPVRRVAGAAAGHPCCQAEHCKADLTQAKRYHRRHKVCEAHFKAPVVVVAGLSQRFCQQCSRFHELAEFDETKRSCRRRLAGHNERRRKSSSESQGEGSSHCRQSDQGGRIPITIPGNPTYKHFQIR >DRNTG_11620.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27476025:27480296:-1 gene:DRNTG_11620 transcript:DRNTG_11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHVPQTEEARTEALMLMGVQNNLCTPKNGEILVASTQDFLTSSYLITRKDTFYDRATFCLLCSYMGDGMESIDIPTLALIKPVELWTGKQLFSVLVRPNANTR >DRNTG_08812.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28076988:28080839:1 gene:DRNTG_08812 transcript:DRNTG_08812.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAETSREENVYMAKLAEQAERYEEMVEFMEKVAKTGDNEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVAAIKEYRGKIETELSKICDGILKLLEANLIPSSTAAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLVAYKSAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDITEDAGDEIKEAPKREGEGQ >DRNTG_08373.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4345461:4346237:1 gene:DRNTG_08373 transcript:DRNTG_08373.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSDEDDQENAPHLLPLLQDQHQDHSSLSEHQQEHYLNSISALLSIRQLKSQGLSFQLWPAAHSLVSLLDSNPQALLPLNPNLRILELDSGTGLLDLAAAAILLANVTLTDLPHVLPNLGFNADSNASTITTPPHLHHLKS >DRNTG_28152.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20771955:20776083:-1 gene:DRNTG_28152 transcript:DRNTG_28152.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalase [Source:Projected from Arabidopsis thaliana (AT4G24040) UniProtKB/Swiss-Prot;Acc:Q9SU50] MWNKPRPESATTDEESASKLSNDVEKEKFYRELASTAESGWDFSSRWMSNSSDLTTLSTTSILPVDLNAFLFKMEHDISFFAELVGDGFTSEKFMKASKARYAAMKSIFWNATMEQWLDYWLIDQSDDELVHQWKAENQNRNIFASNFIPVWMETYSSDRTNVNKIVNSLKRSGLVHAAGIATSLSNTGEQWDFPNGWAPLQHMIAEGLAKSGSMKARSVAEDIAIKWIKTNYAAYNTTGTMHEKYDVESCGKIGGGGEYKPQTGFGWSNGVVLAFLEEFGWPLDRDIDC >DRNTG_28152.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20771955:20778910:-1 gene:DRNTG_28152 transcript:DRNTG_28152.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalase [Source:Projected from Arabidopsis thaliana (AT4G24040) UniProtKB/Swiss-Prot;Acc:Q9SU50] MWNKPRPESATTDEESASKLSNDVEKEKFYRELASTAESGWDFSSRWMSNSSDLTTLSTTSILPVDLNAFLFKMEHDISFFAELVGDGFTSEKFMKASKARYAAMKSIFWNATMEQWLDYWLIDQSDDELVHQWKAENQNRNIFASNFIPVWMETYSSDRTNVNKIVNSLKRSGLVHAAGIATSLSNTGEQWDFPNGWAPLQHMIAEGLAKSGSMKARSVAEDIAIKWIKTNYAAYNTTGTMHEKYDVESCGKIGGGGEYKPQTGFGWSNGVVLAFLEEFGWPLDRDIDC >DRNTG_33552.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7600481:7600984:1 gene:DRNTG_33552 transcript:DRNTG_33552.1 gene_biotype:protein_coding transcript_biotype:protein_coding SHHESTGQENDASTQEKQSRHRGKIVMANVWTSMEKFIIKVDKYGVPCTKDAATLSSFLGVLAKNGAYAPINIPNWRHEDFTPYKAKCLKLLMTKFDFPHTQETVTWILQNLNKRWRDWKGDLKAEFYIPKEKERVL >DRNTG_24315.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001297.1:14559:16079:1 gene:DRNTG_24315 transcript:DRNTG_24315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFLCLEIVCLCKSDGSICRELERKALVDFKQGLKDPNGFLSSWIGLDCCSWSGVRCHNHTGHIVRIDLQYSFMLIGEIQPLLVLNHLRYLDLSGNFFEDDRIPAYLGSLVSLQYLNLSHANLSGRVPHQLGNLSRLRYLDLSSNSLYVNSLHVIGSHWLTNLSSLQYLNLDFVNHSKATNVLKVLSTLPLISEIHLHDCELHIPLSLGAHINFTNLQFLDLSSNSGINSTVPLWLFQLSGLEYLDLSDNNFQDLIPHAIGKLTSLRVLTLEYNEVPSIRLPPTLGELCNLSILTLSGNNYIPSDLNGLGEIFSGCIKNSLEELYWSGAKLTGQLPIWLAKLKSLKTLDLSFNSLYGSFIQFRLPSMQELSLGGNQLNGTILKYLGQLFPKLVKLDLSYNNLAGILTEAHFDNLTNLESLDMNSNAFELILRPKWVPPLELKYLDMSDSKLGPKFPSWLQKLKKVSYIKMSNARIMDALPVWFWNFSSNIENVDLSHNEIRGKLPA >DRNTG_21782.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2016144:2019822:1 gene:DRNTG_21782 transcript:DRNTG_21782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWEWLERELGRMQCFLKDADAKKNNGDDERVKNWVTEMRDLAFEAEDIIDTFMYLQLRRQHERQPGCIGFIKRFVFMFTELVSRHKVHVGVKGIKTKLHELSESRELYGIANIGETIGTTSHYRSQHVIPISPHLSDDIAMVGFDDEKKKIVQELVDINNTNRSVISIVGMGGLGKTTLAKYVYNDLEVKRSFNIFAWVIISQQYTIFEILKGISVEVSATPLADTIQHLSAAISKKLEKGKYLIVLDDVWEKDVWIELLKVFPDVNNGSRVIITTRFENVINIADPTTKLHRLRYLNEKESWELFLCKVFPGQDIETCCLTDLDEYAHQLVQRCGGLPLALIVLGGLVLTKPRTQDAWQKVVESMKGQFEEGEESCLEILALSYNDLPYYLKSCFLYLGRFQEDTNIPAQILIRLWSAEGLLPTNNGKTSEELGMDYLEELAQRCLIQVTDRKYDGSARYCRIHDLLRDMCIKEAKENKYLEIYKNDTIDHVTMSNTARRLVIGNEIEILNHSNKKLRGLFLDGYYFDFLTLQTMNRMLGEFKLLRVLSLYNTDNMLKFPSEIKSLIHLRYLELHTHYNMMEVPSWIDHLCNLQTFIIGAGYVAKISDSLWRIGSLRHVVVIVPTSFTPNMGNNVPKNLQTLKWVSAGSWIGNMLPKLTNLRKLKIYDVSDDHANALSSSLLKLGCLASLSIEGNVIPSDNVIKAFSNQHCLKKLSLGGVFNSNQLPSSNVFPQQLVKLVLDRSSLEQDPMAILEKLQFLKYLTLIASCRGKQMICSATGFPQLLFLTIKYYYELEEWKIEENAMPCLKSLQIYNCPRLKMIPEELKNVPLDHLVLDSMPKKFMTRIKANTGEDWYKIQHVPNISIKEFQDAEMDII >DRNTG_31979.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:663155:667691:-1 gene:DRNTG_31979 transcript:DRNTG_31979.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable mitochondrial import inner membrane translocase subunit TIM21 [Source:Projected from Arabidopsis thaliana (AT4G00026) UniProtKB/Swiss-Prot;Acc:Q1G3L1] MHQLKRKGKELGFLIRARWLLSQDKKPLGCSQFAEFSSASIGRQELMTTFFAKSTAANRSGSDISRMLKGTSKAEGVSLLCSENFGLPPLVRSSCQLNGYYQKHRMLPRFTRAISSSSSQNSREGTTDKETMKDVSKVEDPFDDLTYDIPEKPVTFAEGASYSVVILAGLGIAGLAAYAVFKELIFEPKEYKIFGKALERVQNDNQVTVRIGSPVTGYGQESRNRAARQRIPNRVWTDEDGVEHVEVNFHIRGPHGAGVVYTEMFKDNADKKWKFTYLIVEIKSPTKAQLMLESYVPA >DRNTG_21516.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1523869:1527898:1 gene:DRNTG_21516 transcript:DRNTG_21516.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSVSEDDRQYCRPKQRQSSFGSPTHPWLLLLTCNCPAQLVTSASPVQ >DRNTG_28259.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2765974:2767502:1 gene:DRNTG_28259 transcript:DRNTG_28259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISQSQEESKQENSSNRNQVIKNTNHELLEQELFIQTSLLALEDPKKSSFKQQASETESKKNSTNQEGSRVEPKKLTSFNQNGSGLEPKKLTSFNQDGSGAELKKTSFKQEGSSGVELKKSTSFKQEGSSGVELKKSTSFKQEGNGQENKAMGGTYKKVPHMFETLIKQADPGSLPEHINSGIFLNKRTKKYWVDQETGCNCFMLFPRGLSIAWAEDRRYWQWFQVEDIRGTNIEIANLLNVCWLEVHGKINTSLLTPKTKYEVVFVVKMEELSYGWQTPVNLRLLFENGITHEQKVKLLELPRDQRKELKVGEIINSGEKAEEVEISLYEYNGGQWKRGLVIEGVIIRPNK >DRNTG_33318.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23617340:23631129:-1 gene:DRNTG_33318 transcript:DRNTG_33318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFARLDDSPMFRKQIQSLEESAETLRERCLKFYKGSRKYTEQLGEGYDGDIAFASSLEMFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLQFVDIDLHDVKEARKCFDKASLVYDQAREKYLSLKKSTKADIANVLEEELYAARSSFEQTRFNLVTAISNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYIQQVLTYAQQSRERSNCELAALTERMKEFKRQIDQESRLASNGAHSSPNGDGIQAIGRSSHKMIEAVMQSAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRRQWTRPSGSHNSSHRGHSSDHGSGLLSRWFSSQHHGGVHDEKSVARHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESAADQMDWIEKITGVIASLLSSQSPEQRLLTSPASSDHQQVASESSSFSSSTDFDHVAEESSLDRHFIAGQLDRSTRSSQQHRFNIKHEKPIDVLRRVPGNDICADCGASEPDWASLNLGVLVCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVINLFESLGNTYANSIWEELLLIATDETCGETASFCKFETKQQHMFISKPKHGDPISVKEKFIQMKYAEKAFVRKPKPEQSPLLIAQQMWDSVQANNKKAVYHLIVASNANVNVIYGQTSFVSPLTLAKAMLLQEQPSALLDNTSSFPGDESHLKSSAPSSLNSQSANEDSNESGEIIEGLTLLHLACQTADVGMVELLLQYGGNVNITDLKGRTPLQHSIAKGRHVITKLLLSRGADPHAVDMDGKTLLQHAIESGTIHDEEVLVLLEDTNL >DRNTG_08860.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27780081:27785908:1 gene:DRNTG_08860 transcript:DRNTG_08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-coenzyme A synthetase, chloroplastic/glyoxysomal [Source:Projected from Arabidopsis thaliana (AT5G36880) UniProtKB/Swiss-Prot;Acc:B9DGD6] MGSMGSERKMNIAGSDHLRHVESMKELPSGAGKIPRLNAVVLGEALASEEDDLVVPSEDFSRQALVSSPQQYLEMYSKSIQDPAGFWSEIASQFYWNETWGSEVFSENLDYRKGAVRIEWFKGASTNICYNALDRNIKAGLGDKVAIYWEGNELAQDGQLTYKELLEKVCQLANYLKSVGVRKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSAESLAQRIVDCKPKLVITCNAVRRGPKTINLKDIVDNALIESTKNEVPVGLCLTYENPSAMKREATKWQDGRDVWWQDVVPTFPTECPVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPSDVYWCTADCGWITGHSYVSYGPLLNGATVVVFEGAPNYPDAGRCWDIVDKYKVTIFYTAPTLVRSLMRESSKFVTHYSRKSLRVLGSVGEPINPSAWRWFFNVVGESRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGNEIEGECSGYLCIKNSWPGAFRTLYGDHERYETTYFKPFAGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVAVEHEVKGQGIYAFVTLIEGVSYSEELRKSLILTVRNQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASGQLDELGDTSTLADPGVVDQLISLSDC >DRNTG_32371.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19133532:19134044:1 gene:DRNTG_32371 transcript:DRNTG_32371.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVVYGDLSTSPIYVYKSTFSGKLQLHEQDAEVLGVLSLVFWTLTLIPLLKYIVFVLGADDNGEGGTFALYSLMCRNSKMGLLNNFNTVHDHLSVYRMETSQKETKTSVLIKNFFEKHQSSRIVLLLVVLLGVSMVIG >DRNTG_02509.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7819318:7822364:1 gene:DRNTG_02509 transcript:DRNTG_02509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPESRCIIQLGFSAPPEEDNQTRSSTPPLKVGPWGPPNSRIREEDFFLGFGSSEWLPEVF >DRNTG_00148.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2412529:2413064:-1 gene:DRNTG_00148 transcript:DRNTG_00148.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYYCDYCDTYLTHDSVSIVLFSSPFYCLFCCFSSLI >DRNTG_02742.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:21415618:21425055:1 gene:DRNTG_02742 transcript:DRNTG_02742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFRMHNTKVGEQSAISLQRAPPSHDHFGAFLCQSGTTHETTMAMIRDAMRNLHEVQIAILPIIMNGNFHVVIHDNDKQEYMHYSSCAVYDKDVLDMLQVMTRGNPSILVEGNPELEHTLRRKGKESVQEQLFNQEEGHEDVMMLGSTEEVPSIPGILKKVLRKMKRARRRNQKHGKAVGDIRERKELDESLLGASSFPSDMIDSTSVPRVLFGHNRVNGQRDDPPLGLSCPCNGVKR >DRNTG_29522.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2178847:2182004:1 gene:DRNTG_29522 transcript:DRNTG_29522.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:Projected from Arabidopsis thaliana (AT5G58710) UniProtKB/TrEMBL;Acc:A0A178UBF4] MAIKKRMVSVPVLWCLLFLTTIVLIQAKSNKEDLKEVTNKVFFDIEIDGKPAGRVVMGLFGKTVPKTAENFRALCTGEKGMGKSGKPLHYKGSSFHRIIPSFMIQGGDFTLGDGRGGESIYGLKFADENFKIKHTGPGLLSMANAGKDTNGSQFFITTVTTSWLDGKHVVFGKVLSGMDVIYKVEAEGRQNGTPKSKVVIADSGELPL >DRNTG_16971.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:21009457:21012083:-1 gene:DRNTG_16971 transcript:DRNTG_16971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIDMDGGELTLRVGDDKRTYRLAETMRYSLDIDDTLYFLDTTNEIIDEYMQKMLNPDQKMDNEEVMMLGSTEEVPSTLGIMKKVLRKMNRARIRHRKYPKAFGVVRELKKLDESLLGSECVYMFKNFLQSLQSFQRHLEKTHGRGYFPHTRGSTLQAHPEKAQERGLSPVNDLIEKPQGHASAPMVLVHGHGHFPHDHMVAFGEVECFPKSAQGHVFAPVSLSGRDMAPRTKIAAGKCPREQSPEIEHTEFAIPEHQASFERLSRLRSVNGRGDSTGVLSRQELLYLHSMVQSTVIHLEHIIGEYLRHLGQYAKLGLIFSGPYIMRLVMVIGFFTAIRGI >DRNTG_16377.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:921653:923088:-1 gene:DRNTG_16377 transcript:DRNTG_16377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEIAAINSACACMRSLVVRDDICYVCLTEEKLMDLAREKKVVFALSEDVKVKLKEEGEKLGKIPTQQVKEWLEQGECTCNEAKDLENEYRGKWLVSGYCSINCCSRYNISRRADQISKRFKELKEERKKFEVLTMIPENIAMKIPVRPDLVEAITSPYVNQISNYLKDVAVNVIGICGMIGIGKTTLLRVIHNSL >DRNTG_25910.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19916025:19918060:-1 gene:DRNTG_25910 transcript:DRNTG_25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTGAAATCTFLGTRLPETHQPASRFQARFGFGRKKTVKKASKSVSSDRPLWFPGAKAPEYLDGTLVGDYGFDPFGLGKPAEYLQYDLDSLDQNLAKNNAGEIIGTRFEVSDVKSTPFQPYTEVFGLQRFRECELIHGRWAMLATLGAISVEWLTGVTWQDAGKVELIDGSSYLGLPLPFSMTTLIWIEILLIGYIEFQRNAELDPEKRLYPGGKFFDPLGLASDPEKKERLQLAEIKHARLAMIGFLGFAVQAAATGKGPLNNWATHLSDPLHTTIFDTFSSSS >DRNTG_30657.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8382520:8382916:-1 gene:DRNTG_30657 transcript:DRNTG_30657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAPDPRLLVPSPSTKPIIDYIINVLADDDFDFGVDGDGAFEAVGGLLVDSGCVEDYEEGRLVSRPEPGSPDPVRGQTAADPQGVSPIGLQGLRI >DRNTG_21561.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4975197:4979538:1 gene:DRNTG_21561 transcript:DRNTG_21561.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATMAGGGPPKTVLITGVSRGLGRALTLELARRGHTIIGCSRSEDKIQALQDELQLPSDAPPVASSSSSSSSSSSKHLLSAIDVRSDESVKEFAKLVAQAKRVPDIIVNNAGTINKNNKIWEVPGEEFDMVIDTNLKGVANILRHFLPLMIERKKGIIVNMSSGWGRSPAAEVAPYCASKWAIEGLSRSVAKELPPGLAIVALSPGVVNTEMLASCFGSSAALYQSPEAWAPKAATMILNLTEDDNGASLTV >DRNTG_20535.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17809769:17813044:-1 gene:DRNTG_20535 transcript:DRNTG_20535.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSLGKTCFLSMKMAKDDFYTSHPDYNTRIVFKLRDSLRQVIGAADGALDLIKNEKVQAIIGPQTSGEAQFVGDLGEKAKIPIISFSATSPSISPSQSPFFLRTGLTDAAQSPAIASLIKFYGWHRLVPIYEDTDSCAGFIPSLIDSLLDADITVPYRTLLSKNSNDDHISSELYLLKTMQTRVFFVHMSTPLASRFFQKAEEAGMMTDDYVWIISEKLTSLIRLMNSSIIHDSMKGVIGLRPYVPKTGKLAGFQRRWRREFRREFADDADESESMILTTYGYWAYDTVSAVAMAVEAASPLDNGRVMSSNGKTDLSEISASKTGEKLLDAIRVLSFTGLAGKFLLVDGELNVSAFQVLNFNGDQSGREIGYWTPGAGLSRTLKGGGNNSESLGPVIWPGESTRVPKGWETPTGEKKLRIAVPGTVLPGFKSFLDIKSRCEDK >DRNTG_20535.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17808665:17813044:-1 gene:DRNTG_20535 transcript:DRNTG_20535.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSLGKTCFLSMKMAKDDFYTSHPDYNTRIVFKLRDSLRQVIGAADGALDLIKNEKVQAIIGPQTSGEAQFVGDLGEKAKIPIISFSATSPSISPSQSPFFLRTGLTDAAQSPAIASLIKFYGWHRLVPIYEDTDSCAGFIPSLIDSLLDADITVPYRTLLSKNSNDDHISSELYLLKTMQTRVFFVHMSTPLASRFFQKAEEAGMMTDDYVWIISEKLTSLIRLMNSSIIHDSMKGVIGLRPYVPKTGKLAGFQRRWRREFRREFADDADESESMILTTYGYWAYDTVSAVAMAVEAASPLDNGRVMSSNGKTDLSEISASKTGEKLLDAIRVLSFTGLAGKFLLVDGELNVSAFQVLNFNGDQSGREIGYWTPGAGLSRTLKGGGNNSESLGPVIWPGESTRVPKGWETPTGEKKLRIAVPGTVLPGFKSFLDIKSRCEDK >DRNTG_35022.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2314637:2316381:-1 gene:DRNTG_35022 transcript:DRNTG_35022.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLFLSLACLLVHRWRALGLRRSSHGLASFSCSSSSPGSASTSPASPPRTSERKIRLL >DRNTG_02496.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7655391:7656239:-1 gene:DRNTG_02496 transcript:DRNTG_02496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLTAQNSNLFTEYIGAIFNGVKFTDVPINSSVDFHFILAFAIDYTDTSSPSPTNGNFNIFWDSTNLSPSQVSSIKQANSNVKVALSLGGDTVHDVPANFSPTSIDSWVSNAVSSLTSIIQQYNLDGIDIDYEHFSTDEATFASSIGQLITTLKNNGVISFASIAPFDSVNSYYMALWKNYSSVIDYVNFQFYAYSSDTTVTQFESYFETQRGNYNGGKVLASIGSAAGSGGLSPANGFFDACQTLKSKGELSGIFIWCADNSMANSFAYENQAQSLLASG >DRNTG_21737.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13719301:13722161:1 gene:DRNTG_21737 transcript:DRNTG_21737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAMASLTSPAPDPPFEPSAWYGNIQYLLNISIAGAASCLLLFLLVKLRSDHRLVPGPSALFSKLLAVYHATFSQIALHCGADASQFLLIERSSFSILLFVSLAALFFALPLNLLAPAPISDLFAATTISHVPPGSPLLWLHFALMVLVVALAHFGLSKMENDLRITRFRDGSGNPSDPSSNSVAIFTIMVQGVPKALAADKSPLEEYFKHRYPGMVYRVIVPFDLCSLEELSIKWVEVQNKICRLEARLDASESFPDGGPGVGDENQVLRRLKDAWAMACTRLGFTDEERLRKLLNLRLFLKNRLLDYKEGRAPGAGIAFVVLKDVYTTNKAVRDFRVERKKKPVGRFFPLMELQLERSRWRVERAPAASDIYWNHLGFSKLSLRLRRIAVNSCLLLMLLFCSSPLAVITGIKSAARIINAEAMDNAQQWLAWFEGSSWFGALVLQFFPNFLIFVSMYIIIPSVLSYLSKFERHLTVSGEQRAALLKMVCFFLVNLIILRGLVESTLESAILKMGRCYLDGADCQRIEQYMSPSFLTRSCLSTLAFLITCTFLGISFDLLAPIPWIKKTLRKFRKNDMIQLVPEQNEDYSADHNNDENNLRMPLVPGTDDSPRSNGVEGHDLSIYPINRSFHIPKQKFDFAQYYAFNLTIFGLTMIYSLFAPLVVPVGAFYFGYRYMVDKYNFLFVYRVRGFPAGNDGKLMDRVLCIMHFCVVLFLMSMLLFFSVQGDSTKLQAIFTLGLLFFYKVFPSRNDGFQPSILEGMQTVNSFVDGPTEYEVFSQPDFDWDIYQI >DRNTG_21673.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10944083:10945281:-1 gene:DRNTG_21673 transcript:DRNTG_21673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSTKEPKKSAKLKAFYKQKPNRI >DRNTG_30228.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:135492:137568:1 gene:DRNTG_30228 transcript:DRNTG_30228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTPLLQLRPTTFLFFFFITLSVQLSSSADTNILLSFKNTITDNNRALQNWVTGSNPCTGNKTNWTGIICGEDNTILGLKFENMNLSGSLSLENLSSLPRLRTLSFKNNNFNGEMPSIRRLRGLRSIYLSMNKFSGELPKNAFEGMGGLRRVHLWMNQFNGSIPESLTSLQRLVELRLDDNQFDGGLPELRQPGLVLVNVSNNNLSGEIPSSLSSFDPSLFTGNKDLCGAPLTVQCTKEKVSKKLSAPLVLSLAVVVIAVVLAIASVNIATQHHRKDKKEKLIVAREIPSPAKQEDSSQSINFSYANNSDEYEERNLAQEQGKLMFVREERERFEIEDLLKASAQVLGRGGLYSLGSSYKAIITNGPCMVVKRFRNMNQVHKDEFYEHMNNLGKLSHPNLLPYIAYYYRKKEKLFITHYIPNGSLAKLLHNNNTMLNWSTRLKIVKGVTWALAYLHETQHMKKVTIPHGHLKSSNVLLDESFEPLLTDYGLEPVISQTQELQGMVVYKSPEFLQYGRANKNSDVWSLGILILELLTGKSPGNYERRGKGEDTNLVSWVKSVVSEEWTGEVFDGKMMRTRNSEGDMLKLLQIGLGCCECNLEKRWDLKRAVTDIMGLKNKDNNEDDSSFFLSDAGGEGYYYSSTAMTDDDFSFTPNNLGPGYH >DRNTG_29480.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2661306:2665046:1 gene:DRNTG_29480 transcript:DRNTG_29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGQFDNKHTITTAPTPRTQETTPGKMLFGTKGTKVDHITCGRTERPPMVIKSSQSLHNDSSSREK >DRNTG_29480.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2661306:2665046:1 gene:DRNTG_29480 transcript:DRNTG_29480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRVAVHPASAPTNFIPSSESLPNSCLHFITTAPTPRTQETTPGKMLFGTKGTKVDHITCGRTERPPMVIKSSQSLHNDSSSREK >DRNTG_29480.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2661306:2663241:1 gene:DRNTG_29480 transcript:DRNTG_29480.3 gene_biotype:protein_coding transcript_biotype:protein_coding PQKQANCGSQEELPANSAETVHASQVSPPIKHCSPG >DRNTG_34767.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3098127:3099690:1 gene:DRNTG_34767 transcript:DRNTG_34767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHRNLVELFGFASHGNEQLLVYESLENKSLDMFLFNQVNRQLLPWQTRYNIIDGVARGLAYIHLGLSTPIIHRDLKASNVLLDGNMNPKISDFGLARILGDDQTHAYTCHIAGTRGYMAPEYLSSNLYSGKSDVFSFGILILEIVSGKKNNSYYFICDKGLPDLQSYAKKVGNEGTFLELIDEDLNQNYNEQQAMKCVKIGLHCIEENPADRPTMKDVLCMLDNE >DRNTG_10076.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26520305:26521608:1 gene:DRNTG_10076 transcript:DRNTG_10076.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKIQELLSFTSALISVSGCWRLHRIMVL >DRNTG_06285.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14134304:14134618:-1 gene:DRNTG_06285 transcript:DRNTG_06285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLGRKAREDGTPLRLTKDKRDAIKERSLSICLALVDFPQRNSKTEIQRMPEVDKFDDSATVRN >DRNTG_18582.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3512681:3514964:1 gene:DRNTG_18582 transcript:DRNTG_18582.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWFFIGDEAGYSLDEQPTWVPYKTSLQCLCREHLELKWK >DRNTG_18582.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3512681:3514964:1 gene:DRNTG_18582 transcript:DRNTG_18582.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWFFIGDEAGYSLDEQPTWVPYKTSLQCLCREHLELKWK >DRNTG_18582.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3512681:3514964:1 gene:DRNTG_18582 transcript:DRNTG_18582.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWFFIGDEAGYSLDEQPTWVPYKTSLQCLCREHLELKWK >DRNTG_18582.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3512681:3514964:1 gene:DRNTG_18582 transcript:DRNTG_18582.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWFFIGDEAGYSLDEQPTWVPYKTSLQCLCREHLELKWK >DRNTG_18582.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3512681:3514964:1 gene:DRNTG_18582 transcript:DRNTG_18582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWFFIGDEAGYSLDEQPTWVPYKTSLQCLCREHLELKWK >DRNTG_18582.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3512681:3514964:1 gene:DRNTG_18582 transcript:DRNTG_18582.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWFFIGDEAGYSLDEQPTWVPYKTSLQCLCREHLELKWK >DRNTG_18582.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3512681:3514964:1 gene:DRNTG_18582 transcript:DRNTG_18582.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWFFIGDEAGYSLDEQPTWVPYKTSLQCLCREHLELKWK >DRNTG_23887.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7219096:7219574:1 gene:DRNTG_23887 transcript:DRNTG_23887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGVTSDKHAYAVETQLLFDMMMMILFNGKERNESDWRKLFLAAGFKDYKITPFLGLRSVIELYP >DRNTG_26957.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30193367:30195312:1 gene:DRNTG_26957 transcript:DRNTG_26957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDDLLYPMLTVEETLMFAAEFRLPRSLSSSKKKSRVQALIDQLGLRRAAKTIIGDEGHRGVSGGERRRVSIGMDIVHDPIILFLDEPTSGLDSTSAFMVVKVLQRIAQSGSIVIMSVHQPSYRILGLLDRLLFLSRGQTVYSGSPDGLPLFFSEFGRPIPENENRTEFALDLIRELESSNAGTKPLVDFNNSWQNMKIQGTNTVAKNPNPNGYSLKDAISASISRGKLFSGATDNSGAGTTMQTFANPAWVEIGVLTKRSAKNSRRMPELFGMRLGAVLVTGFILATIFWQLDNSPKGVQERLGFFAIGMSTMFYTCADALPVFLQERYIFMRETAYNAYRRSSYVLSNAIVGVPSLLLLSIAFAATTFFAVGLAGGASGFFFFFLIIFASFWAGSGFVTFLSGVVSHVMLGYTVVVAILAYFLLFSGFFINRDRIPDYWIWFHYLSLVKYPYEAVLMNEFDDSTKCFVRGTQMFENTPLGLLPEVIKLNVLKSMSGSLGVNLTAQTCVTTGTDILKQQAVTQLSKWNCLWVTLAWGFFFRVLFYFSLLLGSKNKRR >DRNTG_32137.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001788.1:2672:4612:-1 gene:DRNTG_32137 transcript:DRNTG_32137.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQARQWPSSSTPRYSPLHTHQCDSISTNQQASTLLNTLGRLITRPDSRSEAEASRIMESCLKDILNLLKPLETDRAQRLNVIDELSSILRSQISLKDTLVKPFGSFVSNLYSKWGDLDISVDYPNSVTRQRQQDFLWAIMRALRRAGTCSFIQQFFMLFMEPVLSLSSHYSPLIPMF >DRNTG_32137.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001788.1:2672:4612:-1 gene:DRNTG_32137 transcript:DRNTG_32137.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESCLKDILNLLKPLETDRAQRLNVIDELSSILRSQISLKDTLVKPFGSFVSNLYSKWGDLDISVDYPNSVTRQRQQDFLWAIMRALRRAGTCSFIQQFFMLFMEPVLSLSSHYSPLIPMF >DRNTG_32137.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001788.1:2346:4612:-1 gene:DRNTG_32137 transcript:DRNTG_32137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQARQWPSSSTPRYSPLHTHQCDSISTNQQASTLLNTLGRLITRPDSRSEAEASRIMESCLKDILNLLKPLETDRAQRLNVIDELSSILRSQISLKDTLVKPFGSFVSNLYSKWGDLDISVDYPNSVTRQRQQDFLWAIMRALRRAGFAHNCNVIESTRVPVLKFQSNYGISRVTFQLIIISAKSSPKCSFGSQT >DRNTG_28202.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19718271:19721475:-1 gene:DRNTG_28202 transcript:DRNTG_28202.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAAAGWEDAIDVDDSDLPSLLSPPPPPPPPPLTNPSLPSLRACSQPARPSSHSQPPDNAAPQLKARSETRKLIPGPAGAVQAAMLRRSASPAVPPSRTGLRPETEVGVDFRPDLDEDDEDFKMNPWLSALNFLGHCHASSVSIGSIKKL >DRNTG_27006.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26889256:26889939:-1 gene:DRNTG_27006 transcript:DRNTG_27006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSVIQIPLSTTKATTTELKEFTQSPKTITTIKSPNSSSSSVVIDKTLSSAANLAKLLPSGTVLALHTLSPSFANKGICYPANRYLTSTLILLCTISCIFFSFTDSLIGSDGKLYYGVATFKGFHVFNYVGEEEDYDKVFKRLKKLRITTLDYVHAFFSSLVFFSITFSNASIQSCFFPNAGKNTKEFLVNLPLGAGFLSALIFMIFPTSRKGVGYSDATPTHQY >DRNTG_20274.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001111.1:10460:10845:-1 gene:DRNTG_20274 transcript:DRNTG_20274.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPWCRSRRHRRSRGTGRALKRPPLKPWRRERKNSASS >DRNTG_02924.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4275370:4282529:-1 gene:DRNTG_02924 transcript:DRNTG_02924.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGVKFIPRDQLQTGKPQQDSGDDFSEEEKNKRGGSSRSKQEERRRSEKRVKKTKKKKSLKKRYSSDEGSSGSSEGEGDSFSDREEERRRKKRDKDLLDDSYSSSDGHEKMKRHRDLKREKKKESRDFEEFSDDDEAREFDSRNANKLARKEMGLDWMLRSASKTEKKVEEVGEALEKPQVEEQVNRANPKELNPYLRNNGSGYPEDSAASESGAGQLLPSSVVGDGGASWRLKALKRAKEQAAREGQNFSEVVKERWGSLSQLAASVDSQRAAPTHAHLQSIRARKRGQTDKPDTVAGNESEKGAEEVRDHSRGYLREVSSRHPEMRKPKHDSLPWKKKKDQPESTSLIAEAFSGLTKFANDGSFMETFLQSKGACDNSRASVSENDNGDKNVLSANSKDSGASSLANNQVLSANQLAAKILQLRMKGKHDEAEKLSKEMDTMLQQQDIGSKMTQQESNGSTSRYIKHGVSQEHKREEDADLHLARKIMGNKKYSLSERADDEYDFDGEPSRKRKHKRDAVPNERSSAKRILTQHERCQFCFENPSRPKHLVISIANFTYMMLPQWQPVVQGHCCILPMQHESAIRTVDKNVLEEIRNFKKCLIRMFANQDKEVVFMETVIGLTKQQRHCLIECVPIPSQLAKQAPLYFKKAIDEAEDEWGQHEMKKLIQTTGNLRNVIPENFAYFHVEFGLDRGFVHVIDDDNNFKSSFGLNVIRGPFKTARGRHAPTKEVRALGKPEASSCELCSGLGTF >DRNTG_02924.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4275370:4284648:-1 gene:DRNTG_02924 transcript:DRNTG_02924.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGVKFIPRDQLQTGKPQQDSGDDFSEEEKNKRGGSSRSKQEERRRSEKRVKKTKKKKSLKKRYSSDEGSSGSSEGEGDSFSDREEERRRKKRDKDLLDDSYSSSDGHEKMKRHRDLKREKKKESRDFEEFSDDDEAREFDSRNANKLARKEMGLDWMLRSASKTEKKVEEVGEALEKPQVEEQVNRANPKELNPYLRNNGSGYPEDSAASESGAGQLLPSSVVGDGGASWRLKALKRAKEQAAREGQNFSEVVKERWGSLSQLAASVDSQRAAPTHAHLQSIRARKRGQTDKPDTVAGNESEKGAEEVRDHSRGYLREVSSRHPEMRKPKHDSLPWKKKKDQPESTSLIAEAFSGLTKFANDGSFMETFLQSKGACDNSRASVSENDNGDKNVLSANSKDSGASSLANNQVLSANQLAAKILQLRMKGKHDEAEKLSKEMDTMLQQQDIGSKMTQQESNGSTSRYIKHGVSQEHKREEDADLHLARKIMGNKKYSLSERADDEYDFDGEPSRKRKHKRDAVPNERSSAKRILTQHERCQFCFENPSRPKHLVISIANFTYMMLPQWQPVVQGHCCILPMQHESAIRTVDKNVLEEIRNFKKCLIRMFANQDKEVVFMETVIGLTKQQRHCLIECVPIPSQLAKQAPLYFKKAIDEAEDEWGQHEMKKLIQTTGNLRNVIPENFAYFHVEFGLDRGFVHVIDDDNNFKSSFGLNVIRGPFKTARGRHAPTKEVRALGKPEASSCELCSGLGTF >DRNTG_02924.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4275370:4284648:-1 gene:DRNTG_02924 transcript:DRNTG_02924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGVKFIPRDQLQTGKPQQDSGDDFSEEEKNKRGGSSRSKQEERRRSEKRVKKTKKKKSLKKRYSSDEGSSGSSEGEGDSFSDREEERRRKKRDKDLLDDSYSSSDGHEKMKRHRDLKREKKKESRDFEEFSDDDEAREFDSRNANKLARKEMGLDWMLRSASKTEKKVEEVGEALEKPQVEEVNRANPKELNPYLRNNGSGYPEDSAASESGAGQLLPSSVVGDGGASWRLKALKRAKEQAAREGQNFSEVVKERWGSLSQLAASVDSQRAAPTHAHLQSIRARKRGQTDKPDTVAGNESEKGAEEVRDHSRGYLREVSSRHPEMRKPKHDSLPWKKKKDQPESTSLIAEAFSGLTKFANDGSFMETFLQSKGACDNSRASVSENDNGDKNVLSANSKDSGASSLANNQVLSANQLAAKILQLRMKGKHDEAEKLSKEMDTMLQQQDIGSKMTQQESNGSTSRYIKHGVSQEHKREEDADLHLARKIMGNKKYSLSERADDEYDFDGEPSRKRKHKRDAVPNERSSAKRILTQHERCQFCFENPSRPKHLVISIANFTYMMLPQWQPVVQGHCCILPMQHESAIRTVDKNVLEEIRNFKKCLIRMFANQDKEVVFMETVIGLTKQQRHCLIECVPIPSQLAKQAPLYFKKAIDEAEDEWGQHEMKKLIQTTGNLRNVIPENFAYFHVEFGLDRGFVHVIDDDNNFKSSFGLNVIRGPFKTARGRHAPTKEVRALGKPEASSCELCSGLGTF >DRNTG_02924.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4275370:4284294:-1 gene:DRNTG_02924 transcript:DRNTG_02924.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGVKFIPRDQLQTGKPQQDSGDDFSEEEKNKRGGSSRSKQEERRRSEKRVKKTKKKKSLKKRYSSDEGSSGSSEGEGDSFSDREEERRRKKRDKDLLDDSYSSSDGHEKMKRHRDLKREKKKESRDFEEFSDDDEAREFDSRNANKLARKEMGLDWMLRSASKTEKKVEEVGEALEKPQVEEVNRANPKELNPYLRNNGSGYPEDSAASESGAGQLLPSSVVGDGGASWRLKALKRAKEQAAREGQNFSEVVKERWGSLSQLAASVDSQRAAPTHAHLQSIRARKRGQTDKPDTVAGNESEKGAEEVRDHSRGYLREVSSRHPEMRKPKHDSLPWKKKKDQPESTSLIAEAFSGLTKFANDGSFMETFLQSKGACDNSRASVSENDNGDKNVLSANSKDSGASSLANNQVLSANQLAAKILQLRMKGKHDEAEKLSKEMDTMLQQQDIGSKMTQQESNGSTSRYIKHGVSQEHKREEDADLHLARKIMGNKKYSLSERADDEYDFDGEPSRKRKHKRDAVPNERSSAKRILTQHERCQFCFENPSRPKHLVISIANFTYMMLPQWQPVVQGHCCILPMQHESAIRTVDKNVLEEIRNFKKCLIRMFANQDKEVVFMETVIGLTKQQRHCLIECVPIPSQLAKQAPLYFKKAIDEAEDEWGQHEMKKLIQTTGNLRNVIPENFAYFHVEFGLDRGFVHVIDDDNNFKSSFGLNVIRGPFKTARGRHAPTKEVRALGKPEASSCELCSGLGTF >DRNTG_27329.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17133892:17136215:1 gene:DRNTG_27329 transcript:DRNTG_27329.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKACGGELIVPQNFQGLDKLKTLELNDVMFSPDELENLILSFTQLNELSMFIKHDIEMLKIHSDSLQCLKINNFKRLHLVAPVLHDASFHLFSCQERWGSGRSLYRKIEKNVQALEIVAKFCTKLTPTSTFDHLAKLCLTVMFGDLISEYALFCFMEKAKAVESLEIRTISFQINCPNIWKDGMGRNSKFIFDQLLTVRLDGFSGTENELSFLSFILAASPNLKKMTILKEQLVKSTKAYQKLLELKKLSSQARIAFVPR >DRNTG_27329.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17135597:17136215:1 gene:DRNTG_27329 transcript:DRNTG_27329.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDLISEYALFCFMEKAKAVESLEIRTISFQINCPNIWKDGMGRNSKFIFDQLLTVRLDGFSGTENELSFLSFILAASPNLKKMTILKEQLVKSTKAYQKLLELKKLSSQARIAFVPR >DRNTG_27329.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17130254:17136215:1 gene:DRNTG_27329 transcript:DRNTG_27329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKACGGELIVPQNFQGLDKLKTLELNDVMFSPDELENLILSFTQLNELSMFIKHDIEMLKIHSDSLQCLKINNFKRLHLVAPVLHDASFHLFSCQERWGSGRSLYRKIEKNVQALEIVAKFCTKLTPTSTFDHLAKLCLTVMFGDLISEYALFCFMEKAKAVESLEIRTISFQINCPNIWKDGMGRNSKFIFDQLLTVRLDGFSGTENELSFLSFILAASPNLKKMTILKEQLVKSTKAYQKLLELKKLSSQARIAFVPR >DRNTG_27329.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17130254:17136215:1 gene:DRNTG_27329 transcript:DRNTG_27329.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKACGGELIVPQNFQGLDKLKTLELNDVMFSPDELENLILSFTQLNELSMFIKHDIEMLKIHSDSLQCLKINNFKRLHLVAPVLHDASFHLFSCQERWGSGRSLYRKIEKNVQALEIVAKFCTKLTPTSTFDHLAKLCLTVMFGDLISEYALFCFMEKAKAVESLEIRTISFQINCPNIWKDGMGRNSKFIFDQLLTVRLDGFSGTENELSFLSFILAASPNLKKMTILKEQLVKSTKAYQKLLELKKLSSQARIAFVPR >DRNTG_17260.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5726164:5728116:1 gene:DRNTG_17260 transcript:DRNTG_17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding QGSSQLKRDLHKRGRMRPSQESGVLAGSLMAAGEAASPLDREALAGSLV >DRNTG_17260.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5726549:5728116:1 gene:DRNTG_17260 transcript:DRNTG_17260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSPPQGSSQLKRDLHKRGRMRPSQESGVLAGSLMAAGEAASPLDREALAGSLV >DRNTG_18805.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:407781:409062:1 gene:DRNTG_18805 transcript:DRNTG_18805.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERLNSKLYLQNCYIMKENERLRKKAQLLNQENQALLSELKQKLTKSSASPNPNSIPDLNLSPAANKAPNANK >DRNTG_18805.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:407781:409199:1 gene:DRNTG_18805 transcript:DRNTG_18805.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERLNSKLYLQNCYIMKENERLRKKAQLLNQENQALLSELKQKLTKSSASPNPNSIPDLNLSPAANKAPNANK >DRNTG_18805.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:407736:409062:1 gene:DRNTG_18805 transcript:DRNTG_18805.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERLNSKLYLQNCYIMKENERLRKKAQLLNQENQALLSELKQKLTKSSASPNPNSIPDLNLSPAANKAPNANK >DRNTG_18805.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:408621:409199:1 gene:DRNTG_18805 transcript:DRNTG_18805.5 gene_biotype:protein_coding transcript_biotype:protein_coding MERLNSKLYLQNCYIMKENERLRKKAQLLNQENQALLSELKQKLTKSSASPNPNSIPDLNLSPAANKAPNANK >DRNTG_18805.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:407736:409199:1 gene:DRNTG_18805 transcript:DRNTG_18805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLNSKLYLQNCYIMKENERLRKKAQLLNQENQALLSELKQKLTKSSASPNPNSIPDLNLSPAANKAPNANK >DRNTG_16099.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25451162:25452869:-1 gene:DRNTG_16099 transcript:DRNTG_16099.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 15 [Source:Projected from Arabidopsis thaliana (AT2G40470) UniProtKB/Swiss-Prot;Acc:Q8L5T5] MSTTREKCDELGKKIKRETDVTDRMGRRHLLGPAAGALNTITPCAACKLLRRRCAQECPFSPYFSPHEPQKFASVHKVFGASNVSKMLMEVPEPQRADAANSLVYEANVRLRDPVYGCMGAILALQQQVQALEAELNAVRTEILKHKYRQTTSININPSSHVALLASTADVSVAAPPPLRSTPMITQHSTAGVPSVSPIMYTPPSSSTEYSSITNENIPFFG >DRNTG_16099.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25451162:25452232:-1 gene:DRNTG_16099 transcript:DRNTG_16099.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 15 [Source:Projected from Arabidopsis thaliana (AT2G40470) UniProtKB/Swiss-Prot;Acc:Q8L5T5] MFREKCDELGKKIKRETDVTDRMGRRHLLGPAAGALNTITPCAACKLLRRRCAQECPFSPYFSPHEPQKFASVHKVFGASNVSKMLMEVPEPQRADAANSLVYEANVRLRDPVYGCMGAILALQQQVQALEAELNAVRTEILKHKYRQTTSININPSSHVALLASTADVSVAAPPPLRSTPMITQHSTAGVPSVSPIMYTPPSSSTEYSSITNENIPFFG >DRNTG_18874.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2339447:2340368:1 gene:DRNTG_18874 transcript:DRNTG_18874.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIFELKNNNDQNSHKEHITEPLLKEDKEKKESKRITLADLFAAADDLRVEKGSLNSKEKSAKTKCIASKWKHNKKVEHEKRKEKPMQKINTTTSSTKQVQKGIIFT >DRNTG_18874.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2338838:2340368:1 gene:DRNTG_18874 transcript:DRNTG_18874.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKLFNWMHRKLLHPNVVYSRVPQKQQPDVLNDVKESEADEAVLLSNVLDGILAIGTLGIDSNYNSFTQFEYNKKDKQDQEQEQEVKELVLPIVATKHATKLKENEKKRNAEMVVIFELKNNNDQNSHKEHITEPLLKEDKEKKESKRITLADLFAAADDLRVEKGSLNSKEKSAKTKCIASKWKHNKKVEHEKRKEKPMQKINTTTSSTKQVQKLITKVLKKKVHPEMGVGGAMKEMEKISLVEERSMNTLG >DRNTG_02857.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20840256:20842195:1 gene:DRNTG_02857 transcript:DRNTG_02857.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQEVMMNTSFLTMVEDRLPPGFRFHPRDDELICDYLSVKLSGDHRKLNSCFFPMMIDIDINKCEPWDLPETACVGGREWYFFCLRDRKYASGLRTNRATMSGYWKATGKDRMVSRNATVVGMRKTLVFYEGRAPKGKKTDWVMHEFRMEKPTFDIVPQAFSCKEDWVLCRVFYKSRGISSRPITKDTYNNSDVDSSPPPLSETYITFDQNPLHDEGFEQVPCFSNYLNHNPNPSPSPNLSYDPMHAKMINSILNQLTKLDGNSKRDLVPSNVTQLPIDSSLCDVTLLPIWNPF >DRNTG_02857.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20841068:20842195:1 gene:DRNTG_02857 transcript:DRNTG_02857.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYWKATGKDRMVSRNATVVGMRKTLVFYEGRAPKGKKTDWVMHEFRMEKPTFDIVPQAFSCKEDWVLCRVFYKSRGISSRPITKDTYNNSDVDSSPPPLSETYITFDQNPLHDEGFEQVPCFSNYLNHNPNPSPSPNLSYDPMHAKMINSILNQLTKLDGNSKRDLVPSNVTQLPIDSSLCDVTLLPIWNPF >DRNTG_02857.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20840256:20842242:1 gene:DRNTG_02857 transcript:DRNTG_02857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQEVMMNTSFLTMVEDRLPPGFRFHPRDDELICDYLSVKLSETACVGGREWYFFCLRDRKYASGLRTNRATMSGYWKATGKDRMVSRNATVVGMRKTLVFYEGRAPKGKKTDWVMHEFRMEKPTFDIVPQAFSCKEDWVLCRVFYKSRGISSRPITKDTYNNSDVDSSPPPLSETYITFDQNPLHDEGFEQVPCFSNYLNHNPNPSPSPNLSYDPMHAKMINSILNQLTKLDGNSKRDLVPSNVTQLPIDSSLCDVTLLPIWNPF >DRNTG_03619.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11383592:11386678:1 gene:DRNTG_03619 transcript:DRNTG_03619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLEHDYIGFSSSSSNFEEEVEVEDLKGTELRLGLPGSESPERKPVVGLSLGLPKSSVSGLKRGFADTVDGSGGWIFSGGSGGGMENGKGGPLVSQKEENGGGTSGQDSKGHVLSAKAQVVGWPPIRSYRKSMMPTNLDKNKDGIEDKQSFGCVFVKVSMDGAPYLRKVDLKTYDNYKELSSALEKMFSCFTIGQCTSQGVQGKDGLSQSCLADLLHGSEYVLTYEDKDRDWMLVGDVPWDMFTNSCRRLRIMKGSDAIGLAPRVKEKSKNRNDLANQMQKPV >DRNTG_27525.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21093168:21097620:-1 gene:DRNTG_27525 transcript:DRNTG_27525.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGAQFCTDSFSSISIDAVKNGASYSFYARIESIGPLEAQGSFGGVQGQEIALVDNDGFKLNFLLWGEQVLLSNLFSIGSMLALDRPFVADAADCNTMTNQEISIEYGSATQLYLVPFIQHEEQVILTSTQMRYQGSSLSAMPGQSQCPKLSQVLLPLNSQGSIDFSNYPFRSYVIDLRDKMAGVSLYGSVLNIKREHNTSGTVFSMILEDTTGAITAKLHFVSSWSIGRLGDGHIVYISGLNCLMTPENQLEVSWVEKDNRASLVNISCLPALLNSSCLHRVSSVSDISNQRHNMHLLQLHL >DRNTG_27525.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21098947:21099724:-1 gene:DRNTG_27525 transcript:DRNTG_27525.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSQASPCCSSLADDLNLKEEQDAFLKFVEYARSMISPDDRDSVGDDGAPGPSWSWIVDRIIKTCVAYSSGVTPAILLSDLFQAWSEQHRYATSKKKMECMIPLERRHRRSKLLNTVTIDSIHEKNFLSSNSILEAIIVDTFILP >DRNTG_27525.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21093168:21099724:-1 gene:DRNTG_27525 transcript:DRNTG_27525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSQASPCCSSLADDLNLKEEQDAFLKFVEYARSMISPDDRDSVGDDGAPGPSWSWIVDRIIKTCVAYSSGVTPAILLSDLFQAWSEQHRYATSKKKMECMIPLERRHRRSKLLNTVTIDSIHEKNFLSSNSILEAIIVDTFILPGTNITMLCLGDIWSSSTIDMYLHRRYCHLVGPENAILKKGREILLTGCHLRTAVGGFGQPRLLPTEYLVIVLNEDQDEDAMLLGAQFCTDSFSSISIDAVKNGASYSFYARIESIGPLEAQGSFGGVQGQEIALVDNDGFKLNFLLWGEQVLLSNLFSIGSMLALDRPFVADAADCNTMTNQEISIEYGSATQLYLVPFIQHEEQVILTSTQMRYQGSSLSAMPGQSQCPKLSQVLLPLNSQGSIDFSNYPFRSYVIDLRDKMAGVSLYGSVLNIKREHNTSGTVFSMILEDTTGAITAKLHFVSSWSIGRLGDGHIVYISGLNCLMTPENQLEVSWVEKDNRASLVNISCLPALLNSSCLHRVSSVSDISNQRHNMHLLQLHL >DRNTG_04190.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9705436:9708498:-1 gene:DRNTG_04190 transcript:DRNTG_04190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGIDEDYYNFTDKSLYEKEPLKRCVTDAELPSMANGCFDCNICLDFAADPVVTLCGHLYCWPCMHKWLRIKSTSPCRCPVCKAVLSIDSLVPLYGQGFTKKGSNQSLDDVPQRPQLISQQQPSRQGVQSQHHYHYENYLDYYDGNMNDSYVSPYWPTETRIFRSTAGGVLEGIAVAVLPWMFRNHERVYNTRSHLATASTYTSRQQMRQEMQAQKLLSQIWSFLFFCSILCLLLF >DRNTG_04190.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9705436:9708498:-1 gene:DRNTG_04190 transcript:DRNTG_04190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGIDEDYYNFTDKSLYEKEPLKRCVTDAELPSMANGCFDCNICLDFAADPVVTLCGHLYCWPCMHKWLRIKSTSPCRCPVCKAVLSIDSLVPLYGQGFTKKGSNQSLDDVPQRPQLISQQQPSRQGVQSQHHYHYENYLDYYDGNMNDSYVSPYWPTETRIFRSTAGGVLEGIAVAVLPWMFRNHERVYNTRSHLATASTYTSRQQMRQEMQAQKLLSQIWSFLFFCSILCLLLF >DRNTG_04190.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9705436:9708498:-1 gene:DRNTG_04190 transcript:DRNTG_04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGIDEDYYNFTDKSLYEKEPLKRCVTDAELPSMANGCFDCNICLDFAADPVVTLCGHLYCWPCMHKWLRIKSTSPCRCPVCKAVLSIDSLVPLYGQGFTKKGSNQSLDDVPQRPQLISQQQPSRQGVQSQHHYHYENYLDYYDGNMNDSYVSPYWPTETRIFRSTAGGVLEGIAVAVLPWMFRNHERVYNTRSHLATASTYTSRQQMRQEMQAQKLLSQIWSFLFFCSILCLLLF >DRNTG_21077.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20049685:20050169:-1 gene:DRNTG_21077 transcript:DRNTG_21077.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTFTHLLVLLLAFSHFFSCSIAIPSTRTQKLVQEVGEIPMLTNAYKGIKFEDEDIIEGRMDIEKEDYPGSGPNDRHTPKPPQ >DRNTG_27620.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3602461:3605275:1 gene:DRNTG_27620 transcript:DRNTG_27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVELDLLVKELRNTFESGKTRSFEWRKAQLKSLLKLLEEKEEDIFMALHKDLGKHHVEVFRDEIGALIKSVDYALDNLKTWMTGKKAYTPLAAFPSSAEIVPEPLGVLLLFSSWNFPIGLSLEPLIGALSAGNVVVLKPSEIAPASSEFLAKNIPLYLDKKSVKVVQGCQKVGEQLLQYKWDKIFFTGSSRVGRIVMSAAAKHLTPVALELGGKCPAIIDSLSRSTDRQTAINRVVGGKWGSCYGQACIGIDYLFVEEKFLSVVIDLLKATIKRFYPKREYMTKIVTKQHFQRLSSLLKDPEVAGSIVYGGSIDSDTLFIEPTILVDPPLDSEIMTEEIFGPLLPIITLKNIEDCIGFLRGKPKPLAIYAFTHNENFKNRLVAETSSGSIIFNDAIVQYLCDTIPFGGVGASGFGHYHGKFSFELFSHAKPVLRRNFWTEFTFRYPPWDEGKLKFMRLLYRFDYFGLLLLMLGLKR >DRNTG_27620.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3602461:3605275:1 gene:DRNTG_27620 transcript:DRNTG_27620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAKHLTPVALELGGKCPAIIDSLSRSTDRQTAINRVVGGKWGSCYGQACIGIDYLFVEEKFLSVVIDLLKATIKRFYPKREYMTKIVTKQHFQRLSSLLKDPEVAGSIVYGGSIDSDTLFIEPTILVDPPLDSEIMTEEIFGPLLPIITLKNIEDCIGFLRGKPKPLAIYAFTHNENFKNRLVAETSSGSIIFNDAIVQYLCDTIPFGGVGASGFGHYHGKFSFELFSHAKPVLRRNFWTEFTFRYPPWDEGKLKFMRLLYRFDYFGLLLLMLGLKR >DRNTG_23404.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001264.1:8322:10741:1 gene:DRNTG_23404 transcript:DRNTG_23404.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLLLLLFFFFSPSHSLPCSPSPTPCPPFLSSPSFPFSSIPGCGHPSFQINCSSSSIIINSIPFLILSISISSNSLHLSPLLPSPPFSHCPSLPLHPINLSSSPFLLLHNVCHSLPCFLNSSSSSSCSLSYFQNQLLSQPHRLFTSCHSSNHSCRSHPHSTNLLLNTSFSVTWNRNDSYFSNCSSCHGVCAFNDSLPSKPFLCIPFGNSHSSHRSLLLALFFVGVFLVLSILVVALLRRCRPERGGGGGESATAVFIQCHFPRPPSFSYEILRAATNGFDHRCKIGDGGFGSVFLAHLPDGRAAAVKRLHARPNTSVSSSSTSDSFCNEIFILSSVKHPNLVRLHGYCCDPRGLLLVYDYVPNGTLADHIHHKRSLSWPVRVEIAVQIAAALEYLHFELKPPVVHRDVTSSNIFVERDMRIKVGDFGLSRLLALPQDSSDEYIRRTGPQGTPGYLDPEYHRSFRLTEKSDVYSFGVVMLELVTGMMAVDTGRDERDVSLAEMVVGRIQVGMLHQVVDPMLMRHVERPALASIEAVAELAFRCLAGDQDDRPDSREVGEELKRIRNQLQDYLR >DRNTG_26045.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9819117:9821656:-1 gene:DRNTG_26045 transcript:DRNTG_26045.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTHQFIEFCLWGWDNLPRTVLMYYANFISSPEGYFHTVICNADEFRNTTVNHDLHYISWDNPPKQHPHYLTLNDFQGMIDSNAPFARKFGRDDPVLDKIDKEILGRDPGGFVPGGWHDILEENATDHYFTVSKVDDLRPGPGAERLKTLVLGLLSQDDFDQKHCI >DRNTG_26045.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9819117:9824619:-1 gene:DRNTG_26045 transcript:DRNTG_26045.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKVPQRVKRWLFPLLASLLISCVLITLSLFSSSSSSSSSSLCHALSLCSFPASPSSDPYLFIEAKLRLPRTVSSASVPRIAYLISGSAGDGGSIQRTLRALYHPANRYAVHLDLESPPAERLELAASIRRDPVYQRFGNVWVITRANLVTYRGPTMVANTLHAASILLKEGGYWDWFINLSSSDYPLVTQDGEIWCWCFGFLI >DRNTG_04029.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17082552:17093750:-1 gene:DRNTG_04029 transcript:DRNTG_04029.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCRAASRSVGELFGRASTSVDAPTEGGTGRVDKDEAMMELVREVVGMVRDQRQQQAAAPSPPSAPTPAPPSPQEPKEKTIMEFKRSGPLPFEGTTNLDDVKVWVEEMEKAFAVMKCNEEEKLRFGVYMLKGQANHCYAEVVGRAKSLDTVWGDTRDQNRRFQKKRERSFDNQGNHKSGNGGRSRSDAGQSKSQTVGESPAQRSRGLPPAFSCSDQKKCSTCGGAHDPKDCRRATGACYRCGSLEHHIAKCPQMQSSRAQRSSTVQNSRHVPAPKSQGSGFLRVLDFHNLICSLGVGRHLGFVILRTGFEALHGYWASAWLVCGRLSVH >DRNTG_22510.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3367405:3371002:-1 gene:DRNTG_22510 transcript:DRNTG_22510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKAHLLLSSSLHTTHLRSTPSFPTMQTPLLPSRPPKPIPLSKTLAATTLLLSSLSPLALAADDIAPPPPPSPAAAQLDEAVTLANPFAQSLVTAPEPQASSDLPDGSQWRYSEFLNAVKKGKVERVRFSKDGVMLQLTAVDGRRANVVVPNDPDLIDILAMSGVDISVADGEGGNGLLGIIGNLLFPFLAFAGLFLLFRRAQGGPGGGPGGLGGPMDFGRSKSKFQEVPETGVTFADVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKAKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFSGNSGVIVLGATNRPDVLDAALLRPGRFDRQVTVDRPDVAGRVKILQVHSRGKALAKDVDFEKIARRTPGFTGADLQNLMNEAAIFAARRDLKEISKDEISDALERIIAGPEKKNAVVSDEKKKLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSKSYLENQMAVALGGRVAEEVIFGEENVTTGASNDFMQVSRVARQMVERFGFSKKIGQIAIGGPGGNPFLGQQMSSQKDYSMATADVVDAEVRELVEKAYSRAKQIITTHTDILHKLAQLLIEKETVDGEEFMSLFIDGKAELFIA >DRNTG_22510.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3367405:3371002:-1 gene:DRNTG_22510 transcript:DRNTG_22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKAHLLLSSSLHTTHLRSTPSFPTMQTPLLPSRPPKPIPLSKTLAATTLLLSSLSPLALAADDIAPPPPPSPAAAQLDEAVTLANPFAQSLVTAPEPQASSDLPDGSQWRYSEFLNAVKKGKVERVRFSKDGVMLQLTAVDGRRANVVVPNDPDLIDILAMSGVDISVADGEGGNGLLGIIGNLLFPFLAFAGLFLLFRRAQGGPGGGPGGLGGPMDFGRSKSKFQEVPETGVTFADVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKAKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFSGNSGVIVLGATNRPDVLDAALLRPGRFDRQVTVDRPDVAGRVKILQVHSRGKALAKDVDFEKIARRTPGFTGADLQNLMNEAAIFAARRDLKEISKDEISDALERIIAGPEKKNAVVSDEKKKLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSKSYLENQMAVALGGRVAEEVIFGEENVTTGASNDFMQVSRVARQMVERFGFSKKIGQIAIGGPGGNPFLGQQMSSQKDYSMATADVVDAEVRELVEKAYSRAKQIITTHTDILHKLAQLLIEKETVDGEEFMSLFIDGKAELFIA >DRNTG_09073.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1231494:1232475:-1 gene:DRNTG_09073 transcript:DRNTG_09073.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNWAKCDPRASTAASSSVKERPESANGFIIESLNALK >DRNTG_14109.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7817480:7819569:-1 gene:DRNTG_14109 transcript:DRNTG_14109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRHTQRLRSMSSLSTDYPRSLMPQRAYSALCGQGQYGPVVSKATCLSQPSYRYIHAVLSRAHRYTWDTSWQSIYDIGALFSGSYITRLVIGMGLLVAIRGVEKVSVGSVCVDYTYTRDSGGRDDTVEVPQAAPGP >DRNTG_19111.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29730266:29737661:1 gene:DRNTG_19111 transcript:DRNTG_19111.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQRLKQQQQQQQQQALMQQALLQQQQPSLYHHPALLAAHPQIEPMPSGNVPPGFDTTTCRSVYVGNVHNHVTEALLQEVFQSTGPVEGCKLIRKEKSSYGFVDYYDRRFAALAIMTLNGRHLFGQPIKVNWAYASGQREDTSGHYNIFVGDLSPEVTDAALFACFSAYQTCSDARVMWDQKTGRSRGFGFVSFRSQQDAQNAINEQTGKWLCSRQIRCNWATKGAGTNEDKLNSDSKSVVELTNGSSDGQETANDDAPENNPQYTTVYVGNLAPEVTQPDLHRFFHALDAGAIEEVRLQRDKGFGFVRYSTHSEAARAIQMGNARIFCGKPIKCSWGSKPTPAGASSTPLPPPAAPFPGLSATDLLAYERSLALSRMGSGQALMQGQHGLKQAAMGVGTGASDAMYDAGFQNVAAQQLMYY >DRNTG_16358.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7730869:7732505:1 gene:DRNTG_16358 transcript:DRNTG_16358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRGRESARMRSQNGQTHAPVSSLDGEEILCREPHGRAKITHACAFADRIIGKNFYVSAGKHTPVRKSHTGVDHHKVPHKESPTILPLASKETILGWELSKLSTGGSLECELQVMTRGNPSILIEGDPERERTLRRKGKELVEE >DRNTG_24251.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001294.1:19856:27499:1 gene:DRNTG_24251 transcript:DRNTG_24251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPYNSSKSPPVTVDHFFFRVYTVCWEMYADRLAVGTKGSIRDRLHGDVGNYLRRTHSFNGKRKWQVEENKGQHDLYEDGVEPQISYPLVGPSDLRVKLQKTSIQKIKQLGKDSGIWDLGRKLSTLPHPQPKSDQSKAKPVNEKITSVVKGAPSTEEPVPEMKKVSSLTDTIERSLQKSNSSIESLLQSLGLEKYLITFMAEEVDMMALKHMTDNDLKALGIPMGPRKKILLALNSQIHISGD >DRNTG_34049.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23437517:23437960:1 gene:DRNTG_34049 transcript:DRNTG_34049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSRIFLQSHDNFTSTLIAKSPKTAPDAPTEIANLGRTNHETRLAPAPIKM >DRNTG_07782.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1147536:1149182:-1 gene:DRNTG_07782 transcript:DRNTG_07782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCGGGEEENFGQPLHPSVPPPSRNAPGNDREPRTSNAVKTGPAQTVLPIEVPAIPLAELNKLTHNFNQKAFVGEGSYGRVYQATLSTGQHAAIKKLDLNASQESDSEFAGQLSLISRLKHEYFVELLGYCLEANNRILIYEFATNGSLHDILHGRKGAQDANPGPILSWNQRVKIAYGAARGLEYLHEKVQPPIVHRDIRSSNVLLFDDFLSKISDFNLTSQSPDAASRLHSSRVLGTFGYHAPEYAMTGQLNQKSDVYSFGVILLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNEYPPKAVAKMAAVAALCVQYEADFRPNMTIVVKALQPLLNSKAGQEQQQNNQ >DRNTG_05514.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2398097:2400354:1 gene:DRNTG_05514 transcript:DRNTG_05514.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVIERQRSPDPSRIPRHLLRFPKPSPQNAVKTRYITMYEYTTPSDEPTHLYLNGKAYEEPATETPKSGTSEIWHVINLTDDNHPLHMHLAHLSVLEQREILELEKFKDCMTRLNDAIKCHVDNHAVGKKDKVAKHERGWKNVFKAQPGFVTTMLVRFKLLGPGNTTYPFDATAEPGYVYHCHILDHEDNAMMRPLKLKP >DRNTG_05514.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2396754:2400354:1 gene:DRNTG_05514 transcript:DRNTG_05514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVIERQRSPDPSRIPRHLLRFPKPSPQNAVKTRYITMYEYTTPSDEPTHLYLNGKAYEEPATETPKSGTSEIWHVINLTDDNHPLHMHLAHLSVLEQREILELEKFKDCMTRLNDAIKCHVDNHAVGKKDKVAKHERGWKNVFKAQPGFVTTMLVRFKLLGPGNTTYPFDATAEPGYVYHCHILDHEDNAMMRPLKLKP >DRNTG_02397.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9040487:9041493:1 gene:DRNTG_02397 transcript:DRNTG_02397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELSKDDAPETPRQSDNGEVMPYPELLMSPLDGGPDLKGTVQHTGNEELLLDSGTIADHSTQIWDFNLGRSREQNESSLFEMDGYGTNTEGFTIKSYDDLFRENTFLTTHVLEDIYNMNCIINDFFWAIVNLIGVFFITIFSLDKSDEYKKDTCASKRYGGGGGPGGDGGPGRGPRGPRFMSDMRSNDQSKQ >DRNTG_23933.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1092115:1095710:1 gene:DRNTG_23933 transcript:DRNTG_23933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATEKITPELEIEGFVFPAEVSIPGFSEPLFLGGAGVRGLEIGDKFIKFTAIGVYLGNGAVASLAGKWKGKSGDELSNSVEFFRDVITGPFEKLTQVTMILPLTGQQYSEKVAENCISFWKEAGVYTEAEAKAVEKFKEIFHHETFPHAASIIFNHSLSGSVSIGFSKDGSLPKSWNAVIENKRLSEAILESIIGEHGVSPPAKKSLAFRLSKLLSEYN >DRNTG_28629.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28370045:28373275:-1 gene:DRNTG_28629 transcript:DRNTG_28629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLINDLVNLSLSDHTDKIIAEYIWVGGSGVDIRSKARTLSGPVNDPSKLPKWNYDGSSTGQAPGQDSEVILYPQAIFKDPFRRGNNILVMCDCYTPAGEPIPTNKRYNAANIFNHPDVAAEEPWFGIEQEYTLLQKDVNWPVGWPLGGYPGPQGPYYCSVGANKCFRRDVVDAHYKACLYAGINISGINGEVMPGQWEFQVGPAVGISAGDQLWIARYILERITELAGVVLSFDPKPIQGDWNGAGAHTNYSTKSMRNDGGYNVIRKAIENLGLRHKEHISAYGEGNERRLTGRHETADINTFKWGVADRGASIRVGRDTEKMGKGYFEDRRPASNMDPYVVTSMIAETTLLWKPN >DRNTG_12524.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23141767:23147268:-1 gene:DRNTG_12524 transcript:DRNTG_12524.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSLKGARVELGLDKNFGFLKQFFAKYDLGEEVGRGHFGYTSSARGKKGEMKGVEVAVKVISKAKMTTAIAIEDVRREVKILSALTGHKNLVRFHDAYEDDDNVYIVMELCRGGELLDRILARGGKYPEEDAKTVMVQILSVVAFCHLQGVVHRDLKPENFLFTAKDENSALKVIDFGLSDLVKPDDRLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPNFEEEPWPLLSHEAKDFVKRLLNKDYRKRMTAAQALGHPWLNNRQDVKIPLDIVVYKHIKAFICSSSLRKSALKAVAKTLTVAQLFYLREQFALLGPNKGGYISLQNFKAALMKFSTDAMKDSKLLDFANTLSTLPHKKMDFEEFAAAAISIYQLESLDTWEQHARRGYEFFEKDGNRPIMIEELASELGLGPSVPVHVVLQDWIRHADGKLSFLGFVKLLHGVSSRLIPKAQ >DRNTG_08126.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1461095:1463818:-1 gene:DRNTG_08126 transcript:DRNTG_08126.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFSSSLLPSILTQKCDNAIDLSSCPPSQLQVIAFFVSLYLVAFAHGGHKPCTQAFGADQFDQNDPFESKSRSSFFNWWHFGMNFGMLFTTVLNYVQDSVSWGFGFGIPCLAMVLALVVFLSGSRTYRYCIVEETSPFIRIGKACWQASTVKASQTEEKEGEAREAKGVLRLFSIWTACLIYAIVFAQSMTFFTKQASTLDRRIGSSFIIPSASLQSIGTVSIVAFIPIYDHTLVPAVRKLTGLQSGITMLQRIGIGIAISTTEMAVAALVEMKRIKTAREYGLIDSPHIPIPMNLLWLMPQYVLYGLSDVFAMIGLQEFFYDQMPDALRSLGLALYLSIFGVGSFISGFIVSLIDKVSAAQGESWFPDNLNHGHLDYFFWLLAALNALGLLIYIYFAQAYAYRKKGWSTML >DRNTG_08126.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1461095:1466507:-1 gene:DRNTG_08126 transcript:DRNTG_08126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGMLFTTVLNYVQDSVSWGFGFGIPCLAMVLALVVFLSGSRTYRYCIVEETSPFIRIGKACWQASTVKASQTEEKEGEAREAKGVLRLFSIWTACLIYAIVFAQSMTFFTKQASTLDRRIGSSFIIPSASLQSIGTVSIVAFIPIYDHTLVPAVRKLTGLQSGITMLQRIGIGIAISTTEMAVAALVEMKRIKTAREYGLIDSPHIPIPMNLLWLMPQYVLYGLSDVFAMIGLQEFFYDQMPDALRSLGLALYLSIFGVGSFISGFIVSLIDKVSAAQGESWFPDNLNHGHLDYFFWLLAALNALGLLIYIYFAQAYAYRKKGWSTML >DRNTG_25302.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19793520:19794964:1 gene:DRNTG_25302 transcript:DRNTG_25302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDWAVRSPELEPPVYSMAQELNLDVDGCSFSWMDYLSDNMGRREIKTDADALEIARSVVLHGEVNVNVRVNRELPLVFNDTDSEEKSGQKNF >DRNTG_18444.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:941172:942284:-1 gene:DRNTG_18444 transcript:DRNTG_18444.1 gene_biotype:protein_coding transcript_biotype:protein_coding HKPVSKKKSYLKKNSSRKPYLNKDKHVRKFDKNREYKNKLSCFTCGSTNHLVRDCTKRKLS >DRNTG_17572.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17860559:17860931:1 gene:DRNTG_17572 transcript:DRNTG_17572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTWINLTSRASEEYINEVTNFLDFAFAKVSEDGKIWCPCVKCVNTYRVSRREAFDHIICDGFLKGYVRWIFHGETTEVATSTVISNEEEIVFG >DRNTG_21486.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10379163:10388660:-1 gene:DRNTG_21486 transcript:DRNTG_21486.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGKVDHKGKACKLPIKPNIRRALCFPGGSTLMIAHLKNDIGLDDAPRCNLCISSEVGALLPYDCVNTNPGLVKAKLEGSPWSGTVDKHFIHQIQGKSPCHVSVKSGFCEDKQAAEVGISAGAGRMPCCGKLVSCSKGWCNATENDVVLEDTVYDDADGSAYNSGKGVKRQISEALSSSLKSKRVRGGHHIQCCPCSSQIIPYDGLSKLDSDTRPLGILPMELCDAEMSKDLNDVTHVGSDAKGNNSLADILVSDVLCAKIMFMNIADEMKKASLVKVVHELGGSVTCDGNSSTHVIAGKVRRTLNFSTALCSGAWIVSPNWLKASFRERKFLGEEHYILEDEDYLSKYKCKLKDAVLRAKANPRSLLNGYHFCLARHIQPSFDILSTIIESAGGDVIAKLANIKEPSRTIFLACEEDMTEALAAAKKGVRTFSSDWFMNCIMRQELDLEGPQFAESL >DRNTG_21486.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10379163:10386243:-1 gene:DRNTG_21486 transcript:DRNTG_21486.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSTLPLHGFMSIGKVDHKGKACKLPIKPNIRRALCFPGGSTLMIAHLKNDIGLDDAPRCNLCISSEVGALLPYDCVNTNPGLVKAKLEGSPWSGTVDKHFIHQIQGKSPCHVSVKSGFCEDKQAAEGISAGAGRMPCCGKLVSCSKGWCNATENDVVLEDTVYDDADGSAYNSGKGVKRQISEALSSSLKSKRVRGGHHIQCCPCSSQIIPYDGLSKLDSDTRPLGILPMELCDAEMSKDLNDVTHVGSDAKGNNSLADILVSDVLCAKIMFMNIADEMKKASLVKVVHELGGSVTCDGNSSTHVIAGKVRRTLNFSTALCSGAWIVSPNWLKASFRERKFLGEEHYILEDEDYLSKYKCKLKDAVLRAKANPRSLLNGYHFCLARHIQPSFDILSTIIESAGGDVIAKLANIKEPSRTIFLACEEDMTEALAAAKKGVRTFSSDWFMNCIMRQELDLEGPQFAESL >DRNTG_21486.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10379163:10394948:-1 gene:DRNTG_21486 transcript:DRNTG_21486.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRRFSRSFSSPIPIGNCRVEIESKNFGCKPTEKQLTISVSAKAKINISVDDSMDCGGNLFTDLKCPDVGKGCFLFKDCSYLLVNPKNADEQSKFLLQETLKIYTKELPTMNYAANTGRESLFLEKSLLNGKYNTLILRSNSCNTLEEVVAAVSYQIIPANTQYAEIPLAAVSSSYQNKGIGKLLFKELRDRLQKVGISTILCWGDDESEGFWLKQGFMSIGKVDHKGKACKLPIKPNIRRALCFPGGSTLMIAHLKNDIGLDDAPRCNLCISSEVGALLPYDCVNTNPGLVKAKLEGSPWSGTVDKHFIHQIQGKSPCHVSVKSGFCEDKQAAEVGISAGAGRMPCCGKLVSCSKGWCNATENDVVLEDTVYDDADGSAYNSGKGVKRQISEALSSSLKSKRVRGGHHIQCCPCSSQIIPYDGLSKLDSDTRPLGILPMELCDAEMSKDLNDVTHVGSDAKGNNSLADILVSDVLCAKIMFMNIADEMKKASLVKVVHELGGSVTCDGNSSTHVIAGKVRRTLNFSTALCSGAWIVSPNWLKASFRERKFLGEEHYILEDEDYLSKYKCKLKDAVLRAKANPRSLLNGYHFCLARHIQPSFDILSTIIESAGGDVIAKLANIKEPSRTIFLACEEDMTEALAAAKKGVRTFSSDWFMNCIMRQELDLEGPQFAESL >DRNTG_21486.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10379163:10394948:-1 gene:DRNTG_21486 transcript:DRNTG_21486.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRRFSRSFSSPIPIGNCRVEIESKNFGCKPTEKQLTISVSAKAKINISVDDSMDCGGNLFTDLKCPDVGKGCFLFKDCSYLLVNPKNADEQSKFLLQLQETLKIYTKELPTMNYAANTGRESLFLEKSLLNGKYNTLILRSNSCNTLEEVVAAVSYQIIPANTQYAEIPLAAVSSSYQNKGIGKLLFKELRDRLQKVGISTILCWGDDESEGFWLKQGFMSIGKVDHKGKACKLPIKPNIRRALCFPGGSTLMIAHLKNDIGLDDAPRCNLCISSEVGALLPYDCVNTNPGLVKAKLEGSPWSGTVDKHFIHQIQGKSPCHVSVKSGFCEDKQAAEVGISAGAGRMPCCGKLVSCSKGWCNATENDVVLEDTVYDDADGSAYNSGKGVKRQISEALSSSLKSKRVRGGHHIQCCPCSSQIIPYDGLSKLDSDTRPLGILPMELCDAEMSKDLNDVTHVGSDAKGNNSLADILVSDVLCAKIMFMNIADEMKKASLVKVVHELGGSVTCDGNSSTHVIAGKVRRTLNFSTALCSGAWIVSPNWLKASFRERKFLGEEHYILEDEDYLSKYKCKLKDAVLRAKANPRSLLNGYHFCLARHIQPSFDILSTIIESAGGDVIAKLANIKEPSRTIFLACEEDMTEALAAAKKGVRTFSSDWFMNCIMRQELDLEGPQFAESL >DRNTG_21486.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10379163:10394948:-1 gene:DRNTG_21486 transcript:DRNTG_21486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRRFSRSFSSPIPIGNCRVEIESKNFGCKPTEKQLTISVSAKAKINISVDDSMDCGGNLFTDLKCPDVGKGCFLFKDCSYLLVNPKNADEQSKFLLQETLKIYTKELPTMNYAANTGRESLFLEKSLLNGKYNTLILRSNSCNTLEEVVAAVSYQIIPANTQYAEIPLAAVSSSYQNKGIGKLLFKELRDRLQKVGISTILCWGDDESEGFWLKQGFMSIGKVDHKGKACKLPIKPNIRRALCFPGGSTLMIAHLKNDIGLDDAPRCNLCISSEVGALLPYDCVNTNPGLVKAKLEGSPWSGTVDKHFIHQIQGKSPCHVSVKSGFCEDKQAAEGISAGAGRMPCCGKLVSCSKGWCNATENDVVLEDTVYDDADGSAYNSGKGVKRQISEALSSSLKSKRVRGGHHIQCCPCSSQIIPYDGLSKLDSDTRPLGILPMELCDAEMSKDLNDVTHVGSDAKGNNSLADILVSDVLCAKIMFMNIADEMKKASLVKVVHELGGSVTCDGNSSTHVIAGKVRRTLNFSTALCSGAWIVSPNWLKASFRERKFLGEEHYILEDEDYLSKYKCKLKDAVLRAKANPRSLLNGYHFCLARHIQPSFDILSTIIESAGGDVIAKLANIKEPSRTIFLACEEDMTEALAAAKKGVRTFSSDWFMNCIMRQELDLEGPQFAESL >DRNTG_21486.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10379163:10386243:-1 gene:DRNTG_21486 transcript:DRNTG_21486.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGKVDHKGKACKLPIKPNIRRALCFPGGSTLMIAHLKNDIGLDDAPRCNLCISSEVGALLPYDCVNTNPGLVKAKLEGSPWSGTVDKHFIHQIQGKSPCHVSVKSGFCEDKQAAEVGISAGAGRMPCCGKLVSCSKGWCNATENDVVLEDTVYDDADGSAYNSGKGVKRQISEALSSSLKSKRVRGGHHIQCCPCSSQIIPYDGLSKLDSDTRPLGILPMELCDAEMSKDLNDVTHVGSDAKGNNSLADILVSDVLCAKIMFMNIADEMKKASLVKVVHELGGSVTCDGNSSTHVIAGKVRRTLNFSTALCSGAWIVSPNWLKASFRERKFLGEEHYILEDEDYLSKYKCKLKDAVLRAKANPRSLLNGYHFCLARHIQPSFDILSTIIESAGGDVIAKLANIKEPSRTIFLACEEDMTEALAAAKKGVRTFSSDWFMNCIMRQELDLEGPQFAESL >DRNTG_21486.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10379163:10386243:-1 gene:DRNTG_21486 transcript:DRNTG_21486.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSTLPLHGFMSIGKVDHKGKACKLPIKPNIRRALCFPGGSTLMIAHLKNDIGLDDAPRCNLCISSEVGALLPYDCVNTNPGLVKAKLEGSPWSGTVDKHFIHQIQGKSPCHVSVKSGFCEDKQAAEVGISAGAGRMPCCGKLVSCSKGWCNATENDVVLEDTVYDDADGSAYNSGKGVKRQISEALSSSLKSKRVRGGHHIQCCPCSSQIIPYDGLSKLDSDTRPLGILPMELCDAEMSKDLNDVTHVGSDAKGNNSLADILVSDVLCAKIMFMNIADEMKKASLVKVVHELGGSVTCDGNSSTHVIAGKVRRTLNFSTALCSGAWIVSPNWLKASFRERKFLGEEHYILEDEDYLSKYKCKLKDAVLRAKANPRSLLNGYHFCLARHIQPSFDILSTIIESAGGDVIAKLANIKEPSRTIFLACEEDMTEALAAAKKGVRTFSSDWFMNCIMRQELDLEGPQFAESL >DRNTG_02540.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8268033:8268864:1 gene:DRNTG_02540 transcript:DRNTG_02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding DVGSFERLANEDEEDEACGGSSAGVSRELVGSGVSSKSATRLAKC >DRNTG_02871.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:8742032:8744965:1 gene:DRNTG_02871 transcript:DRNTG_02871.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQFTNGEKKDELQPKRSASARSSSTTSTDRDMRRSGSEFNSQNVSDLSTDSMGRSPFPSLAQRPNNLKIFTFSELKSATKNFSRSLMLGEGGFGSVYRGSIRSSEDPNARIEIAVKQLSRKGLQGHKEWLTEVNVLGIVEHPNLVKLIGYCAEDDERGVQLLLIYEFMPNRSVEDHLSIRSTTHLSWARRLRIALDAARGLTYLHEEMDFQIIFRDFKTSNILLDENWNAKLSDFGLARQGPAEGLSHVSTAVVGTIGYAAPEYMQTGRLTTKSDIWSFGVVLYELITGRRPLDRNRPRGEQKLLEWVKPYISDIKKFRMIIDPRIETNYSLKSAMRLAAVANRCLMRQPKTRPKMSEVLEMVQRVVETAECGAPELPMTIKTVDDQKSNEERKKRGLSLKRLGMSMVPKRSGESKMSDGRRLVWHGWTPKLVKIN >DRNTG_02871.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:8742032:8744965:1 gene:DRNTG_02871 transcript:DRNTG_02871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQFTNGEKKDELQPKRSASARSSSTTSTDRDMRRSGSEFNSQNVSDLSTDSMGRSPFPSLAQRPNNLKIFTFSELKSATKNFSRSLMLGEGGFGSVYRGSIRSSEDPNARIEIAVKQLSRKGLQGHKEWLTEVNVLGIVEHPNLVKLIGYCAEDDERGVQLLLIYEFMPNRSVEDHLSIRSTTHLSWARRLRIALDAARGLTYLHEEMDFQIIFRDFKTSNILLDENWNAKLSDFGLARQGPAEGLSHVSTAVVGTIGYAAPEYMQTGRLTTKSDIWSFGVVLYELITGRRPLDRNRPRGEQKLLEWVKPYISDIKKFRMIIDPRIETNYSLKSAMRLAAVANRCLMRQPKTRPKMSEVLEMVQRVVETAECGAPELPMTIKTVDDQKSNEERKKRGLSLKRLGMSMVPKRSGESKMSDGRRLVWHGWTPKLVKIN >DRNTG_11356.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23762367:23764448:-1 gene:DRNTG_11356 transcript:DRNTG_11356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKPHSWRPFGQASLSSSLLSKSRQGGKQSISLSEFLDKKISKSSKKSIQEKQRCFASIGSVAKDSKNKSNDFVLDEDVFKQFRHSDGEIVRDEGENFDVESTKGEQNSNKRETLSGEVERTCCPKYMVVLGDDPKPKLRRGKERFEDHKEKLVYNHYENGSGCWDGDREGLDTEEVGNNEAWEGMGSTTLGGLEWH >DRNTG_16551.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8578341:8588870:1 gene:DRNTG_16551 transcript:DRNTG_16551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGCRVVVLEDEAMACGKFPLVVVIEGGQMSALSKDMPRSMPHVIAVNGVCSGYQIVTKIKGTRMRCLNIEVALLHGDLDGTSPRVMARCNLIFQNEALDCYALSLSVIAHVRKYFSSYSSLGGRNLLLNNFSSTDSPFLFSAAVWNRDAVQSGVCFHLSNYNFSLACAGCCFTQAESDIEADALVLRTAAVFDGLTNPLQTYFCGQ >DRNTG_23730.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16840932:16842199:-1 gene:DRNTG_23730 transcript:DRNTG_23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGRLWNKINTMSSIAAPPSQSISIRVFASELCVENINYEPLIKDLSFRCQIIGHHGTLKNLKESRLIGRDPPLVTPHPRSNAPVKGEYSEKHSINSWTRE >DRNTG_06120.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:12129588:12133213:1 gene:DRNTG_06120 transcript:DRNTG_06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALHLGKSLISVDTQYLGGDESFVDLCGKLLDGLHDSIPFLPLDLRFRSTFSPCLEALDIGFSLNGHLYMFNGHFSELLKIGLVDYIAVAPVKHATFIPHTAGRYSIKRFRIA >DRNTG_23593.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:650081:651295:-1 gene:DRNTG_23593 transcript:DRNTG_23593.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSDVFQGILGSKLKKDNCHLPKIHIYGFSKAENPEFDFHERINKVLCDKVIEIDMHRVRLVAPGKWMLCGSFILPPSIAFAD >DRNTG_23593.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:650081:651295:-1 gene:DRNTG_23593 transcript:DRNTG_23593.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSDVFQGILGSKLKKDNCHLPKIHIYGFSKAENPEFDFHERINKVLCDKVIEIDMHRVRLVAPGKWMLCGSFILPPSIAFAD >DRNTG_23593.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:650081:651295:-1 gene:DRNTG_23593 transcript:DRNTG_23593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSDVFQGILGSKLKKDNCHLPKIHIYGFSKAENPEFDFHERINKVLCDKVIEIDMHRVRLVAPGKWMLCGSFILPPSIAFAD >DRNTG_30984.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18773466:18773839:-1 gene:DRNTG_30984 transcript:DRNTG_30984.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPHHPKNAKSFKLAKSPSTAPSPSSSFLIWFQSNNNKDDR >DRNTG_31077.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30763752:30767250:-1 gene:DRNTG_31077 transcript:DRNTG_31077.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRNSLLVFVFVALVSIVSAEVYFEERFDDGWDSRWVKSDWKRDENTAGEWNHTSGKWNGDANDKGIQTTEDYRFYAISAEFPEFSNKDKTLVFQFSVKHEQKLDCGGGYMKLLSGDVDQKKFGGDTPYSIMFGPDICGYSTKKVHAILNHNDTNHLIKKDVTCMTDQLSHVYTFIIRPDSTYSILIDNEEKQSGNITSDWDILPPKKIKDPEAKKPEDWEDKEYITDPEDKKPEGYDDIPKEIPDPEAVKPEDWNDEEDGEWTAPTIPNPEYKGPWTPKKIKNPNYKGKWKAPMIDNPEYKEVPDLYVFPKLKYVGIELWQVKSGTLFDNVLVCDDPEYAKQLAEETWGKQKDAEKAAFDEAEKKKEEEENSKDDDDASDDEGDETDAESETDEKEADAEPEEEAEKEDDKKHDEL >DRNTG_01629.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1044916:1048191:-1 gene:DRNTG_01629 transcript:DRNTG_01629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLMAGRMPLTRLSWLTCFCLLWTTGHPSSILLISGDVDFAPALHILGQRGYTVILVIPAGVGVSSALSNAGRFVWDWPSVARGEGFVPPKHYTPRATDLSGYLMNCNVSESHDCQNEEEAIVYRGASQSEYNTRANFNQMYPRNSSYMCKEPSRTSYSYAEYGSNNYSTTPFHTSSRSQSLPSSLCEAPNGDQSGMEDLSWVQPGDIQGLKGQIFRLLEMSGGTMPLVRIPSEYLKIFGRPLYMAEYGAYKLVNLIRKMGDCFLVVGKGPKKWVCLRNPEDLQLKKCQSTPTILRKDKKEKGPLDENVDSTVNPNTGSSSDGFSDDAVCGLDERIVDSALSVTYEYDSQFEALKQEVQELLVCYSCPVPFW >DRNTG_01629.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1044916:1046994:-1 gene:DRNTG_01629 transcript:DRNTG_01629.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLMAGRMPLTRLSWLTCFCLLWTTGHPSSILLISGDVDFAPALHILGQRGYTVILVIPAGVGVSSALSNAGRFVWDWPSVARGEGFVPPKHYTPRATDLSGYLMNCNVSESHDCQNEEEAIVYRGASQSEYNTRANFNQMYPRNSSYMCKEPSRTSYSYAEYGSNNYSTTPFHTSSRSQSLPSSLCEAPNGDQSGMEDLSWVQPGDIQGLKGQIFRLLEMSGGTMPLVRIPSEYLKIFGRPLYMAEYGAYKLVNLIRKMGDCFLVVGKGPKKWVCLRNPEDLQLKKCQSTPTILRKDKKEKGPLDENVDSTVNPNTGSSSDGFSDDAVCGLDERIVDSALSVTYEYDSQFEALKQEVQELLVCYSCPVPFW >DRNTG_27384.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1270805:1271052:-1 gene:DRNTG_27384 transcript:DRNTG_27384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEKAFNSDLLLHEKASPSKSHAEPHHNFYPNLSRPAKFSGLHSGPRPMFFDVKPWFE >DRNTG_24221.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22650860:22653709:-1 gene:DRNTG_24221 transcript:DRNTG_24221.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic checkpoint protein BUB3.3 [Source:Projected from Arabidopsis thaliana (AT1G69400) UniProtKB/Swiss-Prot;Acc:F4I241] MGKHGDTATCVEYSDGTDQVISAGLDKKLRFWDPKEKCENGGSKSVDSEVWSMSLCGVYVLAAVGVMVDAYDLRNLKGPVQSKDCSMDYQIQCVRSFFDLQGFGVGSIDGRVALKFLDQSKSGAMGCAFRCHPKLRDRKYHLVSVNDIAFHPCLDTFVTGDNEGYAIIWDAQSRKKLYEFERYSNSVACLSYNHNGQLLAVASSHTYQEAKETEGASQIFIHKTSNLAMSKSPQQVEESDRF >DRNTG_24221.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22650860:22654471:-1 gene:DRNTG_24221 transcript:DRNTG_24221.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic checkpoint protein BUB3.3 [Source:Projected from Arabidopsis thaliana (AT1G69400) UniProtKB/Swiss-Prot;Acc:F4I241] MAGSPLEVGNPIGDAISRVRFAPRSNNLLSSSWDSFLRLYDVDGSALRVQAFAEGALLDCCFEDESFALSSGSDGCIRRYDLNSGAQSVMGKHGDTATCVEYSDGTDQVISAGLDKKLRFWDPKEKCENGGSKSVDSEVWSMSLCGVYVLAAVGVMVDAYDLRNLKGPVQSKDCSMDYQIQCVRSFFDLQGFGVGSIDGRVALKFLDQSKSGAMGCAFRCHPKLRDRKYHLVSVNDIAFHPCLDTFVTGDNEGYAIIWDAQSRKKLYEFERYSNSVACLSYNHNGQLLAVASSHTYQEAKETEGASQIFIHKTSNLAMSKSPQQVEESDRF >DRNTG_24616.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31084164:31089436:-1 gene:DRNTG_24616 transcript:DRNTG_24616.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHKKSKDGNMVLPFSRSNSKVVDAEQNPTDMKETKDSKKEEEGKSGSVPFYKLFSFADSIDVVLMILGTVGAVANGSALPLTTLLFGNLINSFGGNKNDHSVLHQVSKVALQFVYLAIGAGVASFLQVACWMATGERQAARMRNLYLQAILRQEIAFFDKEANTGEIVGRLSGDTVLIQDAMGEKAGKFIQLISTFIGGFTVAFVQGWLLTLVMLSTIPPLVVAGAAMSTVISKMASRGQTAYAEASVIVEQTISSIRIVASFTGEKQSVNKYSSSLRGAYNATVQEGLAAGLGLGTASCVMFCGYALGVWYGSKLILNNNYSGGDVITAIFAVLTGSLSLGQASPCLSSFAAGQAAVFKMFETINRKTEIDAYDTRGKKLDDIHGDIEFKNVCFCYPSRPDEEIFRDLSLFIERGNTVALVGESGSGKSTVISLIERFYDPKSGEVLIDGINIKDFQLRWIRGKIGLVSQEPVLFSCSIRDNIAYGRDDATTEEIIAASELANASKFINSMPQGIDTMVGELGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERVVQEALDRVMTNRTTVIVAHRLSTVRKADTITVLHGGKIVEKGSHSELLDDRNGAYSQFIRLQELDQELNHSVQSEDDKFITLVEAGRQLSSQTSLHRSISRGSFGSSSRRSLSIPFGIPIGLDIQDGGPEDPDMEMPCKQPPVPLQRLVHLNKPELPVLILGAAAAIVSGVLLPIFGLLLSNIIETFYQPPPKLKRDAKFWSAMFVATGVIYLLALPTKSYFFAVAGAKLIRRIRLMTFEKLVHMEMAWFDDPVNSSAAIGARLSADAATVRCLVGDALALLVQNTATLLAGLVIAFVANWQLSLIILALIPLIGLNGWIQIEFMKGFSADAKIMYEEASQVANDAVGSIRTVASFSAEEKVTELYKRKCNGPTVTGIRQGLISGIGFGLSFFLLYSVYALSFYAGARLVEDGKTSFPKVFRVFFALLMAAIGISQSSSVAPDSSKANSATASVFAILDRKSIVDPSDESGMKLESLRGNIEFRHVNFRYPLRHDVQIFQDLNLIIQSGKTVALVGESGSGKSTALALLQRFYEPDSGQILVDGTEIDKFQVKWLRQQMGLVSQEPVLFNDAIRANIAYGKEGKATEAEIIAAAELASAHRFISSLQQGYDTLVGERGIQLSGGQKQRVAIARAIVKEPKILLLDEATSALDIESERIVQEALEQVMKNRTTVVVAHRLCTIKNADLIAVIKNGAVVEKGKHETLMNIKDGAYASLVALQSKSYYSS >DRNTG_27786.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3298576:3300270:-1 gene:DRNTG_27786 transcript:DRNTG_27786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPPRRQEVGPTTSPPSSHRKAQYKHKRTPCQWLRAPLDQG >DRNTG_18871.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2363353:2365465:-1 gene:DRNTG_18871 transcript:DRNTG_18871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKENQKVLIFDTEEELSISLAEHTAKLSNQITSHSATFTVVLSGGTLIDSLRKLVGSPYVDSVDWAKWHVFWVDERVVPKDHPDSNYKLALDGFLSKVPIPADQVYAINDTLPPEGAADDYEACLKYLVRTGILKVSATTGFPIFDLMLLGMGPDGHIASLFPGHPLLNEKERWVTYIKDSPKLPPQRITFTFPVINVSANIFLVVTGAELADAVKKALGHDHYNSESGALLPVQRVSLENGEFTWFADKDATSKLEIK >DRNTG_17588.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3498902:3500502:1 gene:DRNTG_17588 transcript:DRNTG_17588.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEHHHHHHHHQPLHIAVFPWLAFGHMMPFLELSKTLASKGHHISYLTTPTNISKLSSSSLSPLITFIPLPLPPNPNLPPLAGATSDVSPDQVQHLKLSLDSLHLPFTTFLHESSPKPNWLLLDFATPWAIPIASTFSIPCAFFSIFTASFLSFIGPSSELTDDKGSRTSLENFTLKPNWIPFPSNLSYSLHGAKTLMAIYENNITGISDISRYRKTIEGCEAFCIRTCMEVEGEFVKLLRDLHQKPVLPLGLLAPSREAVGKMMINEEEMFAWLDMQKIRSVVYVAFGSEATLSLELLHELALGLELLLGKMK >DRNTG_29891.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4880417:4883195:1 gene:DRNTG_29891 transcript:DRNTG_29891.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFLLLLLISIPFASPDPVLLDRYDAQLRRLESLVDSLSHAVARLESSLASPVPIRQHEMPSPTATGVAVTKLKPAWSDRFQFSAAARLESDPTCAAVLPYEDLEGISKYFAVGDSHGRVYVFSSSGDVLMELPSPSDSPVTAMLSYLSSRRNDSLLFAGHADGSLVAHRLSETIGAGDEWPSLSVGNTRPFVRAAARELDSPPVLGLELHQAGRARYVLASDAGGRIRVFTENGTLYGTAIASSRPLAFAKHRLLFLTETGAGSLDLRSMVIREVDCEGLNGTLASAYSFDVLERAKAYGFTSGGDLIHVVLLGDVANLKCRVRAIRKAEVQGPVCIQAIRGYLLAVSQDNVFVYNVSSQYYGRAGAPRPLFSVTIQEVKSLFLNSDSVQDGSFVEIKPLIAADREKLVVLGLGGGYIGIYKSNFPVFKAESNAVVWSAPSLLFLLFLIGIWQFYVKKKDSLGWVPDDSFNTTGVGSSSGLLSTGATDRAFGDASRPAERRELRGGTLRGPSRRYVSPPSRYPGGSGIPYRPASADPGFRGPSELKYRAQSIEPSGFAKRREPLFPAAQVSEDHID >DRNTG_29891.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4880385:4883195:1 gene:DRNTG_29891 transcript:DRNTG_29891.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFLLLLLISIPFASPDPVLLDRYDAQLRRLESLVDSLSHAVARLESSLASPVPIRQHEMPSPTATGVAVTKLKPAWSDRFQFSAAARLESDPTCAAVLPYEDLEGISKYFAVGDSHGRVYVFSSSGDVLMELPSPSDSPVTAMLSYLSSRRNDSLLFAGHADGSLVAHRLSETIGAGDEWPSLSVGNTRPFVRAAARELDSPPVLGLELHQAGRARYVLASDAGGRIRVFTENGTLYGTAIASSRPLAFAKHRLLFLTETGAGSLDLRSMVIREVDCEGLNGTLASAYSFDVLERAKAYGFTSGGDLIHVVLLGDVANLKCRVRAIRKAEVQGPVCIQAIRGYLLAVSQDNVFVYNVSSQYYGRAGAPRPLFSLIAADREKLVVLGLGGGYIGIYKSNFPVFKAESNAVVWSAPSLLFLLFLIGIWQFYVKKKDSLGWVPDDSFNTTGVGSSSGLLSTGATDRAFGDASRPAERRELRGGTLRGPSRRYVSPPSRYPGGSGIPYRPASADPGFRGPSELKYRAQSIEPSGFAKRREPLFPAAQVSEDHID >DRNTG_20876.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1662857:1667533:-1 gene:DRNTG_20876 transcript:DRNTG_20876.11 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPAPWEASLAISSDDSSGSDSEDSKDATSEAASARKKRQGVIDFEALSKHGYKGGPSILKVPDRKVDDKEQDWSWSTGRKNNEDNKTSEESFEEREWTRAAANQGENLQIVTANLQAKQIEREKKSQSYSQKEKRKRDLGQASRGKNYVEEEKRVLRESGIYSGFDA >DRNTG_20876.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1662561:1667533:-1 gene:DRNTG_20876 transcript:DRNTG_20876.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPAPWEASLAISSDDSSGSDSEDSKDATSEAASARKKRQGVIDFEALSKHGYKGGPSILKVPDRKVDDKEQDWSWSTGRKNNEDNKTSEESFEEREWTRAAANQGENLQIVTANLQAKQIEREKKSQSYSQKEKRKRDLGQASRGKNYVEEEKRVLRESGIYSGFDA >DRNTG_20876.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1662761:1667533:-1 gene:DRNTG_20876 transcript:DRNTG_20876.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPAPWEASLAISSDDSSGSDSEDSKDATSEAASARKKRQGVIDFEALSKHGYKGGPSILKVPDRKVDDKEQDWSWSTGRKNNEDNKTSEESFEEREWTRAAANQGENLQIVTANLQAKQIEREKKSQSYSQKEKRKRDLGQASRGKNYVEEEKRVLRESGIYSGFDA >DRNTG_20876.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1663643:1667907:-1 gene:DRNTG_20876 transcript:DRNTG_20876.14 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPAPWEASLAISSDDSSGSDSEDSKDATSEGALIRKAEMYQDFMKSIPIPTCRRSVIPFTSWQGLGGSVKALYGQPLHYLTNKLLIEWDHSRVGSNDMCQPLDTIIHPLKAEALIWVTEEVHRLTTSPQYLASLWTSNLMYHAHIDPIFP >DRNTG_20876.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1663643:1667907:-1 gene:DRNTG_20876 transcript:DRNTG_20876.13 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPAPWEASLAISSDDSSGSDSEDSKDATSEGALIRKAEMYQDFMKSIPIPTCRRSVIPFTSWQGLGGSVKALYGQPLHYLTNKLLIEWDHSRVGSNDMCQPLDTIIHPLKAEALIWVTEEVHRLTTSPQYLASLWTSNLMYHAHIDPIFP >DRNTG_20876.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1662761:1667533:-1 gene:DRNTG_20876 transcript:DRNTG_20876.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPAPWEASLAISSDDSSGSDSEDSKDATSEAASARKKRQGVIDFEALSKHGYKGGPSILKVPDRKVDDKEQDWSWSTGRKNNEDNKTSEESFEEREWTRAAANQGENLQIVTANLQAKQIEREKKSQSYSQKEKRKRDLGQASRGKNYVEEEKRVLRESGIYSGFDA >DRNTG_20876.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1662857:1667629:-1 gene:DRNTG_20876 transcript:DRNTG_20876.10 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPAPWEASLAISSDDSSGSDSEDSKDATSEAASARKKRQGVIDFEALSKHGYKGGPSILKVPDRKVDDKEQDWSWSTGRKNNEDNKTSEESFEEREWTRAAANQGENLQIVTANLQAKQIEREKKSQSYSQKEKRKRDLGQASRGKNYVEEEKRVLRESGIYSGFDA >DRNTG_20876.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1663643:1667533:-1 gene:DRNTG_20876 transcript:DRNTG_20876.18 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPAPWEASLAISSDDSSGSDSEDSKDATSEGALIRKAEMYQDFMKSIPIPTCRRSVIPFTSWQGLGGSVKALYGQPLHYLTNKLLIEWDHSRVGSNDMCQPLDTIIHPLKAEALIWVTEEVHRLTTSPQYLASLWTSNLMYHAHIDPIFP >DRNTG_20876.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1663643:1667629:-1 gene:DRNTG_20876 transcript:DRNTG_20876.15 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPAPWEASLAISSDDSSGSDSEDSKDATSEGALIRKAEMYQDFMKSIPIPTCRRSVIPFTSWQGLGGSVKALYGQPLHYLTNKLLIEWDHSRVGSNDMCQPLDTIIHPLKAEALIWVTEEVHRLTTSPQYLASLWTSNLMYHAHIDPIFP >DRNTG_20876.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1663643:1667533:-1 gene:DRNTG_20876 transcript:DRNTG_20876.17 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPAPWEASLAISSDDSSGSDSEDSKDATSEGALIRKAEMYQDFMKSIPIPTCRRSVIPFTSWQGLGGSVKALYGQPLHYLTNKLLIEWDHSRVGSNDMCQPLDTIIHPLKAEALIWVTEEVHRLTTSPQYLASLWTSNLMYHAHIDPIFP >DRNTG_20876.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1662561:1667629:-1 gene:DRNTG_20876 transcript:DRNTG_20876.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPAPWEASLAISSDDSSGSDSEDSKDATSEAASARKKRQGVIDFEALSKHGYKGGPSILKVPDRKVDDKEQDWSWSTGRKNNEDNKTSEESFEEREWTRAAANQGENLQIVTANLQAKQIEREKKSQSYSQKEKRKRDLGQASRGKNYVEEEKRVLRESGIYSGFDA >DRNTG_20876.20.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1662857:1663581:-1 gene:DRNTG_20876 transcript:DRNTG_20876.20 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSKTGPGRLAGRTMKTIKPQRSPLKSGSGLELLQIKVRTFKLSQQICRRSRLRGRRRASLTRRRRRGRGILVRQAEERTMLRKRRGYSGKVVYTQVSTHDLMQLLDLVGGSLVKIRCMHDYSNMF >DRNTG_20876.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1662857:1667907:-1 gene:DRNTG_20876 transcript:DRNTG_20876.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPAPWEASLAISSDDSSGSDSEDSKDATSEAASARKKRQGVIDFEALSKHGYKGGPSILKVPDRKVDDKEQDWSWSTGRKNNEDNKTSEESFEEREWTRAAANQGENLQIVTANLQAKQIEREKKSQSYSQKEKRKRDLGQASRGKNYVEEEKRVLRESGIYSGFDA >DRNTG_20876.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1662561:1667629:-1 gene:DRNTG_20876 transcript:DRNTG_20876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPAPWEASLAISSDDSSGSDSEDSKDATSEAASARKKRQGVIDFEALSKHGYKGGPSILKVPDRKVDDKEQDWSWSTGRKNNEDNKTSEESFEEREWTRAAANQGENLQIVTANLQAKQIEREKKSQSYSQKEKRKRDLGQASRGKNYVEEEKRVLRESGIYSGFDA >DRNTG_20876.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1662761:1667629:-1 gene:DRNTG_20876 transcript:DRNTG_20876.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPAPWEASLAISSDDSSGSDSEDSKDATSEAASARKKRQGVIDFEALSKHGYKGGPSILKVPDRKVDDKEQDWSWSTGRKNNEDNKTSEESFEEREWTRAAANQGENLQIVTANLQAKQIEREKKSQSYSQKEKRKRDLGQASRGKNYVEEEKRVLRESGIYSGFDA >DRNTG_20876.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1662857:1667533:-1 gene:DRNTG_20876 transcript:DRNTG_20876.12 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPAPWEASLAISSDDSSGSDSEDSKDATSEAASARKKRQGVIDFEALSKHGYKGGPSILKVPDRKVDDKEQDWSWSTGRKNNEDNKTSEESFEEREWTRAAANQGENLQIVTANLQAKQIEREKKSQSYSQKEKRKRDLGQASRGKNYVEEEKRVLRESGIYSGFDA >DRNTG_20876.19.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1662761:1663581:-1 gene:DRNTG_20876 transcript:DRNTG_20876.19 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSKTGPGRLAGRTMKTIKPQRSPLKSGSGLELLQIKVRTFKLSQQICRRSRLRGRRRASLTRRRRRGRGILVRQAEERTMLRKRRGYSGKVVYTQVSTHDLMQLLDLVGGSLVKIRCMHDYSNMF >DRNTG_20876.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1662561:1667533:-1 gene:DRNTG_20876 transcript:DRNTG_20876.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPAPWEASLAISSDDSSGSDSEDSKDATSEAASARKKRQGVIDFEALSKHGYKGGPSILKVPDRKVDDKEQDWSWSTGRKNNEDNKTSEESFEEREWTRAAANQGENLQIVTANLQAKQIEREKKSQSYSQKEKRKRDLGQASRGKNYVEEEKRVLRESGIYSGFDA >DRNTG_20876.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1663643:1667629:-1 gene:DRNTG_20876 transcript:DRNTG_20876.16 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPAPWEASLAISSDDSSGSDSEDSKDATSEGALIRKAEMYQDFMKSIPIPTCRRSVIPFTSWQGLGGSVKALYGQPLHYLTNKLLIEWDHSRVGSNDMCQPLDTIIHPLKAEALIWVTEEVHRLTTSPQYLASLWTSNLMYHAHIDPIFP >DRNTG_20876.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1662857:1667629:-1 gene:DRNTG_20876 transcript:DRNTG_20876.9 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPAPWEASLAISSDDSSGSDSEDSKDATSEAASARKKRQGVIDFEALSKHGYKGGPSILKVPDRKVDDKEQDWSWSTGRKNNEDNKTSEESFEEREWTRAAANQGENLQIVTANLQAKQIEREKKSQSYSQKEKRKRDLGQASRGKNYVEEEKRVLRESGIYSGFDA >DRNTG_07286.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3648071:3651184:1 gene:DRNTG_07286 transcript:DRNTG_07286.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLESGVGYVLIALGPTLSLFVSVIAQKPFLILTLLSSTLFWLISLIVLSGAWRGFLPLKTSSLWAYAFLLLTSVGFQEAVRVLFWKLYKKLEGMLDAFADRISKPRLVLADKMLISLTGGLGHGVAHAVFFSLSLLTPAFGPATFFIDKCSSMPFFLVSAIMSLGFTIIHTFCMVIAFEGYAGGTKTDQVFVPIIHLIAAMLTLVNLAPGGCVVGVPLLCFVAALTAQHCWRIVWRRLAEHRHRTQSNS >DRNTG_06919.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:21941263:21945002:1 gene:DRNTG_06919 transcript:DRNTG_06919.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSRNRGFLVLLGLLISGCLFAFSIAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNAERTIFDVKRLIGRKFEDKEVQKDMKLVPYKIVNKDNKPYIQVKIKDGETKVFSPEEISAMVLTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGIIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGEDFDQRIMEYFIKLIKKKHGKDISKDNRALGKLRREAERAKRALSNQHQVRVEIESLYDGMDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLEKNQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAYGAAVQGSILSGEGGEETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSLTKDCRMLGKFDLSGIPPAPRGTPQIEVTFEVDANGILNVRAEDKGTGKSEKITITNDKGRLSQEEIDRMVREAEEFAEEDKKVKEKIDARNQLETYVYNMKNTINDKDKLADKLESEEKEKVDAALKEALEWLDDNQNAEKEDYDEKLKEVEAVCNPIISAVYQRSGDAPGGASSEGEDDDSHDEL >DRNTG_14520.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:8237806:8261292:1 gene:DRNTG_14520 transcript:DRNTG_14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase B complex catalytic subunit NAA20 [Source:Projected from Arabidopsis thaliana (AT1G03150) UniProtKB/Swiss-Prot;Acc:Q8LGI8] MTTIRRFCCDDLLRFAPVNFDHLTETFNMSFYMTYLARWPDYFHVAEAPGGRIMGYIMGKVEGQGESWHGHVTAVTVASEYRRQQLAKKLMNMLEDISDKMDKAYFVDLFVRASNMPAIKMYEKLGYVIYRRVLRYYSGEEDGLDMRKALSRDVKKKSIIPLKRPVTPDELEYD >DRNTG_05420.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5119553:5194030:1 gene:DRNTG_05420 transcript:DRNTG_05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSQGHPQGRVHAPVCSRENLPNSARRRNGGHEDTIWAKKRRKPGREIESWHTASMLPGIEPGIITVAQRKLI >DRNTG_02480.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2196793:2197611:-1 gene:DRNTG_02480 transcript:DRNTG_02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASIEITLISARDLKDVNIFSRLEVYAIAWLSSDPRTRHRTAPDRESRRNPNWNTTFRFAVPSIPSATSATLHVLLRTRRSLGDRDVGEVHVPLSELLSSPAGDSSSSLSYQVRRPSSRRPKGVLHLSYKLSDPMPNPNPNPNPNSKSNENGTVYPTPPAAKPVEPVTAYPASAYPPPSYGGYPAYPAPPYGYPPQAGAPGYGYPPAGYGYTPPPPAAGRGMGGGGGLGLGLGAGLIGGTLGGLLMGDLISDSAAYDAGYDAGFDDGFDF >DRNTG_18925.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12757357:12759189:1 gene:DRNTG_18925 transcript:DRNTG_18925.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEF20 [Source:Projected from Arabidopsis thaliana (AT3G18970) UniProtKB/TrEMBL;Acc:A0A178VB66] MYFQSKSIASLAPKLLQTQTQRQIEERFISLVQSCRNLEKLHQIQAQSITHGFFQSPFLAPKIIIAFFHLQKPNLALQSFQQIQQPNAVLWNVMFKGYTEIELHAETLRLFVCMRKRDVVPNEYTFTFVIKSCARTLRWRVGEEVHCIAIKTGYESNGFVGTTLIDMYSSVGVIESARKVFCEMPERNVVAWTSMVSAYISIGDLEIAQVVFEWANERDAILWNSMICGYIERGNMEAARELFDQMPGNDRDVMTWNTVLLGYSNSGDIEACERFFEEIPEKNVFSWNGLIGGYMRRGWFLKVLCVFDRMLRSPTATLNDATLVAVLSACAKLGAVNIGRWIHVFAETSGFGANLYVGNGLIDMYSKCGCIMDAMLIFRSMETKDVVTMNAMIGGLATHGRGLEALELFDQMLQEGERPDGITFVGALSACVHAGMVEKGYSYFRLMTEDYLITPWIEHYGCMVDLLGRAGLLNEAIEFTRRMPMKPDSVIWSALLGVCQVHNDVHLAKLVMNKIIKLKPEDVTNYVVLSNIYGTAGMWREVAGLKCLTRNTGNAKLPGCSFVEVGFQVVEFCSFDARHCKTPDIYQVLHGLKELLKSAGREPNVYEACE >DRNTG_28894.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:624277:635231:-1 gene:DRNTG_28894 transcript:DRNTG_28894.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-chloride cotransporter 1 [Source:Projected from Arabidopsis thaliana (AT1G30450) UniProtKB/Swiss-Prot;Acc:Q2UVJ5] MIVHFVSALVGLFFPAVTGIMAGSNRSASLKDTQRSIPIGTLAATLTTTSLYLISVILFGSLATREELLTNRLLTAEVAWPIPAIIYVGIILSTLGAALQSLTGAPRLLAAIANDDILPVLKYFRVSEGDEPHIATLFTAFICISCVIIGNLDLITPTITMFFLLCYSGVNVSCFLLDLLDAPSWRPRWKFHHWSLSLLGALVCIVIMFLISWAFTVVSLALATLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFFSIIDGDYHELAEDAKTACRQLSTYIDYKRCEGVAEIIVAPTMSDGFRGIVQTMGLGNLKPNIIVMRYPEIWRRENLTQIPSTFVSIINDCIIANKAVVIVKGLDEWPGEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTEAEELKADVKKFLYDLRMQAEVIVITMKSWEAHMDSAPQQDESVEAFTSAQRRIAVYLEEMKETARKEGKPLMADGKQVVVNEQQVDKFLYTTLKLNSTILRYSRMSAVVFVSLPPPPLNHPAYFYMEYMDLLVENVPRMLIVRGYRRDVVTLFT >DRNTG_28894.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:624277:635231:-1 gene:DRNTG_28894 transcript:DRNTG_28894.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-chloride cotransporter 1 [Source:Projected from Arabidopsis thaliana (AT1G30450) UniProtKB/Swiss-Prot;Acc:Q2UVJ5] MGVFVPCLQNILGIIYYIRFTWIVGMGGIGESLLLVAFCGTCTFLTGISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGSMYVLGAVETFLDAVPGAGFFRESVTIVSNSTAVPMNVTTTTVSTPSIHDLQLYGVVVTILLCFIVFGGVKIINKVAPTILIPVLFSLFCIFIGAFVAPRSNASSGITGLKLSTLKDNWSSDYQRTTNAGIPDLQGPIYWNFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPIGTLAATLTTTSLYLISVILFGSLATREELLTNRLLTAEVAWPIPAIIYVGIILSTLGAALQSLTGAPRLLAAIANDDILPVLKYFRVSEGDEPHIATLFTAFICISCVIIGNLDLITPTITMFFLLCYSGVNVSCFLLDLLDAPSWRPRWKFHHWSLSLLGALVCIVIMFLISWAFTVVSLALATLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFFSIIDGDYHELAEDAKTACRQLSTYIDYKRCEGVAEIIVAPTMSDGFRGIVQTMGLGNLKPNIIVMRYPEIWRRENLTQIPSTFVSIINDCIIANKAVVIVKGLDEWPGEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTEAEELKADVKKFLYDLRMQAEVIVITMKSWEAHMDSAPQQDESVEAFTSAQRRIAVYLEEMKETARKEGKPLMADGKQVVVNEQQVDKFLYTTLKLNSTILRYSRMSAVVFVSLPPPPLNHPAYFYMEYMDLLVENVPRMLIVRGYRRDVVTLFT >DRNTG_28894.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:624277:635231:-1 gene:DRNTG_28894 transcript:DRNTG_28894.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-chloride cotransporter 1 [Source:Projected from Arabidopsis thaliana (AT1G30450) UniProtKB/Swiss-Prot;Acc:Q2UVJ5] MENGEIESADEEMASSSGRQYRPVGSHDRAVIQMSSMESGSSPDIPKRKSSVATQVEIPGNAMEGSSPSHDGRNGTQKESKLELFGFDSLVNILGLKSMTGEQLPTPSSPRDGENISITLGRPKETGPKLGTLMGVFVPCLQNILGIIYYIRFTWIVGMGGIGESLLLVAFCGTCTFLTGISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGSMYVLGAVETFLDAVPGAGFFRESVTIVSNSTAVPMNVTTTTVSTPSIHDLQLYGVVVTILLCFIVFGGVKIINKVAPTILIPVLFSLFCIFIGAFVAPRSNASSGITGLKLSTLKDNWSSDYQRTTNAGIPDLQGPIYWNFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPIGTLAATLTTTSLYLISVILFGSLATREELLTNRLLTAEVAWPIPAIIYVGIILSTLGAALQSLTGAPRLLAAIANDDILPVLKYFRVSEGDEPHIATLFTAFICISCVIIGNLDLITPTITMFFLLCYSGVNVSCFLLDLLDAPSWRPRWKFHHWSLSLLGALVCIVIMFLISWAFTVVSLALATLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFFSIIDGDYHELAEDAKTACRQLSTYIDYKRCEGVAEIIVAPTMSDGFRGIVQTMGLGNLKPNIIVMRYPEIWRRENLTQIPSTFVSIINDCIIANKAVVIVKGLDEWPGEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTEAEELKADVKKFLYDLRMQAEVIVITMKSWEAHMDSAPQQDESVEAFTSAQRRIAVYLEEMKETARKEGKPLMADGKQVVVNEQQVDKFLYTTLKLNSTILRYSRMSAVVFVSLPPPPLNHPAYFYMEYMDLLVENVPRMLIVRGYRRDVVTLFT >DRNTG_11360.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23797598:23798103:1 gene:DRNTG_11360 transcript:DRNTG_11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDAATSDETTPHKCELELYLDEPRLDRKIELDVLKYWKGNEFRYPSVASMARDILMIPITTVASESAFSTGGRIFDQYRSALKPDVVEAWVCTRDWIYGLKDVLQNEVEELATMVELNPNDKAECPSSSTSVHLDK >DRNTG_05034.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5686824:5692482:1 gene:DRNTG_05034 transcript:DRNTG_05034.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRAPLTPPASPAPPSPPLELVDRVAKELPPQKCERHLRGRLGKVYLRGCRVVKPIIKERSQCGSQCTDFGGNLAKGTVANTVEVTVHSRPKMTVSENPHGRVEITHARVEIPHEHVEALSEKVDRILASLQQNIPCYNAYHPIEVGYPNVFWDNGEQHWEAPQEECETGEILGEDALQLQRVLANFIEASDVCVQNMETTLRCHEASFKNLEHQLGGILDTLSKEQQAFEQAIQVPCGDDVVVNNNEEVGQIEYIGIENEKGEAEYHFEILDSVNEDCACERENFQGDLLVSCSFQAKNTQEEVNPKVMEQALLFGIDQFINCKKEILGLGEDVGTRLKPSNDPPMLSLDNSQPKLFPWKPKSLQNILRHPEKTHGHVKFPHTRGFALRAHPEKAQGRAATPVNDHATVTHPWVISARACEFLQRLAVYPESTQGRGLALVGDLVNHTRALVIFARPCESLQRISPSREDTGACVCPYQILSSPKNENGCTSY >DRNTG_35035.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15361063:15364788:-1 gene:DRNTG_35035 transcript:DRNTG_35035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGVISTHRSLISMVAIILNRFKLDEAAGIQTFICTVPMFHIYGLAAFATGLLASGSTVVILSKFEMGDMLSAINKYNATFLPLVPPILVAMLAVSKPLPLGPLRKMLSGGAPLGKEVIEGFKERYPMIEILQGYGLTESTAIGASTDSSEESRRYGTAGMLSPNTEAKIVDPDTGRIITAQWSG >DRNTG_28993.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9155428:9156418:1 gene:DRNTG_28993 transcript:DRNTG_28993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLESDLNEIEHEINIAETNDLLGNCNDPSQNILPILYDKYCALQKQNSIMWAQRARLQRVNNGDFNTIFFIILSVFAVKLITSRIFLIVIFQPSGGY >DRNTG_34901.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002200.1:1678:2147:1 gene:DRNTG_34901 transcript:DRNTG_34901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRLRYLDESIDSGSISGRILPQSNVAKYCRSTVHSQPRKL >DRNTG_09303.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:895985:898769:1 gene:DRNTG_09303 transcript:DRNTG_09303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQQFFRYGYTTDSVINYDLTSISNPVFSEWTLNSLKFNLNGSPNSPLSGHSSTGESPSMPVGVHPPDSTQVTSEDIRHALQELETVLMAPDTDEQPMTTSTKTWAHLETLINSQPQARPHKRLKQFPDSCPHNGVKHLLIKCAEAMSDDRIEEFQYLVQEARCVVSIHGDPIQRLGAYMLEGLVARHEESGTNIYKGLKCHEPDSSRELLYCMRILYDMCPYFKFGYMAANGAIAEALRTEDRIHIIDFQIAQGTQWTTLIQALAARPGGPPHVRITGISQGDNLQLVGKILHDMSRKFNIPFEFNPLAVPCEVVTKDMLKIRGGEALAVNFTLQLHHTPDESVDVNNPRDGLLRMVKRLSPKVMTLVEQESNTNTSPFLTRFTETMDYYSAMMESIDDSLARESKERIGVEQHVVGVGVEEEEDLRAALDDLAKHGQLLG >DRNTG_09303.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:895985:897579:1 gene:DRNTG_09303 transcript:DRNTG_09303.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQQFFRYGYTTDSVINYDLTSISNPVFSEWTLNSLKFNLNGSPNSPLSGHSSTGESPSMPVGVHPPDSTQVTSEDIRHALQELETVLMAPDTDEQPMTTSTKTWAHLETLINSQPQARPHKRLKQFPDSCPHNGVKHLLIKCAEAMSDDRIEEFQYLVQEARCVVSIHGDPIQRLGAYMLEGLVARHEESGTNIYKGLKCHEPDSSRELLYCMRILYDMCPYFKFGYMAANGAIAEALRTEDRIHIIDFQIAQGTQWTTLIQALAARPGGPPHVRITGISQGDNLQLVGKILHDMSRKFNIPFEFNPLAVPCEVVTKDMLKIRGGEALAVNFTLQLHHTPDESVDVNNPRDGLLRMVKRLSPKVMTLVEQESNTNTSPFLTRFTETMDYYSAMMESIDDSLARESKERIGVEQHCMGKDIVNMIACEGKERVERHELLGKWRLRLCMAGFKQCPLSSYVNSVIKKLMACYSDKYTLVEKDGALLLGWKGRSLISASAWH >DRNTG_21153.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2292812:2297179:1 gene:DRNTG_21153 transcript:DRNTG_21153.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRRRPRRRPASPPQEPMDLEQEVLDQSNAEEQPDLATLTGIMRELMLMMRNQNHQHASRGSRDLLTEFGQHAPSFEGTTDLIVVEYWVKQIERTFRAMQSPDEDKVRLASYMLRNSAALWFERELRLKGEDAFKTWEQFKEAFYAKYFPLSRRAQMERQFLNLKQGSMTVEEYEAEFDRLSQFAPSLVEDENNKSYRFVEGLKNHIRRALVPFLRLPYVDVVGIAKDLEITWQETQDSGRREQQWNRGLNPWKSQSSGSSFGHSKGKHRSRPYSRPSASSSGSGSRGSAGSVTQEIRSPTCGGHHSQAECRRAARTCFRCGSQKHFVAQCPQSPPWT >DRNTG_21153.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2292812:2297179:1 gene:DRNTG_21153 transcript:DRNTG_21153.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRRRPRRRPASPPQEPMDLEQEVLDQSNAEEQPDLATLTGIMRELMLMMRNQNHQHASRGSRDLLTEFGQHAPSFEGTTDLIVVEYWVKQIERTFRAMQSPDEDKVRLASYMLRNSAALWFERELRLKGEDAFKTWEQFKEAFYAKYFPLSRRAQMERQFLNLKQGSMTVEEYEAEFDRLSQFAPSLVEDENNKSYRFVEGLKNHIRRALVPFLRLPYVDVVGIAKDLEITWQETQDSGRREQQWNRGLNPWKSQSSGSSFGHSKGKHRSRPYSRPSASSSGSGSRGSAGSVTQEIRSPTCGGHHSQAECRRAARTCFRCGSQKHFVAQCPQSPPWT >DRNTG_21153.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2292812:2297179:1 gene:DRNTG_21153 transcript:DRNTG_21153.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRRRPRRRPASPPQEPMDLEQEVLDQSNAEEQPDLATLTGIMRELMLMMRNQNHQHASRGSRDLLTEFGQHAPSFEGTTDLIVVEYWVKQIERTFRAMQSPDEDKVRLASYMLRNSAALWFERELRLKGEDAFKTWEQFKEAFYAKYFPLSRRAQMERQFLNLKQGSMTVEEYEAEFDRLSQFAPSLVEDENNKSYRFVEGLKNHIRRALVPFLRLPYVDVVGIAKDLEITWQETQDSGRREQQWNRGLNPWKSQSSGSSFGHSKGKHRSRPYSRPSASSSGSGSRGSAGSVTQEIRSPTCGGHHSQAECRRAARTCFRCGSQKHFVAQCPQSPPWT >DRNTG_21153.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2292812:2297179:1 gene:DRNTG_21153 transcript:DRNTG_21153.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRRRPRRRPASPPQEPMDLEQEVLDQSNAEEQPDLATLTGIMRELMLMMRNQNHQHASRGSRDLLTEFGQHAPSFEGTTDLIVVEYWVKQIERTFRAMQSPDEDKVRLASYMLRNSAALWFERELRLKGEDAFKTWEQFKEAFYAKYFPLSRRAQMERQFLNLKQGSMTVEEYEAEFDRLSQFAPSLVEDENNKSYRFVEGLKNHIRRALVPFLRLPYVDVVGIAKDLEITWQETQDSGRREQQWNRGLNPWKSQSSGSSFGHSKGKHRSRPYSRPSASSSGSGSRGSAGSVTQEIRSPTCGGHHSQAECRRAARTCFRCGSQKHFVAQCPQSPPWT >DRNTG_21153.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2292812:2297179:1 gene:DRNTG_21153 transcript:DRNTG_21153.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRRRPRRRPASPPQEPMDLEQEVLDQSNAEEQPDLATLTGIMRELMLMMRNQNHQHASRGSRDLLTEFGQHAPSFEGTTDLIVVEYWVKQIERTFRAMQSPDEDKVRLASYMLRNSAALWFERELRLKGEDAFKTWEQFKEAFYAKYFPLSRRAQMERQFLNLKQGSMTVEEYEAEFDRLSQFAPSLVEDENNKSYRFVEGLKNHIRRALVPFLRLPYVDVVGIAKDLEITWQETQDSGRREQQWNRGLNPWKSQSSGSSFGHSKGKHRSRPYSRPSASSSGSGSRGSAGSVTQEIRSPTCGGHHSQAECRRAARTCFRCGSQKHFVAQCPQSPPWT >DRNTG_21153.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2292812:2297179:1 gene:DRNTG_21153 transcript:DRNTG_21153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRRRPRRRPASPPQEPMDLEQEVLDQSNAEEQPDLATLTGIMRELMLMMRNQNHQHASRGSRDLLTEFGQHAPSFEGTTDLIVVEYWVKQIERTFRAMQSPDEDKVRLASYMLRNSAALWFERELRLKGEDAFKTWEQFKEAFYAKYFPLSRRAQMERQFLNLKQGSMTVEEYEAEFDRLSQFAPSLVEDENNKSYRFVEGLKNHIRRALVPFLRLPYVDVVGIAKDLEITWQETQDSGRREQQWNRGLNPWKSQSSGSSFGHSKGKHRSRPYSRPSASSSGSGSRGSAGSVTQEIRSPTCGGHHSQAECRRAARTCFRCGSQKHFVAQCPQSPPWT >DRNTG_14035.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27528556:27530467:-1 gene:DRNTG_14035 transcript:DRNTG_14035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGRRGVRQYNRSDAPRIRWTEDLHRCFVEAINCLGGQNKATPKRILQLMGVKGLSISHVKSHLQMYRSMSSHQSNINIFLSAEDLNKLKTMQPCNSNTYNTKSHSEDPNIVSASNLEYSLSQISTIEQVLRDYWTTSNKKSKRETQISKREDEQCELTLSSLYQKHAEFEGASDGGSSTESETNKEIHMSRKKSSNSIYCRNDLEMEHVNLELTISTPDST >DRNTG_28439.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20628028:20629786:-1 gene:DRNTG_28439 transcript:DRNTG_28439.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSWFFFAFILLSSLRLAFSDAPSAYEMLEKFDFPRGILPEGVVSYTLRSNGGFEVRLSSDCEFKVNGGYLLRYKRRITGRVEKGSIVELTGVSVKVLVWFNINRLVKGDAEIYFYVGPLSASFPTSNFEECPKCRCGFDCASALLLDS >DRNTG_28439.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20629023:20629786:-1 gene:DRNTG_28439 transcript:DRNTG_28439.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSWFFFAFILLSSLRLAFSDAPSAYEMLEKFDFPRGILPEGVVSYTLRSNGGFEVRLSSDCEFKVNGGYLLRYKRRITGRVEKGSIVELTGVSVKVLVWFNINRLVKGDAEIYFYVGPLSASFPTSNFEECPKCRCGFDCASALLLDS >DRNTG_04425.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1364085:1393663:1 gene:DRNTG_04425 transcript:DRNTG_04425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSDAIVNNTVSEGTDEHALLQALQLVNSSILPMTFKTAFELNLFNIISAASPNPLSATEITTLLPSSTPSTPVMLDRILRLLSSYSIFTCSLSTDPISGATTHLYAAAPAVKYLAQNEDGFTLSTLGLMNQDKVLMESWDYLKDAVLNGGIPFNMAHGMTSFEYHGTDPRFNKVFNEAMKNHSGIIMKRILEKYRGFDDVKVLVEPWISALVLKRSHAVSHFERDPSVKDSVLKIVPAFH >DRNTG_01105.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21535338:21539793:1 gene:DRNTG_01105 transcript:DRNTG_01105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLYTSPALRMSLTHARWCHCMVLTSSQGFVPSPSPYGAPRGPPLSTHGRKRAVNRGISYHFFHHELKQCINDGKCMKHLINKFRCLESLIIMLTEEETNRNKIPTKHNIKMALFWLAQGCQRGNSLVFRYLGHGSQQRNYNDDEADGYDETLIPLVSESQGMIVDDKINATIVRPHPHDAKLHAIIDTCHSGNVLDLPYLRMMDRNR >DRNTG_26698.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26971071:26976443:1 gene:DRNTG_26698 transcript:DRNTG_26698.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPDHHLHPYYRFLVDHPELLKADDSSKPQIEKSSDNEGNQTHVPASGALSLLGSVYGSGEDDDIVLQTDCNQMEIQCSSVVASERTGPSVCLASKDNVASKNQAASIVKDKTISSRKKNSVNTASPSDASKKKRKDENASHGSISRPLVMSSDKPSILEPPSFLKRVIDKIVEFIHRNGKDFEAVLIEQDKAHGRFPFLLPTNQYHPYYLKILQGASETNVRGSSVKANVGSNKDAQAADQQSTGYKRNTSEELEVWSYESLRKEKFKMVIGAPKKDAHDQDSKPMKPSGVTPDEAEAIVLAATRGASPANVFSNKHKNNLGVTSNGSFSSLNGDGLVSKPVSTSDANNSGQLSSGQFCKIDSVDVVKAIAKSAVLAVSNEGDSSEVSLSKEQKLKAERLKRAKIFAAMIKSGQEPMTELIQAPTTTHSTLSGAESDPVLREREGSSVPSNCEISDIKRSSRRDSSVLDHDREHRHSRKKRRSRSRLDENDSEENHGHYRKKRSSKSRADESDSGEDHRHTRKMRSSRSRAADSDSEEDHRHYRKRHHSESSSGKHHKRHSSKESHHHRHRHHDSSEDEQRHMRHSKSRQRHKSDELSDADDQERKRSSRYHRSTHAHFETEVPSIEDEKINENLLKEPQEVGGTDGSHAGPSVDTEIPSELRAKIRNMLLEIM >DRNTG_26698.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26971071:26976443:1 gene:DRNTG_26698 transcript:DRNTG_26698.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVCLQPPTEKLHQIISRTALFVSEHGGQSEIILRVKQGNNPTFGFLMPDHHLHPYYRFLVDHPELLKADDSSKPQIEKSSDNEGNQTHVPASGALSLLGSVYGSGEDDDIVLQTDCNQMEIQCSSVVASERTGPSVCLASKDNVASKNQAASIVKDKTISSRKKNSVNTASPSDASKKKRKDENASHGSISRPLVMSSDKPSILEPPSFLKRVIDKIVEFIHRNGKDFEAVLIEQDKAHGRFPFLLPTNQYHPYYLKILQGASETNVRGSSVKANVGSNKDAQAADQQSTGYKRNTSEELEVWSYESLRKEKFKMVIGAPKKDAHDQDSKPMKPSGVTPDEAEAIVLAATRGASPANVFSNKHKNNLGVTSNGSFSSLNGDGLVSKPVSTSDANNSGQLSSGQFCKIDSVDVVKAIAKSAVLAVSNEGDSSEVSLSKEQKLKAERLKRAKIFAAMIKSGQEPMTELIQAPTTTHSTLSGAESDPVLREREGSSVPSNCEISDIKRSSRRDSSVLDHDREHRHSRKKRRSRSRLDENDSEENHGHYRKKRSSKSRADESDSGEDHRHTRKMRSSRSRAADSDSEEDHRHYRKRHHSESSSGKHHKRHSSKESHHHRHRHHDSSEDEQRHMRHSKSRQRHKSDELSDADDQERKRSSRYHRSTHAHFETEVPSIEDEKINENLLKEPQEVGGTDGSHAGPSVDTEIPSELRAKIRNMLLEIM >DRNTG_26698.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26971071:26976443:1 gene:DRNTG_26698 transcript:DRNTG_26698.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPDHHLHPYYRFLVDHPELLKADDSSKPQIEKSSDNEGNQTHVPASGALSLLGSVYGSGEDDDIVLQTDCNQMEIQCSSVVASERTGPSVCLASKDNVASKNQAASIVKDKTISSRKKNSVNTASPSDASKKKRKDENASHGSISRPLVMSSDKPSILEPPSFLKRVIDKIVEFIHRNGKDFEAVLIEQDKAHGRFPFLLPTNQYHPYYLKILQGASETNVRGSSVKANVGSNKDAQAADQQSTGYKRNTSEELEVWSYESLRKEKFKMVIGAPKKDAHDQDSKPMKPSGVTPDEAEAIVLAATRGASPANVFSNKHKNNLGVTSNGSFSSLNGDGLVSKPVSTSDANNSGQLSSGQFCKIDSVDVVKAIAKSAVLAVSNEGDSSEVSLSKEQKLKAERLKRAKIFAAMIKSGQEPMTELIQAPTTTHSTLSGAESDPVLREREGSSVPSNCEISDIKRSSRRDSSVLDHDREHRHSRKKRRSRSRLDENDSEENHGHYRKKRSSKSRADESDSGEDHRHTRKMRSSRSRAADSDSEEDHRHYRKRHHSESSSGKHHKRHSSKESHHHRHRHHDSSEDEQRHMRHSKSRQRHKSDELSDADDQERKRSSRYHRSTHAHFETEVPSIEDEKINENLLKEPQEVGGTDGSHAGPSVDTEIPSELRAKIRNMLLEIM >DRNTG_26698.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26971071:26976443:1 gene:DRNTG_26698 transcript:DRNTG_26698.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVCLQPPTEKLHQIISRTALFVSEHGGQSEIILRVKQGNNPTFGFLMPDHHLHPYYRFLVDHPELLKADDSSKPQIEKSSDNEGNQTHVPASGALSLLGSVYGSGEDDDIVLQTDCNQMEIQCSSVVASERTGPSVCLASKDNVASKNQAASIVKDKTISSRKKNSVNTASPSDASKKKRKDENASHGSISRPLVMSSDKPSILEPPSFLKRVIDKIVEFIHRNGKDFEAVLIEQDKAHGRFPFLLPTNQYHPYYLKILQGASETNVRGSSVKANVGSNKDAQAADQQSTGYKRNTSEELEVWSYESLRKEKFKMVIGAPKKDAHDQDSKPMKPSGVTPDEAEAIVLAATRGASPANVFSNKHKNNLGVTSNGSFSSLNGDGLVSKPVSTSDANNSGQLSSGQFCKIDSVDVVKAIAKSAVLAVSNEGDSSEVSLSKEQKLKAERLKRAKIFAAMIKSGQEPMTELIQAPTTTHSTLSGAESDPVLREREGSSVPSNCEISDIKRSSRRDSSVLDHDREHRHSRKKRRSRSRLDENDSEENHGHYRKKRSSKSRADESDSGEDHRHTRKMRSSRSRAADSDSEEDHRHYRKRHHSESSSGKHHKRHSSKESHHHRHRHHDSSEDEQRHMRHSKSRQRHKSDELSDADDQERKRSSRYHRSTHAHFETEVPSIEDEKINENLLKEPQEVGGTDGSHAGPSVDTEIPSELRAKIRNMLLEIM >DRNTG_26698.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26971071:26976443:1 gene:DRNTG_26698 transcript:DRNTG_26698.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPDHHLHPYYRFLVDHPELLKADDSSKPQIEKSSDNEGNQTHVPASGALSLLGSVYGSGEDDDIVLQTDCNQMEIQCSSVVASERTGPSVCLASKDNVASKNQAASIVKDKTISSRKKNSVNTASPSDASKKKRKDENASHGSISRPLVMSSDKPSILEPPSFLKRVIDKIVEFIHRNGKDFEAVLIEQDKAHGRFPFLLPTNQYHPYYLKILQGASETNVRGSSVKANVGSNKDAQAADQQSTGYKRNTSEELEVWSYESLRKEKFKMVIGAPKKDAHDQDSKPMKPSGVTPDEAEAIVLAATRGASPANVFSNKHKNNLGVTSNGSFSSLNGDGLVSKPVSTSDANNSGQLSSGQFCKIDSVDVVKAIAKSAVLAVSNEGDSSEVSLSKEQKLKAERLKRAKIFAAMIKSGQEPMTELIQAPTTTHSTLSGAESDPVLREREGSSVPSNCEISDIKRSSRRDSSVLDHDREHRHSRKKRRSRSRLDENDSEENHGHYRKKRSSKSRADESDSGEDHRHTRKMRSSRSRAADSDSEEDHRHYRKRHHSESSSGKHHKRHSSKESHHHRHRHHDSSEDEQRHMRHSKSRQRHKSDELSDADDQERKRSSRYHRSTHAHFETEVPSIEDEKINENLLKEPQEVGGTDGSHAGPSVDTEIPSELRAKIRNMLLEIM >DRNTG_26698.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26971071:26976520:1 gene:DRNTG_26698 transcript:DRNTG_26698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEVIGRHVLLFDDDTTSAFVNSREALVPWIHDSSLFIDRYDVRHLLDQIPSRKLHARSVPEDPSQSELDLERYLDLPPPDQHDDADGDDSLLDGTSEARNVTELAAHGAYQAVPFSYGDNVDAVISDSGTGISCPGFQPNFALPNRLLTNLPPTEKLHQIISRTALFVSEHGGQSEIILRVKQGNNPTFGFLMPDHHLHPYYRFLVDHPELLKADDSSKPQIEKSSDNEGNQTHVPASGALSLLGSVYGSGEDDDIVLQTDCNQMEIQCSSVVASERTGPSVCLASKDNVASKNQAASIVKDKTISSRKKNSVNTASPSDASKKKRKDENASHGSISRPLVMSSDKPSILEPPSFLKRVIDKIVEFIHRNGKDFEAVLIEQDKAHGRFPFLLPTNQYHPYYLKILQGASETNVRGSSVKANVGSNKDAQAADQQSTGYKRNTSEELEVWSYESLRKEKFKMVIGAPKKDAHDQDSKPMKPSGVTPDEAEAIVLAATRGASPANVFSNKHKNNLGVTSNGSFSSLNGDGLVSKPVSTSDANNSGQLSSGQFCKIDSVDVVKAIAKSAVLAVSNEGDSSEVSLSKEQKLKAERLKRAKIFAAMIKSGQEPMTELIQAPTTTHSTLSGAESDPVLREREGSSVPSNCEISDIKRSSRRDSSVLDHDREHRHSRKKRRSRSRLDENDSEENHGHYRKKRSSKSRADESDSGEDHRHTRKMRSSRSRAADSDSEEDHRHYRKRHHSESSSGKHHKRHSSKESHHHRHRHHDSSEDEQRHMRHSKSRQRHKSDELSDADDQERKRSSRYHRSTHAHFETEVPSIEDEKINENLLKEPQEVGGTDGSHAGPSVDTEIPSELRAKIRNMLLEIM >DRNTG_26698.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26971071:26976443:1 gene:DRNTG_26698 transcript:DRNTG_26698.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEVIGRHVLLFDDDTTSAFVNSREALVPWIHDSSLFIDRYDVRHLLDQIPSRKLHARSVPEDPSQSELDLERYLDLPPPDQHDDADGDDSLLDGTSEARNVTELAAHGAYQAVPFSYGDNVDAVISDSGTGISCPGFQPNFALPNRLLTNLPPTEKLHQIISRTALFVSEHGGQSEIILRVKQGNNPTFGFLMPDHHLHPYYRFLVDHPELLKADDSSKPQIEKSSDNEGNQTHVPASGALSLLGSVYGSGEDDDIVLQTDCNQMEIQCSSVVASERTGPSVCLASKDNVASKNQAASIVKDKTISSRKKNSVNTASPSDASKKKRKDENASHGSISRPLVMSSDKPSILEPPSFLKRVIDKIVEFIHRNGKDFEAVLIEQDKAHGRFPFLLPTNQYHPYYLKILQGASETNVRGSSVKANVGSNKDAQAADQQSTGYKRNTSEELEVWSYESLRKEKFKMVIGAPKKDAHDQDSKPMKPSGVTPDEAEAIVLAATRGASPANVFSNKHKNNLGVTSNGSFSSLNGDGLVSKPVSTSDANNSGQLSSGQFCKIDSVDVVKAIAKSAVLAVSNEGDSSEVSLSKEQKLKAERLKRAKIFAAMIKSGQEPMTELIQAPTTTHSTLSGAESDPVLREREGSSVPSNCEISDIKRSSRRDSSVLDHDREHRHSRKKRRSRSRLDENDSEENHGHYRKKRSSKSRADESDSGEDHRHTRKMRSSRSRAADSDSEEDHRHYRKRHHSESSSGKHHKRHSSKESHHHRHRHHDSSEDEQRHMRHSKSRQRHKSDELSDADDQERKRSSRYHRSTHAHFETEVPSIEDEKINENLLKEPQEVGGTDGSHAGPSVDTEIPSELRAKIRNMLLEIM >DRNTG_26698.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26971071:26976443:1 gene:DRNTG_26698 transcript:DRNTG_26698.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPDHHLHPYYRFLVDHPELLKADDSSKPQIEKSSDNEGNQTHVPASGALSLLGSVYGSGEDDDIVLQTDCNQMEIQCSSVVASERTGPSVCLASKDNVASKNQAASIVKDKTISSRKKNSVNTASPSDASKKKRKDENASHGSISRPLVMSSDKPSILEPPSFLKRVIDKIVEFIHRNGKDFEAVLIEQDKAHGRFPFLLPTNQYHPYYLKILQGASETNVRGSSVKANVGSNKDAQAADQQSTGYKRNTSEELEVWSYESLRKEKFKMVIGAPKKDAHDQDSKPMKPSGVTPDEAEAIVLAATRGASPANVFSNKHKNNLGVTSNGSFSSLNGDGLVSKPVSTSDANNSGQLSSGQFCKIDSVDVVKAIAKSAVLAVSNEGDSSEVSLSKEQKLKAERLKRAKIFAAMIKSGQEPMTELIQAPTTTHSTLSGAESDPVLREREGSSVPSNCEISDIKRSSRRDSSVLDHDREHRHSRKKRRSRSRLDENDSEENHGHYRKKRSSKSRADESDSGEDHRHTRKMRSSRSRAADSDSEEDHRHYRKRHHSESSSGKHHKRHSSKESHHHRHRHHDSSEDEQRHMRHSKSRQRHKSDELSDADDQERKRSSRYHRSTHAHFETEVPSIEDEKINENLLKEPQEVGGTDGSHAGPSVDTEIPSELRAKIRNMLLEIM >DRNTG_10692.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13801253:13806006:-1 gene:DRNTG_10692 transcript:DRNTG_10692.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-cytochrome b5 reductase-like protein [Source:Projected from Arabidopsis thaliana (AT5G20080) UniProtKB/Swiss-Prot;Acc:P83291] MALLLRRLARAAPLGLGGQHTSWNHGRRLPLGATAAISGGIALYCCISSPTIAQMEQQIEKGFPDERVALDPEKWLEFKLQDTARVSHNTKLFRFSFDPAAKLGLDVASCLITRAPIGEESEGRRKYVIRPYTPISDPDSKGYFDLLIKIYPEGKMSQHLASLKPGDVLEVKGPIEKLRYAPNMKKKFGMIAGGTGITPMLQVIKSILKNPDDNTQVSLVYANVSPDDILLKAELDRLSSIYPNLKVYYTVDNPSKNWRGGTGFVSKDMIAKGLPGPAEDTLILVCGPPGMMKHISGDKAKDRSQGELSGLLKQLGYTEEMVYKF >DRNTG_27118.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1748937:1761305:1 gene:DRNTG_27118 transcript:DRNTG_27118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEPVDPKKYLEEQCKPKCVRPLFQYQECAKRIRDDKTGHKHCTGQYFDYWACIDKCVAAKLFDMLK >DRNTG_24195.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22462502:22464388:-1 gene:DRNTG_24195 transcript:DRNTG_24195.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein ELI1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37380) UniProtKB/Swiss-Prot;Acc:Q9SZT8] MSVAVPIIHHHPPPAHFSSLIDHCTSSRRLFELHAALLRSGLHSHPILNFKLQRRYSSLGHLDHSLSLLLHLTPNPSVFSFSSAIHSLVLHGLHSNALHLYIQMLSSSPITPNAFTFSSTLRACANLSPGPGLALHSQAIRLGLASDSYVATALIDVYASTGDLISARILFDRLPAENDLVVSSTALISCYAKAGDLDNARQLFDRMPERDCVCWNAMIDGYTQHGNPTKAMGLFRKMLRSSVKPNEVTVISVLSACAQMGALDSGKWVHSYLKNNKIRFNAQVGTALIDMYCKSGSLEDAYQVFDEIRDKDIVAWNSMITGHAMHGHSRKALELFSQLCDEGLRPTDVTFIGVLNACSHAGLVTEGRALFQSMELVHSIEPKIEHYGCMVDLLGRAGLVEEAHDLIQSMRIEPDLVLWGSLLAACRLYKKADLGKKVANFLLDKGIANSGTYVLLSNIHATLGNWEEVIKVRTLMKDSGVQREPGCSSIEVNNTVYEFIVGDLRHPKIKEIYAMLDELKQLLKAHGYVPRTELVLHDLEEPEKERALGVHSEKLAIAFGLISTEPGTSIKIVKNLRVCVDCHEVTKLISKIMGRKIVVRDRHRFHHFIDGSCSCGDYW >DRNTG_24195.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22462380:22464478:-1 gene:DRNTG_24195 transcript:DRNTG_24195.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein ELI1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37380) UniProtKB/Swiss-Prot;Acc:Q9SZT8] MSVAVPIIHHHPPPAHFSSLIDHCTSSRRLFELHAALLRSGLHSHPILNFKLQRRYSSLGHLDHSLSLLLHLTPNPSVFSFSSAIHSLVLHGLHSNALHLYIQMLSSSPITPNAFTFSSTLRACANLSPGPGLALHSQAIRLGLASDSYVATALIDVYASTGDLISARILFDRLPAENDLVVSSTALISCYAKAGDLDNARQLFDRMPERDCVCWNAMIDGYTQHGNPTKAMGLFRKMLRSSVKPNEVTVISVLSACAQMGALDSGKWVHSYLKNNKIRFNAQVGTALIDMYCKSGSLEDAYQVFDEIRDKDIVAWNSMITGHAMHGHSRKALELFSQLCDEGLRPTDVTFIGVLNACSHAGLVTEGRALFQSMELVHSIEPKIEHYGCMVDLLGRAGLVEEAHDLIQSMRIEPDLVLWGSLLAACRLYKKADLGKKVANFLLDKGIANSGTYVLLSNIHATLGNWEEVIKVRTLMKDSGVQREPGCSSIEVNNTVYEFIVGDLRHPKIKEIYAMLDELKQLLKAHGYVPRTELVLHDLEEPEKERALGVHSEKLAIAFGLISTEPGTSIKIVKNLRVCVDCHEVTKLISKIMGRKIVVRDRHRFHHFIDGSCSCGDYW >DRNTG_24195.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22462380:22464388:-1 gene:DRNTG_24195 transcript:DRNTG_24195.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein ELI1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37380) UniProtKB/Swiss-Prot;Acc:Q9SZT8] MSVAVPIIHHHPPPAHFSSLIDHCTSSRRLFELHAALLRSGLHSHPILNFKLQRRYSSLGHLDHSLSLLLHLTPNPSVFSFSSAIHSLVLHGLHSNALHLYIQMLSSSPITPNAFTFSSTLRACANLSPGPGLALHSQAIRLGLASDSYVATALIDVYASTGDLISARILFDRLPAENDLVVSSTALISCYAKAGDLDNARQLFDRMPERDCVCWNAMIDGYTQHGNPTKAMGLFRKMLRSSVKPNEVTVISVLSACAQMGALDSGKWVHSYLKNNKIRFNAQVGTALIDMYCKSGSLEDAYQVFDEIRDKDIVAWNSMITGHAMHGHSRKALELFSQLCDEGLRPTDVTFIGVLNACSHAGLVTEGRALFQSMELVHSIEPKIEHYGCMVDLLGRAGLVEEAHDLIQSMRIEPDLVLWGSLLAACRLYKKADLGKKVANFLLDKGIANSGTYVLLSNIHATLGNWEEVIKVRTLMKDSGVQREPGCSSIEVNNTVYEFIVGDLRHPKIKEIYAMLDELKQLLKAHGYVPRTELVLHDLEEPEKERALGVHSEKLAIAFGLISTEPGTSIKIVKNLRVCVDCHEVTKLISKIMGRKIVVRDRHRFHHFIDGSCSCGDYW >DRNTG_24195.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22462502:22464320:-1 gene:DRNTG_24195 transcript:DRNTG_24195.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein ELI1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37380) UniProtKB/Swiss-Prot;Acc:Q9SZT8] MLSSSPITPNAFTFSSTLRACANLSPGPGLALHSQAIRLGLASDSYVATALIDVYASTGDLISARILFDRLPAENDLVVSSTALISCYAKAGDLDNARQLFDRMPERDCVCWNAMIDGYTQHGNPTKAMGLFRKMLRSSVKPNEVTVISVLSACAQMGALDSGKWVHSYLKNNKIRFNAQVGTALIDMYCKSGSLEDAYQVFDEIRDKDIVAWNSMITGHAMHGHSRKALELFSQLCDEGLRPTDVTFIGVLNACSHAGLVTEGRALFQSMELVHSIEPKIEHYGCMVDLLGRAGLVEEAHDLIQSMRIEPDLVLWGSLLAACRLYKKADLGKKVANFLLDKGIANSGTYVLLSNIHATLGNWEEVIKVRTLMKDSGVQREPGCSSIEVNNTVYEFIVGDLRHPKIKEIYAMLDELKQLLKAHGYVPRTELVLHDLEEPEKERALGVHSEKLAIAFGLISTEPGTSIKIVKNLRVCVDCHEVTKLISKIMGRKIVVRDRHRFHHFIDGSCSCGDYW >DRNTG_24195.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22462380:22464320:-1 gene:DRNTG_24195 transcript:DRNTG_24195.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein ELI1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37380) UniProtKB/Swiss-Prot;Acc:Q9SZT8] MLSSSPITPNAFTFSSTLRACANLSPGPGLALHSQAIRLGLASDSYVATALIDVYASTGDLISARILFDRLPAENDLVVSSTALISCYAKAGDLDNARQLFDRMPERDCVCWNAMIDGYTQHGNPTKAMGLFRKMLRSSVKPNEVTVISVLSACAQMGALDSGKWVHSYLKNNKIRFNAQVGTALIDMYCKSGSLEDAYQVFDEIRDKDIVAWNSMITGHAMHGHSRKALELFSQLCDEGLRPTDVTFIGVLNACSHAGLVTEGRALFQSMELVHSIEPKIEHYGCMVDLLGRAGLVEEAHDLIQSMRIEPDLVLWGSLLAACRLYKKADLGKKVANFLLDKGIANSGTYVLLSNIHATLGNWEEVIKVRTLMKDSGVQREPGCSSIEVNNTVYEFIVGDLRHPKIKEIYAMLDELKQLLKAHGYVPRTELVLHDLEEPEKERALGVHSEKLAIAFGLISTEPGTSIKIVKNLRVCVDCHEVTKLISKIMGRKIVVRDRHRFHHFIDGSCSCGDYW >DRNTG_24195.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22462502:22464478:-1 gene:DRNTG_24195 transcript:DRNTG_24195.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein ELI1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37380) UniProtKB/Swiss-Prot;Acc:Q9SZT8] MSVAVPIIHHHPPPAHFSSLIDHCTSSRRLFELHAALLRSGLHSHPILNFKLQRRYSSLGHLDHSLSLLLHLTPNPSVFSFSSAIHSLVLHGLHSNALHLYIQMLSSSPITPNAFTFSSTLRACANLSPGPGLALHSQAIRLGLASDSYVATALIDVYASTGDLISARILFDRLPAENDLVVSSTALISCYAKAGDLDNARQLFDRMPERDCVCWNAMIDGYTQHGNPTKAMGLFRKMLRSSVKPNEVTVISVLSACAQMGALDSGKWVHSYLKNNKIRFNAQVGTALIDMYCKSGSLEDAYQVFDEIRDKDIVAWNSMITGHAMHGHSRKALELFSQLCDEGLRPTDVTFIGVLNACSHAGLVTEGRALFQSMELVHSIEPKIEHYGCMVDLLGRAGLVEEAHDLIQSMRIEPDLVLWGSLLAACRLYKKADLGKKVANFLLDKGIANSGTYVLLSNIHATLGNWEEVIKVRTLMKDSGVQREPGCSSIEVNNTVYEFIVGDLRHPKIKEIYAMLDELKQLLKAHGYVPRTELVLHDLEEPEKERALGVHSEKLAIAFGLISTEPGTSIKIVKNLRVCVDCHEVTKLISKIMGRKIVVRDRHRFHHFIDGSCSCGDYW >DRNTG_32738.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:9468876:9474671:-1 gene:DRNTG_32738 transcript:DRNTG_32738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDRSTIQIKTGNLVHECTRDHVSWHVNAEWIARNYLEQFWADPSWKIAGIISAILAQDLCITDSKQWAFMSDRQNVNRMDTWPKSDQPPIIPLEPVNKRRGKKTLLRRQEDGEETGFNKGKVSRQGGKMKYSVCGKVGHNKRYHGVQQARRENEMQVNKDFSIVQMHGETSQFINVEVHGTTTPSSLTELRLSTEESHKSVPPAITNTSSAANTGIEHPVVQANTDTLHTVNLEQPSGGTQLKIPKLPIRGVKKITNTSNKETQNGSNMEQRKSKDNARVGGADKRRRIADEAAPATTSLNLGQRNLGDQPVKDNQSGSLMDFSSIFD >DRNTG_19447.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000998.1:157953:160230:1 gene:DRNTG_19447 transcript:DRNTG_19447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPMSRAVKSVCTERKMVQERSEAGEARPIEYIVKESQRPADAKPLLASPLPVVHLGQPDEAEEIKAALQSWGMFQVIDHGMPPSFLDEVRDVARAFFKLPVEEKKKYINIRDGMFGREGYGNDQVKVEGQILDWTDRLYLFVQPEDQTKPELWPTNPTSFRDVLHEFTMKTKKLVEDVLKTTAKSLELNQDSFESHLGDKFSILAKFNYYPCCSKPDLVFGVKPHSDGTLITVILPDKDVEGLQVMNDGEWITVTTSPHALIINIGDQMEIMSNGIFKSPVHRVVTFSDKDRISIAMFCANLPGKVIGPVDELVNDMRPRMYKNVKVKDYVEVFQQRFHQGKSALDWAQVL >DRNTG_35168.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26156955:26157450:1 gene:DRNTG_35168 transcript:DRNTG_35168.4 gene_biotype:protein_coding transcript_biotype:protein_coding SSWIHQHQQHPNWKVPGKNSAKPLNQMPTCFRIR >DRNTG_35168.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26155897:26157450:1 gene:DRNTG_35168 transcript:DRNTG_35168.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFAFNSPGIMLLIFRRASSYVPLKILSIEDGTILKSFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRDFQITEVSKTEFLTPSAFIFLYENQLFLTFRNGKVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSFQDLIISFCKVSSEGSSTEVGSINISNILTGKCLAKIQPNHSIKCQHASGFDSSVTEALEGITALYYDEDRNEIYTGNMRGLVHVWSN >DRNTG_35168.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26146910:26154186:1 gene:DRNTG_35168 transcript:DRNTG_35168.2 gene_biotype:protein_coding transcript_biotype:protein_coding VLGRALGGGLSRGSARGPMGLSTPSRSCSGGKLALAVIALSAWLIRRKDLGTYSCRKLMTLTILSSSHSHGCLAYVKGQKLQRLWLLMILFLL >DRNTG_35168.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26146910:26157450:1 gene:DRNTG_35168 transcript:DRNTG_35168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRITVTVSPRPCSGRRIVARKRPRPDGFVNTVKKLQRREISSRCDRSFSMADSQERFRNIQLQEAYDTYDPKQQSFSRLPCLCKRSKVTEIVAAHDIVFALTQSGVCAAFSRETNQRICFLNGAPDEVIRSLFYNKNNDSLITVSVYASDNFRSLKCRTTRIEYIKRGKPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAADSIYKVFDLKNYDLLYSLSDKHIQEIKISPGIMLLIFRRASSYVPLKILSIEDGTILKSFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRDFQITEVSKTEFLTPSAFIFLYENQLFLTFRNGKVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSFQDLIISFCKVSSEGSSTEVGSINISNILTGKCLAKIQPNHSIKCQHASGFDSSVTEALEGITALYYDEDRNEIYTGNMRGLVHVWSN >DRNTG_14639.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000730.1:11365:19031:1 gene:DRNTG_14639 transcript:DRNTG_14639.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSPSTNGEGFPRVIIWSLISALSTPGIMKKVLRKMKRARRCHKKWPKAPAPPASPSPPPSAPFDLAPPSAAEQGPR >DRNTG_07180.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20170715:20172866:1 gene:DRNTG_07180 transcript:DRNTG_07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKLGVVGVEVASTPDAAKVFLKTLDVNFSNRPIDSGPTNLAYGGHDLVFAEYGPRWKLLRKLCNLHMLGTKALDYAIANMIGEVMLGERVFVETGTEAEKFKDMVVELMTLAGLVNFGDYLPMLAWMDLQGIEGRMKKLQKKFDVLLTRMIKKHTEIAQNRKGKPDLLDAIMANRDNSVAERLSDDSVKVVLLDLFTAGTDTSSSTIEWAIAEMLKNPTIMKRAQSEMDQVIGRNHRLTEYGILNLPYLRAICKESFRKHPSTPLNLPHVSSHAYEVNGYYIPKKTKLLINIWAIGRDPKVWKDPLEFNPERFLTGQASKMDPRGADFELIPFGAGRRICAGARMGVVLVEYILGVMIHSFDWENGHKNESKMMDMDEVFGLALQKKVHVSALVRPRLAPAVYS >DRNTG_14183.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20225671:20227589:1 gene:DRNTG_14183 transcript:DRNTG_14183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNHKPGFDGIQAPPSLPQPYTIRPLSSSSSSSSSPLPGYILRGAAVLLTFISAIVMGVAKQTKTITINDPSTLTSQTITGTVKSTYAAAYVYFIIINVLVFVYSAAALAISIANRASSSNLELPFNIADLLVAILLFSCNSAASNTSVIASNGSDRLGWTKICDIVGSFCGQATAAIVLSMFAALAYVLLVVLGIINLHKRSL >DRNTG_31666.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001725.1:15988:20262:1 gene:DRNTG_31666 transcript:DRNTG_31666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAIISQGKNELSSFTSCPSQGRPPGSFSRTGMTTFARTSMEGTASLLQDPDVMNTAEVTFMNGVDSGFEAGTRKVLWMAFLRYLKG >DRNTG_34499.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1513037:1516675:-1 gene:DRNTG_34499 transcript:DRNTG_34499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLAIHRERKTGREPSDGFHEALQQICELVARGVRGGGRRRRRHWRGTGAAADVSEKAKNLGIRHGA >DRNTG_24143.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26282728:26290709:-1 gene:DRNTG_24143 transcript:DRNTG_24143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTAAPAGSPPSPQVVGNAFVQQYYHILHQSPELVYRFYQDGSKLGRPEPHGAMSCITTMQAINEKIQSMDYGEYRAEIKTVDSQDSLNGGVLVLVTGYLTGKDNVKRDFTQSFFLATQDKGYFVLNDIFRYVDEADGTNNLQGNLSVANGTASLQSDEQESGMPQDESVAEDAISLPREEEEEEEEEEEEVVDDVEECNPSDNGVSGVEEEPPVDDVIDEIPNNSQTVVVDTSPVTLKEEGPKKSYASIVKVPPLSASTSTLRQVPVITERQTPPAPTSVPAVEIPAAVSSATETSSIQEAEADGHSIYIKSLPLNATPAQLEEEFKKFGPIKPNGIQVRSHKPQGFCFGFVEFEVASAVQRAIEASPIMIGGRQAYVEEKRPSGSRGVGGGSRGRFSPGRGSGGFRNEGMRGRGSYGGGRGYGRGDFNNRAEYGGRGGGRGVSSGRGGEIGYQRVDHMGSSGSRGGRSGQLTTSPRGVTPRVPAPA >DRNTG_20312.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5608111:5613179:-1 gene:DRNTG_20312 transcript:DRNTG_20312.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKWQLSKSGFLSTVKNQVQLSDFKHHKSHGTTVSVPMRPGLHGGGME >DRNTG_20312.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5608111:5608758:-1 gene:DRNTG_20312 transcript:DRNTG_20312.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKWQLSKSGFLSTVKNQVQLSDFKHHKSHGTTVSVPMRPGLHGGGME >DRNTG_20312.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5608111:5617714:-1 gene:DRNTG_20312 transcript:DRNTG_20312.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIREKQVKKLYLGLAAAPVPLGIISHYMRPVNIAPRLVSEDFIEGWHLCQLEVLECKEVPWPSSDVERRYNIEGCGWPSWSVAYECKINLLTGKTHQVRAQLAAIGAPLIGDSMYMPAVISEKANPLINPFGEYRKEYTSHEDKMAAVEEWISQYGKEPSAAIGLQASQISWDNGECSYEAGAPWWRHGIA >DRNTG_28799.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28775197:28778574:-1 gene:DRNTG_28799 transcript:DRNTG_28799.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAAKKFVGEHDFRNFCKMDAANVNNYTRKITTFEISSCGKRFDENELCTMTIRGSAFLWHQVRCMVAVLFMIGHGFESPEIIDVLLDIGKTPRKPQYNMAPDLPLILRSCEFEDINFICSSDAGRALHEHLKNEFQTHMLQAAIFQEALSCTSLPETSSMNPGKKPKGHVPLLLRPTEPSFEERRAKLNLKGVLKS >DRNTG_28799.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28779900:28781200:-1 gene:DRNTG_28799 transcript:DRNTG_28799.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLYGEDLVFELRSQVLSLSCRVEELERENEGLKCRLSSCSCSKSA >DRNTG_28799.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28775197:28777935:-1 gene:DRNTG_28799 transcript:DRNTG_28799.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAAKKFVGEHDFRNFCKMDAANVNNYTRKITTFEISSCGKRFDENELCTMTIRGSAFLWHQVRCMVAVLFMIGHGFESPEN >DRNTG_28799.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28775197:28776962:-1 gene:DRNTG_28799 transcript:DRNTG_28799.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAAKKFVGEHDFRNFCKMDAANVNNYTRKITTFEISSCGKRFDENELCTMTIRGSAFLWHQVRCMVAVLFMIGHGFESPEN >DRNTG_28799.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28775197:28781200:-1 gene:DRNTG_28799 transcript:DRNTG_28799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLYGEDLVFELRSQVLSLSCRVEELERENEGLKCRLSSCSCSKVECLTSSISVNCELTTPNKHHCKEFPVNQTTEPAIDSSFDHSLLCSTNPVKENEAYAFDDLCMGTSAKVSMLDKIEEPGFYLKNIYQYARRCVALKIMYFGQRFYGFASEAQMEPTVESEIFRALEKTKLLVDNKENSQYSRCGRTDKGVSSTGQVIAIYLRSKVKNNGGNNNEKTIFGENCEGEIDYVRVLNRVLPKDIRVIGWCPAPSKFHARFKCLSREYRYLFWRESLDIAAMQKAAKKFVGEHDFRNFCKMDAANVNNYTRKITTFEISSCGKRFDENELCTMTIRGSAFLWHQVRCMVAVLFMIGHGFESPEIIDVLLDIGKTPRKPQYNMAPDLPLILRSCEFEDINFICSSDAGRALHEHLKNEFQTHMLQAAIFQEALSCTSLPETSSMNPGKKPKGHVPLLLRPTEPSFEERRAKLNLKGVLKS >DRNTG_28799.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28775197:28781200:-1 gene:DRNTG_28799 transcript:DRNTG_28799.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLYGEDLVFELRSQVLSLSCRVEELERENEGLKCRLSSCSCSKVECLTSSISVNCELTTPNKHHCKEFPVNQTTEPAIDSSFDHSLLCSTNPVKENEAYAFDDLCMGTSAKVSMLDKIEEPGFYLKNIYQYARRCVALKIMYFGQRFYGFASEAQMEPTVEDFRNSASIDTNTFFLQSEIFRALEKTKLLVDNKENSQYSRCGRTDKGVSSTGQVIAIYLRSKVKNNGGNNNEKTIFGENCEGEIDYVRVLNRVLPKDIRVIGWCPAPSKFHARFKCLSREYRYLFWRESLDIAAMQKAAKKFVGEHDFRNFCKMDAANVNNYTRKITTFEISSCGKRFDENELCTMTIRGSAFLWHQVRCMVAVLFMIGHGFESPEIIDVLLDIGKTPRKPQYNMAPDLPLILRSCEFEDINFICSSDAGRALHEHLKNEFQTHMLQAAIFQEALSCTSLPETSSMNPGKKPKGHVPLLLRPTEPSFEERRAKLNLKGVLKS >DRNTG_28799.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28775197:28776403:-1 gene:DRNTG_28799 transcript:DRNTG_28799.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRGSAFLWHQVRCMVAVLFMIGHGFESPEIIDVLLDIGKTPRKPQYNMAPDLPLILRSCEFEDINFICSSDAGRALHEHLKNEFQTHMLQAAIFQEALSCTSLPETSSMNPGKKPKGHVPLLLRPTEPSFEERRAKLNLKGVLKS >DRNTG_28799.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28775197:28777135:-1 gene:DRNTG_28799 transcript:DRNTG_28799.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAAKKFVGEHDFRNFCKMDAANVNNYTRKITTFEISSCGKRFDENELCTMTIRGSAFLWHQVRCMVAVLFMIGHGFESPEIIDVLLDIGKTPRKPQYNMAPDLPLILRSCEFEDINFICSSDAGRALHEHLKNEFQTHMLQAAIFQEALSCTSLPETSSMNPGKKPKGHVPLLLRPTEPSFEERRAKLNLKGVLKS >DRNTG_32232.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10084751:10087329:-1 gene:DRNTG_32232 transcript:DRNTG_32232.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIWWKYVIAFVGVSMSIVVGMKVLNLVWWKPKRLEEHFARQGIRGPPYKFFLGNVKEMVGYMLEASSKPMDPHNSHNILPRVLSFYHHWKKIYARLTVADPDLIREIFVSRADCFDRYESHPLVRQLEGDGLVSLRGAKWAHHRKVLTPTFHMENLKLLIPYIGKTVVGMVDKWLAIPSTSGEVEIDVSEWFQTVTEDAITRTAFGRSYDDGKAVFQLQSRQMVFAAEAFRKVFIPGYRFLPTKRNTRSWRLDKEIKKDLGGLIEKRKAKRRCENGGGGDVDDDEVCPKDLLGQMINARDGITDHDIVEECKTFFFAGKQTTSNLLTWTTVLLAMHPDWQDLARQEVLRVCGSRDIPTRDHLSKLKTLGLILNETLRLYPPAVATIRRAKADVELGGCKIPRGTELLIPIIAVHHDTKLWGPDAARFNPGRFSEGARAAQHPTAFIPFGLGARMCIGKNLALLEAKLTVAIILQRFSFRLAPTYVHAPTVLMLLYPQYGAPVIFRPLSSSSSPPSIL >DRNTG_32232.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10084751:10087329:-1 gene:DRNTG_32232 transcript:DRNTG_32232.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIWWKYVIAFVGVSMSIVVGMKVLNLVWWKPKRLEEHFARQGIRGPPYKFFLGNVKEMVGYMLEASSKPMDPHNSHNILPRVLSFYHHWKKIYGIFINKFFIFLKRIFVDDDDNEEDNDDVNDNKNNDLPGSTFLLWFGPTARLTVADPDLIREIFVSRADCFDRYESHPLVRQLEGDGLVSLRGAKWAHHRKVLTPTFHMENLKLLIPYIGKTVVGMVDKWLAIPSTSGEVEIDVSEWFQTVTEDAITRTAFGRSYDDGKAVFQLQSRQMVFAAEAFRKVFIPGYRFLPTKRNTRSWRLDKEIKKDLGGLIEKRKAKRRCENGGGGDVDDDEVCPKDLLGQMINARDGITDHDIVEECKTFFFAGKQTTSNLLTWTTVLLAMHPDWQDLARQEVLRVCGSRDIPTRDHLSKLKTLGLILNETLRLYPPAVATIRRAKADVELGGCKIPRGTELLIPIIAVHHDTKLWGPDAARFNPGRFSEGARAAQHPTAFIPFGLGARMCIGKNLALLEAKLTVAIILQRFSFRLAPTYVHAPTVLMLLYPQYGAPVIFRPLSSSSSPPSIL >DRNTG_32232.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:10084751:10087329:-1 gene:DRNTG_32232 transcript:DRNTG_32232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIWWKYVIAFVGVSMSIVVGMKVLNLVWWKPKRLEEHFARQGIRGPPYKFFLGNVKEMVGYMLEASSKPMDPHNSHNILPRVLSFYHHWKKIYGSTFLLWFGPTARLTVADPDLIREIFVSRADCFDRYESHPLVRQLEGDGLVSLRGAKWAHHRKVLTPTFHMENLKLLIPYIGKTVVGMVDKWLAIPSTSGEVEIDVSEWFQTVTEDAITRTAFGRSYDDGKAVFQLQSRQMVFAAEAFRKVFIPGYRFLPTKRNTRSWRLDKEIKKDLGGLIEKRKAKRRCENGGGGDVDDDEVCPKDLLGQMINARDGITDHDIVEECKTFFFAGKQTTSNLLTWTTVLLAMHPDWQDLARQEVLRVCGSRDIPTRDHLSKLKTLGLILNETLRLYPPAVATIRRAKADVELGGCKIPRGTELLIPIIAVHHDTKLWGPDAARFNPGRFSEGARAAQHPTAFIPFGLGARMCIGKNLALLEAKLTVAIILQRFSFRLAPTYVHAPTVLMLLYPQYGAPVIFRPLSSSSSPPSIL >DRNTG_33595.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:502506:504782:1 gene:DRNTG_33595 transcript:DRNTG_33595.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGQRRRLLLGFGLALLFGMAIYFRLWVIDSSFSLDDREALRKQFDRANMEAMDESAEWRMKYDKELEMSRQYQLEITEIKDALSDSKKKIVMLQEENTGLEMQVESLKRKLEAMEQHCKCN >DRNTG_13623.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6265314:6270685:1 gene:DRNTG_13623 transcript:DRNTG_13623.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin-thioredoxin reductase, catalytic chain [Source:Projected from Arabidopsis thaliana (AT2G04700) UniProtKB/TrEMBL;Acc:A0A178VTW5] MSIQSSFYGVRLPSLVAVPSPNPPQPTLIRAKSEPSDKSVEVMRKFSEQYARRSGTYFCVDKGVTSVVIKGLADHRDSLGAPLCPCRHYDDKAAEAGQGFWNCPCVPMRERKECHCMLFLTPDNDFAGKDQSITLDEIKETTANL >DRNTG_27319.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:214227:220163:-1 gene:DRNTG_27319 transcript:DRNTG_27319.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione reductase, cytosolic [Source:Projected from Arabidopsis thaliana (AT3G24170) UniProtKB/Swiss-Prot;Acc:P48641] MARKMLIDGELRTEDSVGVEGQYDFDLFVIGAGSGGVRASRTSAGFGAKVAICELPFHPISSEANGGVGGTCVIRGCVPKKILVYGASFRGELEDAKSYGWEYGEKLEFNWKQLLHNKTQEITRLNGVYKKLLSGSGVTMIEGEGKITGAHEVEVSCPDGLKQLYTAKHILIATGSRAQRVNIPGQELAITSDEALSVEDLPKHAVILGGGYIAVEFASIWRGMGATVDLFYRKELPLRGFDDEMRVVVAKNLEGRGIRLHPNTNLSELSKTENGIKVITDHGDEIIADAVLFATGRSPNTKRLNLEAVGVEVDRIGAVKVDEYSQTTVPSIWAIGDVTNRMNLTPVALMEGTYFSKTVFGGQPTKPDYNYIPCAVFCIPPLSVVGLSEQEAVKQCKGDVLVFTSTFNPMKNTISGRQEKSIMKLLVDAETDKVIGASMCGPDAPEIMQGIAIALKCGATKAQFDSTVGIHPSAAEEFVTMRTLTRRVTAGGKPRTNL >DRNTG_26370.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:730557:732461:-1 gene:DRNTG_26370 transcript:DRNTG_26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEEDANDGKKEEEEFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >DRNTG_04132.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30192431:30195245:-1 gene:DRNTG_04132 transcript:DRNTG_04132.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLDFSEWWAKEERKGTPLVVTMENPNYSLLEIQCPDSEEFHSVGKAKGKNAKQFTWVLLLRAHRAVGCLAWLLSAIKKRMLIRHRIIHVESDNVEKGRVLFKFIRAFLVISFAVLMFEIMAHSNGWHFQRPNLHLPQSIEIKGWLHSLYLSWLLFRAHYIAYPIQLLSSFCVVLFAAQSLDRLVLCFGCLWIKLKKIKPEIIDSDSLKCVDVEEGSNCEFPMVLVQIPMCNEREVYEQSISAVCEIDWPKDRLLIQVLDDSDDEDIKFLIETEVSKWSQQGINIVYRHRLTRTGYKAGNLNSAMSCDYIKNYEFVAIFDADFQPNPDFLKQTIPHFKGNPELGLVQARWEFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCELPESYEAYRKQQHRWHSGPMHLFRLCLPAIITSKISIWKKANLIFLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELPVWVICYIPVFMSFLNILPAMKSFPFIVPYLLFENTMSVTKFNAMVSGLFKLGSSYEWIVTKKAGRSSESDLLAIPENDSKKLKEHREVITQSVKKANKIYKKELALALLLLTAAARSLLSAQGIHFYFLLFQGVSFLLVGLDLIGEQMN >DRNTG_04132.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30192382:30195245:-1 gene:DRNTG_04132 transcript:DRNTG_04132.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLDFSEWWAKEERKGTPLVVTMENPNYSLLEIQCPDSEEFHSVGKAKGKNAKQFTWVLLLRAHRAVGCLAWLLSAIKKRMLIRHRIIHVESDNVEKGRVLFKFIRAFLVISFAVLMFEIMAHSNGWHFQRPNLHLPQSIEIKGWLHSLYLSWLLFRAHYIAYPIQLLSSFCVVLFAAQSLDRLVLCFGCLWIKLKKIKPEIIDSDSLKCVDVEEGSNCEFPMVLVQIPMCNEREVYEQSISAVCEIDWPKDRLLIQVLDDSDDEDIKFLIETEVSKWSQQGINIVYRHRLTRTGYKAGNLNSAMSCDYIKNYEFVAIFDADFQPNPDFLKQTIPHFKGNPELGLVQARWEFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVIETFNP >DRNTG_04744.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:40104:54801:1 gene:DRNTG_04744 transcript:DRNTG_04744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNSRSPTKHDLSVEELGVKLKEYGITAPNTKNPLSDPYPFNLMFQTSIGPTGLSTGTQKTEIVSVLVDATRSTSEYELEVAFLNSSMGSWRHQIYLKSTSTLWDMTHEEFKAKYLGLNRPQLPTRTRVANTPSFQYENAVNLPKSMEWRKKGAVTAGKNQGACAVGYGTSKGQDYIIVKNSWGPKWGEKGYIRMKRNTGKPEGLCGINKMASYPTKK >DRNTG_02495.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7650982:7654759:1 gene:DRNTG_02495 transcript:DRNTG_02495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSELEPSYVEKREKLKQVVASIIHPKVVQGKHLNGPEFVSFLEQILEALNKGEIPSTGSLVEVFNKGILERCLKLYNQQMDTLFLPLPEDKLQLAHDESSKQVRKLFDEQHFGHYHAEKSVVKLDDEIQKAYKSYLLANEYESSRVCERLYTDCEDKMDQLQVLRLPSMAKFNAGFLQCNQSFEEDCVGPSKKNYEQRMAKMLGKGRILFIKEYNQRLFNWLVAFSLVMVVVGRFVIKFMLLEIGAWVMFIFLETYTRMFWSAESLYYNPAWHAIVTTWETIVYSRFLDLDRWAIPLSILLAIFVFYWRCYGRRKHGSISLLPLYNSAHRTGANRPRTD >DRNTG_30270.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5131926:5134891:1 gene:DRNTG_30270 transcript:DRNTG_30270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMGAEYNFYWETKRFLEEEELDSWGFEELLSGYSESSSPEEAGSSSTAAKNIVMERNRRRKLNERLYSLRSVVPTITKMDKASIIKDAIDYIQELQEEERRIISEISELECGRPAEEQRVCVTEMMDCVIDPFVPQTKKKRTNSMSPPTSSHSWSPVTSPSIEIIDLRVCEFGERTLVVSISCNKKRDTMIKLCKVFESLNLNIISANITSISGRLLHSLFVETEDMDSVQLKDMIESAINELDAPVSSISY >DRNTG_27749.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17893936:17895748:1 gene:DRNTG_27749 transcript:DRNTG_27749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHDEMGKPGHHHLILLLFILFSCLSIGQNKVYAQGTTTSNKSRNTTSSFDVGVILDTKTWLGNISWSCMSMAMEDFYNSHSNFTKRLSLHLQDVNKDDRIASASAALDLLKNVQVQAIIGPQTSRQAKFVIELGNSAQVPIISFTAKSSSLSTKKSPYFIRTGMNDASQAKVLASLVQNFGWRQVVLIYADTEFGNGIIPHVID >DRNTG_28452.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20169996:20172504:1 gene:DRNTG_28452 transcript:DRNTG_28452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAKKGWNLYMIQLQHHPLRTKAITAGVLSGISDSVAQKMSGIQRLQLRRLVLKVLFGVAYLGPFGHFLHKLLDSIFKGKRDSKTVAKKVLLEQLTSSPWNNMLFFLYYGLIVEKRPWPQVKNKIVKEYPSVQMTSWMFWPVVGWINHRYLPLQFRVIFHSLVAFCWGLFLNLRARSMTLIKQ >DRNTG_12584.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15600924:15606218:1 gene:DRNTG_12584 transcript:DRNTG_12584.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWVDVDKVQISDLPNGFLLIRCASDIVMQWLLLEVSWSINVDPRNSHSMVVDRVSAALDGSTMDEGSDPNGDESEKFDEEMGISTRDTSSRILCFIQSHKSMLTCLVETMANSDRINHFCSNLLRNWKWVAILADSYFGGIIILLNKLLSQTFSRSRHSKMSPAVKVVLINSSLLYIPTYCLSVYSVLDFVLNETSKVVRGLLLA >DRNTG_17660.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16813482:16818432:1 gene:DRNTG_17660 transcript:DRNTG_17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein 20 [Source:Projected from Arabidopsis thaliana (AT4G14465) UniProtKB/Swiss-Prot;Acc:Q8GWQ2] MANRWWAGPLGLTGGSPSSASGGSSSKKLDHDLMPSSKDPIPNDDILDEEERDSGEPHEGAVDIGSRRPRGRPPGSKNKPKPPIIVTRDSPNALRSHVMEVASGADVADSIANFARRRQRGVCVLSGAGSVANVTLRQPAAPGSVAALQGRFEILSLTGAFLPGPSPPGVTGLTVYLAGGPGQVIGGSVVGSLIAAGPVMVVAATFSNATYERLPLEDDEDDDAPASSAGQGHIPGGSPTALGGPSGIPGPSSMPIFNLPPNLIPNGMQLAHDGFPWPHARPPPF >DRNTG_17660.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16813482:16816049:1 gene:DRNTG_17660 transcript:DRNTG_17660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein 20 [Source:Projected from Arabidopsis thaliana (AT4G14465) UniProtKB/Swiss-Prot;Acc:Q8GWQ2] MANRWWAGPLGLTGGSPSSASGGSSSKKLDHDLMPSSKDPIPNDDILDEEERDSGEPHEGAVDIGSRRPRGRPPGSKNKPKPPIIVTRDSPNALRSHVMEVASGADVADSIANFARRRQRGVCVLSGAGSVANVTLRQPAAPGSVAALQGRFEILSLTGAFLPGPSPPGVTGLTVYLAGGPGQVIGGSVVGSLIAAGPVMVVAATFSNATYERLPLEDDEDDDAPASSAGQGHIPGGSPTALGGPSGIPGPSSMPIFNLPPNLIPNGMQLAHDGFPWPHARPPPF >DRNTG_17660.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16813292:16815380:1 gene:DRNTG_17660 transcript:DRNTG_17660.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein 20 [Source:Projected from Arabidopsis thaliana (AT4G14465) UniProtKB/Swiss-Prot;Acc:Q8GWQ2] MANRWWAGPLGLTGGSPSSASGGSSSKKLDHDLMPSSKDPIPNDDILDEEERDSGEPHEGAVDIGSRRPRGRPPGSKNKPKPPIIVTRDSPNALRSHVMEVASGADVADSIANFARRRQRGVCVLSGAGSVANVTLRQPAAPGSVAALQGRFEILSLTGAFLPGPSPPGVTGLTVYLAGGPGQVIGGSVVGSLIAAGPVMVVAATFSNATYERLPLEDDEDDDAPASSAGQGHIPGGSPTALGGPSGIPGPSSMPIFNLPPNLIPNGMQLAHDGFPWPHARPPPF >DRNTG_14079.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9052109:9056192:1 gene:DRNTG_14079 transcript:DRNTG_14079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGHLEQVRKPVMKQLKSLPGFLKGSMFVTVTKLSCEIIEFGWSKDRALVDTFIMGLDACIRERNVYEEQLLEVVSCMASLGFEKSYRATVGLLPRSYLDKLKSVGSTESKTMAPEASTE >DRNTG_03810.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000180.1:37252:37663:-1 gene:DRNTG_03810 transcript:DRNTG_03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYCSAFTIAKAYWLNEVLIDPVWILFGVHLRSFLALNSVNEELNEVLIEPVNHLNEVIIELGIPPGIEGSWR >DRNTG_12166.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12216973:12219090:-1 gene:DRNTG_12166 transcript:DRNTG_12166.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL7 [Source:Projected from Arabidopsis thaliana (AT3G53090) UniProtKB/Swiss-Prot;Acc:Q9SCQ2] MLLKFVTSCSRAPLLGFKYLHPSFTIHKVPCDAPLWATFRGQDVDRLPSASTCYNTLKLPTYKRPSTLRSKLLYAINSHAGFELS >DRNTG_12166.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12216973:12233403:-1 gene:DRNTG_12166 transcript:DRNTG_12166.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL7 [Source:Projected from Arabidopsis thaliana (AT3G53090) UniProtKB/Swiss-Prot;Acc:Q9SCQ2] MSKRPQVSLRGASAKEISRDALIEKVSHERELRNYARRASVAALFIQRVWRRFHVMKMVTEQLYEECENLSKDNFTCKSSGWISGRFLRLFLFIATQPSTLRYKMQLKNVACISTCFKILLQSIDSPESVKNFCSLAVGTADERSTWLYQSRKLLLLCFFVLSECDPSRLEGEHMVPLTSLAMRLAISLTDPKSWKCCKGENLNEVEAAAKKLIGFMTTKKSGSYCCIRKYIMKLGAQISLQSNMTSKASDHVLITASAVTLALRPFHAKYLGPDEGDAAVRDASELFFTLILTIPYLTQCLPPFLLAALKHECVLFPSLKMLLISKDRVFEEMSKLDHLETSSSPHCGISLSGWALVNIINLATESRDNLYSSGCFLEGLDCKLYLHVINCLSQNFLGFPGKGGQLLQRVNNDCQELGDCSSEVIGSDICSRVKTTYVSLLKPIYQQWHLLKLLALVKKEGPAQEINTYGAHECVEYCGNMELQDIVYLYYDMLRIYSILDPFGSLSILNVLAFTPGFLIELWKLLEDSIFHGTHHLSCEDKSCKALKSVYNSEVAWDKKQKQIAKETGNKWVNVLQKIARKSADVKESCSNNDALNSSYASEDDSDIWDIEPMRLGPQGLSKGMSCILHLFCAIYAHLLLVLDDIEFYEKQVPFSLQQQQRITSVLNTFVYNAFSYNAREAHRPTIDVGIRCLQLLYERDCRRSFCPSSLWLAPARKTRIPIAAAAKAHEAAFTNLHSGGVSTSSLRSILTSVPHIYPFEERVQIFREFIKSDKGSRRPGEVSGAGLGSIEVVVRRGHIIEDGFRQLNSLGSKLRSSINVSFINQSGLAEAGLDYGGLSKEFLTDLARAAFDPQYGIFCQTSTSERFLIPNMSAGFLENGFEMIEFLGRIVGKALYEGILLDYSFSLVFVQKLLGRYSFLDDLSALDPELYRNLIYVKNFDGDVAELSLDFTVTEELCGKRIVSELKPDGKNVCVTNENKRQYVHAVADYKLNRQVLPFANAFYRGLIDLISPSWLSLFNANEFNQLLSGGEHDFDVEDLKINTKYTGGYSEGSRTVKLFWEVIKGFKSTERCMLLKFVTSCSRAPLLGFKYLHPSFTIHKVPCDAPLWATFRGQDVDRLPSASTCYNTLKLPTYKRPSTLRSKLLYAINSHAGFELS >DRNTG_12166.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12216973:12233403:-1 gene:DRNTG_12166 transcript:DRNTG_12166.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL7 [Source:Projected from Arabidopsis thaliana (AT3G53090) UniProtKB/Swiss-Prot;Acc:Q9SCQ2] MSVYCFQASKCYWWWQISKDRVFEEMSKLDHLETSSSPHCGISLSGWALVNIINLATESRDNLYSSGCFLEGLDCKLYLHVINCLSQNFLGFPGKGGQLLQRVNNDCQELGDCSSEVIGSDICSRVKTTYVSLLKPIYQQWHLLKLLALVKKEGPAQEINTYGAHECVEYCGNMELQDIVYLYYDMLRIYSILDPFGSLSILNVLAFTPGFLIELWKLLEDSIFHGTHHLSCEDKSCKALKSVYNSEVAWDKKQKQIAKETGNKWVNVLQKIARKSADVKESCSNNDALNSSYASEDDSDIWDIEPMRLGPQGLSKGMSCILHLFCAIYAHLLLVLDDIEFYEKQVPFSLQQQQRITSVLNTFVYNAFSYNAREAHRPTIDVGIRCLQLLYERDCRRSFCPSSLWLAPARKTRIPIAAAAKAHEAAFTNLHSGGVSTSSLRSILTSVPHIYPFEERVQIFREFIKSDKGSRRPGEVSGAGLGSIEVVVRRGHIIEDGFRQLNSLGSKLRSSINVSFINQSGLAEAGLDYGGLSKEFLTDLARAAFDPQYGIFCQTSTSERFLIPNMSAGFLENGFEMIEFLGRIVGKALYEGILLDYSFSLVFVQKLLGRYSFLDDLSALDPELYRNLIYVKNFDGDVAELSLDFTVTEELCGKRIVSELKPDGKNVCVTNENKRQYVHAVADYKLNRQVLPFANAFYRGLIDLISPSWLSLFNANEFNQLLSGGEHDFDVEDLKINTKYTGGYSEGSRTVKLFWEVIKGFKSTERCMLLKFVTSCSRAPLLGFKYLHPSFTIHKVPCDAPLWATFRGQDVDRLPSASTCYNTLKLPTYKRPSTLRSKLLYAINSHAGFELS >DRNTG_12166.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12216973:12224116:-1 gene:DRNTG_12166 transcript:DRNTG_12166.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL7 [Source:Projected from Arabidopsis thaliana (AT3G53090) UniProtKB/Swiss-Prot;Acc:Q9SCQ2] MSAGFLENGFEMIEFLGRIVGKALYEGILLDYSFSLVFVQKLLGRYSFLDDLSALDPELYRNLIYVKVNFLALVYGRLLILPFVVFC >DRNTG_12166.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12216973:12233403:-1 gene:DRNTG_12166 transcript:DRNTG_12166.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL7 [Source:Projected from Arabidopsis thaliana (AT3G53090) UniProtKB/Swiss-Prot;Acc:Q9SCQ2] MSVYCFQASKCYWWWQISKDRVFEEMSKLDHLETSSSPHCGISLSGWALVNIINLATESRDNLYSSGCFLEGLDCKLYLHVINCLSQNFLGFPGKGGQLLQRVNNDCQELGDCSSEVIGSDICSRVKTTYVSLLKPIYQQWHLLKLLALVKKEGPAQEINTYGAHECVEYCGNMELQDIVYLYYDMLRIYSILDPFGSLSILNVLAFTPGFLIELWKLLEDSIFHGTHHLSCEDKSCKALKSVYNSEVAWDKKQKQIAKETGNKWVNVLQKIARKSADVKESCSNNDALNSSYASEDDSDIWDIEPMRLGPQGLSKGMSCILHLFCAIYAHLLLVLDDIEFYEKQVPFSLQQQQRITSVLNTFVYNAFSYNAREAHRPTIDVGIRCLQLLYERDCRRSFCPSSLWLAPARKTRIPIAAAAKAHEAAFTNLHSGGVSTSSLRSILTSVPHIYPFEERVQIFREFIKSDKGSRRPGEVSGAGLGSIEVVVRRGHIIEDGFRQLNSLGSKLRSSINVSFINQSGLAEAGLDYGGLSKEFLTDLARAAFDPQYGIFCQTSTSERFLIPNMSAGFLENGFEMIEFLGRIVGKALYEGILLDYSFSLVFVQKLLGRYSFLDDLSALDPELYRNLIYVKVNFLALVYGRLLILPFVVFC >DRNTG_12166.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12216973:12226223:-1 gene:DRNTG_12166 transcript:DRNTG_12166.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL7 [Source:Projected from Arabidopsis thaliana (AT3G53090) UniProtKB/Swiss-Prot;Acc:Q9SCQ2] MSAGFLENGFEMIEFLGRIVGKALYEGILLDYSFSLVFVQKLLGRYSFLDDLSALDPELYRNLIYVKVNFLALVYGRLLILPFVVFC >DRNTG_12166.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12226308:12233403:-1 gene:DRNTG_12166 transcript:DRNTG_12166.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL7 [Source:Projected from Arabidopsis thaliana (AT3G53090) UniProtKB/Swiss-Prot;Acc:Q9SCQ2] MHHPIRCRSEAPAPRRSPGTPLSRRFPMNGNSVITPAEPP >DRNTG_12166.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12223792:12227309:-1 gene:DRNTG_12166 transcript:DRNTG_12166.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL7 [Source:Projected from Arabidopsis thaliana (AT3G53090) UniProtKB/Swiss-Prot;Acc:Q9SCQ2] MSKLDHLETSSSPHCGISLSGWALVNIINLATESRDNLYSSGCFLEGLDCKLYLHVINCLSQNFLGFPGKGGQLLQRVNNDCQELGDCSSEVIGSDICSRVKTTYVSLLKPIYQQWHLLKLLALVKKEGPAQEINTYGAHECVEYCGNMELQDIVYLYYDMLRIYSILDPFGSLSILNVLAFTPGFLIELWKLLEDSIFHGTHHLSCEDKSCKALKSVYNSEVAWDKKQKQIAKETGNKWVNVLQKIARKSADVKESCSNNDALNSSYASEDDSDIWDIEPMRLGPQGLSKGMSCILHLFCAIYAHLLLVLDDIEFYEKQVPFSLQQQQRITSVLNTFVYNAFSYNAREAHRPTIDVGIRCLQLLYERDCRRSFCPSSLWLAPARKTRIPIAAAAKAHEAAFTNLHSGGVSTSSLRSILTSVPHIYPFEERVQIFREFIKSDKGSRRPGEVSGAGLGSIEVVVRRGHIIEDGFRQLNSLGSKLRSSINVSFINQSGLAEAGLDYGGLSKEFLTDLARAAFDPQ >DRNTG_05625.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19656420:19659069:1 gene:DRNTG_05625 transcript:DRNTG_05625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICHFLREIYAFDRDSDDGLESFDGDKGFESSRAKSSSSWELWKWWKVTTSPTSVEMNIGSGREVNPLPTTSPYLYRNETPKYSTSGFERPLACLWSGSPDLTPHFTFVGTDPVRCALGWTRGPLEKTRLLHKTIDMNTELQVMTRGNPSIFIEGDLELERTLRRRVENLYKSRRI >DRNTG_03911.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14743251:14746105:-1 gene:DRNTG_03911 transcript:DRNTG_03911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIVASHRSKALFTAAEKPGESRESTRACGNYPRPCGNSAQAREEGW >DRNTG_26733.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1223028:1223340:1 gene:DRNTG_26733 transcript:DRNTG_26733.1 gene_biotype:protein_coding transcript_biotype:protein_coding CQGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >DRNTG_06237.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5284632:5286921:1 gene:DRNTG_06237 transcript:DRNTG_06237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVSGIQGQLLDVTVVRCSKLKDTEWISRQDPYVCLEYASTKFRTRTCTDGGKNPVFQEKINIPLIEGLREITVTVWNSNTITFDDFIGTGRIQLQKVLSQGYDDSSWPLQSKSGKFAGEVTVIMHFANAKKGDKASLAGHALTHPTHMPTAPPVASYAPPPSSYAPAYPSSVPPGPSAGYPPPYGAYPSQPPMPYSAGYPPASYPATSYAPPPMPQAYPPQTYPPAAYPPQPYPPPPHAQPYYPPGSYPGSYPPY >DRNTG_19724.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:21082509:21088411:-1 gene:DRNTG_19724 transcript:DRNTG_19724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSCSTWMKVSGRAFVGTDPARSTIYFGDHVEGAPEDLANYPDSTQGRGLIPVGEPVINTRARIFSARPCGSLQKSSLHPKKTQGCAFTPTEKTQGRAAALVGRAHGRGYFQHAHVDAFKEINYYPESAEGIVVPSHPNILHSSESTLTSFPDLALPIWKDFYLVFNLNSSIMGPQSKNQEKKQPRESFPPSPTRYLDTSLLRDLQQGDEFTDEYESAVSKATCLSRPAYRYLHAILSRLVDGCGDSNGVLSRQELLYLYSMVQCIWLAPTF >DRNTG_03603.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:228325:229777:-1 gene:DRNTG_03603 transcript:DRNTG_03603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLASFQRRLEGKVALITGGASGIGESTARLFCKHGAKVVVADMQDELGRSVCADISPTEASFIHCDVTDEDNVSHAVDHTITKYGKLDIMFNNAGINHMLKPNILNISKEEFLQVLNVNLVGVFLGTKHAARAMIAARQGSIINTASGVSQCVLPEFHAYVSSKYAVVGLTRNTAAELGRFGIRVNCVSPGGVATRMTEGLGGLGKQEFEAMMSSLGYLKNVKLKAEDIADAVLYLGSDESKYVTGHNLVVDGGLSFGRACVELAKP >DRNTG_04128.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30166458:30167629:-1 gene:DRNTG_04128 transcript:DRNTG_04128.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWRFEEYKPELSMFALQWIYAINALLAKSVLTQGMNPMVYTVYRQAMATLVLAPITLLKRRNQEALGVKGFTVVFMAALIGSTLNQFAYYQGLKLASSTLASAVGNLTPVITFVMAVSIGLEKVEIRSIRSMAKIFGTITCVGGAVSMAVFKGPKILNFELHSKWEDLMIGFLFLIASNCCGSIWLILQGLICKSYLDPLSLATWMCFLSTILSAILTVFVEPSLSIWKINSRFQWFCCLYT >DRNTG_04128.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30165632:30167629:-1 gene:DRNTG_04128 transcript:DRNTG_04128.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIFGTITCVGGAVSMAVFKGPKILNFELHSKWEDLMIGFLFLIASNCCGSIWLILQGLICKSYLDPLSLATWMCFLSTILSAILTVFVEPSLSIWKINSRFQWFCCLYTGVMGSALTVSVQAWCISKRGPLFSAMFSPLCTVIITILASVLLREELYTGRYS >DRNTG_04128.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30165632:30167629:-1 gene:DRNTG_04128 transcript:DRNTG_04128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIFGTITCVGGAVSMAVFKGPKILNFELHSKWEDLMIGFLFLIASNCCGSIWLILQGLICKSYLDPLSLATWMCFLSTILSAILTVFVEPSLSIWKINSRFQWFCCLYTGVMGSALTVSVQAWCISKRGPLFSAMFSPLCTVIITILASVLLREELYTGSLAGIVAVITGLYMVLWGKAKDINEEGELNLTEDSPRTTVAVVKHWQDCESNLAEPLLDGRTNHESQMKEEETK >DRNTG_04128.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30165632:30167106:-1 gene:DRNTG_04128 transcript:DRNTG_04128.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIFGTITCVGGAVSMAVFKGPKILNFELHSKWEDLMIGFLFLIASNCCGSIWLILQGLICKSYLDPLSLATWMCFLSTILSAILTVFVEPSLSIWKINSRFQWFCCLYTGVMGSALTVSVQAWCISKRGPLFSAMFSPLCTVIITILASVLLREELYTGRYS >DRNTG_04128.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30165632:30167629:-1 gene:DRNTG_04128 transcript:DRNTG_04128.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIFGTITCVGGAVSMAVFKGPKILNFELHSKWEDLMIGFLFLIASNCCGSIWLILQGLICKSYLDPLSLATWMCFLSTILSAILTVFVEPSLSIWKINSRFQWFCCLYTGVMGSALTVSVQAWCISKRGPLFSAMFSPLCTVIITILASVLLREELYTGRYS >DRNTG_04128.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30165632:30167629:-1 gene:DRNTG_04128 transcript:DRNTG_04128.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWRFEEYKPELSMFALQWIYAINALLAKSVLTQGMNPMVYTVYRQAMATLVLAPITLLKRRNQEALGVKGFTVVFMAALIGSTLNQFAYYQGLKLASSTLASAVGNLTPVITFVMAVSIGLEKVEIRSIRSMAKIFGTITCVGGAVSMAVFKGPKILNFELHSKWEDLMIGFLFLIASNCCGSIWLILQGLICKSYLDPLSLATWMCFLSTILSAILTVFVEPSLSIWKINSRFQWFCCLYTGVMGSALTVSVQAWCISKRGPLFSAMFSPLCTVIITILASVLLREELYTGSLAGIVAVITGLYMVLWGKAKDINEEGELNLTEDSPRTTVAVVKHWQDCESNLAEPLLDGRTNHESQMKEEETK >DRNTG_04128.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30165632:30166431:-1 gene:DRNTG_04128 transcript:DRNTG_04128.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALTVSVQAWCISKRGPLFSAMFSPLCTVIITILASVLLREELYTGSLAGIVAVITGLYMVLWGKAKDINEEGELNLTEDSPRTTVAVVKHWQDCESNLAEPLLDGRTNHESQMKEEETK >DRNTG_04128.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30165632:30167629:-1 gene:DRNTG_04128 transcript:DRNTG_04128.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIFGTITCVGGAVSMAVFKGPKILNFELHSKWEDLMIGFLFLIASNCCGSIWLILQGLICKSYLDPLSLATWMCFLSTILSAILTVFVEPSLSIWKINSRFQWFCCLYTGVMGSALTVSVQAWCISKRGPLFSAMFSPLCTVIITILASVLLREELYTGRYS >DRNTG_02769.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11804316:11804657:1 gene:DRNTG_02769 transcript:DRNTG_02769.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFGHSHGRGNFQDETHSYGSIEKGCFIDWKVLRTIALEGEV >DRNTG_10029.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2937636:2940398:-1 gene:DRNTG_10029 transcript:DRNTG_10029.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g19220, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G19220) UniProtKB/Swiss-Prot;Acc:O49680] MLRSLSSSSTSLIPKSLHFLLLRTYPSPPCARLCSQRLVNGSQSLPMWYAHYMLDEMPQRATFIYNHLKFLKKSSANHDLLVSLHCLSLKVGCLSDVSVRTSLIGLYARAWDLGSSLALFDEMDDPDLISWNAVISACVVNAEFHLSTVLFRELVCGFGVFDSTTVVIVLSAVTRSCELKHGLVLHAMVLKKRLDFDVFLCNALVDMYAKCGDLSSSECVFEGMKVKDVTSWNSIMHGCLYNDCPVNSALCFREMNRSGVKADQVGLSCVVSACSGLKELFGFGISVHGCVIKLGCNEDSSVANSLITLYSRNEDVEDARQVFWKLVHKNEVSWNSIIHGLVGNDHVHEALDVFREMQSRIACQPDDITLVTIIPVFGQLRLLIHGKSMHGFAIRKELESKNLSILNSLLDMYLKCDDLISANILFNVMPTRDLITWNTIISGYSQFDSLKKEARSFFRKLLQTGLRCSLASILAILPSCTCPEDLQFGRTLHSWKYKYGFTSIVSVVNALMCMYINCGDLIAASLLLDSILIVSDVVSWNTMIVGCAQNGFYKDALEALEFMHCSLSLRPDPITFVSLLSACGNLKSLFHGKLIHGLASKSCIGSDVRVINALLTMYMRCKDTESAVAVFHFSPTTNLCSWNCMISGLTQNKEGQKALECFRWMEKFEPNEMSLVGAVCACTQSGNLRQGMEVHAYVLRSQHQNNMFISSALIDMYSKCGRLDIASCVFQNSSEKSVASWNAMISAYGIHGQGRKAIELFAKMSESSIKHTKSTYIAILLACCHSGLIDEAWKHYNCMLEEHGIKPTTEHHVCIVDMLGRAGMISEAFEFINKLPVRAESGIWGALLSACFDHGNVEIGKSSAENLFCMESVNTGYYVTLSNLYAFSGMWSNAVSVRGLIQDKKLKKPPGISSINVRMQ >DRNTG_34115.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32700700:32706134:1 gene:DRNTG_34115 transcript:DRNTG_34115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARDGEEEDYMGDISAFLPADDPQTKKIASCKNRQPPHPEKPKLPKSLPWKERRRLEKERRQREEDEKTRAALEVAIPETNVGFKMLRRMGYRPEEGRAEPVGIEIRRAREGIGVGEEKKRKEREVVERKRIIEEEMESDFGCRRRLEWRSRRVAGDYRKAEAALAQLENREVEPVKEDEGEDQEEEEEQQITEEDLHGLLLKLRDEYLYCLYCGCKYESMETMLNDCPGPHEEDH >DRNTG_34115.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32700700:32704334:1 gene:DRNTG_34115 transcript:DRNTG_34115.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARDGEEEDYMGDISAFLPADDPQTKKIASCKNRQPPHPEKPKLPKSLPWKERRRLEKERRQREEDEKTRAALEVAIPETNVGFKMLRRMGYRPEEGRAEPVGIEIRRAREGIGVGEEKKRKEREVVERKRIIEEEMESDFGCRRRLEWRSRRVAGDYRKAEAALAQLENREVEPVKEDEGEDQEEEEEQQITEEDLHGLLLKLRDEYLYCLYCGCKYESMETMLNDCPGPHEEDH >DRNTG_34115.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32703718:32703867:1 gene:DRNTG_34115 transcript:DRNTG_34115.3 gene_biotype:protein_coding transcript_biotype:protein_coding DLHGLLLKLRDEYLYCLYCGCKVSSPRLHFVKYANVICSYFQIKWDIC >DRNTG_01740.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4213683:4216416:-1 gene:DRNTG_01740 transcript:DRNTG_01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEERSRAKKNFGVNDIAECKGYNEGWIRVDIWDRLIDNVWNTEAWKNRSQKAKQNRIIEKHGSITKHTGGSIPFLLHAERMAKQLNRKPTYGEVFNRTHKRAKGLGDYIDNKSKSVSDLYTSSMSQKYGADESCHPEFDPQLWCDAIGGMETTQTHVYGFGTTPRGKSFLSPTNSIGEACSTACSPQVDQTPHSNTELIIYEKK >DRNTG_01740.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4213683:4216416:-1 gene:DRNTG_01740 transcript:DRNTG_01740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEERSRAKKNFGVNDIAECKGYNEGWIRVDIWDRLIDNVWNTEAWKNRSQKAKQNRIIEKHGSITKHTGGSIPFLLHAERMAKQLNRKPTYGEVFNRTHKRAKGLGDYIDNKSKSVSDLYTSSMSQKYGADESCHPEFDPQLWCDAIGGMETTQTHVYGFGTTPRGKSFLSPTNSIGEACSTACSPQVDQTPHSNTELIIYEKK >DRNTG_01740.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4213683:4216416:-1 gene:DRNTG_01740 transcript:DRNTG_01740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEERSRAKKNFGVNDIAECKGYNEGWIRVDIWDRLIDNVWNTEAWKNRSQKAKQNRIIEKHGSITKHTGGSIPFLLHAERMAKQLNRKPTYGEVFNRTHKRAKGLGDYIDNKSKSVSDLYTSSMSQKYGADESCHPEFDPQLWCDAIGGMETTQTHVYGFGTTPRGKSFLSPTNSIGEACSTACSPQVDQTPHSNTELIIYEKK >DRNTG_32365.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18684079:18689991:-1 gene:DRNTG_32365 transcript:DRNTG_32365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLQSSDLTGEGDRDRVGTVFRCRYLRVYVLRNIDLVYGGVGLLNNDGYYNSLLSFIDHAVEEGFIKPSAQHIIVSASNTKELIDKLELKVITRGNPLTLVEELESLFETWDRFKDLLRKCPQHGFPEWMIYNGLNPSMKQLVNAAAGGTLGSKTP >DRNTG_13811.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28419661:28422962:-1 gene:DRNTG_13811 transcript:DRNTG_13811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQSQNTSLQRLHLVEKRIVRVLELAGLVMDELANSTGPRSDALTSHCREFMVSIRDIQVTLREEIKSACEYRPFEKCDYNARISNEICCKKLEYVIEKLDDMKQSIEQCKDGN >DRNTG_13811.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28419661:28422962:-1 gene:DRNTG_13811 transcript:DRNTG_13811.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQSQNTSLQRLHLVEKRIVRVLELAGLVMDELANSTGPRSDALTSHCREFMDIQVTLREEIKSACEYRPFEKCDYNARISNEICCKKLEYVIEKLDDMKQSIEQCKDGN >DRNTG_27352.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1597618:1599063:-1 gene:DRNTG_27352 transcript:DRNTG_27352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKICCIGAGYVGGPTMAVIALKCPSIEVVVVDISVDRITAWNSDLLPIYEPGLEDVVKKCRGVNLFFSTDTEKHISEADIIFVSVNTPTKTRGIGAGKAVDLTYCESAARMIADVAKSDKIVVEKSTVPVKTAEAIEKILWHNSKGINFQVLSNPEFLAEGTAIQDLFYPDRVLIGGRETPGGQKAIETLKEVYSNWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGADVTEVAYAVGEDTRIGPKFLNASVGFGGSCFQKDILNLVYLCECNGLPEVAHYWEQVVKINDYQKTRFVSRVVASMFKAVSGKKIAILGFAFKKDTGDTSETPAIDVCKCLLRDKAKISIYDPQATEDQIQRDLTMNKFDWDHPIHLQPLSPSAVKQMTVTWDAYEATKGAHGICILTEWDEFKQLDYKKIYDNMQKPAFLFDSRNVVDPEKLREIGFIVYSIGKPLDAWLKHTPVVA >DRNTG_09526.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21920470:21924323:-1 gene:DRNTG_09526 transcript:DRNTG_09526.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGASKDATQRGGFSSSSTSSNQAIGVDLAPNHGFLTKSAIKRPLKPFFNLESTMAKASTLCSLALSFCYVIGLGLLSFTYVSLVSSQDWAHNSYEVKSMTKPTKEDNSSKYLIESMGEAQGCYPRDYLDL >DRNTG_13689.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22204813:22229129:-1 gene:DRNTG_13689 transcript:DRNTG_13689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPLHFSEHLLQDPRGRWYFFCRTKHHYFFIILLLVEQTLVWVRIEHFLHVFINNLISSVLSQVGGKMEKRILKSGLNRL >DRNTG_34514.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002160.1:49473:59560:-1 gene:DRNTG_34514 transcript:DRNTG_34514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTDSEHLAGAEIHGFHNTADLDVEGLMEEAASRWFRPNEVHALLSNYTRFKLQPKPVELPPSGTVRFFDRKMLRNFRKDGHNWKKKKDGKTVQEAHEKLKIGNEERIHVYYARSEDDPCFYRRCYWLLDKDLERIVLVHYRQTSEENIIQQHPESVECEGDLAGNVVKHIDSPIAPVDSSSGSAQSEMSTSGVMSEEINSRGDPETNTGYGTSQFEKVNELTNHELTLHEINTLEWSDLVGGSPYVDFASDRGGDVSALEQPSHREVNNIINNGSLSCSQGIGSCQPNAPCSDNGIFGINGATIGNFQLLENQQGPAQSFGAASSHYQSSEIRLPEDVSETVGFPKQNNLERWDSIGFFNDLQLDSEFLNPDSAVTENDPSAQELFCIVDISPAWGYSTEETKVLVIGGFPESQKHLAGHEICCIVDGTCVPMEIVQAGVYRFTAQPHMPGLVDLYLTIDGYTPISRVCSFDYCSTALLPGGIPSEVKNAEAKWKDFQIQMRLAHLLFSSTDNLSVMSNRVKPGNLQEAKKFASATSPLVEKGWANMIKSTSDNKDSSSIDTQGLFELILRNKLQEWLLTKIVDGCKKTPLDSQGQGVIHLCVILDYVWAIHLFSLSGLSLDFRDASGWTALHWAASLGREKMVAALLSAGANASLVSDPTPECPGGCLAADLASNGGFDGLAIYLSEKGLTAHFQAMSLSGNISGPTAPASSSLTRYESIEHLSEQELCLKDSLAAYRNAADAADRIQAAFRERALKLKTKAVQLVEPELEAKAIVAALRIQHAYRSHNRRIMLKAAARIQGHFRTWQARRNFLNMRKQVIKIQAAFRGYCARRHYTKIVWSVGILEKAVLRWRLKRKGLRGIQVEEPETMKVDKEQESVVEEDFFLVGQEQAEDRINRSVVRVQTMFRSYRAQQEYRRMKLACEEAKLEFGELLQ >DRNTG_28724.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8134179:8134657:-1 gene:DRNTG_28724 transcript:DRNTG_28724.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIANWGDNLFLHMAPEPPALEDIPLGCREIVFEYSFHMQKLGELLLELLSEALGLKPDHLKEMECTKGISLACYYYPPCPEPHLTIGTGKHSDSDFLTILLQYKSIGGLQVLHNNQWIDVPPSPDC >DRNTG_26022.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23197250:23199809:-1 gene:DRNTG_26022 transcript:DRNTG_26022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIINHKMITILALFLLSNACVLMTQSQRLSITDENQQENSTGTIRTYIVHVEDPVGNKELLKDQDFESWHKSFLPNTTLDSGEPRLIHSYRYVMGGFAAKLTHEEVKAMESMEGFLYAWPEQQLTGATTRSPDFLGLSEWQGLWRTSSYGTGMIIGVVDSGITPNHPSFTDDGSLPAPPLKWSGFCTLPQCNNKVIGAVAFRGRTNPSPEDENGHGTHVSSTAAGNPVYNAGFLGQARGRAVGMAPKAHIATYKVLYGPSPGGVGDESDFLAGINQAIRDGVDVLQMSLGNKRPIPLDQSSISVGSFGAILNNIFPSACAMNEGPTPSVISNDAPWVLTVGASSMDRKIKVTVRLGNNMELDGESGYQPENYNSQEFPLVFPGFSGIQGAETCEAGSLDSLDVQGKIVVCLAGGNSGNSDKGEVVKAANGEAIIIVNPVNYGFTTISEPYTIPAAQLTNADGQKIGAYLRAWQNPVASLTFKGTQLGTSPAPTVAFFSGRGPSLNNGGIIKPDIIGPGVNILAAWHRQVVQDPNTNIAFNFR >DRNTG_26022.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23199213:23199809:-1 gene:DRNTG_26022 transcript:DRNTG_26022.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIINHKMITILALFLLSNACVLMTQSQRLSITDENQQENSTGTIRTYIVHVEDPVGNKELLKDQDFESWHKSFLPNTTLDSGEPRLIHSYRYVMGGFAAKLTHEEVKAMESMEGFLYAWPEQQLTGATTRSPDFLGLSEWQGLWRTSSYGTGMIIGVVDSGITPNHPSFTDDGSLPAPPLKWSGFCTLPQCNNK >DRNTG_22276.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:872850:876731:1 gene:DRNTG_22276 transcript:DRNTG_22276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSVTPGAISMLLANPSPDSSSEIPEIVVQVVDLKPIGSSTTRFTFMASDGKMKIKAMLPTHFASEIHSGNLQNLGLIRILDYTCNSIPNQPDKALIVTKCEVVCPALETEIKSEVKEETGIVLKPKQEMVAKSAAQIVREQRGNAAPAARMAMTRRVHPLVSLNPYQGNWTIKVRVTNKGNLRTYKNAKGEGHVFNVELTDEDGTQIQATMFNEAANKFYPTFELGKVYYISKGSLKVANKQFRTVANDYEMTLNENSIVEEADGEGAFIPETKFNFVKIDQLGTYVNGRELVDIIGVVQNVSSTLSIRRKSNNDTIPKRDITIADDSNKTVVVSLWNELATDIGQQLLDTVDSAPVVAIKSLKVGDFQGVSLSSVSKSKVLINPDLPEAKKLRAWFDSEGKGTSMASVGSGFSALSKNGAKSMYSDRVLLSHITNDQTLGQDKPVFFSIKGYISFIKSDQTMSYRACKTCNKKVTEAIGSGYWCEACQKNDDECSLRYIMVVKVSDHSGEAWLSVFNEQAEKIIGCTADELNRIKSEEGDDKYQLKLKEATWVPHLFRVSVAQTEYMNEKRQRITVRAHAPLDCSAESRYLLEEIAKMSLS >DRNTG_02665.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000122.1:45564:46184:-1 gene:DRNTG_02665 transcript:DRNTG_02665.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLKSSSQSSPRVDGEIHRPSTMEFRMAGQLHHQPLAIQLLTSFKDDNNFMHQCFPILVSDLFILSCVVFCQ >DRNTG_18160.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3998516:4000137:-1 gene:DRNTG_18160 transcript:DRNTG_18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISHTVFDGGRNVIGVIPKALIGREITDVTIGEVKPVIDMHHRKAEMGNNADAFDCFAREVMELLKSFLKSLAGHN >DRNTG_14439.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000683.1:23207:34607:-1 gene:DRNTG_14439 transcript:DRNTG_14439.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARAVARFQPYLNPISAPISVFFSPSFPQPARALRLGFRGVLAKVLEKFYGSDIMIPLGRRLVGGLRSRRILYRTKESLDDE >DRNTG_26185.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29116157:29125289:-1 gene:DRNTG_26185 transcript:DRNTG_26185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRNLWVITCAAVGLMLMLSMRFSLAYTNDQDVYAINNLYSALGSPPLPGWVPNGGDPCTESWQGVQCIGSNITAIILNGANLGGDLGDKLQNFTSIVTIDLSNNNIGGSIPENLPSTMSKFFLAANQFTGSIPKSLAELALLSDMSVNENHLTGELPDAFQSLTGLINLDLSSNNLSGQLPPSMGSLSSITTLHIQNNQLSGTLDVLQDLPLKDLNIENNLFSGPIPEKLLNIPNFKNGGNPFNTSIAPSPTLSSPPLSPPGASAPETMPKNSSDGPADQNGSPPRKNKKVSALKVIGFVVLGVCVVIVAVLMVMYCMSKYQDRKSKHEAIPKRREERIHERPKDPKIYQERNLIGEERIHERPKDPKIYQERNMMVGKNPKGQKEKVQERSKHPINHGNLIEPNRKMEKAPPEAAETLNRDHEIDIAGTEMLELPPLPEKVIVNPRVPAESITKPPSLDLLPPTSVTSFSVASLQQYTGSFREENVISDGRFGKTYLAELPDRKLLAVLKLDNANSNMPVDDFLELVLAISELRHPNITELVGYCAEFGQRLLVYNYFSKRTLHDVLHGGDDLKRKLSWDARIKIALQSAKVLEYLHEACQPPVIHQNFEPANVLLDDKLAVRVSECGMASLVSSNSVTQLSGRIRSLFSYEAPELNESGSFTDRSDVYSFGVVMLELLTGRLPYDSSLPRPEQHLVRWASSQLHDINALSRMVDPSIDKKYPIKSLSRFADIISRCIQRGPEFRPLMSQVVQDLKLVVEESRNRSIGEDPMGQASTFKDEDGQ >DRNTG_14339.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16116513:16117701:-1 gene:DRNTG_14339 transcript:DRNTG_14339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLGSLASRNFGPIFGCAMGEYFHNNGMHALIIYDDLSKPVVAYRQISLLLRRPPGREAFPGDVFYLHSHFLDRAAKRSDQIGAGSSTALPIIETQAGDVSAYIPTNVIPITDGQICLETELFYHEIRPAINIGLSISRVGSAAQFRAMKQVRDSSKLELAQYREVAAFAQFGSDLDAVT >DRNTG_19122.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29650897:29655372:1 gene:DRNTG_19122 transcript:DRNTG_19122.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein-interacting protein 7 [Source:Projected from Arabidopsis thaliana (AT2G26280) UniProtKB/Swiss-Prot;Acc:O64843] MSLSIKGISPNKDTKSTQSNKVTSLNPNAAEFVPSSRRYSFGNANRADSTRLDITGTSRKAVLDRAESAISNNSDEEAHQFWRHQLPDDITPDFKAMGENESQETGHLSLCGLSIHDGGEIPRFSALTGSQILDTNHDNSDALGLAEDVRYSGSTFGGDHSVGIFGPSATNTWDKLFVNRDQYFNDQREDPNSSFLTDLVDQATFQDATINAVEFLASQFPGFSAESLAEVYYANDYDLDSTIETLTQLELQVDGSHSQNLNSKASISPNLSQQDFPALPLAKAQNGFSKLNADDIGRTPRPYTSSNVFGGATDYASTVRKLPSQESGPWKYGRNVFADVRIGSSRMPQLIANSQTGHEKKVYGDKVHSAHAAQVAPVWLETGEAVGNAF >DRNTG_19122.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29650897:29655372:1 gene:DRNTG_19122 transcript:DRNTG_19122.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein-interacting protein 7 [Source:Projected from Arabidopsis thaliana (AT2G26280) UniProtKB/Swiss-Prot;Acc:O64843] MSLSIKGISPNKDTKSTQSNKVTSLNPNAAEFVPSSRRYSFGNANRADSTRLDITGTSRKAVLDRAESAISNNSDEEAHQFWRHQLPDDITPDFKAMGENESQETGHLSLCGLSIHDGGEIPRFSALTGSQILDTNHDNSDALGLAEDVRYSGSTFGGDHSVGIFGPSATNTWDKLFVNRDQYFNDQREDPNSSFLTDLVDQATFQDATINAVEFLASQFPGFSAESLAEVYYANDYDLDSTIETLTQLELQVDGSHSQNLNSKASISPNLSQQDFPALPLAKAQNGFSKLNADDIGRTPRPYTSSNVFGGATDYASTVRKLPSQESGPWKYGRNVFADVRIGSSRMPQLIANSQTGHEKKVYGDKVHSAHAAQVAPVWLETGEAVANMYSESREEARDFARLQNTCFDQAREAYLIGNKALAKELSVKGQLYSIQMKTAHVKAREAIYHQRNPVSSENQSYGKGQEHLIDLHGLHVNDALHVLKHELEALRTVTRSTGQRLQVMICVTRGSWTPTRLPGAVEHYLLEENLHYSQPQPGLLHVVIY >DRNTG_14373.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:407313:409628:-1 gene:DRNTG_14373 transcript:DRNTG_14373.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSGTSVPMEESSRTSDKKQQKENKQRPQRQVVIEPKYLYKLDGSPAEYQTKFEKLHPISQQLVLEIQKRIREYKDESRSLDEFSCLYDSLGSGRCFEDDARYFNQQIRGLCIAHQKEHILAEELRDVSMKILRATDDAVRSYTELRNKFHLPSSIRASSVHTSTQMAGLAGIYSAADQPSMPFPAASGFPFMIARPSSFMLKTLARFDQYLTKISQQIVELEQLVQPTSEFSSSSVSSLQSIPRIMSNVHDFFIHVAAKVEKLHQHLNEMNDYRATGAVLRR >DRNTG_23359.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5937598:5940594:-1 gene:DRNTG_23359 transcript:DRNTG_23359.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium sensing receptor, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G23060) UniProtKB/Swiss-Prot;Acc:Q9FN48] MAMAMAMALRAVAMTKPPPSSSPLKTNSKLHSPKHHHPPSLFSPSSTSYSIIALVSSSSSEAKALSFAKDDIVSSLTKVEETIDQVQDVGSKALDFSQSVIKTLVDVLKPGIDAALPVLRSAGDEALKVASPVVSEAAKQATEALQSAGVDPSPVITAAKTLAGAAQQTGKVVEGAKPIASATVQTISSADPSVIVVSAGALFIAYLILPPIWSVVSVNFRGYKGNLTPAQALDLISTQNYLMIDIRSEKDKNKAGIPVLPPSAKNKMISIPVEELPSKIKNLVRDSKKVEAEIVALKISYLKRVNKGSNIVIMDSYSGTAKVVARALTSLGFKNSWVMTDGFSGSRGWLQSRLGTASYNVSIAEILSPSRIIPASRTRFGTTSSAAVQSNQKLLPGSVDN >DRNTG_34679.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28781267:28783047:-1 gene:DRNTG_34679 transcript:DRNTG_34679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIWLVLVIIGLIVLGLVMLGVFPDGEDRRKNWEEVLNQILNALFMIMCVYQQPKLFHHLVIVNRWRPEDKLELRKTYCKDTTPKPHERAHLLFVVVLLQITFVSQYVLCGLYWGYSRKARPDWAVNTAIGVGIGAPIIAAVWTVKSPLGRRYESETNDEEVQGEEAAIQLAADTELRLYNQGVVVTSPQWIGGLFHCCDDVTVACLSFFCMFCVFGWNVERLGFGNMYLHVITFMLFCIAPFLVFSATALSIDDDTVRYAMGIIGILLCFFGLLYGGFWRIQMRKKFKLPGNPCCCGYPSATDCAKWFFCWSCALAQEVRTGNFYDIEEDSFYRRVTDPEDGRLVIGEGGIEMSIGTEYTPRSHSCPPKLGTSNGVNQILPLSFERAATYGHVHVMQPPLPNLINMQQN >DRNTG_00844.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11600507:11603029:-1 gene:DRNTG_00844 transcript:DRNTG_00844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSFDDRDRKPSSADDIKPVFLSKAEREKLALQRREEEATEKKRRALDQLNHQSRSKPSSDPPSSTPDDNPRHHRSRDRDRDRDRDTDRRGGGGRDREREREDEARSRERARVEKQAEREKEKELESIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNVLYQNPHEARPLFGRGFRAGMDRREQKKLAARNEKEIRAEIRKKEGVEERPEEAAAQRQKEAAADLYDAFDMRVDRHWSEKKLEEMTERDWRIFREDYNISYKGSKLPRPMRNWPESKLSPELLKAVERAGYKSPSPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLTYITRLPPMNEDNEAEGPYAVVMAPTRELAQQIEEETVKFAHYLGIKVVSIVGGQSIEEQGFKLRQGCEVVIATPGRLLDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNMKPENEDEELDEKRIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLITQHVIMLKESV >DRNTG_03997.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25797122:25802970:1 gene:DRNTG_03997 transcript:DRNTG_03997.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMSIFSDAMWNKETCKDGADFYLVDSNAFIISAGSFPMEMSGRVEMEAKALNAAMQQNSELHGRLQSVTSTPYSDSHSLIDSEGSQKIKRSLNFLSSRSSVCTGVEEDMKKLKVMLPNIQTVLKDAEERAVRDESIYLWLMELRNVVFILDDMFDEYEYDLLQHQVMMRYEKVDEFISSSQKKLKSVVWAVDNDDDDDTRNENEYELSPHAVKETKRKWEFDNEDSSNSKSARKKSKIITSYVNDMLENNKHKELESRNEKWSGVEQEETGGGRREGM >DRNTG_29967.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1161890:1164648:-1 gene:DRNTG_29967 transcript:DRNTG_29967.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNGVVELRGLMKQKGVVKTPGCSMIESKGVVHEFLAGDISHPQIKEINKMLDEISQRLKVEGYEPDTGDVAFDIEEEEKETSIYRHSEKLAIAFGLISTLPPEPIRIMKNLRICGDCHAAAKIISRAFKREILIRDRRLFHHFKEGVCSCADYW >DRNTG_16653.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21221969:21222962:1 gene:DRNTG_16653 transcript:DRNTG_16653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKREIKPSLLFTNDSMNSLAAAVDVSRSFIGVITAISAAKSAAAVANTTTTSFLDELAETLAHQIERVEEAASRFESAIDAATPYPDQSRITIRRLATLERMAVMLRSWAERRCWEDDEGTAAIVAASEQRVIGLKRVVAWSGRVVEKRILRIRKESGSGAGFEPVSRDPFSLWSLEEEIREIENGGPHSEVENSKEFQEMLSLMKGLFLGT >DRNTG_16653.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21221969:21222909:1 gene:DRNTG_16653 transcript:DRNTG_16653.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKREIKPSLLFTNDSMNSLAAAVDVSRSFIGVITAISAAKSAAAVANTTTTSFLDELAETLAHQIERVEEAASRFESAIDAATPYPDQSRITIRRLATLERMAVMLRSWAERRCWEDDEGTAAIVAASEQRVIGLKRVVAWSGRVVEKRILRIRKESGSGAGFEPVSRDPFSLWSLEEEIREIENGGPHSEVENSKEFQEMLSLMKGLFLGT >DRNTG_16653.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21222274:21222909:1 gene:DRNTG_16653 transcript:DRNTG_16653.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLAAAVDVSRSFIGVITAISAAKSAAAVANTTTTSFLDELAETLAHQIERVEEAASRFESAIDAATPYPDQSRITIRRLATLERMAVMLRSWAERRCWEDDEGTAAIVAASEQRVIGLKRVVAWSGRVVEKRILRIRKESGSGAGFEPVSRDPFSLWSLEEEIREIENGGPHSEVENSKEFQEMLSLMKGLFLGT >DRNTG_16653.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21222274:21222962:1 gene:DRNTG_16653 transcript:DRNTG_16653.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLAAAVDVSRSFIGVITAISAAKSAAAVANTTTTSFLDELAETLAHQIERVEEAASRFESAIDAATPYPDQSRITIRRLATLERMAVMLRSWAERRCWEDDEGTAAIVAASEQRVIGLKRVVAWSGRVVEKRILRIRKESGSGAGFEPVSRDPFSLWSLEEEIREIENGGPHSEVENSKEFQEMLSLMKGLFLGT >DRNTG_16369.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000810.1:7347:8587:-1 gene:DRNTG_16369 transcript:DRNTG_16369.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESSCIVIELSFFVPSTAWNRELRVGHQSNQKTPSSSCHTPSSSLILPSSLDQ >DRNTG_01320.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:33880:35788:1 gene:DRNTG_01320 transcript:DRNTG_01320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYTVELLSTNRLLSSSNVRSDEACSLVKALLREYSGPSFQRTELRPKFFGFAYNAVMRMIANKRYYAYADESSSEGGNEFRDMVKQGSSIAGASNAADFIPLARWFRVGGHEKKLQGLKKRRDKFFQGLIDEHRTKKKMNGSQDGESSSVARSTFLDLLLSMQDDDPENVPDVFIKHSIAQLLVAGTDTSSVTMEWAMSLLLNNPDSLKKLRAELHANIEQSSILQEADLYKLPYLQAVILETLRIHPSVPLLVPHESSKDCTVGGFHVPKGTMLLVNAWKLHRDPETWEEPNKFKPERFLNNDGKEKMKIMAFGIGRRRCPGEVLALRVVAVVVGILVQCFEWERVDDEEIDMNEGVGLTMPKAKALVAMYKPREGMADLIC >DRNTG_01320.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:33880:35877:1 gene:DRNTG_01320 transcript:DRNTG_01320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYTVELLSTNRLLSSSNVRSDEACSLVKALLREYSGPSFQRTELRPKFFGFAYNAVMRMIANKRYYAYADESSSEGGNEFRDMVKQGSSIAGASNAADFIPLARWFRVGGHEKKLQGLKKRRDKFFQGLIDEHRTKKKMNGSQDGESSSVARSTFLDLLLSMQDDDPENVPDVFIKHSIAQLLVAGTDTSSVTMEWAMSLLLNNPDSLKKLRAELHANIEQSSILQEADLYKLPYLQAVILETLRIHPSVPLLVPHESSKDCTVGGFHVPKGTMLLVNAWKLHRDPETWEEPNKFKPERFLNNDGKEKMKIMAFGIGRRRCPGEVLALRVVAVVVGILVQCFEWERVDDEEIDMNEGVGLTMPKAKALVAMYKPREGMADLIC >DRNTG_01320.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:33555:35877:1 gene:DRNTG_01320 transcript:DRNTG_01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTVELLSTNRLLSSSNVRSDEACSLVKALLREYSGPSFQRTELRPKFFGFAYNAVMRMIANKRYYAYADESSSEGGNEFRDMVKQGSSIAGASNAADFIPLARWFRVGGHEKKLQGLKKRRDKFFQGLIDEHRTKKKMNGSQDGESSSVARSTFLDLLLSMQDDDPENVPDVFIKHSIAQLLVAGTDTSSVTMEWAMSLLLNNPDSLKKLRAELHANIEQSSILQEADLYKLPYLQAVILETLRIHPSVPLLVPHESSKDCTVGGFHVPKGTMLLVNAWKLHRDPETWEEPNKFKPERFLNNDGKEKMKIMAFGIGRRRCPGEVLALRVVAVVVGILVQCFEWERVDDEEIDMNEGVGLTMPKAKALVAMYKPREGMADLIC >DRNTG_01320.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:33555:35788:1 gene:DRNTG_01320 transcript:DRNTG_01320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTVELLSTNRLLSSSNVRSDEACSLVKALLREYSGPSFQRTELRPKFFGFAYNAVMRMIANKRYYAYADESSSEGGNEFRDMVKQGSSIAGASNAADFIPLARWFRVGGHEKKLQGLKKRRDKFFQGLIDEHRTKKKMNGSQDGESSSVARSTFLDLLLSMQDDDPENVPDVFIKHSIAQLLVAGTDTSSVTMEWAMSLLLNNPDSLKKLRAELHANIEQSSILQEADLYKLPYLQAVILETLRIHPSVPLLVPHESSKDCTVGGFHVPKGTMLLVNAWKLHRDPETWEEPNKFKPERFLNNDGKEKMKIMAFGIGRRRCPGEVLALRVVAVVVGILVQCFEWERVDDEEIDMNEGVGLTMPKAKALVAMYKPREGMADLIC >DRNTG_23895.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2072025:2076166:-1 gene:DRNTG_23895 transcript:DRNTG_23895.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCB3 [Source:Projected from Arabidopsis thaliana (AT1G16330) UniProtKB/TrEMBL;Acc:A0A178W969] MQNGSLDKHLYSEKCLLPWPVRYKIALGLASALLYIHEQWKQCIVHRDIKPSNVMLDAWYNVKLGDFGLAKLIEQGRGSQTTILAGTMGYLAPECVVTGKASKETDVYGFGVVALEICSGRRPLEPKEEQHKVKLVEWVWELYGRKNIFDAADSRLETDFDKHQMERLMVVGLWCANPDHNLRPSIRQVLSVLNYECALPELPAKMPVPMYFAPALDAAKLVYGSQCYSSVEASTSAAAGNRNNNKNEEYYAF >DRNTG_23895.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2074858:2081028:-1 gene:DRNTG_23895 transcript:DRNTG_23895.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCB3 [Source:Projected from Arabidopsis thaliana (AT1G16330) UniProtKB/TrEMBL;Acc:A0A178W969] MRRNTLSEATIHGNLKGNRLSYGPKFIKSQGLKIPQSSKLSLARSTNGNASSRKSFRPTSKIKIGPVIKEKFAIGNCDASSLPSNKDLELPSKTEGDHDESVTLQECVTGEAKTDGSHPADDNVKTVISRPKCKRRRSYTSSLVARSEVLGEGTDCMKKDELPNIDDSSNPLEVVEYVDDIYQYYWAMEVQNPSLANYMTVQSEITPRMRGILINWLIEVHFKFELMQETLFLMVELLDRVLSLVVVKKKELQMVGLTSLLLASKYEDFWHPKIAELISISANLYTRDEMLAMEKLILTKLRFRLNIPTPYVFMIRFLKAAQSEKKLEHLAFYLIELCLVEYEALRFKSSLLCASAIYVARCTLNITPVWTGLLMKHARYEEPELRACANMILGFHIAASRKSVNFTYEKFQSPERGCVAKLKPLDKLPL >DRNTG_23895.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2074858:2081281:-1 gene:DRNTG_23895 transcript:DRNTG_23895.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCB3 [Source:Projected from Arabidopsis thaliana (AT1G16330) UniProtKB/TrEMBL;Acc:A0A178W969] MRRNTLSEATIHGNLKGNRLSYGPKFIKSQGLKIPQSSKLSLARSTNGNASSRKSFRPTSKIKIGPVIKEKFAIGNCDASSLPSNKDLELPSKTEGDHDESVTLQECVTGEAKTDGSHPADDNVKTVISRPKCKRRRSYTSSLVARSEVLGEGTDCMKKDELPNIDDSSNPLEVVEYVDDIYQYYWAMEVQNPSLANYMTVQSEITPRMRGILINWLIEVHFKFELMQETLFLMVELLDRVLSLVVVKKKELQMVGLTSLLLASKYEDFWHPKIAELISISANLYTRDEMLAMEKLILTKLRFRLNIPTPYVFMIRFLKAAQSEKKLEHLAFYLIELCLVEYEALRFKSSLLCASAIYVARCTLNITPVWTGLLMKHARYEEPELRACANMILGFHIAASRKSVNFTYEKFQSPERGCVAKLKPLDKLPL >DRNTG_23895.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2074858:2081281:-1 gene:DRNTG_23895 transcript:DRNTG_23895.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCB3 [Source:Projected from Arabidopsis thaliana (AT1G16330) UniProtKB/TrEMBL;Acc:A0A178W969] MRRNTLSEATIHGNLKGNRLSYGPKFIKSQGLKIPQSSKLSLARSTNGNASSRKSFRPTSKIKIGPVIKEKFAIGNCDASSLPSNKDLELPSKTEGDHDESVTLQECVTGEAKTDGSHPADDNVKTVISRPKCKRRRSYTSSLVARSEVLGEGTDCMKKDELPNIDDSSNPLEVVEYVDDIYQYYWAMEVQNPSLANYMTVQSEITPRMRGILINWLIEVHFKFELMQETLFLMVELLDRVLSLVVVKKKELQMVGLTSLLLASKYEDFWHPKIAELISISANLYTRDEMLAMEKLILTKLRFRLNIPTPYVFMIRFLKAAQSEKKLEHLAFYLIELCLVEYEALRFKSSLLCASAIYVARCTLNITPVWTGLLMKHARYEEPELRACANMILGFHIAASRKSVNFTYEKFQSPERGCVAKLKPLDKLPL >DRNTG_23895.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2072025:2074421:-1 gene:DRNTG_23895 transcript:DRNTG_23895.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCB3 [Source:Projected from Arabidopsis thaliana (AT1G16330) UniProtKB/TrEMBL;Acc:A0A178W969] MTQKSLKNQSSPCIFLQLDFQTMHPFILFIFLCTVIHSANPLSFNFSSFDSNPECSGDQKTHCIDYQGDAFFNKAIQLTKNQLGTLITDSSGRAIFAEPLVLWDKDSGEVADFTTHFTFVINELNSSDYGDGLAFFLTPYSSTIPENSSGGALGLFEDSKKFNRSANEIVAVEFDSFQNEWDPSSDHLGININSIVSVTNMTWPSSMKDGRIANAWITYNASAMNLSVFLSYESNNLNFNGNSSLSYIVDFREILPEMVSVGFSASTGRVIELHSILSWEFSSSLVFSDKSNKVRILVGVCVGVVVLLVILLVFAWLVLWKRKTRRRRRSPSSSSSSRMEEDDNIDVSINDELGAKRFPYNELVAATKDFREDWKIGEGGFGDVYKGFLPDLNLEVAIKRISRSSKQGKK >DRNTG_23895.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2080055:2081028:-1 gene:DRNTG_23895 transcript:DRNTG_23895.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCB3 [Source:Projected from Arabidopsis thaliana (AT1G16330) UniProtKB/TrEMBL;Acc:A0A178W969] MNDREVSRLMPLRKSVPANPIQSKSTRLGGVNSLKGNLHNTENNMEKCDNFGKVRTGRKAFADLSNVNQNNDKSHQLGKRLGSNQRTM >DRNTG_23895.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2072025:2080172:-1 gene:DRNTG_23895 transcript:DRNTG_23895.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCB3 [Source:Projected from Arabidopsis thaliana (AT1G16330) UniProtKB/TrEMBL;Acc:A0A178W969] MRRNTLSEATIHGNLKGNRLSYGPKFIKSQGLKIPQSSKLSLARSTNGNASSRKSFRPTSKIKIGPVIKEKFAIGNCDASSLPSNKDLELPSKTEGDHDESVTLQECVTGEAKTDGSHPADDNVKTVISRPKCKRRRSYTSSLVARSEVLGEGTDCMKKDELPNIDDSSNPLEVVEYVDDIYQYYWAMEVQNPSLANYMTVQSEITPRMRGILINWLIEVHFKFELMQETLFLMVELLDRVLSLVVVKKKELQMVGLTSLLLASKYEDFWHPKIAELISISANLYTRDEMLAMEKLILTKLRFRLNIPTPYVFMIRFLKAAQSEKKLEHLAFYLIELCLVEYEALRFKSSLLCASAIYVARCTLNITPVWTGLLMKHARYEEPELRACANMILGFHIAASRKSVNFTYEKFQSPERGCVAKLKPLDKLPL >DRNTG_21574.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19230073:19231859:-1 gene:DRNTG_21574 transcript:DRNTG_21574.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSLASLMPALIPSPARPSLILAFSIHLIRLIPSLWLAGDSSLSSSSSSSSNEDITSLSLPLFELAITCLSLSGIPPDHIGHCLFRYASKACQETTSIYERSKSKKIIESIVALIPNKRGSIHASNLLQILRAAIDVHANKTCIHGLESMIAMQLTEVTIEDLLTHDIECMKRNTRLLHCML >DRNTG_25105.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:836663:839079:-1 gene:DRNTG_25105 transcript:DRNTG_25105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMAKRVSRVLPWMLAGVERRLCTTVETAAEEVETKEWKPLYRRLSALGKAPKGSVVETLNGWLREGQAITFNELMKDVRELRRYKRFDHALELMDWMEKRSMMFNEGTHAIRIDLISKVKGVDAAESYFNSLPEVVQSQLTYGALLSIYCQVKMEDKAMSIYEKMKELNLTSKTLVHNNLLALYSKLGQPEKVISLFQEMKSMNLSPDNYTYSILINNYASLNDLNTVEKLMHELEEGHKDVLDWTIFSNLASLYLSAGFPDKTELALKKLEEIRNKRDRMPYHHLISLYASIGNLAEVNRVWKLLKSSFEKTTNMSYLVMFQALSKLDDVDALNQCFQEWESARLSYDIRIVNVVIGTYLVKGMIKEAEFLWEQAKQKGAGSDFRTFNHFIDYYLKHDISSALKCLETATDLVKHDEWKPKQETVTAFLKYIEETKDVDSAQAVYKCLKKLNCIFEIVRVETKARDSDCIFETFQRN >DRNTG_24275.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10735522:10736010:-1 gene:DRNTG_24275 transcript:DRNTG_24275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLSELHHNTHLMDLFLGLNPHILLTLGYQNTEGPSTVIIISHHRPIAGDLSGHHHHHDHEDNIAKTLQHSCH >DRNTG_21891.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19595458:19598780:1 gene:DRNTG_21891 transcript:DRNTG_21891.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNKVMKWSPWSPAPTSPETKKKKKKKKKKCNVIMKVVKVEGLVLPASGAGDTRVAAVEIRWKDSLQRKEQKFSYFRGMKRSKKMVKNVSGDRVVDGGGAAVWDENLSFPFNPDSSSAWEVSFSILYGTQKERKKGKPLEEVGSAEINLAEWICNYKVEVRGKETEFQGQRKTLPIIIRTENLSCNALLYVIFSLEEGNAEKPDDKYNSGQMDTEYMNSSHFVKLNEEQDRFNASSTSTSNSNSGGSSPSKHKLFSWSKRRKNSSENVNEKVAEIDNCVTKEKEEDPIGRWEQREYISRDKQTKLRIQTFFASIDQRDESANGESACTTLVAVITDAIHRRRLNTPTRIEFDRLIREGSSEWQKLCRNKTYVEQFPNKHFDLETILEAKVRPINVVSEKSYIGFFQPESFESLQGAMSFDNIWEEITEGIHEGDQKVYIVSWNDHFFVLKLEANVYQIIDTLGERLYEGCNKAYILEFDEASEMYQLPEINGDDSEEELVSRGKECCREFIKRFLAAIPLREELEMEEKGKENGTTSIALHQRLQIDFHLTEANCS >DRNTG_12866.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18403749:18404763:1 gene:DRNTG_12866 transcript:DRNTG_12866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPFSFLLLLTLLSFIHPTPISSFEFEVGGNAGWAVPSKDTQFYNHWASDNRFKIGDTINFKYDKDSVLVVEEGDYDKCDSAHPIFFSNNGNTVYTLDHSGAFYFISGIAEHCQKGQKMIIRVMGHSEGPGSSPDAGNHTGTHNVPSSSPNGSGGGLYEGFHAMHVSLLWVFFMFLGSLLF >DRNTG_22152.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3856284:3863986:1 gene:DRNTG_22152 transcript:DRNTG_22152.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HLB1 [Source:Projected from Arabidopsis thaliana (AT5G41950) UniProtKB/Swiss-Prot;Acc:Q9FHY8] MEEREPGKGEPSELQNGSEPASDAATVATEEEAGVGSVVDPNQDPSSVTADQGLSTANANQDLSTVNSDQDPSTANPGLSNGEGSRTFTMRELLNELKEGEKRSVEEGSAGANRASDAAIDGSRTDAGLSYNHDTLHQQYANQHDTAMDLINNVTGVDEEGRSRQRILTFAAKRYATAIERNPGDYDAYYNWALVLQESADNVSPDSGSPSKDALLEEACKKYDEATRLCPTLHDAFYNWAIAISDRAKMRGRTKEAEELWKQATRNYEKAVQLNWNSPQALNNWGLALQELSAIVPVREKQTIVKTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTLRSGRPINAKETSPDELYSQSAIYIAAAHALKPNYSVYRSALRLVRSMLPLPYLKVGYLTAPPARNPIAPHTDWERSHFILNHEGLQQVSKADKLISQSPQRLQSSATMDKSLVKVDVPDIVSVSACADLTLPPGAGLCIDTVQGPVYLVADTWEALDGWLDAIRLVYTIFARGKSDVLAGVITG >DRNTG_10237.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:137725:138722:1 gene:DRNTG_10237 transcript:DRNTG_10237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFEFFEMMKERNTTTWNSLITCYEQNGPTNEALVVYVRMMDCGVEFDEVTLASVASASATLSAIREGLQIHAQVIKFESRIEVARKIFDYMPVRSTVAETSMISGYAKSSSVEDAKLVFSRMTEKNIIAWNALNAGYTQNGDDKEAVRLFEAGPETDIFVGNSLMDMYLKYGSVDEGGKVFERMIGKDRVSWNAMIVGYAQNGRGEEALHLFKRMLSSGKTPDNVTMIGVLSGCSHAGLVDDGRQYFQSMTREFSLVPCRDHYTCMR >DRNTG_07340.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23594352:23598557:1 gene:DRNTG_07340 transcript:DRNTG_07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLFSVAWKMMAGLFSIVWEVIMEILKASVLPAFIWRRVDDELEVLFKSLQLIPLRGDDAKYINLTEEADTDSWLSLLTDASRDFAAVQDITYMVNEHFGSQSEGGHPRSKVRDFFSQDHNPLLFKLQLVKKLTNVNERINGLSKKMQEFIPRFNKKKTSSCSYRHESLVIFGRDEEKNTVVEMLIRNWSDDRVVVVSIVGKRGMGKTSVAQLVLKDEVVKNQFELCIWVWVSDDFDVPKLAGKIIHTATREICDHTNMEVLQKDLRDVLGRKKYLLVLDDVCNEDSQKWDALRNILPDGAGGSRILVTTRNVKCSRVMGAQESLSLSHLSNESSWHLFEQKAFVIGAQRPPGLVEFAREHIEKCDGVPFLIEILAVCVRCSQCLAWSKQWKSRSKGIELSRQREQLSMGVERLRSCMPGGGYYNLLSTISFKQLAEQTIQYLHQIMQALKCITTNPFFLSAILICAIACFINFLFNDYSNQQELWYIPPT >DRNTG_07340.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23594352:23597833:1 gene:DRNTG_07340 transcript:DRNTG_07340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLFSVAWKMMAGLFSIVWEVIMEILKASVLPAFIWRRVDDELEVLFKSLQLIPLRGDDAKYINLTEEADTDSWLSLLTDASRDFAAVQDITYMVNEHFGSQSEGGHPRSKVRDFFSQDHNPLLFKLQLVKKLTNVNERINGLSKKMQEFIPRFNKKKTSSCSYRHESLVIFGRDEEKNTVVEMLIRNWSDDRVVVVSIVGKRGMGKTSVAQLVLKDEVVKNQFELCIWVWVSDDFDVPKLAGKIIHTATREICDHTNMEVLQKDLRDVLGRKKYLLVLDDVCNEDSQKWDALRNILPDGAGGSRILVTTRNVKCSRVMGAQESLSLSHLSNESSWHLFEQKAFVIGAQRPPGLVEFAREHIEKCDGVPFLIEILAVCVRCSQCLAWSKQWKSRSKGIELSRQREQLSMGVERLRSCMPGGGYYNLLSTISFKQLAEQTIQYLHQIMQALKCITTNPFFLSAILICAIACFINFLFNDYSNQQELWYIPPT >DRNTG_25006.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27224316:27228172:-1 gene:DRNTG_25006 transcript:DRNTG_25006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPSSSSISTPLILYNGVIVTMDPHSRVFRNGAIVVSGDRIHSIGHSDDILRDFSHLADGSIDLCRRILLPGFVNTHVHTSQQLGRGIADDVDLMTWLHERIWPYEASMMEKDSYVSTLLCGIELIRSGVTCFAEAGGQHVGGMAKAVELLGIRACLTQSTMDSGEGLPVNWRSHSTDYCIQSQKELHRKHHNTADGRIRIWFGLRQIMNATDELLLKTKDAAEELKTGIHMHVAEIPYETQHVMNTRKVEHGTVTYLEKIGLLRENLLAAHSVWLNDAEICFLSKSGTKVSHCPASAMRMLGFAPVREMLDAGVCVSLGTDGAPSNNRMSIVDEMYLASLINKGREAYISGTTNPTAVPAEVVLKMATINGAKSVLWDNEIGSLEVGKKADMIIVNPFSWSMVPLHDCISSLVYCMRTENIESVMCNGQWIMKDRKIVNLDEGEVISLAMQASNDLLKRAGIKIPNKMNFL >DRNTG_25322.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20422529:20425760:-1 gene:DRNTG_25322 transcript:DRNTG_25322.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVTLVETRDLGERNVQWVFLGCPGVGKGTYASRLSQLLGVPHIATGDLVREELSSSGPLAQQLAAIVNQGKLVSDEIVIDLLSKRLENGAAKGESGFILDGFPRTIRQAEILEGVTDLDLVLNLKLREDVLIEKCLGRRICSQCGGNFNVASISVKGENGMPGIYMAPLLPPSHCTSKLITRSDDTEEVVKERLRIYNEMSKPVEEFYTSRGKLQEFDLPGGISESWPKLLEALNIEDSDHKQSAAA >DRNTG_27756.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24453023:24455139:-1 gene:DRNTG_27756 transcript:DRNTG_27756.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFEGSLNGLKTSLTFTEIDSSMELMSHFTEMNDTGFPSDFLSPFSDNLSVLFPAESSKTVLPVESHTIHSDHHQGSKKRKAKLMVETSSSNSSSLLSDSRLTAEQKLKGGKSSSCGVKRGKSCNSKDEEKPKEVVHVRARRGQATDSHSLAERVRREKINERMKCLQGLVPGCYKAMGMAMMLDEIINYVQSLQNQVEFLSMKLSAASSYYDFNSDAEAMATEQVLPRSVGNVHEEQVMERLAREGYGGCTGFNSTMPF >DRNTG_11189.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2081185:2084295:-1 gene:DRNTG_11189 transcript:DRNTG_11189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGVDLEEIPSVDLMTELLRRMKCSSKPDKRLILIGPPGSGKGTQSPLIKDEYCLCHLATGDMLRAAVAAKTPLGIKAKETMEKGELVSDDLVVGIIDEAIKKPSCQKGFILDGFPRTVAQAEKLDEMLGKQGTKIDKVLNFAIDDAILEERITGRWIHPPSGRTYHTKFTPPKAPGLDDVTGEPLIQRKDDTAEVLKSRLEAFHRQTAPVIDYYSKKGNVAQLHAEKPPKEVTAEVQKALA >DRNTG_35240.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3517874:3519597:-1 gene:DRNTG_35240 transcript:DRNTG_35240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAEGVLLNHIARETPDVKRLAAFYEEVLGFQRVQTPNFGGFEVVWLSLPPSFTLHLIERNPLSGSRSEPFVRDPKALPRAHHVCLSVSNFDSFVQALKEKGIETFEKTQPDGKTKQVFFFDPDGNGLEVSSRVDPKERGS >DRNTG_00540.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30272361:30273153:1 gene:DRNTG_00540 transcript:DRNTG_00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVVGIFVGQAWSGVPWFEAGADPRALAPFSFGTLLGTQLLLMGWVESKRWVDFFNPESQSVEWATPWSKTAENFANATGEQGYPGGKFFDPLGLAGTLNNGVYVVDVEKLDRLKVAEIKHARIAMLAMLIFYFEAGQGKTPLGALGLLILILMLMRWYVRTCSFWKDNMHAVYRIYGNRLELINACMHVSTLCR >DRNTG_33343.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21504097:21505230:1 gene:DRNTG_33343 transcript:DRNTG_33343.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKKKKKKNREKSEREKVMEKKRMSTERK >DRNTG_16598.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3918083:3919175:1 gene:DRNTG_16598 transcript:DRNTG_16598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGSQPSLRKYLGAIKDSTTVGLAKVNSDYKELDIAIVRATNHVERPAKEKHLTAIFLAISATRPRADVAYCIHALARRLSKTHNWA >DRNTG_16598.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3918388:3919175:1 gene:DRNTG_16598 transcript:DRNTG_16598.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGSQPSLRKYLGAIKDSTTVGLAKVNSDYKELDIAIVRATNHVERPAKEKHLTAIFLAISATRPRADVAYCIHALARRLSKTHNWA >DRNTG_07596.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15134595:15136100:1 gene:DRNTG_07596 transcript:DRNTG_07596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQHYHELVFLSTLLFLSISLSTQSHETLVFPVRKDPTTLQYITGIYQRTPLVPVRLLVDIGNGFIWVICEDNYVSSSYRPARCNSTQCVLAGPTTCNTCDGTPRPNCNNNTCGLYVQNPISHVILEGDDLIDDVVLFRSIHGNFATVPHFLFSCGANILRDGLASGVDGVAGFGRTKIAIPTQLSSVFNIHPQFALCLSSSPSSNGFIFVGNGGNYKLAPGIDVSSFLMRTPLITNPVSTIAFSFPGEASSEYFIGVSSIKINGKSSEDKHNFAGHR >DRNTG_31786.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13958075:13960091:-1 gene:DRNTG_31786 transcript:DRNTG_31786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIEERAEIEEEEEEDREILNPLLPIGRVKKIVKMDRDIKKVNSEALHLITLSTQLFIEFLAERSRTAAVEKKRKVIKVEHLRSAARNHPPTSDFLLDCLPKPAQAKPSASASSRPLPDDAPPPPQPLPPGARRIDDFFSKPSSAGKQGTSEDGAATAC >DRNTG_31786.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13959608:13960091:-1 gene:DRNTG_31786 transcript:DRNTG_31786.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIEERAEIEEEEEEDREILNPLLPIGRVKKIVKMDRDIKKVNSEALHLITLSTQLFIEFLAERSRTAAVEKKRKVIKVEHLRSAARNHPPTSDFLLDCLPKPAQAKPSASASSRPLPDDAPPPPQPLPPGARRIDDFFSKPSSAGYYR >DRNTG_16089.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25547867:25553575:1 gene:DRNTG_16089 transcript:DRNTG_16089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKGRRASVGKTRRGVVRLKPDGMRNSGEKEKEFHVVESGDRSRSVRGSIRKDGFARNGKVSDFRLSQEKPSYARRGRFAIDDSNGRKKSETVDFKPRRRDEELRSASREALSKSKLVSKEKKMDHKKEKNGAKGDSGEKFLDQNVIGEENKADLSEGKKRVIYQTRLLDKTGKKIRGHKKDSDDEVADAERKKRKRVIRIDPYDISNKRLDDGISNEEKPEVKEVEPSKNAQFRAIQPSPSILSFVEDNLLGRRRLNELRNAGYNVKLPAPLDNIPFSTSIERERIEENVFRSKLEFFAAAKVSSSFPPPLIPEIAFAGRSNVGKSSLLNALTRQWGVVRTSDKPGLTQTINFFKLGTKLSLVDLPGYGFAYAKEEVKDAWEELVKEYVSTREGLKRVCLLIDTKWGMKPRDHELIDLMERSRTPYQIVLTKTDTILPIDVARRAMQVQNNLKANKSVVNPVMMVSSKSGAGIQNLRTVLAKIVRFAKP >DRNTG_18792.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:317176:318924:-1 gene:DRNTG_18792 transcript:DRNTG_18792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIAAYLLALLGGNLSPSADDLKNILGSVGAETDEDKFEFFLSEVKGKDITELIALGKEKLASVPAGGGGGGALVVATPAGGASTAGPAAAEPKKEEKVEEKEESDDDMGFSLFD >DRNTG_13126.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19232591:19238889:1 gene:DRNTG_13126 transcript:DRNTG_13126.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLFAQSPCQRPEKAIISDEFVRESSNKPSEKAKSQASFDELQKIFVASHQGHSLSIAPFQFNTLSPDDMVSVGKISSKKSSKVSANNTGKKAIAKFSATNEMAENSSGIISGANQLNGSESGNANIKSDAPQILASDLHQMKLDKASTNFRKAKPLAEYKPEKWMLANQDGILNQLNLAVVGHVDSGKSTLSGQLLHLLGRVSKKEMHKFEKEAKEKGKGSFAFAWATDESAEERERGITMTVAVAYFDSKKYRVVLLDSPGHKDFVPNMISGATQADAAVLVVDASIGSFEAGMDGNGFGQTKEHAQLIRSFGVEQVIVAVNKMDTVGFSKERFEFIKSQLGNFLRSCGFKESQITWIPLSAMENENLVTSATDARLSSWYEGSCLLEAVDSLQLPVRDASKPLLLPICDVIKSHSLGQVAACGKIETGAIKNGSRVLVMPLREVATVRSIERDSCPCSVARAGDNVAVSLQGIDGVHVTPGGVLCHPDYPVTVASRLELKILVLDIMGPILVGSKFEFHIHHAKEAARLVKIVSLVDQKTGEAAKKTPRFLTAKQSAIIEVALDRAVCAEEFSKHRALGRAFLRASGCTVGVGIVSKVFSDES >DRNTG_13126.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19232591:19238889:1 gene:DRNTG_13126 transcript:DRNTG_13126.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKVNYGLDYDDGYDDYDDYDDYEDYANANDYGVKEKEKPSWNDRSTIKVEKKTTKLALWQCSVCNSDNEQSSSSCDICGVLRDPAQNIGNGSAENVRGKCKDSGASIMAKSLFAQSPCQRPEKAIISDEFVRESSNKPSEKAKSQASFDELQKIFVASHQGHSLSIAPFQFNTLSPDDMVSVGKISSKKSSKVSANNTGKKAIAKFSATNEMAENSSGIISGANQLNGSESGNANIKSDAPQILASDLHQMKLDKASTNFRKAKPLAEYKPEKWMLANQDGILNQLNLAVVGHVDSGKSTLSGQLLHLLGRVSKKEMHKFEKEAKEKGKGSFAFAWATDESAEERERGITMTVAVAYFDSKKYRVVLLDSPGHKDFVPNMISGATQADAAVLVVDASIGSFEAGMDGNGFGQTKEHAQLIRSFGVEQVIVAVNKMDTVGFSKERFEFIKSQLGNFLRSCGFKESQITWIPLSAMENENLVTSATDARLSSWYEGSCLLEAVDSLQLPVRDASKPLLLPICDVIKSHSLGQVAACGKIETGAIKNGSRVLVMPLREVATVRSIERDSCPCSVARAGDNVAVSLQGIDGVHVTPGGVLCHPDYPVTVASRLELKILVLDIMGPILVGSKFEFHIHHAKEAARLVKIVSLVDQKTGEAAKKTPRFLTAKQSAIIEVALDRAVCAEEFSKHRALGRAFLRASGCTVGVGIVSKVFSDES >DRNTG_13126.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19232591:19238889:1 gene:DRNTG_13126 transcript:DRNTG_13126.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKVNYGLDYDDGYDDYDDYDDYEDYANANDYGVKEKEKPSWNDRSTIKVEKKTTKLALWQCSVCNSDNEQSSSSCDICGVLRDPAQNIGNGSAENAPFQFNTLSPDDMVSVGKISSKKSSKVSANNTGKKAIAKFSATNEMAENSSGIISGANQLNGSESGNANIKSDAPQILASDLHQMKLDKASTNFRKAKPLAEYKPEKWMLANQDGILNQLNLAVVGHVDSGKSTLSGQLLHLLGRVSKKEMHKFEKEAKEKGKGSFAFAWATDESAEERERGITMTVAVAYFDSKKYRVVLLDSPGHKDFVPNMISGATQADAAVLVVDASIGSFEAGMDGNGFGQTKEHAQLIRSFGVEQVIVAVNKMDTVGFSKERFEFIKSQLGNFLRSCGFKESQITWIPLSAMENENLVTSATDARLSSWYEGSCLLEAVDSLQLPVRDASKPLLLPICDVIKSHSLGQVAACGKIETGAIKNGSRVLVMPLREVATVRSIERDSCPCSVARAGDNVAVSLQGIDGVHVTPGGVLCHPDYPVTVASRLELKILVLDIMGPILVGSKFEFHIHHAKEAARLVKIVSLVDQKTGEAAKKTPRFLTAKQSAIIEVALDRAVCAEEFSKHRALGRAFLRASGCTVGVGIVSKVFSDES >DRNTG_13126.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19232591:19238889:1 gene:DRNTG_13126 transcript:DRNTG_13126.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKVNYGLDYDDGYDDYDDYDDYEDYANANDYGVKEKVTEKPSWNDRSTIKVEKKTTKLALWQCSVCNSDNEQSSSSCDICGVLRDPAQNIGNGSAENVRGKCKDSGASIMAKSLFAQSPCQRPEKAIISDEFVRESSNKPSEKAKSQASFDELQKIFVASHQGHSLSIAPFQFNTLSPDDMVSVGKISSKKSSKVSANNTGKKAIAKFSATNEMAENSSGIISGANQLNGSESGNANIKSDAPQILASDLHQMKLDKASTNFRKAKPLAEYKPEKWMLANQDGILNQLNLAVVGHVDSGKSTLSGQLLHLLGRVSKKEMHKFEKEAKEKGKGSFAFAWATDESAEERERGITMTVAVAYFDSKKYRVVLLDSPGHKDFVPNMISGATQADAAVLVVDASIGSFEAGMDGNGFGQTKEHAQLIRSFGVEQVIVAVNKMDTVGFSKERFEFIKSQLGNFLRSCGFKESQITWIPLSAMENENLVTSATDARLSSWYEGSCLLEAVDSLQLPVRDASKPLLLPICDVIKSHSLGQVAACGKIETGAIKNGSRVLVMPLREVATVRSIERDSCPCSVARAGDNVAVSLQGIDGVHVTPGGVLCHPDYPVTVASRLELKILVLDIMGPILVGSKFEFHIHHAKEAARLVKIVSLVDQKTGEAAKKTPRFLTAKQSAIIEVALDRAVCAEEFSKHRALGRAFLRASGCTVGVGIVSKVFSDES >DRNTG_13126.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19232591:19238889:1 gene:DRNTG_13126 transcript:DRNTG_13126.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVGKISSKKSSKVSANNTGKKAIAKFSATNEMAENSSGIISGANQLNGSESGNANIKSDAPQILASDLHQMKLDKASTNFRKAKPLAEYKPEKWMLANQDGILNQLNLAVVGHVDSGKSTLSGQLLHLLGRVSKKEMHKFEKEAKEKGKGSFAFAWATDESAEERERGITMTVAVAYFDSKKYRVVLLDSPGHKDFVPNMISGATQADAAVLVVDASIGSFEAGMDGNGFGQTKEHAQLIRSFGVEQVIVAVNKMDTVGFSKERFEFIKSQLGNFLRSCGFKESQITWIPLSAMENENLVTSATDARLSSWYEGSCLLEAVDSLQLPVRDASKPLLLPICDVIKSHSLGQVAACGKIETGAIKNGSRVLVMPLREVATVRSIERDSCPCSVARAGDNVAVSLQGIDGVHVTPGGVLCHPDYPVTVASRLELKILVLDIMGPILVGSKFEFHIHHAKEAARLVKIVSLVDQKTGEAAKKTPRFLTAKQSAIIEVALDRAVCAEEFSKHRALGRAFLRASGCTVGVGIVSKVFSDES >DRNTG_13126.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19232591:19238889:1 gene:DRNTG_13126 transcript:DRNTG_13126.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLFAQSPCQRPEKAIISDEFVRESSNKPSEKAKSQASFDELQKIFVASHQGHSLSIAPFQFNTLSPDDMVSVGKISSKKSSKVSANNTGKKAIAKFSATNEMAENSSGIISGANQLNGSESGNANIKSDAPQILASDLHQMKLDKASTNFRKAKPLAEYKPEKWMLANQDGILNQLNLAVVGHVDSGKSTLSGQLLHLLGRVSKKEMHKFEKEAKEKGKGSFAFAWATDESAEERERGITMTVAVAYFDSKKYRVVLLDSPGHKDFVPNMISGATQADAAVLVVDASIGSFEAGMDGNGFGQTKEHAQLIRSFGVEQVIVAVNKMDTVGFSKERFEFIKSQLGNFLRSCGFKESQITWIPLSAMENENLVTSATDARLSSWYEGSCLLEAVDSLQLPVRDASKPLLLPICDVIKSHSLGQVAACGKIETGAIKNGSRVLVMPLREVATVRSIERDSCPCSVARAGDNVAVSLQGIDGVHVTPGGVLCHPDYPVTVASRLELKILVLDIMGPILVGSKFEFHIHHAKEAARLVKIVSLVDQKTGEAAKKTPRFLTAKQSAIIEVALDRAVCAEEFSKHRALGRAFLRASGCTVGVGIVSKVFSDES >DRNTG_13126.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19232591:19238889:1 gene:DRNTG_13126 transcript:DRNTG_13126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKVNYGLDYDDGYDDYDDYDDYEDYANANDYGVKEKVTEKPSWNDRSTIKVEKKTTKLALWQCSVCNSDNEQSSSSCDICGVLRDPAQNIGNGSAENAPFQFNTLSPDDMVSVGKISSKKSSKVSANNTGKKAIAKFSATNEMAENSSGIISGANQLNGSESGNANIKSDAPQILASDLHQMKLDKASTNFRKAKPLAEYKPEKWMLANQDGILNQLNLAVVGHVDSGKSTLSGQLLHLLGRVSKKEMHKFEKEAKEKGKGSFAFAWATDESAEERERGITMTVAVAYFDSKKYRVVLLDSPGHKDFVPNMISGATQADAAVLVVDASIGSFEAGMDGNGFGQTKEHAQLIRSFGVEQVIVAVNKMDTVGFSKERFEFIKSQLGNFLRSCGFKESQITWIPLSAMENENLVTSATDARLSSWYEGSCLLEAVDSLQLPVRDASKPLLLPICDVIKSHSLGQVAACGKIETGAIKNGSRVLVMPLREVATVRSIERDSCPCSVARAGDNVAVSLQGIDGVHVTPGGVLCHPDYPVTVASRLELKILVLDIMGPILVGSKFEFHIHHAKEAARLVKIVSLVDQKTGEAAKKTPRFLTAKQSAIIEVALDRAVCAEEFSKHRALGRAFLRASGCTVGVGIVSKVFSDES >DRNTG_13126.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19232591:19238889:1 gene:DRNTG_13126 transcript:DRNTG_13126.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVGKISSKKSSKVSANNTGKKAIAKFSATNEMAENSSGIISGANQLNGSESGNANIKSDAPQILASDLHQMKLDKASTNFRKAKPLAEYKPEKWMLANQDGILNQLNLAVVGHVDSGKSTLSGQLLHLLGRVSKKEMHKFEKEAKEKGKGSFAFAWATDESAEERERGITMTVAVAYFDSKKYRVVLLDSPGHKDFVPNMISGATQADAAVLVVDASIGSFEAGMDGNGFGQTKEHAQLIRSFGVEQVIVAVNKMDTVGFSKERFEFIKSQLGNFLRSCGFKESQITWIPLSAMENENLVTSATDARLSSWYEGSCLLEAVDSLQLPVRDASKPLLLPICDVIKSHSLGQVAACGKIETGAIKNGSRVLVMPLREVATVRSIERDSCPCSVARAGDNVAVSLQGIDGVHVTPGGVLCHPDYPVTVASRLELKILVLDIMGPILVGSKFEFHIHHAKEAARLVKIVSLVDQKTGEAAKKTPRFLTAKQSAIIEVALDRAVCAEEFSKHRALGRAFLRASGCTVGVGIVSKVFSDES >DRNTG_17893.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17382130:17383210:-1 gene:DRNTG_17893 transcript:DRNTG_17893.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTVWHAFLSSQINLKCCRWISDNVFKVLNISESYFKILSKSLVNTIAQVFPSSPHAYSRRHLEANFMKVNDRLRKALKEECISIYFRIAWASMAKEFDDNVNELQVTSPEAY >DRNTG_17113.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15244051:15249732:1 gene:DRNTG_17113 transcript:DRNTG_17113.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLKEEVPSTPGIMKKLLRKMKQVRRRHKKHSKAVGDAQKPDKPAEFFKPSRENAWVCGISARPWIFTVSSSRESTGAWTRPCGRSCDKYTGIVRALDERPLVISGHAEFLCRRPKHLVIILGGQRVPRGTCVALMG >DRNTG_08376.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4381952:4384704:1 gene:DRNTG_08376 transcript:DRNTG_08376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYPEELRTPPVSLVSVVDCPELHPTISTALHSEQPPKQWNPLDASGHPPEGILKKDWLLKHRTRVPTVVAALFGSDQVNGDLAQWLQVCTDLENLKSMVHRRNIKLLVIVIVQRSVADGVSENLIIALRKRAEIEYKHLVMFDKDGPHEMKQSLNSKLPRRSLRHLVEKHGPLMRLQLGQIPAIVVSSLEVASKIMKTHDREFCSRPSTTVLIKFSYNGSEIAFSKYGEHWRQMRRLGTLEIFSMKRVQSFRSVEGPVDELIANQERQWVDRHMDRSIDQMVTRSQAGKMAGRIFREKRVNSRLEGYVLTRKNGKIKERESKGEGASKIKERA >DRNTG_16445.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17408129:17415509:1 gene:DRNTG_16445 transcript:DRNTG_16445.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRARMKFQHALYGKLFGKSPPFAEVKTDLLSKWSEYGEVIISDLPKGFLLIRCSSQNAMHH >DRNTG_34959.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1892563:1894173:-1 gene:DRNTG_34959 transcript:DRNTG_34959.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAHAEGLVQDEIRERRSDVENSEDERRTKIGSLKKKALNASSKITHSLKKRGKRMIDYQVSLVSIEDIRDAEEERAVHAFRQELIARDLLPEKHGDYHMLLR >DRNTG_34959.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1892182:1894173:-1 gene:DRNTG_34959 transcript:DRNTG_34959.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAHAEGLVQDEIRERRSDVENSEDERRTKIGSLKKKALNASSKITHSLKKRGKRMIDYQVSLVSIEDIRDAEEERAVHAFRQELIARDLLPEKHGDYHMLLRFQKARKFDFEKASEMWAEMLRW >DRNTG_34959.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1892182:1894173:-1 gene:DRNTG_34959 transcript:DRNTG_34959.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAHAEGLVQDEIRERRSDVENSEDERRTKIGSLKKKALNASSKITHSLKKRGKRMIDYQVSLVSIEDIRDAEEERAVHAFRQELIARDLLPEKHGDYHMLLRFQKARKFDFEKASEMWAEMLRW >DRNTG_34959.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1892182:1894173:-1 gene:DRNTG_34959 transcript:DRNTG_34959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAHAEGLVQDEIRERRSDVENSEDERRTKIGSLKKKALNASSKITHSLKKRGKRMIDYQVSLVSIEDIRDAEEERAVHAFRQELIARDLLPEKHGDYHMLLRFQKARKFDFEKASEMWAEMLRW >DRNTG_22051.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28510443:28514010:1 gene:DRNTG_22051 transcript:DRNTG_22051.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRALCIGFLFLSLNFVSVFAGEIEFHRCNCDGDGSWSIESIFQTQKVSDFLIATAYFSIPLELLYFVTCTNLFPFKWVLFQFGAFIVLCGLTHLLNVFTYEPHSFLLMLSLTISKFLTALVSFATAIALLTLIPQLLKVKVRESYLMNKARDLNREVGMMKRQEEASWHVRMLTQEIRKSIDRHTILYTTLVELSKTLGLQNCAVWMPSEDKKVMNLTHELRRRDAMEIHGFSIPVDDADVIEIKETDGAKRLEQESKLGLASSGGAVEPGAVAAIRMPMLNVSNFKGGTPQVVQTSYAILVLVLPRDDSRVWNRHELDIIEVVADQVAVALSHAAVLEESQLMRDQLEEQNRALLQAKQKAMMASEARNSFQRVMSHGMRKPIHSILGLLSMMEQENLGPEQMLMINSISKTSSVVSTLINDMMEISTSNRDRLALEMQSFHLHSMIKEAVSAARCLCDLKGLGFGIQVENAVPDRVIGDEKRIFHVILHMVGNLLSGYNDGFVALRIFSDTEVDGEQDPQRIAWKPNFSSGNSCVKFEIVIKESQNHGSSSSDNLPRRSNSEGFDMGLSFNMCKKVVQLMQGNIWSIPNSKGLAESMTLLIQFQLQPPTPISDHNYRLSTPRFKGLRVLLADDDAVNRVVTQKLLEKLGCQVSAVSSGIQCLSSLGNWGTSLQLVILDLHMPNMDGFEVAMRIRKFRSRCWPLIVALTASAEDDVWERCLQAGMNGLIRKPVNLKAMGDELLRVLQNT >DRNTG_22051.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28510443:28514010:1 gene:DRNTG_22051 transcript:DRNTG_22051.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRALCIGFLFLSLNFVSVFAGEIEFHRCNCDGDGSWSIESIFQTQKVSDFLIATAYFSIPLELLYFVTCTNLFPFKWVLFQFGAFIVLCGLTHLLNVFTYEPHSFLLMLSLTISKFLTALVSFATAIALLTLIPQLLKVKVRESYLMNKARDLNREVGMMKRQEEASWHVRMLTQEIRKSIDRHTILYTTLVELSKTLGLQNCAVWMPSEDKKVMNLTHELRRRDAMEIHGFSIPVDDADVIEIKETDGAKRLEQESKLGLASSGGAVEPGAVAAIRMPMLNVSNFKGGTPQVVQTSYAILVLVLPRDDSRVWNRHELDIIEVVADQVAVALSHAAVLEESQLMRDQLEEQNRALLQAKQKAMMASEARNSFQRVMSHGMRKPIHSILGLLSMMEQENLGPEQMLMINSISKTSSVVSTLINDMMEISTSNRDRLALEMQSFHLHSMIKEAVSAARCLCDLKGLGFGIQVENAVPDRVIGDEKRIFHVILHMVGNLLSGYNDGFVALRIFSDTEVDGEQDPQRIAWKPNFSSGNSCVKFEIVIKESQNHGSSSSDNLPRRSNSEGFDMGLSFNMCKKVVQLMQGNIWSIPNSKGLAESMTLLIQFQLQPPTPISDHNYRLSTPRFKGLRVLLADDDAVNRVVTQKLLEKLGCQVSAVSSGIQCLSSLGNWGTSLQLVILDLHMPNMDGFEVAMRIRKFRSRCWPLIVALTASAEDDVWERCLQAGMNGLIRKPVNLKAMGDELLRVLQNT >DRNTG_22051.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28510443:28513968:1 gene:DRNTG_22051 transcript:DRNTG_22051.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLRALCIGFLFLSLNFVSVFAGEIEFHRCNCDGDGSWSIESIFQTQKVSDFLIATAYFSIPLELLYFVTCTNLFPFKWVLFQFGAFIVLCGLTHLLNVFTYEPHSFLLMLSLTISKFLTALVSFATAIALLTLIPQLLKVKVRESYLMNKARDLNREVGMMKRQEEASWHVRMLTQEIRKSIDRHTILYTTLVELSKTLGLQNCAVWMPSEDKKVMNLTHELRRRDAMEIHGFSIPVDDADVIEIKETDGAKRLEQESKLGLASSGGAVEPGAVAAIRMPMLNVSNFKGGTPQVVQTSYAILVLVLPRDDSRVWNRHELDIIEVVADQVAVALSHAAVLEESQLMRDQLEEQNRALLQAKQKAMMASEARNSFQRVMSHGMRKPIHSILGLLSMMEQENLGPEQMLMINSISKTSSVVSTLINDMMEISTSNRDRLALEMQSFHLHSMIKEAVSAARCLCDLKGLGFGIQVENAVPDRVIGDEKRIFHVILHMVGNLLSGYNDGFVALRIFSDTEVDGEQDPQRIAWKPNFSSGNSCVKFEIVIKESQNHGSSSSDNLPRRSNSEGFDMGLSFNMCKKVVQLMQGNIWSIPNSKGLAESMTLLIQFQLQPPTPISDHNYRLSTPRFKGLRVLLADDDAVNRVVTQKLLEKLGCQVSAVSSGIQCLSSLGNWGTSLQLVILDLHMPNMDGFEVAMRIRKFRSRCWPLIVALTASAEDDVWERCLQAGMNGLIRKPVNLKAMGDELLRVLQNT >DRNTG_22051.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28510443:28513968:1 gene:DRNTG_22051 transcript:DRNTG_22051.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLRALCIGFLFLSLNFVSVFAGEIEFHRCNCDGDGSWSIESIFQTQKVSDFLIATAYFSIPLELLYFVTCTNLFPFKWVLFQFGAFIVLCGLTHLLNVFTYEPHSFLLMLSLTISKFLTALVSFATAIALLTLIPQLLKVKVRESYLMNKARDLNREVGMMKRQEEASWHVRMLTQEIRKSIDRHTILYTTLVELSKTLGLQNCAVWMPSEDKKVMNLTHELRRRDAMEIHGFSIPVDDADVIEIKETDGAKRLEQESKLGLASSGGAVEPGAVAAIRMPMLNVSNFKGGTPQVVQTSYAILVLVLPRDDSRVWNRHELDIIEVVADQVAVALSHAAVLEESQLMRDQLEEQNRALLQAKQKAMMASEARNSFQRVMSHGMRKPIHSILGLLSMMEQENLGPEQMLMINSISKTSSVVSTLINDMMEISTSNRDRLALEMQSFHLHSMIKEAVSAARCLCDLKGLGFGIQVENAVPDRVIGDEKRIFHVILHMVGNLLSGYNDGFVALRIFSDTEVDGEQDPQRIAWKPNFSSGNSCVKFEIVIKESQNHGSSSSDNLPRRSNSEGFDMGLSFNMCKKVVQLMQGNIWSIPNSKGLAESMTLLIQFQLQPPTPISDHNYRLSTPRFKGLRVLLADDDAVNRVVTQKLLEKLGCQVSAVSSGIQCLSSLGNWGTSLQLVILDLHMPNMDGFEVAMRIRKFRSRCWPLIVALTASAEDDVWERCLQAGMNGLIRKPVNLKAMGDELLRVLQNT >DRNTG_22051.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28510295:28514010:1 gene:DRNTG_22051 transcript:DRNTG_22051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRALCIGFLFLSLNFVSVFAGEIEFHRCNCDGDGSWSIESIFQTQKVSDFLIATAYFSIPLELLYFVTCTNLFPFKWVLFQFGAFIVLCGLTHLLNVFTYEPHSFLLMLSLTISKFLTALVSFATAIALLTLIPQLLKVKVRESYLMNKARDLNREVGMMKRQEEASWHVRMLTQEIRKSIDRHTILYTTLVELSKTLGLQNCAVWMPSEDKKVMNLTHELRRRDAMEIHGFSIPVDDADVIEIKETDGAKRLEQESKLGLASSGGAVEPGAVAAIRMPMLNVSNFKGGTPQVVQTSYAILVLVLPRDDSRVWNRHELDIIEVVADQVAVALSHAAVLEESQLMRDQLEEQNRALLQAKQKAMMASEARNSFQRVMSHGMRKPIHSILGLLSMMEQENLGPEQMLMINSISKTSSVVSTLINDMMEISTSNRDRLALEMQSFHLHSMIKEAVSAARCLCDLKGLGFGIQVENAVPDRVIGDEKRIFHVILHMVGNLLSGYNDGFVALRIFSDTEVDGEQDPQRIAWKPNFSSGNSCVKFEIVIKESQNHGSSSSDNLPRRSNSEGFDMGLSFNMCKKVVQLMQGNIWSIPNSKGLAESMTLLIQFQLQPPTPISDHNYRLSTPRFKGLRVLLADDDAVNRVVTQKLLEKLGCQVSAVSSGIQCLSSLGNWGTSLQLVILDLHMPNMDGFEVAMRIRKFRSRCWPLIVALTASAEDDVWERCLQAGMNGLIRKPVNLKAMGDELLRVLQNT >DRNTG_22051.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28510443:28514109:1 gene:DRNTG_22051 transcript:DRNTG_22051.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRALCIGFLFLSLNFVSVFAGEIEFHRCNCDGDGSWSIESIFQTQKVSDFLIATAYFSIPLELLYFVTCTNLFPFKWVLFQFGAFIVLCGLTHLLNVFTYEPHSFLLMLSLTISKFLTALVSFATAIALLTLIPQLLKVKVRESYLMNKARDLNREVGMMKRQEEASWHVRMLTQEIRKSIDRHTILYTTLVELSKTLGLQNCAVWMPSEDKKVMNLTHELRRRDAMEIHGFSIPVDDADVIEIKETDGAKRLEQESKLGLASSGGAVEPGAVAAIRMPMLNVSNFKGGTPQVVQTSYAILVLVLPRDDSRVWNRHELDIIEVVADQVAVALSHAAVLEESQLMRDQLEEQNRALLQAKQKAMMASEARNSFQRVMSHGMRKPIHSILGLLSMMEQENLGPEQMLMINSISKTSSVVSTLINDMMEISTSNRDRLALEMQSFHLHSMIKEAVSAARCLCDLKGLGFGIQVENAVPDRVIGDEKRIFHVILHMVGNLLSGYNDGFVALRIFSDTEVDGEQDPQRIAWKPNFSSGNSCVKFEIVIKESQNHGSSSSDNLPRRSNSEGFDMGLSFNMCKKVVQLMQGNIWSIPNSKGLAESMTLLIQFQLQPPTPISDHNYRLSTPRFKGLRVLLADDDAVNRVVTQKLLEKLGCQVSAVSSGIQCLSSLGNWGTSLQLVILDLHMPNMDGFEVAMRIRKFRSRCWPLIVALTASAEDDVWERCLQAGMNGLIRKPVNLKAMGDELLRVLQNT >DRNTG_22051.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28510443:28513911:1 gene:DRNTG_22051 transcript:DRNTG_22051.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLRALCIGFLFLSLNFVSVFAGEIEFHRCNCDGDGSWSIESIFQTQKVSDFLIATAYFSIPLELLYFVTCTNLFPFKWVLFQFGAFIVLCGLTHLLNVFTYEPHSFLLMLSLTISKFLTALVSFATAIALLTLIPQLLKVKVRESYLMNKARDLNREVGMMKRQEEASWHVRMLTQEIRKSIDRHTILYTTLVELSKTLGLQNCAVWMPSEDKKVMNLTHELRRRDAMEIHGFSIPVDDADVIEIKETDGAKRLEQESKLGLASSGGAVEPGAVAAIRMPMLNVSNFKGGTPQVVQTSYAILVLVLPRDDSRVWNRHELDIIEVVADQVAVALSHAAVLEESQLMRDQLEEQNRALLQAKQKAMMASEARNSFQRVMSHGMRKPIHSILGLLSMMEQENLGPEQMLMINSISKTSSVVSTLINDMMEISTSNRDRLALEMQSFHLHSMIKEAVSAARCLCDLKGLGFGIQVENAVPDRVIGDEKRIFHVILHMVGNLLSGYNDGFVALRIFSDTEVDGEQDPQRIAWKPNFSSGNSCVKFEIVIKESQNHGSSSSDNLPRRSNSEGFDMGLSFNMCKKVVQLMQGNIWSIPNSKGLAESMTLLIQFQLQPPTPISDHNYRLSTPRFKGLRVLLADDDAVNRVVTQKLLEKLGCQVSAVSSGIQCLSSLGNWGTSLQLVILDLHMPNMDGFEVAMRIRKFRSRCWPLIVALTASAEDDVWERCLQAGMNGLIRKPVNLKAMGDELLRVLQNT >DRNTG_30368.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:142049:145169:-1 gene:DRNTG_30368 transcript:DRNTG_30368.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase AIP2 [Source:Projected from Arabidopsis thaliana (AT5G20910) UniProtKB/Swiss-Prot;Acc:Q8RXD3] MSPSSMSSEEQGLMDELQALQRKLGKKQSFEEAVSSIGSFLRDRYPSSSPSLRKSIYSAVCRVATLLQTRYTTPGFWLAGLRLFEEAEHLVTESSEQQHLKKCIARAREHLHEMETEVPASSGRQTGSRFLFEGHLTVEPEPPPPAWLVEQNLLTAIAVAQNLNLEAESSRSQEERNDAPNNGRTPVTSESIIELINEMQHGTIDLDLESVIQSSLQEIGGGIPRAPPASKEVVANLPVITVTEETIAKLGSGTECAVCRESLMINDQMQELPCKHLFHPPCLKPWLT >DRNTG_14511.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000701.1:23436:28710:1 gene:DRNTG_14511 transcript:DRNTG_14511.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSWKLRLSHGHGQGAEALGRVSLSTRRVVKPNATDEIIDEYIQEMFNTDPYEGLFDREEDNEEIMMLGSTEEVPSTLGILNKVLQKLKRARRRHQKCSKAIGDITQGRGLAPMGDLVKIARAWVIYTRPCESLQRSSLHPEKSQGRALALVSWACEGPRLCGISILACGTLRMAPHLRRQEGKRPGVPSPKLAHIVFPNPEYQARFERLSELRRLAEVVVYTRASFQALTLEVLVSFEFVDDIHFRMFDRQFAMSISEFSVRMGLYDEAYIETEESMDGEGDNTSTLSRQSLLYLYSIARSVPINLGTLWWSTCGTRAEQGRVGSIICWSLHY >DRNTG_09124.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2388058:2389393:1 gene:DRNTG_09124 transcript:DRNTG_09124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGESSPSQSLKYQTWVLRVSIHCEGCRKKVKRVLQNIDGVYEILIDSRQHKVTVTGNVAAETLIKKLAKSKKHAELWPESKPLNPNPNPNPDPNPNPNPAPNPNPPPSEKPSDPPANPDPKPTADPTPASTPAPKPADEAPAKPNPDEPNPSSSPPESPTTPIDTAAAAAVTDPAAGTKKKKKKNKKPNANIAAASGEVDPEDDEEPDQAATATPPPAHVIQHVHHVPVLSYSTAYPSTSNYGETYYASVPMHDNYYVQAPPYHPDAYYGRHVERQESYDYFSEENPNACGVM >DRNTG_29436.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001477.1:81766:83050:-1 gene:DRNTG_29436 transcript:DRNTG_29436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVCDDTGSTYYQNYYVTESKAAGAVFISDEIHNFDNTCPGVVINSKQAVNLIKYAKKNLEATVTMKFRQTFIGTERAPAVASSSSRGPSQNTPGVLKPDIMAPGTNILAAWVPNMAAAIIGNTPLGSDYNILSGTSMACPHAAGVAALLKSARPGWSPAAIRSAMMTTASVLDNTLKPIKDNGFFSDASPLAMGAGQVDPNKALEPGLVYDANPQDYVSLLYASGYTSKQVRIITRSKLYNSSKASSDLNYPSFIATFEPNSTSYSRMFVRTVTNVGDGPASYKVTVTMPKWVSVVVQPDVLVFKKKDERLKYKVTVKATPPKGDAGADAFGALVWVDEKGKYTVRSPLVV >DRNTG_14307.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17630825:17638507:1 gene:DRNTG_14307 transcript:DRNTG_14307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWNFHTPVELHCKLIQRRHRGVRLSLVGQFFPRAHRGVDSPHVGNLVNHARAWVISTRPCETLQRSSLHPEKTQGRAAAPVNWACECSGPCGISARACITLGTFLGCPEKPQGRASSPVGRIHGRGYFPHGRARAVRVKEVFSLERTGACMRPCGSFVMKRTGVDNYHTPVWMHRTPRTMAPRSKKQADKRPHESSTEPEGMRFVIPEHQVRYERLSKLRFGQTRFLDTTILRGLQQGDELADEVEDLVSVVLLGLYEEVFTDTEEYAQLPTDYPATLTPHRAYKSLCGQGQSVNGGGDSTGVLSRQELLYLYSMMQRVPIHLGHIMAEYIRHQGHYARLGAIFSGPYITRLVLGMGLLDSIRGAEKTSIPAPMSLEMMRLMGMIRRVQTGDFALVRPTPEIAEDEGDDAGASQPAPESQPAPMETEGTPRVQRSHPRAYIFTISSL >DRNTG_29287.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:8038910:8047025:1 gene:DRNTG_29287 transcript:DRNTG_29287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKTLEKKQASTLPKTTEPPPTSTPRRRGDQKRPLVFPPSAALAAFLNKPSSLLCAALLIEAAGLTPKAEFYGREGFNKNLAMRDLIKYCKRRGPQIELGGEAILVIRSERRLARKLAPLKTHDLLIRICYARYADDLLLGIVGAVELLIEIQKRITHFLQSGLNLWVGSAESTTIAARSTVEFPGTVIRGAPSGDDPHTILCESWRSVYG >DRNTG_29124.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1263508:1264271:1 gene:DRNTG_29124 transcript:DRNTG_29124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSIEIDCSVSALRMFNLALHGLHLIGHEILPDVIKSASTIHVDGGVGSVRHIIFIQGFPFPYMKESLDFLDAVNFELRQSLVEGISLGTKLESASWCFKFIPINNGKSVFQNDYNI >DRNTG_02504.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7748958:7753722:1 gene:DRNTG_02504 transcript:DRNTG_02504.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec-independent protein translocase protein TATA, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G28750) UniProtKB/Swiss-Prot;Acc:Q9LKU2] MMACSSTSLMAAWAPPKPPMAFSGSLASTNSVFFSNGAGALSSKSLSVVSKKTRGFAGVGTPSRGLSVRCLFGLGVPELAVIAGVAALVFGPKKLPEIGRSIGKTVKSFQQAAKELETELKKPPEDAATPTATEIPKAVSSEEEKKELEASTTKEST >DRNTG_00501.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30542201:30544899:-1 gene:DRNTG_00501 transcript:DRNTG_00501.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGQSYMISRAVPSSCEQEPKWIYMACQLLDQSKNKRHMNDESREQEKGHKIKRKKSPRSPLSPNIEEMEPNSLETIEEMECPDETDGNGSGGDYPDSNSLISSIGRDNSINCLLRCSRSDYGSLASLNKSFRSLIQSGELYRLRRQMGITEHWVYFSCHVLEWEAYDPYRGRWLTLPRLPHNECFMCSDKESLAVGTELLVFGKEVTSHIVLRYSILTNSWSSGKAMNSPRCLFGSASLGEKAIVAGGTDAHGNILSSAELYNSEKQTWTTLPSMITPRKMCSGVFMNGKFYVIGGMSSNTQLLTCGEEYDLERGTWRLIPNMSSGLNGASGAPPLVAVVNNNLYAAHYSEKEIRKYDKDTNSWITLGRLPERPASMNGWGLAFRACGERLIVIGGPRVLGGGMIELHSWIPKDGPPEWTMIASKHSGSFVYNCAVMGC >DRNTG_00501.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30542310:30544899:-1 gene:DRNTG_00501 transcript:DRNTG_00501.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGQSYMISRAVPSSCEQEPKWIYMACQLLDQSKNKRHMNDESREQEKGHKIKRKKSPRSPLSPNIEEMEPNSLETIEEMECPDETDGNGSGGDYPDSNSLISSIGRDNSINCLLRCSRSDYGSLASLNKSFRSLIQSGELYRLRRQMGITEHWVYFSCHVLEWEAYDPYRGRWLTLPRLPHNECFMCSDKESLAVGTELLVFGKEVTSHIVLRYSILTNSWSSGKAMNSPRCLFGSASLGEKAIVAGGTDAHGNILSSAELYNSEKQTWTTLPSMITPRKMCSGVFMNGKFYVIGGMSSNTQLLTCGEEYDLERGTWRLIPNMSSGLNGASGAPPLVAVVNNNLYAAHYSEKEIRKYDKDTNSWITLGRLPERPASMNGWGLAFRACGERLIVIGGPRVLGGGMIELHSWIPKDGPPEWTMIASKHSGSFVYNCAVMGC >DRNTG_00501.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30540567:30544899:-1 gene:DRNTG_00501 transcript:DRNTG_00501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGQSYMISRAVPSSCEQEPKWIYMACQLLDQSKNKRHMNDESREQEKGHKIKRKKSPRSPLSPNIEEMEPNSLETIEEMECPDETDGNGSGGDYPDSNSLISSIGRDNSINCLLRCSRSDYGSLASLNKSFRSLIQSGELYRLRRQMGITEHWVYFSCHVLEWEAYDPYRGRWLTLPRLPHNECFMCSDKESLAVGTELLVFGKEVTSHIVLRYSILTNSWSSGKAMNSPRCLFGSASLGEKAIVAGGTDAHGNILSSAELYNSEKQTWTTLPSMITPRKMCSGVFMNGKFYVIGGMSSNTQLLTCGEEYDLERGTWRLIPNMSSGLNGASGAPPLVAVVNNNLYAAHYSEKEIRKYDKDTNSWITLGRLPERPASMNGWGLAFRACGERLIVIGGPRVLGGGMIELHSWIPKDGPPEWTMIASKHSGSFVYNCAVMGC >DRNTG_00501.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30542310:30544899:-1 gene:DRNTG_00501 transcript:DRNTG_00501.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGQSYMISRAVPSSCEQEPKWIYMACQLLDQSKNKRHMNDESREQEKGHKIKRKKSPRSPLSPNIEEMEPNSLETIEEMECPDETDGNGSGGDYPDSNSLISSIGRDNSINCLLRCSRSDYGSLASLNKSFRSLIQSGELYRLRRQMGITEHWVYFSCHVLEWEAYDPYRGRWLTLPRLPHNECFMCSDKESLAVGTELLVFGKEVTSHIVLRYSILTNSWSSGKAMNSPRCLFGSASLGEKAIVAGGTDAHGNILSSAELYNSEKQTWTTLPSMITPRKMCSGVFMNGKFYVIGGMSSNTQLLTCGEEYDLERGTWRLIPNMSSGLNGASGAPPLVAVVNNNLYAAHYSEKEIRKYDKDTNSWITLGRLPERPASMNGWGLAFRACGERLIVIGGPRVLGGGMIELHSWIPKDGPPEWTMIASKHSGSFVYNCAVMGC >DRNTG_16261.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4480099:4481778:1 gene:DRNTG_16261 transcript:DRNTG_16261.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKKLGHKERERERERERERLSRLI >DRNTG_17300.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31828951:31829796:1 gene:DRNTG_17300 transcript:DRNTG_17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKFLCLLALIVFSYGHEAKNITTSSLNTIVRDKALPVLLHRRTGIVYKIPMPMNLSGIEVSGLRLRSKTLRRKGVNIGAVHVPRGAISVPRVKRLIIVYQSLGNWSSILFNVPGHTFVAPVVGFQAYDASNFSSKSTRELEFNVSGDSISIKFITEGMKPELMCASLGLNGAVELYNMVHLSTCYVKTTGYFGVVIPVKLSVWNSVGGGGGLMVWLIACGIGMVGMVVVGLVWMRVFRFARKEKMKEIEDGEALERFRVGGSNMPFATMVRTQPVLETR >DRNTG_07703.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23096526:23098126:1 gene:DRNTG_07703 transcript:DRNTG_07703.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g61800 [Source:Projected from Arabidopsis thaliana (AT5G61800) UniProtKB/Swiss-Prot;Acc:Q9FLS9] MVPAPLCESKHAILRLLKQCKSLKHLQCIHAQATIHGLTFTSSSAITKLLFTFTSLLSNPSLLSPPPSIHYALSLFHSISSPSTFAFNLLLRSLTFLSSPSQSLLLFLRMRRLSIPPDSHTFPFVLTACSRLAATAVFPIGRGLHSQALKFGFISDVFVVNTLITIYSSSNSISYARRLFDETPHPDIVTYNSMIHGYVKARDNDLNLVLARKLFDEMPARDVISWGALLAGYSQSGRFNQALELFDRMMIESALEPDDVALVSALSACANLGALDCGERIHEYIKRKRSKLSVYLITGLVDMYAKCGCIRIAREMFDESPLRNLFTWNAMIIGLAMHGHGELSMEYFNKMIMSGVKPDGVSILGVLVGCSHAGLVSTACKVFDEMECVYGVKREVKHYGCMADLLGRAGMIKEAMEMIAEMGMEGDEYVWGGVLGGCRIYGDVEVGEFAAKRLWEMKVKDSGVYSAMANMYAGARRWGDVAKMRDLMSHEKVMKNAGSSSILVDNC >DRNTG_07703.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23096526:23098878:1 gene:DRNTG_07703 transcript:DRNTG_07703.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g61800 [Source:Projected from Arabidopsis thaliana (AT5G61800) UniProtKB/Swiss-Prot;Acc:Q9FLS9] MVPAPLCESKHAILRLLKQCKSLKHLQCIHAQATIHGLTFTSSSAITKLLFTFTSLLSNPSLLSPPPSIHYALSLFHSISSPSTFAFNLLLRSLTFLSSPSQSLLLFLRMRRLSIPPDSHTFPFVLTACSRLAATAVFPIGRGLHSQALKFGFISDVFVVNTLITIYSSSNSISYARRLFDETPHPDIVTYNSMIHGYVKARDNDLNLVLARKLFDEMPARDVISWGALLAGYSQSGRFNQALELFDRMMIESALEPDDVALVSALSACANLGALDCGERIHEYIKRKRSKLSVYLITGLVDMYAKCGCIRIAREMFDESPLRNLFTWNAMIIGLAMHGHGELSMEYFNKMIMSGVKPDGVSILGVLVGCSHAGLVSTACKVFDEMECVYGVKREVKHYGCMADLLGRAGMIKEAMEMIAEMGMEGDEYVWGGVLGGCRIYGDVEVGEFAAKRLWEMKVKDSGVYSAMANMYAGARRWGDVAKMRDLMSHEKVMKNAGSSSILVDNC >DRNTG_07703.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23096526:23101143:1 gene:DRNTG_07703 transcript:DRNTG_07703.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g61800 [Source:Projected from Arabidopsis thaliana (AT5G61800) UniProtKB/Swiss-Prot;Acc:Q9FLS9] MVPAPLCESKHAILRLLKQCKSLKHLQCIHAQATIHGLTFTSSSAITKLLFTFTSLLSNPSLLSPPPSIHYALSLFHSISSPSTFAFNLLLRSLTFLSSPSQSLLLFLRMRRLSIPPDSHTFPFVLTACSRLAATAVFPIGRGLHSQALKFGFISDVFVVNTLITIYSSSNSISYARRLFDETPHPDIVTYNSMIHGYVKARDNDLNLVLARKLFDEMPARDVISWGALLAGYSQSGRFNQALELFDRMMIESALEPDDVALVSALSACANLGALDCGERIHEYIKRKRSKLSVYLITGLVDMYAKCGCIRIAREMFDESPLRNLFTWNAMIIGLAMHGHGELSMEYFNKMIMSGVKPDGVSILGVLVGCSHAGLVSTACKVFDEMECVYGVKREVKHYGCMADLLGRAGMIKEAMEMIAEMGMEGDEYVWGGVLGGCRIYGDVEVGEFAAKRLWEMKVKDSGVYSAMANMYAGARRWGDVAKMRDLMSHEKVMKNAGSSSILVDNC >DRNTG_19880.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17450928:17459904:-1 gene:DRNTG_19880 transcript:DRNTG_19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNSRNGGKIYHTGGERGRSFFQRDDDVGAVEPLQIPSQYLSKP >DRNTG_17292.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000890.1:14:1294:-1 gene:DRNTG_17292 transcript:DRNTG_17292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTASISPLLLVVRSKKSQPQKGLDEAPPRITSNVKQNLQFLRIWREFQKRKSSAPKPTTRYRKKKAVK >DRNTG_27715.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3702909:3704259:-1 gene:DRNTG_27715 transcript:DRNTG_27715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVAIVEEKKSDEGPQIPIIDIKGLDSNDEAVRKRCVKQMHDAAVNWGVMHIVGHGIPAKLDKLREVGTQFFDLPVKEKEKYAKDQPSGMIQGCGSKLANSASEMVCHLMFPENQTDLSIWPRKPSNYVDVTKEFGQNLRVMATKIFTLLSSVSVSRPRSWRPKPAAWRTSCSR >DRNTG_33758.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32421443:32423020:-1 gene:DRNTG_33758 transcript:DRNTG_33758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTEIIRTAVGIMGNVIALLLFLSPLPTFCRIWKKKTVEQFSAVPYLATLLNCMLWVVYGLPLVHPHSTLVLTINGSGMAIELFYVLMFIVFSDRKNRPRVISMFVAELVFVALVGLMVLLFIHSYQQRSMVVGVLCVFFGTMMYAAPLAAMKLVIQTKSVEYMPLTLSVASFFNGVCWTTYALLKFDLYITIPNGLGVMFAVAQLVLYALYYKSTQRETEERKLNEGLAMQEVVVIGEPASKAYSAAAQNGL >DRNTG_14027.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27586274:27587327:1 gene:DRNTG_14027 transcript:DRNTG_14027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSHYKPADAADRQKYISKDANGVITIKPRGLNVIWGADERYWKIDTKNDDSAAELVQVCWLEVTGEIQVKDYLEAKKEYKLIFNVELKPDAFGWKESPVHFMVKPGTRGRMWKRCYLGSSPKNTNKMDPFQASRDDKDPGLKFKVAEDAKTVYFGMFEIWNGTWKGGLKIINVEVKPV >DRNTG_24736.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2293336:2298106:-1 gene:DRNTG_24736 transcript:DRNTG_24736.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMTPYQEKLDLNFAMNKDERVLESNNYENHIPKNMMEGDLNEDNSGSPVPQDFVNNEGDPVNNFSTAEDSCFAVEPSVYPDDVDSRPYSDHADGTMPIEDGHYHEKEEFVECVGASNNTDYATENSNKEIVNEGADAPSQKQPSAMDNHNDWRIEASDHQNNDTHPFDESANEFGNSEMEESELLNQETGGGSFSPQNEVDEDICPSRMLPSDNGKDNGERYYSSSPQRGRSDMPSLEGQLSVSREKSPHIHSSARQEEFANSDKKLIHSPDSSRHKHSPSPEKHVVGRKRASSHDRSSPPTKRKSPSGRMSRKAPRRHSSRSPPRRDSPRRKDRSASRSPVRGRESSRRENRGRSRSRSPYQRDRPRRSPRYSSRRRSPPAHHSHRRSPRRAWSPPANRSTGIGKPGRNLFVAGFSYTTTERDLEKKFSRFGRVMDVRIVRDKRSGDSRGFGFLSLERDEDADAAIRALDQTEWNSRIILVEKSKTSVR >DRNTG_24736.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2293336:2298106:-1 gene:DRNTG_24736 transcript:DRNTG_24736.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMTPYQEKLDLNFAMNKDERVLESNNYENHIPKNMMEGDLNEDNSGSPVPQDFVNNEGDPVNNFSTAEDSCFAVEPSVYPDDVDSRPYSDHADGTMPIEDGHYHEKEEFVECVGASNNTDYATENSNKEIVNEGADAPSQKQPSAMDNHNDWRIEASDHQNNDTHPFDESANEFGNSEMEESELLNQETGGGSFSPQNEVDEDICPSRMLPSDNGKDNGERYYSSSPQRGRSDMPSLEGQLSVSREKSPHIHSSARQEEFANSDKKLIHSPDSSRHKHSPSPEKHVVGRKRASSHDRSSPPTKRKSPSGRMSRKAPRRHSSRSPPRRDSPRRKDRSASRSPVRGRESSRRENRGRSRSRSPYQRDRPRRSPRYSSRRRSPPAHHSHRRSPRRAWSPPANRSTGIGKPGRNLFVAGFSYTTTERDLEKKFSRFGRVMDVRIVRDKRSGDSRGFGFLSLERDEDADAAIRALDQTEWNSRIILVEKSKTSVR >DRNTG_24736.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2293336:2298106:-1 gene:DRNTG_24736 transcript:DRNTG_24736.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMTPYQEKLDLNFAMNKDERVLESNNYENHIPKNMMEGDLNEDNSGSPVPQDFVNNEGDPVNNFSTAEDSCFAVEPSVYPDDVDSRPYSDHADGTMPIEDGHYHEKEEFVECVGASNNTDYATENSNKEIVNEGADAPSQKQPSAMDNHNDWRIEASDHQNNDTHPFDESANEFGNSEMEESELLNQETGGGSFSPQNEVDEDICPSRMLPSDNGKDNGERYYSSSPQRGRSDMPSLEGQLSVSREKSPHIHSSARQEEFANSDKKLIHSPDSSRHKHSPSPEKHVVGRKRASSHDRSSPPTKRKSPSGRMSRKAPRRHSSRSPPRRDSPRRKDRSASRSPVRGRESSRRENRGRSRSRSPYQRDRPRRSPRYSSRRRSPPAHHSHRRSPRRAWSPPANRSTGIGKPGRNLFVAGFSYTTTERDLEKKFSRFGRVMDVRIVRDKRSGDSRGFGFLSLERDEDADAAIRALDQTEWNSRIILVEKSKTSVR >DRNTG_24736.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2293336:2298106:-1 gene:DRNTG_24736 transcript:DRNTG_24736.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMTPYQEKLDLNFAMNKDERVLESNNYENHIPKNMMEGDLNEDNSGSPVPQDFVNNEGDPVNNFSTAEDSCFAVEPSVYPDDVDSRPYSDHADGTMPIEDGHYHEKEEFVECVGASNNTDYATENSNKEIVNEGADAPSQKQPSAMDNHNDWRIEASDHQNNDTHPFDESANEFGNSEMEESELLNQETGGGSFSPQNEVDEDICPSRMLPSDNGKDNGERYYSSSPQRGRSDMPSLEGQLSVSREKSPHIHSSARQEEFANSDKKLIHSPDSSRHKHSPSPEKHVVGRKRASSHDRSSPPTKRKSPSGRMSRKAPRRHSSRSPPRRDSPRRKDRSASRSPVRGRESSRRENRGRSRSRSPYQRDRPRRSPRYSSRRRSPPAHHSHRRSPRRAWSPPANRSTGIGKPGRNLFVAGFSYTTTERDLEKKFSRFGRVMDVRIVRDKRSGDSRGFGFLSLERDEDADAAIRALDQTEWNSRIILVEKSKTSVR >DRNTG_24736.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2293336:2298106:-1 gene:DRNTG_24736 transcript:DRNTG_24736.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMTPYQEKLDLNFAMNKDERVLESNNYENHIPKNMMEGDLNEDNSGSPVPQDFVNNEGDPVNNFSTAEDSCFAVEPSVYPDDVDSRPYSDHADGTMPIEDGHYHEKEEFVECVGASNNTDYATENSNKEIVNEGADAPSQKQPSAMDNHNDWRIEASDHQNNDTHPFDESANEFGNSEMEESELLNQETGGGSFSPQNEVDEDICPSRMLPSDNGKDNGERYYSSSPQRGRSDMPSLEGQLSVSREKSPHIHSSARQEEFANSDKKLIHSPDSSRHKHSPSPEKHVVGRKRASSHDRSSPPTKRKSPSGRMSRKAPRRHSSRSPPRRDSPRRKDRSASRSPVRGRESSRRENRGRSRSRSPYQRDRPRRSPRYSSRRRSPPAHHSHRRSPRRAWSPPANRSTGIGKPGRNLFVAGFSYTTTERDLEKKFSRFGRVMDVRIVRDKRSGDSRGFGFLSLERDEDADAAIRALDQTEWNSRIILVEKSKTSVR >DRNTG_24736.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2293336:2298106:-1 gene:DRNTG_24736 transcript:DRNTG_24736.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMTPYQEKLDLNFAMNKDERVLESNNYENHIPKNMMEGDLNEDNSGSPVPQDFVNNEGDPVNNFSTAEDSCFAVEPSVYPDDVDSRPYSDHADGTMPIEDGHYHEKEEFVECVGASNNTDYATENSNKEIVNEGADAPSQKQPSAMDNHNDWRIEASDHQNNDTHPFDESANEFGNSEMEESELLNQETGGGSFSPQNEVDEDICPSRMLPSDNGKDNGERYYSSSPQRGRSDMPSLEGQLSVSREKSPHIHSSARQEEFANSDKKLIHSPDSSRHKHSPSPEKHVVGRKRASSHDRSSPPTKRKSPSGRMSRKAPRRHSSRSPPRRDSPRRKDRSASRSPVRGRESSRRENRGRSRSRSPYQRDRPRRSPRYSSRRRSPPAHHSHRRSPRRAWSPPANRSTGIGKPGRNLFVAGFSYTTTERDLEKKFSRFGRVMDVRIVRDKRSGDSRGFGFLSLERDEDADAAIRALDQTEWNSRIILVEKSKTSVR >DRNTG_20888.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001172.1:40340:42376:1 gene:DRNTG_20888 transcript:DRNTG_20888.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIMFSVTKHKGIVLGMMIFHLTGYDDSSPNALLLEGLLGSLSVGVLVDMALVVLIAVNFFHNKTMSSSPRPKKASYIALVFGSAAMSVLALWA >DRNTG_11117.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30527292:30533535:1 gene:DRNTG_11117 transcript:DRNTG_11117.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRFQNLLGAPYRGGNAVVSENSTLLSAIGNRIAATDLVKSQTITLTLEASTNLSRLDASPDGHFLIAVDDAGRMYYVNLRRRVLLHGMTLKGPASALRFSPDGALFAVAIGRRVQVWRSPGFRKEFFPFERVHELRPCAADVTVINWSPDSAYLLVGSKDLTVRLYCLKSYGGSKKPYLFLGHRESIVGAFFAMKKNDNAYRVYTISKDGAVFTWKLDESQHLDDSKRMDLDPPSPGTPEQRSPGENNNESMEEFEENGRKRRLGDGESEIPLNRAKWELVKKDFFMQTPAKLTACDYHRELDMVVVGFSNGIFGLYQMPDFVCIHLLSISREKITTAVFNQLGNWLVFGCAKLGQLLVWEWRSETYILKQQGHYFDVNCIAYSPDSQMLATGADDNKVKVWTVSSGFCFVTFSEHTNAVTAVHFMPNSHSLLSASLDGTVRAWDLFRYRNFRTFTTPSPRQFVSLASDVSGEVICAGTLDSFEIFVWSMKTGRLLDVLSGHEGPVHGLMFSPTNAVLASSSWDKTVRLWDVFDGKGAVETFRHLHDVLTVAYRPDGKQIACSTLDGQIHFWDPMDGILMYTIEGRRDIAGGRLMTDRRSAANSSSGKYFTTLCYSADGSYILAGGNSKYVCMYDISEQVLIRRFQITRNLSLDGVLDFLNSKRMTDAGPVDLINDENDDINEGVDRQTRVKLGGDLPGSLPNRGRLTVRTKCLKIAPTGRSWAAATTEGVILYSVDDSFVFDPTDLDIDVTPEAVNEALMAENPQRALLLSLRLNEDSLIKECIFSVNPLDIKAVSLSIPFKHMQRLIEALADLLESCPHLEFILQWCQDLCEAHGHSIQQNSRSLLPSLRSMQKAITKIHEDLSDICSSNEYMLQYLCSTSEKTQ >DRNTG_11117.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30527292:30533535:1 gene:DRNTG_11117 transcript:DRNTG_11117.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRFQNLLGAPYRGGNAVVSENSTLLSAIGNRIAATDLVKSQTITLTLEASTNLSRLDASPDGHFLIAVDDAGRMYYVNLRRRVLLHGMTLKGPASALRFSPDGALFAVAIGRRVQVWRSPGFRKEFFPFERVHELRPCAADVTVINWSPDSAYLLVGSKDLTVRLYCLKSYGGSKKPYLFLGHRESIVGAFFAMKKNDNAYRVYTISKDGAVFTWKLDESQHLDDSKRMDLDPPSPGTPEQRSPGENNNESMEEFEENGRKRRLGDGESEIPLNRAKWELVKKDFFMQTPAKLTACDYHRELDMVVVGFSNGIFGLYQMPDFVCIHLLSISREKITTAVFNQLGNWLVFGCAKLGQLLVWEWRSETYILKQQGHYFDVNCIAYSPDSQMLATGADDNKVKVWTVSSGFCFVTFSEHTNAVTAVHFMPNSHSLLSASLDGTVRAWDLFRYRNFRTFTTPSPRQFVSLASDVSGEVICAGTLDSFEIFVWSMKTGRLLDVLSGHEGPVHGLMFSPTNAVLASSSWDKTVRLWDVFDGKGAVETFRHLHDVLTVAYRPDGKQIACSTLDGQIHFWDPMDGILMYTIEGRRDIAGGRLMTDRRSAANSSSGKYFTTLCYSADGSYILAGGNSKYVCMYDISEQVLIRRFQITRNLSLDGVLDFLNSKRMTDAGPVDLINDENDDINEGVDRQTRVKLGGDLPGSLPNRGRLTVRTKCLKIAPTGRSWAAATTEGVILYSVDDSFVFDPTDLDIDVTPEAVNEALMAENPQRALLLSLRLNEDSLIKECIFSVNPLDIKAVSLSIPFKHMQRLIEALADLLESCPHLEFILQWCQDLCEAHGHSIQQNSRSLLPSLRSMQKAITKIHEDLSDICSSNEYMLQYLCSTSEKTQ >DRNTG_11117.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30527292:30533535:1 gene:DRNTG_11117 transcript:DRNTG_11117.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRFQNLLGAPYRGGNAVVSENSTLLSAIGNRIAATDLVKSQTITLTLEASTNLSRLDASPDGHFLIAVDDAGRMYYVNLRRRVLLHGMTLKGPASALRFSPDGALFAVAIGRRVQVWRSPGFRKEFFPFERVHELRPCAADVTVINWSPDSAYLLVGSKDLTVRLYCLKSYGGSKKPYLFLGHRESIVGAFFAMKKNDNAYRVYTISKDGAVFTWKLDESQHLDDSKRMDLDPPSPGTPEQRSPGENNNESMEEFEENGRKRRLGDGESEIPLNRAKWELVKKDFFMQTPAKLTACDYHRELDMVVVGFSNGIFGLYQMPDFVCIHLLSISREKITTAVFNQLGNWLVFGCAKLGQLLVWEWRSETYILKQQGHYFDVNCIAYSPDSQMLATGADDNKVKVWTVSSGFCFVTFSEHTNAVTAVHFMPNSHSLLSASLDGTVRAWDLFRYRNFRTFTTPSPRQFVSLASDVSGEVICAGTLDSFEIFVWSMKTGRLLDVLSGHEGPVHGLMFSPTNAVLASSSWDKTVRLWDVFDGKGAVETFRHLHDVLTVAYRPDGKQIACSTLDGQIHFWDPMDGILMYTIEGRRDIAGGRLMTDRRSAANSSSGKYFTTLCYSADGSYILAGGNSKYVCMYDISEQVLIRRFQITRNLSLDGVLDFLNSKRMTDAGPVDLINDENDDINEGVDRQTRVKLGGDLPGSLPNRGRLTVRTKCLKIAPTGRSWAAATTEGVILYSVDDSFVFDPTDLDIDVTPEAVNEALMAENPQRALLLSLRLNEDSLIKECIFSVNPLDIKAVSLSIPFKHMQRLIEALADLLESCPHLEFILQWCQDLCEAHGHSIQQNSRSLLPSLRSMQKAITKIHEDLSDICSSNEYMLQYLCSTSEKTQ >DRNTG_11117.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30527292:30533535:1 gene:DRNTG_11117 transcript:DRNTG_11117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRFQNLLGAPYRGGNAVVSENSTLLSAIGNRIAATDLVKSQTITLTLEASTNLSRLDASPDGHFLIAVDDAGRMYYVNLRRRVLLHGMTLKGPASALRFSPDGALFAVAIGRRVQVWRSPGFRKEFFPFERVHELRPCAADVTVINWSPDSAYLLVGSKDLTVRLYCLKSYGGSKKPYLFLGHRESIVGAFFAMKKNDNAYRVYTISKDGAVFTWKLDESQHLDDSKRMDLDPPSPGTPEQRSPGENNNESMEEFEENGRKRRLGDGESEIPLNRAKWELVKKDFFMQTPAKLTACDYHRELDMVVVGFSNGIFGLYQMPDFVCIHLLSISREKITTAVFNQLGNWLVFGCAKLGQLLVWEWRSETYILKQQGHYFDVNCIAYSPDSQMLATGADDNKVKVWTVSSGFCFVTFSEHTNAVTAVHFMPNSHSLLSASLDGTVRAWDLFRYRNFRTFTTPSPRQFVSLASDVSGEVICAGTLDSFEIFVWSMKTGRLLDVLSGHEGPVHGLMFSPTNAVLASSSWDKTVRLWDVFDGKGAVETFRHLHDVLTVAYRPDGKQIACSTLDGQIHFWDPMDGILMYTIEGRRDIAGGRLMTDRRSAANSSSGKYFTTLCYSADGSYILAGGNSKYVCMYDISEQVLIRRFQITRNLSLDGVLDFLNSKRMTDAGPVDLINDENDDINEGVDRQTRVKLGGDLPGSLPNRGRLTVRTKCLKIAPTGRSWAAATTEGVILYSVDDSFVFDPTDLDIDVTPEAVNEALMAENPQRALLLSLRLNEDSLIKECIFSVNPLDIKAVSLSIPFKHMQRLIEALADLLESCPHLEFILQWCQDLCEAHGHSIQQNSRSLLPSLRSMQKAITKIHEDLSDICSSNEYMLQYLCSTSEKTQ >DRNTG_11117.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30527292:30528570:1 gene:DRNTG_11117 transcript:DRNTG_11117.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRFQNLLGAPYRGGNAVVSENSTLLSAIGNRIAATDLVKSQTITLTLEASTNLSRLDASPDGHFLIAVDDAGRMYYVNLRRRVLLHGMTLKGPASALRFSPDGALFAVAIGRRVQVWRSPGFRKEFFPFERVHELRPCAADVTVINWSPDSAYLLVGSKDLTVRLYCLKSYGGSKKPYLFLGHRESIVGAFFAMKKNDNAYRVYTISKDGAVFTWKLDESQHLDDSKRMDLDPPSPGTPEQRSPGENNNESMEEFEENGRKRRLGDGESEIPLNRAKWELVKKDFFMQTPAKLTACDYHRELDMVVVGFSNGIFGLYQMPDFVCIHLLSISREKITTAVFNQLGNWLVFGCAKLGQLLVWEWRSETYILKQQGHYFDVNCIAYSPDSQMLATGADDNKVK >DRNTG_27427.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28606101:28606346:-1 gene:DRNTG_27427 transcript:DRNTG_27427.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVADGSIVDGYDEKVALEKLVLKADRCSDSLRRAGWDSDEVSDALGFDYNPPSVRRRSVNLPPGLAAKIGKLAEAVSRS >DRNTG_14322.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17052415:17052891:1 gene:DRNTG_14322 transcript:DRNTG_14322.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGKARVRNYSNSETQNNQTPNQVDDENVEINLDCSLPSSTRASNEICSSSAPPNKRHRK >DRNTG_14322.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17044468:17053045:1 gene:DRNTG_14322 transcript:DRNTG_14322.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGKARVRNYSNSETQNNQTPNQVDDENVEINLDCSLPSSTRASNEICSSSAPPNKRHRKVNVRGKAKGVKSGEGIEVEIYDNR >DRNTG_14322.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17052415:17053045:1 gene:DRNTG_14322 transcript:DRNTG_14322.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGKARVRNYSNSETQNNQTPNQVDDENVEINLDCSLPSSTRASNEICSSSAPPNKRHRKVNVRGKAKGVKSGEGIEVEIYDNR >DRNTG_08947.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27265790:27268379:-1 gene:DRNTG_08947 transcript:DRNTG_08947.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDLVELKFRLYDGTDIGPIRYSSTSTVAMVKERIISEWPKDKKIMPKVINDLKLISAGKILDDSKTVAQCKPSFSEVSGVITIHVVVQPSSAKAKSEKKIDDTSKKTVCSSCSIL >DRNTG_08947.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27265790:27268379:-1 gene:DRNTG_08947 transcript:DRNTG_08947.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDLVELKFRLYDGTDIGPIRYSSTSTVAMVKERIISEWPKDKKIMPKVINDLKLISAGKILDDSKTVAQCKPSFSEVSGVITIHVVVQPSSAKAKSEKKIDDTSKKTVCSSCSIL >DRNTG_08947.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27265790:27268379:-1 gene:DRNTG_08947 transcript:DRNTG_08947.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDLVELKFRLYDGTDIGPIRYSSTSTVAMVKERIISEWPKDKKIMPKVINDLKLISAGKILDDSKTVAQCKPSFSEVSGVITIHVVVQPSSAKAKSEKKIDDTSKKTVCSSCSIL >DRNTG_11617.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27500215:27502012:1 gene:DRNTG_11617 transcript:DRNTG_11617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWRTTTPAPPSMMNLSKDGFCSLFQSIMESSSSPLYWKIINPTLSPSHLQDLSGFTRSVYKGNHVRLVTEKKQSKKN >DRNTG_28063.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21258896:21259234:1 gene:DRNTG_28063 transcript:DRNTG_28063.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTLDHHHHHDQQQQQQPPPQQP >DRNTG_29837.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23176673:23177806:1 gene:DRNTG_29837 transcript:DRNTG_29837.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPGEGTASSEGGGEMRRRRATAARKKPVDRSNGQKKLRTVEKLGPWRRSEKRATAEMAAMMMWSLNWSSLSWIAIGKTKRKRKIRAFDWGKRLIDGFWGGFSQALSLRESVE >DRNTG_26990.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19298995:19299255:1 gene:DRNTG_26990 transcript:DRNTG_26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKPTSGSAPSSTFSSKESRYAKCRQSI >DRNTG_07897.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2482938:2492782:1 gene:DRNTG_07897 transcript:DRNTG_07897.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase L2 [Source:Projected from Arabidopsis thaliana (AT1G31360) TAIR;Acc:AT1G31360] MEDDVAEELLVVEAELQDVQDEIRALLDRQEKLYERQSELKALLDVCKTSESVPSNNADVAAKDWSGSFEWDAEADDIRFNVFGISTYRANQQEIINAVLSGRDVLVIMAAGGGKSLCYQLPAILRDGIALVVSPLLSLIQDQVMGLTALGISAYMLTSTTSKEDEKFIYTALDKGEGEIKVLYVTPEKISKSKRFMSKLEKCYRGGRLSLISIDEAHCCSQWGHDFRPDYKNLGILKIQFPNVPLIALTATATYKVQADLMEMLHIPKCIKFVSTVNRANLFYKVHEKPSIGKVLIDEIADYIKGSYPKKESGIVYCFSRKECEQVAKELREHGISADYYHADMDVTAREKVHLRWSSNKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSDCVLYYRPGDVPRQSSMVFYENCGLQNLYDMVRYCQSKRTCRRSAFFQHFGEPLQDCNGMCDNCAYGSQITEVDATYQAKLIVSLLRDMQEIDQRTTMLQLVDKVKVKLKNDKGGSTPPASDLKKEELEHLILQLILGRVLKEEFQHTAYATNAYVVLGPLWKQALQGTKAVKLEVCVGKQVNKGSVKAAKRNLTSDLEVKLDEFRKELAAGSGGVFPHAVLSAQQICTLSTQKPTNINELEKLIGKVKTEKYGVQIVAFMQQYLSKQSSSSTPDLANANGNGDANPTTKKRRKAKDLVVIESSEDGDGCMD >DRNTG_07897.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2482938:2484404:1 gene:DRNTG_07897 transcript:DRNTG_07897.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase L2 [Source:Projected from Arabidopsis thaliana (AT1G31360) TAIR;Acc:AT1G31360] MEDDVAEELLVVEAELQDVQDEIRALLDRQEKLYERQSELKALLDVCKTSESVPSNNADVAAKDWSGSFEWDAEADDIRFNVFGISTYRANQQEVSSREYNCRCFYE >DRNTG_07897.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2487892:2492782:1 gene:DRNTG_07897 transcript:DRNTG_07897.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase L2 [Source:Projected from Arabidopsis thaliana (AT1G31360) TAIR;Acc:AT1G31360] MQEIDQRTTMLQLVDKVKVKLKNDKGGSTPPASDLKKEELEHLILQLILGRVLKEEFQHTAYATNAYVVLGPLWKQALQGTKAVKLEVCVGKQVNKGSVKAAKRNLTSDLEVKLDEFRKELAAGSGGVFPHAVLSAQQICTLSTQKPTNINELEKLIGKVKTEKYGVQIVAFMQQYLSKQSSSSTPDLANANGNGDANPTTKKRRKAKDLVVIESSEDGDGCMD >DRNTG_13928.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19641744:19647237:-1 gene:DRNTG_13928 transcript:DRNTG_13928.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKEPLRREHPIDATPFEVFYDGSWHGADQLKVKDGSIIFEQRRRGSSVEYTINVESLRLRSRRSIASDCRRFLKAGVDVCVLSAHSTDSASEKGLQPFFSWRDAKVISVKRTGHEGRCTCLFSVVFHRNEGEISIGSSRHERKSVAVKLDNISILQRLKFEPFDDGTHQWSVSEDCGSILKAKLLTDAFSSEVSWLVVLSALKGVEFVIKVVGDRIVHHISNNIQALRDIDVGDNIATLGFHTSGEKWYPKIETLAPVVPKEIVATDVVIELDPTEAAPKSESDVEILYEHSNLRHSKRRKTLPDRFVPVFNQGRGQEIVASDLSKNEEASTCLLEYPSSQSVTKHSPNRKKLLSTVECKEIMKNCMGDIQSEIERLFSPHVESGEKTPPDESAEKTRHTARPVADEDFNWSSEDDNPPKDDENEELWREMDHALTTLALLEQKQDFGLDNSDKDEEQQCQHEYTLDEEVGLICHLCKYVCTEIRDVWPPFVQNARAFSFMEKGGKEEFDWIGSYEFENSYKNDLMDMTTSLGCDDVWNLIPEYQSELRAHQKRAFEFIWRNIAGCFQPPGMDSAPPKTGGCVISHSPGSGKTLLVISFLVSHLKVYPRCRPLVLAPKIATYTWCKEFEKWGVSVPLYEIHPAERFKKGTVDCQLGGFSKDNRRPSRKTMHIMDCLLKLKLWHEQPGVLLMSYSSFFTLTQNESKLEYRRFMANVLQKSPGILILDEGHNPRSTTSKLRRNLMRVKTDFRILLSGTLFQNNFEEYFNSLALGRPRFIRDLSFELDPDTLNRINSRSHKRTKRASRVERLSRKLFVEKIGQKIESNSAEVRKEGFDLLNKLTGEFVDVFEGESLDTLPGLQIYTLLLTSTDIQREILVKVTKLHHSIRHKRCPLELELLITVGSIHPWLIKTVACVRNFFTDEELEKVEKCKGNFRCGSKVKFVVDLVHKSTVRGERVLVFCHNISPINMFAEVLESVFGWQRGEEILILQGDQELSVRAKIMDKFNRGAKENSRVLLASTNACAEGISLTAASRVVLVDSEWNHSKTRQAIARAFRPGQERVVYVYRLLASGTWEEDKYDTNAWKAWLSKMVFIGQYIRYPSTREVEDIEDEVLRELVEEDKKKTFQMVMKHD >DRNTG_27978.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1176883:1177302:1 gene:DRNTG_27978 transcript:DRNTG_27978.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEVLDGETIRSFVEDEEAFNVAINLWFTDLDTNNDGRLSYVELKKEITSLRVFEKHFGVDDNVLSHEELAQVHGGLFSSFDHDGDGVVDLEEFKAEMKKMMLAIAEGLGFLPVQMVVEEGSLLKRAVEREIAMKIAA >DRNTG_05134.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30622846:30631089:-1 gene:DRNTG_05134 transcript:DRNTG_05134.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANNSNSMSGTPKRSHDDGSHSTPAKRTLDEANISSGFSGKLIQPGSNDFHLPLEPGQDGRMAKVQRTDLLDVDKRLSLIHRMSPSSNMPVEHPIIPESKPESRIPKDVRDVKADYRETKTEIRETYADTRKDSQGSKLEHDVKLDSKGEERELRSDRGPLTTNKGDLKSEKEGYPTSHSYISWKDGKEGHRGKRYYDLQGSGFDSWRVSRHGVQIAEEGGKDFSMPEDKGSSEGREAVGENKIDLKAEDKLRDRDRKRKDEKHRDFGERDKDKNDRRSSSLLGSASTERKDLMRVERETEKDRKDAQGEKEWNKELDSNKLDSSNVNDKKNVYNETELLDGSVRTTEETIVIEPKLTKDESRRAYDGDLKEKKREQDIGASDRSEPHGKFYEKESDNASLEGDVAVEKDKESFASGVQQRRRMLRSRGTSQTPHREPRFRSRMRDSEGSQGKSEACSIVYKAGECMQELLKSWKEFEVSQEHKNDENLKNFPTLEIRIPAENVTSSNHQVRGGQLWGTDIYTNDSDLVAVLMHTGYCRPTSSPPPSPILELRATVRVLPPQDCYTSTLRNNVRSRGWGAGIGCSFHVERCCIVKKCGGTIDLEAHLTHTSAMEPTLAPVSVERTMTTRAAASNALRQQRFVREVTVQYNLCNEPWLKYSISAVADKGLKKPFHTSARLKKGEVLYLETHFKRYELCFNGEKAICIGTTPGSTQPPDTEPEKHHNVQTGDKNHDRDIVTDVFRWSRCMIPLPQNLMHSVGIPLPVEHLEVLEDNLSWEDVQWSQTGVFVAGKEYILARAHFLSGTN >DRNTG_05134.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30622846:30631089:-1 gene:DRNTG_05134 transcript:DRNTG_05134.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSANNSNSMSGTPKRSHDDGSHSTPAKRTLDEANISSGFSGKLIQPGSNDFHLPLEPGQDGRMAKVQRTDLLDVDKRLSLIHRMSPSSNMPVEHPIIPESKPESRIPKDVRDVKADYRETKTEIRETYADTRKDSQGSKLEHDVKLDSKGEERELRSDRGPLTTNKGDLKSEKEGYPTSHSYISWKDGKEGHRGKRYYDLQGSGFDSWRVSRHGVQIAEEGGKDFSMPEDKGSSEGREAVGENKIDLKAEDKLRDRDRKRKDEKHRDFGERDKDKNDRRSSSLLGSASTERKDLMRVERETEKDRKDAQGEKEWNKELDSNKLDSSNVNDKKNVYNETELLDGSVRTTEETIVIEPKLTKDESRRAYDGDLKEKKREQDIGASDRSEPHGKFYEKESDNASLEGDVAVEKDKESFASGVQQRRRMLRSRGTSQTPHREPRFRSRMRDSEGSQGKSEACSIVYKAGECMQELLKSWKEFEVSQEHKNDENLKNFPTLEIRIPAENVTSSNHQVRGGQLWGTDIYTNDSDLVAVLMHTGYCRPTSSPPPSPILELRATVRVLPPQDCYTSTLRNNVRSRGWGAGIGCSFHVERCCIVKKCGGTIDLEAHLTHTSAMEPTLAPVSVERTMTTRAAASNALRQQRFVREVTVQYNLCNEPWLKYSISAVADKGLKKPFHTSARLKKGEVLYLETHFKRYELCFNGEKAICIGTTPGSTQPPDTEPEKHHNVQTGDKNHDRDIVTDVFRWSRCMIPLPQNLMHSVGIPLPVEHLEVLEDNLSWEDVQWSQTGVFVAGKEYILARAHFLSGTN >DRNTG_05134.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30622846:30631089:-1 gene:DRNTG_05134 transcript:DRNTG_05134.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSANNSNSMSGTPKRSHDDGSHSTPAKRTLDEANISSGFSGKLIQPGSNDFHLPLEPGQDGRMAKVQRTDLLDVDKRLSLIHRMSPSSNMPVEHPIIPESKPESRIPKDVRDVKADYRETKTEIRETYADTRKDSQGSKLEHDVKLDSKGEERELRSDRGPLTTNKGDLKSEKEGYPTSHSYISWKDGKEGHRGKRYYDLQGSGFDSWRVSRHGVQIAEEGGKDFSMPEDKGSSEGREAVGENKIDLKAEDKLRDRDRKRKDEKHRDFGERDKDKNDRRSSSLLGSASTERKDLMRVERETEKDRKDAQGEKEWNKELDSNKLDSSNVNDKKNVYNETELLDGSVRTTEETIVIEPKLTKDESRRAYDGDLKEKKREQDIGASDRSEPHGKFYEKESDNASLEGDVAVEKDKESFASGVQQRRRMLRSRGTSQTPHREPRFRSRMRDSEGSAGKSEACSIVYKAGECMQELLKSWKEFEVSQEHKNDENLKNFPTLEIRIPAENVTSSNHQVRGGQLWGTDIYTNDSDLVAVLMHTGYCRPTSSPPPSPILELRATVRVLPPQDCYTSTLRNNVRSRGWGAGIGCSFHVERCCIVKKCGGTIDLEAHLTHTSAMEPTLAPVSVERTMTTRAAASNALRQQRFVREVTVQYNLCNEPWLKYSISAVADKGLKKPFHTSARLKKGEVLYLETHFKRYELCFNGEKAICIGTTPGSTQPPDTEPEKHHNVQTGDKNHDRDIVTDVFRWSRCMIPLPQNLMHSVGIPLPVEHLEVLEDNLSWEDVQWSQTGVFVAGKEYILARAHFLSGTN >DRNTG_05134.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30622846:30631089:-1 gene:DRNTG_05134 transcript:DRNTG_05134.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSANNSNSMSGTPKRSHDDGSHSTPAKRTLDEANISSGFSGKLIQPGSNDFHLPLEPGQDGRMAKVQRTDLLDVDKRLSLIHRMSPSSNMPVEHPIIPESKPESRIPKDVRDVKADYRETKTEIRETYADTRKDSQGSKLEHDVKLDSKGEERELRSDRGPLTTNKGDLKSEKEGYPTSHSYISWKDGKEGHRGKRYYDLQGSGFDSWRVSRHGVQIAEEGGKDFSMPEDKGSSEGREAVGENKIDLKAEDKLRDRDRKRKDEKHRDFGERDKDKNDRRSSSLLGSASTERKDLMRVERETEKDRKDAQGEKEWNKELDSNKLDSSNVNDKKNVYNETELLDGSVRTTEETIVIEPKLTKDESRRAYDGDLKEKKREQDIGASDRSEPHGKFYEKESDNASLEGDVAVEKDKESFASGVQQRRRMLRSRGTSQTPHREPRFRSRMRDSEGSQGKSEACSIVYKAGECMQELLKSWKEFEVSQEHKNDENLKNFPTLEIRIPAENVTSSNHQVRGGQLWGTDIYTNDSDLVAVLMHTGYCRPTSSPPPSPILELRATVRVLPPQDCYTSTLRNNVRSRGWGAGIGCSFHVERCCIVKKCGGTIDLEAHLTHTSAMEPTLAPVSVERTMTTRAAASNALRQQRFVREVTVQYNLCNEPWLKYSISAVADKGLKKPFHTSARLKKGEVLYLETHFKRYELCFNGEKAICIGTTPGSTQPPDTEPEKHHNVQTGDKNHDRDIVTDVFRWSRCMIPLPQNLMHSVGIPLPVEHLEVLEDNLSWEDVQWSQTGVFVAGKEYILARAHFLSGTN >DRNTG_05134.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30622846:30627095:-1 gene:DRNTG_05134 transcript:DRNTG_05134.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQELLKSWKEFEVSQEHKNDENLKNFPTLEIRIPAENVTSSNHQVRGGQLWGTDIYTNDSDLVAVLMHTGYCRPTSSPPPSPILELRATVRVLPPQDCYTSTLRNNVRSRGWGAGIGCSFHVERCCIVKKCGGTIDLEAHLTHTSAMEPTLAPVSVERTMTTRAAASNALRQQRFVREVTVQYNLCNEPWLKYSISAVADKGLKKPFHTSARLKKGEVLYLETHFKRYELCFNGEKAICIGTTPGSTQPPDTEPEKHHNVQTGDKNHDRDIVTDVFRWSRCMIPLPQNLMHSVGIPLPVEHLEVLEDNLSWEDVQWSQTGVFVAGKEYILARAHFLSGTN >DRNTG_24770.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26644048:26644512:1 gene:DRNTG_24770 transcript:DRNTG_24770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDIAFDQNSRVVLDVGCGVASFGAFLLLRNVLTMSIAPKDVHKNQIQFALECGVPAMLAVFAARRLLYPSQAFDLIYCSRCRINRTGNGNNTLH >DRNTG_24770.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26643020:26643656:1 gene:DRNTG_24770 transcript:DRNTG_24770.2 gene_biotype:protein_coding transcript_biotype:protein_coding ALSDEHECSHFVGDGLNCLVPAPRGYKVPIPWPRSRDEV >DRNTG_24770.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26643020:26644512:1 gene:DRNTG_24770 transcript:DRNTG_24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDIAFDQNSRVVLDVGCGVASFGAFLLLRNVLTMSIAPKDVHKNQIQFALECGVPAMLAVFAARRLLYPSQAFDLIYCSRCRINRTGNGNNTLH >DRNTG_00464.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30782266:30784219:-1 gene:DRNTG_00464 transcript:DRNTG_00464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANMETRRFLIYVVSLSIFLLLLSCFILFKSSTSSSLVPESVLMFMLVNNSSIVTSKPQHHALDHQQPATFVTTLIDHGKPIADTDLELIEQVEVDECLQSKEGGLLRVYMYDLPSEFHFGLLGWKGRSGQIWPDVNNGKDVPSYPGGLNLQHSVEYWLTLDLLSSNSTGIDRPRTVIRVMNSRCADVIFVPFFASVSYNRHSRRQLKEKVQTNTLLQYKLVKFLLEQEEWKRSGGKDHVIVAHHPNSLLDARKKLGAAMLILADFGRYRADVANLKKDLIAPYKHVVRTLGDNSPSFDDRPLLGYFQGAIQRKDGGFIRQELYQLLKDEKDVHFSYGSVRAHGISKAGQGMASSKYCFNIAGDTPSSNRLFDAIVSHCVPVIISDDIELPFEDVLDYSEFCIFVRTSDAVKKGFLMNLLRGISRNKWTMMWQRLKQLAHHFEYQYPSKQGDAVQMIWEAIARKVPSVRLQLHKHYRFNRADHHKD >DRNTG_09684.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1386566:1387989:1 gene:DRNTG_09684 transcript:DRNTG_09684.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTILTLVPSRPIWTSSSLTLSPLSPSPLLSSPTPLLALPMAWPSAILTTAHPPVPTASTTRPLLSPSSAHSPAQPHSVSTSAFSATLTPPSSPLSTSHPSKFSPAPPILQSPTPSCKT >DRNTG_28148.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20740410:20746632:1 gene:DRNTG_28148 transcript:DRNTG_28148.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFIVLITDNRLHFSTFCCDLEKFCYSSATLKCEREAEALNRPRFTHEVPREAKGKEVRSAQTSVKDKEKREQQWRPRTHAAETRPVSDTPGVHRSLEDRTCLVSSTPETRPMRVSPRVSLISPTSLAEDKRTSSDHREGGASPDLNQSTGPLPSDAGLGFLEKTDGPTTLHGGACDLLEISLVVERDWIRLPRSLGTTLLS >DRNTG_28148.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20740410:20742575:1 gene:DRNTG_28148 transcript:DRNTG_28148.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFIVLITDNRLHFSTFCCDLEKFCYSSATLKCEREAEALNRPRFTHEVPREAKGKEVRSAQTSVKDKEKREQQWRPRTHAAETRPVSDTPGVHRSLEDRTCLVSSTPETRPMRVSPRVSLISPTSLAEDKRTSSDHREGGASPDLNQSTGPLPSDAGLGFLEKTDGPTTLHGGACDLLEISLVVERDWIRLPRSLGTTLLS >DRNTG_26350.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:11996701:11999281:1 gene:DRNTG_26350 transcript:DRNTG_26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQGKGRGRGKGHSSLHHAMPQRSTRKSAALAPIIQSSNEATTSTPEVQINLPAISDHSPTPSNPICGGLLMSENDGSTKSSSRGPSVGLPYPLNPNDRVHLTPINAEDMLEDERMKAMKEVGATNIRECKVMEREWITKDVWDALIDNEWGTDAWQSKSSKAKANHLTEKEGSITKHTGGSQPFAVHGIKLEKYNAALIDKYGDDISSHPSFDGQSWYDAIGGLKATRTSVYGFGSRVDSRQLFGAASTCPSTSDSACGPSTYQPFATNESKLQTQQIAEKVQNLESTALDIQQGQAGLQNKLDKILELVECGHPTLFSQASQSGINSRDATTGDGGENA >DRNTG_02971.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22420388:22424372:1 gene:DRNTG_02971 transcript:DRNTG_02971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLMKFAVFGPQKTSSCSSLGAVSLFHSTSVCERKRRTRWDSAFGNFSSRRFNNYSKRMRKMESKRTLLCNISNYAEHLFQSWRDDQYNWFQKQYGSKGANKDGSAAFRWGTYRNKRKGGIEFCTSDDDDDAETIFRSAFGGEGFFHWSFTNTENFSRRNSSNSGNWRFSWEWSYQTDDENETDGEISTQVPELASERRVLGLSPSGPLKIEEVKSAYRACALRWHPDRHQGSSKVAAEERFKRCSNAYKLLCDNLTAS >DRNTG_02971.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22420388:22424372:1 gene:DRNTG_02971 transcript:DRNTG_02971.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLMKFAVFGPQKTSSCSSLGAVSLFHSTSVCERKRRTRWDSAFGNFSSRSWRDDQYNWFQKQYGSKGANKDGSAAFRWGTYRNKRKGGIEFCTSDDDDDAETIFRSAFGGEGFFHWSFTNTENFSRRNSSNSGNWRFSWEWSYQTDDENETDGEISTQVPELASERRVLGLSPSGPLKIEEVKSAYRACALRWHPDRHQGSSKQVAAEERFKRCSNAYKLLCDNLTAS >DRNTG_02971.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22420388:22424372:1 gene:DRNTG_02971 transcript:DRNTG_02971.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLMKFAVFGPQKTSSCSSLGAVSLFHSTSVCERKRRTRWDSAFGNFSSRRFNNYSKRMRKMESKRTLLCNISNYAEHLFQSWRDDQYNWFQKQYGSKGANKDGSAAFRWGTYRNKRKGGIEFCTSDDDDDAETIFRSAFGGEGFFHWSFTNTENFSRRNSSNSGNWRFSWEWSYQTDDENETDGEISTQVPELASERRVLGLSPSGPLKIEEVKSAYRACALRWHPDRHQGSSKQVAAEERFKRCSNAYKLLCDNLTAS >DRNTG_02971.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22420388:22424372:1 gene:DRNTG_02971 transcript:DRNTG_02971.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLMKFAVFGPQKTSSCSSLGAVSLFHSTSVCERKRRTRWDSAFGNFSSRSWRDDQYNWFQKQYGSKGANKDGSAAFRWGTYRNKRKGGIEFCTSDDDDDAETIFRSAFGGEGFFHWSFTNTENFSRRNSSNSGNWRFSWEWSYQTDDENETDGEISTQVPELASERRVLGLSPSGPLKIEEVKSAYRACALRWHPDRHQGSSKVAAEERFKRCSNAYKLLCDNLTAS >DRNTG_04479.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000214.1:21621:22977:-1 gene:DRNTG_04479 transcript:DRNTG_04479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRAGRGFTLEELKAAGIPKKLAPTIGIAVDHRRKNRSLEGLQSNVQRLKTYKAKLVIFPRRACKFKSGDSAPEELATATQVQGTYMPIVREQPSVELVKVTEEMKSFSAYGKLRVEQMNKRQVGARLKKAAEAEKDEKK >DRNTG_03199.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000145.1:67655:68396:1 gene:DRNTG_03199 transcript:DRNTG_03199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHTQFKELSAKMETVMVVLDQKEEKDRAKEERMAIIEPSLASIEKCMESLQLQNSKSN >DRNTG_13149.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3676151:3676684:1 gene:DRNTG_13149 transcript:DRNTG_13149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSDLDFSSLCKKDDKTKNPSKDSVNKEMKQDKFSFTFDFNELESFNLDSNLLKGEKNPRKCTDSGDCS >DRNTG_11134.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30412969:30413309:-1 gene:DRNTG_11134 transcript:DRNTG_11134.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIYNEQVRDLLSNEASQKRLGIRSASLSNGLAVPDANMLPVKST >DRNTG_08701.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18044331:18045981:1 gene:DRNTG_08701 transcript:DRNTG_08701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFACSNHNTGFLLVKGVLVTIGKIYFLYTLYQKHVLRKTNNSNPSPVMYGDANMVSPNLWIEDATVERFLKQIAKEKPIRFTFPQLAGITRNYTIRLGSGGFGIVYKGQLPNGVQVAVKVLNTVGSEDKRLMEQQFMAEIGTIGRTFHANLVKLYGFCYDSIARALIYEYMDKGSLDTYLFDKSHIITWEKLHEIAIGTAKALSYLHDECEQRIIHYDIKPANILLDNNFTPKVADFGLAKLCNRENTHVSMTVGRGTPGYAAPEMWMMGQVTYKCDVYSFGILLFEIAGRRRSFDASLEEDERWFPKWVWERYENGEMEKVLEMIGVDDEHKGETERKLMVALWCVQYQPERRPPMDKVVKMLEGEMEIVPPLNPFQHLLSTSAPSKDLWSGTSLSSSGADNQGLRFHSLQQQQQQQQEAARFYSLPV >DRNTG_24797.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31925688:31926602:-1 gene:DRNTG_24797 transcript:DRNTG_24797.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETTTTTTTTGEKHGEEQPGSGKTAQSSVITFYQVKIAGTPRNVTVIWCKSLINHTLTVSVEKPNSTDNTFTCKVELKPWPFWSKKGFKSFEMDGQRIDVFWDLRSAKFSGGPEPAGGYYVALVCNEEVALLLGDCKKEAYKRTKSRPSLEDATIICKRENVFGKKCFSSRARFDERRKEHDIVVENSISGPKDPEMWISIDGVVLIHVNNLQWKFRGNETVIVEQVPVQVFWDVHAWLFSSSGPAHAFFIFKPALPENNAGDDNGEDSGGDGGGAAMLSSPLPLPSSSLPEFCFFLYAWKVE >DRNTG_25335.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6448101:6453795:1 gene:DRNTG_25335 transcript:DRNTG_25335.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CPK3 [Source:Projected from Arabidopsis thaliana (AT4G23650) UniProtKB/TrEMBL;Acc:A0A178V4I3] MGVMHRDLKPENFLFLSKDENSPLKATDFGLSVFFKPGDVFKDLVGSAYYVAPEVLRRNYGAEADIWSAGVILYILLCGVPPFWAENEQGIFDAVLRGHIDFSSDPWPSISSGAKELVKKMLRQDPKERLSAVEILNHPWVREDGDASDKPIDITVLSRMKQFRAMNKLKKVALKVIAENLSEEEIIGLKEMFKSMDTDNSGTITFEELKAGLPKLGSKLSESEVRQLMEAADVDGNGTIDYLEFITATMHMNRMEKEDHLYKAFEYFDKDKSGYITMEELEQALKEYNMGDEKTIKEIIAEVDSDHDGRINYDEFVAMMRKGNPEMVPNRRRK >DRNTG_11509.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29485513:29495368:-1 gene:DRNTG_11509 transcript:DRNTG_11509.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGRYDGGAQGISVRKTIQSIKEIVGNHSEADIYAALKESNMDPNETAQKLLNEDPFHEVKRKKDKRKENTGYKASTEGRVHSEHNLQRARSYSSWDRNARGGSQTRKTAPGAGVSREFRIVRDNRVNQKTTENLAANKDAKTEPVQNSSLGIQQVNPDTVERSSGGILTGQKHSAAKNSEGQYQGLNRHVGYASGHAKNAESSVGLRQSVTDEILVPLASSSRVGDGQSSPQMHSATGGVTNSHIGVYSSSSDPVHVPSPDSRSAGTVGAIGSEVRAVGARKLSFDRPAGHPTVSNSSLLIPQMRQGISSSKESISHSSPVSKSSSQTPGLEPVIQSMPLVKSLPSSHYNMRLQQPVTHQKATQPSMEWKPKTTQKPSLSNEEVHEVPSLPSSDVDGSVRSSEVEAAGLSDKVAQVNISRVEHVIIPHHLRVPESERLQLTFGSFESGFQLNKDVTSSKASHSVELQHDESSMSVCTTVQASSGEDESADDQASLEDSQGRNSPSEPPELAAESGQPQPENDESNPTNMESYADISVVQGHNPPYISSEPPPLQNSPSPPSFSAYIPQANYGMPFFRTTVEDNAHVQNFVSTSEPMSSHAQNDSPRSALMQQQQLVQQQQQPMAQMFPPVHVSHLPNFVPYRHIFSPVYGPPMAMPNYTGNPTYPHPSNANNYLLMPGTIGNTTNLDDSTRIKYKDSNPYTSNQQAETPDIWIQTPRELPGMQSTPYYNLTGQPPQPAFLPAHAGHASFSPTPQPHVYPGLYHTPQQPPIANPHHLIPQQVPPGIGAGVGVGVGMAAPGPQVGTYQQQQMGHLNWSSNF >DRNTG_11509.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29485513:29495368:-1 gene:DRNTG_11509 transcript:DRNTG_11509.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGRYDGGAQGISVRKTIQSIKEIVGNHSEADIYAALKESNMDPNETAQKLLNEDPFHEVKRKKDKRKENTGYKASTEGRVHSEHNLQRARSYSSWDRNARGGSQTRKTAPGAGVSREFRIVRDNRVNQKTTENLAANKDAKTEPVQNSSLGIQQVNPDTVERSSGGILTGQKHSAAKNSEGQYQGLNRHVGYASGHAKNAESSVGLRQSVTDEILVPLASSSRVGDGQSSPQMHSATGGVTNSHIGVYSSSSDPVHVPSPDSRSAGTVGAIGSEVRAVGARKLSFDRPAGHPTVSNSSLLIPQMRQGISSSKESISHSSPVSKSSSQTPGLEPVIQSMPLVKSLPSSHYNMRLQQPVTHQKATQPSMEWKPKTTQKPSLSNEEVHEVPSLPSSDVDGSVRSSEVEAAGLSDKVAQVNISRVEHVIIPHHLRVPESERLQLTFGSFESGFQLNKDVTSSKASHSVELQHDESSMSVCTTVQASSGEDESADDQASLEDSQGRNSPSEPPELAAESGQPQPENDESNPTNMESYADISVVQGHNPPYISSEPPPLQNSPSPPSFSAYIPQANYGMPFFRTTVEDNAHVQNFVSTSENDSPRSALMQQQQLVQQQQQPMAQMFPPVHVSHLPNFVPYRHIFSPVYGPPMAMPNYTGNPTYPHPSNANNYLLMPGGTSHLNPAAVKYTNSQYKPVPTGTLPGYGNFTNPAGYNMATPGTIGNTTNLDDSTRIKYKDSNPYTSNQQAETPDIWIQTPRELPGMQSTPYYNLTGQPPQPAFLPAHAGHASFSPTPQPHVYPGLYHTPQQPPIANPHHLIPQQVPPGIGAGVGVGVGMAAPGPQVGTYQQQQMGHLNWSSNF >DRNTG_11509.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29485513:29495368:-1 gene:DRNTG_11509 transcript:DRNTG_11509.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGRYDGGAQGISVRKTIQSIKEIVGNHSEADIYAALKESNMDPNETAQKLLNEDPFHEVKRKKDKRKENTGYKASTEGRVHSEHNLQRARSYSSWDRNARGGSQTRKTAPGAGVSREFRIVRDNRVNQKTTENLAANKDAKTEPVQNSSLGIQQVNPDTVERSSGGILTGQKHSAAKNSEGQYQGLNRHVGYASGHAKNAESSVGLRQSVTDEILVPLASSSRVGDGQSSPQMHSATGGVTNSHIGVYSSSSDPVHVPSPDSRSAGTVGAIGSEVRAVGARKLSFDRPAGHPTVSNSSLLIPQMRQGISSSKESISHSSPVSKSSSQTPGLEPVIQSMPLVKSLPSSHYNMRLQQPVTHQKATQPSMEWKPKTTQKPSLSNEEVHEVPSLPSSDVDGSVRSSEVEAAGLSDKVAQVNISRVEHVIIPHHLRVPESERLQLTFGSFESGFQLNKDVTSSKASHSVELQHDESSMSVCTTVQASSGEDESADDQASLEDSQGRNSPSEPPELAAESGQPQPENDESNPTNMESYADISVVQGHNPPYISSEPPPLQNSPSPPSFSAYIPQANYGMPFFRTTVEDNAHVQNFVSTSEPMSSHAQNDSPRSALMQQQQLVQQQQQPMAQMFPPVHVSHLPNFVPYRHIFSPVYGPPMAMPNYTGNPTYPHPSNANNYLLMPGGTSHLNPAAVKYTNSQYKPVPTGTLPGYGNFTNPAGYNMATPGTIGNTTNLDDSTRIKYKDSNPYTSNQQAETPDIWIQTPRELPGMQSTPYYNLTGQPPQPAFLPAHAGHASFSPTPQPHVYPGLYHTPQQPPIANPHHLIPQQVPPGIGAGVGVGVGMAAPGPQVGTYQQQQMGHLNWSSNF >DRNTG_11509.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29485513:29495368:-1 gene:DRNTG_11509 transcript:DRNTG_11509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGRYDGGAQGISVRKTIQSIKEIVGNHSEADIYAALKESNMDPNETAQKLLNEGEFLRILKIHFMR >DRNTG_11509.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29485513:29495368:-1 gene:DRNTG_11509 transcript:DRNTG_11509.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGRYDGGAQGISVRKTIQSIKEIVGNHSEADIYAALKESNMDPNETAQKLLNEDPFHEVKRKKDKRKENTGYKASTEGRVHSEHNLQRARSYSSWDRNARGGSQTRKTAPGAGVSREFRIVRDNRVNQKTTENLAANKDAKTEPVQNSSLGIQQVNPDTVERSSGGILTGQKHSAAKNSEGQYQGLNRHVGYASGHAKNAESSVGLRQSVTDEILVPLASSSRVGDGQSSPQMHSATGGVTNSHIGVYSSSSDPVHVPSPDSRSAGTVGAIGSEVRAVGARKLSFDRPAGHPTVSNSSLLIPQMRQGISSSKESISHSSPVSKSSSQTPGLEPVIQSMPLVKSLPSSHYNMRLQQPVTHQKATQPSMEWKPKTTQKPSLSNEEVHEVPSLPSSDVDGSVRSSEVEAAGLSDKVAQVNISRVEHVIIPHHLRVPESERLQLTFGSFESGFQLNKDVTSSKASHSVELQHDESSMSVCTTVQASSGEDESADDQASLEDSQGRNSPSEPPELAAESGQPQPENDESNPTNMESYADISVVQGHNPPYISSEPPPLQNSPSPPSFSAYIPQANYGMPFFRTTVEDNAHVQNFVSTSENDSPRSALMQQQQLVQQQQQPMAQMFPPVHVSHLPNFVPYRHIFSPVYGPPMAMPNYTGNPTYPHPSNANNYLLMPGTIGNTTNLDDSTRIKYKDSNPYTSNQQAETPDIWIQTPRELPGMQSTPYYNLTGQPPQPAFLPAHAGHASFSPTPQPHVYPGLYHTPQQPPIANPHHLIPQQVPPGIGAGVGVGVGMAAPGPQVGTYQQQQMGHLNWSSNF >DRNTG_11509.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29485513:29493570:-1 gene:DRNTG_11509 transcript:DRNTG_11509.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIIYIDLHIADPFHEVKRKKDKRKENTGYKASTEGRVHSEHNLQRARSYSSWDRNARGGSQTRKTAPGAGVSREFRIVRDNRVNQKTTENLAANKDAKTEPVQNSSLGIQQVNPDTVERSSGGILTGQKHSAAKNSEGQYQGLNRHVGYASGHAKNAESSVGLRQSVTDEILVPLASSSRVGDGQSSPQMHSATGGVTNSHIGVYSSSSDPVHVPSPDSRSAGTVGAIGSEVRAVGARKLSFDRPAGHPTVSNSSLLIPQMRQGISSSKESISHSSPVSKSSSQTPGLEPVIQSMPLVKSLPSSHYNMRLQQPVTHQKATQPSMEWKPKTTQKPSLSNEEVHEVPSLPSSDVDGSVRSSEVEAAGLSDKVAQVNISRVEHVIIPHHLRVPESERLQLTFGSFESGFQLNKDVTSSKASHSVELQHDESSMSVCTTVQASSGEDESADDQASLEDSQGRNSPSEPPELAAESGQPQPENDESNPTNMESYADISVVQGHNPPYISSEPPPLQNSPSPPSFSAYIPQANYGMPFFRTTVEDNAHVQNFVSTSEPMSSHAQNDSPRSALMQQQQLVQQQQQPMAQMFPPVHVSHLPNFVPYRHIFSPVYGPPMAMPNYTGNPTYPHPSNANNYLLMPGGTSHLNPAAVKYTNSQYKPVPTGTLPGYGNFTNPAGYNMATPGTIGNTTNLDDSTRIKYKDSNPYTSNQQAETPDIWIQTPRELPGMQSTPYYNLTGQPPQPAFLPAHAGHASFSPTPQPHVYPGLYHTPQQPPIANPHHLIPQQVPPGIGAGVGVGVGMAAPGPQVGTYQQQQMGHLNWSSNF >DRNTG_11509.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29485513:29493570:-1 gene:DRNTG_11509 transcript:DRNTG_11509.12 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIIYIDLHIADPFHEVKRKKDKRKENTGYKASTEGRVHSEHNLQRARSYSSWDRNARGGSQTRKTAPGAGVSREFRIVRDNRVNQKTTENLAANKDAKTEPVQNSSLGIQQVNPDTVERSSGGILTGQKHSAAKNSEGQYQGLNRHVGYASGHAKNAESSVGLRQSVTDEILVPLASSSRVGDGQSSPQMHSATGGVTNSHIGVYSSSSDPVHVPSPDSRSAGTVGAIGSEVRAVGARKLSFDRPAGHPTVSNSSLLIPQMRQGISSSKESISHSSPVSKSSSQTPGLEPVIQSMPLVKSLPSSHYNMRLQQPVTHQKATQPSMEWKPKTTQKPSLSNEEVHEVPSLPSSDVDGSVRSSEVEAAGLSDKVAQVNISRVEHVIIPHHLRVPESERLQLTFGSFESGFQLNKDVTSSKASHSVELQHDESSMSVCTTVQASSGEDESADDQASLEDSQGRNSPSEPPELAAESGQPQPENDESNPTNMESYADISVVQGHNPPYISSEPPPLQNSPSPPSFSAYIPQANYGMPFFRTTVEDNAHVQNFVSTSENDSPRSALMQQQQLVQQQQQPMAQMFPPVHVSHLPNFVPYRHIFSPVYGPPMAMPNYTGNPTYPHPSNANNYLLMPGTIGNTTNLDDSTRIKYKDSNPYTSNQQAETPDIWIQTPRELPGMQSTPYYNLTGQPPQPAFLPAHAGHASFSPTPQPHVYPGLYHTPQQPPIANPHHLIPQQVPPGIGAGVGVGVGMAAPGPQVGTYQQQQMGHLNWSSNF >DRNTG_11509.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29485513:29495368:-1 gene:DRNTG_11509 transcript:DRNTG_11509.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGRYDGGAQGISVRKTIQSIKEIVGNHSEADIYAALKESNMDPNETAQKLLNEGEFLRILKIHFMR >DRNTG_11509.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29485513:29493570:-1 gene:DRNTG_11509 transcript:DRNTG_11509.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIIYIDLHIADPFHEVKRKKDKRKENTGYKASTEGRVHSEHNLQRARSYSSWDRNARGGSQTRKTAPGAGVSREFRIVRDNRVNQKTTENLAANKDAKTEPVQNSSLGIQQVNPDTVERSSGGILTGQKHSAAKNSEGQYQGLNRHVGYASGHAKNAESSVGLRQSVTDEILVPLASSSRVGDGQSSPQMHSATGGVTNSHIGVYSSSSDPVHVPSPDSRSAGTVGAIGSEVRAVGARKLSFDRPAGHPTVSNSSLLIPQMRQGISSSKESISHSSPVSKSSSQTPGLEPVIQSMPLVKSLPSSHYNMRLQQPVTHQKATQPSMEWKPKTTQKPSLSNEEVHEVPSLPSSDVDGSVRSSEVEAAGLSDKVAQVNISRVEHVIIPHHLRVPESERLQLTFGSFESGFQLNKDVTSSKASHSVELQHDESSMSVCTTVQASSGEDESADDQASLEDSQGRNSPSEPPELAAESGQPQPENDESNPTNMESYADISVVQGHNPPYISSEPPPLQNSPSPPSFSAYIPQANYGMPFFRTTVEDNAHVQNFVSTSENDSPRSALMQQQQLVQQQQQPMAQMFPPVHVSHLPNFVPYRHIFSPVYGPPMAMPNYTGNPTYPHPSNANNYLLMPGGTSHLNPAAVKYTNSQYKPVPTGTLPGYGNFTNPAGYNMATPGTIGNTTNLDDSTRIKYKDSNPYTSNQQAETPDIWIQTPRELPGMQSTPYYNLTGQPPQPAFLPAHAGHASFSPTPQPHVYPGLYHTPQQPPIANPHHLIPQQVPPGIGAGVGVGVGMAAPGPQVGTYQQQQMGHLNWSSNF >DRNTG_11509.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29485513:29495368:-1 gene:DRNTG_11509 transcript:DRNTG_11509.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGRYDGGAQGISVRKTIQSIKEIVGNHSEADIYAALKESNMDPNETAQKLLNEGEFLRILKIHFMR >DRNTG_11509.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29485513:29495368:-1 gene:DRNTG_11509 transcript:DRNTG_11509.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGRYDGGAQGISVRKTIQSIKEIVGNHSEADIYAALKESNMDPNETAQKLLNEGEFLRILKIHFMR >DRNTG_11509.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29485513:29493570:-1 gene:DRNTG_11509 transcript:DRNTG_11509.11 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIIYIDLHIADPFHEVKRKKDKRKENTGYKASTEGRVHSEHNLQRARSYSSWDRNARGGSQTRKTAPGAGVSREFRIVRDNRVNQKTTENLAANKDAKTEPVQNSSLGIQQVNPDTVERSSGGILTGQKHSAAKNSEGQYQGLNRHVGYASGHAKNAESSVGLRQSVTDEILVPLASSSRVGDGQSSPQMHSATGGVTNSHIGVYSSSSDPVHVPSPDSRSAGTVGAIGSEVRAVGARKLSFDRPAGHPTVSNSSLLIPQMRQGISSSKESISHSSPVSKSSSQTPGLEPVIQSMPLVKSLPSSHYNMRLQQPVTHQKATQPSMEWKPKTTQKPSLSNEEVHEVPSLPSSDVDGSVRSSEVEAAGLSDKVAQVNISRVEHVIIPHHLRVPESERLQLTFGSFESGFQLNKDVTSSKASHSVELQHDESSMSVCTTVQASSGEDESADDQASLEDSQGRNSPSEPPELAAESGQPQPENDESNPTNMESYADISVVQGHNPPYISSEPPPLQNSPSPPSFSAYIPQANYGMPFFRTTVEDNAHVQNFVSTSEPMSSHAQNDSPRSALMQQQQLVQQQQQPMAQMFPPVHVSHLPNFVPYRHIFSPVYGPPMAMPNYTGNPTYPHPSNANNYLLMPGTIGNTTNLDDSTRIKYKDSNPYTSNQQAETPDIWIQTPRELPGMQSTPYYNLTGQPPQPAFLPAHAGHASFSPTPQPHVYPGLYHTPQQPPIANPHHLIPQQVPPGIGAGVGVGVGMAAPGPQVGTYQQQQMGHLNWSSNF >DRNTG_34316.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002124.1:1731:2207:-1 gene:DRNTG_34316 transcript:DRNTG_34316.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLQNIIYMPGNTTNSHQNHRGNSQSP >DRNTG_22265.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:974039:977286:1 gene:DRNTG_22265 transcript:DRNTG_22265.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERIAYSWRSRREAGDPSTPAIPSSRQSIADESRQTDDC >DRNTG_22265.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:976528:977286:1 gene:DRNTG_22265 transcript:DRNTG_22265.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNSSWRSRREAGDPSTPAIPSSRQSIADESRQTDDC >DRNTG_22265.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:974039:977286:1 gene:DRNTG_22265 transcript:DRNTG_22265.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNSSWRSRREAGDPSTPAIPSSRQSIADESRQTDDC >DRNTG_26473.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:552795:555384:-1 gene:DRNTG_26473 transcript:DRNTG_26473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNGSVASGTMAMKLGPCNVKQRSFLAHSRLGNTPSYVSIGFGGFPKLKGLSLAHQERNVLPLRGGSTQDFVGPSIFPIEGDFASLQNKKPWSPWSCKVKSSFVCKASKSLIYPQMEAKPQWWWRSLACVPYLLPISDTLVQAALAFQLTNFLEEVDFLTFPLISTFTLLPGWALIAMSTVGYICIVRRKEWPHFLRFHVVMAMLLSSAVQVVGIASHWLPRSVYNGGIQRYFWSTVVYLQLLVLLECIRCALGGVYADVPFVKDAARIHTEA >DRNTG_18540.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3265815:3271941:-1 gene:DRNTG_18540 transcript:DRNTG_18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASKLLMLLFTPIPRLYRPSPSALRLPLSRPLSTSSGRRLLPYPRRPILSLRAGAAENLHTQTQFSESFPSLSKLEWSTLVNHLVSVGYSERRVLVPAGDDDDCLVGYDGLPEDFLKAAEVCLAFARDRPDVLRLLSRKDVEVVVENCSPSLFKNGMNSVRRMRAFLSGDERDVLQTEGALTVDLMRYLVSYAQGLLNINDNSEGRELIETSIRNLLTELVNAHGVAQISNVESTSQIRPLSQGQFSRPPRQNIEMKRGDWICPKCSFMNFARNMKCLECDEARPNRQLTGGEWECPQCDFFNYGRNMSCLRCDCKRPVDSPLSSAAPGGGGGYRAHSNIEQILNGKNGDTSEIERRLAANDEKAERWFSKVSQLDDASDLSSAIADEDFPEIMPLRKGTNRFVVSTRKTPLERRLANEQYRRNLEDGASGKNTTTESSISESLDRILGRSSATPRTTDQSTGGGSNFSARSRFNSSDNRQSISRLRDPDYVPFVPLPSDKFQKSPKSDNVVQQSSDKEDSSFLKTDQPSGSTDTMSRNGGSGAAFPSQKFQGPSGNREDRESSEVLERWHKKVAELDDVSDLASAIPDEDFPEIMPMRKGENRFVVSKKKDRSLTSPQYKRRLAMEQSNHSNFVPFVPFPPDYFAKKDKQPEAVTTSADAATGTSSLNEKSQVEAPAVPELDDTNSAENQYSSGNFNKESYGPNSNESMINNVYSGATVQSVGQSNNYHNGNKENWSSKIPQNSGAFDGPTSVGSPPGQSDNPQNARESWNYGISGKSLEGSAVKEPDPLDMSEEAKAERWFRRAAQIKDISELSQIPDEDFPEIMPMRKGVNRFVVSKRKTPLERRLTSAQYRRNLPIVSSEPKKDSN >DRNTG_34851.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2422693:2423989:1 gene:DRNTG_34851 transcript:DRNTG_34851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGQIVSLVLALLVATAIAQAPAPAPKATPPTPPAPVPSPSTPPVKPPSPSPSVTPPSPTPSPASPTPTPSPSATPPSPPVALPPPLPIPPSPPPSSPPAAPEHPSFFSNTP >DRNTG_35455.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3668980:3670402:1 gene:DRNTG_35455 transcript:DRNTG_35455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSRSPKDGHEVLLGKYELGRVLGRGTFAKVYHARALSDGTNVAIKVLDKPEAVCSGMAPRILREVSAMSRLSHPNIVRLHEVMATKSKIYLVMEHARGGELFARISRRGRLPEPMARRYFQQLVSALRFCHARGVSHRDVKPQNLLLDRDGNLKISDFGLSALPEHVKDGLLHTACGTPAYTAPEVIRRKGYDGAKADAWSCGVILFVLLAGFLPFDDANLPLMYRKIQKRDYEFPPWFPPSAKRVVFRLLDPNPETRLTIEGVMELPWFKRSLSVDSQLSLMENEEDTTTKKMMIRTISMNAFDIISLSKGLDLSGMFEGGRVREQRFTTKETVEKVSERIREVGMKLGYEFENRKGGIVGVGKDGSVLKVEMLIMVMVNVLSGIR >DRNTG_04215.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20884662:20886680:1 gene:DRNTG_04215 transcript:DRNTG_04215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAPCCDKTKVRRGPWSPEEDILLINFAQKHGTGGNWMTLPQKAGLRRCGKSCRLRWMNYLRPDIKHGGYTEEEGYIISTLYKTIGSKWSIIASHLPGRTDNDVKNYWNTKLKKKVTTIAEDTEASQTPTPAIDHSIDQSKTFISELLNDLNEVSSKSSPGQESSNINIPDWFAYENGEMNTLLWELGFASSSDTSMQEIGDHIGGDSSMASIGSLWSFSETMPQSSATNELYI >DRNTG_24438.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8566227:8577145:-1 gene:DRNTG_24438 transcript:DRNTG_24438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLTRHGSLRRRVEGPTLSNPSSSHSISTGPTSDRVHDGSTSDSGTQKNVRGRTRLEGLWNSDGNSTKKVVLANSRGQPVGDGARELGQFLGTMVHRPRFFSFRYTDWRHVPQEDKEKVWQRVQDVFDIDINLKEWALQSFNKKWKDFKCQLKKTNYNPFKGDKVGAKRNRPLELEQVEWNWLVDFWESDTGKMREDKGKINRGKQSMPHTSGTKSFARRFYEETINNDGVELSRLDMFLKLHQRRDGTFVDAVAEERVAQMKTLSSQLPEDYQSSSTVRDKIFSQVMGEDNHGRCRMYGLGVSLKDLHGPHPTRRELMERCERLESQNRRLESQNRRLESQNKMMENDITDLKNKVDILIQAAGRQSGVEGGVVAILSAISRKGPGTSHSQNNDIGSSNPNEDGDQDHIASTGLQ >DRNTG_15650.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12565844:12567679:-1 gene:DRNTG_15650 transcript:DRNTG_15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKRMARRAPPTPQEIAARIAEVRMRGTDSGGSPPRREESEDLGKAIVPYVSSQDAEVREAGTSSQDLPSGVKDRDDLLRWVRESFPGAYVQRAEEDEQEDSTTVPVGEDVPTSAEEEAATILSEVLGDLHRHAEAPIETEHVTAPVITSSATSDSSHSSDEIPLKDRVAQIAKGKKVASEKKAQSRKKTQKKEKVSKRKSFSEPEVEASPRHKRRCTEAVKQGEKPSASSKKEKKKKATQQTKDSDEDRFRDKASKKKFESVEERGIV >DRNTG_00121.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:16449781:16450644:1 gene:DRNTG_00121 transcript:DRNTG_00121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSGNDPPKIFFEAQDSLNALESSLMSRVLRLEGFLSKLGRQWLPKML >DRNTG_15193.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5921949:5929614:-1 gene:DRNTG_15193 transcript:DRNTG_15193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGKRRKEHLIEDSNDSSDDDSPQMIHAQGIQATKKAATYKTEGDDEKSNSKSKPLLSEVVQFGQSQGKNPVYFDKLLNVMEHFLNSVLREFKKNSKGRAVFLNRADVILPKPFGSNVFLDVQQIACGVRHVALATRQGEVFTWGEESGGQLDHGNDLDIRQPSLMVWNGKVFAFGDGTVGVLGHGNRENITYHKEVKSLGGLRTIKIACGVWHTVAIVEVKGQTCVNVMSRKLFTWSDGDKNRIGHGDKEARLVPTCVPSLIDYNFHQLAMISRWEVMLMDILVILNRMEVTEKFPPEVGNNLKAIHIQAKSFLKSNENRASKFSSSIVLDSSGVTNAHTISEGFAYVYDHKIEDKPVTDTSTKENTIHQSTENGLRSPKMSLARLGNDGGTEILEQ >DRNTG_30802.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001631.1:27782:29750:1 gene:DRNTG_30802 transcript:DRNTG_30802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGCGGGGGVCVGGDSKVETIARLAQWRIEGFGACSYRRSDPFKLGIWNWFLSVEKNRYMYIRLFPEPCRVSKDQPPFAKFILRVSNSGPGRRPYISPVHERLLRTSEDFVWPIDSTFHGRFVIDVEFIDLKISTLNGAEACSIWPNEVIMQTITRRTTLRCLSRMLEESIHTDVTINTADGVLKAHKAVLAASSPVFVSMFLHNLKEKESSMINIDDMSMEACSCLLSYIYGTIKQEDFWKHRLSLLGAANKYDIADLKECCEESLLEDINSSNVLERLHEAWLYQLSKLKKGCLTYLFDFGKIQDVRDEINSFFRHADRDLMIEMFQEVLTVWKPV >DRNTG_04072.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2258149:2269042:1 gene:DRNTG_04072 transcript:DRNTG_04072.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein FtsY homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G45770) UniProtKB/Swiss-Prot;Acc:O80842] MKIVDSLRDDILAGNLKSGSEIKDALKKSVLELLKTKGSKTELQLGFRKPAVIMVVGVNGGGKTTSLGKLAHRLKNEGVKVLMAAGDTFRAAASEQLEVWAERTGSEIVTAQDDKVKASSVLSQAVKKGKEQGYDVVLCDTSGRLHTNYSLMEELIACKKAVAKVVSGAPNEVLLVLDGTTGLNMLPQAREFNEVVGITGYILTKLDGSARGGCVVSVVDEIGIPVKFVGVGEGVDDLQPFDAEGLLSMLFSLELSERHGLLEPIPINAHNFCLPPTFGKNDFAHICKATAMETHK >DRNTG_04072.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2300738:2306523:1 gene:DRNTG_04072 transcript:DRNTG_04072.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein FtsY homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G45770) UniProtKB/Swiss-Prot;Acc:O80842] MVVGVNGGGKTTSLGKLAHRLKNEGVKVLMAAGDTFRAAASEQLEVWAERTGSEIVTAQDDKVKASSVLSQAVKKGKEQGYDVVLCDTSGRLHTNYSLMEELIACKKAVAKVVSGAPNEVLLVLDGTTGLNMLPQAREFNEVVGITGYILTKLDGSARGGCVVSVVDEIGIPVKFVGVGEGVDDLQPFDAEAFVDAIFS >DRNTG_04072.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2258149:2265412:1 gene:DRNTG_04072 transcript:DRNTG_04072.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein FtsY homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G45770) UniProtKB/Swiss-Prot;Acc:O80842] MKIVDSLRDDILAGNLKSGSEIKDALKKSVLELLKTKGSKTELQLGFRKPAVIMVVGVNGGGKTTSLGKLAHRLKNEGVKVLMAAGDTFRAAASEQLEVWAERTGSEIVTAQDDKVKASSVLSQAVKKGKEQGYDVVLCDTSGRLHTNYSLMEELIACKKAVAKVVSGAPNEVLLVLDGTTGLNMLPQAREFNEVVGITGYILTKLDGSARGGCVVSVVDEIGIPVKFVGVGEGVDDLQPFDAEGLLSMLFSLELSERHGLLEPIPINAHNFCLPPTFGKNDFAHICKATAMETHK >DRNTG_04072.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2258149:2263345:1 gene:DRNTG_04072 transcript:DRNTG_04072.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein FtsY homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G45770) UniProtKB/Swiss-Prot;Acc:O80842] MKIVDSLRDDILAGNLKSGSEIKDALKKSVLELLKTKGSKTELQLGFRKPAVIMVVGVNGGGKTTSLGKLAHRLKNEGVKVLMAAGDTFRAAASEQLEVWAERTGSEIVTAQDDKVKASSVLSQAVKKGKEQGYDVVLCDTSGRLHTNYSLMEELIACKKAVAKVVSGAPNEVLLVLDGTTGLNMLPQAREFNEVVGITGYILTKLDGSARGGCVVSVVDEIGIPVKFVGVGEGVDDLQPFDAEGLLSMLFSLELSERHGLLEPIPVGRCVESATSGVSNE >DRNTG_04072.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2300738:2306523:1 gene:DRNTG_04072 transcript:DRNTG_04072.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein FtsY homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G45770) UniProtKB/Swiss-Prot;Acc:O80842] MVVGVNGGGKTTSLGKLAHRLKNEGVKVLMAAGDTFRAAASEQLEVWAERTGSEIVTAQDDKVKASSVLSQAVKKGKEQGYDVVLCDTSGRLHTNYSLMEELIACKKAVAKVVSGAPNEVLLVLDGTTGLNMLPQAREFNEVVGITGYILTKLDGSARGGCVVSVVDEIGIPVKFVGVGEGVDDLQPFDAEAFVDAIFS >DRNTG_04072.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2258149:2269042:1 gene:DRNTG_04072 transcript:DRNTG_04072.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein FtsY homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G45770) UniProtKB/Swiss-Prot;Acc:O80842] MKIVDSLRDDILAGNLKSGSEIKDALKKSVLELLKTKGSKTELQLGFRKPAVIMVVGVNGGGKTTSLGKLAHRLKNEGVKVLMAAGDTFRAAASEQLEVWAERTGSEIVTAQDDKVKASSVLSQAVKKGKEQGYDVVLCDTSGRLHTNYSLMEELIACKKAVAKVVSGAPNEVLLVLDGTTGLNMLPQAREFNEVVGITGYILTKLDGSARGGCVVSVVDEIGIPVKFVGVGEGVDDLQPFDAEGLLSMLFSLELSERHGLLEPIPINAHNFCLPPTFGKNDFAHICKATAMETHK >DRNTG_04072.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2300738:2306523:1 gene:DRNTG_04072 transcript:DRNTG_04072.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein FtsY homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G45770) UniProtKB/Swiss-Prot;Acc:O80842] MVVGVNGGGKTTSLGKLAHRLKNEGVKVLMAAGDTFRAAASEQLEVWAERTGSEIVTAQDDKVKASSVLSQAVKKGKEQGYDVVLCDTSGRLHTNYSLMEELIACKKAVAKVVSGAPNEVLLVLDGTTGLNMLPQAREFNEVVGITGYILTKLDGSARGGCVVSVVDEIGIPVKFVGVGEGVDDLQPFDAEAFVDAIFS >DRNTG_04072.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2258149:2263871:1 gene:DRNTG_04072 transcript:DRNTG_04072.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein FtsY homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G45770) UniProtKB/Swiss-Prot;Acc:O80842] MKIVDSLRDDILAGNLKSGSEIKDALKKSVLELLKTKGSKTELQLGFRKPAVIMVVGVNGGGKTTSLGKLAHRLKNEGVKVLMAAGDTFRAAASEQLEVWAERTGSEIVTAQDDKVKASSVLSQAVKKGKEQGYDVVLCDTSGRLHTNYSLMEELIACKKAVAKVVSGAPNEVLLVLDGTTGLNMLPQAREFNEVVGITGYILTKLDGSARGGCVVSVVDEIGIPVKFVGVGEGVDDLQPFDAEGLLSMLFSLELSERHGLLEPIPINAHNFCLPPTFGKNDFAHICKATAMETHK >DRNTG_04072.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2258087:2306523:1 gene:DRNTG_04072 transcript:DRNTG_04072.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein FtsY homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G45770) UniProtKB/Swiss-Prot;Acc:O80842] MVVGVNGGGKTTSLGKLAHRLKNEGVKVLMAAGDTFRAAASEQLEVWAERTGSEIVTAQDDKVKASSVLSQAVKKGKEQGYDVVLCDTSGRLHTNYSLMEELIACKKAVAKVVSGAPNEVLLVLDGTTGLNMLPQAREFNEVVGITGYILTKLDGSARGGCVVSVVDEIGIPVKFVGVGEGVDDLQPFDAEAFVDAIFS >DRNTG_04072.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2258149:2263871:1 gene:DRNTG_04072 transcript:DRNTG_04072.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein FtsY homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G45770) UniProtKB/Swiss-Prot;Acc:O80842] MKIVDSLRDDILAGNLKSGSEIKDALKKSVLELLKTKGSKTELQLGFRKPAVIMVVGVNGGGKTTSLGKLAHRLKNEGVKVLMAAGDTFRAAASEQLEVWAERTGSEIVTAQDDKVKASSVLSQAVKKGKEQGYDVVLCDTSGRLHTNYSLMEELIACKKAVAKVVSGAPNEVLLVLDGTTGLNMLPQAREFNEVVGITGYILTKLDGSARGGCVVSVVDEIGIPVKFVGVGEGVDDLQPFDAEGLLSMLFSLELSERHGLLEPIPINAHNFCLPPTFGKNDFAHICKATAMETHK >DRNTG_02231.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1125402:1126212:-1 gene:DRNTG_02231 transcript:DRNTG_02231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVRARSGAHMARSLRWPDLITLGLGGMVGAGVFISTGRAARLYAGPAVILSYAIAGLCALLSAFCYTEFAVHLPVAGGAFSYLRVTFGEFAAFLTGANLLMEYV >DRNTG_03063.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12298885:12302503:1 gene:DRNTG_03063 transcript:DRNTG_03063.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKCTTPWHQSYLLALLLFWSFFKIFFWFCKVPAFEFISAILMAQKAHVPKFGNWESDNVPYTTYFENARKDKGAGGKMINPNDPEQNPDAFVSGIFPQAYKFTRPQQDDDESYDFGHGHFANVSQSKTMHSVKSPQKENCFRDDSAFQGFMKVPSPQNFTHQRGDFDPKTSKAHKSGKPDSTEKYSSDVALLSYQNRRSPAHYSPERSYMLSPPRQTTLKSENYLHNSNPQQHQRGVSVPKFGAWDENDLKSGEGFTIIFSKVKEEKQMTAARLPATPTEPKFIPATQQEHRNSSFLSKICCCFQA >DRNTG_24244.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19901266:19902418:-1 gene:DRNTG_24244 transcript:DRNTG_24244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEWRETLGGRPGLMEETRDWRRREEVGRRAASWEGMAAMPLAGRALSPRARQRKTKSKRREVVERSWSKKMAASGRRKGSARRWKRPEWKTRAPGKKGWGWRAGRLRRRRSSG >DRNTG_35223.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14433546:14434232:-1 gene:DRNTG_35223 transcript:DRNTG_35223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDAIRGAEKMSTATPLSLETMRVIGMVRRVWTGVYALVLSAPEIAEDEGNDAEASQPAPEPQLAPMETEAPPVVEDPPLVRMFSPSRAHDHFERLENIVGVIRTEVTEILERDVTSSFVLPPRTPQASPASPSPPPPAPFDLAPTVVEEPERGTDT >DRNTG_29971.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5161208:5164870:-1 gene:DRNTG_29971 transcript:DRNTG_29971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPICQWTWLVGLGRDRTTPCKFLWTVVVLLTSSIQ >DRNTG_27751.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:27:96880:97224:-1 gene:DRNTG_27751 transcript:DRNTG_27751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQISASPQSSAIMGTIGYMSPETAFTTRRNKESDMYSYGVVLLELITRKMALDLSFPDNMNIVNWALSTLNG >DRNTG_20816.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22133370:22135177:-1 gene:DRNTG_20816 transcript:DRNTG_20816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAYRKKPPPRRRRRSRKDKRFEEFRMAPYRVGLGPSEHNLPATLVESSISLLRWFRSKNSSIHESSKLFSQFPERSTMARGSSQSQTTSSTGGAARPQTVGPRGSAAATAGMRRRRVGAGGGGGSAGFSGGGGSNMLRFYTDDAPGLKMSPTVVLVMSLCFIGFVTALHVFGKLYRYRSGNP >DRNTG_34221.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2033799:2035456:-1 gene:DRNTG_34221 transcript:DRNTG_34221.1 gene_biotype:protein_coding transcript_biotype:protein_coding NDKARKRQIGIAPKRGKHNSRHQKNVDTIQKQRKQVFGLLTSDQYLQASYHINYAVCLLFKTKQLAQKLSTVGNISPIAKVSKLFESNKTTRHEHDKLVLFPKEENTTHSITNTLILCRSS >DRNTG_23661.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20481178:20484941:1 gene:DRNTG_23661 transcript:DRNTG_23661.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFILLLLLLLLPLLISSATPPRPPDLQAEIDALLAFRQALRDPLNALSGWDPSISSAPCSWRGVSCSPISYRVVELRLPRLRLSGPLPASSLIPLPFLTKLSLRSNSLSGPLPVSALSSLPHLRSLFLQSNSISGPLPSSLLTNLSDLQVVNLASNLLSGPLPSSFSPNLRLLDLSSNSLSGPIPLSLPLRLSLLNLSFNRLSGALPASLGHLQSLVYLWLDWNQLRGPIPAALSNCSSLLHLSLQGNSLQGVLPSAIPSLQVLSLSHNLLSGVLPSSLLSHSLRIVQLSFNRFSGIEAPVAALNSTALQVLDLKENEIAGRFPSWLTAMPNLTILDLSGNSISGLLPPAIGRLPALQDFRIARNSMSGPVPMEIGSCGSLQIFYLQENRFSGEIPPVLGGLSALRELRLGGNQFSGQIPASLGRLSKLEMLSLGENQLSGRIPEEIMKLGNLTFLDLAVNGFSGDIPSGIQNLVMLRVLNLSGNGFSGGMPAGIGSLTSLTVLELGGLKNLSGEVPTELFGLPNLQEVSLAGNSFSGEVPEGFSSLLGLQRLNLSGNSFSGSIPVTYGYLESLQVLSLSGNEISGGIPPELGNCSNLTVLDLRSNRLSGEIPVDLSHLSTLAVLDLGRNDLTGGIPPELSQCSSLTVLRLDGNHLTGTIPRSLSNLSKLQTLDLSTNELTGAIPSDLARIPGLIHFNVSENNLQGEIPAMLASQFNNPSVFAENPGLCGRPLLTECSEARARKRKRRRERLFFWIGIGAAIAFVIASLFCCCVIVLCRLRHRYLDNRAGVKKRSPARGSGSSGGNRSSSCENGGTALPKLIMFSNKITYAETVEATRQFDEENVLSRGRHGLVFKACYNDGTVLSILRLPSTSADGQIVIEEGFFRKECETLGKVKHRNLTVLRGYYAGPPPDVRLLVYDYMPNGNLATLLQEASHQDGHILNWPMRHLIALGVARGLGFLHTASVVHGDVKPQNILFDADFEPHLSDFGLERLAVTLGAAAEAATTSASATPVVGSLGYVAPDAAAAGQATREGDVYSFGIVLLELLTGKRPGMFMGGEGEGGEDIVKWVKRQLQRGQVSELMEPGLLELDPESSEWEEFLLGVKVGLLCTAPDPLDRPSMADVVFMLEGCRVGPDMPSSADPTSPAIV >DRNTG_32853.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32201575:32204554:-1 gene:DRNTG_32853 transcript:DRNTG_32853.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPLRPDEYSLKETAPHLGGGGVAGDKLTTTYDLVEQMQYLYVRVVKAKDLPPKDVTGSLDPYVEVKLGNYKGTTKHFEKKTNPEWNQVFAFSKERIQSSVVEVVVKDKDFVKDDFVGRVLFDLNEVPKRVPPDSPLAPQWYRLEDRKNDKVKGELMLAVWMGTQADEAFPDAWHSDAATVPSDGLANIRSKVYLTPKLWYVRVNVIEAQDLLITDKGRYPEVYVKAILGNQALRTRVSTSKNINPMWNEDLMFVVAEPFEEHLILSVEDRVGPNKDEVLGKAIIPLQHVERRLDYKAVNSRWYNLEKHVVVEGDQKKKEVKFSSRIHLRICLDGGYHVLDESTHYSSDLRPTAKQLWKPSIGVLELGILTAQGLLPMKTKDGRGTTDAYCVAKYGQKWVRTRTIIDSFAPKWNEQYTWEVYDPCTVITVGVFDNCHLQGGDKAAGTRDTRIGKVRIRLSTLQTDRVYTHSYPLLVLLPSGVKKMGEVQLAVRFTCSSLLNMMHMYSQPLLPKMHYLHPLSVTQLDNLRHQATQIVSMRLNRAEPPLRKEVVEYMLDVDSHMWSMRKSKANFFRIMSVLSGLIAVSKWFDQICHWKNPLTTVLIHILFVILVLYPELILPTIFLYLFLIGVWYFRWRPRQPPHMDTRLSHADTAHPDELDEEFDTFPTSRSPDIVRMRYDRLRSVAGRIQTVVGDLATQGERLQNLLSWRDPRATALFVTFCLIAAIVLYVTPFRVVAFLAGLYVLRHPRFRHKLPSVPLNFFRRLPARTDSML >DRNTG_13672.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7048024:7050454:1 gene:DRNTG_13672 transcript:DRNTG_13672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLQLITQQALIQHKTGQKSYSISWKDFFKNKHVHELFFLMIPAILSSGLLQITSLTDLYFSSFVPGAAAALTYAHLLAMAPMGILSSIIVLPVVPIFARHVE >DRNTG_09743.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000410.1:12621:13450:1 gene:DRNTG_09743 transcript:DRNTG_09743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHYWIAFSLFFLITSSQAKEFLVGGAAGAWKVPSSPSESLNKWAEINRFQVGDSLVFKFADKKDSVLKVSREDYLACRISAPLEEHKDDEKNALVKLDKSGAFYFVSGNQKACEQGEKLIVVVMSTRHRLAPAPSPVEFDGPAVAPTSSGHKVGMFGGGLVACLVVLFGLML >DRNTG_05260.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000237.1:65375:70944:1 gene:DRNTG_05260 transcript:DRNTG_05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase Nek5 [Source:Projected from Arabidopsis thaliana (AT3G44200) UniProtKB/Swiss-Prot;Acc:Q0WPH8] MESRMDQYEIMEQIGRGAFGAAILVNHKLEKKRYVLKKIRLARQTDRCRRSAHQEMALIARLQHPFIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKRSNGAYFPEEKLCKWFAQILLAVEYLHSNFVLHRDLKCSNIFLTKDQDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPACYSSSLKTLIKSMLRKNPEHRPNASEILRHPYLQPYVNQYRQPFDTSSVIRPPQKPMSTSCSGPKSMSESQNSSSSSCDKDSLQSSEKNTLGLVSNGDLKGIETDTTSTDDGVVSDSYCIQLQLVVQQQSDSVAKPRLSNAEKRSDASKPSHIDTHTKTEPKQPKTVKNILMSLKEQGKVRESSSPIRGTRVKAGGASNHKTHAEPSPKPPKPTSASSSSSKSNPDESSAAPARANGDSAKRPQPSASLKHLIPLPETPPKTRPRHDVVSPSDPARQVPGDGPSVTNKPKPPSTVARKSPTQKPAVLDTTSPVSHTTKIAPTKVAQDQEKSPKETVTISPDHSRGIQTETSLELIESTPPTPLKSRGTWFQQTQILL >DRNTG_33227.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19416347:19417149:1 gene:DRNTG_33227 transcript:DRNTG_33227.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLYITIPTTLISEARPHGQSPKITRKHLTNGRNRQDTRTKVAKFRHSPIFTNEKGRSFSPLKPFPILHLGHSKFKNCPDFTEGH >DRNTG_33227.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19402778:19417149:1 gene:DRNTG_33227 transcript:DRNTG_33227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLVPHRANYKLPILIFVNIAQQGLCPLSIPWGIANSRTAQILQKDIESLAAQDLTSTYEPSRPKASHHPIASDELMIPQQRRGF >DRNTG_33227.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19407040:19416982:1 gene:DRNTG_33227 transcript:DRNTG_33227.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLSWGIANSRTAQILQKDIESLAAQDLTSTYEPSRPKASHHPIASDELNQSNKSPKSYPI >DRNTG_26115.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20859830:20865222:-1 gene:DRNTG_26115 transcript:DRNTG_26115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRCVVLQELEEGEGVKSRERISSSSASSCCYYGVSGSVNAKSRVEKVFPVLEMKMDGNPKSMGLRSECLDDPIWDIIRAEAKSEAEKEPILSSFLYASVLSHDCLERALGFVLANRLQNPTLLATQLMDIFDDVVMNDRGIQHAIRLDLQAFKDRDPACGSYSWALLYLKGYHSLQSYRVSHALWNQGRKILALALQSRISEVFAVDIHPAARIGEGYCWIMEQV >DRNTG_21849.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17602847:17606132:-1 gene:DRNTG_21849 transcript:DRNTG_21849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTSHIRKNGDAILLAAGHDLRRQTVCVTGAGGFIGSWLVKLLLLKGYNVRGTVRNIEDPKNLHLKGLEGAEERLILYKADVLDYESICLAFDGCDGIFHVASPVTNDPEKVKVAVAGTMNAINAAAKAGVRRFVFTSSIGAVHMSPNRSSDTALDENCWSDLDYCKKTNNWYCYAKMVAELVAVDLAKKRGLDLIVVVPPVTVGPMLQPTLNASCFRVLTYMRGTKKAYPNAVMALVDVRDVAQAHVLVYENPNASGRYFCIATVIHRAQFVAMLSEMFPEYPITNKCEDKVNPRVKPYKFSNQRLQELGLEYTPIKKSLYETVKSLKEIGHLPPARVFHEQPRITASL >DRNTG_14859.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2809567:2810993:-1 gene:DRNTG_14859 transcript:DRNTG_14859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQRFPRPKRISMASSSSTASSALSSSPVPPLRSPAITPIPEEEKEDERHTPTPLHPSFVPPKKTTRSTTAVSDDDDIAVSCNKCRPTSRDKISVVPLDPTASSPSRLFRSIFHSLTRRTPVPSSDSDEWRLAAAELSRKLLHATRRRDEALLEASRLKTSLSHLSRKLDRLESHCLDLQSSLDRCSQASQSPTQIGSLPIDPFLRAVSDSRSAVRLLSRSISAHLRPSTPKPLLLSLESMLNRVFYSDFETGLIPEPMDPIARCETNRKGYEEVRELGWEEVLSRGTRHYSEGLSRFCDRRMGEIVGMLGWGGSSGGGGSGPGQRAWPEGLLQAFFSAAKGVWLVHLLARSVHPPVPLIRVNPGARFEPDFMEDAAGSSRVVGPVSVRMMVAPGFYVYSTSCGVVKCKVLYNNNNNNNNNNNNNQGNGDINVSGRNNKKNSGKG >DRNTG_29674.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001495.1:868:1299:-1 gene:DRNTG_29674 transcript:DRNTG_29674.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper transporter 5 [Source:Projected from Arabidopsis thaliana (AT5G20650) UniProtKB/Swiss-Prot;Acc:Q93VM8] MMHMTFYWGKTVTILFDSWRTDSWFSYLLSLLALFIASSFYQYMEDRRIRFKILARSKSPPSPPSVQTPLLLSSSSSAAAARLAASVIFGVNSAIGYLLMLAVMSFNGGVFVAVIFGLAFGYFLFRSAGEEDLVTIENACACA >DRNTG_25979.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001342.1:79551:82949:-1 gene:DRNTG_25979 transcript:DRNTG_25979.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U2 small nuclear ribonucleoprotein A' [Source:Projected from Arabidopsis thaliana (AT1G09760) UniProtKB/Swiss-Prot;Acc:P43333] MLSLLDNNVTKKPNYRLYVIHKLKGLRLLDFKKVKQKERIEAQNMFATKEAEDEAKKVPVKTFTPGEVQDVPDNTKTEKPPRVVAPTPEQITAIKAAIVNSQTLEEVARLEQALKSGQIPAEFNVPGNDTEMSTVQENDDKMDTDDKNDVDDAPNQEG >DRNTG_13023.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1747489:1749077:1 gene:DRNTG_13023 transcript:DRNTG_13023.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSSRAEQKQSKKEELDDDFVVLDIAALSPLVAAEIDSTANPRLSLQRTLSRKGSQRAGAEKNTAAAAAAELAGGEEEASVHVAGEGVSSTVAHATSHIGKCRKVAGRRASLGVDPRRVLFFFATLSSMGTLILLYFTLSMSKMADHVADAR >DRNTG_13023.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1747489:1749077:1 gene:DRNTG_13023 transcript:DRNTG_13023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGFDYSPKKLMACSSRAEQKQSKKEELDDDFVVLDIAALSPLVAAEIDSTANPRLSLQRTLSRKGSQRAGAEKNTAAAAAAELAGGEEEASVHVAGEGVSSTVAHATSHIGKCRKVAGRRASLGVDPRRVLFFFATLSSMGTLILLYFTLSMSKMADHVADAR >DRNTG_06638.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16466246:16466515:-1 gene:DRNTG_06638 transcript:DRNTG_06638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNRNQQHEAGSSTMKSEQNRTEYQQP >DRNTG_10954.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:228409:234754:-1 gene:DRNTG_10954 transcript:DRNTG_10954.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLEHSDVEDPFTDSSIFSLLPRTLGIKPVELADASKTHEPDSFQSFIKSLKIKSSKELLDQAQVIKKTSSSILSLYSDGDKATVDNGKGQPQVRRLALGRKRAQFSLKSNSRAPLASTDVNSSIDHIEDPEEYFLAFEKLENAGKELKKLRGDVPNELAQNFSSPATRNRRPGILGKTASYKHHFPSQNDVVLAPSQDEISDRTLSRSPRISDNMVLGNALQTRKTDEPGPLVDRVTIIDSVAEKEKNVDHILDELLSSFKDVDGNEEVPFLREKLQIKSIDVGKISLPEMASFKRKDSGVVKNISRKAEGSLQGMSSPILLSSPLAAISILQRRIPLKSLVRDHYSIHLSDNIEYAGGSSLIGGQGQPHSPVGIDYSDISGSISDIASTPVRPSDKRQKANEKLPAFSGEMNVGKKTASEESLTGNNPLVLERDTASPPKEFVIDNDIMPVELDSCLQEEGTNVTMDAALPGQPDATLPEQSDAALPEQSDAALLEQSERGHEGPTSERHSKNGADCLAEHPGPSFGESADASPSRHDYASQDEVNDVAGVAPVSSTPQGPEENSTGAQATVLPTDERSEDSRAISKENNEEQDLRANSETLNRGTKRKAHSPKRKKPNPASKRYSLAGAGTTWNAGVRRSTRIKSRPLEYWCGERFIYGRVHDSLATVIGVKYASPSDSKLKVKSFVAEEYADLVAKAALY >DRNTG_27170.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:723039:724105:-1 gene:DRNTG_27170 transcript:DRNTG_27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSALLVLPLSPPSPAKTKTFLHIHVQPNPYPSHHYQKPHQFTTLALQETKEGADTAEETTKKYGLEVGLWKIFSSNEGNDKETGKSKTDQAKELLAKYGGAYLATSITLSLISFSLCYLLVNAGIDVQALLAKVGIATNETGEKVGTFALAYAAHKAASPIRFPPTVALTPIVASWIGKKQVKEEDK >DRNTG_16172.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20522230:20523269:1 gene:DRNTG_16172 transcript:DRNTG_16172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVHVTHNCKEKKEKKPLSSHKITRTRDL >DRNTG_01926.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32397082:32398396:-1 gene:DRNTG_01926 transcript:DRNTG_01926.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVKELWHGSHPQHVLRMEYTEIPFRCDGCKEAGIGLKYSCDACCFDLHRNCALAPSTITHPFYDKCYFQFYTRPPGSAMRVCDACRKDVLGFVYHCTGCGFDLHPCCANLSPRLEDGDRSFLLCIKITSSCHRCGLKGLGWSYRSECKNYNLHVSCVKEMLVESWQAMYLNFDKEKVREIQTKIPSLRGTMQSHHQHHHLQAHRARVGKVHQCCQIAGGALRIIISAILGDPTAIIAAVIGGFISK >DRNTG_01926.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32396019:32398396:-1 gene:DRNTG_01926 transcript:DRNTG_01926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVKELWHGSHPQHVLRMEYTEIPFRCDGCKEAGIGLKYSCDACCFDLHRNCALAPSTITHPFYDKCYFQFYTRPPGSAMRVCDACRKDVLGFVYHCTGCGFDLHPCCANLSPRLEDGDRSFLLCIKITSSCHRCGLKGLGWSYRSECKNYNLHVSCVKEMLVESWQAMYLNFDKEKVREIQTKIPSLRGTMQSHHQHHHLQAHRARVGKVHQCCQIAGGALRIIISAILGDPTAIIAAVIGGFISKLLDM >DRNTG_34954.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002214.1:3624:5583:1 gene:DRNTG_34954 transcript:DRNTG_34954.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKTHCKRPGLTTNTPRELPRKPTASHTTV >DRNTG_02388.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:6827747:6830154:-1 gene:DRNTG_02388 transcript:DRNTG_02388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVETGRRSWGGDPVVWNGGGGGGGGSSGDRAVMEAEYVRRHHRHETRENQCSSTLIKHIKAPIHLVWSLVRSFDQPQKYKPFVSRCVVEGDGSVRQVNVKSGLPATTSTERLEFLDDNQHILSIKIVGGDHRLKNYSSIISLHPESIEGRPGTLVIESFVVDVPDGNTKDETCYFVEALIKCNLKSLADISERLAVQDRTEPIDNL >DRNTG_15527.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8877889:8879187:1 gene:DRNTG_15527 transcript:DRNTG_15527.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLNGLSLHDIYMYICISILNPTLVKGRTSSTFLISFWIKSPVIFRDVKKESLTNINKLMEISEEMLSTLIPPVVYWVFSGIYGLLAIYLNKYRLHPKGEEEEKNSVSKITVIKGILIQQAMQVVIIYMATKVRSNEGPAAAKEQQPSLPVMAVQWMIAMIVLDTWQYFIHRYIHINRFLYKHVHAVHHAQIVPYVYGALYGHPFESFMDIIGGALAYLISGMTTRTSIYFFSFSVIKTLDIHSGLCIPWNPLQAFFFNDCAFHDTHHQLKGHRYNFSQPFFISWDKILGTYMPYTVEKRTDGGLELKLLKDA >DRNTG_18072.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:235089:235724:1 gene:DRNTG_18072 transcript:DRNTG_18072.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGTANCIDILLAIILPPLGVFLKFGCHSEFWICLLLTILGYLPGIIYAIYAITK >DRNTG_20567.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001131.1:51437:65866:-1 gene:DRNTG_20567 transcript:DRNTG_20567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEVGGLICAFSSSTTTARILDINVFRAKKGFDFERFHESQWHRFANVEVKEDATEMINNTNGNKKLTTEKEIEANNEIVRVWVDRHMEDKLKNHVDLVKLLDIADLEKGLWRQYKKKGSKNRKAPVAARGFQIQCNKWAVIVRLLLGEVLERTVFM >DRNTG_30018.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6806491:6807787:1 gene:DRNTG_30018 transcript:DRNTG_30018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHISNHSLSGPINLSEIIYSFTTNILCKVALGRSITEESQRRMLHDSICQATKLFGSFNVEDYFPSLKWLNMFSSLDSKIAEIFKKLDGFISSVVEDHLVAGVRDKDDDNADLVDILLSLQRDPLPGEFSPTMDEVKAIILNMFAAGTTTSYIFLEWAMSELIRNPKVMKKLKEEAKLVSEKSSMVAEENVNKMSYLKAVVKEVLRLHPPAPLLVPRETIEDTELQ >DRNTG_31127.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:165057:166129:1 gene:DRNTG_31127 transcript:DRNTG_31127.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSVVPYTDLADAAGTAASPAGSRRYRECMRNHAAAMGGQAYDGCGEFMPSGDDGSLEALKCAACGCHRNFHRREGAGAGPGDLPRPLLLYNPAWDPKKRSPPPQPTPGFPPFFPSPHPMPLSYHQIRPPSAPIGPDPSRDGSETPPRPTTAEDRRRDEASRKRFRTKFTIEQKEKMREFAERLGWRIQKHDDEALEEFCVEVGVKRHVLKVWMHNNKNALASHQATTNNHHHHHHHHHHSAADSPRNPSPNRETSTAPTPIQV >DRNTG_31127.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:165057:166950:1 gene:DRNTG_31127 transcript:DRNTG_31127.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSVVPYTDLADAAGTAASPAGSRRYRECMRNHAAAMGGQAYDGCGEFMPSGDDGSLEALKCAACGCHRNFHRREGAGAGPGDLPRPLLLYNPAWDPKKRSPPPQPTPGFPPFFPSPHPMPLSYHQIRPPSAPIGPDPSRDGSETPPRPTTAEDRRRDEASRKRFRTKFTIEQKEKMREFAERLGWRIQKHDDEALEEFCVEVGVKRHVLKVWMHNNKNALASHQATTNNHHHHHHHHHHSAADSPRNPSPNRETSTAPTPIQV >DRNTG_31127.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:164923:166950:1 gene:DRNTG_31127 transcript:DRNTG_31127.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSVVPYTDLADAAGTAASPAGSRRYRECMRNHAAAMGGQAYDGCGEFMPSGDDGSLEALKCAACGCHRNFHRREGAGAGPGDLPRPLLLYNPAWDPKKRSPPPQPTPGFPPFFPSPHPMPLSYHQIRPPSAPIGPDPSRDGSETPPRPTTAEDRRRDEASRKRFRTKFTIEQKEKMREFAERLGWRIQKHDDEALEEFCVEVGVKRHVLKVWMHNNKNALASHQATTNNHHHHHHHHHHSAADSPRNPSPNRVWERGDWRGVAKKGSME >DRNTG_33573.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10640169:10640741:-1 gene:DRNTG_33573 transcript:DRNTG_33573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSEERAAAAARAAGELKKELRRLVLAITDDDDVRSETFEEAAKVLAALREMKSSGKGNNFGKRSSSSPLSSNEKDHRSEVQVPEHFLCPISSEIMKDPVIVASGQVVMLFILDLICLKLGLNSI >DRNTG_02684.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19801264:19803490:1 gene:DRNTG_02684 transcript:DRNTG_02684.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHQLLSTGIPHRTLPETYIRQESDRPNFTRVIHDHNIPIIDLNSPTKAQIISQIRHACQTYGFFQVVNHGVGLDLVEKMMTIGKEFFKLPIEEKMSLYSDDPAKKMRLSTSFNVRKETVRNWRDYLRLHCYPLERYTPDWPSNPPLFRDVVSSYCKEIRQLGMRLLGLISLSLGLEEAYIEQVLGEQEQHMAINYYPICPEPDLTYGLPAHTDPNALTILLQDPHVPGLQVFKEGQWIAVNPHPNAFVINLGDQLQALSNGKYKSVWHRAVVNSGKERMSVASFLCPCNTALISPPKKLIEDGSPAVYRSFTYDEYYKKFWSRNLDQEHCLELFKN >DRNTG_09384.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15530522:15530919:1 gene:DRNTG_09384 transcript:DRNTG_09384.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFVSLEIFFQLYPFSSILSLKMNVIFRAQTYEGCNIPLTSFMRGLLDSSIVRGKQSMDDVGVSLACIVGSPLGVTPPLAQGVEAEDDDDPAQALATNGGNGCDNTF >DRNTG_06292.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20723550:20727601:-1 gene:DRNTG_06292 transcript:DRNTG_06292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMRAFVSSLASKRHATFYLNSTIRAYLRSGHPSKALSHFLKSLKQGINPDNFTIPSLLKLSLDLQQPSLQGEQIHAFIIKSGYTDDLVVTTVLVDFYCKHGLCDFACQVFTEMPHRDVVLFTAMVSGLSQNGLACEALDFFSKMLDEGYSPNNVTITSVLSVCSKISSHVLGRVLHGFTLRGGLLDKADIVMGTSLLDMYAKCGKLGYAKRVFHCMTERNNASWNAIIRGFALNGSFHVALELFKDMILENHLQSRSDTFVVILNVCGATMDLRKGKELHAYIHKLLSNKTDDESVALCNSIINMYIKVGNFDSAILLFETMKKRDLITWTMIISGYGSYGFSQRALHAFREMESSGIQPDRVAFLSLLSACSHSGMVEQGIKLFTSMQEDYGIVPGMEHYACMVDTYGRAGLLEEAYEFINQIPLVPSSFIWATLLSSCRNHKNVELGEFAAKNAIELDPYNVGNYVMLSRLYTDACCWEDVAKVRSIMQELRLKPDTACSWVQVKGRVYKFCVADYSKENSKEIYIHLGTVTEKMKIAGFVPDTSSVGHKTKEEDKVNDLCGHTEKLALAFALMNCGGRLPYSLTSFVRLLRDETARVWREGLSGLEGALSPTLIDSSFFIASRKPPVNLASERVLQDVEALHQGHQFIYKRVYFYGFNVLSFIDGVFCMLLHGELKS >DRNTG_20961.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001180.1:18476:21324:1 gene:DRNTG_20961 transcript:DRNTG_20961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGQPHPPPKKSWSQIVASLTHSTDNSPIHNEQLLNKLKATTSNFFRLDKDAINRGRMKFQYAMYGKLFGKSPPFEQVKTSLLDKWNSFGEIFISDLPNGFLLVRCPTEKVMQQLLLDGPWSVNGIILQLSPWKPFFEPSFAKLNSVAIWVQFHNLPIECWDSDALETIASNFGNLIKVDEFTSTLARSKYTRVCIEIDLSKPLCRGFWIEDDFQKVFVVVMCERLPTFCYNCGLIGHGSKLCTRDASSGAGGLSLPAHDERVSVERTAQVSNDADQIMDVFDPIFVPGFDENLASDFEPWLLVSRRRGRARGRGGGAVSAPHVTPCSADGVEAENAASRAVNQNFVINETLGDNPLDPPLSPSLDPQTTTLIKLGSYGKPSSEKEFMLKKPLRRKAEWKPGVPDLDAAVYPPHPSSQGSNFSFAFTMLTMNSAKIICWNCRGISDRDTSSRLIRLIKKLKPTLVCLVETRANADHVDHLCKKIPKHWEWASVLADGFSGGIFILWNSAFGRVTPIAVSRHALHIVVSSTFSKNLIISVKHLFKFENYWLEYLGCHSCIRDAWDFTPRGNPMHVFSHFLSRTRFNLNCWSHSGVNNFDIAINNTETEIGSLEILDSDPNSHFVLKGLYAKLSAVQRQNSIKWAQRAHLNWVSDETLPVFSTLS >DRNTG_06194.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25199228:25204121:1 gene:DRNTG_06194 transcript:DRNTG_06194.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKCEDSFDKMGRTAQINIVCGNCLSGACKGELGCICSVDYDTSKCRAIIELAIPCVKRGPRVFEGFTVGFHPRSWEVVYNGMTQLGYEKPRRDFSFRTEQTHLSLYLTAKSTLSVLVGKPILKINPDKGLEVKLLGSAASGSAPTTLSPSILLVNWRCLTARDSPYEIAISIPIDGYDPVEFTLTKLCDYEQGGENDATRGWATFGVLSCIFIVLSTIFCCGGFIYKSRVERQRGLDALPGMTILSACLETVTGPRGYMLGGDLGRNFSGQSSWDDQPASTQETQRTSERKYGSI >DRNTG_06194.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25201817:25204121:1 gene:DRNTG_06194 transcript:DRNTG_06194.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTILSACLETVTGPRGYMLGGDLGRNFSGQSSWDDQPASTQETQRTSERKYGSI >DRNTG_06194.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25202495:25204121:1 gene:DRNTG_06194 transcript:DRNTG_06194.10 gene_biotype:protein_coding transcript_biotype:protein_coding MTILSACLETVTGPRGYMLGGDLGRNFSGQSSWDDQPASTQETQRTSERKYGSI >DRNTG_06194.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25199228:25204121:1 gene:DRNTG_06194 transcript:DRNTG_06194.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGWSCSFAKLAFLIGVISVDVHLVASVAMPSSNCYALDNSSYLADFTDWIGHPFEYDGQDGDLVVRFCKDVESRSQTGYVDFGRYSTNHYFVPGSTPVNFVQGFFNGDLMKCEDSFDKMGRTAQINIVCGNCLSGACKGELGCICSVDYDTSKCRAIIELAIPCVKRGPRVFEGFTVGFHPRSWEVVYNGMTQLGYEKPRRDFSFRTEQTHLSLYLTAKSTLSVLVGKPILKINPDKGLEVKLLGSAASGSAPTTLSPSILLVNWRCLTARDSPYEIAISIPIDGYDPVEFTLTKLCDYEQGGENDATRGWATFGVLSCIFIVLSTIFCCGGFIYKSRVERQRGLDALPGMTILSACLETVTGPRGYMLGGDLGRNFSGQSSWDDQPASTQETQRTSERKYGSI >DRNTG_06194.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25199228:25204121:1 gene:DRNTG_06194 transcript:DRNTG_06194.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLGYEKPRRDFSFRTEQTHLSLYLTAKSTLSVLVGKPILKINPDKGLEVKLLGSAASGSAPTTLSPSILLVNWRCLTARDSPYEIAISIPIDGYDPVEFTLTKLCDYEQGGENDATRGWATFGVLSCIFIVLSTIFCCGGFIYKSRVERQRGLDALPGMTILSACLETVTGPRGYMLGGDLGRNFSGQSSWDDQPASTQETQRTSERKYGSI >DRNTG_06194.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25202010:25204121:1 gene:DRNTG_06194 transcript:DRNTG_06194.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTILSACLETVTGPRGYMLGGDLGRNFSGQSSWDDQPASTQETQRTSERKYGSI >DRNTG_06194.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25199228:25204121:1 gene:DRNTG_06194 transcript:DRNTG_06194.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKCEDSFDKMGRTAQINIVCGNCLSGACKGELGCICSVDYDTSKCRAIIELAIPCVKRGPRVFEGFTVGFHPRSWEVVYNGMTQLGYEKPRRDFSFRTEQTHLSLYLTAKSTLSVLVGKPILKINPDKGLEVKLLGSAASGSAPTTLSPSILLVNWRCLTARDSPYEIAISIPIDGYDPVEFTLTKLCDYEQGGENDATRGWATFGVLSCIFIVLSTIFCCGGFIYKSRVERQRGLDALPGMTILSACLETVTGPRGYMLGGDLGRNFSGQSSWDDQPASTQETQRTSERKYGSI >DRNTG_06194.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25202495:25204271:1 gene:DRNTG_06194 transcript:DRNTG_06194.9 gene_biotype:protein_coding transcript_biotype:protein_coding MTILSACLETVTGPRGYMLGGDLGRNFSGQSSWDDQPASTQETQRTSERKYGSI >DRNTG_06194.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25199228:25204121:1 gene:DRNTG_06194 transcript:DRNTG_06194.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLGYEKPRRDFSFRTEQTHLSLYLTAKSTLSVLVGKPILKINPDKGLEVKLLGSAASGSAPTTLSPSILLVNWRCLTARDSPYEIAISIPIDGYDPVEFTLTKLCDYEQGGENDATRGWATFGVLSCIFIVLSTIFCCGGFIYKSRVERQRGLDALPGMTILSACLETVTGPRGYMLGGDLGRNFSGQSSWDDQPASTQETQRTSERKYGSI >DRNTG_06194.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25199228:25204121:1 gene:DRNTG_06194 transcript:DRNTG_06194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGWSCSFAKLAFLIGVISVDVHLVASVAMPSSNCYALDNSSYLADFTDWIGHPFEYDGQDGDLVVRFCKDVESRSQTGYVDFGRYSTNHYFVPGSTPVNFVQGFFNGDLMKCEDSFDKMGRTAQINIVCGNCLSGACKGELGCICSVDYDTSKCRAIIELAIPCVKRGPRVFEGFTVGFHPRSWEVVYNGMTQLGYEKPRRDFSFRTEQTHLSLYLTAKSTLSVLVGKPILKINPDKGLEVKLLGSAASGSAPTTLSPSILLVNWRCLTARDSPYEIAISIPIDGYDPVEFTLTKLCDYEQGGENDATRGWATFGVLSCIFIVLSTIFCCGGFIYKSRVERQRGLDALPGMTILSACLETVTGPRGYMLGGDLGRNFSGQSSWDDQPASTQETQRTSERKYGSI >DRNTG_18756.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1290243:1292228:-1 gene:DRNTG_18756 transcript:DRNTG_18756.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPNACKGIPPNPYPTPKPQPIPNPAATKEQEHKHGHQLTY >DRNTG_04289.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30009367:30026201:1 gene:DRNTG_04289 transcript:DRNTG_04289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFELLNLKTLYLSYNEMLEGVFPEFPLNSSLEILIISSTNFSGSLPNSLGNLESLISLDLRHCNFSGSIPWSIGNLSELVY >DRNTG_07686.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23204074:23206248:-1 gene:DRNTG_07686 transcript:DRNTG_07686.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLASHALFNTSVTPQFNKPGPLTDRPHPMGPGSTGCKASNLTWSEST >DRNTG_07686.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23204074:23206248:-1 gene:DRNTG_07686 transcript:DRNTG_07686.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTRRKTDMYISLTDNRNQHHEDGLTMKSEQNIISTARAGYSTEVGTDTHVR >DRNTG_07686.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23204074:23206248:-1 gene:DRNTG_07686 transcript:DRNTG_07686.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASHALFNTSVTPQFNKPGPLTDRPHPMGPGSTGCKASNLTWSEST >DRNTG_04402.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12590233:12590906:-1 gene:DRNTG_04402 transcript:DRNTG_04402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGYVKSCSGTLNIWCDLARPLGCCATPVECG >DRNTG_08832.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27958198:27958910:1 gene:DRNTG_08832 transcript:DRNTG_08832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDTKRGLKKKKDGDAEVDELLRQTEDDLLLKLSVNAHTVSDSSLDSDLARRFQALKSPAALAPISSKPREGKGKPENEEDAERQRILGDDLSARFAALKGCSSEANQSPSQSKMMMEIGGSDAEDDEVEKVMRWAIDAARLDPSKGGDDDDDDDDVEVSDDEEIAKKVSDDEEIAKKERNKGKGKPKKWFFF >DRNTG_01182.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29327690:29332490:1 gene:DRNTG_01182 transcript:DRNTG_01182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLTSPSLDLGQRGLEMAFCSCSSNWRCLKACKRLVMSRRRQAVRCRVSAAQTQPVDVRSSGAVVSTKTSEPGRIPISVLSLLEVVADDLRTLNNNLKSIVGAEDPVLISAAEQIFSAGGKRMRPALVFLVSWSTAQIAGLNELTTRHRRLAEIIEMIHTASLIHDDVLDDSGMRRGKETIHQLYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASSLFDCDVTLEEYLMKSYYKTASLIAASTKSAAIFSGVNSSVCDQMYEYGRNLGLSFQIVDDILDFTQSAEQLGKPAASDLVKGNLTAPVIFALEKEPKLRDIIDTEFTEDGSLEKAIEIIHKCGGIDRARDLAKEKAVLAIQNLQCLTPSKFRSSLEGMVMYNLERIA >DRNTG_25915.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19928675:19929153:1 gene:DRNTG_25915 transcript:DRNTG_25915.3 gene_biotype:protein_coding transcript_biotype:protein_coding LDGHEDLQQWPHGHEHGPQSSLPPSSQPSFCPSPSCSS >DRNTG_25915.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19928750:19929153:1 gene:DRNTG_25915 transcript:DRNTG_25915.4 gene_biotype:protein_coding transcript_biotype:protein_coding LDGHEDLQQWPHGHEHGPQSSLPPSSQPSFCPSPSCSS >DRNTG_25915.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19928750:19929272:1 gene:DRNTG_25915 transcript:DRNTG_25915.2 gene_biotype:protein_coding transcript_biotype:protein_coding LDGHEDLQQWPHGHEHGPQSSLPPSSQPSFCPSPSCSS >DRNTG_25915.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19928675:19929272:1 gene:DRNTG_25915 transcript:DRNTG_25915.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDGHEDLQQWPHGHEHGPQSSLPPSSQPSFCPSPSCSS >DRNTG_34678.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28781135:28783912:-1 gene:DRNTG_34678 transcript:DRNTG_34678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIWLVLVIIGLIVLGLVMLGVFPDGEDRRKNWEEVLNQILNALFMIMCVYQQPKLFHHLVIVNRWRPEDKLELRKTYCKDTTPKPHERAHLLFVVVLLQITFVSQYVLCGLYWGYSRKARPDWAVNTAIGVGIGAPIIAAVWTVKSPLGRRYESETNDEEVQGEEAAIQLAADTELRLYNQGVVVTSPQWIGGLFHCCDDVTVACLSFFCMFCVFGWNVERLGFGNMYLHVITFMLFCIAPFLVFSATALSIDDDTVRYAMGIIGILLCFFGLLYGGFWRIQMRKKFKLPGNPCCCGYPSATDCAKWFFCWSCALAQEVRTGNFYDIEEDSFYRRVTDPEDGRLVIGEGGIEMSIGTEYTPRSHSCPPKLGTSNGVNQILPLSFERAATYGHVHVMQPPLPNLINMQQN >DRNTG_28568.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9996687:10000273:1 gene:DRNTG_28568 transcript:DRNTG_28568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMAKLRVFVIQEPVVAASCLIAGFGLFLPAVVRPILDSLETSQQAPRPALSGVVDGRTAKKGD >DRNTG_02656.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:627787:628148:1 gene:DRNTG_02656 transcript:DRNTG_02656.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLQAWCVDKKGPVFLAISTPLSFVFTMIGSSFILGEQINMGSALGGISMVAGLYSVLWGKSMETKVPQPSIVIECSV >DRNTG_12232.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25036920:25039285:-1 gene:DRNTG_12232 transcript:DRNTG_12232.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 722, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT1G19630) UniProtKB/TrEMBL;Acc:F4HP86] MDLSFSYCFFLFLHLLSVLLIVFMAIEFLKILVSRSTEMVNPPPGSLGPPFIGETPAFISANSNSKGLYEFVKTRHLKYGSCFKTSIFGSTHVFISSTEAAKLMLSGESLDFSKRYIRSIAELLGDQSLLCASKETHKLVRHRISNLFTSDSFSSSINFFDELTLKTMAQWEQRKSALVLDDAMKITFNAICKMLISLSEENELQILQKDVLQVNEAMLAIPLNLPGTRFYKGLKARKRIMNTLKRIIELRRKGLEFHDDFLQSLLRRDDDDNDYEPLADKQILDNILTLIIAGQDTTANAIAWMVKYLDENQEVQDTLRLNLSSENHGHSLGVEALNRMSYASKVVKESLRMMTIVSWFPRVAMKECQIDGFQIKKGWILNVDARAIHYDPKVYEDPTKFNPSRFEEDAKPYSFLAFGVGGRSCLGMNLAKAMMLVFLYRLMTKFRWRVTDKDSSLEKWGIFPRLRTGCPVHVTPINTECESL >DRNTG_30509.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:777755:780290:-1 gene:DRNTG_30509 transcript:DRNTG_30509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTAKKLEDIVPSSHNCDVPHVTRTDYQLIDIAEDGFLSLLTESGGTKDDLKLPTDETLLTQIKEGFAEGKDLVVSVMCAMGEEQICALKDIGPK >DRNTG_17616.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4534037:4538775:1 gene:DRNTG_17616 transcript:DRNTG_17616.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSIMSATGMLAASSGSGMHKKSSLSASQLSSFASLSSGPLSGRRRSLCLQKTYNSKIRSMAKELYFNKDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAREVELEDPVENIGAKLVRQAASKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVQITRGIERTAKALVAELKSISKEVEDSELADVAAVSAGNNDEIGNMIAEAMSKVGRKGVVTLEEGKSAENSLYVVEGMQFDRGYISPYFVTDSEKMSVEYENCKLLLVDKKITNARDLINVLEDAIRGGYPILIIAEDIEQEALATLVVNRLRGALKIAALKAPGFGERKSQYLDDIAILTGATVVRDEVGITLDKADKDVLGLAAKVVLTKDATTIVGDGSTQEEVTKRVAQIQNLIEVADQEYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCALLRLASKVDAIKDTLENDEQKVGADIVKRALTYPLKLIAKNAGVNGSVVTEKILSNDNLKFGYNAATGKYEDLMAAGIIDPTKVVRCCLEHAASVAKTFLTSDVVVVDIKEPEPVPAGNPMDNSGYGY >DRNTG_17516.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28883786:28886011:1 gene:DRNTG_17516 transcript:DRNTG_17516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSKLNGSGRNGQICTLCEDFASQTLSYLSDNETQIMIINGLHHVCSKLYSLKHQCLELVDYYVPMFFVMVSQIQPKEFCEEVKLCEIMTSLRLPNHDGPCKICHNLVVEVLTKLTDPDIQLEIIEVLLKACSKMDNYAQECKKIAFHYGPLILFDIEISGDNGRLCCYSCMQGQSRSRHWCYTSG >DRNTG_22087.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20228123:20232655:-1 gene:DRNTG_22087 transcript:DRNTG_22087.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGAGQQQFRYTQTPSKVLHLRNLPWECAEEELVELCKPFGKIVNTKCNVGANRNQAFVEFADLNQAISMVSYYASSSEPAQVRGKTVYIQYSNRQEIVNNKSSGDVVGNVLLVTIEGVEAGDVSIDVIHLGHSNMEKFSLSASCIEGYMCILLSLSFDCSL >DRNTG_22087.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20228123:20232655:-1 gene:DRNTG_22087 transcript:DRNTG_22087.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGAGQQQFRYTQTPSKVLHLRNLPWECAEEELVELCKPFGKIVNTKCNVGANRNQAFVEFADLNQAISMVSYYASSSEPAQVRGKTVYIQYSNRQEIVNNKSSGDVVGNVLLVTIEGVEAGDVSIDVIHLVFSAFGFVHKIATFEKAAGFQALIQFSDSGTASEARNALDGRSIPRYLLPEHVTSCLLRISFSAHTDLNIKFQSHRSRDYTNPYLPVNPSAIDGTLQPTLGPDGKKKEPESNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAIFEKNGGMQALIQYPDVATAAIAKDSLEGHCIYDGGYCKLHLSYSRHTDLNVKAHSDKSRDYTVQDAGILAVPQAPGVPTVGTGWQGSSQMFAGPQAPATSSQIPGWDPSSHSYVSAPVTYPGQTSAPSVPPYPGAGAIPTVAAASPTASQLISQYGMQPTGQHSSGTGAAQPPYY >DRNTG_22086.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20241576:20246294:1 gene:DRNTG_22086 transcript:DRNTG_22086.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQRNTYGKRYHSEADYPDSGGNKRRNYGDERDLSSSGQDDTVYRYLCSVKKIGSIIGRGGEIVKQLRSDTQAKIRIGDTVPGCDERVVTIYSSSRKTNRFYDTGDDICPAQDALFKVHESLLTVDSLNDEDADADAPQVTVRLLVPSDQIGCIIGKGGQIVQGIRSDTGAQIRILKSEHLPPCALNNDELLQIYGEAPVVKKALFQVSSRLYDNPSRSQHLLIGNFPSSYAPIGSHGVPNTIAPVMSMGPPFGGYKGDAGDWSFYPGLRDESSAKEFGFRLLCPSVNIGAVIGKSGVIIKQIRQESGATIKVDSSSSEDDCVIAISAKEFFDEPISPTLEAALRLQPRCSEKIERESGEPSYTTRLLVPTSRIGCLIGKGGSIISDMRRATRANIRILSKENLPKVASEDDEMVQISGDLELVKSALVQIIKRLKAHFFEKDAALSSFPSFAPYHSISSDISDVSKYGGWDGKSHGRGGYSPYSGGYGASVDLQSDRYGGYSGSQRGGGRSSHAAYGGYSGRSGGNSG >DRNTG_22086.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20241576:20247074:1 gene:DRNTG_22086 transcript:DRNTG_22086.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQRNTYGKRYHSEADYPDSGGNKRRNYGDERDLSSSGQDDTVYRYLCSVKKIGSIIGRGGEIVKQLRSDTQAKIRIGDTVPGCDERVVTIYSSSRKTNRFYDTGDDICPAQDALFKVHESLLTVDSLNDEDADADAPQVTVRLLVPSDQIGCIIGKGGQIVQGIRSDTGAQIRILKSEHLPPCALNNDELLQIYGEAPVVKKALFQVSSRLYDNPSRSQHLLIGNFPSSYAPIGSHGVPNTIAPVMSMGPPFGGYKGDAGDWSFYPGLRDESSAKEFGFRLLCPSVNIGAVIGKSGVIIKQIRQESGATIKVDSSSSEDDCVIAISAKEFFDEPISPTLEAALRLQPRCSEKIERESGEPSYTTRLLVPTSRIGCLIGKGGSIISDMRRATRANIRILSKENLPKVASEDDEMVQISGDLELVKSALVQIIKRLKAHFFEKDAALSSFPSFAPYHSISSDISDVSKYGGWDGKSHGRGGYSPYSGGYGASVDLQSDRYGGYSGSQRGGGRSSHAAYGGYSGRSGGNSGFFGVYTMFT >DRNTG_22086.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20241576:20246294:1 gene:DRNTG_22086 transcript:DRNTG_22086.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQRNTYGKRYHSEADYPDSGGNKRRNYGDERDLSSSGQDDTVYRYLCSVKKIGSIIGRGGEIVKQLRSDTQAKIRIGDTVPGCDERVVTIYSSSRKTNRFYDTGDDICPAQDALFKVHESLLTVDSLNDEDADADAPQVTVRLLVPSDQIGCIIGKGGQIVQGIRSDTGAQIRILKSEHLPPCALNNDELLQIYGEAPVVKKALFQVSSRLYDNPSRSQHLLIGNFPSSYAPIGSHGVPNTIAPVMSMGPPFGGYKGDAGDWSFYPGLRDESSAKEFGFRLLCPSVNIGAVIGKSGVIIKQIRQESGATIKVDSSSSEDDCVIAISAKEFFDEPISPTLEAALRLQPRCSEKIERESGEPSYTTRLLVPTSRIGCLIGKGGSIISDMRRATRANIRILSKENLPKVASEDDEMVQISGDLELVKSALVQIIKRLKAHFFEKDAALSSFPSFAPYHSISSDISDVSKYGGWDGKSHGRGGYSPYSGGYGASVDLQSDRYGGYSGSQRGGGRSSHAAYGGYSGRSGGNSGLSGPNPVSHGKHHGY >DRNTG_22086.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20241576:20246294:1 gene:DRNTG_22086 transcript:DRNTG_22086.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQRNTYGKRYHSEADYPDSGGNKRRNYGDERDLSSSGQDDTVYRYLCSVKKIGSIIGRGGEIVKQLRSDTQAKIRIGDTVPGCDERVVTIYSSSRKTNRFYDTGDDICPAQDALFKVHESLLTVDSLNDEDADADAPQVTVRLLVPSDQIGCIIGKGGQIVQGIRSDTGAQIRILKSEHLPPCALNNDELLQIYGEAPVVKKALFQVSSRLYDNPSRSQHLLIGNFPSSYAPIGSHGVPNTIAPVMSMGPPFGGYKGDAGDWSFYPGLRDESSAKEFGFRLLCPSVNIGAVIGKSGVIIKQIRQESGATIKVDSSSSEDDCVIAISAKEFFDEPISPTLEAALRLQPRCSEKIERESGEPSYTTRLLVPTSRIGCLIGKGGSIISDMRRATRANIRILSKENLPKVASEDDEMVQISGDLELVKSALVQIIKRLKAHFFEKDAALSSFPSFAPYHSISSDISDVSKYGGWDGKSHGRGGYSPYSGGYGASVDLQSDRYGGYSGSQRGGGRSSHAAYGGYSGRSGGNSGLSGPNPVSHGKHHGY >DRNTG_28262.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2779322:2780738:1 gene:DRNTG_28262 transcript:DRNTG_28262.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEANYQEPPPTSSSQLHPTLDLSLTLAPSSPPPSPNAVVEQSMRIFPCLFCDKKFLKSQALGGHQNAHKKERSNGLSSSLLHSSPFSINSHSGSYHSAVHPESLGSYGVAPRLTPRHPYLATTREWCHEGVSSETIDLVNWQLSSHHEPLSVDVAVVDSITATASSVVSSSSCPSSTGDADLANIDLTLRL >DRNTG_28262.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2779322:2780738:1 gene:DRNTG_28262 transcript:DRNTG_28262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANYQEPPPTSSSQLHPTLDLSLTLAPSSPPPSPNAVVEQSMRIFPCLFCDKKFLKSQALGGHQNAHKKERSNGLSSSLLHSSPFSINSHSGSYHSAVHPESLGSYGVAPRLTPRHPYLATTREWCHEGVSSETIDLVNWQLSSHHEPLSVDVAVVDSITATASSVVSSSSCPSSTGDADLANIDLTLRL >DRNTG_08553.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17287817:17290209:1 gene:DRNTG_08553 transcript:DRNTG_08553.1 gene_biotype:protein_coding transcript_biotype:protein_coding QVKLSNHQLTSSLTKETSHTHTHTHIYIYKH >DRNTG_23846.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001280.1:24300:28105:1 gene:DRNTG_23846 transcript:DRNTG_23846.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDSNIVIMLVGNKADLRHLRAVSTEDATAFAERENTFFMETSALESLNVEKAFTEVLTQIYRVASRKALDVGDDPAALPKGQTINVGGKDDVSAVKKAGCCSA >DRNTG_23846.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001280.1:24171:28054:1 gene:DRNTG_23846 transcript:DRNTG_23846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDSNIVIMLVGNKADLRHLRAVSTEDATAFAERENTFFMETSALESLNVEKAFTEVLTQIYRVASRKALDVGDDPAALPKGQTINVGGKDDVSAVKKAGCCSA >DRNTG_23846.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001280.1:24236:28054:1 gene:DRNTG_23846 transcript:DRNTG_23846.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDSNIVIMLVGNKADLRHLRAVSTEDATAFAERENTFFMETSALESLNVEKAFTEVLTQIYRVASRKALDVGDDPAALPKGQTINVGGKDDVSAVKKAGCCSA >DRNTG_23846.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001280.1:24236:28105:1 gene:DRNTG_23846 transcript:DRNTG_23846.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDSNIVIMLVGNKADLRHLRAVSTEDATAFAERENTFFMETSALESLNVEKAFTEVLTQIYRVASRKALDVGDDPAALPKGQTINVGGKDDVSAVKKAGCCSA >DRNTG_23846.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001280.1:24300:28054:1 gene:DRNTG_23846 transcript:DRNTG_23846.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDSNIVIMLVGNKADLRHLRAVSTEDATAFAERENTFFMETSALESLNVEKAFTEVLTQIYRVASRKALDVGDDPAALPKGQTINVGGKDDVSAVKKAGCCSA >DRNTG_29199.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3141238:3143632:-1 gene:DRNTG_29199 transcript:DRNTG_29199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGRAHEIGSAYEMGSRRAMMVCRVIAGRVKEAAAEEEEEMGGFDSLADDGANLEELFVGNPKAILPCFVVIYRVLG >DRNTG_12004.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7782514:7786412:1 gene:DRNTG_12004 transcript:DRNTG_12004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNCLNTEDSPAENNGFKLMRILCNRREQANKWETYLCPDIHLKMKEDRVAGVRVPTRVKFITPDGYKMVCLIENDVNFQQMCHVYFIFKCAIIDLVVEADDVPLSNPTENEFFSLNSDSASAPAILHGDPDGVGCLPFWSDHSEMLLLDIGQSFEDVEHFRDALQNFAIKLNFDFKFMKNEKHRVTVECAADGSASHPKVSKRWVSARVIQKLKDRPLYKAIDIQKDMLWEHGVHIPYKGEYWGEMYTNVVELFNAWIKEARYLPVTKMVDSIRFKLMRMLCNRHEQANK >DRNTG_18822.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000974.1:70448:71391:1 gene:DRNTG_18822 transcript:DRNTG_18822.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSSSLDILSSTNSGGSSSSSILGPNVYVVFFPAM >DRNTG_25827.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1069040:1070001:-1 gene:DRNTG_25827 transcript:DRNTG_25827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLDGTERREEEEDDEDEEGFALTGSNFHLV >DRNTG_34226.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1371735:1372565:1 gene:DRNTG_34226 transcript:DRNTG_34226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAVRRLSRHRFSELHPCRPISAAADTASGSALRAFLGASMPSAALSALHLIRLSNKTPPLSALSILLRLLFKKGDTFDAWNVFKEMLARGPRPGVRTFNIMILGSCWRGNLRVGMALLEVMRKFSCEPDTCSYNILMKAH >DRNTG_33588.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12383605:12385005:1 gene:DRNTG_33588 transcript:DRNTG_33588.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGTKQGDAHAFKLDTLLKLVDVKGNLGGCLTLFFHVSNCIT >DRNTG_06109.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20051161:20053657:-1 gene:DRNTG_06109 transcript:DRNTG_06109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVQQDFGDVDSDSKNRKSALNIFYALAFAEAFMFLLEKAYWEWKVSHCRILEEVNNECDLGAKGMISIKRFFYDSYSKCVNGSIFDGLKMDLVTFAEELLSSSSRDEQLIGANILVKFATNLEFSDETLRKLGTSMPAMERLLEMLNWKSKEEEELRLSAAVITSKLASKKQNALRVAGIPGAMESISSLLYTGQGASSKPDEVCRKCIIVDRSNYEFSVFNLYGLLILKKLASDHDNCGKIGNTRGLLAKIIDFTSTGEWLFGNSSASEIQIRAVKRALQVMKMLSNTSGNTGKNLRRDIAEIVFTISNIREILQFGEKHLDLQKLGIEVLTSLAMDEVAKERIGLTGGMVKELVRLFFRTAVSEDHREVSVEAGEALAMLALENKMNCDRILKEDEYAVARFVAALNDPVLQINSSRILRHLCAYSLTECFSRVKEIIKAIPNVLNSVMVEEMKLLEVSLGLMVQILRFTTTEEFALGLQKAEIDESEIAKKMVEVLKNYDYPSIKVPRIRRFVIEIAIWLMNSDKKYIELFKDFGMEKELVSVAETTTELECFNVFSGSVGLSRHCVSLYSLVDTSLDLMAQG >DRNTG_24159.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001288.1:28829:31874:1 gene:DRNTG_24159 transcript:DRNTG_24159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLASHPRMRISTPSPPGPPVVSSPFELLFHLKVKMIE >DRNTG_12998.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13049297:13051478:-1 gene:DRNTG_12998 transcript:DRNTG_12998.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGLVRAGVSKATCLSRPAYRYLHAIMSRSVNGRGDSTGVLSRHELLYLYSMVQHVLIHLGHIMAEYIRHQLHYARLGAIFSGPYITRLVLGMGLLDAIHGAEKMSIPAPLSVQTIRLMGMVCRVRTVVFALILPTPEIAEDEGDEAGAP >DRNTG_35289.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:724413:727565:1 gene:DRNTG_35289 transcript:DRNTG_35289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRHRLPHKAAGDGDAPRVHASDASAIQASDALPLPIRHTNIFFSVLFMAALYFLMCRWREKVRASSPLHLLTLSEILAFVCLVASLIYLISFFGISYVQSSILSHDDIDEKVDVVESSQPPLPLHRFPPTAAMSTPPPSPCPLLTEISDAKILSVCPNDEDENIVQSVLSGEIPSYILESKLGDCRRAAGVRREAVRRMTGRAMEGLPLDGFDYQSILGQCCEMPVGFVTLPVGIAGPLVLDGLSYYLPMATTEGCLVASTNRGCKAIALSGGAQSVVLRDAMSRAPVVRFATAQRAAHLKSFLEEPVNFDTLATVFNRSSRFARLQGIHCALAGRNVYMRFSCSTGDAMGMNMVSKGVQNVLDYLQADFPDIDIISISGNFCSDKKPAAVNWIEGRGKSVVCEATIKEEVVLKILKTTVPALVELNTIKNLAGSAVAGALGGFNAHASNIVTAIYIATGQDPAQNVESSHCITMMEAVNGGKDLHISVTMPSIEVGTVGGGTQLAAQAACLDLLGVKGPSLESPGANSRRLATIIAGAVLGGELSLMSALASGQLVNSHMKYNRSSRDIPKAAAA >DRNTG_22705.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1416671:1417531:1 gene:DRNTG_22705 transcript:DRNTG_22705.3 gene_biotype:protein_coding transcript_biotype:protein_coding IYISTQIIFRDFKTSNILLDENWNAKLSDFGLARQGPAEGLTHVSTAVVGTIGYAAPEYIQTGRLTSKSDIWSYGVFLYELITGRRPLDKNRPKNEQKLLEWIKPNISDVKKFSRIIDPRLEADYSLQSVTRLASVANRCLVRQSKARPKMSEVLEMVQRIVDTQETGAPEFPMKIPSINETMPEEKKKKRLNLKGLGLSMTLKMTGDMKSGEGRKLVWHGWTPKLVKTQY >DRNTG_22705.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1415303:1417531:1 gene:DRNTG_22705 transcript:DRNTG_22705.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFQFSNGQKDKHQARNLASSRSSSGSEFNTLNGVFDLSAASMRRSPLPTFSQKPSNLRVFTFSELKNATKNFSRSVVLGEGGFGSVYRGSIKSLDDPQIKIEVAVKQLSRKGVQGHKEWLTEVNVLGIVDHPNLVKLIGYCAEDDERGMQRLLIYEFMPSRSVHDHLSTRSTTHIPWARRLRIALDAARGLTYLHEEMDFQIIFRDFKTSNILLDENWNAKLSDFGLARQGPAEGLTHVSTAVVGTIGYAAPEYIQTGRLTSKSDIWSYGVFLYELITGRRPLDKNRPKNEQKLLEWIKPNISDVKKFSRIIDPRLEADYSLQSVTRLASVANRCLVRQSKARPKMSEVLEMVQRIVDTQETGAPEFPMKIPSINETMPEEKKKKRLNLKGLGLSMTLKMTGDMKSGEGRKLVWHGWTPKLVKTQY >DRNTG_22705.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1414209:1417531:1 gene:DRNTG_22705 transcript:DRNTG_22705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFQFSNGQKDKHQARNLASSRSSSGSEFNTLNGVFDLSAASMRRSPLPTFSQKPSNLRVFTFSELKNATKNFSRSVVLGEGGFGSVYRGSIKSLDDPQIKIEVAVKQLSRKGVQGHKEWLTEVNVLGIVDHPNLVKLIGYCAEDDERGMQRLLIYEFMPSRSVHDHLSTRSTTHIPWARRLRIALDAARGLTYLHEEMDFQIIFRDFKTSNILLDENWNAKLSDFGLARQGPAEGLTHVSTAVVGTIGYAAPEYIQTGRLTSKSDIWSYGVFLYELITGRRPLDKNRPKNEQKLLEWIKPNISDVKKFSRIIDPRLEADYSLQSVTRLASVANRCLVRQSKARPKMSEVLEMVQRIVDTQETGAPEFPMKIPSINETMPEEKKKKRLNLKGLGLSMTLKMTGDMKSGEGRKLVWHGWTPKLVKTQY >DRNTG_31323.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4029768:4034039:-1 gene:DRNTG_31323 transcript:DRNTG_31323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFLGSNTTSSTASGTISNTRPASASMERRARPQKEQALNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRTSTSTTTTSSSTTTTTSTTATTTTNTTSQPSIKFHQGQDLNLGFPHPSTIPEFGELPSMDSGSNSTNPSTALSAMELLRSGMSGRGLGPFIPMPMSMPEAGAVAFTHGFGMHEFRPPTLNFPLVDGTGPGANEYGGMQGVQENAGRLLFPFEDLRQVPSGSGGDQSGGHGGDPPPAYWNGMIGGAGGGAW >DRNTG_31323.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4031452:4034039:-1 gene:DRNTG_31323 transcript:DRNTG_31323.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAQWPQGIGLVKPMEEFLGSNTTSSTASGTISNTRPASASMERRARPQKEQALNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRTSTSTTTTSSSTTTTTSTTATTTTNTTSQPSIKFHQGQDLNLGFPHPSTIPEFGELPSMDSGSNSTNPSTALSAMELLRSGMSGRGLGPFIPMPMSMPEAGAVAFTHGFGMHEFRPPTLNFPLVDGTGPGANEYGGMQGVQENAGRLLFPFEDLRQVPSGSGGDQSGGHGGDPPPAYWNGMIGGAGGGAW >DRNTG_23809.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29604019:29605213:-1 gene:DRNTG_23809 transcript:DRNTG_23809.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHSLSQILHLLLVLQSHQGRKASNNWRKGKMEERGRNNKNLRFP >DRNTG_23809.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29604019:29608931:-1 gene:DRNTG_23809 transcript:DRNTG_23809.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHSLSQILHLLLVLQSHQGRKASNNWRKGKMEERGRNNKNLRFP >DRNTG_23601.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:595923:596324:1 gene:DRNTG_23601 transcript:DRNTG_23601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLEIISGKRNAKRSENGSHYFPVEAAMKVNEDMAHCLLDERLKGNANMEEVDTSCRVACWCIQDMENQRPTMGMVVQMLEGLVEVTVPPISAFLQDLVSYPEDHSYTLEIPASC >DRNTG_23709.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:690214:694684:1 gene:DRNTG_23709 transcript:DRNTG_23709.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIVDRLKEFGYIDDDIDTRSEKSQPELSPLAVRDDEVRFPWEKPSVEKDGQGALRRKRSKTSLAELMLPEGELRRLRHLALRIKSKVRIKGKAVTNAVVDAIHEKWRTEEVVRIKCEGVVTYNMKKVHEDLERRTGGLVIWRSGAAISLYRGVSYGIPRPEKKKYLANQMSGGNGAPSFQKLTLPSGDSLGDSQDDIMQGPSEDIRSSAERKIDAESPKKINYEEEIDKLLDSLGPRYTDWPGSGPFPVDADLLPGVVPGYKPPYRLLPYGERPFLGFKEAADLRRLARVLPPHFALGRSRRHEGLAVAMVKLWQRSSIAKVALKRGVQLTTSERMAEDIKKLTGGMLLSRTNEFLVFFRGKNFLALEVAEALIEREKLARTLQDEEEQARLRASSSVLLNIEDFAQSASAGTLGETLQADARWGAKLDEDHENKMMRAVEIAKRTHIVKKIERKLSLAEEKLMKAEKALAKVEEFLKPLERASEPESITDEERFMFRKLGMRMKAFLLLGRRGVFDGTVENMHLHWKYRELVKVLVKAKTFSQVRGIALSLEAESGGVLVSVDKVSKGFAIIVYRGKDYRRPSVLRPKNLLTKRKALARSIELQRHEALSNHITNLQTKVKKLKSELNEMEDVNDQGDEDLYAKLDSAYATEDEEETEDEGDETYLGTFNTGKDSADVDGTENVDFDAYDDDKEHNNFDNKDEQSDEDYSSDNTDPALSFCYDKQSELPEPLSDPSNLCI >DRNTG_23709.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:691143:694684:1 gene:DRNTG_23709 transcript:DRNTG_23709.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGNGAPSFQKLTLPSGDSLGDSQDDIMQGPSEDIRSSAERKIDAESPKKINYEEEIDKLLDSLGPRYTDWPGSGPFPVDADLLPGVVPGYKPPYRLLPYGERPFLGFKEAADLRRLARVLPPHFALGRSRRHEGLAVAMVKLWQRSSIAKVALKRGVQLTTSERMAEDIKKLTGGMLLSRTNEFLVFFRGKNFLALEVAEALIEREKLARTLQDEEEQARLRASSSVLLNIEDFAQSASAGTLGETLQADARWGAKLDEDHENKMMRAVEIAKRTHIVKKIERKLSLAEEKLMKAEKALAKVEEFLKPLERASEPESITDEERFMFRKLGMRMKAFLLLGRRGVFDGTVENMHLHWKYRELVKVLVKAKTFSQVRGIALSLEAESGGVLVSVDKVSKGFAIIVYRGKDYRRPSVLRPKNLLTKRKALARSIELQRHEALSNHITNLQTKVKKLKSELNEMEDVNDQGDEDLYAKLDSAYATEDEEETEDEGDETYLGTFNTGKDSADVDGTENVDFDAYDDDKEHNNFDNKDEQSDEDYSSDNTDPALSFCYDKQSELPEPLSDPSNLCI >DRNTG_34894.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21827728:21830866:-1 gene:DRNTG_34894 transcript:DRNTG_34894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNALQGNIPGELGRLHKLQLLNLGYNSFHGIIPSNLSKCSRLEIIELEYNQLGGAIPVELGSTPKLELLALDNNNLTGVIPPSFGNLSTLMLFSCMYNNLQGSIPKELGKLPKLEFFQASANTLTGTIPQEIFNLSLMFYFSVGENKLHGILPQELGIKLPKLQVLYLPGNRFSGPVPPSLPNASSFEEIDLSNNKFSGKIPSELGRLQSLFNFRVTNNQLEASNADDWKFLDSLTNCSKLQVLLLGSRQLGGILPSSVTNLSTTLETLAISFSPLTGTIPSGIQRLVNLYDLRIPYCNLVGEIPDEIGKLASLQRLHLTGNKLTGQIPFSIGNLTLLNELYLFENYLEGPIPASIGELQQLRLLVLYGNRLNGSIPKEVFNLQYLSQQLDLANNLLEGPLPAEVGGLNNLMRFIVYGNMLSGQIPTTLGQCEVMEYFSLGNNLFQGTIPHTISNMKGLKTLDLSRNKLSGAIPPSFGNLTVLEQVDLSDNDLSGPVLESFGDLKHLFYLNLSYNKLRGEVPVRGVYGNSTEISLFGNKELCGGISELHLPACPTIKAIKKSKRSYLWLKVVIPITVSAALILALFALAYQKRKAKKESLNSHLEEQYPRVTYEELAKATEGFSSENLIGSGKYGSVYKGSLGDNQKMVAVKVFKLQERGASKSFLTECEALRSIRHRNLIKIITSCSSIDREGRDFKALIFEYMPNGSLDQWLHPADSELQQSNHLNLAQRLNIAIDIADALDYLHNSCQPPVVHCDLKPSNVLLDNDMAAHVGDFGLAKFLAEAVSKSLQDSSSSIAIKGTVGYVAPEYGAGGQVSTSGDVYSYGIMLLELLTGKRPTNDLFKDGMSLRKFVEAGDTSEQNMEIIDRTILSDVRGDEVTNNDIMKINECLVSVLNVGLACSDPSQRNRMNMTDAAAKMLAIRSIYLRA >DRNTG_31192.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26949074:26949518:-1 gene:DRNTG_31192 transcript:DRNTG_31192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDVVAKQFVEYYYTTFDNNRPGLASLYQDTSMLTFEGAKTQGVAAIVNKLTGLPFQQCHHAVSTIDCQPSGPAGGILVFVSGNLQLAGEQHALKFSQ >DRNTG_12236.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25017748:25018831:1 gene:DRNTG_12236 transcript:DRNTG_12236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYINHNFHDNKTKAKRDIQDLPQQ >DRNTG_12236.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25017748:25018709:1 gene:DRNTG_12236 transcript:DRNTG_12236.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYINHNFHDNKTKAKRDIQDLPQQ >DRNTG_17586.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3506240:3510117:-1 gene:DRNTG_17586 transcript:DRNTG_17586.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSWADSVANAEISASASTNSTTSASATAAPSRSSYVPPHLRNRQTSSEPSVQPHAEPPSKPLGYAGPPGGSRWAAGGGLVRDTGRPSFAGGRSGGGGGGGGGWNSRTGGWDRREREVNPFANDEENTEVAFDQENTGINFDAYEDIPVETSGENVPPPVNTFAEIDLGDALNLNIRRCKYVKPTPVQRYAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIIKGGSFVPKPRGSRTAYPMALILSPTRELSVQIHEEARKFAFQTGVRVVVAYGGAPINQQLRDLERGVDILVATPGRLVDLLERARVSLQMIKYLALDEADRMLDMGFEPQIRKIVEQMDMPQRGLRQTMLFSATFPKEIQRLASDFLANYIFLAVGRVGSSTDLIVQRVEFVNDSDKRSHLMDLLHAQVANGVHGKQSLTLVFVETKRGADSLEHWLCLNGFPATTIHGDRTQQEREMALRSFKSGSTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNENNSSLARPLSELMQEANQEVPSWLSRYAARASYGGGGRNRRSGGGPRFGGRDFRR >DRNTG_17586.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3506240:3510117:-1 gene:DRNTG_17586 transcript:DRNTG_17586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSWADSVANAEISASASTNSTTSASATAAPSRSSYVPPHLRNRQTSSEPSVQPHAEPPSKPLGYAGPPGGSRWAAGGGLVRDTGRPSFAGGRSGGGGGGGGGWNSRTGGWDRREREVNPFANDEENTEVAFDQENTGINFDAYEDIPVETSGENVPPPVNTFAEIDLGDALNLNIRRCKYVKPTPVQRYAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIIKGGSFVPKPRGSRTAYPMALILSPTRELSVQIHEEARKFAFQTGVRVVVAYGGAPINQQLRDLERGVDILVATPGRLVDLLERARVSLQMIKYLALDEADRMLDMGFEPQIRKIVEQMDMPQRGLRQTMLFSATFPKEIQRLASDFLANYIFLAVGRVGSSTDLIVQRVEFVNDSDKRSHLMDLLHAQVANGVHGKQSLTLVFVETKRGADSLEHWLCLNGFPATTIHGDRTQQEREMALRSFKSGSTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNENNSSLARPLSELMQEANQEVPSWLSRYAARASYGGGGRNRRSGGGPRFGGRDFRR >DRNTG_00203.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12427894:12429275:-1 gene:DRNTG_00203 transcript:DRNTG_00203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVERMSQEVAEHPLSHQVGFILPPFPQVLCSYSRVNRQRDDLPLGLSCRALGYDQREPFDID >DRNTG_08635.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000389.1:25737:27189:-1 gene:DRNTG_08635 transcript:DRNTG_08635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWKFLYLSSKDPVVRTHSEARFTGGFLDAMMEEELIKVGDEAPPKRQRPRLENLVVSPLKSRTKDEKE >DRNTG_31862.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:8000054:8000932:1 gene:DRNTG_31862 transcript:DRNTG_31862.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQELDHMLDLVLGQVLAQVMVQVREAVVDRVLVLVLVTGKVMDKAQVQAQAMEKAMDMVRATVVVTTDGNFLV >DRNTG_31862.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:8000054:8000752:1 gene:DRNTG_31862 transcript:DRNTG_31862.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQELDHMLDLVLGQVLAQVMVQVREAVVDRVLVLVLVTGKVMDKAQVQAQAMEKAMDMVRATVVVTTDGNFLV >DRNTG_31862.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:8000133:8000752:1 gene:DRNTG_31862 transcript:DRNTG_31862.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQELDHMLDLVLGQVLAQVMVQVREAVVDRVLVLVLVTGKVMDKAQVQAQAMEKAMDMVRATVVVTTDGNFLV >DRNTG_31862.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:8000133:8000932:1 gene:DRNTG_31862 transcript:DRNTG_31862.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQELDHMLDLVLGQVLAQVMVQVREAVVDRVLVLVLVTGKVMDKAQVQAQAMEKAMDMVRATVVVTTDGNFLV >DRNTG_31862.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7999940:8000932:1 gene:DRNTG_31862 transcript:DRNTG_31862.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQELDHMLDLVLGQVLAQVMVQVREAVVDRVLVLVLVTGKVMDKAQVQAQAMEKAMDMVRATVVVTTDGNFLV >DRNTG_22339.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:588173:591596:1 gene:DRNTG_22339 transcript:DRNTG_22339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESIKLGYHFLVSNSLYFILLSIISAIILHYDLNSIMVYYDNIRYYHNNPAWIIIAFAMVSFVATLYYFTRPRPVYLLDFSCYKPENERKCTRELFIERSGLAGVFNDENLAFQRKILERSGLGQDTYFPEAVLRVPPNPNMAEARKEAEMVMFGAVDELLKKTRVKAKDIGVLVVNCSLFNPTPSLSAMIVNHYKLRGNIISYNLGGMGCSAGLISIDLAKQLLQVHRNTYALVVSMENITLNWYLGNDRSMLISNCLFRMGGAAILLSNKPSDRRRSKYQLTHLVRTHKGSDDNSYRCVFQKEDSSCRVGVSLSKDLMSIAGDALKTNITTLGPLVLPLSEQLLFFFTLVGRKVFKMNIKPYIPDFKLAFEHFCIHAGGRAVLEELEKNLELSEWHMEPSRMTLFRFGNTSSSSLWYELAYTEGKGRINKGDRVWQIAFGSGFKCNSAVWKALKKIDPLKEKNPWMDEIDQFPVHVPKVEKIKD >DRNTG_22339.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:588209:590600:1 gene:DRNTG_22339 transcript:DRNTG_22339.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQESIKLGYHFLVSNSLYFILLSIISAIILHYDLNSIMVYYDNIRYYHNNPAWIIIAFAMVSFVATLYYFTRPRPVYLLDFSCYKPENERKCTRELFIERSGLAGVFNDENLAFQRKILERSGLGQDTYFPEAVLRVPPNPNMAEARKEAEMVMFGAVDELLKKTRVKAKDIGVLVVNCSLFNPTPSLSAMIVNHYKLRGNIISYNLGGMGCSAGLISIDLAKQLLQVHRNTYALVVSMENITLNWYLGNDRSMLISNCLFRMGGAAILLSNKPSDRRRSKYQLTHLVRTHKGSDDNSYRCVFQKEDSSCRVGVSLSKDLMSIAGDALKTNITTLGPLVLPLSEQLLFFFTLVGRKVFKMNIKPYIPDFKLAFEHFCIHAGGRAVLEELEKNLELSEWHMEPSRMTLFRFGNTSSSSLWYELAYTEGKGRINKGDRVWQIAFGSGFKCNSAVWKALKKIDPLKEKNPWMDEIDQFPVHVPKVEKIKD >DRNTG_22339.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:588209:590471:1 gene:DRNTG_22339 transcript:DRNTG_22339.10 gene_biotype:protein_coding transcript_biotype:protein_coding MQESIKLGYHFLVSNSLYFILLSIISAIILHYDLNSIMVYYDNIRYYHNNPAWIIIAFAMVSFVATLYYFTRPRPVYLLDFSCYKPENERKCTRELFIERSGLAGVFNDENLAFQRKILERSGLGQDTYFPEAVLRVPPNPNMAEARKEAEMVMFGAVDELLKKTRVKAKDIGVLVVNCSLFNPTPSLSAMIVNHYKLRGNIISYNLGGMGCSAGLISIDLAKQLLQVHRNTYALVVSMENITLNWYLGNDRSMLISNCLFRMGGAAILLSNKPSDRRRSKYQLTHLVRTHKGSDDNSYRCVFQKEDSSCRVGVSLSKDLMSIAGDALKTNITTLGPLVLPLSEQLLFFFTLVGRKVFKMNIKPYIPDFKLAFEHFCIHAGGRAVLEELEKNLELSEWHMEPSRMTLFRFGNTSSSSLWYELAYTEGKGRINKGDRVWQIAFGSGFKCNSAVWKALKKIDPLKEKNPWMDEIDQFPVHVPKVEKIKD >DRNTG_22339.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:588173:590495:1 gene:DRNTG_22339 transcript:DRNTG_22339.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQESIKLGYHFLVSNSLYFILLSIISAIILHYDLNSIMVYYDNIRYYHNNPAWIIIAFAMVSFVATLYYFTRPRPVYLLDFSCYKPENERKCTRELFIERSGLAGVFNDENLAFQRKILERSGLGQDTYFPEAVLRVPPNPNMAEARKEAEMVMFGAVDELLKKTRVKAKDIGVLVVNCSLFNPTPSLSAMIVNHYKLRGNIISYNLGGMGCSAGLISIDLAKQLLQVHRNTYALVVSMENITLNWYLGNDRSMLISNCLFRMGGAAILLSNKPSDRRRSKYQLTHLVRTHKGSDDNSYRCVFQKEDSSCRVGVSLSKDLMSIAGDALKTNITTLGPLVLPLSEQLLFFFTLVGRKVFKMNIKPYIPDFKLAFEHFCIHAGGRAVLEELEKNLELSEWHMEPSRMTLFRFGNTSSSSLWYELAYTEGKGRINKGDRVWQIAFGSGFKCNSAVWKALKKIDPLKEKNPWMDEIDQFPVHVPKVEKIKD >DRNTG_22339.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:588209:591596:1 gene:DRNTG_22339 transcript:DRNTG_22339.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQESIKLGYHFLVSNSLYFILLSIISAIILHYDLNSIMVYYDNIRYYHNNPAWIIIAFAMVSFVATLYYFTRPRPVYLLDFSCYKPENERKCTRELFIERSGLAGVFNDENLAFQRKILERSGLGQDTYFPEAVLRVPPNPNMAEARKEAEMVMFGAVDELLKKTRVKAKDIGVLVVNCSLFNPTPSLSAMIVNHYKLRGNIISYNLGGMGCSAGLISIDLAKQLLQVHRNTYALVVSMENITLNWYLGNDRSMLISNCLFRMGGAAILLSNKPSDRRRSKYQLTHLVRTHKGSDDNSYRCVFQKEDSSCRVGVSLSKDLMSIAGDALKTNITTLGPLVLPLSEQLLFFFTLVGRKVFKMNIKPYIPDFKLAFEHFCIHAGGRAVLEELEKNLELSEWHMEPSRMTLFRFGNTSSSSLWYELAYTEGKGRINKGDRVWQIAFGSGFKCNSAVWKALKKIDPLKEKNPWMDEIDQFPVHVPKVEKIKD >DRNTG_22339.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:588173:590471:1 gene:DRNTG_22339 transcript:DRNTG_22339.8 gene_biotype:protein_coding transcript_biotype:protein_coding MQESIKLGYHFLVSNSLYFILLSIISAIILHYDLNSIMVYYDNIRYYHNNPAWIIIAFAMVSFVATLYYFTRPRPVYLLDFSCYKPENERKCTRELFIERSGLAGVFNDENLAFQRKILERSGLGQDTYFPEAVLRVPPNPNMAEARKEAEMVMFGAVDELLKKTRVKAKDIGVLVVNCSLFNPTPSLSAMIVNHYKLRGNIISYNLGGMGCSAGLISIDLAKQLLQVHRNTYALVVSMENITLNWYLGNDRSMLISNCLFRMGGAAILLSNKPSDRRRSKYQLTHLVRTHKGSDDNSYRCVFQKEDSSCRVGVSLSKDLMSIAGDALKTNITTLGPLVLPLSEQLLFFFTLVGRKVFKMNIKPYIPDFKLAFEHFCIHAGGRAVLEELEKNLELSEWHMEPSRMTLFRFGNTSSSSLWYELAYTEGKGRINKGDRVWQIAFGSGFKCNSAVWKALKKIDPLKEKNPWMDEIDQFPVHVPKVEKIKD >DRNTG_22339.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:588209:590495:1 gene:DRNTG_22339 transcript:DRNTG_22339.9 gene_biotype:protein_coding transcript_biotype:protein_coding MQESIKLGYHFLVSNSLYFILLSIISAIILHYDLNSIMVYYDNIRYYHNNPAWIIIAFAMVSFVATLYYFTRPRPVYLLDFSCYKPENERKCTRELFIERSGLAGVFNDENLAFQRKILERSGLGQDTYFPEAVLRVPPNPNMAEARKEAEMVMFGAVDELLKKTRVKAKDIGVLVVNCSLFNPTPSLSAMIVNHYKLRGNIISYNLGGMGCSAGLISIDLAKQLLQVHRNTYALVVSMENITLNWYLGNDRSMLISNCLFRMGGAAILLSNKPSDRRRSKYQLTHLVRTHKGSDDNSYRCVFQKEDSSCRVGVSLSKDLMSIAGDALKTNITTLGPLVLPLSEQLLFFFTLVGRKVFKMNIKPYIPDFKLAFEHFCIHAGGRAVLEELEKNLELSEWHMEPSRMTLFRFGNTSSSSLWYELAYTEGKGRINKGDRVWQIAFGSGFKCNSAVWKALKKIDPLKEKNPWMDEIDQFPVHVPKVEKIKD >DRNTG_22339.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:588173:590600:1 gene:DRNTG_22339 transcript:DRNTG_22339.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQESIKLGYHFLVSNSLYFILLSIISAIILHYDLNSIMVYYDNIRYYHNNPAWIIIAFAMVSFVATLYYFTRPRPVYLLDFSCYKPENERKCTRELFIERSGLAGVFNDENLAFQRKILERSGLGQDTYFPEAVLRVPPNPNMAEARKEAEMVMFGAVDELLKKTRVKAKDIGVLVVNCSLFNPTPSLSAMIVNHYKLRGNIISYNLGGMGCSAGLISIDLAKQLLQVHRNTYALVVSMENITLNWYLGNDRSMLISNCLFRMGGAAILLSNKPSDRRRSKYQLTHLVRTHKGSDDNSYRCVFQKEDSSCRVGVSLSKDLMSIAGDALKTNITTLGPLVLPLSEQLLFFFTLVGRKVFKMNIKPYIPDFKLAFEHFCIHAGGRAVLEELEKNLELSEWHMEPSRMTLFRFGNTSSSSLWYELAYTEGKGRINKGDRVWQIAFGSGFKCNSAVWKALKKIDPLKEKNPWMDEIDQFPVHVPKVEKIKD >DRNTG_22339.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:588120:590471:1 gene:DRNTG_22339 transcript:DRNTG_22339.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQESIKLGYHFLVSNSLYFILLSIISAIILHYDLNSIMVYYDNIRYYHNNPAWIIIAFAMVSFVATLYYFTRPRPVYLLDFSCYKPENERKCTRELFIERSGLAGVFNDENLAFQRKILERSGLGQDTYFPEAVLRVPPNPNMAEARKEAEMVMFGAVDELLKKTRVKAKDIGVLVVNCSLFNPTPSLSAMIVNHYKLRGNIISYNLGGMGCSAGLISIDLAKQLLQVHRNTYALVVSMENITLNWYLGNDRSMLISNCLFRMGGAAILLSNKPSDRRRSKYQLTHLVRTHKGSDDNSYRCVFQKEDSSCRVGVSLSKDLMSIAGDALKTNITTLGPLVLPLSEQLLFFFTLVGRKVFKMNIKPYIPDFKLAFEHFCIHAGGRAVLEELEKNLELSEWHMEPSRMTLFRFGNTSSSSLWYELAYTEGKGRINKGDRVWQIAFGSGFKCNSAVWKALKKIDPLKEKNPWMDEIDQFPVHVPKVEKIKD >DRNTG_22339.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:588120:590600:1 gene:DRNTG_22339 transcript:DRNTG_22339.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQESIKLGYHFLVSNSLYFILLSIISAIILHYDLNSIMVYYDNIRYYHNNPAWIIIAFAMVSFVATLYYFTRPRPVYLLDFSCYKPENERKCTRELFIERSGLAGVFNDENLAFQRKILERSGLGQDTYFPEAVLRVPPNPNMAEARKEAEMVMFGAVDELLKKTRVKAKDIGVLVVNCSLFNPTPSLSAMIVNHYKLRGNIISYNLGGMGCSAGLISIDLAKQLLQVHRNTYALVVSMENITLNWYLGNDRSMLISNCLFRMGGAAILLSNKPSDRRRSKYQLTHLVRTHKGSDDNSYRCVFQKEDSSCRVGVSLSKDLMSIAGDALKTNITTLGPLVLPLSEQLLFFFTLVGRKVFKMNIKPYIPDFKLAFEHFCIHAGGRAVLEELEKNLELSEWHMEPSRMTLFRFGNTSSSSLWYELAYTEGKGRINKGDRVWQIAFGSGFKCNSAVWKALKKIDPLKEKNPWMDEIDQFPVHVPKVEKIKD >DRNTG_31513.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14168879:14171545:-1 gene:DRNTG_31513 transcript:DRNTG_31513.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSSTSATLVSLTLGSTPTLVLTCFPLLRVMAFKCSLGFSSVLLGRLPQGLSEFALESCPTWCSRDCTEAWSPNDDEEGECWLSDASSSPRNAECSSSFDDEAFPLKFKPLDLPKPQAKLSPK >DRNTG_24769.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26647145:26647641:1 gene:DRNTG_24769 transcript:DRNTG_24769.1 gene_biotype:protein_coding transcript_biotype:protein_coding YANLKACITQLPENGHGANVARWFGRLCEPPARLQDVQMDSHMAKKVLFPVQSGYWRGYIRVYHWQKLKLPNVMDMRAGFGG >DRNTG_21428.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3467446:3469989:1 gene:DRNTG_21428 transcript:DRNTG_21428.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFAGKPLLLLLLSVAGGLALACSPALTSNGTSIYDLLPKYGLPPGLLPDTVINYTLSDDGSFVVHLAGPCYIDFDYLVYYEPLITGTVHYGSIDDLKGIQVRRFLIWFDVDSIKVDLPPANFIYFQVGWITRKLSVGQFETVHSCRDSALGLRRIKDSAERVIQLPAFGRDKHANEGVETIQ >DRNTG_34376.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21699949:21711744:1 gene:DRNTG_34376 transcript:DRNTG_34376.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRIFAREKRFREELWQNGIVRSSQMSPKKHPEYVGDEEDPTCIICQQFLYLSAVMCSCRPTVHVCLEHWKHLCECDPSRHCLLYRHNLAELSDFVLKGCTLSGSTWKMEDSTPCGKHPMTSSGASSLMKKVKSGVITYAQLAEDWLSNACHILEIPFSNAAYTTALKEAEQFVWADHDMDPVRDVINKLVEVQKWAMRLRGCISQVESFLNCNDKHIEKVCMHEVLDLLSFDPSPCLVPGHDKLKAYAEDGKILVLEIESALSSQVHIGELELLYSRALKLPINLETTGKLAKEISSAKVWIENARECLSQKMPSTVEMNFLHKLKYEMLQLHVRFSEMEELLALCKEVESLQFQCKEIMEGPLEFKKLEVFLRNVENVIVKIPELEILKQYYHDAYSWRCQLHGVLQGIIEQEDHGNIVRELSCILEAGKLLKIRVDELSLVDMELKKSCCREKASKVLSKRMPLEFIQPLLQEASLLGIANEKLFVDISLVLETAISWEDKAKFILEHAAHLSEFDELIRTSENIFLILPSLPQVESAVLEAQSWISRSQPYLSSSVHDGDESGSLLKVDGLQELVIQSKALKVLLDAPEKLQEILKDIYNWKHNSCSLLEDLWSLLYMHDVYIKTNDYLVTIEDLLGKIVSATKVGLSLGYEFEEITKLEHASLTLQWSLKALSFCSRVPSLKEVDSLLEDAGNIVATFEGNTFVKLLVEGLNWLRKACSVIPDCQNSKRHKLVDAEEIVNDIPDAVSSYPLMVVQLQNAIRNHEKWLEQVHSFFRLSGEKSWMLLLELEKHGHICAFSCPELDKVTLEVEKVKKWMFQCQVVVEPLVGDVGSLLIKLTKIRCTLQRAVSIYNSLGGCKTRSFCMCCPNDSEHETFICLTCEDRFHFLCVGPPITTAGMGNEHTCHFCSCMESGKISIKEGCLLVGKGNRPELHSFLELLSAAEGLCAELEEVHLVQDIVKLGLDCKSFLNGRVNQALCHCKKDLRCISESLLCALKVMSVAGVYDHQMGCNLKLALCRNSWKIRVNKQLRASKKPVLQQIQRLLKEGLAINIPAEDHFMQEITEVQARASKWTDAAKRVASDAGALELSEVFNLIKEGEALPVYFDKEMELLKARTVLYCICRKPYDRRAMIACDQCDEWYHFDCINLHGPPPKTYICPACNPLHGDFISPPFLLRQEERRTNCEELLDRPKASKKKQSKRSSCISQQNEQVEVELENIISCYSEINELWRLNRRPHRRRTRKPNNLERLTDYIHFLKCE >DRNTG_34376.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21696696:21711744:1 gene:DRNTG_34376 transcript:DRNTG_34376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGVLTHGYATTSATAAAAAPSLPQAPVFFPTEEEFANPLEFISKIRPQAEPFGICRIVPPPSWNPPFVPDRETFSFPTKTQAIHRLQDRPPSCDPKTFHLEYARFLESHFGRRPKRRVVFDGDDLDLCRLFNAVKRYGGYEKVCKEKRWRDVSRFVRSDGKISECAKHVLSQLYLEHLLDYEEYHAQLNSEVKNYKKRVVYEDQRRKVSNSKRRKSSGEERVEGVSRSVFDQICEQCKSGLHGEVMLLCDRCDKGWHLHCLSPPLESVPQGNWYCLECVNSDKDSFGFVPGKWCSLEAFRRRDDRLRRKWFGQGRPARSQVEKRFWDIVEGRAGEVEVMYGSDLDTSVYGSGFPRIGDPIPTTVDHEAWRKYCSSPWNLNNFPKLQGSILRAVRDNIAGVMVPWLYVGMLFSAFCWHVEDHCFYSINYLHWGEPKCWYGVPGSEANAFEEVMRNNLPDLFDAQPDLLFQLITMLNPSVLQENGVSVYGVLQEPGNFVITFPRSYHGGFNFGLNCAEAVNFAPADWLPHGGLGAELYSVYHKPAVLSHEELLYVVAKNGYDAKVWPYLKKEMHRIFAREKRFREELWQNGIVRSSQMSPKKHPEYVGDEEDPTCIICQQFLYLSAVMCSCRPTVHVCLEHWKHLCECDPSRHCLLYRHNLAELSDFVLKGCTLSGSTWKMEDSTPCGKHPMTSSGASSLMKKVKSGVITYAQLAEDWLSNACHILEIPFSNAAYTTALKEAEQFVWADHDMDPVRDVINKLVEVQKWAMRLRGCISQVESFLNCNDKHIEKVCMHEVLDLLSFDPSPCLVPGHDKLKAYAEDGKILVLEIESALSSQVHIGELELLYSRALKLPINLETTGKLAKEISSAKVWIENARECLSQKMPSTVEMNFLHKLKYEMLQLHVRFSEMEELLALCKEVESLQFQCKEIMEGPLEFKKLEVFLRNVENVIVKIPELEILKQYYHDAYSWRCQLHGVLQGIIEQEDHGNIVRELSCILEAGKLLKIRVDELSLVDMELKKSCCREKASKVLSKRMPLEFIQPLLQEASLLGIANEKLFVDISLVLETAISWEDKAKFILEHAAHLSEFDELIRTSENIFLILPSLPQVESAVLEAQSWISRSQPYLSSSVHDGDESGSLLKVDGLQELVIQSKALKVLLDAPEKLQEILKDIYNWKHNSCSLLEDLWSLLYMHDVYIKTNDYLVTIEDLLGKIVSATKVGLSLGYEFEEITKLEHASLTLQWSLKALSFCSRVPSLKEVDSLLEDAGNIVATFEGNTFVKLLVEGLNWLRKACSVIPDCQNSKRHKLVDAEEIVNDIPDAVSSYPLMVVQLQNAIRNHEKWLEQVHSFFRLSGEKSWMLLLELEKHGHICAFSCPELDKVTLEVEKVKKWMFQCQVVVEPLVGDVGSLLIKLTKIRCTLQRAVSIYNSLGGCKTRSFCMCCPNDSEHETFICLTCEDRFHFLCVGPPITTAGMGNEHTCHFCSCMESGKISIKEGCLLVGKGNRPELHSFLELLSAAEGLCAELEEVHLVQDIVKLGLDCKSFLNGRVNQALCHCKKDLRCISESLLCALKVMSVAGVYDHQMGCNLKLALCRNSWKIRVNKQLRASKKPVLQQIQRLLKEGLAINIPAEDHFMQEITEVQARASKWTDAAKRVASDAGALELSEVFNLIKEGEALPVYFDKEMELLKARTVLYCICRKPYDRRAMIACDQCDEWYHFDCINLHGPPPKTYICPACNPLHGDFISPPFLLRQEERRTNCEELLDRPKASKKKQSKRSSCISQQNEQVEVELENIISCYSEINELWRLNRRPHRRRTRKPNNLERLTDYIHFLKCE >DRNTG_34606.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23236676:23239672:1 gene:DRNTG_34606 transcript:DRNTG_34606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGKPPPVIAVLSSDEEEDYHRRHVKREGERRTRREKWRMFSGVEVKTAEEKLGDDDDCCILGCNLSYALMKMNPLHEEKDDDVSIVFERGQVACKDFPHSRHLCVKYPFSKTSHESYCKQCYCYVCDATAPCKNWTGLLGHCHASDTGSRWQDLRKSRP >DRNTG_02755.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:163174:164472:-1 gene:DRNTG_02755 transcript:DRNTG_02755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSEATIQTFNNYRNFTAAVALPILIPVSQLPVFPPPIYYNNSSLSDAPGRKSEKLERTIVILSATLGVALALALWSLLVLAYFRYSHERRKAVSLVCTGSSCLDTADLLNSNKKTSCGSSSSGQSKTVADKLLLGVSTYLDNPILYDIEVIMAATMNLDDKCRIDGSVYKATLNGEVFAVKRARRDITEELTILQRLSHANLVKLRGVSARKEDFFLVYEFAENTSLDTWLFPMKSSCSSNKFTFLSWKQRLNIALDVANGLHYIHSHIRPSIVHRDIRSSNILLGGRFKAKIANFSMAKPATVAVSPSIDVFAFGVVLLELLSGRRDAENAGVLWKEIRMVMEADEKREEMLKKWMDPKLQGFYPVDGALSVAAMARACTSDKASERPSMSEMVFSLSVLAQSCSNDECERLWISNTEYKVVMTNPVAR >DRNTG_27103.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14476802:14479007:-1 gene:DRNTG_27103 transcript:DRNTG_27103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRWSLAIYFMGMVWMALNGWVSACLIVADEIARSFRAGDVGPLHLG >DRNTG_27103.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14478625:14479007:-1 gene:DRNTG_27103 transcript:DRNTG_27103.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRWSLAIYFMGMVWMALNGWVSACLIVADEIARSFRAGDVGPLHLG >DRNTG_24477.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18857577:18869416:-1 gene:DRNTG_24477 transcript:DRNTG_24477.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGRLPKKPSNKADSREFPSGTAAPVSGSSVSLRTGDLQSNRPAYQNNPVPYGSNSGLNHAGRYQPTPNSKLNGTSVSPSYEALPNFRDVPSSEKQSLFMKKVNLCCVVFDFTDPTKNMKEKEVKRQTLLELVDYVTSSNAKFPEHVMQEIAKMVAINLFRNLTSMPRENKISEAFDLEDEEPVMDPAWPHLQIVYEFFLRFVASSETDAKLAKRYIDHSFILRLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIPMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEVLEATQPAEFQRCMVPLFRRISHCLNSSHFQVAERALFLWNNDHIENLIKQNRKVILPIIFPALERNTRGHWNQAVRSLTLNVRKIFSDHDPELFDECLQKFQKDESKEKEIQSKREATWKRLEEVAAAKSGTN >DRNTG_24477.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18857577:18869416:-1 gene:DRNTG_24477 transcript:DRNTG_24477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGRLPKKPSNKADSREFPSGTAAPVSGSSVSLRTGDLQSNRPAYQNNPVPYGSNSGLNHAGRYQPTPNSKLNGTSVSPSYEALPNFRDVPSSEKQSLFMKKVNLCCVVFDFTDPTKNMKEKEVKRQTLLELVDYVTSSNAKFPEHVMQEIAKMVAINLFRNLTSMPRENKISEAFDLEDEEPVMDPAWPHLQIVYEFFLRFVASSETDAKLAKRYIDHSFILRLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIPMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEVLEATQPAEFQRCMVPLFRRISHCLNSSHFQVAERALFLWNNDHIENLIKQNRKVILPIIFPALERNTRGHWNQAVRSLTLNVRKIFSDHDPELFDECLQKFQKDESKEKEIQSKREATWKRLEEVAAAKSGTN >DRNTG_06913.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1607174:1608793:-1 gene:DRNTG_06913 transcript:DRNTG_06913.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTYGWFVAAFFTMSVGLSMAEICSSFPTSGGLYYWSARLSGKKWAPFASWMTGWFNIVGQWAVTTSVDFSLAQLIQVIILLSTGGNNGGGYLASKYVVIAFHGGILLVHAILNSLPISWLSFFGQLAAAWNLLG >DRNTG_06913.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1606156:1608793:-1 gene:DRNTG_06913 transcript:DRNTG_06913.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYGWFVAAFFTMSVGLSMAEICSSFPTSGGLYYWSARLSGKKWAPFASWMTGWFNIVGQWAVTTSVDFSLAQLIQVIILLSTGGNNGGGYLASKYVVIAFHGGILLVHAILNSLPISWLSFFGQLAAAWNLLGVFVLMILIPTVATKKASEEFVFTHFNTDNDAGIHSKVYIFVLGLLMSQYTLTGYDASAHMTEETKNADMNGPKGIISAIGISIIVGWGYLLGITFAVTNIPELLNLDNDAGGYAIAEVFYQVFKSRYGNGVGGIICLGIIAVAIFFCGMSSVTSNSRMAYAFSRDGAMPLSAQWHKVNKNEVPINAVWLSV >DRNTG_09349.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:611028:611638:1 gene:DRNTG_09349 transcript:DRNTG_09349.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFQSKLMATLLAISLLLVCFPTMSFSVTCAIPRKMVARVEAAGFVCSNPNVEPVPREQRGYAQGSRKGNAPPSPSSSVSKSQDGSAAPPESKQ >DRNTG_26844.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1874565:1878254:-1 gene:DRNTG_26844 transcript:DRNTG_26844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASGLVYHPPRCCRPRKLAPFRFSRSGFFSIEWNPARAVIRCCAVESGVEGTVLGAAHSKARLPRLVGMGSKLVGCGSAVPKLLVSNDDLAQIVETSDEWISVRTGIRSRRVLSGNETLNGLAVDAAKGALDMAQVETDDVDLVIMCTSTPDDLFGGGARVQRDLGCKNAWAFDVTAACSGFVIGLITATRFIKGGGYRNILVIGADALSRYVDWTDRGTCILFGDAAGAVLVQACSGDEDGMLGFDLHSDGQGQRHLHAVAKDDETKITSNMNGTPVFSPKKSSYSCIQMNGKEVFRFAVRCVPQSIEAALEDAGLTSSSIDWLLLHQANQRIIDAVATRLQIPSDKVVSNLANYGNTSAASIPLALDEAVRGGKVKPGNTIATAGFGAGLSWGSAIIRWG >DRNTG_11306.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1587983:1589264:1 gene:DRNTG_11306 transcript:DRNTG_11306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFPQDLPFAHNAKNITNNYNFVAVAEEDTGVLINGMHANWDHSSSSWSSSIFPETEDLLQVSLSPETSIKEHLHHRPVNGTELTGKQRKRRRVRSFKNKEEVENQRMTHIAVERNRRKQMNDYLASLRRLMPPSYVQRGDQASIIGGAINFVKQLEQLQQSLEAQKLIKQRCNNGDFEGSTPFADHFTFPQYSSASSCNTDGTGNGTTTSSGISNEIIAERRSASADIEVTMVEGHANIKILAKRRPKQLVKLIVGLHNLCLSTLHLNVTAVEHFVLYCFSLKVEEECQCGTVDDIATAVHHLVAEIQEQAELV >DRNTG_16521.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5405634:5408465:-1 gene:DRNTG_16521 transcript:DRNTG_16521.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGAGVPIREVNTGTENSNPYAGMSQKECTDRIRKALSHPTVKFLRQHMEKAGCPVWVRLLMAVNCKEHNFAGGYSSQNGVSVCCNRMTFQDEINQVIIHELIHAYDDCRVKNMDWKNCAHHACSEIRANHLSGDCHYKRELLRGFMKIRGHEQECVKRRAIKSVAVNPNCSEAAAKDAVEAVWDICYNDTYPFDRAP >DRNTG_26083.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4108789:4112946:-1 gene:DRNTG_26083 transcript:DRNTG_26083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKVMNKQVLFKDYVTGFPKEEDMVLTSSTIDLKLPQGSTAVLVKNLYLSCDPYMRPRMSRPLTQSYTDALVPGKVLVGYGVSKVVDSGHPDFKAGELVWGITGWEEYSLITMPQQLNKIKYTDVPLSYYTGILGMPGLTAYVGFYDICSPKKGETVYVSAASGAVGQLVGQFAKLAGCYVVGSAGSQEKIDLLKNKFGFDDAFNYKEEQDLTAALKRCFPTGIDIYFENVGGAMLDAVLLNMREQGRIAVCGMISQYNINPKRSCPQSVLLNLKAHPYARVHRTRSQAQIPSVP >DRNTG_19425.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27018435:27018927:1 gene:DRNTG_19425 transcript:DRNTG_19425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRREFGETSGYNKGKVSKKGMRMTCSVCGAQGHNKKFHGVDFPSRQKGNSSNIGIQYTYTEICRMQSGDKSRPS >DRNTG_09437.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21208131:21211588:1 gene:DRNTG_09437 transcript:DRNTG_09437.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARRLKDLQAQPGNKTCVDCAQRNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVSMDSWSEIQLKKMEAGGNDRFNAFLAGYGVSKETDIVSKYNTSAAGVYRDRIQALAEGRTWRDPPVVKETLKAAGKPPISNHGSSKNGGWDSWDGDEFQPSDMKRNQTVGDFRAGNGGRGTGEHPTRSRSTQDLYTRSQLETSAANKESFFERKMAENNSRPEGLPPSQGGKYVGFGSTPPPSAQRNTSQGDMFSVVSQGFGRLSLVAASAAQSAATAVQAGTKELTAKVKEGGYDHKVNETVTVVTSKTNEIGQKTWGIMKGVMAMASQKIEEYTKEGINWKEDDWQQSGAERHGHYQEYSRQSGNESNPSVDNSNRKHSAGGSWDDWDEKEKAKPSNKSTPSSDSWAGWDDVKDEDGYESYNHISSNEGVNQNGKSSSAWTEGGFR >DRNTG_09437.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21208131:21211588:1 gene:DRNTG_09437 transcript:DRNTG_09437.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARRLKDLQAQPGNKTCVDCAQRNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVSMDSWSEIQLKKMEAGGNDRFNAFLAGYGVSKETDIVSKYNTSAAGVYRDRIQALAEGRTWRDPPVVKETLKAAGKPPISNHGSSKNGGWDSWDGDEFQPSDMKRNQTVGDFRAGNGGRGTGEHPTRSRSTQDLYTRSQLETSAANKESFFERKMAENNSRPEGLPPSQGGKYVGFGSTPPPSAQRNTSQGDMFSVVSQGFGRLSLVAASAAQSAATAVQAGTKELTAKVKEGGYDHKVNETVTVVTSKTNEIGQKTWGIMKGVMAMASQKIEEYTKEGINWKEDDWQQSGAERHGHYQEYSRQSGNESNPSVDNSNRKHSAGGSWDDWDEKEKAKPSNKSTPSSDSWAGWDDVKDEDGYESYNHISSNEGVNQNGKSSSAWTEGGFR >DRNTG_09437.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21208131:21211588:1 gene:DRNTG_09437 transcript:DRNTG_09437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARRLKDLQAQPGNKTCVDCAQRNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVSMDSWSEIQLKKMEAGGNDRFNAFLAGYGVSKETDIVSKYNTSAAGVYRDRIQALAEGRTWRDPPVVKETLKAAGKPPISNHGSSKNGGWDSWDGDEFQPSDMKRNQTVGDFRAGNGGRGTGEHPTRSRSTQDLYTRSQLETSAANKESFFERKMAENNSRPEGLPPSQGGKYVGFGSTPPPSAQRNTSQGDMFSVVSQGFGRLSLVAASAAQSAATAVQAGTKELTAKVKEGGYDHKVNETVTVVTSKTNEIGQKTWGIMKGVMAMASQKIEEYTKEGINWKEDDWQQSGAERHGHYQEYSRQSGNESNPSVDNSNRKHSAGGSWDDWDEKEKAKPSNKSTPSSDSWAGWDDVKDEDGYESYNHISSNEGVNQNGKSSSAWTEGGFR >DRNTG_03596.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:265326:267961:1 gene:DRNTG_03596 transcript:DRNTG_03596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDEIKKQEIEEKAMDVTLKDLSKKLEDFAKARDWEQYHSPRNLLLAMVAEVGELSEIFMWKGEVAQGLPNWDESVKEHLGEELSDVLLYLIRLADICGVDLGDAALKKIIKNAVKYPANK >DRNTG_06961.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4676949:4678730:1 gene:DRNTG_06961 transcript:DRNTG_06961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSSLSMINAFLLCVLPLTLLLLSIKLVLFSNKRSVNLPPSPWKLPFIGNLHQLGLLPHQSLHNLSKKHGPLMLLQLGQVPTLVVSSSQMAKEILKTHDLVFASRPTLRAAEILLYGSLDMAFSPYGEHWRQMRKICATNLFSTRRVQLFQAAREEVVVHLLDKIMSQASTTPSEPLNMSQVLYFFSNDMLCRAILGKASTNLEDRNKMFHEMIEENSVLLSGFNLEDYFPSLGWLSSILGLDERAKRNSSKWDAVLNQIIQEHAIGNEEIVKDDDFVDILLSLQKDPNMEITITDGHIKALLVDLFAAGTDTTYIVLEWSMAELIKNPQVMKKLQNEVRAKSKDKSMIIKEEDIREMSYLKAVIKEVLRLHPPAPLLVPRESMDNCQIEHYEIPRKTRVVVNYWSIARDSKVWESSETFKAERFINNSIDYKGQDFEYIPFGSGRRVCPGMQFAVSTIELALANLIYRFDWRVPNGMVTEELDMSESPGLTVRMKKNLYLIPKLWI >DRNTG_26688.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9142063:9146782:1 gene:DRNTG_26688 transcript:DRNTG_26688.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide deformylase 1B, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G14660) UniProtKB/Swiss-Prot;Acc:Q9FUZ2] MAARCSVSHNALLSLLPRPMLSSRAPSRLLRPSKVLAMARRGFSSQEDDFASPDDLRFEPPLKIVEYPHPILRARNKGINTFDENLKKLADEMFDVMYKTDGIGLSAPQVGINVQLMVFNSAGERGEGEEIILVNPIVYRVSKKTVLFNEGCLSFPGIYADVERPASIKIDARDITGGSFRVNLSGLPARVFQHEFDHLQGVLFFDRMTEEVLSSIRSQLKALEVKYESQTGLQSPERIDDYKRREEVAGFGRA >DRNTG_23348.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6033530:6036866:-1 gene:DRNTG_23348 transcript:DRNTG_23348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGPHTMYALGTGIALMHVTRGSFSPHHCLFYATNAFLGPDLGSFSEWLTSSAIGLGQGFGSLAMDFIHHPFYYPFLLGLPLALFYSWLSGILFRGGFLDSLSGENGHSSTYSWILSTGWWEGAAPINQDAVLVVGFLCTCLFIGFVYINRVKHNSSVVTKSSQSMRLILAIGSLYCLWCTSQIYWRNPPQPAIGEEADLGVLIFLTIYFFLPHGLCILSMNPKYHLDTPEELPL >DRNTG_10359.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000435.1:24652:25363:-1 gene:DRNTG_10359 transcript:DRNTG_10359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRGSRLKRITKTRKTIRSLPPPADDEIIATPPAVDQPAIMAASKEIASKEAAAIVDTMAKEIPISVEPAMAKEIPMSVEPADDSAASKVDTIPQQQEPAKIVSPVDFVVMPVINKIIDNVVNKIPVSVKPAKDTAVSKGETLPQPSTTPANEPKDSAAVDGMVLANQQYKKVRTDFRPKNKIYPAKGHLDVFEQELLKIYLNCTIDL >DRNTG_33135.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10541055:10541540:1 gene:DRNTG_33135 transcript:DRNTG_33135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYSFRPDKNWMKREYEAGRLTLGEDALLNPPTQTKMVSTLHDRRFKKSQVEFCGMVDVTPQDALLVERPIGGQIELNPLREVEEAVEVLNMQLRSRDRRWDGRLFLRH >DRNTG_07732.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2558200:2559584:1 gene:DRNTG_07732 transcript:DRNTG_07732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHEIEIITPSSDKNNNVADGLPSAEVNDNPIEQVRLTVPITDDPTLQTLTFRTWTLGVAGCFIMSFINQFFGYRTNAITITSVCAQIVVLPLGKLMAATLPKCKIKFPLTNWTFSLNPGPFNMKEHVLITIIANAGNGGIYALDIITAVKAYYHRQLNPLAAYLLCLTTQMLGYGWAGLFRKYLVDSPYMWWPINLIQVSLF >DRNTG_02889.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:796506:806815:1 gene:DRNTG_02889 transcript:DRNTG_02889.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase BRE1-like 1 [Source:Projected from Arabidopsis thaliana (AT2G44950) UniProtKB/Swiss-Prot;Acc:Q8RXD6] MGSTGEPDRKRRHFSSISPTAATAAKKQPLFPCSSSDEKKLDVAVLQYQNQKLVQQLQVQKVEYSVLENKFHQLKEKQKLYNDTLLVVNKSWERLVGDMETLSACTSGSTDGYDDLEGPHMLDDGASCPAEADFLSRFMENGATESCSDHVSSIVMEDDIQTRQVAAKNVVRNITSSINGIWKVNDKLATALILTLSEHELGQHLRKTTSDLQMEVKNFRVAISDLHSRHRLLANKVRSHRDIHVKKKAEHKRLSEELAKTVAELEESNSKLSILKAQRDGFQGAPFLFRALGNKQAGGDKIRDKQKELQDMESTFNELKELVSSRLVEIKSLHEKRIDILNKLANLQNTLVDVKSIQSSKAFLLLAEQLEKSKSEVDQCRISLEKLQVERENYIWKEKEMNVKVDMVDVYQRISAFSESRVIELEQELRNLDDKRIQLETKIEETSREAGRKEIISEFKALVASLPKDMEAMQSQLSNYKGASSGLHSLRAEVQSLSTILHRKATEIESLSDRSSQQLLEIKKLKAVVQDLRESDQELKLIVEMYRRECTDTRDVMEFRDMEFKSWAHVQSLKFSLDEHNLELRVKAANEAEAEAQHRLATAEAEIADLRQKLEMTGREICNLTETLKAKHEEGESYLSEIESIGQAYEDMQTQNQHLLQQITERDDYNIKLVMEGVKARQMQDALQYELQAMYREVQQANLFIDSNNLKLARLEDQLRIWSEQVRKLMEEQWQDSVALENAQSRLLDVQKECQHLRQSLDGVQPKLQRSRLDVSELLVEVEKERYNKKRIEEELETLMRKASNLRALTEGSTVLEKLKHELREYRGILKCNICHERQKEVVIAKCYHLFCNQCVRRTVESRHRKCPTCSTSFGPNDVKPIYI >DRNTG_34842.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28957619:28958863:-1 gene:DRNTG_34842 transcript:DRNTG_34842.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 37 [Source:Projected from Arabidopsis thaliana (AT2G17900) TAIR;Acc:AT2G17900] MELKDWRSSLTICQLTITVYQRVYPENHPMVGLQYYTCGKLEWLLELTDDALKSFTKATNILRITHGTNTPFMRELLNKLDEARAEAAYKYSAGQSSANKLL >DRNTG_34842.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28957619:28959581:-1 gene:DRNTG_34842 transcript:DRNTG_34842.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 37 [Source:Projected from Arabidopsis thaliana (AT2G17900) TAIR;Acc:AT2G17900] MRTHETLMKIFMELKDWRSSLTICQLTITVYQRVYPENHPMVGLQYYTCGKLEWLLELTDDALKSFTKATNILRITHGTNTPFMRELLNKLDEARAEAAYKYSAGQSSANKLL >DRNTG_34842.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28957619:28962603:-1 gene:DRNTG_34842 transcript:DRNTG_34842.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 37 [Source:Projected from Arabidopsis thaliana (AT2G17900) TAIR;Acc:AT2G17900] MEVLQQALSSRGLAVVPIPGKGRGLVTTKDFSPGDVIFYQEPYASTPSKLLGGSVCDGCFGSSNLRKCSGCRIAWYCGNVCQRSEWKVHQLECQALAGLSEDRRKMLTPSIRLVVKLLLRRKLQDEQVIPTTPTDNYDLVNALVSRILILISVLEETIFYYYCGLVFGLINHLRKISLTLMRINLCCMHKWLTLSNW >DRNTG_34842.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28957619:28962603:-1 gene:DRNTG_34842 transcript:DRNTG_34842.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 37 [Source:Projected from Arabidopsis thaliana (AT2G17900) TAIR;Acc:AT2G17900] MEVLQQALSSRGLAVVPIPGKGRGLVTTKDFSPGDVIFYQEPYASTPSKLLGGSVCDGCFGSSNLRKCSGCRIAWYCGNVCQRSEWKVHQLECQALAGLSEDRRKMLTPSIRLVVKLLLRRKLQDEQVIPTTPTDNYDLVNALVSHISDIDENQLVLYAQMANLVKLVVPYVEINLKEVSENFSKIACNAHTICDSELRPLGTGLYPVISTINHSFGI >DRNTG_34842.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28959609:28960558:-1 gene:DRNTG_34842 transcript:DRNTG_34842.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 37 [Source:Projected from Arabidopsis thaliana (AT2G17900) TAIR;Acc:AT2G17900] MEPITEGIEVLISYIETAATTSTRQKDLKQYFFSCRCPRCTKSLDEDLRENAIMEGYRCMDKKCYGFLLHDTVKQTFICQQCGLPRDQEKTKKTANEIEKLLSKASTCYSSGSILHYKM >DRNTG_34842.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28957619:28958863:-1 gene:DRNTG_34842 transcript:DRNTG_34842.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 37 [Source:Projected from Arabidopsis thaliana (AT2G17900) TAIR;Acc:AT2G17900] MRAGVYPENHPMVGLQYYTCGKLEWLLELTDDALKSFTKATNILRITHGTNTPFMRELLNKLDEARAEAAYKYSAGQSSANKLL >DRNTG_34842.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28957619:28962603:-1 gene:DRNTG_34842 transcript:DRNTG_34842.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 37 [Source:Projected from Arabidopsis thaliana (AT2G17900) TAIR;Acc:AT2G17900] MEVLQQALSSRGLAVVPIPGKGRGLVTTKDFSPGDVIFYQEPYASTPSKLLGGSVCDGCFGSSNLRKCSGCRIAWYCGNVCQRSEWKVHQLECQALAGLSEDRRKMLTPSIRLVVKLLLRRKLQDEQVIPTTPTDNYDLVNALVSHISDIDENQLVLYAQMANLVKLVVPYVEINLKEVSENFSKIACNAHTICDSELRPLGTGLYPVISTINHSCLPNSVLVFEGRVAVVRAMEPITEGIEVLISYIETAATTSTRQKDLKQYFFSCRCPRCTKSLDEDLRENAIMEGYRCMDKKCYGFLLHDTVKQTFICQQCGLPRDQEKTKKTANEIEKLLSKASTCYSSGNYSEACVLYKNIEQIQSKLCHPFSINLMRTHETLMKIFMELKDWRSSLTICQLTITVYQRVYPENHPMVGLQYYTCGKLEWLLELTDDALKSFTKATNILRITHGTNTPFMRELLNKLDEARAEAAYKYSAGQSSANKLL >DRNTG_34842.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28957619:28962603:-1 gene:DRNTG_34842 transcript:DRNTG_34842.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 37 [Source:Projected from Arabidopsis thaliana (AT2G17900) TAIR;Acc:AT2G17900] MEVLQQALSSRGLAVVPIPGKGRGLVTTKDFSPGDVIFYQEPYASTPSKLLGGSVCDGCFGSSNLRKCSGCRIAWYCGNVCQRSEWKVHQLECQALAGLSEDRRKMLTPSIRLVVKLLLRRKLQDEQVIPTTPTDNYDLVNALVSRILILISVLEETIFYYYCGLVFGLINHLRKISLTLMRINLCCMHKWLTLSNW >DRNTG_16806.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1620535:1621959:-1 gene:DRNTG_16806 transcript:DRNTG_16806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMLTWKFFIAMVAITAVVTKAQDSACLSELVPCLQFINSNKTPSSSCCQPLKSLIKSNPQCLCSLLGSDSATRQAGVNMTRAQLLPAKCGDKVSAASCKKSSSKEKTTAASSSAEKLLQMKAVRVGLLSLWFQSMWVLLLS >DRNTG_23622.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30192338:30194140:1 gene:DRNTG_23622 transcript:DRNTG_23622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKCSSCIFHALTVTITTACALINERKKQKSGTHP >DRNTG_32262.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001813.1:69070:76841:-1 gene:DRNTG_32262 transcript:DRNTG_32262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEWTSLKGLSIKYNQLNGTSLEGLCMIKNLEELDISFNNLNSDIPDCFRYLSSLNYLDISHNQLETRFSSSIFENLTRLEFAAFSDNNLKGTLSVSSFANNTKLKFLDLSNNYQLELETEDLGSPPSFQLKGTDTEDIQANVGGYDVFPRDYITNIFLNSLEKSQAIIYEYIEFATKQRSDRYRGNILQYLSGIDLSCNQLVGMIPENMGEMTWLQALNLSNNRLTGPIPTTLSSLREIESLDLSHNMLVGRIPPQLAELNYLEVFSVAYNNLSGPTIGLVAQFSTFNESSYKGNPYLCGPPLKKNCTSMIPSSPKQHGQVTNVFHDDNEEGKDRLILFASIALGFISGFWGWMALLFFKRNLRYSFFLAMDGYTEEAIDMVKSLLSKMKSCW >DRNTG_14432.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000681.1:15242:16212:-1 gene:DRNTG_14432 transcript:DRNTG_14432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLTRFPLSLSTIQRNHSQGNGLGGISGERRPC >DRNTG_04225.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:816645:817575:-1 gene:DRNTG_04225 transcript:DRNTG_04225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDLENPLTSSDSELDSVSALFSAESDHMSSLTTALDLHSRRQAISLILQVQAQCACNVDPFMAYLAINYVDRYLARHEIPVKQNSVS >DRNTG_23520.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22238008:22239067:-1 gene:DRNTG_23520 transcript:DRNTG_23520.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEDD8-specific protease 1 [Source:Projected from Arabidopsis thaliana (AT5G60190) UniProtKB/Swiss-Prot;Acc:Q9LSS7] MAEEKVLSYNDVVLRGSDLEILQGPHYINDRIIEFYWSYLSTTISDRILFVPPSISFWIANCPDSQSLREAVNPLNFLSRDLVVFTVNDSSDFTQAEGGAHWSLLVYERANNVVVHHDSLTPMNRSQARRFFKAVKGFLGDGSEEVRFIEGQTPQQTNAYDCGLYVMRIGRVVCDWFEGQCDRGIDLWFSELNEEVDTVVVANLRNAVLELILGFMKKSK >DRNTG_23520.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22237717:22239067:-1 gene:DRNTG_23520 transcript:DRNTG_23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEDD8-specific protease 1 [Source:Projected from Arabidopsis thaliana (AT5G60190) UniProtKB/Swiss-Prot;Acc:Q9LSS7] MAEEKVLSYNDVVLRGSDLEILQGPHYINDRIIEFYWSYLSTTISDRILFVPPSISFWIANCPDSQSLREAVNPLNFLSRDLVVFTVNDSSDFTQAEGGAHWSLLVYERANNVVVHHDSLTPMNRSQARRFFKAVKGFLGDGSEEVRFIEGQTPQQTNAYDCGLYVMRIGRVVCDWFEGQCDRGIDLWFSELNEEVDTVVVANLRNAVLELILGFMKKSK >DRNTG_23520.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22237937:22239067:-1 gene:DRNTG_23520 transcript:DRNTG_23520.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEDD8-specific protease 1 [Source:Projected from Arabidopsis thaliana (AT5G60190) UniProtKB/Swiss-Prot;Acc:Q9LSS7] MAEEKVLSYNDVVLRGSDLEILQGPHYINDRIIEFYWSYLSTTISDRILFVPPSISFWIANCPDSQSLREAVNPLNFLSRDLVVFTVNDSSDFTQAEGGAHWSLLVYERANNVVVHHDSLTPMNRSQARRFFKAVKGFLGDGSEEVRFIEGQTPQQTNAYDCGLYVMRIGRVVCDWFEGQCDRGIDLWFSELNEEVDTVVVANLRNAVLELILGFMKKSK >DRNTG_23520.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22238242:22239067:-1 gene:DRNTG_23520 transcript:DRNTG_23520.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEDD8-specific protease 1 [Source:Projected from Arabidopsis thaliana (AT5G60190) UniProtKB/Swiss-Prot;Acc:Q9LSS7] MAEEKVLSYNDVVLRGSDLEILQGPHYINDRIIEFYWSYLSTTISDRILFVPPSISFWIANCPDSQSLREAVNPLNFLSRDLVVFTVNDSSDFTQAEGGAHWSLLVYERANNVVVHHDSLTPMNRSQARRFFKAVKGFLGDGSEEVRFIEGQTPQQTNAYDCGLYVMRIGRVVCDWFEGQCDRGIDLWFSELNEEVDTVVVANLRNAVLELILGFMKKSK >DRNTG_23520.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22237854:22239067:-1 gene:DRNTG_23520 transcript:DRNTG_23520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEDD8-specific protease 1 [Source:Projected from Arabidopsis thaliana (AT5G60190) UniProtKB/Swiss-Prot;Acc:Q9LSS7] MAEEKVLSYNDVVLRGSDLEILQGPHYINDRIIEFYWSYLSTTISDRILFVPPSISFWIANCPDSQSLREAVNPLNFLSRDLVVFTVNDSSDFTQAEGGAHWSLLVYERANNVVVHHDSLTPMNRSQARRFFKAVKGFLGDGSEEVRFIEGQTPQQTNAYDCGLYVMRIGRVVCDWFEGQCDRGIDLWFSELNEEVDTVVVANLRNAVLELILGFMKKSK >DRNTG_15025.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23775533:23777867:1 gene:DRNTG_15025 transcript:DRNTG_15025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINAQVLAEMSVPDTYIESLPKNGRSSLGDALYKSIKADMFDPEQFFSSIDLSTEHKVLDLKNRIEASTVIWKKKMHNMDGKSSWGSIVSLEKREQFEERAETILLLLKQRFPGIPQSVLDISKIQYNKDVGQAILESYSRVLESLAFTVMSRIEDVLYADSLTQEQANSGSARMSSSSYCCSTKSERTLEPLRKLDTKEEIEKLSAGGTPTTNTLSEFMGWQVDQEKAEHDEMKFKCKPPRIIVNKKLTYIEKLESLGGVRSPISRH >DRNTG_20176.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:9291651:9291998:-1 gene:DRNTG_20176 transcript:DRNTG_20176.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMRAIVQGRGFRPETGRGRRKWGGSAEEWGLA >DRNTG_02038.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000100.1:60034:62167:1 gene:DRNTG_02038 transcript:DRNTG_02038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLRLCLHDRASLEHNRARSIHKVLPLLSRKYLQPEISRGGARACSDSVWPGDTPLQALSLGHHDVGIFKGTEHDHALPVLSLNTSTFSNLHSFVEKWRRQTNVEVNLSLHAYQVMEFCQRDVTGGAVLACKMGYCNYSSAAN >DRNTG_16382.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15858627:15859869:-1 gene:DRNTG_16382 transcript:DRNTG_16382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHFHHPFMHGAQAGHSLVPTPTLTAQPVSHPMYRVAQGSLQPEECPGRCTERCSATQYKKPCMFSCEKCCAKCLCVPPGTYGNKQSCSCYNNWKTKTGGPRCP >DRNTG_06635.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16422344:16423216:1 gene:DRNTG_06635 transcript:DRNTG_06635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWKSKVLPKIKKVFGSKKAGATEPEAPAAETTSKEVAVETEEKKEEEETTPPPPPAEEIPAAPAATEEVTPAAEPPAPALAPEPAKPAETSEEPPKA >DRNTG_16316.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1543803:1546686:1 gene:DRNTG_16316 transcript:DRNTG_16316.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRIPAGEGLIGDRRAGHLVSPLPSWPQEDFFRQKK >DRNTG_16316.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1543803:1546686:1 gene:DRNTG_16316 transcript:DRNTG_16316.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRIPAGEGLIGDRRAGHLVSPLPSWPQEDFFRQKK >DRNTG_10100.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20764325:20767013:1 gene:DRNTG_10100 transcript:DRNTG_10100.10 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVNNMRFLGVPDTKKTSGGVFSLKFDVYKKRHGARKERNDEEETWQLSRFYPMIEELIQKLTKDELPKHEYPCMNDPVPTIHGFAPSSSFRTAQPQSAYSMRSRRTAATWARPRNSDDGYSSDSVLRHASSDLRKMGQRIFVFIIGGATRSELRAVHKLSVKLKREIILGSTSIDDPSQFVTKLKNLNSDELSLDDLQI >DRNTG_10100.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20764325:20767278:1 gene:DRNTG_10100 transcript:DRNTG_10100.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVNNMRFLGVPDTKKTSGGVFSLKFDVYKKRHGARKERNDEEETWQLSRFYPMIEELIQKLTKDELPKHEYPCMNDPVPTIHGFAPSSSFRTAQPQSAYSMRSRRTAATWARPRNSDDGYSSDSVLRHASSDLRKMGQRIFVFIIGGATRSELRAVHKLSVKLKREIILGSTSIDDPSQFVTKLKNLNSDELSLDDLQI >DRNTG_10100.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20757401:20767013:1 gene:DRNTG_10100 transcript:DRNTG_10100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDSSHSSGDQKTFRQITRDRLLYEMLRSTRVKDSKSTWKVLVMDKLTVKIMSYSCKMADMTEEGVSLVEDLYKRRQPMPSMDAIYFIQPSKENVAMFLSDMSGKRPLYRKAYVFFSSPVSKDLVAHVKKDSVVLPRIGALSEMNLEYFAIDSQGFITDHENALEVLFGENADSSHKSNYCVNTMAIRIATAFASLREFPYVHYRAAKSSLDASTLTTVRDLAPTKLAAAVWNCLAKYKATIPGFPQKETCKLLIVDRSIDQIAPVIHEWTYDAMCHDLLNLDGNKYVYEVPGNNGSEPEKKEVLLEDHDPIWLELRHAHIAEASEKLHEKMTNFITKNKAAQIHHHSRDGGELSTRDLQKMVRALPQYSEQIEKLSLHVEIAGKLNRLIRDFELRELGQLEQDLVFGDAGSKEVMNFLKTKQDISSENKLRLLMVYAAIYPEKLAGEKVAKMMQLARLSRDEMDAVNNMRFLGVPDTKKTSGGVFSLKFDVYKKRHGARKERNDEEETWQLSRFYPMIEELIQKLTKDELPKHEYPCMNDPVPTIHGFAPSSSFRTAQPQSAYSMRSRRTAATWARPRNSDDGYSSDSVLRHASSDLRKMGQRIFVFIIGGATRSELRAVHKLSVKLKREIILGSTSIDDPSQFVTKLKNLNSDELSLDDLQI >DRNTG_10100.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20764325:20767278:1 gene:DRNTG_10100 transcript:DRNTG_10100.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVNNMRFLGVPDTKKTSGGVFSLKFDVYKKRHGARKERNDEEETWQLSRFYPMIEELIQKLTKDELPKHEYPCMNDPVPTIHGFAPSSSFRTAQPQSAYSMRSRRTAATWARPRNSDDGYSSDSVLRHASSDLRKMGQRIFVFIIGGATRSELRAVHKLSVKLKREIILGSTSIDDPSQFVTKLKNLNSDELSLDDLQI >DRNTG_10100.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20757401:20767013:1 gene:DRNTG_10100 transcript:DRNTG_10100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDSSHSSGDQKTFRQITRDRLLYEMLRSTRVKDSKSTWKVLVMDKLTVKIMSYSCKMADMTEEGVSLVEDLYKRRQPMPSMDAIYFIQPSKENVAMFLSDMSGKRPLYRKAYVFFSSPVSKDLVAHVKKDSVVLPRIGALSEMNLEYFAIDSQGFITDHENALEVLFGENADSSHKSNYCVNTMAIRIATAFASLREFPYVHYRAAKSSLDASTLTTVRDLAPTKLAAAVWNCLAKYKATIPGFPQKETCKLLIVDRSIDQIAPVIHEWTYDAMCHDLLNLDGNKYVYEVPGNNGSEPEKKEVLLEDHDPIWLELRHAHIAEASEKLHEKMTNFITKNKAAQIHHHSRDGGELSTRDLQKMVRALPQYSEQIEKLSLHVEIAGKLNRLIRDFELRELGQLEQDLVFGDAGSKEVMNFLKTKQDISSENKLRLLMVYAAIYPEKLAGEKVAKMMQLARLSRDEMDAVNNMRFLGVPDTKKTSGGVFSLKFDVYKKRHGARKERNDEEETWQLSRFYPMIEELIQKLTKDELPKHEYPCMNDPVPTIHGFAPSSSFRTAQPQSAYSMRSRRTAATWARPRNSDDGYSSDSVLRHASSDLRKMGQRIFVFIIGGATRSELRAVHKLSVKLKREIILGSTSIDDPSQFVTKLKNLNSDELSLDDLQI >DRNTG_10100.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20764325:20767013:1 gene:DRNTG_10100 transcript:DRNTG_10100.11 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVNNMRFLGVPDTKKTSGGVFSLKFDVYKKRHGARKERNDEEETWQLSRFYPMIEELIQKLTKDELPKHEYPCMNDPVPTIHGFAPSSSFRTAQPQSAYSMRSRRTAATWARPRNSDDGYSSDSVLRHASSDLRKMGQRIFVFIIGGATRSELRAVHKLSVKLKREIILGSTSIDDPSQFVTKLKNLNSDELSLDDLQI >DRNTG_10100.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20757401:20767013:1 gene:DRNTG_10100 transcript:DRNTG_10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDSSHSSGDQKTFRQITRDRLLYEMLRSTRVKDSKSTWKVLVMDKLTVKIMSYSCKMADMTEEGVSLVEDLYKRRQPMPSMDAIYFIQPSKENVAMFLSDMSGKRPLYRKAYVFFSSPVSKDLVAHVKKDSVVLPRIGALSEMNLEYFAIDSQGFITDHENALEVLFGENADSSHKSNYCVNTMAIRIATAFASLREFPYVHYRAAKSSLDASTLTTVRDLAPTKLAAAVWNCLAKYKATIPGFPQKETCKLLIVDRSIDQIAPVIHEWTYDAMCHDLLNLDGNKYVYEVPGNNGSEPEKKEVLLEDHDPIWLELRHAHIAEASEKLHEKMTNFITKNKAAQIHHHSRDGGELSTRDLQKMVRALPQYSEQIEKLSLHVEIAGKLNRLIRDFELRELGQLEQDLVFGDAGSKEVMNFLKTKQDISSENKLRLLMVYAAIYPEKLAGEKVAKMMQLARLSRDEMDAVNNMRFLGVPDTKKTSGGVFSLKFDVYKKRHGARKERNDEEETWQLSRFYPMIEELIQKLTKDELPKHEYPCMNDPVPTIHGFAPSSSFRTAQPQSAYSMRSRRTAATWARPRNSDDGYSSDSVLRHASSDLRKMGQRIFVFIIGGATRSELRAVHKLSVKLKREIILGSTSIDDPSQFVTKLKNLNSDELSLDDLQI >DRNTG_10100.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20764325:20767013:1 gene:DRNTG_10100 transcript:DRNTG_10100.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVNNMRFLGVPDTKKTSGGVFSLKFDVYKKRHGARKERNDEEETWQLSRFYPMIEELIQKLTKDELPKHEYPCMNDPVPTIHGFAPSSSFRTAQPQSAYSMRSRRTAATWARPRNSDDGYSSDSVLRHASSDLRKMGQRIFVFIIGGATRSELRAVHKLSVKLKREIILGSTSIDDPSQFVTKLKNLNSDELSLDDLQI >DRNTG_10100.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20757401:20763912:1 gene:DRNTG_10100 transcript:DRNTG_10100.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDSSHSSGDQKTFRQITRDRLLYEMLRSTRVKDSKSTWKVLVMDKLTVKIMSYSCKMADMTEEGVSLVEDLYKRRQPMPSMDAIYFIQPSKENVAMFLSDMSGKRPLYRKAYVFFSSPVSKDLVAHVKKDSVVLPRIGALSEMNLEYFAIDSQGFITDHENALEVLFGENADSSHKSNYCVNTMAIRIATAFASLREFPYVHYRAAKSSLDASTLTTVRDLAPTKLAAAVWNCLAKYKATIPGFPQKETCKLLIVDRSIDQIAPVIHEWTYDAMCHDLLNLDGNKYVYEVPGNNGSEPEKKEVLLEDHDPIWLELRHAHIAEASEKLHEKMTNFITKNKAAQIHHHSRDGGELSTRDLQKMVRALPQYSEQIEKLSLHVEIAGKLNRLIRDFELRELGQLEQDLVFGDAGSKEVMNFLKTKQDISSENKLRLLMVYAAIYPEKLAGEKVAKMMQASNFSALFVDGI >DRNTG_10100.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20764325:20767278:1 gene:DRNTG_10100 transcript:DRNTG_10100.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVNNMRFLGVPDTKKTSGGVFSLKFDVYKKRHGARKERNDEEETWQLSRFYPMIEELIQKLTKDELPKHEYPCMNDPVPTIHGFAPSSSFRTAQPQSAYSMRSRRTAATWARPRNSDDGYSSDSVLRHASSDLRKMGQRIFVFIIGGATRSELRAVHKLSVKLKREIILGSTSIDDPSQFVTKLKNLNSDELSLDDLQI >DRNTG_10100.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20757401:20767013:1 gene:DRNTG_10100 transcript:DRNTG_10100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDSSHSSGDQKTFRQITRDRLLYEMLRSTRVKDSKSTWKVLVMDKLTVKIMSYSCKMADMTEEGVSLVEDLYKRRQPMPSMDAIYFIQPSKENVAMFLSDMSGKRPLYRKAYVFFSSPVSKDLVAHVKKDSVVLPRIGALSEMNLEYFAIDSQGFITDHENALEVLFGENADSSHKSNYCVNTMAIRIATAFASLREFPYVHYRAAKSSLDASTLTTVRDLAPTKLAAAVWNCLAKYKATIPGFPQKETCKLLIVDRSIDQIAPVIHEWTYDAMCHDLLNLDGNKYVYEVPGNNGSEPEKKEVLLEDHDPIWLELRHAHIAEASEKLHEKMTNFITKNKAAQIHHHSRDGGELSTRDLQKMVRALPQYSEQIEKLSLHVEIAGKLNRLIRDFELRELGQLEQDLVFGDAGSKEVMNFLKTKQDISSENKLRLLMVYAAIYPEKLAGEKVAKMMQATGQIIP >DRNTG_15129.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5654393:5656667:1 gene:DRNTG_15129 transcript:DRNTG_15129.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSMGNPGNQTSTNNDPPLEVPSNSSIEPIVNETLELGSGGLSTAGEDVARQRRTRGPTLGRIAPEDANKKRTLTILGDSVFAEKGVSSTIVKIIKNYFTGVWPTWRKIPDDVKEAMWKKFGEYFNWPLQEEPNVRRVWEKTCKERLKDTLNGERTKAMKEAGVTNIIDIKKCKGMKRHWMPTEIWDVLIDTVWSTESWQNKSKKALANRLTEKEGSITKHTSGSRSFLATQKLMEEELQRPIHYPELFERTHKRSKGSGDFVDNKSKVVSDKYQSTLSEKYGDNTSDHPEFDPEAWASSIGGKIATRTHVYGFGTMVNSKALFDATSSAAACTTNSVCGPSTSTPHMDVSLNDDRIVSLEQKLESLTDDVSQVKNAVGDISDLKNQFQIMMSFMMEKFGSNIPPPTKEDLGGKKRATKKTQ >DRNTG_15129.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5654393:5655767:1 gene:DRNTG_15129 transcript:DRNTG_15129.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSMGNPGNQTSTNNDPPLEVPSNSSIEPIVNETLELGSGGLSTAGEDVARQRRTRGPTLGRIAPEDANKKRTLTILGDSVFAEKGVSSTIVKIIKNYFTGVWPTWRKIPDDVKEAMWKKFGEYFNWPLQEEPNVRRVWEKTCKERLKDTLNGERTKAMKEAGVTNIIDIKKCKGMKRHWMPTEIWDVLIDTVWSTESWQNKSKKALANRLTEKEGSITKHTSGSRSFLATQKLM >DRNTG_15129.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5654393:5655767:1 gene:DRNTG_15129 transcript:DRNTG_15129.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAGVTNIIDIKKCKGMKRHWMPTEIWDVLIDTVWSTESWQNKSKKALANRLTEKEGSITKHTSGSRSFLATQKLM >DRNTG_15129.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5645851:5652387:1 gene:DRNTG_15129 transcript:DRNTG_15129.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDRSWMYCRKDGASFINDEFVKGVEEFISFAYSQTTIVSGDSIKCPCHRCENSMFQIADMVRKHLYYNGFMIGYTSWTSHGEHEIGQSSRYGDEHIHTMPQIEARNPYAQMMMDFISPTFNIPRYHDTGSSFMEEEPNPKASTFYSLLNDADEPLYEGCTKYSKLSALSQLLNLKSDFNMSEACFDRLMVMIKYMLPQDECLPNNFYRTKQKMKSLGMGYEKIDVCQNNCMLFYKEAAKLDHCAMCGHPRYKMRQLGKSKKGKQKQIPCKILRYLPLIPRLQRLYMSAKTAENMIWHVTNKSTDGVLRHPVDGEAWQHFDQMYPSFAQESRNVRLGLCADGFSPFGPAAKPYSIWPVMLVVYNLPPWMCMNQSYIFLNMVIPRKKSPGQNIDVFLRPLIDELKQLWNDGILTYDAFKKQNFTMRASLLWTINDFPAYGML >DRNTG_15129.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5645851:5657022:1 gene:DRNTG_15129 transcript:DRNTG_15129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKFGEYFNWPLQEEPNVRRVWEKTCKERLKDTLNGERTKAMKEAGVTNIIDIKKCKGMKRHWMPTEIWDVLIDTVWSTESWQNKSKKALANRLTEKEGSITKHTSGSRSFLATQKLMEEELQRPIHYPELFERTHKRSKGSGDFVDNKSKVVSDKYQSTLSEKYGDNTSDHPEFDPEAWASSIGGKIATRTHVYGFGTMVNSKALFDATSSAAACTTNSVCGPSTSTPHMDVSLNDDRIVSLEQKLESLTDDVSQVKNAVGDISDLKNQFQIMMSFMMEKFGSNIPPPTKEDLGGKKRATKKTQGRQWPRRGNKENQSNVHDDFLFHFVCW >DRNTG_15129.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5654393:5657022:1 gene:DRNTG_15129 transcript:DRNTG_15129.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSMGNPGNQTSTNNDPPLEVPSNSSIEPIVNETLELGSGGLSTAGEDVARQRRTRGPTLGRIAPEDANKKRTLTILGDSVFAEKGVSSTIVKIIKNYFTGVWPTWRKIPDDVKEAMWKKFGEYFNWPLQEEPNVRRVWEKTCKERLKDTLNGERTKAMKEAGVTNIIDIKKCKGMKRHWMPTEIWDVLIDTVWSTESWQNKSKKALANRLTEKEGSITKHTSGSRSFLATQKLMEEELQRPIHYPELFERTHKRSKGSGDFVDNKSKVVSDKYQSTLSEKYGDNTSDHPEFDPEAWASSIGGKIATRTHVYGFGTMVNSKALFDATSSAAACTTNSVCGPSTSTPHMDVSLNDDRIVSLEQKLESLTDDVSQVKNAVGDISDLKNQFQIMMSFMMEKFGSNIPPPTKEDLGGKKRATKKTQDNGQEEGTKKIKVMFMMTSYFTLFVGDKCIDGLENC >DRNTG_15129.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5655880:5657022:1 gene:DRNTG_15129 transcript:DRNTG_15129.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSKALFDATSSAAACTTNSVCGPSTSTPHMDVSLNDDRIVSLEQKLESLTDDVSQVKNAVGDISDLKNQFQIMMSFMMEKFGSNIPPPTKEDLGGKKRATKKTQGRQWPRRGNKENQSNVHDDFLFHFVCW >DRNTG_13132.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000593.1:1222:2221:-1 gene:DRNTG_13132 transcript:DRNTG_13132.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNTPIIKQGNSNARHSRHSAEDENKMPDIYIYIFIYLFIFC >DRNTG_13132.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000593.1:1538:3159:-1 gene:DRNTG_13132 transcript:DRNTG_13132.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEPQNTTYSHVDQREHNTTRINSCNANITSNTRLQLSIKTRFS >DRNTG_05372.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13619138:13623325:-1 gene:DRNTG_05372 transcript:DRNTG_05372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTRAVKGLDSGWPPDSSKVARRRPLRTSREPQAWRRRQTRD >DRNTG_26747.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7937244:7939840:1 gene:DRNTG_26747 transcript:DRNTG_26747.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIVELLIPQLQFLDAEGAQAELWELSRIFIETLIEETGLEKVKAIFPDAGAAALLEYQWKDAAFGFSSLSDRKPVQDEDEAVVMVVPDYQMLEYVERIASQLSDDPPRPLIMWNPRLVSEDVGVGFNVRKLRRYFLR >DRNTG_21299.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27391087:27396736:1 gene:DRNTG_21299 transcript:DRNTG_21299.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLTRAFSGLRSIRASSSFAKDARQFSSHLRASSILLFAGVFLVSCIGACDRDMCSRFSPLTYPVPSFVWRASMASSSETVSTSTTQVMAHNAEPVVSADWLHVNLRQPNVKVLDASWYMPGEQRNPFQEYQVAHIPGALFFDVDGISDRTSNLPHMLPSEEAFAAAVSALGIENDDGVVVYDGKGIFSAARVWWMFRVFGHERVCVLDGGLPQWRASGYDVESSASGDAVLKASAASEVIEKIYRGQSVSPITFKAKLQPHLIWTLEQVKQNIDAQTHQHIDARSKPRFDGAAPEPRKGIRSGHVPGSKCIPFDQMLDGSQMLLSSSELVKRFEQEGISLDRPFVTSCGTGVTACILSLGLHRLGKTDVPVYDGSWTEWGAHPETPVATAETS >DRNTG_21299.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27391087:27396736:1 gene:DRNTG_21299 transcript:DRNTG_21299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLTRAFSGLRSIRASSSFAKDARQFSSHLRCIGACDRDMCSRFSPLTYPVPSFVWRASMASSSETVSTSTTQVMAHNAEPVVSADWLHVNLRQPNVKVLDASWYMPGEQRNPFQEYQVAHIPGALFFDVDGISDRTSNLPHMLPSEEAFAAAVSALGIENDDGVVVYDGKGIFSAARVWWMFRVFGHERVCVLDGGLPQWRASGYDVESSASGDAVLKASAASEVIEKIYRGQSVSPITFKAKLQPHLIWTLEQVKQNIDAQTHQHIDARSKPRFDGAAPEPRKGIRSGHVPGSKCIPFDQMLDGSQMLLSSSELVKRFEQEGISLDRPFVTSCGTGVTACILSLGLHRLGKTDVPVYDGSWTEWGAHPETPVATAETS >DRNTG_03235.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16213665:16219570:1 gene:DRNTG_03235 transcript:DRNTG_03235.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWKRGHFQGRVKGLVDSSVTSISTIFHHPNIHLPTPAVTQLSIPTVDLSLARPIALDLIRSACQDWGFFQVIKHDIPLSTIDNTISAVRSFHELPSMSTYNSLSYFNFISYSSAAFIHTNKYYTRAILGSFSYFSNHDLLYSEAASWRDTLYQLFGPVRPELDRIPEVCRSELVKWDESVKEVARCWVSTHLGKKK >DRNTG_03202.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12220988:12222395:1 gene:DRNTG_03202 transcript:DRNTG_03202.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMSSSLTMINKNTSIILHARGTIKTRWTCGIYSTFVSIWSSASRRPRSTLVHGMETPRQKQGSVDCAVYVMRFIEQLLWGEKLRLPQTDVPYLRLKYVSRILKEGRAAGIHEKGGSSKAG >DRNTG_14176.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22882203:22890074:-1 gene:DRNTG_14176 transcript:DRNTG_14176.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKPSTAEHEKTMKSKKGRVTRSSVSSKSSRKDSLKWGNALKADDDPFALFAGGEEGGFLSLEEIDESEYGFIGGATELVGDEFERPVSRKLRKRKRKSVDEDLGGEGGEERKEEDGKSKKKRKKKKQKKKESSSKGSEESKEGLVEGTVQDIVPVVLDAPMDNDVKEDTKDDLLLAEDEFYAWKELRLHPLLMKSIHHLRFKEPTPIQKACIPAAAHQGKDVIGAAETGSGKTLAFGLPILQRLLEEREKAARFIEGEVKAAEEVIRGGPLRALIVTPTRELALQVSDHLKSAARFTHFQVLPIVGGMSTEKQERLLKRRPEIIVGTPGRLWELMSRGDVHLVELHSLSFFVLDEADRMIEHGHFHELQSIIDMLPTSSTSADSSSLCKTIPSVQRKKRQTFVFSATISLSDNFRKKLKRGLTAPKTATTGGLSSIETLSERAGMRADAAIVDLTNASIMADKLEESFIECNEDDKEAYLYYILSVHGHGRTIVFCTSIAALRRISSIMNILGIKAWSLHAQMQQRARLKAMDRFRGNENAVLIATDVAARGLDFPGIRTVVHYQLPHSAEVYIHRSGRTARASADGCSIALISPNDKTKFSTLCRSLSKESLKCFPVDNSYMPEVMKRLSLARQIDKISRNNSQGWI >DRNTG_27816.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27287030:27288483:-1 gene:DRNTG_27816 transcript:DRNTG_27816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLSQSKLLCPSEGRSVSLSRTRRSPSLAALHLAPSPSFVSLRFSSSFSSSVGNGGLVLGDWSRRRPVERNAIDGVHAKMSLRIGKSVRWWEKGLQPNMKEVQSAQDLVDSLLNADNKLVIVDFYSPGCGGCKALHPKICQFAELNPDVLFLQVNYEEHKSMCYSLNVHVLPFFRFYRGAHGRLCSFSCTNATIKKFKDALGKHNTERSSLGPAKGLEESELLALAGNKDLSFNYNKKQMLVPAPDDVAEATPICPMFSPTRVLKGSEDKVLSKL >DRNTG_27816.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27287030:27288483:-1 gene:DRNTG_27816 transcript:DRNTG_27816.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLSQSKLLCPSEGRSVSLSRTRRSPSLAALHLAPSPSFVSLRFSSSFSSSVGNGGLVLGDWSRRRPVERNAIDGVHAKMSLRIGKSVRWWEKGLQPNMKEVQSAQDLVDSLLNADNKLVIVDFYSPGCGGCKALHPKICQFAELNPDVLFLQVNYEEHKSMCYSLNVHVLPFFRFYRGAHGRLCSFSCTNATVRALIYLPTEFLVFYKSYYLFFF >DRNTG_34827.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16845350:16846357:-1 gene:DRNTG_34827 transcript:DRNTG_34827.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLILLLSLSFQSQMPSILSTTFTLTNNCAQTIWPGILANAGSTPPASTGFALNPSTSRSIVTPAPWSGRFWARTLCSTDQSGRFTCASGDCGSGTVECSGGGAAPPTTLAEFTLAGGDGTMDFYDVSLVDGYNIAMLVEPNGS >DRNTG_34827.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16844619:16846247:-1 gene:DRNTG_34827 transcript:DRNTG_34827.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLILLLSLSFQSQMPSILSTTFTLTNNCAQTIWPGILANAGSTPPASTGFALNPSTSRSIVTPAPWSGRFWARTLCSTDQSGRFTCASGDCGSGTVECSGGGAAPPTTLAEFTLAGGDGTMDFYDVSLVDGYNIAMLVEPNGSSASACAVTGCVAELNGACPVELKVGAGIACKSACEAFGTDEYCCNGVYGNPNACKPTVYSEFFKRACPRAYSYAYDDATSTFTCSSADSYVITFCPGLTTRVKSEGRESSGIRPLINDSMVFLGVRTSSAVAKDVTGMVIVGFGYLLVWVCYDR >DRNTG_34827.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16844619:16846247:-1 gene:DRNTG_34827 transcript:DRNTG_34827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLILLLSLSFQSQMPSILSTTFTLTNNCAQTIWPGILANAGSTPPASTGFALNPSTSRSIVTPAPWSGRFWARTLCSTDQSGRFTCASGDCGSGTVECSGGGAAPPTTLAEFTLAGGDGTMDFYDVSLVDGYNIAMLVEPNGSSASACAVTGCVAELNGACPVELKVGAGIACKSACEAFGTDEYCCNGVYGNPNACKPTVYSEFFKRACPRAYSYAYDDATSTFTCSSADSYVITFCPGLTTR >DRNTG_34827.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16845350:16846247:-1 gene:DRNTG_34827 transcript:DRNTG_34827.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLILLLSLSFQSQMPSILSTTFTLTNNCAQTIWPGILANAGSTPPASTGFALNPSTSRSIVTPAPWSGRFWARTLCSTDQSGRFTCASGDCGSGTVECSGGGAAPPTTLAEFTLAGGDGTMDFYDVSLVDGYNIAMLVEPNGS >DRNTG_15390.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15174164:15194212:-1 gene:DRNTG_15390 transcript:DRNTG_15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCGSRHAMNLLASLSNLSGIRVYAAVEAKVYKNCKPQAKIYMQTPVPHYDKMVILYGKDRAIGNHSISASQLRKHPSFIATEDFIDVDPTMSQFLGQNAQHFVDDDVDMVPPSPFEHVSPSSHGASGGNKKSKKSVDKEKEVDEGMKNAIEKVVDAIKNFGQLIAENIKSTSEIIAKKAPISPTETYQILLDLGFPPPMLHNIYTKLVMNVDLLNAILGCPVEHRKEFILCGMLGDLNNFTM >DRNTG_31056.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30652943:30658415:1 gene:DRNTG_31056 transcript:DRNTG_31056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPNERTDLRRNKYKVAVDAEDGRRRREENMVEIRKSRREESLQKKRREGMQPLAAAAHAIAFEKKLESLPSMLTGIWSEDSMLQLESTMQFRKLLSIERCPPIDEVIQSGVVPRIVQFLMREEFPQIQFEAAWVLTNIASGTSENTKVVIDHGAVPILVKLLGSHNDDMREQAAWALGNVAGDSPTYRDLVLQCGALSPLLVQLNERAKLSMVRNATWTLSNLCRGKPPPPYEQTKPALPTLACLIYLNDDEILADTCWSLSYLCDGTSDKVQAVIDAGVCPRLVELLTHPLPTVVIPALRTVGNIVTGDDIQTQHIISQNALPCLLNLLIRDHKKSIKKEACWTISNITAGNKDQIQAVIAADLIPPLVHLIKNAEFDIKKEAAWAISNASLGGTCDQIMFLVNEGCIKPLCDLLVCPDPKCVMVCMEGLESILKAGEAEKNMATADVNPYAQMIDDAEGLDKIENLQNHDNNEIYERAVKILETYWSQEEDTAAILPNGLPNGLQNGIPPGGFNFNL >DRNTG_13136.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2875054:2878106:-1 gene:DRNTG_13136 transcript:DRNTG_13136.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transglutaminase [Source:Projected from Arabidopsis thaliana (AT5G49570) UniProtKB/TrEMBL;Acc:A5PHD1] MVARRFLVSYKDDDFAVDYDTDDGFEVLKFQIFSLTSVPPDDQKIVTEDDDRAISESSDLGIISEKLRVVSLVGEGEGSSRLAEGFEKSDEELARMLQAEEEALLFQEFRANENKEEFEGRIRPYVSQVLLYEDPGRQEAARKSVPVDEIEEKALVALAKEGNFKPLKAEVDHYFLLQLLFWFKQSFRWVNSPPCDNCGSGTNDNGMGTALPSEAKYGGFRVELYK >DRNTG_13136.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2868827:2878106:-1 gene:DRNTG_13136 transcript:DRNTG_13136.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transglutaminase [Source:Projected from Arabidopsis thaliana (AT5G49570) UniProtKB/TrEMBL;Acc:A5PHD1] MVARRFLVSYKDDDFAVDYDTDDGFEVLKFQIFSLTSVPPDDQKIVTEDDDRAISESSDLGIISEKLRVVSLVGEGEGSSRLAEGFEKSDEELARMLQAEEEALLFQEFRANENKEEFEGRIRPYVSQVLLYEDPGRQEAARKSVPVDEIEEKALVALAKEGNFKPLKAEVDHYFLLQLLFWFKQSFRWVNSPPCDNCGSGTNDNGMGTALPSEAKYGGFRVELYKCNCCLTITRFPRYNDPLKLLETRRGRCGEWANCFTLYCRAFGYESRLILDFTDHVWTECFSNFLGRWMHLDPCEAVCDNPLLYEKGWKKSLDYVIAISKNGVYDVTKRYTRKWHEVLSRRNLTTEDVASAVLSSITNECRSRYSSEELRALENRDCKEAEELEKEVHLLVDASVSLPGRRSGASEWRMARSELGTTDSLSSSACPVRLCVDSHVKSIYNALSLFICHAIDNELSKAESLQILKILKGMLENLRASPFKSRKSFLNLKGMQSTKKMMPWIKELLSAISLKGELDDTDQVRVLLACDPVQTSISLPVASDAVDEIIDILNGVTEMKKSTFQFPKLNRLFSGSVLASGEELPFGIATSAFDGIRTSKWEEPNGAKGCWLLYQLDNDQMHDLDSYDLTSANDAPERDPMNWIVEASKDKGQSWDILDEQNSQIFDKRFQRKSFQVQSVHKYNLFRFRFLAVRDPEATSRFQIGSIDLYARAS >DRNTG_02176.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1426231:1426851:-1 gene:DRNTG_02176 transcript:DRNTG_02176.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRVTVNEPKEADNENKYVQRAQWLRAAVLGANDGLVSTASLMMGVGAVKHDAKAMMISGFAGLVGGAFSMAIGEFVSVYSQLDIELANLKRVQGMIREEEEMERRDEEVVVLPNPVQAAVASAVSFGVGAMVPLIGAGFIRRNEVRMVVVVVVVTVALLVFGTVGAVLGRGPVVKGGLRVLIGGWLAMAATFGLMKLFGTAGL >DRNTG_22178.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:913195:920048:-1 gene:DRNTG_22178 transcript:DRNTG_22178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHASVGSSISMSDADADFSDDKELEWPFGIIDSLRRSDLRETAYELFFMSCRSSPGFGGRSPLSFYPSSTSQDGGAATGDFSGSLLGPKGGTGMMVVTSRIKKSLGLKRSRASMMRPMSIGGGVVGGSGGGGGGGGGGSGANSPKVKRPMTSAEIMRQQMKVTEQSDHRLRKTLMRTLVGQTGRRAETIILPLELLRQLKPSEFSDAQEYHSWQRRQLKILEAGLLLHPSIPLDRLNSTAVRLREVFRSSELKPIDTGKNSDQMRLLCNGVLNLAWRSANGAPSEVCHWVDGFPINVHLYLALLQSIFDLRDETIVLDEVDELVELMKRTWSTLGLNRMIHNVCFTWVLFQQYVLTGQVEPDLMTATLAMLTDVANDAKRTEREPGYAKVLSTTLASMQTWAEKRLLDYHDNFDRTTVANLDNILALALTTSRIINNEDVSGIANSVFERDTAVDRYIRSSMRNAFTRVQSGNGVDSMVVEVDEEPSEIIVQLAKDTEELALVEKDTFSPALKRWHPVPTALAVGTLHNCFGVILKQYLARVTSLTNELVRVLQSAAKLEKVLVQMVVEDSADCEDGGKGVVREMVPYEVDSVIMTLLKSWMDERMRIGKEFLARAKETESWIPKSKTEPFAQSAVDLMRLAKVTVDEFYEIPVSSREDLVMELADGLETLFQDYTAFRCFLWIKAKLHPSTSSTNKMQPRFKIHQTLEEGNSMQQLNSQQTSQHGQWPH >DRNTG_31029.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30500232:30502808:-1 gene:DRNTG_31029 transcript:DRNTG_31029.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 28 [Source:Projected from Arabidopsis thaliana (AT3G52860) UniProtKB/Swiss-Prot;Acc:Q9LFA5] MAEQQHGTASQPPSPAPLTQQSQQRQQRDEMMACVAALEAALLPCLPARELQAVDRSVHSSHQIDVERHARDFMEAAKKLQLYFIGLQHEDQPSKEEMLRKEISLVEEELKIKGELITKHEKLIQGWRKELKDQLEKHTNELERV >DRNTG_25315.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20345990:20346466:-1 gene:DRNTG_25315 transcript:DRNTG_25315.8 gene_biotype:protein_coding transcript_biotype:protein_coding PKTKYNKRKTDPQEVGGPATQQTQQKTTKRGSPDKRRGNPKKKTTTLHISRVRTILRRNMVLHLPSRNELQAPLDLLNWFFQLCSFKVRSCIQPYEKHMNNLHNKRSKRST >DRNTG_25315.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20345941:20346466:-1 gene:DRNTG_25315 transcript:DRNTG_25315.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFRSIQIFQVMALAKRSQKKDNGLWKLFRQFPHRSRMDEGPDRTSNNCPKKFQTCEAT >DRNTG_25315.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20345789:20346511:-1 gene:DRNTG_25315 transcript:DRNTG_25315.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFRSIQIFQVMALAKRSQKKDNGLWKLFRQFPHRSRMDEGPDRTSNNCPKKFQTCEATGH >DRNTG_25315.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20345722:20346511:-1 gene:DRNTG_25315 transcript:DRNTG_25315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFRSIQIFQVMALAKRSQKKDNGLWKLFRQFPHRSRMDEGPDRTSNNCPKKFQTCEATGH >DRNTG_25315.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20345722:20346466:-1 gene:DRNTG_25315 transcript:DRNTG_25315.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFRSIQIFQVMALAKRSQKKDNGLWKLFRQFPHRSRMDEGPDRTSNNCPKKFQTCEATGH >DRNTG_25315.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20345941:20346511:-1 gene:DRNTG_25315 transcript:DRNTG_25315.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFRSIQIFQVMALAKRSQKKDNGLWKLFRQFPHRSRMDEGPDRTSNNCPKKFQTCEAT >DRNTG_25315.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20345789:20346466:-1 gene:DRNTG_25315 transcript:DRNTG_25315.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFRSIQIFQVMALAKRSQKKDNGLWKLFRQFPHRSRMDEGPDRTSNNCPKKFQTCEATGH >DRNTG_25315.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20345990:20346511:-1 gene:DRNTG_25315 transcript:DRNTG_25315.7 gene_biotype:protein_coding transcript_biotype:protein_coding TTFIKKTPKTKYNKRKTDPQEVGGPATQQTQQKTTKRGSPDKRRGNPKKKTTTLHISRVRTILRRNMVLHLPSRNELQAPLDLLNWFFQLCSFKVRSCIQPYEKHMNNLHNKRSKRST >DRNTG_29486.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12065884:12066456:-1 gene:DRNTG_29486 transcript:DRNTG_29486.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIISLWRRMVHSDGHEAGGGTIVKDNVVIGDPSKVAIGKRNQGGRRTGGRVTGNVVVR >DRNTG_29486.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12065884:12066405:-1 gene:DRNTG_29486 transcript:DRNTG_29486.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSDGHEAGGGTIVKDNVVIGDPSKVAIGKRNQGGRRTGGRVTGNVVVR >DRNTG_29486.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12065884:12066340:-1 gene:DRNTG_29486 transcript:DRNTG_29486.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSDGHEAGGGTIVKDNVVIGDPSKVAIGKRNQGGRRTGGRVTGNVVVR >DRNTG_29486.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12065884:12066405:-1 gene:DRNTG_29486 transcript:DRNTG_29486.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIISLWRRMVHSDGHEAGGGTIVKDNVVIGDPSKVAIGKRNQGGRRTGGRVTGNVVVR >DRNTG_29486.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12065884:12066340:-1 gene:DRNTG_29486 transcript:DRNTG_29486.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIISLWRRMVHSDGHEAGGGTIVKDNVVIGDPSKVAIGKRNQGGRRTGGRVTGNVVVR >DRNTG_29486.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12065884:12066575:-1 gene:DRNTG_29486 transcript:DRNTG_29486.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIISLWRRMVHSDGHEAGGGTIVKDNVVIGDPSKVAIGKRNQGGRRTGGRVTGNVVVR >DRNTG_29486.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12065884:12066575:-1 gene:DRNTG_29486 transcript:DRNTG_29486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSDGHEAGGGTIVKDNVVIGDPSKVAIGKRNQGGRRTGGRVTGNVVVR >DRNTG_08230.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8263490:8266614:-1 gene:DRNTG_08230 transcript:DRNTG_08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRPDDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVCALLVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVSTEDAKAFAERENTFFMETSALESMNVENAFTEVLTQIYHVVSRKALEIGDDPAALPKGQTINVGNKDDVSAVKKRLVAAQCNSTCKPQDLTISGKKGSNCFMMDSPSCNSNY >DRNTG_08230.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8263777:8266661:-1 gene:DRNTG_08230 transcript:DRNTG_08230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRPDDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVCALLVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVSTEDAKAFAERENTFFMETSALESMNVENAFTEVLTQIYHVVSRKALEIGDDPAALPKGQTINVGNKDDVSAV >DRNTG_08230.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8263777:8266614:-1 gene:DRNTG_08230 transcript:DRNTG_08230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRPDDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVCALLVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVSTEDAKAFAERENTFFMETSALESMNVENAFTEVLTQIYHVVSRKALEIGDDPAALPKGQTINVGNKDDVSAV >DRNTG_04237.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:16088049:16128713:1 gene:DRNTG_04237 transcript:DRNTG_04237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNTIKEIECKSNESIKKPPRWSCRWSCGESSTRRPKIPSSGIGYASIEAPLPTFFLMESRCRSHRTSPKRWPIPLETLAEVLSQVGEKMEK >DRNTG_21843.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6097872:6101983:1 gene:DRNTG_21843 transcript:DRNTG_21843.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSLKIGDGTARFRRATLCSSALHLLMLASVLATNLFAFYAFTSTPLPASQPSKNLSLISEHVALILREIDASERRLHQIQRELSGYDSLDPLNPSFPSDLRLFLSRHPLPLGKDSKSGITQMISSVSHSCSRSTDLLSSFSSYKPWSKCPNDSLLVTKLIVRGCDPLPRRRCLTRPSTSLPAPTPIAHQIWLKLRGKNDFLIDDVLKMGKGAIRTGLDISGGVGDFAVRMAERNVTIVTTSSDSDSGAMMASRGVFPLLMSTAGRFPFYDSVFDLVHTTKGFDEGAVDKTKSEGMEFLMFDIDRVLRTGGLILVG >DRNTG_21843.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6097872:6099450:1 gene:DRNTG_21843 transcript:DRNTG_21843.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSLKIGDGTARFRRATLCSSALHLLMLASVLATNLFAFYAFTSTPLPASQPSKNLSLISEHVALILREIDASERRLHQIQRELSGYDSLDPLNPSFPSDLRLFLSRHPLPLGKDSKSGITQMISSVSHSCSRSTDLLSSFSSYKPWSKCPNDSLLVTKLIVRGCDPLPRRRCLTRPSTSLPAPTPIAHQIWLKLRGKNDFLIDDVLKMGKGAIRTGLDISGGVGDFAVRMAERNVTIVTTSSDSDSGAMMASRGVFPLLMSTAGRFPFYDSVFDLVHTTKGFDEGAVDKTKSEGMEFLMFDIDRVLRTGGLILVG >DRNTG_21843.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6097872:6101983:1 gene:DRNTG_21843 transcript:DRNTG_21843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSLKIGDGTARFRRATLCSSALHLLMLASVLATNLFAFYAFTSTPLPASQPSKNLSLISEHVALILREIDASERRLHQIQRELSGYDSLDPLNPSFPSDLRLFLSRHPLPLGKDSKSGITQMISSVSHSCSRSTDLLSSFSSYKPWSKCPNDSLLVTKLIVRGCDPLPRRRCLTRPSTSLPAPTPIAHQIWLKLRGKNDFLIDDVLKMGKGAIRTGLDISGGVGDFAVRMAERNVTIVTTSSDSDSGAMMASRGVFPLLMSTAGRFPFYDSVFDLVHTTKGFDEGAVDKTKSEGMEFLMFDIDRVLRTGGLILVG >DRNTG_11884.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15170104:15171123:-1 gene:DRNTG_11884 transcript:DRNTG_11884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGVRFIDDKEAFPTGRARCPGKFLGVINSHPGNSHKTRSFVFEDEITPSLPNEPNSSSNHRGIEIG >DRNTG_11884.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15170253:15171123:-1 gene:DRNTG_11884 transcript:DRNTG_11884.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGVRFIDDKEAFPTGRARCPGKFLGVINSHPGNSHKTRSFVFEDEITPSLPNEPNSSSNHRGIEIG >DRNTG_07913.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2575345:2586461:-1 gene:DRNTG_07913 transcript:DRNTG_07913.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVEYEGWMVRYGRRKIGRSFIHMRYFVLETRLLAYYKRKPQDNVVPIKTLLIDGNCRVEDRGLKTHHGHMVYVLCVYNKKEKYHRITMAAFNIQEALMWKEKIEFVIDQHQGSMSVNGNKIYTPIDYKSSMENGRNASSSDRDSQCSPEDEEESHHTLARRKTIGNGPPESLLDWTREVDSGLSNQNNSDQVFSRKHWRLVRCQNGLRIFEELLEVDYLPRSCSRAMKAVGVVDATCESIFELVMSMDGTRFEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFPMVVWPRDLCYVRYWRRNDDGSYVVLFQSREHPNCHPQPGFVRAHVESGGFNISPLKPRNGRPRAQVQHLMQIDLKGWGVGYFPSFQQHCLLQMLNSVAGLREWFAQTDDMRKVPRIPMMVNMTSESVSSKKDHRTQDNSMRAGPSLDQMHATSRHSTMLDEESEEDEDFQIPEPEQEAYSAKLENDVKRTVAEDEPSDQIDFSCFSGNLRRDDRDTSRDCWRISDGNNFRVRSKHFSYDKTKIPAGKHLMELVAVDWFKDVKRMDHVARRQGCAVKVASEKGLFSLAINVQVPGSTHYSMVFYFVTKQLVPGSLLQRFVDGDDEFRNSRFKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCTYIRGPKYLEIDVDIGSSTVANGVLGLVCGVITTLVVDMAFLVQANTFDELPERLIGAVRVSHVELSSAVVPVLEPSPSADSMG >DRNTG_15353.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26683794:26685422:-1 gene:DRNTG_15353 transcript:DRNTG_15353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECGNTVRHLSVMMANDISSFGSIRVSGMLRSLLLAARYPINLNVMTEMFLRLRVTYLRVLDLSSTGIDELPDSIGGLKHLRYLSLTATSIRRLPPSLCSLYNLDIFKSAFANISRMYFSSQIDETPTLPASIGRLTSLQTLPQFMVTEDSGCARLAELKDLNQLDGLLCIVNLQLLRRSRVEPLQQLPVPPTSQPTPISEATASFLYEAF >DRNTG_27805.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27221093:27226214:1 gene:DRNTG_27805 transcript:DRNTG_27805.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHERPLLDPSPRPPATAEPAPPVASSFRFPSSRRSARSRASTDHISFAIDPASPKPSSAVRYGSRAESDRSGASQKEISDDDARLVFINDPEKTNERLDFAGNAIRTGKYSVLTFLPRNLFEQFHRVAYLYFLAIAILNQLPQLAVFGRGASILPLAFVLLVTAVKDAYEDFRRHRSDRIENSRLALALDNLSFVHKCWKDLRVGEVIKISANDTIPCDMVLLSTSDPTGVAYLQTINLDGESNLKTRYAKQETLSKTPDNGIPSISGVIRCEKPNRNIYGFQANMEIDGKRISLGPSNIILRGCELKNTSWAIGVVVYAGRETKVMLNSSGAPSKRSRLESHMNRETILLSFLLIILCSIVTFLAGFWLGHHKKELNSSPYFRKSNFGRDGDNYNYYGIGMEIFLTFLKSVIVFQIMIPISLYISMELVRLGQAYFMIRDSNLYDEASNSRFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASIRGVDYSGEKVTVGEYSIKVGDQVWRPKMNIKTDPELLGLLRGGRESERRKYACDFLLALAACNTIVPLVVDTTDPKLKLVDYQGESPDEQALVYAAASYGFVLVERTSGHIVIDVLGDRQRQAFYF >DRNTG_27805.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27216135:27226214:1 gene:DRNTG_27805 transcript:DRNTG_27805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIIGCPDKTVKLFVKGADSSMFGVIDKSRNMDVVRATESHLHSYSSIGLRTLVVGMRELNSSEFEEWQSAYEKASTALFGRASLLRTVAANIETNLQLLGASGIEDKLQDGVPEAIESLRQANIKVWVLTGDKQETAISIGYSCKLLTNEMTQIIINSHSKESCRKSLLDAFTMSTKISAMASNAQNSVGDAGSNKVPLALIIDGTSLVYILETELEEELFKVATICDVVLCCRVAPLQKAGIVALIKNRTDDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMAYMILYNFYRNAVFVFTLFWYVLYTAFSLTTAVTEWSSVLYSVIYTALPTIIIGILDKDLSRKTLMKYPQLYGAGQREERYNLWMFIFTMLDTIWQSAAIFFIPLLAYRHSTVDISGIGDLWTLAVVILVNLHLGMDVFRWNWLTHVSIWGCIVATAICVIIIDCIPILPGYWAIFEMMKQGLFWFCLLGIIIAALIPRFVVKAFMEYFKPSDIQVAREMEKYGSLNEVAANTVREIPMTSFANANQRIP >DRNTG_27805.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27221180:27226214:1 gene:DRNTG_27805 transcript:DRNTG_27805.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIIGCPDKTVKLFVKGADSSMFGVIDKSRNMDVVRATESHLHSYSSIGLRTLVVGMRELNSSEFEEWQSAYEKASTALFGRASLLRTVAANIETNLQLLGASGIEDKLQDGVPEAIESLRQANIKVWVLTGDKQETAISIGYSCKLLTNEMTQIIINSHSKESCRKSLLDAFTMSTKISAMASNAQNSVGDAGSNKVPLALIIDGTSLVYILETELEEELFKVATICDVVLCCRVAPLQKAGIVALIKNRTDDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMAYMILYNFYRNAVFVFTLFWYVLYTAFSLTTAVTEWSSVLYSVIYTALPTIIIGILDKDLSRKTLMKYPQLYGAGQREERYNLWMFIFTMLDTIWQSAAIFFIPLLAYRHSTVDISGIGDLWTLAVVILVNLHLGMDVFRWNWLTHVSIWGCIVATAICVIIIDCIPILPGYWAIFEMMKQGLFWFCLLGIIIAALIPRFVVKAFMEYFKPSDIQVAREMEKYGSLNEVAANTVREIPMTSFANANQRIP >DRNTG_27805.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27221450:27226214:1 gene:DRNTG_27805 transcript:DRNTG_27805.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAHERPLLDPSPRPPATAEPAPPVASSFRFPSSRRSARSRASTDHISFAIDPASPKPSSAVRYGSRAESDRSGASQKEISDDDARLVFINDPEKTNERLDFAGNAIRTGKYSVLTFLPRNLFEQFHRVAYLYFLAIAILNQLPQLAVFGRGASILPLAFVLLVTAVKDAYEDFRRHRSDRIENSRLALALDNLSFVHKCWKDLRVGEVIKISANDTIPCDMVLLSTSDPTGVAYLQTINLDGESNLKTRYAKQETLSKTPDNGIPSISGVIRCEKPNRNIYGFQANMEIDGKRISLGPSNIILRGCELKNTSWAIGVVVYAGRETKVMLNSSGAPSKRSRLESHMNRETILLSFLLIILCSIVTFLAGFWLGHHKKELNSSPYFRKSNFGRDGDNYNYYGIGMEIFLTFLKSVIVFQIMIPISLYISMELVRLGQAYFMIRDSNLYDEASNSRFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASIRGVDYSGEKVTVGEYSIKVGDQVWRPKMNIKTDPELLGLLRGGRESERRKYACDFLLALAACNTIVPLVVDTTDPKLKLVDYQGESPDEQALVYAAASYGFVLVERTSGHIVIDVLGDRQRFDVLGLHEFDSDRKRMSVIIGCPDKTVKLFVKGADSSMFGVIDKSRNMDVVRATESHLHSYSSIGLRTLVVGMRELNSSEFEEWQSAYEKASTALFGRASLLRTVAANIETNLQLLGASGIEDKLQDGVPEAIESLRQANIKVWVLTGDKQETAISIGYSCKLLTNEMTQIIINSHSKESCRKSLLDAFTMSTKISAMASNAQNSVGDAGSNKVPLALIIDGTSLVYILETELEEELFKVATICDVVLCCRVAPLQKAGIVALIKNRTDDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMAYMILYNFYRNAVFVFTLFWYVLYTAFSLTTAVTEWSSVLYSVIYTALPTIIIGILDKDLSRKTLMKYPQLYGAGQREERYNLWMFIFTMLDTIWQSAAIFFIPLLAYRHSTVDISGIGDLWTLAVVILVNLHLGMDVFRWNWLTHVSIWGCIVATAICVIIIDCIPILPGYWAIFEMMKQGLFWFCLLGIIIAALIPRFVVKAFMEYFKPSDIQVAREMEKYGSLNEVAANTVREIPMTSFANANQRIP >DRNTG_09334.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:8620474:8620850:-1 gene:DRNTG_09334 transcript:DRNTG_09334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSGPSQQPQRRHWRESPMQTPTKDFGGSTW >DRNTG_07922.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6650138:6650982:-1 gene:DRNTG_07922 transcript:DRNTG_07922.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPTNLYFVFIHVTLSLFLFMHGVHCDLTSILNSFLSSKNQEGITCEFLDIFECAFAVSSSGNRCVLEKKLLEYTNIDDKLYCTTSNVKTDKVKDHIETDKCMTACGLDRNTIGISSDSFSELNVIRKLCSVPCYHGCPNIIDLYFNLAIGEGLFLPWVCKMSQISTLEAGEYGPAPSPSIGYGQSSIF >DRNTG_27472.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26422165:26428904:-1 gene:DRNTG_27472 transcript:DRNTG_27472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGGGGGDDIFEALQDFTSKDNWDKFFTLRGSGDYFEWYANWRSIEAPLLSLLSGSGGTDLRILVPGCGSSSVSEKLYDAGYRQITNIDFSKVVVSDMLRRNVRSRPEMRWRVMDMTEMQFADGSFDIVLDKGGLDALMEPGVGSKLGSKYLKEVKRVLKLGGKYLCLTLAESHVIGLIFAKFRFGWETSISAMPHEPGGGRFQTFLVTIVKENLDRLNPVISSFDESVLDYNTAQASALVSLMENENKIREKTSSAHDILYNLEDLLLGAKGNIKELQPGRRCSLILGEQGDSLYSYKTVILDAKQQPDPFLYHCGVFIVPKIRAHEWLFTSEEGQWLVVGSSKAARLIMIFLDSSHSLASMDDIQKDLSPLVKTVAPGEPADEAKIPFMMANDGVKQRSIVKQVTSPVTGPIIVEDVVYENSNGDNSISTVSDAKIFRRLTFERSSGLVQSEALLRKESFQMDPSEAEKKRSLISSKSRKKGGKKRSDSCNSPHGSSGNYEVDHASLASSYHSGIICGFALIASSLESAASLRKKVKTVIIGLGAGLLPMFLHGCLPFLDIEVVELDPVIFDLAKDCFGFTEDKELKVHIGDGIKFIQDRNVSGSSKAVVQDEKRDSDANAQDEYAGIKILIIDADSSDLSSGLTCPPTDFIEEPFLLSVKKFLSGGLFVANLVSRSTGIRKTVISRMKSVFTQVFSLELEEDVNEVLFASDTAACTEMDRLPEATAQLQRLLKFPLPANHIVTENIKCLN >DRNTG_19336.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5284942:5287716:-1 gene:DRNTG_19336 transcript:DRNTG_19336.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFTYSFAGVGFLAIAALESLSPSLPSTARPSLLFLRFFSTGVLSLLFLAYSFFSTISALFSHDDPIGASLPLSTLAASSLFLLYSLCGLLSLRSLLPVPLELLDLLLLFAFSQELLLLRLGLRKDTDGLEHRYTELLLVPVLICAICTLLSIARPRHASPRFIRAAALALHGTWLIQMGFSFFSSAMAHGCNLQTRSRTNFTIQCPGHAESHRASAIATLQFNCHLAFLIVISSAVYSVISGGKRLNGSRGYRPLNKELQRLNHVSPSRFTLLDDEVTEEIAVMSDANGHDVVLPVHEANGFHDSH >DRNTG_19336.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5284942:5287716:-1 gene:DRNTG_19336 transcript:DRNTG_19336.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFTYSFAGVGFLAIAALESLSPSLPSTARPSLLFLRFFSTGVLSLLFLAYSFFSTISALFSHDDPIGASLPLSTLAASSLFLLYSLCGLLSLRSLLPVPLELLDLLLLFAFSQELLLLRLGLRKDTDGLEHRYTELLLVPVLICAICTLLSIARPRHASPRFIRAAALALHGTWLIQMGFSFFSSAMAHGCNLQTRSRTNFTIQCPGHAESHRASAIATLQFNCHLAFLIVISSAVYSVISGGKRLNGSRGYRPLNKELQRLNHVSPSRFTLLDDEVTEEIAVMSDANGHDVVLPVHEANGFHDSH >DRNTG_19336.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5286604:5287716:-1 gene:DRNTG_19336 transcript:DRNTG_19336.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFTYSFAGVGFLAIAALESLSPSLPSTARPSLLFLRFFSTGVLSLLFLAYSFFSTISALFSHDDPIGASLPLSTLAASSLFLLYSLCGLLSLRSLLPVPLELLDLLLLFAFSQELLLLRLGLRKDTDGLEHRYTELLLVPVLICAICTLLSIARPRHASPRFIRAAALALHGTWLIQMGFSFFSSAMAHGCNLQTRSRTNFTIQCPGHAESHRASAIATLQFNCHLAFLIVISSAVYSVISGGKRLNGSRGYRPLNKELQRLNHVSPSRFTLLDDEVTEEIAVMSDANGHDVVLPVHEANGFHDSH >DRNTG_12564.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:768639:770672:-1 gene:DRNTG_12564 transcript:DRNTG_12564.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVERILMVLYSSNSTIFTATIFLFILIIIFIIPRTNRSKTKPRLPPGPPAIPIIGHLHLIRSLPHHAFDKLAGRYGPLMHLRLGSVLAIVASSPDMAKEVLKTNDANFASRPQNHASRRFGHDGSGLVFAPYGPYWKWIRKLCMSELLGSRTVDQLLPMRRLSLHDLLRTLLDASRRRQQVNISEELVKMGIGTVGRSLVGSTSFREYYYGGDNHDDDLDEMMKLTKEVNMLVGSFNVSDFIPALARWDLQGLDKKIQDVHHRFDAMLERIIERKQDLKYSRNNNNYHHIRSQNIKDLLDIVLDIADNDHDQESDIKLTRENIKSFVLEVLVAGSDSSAATMEWTLAELMQHPEMLEKAKLEIEEVVGKDRIVEERDIPKLPYLQAIVKESLRLHPAAAFALRLCINDVRIKDYDIPAGSHMFVNLWAVGRDPSYWQDPLEFKPERFLLFNSNNDHNNYNQEEEEEIMKMVDFRGQYYHYLPFGSGRRVCPGMNLALQVVQATLGALIQCFEWAPDDKKVMDMAEGIGIVIPRAHPIICVPVARLDPLPYLS >DRNTG_12564.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:768596:770672:-1 gene:DRNTG_12564 transcript:DRNTG_12564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVERILMVLYSSNSTIFTATIFLFILIIIFIIPRTNRSKTKPRLPPGPPAIPIIGHLHLIRSLPHHAFDKLAGRYGPLMHLRLGSVLAIVASSPDMAKEVLKTNDANFASRPQNHASRRFGHDGSGLVFAPYGPYWKWIRKLCMSELLGSRTVDQLLPMRRLSLHDLLRTLLDASRRRQQVNISEELVKMGIGTVGRSLVGSTSFREYYYGGDNHDDDLDEMMKLTKEVNMLVGSFNVSDFIPALARWDLQGLDKKIQDVHHRFDAMLERIIERKQDLKYSRNNNNYHHIRSQNIKDLLDIVLDIADNDHDQESDIKLTRENIKSFVLEVLVAGSDSSAATMEWTLAELMQHPEMLEKAKLEIEEVVGKDRIVEERDIPKLPYLQAIVKESLRLHPAAAFALRLCINDVRIKDYDIPAGSHMFVNLWAVGRDPSYWQDPLEFKPERFLLFNSNNDHNNYNQEEEEEIMKMVDFRGQYYHYLPFGSGRRVCPGMNLALQVVQATLGALIQCFEWAPDDKKVMDMAEGIGIVIPRAHPIICVPVARLDPLPYLS >DRNTG_26958.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30197792:30200036:1 gene:DRNTG_26958 transcript:DRNTG_26958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLHKLERDLALFSGEQSILQSLSTARSLLSNPHSSDATRRTVLDSVIRFLDAHRDRDPTILRHALILLGVPVSFRHPSLPSLLRSFLASGEHIAADALAVLVSVAKNFDGGDGEGGLSFIGSVLDEKLVLSLASTRFVSVRGRLLSVLVLRLDGSRVYSLLRPRVVIQLFLGLAEDLYPSIRRTSIDGLTALCEDEVLSDKITLLECCYNRGVALLEDDDENVRVAAIQLVCECGRVFGAKKVEVDGNERINMIFAQLCSLARDMSMKVRVEAFVALGKIKLVSESVLLQSLSKKVFGAKGGKKILSDSSSIEYKFSLSRAAGAFIHGIEDEFYQVRVAASNSLGMLAVLSVQFADDALNLLVYMLNDDVTIVRLQTLQSLVRMATCRRLKFQEKHMHMVMILILT >DRNTG_11615.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27535314:27545650:1 gene:DRNTG_11615 transcript:DRNTG_11615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEASRSGTAVDSYIGSLISLTSKSEIRYEGILFNINTEESSIGLRNVRSFGTEGRKKDGPQIPASDKIYEYILFRGSDIKDLQVKSSPPPQPAPIHNDPAIIQSHYSHPPASTSLPSVGSETVADNSHTAQLGVSRSTFPGGLPPFQSGASLGSWGSSTPAANESGIAMPTMYWQGYYAPTGGLPHIQQPSMLRPPPGLPVHPSMQQPLQYPAINTSISSGSPGLTDYRSPLLPPIGSSSSLTSMTLPPMSAPAQASSLAAETLPNLMPNISPVASFPASSIGPTLPLVPPITSSLESIPTMAQSIPSIVGSKPRPVLITSLPYQSTSQSVPSNIGQLISSHVETSVPLVTPIQLFQPPSSLSSSQPSETIVKEVESKLRELPEAKTKLPVPEPSVPSKTKLQVPEPSVSTPAEIKEPILPLPTTSNLKPYDIALQSHLNHRGRGRARGRGNGHPRPVTKFTEDFDFTAMNEKFNKDEVWGHLGKSKSHCREGDSKDDEVDYEEDDEADVKPVYVKDDFFDSLSCNTLDRGQQNGRTKFSEQLKIDTETFGDFQRHRPNRGGGRGFRGGGRFRGSYYGRGYGYAGRGRGNTAPYQAA >DRNTG_34903.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002200.1:8157:8920:1 gene:DRNTG_34903 transcript:DRNTG_34903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMGMIRRVRTGVYALVLPAPETTEDEGDDAEASQPAPEHQPAPMETEAPLVTEDALPRYIYFHHLEPMIVLRGSRVLWG >DRNTG_29586.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:10800646:10805361:-1 gene:DRNTG_29586 transcript:DRNTG_29586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFIVNDGKGLMEEALVIIFSYNTQQYAPILSQQATEEDGEDGDRPLRQGLCRYRCEVVLSSVRQILRSCVPTWSRPYRMPPRRDTSKSVADLIGQSSTVSEIPAEGGTDDRIDNHEIPAEKGTNRVDNQETLMDLVLEVEKTIMEFQRFGPPPFEGTTNPDEVEVWVEQMEKAFAVMKCIKEEKLRFGVYMLKGSANYWYQGELRTRQGRFLKKRDRSFDSQRNHQLGSEGKPRLEARQSESQTVNGPPTQWSRGFATVPPHSGQRCATYEGSHATADCRRNTGACFKCGSLEHRIAGCLQRFSGAQRTSSVQDSRQVSAPKP >DRNTG_09538.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3595767:3596798:1 gene:DRNTG_09538 transcript:DRNTG_09538.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANAIDKLFSKGDPIAIDMPMTTTAVIIYLGIILAGCIVVSVADSFAAKEIAVRLSISKAKGIFTQDFIVRGGRKFPLY >DRNTG_28737.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31086571:31091742:1 gene:DRNTG_28737 transcript:DRNTG_28737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQKTGDPTECNVPRACPMGDPMEMDVKPTAIVSSDSSVEVPLSCNYVARDGTFSKSRVGVEHVDRDEEDNSSGCTHPSVMASKAARVQRIGDGRVRKLLAMKYWKVASAASKDGEFCNTNAEMKPFFRSRKLCYTRQRSQRTSFKRRKMHNCYPISASGGRIKEDSVSVSLGNHGLKREVSNSSATLHGGDKPSCQKGDFRVQLSIKSFKVPELFVEIPETATVGSLKRTVMEAVTAILGGGLRVGVLLQGKKVRDDSKTLLQAGISHDDKIDNLGFMLEPNPTQAPPPVESQEHPHLLLPCDVVEPLNRIPPSAAVTSNLGPFGASPGPPLTSTVNCLESDHDSVHSPATALLQEKTTANSRALVAVPAMDVEALAIVPLRKSKRSELVQRRIRRPFSVSEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTAKISPQQRRGEPVPQELLDRVLAANTYWSQQQAKLQVKPPPTEACLLL >DRNTG_31097.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21284074:21285355:1 gene:DRNTG_31097 transcript:DRNTG_31097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIRTQKQKKKGEQSESISKIPKNKRAITASILLIL >DRNTG_32768.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:13431550:13432876:1 gene:DRNTG_32768 transcript:DRNTG_32768.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRLDSTLAASFLSQSLLILLLFLFLLLLILLPQAQAPPTSPRSTGSPPRPPSSPAPPPTTSASLSASPPQPSNGSPSPRPLLDCRDPSSPLPRLPPPTRLALALSRLSSGCPYSDLAHRFRVPESTARFSARRLYRVLCTNFRFWLAFPSLPDLNLVCSGFQSLPLGLPDCCGSIISARFLVHSGASVAAQIVTDSSSRILSIAAGFNGEKSDYQVLQCSSLYKDVVAGRLLSSTQYFVGDGNYPLLPWLMVPYKDPIRGTCEEDFNVAHGLMFQPALRTVASLRNWGALGCLGEEEDLKMAVACIGTCAILHNVLLMREDYTAPSDESRGFSEVPEQQGEDLSCDSEKKALVLRSMLSIKARMLCSSSNLVCK >DRNTG_15207.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16986060:16987988:-1 gene:DRNTG_15207 transcript:DRNTG_15207.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNKEYKVCRDKPFPLFLKIHDLVASSTANGQYTSHTGGSVRADDFVVNLDEGSGSSDSPVLEVDKDTIPSHTTGKKVSYNNASSMTKRKQLDHPNPSVSKKKSSDHTVEALNRLISLSDRRSKIMEQSKEEDDSFSFKTCMEKLSSMPGVSDVEVCVAAEALKLRENRIFFMTMRQSVIDCWLSLRMKEFRQQE >DRNTG_15207.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16986060:16987988:-1 gene:DRNTG_15207 transcript:DRNTG_15207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWEEIIKLNKEYKVCRDKPFPLFLKIHDLVASSTANGQYTSHTGGSVRADDFVVNLDEGSGSSDSPVLEVDKDTIPSHTTGKKVSYNNASSMTKRKQLDHPNPSVSKKKSSDHTVEALNRLISLSDRRSKIMEQSKEEDDSFSFKTCMEKLSSMPGVSDVEVCVAAEALKLRENRIFFMTMRQSVIDCWLSLRMKEFRQQE >DRNTG_13291.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2865195:2865584:1 gene:DRNTG_13291 transcript:DRNTG_13291.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDTNMPLRRSITSRSSRRTLGLLSPFIAEHHKFKLRENQFVDIADEESRAIRDGFKLSSIDSNMATAAMEEAFKISTDRLISLSEQ >DRNTG_02864.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:13134826:13138883:-1 gene:DRNTG_02864 transcript:DRNTG_02864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYTSTMEYGRLPVDFPLLVTPYHAYRILCGRGEYEPGLSNASSLSRFSYRYVHAVISRSVIGRADNTAALTRLDLLFLYSMARNVPLHLGLVNGHGDSPGVLSQQELLYLYSMVQHIPIHLGHIMAEYIRHQGHYARLGAIF >DRNTG_27182.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:632495:636484:1 gene:DRNTG_27182 transcript:DRNTG_27182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRMARVQDLIEEFKVRTVYWAICIFAISYFLSHTSKSMWTNIPLSILILSAFRYLSYEVELRWKVQPNQRQTYLSHLEKKQISINDSRLSTAPTTKWRRKIDSPLVEAAINDFINKILQDFVLDLWYSSITPDKEAPELIRTIIFDALGEVSGRVKEINLVDLLTRDIIDLVGNHLDLYRKNQSEIGADVMGRLSSEERDVRLKRHLMAAKELHPALISPECEYKVLQRLMGGLLAVVLKPREAQCPLVRCFSREFLTCLVMQPVMNFASPGYVNEIIEYILLNIKDTKPEGSTNNSSNATGSVKDSSVNIGNPHPEPELDMTITSHQSGDTALAKKDVNATLKTSEDIHPYTSHEDSAHHAQPRPAEWAMMLDAATKRRSQVLAPENLENMWTKGRNYKKKSANLVKADTPSLPVKTISEISNISSYAGITAKEMLEVNERTAVIEDKYVVHLMHGSSQSSGSSIATDKASRVSEYLVREQPTQGGHLGDGCEETDMKSAKSNNSQLKRSSSSPDIDTLIMGNSGEPLCIKDFYSPKFSSLKTDARATPTHGEGLSRVPKIKCRVVGAYFENTGSKSFAVYAIAVTDAENKTWFVKRRQVHISYITCEFIWF >DRNTG_04244.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:15867503:15871073:1 gene:DRNTG_04244 transcript:DRNTG_04244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEREREREREREHRKRRREEMVRVATYFAMTLGAFVFWQSMDHLHVWIALHQDEKREREEKEREIKRMREELLAKAKREDSLM >DRNTG_22162.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3801676:3806787:1 gene:DRNTG_22162 transcript:DRNTG_22162.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPWEDETEEWNEHQLQFFKELNEQDASSPMLSTIGSKFTGLIPHHSDRCEAVEDYEDHCKDVQCIEIDESTTSRSKGTDLLVPGRVQSQQSLTVDQEGMGSRSRPLSQGSPANALEQQLLHVRKTIDNLVKPYHDELSPMSLAIGFASSRGLTLTKSRSCKASLMDGMLSPMFQGAGQNDYTPPSSFFRDFRGRPEGIQIRPIALNYDAQSEILLGMKEMAQIQHNKQSNNSQAREQAANGDIEVASNRKDVGIDPMFISMESPSRWPVEFEGKQQEIIELWHECNVSLVHRACFFLLFKGDPADSIYMEVELRRLSFLKNTSFSGNIHKAPVQDGPLITPASSVKNLQRERAMLCKQMQNRLSLEERESLYTKWGVSLHTKQRKLQLVKRLWTNTKDMEHIRDSATLVAKLIGILKPGLALREMFGLTFTPQKPNKKPFTWKHIAMHSFK >DRNTG_22162.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3801236:3806787:1 gene:DRNTG_22162 transcript:DRNTG_22162.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPWEDVLSTPNGSHFPHQNLNFGLTMSAASQETEEWNEHQLQFFKELNEQDASSPMLSTIGSKFTGLIPHHSDRCEAVEDYEDHCKDVQCIEIDESTTSRSKGTDLLVPGRVQSQQSLTVDQEGMGSRSRPLSQGSPANALEQQLLHVRKTIDNLVKPYHDELSPMSLAIGFASSRGLTLTKSRSCKASLMDGMLSPMFQGAGQNDYTPPSSFFRDFRGRPEGIQIRPIALNYDAQSEILLGMKEMAQIQHNKQSNNSQAREQAANGDIEVASNRKDVGIDPMFISMESPSRWPVEFEGKQQEIIELWHECNVSLVHRACFFLLFKGDPADSIYMEVELRRLSFLKNTSFSGNIHKAPVQDGPLITPASSVKNLQRERAMLCKQMQNRLSLEERESLYTKWGVSLHTKQRKLQLVKRLWTNTKDMEHIRDSATLVAKLIGILKPGLALREMFGLTFTPQKPNKKPFTWKHIAMHSFK >DRNTG_22162.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3801236:3806787:1 gene:DRNTG_22162 transcript:DRNTG_22162.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGITEYTVADIFDYIRRHEERAFVLKLSAIEIYNEAVRDLLSTDSTPLRLLDDPERGTIVEKLTEATLRNWNHFKELVSLCEAQRKIGETLLNEASSRSHQIIKLTIESSAREFLGMDNSSTLVASVNFVDLAGSERASQALSAGARLKEGCHINRSLLTLGTVIRKLSNGKYGHIPYRDSKLTRILQPALGGNARTAIICTMCPARSHLEQSRNTLLFASCAKEVATNAQVNVVMSDKALVRHLQREVARLESELRFPGSASSTSHFEALLKEKDTQIKKLEKEVKELLQQRNLAQSQLENLLQASADDRTSRKLETEEWNEHQLQFFKELNEQDASSPMLSTIGSKFTGLIPHHSDRCEAVEDYEDHCKDVQCIEIDESTTSRSKGTDLLVPGRVQSQQSLTVDQEGMGSRSRPLSQGSPANALEQQLLHVRKTIDNLVKPYHDELSPMSLAIGFASSRGLTLTKSRSCKASLMDGMLSPMFQGAGQNDYTPPSSFFRDFRGRPEGIQIRPIALNYDAQSEILLGMKEMAQIQHNKQSNNSQAREQAANGDIEVASNRKDVGIDPMFISMESPSRWPVEFEGKQQEIIELWHECNVSLVHRACFFLLFKGDPADSIYMEVELRRLSFLKNTSFSGNIHKAPVQDGPLITPASSVKNLQRERAMLCKQMQNRLSLEERESLYTKWGVSLHTKQRKLQLVKRLWTNTKDMEHIRDSATLVAKLIGILKPGLALREMFGLTFTPQKPNKKPFTWKHIAMHSFK >DRNTG_22162.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3801236:3806787:1 gene:DRNTG_22162 transcript:DRNTG_22162.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPWEDVLSTPNGSHFPHQNLNFGLTMSAASQETEEWNEHQLQFFKELNEQDASSPMLSTIGSKFTGLIPHHSDRCEAVEDYEDHCKDVQCIEIDESTTSRSKGTDLLVPGRVQSQQSLTVDQEGMGSRSRPLSQGSPANALEQQLLHVRKTIDNLVKPYHDELSPMSLAIGFASSRGLTLTKSRSCKASLMDGMLSPMFQGAGQNDYTPPSSFFRDFRGRPEGIQIRPIALNYDAQSEILLGMKEMAQIQHNKQSNNSQAREQAANGDIEVASNRKDVGIDPMFISMESPSRWPVEFEGKQQEIIELWHECNVSLVHRACFFLLFKGDPADSIYMEVELRRLSFLKNTSFSGNIHKAPVQDGPLITPASSVKNLQRERAMLCKQMQNRLSLEERESLYTKWGVSLHTKQRKLQLVKRLWTNTKDMEHIRDSATLVAKLIGILKPGLALREMFGLTFTPQKPNKKPFTWKHIAMHSFK >DRNTG_22162.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3801236:3806787:1 gene:DRNTG_22162 transcript:DRNTG_22162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMCGEEMEDGVVLNGAGGKDRILVSVRLRPLNEREIAANDPGVWECINDTTIIFRNSLAERSTIPTAYTFDRVFRGECNTKQVYEDAAKEVALSVVSGINSTIFAYGQTSSGKTFTMTGITEYTVADIFDYIRRHEERAFVLKLSAIEIYNEAVRDLLSTDSTPLRLLDDPERGTIVEKLTEATLRNWNHFKELVSLCEAQRKIGETLLNEASSRSHQIIKLTIESSAREFLGMDNSSTLVASVNFVDLAGSERASQALSAGARLKEGCHINRSLLTLGTVIRKLSNGKYGHIPYRDSKLTRILQPALGGNARTAIICTMCPARSHLEQSRNTLLFASCAKEVATNAQVNVVMSDKALVRHLQREVARLESELRFPGSASSTSHFEALLKEKDTQIKKLEKEVKELLQQRNLAQSQLENLLQASADDRTSRKLETEEWNEHQLQFFKELNEQDASSPMLSTIGSKFTGLIPHHSDRCEAVEDYEDHCKDVQCIEIDESTTSRSKGTDLLVPGRVQSQQSLTVDQEGMGSRSRPLSQGSPANALEQQLLHVRKTIDNLVKPYHDELSPMSLAIGFASSRGLTLTKSRSCKASLMDGMLSPMFQGAGQNDYTPPSSFFRDFRGRPEGIQIRPIALNYDAQSEILLGMKEMAQIQHNKQSNNSQAREQAANGDIEVASNRKDVGIDPMFISMESPSRWPVEFEGKQQEIIELWHECNVSLVHRACFFLLFKGDPADSIYMEVELRRLSFLKNTSFSGNIHKAPVQDGPLITPASSVKNLQRERAMLCKQMQNRLSLEERESLYTKWGVSLHTKQRKLQLVKRLWTNTKDMEHIRDSATLVAKLIGILKPGLALREMFGLTFTPQKPNKKPFTWKHIAMHSFK >DRNTG_22162.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3801676:3806787:1 gene:DRNTG_22162 transcript:DRNTG_22162.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMCGEEMEDGVVLNGAGGKDRILVSVRLRPLNEREIAANDPGVWECINDTTIIFRNSLAERSTIPTAYTFDRVFRGECNTKQVYEDAAKEVALSVVSGINSTIFAYGQTSSGKTFTMTGITEYTVADIFDYIRRHEERAFVLKLSAIEIYNEAVRDLLSTDSTPLRLLDDPERGTIVEKLTEATLRNWNHFKELVSLCEAQRKIGETLLNEASSRSHQIIKLTIESSAREFLGMDNSSTLVASVNFVDLAGSERASQALSAGARLKEGCHINRSLLTLGTVIRKLSNGKYGHIPYRDSKLTRILQPALGGNARTAIICTMCPARSHLEQSRNTLLFASCAKEVATNAQVNVVMSDKALVRHLQREVARLESELRFPGSASSTSHFEALLKEKDTQIKKLEKEVKELLQQRNLAQSQLENLLQASADDRTSRKLETEEWNEHQLQFFKELNEQDASSPMLSTIGSKFTGLIPHHSDRCEAVEDYEDHCKDVQCIEIDESTTSRSKGTDLLVPGRVQSQQSLTVDQEGMGSRSRPLSQGSPANALEQQLLHVRKTIDNLVKPYHDELSPMSLAIGFASSRGLTLTKSRSCKASLMDGMLSPMFQGAGQNDYTPPSSFFRDFRGRPEGIQIRPIALNYDAQSEILLGMKEMAQIQHNKQSNNSQAREQAANGDIEVASNRKDVGIDPMFISMESPSRWPVEFEGKQQEIIELWHECNVSLVHRACFFLLFKGDPADSIYMEVELRRLSFLKNTSFSGNIHKAPVQDGPLITPASSVKNLQRERAMLCKQMQNRLSLEERESLYTKWGVSLHTKQRKLQLVKRLWTNTKDMEHIRDSATLVAKLIGILKPGLALREMFGLTFTPQKPNKKPFTWKHIAMHSFK >DRNTG_22162.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3801676:3806787:1 gene:DRNTG_22162 transcript:DRNTG_22162.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTGITEYTVADIFDYIRRHEERAFVLKLSAIEIYNEAVRDLLSTDSTPLRLLDDPERGTIVEKLTEATLRNWNHFKELVSLCEAQRKIGETLLNEASSRSHQIIKLTIESSAREFLGMDNSSTLVASVNFVDLAGSERASQALSAGARLKEGCHINRSLLTLGTVIRKLSNGKYGHIPYRDSKLTRILQPALGGNARTAIICTMCPARSHLEQSRNTLLFASCAKEVATNAQVNVVMSDKALVRHLQREVARLESELRFPGSASSTSHFEALLKEKDTQIKKLEKEVKELLQQRNLAQSQLENLLQASADDRTSRKLEDFRQVSALHVMTSPWEDETEEWNEHQLQFFKELNEQDASSPMLSTIGSKFTGLIPHHSDRCEAVEDYEDHCKDVQCIEIDESTTSRSKGTDLLVPGRVQSQQSLTVDQEGMGSRSRPLSQGSPANALEQQLLHVRKTIDNLVKPYHDELSPMSLAIGFASSRGLTLTKSRSCKASLMDGMLSPMFQGAGQNDYTPPSSFFRDFRGRPEGIQIRPIALNYDAQSEILLGMKEMAQIQHNKQSNNSQAREQAANGDIEVASNRKDVGIDPMFISMESPSRWPVEFEGKQQEIIELWHECNVSLVHRACFFLLFKGDPADSIYMEVELRRLSFLKNTSFSGNIHKAPVQDGPLITPASSVKNLQRERAMLCKQMQNRLSLEERESLYTKWGVSLHTKQRKLQLVKRLWTNTKDMEHIRDSATLVAKLIGILKPGLALREMFGLTFTPQKPNKKPFTWKHIAMHSFK >DRNTG_01045.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18653158:18658656:-1 gene:DRNTG_01045 transcript:DRNTG_01045.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLGPPGCGKTTFFLALSGKLDKSLKVNGEVSYNGFKLEEFVPEKTSAYISQNDMHIPEMTVREILDFSAQFQGVGSRAEIMKEVSRREKEAGIIPEPEIDTYMKAISVEGLDRSIQTDYVLKIMGMDICADIMVGDAMRRGISGGQKKRLTTGEMIVGPTKALFMDEISTGLDSSTTFQIVTCLQQLAHITESTVVVSLLQPAPETYDLFDDIILMAEGKIVYQGPRSQILLFFEECGFKCPERKGAADFLQEVMSRKDQEQYWYRAQENYAYVSVDQFCQQFKAFHIGIKLDEELLKPYDKSQCHKHALSFSQYSLPKWELFRACMARELLLMKRNSFVYVFKTTQLSIAAMITMTVYLRTRMGVDIVHANYYMGSLFFALLLLMVNGFPELAMTVSRLPVFYKQRDFFFYPAWAYAIPATILKIPISLIESLIWTSLTYYVIGYSPEASRFFRQFLLLFLVHQMSLSLFRFIAACFQTTVASTVGGTLCLLVILIFGGFILPRPSIPSWLKWGFWVSPLTYTEIGLTVNEFLAPRWQKISGTNTTIGDEVLTSRGLDFKSYFYWISIGALLGYSLLLNVGFTLALTFKRPVGMSRAIISREKLSQISGLDGLHKTIELKNSKQETKRIGRMVLPFQPLAVTFQDVQYFVDAPLEMREQGYTDKKLQLLHNITGAFQPGILSVLMGVSGAGKTTLLDVLAGRKTGGVIEGDIRIGGYPKTQETFARISGYCEQTDIHSPQITVEESVIYSAWLRLPHEIDSKTRSEFVNEVLETIELDGIKDALVGIAGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVKNVAETGRTVVCTIHQPSIDIFEAFDELILMKRGGELIYSGPLGQHSSKVIEYFERISGVPKIRDNYNPATWMLEVTSSSVEAQFGIDFAQIYRESNLYNDNKELVKQLSMPPPGSKDLHFPTRFPQNSWGQFKACLWKQYLSYWRSPSYNLVRTTYMFFASVVFAVLFWKHGKTLDNQQNLFNMLGSMYASTIFTGINNCSSVIPFVITERAVLYREKFAGMYSSWAYSLAQVIIEIPYVFIQVLIFMIIAYPAIGYYWSASKFLWFFYTMFCSLLSFIYLGMLLVSLTPNVQVASIMSSFFYQNLNLFSGFLIPGPHIPKWWIWFYYIMPMSWTLNGLFTSQYGDIEKKITVFGETKPVAQFLRDYFGFHHDRLGLVAAMLMVFPLLYALLFAYFIGKLNFQRR >DRNTG_01045.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18653158:18656647:-1 gene:DRNTG_01045 transcript:DRNTG_01045.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLFRFIAACFQTTVASTVGGTLCLLVILIFGGFILPRPSIPSWLKWGFWVSPLTYTEIGLTVNEFLAPRWQKISGTNTTIGDEVLTSRGLDFKSYFYWISIGALLGYSLLLNVGFTLALTFKRPVGMSRAIISREKLSQISGLDGLHKTIELKNSKQETKRIGRMVLPFQPLAVTFQDVQYFVDAPLEMREQGYTDKKLQLLHNITGAFQPGILSVLMGVSGAGKTTLLDVLAGRKTGGVIEGDIRIGGYPKTQETFARISGYCEQTDIHSPQITVEESVIYSAWLRLPHEIDSKTRSEFVNEVLETIELDGIKDALVGIAGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVKNVAETGRTVVCTIHQPSIDIFEAFDELILMKRGGELIYSGPLGQHSSKVIEYFERISGVPKIRDNYNPATWMLEVTSSSVEAQFGIDFAQIYRESNLYNDNKELVKQLSMPPPGSKDLHFPTRFPQNSWGQFKACLWKQYLSYWRSPSYNLVRTTYMFFASVVFAVLFWKHGKTLDNQQNLFNMLGSMYASTIFTGINNCSSVIPFVITERAVLYREKFAGMYSSWAYSLAQVIIEIPYVFIQVLIFMIIAYPAIGYYWSASKFLWFFYTMFCSLLSFIYLGMLLVSLTPNVQVASIMSSFFYQNLNLFSGFLIPGPHIPKWWIWFYYIMPMSWTLNGLFTSQYGDIEKKITVFGETKPVAQFLRDYFGFHHDRLGLVAAMLMVFPLLYALLFAYFIGKLNFQRR >DRNTG_01045.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18653158:18658242:-1 gene:DRNTG_01045 transcript:DRNTG_01045.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVSRREKEAGIIPEPEIDTYMKAISVEGLDRSIQTDYVLKIMGMDICADIMVGDAMRRGISGGQKKRLTTGEMIVGPTKALFMDEISTGLDSSTTFQIVTCLQQLAHITESTVVVSLLQPAPETYDLFDDIILMAEGKIVYQGPRSQILLFFEECGFKCPERKGAADFLQEVMSRKDQEQYWYRAQENYAYVSVDQFCQQFKAFHIGIKLDEELLKPYDKSQCHKHALSFSQYSLPKWELFRACMARELLLMKRNSFVYVFKTTQLSIAAMITMTVYLRTRMGVDIVHANYYMGSLFFALLLLMVNGFPELAMTVSRLPVFYKQRDFFFYPAWAYAIPATILKIPISLIESLIWTSLTYYVIGYSPEASRFFRQFLLLFLVHQMSLSLFRFIAACFQTTVASTVGGTLCLLVILIFGGFILPRPSIPSWLKWGFWVSPLTYTEIGLTVNEFLAPRWQKISGTNTTIGDEVLTSRGLDFKSYFYWISIGALLGYSLLLNVGFTLALTFKRPVGMSRAIISREKLSQISGLDGLHKTIELKNSKQETKRIGRMVLPFQPLAVTFQDVQYFVDAPLEMREQGYTDKKLQLLHNITGAFQPGILSVLMGVSGAGKTTLLDVLAGRKTGGVIEGDIRIGGYPKTQETFARISGYCEQTDIHSPQITVEESVIYSAWLRLPHEIDSKTRSEFVNEVLETIELDGIKDALVGIAGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVKNVAETGRTVVCTIHQPSIDIFEAFDELILMKRGGELIYSGPLGQHSSKVIEYFERISGVPKIRDNYNPATWMLEVTSSSVEAQFGIDFAQIYRESNLYNDNKELVKQLSMPPPGSKDLHFPTRFPQNSWGQFKACLWKQYLSYWRSPSYNLVRTTYMFFASVVFAVLFWKHGKTLDNQQNLFNMLGSMYASTIFTGINNCSSVIPFVITERAVLYREKFAGMYSSWAYSLAQVIIEIPYVFIQVLIFMIIAYPAIGYYWSASKFLWFFYTMFCSLLSFIYLGMLLVSLTPNVQVASIMSSFFYQNLNLFSGFLIPGPHIPKWWIWFYYIMPMSWTLNGLFTSQYGDIEKKITVFGETKPVAQFLRDYFGFHHDRLGLVAAMLMVFPLLYALLFAYFIGKLNFQRR >DRNTG_01045.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18653158:18659555:-1 gene:DRNTG_01045 transcript:DRNTG_01045.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSQAQAQAQLEEIGRRSSRRLSFQLPGSFSERSSSINGSFREENDDEKELQWAAIERLPTFRRLRTSLFDYESGNGNGKGKGKRLIDVTKFGAAERRLFIESLIKHIENDNLKLLQKQKERIDRVDVKLPTIEVRFNNLNVEAECQVVQGKPLPTLWNAAKASFSGFSRFAGLKHEEAKITIIKNVSGIIRPSRMTLLLGPPGCGKTTFFLALSGKLDKSLKVNGEVSYNGFKLEEFVPEKTSAYISQNDMHIPEMTVREILDFSAQFQGVGSRAEIMKEVSRREKEAGIIPEPEIDTYMKAISVEGLDRSIQTDYVLKIMGMDICADIMVGDAMRRGISGGQKKRLTTGEMIVGPTKALFMDEISTGLDSSTTFQIVTCLQQLAHITESTVVVSLLQPAPETYDLFDDIILMAEGKIVYQGPRSQILLFFEECGFKCPERKGAADFLQEVMSRKDQEQYWYRAQENYAYVSVDQFCQQFKAFHIGIKLDEELLKPYDKSQCHKHALSFSQYSLPKWELFRACMARELLLMKRNSFVYVFKTTQLSIAAMITMTVYLRTRMGVDIVHANYYMGSLFFALLLLMVNGFPELAMTVSRLPVFYKQRDFFFYPAWAYAIPATILKIPISLIESLIWTSLTYYVIGYSPEASRFFRQFLLLFLVHQMSLSLFRFIAACFQTTVASTVGGTLCLLVILIFGGFILPRPSIPSWLKWGFWVSPLTYTEIGLTVNEFLAPRWQKISGTNTTIGDEVLTSRGLDFKSYFYWISIGALLGYSLLLNVGFTLALTFKRPVGMSRAIISREKLSQISGLDGLHKTIELKNSKQETKRIGRMVLPFQPLAVTFQDVQYFVDAPLEMREQGYTDKKLQLLHNITGAFQPGILSVLMGVSGAGKTTLLDVLAGRKTGGVIEGDIRIGGYPKTQETFARISGYCEQTDIHSPQITVEESVIYSAWLRLPHEIDSKTRSEFVNEVLETIELDGIKDALVGIAGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVKNVAETGRTVVCTIHQPSIDIFEAFDELILMKRGGELIYSGPLGQHSSKVIEYFERISGVPKIRDNYNPATWMLEVTSSSVEAQFGIDFAQIYRESNLYNDNKELVKQLSMPPPGSKDLHFPTRFPQNSWGQFKACLWKQYLSYWRSPSYNLVRTTYMFFASVVFAVLFWKHGKTLDNQQNLFNMLGSMYASTIFTGINNCSSVIPFVITERAVLYREKFAGMYSSWAYSLAQVIIEIPYVFIQVLIFMIIAYPAIGYYWSASKFLWFFYTMFCSLLSFIYLGMLLVSLTPNVQVASIMSSFFYQNLNLFSGFLIPGPHIPKWWIWFYYIMPMSWTLNGLFTSQYGDIEKKITVFGETKPVAQFLRDYFGFHHDRLGLVAAMLMVFPLLYALLFAYFIGKLNFQRR >DRNTG_01045.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18653158:18654668:-1 gene:DRNTG_01045 transcript:DRNTG_01045.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVTSSSVEAQFGIDFAQIYRESNLYNDNKELVKQLSMPPPGSKDLHFPTRFPQNSWGQFKACLWKQYLSYWRSPSYNLVRTTYMFFASVVFAVLFWKHGKTLDNQQNLFNMLGSMYASTIFTGINNCSSVIPFVITERAVLYREKFAGMYSSWAYSLAQVIIEIPYVFIQVLIFMIIAYPAIGYYWSASKFLWFFYTMFCSLLSFIYLGMLLVSLTPNVQVASIMSSFFYQNLNLFSGFLIPGPHIPKWWIWFYYIMPMSWTLNGLFTSQYGDIEKKITVFGETKPVAQFLRDYFGFHHDRLGLVAAMLMVFPLLYALLFAYFIGKLNFQRR >DRNTG_05104.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2061261:2063620:-1 gene:DRNTG_05104 transcript:DRNTG_05104.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKTSVIIPNLRSSPSKSSRGNPNSPDSSSSDSKSTKPFDRKPSIKSSSASSSSFSLTEKKAVRVSEMQQQLNLLQDELKKMRDEKSRVLKELAEAKKMVSAQNSGNDGLKLYKDRIEFLELEVEKTKDSERKMLESMISQTKLLEQTKISLEEAKLEIRSLKESLKNSESLVNGSGTEEKDIMMLRNELKSAMAAEEKSKKAMDDLAIALKEVTTEMNQVKEKLLITETELDNARVDAHRSKNQLKSVEEKYQAAVEESERLRFELEETVAAWNAKEDGFIDCMKVSEEEISKGRQENDKLIDSQRVVRDENAKLRDILKQAVNEASVVKETLEIVRNENSHLKDMIAEKENVVQKIKQDYDSLKVSEAAALGSVNELKGLLAATASTGSFESRSFRQTRVVANDDKINKSTTKFLSDRWKGDNLKIQNGRRHSIGEPGKFKGPAFDARESPEYERDRMFASISNISDWKVPSSIVTDDTGTLSLDGYDHLDASDNLKVMENDSLTSANQKKKKTMFRKFGEMIRRRSFHK >DRNTG_05104.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2061261:2063620:-1 gene:DRNTG_05104 transcript:DRNTG_05104.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKTSVIIPNLRSSPSKSSRGNPNSPDSSSSDSKSTKPFDRKPSIKSSSASSSSFSLTEKKAVRVSEMQQQLNLLQDELKKMRDEKSRVLKELAEAKKMVSAQNSGNDGLKLYKDRIEFLELEVEKTKDSERKMLESMISQTKLLEQTKISLEEAKLEIRSLKESLKNSESLVNGSGTEEKDIMMLRNELKSAMAAEEKSKKAMDDLAIALKEVTTEMNQVKEKLLITETELDNARVDAHRSKNQLKSVEEKYQAAVEESERLRFELEETVAAWNAKEDGFIDCMKVSEEEISKGRQENDKLIDSQRVVRDENAKLRDILKQAVNEASVVKETLEIVRNENSHLKDMIAEKENVVQKIKQDYDSLKVSEAAALGSVNELKGLLAATASTGSFESRSFRQTRVVANDDKINKSTTKFLSDRWKGDNLKIQNGRRHSIGEPGKFKGPAFDARESPEYERDRMFASISNISDWKVPSSIVTDDTGTLSLDGYDHLDASDNLKVMENDSLTSANQKKKKTMFRKFGEMIRRRSFHK >DRNTG_05104.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2061261:2063620:-1 gene:DRNTG_05104 transcript:DRNTG_05104.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQLNLLQDELKKMRDEKSRVLKELAEAKKMVSAQNSGNDGLKLYKDRIEFLELEVEKTKDSERKMLESMISQTKLLEQTKISLEEAKLEIRSLKESLKNSESLVNGSGTEEKDIMMLRNELKSAMAAEEKSKKAMDDLAIALKEVTTEMNQVKEKLLITETELDNARVDAHRSKNQLKSVEEKYQAAVEESERLRFELEETVAAWNAKEDGFIDCMKVSEEEISKGRQENDKLIDSQRVVRDENAKLRDILKQAVNEASVVKETLEIVRNENSHLKDMIAEKENVVQKIKQDYDSLKVSEAAALGSVNELKGLLAATASTGSFESRSFRQTRVVANDDKINKSTTKFLSDRWKGDNLKIQNGRRHSIGEPGKFKGPAFDARESPEYERDRMFASISNISDWKVPSSIVTDDTGTLSLDGYDHLDASDNLKVMENDSLTSANQKKKKTMFRKFGEMIRRRSFHK >DRNTG_05104.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2061261:2063620:-1 gene:DRNTG_05104 transcript:DRNTG_05104.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQLNLLQDELKKMRDEKSRVLKELAEAKKMVSAQNSGNDGLKLYKDRIEFLELEVEKTKDSERKMLESMISQTKLLEQTKISLEEAKLEIRSLKESLKNSESLVNGSGTEEKDIMMLRNELKSAMAAEEKSKKAMDDLAIALKEVTTEMNQVKEKLLITETELDNARVDAHRSKNQLKSVEEKYQAAVEESERLRFELEETVAAWNAKEDGFIDCMKVSEEEISKGRQENDKLIDSQRVVRDENAKLRDILKQAVNEASVVKETLEIVRNENSHLKDMIAEKENVVQKIKQDYDSLKVSEAAALGSVNELKGLLAATASTGSFESRSFRQTRVVANDDKINKSTTKFLSDRWKGDNLKIQNGRRHSIGEPGKFKGPAFDARESPEYERDRMFASISNISDWKVPSSIVTDDTGTLSLDGYDHLDASDNLKVMENDSLTSANQKKKKTMFRKFGEMIRRRSFHK >DRNTG_23340.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6095629:6098308:1 gene:DRNTG_23340 transcript:DRNTG_23340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIDLVSPLVNAATPYLVDPIARQFKYLFMLSNNVKAMTDAMDELRAKRVSTEQDIQKAELEGKTCSPDVELWLRKVDAFDQREVTAIEQEYYQRTNCIAMPSLNIASNYKLGRRAFSKKEEMMELLEKATKFDVVAKKLPPGPARELATPSMVSNQNSNLETICQYLKENMTGIIGIWGMGGVGKTTLLKSINNEFYLSKDGMFDHVIWVVVSQDYSYQKIQSDIAKNLGLTSTNANAIHDFLKRKSFLLLLDDLWSKLDLENIGVPQPKLMHHDQDKHKRMVVFTTRSENVCGDMEANKTIKMECLVPDAAWRLFKAQAGEELIASDNLIQQHAKDIVRECAGLPLALATVGKAMRIKKTEQEWEYVASMMRKSKYSGIPGMRKESDFFPILKFSYDNLESDLLRQCFLYCSLWGEDVEIDTDDLIECWMGHGLLDDFDDLGEAYNKGGIFIGNLKEACLLLESATPFRYHKHKSFVKLHDVIRDLALWITSDCGRNKKGWLVQPKSSLERLPEDVIDREVINILVSNMKALDGFINFHKLKTLILSKEIVGRHRISPELFTKMPCLKFLDLSRARMDTLPKEIGKLFGLEYFKLPSQLRSLSTALGDLKNLKYLYSFPQYKLQIPYGLLTRLTKLRVLDLCSTADVYLEKRHVDELLILKELKGVGINIRRISTLERLCLVPKRRLRLGYLDDAPDFTSFSISPSQLGSNSKTNLQQFQIFNIQSLQELVMTTEGDSSWCLSHLNAFSLSNLPQLKNVIWKDLEAHFFLPVLAYLNIFKCKSLTSLCWTADLPHLKELQISTCDELKSIIKTSDDA >DRNTG_23340.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6089111:6098308:1 gene:DRNTG_23340 transcript:DRNTG_23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIDLVSPLVNAATPYLVDPIARQFKYLFMLSNNVKAMTDAMDELRAKRVSTEQDIQKAELEGKTCSPDVELWLRKVDAFDQREVTAIEQEYYQRTNCIAMPSLNIASNYKLGRRAFSKKEEMMELLEKATKFDVVAKKLPPGPARELATPSMVSNQNSNLETICQYLKENMTGIIGIWGMGGVGKTTLLKSINNEFYLSKDGMFDHVIWVVVSQDYSYQKIQSDIAKNLGLTSTNANAIHDFLKRKSFLLLLDDLWSKLDLENIGVPQPKLMHHDQDKHKRMVVFTTRSENVCGDMEANKTIKMECLVPDAAWRLFKAQAGEELIASDNLIQQHAKDIVRECAGLPLALATVGKAMRIKKTEQEWEYVASMMRKSKYSGIPGMRKESDFFPILKFSYDNLESDLLRQCFLYCSLWGEDVEIDTDDLIECWMGHGLLDDFDDLGEAYNKGGIFIGNLKEACLLLESATPFRYHKHKSFVKLHDVIRDLALWITSDCGRNKKGWLVQPKSSLERLPEDVIDREVINILVSNMKALDGFINFHKLKTLILSKEIVGRHRISPELFTKMPCLKFLDLSRARMDTLPKEIGKLFGLEYFKLPSQLRSLSTALGDLKNLKYLYSFPQYKLQIPYGLLTRLTKLRVLDLCSTADVYLEKRHVDELLILKELKGVGINIRRISTLERLCLVPKRRLRLGYLDDAPDFTSFSISPSQLGSNSKTNLQQFQIFNIQSLQELVMTTEGDSSWCLSHLNAFSLSNLPQLKNVIWKDLEAHFFLPVLAYLNIFKCKSLTSLCWTADLPHLKELQISTCDELKSIIKTSDDA >DRNTG_00275.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1731004:1731606:1 gene:DRNTG_00275 transcript:DRNTG_00275.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSIVKLKPEERKAPKCYNASIQLTASDRTTSNPPVHADASLPPPSSCNGHTERENI >DRNTG_00275.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1725394:1731976:1 gene:DRNTG_00275 transcript:DRNTG_00275.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSIVKLKPEERKAPKCYNASIQLTASDRTTSNPPVHADASLPPPSSNHHRCSSSFQLLQWQQRDI >DRNTG_00275.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1725394:1731976:1 gene:DRNTG_00275 transcript:DRNTG_00275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSIVKLKPEERKAPKCYNASIQLTASDRTTSNPPVHADASLPPPSSNHHRCSSSFQLLQWQQRDI >DRNTG_00275.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1725394:1731976:1 gene:DRNTG_00275 transcript:DRNTG_00275.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSIVKLKPEERKAPKCYNASIQLTASDRTTSNPPVHADASLPPPSSTNHHRCSSSFQLLQWQQRDI >DRNTG_00275.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1725394:1731976:1 gene:DRNTG_00275 transcript:DRNTG_00275.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSIVKLKPEERKAPKCYNASIQLTASDRTTSNPPVHADASLPPPSSNHHRCSSSFQLHRSRPPSIPTFTVASPPPRSSSNGGGERERERGAMDGGEKASC >DRNTG_00275.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1725394:1731976:1 gene:DRNTG_00275 transcript:DRNTG_00275.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSIVKLKPEERKAPKCYNASIQLTASDRTTSNPPVHADASLPPPSSNHHRCSSSFQLLQWQQRDI >DRNTG_00275.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1725394:1729171:1 gene:DRNTG_00275 transcript:DRNTG_00275.8 gene_biotype:protein_coding transcript_biotype:protein_coding LNNTVIKPPLLPIQQHLPSENHISPQPPCSSTTNQTKLPKQSAKRGKIPKPANESRSVDLPDPEGPIL >DRNTG_00275.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1725394:1731976:1 gene:DRNTG_00275 transcript:DRNTG_00275.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSIVKLKPEERKAPKCYNASIQLTASDRTTSNPPVHADASLPPPSSNHHRCSSSFQLLQWQQRDI >DRNTG_00275.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1725394:1731976:1 gene:DRNTG_00275 transcript:DRNTG_00275.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSIVKLKPEERKAPKCYNASIQLTASDRTTSNPPVHADASLPPPSSNHHRCSSSFQLHRSRPPSIPTFTVASPPPRSSSNGGGERERERGAMDGGEKASC >DRNTG_27391.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001396.1:9586:10529:-1 gene:DRNTG_27391 transcript:DRNTG_27391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSSTARDLDVQFTKTFHEWLVEDVFCGRDVTEEVRFLAQGPNRIVKRYKGYIINGFLFHTKSRERLRRTQNTTGVVNVKIGMIAHSSQKIGLQYESWHKVPKTLKDELLNFIETRFVLEIPKDYVLKSLGKKWRDNKHDLKRKYFKRENGLQANKEKHPEGLLASNGKS >DRNTG_17381.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8894130:8906386:1 gene:DRNTG_17381 transcript:DRNTG_17381.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHNGILTVSCIRALTRIALKLSASVPVDHVYGFIKPFRNSEKTPWKVKIEASRALLDLEFYFKGLDAALTLFIRFLEEEPSVRGEVKLATHVMHLCQLNVESEFRNGIASPTLLALLHLLASKKAFNNVFLRHHLFSILQIVAGRSPTLYVPKIPAHSMMDSDFYTNYIRPALLRLGISRHQEPLADAPSDGPSLADPVKDIDTVSNCSERSAVLKLRISRPQEPQADTSTLSDARPVTEALKDADNISNCSEKSASLKLKIPQGLATETPNISDAPPIPEATKNTDTGSNCSEKRAHILKIKVKQPASSSKADDADYPKDHLHGGQNGTDLGPCSSVSVDAPARVGDNEPSHANNTNVEEVNSSHDPESRMSASIGSAKLVNIDEVGKELQCTADSRNTMAHRSDDQLSPMVNTNVGETVIEESSFLKISSNLTGDGGLTAVIDNPEGEQKEKKTKKDKDKKKKREDKAHIDDPSYLEHKRQKKEKKRMEKELVKMQKREARLSLDLQNPGRSTDLEGNSYAEESRRGEEAIEQNIPRSDTLQVSFPTKIKIKLKGKNISGT >DRNTG_17381.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8894130:8906386:1 gene:DRNTG_17381 transcript:DRNTG_17381.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHNGILTVSCIRALTRIALKLSASVPVDHVYGFIKPFRNSEKTPWKVKIEASRALLDLEFYFKGLDAALTLFIRFLEEEPSVRGEVKLATHVMHLCQLNVESEFRNGIASPTLLALLHLLASKKAFNNVFLRHHLFSILQIVAGRSPTLYVPKIPAHSMMDSDFYTNYIRPALLRLGISRHQEPLADAPSDGPSLADPVKDIDTVSNCSERSAVLKLRISRPQEPQADTSTLSDARPVTEALKDADNISNCSEKSASLKLKIPQGLATETPNISDAPPIPEATKNTDTGSNCSEKRAHILKIKVKQPASSSKADDADYPKDHLHGGQNGTDLGPCSSVSVDAPARVGDNEPSHANNTNVEEVNSSHDPESRMSASIGSAKLVNIDEVGKELQCTADSRNTMAHRSDDQLSPMVNTNVGETVIEESSFLKISSNLTGDGGLTAVIDNPEGEQKEKKTKKDKDKKKKREDKAHIDDPSYLEHKRQKKEKKRMEKELVKMQKREARLSLDLQNPGRSTDLEGNSYAEESRRGEEAIEQNIPRSDTLQVSFPTKIKIKLKGKNISGT >DRNTG_00821.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19356271:19357116:-1 gene:DRNTG_00821 transcript:DRNTG_00821.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERELMEAKVRLEKKNNEEREERTRSHAKRRVHTASMSSSLRPYAL >DRNTG_30829.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:506342:509635:-1 gene:DRNTG_30829 transcript:DRNTG_30829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMNSNSSNSSSSSNNNNNNNNWLGFSLSPQLNMDLSTEAANHEHQAQCSFFLPFGAQPDIHGGFYSQQLSIMPLKSDGSLCIMEGIVPTPSPKLEDFLGGGAGGPNIGTHQYGNNDGETVALSLDSSMYYHQNPEHQSNPRVNHPLDLLHHPSYFQPLPEPICSGLPNQDMYQNHHQQQQQQQQQPLEEVSMVDEGMPNLKNWVARHYGDLQSLNLSMSPGSQSSSCVTAPHHHHHQHHHQLSTTTECIALDSTKKRGTGKGGHKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQVYLGGYDMEEKAARAYDLAALKYWGTSTHINFPLENYHEELEEMKNMSRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGVNAVTNFDITRYDVEKIMASSTLLTADLARRITALPDAVIDVPAVNNSSNEELSSGGSDWKMALYQSPQQVSAASLHGIAAAMEDAGDVGNVELSRERSPEGTGTVTVAGGELSMLHSRPLPPPPPPPSSMFIGASPVTVSPWIHLPLFAAWTDA >DRNTG_30829.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:506342:507721:-1 gene:DRNTG_30829 transcript:DRNTG_30829.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFYYRDEHFFGVDLGGYDMEEKAARAYDLAALKYWGTSTHINFPLENYHEELEEMKNMSRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGVNAVTNFDITRYDVEKIMASSTLLTADLARRITALPDAVIDVPAVNNSSNEELSSGGSDWKMALYQSPQQVSAASLHGIAAAMEDAGDVGNVELSRERSPEGTGTVTVAGGELSMLHSRPLPPPPPPPSSMFIGASPVTVSPWIHLPLFAAWTDA >DRNTG_02470.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2137277:2140854:1 gene:DRNTG_02470 transcript:DRNTG_02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKARECLPLILIMRNRLKYALTYREVIAILMQRHVLVDGKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSIKDEEAKFKLCKVRSVQFGHKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGSFETIHVQDATGHEFATRLGNVFTIGKGTKPWVSLPKGKGYQA >DRNTG_18961.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11007024:11020390:-1 gene:DRNTG_18961 transcript:DRNTG_18961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCGLRLPNSIPFQKPFDPLSESSPTRDP >DRNTG_32586.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24002259:24005555:1 gene:DRNTG_32586 transcript:DRNTG_32586.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLKMISVEGKDEDADHAVGLLDAAKDTNVNKKIATSPLFKILMEAPRLSINSALDKWVEEGNALGRNEISTVTLNLRKWKFYGKALQFAEWLETNKRLDFTERDYASYVDLIAKVHGIQRAEKYIEKIPKSLRGEVIYRTLLANCVAAGNLKKSEEVFHKIRDLGFPITTFAINQLLLLYKRVDRKKIADVLVLMEKESVKPSLFTYWLLIDTKGRANDIPGMEQVVGKMKAEGMEPDLNIQAMLAKHYIFGGLKEKAESVLKEMEGDDIMENRYACKSLLPLYAALGKAEDVERVWKVCQTHPRLDECLAAIDAWGKLDNVENAEKVFEDLMRTWKRPSSKYYNALLKVYANHKLLSKGKELAKRMSDNGCRIGPLTWDALVKLYVESGEVEKADSILQKASQQNQIKPLYSSYLTVLDQYAKRGDVHNAEKIFHRLKQIGYAGRMRQYQALLQAYINAKTPAYGFRDRMKADNMFPNKPVAAQLAAVDAFRKTQISELLD >DRNTG_32586.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23999003:24005555:1 gene:DRNTG_32586 transcript:DRNTG_32586.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIFIIMQFTEWLETNKRLDFTERDYASYVDLIAKVHGIQRAEKYIEKIPKSLSGEVIYRTLLANCVAAGNIKKSEEVFNKIRDLGFPITTFAINQLLLLYKRVDRKKIADVLVLMEKENVKPSLFTYWLLIDTKGRANDIPGMEQVVGKMKAEGMEPDLNIQAMLAKHYIFGGLKEKAESVLKEMEGDDIMENRYACKSLLPLYAALGKAEDVERVWKVCQTHPRLDECLAAIDAWGKLDNVENAEKVFEDLMRTWKRPSSKYYNALLKVYANHKLLSKGKELAKRMSDNGCRIGPLTWDALVKLYVESGEVEKADSILQKASQQNQIKPLYSSYLTVLDQYAKRGDVHNAEKIFHRLKQIGYAGRMRQYQALLQAYINAKTPAYGFRDRMKADNMFPNKPVAAQLAAVDAFRKTQISELLD >DRNTG_32586.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23997663:24005555:1 gene:DRNTG_32586 transcript:DRNTG_32586.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKENVKPSLFTYWLLIDTKGRANDISGMEQVVEKMKADGMEPDLNIQAMLAKHYIFGGLKEKAESMLKQMEGDDIKENRYACKSLLRLYADLGKAEDVERVWKVCQTHPRLDECLAAIDAWGKLDNVENAEKVFEDLMRTWKRRPSSKYYNALLKVYANHKLLSKGKELAKRMSDNGCRIGPLTWDALVKLYVESGEVEKADSILQKAAQQNQIKPLYSSYLTVLDQYAKRGDVHNAEKIFHRLKQIGYAGRMRQYQALLQAYINAKTPAYGFRDRMKADNMFPNKPVAAQLAAVDAFRKTQISELLD >DRNTG_32586.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23999003:24005555:1 gene:DRNTG_32586 transcript:DRNTG_32586.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIFIIMQFTEWLETNKRLDFTERDYASYVDLIAKVHGIQRAEKYIEKIPKSLSGEVIYRTLLANCVAAGNIKKSEEVFNKIRDLGFPITTFAINQLLLLYKRVDRKKIADVLVLMEKENVKPSLFTYWLLIDTKGRANDISGMEQVVEKMKADGMEPDLNIQAMLAKHYIFGGLKEKAESMLKQMEGDDIKENRYACKSLLRLYADLGKAEDVERVWKVCQTHPRLDECLAAIDAWGKLDNVENAEKVFEDLMRTWKRRPSSKYYNALLKVYANHKLLSKGKELAKRMSDNGCRIGPLTWDALVKLYVESGEVEKADSILQKASQQNQIKPLYSSYLTVLDQYAKRGDVHNAEKIFHRLKQIGYAGRMRQYQALLQAYINAKTPAYGFRDRMKADNMFPNKPVAAQLAAVDAFRKTQISELLD >DRNTG_32586.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23996747:24005555:1 gene:DRNTG_32586 transcript:DRNTG_32586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLKMISVEGKDEDADHAVGLLDAAKDTNVNKKIATSPLFKILMEAPRLSINSALDKWVEEGNALGRNEISTVTLNLRKWKFYGKALQFAEWLETNKRLDFTERDYASYVDLIAKVHGIQRAEKYIEKIPKSLRGEVIYRTLLANCVAAGNLKKSEEVFHKIRDLGFPITTFAINQLLLLYKRVDRKKIADVLVLMEKESVKPSLFTYWLLIDTKGRANDIPGMEQVVGKMKAEGMEPDLNIQAMLAKHYIFGGLKEKAESVLKEMEGDDIMENRYACKSLLPLYAALGKAEDVERVWKVCQTHPRLDECLAAIDAWGKLDNVENAEKVFEDLMRTWKRPSSKYYNALLKVYANHKLLSKGKELAKRMSDNGCRIGPLTWDALVKLYVESGEVEKADSILQKASQQNQIKPLYSSYLTVLDQYAKRGDVHNAEKIFHRLKQIGYAGRMRQYQALLQAYINAKTPAYGFRDRMKADNMFPNKPVAAQLAAVDAFRKTQISELLD >DRNTG_32586.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23997663:24000755:1 gene:DRNTG_32586 transcript:DRNTG_32586.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEKENVKPSLFTYWLLIDTKGRANDISGMEQVVEKMKADGMEPDLNIQAMLAKHYIFGGLKEKAESMLKQMEGDDIKENRYACKSLLRLYADLGKAEDVERVWKVCQTHPRLDECLAAIDAWGKLDNVENAEKVFEDLMRTWKRRPSSKYYNALLKVYANHKLLSKGKELAKRMSDNGCRIGPLTWDALVKLYVESGEVEKADSILQKAAQQNQIKPLYSSYLTVLDQYAKRGDVHNAEKIFHRLKQIGYAGRMRQYQALLQAYINAKTPAYGFRDRMKADNMFPNKPVAAQLAAVDAFRKTQISELLD >DRNTG_32586.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24001622:24005555:1 gene:DRNTG_32586 transcript:DRNTG_32586.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLKMISVEGKDEDADHAVGLLDAAKDTNVNKKIATSPLFKILMEAPRLSINSALDKWVEEGNALGRNEISTVTLNLRKWKFYGKALQFAEWLETNKRLDFTERDYASYVDLIAKVHGIQRAEKYIEKIPKSLRGEVIYRTLLANCVAAGNLKKSEEVFHKIRDLGFPITTFAINQLLLLYKRVDRKKIADVLVLMEKESVKPSLFTYWLLIDTKGRANDIPGMEQVVGKMKAEGMEPDLNIQAMLAKHYIFGGLKEKAESVLKEMEGDDIMENRYACKSLLPLYAALGKAEDVERVWKVCQTHPRLDECLAAIDAWGKLDNVENAEKVFEDLMRTWKRPSSKYYNALLKVYANHKLLSKGKELAKRMSDNGCRIGPLTWDALVKLYVESGEVEKADSILQKASQQNQIKPLYSSYLTVLDQYAKRGDVHNAEKIFHRLKQIGYAGRMRQYQALLQAYINAKTPAYGFRDRMKADNMFPNKPVAAQLAAVDAFRKTQISELLD >DRNTG_32586.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23999003:24005555:1 gene:DRNTG_32586 transcript:DRNTG_32586.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIFIIMQFTEWLETNKRLDFTERDYASYVDLIAKVHGIQRAEKYIEKIPKSLSGEVIYRTLLANCVAAGNIKKSEEVFNKIRDLGFPITTFAINQLLLLYKRVDRKKIADVLVLMEKENVKPSLFTYWLLIDTKGRANDISGMEQVVEKMKADGMEPDLNIQAMLAKHYIFGGLKEKAESMLKQMEGDDIKENRYACKSLLRLYADLGKAEDVERVWKVCQTHPRLDECLAAIDAWGKLDNVENAEKVFEDLMRTWKRRPSSKYYNALLKVYANHKLLSKGKELAKRMSDNGCRIGPLTWDALVKLYVESGEVEKADSILQKAAQQNQIKPLYSSYLTVLDQYAKRGDVHNAEKIFHRLKQIGYAGRMRQYQALLQAYINAKTPAYGFRDRMKADNMFPNKPVAAQLAAVDAFRKTQISELLD >DRNTG_23080.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20427272:20432700:1 gene:DRNTG_23080 transcript:DRNTG_23080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGRRANQVYIIDFGLAKKYRDSSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGFVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPSEFASYFHYCRSLRFDDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQMAGAPSRVLGSGIGTSSGMAPAVPNMDRQQGYEEGRTNLSSTDPSRRRIFAPAGNTGSSSKQKNPVGGESVVSKEAMLSSLTFFGRSSGSARRAAVSSSRDVMAGNEGEQSRTRATEASPGNFRKFSGPQRSSPVASAEPRRTTSGRHPSNIKTYESTLKGIEHLNFDGNEKYHY >DRNTG_23080.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20427272:20432700:1 gene:DRNTG_23080 transcript:DRNTG_23080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGSKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKIYRLLQGGTGIPNVRWFGVESDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMVQLYYS >DRNTG_23080.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20427272:20432700:1 gene:DRNTG_23080 transcript:DRNTG_23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGSKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKIYRLLQGGTGIPNVRWFGVESDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRLEFVHGKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDSSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGFVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPSEFASYFHYCRSLRFDDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQMAGAPSRVLGSGIGTSSGMAPAVPNMDRQQGYEEGRTNLSSTDPSRRRIFAPAGNTGSSSKQKNPVGGESVVSKEAMLSSLTFFGRSSGSARRAAVSSSRDVMAGNEGEQSRTRATEASPGNFRKFSGPQRSSPVASAEPRRTTSGRHPSNIKTYESTLKGIEHLNFDGNEKYHY >DRNTG_28006.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001422.1:63501:65244:-1 gene:DRNTG_28006 transcript:DRNTG_28006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDENLKKSKLSWSKSLVRKWFNIKSKAQDFYADYSVGRGDEEWRTSFSEMESCTVKKSKTERFLKKKPDRLRGKFTNHDSVVQVTELQDYRIFVATWNVGGKSPPSYMNLEDWLHASPPADIYVLGFQEIVPLNAGNVLGAEDNGPAKKWVALIRKTLNNLPGGSNNGGYQTPSPVPDPIVELDDDFEGSSTRQKASSFFHRHSFQSLSRSLRRDEDMLAQQPRLDRRYSVCDRVSFGRRPSDYDSTYRWGG >DRNTG_08503.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000381.1:39099:40519:1 gene:DRNTG_08503 transcript:DRNTG_08503.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGSGMQLPWLRGDQKVQEKEVVTEGSLLAMGAYSVVTSGFGDLEKAPRGGAGYQRPQGFVPGDGKTTLKIMSYNVCSNEEVHVNERMKAIGELIEHHSPDIVLLQDVTKHIYEIFMSFSWWDLYKCSVSPEKASRKTEQFCIILSKFPVRGFISKQFSDTEMGRSWCSADIDTGLDKKLIITTCQLESCTAENNNSSKRLAQVEELQALSKYAPNVIWGGAFNWDEDKDKAFPLNDGLMDAWETLKPAENGGNYDTETNSMRKNQNHLQKRFDRFIYRLEDFSCKSVELIGTEAINGVLPSDHYGLILIISFE >DRNTG_32211.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31460954:31464614:-1 gene:DRNTG_32211 transcript:DRNTG_32211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLKRRRPWRRLLRGFSVWSFGDASNGALGLDSPMLDAYEPSRVDSLPESVVAVAAGHYHSLAVTAEGEIWAWGRNEEGQLGRGGVAQRDTWRKPEKVIGLDHVKVRAAFASGVVSAAVGVDGSLWVWGKSKRGQLGLGKGVVEAVYPSKVEALSGLDITKVSFGWGHALAQTKEGKLFGWGYSEDGRSGHMGQTLNTATTQLPRAQELVEESTLLEVAEKLVAEKIEKEDKMPIIWQPTMVTELSFLNISDIACGLDHSLVLCSDGKLLSFGSNTYGQLGRNTEGSVILPVLVDLHPLTVSAGLGHSLVLCRIPSNESAREDNAVLSWGWNCSYQLGRQGPETIPGLVEGLEGERPVSISAGRVHSIVLTSKGEVWSWGSGRNGRLGLGSSTDEMEPALIESLDGSEVLQAVAGFDHNLLLVAE >DRNTG_32211.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31460954:31463072:-1 gene:DRNTG_32211 transcript:DRNTG_32211.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTLNTATTQLPRAQELVEESTLLEVAEKLVAEKIEKEDKMPIIWQPTMVTELSFLNISDIACGLDHSLVLCSDGKLLSFGSNTYGQLGRNTEGSVILPVLVDLHPLTVSAGLGHSLVLCRIPSNESAREDNAVLSWGWNCSYQLGRQGPETIPGLVEGLEGERPVSISAGRVHSIVLTSKGEVWSWGSGRNGRLGLGSSTDEMEPALIESLDGSEVLQAVAGFDHNLLLVAE >DRNTG_32211.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31460954:31463072:-1 gene:DRNTG_32211 transcript:DRNTG_32211.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTLNTATTQLPRAQELVEESTLLEVAEKLVAEKIEKEDKMPIIWQPTMVTELSFLNISDIACGLDHSLVLCSEFLDLPFFP >DRNTG_32211.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31464379:31464614:-1 gene:DRNTG_32211 transcript:DRNTG_32211.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLKRRRPWRRLLRGFSVWSFGDASNGALGLDSPMLDAYEPSRVDSLPESVVAVAAGHYHSLAVTAEGEIWAWGR >DRNTG_32211.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31460954:31462279:-1 gene:DRNTG_32211 transcript:DRNTG_32211.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTLNTATTQLPRAQELVEESTLLEVAEKLVAEKIEKEDKMPIIWQPTMVTELSFLNISDIACGLDHSLVLCSDGKLLSFGSNTYGQLGRNTEGSVILPVLVDLHPLTVSAGLGHSLVLCRIPSNESAREDNAVLSWGWNCSYQLGRQGPETIPGLVEGLEGERPVSISAGRVHSIVLTSKGEVWSWGSGRNGRLGLGSSTDEMEPALIESLDGSEVLQAVAGFDHNLLLVAE >DRNTG_19560.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2944509:2947401:-1 gene:DRNTG_19560 transcript:DRNTG_19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYDEMVIGEEEAIWIVKFLLPYINEILLNIKALFSGDPATTMKLAVALFVMARCGSSITIWTLSKLLFFGVFTIPKLCSSSSFQLARYGKFWLERVKDGWESCTHKKAVAGAIFTVLWNLSSTVARIWGVFMLLVAMKFYQQQCMVAQEWDVEEVEEEVVQQEAEDSKAVNGPVMGTTLGSGPRSHRHKSGPIRERKLKKWN >DRNTG_08467.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24948451:24949397:-1 gene:DRNTG_08467 transcript:DRNTG_08467.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRFLAARFRPIPISQNLDPYLLRGLSTFPNHRSPSPPCPIDISARARKLQSRRLWMYTLTFGCVTGLIVIVLANFEDQLVFYITPSMPFQKFSTDTSKSRFRRGGLVLEDNVMYPPSSQRWSSSLSISSLTSSFALRARCQIFYGRGPQWWWRIS >DRNTG_08467.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24946661:24949397:-1 gene:DRNTG_08467 transcript:DRNTG_08467.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRFLAARFRPIPISQNLDPYLLRGLSTFPNHRSPSPPCPIDISARARKLQSRRLWMYTLTFGCVTGLIVIVLANFEDQLVFYITPSMPFQKFSTDTSKSRFRRGGLVLEDNVMYPPSSQRWSSSLSISSLTSSFALRARCQIFYGRGPQWWWRIS >DRNTG_08467.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24948643:24949397:-1 gene:DRNTG_08467 transcript:DRNTG_08467.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRFLAARFRPIPISQNLDPYLLRGLSTFPNHRSPSPPCPIDISARARKLQSRRLWMYTLTFGCVTGLIVIVLANFEDQLVFYITPSMPFQKFSTDTSKSRFRRGGLVLEDNVMYPPSSQRWSSSLSISSLTSSFALRARCQIFYGRGPQWWWRIS >DRNTG_08467.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24945100:24949397:-1 gene:DRNTG_08467 transcript:DRNTG_08467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRFLAARFRPIPISQNLDPYLLRGLSTFPNHRSPSPPCPIDISARARKLQSRRLWMYTLTFGCVTGLIVIVLANFEDQLVFYITPSMPFQKFSTDTSKSRFRRGGLVLEDNVMYPPSSQRWSSSLSISSLTSSFALRARCQIFYGRGPQWWWRIS >DRNTG_25633.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21922095:21922991:-1 gene:DRNTG_25633 transcript:DRNTG_25633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTKKKKKKKHIHKSHKKFQNSNSTNQHLWETIFLYIASL >DRNTG_28746.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31132463:31135662:-1 gene:DRNTG_28746 transcript:DRNTG_28746.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLAARIKAETPFTSVSASDFLFGCLGLSSKNSSKDRVGLSNSSSKVSASLSSVPPTPRSEGEILQSSNVKSFTFNDLKMATRNFRPDSVLGEGGFGSVFKGWIDEHTLAAAKAGTGIIIAVKRLNQEGFQGHKEWLAEVNYLGQLYHPNLVKLIGYCLEDEQRLLVYEFMPRGSLENHLFRRGSYFQPLSWNLRMKVALGAARGLAFLHSAENQVIYRDFKTSNVLLDSDYNAKLSDFGLAKDGPTGDKSHVSTRVMGTQGYAAPEYLATGHLTSKSDVYSFGVVLLEMLSGRRAVDKNRPAGEHILVEWARPYLTSKRRFFRVLDSRLEGQYSLGGAQAVAALALQCLATDSRFRPNMDEVVLALEQLQNSKTSSVNVNTANDNHKANRRSSEAAGNHNTSHPKAIK >DRNTG_28746.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31132463:31135662:-1 gene:DRNTG_28746 transcript:DRNTG_28746.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNFRPDSVLGEGGFGSVFKGWIDEHTLAAAKAGTGIIIAVKRLNQEGFQGHKEWLAEVNYLGQLYHPNLVKLIGYCLEDEQRLLVYEFMPRGSLENHLFRRGSYFQPLSWNLRMKVALGAARGLAFLHSAENQVIYRDFKTSNVLLDSDYNAKLSDFGLAKDGPTGDKSHVSTRVMGTQGYAAPEYLATGHLTSKSDVYSFGVVLLEMLSGRRAVDKNRPAGEHILVEWARPYLTSKRRFFRVLDSRLEGQYSLGGAQAVAALALQCLATDSRFRPNMDEVVLALEQLQNSKTSSVNVNTANDNHKANRRSSEAAGNHNTSHPKAIK >DRNTG_28746.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31132463:31135662:-1 gene:DRNTG_28746 transcript:DRNTG_28746.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNFRPDSVLGEGGFGSVFKGWIDEHTLAAAKAGTGIIIAVKRLNQEGFQGHKEWLAEVNYLGQLYHPNLVKLIGYCLEDEQRLLVYEFMPRGSLENHLFRRGSYFQPLSWNLRMKVALGAARGLAFLHSAENQVIYRDFKTSNVLLDSDYNAKLSDFGLAKDGPTGDKSHVSTRVMGTQGYAAPEYLATGHLTSKSDVYSFGVVLLEMLSGRRAVDKNRPAGEHILVEWARPYLTSKRRFFRVLDSRLEGQYSLGGAQAVAALALQCLATDSRFRPNMDEVVLALEQLQNSKTSSVNVNTANDNHKANRRSSEAAGNHNTSHPKAIK >DRNTG_28746.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31132463:31135662:-1 gene:DRNTG_28746 transcript:DRNTG_28746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLAARIKAETPFTSVSASGLSSKNSSKDRVGLSNSSSKVSASLSSVPPTPRSEGEILQSSNVKSFTFNDLKMATRNFRPDSVLGEGGFGSVFKGWIDEHTLAAAKAGTGIIIAVKRLNQEGFQGHKEWLAEVNYLGQLYHPNLVKLIGYCLEDEQRLLVYEFMPRGSLENHLFRRGSYFQPLSWNLRMKVALGAARGLAFLHSAENQVIYRDFKTSNVLLDSDYNAKLSDFGLAKDGPTGDKSHVSTRVMGTQGYAAPEYLATGHLTSKSDVYSFGVVLLEMLSGRRAVDKNRPAGEHILVEWARPYLTSKRRFFRVLDSRLEGQYSLGGAQAVAALALQCLATDSRFRPNMDEVVLALEQLQNSKTSSVNVNTANDNHKANRRSSEAAGNHNTSHPKAIK >DRNTG_23858.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001280.1:89731:91792:-1 gene:DRNTG_23858 transcript:DRNTG_23858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCVENKNNNITSGATTSSSSTTEFDSKRSDESTSTTCPSCGQIIKDQQDKEFQT >DRNTG_05110.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2100063:2100708:1 gene:DRNTG_05110 transcript:DRNTG_05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMLDNSFPGSSRVATRAYRGWDAREDENGLHLRIDMPGLDKEHVRVSAEQGTLVIKGECESELEDEPPRRYSSRVDLPSQD >DRNTG_13446.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19233481:19240690:-1 gene:DRNTG_13446 transcript:DRNTG_13446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRELTPVSDLMMVYARAEFLHRRVFLCREFLIHPEKTQGRAPALVNYRVRIHGCVELSHGRVKHLENFLDWTEKSQGHVSAPVGLSCGVIQAWLGFREVLARALERFYGSDITRHLEEVGMLECDCPCATPNSYANSSTRRLSTVADTVANTVSGIVAARPRNQKNRESTRPCGNYPRPCGNSTGACDIIHARAVGRFLSYLKPISTPISEFFSLSFPQLVRGFRLGFRGVLAKVLERFYGSNIVIPLGRRLVGELRSRCILYRTKESLDDE >DRNTG_28847.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001451.1:35768:37195:-1 gene:DRNTG_28847 transcript:DRNTG_28847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVHARGEFPHRRVEDLISFSDVQGSHRGLRLPLWVWRTSVDIFRKPVGDHSKSIMAPRSKKQADKGPRESSCELEGMRFAIPEHQHGDKFADKVEDLVSDCGWWQLLTIRELAIREFALERAYRVLCGQGQYEPGVSKATCLSRPAYRYLHAIMSRSVNGRGDSTGVLSRQELLYLYSMVERVSIHLGHILDDYIRH >DRNTG_03725.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12182205:12182891:-1 gene:DRNTG_03725 transcript:DRNTG_03725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREPDPNLGFLTKRDTEVKLPRPTQVKNKTPAPIQITAEQILREARERQEPDIRPPKQKITDHTELSDYRLRRRKEFEDLIRRVRWNTSVWVKYASWEETQQDLARTRSVWERALEVDYRNHTLWLKYAEFEMRNRCVNHARNVWDRAVTLLPRVDQLWYKYIHMEEMLRNIAGARQVFERWMDWQPDMQGWLSYIKFELRYGVGVLISGEMQHRRALPRWYHAFL >DRNTG_14762.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7078641:7081229:-1 gene:DRNTG_14762 transcript:DRNTG_14762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSSSSSSSTFHSTIQSPSTTLIINPTNAPTSHSHRHSDEKNLGDISMQFGKARCCDVFIGSSLIVPWEMHFVKWLRAELKMQGFSCSLSDRSRLRDARSLAVARATMDATAVGMVVVTTRAFSNPYSVEELQVFLDSGKLIPIFLGLTQSECLPRDIMERRGNLWEKHGGPCWTSYGGIEKEWMEVINGLSRVDVKLEVKEDNMRSCILVAVRILGTILGRKSTVERVRRWVEFAEEEFPFPRNTSFVGREKELLELESLLFGDGRGKGEDEIMKTSSDQNPSLSRLTEERVVGEISEVVPRKGKEPAVWQDFDGDDDDDDNDKIELQFRNGIALVTGDSGIGKTELLLEFAYRFSQRYKMVLWVGGEARYIRRNYMKLLPLLGVDIDVVTENELSLGKQGGGTKIFTDMEGEAIRKVRRELMRDIPFLLVIDNLEKEEDWWDGRNVMELLPRLGGETHVLISTRLPHALNIRPQKLLHLSSSDAMLLMRGSLVDLPVEDINALRAIEEKLGRLPLALGLVGAVLAELQISPCKLLDKINGMPYRQLPRSSNKEDLMLRRNPFIVRLLDFCFSIFYQTEKPKKLPLRMIQSSSWFAPAPFPVSMLALAGKDISEGSLGSLLWKICRRRFTCMCMRQLNNVKSSEDHELADIMVRLRIARSCTKIGCIYVHDIIKLYARNTDNANNAHAVVQAISTEGSIQEHSDHAWAACFLVFKFGNTPSVVDLSVQGMVSFIKRIVLPLAMQDLRAFSQFTCVLELLRVATEALETMEDLFLSNDDSSSNKSPVQFDPHLYNEFAHLRATLLESRAKLMIRGGLYDIAEQLCRTTLNIKEVMCGWEHPETQATRQMMEKLIRLQSNF >DRNTG_08039.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30251740:30252963:1 gene:DRNTG_08039 transcript:DRNTG_08039.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMQHQLIIILKHQQVRFFNVKFGFKFVTCYGAKFVVLLVEGSSDHGKSSDVLPHLRNRRVMVRLGMNMPAKVVHATRRHDSEGQLISESTPGEFYHVILFFGIIDILQDYDISKKLEHAYKSIQYDSTSISAVDPKLYAKRFKDFMYRVFIEDS >DRNTG_08039.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30251740:30252963:1 gene:DRNTG_08039 transcript:DRNTG_08039.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLGMNMPAKVVHATRRHDSEGQLISESTPGEFYHVILFFGIIDILQDYDISKKLEHAYKSIQYDSTSISAVDPKLYAKRFKDFMYRVFIEDS >DRNTG_08039.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30250731:30251650:1 gene:DRNTG_08039 transcript:DRNTG_08039.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLFKVDTADYMLSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTVKKAEVKVLLKMLPAYYNHVRSYENTLVTKFYGLHCVKVTGSNQKKVRFVIMGNLCCTEYSIHRRYDLKGSSHGRTTEKPDVEIDENTTLKDLDLTFAFRLQKNWFQEFER >DRNTG_08039.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30249933:30256442:1 gene:DRNTG_08039 transcript:DRNTG_08039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQCKVCQDEVMPILPSQKMINLAGDGKGRRRASADAPGTTVRRPNGRTKTMVCIDNGCKWEADEDAASQSTERSANASEEMGGRSGRSVAPLRWSGREMKRQGVTISKGHKNYELMLNLQLGIRHAVGKQAPTASFDLKASAFDPKEKVWTRFPPEGSKHTPPHQSCDFRWKDYCPKVFRMLRSLFKVDTADYMLSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTVKKAEVKVLLKMLPAYYNHVRSYENTLVTKFYGLHCVKVTGSNQKKVRFVIM >DRNTG_08039.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30249933:30252963:1 gene:DRNTG_08039 transcript:DRNTG_08039.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQCKVCQDEVMPILPSQKMINLAGDGKGRRRASADAPGTTVRRPNGRTKTMVCIDNGCKWEADEDAASQSTERSANASEEMGGRSGRSVAPLRWSGREMKRQGVTISKGHKNYELMLNLQLGIRHAVGKQAPTASFDLKASAFDPKEKVWTRFPPEGSKHTPPHQSCDFRWKDYCPKVFRMLRSLFKVDTADYMLSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTVKKAEVKVLLKMLPAYYNHVRSYENTLVTKFYGLHCVKVTGSNQKKVRFVIMGNLCCTEYSIHRRYDLKGSSHGRTTEKPDVEIDENTTLKDLDLTFAFRLQKNWFQEFERQIDKDCEFLEQEKIMDYSLLVGIHFRDSLSNDATSTDNYSQTSTSSSDHGKSSDVLPHLRNRRVMVRLGMNMPAKVVHATRRHDSEGQLISESTPGEFYHVILFFGIIDILQDYDISKKLEHAYKSIQYDSTSISAVDPKLYAKRFKDFMYRVFIEDS >DRNTG_08039.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30250990:30251358:1 gene:DRNTG_08039 transcript:DRNTG_08039.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLFKVDTADYMLSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTVKKAEVKVLLKMLPAYYNHVRSYENTLVTKFYGLHCVKVTGSNQKKV >DRNTG_31228.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001676.1:18354:25834:-1 gene:DRNTG_31228 transcript:DRNTG_31228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRAASRSVGELFGRASTSADASTEGGTGRVDRDEAMMELVREVVGMVRDQRQQHAAAPPPPPPPPPARPTSQELKEKTIMEFKRSGPLPFEGTTNPDDVEVWVEEMEKAFAVMKCNEEEKLSYAEVVGRAKSLDTVWGDTRDQSRRFQKKRDRSFDNKGDRNTGNGGRSKSDVGQNKSQTVGEPPAQRSRGLPPASSRSGQKKCSTCGGAHDSKDCRRVTGACYRCGSLEHHIAECPQMQSFGAQRSSTVQNSRHEPTPKPQGSVEEESIQVSDKAPPKPQKPSLQTLAISPIKSRTKK >DRNTG_05951.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20051250:20057176:-1 gene:DRNTG_05951 transcript:DRNTG_05951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVLTLDVICGVWQGGGLHGQPVEVAWSTGSLLRGPVQSYANLPSLSNVSCSPLFLPPRVLQMLLDSKCVDQPCDVQRAEWRGIPPPDCRGDYRVLWARGSGRDNFIVKMSARRPRTCSGVVAPIAKPTIAPPRGSGKICYQSLKLRNDRDVWMLFDCHKEIQILALLNCTSSLALQLSRVLAPSQQQTATDNQVQHNMGRERISTPYQVNEPERLSTEWRVQDDPPPNIDFTNLQHLQGGTSSFHDTHETEFGQSSSDDSFESCIAEARVFRFTAKYEGFRGQFRWECRALTGNSSGSRSHQLVNQWVNIKDMSSLKALNLAVATRRFERFLDHIVLCL >DRNTG_26824.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1998617:2007235:-1 gene:DRNTG_26824 transcript:DRNTG_26824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDQLPDFSDCDIDSVMGDLVKGQPSSDPMDLDTPNGDTEPFDINIGALEKFCKDAARAFFNEQGLISHQINSFNEFISDGIQNLFDSLGEVNVEPGYDPSKKGASDWRHATFSFGKVTLEKPKFWTGSSDITEEFTKFMPRHARLQNMTYSSLMKVEVRLQVYTHERSDKAKTGNETYVRRRVLSDETEEMIMGRIPVMVQSDLCWLHSSNKSDCLFDHGGYFLIKGAEKAFIAQEQRCSTRLWVTDTPNWTVQFQSQFKRKRVYVKLIDAPKAEGFRKEEVAREFVHGLIKSSKFPPSETFDECISTYLFPTITGCREKALFLGYMVKCMLLAYAGKRKLDNKDDFRNKRLDLAGELLARELWVHIRHLERYMIKKIQKDLYGDKDLKWEEKYLDASIITNGLKRAFSTGSWCHPYKKTERCSGVVATLRRTNPLQTMSDLRKVRQQVAYAGKAGDARYPNPSYWGKLCFLSTPEGENCGLVKNLSVTAIVSSKMAEPMLDKLISCGMEKLADVSLSSINTTDKVFLNGVWIGVCQDSSSFVMNLRQLRRKKLIHSQVEIKRDTHQREVRIFSDAGRILRPLLVVENLKKVKLLKGGVSSFQSLLDEEIIEFIGVEEEEDCRTAWGVRYLFAGDKGHTSPKYTHCELDLSFLLSLSGSIIPFANHNHARRVLFEAEKHSQQSIGFSTTNPNIRVDTLSHQLYYPQKPLFRTMVADCLGGSGYSLGRNDTMARPEYFNGQNAIVAVNVHQGFNQEDSLVMNRSSLERGLFRTEHLRSYKAEVDNNEFNANKGKDTKGKVEFGKIQSKKGRVDSLDDDGFPYIGANLQSGDMIIGKVAQSEEDHGIKLKHTEKGIVQKVVLSANDEGKNYAVVTLRQVRSPTIGDKFSSMHGQKGVVGFLESQENFPFTCQGIVPDIVINPHAFPTRQTPGQLLEAALGKGIAAGGTRRYATPFTTPSVDVITEQLHSAGYTRWGSEKVTNGRTGEMMRSLIFMGPTFYQRLIHMAEDKVKFRNTGPVHPLTRQPVADRKRFGGIKFGEMERDCLLAHGAAANLHERLFTLSDLSQMQVCQTCTRAATVIQRIMPGGKRIRGPYCRFCESAENIVKINVPYGAKLLFQELFSMGICLKFETELC >DRNTG_04812.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2344496:2344773:-1 gene:DRNTG_04812 transcript:DRNTG_04812.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKSKMAREKNLEKQKAAAKGSFFPQSLFLVLQSILFSELCESMAVQAASLKLIRRP >DRNTG_25475.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1615135:1621278:1 gene:DRNTG_25475 transcript:DRNTG_25475.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RIK [Source:Projected from Arabidopsis thaliana (AT3G29390) UniProtKB/Swiss-Prot;Acc:Q9LIA4] MTEDRLPKAAEEPSPANGSGKQRKKRKWDQPADSLMSAGMAVTGTMPSGVDGVYASVLPGVLPLSVPLHLNLATIAATSQSLQIPLIPPNTAAIVQKLSQPKIQDELIAREIVINDADPSVRYKLTKRQTQEEIQKSTGAVVITRGKYRPPNGLPDGEKPLYLHISAGAHLKDTVERIKAVDHAACMVEDMLKQCQSSLPASTVLPSVFSDGLATQSLNTCLYLGFEPDPSLNIATRIRGPNVCSSNFSCYI >DRNTG_25475.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1615135:1621278:1 gene:DRNTG_25475 transcript:DRNTG_25475.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RIK [Source:Projected from Arabidopsis thaliana (AT3G29390) UniProtKB/Swiss-Prot;Acc:Q9LIA4] MTEDRLPKAAEEPSPANGSGKQRKKRKWDQPADSLMSAGMAVTGTMPSGVDGVYASVLPGVLPLSVPLHLNLATIAATSQSLQIPLIPPNTAAIVQKLSQPKIQDELIAREIVINDADPSVRYKLTKRQTQEEIQKSTGAVVITRGKYRPPNGLPDGEKPLYLHISAGAHLKDTVERIKAVDHAACMVEDMLKQCQSSLPASTVLPSVFSDGLATQSLNTCLYLGFEPDPSLNIATRIRGPNDQYINHIMNETGATVVLRGHGSENPDNSSNEKTQQPLHLFLSSSNPTSLEDARILAENLLDTICAECGVSRISSSKTYNAVPPPQQLLAGLSSASSGSTCMPVVSMPTASLKPSGSSDSNTCSPIMAQPTPSQLNYSHPSVSGGTSYSGYGGIYPQATPLQQVALALRQAPPNTSSAATTSQAMVSPKTNTSSCVEIDKRPPQKRKFQELPVSSVRPMIPKQVFSLTSSEPGRYWTCHLDFALHVSQGIQVWSCEL >DRNTG_25475.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1615135:1621278:1 gene:DRNTG_25475 transcript:DRNTG_25475.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RIK [Source:Projected from Arabidopsis thaliana (AT3G29390) UniProtKB/Swiss-Prot;Acc:Q9LIA4] MTEDRLPKAAEEPSPANGSGKQRKKRKWDQPADSLMSAGMAVTGTMPSGVDGVYASVLPGVLPLSVPLHLNLATIAATSQSLQIPLIPPNTAAIVQKLSQPKIQDELIAREIVINDADPSVRYKLTKRQTQEEIQKSTGAVVITRGKYRPPNGLPDGEKPLYLHISAGAHLKDTVERIKAVDHAACMVEDMLKQCQSSLPASTVLPSVFSDGLATQSLNTCLYLGFEPDPSLNIATRIRGPNVCSSNFSCYI >DRNTG_25475.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1615135:1621278:1 gene:DRNTG_25475 transcript:DRNTG_25475.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RIK [Source:Projected from Arabidopsis thaliana (AT3G29390) UniProtKB/Swiss-Prot;Acc:Q9LIA4] MTEDRLPKAAEEPSPANGSGKQRKKRKWDQPADSLMSAGMAVTGTMPSGVDGVYASVLPGVLPLSVPLHLNLATIAATSQSLQIPLIPPNTAAIVQKLSQPKIQDELIAREIVINDADPSVRYKLTKRQTQEEIQKSTGAVVITRGKYRPPNGLPDGEKPLYLHISAGAHLKDTVERIKAVDHAACMVEDMLKQCQSSLPASTVLPSVFSDGLATQSLNTCLYLGFEPDPSLNIATRIRGPNDQYINHIMNETGATVVLRGHGSENPDNSSNEKTQQPLHLFLSSSNPTSLEDARILAENLLDTICAECGVSRISSSKTYNAVPPPQQLLAGLSSASSGSTCMPVVSMPTASLKPSGSSDSNTCSPIMAQPTPSQLNYSHPSVSGGTSYSGYGGIYPQATPLQQVALALRQAPPNTSSAATTSQAMVSPKTNTSSCVEIDKRPPQKRKFQELPVSSVRPMIPKQNLQQESEFLKPGLEDSSSEGLFSVPPPKKLFPTRSGDMLPSLARTMPPPPPPATPPSRSMPPPPPPATPPSRSMPPPPLPPKFSPAKDENRGSAVKRPGFGLISITNSKNTENRDIEPVPDTLLKLMEYGEEEDDTDGAGE >DRNTG_25475.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1615135:1621278:1 gene:DRNTG_25475 transcript:DRNTG_25475.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RIK [Source:Projected from Arabidopsis thaliana (AT3G29390) UniProtKB/Swiss-Prot;Acc:Q9LIA4] MNETGATVVLRGHGSENPDNSSNEKTQQPLHLFLSSSNPTSLEDARILAENLLDTICAECGVSRISSSKTYNAVPPPQQLLAGLSSASSGSTCMPVVSMPTASLKPSGSSDSNTCSPIMAQPTPSQLNYSHPSVSGGTSYSGYGGIYPQATPLQQVALALRQAPPNTSSAATTSQAMVSPKTNTSSCVEIDKRPPQKRKFQELPVSSVRPMIPKQVFSLTSSEPGRYWTCHLDFALHVSQGIQVWSCEL >DRNTG_25475.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1615135:1621278:1 gene:DRNTG_25475 transcript:DRNTG_25475.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RIK [Source:Projected from Arabidopsis thaliana (AT3G29390) UniProtKB/Swiss-Prot;Acc:Q9LIA4] MNETGATVVLRGHGSENPDNSSNEKTQQPLHLFLSSSNPTSLEDARILAENLLDTICAECGVSRISSSKTYNAVPPPQQLLAGLSSASSGSTCMPVVSMPTASLKPSGSSDSNTCSPIMAQPTPSQLNYSHPSVSGGTSYSGYGGIYPQATPLQQVALALRQAPPNTSSAATTSQAMVSPKTNTSSCVEIDKRPPQKRKFQELPVSSVRPMIPKQVPRDFSRPFNCVWFLRRMYCYFWIINLE >DRNTG_25475.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1615135:1621278:1 gene:DRNTG_25475 transcript:DRNTG_25475.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RIK [Source:Projected from Arabidopsis thaliana (AT3G29390) UniProtKB/Swiss-Prot;Acc:Q9LIA4] MTEDRLPKAAEEPSPANGSGKQRKKRKWDQPADSLMSAGMAVTGTMPSGVDGVYASVLPGVLPLSVPLHLNLATIAATSQSLQIPLIPPNTAAIVQKLSQPKIQDELIAREIVINDADPSVRYKLTKRQTQEEIQKSTGAVVITRGKYRPPNGLPDGEKPLYLHISAGAHLKDTVERIKAVDHAACMVEDMLKQCQSSLPASTVLPSVFSDGLATQSLNTCLYLGFEPDPSLNIATRIRGPNDQYINHIMNETGATVVLRGHGSENPDNSSNESTVSYFFLFLA >DRNTG_25475.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1615135:1621278:1 gene:DRNTG_25475 transcript:DRNTG_25475.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RIK [Source:Projected from Arabidopsis thaliana (AT3G29390) UniProtKB/Swiss-Prot;Acc:Q9LIA4] MTEDRLPKAAEEPSPANGSGKQRKKRKWDQPADSLMSAGMAVTGTMPSGVDGVYASVLPGVLPLSVPLHLNLATIAATSQSLQIPLIPPNTAAIVQKLSQPKIQDELIAREIVINDADPSVRYKLTKRQTQEEIQKSTGAVVITRGKYRPPNGLPDGEKPLYLHISAGAHLKDTVERIKAVDHAACMVEDMLKQCQSSLPASTVLPSVFSDGLATQSLNTCLYLGFEPDPSLNIATRIRGPNDQYINHIMNETGATVVLRGHGSENPDNSSNESTVSYFFLFLA >DRNTG_25475.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1615135:1621278:1 gene:DRNTG_25475 transcript:DRNTG_25475.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RIK [Source:Projected from Arabidopsis thaliana (AT3G29390) UniProtKB/Swiss-Prot;Acc:Q9LIA4] MTEDRLPKAAEEPSPANGSGKQRKKRKWDQPADSLMSAGMAVTGTMPSGVDGVYASVLPGVLPLSVPLHLNLATIAATSQSLQIPLIPPNTAAIVQKLSQPKIQDELIAREIVINDADPSVRYKLTKRQTQEEIQKSTGAVVITRGKYRPPNGLPDGEKPLYLHISAGAHLKDTVERIKAVDHAACMVEDMLKQCQSSLPASTVLPSVFSDGLATQSLNTCLYLGFEPDPSLNIATRIRGPNDQYINHIMNETGATVVLRGHGSENPDNSSNEKTQQPLHLFLSSSNPTSLEDARILAENLLDTICAECGVSRISSSKTYNAVPPPQQLLAGLSSASSGSTCMPVVSMPTASLKPSGSSDSNTCSPIMAQPTPSQLNYSHPSVSGGTSYSGYGGIYPQATPLQQVALALRQAPPNTSSAATTSQAMVSPKTNTSSCVEIDKRPPQKRKFQELPVSSVRPMIPKQVPRDFSRPFNCVWFLRRMYCYFWIINLE >DRNTG_25475.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1615135:1621278:1 gene:DRNTG_25475 transcript:DRNTG_25475.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RIK [Source:Projected from Arabidopsis thaliana (AT3G29390) UniProtKB/Swiss-Prot;Acc:Q9LIA4] MNETGATVVLRGHGSENPDNSSNEKTQQPLHLFLSSSNPTSLEDARILAENLLDTICAECGVSRISSSKTYNAVPPPQQLLAGLSSASSGSTCMPVVSMPTASLKPSGSSDSNTCSPIMAQPTPSQLNYSHPSVSGGTSYSGYGGIYPQATPLQQVALALRQAPPNTSSAATTSQAMVSPKTNTSSCVEIDKRPPQKRKFQELPVSSVRPMIPKQNLQQESEFLKPGLEDSSSEGLFSVPPPKKLFPTRSGDMLPSLARTMPPPPPPATPPSRSMPPPPPPATPPSRSMPPPPLPPKFSPAKDENRGSAVKRPGFGLISITNSKNTENRDIEPVPDTLLKLMEYGEEEDDTDGAGE >DRNTG_00341.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18770448:18774410:-1 gene:DRNTG_00341 transcript:DRNTG_00341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNPEYLKTFSPEEIDGMEWETLESAITLWIQHFNLALNSVFVAEKQLCSRVLSSLMDSQLWPECFAKIADKIMAVFFRFGEGVARSSREPQKLFKLLDMFDALDRIRHHFMTVFDGDSGADICVRFRELLKLVVHASSKVFWEFGLQIEGLQDGVPPPPDGSVPKIVRYAVNYLKFLSSESYSAAMGRVLRTEQVWKAGVLSRPDPEDSVLRDAVSNILDALQRNVEAKRARYKDKVLPHIFAMNSYWYIYMRTKGSELGKLVGEESIKRKYKTAAEEAAYSYQAQAWGRVVDLLDSDEDALTKSNELQGKEAAGVLARVKIESFMKSFEENLRKHKTSYCIPDPDLKEQIKGAVAKLVVPSYASFLQANASVLQGRSLVPPDTLKGLLRKLFDGMIGGNMSGELVRRPTPSEGEVSRRRRFKDLAGSDNGRLA >DRNTG_00341.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18770448:18772280:-1 gene:DRNTG_00341 transcript:DRNTG_00341.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNPEYLKTFSPEEIDGMEWETLESAITLWIQHFNLALNSVFVAEKQLCSRVLSSLMDSQLWPECFAKIADKIMAVFFRFGEGVARSSREPQKLFKLLDMFDALDRIRHHFMTVFDGDSGADICVRFRELLKLVVHASSKVFWEFGLQIEGLQDGVPPPPDGSVPKIVRYAVNYLKFLSSESYSAAMGRVLRTEQVWKAGVLSRPDPEDSVLRDAVSNILDALQRNVEAKRARYKDKVLPHIFAMNSYWYIYMRTKGSELGKLVGEESIKRKYKTAAEEAAYSYQAQAWGRVVDLLDSDEDALTKSNELQGKEAAGVLARVKIESFMKSFEENLRKHKTSYCIPDPDLKEQIKGAVAKLVVPSYASFLQANASVLQGRSLVPPDTLKGLLRKLFDGMIGGNMSGELVRRPTPSEGEVSRRRRFKDLAGSDNGRLA >DRNTG_23123.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:237792:246945:-1 gene:DRNTG_23123 transcript:DRNTG_23123.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVPETMRDDMTWQMGLVWEQVKSPVIVPALRLAVFLCLVMSVMLLVEKVYMAVVIVLFKLLGKRPDKRYKLEPIKDDVELGHSAYPMVLVQIPMYNEKEVYQLSIGAACGLSWPSDRIIIQVLDDSTDPVIKDLVEMECQKWASKGINIRYEIRDNRNGYKAGALKQGMKHSYVKQCDYVAIFDADFQPEPDFLWRTVPFLVHNPQLALIQARWKFVNADECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRITALNEAGGWKDRTTVEDMDLAVRASLKGWKFLFLGDIKVKNELPSTLKAYRYQQHRWSCGPANLFRKMAVEIAKNKPLC >DRNTG_23123.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:237792:244654:-1 gene:DRNTG_23123 transcript:DRNTG_23123.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVPETMRDDMTWQMGLVWEQVKSPVIVPALRLAVFLCLVMSVMLLVEKVYMAVVIVLFKLLGKRPDKRYKLEPIKDDVELGHSAYPMVLVQIPMYNEKEVYQLSIGAACGLSWPSDRIIIQVLDDSTDPVIKDLVEMECQKWASKGINIRYEIRDNRNGYKAGALKQGMKHSYVKQCDYVAIFDADFQPEPDFLWRTVPFLVHNPQLALIQARWKFVNADECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRITALNEAGGWKDRTTVEDMDLAVRASLKGWKFLFLGDIKVKNELPSTLKAYRYQQHRWSCGPANLFRKMAVEIAKNKIVAHIVTFIFYCVVIPATVLIPEVHIPKWGSVYIPSIITILNAVGTPRFNLLPTTQFPAVFCCFME >DRNTG_23123.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:237792:246945:-1 gene:DRNTG_23123 transcript:DRNTG_23123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVPETMRDDMTWQMGLVWEQVKSPVIVPALRLAVFLCLVMSVMLLVEKVYMAVVIVLFKLLGKRPDKRYKLEPIKDDVELGHSAYPMVLVQIPMYNEKEVYQLSIGAACGLSWPSDRIIIQVLDDSTDPVIKDLVEMECQKWASKGINIRYEIRDNRNGYKAGALKQGMKHSYVKQCDYVAIFDADFQPEPDFLWRTVPFLVHNPQLALIQARWKFVNADECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRITALNEAGGWKDRTTVEDMDLAVRASLKGWKFLFLGDIKVKNELPSTLKAYRYQQHRWSCGPANLFRKMAVEIAKNKKVSLLKKVHVIYNFFFVRKIVAHIVTFIFYCVVIPATVLIPEVHIPKWGSVYIPSIITILNAVGTPRSLHLLVFWILFENVMSLHRTKATFIGLLEAGRVNEWVVTEKLGDALKAKSATAKTAKKPGFRIGERLHLLELVTGAYLFFCGCYDMAFGNNRYFLYLFLQALAFFVVGFGYVGTFVPYS >DRNTG_23123.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:237792:244654:-1 gene:DRNTG_23123 transcript:DRNTG_23123.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVPETMRDDMTWQMGLVWEQVKSPVIVPALRLAVFLCLVMSVMLLVEKVYMAVVIVLFKLLGKRPDKRYKLEPIKDDVELGHSAYPMVLVQIPMYNEKEVYQLSIGAACGLSWPSDRIIIQVLDDSTDPVIKDLVEMECQKWASKGINIRYEIRDNRNGYKAGALKQGMKHSYVKQCDYVAIFDADFQPEPDFLWRTVPFLVHNPQLALIQARWKFVNADECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRITALNEAGGWKDRTTVEDMDLAVRASLKGWKFLFLGDIKVKNELPSTLKAYRYQQHRWSCGPANLFRKMAVEIAKNKPLC >DRNTG_23123.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:237792:244654:-1 gene:DRNTG_23123 transcript:DRNTG_23123.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVPETMRDDMTWQMGLVWEQVKSPVIVPALRLAVFLCLVMSVMLLVEKVYMAVVIVLFKLLGKRPDKRYKLEPIKDDVELGHSAYPMVLVQIPMYNEKEVYQLSIGAACGLSWPSDRIIIQVLDDSTDPVIKDLVEMECQKWASKGINIRYEIRDNRNGYKAGALKQGMKHSYVKQCDYVAIFDADFQPEPDFLWRTVPFLVHNPQLALIQARWKFVNADECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRITALNEAGGWKDRTTVEDMDLAVRASLKGWKFLFLGDIKVKNELPSTLKAYRYQQHRWSCGPANLFRKMAVEIAKNKKVSLLKKVHVIYNFFFVRKIVAHIVTFIFYCVVIPATVLIPEVHIPKWGSVYIPSIITILNAVGTPRSLHLLVFWILFENVMSLHRTKATFIGLLEAGRVNEWVVTEKLGDALKAKSATAKTAKKPGFRIGERLHLLELVTGAYLFFCGCYDMAFGNNRYFLYLFLQALAFFVVGFGYVGTFVPYS >DRNTG_34807.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1409416:1411716:1 gene:DRNTG_34807 transcript:DRNTG_34807.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5G65220 protein [Source:Projected from Arabidopsis thaliana (AT5G65220) UniProtKB/TrEMBL;Acc:B9DH43] MMALGLSSPSASLRLPSFDSHLSSSSRLNLFQFSPLRSSLFNGIRLRTSVAVPTPSYGGLMVVRMAKREEELKDIRAMTTEEINEEVVDLKGELLMLRLQRSARNEFKSSEFGRMRKRIARMLTVKREREIEEGINKRISRKLDRKWKRSIVVRPPPSLRKKLEEEQKAREAEKTS >DRNTG_31951.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2277921:2282346:1 gene:DRNTG_31951 transcript:DRNTG_31951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHQNSIGRCDCERYVVLNKPFPGECLKVMIAFESVIGKMRTPVNFLNITAKINPRKDGHPSVYIKPKEMRKRRDVQDRINWCFPGVLDARNRLLYTMLYMDAKSSMDEYLGMEK >DRNTG_32033.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8416070:8422172:1 gene:DRNTG_32033 transcript:DRNTG_32033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTEFAMVEELASLIKDNLISKHLILSTEETLIDFLQNNASSDGVLELQPTSPYHRLLLHRLADIYGFAHESVGEGDDRHLVLMRCPESAIPSILVSDIVYQYDDQSSTSLHHILRREEAPVSKSTQITQPSITFEQREAAYLAARERIFSLQEGDEHEVVVPKSRKVPLVAQRMISHALGQKICSAKSVDQQSILQKCEETSPADDGKNVTGQLNSTFSPTEGKITQPISDLPSSGKKLNEEEAFKSSPGFSNVDRKVQQPSSKKASNGTLIPSGCNRKVVSKQNLEREQIGAAKRMFANALGLPSTKGNHGLQMKSNEGKKSISHDT >DRNTG_25834.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001338.1:4334:6245:1 gene:DRNTG_25834 transcript:DRNTG_25834.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSISFQLFQPYSPLYPRMGSGKHRWRLSFHRSRSPSPSPEPPPEFLCPLSSTLMADPVIVPSGHTFERAVIQACNDLHFVPPIISSQSSPLLLIPNSALASAISRWCELSGHPHPVPLPLDSALALVRRLMAVDDGGPKSCSTSSEDATPTPTPTATASAFSVSSSSSSEIVDETLDDPLEIEILNKIKDPRVSEQESGLISLRRATREGQERRISLCTRRLLAALRPMLVSRYESIQGNAVAALVNLSLEAKNKVPVVRSGAVPALVDVLRCGHDEARDHAAGAIFSLAMEDENKAAIGVLGAVPPLIHLLCRSVEKDLARRDAGMAIYHLCLASSNRARVMKTPGAVRGLLGVAKEGGEIGKVAMRVIGVIAGAGEGRTAMMDAGAVSVMVEMMKKGIDEEGCVVAMYGMSRGSGWRFRGLAREVGAEEVVERVVEKEGRTEMVKEMGRRVVKTMRGEMEMEMEMEMGRSVRSENGEWLGRKMMMGRRDLGGGAGAGGELEAVNSAEF >DRNTG_13328.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23141366:23142775:-1 gene:DRNTG_13328 transcript:DRNTG_13328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAISSSLLHLCPTSSHIIASHCLYGDTHALLFRFFPRSCSICTTFVDISNIDEVRDAICIGDTKVLYMETVSNPTLSSSMY >DRNTG_22378.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6156342:6158013:-1 gene:DRNTG_22378 transcript:DRNTG_22378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGKTTLLKKIRQSLSGDANMGFNHVLFIEASKDIQPEELRKQIAERLNLQRAGKEDIFNVLKISNFVLLLDNIWEEVDLIDLGIPHPYSDNNSTKQYKHKVIFTTRSEDVCAKMGAGENTIKVECLESDEAWDLFKDNVNLAVIESDEKFKEIAWQVMEKCGGLPLALKVVGKAISNKKSVQGWKFTLNSIKSSGTEVVQGVQESLLPILKFSYDNLTNDFKECFLSICMLRGQHKQYIADFFMGLGLIGDFDNWQEACGTGENILKILEESCLLYFSDHGFVRLHVLHMDPPP >DRNTG_10748.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26092116:26100000:-1 gene:DRNTG_10748 transcript:DRNTG_10748.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWNAWPRSKVEASKCVVPLAATISPIYPISDDFVLPYPPLRCKPPCFAVLNPFCSVDFINRIWTCPFCFSRNLFPPHYDGISESNVPGELYPQLSTVEYAPPPAPHSLPPPPPVFFFVLDTCLIEEELEFLKSSMRRALAVLPENALVGLITFGTQAHLHELGCTDISKVFVFRGTKEITKEQILDQLGLSGHRGQPKGVTPQPNGLPQRAGSVNRFLLPASECNYPLNALLDELQSDQWPVEMGNRPTRCTAVALSVAAGLLGACLPGTGARIIALVGGPCTEGPGMIVSKELSEPLRSHKDLDKDASPHFHKAVKFYENLANQLVDQGHVLDVFAASLDQVGIAEMKVAVERTGGLVVLAESFGHPILKDSFTRIFYGGEQSLGLSFNGTLNINCSKDIKIQGIIGPCTSMQKKGALCADTVIGQGHTTAWKMCGLDRSTCLTVFFDLSPSERSNQQGTTHEQLYIQFVTNYQNAEGQMRIRVTTITRKWLDISTNAEELVEGFDQETAAVVLARYISLKMEMEEEFDATRWLDRSLIRLCSRFGDYRKDDPSSFTINPNFSIFPQFVFNLRRSQFVQVFNNSPDETAYFRMLLNRESVTNSVVMIQPSLLSYSFSTTPTPALLDVASVAADRILLLDAYFSIVIFHGMTIAHWKNMGYQNQPEHQAFAQLLQAPQDDAKMIIKDRFPVPRLVICDQHGSQARFLLAKLNPSATYNSAREVTPGSDVIFTDDVSLQVFCEHLQRLAVQS >DRNTG_19455.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000998.1:290425:295960:-1 gene:DRNTG_19455 transcript:DRNTG_19455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALVRLKSPTRFPIEMIVDVGVRSFKVRLEDDGKPIIRSKLIHGSASVKNPVASSSSRHPEPPGNLQPTDKSSDGPSLVKESRSSGDCSAAVPQIPLVSRERNKEGDVLFMHNAHVEKELTTATRLPRDQLSSDHIEGLLVADSSRDPSHSDSFNNSNSNRSLGNQITSLDSQDLSAPRDPAAPEFKDDLSPALGSPRDGSDSLNTLINSNQSTHSHSSPILLNPNPDLPPNSATIQPTSLSDPSIKIKGKEIVIPMEIDSNNTDVLPTHLKSLPPIISIPDGYNWIFVHGGWTLVPTINSEKFYSQDPNPPVTPLNHSDDELLDWGDDDDIPIDGIADDEIILNEENLHQLDSEILTDDLISNIAPVPGNSPIRDMGRNSDTIGIETHDFLPKDKLPAAVTIPTQAQQQIRRSDRQKKPSSRWNKDAGFIPNPPRSSKKKVPDDPRDDIVCLQESKLN >DRNTG_13749.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5594928:5596720:1 gene:DRNTG_13749 transcript:DRNTG_13749.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHERKAEMARQSDAFIALPGGYGTMEELLEMITWSQLGIHNKPVGLLNIDGYYDSLLALFDTGVKEGFITPSARHIVLSSPDANELLTKMEEYTPLHEQVAPRTSWEIAHLGYSTTPTSPL >DRNTG_13749.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5594928:5596720:1 gene:DRNTG_13749 transcript:DRNTG_13749.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHERKAEMARQSDAFIALPGGYGTMEELLEMITWSQLGIHNKPVGLLNIDGYYDSLLALFDTGVKEGFITPSARHIVLSSPDANELLTKMEEYTPLHEQVAPRTSWEIAHLGYSTTPTSPL >DRNTG_13749.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5594928:5596720:1 gene:DRNTG_13749 transcript:DRNTG_13749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAQSKFKRICVFCGSNSGNKSVFRDAALDLGRQLVKRRIDLVYGGGSVGLMGLVSQAVFDGGCHVLGVIPTALMPLEISGETVGEVKTVSDMHERKAEMARQSDAFIALPGGYGTMEELLEMITWSQLGIHNKPVGLLNIDGYYDSLLALFDTGVKEGFITPSARHIVLSSPDANELLTKMEEYTPLHEQVAPRTSWEIAHLGYSTTPTSPL >DRNTG_13432.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9574824:9585257:1 gene:DRNTG_13432 transcript:DRNTG_13432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEELTPYTTPIRSLIPVLRPCMPTWFRPCRCTAICRRPRSIELGSGRDRGDSIGEELIKEFVNQGWRADNGFKTGMKTLKEQFKELKEMSGQSRFGWDEANQCDYVHAVFDNYSTNVVVDGSNVNLGLWDTVGGCPFPCILSSSNFVFLHLSCFIWKAIYGNVDKKIPELKHYDPSVPIILVGAKLGLLAYVLHLSCKHH >DRNTG_30171.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16506704:16514232:1 gene:DRNTG_30171 transcript:DRNTG_30171.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSFLRKKVGRGASIEAYHIPDEGILGRRVEDFPQDDQHDHRRAFNTMFLQKRVMGEAFVSIDSWRKEGNVDHNASILDKILLKFKREDISRVRDARGCANLPVFEFTQPFGGPQGQSHSSIPTYAHRTRSPSSYPSLKKQSDPRHKRVPVYVTSMKSGFGSIFAEKSQKQRFHTGVWKLPTAVWKFRTGACTVHARVVARFQPYLKPISAPISGFFSPSFPQLVRGFRLGFRGVLAKVLEKFYGSDIVIPLGRRLVGELRSRRILYRMKKSLDDE >DRNTG_29223.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3245729:3246434:-1 gene:DRNTG_29223 transcript:DRNTG_29223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSISSFPNRQFYCGKIQDGLNVQSEAYGKCFLPEEMFGPISFINVSDGKEEADDSGGWRNMVEVGVVLKIVRNLLKVTSSLN >DRNTG_35001.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24107566:24110672:1 gene:DRNTG_35001 transcript:DRNTG_35001.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGYNSLPSTHLLGSVPAVVADDKRPAVDEARTGSHSNLHVFPPANGGYQAPGTPYETGGNEQATTNWKGVLSISSYSPYFNVDTDNVVDRILSSMNPLQGDFRRKIDSYPDLYGPVWISTTLVFMLAALGNCGTYLMNRKSVPDTAWVFDVNYVNWAASVVYGYALLVPVAFYFLLQYFGCSASLTRFWCLWGYSLFIFIPSSLLGLHPRCSLGSI >DRNTG_35001.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24107566:24110672:1 gene:DRNTG_35001 transcript:DRNTG_35001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGYNSLPSTHLLGSVPAVVADDKRPAVDEARTGSHSNLHVFPPANGGYQAPGTPYETGGNEQATTNWKGVLSISSYSPYFNVDTDNVVDRILSSMNPLQGDFRRKIDSYPDLYGPVWISTTLVFMLAALGNCGTYLMNRKSVPDTAWVFDVNYVNWAASVVYGYALLVPVAFYFLLQYFGCSASLTRFWCLWGYSLFIFIPSSLLLVFPDEFLRWLIILVAGAASSVFIGINLKSYTEGSDMMVMCVSAMVLQFVLALFIKFLLCLRACGGKNNTERTVTGF >DRNTG_26099.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20774062:20779557:-1 gene:DRNTG_26099 transcript:DRNTG_26099.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,3-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase [Source:Projected from Arabidopsis thaliana (AT4G38240) UniProtKB/Swiss-Prot;Acc:Q9XGM8] MARSCCDIRLLLIVAAAAFIFIQVRLFTTQSEYADRLAAAVESENQCTTQMRLLIDQISIQQGKLVSLEEEKRHKDEECAQLKALVRELERKGLNSLIKNNKVPVAAVVIMACNRRDYLERTVESILKYHSPVASKFPLFISQDGTNQAVKDKALSYNQITYMQHLDFEPVHPERPGEILAYYKIARHYKWALDALFNKHNFNRVIILEDDMEIAPDFFDYFEATASILDKDETIMAVSSWNDNGQVQFVHDSKTLYRSDFFPGLGWMLTKSIWNELSPKWPKAYPFPFYCILPACENFKIFEVSFLDIIILIGMIG >DRNTG_26099.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20774062:20779557:-1 gene:DRNTG_26099 transcript:DRNTG_26099.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,3-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase [Source:Projected from Arabidopsis thaliana (AT4G38240) UniProtKB/Swiss-Prot;Acc:Q9XGM8] MARSCCDIRLLLIVAAAAFIFIQVRLFTTQSEYADRLAAAVESENQCTTQMRLLIDQISIQQGKLVSLEEEKRHKDEECAQLKALVRELERKGLNSLIKNNKVPVAAVVIMACNRRDYLERTVESILKYHSPVASKFPLFISQDGTNQAVKDKALSYNQITYMQHLDFEPVHPERPGEILAYYKIARHYKWALDALFNKHNFNRVIILEDDMEIAPDFFDYFEATASILDKDETIMAVSSWNDNGQVQFVHDSKTLYRSDFFPGLGWMLTKSIWNELSPKWPKAYWDDWLRLKEVHQDRQFIRPEICRTYNFGEHGSSMGQFFKQYLESIKLNDARIDWKSMDLSYLMEDKFLNHFAKLVSDAKLVTGPDFVLKASNINGDIKIKYRDQQEFEIVARQFGIFEEWKDGIPRTSYKGVVVFRYKSPKRIFLVGPNSLQQLGIKDL >DRNTG_06116.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30295823:30296487:-1 gene:DRNTG_06116 transcript:DRNTG_06116.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFSLATAAGVLTGGFLIMVLVTATFFFLLFHSQNPNEHGKDSGHGGNGGGGSLPPGPAALPIVGSLPMMLWRKPHFRWVLRKAEGKDITCIRLGNVHVIVVNSPELAREFLKKNDAIFASRPKTMATEYSGRGFLSVVFTPWGDQWKKMRRVIVSHVVNHQQFQKMAKMRVEEADNLVWYIQHQSKAGEEINLRKTLRY >DRNTG_06116.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30291999:30292564:-1 gene:DRNTG_06116 transcript:DRNTG_06116.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQELIFEVVDNPSNTVEWAMAEMLNQPDILRKAIDELDRVIGPHRLVEESDFPNLPYLRACAREALRLHPIAPFNVPHVSSIDTTVAGFFIPKGSQVLLSRVGLGRNPKVWEDSMRFNPDRHLNEKNVDLAEPELRFISFSIGRRGCMGGQLGTAMTY >DRNTG_06116.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30291999:30296487:-1 gene:DRNTG_06116 transcript:DRNTG_06116.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFSLATAAGVLTGGFLIMVLVTATFFFLLFHSQNPNEHGKDSGHGGNGGGGSLPPGPAALPIVGSLPMMLWRKPHFRWVLRKAEGKDITCIRLGNVHVIVVNSPELAREFLKKNDAIFASRPKTMATEYSGRGFLSVVFTPWGDQWKKMRRVIVSHVVNHQQFQKMAKMRVEEADNLVWYIQHQSKAGEEINLRKTLRYYTGNIIRRMLFGCRHFWKGSKRRHAGAGRGGVGAHRGCIHFALIDLCTLCIRFHTKSENFWTLMVMKKTMKKAINVINKYHDPIIEKRVQQWRSNGGVNGEPEDILEVFISLKDDEGKPLLRIEEIKSQSTELIFEVVDNPSNTVEWAMAEMLNQPDILRKAIDELDRVIGPHRLVEESDFPNLPYLRACAREALRLHPIAPFNVPHVSSIDTTVAGFFIPKGSQVLLSRVGLGRNPKVWEDSMRFNPDRHLNEKNVDLAEPELRFISFSIGRRGCMGGQLGTAMTY >DRNTG_30180.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5580827:5582466:1 gene:DRNTG_30180 transcript:DRNTG_30180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTHLLPLLLLLSFLSFSYAIDIGIGIGIGGSPSPANPPSACPAPSSPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPSDPFVNELQKRAYYVIQKFKKTITSDPLGIRNSWNGHKICKYKGFYCETPPNRKNTPTIASVDFNGYHLGAPTVQGFVDQLPDLAIFHANSNNFSGSSPPLKYLNFFYELDFSNNNLTGCFPYNLLNLFNLTFLDLRFNHFVGEVPQAAFNLNVSILFLNNNNFSGYIPPNLGDSQALYLTFANNKFTGPIPSSIGRTCNTLLQVLFLNNKLSGCLPYEIGLLKKATIFDAGNNCITGPIPLSFGCLRSMEELNFANNRLYGTVPDELCMLPKLTNLSLSGNYFSSLGQSCWKLLKNKVLHVGKNCIPWLPDQRSKEECEKFFAKPKPFCPLNKYIPCKLPLDQNDSALSSPADGGRSRRLLGLGSIYDTLRAR >DRNTG_30180.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5581165:5582466:1 gene:DRNTG_30180 transcript:DRNTG_30180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEELNFANNRLYGTVPDELCMLPKLTNLSLSGNYFSSLGQSCWKLLKNKVLHVGKNCIPWLPDQRSKEECEKFFAKPKPFCPLNKYIPCKLPLDQNDSALSSPADGGRSRRLLGLGSIYDTLRAR >DRNTG_30180.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5581165:5582427:1 gene:DRNTG_30180 transcript:DRNTG_30180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEELNFANNRLYGTVPDELCMLPKLTNLSLSGNYFSSLGQSCWKLLKNKVLHVGKNCIPWLPDQRSKEECEKFFAKPKPFCPLNKYIPCKLPLDQNDSALSSPADGGRSRRLLGLGSIYDTLRAR >DRNTG_30180.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5581165:5582372:1 gene:DRNTG_30180 transcript:DRNTG_30180.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEELNFANNRLYGTVPDELCMLPKLTNLSLSGNYFSSLGQSCWKLLKNKVLHVGKNCIPWLPDQRSKEECEKFFAKPKPFCPLNKYIPCKLPLDQNDSALSSPADGGRSRRLLGLGSIYDTLRAR >DRNTG_30180.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5580827:5582372:1 gene:DRNTG_30180 transcript:DRNTG_30180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTHLLPLLLLLSFLSFSYAIDIGIGIGIGGSPSPANPPSACPAPSSPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPSDPFVNELQKRAYYVIQKFKKTITSDPLGIRNSWNGHKICKYKGFYCETPPNRKNTPTIASVDFNGYHLGAPTVQGFVDQLPDLAIFHANSNNFSGSSPPLKYLNFFYELDFSNNNLTGCFPYNLLNLFNLTFLDLRFNHFVGEVPQAAFNLNVSILFLNNNNFSGYIPPNLGDSQALYLTFANNKFTGPIPSSIGRTCNTLLQVLFLNNKLSGCLPYEIGLLKKATIFDAGNNCITGPIPLSFGCLRSMEELNFANNRLYGTVPDELCMLPKLTNLSLSGNYFSSLGQSCWKLLKNKVLHVGKNCIPWLPDQRSKEECEKFFAKPKPFCPLNKYIPCKLPLDQNDSALSSPADGGRSRRLLGLGSIYDTLRAR >DRNTG_28044.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3365999:3370827:-1 gene:DRNTG_28044 transcript:DRNTG_28044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFIWRPYDSNEIIAQVPQDIFADRPLWTAFTSLIYFEVIEWHQADRVTRQFGFAQSAPLDPVCIGPTHDHDLHGRIDTDWALMHRRCIGYWRDRASRCLNLHVPAGNNAISVEYYDWYTTNTILFLSTDQDLLDPCTRGNTLPAPLKAFPTVVVDVPPPSPPVRRRHRHSSWVEHDEAHIPRHPHHEGY >DRNTG_31478.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1973814:1976242:-1 gene:DRNTG_31478 transcript:DRNTG_31478.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGMGLNLLLLLAMVATNILSLYHLLSTTRNPSAISRTTIDHTPVPDHLLHQLHTIRATITQLTRSNSKPSADAAAPPPPPEDLLLYSRIAPIASACSDNPELLRRYMNYTPFRPCPPDSLSLAESLILRGCHPLPRRRCFSPSHFVRTQTEPKTDITRLLSAGSALDLPVPQLLRLSPSPIRLALDIGGGSGALASLLRRLANATVLTTTMNLGYPYSEAVASQGLVPLHMPLQQRFPVHDGSLDLVRAGRAVNRWIPTPSLEFLLFDADRVLRPGGLLWMDHFFCRTGDLTGIYTPMIGRLSYKTIKWAVENKTDGGGVRYGEVYLTALLQKPPVLATKV >DRNTG_33440.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2996316:2999671:-1 gene:DRNTG_33440 transcript:DRNTG_33440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFFQSTPSSSWSYDSLKNLREISPVVQNHLKLVYLTLCCALASAAVGSYLHILFNIGGLLTALGFLGTGMWLIFSPPQEERKKFGLLMVSALSYGAVFGLLVEVAIEVNPSILVTAFVGTAIAFGCFSGAAIIAKRREFLFLGGILSSCLTILLWLGIATIIFGSSLAYTVELYFGLVVFLGYIVFDTQYIIERGHNGDLDYVQHALLLFTDFVAVFARIVEIMLRKEENERKKKKRSS >DRNTG_28472.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:397243:399354:-1 gene:DRNTG_28472 transcript:DRNTG_28472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGHPRNYPSSGSSVLLPLKLPHPTEAEVLVCGGAPKLSFNKAKYYGTFVEALNSCGRIRITDESPSWAMETMPTARTMGDMLLLPNGLEVLIVNGAALGTAGWEYGRDPVLTPVVYRHYNSPGSRFSVHGAAARPRLYHSTAVLLRDGRVLAGGSNPHVYYNFSGVEYPTDLSLEAYSPEYLSSEYSKLRPKIISPTTMDLKYGNLMKLRFSVGELSNNIGGVAVTMVAPAFATHSFSMNQRLLFLDCGKPAVMDGSGHHEWASPIDVELSCNSFVHGRDDMENG >DRNTG_09833.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13720535:13721090:1 gene:DRNTG_09833 transcript:DRNTG_09833.1 gene_biotype:protein_coding transcript_biotype:protein_coding IILGRCADDKPFVSTYQVSQEKTSSMGIDCIPLETSLKETIESLREKGFVTF >DRNTG_10961.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1034104:1036068:1 gene:DRNTG_10961 transcript:DRNTG_10961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCEGDLSPGDCGDCVVAAVEKVEVECGGAASGQVYLDKCYISYSYYPNGVPRGAGAGAGAGEGDLGGKERGKTVAIVVGGIAGFGFLVTCLLFAKTLTKGKNDH >DRNTG_20929.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7463609:7464716:-1 gene:DRNTG_20929 transcript:DRNTG_20929.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMWALESMVLLLILIFSNVLGSKGMGFNLSMDVFIYGPAIWLSNGVNVGNFVGFLNVSSYILMFNEKEVYKLSIGAVCGLAWPPDDSTDQLSRLRENHDSDMVNDERNRWEIDPVAVPFVILKKLIESAHIRHDRVVMYIALKADDKEYLVDINDFAYLYLKQASFCSYVS >DRNTG_09067.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1249617:1250252:-1 gene:DRNTG_09067 transcript:DRNTG_09067.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQTLIPSNTNMKFLLLSVILSIITVATAHDYNVCDTDGNPLVPGVQYYIVPGITDDAGGLTLESNNGSCPLNVAQSPNNGDLGLPVIFTIANPHKDLIGLGDNIYISFSTSMCAESTGWRITGPDEVSNRYHVSTSKYTGNPLQGTVDNWFRIEQYMNVYRLGFCPNECHDQCGVPQCGVLSVEVVECHRWLVLTGSNESCFPVQFKRA >DRNTG_00331.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18806432:18815228:-1 gene:DRNTG_00331 transcript:DRNTG_00331.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAR1 [Source:Projected from Arabidopsis thaliana (AT1G33410) UniProtKB/TrEMBL;Acc:A0A178WF46] MSIINHQLGRAASAVFYESIVSPIISSDEVIFHLLKILETGSTPLLTMSLVSQVGVNAALEKKQAAHKSQRKFSVEMLMALHSLRTKACSWSSVLDIVEKYLKFLNPHKSNEQCESMEIYNVNSILLIQAATQVSRVMFESAFDILLLLGYLVNNSGQVYLMQSDLARIKVKLIPMVQELLTQWLILHFVGSTPSTPLIGDDFSSRLSSLHIDSNHGKSSWNGKLGASDFPLAGLLDIPVSSDGQEFPCSEMFADPTKYIACLWKFISWIVRGETCEGSLLSTGPTIAVTSLLICHGQYEAAENVLLIIDAYLSKRKVSASAQSTDGGWSARLHLLGFCLLLQAQNGLHGPVKERKIHESVRCFFRAASGQGAMQSLQNVSVQTGFVYQGEFLSNAVWKLHYYQWAMQIFEQYGMSEAACQFALAALEQVDEVLGSKDCKDGDELPEPASTIHGRLWANVFKFTLDLKHYRDSYCAIISNPDEDSKSICLRRFVNVLCELSASKVLCDGTIPFVGLIEKVEQELAWKAERSDISARPNLYKLLYAFEANQNNWRKAASYMYKYSVRLKREATLNENKQLSSALQERLHSLSTAINALQLVDPAYAWIDFQPEDSLEDQDSSNKRIRKVLAEDSAHTTGPYSWRQQYCVDIEMLEREYILTSAQYMITLATDKFKCAAGQSQTVLVNIVDALVQENLYDMAFTVILKFWKGSEMKRELERVFIAIAQKCFPNRVGSSYLGSTLKTRCLLLPSSDDETNFSSKLNASFAIQQFKGSSQWEILELYIEKFKKLHPQLPVVVAESLLHTDPQIELPLWLVHMFKGSRRVASWGMTGQESDPASLFRLYVDYGRLAEATNLLLEYLDSLATLRPADVINRKKMSAVWFPYVAIERLWCQLEELQSAGHMVDQCDKLKRLLESALRNHLKQVKLDSEDAVAASLGEEMQQDQ >DRNTG_06112.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4198948:4212528:1 gene:DRNTG_06112 transcript:DRNTG_06112.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate, phosphate dikinase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G15530) UniProtKB/Swiss-Prot;Acc:O23404] MQMAMKGVYYYYYHIQRNIDHVHTNKLFLKNKRRNKCLGSSSWCKGRTKNWSGSQSIMFSRKSFCNPLCALVEEIPTITKRVFTFGKGRSEGHKGMKSLLGGKGANLAEMASIGLSVPPGLTVSTEACREYQDNGRSLPSGLWEEILEGLCVVEEEMGARLGDPVKPLLLSVRSGAAISMPGMMDTVLNLGLNDEVVSGLAEKSGERFAFDSYRRFLDMFGDVVMGIPHSLFEEKLEALKASKGVSLDTDLTASDLKVLVEEYKQVYLEAKREQFPSDPKKQLYLAVLAVFDSWDSPRAIKYRTINQISGLKGTAVNVQCMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLLNAQGEDVVAGIRTPEDLEAMRKCMPEAYEELVENCSILERHYKDMMDIEFTVQENRLWMLQCRSGKRTGKGAVKIAVDMVKEGLVDDRSAIKMVEPGHLDQLLHPQFEDPSAYKDKVIATGLPASPGAAVGQVVFTTNDAEEWHAQGKAVILVRTETSPEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVSGCSDIRVNDAEKVVVIGDKVIREGDWLSLNGSTGEVILGKQPLTPPVLCGDLGTFMSWVDEVRQLKVMANADTPGDALTARNNGAQGIGLCRTEHMFFASDERIKAVRQMIMATTVEQRKKALDLLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGHIEDIVSELSLDTGMSEEEVFLRIEKLSEVNPMLGFRGCRLGISYPELTEMQTRAIFEAAITMSNQGVIVLPEIMVPLVGTPQELGHQVSLIRRVAERIFSAMGTYISYKVGTMIEIPRAALVADEIAEEAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLSHGVLQHDPFEVLDQRGVGQLVKIATERGRRTRPDLKVHLSNFACEEFYLVLM >DRNTG_06112.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4198948:4212528:1 gene:DRNTG_06112 transcript:DRNTG_06112.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate, phosphate dikinase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G15530) UniProtKB/Swiss-Prot;Acc:O23404] MQMAMKGVYYYYYHIQRNIDHVHTNKLFLKNKRRNKCLGSSSWCKGRTKNWSGSQSIMFSRKSFCNPLCALVEEIPTITKRVFTFGKGRSEGHKGMKSLLGGKGANLAEMASIGLSVPPGLTVSTEACREYQDNGRSLPSGLWEEILEGLCVVEEEMGARLGDPVKPLLLSVRSGAAISMPGMMDTVLNLGLNDEVVSGLAEKSGERFAFDSYRRFLDMFGDVVMGIPHSLFEEKLEALKASKGVSLDTDLTASDLKVLVEEYKQVYLEAKREQFPSDPKKQLYLAVLAVFDSWDSPRAIKYRTINQISGLKGTAVNVQCMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLLNAQGEDVVAGIRTPEDLEAMRKCMPEAYEELVENCSILERHYKDMMDIEFTVQENRLWMLQCRSGKRTGKGAVKIAVDMVKEGLVDDRSAIKMVEPGHLDQLLHPQFEDPSAYKDKVIATGLPASPGAAVGQVVFTTNDAEEWHAQGKAVILVRTETSPEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVSGCSDIRVNDAEKVVVIGDKVIREGDWLSLNGSTGEVILGKQPLTPPVLCGDLGTFMSWVDEVRQLKVMANADTPGDALTARNNGAQGIGLCRTEHMFFASDERIKAVRQMIMATTVEQRKKALDLLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGHIEDIVSELSLDTGMSEEEVFLRIEKLSEVNPMLGFRGCRSGLVFPTQN >DRNTG_06112.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4211114:4212528:1 gene:DRNTG_06112 transcript:DRNTG_06112.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate, phosphate dikinase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G15530) UniProtKB/Swiss-Prot;Acc:O23404] MGTYISYKVGTMIEIPRAALVADEIAEEAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLSHGVLQHDPFEVLDQRGVGQLVKIATERGRRTRPDLKVHLSNFACEEFYLVLM >DRNTG_06112.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4198948:4212528:1 gene:DRNTG_06112 transcript:DRNTG_06112.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate, phosphate dikinase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G15530) UniProtKB/Swiss-Prot;Acc:O23404] MSNAWSLGTWGTPQGLVFSSLGTLAQEKRNFMGEDVVAGIRTPEDLEAMRKCMPEAYEELVENCSILERHYKDMMDIEFTVQENRLWMLQCRSGKRTGKGAVKIAVDMVKEGLVDDRSAIKMVEPGHLDQLLHPQFEDPSAYKDKVIATGLPASPGAAVGQVVFTTNDAEEWHAQGKAVILVRTETSPEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVSGCSDIRVNDAEKVVVIGDKVIREGDWLSLNGSTGEVILGKQPLTPPVLCGDLGTFMSWVDEVRQLKVMANADTPGDALTARNNGAQGIGLCRTEHMFFASDERIKAVRQMIMATTVEQRKKALDLLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGHIEDIVSELSLDTGMSEEEVFLRIEKLSEVNPMLGFRGCRLGISYPELTEMQTRAIFEAAITMSNQGVIVLPEIMVPLVGTPQSGRN >DRNTG_06112.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4198948:4210336:1 gene:DRNTG_06112 transcript:DRNTG_06112.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate, phosphate dikinase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G15530) UniProtKB/Swiss-Prot;Acc:O23404] MQMAMKGVYYYYYHIQRNIDHVHTNKLFLKNKRRNKCLGSSSWCKGRTKNWSGSQSIMFSRKSFCNPLCALVEEIPTITKRVFTFGKGRSEGHKGMKSLLGGKGANLAEMASIGLSVPPGLTVSTEACREYQDNGRSLPSGLWEEILEGLCVVEEEMGARLGDPVKPLLLSVRSGAAISMPGMMDTVLNLGLNDEVVSGLAEKSGERFAFDSYRRFLDMFGDVVMGIPHSLFEEKLEALKASKGVSLDTDLTASDLKVLVEEYKQVYLEAKREQFPSDPKKQLYLAVLAVFDSWDSPRAIKYRTINQISGLKGTAVNVQCMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLLNAQGEDVVAGIRTPEDLEAMRKCMPEAYEELVENCSILERHYKDMMDIEFTVQENRLWMLQCRSGKRTGKGAVKIAVDMVKEGLVDDRSAIKMVEPGHLDQLLHPQFEDPSAYKDKVIATGLPASPGAAVGQVVFTTNDAEEWHAQGKAVILVRTETSPEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVSGCSDIRVNDAEKVVVIGDKVIREGDWLSLNGSTGEVILGKQPLTPPVLCGDLGTFMSWVDEVRQLKVMANADTPGDALTARNNGAQGIGLCRTEHMFFASDERIKAVRQMIMATTVEQRKKALDLLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGHIEDIVSELSLDTGMSEEEVFLRIEKLSEVNPMLGFRGCRLGISYPELTEMQTRAIFEAAITMSNQGVIVLPEIMVPLVGTPQASPYSSFLYYAFCFP >DRNTG_06281.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25861344:25863834:1 gene:DRNTG_06281 transcript:DRNTG_06281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPISRSVWKKVSGRAFIGTDPASGHIRPKCDKLKDDLKNGKIIGYDQQTIRRRIKGKMIVIKRMWIQKEDRVRENSEVSIDENKKKAKVVKNPDVTTAGYNQRLIDRGPQRSTEENYSTHLEVLR >DRNTG_25470.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1589443:1591148:1 gene:DRNTG_25470 transcript:DRNTG_25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFSRSQSSYNFVENQMAVFLLPVLVFAMVGSSDAAWCVCKQDQATTSQQKALDYACGAGADCNPILQNGACYNPNTVNGHCSYAVNSYYQRKGQAQGSCDFAGAAGLVSSDPSPGGACTYPATPSAAGTSNTPSTNTPGSSTSPGTFTPNTGSTGTAPHWSDWRRTRPLRQQL >DRNTG_25470.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1589443:1591084:1 gene:DRNTG_25470 transcript:DRNTG_25470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFSRSQSSYNFVENQMAVFLLPVLVFAMVGSSDAAWCVCKQDQATTSQQKALDYACGAGADCNPILQNGACYNPNTVNGHCSYAVNSYYQRKGQAQGSCDFAGAAGLVSSDPSPGGACTYPATPSAAGTSNTPSTNTPGSSTSPGTFTPNTGSTGTAPHWSDWRRTRPLRQQL >DRNTG_26879.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001370.1:14679:16405:-1 gene:DRNTG_26879 transcript:DRNTG_26879.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNSRNGGRIYHVRGERGRSYTSRLTLSTLSNLALSNAHGVSLTHKNLSKTLANTPQNPSRSPLSSWGKYGEKNTKIGAELALNRAGIRQLHGYGHYTRTCGISTCPCGFSISLISRPAVNSAATILAAVLLHCSATVFDLNNFPIPYFHRDGMLECDCLCAPPNGCAHSNARSLAHTLASHTCTMSSRLKLSKISSKIGRGDNTAALTRQDLFFLYSMAHNVPIHLGCIVADVLRYHGLYYCFSYPKDPWEQRGYSGGFQTAELYVIQSAQCTDLMAHFDFLRDLLRSRPSASPAPPSPITAPVDPPYVSPPPAVVEEPTQRDTDI >DRNTG_08830.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27959712:27962810:1 gene:DRNTG_08830 transcript:DRNTG_08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARVAFAAAAAISRSSSRASSAKLIPHRGLAGGGDHHGPPKVNFWEDPLSPSKWKEEHFVLVSLSGWGLLIYGGFKLFGGKKEKKEEVVPDAKQ >DRNTG_19517.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2902340:2907315:-1 gene:DRNTG_19517 transcript:DRNTG_19517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGWDFGAMLMRVGTSDYASVVSINIFVALLCACIVIGHLLEENRWMNESITALIIGICSGVVILLTSKWRSSHILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIMLFGAVGTLISFFIISIGAIGFFKKMDIGLDINDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQNFDLGHIDVVIVLQFIGNFFKLFVTSTLLGAVGGLLSAYIIKKLYFGRHSTDREVALMMLMAYLTYMIAELLNLSGILTVFFCGIVMSHYTWHNVTESSRITTKHAFATLSFIAETFLFLYVGMDALDIEKWKFVSDSPGTSIGVSSVLLGMVLVGRAAFVFPLSFLSNLAKKSPNEKIPFKQQITIWWAGLMRGAVSIALAYNQFTRSGHTQIRANAIMITSTITVVLFSTLVFGTITKPLVRLLCARHSGIPSEPTTPKSFLYPLLDNGQGSEVETGAICIPRPSSLRMLLRTPTHTVHHYWRKFDDAFMRPVFGGRGFVPFVPGSPTERSVHGDEN >DRNTG_18693.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000971.1:5400:11122:-1 gene:DRNTG_18693 transcript:DRNTG_18693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPPSPRNAECSSSLDDEALPLKFKLLITSKPSSQALPRCLRSFSSSLSVGYQKSSPPVSKNPPNPLL >DRNTG_12660.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1219029:1219959:-1 gene:DRNTG_12660 transcript:DRNTG_12660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKVVFSFFLNFVAILVLLLPQTADAQGLKIGFYSKTCPKAEAIVLQEMTEVIKVAPSLSGPLLRMHFHDCFVMGCDGSILLNSSKNTAEKDAPPNLSLRGYAVIDRVKAKLEKSCKGIVSCADILALVARDVVRLTNGPFWQVPTGRRDGNVSQASDALANLPPPIANISSLKASFSSKGLNTKDLVVLAG >DRNTG_12660.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1218417:1219959:-1 gene:DRNTG_12660 transcript:DRNTG_12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKVVFSFFLNFVAILVLLLPQTADAQGLKIGFYSKTCPKAEAIVLQEMTEVIKVAPSLSGPLLRMHFHDCFVMGCDGSILLNSSKNTAEKDAPPNLSLRGYAVIDRVKAKLEKSCKGIVSCADILALVARDVVRLTNGPFWQVPTGRRDGNVSQASDALANLPPPIANISSLKASFSSKGLNTKDLVVLAGSHTIGTSHCTSFSNRLYNFTGKGDSDPALDKNYVAALKKKCKPNDATTLVQMDPGSSKSFDNGYFTQVSKRRGLFQSDSALLQDPQTKDYVLNKASTSFFKDFPVSIVKMGKIGVLTGNKGEIRQHCALVKLIIIMHSS >DRNTG_10318.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18327743:18332140:-1 gene:DRNTG_10318 transcript:DRNTG_10318.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSAQPLTLTQTVALVLTLSPSLFTLRPPSPSPSPSPSPYRPPSPKISKSDPTDLRLFPLLNPALPTLPTAMATTSILAALQLLRLEKSSTILERSSGCCSLVLLSFCSSASLGS >DRNTG_10318.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18327743:18332140:-1 gene:DRNTG_10318 transcript:DRNTG_10318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSAQPLTLTQTVALVLTLSPSLFTLRPPSPSPSPSPSPYRPPSPKISKSDPTDLRLFPLLNPALPTLPTAMATTSILAALQLLRLEKSSTILERSSGCCSLVLLSFCSSASLGS >DRNTG_10318.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18328540:18332140:-1 gene:DRNTG_10318 transcript:DRNTG_10318.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSAQPLTLTQTVALVLTLSPSLFTLRPPSPSPSPSPSPYRPPSPKISKSDPTDLRLFPLLNPALPTLPTAMATTSILAALQLLRLEKSSTILERSSGCCSLVLLSFCSSASLGS >DRNTG_10318.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18328540:18332140:-1 gene:DRNTG_10318 transcript:DRNTG_10318.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSAQPLTLTQTVALVLTLSPSLFTLRPPSPSPSPSPSPYRPPSPKISKSDPTDLRLFPLLNPALPTLPTAMATTSILAALQLLRLEKSSTILERSSGCCSLVLLSFCSSASLGS >DRNTG_10318.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18327743:18332140:-1 gene:DRNTG_10318 transcript:DRNTG_10318.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSAQPLTLTQTVALVLTLSPSLFTLRPPSPSPSPSPSPYRPPSPKISKSDPTDLRLFPLLNPALPTLPTAMATTSILAALQLLRLEKSSTILERSSGCCSLVLLSFCSSASLGS >DRNTG_16312.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000809.1:24023:36924:1 gene:DRNTG_16312 transcript:DRNTG_16312.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQISISVYTDASWNIVSKLAGLAALPLVVLLGRPLKPALVVIPISQSETLPESLIDDEKVRALEDHLTSDPDDIKALRNLLPDAIAIIDSLIALEPDDKDLPLVGLFSLLLPLLLTKIFNVQLVNLMIFCGVCRAKSYDVGDENLFILLLWNIKVGQMVQQIVNEQFGKCLLELSGNNAIIVMDDANIQLSLLHENIYQTVFDQLIGVYKQVKIGDPLEKKRYPRGKILIGGSVIEGESNFVQPTIVEISSDAPVVMEELFALVLYVMKFKTLKEAIEINNSVPQGLSCSIFTCRPEIIFKWIGPLGSDCTIVNVNVFTNGAKIGGVFGDAPCIICADEDNVPPIHPFWTAQLSPMDDDNVNDDFSLQLKNELDGNSEKK >DRNTG_30398.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:85103:85766:1 gene:DRNTG_30398 transcript:DRNTG_30398.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVAAPPVAGGSLHINRKRSGPQPPVWASLQEIERLRIDKDVRQKPRRMVPEIPAIRVAKRVVLVRHGQSTWNEEGRIQGSSDFAVLTPKGESQAETSRQMLLGDSFDVCFTSPLARSKRTAEIIWGSRMEEMIPEHDLREI >DRNTG_33384.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18820682:18822501:-1 gene:DRNTG_33384 transcript:DRNTG_33384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRSTWVSYVRTCLKATSFSILINGNSTNWFQPSKGVRQGDPLSPYIFILIAQNLTAILN >DRNTG_00487.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30635878:30640017:1 gene:DRNTG_00487 transcript:DRNTG_00487.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTFQPPFKSCVTDGNVASVMCSYNQVNGKPTCADHDLLAGIVRGSWKLNGYIVSDCDSVKVLYENQHYTKTPEDAAAITISAGLDLDCGSFLGEHTVAAVKAGKVAEPKVDTAISNNFAVLMRLGFFDGDPKKLPFGELGPKDVCTPENQELARDAARQGIVLLKNNEGALPLSTKTIKSLAIIGPNANASHTMIGNYEGTPCKYTTPLQGLSAVISSSVYQSGCQNVACSSNSLQLDSAKKAAAGADATILIVGEDQSIEREELDRVSLLLPGEQTTLITEVAKVAKGPVILVIMSGGGYDISFAKNNDQIPSILWVGYPGEAGGAAIADIIFGYYNPSGKLPMTWYPQSYADKVPMNDMRMRPDPATGYPGRTYRFYTGEVIYNFSDGLSYTNFNHHLVQAPKLVYIPLEEGHACYSQRCKSVDLAGSRCEKLAFDIHLRVENSGEMGGAHTVFLFTTPPAVHNSPNKHLLGFEKVSLRPKAMGNVVFRVDVCKDLSVSDEDGNRKVPLGSHLLHVGDLKHSLSIRV >DRNTG_00487.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30635878:30640017:1 gene:DRNTG_00487 transcript:DRNTG_00487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPHPPLYITSHYCLPSFHLPMASMKPPSKSHLSHLFLGFSILCFLCFNAVLAQTPIFACDIASNPALAGFGFCNTSLDVGSRVKDLVQKLTLEEKVGFLGNAAGAVSRLGIPSYQWWSEALHGVSYIGPGTKFSSLVPGATSFPQVLLTAASFNKSLFLTIGEVVSTEARAMHNVGLAGLTFWSPNVNIFRDPRWGRGQETPGEDPYLASQYAIMYVRGLQDADNSDKLKVAACCKHYTAYDLDNWKGFMRLTFNAQVTQQDMEDTFQPPFKSCVTDGNVASVMCSYNQVNGKPTCADHDLLAGIVRGSWKLNGYIVSDCDSVKVLYENQHYTKTPEDAAAITISAGLDLDCGSFLGEHTVAAVKAGKVAEPKVDTAISNNFAVLMRLGFFDGDPKKLPFGELGPKDVCTPENQELARDAARQGIVLLKNNEGALPLSTKTIKSLAIIGPNANASHTMIGNYEGTPCKYTTPLQGLSAVISSSVYQSGCQNVACSSNSLQLDSAKKAAAGADATILIVGEDQSIEREELDRVSLLLPGEQTTLITEVAKVAKGPVILVIMSGGGYDISFAKNNDQIPSILWVGYPGEAGGAAIADIIFGYYNPSGKLPMTWYPQSYADKVPMNDMRMRPDPATGYPGRTYRFYTGEVIYNFSDGLSYTNFNHHLVQAPKLVYIPLEEGHACYSQRCKSVDLAGSRCEKLAFDIHLRVENSGEMGGAHTVFLFTTPPAVHNSPNKHLLGFEKVSLRPKAMGNVVFRVDVCKDLSVSDEDGNRKVPLGSHLLHVGDLKHSLSIRV >DRNTG_26431.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23949158:23950692:-1 gene:DRNTG_26431 transcript:DRNTG_26431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCPACHGRGLIAHQDGSDTICTKCNGKGLLPCATCGSRGLIKCETCMGRGSILTRSIALVNWRTLSNPES >DRNTG_27899.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10437363:10439299:1 gene:DRNTG_27899 transcript:DRNTG_27899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPPSKKAASCSKPPNKNSNFYPCHRMQV >DRNTG_27899.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10438969:10439299:1 gene:DRNTG_27899 transcript:DRNTG_27899.2 gene_biotype:protein_coding transcript_biotype:protein_coding QSVAQSQHRLQQKLSITPTDSTTNKSPNQITEFDHQNPKNITLRKPNHRIATINARPPTRK >DRNTG_20727.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17837115:17838164:-1 gene:DRNTG_20727 transcript:DRNTG_20727.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein 4 [Source:Projected from Arabidopsis thaliana (AT4G25050) UniProtKB/TrEMBL;Acc:F4JRT7] MATVSSTILNFSSSFRPTFKLINGRFSNVKLVSFGMPKNGFPSLKSSCLSICCAAKPETLEKICGIVRKQLALPSETAVTPESKFSTFGADSLDTVEIVMAIEEEFNISVEEDSSQNITTVQEVADLVQELIEKKTEEA >DRNTG_23605.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26589656:26593349:-1 gene:DRNTG_23605 transcript:DRNTG_23605.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVDGQEKVIATAQHIVKSLATSTNAAEDMIRILSGFDNRLSDLFPSPAAPDGDGPQEEASEAELLLDAADQVVLRWDNSDSLLFDVGASLDDASEYLTAVDDLISLVYDEDCSDDLRSRAETTLQISMTRLEDEFRHLMIRHTVPLDPQNLSDPGPRRPSLSFSSFSAARPSPSFSSDHGEPSPIDDSESSAEDDHQIPHHNSADPEGEEEEQRQQQQQSSSELIHPEVVADLKSIADRMILSGYGKELCQVYSSVRREVLDECLSILGVDRMSIDEVQRIEWQTLDDRMRKWVQAVRVGIGLLLPGERRICDQIFAESSTLRDECFAEAAKGCVMQLLNFGDAIAVCRRSSEKLFRILGMYEALSGVMLELRSMFPGEHGELLITEAEEILTRLGDAAKGTFNEFGNDVQNENSKKTMPNGDIHPITRYVMNYLVLLVSYKDSLDFLLDESSPDDGNHPESIEQRDGSGDFGLMSPTARRLLACISYLEANLEEKSKLYDDGGMQYVFLMNNMLYIVQKVKDSELRALLGDHWVRRRRGQVRQYATSYLRASWTKVLSYLKDDGLGGSGSSNSASRMAIKDRFKNFNMAFEEIYRTQIVWRVADNQLREELRISISEKVIPAYRAFMGRFGGHLEGRHAAKYIKYTPEDLENHLLDLFEGSPGGPQNHPRRKLGS >DRNTG_23605.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26589656:26592897:-1 gene:DRNTG_23605 transcript:DRNTG_23605.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVDGQEKVIATAQHIVKSLATSTNAAEDMIRILSGFDNRLSDLFPSPAAPDGDGPQEEASEAELLLDAADQVVLRWDNSDSLLFDVGASLDDASEYLTAVDDLISLVYDEDCSDDLRSRAETTLQISMTRLEDEFRHLMIRHTVPLDPQNLSDPGPRRPSLSFSSFSAARPSPSFSSDHGEPSPIDDSESSAEDDHQIPHHNSADPEGEEEEQRQQQQQSSSELIHPEVVADLKSIADRMILSGYGKELCQVYSSVRREVLDECLSILGVDRMSIDEVQRIEWQTLDDRMRKWVQAVRVGIGLLLPGERRICDQIFAESSTLRDECFAEAAKGCVMQLLNFGDAIAVCRRSSEKLFRILGMYEALSGVMLELRSMFPGEHGELLITEAEEILTRLGDAAKGTFNEFGNDVQNENSKKTMPNGDIHPITRYVMNYLVLLVSYKDSLDFLLDESSPDDGNHPESIEQRDGSGDFGLMSPTARRLLACISYLEANLEEKSKLYDDGGMQYVFLMNNMLYIVQKVKDSELRALLGDHWVRRRRGQVRQYATSYLRASWTKVLSYLKDDGLGGSGSSNSASRMAIKDRFKNFNMAFEEIYRTQIVWRVADNQLREELRISISEKVIPAYRAFMGRFGGHLEGRHAAKYIKYTPEDLENHLLDLFEGSPGGPQNHPRRKLGS >DRNTG_23605.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26589656:26592897:-1 gene:DRNTG_23605 transcript:DRNTG_23605.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVDGQEKVIATAQHIVKSLATSTNAAEDMIRILSGFDNRLSDLFPSPAAPDGDGPQEEASEAELLLDAADQVVLRWDNSDSLLFDVGASLDDASEYLTAVDDLISLVYDEDCSDDLRSRAETTLQISMTRLEDEFRHLMIRHTVPLDPQNLSDPGPRRPSLSFSSFSAARPSPSFSSDHGEPSPIDDSESSAEDDHQIPHHNSADPEGEEEEQRQQQQQSSSELIHPEVVADLKSIADRMILSGYGKELCQVYSSVRREVLDECLSILGVDRMSIDEVQRIEWQTLDDRMRKWVQAVRVGIGLLLPGERRICDQIFAESSTLRDECFAEAAKGCVMQLLNFGDAIAVCRRSSEKLFRILGMYEALSGVMLELRSMFPGEHGELLITEAEEILTRLGDAAKGTFNEFGNDVQNENSKKTMPNGDIHPITRYVMNYLVLLVSYKDSLDFLLDESSPDDGNHPESIEQRDGSGDFGLMSPTARRLLACISYLEANLEEKSKLYDDGGMQYVFLMNNMLYIVQKVKDSELRALLGDHWVRRRRGQVRQYATSYLRASWTKVLSYLKDDGLGGSGSSNSASRMAIKDRFKNFNMAFEEIYRTQIVWRVADNQLREELRISISEKVIPAYRAFMGRFGGHLEGRHAAKYIKYTPEDLENHLLDLFEGSPGGPQNHPRRKLGS >DRNTG_23605.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26589343:26592897:-1 gene:DRNTG_23605 transcript:DRNTG_23605.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVDGQEKVIATAQHIVKSLATSTNAAEDMIRILSGFDNRLSDLFPSPAAPDGDGPQEEASEAELLLDAADQVVLRWDNSDSLLFDVGASLDDASEYLTAVDDLISLVYDEDCSDDLRSRAETTLQISMTRLEDEFRHLMIRHTVPLDPQNLSDPGPRRPSLSFSSFSAARPSPSFSSDHGEPSPIDDSESSAEDDHQIPHHNSADPEGEEEEQRQQQQQSSSELIHPEVVADLKSIADRMILSGYGKELCQVYSSVRREVLDECLSILGVDRMSIDEVQRIEWQTLDDRMRKWVQAVRVGIGLLLPGERRICDQIFAESSTLRDECFAEAAKGCVMQLLNFGDAIAVCRRSSEKLFRILGMYEALSGVMLELRSMFPGEHGELLITEAEEILTRLGDAAKGTFNEFGNDVQNENSKKTMPNGDIHPITRYVMNYLVLLVSYKDSLDFLLDESSPDDGNHPESIEQRDGSGDFGLMSPTARRLLACISYLEANLEEKSKLYDDGGMQYVFLMNNMLYIVQKVKDSELRALLGDHWVRRRRGQVRQYATSYLRASWTKVLSYLKDDGLGGSGSSNSASRMAIKDRFKNFNMAFEEIYRTQIVWRVADNQLREELRISISEKVIPAYRAFMGRFGGHLEGRHAAKYIKYTPEDLENHLLDLFEGSPGGPQNHPRRKLGS >DRNTG_23605.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26590478:26592897:-1 gene:DRNTG_23605 transcript:DRNTG_23605.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVDGQEKVIATAQHIVKSLATSTNAAEDMIRILSGFDNRLSDLFPSPAAPDGDGPQEEASEAELLLDAADQVVLRWDNSDSLLFDVGASLDDASEYLTAVDDLISLVYDEDCSDDLRSRAETTLQISMTRLEDEFRHLMIRHTVPLDPQNLSDPGPRRPSLSFSSFSAARPSPSFSSDHGEPSPIDDSESSAEDDHQIPHHNSADPEGEEEEQRQQQQQSSSELIHPEVVADLKSIADRMILSGYGKELCQVYSSVRREVLDECLSILGVDRMSIDEVQRIEWQTLDDRMRKWVQAVRVGIGLLLPGERRICDQIFAESSTLRDECFAEAAKGCVMQLLNFGDAIAVCRRSSEKLFRILGMYEALSGVMLELRSMFPGEHGELLITEAEEILTRLGDAAKGTFNEFGNDVQNENSKKTMPNGDIHPITRYVMNYLVLLVSYKDSLDFLLDESSPDDGNHPESIEQRDGSGDFGLMSPTARRLLACISYLEANLEEKSKLYDDGGMQYVFLMNNMLYIVQKVKDSELRALLGDHWVRRRRGQVRQYATSYLRASWTKVLSYLKDDGLGGSGSSNSASRMAIKDRFKNFNMAFEEIYRTQIVWRVADNQLREELRISISEKVIPAYRAFMGRFGGHLEGRHAAKYIKYTPEDLENHLLDLFEGSPGGPQNHPRRKLGS >DRNTG_07441.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000338.1:21380:28934:1 gene:DRNTG_07441 transcript:DRNTG_07441.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRKQLDVLMGANRNGDVAEVNRKYSDRDVCRLYLAGLCPHDLFQLTKMDLGPCSKIHSLQLRKEYEEAKAKGLCNYSRELEDMIERLILECERKIQRALKRLEDEDAKAAVAISVSEVTQTPEILELSKQIKEKLKEVDAFDLEGKTDNKIQALEVVEELRAKRADKQSVLLLDAFNKDRASLPQPSQLAPVPVLAPPDPRTQEIINEKLKKAEDLGEKGMIDEAQKLLEEAEALKKLGGRPEPVLDSSKYTAADVRITDQKLRVCDICGAFLSVYDNDRRLADHFGGKLHLGYMQIREKLAEFQEEKNKSRKRDRSEDDRRSKERSHDHDRAGSRDRERDRDDHGSREKARDNDRRSRDRDREYDRDRERERRDSGKSHSYDSRSRRRSRSRSRERSRDYDRHSRHRDRYDRH >DRNTG_07441.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000338.1:21380:28934:1 gene:DRNTG_07441 transcript:DRNTG_07441.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRKQLDVLMGANRNGDVAEVNRKYSDRDVCRLYLAGLCPHDLFQLTKMDLGPCSKIHSLQLRKEYEEAKAKGLCNYSRELEDMIERLILECERKIQRALKRLEDEDAKAAVAISVSEVTQTPEILELSKQIKEKLKEVDAFDLEGKTDNKIQALEVVEELRAKRADKQSVLLLDAFNKDRASLPQPSQLAPVPVLAPPDPRTQEIINEKLKKAEDLGEKGMIDEAQKLLEEAEALKKLGGRPEPVLDSSKYTAADVRITDQKLRVCDICGAFLSVYDKLLGPVLRGKLLQLKK >DRNTG_07441.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000338.1:21380:28934:1 gene:DRNTG_07441 transcript:DRNTG_07441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRKQLDVLMGANRNGDVAEVNRKYSDRDVCRLYLAGLCPHDLFQLTKMDLGPCSKIHSLQLRKEYEEAKAKGLCNYSRELEDMIERLILECERKIQRALKRLEDEDAKAAVAISVSEVTQTPEILELSKQIKEKLKEVDAFDLEGKTDNKIQALEVVEELRAKRADKQSVLLLDAFNKDRASLPQPSQLAPVPVLAPPDPRTQEIINEKLKKAEDLGEKGMIDEAQKLLEEAEALKKLGGRPEPVLDSSKYTAADVRITDQKLRVCDICGAFLSVYDNDRRLADHFGGKLHLGYMQIREKLAEFQEEKNKSRKRDRSEDDRRSKERSHDHDRAGSRDRERDRDDHGSREKARDNDRRSRDRDREYDRDRERERRDSGKSHSYDSRSRRRSRSRSRERSRDYDRHRHRDRYDRH >DRNTG_18515.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:13318703:13321551:1 gene:DRNTG_18515 transcript:DRNTG_18515.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLQNFLFFITSMVVPCGTAAPVLLKWFVSRDVPTGAPSSNGTIIPIPIPEFPFLVYLHSKKFIRSMDRAKSGVLVRASRPTLLLPHIIGRSSSARNAVFRFIPVLHFLLLESMGDLSYFEFFCGLLCLQFFRTLFSLPRDRSAKRERARKRKRQRLRPNGNEQRRNDKMRCPGHPHIERRVEGFGPVAFPVPPSSSGACLGGVP >DRNTG_18515.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:13317853:13321551:1 gene:DRNTG_18515 transcript:DRNTG_18515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLQNFLFFITSMVVPCGTAAPVLLKWFVSRDVPTGAPSSNGTIIPIPIPEFPFLVYLHSKKFIRSMDRAKSGVLVRASRPTLLLPHIIGRSSSARNAVFRFIPVLHFLLLESMGDLSYFEFFCGLLCLQFFRTLFSLPRDRSAKRERARKRKRQRLRPNGNEQRRNDKMRCPGHPHIERRVEGFGPVAFPVPPSSSGACLGGVP >DRNTG_10827.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5041737:5043790:-1 gene:DRNTG_10827 transcript:DRNTG_10827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPMTSTTTQANPEPAEASAVNESYRDDILHFSIDTMIEFFSSHSGEELQQLLALVEQNMIDSYGELLQESIGLTTQIFKFITHEEHDKELKKACTSATNFAKKLLKILEKYNAPCVKIPEDKAICCRAGNLVDEIT >DRNTG_31342.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:848398:854956:1 gene:DRNTG_31342 transcript:DRNTG_31342.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRMRCFIGLNPKSPSPPERFLDAKTLPASRRRAILFKPPDMLDTVHEIAIYIHRFHNLDLFQQGWYQIKISMRWEDGDLISRGTPARVVQYEAPDPPSESVVGVWRIDDVDNSFSTQPFRIKYARQDVLLSVMVSFNFAIGQYESQSTSAVILKFELMYTPVLENGFEMQASFDAVPAAVHEFRIPPKALLGLHSYCPVHFDVFHAVVIDLSVHIVFLKAGAHISSQKVPSNSHMVGDAIAEHYEGQNQVLGFGMGSKLIELVKSLCASQEILLMELQKMSNSIGEKVDDLIDADINLGADQWSSVFQIFEKLNGTSDLGNDVILQSLPKDDILDLFFSLGNQLLFIWNAFLKFHRIHRFKILEYLHQAWSMDRKAEWSIWMVHSKIEIPHRYLRSGTDDSLHNKFGKAPITRKSNDDPAQIAATRAELHRRSIAQMKINNRSIQDMHIFSNPSQVPVVLIDQQVMNVPLHGSGNDPSVSSPNQNDFSAEPLISRAKVATSQSALSSKKHARVLKVVVFVHGFQGHHLDLRLVRNQWLLIDPGAECLMSEANEDKTSGDFREMGSRLAEEVTAFIKKKMDKYSRYGGCKEVKLSFVGHSIGNIIIRSALTENIMGPFLKNLHTYMSVSGPHLGYWYSSNSLFNSGLWLLKKLKGAPCIHQLTITDDPDLQNTFFYKLCKQKTLENFKNIILLSSPQDGYVPYHSARMELCPASASDNSKKGQIFLEMLNSCLDQIRAPSSVQRTFMRCDVNFDTSAQGRNLNTMIGRAAHIEFLETDYFAKFIMWSYPELFR >DRNTG_31342.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:848398:854956:1 gene:DRNTG_31342 transcript:DRNTG_31342.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYTPVLENGFEMQASFDAVPAAVHEFRIPPKALLGLHSYCPVHFDVFHAVVIDLSVHIVFLKAGAHISSQKVPSNSHMVGDAIAEHYEGQNQVLGFGMGSKLIELVKSLCASQEILLMELQKMSNSIGEKVDDLIDADINLGADQWSSVFQIFEKLNGTSDLGNDVILQSLPKDDILDLFFSLGNQLLFIWNAFLKFHRIHRFKILEYLHQAWSMDRKAEWSIWMVHSKIEIPHRYLRSGTDDSLHNKFGKAPITRKSNDDPAQIAATRAELHRRSIAQMKINNRSIQDMHIFSNPSQVPVVLIDQQVMNVPLHGSGNDPSVSSPNQNDFSAEPLISRAKVATSQSALSSKKHARVLKVVVFVHGFQGHHLDLRLVRNQWLLIDPGAECLMSEANEDKTSGDFREMGSRLAEEVTAFIKKKMDKYSRYGGCKEVKLSFVGHSIGNIIIRSALTENIMGPFLKNLHTYMSVSGPHLGYWYSSNSLFNSGLWLLKKLKGAPCIHQLTITDDPDLQNTFFYKLCKQKTLENFKNIILLSSPQDGYVPYHSARMELCPASASDNSKKGQIFLEMLNSCLDQIRAPSSVQRTFMRCDVNFDTSAQGRNLNTMIGRAAHIEFLETDYFAKFIMWSYPELFR >DRNTG_31342.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:848398:854956:1 gene:DRNTG_31342 transcript:DRNTG_31342.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDCAAPDPPSESVVGVWRIDDVDNSFSTQPFRIKYARQDVLLSVMVSFNFAIGQYESQSTSAVILKFELMYTPVLENGFEMQASFDAVPAAVHEFRIPPKALLGLHSYCPVHFDVFHAVVIDLSVHIVFLKAGAHISSQKVPSNSHMVGDAIAEHYEGQNQVLGFGMGSKLIELVKSLCASQEILLMELQKMSNSIGEKVDDLIDADINLGKFELISSSIKPEISTANGGVSAIKTGADQWSSVFQIFEKLNGTSDLGNDVILQSLPKDDILDLFFSLGNQLLFIWNAFLKFHRIHRFKILEYLHQAWSMDRKAEWSIWMVHSKIEIPHRYLRSGTDDSLHNKFGKAPITRKSNDDPAQIAATRAELHRRSIAQMKINNRSIQDMHIFSNPSQVPVVLIDQQVMNVPLHGSGNDPSVSSPNQNDFSAEPLISRAKVATSQSALSSKKHARVLKVVVFVHGFQGHHLDLRLVRNQWLLIDPGAECLMSEANEDKTSGDFREMGSRLAEEVTAFIKKKMDKYSRYGGCKEVKLSFVGHSIGNIIIRSALTENIMGPFLKNLHTYMSVSGPHLGYWYSSNSLFNSGLWLLKKLKGAPCIHQLTITDDPDLQNTFFYKLCKQKTLENFKNIILLSSPQDGYVPYHSARMELCPASASDNSKKGQIFLEMLNSCLDQIRAPSSVQRTFMRCDVNFDTSAQGRNLNTMIGRAAHIEFLETDYFAKFIMWSYPELFR >DRNTG_31342.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:848398:854956:1 gene:DRNTG_31342 transcript:DRNTG_31342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRMRCFIGLNPKSPSPPERFLDAKTLPASRRRAILFKPPDMLDTVHEIAIYIHRFHNLDLFQQGWYQIKISMRWEDGDLISRGTPARVVQYEAPDPPSESVVGVWRIDDVDNSFSTQPFRIKYARQDVLLSVMVSFNFAIGQYESQSTSAVILKFELMYTPVLENGFEMQASFDAVPAAVHEFRIPPKALLGLHSYCPVHFDVFHAVVIDLSVHIVFLKAGAHISSQKVPSNSHMVGDAIAEHYEGQNQVLGFGMGSKLIELVKSLCASQEILLMELQKMSNSIGEKVDDLIDADINLGKFELISSSIKPEISTANGGVSAIKTGADQWSSVFQIFEKLNGTSDLGNDVILQSLPKDDILDLFFSLGNQLLFIWNAFLKFHRIHRFKILEYLHQAWSMDRKAEWSIWMVHSKIEIPHRYLRSGTDDSLHNKFGKAPITRKSNDDPAQIAATRAELHRRSIAQMKINNRSIQDMHIFSNPSQVPVVLIDQQVMNVPLHGSGNDPSVSSPNQNDFSAEPLISRAKVATSQSALSSKKHARVLKVVVFVHGFQGHHLDLRLVRNQWLLIDPGAECLMSEANEDKTSGDFREMGSRLAEEVTAFIKKKMDKYSRYGGCKEVKLSFVGHSIGNIIIRSALTENIMGPFLKNLHTYMSVSGPHLGYWYSSNSLFNSGLWLLKKLKGAPCIHQLTITDDPDLQNTFFYKLCKQKTLENFKNIILLSSPQDGYVPYHSARMELCPASASDNSKKGQIFLEMLNSCLDQIRAPSSVQRTFMRCDVNFDTSAQGRNLNTMIGRAAHIEFLETDYFAKFIMWSYPELFR >DRNTG_14907.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20519039:20521714:-1 gene:DRNTG_14907 transcript:DRNTG_14907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVSDLNRSKSSSRVTLLNHFSEGVIRASGIADELSNGDSSLQRDFSALRLSKNVALNKKKKKKKSSQGMYWSWGRTQARCSSSGLISRYIRGGGCRVGACDDFDLSFRKRSNVSEDCMLHRLASGVEKIDVECLAHGTASLFQWKISKKAKDVEETVCEPEPIFEMPLLPDDVMEMILIRLPLTSLLAARQVCKKWKLLATTPHFMRMRLQGSYQSPWLFLFGISTNRSYHGEMHALDVSLDQWHRISDDALKNRFMFSVASMGNEIYIVGGCSSSVQLNLPLNDDSFKVHKGMLVFNPLTGLWRKAAPMNSARLHPVLGVFHVSANCSIFNANTHRSSNHHLKSRSFRISDVYEDPHRFSLRCQVSDYLHETRHHSHELNREPSEFARENSNNQLRFALIVVGGHRISSAGSLYHPLDTGEIYDPVTNKWIEIARLPRDFGTVCSGAVCNAKFYVFSENDKLAAYDLEMGLWVVIQVSQPPPRIQEYKLNLISCNSRLFMLCVSWGDTDGLLNRREKAVRKLWEFDIWHHTWSEVSRHPDAPMDRNATFVADDDKIYGTEIFKIFGQLVDFLTVCSVSDPEPRWSRLSRKHALPEADGVSFLIKNMLVLQL >DRNTG_01446.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8226840:8234890:-1 gene:DRNTG_01446 transcript:DRNTG_01446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSDNAPPETYASSATKPHRSSSLSTSLFVTVKNSRKLSPNGVPLLVLHSIVTAKNSWNAERTIEVTVHRPVKNQFLQIHTCLWKFHIPVQMPDSSLFKVTTSANFGIFWPYRFLTFSPSLETLVARVLSRLSKWFYYFQHCIPLKDSYLVRRHRFGKDTPRRIGAGKRSPNNLANTPRNPSQSPLASWGKDGEKNPKIGDESAFK >DRNTG_14054.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4969217:4983415:1 gene:DRNTG_14054 transcript:DRNTG_14054.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGEGSHRYGFRFTVIVEVLRPCVSTWFRPCRFKAEPINIRCEEELIGGHVVGQDRKEDAQSMVRIETEGKRRLKRPNTQQIITFSLQIFFPSGFVMLACVIVKKYKLVPVKEITLCSSTVEMFLDKTLHTLILLAGFYPTTKLHTASFNALVAPAPSTSERVATSSSPTSVGDHQSDTEILHQHAEPFLLQAQCVPLLPRPIELVESRTEHTENEVTDEMKTKEMAKEYFIYSNLTINGCAGAVGQPMIT >DRNTG_27152.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:638707:641555:1 gene:DRNTG_27152 transcript:DRNTG_27152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGKLIPELDHRGTKVLNLTVLQRIDPFIDEILLTVSHSRRDVEGSLFVIKRNSQPRFQFLVMNRRNTENLAEDILGDFEYDVQPPYLLYRNVAQEINGIWFYNSEESETVANLFSRIASAFSKQPLKPTPSAGTSEFKELEAVPGLAVIERPLEPLTSSAAPRDLISNLFSAAKLVGSESSSAVSGKQHQTFEPPSSIDQSISLCLSLLPTLQQATRSPSTFSPLMPYVNSKESSSSPLIRPLFTPSSSSSSLLPQLISSSSSVAPPVYPPGTMQHPYGTPLFQPFPSLAQTSSLGSVITKEQIHNAFLKLVQNDQFIEMVYQELRNAHDT >DRNTG_30254.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:290247:291363:-1 gene:DRNTG_30254 transcript:DRNTG_30254.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNLIAANDALVDLSVMKYSPLDCQRPELLNKKMIEGKILLCGYSFNFVSGTASIKKVSETARILGAAGFVVAVENTYPGAKLDPVPVSTPGILITDLTESQ >DRNTG_05239.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19618254:19622248:1 gene:DRNTG_05239 transcript:DRNTG_05239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGINMPARLSRRIGANESFPTNLFPKRARCQSRRTSPNPKPIPLKTPAADPLSSWGKMEKKNLKSGLKSALNRVVRNTPQTMLS >DRNTG_33136.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:10538210:10540596:-1 gene:DRNTG_33136 transcript:DRNTG_33136.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASQYSMACLSWRKEDSNDGDDDLSKKVVLKFGSLPPKVAIAEVDSDLEPTDRSEADGEGRPTRASPIIDEIQMVTMTKPLTKAQMAEVTALLKRIAIYTAERFRGVGSIMRNIPGSGWCILLQSFPVKERKDRHARPALLQALLSHSSEGSGLMSSERSSLSLFTLL >DRNTG_08957.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14896576:14903507:1 gene:DRNTG_08957 transcript:DRNTG_08957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKVFADRMSQPSRAIIMFCKMNNIDFEEVKVDLATGQHRNPEYREINPMQQVPAIVHGSLKLFESHAILRYLACAFQGVPDHCCSCF >DRNTG_08957.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14898121:14903507:1 gene:DRNTG_08957 transcript:DRNTG_08957.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPSIADLSFVCEIMQLELLEEKHRERIFGPHKKILHWIENVKAATNPNFEEVHAMLYKTKEKIHGKMADDAMKSGP >DRNTG_08957.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14896576:14897588:1 gene:DRNTG_08957 transcript:DRNTG_08957.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKVFADRMSQPSRAIIMFCKMNNIDFEEVKVDLATGQHRNPEYREINPMQQVPAIVHGSLKLFESHAILRYLACAFQGVPDHW >DRNTG_03218.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000147.1:484:1872:-1 gene:DRNTG_03218 transcript:DRNTG_03218.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQVWDHTGLGYTRYNARDVGKDCLGCVVENKVLANSLLLCLQVIYVCVYISHVNIESNC >DRNTG_03218.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000147.1:35:4044:-1 gene:DRNTG_03218 transcript:DRNTG_03218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKVNQHDIAIVGGGMVGLAFACALSSMPLTKQLSIAIIDSNPALSSRQCFNKNAIPDSRVSTVTPSTISFFKEVGAWEHVEQQRHAFFNQMQVWDHTGLGYTRYNARDVGKDCLGCVVENKVLANSLLLCLQNADFQKTIYSARLTSMAFPDHKSLSARNAAGQEHGKDNISGASLTKEKEVKKCNNRFVKLDLSDSKTIHAKLV >DRNTG_03218.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000147.1:1774:2809:-1 gene:DRNTG_03218 transcript:DRNTG_03218.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKVNQHDIAIVGGGMVGLAFACALSSMPLTKQLSIAIIDSNPALSSRQCFNKNAIPDSRVSTVTPSTISFFKEVGAWEHVEQQRHAFFNQMQ >DRNTG_03218.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000147.1:35:2809:-1 gene:DRNTG_03218 transcript:DRNTG_03218.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKVNQHDIAIVGGGMVGLAFACALSSMPLTKQLSIAIIDSNPALSSRQCFNKNAIPDSRVSTVTPSTISFFKEVGAWEHVEQQRHAFFNQMQVWDHTGLGYTRYNARDVGKDCLGCVVENKVLANSLLLCLQNADFQKTIYSARLTSMAFPDHKSLSARNAAGQEHGKDNISGASLTKEKEVKKCNNRFVKLDLSDSKTIHAKLV >DRNTG_22359.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20802738:20806304:-1 gene:DRNTG_22359 transcript:DRNTG_22359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISPRVTLRLRVLVGNLVRFKHNKGIPDALLSNSEIDLQLDVRKPNIEIDVDVDVGEANECNIGNDSGEDVEERILDVPINYNSDTGEEWEEARVKVSKYIQWKKRIQGNDVERDESHNVYKGALTSNRECPAENQKELIEDEGDKVGGCLRDYMYSSDPGSFIDKSSGSDADDAHYQK >DRNTG_01445.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8238019:8240991:-1 gene:DRNTG_01445 transcript:DRNTG_01445.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDAPPVAQEPPLGCMFSLSQAYDRFKRLESVVGVLWTEITEARAIHAANHIEDTSSFTSTSRSTSTRIVSISSTTKGVRDRQVVNALKSKKEAKIGYKDTMLGVLIQFKDQDIINVEITVHSRPRNQRNRESTRACGNYTRPCGNSAQAHVASTPVELPDSAPILVFFSPSFPQLVRGLRLGFQGVLPKVLERFYGSDIVIPLGRRLVGEIRSRRILYWRKESLDDE >DRNTG_10825.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5052817:5053256:-1 gene:DRNTG_10825 transcript:DRNTG_10825.1 gene_biotype:protein_coding transcript_biotype:protein_coding RYQFVYNSDSSDIQYMNNQKHEQQKSNTKF >DRNTG_00077.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21856146:21856648:-1 gene:DRNTG_00077 transcript:DRNTG_00077.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTREFLVRGDSESKSVSALEVRPHRAGLAASFFEALALRGIRVDSIQRGSLTCTFTVPPRLTGVDGNLSAGAIANLVDEIGGAVILADGQHTKVSVDMS >DRNTG_01334.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:139383:140304:-1 gene:DRNTG_01334 transcript:DRNTG_01334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFYFGKGKKAHADEKEKSKKRNAGKKEKKIGTKEERIATEVANVKQRRVRVKIGAGPTEKS >DRNTG_30610.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:597508:600708:-1 gene:DRNTG_30610 transcript:DRNTG_30610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNEREKKLFDSGSLAPETVLACPPTAEGWLLGMIKEGSSVWEKIVNEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVDGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKMCGRDADHGHVS >DRNTG_30610.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:597508:601647:-1 gene:DRNTG_30610 transcript:DRNTG_30610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNEREKKLFDSGSLAPETVLACPPTAEGWLLGMIKEGSSVWEKIVNEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVDGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKMCGRDADHGHVS >DRNTG_30610.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:600287:600708:-1 gene:DRNTG_30610 transcript:DRNTG_30610.4 gene_biotype:protein_coding transcript_biotype:protein_coding RRSHGSKSFHATTFGTRTYARTPIVDVTEGEVFRVEMVDCSGDSIGDNDSATDIKFVSLVGVSGRN >DRNTG_15388.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15156297:15157791:-1 gene:DRNTG_15388 transcript:DRNTG_15388.1 gene_biotype:protein_coding transcript_biotype:protein_coding KFKQKLAKSDFKTTKDNEDESKGKSFSETQNIYASDKESCQPPRFLFREDNRS >DRNTG_26531.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001356.1:1961:3641:1 gene:DRNTG_26531 transcript:DRNTG_26531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGERISGWWSEEETNQAMAAEYVQRFHGHQPAATQCSSALIHRVEAPIQLVWSLVRSFDQPQKYKPFVSRCVVRGDLEIGSVREVDVKSGLPATTSTERLEILDDDEHILSIKIVGGDHRLKNYSSIITLHPDIINGRPGTLVIESFLVDVPEGNTKDETCYFVEKVIKCNLKSLAETSERLALQNHMLPVNC >DRNTG_31521.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001713.1:13979:27159:1 gene:DRNTG_31521 transcript:DRNTG_31521.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKITSYPYFYVKDLVDWYFLPIHAILCSIPDKSGGVAAIAPVSISLLALPSIHRQYFSFHPRRIRSIRLIGEKKKPSGRRSELSKASSSRARRVRRGRLAKRKNTEGGGAASYSLRENFRIGEAFRMGDAFISLAETERIQSIQKLKKPNNITEVLQADPTLAWRVTEFTTHDIVEDWAVKAEADMVMGLGLRQHLRQQHMIKHQICAVGEVPSGFLERLGQKGGLVIYSNAPSFFKPFEVLAGEQSQSAAENKTASERTFVRFFSTKHGSLRITIARWLPKETPIRSAPRLGGIYLIPITRRVYQWCEVAFRQGAKGYTLVKLSLKVATFNTASPAMLFYHLKVSSRFCYLVVVPTSSVKDRCKPTSASSPISYPHNSPNNLDHIVKMVSLGYQQIVFQNEDWESIYSMGLWFTHRAGNDSPFNIVKVKGRAPLLCSPDGKPRFIDSCSQGFGIKSFWLTEGYAICHRAMCYDGIIALVEHCPRIIAHKTSCSVLT >DRNTG_02126.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28725348:28726730:-1 gene:DRNTG_02126 transcript:DRNTG_02126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPIPALKVLNQTSISPPVGTIPETTIPLSFSDLMWLYMGAVERLFFYSIPSITTTHFMEHLLPSLKSSLSLTLPHFFPLAGYIIYSPTPTPNFHYHFSTTNSIPFTVAESDDDFKHLTGDDARSVLRLRPLVPPLPPPSGDETTPLMAVQVTVFPNHGVSIGLTINHGACDGTSTANFMKSWADTCKSGSAASFITPLFDRSLFIYPKEFDSIYLNLAMKFRELISNSSIANSSNKSTNNEAKQDDLVIGSFWLSKDEIEKLKQWVSEEKEAVHCSTFVVACAYVWTCQVRARGWETNRIAWFGFPVNLRGRLRPPVPEEYFGNCLGICVTSAEVGELVKEDGVSVAAEFIRRAIDELRDDALRYVSTWPEIGRTVGDKQPLSVAGSPGFRVYDRDFGWGRPVKVEVVSIGRNGAMSISEGRDGEGIGIGLAASKQEMDKFRLEFAKGLQVLENFS >DRNTG_28503.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14918026:14919098:-1 gene:DRNTG_28503 transcript:DRNTG_28503.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKDHLDDKDTNSNQGHSIATKEEFVVRSN >DRNTG_28503.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14918026:14921961:-1 gene:DRNTG_28503 transcript:DRNTG_28503.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLMRKRAYTLLLKIQLKEKWKENPYQVGPALRNLLNGFHAACQYGIETSGVSSQKVSSREAFPKIVTFVLLEADGIFCQFLGLSGPCNKEGSLNFRNKSEWKSLRPLVKCYLRSSLILLNQVTDREILILALTRLRSSLKFFSDFFSLAGRLTKISVHLWISGDEKLSLASFMILRDISSNLSSDWLDACLKKMYKAFLRHCKSVEPDNLKHIKFLVDSIVEVYSLDIQRSYTQMYSLLCSNWRMS >DRNTG_28503.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14918026:14925738:-1 gene:DRNTG_28503 transcript:DRNTG_28503.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRAYTLLLKIQLKEKWKENPYQVGPALRNLLNGFHAACQYGIETSGVSSQKVSSREAFPKIVTFVLLEADGIFCQFLGLSGPCNKEGSLNFRNKSEWKSLRPLVKCYLRSSLILLNQVTDREILILALTRLRSSLKFFSDFFSLAGRLTKISVHLWISGDEKLSLASFMILRDISSNLSSDWLDACLKKMYKAFLRHCKSVEPDNLKHIKFLVDSIVEVYSLDIQRSYTQMYSLLCSNWRMS >DRNTG_28503.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14918026:14920488:-1 gene:DRNTG_28503 transcript:DRNTG_28503.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLINIIRGISHLFPGPRYVPLRLKCVQMLNRLSLSCGVFIPVACMAFDCLEQKTSGSTGTRTKSVKLSSLLKVPKHLLKSEAFQEECVLSVIEILSAHFSQWNHHVSFPDLATIPLILLKKFHDKATSESLRCPVKRLIDQVERNIELVGKKRDEVTFSPNDQASVEAFLQLESGANTPFAQYHSSISKNPHSRTMIGV >DRNTG_28503.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14918026:14919549:-1 gene:DRNTG_28503 transcript:DRNTG_28503.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLINIIRGISHLFPGPRYVPLRLKCVQMLNRLSLSCGVFIPVACMAFDCLEQKTSGSTGTRTKSVKLSSLLKVPKHLLKSEAFQEECVLSVIEILSAHFSQWNHHVSFPDLATIPLILLKKFHDKATSESLRCPVKRLIDQVERNIELVGKKRDEVTFSPNDQASVEAFLQLESGANTPFAQYHSSISKNPHSRTMIGV >DRNTG_09435.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21192543:21193364:1 gene:DRNTG_09435 transcript:DRNTG_09435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMENSKSSHSPPPSRLSSKPFAGSKQNGSGS >DRNTG_08795.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4297449:4299047:-1 gene:DRNTG_08795 transcript:DRNTG_08795.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHKWRSLHHVLRRTSHSINLYLNSLHPLEDRARSCYDSPIPLSSPDFLLMLLLDGCFLLELFRGSAGEGFKSLGYSRHDPIFAMRGTMHSLQRDMIMLENQIPLFILDRLYGLQLNQPDQTGLVARLAICFFDPLIPTDEPLRSRDRSKLELDPAFDPLSDTGLHCLDVFRQSLLRTGIQPAPRPWLKRWSNNRRCVADKRRQQLIHCVSELREAGVKFKKRKTDRFWDIKFHNGVLKIPRLLIHDGTRSLFLNLIAFEQCHMDSKKDITSYVVFMDNLINSADDVGYLHYCGIIEHWLGNDDEVAELFNKLCQEVVFDINDSYLSGLSEQVNRYYNHRWNAWRASLKHNYFSNPWAIISLVAAVVLLVLTFAQTFYSVYGYYWPRS >DRNTG_09151.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6980458:6981462:1 gene:DRNTG_09151 transcript:DRNTG_09151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIADRCSVPHHLLSELDPYTEELSLVEFVMKGEMAGKHLAPRLNQVVVYHVEERLLVVMDQREEQVKQLEQSLVNISSYIENQQNSRTMGIIDSTSIDPMGCRDDGD >DRNTG_12862.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18421575:18429866:-1 gene:DRNTG_12862 transcript:DRNTG_12862.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSSDSEDTPKARSSSPSNSEEEYKASPVSPQSSDESVTSDGVLVEVPGQDQSDGGREETFVDAPDELGGRGIDLGDSMAMVDLGESSEEHSSPENLARVSSECRKYKEEREVFGRQIAGLRNQLQEMIGGQLIEQLGGEDSGRGEGDMVLSSPTPLHSMLSDCAKYVDQLSGAFHEKFHAEGMIRELHAALYVKDQETEDLSANLSVSLMSRDIIISYLHSLRDEWMEAFSYEVQQLGQCLADIRPDFMASYNNDSGFIFGVARDVLMESKRKEYELQETANRLQEENIKMAEQIHEMKAGWEEAKAEASKSKMDLEQTESRLAAAKEKLSIAVTKGKSLVQHRDSLKQALAEKTSELGNCSFNLQQKSSALDAIEAKAEVLKQSLAEKMNELEKCSLELQQKSSALDTIEADAEVLKQSLAEKTSEFEKYLLDLQGKSNDLRTMEASNRELKQSLAEKNNELEACLQELQQKSDALVAAGGISDELNNAQNLVHSLQGSLSHRESVLEEIEEVMSQIDTPEDFLTLEVVDRVRWFVDQTRISKALLSERRKVKEALDSIELLEGCPSNELESQIHWLGESFSKAKGHIVNMQDELANTQAVVQSHESELSKLHEEIDNLTTSLLQEKLENDSLRSTLTEFRSKHENIVEKLSLLSSEKEELQKVLLELSGTAVGDQPYSDMDAMVENWINIIKAKINTSSIGIQQFERMQSLVYVKDLEQMLCEHILEEEMNDRSKLMSLSNELRRASDEVITLKDEKSFLQKELERVEEKSSLIREKLSMAVKKGKGLVQEREGFRVSLDEKNAEIEKLKREMQQQDSLIKEFKEQIKSLSAYPEHVQKLESDIVSLKGQMDEIELSLKESDNKLQRLIDSLECIVIPTNESFHEPVEKVDWIASYIRESEIVKAHAEKELEKVKEKANLQESSLADASEVIKSLEDKVSQSENHISLIIEEKKNMQLGVTSLEQELKKIKEENFVQASKLEHASAAIKSLEEALSQADEKVSLLVDEKNELESMSNEEITGLNAKLSKCLQELAVTHGNYENQSMELANHLEILKKIMDAEGLITLMTKDFREKIENMRNMGLLLQDMHNQFASKGSLASRSLEEQGTDMHQLSSLQKFEGFIDNKVVSGAITDLDSPSFSKILEELHAYAKLVGDNFRGLSRFMDDHIALTSQALQTARDEFFNVLELNESLRLNVTSLEAERQARDSKISSLQKDVMLLFSTCMNVTKELQTEFHDMVDLDSDSDQLKYSSSLDLILEEVKGGETEEESAGEYAKMAQSLLLAVRRVRTDFHQLVNSKRVLMTSIDDLENKFKRTELTAKSAIQDKHQFEERISELEGDLAELGNVCSELRLQIKEFQAKEDVLKDREAELLALQGTLTTKGTGIREKLFSEDQVEILIDKVNKLLIPFKRLQSTDQEIHFATPVEKLYYVLEEVMELQHTVDSVINEKNDMQSIIESHAHEIEYLKKDIETMSTDHQDLESKKAEFSELTLGLEKIIQMFGENEPIEDKKTGSGKGLLSALERLTMGLSIECENSKSRIQELGAKLQAKEKAVDELSSKVNSLEESLQTHLLHPENVKERALFEPSTATGSEISEIEDAAVGKNLKPSVPAAAHVRAMRKGSADHLVLSIDSEAERLITPQETDEKGHVFKSLNTSGLIPKQGKLVADRIDGIWVSGGRILMSRPGSKVGTYSLLSSLACLGFGYHIVAQSPLDPTQKHQLYHKFFGFMFFTLNSYAIILCLFAFR >DRNTG_12862.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18421575:18429866:-1 gene:DRNTG_12862 transcript:DRNTG_12862.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFGGLMQDQSDGGREETFVDAPDELGGRGIDLGDSMAMVDLGESSEEHSSPENLARVSSECRKYKEEREVFGRQIAGLRNQLQEMIGGQLIEQLGGEDSGRGEGDMVLSSPTPLHSMLSDCAKYVDQLSGAFHEKFHAEGMIRELHAALYVKDQETEDLSANLSVSLMSRDIIISYLHSLRDEWMEAFSYEVQQLGQCLADIRPDFMASYNNDSGFIFGVARDVLMESKRKEYELQETANRLQEENIKMAEQIHEMKAGWEEAKAEASKSKMDLEQTESRLAAAKEKLSIAVTKGKSLVQHRDSLKQALAEKTSELGNCSFNLQQKSSALDAIEAKAEVLKQSLAEKMNELEKCSLELQQKSSALDTIEADAEVLKQSLAEKTSEFEKYLLDLQGKSNDLRTMEASNRELKQSLAEKNNELEACLQELQQKSDALVAAGGISDELNNAQNLVHSLQGSLSHRESVLEEIEEVMSQIDTPEDFLTLEVVDRVRWFVDQTRISKALLSERRKVKEALDSIELLEGCPSNELESQIHWLGESFSKAKGHIVNMQDELANTQAVVQSHESELSKLHEEIDNLTTSLLQEKLENDSLRSTLTEFRSKHENIVEKLSLLSSEKEELQKVLLELSGTAVGDQPYSDMDAMVENWINIIKAKINTSSIGIQQFERMQSLVYVKDLEQMLCEHILEEEMNDRSKLMSLSNELRRASDEVITLKDEKSFLQKELERVEEKSSLIREKLSMAVKKGKGLVQEREGFRVSLDEKNAEIEKLKREMQQQDSLIKEFKEQIKSLSAYPEHVQKLESDIVSLKGQMDEIELSLKESDNKLQRLIDSLECIVIPTNESFHEPVEKVDWIASYIRESEIVKAHAEKELEKVKEKANLQESSLADASEVIKSLEDKVSQSENHISLIIEEKKNMQLGVTSLEQELKKIKEENFVQASKLEHASAAIKSLEEALSQADEKVSLLVDEKNELESMSNEEITGLNAKLSKCLQELAVTHGNYENQSMELANHLEILKKIMDAEGLITLMTKDFREKIENMRNMGLLLQDMHNQFASKGSLASRSLEEQGTDMHQLSSLQKFEGFIDNKVVSGAITDLDSPSFSKILEELHAYAKLVGDNFRGLSRFMDDHIALTSQALQTARDEFFNVLELNESLRLNVTSLEAERQARDSKISSLQKDVMLLFSTCMNVTKELQTEFHDMVDLDSDSDQLKYSSSLDLILEEVKGGETEEESAGEYAKMAQSLLLAVRRVRTDFHQLVNSKRVLMTSIDDLENKFKRTELTAKSAIQDKHQFEERISELEGDLAELGNVCSELRLQIKEFQAKEDVLKDREAELLALQGTLTTKGTGIREKLFSEDQVEILIDKVNKLLIPFKRLQSTDQEIHFATPVEKLYYVLEEVMELQHTVDSVINEKNDMQSIIESHAHEIEYLKKDIETMSTDHQDLESKKAEFSELTLGLEKIIQMFGENEPIEDKKTGSGKGLLSALERLTMGLSIECENSKSRIQELGAKLQAKEKAVDELSSKVNSLEESLQTHLLHPENVKERALFEPSTATGSEISEIEDAAVGKNLKPSVPAAAHVRAMRKGSADHLVLSIDSEAERLITPQETDEKGHVFKSLNTSGLIPKQGKLVADRIDGIWVSGGRILMSRPGSKVGTYSLLSSLACLGFGYHIVAQSPLDPTQKHQLYHKFFGFMFFTLNSYAIILCLFAFR >DRNTG_12862.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18421575:18429866:-1 gene:DRNTG_12862 transcript:DRNTG_12862.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGQLIEQLGGEDSGRGEGDMVLSSPTPLHSMLSDCAKYVDQLSGAFHEKFHAEGMIRELHAALYVKDQETEDLSANLSVSLMSRDIIISYLHSLRDEWMEAFSYEVQQLGQCLADIRPDFMASYNNDSGFIFGVARDVLMESKRKEYELQETANRLQEENIKMAEQIHEMKAGWEEAKAEASKSKMDLEQTESRLAAAKEKLSIAVTKGKSLVQHRDSLKQALAEKTSELGNCSFNLQQKSSALDAIEAKAEVLKQSLAEKMNELEKCSLELQQKSSALDTIEADAEVLKQSLAEKTSEFEKYLLDLQGKSNDLRTMEASNRELKQSLAEKNNELEACLQELQQKSDALVAAGGISDELNNAQNLVHSLQGSLSHRESVLEEIEEVMSQIDTPEDFLTLEVVDRVRWFVDQTRISKALLSERRKVKEALDSIELLEGCPSNELESQIHWLGESFSKAKGHIVNMQDELANTQAVVQSHESELSKLHEEIDNLTTSLLQEKLENDSLRSTLTEFRSKHENIVEKLSLLSSEKEELQKVLLELSGTAVGDQPYSDMDAMVENWINIIKAKINTSSIGIQQFERMQSLVYVKDLEQMLCEHILEEEMNDRSKLMSLSNELRRASDEVITLKDEKSFLQKELERVEEKSSLIREKLSMAVKKGKGLVQEREGFRVSLDEKNAEIEKLKREMQQQDSLIKEFKEQIKSLSAYPEHVQKLESDIVSLKGQMDEIELSLKESDNKLQRLIDSLECIVIPTNESFHEPVEKVDWIASYIRESEIVKAHAEKELEKVKEKANLQESSLADASEVIKSLEDKVSQSENHISLIIEEKKNMQLGVTSLEQELKKIKEENFVQASKLEHASAAIKSLEEALSQADEKVSLLVDEKNELESMSNEEITGLNAKLSKCLQELAVTHGNYENQSMELANHLEILKKIMDAEGLITLMTKDFREKIENMRNMGLLLQDMHNQFASKGSLASRSLEEQGTDMHQLSSLQKFEGFIDNKVVSGAITDLDSPSFSKILEELHAYAKLVGDNFRGLSRFMDDHIALTSQALQTARDEFFNVLELNESLRLNVTSLEAERQARDSKISSLQKDVMLLFSTCMNVTKELQTEFHDMVDLDSDSDQLKYSSSLDLILEEVKGGETEEESAGEYAKMAQSLLLAVRRVRTDFHQLVNSKRVLMTSIDDLENKFKRTELTAKSAIQDKHQFEERISELEGDLAELGNVCSELRLQIKEFQAKEDVLKDREAELLALQGTLTTKGTGIREKLFSEDQVEILIDKVNKLLIPFKRLQSTDQEIHFATPVEKLYYVLEEVMELQHTVDSVINEKNDMQSIIESHAHEIEYLKKDIETMSTDHQDLESKKAEFSELTLGLEKIIQMFGENEPIEDKKTGSGKGLLSALERLTMGLSIECENSKSRIQELGAKLQAKEKAVDELSSKVNSLEESLQTHLLHPENVKERALFEPSTATGSEISEIEDAAVGKNLKPSVPAAAHVRAMRKGSADHLVLSIDSEAERLITPQETDEKGHVFKSLNTSGLIPKQGKLVADRIDGIWVSGGRILMSRPGSKVGTYSLLSSLACLGFGYHIVAQSPLDPTQKHQLYHKFFGFMFFTLNSYAIILCLFAFR >DRNTG_33034.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3538968:3539248:-1 gene:DRNTG_33034 transcript:DRNTG_33034.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGESATAKYPLVHDIETPRQKQGSVDCAVYVMRFIEQLLWGEKLRLPQTDVPYLRLRYVTRILKEGRAAGVHDKGGSSQAG >DRNTG_19788.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15734890:15754320:-1 gene:DRNTG_19788 transcript:DRNTG_19788.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYD [Source:Projected from Arabidopsis thaliana (AT2G28290) UniProtKB/TrEMBL;Acc:A0A384L3H2] METDSSSKETENSKKKIRRSPNSDSFLLPEENRQFSALKQNSFSQIHTQEIAESCAVSATPQEADTLTHVARGTLDSHQRREGSGHTILQASWMNQVYSPCSGSSKLLKPENSNSMNKTYVDPSKELETARLQRESVMEKAEERFNQPQLAGYNNPDVSKLQDNYQSFLPIKEQKQQILGKEDTIKHIMTSSKNVDMFFTHVNPADKISAVPESMVSNNLVSTYSASNGANDRRVSAIQNHDIEKQSGSNEFKTMDAKDPLKLSDYSIVAVEQEDDNEPENMPLSPPKYTTSEKWILDRQNRRHLEEQRWELKQRKAEKRIAVRFEKLKDKVSSSEDISAKTRSVIELKKLQLLQLQRRLRSEFLNDFFKPITSDMDRLKSTKKHRHGRRTKQLEKFEQKMKEERQKRIRERQKEFFSEIESHKEKLEDCFKAKRERWKGFNKYVKEFHKRKERIHREKIDRIQREKINLLKNNDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLSSKLQDAKAIVRRFEMGVDDGRAVNIVEKNEVVNDNEDESDQAQHYLESNEKYYMMAHSVKETINDQPTLLQGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVIALLCYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPGISKIAYAGPPEERRRLFKERIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWRYIIIDEGHRIKNASCKLNADLKHYHSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDNSPDEALLTEEENLLIINRLHQVLRPFVLRRLKHKVENELPEKIERLVRCEASAYQKLLMKRVEENLGCIGNSKGRSIHNTVMELRNICNHPYLSQLHAEEVDNLIPKHYLPPIVRLCGKLEMLDRLLPKLKATDHRVLLFSTMTRLLDVLEEYLSWKRYRYLRLDGHTSGNDRGALIDDFNRPGSEAFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKDVLVLRLETVRTVEEQVRAAAEHKLGVANQSITAGFFDNHTSAEDRREYLEALLRESKKEEAAPVLDDDSLNDLLARSESEIDVFESVDKQRRDDEMATWQRIIQGTKDISESLPMPSRLVTEEDLKAFYKAMMLYEPPHVGAQVGAQVGVKRKNELGGLDTQHYGRGKRAREVRSYEDQWTEEEFEKLCQVDSPESSQSVEVVKESQPVEVVKELNTTVDPVAPKLGDPELMMPSGKDPIGPSKEQLQPSKYPTPAKRGRGRPKRATIDASHLPANPTATYDTTNKPEMIPENKASFASTSISGDPVPTEVAVAASAPPPPHGPTIIRQTKGRKKAQTGETSRGRPRRQSALTSAGTEVHMISGLLQGTHGMPNKPTSSLTQEKARVERSSEIPNTLPIADEANTILGLQKPAGLAVNAPISLESSDKVTSALPAMDKSCSGRELGSESKTLSTSSKQLTSTTTCSSKLKTDDFSAKTEVTLASPCQISGTSPPAGPVLAEDIEGRTGATRSASTLISDKQNLSDHNNGSLATTLLDVDCTSCAKQSYGAKEDNSVMAAQGMLPNIDAQSCEKQKQIRKVVDPSVTNVTILPKCDAFNASPINLQGDAIHGIQSAKLVEILASPGPPSQMPHSLGKDYVATAAVVRKAPENNTSVRGKKAAAREPRNRGSSATATLERRARIAGQKQAEGRKKVDKSGTIVSSSTALKQQETGIGKSLPNLEDKSTVSSSPSLETGTLRSNIPFDKAEHLPSTDKTSRLGSKPKILDAQDQETSLVKSDGFSLSMKKAITKPDVSALPPIVGVKGKAGFDEGPSDLVSESLHLKDPLQSKEDNLVAQGCGEDDCSIPPGFEAVDAHERALSLEKGEVGPGHSCANDLGRGDPVLVTSVDTPMVLSASQDNEYSFPSIVHTGNGSNPACATMLSNVCLKESSSSIICADAGTEVGVEASKETDSCSAANISSFQSMTEDKADKVDSKNNEEENIAVVKIGADALVPSLSDSHYHNSTVCAAEMNENNCTEAGYVSFCRVTKSELDKDGYATVADPNNFSDAPVYPVSIITEAPKTSLKIAMEESSSIGVANFQITASANSTAQNAENLHSSSIQGSNCSDVAPQGEIIAQVNNSLKSPSDTLSMKGMDASSQDLNQSGIDSMDTYAQGKNDEFVNSSGDTLLIDEIDSSAREKNDENVNSCTNTPFAGQINSSTIEKNEEIFNSATVTPPIGKMDSPAQEKDDDDLKPFTGTSFMPATAMSAYIGAEAESLDVDSATPSISDSVMPITVEMGGSAAEPSGEVHISEEAQAISGSAEPVANVLGSSDLTPETSGKLLVSGDAQEVSDIPEGTTVEVGASAAIPEPSCQLQISEDAQVISENAVTISTELHNLAAAPEMSGRIQFSEDTQAIFDSADPPGENEFSNSAFIPETLGQIQVSGDSKGISENAEPLTTEVHNSAAAPETFGLLQVSEDAQTISDSGDPSIENEFSNSAAALEPSDQLQISDDAIGIIECAVPIATELQNLGAVPETSCLPQVTEDAQASSDITEPMEIEFNDSAVIPEHVGQLHISEDAKGISESAEPISSELHDAAVFETSDYLHVLGDAKALSDGAEHMEIELSNSAAVSDASGKVQVSEDAQVLSDSVEASKVGVGSSASVSESAGQLQVSEDVKVISDGSELVEIEASNLASVPEPSGHLQVFEAISDSAEPFPIELANAVPEPSGQVLASPDAQVVSCSGEATTIGVDSLTPAAHLSAQLQASDDVQVVSGSGEAIAIGVKSLAAVPQHSAQPQAFDDARVVSASGEAITIGVDNSAAVPQPSAQLQTSGDGQSISENAEPITFESGNSASVPESSSEIQVSEDVQAISKNAEPLNSESGSLASVSEPSAELQVSEYARVISENAEPSTNGSDKLGNSSAVPEHTSQLQVSEDAQVHSDSAEATAMGVGSSAAFPETSAQLQVPEDAEAISDNSKPAATELGSSSGVPEPSDKIQVSENAKAISVSAEPITMELGSLATISEPSGEAQVSDDAQAISDSAKPIPIDASSSAPIPRSSNQLPVFEGAQAPDVSSEDCNKSQELEVKKGSEGFAQVPVTDSPNAITSETPAMEGNTKEDMTVTANESTLEKECSSDPVVQQVKDDSSANVDPPRSSSEEKV >DRNTG_19788.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15734890:15760780:-1 gene:DRNTG_19788 transcript:DRNTG_19788.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYD [Source:Projected from Arabidopsis thaliana (AT2G28290) UniProtKB/TrEMBL;Acc:A0A384L3H2] MTTSQNVEVEAAKFLHKLIQESKDEPAKLATKLYVICQHMKLSGKEQSLPYQVISRAMETVIGQHGLDINALKASRVSLPSGSQMMDTDKQFSSGGIDMASKGVALNTWHSASSSQAKEEVYGNAFQNYGVPKDSKVLDMARHEGLLSNRTTAGLRRVDSMGTDVHQVSVSQKSTQSYEHESPASVPMEESRSANSQEGHDTERSDKQLGTKDPKKTGGKRKRTDPKAVSDVQYDNPRSSDSPSSAFNSRKGRQMSKSGLQGQYMASASNQEGGNTFSERNLDKTKNSNSFPVTPKSKTSEEGELCSSHIAFASQRGGLLPSRSNSMNSTNVWNQNKFTPASENAKGSLPGFAEASPGISGENKYSGNEAKHSTHWAENNNPQSTNLAANYKLGTMKMNDRTPGAFSSYTMARPGCAPQAYFNSLSSESQAHGLPSKLNKERIFETSLNSQALDKGKDAIGMPLSMQFMSPKALAESDCGKFGFANAAAFSDKVLEGRMGSASHVADTSPAYLSSGKTSETHERENKDALRRPSGNDNGTGVLPQAFAPSNMPFKEHHLKQLRAQCLVFLAFRNNLIPRRLHLEIALGGSFPKEDRGADASSKEPINSHDGSGLLVKPNDNARAQPGSSSGGMETDSSSKETENSKKKIRRSPNSDSFLLPEENRQFSALKQNSFSQIHTQEIAESCAVSATPQEADTLTHVARGTLDSHQRREGSGHTILQASWMNQVYSPCSGSSKLLKPENSNSMNKTYVDPSKELETARLQRESVMEKAEERFNQPQLAGYNNPDVSKLQDNYQSFLPIKEQKQQILGKEDTIKHIMTSSKNVDMFFTHVNPADKISAVPESMVSNNLVSTYSASNGANDRRVSAIQNHDIEKQSGSNEFKTMDAKDPLKLSDYSIVAVEQEDDNEPENMPLSPPKYTTSEKWILDRQNRRHLEEQRWELKQRKAEKRIAVRFEKLKDKVSSSEDISAKTRSVIELKKLQLLQLQRRLRSEFLNDFFKPITSDMDRLKSTKKHRHGRRTKQLEKFEQKMKEERQKRIRERQKEFFSEIESHKEKLEDCFKAKRERWKGFNKYVKEFHKRKERIHREKIDRIQREKINLLKNNDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLSSKLQDAKAIVRRFEMGVDDGRAVNIVEKNEVVNDNEDESDQAQHYLESNEKYYMMAHSVKETINDQPTLLQGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVIALLCYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPGISKIAYAGPPEERRRLFKERIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWRYIIIDEGHRIKNASCKLNADLKHYHSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDNSPDEALLTEEENLLIINRLHQVLRPFVLRRLKHKVENELPEKIERLVRCEASAYQKLLMKRVEENLGCIGNSKGRSIHNTVMELRNICNHPYLSQLHAEEVDNLIPKHYLPPIVRLCGKLEMLDRLLPKLKATDHRVLLFSTMTRLLDVLEEYLSWKRYRYLRLDGHTSGNDRGALIDDFNRPGSEAFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKDVLVLRLETVRTVEEQVRAAAEHKLGVANQSITAGFFDNHTSAEDRREYLEALLRESKKEEAAPVLDDDSLNDLLARSESEIDVFESVDKQRRDDEMATWQRIIQGTKDISESLPMPSRLVTEEDLKAFYKAMMLYEPPHVGAQVGAQVGVKRKNELGGLDTQHYGRGKRAREVRSYEDQWTEEEFEKLCQVDSPESSQSVEVVKESQPVEVVKELNTTVDPVAPKLGDPELMMPSGKDPIGPSKEQLQPSKYPTPAKRGRGRPKRATIDASHLPANPTATYDTTNKPEMIPENKASFASTSISGDPVPTEVAVAASAPPPPHGPTIIRQTKGRKKAQTGETSRGRPRRQSALTSAGTEVHMISGLLQGTHGMPNKPTSSLTQEKARVERSSEIPNTLPIADEANTILGLQKPAGLAVNAPISLESSDKVTSALPAMDKSCSGRELGSESKTLSTSSKQLTSTTTCSSKLKTDDFSAKTEVTLASPCQISGTSPPAGPVLAEDIEGRTGATRSASTLISDKQNLSDHNNGSLATTLLDVDCTSCAKQSYGAKEDNSVMAAQGMLPNIDAQSCEKQKQIRKVVDPSVTNVTILPKCDAFNASPINLQGDAIHGIQSAKLVEILASPGPPSQMPHSLGKDYVATAAVVRKAPENNTSVRGKKAAAREPRNRGSSATATLERRARIAGQKQAEGRKKVDKSGTIVSSSTALKQQETGIGKSLPNLEDKSTVSSSPSLETGTLRSNIPFDKAEHLPSTDKTSRLGSKPKILDAQDQETSLVKSDGFSLSMKKAITKPDVSALPPIVGVKGKAGFDEGPSDLVSESLHLKDPLQSKEDNLVAQGCGEDDCSIPPGFEAVDAHERALSLEKGEVGPGHSCANDLGRGDPVLVTSVDTPMVLSASQDNEYSFPSIVHTGNGSNPACATMLSNVCLKESSSSIICADAGTEVGVEASKETDSCSAANISSFQSMTEDKADKVDSKNNEEENIAVVKIGADALVPSLSDSHYHNSTVCAAEMNENNCTEAGYVSFCRVTKSELDKDGYATVADPNNFSDAPVYPVSIITEAPKTSLKIAMEESSSIGVANFQITASANSTAQNAENLHSSSIQGSNCSDVAPQGEIIAQVNNSLKSPSDTLSMKGMDASSQDLNQSGIDSMDTYAQGKNDEFVNSSGDTLLIDEIDSSAREKNDENVNSCTNTPFAGQINSSTIEKNEEIFNSATVTPPIGKMDSPAQEKDDDDLKPFTGTSFMPATAMSAYIGAEAESLDVDSATPSISDSVMPITVEMGGSAAEPSGEVHISEEAQAISGSAEPVANVLGSSDLTPETSGKLLVSGDAQEVSDIPEGTTVEVGASAAIPEPSCQLQISEDAQVISENAVTISTELHNLAAAPEMSGRIQFSEDTQAIFDSADPPGENEFSNSAFIPETLGQIQVSGDSKGISENAEPLTTEVHNSAAAPETFGLLQVSEDAQTISDSGDPSIENEFSNSAAALEPSDQLQISDDAIGIIECAVPIATELQNLGAVPETSCLPQVTEDAQASSDITEPMEIEFNDSAVIPEHVGQLHISEDAKGISESAEPISSELHDAAVFETSDYLHVLGDAKALSDGAEHMEIELSNSAAVSDASGKVQVSEDAQVLSDSVEASKVGVGSSASVSESAGQLQVSEDVKVISDGSELVEIEASNLASVPEPSGHLQVFEAISDSAEPFPIELANAVPEPSGQVLASPDAQVVSCSGEATTIGVDSLTPAAHLSAQLQASDDVQVVSGSGEAIAIGVKSLAAVPQHSAQPQAFDDARVVSASGEAITIGVDNSAAVPQPSAQLQTSGDGQSISENAEPITFESGNSASVPESSSEIQVSEDVQAISKNAEPLNSESGSLASVSEPSAELQVSEYARVISENAEPSTNGSGNSSAVPEHTSQLQVSEDAQVHSDSAEATAMGVGSSAAFPETSAQLQVPEDAEAISDNSKPAATELGSSSGVPEPSDKIQVSENAKAISVSAEPITMELGSLATISEPSGEAQVSDDAQAISDSAKPIPIDASSSAPIPRSSNQLPVFEGAQAPDVSSEDCNKSQELEVKKGSEGFAQVPVTDSPNAITSETPAMEGNTKEDMTVTANESTLEKECSSDPVVQQVKDDSSANVDPPRSSSEEKV >DRNTG_19788.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15734890:15760780:-1 gene:DRNTG_19788 transcript:DRNTG_19788.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYD [Source:Projected from Arabidopsis thaliana (AT2G28290) UniProtKB/TrEMBL;Acc:A0A384L3H2] MTTSQNVEVEAAKFLHKLIQESKDEPAKLATKLYVICQHMKLSGKEQSLPYQVISRAMETVIGQHGLDINALKASRVSLPSGSQMMDTDKQFSSGGIDMASKGVALNTWHSASSSQAKEEVYGNAFQNYGVPKDSKVLDMARHEGLLSNRTTAGLRRVDSMGTDVHQVSVSQKSTQSYEHESPASVPMEESRSANSQEGHDTERSDKQLGTKDPKKTGGKRKRTDPKAVSDVQYDNPRSSDSPSSAFNSRKGRQMSKSGLQGQYMASASNQEGGNTFSERNLDKTKNSNSFPVTPKSKTSEEGELCSSHIAFASQRGGLLPSRSNSMNSTNVWNQNKFTPASENAKGSLPGFAEASPGISGENKYSGNEAKHSTHWAENNNPQSTNLAANYKLGTMKMNDRTPGAFSSYTMARPGCAPQAYFNSLSSESQAHGLPSKLNKERIFETSLNSQALDKGKDAIVLEGRMGSASHVADTSPAYLSSGKTSETHERENKDALRRPSGNDNGTGVLPQAFAPSNMPFKEHHLKQLRAQCLVFLAFRNNLIPRRLHLEIALGGSFPKEDRGADASSKEPINSHDGSGLLVKPNDNARAQPGSSSGGMETDSSSKETENSKKKIRRSPNSDSFLLPEENRQFSALKQNSFSQIHTQEIAESCAVSATPQEADTLTHVARGTLDSHQRREGSGHTILQASWMNQVYSPCSGSSKLLKPENSNSMNKTYVDPSKELETARLQRESVMEKAEERFNQPQLAGYNNPDVSKLQDNYQSFLPIKEQKQQILGKEDTIKHIMTSSKNVDMFFTHVNPADKISAVPESMVSNNLVSTYSASNGANDRRVSAIQNHDIEKQSGSNEFKTMDAKDPLKLSDYSIVAVEQEDDNEPENMPLSPPKYTTSEKWILDRQNRRHLEEQRWELKQRKAEKRIAVRFEKLKDKVSSSEDISAKTRSVIELKKLQLLQLQRRLRSEFLNDFFKPITSDMDRLKSTKKHRHGRRTKQLEKFEQKMKEERQKRIRERQKEFFSEIESHKEKLEDCFKAKRERWKGFNKYVKEFHKRKERIHREKIDRIQREKINLLKNNDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLSSKLQDAKAIVRRFEMGVDDGRAVNIVEKNEVVNDNEDESDQAQHYLESNEKYYMMAHSVKETINDQPTLLQGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVIALLCYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPGISKIAYAGPPEERRRLFKERIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWRYIIIDEGHRIKNASCKLNADLKHYHSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDNSPDEALLTEEENLLIINRLHQVLRPFVLRRLKHKVENELPEKIERLVRCEASAYQKLLMKRVEENLGCIGNSKGRSIHNTVMELRNICNHPYLSQLHAEEVDNLIPKHYLPPIVRLCGKLEMLDRLLPKLKATDHRVLLFSTMTRLLDVLEEYLSWKRYRYLRLDGHTSGNDRGALIDDFNRPGSEAFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKDVLVLRLETVRTVEEQVRAAAEHKLGVANQSITAGFFDNHTSAEDRREYLEALLRESKKEEAAPVLDDDSLNDLLARSESEIDVFESVDKQRRDDEMATWQRIIQGTKDISESLPMPSRLVTEEDLKAFYKAMMLYEPPHVGAQVGAQVGVKRKNELGGLDTQHYGRGKRAREVRSYEDQWTEEEFEKLCQVDSPESSQSVEVVKESQPVEVVKELNTTVDPVAPKLGDPELMMPSGKDPIGPSKEQLQPSKYPTPAKRGRGRPKRATIDASHLPANPTATYDTTNKPEMIPENKASFASTSISGDPVPTEVAVAASAPPPPHGPTIIRQTKGRKKAQTGETSRGRPRRQSALTSAGTEVHMISGLLQGTHGMPNKPTSSLTQEKARVERSSEIPNTLPIADEANTILGLQKPAGLAVNAPISLESSDKVTSALPAMDKSCSGRELGSESKTLSTSSKQLTSTTTCSSKLKTDDFSAKTEVTLASPCQISGTSPPAGPVLAEDIEGRTGATRSASTLISDKQNLSDHNNGSLATTLLDVDCTSCAKQSYGAKEDNSVMAAQGMLPNIDAQSCEKQKQIRKVVDPSVTNVTILPKCDAFNASPINLQGDAIHGIQSAKLVEILASPGPPSQMPHSLGKDYVATAAVVRKAPENNTSVRGKKAAAREPRNRGSSATATLERRARIAGQKQAEGRKKVDKSGTIVSSSTALKQQETGIGKSLPNLEDKSTVSSSPSLETGTLRSNIPFDKAEHLPSTDKTSRLGSKPKILDAQDQETSLVKSDGFSLSMKKAITKPDVSALPPIVGVKGKAGFDEGPSDLVSESLHLKDPLQSKEDNLVAQGCGEDDCSIPPGFEAVDAHERALSLEKGEVGPGHSCANDLGRGDPVLVTSVDTPMVLSASQDNEYSFPSIVHTGNGSNPACATMLSNVCLKESSSSIICADAGTEVGVEASKETDSCSAANISSFQSMTEDKADKVDSKNNEEENIAVVKIGADALVPSLSDSHYHNSTVCAAEMNENNCTEAGYVSFCRVTKSELDKDGYATVADPNNFSDAPVYPVSIITEAPKTSLKIAMEESSSIGVANFQITASANSTAQNAENLHSSSIQGSNCSDVAPQGEIIAQVNNSLKSPSDTLSMKGMDASSQDLNQSGIDSMDTYAQGKNDEFVNSSGDTLLIDEIDSSAREKNDENVNSCTNTPFAGQINSSTIEKNEEIFNSATVTPPIGKMDSPAQEKDDDDLKPFTGTSFMPATAMSAYIGAEAESLDVDSATPSISDSVMPITVEMGGSAAEPSGEVHISEEAQAISGSAEPVANVLGSSDLTPETSGKLLVSGDAQEVSDIPEGTTVEVGASAAIPEPSCQLQISEDAQVISENAVTISTELHNLAAAPEMSGRIQFSEDTQAIFDSADPPGENEFSNSAFIPETLGQIQVSGDSKGISENAEPLTTEVHNSAAAPETFGLLQVSEDAQTISDSGDPSIENEFSNSAAALEPSDQLQISDDAIGIIECAVPIATELQNLGAVPETSCLPQVTEDAQASSDITEPMEIEFNDSAVIPEHVGQLHISEDAKGISESAEPISSELHDAAVFETSDYLHVLGDAKALSDGAEHMEIELSNSAAVSDASGKVQVSEDAQVLSDSVEASKVGVGSSASVSESAGQLQVSEDVKVISDGSELVEIEASNLASVPEPSGHLQVFEAISDSAEPFPIELANAVPEPSGQVLASPDAQVVSCSGEATTIGVDSLTPAAHLSAQLQASDDVQVVSGSGEAIAIGVKSLAAVPQHSAQPQAFDDARVVSASGEAITIGVDNSAAVPQPSAQLQTSGDGQSISENAEPITFESGNSASVPESSSEIQVSEDVQAISKNAEPLNSESGSLASVSEPSAELQVSEYARVISENAEPSTNGSDKLGNSSAVPEHTSQLQVSEDAQVHSDSAEATAMGVGSSAAFPETSAQLQVPEDAEAISDNSKPAATELGSSSGVPEPSDKIQVSENAKAISVSAEPITMELGSLATISEPSGEAQVSDDAQAISDSAKPIPIDASSSAPIPRSSNQLPVFEGAQAPDVSSEDCNKSQELEVKKGSEGFAQVPVTDSPNAITSETPAMEGNTKEDMTVTANESTLEKECSSDPVVQQVKDDSSANVDPPRSSSEEKV >DRNTG_19788.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15734890:15748348:-1 gene:DRNTG_19788 transcript:DRNTG_19788.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYD [Source:Projected from Arabidopsis thaliana (AT2G28290) UniProtKB/TrEMBL;Acc:A0A384L3H2] MMAHSVKETINDQPTLLQGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVIALLCYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPGISKIAYAGPPEERRRLFKERIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWRYIIIDEGHRIKNASCKLNADLKHYHSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDNSPDEALLTEEENLLIINRLHQVLRPFVLRRLKHKVENELPEKIERLVRCEASAYQKLLMKRVEENLGCIGNSKGRSIHNTVMELRNICNHPYLSQLHAEEVDNLIPKHYLPPIVRLCGKLEMLDRLLPKLKATDHRVLLFSTMTRLLDVLEEYLSWKRYRYLRLDGHTSGNDRGALIDDFNRPGSEAFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKDVLVLRLETVRTVEEQVRAAAEHKLGVANQSITAGFFDNHTSAEDRREYLEALLRESKKEEAAPVLDDDSLNDLLARSESEIDVFESVDKQRRDDEMATWQRIIQGTKDISESLPMPSRLVTEEDLKAFYKAMMLYEPPHVGAQVGAQVGVKRKNELGGLDTQHYGRGKRAREVRSYEDQWTEEEFEKLCQVDSPESSQSVEVVKESQPVEVVKELNTTVDPVAPKLGDPELMMPSGKDPIGPSKEQLQPSKYPTPAKRGRGRPKRATIDASHLPANPTATYDTTNKPEMIPENKASFASTSISGDPVPTEVAVAASAPPPPHGPTIIRQTKGRKKAQTGETSRGRPRRQSALTSAGTEVHMISGLLQGTHGMPNKPTSSLTQEKARVERSSEIPNTLPIADEANTILGLQKPAGLAVNAPISLESSDKVTSALPAMDKSCSGRELGSESKTLSTSSKQLTSTTTCSSKLKTDDFSAKTEVTLASPCQISGTSPPAGPVLAEDIEGRTGATRSASTLISDKQNLSDHNNGSLATTLLDVDCTSCAKQSYGAKEDNSVMAAQGMLPNIDAQSCEKQKQIRKVVDPSVTNVTILPKCDAFNASPINLQGDAIHGIQSAKLVEILASPGPPSQMPHSLGKDYVATAAVVRKAPENNTSVRGKKAAAREPRNRGSSATATLERRARIAGQKQAEGRKKVDKSGTIVSSSTALKQQETGIGKSLPNLEDKSTVSSSPSLETGTLRSNIPFDKAEHLPSTDKTSRLGSKPKILDAQDQETSLVKSDGFSLSMKKAITKPDVSALPPIVGVKGKAGFDEGPSDLVSESLHLKDPLQSKEDNLVAQGCGEDDCSIPPGFEAVDAHERALSLEKGEVGPGHSCANDLGRGDPVLVTSVDTPMVLSASQDNEYSFPSIVHTGNGSNPACATMLSNVCLKESSSSIICADAGTEVGVEASKETDSCSAANISSFQSMTEDKADKVDSKNNEEENIAVVKIGADALVPSLSDSHYHNSTVCAAEMNENNCTEAGYVSFCRVTKSELDKDGYATVADPNNFSDAPVYPVSIITEAPKTSLKIAMEESSSIGVANFQITASANSTAQNAENLHSSSIQGSNCSDVAPQGEIIAQVNNSLKSPSDTLSMKGMDASSQDLNQSGIDSMDTYAQGKNDEFVNSSGDTLLIDEIDSSAREKNDENVNSCTNTPFAGQINSSTIEKNEEIFNSATVTPPIGKMDSPAQEKDDDDLKPFTGTSFMPATAMSAYIGAEAESLDVDSATPSISDSVMPITVEMGGSAAEPSGEVHISEEAQAISGSAEPVANVLGSSDLTPETSGKLLVSGDAQEVSDIPEGTTVEVGASAAIPEPSCQLQISEDAQVISENAVTISTELHNLAAAPEMSGRIQFSEDTQAIFDSADPPGENEFSNSAFIPETLGQIQVSGDSKGISENAEPLTTEVHNSAAAPETFGLLQVSEDAQTISDSGDPSIENEFSNSAAALEPSDQLQISDDAIGIIECAVPIATELQNLGAVPETSCLPQVTEDAQASSDITEPMEIEFNDSAVIPEHVGQLHISEDAKGISESAEPISSELHDAAVFETSDYLHVLGDAKALSDGAEHMEIELSNSAAVSDASGKVQVSEDAQVLSDSVEASKVGVGSSASVSESAGQLQVSEDVKVISDGSELVEIEASNLASVPEPSGHLQVFEAISDSAEPFPIELANAVPEPSGQVLASPDAQVVSCSGEATTIGVDSLTPAAHLSAQLQASDDVQVVSGSGEAIAIGVKSLAAVPQHSAQPQAFDDARVVSASGEAITIGVDNSAAVPQPSAQLQTSGDGQSISENAEPITFESGNSASVPESSSEIQVSEDVQAISKNAEPLNSESGSLASVSEPSAELQVSEYARVISENAEPSTNGSDKLGNSSAVPEHTSQLQVSEDAQVHSDSAEATAMGVGSSAAFPETSAQLQVPEDAEAISDNSKPAATELGSSSGVPEPSDKIQVSENAKAISVSAEPITMELGSLATISEPSGEAQVSDDAQAISDSAKPIPIDASSSAPIPRSSNQLPVFEGAQAPDVSSEDCNKSQELEVKKGSEGFAQVPVTDSPNAITSETPAMEGNTKEDMTVTANESTLEKECSSDPVVQQVKDDSSANVDPPRSSSEEKV >DRNTG_06813.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:15871952:15874902:1 gene:DRNTG_06813 transcript:DRNTG_06813.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVVASDGPGEYPVIAESRAGSDGIGVSVTPGTVAYVTTGGPVPDGADAVVQIEDTEQVTSSSDGLKWVRILIGVSKGCDIRP >DRNTG_01916.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20844217:20850817:-1 gene:DRNTG_01916 transcript:DRNTG_01916.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVEELAVGSVAATVVEGSGTNHPVAAEVNSEKPSQVEGVAPTQVSAPAQVLAVVPGTWSGVMEGPARKKRGRPRKYGPDGSLLAPYTGDRPVTAKKRSRGRPMDFLKRSQLGFEMESLACSAGTHFTPHVISVAAGEDVTMKIISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFELLSLSGSFMPTDNGGTRSRHGGMSVSLASPDGRVVGGCLAGLLVAASSVQVVVGSFMPGYQMEQKIKRPKYETASVAKPTAAVPISTIDTEDVFGDAQAQQQSSTSPKPTLAASASFRGENWSTSLQSAPDARNSMTDINISLPTG >DRNTG_01916.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20844217:20850817:-1 gene:DRNTG_01916 transcript:DRNTG_01916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVEELAVGSVAATVVEGSGTNHPVAAEVNSEKPSQVEGVAPTQVSAPAQVLAVVPGTWSGVMEGPARKKRGRPRKYGPDGSLLAPYTGDRPVTAKKRSRGRPMDFLKRSQLGFEMESLACSAGTHFTPHVISVAAGEDVTMKIISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFELLSLSGSFMPTDNGGTRSRHGGMSVSLASPDGRVVGGCLAGLLVAASSVQVVVGSFMPGYQMEQKIKRPKYETASVAKPTAAVPISTIDTEDVFGDAQAQQQSSTSPKPTLAASASFRGENWSTSLQSAPDARNSMTDINISLPTG >DRNTG_00386.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18450282:18451559:1 gene:DRNTG_00386 transcript:DRNTG_00386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFHSSSKQTSIHNKKINNKNPCILPLPTMMRRRREEKEDVSSESSSIGQPDQSSSEDEEQVDDEVQSKFKKPLIMSLNSIQEALPIKRGLSNYFNGKSKSFACLSDVMNGKALDLVKNENPFNKRRRILMATSRRASYNSLIQVDHNNNSSSCSSLPPLMLSSSNFTLEEEKEDDDHNDKLPLEGSSTSSSSSSLAPLSILDTKAFNKSFKSPRSFSLSDLQHV >DRNTG_28968.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001462.1:61887:65713:1 gene:DRNTG_28968 transcript:DRNTG_28968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRLFAGALRPRDPYGLNLWLTIVRMTRAKKVGSRSAKRAKPRLPSLQSPHPLRHHNQGSVLVLEYMTELRVKPHEGLVPYRSRSDVLPIPLQSYGASSHPS >DRNTG_26944.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30120649:30127393:1 gene:DRNTG_26944 transcript:DRNTG_26944.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRRGGQVSISPSATPKSSDKSVRDLRSNENGSSSKHDKEKGVNVQVLLRCRPLSEDELRVNTPVVISCNEHRREVSAIQNIANKQIDRTFAFDKVFGPTSQQKDLFDQAISPIVFEVLEGYNCTIFAYGQTGTGKTYTMEGGGRKMKNEELPSDAGVIPRAVRQIFDILEAQKAEYNMKVTFLELYNEEITDLLAQDESKFPDDKSKKPIALMEDGKGGVFVRGLEEEIVCTAGEIYKILDRGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHIPYRDSKLTRLLRDSLGGKTKTCIIATISPSIHCLEETLSTLDYAHRAKNIKNKPEVNQKMMKSALITDLYSEIVRLKQEVFAAREKNGIYIPRDRYLHEEAEKKAMTEKMERLELDMESKDKQLVELHDLYNSQLLLTADLSDKLEKTQKRLEDTEHALFDLEERYRQANATIKEKEYLISNLLKSEKALVERTYELRSELENTAADVSGLFSKIEHKDKIEDGNRVLVQKFRSQLTQQLDILHKTVSDSVMQQENQLKEMEEDMQSFVSTKAEATEELRGQVKKLQDMYGSGIRALDDLAGELDKNSQSTYGKLNSQVLMHSTTLDASFKAIAGEADQLLNELQGSLNKQEDKLAAFAQQQRKGHLRAVETTRSISKITTDFFHTLDVHASKLSKILQETQAVQDQQLSQLEKKFEECAANEERQLLEKVAEMLASSNARKKKLVQTAVDSLRDSAAERTSDLQKEMATAQDFTSSVKGQWVVYMEQTEKHYVEDTAAVESGRCSMEEGFRDCMAKARMGSEQWRNAQNSLLTLGEKNLASVKSIVRDGMEANVQLRSRLSSAASTALEDVNIANNGLLSSIESSLKLDHDACANMDSMIIPSHEELRELKGGHYHKIVEITANAGKCLEEEYMVDEPSCSTPRKRSINIPSLTSIEELRTPPFEELLQAFWEAKSGSKQANGGDLKHLYGTYESVKDSRVPLTAIN >DRNTG_26944.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30120649:30127393:1 gene:DRNTG_26944 transcript:DRNTG_26944.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRRGGQVSISPSATPKSSDKSVRDLRSNENGSSSKHDKEKGVNVQVLLRCRPLSEDELRVNTPVVISCNEHRREVSAIQNIANKQIDRTFAFDKVFGPTSQQKDLFDQAISPIVFEVLEGYNCTIFAYGQTGTGKTYTMEGGGRKMKNEELPSDAGVIPRAVRQIFDILEAQKAEYNMKVTFLELYNEEITDLLAQDESKFPDDKSKKPIALMEDGKGGVFVRGLEEEIVCTAGEIYKILDRGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHIPYRDSKLTRLLRDSLGGKTKTCIIATISPSIHCLEETLSTLDYAHRAKNIKNKPEVNQKMMKSALITDLYSEIVRLKQEVFAAREKNGIYIPRDRYLHEEAEKKAMTEKMERLELDMESKDKQLVELHDLYNSQLLLTADLSDKLEKTQKRLEDTEHALFDLEERYRQANATIKEKEYLISNLLKSEKALVERTYELRSELENTAADVSGLFSKIEHKDKIEDGNRVLVQKFRSQLTQQLDILHKTVSDSVMQQENQLKEMEEDMQSFVSTKAEATEELRGQVKKLQDMYGSGIRALDDLAGELDKNSQSTYGKLNSQVLMHSTTLDASFKAIAGEADQLLNELQGSLNKQEDKLAAFAQQQRKGHLRAVETTRSISKITTDFFHTLDVHASKLSKILQETQAVQDQQLSQLEKKFEECAANEERQLLEKVAEMLASSNARKKKLVQTAVDSLRDSAAERTSDLQKEMATAQDFTSSVKGQWVVYMEQTEKHYVEDTAAVESGRCSMEEGFRDCMAKARMGSEQWRNAQNSLLTLGEKNLASVKSIVRDGMEANVQLRSRLSSAASTALEDVNIANNGLLSSIESSLKLDHDACANMDSMIIPSHEELRELKGGHYHKIVEITANAGKCLEEEYMVDEPSCSTPRKRSINIPSLTSIEELRTPPFEELLQAFWEAKSGSKQANGGDLKHLYGTYESVKDSRVPLTAIN >DRNTG_26944.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30120649:30127393:1 gene:DRNTG_26944 transcript:DRNTG_26944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRRGGQVSISPSATPKSSDKSVRDLRSNENGSSSKHDKEKGVNVQVLLRCRPLSEDELRVNTPVVISCNEHRREVSAIQNIANKQIDRTFAFDKVFGPTSQQKDLFDQAISPIVFEVLEGYNCTIFAYGQTGTGKTYTMEGGGRKMKNEELPSDAGVIPRAVRQIFDILEAQKAEYNMKVTFLELYNEEITDLLAQDESKFPDDKSKKPIALMEDGKGGVFVRGLEEEIVCTAGEIYKILDRGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHIPYRDSKLTRLLRDSLGGKTKTCIIATISPSIHCLEETLSTLDYAHRAKNIKNKPEVNQKMMKSALITDLYSEIVRLKQEVFAAREKNGIYIPRDRYLHEEAEKKAMTEKMERLELDMESKDKQLVELHDLYNSQLLLTADLSDKLEKTQKRLEDTEHALFDLEERYRQANATIKEKEYLISNLLKSEKALVERTYELRSELENTAADVSGLFSKIEHKDKIEDGNRVLVQKFRSQLTQQLDILHKTVSDSVMQQENQLKEMEEDMQSFVSTKAEATEELRGQVKKLQDMYGSGIRALDDLAGELDKNSQSTYGKLNSQVLMHSTTLDASFKAIAGEADQLLNELQGSLNKQEDKLAAFAQQQRKGHLRAVETTRSISKITTDFFHTLDVHASKLSKILQETQAVQDQQLSQLEKKFEECAANEERQLLEKVAEMLASSNARKKKLVQTAVDSLRDSAAERTSDLQKEMATAQDFTSSVKGQWVVYMEQTEKHYVEDTAAVESGRCSMEEGFRDCMAKARMGSEQWRNAQNSLLTLGEKNLASVKSIVRDGMEANVQLRSRLSSAASTALEDVNIANNGLLSSIESSLKLDHDACANMDSMIIPSHEELRELKGGHYHKIVEITANAGKCLEEEYMVDEPSCSTPRKRSINIPSLTSIEELRTPPFEELLQAFWEAKSGSKQANGGDLKHLYGTYESVKDSRVPLTAIN >DRNTG_07025.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3426552:3436446:1 gene:DRNTG_07025 transcript:DRNTG_07025.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLNPFVRQFLVGWITVLDSVPEIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPNVDYGRMAEILVRRAASHDEFTRLTAITWINEFVKLGGNQLVPYFADMLGAVLPCISDKEEKIKMVARETNEELRSFHADPVEGFDIRSILSVARRELTSEWEATRIEALNWITILLAQYRAEVISHLNDMFGSLLIALSDPSDEVVLLVIEVHACIARDSLHFQQLIVLLIHNFRSNQSLLEKRGALIVRRLCVLLDAERVYREFSTILEGEHDLDFASTMVQALNLILLTSPELADLRNILKQSLLNVTGKDLFVSLYASWCHSAMATISLCLLAQAYHHASTIIQSLGEEDINSKFLIQLDKLIRLLESPIFAYLRLQLQDPERYMWLLKSLYGLLMLLPQQSAAFKILRTRLKTASSHGSSTDQAKRSSANAYSQILQVPEVIKSEELGNMHDAINFTSRLHQFEHTQNQHRLHTKLQRQTYNSTSSTILQRMRNSGGSRQSSPILDMTRPPSMPSQTSGQFQQ >DRNTG_07025.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3426552:3436446:1 gene:DRNTG_07025 transcript:DRNTG_07025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTLLIIPAAVLRNLADKLYEKRKNAALELEGIVKNLAMAREHEKINGIINHLTTEFTYSPQANHRKGGLIGLAAVTVGLATEAPQHLEQIVPPVLNSFIDQDSRVRYYACEALYNIAKVVRGDFIVYFNQIFDALCKLSADSDANVQSAAHLLDRLVKEIVTDSDQFSIEEFIPLLRERMNVLNPFVRQFLVGWITVLDSVPEIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPNVDYGRMAEILVRRAASHDEFTRLTAITWINEFVKLGGNQLVPYFADMLGAVLPCISDKEEKIKMVARETNEELRSFHADPVEGFDIRSILSVARRELTSEWEATRIEALNWITILLAQYRAEVISHLNDMFGSLLIALSDPSDEVVLLVIEVHACIARDSLHFQQLIVLLIHNFRSNQSLLEKRGALIVRRLCVLLDAERVYREFSTILEGEHDLDFASTMVQALNLILLTSPELADLRNILKQSLLNVTGKDLFVSLYASWCHSAMATISLCLLAQAYHHASTIIQSLGEEDINSKFLIQLDKLIRLLESPIFAYLRLQLQDPERYMWLLKSLYGLLMLLPQQSAAFKILRTRLKTASSHGSSTDQAKRSSANAYSQILQVPEVIKSEELGNMHDAINFTSRLHQFEHTQNQHRLHTKLQRQTYNSTSSTILQRMRNSGGSRQSSPILDMTRPPSMPSQTSGQFQQ >DRNTG_10170.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22977862:22981440:-1 gene:DRNTG_10170 transcript:DRNTG_10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGGCLECLLKLLNFLLTITGLAMVGYGIYLLVEWNRISGGGDDGGAPSPVAYHPEILKLGRPMFLLVSLSESFLDKLPKAWFIYLFIGVGAILFIISCFGCIGAVTRNSCCLSCYSFLVILLILIELGAAAFIFFDHSWKDAIPDDKTGNFAMIYDFLEKNWKIAKWVALGAVILEGLIFLLALIVRAANRPVEYDSDDEYIASRPSIREPLINRPVVPATGVPVVPTLDQRPSRNDAWSQRMREKYGLDTSEFTYNPSDPNRLRQTTAPQAEERGRCTIL >DRNTG_17520.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28918648:28920514:-1 gene:DRNTG_17520 transcript:DRNTG_17520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANGLRARKRVRAPCRAPDGSAYQKCERCGVSVPIVLLDMHDCGQKRAKRDSSSLGISLQPRSPFRFFMESFEKTFKTINVLKIEQEGFNQWINMSQEERSPYIIKAEEINSIYHKMLLKEGRVISKADDEADSATVGKFDP >DRNTG_17520.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28918490:28920514:-1 gene:DRNTG_17520 transcript:DRNTG_17520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANGLRARKRVRAPCRAPDGSAYQKCERCGVSVPIVLLDMHDCGQKRAKRDSSSLGISLQPRSPFRFFMESFEKTFKTINVLKIEQEGFNQWINMSQEERSPYIIKAEEINSIYHKMLLKEGRVISKADDEADSATVGKFDPRFRDSLNYDDLDEFKSFESDDW >DRNTG_17520.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28918125:28920514:-1 gene:DRNTG_17520 transcript:DRNTG_17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGLRARKRVRAPCRAPDGSAYQKCERCGVSVPIVLLDMHDCGQKRAKRDSSSLGISLQPRSPFRFFMESFEKTFKTINVLKIEQEGFNQWINMSQEERSPYIIKAEEINSIYHKMLLKEGRVISKADDEADSATVGKFDPRFRDSLNYDDLDEFKSFESDDWYIGDSWSS >DRNTG_17520.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28918985:28920514:-1 gene:DRNTG_17520 transcript:DRNTG_17520.4 gene_biotype:protein_coding transcript_biotype:protein_coding ALLFIWGAEIDQEIVNGEWSESSEEGSSSLSSSRWKRLSKM >DRNTG_10015.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3067269:3068747:1 gene:DRNTG_10015 transcript:DRNTG_10015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSYGRRTKLHSKEWNLGAHNNTSWKEIDWFEVGFQNKIWSRWGSSQAQGSPCCQGLCPRVWSGFRRGFFSCCKNGDRKASSCYCCSTKLAGFSISMSSPHFSMEKSPKRFTLSKPLGYKVRGKEEMVYRLRKALYGLKQAPRAWYSKVDGFFLHLGFVRSLNEHTLYTKRDDHGHIMLVCIYVDDVLCMGSSIDLVKDFQASMKKKFEMSDLGLLSYFLGLEVKQGQRGTFITQRKYIQDLLKDYNMTGCKSVATPLNSNEKLKAEDSSEAMDARIFRCLVGKLMYITHTRPDISYAVGILSRFMNNPTKHHFGACKRVLRYLAGS >DRNTG_20577.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8421167:8425669:1 gene:DRNTG_20577 transcript:DRNTG_20577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAPLQMVTDKEIASCVESLLRQAGPAAGAPSVDAIVRQLEAKLGVDLSHKVSFIRDQIQLLLGPAHHPQPLPQFHPYATAQPYSSLPGASPSPFAHLPHHHELAFRAPSPVVVHAANQHQQLMQHSHVALARPEGSVGAVSPVALRPIAVNPKESAPAPAKRRGGPGGLSKVCGVSPELQAIVGEPTMARTQIVKQLWAYIRKNNLQDPNNKRKIICNNELRLVFETDCTDMFKMNKLLAKHIIPLEATKDQAPASKRAKTESSEVASETKPDVDQCAVVISDALATFLGTEQKEMLQSEALKRIWDYIKENQLEDPTNTMMVLCDFKLQQLFGCESLSASGISEMLAQHLIKQS >DRNTG_06097.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4724054:4724320:-1 gene:DRNTG_06097 transcript:DRNTG_06097.1 gene_biotype:protein_coding transcript_biotype:protein_coding KHSTSSIPTISFTKLGGKTPQNSNFNPTLN >DRNTG_17089.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17335648:17337486:1 gene:DRNTG_17089 transcript:DRNTG_17089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGFKKDDIIILRIDGEDLKEFSESPQFEPEMISIFSGMDSSNASLCQCIAKALEQLTVEHGMPPSSDTWVFNNIIEPALQSLSLDQFLTVSQEIFLEEFRKLIDSITLRLQEKPVIVARNESIFDGSGIKKLLSDKAELNKMLEVAWRDLPTNQTQKISDSLSVALDRMADSANLPLCGNIPQVDTIVKEVLSTLNTTDQETLHEDEFKKTMTETLRHIMSRLEENPVFISSHSVVHEPMVTPFTESE >DRNTG_10159.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9853988:9865163:1 gene:DRNTG_10159 transcript:DRNTG_10159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVSSSSSSLSCPCLLLLFFVLCAVFLVGVSADDVSPDEDIDPKSPACNNKFQLVKIKTWVNGTERASIVGLSARFGATLPADASEALNLPAVLTNPFNCCTNLSSKLYNSIALAKRGDCTFTAKAKIAQAEGAAGLLVINDNEELYKMVCTENDTSLDISIPIVMIPRSAGESIKASMASGGRVEVLLYSPKRPALDFSAIFIWLMAVGTIVCASLWPEFIACEQVDERYNQLTPKDQPNAGMNREDIQNEILEINAKGAIIFVIMASAFLMLLYFFMSSWFVWLLIVLFCIGGTEGMHVCLVTIMSRICKDCGRKTVSLPIMGEVSIISLVVLIFCAAFAIFWAANQHASYSWIGQDILGICLMITVLQMARLPNIKVASALLSCAFVYDIFWVFISPLIFHESVMIAVARGDNSGGEAIPMLLRIPRFFDPWGGYDMIGFGDILFPGLLVAFSFRYDRSNKKSIWNGYFLWLTIGYAFVSVERFIFFPPSSHEEIVSIILLLQCYTYYHMSRI >DRNTG_10159.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9853988:9865163:1 gene:DRNTG_10159 transcript:DRNTG_10159.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVSSSSSSLSCPCLLLLFFVLCAVFLVGVSADDVSPDEDIDPKSPACNNKFQLVKIKTWVNGTERASIVGLSARFGATLPADASEALNLPAVLTNPFNCCTNLSSKLYNSIALAKRGDCTFTAKAKIAQAEGAAGLLVINDNEELYKMVCTENDTSLDISIPIVMIPRSAGESIKASMASGGRVEVLLYSPKRPALDFSAIFIWLMAVGTIVCASLWPEFIACEQVDERYNQLTPKDQPNAGMNREDIQNEILEINAKGAIIFVIMASAFLMLLYFFMSSWFVWLLIVLFCIGGTEGMHVCLVTIMSRICKDCGRKTVSLPIMGEVSIISLVVLIFCAAFAIFWAANQHASYSWIGQDILGICLMITVLQMARLPNIKVASALLSCAFVYDIFWVFISPLIFHESVMIAVARGDNSGGEAIPMLLRIPRFFDPWGGYDMIGFGDILFPGLLVAFSFRYDRSNKKSIWNGYFLWLTIGYAFGLFLTYLALYLMNGHGQPALLYLVPCTLGLIILLGYFRGELKDLWNYGRKRPLSSQTEEA >DRNTG_01747.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4295890:4305925:-1 gene:DRNTG_01747 transcript:DRNTG_01747.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCPSIACLWPPSPPSHRVTATVAVGSPPALYTGASDGSIVWWLLSNSNSEIRPIALLCGHAAPIVSLIPCVSYAEPSLVSASSDGVLCVWSRTTGRCHRRRRLPPWVGTPVAMSRLPSSSGNLCVSGVSHDSIAVVVVDSHGLNVVQTVFHGNLVISRPVKSVVVEDGGKDLTVILVDGRGRSQLLPVSKDSDFGAGSSSTMPRSSSLSSSDLANYSSGEGSSEDVEAVAVEAHGELLAVIFRARCEFRSVKNGVLIGEISSKEPCWIGGMFLETDGSGMQGLSGDNVRSFAIWSANGAAMIYSIYSSGFSDSPLKFEIVCEISALSHCSSETAIVLFCELDHSLIRLESLCMAVGDSFLWKPHVKMWSVSEFESQRDGSLGNNLSRSIGEGGFPGDLIWSFSSLNLNEDEKQGKEVLWQPTASGSNSYDPANGQQGHNNRNVQKERFVSSSMVLSEDFCAPYAVVYGFYNGEIEVVRFLNLVLETDSDVGSPRYLIDQSMSEQIFTGHTGAVLCLAAHQMAASSDNQSLNHVLISGSLDCTVRVWNLDNGCLLWILHHHIAPIKEIILPPPQTARPWNDCFLSVGEDGCVALVSIQTLRVERMFPGHPSCPSMVVWDSRRGYIACLCRNTSESSDAASILYLWDLKTGAQDRVIRGTASRSMFDHFCRSASENTGIRNIFGGTTSASSLLPLFEDASFPQSHARKTEKGATLGRSPDHAELDYSLAQRIKGKSATHDTNLELAGNTPVRHGRSNQFYQSRKHPIRSSCPFPGIACLKFDLSSLMLPRDPKNNDNQVKSNVSDHEKKEPILVHGSPGDNSDVQGIETHFSKESLEICLLKLSLCFLHLWGIDHELDNLLLDEMNISKPEGFYISSGMLGDREALTLMFPGLQATLELWKSSAEFCAMRSLTIVSIAQRMISLSHSTSAANSILHTEFCRESSRYKASISPALGKLLARS >DRNTG_34286.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28196877:28200994:-1 gene:DRNTG_34286 transcript:DRNTG_34286.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRIGGKRLLGLGFGRGTPSPAMTVARREYHERVVDHYNNPRNVGSFDKNDPSVGTGLVGAPACGDVMKLQIKVDDESGKIVDACFKTFGCGSAIASSSVATEWVKGKQMEEVLTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKAKMTGKEEAVGSEKAAEA >DRNTG_01308.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1831670:1835195:1 gene:DRNTG_01308 transcript:DRNTG_01308.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLKFLVFAWNNFSGRIPPEYGNITGLQALDLSYNKLTGPIPPEIGRLESLLWLMLAGNRISGEIPPEIGNCKSLLWLNLADNEISGRIPPEISKIGRDPSATFEANRRNDGVTAGSGECLAMKRWIPATYQPFSFVYELMTAKTCRFIWDRIIKGFGLFPVCINSSSPVQTLEISGYIQLSRNNLSGEIPAEIGEITNISLLHLDGNNLTGHLPSEISRLPLFILNVSTNRLSGQIPPEIGSMQCLQMLDLAVNNFSGKFPSTLVHLTQLSSFNVSFNPLLSGSIPQEGQFPTFGNASFLGDPLLVFPSLRAPPPPTPVAGRRVTGRAVAFWVFLALTAVFIL >DRNTG_01308.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1831670:1835195:1 gene:DRNTG_01308 transcript:DRNTG_01308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLKFLVFAWNNFSGRIPPEYGNITGLQALDLSYNKLTGPIPPEIGRLESLLWLMLAGNRISGEIPPEIGNCKSLLWLNLADNEISGRIPPEISKIGRDPSATFEANRRNDGVTAGSGECLAMKRWIPATYQPFSFVYELMTAKTCRFIWDRIIKGFGLFPVCINSSSPVQTLEISGYIQLSRNNLSGEIPAEIGEITNISLLHLDGNNLTGHLPSEISRLPLFILNVSTNRLSGQIPPEIGSMQCLQMLDLAVNNFSGKFPSTLVHLTQLSSFNVSFNPLLSGSIPQEGQFPTFGNASFLGDPLLVFPSLRAPPPPTPVAGRRVTGRAVAFWVFLALTAVFIL >DRNTG_28697.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:462562:468738:-1 gene:DRNTG_28697 transcript:DRNTG_28697.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKKTRLVRVRGPSGRLTFGASGEAEVVSAKGRGTGGRGFISGAGETELQLQRRRILERRNHLLSQIEEVRRTRALQRASRRRHGGTEGQGLATVAIVGYTNAGKSTLVSALSESYVFSDDRLFATVDPRVRSVILPSGRKALLSDTVGFISDLPVQLVEAFHATLEEVVEADLLVHVLDSSAPNLVEQRSSVLQVLQQIGVAEEKIQNMIEVWNKIDLLKGHARADEILDGGEYPSEVDEDMASGESADEDGMHSGLSSNEQIDNNEMISEVSCDELIDEDELVDEDGIHSERLSSSEPIDDHEITSEVSCDEPVDDDEMVFELSSVESKVEDTASEQEVDETAVFSQVESSKAWEMIDLPACGESKEIQRVETSAVMGIGLQELLSLIDEKLAAQKPVLQKEPWGF >DRNTG_28697.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:462562:468738:-1 gene:DRNTG_28697 transcript:DRNTG_28697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKKTRLVRVRGPSGRLTFGASGEAEVVSAKGRGTGGRGFISGAGETELQLQRRRILERRNHLLSQIEEVRRTRALQRASRRRHGGTEGQGLATVAIVGYTNAGKSTLVSALSESYVFSDDRLFATVDPRVRSVILPSGRKALLSDTVGFISDLPVQLVEAFHATLEEVVEADLLVHVLDSSAPNLVEQRSSVLQVLQQIGVAEEKIQNMIEVWNKIDLLKGHARADEILDGGEYPSEVDEDMASGESADEDGMHSGLSSNEQIDNNEMISEVSCDELIDEDELVDEDGIHSERLSSSEPIDDHEITSEVSCDEPVDDDEMVFELSSVESKVEDTASEQEVDETAVFSQVESSKAWEMIDLPACGESKEIQRVETSAVMGIGLQELLSLIDEKLAAQKPVLQKEPWGF >DRNTG_12291.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3197874:3207129:1 gene:DRNTG_12291 transcript:DRNTG_12291.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFSFGFLIIFAFLMFSDEFIENGIASSVTYDSKALVIDGQRRILFSGSIHYPRTTPEMWPEIIRKSKEGGLDVIETYVFWNYHEPEKGQYYFEGRFDLVKFVKVVQEAGLYVHLRIGPYACAEWNYGGFPMWLHFIPGIQFRTTNRQFQEAMESFLTKIVNLMKDENLFASQGGPIILAQIENEYGNVEWAYGIGGALYVKWAASTAVALNTSVPWVMCSQEDAPDPIINTCNGFYCDTFTPNSPTKPKIWTEGYTGWFLSFGYAIPYRPVEDLAFSVARFFETGGTFQNYYMYFGGTNFGRTAGGPLIATSYDYDAPLDEYGFLRQPKWNHLRDLHSSIKLCEKYLVNADPTYISFGTNLEGHIYNDSAGCAAFLANIDHTSDANVSFNGDTYFLPAWSVSILPDCKKVIFNTAKVVSQKTIGDSSHEHKVSSQLSLASTSWEWYLEEVGTSNNYSFTAPGLLEQINTTKDASDYLWYSTSINVKEEKEVYVHIKSLGHAALLFVNKELSGLDFVLCRHNF >DRNTG_12291.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3197874:3207129:1 gene:DRNTG_12291 transcript:DRNTG_12291.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFSFGFLIIFAFLMFSDEFIENGIASSVTYDSKALVIDGQRRILFSGSIHYPRTTPEMWPEIIRKSKEGGLDVIETYVFWNYHEPEKGQYYFEGRFDLVKFVKVVQEAGLYVHLRIGPYACAEWNYGGFPMWLHFIPGIQFRTTNRQFQEAMESFLTKIVNLMKDENLFASQGGPIILAQIENEYGNVEWAYGIGGALYVKWAASTAVALNTSVPWVMCSQEDAPDPIINTCNGFYCDTFTPNSPTKPKIWTEGYTGWFLSFGYAIPYRPVEDLAFSVARFFETGGTFQNYYMYFGGTNFGRTAGGPLIATSYDYDAPLDEYGFLRQPKWNHLRDLHSSIKLCEKYLVNADPTYISFGTNLEGHIYNDSAGCAAFLANIDHTSDANVSFNGDTYFLPAWSVSILPDCKKVIFNTAKVVSQKTIGDSSHEHKVSSQLSLASTSWEWYLEEVGTSNNYSFTAPGLLEQINTTKDASDYLWYSTSINVKEEKEVYVHIKSLGHAALLFVNKELSGLGYGNHDDASFTIDQLITLDQGNNSLEILSMMIGLQNYGPWFDIVPAGIYSVIVDGFMQSVHDISSGVWTYQVGTDGEHLELDKLKQENSPLWASGSKLPTNQTLIWYKTSFTAPKGAGPLALNLASMGKGQAWVNGQSIGRYWPAYLSPATGCTESCDYRGTYDSNKCLKGCGQPAQTLYHIPRSWVNPGQNLLVLHEEIGGDPSKITVVTKTGQEICAQVSELDPPPVGSWKPSADTMSKHVTPSIRLSCEQGWRINSVSFASFGNPKGQCGKFSHGTCNAEGVIPIVQKECLGHESCSVAVSSLKFGDPCPGLTKSLAVEAVCSE >DRNTG_24764.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20354824:20359389:-1 gene:DRNTG_24764 transcript:DRNTG_24764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPIKAICASSTDNYPDESIDNTLHEGEQVGMRPSYWSSKGKGDPLVPETLTYKLSSKLCAIDEIRIRPFRAFFQPGFPIYSAKAVRFRICFSRLPLEEGTGTAVHNNNWDYVSPEFPMAQENTLQTFKLPRPFVCIGGELQVQLLGRVQLQEEDSLYYICVCHVQVVGRLLGPVFDAEIPDTMGNLVLKYFPDARGCSTPPERGPSEEPSESSSPHTYLQRLVQLGIGVRVNHVSLGDLLTNIPFDEFDDDIDSDGTMSD >DRNTG_03070.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12214960:12217639:-1 gene:DRNTG_03070 transcript:DRNTG_03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAYLKQSKVFLCSKKSGKGKRPGKGGNRFWKTIGLGFKTPREAVEGTYIDKKCPFTGNVSIRGRILAGTCHSAKMYRTIIVRRNYLHYVKKYQRYEKRHSNIAAHISPCFRVKEGDHVIIGQCRPLAKTVRFNVLKVIPAGSTGGGGKKAFTAV >DRNTG_03263.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000150.1:6237:6911:-1 gene:DRNTG_03263 transcript:DRNTG_03263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSNPEHQARFKRLLALGFGQTCFVDLRMLRGIQLGDERADKIDELLAVGSWRRLLMTREPAFYALKLEVLASFEFDQQYGG >DRNTG_04245.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:15818405:15823826:-1 gene:DRNTG_04245 transcript:DRNTG_04245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKYIEAVLRQEVEYFETSSSTSATFQVVSSISTDADTVQDFLSDKVSNILSSLTAFVFLIVVAFKLSWRMASAVLPFSLFFIVPGVVYGKVLMKLGVEIRKEYGIAGGIAEQAISSIRTVVSYVGEQRSLEKYKKALEKSTELGIKQGFIKGLVTGSMGMIYAVWSFQSWVGSILIINMGESGGSVFISQICVILAGLSIMSSLPNVRYFSEAITASSRIFELIDRLSQLKNNDDMGVTIENIRGEIEFKSVKFSYPSRPDTLVISGLDLKVNAGQTIGLVGGSGSGKSTVISLLQRFYQPDEGVILLDGKDIRNLQLKWFRSQIGLVSQEPVLFATSIKENILFGNQEATMSEVVRAAKTANAHDFITNFPNGYDTHVGHFGVQMSGGQKQRVAIARALIRYPKILLLDEATSALDAQSERVVQEALDRASTGRTTVIVAHRLSTLRSANLIAVLQAGNVVECGSHRELTKLNEGEGGIYYKMMQLQKSEVQRGSPITERINSPVDSAISTIYYTPEQGFRGHEASARQEEILKKPSNNPSHRRLLKMNKPEWKRGLLGCLGAVAYGLVHPTYAYCMGTVLTVYFLQNNEEIKSKTRLYSLIFMLLALVAILANILQHYNFGVMGERLTKRVRETMLAKVLSFEIGWFDEDQNSSAAVSARLATEANLVRSLVGDRLSLLLQTFITASLAFTLGLVITWRLSIVIIAIQPFTISAFYLRKVLLTNMSKKAKKAQIEGSQLAGEAVVNHRTITAFSSQNTMLRLFEKTQEGPKKENIKQAWFSGVCLFICQFIVTCSTALAFWYGGILIIHNQINSTHMFQAFFILTSTGKLIADAGSMTTDLAKGGNAVNSVLEILDRQSKINPDDPKGIKRKKGLKGHVKFKNVNFYYPSRPEQMIFSNFSFKIEARKTVALVGKSGSGKSTVISLIERFYDPVKGSVEIDGINIKDYNLRFLRTQISLVSQEPTLFAGTIRENIAYGRDDASEAELVRAAELANAHEFISGMEEGYGAYCGERGVQLSGGQKQRIALARAILKNPAVLLLDEATSALDSISENLVQRTLDKMMVGRTCIVVAHRLSTIQKSDSIAVIKNGQVIEEGSHSELTRKGRGGTYYELIKLQCQSP >DRNTG_06440.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29901238:29904510:-1 gene:DRNTG_06440 transcript:DRNTG_06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pheophytinase [Source:Projected from Arabidopsis thaliana (AT5G13800) TAIR;Acc:AT5G13800] METLPFCCSLVSCYRLSSLDCKSLGNNFSLRQSRALVNGRTVVMFASAKSMASFGTPCQDSYEIENLYKKEGARLLGLLEEYSNHASSQSAKDNCNYYVADGNTGLRGVSTEADEVTKILIPGLPEGSDGNNGSPISSCFWEWKPKLSVHYEKSGSENVGAPAVLFLPGFGVGTFHYEKQLRDLGQEYRVWALDFLGQGMSLPSEDPAPSGKMGDTSDEKEVAWGFGDESEPWAHELVYSVDLWQDQVKHFIEEVIGEPVYLVGNSLGGFVASYFAACNPQLVKGVTLLNATPFWGFLPNPIRSPQLSKIFPWAGTFPLPENVKKLTQFVWQKISDPVSIQEVLKQVYADHSIKVDNVFSRIIETTQHPAAAAAFASIMFAPKGQLSFQEALSRCKMQSVPICLMYGKEDPWVRPVWGLKVKHQLPEAPYYEISPAGHCPHDEVPEVVNYLLRGWIKNLESQGSASLPLLEELKSIKYGVSRELEYINDGTRKSVQVRAYGSKFSIWNMIRSFMKSRSAGLQMNSH >DRNTG_06440.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29901238:29904510:-1 gene:DRNTG_06440 transcript:DRNTG_06440.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:pheophytinase [Source:Projected from Arabidopsis thaliana (AT5G13800) TAIR;Acc:AT5G13800] METLPFCCSLVSCYRLSSLDCKSLGNNFSLRQSRALVNGRTVVMFASAKSMASFGTPCQDSYEIENLYKKEGARLLGLLEEYSNHASSQSAKDNCNYYVADGNTGLRGVSTEADEVTKILIPGLPEGSDGNNGSPISSCFWEWKPKLSVHYEKSGSENVGAPAVLFLPGFGVGTFHYEKQLRDLGQEYRVWALDFLGQGMSLPSEDPAPSGKMGDTSDEKEVAWGFGDESEPWAHELVYSVDLWQDQVKHFIEEVIGEPVYLVGNSLGGFVASYFAACNPQLVKGVTLLNATPFWGFLPNPIRSPQLSKIFPWAGTFPLPENVKKLTQFVWQKISDPVSIQEVLKQVYADHSIKVDNVFSRIIETTQHPAAAAAFASIMFAPKGQLSFQEALSRCKMQSVPICLMYGKEDPWVRPVWGLKVKHQLPEAPYYEISPAGHCPHDEVPEVVNYLLRGWIKNLESQGSASLPLLEELKSIKYGVSRELEYINDGTRKSVQVRAYGSKFSIWNMIRSFMKSRSAGLQMNSH >DRNTG_06440.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29901238:29904510:-1 gene:DRNTG_06440 transcript:DRNTG_06440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:pheophytinase [Source:Projected from Arabidopsis thaliana (AT5G13800) TAIR;Acc:AT5G13800] METLPFCCSLVSCYRLSSLDCKSLGNNFSLRQSRALVNGRTVVMFASAKSMASFGTPCQDSYEIENLYKKEGARLLGLLEEYSNHASSQSAKDNCNYYVADGNTGLRGVSTEADEVTKILIPGLPEGSDGNNGSPISSCFWEWKPKLSVHYEKSGSENVGAPAVLFLPGFGVGTFHYEKQLRDLGQEYRVWALDFLGQGMSLPSEDPAPSGKMGDTSDEKEVAWGFGDESEPWAHELVYSVDLWQDQVKHFIEEVIGEPVYLVGNSLGGFVASYFAACNPQLVKGVTLLNATPFWGFLPNPIRSPQLSKIFPWAGTFPLPENVKKLTQFVWQKISDPVSIQEVLKQVYADHSIKVDNVFSRIIETTQHPAAAAAFASIMFAPKGQLSFQEALSRCKMQSVPICLMYGKEDPWVRPVWGLKVKHQLPEAPYYEISPAGHCPHDEVPEVVNYLLRGWIKNLESQGSASLPLLEELKSIKYGVSRELEYINDGTRKSVQVRAYGSKFSIWNMIRSFMKSRSAGLQMNSH >DRNTG_00083.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21146372:21147796:-1 gene:DRNTG_00083 transcript:DRNTG_00083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNINLSSISSSLFQLGSNPIAPVCQPNPVHKANRTSSSF >DRNTG_24054.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26531102:26535698:1 gene:DRNTG_24054 transcript:DRNTG_24054.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigD, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13730) UniProtKB/Swiss-Prot;Acc:Q9ZSL6] MSAVAKKVANATSLLHRQLGRWPTYQEIADHTALSASRVKLVSDRSKHPISINRPAKNQELILEDVIPGPDETRPEVIVGKQLMLQDMEKLLTTLSAREEYIIRLHYGLAGERIHSCEEIGRLLNLSRERIRQIHRAALTRLREEEDLIESLRQNLT >DRNTG_24054.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26531102:26534454:1 gene:DRNTG_24054 transcript:DRNTG_24054.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigD, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13730) UniProtKB/Swiss-Prot;Acc:Q9ZSL6] MQDVIPGPDETRPEVIVGKQLMLQDMEKLLTTLSAREEYIIRLHYGLAGERIHSCEEIGRLLNLSRERIRQIHRAALTRLREEEDLIESLRQNLT >DRNTG_24054.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26531102:26534454:1 gene:DRNTG_24054 transcript:DRNTG_24054.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigD, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13730) UniProtKB/Swiss-Prot;Acc:Q9ZSL6] MQDVIPGPDETRPEVIVGKQLMLQDMEKLLTTLSAREEYIIRLHYGLAGERIHSCEEIGRLLNLSRERIRQIHRAALTRLREEEDLIESLRQNLT >DRNTG_24054.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26531102:26534454:1 gene:DRNTG_24054 transcript:DRNTG_24054.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigD, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13730) UniProtKB/Swiss-Prot;Acc:Q9ZSL6] MQDVIPGPDETRPEVIVGKQLMLQDMEKLLTTLSAREEYIIRLHYGLAGERIHSCEEIGRLLNLSRERIRQIHRAALTRLREEEDLIESLRQNLT >DRNTG_24054.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26531102:26534454:1 gene:DRNTG_24054 transcript:DRNTG_24054.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigD, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13730) UniProtKB/Swiss-Prot;Acc:Q9ZSL6] MVILQGSMSAVAKKVANATSLLHRQLGRWPTYQEIADHTALSASRVKLVSDRSKHPISINRPAKNQELILEDVIPGPDETRPEVIVGKQLMLQDMEKLLTTLSAREEYIIRLHYGLAGERIHSCEEIGRLLNLSRERIRQIHRAALTRLREEEDLIESLRQNLT >DRNTG_24054.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26531102:26534454:1 gene:DRNTG_24054 transcript:DRNTG_24054.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigD, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13730) UniProtKB/Swiss-Prot;Acc:Q9ZSL6] MSAVAKKVANATSLLHRQLGRWPTYQEIADHTALSASRVKLVSDRSKHPISINRPAKNQELILEDVIPGPDETRPEVIVGKQLMLQDMEKLLTTLSAREEYIIRLHYGLAGERIHSCEEIGRLLNLSRERIRQIHRAALTRLREEEDLIESLRQNLT >DRNTG_24054.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26531102:26534454:1 gene:DRNTG_24054 transcript:DRNTG_24054.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigD, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13730) UniProtKB/Swiss-Prot;Acc:Q9ZSL6] MAIRACSLNNSTSTTLFSNHHALPSKPCVQIPSYSTLPSSCVIKHNISHLTVSENSLIIAAMAEAITLANAAAQAANDAVSLAMALSETISMQTKEDKDFWEEQDLVTRRRRRRRKSSREDEFGDWDSCRDLMEGGVAWSERSRYLTRRQEGEFSGYIKEGTMLEAASTGCRTKKRSDEKVLLRARECRERITLSYKRLVASIATPYQGKGLSFQDLIQEGSIGLLKGVQKFDAKRGNKLSTYLYWWIKQAIIKAIAKKSRLVRQPGSMSAVAKKVANATSLLHRQLGRWPTYQEIADHTALSASRVKLVSDRSKHPISINRPAKNQELILEDVIPGPDETRPEVIVGKQLMLQDMEKLLTTLSAREEYIIRLHYGLAGERIHSCEEIGRLLNLSRERIRQIHRAALTRLREEEDLIESLRQNLT >DRNTG_28597.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7945534:7952306:1 gene:DRNTG_28597 transcript:DRNTG_28597.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNEPLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDVLLCNWFGIWAGMRTVRYFDGKTYEWVGISRQPSVFGKVKRTLGQFTPAQWDKDEWHPLQGPWRFIQVSSLCVVFMTVELNTFFLKFCLWVPPRNPVVVYRLILWWLIAIPTIREYNSYLQDRKPVKKVGAFCWLSLAICIVELLICIKFGHGLFPNPMPSWLVLFWTTAGVSFVVFLLGWTWQIHRTLLKKRQ >DRNTG_28597.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7945534:7952306:1 gene:DRNTG_28597 transcript:DRNTG_28597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNGLSNMRTTNDTIQKPNCAKLHADIGEFDPWTAWAYKPRTISMLLIGACLLIWASGALDPTSAASYDCVTSVKRGIWAMIAVFLAYCLLQAPSTVLIRPHPAVWRLVHGMAVVYLVALTFLLFQNRDDARAFMKFLHPDLGVELPERSYGADCRIYVPENPKSRFINVYETLFDEFVLAHIFGWWGKAIMIRNEPLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDVLLCNWFGIWAGMRTVRYFDGKTYEWVGISRQPSVFGKVKRTLGQFTPAQWDKDEWHPLQGPWRFIQVSSLCVVFMTVELNTFFLKFCLWVPPRNPVVVYRLILWWLIAIPTIREYNSYLQDRKPVKKVGAFCWLSLAICIVELLICIKFGHGLFPNPMPSWLVLFWTTAGVSFVVFLLGWTWQIHRTLLKKRQ >DRNTG_29016.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22256536:22256985:1 gene:DRNTG_29016 transcript:DRNTG_29016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYWWDRVVILPVRRVWLAMATRLGIRKTGLGKLRQQVRSCEYEDVRIMWELLSKPERKKQKKNKKKKKSMISSTGSALVAFHWAPYNLCRSF >DRNTG_32467.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2522645:2525052:1 gene:DRNTG_32467 transcript:DRNTG_32467.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFNEDNHFILKNEEQKNGKTQDHKDLLQRKRDTERERDHLQESTQQNINDERKTSSETLTLNSQRERERERERERGEDKEDLIDHYVAVER >DRNTG_32467.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2522417:2525445:1 gene:DRNTG_32467 transcript:DRNTG_32467.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFNEDNHFILKNEEQKNGKTQDHKDLLQRKRDTERERDHLQESTQQNINDERKTSSETLTLNSQRERERERERERGEDKEDLIDHYVAVER >DRNTG_32467.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2522417:2525052:1 gene:DRNTG_32467 transcript:DRNTG_32467.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFNEDNHFILKNEEQKNGKTQDHKDLLQRKRDTERERDHLQESTQQNINDERKTSSETLTLNSQRERERERERERGEDKEDLIDHYVAVER >DRNTG_32467.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2521771:2525052:1 gene:DRNTG_32467 transcript:DRNTG_32467.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFNEDNHFILKNEEQKNGKTQDHKDLLQRKRDTERERDHLQESTQQNINDERKTSSETLTLNSQRERERERERERGEDKEDLIDHYVAVER >DRNTG_32467.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2522645:2525445:1 gene:DRNTG_32467 transcript:DRNTG_32467.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFNEDNHFILKNEEQKNGKTQDHKDLLQRKRDTERERDHLQESTQQNINDERKTSSETLTLNSQRERERERERERGEDKEDLIDHYVAVER >DRNTG_32467.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2521771:2525445:1 gene:DRNTG_32467 transcript:DRNTG_32467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFNEDNHFILKNEEQKNGKTQDHKDLLQRKRDTERERDHLQESTQQNINDERKTSSETLTLNSQRERERERERERGEDKEDLIDHYVAVER >DRNTG_03648.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27340804:27344532:1 gene:DRNTG_03648 transcript:DRNTG_03648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIRQTSSIFFMISSCARGTCLACSNPSFSLASMSKISPKYILFNFLIPLKFFLRCFPLLSINIP >DRNTG_02883.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:837580:840055:1 gene:DRNTG_02883 transcript:DRNTG_02883.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-U4-3 [Source:Projected from Arabidopsis thaliana (AT5G61650) UniProtKB/Swiss-Prot;Acc:Q9FKF6] MSIRVFLKRIWPRVNCSLSCYIVAYIYLRRFTKRYPLALIDSYSIHNFIITSLLLAAKFMHNKKYKNPDFAILGLLPKAEMHMLETKFLAAIDYRLHVSTEEYMNICIWMSMSMSKTSEICPSPSCCYEGLHQHSHEDHGQFFFSFFF >DRNTG_19509.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2831804:2838849:1 gene:DRNTG_19509 transcript:DRNTG_19509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVFSLDDVFYRSLVRRIGITYDSLQYLVAAKERGLTPAHCRRTDLVIGMRMKMAIGHRKISVTSSLYICACKQEGWVVPFVGKATSWRQGAWAGGLWWYWREVKWGVGQLLMSRCQICHLLWMAMSQKEGGLKFSFGAERRGDEVGFQHVGNSDIYANLAV >DRNTG_20894.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25101932:25105572:1 gene:DRNTG_20894 transcript:DRNTG_20894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLSKRPRSEFDGPGFRHLAADGRDVGQQSFSPAGKPKPCMKFFSTSGCPFGESCHFLHFVPGGLSSLGVAPVASLSAASSAANQRKPAAGPGGDPSVTVNGFKTKLCNKFNTPEGCRFGDKCHFAHGESDLRPANNLSRGNRRPMSNGPLVRAPSPAFSNRPNPAGSPTLSVSEPNFASQGYVQLNEYGAPDNNDASAVYPAGPVECCGQVEDGDYLLT >DRNTG_34587.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:935864:939647:1 gene:DRNTG_34587 transcript:DRNTG_34587.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKIFFWALILRLGLSASDNFTYNGFKGVNLSLDGLAGITSDGLLMLTNATTHKTGHAFFPSPLRFKKSQADNVLSFSTTFVFAIIPEDQTVGSGGFTFVLSPSKDLTHASSGYLLGIVNETNNGNASNHILAVEFDTWKSPEAEDINSNHVGIDINGFISYNSTSAGFTPDDNGKFQNLTLLSGEPMQVWIEYNGTNMEFNVTLAPLWKPKPKIALLSSTVNLSSIFLDRMYVGFSGSVGTLYLYHYILGWGFNIDGDAPELNLSSLPSLPQNLMSSEKKRKTLLLWLSLTLLLVLLNVACATLMVVRKKMFSELHDDWELEFESNRFPYEQLYKATRGFKGRYLLGIGGFGRVYRGLLPASKVEVAVKRVTHESKQGVREFVAEIVSLGKLRHRNLVQLLGYCRRKGELLLVYEYMPNGSLDKFLFSEAKQSLAWSLRFRIIKGVASGLQYLHDCWDQVVIHRDIKASNVLLDGDMNGRLGDFGLARLYDHGAVTRTTNVVGTPGFLAPELARTCKVTTSSDVFAFGAFLLEVACGRRALEPNKQELEQVLVDWVFANWKKGTIYETKDPRLGKDFVLEELDLVLKLGLLCSHPSPSARPSMRQITRFLNGDVPLQMLPYQCHAGGSF >DRNTG_10153.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:644392:648259:1 gene:DRNTG_10153 transcript:DRNTG_10153.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable 1-acyl-sn-glycerol-3-phosphate acyltransferase 4 [Source:Projected from Arabidopsis thaliana (AT1G75020) UniProtKB/Swiss-Prot;Acc:Q8L4Y2] MNGSTNSRGMPSNGIKEQEEATLALNSNDGPRHRPLTLIRALRGILCLVTLLSTAFMLLIYLAPITILIVRMFSVHYSRKATSFLFGIWLSLWPFLFEKINGTKVVFSGDMVPSEERILLLANHRTEVDWMYLWDLALRKGFLGHIKYILKKSLMKLPIFGWGFQIFEFISVERKWESDELIMKKKLSAFKDPKDPLWLALFPEGTDYTEQKCLKSQQFAAENDLPILRNVLIPKTKGFYACLESLRNTLNAVYDVTIAYKHRCPTFIDNVFGVDPSEVHIHVQRITLNEIPTSEEEAAAWLIERFQLKDQLLSDFAARGHFPHHVPEENLSTLVCLVKFLVVIVTTSLFTHLTLFSSVWYKVYVAFSFTYLTAVTYFDILPSPVLCSSIKSLFCSKKMS >DRNTG_23389.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001263.1:13896:15216:-1 gene:DRNTG_23389 transcript:DRNTG_23389.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGSVRAGGVQGYVPFLTCIQIFTCIMSRLVNGRGDSTGVLSRQELLYLYSMVQCVPIHLGHIMAEYIRHQGHYARLGAIFSSPYITRLVLGMGLLHVIRGAEKTSIPTPLSLETLRLMGIVCTVRTRGVRVIMPRLPSLLPSLKARECGGSDTEEVAEA >DRNTG_27618.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3610738:3612633:-1 gene:DRNTG_27618 transcript:DRNTG_27618.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like protein 6 [Source:Projected from Arabidopsis thaliana (AT1G09790) UniProtKB/Swiss-Prot;Acc:O04500] MSGAEATKQGDCKRFKSNPLPHSCERSPVIVDLHPGVPYNLQTANCCKGGVISSLTQDPSKAISSFQMAVQSSVNFTATSGAFGSPSNFSIGVPGYTCSNNMALVEPTKFSTGNSQRIQQALMTWQVVCSYSQFRESNAPSCCVSLSSFYSDAIVNCPRCSCRCPHTGLAGNCYMQASSSTLFLLQLQKSI >DRNTG_27618.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3610738:3612633:-1 gene:DRNTG_27618 transcript:DRNTG_27618.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like protein 6 [Source:Projected from Arabidopsis thaliana (AT1G09790) UniProtKB/Swiss-Prot;Acc:O04500] MSGAEATKQGDCKRFKSNPLPHSCERSPVIVDLHPGVPYNLQTANCCKGGVISSLTQDPSKAISSFQMAVQSSVNFTATSGAFGSPSNFSIGVPGYTCSNNMALVEPTKFSTGNSQRIQQALMTWQVVCSYSQFRESNAPSCCVSLSSFYSDAIVNCPRCSCRCPHTGLAGNCYIDDEPDNKLQTPNGEGDDKIAVKCTEHMCPIQVHWHVKVNYKDYWRVKVTITNLNFFKNYSDWNLVLEHPNLQNITQIFSFSYQPLIVYPGTNDTGLFWGIPFYNTMLLEHGESGNVQTEMILHKDHRDFTFKGGWAFPRRVLFNGHECVMPSPETYPSLPKAAVAAAAAAAVPVASSIAHFLITVLLFL >DRNTG_30861.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001636.1:74224:74623:1 gene:DRNTG_30861 transcript:DRNTG_30861.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEKPCGKAPSSSSTSYKCALGVDLSKSRSPHQFPSQICPLRPSSTSDLSKTTTVLFLQLTCGRLHSHEVACEEFQT >DRNTG_19245.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:265304:266409:-1 gene:DRNTG_19245 transcript:DRNTG_19245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSACLDSYLLSASLFFSSRKMSSLRVYAMLFITFFLSGLMQVSHAQATAPYRTVDGKAIDQGIAYVLMLIALLVTYLVH >DRNTG_11605.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7877955:7880248:1 gene:DRNTG_11605 transcript:DRNTG_11605.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCSFLCHTPAPKQGPLTNQPHPIELGPIGCKASDFKSGSELRS >DRNTG_29561.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001485.1:29166:30232:-1 gene:DRNTG_29561 transcript:DRNTG_29561.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSVDIVEKFLRNQQILVPTRYSYTNIVAMTNHFKKKLGQGGFGYVFKGQLPWDRLVAIKMLTNSKYNASEYFINEVLTIEMAGGKRNSVPRVENTSQAYYPSWIYDKLIEDTIEHSVIKPLDWPSMCKVIEMLEGDANSLQMPPKPFFSEPTQISSKVSHLNTNDGELASISEVADELN >DRNTG_10813.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5292719:5302836:-1 gene:DRNTG_10813 transcript:DRNTG_10813.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIDRFVVEEYLLDVLLFFNGCRKECAAYMVGLPVPFRYEYLMAETVFSQLLLLPQPPFKPLYYTLVIIDLCKALPGAFPAVVAGAVRVLFDRIADMDMECRTRLILWFSHHLANFQYIWPWEEWAHVQELPRWAPQRVFVQEVLEREVRLSYWDKIKQSIENAPLLEELLPPKEGTSFKYSVIDGEEKTEGHKISAELCSLVKGRKTAREIMSWVEETVIPVHGSKLAMEVVIQTLMDIGSKSFTHLITVLERYGQVIGKLCPERDGQVLLLDEVGSYWKNNTQMTAIAIDRMMGYRLVSNLSIVNWVFSSSNIEQFHTSDRPWEVLRNAINKTYNRICDLRKEISSLERGILLAEDTASKTKEELEAAEAKLEVVDGQQVPAEKPGRLKRLKGYVDRATEEVSSLKESLEAKEALLLRALEENKALFMTLYKNFVGVLTDRLAPVSDGGKVPNLRDAHVDSMAVDTEESTTMDVDSENGKAKASRSNGEKATKPYNIGEREQWCLTTLGYLKAISRQYVTEIWPYIEMLDAEVFVEGIHPLIQKAVNDGLGRRVPAS >DRNTG_23535.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22324760:22332373:1 gene:DRNTG_23535 transcript:DRNTG_23535.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL4 [Source:Projected from Arabidopsis thaliana (AT5G02880) UniProtKB/Swiss-Prot;Acc:Q9LYZ7] MTLKIIETLMEKLPGVFSSSFVKEGVIYAIDALLNPKDGLVQDSSHPQSSNCQVTASDGSVCLCHAFMSRKAPSSEAKTCTLGKEAVFSLAKHIKFTHFISDSVDSEMALTEILQKLKTFCAILNDNVDRLSINDDFSQNEEHLARVLDQVMQELDGGEGMSTFEFIESGIIRSLVHYLTNGRYPFEGQCGRGMSNDFLIVLKRFQTFSYISLSKAGQSWKDMLLTLLVRKLQRALSSVDSFPVITSHNYKPRYFYTHIPVEKSTMNPCVKVSFMREEGEENLSEYNSVLSVELSTRLDIIEEFLWPKVCVKKNECHDAQGEPIEKGDALSRNNSDIDQPQEMNDNTVQEPQKAFSTILRDLTEHKYQLPLPEDASSKPKDLTTSVEGLAGGKISSSSPVSSIAKPKLIFSLAGKEIDHSANLYQAILQGLNSTELNITIGPKFWSEVYKVTYRRSIEHKIQDSQSSHCASQSSILEDEHGLFWQKLPSFSNLLPSELHCEMDNSSPLYDILFLLKVLERLNQFLFHIVSYERINGFAEGGVQNLDDLKVSVSPIPQIEFISSKLTDKLEQQMRDPLMLSIGSMPSWCGHLMAACPFLFTFEARRKYFRLTVFGSLRNQQNQIHHPHNGGIDSSNNRRSHPAMANRKKFKADRKNVLESAAKMMALHCRSKSVLEVEFNDEVGTGLGPSMEFYTLVSHEFQKIGLGMWREDPSSFSDQVHCDTTVANNGFVFAPFGLFPRPWSADASSSSKIQFSDVLKKFMLLGQIVAKSIRDGRILDLPFSRAFYKMMLEKELSMYDIQSFDPGLGKNLLEFQALVCRKKFLESSGGKLTFASEADLCFRNMKIEDLCLDFTLPGYSDYVLTSVNDSKMVNINNLETYVSLIVKATVGAGIAQQVKAFKSGFNEVFPLEALQIFTEDELELLLCGERETWNLSELLDHVKFDHGYTANSPPVISLLEIIQEFGCEQRRAFLQFVTGSPRLPLGGFAALNPKMTIVRKHCNNDVDMDLPSVMTCANYVKLPAYSSKEHMKERLLYAITEGQGSFHLS >DRNTG_23535.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22324760:22332373:1 gene:DRNTG_23535 transcript:DRNTG_23535.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL4 [Source:Projected from Arabidopsis thaliana (AT5G02880) UniProtKB/Swiss-Prot;Acc:Q9LYZ7] MDRGRKRAEAADHLPADKRPCGSSDFHPGTSSSEPPADCDMEQDPSTSGSDEDDDHGGYGSDDDPNYASRNAENRTRLEGIVPRLGDADFGAVLAVLTELCEVLSFCMEDTLSRPAMEGLVAALVRLAGTEAYPDVMLLSIRALTYLCDIMPRAVDVVVRHEAVPVLCGRLMVIEYLDVAEQCLQVLEKISKRQPVACLQAGVVMAVLNYVDFFSTTIKRVALTTVANVCKKLSVERSSSVMDAVPILCNFLQYDDDHKLIETAATCLIKITECFSKSPALLDELCKRGIINQCLKLVALDGRMTLGQSTYNGLIGLLTKLTANSMVAFKTLFELNIGGILRGVLLTMSDLSHSTSSNLFLEDGQPNQVYEIFNFLNQLIPPAARENDEVQLVLTKGNFLTEKPDFLYQFSRDILPVSVQVVNSGANLYVCYGCVSVINNIFYFSTSDILPDLIENTNISSFLAGLLARKDHHVLFMTLKIIETLMEKLPGVFSSSFVKEGVIYAIDALLNPKDGLVQDSSHPQSSNCQVTASDGSVCLCHAFMSRKAPSSEAKTCTLGKEAVFSLAKHIKFTHFISDSVDSEMALTEILQKLKTFCAILNDNVDRLSINDDFSQNEEHLARVLDQVMQELDGGEGMSTFEFIESGIIRSLVHYLTNGRYPFEGQCGRGMSNDFLIVLKRFQTFSYISLSKAGQSWKDMLLTLLVRKLQRALSSVDSFPVITSHNYKPRYFYTHIPVEKSTMNPCVKVSFMREEGEENLSEYNSVLSVELSTRLDIIEEFLWPKVCVKKNECHDAQGEPIEKGDALSRNNSDIDQPQEMNDNTVQEPQKAFSTILRDLTEHKYQLPLPEDASSKPKDLTTSVEGLAGGKISSSSPVSSIAKPKLIFSLAGKEIDHSANLYQAILQGLNSTELNITIGPKFWSEVYKVTYRRSIEHKIQDSQSSHCASQSSILEDEHGLFWQKLPSFSNLLPSELHCEMDNSSPLYDILFLLKVLERLNQFLFHIVSYERINGFAEGGVQNLDDLKVSVSPIPQIEFISSKLTDKLEQQMRDPLMLSIGSMPSWCGHLMAACPFLFTFEARRKYFRLTVFGSLRNQQNQIHHPHNGGIDSSNNRRSHPAMANRKKFKADRKNVLESAAKMMALHCRSKSVLEVEFNDEVGTGLGPSMEFYTLVSHEFQKIGLGMWREDPSSFSDQVHCDTTVANNGFVFAPFGLFPRPWSADASSSSKIQFSDVLKKFMLLGQIVAKSIRDGRILDLPFSRAFYKMMLEKELSMYDIQSFDPGLGKNLLEFQALVCRKKFLESSGGKLTFASEADLCFRNMKIEDLCLDFTLPGYSDYVLTSVNDSKMVNINNLETYVSLIVKATVGAGIAQQVKAFKSGFNEVFPLEALQIFTEDELELLLCGERETWNLSELLDHVKFDHGYTANSPPVISLLEIIQEFGCEQRRAFLQFVTGSPRLPLGGFAALNPKMTIVRKHCNNDVDMDLPSVMTCANYVKLPAYSSKEHMKERLLYAITEGQGSFHLS >DRNTG_23535.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22325563:22332373:1 gene:DRNTG_23535 transcript:DRNTG_23535.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL4 [Source:Projected from Arabidopsis thaliana (AT5G02880) UniProtKB/Swiss-Prot;Acc:Q9LYZ7] MTLKIIETLMEKLPGVFSSSFVKEGVIYAIDALLNPKDGLVQDSSHPQSSNCQVTASDGSVCLCHAFMSRKAPSSEAKTCTLGKEAVFSLAKHIKFTHFISDSVDSEMALTEILQKLKTFCAILNDNVDRLSINDDFSQNEEHLARVLDQVMQELDGGEGMSTFEFIESGIIRSLVHYLTNGRYPFEGQCGRGMSNDFLIVLKRFQTFSYISLSKAGQSWKDMLLTLLVRKLQRALSSVDSFPVITSHNYKPRYFYTHIPVEKSTMNPCVKVSFMREEGEENLSEYNSVLSVELSTRLDIIEEFLWPKVCVKKNECHDAQGEPIEKGDALSRNNSDIDQPQEMNDNTVQEPQKAFSTILRDLTEHKYQLPLPEDASSKPKDLTTSVEGLAGGKISSSSPVSSIAKPKLIFSLAGKEIDHSANLYQAILQGLNSTELNITIGPKFWSEVYKVTYRRSIEHKIQDSQSSHCASQSSILEDEHGLFWQKLPSFSNLLPSELHCEMDNSSPLYDILFLLKVLERLNQFLFHIVSYERINGFAEGGVQNLDDLKVSVSPIPQIEFISSKLTDKLEQQMRDPLMLSIGSMPSWCGHLMAACPFLFTFEARRKYFRLTVFGSLRNQQNQIHHPHNGGIDSSNNRRSHPAMANRKKFKADRKNVLESAAKMMALHCRSKSVLEVEFNDEVGTGLGPSMEFYTLVSHEFQKIGLGMWREDPSSFSDQVHCDTTVANNGFVFAPFGLFPRPWSADASSSSKIQFSDVLKKFMLLGQIVAKSIRDGRILDLPFSRAFYKMMLEKELSMYDIQSFDPGLGKNLLEFQALVCRKKFLESSGGKLTFASEADLCFRNMKIEDLCLDFTLPGYSDYVLTSVNDSKMVNINNLETYVSLIVKATVGAGIAQQVKAFKSGFNEVFPLEALQIFTEDELELLLCGERETWNLSELLDHVKFDHGYTANSPPVISLLEIIQEFGCEQRRAFLQFVTGSPRLPLGGFAALNPKMTIVRKHCNNDVDMDLPSVMTCANYVKLPAYSSKEHMKERLLYAITEGQGSFHLS >DRNTG_18713.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14733021:14734634:-1 gene:DRNTG_18713 transcript:DRNTG_18713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACIRPCGAFEVRRTGIMEPRTKKQADRRPRELSSELEGMQFVIPEHHARYERLSRLRFGQTRLLDMTILRDLQQGDEFVNEVEDLVSDGGWWSVNVRGDSTSVLSCQELLYLYSMVERVPIHLGHILADYIRHQGQYTRLGAIFWGPYITRLVLGMGLLDSIRGAEKTSVPALLGLEIMRLMGMVRRVRTGVFALVLPAPEIAEDEGDDTGASQPTPEPQPASMETEAPPAAEEPPQCICFHLLEPMIALRGSRIL >DRNTG_34303.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002118.1:22492:24122:1 gene:DRNTG_34303 transcript:DRNTG_34303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVEHPQACVDAQFQPYLRPISTMISAFFSPSFCQLERGWQLGFRGVLARLWSGSLASTACSTWKKVIGELSSAPIQRGYWESFRQHRSGEVYPRPDKGSLATSRGLSTRPSTRPSRGFLYGFIAFTFDFFDCT >DRNTG_04957.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3940207:3940804:1 gene:DRNTG_04957 transcript:DRNTG_04957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKWQKVAAMARMISSPRVNDHSDFSACSTSSVAEKGHFNVYTLEGKRFMIPLAYLNNAVFKELLKISEEEFGLPGDGPITLPCDAVSMEYVLSMLRRGVSQEVERALLSSIFSTGPSTCSNFSVQNNQQLTICSF >DRNTG_19487.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:13568023:13570128:1 gene:DRNTG_19487 transcript:DRNTG_19487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTSHDSVGATTSSSVDDVSTTSLGMTTSITAGISSTFSVILSKTTFAG >DRNTG_31568.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001723.1:21559:22049:-1 gene:DRNTG_31568 transcript:DRNTG_31568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFKGGFVSFLVIEFVLSFNIFLLVHGQLLPIVSDHGENTSQIQTYIVHVLKPEGSNFLGAEDLENWHKSFLPNTTLDTGEPRLRFSYKEAISGFAARLTPEEVRDMEKMDGFLRANPSRTLHLHTTYTHEFLNLSTLFGVWS >DRNTG_22156.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3834950:3838359:-1 gene:DRNTG_22156 transcript:DRNTG_22156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSLQNIAGSNGGIPTPAANTPTPSTTGSDGGEDQKQNLAQVINSIDKTLGLLHQLHLTVSSFNLASQLPLLQRLNAVVTELDTMQKLADNCNIQVPMEVINLIDDGKNPDEFTRDVLNSCITRNQITKGKTDAFKSLRKHLLEELEQTFPDEVEAYREIRSSSAAESKRMAQAQGILSNGDVKVKTEH >DRNTG_09499.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21650892:21651558:1 gene:DRNTG_09499 transcript:DRNTG_09499.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLQLRPNKEFGYPGRTYRFFDGPVVYPFGHGLSYTQYKYTVKPKLSSINVGLEKHHKCKDLSLKSNANVISECPSALIDDLKCEETISFSVEVANVGKMDGDHTVMVYSKPPEFVDDAPIKQLIGFQKVFVPAGKKKVVKFDINACKALTLVEKTAYKVLAEGQHTIVIGFGDDAVSFPFEVVFKK >DRNTG_09499.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21648618:21651558:1 gene:DRNTG_09499 transcript:DRNTG_09499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIVKLLFILFLSFFISTSSRIITPSSSSSSSSSSSPSSVLSNLTYIPGPIQSNGKNYTRVCDPERFADMGLNMKDFAYCDKSLPYSVRIKDLIGRMTVKEKILNLGDRAPGSGRVGLQNYNWWTEALHGISDVGHATYFGDIINSATVFPTPILTVSTFDPALWRQIGHTVSIEGRAMYNLGHAGLTVWSPNINIVRDPRWGRALETPGEDPLAVSVYAVNYVRGLQDVEGFEVVKDPNTRPLSLSACCKHYTAYDVDQWYHEMYNRKEFDARTMERDMVETFNRPFESCVREGDGSSIMCSYNKINGVPVCANPRLMSQTFRGEWDLHGYIVSDCDSVQVMTENHKWLHDSPVDAIAQSLSAGLDLDCGCCGESDNYFQNFGESAIQQGRIREKDLDNALTNLYTVLMRVGFFDGNPLFDKLGLNDICSKEHIELSAKAAREGIVLLKNNDNNVLPLDTSKFKKIALIGPLANATEPMRGNYAGVPCKYITPIDGFNASNVQVDYQQGCADVGCQNISDLNSVLESVKNADATVLFFGNDLSIEREEFDRDGLKLPGEQEKLITKAAEAAKGPVILVLMSGGGLDVSFAEKHPKIGAILWAGYPGEQGGHAIADIVFGKYNPGGRLPLTWYHDDYVKQLPMTSLQLRPNKEFGYPGRTYRFFDGPVVYPFGHGLSYTQYKYTVKPKLSSINVGLEKHHKCKDLSLKSNANVISECPSALIDDLKCEETISFSVEVANVGKMDGDHTVMVYSKPPEFVDDAPIKQLIGFQKVFVPAGKKKVVKFDINACKALTLVEKTAYKVLAEGQHTIVIGFGDDAVSFPFEVVFKK >DRNTG_11327.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:8596912:8597282:1 gene:DRNTG_11327 transcript:DRNTG_11327.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKPPVRSRYDYSDRSSDKKRSRDSSSIGVFNLIFGFFSINF >DRNTG_01260.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:9681286:9682228:1 gene:DRNTG_01260 transcript:DRNTG_01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLLALSLTTLLVTTSMRGTAAVEYQASNNAGGTTGGQRFDSDIGLYYSKQVLVSASSFIWTTFQQQDEASRKNVQVITLVIEDIDGVAYTSSDNIHVSARYIGNYQGDVKTEITGVLYHEMVHVWQWNGQGNAPGGLIEGIADYVRLKANLAPSHWVQPGQGNKWDQGYDVTARFLDYLNGLEDGFVALLNAKMKDGYSDQFFVDILGKTVDQLWSDYKAQYGG >DRNTG_08873.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27710487:27711177:-1 gene:DRNTG_08873 transcript:DRNTG_08873.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIRDYALKRRQAEEVESKGSRKCQQ >DRNTG_21464.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:387152:399551:-1 gene:DRNTG_21464 transcript:DRNTG_21464.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHHHLLLPLILVQILFLILPSSSSHAATQAIFSQPLQLLFGGQSLTDGDLTLSLSNDCGLILHKASIPVLDFNTSTTTSYCALLVSQYGRLLLVPDNERTITQAISKKAYSGSYALLFLDGKLGIFGPAIWNNGVSRSTLFNINEPTRGLNHEKLKTGFADYILFPGDIVTGSANGDVVIAQNGKVSTVITNKCALAVKDDTTNKTIWHSWPTSAEPTQCFLELTSHGQLLLQGYNESGVYTQWSGGYLAKEKLYVCLLRYFGRITIYGLKTWLYDGSSSTALAPTAAASVVVAEKIEMVTI >DRNTG_21464.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:387152:412552:-1 gene:DRNTG_21464 transcript:DRNTG_21464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHYHLLPLILSLSLLILPSSSHAETQTMFLEPLQFLFGSQSLTDGDLTLSLSNDCGLILYKAGIPVLDFNTSTTTSYCALLVSQYGRLLLVPDNERTITQAISKKAYSGSYALLFLDGKLGIFGPAIWNNGVSRSTLFNINEPTRGLNHEKLKTGFADYILFPGDIVTGSANGDVVIAQNGKVSTVITNKCALAVKDDTTNKTIWHSWPTSAEPTQCFLELTSHGQLLLQGYNESGVYTQWSGGYLAKEKLYVCLLRYFGRITIYGLKTWLYDGSSSTALAPTAAASVVVAEKIEMVTI >DRNTG_21464.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:398390:399551:-1 gene:DRNTG_21464 transcript:DRNTG_21464.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPSLDLNHEELKTAGSTDYILFSGNIATGSANGDVVIAQNGNISTVITKKCALAVKDDTGKTIWSTWPTSAEPTQCFLELTSHGELLLQGYNESGVYTQWKGGYTARENLYVCLLRYFGRIAIYGLKTWLYQDGSSSAGAVAAVVAEKIKMVTA >DRNTG_11418.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:897167:898009:-1 gene:DRNTG_11418 transcript:DRNTG_11418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQCSTIQSNGSDHANRVPLPETLIP >DRNTG_19282.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:519365:524754:1 gene:DRNTG_19282 transcript:DRNTG_19282.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin CPN60-like 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G13860) UniProtKB/Swiss-Prot;Acc:Q93ZM7] MLQGVNELAEAVKVTMGPKGRNVIISKDHGNPKVTKDGVTVAKSIEFADKMKNVGANLVKQVAETTNTAAGDGTTCATVLTQAIFSEGCKSVAAGVNVMDLRKGINIAVDAVVSHLKSRAFQISTPDEITQVATISANNDREIGELIARAMEKVGKDGTITVSDGKTFYDELEVVEGMKLARGYISPYFITDEKTQRCELENTLIFVYDKKISDFKSLARILELSKKRNRSLLIIAEDVETDALAMLVLNKRHSGVKVCAIRTPGFGENRRAVLDDLAILTGGEVISEDRGLNLEKVDITMLGTAKKVTVSLDDTIILHGGGDKNVIEERCEQLRKLIETSTAMFDKEKAQERLSKLSGGVAVLKIGGASEAEVGERKGRVKDALNASRAAVEEGIVPGGGVALLYAARELDKIHTSNSDEKTGVQVLQNALKAPITTIAANAGVDGAVIVGKLLEQDNLNMGYDAAKGEYVDMVKAGIVDPLKVIRTALVDAASVSLLLTTTEAAIVEQAKSSKVRRVPDFNNDMDY >DRNTG_19282.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:519365:524754:1 gene:DRNTG_19282 transcript:DRNTG_19282.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin CPN60-like 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G13860) UniProtKB/Swiss-Prot;Acc:Q93ZM7] MNRAFAAASSILRASASKKQIWNGRTLQTRGYAVPTKDINFGIGARAAMLQGVNELAEAVKVTMGPKGRNVIISKDHGNPKVTKDGVTVAKSIEFADKMKNVGANLVKQVAETTNTAAGDGTTCATVLTQAIFSEGCKSVAAGVNVMDLRKGINIAVDAVVSHLKSRAFQISTPDEITQVATISANNDREIGELIARAMEKVGKDGTITVSDGKTFYDELEVVEGMKLARGYISPYFITDEKTQRCELENTLIFVYDKKISDFKSLARILELSKKRNRSLLIIAEDVETDALAMLVLNKRHSGVKVCAIRTPGFGENRRAVLDDLAILTGGEVISEDRGLNLEKVDITMLGTAKKVTVSLDDTIILHGGGDKNVIEERCEQLRKLIETSTAMFDKEKAQERLSKLSGGVAVLKIGGASEAEVGERKGRVKDALNASRAAVEEGIVPGGGVALLYAARELDKIHTSNSDEKTGVQVLQNALKAPITTIAANAGVDGAVIVGKLLEQDNLNMGYDAAKGEYVDMVKAGIVDPLKVIRTALVDAASVSLLLTTTEAAIVEQAKSSKVRRVPDFNNDMDY >DRNTG_29360.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1555963:1558899:-1 gene:DRNTG_29360 transcript:DRNTG_29360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTADAGASLLSLGAVDIEYQRIPCSWPGKNITFKVDEASDFPGYLAFLLEYQQGDKDITAVRLCETENLTCKLIDRSHGAMWAVNSPPSGPLSIQMLLSGGDDGDETWVVATNNVPESWKTGESYDLGIQLN >DRNTG_29360.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1555963:1559377:-1 gene:DRNTG_29360 transcript:DRNTG_29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTELIFLVFLFLPLFLCRSPVRADTFTHSRAAYYPNSDQQGTSDGACGYKSFGATLNGGDVSAASNLYRNGVGCGACYQVICTDSSLCSTDGVRIVITDSGSSSDTDFILSQKAFSKMGQTADAGASLLSLGAVDIEYQRIPCSWPGKNITFKVDEASDFPGYLAFLLEYQQGDKDITAVRLCETENLTCKLIDRSHGAMWAVNSPPSGPLSIQMLLSGGDDGDETWVVATNNVPESWKTGESYDLGIQLN >DRNTG_18862.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2431715:2435809:-1 gene:DRNTG_18862 transcript:DRNTG_18862.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHSASDPRPRTALCGDYFYNFFSRGLDILFDGQTHKIKKFVLHTNYPGHSDFNSYIKCNFVIYDANVQGPVQVGNASSHCITPSTKWEQVKEILGDGGRAAIQTQGSMNNPFGSTFVYGYQNVAFEVMKNGYIATVTLFRS >DRNTG_18862.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2436315:2439601:-1 gene:DRNTG_18862 transcript:DRNTG_18862.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLPQHQRSVAMPAAVGPAARRRCEGTALGAITLDLRPGLGIGPFTIGMPICEAFAQIEHQPSIYDVVHVKYLDEEPLKLDIVIAFPDHGFHLRFDPWSQRLRVIEVYDVKRLQMRYATSLIGGPSALATFLAVCNLFGPTYPGIHDKETGVYTLFYPGLSFAFPIPTQYADCCHDVSAGLPLEFPDGTTPVTCRVSVYDSSPDNKVGVGSLMKKASVPPLPAGNLYMEEVHAKLGEELWFTIGGQHIPFGASPQASIWYGNLKPEVFHLISSIASLFNAKCFSAYVVIDYLLCIYIPSFFKYLAIDNRLNLLHPWGFKVHLNRT >DRNTG_18862.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2431715:2439601:-1 gene:DRNTG_18862 transcript:DRNTG_18862.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLPQHQRSVAMPAAVGPAARRRCEGTALGAITLDLRPGLGIGPFTIGMPICEAFAQIEHQPSIYDVVHVKYLDEEPLKLDIVIAFPDHGFHLRFDPWSQRLRVIEVYDVKRLQMRYATSLIGGPSALATFLAVCNLFGPTYPGIHDKETGVYTLFYPGLSFAFPIPTQYADCCHDVSAGLPLEFPDGTTPVTCRVSVYDSSPDNKVGVGSLMKKASVPPLPAGNLYMEEVHAKLGEELWFTIGGQHIPFGASPQDVWTELGRPCGIHQKQVDQMVIHSASDPRPRTALCGDYFYNFFSRGLDILFDGQTHKIKKFVLHTNYPGHSDFNSYIKCNFVIYDANVQGPVQVGNASSHCITPSTKWEQVKEILGDGGRAAIQTQGSMNNPFGSTFVYGYQNVAFEVMKNGYIATVTLFRS >DRNTG_18862.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2436315:2439601:-1 gene:DRNTG_18862 transcript:DRNTG_18862.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLPQHQRSVAMPAAVGPAARRRCEGTALGAITLDLRPGLGIGPFTIGMPICEAFAQIEHQPSIYDVVHVKYLDEEPLKLDIVIAFPDHGFHLRFDPWSQRLRVIEVYDVKRLQMRYATSLIGGPSALATFLAVCNLFGPTYPGIHDKETGVYTLFYPGLSFAFPIPTQYADCCHDAGLPLEFPDGTTPVTCRVSVYDSSPDNKVGVGSLMKKASVPPLPAGNLYMEEVHAKLGEELWFTIGGQHIPFGASPQASIWYGNLKPEVFHLISSIASLFNAKCFSAYVVIDYLLCIYIPSFFKYLAIDNRLNLLHPWGFKVHLNRT >DRNTG_04274.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9190728:9192597:-1 gene:DRNTG_04274 transcript:DRNTG_04274.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGIPDPRFLNGDGSEECPPRPWGSGPSSP >DRNTG_23626.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30204107:30207989:-1 gene:DRNTG_23626 transcript:DRNTG_23626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMELNWKITLGFVFASFWFRSISCDEFGRLRWACICDPNPLEPQNYTPTINCSTSCDCVLDGERWNCSCSSEGSSPKTPGYIKDAGCFSACNCTSDMLESSTAAKKPFSNKAVIAVLLLCGLLTTIAVFASAACYCYKKDKLSVQTITTLSDKETSWNSRINLMSDQSGSFQGFPVKSPIIAGLFRWPSWLGSEKGAIPGTITQFSYVELDQATNKFSNDNLIGCGASSDVYYGKLRNGRAIAVKKLKPPAGQEDNDFLAEIEMLARLNHCHVVPLLGYCLESQGRQSVKLLVFEYLANGNLRDCLDAKGKEPIDWETRVAIAIGAAKGLEYLHEAAAPRILHRDIKSTNILLDDKYRAKITDLGMAKRLMADDITSCTNSPARMLGTFGYFAPEYAIVGKATLKSDVFSFGVVVLELITGRQPIQKSSNKTHESLVMWAKARLRDSTLVVSELPDPVLRGKFPEEEMQIMAHLARECLQWDPDSRPTMSEIVQILSIISPDKTKRRTLPASLFMAKQGSSSSSQSIKSIPESDRIDGGSLGNMERSCPSSVRWNARSSWPLAVDRYICKAPQNNAEMILSAEYMERLIFLTSKGNHSGRASDDGTVDLTEPRFESFVSSNIRSL >DRNTG_23626.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30204107:30207819:-1 gene:DRNTG_23626 transcript:DRNTG_23626.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLMELNWKITLGFVFASFWFRSISCDEFGRLRWACICDPNPLEPQNYTPTINCSTSCDCVLDGERWNCSCSSEGSSPKTPGYIKDAGCFSACNCTSDMLESSTAAKKPFSNKAVIAVLLLCGLLTTIAVFASAACYCYKKDKLSVQTITTLSDKETSWNSRINLMSDQSGSFQGFPVKSPIIGLFRWPSWLGSEKGAIPGTITQFSYVELDQATNKFSNDNLIGCGASSDVYYGKLRNGRAIAVKKLKPPAGQEDNDFLAEIEMLARLNHCHVVPLLGYCLESQGRQSVKLLVFEYLANGNLRDCLDAKGKEPIDWETRVAIAIGAAKGLEYLHEAAAPRILHRDIKSTNILLDDKYRAKITDLGMAKRLMADDITSCTNSPARMLGTFGYFAPEYAIVGKATLKSDVFSFGVVVLELITGRQPIQKSSNKTHESLVMWAKARLRDSTLVVSELPDPVLRGKFPEEEMQIMAHLARECLQWDPDSRPTMSEIVQILSIISPDKTKRRTLPASLFMAKQGSSSSSQSIKSIPESDRIDGGSLGNMERSCPSSVRWNARSSWPLAVDRYICKAPQNNAEMILSAEYMERLIFLTSKGNHSGRASDDGTVDLTEPRFESFVSSNIRSL >DRNTG_23626.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30204107:30207819:-1 gene:DRNTG_23626 transcript:DRNTG_23626.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMELNWKITLGFVFASFWFRSISCDEFGRLRWACICDPNPLEPQNYTPTINCSTSCDCVLDGERWNCSCSSEGSSPKTPGYIKDAGCFSACNCTSDMLESSTAAKKPFSNKAVIAVLLLCGLLTTIAVFASAACYCYKKDKLSVQTITTLSDKETSWNSRINLMSDQSGSFQGFPVKSPIIAGLFRWPSWLGSEKGAIPGTITQFSYVELDQATNKFSNDNLIGCGASSDVYYGKLRNGRAIAVKKLKPPAGQEDNDFLAEIEMLARLNHCHVVPLLGYCLESQGRQSVKLLVFEYLANGNLRDCLDAKGKEPIDWETRVAIAIGAAKGLEYLHEAAAPRILHRDIKSTNILLDDKYRAKITDLGMAKRLMADDITSCTNSPARMLGTFGYFAPEYAIVGKATLKSDVFSFGVVVLELITGRQPIQKSSNKTHESLVMWAKARLRDSTLVVSELPDPVLRGKFPEEEMQIMAHLARECLQWDPDSRPTMSEIVQILSIISPDKTKRRTLPASLFMAKQGSSSSSQSIKSIPESDRIDGGSLGNMERSCPSSVRWNARSSWPLAVDRYICKAPQNNAEMILSAEYMERLIFLTSKGNHSGRASDDGTVDLTEPRFESFVSSNIRSL >DRNTG_14649.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1239884:1245337:1 gene:DRNTG_14649 transcript:DRNTG_14649.3 gene_biotype:protein_coding transcript_biotype:protein_coding MREDYPQLQFEAAWALTNIASGTSDNTKVVIDHGAVPIFVKLLGSPSDDVREQAVWALGNVAGDSPRCRDLVLNHGALMPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPNFELTKPALPALERLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDVQTQYIINHQALPCLLNLLTHNHKKSIKKEACWTVSNITAGNKEQIQAVISAEIIGPLVHLLQTAEFDIKKEAAWAISNATSGGTHEQIKYLVSQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKNLGASGDVNIYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKILETYWLEEEDEMPTGDAPQPGFFTGEQPAAPPGGFNFG >DRNTG_14649.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1239305:1245337:1 gene:DRNTG_14649 transcript:DRNTG_14649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKGGGGGRTTWSRSGRAAGRRVFRRSVVRVCRPSSSLLPSMPSAIEKKLESLPAMVAGVYSDDSTMQLEATTQFRKLLSIERSPPIEEVIQSGVVPKFVEFLMREDYPQLQFEAAWALTNIASGTSDNTKVVIDHGAVPIFVKLLGSPSDDVREQAVWALGNVAGDSPRCRDLVLNHGALMPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPNFELTKPALPALERLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDVQTQYIINHQALPCLLNLLTHNHKKSIKKEACWTVSNITAGNKEQIQAVISAEIIGPLVHLLQTAEFDIKKEAAWAISNATSGGTHEQIKYLVSQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKNLGASGDVNIYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKILETYWLEEEDEMPTGDAPQPGFFTGEQPAAPPGGFNFG >DRNTG_14649.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1240583:1245337:1 gene:DRNTG_14649 transcript:DRNTG_14649.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPNFELTKPALPALERLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDVQTQYIINHQALPCLLNLLTHNHKKSIKKEACWTVSNITAGNKEQIQAVISAEIIGPLVHLLQTAEFDIKKEAAWAISNATSGGTHEQIKYLVSQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKNLGASGDVNIYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKILETYWLEEEDEMPTGDAPQPGFFTGEQPAAPPGGFNFG >DRNTG_14649.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1239681:1245337:1 gene:DRNTG_14649 transcript:DRNTG_14649.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGVYSDDSTMQLEATTQFRKLLSIERSPPIEEVIQSGVVPKFVEFLMREDYPQLQFEAAWALTNIASGTSDNTKVVIDHGAVPIFVKLLGSPSDDVREQAVWALGNVAGDSPRCRDLVLNHGALMPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPNFELTKPALPALERLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDVQTQYIINHQALPCLLNLLTHNHKKSIKKEACWTVSNITAGNKEQIQAVISAEIIGPLVHLLQTAEFDIKKEAAWAISNATSGGTHEQIKYLVSQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKNLGASGDVNIYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKILETYWLEEEDEMPTGDAPQPGFFTGEQPAAPPGGFNFG >DRNTG_30674.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1442144:1443467:-1 gene:DRNTG_30674 transcript:DRNTG_30674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCLAKDGKPLALRRGAWSEEEDSRLRRCLEKYGAIKWCDVPSKAGLNRCRKSCRLRWLNYLSPSIKRGRFEDDEEDLIIRLHKLLGNRWSLIAGRLPGRTANDIKNHWNTHLSKKSIAKEKLWNPKTIAKGTPSIKPREKKGEFKIIKPQPWTIPVNWSWLKDQPVHRGHLQDKSGINSTDLLPSSGNNNVSREESKTILPEKLDNIFLGIDDMTVGEAQTNFEVGYIGGIGDEQFFQQEDAEWAAFLLDMDL >DRNTG_30674.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1442144:1442650:-1 gene:DRNTG_30674 transcript:DRNTG_30674.3 gene_biotype:protein_coding transcript_biotype:protein_coding RWSLIAGRLPGRTANDIKNHWNTHLSKKSIAKEKLWNPKTIAKGTPSIKPREKKGEFKIIKPQPWTIPVNWSWLKDQPVHRGHLQDKSGINSTDLLPSSGNNNVSREESKTILPEKLDNIFLGIDDMTVGEAQTNFEVGYIGGIGDEQFFQQEDAEWAAFLLDMDL >DRNTG_30674.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1442144:1443101:-1 gene:DRNTG_30674 transcript:DRNTG_30674.2 gene_biotype:protein_coding transcript_biotype:protein_coding GLNRCRKSCRLRWLNYLSPSIKRGRFEDDEEDLIIRLHKLLGNRWSLIAGRLPGRTANDIKNHWNTHLSKKSIAKEKLWNPKTIAKGTPSIKPREKKGEFKIIKPQPWTIPVNWSWLKDQPVHRGHLQDKSGINSTDLLPSSGNNNVSREESKTILPEKLDNIFLGIDDMTVGEAQTNFEVGYIGGIGDEQFFQQEDAEWAAFLLDMDL >DRNTG_33992.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32059261:32060652:1 gene:DRNTG_33992 transcript:DRNTG_33992.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCL18 [Source:Projected from Arabidopsis thaliana (AT1G55580) UniProtKB/TrEMBL;Acc:A0A178WEV4] MLTSLDSHDDDDETTTTTTTTTTTTTQEHHHHHHHHHFLLPSPTHSRDLLIASADLIHRRQFPAALHALSVLSSSLSPLGDSSDRLTHQFTLALSAAAGAASSTISTHCAATYLSFNQVTPFLRFAHLTANQAILDAVGAHRHIHIVDFDILHGVQWPPFLQAIADRSDHLNPTFIRLTGTGTDIDVLRRTGDRLRSFAVSLGLTFHFQPLLLTPSSTPLPPPSLQLHPGEVLAINCTFFLHKLLNNNNSSSSSNNNESQSHVTLFLQSLKRMNPVVLTMAEIEANHNSPIFMQRFMEAMDYYSAVFESLEATLPPKSQERVVVEQEWFGKEISGIICGEQRHERFDRWEMTMKEAGFSIVPLSAFALSQAKVAS >DRNTG_17501.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19169928:19176593:-1 gene:DRNTG_17501 transcript:DRNTG_17501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLFNGYLEVPPPPCRRRRRASPSPCLSPACSSLSVPSGSSSPLSCSFAPRGHA >DRNTG_17501.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19176225:19176593:-1 gene:DRNTG_17501 transcript:DRNTG_17501.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLFNGYLEVPPPPCRRRRRASPSPCLSPACSSLSVPSGSSSPLSCSFAPRGHA >DRNTG_17501.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19169928:19176593:-1 gene:DRNTG_17501 transcript:DRNTG_17501.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALMTKVFIIVSSIVIILPCIIWLSLSFSFACKKKKKKKKYIYIYIYR >DRNTG_17501.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19169928:19176593:-1 gene:DRNTG_17501 transcript:DRNTG_17501.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLFNGYLEVPPPPCRRRRRASPSPCLSPACSSLSVPSGSSSPLSCSFAPRGHA >DRNTG_18692.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000971.1:3137:4624:1 gene:DRNTG_18692 transcript:DRNTG_18692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMWKTRSKLFLARPCSGRAHPGMLQKQKSKDLNDWKC >DRNTG_17365.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3053219:3078712:-1 gene:DRNTG_17365 transcript:DRNTG_17365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGSVPTKALPITFFQMTPDVEAVEPLQKPSQYRRNPSRSSIASWGKDGEKNTKIGAESALNRAGIRRLHGRGCSTCPCGISTRPYIISTRPYIISTRHVDSLFLWFLGRL >DRNTG_00488.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30617327:30627862:1 gene:DRNTG_00488 transcript:DRNTG_00488.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEALCEVLPSLQPIIVYFPDSSQWLSRAVPKVKRKEFLHKVEEMFDQLSGPVVLICGQNKLETGSKEKEKFTMVLPNLGRLARLPVPLKRLTEGLRSNKSSKDNEIYRLFTNTICIHPPKEEEIFRTYNKQLEEDRRIIISRSNVVELHKVLEEHDLSCAELLHVDTDGVILTKQKAERVVGWARNHYLSSCVLPSVKGDRLMVPRESMEIAISRLKEQESVSRKPSQSLKSLAKDEYESNFISAVVSPDEIGVKFDDIGALEDVKTTLSELVTLPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSALTSKWFGDAEKLTKALFSFASRLSPVIIFVDEVDSLLGARGGSFEHEATRRMRNEFMAAWDGLRSKDNQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAENRMKILKIFLSKESMDSNFKLEELAYATEGYSGSDLKNLCIAAAYRPVQELLEEEKKQGKTSTTPPSLRPLNLDDFIQAKSKVGASVAYDATSMNELRKWNEQYGEGGSRQKSPFGFGN >DRNTG_00488.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30614171:30627862:1 gene:DRNTG_00488 transcript:DRNTG_00488.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEALCEVLPSLQPIIVYFPDSSQWLSRAVPKVKRKEFLHKVEEMFDQLSGPVVLICGQNKLETGSKEKEKFTMVLPNLGRLARLPVPLKRLTEGLRSNKSSKDNEIYRLFTNTICIHPPKEEEIFRTYNKQLEEDRRIIISRSNVVELHKVLEEHDLSCAELLHVDTDGVILTKQKAERVVGWARNHYLSSCVLPSVKGDRLMVPRESMEIAISRLKEQESVSRKPSQSLKSLAKDEYESNFISAVVSPDEIGVKFDDIGALEDVKTTLSELVTLPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSALTSKWFGDAEKLTKALFSFASRLSPVIIFVDEVDSLLGARGGSFEHEATRRMRNEFMAAWDGLRSKDNQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAENRMKILKIFLSKESMDSNFKLEELAYATEGYSGSDLKNLCIAAAYRPVQELLEEEKKQGKTSTTPPSLRPLNLDDFIQAKSKVGASVAYDATSMNELRKWNEQYGEGGSRQKSPFGFGN >DRNTG_00488.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30612500:30627862:1 gene:DRNTG_00488 transcript:DRNTG_00488.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKYIDFSPTLFAFILQSFFYYLQEEEIFRTYNKQLEEDRRIIISRSNVVELHKVLEEHDLSCAELLHVDTDGVILTKQKAERVVGWARNHYLSSCVLPSVKGDRLMVPRESMEIAISRLKEQESVSRKPSQSLKSLAKDEYESNFISAVVSPDEIGVKFDDIGALEDVKTTLSELVTLPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSALTSKWFGDAEKLTKALFSFASRLSPVIIFVDEVDSLLGARGGSFEHEATRRMRNEFMAAWDGLRSKDNQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAENRMKILKIFLSKESMDSNFKLEELAYATEGYSGSDLKNLCIAAAYRPVQELLEEEKKQGKTSTTPPSLRPLNLDDFIQAKSKVGASVAYDATSMNELRKWNEQYGEGGSRQKSPFGFGN >DRNTG_00488.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30623409:30627862:1 gene:DRNTG_00488 transcript:DRNTG_00488.13 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRESMEIAISRLKEQESVSRKPSQSLKSLAKDEYESNFISAVVSPDEIGVKFDDIGALEDVKTTLSELVTLPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSALTSKWFGDAEKLTKALFSFASRLSPVIIFVDEVDSLLGARGGSFEHEATRRMRNEFMAAWDGLRSKDNQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAENRMKILKIFLSKESMDSNFKLEELAYATEGYSGSDLKNLCIAAAYRPVQELLEEEKKQGKTSTTPPSLRPLNLDDFIQAKSKVGASVAYDATSMNELRKWNEQYGEGGSRQKSPFGFGN >DRNTG_00488.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30619708:30627862:1 gene:DRNTG_00488 transcript:DRNTG_00488.11 gene_biotype:protein_coding transcript_biotype:protein_coding MFDQLSGPVVLICGQNKLETGSKEKEKFTMVLPNLGRLARLPVPLKRLTEGLRSNKSSKDNEIYRLFTNTICIHPPKEEEIFRTYNKQLEEDRRIIISRSNVVELHKVLEEHDLSCAELLHVDTDGVILTKQKAERVVGWARNHYLSSCVLPSVKGDRLMVPRESMEIAISRLKEQESVSRKPSQSLKSLAKDEYESNFISAVVSPDEIGVKFDDIGALEDVKTTLSELVTLPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSALTSKWFGDAEKLTKALFSFASRLSPVIIFVDEVDSLLGARGGSFEHEATRRMRNEFMAAWDGLRSKDNQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAENRMKILKIFLSKESMDSNFKLEELAYATEGYSGSDLKNLCIAAAYRPVQELLEEEKKQGKTSTTPPSLRPLNLDDFIQAKSKVGASVAYDATSMNELRKWNEQYGEGGSRQKSPFGFGN >DRNTG_00488.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30612500:30622172:1 gene:DRNTG_00488 transcript:DRNTG_00488.10 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKRLKIKRQNLDLLFQRSYSFYGEANRGVSRAASCGNTIYRNHASSKNCTRNFNLFSVSLPIRNYIGSCRRHQVTFSYGSLRLYSSDGDGRNASEDKHVPVKGPTDFEKGKGIRGEVLVESKRSDEHARLGKQDQQDWLECEKLFIDNRKKESPFLTKRDRFKSEFLRRVIPWEKITVSWETFPYFIHDHTKKLLVECAASHLKHKSFTSSYGARLPSSSGRILLQSIPGTELYRERLVRALARGLQVPLLVLDSTALAPYDFSQEWEAESETEDENEDSGEESTSELEAEDENEASNEEEWGSSNEAKSEESDDSDHVDVQAAAETLKKLGVTSLEEFAKRVSGEVDGTSASGQSESVETSNQSKRPLRKGDRVKYVGASVHIEVDNRIILGKIPTSDGSTNAYTFISGRPLSNGQRGEVYEVNGDQVAVILDNSGNKPEEGSENEKSKEHCSKSPIYWIDIQDIVHDLDTQAEDWHMAMEALCEVLPSLQPIIVYFPDSSQWLSRAVPKVKRKEFLHKVEEMFDQLSGPVVLICGQNKLETGSKEKEKFTMVLPNLGRLARLPVPLKRLTEGLRSNKSSKDNEIYRLFTNTICIHPPKSIPLASSLPRRLYLLFVPSHDLPFPPATTLVWLVTLSST >DRNTG_00488.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30612500:30627862:1 gene:DRNTG_00488 transcript:DRNTG_00488.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKRLKIKRQNLDLLFQRSYSFYGEANRGVSRAASCGNTIYRNHASSKNCTRNFNLFSVSLPIRNYIGSCRRHQVTFSYGSLRLYSSDGDGRNASEDKHVPVKGPTDFEKGKGIRGEVLVESKRSDEHARLGKQDQQDWLECEKLFIDNRKKESPFLTKRDRFKSEFLRRVIPWEKITVSWETFPYFIHDHTKKLLVECAASHLKHKSFTSSYGARLPSSSGRILLQSIPGTELYRERLVRALARGLQVPLLVLDSTALAPYDFSQEWEAESETEDENEDSGEESTSELEAEDENEASNEEEWGSSNEAKSEESDDSDHVDVQAAAETLKKLGVTSLEEFAKRVSGEVDGTSASGQSESVETSNQSKRPLRKGDRVKYVGASVHIEVDNRIILGKIPTSDGSTNAYTFISGRPLSNGQRGEVYEVNGDQVAVILDNSGNKPEEGSENEKSKEHCSKSPIYWIDIQDIVHDLDTQAEDWHMAMEALCEVLPSLQPIIVYFPDSSQWLSRAVPKVKRKEFLHKVEEMFDQLSGPVVLICGQNKLETGSKEKEKFTMVLPNLGRLARLPVPLKRLTEGLRSNKSSKDNEIYRLFTNTICIHPPKEEEIFRTYNKQLEEDRRIIISRSNVVELHKVLEEHDLSCAELLHVDTDGVILTKQKAERVVGWARNHYLSSCVLPSVKGDRLMVPRESMEIAISRLKEQESVSRKPSQSLKSLAKDEYESNFISAVVSPDEIGVKFDDIGALEDVKTTLSELVTLPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSALTSKWFGDAEKLTKALFSFASRLSPVIIFVDEVDSLLGARGGSFEHEATRRMRNEFMAAWDGLRSKDNQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAENRMKILKIFLSKESMDSNFKLEELAYATEGYSGSDLKNLCIAAAYRPVQELLEEEKKQGKTSTTPPSLRPLNLDDFIQAKSKVGASVAYDATSMNELRKWNEQYGEGGSRQKSPFGFGN >DRNTG_00488.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30623736:30627862:1 gene:DRNTG_00488 transcript:DRNTG_00488.14 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRESMEIAISRLKEQESVSRKPSQSLKSLAKDEYESNFISAVVSPDEIGVKFDDIGALEDVKTTLSELVTLPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSALTSKWFGDAEKLTKALFSFASRLSPVIIFVDEVDSLLGARGGSFEHEATRRMRNEFMAAWDGLRSKDNQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAENRMKILKIFLSKESMDSNFKLEELAYATEGYSGSDLKNLCIAAAYRPVQELLEEEKKQGKTSTTPPSLRPLNLDDFIQAKSKVGASVAYDATSMNELRKWNEQYGEGGSRQKSPFGFGN >DRNTG_00488.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30612500:30627862:1 gene:DRNTG_00488 transcript:DRNTG_00488.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKRLKIKRQNLDLLFQRSYSFYGEANRGVSRAASCGNTIYRNHASSKNCTRNFNLFSVSLPIRNYIGSCRRHQVTFSYGSLRLYSSDGDGRNASEDKHVPVKGPTDFEKGKGIRGEVLVESKRSDEHARLGKQDQQDWLECEKLFIDNRKKESPFLTKRDRFKSEFLRRVIPWEKITVSWETFPYFIHDHTKKLLVECAASHLKHKSFTSSYGARLPSSSGRILLQSIPGTELYRERLVRALARGLQVPLLVLDSTALAPYDFSQEWEAESETEDENEDSGEESTSELEAEDENEASNEEEWGSSNEAKSEESDDSDHVDVQAAAETLKKLGVTSLEEFAKRVSGEVDGTSASGQSESVETSNQSKRPLRKGDRVKYVGASVHIEVDNRIILGKIPTSDGSTNAYTFISGRPLSNGQRGEVYEVNGDQVAVILDNSGNKPEEGSENEKSKEHCSKSPIYWIDIQDIVHDLDTQAEDWHMAMEALCEVLPSLQPIIVYFPDSSQWLSRAVPKVKRKEFLHKVEEMFDQLSGPVVLICGQNKLETGSKEKEKFTMVLPNLGRLARLPVPLKRLTEGLRSNKSSKDNEIYRLFTNTICIHPPKEEEIFRTYNKQLEEDRRIIISRSNVVELHKVLEEHDLSCAELLHVDTDGVILTKQKAERVVGWARNHYLSSCVLPSVKGDRLMVPRESMEIAISRLKEQESVSRKPSQSLKSLAKDEYESNFISAVVSPDEIGVKFDDIGALEDVKTTLSELVTLPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSALTSKWFGDAEKLTKALFSFASRLSPVIIFVDEVDSLLGARGGSFEHEATRRMRNEFMAAWDGLRSKDNQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAENRMKILKIFLSKESMDSNFKLEELAYATEGYSGSDLKNLCIAAAYRPVQELLEEEKKQGKTSTTPPSLRPLNLDDFIQAKSKVGASVAYDATSMNELRKWNEQYGEGGSRQKSPFGFGN >DRNTG_00488.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30612500:30627862:1 gene:DRNTG_00488 transcript:DRNTG_00488.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKRLKIKRQNLDLLFQRSYSFYGEANRGVSRAASCGNTIYRNHASSKNCTRNFNLFSVSLPIRNYIGSCRRHQVTFSYGSLRLYSSDGDGRNASEDKHVPVKGPTDFEKGKGIRGEVLVESKRSDEHARLGKQDQQDWLECEKLFIDNRKKESPFLTKRDRFKSEFLRRVIPWEKITVSWETFPYFIHDHTKKLLVECAASHLKHKSFTSSYGARLPSSSGRILLQSIPGTELYRERLVRALARGLQVPLLVLDSTALAPYDFSQEWEAESETEDENEDSGEESTSELEAEDENEASNEEEWGSSNEAKSEESDDSDHVDVQAAAETLKKLGVTSLEEFAKRVSGEVDGTSASGQSESVETSNQSKRPLRKGDRVKYVGASVHIEVDNRPLSNGQRGEVYEVNGDQVAVILDNSGNKPEEGSENEKSKEHCSKSPIYWIDIQDIVHDLDTQAEDWHMAMEALCEVLPSLQPIIVYFPDSSQWLSRAVPKVKRKEFLHKVEEMFDQLSGPVVLICGQNKLETGSKEKEKFTMVLPNLGRLARLPVPLKRLTEGLRSNKSSKDNEIYRLFTNTICIHPPKEEEIFRTYNKQLEEDRRIIISRSNVVELHKVLEEHDLSCAELLHVDTDGVILTKQKAERVVGWARNHYLSSCVLPSVKGDRLMVPRESMEIAISRLKEQESVSRKPSQSLKSLAKDEYESNFISAVVSPDEIGVKFDDIGALEDVKTTLSELVTLPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSALTSKWFGDAEKLTKALFSFASRLSPVIIFVDEVDSLLGARGGSFEHEATRRMRNEFMAAWDGLRSKDNQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAENRMKILKIFLSKESMDSNFKLEELAYATEGYSGSDLKNLCIAAAYRPVQELLEEEKKQGKTSTTPPSLRPLNLDDFIQAKSKVGASVAYDATSMNELRKWNEQYGEGGSRQKSPFGFGN >DRNTG_00488.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30617327:30627862:1 gene:DRNTG_00488 transcript:DRNTG_00488.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRESMEIAISRLKEQESVSRKPSQSLKSLAKDEYESNFISAVVSPDEIGVKFDDIGALEDVKTTLSELVTLPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSALTSKWFGDAEKLTKALFSFASRLSPVIIFVDEVDSLLGARGGSFEHEATRRMRNEFMAAWDGLRSKDNQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAENRMKILKIFLSKESMDSNFKLEELAYATEGYSGSDLKNLCIAAAYRPVQELLEEEKKQGKTSTTPPSLRPLNLDDFIQAKSKVGASVAYDATSMNELRKWNEQYGEGGSRQKSPFGFGN >DRNTG_00488.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30612500:30627862:1 gene:DRNTG_00488 transcript:DRNTG_00488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKRLKIKRQNLDLLFQRSYSFYGEANRGVSRAASCGNTIYRNHASSKNCTRNFNLFSVSLPIRNYIGSCRRHQVTFSYGSLRLYSSDGDGRNASEDKHVPVKGPTDFEKGKGIRGEVLVESKRSDEHARLGKQDQQDWLECEKLFIDNRKKESPFLTKRDRFKSEFLRRVIPWEKITVSWETFPYFIHDHTKKLLVECAASHLKHKSFTSSYGARLPSSSGRILLQSIPGTELYRERLVRALARGLQVPLLVLDSTALAPYDFSQEWEAESETEDENEDSGEESTSELEAEDENEASNEEEWGSSNEAKSEESDDSDHVDVQAAAETLKKLGVTSLEEFAKRVSGEVDGTSASGQSESVETSNQSKRPLRKGDRVKYVGASVHIEVDNRPLSNGQRGEVYEVNGDQVAVILDNSGNKPEEGSENEKSKEHCSKSPIYWIDIQDIVHDLDTQAEDWHMAMEALCEVLPSLQPIIVYFPDSSQWLSRAVPKVKRKEFLHKVEEMFDQLSGPVVLICGQNKLETGSKEKEKFTMVLPNLGRLARLPVPLKRLTEGLRSNKSSKDNEIYRLFTNTICIHPPKEEEIFRTYNKQLEEDRRIIISRSNVVELHKVLEEHDLSCAELLHVDTDGVILTKQKAERVVGWARNHYLSSCVLPSVKGDRLMVPRESMEIAISRLKEQESVSRKPSQSLKSLAKDEYESNFISAVVSPDEIGVKFDDIGALEDVKTTLSELVTLPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSALTSKWFGDAEKLTKALFSFASRLSPVIIFVDEVDSLLGARGGSFEHEATRRMRNEFMAAWDGLRSKDNQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAENRMKILKIFLSKESMDSNFKLEELAYATEGYSGSDLKNLCIAAAYRPVQELLEEEKKQGKTSTTPPSLRPLNLDDFIQAKSKVGASVAYDATSMNELRKWNEQYGEGGSRQKSPFGFGN >DRNTG_26102.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20804043:20806621:1 gene:DRNTG_26102 transcript:DRNTG_26102.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDECLLSGASIQNFSTSSTNLSNDSAAVKKELKQTKSNQKGKRSLPRSLSTPAKQNHLANKGPKSAFEFVDDLSRQNAQCNIKTETVALAASEKPFNKFKDSAAIENSSLMHPRFLETFCTTSCKKGSDQTMVTEDSTIEYHTSSAGNRNTCKNEILHSTVVETRSQLTDGSSFPLFSNTIFSENLPENASIQETTPERQTCAEVCGTRAESNSVNSHSQKRRKLLDSQLSSCTKMVQLSSLDAESCCQAGNIRSLSRFTNEVTGSPHADNPSKLIFQKSKQSIFSEIHPSTLCLLSDQNTEEMLNICCSICKNSLGIADNNYLVPCSLAMSSKSFVAYILENGPTSICFSQGLLQNHGRTIHVVITDVSSVNQCLFKRCVQGALHDIWSEEDGCVFRILFCPFCVVSTPTCLGLQIMAANSTNIHLLNKVMFYADFLDIQMEESKREALLPDSTTKFSPDSGPVQIEKYAYIPQMHSFGPLQATKSKLKLPKREQVHSENLEIVHVSD >DRNTG_26102.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20799827:20806621:1 gene:DRNTG_26102 transcript:DRNTG_26102.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFTSELGVQFESCLEAPHVIDINSQLWAAVISCGPGNYQLNASYKTASAYGFQDALGASLEEICEIVPGGALVFFPSYKLLEKLRIRWCQTGQWHRLNAKKSVFVEPRGSADDFDSVLKGYYDSINGKRRTSGRFKHNPKKGIQHPSANETEQSLANGAAFLAVCRGKVSEGIDFSDDSARVVVIVGIPFPNINDVQVVLKKRYNDTYKSSKNLISGSEWYCHQAFRALNQAAGRCIRHRHDYGAIILLDERFKEERNLTYISKWLRKSIKQYDSFDKSLQGLQAFFENAEKQFGHKQREMLQDECLLSGASIQNFSTSSTNLSNDSAAVKKELKQTKSNQKGKRSLPRSLSTPAKQNHLANKGPKSAFEFVDDLSRQNAQCNIKTETVALAASEKPFNKFKDSAAIENSSLMHPRFLETFCTTSCKKGSDQTMVTEDSTIEYHTSSAGNRNTCKNEILHSTVVETRSQLTDGSSFPLFSNTIFSENLPENASIQETTPERQTCAEVCGTRAESNSVNSHSQKRRKLLDSQLSSCTKMVQLSSLDAESCCQAGNIRSLSRFTNEVTGSPHADNPSKLIFQKSKQSIFSEIHPSTLCLLSDQNTEEMLNICCSICKNSLGIADNNYLVPCSLAMSSKSFVAYILENGPTSICFSQGLLQNHGRTIHVVITDVSSVNQCLFKRCVQGALHDIWSEEDGCVFRILFCPFCVVSTPTCLGLQIMAANSTNIHLLNKVMFYADFLDIQMEESKREALLPDSTTKFSPDSGPVQIEKYAYIPQMHSFGPLQATKSKLKLPKREQVHSENLEIVHVSD >DRNTG_26102.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20796642:20798402:1 gene:DRNTG_26102 transcript:DRNTG_26102.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTIYYASRTHSQIAQVVRELRKTSYRVPMAVLASRKHYCTNKNVCSGGKGNVDEDCKLLLKDSNAGCLQFKNAHKVKSHPSLQKGGCNEVHDIEDLVKVGRAVKGCSYFAAQTLAEEAQLVFCPYSYVMSPIVRRAMNIDIKGSILILDEAHNIEDMARDSGSVDVEEDVLHSLQTELGPTLHG >DRNTG_26102.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20803052:20806621:1 gene:DRNTG_26102 transcript:DRNTG_26102.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDECLLSGASIQNFSTSSTNLSNDSAAVKKELKQTKSNQKGKRSLPRSLSTPAKQNHLANKGPKSAFEFVDDLSRQNAQCNIKTETVALAASEKPFNKFKDSAAIENSSLMHPRFLETFCTTSCKKGSDQTMVTEDSTIEYHTSSAGNRNTCKNEILHSTVVETRSQLTDGSSFPLFSNTIFSENLPENASIQETTPERQTCAEVCGTRAESNSVNSHSQKRRKLLDSQLSSCTKMVQLSSLDAESCCQAGNIRSLSRFTNEVTGSPHADNPSKLIFQKSKQSIFSEIHPSTLCLLSDQNTEEMLNICCSICKNSLGIADNNYLVPCSLAMSSKSFVAYILENGPTSICFSQGLLQNHGRTIHVVITDVSSVNQCLFKRCVQGALHDIWSEEDGCVFRILFCPFCVVSTPTCLGLQIMAANSTNIHLLNKVMFYADFLDIQMEESKREALLPDSTTKFSPDSGPVQIEKYAYIPQMHSFGPLQATKSKLKLPKREQVHSENLEIVHVSD >DRNTG_26102.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20796169:20796560:1 gene:DRNTG_26102 transcript:DRNTG_26102.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPTTPTSNPNPSPTESPKLYQIGGVPVEFPYKPYGTQLVFMGRVIATLDRARRQGHCHALLESPTGTGKSLSLLCSTLAWQQHQLRRPIPGPSASIPLDPLVNGGGFIPEPDPS >DRNTG_26102.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20796169:20806621:1 gene:DRNTG_26102 transcript:DRNTG_26102.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGIISWINDRKNSLQKHEFEHYSSYWTGDKAIAELQLAGITLQCFPVLQECATKAIKAASDVESDEVHLSGISAITLDALFSSLNYFFSGNGRHALDYQLALQRYVKRDSGNAASGWTCSVSLWCLNPAVVFKEIADFSLSVILTSGTLSPMGSFTSELGVQFESCLEAPHVIDINSQLWAAVISCGPGNYQLNASYKTASAYGFQDALGASLEEICEIVPGGALVFFPSYKLLEKLRIRWCQTGQWHRLNAKKSVFVEPRGSADDFDSVLKGYYDSINGKRRTSGRFKHNPKKGIQHPSANETEQSLANGAAFLAVCRGKVSEGIDFSDDSARVVVIVGIPFPNINDVQVVLKKRYNDTYKSSKNLISGSEWYCHQAFRALNQAAGRCIRHRHDYGAIILLDERFKEERNLTYISKWLRKSIKQYDSFDKSLQGLQAFFENAEKQFGHKQREMLQDECLLSGASIQNFSTSSTNLSNDSAAVKKELKQTKSNQKGKRSLPRSLSTPAKQNHLANKGPKSAFEFVDDLSRQNAQCNIKTETVALAASEKPFNKFKDSAAIENSSLMHPRFLETFCTTSCKKGSDQTMVTEDSTIEYHTSSAGNRNTCKNEILHSTVVETRSQLTDGSSFPLFSNTIFSENLPENASIQETTPERQTCAEVCGTRAESNSVNSHSQKRRKLLDSQLSSCTKMVQLSSLDAESCCQAGNIRSLSRFTNEVTGSPHADNPSKLIFQKSKQSIFSEIHPSTLCLLSDQNTEEMLNICCSICKNSLGIADNNYLVPCSLAMSSKSFVAYILENGPTSICFSQGLLQNHGRTIHVVITDVSSVNQCLFKRCVQGALHDIWSEEDGCVFRILFCPFCVVSTPTCLGLQIMAANSTNIHLLNKVMFYADFLDIQMEESKREALLPDSTTKFSPDSGPVQIEKYAYIPQMHSFGPLQATKSKLKLPKREQVHSENLEIVHVSD >DRNTG_26102.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20800686:20802528:1 gene:DRNTG_26102 transcript:DRNTG_26102.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFTSELGVQFESCLEAPHVIDINSQLWAAVISCGPGNYQLNASYKTASAYGFQDALGASLEEICEIVPGGALVFFPSYKLLEKLRIRWCQTGQWHRLNAKKSVFVEPRGSADDFDSVLKGYYDSINGKRRTSGRFKHNPKKGIQHPSANETEQSLANGAAFLAVCRGKVSEGIDFSDDSARVV >DRNTG_26102.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20800686:20806621:1 gene:DRNTG_26102 transcript:DRNTG_26102.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFTSELGVQFESCLEAPHVIDINSQLWAAVISCGPGNYQLNASYKTASAYGFQDALGASLEEICEIVPGGALVFFPSYKLLEKLRIRWCQTGQWHRLNAKKSVFVEPRGSADDFDSVLKGYYDSINGKRRTSGRFKHNPKKGIQHPSANETEQSLANGAAFLAVCRGKVSEGIDFSDDSARVVVIVGIPFPNINDVQVVLKKRYNDTYKSSKNLISGSEWYCHQAFRALNQAAGRCIRHRHDYGAIILLDERFKEERNLTYISKWLRKSIKQYDSFDKSLQGLQAFFENAEKQFGHKQREMLQDECLLSGASIQNFSTSSTNLSNDSAAVKKELKQTKSNQKGKRSLPRSLSTPAKQNHLANKGPKSAFEFVDDLSRQNAQCNIKTETVALAASEKPFNKFKDSAAIENSSLMHPRFLETFCTTSCKKGSDQTMVTEDSTIEYHTSSAGNRNTCKNEILHSTVVETRSQLTDGSSFPLFSNTIFSENLPENASIQETTPERQTCAEVCGTRAESNSVNSHSQKRRKLLDSQLSSCTKMVQLSSLDAESCCQAGNIRSLSRFTNEVTGSPHADNPSKLIFQKSKQSIFSEIHPSTLCLLSDQNTEEMLNICCSICKNSLGIADNNYLVPCSLAMSSKSFVAYILENGPTSICFSQGLLQNHGRTIHVVITDVSSVNQCLFKRCVQGALHDIWSEEDGCVFRILFCPFCVVSTPTCLGLQIMAANSTNIHLLNKVMFYADFLDIQMEESKREALLPDSTTKFSPDSGPVQIEKYAYIPQMHSFGPLQATKSKLKLPKREQVHSENLEIVHVSD >DRNTG_26102.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20796642:20797633:1 gene:DRNTG_26102 transcript:DRNTG_26102.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTIYYASRTHSQIAQVVRELRKTSYRVPMAVLASRKHYCTNKNVCSGGKGNVDEDCKLLLKDSNAGCLQFKNAHKVKSHPSLQKGGCNEVHDIEDLVKVGRAVK >DRNTG_02406.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000113.1:75386:88125:-1 gene:DRNTG_02406 transcript:DRNTG_02406.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine N-methyltransferase 1.5 [Source:Projected from Arabidopsis thaliana (AT4G31120) UniProtKB/Swiss-Prot;Acc:Q8GWT4] MPLGQRAGDKSESRYCGIETEFNDDMPQVLHQNISGGFDFVVAPLIDPSYRPSSAKNDGNGTLIPPFAASDLILSPSQWSSHVVGKISTWIDLDSENAELRRDSEDALKAEIAWASHLSLQACILPPPRATGCSNYARTVNQLLQNVNNMQLWIRLPLEQHEAVEDDAIDTNFKTSSGGRMFDSWEWWNTFRLLCEHHNQLCVTLDILSSLPSINSIGRWFGEPVRAAIIHTNAFLTNARGYPCLSKRHQYLIKSFFNHTIQIILSGNLVHDISQERILPAGDDNHFEGLPIRHALRPYLDYVAYLYQSMDPLPEQERFEVGYRDFLQSPLQPLMDNLEAQTYETFEKDTVKYTQYQRAICKALLDRIPDEKATTSTTVLMVVGAGRGPLVRASLQAAEETGRKLKVFAVEKNPNAVITLHSLVKLEGWENIVTIISSDMRCWNAPEKADILVSELLGSFGDNELSPECLDGAQRFLKPDGISIPSSYTSYLQPITTTKLYNDVKAHKDLAHFETAYVVKLHRVARLAPPLEVFTFTHPNCSASINNERYEKLLFEIPADTGSTLVHGFAGYFDSILYKDVHLGILPSKATPNMFSWFPIFFPLRKPIYVPAGSPVEVHMWRCCAATKVWYEWCVSSPSVSPVHNTNGRSYWVGL >DRNTG_02406.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000113.1:75386:88125:-1 gene:DRNTG_02406 transcript:DRNTG_02406.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine N-methyltransferase 1.5 [Source:Projected from Arabidopsis thaliana (AT4G31120) UniProtKB/Swiss-Prot;Acc:Q8GWT4] MPLGQRAGDKSESRYCGIETEFNDDMPQVLHQNISGGFDFVVAPLIDPSYRPSSAKNDGNGTLIPPFAASDLILSPSQWSSHVVGKISTWIDLDSENAELRRDSEDALKAEIAWASHLSLQACILPPPRATGCSNYARTVNQLLQNVNNMQLWIRLPLEQHEAVEDDAIDTNFKTSSGGRMFDSWEWWNTFRLLCEHHNQLCVTLDILSSLPSINSIGRWFGEPVRAAIIHTNAFLTNARGYPCLSKRHQYLIKSFFNHTIQIILSGNLVHDISQERILPAGDDNHFEGLPIRHALRPYLDYVAYLYQSMDPLPEQERFEVGYRDFLQSPLQPLMDNLEAQTYETFEKDTVKYTQYQRAICKALLDRIPDEKATTSTTVLMVVGAGRGPLVRASLQAAEETGRKLKVFAVEKNPNAVITLHSLVKLEGWENIVTIISSDMRCWNAPEKADILVSELLGSFGDNELSPECLDGAQRFLKPDGISIPSSYTSYLQPITTTKLYNDVKAHKDLAHFETAYVVKLHRVARLAPPLEVFTFTHPNCSASINNERYEKLLFEIPADTGSTLVHGFAGYFDSILYKDVHLGILPSKATPNMFSWFPIFFPLRKPIYVPAGSPVEVHMWRCCAATKVWYEWCVSSPSVSPVHNTNGRSYWVGL >DRNTG_03826.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4606549:4609168:-1 gene:DRNTG_03826 transcript:DRNTG_03826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKQLNIVLVNYRDSSQSQIQLEQSSSQSQNQHPHPQQQREGSTSMSLGENQAFVEASQLQLEQQASTKPLSGTQKSRIIRGPTRMLDVWEMNAEKRIVVQLNAKYIPIGDESCTLSRFIGSIIRKFSFAPIDYTSWKEMPIKKKISEVWDVVESKDANGIPPSRAQMYILSRTKKDGTIVSEKEVEVVEQMKIHMNDSSDSSNKNGWSWENDVYAKVKGLEKKGCVRCDGDVYASSSKSGSSTQRNEVLIFISPVRSEISYVSATIHGSLSKIISGYLLLEFTLE >DRNTG_23914.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3130006:3132291:1 gene:DRNTG_23914 transcript:DRNTG_23914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSKYLYTLCVFDPEKADKLKQSLPPGLSVQDL >DRNTG_24871.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20112760:20113788:1 gene:DRNTG_24871 transcript:DRNTG_24871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIDASTTRDSGKTAPESGHHPPPPLVNFFGVDFVLRFFLFASTISALIVMVTSKQTKLIPTSLSPPFPAYVSRAAKFDHSPAFIYMVVALAVTCFYSIITMFTSAFVISNPFPSTKMLFNMILFDALMAGIMASATGATGGVAYIGLKGNSHVNWNKICNFYDKFCRHIGASALVSLIASIILVILVIISSYSLYRRSR >DRNTG_35155.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1272986:1274307:-1 gene:DRNTG_35155 transcript:DRNTG_35155.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRHYAILNFTCIEMKDSEQPATAKSGPEELVQQVLSGAWREEIEVACENALNRYDKDAYNQMLRNARPNGVNKNGPPKHRITSLTYLRLGDDLLKSSNFSLFKVFVRRMHANLDFNPNPENYFKPIVPLKSSKPEFSMEYILEATGPLEPFPFDDETDVPVDGGFAYWIKQVFAKLISLFK >DRNTG_35155.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1272986:1273945:-1 gene:DRNTG_35155 transcript:DRNTG_35155.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRHYAILNFTCIEMKDSEQPATAKSGPEELVQQVLSGAWREEIEVACENALNRYDKDAYNQMLRNARPNGVNKNGPPKHRITSLTYLRLGDDLLKSSNFSLFKVFVRRMHANLDFNPNPENYFKPIVPLKSSKPEFSMEYILEATGPLEPFPFDDETDVPVDGGFAYWIKQVFAKLISLFK >DRNTG_35155.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1272986:1275247:-1 gene:DRNTG_35155 transcript:DRNTG_35155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLGVISSDNVFENPEELRKQLKKLKSAAVDGVMMDVWWGLIESKPRSYDWTAYRKLFQMVKEEGLRLQAIMSFHQCGGNVGDVVYIPIPQWVRDIGGDNPDIFYTNRMGTRNPEYLSLGVDNQPLFGGRTAVELYSDYMRSFRKAMSDFLEEGLITDIEVGLGPSERRDTLHIQRLRDGSSQELENFSVMTSISKQTSKKLQQRQATLNGSCLMMQESITTPEATGFLEQTERTLQRKGSFS >DRNTG_22503.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001243.1:62185:67174:-1 gene:DRNTG_22503 transcript:DRNTG_22503.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDSLINDFLPSDMQRNYRFFFMFVFSTTLLCIYVFGFCWVYIKKIMDAEHTNIWRAMLKTPASIALIIYTFISVWFVGGLSVFHLYLISGNQTTYENFRYRYDRRDNPYNRGLVVNFKEVFFTSIPPPKSKFRARVPPDEGMKSSSMKSSSFSEGFISPSMGKAARDLGMGVKPMTWGEARTMGDLEAVMSNDAAEDKDSRINNASPDPMQRISSEGLEGQALMQPRRSSWGRKSGSWDISPDIMTLASGIGDSNRLSSDSSRRASINYSNR >DRNTG_22503.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001243.1:62185:67174:-1 gene:DRNTG_22503 transcript:DRNTG_22503.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVAPPPQDTDPVAAAMARGGSPRVYEVWRGSNVFFLGGRFIFGPDVRSLFLTIFLIVVPVAVFCVFVARKLMNHFPHHLGISIMVVAVAFTLYDLTLLLLTSGRDPGIIPRNMHPPEPDAVEGSSDVGGEQTPQFRIPRMKDVTVNGITVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGRVSCILFH >DRNTG_22503.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001243.1:62185:67174:-1 gene:DRNTG_22503 transcript:DRNTG_22503.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVAPPPQDTDPVAAAMARGGSPRVYEVWRGSNVFFLGGRFIFGPDVRSLFLTIFLIVVPVAVFCVFVARKLMNHFPHHLGISIMVVAVAFTLYDLTLLLLTSGRDPGIIPRNMHPPEPDAVEGSSDVGGEQTPQFRIPRMKDVTVNGITVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGRRNYRFFFMFVFSTTLLCIYVFGFCWVYIKKIMDAEHTNIWRAMLKTPASIALIIYTFISVWFVGGLSVFHLYLISGNQTTYENFRYRYDRRDNPYNRGLVVNFKEVFFTSIPPPKSKFRARVPPDEGMKSSSMKSSSFSEGFISPSMGKAARDLGMGVKPMTWGEARTMGDLEAVMSNDAAEDKDSRINNASPDPMQRISSEGLEGQALMQPRRSSWGRKSGSWDISPDIMTLASGIGDSNRLSSDSSRRASINYSNR >DRNTG_21076.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20041159:20047188:-1 gene:DRNTG_21076 transcript:DRNTG_21076.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defective in cullin neddylation protein [Source:Projected from Arabidopsis thaliana (AT3G28970) UniProtKB/TrEMBL;Acc:Q9MBG8] MGFPLCGRADIFEIYATYCDIVSQHNHLSAREPLVMLSKSLDSRWPSRDAIFNDLVKLMACLDLSADSLKFNCFYDFVFFVCRENGQKSITVSRAITAWRIVLTGRFRLLNQWCEFIEKYQRYNISEDAWRQLLAFSRCVNEDLDGYDPKGAWPVLIDDFVEHMYRINQSKCSTIDPCCSCSDMDTQPSISSTFRGLNLLPGSKRKSLTDTEGGNVAVLNSDGMARPDKRLKPDCDSNIVQHWEVDSAMSGSIEETTDDQYGGNMVNKPSVMICMHSACAVEDSLSKGLEGHLSIGCCLPTTHKLGFFL >DRNTG_21076.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20041159:20047188:-1 gene:DRNTG_21076 transcript:DRNTG_21076.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defective in cullin neddylation protein [Source:Projected from Arabidopsis thaliana (AT3G28970) UniProtKB/TrEMBL;Acc:Q9MBG8] ADSLKFNCFYDFVFFVCRENGQKSITVSRAITAWRIVLTGRFRLLNQWCEFIEKYQRYNISEDAWRQLLAFSRCVNEDLDGYDPKGNYQAHVMGLLTINTRNR >DRNTG_21076.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20041159:20047188:-1 gene:DRNTG_21076 transcript:DRNTG_21076.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defective in cullin neddylation protein [Source:Projected from Arabidopsis thaliana (AT3G28970) UniProtKB/TrEMBL;Acc:Q9MBG8] MGFPLCGRADIFEIYATYCDIVSQHNHLSAREPLVMLSKSLDSRWPSRDAIFNDLVKLMACLDLSADSLKFNCFYDFVFFVCRENGQKSITVSRAITAWRIVLTGRFRLLNQWCEFIEKYQRYNISEDAWRQLLAFSRCVNEDLDGYDPKGAWPVLIDDFVEHMYRCYIIYTIAITLNTI >DRNTG_21076.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20041159:20047188:-1 gene:DRNTG_21076 transcript:DRNTG_21076.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defective in cullin neddylation protein [Source:Projected from Arabidopsis thaliana (AT3G28970) UniProtKB/TrEMBL;Acc:Q9MBG8] ADSLKFNCFYDFVFFVCRENGQKSITVSRAITAWRIVLTGRFRLLNQWCEFIEKYQRYNISEDAWRQLLAFSRCVNEDLDGYDPKGAWPVLIDDFVEHMYRCYIIYTIAITLNTI >DRNTG_18616.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22316071:22318171:1 gene:DRNTG_18616 transcript:DRNTG_18616.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSTSSPLLNSWLPSSCSSLSCPCSSKEAHHESFGVSAEVVAIPRAPRRPVITHALSDPDLSDLSAPVATVRKRRPLTASHKDEASPRQTHDQDITHCIAGKSPRSSLLLSSSGLVDATSFPSFKSGVHHDSILSNGFAGEGGGGSGNGYLGFSAERNEDDEDGKKDGSTTVTDAYYQKMIQANPGNSLIVGNYAKFLKEVHGDLLKAQEYCERALMENQRDATILAFYADLIWQSNRDAQRAKLYFDQAVQAAPQDCYIMASYARFLWDAEEDVGGTGDVERNRTLPPMHPDAVPPSIAAAF >DRNTG_05804.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19134786:19135254:-1 gene:DRNTG_05804 transcript:DRNTG_05804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIKEGDVFVFPKGLVHFQMNEEEEKDVVAVAAFGSANPGVVVLPTSLFGSGIDSEVLIKSFKTDNVTIQKLISANMG >DRNTG_28932.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22133163:22135840:1 gene:DRNTG_28932 transcript:DRNTG_28932.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSFVDEDQNPLLWASTYHAGDHLDPVAVAKAKAKRKAKKLAGVSSMAANEEVPDQASNKKSDGHSGDLISGAELISKKQMAVEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMVYISNEEKHMERFAVRAKYMTLDPSKNKYVKYIRNIRTIQEYLCNCADKHLVPKINNTNVDRSVAAIHATVFSCLRRREAGEQLYDTNTNTVSIIDEEYRNQCAANTLSSKGMFQLIQRKGSSRHLMALLNTDGSVAKAWPMESIYDNGRLNPRNCGDKCVGSPMYGPLLIGKAEPVNLQFGNFGISAWPNDAGGTSCSGSFDDSRADGTDTGSRYLSSCGSSPRMSEGHAKELKEGFSVSVSEDEADCPPDKDSDEDLSDTEHKVMHEEEVRPELICFFILLLIQLSGMK >DRNTG_28932.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22133163:22133859:1 gene:DRNTG_28932 transcript:DRNTG_28932.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSFVDEDQNPLLWASTYHAGDHLDPVAVAKAKAKRKAKKLAGVSSMAANEEVPDQASNKKSDGHSGDLISGAELISKKQMAVEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVVGTTISVLSPIVFFFKITSPA >DRNTG_28932.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22131661:22135840:1 gene:DRNTG_28932 transcript:DRNTG_28932.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGKLLYIVVVDEDEMRGDDCERKGGSFSYTRPVLQSTLQLMGCKPRHSFKVSRRVFDVMRSVYSAEHVSPKSMNSDQSAWSEGGNKDGGYLRRDNAQIDSTIPFELYKRQTTVMVAREKFLDIVCHVLSQYKYVGPNQRADLLLACRIREKKESVTVLLCGTSGCGKSTLSALLGGRLGITTVISTDSIRHMMRSFVDEDQNPLLWASTYHAGDHLDPVAVAKAKAKRKAKKLAGVSSMAANEEVPDQASNKKSDGHSGDLISGAELISKKQMAVEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMVYISNEEKHMERFAVRAKYMTLDPSKNKYVKYIRNIRTIQEYLCNCADKHLVPKINNTNVDRSVAAIHATVFSCLRRREAGEQLYDTNTNTVSIIDEEYRNQCAANTLSSKGMFQLIQRKGSSRHLMALLNTDGSVAKAWPMESIYDNGRLNPRNCGDKCVGSPMYGPLLIGKAEPVNLQFGNFGISAWPNDAGGTSCSGSFDDSRADGTDTGSRYLSSCGSSPRMSEGHAKEVAFRFS >DRNTG_28932.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22131661:22135840:1 gene:DRNTG_28932 transcript:DRNTG_28932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGKLLYIVVVDEDEMRGDDCERKGGSFSYTRPVLQSTLQLMGCKPRHSFKVSRRVFDVMRSVYSAEHVSPKSMNSDQSAWSEGGNKDGGYLRRDNAQIDSTIPFELYKRQTTVMVAREKFLDIVCHVLSQYKYVGPNQRADLLLACRIREKKESVTVLLCGTSGCGKSTLSALLGGRLGITTVISTDSIRHMMRSFVDEDQNPLLWASTYHAGDHLDPVAVAKAKAKRKAKKLAGVSSMAANEEVPDQASNKKSDGHSGDLISGAELISKKQMAVEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMVYISNEEKHMERFAVRAKYMTLDPSKNKYVKYIRNIRTIQEYLCNCADKHLVPKINNTNVDRSVAAIHATVFSCLRRREAGEQLYDTNTNTVSIIDEEYRNQCAANTLSSKGMFQLIQRKGSSRHLMALLNTDGSVAKAWPMESIYDNGRLNPRNCGDKCVGSPMYGPLLIGKAEPVNLQFGNFGISAWPNDAGGTSCSGSFDDSRADGTDTGSRYLSSCGSSPRMSEGHAKELKEGFSVSVSEDEADCPPDKDSDEDLSDTEHKVMHEEELEGSVDDNSTKSDEEFEDLAMRDGLGHGYYSDDDEPEPEPEPEPVPVPVEETELTINKKLHENDSGLSVLPEKYQQNLERFRKMSELVSKNPCSYSLLSDVNCGSMDARMVKCSSDDSSCFHGRSRSIPAITRPLYSME >DRNTG_28932.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22131661:22135840:1 gene:DRNTG_28932 transcript:DRNTG_28932.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGKLLYIVVVDEDEMRGDDCERKGGSFSYTRPVLQSTLQLMGCKPRHSFKVSRRVFDVMRSVYSAEHVSPKSMNSDQSAWSEGGNKDGGYLRRDNAQIDSTIPFELYKRQTTVMVAREKFLDIVCHVLSQYKYVGPNQRADLLLACRIREKKESVTVLLCGTSGCGKSTLSALLGGRLGITTVISTDSIRHMMRSFVDEDQNPLLWASTYHAGDHLDPVAVAKAKAKRKAKKLAGVSSMAANEEVPDQASNKKSDGHSGDLISGAELISKKQMAVEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMVYISNEEKHMERFAVRAKYMTLDPSKNKYVKYIRNIRTIQEYLCNCADKHLVPKINNTNVDRSVAAIHATVFSCLRRREAGEQLYDTNTNTVSIIDEEYRNQCAANTLSSKGMFQLIQRKGSSRHLMALLNTDGSVAKAWPMESIYDNGRLNPRNCGDKCVGSPMYGPLLIGKAEPVNLQFGNFGISAWPNDAGGTSCSGSFDDSRADGTDTGSRYLSSCGSSPRMSEGHAKELKEGFSVSVSEDEADCPPDKDSDEDLSDTEHKVMHEEELEGSVDDNSTKSDEEFEDLAMRDGLGHGYYSDDDEPEPEPEPEPVPVPVEETELTINKKLHENDSGLSVLPEKYQQNLERFRKMSELVSKNPCSYSLLSDVNCGSMDARMVKCSSDDSSCFHGRSRSIPAITRPLYSME >DRNTG_15015.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23834526:23836253:1 gene:DRNTG_15015 transcript:DRNTG_15015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREWLESLMARVDGASTTAAEFAGSKAGNFDAIAMWGLKVLHVGGGRALCSLCVPKFLTGEDGYWHAGSIATVIDDVGATAIMTAEGHIKVSVDFEISYFSPAKVNEEVEIDAKVVGHKGQLSVVLVEIRKQLSGELVALGRQWMSTPRMIIKGNL >DRNTG_20804.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22067506:22073184:1 gene:DRNTG_20804 transcript:DRNTG_20804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAVAREDVDPRKPQPRPHRTANPEPKPKPLSVLLPGTCDIDGNIEEKYLVDRELGRGEFGVTYLCMDKDTRELLACKSIPKRKLRTAVDVEDVRREVSIMRHLPRSPSIVSLREACEDEHAVHLVMELCEGGELFDRIVARGHYTERAAAAVTKTIVEVVQLCHKHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGERFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAESEQGVAQAILRGIIDFKREPWPSVSESAKDLVRHMLEPDPKLRLTAKQVLEHSWLQNAKKAPNVPLGDVVKSRLKQFSVMNRFKRRALRVIADHLSVEEVEDIKEMFKKIDTDNDGIVSCEELKAGLTKYGTHLAESEAQMLIEAVDTHGKGVLDYGEFVAVSLHLQRMANDEHLRRAFSHFDKDSNGYIEAEELHQALVEDGPDDSMDIANDILQEVDTDKDGKISYDEFVAMMKTGTDWRKASRHYSRGRFNSLSVRLMKDGSLNMGSEN >DRNTG_20804.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22067506:22071831:1 gene:DRNTG_20804 transcript:DRNTG_20804.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAVAREDVDPRKPQPRPHRTANPEPKPKPLSVLLPGTCDIDGNIEEKYLVDRELGRGEFGVTYLCMDKDTRELLACKSIPKRKLRTAVDVEDVRREVSIMRHLPRSPSIVSLREACEDEHAVHLVMELCEGGELFDRIVARGHYTERAAAAVTKTIVEVVQLCHKHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGERFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAESEQGVAQAILRGIIDFKREPWPSVSESAKDLVRHMLEPDPKLRLTAKQVLEHSWLQNAKKAPNVPLGDVVKSRLKQFSVMNRFKRRALRVIADHLSVEEVEDIKEMFKKIDTDNDGIVSCEELKAGLTKYGTHLAESEAQMLIEAVSAFHA >DRNTG_02340.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1509205:1515324:1 gene:DRNTG_02340 transcript:DRNTG_02340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTVSRNRRQSMSPTASRSASSSHSHDRDHFSSYSKGSAASSCDDDLDSLHSTGAGMSCGSIGSKNGTSANIRTMVFSKRPVRTLSSNSTPKRSFDSVLRQMDQRKTPQNMFRPLLSSVPTTTFYIGKANTMHRPMFSRNSSLTTSSNTSSEPGACVVPDVEASDHHQNDLSGKRGKPQDPELHEEIFKFDKADDIPDSGKPMGDITNRDDLVGLEKSAPNSLGAISALTASESSNTAVNPSILDRNGMMATCSKCGNSYSIRDPTTDVCQECAENDRLFTEGISPSALLVMQNEKLQSPMADGKDIGFNKAQLAMEVSELPDKSSTNILPSDAMHAGQVYKSLMNRSRFHLDNDLIQHGQSDAQENSGQEVNAIQTENIYQQSQEIAHQSTRVESPEGTGISVLLMQKSSSNKWPVVQGRPFSATNILCSEPSYVRDHASSMKRSMSRESTSASSSIDMGSWRQPEYHMQLQLNSQKGEMENVRNDCYVNTQSAESSNFEISTGILEERESFNGENGNSLKSTGSGCTRSLSITHTAIDVDGESFGCTDSCMPIDSFRSQFSHPDNNQASDTLASTPLNDGSSSCINSEGLLSVKRITRATDPELEMQGSSVFEEEEQMPAYNAFGNDISGVVTVDSSSINSERQVFESLQDRQMDSTGINLNITDEPHEHSASTSSETDLLASALESNVVNHSREKSITAAEAPGGCTPKSFTLEEAADTILFCNSIVLDIAYKAAIIAMEKEEALLLAEARRPTPTILGNPFYSRRDSWMSSNKQAPKPQRARRKRLETNTNTTAMEPGEDIKVQSAAPSHPHPQVPNKVDSAKPPKLESKCNCTIM >DRNTG_02340.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1509205:1515324:1 gene:DRNTG_02340 transcript:DRNTG_02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPALRCSPGRDVGVHNNHKRGRSLESGFPLKPKDDDLVLFNEMQNKERDNFLLHTSDDLDDSIARLRYYSDFKLGINIPARGETSDLLKTDGEKNDYDWLLTPPDTPLFPSLDDEEPQTVHLAPRGRQRSQPISIRSSMTEKTHRTSRGSPSPHRLSPSPRSTTGVNQSRGTPPSGGSYSSPTAVLRPTTPSRRSSTPTKPSSPTPRSSTPTLRRSSNDSNGQGSSSGRRGTSPVTTSRGNSASPKLRGWQTNLPGFSSDPPPNLRTSLADRPSSYVRGSSPASRNGRQSMSPTVSRNRRQSMSPTASRSASSSHSHDRDHFSSYSKGSAASSCDDDLDSLHSTGAGMSCGSIGSKNGTSANIRTMVFSKRPVRTLSSNSTPKRSFDSVLRQMDQRKTPQNMFRPLLSSVPTTTFYIGKANTMHRPMFSRNSSLTTSSNTSSEPGACVVPDVEASDHHQNDLSGKRGKPQDPELHEEIFKFDKADDIPDSGKPMGDITNRDDLVGLEKSAPNSLGAISALTASESSNTAVNPSILDRNGMMATCSKCGNSYSIRDPTTDVCQECAENDRLFTEGISPSALLVMQNEKLQSPMADGKDIGFNKAQLAMEVSELPDKSSTNILPSDAMHAGQVYKSLMNRSRFHLDNDLIQHGQSDAQENSGQEVNAIQTENIYQQSQEIAHQSTRVESPEGTGISVLLMQKSSSNKWPVVQGRPFSATNILCSEPSYVRDHASSMKRSMSRESTSASSSIDMGSWRQPEYHMQLQLNSQKGEMENVRNDCYVNTQSAESSNFEISTGILEERESFNGENGNSLKSTGSGCTRSLSITHTAIDVDGESFGCTDSCMPIDSFRSQFSHPDNNQASDTLASTPLNDGSSSCINSEGLLSVKRITRATDPELEMQGSSVFEEEEQMPAYNAFGNDISGVVTVDSSSINSERQVFESLQDRQMDSTGINLNITDEPHEHSASTSSETDLLASALESNVVNHSREKSITAAEAPGGCTPKSFTLEEAADTILFCNSIVLDIAYKAAIIAMEKEEALLLAEARRPTPTILGNPFYSRRDSWMSSNKQAPKPQRARRKRLETNTNTTAMEPGEDIKVQSAAPSHPHPQVPNKVDSAKPPKLESKCNCTIM >DRNTG_02340.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1514520:1515324:1 gene:DRNTG_02340 transcript:DRNTG_02340.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFAYAFYFTEKSITAAEAPGGCTPKSFTLEEAADTILFCNSIVLDIAYKAAIIAMEKEEALLLAEARRPTPTILGNPFYSRRDSWMSSNKQAPKPQRARRKRLETNTNTTAMEPGEDIKVQSAAPSHPHPQVPNKVDSAKPPKLESKCNCTIM >DRNTG_02340.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1512061:1515324:1 gene:DRNTG_02340 transcript:DRNTG_02340.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPLLSSVPTTTFYIGKANTMHRPMFSRNSSLTTSSNTSSEPGACVVPDVEASDHHQNDLSGKRGKPQDPELHEEIFKFDKADDIPDSGKPMGDITNRDDLVGLEKSAPNSLGAISALTASESSNTAVNPSILDRNGMMATCSKCGNSYSIRDPTTDVCQECAENDRLFTEGISPSALLVMQNEKLQSPMADGKDIGFNKAQLAMEVSELPDKSSTNILPSDAMHAGQVYKSLMNRSRFHLDNDLIQHGQSDAQENSGQEVNAIQTENIYQQSQEIAHQSTRVESPEGTGISVLLMQKSSSNKWPVVQGRPFSATNILCSEPSYVRDHASSMKRSMSRESTSASSSIDMGSWRQPEYHMQLQLNSQKGEMENVRNDCYVNTQSAESSNFEISTGILEERESFNGENGNSLKSTGSGCTRSLSITHTAIDVDGESFGCTDSCMPIDSFRSQFSHPDNNQASDTLASTPLNDGSSSCINSEGLLSVKRITRATDPELEMQGSSVFEEEEQMPAYNAFGNDISGVVTVDSSSINSERQVFESLQDRQMDSTGINLNITDEPHEHSASTSSETDLLASALESNVVNHSREKSITAAEAPGGCTPKSFTLEEAADTILFCNSIVLDIAYKAAIIAMEKEEALLLAEARRPTPTILGNPFYSRRDSWMSSNKQAPKPQRARRKRLETNTNTTAMEPGEDIKVQSAAPSHPHPQVPNKVDSAKPPKLESKCNCTIM >DRNTG_02340.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1510506:1515324:1 gene:DRNTG_02340 transcript:DRNTG_02340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKTHRTSRGSPSPHRLSPSPRSTTGVNQSRGTPPSGGSYSSPTAVLRPTTPSRRSSTPTKPSSPTPRSSTPTLRRSSNDSNGQGSSSGRRGTSPVTTSRGNSASPKLRGWQTNLPGFSSDPPPNLRTSLADRPSSYVRGSSPASRNGRQSMSPTVSRNRRQSMSPTASRSASSSHSHDRDHFSSYSKGSAASSCDDDLDSLHSTGAGMSCGSIGSKNGTSANIRTMVFSKRPVRTLSSNSTPKRSFDSVLRQMDQRKTPQNMFRPLLSSVPTTTFYIGKANTMHRPMFSRNSSLTTSSNTSSEPGACVVPDVEASDHHQNDLSGKRGKPQDPELHEEIFKFDKADDIPDSGKPMGDITNRDDLVGLEKSAPNSLGAISALTASESSNTAVNPSILDRNGMMATCSKCGNSYSIRDPTTDVCQECAENDRLFTEGISPSALLVMQNEKLQSPMADGKDIGFNKAQLAMEVSELPDKSSTNILPSDAMHAGQVYKSLMNRSRFHLDNDLIQHGQSDAQENSGQEVNAIQTENIYQQSQEIAHQSTRVESPEGTGISVLLMQKSSSNKWPVVQGRPFSATNILCSEPSYVRDHASSMKRSMSRESTSASSSIDMGSWRQPEYHMQLQLNSQKGEMENVRNDCYVNTQSAESSNFEISTGILEERESFNGENGNSLKSTGSGCTRSLSITHTAIDVDGESFGCTDSCMPIDSFRSQFSHPDNNQASDTLASTPLNDGSSSCINSEGLLSVKRITRATDPELEMQGSSVFEEEEQMPAYNAFGNDISGVVTVDSSSINSERQVFESLQDRQMDSTGINLNITDEPHEHSASTSSETDLLASALESNVVNHSREKSITAAEAPGGCTPKSFTLEEAADTILFCNSIVLDIAYKAAIIAMEKEEALLLAEARRPTPTILGNPFYSRRDSWMSSNKQAPKPQRARRKRLETNTNTTAMEPGEDIKVQSAAPSHPHPQVPNKVDSAKPPKLESKCNCTIM >DRNTG_02340.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1510506:1515324:1 gene:DRNTG_02340 transcript:DRNTG_02340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTVSRNRRQSMSPTASRSASSSHSHDRDHFSSYSKGSAASSCDDDLDSLHSTGAGMSCGSIGSKNGTSANIRTMVFSKRPVRTLSSNSTPKRSFDSVLRQMDQRKTPQNMFRPLLSSVPTTTFYIGKANTMHRPMFSRNSSLTTSSNTSSEPGACVVPDVEASDHHQNDLSGKRGKPQDPELHEEIFKFDKADDIPDSGKPMGDITNRDDLVGLEKSAPNSLGAISALTASESSNTAVNPSILDRNGMMATCSKCGNSYSIRDPTTDVCQECAENDRLFTEGISPSALLVMQNEKLQSPMADGKDIGFNKAQLAMEVSELPDKSSTNILPSDAMHAGQVYKSLMNRSRFHLDNDLIQHGQSDAQENSGQEVNAIQTENIYQQSQEIAHQSTRVESPEGTGISVLLMQKSSSNKWPVVQGRPFSATNILCSEPSYVRDHASSMKRSMSRESTSASSSIDMGSWRQPEYHMQLQLNSQKGEMENVRNDCYVNTQSAESSNFEISTGILEERESFNGENGNSLKSTGSGCTRSLSITHTAIDVDGESFGCTDSCMPIDSFRSQFSHPDNNQASDTLASTPLNDGSSSCINSEGLLSVKRITRATDPELEMQGSSVFEEEEQMPAYNAFGNDISGVVTVDSSSINSERQVFESLQDRQMDSTGINLNITDEPHEHSASTSSETDLLASALESNVVNHSREKSITAAEAPGGCTPKSFTLEEAADTILFCNSIVLDIAYKAAIIAMEKEEALLLAEARRPTPTILGNPFYSRRDSWMSSNKQAPKPQRARRKRLETNTNTTAMEPGEDIKVQSAAPSHPHPQVPNKVDSAKPPKLESKCNCTIM >DRNTG_28428.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18414475:18415365:-1 gene:DRNTG_28428 transcript:DRNTG_28428.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSTTTTRRNQDTNTESSTHRKKAKTKKGSVAFTSGNFDDAFISNMQQMSKMCESTSTEFSRMVTYL >DRNTG_02778.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1238240:1238503:-1 gene:DRNTG_02778 transcript:DRNTG_02778.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMDNAFRCFVGGLAWATDERSLEEAFKVFGEIIE >DRNTG_02778.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1237673:1238503:-1 gene:DRNTG_02778 transcript:DRNTG_02778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPFKEEHSMRDAIDRMNGQDLDGRSITVNEAQSRGSGGGGGGGGFRRDGGAGGGYGGNRRDGGGYGGGNRGYGGGGGGGGGGSRFPRGNGASDGNWRN >DRNTG_09746.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24718107:24737655:1 gene:DRNTG_09746 transcript:DRNTG_09746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLAQLVYRDEEVQKHFQSHIWVCVLDDFDMPKALLWKYHTSASGKSMMIRTWKSFNVRLRKELGQKRYLLVLDDVLNEDFRKWDALRNMLLDGGEGSVILVTTRNEKNALE >DRNTG_12657.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1239224:1240544:-1 gene:DRNTG_12657 transcript:DRNTG_12657.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyubiquitin [Source:Projected from Arabidopsis thaliana (AT4G05050) UniProtKB/TrEMBL;Acc:Q8H0Y0] MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGN >DRNTG_12657.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1239224:1240544:-1 gene:DRNTG_12657 transcript:DRNTG_12657.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyubiquitin [Source:Projected from Arabidopsis thaliana (AT4G05050) UniProtKB/TrEMBL;Acc:Q8H0Y0] MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGN >DRNTG_32405.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3829709:3833369:-1 gene:DRNTG_32405 transcript:DRNTG_32405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVLPTLPRNLALQVPECSLKNKSNKFLYSGCSGIEFPFGGVLSVQREYFANSHRSIRGSRFSFVKAISDDADAGETEDESQDKETSQSEEGSGGLSRDDLERIVGRDDSSFSGVDLATLIRKKYGRSYDVQLIKKEFMGRNLLAMNVMWKYMEQRSFPLTEEEYILRLDDVANNLKCWGAVSHIRNSLEKLKERPRIGKAVSIFIDMDETGARSNEWIYK >DRNTG_13907.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1921702:1924304:-1 gene:DRNTG_13907 transcript:DRNTG_13907.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGDLVLAERFCLERYKFSLGDVIIFQAPDNHKRNLVKRLIALPGQWIQIPESSEMLKIPEGHCWVEGDNSACSLDSRSFGPIPLGLVKGRVTHVIWPPHRIGAIERKVPTGRTPPY >DRNTG_13907.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1921702:1924304:-1 gene:DRNTG_13907 transcript:DRNTG_13907.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQNFLWQLTKRTVTGALIGITISDRYVTVSSIRGSSMYPTFQTTPATFPGSLKGDLVLAERFCLERYKFSLGDVIIFQAPDNHKRNLVKRLIALPGQWIQIPESSEMLKIPEGHCWVEGDNSACSLDSRSFGPIPLGLVKGRVTHVIWPPHRIGAIERKVPTGRTPPY >DRNTG_13907.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1921577:1924304:-1 gene:DRNTG_13907 transcript:DRNTG_13907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQNFLWQLTKRTVTGALIGITISDRYVTVSSIRGSSMYPTFQTTPATFPGSLKGDLVLAERFCLERYKFSLGDVIIFQAPDNHKRNLVKRLIALPGQWIQIPESSEMLKIPEGHCWVEGDNSACSLDSRSFGPIPLGLVKGRVTHVIWPPHRIGAIERKVPTGRTPPY >DRNTG_15648.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14740978:14741502:-1 gene:DRNTG_15648 transcript:DRNTG_15648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHLHYVQGVAWDPLDQYVASLSSDRTCRVYVNKPQAKLKGYEKLNYVFQHVITKSELQGQEDSKVCKLVVNCFSHVLVGSVCDNNKMNVV >DRNTG_22297.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:903419:904089:1 gene:DRNTG_22297 transcript:DRNTG_22297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKANCWYCIVLLVFLQVMMMMMMNAMPIAAVAAFEEYNNASEGIGPDDENWLLASATVINPAIVLQPDHQSCGGARGMSYGTCNLPANQRERPCNTYYHCPNQ >DRNTG_16365.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7672654:7680099:1 gene:DRNTG_16365 transcript:DRNTG_16365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLSPIKAYSLLCGKGRYEPGVSKASCLSRPSYRYLHVIISRSVNKRGDSTGVINKQELLYLYSMVRNEPVNLGHILAEYLKHQGQYPRLGVIFSSPYITRLIVGMGLRDKISGTEKPIIPAPLGLETIRLNGLIRKYSNGVYVLNIPFEDESGASQSTPSYNQHRWRPRHLPRQRNHPLCV >DRNTG_16285.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3505481:3506981:-1 gene:DRNTG_16285 transcript:DRNTG_16285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVFIPRVESLANSGLDEIPPEYVRLEFERDDRLGDAIEEEKKSDEGPQIPTIDIKGLDSDNEAVRKRCVEQMLDAAVNWGVMHIVGHGIPAELIDKLREVGTRFFDLPVEEKEKYANDQPSGMIQGYGSKLANSASGRLEWMDYFFHLMFPENQTDLSIWPHYPSDYVEVTKEFGKELRVMATKIFTLLSLGLGLPAEKLETEAGGMENILFQMKINYYPKCPQPNLALGVEAHTDVSHLTFIFHNNIPGLQVYYDGKWVTAKNVSDSIIVHIGDSLEILSNGLFKSVLHRGLVNKEKVRISWTIFAEPHKDNVLLRPLPELVSDASPPKFGPRTFAQHVRQKLFKIKDDESSPPPAAAAAAAAADAAAK >DRNTG_01836.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:17393517:17394326:1 gene:DRNTG_01836 transcript:DRNTG_01836.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECVPTSPVFELAQPFGGAQGQSQSSIQTYAHRTRSPSTYPS >DRNTG_30973.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8422944:8423779:-1 gene:DRNTG_30973 transcript:DRNTG_30973.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSEIQIGRDLVSGLVPLTPALRRRRHTIAPLQMRGCKVILNFPNEIASSGDRAAMQMTSVVTGTKRRRVTEETEKGIKAVKRESTPEEEMRRSGRAFGRTQKGRGSSACRHPRRSLLTPLLVSPNSWYNEKENERERFF >DRNTG_24046.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26573360:26575914:1 gene:DRNTG_24046 transcript:DRNTG_24046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRVMTWRQCLLNSMLCACVFRVVPGLDFDSHQPA >DRNTG_24266.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13778636:13786644:1 gene:DRNTG_24266 transcript:DRNTG_24266.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKERTRLRDEGSGGRTSHAHSNIEGSSNADSAPFSNANIPSVEETVNGIGSQQPNQNFIAVSDTQANYGSTQDASTIVGRLRITVVNGLDIRDRTTKPGYLRGSISNVEHGKKLESKLGRKATPHELFLHTHTKKHDGETFVDLKSKTINDKMLTLKQRAISTESRLTNPLY >DRNTG_25566.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29690327:29691722:1 gene:DRNTG_25566 transcript:DRNTG_25566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRGSCGIRTLFVLIDLGSSHNFIDSKIAKHLGCVMEPISAVKVTVANGNMLVCNESCKQFKWGMQRVPISGRFSYFTFR >DRNTG_30155.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3361891:3362112:1 gene:DRNTG_30155 transcript:DRNTG_30155.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLIPWCLPNTAKRHNQWKGLFGRLDWEGNFPTSITDPQPMGKVGMCFHPEQDRIITVRECARSQ >DRNTG_30155.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3356102:3358182:1 gene:DRNTG_30155 transcript:DRNTG_30155.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLVESGNKRKGRGASTKKNKSTDDTVVVNAKEKGRVNVDNVRDSVGRKRPKRGAACSDFKEKSIRISEKSSMVETRRDRLVEDERIAVDLTKLGLEDDPPCRKLLDFIFHDAEGNPQPFEMSEIDDLFVTALVMPMDDNLEKEKERGVKCEGFGRIESWAISGYDEGTPVVWISTEIADYECVKPAGSYKRFYDHFCEKARICVEVYRKLAKSAGGDPDLGLEELLAGVVRSMSGSKSFCGGLTGRDFVISLGDFIYNQLIGLDGSEKNDVNFATLPALIALKGECRNNKDFNKVHPEVSGGSLKINETQQSQTIEDDDEKLARLLQEEENWKSMKQQRARQSATSQKNVYIKISEAEIANDYPLPGYYKPAIGEMDEYIFFESDANIYYPDLPRRILNNWSLYNSDSRLISLELLPMIPCAEVDVTVFGSGNMKEDDGTGFCHETEPGQSSSSSLASVDTDGVPVYLSSIKEWMIEFGSSMVFISIRTDVAW >DRNTG_30155.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3358381:3362618:1 gene:DRNTG_30155 transcript:DRNTG_30155.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQERRHTKLLMNKRFVVQKEANLNPSAAIKPVLSKRNVMRATTTRLINRIWGDYYLHYFPDDSKEGDTHEIKEQELEEDQEENEDEDAEENVPNEEEKLSKSCPSIRSCNSKLNHDEVIWKGESTGKTCCGEALYKYAVVHGDQLAVGGAALIETDECDEPMTIVFLEYMYEKDDGIKMAHGKIMEKGSETVLGNAANEREVFLTNGCVDFELCEVKESVTVDIRLRSWGHKHRASYANADKIDRAKAEERKKKGLPMEYYCKSLYWPERGAFFTLPFDSMGLGNGVCSSCEQRDAEEDFFFVDSTVFVHKKTEYNICDFVYVRPHYFGVEKDEDREIFKGGRNVGLKPYTVCQLQEIEVPRGKTPSPESTLVKVRRFYRPEDVSAQKAYNSDIREVYYSEDITSVPVEAIEGKCEVRKKNDLPIVDLPIITDHVFFCEYCYDADKGSLKQLHAHVKFSPLNQKVPDASSRKKKGKEKCDGEPNGSDKSKDESQESRLATLDIFSGCGGLSEGLQKAGVSFTKWAIEYEQPAGEAFGKNHPNTLMFIDNCNVILRAIMEKCGDVDDCISTSEAAKLAAELSEEKLNNLPLPGQVDFINGGPPCQGFSGMNRFNQGIWSKVQCEMILGFLSFAEYFRPRFFLLENVRTFVSFNKAQTFRLTLASLLEMGYQVRFGILDAGAYGVSQSRKRVFVWAASPEEKLPEWPEPMHVFAGPELKIPLSNGMHYSAVRSTAGGAPFRSITVRDTIGDLPPVVNGASKLSIEYDGSPVSWFQKQIRGRTITLDDHISKEMNELNLIRCQRIPKRPGSDWHDLPDEKVKLSTGQMVDLIPWCLPNTAKRHNQWKGLFGRLDWEGNFPTSITDPQPMGKVGMCFHPEQDRIITVRECARSQGFPDSYQFCGNIQNKHRQIGNAVPPPLAYVLGRKLKEAVNAKTSCSE >DRNTG_30155.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3352374:3359878:1 gene:DRNTG_30155 transcript:DRNTG_30155.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARCPDSGSASGNKRKGRGASTKKNKSTDDTVVVNAKEKGRVNVDNVRDSVGRKRPKRGAACSDFKEKSIRISEKSSMVETRRDRLVEDERIAVDLTKLGLEDDPPCRKLLDFIFHDAEGNPQPFEMSEIDDLFVTALVMPMDDNLEKEKERGVKCEGFGRIESWAISGYDEGTPVVWISTEIADYECVKPAGSYKRFYDHFCEKARICVEVYRKLAKSAGGDPDLGLEELLAGVVRSMSGSKSFCGGLTGRDFVISLGDFIYNQLIGLDGSEKNDVNFATLPALIALKGECRNNKDFNKVHPEVSGGSLKINETQQSQTIEDDDEKLARLLQEEENWKSMKQQRARQSATSQKNVYIKISEAEIANDYPLPGYYKPAIGEMDEYIFFESDANIYYPDLPRRILNNWSLYNSDSRLISLELLPMIPCAEVDVTVFGSGNMKEDDGTGFCHETEPGQSSSSSLASVDTDGVPVYLSSIKEWMIEFGSSMVFISIRTDVAWYRLGKPAKQYIPWYEPVLKTARLAISIITLLKEQSRVSKLSFADVIKKVSEFDKHHPAFISSNLLLVERYVVVHGQIILQQFSEFPDDNIRKCAFVTGLSEKMQERRHTKLLMNKRFVVQKEANLNPSAAIKPVLSKRNVMRATTTRLINRIWGDYYLHYFPDDSKEGDTHEIKEQELEEDQEENEDEDAEENVPNEEEKLSKSCPSIRSCNSKLNHDEVIWKGESTGKTCCGEALYKYAVVHGDQLAVGGAALIETDECDEPMTIVFLEYMYEKDDGIKMAHGKIMEKGSETVLGNAANEREVFLTNGCVDFELCEVKESVTVDIRLRSWGHKHRASYANADKIDRAKAEERKKKGLPMEYYCKSLYWPERGAFFTLPFDSMGLGNGVCSSCEQRDAEEDFFFVDSTVFVHKKTEYNICDFVYVRPHYFGVEKDEDREIFKGGRNVGLKPYTVCQLQEIEVPRGKTPSPESTLVKVRRFYRPEDVSAQKAYNSDIRE >DRNTG_30155.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3360206:3360409:1 gene:DRNTG_30155 transcript:DRNTG_30155.9 gene_biotype:protein_coding transcript_biotype:protein_coding QLHAHVKFSPLNQKVPDASSRKKKGKEKCDGEPNGSDKSKDESQESRLATLDIFSGCGGLSEGLQKAG >DRNTG_30155.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3352031:3362618:1 gene:DRNTG_30155 transcript:DRNTG_30155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCPDSGSASGNKRKGRGASTKKNKSTDDTVVVNAKEKGRVNVDNVRDSVGRKRPKRGAACSDFKEKSIRISEKSSMVETRRDRLVEDERIAVDLTKLGLEDDPPCRKLLDFIFHDAEGNPQPFEMSEIDDLFVTALVMPMDDNLEKEKERGVKCEGFGRIESWAISGYDEGTPVVWISTEIADYECVKPAGSYKRFYDHFCEKARICVEVYRKLAKSAGGDPDLGLEELLAGVVRSMSGSKSFCGGLTGRDFVISLGDFIYNQLIGLDGSEKNDVNFATLPALIALKGECRNNKDFNKVHPEVSGGSLKINETQQSQTIEDDDEKLARLLQEEENWKSMKQQRARQSATSQKNVYIKISEAEIANDYPLPGYYKPAIGEMDEYIFFESDANIYYPDLPRRILNNWSLYNSDSRLISLELLPMIPCAEVDVTVFGSGNMKEDDGTGFCHETEPGQSSSSSLASVDTDGVPVYLSSIKEWMIEFGSSMVFISIRTDVAWYRLGKPAKQYIPWYEPVLKTARLAISIITLLKEQSRVSKLSFADVIKKVSEFDKHHPAFISSNLLLVERYVVVHGQIILQQFSEFPDDNIRKCAFVTGLSEKMQERRHTKLLMNKRFVVQKEANLNPSAAIKPVLSKRNVMRATTTRLINRIWGDYYLHYFPDDSKEGDTHEIKEQELEEDQEENEDEDAEENVPNEEEKLSKSCPSIRSCNSKLNHDEVIWKGESTGKTCCGEALYKYAVVHGDQLAVGGAALIETDECDEPMTIVFLEYMYEKDDGIKMAHGKIMEKGSETVLGNAANEREVFLTNGCVDFELCEVKESVTVDIRLRSWGHKHRASYANADKIDRAKAEERKKKGLPMEYYCKSLYWPERGAFFTLPFDSMGLGNGVCSSCEQRDAEEDFFFVDSTVFVHKKTEYNICDFVYVRPHYFGVEKDEDREIFKGGRNVGLKPYTVCQLQEIEVPRGKTPSPESTLVKVRRFYRPEDVSAQKAYNSDIREVYYSEDITSVPVEAIEGKCEVRKKNDLPIVDLPIITDHVFFCEYCYDADKGSLKQLHAHVKFSPLNQKVPDASSRKKKGKEKCDGEPNGSDKSKDESQESRLATLDIFSGCGGLSEGLQKAGVSFTKWAIEYEQPAGEAFGKNHPNTLMFIDNCNVILRAIMEKCGDVDDCISTSEAAKLAAELSEEKLNNLPLPGQVDFINGGPPCQGFSGMNRFNQGIWSKVQCEMILGFLSFAEYFRPRFFLLENVRTFVSFNKAQTFRLTLASLLEMGYQVRFGILDAGAYGVSQSRKRVFVWAASPEEKLPEWPEPMHVFAGPELKIPLSNGMHYSAVRSTAGGAPFRSITVRDTIGDLPPVVNGASKLSIEYDGSPVSWFQKQIRGRTITLDDHISKEMNELNLIRCQRIPKRPGSDWHDLPDEKVKLSTGQMVDLIPWCLPNTAKRHNQWKGLFGRLDWEGNFPTSITDPQPMGKVGMCFHPEQDRIITVRECARSQGFPDSYQFCGNIQNKHRQIGNAVPPPLAYVLGRKLKEAVNAKTSCSE >DRNTG_30155.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3362276:3362618:1 gene:DRNTG_30155 transcript:DRNTG_30155.7 gene_biotype:protein_coding transcript_biotype:protein_coding QGFPDSYQFCGNIQNKHRQIGNAVPPPLAYVLGRKLKEAVNAKTSCSE >DRNTG_30155.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3356408:3362618:1 gene:DRNTG_30155 transcript:DRNTG_30155.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLFISIICWKIVSGNKRKGRGASTKKNKSTDDTVVVNAKEKGRVNVDNVRDSVGRKRPKRGAACSDFKEKSIRISEKSSMVETRRDRLVEDERIAVDLTKLGLEDDPPCRKLLDFIFHDAEGNPQPFEMSEIDDLFVTALVMPMDDNLEKEKERGVKCEGFGRIESWAISGYDEGTPVVWISTEIADYECVKPAGSYKRFYDHFCEKARICVEVYRKLAKSAGGDPDLGLEELLAGVVRSMSGSKSFCGGLTGRDFVISLGDFIYNQLIGLDGSEKNDVNFATLPALIALKGECRNNKDFNKVHPEVSGGSLKINETQQSQTIEDDDEKLARLLQEEENWKSMKQQRARQSATSQKNVYIKISEAEIANDYPLPGYYKPAIGEMDEYIFFESDANIYYPDLPRRILNNWSLYNSDSRLISLELLPMIPCAEVDVTVFGSGNMKEDDGTGFCHETEPGQSSSSSLASVDTDGVPVYLSSIKEWMIEFGSSMVFISIRTDVAWYRLGKPAKQYIPWYEPVLKTARLAISIITLLKEQSRVSKLSFADVIKKVSEFDKHHPAFISSNLLLVERYVVVHGQIILQQFSEFPDDNIRKCAFVTGLSEKMQERRHTKLLMNKRFVVQKEANLNPSAAIKPVLSKRNVMRATTTRLINRIWGDYYLHYFPDDSKEGDTHEIKEQELEEDQEENEDEDAEENVPNEEEKLSKSCPSIRSCNSKLNHDEVIWKGESTGKTCCGEALYKYAVVHGDQLAVGGAALIETDECDEPMTIVFLEYMYEKDDGIKMAHGKIMEKGSETVLGNAANEREVFLTNGCVDFELCEVKESVTVDIRLRSWGHKHRASYANADKIDRAKAEERKKKGLPMEYYCKSLYWPERGAFFTLPFDSMGLGNGVCSSCEQRDAEEDFFFVDSTVFVHKKTEYNICDFVYVRPHYFGVEKDEDREIFKGGRNVGLKPYTVCQLQEIEVPRGKTPSPESTLVKVRRFYRPEDVSAQKAYNSDIREVYYSEDITSVPVEAIEGKCEVRKKNDLPIVDLPIITDHVFFCEYCYDADKGSLKQLHAHVKFSPLNQKVPDASSRKKKGKEKCDGEPNGSDKSKDESQESRLATLDIFSGCGGLSEGLQKAGVSFTKWAIEYEQPAGEAFGKNHPNTLMFIDNCNVILRAIMEKCGDVDDCISTSEAAKLAAELSEEKLNNLPLPGQVDFINGGPPCQGFSGMNRFNQGIWSKVQCEMILGFLSFAEYFRPRFFLLENVRTFVSFNKAQTFRLTLASLLEMGYQVRFGILDAGAYGVSQSRKRVFVWAASPEEKLPEWPEPMHVFAGPELKIPLSNGMHYSAVRSTAGGAPFRSITVRDTIGDLPPVVNGASKLSIEYDGSPVSWFQKQIRGRTITLDDHISKEMNELNLIRCQRIPKRPGSDWHDLPDEKVKLSTGQMVDLIPWCLPNTAKRHNQWKGLFGRLDWEGNFPTSITDPQPMGKVGMCFHPEQDRIITVRECARSQGFPDSYQFCGNIQNKHRQIGNAVPPPLAYVLGRKLKEAVNAKTSCSE >DRNTG_30155.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3356102:3362618:1 gene:DRNTG_30155 transcript:DRNTG_30155.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVESGNKRKGRGASTKKNKSTDDTVVVNAKEKGRVNVDNVRDSVGRKRPKRGAACSDFKEKSIRISEKSSMVETRRDRLVEDERIAVDLTKLGLEDDPPCRKLLDFIFHDAEGNPQPFEMSEIDDLFVTALVMPMDDNLEKEKERGVKCEGFGRIESWAISGYDEGTPVVWISTEIADYECVKPAGSYKRFYDHFCEKARICVEVYRKLAKSAGGDPDLGLEELLAGVVRSMSGSKSFCGGLTGRDFVISLGDFIYNQLIGLDGSEKNDVNFATLPALIALKGECRNNKDFNKVHPEVSGGSLKINETQQSQTIEDDDEKLARLLQEEENWKSMKQQRARQSATSQKNVYIKISEAEIANDYPLPGYYKPAIGEMDEYIFFESDANIYYPDLPRRILNNWSLYNSDSRLISLELLPMIPCAEVDVTVFGSGNMKEDDGTGFCHETEPGQSSSSSLASVDTDGVPVYLSSIKEWMIEFGSSMVFISIRTDVAWYRLGKPAKQYIPWYEPVLKTARLAISIITLLKEQSRVSKLSFADVIKKVSEFDKHHPAFISSNLLLVERYVVVHGQIILQQFSEFPDDNIRKCAFVTGLSEKMQERRHTKLLMNKRFVVQKEANLNPSAAIKPVLSKRNVMRATTTRLINRIWGDYYLHYFPDDSKEGDTHEIKEQELEEDQEENEDEDAEENVPNEEEKLSKSCPSIRSCNSKLNHDEVIWKGESTGKTCCGEALYKYAVVHGDQLAVGGAALIETDECDEPMTIVFLEYMYEKDDGIKMAHGKIMEKGSETVLGNAANEREVFLTNGCVDFELCEVKESVTVDIRLRSWGHKHRASYANADKIDRAKAEERKKKGLPMEYYCKSLYWPERGAFFTLPFDSMGLGNGVCSSCEQRDAEEDFFFVDSTVFVHKKTEYNICDFVYVRPHYFGVEKDEDREIFKGGRNVGLKPYTVCQLQEIEVPRGKTPSPESTLVKVRRFYRPEDVSAQKAYNSDIREVYYSEDITSVPVEAIEGKCEVRKKNDLPIVDLPIITDHVFFCEYCYDADKGSLKQLHAHVKFSPLNQKVPDASSRKKKGKEKCDGEPNGSDKSKDESQESRLATLDIFSGCGGLSEGLQKAGVSFTKWAIEYEQPAGEAFGKNHPNTLMFIDNCNVILRAIMEKCGDVDDCISTSEAAKLAAELSEEKLNNLPLPGQVDFINGGPPCQGFSGMNRFNQGIWSKVQCEMILGFLSFAEYFRPRFFLLENVRTFVSFNKAQTFRLTLASLLEMGYQVRFGILDAGAYGVSQSRKRVFVWAASPEEKLPEWPEPMHVFAGPELKIPLSNGMHYSAVRSTAGGAPFRSITVRDTIGDLPPVVNGASKLSIEYDGSPVSWFQKQIRGRTITLDDHISKEMNELNLIRCQRIPKRPGSDWHDLPDEKVKLSTGQMVDLIPWCLPNTAKRHNQWKGLFGRLDWEGNFPTSITDPQPMGKVGMCFHPEQDRIITVRECARSQGFPDSYQFCGNIQNKHRQIGNAVPPPLAYVLGRKLKEAVNAKTSCSE >DRNTG_33357.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26633794:26637059:-1 gene:DRNTG_33357 transcript:DRNTG_33357.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial phosphate carrier protein 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G17270) UniProtKB/Swiss-Prot;Acc:Q7DNC3] MGEGMEAKGWWKGLRVFSPEYYALCAAGGMLSAGTTHLAITPFDVLKVNMQVNPVKYNSMFSGLKILVKEQGPSSLWRGWAGKFFGYGVQGGCKFGLYEYFKRMYSDALVGQNKSIIFFLSSASAQAIADVALCPFEAVKVRVQAQPWFAKGLADGFPKLKASEGFSGFYKGLFPLWGRNLPFSILMFSTFEHSVDFLYRKVIQTKKEDCSRAQQLAVTCVAGYASGTIGTIVSNPADNIVASLYNKKADSIVQAVKKIGLLNLFTRSLPIRITLVGPVVTLQWFFYDTIKVLTGLPTSGGVITDLDEEH >DRNTG_11597.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13650225:13651171:-1 gene:DRNTG_11597 transcript:DRNTG_11597.3 gene_biotype:protein_coding transcript_biotype:protein_coding RYLQVTFLPFYVVQCNSSNKDTNRSKKLHTKTKLQQLF >DRNTG_11597.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13637248:13651066:-1 gene:DRNTG_11597 transcript:DRNTG_11597.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRWRHVSLDSCKSASLINPVFGGPKHAFLYSQWLSSLLLRSRRHEPARRREAGAADEIEKGGIEKSCGTVQTDPINPKSSLLLRSRRHEPTRW >DRNTG_11597.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13637248:13651066:-1 gene:DRNTG_11597 transcript:DRNTG_11597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCTLNGCPLFFFVLVVTSRREGEKRAPLMKSRREASRRVAVQYKQTQ >DRNTG_09478.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15915435:15918087:-1 gene:DRNTG_09478 transcript:DRNTG_09478.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVENPSNGGEIEGSSSGFEVDSCCSTPYVSAPSSPGRGPVPSYFFSAPASPMHYVLASTAFSSSTSSSVAGEGEAEASISGSFEFEFSARGSMISADEIFLNGQIRPMRLASHLQRPQALAPLIDGDEDDDEDDHQRDGVEADVRGRDLRLRSGSVHRRPRSMSPLRNSRFQWVEDEDEQAGGGADLETDPDLKQIEIEIETMTPSSASASSSRSSSSGRNSKRWIFLKDLLYRSKSEGRGKEKEKFWHSISFSIIIIIIIIIEGERQIQAPNSLSSFSILRQAKTQQAGDEEDGHRFPPALGA >DRNTG_09478.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15915435:15918087:-1 gene:DRNTG_09478 transcript:DRNTG_09478.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVENPSNGGEIEGSSSGFEVDSCCSTPYVSAPSSPGRGPVPSYFFSAPASPMHYVLASTAFSSSTSSSVAGEGEAEASISGSFEFEFSARGSMISADEIFLNGQIRPMRLASHLQRPQALAPLIDGDEDDDEDDHQRDGVEADVRGRDLRLRSGSVHRRPRSMSPLRNSRFQWVEDEDEQAGGGADLETDPDLKQIEIEIETMTPSSASASSSRSSSSGRNSKRWIFLKDLLYRSKSEGRGKEKEKFWHSISFSIIIIIIIIIEGERQIQAPNSLSSFSILRQAKTQQAGDEEDGHRFPPALGA >DRNTG_09478.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15915435:15918087:-1 gene:DRNTG_09478 transcript:DRNTG_09478.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVENPSNGGEIEGSSSGFEVDSCCSTPYVSAPSSPGRGPVPSYFFSAPASPMHYVLASTAFSSSTSSSVAGEGEAEASISGSFEFEFSARGSMISADEIFLNGQIRPMRLASHLQRPQALAPLIDGDEDDDEDDHQRDGVEADVRGRDLRLRSGSVHRRPRSMSPLRNSRFQWVEDEDEQAGGGADLETDPDLKQIEIEIETMTPSSASASSSRSSSSGRNSKRWIFLKDLLYRSKSEGRGKEKEKFWHSISFSIIIIIIIIIEGERQIQAPNSLSSFSILRQAKTQQAGDEEDGHRFPPALGA >DRNTG_13921.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19665535:19673923:-1 gene:DRNTG_13921 transcript:DRNTG_13921.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPMIPSSKSSNLSNNVRLLKSKAEVQKNNFGSAREMKTTLTKNQSDHWRITGVVALSECQLKVLPEEVWNCGDKIRVLDASNNFIQEAPAKIGLLKSLYKLILNANEISDKGTSWEGLSSLKSLMFLSLNQNYLTTLPSALGSLTSLQQLHLANNKLANLPPELGLLNQLEILNVRNNRISSVPSSIGNCSSLIEIDLSSNLLVELPETVGNLTNLKALHLSNNGLKSLPPLMFKMCTQLSTLDLHGTEVTNDVLRQVEGWEAFDERRRAKHQKQLDFRVGSSGSFDEGADDERN >DRNTG_13921.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19666482:19673923:-1 gene:DRNTG_13921 transcript:DRNTG_13921.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPMIPSSKSSNLSNNVRLLKSKAEVQKNNFGSAREMKTTLTKNQSDHWRITGVVALSECQLKVLPEEVWNCGDKIRVLDASNNFIQEAPAKIGLLKSLYKLILNANEISDKGTSWEGLSSLKSLMFLSLNQNYLTTLPSALGSLTSLQQLHLANNKLANLPPELGLLNQLEILNVRNNRISSVPSSIGNCSSLIEIDLSSNLLVELPETVGNLTNLKALHLSNNGLKSLPPLMFKMCTQLSTLDLHGTEVTNDVLRQVEGWEAFDERRRAKHQKQLDFRVGSSGSFDEGADDERN >DRNTG_21879.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19161473:19163471:-1 gene:DRNTG_21879 transcript:DRNTG_21879.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGTLDYLPPEMVESVEHDASVDIWSLGVLCYEFLYGVPPFEAKEHSDTYRRIIKVDLKFPPKPIVSAAAKDVISQMLVKDTSQRLPLHKLLEHPWIIQNADPSGIYRG >DRNTG_21879.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19161473:19164504:-1 gene:DRNTG_21879 transcript:DRNTG_21879.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAEVPQEEKSPSKVPTMEKRWTLSDFDIGKPLGRGKFGHVYLAREKKSNHIVALKVLFKSQLKQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQTRVYLILEYAAKGELYKELQKCNYFSERRAATYIASLARALIYLHGKHVIHRDIKPENLLIGVQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVESVEHDASVDIWSLGVLCYEFLYGVPPFEAKEHSDTYRRIIKVDLKFPPKPIVSAAAKDVISQMLVKDTSQRLPLHKLLEHPWIIQNADPSGIYRG >DRNTG_18157.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4012356:4013764:-1 gene:DRNTG_18157 transcript:DRNTG_18157.2 gene_biotype:protein_coding transcript_biotype:protein_coding LGNTYYCDVYETETGWRIGWACATPNIASAIRNIHVRITDSAPSPFQEAALTALTSSPSYFTSLRREYEARRDHIVAILSKLGFEIQCKPQGSVFVFAVIPKNWLHSDVDFVRALIQKAGVAAVPGCGFFHLDSNGEIYRNRYIRFAFCKSEATLAAAARKMQDIANSDGSLQLD >DRNTG_18157.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4012356:4013764:-1 gene:DRNTG_18157 transcript:DRNTG_18157.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKEYEARRDHIVAILSKLGFEIQCKPQGSVFVFAVIPKNWLHSDVDFVRALIQKAGVAAVPGCGFFHLDSNGEIYRNRYIRFAFCKSEATLAAAARKMQDIANSDGSLQLD >DRNTG_18157.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4012356:4013764:-1 gene:DRNTG_18157 transcript:DRNTG_18157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKEYEARRDHIVAILSKLGFEIQCKPQGSVFVFAVIPKNWLHSDVCISSLFKL >DRNTG_10019.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3023380:3024384:-1 gene:DRNTG_10019 transcript:DRNTG_10019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGGPGGPGGPGGPGGAPGPGAGPGPGFGPGPGGPGFGPGPGPGFGWAPLPGGPSGPGPGPGPGWGPFWGGGFCDPFASCFYFLCCCCLIQDCFGPMFGFAPGPLGPSGPPGPPGPF >DRNTG_01241.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15634493:15638833:1 gene:DRNTG_01241 transcript:DRNTG_01241.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMLFSWFQFTFLKELHAEMKSPFSIVYDMDAGGTFALYSLLCRHAKVGLLPNNCDADDALLAYEMGRFYKNNSDTKHKWTIEKSKQSRYLMLMVALLGSCMVIGNGVLVPAISVLSASLNLDDSLASISFKSAEHREAQFEKYAPVPTACFILVALFVLQHFGTHKIGFLFAPIIIVWLLFLCGISAYNVFHWNYRVLYAISPTYLFKYLRNIDIRSWRSLGGVVLSIAGSDAMFADLGHFSKRSIKIAFSFLVYPSLVLCYMGQTAFMSKNWDPSRKVLPSHLVASMPGGTKHIFTLLSVLASVVGSQATITATFSIINQLQALNCFPRVKVVHTSERIHGQIYIPDVNWILMALCLAFTIAVKDVANMGNATGNH >DRNTG_01241.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15634164:15638833:1 gene:DRNTG_01241 transcript:DRNTG_01241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPPLHFADTETWRRTFLLSFQSLGVVFGHLSIGPLYVLHTASSSDMTLDTLFGILSFIIWTMTLIPLVKYVFIVLKADDNGEGGTFALYSLLCRHAKVGLLPNNCDADDALLAYEMGRFYKNNSDTKHKWTIEKSKQSRYLMLMVALLGSCMVIGNGVLVPAISVLSASLNLDDSLASISFKSAEHREAQFEKYAPVPTACFILVALFVLQHFGTHKIGFLFAPIIIVWLLFLCGISAYNVFHWNYRVLYAISPTYLFKYLRNIDIRSWRSLGGVVLSIAGSDAMFADLGHFSKRSIKIAFSFLVYPSLVLCYMGQTAFMSKNWDPSRKVLPSHLVASMPGGTKHIFTLLSVLASVVGSQATITATFSIINQLQALNCFPRVKVVHTSERIHGQIYIPDVNWILMALCLAFTIAVKDVANMGNATGLAVVIGMLITTCFMSLIIGLYWGKVFEATLFLVFFGFVEAVYLLACILNFQKSAWVFLFFILFVLIIMLAWHYGTVKKYEFDVNNKVSIEWLTNLGPGLGVVRLPGIGFVYSDIMTGIPAFFSHFVVNLPAYHQVLVFVSFKPVPVPSVPPSKQYIIGRMGSKEFKVYRCIVRYGYCDSIRDVNIFEDHIFRAIGEFVSLEDRDPVPPNLLEDRLIVTGNLDQEGTAFITVTDTTSIDNNSDQVDEESPKTGSEHSQILPSTTRRRKVRFLLPPDSPQMRPSVREELLELVEAREHGMTYILGQSHIFPHQRSNFLRKLAIKLYIFLGHNCRDPLMVLNIPHAAFVEVGMPYYL >DRNTG_01241.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15634493:15638833:1 gene:DRNTG_01241 transcript:DRNTG_01241.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDTLFGILSFIIWTMTLIPLVKYVFIVLKADDNGEGGTFALYSLLCRHAKVGLLPNNCDADDALLAYEMGRFYKNNSDTKHKWTIEKSKQSRYLMLMVALLGSCMVIGNGVLVPAISVLSASLNLDDSLASISFKSAEHREAQFEKYAPVPTACFILVALFVLQHFGTHKIGFLFAPIIIVWLLFLCGISAYNVFHWNYRVLYAISPTYLFKYLRNIDIRSWRSLGGVVLSIAGSDAMFADLGHFSKRSIKIAFSFLVYPSLVLCYMGQTAFMSKNWDPSRKVLPSHLVASMPGGTKHIFTLLSVLASVVGSQATITATFSIINQLQALNCFPRVKVVHTSERIHGQIYIPDVNWILMALCLAFTIAVKDVANMGNATGLAVVIGMLITTCFMSLIIGLYWGKVFEATLFLVFFGFVEAVYLLACILNFQKSAWVFLFFILFVLIIMLAWHYGTVKKYEFDVNNKVSIEWLTNLGPGLGVVRLPGIGFVYSDIMTGIPAFFSHFVVNLPAYHQVLVFVSFKPVPVPSVPPSKQYIIGRMGSKEFKVYRCIVRYGYCDSIRDVNIFEDHIFRAIGEFVSLEDRDPVPPNLLEDRLIVTGNLDQEGTAFITVTDTTSIDNNSDQVDEESPKTGSEHSQILPSTTRRRKVRFLLPPDSPQMRPSVREELLELVEAREHGMTYILGQSHIFPHQRSNFLRKLAIKLYIFLGHNCRDPLMVLNIPHAAFVEVGMPYYL >DRNTG_01241.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15636794:15638833:1 gene:DRNTG_01241 transcript:DRNTG_01241.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALCLAFTIAVKDVANMGNATGLAVVIGMLITTCFMSLIIGLYWGKVFEATLFLVFFGFVEAVYLLACILNFQKSAWVFLFFILFVLIIMLAWHYGTVKKYEFDVNNKVSIEWLTNLGPGLGVVRLPGIGFVYSDIMTGIPAFFSHFVVNLPAYHQVLVFVSFKPVPVPSVPPSKQYIIGRMGSKEFKVYRCIVRYGYCDSIRDVNIFEDHIFRAIGEFVSLEDRDPVPPNLLEDRLIVTGNLDQEGTAFITVTDTTSIDNNSDQVDEESPKTGSEHSQILPSTTRRRKVRFLLPPDSPQMRPSVREELLELVEAREHGMTYILGQSHIFPHQRSNFLRKLAIKLYIFLGHNCRDPLMVLNIPHAAFVEVGMPYYL >DRNTG_01241.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15634164:15638833:1 gene:DRNTG_01241 transcript:DRNTG_01241.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLITTCFMSLIIGLYWGKVFEATLFLVFFGFVEAVYLLACILNFQKSAWVFLFFILFVLIIMLAWHYGTVKKYEFDVNNKVSIEWLTNLGPGLGVVRLPGIGFVYSDIMTGIPAFFSHFVVNLPAYHQVLVFVSFKPVPVPSVPPSKQYIIGRMGSKEFKVYRCIVRYGYCDSIRDVNIFEDHIFRAIGEFVSLEDRDPVPPNLLEDRLIVTGNLDQEGTAFITVTDTTSIDNNSDQVDEESPKTGSEHSQILPSTTRRRKVRFLLPPDSPQMRPSVREELLELVEAREHGMTYILGQSHIFPHQRSNFLRKLAIKLYIFLGHNCRDPLMVLNIPHAAFVEVGMPYYL >DRNTG_26759.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7968127:7968564:-1 gene:DRNTG_26759 transcript:DRNTG_26759.1 gene_biotype:protein_coding transcript_biotype:protein_coding NVGKKVHKDYNLVDINHKRRFNKYEPFILAEQALQVYYAPYPSMKRDKVD >DRNTG_13777.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13183670:13184407:1 gene:DRNTG_13777 transcript:DRNTG_13777.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFQEKTSNWEGDPPGELTVPQTDTGEQVEYTRARRENHVEGTRQNDPVTSGEGVLSS >DRNTG_01711.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23629857:23639025:1 gene:DRNTG_01711 transcript:DRNTG_01711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDSLLRILKRFVTLETLQRKDQVTDAPEIHSNGFHVKFDITEGQIGFILPTVISPCDIETFQGLLSGNKHEHDNTSWNTCILLPFRSKFRKVLDIRSIVSMFSDLHPSLLLFLHRLCCIKFRNMLDDSFVIMKKETLGNGIVKVSHGKETMSWLVVTEKLQASVVRPDVQSTEIAMAFTLQESEDGVYTPHLFQQPVFAFLPLRKYGLKFILQGDFVLPSSREEVDGNSAWNQWLLSEFPALFVSAEQAFCALPCFQKNPGKAVTAYLSFVPLPVEVHGFFSHLPNMIISKLRMSNCLLSDGPKLEWVLPCQVLRGWNDQIRLLISDSLLQKHLGLCYLNQEVNLSDTLAKALGVQDYGLKILVDIIQSICQTSDGIKLMGLNWLSLWLHVVYSTLSSYSSGHLSLNTGSDHDLINCLKKIPFIPLSDGAYSSTAEGPIWLPCDAYGNGLEGKHSCKDFSNLYAKLRIVDPCFLLGTSNTHALEMRHGCIQVLRKIGVQELSAHEVITRHILVYISDDKYIKDDRNLSVEYISFIMQHLQYACASCDTEKADIISKLRKGSLLLTNHEYHCTQNVPIHFSKEYGNPVDIGRLIESTNAVWHEIDVAYLMHPTTQSSAFDLVKWRDFLQELGVTDFVQVNSVHKNLTDVLHTIPGSMACCGDIQIASSYISDWESPELVSLLSTISLEGSRDKCIYLLGVLDKMWDDCYSCKTSCVLSSSNENKELIESSFMKCIREFKWIASTLDDKLHHSEDLFYDCEEVSSILGNLAPYAVPRVTSKLLLEKVGFKSRVSLDDALTVFSSWRMSKPSLVTSTAQISKFYTFISDKITQGNFQITKDLVSSPFIFIPSDISSKCNDVVPGILLSSEDVYWHDPTGCVDKTVEMARLCASIQETGCLHCKALATVYPSLRDFFVKACGVPTVPPFGSYLSILLQLSTVSLPSQSSHLVFRVFLKWSDDFNSGLVDTEEFVELKDNLLKLENTILPILGDRWVSLHPSYGLICWSDDDKLKQQFKHCDGVEFLNFNELGNQEKEVLSEKVANFLQKLGVPPLSQVVSREAIFYGATDNKEKASLINWLFPFVQRYLYKFYPEVYINLKKLGFEKLTQLQVIVVDKLFYKYTLKGHDSTSKKRFEAACLLQGNIFYVTQTSDHHTMFLEFSRFFFNGSTELHLANFLHMITVMAESGSSMVQTEFFIVNSQKVPNLPAVEPVWSLSSLSAGSNSAEAPPPINCSPMNNNTQNAQSCPRRKPGISPHWPPTDWKSAPDFNYAMNNYLLTKPLSSLGTSLENATPMEDIPVVTEIEGDFVLEEDILPKDTSVSQDSVLINGKAHVADYLDSTEWQISSNLDQGNRAFSSLPAQADSSISIETDRLLWHTPDENLSRRVGRLGELLSYKYFTEKFGSANVKWVNKETETGLPYDLVIGETEENREYIEVKATKSARKDWFQISTKEWQFASLKGDAFSVAHVVLLSQNKAKITVFKNPLKLCQQNVMQLAVLMTKQPKNS >DRNTG_01711.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23632656:23639025:1 gene:DRNTG_01711 transcript:DRNTG_01711.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDLHPSLLLFLHRLCCIKFRNMLDDSFVIMKKETLGNGIVKVSHGKETMSWLVVTEKLQASVVRPDVQSTEIAMAFTLQESEDGVYTPHLFQQPVFAFLPLRKYGLKFILQGDFVLPSSREEVDGNSAWNQWLLSEFPALFVSAEQAFCALPCFQKNPGKAVTAYLSFVPLPVEVHGFFSHLPNMIISKLRMSNCLLSDGPKLEWVLPCQVLRGWNDQIRLLISDSLLQKHLGLCYLNQEVNLSDTLAKALGVQDYGLKILVDIIQSICQTSDGIKLMGLNWLSLWLHVVYSTLSSYSSGHLSLNTGSDHDLINCLKKIPFIPLSDGAYSSTAEGPIWLPCDAYGNGLEGKHSCKDFSNLYAKLRIVDPCFLLGTSNTHALEMRHGCIQVLRKIGVQELSAHEVITRHILVYISDDKYIKDDRNLSVEYISFIMQHLQYACASCDTEKADIISKLRKGSLLLTNHEYHCTQNVPIHFSKEYGNPVDIGRLIESTNAVWHEIDVAYLMHPTTQSSAFDLVKWRDFLQELGVTDFVQVNSVHKNLTDVLHTIPGSMACCGDIQIASSYISDWESPELVSLLSTISLEGSRDKCIYLLGVLDKMWDDCYSCKTSCVLSSSNENKELIESSFMKCIREFKWIASTLDDKLHHSEDLFYDCEEVSSILGNLAPYAVPRVTSKLLLEKVGFKSRVSLDDALTVFSSWRMSKPSLVTSTAQISKFYTFISDKITQGNFQITKDLVSSPFIFIPSDISSKCNDVVPGILLSSEDVYWHDPTGCVDKTVEMARLCASIQETGCLHCKALATVYPSLRDFFVKACGVPTVPPFGSYLSILLQLSTVSLPSQSSHLVFRVFLKWSDDFNSGLVDTEEFVELKDNLLKLENTILPILGDRWVSLHPSYGLICWSDDDKLKQQFKHCDGVEFLNFNELGNQEKEVLSEKVANFLQKLGVPPLSQVVSREAIFYGATDNKEKASLINWLFPFVQRYLYKFYPEVYINLKKLGFEKLTQLQVIVVDKLFYKYTLKGHDSTSKKRFEAACLLQGNIFYVTQTSDHHTMFLEFSRFFFNGSTELHLANFLHMITVMAESGSSMVQTEFFIVNSQKVPNLPAVEPVWSLSSLSAGSNSAEAPPPINCSPMNNNTQNAQSCPRRKPGISPHWPPTDWKSAPDFNYAMNNYLLTKPLSSLGTSLENATPMEDIPVVTEIEGDFVLEEDILPKDTSVSQDSVLINGKAHVADYLDSTEWQISSNLDQGNRAFSSLPAQADSSISIETDRLLWHTPDENLSRRVGRLGELLSYKYFTEKFGSANVKWVNKETETGLPYDLVIGETEENREYIEVKATKSARKDWFQISTKEWQFASLKGDAFSVAHVVLLSQNKAKITVFKNPLKLCQQNVMQLAVLMTKQPKNS >DRNTG_11322.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:8931717:8942343:-1 gene:DRNTG_11322 transcript:DRNTG_11322.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSYSAWKTWTEVWNSPEFKTRCEKATANRLTEIAGPGSGISQHTGGSISYASDADRLRSRLGRDPRHFELFEDRYLELVEQASQTQEGHDELPIVDEIALYYEAVVGGKKSRVYGIGSQECIFYPHSSSSLSTGSSSEALHAEVRDLLQTLSQVQDCEERLQQTLDQVQDREERLQQTLGQCCDRAYLVDQLLQSSLTNGLALSEYLDVGIKAGGKLRLLDKLLQEIKNQGLRVVILF >DRNTG_23911.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001282.1:15488:15784:1 gene:DRNTG_23911 transcript:DRNTG_23911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQCTTCVSTMEEIPPLFDTQGIQLIVDLSDLQPISEGETEEDKENELTEKEENEQIDGDVDDGDGDGDDDDDDVDDDDDDDDF >DRNTG_00460.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000043.1:2535:10033:1 gene:DRNTG_00460 transcript:DRNTG_00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDDHCPVCIIADLFYGWTVNTAKIHNIFHATYMPTGAIGSAFLFSMMKHLPHTKTEAMEFNLPGFPSSFRLHRTQLPGPLRSDDGSDEVSIFFRKKIELSSLSDAFLCNTVKEVETLGLQALRWNAGDVPVYPCRPSSST >DRNTG_00975.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20901435:20903487:-1 gene:DRNTG_00975 transcript:DRNTG_00975.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLQDLVPGCNKLTGKAMMLDEIINYVQSLQRQVEFLSMKLAAVNPEVNFDVEQILSKDILQSQDGGNSAALGFGQAMTIPHQQFHGLMQTEMGICNMPNSGGILRLNNSEISQMAQIPTNVWDQDLQNLVPMGFIGNPPIESKEMNGSMKAEL >DRNTG_00975.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20901435:20903487:-1 gene:DRNTG_00975 transcript:DRNTG_00975.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIRREVSSDWDPLLAMDHNMNFIGSSGFPPFIAGVVNSSNDDGRNDQVPSLSCFGLVDSPEFPSVADNVKGKKRKGFTDFGASHSRSPVIKTQKAEAEQQKIDEKKPKNDNADAKKEDYIHVRAKRGQATNSHSLAERVRREKISERMRLLQDLVPGCNKLTGKAMMLDEIINYVQSLQRQVEFLSMKLAAVNPEVNFDVEQILSKDILQSQDGGNSAALGFGQAMTIPHQQFHGLMQTEMGICNMPNSGGILRLNNSEISQMAQIPTNVWDQDLQNLVPMGFIGNPPIESKEMNGSMKAEL >DRNTG_00975.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20901435:20903487:-1 gene:DRNTG_00975 transcript:DRNTG_00975.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIRREVSSDWDPLLAMDHNMNFIGSSGFPPFIAGVVNSSNDDGRNDQVPSLSCFGLVDSPEFPSVADNVKGKKRKGFTDFGASHSRSPVIKTQQKIDEKKPKNDNADAKKEDYIHVRAKRGQATNSHSLAERVRREKISERMRLLQDLVPGCNKVINLA >DRNTG_00975.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20901435:20905876:-1 gene:DRNTG_00975 transcript:DRNTG_00975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIRREVSSDWDPLLAMDHNMNFIGSSGFPPFIAGVVNSSNDDGRNDQVPSLSCFGLVDSPEFPSVADNVKGKKRKGFTDFGASHSRSPVIKTQKAEAEQQKIDEKKPKNDNADAKKEDYIHVRAKRGQATNSHSLAERVRREKISERMRLLQDLVPGCNKLTGKAMMLDEIINYVQSLQRQVEFLSMKLAAVNPEVNFDVEQILSKDILQSQDGGNSAALGFGQAMTIPHQQFHGLMQTEMGICNMPNSGGILRLNNSEISQMAQIPTNVWDQDLQNLVPMGFIGNPPIESKEMNGSMKAEL >DRNTG_17559.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29167870:29177225:1 gene:DRNTG_17559 transcript:DRNTG_17559.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRSLPIITFEHKRDTYGFAVRPQHLQRYREYANIYKEEEEERSERWKDFLERQTEAGDVLHDNPPAEESSVTSPVAQEEQPEETSLEGRGYPEELTSEEEVANVDTKTHEIQKWAPLSASLSAIEQLMSVRVKKRRNFSKDDQVGGKSGDGLASIDEGRPVKGASEEDSEEEFYDVEKADLVQDVASSDGTGTDLGSQYLFPPWREELESLVRGGVPKGLRGELWQAFVGVRARRVEGYYQDLLDSEAKSSDDKEINSTCDIAEKPQGCVNEKWKRQIEKDLPRTFPGHPALDEDGRDALRRLLTAYARHNPSVGYCQAMNFFAGILLLLMPEENAFWTLLGIIDDYFDGYYSEEMIESQVDLLILEEIVRERFPKLVNHLDYLGIQVTWVAGPWFLSIFVNMLPWESVLRVWDVLLFDGNRVMLFRTTLALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQAVNETKLQELRKKHRPDVIAAMEERSKGLGVLNGSKGLATKLYSFKHDPGSLLSKTAENLGDTNGDAHLSQPESSDSGGFLNSEMVDAEFDSVPHLKEQVAWLKVELCRLLEEKWSATLRAEELETALMEMVKLDNRRILSAKVEQLEQEESELRQLLADKQEQEHAMLQVLMRVEQEQKVTEDARIFAEQDAAAQRYATHMLQEKYEEAMTSLAQMEKRAVMAETMLEATLQYQSGQVKAHPSPSPSPRTPRGDHATGMPNQESSQEAPTWKMGFRPFGLGWRDKNKGKPSTNEDSSDVKHLNDGTPSINTPQKDTNNHQESR >DRNTG_17559.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29167870:29177225:1 gene:DRNTG_17559 transcript:DRNTG_17559.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMNFFAGILLLLMPEENAFWTLLGIIDDYFDGYYSEEMIESQVDLLILEEIVRERFPKLVNHLDYLGIQVTWVAGPWFLSIFVNMLPWESVLRVWDVLLFDGNRVMLFRTTLALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQAVNETKLQELRKKHRPDVIAAMEERSKGLGVLNGSKGLATKLYSFKHDPGSLLSKTAENLGDTNGDAHLSQPESSDSGGFLNSEMVDAEFDSVPHLKEQVAWLKVELCRLLEEKWSATLRAEELETALMEMVKLDNRRILSAKVEQLEQEESELRQLLADKQEQEHAMLQVLMRVEQEQKVTEDARIFAEQDAAAQRYATHMLQEKYEEAMTSLAQMEKRAVMAETMLEATLQYQSGQVKAHPSPSPSPRTPRGDHATGMPNQESSQEAPTWKMGFRPFGLGWRDKNKGKPSTNEDSSDVKHLNDGTPSINTPQKDTNNHQESR >DRNTG_20460.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4629065:4637501:1 gene:DRNTG_20460 transcript:DRNTG_20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEGSPEIGGEKRMVAARSSMVDSFKGCGLSGMKIDKEELRRRILIPRYLRLAMVEAVREKNPVVVEALKEEEEEGVAAPEAPLVVFVNSRSGGRHGPELKVRLQELMSEEQVFDLSSVKPLDFVQYSLACLERLAASGDSCAKITRQKLRIMVAGGDGTVGWVLGSLAELVAQNREPVPPVGIIPLGTGNDLSRSYGWGGSFPFVWRSAMKRSLLRAATGPICRLDSWQIVVSMPKEEKVELPHSLRHLDGADFSQDLDIEDETLEKFSCFGGVFYNYFSIGMDAQIAYGFHHLRNQKPYLAQGPITNKLIYSGYTCTQGWFCTPCIGGPGLRGLKNIMQLSIKRANCSNWEQIPVPSDVRSLVALNLHNYGSGRNPWGHPKAEYLEKRGFVEAHADDGLLEIFGLKQGWHASFVMVDLITAKHIAQAAAIKLEIRGGDWKKAYMQMDGEPWKQPMSKEYSTYVEIRRVPTQSLMINRD >DRNTG_02744.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:21395661:21396398:1 gene:DRNTG_02744 transcript:DRNTG_02744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMSKLIEEGLRGSLPSNTETNSKEWLKGYHWEVGNSSQIPWKMNSKKKLSHPTSFTLRPKLVKRRRQ >DRNTG_05498.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30236951:30240790:1 gene:DRNTG_05498 transcript:DRNTG_05498.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESIFLTLIATAGNNIGKVFQKKGTVILPPLSFKLKVIRAYAFNKLWIIGFLMDIVGALLMLRALSLAPVSVIQPVSGCGLAILSVFSHFYLKEVMNTLDWVGIAFAGAGTIGVGVGGEEQDVSSVSLLRLPWLLFAVAILFVLLNTWLHIYKSKRREQELMQSEVVEEIIFGLESGILFGMASVISKLGFMFSSLGFSKILVPICITISICCSATGFVYQTRGLKHGRAIVVSTCAAVASIVTGVLAGMLALGEQMPSAPSARLFL >DRNTG_05498.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30236951:30240101:1 gene:DRNTG_05498 transcript:DRNTG_05498.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWESIFLTLIATAGNNIGKVFQKKGTVILPPLSFKLKVIRAYAFNKLWIIGFLMDIVGALLMLRALSLAPVSVIQPVSGCGLAILSVFSHFYLKEVMNTLDWVGIAFAGAGTIGVGVGGEEQDVSSVSLLRLPWLLFAVAILFVLLNTWLHIYKSKRREQELMQSEVVEEIIFGLESGILFG >DRNTG_26011.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23124440:23125466:-1 gene:DRNTG_26011 transcript:DRNTG_26011.2 gene_biotype:protein_coding transcript_biotype:protein_coding GVARVADSRWTNYLRPDIKRGNFSQEEEETIIKLHEALGNRWSAIAAKLPGRTDNEIKNIWHTYLKKRVNPNEMVKPPKRKTRAKFIKKETSITSVLSPSSSVITEDNNSENIIKDQDQWINISSFNHDQVIPEIDESFWSEMVMPMQMDNNNNNDHQSLRSFSVEDEEMDFWLRVFLEAEELQELPEI >DRNTG_26011.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23124440:23125787:-1 gene:DRNTG_26011 transcript:DRNTG_26011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPCCEKMGLKKGPWTTEEDHILISYIQSFGHGNWRALPKKAGLLRCGKSCRL >DRNTG_30776.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:247503:282908:1 gene:DRNTG_30776 transcript:DRNTG_30776.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMYATEIDKVTVECSGLMNTIASDTEVKSKEKLLSAFTKYMQSSGFSKNDVDTSVNREGQQKRHVTKDFNVHGDLEDRKSSVISLVQIVANKIYKGVKVKLLNFLNDSSVQVRWIEDDFEQHDSVTYDEFEEQIEKCVLVSSFVNEIWELFGSDITMENVQFISEVNWPSLFQACLYSCKKLIEQVTEVILPDIIKSVISYNSEVMEAFGLLSQIRGSIDTALEKLVEVELERASLLELEKNYFVKVGLITEQQLALEEAAVKGRDNLSWEEAEELASQEEACRAKLDKLQKTWNEKDIRSASLKKLESDIKTSLASSGRLFSTLIIPEQGSELHSRGSKGLLAALVKPFFELESVDHVLSSYGASYLDESANNVAETVASTPLSGLTWRLTSLLGNHSFFIWKIGILDSMLDLCIHNISSSIDQNLGFDQLYNVVKKKLEIHLQNHVSRYSKERLAPAFMMQLDKQNENIEHTRKEADKLRHDDGPVKKVHYMLEECCNAHENARTAKSAISLMKRQVNELTESLRKSVLEIVQMEWLHDLSLPHTLRSKVLSQSILGNDKFYVTILNLSRPKLLEKLQSSVSALANVTGCLQDCERTSMSVEGQLERAMGWACASPSIVGTPGIPTEFHNHLLRRKKLLWVTQEQVSDIIKICTSVVEFEASRDDILHMPTEKSCGGTINNGRSWQNAYLTVLTRLDVAYHSFTGAEQEWKLAKNDMEAAANSLFSATRELTVVSAKAKSASGDLQDNITTMFDCAYEASATLSAFACVSKGHTALTSECGSMLEEVLVITRSLHDLYGLGKQAAAAHSVLMADLGKANEILIPLEALLSTDLIALADAISKDKENKSYIPPIHGQALYKSYCFRLREACQSVEHLVPSIICSVKDLHSMLTKLGRASGLHAGNLHKALEGVGETEFVRSQELALSRSDFSAGGDSLQDDKDKNLLLGGDGGNFQSFTELSPQGEEWISPPDCTYTSSLDSSISSSELSATRLVDTAELSSFNNPVADDMHVSCPSTHCLESEAGDNSVSQFAVEDGVTVVTTSVPPDLQGSMQTLSSNKSTGISITSSNNGALAELHKSRLLSSSLNVKVSNIERWYNVVEEGSRDEKSVGSKYREYSSKKVEGHWENNDKASYTHSANSVTWEKNSYALSVLRQVELKLEGRENDEFRSMTISEQVDYLLKQATSVDNLCNMYEGWTPWI >DRNTG_30776.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:280514:282908:1 gene:DRNTG_30776 transcript:DRNTG_30776.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGKANEILIPLEALLSTDLIALADAISKDKENKSYIPPIHGQALYKSYCFRLREACQSVEHLVPSIICSVKDLHSMLTKLGRASGLHAGNLHKALEGVGETEFVRSQELALSRSDFSAGGDSLQDDKDKNLLLGGDGGNFQSFTELSPQGEEWISPPDCTYTSSLDSSISSSELSATRLVDTAELSSFNNPVADDMHVSCPSTHCLESEAGDNSVSQFAVEDGVTVVTTSVPPDLQGSMQTLSSNKSTGISITSSNNGALAELHKSRLLSSSLNVKVSNIERWYNVVEEGSRDEKSVGSKYREYSSKKVEGHWENNDKASYTHSANSVTWEKNSYALSVLRQVELKLEGRENDEFRSMTISEQVDYLLKQATSVDNLCNMYEGWTPWI >DRNTG_19743.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001050.1:3943:4299:-1 gene:DRNTG_19743 transcript:DRNTG_19743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQIYPVLALDRLISNVQSDHTESTCKVPDTALYEISDSSNTWVSSRSAPRGTLTPALLGWLAHLHASSLSNVLSNSLAFFNQLLCP >DRNTG_16145.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15728637:15731004:-1 gene:DRNTG_16145 transcript:DRNTG_16145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNPSILIEGDPELECTLRRNGKEPVQE >DRNTG_15791.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17075099:17075848:1 gene:DRNTG_15791 transcript:DRNTG_15791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLKQTLVNAAGKDLFLSLYSSWCHSARATISLCLLSQVYHHANCVILSMVEEDRMMKPLIGATIVVFWLELPSFAHIKQQLLEDRMSVWLKKL >DRNTG_27269.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22444303:22447035:1 gene:DRNTG_27269 transcript:DRNTG_27269.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyethylthiazole kinase [Source:Projected from Arabidopsis thaliana (AT3G24030) UniProtKB/Swiss-Prot;Acc:Q9LIQ4] MRAGLGSSILLLSRPPISAWMPAWASSPSVLPSSAGTPPRFSPFPQPGVDSSHESTDAVEAAMSLARTSNAIVAVSGAIDYVTDGQRVVGAQNGVPMLQKITATGCAVTALIAAFVAVDPSNPFEATVCALSIFGLAGEMGMDFARGPASLRMTLIDSLHGLDEQNVVSRVIVSPMP >DRNTG_10952.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:220215:221555:-1 gene:DRNTG_10952 transcript:DRNTG_10952.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFMRNGVRIACPDSAAGLYIKTRNDRIVKVVFGEDNLAYQIGETTEILTRGLLCATPHCVRAPKGENASGVDRSTFALFMQPDWDEILRFPSEVHHHKEVWI >DRNTG_10952.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:219820:225678:-1 gene:DRNTG_10952 transcript:DRNTG_10952.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDVGLMLAYHCDRYVSKSIRMHDDEGLEQTLQHSRCHKGRLLYYFPRQWSESKEDTSSMSSWCGWHTDHGSLTGLTCGMFMRNGVRIACPDSAAGLYIKTRNDRIVKVVFGEDNLAYQIGETTEILTRGLLCATPHCVRAPKGENASGVDRSTFALFMQPDWDEILRFPSEVHHHKELILSNGTLTFGEYSEMLVDKYYQQGS >DRNTG_10952.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:219820:220368:-1 gene:DRNTG_10952 transcript:DRNTG_10952.5 gene_biotype:protein_coding transcript_biotype:protein_coding DEILRFPSEVHHHKELILSNGTLTFGEYSEMLVDKYYQQGS >DRNTG_21184.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:9479747:9485507:1 gene:DRNTG_21184 transcript:DRNTG_21184.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINIFRAEKGFDPERIRESQRRRFSPVEVVDEIISLDKEWRQRQFELENLRKDFNRINKEVARLKIAKEDATEMINSTNENKKLTAKKEIEVQEAKAVLDSKLETIGNLVHDSVPVSNDEANNEIVRVWGDRRMEDKLKNHVDLVKLLDIADLEKGADVAGGRGFYLKGEGVLLNMALINFGLAFLRERKYVPMQTPFFMRKDIMGKCAQLAQFDEELYKVHGWVIFLALEKMLMSSGLRSLVFVVIAVCKTGYR >DRNTG_21184.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:9479747:9485436:1 gene:DRNTG_21184 transcript:DRNTG_21184.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINIFRAEKGFDPERIRESQRRRFSPVEVVDEIISLDKEWRQRQFELENLRKDFNRINKEVARLKIAKEDATEMINSTNENKKLTAKKEIEVQEAKAVLDSKLETIGNLVHDSVPVSNDEANNEIVRVWGDRRMEDKLKNHVDLVKLLDIADLEKGADVAGGRGFYLKGEGVLLNMALINFGLAFLRERKYVPMQTPFFMRKDIMGKCAQLAQFDEELYKVTGEGDDKYLIATAEQPLCAYHLNDRIYPQDLPIRYAGYSTCFRKEAGSHGRDTLGIFRVHQFEKVEQFCITSPNDNDSWEMHEEMLKNSEDFYKDLNLPYQVVAIVSGALNDAAAKKYDLEAWFPASKTYRELVSCSNCTDYQSRRLGIGFGQKKARLRPCYFLLIINSSGIRKTIFQVLFWPIF >DRNTG_21184.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:9479747:9485507:1 gene:DRNTG_21184 transcript:DRNTG_21184.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINIFRAEKGFDPERIRESQRRRFSPVEVVDEIISLDKEWRQRQFELENLRKDFNRINKEVARLKIAKEDATEMINSTNENKKLTAKKEIEVQEAKAVLDSKLETIGNLVHDSVPVSNDEANNEIVRVWGDRRMEDKLKNHVDLVKLLDIADLEKGADVAGGRGFYLKGEGVLLNMALINFGLAFLRERKYVPMQTPFFMRKDIMGKCAQLAQFDEELYKVTGEGDDKYLIATAEQPLCAYHLNDRIYPQDLPIRYAGYSTCFRKEAGSHGRDTLGIFRVHQFEKVEQFCITSPNDNDSWEMHEEMLKNSEDFYKDLNLPYQVVAIVSGALNDAAAKKYDLEAWFPASKTYRELVSCSNCTDYQSRRLGIGFGQKKNDEQAKQYVLYAEFYLN >DRNTG_21184.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:9479747:9485507:1 gene:DRNTG_21184 transcript:DRNTG_21184.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINIFRAEKGFDPERIRESQRRRFSPVEVVDEIISLDKEWRQRQFELENLRKDFNRINKEVARLKIAKEDATEMINSTNENKKLTAKKEIEVQEAKAVLDSKLETIGNLVHDSVPVSNDEANNEIVRVWGDRRMEDKLKNHVDLVKLLDIADLEKGADVAGGRGFYLKGEGVLLNMALINFGLAFLRERKYVPMQTPFFMRKDIMGKCAQLAQFDEELYKVTGEGDDKYLIATAEQPLCAYHLNDRIYPQDLPIRYVLLLYFIS >DRNTG_21184.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:9479747:9485507:1 gene:DRNTG_21184 transcript:DRNTG_21184.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINIFRAEKGFDPERIRESQRRRFSPVEVVDEIISLDKEWRQRQFELENLRKDFNRINKEVARLKIAKEDATEMINSTNENKKLTAKKEIEVQEAKAVLDSKLETIGNLVHDSVPVSNDEANNEIVRVWGDRRMEDKLKNHVDLVKLLDIADLEKGADVAGGRGFYLKGEGVLLNMALINFGLAFLRERKYVPMQTPFFMRKDIMGKCAQLAQFDEELYKVTGEGDDKYLIATAEQPLCAYHLNDRIYPQDLPIRYAGYSTCFRKEAGSHGRDTLGIFRVHQFEKVEQFCITSPNDNDSWEMHEEMLKNSEDFYKDVQFCEINSN >DRNTG_15506.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20833728:20835812:1 gene:DRNTG_15506 transcript:DRNTG_15506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSQTAHFALAVAFFGSLAFILGVIAENKKPPFGTPIPGKGVIVCSYPSDPTIALGILSVVCLFVSTAIGFVSVFYPYKGKPIEKKVLFQNTTLLVFFIIAMAVFALAEGMMMWATITEGLHLSRKVHHDLQTKCPTAKTGLFGGAAFLALDAALFWLVCQMLTQNVRADHFEEDDPKGDYGQVLATDYDTNGLGQAGGKV >DRNTG_34465.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18172020:18174786:-1 gene:DRNTG_34465 transcript:DRNTG_34465.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP cyclohydrolase 1 [Source:Projected from Arabidopsis thaliana (AT3G07270) UniProtKB/Swiss-Prot;Acc:Q9SFV7] MFSYCESCLLPFSIRCHVGYIPSGQRVVGLSKLSRVADVFARRLQEPQRLADEISSALQSSINPAGIAVALQCSHIQLPETLNCKAYLKISSKLDMQGWVNASVFSSSGVFKNEDHPFWDDFLALLKFKGIHMEETDPYHSLAQSWCPLRSLDILPCNGRNLTNVKSSPKFGVIQTSMVDAVTSIIEALGEDPSRKELMGTPSRFIHWLTNFKKSSFEMELSRNSLHMKTTNGVAGAEQNEMHTELSLPFCSQCEHHLLPFHGVVHVGYFSSNEGEVIQRCILQSLVHFYSVKLQVQERLTRQIAETVYSVLGSGVMVVVEASHTCMISRGIEKVGCTTATMALLGRFSTETKAKMMFLQAISNHTTTG >DRNTG_34465.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18172020:18174786:-1 gene:DRNTG_34465 transcript:DRNTG_34465.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP cyclohydrolase 1 [Source:Projected from Arabidopsis thaliana (AT3G07270) UniProtKB/Swiss-Prot;Acc:Q9SFV7] MGALDDAHLDEELHCAVGLVPGSGSEMLSTREIEDAVKVLLHGLGEDSGREGLKKTPLRVAKAFLDGTRGYKLKVNDIVQGALFPEAGLENATGCAGGVGGLVVVRDIDMFSYCESCLLPFSIRCHVGYIPSGQRVVGLSKLSRVADVFARRLQEPQRLADEISSALQSSINPAGIAVALQCSHIQLPETLNCKAYLKISSKLDMQGWVNASVFSSSGVFKNEDHPFWDDFLALLKFKGIHMEETDPYHSLAQSWCPLRSLDILPCNGRNLTNVKSSPKFGVIQTSMVDAVTSIIEALGEDPSRKELMGTPSRFIHWLTNFKKSSFEMELSRNSLHMKTTNGVAGAEQNEMHTELSLPFCSQCEHHLLPFHGVVHVGYFSSNEGEVIQRCILQSLVHFYSVKLQVQERLTRQIAETVYSVLGSGVMVVVEASHTCMISRGIEKVGCTTATMALLGRFSTETKAKMMFLQAISNHTTTG >DRNTG_10156.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10363476:10363855:1 gene:DRNTG_10156 transcript:DRNTG_10156.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFMINTVIECTGIPETECGVRIFRARFSSVTEKDILNALSNPVKPNKDEALAVDARQEIDLKVGVAFTRFQTRYFQGKYGNLDSRVIS >DRNTG_10156.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10359721:10363855:1 gene:DRNTG_10156 transcript:DRNTG_10156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKVLMVAEKPSIALSIASALAGGRMSSRKSSTDVHEFDGTFMGFDVHYKVTSVIGHVFSLDFPPSYQNWEVTDPMDLFQAPVLKSESNPKAHIRRHLSQEARGCGYLVLWLDCDREGENICFEVIECTGIPETECGVRIFRARFSSVTEKDILNALSNPVKPNKDEALAVDARQEIDLKVGVAFTRFQTRYFQGKYGNLDSRVIS >DRNTG_02189.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1358733:1360700:-1 gene:DRNTG_02189 transcript:DRNTG_02189.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDFQGSSSPSLFGRSIMNLRRDQVHSMDNHHEPESSQEHALEAFQRLVADLFQDLAGGEELLSLPWVCKLLEAFLLCQEEFRVVFFSNRAIVGRAPVDRLATDFFDRSVKALDVCNAIRDGIEQVRQWHKHLDIVTLAFDPTQKTIGEGQVRRAKKALVDLAIGMLDEKDTASSLAQRNRSFSRSHGANKDHRRAECHFRSLSWCVSRSWSASRQLQAIGNNLSAPRGHDVVATNGLAVPVYTMNSVLLFVMWALVAAIPCQDRGLQTHFSIPRTFPWAAPILLLHERILEESKKRERRNSYGLLTEIHQMEKHVRRLSELTENIQFPLAEEKETDVRNAVRELSLVREAMKGQLDLLEDQVRQVFHRIVRGRTDGLDCLSHYPV >DRNTG_02189.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1357311:1360700:-1 gene:DRNTG_02189 transcript:DRNTG_02189.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDFQGSSSPSLFGRSIMNLRRDQVHSMDNHHEPESSQEHALEAFQRLVADLFQDLAGGEELLSLPWVCKLLEAFLLCQEEFRVVFFSNRAIVGRAPVDRLATDFFDRSVKALDVCNAIRDGIEQVRQWHKHLDIVTLAFDPTQKTIGEGQVRRAKKALVDLAIGMLDEKDTASSLAQRNRSFSRSHGANKDHRRAECHFRSLSWCVSRSWSASRQLQAIGNNLSAPRGHDVVATNGLAVPVYTMNSVLLFVMWALVAAIPCQDRGLQTHFSIPRTFPWAAPILLLHERILEESKKRERRNSYGLLTEIHQMEKHVRRLSELTENIQFPLAEEKETDVRNAVRELSLVREAMKGQLDLLEDQVRQVFHRIVRGRTDGLDCLSHYPV >DRNTG_02189.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1358901:1360643:-1 gene:DRNTG_02189 transcript:DRNTG_02189.12 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDFQGSSSPSLFGRSIMNLRRDQVHSMDNHHEPESSQEHALEAFQRLVADLFQDLAGGEELLSLPWVCKLLEAFLLCQEEFRVVFFSNRAIVGRAPVDRLATDFFDRSVKALDVCNAIRDGIEQVRQWHKHLDIVTLAFDPTQKTIGEGQVRRAKKALVDLAIGMLDEKDTASSLAQRNRSFSRSHGANKDHRRAECHFRSLSWCVSRSWSASRQLQAIGNNLSAPRGHDVVATNGLAVPVYTMNSVLLFVMWALVAAIPCQDRGLQTHFSIPRTFPWAAPILLLHERILEESKKRERRNSYGLLTEIHQMEKHVRRLSELTENIQFPLAEEKETDVRNAVRELSLVREAMKGQLDLLEDQVRQVFHRIVRGRTDGLDCLSHYPV >DRNTG_02189.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1358901:1360604:-1 gene:DRNTG_02189 transcript:DRNTG_02189.13 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDFQGSSSPSLFGRSIMNLRRDQVHSMDNHHEPESSQEHALEAFQRLVADLFQDLAGGEELLSLPWVCKLLEAFLLCQEEFRVVFFSNRAIVGRAPVDRLATDFFDRSVKALDVCNAIRDGIEQVRQWHKHLDIVTLAFDPTQKTIGEGQVRRAKKALVDLAIGMLDEKDTASSLAQRNRSFSRSHGANKDHRRAECHFRSLSWCVSRSWSASRQLQAIGNNLSAPRGHDVVATNGLAVPVYTMNSVLLFVMWALVAAIPCQDRGLQTHFSIPRTFPWAAPILLLHERILEESKKRERRNSYGLLTEIHQMEKHVRRLSELTENIQFPLAEEKETDVRNAVRELSLVREAMKGQLDLLEDQVRQVFHRIVRGRTDGLDCLSHYPV >DRNTG_02189.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1359008:1360700:-1 gene:DRNTG_02189 transcript:DRNTG_02189.14 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDFQGSSSPSLFGRSIMNLRRDQVHSMDNHHEPESSQEHALEAFQRLVADLFQDLAGGEELLSLPWVCKLLEAFLLCQEEFRVVFFSNRAIVGRAPVDRLATDFFDRSVKALDVCNAIRDGIEQVRQWHKHLDIVTLAFDPTQKTIGEGQVRRAKKALVDLAIGMLDEKDTASSLAQRNRSFSRSHGANKDHRRAECHFRSLSWCVSRSWSASRQLQAIGNNLSAPRGHDVVATNGLAVPVYTMNSVLLFVMWALVAAIPCQDRGLQTHFSIPRTFPWAAPILLLHERILEESKKRERRNSYGLLTEIHQMEKHVRRLSELTENIQFPLAEEKETDVRNAVRELSLVREAMKGQLDLLEDQVRQVFHRIVRGRTDGLDCLSHYPV >DRNTG_02189.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1357157:1360643:-1 gene:DRNTG_02189 transcript:DRNTG_02189.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDFQGSSSPSLFGRSIMNLRRDQVHSMDNHHEPESSQEHALEAFQRLVADLFQDLAGGEELLSLPWVCKLLEAFLLCQEEFRVVFFSNRAIVGRAPVDRLATDFFDRSVKALDVCNAIRDGIEQVRQWHKHLDIVTLAFDPTQKTIGEGQVRRAKKALVDLAIGMLDEKDTASSLAQRNRSFSRSHGANKDHRRAECHFRSLSWCVSRSWSASRQLQAIGNNLSAPRGHDVVATNGLAVPVYTMNSVLLFVMWALVAAIPCQDRGLQTHFSIPRTFPWAAPILLLHERILEESKKRERRNSYGLLTEIHQMEKHVRRLSELTENIQFPLAEEKETDVRNAVRELSLVREAMKGQLDLLEDQVRQVFHRIVRGRTDGLDCLSHYPV >DRNTG_02189.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1357311:1360604:-1 gene:DRNTG_02189 transcript:DRNTG_02189.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDFQGSSSPSLFGRSIMNLRRDQVHSMDNHHEPESSQEHALEAFQRLVADLFQDLAGGEELLSLPWVCKLLEAFLLCQEEFRVVFFSNRAIVGRAPVDRLATDFFDRSVKALDVCNAIRDGIEQVRQWHKHLDIVTLAFDPTQKTIGEGQVRRAKKALVDLAIGMLDEKDTASSLAQRNRSFSRSHGANKDHRRAECHFRSLSWCVSRSWSASRQLQAIGNNLSAPRGHDVVATNGLAVPVYTMNSVLLFVMWALVAAIPCQDRGLQTHFSIPRTFPWAAPILLLHERILEESKKRERRNSYGLLTEIHQMEKHVRRLSELTENIQFPLAEEKETDVRNAVRELSLVREAMKGQLDLLEDQVRQVFHRIVRGRTDGLDCLSHYPV >DRNTG_02189.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1358901:1360772:-1 gene:DRNTG_02189 transcript:DRNTG_02189.10 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDFQGSSSPSLFGRSIMNLRRDQVHSMDNHHEPESSQEHALEAFQRLVADLFQDLAGGEELLSLPWVCKLLEAFLLCQEEFRVVFFSNRAIVGRAPVDRLATDFFDRSVKALDVCNAIRDGIEQVRQWHKHLDIVTLAFDPTQKTIGEGQVRRAKKALVDLAIGMLDEKDTASSLAQRNRSFSRSHGANKDHRRAECHFRSLSWCVSRSWSASRQLQAIGNNLSAPRGHDVVATNGLAVPVYTMNSVLLFVMWALVAAIPCQDRGLQTHFSIPRTFPWAAPILLLHERILEESKKRERRNSYGLLTEIHQMEKHVRRLSELTENIQFPLAEEKETDVRNAVRELSLVREAMKGQLDLLEDQVRQVFHRIVRGRTDGLDCLSHYPV >DRNTG_02189.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1358733:1360643:-1 gene:DRNTG_02189 transcript:DRNTG_02189.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDFQGSSSPSLFGRSIMNLRRDQVHSMDNHHEPESSQEHALEAFQRLVADLFQDLAGGEELLSLPWVCKLLEAFLLCQEEFRVVFFSNRAIVGRAPVDRLATDFFDRSVKALDVCNAIRDGIEQVRQWHKHLDIVTLAFDPTQKTIGEGQVRRAKKALVDLAIGMLDEKDTASSLAQRNRSFSRSHGANKDHRRAECHFRSLSWCVSRSWSASRQLQAIGNNLSAPRGHDVVATNGLAVPVYTMNSVLLFVMWALVAAIPCQDRGLQTHFSIPRTFPWAAPILLLHERILEESKKRERRNSYGLLTEIHQMEKHVRRLSELTENIQFPLAEEKETDVRNAVRELSLVREAMKGQLDLLEDQVRQVFHRIVRGRTDGLDCLSHYPV >DRNTG_02189.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1357157:1360700:-1 gene:DRNTG_02189 transcript:DRNTG_02189.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDFQGSSSPSLFGRSIMNLRRDQVHSMDNHHEPESSQEHALEAFQRLVADLFQDLAGGEELLSLPWVCKLLEAFLLCQEEFRVVFFSNRAIVGRAPVDRLATDFFDRSVKALDVCNAIRDGIEQVRQWHKHLDIVTLAFDPTQKTIGEGQVRRAKKALVDLAIGMLDEKDTASSLAQRNRSFSRSHGANKDHRRAECHFRSLSWCVSRSWSASRQLQAIGNNLSAPRGHDVVATNGLAVPVYTMNSVLLFVMWALVAAIPCQDRGLQTHFSIPRTFPWAAPILLLHERILEESKKRERRNSYGLLTEIHQMEKHVRRLSELTENIQFPLAEEKETDVRNAVRELSLVREAMKGQLDLLEDQVRQVFHRIVRGRTDGLDCLSHYPV >DRNTG_02189.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1358733:1360604:-1 gene:DRNTG_02189 transcript:DRNTG_02189.11 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDFQGSSSPSLFGRSIMNLRRDQVHSMDNHHEPESSQEHALEAFQRLVADLFQDLAGGEELLSLPWVCKLLEAFLLCQEEFRVVFFSNRAIVGRAPVDRLATDFFDRSVKALDVCNAIRDGIEQVRQWHKHLDIVTLAFDPTQKTIGEGQVRRAKKALVDLAIGMLDEKDTASSLAQRNRSFSRSHGANKDHRRAECHFRSLSWCVSRSWSASRQLQAIGNNLSAPRGHDVVATNGLAVPVYTMNSVLLFVMWALVAAIPCQDRGLQTHFSIPRTFPWAAPILLLHERILEESKKRERRNSYGLLTEIHQMEKHVRRLSELTENIQFPLAEEKETDVRNAVRELSLVREAMKGQLDLLEDQVRQVFHRIVRGRTDGLDCLSHYPV >DRNTG_02189.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1359008:1360604:-1 gene:DRNTG_02189 transcript:DRNTG_02189.15 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDFQGSSSPSLFGRSIMNLRRDQVHSMDNHHEPESSQEHALEAFQRLVADLFQDLAGGEELLSLPWVCKLLEAFLLCQEEFRVVFFSNRAIVGRAPVDRLATDFFDRSVKALDVCNAIRDGIEQVRQWHKHLDIVTLAFDPTQKTIGEGQVRRAKKALVDLAIGMLDEKDTASSLAQRNRSFSRSHGANKDHRRAECHFRSLSWCVSRSWSASRQLQAIGNNLSAPRGHDVVATNGLAVPVYTMNSVLLFVMWALVAAIPCQDRGLQTHFSIPRTFPWAAPILLLHERILEESKKRERRNSYGLLTEIHQMEKHVRRLSELTENIQFPLAEEKETDVRNAVRELSLVREAMKGQLDLLEDQVRQVFHRIVRGRTDGLDCLSHYPV >DRNTG_02189.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1357157:1360604:-1 gene:DRNTG_02189 transcript:DRNTG_02189.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDFQGSSSPSLFGRSIMNLRRDQVHSMDNHHEPESSQEHALEAFQRLVADLFQDLAGGEELLSLPWVCKLLEAFLLCQEEFRVVFFSNRAIVGRAPVDRLATDFFDRSVKALDVCNAIRDGIEQVRQWHKHLDIVTLAFDPTQKTIGEGQVRRAKKALVDLAIGMLDEKDTASSLAQRNRSFSRSHGANKDHRRAECHFRSLSWCVSRSWSASRQLQAIGNNLSAPRGHDVVATNGLAVPVYTMNSVLLFVMWALVAAIPCQDRGLQTHFSIPRTFPWAAPILLLHERILEESKKRERRNSYGLLTEIHQMEKHVRRLSELTENIQFPLAEEKETDVRNAVRELSLVREAMKGQLDLLEDQVRQVFHRIVRGRTDGLDCLSHYPV >DRNTG_02189.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1357311:1360643:-1 gene:DRNTG_02189 transcript:DRNTG_02189.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDFQGSSSPSLFGRSIMNLRRDQVHSMDNHHEPESSQEHALEAFQRLVADLFQDLAGGEELLSLPWVCKLLEAFLLCQEEFRVVFFSNRAIVGRAPVDRLATDFFDRSVKALDVCNAIRDGIEQVRQWHKHLDIVTLAFDPTQKTIGEGQVRRAKKALVDLAIGMLDEKDTASSLAQRNRSFSRSHGANKDHRRAECHFRSLSWCVSRSWSASRQLQAIGNNLSAPRGHDVVATNGLAVPVYTMNSVLLFVMWALVAAIPCQDRGLQTHFSIPRTFPWAAPILLLHERILEESKKRERRNSYGLLTEIHQMEKHVRRLSELTENIQFPLAEEKETDVRNAVRELSLVREAMKGQLDLLEDQVRQVFHRIVRGRTDGLDCLSHYPV >DRNTG_02189.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1357157:1360772:-1 gene:DRNTG_02189 transcript:DRNTG_02189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDFQGSSSPSLFGRSIMNLRRDQVHSMDNHHEPESSQEHALEAFQRLVADLFQDLAGGEELLSLPWVCKLLEAFLLCQEEFRVVFFSNRAIVGRAPVDRLATDFFDRSVKALDVCNAIRDGIEQVRQWHKHLDIVTLAFDPTQKTIGEGQVRRAKKALVDLAIGMLDEKDTASSLAQRNRSFSRSHGANKDHRRAECHFRSLSWCVSRSWSASRQLQAIGNNLSAPRGHDVVATNGLAVPVYTMNSVLLFVMWALVAAIPCQDRGLQTHFSIPRTFPWAAPILLLHERILEESKKRERRNSYGLLTEIHQMEKHVRRLSELTENIQFPLAEEKETDVRNAVRELSLVREAMKGQLDLLEDQVRQVFHRIVRGRTDGLDCLSHYPV >DRNTG_33928.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22011245:22016824:-1 gene:DRNTG_33928 transcript:DRNTG_33928.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRSARRSNNTKYYEILGVPQNASQDELKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDTEKRDLYDQYGEDALKEGMGGGGSSHSPFDIFEQFFGGGGAFGGGSSRARRQRRGDDVVHTLKVTLDDLYNGTSKRLSLSRNVLCPKCRGTGSKSGISTKCHGCHGSGAKIMTRQIGLGMIQQMQIACPDCRGSGEVISNKDKCPQCKASKITQEKKVLEVHVEKGMQHGQKIVFQGQADEAPDTVTGDIVFVLQLKEHPKFKRKFDDLYVEHTLSLTEALCGFQFALTHLDGRQLLIKSNPGEVVKPDQYKAINDEGMPHYQRPFMKGRLFIHFNVEFPDSGFLSPDQCHVLEATLAPRTGSPLTAMELDECEETTLYDVSIEEEMRRKHQQHQNEAYDEDDDDDSGPRVQCAQQ >DRNTG_35047.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23500776:23502303:-1 gene:DRNTG_35047 transcript:DRNTG_35047.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGLMCFYHNQMGYLVTLTKSSPIRPIMYLSCFNIQKVHISICT >DRNTG_08964.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000392.1:31880:37546:1 gene:DRNTG_08964 transcript:DRNTG_08964.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGLELVRSVATGLQRVPALLRRNKLQMVKSVVELTNGSSDDGQEMKNDEGPESNPQYTTVYVGNLAPEVTQLDLHRFFHNLMVGSIEEVRIQGDKGFGFVRYNNHTEAALAIQSANARILCGKPVKCSWGSKPTPPGTASNPLPAPVPGPTDLLAYERTLALSKMASNQALMHPHNQHALKQAMMGIGGASQAAIYDAGFPNIAAAQQLMYYQ >DRNTG_09812.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26745607:26749736:-1 gene:DRNTG_09812 transcript:DRNTG_09812.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione synthetase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G27380) UniProtKB/Swiss-Prot;Acc:P46416] MAFVHFGCTSCSLSFPDPRRLHHSPFPPTLSHHPNPNPISISHFPSQSLTKTMALNISSSDSQTTRKEEINLSSSDLLPDLIYEALVWSSLHGLVVGDKSIQRSGTVPGVGLVHAPFALLPMSFPKGLWRQACELAPIFNELVDRVSLDGKFLQESLSRTKKVDAFTARLLDIHSKMLEMNKTEDIRLGLHRSDYMLDSETGLLYQIELNTISSSFPGLSCLVGDLHRNLLSHHGKHLGLDSRRVPGNMAVSRFAEALAKAWKEYNNPSAVVLVVVQPEERNMYDQHWLCAVLREIYPLL >DRNTG_09812.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26745607:26749736:-1 gene:DRNTG_09812 transcript:DRNTG_09812.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione synthetase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G27380) UniProtKB/Swiss-Prot;Acc:P46416] MAFVHFGCTSCSLSFPDPRRLHHSPFPPTLSHHPNPNPISISHFPSQSLTKTMALNISSSDSQTTRKEEINLSSSDLLPDLIYEALVWSSLHGLVVGDKSIQRSGTVPGVGLVHAPFALLPMSFPKGLWRQACELAPIFNELVDRVSLDGKFLQESLSRTKKVDAFTARLLDIHSKMLEMNKTEDIRLGLHRSDYMLDSETGLLYQIELNTISSSFPGLSCLVGDLHRNLLSHHGKHLGLDSRRVPGNMAVSRFAEALAKAWKEYNNPSAVVLVVVQPEERNMYDQHWLCAVLREMHDVATIRKTLSEIDAEGQVLPNGSLVIGLYVQRWPSSCSCLF >DRNTG_09812.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26745607:26749736:-1 gene:DRNTG_09812 transcript:DRNTG_09812.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione synthetase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G27380) UniProtKB/Swiss-Prot;Acc:P46416] MAFVHFGCTSCSLSFPDPRRLHHSPFPPTLSHHPNPNPISISHFPSQSLTKTMALNISSSDSQTTRKEEINLSSSDLLPDLIYEALVWSSLHGLVVGDKSIQRSGTVPGVGLVHAPFALLPMSFPKGLWRQACELAPIFNELVDRVSLDGKFLQESLSRTKKVDAFTARLLDIHSKMLEMNKTEDIRLGLHRSDYMLDSETGLLYQIELNTISSSFPGLSCLVGDLHRNLLSHHGKHLGLDSRRVPGNMAVSRFAEALAKAWKEYNNPSAVVLVVVQPEERNMYDQHWLCAVLREIYPLL >DRNTG_09812.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26745607:26749736:-1 gene:DRNTG_09812 transcript:DRNTG_09812.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione synthetase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G27380) UniProtKB/Swiss-Prot;Acc:P46416] MAFVHFGCTSCSLSFPDPRRLHHSPFPPTLSHHPNPNPISISHFPSQSLTKTMALNISSSDSQTTRKEEINLSSSDLLPDLIYEALVWSSLHGLVVGDKSIQRSGTVPGVGLVHAPFALLPMSFPKGLWRQACELAPIFNELVDRVSLDGKFLQESLSRTKKVDAFTARLLDIHSKMLEMNKTEDIRLGLHRSDYMLDSETGLLYQIELNTISSSFPGLSCLVGDLHRNLLSHHGKHLGLDSRRVPGNMAVSRFAEALAKAWKEYNNPSAVVLVVVQPEERNMYDQHWLCAVLREMHDVATIRKTLSEIDAEGQVLPNGSLVIGGQAVAVVYFRAGYSPNDYPSESEWRARLMMEQSVAIKCPSISYHLVGTKKIQQELAKPNVLERFLENKDDIAKLQKSFAGLWSLDNSDIVESAMERPELYVLKPQREGGGNNIYGDDVRETLIKLKNEGGDDYAAYILMQRIFPKAALAYLVRDGICHQDNAISELGVYGAYLRNKDNVIMNDQCGYLMRTKVSSSNEGGVAAGFAVLDSIYLT >DRNTG_23363.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5915810:5920251:1 gene:DRNTG_23363 transcript:DRNTG_23363.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERPHNWRDSASHAQQAFAQVAEKISKFEPVTVCVSSDQYSNAYERLRGLANVRVVEMSMNDAWFRDTGPIFVVRREGKSSSKHEDYQVAGIDWTFNCWGGAEDRCYSDWSLDAHVAKKILELERCPRFVNQMVLEGGSIHVDGEGTCVTTAECLLNSNRNPHMTKEQIENELMCYFGVKKIIWLPNGLYADEDANGHVDNMCRFVKPGVVLLAWTDDESDPQYERSLEALSVLSNTTDAKGRKLSVVKLHVPGPFYMTQEEAKGIHNLGNGKPRLAGTRLAASYVNFYIANGGIVVPGFGDEKWDKEACRVLSSVFPNHEVVMINGSREICLGGGNIHCITQQQPAPPIIRE >DRNTG_23363.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5915880:5920251:1 gene:DRNTG_23363 transcript:DRNTG_23363.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNDAWFRDTGPIFVVRREGKSSSKHEDYQVAGIDWTFNCWGGAEDRCYSDWSLDAHVAKKILELERCPRFVNQMVLEGGSIHVDGEGTCVTTAECLLNSNRNPHMTKEQIENELMCYFGVKKIIWLPNGLYADEDANGHVDNMCRFVKPGVVLLAWTDDESDPQYERSLEALSVLSNTTDAKGRKLSVVKLHVPGPFYMTQEEAKGIHNLGNGKPRLAGTRLAASYVNFYIANGGIVVPGFGDEKWDKEACRVLSSVFPNHEVVMINGSREICLGGGNIHCITQQQPAPPIIRE >DRNTG_23363.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5910094:5920251:1 gene:DRNTG_23363 transcript:DRNTG_23363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTTFQYIFGPRKKSETLDSDPTLHQASLFWESAMAKDLEGNPVSHGYRMPAEWEAHAQCWMGWPERPHNWRDSASHAQQAFAQVAEKISKFEPVTVCVSSDQYSNAYERLRGLANVRVVEMSMNDAWFRDTGPIFVVRREGKSSSKHEDYQVAGIDWTFNCWGGAEDRCYSDWSLDAHVAKKILELERCPRFVNQMVLEGGSIHVDGEGTCVTTAECLLNSNRNPHMTKEQIENELMCYFGVKKIIWLPNGLYADEDANGHVDNMCRFVKPGVVLLAWTDDESDPQYERSLEALSVLSNTTDAKGRKLSVVKLHVPGPFYMTQEEAKGIHNLGNGKPRLAGTRLAASYVNFYIANGGIVVPGFGDEKWDKEACRVLSSVFPNHEVVMINGSREICLGGGNIHCITQQQPAPPIIRE >DRNTG_28487.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8525647:8527840:-1 gene:DRNTG_28487 transcript:DRNTG_28487.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVTLKENDLGARRRGSNELSSPPSSLYLRGVCYELFFVSLIFYTCSFVDDELDSQGHRASGEPWDELACMDALIYIYGICVVC >DRNTG_28487.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8525647:8531137:-1 gene:DRNTG_28487 transcript:DRNTG_28487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVTLKENDLGARRRGSNELSSPPSSLYLRGVCYELFFVSLIFYTCSFVDDELDSQGHRASGEPWDELACMDALIYIYGICVVC >DRNTG_23972.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30869123:30871800:-1 gene:DRNTG_23972 transcript:DRNTG_23972.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSSSPGHRRISTFHSPPIPPRRRHPHPISGPPQPRTSATCLMSALVLTSSTTPDSTPVVARPTSVSIPRKCARRGDSIWGAWFFFNFYFKPVLSDKAKSKIVRDTSGTVSGFDKSDLRLDVFLVQHDMENMYMWVFKERAENALGKMQLRSFMNGHSRLGEPQFPFSVDKGFVRSHRMQRKHYRGLSNPQCVHGIEVVRSPNLSLVSDLDRKKWMELTGRELNFSIPLEASDFGSWRNLPSTEFELERPAPQLKGAVAQHPHSRKLLNGSGLNLSTQPSNHSIGDGAELLQMCSKRRKDFLPHPMDEDCCLPANSYSDRSQDMDIHPIEPSWLNEFSGVMRHAYGPVTAAKTIYEDDEGYLIVVSLPFSDQQKVKVSWKNNLTHGIVKISCFSTGRMPSIKRHDRTFRLTDPSPEHCPPGEFIREIPLATRIPEDAKLEAYYDETGTVLEIMVPKHRVGPEEHEVHVCMRPPHLGANELLLT >DRNTG_23972.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30868326:30871649:-1 gene:DRNTG_23972 transcript:DRNTG_23972.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSSSPGHRRISTFHSPPIPPRRRHPHPISGPPQPRTSATCLMSALVLTSSTTPDSTPVVARPTSVSIPRKCARRGDSIWGAWFFFNFYFKPVLSDKAKSKIVRDTSGTVSGFDKSDLRLDVFLVQHDMENMYMWVFKERAENALGKMQLRSFMNGHSRLGEPQFPFSVDKGFVRSHRMQRKHYRGLSNPQCVHGIEVVRSPNLSLVSDLDRKKWMELTGRELNFSIPLEASDFGSWRNLPSTEFELERPAPQLKGAVAQHPHSRKLLNGSGLNLSTQPSNHSIGDGAELLQMCSKRRKDFLPHPMDEDCCLPANSYSDRSQDMDIHPIEPSWLNEFSGVMRHAYGPVTAAKTIYEDDEGYLIVVSLPFSDQQKVKVSWKNNLTHGIVKISCFSTGRMPSIKRHDRTFRLTDPSPEHCPPGEFIREIPLATRIPEDAKLEAYYDETGTVLEIMVPKHRVGPEEHEVHVCMRPPHLGANELLLT >DRNTG_23972.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30868326:30871800:-1 gene:DRNTG_23972 transcript:DRNTG_23972.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSSSPGHRRISTFHSPPIPPRRRHPHPISGPPQPRTSATCLMSALVLTSSTTPDSTPVVARPTSVSIPRKCARRGDSIWGAWFFFNFYFKPVLSDKAKSKIVRDTSGTVSGFDKSDLRLDVFLVQHDMENMYMWVFKERAENALGKMQLRSFMNGHSRLGEPQFPFSVDKGFVRSHRMQRKHYRGLSNPQCVHGIEVVRSPNLSLVSDLDRKKWMELTGRELNFSIPLEASDFGSWRNLPSTEFELERPAPQLKGAVAQHPHSRKLLNGSGLNLSTQPSNHSIGDGAELLQMCSKRRKDFLPHPMDEDCCLPANSYSDRSQDMDIHPIEPSWLNEFSGVMRHAYGPVTAAKTIYEDDEGYLIVVSLPFSDQQKVKVSWKNNLTHGIVKISCFSTGRMPSIKRHDRTFRLTDPSPEHCPPGEFIREIPLATRIPEDAKLEAYYDETGTVLEIMVPKHRVGPEEHEVHVCMRPPHLGANELLLT >DRNTG_23972.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30869123:30871649:-1 gene:DRNTG_23972 transcript:DRNTG_23972.9 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSSSPGHRRISTFHSPPIPPRRRHPHPISGPPQPRTSATCLMSALVLTSSTTPDSTPVVARPTSVSIPRKCARRGDSIWGAWFFFNFYFKPVLSDKAKSKIVRDTSGTVSGFDKSDLRLDVFLVQHDMENMYMWVFKERAENALGKMQLRSFMNGHSRLGEPQFPFSVDKGFVRSHRMQRKHYRGLSNPQCVHGIEVVRSPNLSLVSDLDRKKWMELTGRELNFSIPLEASDFGSWRNLPSTEFELERPAPQLKGAVAQHPHSRKLLNGSGLNLSTQPSNHSIGDGAELLQMCSKRRKDFLPHPMDEDCCLPANSYSDRSQDMDIHPIEPSWLNEFSGVMRHAYGPVTAAKTIYEDDEGYLIVVSLPFSDQQKVKVSWKNNLTHGIVKISCFSTGRMPSIKRHDRTFRLTDPSPEHCPPGEFIREIPLATRIPEDAKLEAYYDETGTVLEIMVPKHRVGPEEHEVHVCMRPPHLGANELLLT >DRNTG_23972.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30868326:30871898:-1 gene:DRNTG_23972 transcript:DRNTG_23972.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSSSPGHRRISTFHSPPIPPRRRHPHPISGPPQPRTSATCLMSALVLTSSTTPDSTPVVARPTSVSIPRKCARRGDSIWGAWFFFNFYFKPVLSDKAKSKIVRDTSGTVSGFDKSDLRLDVFLVQHDMENMYMWVFKERAENALGKMQLRSFMNGHSRLGEPQFPFSVDKGFVRSHRMQRKHYRGLSNPQCVHGIEVVRSPNLSLVSDLDRKKWMELTGRELNFSIPLEASDFGSWRNLPSTEFELERPAPQLKGAVAQHPHSRKLLNGSGLNLSTQPSNHSIGDGAELLQMCSKRRKDFLPHPMDEDCCLPANSYSDRSQDMDIHPIEPSWLNEFSGVMRHAYGPVTAAKTIYEDDEGYLIVVSLPFSDQQKVKVSWKNNLTHGIVKISCFSTGRMPSIKRHDRTFRLTDPSPEHCPPGEFIREIPLATRIPEDAKLEAYYDETGTVLEIMVPKHRVGPEEHEVHVCMRPPHLGANELLLT >DRNTG_23972.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30869123:30871898:-1 gene:DRNTG_23972 transcript:DRNTG_23972.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSSSPGHRRISTFHSPPIPPRRRHPHPISGPPQPRTSATCLMSALVLTSSTTPDSTPVVARPTSVSIPRKCARRGDSIWGAWFFFNFYFKPVLSDKAKSKIVRDTSGTVSGFDKSDLRLDVFLVQHDMENMYMWVFKERAENALGKMQLRSFMNGHSRLGEPQFPFSVDKGFVRSHRMQRKHYRGLSNPQCVHGIEVVRSPNLSLVSDLDRKKWMELTGRELNFSIPLEASDFGSWRNLPSTEFELERPAPQLKGAVAQHPHSRKLLNGSGLNLSTQPSNHSIGDGAELLQMCSKRRKDFLPHPMDEDCCLPANSYSDRSQDMDIHPIEPSWLNEFSGVMRHAYGPVTAAKTIYEDDEGYLIVVSLPFSDQQKVKVSWKNNLTHGIVKISCFSTGRMPSIKRHDRTFRLTDPSPEHCPPGEFIREIPLATRIPEDAKLEAYYDETGTVLEIMVPKHRVGPEEHEVHVCMRPPHLGANELLLT >DRNTG_23972.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30868147:30871649:-1 gene:DRNTG_23972 transcript:DRNTG_23972.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSSSPGHRRISTFHSPPIPPRRRHPHPISGPPQPRTSATCLMSALVLTSSTTPDSTPVVARPTSVSIPRKCARRGDSIWGAWFFFNFYFKPVLSDKAKSKIVRDTSGTVSGFDKSDLRLDVFLVQHDMENMYMWVFKERAENALGKMQLRSFMNGHSRLGEPQFPFSVDKGFVRSHRMQRKHYRGLSNPQCVHGIEVVRSPNLSLVSDLDRKKWMELTGRELNFSIPLEASDFGSWRNLPSTEFELERPAPQLKGAVAQHPHSRKLLNGSGLNLSTQPSNHSIGDGAELLQMCSKRRKDFLPHPMDEDCCLPANSYSDRSQDMDIHPIEPSWLNEFSGVMRHAYGPVTAAKTIYEDDEGYLIVVSLPFSDQQKVKVSWKNNLTHGIVKISCFSTGRMPSIKRHDRTFRLTDPSPEHCPPGEFIREIPLATRIPEDAKLEAYYDETGTVLEIMVPKHRVGPEEHEVHVCMRPPHLGANELLLT >DRNTG_23972.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30868147:30871800:-1 gene:DRNTG_23972 transcript:DRNTG_23972.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSSSPGHRRISTFHSPPIPPRRRHPHPISGPPQPRTSATCLMSALVLTSSTTPDSTPVVARPTSVSIPRKCARRGDSIWGAWFFFNFYFKPVLSDKAKSKIVRDTSGTVSGFDKSDLRLDVFLVQHDMENMYMWVFKERAENALGKMQLRSFMNGHSRLGEPQFPFSVDKGFVRSHRMQRKHYRGLSNPQCVHGIEVVRSPNLSLVSDLDRKKWMELTGRELNFSIPLEASDFGSWRNLPSTEFELERPAPQLKGAVAQHPHSRKLLNGSGLNLSTQPSNHSIGDGAELLQMCSKRRKDFLPHPMDEDCCLPANSYSDRSQDMDIHPIEPSWLNEFSGVMRHAYGPVTAAKTIYEDDEGYLIVVSLPFSDQQKVKVSWKNNLTHGIVKISCFSTGRMPSIKRHDRTFRLTDPSPEHCPPGEFIREIPLATRIPEDAKLEAYYDETGTVLEIMVPKHRVGPEEHEVHVCMRPPHLGANELLLT >DRNTG_23972.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30869062:30871800:-1 gene:DRNTG_23972 transcript:DRNTG_23972.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSSSPGHRRISTFHSPPIPPRRRHPHPISGPPQPRTSATCLMSALVLTSSTTPDSTPVVARPTSVSIPRKCARRGDSIWGAWFFFNFYFKPVLSDKAKSKIVRDTSGTVSGFDKSDLRLDVFLVQHDMENMYMWVFKERAENALGKMQLRSFMNGHSRLGEPQFPFSVDKGFVRSHRMQRKHYRGLSNPQCVHGIEVVRSPNLSLVSDLDRKKWMELTGRELNFSIPLEASDFGSWRNLPSTEFELERPAPQLKGAVAQHPHSRKLLNGSGLNLSTQPSNHSIGDGAELLQMCSKRRKDFLPHPMDEDCCLPANSYSDRSQDMDIHPIEPSWLNEFSGVMRHAYGPVTAAKTIYEDDEGYLIVVSLPFSDQQKVKVSWKNNLTHGIVKISCFSTGRMPSIKRHDRTFRLTDPSPEHCPPGEFIREIPLATRIPEDAKLEAYYDETGTVLEIMVPKHRVGPEEHEVHVCMRPPHLGANELLLT >DRNTG_07462.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26818639:26819302:1 gene:DRNTG_07462 transcript:DRNTG_07462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTGDKEIAAKEMVEKLMGKRVKVENDRLPNTGCDSDWEHRLSSNYVEMDTELYFDGKQGVFGTKSTGVLAVKTDGETCFYEKYLEEGVWKEHSVQYHLDV >DRNTG_26533.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001356.1:71069:78167:1 gene:DRNTG_26533 transcript:DRNTG_26533.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILEHLMLKVLIIGDGDEDVKIFVGELILEVNIIVPSDRSNTGKHSGKENRPVDGKYLSVSTDVGELVIVRIRFKREDTGGVRDVGIAANLLVFKEKEKVAGLHEIDAVTLLAAQVESLTKKLDLLTSNRVAAVITCTGCGGRHAPSNCPISIDCEVLIGKATWKFAEQYLDPREHVKAITLRSGCEVDGRLPSEKLNEHAPKVIEEMFNPDPYEGLFNQEQDNEEIMMLGSMEEVPSTPGILKKVLRRLKRARRRYRKHSKTVGDVREPNNLGEPFQGEPAEFSKYPGKTHGRVELTHGRGFVLRAHPEKAQGRGLISVDDHAILAHPWVISIRACEVLQRLTDFPESIQGRGLAPVGDLVKITRAWVISTRPCESLQRSSLHPEKTQGRAATPSSHRGVRLPLGRAPSPVKLSRGGARVCVISARLCGCAEFQETRGMAPHSRRHEGKHPNEPSPELAHIIFPNPEHQAHFERLSELRLGQSRFVDLSVLSGVQRGDELIRELEALMAIGGWQRLLSIREPVFRPLTFEVLASFEFVDDIQFRVFGRQFAMSISEFSVCMGLYEEAYIETEYGHLPIDYFGILSPQQAYQVLCGQGQHEQGISKATYLSRLSYRYLFTVISRSVDSEGDNTSTLSRQSLLYLYFIAKSVPVHLGHIVADYMQYQGQNGRVGVLFISPYITRLILGMGLGDALRETDQTVISSPLGLDTLRMMRVVRRYGPGAYILATTTTESTGGCRDAAEGSAPTAIETSGGLGTLLGAYDRIERLESTVNVLRSEIIEMPSIQSVQYVNMMAHFDFLRQLLRGRPLAPPTSPSPPPPVPFDLAPVAVENPERDIDT >DRNTG_27302.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:161857:162418:1 gene:DRNTG_27302 transcript:DRNTG_27302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVELHNILEFRHISKECIDYISKYMTTQYKTDVRRATEEATVFLNKNLQHSSDDYLDAWIFDVDDTLLSTVPYYEKYHFGNNDCGEEMQNNAVLLETWMKEAKAPAVEYMVELFHKIKGKGLKILLISSRKEHLRGVTVDNLAKAGYYD >DRNTG_05747.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:18951304:18958634:-1 gene:DRNTG_05747 transcript:DRNTG_05747.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKATRAASSAGSHPSKPGKSSTKQAKAKCNILASYELINAQSLITNSKPLRDNGLRRRSNGGHHHRRQ >DRNTG_35169.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26138222:26145649:1 gene:DRNTG_35169 transcript:DRNTG_35169.4 gene_biotype:protein_coding transcript_biotype:protein_coding MILLQVPQVAAALMPLCEAFGSLPPPPDHRSCISDEPSVYSVFSCAFLLLLRMWKFYRPPQEHCIAGRGGNVRMQLTLDYLLLLHNTMIDMQKSAPTDGAKITMDPPNGSSGQPVYIDSFPKLRAWYLQNQAYIASTISGMSSKNPVHQVANRILSMLYRKTAKNGSVSANPSTSGNNTSGSPNNSGDDVYQKPIGPAWEILEATPFVLEAMLTACAHGRLSSRDLTTGLRDLVDFLPASLAAIVSYFSAEITRGIWKPVPMNGTDWPSPAANILSVASEIKDILESAGVHNPDYHTSGTSLVMLPLPMAAFVSLTITFKLDKSLDYIHGVVGQALENCSTGFSWPSMPVIGALWVQKVPRWHDFILLACARSPFTQDKGATTQLIRSCFSSFLGPTLTSGSHLIAPLGVNGLLGEAISDDGFRLPVAPGFLYLRSCRLFHDTHFINEVILRMVIEWAREPATGWTSGGSTRLKSSRNSLAFAAGRAKEAASLGTSLLCVAGGLQLVQVLYEETLPTYLLTAREADKGSSRSLSNILEGYAIAYMLILSGACLWGVGETSSAFASLFSSKRARSIGIHLDFIVWALEGNVSLGCNPVTWKAYVSCLVGLLVCFVPAWVPEMKQETLQKLALGLRGWGEIDLALALLERGGPASIEEVVETVMQSP >DRNTG_35169.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26133395:26145649:1 gene:DRNTG_35169 transcript:DRNTG_35169.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRPLVELEATAMEAELGRRAHDPTDLAHVLVSELCSATTSNSPALWKLLDRGLSSRLLSPLLCFSLLAPRVIPRRKSQPEAYRLFLELLRRYAMFIPCLEYNPCRDKIIKSVDDALQLSQNYGFEKVGFGCVLVSFYLDLIIKLIDSTMEDWGLKSGHEGEACEAMDVDDVAKMDERRNEHREMLRRTNAQLALEVVENITESKKARVFLRLVRLNMPEQFRDVMQRLQFIEAHKSNSLNLKSADHLLAKLFSNMQKVMDGEYQLARHQVIGVLVDVHSCGWTSCHNSGAGRTPCWISFDISMENAVDGKHLNAISCIEILAGNCNILIWRFISNDCPTLKTIWNLISHIISLPSAFTWLQN >DRNTG_35169.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26133246:26137669:1 gene:DRNTG_35169 transcript:DRNTG_35169.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWGLKSGHEGEACEAMDVDDVAKMDERRNEHREMLRRTNAQLALEVVENITESKKARVFLRLVRLNMPEQFRDVMQRLQFIEAHKSNSLNLKSADHLLAKLFSNMQKVMDGEYQLARHQVIGVLVDVHSCGWTSCHNSGAGRTPCWISFDISMENAVDGKHLNAISCIEILAELTKTLQVVNQASWQETFLAMWVSALRLVQRDREPMEGPMPHLDARLCMLMSIVPLAIVHVLKDEAVTGTLEQNCTSRMSKNGCDHGRDRNKFASKRHGLISALQVLGQFSRLLSPPPSVIVAANNAASKAATFISSLKKGIGSLSGGIQNGPSVKAAGSMLHLIVEACIARKLIDTSAYFWPDYVVPQAPSNDSIITHESPWPTFMGGAPLTGSLKNALIMTPASSQLEIERLYNIALNGSEEEKTTAAKILCGASLASGWNVQEHVVHTLIKLLSPPLPFVAHMSMLNAILLGVSCVDIVHILSLFGV >DRNTG_35169.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26133395:26145649:1 gene:DRNTG_35169 transcript:DRNTG_35169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRPLVELEATAMEAELGRRAHDPTDLAHVLVSELCSATTSNSPALWKLLDRGLSSRLLSPLLCFSLLAPRVIPRRKSQPEAYRLFLELLRRYAMFIPCLEYNPCRDKIIKSVDDALQLSQNYGFEKVGFGCVLVSFYLDLIIKLIDSTMEDWGLKSGHEGEACEAMDVDDVAKMDERRNEHREMLRRTNAQLALEVVENITESKKARVFLRLVRLNMPEQFRDVMQRLQFIEAHKSNSLNLKSADHLLAKLFSNMQKVMDGEYQLARHQVIGVLVDVHSCGWTSCHNSGAGRTPCWISFDISMENAVDGKHLNAISCIEILAELTKTLQVVNQASWQETFLAMWVSALRLVQRDREPMEGPMPHLDARLCMLMSIVPLAIVHVLKDEAVTGTLEQNCTSRMSKNGCDHGRDRNKFASKRHGLISALQVLGQFSRLLSPPPSVIVAANNAASKAATFISSLKKGIGSLSGGIQNGPSVKAAGSMLHLIVEACIARKLIDTSAYFWPDYVVPQAPSNDSIITHESPWPTFMGGAPLTGSLKNALIMTPASSQLEIERLYNIALNGSEEEKTTAAKILCGASLASGWNVQEHVVHTLIKLLSPPLPFVAHMSMLNAILLGVSCVDIVHILSLFGVVPQVAAALMPLCEAFGSLPPPPDHRSCISDEPSVYSVFSCAFLLLLRMWKFYRPPQEHCIAGRGGNVRMQLTLDYLLLLHNTMIDMQKSAPTDGAKITMDPPNGSSGQPVYIDSFPKLRAWYLQNQAYIASTISGMSSKNPVHQVANRILSMLYRKTAKNGSVSANPSTSGNNTSGSPNNSGDDVYQKPIGPAWEILEATPFVLEAMLTACAHGRLSSRDLTTGLRDLVDFLPASLAAIVSYFSAEITRGIWKPVPMNGTDWPSPAANILSVASEIKDILESAGVHNPDYHTSGTSLVMLPLPMAAFVSLTITFKLDKSLDYIHGVVGQALENCSTGFSWPSMPVIGALWVQKVPRWHDFILLACARSPFTQDKGATTQLIRSCFSSFLGPTLTSGSHLIAPLGVNGLLGEAISDDGFRLPVAPGFLYLRSCRLFHDTHFINEVILRMVIEWAREPATGWTSGGSTRLKSSRNSLAFAAGRAKEAASLGTSLLCVAGGLQLVQVLYEETLPTYLLTAREADKGSSRSLSNILEGYAIAYMLILSGACLWGVGETSSAFASLFSSKRARSIGIHLDFIVWALEGNVSLGCNPVTWKAYVSCLVGLLVCFVPAWVPEMKQETLQKLALGLRGWGEIDLALALLERGGPASIEEVVETVMQSP >DRNTG_35169.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26137250:26145649:1 gene:DRNTG_35169 transcript:DRNTG_35169.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLNAILLGVSCVDIVHILSLFGVVPQVAAALMPLCEAFGSLPPPPDHRSCISDEPSVYSVFSCAFLLLLRMWKFYRPPQEHCIAGRGGNVRMQLTLDYLLLLHNTMIDMQKSAPTDGAKITMDPPNGSSGQPVYIDSFPKLRAWYLQNQAYIASTISGMSSKNPVHQVANRILSMLYRKTAKNGSVSANPSTSGNNTSGSPNNSGDDVYQKPIGPAWEILEATPFVLEAMLTACAHGRLSSRDLTTGLRDLVDFLPASLAAIVSYFSAEITRGIWKPVPMNGTDWPSPAANILSVASEIKDILESAGVHNPDYHTSGTSLVMLPLPMAAFVSLTITFKLDKSLDYIHGVVGQALENCSTGFSWPSMPVIGALWVQKVPRWHDFILLACARSPFTQDKGATTQLIRSCFSSFLGPTLTSGSHLIAPLGVNGLLGEAISDDGFRLPVAPGFLYLRSCRLFHDTHFINEVILRMVIEWAREPATGWTSGGSTRLKSSRNSLAFAAGRAKEAASLGTSLLCVAGGLQLVQVLYEETLPTYLLTAREADKGSSRSLSNILEGYAIAYMLILSGACLWGVGETSSAFASLFSSKRARSIGIHLDFIVWALEGNVSLGCNPVTWKAYVSCLVGLLVCFVPAWVPEMKQETLQKLALGLRGWGEIDLALALLERGGPASIEEVVETVMQSP >DRNTG_32986.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28711649:28715186:-1 gene:DRNTG_32986 transcript:DRNTG_32986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRSLFCLGGDSDRRQQKKKKPTTWRIFLTLKSCNQQLIISIMITSSAKGVFGSVYWGQLWDGSQIAVKRLKVWSNKAEMEFAVEVEILARVRHKNLLSLRGYCAEGQERLIVYDYMPNLSLLSHLHGQHSAECLLDWGRRMMIAIGAAEGIAYLHHSATPHIIHRDIKASNVLLNSDFEALVADFGFAKLVPDGATHVTTKVKGTLGYLAPEYAMLGKASESCDVYSFGVVLLELVSGKKPIEKLSPTSKRTITEWALPLAQEGKFKEIADPKLNGNFIESELKRMVIVALICSQNQPERRPSMLEVVDMLKGNSEKLLNLENDVIFKPELAVAGNCEGLSGQDGNSSECISEEKDSKLDVKMESSSLTEVDEHVS >DRNTG_33122.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20921454:20924527:1 gene:DRNTG_33122 transcript:DRNTG_33122.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADAENAIPATKKRVAGRQISKDDPTLDDDDVPESEIGTFQRASDEVLATRRIVKVKRSQSSTGSSSNPFAGICLVNPTDAVAKTSSTDVQSQSAEVTPGDTGKSGDSEQIGNETSDKEATATEENGKKADDVTDTNVSVAEEKVKSNADDETEGDKTVENGGEYTDAKAKETTPGKEGKESSHEAAEESKKAVTVETEKEKPESNLEEKSGPAAHLSSFQQLSSSQNAFTGLAGTGFSSSSFSFGSNSKDGSTTFGGNSGSIFGVNSETSTFPSFGIGNSNNEKSSFQLFGSTGAGAAKSGTSGITSMPEVPIETGEENEKAVFTADAILYEYLDGGWKERGKGELKVNVSVSGLEKARLIMRARGNYRLILNASLYPDMSLTNMEKKGITFACINSAGEGKDGLSTFALKFKDASFVEEFRGIVAAHKGKKAETAILKTPENSPKASDD >DRNTG_33122.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20921412:20924527:1 gene:DRNTG_33122 transcript:DRNTG_33122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAENAIPATKKRVAGRQISKDDPTLDDDDVPESEIGTFQRASDEVLATRRIVKVKRSQSSTGSSSNPFAGICLVNPTDAVAKTSSTDVQSQSAEVTPGDTGKSGDSEQIGNETSDKEATATEENGKKADDVTDTNVSVAEEKVKSNADDETEGDKTVENGGEYTDAKAKETTPGKEGKESSHEAAEESKKAVTVETEKEKPESNLEEKSGPAAHLSSFQQLSSSQNAFTGLAGTGFSSSSFSFGSNSKDGSTTFGGNSGSIFGVNSETSTFPSFGIGNSNNEKSSFQLFGSTGAGAAKSGTSGITSMPEVPIETGEENEKAVFTADAILYEYLDGGWKERGKGELKVNVSVSGLEKARLIMRARGNYRLILNASLYPDMSLTNMEKKGITFACINSAGEGKDGLSTFALKFKDASFVEEFRGIVAAHKGKKAETAILKTPENSPKASDD >DRNTG_33122.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20921454:20924527:1 gene:DRNTG_33122 transcript:DRNTG_33122.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAENAIPATKKRVAGRQISKDDPTLDDDDVPESEIGTFQRASDEVLATRRIVKVKRSQSSTGSSSNPFAGICLVNPTDAVAKTSSTDVQSQSAEVTPGDTGKSGDSEQIGNETSDKEATATEENGKKADDVTDTNVSVAEEKVKSNADDETEGDKTVENGGEYTDAKAKETTPGKEGKESSHEAAEESKKAVTVETEKEKPESNLEEKSGPAAHLSSFQQLSSSQNAFTGLAGTGFSSSSFSFGSNSKDGSTTFGGNSGSIFGVNSETSTFPSFGIGNSNNEKSSFQLFGSTGAGAAKSGTSGITSMPEVPIETGEENEKAVFTADAILYEYLDGGWKERGKGELKVNVSVSGLEKARLIMRARGNYRLILNASLYPDMSLTNMEKKGITFACINSAGEGKDGLSTFALKFKDASFVEEFRGIVAAHKGKKAETAILKTPENSPKASDD >DRNTG_05271.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19684858:19688120:-1 gene:DRNTG_05271 transcript:DRNTG_05271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFSFSPSFPALAPILRPRRQDVLRCSAPRQTSSGGDKGSRSENALLKVAWYGSEFLGIAVSFFRPARSIEELPVSTNVLEKIDRTQVVEAIKQDFQHSYFVTGNLTLNAYEEDCEFADPAGSFRGLQRFKRNCSNFGSLLLKTDMNLTKWEDFEDKGVGHWRFRSIMIFPWRPILSATGYTEYFFNAQSGKVCRHAEHWNVPKMALLKQIFRPSRWVWEKS >DRNTG_05271.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19684858:19687754:-1 gene:DRNTG_05271 transcript:DRNTG_05271.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFSFSPSFPALAPILRPRRQDVLRCSAPRQTSSGGDKGSRSENALLKVAWYGSEFLGIAVSFFRPARSIEELPVSTNVLEKIDRTQVVEAIKQDFQHSYFVTGNLTLNAYEEDCEFADPAGSFRGLQRFKRNCSNFGSLLLKTDMNLTKWEDFEDKGVGHWRFRSIMIFPWRPILSATGYTEYFFNAQSGKVCRHAEHWNVPKMALLKQIFRPSRWVWEKS >DRNTG_12562.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20971094:20973006:1 gene:DRNTG_12562 transcript:DRNTG_12562.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIQFSSKNEVIKPTQRSKSPSSSSVSSSRSVTPRLRPNSMANGKKMTMTMTIPIPMPMPMPMPMNSTSSTHNINQSRTNKSINSSSIPSTPMNIKEVPTTKSRCATTLPEKIKVKQSMNRRLSCSPKMIRGITNEYDEANVKVRKMSVSEKNNNVLAVVESKMVDRGKSINSPSIPSTPMNIKEVPTESWHTTTLAGKTKVKQNMNRRLSCSPKMIRGIKSESNENNMEFWKISIQEKNNNGSMVVGSKMVDRMLNARRITDLNGEKKTMIKAQDDVKFGRIKAEASLDKTFENLVCIYIYIYLTIWTNHLYIFLLLNINHALEGSKTSISYVKYFNHLAIVTLACIYLDLA >DRNTG_12562.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20970788:20973006:1 gene:DRNTG_12562 transcript:DRNTG_12562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQFSSKNEVIKPTQRSKSPSSSSVSSSRSVTPRLRPNSMANGKKMTMTMTIPIPMPMPMPMPMNSTSSTHNINQSRTNKSINSSSIPSTPMNIKEVPTTKSRCATTLPEKIKVKQSMNRRLSCSPKMIRGITNEYDEANVKVRKMSVSEKNNNVLAVVESKMVDRGKSINSPSIPSTPMNIKEVPTESWHTTTLAGKTKVKQNMNRRLSCSPKMIRGIKSESNENNMEFWKISIQEKNNNGSMVVGSKMVDRMLNARRITDLNGEKKTMIKAQDDVKFGRIKAEASLDKTFENLDEKRSQGMRSCNLVEARTTKHRFNVENRTQLLKKKVDDKRNKFVMS >DRNTG_12562.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20970788:20973006:1 gene:DRNTG_12562 transcript:DRNTG_12562.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRPRIPGVPASGRRDRDEDLLLFKEMFKRERERTVSLLQPVSDEFEPSQAGNLHLYKIPSSKKGADTEEKNDYDWLKTPPATPLFPSLELEANENLANMVVHKELPILQPIKPSRFSSKNEVIKPTQRSKSPSSSSVSSSRSVTPRLRPNSMANGKKMTMTMTIPIPMPMPMPMPMNSTSSTHNINQSRTNKSINSSSIPSTPMNIKEVPTTKSRCATTLPEKIKVKQSMNRRLSCSPKMIRGITNEYDEANVKVRKMSVSEKNNNVLAVVESKMVDRGKSINSPSIPSTPMNIKEVPTESWHTTTLAGKTKVKQNMNRRLSCSPKMIRGIKSESNENNMEFWKISIQEKNNNGSMVVGSKMVDRMLNARRITDLNGEKKTMIKAQDDVKFGRIKAEASLDKTFENLVCIYIYIYLTIWTNHLYIFLLLNINHALEGSKTSISYVKYFNHLAIVTLACIYLDLA >DRNTG_12562.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20970788:20973006:1 gene:DRNTG_12562 transcript:DRNTG_12562.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRPRIPGVPASGRRDRDEDLLLFKEMFKRERERTVSLLQPVSDEFEPSQAGNLHLYKIPSSKKGADTEEKNDYDWLKTPPATPLFPSLELEANENLANMVVHKELPILQPIKPSRFSSKNEVIKPTQRSKSPSSSSVSSSRSVTPRLRPNSMANGKKMTMTMTIPIPMPMPMPMPMNSTSSTHNINQSRTNKSINSSSIPSTPMNIKEVPTTKSRCATTLPEKIKVKQSMNRRLSCSPKMIRGITNEYDEANVKVRKMSVSEKNNNVLAVVESKMVDRGKSINSPSIPSTPMNIKEVPTESWHTTTLAGKTKVKQNMNRRLSCSPKMIRGIKSESNENNMEFWKISIQEKNNNGSMVVGSKMVDRMLNARRITDLNGEKKTMIKAQDDVKFGRIKAEASLDKTFENLDEKRSQGMRSCNLVEARTTKHRFNVENRTQLLKKKVDDKRNKFVMS >DRNTG_33955.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:982458:988274:-1 gene:DRNTG_33955 transcript:DRNTG_33955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKENKMIGGYGAPTVRITRSRAAACQANGGVLHLNPPLAKPEMKRTQRENSKRTLMEENSHAACLTANCQPKKRAALKDVQNIRHNNLPKDCIDAPKMQPRVLQRVKLGSAKAKSCLVVKNSKLCLIDKDTNNKMADRQQEAELMGSKENNDLVKLEEKSLAAENVDSIKDVESTCGPALFDEHSCGAGPQFLGRLKDVESKLYADCVGLPCSDIMDIDCDHANPQMCSLYAPDIYANLHTAELIRRPCSDFMERLQRDITHGMRGILIDWLVEVSDEYRLVPDTLYLTVYLIDRFLSKHYIERQRLQLLGITCMLIASKYEEICAPRVEEFCFITDNTYTKGEVLKMESEVLNYQGFHLSVPTIKTFLRRFLRAAQTSSEVPTPALGFLANYLAELTLVDYDFLKYLPSKIAASAVFLTRWTLDQSEHPWNPTLEHYTSYKASDLTPVVLAMQDLQTNLRNCPLNSVREKYKQQKFENVATLTSPKLPHSLFC >DRNTG_33955.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:982458:986899:-1 gene:DRNTG_33955 transcript:DRNTG_33955.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKENKMIGGYGAPTVRITRSRAAACQANGGVLHLNPPLAKPEMKRTQRENSKRTLMEENSHAACLTANCQPKKRAALKDVQNIRHNNLPKDCIDAPKMQPRVLQRVKLGSAKAKSCLVVKNSKLCLIDKDTNNKMADRQQEAELMGSKENNDLVKLEEKSLAAENVDSIKDVESTCGPALFDEHSCGAGPQFLGRLKDVESKLYADCVGLPCSDIMDIDCDHANPQMCSLYAPDIYANLHTAELIRRPCSDFMERLQRDITHGMRGILIDWLVEVSDEYRLVPDTLYLTVYLIDRFLSKHYIERQRLQLLGITCMLIASKYEEICAPRVEEFCFITDNTYTKGEVLKMESEVLNYQGFHLSVPTIKTFLRFGAFSHYALFIYMTEVWSLTCTFHCNQEISASSTDFL >DRNTG_05929.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5261179:5267873:-1 gene:DRNTG_05929 transcript:DRNTG_05929.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GFA2 [Source:Projected from Arabidopsis thaliana (AT5G48030) UniProtKB/TrEMBL;Acc:A0A178UJR3] MRSGSARLVYWLARRSLGSKLVQESPITSFEDSSRRFVSGICSSSSVFGERNSDSARRRNLDGAFHGNCCPSRFFHGTRSMHARDYYDVLGISKNASASEIKKAYYGLAKKLHPDTNKDDAEAEKKFQEVQRAYEVLKDEEKRSLYDQVGHDAFEQAASGGGPGGGPFGGGGGGGFGNPFEDFFTGGGGMNDFFKNIFRDRGFGGQDVKVSLEISFMEAVRGCTKEVNFQTDVPCGACDGTGVPPGSKPETCRSCRGSGMIFMQNGPFRLQTTCTQCGGSGKAVKHLCISCKGKRVVRGMKSIKLDIVPGVDDNETIKIYRNGAADPDGNQPGDLYVTIKVREDPVFRREKADIHVDAVLNVSQAVLGGTIQVPTLTGDVVLKVRPGTQPGQKVVLKGKGIKTRSSAFYGDQYVHFNVHIPVNLTQRQRMLIEEFAKEEQGEYDKGAAAAGGS >DRNTG_05929.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5261179:5267873:-1 gene:DRNTG_05929 transcript:DRNTG_05929.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GFA2 [Source:Projected from Arabidopsis thaliana (AT5G48030) UniProtKB/TrEMBL;Acc:A0A178UJR3] MRSGSARLVYWLARRSLGSKLVQESPITSFEDSSRRFVSGICSSSSVFGERNSDSARRRNLDGAFHGNCCPSRFFHGTRSMHARDYYDVLGISKNASASEIKKAYYGLAKKLHPDTNKDDAEAEKKFQEVQRAYEVLKDEEKRSLYDQVGHDAFEQAASGGGPGGGPFGGGGGGGFGNPFEDFFTGGGGMNDFFKNIFRDRGFGGQDVKVSLEISFMEAVRGCTKEVNFQTDVPCGACDGTGVPPGSKPETCRSCRGSGMIFMQNGPFRLQTTCTQCGGSGKAVKHLCISCKGKRVVRGMKSIKLDIVPGN >DRNTG_06888.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14117676:14121282:-1 gene:DRNTG_06888 transcript:DRNTG_06888.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTTTDLKKEDETPFSSRSPPMLSSIASPTSLVPPLLTLLDTGMSLPLKITEKQSLKSNFRHDTPLINLLQCREIWKEFLMPSSSFFISLNTPKSQPNKMQVPPQTPKAAFFNPFFFTMNLASMKKLDDGQTPSPIATVSPRWDPNGGEDRVGGNGRRRRRQGRGH >DRNTG_35144.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1238245:1240275:-1 gene:DRNTG_35144 transcript:DRNTG_35144.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFCYNNGSIQITPINTLLLHFIAHFMEMTHDLIMQTEKSLECIPYWTWCETLIAFQQCQEFFQASNSSGVLDKIIDSLVRRIVTASDTSPLSSSPDSSALRLSFDTRSTLSMRNSNHPSSWFEDLLILNSDTIEKFINCMVSRKVDHSTISRFLFYYLKHRNLNAPTDEKRKTTEIIIGLFYSLDTDSFSFKSLFGILRMSSSLDLSKCCRSRLESMVGSQIDEATLDSLLVSAADQGMQCLYDVNLVLRFLKYFLQSSVQICVKRLKKVGSLMDLYITEVAPDPKLKPSKFVALITALPDSSRESHDAMYRAIDMYLQVHSGLAQEEKMKICCAINYEKLSSESCKHLAGNSKFPSRTGIQALISQHSKLKNLLQVQDTNYLRKFRNSTHKEQQLNDTENEQVILYAKRVDISMEDDKLKAHLEGMQWKAMELEKICSKIQNQMTRIMKIKLCSPNGGLRSLPKLCS >DRNTG_35144.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1238245:1240275:-1 gene:DRNTG_35144 transcript:DRNTG_35144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGACVLEVDVNGEEKFFVDKNVLSRFSGRLSKLFGKTSVTGTSKTLKVIFHGLPGGPDAFELMTKFCYNNGSIQITPINTLLLHFIAHFMEMTHDLIMQTEKSLECIPYWTWCETLIAFQQCQEFFQASNSSGVLDKIIDSLVRRIVTASDTSPLSSSPDSSALRLSFDTRSTLSMRNSNHPSSWFEDLLILNSDTIEKFINCMVSRKVDHSTISRFLFYYLKHRNLNAPTDEKRKTTEIIIGLFYSLDTDSFSFKSLFGILRMSSSLDLSKCCRSRLESMVGSQIDEATLDSLLVSAADQGMQCLYDVNLVLRFLKYFLQSSVQICVKRLKKVGSLMDLYITEVAPDPKLKPSKFVALITALPDSSRESHDAMYRAIDMYLQVHSGLAQEEKMKICCAINYEKLSSESCKHLAGNSKFPSRTGIQALISQHSKLKNLLQVQDTNYLRKFRNSTHKEQQLNDTENEQVILYAKRVDISMEDDKLKAHLEGMQWKAMELEKICSKIQNQMTRIMKIKLCSPNGGLRSLPKLCS >DRNTG_04177.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:539464:542100:-1 gene:DRNTG_04177 transcript:DRNTG_04177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPLPQLFNKNINRQRVGPCAEQKKKKINCNSNRMPP >DRNTG_18280.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1828504:1829464:-1 gene:DRNTG_18280 transcript:DRNTG_18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGGEGDEIERNFQAKVRLEPRNREEAAQRISIAFRAPQENFTIRDFELGKVLGVGSHSKVVRAKKKGSEHVYALKIMDKDFIIKENKVTCVKLERIVLDQLDHPGIVGLYFTFQDAR >DRNTG_28623.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28407228:28410149:1 gene:DRNTG_28623 transcript:DRNTG_28623.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSPDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKSGKKCSKAPKIQRLVTPLTLQRKRARIAEKKKRIAKAKSEAAEYQKLLASRLKEQRERRSESLAKRRSKLSAASKPSVATQA >DRNTG_23954.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:14611191:14621043:-1 gene:DRNTG_23954 transcript:DRNTG_23954.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDWMRFSVAFWHTFRGTRADLFGAPTKMWPWEDGTNSLAMAKRRTIEFSAAINSLSCSGGIGEGFETFPDSTRVELLPVAVSFHRPKPRFPPSRPPWRHPSSDLFSGFRQPCLCNLLTSSLSPVFEKGSRVVERKKHGKVRQERASNGSSDKQELFLFMDSRIKAISSQFQVRYTPLSNCDRLTEFKRAELELLEIFSGPSWSTKKTGLNKLESLNNILEPGDTRHSLARLQPYP >DRNTG_27439.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28681085:28682098:-1 gene:DRNTG_27439 transcript:DRNTG_27439.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNKCSSSSNSHNSSNAVQGSVNKRPYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTPEAAARAYDAALLCLKGSAAALNFPHTSLLLPQHSPLKQSQVLCHPSPFKELLLQRQQQQAMLSLRLHLHLHLHLRLRFHRLHSHSMIL >DRNTG_19327.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:741825:742791:-1 gene:DRNTG_19327 transcript:DRNTG_19327.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMQLMLPAFSTSCGDLIRKWDKMIPDEGYLELDVFPELQNLTKDVISRTAFGSNDEEGRRIFQLLREKIQLLLPAIQSVLIPGYRFLPTPMNKKISQVDKEMEGIIKGMIEKRKKAMRMGKGSKNDLLAGHTIGVQHERG >DRNTG_04129.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30176267:30178826:1 gene:DRNTG_04129 transcript:DRNTG_04129.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDSNFCLFMPLFDLLGGTLNPNSWQLQKEISSGKNDQVPDFVFLLHIVDITSSLHGPVVFRSFSSLPFTTKLAILPMWPCAFIAMLCMWAWAKTFPVSFYNLQGKLHQLWVVPRYGFQYFLPFAANGINKLIEQAILRADEIGVKVLSLAALNKNESLNGGGTLFVNKYPDLKVRIVHGNTLTAAVIINEIPKDVKEVFLTGATSKLGRAIALYLCRKKIKVLMLTLSTERFQKIKKEAPPEYQQYIVQVTKYQAAQHCKTWIVGKWLYPREQRWAPSGTHFHQFVVPPIFGFRKDCTYGKLAAIKLPKDVQGLGMCEYTLDRGIVHACHAGGVVHCLEGWTHHEVGAIDVDRIDIVWNAAMKHGLTPV >DRNTG_04129.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30174782:30178826:1 gene:DRNTG_04129 transcript:DRNTG_04129.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQSFLCFLQYVLCAPLIGKAMHAWACTHHFQAHSWPLHVLTLLALRAIIYQLWCSFSSMLFLTRRRRVLTAGVDFSQIDSEWHWDNFLILQTLLASFAYLSFPSLSFLPFSNSKGFLFALLLHAFLSEPLFYFIHKCFHKGTLFSHYHSLHHSSKVPHPFTAGFATPLEHLVLSLVMAVPLVGVSLMGLGSVSLIFGYVLLFDFLRCLGHCNVEIFPHKLFKAFPLLRFLIYTPTYHSIHHMDKDSNFCLFMPLFDLLGGTLNPNSWQLQKEISSGKNDQVPDFVFLLHIVDITSSLHGPVVFRSFSSLPFTTKLAILPMWPCAFIAMLCMWAWAKTFPVSFYNLQGKLHQLWVVPRYGFQYFLPFAANGINKLIEQAILRADEIGVKVLSLAALNKNESLNGGGTLFVNKYPDLKVRIVHGNTLTAAVIINEIPKDVKEVFLTGATSKLGRAIALYLCRKKIKVLMLTLSTERFQKIKKEAPPEYQQYIVQVTKYQAAQHCKTWIVGKWLYPREQRWAPSGTHFHQFVVPPIFGFRKDCTYGKLAAIKLPKDVQGLGMCEYTLDRGIVHACHAGGVVHCLEGWTHHEVGAIDVDRIDIVWNAAMKHGLTPV >DRNTG_04129.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30174052:30178826:1 gene:DRNTG_04129 transcript:DRNTG_04129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAAPLSSWPWEHLGNYKYVLCAPLIGKAMHAWACTHHFQAHSWPLHVLTLLALRAIIYQLWCSFSSMLFLTRRRRVLTAGVDFSQIDSEWHWDNFLILQTLLASFAYLSFPSLSFLPFSNSKGFLFALLLHAFLSEPLFYFIHKCFHKGTLFSHYHSLHHSSKVPHPFTAGFATPLEHLVLSLVMAVPLVGVSLMGLGSVSLIFGYVLLFDFLRCLGHCNVEIFPHKLFKAFPLLRFLIYTPTYHSIHHMDKDSNFCLFMPLFDLLGGTLNPNSWQLQKEISSGKNDQVPDFVFLLHIVDITSSLHGPVVFRSFSSLPFTTKLAILPMWPCAFIAMLCMWAWAKTFPVSFYNLQGKLHQLWVVPRYGFQYFLPFAANGINKLIEQAILRADEIGVKVLSLAALNKNESLNGGGTLFVNKYPDLKVRIVHGNTLTAAVIINEIPKDVKEVFLTGATSKLGRAIALYLCRKKIKVLMLTLSTERFQKIKKEAPPEYQQYIVQVTKYQAAQHCKTWIVGKWLYPREQRWAPSGTHFHQFVVPPIFGFRKDCTYGKLAAIKLPKDVQGLGMCEYTLDRGIVHACHAGGVVHCLEGWTHHEVGAIDVDRIDIVWNAAMKHGLTPV >DRNTG_34091.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22983457:22987589:1 gene:DRNTG_34091 transcript:DRNTG_34091.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSGRKERNKEVMRLERESVIPILKPKLIIKLAYLIEHGTDRAEFLKLCKRVEYTIRAWYLLEFEDLMQLYSLFDPVHGGQRLEQQRLSSDEIDVLEQNFLTYFFNIMEQSNFKIVTDDEIEVAQSGQYLLNLPIKVDESKLDMKLLSNYFRKHPRDKLPEFSDKYIIFRRGIGLDQTTDIFVMEKLDMIISRLWGWLLKVSRLRKLFSKKPKPARSNTDPKKSDEPSADAKEEDLVVERIRIQNMELSMKNLFGKITIQEPTFDRMIVVYRRASSKTQTERGICIKHFKNIPMADMEIVLPEKKNPSLTPMDWVKFLVSVVIGLMTLVGSLEMPKADIWVVLAILSGLIGYCAKIYFTFQQNMVTYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISYFILMEQGKLTIDDLDQKCEDLIEEEFGEQCNFDVTDAVRKLEKLGIVIKDSIGRIACVPLRRANEIIGTTTEEIVLKARQGPIT >DRNTG_34091.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22983457:22987589:1 gene:DRNTG_34091 transcript:DRNTG_34091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSGRKERNKEVMRLERESVIPILKPKLIIKLAYLIEHGTDRAEFLKLCKRVEYTIRAWYLLEFEDLMQLYSLFDPVHGGQRLEQQRLSSDEIDVLEQNFLTYFFNIMEQSNFKIVTDDEIEVAQSGQYLLNLPIKVDESKLDMKLLSNYFRKHPRDKLPEFSDKYIIFRRGIGLDQTTDIFVMEKLDMIISRLWGWLLKVSRLRKLFSKKPKPARSNTDPKKSDEPSADAKEEDLVVERIRIQNMELSMKNLFGKITIQEPTFDRMIVVYRRASSKTQTERGICIKHFKNIPMADMEIVLPEKKNPSLTPMDWVKFLVSVVIGLMTLVGSLEMPKADIWVVLAILSGLIGYCAKIYFTFQQNMVTYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISYFILMEQGKLTIDDLDQKCEDLIEEEFGEQCNFDVTDAVRKLEKLGIVIKDSIGRIACVPLRRANEIIGTTTEEIVLKARQGPIT >DRNTG_12041.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1825176:1826599:1 gene:DRNTG_12041 transcript:DRNTG_12041.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSWMRKSQLSQEYFDGVEEFFKFAFDNSSQDDMIVCPCIKCVNINWQTRQIVLEHPVCDGILQGYTCWFFHGERVPSSTHKTTNTSTAPSKSSHPNFSYKTI >DRNTG_19964.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:411051:413717:1 gene:DRNTG_19964 transcript:DRNTG_19964.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTLTTREQDIQMMLAADVHLGTKNCDFQMERYVFKRRSDGIFIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHPIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESSLGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIAPGHRWDVMVDLFFYRDPEETKEQGEEEAPVTAPDYGAATEYTAMIPSDQWPTDQWAADGAAVPPAAAAVPPAPAAAVEWAATQGVVSDGWDVVAPPSNVVPAATAGWESAQAPAPAPTGWE >DRNTG_07108.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22265546:22266771:-1 gene:DRNTG_07108 transcript:DRNTG_07108.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSADSSALDLIREHLLGDIAVSDDYGCSSYRIHDPLSSMIHFGSEPEPEPEPERRPFVLSIPHHEPPAVEWVTATATATATAPTAAAERRYRGVRQRPWGKYAAEIRDPNRRGSRVWLGTYDTAVEAARAYDRAAFQMRGCKAILNFPNEIASSGQPAIVGGGKRRREEEEDEEVVEVKVVKKERLLEYDEMVPVPVPIPAMAPLTPSIWSSVWEGGEEAKGIFSLPPLSPLSPHPQMGFAQLMVQ >DRNTG_07108.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22265726:22267001:-1 gene:DRNTG_07108 transcript:DRNTG_07108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSADSSALDLIREHLLGDIAVSDDYGCSSYRIHDPLSSMIHFGSEPEPEPEPERRPFVLSIPHHEPPAVEWVTATATATATAPTAAAERRYRGVRQRPWGKYAAEIRDPNRRGSRVWLGTYDTAVEAARAYDRAAFQMRGCKAILNFPNEIASSGQPAIVGGGKRRREEEEDEEVVEVKVVKKERLLEYDEMVPVPVPIPAMAPLTPSIWSSVWEGGEEAKGIFSLPPLSPLSPHPQMGFAQLMVQ >DRNTG_07108.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22265726:22266771:-1 gene:DRNTG_07108 transcript:DRNTG_07108.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSADSSALDLIREHLLGDIAVSDDYGCSSYRIHDPLSSMIHFGSEPEPEPEPERRPFVLSIPHHEPPAVEWVTATATATATAPTAAAERRYRGVRQRPWGKYAAEIRDPNRRGSRVWLGTYDTAVEAARAYDRAAFQMRGCKAILNFPNEIASSGQPAIVGGGKRRREEEEDEEVVEVKVVKKERLLEYDEMVPVPVPIPAMAPLTPSIWSSVWEGGEEAKGIFSLPPLSPLSPHPQMGFAQLMVQ >DRNTG_25170.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13836941:13845830:1 gene:DRNTG_25170 transcript:DRNTG_25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIWKISDDLSKIYSSNPDVRCLIRPDPKNDGLDGVSTLAWNGGGELLATGSFDGLASIWTKNGELKKALDNHKDTILFIAWNSNDAFLITGSGSSDNRVVVWDTNRWESMQEVAFDSEQLIGVAWRNGTSFAACSGDKRICVYNIGESQPVKTFSGYQDEVCGINWNPTGSLLASYPHDKAIKIWTLEQDESLHDLMHNEIVHC >DRNTG_25170.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13836941:13845830:1 gene:DRNTG_25170 transcript:DRNTG_25170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALIWKISDDLSKIYSSNPDVRCLIRPDPKNDGLDGVSTLAWNGGGELLATGSFDGLASIWTKNGELKKALDNHKDTILFIAWNSNDAFLITGSGSSDNRVVVWDTNRWESMQEVAFDSEQLIGVAWRNGTSFAACSGDKRICVYNIGESQPVKTFSGYQDEVCGINWNPTGSLLASYPHDKAIKIWTLEQDESLHDLMHNEIVHC >DRNTG_17193.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:396699:399073:1 gene:DRNTG_17193 transcript:DRNTG_17193.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPEDMLASTAATKSKSGDEDGNNDDDEHTMDTDKLSYEIFSILESKFLFGYDDPKLPLHPPPDPSHFKNHRGKVCILSIDGAGMRGILPGKALSHLERSLQSISGQQNARISDFFDVVAGTGVGGLFASMLFSSSPFSADETWRFLAEKARRFLKKPSSGFLARAFRGGGSGTAAATAAMEKAMKETFGEKMTLRDTVKPVLIPCYDLRSSSPLVFSRADALESESYDFRLWEVCRATWAEPGRFEPADIRSVDGSRSCLGIDGGLAMTNPAAAAITHVLHNKQEFPFVRGVEDLMVLSLGCGAGAAVPDASLLRRWTAKEWARPMARIASDGAADLVDHAVALAFAHARSSNYVRIQANCSSMGACSGVDADSDPSPSNVKVLVDAAEAMLKQKNVESVLFSGKRISERTNLEKLDWFAGELVLEHQRRSCRIAPTVAFKQAVTNPS >DRNTG_17193.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:396371:399073:1 gene:DRNTG_17193 transcript:DRNTG_17193.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPEDMLASTAATKSKSGDEDGNNDDDEHTMDTDKLSYEIFSILESKFLFGYDDPKLPLHPPPDPSHFKNHRGKVCILSIDGAGMRGILPGKALSHLERSLQSISGQQNARISDFFDVVAGTGVGGLFASMLFSSSPFSADETWRFLAEKARRFLKKPSSGFLARAFRGGGSGTAAATAAMEKAMKETFGEKMTLRDTVKPVLIPCYDLRSSSPLVFSRADALESESYDFRLWEVCRATWAEPGRFEPADIRSVDGSRSCLGIDGGLAMTNPAAAAITHVLHNKQEFPFVRGVEDLMVLSLGCGAGAAVPDASLLRRWTAKEWARPMARIASDGAADLVDHAVALAFAHARSSNYVRIQANCSSMGACSGVDADSDPSPSNVKVLVDAAEAMLKQKNVESVLFSGKRISERTNLEKLDWFAGELVLEHQRRSCRIAPTVAFKQAVTNPS >DRNTG_17193.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:396371:398766:1 gene:DRNTG_17193 transcript:DRNTG_17193.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPEDMLASTAATKSKSGDEDGNNDDDEHTMDTDKLSYEIFSILESKFLFGYDDPKLPLHPPPDPSHFKNHRGKVCILSIDGAGMRGILPGKALSHLERSLQSISGQQNARISDFFDVVAGTGVGGLFASMLFSSSPFSADETWRFLAEKARRFLKKPSSGFLARAFRGGGSGTAAATAAMEKAMKETFGEKMTLRDTVKPVLIPCYDLRSSSPLVFSRADALESESYDFRLWEVCRATWAEPGRFEPADIRSVDGSRSCLGIDGGLAMTNPAAAAITHVLHNKQEFPFVRGVEDLMVLSLGCGAGAAVPDASLLRRWTAKEWARPMARIASDGAADLVDHAVALAFAHARSSNYVRIQANCSSMGACSGVDADSDPSPSNVKVLVDAAEAMLKQKNVESVLFSGKRISERTNLEKLDWFAGELVLEHQRRSCRIAPTVAFKQAVTNPS >DRNTG_17193.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:396371:399430:1 gene:DRNTG_17193 transcript:DRNTG_17193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPEDMLASTAATKSKSGDEDGNNDDDEHTMDTDKLSYEIFSILESKFLFGYDDPKLPLHPPPDPSHFKNHRGKVCILSIDGAGMRGILPGKALSHLERSLQSISGQQNARISDFFDVVAGTGVGGLFASMLFSSSPFSADETWRFLAEKARRFLKKPSSGFLARAFRGGGSGTAAATAAMEKAMKETFGEKMTLRDTVKPVLIPCYDLRSSSPLVFSRADALESESYDFRLWEVCRATWAEPGRFEPADIRSVDGSRSCLGIDGGLAMTNPAAAAITHVLHNKQEFPFVRGVEDLMVLSLGCGAGAAVPDASLLRRWTAKEWARPMARIASDGAADLVDHAVALAFAHARSSNYVRIQANCSSMGACSGVDADSDPSPSNVKVLVDAAEAMLKQKNVESVLFSGKRISERTNLEKLDWFAGELVLEHQRRSCRIAPTVAFKQAVTNPS >DRNTG_17193.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:396699:398766:1 gene:DRNTG_17193 transcript:DRNTG_17193.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPEDMLASTAATKSKSGDEDGNNDDDEHTMDTDKLSYEIFSILESKFLFGYDDPKLPLHPPPDPSHFKNHRGKVCILSIDGAGMRGILPGKALSHLERSLQSISGQQNARISDFFDVVAGTGVGGLFASMLFSSSPFSADETWRFLAEKARRFLKKPSSGFLARAFRGGGSGTAAATAAMEKAMKETFGEKMTLRDTVKPVLIPCYDLRSSSPLVFSRADALESESYDFRLWEVCRATWAEPGRFEPADIRSVDGSRSCLGIDGGLAMTNPAAAAITHVLHNKQEFPFVRGVEDLMVLSLGCGAGAAVPDASLLRRWTAKEWARPMARIASDGAADLVDHAVALAFAHARSSNYVRIQANCSSMGACSGVDADSDPSPSNVKVLVDAAEAMLKQKNVESVLFSGKRISERTNLEKLDWFAGELVLEHQRRSCRIAPTVAFKQAVTNPS >DRNTG_17193.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:396371:398665:1 gene:DRNTG_17193 transcript:DRNTG_17193.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPEDMLASTAATKSKSGDEDGNNDDDEHTMDTDKLSYEIFSILESKFLFGYDDPKLPLHPPPDPSHFKNHRGKVCILSIDGAGMRGILPGKALSHLERSLQSISGQQNARISDFFDVVAGTGVGGLFASMLFSSSPFSADETWRFLAEKARRFLKKPSSGFLARAFRGGGSGTAAATAAMEKAMKETFGEKMTLRDTVKPVLIPCYDLRSSSPLVFSRADALESESYDFRLWEVCRATWAEPGRFEPADIRSVDGSRSCLGIDGGLAMTNPAAAAITHVLHNKQEFPFVRGVEDLMVLSLGCGAGAAVPDASLLRRWTAKEWARPMARIASDGAADLVDHAVALAFAHARSSNYVRIQANCSSMGACSGVDADSDPSPSNVKVLVDAAEAMLKQKNVESVLFSGKRISERTNLEKLDWFAGELVLEHQRRSCRIAPTVAFKQAVTNPS >DRNTG_17193.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:396699:398665:1 gene:DRNTG_17193 transcript:DRNTG_17193.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPEDMLASTAATKSKSGDEDGNNDDDEHTMDTDKLSYEIFSILESKFLFGYDDPKLPLHPPPDPSHFKNHRGKVCILSIDGAGMRGILPGKALSHLERSLQSISGQQNARISDFFDVVAGTGVGGLFASMLFSSSPFSADETWRFLAEKARRFLKKPSSGFLARAFRGGGSGTAAATAAMEKAMKETFGEKMTLRDTVKPVLIPCYDLRSSSPLVFSRADALESESYDFRLWEVCRATWAEPGRFEPADIRSVDGSRSCLGIDGGLAMTNPAAAAITHVLHNKQEFPFVRGVEDLMVLSLGCGAGAAVPDASLLRRWTAKEWARPMARIASDGAADLVDHAVALAFAHARSSNYVRIQANCSSMGACSGVDADSDPSPSNVKVLVDAAEAMLKQKNVESVLFSGKRISERTNLEKLDWFAGELVLEHQRRSCRIAPTVAFKQAVTNPS >DRNTG_17193.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:396699:399430:1 gene:DRNTG_17193 transcript:DRNTG_17193.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPEDMLASTAATKSKSGDEDGNNDDDEHTMDTDKLSYEIFSILESKFLFGYDDPKLPLHPPPDPSHFKNHRGKVCILSIDGAGMRGILPGKALSHLERSLQSISGQQNARISDFFDVVAGTGVGGLFASMLFSSSPFSADETWRFLAEKARRFLKKPSSGFLARAFRGGGSGTAAATAAMEKAMKETFGEKMTLRDTVKPVLIPCYDLRSSSPLVFSRADALESESYDFRLWEVCRATWAEPGRFEPADIRSVDGSRSCLGIDGGLAMTNPAAAAITHVLHNKQEFPFVRGVEDLMVLSLGCGAGAAVPDASLLRRWTAKEWARPMARIASDGAADLVDHAVALAFAHARSSNYVRIQANCSSMGACSGVDADSDPSPSNVKVLVDAAEAMLKQKNVESVLFSGKRISERTNLEKLDWFAGELVLEHQRRSCRIAPTVAFKQAVTNPS >DRNTG_07229.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000327.1:14682:16944:1 gene:DRNTG_07229 transcript:DRNTG_07229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANNTVSNATDEQALIKALQLLNSSILPMTLKAAIELNLFNIISAASPNSLSATEITSLLPSSTPSTPIMLDRILRLLSSYSILICSLSTDPISGATTHRYGAAPVVKYLAQNEDGFTLSALGLMDQDKVLMESWYYLKDAVLNGGIPFKMAHGMTSFEYQGTDPRFNKVFNEAMKNHSGIIMKRILEKYRGFDDVKVLVDVGGGVGGTLAQVVAKHKHIKGINFDLPHVISQAPLIPGVEHVGGDMFDNIPNGDAILMKCILHDWSDEHGLKILKICRKALPKDGKVILVECILPVAPDNTNVTQYLFNLDMAMLAHNHGGKERTAQEFESMAK >DRNTG_31693.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14105586:14108157:1 gene:DRNTG_31693 transcript:DRNTG_31693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARSCSPSAELEHAHERNTQTVLPEFHEHGE >DRNTG_05222.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000235.1:13262:13922:1 gene:DRNTG_05222 transcript:DRNTG_05222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILVFKLQFGLGLTLNLVYDIQQPFQASTTSQERELQTLLCQLQQSVGSLAEDIQKLKVKNSQGVVIEWLIQQIWLCA >DRNTG_21376.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19393746:19397851:-1 gene:DRNTG_21376 transcript:DRNTG_21376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTKELLGSSFLQRSFAIPSTSSLLLHRSDHKKLCFSPSFVPMTQRSSSFKVKKLVRHPVKAVISEKMVVSPVAAVEPPVKFKVRASVTVKRKMKKDLKENIFNYFDAFSDKIGRNIALELVSNEIEPKTKKPKISNKAVLKDWFEKKNVKADRVVYTAEFIVDSSFGMPGAILITNKHQMEFYLDSIVIEGFASGPVHFPCNSWVQSTKDHPNKRVFFSNKPYLPFETPPGLKALRDIELRELRGDGTGERKLTDRVYDYATYNDLGNPDKGVDYVRPILGGDAMPYPRRCRTGRSPTDSDMRAESRVEDPLPVYVPRDEAFEEEKQEMLIAGAKKALLHIIVPSLVASFAPESRDFKGFHDIDNLFKEGLRLQQSLQDHLFKKLPLVGQLPQSGQAMLLYDTPDILSKDKFAWLRDDEFARQALAGINPVNIECLKVFPPVSKLDPSIYGPPESAIKEEHIIGHLHGMSVQQALEEKKLFMLDFHDTYLPFIDRINTQDGRKAYATRTIFYQTPLGTLKPIAIELSLPPATHNCKRAKRVFTPPIDATTTWLWQLAKAHVCSNDAGVHQLVNHWLRTHACVEPFILGAHRQLSVMHPIFKLLKPHMRYTLEINAMARQILINAGGVIESGFTPGPCCMEISAAFYRDHWRFDQEALPADLIRRGMAVEDPSQPHGLKLLVKDYPYANDGLLLWTAIQKWVQSYVDRYYPNQAAVQTDTELQSWYAESVHVGHADKRHASWWPKLTSPDELASFLTTLIWLVSAQHAALNFGQYPLGGYIPNRPPLVRRLVPVEGDPEFDHFRSEPHRFFLSALPSLIQATQFMTVIDTLSTHSTDEEYIGERRHPYTWTGDEEIVERFHEFASEIKRIEREIDRRNSDPTLRNRCGAGVLPYELMAPSSGPGITCRGVPNSVSI >DRNTG_01578.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5463141:5466275:1 gene:DRNTG_01578 transcript:DRNTG_01578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCYLFFVFLLFNEAFAAKHAKPVVQWQTLSGDAPLVIARGGYSGLFPDSSQFAYQFALSTSLSDVVLFCDLQLTKDGAGFCHSGLQLDNSTTISQAFPKGQKTYPVNGEDVTGWFAIDYVSKVLFDKVSLIQGIFSRPSVFDNSQSMMRVEDVVAVQPPLFWLNVEYANFFKQHKLDIAEYTLGLSNQMPIDFISSPEINFLKGLSGKVNARNTRLIFKFLKADKTEPSTKKTYSSLLKDLPMIKSFSSGILVPKEYIWPVGKDLYLEPATTLVLDAHKLGLEVYAYGFANDAPASYNYSYDPSTEYLQFVDNSDFSVDGVLTDFPSTASQAVACLAHNKKNIPPPIKDKPLIISHNGASGVYAGCTDLAYQQAVNDGADIIDCSVQMTKDGVAFCLDSADVSQTTTAMTSFMSHSTVIPEIQKDNGIYSFDLTWAEIQTLKPSQTRLPSSGLSRNPAMQNAGKLMTLPEFLDFAKNSSNAAYLASKQGLDIVNTVMSNLTAASYDQQTLQQVYIQSDDTSVLEKFKSVSTYKRVLMIKESISDAPKPSVTEIKQFADAVNLPRSSLVAYSDFFLSGFTPVAKEMFAANISVFVSVLRNEFMALAFDYFSDPTVEVATYVLGLGLDGVVTEYPATASSYMRSPCFYSDADLPYTILAAQPGSLLQLAPPDALPPAQPPAPVLQPADVDDPPLPPVVKNSNNDPAHPSPPASSLPSSPPNHASLVTVTSSLSFFIVFFSFMYLHFSCH >DRNTG_06526.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29560621:29561707:-1 gene:DRNTG_06526 transcript:DRNTG_06526.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWFIGITIEVIADHQKLFKNSPKNNGKWCNVGIWKYSRHPNYFGEILLWWGVFVASTPVLNGVEWLVIIGPIFLKLLLLFISGIPLLEVCL >DRNTG_06526.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29560621:29561707:-1 gene:DRNTG_06526 transcript:DRNTG_06526.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFIGITIEVIADHQKLFKNSPKNNGKWCNVGIWKYSRHPNYFGEILLWWGVFVASTPVLNGVEWLVIIGPIFLKLLLLFISGIPLLEKPADKRYGASREYHAYKNTTSPLIPLPHALYGNLPAWFKAAFLFEFPMYTQRNLKDKSS >DRNTG_06526.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29560621:29561707:-1 gene:DRNTG_06526 transcript:DRNTG_06526.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWFIGITIEVIADHQKLFKNSPKNNGKWCNVGIWKYSRHPNYFGEILLWWGVFVASTPVLNGVEWLVIIGPIFLKLLLLFISGIPLLEVCL >DRNTG_16948.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:11589495:11590357:-1 gene:DRNTG_16948 transcript:DRNTG_16948.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFAPNSPPSLNRNPPTAASPSHTCQHLPPQLTLRHSHLLISA >DRNTG_30768.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28746904:28747246:-1 gene:DRNTG_30768 transcript:DRNTG_30768.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCVCSNLESELVFPGSGGRSLSKGESAEMPDENKKRKISPDPDSSLRLGHNK >DRNTG_30768.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28741104:28747246:-1 gene:DRNTG_30768 transcript:DRNTG_30768.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEMPNLLSVEFSSSQRSKPCSEHPSVVDKHEKRWRALYGQMCTALKEEEKHLESLSIQVQNMRVLCDKGLKYGTADGRPVFGSAEDPRLRKPDKLELESLIMAAAASIYSTSSLTMARENAT >DRNTG_30768.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28741104:28747246:-1 gene:DRNTG_30768 transcript:DRNTG_30768.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVCSNLESELVFPGSGGRSLSKGESAEMPDENKKRKISPDPDSSLRLGHNKGNDAAISEQNKKVRTDIERNSSLPAGSGVLARSSTIGEKPLCPCKKLGQVVPVDGSGCSSTAPPVEESESLKIWKEMKRNGFLSSPHGGVPLTKNRGQQCTKKKHDEQKKKPKRIKKEKENMFIKFAAPSGLLSGCNPGIIKCVKNSKQVHSKIEAMLQLVHYCSQVKSGCSDLLEVGILRGDNEIEEQKTAHYGAANQFSPSLSNLVCDDTLKSSLNVTTNSEKSISASNEESSANEKTVYLLSLKGASVASLWLELLQFDINCRLAALDLSKRRFRNVMKVEMPNLLSVEFSSSQRSKPCSEHPSVVDKHEKRWRALYGQMCTALKEEEKHLESLSIQVQNMRVLCDKGLKYGTADGRPVFGSAEDPRLRKPDKLELESLIMAAAASIYSTSSLTMARENAT >DRNTG_30768.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28741104:28747246:-1 gene:DRNTG_30768 transcript:DRNTG_30768.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEMPNLLSVEFSSSQRSKPCSEHPSVVDKHEKRWRALYGQMCTALKEEEKHLESLSIQVQNMRVLCDKGLKYGTADGRPVFGSAEDPRLRKPDKLELESLIMAAAASIYSTSSLTMARENAT >DRNTG_30768.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28741104:28746529:-1 gene:DRNTG_30768 transcript:DRNTG_30768.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEMPNLLSVEFSSSQRSKPCSEHPSVVDKHEKRWRALYGQMCTALKEEEKHLESLSIQVQNMRVLCDKGLKYGTADGRPVFGSAEDPRLRKPDKLELESLIMAAAASIYSTSSLTMARENAT >DRNTG_33327.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3406615:3407384:1 gene:DRNTG_33327 transcript:DRNTG_33327.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLTITMQALFLIVLSTACNAQQQAAPPLCATKLLPCLNSLNTSTPPETCCKSLTEAVAQDMRCLCVIFSSPDILKAFNLNLQQALNLSSGCGVSIGQTACSSAGPAADPQVNFGTLAFKMNWIGAVMMMTIPFFCCTFL >DRNTG_15248.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:16831747:16832367:1 gene:DRNTG_15248 transcript:DRNTG_15248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWKVLYSSSKDSLVRAGIGRLHGRGCSTCPCRISTRAWIISTHPCGFSVSLVSRPAVSSAATVYATVLLHFSTIVFDLNSFPIPYFHWGNANGHTLVELLFYVHKSECSNVTAFVPLQMDVPTPIRGGW >DRNTG_08545.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17365697:17368755:-1 gene:DRNTG_08545 transcript:DRNTG_08545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWAGIEAAVIAAGGFRLWSLFSAAAIRRKILERLLCGVKCTNRRPMASEPMKKVGSGRLLELLMAEGLESGSDEPESEVKKKVPIFDELQSVVTMLQGDDDGRRKSAAIDVRRLAKDDPKSRETLAMLGSISPLVGMLDSDDPEIQIVSLYALLNLGIGNDSNKAAIVNAGAVQKMLRLIGSQCSPPVSDAIVANFLGLSALDSNKPIIGSSGAIPFLVSTFQNPNPNPNPNPNPNPSSTSRQDALKALFNLSIAPSNISNLIAANLAQHLLFTIGDMEVSDRALAVLANLLSTSHGRRAVSRSGNAFPILIDVLYWFDSPACQEKAVYILMIIAYKRYTDRTAMIESGIISSLLELSLLGTPLAEKRASRLLEILTMDKGKAVSYQIKSEPLDWKRRVRNEVRMSEERKALRCLVEQSLQCNMRRIVRRANLPGHSAPSDRFPTMAPTSSSSSKSLPF >DRNTG_11225.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15304535:15307226:1 gene:DRNTG_11225 transcript:DRNTG_11225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNDVMDAFVSIIQKSLSKVLYPYKKRASITRPLAMFMSKQDDAHETTMAMIGDAVRNLHEVQIVILPIIMNDHFHVVVLDNDKQEYRHYSSCAGYDKDALDMRNLFDICVDMEFGELATSKYPLVHDIETPRQKQGSVDCAVYVMRFIEQLLACEKLRLPQIDVPYLRLKYISRILKEGRAAGVHEKGGSSQAG >DRNTG_26337.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1307394:1309246:1 gene:DRNTG_26337 transcript:DRNTG_26337.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWTPYDQLLMRWGFTNLEIVVAETGWPYRGDADEVGASVDNAKAFNGGLVAHLRSMVGTPKMPGKSVDTYIFALYDEDLKAGPTSERSFGLFRPDLTMTYDAGLSKTTNSSPASPASPTEKPACETTSNVSSSFSCGQASVECSVTLPGGSCLISEAAGVKLLYLITSSCLLFHLVLIS >DRNTG_26337.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1306859:1309246:1 gene:DRNTG_26337 transcript:DRNTG_26337.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKITLLLLLSPFLLFSARSQSFIGVNYGEEADNLPSPDATARLLQSTTISKLRLYAPDAALLRSLASTDITVILGVPNSDLPTLASSSSAASTWASSNLLPFLPSPSIPLIAVGNEALNSGDPSLPPLLLPAMQNLQSALSSTAPQIKISTVHSMAVLSQSDPPSAGAFHSDLSSVLSPILHFLQDNGSPFMINPYPFFAYRSDTRPETLAFCLFQPNPGRVDPVSSLTYSNMFDAQVDAIRSALDAMGFYESRDRRGGDWLAI >DRNTG_22081.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20276807:20282343:-1 gene:DRNTG_22081 transcript:DRNTG_22081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHVHSIFKCSVVDLVVETDDVASYPTENEFFSLNSESGSASVPHHGEPEGVACLPSSSDQAEVLSLQSDEMPNTCTGLLLRDAGGKGRVPVGREELETRVESSRELREDKQTVMPKKNPPTSSHTAEMGAATTSRARAFSSIKF >DRNTG_16052.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25810055:25811669:-1 gene:DRNTG_16052 transcript:DRNTG_16052.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFFLIRSYYRCTYKDEGCPATKHVQQKDNNDPPLFLVTYYEQHICKTNNNPMIITPQITQDPLLPVEPNLFSFESNANKFFCYHERAMLKASTTDQQDSDLERVDLQNVIIDQTAIGSVVNNEQYCLEAVPNSTIEDFSLSACMSPPWEVMSLDYLQMDSFGFDPLHEGFPSF >DRNTG_16052.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25810055:25811669:-1 gene:DRNTG_16052 transcript:DRNTG_16052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEIIERSVLYQELAIQEITRGYKLAARLQTFIPKGEPQLELVGILLEEVLQALSMALSMLKKSGNTCTQTQASREQIISETSSASFSDQGSTEAPEEVKIPTSASIVCGKRERQQRLKTMDPWTKVTYAPHDDGHQWRKYGQKNLQKSKLARSYYRCTYKDEGCPATKHVQQKDNNDPPLFLVTYYEQHICKTNNNPMIITPQITQDPLLPVEPNLFSFESNANKFFCYHERAMLKASTTDQQDSDLERVDLQNVIIDQTAIGSVVNNEQYCLEAVPNSTIEDFSLSACMSPPWEVMSLDYLQMDSFGFDPLHEGFPSF >DRNTG_15916.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:969874:973058:1 gene:DRNTG_15916 transcript:DRNTG_15916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFSSLLNGLAKSLYGGKGRVASPEEGGREAGDAMVKEARRNGMILRSSGMLRASGSKSFVSMFSQRGEKGVNQDCFIVWEEFGCQEDMIFCGIFDGHGQWGHFVSKRVRDSFPPSLLCNWQEALALASLLPDKKLCQFDIWKQSCIRTCSAVDRELSENRSLDAFHSGTTALTIVKQGEQMFIANVGDSRAVLATTSDEGDLVPVQLTIDFKPNLPQEAERINQCKGRVFCLRDEPGVHRVWLPNGETPGLAMSRAFGDYCIKDYGLISVPEVTQKNINDSDQFIVLATDGVWDVVSNQEAIQIVASTKERAKAAKRLVECAVRAWKKKRRCIAVDDCSAICLFFHSS >DRNTG_08481.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:531038:534184:1 gene:DRNTG_08481 transcript:DRNTG_08481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSACALKFLLHHHHLLLLLIFLATPLISATSAAFNRSSFPSDFVFGGVSAAYQIEGAWNLDGKGPSIWDTFTHTQPWKIADGKTGDVAIDFYHRYKDDVKLLKSLNMDAFRFSISWTRILPTGSLSGGINRKGVEFYNNLINELLAHGMKPYVTIFHWDTPQGLESKYGGFLSRNIVDDYRDFAEVCFKEFGDRVKHWITFNEPYTYCSRAYDMGTFAPGRCSPWAGNCTAGDSGREPYIAAHNILFAHGAAVKVYREKYQAKQKGLIGITLVTNWFIPYTTSKADIHAQQRSLDFMFGWFMDPLAYGNYPSSMRMIVGNRLPKFTKQESEIIKGSYDFIGLNYYTTWYSQSVPPTNSLVNTSYSTDSQTNQSVTGIRLGKPLGPTAASGWLFVYPPGIRNLLLYIKDKYNNPLIYITENGVDDNNIKDLPLKDALEDNVRVLYYYQHLRYLQSAIKKGSNVKAYFAWAFLDDFEWDAGFTVRFGLTFIDYNDNLKRYPKKSALWFHQFLKK >DRNTG_03065.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12292446:12293047:-1 gene:DRNTG_03065 transcript:DRNTG_03065.1 gene_biotype:protein_coding transcript_biotype:protein_coding PKKEDKRYQDGEGKRGEKEFDDDDNLIICWHSNKRRVTLQEFRGKTLVSIKEYFEKDGEQFPTSKGTTFICQLCFIIGFCDELGLNILPDFNGLLASYVSSNVAEPFLQFMSDAL >DRNTG_33195.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:283656:287769:-1 gene:DRNTG_33195 transcript:DRNTG_33195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPFLFRAGQNRAGGSEQIIATPTHCPHSPPWT >DRNTG_22982.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001255.1:29287:38813:-1 gene:DRNTG_22982 transcript:DRNTG_22982.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAALTASITPALEEHLACLKEGQLRIEGLLQQSLAHLQRQDPSTTPATTSPTVIALVAPKSPLASSTPPVPQSAKGNQWKHSHVSIANRAHWEARECSAHAQPGMLQQLEN >DRNTG_25658.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21684124:21691475:1 gene:DRNTG_25658 transcript:DRNTG_25658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGPAGRSVFSRKPNESMRLITTTIIGVVFGFFIGISFPPVNITKLHFPSSILSSIEDRNSGITTQALLNHVWTSANTHDSNDPDWNNVENLKIYVPTNPRGAERLPPNIIVTESDLYPRRLWGIPSEDLPMKQKYLVTFTVGYDQKNNIDAAVKKFSGNFTIVLFHYDGRTSEWDEFEWSKSAIHVSARKQTKWWYAKRFLHPDIVAEYDYIFIWDEDLGVEHFDAEEYIKLVRKHGLEISQPGLEPNKGLTWQMTKRRGDREVHKETEEKPGWCADPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFAVRKCVEPAHEKIGVVDSQWIVHQVVPSLGNQGHAENGKAPWEGVRERCRKEWGIFQNRMAEAEKTYREKGIGSLNSTSL >DRNTG_33908.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15154448:15157418:-1 gene:DRNTG_33908 transcript:DRNTG_33908.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAAEKAIVPVPPSIEAPTLEPHPMLMDSDVPPPAQEPPPVRIFSPPRAHDHFEKLESAVGLLEQDKTSPFLIRPVTPSASPAPPVDSPTPADLAATVVPVTEDTDT >DRNTG_14112.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7792206:7796520:1 gene:DRNTG_14112 transcript:DRNTG_14112.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein phosphatase 2C 22 [Source:Projected from Arabidopsis thaliana (AT2G25620) UniProtKB/Swiss-Prot;Acc:Q9SLA1] MAGNFGGGEDGGSSGDGKPPNPLSGGGRLSFGGGNGSSPRLPTLARHASLTKKKVGVSFDLEDSRECCDSEYIPVVRSGGWSDIGFRQSMEDTFVCCDDFMHDYGLKKLGPGPNAFYGTDTAFADACSADSALASGTTALAALIIGRSLVVANAGDCRAVLCRRGKAIEMSRDHKPACSVEKKRIEASGGYIYDGYLNGLLNVARAIGDWHMEGLKDRNGMGPLSAVPEVMQVRLTNEDEFLIIGCDGVWDVFRSQNAVDFARRKLQEHNDPAICCKELVDEALKRKTGDNLSVIVVCFSSSPPPALSVPRARVQRSISAEGLKELQGFLDSLAN >DRNTG_14112.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7792206:7796160:1 gene:DRNTG_14112 transcript:DRNTG_14112.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein phosphatase 2C 22 [Source:Projected from Arabidopsis thaliana (AT2G25620) UniProtKB/Swiss-Prot;Acc:Q9SLA1] MSRDHKPACSVEKKRIEASGGYIYDGYLNGLLNVARAIGDWHMEGLKDRNGMGPLSAVPEVMQVRLTNEDEFLIIGCDGVWDVFRSQNAVDFARRKLQEHNDPAICCKELVDEALKRKTGDNLSVIVVCFSSSPPPALSVPRARVQRSISAEGLKELQGFLDSLAN >DRNTG_14112.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7792206:7792929:1 gene:DRNTG_14112 transcript:DRNTG_14112.15 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein phosphatase 2C 22 [Source:Projected from Arabidopsis thaliana (AT2G25620) UniProtKB/Swiss-Prot;Acc:Q9SLA1] MAGNFGGGEDGGSSGDGKPPNPLSGGGRLSFGGGNGSSPRLPTLARHASLVSSPFPKSNLAYYFSGNQGFNGVLYY >DRNTG_14112.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7792206:7796131:1 gene:DRNTG_14112 transcript:DRNTG_14112.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein phosphatase 2C 22 [Source:Projected from Arabidopsis thaliana (AT2G25620) UniProtKB/Swiss-Prot;Acc:Q9SLA1] MAGNFGGGEDGGSSGDGKPPNPLSGGGRLSFGGGNGSSPRLPTLARHASLTKKKVGVSFDLEDSRECCDSEYIPVVRSGGWSDIGFRQSMEDTFVCCDDFMHDYGLKKLGPGPNAFYGVFDGHGGRHAADFACSNLPRFISEDVDFPEEIERVVASAFLQTDTAFADACSADSALASGTTALAALIIGRSLVVANAGDCRAVLCRRGKAIEMSRDHKPACSVEKKRIEASGGYIYDGYLNGLLNVARAIGDWHMEGLKDRNGMGPLSAVPEVMQVRLTNEDEFLIIGCDGVWDVFRSQNAVDFARRKLQEHNDPAICCKELVDEALKRKTGDNLSVIVVCFSSSPPPALSVPRARVQRSISAEGLKELQGFLDSLAN >DRNTG_14112.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7792141:7796286:1 gene:DRNTG_14112 transcript:DRNTG_14112.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein phosphatase 2C 22 [Source:Projected from Arabidopsis thaliana (AT2G25620) UniProtKB/Swiss-Prot;Acc:Q9SLA1] MAGNFGGGEDGGSSGDGKPPNPLSGGGRLSFGGGNGSSPRLPTLARHASLTKKKVGVSFDLEDSRECCDSEYIPVVRSGGWSDIGFRQSMEDTFVCCDDFMHDYGLKKLGPGPNAFYGTDTAFADACSADSALASGTTALAALIIGRSLVVANAGDCRAVLCRRGKAIEMSRDHKPACSVEKKRIEASGGYIYDGYLNGLLNVARAIGDWHMEGLKDRNGMGPLSAVPEVMQVRLTNEDEFLIIGCDGVWDVFRSQNAVDFARRKLQEHNDPAICCKELVDEALKRKTGDNLSVIVVCFSSSPPPALSVPRARVQRSISAEGLKELQGFLDSLAN >DRNTG_14112.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7792206:7796286:1 gene:DRNTG_14112 transcript:DRNTG_14112.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein phosphatase 2C 22 [Source:Projected from Arabidopsis thaliana (AT2G25620) UniProtKB/Swiss-Prot;Acc:Q9SLA1] MAGNFGGGEDGGSSGDGKPPNPLSGGGRLSFGGGNGSSPRLPTLARHASLTKKKVGVSFDLEDSRECCDSEYIPVVRSGGWSDIGFRQSMEDTFVCCDDFMHDYGLKKLGPGPNAFYGTDTAFADACSADSALASGTTALAALIIGRSLVVANAGDCRAVLCRRGKAIEMSRDHKPACSVEKKRIEASGGYIYDGYLNGLLNVARAIGDWHMEGLKDRNGMGPLSAVPEVMQVRLTNEDEFLIIGCDGVWDVFRSQNAVDFARRKLQEHNDPAICCKELVDEALKRKTGDNLSVIVVCFSSSPPPALSVPRARVQRSISAEGLKELQGFLDSLAN >DRNTG_14112.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7792206:7796286:1 gene:DRNTG_14112 transcript:DRNTG_14112.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein phosphatase 2C 22 [Source:Projected from Arabidopsis thaliana (AT2G25620) UniProtKB/Swiss-Prot;Acc:Q9SLA1] MAGNFGGGEDGGSSGDGKPPNPLSGGGRLSFGGGNGSSPRLPTLARHASLTKKKVGVSFDLEDSRECCDSEYIPVVRSGGWSDIGFRQSMEDTFVCCDDFMHDYGLKKLGPGPNAFYGVFDGHGGRHAADFACSNLPRFISEDVDFPEEIERVVASAFLQTDTAFADACSADSALASGTTALAALIIGRSLVVANAGDCRAVLCRRGKAIEMSRDHKPACSVEKKRIEASGGYIYDGYLNGLLNVARAIGDWHMEGLKDRNGMGPLSAVPEVMQVRLTNEDEFLIIGCDGVWDVFRSQNAVDFARRKLQEHNDPAICCKELVDEALKRKTGDNLSVIVVCFSSSPPPALSVPRARVQRSISAEGLKELQGFLDSLAN >DRNTG_14112.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7792206:7796160:1 gene:DRNTG_14112 transcript:DRNTG_14112.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein phosphatase 2C 22 [Source:Projected from Arabidopsis thaliana (AT2G25620) UniProtKB/Swiss-Prot;Acc:Q9SLA1] MAGNFGGGEDGGSSGDGKPPNPLSGGGRLSFGGGNGSSPRLPTLARHASLTKKKVGVSFDLEDSRECCDSEYIPVVRSGGWSDIGFRQSMEDTFVCCDDFMHDYGLKKLGPGPNAFYGTDTAFADACSADSALASGTTALAALIIGRSLVVANAGDCRAVLCRRGKAIEMSRDHKPACSVEKKRIEASGGYIYDGYLNGLLNVARAIGDWHMEGLKDRNGMGPLSAVPEVMQVRLTNEDEFLIIGCDGVWDVFRSQNAVDFARRKLQEHNDPAICCKELVDEALKRKTGDNLSVIVVCFSSSPPPALSVPRARVQRSISAEGLKELQGFLDSLAN >DRNTG_14112.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7794194:7796131:1 gene:DRNTG_14112 transcript:DRNTG_14112.14 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein phosphatase 2C 22 [Source:Projected from Arabidopsis thaliana (AT2G25620) UniProtKB/Swiss-Prot;Acc:Q9SLA1] MEDTFVCCDDFMHDYGLKKLGPGPNAFYGVFDGHGGRHAADFACSNLPRFISEDVDFPEEIERVVASAFLQTDTAFADACSADSALASGTTALAALIIGRSLVVANAGDCRAVLCRRGKAIEMSRDHKPACSVEKKRIEASGGYIYDGYLNGLLNVARAIGDWHMEGLKDRNGMGPLSAVPEVMQVRLTNEDEFLIIGCDGVWDVFRSQNAVDFARRKLQEHNDPAICCKELVDEALKRKTGDNLSVIVVCFSSSPPPALSVPRARVQRSISAEGLKELQGFLDSLAN >DRNTG_14112.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7792141:7796160:1 gene:DRNTG_14112 transcript:DRNTG_14112.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein phosphatase 2C 22 [Source:Projected from Arabidopsis thaliana (AT2G25620) UniProtKB/Swiss-Prot;Acc:Q9SLA1] MAGNFGGGEDGGSSGDGKPPNPLSGGGRLSFGGGNGSSPRLPTLARHASLTKKKVGVSFDLEDSRECCDSEYIPVVRSGGWSDIGFRQSMEDTFVCCDDFMHDYGLKKLGPGPNAFYGVFDGHGGRHAADFACSNLPRFISEDVDFPEEIERVVASAFLQTDTAFADACSADSALASGTTALAALIIGRSLVVANAGDCRAVLCRRGKAIEMSRDHKPACSVEKKRIEASGGYIYDGYLNGLLNVARAIGDWHMEGLKDRNGMGPLSAVPEVMQVRLTNEDEFLIIGCDGVWDVFRSQNAVDFARRKLQEHNDPAICCKELVDEALKRKTGDNLSVIVVCFSSSPPPALSVPRARVQRSISAEGLKELQGFLDSLAN >DRNTG_14112.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7792206:7796160:1 gene:DRNTG_14112 transcript:DRNTG_14112.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein phosphatase 2C 22 [Source:Projected from Arabidopsis thaliana (AT2G25620) UniProtKB/Swiss-Prot;Acc:Q9SLA1] MAGNFGGGEDGGSSGDGKPPNPLSGGGRLSFGGGNGSSPRLPTLARHASLTKKKVGVSFDLEDSRECCDSEYIPVVRSGGWSDIGFRQSMEDTFVCCDDFMHDYGLKKLGPGPNAFYGVFDGHGGRHAADFACSNLPRFISEDVDFPEEIERVVASAFLQTDTAFADACSADSALASGTTALAALIIGRSLVVANAGDCRAVLCRRGKAIEMSRDHKPACSVEKKRIEASGGYIYDGYLNGLLNVARAIGDWHMEGLKDRNGMGPLSAVPEVMQVRLTNEDEFLIIGCDGVWDVFRSQNAVDFARRKLQEHNDPAICCKELVDEALKRKTGDNLSVIVVCFSSSPPPALSVPRARVQRSISAEGLKELQGFLDSLAN >DRNTG_14112.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7794194:7796520:1 gene:DRNTG_14112 transcript:DRNTG_14112.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein phosphatase 2C 22 [Source:Projected from Arabidopsis thaliana (AT2G25620) UniProtKB/Swiss-Prot;Acc:Q9SLA1] MEDTFVCCDDFMHDYGLKKLGPGPNAFYGTDTAFADACSADSALASGTTALAALIIGRSLVVANAGDCRAVLCRRGKAIEMSRDHKPACSVEKKRIEASGGYIYDGYLNGLLNVARAIGDWHMEGLKDRNGMGPLSAVPEVMQVRLTNEDEFLIIGCDGVWDVFRSQNAVDFARRKLQEHNDPAICCKELVDEALKRKTGDNLSVIVVCFSSSPPPALSVPRARVQRSISAEGLKELQGFLDSLAN >DRNTG_14112.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7792206:7796131:1 gene:DRNTG_14112 transcript:DRNTG_14112.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein phosphatase 2C 22 [Source:Projected from Arabidopsis thaliana (AT2G25620) UniProtKB/Swiss-Prot;Acc:Q9SLA1] MAGNFGGGEDGGSSGDGKPPNPLSGGGRLSFGGGNGSSPRLPTLARHASLTKKKVGVSFDLEDSRECCDSEYIPVVRSGGWSDIGFRQSMEDTFVCCDDFMHDYGLKKLGPGPNAFYGTDTAFADACSADSALASGTTALAALIIGRSLVVANAGDCRAVLCRRGKAIEMSRDHKPACSVEKKRIEASGGYIYDGYLNGLLNVARAIGDWHMEGLKDRNGMGPLSAVPEVMQVRLTNEDEFLIIGCDGVWDVFRSQNAVDFARRKLQEHNDPAICCKELVDEALKRKTGDNLSVIVVCFSSSPPPALSVPRARVQRSISAEGLKELQGFLDSLAN >DRNTG_14112.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7794194:7796286:1 gene:DRNTG_14112 transcript:DRNTG_14112.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein phosphatase 2C 22 [Source:Projected from Arabidopsis thaliana (AT2G25620) UniProtKB/Swiss-Prot;Acc:Q9SLA1] MEDTFVCCDDFMHDYGLKKLGPGPNAFYGVFDGHGGRHAADFACSNLPRFISEDVDFPEEIERVVASAFLQTDTAFADACSADSALASGTTALAALIIGRSLVVANAGDCRAVLCRRGKAIEMSRDHKPACSVEKKRIEASGGYIYDGYLNGLLNVARAIGDWHMEGLKDRNGMGPLSAVPEVMQVRLTNEDEFLIIGCDGVWDVFRSQNAVDFARRKLQEHNDPAICCKELVDEALKRKTGDNLSVIVVCFSSSPPPALSVPRARVQRSISAEGLKELQGFLDSLAN >DRNTG_14112.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7792141:7796131:1 gene:DRNTG_14112 transcript:DRNTG_14112.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein phosphatase 2C 22 [Source:Projected from Arabidopsis thaliana (AT2G25620) UniProtKB/Swiss-Prot;Acc:Q9SLA1] MAGNFGGGEDGGSSGDGKPPNPLSGGGRLSFGGGNGSSPRLPTLARHASLTKKKVGVSFDLEDSRECCDSEYIPVVRSGGWSDIGFRQSMEDTFVCCDDFMHDYGLKKLGPGPNAFYGVFDGHGGRHAADFACSNLPRFISEDVDFPEEIERVVASAFLQTDTAFADACSADSALASGTTALAALIIGRSLVVANAGDCRAVLCRRGKAIEMSRDHKPACSVEKKRIEASGGYIYDGYLNGLLNVARAIGDWHMEGLKDRNGMGPLSAVPEVMQVRLTNEDEFLIIGCDGVWDVFRSQNAVDFARRKLQEHNDPAICCKELVDEALKRKTGDNLSVIVVCFSSSPPPALSVPRARVQRSISAEGLKELQGFLDSLAN >DRNTG_10824.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5059902:5060732:1 gene:DRNTG_10824 transcript:DRNTG_10824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHGPSLPLPILKEGTVDEDTFTSIKRASTDKEEWGTLRAEFQGDSTLSALKAQPLRHDFEVMQMRSSEGVQSYVLHVRVAVNRVRAYGEEVKERAIVTKVLRSLHLKYNYVVIAITEAKDLTKITLDELSSSQQTHEGQMNYTEVNDDDVFQVKERGVREEANLASQLQDLNLAAVSLGRGFTRGRGHRRGRGKNPFFFGRGGFARGNALNQVQNNIEALNTDRRP >DRNTG_25313.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20316707:20323641:1 gene:DRNTG_25313 transcript:DRNTG_25313.2 gene_biotype:protein_coding transcript_biotype:protein_coding LDTQKQQKILRFNEHVWLISGADNYNSLLYDNEFTQIQNDNINHFRYQRALNNSVEDSIYESGNEIFTLLKAGAYIYIAGSSTMLPGIKEAFAKIAQERGVDWPKMLDQLQKTNHWRVEVY >DRNTG_25313.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20320801:20323641:1 gene:DRNTG_25313 transcript:DRNTG_25313.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALKANLCSVMHAQMLPGKSLGFNHSASLITSNLSFGNKVPTSLPSLSLRNEKQHSMYNHKVLGMSARQATKLNAAVVPLEAGETREIPSSADKTYKTTVVSVETLVGPKGGLGEVCHIVLDHCGCFSFMEGQYLAVCFQSNKRYFSIASWRRGDSCDGNTLSLCVRRAELSSDSVSNYLCNVKAGDVVEISGPAGGKMVFPPELIPLSPPKPEIEPISTLEPMPIWPTREVKHIMVATTTGIAPFRSNIQRLFLHHQYLLDTQQQQKILRFNEHVWLISGADNYNSLLYDNEFTQIQNDNINHFRYQRALNNSVEDSIYESGNEIFTLLKAGAYIYIAGSSTMLPGIKEAFAKIAQERGVDWPKMLDQLQKTNHWRVEVY >DRNTG_25313.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20316707:20323708:1 gene:DRNTG_25313 transcript:DRNTG_25313.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDTQKQQKILRFNEHVWLISGADNYNSLLYDNEFTQIQNDNINHFRYQRALNNSVEDSIYESGNEIFTLLKAGAYIYIAGSSTMLPGIKEAFAKIAQERGVDWPKMLDQLQKTNHWRVEVY >DRNTG_10178.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11963919:11964260:-1 gene:DRNTG_10178 transcript:DRNTG_10178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDPDPSPKGCGRKGAGENGHEPYGNTYGY >DRNTG_18569.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6312511:6315919:1 gene:DRNTG_18569 transcript:DRNTG_18569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLKWRPFTCSDFKNEALYGDHKEPSDKNKLGMTQAEYFVSLRQSIIPLRLGNTLHAQQYNPHRFARQFGYDQGFPTEIQVPKHPQTTGLLIGYWHHHSRRKTGAQFYIPSHKREGKLLYPYAHFWSIRVHTTLKSIYTLLNEQSRSAQILKDKASIEKEAPPQKRKRSSHDIQLGKDQVGTSAPPMPLPEESRISPKTARTTSLSRTHSVPLHEHHQLPPQGDIPNISEHEEDQASVSKPESNPIASSPKMNPSTHDYSSSDFAYDDNYTPILDANPPMESPFSKEASKLPQVIPSPQHAKEDSTPKAIEVASMSSSLPQLVRKDTGLESFWVNKLIKKVKSSCPHEHESLRDETTKLYKNLKAHGANISVIRDKIYEALDMAKLAHDTNNGQSNLKVAKKKELVAKEERLKKTKLSLATMSQHMDEANMELIQIRKKLQELKALEDEKEEIISSGMSLLSDAPSIEEVSKSLDDIRQQIEALGDDGDGSQPSLSAEEALANFERAKAQL >DRNTG_01415.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6569327:6576509:-1 gene:DRNTG_01415 transcript:DRNTG_01415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSSLRASHGFHLLSSSNATTSIEAKIRVNISFSANRGSLCRSSVIRSELKSSNDSSSDDGGVSREVVRDVVVVAAEKSDEVLEARSPLDFGSSSGDGGNGKVPSGGGGGGGDDDDLGDRGGEEEEGNFGPVLKFEEVMRETEARGVSLPADMIEAARTIGIPKLLLLRYLDLQASGWPIGVLMRTCSLLRDRMLADPSFLFKVGTEVLIDSCCATFAEVQKRGDDFWAEFELYAADLLVGVVVDIALVGLLAPYVRFGKASTSTGFSGQMARTLGALPSSVFEAERPGCKFSIQQRIGTYFYKGVLYGSVGFVCGIIGQGIANTIMTAKRSMKKSDEDIPVPPLVKSAALWGVFLAVSSNTRYQIINGLERLVEASPVAKRVPPVAMAFTVGVRFANNIYGGMQFVDWARWSGVQ >DRNTG_01122.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:15014991:15020607:-1 gene:DRNTG_01122 transcript:DRNTG_01122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINMFESFDTIMRMVEHVEQKVQTVAWNDTSCYSYHGQCTTPQPLEESVEEYIARVQGQSCELDSVIKKFEESTSVSMIDQFDDSIERILARFESSYQDQRQELFSVSVTISNLESCGMDKLIAVADCKEIDRQVDKEEKRTQGRAAAPVDDHATGARPSVISTRACAFLQSWADFPENTQGRGLAPVGDLVNHARALTLEVLASFEFDRSYAHFDSVDAIQFR >DRNTG_14034.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27540926:27542193:1 gene:DRNTG_14034 transcript:DRNTG_14034.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEAKKMTSQGWIHHTCDEARERDEGTLLEQEKLTALWQMRAKQRGWKTILRSCPQSQVQTQYKSTIKEEHLEPA >DRNTG_14034.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27539324:27542193:1 gene:DRNTG_14034 transcript:DRNTG_14034.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEAKKMTSQGWIHHTCDEARERDEGTLLEQEKLTALWQMRAKQRGWKTILRSCPQSQVQTQYKSTIKEEHLEPA >DRNTG_11207.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2327570:2330380:1 gene:DRNTG_11207 transcript:DRNTG_11207.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVHFGFQPAEQGVRHSLILPKLHFSSLEVTPPSIVAAMSSAVPFLSKAIMKATHCDSFNLLVNSGSAAGQVIFHTHFHIIPRKAGDQLWPSEGVRRCLIENNEETFDLVRCIRQRLEFSTEAVFG >DRNTG_11207.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2327570:2330380:1 gene:DRNTG_11207 transcript:DRNTG_11207.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERHQRRLAVTGSHTRPTVPGTVDPLRCSPSSISPSAASAGDDGCVFCKIVGGESPAFKLYEDDICLCILDSNPLSKGHSLILPKLHFSSLEVTPPSVGICCSYLKS >DRNTG_11207.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2327570:2330380:1 gene:DRNTG_11207 transcript:DRNTG_11207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHQRRLAVTGSHTRPTVPGTVDPLRCSPSSISPSAASAGDDGCVFCKIVGGESPAFKLYEDDICLCILDSNPLSKGHSLILPKLHFSSLEVTPPSIVAAMSSAVPFLSKAIMKATHCDSFNLLVNSGSAAGQVIFHTHFHIIPRKAGDQLWPSEGVRRCLIENNEETFDLVRCIRQRLEFSTEAVFG >DRNTG_02695.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19680449:19682914:-1 gene:DRNTG_02695 transcript:DRNTG_02695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHNNNNNNNNTKNLKDQTGFWSTMKPTSSSPPSSRPFLPRFMIYLIISLSLIYFSYSFNLLFSSSCHPDTNTTFNNVSLLLLASPTTSQPPPPPPPSPPPPSPSLSYTPTGLQHIVFGIAASSRLWDKRKEYIKIWWRPHIMRGYVWLDKPVKEFKSSSSSSSSLPILKISSDTSSFPYTHKQGSRAALRLSRIVSETLRLNLPNVRWFVMGDDDTVFFPDNLVHVLSHYDHRQPYYIGSLSESHLQNIYFSYGMAYGGGGFAISRPLAESIARMQDGCLRRYPALYGSDDRIQACMAELGVPLTRHPGFHQYDVYGDLLGLLAAHPVAPLISLHHLDVVQPIFPSLRSRPASVRRLFDGPVKLDSAGVMQQSICYEKSKRWTVSVSWGFVVQIVRGVISPREMETPARTFLNWYRRADYTAYAFNTRPVARNPCQKPFIYYLSSWRYDRGRRTTVTTYSRHRQSQPKCRWHLPEPSHFVDRVVVTKKPDPSLWDRAPRRNCCRVTPVKDGKRGEKGHER >DRNTG_02695.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19680449:19682673:-1 gene:DRNTG_02695 transcript:DRNTG_02695.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHNNNNNNNNTKNLKDQTGFWSTMKPTSSSPPSSRPFLPRFMIYLIISLSLIYFSYSFNLLFSSSCHPDTNTTFNNVSLLLLASPTTSQPPPPPPPSPPPPSPSLSYTPTGLQHIVFGIAASSRLWDKRKEYIKIWWRPHIMRGYVWLDKPVKEFKSSSSSSSSLPILKISSDTSSFPYTHKQGSRAALRLSRIVSETLRLNLPNVRWFVMGDDDTVFFPDNLVHVLSHYDHRQPYYIGSLSESHLQNIYFSYGMAYGGGGFAISRPLAESIARMQDGCLRRYPALYGSDDRIQACMAELGVPLTRHPGFHQYDVYGDLLGLLAAHPVAPLISLHHLDVVQPIFPSLRSRPASVRRLFDGPVKLDSAGVMQQSICYEKSKRWTVSVSWGFVVQIVRGVISPREMETPARTFLNWYRRADYTAYAFNTRPVARNPCQKPFIYYLSSWRYDRGRRTTVTTYSRHRQSQPKCRWHLPEPSHFVDRVVVTKKPDPSLWDRAPRRNCCRVTPVKDGKRGEKGHER >DRNTG_02695.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19680925:19682752:-1 gene:DRNTG_02695 transcript:DRNTG_02695.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHNNNNNNNNTKNLKDQTGFWSTMKPTSSSPPSSRPFLPRFMIYLIISLSLIYFSYSFNLLFSSSCHPDTNTTFNNVSLLLLASPTTSQPPPPPPPSPPPPSPSLSYTPTGLQHIVFGIAASSRLWDKRKEYIKIWWRPHIMRGYVWLDKPVKEFKSSSSSSSSLPILKISSDTSSFPYTHKQGSRAALRLSRIVSETLRLNLPNVRWFVMGDDDTVFFPDNLVHVLSHYDHRQPYYIGSLSESHLQNIYFSYGMAYGGGGFAISRPLAESIARMQDGCLRRYPALYGSDDRIQACMAELGVPLTRHPGFHQYDVYGDLLGLLAAHPVAPLISLHHLDVVQPIFPSLRSRPASVRRLFDGPVKLDSAGVMQQSICYEKSKRWTVSVSWGFVVQIVRGVISPREMETPARTFLNWYRRADYTAYAFNTRPVARNPCQKPFIYYLSSWRYDRGRRTTVTTYSRHRQSQPKCRWHLPEPSHFVDRVVVTKKPDPSLWDRAPRRNCCRVTPVKDGKRGEKGHER >DRNTG_02695.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19680925:19682914:-1 gene:DRNTG_02695 transcript:DRNTG_02695.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHNNNNNNNNTKNLKDQTGFWSTMKPTSSSPPSSRPFLPRFMIYLIISLSLIYFSYSFNLLFSSSCHPDTNTTFNNVSLLLLASPTTSQPPPPPPPSPPPPSPSLSYTPTGLQHIVFGIAASSRLWDKRKEYIKIWWRPHIMRGYVWLDKPVKEFKSSSSSSSSLPILKISSDTSSFPYTHKQGSRAALRLSRIVSETLRLNLPNVRWFVMGDDDTVFFPDNLVHVLSHYDHRQPYYIGSLSESHLQNIYFSYGMAYGGGGFAISRPLAESIARMQDGCLRRYPALYGSDDRIQACMAELGVPLTRHPGFHQYDVYGDLLGLLAAHPVAPLISLHHLDVVQPIFPSLRSRPASVRRLFDGPVKLDSAGVMQQSICYEKSKRWTVSVSWGFVVQIVRGVISPREMETPARTFLNWYRRADYTAYAFNTRPVARNPCQKPFIYYLSSWRYDRGRRTTVTTYSRHRQSQPKCRWHLPEPSHFVDRVVVTKKPDPSLWDRAPRRNCCRVTPVKDGKRGEKGHER >DRNTG_02695.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19680925:19682673:-1 gene:DRNTG_02695 transcript:DRNTG_02695.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHNNNNNNNNTKNLKDQTGFWSTMKPTSSSPPSSRPFLPRFMIYLIISLSLIYFSYSFNLLFSSSCHPDTNTTFNNVSLLLLASPTTSQPPPPPPPSPPPPSPSLSYTPTGLQHIVFGIAASSRLWDKRKEYIKIWWRPHIMRGYVWLDKPVKEFKSSSSSSSSLPILKISSDTSSFPYTHKQGSRAALRLSRIVSETLRLNLPNVRWFVMGDDDTVFFPDNLVHVLSHYDHRQPYYIGSLSESHLQNIYFSYGMAYGGGGFAISRPLAESIARMQDGCLRRYPALYGSDDRIQACMAELGVPLTRHPGFHQYDVYGDLLGLLAAHPVAPLISLHHLDVVQPIFPSLRSRPASVRRLFDGPVKLDSAGVMQQSICYEKSKRWTVSVSWGFVVQIVRGVISPREMETPARTFLNWYRRADYTAYAFNTRPVARNPCQKPFIYYLSSWRYDRGRRTTVTTYSRHRQSQPKCRWHLPEPSHFVDRVVVTKKPDPSLWDRAPRRNCCRVTPVKDGKRGEKGHER >DRNTG_02695.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19680449:19682752:-1 gene:DRNTG_02695 transcript:DRNTG_02695.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHNNNNNNNNTKNLKDQTGFWSTMKPTSSSPPSSRPFLPRFMIYLIISLSLIYFSYSFNLLFSSSCHPDTNTTFNNVSLLLLASPTTSQPPPPPPPSPPPPSPSLSYTPTGLQHIVFGIAASSRLWDKRKEYIKIWWRPHIMRGYVWLDKPVKEFKSSSSSSSSLPILKISSDTSSFPYTHKQGSRAALRLSRIVSETLRLNLPNVRWFVMGDDDTVFFPDNLVHVLSHYDHRQPYYIGSLSESHLQNIYFSYGMAYGGGGFAISRPLAESIARMQDGCLRRYPALYGSDDRIQACMAELGVPLTRHPGFHQYDVYGDLLGLLAAHPVAPLISLHHLDVVQPIFPSLRSRPASVRRLFDGPVKLDSAGVMQQSICYEKSKRWTVSVSWGFVVQIVRGVISPREMETPARTFLNWYRRADYTAYAFNTRPVARNPCQKPFIYYLSSWRYDRGRRTTVTTYSRHRQSQPKCRWHLPEPSHFVDRVVVTKKPDPSLWDRAPRRNCCRVTPVKDGKRGEKGHER >DRNTG_24592.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28703947:28704259:1 gene:DRNTG_24592 transcript:DRNTG_24592.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWKVLYSSSKDSLVRYRIRLDGSSPTNLLP >DRNTG_17268.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5363523:5370847:1 gene:DRNTG_17268 transcript:DRNTG_17268.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRTDMSPGGLHRNPNQVNLRSTGTPKGSFSKQDSFINGSMQPTQFNAFQKIDQRIVNAQGIEEELSLHEGNNRSLMTSRDDIKYEIEELKAQLVKEREDFKNVKVKLQEEHQLNESFRKELYGLKMDKEKASAEVSGLQKELNENISKLTYLQMELDRRSTDKVTHETIESLKTMIAKLEKENAALKVEKDEMNENLEQLKRSMQEKADACNSDPLNSQKLNEVTLNAKEEMELMIQQLEKSLNKACRERDKASQELARLKQHMLEQSKLRMVSSTPVLSRKLKNQIRWMRITKSLRSLGLTLSIKELRYCNWRRL >DRNTG_17268.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5359805:5370847:1 gene:DRNTG_17268 transcript:DRNTG_17268.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDNKIIEELRSNSEYQRTQILQLEKALKQEMAKRGEINRSKSEELHKLNELIHALKEKVATCMSTIDAKNVELLNLQTALGQYYAETEAKERLGRDLASTREELDKLSQTLKVANEKMEILGKEKADINSRLSQTERLLSESKYSITKLEEENSRLRRALEKSMTTLNRMSLDSDNYVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSEEEKQRIGLAQQVAGKGVVRGVLGLPGRLVGGIIGGSSHATPSGASSDNQSFADLWVDFLLKENEERERRESADAARAASTAQSPTKSTPVPNHRTHPPPFQTSSYPSVHSSGSRFTTLPANPNRTSEQPESEFATVPLNTSVSPLSDYSSKFSRQQLPRY >DRNTG_17268.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5359805:5370847:1 gene:DRNTG_17268 transcript:DRNTG_17268.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSIAAYKESLSRIANEVLDAADELQPPLYRTSSADGAVNADRRASRRLSHSRSPTTSPIPNGTGYASLDEIAQYKADIQKLQASEAEIKALSFNYASMLKEKEEQLNRLREENNSLRKTAEEKNVGGSIIRDGGPRSISNNFSMVKGRTDMSPGGLHRNPNQVNLRSTGTPKGSFSKQDSFINGSMQPTQFNAFQKIDQRIVNAQGIEEELSLHEGNNRSLMTSRDDIKYEIEELKAQLVKEREDFKNVKVKLQEEHQLNESFRKELYGLKMDKEKASAEVSGLQKELNENISKLTYLQMELDRRSTDKVTHETIESLKTMIAKLEKENAALKVEKDEMNENLEQLKRSMQEKADACNSDPLNSQKLNEVTLNAKEEMELMIQQLEKSLNKACRERDKASQELARLKQHMLEQEIEESNKMDEDNKIIEELRSNSEYQRTQILQLEKALKQEMAKRGEINRSKSEELHKLNELIHALKEKVATCMSTIDAKNVELLNLQTALGQYYAETEAKERLGRDLASTREELDKLSQTLKVANEKMEILGKEKADINSRLSQTERLLSESKYSITKLEEENSRLRRALEKSMTTLNRMSLDSDNYVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSEEEKQRIGLAQQVAGKGVVRGVLGLPGRLVGGIIGGSSHATPSGASSDNQSFADLWVDFLLKENEERERRESADAARAASTAQSPTKSTPVPNHRTHPPPFQTSSYPSVHSSGSRFTTLPANPNRTSEQPESEFATVPLNTSVSPLSDYSSKFSRQQLPRY >DRNTG_17268.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5359805:5370847:1 gene:DRNTG_17268 transcript:DRNTG_17268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSIAAYKESLSRIANEVLDAADELQPPLYRTSSADGAVNADRRASRRLSHSRSPTTSPIPNGTGYASLDEIAQYKADIQKLQASEAEIKALSFNYASMLKEKEEQLNRLREENNSLRKTAEEKNVGGSIIRDGGPRSISNNFSMVKGRTDMSPGGLHRNPNQVNLRSTGTPKGSFSKQDSFINGSMQPTQFNAFQKIDQRIVNAQGIEEELSLHEGNNRSLMTSRDDIKYEIEELKAQLVKEREDFKNVKVKLQEEHQLNESFRKELYGLKMDKEKASAEVSGLQKELNENISKLTYLQMELDRRSTDKVTHETIESLKTMIAKLEKENAALKVEKDEMNENLEQLKRSMQEKADACNSDPLNSQKLNEVTLNAKEEMELMIQQLEKSLNKACRERDKASQELARLKQHMLEQSKLRMVSSTPVLSRKLKNQIRWMRITKSLRSLGLTLSIKELRYCNWRRL >DRNTG_17268.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5363523:5370847:1 gene:DRNTG_17268 transcript:DRNTG_17268.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRTDMSPGGLHRNPNQVNLRSTGTPKGSFSKQDSFINGSMQPTQFNAFQKIDQRIVNAQGIEEELSLHEGNNRSLMTSRDDIKYEIEELKAQLVKEREDFKNVKVKLQEEHQLNESFRKELYGLKMDKEKASAEVSGLQKELNENISKLTYLQMELDRRSTDKVTHETIESLKTMIAKLEKENAALKVEKDEMNENLEQLKRSMQEKADACNSDPLNSQKLNEVTLNAKEEMELMIQQLEKSLNKACRERDKASQELARLKQHMLEQEIEESNKMDEDNKIIEELRSNSEYQRTQILQLEKALKQEMAKRGEINRSKSEELHKLNELIHALKEKVATCMSTIDAKNVELLNLQTALGQYYAETEAKERLGRDLASTREELDKLSQTLKVANEKMEILGKEKADINSRLSQTERLLSESKYSITKLEEENSRLRRALEKSMTTLNRMSLDSDNYVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSEEEKQRIGLAQQVAGKGVVRGVLGLPGRLVGGIIGGSSHATPSGASSDNQSFADLWVDFLLKENEERERRESADAARAASTAQSPTKSTPVPNHRTHPPPFQTSSYPSVHSSGSRFTTLPANPNRTSEQPESEFATVPLNTSVSPLSDYSSKFSRQQLPRY >DRNTG_17268.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5364321:5370847:1 gene:DRNTG_17268 transcript:DRNTG_17268.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDNKIIEELRSNSEYQRTQILQLEKALKQEMAKRGEINRSKSEELHKLNELIHALKEKVATCMSTIDAKNVELLNLQTALGQYYAETEAKERLGRDLASTREELDKLSQTLKVANEKMEILGKEKADINSRLSQTERLLSESKYSITKLEEENSRLRRALEKSMTTLNRMSLDSDNYVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSEEEKQRIGLAQQVAGKGVVRGVLGLPGRLVGGIIGGSSHATPSGASSDNQSFADLWVDFLLKENEERERRESADAARAASTAQSPTKSTPVPNHRTHPPPFQTSSYPSVHSSGSRFTTLPANPNRTSEQPESEFATVPLNTSVSPLSDYSSKFSRQQLPRY >DRNTG_17268.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5359805:5364035:1 gene:DRNTG_17268 transcript:DRNTG_17268.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSIAAYKESLSRIANEVLDAADELQPPLYRTSSADGAVNADRRASRRLSHSRSPTTSPIPNGTGYASLDEIAQYKADIQKLQASEAEIKALSFNYASMLKEKEEQLNRLREENNSLRKTAEEKNVGGSIIRDGGPRSISNNFSMVKGRTDMSPGGLHRNPNQVNLRSTGTPKGSFSKQDSFINGSMQPTQFNAFQKIDQRIVNAQGIEE >DRNTG_20182.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1418892:1425266:-1 gene:DRNTG_20182 transcript:DRNTG_20182.1 gene_biotype:protein_coding transcript_biotype:protein_coding NKQWGPLTKHLVKPNQKIDKNKHYNLPPLKRV >DRNTG_20182.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1418892:1425266:-1 gene:DRNTG_20182 transcript:DRNTG_20182.2 gene_biotype:protein_coding transcript_biotype:protein_coding NKQWGPLTKHLVKPNQKIDKNKHYNLPPLKRV >DRNTG_01600.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:542315:548379:-1 gene:DRNTG_01600 transcript:DRNTG_01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTEAKFVNINNQFAEVNTVLRNVQASIQSLENQVGQLARANSERPPGSLPSNTENNPREYLKAVTLRSGKQVEARAKEGSSTEHDGVAEVLAINPLDEFLEGVDGDESKGNNSSPAQVKHVGFVGAFPWPRNKQKSTTRKIWCKKDKKQKLGLNCALSPPWEIDRLFFEGKVHTGCIRPCMGRL >DRNTG_30716.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21958121:21962906:-1 gene:DRNTG_30716 transcript:DRNTG_30716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLGSEFVFNPARWSRDALENDFRKLGKLFNAERSVVRVPDLDPNYKIAVFASKQDHCLVDLLYRWQEGRLPVDINCVISNHDRASNNHVIRFLESHGIPYHYLPITSGNKREEEILNLVADTDFLVLARYMQVMLSLVILVDLEVFISEKIQKMLNLV >DRNTG_30716.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21960002:21960701:-1 gene:DRNTG_30716 transcript:DRNTG_30716.9 gene_biotype:protein_coding transcript_biotype:protein_coding SQAYDAGVKLIGATTHFVTEELDAGPIIEQMVSLLLYLTFMYLLV >DRNTG_30716.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21960002:21962906:-1 gene:DRNTG_30716 transcript:DRNTG_30716.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRRPSFVPSFSRFLQFSSGFHKPAANAGAPSTHGIHVFHCPDEVGIVAKLSECIASHGGNIHSVDVFVPDNKPVFYSRSEFVFNPARWSRDALENDFRKLGKLFNAERSVVRVPDLDPNYKIAVFASKQDHCLVDLLYRWQEGRLPVDINCVISNHDRASNNHVIRFLESHGIPYHYLPITSGNKREEEILNLVADTDFLVLARYMQVFSGRFLAAYGKDVINIHHGLLPSFKGGHPSKQAYDAGVKLIGATTHFVTEELDAGPIIEQMVSLLLYLTFMYLLV >DRNTG_30716.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21960770:21962906:-1 gene:DRNTG_30716 transcript:DRNTG_30716.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRRPSFVPSFSRFLQFSSGFHKPAANAGAPSTHGIHVFHCPDEVGIVAKLSECIASHGGNIHSVDVFVPDNKPVFYSRSEFVFNPARWSRDALENDFRKLGKLFNAERSVVRVPDLDPNYKIAVFASKQDHCLVDLLYRWQEGRLPVDINCVISNHDRASNNHVIRFLESHGIPYHYLPITSGNKREEEILNLVADTDFLVLARYMQVFSGRFLAAYGKDVINIHHGLLPSFKGGHPSKQ >DRNTG_30716.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21961776:21962906:-1 gene:DRNTG_30716 transcript:DRNTG_30716.8 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLGSEFVFNPARWSRDALENDFRKLGKLFNAERSVVRVPDLDPNYKIAVFASKQDHCLVDLLYRWQEGRLPVDINCVISNHDRASNNHVIRFLESHGIPYHYLPITSGNKREEEILNLVADTDFLVLARYMQV >DRNTG_30716.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21958121:21962906:-1 gene:DRNTG_30716 transcript:DRNTG_30716.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRRPSFVPSFSRFLQFSSGFHKPAANAGAPSTHGIHVFHCPDEVGIVAKLSECIASHGGNIHSVDVFVPDNKPVFYSRSEFVFNPARWSRDALENDFRKLGKLFNAERSVVRVPDLDPNYKIAVFASKQDHCLVDLLYRWQEGRLPVDINCVISNHDRASNNHVIRFLESHGIPYHYLPITSGNKREEEILNLVADTDFLVLARYMQVMLSLVILVDLEVFISEKIQKMLNLV >DRNTG_30716.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21960002:21962906:-1 gene:DRNTG_30716 transcript:DRNTG_30716.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLGSEFVFNPARWSRDALENDFRKLGKLFNAERSVVRVPDLDPNYKIAVFASKQDHCLVDLLYRWQEGRLPVDINCVISNHDRASNNHVIRFLESHGIPYHYLPITSGNKREEEILNLVADTDFLVLARYMQVFSGRFLAAYGKDVINIHHGLLPSFKGGHPSKQAYDAGVKLIGATTHFVTEELDAGPIIEQMVSLLLYLTFMYLLV >DRNTG_29345.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1948512:1954217:-1 gene:DRNTG_29345 transcript:DRNTG_29345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLVEVFSKGVTQDIVILMAARASRTENQDAIDAAIVGTLADPKEARAGIQEVHFLPFNPTDKRTALTYIDGEGKMHRVSKGAPEQILNLAHNKLEIDRRVHAVIDKFAERGLRSLAVAYQEVPEGRKESPGGPWQFIGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGHDKDESIAALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFATGIILGGYLAVMTVIFFWAAYKTDFFPRIFHVESLEKTAQDDFQKLASAVYLQVSTISQALIFVTRSRSWSFIERPGLLLVTAFMVAQLIATLIAVYADWGFAAIKGIGWGWAGVIWLYNLIFYFPLDIIKFMIRYALSGRAWDLVIEQRIAFTRQKDFGKEARELKWAHAQRTLHGLQPPDTKMFTDRTSFNDLNQMAEEAKRRAEIARLRELHTLKGHVESVVRLKGLDIDTIQQAYTV >DRNTG_29345.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1948512:1954217:-1 gene:DRNTG_29345 transcript:DRNTG_29345.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLVEVFSKGVTQDIVILMAARASRTENQDAIDAAIVGTLADPKEARAGIQEVHFLPFNPTDKRTALTYIDGEGKMHRVSKGAPEQILNLAHNKLEIDRRVHAVIDKFAERGLRSLAVAYQEVPEGRKESPGGPWQFIGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGHDKDESIAALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFATGIILGGYLAVMTVIFFWAAYKTDFFPRIFHVESLEKTAQDDFQKLASAVYLQVSTISQALIFVTRSRSWSFIERPGLLLVTAFMVAQLIATLIAVYADWGFAAIKGIGWGWAGVIWLYNLIFYFPLDIIKFMIRYALSGRAWDLVIEQRIAFTRQKDFGKEARELKWAHAQRTLHGLQPPDTKMFTDRTSFNDLNQMAEEAKRRAEIARLRELHTLKGHVESVVRLKGLDIDTIQQAYTV >DRNTG_21327.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9128047:9135735:1 gene:DRNTG_21327 transcript:DRNTG_21327.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGELLGIEPLELRFPFELKKQISCSLQLSNKTDEYVAFKVKTTSPKKYCVRPNTGVVLPRSTCDVIVTMQAQREMPPDMQCKDKFLVQSVVTGHGASPKDITPEMFTKESGNAVEEVKLRVVYVSPPQPPSPVPEGSEEGSSPRPSISDNGNVAASELVNAARAFDEPQEKSSETKALILRLSEEKNSAIQLNNKLLQELDLLRREVSKKQRGGFSFMFVIIVVLIGIVLGYLMKT >DRNTG_27887.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20115937:20116833:1 gene:DRNTG_27887 transcript:DRNTG_27887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNFLSVNIQGIITKENERVDAVKNNYILLYEDNEGDRMLVGDVPWEMFITSVKRLHIALDPRAMNDGEGMAEEQERN >DRNTG_14850.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22992809:22995820:-1 gene:DRNTG_14850 transcript:DRNTG_14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESTSCNSICPACRILDKAAVKTGPTSPWMLCPVTQVEETKQMLRMIPILIATFVPSIMVAQGNTLFVKQGTTLNRSIGPHFKIPPACLQAFITVSMLFSIVIYDRWLVPSLRKWTKNPRGITLLQRLGIGIVTHIIMMTIASLTEHYRLRAVKAHGLESGGEVPITIFILLPQFVLLGVSDALIDVARTEFFYDQAPETMKSLGTSYSYTSLGIGNFLSTFLLSTVSHITKKKGHKGWILSNLNQARLDYYYAFFTILNLLNFFVFLVLARLYVYKTENTEQKKDNVAVEKSTQFNEDGIEQDDAEKQD >DRNTG_34663.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23042706:23043963:1 gene:DRNTG_34663 transcript:DRNTG_34663.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVWNPKVMEKLQDKAKTADGKCSMLTKEDPNKMCFRASSRTLSWRADKFMNSDLDFR >DRNTG_13206.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2569998:2573868:1 gene:DRNTG_13206 transcript:DRNTG_13206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEQDPVAVEEVKNAEVAVKSEPEEENVDLKAEIAEDGEIDVVGFGDGADVYTVQGDDPDATEHSSSFGETFSGCGDGGNSSSSDAEVESRVEQHSLSNGVLRICRKKKITDHWRNFVRPLMWRCQWLELRMNELHSQALKYDNELAKYMQEKQLHSTMVGLEGSASRMVPLSCGSRKNQVMKRRKRKRNEETVDTASYMSQHNIFSYYENKRSEIDRHSIDDDCGDPVDERNRSTDVFEALNEWLMFGGRDASLEQILLNIDVVQSRIVKLRSRLDSLMKANNRELAVSQGFPGSGETRHSHALSPSCSPRNNGDIKLHGALNTPPHHVSEHEIGDATLPGSTVSSYGDAAEPDIVESTLDLLSAAPSSQHQFGNSSKENAEEVLITNQTADEELQNFDKVKQNHEVVKEEAESSSSDESTAPELSVSGPGSEKEKPNTTQQSVLKPCYSGKKRGRKPKRKRRGGFVGNWKSERLKKRKMA >DRNTG_28643.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23946341:23949144:-1 gene:DRNTG_28643 transcript:DRNTG_28643.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVWDLLVESGQISVMDYVRITSTECARIFNIYPRKGAIMEGSDADLIILNPNASFKISAASHHSRCDTNVYEGMTGMGKVEVTISGGRIVWDGELKVKPGSGKYIKMPPFGYLFEGIEKADLALLSSLHSSSRIGRSAA >DRNTG_28643.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23946341:23948071:-1 gene:DRNTG_28643 transcript:DRNTG_28643.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVGTDHCTFTKTQKALGIDDFRKIPNGVNGIEERMHLVWDLLVESGQISVMDYVRITSTECARIFNIYPRKGAIMEGSDADLIILNPNASFKISAASHHSRCDTNVYEGMTGMGKVEVTISGGRIVWDGELKVKPGSGKYIKMPPFGYLFEGIEKADLALLSSLHSSSRIGRSAA >DRNTG_28643.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23946341:23952456:-1 gene:DRNTG_28643 transcript:DRNTG_28643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVWDLLVESGQISVMDYVRITSTECARIFNIYPRKGAIMEGSDADLIILNPNASFKISAASHHSRCDTNVYEGMTGMGKVEVTISGGRIVWDGELKVKPGSGKYIKMPPFGYLFEGIEKADLALLSSLHSSSRIGRSAA >DRNTG_14246.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:975944:976596:1 gene:DRNTG_14246 transcript:DRNTG_14246.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGATFTNRCNNNQSGRWVSGNDPKDE >DRNTG_14546.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:27:8423:14072:1 gene:DRNTG_14546 transcript:DRNTG_14546.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPEILEAMKVLSEQFQEQLQTKLEEQAAVYHTSLQKSIAALNGRIEGVRFQLSGYSASTSEERPSSCQHPSDSLPLSANVQLLPRNMRLEIPKFDGTDPESWVFKIEEFFYFHETPASLRLRIVSFHMEGKASAWYQWMKGSNLLTTWPDFLSNVRQRFGSSVYEDHQGNLSKLSQTSTVAEFQTEFEELMNLITGIPEHLLISFFIAGLRPHLRREMLLQRPTTLMATFSLARAYEARYEEAPQDQRTVFRGTARTNSQTPVPVAFRTQPSPSNIPVPSLLGPPPKTSGTTVAANPLPIRRLTPTEIQERRNKGLCFKCDQKWTANHRCRSQCLLLLSDVEDDPDTQTSADSPPTPEAEVVTGDISSMNTLAGQNNPRSLRMLGMISSHSFHVLIDGGSTHNFIKPLLAEKLGLHVKPTQPFRVYIGNGDYLICSLYCPQIPLCLQGTTFTIDVFLLAIEGPDLVLGVQWLQSLGKVSHDYKFLTMEFMWEGKTILLHGDTKPITKAITYTQLQAMVNYEVCAGLYELQQLHSPKDKSGEHSDFKLSEDLHADVVALLQQYKELFMPPTQLPPRRIIDHRIYLEPNTTPINVRPYRYPHYQKSEMEKLVREMLAQGVIRPSQSPFSSPVLLVRKKDGTYRFCVDYRALNAVTIKDKFPIPTIDELLDELGGAKVFTKLDLRAGYHQIRMHDRDVYKTAFRTHDGHFEFLVMPFGLSNAPSTFQATMNRIFAPYLRKFVIVFFDDILVYSSSLSSHLHHLEHVFQCLKTNYFYIKMSKCSFGKSTIDYLGHIMTPEGVRVDPTKVDAMANWPTPTTQRQLRAFLGLTGYYRRFIKGYSSIAAPLTDLLCNEAFEWTTTATDALEALKRAMITAPVLHLPDFNKQFVIETDASKSGIGAVLMQDEHPISYFSKKLGPRLQSSSTYIRELHAIVEAVHKWRQYLLGSFFIIRTDHQSLKQLLQQVIQTPDQQIYLRKLLGFHFRIDYKPGSSNHAADALSRMHEDATYRTLQMASQPISSFLSTLKQENISLTDLQLMHQKLAARSLSPAYSSRDGLLFYKNRYYISPNSSLKPALLYEFHSTPLAGHAGVKRTLVRLSAQFFWPGMRKDVEAYIAACERCQQIKFSTQPPAGLLQPLSIPSTVWEELTMDFIVGLPESQGCTAIMVVVDRLSKSAHFAPLPTRFTATQVAELFADRVVKLHGFPKTIVSDRDPIFVSHFWKTLFALSGTKLHHSTAYHPQTDGQSEVVNRGLEQYLRSFSGTQPSKWVKFLAWAELCYNTSYHSGLKMSPYQALYGRPPPSLPAYHPGDSNLQAIDALLTERDNLMRTLKTNLLQAQHRMTQKANARRRELELGVGDRVLVRLQPYRQESVAKRHSTKLSPRYYGPFEVLERIGPVAYRLQLPPESRIHPVFHISALRPFKSSELTQPCSLPPVYSTPTLIPLPLVICASRTILQRGKAIRQILVQWRGTSPEEATWEKLDDFCKSYPQYHLEDKVLFQTGGNDTTLPLQLEDNQHVEPILEENNHHEASQEASTVEVNTDERRVQRIRKPPTWMQDFVTTQVASRRP >DRNTG_14546.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:27:7889:14072:1 gene:DRNTG_14546 transcript:DRNTG_14546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPEILEAMKVLSEQFQEQLQTKLEEQAAVYHTSLQKSIAALNGRIEGVRFQLSGYSASTSEERPSSCQHPSDSLPLSANVQLLPRNMRLEIPKFDGTDPESWVFKIEEFFYFHETPASLRLRIVSFHMEGKASAWYQWMKGSNLLTTWPDFLSNVRQRFGSSVYEDHQGNLSKLSQTSTVAEFQTEFEELMNLITGIPEHLLISFFIAGLRPHLRREMLLQRPTTLMATFSLARAYEARYEEAPQDQRTVFRGTARTNSQTPVPVAFRTQPSPSNIPVPSLLGPPPKTSGTTVAANPLPIRRLTPTEIQERRNKGLCFKCDQKWTANHRCRSQCLLLLSDVEDDPDTQTSADSPPTPEAEVVTGDISSMNTLAGQNNPRSLRMLGMISSHSFHVLIDGGSTHNFIKPLLAEKLGLHVKPTQPFRVYIGNGDYLICSLYCPQIPLCLQGTTFTIDVFLLAIEGPDLVLGVQWLQSLGKVSHDYKFLTMEFMWEGKTILLHGDTKPITKAITYTQLQAMVNYEVCAGLYELQQLHSPKDKSGEHSDFKLSEDLHADVVALLQQYKELFMPPTQLPPRRIIDHRIYLEPNTTPINVRPYRYPHYQKSEMEKLVREMLAQGVIRPSQSPFSSPVLLVRKKDGTYRFCVDYRALNAVTIKDKFPIPTIDELLDELGGAKVFTKLDLRAGYHQIRMHDRDVYKTAFRTHDGHFEFLVMPFGLSNAPSTFQATMNRIFAPYLRKFVIVFFDDILVYSSSLSSHLHHLEHVFQCLKTNYFYIKMSKCSFGKSTIDYLGHIMTPEGVRVDPTKVDAMANWPTPTTQRQLRAFLGLTGYYRRFIKGYSSIAAPLTDLLCNEAFEWTTTATDALEALKRAMITAPVLHLPDFNKQFVIETDASKSGIGAVLMQDEHPISYFSKKLGPRLQSSSTYIRELHAIVEAVHKWRQYLLGSFFIIRTDHQSLKQLLQQVIQTPDQQIYLRKLLGFHFRIDYKPGSSNHAADALSRMHEDATYRTLQMASQPISSFLSTLKQENISLTDLQLMHQKLAARSLSPAYSSRDGLLFYKNRYYISPNSSLKPALLYEFHSTPLAGHAGVKRTLVRLSAQFFWPGMRKDVEAYIAACERCQQIKFSTQPPAGLLQPLSIPSTVWEELTMDFIVGLPESQGCTAIMVVVDRLSKSAHFAPLPTRFTATQVAELFADRVVKLHGFPKTIVSDRDPIFVSHFWKTLFALSGTKLHHSTAYHPQTDGQSEVVNRGLEQYLRSFSGTQPSKWVKFLAWAELCYNTSYHSGLKMSPYQALYGRPPPSLPAYHPGDSNLQAIDALLTERDNLMRTLKTNLLQAQHRMTQKANARRRELELGVGDRVLVRLQPYRQESVAKRHSTKLSPRYYGPFEVLERIGPVAYRLQLPPESRIHPVFHISALRPFKSSELTQPCSLPPVYSTPTLIPLPLVICASRTILQRGKAIRQILVQWRGTSPEEATWEKLDDFCKSYPQYHLEDKVLFQTGGNDTTLPLQLEDNQHVEPILEENNHHEASQEASTVEVNTDERRVQRIRKPPTWMQDFVTTQVASRRP >DRNTG_24226.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19796320:19798102:1 gene:DRNTG_24226 transcript:DRNTG_24226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFSNNTVQGPSRALMADLAGPGRFTAANAIMCSWLALGNILGYASGANGSWHSWFPFLNTRACCEACANLKGAFLIAVVFLLFCLSVSLFFAKEVPLEGKTRMATANEPISGVIELVKSFRHLPPGMPPVLIVTFLTWLSWFPFFLYNNDWMGREIHHGDPEGTKAQRKAYENGIRDGSFGLLLNSIVLGISSFLLEPMCRKLTTRVVWAISNFVLFFAFASMSIVGIWSTNGYSYGVKEVEQVNGRVRAVALLIFALLGFPLSVLFSVPAAVAAQLADIGGNGQGLSIGILN >DRNTG_17506.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19099241:19109776:1 gene:DRNTG_17506 transcript:DRNTG_17506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSKSESIPLTPTRVLQLFGGTAQSIMTVLLMPQPEQRAKETRKTIMVRGCVTIGPGRGDSPALRNVCTRWPGSRGTALSVHERACTRATACGTSSISHLCIACANAYRHMPATQTKNESHIFRPETQQACSDPEFIGATIVSLQQRIKKGPFLEYSFQLNECCKWCSSDDLPMSRGHVNFQFSQGLHYRCQPPKIESFISVVVETPLENIEEPLKGFAWEFDKGDFHHWFELFNHFDSFFEKYIKSRKDLQLEDNFLKADSSFPREDVLQILRVTRIILENCTNKHFHSSYEPGCSNELAVIEFTEAHLVQIKITGAGLWSQSPAHQSMVDIHKQESLVATYKMPDVNGDGFTIFSAKFWSSWIGSDITTEVGDLHIAHALSARESFKVAVGHLFSKHNVEPEASDGGGHAELQIGSPIIIVEAPLALKATAYMPSLIVNTSQIKAKDVGRIVARKLKDVWVICLAIGTYLLDGKHFKPLEIEESRIASTKVVKHPPREKKSLTSERVSRRDNWFSKWLNSYLHRLNCDVRYGCVEQPVE >DRNTG_19231.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18939995:18944040:-1 gene:DRNTG_19231 transcript:DRNTG_19231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQEFLEQRRSALEKYLWRLASHPVIGRSDELRAFLRVQGRMPLSPSTDVASRMLDGAVRLPKQLFGEPGGSFVAPQEVVQPAKGGRDLLRIFKELRQSVTNDWAGVKPLVVEEDKEFLERKEKVQDLEQQLSAASQQDTLHEYLGLMLAVHGAFSDRASALLTIQTLMSDLTSLHARTEKLEAASSKIFGGDRARIRKVEELKETIRVNEDAKSCALREYERIKENNKTELERLDKEMHEDFLGMLKGFVINQVGYSEKISSVWEKVADETSRYARDSTSL >DRNTG_34239.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1446421:1449460:-1 gene:DRNTG_34239 transcript:DRNTG_34239.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase domain-containing protein Sgpp [Source:Projected from Arabidopsis thaliana (AT2G38740) UniProtKB/Swiss-Prot;Acc:Q9ZVJ5] MPVLESTCSLAKLAPLEAVLFDIDGTLCDTDPVHHYAFREMLLEIGYNNGVPIDEDFFVKNIAGGHNEDIASRLFPDWDHEKAMKFMDDKEEYFRKLAPEQLKAVNGLHKLCKWIEDHGIKRAAVTNAPRPNAELMISLLGLTDFFQLVVVGSECERAKPFPDPYLKALEVLNLSREHTFVFEDSPSGIKAGVAAGLPVIGLPTRNPEELLTNAGATFLIKDYDDPKLWSALEELEKAAAA >DRNTG_01534.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5012159:5022216:-1 gene:DRNTG_01534 transcript:DRNTG_01534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTPSSREWNRSPLKERKRGRSSNRERSKAREEITLRS >DRNTG_01534.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5012159:5022216:-1 gene:DRNTG_01534 transcript:DRNTG_01534.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTPSSREWNRSPLKERKRGRSSNRERSKAREEITLRS >DRNTG_00618.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22482854:22487005:-1 gene:DRNTG_00618 transcript:DRNTG_00618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVSTLSIALPFSFSRSIPRFPFKASIFSPKSPNFSSPSSPLRPMNLFKSLGIPFGFSTAGVSSDPAGAAIAQGPDDDTPAPGQQFAQFGAGCFWGVELSFQRVPGVTKTEVGYSQGTVHQPTYEDVCTGTTNHSEIVRVQYDPGQCAYEDLLEAFWARHDPTTLNRQGNDVGTQYRSGIYFYTPEQETAARESLENHQKLLNRKIVTEILPAKKFYKAEEYHQQYLEKGGRFGFKQSAAKGCNDPIRCYG >DRNTG_17878.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:5980581:5983598:-1 gene:DRNTG_17878 transcript:DRNTG_17878.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGPIPITSFVMEKPKWESRSKRVPFDPRKPIISIAPLRASFRPPSLRPCLYHPNSIFFDFDDDDDRRDPDPAPAPIHNEGILIGRNCDYPHQQGLEQALVGAEDGVGFNHIPIDRSILNNELVFIAHNWRQICKMHFDCTAQIGEILDDWTLYGLNDYNAETDGLSGSFNPLSSNGEGELIATGFIDGQPSIWNKSGSSSAGAAGAAGVGRIGGGAGAVGGDVEGRVGGDVSKVKCEANCPFLPYFDSGEEGMEKFHVIRRVFGRHTLKEFIKSIGNHEQRAIAVESMIGDARIRDQDPLYGCVGRITALVTPLQGRILQLETQLKISEERIKMLEDFIQQHTSNQSTGEAPQTAGPSTSESDDRTQNLIDQIGVSLFALL >DRNTG_01915.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20856344:20859137:-1 gene:DRNTG_01915 transcript:DRNTG_01915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSLDEYEKLVIRMNTPRVVIDNAVCPAATVVKVDSARKDGVLLEAIQALMDLNLSIRKAYMSSDGRWFMDVFHVTDRFGRKLDGRVIPYIEECLGAGPASRSDTMEGVTVLELSGTDRPGLLSEIFAVLADLQCGVSDATVWTHNGRIASLIFITNEEDSGFPVAADSRRLQRIEARLRNVLKGENDIRGARTAVSSSLALGIHPDRRLHQMMFEDRDYERMPSGDESWSPPSVSVQNWVERGYSEVNVQCRDRPKLLFDVVCTLTDMEYVVFHGRIDTDGDRAHQEFHIRHKDGSPISSGAEKQRVIQCLQAAIERRASSGLRLELCTVDCQGLLADVTRTFRENGLSVTRAEVSTKAEMANNVFYVTDAAGRPVDHKAIDAVRERIGMRILTVSEEPQPRLCQKRSDNEEASGIGLFSWGSIVKRNLFNLGLIRSCS >DRNTG_22368.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18600830:18601224:-1 gene:DRNTG_22368 transcript:DRNTG_22368.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPNAPNLNPLSENNLKKAFKKDIGLAAGLLRVHFHDCF >DRNTG_22368.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18600830:18601079:-1 gene:DRNTG_22368 transcript:DRNTG_22368.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPNAPNLNPLSENNLKKAFKKDIGLAAGLLRVHFHDCF >DRNTG_34422.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9383739:9386502:-1 gene:DRNTG_34422 transcript:DRNTG_34422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPCLFIYKTSFQCCMACLKQFSSCNRTTKLCFLRVRMSPIIDKCHPLLQRNLWRFFCNVRFTRTIRITLCID >DRNTG_03764.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18125382:18127183:-1 gene:DRNTG_03764 transcript:DRNTG_03764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSWELRLSYRHGQVLFTVGREIRETDNPHGRVEIPHGRVYRPLPWSRPIPALFKADLAPILGSDIAHRLEEGYWESFRRHRSGEVYPRPDKGSLATSRGLSKRLSTRPSRGASPFPSDVIDSTSVPRVLCGHNRVNDLRDESPLGLSYTEEYVQLPTDYLGALTPQRAYGVLYG >DRNTG_13832.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:29829:34103:1 gene:DRNTG_13832 transcript:DRNTG_13832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFRLAGDMTHLISILILLLKIYATRSCSGISLKTQELYVIVFVARYLDLFTSFISIYNSVMKVVFVTCSIGIVWCMRFHPLVRRTYDKEQDTFRHYFLVAASFVLALLFHDSFTVREVFWAFSIYLEAVAILPQLVLLQRSGNVDNLTGQYVFFLGAYRAFYILNWIYRYFTEKHYSWWISWIAGFVQTALYADFFYYYFISWKNNSKLQLPA >DRNTG_30699.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1805983:1806281:1 gene:DRNTG_30699 transcript:DRNTG_30699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTISLFVSIGRLPETNSTNKTPNENTSLLSVS >DRNTG_22566.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6606401:6608228:-1 gene:DRNTG_22566 transcript:DRNTG_22566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPICSICVDMFASEMWSTDEGIVVFGSDTAIKERDLVKRTRSIMDVPAGKAMLGRVVDALGVPIDGRGALSLKVVDSLVPIGRDQRELIIGDRQTGKTAIVIDTILNQKQMNSSGTSESEPLYCVYVAIGQKRSTVAQLVQILPEANALEYSILVGANALYPASLRFLAPYSGCAMGEYFRDNGMHALIIYDDLSKPVVAYRQISLLLHRPPDREAFPGDVFYLHSHLLERAAKRSDQTGADGQICSETELFYHGIRPAINVGLSVSRVGYGTQLRGMKQVRDSSKLELAQYCEVAAI >DRNTG_26552.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22213650:22214446:1 gene:DRNTG_26552 transcript:DRNTG_26552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLLEPKPTTMVSFNSLQTWEERTSDNVEDFPPVHGFKDARFLFVQESKKLWSIATPIAFNILCFYGINSTTQIFVGHLGNLQLSAAAIGLSVISNFSFGFLLGMGSALETLCGQAFGAGQVEMLGVYMQRSWIILLASAVILCPIYLFATPILKLLGQEEQIADAAGKFTIEIIPQMFSLAINFPTQKFLQAQSKVSVLAY >DRNTG_26338.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1310160:1313057:-1 gene:DRNTG_26338 transcript:DRNTG_26338.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLKNLVLLALLVHLRAFAADSEFKFDGFRGANLSLDGITNLSEEGLLLLTNRTQQSKGQAFYPSAFRFKTSESAAAHSFSTTFVFAIVSEYSTISSFGFTFCLSPTKALHGGIANFLGLFNSTNINLASNHIVAVEFDTVQTPEYKDIDDNHVGIDIYGLISNNSHTAGYYAGDSSRDFHNLSLFSGQPMQAWVEYDGKKLQLNVTIAPLGQQKPGRPLLSSEIDLSGMISEDVFVGFTASEGNIHTTHYILGWSFKMDGNATPLDLTSLPKLPLIAENNKKSWTWIIWLCLSLVLALTITGLIISYIVARRMKFAELREEWEQEYGPHRFSYKELFQATEGFKDKHFLGFGGFGSVYKGVLPSSKAEIAVKKISHQSRQGMKEFVAEIVSLGRLRHRNLVRLLGYCRREGELFLVYDFMHNGSLDKHLFSQRTPCLDWNQRFRIIKGVASGLLYLHEEWVKVVIHRDIKASNVLLDSEFNARLGDFGLARLYDHGTDFQTTHVMGTMGYLAPELVRRGKATTCSDVYAFGVFLLEVACGKRPIELEDYGEEIVLVEWVLECWKNGDIGGARDRRLGEEYVVEEMELVLKLGLLCCHPVDRARPSMRQVMHFLNGDFCLPQLTPSSLNDDVLNSIADEGFDKYVVSSSSSASLATASLLSGGR >DRNTG_26338.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1310160:1314195:-1 gene:DRNTG_26338 transcript:DRNTG_26338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLKNLVLLALLVHLRAFAADSEFKFDGFRGANLSLDGITNLSEEGLLLLTNRTQQSKGQAFYPSAFRFKTSESAAAHSFSTTFVFAIVSEYSTISSFGFTFCLSPTKALHGGIANFLGLFNSTNINLASNHIVAVEFDTVQTPEYKDIDDNHVGIDIYGLISNNSHTAGYYAGDSSRDFHNLSLFSGQPMQAWVEYDGKKLQLNVTIAPLGQQKPGRPLLSSEIDLSGMISEDVFVGFTASEGNIHTTHYILGWSFKMDGNATPLDLTSLPKLPLIAENNKKSWTWIIWLCLSLVLALTITGLIISYIVARRMKFAELREEWEQEYGPHRFSYKELFQATEGFKDKHFLGFGGFGSVYKGVLPSSKAEIAVKKISHQSRQGMKEFVAEIVSLGRLRHRNLVRLLGYCRREGELFLVYDFMHNGSLDKHLFSQRTPCLDWNQRFRIIKGVASGLLYLHEEWVKVVIHRDIKASNVLLDSEFNARLGDFGLARLYDHGTDFQTTHVMGTMGYLAPELVRRGKATTCSDVYAFGVFLLEVACGKRPIELEDYGEEIVLVEWVLECWKNGDIGGARDRRLGEEYVVEEMELVLKLGLLCCHPVDRARPSMRQVMHFLNGDFCLPQLTPSSLNDDVLNSIADEGFDKYVVSSSSSASLATASLLSGGR >DRNTG_02439.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000115.1:141928:142586:1 gene:DRNTG_02439 transcript:DRNTG_02439.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRAESTMMMMRRRSHCHQRRQMRTDMRRDDLLLFLLLLLFLLLQVFLLQVFGGGEPVGDERNRAREVMVV >DRNTG_09535.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000400.1:28682:29427:1 gene:DRNTG_09535 transcript:DRNTG_09535.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTPNIPFNFFSFASKTKGKNQKTQSLN >DRNTG_13765.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:11405294:11407040:1 gene:DRNTG_13765 transcript:DRNTG_13765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHGAREPLSPRARAPREGFHAAARRATRPRNMPQASIRAVYSPYGPFPSKNSRSISRGGDNAQFNPNFCFLSFLHHIFRVVDFFLLRGHGNVPTKPTCFTCAGGELFPLDSSPSTPSKNSSRGLITKT >DRNTG_14947.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1096476:1098666:-1 gene:DRNTG_14947 transcript:DRNTG_14947.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDHDVPVIADGGISNSGHIVKALVLGASTVMMGSFLAGSHEAPGVYENQGGRRVKKYRGMGSLEAMTKGSDARYLGDKLKLKIAQGVVGAVADKGSVLKFIPYSMQAVKQGFQDLGASSMQSAHELLRSNVLRLEVRTGAAQVEGGIHGLVSYEKKPF >DRNTG_14343.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11613492:11615993:-1 gene:DRNTG_14343 transcript:DRNTG_14343.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAEHEELRRQVMELVQKELIHESMSPCAVPALLKPKKDGTWKMCIDSRAINKITMKYRFPIPRLDDMQDMLAGSSLAYFLRLI >DRNTG_03407.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20842376:20865383:1 gene:DRNTG_03407 transcript:DRNTG_03407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSIGLLLPSWWEIEVTVSAALFVIAVYSLFEKFYSCGCAEDGRKKGAEEAALASEPLLRDSDEKEKMSHVKGDSQGTSSYLIKLELLAAKNLIGANLNGTSDPYAIITCGEQKKFSSMVPGSRNPMWGEEFNFFVDALPVQIKVTIYDWDIIWKSTVLGSVTIPVENETQTGAVWYSLDSTSGQVCLHIKAIKLSSSSARALNGIAGADTRRRISSDRQGPTVVHQQPGPLQTIFKLLPDEVADHSYSCALERSFLYHGRMYVSAWHICFHSNVFSKQIKVVIPLGDVDEIRRSQHAFINPSITIILRMGSGGHGVPPLGSPDGRVRYKFASFWNRNHTLRALQRAVKNYSVMLEAEKKERAQSALRAHSSSIKGSRKQMKVPEENVADPGKFQAFIKDEVLVSIVNDTFPCTAEQFFTLLLGDDSRFIPEYRAARKDTNLNIGQWHVADEYNGQVREITFRSLCHSPMCPPDTAMTEWQHAVLSADKTNLVFETVQQVHDVPFGSFFEVHCKWSLKTSSESSSLLDIRVGAHFKKWCIMQSKIKSGAVDEYKKEVELMLNIARKYLQKSQSSPQVPKEGSGPLSVQST >DRNTG_03407.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20842376:20865383:1 gene:DRNTG_03407 transcript:DRNTG_03407.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRRSQHAFINPSITIILRMGSGGHGVPPLGSPDGRVRYKFASFWNRNHTLRALQRAVKNYSVMLEAEKKERAQSALRAHSSSIKGSRKQMKVPEENVADPGKFQAFIKDEVLVSIVNDTFPCTAEQFFTLLLGDDSRFIPEYRAARKDTNLNIGQWHVADEYNGQVREITFRSLCHSPMCPPDTAMTEWQHAVLSADKTNLVFETVQQVHDVPFGSFFEVHCKWSLKTSSESSSLLDIRVGAHFKKWCIMQSKIKSGAVDEYKKEVELMLNIARKYLQKSQSSPQVPKEGSGPLSVQST >DRNTG_03407.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20846255:20865383:1 gene:DRNTG_03407 transcript:DRNTG_03407.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGSRNPMWGEEFNFFVDALPVQIKVTIYDWDIIWKSTVLGSVTIPVENETQTGAVWYSLDSTSGQVCLHIKAIKLSSSSARALNGIAGADTRRRISSDRQGPTVVHQQPGPLQTIFKLLPDEVADHSYSCALERSFLYHGRMYVSAWHICFHSNVFSKQIKVVIPLGDVDEIRRSQHAFINPSITIILRMGSGGHGVPPLGSPDGRVRYKFASFWNRNHTLRALQRAVKNYSVMLEAEKKERAQSALRAHSSSIKGSRKQMKVPEENVADPGKFQAFIKDEVLVSIVNDTFPCTAEQFFTLLLGDDSRFIPEYRAARKDTNLNIGQWHVADEYNGQVREITFRSLCHSPMCPPDTAMTEWQHAVLSADKTNLVFETVQQVHDVPFGSFFEVHCKWSLKTSSESSSLLDIRVGAHFKKWCIMQSKIKSGAVDEYKKEVELMLNIARKYLQKSQSSPQVPKEGSGPLSVQST >DRNTG_03407.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20861954:20865383:1 gene:DRNTG_03407 transcript:DRNTG_03407.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQERAQSALRAHSSSIKGSRKQMKVPEENVADPGKFQAFIKDEVLVSIVNDTFPCTAEQFFTLLLGDDSRFIPEYRAARKDTNLNIGQWHVADEYNGQVREITFRSLCHSPMCPPDTAMTEWQHAVLSADKTNLVFETVQQVHDVPFGSFFEVHCKWSLKTSSESSSLLDIRVGAHFKKWCIMQSKIKSGAVDEYKKEVELMLNIARKYLQKSQSSPQVPKEGSGPLSVQST >DRNTG_03407.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20842376:20865383:1 gene:DRNTG_03407 transcript:DRNTG_03407.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRRSQHAFINPSITIILRMGSGGHGVPPLGSPDGRVRYKFASFWNRNHTLRALQRAVKNYSVMLEAEKKERAQSALRAHSSSIKGSRKQMKVPEENVADPGKFQAFIKDEVLVSIVNDTFPCTAEQFFTLLLGDDSRFIPEYRAARKDTNLNIGQWHVADEYNGQVREITFRSLCHSPMCPPDTAMTEWQHAVLSADKTNLVFETVQQVHDVPFGSFFEVHCKWSLKTSSESSSLLDIRVGAHFKKWCIMQSKIKSGAVDEYKKEVELMLNIARKYLQKSQSSPQVPKEGSGPLSVQST >DRNTG_03407.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20842376:20865383:1 gene:DRNTG_03407 transcript:DRNTG_03407.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVKGDSQGTSSYLIKLELLAAKNLIGANLNGTSDPYAIITCGEQKKFSSMVPGSRNPMWGEEFNFFVDALPVQIKVTIYDWDIIWKSTVLGSVTIPVENETQTGAVWYSLDSTSGQVCLHIKAIKLSSSSARALNGIAGADTRRRISSDRQGPTVVHQQPGPLQTIFKLLPDEVADHSYSCALERSFLYHGRMYVSAWHICFHSNVFSKQIKVVIPLGDVDEIRRSQHAFINPSITIILRMGSGGHGVPPLGSPDGRVRYKFASFWNRNHTLRALQRAVKNYSVMLEAEKKERAQSALRAHSSSIKGSRKQMKVPEENVADPGKFQAFIKDEVLVSIVNDTFPCTAEQFFTLLLGDDSRFIPEYRAARKDTNLNIGQWHVADEYNGQVREITFRSLCHSPMCPPDTAMTEWQHAVLSADKTNLVFETVQQVHDVPFGSFFEVHCKWSLKTSSESSSLLDIRVGAHFKKWCIMQSKIKSGAVDEYKKEVELMLNIARKYLQKSQSSPQVPKEGSGPLSVQST >DRNTG_09960.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:6630127:6632382:-1 gene:DRNTG_09960 transcript:DRNTG_09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNVSPVSIFPPPQAKLVTVLSIDGGGIRGLIPGTILAFLESKLQKLDGEHARIADYFDLIAGTSTGGLVTAMLTAPDKDNRPLFAAKQINDFYLENSPKIFPQSQAGIFSSAINLFRVSTGPKYDGKYLHSKIQQLLGNLTLDKTLTNVVIPTFDIKLLQPIIFSTFEAKDKPLKNPLLSDVCIGTSAAPTFLPGHYFETKHDGVTRSFNLVDGGVAANNPTLTAINLIKKETFLRNQDDISVDPIDCNKFLVISLGTGSAKQEERFSAQESSKWGLLGWLYNKGMTPLIDIFTQGSADMVDIHASVLFEVLLSEKNYIRIQDDSLSGDTASVDISTKENLESLIKIGNELLKKPFSRVNIETGEFVPVEDEGEKGTNEEALIRFAKLLSNERRSRLGMLLAE >DRNTG_18235.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2786351:2800634:1 gene:DRNTG_18235 transcript:DRNTG_18235.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSLVFTVQRKMPVLVTPAKPTPHEFKYLSDIDDQECLRFQIPLIHFYRNEPCMSGIDPAKVIREALARALVFYYPFAGRLREESGRKLVVECTGEGLLFSEADANVRLQDFGDNLQPPFPCMEELLFDVEGSAGVLNCPLLLIQVTRLLCGEFIFALRHNHTMADGPGLALFMNAVAEMARGATAPSVLPVWSRELLRARNPPRVTFEHREYDDDVPHDSHNTINTPLDDIAHRSFYFGPREISALRMRLPEGLRKSSTRFEILTACLWRCRTMALQLEPEEGVRMMGVVNARGKKEGDFLPMGYYGNAFTYPTAVSKVKHLCNEPVEYALELVKKAKSQGMTREYLQSVADLMVLRGRPHFTIAKSYLVTDLT >DRNTG_18235.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2786351:2788194:1 gene:DRNTG_18235 transcript:DRNTG_18235.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSLVFTVQRKMPVLVTPAKPTPHEFKYLSDIDDQECLRFQIPLIHFYRNEPCMSGIDPAKVIREALARALVFYYPFAGRLREESGRKLVVECTGEGLLFSEADANVRLQDFGDNLQPPFPCMEELLFDVEGSAGVLNCPLLLIQEWHCGACLLAKRCNG >DRNTG_18235.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2786351:2800634:1 gene:DRNTG_18235 transcript:DRNTG_18235.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSLVFTVQRKMPVLVTPAKPTPHEFKYLSDIDDQECLRLQIPVISFYRNEPCISGIDPVKVMREALARALVFYHPFAGRLREESGRKLVVDCTGEGVLFIEADANVRLQDFGDNLQPPFPCMQELLFDVEGSAGVLNCPLLLIQVTRLLCGEFIFALRHNHTMADGPGLALFMNAVAEMARGATAPSVLPVWSRELLRARNPPRVTFEHREYDDDVPHDSHNTINTPLDDIAHRSFYFGPREISALRMRLPEGLRKSSTRFEILTACLWRCRTMALQLEPEEGVRMMGVVNARGKKEGDFLPMGYYGNAFTYPTAVSKVKHLCNEPVEYALELVKKAKSQGMTREYLQSVADLMVLRGRPHFTIAKSYLVTDLT >DRNTG_24487.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18911512:18913121:1 gene:DRNTG_24487 transcript:DRNTG_24487.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNQLIRAPSFRGTLKALEADIHHANTLADAVRRAYGGSCIQMKLSYSPLAPIFLFLMNWMGCGCGYSLPSYLGLLQVLVYKVYFDEDSSVSSYERRASLKEFYAVIYPSLQQLESNLVEKGDIKERLRGNEMIGRKRMEEWRKILDMDSERDDECGICMEACTKMVLPNCNHAMCINCYRDWNIRSQSCPFCRGSLKRVRSRDLWVLTSHGDVIDTTSLEKENVKRFYRYIDSLPLRVPDSLFLVYYDYLI >DRNTG_24487.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18911512:18913273:1 gene:DRNTG_24487 transcript:DRNTG_24487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNQLIRAPSFRGTLKALEADIHHANTLADAVRRAYGGSCIQMKLSYSPLAPIFLFLMNWMGCGCGYSLPSYLGLLQVLVYKVYFDEDSSVSSYERRASLKEFYAVIYPSLQQLESNLVEKGDIKERLRGNEMIGRKRMEEWRKILDMDSERDDECGICMEACTKMVLPNCNHAMCINCYRDWNIRSQSCPFCRGSLKRVRSRDLWVLTSHGDVIDTTSLEKENVKRFYRYIDSLPLRVPDSLFLVYYDYLI >DRNTG_00349.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18693743:18698111:-1 gene:DRNTG_00349 transcript:DRNTG_00349.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEKRSKETRKYEKSYFDVLGLCCTSEVPLIEKILKSLEGVQKVTIIVPSRTVIVVHDSLLISQLQIVEALNQARLEATIRTYGTGGGKIMNKWPSPYILACGTLLIISVFHVFFRPLRWLAVVAVAVGVLPIILRSIAALRRFTLDINILMLIAVGGAIALRDYEEAGFIVFLFTIAEWLETMASHKATAGMSALMSMAPQKAILAETGQIVDARDVKVDTILAVKAGEVIPIDGIVVDGRSEVDERTLTGESFPVAKQVQSQVWAGTLNIDGYISIRTTALAENSAVAKMARLVEEAQSSRSKTQRLIDSCTKYYTPAVVIIAAGVAVIPLITKTHQKKHWFKLALVLLVSACPCALVLSTPVATFCGLLKAARKGLLIKGGDVLEALAGIKVAAFDKTGTITRGEFTILKFIPISNSITIDKLLYWVASIESKSSHPMASALVDYARWKSVEPKPDNVSEFQIHAGEGIHGQIDGCNIYIGNKRIATRAGCPTGKILTMKQCGGRSYGRLCVL >DRNTG_00349.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18693743:18698111:-1 gene:DRNTG_00349 transcript:DRNTG_00349.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEKRSKETRKYEKSYFDVLGLCCTSEVPLIEKILKSLEGVQKVTIIVPSRTVIVVHDSLLISQLQIVEALNQARLEATIRTYGTGGGKIMNKWPSPYILACGTLLIISVFHVFFRPLRWLAVVAVAVGVLPIILRSIAALRRFTLDINILMLIAVGGAIALRDYEEAGFIVFLFTIAEWLETMASHKATAGMSALMSMAPQKAILAETGQIVDARDVKVDTILAVKAGEVIPIDGIVVDGRSEVDERTLTGESFPVAKQVQSQVWAGTLNIDGYISIRTTALAENSAVAKMARLVEEAQSSRSKTQRLIDSCTKYYTPAVVIIAAGVAVIPLITKTHQKKHWFKLALVLLVSACPCALVLSTPVATFCGLLKAARKGLLIKGGDVLEALAGIKVAAFDKTGTITRGEFTILKFIPISNSITIDKLLYWVASIESKSSHPMASALVDYARWKSVEPKPDNVSEFQIHAGEGIHGQIDGCNIYIGNKRIATRAGCPTGKILTMKQCGGRSYGRLCVL >DRNTG_00349.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18693743:18698311:-1 gene:DRNTG_00349 transcript:DRNTG_00349.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYAELLPEDKVRIVGELKAKEGSTLMVGDGMNDAPALAMANVGISMGISGSAVAMETSHITLMSNDIGKIPKAIQLARRTHRKIILNIVFSVITKVAILILAFTGHPLLWAAVLADVGTCLIVILNSMTLLRTKDKTTKKKCCSSKNKLKKACCSNENKHHDHGKVHKHGCHENEMTHKHACNEHDHHDHVEVHAQDCHEHKEHDHAKAHEHGCHDHWEEVHAEGCHEHDEHAEDHEHHCHDHGELHEHECHGYEEPHEHECHEHTETGKKGHEKISDCCGGELPNKSHCCSESVAKANEEHLIRIPCAEERYSEKHNLCGCKEDAIRDDACCARAPVIDLKAQSSNCLHLNNKNHEITVHCSGIKNKCGRDAHAGCSSVGIRRREVARCCRSYRMEYRKRNNCCRGAMHPPEIIIE >DRNTG_00349.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18693743:18698111:-1 gene:DRNTG_00349 transcript:DRNTG_00349.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGDSIGAATNAQNQLGNVIEMIYAELLPEDKVRIVGELKAKEGSTLMVGDGMNDAPALAMANVGISMGISGSAVAMETSHITLMSNDIGKIPKAIQLARRTHRKIILNIVFSVITKVAILILAFTGHPLLWAAVLADVGTCLIVILNSMTLLRTKDKTTKKKCCSSKNKLKKACCSNENKHHDHGKVHKHGCHENEMTHKHACNEHDHHDHVEVHAQDCHEHKEHDHAKAHEHGCHDHWEEVHAEGCHEHDEHAEDHEHHCHDHGELHEHECHGYEEPHEHECHEHTETGKKGHEKISDCCGGELPNKSHCCSESVAKANEEHLIRIPCAEERYSEKHNLCGCKEDAIRDDACCARAPVIDLKAQSSNCLHLNNKNHEITVHCSGIKNKCGRDAHAGCSSVGIRRREVARCCRSYRMEYRKRNNCCRGAMHPPEIIIE >DRNTG_00349.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18693743:18698111:-1 gene:DRNTG_00349 transcript:DRNTG_00349.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGDSIGAATNAQNQLGNVIEMIYAELLPEDKVRIVGELKAKEGSTLMVGDGMNDAPALAMANVGISMGISGSAVAMETSHITLMSNDIGKIPKAIQLARRTHRKIILNIVFSVITKVAILILAFTGHPLLWAAVLADVGTCLIVILNSMTLLRTKDKTTKKKCCSSKNKLKKACCSNENKHHDHGKVHKHGCHENEMTHKHACNEHDHHDHVEVHAQDCHEHKEHDHAKAHEHGCHDHWEEVHAEGCHEHDEHAEDHEHHCHDHGELHEHECHGYEEPHEHECHEHTETGKKGHEKISDCCGGELPNKSHCCSESVAKANEEHLIRIPCAEERYSEKHNLCGCKEDAIRDDACCARAPVIDLKAQSSNCLHLNNKNHEITVHCSGIKNKCGRDAHAGCSSVGIRRREVARCCRSYRMEYRKRNNCCRGAMHPPEIIIE >DRNTG_30919.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23282776:23285201:1 gene:DRNTG_30919 transcript:DRNTG_30919.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVVRSAIHCSEVSSFDLLLWYADKVSVTNPDRSKGLVNAVARVFPSSLHGYCLRHLEANFMKTNSSLSKALRIQCWAVIIKIAYAYTSKEFDAVHELACLSTDANGWLMNKSDVDHWSNYLFKGMRWCEMYSNVAESFNVWIKEARHLPVTSMVDSISTLHVFIVPHFIKGSIFSSRFVVVFLDCDGVLLFVAVLRIIGGVLLTCNVLLRGDLLHSLGVLHVVGVFVTFAVLLSGRNVLGVLLLGNIIPTFYIILTITFVISGGVHRTFYVLVTLASRLSGGLLTLTVLVLLSGGLLTFYFLGSLVVLLPSRILLTVGGVILHTSAWYNFPLHRVEKYTGDISEPKDLDVRL >DRNTG_10756.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000458.1:12270:12897:-1 gene:DRNTG_10756 transcript:DRNTG_10756.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASLYADTEEASSACTSTHWISCCILGHSHPCKNSNAENHPPKYVILEITVFLFLRS >DRNTG_33809.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2858522:2862244:1 gene:DRNTG_33809 transcript:DRNTG_33809.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLFTYLSFLFLCFHLPHLSSCSCSSSLNSCKQFSTSPNKTAYPKSFNLCCNETTNQTMIRFNNSGPLFQLKNLSIPQHKLVFLEPELSSPLNKQNCSFMYNFILPLQNFNLSFCHNLLLDEQLLIFDCNKLENTFNHEVLLEFNGRIPCKIYNFYLANKKLDSQIFQALPSYCKTNRSPSYEWTFSFSDDGVLDLLSVGFSNQLELKQECFNCGVIGSGCSVNGGHKTVCQEDEKKKRKQRIEIIIIGVSAGTGASLVVFGFLFWHKRWKRNKKSTITLGRSYSAESSSMREDTGYTSIFSYRELQEATDNFSESKELGDGGFGTVYKGILQDGRTVAIKRLYGNNYKRAEQFMNEVAILSRLRHQNLVSLYGSTSRHSRELLLVYEFIPNGTVADHLHGHLYHRSPLPWPIRLNIAIQTATALTYLHAIQPPIIHRDVKTTNILLDQNFNVKVADFGLSRLVPVDATHVSTAPQGTPGYLDPEYYRCYRLTDKSDVYSFGVVLMELISSKPAVDVRRSRSEINLASMTVEKMQRNELEELVDWRMF >DRNTG_33809.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2861473:2862244:1 gene:DRNTG_33809 transcript:DRNTG_33809.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVAILSRLRHQNLVSLYGSTSRHSRELLLVYEFIPNGTVADHLHGHLYHRSPLPWPIRLNIAIQTATALTYLHAIQPPIIHRDVKTTNILLDQNFNVKVADFGLSRLVPVDATHVSTAPQGTPGYLDPEYYRCYRLTDKSDVYSFGVVLMELISSKPAVDVRRSRSEINLASMTVEKMQRNELEELVDWRMF >DRNTG_01324.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:44408:46425:1 gene:DRNTG_01324 transcript:DRNTG_01324.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDELYFLVTTTTLSFLLLSSLLILIKLSINNNKKNRNPKPPSPPGLPLIGHLHLLKPPLHQALAHLSDLHGPVLLLRFGYRRVLLVSSYSAADECFTVNDITFANRPRLLAGKYFGYDFTSLGWAPYGPHWRNLRRMSTVEVLSTNRLLSSSDVRSDEARSLVKALLREYSGLSFHCTELKPKFFGFAYNVIMRMMANKRYYGDADESSSEAGTEFRDMVKETAFVLSASNAADFIPLVRWFRVGGYEKKLKSLRKRRDKFFQGLIEEHREKKMKMNRSQDGKTSSAARSTFIDLLLSMQDDDPEHVPDVFIRQSVSQLLVAGTETSSVTMEWAMSFLLNNIETLKKVRAEIDLNIEQGSILEEGDLHKLPYLQAVVTETLRLKSSAPLLLLSRPSPTAGPMDRSATSIGTGSHWVQGLRFDLVRVNPNKQIKI >DRNTG_01324.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:44372:49254:1 gene:DRNTG_01324 transcript:DRNTG_01324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELYFLVTTTTLSFLLLSSLLILIKLSINNNKKNRNPKPPSPPGLPLIGHLHLLKPPLHQALAHLSDLHGPVLLLRFGYRRVLLVSSYSAADECFTVNDITFANRPRLLAGKYFGYDFTSLGWAPYGPHWRNLRRMSTVEVLSTNRLLSSSDVRSDEARSLVKALLREYSGLSFHCTELKPKFFGFAYNVIMRMMANKRYYGDADESSSEAGTEFRDMVKETAFVLSASNAADFIPLVRWFRVGGYEKKLKSLRKRRDKFFQGLIEEHREKKMKMNRSQDGKTSSAARSTFIDLLLSMQDDDPEHVPDVFIRQSVSVSIPCYQPPLFFISLY >DRNTG_13797.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000641.1:70045:70780:-1 gene:DRNTG_13797 transcript:DRNTG_13797.5 gene_biotype:protein_coding transcript_biotype:protein_coding MISTCSLVEGVSGQPGCVRYCSMVPSTDIAGEVPPKLWVNERLLTLDPIGHSLSYEITENNMGFTRYVATLKVVGGSGGGDDDGCSLEWCFEADPVDGFSEESLVAYLQNGLDGMAAKVEDALHA >DRNTG_13797.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000641.1:70071:70780:-1 gene:DRNTG_13797 transcript:DRNTG_13797.6 gene_biotype:protein_coding transcript_biotype:protein_coding MISTCSLVEGVSGQPGCVRYCSMVPSTDIAGEVPPKLWVNERLLTLDPIGHSLSYEITENNMGFTRYVATLKVVGGSGGGDDDGCSLEWCFEADPVDGFSEESLVAYLQNGLDGMAAKVEDALHA >DRNTG_13797.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000641.1:70071:70940:-1 gene:DRNTG_13797 transcript:DRNTG_13797.4 gene_biotype:protein_coding transcript_biotype:protein_coding MISTCSLVEGVSGQPGCVRYCSMVPSTDIAGEVPPKLWVNERLLTLDPIGHSLSYEITENNMGFTRYVATLKVVGGSGGGDDDGCSLEWCFEADPVDGFSEESLVAYLQNGLDGMAAKVEDALHA >DRNTG_13797.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000641.1:69739:70940:-1 gene:DRNTG_13797 transcript:DRNTG_13797.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTCSLVEGVSGQPGCVRYCSMVPSTDIAGEVPPKLWVNERLLTLDPIGHSLSYEITENNMGFTRYVATLKVVGGSGGGDDDGCSLEWCFEADPVDGFSEESLVAYLQNGLDGMAAKVEDALHA >DRNTG_13797.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000641.1:70045:70940:-1 gene:DRNTG_13797 transcript:DRNTG_13797.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISTCSLVEGVSGQPGCVRYCSMVPSTDIAGEVPPKLWVNERLLTLDPIGHSLSYEITENNMGFTRYVATLKVVGGSGGGDDDGCSLEWCFEADPVDGFSEESLVAYLQNGLDGMAAKVEDALHA >DRNTG_13797.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000641.1:69739:70780:-1 gene:DRNTG_13797 transcript:DRNTG_13797.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISTCSLVEGVSGQPGCVRYCSMVPSTDIAGEVPPKLWVNERLLTLDPIGHSLSYEITENNMGFTRYVATLKVVGGSGGGDDDGCSLEWCFEADPVDGFSEESLVAYLQNGLDGMAAKVEDALHA >DRNTG_08937.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27320324:27322324:1 gene:DRNTG_08937 transcript:DRNTG_08937.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVLVFYQGRPPRGCRTDWIMHEYRLAGAVITGDRNSETLVHWFMQKSGRDWVLCRIFLKKRAGKMNTECSRGFIDFFKQASSSSSSSSSASSCVTDVSDGSNTSEEDNSCSTDSSSGGREA >DRNTG_08937.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27320324:27323239:1 gene:DRNTG_08937 transcript:DRNTG_08937.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVLVFYQGRPPRGCRTDWIMHEYRLAGAVITGDRNSETLVHWFMQKSGRDWVLCRIFLKKRAGKMNTECSRGFIDFFKQASSSSSSSSSASSCVTDVSDGSNTSEEDNSCSTDSSSGGREA >DRNTG_08937.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27320324:27322287:1 gene:DRNTG_08937 transcript:DRNTG_08937.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVLVFYQGRPPRGCRTDWIMHEYRLAGAVITGDRNSETLVHWFMQKSGRDWVLCRIFLKKRAGKMNTECSRGFIDFFKQASSSSSSSSSASSCVTDVSDGSNTSEEDNSCSTDSSSGGREA >DRNTG_08937.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27320324:27322287:1 gene:DRNTG_08937 transcript:DRNTG_08937.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGNYPSGSRHNRTASSGYWKATGRDKQISVGKGGQVVGMKKVLVFYQGRPPRGCRTDWIMHEYRLAGAVITGDRNSETLVHWFMQKSGRDWVLCRIFLKKRAGKMNTECSRGFIDFFKQASSSSSSSSSASSCVTDVSDGSNTSEEDNSCSTDSSSGGREA >DRNTG_07547.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21642347:21643134:-1 gene:DRNTG_07547 transcript:DRNTG_07547.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRQVIERYYSRMTLDFHTNKKVLEEVAIIPSKRLRNKIAGFTTHLMRRIQRGPVRGISLKLQEEERERRMDFVPDESAIKTDSILVDKETLEMLASLGMPDLPGVEKQVDPPAAPVFSGRPGYLGRRN >DRNTG_07547.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21642347:21643187:-1 gene:DRNTG_07547 transcript:DRNTG_07547.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRQVIERYYSRMTLDFHTNKKVLEEVAIIPSKRLRNKIAGFTTHLMRRIQRGPVRGISLKLQEEERERRMDFVPDESAIKTDSILVDKETLEMLASLGMPDLPGVEKQVDPPAAPVFSGRPGYLGRRN >DRNTG_07547.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21641875:21643134:-1 gene:DRNTG_07547 transcript:DRNTG_07547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRQVIERYYSRMTLDFHTNKKVLEEVAIIPSKRLRNKIAGFTTHLMRRIQRGPVRGISLKLQEEERERRMDFVPDESAIKTDSILVDKETLEMLASLGMPDLPGVEKQVDPPAAPVFSGRPGYLGRRN >DRNTG_31229.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001676.1:28932:34079:1 gene:DRNTG_31229 transcript:DRNTG_31229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKTLATAALSQIGEKREKRMLKWWLESAFKGLESGIHTPVWRIGDRRRELAWVMAWLGPTTAVVDPHGPAFRGGVVDLSVDFYEGQFKSERRGLTGYSSGSRGHRPMNRWVNVKDMSSLTALNLAPATAKVEALTRKLDQVVNVHQHHNPYCINYHLNQRSYPNLSWGIDGQQWEVPQEEFQMDEKLEEDVLQLERVLPRFIETTDARFQNIEAILSCHEVSIKNIEHQLGEILDMLAKEKEEFEQTRQVSPGYDDDMSNIEEGGQIEYIGVENERKEDEYHFENLDCMNEDCSCGQENFQGDLLVSCSVQVENTQEEANPKVMEQAFFFGIDQLLQCKNNILGREDVGRRLKPSNDPPVPSLDNSQPKLFPWRPKVR >DRNTG_34103.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002087.1:6841:17116:1 gene:DRNTG_34103 transcript:DRNTG_34103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKAVEEVEAESKASSAIVPTNPRPEDYLTVIALPLAHRPLFPGFYMPIYVKDAKLLEALVENRKRSIPYAGAFLLKDDPATDSSLGSGSDSEKSVYELKGKELYKRLHEVGTLAQITSIQGDQVVLIGHRRLRITEMVDEDPLTVKVDHLKDKPYDKDDDVIKATSFEVISTLRDVLKTSSLWRDHVQTYTQHIGDFNFPRLADFGAAISGANKLLCQQVLEELDVYKRLKLTLELVKKEMEISKIQESIAKAIEEKISGEQRRYLLNEQLKAIKKELGLETDDKTALSAKFRERLEPNREKCPPHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTALPWGNYSDENFDVHRAQMILDEDHYGLTDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFYRFSVGGLADVAEIKGHRRTYIGAMPGKMVQCLKNVGTSNPLVLIDEIDKLGRGHAGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVEMIPNPLLDRMEVIAIAGYITDEKVHIARDYLEKATREACGINPDQVEVTDAALLALIENYCREAGVRNLQKQIEKIYRKIALKIVRQTMPSESSTDKIEGNDSQTEVAEIVSKKDDEVIETNKAQEDVPATKDVIETNKAQEDVPSDNAEQPVDSKENESATVNMEKVVIDVPNLHDYVGKPVFHAERIYDQTPLGVVMGLAWTAMGGSTLYIETTLVEQGDGKGALHLTGQLGDVMKESAQIAHTVARAILQEKEPENPFFANSKLHLHVPAGATPKDGPSAGCTMITSLLSLALKKPVKRDLAMTGEVTLTGRILPIGGVKEKTIAARRSGVKTIIFPEANRRDFDELAENVKEGLDVHFVDDYSQIFELAYGNDEDNSEQSS >DRNTG_05054.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3592605:3593007:-1 gene:DRNTG_05054 transcript:DRNTG_05054.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAGTGCENFDKAEQVLQEVGGDADAAIEYLIAEQEMKESENGDEDISSENNISNG >DRNTG_05054.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3590885:3595570:-1 gene:DRNTG_05054 transcript:DRNTG_05054.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAKHKKPQPKRKQSHAAVKKQGKEADLSHFRSQLDALGLKIVQITADGNCFFRALADQLEGNEEEHTKYRHMVVQYILNHREEFEPFIEDDVPFDEYCQSMEKDGTWAGHMELQAACLVTRVNICIHRAMSPRWYIRNFQGQEARMIHLSYHDGEHYNSVRLREDSCEGPARQIIIKADTDISVPSHNKKVATCPPKGASHKFVSDIGSVKMVMAGTGCENFDKAEQVLQEVGGDADAAIEYLIAEQEMKESENGDEDISSENNISNGANQIMNSEQLEVPSENVTTAQNSSDSDTQSVKEQKVQVVDKKISRNKQCSCGSKKKYKACCGSGVGRTSAVTISNTKWTSNRDRKERKSGRRKEAIEVPESGTKTQPDLGALCI >DRNTG_05054.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3590885:3592206:-1 gene:DRNTG_05054 transcript:DRNTG_05054.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEQLEVPSENVTTAQNSSDSDTQSVKEQKVQVVDKKISRNKQCSCGSKKKYKACCGSGVGRTSAVTISNTKWTSNRDRKERKSGRRKEAIEVPESGTKTQPDLGALCI >DRNTG_05054.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3593071:3594181:-1 gene:DRNTG_05054 transcript:DRNTG_05054.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDGTWAGHMELQAACLVTRVNICIHRAMSPRWYIRNFQGQEARMIHLSYHDGEHYNSVRLREDSCEGPARQIIIK >DRNTG_05054.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3590885:3595570:-1 gene:DRNTG_05054 transcript:DRNTG_05054.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAKHKKPQPKRKQSHAAVKKQGKEADLSHFRSQLDALGLKIVQITADGNCFFRALADQLEGNEEEHTKYRHMVVQYILNHREEFEPFIEDDVPFDEYCQSMEKDGTWAGHMELQAACLVTRVNICIHRAMSPRWYIRNFQGQEARMIHLSYHDGEHYNSVRLREDSCEGPARQIIIKADTDISVPSHNKKVATCPPKGASHKFVSDIGSVKMVMAGTGCENFDKAEQVLQEVGGDADAAIEYLIAEQEMKESENGDEDISSENNISNGLRLPRSQSNYEL >DRNTG_02241.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1092793:1093321:-1 gene:DRNTG_02241 transcript:DRNTG_02241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNNGKSPKLDLKLNLSPPPLRGNTSRAVRDGENSPNGSTSSTSPPSSCVSSCSNSPEATSMVLAGCPRCLMYVMLSEEDPRCPKCKNPVLLDFLQGNSSNNTTKKNRKS >DRNTG_13169.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20010269:20013449:-1 gene:DRNTG_13169 transcript:DRNTG_13169.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIHNVKMGVLSPTKLRMKLIGAQSSRRKEVESNSSRTSPSKIEEMKYAKNGLLAGDLDQEGGASKIGSYPLQQSNYLGVVHPGKPLEADYNGYDSGNDNGSTSSFEFHQVQQSKAGSYHRHIPSKWNEAEKWILNRRSQRPNNVLKRSNSQNHGNRQMLPSWLRISPVNVGQKHYVSRSVDTKLMVEKLPSVSNYTRSNSLSANGPGRSSDVLVASGDSNSLQRGNYRNESSTIKNPVSEPTDFPAVQLVSMRDIGTEMTPIPSEEPSRTTTPPGAMTPSHSSISSRPSSPRKGMPVQQSFPKLSTNDELNFRKGGGKNELSERELQIKTRREIAALGIQLGKMNIASWASKEELQHPSPAPVTIDADQLARMEYETRAAAWEDAEKSKHIARFKSEELKIKAWQSHQKAKFDVQMKKAEIDAERMRTRVKEKTGEKLAIVQRRAEEKQAMAEARMNRKAVRTTRQAEYIRQTGRIPSSSILNCSCLF >DRNTG_13169.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20010269:20012519:-1 gene:DRNTG_13169 transcript:DRNTG_13169.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSWLRISPVNVGQKHYVSRSVDTKLMVEKLPSVSNYTRSNSLSANGPGRSSDVLVASGDSNSLQRGNYRNESSTIKNPVSEPTDFPAVQLVSMRDIGTEMTPIPSEEPSRTTTPPGAMTPSHSSISSRPSSPRKGMPVQQSFPKLSTNDELNFRKGGGKNELSERELQIKTRREIAALGIQLGKMNIASWASKEELQHPSPAPVTIDADQLARMEYETRAAAWEDAEKSKHIARFKSEELKIKAWQSHQKAKFDVQMKKAEIDAERMRTRVKEKTGEKLAIVQRRAEEKQAMAEARMNRKAVRTTRQAEYIRQTGRIPSSSILNCSCLF >DRNTG_23696.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:616400:624004:1 gene:DRNTG_23696 transcript:DRNTG_23696.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSVSSDHLSTSQNSTENKLSANIVQVKKARLESIVKRPPRSSSKTSDSTLGPRECILLVGWQSSVSDMIREYDNYLGPGSLVEILSEVSVSERNSTVNPVVPNQLKHIKVSHKVGNPMNYETLKETILNIRNSVKGAKDLPLSIVVISDKEWMAGDPSRADKHSAYSLLLAESICSKHGIKVENFVAEIVDSKLGKQISSIRPTLSFIGAEEVMSLVTAQVAESRELNEVWKDILNAEGDEIYVKDIGLYMKRGENPSFAELTERALLRREVAIGYVKDNKKVINPINKTQPLQFEMTDSLIVISELEGE >DRNTG_03367.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17984123:17984335:-1 gene:DRNTG_03367 transcript:DRNTG_03367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVFVAVVLFVVLSPGLLVQIPGKNRMAEFGTLHTSGASILVHSLIYFALISIFLLAVRVHMYIGS >DRNTG_30211.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:30270:34725:1 gene:DRNTG_30211 transcript:DRNTG_30211.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLGWRWLLALSSVPSFLLLLFYNFVPESPRYLCMRGKTTDAMNILVNMAEMNKKTLPSGILVSDNKMELDENSVPSENTHLITIGKTDAIIDDDMDSKIGGISALYRLLSPKLITSTLLLWIVFFGNSFAYYGIVLLTSKLSDNDRRCVSDDLLSMQSENDNLYRDVFITSFAEVPGLILSAIAVDRVGRKLSMSSMLFASCIFIFPLIFIQSELLTTILLFGARLFISGSFVVVYVYAPEVSFNN >DRNTG_30211.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:30270:34725:1 gene:DRNTG_30211 transcript:DRNTG_30211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPMEGDVELINDPNHLHCQPSDPIKMAEGGSTFTVDEALASTGFGKYQFLVLGYSGMGWISEAMEVMLLSFVGPSVQSEWKLSSHEESMITSVVFAGMLLGAYSWGIVSDNYGRRMGFLFTALVTSIAGLLSSFSPNYITLVIIRFLVGVGLGGGPVLASWFLEFVPAPSRGTWMVIFQCFWTVGTIFEASIAWVIMPRLGWRWLLALSSVPSFLLLLFYNFVPESPRYLCMRGKTTDAMNILVNMAEMNKKTLPSGILVSDNKMELDENSVPSENTHLITIGKTDAIIDDDMDSKIGGISALYRLLSPKLITSTLLLWIVFFGNSFAYYGIVLLTSKLSDNDRRCVSDDLLSMQSENDNLYRDVFITSFAEVPGLILSAIAVDRVGRKLSMSSMLFASCIFIFPLIFIQSELLTTILLFGARLFISGSFVVVYVYAPEMYPTSVRTTGVGTASSVGRIGGIICPLVAVALVQSCHQIIALVLFEFIIFISGVAVTFFPLETNGRSLNDSVRELK >DRNTG_30211.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:30270:34725:1 gene:DRNTG_30211 transcript:DRNTG_30211.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLGWRWLLALSSVPSFLLLLFYNFVPESPRYLCMRGKTTDAMNILVNMAEMNKKTLPSGILVSDNKMELDENSVPSENTHLITIGKTDAIIDDDMDSKIGGISALYRLLSPKLITSTLLLWIVFFGNSFAYYGIVLLTSKLSDNDRRCVSDDLLSMQSENDNLYRDVFITSFAEVPGLILSAIAVDRVGRKLSMSSMLFASCIFIFPLIFIQSELLTTILLFGARLFISGSFVVVYVYAPEMYPTSVRTTGVGTASSVGRIGGIICPLVAVALVQSCHQIIALVLFEFIIFISGVAVTFFPLETNGRSLNDSVRELK >DRNTG_15914.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:975213:977972:-1 gene:DRNTG_15914 transcript:DRNTG_15914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENPPESPQNPNPEIPKFRERTPRALLREKRAKAADREEAIRRAKRMKIGFID >DRNTG_15914.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:975213:977972:-1 gene:DRNTG_15914 transcript:DRNTG_15914.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSENPPESPQNPNPEIPKFRERTPRALLREKRAKAADREEAIRRAKRMKIGFID >DRNTG_18807.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5354927:5358555:-1 gene:DRNTG_18807 transcript:DRNTG_18807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVCKTNISSKSAMRGPGEVQGSFIAEAVIEHVASILSVHADSIRKINLHDFESLTKFFEGAAGEPFEYTLPSIFNKFTSYASYQDRAEMIQQFNSCNRWKKRGLSCVPVVYQVTVRPTPGKVGILNDGSIIVEVGGVEIGQGLWTKVKQMAAFALGQLWDDGSQDLLERVRVIQADTFSLIQGGWTAGSTTSESSCEAVRLACNILVDRLKFLKDSLQQKMGSISWDALILQANTQAVNLSASSYWVPDPSSKEYLNYGAAISEVEIDLFTGATTILRTDLTYDCGKSLNPAVDLGQIEGSFVQGIGFFMSEEFITNSEGLVVTDGTWTYKIPTVDTIPKQFNVEFLDSGHHEKRVLSSKASGEPPLLLAASIHCATREAIKAARDEFNYTTPSASPATFQMNVPATMPVIKELYGLDIVERYLENSLSVHQLKKV >DRNTG_35465.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3741882:3744041:1 gene:DRNTG_35465 transcript:DRNTG_35465.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prefoldin subunit 4 [Source:Projected from Arabidopsis thaliana (AT1G08780) UniProtKB/Swiss-Prot;Acc:Q9M4B5] MQQGGGSETEVTWEDQQNINKFGRLNNRFHELEDEIKAAKELNVSLEDVSNELIFTDEEVIRFQIGEVFAHMPRDEAEARLEKMKEEASKDLERLEEEKESVLAQMAELKRILYGKFKDSINLEED >DRNTG_04791.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2453302:2454191:-1 gene:DRNTG_04791 transcript:DRNTG_04791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTYLQSMSVRVEEMRVKRRDSEQWMHHRLLPPELRERVRRYDQYKWMETRGVDEESLVQSLPKDLRRDIKRHLC >DRNTG_25913.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19925020:19926330:1 gene:DRNTG_25913 transcript:DRNTG_25913.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERDVVAWNAMITGFVRAGQFVESLDLFRRLQLERMGMDWRVIPSVLNACGSTGELIRGKEVHGRVIRCLMFDSDVVIGNALIDMYGKCGCLNDSQRVFACMGLKNEVTWSSMIACLGVHGKGEESLKLYEEMIKEGFKPNSITFTSVLSSCSHSRLLVDGRRVFESIREPSVEHFACMVDLFGRSGDIKQASRLIDKMPMKPSASVWGALLAGCVLHKNVVIGEIAAHKLSELEPSNSSNYVALCGIYDAVGMSDNVSKIRARMRELGISKTPGCSWIIINGKSHTFYQGNISHPFAKRVCEVLLHLHLWIGKDEDVYHSINHETNTQTSSESHYYLLDSGEKLTKLTSTY >DRNTG_25913.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19924691:19925980:1 gene:DRNTG_25913 transcript:DRNTG_25913.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRTMHAWNILFSSYAQSSLYHLVLGLLRPFLDSGFRPDHFTVPALCKACGGGGGVGLREIGMGLHCWAIKIGVLDVVFVSSSVLDMYAKFGRLDDACKVFELMPERDVVAWNAMITGFVRAGQFVESLDLFRRLQLERMGMDWRVIPSVLNACGSTGELIRGKEVHGRVIRCLMFDSDVVIGNALIDMYGKCGCLNDSQRVFACMGLKNEVTWSSMIACLGVHGKGEESLKLYEEMIKEGFKPNSITFTSVLSSCSHSRLLVDGRRVFESIREPSVEHFACMVDLFGRSGDIKQASRLIDKMPMKPSASVWGALLAGCVLHKNVVIGEIAAHKLSELEPSNSSNYVALCGIYDAVGMSDNVSKIRARMRELGISKTPGCSWIIINGKSHTFYQGNISHPFAKRVCEVLLHLHLWIG >DRNTG_25913.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19925020:19925980:1 gene:DRNTG_25913 transcript:DRNTG_25913.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPERDVVAWNAMITGFVRAGQFVESLDLFRRLQLERMGMDWRVIPSVLNACGSTGELIRGKEVHGRVIRCLMFDSDVVIGNALIDMYGKCGCLNDSQRVFACMGLKNEVTWSSMIACLGVHGKGEESLKLYEEMIKEGFKPNSITFTSVLSSCSHSRLLVDGRRVFESIREPSVEHFACMVDLFGRSGDIKQASRLIDKMPMKPSASVWGALLAGCVLHKNVVIGEIAAHKLSELEPSNSSNYVALCGIYDAVGMSDNVSKIRARMRELGISKTPGCSWIIINGKSHTFYQGNISHPFAKRVCEVLLHLHLWIG >DRNTG_04478.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000214.1:20981:21335:-1 gene:DRNTG_04478 transcript:DRNTG_04478.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPHHTLSPSLLSPHKSPSPFTKTRPLHGSIQRLHIKSTDVGVVNGVAKKRYTYICIYF >DRNTG_14768.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18320938:18322078:-1 gene:DRNTG_14768 transcript:DRNTG_14768.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKETTHARSRKDSPPTPPPGYPTIRKQSEKACLPKTSKKGERGFIEGCLAALCCCWICETCCP >DRNTG_29862.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23063959:23066933:1 gene:DRNTG_29862 transcript:DRNTG_29862.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPELNRFILMNEGKGLVPGYPQSMLDILGKCNIAAVDGPLHKTMRGAMLGLINPPMIRDHLLHKIDQFMRSHLTDWSNKIIDIQQKTKEMALLSALKQIASIETGSFAESLKIEIFKLVVGTLSLPINLPGTNYRRGLQARKKAVGMLRDLIQQRRESNCSQNDMLDCLLKNDGSTRSMLSDDQIIDLIIALVYSGYETVSTTSMMAVKYLLDHPKALEELRKEHFGIRNGKASEEGIGWNDYKSMRFTRAVILETLRVAAVVNGVLRKTTHDMEMKGFLIPKGWRIYVYTREINYDQYLYPEPATFNPWRWLVGFHFISLFFL >DRNTG_29862.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23063959:23066933:1 gene:DRNTG_29862 transcript:DRNTG_29862.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFGGVLLLILGLVFSFLVISSAVLRWNELRYRKKGLPPGTMGWPLFGETTEFLKQGPNFLKNQRARYGSLFKSHILGCPTVVSMDPELNRFILMNEGKGLVPGYPQSMLDILGKCNIAAVDGPLHKTMRGAMLGLINPPMIRDHLLHKIDQFMRSHLTDWSNKIIDIQQKTKEMALLSALKQIASIETGSFAESLKIEIFKLVVGTLSLPINLPGTNYRRGLQARKKAVGMLRDLIQQRRESNCSQNDMLDCLLKNDGSTRSMLSDDQIIDLIIALVYSGYETVSTTSMMAVKYLLDHPKALEELRKEHFGIRNGKASEEGIGWNDYKSMRFTRAVILETLRVAAVVNGVLRKTTHDMEMKGFLIPKGWRIYVYTREINYDQYLYPEPATFNPWRWLDKNLDSHPYFLLFGGGSRLCPGKELGIAEIATFLHYFVTRYRWEDVGGNHIVKFPRVEAPNGLRIRVFDY >DRNTG_34044.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1985107:1986677:-1 gene:DRNTG_34044 transcript:DRNTG_34044.1 gene_biotype:protein_coding transcript_biotype:protein_coding DYKLRLCLSMSNTLLPLNPSAFYTFSSSKFRSLVYLISHPYRCVNTTSIRRRCLSVSRASNDDMEVMKNIVETLPSAVPLFLLNLPSWANWLWGALVLLALPFYGRVRRIQDQVEETIETIAEVVESVAEVTEKASSAVADALPDGEIKKAVLEVEHIAQVVDKSAEAVEGFINKIDEIEADVDSLVNPLIKRKASLGEGEEKI >DRNTG_35271.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3325520:3326926:-1 gene:DRNTG_35271 transcript:DRNTG_35271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLEMNILWVNPDCGLKTRKYAEVKPALTNMVEAAKLLRKQLASAK >DRNTG_12016.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:717990:726467:1 gene:DRNTG_12016 transcript:DRNTG_12016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHQSHSSDEEITTIESPPHTIIHIPPSLTYNSISSNTNHQSTHPTNVFVNNNMQWRYYSNTNNYYRPKKPSLQALNNNLFPFAVQFTAALLTLCFTSSSSSSASLSIKVLTMSTIVLFYSSLISTALVLPLKFQRYDIKMNNAILAEDKHLPICIIFHYSAAETLWIYSQAITNLNIWTMFSTMKLKQGPSQKSMVGREKIRVGKGFSMSPCRKVSRRNFCPVRSRTGISFPIPAPLTFSTDM >DRNTG_03240.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:28:57356:66165:-1 gene:DRNTG_03240 transcript:DRNTG_03240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGETQEGAEEMPEAQKEGGAAPVKMESTLCHGGRFVRYNTCSNRRIVFEVTSKYKPPIIPLRSDPYSVTWSVLNSETGEQVAIKRIRYAFDKMIYAKRMLREVKILRHMDHENVLAIRDIIPPPQWELFNDVYIAYELMETNLHQIIHSDQPLSEEHIQYILYQILCGLKYIHSASVLHGDLQPSNILLNASCDLKIFDFGFEPITSPFIREYIPATKSYRAPELLLNSSGYTAAIDVWSVGCIFMELVERKCLFPGKDIVHQICLVLELIGTPKEDDLGFLDEVDRRSILGLPCYARQSFAEKFPQMHRTAIDLVEKMLTFNPSQRITVEDALAHPYFASLHDTSDEPVCKTPFSFDFEQHVLTEEHVKELIYREALALNPEYQT >DRNTG_03240.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:28:57356:63242:-1 gene:DRNTG_03240 transcript:DRNTG_03240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELVERKCLFPGKDIVHQICLVLELIGTPKEDDLGFLDEVDRRSILGLPCYARQSFAEKFPQMHRTAIDLVEKMLTFNPSQRITVEDALAHPYFASLHDTSDEPVCKTPFSFDFEQHVLTEEHVKELIYREALALNPEYQT >DRNTG_03240.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:28:51532:66165:-1 gene:DRNTG_03240 transcript:DRNTG_03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETQEGAEEMPEAQKEGERERGLGIESEEMGGTQEEGGAAPVKMETTLTHGGRFVRYKISWFVVEVTTKYRPPITLLRSDPYGVIW >DRNTG_07058.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3844912:3847306:-1 gene:DRNTG_07058 transcript:DRNTG_07058.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CURVATURE THYLAKOID 1A, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G01150) UniProtKB/Swiss-Prot;Acc:O04616] MAVTACTATAVLGGVRLSPVFSVSRYPSPSFVVPRRPIAFSGVNLSDARRFSSLKIRASSDESSSSIQGDELLGDLKQKWDSLENKSAVFIYGGGAIVAIWLSSIVVGAINSVPLLPKIMELVGLGYTGWFVYRYLLFKSSRKELADDIEDLKKKIAGTGE >DRNTG_00820.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:19109313:19109603:-1 gene:DRNTG_00820 transcript:DRNTG_00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISSTIMAKFLSQLPFLSTMIHYFIVTDTLNPNQFLQDGQTLVPAKETFVLGLFRPGESKNRYVGIWYNELQGQPIIWVANRRSPILQALMGAWN >DRNTG_31176.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11579663:11580355:-1 gene:DRNTG_31176 transcript:DRNTG_31176.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIFGGMKVGVKVVRLIEIKSNLDVIRMASSVGQSRITSVYVKIVKMDGPYGENTLGNLASISHYMEAAVVDVEDNLDEVEKGLIDEELEDPEEHVDLEGLQEDEFQAFGDEYLEVPKEHVDPEESDIHDSEYILNSERGEGEEREEGDFATNQESDAM >DRNTG_04335.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31173193:31180603:1 gene:DRNTG_04335 transcript:DRNTG_04335.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAVHGDTVEDENSAIGIHLRSHAHLTNCIHMHCHSPIAGERSLVKDLIALQRSRSLRDPSTHPLSRSSPPTVGTSNKKHRKIGGVESERVATSKVAAAEALSEYQNDRIGVKDAESSIGVEASRKKYKSHRGLLPQKVDTRRRKIRHTNTGEQNGQAAHGTRKFSHIYHHRLHNGKENPYDVAETSGLGHYDEPDFRTKSKFRRGKSVQSSINSRGAKGRIDMLSASNSMARASKHQEVCVGHEGGEITEGEVSLNPRNGCGIPLNWSRIHSKGKTFLDIAGRSLSCGLSDSKLRKAKDTVPQVQRRKTNAPLMFPSSSSSVNSDSDSLPLLIEPSESHESAGSSFLTGGSSAEQISKQEQDSEFASEARSGSPRVCRRCHSGNHQSFTQKYAPKTFKDVVGQNLVVQALSNAILRRKVGFMYIFYGPHGTGKTSCAHVFAKALICRSMEHPKPCDSCSSCISYNLGKTKNVLEVRPIGGLDFKSIMDALYNSAYISASHYKVLIIDDSDTLLPDSWCTISRVIARAPQSAVFIFVCSELDHLPHSIVSQCQKFFFPKLKESSIIPALQFISNSEGLEIDTDALKLIASRADGSLRDAVMTLDQLSLLGQRVSLPVVQELVGLVSDDKLVDLLDLALSADTSNTIKSVRDAVESGVDPLELMSQLAATVTDILAGGSVFNPERPQRKFFCCPTSSKEDMAKLRQALKILSEAEKQMRASNDKITWLTAALLQLAPNQQYMLHDSVLNKSADHKATISHEQRMPVVSNSKLGGLAGCQGTQTISNKNNISGLASKAHNDNGKLWWSVVENLQREKLKQFMHEEGKLVSISSDTPVPIVKLAFSSQAKKLKAEKYRGEIMRAFEAVIYSAIILEICCELKNIDRDIQGPSTLSFSGYGSARIKLKQKTATNQRLSLSDHHDIGRNEITEIVPSPEDHEDTDQRNNATMLQDKGWQSVLGEATSSQLHRREIGKPHQTRSLVRGKVSLAQIIQQSKGSIRQNGWSRYKPISIAEKLELENLSLEPRTRSICCWRSSKTTRGKIPRLRMRRKSNSFLKVALFKRCLCARSPRTGRKENAGFEASFEL >DRNTG_04335.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31173193:31180603:1 gene:DRNTG_04335 transcript:DRNTG_04335.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAVHGDTVEDENSAIGIHLRSHAHLTNCIHMHCHSPIAGERSLVKDLIALQRSRSLRDPSTHPLSRSSPPTVGTSNKKHRKIGGVESERVATSKVAAAEALSEYQNDRIGVKDAESSIGVEASRKKYKSHRGLLPQKVDTRRRKIRHTNTGEQNGQAAHGTRKFSHIYHHRLHNGKENPYDVAETSGLGHYDEPDFRTKSKFRRGKSVQSSINSRGAKGRIDMLSASNSMARASKHQEVCVGHEGGEITEGEVSLNPRNGCGIPLNWSRIHSKGKTFLDIAGRSLSCGLSDSKLRKAKDTVPQVQRRKTNAPLMFPSSSSSVNSDSDSLPLLIEPSESHESAGSSFLTGGSSAEQISKQEQDSEFASEARSGSPRVCRRCHSGNHQSFTQKYAPKTFKDVVGQNLVVQALSNAILRRKVGFMYIFYGPHGTGKTSCAHVFAKALICRSMEHPKPCDSCSSCISYNLGKTKNVLEVRPIGGLDFKSIMDALYNSAYISASHYKVLIIDDSDTLLPDSWCTISRVIARAPQSAVFIFVCSELDHLPHSIVSQCQKFFFPKLKESSIIPALQFISNSEGLEIDTDALKLIASRADGSLRDAVMTLDQLSLLGQRVSLPVVQELVGLVSDDKLVDLLDLALSADTSNTIKSVRDAVESGVDPLELMSQLAATVTDILAGGSVFNPERPQRKFFCCPTSSKEDMAKLRQALKILSEAEKQMRASNDKITWLTAALLQLAPNQQYMLHDSVLNKSADHKATISHEQRMPVVSNSKLGGLAGCQGTQTISNKNNISGLASKAHNDNGKLWWSVVENLQREKLKQFMHEEGKLVSISSDTPVPIVKLAFSSQAKKLKAEKYRGEIMRAFEAVIYSAIILEICCELKNIDRDIQGPSTLSFSGYGSARIKLKQKTATNQRLSLSDHHDIGRNEITEIVPSPEDHEDTDQRNNATMLQDKGWQSVLGEATSSQLHRREIGKPHQTRSLVRGKVSLAQIIQQSKGSIRQNGWSRYKPISIAEKLELENLSLEPRTRSICCWRSSKTTRGKIPRLRMRRKSNSFLKVALFKRCLCARSPRTGRKENAGFEASFEL >DRNTG_04335.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31173193:31180603:1 gene:DRNTG_04335 transcript:DRNTG_04335.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAVHGDTVEDENSAIGIHLRSHAHLTNCIHMHCHSPIAGERSLVKDLIALQRSRSLRDPSTHPLSRSSPPTVGTSNKKHRKIGGVESERVATSKVAAAEALSEYQNDRIGVKDAESSIGVEASRKKYKSHRGLLPQKVDTRRRKIRHTNTGEQNGQAAHGTRKFSHIYHHRLHNGKENPYDVAETSGLGHYDEPDFRTKSKFRRGKSVQSSINSRGAKGRIDMLSASNSMARASKHQEVCVGHEGGEITEGEVSLNPRNGCGIPLNWSRIHSKGKTFLDIAGRSLSCGLSDSKLRKAKDTVPQVQRRKTNAPLMFPSSSSSVNSDSDSLPLLIEPSESHESAGSSFLTGGSSAEQISKQEQDSEFASEARSGSPRVCRRCHSGNHQSFTQKYAPKTFKDVVGQNLVVQALSNAILRRKVGFMYIFYGPHGTGKTSCAHVFAKALICRSMEHPKPCDSCSSCISYNLGKTKNVLEVRPIGGLDFKSIMDALYNSAYISASHYKVLIIDDSDTLLPDSWCTISRVIARAPQSAVFIFVCSELDHLPHSIVSQCQKFFFPKLKESSIIPALQFISNSEGLEIDTDALKLIASRADGSLRDAVMTLDQLSLLGQRVSLPVVQELVGLVSDDKLVDLLDLALSADTSNTIKSVRDAVESGVDPLELMSQLAATVTDILAGGSVFNPERPQRKFFCCPTSSKEDMAKLRQALKILSEAEKQMRASNDKITWLTAALLQLAPNQQYMLHDSVLNKSADHKATISHEQRMPVVSNSKLGGLAGCQGTQTISNKNNISGLASKAHNDNGKLWWSVVENLQREKLKQFMHEEGKLVSISSDTPVPIVKLAFSSQAKKLKAEKYRGEIMRAFEAVIYSAIILEICCELKNIDRDIQGPSTLSFSGYGSARIKLKQKTATNQRLSLSDHHDIGRNEITEIVPSPEDHEDTDQRNNATMLQDKGWQSVLGEATSSQLHRREIGKPHQTRSLVRGKVSLAQIIQQSKGSIRQNGWSRYKPISIAEKLELENLSLEPRTRSICCWRSSKTTRGKIPRLRMRRKSNSFLKVALFKRCLCARSPRSKRERRL >DRNTG_04335.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31173193:31180603:1 gene:DRNTG_04335 transcript:DRNTG_04335.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAVHGDTVEDENSAIGIHLRSHAHLTNCIHMHCHSPIAGERSLVKDLIALQRSRSLRDPSTHPLSRSSPPTVGTSNKKHRKIGGVESERVATSKVAAAEALSEYQNDRIGVKDAESSIGVEASRKKYKSHRGLLPQKVDTRRRKIRHTNTGEQNGQAAHGTRKFSHIYHHRLHNGKENPYDVAETSGLGHYDEPDFRTKSKFRRGKSVQSSINSRGAKGRIDMLSASNSMARASKHQEVCVGHEGGEITEGEVSLNPRNGCGIPLNWSRIHSKGKTFLDIAGRSLSCGLSDSKLRKAKDTVPQVQRRKTNAPLMFPSSSSSVNSDSDSLPLLIEPSESHESAGSSFLTGGSSAEQISKQEQDSEFASEARSGSPRVCRRCHSGNHQSFTQKYAPKTFKDVVGQNLVVQALSNAILRRKVGFMYIFYGPHGTGKTSCAHVFAKALICRSMEHPKPCDSCSSCISYNLGKTKNVLEVRPIGGLDFKSIMDALYNSAYISASHYKVLIIDDSDTLLPDSWCTISRVIARAPQSAVFIFVCSELDHLPHSIVSQCQKFFFPKLKESSIIPALQFISNSEGLEIDTDALKLIASRADGSLRDAVMTLDQLSLLGQRVSLPVVQELVGLVSDDKLVDLLDLALSADTSNTIKSVRDAVESGVDPLELMSQLAATVTDILAGGSVFNPERPQRKFFCCPTSSKEDMAKLRQALKILSEAEKQMRASNDKITWLTAALLQLAPNQQYMLHDSVLNKSADHKATISHEQRMPVVSNSKLGGLAGCQGTQTISNKNNISGLASKAHNDNGKLWWSVVENLQREKLKQFMHEEGKLVSISSDTPVPIVKLAFSSQAKKLKAEKYRGEIMRAFEAVIYSAIILEICCELKNIDRDIQGPSTLSFSGYGSARIKLKQKTATNQRLSLSDHHDIGRNEITEIVPSPEDHEDTDQRNNATMLQDKGWQSVLGEATSSQLHRREIGKPHQTRSLVRGKVSLAQIIQQSKGSIRQNGWSRYKPISIAEKLELENLSLEPRTRSICCWRSSKTTRGKIPRLRMRRKSNSFLKVALFKRCLCARSPRSKRERRL >DRNTG_11328.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:8582525:8585076:1 gene:DRNTG_11328 transcript:DRNTG_11328.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSAEAKAYQDEINAILGERLSAEDEEDVLAEFENLETEITLQTLPAVPAIVAQEANTGIERYDQSEKPEANALEEAEVIEEECLELPDVPIKAPVVGHTKVLEEPLPA >DRNTG_11328.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:8581795:8582104:1 gene:DRNTG_11328 transcript:DRNTG_11328.3 gene_biotype:protein_coding transcript_biotype:protein_coding IRFRFGLGLGLGFPILGGDGEHIREEAQDHRCRSRHPLPEDPASQARPIPAAGTSRFHRV >DRNTG_11328.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:8581795:8585076:1 gene:DRNTG_11328 transcript:DRNTG_11328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIFVKKPKITDVDRAILSLKTQRRKLGQYQQQLEIVIEAEKQAAKDLIREKRKDRALLALKKKKAQEELLKQVDAWLINVEQQLADIELTSKQKAVYESLKAGNNAIKAIQSEINLDDVHKLMEDSAEAKAYQDEINAILGERLSAEDEEDVLAEFENLETEITLQTLPAVPAIVAQEANTGIERYDQSEKPEANALEEAEVIEEECLELPDVPIKAPVVGHTKVLEEPLPA >DRNTG_07433.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7047533:7049859:1 gene:DRNTG_07433 transcript:DRNTG_07433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVTDSCMVVPNEETPKHRIWLSNLDVLEPRDHEPTFYLYKPNGDPNFFSVDTLKKALSKVLVTFYPLAGRLVLDGDGRPEVDCNAEGVLFSIARAACTVDGFGDFRPSPVLRQLLVPSVTSPERSSILMLLQLTYFECGGVCLGCAMHHSVTDGVSALHFIKAWSEIARCGSSITSVPPFLDRTVLRARSPPTVLFDHIEYTCDQLYCKHVSLDEIGQTCETAILTISKDQLNMLKHGLNGDRNLSTFKAVGVHLWRTACKARELDDEQDTRLYLVADARARLKPVLPMGYLGNAILITSSHLRVGDLVSKPFEYGVAEIEETVNSLDDEHIRSLVDLLEIRMSDKEKVLGSRAIKDVDFLLVSWLALPIYEVDFGWGKPWFMRRACMSYVGQAYVMRGGVENSGGVSVSVAFEREKMVRFKEIFYKDLDSYV >DRNTG_17394.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000893.1:97778:99600:1 gene:DRNTG_17394 transcript:DRNTG_17394.1 gene_biotype:protein_coding transcript_biotype:protein_coding TRGEKVGHSTTCPAQPSPTWQSEKEEKRVVSNRCYPVSDVSIDITG >DRNTG_08668.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4751449:4752907:-1 gene:DRNTG_08668 transcript:DRNTG_08668.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRINTDFRGPPSQQLGTMFCFSLSTLVFAHREKVENILSKLVLIIWLFVVLILTSSYTASLTSIITVEQLQPAVTDVQKLLHDGDHVGCDNVSFIKDLLKQLHFDKSKITNVGPEHYVDALTKGDQNGGVSAIINEIPYIKVFLAQHCKQFTMVGPLYQTAGFGFAFPKGSPLVGDISKEILNIAEGGEKEKIERMWFGDLNACSIDTNTVNSENLTFQSFSGLFIITGAASTCALAIFLALFLSKNWQELKNLDSDKSAWQRLMSWWKYYNKKDLNSHTFRRNKINDLENDSVSANNGNGEKQEECQTENQRASSFSDAKSDEEELEEISCSRFASPVPDASFVSIVVSQ >DRNTG_06322.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6933055:6935096:-1 gene:DRNTG_06322 transcript:DRNTG_06322.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLLGDGIFAVDGVKWQHQRKVASIDFSRRILRDYSTQTFRDNVKKLAVLIWEAAITNTRIDIQVTSSLADVVSCIAKSNIN >DRNTG_13733.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5494095:5499189:-1 gene:DRNTG_13733 transcript:DRNTG_13733.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTRKAVESFVSLLEANTILFMTLFFFWLSCNNTSYAFNNHLYATHASQKFIHAISPTPASTMSAADICSKPPNTTLSPYLVTEKKSHSREAAKRSVNDDLDAQYWRYDVSKKRQRQGQGGVDREKLCFNFTSRGSCARGENCNFRHDDDARVQSQRNVCFDFLNLGKCERGPDCKFNHEFMEEGDTKGKHGSRNTGTSRRSLGKRCWFCLSSPDVESHLILSVGESWYLALAKGPLIDHHVLLVPIEHHPHTLTMSPDAEKELGIFKNAVNMYFKKQGKAVIFFEYLLQNISHANLQAIPIPLSKVSNTQLIFNLASKKLGFEFAIISAQGDRNEGRKLLRSQFEGKSSVFYVELPDGTILWHSVDEKDKFPVQFGREVLAGLLNVPDRADWRNCKMSKEDEIKMAETFKMGFEEFDPAR >DRNTG_13733.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5494095:5499189:-1 gene:DRNTG_13733 transcript:DRNTG_13733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGCDPVVAELVAEIKPRYHIAGTKGVFYDREPYSNIDAAHVTRFIGLAAVGNREKQKFIHAISPTPASTMSAADICSKPPNTTLSPYLVTEKKSHSREAAKRSVNDDLDAQYWRYDVSKKRQRQGQGGVDREKLCFNFTSRGSCARGENCNFRHDDDARVQSQRNVCFDFLNLGKCERGPDCKFNHEFMEEGDTKGKHGSRNTGTSRRSLGKRCWFCLSSPDVESHLILSVGESWYLALAKGPLIDHHVLLVPIEHHPHTLTMSPDAEKELGIFKNAVNMYFKKQGKAVIFFEYLLQNISHANLQAIPIPLSKVSNTQLIFNLASKKLGFEFAIISAQGDRNEGRKLLRSQFEGKSSVFYVELPDGTILWHSVDEKDKFPVQFGREVLAGLLNVPDRADWRNCKMSKEDEIKMAETFKMGFEEFDPAR >DRNTG_22410.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22672976:22676994:-1 gene:DRNTG_22410 transcript:DRNTG_22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding DPSSGARPQNLILKARYRTCVSVLTVVEDLASAIDILFILTSQSKIQP >DRNTG_13255.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13652744:13659560:1 gene:DRNTG_13255 transcript:DRNTG_13255.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDFDPKLYENVAINDADVRNIVLSYLVHNCFKETAEAFISCTGMNQPANYLVDMDLRKSIFIFAMEGNALKAMELTEHLTPNLLEEENDLRFDLLSLHFVDLLSSRKFTEALEFAQTKLAPFGKSPKYMQKLEDFMALLAYDEPDCSPMFHLMRSDYRQTIADNLNQAILARVNLPSYSSMETLIQQTTVVTQCLHQELGKDGPPPFSLNRFLKR >DRNTG_13255.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13652744:13660016:1 gene:DRNTG_13255 transcript:DRNTG_13255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDFDPKLYENVAINDADVRNIVLSYLVHNCFKETAEAFISCTGMNQPANYLVDMDLRKSIFIFAMEGNALKAMELTEHLTPNLLEEENDLRFDLLSLHFVDLLSSRKFTEALEFAQTKLAPFGKSPKYMQKLEDFMALLAYDEPDCSPMFHLMRSDYRQTIADNLNQAILARVNLPSYSSMETLIQQTTVVTQCLHQELGKWRNQRVVGRGNTGRALVSDHYQSLVAEN >DRNTG_13255.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13652744:13659560:1 gene:DRNTG_13255 transcript:DRNTG_13255.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMDFDPKLYENVAINDADVRNIVLSYLVHNCFKETAEAFISCTGMNQPANYLVDMDLRKSIFIFAMEGNALKAMELTEHLTPNLLEEENDLRFDLLSLHFVDLLSSRKFTEALEFAQTKLAPFGKSPKYMQKLEDFMALLAYDEPDCSPMFHLMRSDYRQTIADNLNQAILARVNLPSYSSMETLIQQTTVVTQCLHQELGKVLEEVDERCCA >DRNTG_04764.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3760698:3762594:1 gene:DRNTG_04764 transcript:DRNTG_04764.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSFILAICKKTMIETENGELACYDHGQGIPKLTLRLRMIMKDETADMEITAFDKQAELMTNLNVDFLQAIEEISQTIVPEKILAVVKKKFTFTVGLPPKAIKDDVLTYRIYRIKPIQLEGQSSTQTQKVQLAFTQSSMDDKSEITETKPQNDTDDTLESFLQVGLPQNLALVESPAKKQRRGKEKIE >DRNTG_04764.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3760183:3761118:1 gene:DRNTG_04764 transcript:DRNTG_04764.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQANSDKYLIDAIGTLMSIGPIQNVQLTKYEKIVEKRDVILKEASGDELKITVWESTFPQLNANKLLQIRPNPVLLFVGTIIKTFQGKPKENN >DRNTG_04764.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3760183:3762594:1 gene:DRNTG_04764 transcript:DRNTG_04764.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQANSDKYLIDAIGTLMSIGPIQNVQLTKYEKIVEKRDVILKEASGDELKITVWESTFPQLNANKLLQIRPNPVLLFVGTIIKTFQGIIYLASTRLQRSISIWIFLRRKQYNNNKSLENNQCNY >DRNTG_04764.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3760183:3762594:1 gene:DRNTG_04764 transcript:DRNTG_04764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQANSDKYLIDAIGTLMSIGPIQNVQLTKYEKIVEKRDVILKEASGDELKITVWESTFPQLNANKLLQIRPNPVLLFVGTIIKTFQGIIYLASTRLQRSISIWIFLRRKQYNNNKSLENNQCNY >DRNTG_04764.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3760183:3762594:1 gene:DRNTG_04764 transcript:DRNTG_04764.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQANSDKYLIDAIGTLMSIGPIQNVQLTKYEKIVEKRDVILKEASGDELKITVWESTFPQLNANKLLQIRPNPVLLFVGTIIKTFQGIIYLASTRLQRSISIWIFLRRKQYNNNKSLENNQCNY >DRNTG_07098.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1999576:2001881:1 gene:DRNTG_07098 transcript:DRNTG_07098.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYADDLILITHASRRVARNIQLCLSFYSRISGQRPNLVKSQVYFSSWFNKHVLKRICSILNLNQACFPFKYLGVLISPKRIASQTFWPMINNVKRLTNIWAKFHLTPAAKEIAKFHLTPAAKEILINSVLVSVPVYTLSVYPIPDLILSEISKAVRKFFWNRNGNGTGIHNVGWNILTKGKSEGGLGIRNLAMAKHSLMSKHIFKYLNNHDTVWVEIL >DRNTG_07098.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1995463:2001801:1 gene:DRNTG_07098 transcript:DRNTG_07098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKLDIEKAYDTLNWSAILAILSKMNFPSGWISWISSCRQSCSFSLIINGSPTTWFLSSRGVRQGDPISSYLFILVFQTLTTLLNSNLQNNLIPGFNSNLRHNFNHLMYADDLILITHASRRVARNIQLCLSFYSRISGQRPNLVKSQVYFSSWFNKHVLKRICSILNLNQACFPFKYLGVLISPKRIASQTFWPMINNVKRLTNIWAKFHLTPAAKEIAKFHLTPAAKEILINSVLVSVPVYTLSVYPIPDLILSEISKAVRKFFWNRNGNGTGIHNVGWNILTKGKSEGGLGIRNLAMAKHSLMSKHIFKYLNNHDTVWVEIL >DRNTG_07098.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1995463:2001801:1 gene:DRNTG_07098 transcript:DRNTG_07098.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKLDIEKAYDTLNWSAILAILSKMNFPSGWISWISSCRQSCSFSLIINGSPTTWFLSSRGVRQGDPISSYLFILVFQTLTTLLNSNLQNNLIPGFNSNLRHNFNHLMYADDLILITHASRRVARNIQLCLSFYSRISGQRPNLVKSQVYFSSWFNKHVLKRICSILNLNQACFPFKYLGVLISPKRIASQTFWPMINNVKRLTNIWAKFHLTPAAKEIAKFHLTPAAKEILINSVLVSVPVYTLSVYPIPDLILSEISKAVRKFFWNRNGNGTGIHNVGWNILTKGKSEGGLGIRNLAMAKHSLMSKHIFKYLNNHDTVWVEIL >DRNTG_07098.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1995463:1996924:1 gene:DRNTG_07098 transcript:DRNTG_07098.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKKIKPNLTTFTHWLSGLYKDQRFDDVPKVLQLMKKNDSHPGVLSIYNVRIHGLCKLGKAAEAKELFKQMIRNGMKPNWVTFGHMIYGFAKEGDLEESKKLYKEMISQGCTPDSSCYFTLMHYLSLGEDFDAALDMCKESMKRDWFP >DRNTG_05976.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000273.1:29449:31397:1 gene:DRNTG_05976 transcript:DRNTG_05976.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRLAARNAIEAGFDGIEIHGAHGYLLEQFMKESTNDRTDEYGGSLENRCRFAIEVIEAIVNEIGADRVGIKLSPFAHSMETWDSNPEALALYMVNALNKFGILYCHMAEPRMEIIDAKQQIPRGLLNMRKAFKGTFIAAGEYDQDDGNKAIAEGYTDLVAYGRLFLANPDLPKRFELNAPLNNYNFFTFCTQDPVVGYTDYPFLDESSA >DRNTG_05059.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3606414:3607789:-1 gene:DRNTG_05059 transcript:DRNTG_05059.1 gene_biotype:protein_coding transcript_biotype:protein_coding HDIHPIYGLFFYKKNLDRCGGPKNKQPTSTTLSRIISLNSSELIPNRQNRDRLATPP >DRNTG_34331.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002128.1:118630:124579:-1 gene:DRNTG_34331 transcript:DRNTG_34331.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like modifier-activating enzyme atg7 [Source:Projected from Arabidopsis thaliana (AT5G45900) UniProtKB/Swiss-Prot;Acc:Q94CD5] MAKLKLNRSINSDSQATLRPLKDWESCQGDQNKLLFGFYDPCHLKTNPGWPLRNFLTFICLRWKLQKVRFFCYRERLGLANLEVSLIGDALISIQKDFTEPQYIPKVVGWERIGNRLALRCISLAESMDPQRLAASAADLNLKLMRWRAVPSLNLDVLKTAKCLLLGVGTLGCQVARTLLGWGVRKLTLVDSGRVSMSNPVRQSLYTFDDCLNGGRLKAEAAARNLNAIFPAMETEGIVMAIPMPGHPIPAQETASVLEDCKHLQALIASHDVVFLLTDTRESRWLPTLFCSHENKILINAALGFDSYLVMRHGAGPNVSTPDNNLECRDDRTAAALMNELSLKDVGAKQRLGCYFCSDVVAPMDSTSNRTLDQQCTVTRPGLAPIAASLSVELMANILNHPRRIFAPGEIASSITGNSAQPLGLVPHQIRGTISLFSQMTLLGYSSSSCTACSDTVLSEFRSRGMDFVLQAINHPTYLEDLTGLTELKQAAGLFELDWDDETDDDAEIQ >DRNTG_34331.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002128.1:118630:124579:-1 gene:DRNTG_34331 transcript:DRNTG_34331.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like modifier-activating enzyme atg7 [Source:Projected from Arabidopsis thaliana (AT5G45900) UniProtKB/Swiss-Prot;Acc:Q94CD5] MASNPSPSSPSLNSGSVLQFDRFQSLVDVGFWHRLSSLKLETLGIDQSPITLVGFFAPHSRAQVSNHLTLLSESLPTAPIEHASSIETNLGNRNRCPVPGILYNTNTLEEFKELDRDNLLQIEANKILEDIRLGKVEEDSTLLLRFLVISYADLKTWSFRYLFAFPALVIDPPATLMSLQPATQILTAEEAESLSAACNEWRSSSLTAGIPFFIVSINSDSQATLRPLKDWESCQGDQNKLLFGFYDPCHLKTNPGWPLRNFLTFICLRWKLQKVRFFCYRERLGLANLEVSLIGDALISIQKDFTEPQYIPKVVGWERIGNRLALRCISLAESMDPQRLAASAADLNLKLMRWRAVPSLNLDVLKTAKCLLLGVGTLGCQVARTLLGWGVRKLTLVDSGRVSMSNPVRQSLYTFDDCLNGGRLKAEAAARNLNAIFPAMETEGIVMAIPMPGHPIPAQETASVLEDCKHLQALIASHDVVFLLTDTRESRWLPTLFCSHENKILINAALGFDSYLVMRHGAGPNVSTPDNNLECRDDRTAAALMNELSLKDVGAKQRLGCYFCSDVVAPMDSTSNRTLDQQCTVTRPGLAPIAASLSVELMANILNHPRRIFAPGEIASSITGNSAQPLGLVPHQIRGTISLFSQMTLLGYSSSSCTACSDTVLSEFRSRGMDFVLQAINHPTYLEDLTGLTELKQAAGLFELDWDDETDDDAEIQ >DRNTG_34331.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002128.1:118630:124579:-1 gene:DRNTG_34331 transcript:DRNTG_34331.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like modifier-activating enzyme atg7 [Source:Projected from Arabidopsis thaliana (AT5G45900) UniProtKB/Swiss-Prot;Acc:Q94CD5] MASNPSPSSPSLNSGSVLQFDRFQSLVDVGFWHRLSSLKLETLGIDQSPITLVGFFAPHSRAQVSNHLTLLSESLPTAPIEHASSIETNLGNRNRCPVPGILYNTNTLEEFKELDRDNLLQIEANKILEDIRLGKVEEDSTLLLRFLVISYADLKTWSFRYLFAFPALVIDPPATLMSLQPATQILTAEEAESLSAACNEWRSSSLTAGIPFFIVSINSDSQATLRPLKDWESCQGDQNKLLFGFYDPCHLKTNPGWPLRNFLTFICLRWKLQKVRFFCYRERLGLANLEVSLIGDALISIQKDFTEPQYIPKVVGWERIGNRLALRCISLAESMDPQRLAASAADLNLKLMRWRAVPSLNLDVLKTAKCLLLGVGTLGCQVARTLLGWGVRKLTLVDSGRVSMSNPVRQSLYTFDDCLNGGRLKAEAAARNLNAIFPAMETEGIVMAIPMPGHPIPAQETASVLEDCKHLQALIASHDVVFLLTDTRESRWLPTLFCSHENKILINAALGFDSYLVMRHGAGPNVSTPDNNLECRDDRTAAALMNELSLKDVGAKQRLGCYFCSDVVAPMDSTSNRTLDQQCTVTRPGLAPIAASLSVELMANILNHPRRIFAPGEIASSITGNSAQPLGLVPHQIRGTISLFSQMTLLGYSSSSCTACSDTVLSEFRSRGMDFVLQAINHPTYLEDLTGLTELKQAAGLFELDWDDETDDDAEIQ >DRNTG_29818.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23257309:23259428:-1 gene:DRNTG_29818 transcript:DRNTG_29818.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMLFYLLLFSFSLLFLILFNLRSRINKNKYYSGGARLPPGPWRLPIVGSMHHLIGSLPHRRLTELAKRHGPLMYLKLGEVSTIVVSSREVASEMMKTHDLCFSDRPISPSVEILSYSGKDLVFSRYGEYWRQLRKLSVVELLSQKRVQSFRSIREEEVYKLIQSIHSHSSSSLVNISEKLYLLTNDVTTRAMIGNRCKDQKIFLNTLDEAIEASAGFNLADLYPSLNWLTSITGMTRRLKRNQCKLHELFLSIVRDHMENKKEDEEEDLLDVLLRIRDDGSLDIPISIDGIKGVIFNLFGAGSETSSNTMEWTMSELMKNPEVMNKVQAEVREHLKGKTKVTEEDISGLQYLKLVIKETLRMHAPVPLLIPRECRENCELMGYQVPAGTNVLVNAWSIGRNPEYWDEPLVFKPERFEGSSISFNGSCFEFIPFGAGRRMCPGISFGVANMELALALLLYHFDWKLPSGVEPQDLDMTECFGITARRKSHLLLWAIPRIPCPSTN >DRNTG_23197.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5618916:5628636:-1 gene:DRNTG_23197 transcript:DRNTG_23197.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRIDNLVDTEELKRFYLQYSFPPSCVGEVGRMGAPSRREIGHGMLAERALEPILPSDGDFPYTIRVESTITESNGSSSMASVCGGCLALLDAGVPVKRSVAGIAMGMVLDTKEFGGDGTPLILSDISGSEDASGDMDLKIAGDENGITAFQMDIKIGGITLPVMQQALLQARDGRRHVLHEMSKCSPPPSKKLSMYAPLIHVMKVKPEKVNAIIGSGGKKVKSIIEETGVDSIETQDDGIVKITAKDLSSIEKSKAIIANLTMVPTVGDIYRNCEIKSIATYGVFVEIAPGREGLCHISELSSDFVAKADDVFKVGDRLDVKLIEINEKGHLRLSRRALLPDSEKTSPNQQDSNSTEESTPSGDVPAEQDPKNKAPSSRRKVSCSTS >DRNTG_23197.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5618916:5621642:-1 gene:DRNTG_23197 transcript:DRNTG_23197.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQSRILRTRPHPLEEKFPAAQADKNKEKASKRADSSARTGPYINKDKEKKSSSKAVPEVVVSKDGAQMVNGEAKIG >DRNTG_23197.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5618916:5649090:-1 gene:DRNTG_23197 transcript:DRNTG_23197.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKESELDLIIAGTDSAILMIEGYCNFLTEERLLQAVEVGQAAVRGICKEVEQLVRMCGKPKMTEAIKLPPAELYRHVEDISGDELVRALQIRRKIPRRKALSALEDKVLAVLTEIGYVAKGEVQGTADVLVDLLEDEDEDEVVVVDGEVDEGDVHIKPVSRKSTPLLFSEVDVKLVFKEVTSKFLRKRIVEGGKRSDGRTPLEIRPINAQCGLLPRSHGSALFTRGETQSLAVVTLGDKQMAQRIDNLVDTEELKRFYLQYSFPPSCVGEVGRMGAPSRREIGHGMLAERALEPILPSDGDFPYTIRVESTITESNGSSSMASVCGGCLALLDAGVPVKRSVAGIAMGMVLDTKEFGGDGTPLILSDISGSEDASGDMDLKIAGDENGITAFQMDIKIGGITLPVMQQALLQARDGRRHVLHEMSKCSPPPSKKLSMYAPLIHVMKVKPEKVNAIIGSGGKKVKSIIEETGVDSIETQDDGIVKITAKDLSSIEKSKAIIANLTMVPTVGDIYRNCEIKSIATYGVFVEIAPGREGLCHISELSSDFVAKADDVFKVGDRLDVKLIEINEKGHLRLSRRALLPDSEKTSPNQQDSNSTEESTPSGDVPAEQDPKNKAPSSRRKVSCSTS >DRNTG_23197.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5618916:5619915:-1 gene:DRNTG_23197 transcript:DRNTG_23197.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQSRILRTRPHPLEEKFPAAQADKNKEKASKRADSSARTGPYINKDKEKKSSSKAVPEVVVSKDGAQMVNGEAKIG >DRNTG_23197.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5618916:5649090:-1 gene:DRNTG_23197 transcript:DRNTG_23197.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKESELDLIIAGTDSAILMIEGYCNFLTEERLLQAVEVGQAAVRGICKEVEQLVRMCGKPKMTEAIKLPPAELYRHVEDISGDELVRALQIRRKIPRRKALSALEDKVLAVLTEIGYVAKGEVQGTADVLVDLLEDEDEDEVVVVDGEVDEGDVHIKPVSRKSTPLLFSEVDVKLVFKEVTSKFLRKRIVEGGKRSDGRTPLEIRPINAQCGLLPRSHGSALFTRGETQSLAVVTLGDKQMAQRIDNLVDTEELKRFYLQYSFPPSCVGEVGRMGAPSRREIGHGMLAERALEPILPSDGDFPYTIRVESTITESNGSSSMASVCGGCLALLDAGVPVKRSVAGIAMGMVLDTKEFGGDGTPLILSDISGSEDASGDMDLKIAGDENGITAFQMDIKIGGITLPVMQQALLQARDGRRHVLHEMSKCSPPPSKKLSMYAPLIHVMKVKPEKVNAIIGSGGKKVKSIIEETGVDSIETQDDGIVKITAKDLSSIEKSKAIIANLTMVPTVGDIYRNCEIKSIATYGVFVEIAPGREGLCHISELSSDFVAKADDVFKVGDRLDVKLIEINEKGHLRLSRRALLPDSEKTSPNQQDSNSTEESTPSGDVPAEQDPKNKAPSSRRKVSCSTS >DRNTG_23197.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5618916:5649090:-1 gene:DRNTG_23197 transcript:DRNTG_23197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANPSVHGRPPFSPSFSLSYSSCNSWLFPLARVPRLQPFSSLGVLRMRRRVSTIRAYMASESPAVCGDDDERFAPQAISVKIPIGDRHILVETGHIGRQASASVTVTDGETIVYSSVCLADVPSEPSDFFPLSVNYQERLSAAGRTSGGFFKREGRAKDHEVLICRLIDRPLRPTMPKGFYYETQLLSWVFSYDGLHSPDCLAVTAAGIAVALSEVPNTKIIAGVRVGIVGDRFIVNPTTEEMKESELDLIIAGTDSAILMIEGYCNFLTEERLLQAVEVGQAAVRGICKEVEQLVRMCGKPKMTEAIKLPPAELYRHVEDISGDELVRALQIRRKIPRRKALSALEDKVLAVLTEIGYVAKGEVQGTADVLVDLLEDEDEDEVVVVDGEVDEGDVHIKPVSRKSTPLLFSEVDVKLVFKEVTSKFLRKRIVEGGKRSDGRTPLEIRPINAQCGLLPRSHGSALFTRGETQSLAVVTLGDKQMAQRIDNLVDTEELKRFYLQYSFPPSCVGEVGRMGAPSRREIGHGMLAERALEPILPSDGDFPYTIRVESTITESNGSSSMASVCGGCLALLDAGVPVKRSVAGIAMGMVLDTKEFGGDGTPLILSDISGSEDASGDMDLKIAGDENGITAFQMDIKIGGITLPVMQQALLQARDGRRHVLHEMSKCSPPPSKKLSMYAPLIHVMKVKPEKVNAIIGSGGKKVKSIIEETGVDSIETQDDGIVKITAKDLSSIEKSKAIIANLTMVPTVGDIYRNCEIKSIATYGVFVEIAPGREGLCHISELSSDFVAKADDVFKVGDRLDVKLIEINEKGHLRLSRRALLPDSEKTSPNQQDSNSTEESTPSGDVPAEQDPKNKAPSSRRKVSCSTS >DRNTG_06706.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:24162957:24169635:1 gene:DRNTG_06706 transcript:DRNTG_06706.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMELQLVLSDLLISLLWVWSGSILRYFAYTFLGLGMHTGIGLLKLILAVLYVSFFSWLGKATNGGAYNPLMVLCHAISGSFAGFLFVIFGRIPAQVVGSTIGVGLTKITFPEAYYGPRLNVDIHQGALMEGFLTLLIVILSLGIKKINPENLVLRTWISSLSKVILHFLGSDITGGIMNPATAFGWAYVQGDHMTKEHLLVYWLAPVEGALLGVWVCSLFVDLKKHKEHHQTGYKFKSE >DRNTG_18973.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22787581:22789602:-1 gene:DRNTG_18973 transcript:DRNTG_18973.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMREVISIVTLLSLLVTLATSSEDNEFMYNGFRNTDLHLDGISEISPDGLLKMTNTTKNQQGHAFHQVPLLFKNKDGNPNSFSTTFVFAIVSEYVDLSAHGLALVISPTRSLPGALPLQYLGLFNQSNNGNSSNHVIAIEFDTILNIDFNDIDNNHVGIDVNGLKSIAAAPASYYADDVAGFKNLSLSSGEPIQVWVEYDSLDMKFNVTISPINVMKPRFPLLSSTINISSVIYDTMYVGFSSSSGSVIASHYVLGWSFKVNGQSHALNLSSLPSVPPRNQMNNSTASKTRLIFVVALGAVLFVFVMCIVIGIMVRRRMKFKEVLEDWEIEYRPHRFSYKELYKATRGFRDAELLGVGGFGRVYKGKLPSSQVDIAVKRVSHDTKHAVKEFIAEIVSIGRLRHRNLVQLLGYCRRKGELLLVYEFMPNGSLDKFLFEDTWPTRVNWRQRFQIIKGVASGLFYLHEQWEQVVIHRDIKASNVLLDGEMNGKLGDFGLSRLYDHGSDPRTTHVVGTMGYIAPEFTRTGRAAPCTDVFAFGVFLLEVACGRRPIEQQASPESLNLVDWVRRCWRSGAFLETRDPKLGSDFEMQELELVLKLGLLCSHPVAAARPSMSQVVRFLDGDLPVPELSKHELDNRVSATNLSTLSSFSESKVLEEQQSLLHEDEHVYFAQ >DRNTG_33527.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20599515:20602451:-1 gene:DRNTG_33527 transcript:DRNTG_33527.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALILDALVGILNEPTIGGMVAELAVLAALLWVAVLVGMFVGWVWRPRWAVDLAGGMGTPVLSNSDSLNDSAADESCESCEKEEKSETLAVTIEDLDHLCKLVEVRDGGPEWRQMMDKSLPNMSYQACRRDAESGPPQYRSRTVLEDATPEMVRDFFWDDEWRMKSKWDDMLLYHTTLEECPTTGTMVVHWIRKFPFFCSDREYIIGRRIWESGRTYYCVTKGVPYTSLPKQDKPRRVDLYYSSWCIRAVESKRGDGQLTACEVLLFHHEDMGIPWEIAKLGVKQGMWGMVKKIEPGFRAYQIARRSGDHISRCAFMAQINTKINPDYLRSLEDNSTSSEIVEPEKVKHWGNNVPRFLIIGGAVALACTLDRGLLTKTIIFGAARRFGMLGRRL >DRNTG_33527.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20599515:20602306:-1 gene:DRNTG_33527 transcript:DRNTG_33527.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALILDALVGILNEPTIGGMVAELAVLAALLWVAVLVGMFVGWVWRPRWAVDLAGGMGTPVLSNSDSLNDSAADESCESCEKEEKSETLAVTIEDLDHLCKLVEVRDGGPEWRQMMDKSLPNMSYQACRRDAESGPPQYRSRTVLEDATPEMVRDFFWDDEWRMKSKWDDMLLYHTTLEECPTTGTMVVHWIRKFPFFCSDREYIIGRRIWESGRTYYCVTKGVPYTSLPKQDKPRRVDLYYSSWCIRAVESKRGDGQLTACEVLLFHHEDMGIPWEIAKLGVKQGMWGMVKKIEPGFRAYQIARRSGDHISRCAFMAQINTKINPDYLRSLEDNSTSSEIVEPEKVKHWGNNVPRFLIIGGAVALACTLDRGLLTKTIIFGAARRFGMLGRRL >DRNTG_33527.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20599378:20602387:-1 gene:DRNTG_33527 transcript:DRNTG_33527.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALILDALVGILNEPTIGGMVAELAVLAALLWVAVLVGMFVGWVWRPRWAVDLAGGMGTPVLSNSDSLNDSAADESCESCEKEEKSETLAVTIEDLDHLCKLVEVRDGGPEWRQMMDKSLPNMSYQACRRDAESGPPQYRSRTVLEDATPEMVRDFFWDDEWRMKSKWDDMLLYHTTLEECPTTGTMVVHWIRKFPFFCSDREYIIGRRIWESGRTYYCVTKGVPYTSLPKQDKPRRVDLYYSSWCIRAVESKRGDGQLTACEVLLFHHEDMGIPWEIAKLGVKQGMWGMVKKIEPGFRAYQIARRSGDHISRCAFMAQINTKINPDYLRSLEDNSTSSEIVEPEKVKHWGNNVPRFLIIGGAVALACTLDRGLLTKTIIFGAARRFGMLGRRL >DRNTG_33527.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20599378:20602306:-1 gene:DRNTG_33527 transcript:DRNTG_33527.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALILDALVGILNEPTIGGMVAELAVLAALLWVAVLVGMFVGWVWRPRWAVDLAGGMGTPVLSNSDSLNDSAADESCESCEKEEKSETLAVTIEDLDHLCKLVEVRDGGPEWRQMMDKSLPNMSYQACRRDAESGPPQYRSRTVLEDATPEMVRDFFWDDEWRMKSKWDDMLLYHTTLEECPTTGTMVVHWIRKFPFFCSDREYIIGRRIWESGRTYYCVTKGVPYTSLPKQDKPRRVDLYYSSWCIRAVESKRGDGQLTACEVLLFHHEDMGIPWEIAKLGVKQGMWGMVKKIEPGFRAYQIARRSGDHISRCAFMAQINTKINPDYLRSLEDNSTSSEIVEPEKVKHWGNNVPRFLIIGGAVALACTLDRGLLTKTIIFGAARRFGMLGRRL >DRNTG_33527.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20599237:20602306:-1 gene:DRNTG_33527 transcript:DRNTG_33527.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALILDALVGILNEPTIGGMVAELAVLAALLWVAVLVGMFVGWVWRPRWAVDLAGGMGTPVLSNSDSLNDSAADESCESCEKEEKSETLAVTIEDLDHLCKLVEVRDGGPEWRQMMDKSLPNMSYQACRRDAESGPPQYRSRTVLEDATPEMVRDFFWDDEWRMKSKWDDMLLYHTTLEECPTTGTMVVHWIRKFPFFCSDREYIIGRRIWESGRTYYCVTKGVPYTSLPKQDKPRRVDLYYSSWCIRAVESKRGDGQLTACEVLLFHHEDMGIPWEIAKLGVKQGMWGMVKKIEPGFRAYQIARRSGDHISRCAFMAQINTKINPDYLRSLEDNSTSSEIVEPEKVKHWGNNVPRFLIIGGAVALACTLDRGLLTKTIIFGAARRFGMLGRRL >DRNTG_12255.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24911901:24914709:-1 gene:DRNTG_12255 transcript:DRNTG_12255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDINMVPAGEGSSSAVPSSSAKKAKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >DRNTG_21483.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2812239:2813902:1 gene:DRNTG_21483 transcript:DRNTG_21483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSWCPQEDVLKHEAIGGFLTHGGWNSILESICGGVPMLCWPFFAEQQTNCRHMSCEWGIGMEIDEHVEREEVERLIRELMGGEKGKEMKKKMVELKEIAFRGC >DRNTG_00444.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27315071:27316235:1 gene:DRNTG_00444 transcript:DRNTG_00444.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRNPSPSGTLACGGTDDGDSTGAGASHSGHQLDGCEAVAKAIESTLGVVMREFDSRSKSAERSQDELSLAIDRLTGDKLLEDAPVPLIMRHAAKISSIRKRISAMNLLLKSIQRRMDRIDFMLSSGSLMEKAPGGEDVAPMSSSTT >DRNTG_00444.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27315071:27317462:1 gene:DRNTG_00444 transcript:DRNTG_00444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRNPSPSGTLACGGTDDGDSTGAGASHSGHQLDGCEAVAKAIESTLGVVMREFDSRSKSAERSQDELSLAIDRLTGDKLLEDAPVPLIMRHAAKISSIRKRISAMNLLLKSIQRRMDRIDFMLSSGSLMEKAPGGEDVAPMSSSTT >DRNTG_15371.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26855470:26858641:-1 gene:DRNTG_15371 transcript:DRNTG_15371.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLAAKWCPSLDSCFDRTTLLCESIARRLFPKESNPDYAEIEERHYAYRVRDRLRREVLVPLRKVLELPEIFMSSRQWDALPYNRVASIAMKNYKDTFKKHDKDRFSNFLEDVKKGKAKIAAGALLPHEILAAVCKPKDEDGDDDDDDVAELQWARMVQDVLKLGKLNNCIAVCDVSGSMSGTPMEVCVAMGIPYLRAQ >DRNTG_15371.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26855470:26858641:-1 gene:DRNTG_15371 transcript:DRNTG_15371.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLAAKWCPSLDSCFDRTTLLCESIARRLFPKESNPDYAEIEERHYAYRVRDRLRREVLVPLRKVLELPEIFMSSRQWDALPYNRVASIAMKNYKDTFKKHDKDRFSNFLEDVKKGKAKIAAGALLPHEILAAVCKPKDEDGDDDDDDVAELQWARMVQDVLKLGKLNNCIAVCDVSGSMSGTPMEVCVAMGIPYLRAQ >DRNTG_15901.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6002814:6019521:1 gene:DRNTG_15901 transcript:DRNTG_15901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLPTKKFSSKPHSDPTIREEEDDEDDGEHDHDLDHDHDHDRDHELDQEPKTPPPPPQHSPEPLPPMPESTWDYFFASMHENMAGASLAQHDEIRPEVDEQRFAKPSASNATPSIPHNVASGDGEEPPVTPEKLVLDPQVLKPVRKQKQGVTPHHQHAASASAVEARRGKMVAAPKSSISLLQVLNELDDHFLKASQGAHEVSKMLEANRMHYHSNFADNRGHIDHSARVMKVITWNRSFKGLPNTTDGKDEFDEDEWETHATVLDKMLAWEKKLYDEVKAGELMKIEYQRKVALLNKQKKRGANPEVIEKTKAAVSHLHTRYIVDMQSMDSTVSEIQCLRDEQLFRKLVDLVDGMAKMWEVMHGQHEHQLRIVSDLRDLDISNSPKETSEHHYDRTVQLWQVVKEWQSQFHKLISHQKEYIGFLNDWLRLNLIPIESSLKEKVSSPPRVHQPPIQTLLHTWHDYLEKLPDDLAKSAISSFAAVINTIMLLQQDELKQREKCEEIRKEYVRKTRAFEDWYHKHSQRRAGIPEEVDPENLETANQKDPVAERKFVVESLKTRLDDEIEAHQKLCRQVREKSLGSLKSHLPELFRAMSDFSRACSEMYKRLRPVPQMQNPVAN >DRNTG_15901.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6004134:6019521:1 gene:DRNTG_15901 transcript:DRNTG_15901.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVITWNRSFKGLPNTTDGKDEFDEDEWETHATVLDKMLAWEKKLYDEVKAGELMKIEYQRKVALLNKQKKRGANPEVIEKTKAAVSHLHTRYIVDMQSMDSTVSEIQCLRDEQLFRKLVDLVDGMAKMWEVMHGQHEHQLRIVSDLRDLDISNSPKETSEHHYDRTVQLWQVVKEWQSQFHKLISHQKEYIGFLNDWLRLNLIPIESSLKEKVSSPPRVHQPPIQTLLHTWHDYLEKLPDDLAKSAISSFAAVINTIMLLQQDELKQREKCEEIRKEYVRKTRAFEDWYHKHSQRRAGIPEEVDPENLETANQKDPVAERKFVVESLKTRLDDEIEAHQKLCRQVREKSLGSLKSHLPELFRAMSDFSRACSEMYKRLRPVPQMQNPVAN >DRNTG_30213.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:38709:52262:-1 gene:DRNTG_30213 transcript:DRNTG_30213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSIPPKSFNTISMPRFISSVLVILLLLTVEVRASPFGRKSGKSSVFSLFNLKAKSKFWSESVIRGDFDDLEGSLDPGKTGVYNFTKAGNIANYLNLAEVDSMYLSVPVNFIFIGFEGKGNHEFNLSPEELEKWFTNIDHIFEHTRVPHVGEVLTPFYKISIDKVQHHHLPLISHINYNFSVHAIHMGEKVTEVFEFAIKTLSRKDGISDSRVDEDVFWQVDVDGMEYLFSTLIDYLQLENAYNIFILNPKRNARRSHYGYRRGLSDSEINFLKENKTMQTEILRSQDVAGSTFEIDKGKSSKSLFANRHPSTFAWSTTEEIDTMDWSIKCLDALNKFEKSNEGKDNAEIIHNKVLQIWHGKKNDMQHILKKELKSGELAGLHPECLTDTWIGSERWAFIDLTAGPFSWGPAVGGEGVRTGNSLPNVMKTIGAVAEISEDEAEDKLQDAIRERFSSFGDDHQAIDILLAEIDIYELFAFKHCKGRKVKLALCEELDERMSDLKTELEGYKGEEHDESNKKKAFDALKRMENWNLFSDTIEEFQSYTVARDSFLAHLGSTLWGSMRHIIAPSVADGAYHYYEKISFQLFFVTQEKVIDKKRWPVNIGALTDGLSSLLGPSQKVMFHPQWLSLSEDNALMMAFAVARRAAAVPLLLVNGTYRSTVRAYLDSSILQHQLQRLTDQGSLKGSHFNTRSTLEIPIFWFIHNEPLLVDKHYQARALSDMVIVVQSETPSWESHLQCNGRSLLWDLRNPTKAAIAATAEHLAGLLPLHLVYSHAHETAVEDWTWSVGCNPLSVTSQGWQISHFQSDVIARSYIITALEESIQAVNAAINRLVMERTTARGFKLFKSQERALVEKHNSIISLWRRISSISGSLHYGDALNLLTFLEETTKGYTDLVNSTISALHPLQCTKERKVDVQFDLTTIPAFLVVFAILWFVLRPRRAKPKIN >DRNTG_30213.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:38709:52262:-1 gene:DRNTG_30213 transcript:DRNTG_30213.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSIPPKSFNTISMPRFISSVLVILLLLTVEVRASPFGRKSGKSSVFSLFNLKAKSKFWSESVIRGDFDDLEGSLDPGKTGVYNFTKAGNIANYLNLAEVDSMYLSVPVNFIFIGFEGKGNHEFNLSPEELEKWFTNIDHIFEHTRVPHVGEVLTPFYKISIDKVQHHHLPLISHINYNFSVHAIHMGEKVTEVFEFAIKTLSRKDGISDSRVDEDVFWQVDVDGMEYLFSTLIDYLQLENAYNIFILNPKRNARRSHYGYRRGLSDSEINFLKENKTMQTEILRSQDVAGSTFEIDKGKSSKSLFANRHPSTFAWSTTEEIDTMDWSIKCLDALNKFEKSNEGKDNAEIIHNKVLQIWHGKKNDMQHILKKELKSGELAGLHPECLTDTWIGSERWAFIDLTAGPFSWGPAVGGEGVRTGNSLPNVMKTIGAVAEISEDEAEDKLQDAIRERFSSFGDDHQAIDILLAEIDIYELFAFKHCKGRKVKLALCEELDERMSDLKTELEGYKGEEHDESNKKKAFDALKRMENWNLFSDTIEEFQSYTVARDSFLAHLGSTLWGSMRHIIAPSVADGAYHYYEKISFQLFFVTQEVAFLFFEFICFFFLLWDCLHIVIITESH >DRNTG_22208.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1385266:1388062:-1 gene:DRNTG_22208 transcript:DRNTG_22208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSKRTVRAVMAWVQRQPPKVKAFLAVVMGMAALVLIRFIIHDHDNLFVAAEACHAIGISVLIYKLTKERTCAGLSLKSQELTALFLAVRLYCSFVIEYDIHTLLDSASLVTTLWVIYMIRFKLRSSYMEDKDNIPIYYVVVPCAIFALVVHPSTTLYKLVSRILWAFCVYLESVSVLPQLHLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGVLLTALGHGLWPSMVILSEIVQTFILADFCYYYIKSLVGGQLVLRLPAGVV >DRNTG_08615.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5704603:5707921:1 gene:DRNTG_08615 transcript:DRNTG_08615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRHLFRYTRVESPSTFRRTYCGNMVSTYHTSRLGWEKSMPGWSSMAVTSPAMTVCFGMWIRWLRQIPAALRSWKETVIVLNMHFFFQRVYCGIQEGLQAAAVSRWYPHPWKISGYTTGCHRKRWKQWFFPRRLRYSRQRDRCQLDLVHI >DRNTG_19501.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2719893:2725860:1 gene:DRNTG_19501 transcript:DRNTG_19501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMKEQGKGKGKGKGHSSLHHAMTQRSTRTSAALTTIIQLSTETPSSALEVQINLKYVYDHSPTPSSNPIYSRILTGGNDGCTISSSRRSSVGLPYPLNPNDRVHLTPINVEGSQRQLLAL >DRNTG_10734.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000455.1:29298:40742:1 gene:DRNTG_10734 transcript:DRNTG_10734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRAINKFSPYRETCKNQIDKSNGVNKKKKKKKIKKNKRSFKIGQRSITELHTMESDINGSIYLNKLAYSNSPIPSTHSPTSSSHSWILSSRLIFFPNADVFGELITDQFNALIFRFLREKGSRLMARNPSLPPETCQVSDPDVLKGNSSKIYACAWSPTDALLVVGLVDGLNSKGKGPSYPFIIFNVLNNPNGFKFGESYLQFKPDDMSLLLGLRCDGDAIDFESKLEKTALEKNFLRKGIDRNRDGSLLFTNTSCAAPPWLAVYVDDLSSLDKYAWAQAAHKWIMDSIPGVATRFANLNPVNQYEVDLLGSTIVEGRNK >DRNTG_34877.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21926989:21928648:-1 gene:DRNTG_34877 transcript:DRNTG_34877.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT2G01120) UniProtKB/TrEMBL;Acc:F4IM82] MQTLSSQAVAIGVSCRLDADQLLEKRVRSRFSHRKLLFIPLTTEDLKVFLNHALYLPEDSSFSSNYVKEFNSRVKIILGDKKFNEALNSLSNVDGTIGNILRFL >DRNTG_34877.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21925008:21927290:-1 gene:DRNTG_34877 transcript:DRNTG_34877.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT2G01120) UniProtKB/TrEMBL;Acc:F4IM82] MDLASGLLTLQNFKSAILCFQKQPKLDIVKDASVLELYILVCMNRLETKEQNTYNFNSILKEYKAIHEAYKTSDHYGRTVCSRAYEHLLEQELICFVDGKGRHLSNECRPVKLLVSFSELCHGLKSNTSCPAILQKLLDHESFK >DRNTG_34877.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21925826:21927704:-1 gene:DRNTG_34877 transcript:DRNTG_34877.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT2G01120) UniProtKB/TrEMBL;Acc:F4IM82] MDLASGLLTLQNFKSAILCFQKQPKLDIVKDASVLELYILVCMNRLETKEQNTYNFNSILKEYKAIHEAYKTSDHYGRTVCSRAYEHLLEQELICFVDGKGRHLSNECRPVKLLVSFSELCHGLKSNTSCPV >DRNTG_34877.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21925008:21930590:-1 gene:DRNTG_34877 transcript:DRNTG_34877.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT2G01120) UniProtKB/TrEMBL;Acc:F4IM82] MASSSSFKLAPASPSAQKSSMAEKALALLRSRLRDQSFAHGVFKSSPDSNYSKLKFLISTSIAEGCNNSVLLLGPRGSGKISVVELVLADLSADYPDMLSVIRLNGLLHSDDNCGLKEIARQLCLEHQLLFSKMASSDDNSQFMLDMLRECGLAHKTLIFLLDEFDLFAQGKQRLLYSLLDAMQTLSSQAVAIGVSCRLDADQLLEKRVRSRFSHRKLLFIPLTTEDLKVFLNHALYLPEDSSFSSNYVKEFNSRVKIILGDKKFNEALNSLSNVDGTIGNILRFLYQAVSYMDLASGLLTLQNFKSAILCFQKQPKLDIVKDASVLELYILVCMNRLETKEQNTYNFNSILKEYKAIHEAYKTSDHYGRTVCSRAYEHLLEQELICFVDGKGRHLSNECRPVKLLVSFSELCHGLKSNTSCPAILQKLLDHESFK >DRNTG_34877.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21925008:21928334:-1 gene:DRNTG_34877 transcript:DRNTG_34877.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT2G01120) UniProtKB/TrEMBL;Acc:F4IM82] MAACQCFQGKQRLLYSLLDAMQTLSSQAVAIGVSCRLDADQLLEKRVRSRFSHRKLLFIPLTTEDLKVFLNHALYLPEDSSFSSNYVKEFNSRVKIILGDKKFNEALNSLSNVDGTIGNILRFLYQAVSYMDLASGLLTLQNFKSAILCFQKQPKLDIVKDASVLELYILVCMNRLETKEQNTYNFNSILKEYKAIHEAYKTSDHYGRTVCSRAYEHLLEQELICFVDGKGRHLSNECRPVKLLVSFSELCHGLKSNTSCPAILQKLLDHESFK >DRNTG_34877.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21925008:21927704:-1 gene:DRNTG_34877 transcript:DRNTG_34877.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT2G01120) UniProtKB/TrEMBL;Acc:F4IM82] MDLASGLLTLQNFKSAILCFQKQPKLDIVKDASVLELYILVCMNRLETKEQNTYNFNSILKEYKAIHEAYKTSDHYGRTVCSRAYEHLLEQELICFVDGKGRHLSNECRPVKLLVSFSELCHGLKSNTSCPAILQKLLDHESFK >DRNTG_08135.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000366.1:64151:66995:1 gene:DRNTG_08135 transcript:DRNTG_08135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAALRRLRDTDSSVRAACVDAFRSLAALSLPSTGSLPSLLLHSLSDALLHEQDHCAQISASLSLAAAVDVAAGSPSSAGSDLGDQLQRLVPRLVKLVRSNAFKAKPALISLLGSIAGAGGASTGTLLGVLVPCLVEFLSSEDWAARKAAAEALSRVALSERDLLGGFRSSCLSSFESRKFDKVKIVRDSMNQMVKVWKDIPVAVVEDCNGTSPLPDSNSKPSLRDNASDNGRITAGSRTHASAMPASTASVGKKKLSMSRSPPPDATPPSTVRRTTPPTTGLKTRPKFTRQRNGSDLGVSPMDVRSGMDSRRRLFMKADDGKLDNVVSFKSSCESTVQETASLEQTGEIASANTEIYGSQKDNNFSLIRMQLVQIENQQSNLLEILQFIGSSQIGIHSLETRVHGLELALEKISHDLAVSSGRFPSNDSSAKTCCSLPGAEFLSSKFWRRTEGRSSKLLMASNTKLINAHHLAENKNPESCNWDKPKLGLQANFVVNPLAEANPYTRGSSFNTSDKIQGEGIKFTQIGSRRE >DRNTG_22018.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23639370:23640614:1 gene:DRNTG_22018 transcript:DRNTG_22018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGFRGSFKWSMLFWEGDQMVSWQKNDGIKSSVIGLLSSGLSGFALNHSDIGGYCSVNMPFIRYYRTEELLMRWMELNAFSSVFRTHEGNIPSSNCQFYSNRNTLAQFARFAKVFKAWKFYRLKLVKEAAEKGLPVVRHLFLHYPNDEHVHSLSYQQFLVGSEFLVVPVLDRGMKEVKAYFPISGGDLWQHVWTGRVYRKPLDYSEKNQQGFEAWVDAPIGYPAVFVKFGSSIGETFLRNLRDLNIY >DRNTG_19723.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:21094003:21094349:-1 gene:DRNTG_19723 transcript:DRNTG_19723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELAPLPLSLQLDRGPLASMIVLIPFFFENLQVLTRDFHGEEEQSCFTRALLDQDHARNIWACFPCEHMVL >DRNTG_11086.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30773219:30776334:1 gene:DRNTG_11086 transcript:DRNTG_11086.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDPLARGRVIGDVLDPFTKSVSLRVLYNNRLVVNGTEIRPSAIVSKPRVDVGGDDFRVFYTLVMVDPDAPSPSNPSLREYLYWLVTDIPGATSANFGLV >DRNTG_11086.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30773219:30776933:1 gene:DRNTG_11086 transcript:DRNTG_11086.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDPLARGRVIGDVLDPFTKSVSLRVLYNNRLVVNGTEIRPSAIVSKPRVDVGGDDFRVFYTLVMVDPDAPSPSNPSLREYLYWLVTDIPGATSANFGRELLCYESPRPTSGIHRMVFVLFRQLGRDTVDSPPMRQNFNTREFAMQYNLSSPVAAVYFNCQRDSGTGGRRFTPA >DRNTG_10424.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6811005:6814157:1 gene:DRNTG_10424 transcript:DRNTG_10424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLHAKDPAIPQGQSLVAKRNECQKKPPFR >DRNTG_12192.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10702430:10703003:1 gene:DRNTG_12192 transcript:DRNTG_12192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRATRLRPPPARRHHRHRRCYWPTVPSAVFAGVAAHGLPTSAPRWSHPHRWASTRPLTHPIPVTQRGDDHPPPWPAPFPVSQPRPYTLRLHHRVSRRFPSDRWSWTPSRTLWKPDPVRALLLLLRWIPAIRVSDSGPFPDPIRQRDVVPLLLLLDFSTL >DRNTG_21434.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4752017:4753351:-1 gene:DRNTG_21434 transcript:DRNTG_21434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREAEQYPSTPLYRLPYLSPTCASFSYFFYSCLHHTLSTQIIVHFHLGK >DRNTG_05793.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1170401:1174370:-1 gene:DRNTG_05793 transcript:DRNTG_05793.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT5G13520) UniProtKB/Swiss-Prot;Acc:Q9FY49] MAPVDPHSFTDSTDPFATHVSLTFYLDFFTSAIHGSALIFLPAPHSGSITLDSRSISIHSVLDPVYFSPLPFTLSDSIDPILGQSLSITLSSHSSFLVLFSTSPSSSALQWLTPPQTSSGNHPFVYTQCQSIHARSVFPCQDTPAARIRYSARLNLPSPLSAVMSAARLTCRGPAPSDLPAPHPLCPSSAWCADGRVVEEFSMEQPIPPYLFALAAGEIGSRDVGPRTKVYAEGGMEVLDAAAREFAGTEEMIKVGEGLFGPYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDATGAQVVAHELAHSWTGNLITNKTNEHFWLNEGFTTYAERRIVEVVQGEDVAALNIGIGWRGLNEEMERFKDNMEFTKLKTKQDGIDPDDVYSQVPYEKGFQFLWRIERQIGRPAFDVFLKKYIARFKFQSIDTETFLEFLKENVPGIENQIDLKLWTEGTGIPPDAFEPVSTIYTKIVSLANEFKQGRMPRDDEVADWNGQEWELYLENLPKPSEASQLAALDARYRLAESKDWEVKVAFLQLAISSGCITYFDEVEKALKQVGRMKYLRPLYTALVKGSGEGEKMLAKRIFTEASESYHPIARSVVDSILAKHT >DRNTG_05793.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1170170:1174222:-1 gene:DRNTG_05793 transcript:DRNTG_05793.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT5G13520) UniProtKB/Swiss-Prot;Acc:Q9FY49] MAPVDPHSFTDSTDPFATHVSLTFYLDFFTSAIHGSALIFLPAPHSGSITLDSRSISIHSVLDPVYFSPLPFTLSDSIDPILGQSLSITLSSHSSFLVLFSTSPSSSALQWLTPPQTSSGNHPFVYTQCQSIHARSVFPCQDTPAARIRYSARLNLPSPLSAVMSAARLTCRGPAPSDLPAPHPLCPSSAWCADGRVVEEFSMEQPIPPYLFALAAGEIGSRDVGPRTKVYAEGGMEVLDAAAREFAGTEEMIKVGEGLFGPYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDATGAQVVAHELAHSWTGNLITNKTNEHFWLNEGFTTYAERRIVEVVQGEDVAALNIGIGWRGLNEEMERFKDNMEFTKLKTKQDGIDPDDVYSQVPYEKGFQFLWRIERQIGRPAFDVFLKKYIARFKFQSIDTETFLEFLKENVPGIENQIDLKLWTEGTGIPPDAFEPVSTIYTKIVSLANEFKQGRMPRDDEVADWNGQEWELYLENLPKPSEASQLAALDARYRLAESKDWEVKVAFLQLAISSGCITYFDEVEKALKQVGRMKYLRPLYTALVKGSGEGEKMLAKRIFTEASESYHPIARSVVDSILAKHT >DRNTG_05793.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1170211:1174222:-1 gene:DRNTG_05793 transcript:DRNTG_05793.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT5G13520) UniProtKB/Swiss-Prot;Acc:Q9FY49] MAPVDPHSFTDSTDPFATHVSLTFYLDFFTSAIHGSALIFLPAPHSGSITLDSRSISIHSVLDPVYFSPLPFTLSDSIDPILGQSLSITLSSHSSFLVLFSTSPSSSALQWLTPPQTSSGNHPFVYTQCQSIHARSVFPCQDTPAARIRYSARLNLPSPLSAVMSAARLTCRGPAPSDLPAPHPLCPSSAWCADGRVVEEFSMEQPIPPYLFALAAGEIGSRDVGPRTKVYAEGGMEVLDAAAREFAGTEEMIKVGEGLFGPYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDATGAQVVAHELAHSWTGNLITNKTNEHFWLNEV >DRNTG_05793.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1170211:1174222:-1 gene:DRNTG_05793 transcript:DRNTG_05793.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT5G13520) UniProtKB/Swiss-Prot;Acc:Q9FY49] MAPVDPHSFTDSTDPFATHVSLTFYLDFFTSAIHGSALIFLPAPHSGSITLDSRSISIHSVLDPVYFSPLPFTLSDSIDPILGQSLSITLSSHSSFLVLFSTSPSSSALQWLTPPQTSSGNHPFVYTQCQSIHARSVFPCQDTPAARIRYSARLNLPSPLSAVMSAARLTCRGPAPSDLPAPHPLCPSSAWCADGRVVEEFSMEQPIPPYLFALAAGEIGSRDVGPRTKVYAEGGMEVLDAAAREFAGTEEMIKVGEGLFGPYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDATGAQVVAHELAHSWTGNLITNKTNEHFWLNEGFTTYAERRIVEVVQGEDVAALNIGIGWRGLNEEMERFKDNMEFTKLKTKQDGIDPDDVYSQVPYEKGFQFLWRIERQIGRPAFDVFLKKYIARFKFQSIDTETFLEFLKENVPGIENQIDLKLWTEGTGIPPDAFEPVSTIYTKIVSLANEFKQGRMPRDDEVADWNGQEWELYLENLPKPSEASQLAALDARYRLAESKDWEVKVAFLQLAISSGCITYFDEVEKALKQVGRMKYLRPLYTALVKGSGEGEKMLAKRIFTEASESYHPIARSVVDSILAKHT >DRNTG_05793.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1170170:1174101:-1 gene:DRNTG_05793 transcript:DRNTG_05793.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT5G13520) UniProtKB/Swiss-Prot;Acc:Q9FY49] MAPVDPHSFTDSTDPFATHVSLTFYLDFFTSAIHGSALIFLPAPHSGSITLDSRSISIHSVLDPVYFSPLPFTLSDSIDPILGQSLSITLSSHSSFLVLFSTSPSSSALQWLTPPQTSSGNHPFVYTQCQSIHARSVFPCQDTPAARIRYSARLNLPSPLSAVMSAARLTCRGPAPSDLPAPHPLCPSSAWCADGRVVEEFSMEQPIPPYLFALAAGEIGSRDVGPRTKVYAEGGMEVLDAAAREFAGTEEMIKVGEGLFGPYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDATGAQVVAHELAHSWTGNLITNKTNEHFWLNEGFTTYAERRIVEVVQGEDVAALNIGIGWRGLNEEMERFKDNMEFTKLKTKQDGIDPDDVYSQVPYEKGFQFLWRIERQIGRPAFDVFLKKYIARFKFQSIDTETFLEFLKENVPGIENQIDLKLWTEGTGIPPDAFEPVSTIYTKIVSLANEFKQGRMPRDDEVADWNGQEWELYLENLPKPSEASQLAALDARYRLAESKDWEVKVAFLQLAISSGCITYFDEVEKALKQVGRMKYLRPLYTALVKGSGEGEKMLAKRIFTEASESYHPIARSVVDSILAKHT >DRNTG_05793.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1170401:1174101:-1 gene:DRNTG_05793 transcript:DRNTG_05793.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT5G13520) UniProtKB/Swiss-Prot;Acc:Q9FY49] MAPVDPHSFTDSTDPFATHVSLTFYLDFFTSAIHGSALIFLPAPHSGSITLDSRSISIHSVLDPVYFSPLPFTLSDSIDPILGQSLSITLSSHSSFLVLFSTSPSSSALQWLTPPQTSSGNHPFVYTQCQSIHARSVFPCQDTPAARIRYSARLNLPSPLSAVMSAARLTCRGPAPSDLPAPHPLCPSSAWCADGRVVEEFSMEQPIPPYLFALAAGEIGSRDVGPRTKVYAEGGMEVLDAAAREFAGTEEMIKVGEGLFGPYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDATGAQVVAHELAHSWTGNLITNKTNEHFWLNEGFTTYAERRIVEVVQGEDVAALNIGIGWRGLNEEMERFKDNMEFTKLKTKQDGIDPDDVYSQVPYEKGFQFLWRIERQIGRPAFDVFLKKYIARFKFQSIDTETFLEFLKENVPGIENQIDLKLWTEGTGIPPDAFEPVSTIYTKIVSLANEFKQGRMPRDDEVADWNGQEWELYLENLPKPSEASQLAALDARYRLAESKDWEVKVAFLQLAISSGCITYFDEVEKALKQVGRMKYLRPLYTALVKGSGEGEKMLAKRIFTEASESYHPIARSVVDSILAKHT >DRNTG_05793.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1170170:1174222:-1 gene:DRNTG_05793 transcript:DRNTG_05793.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT5G13520) UniProtKB/Swiss-Prot;Acc:Q9FY49] MAPVDPHSFTDSTDPFATHVSLTFYLDFFTSAIHGSALIFLPAPHSGSITLDSRSISIHSVLDPVYFSPLPFTLSDSIDPILGQSLSITLSSHSSFLVLFSTSPSSSALQWLTPPQTSSGNHPFVYTQCQSIHARSVFPCQDTPAARIRYSARLNLPSPLSAVMSAARLTCRGPAPSDLPAPHPLCPSSAWCADGRVVEEFSMEQPIPPYLFALAAGEIGSRDVGPRTKVYAEGGMEVLDAAAREFAGTEEMIKVGEGLFGPYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDATGAQVVAHELAHSWTGNLITNKTNEHFWLNEV >DRNTG_05793.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1170401:1174222:-1 gene:DRNTG_05793 transcript:DRNTG_05793.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT5G13520) UniProtKB/Swiss-Prot;Acc:Q9FY49] MAPVDPHSFTDSTDPFATHVSLTFYLDFFTSAIHGSALIFLPAPHSGSITLDSRSISIHSVLDPVYFSPLPFTLSDSIDPILGQSLSITLSSHSSFLVLFSTSPSSSALQWLTPPQTSSGNHPFVYTQCQSIHARSVFPCQDTPAARIRYSARLNLPSPLSAVMSAARLTCRGPAPSDLPAPHPLCPSSAWCADGRVVEEFSMEQPIPPYLFALAAGEIGSRDVGPRTKVYAEGGMEVLDAAAREFAGTEEMIKVGEGLFGPYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDATGAQVVAHELAHSWTGNLITNKTNEHFWLNEV >DRNTG_05793.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1170401:1174222:-1 gene:DRNTG_05793 transcript:DRNTG_05793.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT5G13520) UniProtKB/Swiss-Prot;Acc:Q9FY49] MAPVDPHSFTDSTDPFATHVSLTFYLDFFTSAIHGSALIFLPAPHSGSITLDSRSISIHSVLDPVYFSPLPFTLSDSIDPILGQSLSITLSSHSSFLVLFSTSPSSSALQWLTPPQTSSGNHPFVYTQCQSIHARSVFPCQDTPAARIRYSARLNLPSPLSAVMSAARLTCRGPAPSDLPAPHPLCPSSAWCADGRVVEEFSMEQPIPPYLFALAAGEIGSRDVGPRTKVYAEGGMEVLDAAAREFAGTEEMIKVGEGLFGPYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDATGAQVVAHELAHSWTGNLITNKTNEHFWLNEGFTTYAERRIVEVVQGEDVAALNIGIGWRGLNEEMERFKDNMEFTKLKTKQDGIDPDDVYSQVPYEKGFQFLWRIERQIGRPAFDVFLKKYIARFKFQSIDTETFLEFLKENVPGIENQIDLKLWTEGTGIPPDAFEPVSTIYTKIVSLANEFKQGRMPRDDEVADWNGQEWELYLENLPKPSEASQLAALDARYRLAESKDWEVKVAFLQLAISSGCITYFDEVEKALKQVGRMKYLRPLYTALVKGSGEGEKMLAKRIFTEASESYHPIARSVVDSILAKHT >DRNTG_05793.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1170211:1174370:-1 gene:DRNTG_05793 transcript:DRNTG_05793.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT5G13520) UniProtKB/Swiss-Prot;Acc:Q9FY49] MAPVDPHSFTDSTDPFATHVSLTFYLDFFTSAIHGSALIFLPAPHSGSITLDSRSISIHSVLDPVYFSPLPFTLSDSIDPILGQSLSITLSSHSSFLVLFSTSPSSSALQWLTPPQTSSGNHPFVYTQCQSIHARSVFPCQDTPAARIRYSARLNLPSPLSAVMSAARLTCRGPAPSDLPAPHPLCPSSAWCADGRVVEEFSMEQPIPPYLFALAAGEIGSRDVGPRTKVYAEGGMEVLDAAAREFAGTEEMIKVGEGLFGPYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDATGAQVVAHELAHSWTGNLITNKTNEHFWLNEGFTTYAERRIVEVVQGEDVAALNIGIGWRGLNEEMERFKDNMEFTKLKTKQDGIDPDDVYSQVPYEKGFQFLWRIERQIGRPAFDVFLKKYIARFKFQSIDTETFLEFLKENVPGIENQIDLKLWTEGTGIPPDAFEPVSTIYTKIVSLANEFKQGRMPRDDEVADWNGQEWELYLENLPKPSEASQLAALDARYRLAESKDWEVKVAFLQLAISSGCITYFDEVEKALKQVGRMKYLRPLYTALVKGSGEGEKMLAKRIFTEASESYHPIARSVVDSILAKHT >DRNTG_05793.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1170170:1174101:-1 gene:DRNTG_05793 transcript:DRNTG_05793.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT5G13520) UniProtKB/Swiss-Prot;Acc:Q9FY49] MAPVDPHSFTDSTDPFATHVSLTFYLDFFTSAIHGSALIFLPAPHSGSITLDSRSISIHSVLDPVYFSPLPFTLSDSIDPILGQSLSITLSSHSSFLVLFSTSPSSSALQWLTPPQTSSGNHPFVYTQCQSIHARSVFPCQDTPAARIRYSARLNLPSPLSAVMSAARLTCRGPAPSDLPAPHPLCPSSAWCADGRVVEEFSMEQPIPPYLFALAAGEIGSRDVGPRTKVYAEGGMEVLDAAAREFAGTEEMIKVGEGLFGPYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDATGAQVVAHELAHSWTGNLITNKTNEHFWLNEGFTTYAERRIVEVVQGEDVAALNIGIGWRGLNEEMERFKDNMEFTKLKTKQDGIDPDDVYSQVPYEKGFQFLWRIERQVLLQEIGSCG >DRNTG_05793.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1170170:1174370:-1 gene:DRNTG_05793 transcript:DRNTG_05793.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT5G13520) UniProtKB/Swiss-Prot;Acc:Q9FY49] MAPVDPHSFTDSTDPFATHVSLTFYLDFFTSAIHGSALIFLPAPHSGSITLDSRSISIHSVLDPVYFSPLPFTLSDSIDPILGQSLSITLSSHSSFLVLFSTSPSSSALQWLTPPQTSSGNHPFVYTQCQSIHARSVFPCQDTPAARIRYSARLNLPSPLSAVMSAARLTCRGPAPSDLPAPHPLCPSSAWCADGRVVEEFSMEQPIPPYLFALAAGEIGSRDVGPRTKVYAEGGMEVLDAAAREFAGTEEMIKVGEGLFGPYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDATGAQVVAHELAHSWTGNLITNKTNEHFWLNEGFTTYAERRIVEVVQGEDVAALNIGIGWRGLNEEMERFKDNMEFTKLKTKQDGIDPDDVYSQVPYEKGFQFLWRIERQIGRPAFDVFLKKYIARFKFQSIDTETFLEFLKENVPGIENQIDLKLWTEGTGIPPDAFEPVSTIYTKIVSLANEFKQGRMPRDDEVADWNGQEWELYLENLPKPSEASQLAALDARYRLAESKDWEVKVAFLQLAISSGCITYFDEVEKALKQVGRMKYLRPLYTALVKGSGEGEKMLAKRIFTEASESYHPIARSVVDSILAKHT >DRNTG_05793.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1170211:1174101:-1 gene:DRNTG_05793 transcript:DRNTG_05793.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT5G13520) UniProtKB/Swiss-Prot;Acc:Q9FY49] MAPVDPHSFTDSTDPFATHVSLTFYLDFFTSAIHGSALIFLPAPHSGSITLDSRSISIHSVLDPVYFSPLPFTLSDSIDPILGQSLSITLSSHSSFLVLFSTSPSSSALQWLTPPQTSSGNHPFVYTQCQSIHARSVFPCQDTPAARIRYSARLNLPSPLSAVMSAARLTCRGPAPSDLPAPHPLCPSSAWCADGRVVEEFSMEQPIPPYLFALAAGEIGSRDVGPRTKVYAEGGMEVLDAAAREFAGTEEMIKVGEGLFGPYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDATGAQVVAHELAHSWTGNLITNKTNEHFWLNEGFTTYAERRIVEVVQGEDVAALNIGIGWRGLNEEMERFKDNMEFTKLKTKQDGIDPDDVYSQVPYEKGFQFLWRIERQIGRPAFDVFLKKYIARFKFQSIDTETFLEFLKENVPGIENQIDLKLWTEGTGIPPDAFEPVSTIYTKIVSLANEFKQGRMPRDDEVADWNGQEWELYLENLPKPSEASQLAALDARYRLAESKDWEVKVAFLQLAISSGCITYFDEVEKALKQVGRMKYLRPLYTALVKGSGEGEKMLAKRIFTEASESYHPIARSVVDSILAKHT >DRNTG_28251.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2718901:2720052:1 gene:DRNTG_28251 transcript:DRNTG_28251.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISENITQVSDQEMIKKALSITDVTKKRARKKVKMNGDDHKEKRRLNEDQVKLLEMHFEREQKLELGRKINLAEELGMDANQVAVWFQNRRVRWKHKQLEIEHEKLKAKHMVILAEKHQLENEVLKLKGRINEAEEKIKKVSGSSNEGVNGLFDGHCSTSYSNSVGEEYNLMGDFVDEISKEYINMCANELMSEHCMPWW >DRNTG_14488.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14737100:14740233:-1 gene:DRNTG_14488 transcript:DRNTG_14488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKLLKLTYTLSNSNEESSSSANSRIAGSLIVNNCHQPPSETRSSTSSANSSPDLERSPTSVRKLSTPVCPTHRGRHTPLWLPWTSEKDIKSSTRPCGNFPPAWTFTSPIHRGSRTPLCFLEMEGTTSRASLGHAKITPRPFVVHKVTHRGESTPLCVLRKICPTLQEGTRPCGNYPRACASRMVVHRGSRTPLCPLCRLCRNIVSNSLGAFSVASPSPLHFPEHILQDPRGRCFLSIRTKHHYFFIALLLFEETFMRIRVEHFLYIFINNLISSV >DRNTG_12234.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25029909:25032586:1 gene:DRNTG_12234 transcript:DRNTG_12234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFTAITLDRLLEPGSRNTAPKPPPAPVKVVRPVPSSSEKKSISRPVVSPALYATPEATPLPDSPSFFSPSPYIINHKRRGPRLIKSHSRNTVIGCQPQLDGEKVEVVNGREVKVAEDVLHDGNLKEEQDLNGYRPKPLGDASSNGGPEKIDGSEKPLTVDTGRDAECEDFFDPQDSMSSVSNTEFDDSNGLDRSWKPSTPQGEFFDAFEEISSEGALSGCQNIQEELQDMRLTMSLEIEKRKQAEEAFENLQNQWHRLSHQLSLVGLKLAAPRTISEERDMLSSIDPVSELCQQVIIARAVAASIGRGCCRAEIELKLESQIELKNFEIARLSDRLQYYEAANREMSQRNQEAVERAREQRLKRKRRQKWLWSSIGLALTIGAASLAWSYFPASKPGHLEGDAEGSHED >DRNTG_31221.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2339255:2340269:-1 gene:DRNTG_31221 transcript:DRNTG_31221.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVGLNSMGKHVEKNENSEMDMTIEKVANAL >DRNTG_31221.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2339255:2340269:-1 gene:DRNTG_31221 transcript:DRNTG_31221.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVGLNSMGKHVEKNENSEMDMTIEKVANAL >DRNTG_31221.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2339255:2340269:-1 gene:DRNTG_31221 transcript:DRNTG_31221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVGLNSMGKHVEKNENSEMDMTIEKVANAL >DRNTG_10876.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000475.1:9901:11195:1 gene:DRNTG_10876 transcript:DRNTG_10876.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFQVGDSAAVLGFVGAPWTIATYIVEGGTTRTYTTIKRMCHTAPHVLIALLSHLTRAISEYIIFQIKSGAQCIQIFDSWGGQLPPTVWEQWSKPYIEEIVSAVKKKYPEIPLVLYINGNGGLLERMTGTGVDVIGLDWTVDMADGRRRLGSEISVQGNVDPAYLFSPLPVLTDEIHRVVRCAGRRGHILNLGHGVLVKTPEEAVAHFFDVVRGLRYDSLFAESLTGELKPVA >DRNTG_10876.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000475.1:7946:11195:1 gene:DRNTG_10876 transcript:DRNTG_10876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHTAPHVLIALLSHLTRAISEYIIFQIKSGAQCIQIFDSWGGQLPPTVWEQWSKPYIEEIVSAVKKKYPEIPLVLYINGNGGLLERMTGTGVDVIGLDWTVDMADGRRRLGSEISVQGNVDPAYLFSPLPVLTDEIHRVVRCAGRRGHILNLGHGVLVKTPEEAVAHFFDVVRGLRYDSLFAESLTGELKPVA >DRNTG_10876.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000475.1:7946:9411:1 gene:DRNTG_10876 transcript:DRNTG_10876.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSTIPCGSSFLQVGFARENGSGLGRRFPPPRRKPLLPRASAASDPLLVQAARGNPVSRPPAWMMRQAGRYMAAYRKLAEKHPSFRERSETVDLIVEISLQPWRAFGPDGVIIFSDILTPLPAFGVPFEIEEVKGPIIQSPI >DRNTG_11591.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15782633:15792536:-1 gene:DRNTG_11591 transcript:DRNTG_11591.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPTRASQASPPPPSPDPSPRDAEALFRSKPIAQIRSIESSTRLDIDAKSEELRQLVGRSYRDLIDSADSILLMRSSCDSISSNLSLITSSLSSLSSDPESRPSPSPARDPARARTFALAARVKYLVDTSENIWGCLDESMLLEAAGRYLRARAVHGLLVDSEILSKFRLLAHQWQIVESFRPQISLRSRERLSEPGLAVASYADALAAAATIDDLTPQQILSLFLDSRRLWISQKIAGSCSNLESFTALMCDVVRIIRASLGQVGELFVLALNEMPLFYKKVLGSPPGTQLFGGIPNPEEEVRIWKSYRERLESAMVLLEPEFVANTCSSWLKDCCDEIFGALANGKRLIDVIENGHGLASAEKLVHEALDKREGLEASLEQWLKSVFGSEIESPWSQICGLILKDGKDILEDRLEEAFLRKMKEIVFSSFEDLRKTSVKESVEAIVARDTNGNDFHAYLKKPSTGGGVWFSDPNHCKAGPLYSLKPISDEHDFKGCLNAYFGPEVGQIRDAVDTRCGSILDDVLCFVESFNSTSRLKEVVPYLQDSCYNTISAILKELEDELGQLSDSLGKNSKDKNSQPSSMVVERSLFIGHLLFALRNHSSHIPLILGSPRQWVKERSSAVLLMPQSPFSKQSKVSFDSPVSFTPRRNVIDSPRSPRRQFSDSTRRQMVSAAAALFVADDGTNPKLDELNKRLQELCVKAHSLWITWVSDELSSILSNDLRKDDALSSSTALRGWETTVIKQEDSSDGTVEMKISLPSMPSLYITSFIFQSCLQIHKVGGHVLDKIILQNFAWRLLKKVVDIYADFTPTIEAHDSRVSEKGVLQILLDLRFCADVLSGGRDPTANNSESDTNTPLPPVRRMASQFQSGSLSSEPVTRLIHRLSQRLDPIDWATYEPYLWENEKQSYKRYAVLFGFLVQLNRMYTDTVQKLPTKSNTDSNILRCGTVPRFKYLPISAPVLSSRGMHKSALQSSTDDASLSPWKTHSNGQQLPKPDMDDTMSFGVATPLLKSFMTQVGSKFGESTSRWGSMLSADSQVSKFKGMSTIGDMLPAPAAGLFSSLTAGASKFES >DRNTG_07199.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3668909:3673568:1 gene:DRNTG_07199 transcript:DRNTG_07199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALRREGRRFLLSSNPSPVVARSAIFSEESSAALGVRGVSTQVVRNRMKSVRNIQKITKAMKMVAASKLRAVQVRTENSRGLWQPFTALLGDAPSVDVKKNVIITISSDKGLCGGINSTSVKISKSLFKVTSGPEKETKYVVLGEKGKVQLMRDSKNHIEMTISELQKNPLNFTQVSVLADDILKNVEYDALRIVYNKFQSVVQFLPTINTILSPEIVERESEAGGKLGDLDSYEIEGGETKAEILQNLAEFQFSCVLFNAALENACSELGARMSAMDSSSRNAGEMLDRLTLTYNRTRQASITTELIEIISGASALEG >DRNTG_18496.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1854955:1856329:-1 gene:DRNTG_18496 transcript:DRNTG_18496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGKTTLLKKINHSLSDDDANMGFDHVLFIESSQNTQLEELRKEIAKQLHLSPDSAGQQDIFKALKTKNIVFLLDNIWEPVNLVGLGIINPYMDDNGSTKAYKYKVMFTTRSEDVCARMEASKRIKVECMEPDEAWALFKHNVNQAVIESDEKLKEKASQVME >DRNTG_00660.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16360245:16362017:-1 gene:DRNTG_00660 transcript:DRNTG_00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding THEGFTISSAKVSKPSFFQIYSEFHLSSSASPVSSPLFPLQAPASTLSPSPFSLSKLGPHHRHHHHLSLSKLHL >DRNTG_00660.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16361326:16362017:-1 gene:DRNTG_00660 transcript:DRNTG_00660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKSYLFSHFLHQSSKITITKNQTKTFFFMLPKSKKQRKKAQILGKTE >DRNTG_32069.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001783.1:18889:28148:-1 gene:DRNTG_32069 transcript:DRNTG_32069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYGRPPITNFQWMIRLTRDELTGTYLFDHRPLELNDDDYQCVCAIPKWKAFWSIIWDKIVVTVVTMAEPHNQVILHPEQDRVVSIRENTRL >DRNTG_23782.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8555368:8555715:-1 gene:DRNTG_23782 transcript:DRNTG_23782.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKFVINVADIDEVCLANNAMTIVSEKLAEDKEKPVHKKAPIEKKPKAEKHLSSKDAASGEKKTMVKKGSETYKNYIYKLLKHVYHNIGIFSKGMDIMNPFINDIFEKPLRRHLD >DRNTG_03381.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17663048:17664083:1 gene:DRNTG_03381 transcript:DRNTG_03381.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKMPNPSPYPTPVYEPKPNPSPPPSPVYKPTPYPSSPPSPVYKPTPNPSPPSSPVYNKPTYVPKYPPVYKKPLPPIPEYHPHPKYQIPPSHEWPPMPPFPKYHAHPKFQLPPSPPFSSHHPHHPYFPPSKEVALPSP >DRNTG_03381.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17663048:17664083:1 gene:DRNTG_03381 transcript:DRNTG_03381.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPTLHAHTNLLPTSSFSNPNSIQTSSPIPPPHQSSHSPHLLAPQSSSCTSSSTTTICTKCQTHHHIRHRYISRRQIQAHHHHLCIISLLMFLSILLFIRSHCLLFLSTIHTLSTRFLLPMNGHQCLLFLSIMHTLSSSFLLPLPSLPITHITLTSLLAKKWLSLVLEFGSK >DRNTG_22436.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23410574:23411400:1 gene:DRNTG_22436 transcript:DRNTG_22436.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLYFTDGMSIKQCINFLRIHCCPLSNVAGRECTPPLIVSNLNYILENYSGFGDISKTVLAISPCFLVIRINR >DRNTG_12197.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10655386:10659573:-1 gene:DRNTG_12197 transcript:DRNTG_12197.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLIGLNMSLCIKKKQNTQLIVTGKGNEACRLQRFHCMPPSWLNRTPWQRVDGEKDQILTEMHFGSTTTGKRDDERQGEGVSTRIPLARPRSC >DRNTG_12197.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10655386:10659573:-1 gene:DRNTG_12197 transcript:DRNTG_12197.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTAKDKQFCRIQTLENKMDQIQQNKFQCKTNKTQHCKRKGNGLCVVFLVTHHSHVNYICNRKERNTCMKRIQGKIKKYTKGDQSNSDDNQLI >DRNTG_12197.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10655386:10659573:-1 gene:DRNTG_12197 transcript:DRNTG_12197.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFGSTTTGKRDDERQGEGVSTRIPLARPRSC >DRNTG_32611.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001868.1:42747:44143:1 gene:DRNTG_32611 transcript:DRNTG_32611.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHILLHGPHGPCTVSLDPWSPEFGSMRTVTESYRRITIANLPLHFRDWATLVEVVKPAGDLVAVYKDDKITLEFVYVMVRLRRVTHLPLELELTVGTMQYLIHLEDFQTFQPYNSGRSMMEITTSQKQGADFMVEESTSQSHGQRGPWRSTHEIPREEKGKMRAGEGEDT >DRNTG_19779.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001060.1:1103:1944:-1 gene:DRNTG_19779 transcript:DRNTG_19779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKIENKEEGLNEELSRLGESAIIVGGLCDQPFEVEDRLMFFIQARRGCIILIGWSSRSQSCLPVNRSVNIKGFNI >DRNTG_28852.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001451.1:88787:93532:1 gene:DRNTG_28852 transcript:DRNTG_28852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLCPNSLNALRGKKGTSSLHKNLQRRDGE >DRNTG_03290.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8953750:8956539:1 gene:DRNTG_03290 transcript:DRNTG_03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFSDGSLERYKTCLVARGFQQEYSRNYEETFALVAHMHSVCTLVVVTAVRGWVFHQLDVKNVFLHGDLKEEVYMTPPPGLRVPPGYVCRLHSAIYYLKQAP >DRNTG_28901.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:594629:595199:-1 gene:DRNTG_28901 transcript:DRNTG_28901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFVINLCRAGPMKKGHHMGDYIPQEELEKFMANCNDAAAQKAAKEAAEKAKIQADNVGHKLLSKMGWKEGI >DRNTG_18342.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1619384:1625536:1 gene:DRNTG_18342 transcript:DRNTG_18342.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAARASLRGLRRRATRARAAAAAAEGMRVLRGLSWGRGLRVARRRGEGLGRRDRRGEGGGIREGGTKRGRGFGEAGSKRRGR >DRNTG_18342.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1619384:1625536:1 gene:DRNTG_18342 transcript:DRNTG_18342.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAARASLRGLRRRATRARAAAAAAEGMRVLRGLSWGRGLRVARRRGEGLGRRDRRGEGGGIREGGTKRGRGFGEAGSKRRGR >DRNTG_18342.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1623278:1625536:1 gene:DRNTG_18342 transcript:DRNTG_18342.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAARASLRGLRRRATRARAAAAAAEGMRVLRGLSWGRGLRVARRRGEGLGRRDRRGEGGGIREGGTKRGRGFGEAGSKRRGR >DRNTG_18342.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1619384:1625536:1 gene:DRNTG_18342 transcript:DRNTG_18342.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAARASLRGLRRRATRARAAAAAAEGMRVLRGLSWGRGLRVARRRGEGLGRRDRRGEGGGIREGGTKRGRGFGEAGSKRRGR >DRNTG_18342.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1619384:1625536:1 gene:DRNTG_18342 transcript:DRNTG_18342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAARASLRGLRRRATRARAAAAAAEGMRVLRGLSWGRGLRVARRRGEGLGRRDRRGEGGGIREGGTKRGRGFGEAGSKRRGR >DRNTG_18342.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1624813:1625536:1 gene:DRNTG_18342 transcript:DRNTG_18342.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAARASLRGLRRRATRARAAAAAAEGMRVLRGLSWGRGLRVARRRGEGLGRRDRRGEGGGIREGGTKRGRGFGEAGSKRRGR >DRNTG_18342.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1624426:1625536:1 gene:DRNTG_18342 transcript:DRNTG_18342.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAARASLRGLRRRATRARAAAAAAEGMRVLRGLSWGRGLRVARRRGEGLGRRDRRGEGGGIREGGTKRGRGFGEAGSKRRGR >DRNTG_18342.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1624657:1625536:1 gene:DRNTG_18342 transcript:DRNTG_18342.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAARASLRGLRRRATRARAAAAAAEGMRVLRGLSWGRGLRVARRRGEGLGRRDRRGEGGGIREGGTKRGRGFGEAGSKRRGR >DRNTG_18342.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1624426:1625584:1 gene:DRNTG_18342 transcript:DRNTG_18342.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAARASLRGLRRRATRARAAAAAAEGMRVLRGLSWGRGLRVARRRGEGLGRRDRRGEGGGIREGGTKRGRGFGEAGSKRRGRRN >DRNTG_35302.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22657656:22657814:1 gene:DRNTG_35302 transcript:DRNTG_35302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHLLISNVISILLLISLPVLALSILKYRFLLKNVTGLTGSKMSAPLHVFLS >DRNTG_19619.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001032.1:118765:120393:1 gene:DRNTG_19619 transcript:DRNTG_19619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMHNDFQFKSLYKRKTYVGKGSPLSRSPNISHKDHEEKL >DRNTG_23229.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21751423:21756757:-1 gene:DRNTG_23229 transcript:DRNTG_23229.11 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKSGPLKIVETNKSPPTKKCRREKSRQKGDIMEQQIWKDLPEDLVEAVVARLPVATIFRFRLVCSRWNSLITSASFSQQYAEVPRAHPWFYTTTTYENVNVRAMYDPSMKKWYHSSIPSLPSWMTFFPVASAGGLICFMDLSHRNFYVCNPLNCSFKELPSIQVKAHLNMAVGMILNGKTADSGYKIMWLDDNGNYQVYDSMQNSWSCSGNLPPSIKLPLYLNFRSQTVSIGSMLYFMCVDPGGVLCYDVATCTWRQFLIPYPEHVTNHMLAASGDQVLLVGLLSKNAATCIGVWELQKMTLLWKEVDRMPNVWCLDFYGKHVKMTCLGNRKLLMLSLVTSGRSRRLSTPRVVTYNISKREWQRLPDCKISRGRKRLLLTCGTAFDPFPSALA >DRNTG_23229.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21751353:21756867:-1 gene:DRNTG_23229 transcript:DRNTG_23229.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQLIGQVEELWELCGPHSLDRDERCCILDFGNSTMEHDFCNFVEGKSGPLKIVETNKSPPTKKCRREKSRQKGDIMEQQIWKDLPEDLVEAVVARLPVATIFRFRLVCSRWNSLITSASFSQQYAEVPRAHPWFYTTTTYENVNVRAMYDPSMKKWYHSSIPSLPSWMTFFPVASAGGLICFMDLSHRNFYVCNPLNCSFKELPSIQVKAHLNMAVGMILNGKTADSGYKIMWLDDNGNYQVYDSMQNSWSCSGNLPPSIKLPLYLNFRSQTVSIGSMLYFMCVDPGGVLCYDVATCTWRQFLIPYPEHVTNHMLAASGDQVLLVGLLSKNAATCIGVWELQKMTLLWKEVDRMPNVWCLDFYGKHVKMTCLGNRKLLMLSLVTSGRSRRLSTPRVVTYNISKREWQRLPDCKISRGRKRLLLTCGTAFDPFPSALA >DRNTG_23229.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21751423:21756867:-1 gene:DRNTG_23229 transcript:DRNTG_23229.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQLIGQVEELWELCGPHSLDRDERCCILDFGNSTMEHDFCNFVEGKSGPLKIVETNKSPPTKKCRREKSRQKGDIMEQQIWKDLPEDLVEAVVARLPVATIFRFRLVCSRWNSLITSASFSQQYAEVPRAHPWFYTTTTYENVNVRAMYDPSMKKWYHSSIPSLPSWMTFFPVASAGGLICFMDLSHRNFYVCNPLNCSFKELPSIQVKAHLNMAVGMILNGKTADSGYKIMWLDDNGNYQVYDSMQNSWSCSGNLPPSIKLPLYLNFRSQTVSIGSMLYFMCVDPGGVLCYDVATCTWRQFLIPYPEHVTNHMLAASGDQVLLVGLLSKNAATCIGVWELQKMTLLWKEVDRMPNVWCLDFYGKHVKMTCLGNRKLLMLSLVTSGRSRRLSTPRVVTYNISKREWQRLPDCKISRGRKRLLLTCGTAFDPFPSALA >DRNTG_23229.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21751471:21756867:-1 gene:DRNTG_23229 transcript:DRNTG_23229.9 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKSGPLKIVETNKSPPTKKCRREKSRQKGDIMEQQIWKDLPEDLVEAVVARLPVATIFRFRLVCSRWNSLITSASFSQQYAEVPRAHPWFYTTTTYENVNVRAMYDPSMKKWYHSSIPSLPSWMTFFPVASAGGLICFMDLSHRNFYVCNPLNCSFKELPSIQVKAHLNMAVGMILNGKTADSGYKIMWLDDNGNYQVYDSMQNSWSCSGNLPPSIKLPLYLNFRSQTVSIGSMLYFMCVDPGGVLCYDVATCTWRQFLIPYPEHVTNHMLAASGDQVLLVGLLSKNAATCIGVWELQKMTLLWKEVDRMPNVWCLDFYGKHVKMTCLGNRKLLMLSLVTSGRSRRLSTPRVVTYNISKREWQRLPDCKISRGRKRLLLTCGTAFDPFPSALA >DRNTG_23229.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21751471:21756757:-1 gene:DRNTG_23229 transcript:DRNTG_23229.12 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQLIGQVEELWELCGPHSLDRDERCCILDFGNSTMEHDFCNFVEGKSGPLKIVETNKSPPTKKCRREKSRQKGDIMEQQIWKDLPEDLVEAVVARLPVATIFRFRLVCSRWNSLITSASFSQQYAEVPRAHPWFYTTTTYENVNVRAMYDPSMKKWYHSSIPSLPSWMTFFPVASAGGLICFMDLSHRNFYVCNPLNCSFKELPSIQVKAHLNMAVGMILNGKTADSGYKIMWLDDNGNYQVYDSMQNSWSCSGNLPPSIKLPLYLNFRSQTVSIGSMLYFMCVDPGGVLCYDVATCTWRQFLIPYPEHVTNHMLAASGDQVLLVGLLSKNAATCIGVWELQKMTLLWKEVDRMPNVWCLDFYGKHVKMTCLGNRKLLMLSLVTSGRSRRLSTPRVVTYNISKREWQRLPDCKISRGRKRLLLTCGTAFDPFPSALA >DRNTG_23229.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21750849:21756757:-1 gene:DRNTG_23229 transcript:DRNTG_23229.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQLIGQVEELWELCGPHSLDRDERCCILDFGNSTMEHDFCNFVEGKSGPLKIVETNKSPPTKKCRREKSRQKGDIMEQQIWKDLPEDLVEAVVARLPVATIFRFRLVCSRWNSLITSASFSQQYAEVPRAHPWFYTTTTYENVNVRAMYDPSMKKWYHSSIPSLPSWMTFFPVASAGGLICFMDLSHRNFYVCNPLNCSFKELPSIQVKAHLNMAVGMILNGKTADSGYKIMWLDDNGNYQVYDSMQNSWSCSGNLPPSIKLPLYLNFRSQTVSIGSMLYFMCVDPGGVLCYDVATCTWRQFLIPYPEHVTNHMLAASGDQVLLVGLLSKNAATCIGVWELQKMTLLWKEVDRMPNVWCLDFYGKHVKMTCLGNRKLLMLSLVTSGRSRRLSTPRVVTYNISKREWQRLPDCKISRGRKRLLLTCGTAFDPFPSALA >DRNTG_23229.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21751353:21756757:-1 gene:DRNTG_23229 transcript:DRNTG_23229.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKSGPLKIVETNKSPPTKKCRREKSRQKGDIMEQQIWKDLPEDLVEAVVARLPVATIFRFRLVCSRWNSLITSASFSQQYAEVPRAHPWFYTTTTYENVNVRAMYDPSMKKWYHSSIPSLPSWMTFFPVASAGGLICFMDLSHRNFYVCNPLNCSFKELPSIQVKAHLNMAVGMILNGKTADSGYKIMWLDDNGNYQVYDSMQNSWSCSGNLPPSIKLPLYLNFRSQTVSIGSMLYFMCVDPGGVLCYDVATCTWRQFLIPYPEHVTNHMLAASGDQVLLVGLLSKNAATCIGVWELQKMTLLWKEVDRMPNVWCLDFYGKHVKMTCLGNRKLLMLSLVTSGRSRRLSTPRVVTYNISKREWQRLPDCKISRGRKRLLLTCGTAFDPFPSALA >DRNTG_23229.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21751423:21756757:-1 gene:DRNTG_23229 transcript:DRNTG_23229.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQLIGQVEELWELCGPHSLDRDERCCILDFGNSTMEHDFCNFVEGKSGPLKIVETNKSPPTKKCRREKSRQKGDIMEQQIWKDLPEDLVEAVVARLPVATIFRFRLVCSRWNSLITSASFSQQYAEVPRAHPWFYTTTTYENVNVRAMYDPSMKKWYHSSIPSLPSWMTFFPVASAGGLICFMDLSHRNFYVCNPLNCSFKELPSIQVKAHLNMAVGMILNGKTADSGYKIMWLDDNGNYQVYDSMQNSWSCSGNLPPSIKLPLYLNFRSQTVSIGSMLYFMCVDPGGVLCYDVATCTWRQFLIPYPEHVTNHMLAASGDQVLLVGLLSKNAATCIGVWELQKMTLLWKEVDRMPNVWCLDFYGKHVKMTCLGNRKLLMLSLVTSGRSRRLSTPRVVTYNISKREWQRLPDCKISRGRKRLLLTCGTAFDPFPSALA >DRNTG_23229.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21751471:21756867:-1 gene:DRNTG_23229 transcript:DRNTG_23229.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQLIGQVEELWELCGPHSLDRDERCCILDFGNSTMEHDFCNFVEGKSGPLKIVETNKSPPTKKCRREKSRQKGDIMEQQIWKDLPEDLVEAVVARLPVATIFRFRLVCSRWNSLITSASFSQQYAEVPRAHPWFYTTTTYENVNVRAMYDPSMKKWYHSSIPSLPSWMTFFPVASAGGLICFMDLSHRNFYVCNPLNCSFKELPSIQVKAHLNMAVGMILNGKTADSGYKIMWLDDNGNYQVYDSMQNSWSCSGNLPPSIKLPLYLNFRSQTVSIGSMLYFMCVDPGGVLCYDVATCTWRQFLIPYPEHVTNHMLAASGDQVLLVGLLSKNAATCIGVWELQKMTLLWKEVDRMPNVWCLDFYGKHVKMTCLGNRKLLMLSLVTSGRSRRLSTPRVVTYNISKREWQRLPDCKISRGRKRLLLTCGTAFDPFPSALA >DRNTG_23229.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21751353:21756757:-1 gene:DRNTG_23229 transcript:DRNTG_23229.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQLIGQVEELWELCGPHSLDRDERCCILDFGNSTMEHDFCNFVEGKSGPLKIVETNKSPPTKKCRREKSRQKGDIMEQQIWKDLPEDLVEAVVARLPVATIFRFRLVCSRWNSLITSASFSQQYAEVPRAHPWFYTTTTYENVNVRAMYDPSMKKWYHSSIPSLPSWMTFFPVASAGGLICFMDLSHRNFYVCNPLNCSFKELPSIQVKAHLNMAVGMILNGKTADSGYKIMWLDDNGNYQVYDSMQNSWSCSGNLPPSIKLPLYLNFRSQTVSIGSMLYFMCVDPGGVLCYDVATCTWRQFLIPYPEHVTNHMLAASGDQVLLVGLLSKNAATCIGVWELQKMTLLWKEVDRMPNVWCLDFYGKHVKMTCLGNRKLLMLSLVTSGRSRRLSTPRVVTYNISKREWQRLPDCKISRGRKRLLLTCGTAFDPFPSALA >DRNTG_23229.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21751471:21756757:-1 gene:DRNTG_23229 transcript:DRNTG_23229.13 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKSGPLKIVETNKSPPTKKCRREKSRQKGDIMEQQIWKDLPEDLVEAVVARLPVATIFRFRLVCSRWNSLITSASFSQQYAEVPRAHPWFYTTTTYENVNVRAMYDPSMKKWYHSSIPSLPSWMTFFPVASAGGLICFMDLSHRNFYVCNPLNCSFKELPSIQVKAHLNMAVGMILNGKTADSGYKIMWLDDNGNYQVYDSMQNSWSCSGNLPPSIKLPLYLNFRSQTVSIGSMLYFMCVDPGGVLCYDVATCTWRQFLIPYPEHVTNHMLAASGDQVLLVGLLSKNAATCIGVWELQKMTLLWKEVDRMPNVWCLDFYGKHVKMTCLGNRKLLMLSLVTSGRSRRLSTPRVVTYNISKREWQRLPDCKISRGRKRLLLTCGTAFDPFPSALA >DRNTG_23229.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21750849:21756757:-1 gene:DRNTG_23229 transcript:DRNTG_23229.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKSGPLKIVETNKSPPTKKCRREKSRQKGDIMEQQIWKDLPEDLVEAVVARLPVATIFRFRLVCSRWNSLITSASFSQQYAEVPRAHPWFYTTTTYENVNVRAMYDPSMKKWYHSSIPSLPSWMTFFPVASAGGLICFMDLSHRNFYVCNPLNCSFKELPSIQVKAHLNMAVGMILNGKTADSGYKIMWLDDNGNYQVYDSMQNSWSCSGNLPPSIKLPLYLNFRSQTVSIGSMLYFMCVDPGGVLCYDVATCTWRQFLIPYPEHVTNHMLAASGDQVLLVGLLSKNAATCIGVWELQKMTLLWKEVDRMPNVWCLDFYGKHVKMTCLGNRKLLMLSLVTSGRSRRLSTPRVVTYNISKREWQRLPDCKISRGRKRLLLTCGTAFDPFPSALA >DRNTG_23229.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21750849:21756867:-1 gene:DRNTG_23229 transcript:DRNTG_23229.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQLIGQVEELWELCGPHSLDRDERCCILDFGNSTMEHDFCNFVEGKSGPLKIVETNKSPPTKKCRREKSRQKGDIMEQQIWKDLPEDLVEAVVARLPVATIFRFRLVCSRWNSLITSASFSQQYAEVPRAHPWFYTTTTYENVNVRAMYDPSMKKWYHSSIPSLPSWMTFFPVASAGGLICFMDLSHRNFYVCNPLNCSFKELPSIQVKAHLNMAVGMILNGKTADSGYKIMWLDDNGNYQVYDSMQNSWSCSGNLPPSIKLPLYLNFRSQTVSIGSMLYFMCVDPGGVLCYDVATCTWRQFLIPYPEHVTNHMLAASGDQVLLVGLLSKNAATCIGVWELQKMTLLWKEVDRMPNVWCLDFYGKHVKMTCLGNRKLLMLSLVTSGRSRRLSTPRVVTYNISKREWQRLPDCKISRGRKRLLLTCGTAFDPFPSALA >DRNTG_09544.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22736165:22739507:-1 gene:DRNTG_09544 transcript:DRNTG_09544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATQAMKRIPLIKFPQRHPKPSSGSSKSTEQVGFSPFGSSSKEQTSSLAGRAPSYRFKSDVPAAPSNTAVGGQASLLPKRTPVSEKEIEAILLGGCF >DRNTG_30436.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3178125:3178669:-1 gene:DRNTG_30436 transcript:DRNTG_30436.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 9 [Source:Projected from Arabidopsis thaliana (AT5G62250) UniProtKB/Swiss-Prot;Acc:Q4PSA3] MKKLTKSSSSGRKPNTPISTPRSTSFSRKCDEEKGMDGMSVGRKPFVALVSEDNWPATPTKMAAVEVEESGVPGTMQMADQNVQEVEYSFEERRAGFVLSSAHMNCVQK >DRNTG_30436.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3179318:3181294:-1 gene:DRNTG_30436 transcript:DRNTG_30436.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 9 [Source:Projected from Arabidopsis thaliana (AT5G62250) UniProtKB/Swiss-Prot;Acc:Q4PSA3] MNKFMSSISRNKFLEMGRERGVLQQEIQVLWDEIGETEEDRERMMLEMEQECLEVYKRNVDKINRSRVEILQAIADSETEFEDICSALGEPNFRLKKTKQNASSLKEVLKESFEQLDVMQKVKSDRLNQFLEIIDQIRRILIEIGQTKFNPSLISLNEFDLSVRKLEELQRKLHLLENRSFELSDRPFEYFEITMSRTWFELRKNGL >DRNTG_30436.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3178125:3181294:-1 gene:DRNTG_30436 transcript:DRNTG_30436.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 9 [Source:Projected from Arabidopsis thaliana (AT5G62250) UniProtKB/Swiss-Prot;Acc:Q4PSA3] MVYEIHPTLKEAEDKKSITDDAIEKLGSAIESLRNVKLERMQQLQDLATTMLELWHLMDTPIEEQQSFRRVTCNIAASEHEITEPNALSIDFINHVALEVSRLEGIKASKLKELILKKMASLDELRRGAHLVAASDNNTQSTIEAIDTGAVDPSIVLDQIESEICNAKDEVFSRKEILEKVEKWIAACEEESWLEEYNRDENRYSAGKGAHLALRRAEKARATVNKIPGMVETLIAKTVMWEKDREKEFTYDSSGLLSMMESYMNARQEKEQEKKRQREQRRLQSQQTADQPSPLKPQNMKKLTKSSSSGRKPNTPISTPRSTSFSRKCDEEKGMDGMSVGRKPFVALVSEDNWPATPTKMAAVEVEESGVPGTMQMADQNVQEVEYSFEERRAGFVLSSAHMNCVQK >DRNTG_28141.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19652885:19656288:1 gene:DRNTG_28141 transcript:DRNTG_28141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREAWLRGIWEMVKEGVSQRLLSWHLPSPPFLIPNQLSGLTVIVTGCTSGIGLCVAREMVMAGAHVIMACRNVEGANRIASEWTEDTHNSKDILVEVMPLDLLSLASVHSFGEEWNRRGMPLHLLINNAGAFYMKEPQQFTEDGIERHMQVNHIAPALLTLLLLPSLLKAPFSRIVNVNSVAHHCALIDPTRWKSKINDGDFNAIRAYGESKLAQLMFIKTLAAKLYKKKITSIQCITVNPGIVNTNMVKQQQKHKIAEWKLFWMFSPAEGARSVLFCSTNESVVKNTVECFAHYSSVCTPTKEAPQAMDVNSCFDVWKKTMEMLDLDMDHLSRLIDV >DRNTG_04836.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30959185:30961361:1 gene:DRNTG_04836 transcript:DRNTG_04836.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWHEIMEYSMMNIGQSKQQAFTEYKKCKELEAEMAKALYRDKTFEAALHRETKLRRVFDDELSATKKKQQLLVHQTNETTRELENTMRNVTLLNQYAQEVAQQRDNAAEQLDLIQASIMALNIDNQSVQAEREAVIKNLEEWKYKNSAGFRRCKRVIDYGVDHFNFTEFSFSDLQAATCEFSECFKLGKGGYGSVVYKGEILSRTVAIKNLDPHNVYGQSEFQQEVYVLSKLRHPHLVTLVGVCPEALSLVYEYLPNGTLHDHLFCRTSMTPLTWRVRASIAAQISSALLFLHTSKPEKIIHGDLKPENIFLDSDFNCKIGDFRICRLVPQETRHCPLFCRITKPQGLFPYADPECQKTGDLTPKSDAYAFGIIVLQLLTGKPPLGLASEVRRAISTGKLPSLLDQTAGEWPISTATKLAEIGLQCAEVNSRDRLELTPVVVKELEDLHSMEERPVPSIFLCPILKASLKTFFYNHLDPNVDEKHVLTLCSSNVAGNHA >DRNTG_04836.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30956679:30961252:1 gene:DRNTG_04836 transcript:DRNTG_04836.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSPSLPPNPAAVRFVGTPPRVPTRSTLSSPVASPSPRIVDGPENRVYVAVGKSPEKTAALLRWTFRRFRCKQVWIVHVHQPSSTIPTLLGRLPVSQANDDLVSAYRKVEREDAKKALLSYLTICYREQVQGKIIMTEAGQITEGILDVVTKHKIHKLVVGEIPDSCFKVKGRSSLTTYIAKKAPPFCEIWFVAKGKLVWAKDASEFANSELTDFRSDTSVIGDSERYSLSSLQSPLSKATLHEEVRCNSLAMRDLAEEARNIVLNKIHDVEIAVSPSISSTVDTPNLCESRNSTSLASASSFFASPVDGRSMPSFSIQEPDMEVWHEIMEYSMMNIGQSKQQAFTEYKKCKELEAEMAKALYRDKTFEAALHRETKLRRVFDDELSATKKKQQLLVHQTNETTRELENTMRNVTLLNQYAQEVAQQRDNAAEQLDLIQASIMALNIDNQSVQAEREAVIKNLEEWKYKNSAGFRRCKRVIDYGVDHFNFTEFSFSDLQAATCEFSECFKLGKGGYGSVVYKGEILSRTVAIKNLDPHNVYGQSEFQQEVYVLSKLRHPHLVTLVGVCPEALSLVYEYLPNGTLHDHLFCRTSMTPLTWRVRASIAAQISSALLFLHTSKPEKIIHGDLKPENIFLDSDFNCKIGDFRICRLVPQETRHCPLFCRITKPQGLFPYADPECQKTGDLTPKSDAYAFGIIVLQLLTGKPPLGLASEVRRAISTGKLPSLLDQTAGEWPISTATKLAEIGLQCAEVNSRDRLELTPVVVKELEDLHSMEERPVPSIFLCPILKEIMHDPHVAADGFTYEGSALRGWFQDGWKTSPMTNLKLEHLNLIPNNALRIAIQDWLCQP >DRNTG_31225.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2257603:2261010:-1 gene:DRNTG_31225 transcript:DRNTG_31225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMGESAQRWNIQVEEQHKSSILRHLNHEVSEWLKKNLYLEHNLYKAPFPWMAFTVWEALQRYWELDEFKRKNEKNKLNMTEIGSSSSVIYHGGSCSNAVHRLRLEAFDKAIVDKYSQGVDENSINQDELWDEIAIWRRNRVVGKGNIVRQISSSTYKPRLGSSESTEQLRNRIKELEEELAWLQAELIRRELFESSLVAAFRGQGIDLSSMFIFARMPHAPRASTSESQTHADEHSPMPKRVHISPSTDNSFEDLADNS >DRNTG_08478.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7448879:7452118:-1 gene:DRNTG_08478 transcript:DRNTG_08478.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITWADLYTVLTAVVPLYVAMILAYGSVRWWRIFSPDQCSGINRFVAIFAVPLLSFHFISTNNPYTMNLRFVAADTLQKLIVLAALAVWARLAPSGNLDWAITIFSLSTLPNTLVMGIPLLIAMYGGFSGSLMVQVVVLQCIIWYTLLLFLFEYRAARLLIADQFPGDAAASIVSFHVDPDVVSLDGGRDLIQADAEVGGDGKIHVTVRKSTSSRRSFSMMTPRPSNLTGAEIYSLSSSRNPTPRGSNFNHSDFYAMVGGAPPLRPSNFGPADLYSLQSSRGPTPRPSNFEDPLHGCGSPRFGHYPAPNPEISSVTKKPPAPPPAPAPHRAAHHDAKELHMFVWSSSASPVSEVGGLHVFGSTPDPAGRPDLGGAKEIRMIVPADHPPNGPSKGAIPEGEDYGGGDDISFGGGRGLEDEDEEKEREQGGGPEGLSKLGSSSTAELDPKGGGAGSGPSDAKQHLHHHHPNHHEHHHQQMPPCERYDPFDLDHGLAQTHPQPQHLL >DRNTG_14669.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5729338:5730387:1 gene:DRNTG_14669 transcript:DRNTG_14669.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFPLAGRTAIVTGASRGIGRAIALHLASLGANLVISYSSSSSEAELVAAEINASSTPSQPRAVTVRANISIAADCKALFDHAEKAFDKMPHIIVNNAGTLDSTYPTIEATLESEWDNTFNVNAKGTFLCCQEAAKRLVRGGGGRIINFSSSLVGLNLPRYGAYIASKAAVEGFTRVLAKELKGTGITANCVAPGPIATELFFAGKSEEDVKRVVDMCPMGRLGETKDVAPVVGFLATDAAEWVNGQVVRVNGGTV >DRNTG_14669.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5729338:5730229:1 gene:DRNTG_14669 transcript:DRNTG_14669.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFPLAGRTAIVTGASRGIGRAIALHLASLGANLVISYSSSSSEAELVAAEINASSTPSQPRAVTVRANISIAADCKALFDHAEKAFDKMPHIIVNNAGTLDSTYPTIEATLESEWDNTFNVNAKGTFLCCQEAAKRLVRGGGGRIINFSSSLVGLNLPRYGAYIASKAAVEGFTRVLAKELKGTGITANCVAPGPIATELFFAGKSEEDVKRVVDMCPMGRLGETKDVAPVVGFLATDAAEWVNGQVVRVNGGTV >DRNTG_33057.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:12680169:12682340:-1 gene:DRNTG_33057 transcript:DRNTG_33057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKDLSYMPVHVQARRNDEVENEIRILSHLRSFRLVNLIDFTDLEPSQLLVMGFMPDRILYDLLRSSPRPPGWMRRLKFVLQTAKVLLTFHSVYPLVIHRYMKAANVLIDHSFNA >DRNTG_08876.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27675772:27678120:-1 gene:DRNTG_08876 transcript:DRNTG_08876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQRTCMPYLAMVLTQLIFAGMALFTKAAVGGGMNPYIFVFYREVFATLFLAPFILFFERNKGLPRLPMMRIFFTAFCGDTLSSFLYTISLRYTSATFASASTNAIPSITLVLALLSRVEKLSKKKKLYGAAKLAGSSVSLAGAFIFSLYKGPAVKFINEHQLAQEASSSLQNSISKANWVKGCLIMLVAHTCWSTWLILQGPLVKSYPAELRLTFVQCLCSAMQTAVIAVIFERSPSSWKLKLNIPLLAAVYCGLATGISFWLITWCVDKKGPVFTSAFTPMALLATAIFSAIVWKETLHWGSVIGAVLLVVGLYCVLWGKSKEEKPLLEEQEKDEGIGHNEKDPESNGHS >DRNTG_08876.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27675772:27677238:-1 gene:DRNTG_08876 transcript:DRNTG_08876.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMFSACRDTLSSFLYTISLRYTSATFASASTNAIPSITLVLALLSRVEKLSKKKKLYGAAKLAGSSVSLAGAFIFSLYKGPAVKFINEHQLAQEASSSLQNSISKANWVKGCLIMLVAHTCWSTWLILQGPLVKSYPAELRLTFVQCLCSAMQTAVIAVIFERSPSSWKLKLNIPLLAAVYCGLATGISFWLITWCVDKKGPVFTSAFTPMALLATAIFSAIVWKETLHWGSVIGAVLLVVGLYCVLWGKSKEEKPLLEEQEKDEGIGHNEKDPESNGHS >DRNTG_29922.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10158156:10160171:-1 gene:DRNTG_29922 transcript:DRNTG_29922.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLTPCILFIILLPLISCTTFSFTSFSPNDKSIIISNGTTISESSIRLTSSEFGSNNVFYGTGRAQYSDLIQLYKTSTNSTTNFTTYFQFYILFTSNNYNTSSGGFAFFLSAENSEVPNNSAGGYLGLFNETIDGDPSNHMLAVEFDTYKNQWDPSNNHVGINVNSIVSKVNKTWSNTMTSGDTLAATVSYLESFKTLSVHLKDPQVPVDTGSLNLSYKVDLKEILPEKVVVGFSASTGNAVVVQKVTMWNFTSSLNDENNYENIVKSKKSWQIGLEIAAGVLLLLFIYLCYSCYRSRCHKANTEEDEDEEEEENDLEDESMHEYFTRGTGPKGFNYKELALATNNFSEEGKLGQGGFGEVYKGFLTSLNMEVAVKKISKRSKQGRKEYVSEVTIISKLRHRNLVQLMGWSHGRGEFLLVYEFMSEGSLDTHLFSNKSCLPWQVRYKISIGLASALLYLHEEWEQCVVHRDIKSSNVMLDSEFNARLGDFGLARLGDHERGLQTTTVAGTWGYLAPECFITNKASKESDVYSFGVVALEIACGRRAVEHNNEEDEVSLVDWVWRLNGEGRVLEGVDRSLGSEFE >DRNTG_19987.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:851315:855220:1 gene:DRNTG_19987 transcript:DRNTG_19987.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDGERTCPLCAEEMDITDQQLKPCKCGYEVDGMMF >DRNTG_19987.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:851315:855220:1 gene:DRNTG_19987 transcript:DRNTG_19987.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDGERTCPLCAEEMDITDQQLKPCKCGYEVCNLNRTLFLLFG >DRNTG_19987.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:850448:855220:1 gene:DRNTG_19987 transcript:DRNTG_19987.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDGERTCPLCAEEMDITDQQLKPCKCGYEVDGMMF >DRNTG_16589.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:156829:158663:1 gene:DRNTG_16589 transcript:DRNTG_16589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSAGKFYGKVDELEEAIYSETRRKTRKRLSIIGISTLILIAIIVIAVVATNHGSSEDNPNPNTSSESLSKSIKAICDATLYPSSCLSTLSPMINSTTALLDPTKLFLFSVKAAMSEISKASKALKELAQKVAVDKMSLAAFKDCNELIDLAIEHLNDSLSTPDISSGDVVNDLKTWLSGSITDQQTCIDGFSNSNVELKTSVLNTMKNSTELTSNSLAILSEISNFIGSIKLRRLMSNSEDTDWSPSWLSSNDRRLLQSSTDPRKKADIVVAKDRSSKYKTIKAALKAVPEKSKKRFIIYIKKGLYIENVNIETNKWNLFMVGDGKNATIVSGSLNFIDGTSTFQSATFAVFGKGFMASDIGFKNTAGPQKHQAVAMMSHADQSVFYRCSFDAYQDTLYVHSLRQFYRECDVYGTVDFIFRQCCGCSPELQHPTKATHGRTARHHYSTREGRP >DRNTG_20508.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16602426:16605795:1 gene:DRNTG_20508 transcript:DRNTG_20508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLGGITKPVSFPIFGFTSSSVPSTQSLPKYSLTNDSTIILGFAVATTLLCPQVLNGFHESSSHRWHRTTAAPSVRLVQCSSFSGSGLSLDRTMATGASLPFTHMPSPVYGYPGLPNGHVFSITLALYGPGSHSHMVDFKGATFIP >DRNTG_11864.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15510089:15517701:-1 gene:DRNTG_11864 transcript:DRNTG_11864.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDPQKIESMISSSEKVDADLQDLGLKVNYHEKNLAFLNAEMSTLSESVIDLQAEPELAVYDISHVNEETSNHECQIQTMKHTIEHILEKEKTAAGIICQLRIRHVSQASKLPLTKDVVGVVVTLGSVKDENLSRLLSEYLGSETMLGVVCKTFEGIKALEKYDKEGMIDKNFGLHGLGPSIGRLLEGRFLAISLENLRSKF >DRNTG_11864.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15510089:15517701:-1 gene:DRNTG_11864 transcript:DRNTG_11864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDPQKIESMISSSEKVDADLQDLGLKVNYHEKNLAFLNAEMSTLSESVIDLQAEPELAVYDISHVNEETSNHECQIQTMKHTIEHILEKEKTAAGIICQLRIRHVSQASKLPLTKDVVGVVVTLGSVKDENLSRLLSEYLGSETMLGVVCKTFEGIKALEKYDKEGMIDKNFGLHGLGPSIGRLLEGRFLAISLENLRAYPGGFLAGDPQKRLDFPKPRLPDGKCPPGFLGFAVNMIDLDDDHLSCLTVNGHGLRETLFYNLFSYSQVYRSRAEMELAMPCISDGAISLDGGMIKTNGLFYLGARKDDILRFPTSFGKPTRPKEIHETREKMKVLQWKKERLAEDILREKALLKKAKDAFHAKRKDYEKFLNDARQYILKVLPN >DRNTG_11864.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15510089:15510553:-1 gene:DRNTG_11864 transcript:DRNTG_11864.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPINGHDFRKDDILRFPTSFGKPTRPKEIHETREKMKVLQWKKERLAEDILREKALLKKAKDAFHAKRKDYEKFLNDARQYILKVLPN >DRNTG_11864.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15509890:15510553:-1 gene:DRNTG_11864 transcript:DRNTG_11864.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPINGHDFRKDDILRFPTSFGKPTRPKEIHETREKMKVLQWKKERLAEDILREKALLKKAKDAFHAKRKDYEKFLNDARQYILKVLPN >DRNTG_06781.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000306.1:22693:24566:1 gene:DRNTG_06781 transcript:DRNTG_06781.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRRFSDNECDGGAGIGDRKEEVKQENSRKNGSVFEASGEEAANDDLEVEEAAKPIGMPVRVSGKGRNRNLHFESFEHYGNVYKLNDNVLLSPEHVKEKPYIAIIKDITQDVNGSVMVTGQWFLPP >DRNTG_06781.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000306.1:22693:28165:1 gene:DRNTG_06781 transcript:DRNTG_06781.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKCVIHFIPMHKQPPIRTEYPGFIVQKFYDTLQKKLWKLNEYDLDDDVKREITRLVNETRKRLGELPDIVEDAPASVKDKLKGKFQIRSPAPPPPNALRGGMTVASSHGVKVDSPSASIPDVSKYLNILVKFKAETGVANRDKWLAKLLQVIEPSCNLKEYQSAEKSTNENGNGFGRICWPDTAVSAIAALEKASFDAFSSDFQKYNQKMRQLDFNLKGVLARRLLNKELDPAVIINMLPNELKDGFTAQEKTSKEPDVSKKMQMTDARCPRCMEKKVGVASIIQTAGHMDRYQLECNGCGRTWYTSRDAVSFLTTDSPTNTAKPN >DRNTG_06831.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:8340922:8341636:1 gene:DRNTG_06831 transcript:DRNTG_06831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRLRYLDESMDSGVFQAGNVAGGKKVTLKDNDLGACRCGSNEFSSPPCSLHLRGVGYEAFFVSLISYTCSFVDDELDYQGYRASGEPWDELSCMDA >DRNTG_22548.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3732037:3732681:-1 gene:DRNTG_22548 transcript:DRNTG_22548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEASEFNDDDIIRVAIPRRPHSKRLAKKRRTIMPLSPPPADDKTISTSSAADVVTESIAVDDMVVTEEEIVDDIAITTVEKIVYSVVNEIPDPVELAAESATSKMDTIPEEQEQAKDVSPIDVVALATVEKIVDSVVNESIETVEPTADSTASKPDTIPQQQEACKDMSAVDAVVVPASKEDVAGAEHRQGSTTVPHDDPDQAMRDMIEAIKN >DRNTG_33303.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23740771:23741672:-1 gene:DRNTG_33303 transcript:DRNTG_33303.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAVIPIMRPSIYGARILNVSSRLGRANGRRNRVDDMTLREQLLRDECLSEELIDGMVLKFLDQVKNGTWVSNGWPQMFTDYSISKLAVNTYTRLMAKRLSTKPDGEKVYINCFCPGWVKTAMTGWQGNVSAEEGADTGVWVVLKPGRPDTGKFFAERREISF >DRNTG_33303.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23740771:23742795:-1 gene:DRNTG_33303 transcript:DRNTG_33303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKALAKERREKRLQEISELRKVAYALSERWWSSETVAAVTGSNRGIGYEIARQLAVHGLHVIVTSRDSKHGREVVGSLREDGLSVDYCQLDVLNEVSIQTFARWISEKYGGLDILVNNAGVNFNTGSDNSVEYAERVINTNYFGVKRMIEAVIPIMRPSIYGARILNVSSRLGRANGRRNRVDDMTLREQLLRDECLSEELIDGMVLKFLDQVKNGTWVSNGWPQMFTDYSISKLAVNTYTRLMAKRLSTKPDGEKVYINCFCPGWVKTAMTGWQGNVSAEEGADTGVWVVLKPGRPDTGKFFAERREISF >DRNTG_19597.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001023.1:152815:156250:1 gene:DRNTG_19597 transcript:DRNTG_19597.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLNNHYCQVVVIERLPIGVFADPFELQHLVEHQVFLDVAVIGDTNLELPSALSNKSVVEVHMNTTHNVLSRQSEITVELPLHARYPPLDVAGYSKIEFNQPDLLMRCKSQVTQRDFCSWILTGWSDDTHKNGIFWLVPCGNMEHTTLVSSITFISALVSALLIVLSVICRSTNEDMKNS >DRNTG_19597.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001023.1:149537:156250:1 gene:DRNTG_19597 transcript:DRNTG_19597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNAANFSSSILFWRSKVFLFLLWGIVSLKVDSFVLQNDVKRSHPNIVIELPCSQKYLTGYFYEKHGQILESDFHGLAQEVGSDELSRSLVHSLKDVFGFSELNRHLIGEGSHRSLVTNFKLNIKPNDMSWLNNHYCQVVVIERLPIGVFADPFELQHLVEHQVFLDVAVIGDTNLELPSALSNKSVVEVHMNTTHNVLSRQSEITVELPLHARYPPLDVAGYSKIEFNQPDLLMRCKSQVTQRDFCSWILTGWSDDTHKNGIFWLVPCGNMEHTTLVSSITFISALVSALLIVLSVICRSTNEDMKNS >DRNTG_01034.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000061.1:3459:5438:1 gene:DRNTG_01034 transcript:DRNTG_01034.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTSRPITSFGPQSHFVIGACLPTSLNEGDVQSFRTKYSIPSDWRISLPGDPSCPFCFAPGMSFAIHAALLDMGIRFPMPSFIMEFLKLNKLSPAQLHPNSWRFLIGFHIFCFISGLRVSVDLFRASTL >DRNTG_07558.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21760693:21765140:-1 gene:DRNTG_07558 transcript:DRNTG_07558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFSIPSKTSAVSTTSQAFSDDHRTTDSDPKPEYFTVFDGSQPLPTVSPSLVIPPIPNSDFRAAKKMKNLIPPPVSADPDVTSDTQFVLDTSGADAPAGSIPYGLTIRESKLKDESEERDPQLAELRRFREDIKSLPADRGMEEFADVSVENFAAAVLAGYGWKEGMGIGRGNKEDVKVVQYDRRQGKEGLGYDPSSAKDAKKKKDQKVTVKEEKIVRVIDGKHMGLKGKIVEAFEKMDSGSPKVVVLKLLDSGEEVRLGGDMIAELGSVEEEKCLRKLKELDIRRRDEKKSRDRRREEKRSRDGEREATNGELAKSSNQGRKSNEKERVPVQWLRSHIKVRIISKDFKKGKYYLKKGKVVDVVGPMRCDISMDDNGELVQGVHQDMLETAIPKRGGSVLVLYGRHEGVYGRLEERNTEKETGVVRDADSHVLINVNLEQIAEYVGDPSYIGY >DRNTG_07558.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21760693:21765140:-1 gene:DRNTG_07558 transcript:DRNTG_07558.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFSIPSKTSAVSTTSQAFSDDHRTTDSDPKPEYFTVFDGSQPLPTVSPSLVIPPIPNSDFRAAKKMKNLIPPPVSADPDVTSDTQFVLDTSGADAPAGSIPYGLTIRESKLKDESEERDPQLAELRRFREDIKSLPADRGMEEFADVSVENFAAAVLAGYGWKEGMGIGRGNKEDVKVVQYDRRQGKEGLGYDPSSAKDAKKKKDQKVTVKEEKIVRVIDGKHMGLKGKIVEAFEKMDSGSPKVVVLKLLDSGEEVRLGGDMIAELGSVEEEKCLRKLKELDIRRRDEKKSRDRRREEKRSRDGEREATNGELAKSSNQGRKSNEKERVPVQWLRSHIKVRIISKDFKKGKYYLKKGKVVDVVGPMRCDISMDDNGELVQGVHQDMLETAIPKRGGSVLVLYGRHEGVYGRLEERNTEKETGVVRDADSHVLINVNLEQIAEYVGDPSYIGY >DRNTG_07558.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21761264:21765140:-1 gene:DRNTG_07558 transcript:DRNTG_07558.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFSIPSKTSAVSTTSQAFSDDHRTTDSDPKPEYFTVFDGSQPLPTVSPSLVIPPIPNSDFRAAKKMKNLIPPPVSADPDVTSDTQFVLDTSGADAPAGSIPYGLTIRESKLKDESEERDPQLAELRRFREDIKSLPADRGMEEFADVSVENFAAAVLAGYGWKEGMGIGRGNKEDVKVVQYDRRQGKEGLGYDPSSAKDAKKKKDQKVTVKEEKIVRVIDGKHMGLKGKIVEAFEKMDSGSPKVVVLKLLDSGEEVRLGGDMIAELGSVEEEKCLRKLKELDIRRRDEKKSRDRRREEKRSRDGEREATNGELAKSSNQGRKSNEKERVPVQWLRSHIKVRIISKDFKKGKYYLKKGKVVDVVGPMRCDISMDDNGELVQGVHQDMLETAIPKRGGSVLVLYGRHEGVYGRLEERNTEKETGVVRDADSHVLINVNLEQIAEYVGDPSYIGY >DRNTG_13294.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2909878:2912340:-1 gene:DRNTG_13294 transcript:DRNTG_13294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGPPRFDRRRYSAPVRFFTFEASMERRMRLKREQVVVHRDIKASNVILDSEMNARIGDFGLAILYEHGKTPHTTHDVGTLGYMALEISRTRKATTISDVFTFSALLLEVAFGQRPIEKSYPQRETILLDWVKECYLKGKMHEVVDGKLGEEFNQEEMEMVVKLGIVCCSSRPETSDQ >DRNTG_19588.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001023.1:31076:32554:-1 gene:DRNTG_19588 transcript:DRNTG_19588.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSLNLSTLLILFLTIFSTTPLLACGTCPTRPTRPKTPRHPKNPKPPITLPPVLPKPPITIPPVLPKPPITIPPVLPKPPITIPPVLPKPPITVPPVLPKPPITVPPVLPTPPITVPTPPVTIPPVLPVPPIAVPPITIPPVLPTPPVISPVTPPVPVKPPTTKPCPSPAVPKCPINTLKFGGCVKLLGGLGNIIIGNPVSSECCPLVQGLTDFEAAVCLCTTIKLNLLNVKYVFPIALKLLITCGKTPPPGYDCP >DRNTG_13802.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10236878:10238799:1 gene:DRNTG_13802 transcript:DRNTG_13802.8 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTRRKTDMYISLTDNRNQQHEAESSTMKSEQNRILTAGAGSSTAVRTDTHVR >DRNTG_13802.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10236878:10238979:1 gene:DRNTG_13802 transcript:DRNTG_13802.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDSPRQYTSSLHAKIHKHDTSLTRTE >DRNTG_13802.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10236783:10238979:1 gene:DRNTG_13802 transcript:DRNTG_13802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDSPRQYTSSLHAKIHKHDTSLTRTE >DRNTG_13802.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10236878:10238799:1 gene:DRNTG_13802 transcript:DRNTG_13802.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTRRKTDMYISLTDNRNQQHEAESSTMKSEQNRILTAGAGSSTAVRTDTHVR >DRNTG_13802.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10236878:10238799:1 gene:DRNTG_13802 transcript:DRNTG_13802.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDSPRQYTSSLHAKIHKHDTSLTRTE >DRNTG_13802.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10236878:10238799:1 gene:DRNTG_13802 transcript:DRNTG_13802.6 gene_biotype:protein_coding transcript_biotype:protein_coding MYISLTDNRNQQHEAESSTMKSEQNRILTAGAGSSTAVRTDTHVR >DRNTG_13802.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10236783:10238799:1 gene:DRNTG_13802 transcript:DRNTG_13802.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDSPRQYTSSLHAKIHKHDTSLTRTE >DRNTG_13802.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10236878:10238799:1 gene:DRNTG_13802 transcript:DRNTG_13802.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYISLTDNRNQQHEAESSTMKSEQNRILTAGAGSSTAVRTDTHVR >DRNTG_22167.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3770950:3775798:-1 gene:DRNTG_22167 transcript:DRNTG_22167.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSELLQDANLSKFKSQVQSSQEHHFSLLQRETEKLRVDIEKMRSELKYEIDKVTAGQRLDLNLERGRIRDELAKQNSGTMELTTKLDREIHALRAQLEAAKYDVIKYCIGTLVSISAVGLAIARIVM >DRNTG_22167.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3770950:3775798:-1 gene:DRNTG_22167 transcript:DRNTG_22167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLISLRRAVALLRPIPSPKPELAALGGIRFWSGLVKANGNRAFLVDTLALVRKLEAQGLPTKHAEAITSAVTEVLNDSLQNVAQSFVSKEEMQKSELLQDANLSKFKSQVQSSQEHHFSLLQRETEKLRVDIEKMRSELKYEIDKVTAGQRLDLNLERGRIRDELAKQNSGTMELTTKLDREIHALRAQLEAAKYDVIKYCIGTLVSISAVGLAIARIVM >DRNTG_11584.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:12623659:12632257:1 gene:DRNTG_11584 transcript:DRNTG_11584.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTTNGIIKTPSNNGRRKTSQARWKGFHGRRNGGGFPRRLQTPKEEIDEDLAKSELLP >DRNTG_28242.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4476216:4488684:-1 gene:DRNTG_28242 transcript:DRNTG_28242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHFRASILITTLAMLLLSSSLSPLSRSLPLLRSGAGAAPPRLRRSYPCPLWSSSFSFCLSRLPKSTTTPLSAVPFCSSSMASAATADDGFGSNPLLKDFDFPPFHAVEPQHVRPGIRELLRRLEGDLEELERNVEPTWPMLVEPLEKIVDRLQVVWGIVNHLKSVKDSPDLRTAIEEVQPDKVKFQLRLGQSKAIYSAFKAIQDSSSFQTLTEAQKRIVEAQIKEAVLNGVALEDEKREKFNEIEQELERLSQKFSENVLDATKKYEKLINDKKEIAGLPPTALALAAQAAVSKGHENATAENGPWVVTLDAPSYLSIMQHACNRSLREEVYRAYISRASSGGLDNTPIIEQILKLRLEKARLLGYNNYAEVSMAMKMATVERAVELLEKLRNASWDAAVKDIEDLKAFAKEKKAEEANELTHWDITFWSERLRESKYDINEEELRPYFSLPKVMDGLFSLAKMLFDVIVEPADGLAPVWNNDVRFYCVKDSSGNPVAYFYFDPYARPSEKRDGAWMDEVLSRSRLLARNGVPVRLPVAHMVCNQTPPLGGKPSLMTFREVETVFHEFGHALQHMLTKQDEGLVAGIRGVEWDAVELPSQFMENWCYHRDTLMGIAKHYETEEPLPEEVYLRLLAARTFRAGSLSLRQLRFGCLDLELHTKYTPGGPESIYDIDQRVGRQTQVIPPLPEDRFLCSFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLENDKAVKETGHRFRETILALGGGKSPLEVFIEFRGREPSPEALLRHNGLLSVPASA >DRNTG_19105.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:16306899:16308242:-1 gene:DRNTG_19105 transcript:DRNTG_19105.1 gene_biotype:protein_coding transcript_biotype:protein_coding WIPSGHNRGPLDGHVDGLVESPLLIARDPLSGLGYTSPDRCRRKLSQ >DRNTG_23942.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12692795:12697397:-1 gene:DRNTG_23942 transcript:DRNTG_23942.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPTRIRGGEGSLTPYKVPAEKSLNFMPHTKYGCIESREYKDRKHSSEGKGDTPLSHDSPSQPSLTLEF >DRNTG_20063.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26322122:26327507:-1 gene:DRNTG_20063 transcript:DRNTG_20063.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKCLLLFFLFFEALRGGFADTDQQDVNALRSLMSQWKNTPPTWGQSDDPCGAPWEGVICSDSRVVALKLSTMGIQGTLSGDLSQLSELQSLDLSFNSGLGGSLTPNIGNLKNLSTLILAGCSFTGSLPQELGTLPQLSFLALNSNKFTGMIPASLGSLSNLYWLDLADNQLTGNLPISIGTSPGLDLLVNTKHFHFSKNQLSGNVPASLFSSEMTLIHLLLDGNHFTGKIPSTIGLVQSLEALRLDKNGFNQRVPSSISNLTSLTELNLANNKLSGALPNLTGMNHLNYVDLSNNTFTASEAPVWFSTIQSLIALVISSGGLRGKVPKNLFSFPQLQQVILNNNVFNGTLDMGNNISPQLQIVNFQNNEIISYTANSSYNSTLILVGNPACSTQIENTIYCRLEQNQLSSYSTSLANCGSNSCPPDQSLNPQSCSCAYPYTGVMVFRAPNFRGVTNSTLFQQLETSLWTKLGLSPGSVYLENPFFNSDEYLEVQVKLFPSPGMYFNRLEVLRIGFDLSNQTYKPPNIFGPYYFIASPYSFQDVSQKRSTMSKAAVAGIVFSCIVLIIGLMAVGFYALRQRNRAERAAELSKPFASWKTGGKDSDGIPQLKGARWFSFDELKKSSNNFSEINEIGSGGYGKVYKGMLPNGQLVAIKRAQQGSMQGGLEFKTEIELLSRVHHKNLVGLAGFCFEHGEQMLVYEFIPNGTLRESLSGRSCIQLDWIRRLKIALGSAKGLAYLHELANPPIIHRDIKSTNILLDENLNAKVADFGLSKLVSDIEKGHVSTQVKGTLGYLDPEYYMTQQLTAKSDVYSFGVVMLELITARQPIEKGKYIVREVRIVMDKYDDEYCGMRDMMDPIIRNSGNLFSFRRYLELAMQCVEESAAERPTMNEVVKTIEAILQSNGINSNTLNSVPPSATDFGKVGDDAPLHPYDGSLPKKELSSNAFAYSGGYTIPATVEPK >DRNTG_10755.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000458.1:9030:12724:1 gene:DRNTG_10755 transcript:DRNTG_10755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPESDFERLMFFELSRKNAEATYASNPLDADNLTRWGGALLELSQFQGGAEGTKMVEDAISKLEEALQVNPRKHDTLWCLGNAHTSRAFYTPDSDTAKVYFTKATQCFQQALEEDPGNDVYLKSLDMSSKAPELHLELQRQLAGQQALGGGPSSNVKASKKKKDSDLKYDILGWVILGIAVFAWVGMAKSHVPPPLPPR >DRNTG_29294.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11688536:11696737:-1 gene:DRNTG_29294 transcript:DRNTG_29294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGNPSTLVEGDPKLERTLYRMSIKPVHEQKNQAEVKVPTTVKWCNVLLHYRLENSPQSMLSSSRKVVSRLSSEKADVDAPEEMLCPDLYEELLDQVMENEEVWMLGLEDKVQPTLGIMKNMIQKMKRARRCHKKHPKANGDVQERKFKTLSREDKGECVYPYG >DRNTG_34187.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7086816:7087716:-1 gene:DRNTG_34187 transcript:DRNTG_34187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIANISPCNLSFGETQNTLHWADRAKEIKTKGYVINDEAFQVPDSTTDQAKLVLELQKENSELRQQLVRNQQKVAECSSPVTSSKLLSCTFCCLNTAEISTLLRTTQAKAINLIWELLHYS >DRNTG_01950.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32550326:32551024:-1 gene:DRNTG_01950 transcript:DRNTG_01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFDDPKMLESVRLFLLVHSDHEGGNVSGHASHV >DRNTG_34133.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21821582:21826516:-1 gene:DRNTG_34133 transcript:DRNTG_34133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLPWFFAVVACLKLLLIPCYHSTDFEVHRHWLALTSSLPLHQWYSDLSSPWTLDYPPLFAFFEYLLSLPASFFDPSITNLHSHNLSSPSAVLFLRLSVSFSDLILLLAANLLSAPFPPLRRRITLLLLIWSPALLIVDHVHFQYNGYLLGIFLLSLALLAQGRDLLGGIVFAAVLCSKHLFLVAAPVYFVYLLRHYCRGGLWTGTRRLLTMGVAVAAVFAAAFGPFVYYGQIQQVFRRLFPFGRGLTHAYWAPNFWVFYIILDKGLAFILAKFGFSIPTPKASFTGGLVGESSPFAVLPQVTPVVTFLLVLLAMSPCLVKAFFNPQPKHIVRWVSYACACGFMFGWHVHEKASLHFVIPLGIIATNSLDDARHYFLLSIVSCYSMFPLLFEPQEYPIKVLLLVIHAMFMWMGFSTYFSQRTNTKGTKKAMTQRTIAREVN >DRNTG_26043.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9876022:9876365:1 gene:DRNTG_26043 transcript:DRNTG_26043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYKPLPWHTRVEIAIDVAKGLEYIHSHVKPFCVHRDVKTSNILLNSNYRAKISDFGLVKLLEQFPEDGASASKIVGTFGYLSPE >DRNTG_21699.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:940915:945551:1 gene:DRNTG_21699 transcript:DRNTG_21699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRESAYLTALTQEIERKLQKALNSQSQRLELLQQLFADIALEIDDRARDIILRRDEDKIVSADDEFESQLCFYDVLADHFVRVPESGKCILDLIVQLWSQSFASNIFALLFHKWLFEVPIDNPEALLRYGSALVQGSSNVFWIDIQTNTRRFLSLFRYLLEEVALVPSHLQKISLQAHRDLFLLLSRFIIFYNLDYMLESFLKQFPDFPNSFLLGGPADIFVIELADQLQKLKVEPVLLHYLTHMRALQGWELRMTTSTRLKACLYSFTSPGGPMYPTRAVRHAAWDTLDLLFPIGRHPRHVISLFFRLLYPWYWPSSCWNFIITCIRAVFYSILQLIFSSWDTMRRKKM >DRNTG_33607.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28103894:28106298:1 gene:DRNTG_33607 transcript:DRNTG_33607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTEQPCIVQALPAINAAGMGTSSKQNNFKIVHYRRHASRMESQLPCIVQALPAASNKLTPTKQGTTSRKDDRAHTKEGTTSRKDNGAPTKQGTTSRKDDGAPPKWELAIIQAPPSCRYTRSQAAPDWTQQEMLILVNEMAGLEEDWLKSVSSFQRWKIVSDNCSGLRCH >DRNTG_07585.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22168978:22171926:1 gene:DRNTG_07585 transcript:DRNTG_07585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSEVKLGSSKPMIATQEEMMEAKLAIPNRDQCAHLLIPLNKCRVAEFYLPWKCEPERHAYEKCEYELVMERMLQMQKIRELEEKKKKALGKLPQGSPIPLIPSTSNS >DRNTG_08754.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18592574:18598803:-1 gene:DRNTG_08754 transcript:DRNTG_08754.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGGNSDPYRTPAAGSGVSRRYDVQFSASNFIQAPLSALLEYSGILRPRSSHSETESLVGGGVAGPGRPDDSGVPSSGRGEVSIRIIGAGDQMGIGPGSGSLQPMAVGGGREGVAVEHAGMASERQVGDDGSQGGVGEAVNSSNSVPMSSSSSGIGNGMNVEGEAGAAGGNGGGSSYQRYDIQQVARWVEQILPFSLLLLVVFIRQHLQGLAGFIGTIWIAAVMFKSNEILRKQTALKGERKISVLAGITLLFMIHVFGVYWWYKNDDLLYPLVLLPPKEIPPFWHAIFIIMVNDTMVRQAAMVVKCVLLMYYKNSRGRNYRKQGQMLTLVEYLLLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFVTALKALSRKDVHYGSHATTEQVVAAGDLCAICQEKMHAPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSFGDGSTSLFFQLF >DRNTG_08754.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18592574:18598803:-1 gene:DRNTG_08754 transcript:DRNTG_08754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGGNSDPYRTPAAGSGVSRRYDVQFSASNFIQAPLSALLEYSGILRPRSSHSETESLVGGGVAGPGRPDDSGVPSSGRGEVSIRIIGAGDQMGIGPGSGSLQPMAVGGGREGVAVEHAGMASERQVGDDGSQGGVGEAVNSSNSVPMSSSSSGIGNGMNVEGEAGAAGGNGGGSSYQRYDIQQVARWVEQILPFSLLLLVVFIRQHLQGFIGTIWIAAVMFKSNEILRKQTALKGERKISVLAGITLLFMIHVFGVYWWYKNDDLLYPLVLLPPKEIPPFWHAIFIIMVNDTMVRQAAMVVKCVLLMYYKNSRGRNYRKQGQMLTLVEYLLLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFVTALKALSRKDVHYGSHATTEQVVAAGDLCAICQEKMHAPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSFGDGSTSLFFQLF >DRNTG_18083.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:280332:282872:-1 gene:DRNTG_18083 transcript:DRNTG_18083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFQCQYAYHSVHKLNPEVMREAALHFVGKHDFSSFANASHNDRLPNPVKEIFRFDVVEMGHMLQLEVDGTGFLFRQVRNMVALLLQIGREALPPDIIPEILAARDRRALARYALSAPPHGLCLMSINYDTELLKLPAGSPAISFGRTHTVSKCKLPFY >DRNTG_18083.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:280332:280623:-1 gene:DRNTG_18083 transcript:DRNTG_18083.3 gene_biotype:protein_coding transcript_biotype:protein_coding LKVALLLQIGREALPPDIIPEILAARDRRALARYALSAPPHGLCLMSINYDTELLKLPAGSPAISFGRTHTVSKCKLPFY >DRNTG_18083.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:280840:282872:-1 gene:DRNTG_18083 transcript:DRNTG_18083.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFQCQYAYHSVHKLNPEVMREAALHFVGKHDFSSFANASHNDRLPNPVKEIFRFDVVEMV >DRNTG_00685.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30100216:30103831:1 gene:DRNTG_00685 transcript:DRNTG_00685.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDX2 [Source:Projected from Arabidopsis thaliana (AT5G60540) UniProtKB/TrEMBL;Acc:A0A178UA48] MTTVGVLALQGSFNEHMAALRKIGVKGVEVRKPEQLESVDSLIIPGGESTTMAKLAHYHNLFPALREFVNSGKPVWGTCAGLIFLANKAVGLKTGGQELVGGLNCTVHRNFFGSQLQSFETELSVPKLAEQEGGPDACRGVFIRAPAILEVGPDVEILADYPVTSDKLGSMAPRDEDKENEMGPLDRVIVAVRQGNLLGTAFHPELTADTRWHSLFLRMGEVKGERSLQCASASNSDDPEGKGTPDLPIFE >DRNTG_30194.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6519220:6521004:1 gene:DRNTG_30194 transcript:DRNTG_30194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSSSKLCSIRCCAVMISLYPLSRHWNRCWTSVRNNSNLATKDGEPSLSSRSDSPLLNNLDGNKGRNPKGGNRSHHSHASSVLNQPHAMDELSNVRSREDSSQTNKLALMGKSLCH >DRNTG_03329.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15185146:15189986:1 gene:DRNTG_03329 transcript:DRNTG_03329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQSPCPPTTVRRNPHRKARKTPSTLPPRDPPPSAPSTIAPFPIDELLHADPPPDPPHKSQSLSEKLNVFLRIRPLDIVPPKLGKNPSAAALKKKGKGKSPNNLKGRNKNVCLVMNDSSSVTLSAPISALESKRAKSEVYDGFSHVFPSDSIQKEVYERVMDPLVEGFMDGKSALLVALGPTGSGKTHTMFGCPRDPGMVPRALQKIFSHLNESGDSHPSRSYYLSMFEIYSEWGKSERILDLSPNGVELSLQQSSVKGLQEVMACNLTQAESLIAHGMLKRATAATNANNQSSRSQCIINIRNDLRNFDGKKEFLSSGAVLTIADLAGAEKARKTG >DRNTG_03329.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15185146:15189380:1 gene:DRNTG_03329 transcript:DRNTG_03329.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQSPCPPTTVRRNPHRKARKTPSTLPPRDPPPSAPSTIAPFPIDELLHADPPPDPPHKSQSLSEKLNVFLRIRPLDIVPPKLGKNPSAAALKKKGKGKSPNNLKGRNKNVCLVMNDSSSVTLSAPISALESKRAKSEVYDGFSHVFPSDSIQKEVYERVMDPLVEGFMDGKSALLVALGPTGSGKTHTMFGCPRDPGMVPRALQKIFSHLNESGDSHPSRSYYLSMFEIYSEWGKSERILDLSPNGVELSLQQSSVKGLQE >DRNTG_09800.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26821402:26826019:1 gene:DRNTG_09800 transcript:DRNTG_09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIGSQSLLSFSSNLCKTKVVINANVNNVKVNGRLKIVCQGMLAPRKFMQRRKKVEVFKDAADEAKQKNWRRLMKEIEDEGSAVSVLRNQRNKGDPLPRDLVLGTLVRFKQLKKWNLVAEILDWLRCQHWWDFSEMDFLMLITAYGKLGDFNRAERVLKYMNKKGYTPSVISHTALMEAYGRAGQFNKAETVFRRMQLSGPEPSPVTYQIILKTFVEGNKFNEADAVFQSLLTEERAPFKPDQKMFHMMIYMYKKAGNYDKARKIFGQMADRGISQSTVTFNSLMSFETSYKEVSNIYDQMQRAGLRPDVVSYALLIKAYGNARREDEALAVFEEMLDAGVRPTRQAYNILLDAFGRCGMVEEAKTVFKSMRRDRCNPDLCSYATMLSAYVNASNMEGAEKFFKRLKDDRLQPNVVTYGTLMKGYAKENDLEKVMQVYERMRMEGVEANQTIFTTIMDAHGKNSDFGSAVIWFKEMCSRGLPPDQKAKNILLSLAKAPEEQAEARVLVGESVSKLSLNTVNELSQVLDDDDDGEQEEDDDVSAPDLGNTTGEKLNEFDDDDEDDEDDVDTSLDSFDANHLISSRG >DRNTG_08850.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27861720:27865935:-1 gene:DRNTG_08850 transcript:DRNTG_08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQVEAINNHLAPQLRIPYRLRVAVADVFFSDGRDGDDTCSCLVSLIILCFFVSLILILGFFSSFDLVLGPNSSRLLRSNSFLVQDVQVKAVSGPKHELMLYGFSDPPPLDINVAWSESHDSSVPPNAQKAWVYYLNQGAHINISYSITPQGLYPLILVIAQGRESLSRWIEDPSDPNVTLFWKLIDGTGIVHQDIVEPSDHYIAVANLNQIKMEVQLRFGIQALFYNTSGAYFKCSLHHKQCDWKLFFLQENSAILTTSQDDANNEWYVRLSYGPRWSSYFICSGVVTLSIFMVYKIVVTLQSNSTGEGTPQVAEGVTETTSLLAGEDIDDQSLGSSYDSVSNDEETDQLTALYLPIDGESEKHQCLCMICGNAQKDCFFLPCGHCATCFTCGNRLLNNGDTCPVCQRNTKKLRKIFSA >DRNTG_08850.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27861720:27864637:-1 gene:DRNTG_08850 transcript:DRNTG_08850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIHMFLRCISGRESLSRWIEDPSDPNVTLFWKLIDGTGIVHQDIVEPSDHYIAVANLNQIKMEVQLRFGIQALFYNTSGAYFKCSLHHKQCDWKLFFLQENSAILTTSQDDANNEWYVRLSYGPRWSSYFICSGVVTLSIFMVYKIVVTLQSNSTGEGTPQVAEGVTETTSLLAGEDIDDQSLGSSYDSVSNDEETDQLTALYLPIDGESEKHQCLCMICGNAQKDCFFLPCGHCATCFTCGNRLLNNGDTCPVCQRNTKKLRKIFSA >DRNTG_08850.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27864677:27865935:-1 gene:DRNTG_08850 transcript:DRNTG_08850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQVEAINNHLAPQLRIPYRLRVAVADVFFSDGRDGDDTCSCLVSLIILCFFVSLILILGFFSSFDLVLGPNSSRLLRSNSFLVQDVQVKAVSGPKHELMLYGFSDPPPLDINVAWSESHDSSVPPNAQKAWVYYLNQGAHINISYSITPQGLYPLILVIAQGKHRPFPCSLHDVYSYYEDLLSH >DRNTG_23930.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1110262:1113943:-1 gene:DRNTG_23930 transcript:DRNTG_23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDEAIIEHKAYARIGLLGNPSDVYYGHTISFSLANFWASARLQPSPDLVIKPHPVHDLVSFSSIGHLIKRLESEGYYGGVRLLMALCKVFYKYCSDNNIELKKGNFTLSYDTNIPRQTGLSGSSAIVCAALNCLLDFYKVRHLIKVEIRPDLILAAEKELGIIAGLQDRVAQVYGGLVDMDFSKECLNKLGHGKYTPMDISLLPPLYLVYAENPSDSGKVHSTVRKRWLEGDKFIISSMKEVAKDRS >DRNTG_28729.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2360181:2366781:1 gene:DRNTG_28729 transcript:DRNTG_28729.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRCIWELSSARSVHRRLTSQMPFLLSARNGFSNTSQRDQSQRPAPPGKISAEEPSHRGNAGSKLVLGTVVFGVALLAAYQTGYIDQFFQKGEQSSAKSTTFDSIKAPEDLKQSVHEEALLSDEKTSTAMPNADIVEDKDRNEHLKVLKDITEDVVKEKAPEEGRIPVEVNESAQIAHETLEPAAEQTMDPQIASDDSLIVNNKDSVKQNEMTESESSTEQNDRIDSSTHVSEETGITNASHEGMTIETPKVSIDEEKVQKPLAHSYSLQEDELPDVSVNQERAGAFLTTAEDKEDPKDINKPEDGRILLDLIDAIHAAEKKQAETDAFIFAEEKRKLKEKYEKDLKDARARELMYAEEAAILDKELNKEKAKAAATIKLLEENAEKHLREELQHKEEEAQELVQKVKDLAKAELAAAIAAEKSSQIERIAEANLNINALCMAFYARSEEARQSHSIHKLALGTLALEDALSQGLPIRAEIDALHKSLDGIDRDSLLSLALSSLPEEILSFGTYTQMQLNQKFDSLKGTLRHFSLIPAGGGGILAHTVAHIASSIKMKGGEDGDNIESLICRVEKYLLDGNLAEAANTLEVGVRGSEAEGAVIEWVRQARNRAIAEQALAVLQSYASSITFS >DRNTG_28729.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2360861:2366781:1 gene:DRNTG_28729 transcript:DRNTG_28729.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFDFVCLSLMSCKQMPFLLSARNGFSNTSQRDQSQRPAPPGKISAEEPSHRGNAGSKLVLGTVVFGVALLAAYQTGYIDQFFQKGEQSSAKSTTFDSIKAPEDLKQSVHEEALLSDEKTSTAMPNADIVEDKDRNEHLKVLKDITEDVVKEKAPEEGRIPVEVNESAQIAHETLEPAAEQTMDPQIASDDSLIVNNKDSVKQNEMTESESSTEQNDRIDSSTHVSEETGITNASHEGMTIETPKVSIDEEKVQKPLAHSYSLQEDELPDVSVNQERAGAFLTTAEDKEDPKDINKPEDGRILLDLIDAIHAAEKKQAETDAFIFAEEKRKLKEKYEKDLKDARARELMYAEEAAILDKELNKEKAKAAATIKLLEENAEKHLREELQHKEEEAQELVQKVKDLAKAELAAAIAAEKSSQIERIAEANLNINALCMAFYARSEEARQSHSIHKLALGTLALEDALSQGLPIRAEIDALHKSLDGIDRDSLLSLALSSLPEEILSFGTYTQMQLNQKFDSLKGTLRHFSLIPAGGGGILAHTVAHIASSIKMKGGEDGDNIESLICRVEKYLLDGNLAEAANTLEVGVRGSEAEGAVIEWVRQARNRAIAEQALAVLQSYASSITFS >DRNTG_28729.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2360373:2366781:1 gene:DRNTG_28729 transcript:DRNTG_28729.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWCCRCIWELSSARSVHRRLTSQMPFLLSARNGFSNTSQRDQSQRPAPPGKISAEEPSHRGNAGSKLVLGTVVFGVALLAAYQTGYIDQFFQKGEQSSAKSTTFDSIKAPEDLKQSVHEEALLSDEKTSTAMPNADIVEDKDRNEHLKVLKDITEDVVKEKAPEEGRIPVEVNESAQIAHETLEPAAEQTMDPQIASDDSLIVNNKDSVKQNEMTESESSTEQNDRIDSSTHVSEETGITNASHEGMTIETPKVSIDEEKVQKPLAHSYSLQEDELPDVSVNQERAGAFLTTAEDKEDPKDINKPEDGRILLDLIDAIHAAEKKQAETDAFIFAEEKRKLKEKYEKDLKDARARELMYAEEAAILDKELNKEKAKAAATIKLLEENAEKHLREELQHKEEEAQELVQKVKDLAKAELAAAIAAEKSSQIERIAEANLNINALCMAFYARSEEARQSHSIHKLALGTLALEDALSQGLPIRAEIDALHKSLDGIDRDSLLSLALSSLPEEILSFGTYTQMQLNQKFDSLKGTLRHFSLIPAGGGGILAHTVAHIASSIKMKGGEDGDNIESLICRVEKYLLDGNLAEAANTLEVGVRGSEAEGAVIEWVRQARNRAIAEQALAVLQSYASSITFS >DRNTG_33108.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001953.1:22873:23651:-1 gene:DRNTG_33108 transcript:DRNTG_33108.3 gene_biotype:protein_coding transcript_biotype:protein_coding SSHLAQARTLSTLKFHMMGNRKSNS >DRNTG_33108.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001953.1:22873:25215:-1 gene:DRNTG_33108 transcript:DRNTG_33108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLADLFNHKTGAENVHFTSESLPSDSDEEDDAVVTDTSGYDQSLNADLNNNSAGDTPVAQQGYNHDPASREHSDGDPDTLEMIIVRDVEAGTEVFNTYGLMGNAALLHRYGFTEPDNPFDIVNIDLSLVLEWSSSTFSNRHSRMRLSLWRKLKFSPCASQDSEYFEVSYDGEPQIELIVLLYIIILPDDTYEKLSYLIDSFKDGDEISKITKLTQITTSECSKKSDEVKELLLNRSVCIALLSLADRRESLYGSNSVEDDLNKLKTCCSLNERKFYHSLTLRVSERTILAKLRAYASRSLKSMKRKR >DRNTG_33108.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001953.1:22873:24850:-1 gene:DRNTG_33108 transcript:DRNTG_33108.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVRDVEAGTEVFNTYGLMGNAALLHRYGFTEPDNPFDIVNIDLSLVLEWSSSTFSNRHSRMRLSLWRKLKFSPCASQDSEYFEVSYDGEPQIELIVLLYIIILPDDTYEKLSYLIDSFKDGDEISKITKLTQITTSECSKKSDEVKELLLNRSVCIALLSLADRRESLYGSNSVEDDLNKLKTCCSLNERKFYHSLTLRVSERTILAKLRAYASRSLKSMKRKR >DRNTG_11759.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:699028:706168:1 gene:DRNTG_11759 transcript:DRNTG_11759.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVDLGKYLYLPLIDAKKSIGLKVNLFAVVTEISRPKRSRGHDFVMTLKVADQSSPGLYVNFFAEDTGKLPNVQSNGDIICLRRVVVVSMTIMYAFHLFNSQKRFYFYILLLCVLSFLWNIFLVYYWNVVIFKLISLVSLLKWKLKYFVDYLY >DRNTG_11759.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:699028:706168:1 gene:DRNTG_11759 transcript:DRNTG_11759.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVDLGKYLYLPLIDAKKSIGLKVNLFAVVTEISRPKRSRGHDFVMTLKVADQSSPGLYVNFFAEDTGKLPNVQSNGDIICLRRVVMRVHNGECYGSYDKHFSSFALFEGKARESFKAYQTSINYQASNNEKELLSKLRTHFYDHKSDAVMKSCLLRLNSVKTETVFDLICRVLHVCEISDDQWMIYVWDGTDTPCAPCHKDLNAEGEDPLPLNLDIVPLSRKILQTFPCVGTVFRIVVRKYFEEIPQLSDGCWVKLCNLTCELHYGIWRGLLHGSSKVHILSDEDENVKSNMRDYQDRLASGARVQSLTCSPRHSYMTGTDFEKEPCVALIKSLACRKVTHKFKSIVRVVAAYPWKGEHLRSPVQGHYRVRLTLEDSTARIHAYIHGDDGVKFFGGHPEVEKLSTKMRRLLGIKENIGVEEAATSVRDPPWVCCCLKSYYLDKSDTWGSRRYRIFGTYILD >DRNTG_11759.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:699028:706168:1 gene:DRNTG_11759 transcript:DRNTG_11759.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVDLGKYLYLPLIDAKKSIGLKVNLFAVVTEISRPKRSRGHDFVMTLKVADQSSPGLYVNFFAEDTGKLPNVQSNGDIICLRRVVQMRVHNGECYGSYDKHFSSFALFEGKARESFKAYQTSINYQASNNEKELLSKLRTHFYDHKSDAVMKSCLLRLNSVKTETVFDLICRVLHVCEISDDQWMIYVWDGTDTPCAPCHKDLNAEGEDPLPLNLDIVPLSRKILQTFPCVGTVFRIVVRKYFEEIPQLSDGCWVKLCNLTCELHYGIWRGLLHGSSKVHILSDEDENVKSNMRDYQDRLASGARVQSLTCSPRHSYMTGTDFEKEPCVALIKSLACRKVTHKFKSIVRVVAAYPWKGEHLRSPVQGHYRVRLTLEDSTARIHAYIHGDDGVKFFGGHPEVEKLSTKMRRLLGIKENIGVEEAATSVRDPPWVCCCLKSYYLDKSDTWGSRRYRIFGTYILD >DRNTG_30975.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2491052:2493759:1 gene:DRNTG_30975 transcript:DRNTG_30975.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRTYYQQFEEQQTQSLIDQRIKEHLGNAGAFPHQVGATFNQHLMSLQSNPQRPRLPVMPPPLLHPGGQPPLNQGLLPGVRPPVLPRPLIPGYGAPPTIPLPNMPPGALPRPPTLSPPVTGGVPTATSSSVIPGSFDAFNSAAASGFQRPPTSVPGAPGAATTSQDGYTYGQASETSH >DRNTG_30975.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2491052:2493759:1 gene:DRNTG_30975 transcript:DRNTG_30975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRTYYQQFEEQQTQSLIDQRIKEHLGNAGAFPHQVGATFNQHLMSLQSNPQRPRLPVMPPPLLHPGGQPPLNQGLLPGVRPPVLPRPLIPGYGAPPTIPLPNMPPGALPRPPTLSPPVTGGVPTATSSSVIPGSFDAFNSAAASGFQRPPTSVPGAPGAATTSQDGYTYGQASETSH >DRNTG_25742.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18453848:18458750:-1 gene:DRNTG_25742 transcript:DRNTG_25742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRVTKRSRRSKERDGVMSPSHPRMHPSLFSSYLLHDFSTRKL >DRNTG_25742.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18458134:18458750:-1 gene:DRNTG_25742 transcript:DRNTG_25742.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRVTKRSRRSKERDGVMSPSHPRMHPSLFSSV >DRNTG_32428.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10959253:10959598:1 gene:DRNTG_32428 transcript:DRNTG_32428.2 gene_biotype:protein_coding transcript_biotype:protein_coding VIWCLAHTLWIGNSVAVAASAGLIAHHLFGVWNGDRRLAIRYGEAFEALKNRTSVVPFTAVLEGRQKLPKDYHKEFIRLPYFTITVLTLGAYFAHPLMQASSFQLHW >DRNTG_32428.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10958959:10959598:1 gene:DRNTG_32428 transcript:DRNTG_32428.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISFPSFSYTLPPSILWIGNSVAVAASAGLIAHHLFGVWNGDRRLAIRYGEAFEALKNRTSVVPFTAVLEGRQKLPKDYHKEFIRLPYFTITVLTLGAYFAHPLMQASSFQLHW >DRNTG_23680.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10269946:10273312:1 gene:DRNTG_23680 transcript:DRNTG_23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPTLSKATTSSAPTSPTPTPNPTPTAPPLPSSTLTIVTSLSWPFLISFLHRELLVSSISGILTDGSTFPYSIPQDFPTSFSNFPNTDLKTLIETAIDLTQIQTSISIVSTLFGSLPLAILLPSNLSLSALSYSNPTLDFTFNSSTSISSCYIIASAVTLPDFINANRNQFSRLRARSSASVIYGFLSTSSEAQSFP >DRNTG_30996.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3554997:3556076:1 gene:DRNTG_30996 transcript:DRNTG_30996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDAEKQASIPSSQTNPCRLYSGRTLLKHKTSELRHKENKT >DRNTG_24543.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2413411:2415559:-1 gene:DRNTG_24543 transcript:DRNTG_24543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSSTPAKRRQGGAQDYDGCRNSSNSVVKNFERKGNGWASKGEGEVIGTGGDGNGTEQVRSIDSLETRAMAWDEAEKAKYMARYKREEVKIQAWENHQKRKAETGMRRMEVKAERMKIRAQEKLANKLAATRRIAEEKRANAEAKLNERAARTSERADYIRRTGHLPFSFLSFKLPSLCG >DRNTG_09256.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:479749:481395:-1 gene:DRNTG_09256 transcript:DRNTG_09256.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVRALGRSRPFGRCREASHVSTGDFEAKIQRDNDASSITDLSSSLQNEVTTNPFVNVYRALNDQKSMADRFERWIVEHGRHYKDESEKQLRFEIFKAKVAYIEYFNAGNHMYTLAINKFADLTKEEVTAQYTGFIPPDEDEDFGHIDSSSEDSSSEDESLV >DRNTG_09256.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:462246:462948:-1 gene:DRNTG_09256 transcript:DRNTG_09256.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYTCNVYRAVNDQKSMADRFERWIVEHGRHYKDASEKQLRFEIFKAKVAYIEYFNAGNHMYTLGINKFADQTGEEMKAWCAGSIPPDEDEDFGHIDSSSDEDEDFGHMDSSSEDESLV >DRNTG_09256.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:462246:481395:-1 gene:DRNTG_09256 transcript:DRNTG_09256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVRALGRSRPFGRCREASHVSTGDFEAKIQRDNDASSITDLSSSLQNEVTTNPFVNVYRALNDQKSMADRFERWIVEHGRHYKDESEKQLRFEIFKAKVAYIEYFNAGNHMYTLGINKFADQTGEEMKAWCAGSIPPDEDEDFGHIDSSSDEDEDFGHMDSSSEDESLV >DRNTG_09256.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:479749:481395:-1 gene:DRNTG_09256 transcript:DRNTG_09256.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVRALGRSRPFGRCREASHVSTGDFEAKIQRDNDASSITDLSSSLQNEGADTTTASHLTTNPFVNVYRALNDQKSMADRFERWIVEHGRHYKDESEKQLRFEIFKAKVAYIEYFNAGNHMYTLAINKFADLTKEEVTAQYTGFIPPDEDEDFGHIDSSSEDSSSEDESLV >DRNTG_20395.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19320215:19329506:-1 gene:DRNTG_20395 transcript:DRNTG_20395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQKGQTSLQVPQTLKPPGAVPPPPPPLQGESHKTPGPPPPPKTSNAPAPPAPSMKGRLTTSSGKGRGSATATNATNAPKKATLKPLHWVKVTRAMQGSLWADTQKQENQSKAPEIDLSELESLFSTSIATDGSGTDKGGHRRGLSTSKPETVHLVDLRRANNCSIMLTKIKMPLQEMISAILALDTSVLDIDQVENIIKFCPTKEEMEMLKNYTGNKEMLGKCEQFFLELMRVPRVESKLRVFSFRITFSTQVNELRSYLNTINDASREVKESFKLRQIMQTILTLGNALNQGTARGSAVGFKLDSLLKLSDTRSRNNKMTLMHYLCKLLSEKMPELLDFDKDLIHLEAASKIQLKMVAEEMQAVSKGLEKVEQELSASESDGAVSMGFQKALKSFLHTAEADVRSLISLYSEVGRNADSLAQYFGEDPARCPFEQVTSILINFVNMFKKSREENERLAEAEKKKLEKEAMKEKANPSLKKDNADANSNFPS >DRNTG_35392.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1654234:1657480:-1 gene:DRNTG_35392 transcript:DRNTG_35392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDTQIPTRFDPFAEANAENSGAGAGSKEYVHVRTQQRNGRKSLTTVQGLKKEFSYSKILKDLKKEFCCNGTVVEDPELGQVIQLQGDQRKNVATFLVQAGIVKKDNIKIHGF >DRNTG_07419.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7237492:7238556:-1 gene:DRNTG_07419 transcript:DRNTG_07419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGESKKRTMETTTAFSISKKGKRQEEPKRVSSDVERPPGVFEFPWGASGFVHESDGWDLGDVFFSSLVDGRSAAIGFPGDRLSHYPAIRIDLSWPLDGEMDGLDCVWQSVLGSTFSA >DRNTG_17396.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000893.1:139006:143620:-1 gene:DRNTG_17396 transcript:DRNTG_17396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAVSQVATRLAGLLSQEFWLLFGVRDEVEWMEREHRWIKCFLKDADAKGKRDERVKNWVIDVIQVAYQAEDAIDTFLLKVDHDQSHGWLSRIKYCY >DRNTG_17396.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000893.1:143146:143620:-1 gene:DRNTG_17396 transcript:DRNTG_17396.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAVSQVATRLAGLLSQEFWLLFGVRDEVEWMEREHRWIKCFLKDADAKGKRDERVKNWVIDVIQVAYQAEDAIDTFLLKVDHDQSHGWLSRIKYW >DRNTG_18642.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6624416:6626314:-1 gene:DRNTG_18642 transcript:DRNTG_18642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQRMHLHAEMSPGGNWTRRPHTPIADESRQPCPTESQNLPSCQHHYYHRDVLDCD >DRNTG_17211.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:298288:302691:1 gene:DRNTG_17211 transcript:DRNTG_17211.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQCANISHSWEFPVNILYSKTPCEDYVESAVKQTMTIHISSPPGDILIFMTGQDEIEATCYALAEHVPKLSILPIYSQLPADLQAKIFQKAEDGARKCILATNIAETSLTVYGLLYVIDTGYGKMKVYNLRMGMEALQVFPVSRAAADQQAGRAGTGLGTCYCLYTESACQNEMLPNPVLEIQRTNLGNVVLLLKSLKIENLLDFDFMDPPPEENILNSMYHLWVLGALNNAGSLTELGWKMVEFPWIHHWRRCC >DRNTG_17211.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:298288:302691:1 gene:DRNTG_17211 transcript:DRNTG_17211.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHQCANISHSWEFPVNILYSKTPCEDYVESAVKQTMTIHISSPPGDILIFMTGQDEIEATCYALAEHVPKLSILPIYSQLPADLQAKIFQKAEDGARKCILATNIAETSLTVYGLLYVIDTGYGKMKVYNLRMGMEALQVFPVSRAAADQQAGRAGTGLGTCYCLYTESACQNEMLPNPVLEIQRTNLGNVVLLLKSLKIENLLDFDFMDPPPEENILNSMYHLWVLGALNNAGSLTELGWKMVEFPWIHHWRRCC >DRNTG_17211.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:298288:302691:1 gene:DRNTG_17211 transcript:DRNTG_17211.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHISSPPGDILIFMTGQDEIEATCYALAEHVPKLSILPIYSQLPADLQAKIFQKAEDGARKCILATNIAETSLTVYGLLYVIDTGYGKMKVYNLRMGMEALQVFPVSRAAADQQAGRAGTGLGTCYCLYTESACQNEMLPNPVLEIQRTNLGNVVLLLKSLKIENLLDFDFMDPPPEENILNSMYHLWVLGALNNAGSLTELGWKMVEFPWIHHWRRCC >DRNTG_20214.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6368155:6369853:-1 gene:DRNTG_20214 transcript:DRNTG_20214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKVHFPTLALAFLLLFVFQVCCFEVVGGPGKMIPSPNGGNGWVFPMPDCGKLCGVRCSKHSRPNLCKRACGTCCFRCKCVPPGTYGNREVCGSCYVNMTTHHNKPKCP >DRNTG_17952.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4820593:4821221:1 gene:DRNTG_17952 transcript:DRNTG_17952.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEPPSSQPWNPHTQSSSNEILRELRDQDGHRRKRERKGKKLQDSSFNMDKYIAIRECENKEYLEVLKGTQVVEKHTIEDCMKVFNEMSGIFTEEEMFKATQIFIKDKSYRELFLCLQENHKVPWLKTMFSKIE >DRNTG_30395.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:72080:74580:-1 gene:DRNTG_30395 transcript:DRNTG_30395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPSSPLSRELKIEITGGNLDFPQKAHALAEKIPLLSSGPSSSCSTLPSEASGEVPELPRPLEALQSSPIPPFLSKTYDLVDDPVLDRLISWGPNGLSFIVWDPAEFSMSILPRHFKHNNFSSFIRQLNTYIASEEDSVEPLLHGLKA >DRNTG_30395.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:72080:74580:-1 gene:DRNTG_30395 transcript:DRNTG_30395.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPSSPLSRELKIEITGGNLDFPQKAHALAEKIPLLSSGPSSSCSTLPSEASGEVPELPRPLEALQSSPIPPFLSKTYDLVDDPVLDRLISWGPNGLSFIVWDPAEFSMSILPRHFKHNNFSSFIRQLNTYIASEEDSVEPLCILYTIL >DRNTG_05742.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7194777:7195896:1 gene:DRNTG_05742 transcript:DRNTG_05742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSSSSLAAKRLEGKVALITGGSRGIGECTARLFAKHGAKVIIADIEDQLGTSVCNDIGPDTACFIHCDVTVEDDIKNAVDLAVSKYGKLDIMFNNAGLINKARPLVDSEKSEFDKVMSVIVTGAYLGTKHAAQVMIPAKSGSIIATASVASVIGGTGTHAYTAAKHALVGLTKSAAVELGQFGVRVNCVSPYAVATKLLAGFTEFDQLEEDGFEKLMSLHANLKGPVLKAEDVAHAVLYLASDEAKYVSGHNLLVDGGFTIVNPSLLALDPAALPK >DRNTG_12181.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19054348:19057259:1 gene:DRNTG_12181 transcript:DRNTG_12181.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 25 [Source:Projected from Arabidopsis thaliana (AT4G29150) UniProtKB/TrEMBL;Acc:F4JMV6] MGRATRWLRNLLGGKKENNKNTSNDNNINNNNNIIINNNNGNNGNNGYNEDKREKKRWSFTKSSRDSNAGMEIQTDHLHHPRTTTTDSSAWLHSFYSKSEKEQNKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSQGRGTMFSGVQERHAAVKIQTAFRGFLAKKALRALKALVKLQALVRGYLVRKQAAATLHSMQSLIRAQATVRAQRSRKLQPETRPRRSLERFDECRSEQLGQFHSWRMSDTSSNSFDRSPKIVEIDTGRPKSRSSRRTSANLIDSAEDILLPSVSSPLPCNIPARISIPEYKNYQDFDWCFANEKCRSSVTAQSTPRYMNSNSMAAPVTPAKSVSAADGVFRRFLIAGNGPNYMANTQSFEAKVRSQSAPKQRPEPIAGLRKRLPLTEMIGSRASLSGVGMQRSCTQVQEAFNFKSAVIGRLDRSADLSRDLDKDYHHYLQRKW >DRNTG_12181.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19054348:19057259:1 gene:DRNTG_12181 transcript:DRNTG_12181.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 25 [Source:Projected from Arabidopsis thaliana (AT4G29150) UniProtKB/TrEMBL;Acc:F4JMV6] MGRATRWLRNLLGGKKENNKNTSNDNNINNNNNIIINNNNGNNGNNGYNEDKREKKRWSFTKSSRDSNAGMEIQTDHLHHPRTTTTDSSAWLHSFYSKSEKEQNKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSQGRGTMFSGVQERHAAVKIQTAFRGFLAKKALRALKALVKLQALVRGYLVRKQAAATLHSMQSLIRAQATVRAQRSRKLQPETRPRRSLERFDECRSEQLGQFHSWRMSDTSSNSFDRSPKIVEIDTGRPKSRSSRRTSANLIDSAEDILLPSVSSPLPCNIPARISIPEYKNYQDFDWCFANEKCRSSVTAQSTPRYMNSNSMAAPVTPAKSVSAADGVFRRFLIAGNGPNYMANTQSFEAKVRSQSAPKQRPEPIAGLRKRLPLTEMIGSRASLSGVGMQRSCTQVQEAFNFKSAVIGRLDRSADLSRDLDKDYHHYLQRKW >DRNTG_12181.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19054348:19057259:1 gene:DRNTG_12181 transcript:DRNTG_12181.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 25 [Source:Projected from Arabidopsis thaliana (AT4G29150) UniProtKB/TrEMBL;Acc:F4JMV6] MFTFWLQERFDECRSEQLGQFHSWRMSDTSSNSFDRSPKIVEIDTGRPKSRSSRRTSANLIDSAEDILLPSVSSPLPCNIPARISIPEYKNYQDFDWCFANEKCRSSVTAQSTPRYMNSNSMAAPVTPAKSVSAADGVFRRFLIAGNGPNYMANTQSFEAKVRSQSAPKQRPEPIAGLRKRLPLTEMIGSRASLSGVGMQRSCTQVQEAFNFKSAVIGRLDRSADLSRDLDKDYHHYLQRKW >DRNTG_05732.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9334785:9337780:1 gene:DRNTG_05732 transcript:DRNTG_05732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVQLSPSGVHLLALHSIVTAKNSWNVEARRCRIIIGLRCDEDTVVFNKRKTHSEFEGKYFSRTYERHRESIKKTLSEVVQKRGEEESFVKLLLVYIIGTMLFLNTSCPVPNWLVDYVDDLPTMWRYAWVQAAHKCLMDDVPQMAARVQASKKMRFGKTPRILCYWENSFRKQASLDSLLSSIEGKEFSSLTPANVKEESFIRTSHFGEQVASAIRPVTKSGDKTARKHGRSPSPPRSPPRRCRCGSGFGNPLRGVVNEDIALQLLEGFKNLAQTFGELQTRVEELRVVALSRLHR >DRNTG_06477.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16889986:16890755:1 gene:DRNTG_06477 transcript:DRNTG_06477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGTPLHGPRIKLIEKAQNLFAETKEHIFESKAAEEHAKLLRIQHELEVSTKQAIFIDSSISDTIRTCISTGNHRAAMKVRTEFKVPEKRWYWLKALALSTRGDWAAL >DRNTG_06477.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16889986:16890755:1 gene:DRNTG_06477 transcript:DRNTG_06477.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGTPLHGPRIKLIEKAQNLFAETKEHIFESKAAEEHAKLLRIQHELEVSTKQAIFIDSSISDTIRTCISTGNHRAAMKVRTEFKVGSNVLRLITLITCLCFPFHFPMS >DRNTG_23429.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21229755:21231241:1 gene:DRNTG_23429 transcript:DRNTG_23429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTSMKKVGCLTRRQRCPCGDEKCFIQEELDEEVALIDPACKTKYAQETIHSSEIDAFGAAPYIGQIFWSDDEAQEYYTSFAQKNGFAIRRERSKGNAEHPLGVFKRELVCHRAGPPLARKSGEEKRQRKTKSARCQCEARMVIKKDVASGVTRWLVVKFNNVHNHELLNINDVHHLPSYRYIPAVDREHILVLAKAGCSVSLIMKTLEMEKGVKSGELTFT >DRNTG_28794.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28816429:28818728:1 gene:DRNTG_28794 transcript:DRNTG_28794.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGQSCLISRALPSSCEQESKWAYITFRLLEISKGKRSLCEESGEVTQGGDSVKRTRRLPEVSDCPGSQEMELPVNESNQEGVTGEYSDTNSLIHPIGRDISINCLLYHCSRSDYGAIASLNSSFRSLIRSGELYKLRRLNKITEHWIYFSCNVLEWEAYDPYRGRWMTLPRMPHNECFMCSDKESLAVGTELLVFGKEVTSHIVLKYSILTNSWSNGVVMNSPRCLFGSASLGEKAIVAGGTDAQGTILNSAELYNSETQTWVTLPGMNRPRKMCSGVFMNDKFYVIGGMASNTEVLTCGEEYDLEKGVWRIIPNMSSGLNGAAGAPPLVAVVNNDLYAADYAVKEVRKYDKENNSWVTLGSLPERSVSMNGWGLAFRACGEQLIVIGGPRAMGGGMIELNSWIPRDGPPEWNMIASKHSGSFVYNCAVMGC >DRNTG_28794.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28816429:28818803:1 gene:DRNTG_28794 transcript:DRNTG_28794.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGQSCLISRALPSSCEQESKWAYITFRLLEISKGKRSLCEESGEVTQGGDSVKRTRRLPEVSDCPGSQEMELPVNESNQEGVTGEYSDTNSLIHPIGRDISINCLLYHCSRSDYGAIASLNSSFRSLIRSGELYKLRRLNKITEHWIYFSCNVLEWEAYDPYRGRWMTLPRMPHNECFMCSDKESLAVGTELLVFGKEVTSHIVLKYSILTNSWSNGVVMNSPRCLFGSASLGEKAIVAGGTDAQGTILNSAELYNSETQTWVTLPGMNRPRKMCSGVFMNDKFYVIGGMASNTEVLTCGEEYDLEKGVWRIIPNMSSGLNGAAGAPPLVAVVNNDLYAADYAVKEVRKYDKENNSWVTLGSLPERSVSMNGWGLAFRACGEQLIVIGGPRAMGGGMIELNSWIPRDGPPEWNMIASKHSGSFVYNCAVMGC >DRNTG_28794.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28816429:28818728:1 gene:DRNTG_28794 transcript:DRNTG_28794.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGQSCLISRALPSSCEQESKWAYITFRLLEISKGKRSLCEESGEVTQGGDSVKRTRRLPEVSDCPGSQEMELPVNESNQEGVTGEYSDTNSLIHPIGRDISINCLLYHCSRSDYGAIASLNSSFRSLIRSGELYKLRRLNKITEHWIYFSCNVLEWEAYDPYRGRWMTLPRMPHNECFMCSDKESLAVGTELLVFGKEVTSHIVLKYSILTNSWSNGVVMNSPRCLFGSASLGEKAIVAGGTDAQGTILNSAELYNSETQTWVTLPGMNRPRKMCSGVFMNDKFYVIGGMASNTEVLTCGEEYDLEKGVWRIIPNMSSGLNGAAGAPPLVAVVNNDLYAADYAVKEVRKYDKENNSWVTLGSLPERSVSMNGWGLAFRACGEQLIVIGGPRAMGGGMIELNSWIPRDGPPEWNMIASKHSGSFVYNCAVMGC >DRNTG_28794.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28816429:28819000:1 gene:DRNTG_28794 transcript:DRNTG_28794.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGQSCLISRALPSSCEQESKWAYITFRLLEISKGKRSLCEESGEVTQGGDSVKRTRRLPEVSDCPGSQEMELPVNESNQEGVTGEYSDTNSLIHPIGRDISINCLLYHCSRSDYGAIASLNSSFRSLIRSGELYKLRRLNKITEHWIYFSCNVLEWEAYDPYRGRWMTLPRMPHNECFMCSDKESLAVGTELLVFGKEVTSHIVLKYSILTNSWSNGVVMNSPRCLFGSASLGEKAIVAGGTDAQGTILNSAELYNSETQTWVTLPGMNRPRKMCSGVFMNDKFYVIGGMASNTEVLTCGEEYDLEKGVWRIIPNMSSGLNGAAGAPPLVAVVNNDLYAADYAVKEVRKYDKENNSWVTLGSLPERSVSMNGWGLAFRACGEQLIVIGGPRAMGGGMIELNSWIPRDGPPEWNMIASKHSGSFVYNCAVMGC >DRNTG_28794.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28816429:28819000:1 gene:DRNTG_28794 transcript:DRNTG_28794.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGQSCLISRALPSSCEQESKWAYITFRLLEISKGKRSLCEESGEVTQGGDSVKRTRRLPEVSDCPGSQEMELPVNESNQEGVTGEYSDTNSLIHPIGRDISINCLLYHCSRSDYGAIASLNSSFRSLIRSGELYKLRRLNKITEHWIYFSCNVLEWEAYDPYRGRWMTLPRMPHNECFMCSDKESLAVGTELLVFGKEVTSHIVLKYSILTNSWSNGVVMNSPRCLFGSASLGEKAIVAGGTDAQGTILNSAELYNSETQTWVTLPGMNRPRKMCSGVFMNDKFYVIGGMASNTEVLTCGEEYDLEKGVWRIIPNMSSGLNGAAGAPPLVAVVNNDLYAADYAVKEVRKYDKENNSWVTLGSLPERSVSMNGWGLAFRACGEQLIVIGGPRAMGGGMIELNSWIPRDGPPEWNMIASKHSGSFVYNCAVMGC >DRNTG_28794.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28816429:28818728:1 gene:DRNTG_28794 transcript:DRNTG_28794.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGQSCLISRALPSSCEQESKWAYITFRLLEISKGKRSLCEESGEVTQGGDSVKRTRRLPEVSDCPGSQEMELPVNESNQEGVTGEYSDTNSLIHPIGRDISINCLLYHCSRSDYGAIASLNSSFRSLIRSGELYKLRRLNKITEHWIYFSCNVLEWEAYDPYRGRWMTLPRMPHNECFMCSDKESLAVGTELLVFGKEVTSHIVLKYSILTNSWSNGVVMNSPRCLFGSASLGEKAIVAGGTDAQGTILNSAELYNSETQTWVTLPGMNRPRKMCSGVFMNDKFYVIGGMASNTEVLTCGEEYDLEKGVWRIIPNMSSGLNGAAGAPPLVAVVNNDLYAADYAVKEVRKYDKENNSWVTLGSLPERSVSMNGWGLAFRACGEQLIVIGGPRAMGGGMIELNSWIPRDGPPEWNMIASKHSGSFVYNCAVMGC >DRNTG_28794.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28816429:28818803:1 gene:DRNTG_28794 transcript:DRNTG_28794.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGQSCLISRALPSSCEQESKWAYITFRLLEISKGKRSLCEESGEVTQGGDSVKRTRRLPEVSDCPGSQEMELPVNESNQEGVTGEYSDTNSLIHPIGRDISINCLLYHCSRSDYGAIASLNSSFRSLIRSGELYKLRRLNKITEHWIYFSCNVLEWEAYDPYRGRWMTLPRMPHNECFMCSDKESLAVGTELLVFGKEVTSHIVLKYSILTNSWSNGVVMNSPRCLFGSASLGEKAIVAGGTDAQGTILNSAELYNSETQTWVTLPGMNRPRKMCSGVFMNDKFYVIGGMASNTEVLTCGEEYDLEKGVWRIIPNMSSGLNGAAGAPPLVAVVNNDLYAADYAVKEVRKYDKENNSWVTLGSLPERSVSMNGWGLAFRACGEQLIVIGGPRAMGGGMIELNSWIPRDGPPEWNMIASKHSGSFVYNCAVMGC >DRNTG_28794.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28816429:28818728:1 gene:DRNTG_28794 transcript:DRNTG_28794.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGQSCLISRALPSSCEQESKWAYITFRLLEISKGKRSLCEESGEVTQGGDSVKRTRRLPEVSDCPGSQEMELPVNESNQEGVTGEYSDTNSLIHPIGRDISINCLLYHCSRSDYGAIASLNSSFRSLIRSGELYKLRRLNKITEHWIYFSCNVLEWEAYDPYRGRWMTLPRMPHNECFMCSDKESLAVGTELLVFGKEVTSHIVLKYSILTNSWSNGVVMNSPRCLFGSASLGEKAIVAGGTDAQGTILNSAELYNSETQTWVTLPGMNRPRKMCSGVFMNDKFYVIGGMASNTEVLTCGEEYDLEKGVWRIIPNMSSGLNGAAGAPPLVAVVNNDLYAADYAVKEVRKYDKENNSWVTLGSLPERSVSMNGWGLAFRACGEQLIVIGGPRAMGGGMIELNSWIPRDGPPEWNMIASKHSGSFVYNCAVMGC >DRNTG_28794.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28816429:28818728:1 gene:DRNTG_28794 transcript:DRNTG_28794.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGQSCLISRALPSSCEQESKWAYITFRLLEISKGKRSLCEESGEVTQGGDSVKRTRRLPEVSDCPGSQEMELPVNESNQEGVTGEYSDTNSLIHPIGRDISINCLLYHCSRSDYGAIASLNSSFRSLIRSGELYKLRRLNKITEHWIYFSCNVLEWEAYDPYRGRWMTLPRMPHNECFMCSDKESLAVGTELLVFGKEVTSHIVLKYSILTNSWSNGVVMNSPRCLFGSASLGEKAIVAGGTDAQGTILNSAELYNSETQTWVTLPGMNRPRKMCSGVFMNDKFYVIGGMASNTEVLTCGEEYDLEKGVWRIIPNMSSGLNGAAGAPPLVAVVNNDLYAADYAVKEVRKYDKENNSWVTLGSLPERSVSMNGWGLAFRACGEQLIVIGGPRAMGGGMIELNSWIPRDGPPEWNMIASKHSGSFVYNCAVMGC >DRNTG_28794.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28816429:28818803:1 gene:DRNTG_28794 transcript:DRNTG_28794.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGQSCLISRALPSSCEQESKWAYITFRLLEISKGKRSLCEESGEVTQGGDSVKRTRRLPEVSDCPGSQEMELPVNESNQEGVTGEYSDTNSLIHPIGRDISINCLLYHCSRSDYGAIASLNSSFRSLIRSGELYKLRRLNKITEHWIYFSCNVLEWEAYDPYRGRWMTLPRMPHNECFMCSDKESLAVGTELLVFGKEVTSHIVLKYSILTNSWSNGVVMNSPRCLFGSASLGEKAIVAGGTDAQGTILNSAELYNSETQTWVTLPGMNRPRKMCSGVFMNDKFYVIGGMASNTEVLTCGEEYDLEKGVWRIIPNMSSGLNGAAGAPPLVAVVNNDLYAADYAVKEVRKYDKENNSWVTLGSLPERSVSMNGWGLAFRACGEQLIVIGGPRAMGGGMIELNSWIPRDGPPEWNMIASKHSGSFVYNCAVMGC >DRNTG_08470.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24994087:24996072:1 gene:DRNTG_08470 transcript:DRNTG_08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLLHHFKALSYLFFLLFIYHNTAMATLTFKPIKANCATTRYTDNSPFSTNLKTLLSTLKDKSSSSISINETAGKAPATVFGLYFCTGDLSKDNCQACIQTAIKDIIDSCPSSKQAIIWYDYCELRYSDTNFFGLPDTNGFSMINVNENTTSSRPVEVVSQLVKDAPLAQPLMFKSQAFISESLYALAQCSSDLRSKGCSDCLTTIFANIKACCTSSKGWRFLAPSCWIRTCQAFVKLDQKCSGDGRVLLHHCEGEKEHALWLSTLQRRFTKQKGRLPELPE >DRNTG_01020.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18113335:18114675:1 gene:DRNTG_01020 transcript:DRNTG_01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGKSFKCLHAVVPMPIFILLLFTIIFIYNHSWIQTYLVNSSSLSSLSSFSSASSLSSNTGLKNKLECDISKGEWIGDEAAPYYTNNTCWMIQEHQNCMKNGRPDMDFLKWRWKPDDCELLRFAPEKFLEIFRGKSLAFVGDSLTRNQMQSLMCLLSKVEYPKDVSNSSDENFRRMYYKTYNFTVSIFWSPYLVKAEEPKSEGSMIDRMWKLYLDEVDENWASKIDEFDYVIVSDGTWFTRPLLFYEQKSITKCQYCEIESVELVTLNYFHRMAFRTALQAINKLDKYKGVTIVRTISPPHFENGEWDNGGDCVRTRPFGRNETELLEGYAEMYRNQVEELERARMEGVKRGLEFRLLDMTGAMLLRPDGHPSRYMSEGANGGSQSKRRDCVHWCLPGPVDVWNDMLFHMLHKAANLM >DRNTG_23821.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29529105:29529566:-1 gene:DRNTG_23821 transcript:DRNTG_23821.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YCF37 [Source:Projected from Arabidopsis thaliana (AT2G23670) UniProtKB/TrEMBL;Acc:A0A178VUP0] MASTSPSLLLLHSLPRRTAIHCLAASPPPSPHSLTRRRSLLLLAAPFLAVPVPALAQDIPLFGLRKRLQVIERDAEEIVKEGEKIVEEGIEVAGKEIEVVEKEIDTAKTGLELGLELGLGGDLAQVGAVTAAEVVGVLAAVSVVNGILGPESQ >DRNTG_12209.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10459084:10459845:-1 gene:DRNTG_12209 transcript:DRNTG_12209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSWTLFLFTILVLSMPFHTLASTTSWQGDKNMPFHNKIFKVEDVEKKGSLMGRRSIIPTTSARLVPTGPDPIHHNQAPNSLSP >DRNTG_27284.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22516020:22518038:1 gene:DRNTG_27284 transcript:DRNTG_27284.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSTLSPLINPSFHRRNPSRPILSSPPPPRSPARRFRCAAKPSGRSWDSNAEFFRTRRFEVRDDPGWGFWKRGRRRWWSDEAEFDDDVDDDDDDDDDEIDQPWERIWIFKVFKAYGFLLPAIIASMLLATGPKAFLMALALPLGQSALSLAFEKVWGNSSEGERARQKTKEKPFRRSTSASDFKWRDEEDGAQGYRSWVSGDVGMDDQGDDVVSHPPRSFGGWDELDRQEGSTKRTTRRHRPITMTGSSSENTSEDRGKLSGNVKYRDAPLFLRLLIAVFPFLGSWTRML >DRNTG_23482.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2872541:2879475:1 gene:DRNTG_23482 transcript:DRNTG_23482.1 gene_biotype:protein_coding transcript_biotype:protein_coding RIAVVTGANKGIGLEIVKQLASNGIIVLLTARDETRGNEAVEKLKDSGFSDVVFHQLDVSDTASITSLADFIKTEFGKLDILVNNAAVLGILVDLQYLDSSTKESIEVSIIYFY >DRNTG_23482.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2872541:2879280:1 gene:DRNTG_23482 transcript:DRNTG_23482.11 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEASKRIAVVTGANKGIGLEIVKQLASNGIIVLLTARDETRGNEAVEKLKDSGFSDVVFHQLDVSDTASITSLADFIKTEFGKLDILVNNAAVLGILVDLQYLDSSTKESIEQGGLPIFLKLLEAAEQDFEKAEECLNINYYGTKKVIDAFMPLLQLSNSPRIVNVTSAAGELQHIPGESIRREFSDAEGLSEEKLDELLQHFLSDFKAKNLEEHGWPTLVSAYRISKVALNALTRILAKKYPKFCINCVHPGFVKTDITYNTGTETIEEGAKGPVTLALLPDGGPSGFYYDHTSVSTY >DRNTG_23482.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2872541:2879280:1 gene:DRNTG_23482 transcript:DRNTG_23482.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQLSNSPRIVNVTSAAGELQHIPGESIRREFSDAEGLSEEKLDELLQHFLSDFKAKNLEEHGWPTLVSAYRISKVALNALTRILAKKYPKFCINCVHPGFVKTDITYNTGTETIEEGAKGPVTLALLPDGGPSGFYYDHTSVSTY >DRNTG_23482.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2872541:2879280:1 gene:DRNTG_23482 transcript:DRNTG_23482.8 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLLVESFRIAVVTGANKGIGLEIVKQLASNGIIVLLTARDETRGNEAVEKLKDSGFSDVVFHQLDVSDTASITSLADFIKTEFGKLDILVNNAAVLGILVDLQYLDSSTKESIESCSKVGCRFS >DRNTG_23482.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2872541:2879280:1 gene:DRNTG_23482 transcript:DRNTG_23482.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQLSNSPRIVNVTSAAGELQHIPGESIRREFSDAEGLSEEKLDELLQHFLSDFKAKNLEEHGWPTLVSAYRISKVALNALTRILAKKYPKFCINCVHPGFVKTDITYNTGTETIEEGAKGPVTLALLPDGGPSGFYYDHTSVSTY >DRNTG_23482.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2877491:2879475:1 gene:DRNTG_23482 transcript:DRNTG_23482.12 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQLSNSPRIVNVTSAAGELQHIPGESIRREFSDAEGLSEEKLDELLQHFLSDFKAKNLEEHGWPTLVSAYRISKVALNALTRILAKKYPKFCINCVHPGFVKTDITYNTGTETIEEGAKGPVTLALLPDGGPSGFYYDHTSVSTY >DRNTG_23482.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2872541:2879280:1 gene:DRNTG_23482 transcript:DRNTG_23482.9 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLLVESFRIAVVTGANKGIGLEIVKQLASNGIIVLLTARDETRGNEAVEKLKDSGFSDVVFHQLDVSDTASITSLADFIKTEFGKLDILVNNAAVLGILVDLQYLDSSTKESIEQGGLPIFLKLLEAAEQDFEKAEECLNINYYGTKKVIDAFMPLLQLSNSPRIVNVTSAAGELQHIPGESIRREFSDAEGLSEEKLDELLQHFLSDFKAKNLEEHGWPTLVSAYRISKVALNALTRILAKKYPKFCINCVHPGFVKTDITYNTGTETIEEGAKGPVTLALLPDGGPSGFYYDHTSVSTY >DRNTG_23482.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2872541:2879280:1 gene:DRNTG_23482 transcript:DRNTG_23482.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEASKRIAVVTGANKGIGLEIVKQLASNGIIVLLTARDETRGNEAVEKLKDSGFSDVVFHQLDVSDTASITSLADFIKTEFGKLDILVNNAAVLGILVDLQYLDSSTKESIEQGGLPIFLKLLEAAEQDFEKAEECLNINYYGTKKVIDAFMPLLQLSNSPRIVNVTSAAGELQHIPGESIRREFSDAEGLSEEKLDELLQHFLSDFKAKNLEEHGWPTLVSAYRISKVALNALTRILAKKYPKFCINCVHPGFVKTDITYNTGTETIEEGAKGPVTLALLPDGGPSGFYYDHTSVSTY >DRNTG_23482.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2872541:2879280:1 gene:DRNTG_23482 transcript:DRNTG_23482.10 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQLSNSPRIVNVTSAAGELQHIPGESIRREFSDAEGLSEEKLDELLQHFLSDFKAKNLEEHGWPTLVSAYRISKVALNALTRILAKKYPKFCINCVHPGFVKTDITYNTGTETIEEGAKGPVTLALLPDGGPSGFYYDHTSVSTY >DRNTG_23482.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2872491:2879280:1 gene:DRNTG_23482 transcript:DRNTG_23482.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQLSNSPRIVNVTSAAGELQHIPGESIRREFSDAEGLSEEKLDELLQHFLSDFKAKNLEEHGWPTLVSAYRISKVALNALTRILAKKYPKFCINCVHPGFVKTDITYNTGTETIEEGAKGPVTLALLPDGGPSGFYYDHTSVSTY >DRNTG_23482.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2872541:2879280:1 gene:DRNTG_23482 transcript:DRNTG_23482.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLLVESFRIAVVTGANKGIGLEIVKQLASNGIIVLLTARDETRGNEAVEKLKDSGFSDVVFHQLDVSDTASITSLADFIKTEFGKLDILVNNAAVLGILVDLQYLDSSTKESIEQGGLPIFLKLLEAAEQDFEKAEECLNINYYGTKKVIDAFMPLLQLSNSPRIVNVTSAAGELQHIPGESIRREFSDAEGLSEEKLDELLQHFLSDFKAKNLEEHGWPTLVSAYRISKVALNALTRILAKKYPKFCINCVHPGFVKTDITYNTGTETIEEGAKGPVTLALLPDGGPSGFYYDHTSVSTY >DRNTG_23482.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2872541:2879280:1 gene:DRNTG_23482 transcript:DRNTG_23482.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEASKRIAVVTGANKGIGLEIVKQLASNGIIVLLTARDETRGNEAVEKLKDSGFSDVVFHQLDVSDTASITSLADFIKTEFGKLDILVNNAAVLGILVDLQYLDSSTKESIEQGGLPIFLKLLEAAEQDFEKAEECLNINYYGTKKVIDAFMPLLQLSNSPRIVNVTSAAGELQHIPGESIRREFSDAEGLSEEKLDELLQHFLSDFKAKNLEEHGWPTLVSAYRISKVALNALTRILAKKYPKFCINCVHPGFVKTDITYNTGTETIEEGAKGPVTLALLPDGGPSGFYYDHTSVSTY >DRNTG_08012.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30078438:30083775:-1 gene:DRNTG_08012 transcript:DRNTG_08012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIEMNYNDEVLNQEQGCSPESNADHLLSLESPGEEDIYEQEPIVLPRLGDAYQAEIPPLMSESERLQLSCKPVDINSAIAADYSVGMGLDFPVMWSYCSDEQIKHEQQEIPGTATSAAIPVSVDYTGYGEDLICPEANKMAGFLPVEFNSHVSLPQGTTSELPEGASVLNKESDRCLRQESATTLGHIDMSAPLQQPGKGKCCTALPVTPSVSWISAESQSFLLGLYIFGKNLAQISKFMGTKGMGDILSYYYGKFYKSDAHRRWTEGRKIRSRRCIHGARILTGWRQQELLSRLLPSVKDAQDNLLEAIKAFNEGRASLEEFVLSLKTMVSMEVLVQAVGIGQGKRDLTRVVLDPTKTNQTASTRSDLPTGKACSSLTTEDIVKFLTGNFRLSKARSNDLFWEAVWPRLLARGWHSEQPKDIASGGSKNILVFLIPGIKKFSRRKLVKGNQYFDSVTDVLNKVASDPSLIELEVEGNNASGNTKDEDGWDADAQMKQNSSSDHQRHCYLRPKVSHTSEVMKFTIVDTSLDPGIGPKKVRELRSLPVDARQIHPPPICSAETDSDSSSSDQSESDQLPVNDEEESNPGLSETSKMGNKRISPFTTSQFQSDVSDHMSAVPKQRMAMNGHDSNGRLDGFFDVKQPFKVMKSQSNWPHDYFAPAVKRRRLTACKQLESKHHSSSFPQGYQLKKEEMGYESKPLRPSESITGAGVGPSRITSYYSFQRGHHVKKEETSSELKSLERSESMSSVAADPSRRKFSISLPEKSAAYHSSGTFFSNTFISKTVPHLEKPQPQTLIDLNVPYLPPDIKVEEQHHLYPVQSSSTSETKQQLEGPQASTDTSNDLPGMQPSTVSGRRHSTRSRPLTTKALEALASGYLSSSIRKVRGGNPSRSSRRARRNVGTPVSGPAPVPAPASAPAPTDSGFGSSGLVSDVEMKDKYKVYTNLPSIYGECPNQAKGKADQDTLGAFSGFH >DRNTG_08012.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30078438:30080892:-1 gene:DRNTG_08012 transcript:DRNTG_08012.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMEVLVQAVGIGQGKRDLTRVVLDPTKTNQTASTRSDLPTGKACSSLTTEDIVKFLTGNFRLSKARSNDLFWEAVWPRLLARGWHSEQPKDIASGGSKNILVFLIPGIKKFSRRKLVKGNQYFDSVTDVLNKVASDPSLIELEVEGNNASGNTKDEDGWDADAQMKQNSSSDHQRHCYLRPKVSHTSEVMKFTIVDTSLDPGIGPKKVRELRSLPVDARQIHPPPICSAETDSDSSSSDQSESDQLPVNDEEESNPGLSETSKMGNKRISPFTTSQFQSDVSDHMSAVPKQRMAMNGHDSNGRLDGFFDVKQPFKVMKSQSNWPHDYFAPAVKRRRLTACKQLESKHHSSSFPQGYQLKKEEMGYESKPLRPSESITGAGVGPSRITSYYSFQRGHHVKKEETSSELKSLERSESMSSVAADPSRRKFSISLPEKSAAYHSSGTFFSNTFISKTVPHLEKPQPQTLIDLNVPYLPPDIKVEEQHHLYPVQSSSTSETKQQLEGPQASTDTSNDLPGMQPSTVSGRRHSTRSRPLTTKALEALASGYLSSSIRKVRGGNPSRSSRRARRNVGTPVSGPAPVPAPASAPAPTDSGFGSSGLVSDVEMKDKYKVYTNLPSIYGECPNQAKGKADQDTLGAFSGFH >DRNTG_08012.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30078438:30083150:-1 gene:DRNTG_08012 transcript:DRNTG_08012.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIEMNYNDEVLNQEQGCSPESNADHLLSLESPGEEDIYEQEPIVLPRLGDAYQAEIPPLMSESERLQLSCKPVDINSAIAADYSVGMGLDFPVMWSYCSDEQIKHEQQEIPGTATSAAIPVSVDYTGYGEDLICPEANKMAGFLPVEFNSHVSLPQGTTSELPEGASVLNKESDRCLRQESATTLGHIDMSAPLQQPGKGKCCTALPVTPSVSWISAESQSFLLGLYIFGKNLAQISKFMGTKGMGDILSYYYGKFYKSDAHRRWTEGRKIRSRRCIHGARILTGWRQQELLSRLLPSVKDAQDNLLEAIKAFNEGRASLEEFVLSLKTMVSMEVLVQAVGIGQGKRDLTRVVLDPTKTNQTASTRSDLPTGKACSSLTTEDIVKFLTGNFRLSKARSNDLFWEAVWPRLLARGWHSEQPKDIASGGSKNILVFLIPGIKKFSRRKLVKGNQYFDSVTDVLNKVASDPSLIELEVEGNNASGNTKDEDGWDADAQMKQNSSSDHQRHCYLRPKVSHTSEVMKFTIVDTSLDPGIGPKKVRELRSLPVDARQIHPPPICSAETDSDSSSSDQSESDQLPVNDEEESNPGLSETSKMGNKRISPFTTSQFQSDVSDHMSAVPKQRMAMNGHDSNGRLDGFFDVKQPFKVMKSQSNWPHDYFAPAVKRRRLTACKQLESKHHSSSFPQGYQLKKEEMGYESKPLRPSESITGAGVGPSRITSYYSFQRGHHVKKEETSSELKSLERSESMSSVAADPSRRKFSISLPEKSAAYHSSGTFFSNTFISKTVPHLEKPQPQTLIDLNVPYLPPDIKVEEQHHLYPVQSSSTSETKQQLEGPQASTDTSNDLPGMQPSTVSGRRHSTRSRPLTTKALEALASGYLSSSIRKVRGGNPSRSSRRARRNVGTPVSGPAPVPAPASAPAPTDSGFGSSGLVSDVEMKDKYKVYTNLPSIYGECPNQAKGKADQDTLGAFSGFH >DRNTG_11068.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5889206:5889897:1 gene:DRNTG_11068 transcript:DRNTG_11068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSIQINVDDEEQSLNDASGELIEIDDEDVEVEDDPIFESESNDEDDEFDEIE >DRNTG_33158.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13379061:13380923:-1 gene:DRNTG_33158 transcript:DRNTG_33158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTEARFTQNEKKLDEFGAILKNVQASIQSLENQEVLALNPLDEYLDEFPCQDAEEELPPPPTQQINQVEVCNAWRIDVRQENPEDHPCGRKDAPRDSSSEY >DRNTG_24493.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18969288:18971003:1 gene:DRNTG_24493 transcript:DRNTG_24493.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIGCSSSSYKFSNIKYVVLLVIGVPALIFSLYLHGQKISYFLRPLWQSPPKAFNDIPHYYHENVSMKELCQLHGWTARDTPRRVFDAVLFSNEIDILAIRWNELYPYVSEFIILESNSTFTGVKKPLFFAENRHLFKSFDSKLTYGTVGGRFVRGENPFVEEAYQRIALDQLIRIAGIRDDDLLIMSDVDEIPSGHTINLLRWCDDIPEILHLRLRNYLYSFEFFIDNNSWRASVHRYRPGKTRYGHFRRTDSILSDSGWHCSFCFRYIPDFIFKMKAYSHVDRVRFNYFLNPSRIQDVICRGKDLFDMLPEEYTFRDLIGKMGPIPHSYSAVNLPKYLIQNADKYRFLLPGNCKRENAYSYQSS >DRNTG_24493.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18969288:18971003:1 gene:DRNTG_24493 transcript:DRNTG_24493.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKELCQLHGWTARDTPRRVFDAVLFSNEIDILAIRWNELYPYVSEFIILESNSTFTGVKKPLFFAENRHLFKSFDSKLTYGTVGGRFVRGENPFVEEAYQRIALDQLIRIAGIRDDDLLIMSDVDEIPSGHTINLLRWCDDIPEILHLRLRNYLYSFEFFIDNNSWRASVHRYRPGKTRYGHFRRTDSILSDSGWHCSFCFRYIPDFIFKMKAYSHVDRVRFNYFLNPSRIQDVICRGKDLFDMLPEEYTFRDLIGKMGPIPHSYSAVNLPKYLIQNADKYRFLLPGNCKRENAYSYQSS >DRNTG_24493.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18969288:18971003:1 gene:DRNTG_24493 transcript:DRNTG_24493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELCQLHGWTARDTPRRVFDAVLFSNEIDILAIRWNELYPYVSEFIILESNSTFTGVKKPLFFAENRHLFKSFDSKLTYGTVGGRFVRGENPFVEEAYQRIALDQLIRIAGIRDDDLLIMSDVDEIPSGHTINLLRWCDDIPEILHLRLRNYLYSFEFFIDNNSWRASVHRYRPGKTRYGHFRRTDSILSDSGWHCSFCFRYIPDFIFKMKAYSHVDRVRFNYFLNPSRIQDVICRGKDLFDMLPEEYTFRDLIGKMGPIPHSYSAVNLPKYLIQNADKYRFLLPGNCKRENAYSYQSS >DRNTG_26921.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2442813:2444637:-1 gene:DRNTG_26921 transcript:DRNTG_26921.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYRMLKLGFVPNAVSFNMLVDGCCRNGEVDLALQLVKKISLVSGRRVEPNAVTFNSILNGLCKAGKLEIAERVFREDIKEPNVRSYATLIDGYARDGQMVNALKVFDEMLEKGLMPNVVVYNSLMHWLFKQGYAEEASVFLSDIMQCSVSPDKFTYSTIIDSCCRNGDLREAVRVYRRIREENLVIDSAPYNSLINCLCKHGKFREARQLLGIMITSGSAPDVVTFTSLIDGYCKGSRLDDALETYDGMVKSGEKPNLVTYNAYVNGLCRTESVDVARHVAENTRMDVFTYNTLMNGYCSSTRD >DRNTG_26921.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2442813:2444767:-1 gene:DRNTG_26921 transcript:DRNTG_26921.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRMLKLGFVPNAVSFNMLVDGCCRNGEVDLALQLVKKISLVSGRRVEPNAVTFNSILNGLCKAGKLEIAERVFREDIKEPNVRSYATLIDGYARDGQMVNALKVFDEMLEKGLMPNVVVYNSLMHWLFKQGYAEEASVFLSDIMQCSVSPDKFTYSTIIDSCCRNGDLREAVRVYRRIREENLVIDSAPYNSLINCLCKHGKFREARQLLGIMITSGSAPDVVTFTSLIDGYCKGSRLDDALETYDGMVKSGEKPNLVTYNAYVNGLCRTESVDVARHVAENTRMDVFTYNTLMNGYCSSTRD >DRNTG_26921.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2442747:2444767:-1 gene:DRNTG_26921 transcript:DRNTG_26921.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRMLKLGFVPNAVSFNMLVDGCCRNGEVDLALQLVKKISLVSGRRVEPNAVTFNSILNGLCKAGKLEIAERVFREDIKEPNVRSYATLIDGYARDGQMVNALKVFDEMLEKGLMPNVVVYNSLMHWLFKQGYAEEASVFLSDIMQCSVSPDKFTYSTIIDSCCRNGDLREAVRVYRRIREENLVIDSAPYNSLINCLCKHGKFREARQLLGIMITSGSAPDVVTFTSLIDGYCKGSRLDDALETYDGMVKSGEKPNLVTYNAYVNGLCRTESVDVARHVAENTRMDVFTYNTLMNGYCSSTRD >DRNTG_26921.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2442747:2444637:-1 gene:DRNTG_26921 transcript:DRNTG_26921.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYRMLKLGFVPNAVSFNMLVDGCCRNGEVDLALQLVKKISLVSGRRVEPNAVTFNSILNGLCKAGKLEIAERVFREDIKEPNVRSYATLIDGYARDGQMVNALKVFDEMLEKGLMPNVVVYNSLMHWLFKQGYAEEASVFLSDIMQCSVSPDKFTYSTIIDSCCRNGDLREAVRVYRRIREENLVIDSAPYNSLINCLCKHGKFREARQLLGIMITSGSAPDVVTFTSLIDGYCKGSRLDDALETYDGMVKSGEKPNLVTYNAYVNGLCRTESVDVARHVAENTRMDVFTYNTLMNGYCSSTRD >DRNTG_34754.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002187.1:22720:23191:-1 gene:DRNTG_34754 transcript:DRNTG_34754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYISSPRSKKKEGKKSKKKKNNHVFSCVMLEHTCNLFGTE >DRNTG_02020.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12943215:12950955:-1 gene:DRNTG_02020 transcript:DRNTG_02020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEFTTAELVEAQAQVWNLMFGYLKSMCLKCSLELGIADVLKKHGKPMELSELTSALSIPPSKFEPFDRFMATLVHLELFGKKQDDFGATKYMLTPASHLLVKDEALNITPLIILNLDPFICDSSHVLAPWFKSPKESPFELYFGKRFWDVVGEKPEFNKMFNEGMASDSRFVCNVVMTSCRDVFKGLKSVVDVGGGTGTMARSIDHAFPGIKCTVFDLPHVIDTMEDQQPGVEYVGGDMFASIPHANAVLLKVFMHASLWFC >DRNTG_02020.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12943215:12950955:-1 gene:DRNTG_02020 transcript:DRNTG_02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEFTTAELVEAQAQVWNLMFGYLKSMCLKCSLELGIADVLKKHGKPMELSELTSALSIPPSKFEPFDRFMATLVHLELFGKKQDDLGATKYMLTPASHLLVKDEALNITPLIILNLDPFICDSSHVLAPWFKSPKESPFELYFGKRFWDVVGEKPEFNKMFNEGMASDSRFVCNVVMTSCRDVFKGLKSVVDVGGGTGTMARSIDHAFPGIKCTVFDLPHVIDTMEDQQPGVEYVGGDMFASIPHANAVLLKVFMHASLWFC >DRNTG_02020.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12943215:12949612:-1 gene:DRNTG_02020 transcript:DRNTG_02020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGAVTNKHVCAVETQLLFDLLVMSLGGGKERNEHEWHNIFVSAGFTDYKITHFLGIRSIIELYP >DRNTG_05925.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5237159:5243198:-1 gene:DRNTG_05925 transcript:DRNTG_05925.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASELSAMLSRFPFSGIAFSSAERFNVQSLAPRLPLIGRRSKCLNGRGFAFCCSVSGERNDLARLDVSFPGDYEELLDQAKAATELALQDNKQLLEIEFPTAGLESVPGDGEGGIEMTGSMQLIREFCDRIIIPEKAAKTRVFFPEANEVDFARQSVFEGTLLKLDYLTKPSLFEDFGFVTKVKMTDRVKPEDELFLVAYPYFNVNEMLVVEELYNDAVENTARKLIIFNGELDRIRSGCILL >DRNTG_05925.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5237159:5243198:-1 gene:DRNTG_05925 transcript:DRNTG_05925.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELSAMLSRFPFSGIAFSSAERFNVQSLAPRLPLIGRRSKCLNGRGFAFCCSVSGERNDLARLDVSFPGDYEELLDQAKAATELALQDNKQLLEIEFPTAGLESVPGDGEGGIEMTGSMQLIREFCDRIIIPEKAAKTRVFFPEANEVDFARQSVFEGTLLKLDYLTKPSLFEDFGFVTKVKMTDRVKPEDELFLVAYPYFNVNEMLVVEELYNDAVENTARKLIIFNGELDRIRSGYYPPFFYPKLAALTKTLLPKMETVYYVHNFKGRNGGTLFRSYPGPWKVLRRVRGRYICLHQQEEMPSLKQVALDILPLA >DRNTG_05925.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5237159:5243198:-1 gene:DRNTG_05925 transcript:DRNTG_05925.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYASLRNSPFLYNGQFFPEANEVDFARQSVFEGTLLKLDYLTKPSLFEDFGFVTKVKMTDRVKPEDELFLVAYPYFNVNEMLVVEELYNDAVENTARKLIIFNGELDRIRSGYYPPFFYPKLAALTKTLLPKMETVYYVHNFKGRNGGTLFRSYPGPWKVLRRVRGRYICLHQQEEMPSLKQVALDILPLA >DRNTG_05925.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5237159:5243198:-1 gene:DRNTG_05925 transcript:DRNTG_05925.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASELSAMLSRFPFSGIAFSSAERFNVQSLAPRLPLIGRRSKCLNGRGFAFCCSVSGERNDLARLDVSFPGDYEELLDQAKAATELALQDNKQLLEIEFPTAGLESVPGDGEGGIEMTGSMQLIREFCDRIIIPEKAAKTRVASYSIYVNKSFSLRQMRLTLQDNQCSKEHCSSLII >DRNTG_05925.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5237159:5243198:-1 gene:DRNTG_05925 transcript:DRNTG_05925.6 gene_biotype:protein_coding transcript_biotype:protein_coding METVYYVHNFKGRNGGTLFRSYPGPWKVLRRVRGRYICLHQQEEMPSLKQVALDILPLA >DRNTG_05925.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5237159:5243198:-1 gene:DRNTG_05925 transcript:DRNTG_05925.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASELSAMLSRFPFSGIAFSSAERFNVQSLAPRLPLIGRRSKCLNGRGFAFCCSVSGERNDLARLDVSFPGDYEELLDQAKAATELALQDNKQLLEIEFPTAGLESVPGDGEGGIEMTGSMQLIREFCDRIIIPEKAAKTRVASYSIYVNKSFSLRQMRLTLQDNQCSKEHCSSLII >DRNTG_34742.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16580044:16600021:1 gene:DRNTG_34742 transcript:DRNTG_34742.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRH2 [Source:Projected from Arabidopsis thaliana (AT3G54870) UniProtKB/TrEMBL;Acc:A0A178V659] MVHVQRSSKIKDETEVSQGNDTDRSISSQHVSMILKSKLLMVDLAGSERIDKSGSEGHMLEEAKFINLSLTSLGKCINALAENSPHIPTRDSKLTRLLRDSFGGTARTSLVITVGPSARHYSETASTIAFGQRAMKVVNTIKLKEEIDYDRLCRKLESQVDSLTSEVERLQKLRDDEKEEMEKQLKNCETHLAEAENQLDIFQLEVDRQKKLRDDDKEQMEKKLKDYEALLSEAEDQVELLKSQINEQKKLRDAERDLMEIKLKELNTLSEAETNFANKYECLEKEKSQLHVEIKKLMEELNTQKGRNDLMSGEIFRLEMCLKQEKQHQLKNASYQKVQADTTQMYENKVAELIKKLEDEHSLAISLEKELNTVTQKLSNNERALMMLQNDFEELTLNLDKISTLNKEATIEVQSLRLENKKLASEKEYLNKELITSQEKLSNGEMKRKCLQDELAQVKKLLPYDTMGPEAKMQYLSDSLNRDTSTLTASMNLSKSNKPRETLSSQKAKISKIFDEVGLSNVLTLLKSEDLNVQIHAVKVVANLAAEDSNQERIVEEGGLDALLMLLESSQDATIHRVTAGAIANLAMSGSNQDLIMRKGGARLLANIGSRTDDPQTLRMVAGAIANLCGNEKLHTMLKEDGGTKALMGMVRCGHSDVIAQIARGIANFAKCESRGISQGHRKGRSLLIDDGALTWMVEKSTAFSTSTRRHIELALCHLAQNEANTIDVISSGGIKELVRISQESSREDIRNLSKKALHSNPVFLVEMRKL >DRNTG_34742.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16581487:16590096:1 gene:DRNTG_34742 transcript:DRNTG_34742.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRH2 [Source:Projected from Arabidopsis thaliana (AT3G54870) UniProtKB/TrEMBL;Acc:A0A178V659] MVHVQRSSKIKDETEVSQGNDTDRSISSQHVSMILKSKLLMVDLAGSERIDKSGSEGHMLEEAKFINLSLTSLGKCINALAENSPHIPTRDSKLTRLLRDSFGGTARTSLVITVGPSARHYSETASTIAFGQRAMKVVNTIKLKEEIDYDRLCRKLESQVDSLTSEVERLQKLRDDEKEEMEKQLKNCETHLAEAENQLDIFQLEVDRQKKLRDDDKEQMEKKLKDYEALLSEAEDQVELLKSQINEQKKLRDAERDLMEIKLKELNTLSEAETNFANKYECLEKEKSQLHVEIKKLMEELNTQKGRNDLMSGEIFRLEMCLKQEKQHQLKNASYQKVQADTTQMYENKVAELIKKLEDEHSLAISLEKELNTVTQKLSNNERALMMLQNDFEELTLNLDKISTLNKEATIEVQSLRLENKKLASEKEYLNKELITSQEKLSNGEMKRKCLQDELAQVKKLLPYDTMGPE >DRNTG_34742.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16595960:16600021:1 gene:DRNTG_34742 transcript:DRNTG_34742.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRH2 [Source:Projected from Arabidopsis thaliana (AT3G54870) UniProtKB/TrEMBL;Acc:A0A178V659] MFACCHHFTDSNQERIVEEGGLDALLMLLESSQDATIHRVTAGAIANLAMSGSNQDLIMRKGGARLLANIGSRTDDPQTLRMVAGAIANLCGNEKLHTMLKEDGGTKALMGMVRCGHSDVIAQIARGIANFAKCESRGISQGHRKGRSLLIDDGALTWMVEKSTAFSTSTRRHIELALCHLAQNEANTIDVISSGGIKELVRISQESSREDIRNLSKKALHSNPVFLVEMRKL >DRNTG_34742.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16581487:16600021:1 gene:DRNTG_34742 transcript:DRNTG_34742.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRH2 [Source:Projected from Arabidopsis thaliana (AT3G54870) UniProtKB/TrEMBL;Acc:A0A178V659] MVHVQRSSKIKDETEVSQGNDTDRSISSQHVSMILKSKLLMVDLAGSERIDKSGSEGHMLEEAKFINLSLTSLGKCINALAENSPHIPTRDSKLTRLLRDSFGGTARTSLVITVGPSARHYSETASTIAFGQRAMKVVNTIKLKEEIDYDRLCRKLESQVDSLTSEVERLQKLRDDEKEEMEKQLKNCETHLAEAENQLDIFQLEVDRQKKLRDDDKEQMEKKLKDYEALLSEAEDQVELLKSQINEQKKLRDAERDLMEIKLKELNTLSEAETNFANKYECLEKEKSQLHVEIKKLMEELNTQKGRNDLMSGEIFRLEMCLKQEKQHQLKNASYQKVQADTTQMYENKVAELIKKLEDEHSLAISLEKELNTVTQKLSNNERALMMLQNDFEELTLNLDKISTLNKEATIEVQSLRLENKKLASEKEYLNKELITSQEKLSNGEMKRKCLQDELAQVKKLLPYDTMGPEAKMQYLSDSLNRDTSTLTASMNLSKSNKPRETLSSQKAKISKIFDEVGLSNVLTLLKSEDLNVQIHAVKVVANLAAEDSNQERIVEEGGLDALLMLLESSQDATIHRVTAGAIANLAMSGSNQDLIMRKGGARLLANIGSRTDDPQTLRMVAGAIANLCGNEKLHTMLKEDGGTKALMGMVRCGHSDVIAQIARGIANFAKCESRGISQGHRKGRSLLIDDGALTWMVEKSTAFSTSTRRHIELALCHLAQNEANTIDVISSGGIKELVRISQESSREDIRNLSKKALHSNPVFLVEMRKL >DRNTG_34742.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16590418:16600021:1 gene:DRNTG_34742 transcript:DRNTG_34742.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRH2 [Source:Projected from Arabidopsis thaliana (AT3G54870) UniProtKB/TrEMBL;Acc:A0A178V659] MLLESSQDATIHRVTAGAIANLAMSGSNQDLIMRKGGARLLANIGSRTDDPQTLRMVAGAIANLCGNEKLHTMLKEDGGTKALMGMVRCGHSDVIAQIARGIANFAKCESRGISQGHRKGRSLLIDDGALTWMVEKSTAFSTSTRRHIELALCHLAQNEANTIDVISSGGIKELVRISQESSREDIRNLSKKALHSNPVFLVEMRKL >DRNTG_33051.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26958556:26960464:-1 gene:DRNTG_33051 transcript:DRNTG_33051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRISMGSVVPRRRSTEQHRPPRSSGDLNPDDFRDVFGGPPRTVLLRRFSGDFSPQPAASLYDEIFRPGEYGFQTSRAGRSLPAFMIPVARGGGGVLRTEEGFYDDIFGSGGGDRRSRSRSKSKSRSTSSSVLSSEDVSPPLRRHIGDDAVLSSFASKLRPITIPTRRQNSSPPSTVSVGEQSSFRTLSIPCPPRPCFTNSHERSKSSTKSHIGFSCCFSPPESTSLDPTFLHDTIAYNHLQNDDDCSHFDSDSGSASSVISSVFPDHTANRPTCYQDWNRAEFEQEKVVVEVEDGEAADSSYFIELDNRTGTGFRDAAGDAAVDEAIAWAKETFRSHQESKEDDDDDDDLQIDSKETSEDMDSSSRWVVS >DRNTG_33051.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26959760:26960464:-1 gene:DRNTG_33051 transcript:DRNTG_33051.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRISMGSVVPRRRSTEQHRPPRSSGDLNPDDFRDVFGGPPRTVLLRRFSGDFSPQPAASLYDEIFRPGEYGFQTSRAGRSLPAFMIPVARGGGGVLRTEEGFYDDIFGSGGGDRRSRSRSKSKSRSTSSSVLSSEDVSPPLRRHIGDDAVLSSFASKLRSAPFVN >DRNTG_33051.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26958884:26960464:-1 gene:DRNTG_33051 transcript:DRNTG_33051.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRISMGSVVPRRRSTEQHRPPRSSGDLNPDDFRDVFGGPPRTVLLRRFSGDFSPQPAASLYDEIFRPGEYGFQTSRAGRSLPAFMIPVARGGGGVLRTEEGFYDDIFGSGGGDRRSRSRSKSKSRSTSSSVLSSEDVSPPLRRHIGDDAVLSSFASKLRPITIPTRRQNSSPPSTVSVGEQSSFRTLSIPCPPRPCFTNSHERSKSSTKSHIGFSCCFSPPESTSLDPTFLHDTIAYNHLQNDDDCSHFDSDSGSASSVISSVFPDHTANRPTCYQDWNRAEFEQEKVVVEVEDGEAADSSYFIELDNRTGTGFRD >DRNTG_29591.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1209110:1225602:1 gene:DRNTG_29591 transcript:DRNTG_29591.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbD MARKSLIHREKKRQKLEQKYQLIRRSSKKEISKVLPLSEKWKIHRKLQSSPRNSTPIRLHRRCFLTGRPRANYRDFGLSGHILREMVYACLLPGAIRSSW >DRNTG_29591.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1222614:1225233:1 gene:DRNTG_29591 transcript:DRNTG_29591.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbD MTLTLGKFTKEENDLFDIMDDWLRRDRFVFVGWSGLLLFPCAYFALGGWFTGTTFVTSWYTHGLASSYLEGCNFLTAAVSTPANSLAHSLLLLWGPEAQGDFTRWCQLGGLWTFVALHGAFALIGFMLRQFELARSVQLRPYNAIAFSAPIAVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNPTQAEETYSMVTANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSALGVVGLALNLRAYDFVSQEIRAAEDPEFETFYTKNILLNEGIRAWMAAQDQPHENLIFPEEVLPRGNAL >DRNTG_23509.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:851052:858143:-1 gene:DRNTG_23509 transcript:DRNTG_23509.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEKKLPLQSSSAHNAAVAGDGAAAGKTTIEETYQKVTQLEHILLRPDTYIGSIEKHTQDLWVFEKPKITVDAAEDLTAPAGDDKETMVHRKVTFVPGLYKIFDEILVNAADNKQRDPSMDCLRVEIDPQANRISIYNNGDGVPIEIHADVGVYVPEMIFGHLLTSSNYNDNVKKTTGGRNGYGAKLTNIFSTEFVIETADGKRQKKYKQVFSDNMSKKSVPNITKCKDGENWTKVTFKPDLAKFNMTMLEDDVVALMRRRVVDLAGALGKTVKVELNGKRVPVKSFADYVNLYLQSASKFRAEPLPRIMERVNERWEVCVSLSDGHFQQVSFVNAIATTKGGSHVDYVTNQITGYVINSAKKKNKNVALKAQYVKNHLWVFVNALVDNPAFDSQTKENLTTRQGSFGSKCELSEEFLKKVAKSGVLDNVLSWADMKQSKELKKTDGTKKQRITGIPKLEDANEAGSSNSHKCTLVLTEGDSAKALAMAGISVVGRNHYGVFPLRGKLLNVREASHKQIMENQEIQSLKRALGLQHGKQYESVNGLRYGHLMIMTDQDHDGSHIKGLLINFIHSFWPSLLKIPSFMLEFITPIVKATHRNGRVLAFYTMPEYEAWKKSIEGNANGWTIKYYKGLGTSTSKEGKEYFSDLGKHQKDFLWVDEQDGDAIELAFSKKKIEARKNWLRQFEPGTYLDQSVKLIKYSDFVNKELVLFSMADLQRSIPSMVDGLKPGQRKILYCSFKRPLIKELKVAQFSGYVSEKSAYHHGEQSLASTIIGMAQDFVGSNNINLLQPNGQFGTRHQGGKDHASARYIFTCLSPVTRFLFPKDDDALLNYLSEDGQIIEPTWYMPIIPMVLVNGSEGIGTGWSSYIPNYNPRDIVANVKRLLNGEEMQPMDPWYRGFKGRIEKSASKVAGVTYTITGIVEEIDNNTLRILELPIRRWTQDYKEFLESLMTGNEKSKEPFIQDYREHNDDTTVHFEVILSEENMNIARQEGLEKKFKLTTTISTSNMHLFDPSGVIKKYDTPEQILEEFFSLRLEFYEKRKKKLLDSLEFELMKLDNKVRFILGVIRNEIIVSNRKKADLLIELREKGFTPIRKENKGIEAAVAGAMADSEENEESSEDSPEIVRGSVRASDYDYLLSMAIGTLTLEKVQELIASKNKLEADVEDLKSATVMSLWSKDLEAFEGMLDEQDKLDAEAEQVKNEMRKKGLNSKAAAASKNGPKRPRKTNTAKPPPVVPVTAQTDANNATEAIKPKPRGGAKKATSKKTVDNGSDDDDEILALRDRLHAQSLSSSAHENAMDVEEVLAKKEPSKRAAAAKALSSIAITDISDNDDEPREDYMSNFPEDDNEDGDEEFEVVEIPKGKKTGRRKADTTTTTTTDRKRGAATKNNKQKQQVLSQKLITEVLKPVEQQANSSPEKKVRKIRASPFNKKSGSVLGKSSLGSNDDSSSSLVSNSSPSDEPIMIAARPRRGNRTVKKATYVESDSEMEQEDDDGPITDDSDFEEDDF >DRNTG_23509.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:846455:856111:-1 gene:DRNTG_23509 transcript:DRNTG_23509.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSKELKKTDGTKKQRITGIPKLEDANEAGSSNSHKCTLVLTEGDSAKALAMAGISVVGRNHYGVFPLRGKLLNVREASHKQIMENQEIQSLKRALGLQHGKQYESVNGLRYGHLMIMTDQDHDGSHIKGLLINFIHSFWPSLLKIPSFMLEFITPIVKATHRNGRVLAFYTMPEYEAWKKSIEGNANGWTIKYYKGLGTSTSKEGKEYFSDLGKHQKDFLWVDEQDGDAIELAFSKKKIEARKNWLRQFEPGTYLDQSVKLIKYSDFVNKELVLFSMADLQRSIPSMVDGLKPGQRKILYCSFKRPLIKELKVAQFSGYVSEKSAYHHGEQSLASTIIGMAQDFVGSNNINLLQPNGQFGTRHQGGKDHASARYIFTCLSPVTRFLFPKDDDALLNYLSEDGQIIEPTWYMPIIPMVLVNGSEGIGTGWSSYIPNYNPRDIVANVKRLLNGEEMQPMDPWYRGFKGRIEKSASKVAGVTYTITGIVEEIDNNTLRILELPIRRWTQDYKEFLESLMTGNEKSKEPFIQDYREHNDDTTVHFEVILSEENMNIARQEGLEKKFKLTTTISTSNMHLFDPSGVIKKYDTPEQILEEFFSLRLEFYEKRKKKLLDSLEFELMKLDNKVRFILGVIRNEIIVSNRKKADLLIELREKGFTPIRKENKGIEAAVAGAMADSEENEESSEDSPEIVRGSVRASDYDYLLSMAIGTLTLEKVQELIASKNKLEADVEDLKSATVMSLWSKDLEAFEGMLDEQDKLDAEAEQVKNEMRKKGLNSKAAAASKNGPKRPRKTNTAKPPPVVPVTAQTDANNATEAIKPKPRGGAKKATSKKTVDNGSDDDDEILALRDRLHAQSLSSSAHENAMDVEEVLAKKEPSKRAAAAKALSSIAITDISDNDDEPREDYMSNFPEDDNEDGDEEFEVVEIPKGKKTGRRKADTTTTTTTDRKRGAATKNNKQKQQVLSQKLITEVLKPVEQQANSSPEKKVRKIRASPFNKKSGSVLGKSSLGSNDDSSSSLVSNSSPSDEPIMIAARPRRGNRTVKKATYVESDSEMEQEDDDGPITDDSDFEEDDF >DRNTG_23509.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:851052:854885:-1 gene:DRNTG_23509 transcript:DRNTG_23509.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADLQRSIPSMVDGLKPGQRKILYCSFKRPLIKELKVAQFSGYVSEKSAYHHGEQSLASTIIGMAQDFVGSNNINLLQPNGQFGTRHQGGKDHASARYIFTCLSPVTRFLFPKDDDALLNYLSEDGQIIEPTWYMPIIPMVLVNGSEGIGTGWSSYIPNYNPRDIVANVKRLLNGEEMQPMDPWYRGFKGRIEKSASKVAGVTYTITGIVEEIDNNTLRILELPIRRWTQDYKEFLESLMTGNEKSKEPFIQDYREHNDDTTVHFEVILSEENMNIARQEGLEKKFKLTTTISTSNMHLFDPSGVIKKYDTPEQILEEFFSLRLEFYEKRKKKLLDSLEFELMKLDNKVRFILGVIRNEIIVSNRKKADLLIELREKGFTPIRKENKGIEAAVAGAMADSEENEESSEDSPEIVRGSVRASDYDYLLSMAIGTLTLEKVQELIASKNKLEADVEDLKSATVMSLWSKDLEAFEGMLDEQDKLDAEAEQVKNEMRKKGLNSKAAAASKNGPKRPRKTNTAKPPPVVPVTAQTDANNATEAIKPKPRGGAKKATSKKTVDNGSDDDDEILALRDRLHAQSLSSSAHENAMDVEEVLAKKEPSKRAAAAKALSSIAITDISDNDDEPREDYMSNFPEDDNEDGDEEFEVVEIPKGKKTGRRKADTTTTTTTDRKRGAATKNNKQKQQVLSQKLITEVLKPVEQQANSSPEKKVRKIRASPFNKKSGSVLGKSSLGSNDDSSSSLVSNSSPSDEPIMIAARPRRGNRTVKKATYVESDSEMEQEDDDGPITDDSDFEEDDF >DRNTG_23509.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:855214:856111:-1 gene:DRNTG_23509 transcript:DRNTG_23509.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSKELKKTDGTKKQRITGIPKLEDANEAGSSNSHKCTLVLTEGDSAKALAMAGISVVGRNHYGVFPLRGKLLNVREASHKQIMENQEIQSLKRALGLQHGKQYESVNGLRYGHLMIMTDQDHDGSHIKGLLINFIHSFWPSLLKIPSFMLEFITPIVKATHRNGRVLAFYTMPEYEAWKKSIEGNANGWTIKYYKV >DRNTG_23509.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:851052:854614:-1 gene:DRNTG_23509 transcript:DRNTG_23509.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDFVGSNNINLLQPNGQFGTRHQGGKDHASARYIFTCLSPVTRFLFPKDDDALLNYLSEDGQIIEPTWYMPIIPMVLVNGSEGIGTGWSSYIPNYNPRDIVANVKRLLNGEEMQPMDPWYRGFKGRIEKSASKVAGVTYTITGIVEEIDNNTLRILELPIRRWTQDYKEFLESLMTGNEKSKEPFIQDYREHNDDTTVHFEVILSEENMNIARQEGLEKKFKLTTTISTSNMHLFDPSGVIKKYDTPEQILEEFFSLRLEFYEKRKKKLLDSLEFELMKLDNKVRFILGVIRNEIIVSNRKKADLLIELREKGFTPIRKENKGIEAAVAGAMADSEENEESSEDSPEIVRGSVRASDYDYLLSMAIGTLTLEKVQELIASKNKLEADVEDLKSATVMSLWSKDLEAFEGMLDEQDKLDAEAEQVKNEMRKKGLNSKAAAASKNGPKRPRKTNTAKPPPVVPVTAQTDANNATEAIKPKPRGGAKKATSKKTVDNGSDDDDEILALRDRLHAQSLSSSAHENAMDVEEVLAKKEPSKRAAAAKALSSIAITDISDNDDEPREDYMSNFPEDDNEDGDEEFEVVEIPKGKKTGRRKADTTTTTTTDRKRGAATKNNKQKQQVLSQKLITEVLKPVEQQANSSPEKKVRKIRASPFNKKSGSVLGKSSLGSNDDSSSSLVSNSSPSDEPIMIAARPRRGNRTVKKATYVESDSEMEQEDDDGPITDDSDFEEDDF >DRNTG_06026.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000275.1:46345:48444:1 gene:DRNTG_06026 transcript:DRNTG_06026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSSSSSSSSMRKNSSTYSSYLITISFIIFVTILYGEDLTCILRQPFLYYQQLDHFDQQQLLQQQASEKNRTVPFVVGTTEPGCDIFSGEWVYDEETRPQYEEEECPYIQPQLTCQAQGRPDKAYQHWRWQPHGCSLPSFNATLMLEKLRGKRMLFVGDSLNRGQFVSMVCLLHRVIPEGEKSFKTVESLTNFHAKEYNASIDFYWAPFLVESNSDNAIVHRVSDRIVRGGSIKKHARHWKGVDIMVFNTYLWWMTGMPMKILRGSFSGDMKNITLLETPDAYRLALRRMLKWLEKNMDPQKTRVFFTSMSPTHEKSFEWGGEQGGNCYNETTPIKDPNYWGRGSRKSIMQVVKQVFETSKIPITVINITQLSEYRKDAHTSIYKKQWNPLTPEQLANPRSYSDCVHWCLPGLQDTWNELLFTKLFFP >DRNTG_15780.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17226113:17227268:-1 gene:DRNTG_15780 transcript:DRNTG_15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLETLANGLPNDGEKWKRRASQPYMHETNTNAQVLALKPDKSNAHHKERTLRILITQALINEVKISYYCVLNIEFFSYRIVKAKKATSGPRKRKIPEASTSGTMVEEAMMTPPVLSTPTFAKVHFREWFARFRMKRIGKSHTVD >DRNTG_31576.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17942203:17942871:1 gene:DRNTG_31576 transcript:DRNTG_31576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVPLAGLPSQQPPRSWAQIASQDTRTIAGSPLYNSEILGKIKASSSQFVRVDDEALGRARMKFQNSLYGKFFGKPPSFEQVKLILMAKWADLGEVCISDLPNGFLLIRCGSHSVMQRLLQDGPWSINGIILQLSPWKPFFEPAFAKLNTAAIWVQLHNLPVDFWDGDSLESLTAHLGPLLKVDDLTLSLSRSKFA >DRNTG_09721.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000408.1:65:1339:-1 gene:DRNTG_09721 transcript:DRNTG_09721.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMSSIPSLILFLPSFSAIPPPSSSSSCPLDLSYITTYPWDHSHCIPSTTNPNLTNCRQTLLSLYAIALALRLRSTSQFRLPSLNSSISCLSTFQSQFYSPSYFVISPNFCASIQTKQDWISKLGNSTAVDSACSAVPPNPTTSCQPCYLAGVSVSSNLTALDGNRSHSDNCFYLTVLYAAGISNSAGPQNPLVAACIFSLDITHSSPSHRSHAFLYAIIGAAFAILFLPFIIVLYLFWSRRCKTAAPAFNQEENPRSRPHLRPNTGSIWFKIRDLEKATSFFSHHNLIGRGGFGVVYKGILSDGTPVAVKQILDSDFEGDEEFRNEVEIISNLRHRNLVPLRLLHHQRPQPPTLPRLRPHAKR >DRNTG_11498.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19610168:19611224:-1 gene:DRNTG_11498 transcript:DRNTG_11498.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGDFKDAHKVFDEMRHRNTVSWNSIIIGYAMHGDSKSAMRVLTQMIQQGFRPDDRTLASVLSSCANQSAANEAAQVHNHSIKTGLDNHLSVSNALIFSYAKSGNILYASRVFQSIHKPDIVSFSSMISSYAFHGLGKEAINTFEKMLKHGGIWPDKVVFLGVLSACSHAGLVEKGLYYFKSMVRDYQISPGSEHYACLIDLLGRAGYIDEAYDVVVNMPFEPDGDVLGALIGACKLHGNACLGKLVGERLHELEPCKSVNYKLMNNIYVGLERWDEVVKVRRELEGLGCDCMVAGCSWM >DRNTG_11498.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19610168:19611725:-1 gene:DRNTG_11498 transcript:DRNTG_11498.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRNLASSCTKISGSSPSIPPSNHSLLLKFKRKIENTLELCHNSFVQVLRACAQFNGIVIGKQVHSLALKHGFQSNVFIGTALLDLYSKCGLPKDARLLFDEMSLRDLVSWNVMLSCYAVHGLIREAFQIFNSMRFHGFLWDEFTFSSFISCCCGDTKLGKQIHGLVLILSLDSDVFVSCALVDNYMKSGDFKDAHKVFDEMRHRNTVSWNSIIIGYAMHGDSKSAMRVLTQMIQQGFRPDDRTLASVLSSCANQSAANEAAQVHNHSIKTGLDNHLSVSNALIFSYAKSGNILYASRVFQSIHKPDIVSFSSMISSYAFHGLGKEAINTFEKMLKHGGIWPDKVVFLGVLSACSHAGLVEKGLYYFKSMVRDYQISPGSEHYACLIDLLGRAGYIDEAYDVVVNMPFEPDGDVLGALIGACKLHGNACLGKLVGERLHELEPCKSVNYKLMNNIYVGLERWDEVVKVRRELEGLGCDCMVAGCSWM >DRNTG_11498.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19610062:19611725:-1 gene:DRNTG_11498 transcript:DRNTG_11498.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRNLASSCTKISGSSPSIPPSNHSLLLKFKRKIENTLELCHNSFVQVLRACAQFNGIVIGKQVHSLALKHGFQSNVFIGTALLDLYSKCGLPKDARLLFDEMSLRDLVSWNVMLSCYAVHGLIREAFQIFNSMRFHGFLWDEFTFSSFISCCCGDTKLGKQIHGLVLILSLDSDVFVSCALVDNYMKSGDFKDAHKVFDEMRHRNTVSWNSIIIGYAMHGDSKSAMRVLTQMIQQGFRPDDRTLASVLSSCANQSAANEAAQVHNHSIKTGLDNHLSVSNALIFSYAKSGNILYASRVFQSIHKPDIVSFSSMISSYAFHGLGKEAINTFEKMLKHGGIWPDKVVFLGVLSACSHAGLVEKGLYYFKSMVRDYQISPGSEHYACLIDLLGRAGYIDEAYDVVVNMPFEPDGDVLGALIGACKLHGNACLGKLVGERLHELEPCKSVNYKLMNNIYVGLERWDEVVKVRRELEGLGCDCMVAGCSWM >DRNTG_11498.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19610062:19611224:-1 gene:DRNTG_11498 transcript:DRNTG_11498.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGDFKDAHKVFDEMRHRNTVSWNSIIIGYAMHGDSKSAMRVLTQMIQQGFRPDDRTLASVLSSCANQSAANEAAQVHNHSIKTGLDNHLSVSNALIFSYAKSGNILYASRVFQSIHKPDIVSFSSMISSYAFHGLGKEAINTFEKMLKHGGIWPDKVVFLGVLSACSHAGLVEKGLYYFKSMVRDYQISPGSEHYACLIDLLGRAGYIDEAYDVVVNMPFEPDGDVLGALIGACKLHGNACLGKLVGERLHELEPCKSVNYKLMNNIYVGLERWDEVVKVRRELEGLGCDCMVAGCSWM >DRNTG_27550.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1698099:1701996:1 gene:DRNTG_27550 transcript:DRNTG_27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PETER PAN-like protein [Source:Projected from Arabidopsis thaliana (AT5G61770) UniProtKB/TrEMBL;Acc:F4K3M1] MARFRNKRGRAPAKSPGMKKPPGVNQVTGEKVPKSIVFGRGKLPGHLKQLEHDLRKLMLPHTALKLKEKKRNNLKDFLNIAGPMGVTHFLILSNPKSIPHLRVARTPQGPTLTFEVCEYALAADVARSQAHPRCPQGLFQNSPLIVLSGFGNGAKHLKLTTIMFQNIFPAIDINTVKLSSCQRIVLLNYNSETKLIDFRHYSIRLQPVGVSRRIRKFVQNHQVPNLRNLKDVSDFVTKAGYGSESEADEEAATVNLVSDLSRVNRASTKSAVKLQEIGPRMTLRLVKVEEGLCSGSVIFSEYGKEAIAEEAQGASDEETED >DRNTG_27550.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1698099:1701996:1 gene:DRNTG_27550 transcript:DRNTG_27550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PETER PAN-like protein [Source:Projected from Arabidopsis thaliana (AT5G61770) UniProtKB/TrEMBL;Acc:F4K3M1] MKKPPGVNQVTGEKVPKSIVFGRGKLPGHLKQLEHDLRKLMLPHTALKLKEKKRNNLKDFLNIAGPMGVTHFLILSNPKSIPHLRVARTPQGPTLTFEVCEYALAADVARSQAHPRCPQGLFQNSPLIVLSGFGNGAKHLKLTTIMFQNIFPAIDINTVKLSSCQRIVLLNYNSETKLIDFRHYSIRLQPVGVSRRIRKFVQNHQVPNLRNLKDVSDFVTKAGYGSESEADEEAATVNLVSDLSRVNRASTKSAVKLQEIGPRMTLRLVKVEEGLCSGSVIFSEYGKEAIAEEAQGASDEETED >DRNTG_03508.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:15614226:15933599:1 gene:DRNTG_03508 transcript:DRNTG_03508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWACECPRPWGISARACGRLDIFLGCLGQPQGHASAPVARYECLSRLRFGQTRFLDTTILRDLQQGDEFADVVEDLVSEGGWRQLLTIREPAIREFALEGQYEPGVSKATCLSRPAYKYLHAIRSRSVNGRGDSTGVLSRQELLYFTRL >DRNTG_17568.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16560637:16565173:-1 gene:DRNTG_17568 transcript:DRNTG_17568.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKLQALRNFDAFPRAEEHLLQKTKSGAVVSIIGLAIMAILFIHELNYYLTTYTVHQMSVDLKRGETLPIHINMTFPSLPCEVLSVDAIDMSGKHEVDLDTNIWKV >DRNTG_17568.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16560360:16565173:-1 gene:DRNTG_17568 transcript:DRNTG_17568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKLQALRNFDAFPRAEEHLLQKTKSGAVVSIIGLAIMAILFIHELNYYLTTYTVHQMSVDLKRGETLPIHINMTFPSLPCEVLSVDAIDMSGKHEVDLDTNIWKMMQT >DRNTG_20595.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18276580:18277916:1 gene:DRNTG_20595 transcript:DRNTG_20595.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGQNLAEKRYTCTSRHPWCSSSSPPCNQNSAKLLESAQELIGISSSSGNDSSECQARNESKLRFCESKDVRTTLALASPLFSDSATSENQREGLVANPQELCQRLQEDITWQSEIIPSMVESLLDSISSEKRCTCLLIRGTDHIGKRKLAKTISEILYGSTQSVIYINMKKTTGIAFPSAGTILETLKRDPKRVFLIEDVDQAHNDFLENLAKNIKKIGFECPAIFIFTTTPTSTNTNFNRDKVLQMKMKIEEPKIDEDQEYELWNKSKKIRQEKTTDLDLNISVVAEEDDSGLTQEVEDQGFGLSPEFLELIGLHFTFNAGSDWYERVIESFSMKLHRFFEDVQSDKGDNEWSFSVDKRVLEGLVEASDMVMERWFEEWLREVFKRSLLLFKKGGKVRLSIDRGKEGNAMEGGFEGSLLPSRI >DRNTG_20595.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18275065:18277916:1 gene:DRNTG_20595 transcript:DRNTG_20595.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGIVQQALTPEAASALKQSLNLAKRRGHAQVTPLHVATTLLVSSKDTANLLRRACLHSHPLHCRALELCFNVALNRLPSIPPLSSNSLLPLNEPSLSNSLIAALKRAQAHQRRSSIELQQQQQQQQEQPLLAIKVELEQLIISILDDPSVSRVMREAGFSSTSVKANVEEFCSASVYGELYSYSQFLRTHFSRLPSESQEEDLKVVLEVMSRKQSNRRHNIVIVGDSVLKTEWLVAELMERVRKGQVSDDLKSSQFIKLQISHSHVKNMSRNEVDMMVFNLRRKTASLLVEGNVIIYVGDLMWVVDEEEFSNGCRALDHLVQEIGRLLCEMRSCNKVWLMGVASYQTHIKCQKRQPSLEAQWGLHAVVVPSAGLGLSLQAPSGMDSRLRKHFNIEEQDRLIFCETMNADNGSSSMPHWLHTHRPENYDKGALLGLKRKWSELCQANHPSMFGQNLAEKRYTCTSRHPWCSSSSPPCNQNSAKLLESAQELIGISSSSGNDSSECQARNESKLRFCESKDVRTTLALASPLFSDSATSENQREGLVANPQELCQRLQEDITWQSEIIPSMVESLLDSISSEKRCTCLLIRGTDHIGKRKLAKTISEILYGSTQSVIYINMKKTTGIAFPSAGTILETLKRDPKRVFLIEDVDQAHNDFLENLAKNIKKIGFECPAIFIFTTTPTSTNTNFNRDKVLQMKMKIEEPKIDEDQEYELWNKSKKIRQEKTTDLDLNISVVAEEDDSGLTQEVEDQGFGLSPEFLELIGLHFTFNAGSDWYERVIESFSMKLHRFFEDVQSDKGDNEWSFSVDKRVLEGLVEASDMVMERWFEEWLREVFKRSLLLFKKGGKVRLSIDRGKEGNAMEGGFEGSLLPSRI >DRNTG_02239.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1094160:1097492:1 gene:DRNTG_02239 transcript:DRNTG_02239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSDRMDDDPPCTNQESDEAAGICRCGH >DRNTG_01949.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32549230:32550163:-1 gene:DRNTG_01949 transcript:DRNTG_01949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLAGPLHGLATQEALLWVKSIAKEFGEDVSTEKLEEVVWKTLNSGKV >DRNTG_10018.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3028688:3032204:1 gene:DRNTG_10018 transcript:DRNTG_10018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAATATATETATETTVAEKEAGSGGACEFCGEAPALVHCRADSARLCLACDRHVHAANTVSSRHSRALLCDTCRTARATILCASHNSMLCPNCDFDAHQDAAQQHDRRPVEPFSGCPTAVELVDVLGVGGDGKEIGGGGDEGFGEDGWVWEAQPILSLEDYIVPTTSCHGFQALGFAPLNKNRKAACGKHREEILRQLRELMKSESYVATDCWQQESAVEFIPMEQEQILQEGNMEFNLNQDTTCFNVPTYEVNFFQWDHSDNHDLTHTVAFPFEQFTGSSLIANHTKTEEAGDIDASANQVSGSGDGGGGGGESGDAIIKDKILSLPKRSGYDLALPDRDSVLSRYKEKRKARRYDKLIRYESRKVRADSRVRIKGRFAKANQAEK >DRNTG_02174.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1439044:1439764:1 gene:DRNTG_02174 transcript:DRNTG_02174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLILPAAALVLLMVVLSLFIWLLLQPHRGEIEKIPGTMGWPIVGESFSFLSDFSSPSGIFSFMRNRQQRYGKVFKTSVLGRFTVFMTGRDAAKNIVVRKKMEW >DRNTG_27904.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10406528:10407613:1 gene:DRNTG_27904 transcript:DRNTG_27904.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQILHKGETVPKYHFLIASNKKNDQTTSNSKSFANKNKNLDHKI >DRNTG_05885.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4230482:4237073:1 gene:DRNTG_05885 transcript:DRNTG_05885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPISLKRPSTPSQNLTLAGASEARSLVRDAMRTTLAAPPVSSPLAEQISPAELRFGPALDGFVVDGMASRILCCEEVDGRRWSYVADVEPSGSLRTGSSLKAVALQNPVAPIDELVSFIRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTQTLLSSVGVSRNQATSGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFAGDLLMELGAGVELATAAVPHLFLPLACAANVAKGLNLSTSFGLFGLGLIMKNCPCPFYVNQSLCTH >DRNTG_05885.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4230482:4237073:1 gene:DRNTG_05885 transcript:DRNTG_05885.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPISLKRPSTPSQNLTLAGASEARSLVRDAMRTTLAAPPVSSPLAEQISPAELRFGPALDGFVVDGMASRILCCEEVDGRRWSYVADVEPSGSLRTGSSLKAVALQNPVAPIDELVSFIRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTQTLLSSVGVSRNQATSGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFAGDLLMELGAGVELATAAVPHLFLPLACAANVAKNVAAVTSTSTRTPIYKAYARGENIGDVTAKGESVGNIADLLGTGLSIFISKRNPSLVASFTLLSFGYIFSSYREVKSVVLNTLNRARFTVAVESFLKTGCVPSLKEGNLNENIFTPPWSNRTPIVLGPRFGDAFQEPASFLAIERMFENERYIVTYNPAKGNIYALFKDQAKSEDILKAAFHAHVLLHCIRSSKKYNYLRTNQSTMGESLSNHLMPKTMALESHILESCDIVSSSFRDFKEKAAQQGWIMSDSLLNPGRARLYGLTQP >DRNTG_02433.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000115.1:70222:74536:1 gene:DRNTG_02433 transcript:DRNTG_02433.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heptahelical transmembrane protein 1 [Source:Projected from Arabidopsis thaliana (AT5G20270) UniProtKB/Swiss-Prot;Acc:Q93ZH9] MKNTSKKKNKEHKNKKKKKSNNNNNNNNNMKHEKIYKLLRFEELPEYMKENEFILDHYRAEWPIKQAVGSIFSWHNETVNVWTHLLGFFLFLALTLVHLRDVPQVANLLDHFSWYIPSNAVENSSYNQGKLFYVTSSLVQQNPMHTTTTTATTTKTQRWPFFIFLTGSMFCLLSSSACHLFSCHSRRLNLFLIRFDYAGIAVMIVTSFFPPIYYIFQCDTHWQLLYLSSISLLGLLTVANLFSPHLSTPKFRSYRASLFAAMAFSGIIPAIHAAVVNWNEPKRNITLAYELAMALFYVVGTLFYVSRVPERWKPGLFDVVGQSHQIFHVFVIAGALAHYAAALVFLEYRDAVGCFHDQR >DRNTG_27943.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6475381:6483787:1 gene:DRNTG_27943 transcript:DRNTG_27943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTVHKSSSPGPNPSEVMVSGDEAIVDTVASTTVAIATGKAMKATIAERASEAGGREDEAATIKGKYIRMKMILSDLDFSSIKVCPKHAS >DRNTG_11906.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:999562:1004673:-1 gene:DRNTG_11906 transcript:DRNTG_11906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYLLLHFPLMLSCIQEKKDKLPGRLDHISYLVHMAVFGILGVFTRYLLQKIFGPSLLKLTGDDSALYLDLPSNILGSFLMGWFGVIFKADIRNVSDHLVIGLTTGYLGSLTTFSGWNMKMLDLSERGHWVFAVGGIVLGMAIVNESIRYGVEIAEVLRRILLNWHSKTSQKTKVMLENLRVNTRERHAVVLLWMILILGALWSLSCVLAKRKIDSVTNGAVLWLACLVGPPGVWFRWYLARLNGRGIGRKGILKWLPMGTLIANILAACIMAALSTINKEVNTKKCAIIVSGIQFGFLGCLSTVSTFVAEVFAMRQSGHILRATAYMLLTIIPSFGFGTLIYSLPVWLKHYN >DRNTG_11906.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:999562:1002313:-1 gene:DRNTG_11906 transcript:DRNTG_11906.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENYLLLHFPLMLSCIQEKKDKLPGRLDHISYLVHMAVFGILGVFTRYLLQKIFGPSLLKLTGDDSALYLDLPSNILGSFLMGWFGVIFKADIRNVSDHLVIGLTTGYLGSLTTFSGWNMKMLDLSERGHWVFAVGGIVLGMAIVNESIRYGVEIAEVLRRILLNWHSKTSQKTKVMLENLRVNTRERHAVVLLWMILILGALWSLSCVLAKRKIDSVTNGAVLWLACLVGPPGVWFRWYLARLNGRGIGRKGILKWLPMGTLIANILAACIMAALSTINKEVNTKKCAIIVSGIQFGFLGCLSTVSTFVAEVFAMRQSGHILRATAYMLLTIIPSFGFGTLIYSLPVWLKHYN >DRNTG_11906.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:999562:1000855:-1 gene:DRNTG_11906 transcript:DRNTG_11906.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFGVIFKADIRNVSDHLVIGLTTGYLGSLTTFSGWNMKMLDLSERGHWVFAVGGIVLGMAIVNESIRYGVEIAEVLRRILLNWHSKTSQKTKVMLENLRVNTRERHAVVLLWMILILGALWSLSCVLAKRKIDSVTNGAVLWLACLVGPPGVWFRWYLARLNGRGIGRKGILKWLPMGTLIANILAACIMAALSTINKEVNTKKCAIIVSGIQFGFLGCLSTVSTFVAEVFAMRQSGHILRATAYMLLTIIPSFGFGTLIYSLPVWLKHYN >DRNTG_23733.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15704304:15704761:-1 gene:DRNTG_23733 transcript:DRNTG_23733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNPSILIEGDPEIERTLRRKGKEPVQEQSNLADLEVEGSDNMVEQNEQQKTLSDYARPSVLWTQSSIVRPPITAQNFELKPAFIHML >DRNTG_26614.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:265807:271722:1 gene:DRNTG_26614 transcript:DRNTG_26614.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSPPLINPRSGYSPATKTFHSLRPTVPLPPVSLPLSFTSYLVSLLPDRFPSHPAFINASTGLSLSYPDLGYGATEAGLVSRMIGPEECKHWRSVGRLNANMEAKIVDHVTGHPLSLGQHGELWVRGPTIMLDVVEAAVVPCPDEIAGQIPMAFVVRKPGSKLSGEDVIKFTAQLVAPYKKIRRVAFINSIPKTPSGKIMRRDLANRFFSSSTSKL >DRNTG_18920.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12945760:12946869:-1 gene:DRNTG_18920 transcript:DRNTG_18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHVFRELAALLESRSLLRSSRTVSVEEQLAIFMTVVGHAAPNRVACEQFQHSSETISRYFHMVLCAINSLANEMIQMPGGDEPAHPRVRNTPFMHTICDPNLEQDQNRHVDEGSYPNVGRPHQSSDGGRGTSQSVRDSIARQLWLS >DRNTG_01935.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32465610:32474517:-1 gene:DRNTG_01935 transcript:DRNTG_01935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLRFRSGLKGEIGIFFPMLVLRVVENVLQPSFLQKMTVLSLLEKICHDPQIIVDIFVNYDCDMDAPNVFERIVNGLLKTALGVPPGSVTTLSPAQDATFRIESVKCLSCIVKAMGNWMDQLLRIGEFSPMLDTEYSSENPSTVEGEEGNGTDFDLNSEVNSENAASLEQRRAFKLEFQKGIALFNRKPSKGIEFLINSKKIGSSPEDVASFLKNTAGLNETMIGDYLGERDDFPLKVMHAYVDSLNFEGMDFGEAIRFFLRGFRLPGEAQKIDRIMEKFAERYCKCNPSSFSSADTAYVLAYSVIMLNTDAHNSMVKDKMSKADFIRNNRGINDGKDLPEDYLGSLYDQIVKNEIKMNADSSAPQNKQSNSINKLLGLDSIFNLVNWKQPEEKALGANDMLIRRIQEQIKAKSGKSESAYYAVTDTAILRFMMEVSWAPMMAAFSVTLDQSDDKVATNYSLQGFRHAIHVTSVMHMQTQRDAFVTSVAKFTYLHCVADMKQKNVDAMKAIISIAIEDGNYLQEAWEHVLTCLSRFEHLHLLGEGAPPDASFFIVSHTETEEKAQKTPATPSSKKKGNALQNPSVMAVVRGGSYDSVAPGVTSASGLVTPEQMNNFISNLHLLDQIGSFELNHIFAHSQRLNSDAIVAFVNALCKVSMTELQSPTDPRVFSLTKIVEIAHYNMNRIRLVWSRIWNVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNDFLRPFAIVMQKSNSSEIRELIVRCISQMVLSRVKNVKSGWKSVFMVFTIAAADERKNIVLLAFETMEKIVRDYFPHITETETMTFTDCVKCLITFTRSRFNSDASLNAIAFLRFCAVKLAEGLVCSEGHSANGDFPEDGTLTDKDDNIQFWVPLLAGLSKLTSDSRPTIRKGALEVLFNILKDHGHLFSRNFWANIFKSVIFPIYSNAHHTHVGQTSPSQNSSQLEENPWDSETDAVAAQCLVDLFVHFFDVVRWQLTNVIVILTDYLRIPYHKSASTGVASFLHLTGTLGSKFSENEWIEILIPLKQAVLLTQSEFSRISRIMHDVEIPNDQTFYDAELLSENEFVNNEEEEANMETASYAIVKMKGHIAVQLLIVQAVMKLYEVHEEFFTVAHISILLDILSSVASHASTVISQTILLQKLEAACSLLEIPDPPVVHFENEAHQNYLKLLQAIHQSKLFNPHKMSIESQLVGVCEKILKKYLNCAGCQSVQQHPDNGLTQHRVLPLGSAKKEELAARTNLLVFTMQVLSSLDSDSFKRNLPCFFPLFVNLVSCEHSSREVQRVLCDIFHSSIGPIILSS >DRNTG_01935.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32465610:32471955:-1 gene:DRNTG_01935 transcript:DRNTG_01935.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLRFRSGLKGEIGIFFPMLVLRVVENVLQPSFLQKMTVLSLLEKICHDPQIIVDIFVNYDCDMDAPNVFERIVNGLLKTALGVPPGSVTTLSPAQDATFRIESVKCLSCIVKAMGNWMDQLLRIGEFSPMLDTEYSSENPSTVEGEEGNGTDFDLNSEVNSENAASLEQRRAFKLEFQKGIALFNRKPSKGIEFLINSKKIGSSPEDVASFLKNTAGLNETMIGDYLGERDDFPLKVMHAYVDSLNFEGMDFGEAIRFFLRGFRLPGEAQKIDRIMEKFAERYCKCNPSSFSSADTAYVLAYSVIMLNTDAHNSMVKDKMSKADFIRNNRGINDGKDLPEDYLGSLYDQIVKNEIKMNADSSAPQNKQSNSINKLLGLDSIFNLVNWKQPEEKALGANDMLIRRIQEQIKAKSGKSESAYYAVTDTAILRFMMEVSWAPMMAAFSVTLDQSDDKVATNYSLQGFRHAIHVTSVMHMQTQRDAFVTSVAKFTYLHCVADMKQKNVDAMKAIISIAIEDGNYLQEAWEHVLTCLSRFEHLHLLGEGAPPDASFFIVSHTETEEKAQKTPATPSSKKKGNALQNPSVMAVVRGGSYDSVAPGVTSASGLVTPEQMNNFISNLHLLDQIGSFELNHIFAHSQRLNSDAIVAFVNALCKVSMTELQSPTDPRVFSLTKIVEIAHYNMNRIRLVWSRIWNVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNDFLRPFAIVMQKSNSSEIRELIVRCISQMVLSRVKNVKSGWKSVFMVFTIAAADERKNIVLLAFETMEKIVRDYFPHITETETMTFTDCVKCLITFTRSRFNSDASLNAIAFLRFCAVKLAEGLVCSEGHSANGDFPEDGTLTDKDDNIQFWVPLLAGLSKLTSDSRPTIRKGALEVLFNILKDHGHLFSRNFWANIFKSVIFPIYSNAHHTHVGQTSPSQNSSQLEENPWDSETDAVAAQCLVDLFVHFFDVVRWQLTNVIVILTDYLRIPYHKSASTGVASFLHLTGTLGSKFSENEWIEILIPLKQAVLLTQSEFSRISRIMHDVEIPNDQTFYDAELLSENEFVNNEEEEANMETASYAIVKMKGHIAVQLLIVQAVMKLYEVHEEFFTVAHISILLDILSSVASHASTVISQTILLQKLEAACSLLEIPDPPVVHFENEAHQNYLKLLQAIHQSKLFNPHKMSIESQLVGVCEKILKKYLNCAGCQSVQQHPDNGLTQHRVLPLGSAKKEELAARTNLLVFTMQVLSSLDSDSFKRNLPCFFPLFVNLVSCEHSSREVQRVLCDIFHSSIGPIILSS >DRNTG_17040.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22095049:22096288:1 gene:DRNTG_17040 transcript:DRNTG_17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTITAVTGHAAASGFIIARAHDYVGDRGFIYMSELDIGVPIARYAMSELRSRIFGYECDEGFVAETGEDEGRGSREEGAIDRTVEGGTEVMAVRALMRISQGLSFLRNQGIASGFLSNLCLRGLEFETS >DRNTG_29422.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1816313:1817134:1 gene:DRNTG_29422 transcript:DRNTG_29422.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRIB domain-containing protein RIC9 [Source:Projected from Arabidopsis thaliana (AT1G61795) UniProtKB/Swiss-Prot;Acc:Q1G3Y0] MAKIKGFFKGIKYFSQIFVAKEHEMEIGHPTNVRHVAHVGWDNASVHAPSWMNEFKPSSDFSSTTVGKLCWCF >DRNTG_29422.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1816203:1817134:1 gene:DRNTG_29422 transcript:DRNTG_29422.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRIB domain-containing protein RIC9 [Source:Projected from Arabidopsis thaliana (AT1G61795) UniProtKB/Swiss-Prot;Acc:Q1G3Y0] MAKIKGFFKGIKYFSQIFVAKEHEMEIGHPTNVRHVAHVGWDNASVHAPSWMNEFKPSSDFSSTTVGKLCWCF >DRNTG_29422.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1816897:1817134:1 gene:DRNTG_29422 transcript:DRNTG_29422.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRIB domain-containing protein RIC9 [Source:Projected from Arabidopsis thaliana (AT1G61795) UniProtKB/Swiss-Prot;Acc:Q1G3Y0] MFKGDNSKPEIPRPPKMRKRKKTKFSFPSSSTRSSSKP >DRNTG_27704.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21774356:21777068:1 gene:DRNTG_27704 transcript:DRNTG_27704.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFDLVGSYCRHDQVVSFIWAVVRNIIPTDMLGGFSTWRALRKNIAKFVRLRRFEKFCVEDCIHGLKTSSFSFLHNIRISSCYCNSENKYEDEGMKINPLKVTGAKLSLHNKLIQSWIFWLFSYILTPIISSNFYVTEKESGKQDVFYYLKPVWRHLVGRAIVCLRKCNYKLVESGYVGRVLSKRQFGFSKVRFLPKGKSLRPLANLKAPSKLRFSNQEFASRSSSSVAGKCVGEDFKSMKTHGRGLVTRLKSVNSALHELHAILRSIVVEDPDKLGSSVFDYNDIYHKLCHFLSKIKKECSIMPKMYIVIADVTKAFDSIDQDILIKIMKDVIKNEEYAVRKYAQIICNKSPITLYKQATFDESGRGFDVKNFQASTRLCSSRGILADKGIIKKIKQQKLHCLLHEHVKR >DRNTG_27704.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21769154:21775559:1 gene:DRNTG_27704 transcript:DRNTG_27704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLLQFSSIFVPLMNKSYHQVTGFPIYTILSVQNCSFNSSKFTKKRVSGVGKSTCYGHISKRRRIDFEEQNKGTNFRVHQPGGLLGFNDSTKSIGVGRMIRMYAKDCQKYDGQNNMVDCSIPVGCLERSSSLELVDRKNMRTSLCHHSADFFRLQSCGTVNVESGSESKNVDKTFCVHNNPCYSKSAGSVFPLQPVIIHSVETVFPCHQRNDGVCASVDTTNFTKSTNFRKRGRQFSWHRRRKNKMASYPREYVPNNPTFPGKDDVSSKMAQQQNSSTVCQQPAEPARTINCGVFTDKGQSSVSPLDCNLSEDLEATIKEHDFLSRDSSINERVATSQNVLPAYEQAAKKVTMRTCIERRHIFYSKSSSYLLFPRHHILNRLKPNNAGATYLMEIIFGFPHGGSNASISCNLLTESCSIRSKCLYHSLLRILKSLIRNSQRCQYRKLLNKHCKSPTIKRSDQLHEKPHEDSTMQFDLVGSYCRHDQVVSFIWAVVRNIIPTDMLGGFSTWRALRKNIAKFVRLRRFEKFCVEDCIHGLKTSSFSFLHNIRISSCYCNSENKYEDEGMKINPLKVTGAKLSLHNKLIQSWIFWLFSYILTPIISSNFYVTEKESGKQDVFYYLKPVWRHLVGRAIVCLRKCNYKLVESGYVGRVLSKRQFGFSKVRFLPKGKSLRPLANLKAPSKLRFSNQEFASRSSSSVAGKCVGEDFKSMKTHGRGLVTRLKSVNSALHELHAILRSIVVEDPDKLGSSVFDYNDIYHKLCHFLSKIKKECSIMPKMYIVIADVTKAFDSIDQDILIKIMKDVIKNEEYAVRKYAQIICNKSPITLYKQATFDESGRGFDVKNFQASTRLCSSRGILADK >DRNTG_32513.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001849.1:1286:3269:1 gene:DRNTG_32513 transcript:DRNTG_32513.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNAPPVAEDPPPDDRFERLENAVGVIRTEITEVRAEIAEILKRDVTSSFVLWPRTPQAPPPSPSPPLPAPFDLTLAAAEEPESGTDI >DRNTG_05549.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23074230:23075609:-1 gene:DRNTG_05549 transcript:DRNTG_05549.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMANIFEPRESVNGRGDSTGVINKQELLYLSIYYQTHCGNRFVRQNQRNRESDNPSTTWSAPELQPTPVETETPPAAVEPPPCI >DRNTG_31223.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2318631:2322752:1 gene:DRNTG_31223 transcript:DRNTG_31223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKRIRRHPSPVQDPNPDPESDGFLRNAVSEKRAATTAGGGSPAGLRRLAAVFAIAVLMLLSLLVLLPRAGLSLDRFVGTSVEKEGFRVVFDEGGPPKSANTSKDKLLGGLLIDEFDESSCLSRYQSAMFRKVSPHIPSPYLLKRLRRYEALHKKCGPGTELYNKIVDRLNSGQYVGSAECNYVVLISYSGLGNRILTLASSFLYALLTDRVLLVDRGGDLADLFCEPFPETSWLLPLDFPVNQFRSFEKESPESYGNMLKNNVFANSGDAGPKAKVPPYIYLHLAHDYGDHDKLFFCDEDQLLLQKIPWLVLRSDNYFVPSLFLMPEYEEELHRLFPQRDTVFHHLGRYLYHPTNSVWGLVKRYYLAYMAKADERVGIQIRVFESEPGPFQHVFDQLLACVRKEKLLPDVTPEEPVDLTAYIRSKAILVTSLNSGYSEKLRNMYWENSATNGEIISVYQPSHEEFQQAEKQMHNKKAWAEMYLLSLTDSLITSAWSTFGYVAQGLAGVKPWILFKPENRTVPDPACRRVMSMEPCFHAPPFYDCKAKRGVDTGALVPYVRHCEDMSWGLKLVDQDEW >DRNTG_05257.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000237.1:55326:59069:1 gene:DRNTG_05257 transcript:DRNTG_05257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGFVSTLVVVVALFCLSFHVLGQTDSGDVAILEEFHKGLENSELLKWPLNTDKDPCGSKWPHVFCEDSRVTQIQVQGMGLSGPLPQNFNQLSMLSNIGLQRNNFSGKLPSFNGLSNLKFAYLGSNQFDTIPSDFFVGLTSLQVLSLDQNPLNESTGWRLPLEFENSAQLMNLSLIGCNLVGPIPEFLGRMSSLTVLKLSYNSLTGEIPASLSGSALQILWLNNQKGTGLAGSIDAITSMVSLTDVWLHGNSFTGMIPDSIGALSSLQRLWLNNNNLVGLVPENLTALPQLQNLQLQNNKLMGPIPDFSFPNFTYGSNSFCQSKPGVPCSPEVTALLDFLADLNYPLVLADTWSGNDPCSFWRGVSCLNNKVSVINLPNLQLNGTISPSIGKLDTLADIRLGGNNLTGQIPQNLTNLKSLKMLDVSSNNLAPPLPKFNGVKLNIDGNPLLNSSTPDQTPAPPPPPDGDPTPSTPSVPSSPNEHHGNNSNNTNSTTPSNGSKKNVVIYIVPVAVVVLIILPVSMFLYFCRRRANAAAFSAPSSIVVHPRDPSDPDNMVKIAVASNIGTTSVGTSDLQSSVNSGMTEAHVIESGNLVVSVQILRGVTRSFSPENELGRGGFGVVYKGELHDGTMIAVKRMESAVISSKALDEFQSEIAVLSKVRHRNLVSLLGYSVEGNERLLVYEYMPQGALSKHLFQWEQLKMEPLSWKKRLNIALDVARAMEYLHNLAHQCFIHRDLKSSNILLGDDYRAKVSDFGLVKLAPDGKNSVVTRLAGTFGYLAPEYAVTGKITTKADVFSFGVVLMELVTGLIALDENRPEEKRYLASWFCQIKSNPHELRDGIDKALDVTDETFESISTIAELAGHCAAREPHQRPDMGHAVNVLAPLVEKWKPMKDDQEEYLGIDLRQPLLQMVKGWQDADSTNVSSISYNDSKGSIPSRPDGFAESFTSADGR >DRNTG_05257.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000237.1:55326:59069:1 gene:DRNTG_05257 transcript:DRNTG_05257.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGFVSTLVVVVALFCLSFHVLGQTDSGDVAILEEFHKGLENSELLKWPLNTDKDPCGSKWPHVFCEDSRVTQIQVQGMGLSGPLPQNFNQLSMLSNIGLQRNNFSGKLPSFNGLSNLKFAYLGSNQFDTIPSDFFVGLTSLQVLSLDQNPLNESTGWRLPLEFENSAQLMNLSLIGCNLVGPIPEFLGRMSSLTVLKLSYNSLTGEIPASLSGSALQILWLNNQKGTGLAGSIDAITSMVSLTDVWLHGNSFTGMIPDSIGALSSLQRLWLNNNNLVGLVPENLTALPQLQNLQLQNNKLMGPIPDFSFPNFTYGSNSFCQSKPGVPCSPEVTALLDFLADLNYPLVLADTWSGNDPCSFWRGVSCLNNKVSVINLPNLQLNGTISPSIGKLDTLADIRLGGNNLTGQIPQNLTNLKSLKMLDVSSNNLAPPLPKFNGVKLNIDGNPLLNSSTPDQTPAPPPPPDGDPTPSTPSVPSSPNEHHGNNSNNTNSTTPSNGSKKNVVIYIVPVAVVVLIILPVSMFLYFCRRRANAAAFSAPSSIVVHPRDPSDPDNMVKIAVASNIGTTSVGTSDLQSSVNSGMTEAHVIESGNLVVSVQILRGVTRSFSPENELGRGGFGVVYKGELHDGTMIAVKRMESAVISSKALDEFQSEIAVLSKVRHRNLVSLLGYSVEGNERLLVYEYMPQGALSKHLFQWEQLKMEPLSWKKRLNIALDVARAMEYLHNLAHQCFIHRDLKSSNILLGDDYRAKVSDFGLVKLAPDGKNSVVTRLAGTFGYLAPEYAVTGKITTKADVFSFGVVLMELVTGLIALDENRPEEKRYLASWFCQIKSNPHELRDGIDKALDVTDETFESISTIAELAGHCAAREPHQRPDMGHAVNVLAPLVEKWKPMKDDQEEYLGIDLRQPLLQMVKGWQDADSTNVSSISYNDSKGSIPSRPDGFAESFTSADGR >DRNTG_18433.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20089180:20090413:-1 gene:DRNTG_18433 transcript:DRNTG_18433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERKQLEHPSPSVSKKKSSDHTVDALNRLISLSDHRSKIMEQSKEEGDSFSFKTCMEKLYSMLGVSDVEE >DRNTG_23351.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5998108:6000990:1 gene:DRNTG_23351 transcript:DRNTG_23351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLTIFLIIFFTLENPLTSALLERDIVNLHVQDKLILSSAFGPESIAFDAVGEGPYTGVSNGRILKWRGSSLGWQEFATTSNIRTSDCDNIKYFHVSLESKCGRPLGLQFNEGTGDLYITDAYFGLLTVGREGGEAAQVAVSADDQPFGFTNGLDVDQQNGMVYFTDSSTHFQRREYILAVIAGDATGRLMKYNPTSKLVTVLKKGLAFPNGVALNKDNTFLIVAETTRCRLLRYWLQGPKTGTLEIFAQLPGYPDNIKKNPKGEFWVALNQEKVNGRVDWSFERPVGMRFSENGEVLEVLKGRVWTSISEVQEGNGTLWVGSVVMPYVGVYKN >DRNTG_21353.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13107340:13112533:-1 gene:DRNTG_21353 transcript:DRNTG_21353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNNGGELGREIIAARLSNRIISIGTPENENASRIDKLSNHSNEDEKSYGWRKFLAHIGPGFLVSLAYLDPGNLETDLQAGADHKYELLWVILIGLVFALIIQSLAANLGVTTGRHLAELCKEEYPKIVKLCLWLLAEVAVIAADIPEVIGTAFALNILFHIPVWTGVLITGLSTLLLLGLQRYGIRKLELLISILVFVMAACYFGELSYVKPPAVEVLKGLFIPRLKGNGATGDAIALLGALVMPHNLFLHSALVLSRKTPPSRSGINAACRYFLLESGFALFVALLINVAVVAVSGTVCAAENLSQDNADKCSNLTLNSASFLLKNVLGKSSSIVYAIALLASGQSSTITGTYAGQYIMQGFWNIKMRNWLRNLMTRCIAITPSLIVSIIGGSSGAGRLIIIASMILSFELPFALIPLLKFSSSKTKMGPHKNSIYIIVASWILGVGIIGINIYYLSTGFVDWLIHNKLPKVANVLIGLIVFPIMAIYILSVLYLTVRKDTVVTFINPNDVEMEKGVGATNAANDVVLVPYREDLADIPLPQ >DRNTG_23200.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5592086:5601131:1 gene:DRNTG_23200 transcript:DRNTG_23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAR1 [Source:Projected from Arabidopsis thaliana (AT4G16440) UniProtKB/TrEMBL;Acc:A0A178V110] MSAKFSPTLRLTDLNDFIAPTQECIITGRKPNIEKPERNQGKFSGGFNNTSSPAEAVKISLKDCLACSGCITSAETVMLERQSLDEFLSQLNSDKTVIVSLSPQSRASLASHFDLSPAQVFGKLTAMFKSIGVKAVYDTSCSRDLSLIEVCNEFISRYREYQLNIGKVNGQVLPMVSSACPGWICYAEKTLGSYILPHISSVKSPQQVMGAAIKHHLSQKIGLPPDKVYHVTVMPCYDKKLEAAREDFVFSMDGEGENICDASVVKITEVDSVLTSGEVLDLIRSKSIDFKGLAEAPLDKLLTNVDEEGHLYGVSGGAGGYAETVFRHAAKTLFRDRP >DRNTG_10133.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19095700:19096307:-1 gene:DRNTG_10133 transcript:DRNTG_10133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKLWFVIWVLLLWDSSLGKFLVEKNSLKVTSPESLKGVYECAIGNFGVPQYGGTMVGIAVYPKANKKACKSFDEFDISF >DRNTG_07468.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26844393:26845807:-1 gene:DRNTG_07468 transcript:DRNTG_07468.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYIYAVPLEGQHLSLLSRTCSSWIFIGACT >DRNTG_22908.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:6655993:6658284:1 gene:DRNTG_22908 transcript:DRNTG_22908.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWKVLYSSSKDFLIRAGIGQLHGRGCFTRPWGISTQAWIISTRPCGSSELLFSRPTVNSAAIVLSTMLLQCSTTVSGLNSFPIPYFHQSNAKGHTFTSWITRIFNDRHVGSYAATAAGEDAHGGSTGAGVGDEGARGTEGLDLNRSRRKSNRAIRSKY >DRNTG_10928.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:65411:68586:1 gene:DRNTG_10928 transcript:DRNTG_10928.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKRKSEASRLDEIDRTMYSAFSSAANSLSQLYTQAMHHQKLSFQAGERHALEKFYQWILRQHEEGSRVTVADIVTHMQNEITYGGDDVSLSPRLPPQHQPPQSTPQYTNTSGYSLPSVGLSLRTSLSDQTKNMVFSNALSSPVRRSLQPYHLAQSGEHLDGVLPPGNGTRNQEPYSSGQTREHTSFGLTDTSMDMHSDSPIREPY >DRNTG_32951.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30907739:30910546:-1 gene:DRNTG_32951 transcript:DRNTG_32951.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDTEQITRRAHMPFSYLIFFFFFTIFYLSSLQAIQEFDRIHGLPGQPQTPQISQFSGYITVNKENGRALFYWFFEAQTLPSKRPLLLWLNGGPGCSSVGYGAAVELGPLKVKRNGTGLEYNKYAWNKEANLLFLESPIGVGFSYTNTSSDLAKLDDGFVAEDAYSFMVNWLKRFPQYQARDFYISGESYAGHYVPQLSELIYERNKNTKNYPFINFKGFMVGNPETDDYFDSKGLVEYAWSHTVISDQIYERVNKDCDFKLFNNWTSKCIQVMDMVFDRYRDIDIYNVYAPKCNNAHPSSSLYSKKQRLLQRTRTPAGYDPCYSVYAEEYFNRVDVQRAMHASVINNGKWKVCNESILDIYNNTVSSVLPIYSKLIKAGLRIWIYSGDADGRVPVIGSRYCVESLDLLLKTQWQSWYHNHQVGGRFVEYQGLTMVTVRGAGHLVPLNKPSEALVLINTFLGGHKLLTNKWK >DRNTG_32951.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30907739:30910546:-1 gene:DRNTG_32951 transcript:DRNTG_32951.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQDTEQITRRAHMPFSYLIFFFFFTIFYLSSLQAIQEFDRIHGLPGQPQTPQISQFSGYITVNKENGRALFYWFFEAQTLPSKRPLLLWLNGGPGCSSVGYGAAVELGPLKVKRNGTGLEYNKYAWNKEANLLFLESPIGVGFSYTNTSSDLAKLDDGFVAEDAYSFMVNWLKRFPQYQARDFYISGESYAGHYVPQLSELIYERNKNTKNYPFINFKGFMVGNPETDDYFDSKGLVEYAWSHTVISDQIYERVNKDCDFKLFNNWTSKCIQVMDMVFDRYRDIDIYNVYAPKCNNAHPSSSLYSKRTRTPAGYDPCYSVYAEEYFNRVDVQRAMHASVINNGKWKVCNESILDIYNNTVSSVLPIYSKLIKAGLRIWIYSGDADGRVPVIGSRYCVESLDLLLKTQWQSWYHNHQVGGRFVEYQGLTMVTVRGAGHLVPLNKPSEALVLINTFLGGHKLLTNKWK >DRNTG_32951.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30907739:30910665:-1 gene:DRNTG_32951 transcript:DRNTG_32951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDTEQITRRAHMPFSYLIFFFFFTIFYLSSLQAIQEFDRIHGLPGQPQTPQISQFSGYITVNKENGRALFYWFFEAQTLPSKRPLLLWLNGGPGCSSVGYGAAVELGPLKVKRNGTGLEYNKYAWNKEANLLFLESPIGVGFSYTNTSSDLAKLDDGFVAEDAYSFMVNWLKRFPQYQARDFYISGESYAGHYVPQLSELIYERNKNTKNYPFINFKGFMVGNPETDDYFDSKGLVEYAWSHTVISDQIYERVNKDCDFKLFNNWTSKCIQVMDMVFDRYRDIDIYNVYAPKCNNAHPSSSLYSKVLIYYNDSFHLGKKLKTLVGKTETEAFAEDQNSSRL >DRNTG_05115.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30515548:30521589:1 gene:DRNTG_05115 transcript:DRNTG_05115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKGISLEEIKNETVDLERIPVEEVFEQLKCSREGLSSEEGANRLQIFGPNKLEEKKESKILKFLGFMWNPLSWVMEMAAIMAIALANGDGKPPDWQDFVGIVVLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWCEQDAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQMVLTAIGNFCICSIAIGMIVEIIVMYPIQKRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFAKGVDKEYVVLLAARASRTENQDAIDAAMVGMLADPKEARAGIREMHFLPFNPVDKRTALTYIDADGNWHRVSKGAPEQILNLCNCKEDVRNKVHSVIDKFAERGLRSLAVARQEVPEKNKDSSGGPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQDKDASIAALPVDELIEKADGFAGVFPEHKYEIVKRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGVVLGSYLALMTVIFFWAMKDTDFFSDKFKVRSLRHSEDEMMAALYLQVSIVSQALIFVTRSRSWCFVERPGLLLVSAFIIAQLVATVIAVYANWGFARIKGIGWGWAGVIWLYSVVFFFPLDWFKFGIRYILSGKAWDNLLENKTAFTTKKDYGREEREAQWAMAQRTLHGLQPPETTNLFSDKNSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >DRNTG_14337.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:7467414:7467941:1 gene:DRNTG_14337 transcript:DRNTG_14337.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPTEVRMERGKGNPTGWIARVSTGQIPFEMDGAYDLETKRYHFSSPESLFSISSSLDPNLNVNIPIRRIRERT >DRNTG_12800.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2072659:2076476:1 gene:DRNTG_12800 transcript:DRNTG_12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDGNAALLTVENAIKALGRGFDVNYDTRLLYCKGTSGSRVVHVDEEHTRDLPVLDGLVIPNVPRDVRCSQDISGPYRELTGACSFNEMAEYFSRKALLSGNTPLGSFNSAFSFTGSKKIDAGATKNLAMDGIVILLCKVLLAKQPSSLLENVKRAVPTSWDPSSLSSFIENYGTHVITSIKIGGKDVIYVKQHSSSPLSTMEIKNYIQDIGDHRFSDSENHTSFGPMELKNKAAESFTFNSQGIYPQPPTAPYLTAKEDVTVIFRRRGGDDLIENHIQWVRTVHSAPDVIEMAFFPITSLLDDIPGKEHLIRAINLYLEYKPPIDELRYFLEFQVPRLWAPIIDSLPGHQRKEPVCPFLQFSMMGQRLYVSQDQVSVGRKPVTGLRLCLEGVKQNRLCIHVQHLASLPKILRPYWDTHIAIGAPNWQGPEEQDSRWFEPVKWKNFSHVSTAPIEKNETFFGDLSGVFIVTGAQLGVWDFGSKKVLYMKLLYSKIPGCTIRRSLWDHSPKPSVLDPGSSAELSSVPSGTLSKFINTSEMGKGPDDIPGHWLVTGGKLRVEKGKISLRLKYSLLNY >DRNTG_12031.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:828519:831189:1 gene:DRNTG_12031 transcript:DRNTG_12031.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHQSRDQALYNHAKCGNVAGIKALRQHGAGLEWVDKERRTPLILACMRSDLLPVAKVLIELGANVNAYRPGSQGGTPLHYAAKRGLVQTVELLLSRGANPLLMNDDHKTALDLARANGHLNIVRSIENSICLFSGWLRELHGPSILEALIPHWVSRKLYVHFELVHSCSFSFPSRHHLVEYFYLNHPFLFPTGGLWFFPFSSPIPTNPPRFYLALYSGLQVAKPRTTVALWKCQIDEPRFTQPDPTMLIECVAGKLKFRLSSGVEGDRQQLLLFYNACRGIPRTSINVPAPPVISVAPMPTTAQINPQPSSTLPSTLPTSTTPTREDEEISMAIHASIQSAMAEGVVPISDTLPPLSPSSVPSAPPINSTVFYNNSINYPSVDSHPTNVNASPLDTRPDIISNTSNSSSSCVICLDGPVEGACIPCGHMAGCMSCLNEIKAKQWGCPICRTQIQQVIKLYAV >DRNTG_12031.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:828519:831189:1 gene:DRNTG_12031 transcript:DRNTG_12031.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIECVAGKLKFRLSSGVEGDRQQLLLFYNACRGIPRTSINVPAPPVISVAPMPTTAQINPQPSSTLPSTLPTSTTPTREDEEISMAIHASIQSAMAEGVVPISDTLPPLSPSSVPSAPPINSTVFYNNSINYPSVDSHPTNVNASPLDTRPDIISNTSNSSSSCVICLDGPVEGACIPCGHMAGCMSCLNEIKAKQWGCPICRTQIQQVIKLYAV >DRNTG_05176.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:15717149:15717782:1 gene:DRNTG_05176 transcript:DRNTG_05176.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYNGLNYQTRQLIDAEGDGSLSNKYFDEAEKLFEDIASNESHWSSRSKQCKVVWVHEVDTDTTLTAKVDDILMGNYSSSRVVPFCETCGEEHGTSQCPISSTPTTTTEHVDYQLPPQKKKFLAKEVLAKYMMNMDVKIDAIHATLRNVQTSIKALKIKLGNWPR >DRNTG_00412.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000038.1:106114:110229:1 gene:DRNTG_00412 transcript:DRNTG_00412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLLMFGQCMANAGSSLMGIGTATGKSRARDAALNAIQSPLLDIGIERATGIVWNITGGNDLTLHEVNAAAEVIYDLVDPSANLIFGAVIDQSLTGQVSITLIATGFKRQEETEGGSLQGTQSGGHGDGLGMNRRQSSSITEGNLVEIPEFLRKKGRSRFPRA >DRNTG_28869.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9727860:9730104:1 gene:DRNTG_28869 transcript:DRNTG_28869.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase lambda [Source:Projected from Arabidopsis thaliana (AT1G10520) UniProtKB/Swiss-Prot;Acc:Q9FNY4] LFLIWFLHITRGGFQGTDSGVDTYFGLCTYPGREQRHRIDLKVYPRSIYAFGLIAWTGNDVLNRRLRLLAESKGYRLDDTGLFLAAQGSAGKRGPKSRVSVSCSTEKEVFDALGFPWLEPHERNL >DRNTG_28869.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9711573:9730104:1 gene:DRNTG_28869 transcript:DRNTG_28869.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase lambda [Source:Projected from Arabidopsis thaliana (AT1G10520) UniProtKB/Swiss-Prot;Acc:Q9FNY4] MAPRAAKSKRQASPSIDPHGIFRGMVVFLCPTGIQPRRLQIWKQKLLQMGAVVDDGVGKRTTHILAANSSSLLEVDRDYLKQFEGVQISFGTSPKVIKRERYIKVLGLNCLDSRHKAQSAGSSACLSEKLLLYAWLENCLHSGKRISEDPYILKFEPDGSDKSAGILEEMQLESPEACSSDEDSFSHKRIKVSCEDSKPVGTEGSVDNQDSTYKGDLKNKDDDNKSTLYRPPDLNRNITEIFGKLIDIYRALGEDRRSFSYHKAIPVIEKLPYKIESVDQVKHLPTIGKSMQDHINEIVTTGKLSKLEHFEKDEKVMQWTII >DRNTG_28869.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9711573:9730104:1 gene:DRNTG_28869 transcript:DRNTG_28869.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase lambda [Source:Projected from Arabidopsis thaliana (AT1G10520) UniProtKB/Swiss-Prot;Acc:Q9FNY4] MAPRAAKSKRQASPSIDPHGIFRGMVVFLCPTGIQPRRLQIWKQKLLQMGAVVDDGVGKRTTHILAANSSSLLEVDRDYLKQFEGKLLLYAWLENCLHSGKRISEDPYILKFEPDGSDKSAGILEEMQLESPEACSSDEDSFSHKRIKVSCEDSKPVGTEGSVDNQDSTYKGDLKNKDDDNKSTLYRPPDLNRNITEIFGKLIDIYRALGEDRRSFSYHKAIPVIEKLPYKIESVDQVKHLPTIGKSMQDHINEIVTTGKLSKLEHFEKDEKVRAITLFGEVWGIGPATALKLYEKGHRTLDDLKNDDSLINSQRIGLQFFHDIKKRIPRHEVREMEMLLQKAGEQVLSGVMIVCGGSYRRGKSSCGDLDIIITHPDGDSHKGFLPRYVQHLKDMNFLREDLIFTTHSTEGTDSGVDTYFGLCTYPGREQRHRIDLKVYPRSIYAFGLIAWTGNDVLNRRLRLLAESKGYRLDDTGLFLAAQGSAGKRGPKSRVSVSCSTEKEVFDALGFPWLEPHERNL >DRNTG_12757.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25880620:25881250:-1 gene:DRNTG_12757 transcript:DRNTG_12757.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRREGGRQPFLGSALPLNSSSSSPPSYSLISSLIFTPSWCDSATTMDHYHYHYMSRLVAAVVLLVLLVSVVMADDGICNMTKAGLDSCQPAVTGSPPPDPSQECCQALTAADLPCLCSYKNSVWLPVFGIDPAIAKQLPEKCNLKVPDDC >DRNTG_12757.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25880472:25881468:-1 gene:DRNTG_12757 transcript:DRNTG_12757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRREGGRQPFLGSALPLNSSSSSPPSYSLISSLIFTPSWCDSATTMDHYHYHYMSRLVAAVVLLVLLVSVVMADDGICNMTKAGLDSCQPAVTGSPPPDPSQECCQALTAADLPCLCSYKNSVWLPVFGIDPAIAKQLPEKCNLKVPDDC >DRNTG_12757.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25880472:25881250:-1 gene:DRNTG_12757 transcript:DRNTG_12757.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRREGGRQPFLGSALPLNSSSSSPPSYSLISSLIFTPSWCDSATTMDHYHYHYMSRLVAAVVLLVLLVSVVMADDGICNMTKAGLDSCQPAVTGSPPPDPSQECCQALTAADLPCLCSYKNSVWLPVFGIDPAIAKQLPEKCNLKVPDDC >DRNTG_21496.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001221.1:21824:22528:-1 gene:DRNTG_21496 transcript:DRNTG_21496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKSLKPFFPSGIEGVFRVVAIIYFSYVGFDNIVTTTEEMKNPETS >DRNTG_01495.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:14096122:14097127:1 gene:DRNTG_01495 transcript:DRNTG_01495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLSFLVCVAMETQLCFRRRKHAQLSKRGIYPKPTRDTETPSGALFSNSFDRGEKKKILRNY >DRNTG_04117.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30137163:30139544:-1 gene:DRNTG_04117 transcript:DRNTG_04117.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L35, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G24090) UniProtKB/Swiss-Prot;Acc:Q8VZ55] MAASLLISLPKLSPFSPLRCSHALRSSPPPFSFSLKSNLSSSRALSSSPLFLRSTTSSSSPPSLAPPKPSIATVFAAKGYKMKTHKASAKRFRVTGTGKIVRRRAGKQHLLAKKNTKRKLRLSKMHPVDKSDYDNVIGALPYLKVNRKAA >DRNTG_04117.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30137107:30139544:-1 gene:DRNTG_04117 transcript:DRNTG_04117.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L35, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G24090) UniProtKB/Swiss-Prot;Acc:Q8VZ55] MAASLLISLPKLSPFSPLRCSHALRSSPPPFSFSLKSNLSSSRALSSSPLFLRSTTSSSSPPSLAPPKPSIATVFAAKGYKMKTHKASAKRFRVTGTGKIVRRRAGKQHLLAKKNTKRKLRLSKMHPVDKSDYDNVIGALPYLKVNRKAA >DRNTG_28309.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29310597:29315635:-1 gene:DRNTG_28309 transcript:DRNTG_28309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAWRRSGRLLDQSPAIAALKPQWPIFSSGVGCEFEQCRYGSTLSVKGAGNLVRKGTGGRSSVSGIVATVFGATGFLGRYVVQQLAKMGSQVLVPFRGSEDSHRHLKLMGDLGQIVPMKYNPRDENSIKAVMAKANVVVNLIGREYETRNFSFEEVNHHIAEHLAVIAKEHGGIARFIQVSCLGASTSSSSRMLRAKAAAEEAVLREFPEATIMKPATMIGTEDRILNTWAHFVKKWSFLPLVGDGSTKIQPVHVVDVAAAIMASLKDDGTSMGRTYELGGPEIFTVHQLAELMYDTIREWPRYVNVPVPIAKAFATPREFLLKKVPFPLPNPNIFNLDQIESLTVDTVVSEKALTFNDLGIIPHKLKGYPVEYLISYRKGGPAFGSTVSEKLRSSEL >DRNTG_06348.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24281509:24281823:1 gene:DRNTG_06348 transcript:DRNTG_06348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFLLAPPMAIERYHRSHPPSIPPFTVASPPPRRFSNGGRKRERSNK >DRNTG_00838.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11732762:11733316:-1 gene:DRNTG_00838 transcript:DRNTG_00838.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKNVRNKARVEGSICNAYLVEEATSFCAHYFEPHVGTRHRKIPHNDDGREDAEEHFGNLSIFIRPGRTLGKGKINILMKMNIQQHKCTSY >DRNTG_08451.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21379064:21380510:-1 gene:DRNTG_08451 transcript:DRNTG_08451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAIKVFSLNIRGASKSFLSECESLRSIRHRNLIKIITSCVSIDSQGNDFKALVLDLMPNGSLDSWLHPVENQQQQQQQQQQQQSPLSLIQRLNVAIDVADALEYLHHSCQPPVVHCDLKPSNVLLDDDMNARVGDFGLAKILMNNNSNLWQSSTASTEIIKGTIGYVPPEYGFGSEVSPMGDVYSYGILVLELLTGKRPVDESFKDGMTMRKFVESYASLERIMEVMDPSMFSQEDDVKDDVIGYKRQKECVVSVAALGLECSIDSPNERLSMSHVSAQMHAIRDHYLCVGSTSS >DRNTG_10936.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:101829:105590:-1 gene:DRNTG_10936 transcript:DRNTG_10936.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSLSSCLRRSVVPILFATSVRSQRFCSSSSQTRIPPPLPREGAEENHYDSRPTASISIDRSGLYNPPEHSHEPSSDSELVKHLKSIIKFRGGPITVAEYMEEVLTNPTSGFYMNRDVFGAGGDFITSPEVSQMFGEMIGVWAMCLWEQMGQPDRVNLIEFGPGRGTLMVDLLRGASKFVDFTKSLHIHMVECSPTLRKVQYDNLKCTDEAVNEEDHTKSTISMLSGAPISWHSTLEEVPSGSPTIIIAHEFYDALPVHQFQKAPRGWCEKMVDVEENSSRFRFVLSPQPTSATLLYLMKRCKWAGAQEIAKLEHIEICPKAMDLTHQIAKRVSSDGGGALIIDYGENKIISDSLQAIRKHKFVHILDDPGTADLSAYVDFASVRHSAEEASEDISVYGPMTQSQFLGSLGINFRVEALLQNCTEEQAESLRTGYWRLVGDGEAPFWEGPDEQTPIGMGSRYLAMAIVNKKQGAPIPFQ >DRNTG_08998.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18595079:18597570:1 gene:DRNTG_08998 transcript:DRNTG_08998.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMICIVHQHQLVMFRQQIRCLDSYLDKVNISLWTRFQLVFDMHVNSLRNANIRSLWEDDVHLHYVIKSSVEFTSSLGHLNVEYGDGYNLLDQNIKTLRMAIDDLLVRLAKKFTKPKLQKAFLIQNYEMIIAILREGRTRGGLRKAHFEEVRTEKYFDELRKSSTVAFIQEILLEHFNDLIKFVESRGSEESSFSAENPSVTDLEPLVHDVSSRWKAAIKLIEKDITASFSNFESGTRIVTLTLTELLRYYTMFRERVNKMEGGTALTSQLVSTFTFLCEIRKYFITF >DRNTG_27261.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:769236:769692:1 gene:DRNTG_27261 transcript:DRNTG_27261.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFPSEMNIVGAEAGARQLEVTINRIGERDGNATLEEVVMAIKCRRELLGLNIGIDTKYIVAASKMVLP >DRNTG_01204.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:385659:388767:-1 gene:DRNTG_01204 transcript:DRNTG_01204.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVNIAEWEMDECHYVLDSKQFISKPVQKPKILCEDLSFGKEPVPVRCVIDEGLENFHNEEPGLDMPWEGFAYVTKRVIDSSLGLDIENSQLGCACIGSKCYPENCDHVYLFENDFENAMDIQGEPMHGRFPYDEKGRIILEEGYLVYECNSMCKCDSSCCNRILQKGVQVKLEVFRTEKKGWAVRAGETIYRGAFVCEYIGEVLSDVEANKRGQRFHIWRLS >DRNTG_01204.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:385659:388767:-1 gene:DRNTG_01204 transcript:DRNTG_01204.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELVNIAEWEMDECHYVLDSKQFISKPVQKPKILCEDLSFGKEPVPVRCVIDEGLENFHNEEPGLDMPWEGFAYVTKRVIDSSLGLDIENSQLGCACIGSKCYPENCDHVYLFENDFENAMDIQGEPMHGRFPYDEKGRIILEEGYLVYECNSMCKCDSSCCNRILQKGVQVKLEVFRTEKKGWAVRAGETIYRGAFVCEYIGEVLSDVEANKRGQRYDIEGCSYLYDIDAHIDEANGLSGMVPYVIDATHYGNVSRFINHR >DRNTG_01204.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:385659:388767:-1 gene:DRNTG_01204 transcript:DRNTG_01204.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVNIAEWEMDECHYVLDSKQFISKPVQKPKILCEDLSFGKEPVPVRCVIDEGLENFHNEEPGLDMPWEGFAYVTKRVIDSSLGLDIENSQLGCACIGSKCYPENCDHVYLFENDFENAMDIQGEPMHGRFPYDEKGRIILEEGYLVYECNSMCKCDSSCCNRILQKGVQVKLEVFRTEKKGWAVRAGETIYRGAFVCEYIGEVLSDVEANKRGQRYDIEGCSYLYDIDAHIDEANGLSGMVPYVIDATHYGNVSRFINHSCSPNLVNYLVLVESMDCQLAHIGFYASRDISIGEELAYDYRYKLLPGDGCPCLCGASNCRGRLN >DRNTG_22474.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9413538:9414255:1 gene:DRNTG_22474 transcript:DRNTG_22474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGGGLTHIGAFVRYWCISSCRLYSVSGSQRDAPDKPHQFPHLGTNPRIRVLFVDTYITCLIRCMVILVETDKIRVVDGVAPKTLETLRSMGML >DRNTG_07788.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1204800:1213091:1 gene:DRNTG_07788 transcript:DRNTG_07788.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTRPVRRKFPEKKRLVASEKFSGNIFPAPKHLRYAANGGNGYRNLTTKDQFNDEPFWLSLIRDVIWSVRYLVDFLVEQPSQLKYIEWPQFQTTLKTAALTLVLVAILIVALATIDSGLCYILAFFLSRPA >DRNTG_15984.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5149112:5149664:1 gene:DRNTG_15984 transcript:DRNTG_15984.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 5 [Source:Projected from Arabidopsis thaliana (AT1G52580) UniProtKB/Swiss-Prot;Acc:Q9SSR0] MSCLSHREDSVGASGALFGLLGAMLSELITNWTIYDHKCAALSSLIFIIAINLAVGLLPHVDSSAHFGGFISGFLLGFVLLMRPQFGWISRKHIPPGYDIQLVKPKYKFYQYFLWFVSFILLIYG >DRNTG_32867.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001923.1:32612:33116:-1 gene:DRNTG_32867 transcript:DRNTG_32867.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFNYFYKYFAEKIDDRSNGNIAVDSYHRYKEDVKLLKDMNVDSYRFSISWSRILPKGSLKGGINQEGINYYNNLINELIKNG >DRNTG_12497.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2447638:2448671:-1 gene:DRNTG_12497 transcript:DRNTG_12497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLQQWLDSMWDKFQNPFEADRKIIDSLGKETSFAIHELNSIRSLVDSLEGKIRSMIHRAELAIDGEDEEEVKVVMIEIKLKAGEFAKSVEQLEKEVDRRGDELKRATATILQAVTD >DRNTG_34956.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002214.1:51961:58945:-1 gene:DRNTG_34956 transcript:DRNTG_34956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSPRIGNWGDLTKTVIPNNHDATRVTIGQDRNPFLVAREFSSKTIHSRRVSMNLHKTQQDWFNETRKGFKESNLAKQCIHRYKIYIEGNSWSVSEKYILACDSLTLLVKPKYYDFFTRDLMPMHHYWPVRDDLKCPSIKFAVEWGNKHKQKALLGKWWWKLANDCDWGGAEDVAHLLDAHPFCEDADLVQIRVRWRSSGNDGAKVRLDDTMATVRRGLEFLESRNLRDKGYSTAEEAPDQSTE >DRNTG_30411.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001573.1:8848:14639:1 gene:DRNTG_30411 transcript:DRNTG_30411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLGEIEIKGMEMSEIVFSLEDKSILVTGSTGFLAKLFVEKMLRLQPMVKKLFLLVRAKDATSARRRVEDEIASKELFKLLKEKYKESFELFFWSKVTVVVGDTTSENLGISDGNLINVLWREIDFIVHSAATTRFNERYDVALNINTFGARNIMLFAKKCVRLRMLLHISTAYVTKEME >DRNTG_30411.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001573.1:8848:10455:1 gene:DRNTG_30411 transcript:DRNTG_30411.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITLGEIEIKGMEMSEIVFSLEDKSILVTGSTGFLAKLFVEKMLRLQPMVKKLFLLVRAKDATSARRRVEDEVT >DRNTG_10289.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20311985:20314943:1 gene:DRNTG_10289 transcript:DRNTG_10289.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHWKFHETVQVCCDNFPKERIKEMATMIARLSGGIVVNIHNVKTIIMFRGRNYRQPKNLIPINTLTKRKALFKARFEQALESQKLNIKKLEQQLRRMGVNPEDPVAMASIQRVASTFFRAIDEQQGTPYVFREDRQPVSGIDDAGVESPAVQSEDSDQEELDRFIAEIEEAADQEWAAEEAAEREEASKIRYWGREEIGMRGRSSQWRDYHDFEEDAGGRGRGQDSRSGNNQRTVNIRKWDSDDEVSEASEGMESDFNNDVDDQDSEDDDSPELRTRNRDLGGRGGIRQQLHSLDTKPNVQSEFDEFDNSEDELWGSEYSPKASKDNVNNYISSGEESDTLARPSAVLSEKNIDESWDSD >DRNTG_10289.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20309933:20314943:1 gene:DRNTG_10289 transcript:DRNTG_10289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHWKFHETVQVCCDNFPKERIKEMATMIARLSGGIVVNIHNVKTIIMFRGRNYRQPKNLIPINTLTKRKALFKARFEQALESQKLNIKKLEQQLRRMGVNPEDPVAMASIQRVASTFFRAIDEQQGTPYVFREDRQPVSGIDDAGVESPAVQSEDSDQEELDRFIAEIEEAADQEWAAEEAAEREEASKIRYWGREEIGMRGRSSQWRDYHDFEEDAGGRGRGQDSRSGNNQRTVNIRKWDSDDEVSEASEGMESDFNNDVDDQDSEDDDSPELRTRNRDLGGRGGIRQQLHSLDTKPNVQSEFDEFDNSEDELWGSEYSPKASKDNVNNYISSGEESDTLARPSAVLSEKNIDESWDSD >DRNTG_15539.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000762.1:3573:8643:-1 gene:DRNTG_15539 transcript:DRNTG_15539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQSKKQADKRLRESSPETEGMRFVIPKHQVRYERLSRLRFGQTRFLDMTILLDLQQGDEFADEVEDLISAGGWRQLLTIREPAIPEFALEFRVFGHHYSLSVTQFSVLLGLYEEAYTDTEEYAQLPIHLGHIMAEYIRHQGYYTRLGAIFSGPYITRLVLGMGLLDSIRGAEKTSIPAPLSLETMRLMGIIRRVQTRVLALVLPAPEIAEDEGDEAVASQPAPEPQLAPM >DRNTG_17790.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:7319011:7321557:-1 gene:DRNTG_17790 transcript:DRNTG_17790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLQLFLFLFLFLTTSNAASPNPFTAKAALIRYWDRKTPNQRPHPSFLLSKLSPLSALDSTTYSSLLSSNPSSLTPHLLIFCSAANLLCSPSLTSKSPKDSNFANYNNVNFTNYGTATASGQDSFKKYSKSQIVPVDVFRSYSRASAGHDETFTTYSPNGTVITDNFTSYATTATGGSGDFTSYGSFSNVPELTFKNYETGSNGHAQNFTSYSDDTRVGDETFTGYGKGATGVPTNFKSYANHSDLIISTFKSYGEDATGPSDTFTKYGNNPGISHQNFQSYSENANSGKERFSSYRGDSDVGDDKFQSYGKGGNHPIVDFKTYGHDYKTGEDHFKNYGEKANNPEISFTTYKGNPTDFKSYAKTGVIFKDYENTTTPSAKVESSGKTVNRWIEPGKFFRESSLKEGIVMPMPDIRDKMPERSFLPRDISGKLPFNAIELGNIFNAPAGTGLGSHG >DRNTG_17790.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:7233380:7321557:-1 gene:DRNTG_17790 transcript:DRNTG_17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLQLFLFLFLFLTTSNAASPNPFTAKAALIRYWDRKTPNQRPHPSFLLSKLSPLSALDSTTYSSLLSSNPSSLTPHLLIFCSAANLLCSPSLTSKSPKDSNFANYNNVNFTNYGTATASGQDSFKKYSKSQIVPVDVFRSYSRASAGHDETFTTYSPNGTVITDNFTSYATTATGGSGDFTSYGSFSNVPELTFKNYETGSNGHAQNFTSYSDDTRVGDETFTGYGKGATGVPTNFKSYANHSDLIISTFKSYGEDATGPSDTFTKYGNNPGISHQNFQSYSENANSGKERFSSYRGDSDVGDDKFQSYGKGGNHPIVDFKTYGHDYKTGEDHFKNYGEKANNPEISFTTYKGNPTDFKSYAKTGVIFKDYENTTTPSAKVESSGKTVNRWIEPGKFFRESSLKEGIVMPMPDIRDKMPERSFLPRDISGKLPFNAIELGNIFNAPAGTGLGSHG >DRNTG_17790.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:7233380:7235785:-1 gene:DRNTG_17790 transcript:DRNTG_17790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLSFSHVFKHHQSFIMPTLQLILFLSIPFFLTSTTNGASPNPFTAKAALIRYWDRKTPNQRPHPSFFLSKLSPLSALDSTTYSSLLSSNPSSLTPHLLTFCSAAHLLCSPSLTSKSPKDSNFANYNNVNFTTYGTTAAGGQDSFKKYSKSQTLPVDVFSSYSRASAGHDETFTTYSPNGTIITDNFTSYATIATGGSGDFTSYGRLSRVSELTFKNYETGSNGHAQNFTSYSDDNSGGDETFTSYGKGATGVPTNFRSYANNSGILISTFKSYGEGATGPSDTFTKYGNNPGSSHQNFQSYSENANSGNERFSGYRGDSDVGDDKFQSYGKGGNHPTVDFKTYGQDYKTGEDHFKNYGENANNPEISFTTYKGNPTDFKSYAKTGVVFKDYQNITTSSAKVESSGKTVNRWVEPGKFFRESSLKEGIVMPMPDIRDKMPKRSFLPRDISGKLPFNAIELGNIFNAPAGTGLGRAIADTISECERAPSRGETKRCATSAEDMIDFAVSVLGNDAVPRSTESASGSGGNSLIGKVKGINGGRVTKSVSCHQSLFPYLVYYCHSVPKVRVYQAEILSVESKKKINQGVAICHLDTSDWSAGHGAFVALGSKPGAIEVCHWIFEGDLTWAAAD >DRNTG_06632.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18918845:18920000:-1 gene:DRNTG_06632 transcript:DRNTG_06632.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKLPIWNHHHHQQQQQQQHSFFSIDTFLRLIPSWPFPNPRAPSSPQPVPRPPERGCSLPLFRPYVAKVPWHGGVRAFLSQLFPRYGHYCGPNWSSGKDGGSMLWDRRPIDWLDFCCYCHDIGYDTHDQAKLLKADLAFLECLERPRMATKGDPSVALLYKSMCIAGLRNMLIPYRMQLVRLQAGPSVVDMLGSLIGRSMFFKQNLNPKSSA >DRNTG_06153.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25402406:25406161:1 gene:DRNTG_06153 transcript:DRNTG_06153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASNELADPYVVFSFYYGCAFLVFHEFTCFSILLTSEFAEVLEFDAMPEPPSVLDLEVLDFDGPFGLAVPLGHVEINFLKHTSEELADIWIPLDGKLAQTSQSQLHLRIFLYSLGLDQWWCTTQTPRRRGL >DRNTG_06153.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25405696:25406161:1 gene:DRNTG_06153 transcript:DRNTG_06153.2 gene_biotype:protein_coding transcript_biotype:protein_coding RDNTRKKERKKERKKNSTISHDLNIP >DRNTG_31103.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001664.1:10437:11157:1 gene:DRNTG_31103 transcript:DRNTG_31103.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKDISNLPPGRHSSAMPLDSNHIFLSSQYR >DRNTG_35315.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22820287:22829759:-1 gene:DRNTG_35315 transcript:DRNTG_35315.14 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMPGSKSVSSSSMEKLHFLGSRPLECLELSQPYVFKDQKTKSSLNPSLVRMENTATKSRTWRTAEDCSSASDLQMQHSTRCLEGGKLGLNGVHYENENALFSSSLSEVFKRTLRLSSNDSFEQYANNFNSKVKDEDPFESLQDVEAQTIENLLPDDDDLFSGVIDDLDCVARPHVGGDFEDDLFCSVGGMELEVDDGFNCNNVPDSLDGGAVSGQQGGLSSAFTGEHPSRTLFVRNINSSVEDAELRDLFEEYGDIRALYTACKHRGFVMISYFDIRAARNAMKALQNKTLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDSSVSNEDLCQIFGAYGEIKEIRETPHKRHHKFIEFYDVRSAEAALRALNRSDIAGKKIKLEPSRPGGARRLMQQLCPELEQDETNGCQHGSPPNISSSDYHETITMGMITANGLDNGVAQGLNSSFQTPVSTFMNTRIHGISPKVVHRLSSPVNVTSIGNHASCLVDHNHSVGQINFSFQSMPAFSPHSLPDYHEGLINGIPCNSPSTMSAMPININPMSVEGIDKRSMHRVASGGLNSHSFEHNGTGLGSLGNGSCRLHGRQLFTNNSISYQHHAPGPMIWSNSPSFMDSIPPQHQQHMHGIPTAPSPMISPAMPLQHHHVGSAPTIKPSIWDMAHVFSGDSADSTTLHPGSLGSMAFSGSSLLHRLEFASQNIFPHSNGNHVDSSVPSTCIGIPSRQQSFHMFHGRNSMMPMPASFDSPNEHVRSRRSSDASGNLSENKKQYELDIDRILHGEDSRTTLMIKNIPNKYTSKMLLAAIDEHHRGTYDFIYLPIDFKNKCNVGYAFINMINPQHIIPFFQAFNGKKWEKFNSEKVASLAYARIQGKAALITHFQNSSLMNEDKRCRPILFHSNGPNAGDQEPFPIGNNVRTRPGRSRTISNVEHNQGSPTNSAHGDETPNFTDSSS >DRNTG_35315.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22820287:22829759:-1 gene:DRNTG_35315 transcript:DRNTG_35315.13 gene_biotype:protein_coding transcript_biotype:protein_coding MPTEMMDRRHLSFFSEEICFPTERHIGLWKPDSVPDHQGTERMAFMPGSKSVSSSSMEKLHFLGSRPLECLELSQPYVFKDQKTKSSLNPSLVRMENTATKSRTWRTAEDCSSASDLQMQHSTRCLEGGKLGLNGVHYENENALFSSSLSEVFKRTLRLSSNDSFEQYANNFNSKVKDEDPFESLQDVEAQTIENLLPDDDDLFSGVIDDLDCVARPHVGGDFEDDLFCSVGGMELEVDDGFNCNNVPDSLDGGAVSGQQGGLSSAFTGEHPSRTLFVRNINSSVEDAELRDLFEEYGDIRALYTACKHRGFVMISYFDIRAARNAMKALQNKTLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDSSVSNEDLCQIFGAYGEIKEIRETPHKRHHKFIEFYDVRSAEAALRALNRSDIAGKKIKLEPSRPGGARRSLMQQLCPELEQDETNGCQHGSPPNISSSDYHETITMGMITANGLDNGVAQGLNSSFQTPVSTFMNTRIHGISPKVVHRLSSPVNVTSIGNHASCLVDHNHSVGQINFSFQSMPAFSPHSLPDYHEGLINGIPCNSPSTMSAMPININPMSVEGIDKRSMHRVASGGLNSHSFEHNGTGLGSLGNGSCRLHGRQLFTNNSISYQHHAPGPMIWSNSPSFMDSIPPQHQQHMHGIPTAPSPMISPAMPLQHHHVGSAPTIKPSIWDMAHVFSGDSADSTTLHPGSLGSMAFSGSSLLHRLEFASQNIFPHSNGNHVDSSVPSTCIGIPSRQQSFHMFHGRNSMMPMPASFDSPNEHVRSRRSSDASGNLSENKKQYELDIDRILHGEDSRTTLMIKNIPNKYTSKMLLAAIDEHHRGTYDFIYLPIDFKNKCNVGYAFINMINPQHIIPFFQAFNGKKWEKFNSEKVASLAYARIQGKAALITHFQNSSLMNEDKRCRPILFHSNGPNAGDQEPFPIGNNVRTRPGRSRTISNVEHNQGSPTNSAHGDETPNFTDSSS >DRNTG_35315.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22820287:22829759:-1 gene:DRNTG_35315 transcript:DRNTG_35315.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMPGSKSVSSSSMEKLHFLGSRPLECLELSQPYVFKDQKTKSSLNPSLVRMENTATKSRTWRTAEDCSSASDLQMQHSTRCLEGGKLGLNGVHYENENALFSSSLSEVFKRTLRLSSNDSFEQYANNFNSKVKDEDPFESLQDVEAQTIENLLPDDDDLFSGVIDDLDCVARPHVGGDFEDDLFCSVGGMELEVDDGFNCNNVPDSLDGGAVSGQQGGLSSAFTGEHPSRTLFVRNINSSVEDAELRDLFEEYGDIRALYTACKHRGFVMISYFDIRAARNAMKALQNKTLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDSSVSNEDLCQIFGAYGEIKEIRETPHKRHHKFIEFYDVRSAEAALRALNRSDIAGKKIKLEPSRPGGARRLMQQLCPELEQDETNGCQHGSPPNISSSDYHETITMGMITANGLDNGVAQGLNSSFQTPVSTFMNTRIHGISPKVVHRLSSPVNVTSIGNHASCLVDHNHSVGQINFSFQSMPAFSPHSLPDYHEGLINGIPCNSPSTMSAMPININPMSVEGIDKRSMHRVASGGLNSHSFEHNGTGLGSLGNGSCRLHGRQLFTNNSISYQHHAPGPMIWSNSPSFMDSIPPQHQQHMHGIPTAPSPMISPAMPLQHHHVGSAPTIKPSIWDMAHVFSGDSADSTTLHPGSLGSMAFSGSSLLHRLEFASQNIFPHSNGNHVDSSVPSTCIGIPSRQQSFHMFHGRNSMMPMPASFDSPNEHVRSRRSSDASGNLSENKKQYELDIDRILHGEDSRTTLMIKNIPNKYTSKMLLAAIDEHHRGTYDFIYLPIDFKNKCNVGYAFINMINPQHIIPFFQAFNGKKWEKFNSEKVASLAYARIQGKAALITHFQNSSLMNEDKRCRPILFHSNGPNAGDQEPFPIGNNVRTRPGRSRTISNVEHNQGSPTNSAHGDETPNFTDSSS >DRNTG_35315.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22820287:22829759:-1 gene:DRNTG_35315 transcript:DRNTG_35315.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTEMMDRRHLSFFSEEICFPTERHIGLWKPDSVPDHQGTERMAFMPGSKSVSSSSMEKLHFLGSRPLECLELSQPYVFKDQKTKSSLNPSLVRMENTATKSRTWRTAEDCSSASDLQMQHSTRCLEGGKLGLNGVHYENENALFSSSLSEVFKRTLRLSSNDSFEQYANNFNSKVKDEDPFESLQDVEAQTIENLLPDDDDLFSGVIDDLDCVARPHVGGDFEDDLFCSVGGMELEVDDGFNCNNVPDSLDGGAVSGQQGGLSSAFTGEHPSRTLFVRNINSSVEDAELRDLFEEYGDIRALYTACKHRGFVMISYFDIRAARNAMKALQNKTLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDSSVSNEDLCQIFGAYGEIKEIRETPHKRHHKFIEFYDVRSAEAALRALNRSDIAGKKIKLEPSRPGGARRLMQQLCPELEQDETNGCQHGSPPNISSSDYHETITMGMITANGLDNGVAQGLNSSFQTPVSTFMNTRIHGISPKVVHRLSSPVNVTSIGNHASCLVDHNHSVGQINFSFQSMPAFSPHSLPDYHEGLINGIPCNSPSTMSAMPININPMSVEGIDKRSMHRVASGGLNSHSFEHNGTGLGSLGNGSCRLHGRQLFTNNSISYQHHAPGPMIWSNSPSFMDSIPPQHQQHMHGIPTAPSPMISPAMPLQHHHVGSAPTIKPSIWDMAHVFSGDSADSTTLHPGSLGSMAFSGSSLLHRLEFASQNIFPHSNGNHVDSSVPSTCIGIPSRQQSFHMFHGRNSMMPMPASFDSPNEHVRSRRSSDASGNLSENKKQYELDIDRILHGEDSRTTLMIKNIPNKYTSKMLLAAIDEHHRGTYDFIYLPIDFKNKCNVGYAFINMINPQHIIPFFQAFNGKKWEKFNSEKVASLAYARIQGKAALITHFQNSSLMNEDKRCRPILFHSNGPNAGDQEPFPIGNNVRTRPGRSRTISNVEHNQGSPTNSAHGDETPNFTDSSS >DRNTG_35315.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22820287:22829759:-1 gene:DRNTG_35315 transcript:DRNTG_35315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTEMMDRRHLSFFSEEICFPTERHIGLWKPDSVPDHQGTERMAFMPGSKSVSSSSMEKLHFLGSRPLECLELSQPYVFKDQKTKSSLNPSLVRMENTATKSRTWRTAEDCSSASDLQMQHSTRCLEGGKLGLNGVHYENENALFSSSLSEVFKRTLRLSSNDSFEQYANNFNSKVKDEDPFESLQDVEAQTIENLLPDDDDLFSGVIDDLDCVARPHVGGDFEDDLFCSVGGMELEVDDGFNCNNVPDSLDGGAVSGQQGGLSSAFTGEHPSRTLFVRNINSSVEDAELRDLFEEYGDIRALYTACKHRGFVMISYFDIRAARNAMKALQNKTLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDSSVSNEDLCQIFGAYGEIKEIRETPHKRHHKFIEFYDVRSAEAALRALNRSDIAGKKIKLEPSRPGGARRLMQQLCPELEQDETNGCQHGSPPNISSSDYHETITMGMITANGLDNGVAQGLNSSFQTPVSTFMNTRIHGISPKVVHRLSSPVNVTSIGNHASCLVDHNHSVGQINFSFQSMPAFSPHSLPDYHEGLINGIPCNSPSTMSAMPININPMSVEGIDKRSMHRVASGGLNSHSFEHNGTGLGSLGNGSCRLHGRQLFTNNSISYQHHAPGPMIWSNSPSFMDSIPPQHQQHMHGIPTAPSPMISPAMPLQHHHVGSAPTIKPSIWDMAHVFSGDSADSTTLHPGSLGSMAFSGSSLLHRLEFASQNIFPHSNGNHVDSSVPSTCIGIPSRQQSFHMFHGRNSMMPMPASFDSPNEHVRSRRSSDASGNLSENKKQYELDIDRILHGEDSRTTLMIKNIPNKYTSKMLLAAIDEHHRGTYDFIYLPIDFKNKCNVGYAFINMINPQHIIPFFQAFNGKKWEKFNSEKVASLAYARIQGKAALITHFQNSSLMNEDKRCRPILFHSNGPNAGDQEPFPIGNNVRTRPGRSRTISNVEHNQGSPTNSAHGDETPNFTDSSS >DRNTG_35315.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22820287:22829759:-1 gene:DRNTG_35315 transcript:DRNTG_35315.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMPGSKSVSSSSMEKLHFLGSRPLECLELSQPYVFKDQKTKSSLNPSLVRMENTATKSRTWRTAEDCSSASDLQMQHSTRCLEGGKLGLNGVHYENENALFSSSLSEVFKRTLRLSSNDSFEQYANNFNSKVKDEDPFESLQDVEAQTIENLLPDDDDLFSGVIDDLDCVARPHVGGDFEDDLFCSVGGMELEVDDGFNCNNVPDSLDGGAVSGQQGGLSSAFTGEHPSRTLFVRNINSSVEDAELRDLFEEYGDIRALYTACKHRGFVMISYFDIRAARNAMKALQNKTLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDSSVSNEDLCQIFGAYGEIKEIRETPHKRHHKFIEFYDVRSAEAALRALNRSDIAGKKIKLEPSRPGGARRSLMQQLCPELEQDETNGCQHGSPPNISSSDYHETITMGMITANGLDNGVAQGLNSSFQTPVSTFMNTRIHGISPKVVHRLSSPVNVTSIGNHASCLVDHNHSVGQINFSFQSMPAFSPHSLPDYHEGLINGIPCNSPSTMSAMPININPMSVEGIDKRSMHRVASGGLNSHSFEHNGTGLGSLGNGSCRLHGRQLFTNNSISYQHHAPGPMIWSNSPSFMDSIPPQHQQHMHGIPTAPSPMISPAMPLQHHHVGSAPTIKPSIWDMAHVFSGDSADSTTLHPGSLGSMAFSGSSLLHRLEFASQNIFPHSNGNHVDSSVPSTCIGIPSRQQSFHMFHGRNSMMPMPASFDSPNEHVRSRRSSDASGNLSENKKQYELDIDRILHGEDSRTTLMIKNIPNKYTSKMLLAAIDEHHRGTYDFIYLPIDFKNKCNVGYAFINMINPQHIIPFFQAFNGKKWEKFNSEKVASLAYARIQGKAALITHFQNSSLMNEDKRCRPILFHSNGPNAGDQEPFPIGNNVRTRPGRSRTISNVEHNQGSPTNSAHGDETPNFTDSSS >DRNTG_35315.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22820287:22829759:-1 gene:DRNTG_35315 transcript:DRNTG_35315.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMPGSKSVSSSSMEKLHFLGSRPLECLELSQPYVFKDQKTKSSLNPSLVRMENTATKSRTWRTAEDCSSASDLQMQHSTRCLEGGKLGLNGVHYENENALFSSSLSEVFKRTLRLSSNDSFEQYANNFNSKVKDEDPFESLQDVEAQTIENLLPDDDDLFSGVIDDLDCVARPHVGGDFEDDLFCSVGGMELEVDDGFNCNNVPDSLDGGAVSGQQGGLSSAFTGEHPSRTLFVRNINSSVEDAELRDLFEEYGDIRALYTACKHRGFVMISYFDIRAARNAMKALQNKTLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDSSVSNEDLCQIFGAYGEIKEIRETPHKRHHKFIEFYDVRSAEAALRALNRSDIAGKKIKLEPSRPGGARRSLMQQLCPELEQDETNGCQHGSPPNISSSDYHETITMGMITANGLDNGVAQGLNSSFQTPVSTFMNTRIHGISPKVVHRLSSPVNVTSIGNHASCLVDHNHSVGQINFSFQSMPAFSPHSLPDYHEGLINGIPCNSPSTMSAMPININPMSVEGIDKRSMHRVASGGLNSHSFEHNGTGLGSLGNGSCRLHGRQLFTNNSISYQHHAPGPMIWSNSPSFMDSIPPQHQQHMHGIPTAPSPMISPAMPLQHHHVGSAPTIKPSIWDMAHVFSGDSADSTTLHPGSLGSMAFSGSSLLHRLEFASQNIFPHSNGNHVDSSVPSTCIGIPSRQQSFHMFHGRNSMMPMPASFDSPNEHVRSRRSSDASGNLSENKKQYELDIDRILHGEDSRTTLMIKNIPNKYTSKMLLAAIDEHHRGTYDFIYLPIDFKNKCNVGYAFINMINPQHIIPFFQAFNGKKWEKFNSEKVASLAYARIQGKAALITHFQNSSLMNEDKRCRPILFHSNGPNAGDQEPFPIGNNVRTRPGRSRTISNVEHNQGSPTNSAHGDETPNFTDSSS >DRNTG_35315.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22820287:22829759:-1 gene:DRNTG_35315 transcript:DRNTG_35315.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMPGSKSVSSSSMEKLHFLGSRPLECLELSQPYVFKDQKTKSSLNPSLVRMENTATKSRTWRTAEDCSSASDLQMQHSTRCLEGGKLGLNGVHYENENALFSSSLSEVFKRTLRLSSNDSFEQYANNFNSKVKDEDPFESLQDVEAQTIENLLPDDDDLFSGVIDDLDCVARPHVGGDFEDDLFCSVGGMELEVDDGFNCNNVPDSLDGGAVSGQQGGLSSAFTGEHPSRTLFVRNINSSVEDAELRDLFEEYGDIRALYTACKHRGFVMISYFDIRAARNAMKALQNKTLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDSSVSNEDLCQIFGAYGEIKEIRETPHKRHHKFIEFYDVRSAEAALRALNRSDIAGKKIKLEPSRPGGARRLMQQLCPELEQDETNGCQHGSPPNISSSDYHETITMGMITANGLDNGVAQGLNSSFQTPVSTFMNTRIHGISPKVVHRLSSPVNVTSIGNHASCLVDHNHSVGQINFSFQSMPAFSPHSLPDYHEGLINGIPCNSPSTMSAMPININPMSVEGIDKRSMHRVASGGLNSHSFEHNGTGLGSLGNGSCRLHGRQLFTNNSISYQHHAPGPMIWSNSPSFMDSIPPQHQQHMHGIPTAPSPMISPAMPLQHHHVGSAPTIKPSIWDMAHVFSGDSADSTTLHPGSLGSMAFSGSSLLHRLEFASQNIFPHSNGNHVDSSVPSTCIGIPSRQQSFHMFHGRNSMMPMPASFDSPNEHVRSRRSSDASGNLSENKKQYELDIDRILHGEDSRTTLMIKNIPNKYTSKMLLAAIDEHHRGTYDFIYLPIDFKNKCNVGYAFINMINPQHIIPFFQAFNGKKWEKFNSEKVASLAYARIQGKAALITHFQNSSLMNEDKRCRPILFHSNGPNAGDQEPFPIGNNVRTRPGRSRTISNVEHNQGSPTNSAHGDETPNFTDSSS >DRNTG_35315.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22820287:22829759:-1 gene:DRNTG_35315 transcript:DRNTG_35315.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMDRRHLSFFSEEICFPTERHIGLWKPDSVPDHQGTERMAFMPGSKSVSSSSMEKLHFLGSRPLECLELSQPYVFKDQKTKSSLNPSLVRMENTATKSRTWRTAEDCSSASDLQMQHSTRCLEGGKLGLNGVHYENENALFSSSLSEVFKRTLRLSSNDSFEQYANNFNSKVKDEDPFESLQDVEAQTIENLLPDDDDLFSGVIDDLDCVARPHVGGDFEDDLFCSVGGMELEVDDGFNCNNVPDSLDGGAVSGQQGGLSSAFTGEHPSRTLFVRNINSSVEDAELRDLFEEYGDIRALYTACKHRGFVMISYFDIRAARNAMKALQNKTLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDSSVSNEDLCQIFGAYGEIKEIRETPHKRHHKFIEFYDVRSAEAALRALNRSDIAGKKIKLEPSRPGGARRLMQQLCPELEQDETNGCQHGSPPNISSSDYHETITMGMITANGLDNGVAQGLNSSFQTPVSTFMNTRIHGISPKVVHRLSSPVNVTSIGNHASCLVDHNHSVGQINFSFQSMPAFSPHSLPDYHEGLINGIPCNSPSTMSAMPININPMSVEGIDKRSMHRVASGGLNSHSFEHNGTGLGSLGNGSCRLHGRQLFTNNSISYQHHAPGPMIWSNSPSFMDSIPPQHQQHMHGIPTAPSPMISPAMPLQHHHVGSAPTIKPSIWDMAHVFSGDSADSTTLHPGSLGSMAFSGSSLLHRLEFASQNIFPHSNGNHVDSSVPSTCIGIPSRQQSFHMFHGRNSMMPMPASFDSPNEHVRSRRSSDASGNLSENKKQYELDIDRILHGEDSRTTLMIKNIPNKYTSKMLLAAIDEHHRGTYDFIYLPIDFKNKCNVGYAFINMINPQHIIPFFQAFNGKKWEKFNSEKVASLAYARIQGKAALITHFQNSSLMNEDKRCRPILFHSNGPNAGDQEPFPIGNNVRTRPGRSRTISNVEHNQGSPTNSAHGDETPNFTDSSS >DRNTG_35315.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22820287:22829759:-1 gene:DRNTG_35315 transcript:DRNTG_35315.10 gene_biotype:protein_coding transcript_biotype:protein_coding MMDRRHLSFFSEEICFPTERHIGLWKPDSVPDHQGTERMAFMPGSKSVSSSSMEKLHFLGSRPLECLELSQPYVFKDQKTKSSLNPSLVRMENTATKSRTWRTAEDCSSASDLQMQHSTRCLEGGKLGLNGVHYENENALFSSSLSEVFKRTLRLSSNDSFEQYANNFNSKVKDEDPFESLQDVEAQTIENLLPDDDDLFSGVIDDLDCVARPHVGGDFEDDLFCSVGGMELEVDDGFNCNNVPDSLDGGAVSGQQGGLSSAFTGEHPSRTLFVRNINSSVEDAELRDLFEEYGDIRALYTACKHRGFVMISYFDIRAARNAMKALQNKTLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDSSVSNEDLCQIFGAYGEIKEIRETPHKRHHKFIEFYDVRSAEAALRALNRSDIAGKKIKLEPSRPGGARRLMQQLCPELEQDETNGCQHGSPPNISSSDYHETITMGMITANGLDNGVAQGLNSSFQTPVSTFMNTRIHGISPKVVHRLSSPVNVTSIGNHASCLVDHNHSVGQINFSFQSMPAFSPHSLPDYHEGLINGIPCNSPSTMSAMPININPMSVEGIDKRSMHRVASGGLNSHSFEHNGTGLGSLGNGSCRLHGRQLFTNNSISYQHHAPGPMIWSNSPSFMDSIPPQHQQHMHGIPTAPSPMISPAMPLQHHHVGSAPTIKPSIWDMAHVFSGDSADSTTLHPGSLGSMAFSGSSLLHRLEFASQNIFPHSNGNHVDSSVPSTCIGIPSRQQSFHMFHGRNSMMPMPASFDSPNEHVRSRRSSDASGNLSENKKQYELDIDRILHGEDSRTTLMIKNIPNKYTSKMLLAAIDEHHRGTYDFIYLPIDFKNKCNVGYAFINMINPQHIIPFFQAFNGKKWEKFNSEKVASLAYARIQGKAALITHFQNSSLMNEDKRCRPILFHSNGPNAGDQEPFPIGNNVRTRPGRSRTISNVEHNQGSPTNSAHGDETPNFTDSSS >DRNTG_35315.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22820287:22828624:-1 gene:DRNTG_35315 transcript:DRNTG_35315.15 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMPGSKSVSSSSMEKLHFLGSRPLECLELSQPYVFKDQKTKSSLNPSLVRMENTATKSRTWRTAEDCSSASDLQMQHSTRCLEGGKLGLNGVHYENENALFSSSLSEVFKRTLRLSSNDSFEQYANNFNSKVKDEDPFESLQDVEAQTIENLLPDDDDLFSGVIDDLDCVARPHVGGDFEDDLFCSVGGMELEVDDGFNCNNVPDSLDGGAVSGQQGGLSSAFTGEHPSRTLFVRNINSSVEDAELRDLFEEYGDIRALYTACKHRGFVMISYFDIRAARNAMKALQNKTLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDSSVSNEDLCQIFGAYGEIKEIRETPHKRHHKFIEFYDVRSAEAALRALNRSDIAGKKIKLEPSRPGGARRSLMQQLCPELEQDETNGCQHGSPPNISSSDYHETITMGMITANGLDNGVAQGLNSSFQTPVSTFMNTRIHGISPKVVHRLSSPVNVTSIGNHASCLVDHNHSVGQINFSFQSMPAFSPHSLPDYHEGLINGIPCNSPSTMSAMPININPMSVEGIDKRSMHRVASGGLNSHSFEHNGTGLGSLGNGSCRLHGRQLFTNNSISYQHHAPGPMIWSNSPSFMDSIPPQHQQHMHGIPTAPSPMISPAMPLQHHHVGSAPTIKPSIWDMAHVFSGDSADSTTLHPGSLGSMAFSGSSLLHRLEFASQNIFPHSNGNHVDSSVPSTCIGIPSRQQSFHMFHGRNSMMPMPASFDSPNEHVRSRRSSDASGNLSENKKQYELDIDRILHGEDSRTTLMIKNIPNKYTSKMLLAAIDEHHRGTYDFIYLPIDFKNKCNVGYAFINMINPQHIIPFFQAFNGKKWEKFNSEKVASLAYARIQGKAALITHFQNSSLMNEDKRCRPILFHSNGPNAGDQEPFPIGNNVRTRPGRSRTISNVEHNQGSPTNSAHGDETPNFTDSSS >DRNTG_35315.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22820287:22829759:-1 gene:DRNTG_35315 transcript:DRNTG_35315.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMPGSKSVSSSSMEKLHFLGSRPLECLELSQPYVFKDQKTKSSLNPSLVRMENTATKSRTWRTAEDCSSASDLQMQHSTRCLEGGKLGLNGVHYENENALFSSSLSEVFKRTLRLSSNDSFEQYANNFNSKVKDEDPFESLQDVEAQTIENLLPDDDDLFSGVIDDLDCVARPHVGGDFEDDLFCSVGGMELEVDDGFNCNNVPDSLDGGAVSGQQGGLSSAFTGEHPSRTLFVRNINSSVEDAELRDLFEEYGDIRALYTACKHRGFVMISYFDIRAARNAMKALQNKTLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDSSVSNEDLCQIFGAYGEIKEIRETPHKRHHKFIEFYDVRSAEAALRALNRSDIAGKKIKLEPSRPGGARRSLMQQLCPELEQDETNGCQHGSPPNISSSDYHETITMGMITANGLDNGVAQGLNSSFQTPVSTFMNTRIHGISPKVVHRLSSPVNVTSIGNHASCLVDHNHSVGQINFSFQSMPAFSPHSLPDYHEGLINGIPCNSPSTMSAMPININPMSVEGIDKRSMHRVASGGLNSHSFEHNGTGLGSLGNGSCRLHGRQLFTNNSISYQHHAPGPMIWSNSPSFMDSIPPQHQQHMHGIPTAPSPMISPAMPLQHHHVGSAPTIKPSIWDMAHVFSGDSADSTTLHPGSLGSMAFSGSSLLHRLEFASQNIFPHSNGNHVDSSVPSTCIGIPSRQQSFHMFHGRNSMMPMPASFDSPNEHVRSRRSSDASGNLSENKKQYELDIDRILHGEDSRTTLMIKNIPNKYTSKMLLAAIDEHHRGTYDFIYLPIDFKNKCNVGYAFINMINPQHIIPFFQAFNGKKWEKFNSEKVASLAYARIQGKAALITHFQNSSLMNEDKRCRPILFHSNGPNAGDQEPFPIGNNVRTRPGRSRTISNVEHNQGSPTNSAHGDETPNFTDSSS >DRNTG_35315.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22820287:22829759:-1 gene:DRNTG_35315 transcript:DRNTG_35315.12 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMPGSKSVSSSSMEKLHFLGSRPLECLELSQPYVFKDQKTKSSLNPSLVRMENTATKSRTWRTAEDCSSASDLQMQHSTRCLEGGKLGLNGVHYENENALFSSSLSEVFKRTLRLSSNDSFEQYANNFNSKVKDEDPFESLQDVEAQTIENLLPDDDDLFSGVIDDLDCVARPHVGGDFEDDLFCSVGGMELEVDDGFNCNNVPDSLDGGAVSGQQGGLSSAFTGEHPSRTLFVRNINSSVEDAELRDLFEEYGDIRALYTACKHRGFVMISYFDIRAARNAMKALQNKTLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDSSVSNEDLCQIFGAYGEIKEIRETPHKRHHKFIEFYDVRSAEAALRALNRSDIAGKKIKLEPSRPGGARRSLMQQLCPELEQDETNGCQHGSPPNISSSDYHETITMGMITANGLDNGVAQGLNSSFQTPVSTFMNTRIHGISPKVVHRLSSPVNVTSIGNHASCLVDHNHSVGQINFSFQSMPAFSPHSLPDYHEGLINGIPCNSPSTMSAMPININPMSVEGIDKRSMHRVASGGLNSHSFEHNGTGLGSLGNGSCRLHGRQLFTNNSISYQHHAPGPMIWSNSPSFMDSIPPQHQQHMHGIPTAPSPMISPAMPLQHHHVGSAPTIKPSIWDMAHVFSGDSADSTTLHPGSLGSMAFSGSSLLHRLEFASQNIFPHSNGNHVDSSVPSTCIGIPSRQQSFHMFHGRNSMMPMPASFDSPNEHVRSRRSSDASGNLSENKKQYELDIDRILHGEDSRTTLMIKNIPNKYTSKMLLAAIDEHHRGTYDFIYLPIDFKNKCNVGYAFINMINPQHIIPFFQAFNGKKWEKFNSEKVASLAYARIQGKAALITHFQNSSLMNEDKRCRPILFHSNGPNAGDQEPFPIGNNVRTRPGRSRTISNVEHNQGSPTNSAHGDETPNFTDSSS >DRNTG_35315.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22820287:22829759:-1 gene:DRNTG_35315 transcript:DRNTG_35315.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMPGSKSVSSSSMEKLHFLGSRPLECLELSQPYVFKDQKTKSSLNPSLVRMENTATKSRTWRTAEDCSSASDLQMQHSTRCLEGGKLGLNGVHYENENALFSSSLSEVFKRTLRLSSNDSFEQYANNFNSKVKDEDPFESLQDVEAQTIENLLPDDDDLFSGVIDDLDCVARPHVGGDFEDDLFCSVGGMELEVDDGFNCNNVPDSLDGGAVSGQQGGLSSAFTGEHPSRTLFVRNINSSVEDAELRDLFEEYGDIRALYTACKHRGFVMISYFDIRAARNAMKALQNKTLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDSSVSNEDLCQIFGAYGEIKEIRETPHKRHHKFIEFYDVRSAEAALRALNRSDIAGKKIKLEPSRPGGARRLMQQLCPELEQDETNGCQHGSPPNISSSDYHETITMGMITANGLDNGVAQGLNSSFQTPVSTFMNTRIHGISPKVVHRLSSPVNVTSIGNHASCLVDHNHSVGQINFSFQSMPAFSPHSLPDYHEGLINGIPCNSPSTMSAMPININPMSVEGIDKRSMHRVASGGLNSHSFEHNGTGLGSLGNGSCRLHGRQLFTNNSISYQHHAPGPMIWSNSPSFMDSIPPQHQQHMHGIPTAPSPMISPAMPLQHHHVGSAPTIKPSIWDMAHVFSGDSADSTTLHPGSLGSMAFSGSSLLHRLEFASQNIFPHSNGNHVDSSVPSTCIGIPSRQQSFHMFHGRNSMMPMPASFDSPNEHVRSRRSSDASGNLSENKKQYELDIDRILHGEDSRTTLMIKNIPNKYTSKMLLAAIDEHHRGTYDFIYLPIDFKNKCNVGYAFINMINPQHIIPFFQAFNGKKWEKFNSEKVASLAYARIQGKAALITHFQNSSLMNEDKRCRPILFHSNGPNAGDQEPFPIGNNVRTRPGRSRTISNVEHNQGSPTNSAHGDETPNFTDSSS >DRNTG_35315.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22820287:22829759:-1 gene:DRNTG_35315 transcript:DRNTG_35315.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMPGSKSVSSSSMEKLHFLGSRPLECLELSQPYVFKDQKTKSSLNPSLVRMENTATKSRTWRTAEDCSSASDLQMQHSTRCLEGGKLGLNGVHYENENALFSSSLSEVFKRTLRLSSNDSFEQYANNFNSKVKDEDPFESLQDVEAQTIENLLPDDDDLFSGVIDDLDCVARPHVGGDFEDDLFCSVGGMELEVDDGFNCNNVPDSLDGGAVSGQQGGLSSAFTGEHPSRTLFVRNINSSVEDAELRDLFEEYGDIRALYTACKHRGFVMISYFDIRAARNAMKALQNKTLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDSSVSNEDLCQIFGAYGEIKEIRETPHKRHHKFIEFYDVRSAEAALRALNRSDIAGKKIKLEPSRPGGARRLMQQLCPELEQDETNGCQHGSPPNISSSDYHETITMGMITANGLDNGVAQGLNSSFQTPVSTFMNTRIHGISPKVVHRLSSPVNVTSIGNHASCLVDHNHSVGQINFSFQSMPAFSPHSLPDYHEGLINGIPCNSPSTMSAMPININPMSVEGIDKRSMHRVASGGLNSHSFEHNGTGLGSLGNGSCRLHGRQLFTNNSISYQHHAPGPMIWSNSPSFMDSIPPQHQQHMHGIPTAPSPMISPAMPLQHHHVGSAPTIKPSIWDMAHVFSGDSADSTTLHPGSLGSMAFSGSSLLHRLEFASQNIFPHSNGNHVDSSVPSTCIGIPSRQQSFHMFHGRNSMMPMPASFDSPNEHVRSRRSSDASGNLSENKKQYELDIDRILHGEDSRTTLMIKNIPNKYTSKMLLAAIDEHHRGTYDFIYLPIDFKNKCNVGYAFINMINPQHIIPFFQAFNGKKWEKFNSEKVASLAYARIQGKAALITHFQNSSLMNEDKRCRPILFHSNGPNAGDQEPFPIGNNVRTRPGRSRTISNVEHNQGSPTNSAHGDETPNFTDSSS >DRNTG_21969.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2248213:2248599:1 gene:DRNTG_21969 transcript:DRNTG_21969.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRHPSSGFSGGILVLWRKQVGCVTPIALSRLALHLVITTSFDSWVLYAIYNSQVLSDHKAFWDSLSAISLLNIPWVLTGDFNAICSKDENLGGLFINYASKAKYFSSFITNNNLLDLGFVGPCFTW >DRNTG_07148.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20928134:20929747:-1 gene:DRNTG_07148 transcript:DRNTG_07148.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEYMFLTIIVPGPRNPKDMLDVYLQPLIVELKHLWEVGVETYDVSKKNNFQMRAALMWTISDFPAYSMLSGWSTAGRTACPYCKQDSDAFTLTKGGKQSWFDNHRKFLPHNHPFRKNKTAFRKNRTVTKTTPTIPSGDEILKEIEDLGLRSVVDSGAREINSRIARISGWRKRSIFWELPYWQTNLIRHNLDVMHIEKNVFDNIFNTVMNVEGRSKDNTKSREDLKEFCCRHALEKDDATGKYPKACYTLDRQSKIVLCEWLRNLKFPDGYVSNIGRCVDMRKLKLFGMKSHDCHVFMQRLLPIAFRELLPSNVWQALTEMSNFFRELTSTVLQEENMLRINEEIPLIICKLERIFPPSFFDSMEHLPVHLAYEAWIAGPVQYRWMYPFERYLRKLKKNVRNKARVEGSICNAYLVEEATSFCAHYFEPHVRTRHRKIPRNDDGGEDAEEHFGNLSIFIRPGRTLGKGKIKYLNEDEYAAAQMYILLNCP >DRNTG_13310.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22971633:22974841:-1 gene:DRNTG_13310 transcript:DRNTG_13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRCVNHARNVWDRAVALLPRVDQLWYKYIHMEEMLRNIAGARQVFERWMDWQPDMQGWLSYIKFELRYGEAARARAIYERLVACHPLVTAWIRYAKFEMKNGEVARARAVYERATELLAEDEEAEQLFVAFAEFEERCKETERARCIYKFALDHIPKGRAEDLYRKFVAFEKQYGDREGIEDAIVGKRRFQYEEEVKKNPLNYDSWFDYIRLEENVGNKDMIREVYERAIANVPPAEEKRYWQRYIYLWINYALYEELDAKDMDRTRDVYRECLKLIPHKKFSFAKIWLLAAQFEIRQKNLKAARQILGNAIGMAPKDKIFKKYIEIELQLGNIDRCRTLYGKYLDWSPANCYAWSKFAELERSLSETERARAIFELAIAQPALDRPELLWKAYIDFEISEGELERTRQLYERLLDRTKHLKVWVSYAQFEASAGAEEEQDTDAQIKVEEDSLNEQQMTECIQRCRGVFERAFDHFRTAAPELKEERAMLLEEWLNMEAKFGNLGDVSLVQKKLPRKVKRKRAIASEDGVPAGYEEYFDYIFPDEVAMAPNLKILEAAYQWKKLKAGSDDD >DRNTG_30166.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16569367:16572149:1 gene:DRNTG_30166 transcript:DRNTG_30166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVRGLGDHPHELATDGGQPFPYPISAITFDPSSRSSSLD >DRNTG_20928.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7437080:7441799:-1 gene:DRNTG_20928 transcript:DRNTG_20928.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNHLVHNPPLEQEDFWSSERSSPEKGFKYCEDPNTPWITRAVESKGLLEVRISS >DRNTG_20928.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7438659:7440014:-1 gene:DRNTG_20928 transcript:DRNTG_20928.5 gene_biotype:protein_coding transcript_biotype:protein_coding FSITSASTARDKFQSHLTTHGMANDNIRPYHDISLD >DRNTG_20928.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7438659:7439189:-1 gene:DRNTG_20928 transcript:DRNTG_20928.6 gene_biotype:protein_coding transcript_biotype:protein_coding FSITSASTARDKFQSHLTTHGMANDNIRPYHDISLD >DRNTG_20928.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7439685:7441756:-1 gene:DRNTG_20928 transcript:DRNTG_20928.4 gene_biotype:protein_coding transcript_biotype:protein_coding DCRLEPNAQSSGEHGPKPLPHRTVLPYWPGPQASEEHFPSISHYSQLARYRSLDALVGAPTKTNRYFLREQKMYNGPENEH >DRNTG_21592.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19135201:19138372:-1 gene:DRNTG_21592 transcript:DRNTG_21592.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSDNAKGFALALSSSAFIGASFILKKFGLRRAASRGAAAGFGGYGYLVEPLWWVGMITMIFGEIANFVAYMFAPAVLVTPLGALSIIVSAVLAHFLLREKLQKLGVLGCILCIVGSTVIVLHAPEEKTPSSVDEIWSLATQPAFLFYTGAAVAVSLVLMLHTAPRYGQSNIMIYLSICSIIGSLTVMSIKAVGIAIKLTIEGINQAGYFQTWVFVMVAVSCIVIQLNYLNKALDTFNTAVVSPIYYAMFTTLTIFASAIMFKDWYGQDASNIVSEICGLVTVLSGTSVLHSTREPDLPTCTDPYTPLSPKIYWHIRGNGDLGKYKDDLLSPDFITVVQQDYFT >DRNTG_21592.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19135201:19138372:-1 gene:DRNTG_21592 transcript:DRNTG_21592.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSDNAKGFALALSSSAFIGASFILKKFGLRRAASRGAAAGFGGYGYLVEPLWWVGMITMIFGEIANFVAYMFAPAVLVTPLGALSIIVSAVLAHFLLREKLQKLGVLGCILCIVGSTVIVLHAPEEKTPSSVDEIWSLATQPAFLFYTGAAVAVSLVLMLHTAPRYGQSNIMIYLSICSIIGSLTVMSIKAVGIAIKLTIEGINQAGYFQTWVFVMVAVSCIVIQLNYLNKALDTFNTAVVSPIYYAMFTTLTIFASAIMFKDWYGQDASNIVSEICGLVTVLSGTSVLHSTREPDLPTCTDPYTPLSPKIYWHIRGNGDLGKYKDDLLSPDFITVVQQDYFT >DRNTG_10718.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29571806:29573180:-1 gene:DRNTG_10718 transcript:DRNTG_10718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLHQYSILIIIIIITTFTTTTTTRVNSMPILFPETLKQNLFRKLKPTKSASLTQPYTTHYFPQVLDHFTFTPNSSKLFPQRYLINSTFWSSSSSPIFVYAGAEDDIKWLASNCGLFDIAPIFNALIVFIEHRFYGDSIPFGDTSIHNLGYFTSTQALADYAVLIRSLKHNLSAEAAPVVIFGASYGGMLAAWFRLKYPHIAMGALASSAPILQFDKIVPWTGFYDVVSQRYKDESMNCFEVIKGCWDELMSTNLSELSKIFRSCE >DRNTG_24528.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6081393:6082528:1 gene:DRNTG_24528 transcript:DRNTG_24528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTNGLFRNLSKSINSLRLLPNIWLHLHLSCSPSPESDSDGAGAAANQLESCADPSLLIRLHSQMLRNHFLRSPFHWNALIRAYLRLASPRAALLLFIQMSRSGASPDSYTLPIIFKAISHSFMLVTGRQLHSLSIKHGLELNEYCNSGLINIYAKAGEFDHALKVFDESSERKLGSWNAIINGLAQGGHSKEAIRFFVQLRRSGLVPDDVTMVSVASACGSYGDLSLAQQVHKCMLQAQSLERLSIMRLNSLVDMYSKCGRTDLALKVFDKMPGRDVSSWTSMIMGLATQGEAKHALDHFDRMVQEGVRPNQVTFRRRADSVRTRRLGR >DRNTG_28463.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22431095:22436598:-1 gene:DRNTG_28463 transcript:DRNTG_28463.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate/phosphate translocator-like protein [Source:Projected from Arabidopsis thaliana (AT5G46110) UniProtKB/TrEMBL;Acc:A0A219I0W9] MASSAGTLSGSATGSCTGIAALFCIRRSPRDATSFSLAGHRSHGPVGNAGNLILNRQLRPAIVLDGSPISISQRRPSHLELLRPVAAAASSSPAEGSDSAGEAKVEFAAKYPTLVTGFFFFMWYFLNVIFNIINKKIYNYFPYPYFVSVIHLFVGVVYCLASWTVGLPKRAPMDNKLLKLLIPVAICHAIGHITSNVSFAAVAVSFTHTIKALEPFFNAAASQFILGQQIPFSLWLSLAPVVIGVSMASLTELSFNWLGFISAMISNISFTYRSIYSKKAMTDMDSTNLYAYISIIALIVCIPPALILEGPQLLKHGFNDAIAKVGLTKFVTDLFWVGLFYHLYNQVATNTLERVAPLTHAVGNVLKRVFVIGFSIVVFGNKISTQTGIGTCIAIAGVAIYSYIKAKMEEEKLQKKTT >DRNTG_15676.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27664735:27665429:1 gene:DRNTG_15676 transcript:DRNTG_15676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNAEKTINYMKKGKTKIDDLSFQTSMAQHHIEETSGDKKGKVEAGCVLSNAHEYKQVKYNKEKRRHRRQVPTCFHCGRRGHIRPKCHQLKADMRNRRVLGNAKKWIPTCHYCGVKGHIRPRCWKMKKNIKENKNMRQTPYTR >DRNTG_00572.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30044836:30045583:-1 gene:DRNTG_00572 transcript:DRNTG_00572.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSLPKNFDRFLEFKASIPARKRETIVTHATRPFVGDDEALFRRGVFAFQSLPRGPSVPPGSSSCTRDPNASNGSHCPPLIKHH >DRNTG_01829.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13116889:13123547:1 gene:DRNTG_01829 transcript:DRNTG_01829.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSRESTPRSVPRSHYDRRYSHNQGWNSPSYSYSQESPYQSYPPPPPPSSSASYDSVPVAYPPPPPPQSYASSRESYAPQPSYGQSSQARTGRPRPTLDRRYSKIADDYQSLEQVTEALAHAGLESSNLIVGIDFTKSNEWTGKYSFNHRSLHHIGDIQNPYEQAISIIGRTLSKFDEDNLIPCFGFGDASTHDQDVFSFYVDERPCNGFSEALERYREIVPQLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDIESGQLSSQEQKTVDAIVKASQFPLSIILVGVGDGPWDMMKEFDDNIPARSFDNFQFVNFTQIMSKNVPQTRKETEFALAALMEIPLQYRATLELGLLGNLVAKSSERVPLPPPVGNHNVSVGSRTFKSSSFQQTGPSYSGYDTTPSSAPLYSGYDTKPSTVQHASNSLSDNLVCPICLTDPKDMAFGCGHQTCCECGQTLESCPICRSPIVTRIKLY >DRNTG_01829.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13116889:13123547:1 gene:DRNTG_01829 transcript:DRNTG_01829.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSRESTPRSVPRSHYDRRYSHNQGWNSPSYSYSQESPYQSYPPPPPPSSSASYDSVPVAYPPPPPPQSYASSRESYAPQPSYGQSSQARTGRPRPTLDRRYSKIADDYQSLEQVTEALAHAGLESSNLIVGIDFTKSNEWTGKYSFNHRSLHHIGDIQNPYEQAISIIGRTLSKFDEDNLIPCFGFGDASTHDQDVFSFYVDERPCNGFSEALERYREIVPQLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDIESGQLSSQEQKTVDAIVKASQFPLSIILVGVGDGPWDMMKEFDDNIPARSFDNFQFVNFTQIMSKNVPQTRKETEFALAALMEIPLQYRATLELGLLGNLVAKSSERVPLPPPVGNHNVSVGSRTFKSSSFQQTGPSYSGYDTTPSSAPLYSGYDTKPSTVQHASNSLSDNLVCPICLTDPKDMAFGCGHQTCCECGQTLESCPICRSPIVTRIKLY >DRNTG_01829.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13116889:13123547:1 gene:DRNTG_01829 transcript:DRNTG_01829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSRESTPRSVPRSHYDRRYSHNQGWNSPSYSYSQESPYQSYPPPPPPSSSASYDSVPVAYPPPPPPQSYASSRESYAPQPSYGQSSQARTGRPRPTLDRRYSKIADDYQSLEQVTEALAHAGLESSNLIVGIDFTKSNEWTGKYSFNHRSLHHIGDIQNPYEQAISIIGRTLSKFDEDNLIPCFGFGDASTHDQDVFSFYVDERPCNGFSEALERYREIVPQLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDIESGQLSSQEQKTVDAIVKASQFPLSIILVGVGDGPWDMMKEFDDNIPARSFDNFQFVNFTQIMSKNVPQTRKETEFALAALMEIPLQYRATLELGLLGNLVAKSSERVPLPPPVGNHNVSVGSRTFKSSSFQQTGPSYSGYDTTPSSAPLYSGYDTKPSTVQHASNSLSDNLVCPICLTDPKDMAFGCGHQTCCECGQTLESCPICRSPIVTRIKLY >DRNTG_01829.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13116889:13123547:1 gene:DRNTG_01829 transcript:DRNTG_01829.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSRESTPRSVPRSHYDRRYSHNQGWNSPSYSYSQESPYQSYPPPPPPSSSASYDSVPVAYPPPPPPQSYASSRESYAPQPSYGQSSQARTGRPRPTLDRRYSKIADDYQSLEQVTEALAHAGLESSNLIVGIDFTKSNEWTGKYSFNHRSLHHIGDIQNPYEQAISIIGRTLSKFDEDNLIPCFGFGDASTHDQDVFSFYVDERPCNGFSEALERYREIVPQLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDIESGQLSSQEQKTVDAIVKASQFPLSIILVGVGDGPWDMMKEFDDNIPARSFDNFQFVNFTQIMSKNVPQTRKETEFALAALMEIPLQYRATLELGLLGNLVAKSSERVPLPPPVGNHNVSVGSRTFKSSSFQQTGPSYSGYDTTPSSAPLYSGYDTKPSTVQHASNSLSDNLVCPICLTDPKDMAFGCGHQTCCECGQTLESCPICRSPIVTRIKLY >DRNTG_03888.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3898108:3900453:-1 gene:DRNTG_03888 transcript:DRNTG_03888.3 gene_biotype:protein_coding transcript_biotype:protein_coding YKYGLKQPYRNTESYKQSTDQNIQTDTSLTHTRKFTTKILQSRKYDSKIPQILDLIFSYENKNHRVYEPQGPSRIVEITDNADIAE >DRNTG_03888.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3898108:3900453:-1 gene:DRNTG_03888 transcript:DRNTG_03888.1 gene_biotype:protein_coding transcript_biotype:protein_coding TALQKYRVIQTVYRPKYTDGYKSYSHKEVHYKDTTKQEIRLQDTPDPRLDLLLAPSYRVEFTKSRKLRLALSLPARKSSTKVQALDLFQGERAFKIEEDVFSPSSLKVAPTQGKTTDQIRSSRVT >DRNTG_03888.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3898108:3898852:-1 gene:DRNTG_03888 transcript:DRNTG_03888.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRSKHQQPHKIQTPNTRATHLPLSLPARKSSTKVQALDLFQGERAFKIEEDVFSPSSLKVAPTQGKTTDQIRSSRVT >DRNTG_03888.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3898108:3900453:-1 gene:DRNTG_03888 transcript:DRNTG_03888.2 gene_biotype:protein_coding transcript_biotype:protein_coding YKYGLKQPYRNTESYKQSTDQNIQTDTSLTHTRKFTTKILQSRKYDSKIPQILDLIFSYENKNHRVYEPQGPSRIVEITDNADIAE >DRNTG_23216.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10182598:10185452:-1 gene:DRNTG_23216 transcript:DRNTG_23216.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTEEHLTELFSYCGKARAKYLKDSSIVLPIQINGKTRGTILVDEDCSKDEAFEFKLPSLEKLSKYLDGKAIKLLLEITQVPDAH >DRNTG_03992.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25718767:25721761:1 gene:DRNTG_03992 transcript:DRNTG_03992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKFSVIPIVGMGGLGKTTLVQLIYKDQRVHECFDLKGWVYVSVNFSVLRLTKLIIETLSGQQSCAFLELDKLQSVLSESMAGKKVLLVLDDVWNEEQSPWQLLLAPFANANMVRIIVTTRNSSAAKVMQTGISPHELGLLPEKHSWLLFKKYAFVGQEPSFQFVDIGKQIVKKCNGLPLAVKALGGILRYETEESSWWDVLQSDLWELDEAQAEILPALKLSYSRMPSYLKPCFLFCSMYPKAHLFSKGSLIRLWMAQGYIRAKGNKIMEDVGENYFNELQQRSYFQLYQNPHMQLSTGNEHEWYVMHDMLQDLAHLMSENECNSIYVSEGQAVQPEISNEIRHLKVMHQLEMEVELAELLSLKEINYLRTLDCIDIIYISKRESLLSKFERLRALELQSDEPRDLPNLISSFKHLRYLSVKSNIIWEALPQLVCQCYNLQTLDLKSCLLQEIPSEIGNLINLRCLALSSLSLAQLPESIGNLHNLHTLDLQSCYSLQELPQGISNLAKLRHLFIPSEAKLPHGIGKMTNLETLEYFIAGKGNKIEKHSGIEELKNLVNIKGNLCIYELGKLVSVDSVIAGNLKTKSRLKDLQLNWGYLQHPYMDNLCSEELNFSVLERLEPHHNLLSLKIEGYKGLDYPAWLGDPSFTRLTSIDFNFCKKIQDFPWLTSRLPSLTSLSFYTIERMKSVAHEGEVSFPSLEVLSLNNMPEWDKWSSAMDKDFPKLKKLAIQMCPKICQLPSFQSLVTLTIAYCENLKSVTVHHDAASCPSRLNELLIISCGQLTSLVGLKYLYSLSMLTIESCSELRFQPDDCLPVMPKYVRICDGDGPKHWCDTHGFHYKQFCSFDLFPKDEV >DRNTG_11033.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:872158:878631:1 gene:DRNTG_11033 transcript:DRNTG_11033.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKLGRMVTRVILPRVVMHSRYHYGAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYLANYSMADRLDWGWNQGTDFVTSPCNLWKGAYHCNTTQLSGCTYNREAEGYCPIVSYSGDLPPWARYFPQANKGGQSSLADYCTYYVAYSDGSCTDINSARPPDRMLGEVRGSNSRCMASSLVRTGFVRGSSTQGNGCYQHRCTNMTLEVAVDGLWKACPEAGGPILFPGFNGELVCPAYHELCSMAPVPLNGRCPGSCNFNGDCIDGKCHCFVGFHGHDCSYRACPDNCHGHGTCHANGICECENGWTGIDCSTAICDEQCSLHGGVCDNGLCEFRCSDYAGYTCQNSSALLPSLSICGDVLARSAVGQHCAPSEPSILQQLEAAVVMPNYKRLMPGRWFPFGFLKSDYCEVAAKRLACWISIQRCDSDGDNRLRVCYSACKSYNRACSASLDCSDQTLFSSEEEGDGQCTGYGEITPSWLGRFRKIYLQ >DRNTG_11033.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:872158:878631:1 gene:DRNTG_11033 transcript:DRNTG_11033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKPSGPLVTSIPAWARLGLLAIQIVVFLLWTGCIDAKYEDKAPLHWQISELDLGSSHLLHSCIHDQILHQRRRPGRKEYSVTPQIYEGSSSLKSLHHKGRSLLEFSTPATLQKDAKHPIRIYLNYDAVGHSTDRDCHSVGDVVKLGEPPTTAVPRTPTCNPHGDPPIFGDCWYNCTMEDISGEDKKERLRKALGQTADWFKRALAVEPVKGNLRLSGYSACGQDGGVQLPHEYIEDGVADADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAESLLSATLIHEVMHVLGFDPHAFAHFRDERKRRRSQVTVQAMDEKLGRMVTRVILPRVVMHSRYHYGAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYLANYSMADRLDWGWNQGTDFVTSPCNLWKGAYHCNTTQLSGCTYNREAEGYCPIVSYSGDLPPWARYFPQANKGGQSSLADYCTYYVAYSDGSCTDINSARPPDRMLGEVRGSNSRCMASSLVRTGFVRGSSTQGNGCYQHRCTNMTLEVAVDGLWKACPEAGGPILFPGFNGELVCPAYHELCSMAPVPLNGRCPGSCNFNGDCIDGKCHCFVGFHGHDCSYRACPDNCHGHGTCHANGICECENGWTGIDCSTAICDEQCSLHGGVCDNGLCEFRCSDYAGYTCQNSSALLPSLSICGDVLARSAVGQHCAPSEPSILQQLEAAVVMPNYKRLMPGRWFPFGFLKSDYCEVAAKRLACWISIQRCDSDGDNRLRVCYSACKSYNRACSASLDCSDQTLFSSEEEGDGQCTGYGEITPSWLGRFRKIYLQ >DRNTG_14213.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000663.1:11482:13113:-1 gene:DRNTG_14213 transcript:DRNTG_14213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVSKAYLWLETFHLDQRTHFVDLQVLRDIQRGDEVANEIDEMLAVGSSRRLLTISEPAYRTLTFEVLSSFGFHLMHGRFETMEAIRFRAFGHPFSMSVTEFSIRMGLYDVVYTGTLEYGRLPVDFPVSVTPHHVYRILCGHGEYEPGISKATSLSRLSYRICSFLYSMAGNVPIHLGCILVDILRYQGQSARVGLLFAGPYITMLILGMGLGDALRVAERTFVPTPLVSETIRMMGLVRRCRPGVYIIATSTPETARSGGDTAEGSQQIPRSLAAQGPRAFDHIERLESDVRLLNGRPLVPPPPPPASPSPLPPAPFDLAPAAVAAVEPEDADT >DRNTG_32685.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1735730:1737307:1 gene:DRNTG_32685 transcript:DRNTG_32685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIISKIRKFLRKCFGPKITPKVDSLMEDYRKFSTPAKNVLSSFIEQLRENQSTSADLLEEAVNRFRNDEPNTSVLMSLQKFKAKCNTYLHENNLNSSCEREDSCNTYTDKFSGVKNILDEDLKMVERWNTVAQWVFFVISLGLQITSSVLSYVGNHPLVAAVIGPVVCKGIDSLESKINNLCFEKRKNSLKNKIDNVDLLMIAIDKHRRIKDRMLQASRDIEKINGFKARVHKLVDVYISNFADHGNDEEAAKLAAMDRIETENRCLQISLQDLLRNVERCLEDLSTATENIQRLKN >DRNTG_01565.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000088.1:17973:35395:-1 gene:DRNTG_01565 transcript:DRNTG_01565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPSHQTSLLLNNPPLGRTNPRLGESSGPRKEPKDTSSSTAGGGGEAHGGSCGARIGDGDAGGQSCGRD >DRNTG_27289.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22534449:22536769:-1 gene:DRNTG_27289 transcript:DRNTG_27289.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASLLFSPSLPVQSPRVSRNPNNGFPSLLSSKTPHGTRLRARTLAIVSALVEPSSSKPLDDDSPLLPILQELSESFVLPPVFLAKLPGDLRLDLNDAAFDLANGPVIDECGREVGEMLLNLSKAWEQADTSTSNSIARQLPSLEGYLSGNAKSAFGRRLVLAGRRFEAMGMYGQGELQKIAKVMIKTGNLMSRSPITKTDKKPKNESRVFKFGELQVEVTPQNAYIGAGIGLVFG >DRNTG_27289.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22534449:22536769:-1 gene:DRNTG_27289 transcript:DRNTG_27289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASLLFSPSLPVQSPRVSRNPNNGFPSLLSSKTPHGTRLRARTLAIVSALVEPSSSKPLDDDSPLLPILQELSESFVLPPVFLAKLPGDLRLDLNDAAFDLANGPVIDECGREVGEMLLNLSKAWEQADTSTSNSIARQLPSLEGYLSGNAKSAFGRRLVLAGRRFEAMGMYGQGELQKIAKVMIKTGNLMSRSPITKTDKKPKNESRVFKFGELQVEVTPQNAYIGAGIGLVFGVLSWQLGQGVQSIPESSMQYANDNALLLAKSLRGALLVLFFSSAILSAFASVGLVLLGRELSSKSQSKDP >DRNTG_05586.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22109426:22115082:-1 gene:DRNTG_05586 transcript:DRNTG_05586.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVFYCVLYLHPGSYHRVHSPVDWNVFVRRHFSGNLFPVNERATRTIKTLHIENERVVLEGQWREGFMALAAIGATNIGSIQLYIEPEFRTNRPKLKLLSSEPPEERVYDAEGTGLMLKKGEEVAAFNMGSTVVLIFQAPISGLAGGISSSPEFKFCVKKRR >DRNTG_05586.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22109426:22118070:-1 gene:DRNTG_05586 transcript:DRNTG_05586.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVFYCVLYLHPGSYHRVHSPVDWNVFVRRHFSGNLFPVNERATRTIKTLHIENERVVLEGQWREGFMALAAIGATNIGSIQLYIEPEFRTNRPKLKLLSSEPPEERVYDAEGTGLMLKKGEEVAAFNMGSTVVLIFQAPISGLAGGISSSPEFKFCVKKRR >DRNTG_05586.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22109426:22118070:-1 gene:DRNTG_05586 transcript:DRNTG_05586.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVFYCVLYLHPGSYHRVHSPVDWNVFVRRHFSGNLFPVNERATRTIKTLHIENERVVLEGQWREGFMALAAIGATNIGSIQLYIEPEFRTNRPKLKLLSSEPPEERVYDAEGTGLMLKKGEEVAAFNMGSTVVLIFQAPISGLAGGISSSPEFKFCVKKRR >DRNTG_05586.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22109426:22118070:-1 gene:DRNTG_05586 transcript:DRNTG_05586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVFYCVLYLHPGSYHRVHSPVDWNVFVRRHFSGNLFPVNERATRTIKTLHIENERVVLEGQWREGFMALAAIGATNIGSIQLYIEPEFRTNRPKLKLLSSEPPEERVYDAEGTGLMLKKGEEVAAFNMGSTVVLIFQAPISGLAGGISSSPEFKFCVKKRR >DRNTG_06689.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3320167:3320655:-1 gene:DRNTG_06689 transcript:DRNTG_06689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINVQNKNLSYFVEWIPNNVKSTNDLSDHFTNNLSLMFAFFLDRRQQLHLFSTPSDSSSSSKFQDQSPIEFIVMRFEEEDDEEGCKGLRRRERMTCAPTSHADEYTNETINGDLILQQCLLMVKWIIEYAKAIELQNEVIFLSISSLDRLLSKGNFTIDKNL >DRNTG_34797.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1377886:1378457:1 gene:DRNTG_34797 transcript:DRNTG_34797.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFKRWGRQILMGLEHLCSHQPPNAHRHLKSD >DRNTG_34658.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002172.1:4748:10204:1 gene:DRNTG_34658 transcript:DRNTG_34658.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPWASSALLPKRAASPTLLPLFVSPFRNPNLSCFLRFSSSTPHNAPEQHAHGDDDVPKQGTLKSGLYLVATPIGNLEDITLRALRVLKSADVILSEDTRHSGKLLQYYNIKTPLVSYHKFNESQREMMILQKLQQGQLVALISDAGTPGISDPGMELVCKLFD >DRNTG_34658.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002172.1:4748:10204:1 gene:DRNTG_34658 transcript:DRNTG_34658.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPWASSALLPKRAASPTLLPLFVSPFRNPNLSCFLRFSSSTPHNAPEQHAHGDDDVPKQGTLKSGLYLVATPIGNLEDITLRALRVLKSADVILSEDTRHSGKLLQYYNIKTPLNCRLVTTNSMNLSVK >DRNTG_34658.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002172.1:4748:10204:1 gene:DRNTG_34658 transcript:DRNTG_34658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPWASSALLPKRAASPTLLPLFVSPFRNPNLSCFLRFSSSTPHNAPEQHAHGDDDVPKQGTLKSGLYLVATPIGNLEDITLRALRVLKSADVILSEDTRHSGKLLQYYNIKTPLVSYHKFNESQREMMILQKLQQGQLVALISDAGTPGISDPGMELARLCATNNIPVVPIPGPSAVVAALSASGLSTSEFTFVGFLSKHAGSRRERLEISSNESATQIFFVPPHKLCQFLEEASSIFGEPRCCVIAREMTKIHEE >DRNTG_25482.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1647009:1650320:1 gene:DRNTG_25482 transcript:DRNTG_25482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEREKEEKERGERWKAALVNLTEMGSNVDSLQRLLVKKAVFVDDESFAKASLISEQSKTIKSLEQRVETLERELDAAIAAAARARSEKRQAEAAQRSAELRAQDITRELENTTKVFKLHMEELRSKQEEISKKESEIKVLEAIIQTLSRNGSIAGDD >DRNTG_00946.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21169002:21171011:-1 gene:DRNTG_00946 transcript:DRNTG_00946.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGEIMHFSHPHKLRCEYVEVPFKCDGCKEVGIGSRFKCSVCDYDLHKHCALPTAATVVHHPFYRKCEFQFMARPPGHLPRYCNACEKDVNGFVYHCRSCGFDLHPCCANLPYVLDAGDLKLYLYRKVGGACHKCGRKGNSWTYRSSCKKYNLHVSCVMEMLLDSWHDIYFGGGGHGSNGTMTKGFMGNNTKIPCIRGAKINHHRSKGKVKRCCELAGLALQFIISAVLGDPTAVIAGVIGSFIPRV >DRNTG_00946.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21169002:21173086:-1 gene:DRNTG_00946 transcript:DRNTG_00946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGEIMHFSHPHKLRCEYVEVPFKCDGCKEVGIGSRFKCSVCDYDLHKHCALPTAATVVHHPFYRKCEFQFMARPPGHLPRYCNACEKDVNGFVYHCRSCGFDLHPCCANLPYVLDAGDLKLYLYRKVGGACHKCGRKGNSWTYRSSCKKYNLHVSCVMEMLLDSWHDIYFGGGGHGSNGTMTKGFMGNNTKIPCIRGAKINHHRSKGKVKRCCELAGLALQFIISAVLGDPTAVIAGVIGSFIPRV >DRNTG_00946.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21169002:21170760:-1 gene:DRNTG_00946 transcript:DRNTG_00946.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGEIMHFSHPHKLRCEYVEVPFKCDGCKEVGIGSRFKCSVCDYDLHKHCALPTAATVVHHPFYRKCEFQFMARPPGHLPRYCNACEKDVNGFVYHCRSCGFDLHPCCANLPYVLDAGDLKLYLYRKVGGACHKCGRKGNSWTYRSSCKKYNLHVSCVMEMLLDSWHDIYFGGGGHGSNGTMTKGFMGNNTKIPCIRGAKINHHRSKGKVKRCCELAGLALQFIISAVLGDPTAVIAGVIGSFIPRV >DRNTG_00946.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21168929:21170760:-1 gene:DRNTG_00946 transcript:DRNTG_00946.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGEIMHFSHPHKLRCEYVEVPFKCDGCKEVGIGSRFKCSVCDYDLHKHCALPTAATVVHHPFYRKCEFQFMARPPGHLPRYCNACEKDVNGFVYHCRSCGFDLHPCCANLPYVLDAGDLKLYLYRKVGGACHKCGRKGNSWTYRSSCKKYNLHVSCVMEMLLDSWHDIYFGGGGHGSNGTMTKGFMGNNTKIPCIRGAKINHHRSKGKVKRCCELAGLALQFIISAVLGDPTAVIAGVIGSFIPRV >DRNTG_09778.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20024064:20024610:-1 gene:DRNTG_09778 transcript:DRNTG_09778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRCRSRRTSPKPWPITLETLAEALLQDGEKMEKRMLKSGLKSALNRARIGRLHGRVWYTRPCGISTRPWIIFTRPCGFSVSVIFGRLQTVIVLDQKYSRIHFSSRYHKRAHVYAVDRFASSMSDKMMEILFYVHKSECLSVTALVPLQMVV >DRNTG_02086.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10333108:10334465:1 gene:DRNTG_02086 transcript:DRNTG_02086.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPGSDAAKVAPEVVAEYTVRALLRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLKGKKPWSLSFSFGRALQQSTLKTWQGKEENVQKAQAAFLARCKANSEATLGAYKGDAAQGGLASESLHEKDYKY >DRNTG_31644.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:864394:864963:-1 gene:DRNTG_31644 transcript:DRNTG_31644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQREKEMEIKVTETCLPSAGFPSPQPAAQCSTREPSRSVAVVQAEGEKRARSEEDGLVHMAGAAVAARKVSRCCKCRRRVGLTGFRCRCGELFCGEHRYSDRHACSFDYKAAGKAAIERENPVVRAAKIVRV >DRNTG_31295.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1181348:1189009:1 gene:DRNTG_31295 transcript:DRNTG_31295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSMPVSTLGLPTAPQNMGSTLQPSPNVDQIQGNSFGSLQTASISSAQQSGVGVLQNVMTTPPHTSMNTLPPSSVNSLQTNSNLFQQQHLKLQQESQQIKQMQQQRQQLMFHQQQKQQLLQQQQQQQQQSGVQQQLLQQQKQQPNAQLPVHQMSQLHQMNEEMKMRQGAGIKPGLYQQHFQANARHAYYHPQLKTGTSFPISSPQNIQASSPQISQHSSPQVDQHAQHGLLSSLPKTGTPLQSAGSPFVVPSPSTPIAPSPITTDSEKPLSGITSLPTTSNMVHQQTTLTPTQPQSIAVGTPGISASPLLAEFTNSEANHPNISGNAAKSSATEHPLERLIKVIQSLPPKDLSSAISDIGSVVCMTDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFMSHDGNTITKKMKRHTSAMPLNAVSSVGSLDDSVKLLYNLDTSELESTATSSTKKQKVEVNFSLLEEIREINQQLIDTVVSISDEDIDSVAAATGSCEGTVVKCSFKGVALSSSLKSLFASAQVSPILPLRLLVPPCYPKTSPTVLDSLPVELSQNSDDLSAKVKSRFSASLRCLSQPMSVKEMARTWDVCARKVIAEYAQQTGGGSFSSRYGAFGKIV >DRNTG_27651.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:12012409:12042625:1 gene:DRNTG_27651 transcript:DRNTG_27651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGSTEEVPSTPGILKKMLRKMKRARRHHRKCSKAVRDVHEPKKLDEPLLGAPKPDNSPSTLKRFCSSCF >DRNTG_04088.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5451753:5456635:1 gene:DRNTG_04088 transcript:DRNTG_04088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLLSDSIVCPRLASSSRPMLAVASRCTVALSMIRPPSVVGLSSVLPRRPPSCVARAGALMTGSPVSRNGSAFTVRDFMTKKENLVVVKPTTSIDEALQMLVENRITGFPVIDDDWTLVGVVSDYDLLALDSISGNGRADTGLFPEVDSTWKTFNEIRKLLSKTYGKVIGDVMTTNPLVVHETMNLEDAARLLLQTKYRRLPVVDGAGKLVGLITRGTVVRAALHIQRGSSQT >DRNTG_12703.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000561.1:12329:16141:-1 gene:DRNTG_12703 transcript:DRNTG_12703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDSNTVQIKSGHLTHECSREHNNRHVNAEWIARTYLEQFRADPTWKISGIVQAVKTNQQVEISRNKAYRAKCFAINKQQHQSHPGEASQENHMDHIEPQVSSLGISTQYDLGTSHTSASTIDRPSSQVINPVVETHPIETQPGLEGTLWTQKLPVVGGKGCSTLKAQQHAKLNFTAAAKHNTLKPQLQEKQAKERHKEEEPAKRKRAWIP >DRNTG_33812.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2870938:2873885:1 gene:DRNTG_33812 transcript:DRNTG_33812.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g52210 [Source:Projected from Arabidopsis thaliana (AT5G52210) UniProtKB/TrEMBL;Acc:Q38921] MFSLIYGLWKYWFSKTEFHVLILGIDKSGKTTLLEKLKSLYSNLEGLPPDRIVPTVGLNIGRLEAAQSKLVFWDLGGQIGLRTIWEKYYEEAHAIIYVIDAASLSSFESSKSALEKVLRHEDLRGAPLLILANKQDLPGAVSAEELARYLDLKELDERLYMFEAISAYDGMGIKNSIEWLVEVMERSKRTETLRIRAGAAGHV >DRNTG_33812.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2870938:2873885:1 gene:DRNTG_33812 transcript:DRNTG_33812.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g52210 [Source:Projected from Arabidopsis thaliana (AT5G52210) UniProtKB/TrEMBL;Acc:Q38921] MFSLIYGLWKYWFSKTEFHVLILGIDKSGKTTLLEKLKSLYSNLEGLPPDRIVPTVGLNIGRLEAAQSKLVFWDLGGQIGLRTIWEKYYEEAHAIIYVIDAASLSSFESSKSALEKVLRHEDLRGAPLLILANKQDLPGAVSAEELARYLDLKELDERLYMFEAISAYDGMGIKNSIEWLVEVMERSKRTETLRIRAGAAGHV >DRNTG_33812.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2870938:2873885:1 gene:DRNTG_33812 transcript:DRNTG_33812.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g52210 [Source:Projected from Arabidopsis thaliana (AT5G52210) UniProtKB/TrEMBL;Acc:Q38921] MFSLIYGLWKYWFSKTEFHVLILGIDKSGKTTLLEKLKSLYSNLEGLPPDRIVPTVGLNIGRLEAAQSKLVFWDLGGQIGLRTIWEKYYEEAHAIIYVIDAASLSSFESSKSALEKVLRHEDLRGAPLLILANKQDLPGAVSAEELARYLDLKELDERLYMFEAISAYDGMGIKNSIEWLVEVMERSKRTETLRIRAGAAGHV >DRNTG_04851.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000226.1:8835:11785:1 gene:DRNTG_04851 transcript:DRNTG_04851.4 gene_biotype:protein_coding transcript_biotype:protein_coding METRRAFMKSTTLTVGSCFKILLIYFNATILGENAESSEPPINHCSFQGFDELCNNMACFRCNGLREAKSETVRGTLLIPCRTAMRGRFPLNGTYFQVNEVFADNKSSKDPIVVPRNWLWNLPRKICYFGTGISTIFRG >DRNTG_04851.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000226.1:6687:11535:1 gene:DRNTG_04851 transcript:DRNTG_04851.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIARFPPKSKENVHGPDSVKITSLVEKRILTMDEKSKCDEAIDETNEDENSLRNSVGSPISPCKNCTESSICSEIEDLIGNRSCCRNPSKGLVTVGTQPDEIFSKKKEPKSYDSILQNLKVLKVSKSHSKGIVQNKPNNNPNTTPKAKKLKTNEIASNNIDWDNLRKEAYGNLPTKERNIEHIDSADWEAVSQADVDVIADAIRLRGQHNVLAARVKNFLIRMAKDHGSIDLEWLRNVSPDKAKEYLRSIEGLGLKSVDCVRLLGLHFKAFPVDTNIARIFVRLGWIPLQPLPESAQLHAIDKYPIMGTVQEYLWPRLWTLDQPTLYELHYQLITFGKVFCTKKNPNCNACPMRNECKHFASAYASAKRLLTGPEERSSVNSIYSSISSIDSFTGSRPLAIAQLEDSQLSEKFNSISCEPVIEEPPSPQHEPEPKTEHIEQYCANYSDGCLCNSDEDDIIEFNLKDASQDFKHINEANSIEPQDDGNSNLALIVAPFVSFLMPALKSTHKFRTKHYVYELPGNHPLLEGMDEQEENDEYRYLFAIWKPGENAESSEPPINHCSFQGFDELCNNMACFRCNGLREAKSETVRGTLLIPCRTAMRGRFPLNGTYFQVNE >DRNTG_04851.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000226.1:9299:12329:1 gene:DRNTG_04851 transcript:DRNTG_04851.3 gene_biotype:protein_coding transcript_biotype:protein_coding METRRAFMKSTTLTVGSCFKILLIYFNATILGENAESSEPPINHCSFQGFDELCNNMACFRCNGLREAKSETVRGTLLIPCRTAMRGRFPLNGTYFQVNEVFADNKSSKDPIVVPRNWLWNLPRKICYFGTGISTIFRGLTMEEIQFCMGQGTVCIRGFDRETRRVELLYKRLHVPASQAAKKKMTPKKEHR >DRNTG_04851.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000226.1:11467:12329:1 gene:DRNTG_04851 transcript:DRNTG_04851.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRFPLNGTYFQVNEVFADNKSSKDPIVVPRNWLWNLPRKICYFGTGISTIFRGLTMEEIQFCMGQGTVCIRGFDRETRRVELLYKRLHVPASQAAKKKMTPKKEHR >DRNTG_04851.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000226.1:5809:7132:1 gene:DRNTG_04851 transcript:DRNTG_04851.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFLMMKLLQWFHTNDNLIETVLLLLLTQETDGYGIVLRVRNIYPILILGHLVMIPRDGGKTKEESSKGVQNHSWPRYA >DRNTG_04851.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000226.1:5809:12329:1 gene:DRNTG_04851 transcript:DRNTG_04851.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRRAFMKSTTLTVGSCFKILLIYFNATILGENAESSEPPINHCSFQGFDELCNNMACFRCNGLREAKSETVRGTLLIPCRTAMRGRFPLNGTYFQVNEVFADNKSSKDPIVVPRNWLWNLPRKICYFGTGISTIFRGLTMEEIQFCMGQGTVCIRGFDRETRRVELLYKRLHVPASQAAKKKMTPKKEHR >DRNTG_04851.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000226.1:5809:6389:1 gene:DRNTG_04851 transcript:DRNTG_04851.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFLMMKLLQWFHTNDNLIETVLLLLLTQETDGYGIVLRVRNIYPILILGHLVMIPRDGGKTKEESSKGVQNHSWPRYA >DRNTG_12504.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29947211:29948920:1 gene:DRNTG_12504 transcript:DRNTG_12504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGTSPGSPICDGTKSTTSPHTSPSSSTSNSASPSRYESQKRRDWNTFGQYLKNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTQMCPFFGHPNPPAPCPCPLKQAWGSLDALIGRLRAAYEENGGKPDSNPFGARAVRLYLREVREMQAKARGISYEKKKRKKPQLLPSHPSSSST >DRNTG_27385.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1266026:1270296:1 gene:DRNTG_27385 transcript:DRNTG_27385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLLNECRKWTALGKFKAEILQLVSLPKLNGVVHFGPFNCNLQRRWKKPVDSAQTRLENRTRDLRLDKLRSQLKKLSLVLKVQELILKQRGQYISVQLLSKWKNIIGINIGIGVFLRKYPHIFELYTHPVKRNLCCKVSQKMLDLIEEEIGIIKESEVETIQRLKKLLLMSTSGALHIHALWLIRRQLGLPDDFRKSVLPRYPVDFNIVFPDIITLASKDDCLVEATVENWREKEYREKWLSEFETNFAFPIQFPTGFKIEKGFREKLKNWQRLPYLKPYEKKDVIRPRTCGGAERFEKRTVGILHELLSLTVEKMIEVEQLAHFRKDFNMEINMRELLLKHPGIFYISTKGNTLTVFLREAYSKGCLVEPNPIYTVRRKMLDLVLLGCRNTDQMQLFQELEDGCNEKINHELASGSCDGDWVIPILESCDERQYNRSVGNITDLSEDAEY >DRNTG_03677.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3993183:3994042:-1 gene:DRNTG_03677 transcript:DRNTG_03677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWIPTLARVKYPSLASQLWPSTQSSRASVSLAKTCHFREPWQELGLRHGHQVVTWIDLDPLIMFQRPLDVDILMTGATLINSRPSSMKEV >DRNTG_27678.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19526306:19529152:1 gene:DRNTG_27678 transcript:DRNTG_27678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTIMDNNREKRLSLHGSTALVTGGSKGIGHAIVEELATLGATVHTCARNEAELNQCLQKWQERQLPITASVCDVSSKDEREKLMETVSAMFHGKLNILVNNVGSGPIKPAMDHTAEDYSVIMSTNFESAFHLSQLAHPLFKASGSGNIIFISSIAGLVGGGQCSLYAASKGAMNQLTKNLACEWAKDNIRCNCITPGPIKTPLAQWLLENKELLDKVVSRIPLGRIGEPEEVASLTAFLCFPVASYITGQVISVDGGSSINVLARMK >DRNTG_19067.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000978.1:68308:72535:-1 gene:DRNTG_19067 transcript:DRNTG_19067.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDHFMDKKFTSRFEKLKDATKHLLHENKDIIHQLKFIDTLRQLGVAYHFEKEIKEAIGTINSSVNINFIKNDLFATSLFFRLVREYGYKVSEGVFDRFKSENGNFQLSLCNDIEGMLSLYEASHLVKEGEDTLEEASVFTIKHLKTIIKEQDIDPILKERVQHALEMPMHWRMPRLHTHWFIGMCEKEDKMNINLLEFAKLDFNMVQSTYKRELKQCSRGLNCAKLTLWRQNGRTMIIHQK >DRNTG_19067.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000978.1:67347:72535:-1 gene:DRNTG_19067 transcript:DRNTG_19067.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDHFMDKKFTSRFEKLKDATKHLLHENKDIIHQLKFIDTLRQLGVAYHFEKEIKEAIGTINSSVNINFIKNDLFATSLFFRLVREYGYKVSEGVFDRFKSENGNFQLSLCNDIEGMLSLYEASHLVKEGEDTLEEASVFTIKHLKTIIKEQDIDPILKERVQHALEMPMHWRMPRLHTHWFIGMCEKEDKMNINLLEFAKLDFNMVQSTYKRELKQCSRWWADLGLVDKDLSFSRDRLVENYLYAMGFASEPKFSFYRMILTQVHCLITTIDDIFDVYGTLDELELFTAAVDRWDVNDIDHLPKYMKICFLGLFNSTNETAYEVLKMKNVNCIPYLKKSWVELCKAYIVEAKWAHNDYTPKIKEYLKNAWISIGAAPAIVYSFFCASETISNEALENLENYPTIMRQSFLILRLFNDLGTSIEEVKRGDVKKFIQCYMHENGVSEMIAREYLQDLIRETWKELNTSHFTINSPYEGSFTNLAIDIARMSHYFFDYGDGFGKPNHENKDRFFSLMVEPISLS >DRNTG_19067.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000978.1:68308:72535:-1 gene:DRNTG_19067 transcript:DRNTG_19067.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDHFMDKKFTSRFEKLKDATKHLLHENKDIIHQLKFIDTLRQLGVAYHFEKEIKEAIGTINSSVNINFIKNDLFATSLFFRLVREYGYKVSEGVFDRFKSENGNFQLSLCNDIEGMLSLYEASHLVKEGEDTLEEASVFTIKHLKTIIKEQDIDPILKERVQHALEMPMHWRMPRLHTHWFIGMCEKEDKMNINLLEFAKLDFNMVQSTYKRELKQCSRWWADLGLVDKDLSFSRDRLVENYLYAMGFASEPKFSFYRMILTQVHCLITTIDDIFDVYGTLDELELFTAAVDRWDVNDIDHLPKYMKICFLGLFNSTNETAYEVLKMKNVNCIPYLKKSWVELCKAYIVEAKWAHNDYTPKIKEYLKNAWISIGAAPAIVYSFFCASETISNEALENLENYPTIMRQSFLILRLFNDLGTSIVSVQNFLTCTLYLHITLFLSWSIVELVV >DRNTG_19067.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000978.1:68308:72535:-1 gene:DRNTG_19067 transcript:DRNTG_19067.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDHFMDKKFTSRFEKLKDATKHLLHENKDIIHQLKFIDTLRQLGVAYHFEKEIKEAIGTINSSVNINFIKNDLFATSLFFRLVREYGYKVSEGVFDRFKSENGNFQLSLCNDIEGMLSLYEASHLVKEGEDTLEEASVFTIKHLKTIIKEQDIDPILKERVQHALEMPMHWRMPRLHTHWFIGMCEKEDKMNINLLEFAKLDFNMVQSTYKRELKQCSRWWADLGLVDKDLSFSRDRLVENYLYAMGFASEPKFSFYRMILTQVHCLITTIDDIFDVYGTLDELELFTAAVDRWDVNDIDHLPKYMKICFLGLFNSTNETAYEVLKMKNVNCIPYLKKSVLLSTYHQYNILNVYFY >DRNTG_19067.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000978.1:67347:67993:-1 gene:DRNTG_19067 transcript:DRNTG_19067.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHENGVSEMIAREYLQDLIRETWKELNTSHFTINSPYEGSFTNLAIDIARMSHYFFDYGDGFGKPNHENKDRFFSLMVEPISLS >DRNTG_19067.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000978.1:68308:72535:-1 gene:DRNTG_19067 transcript:DRNTG_19067.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLLFLWIGVFDRFKSENGNFQLSLCNDIEGMLSLYEASHLVKEGEDTLEEASVFTIKHLKTIIKEQDIDPILKERVQHALEMPMHWRMPRLHTHWFIGMCEKEDKMNINLLEFAKLDFNMVQSTYKRELKQCSRWWADLGLVDKDLSFSRDRLVENYLYAMGFASEPKFSFYRMILTQVHCLITTIDDIFDVYGTLDELELFTAAVDR >DRNTG_19067.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000978.1:68308:72535:-1 gene:DRNTG_19067 transcript:DRNTG_19067.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDHFMDKKFTSRFEKLKDATKHLLHENKDIIHQLKFIDTLRQLGVAYHFEKEIKEAIGTINSSVNINFIKNDLFATSLFFRLVREYGYKVSEGVFDRFKSENGNFQLSLCNDIEGMLSLYEASHLVKEGEDTLEEASVFTIKHLKTIIKEQDIDPILKERVQHALEMPMHWRMPRLHTHWFIGMCEKEDKMNINLLEFAKLDFNMVQSTYKRELKQCSRWWADLGLVDKDLSFSRDRLVENYLYAMGFASEPKFSFYRMILTQVHCLITTIDDIFDVYGTLDELELFTAAVDR >DRNTG_25242.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27544112:27547117:1 gene:DRNTG_25242 transcript:DRNTG_25242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFRKARRFFRKIGMGKDDLYFWKQMGKAALCTYTLLGLCWLWNETSPLGWWTLKPRPKEEKEMAHLYERIKFPYPGDKEAMEEFIKSGGSIGTTVGPKGNIESGKDPDNFQKQLQSQKFEQEAQKLWFRMRNEVISELQEKGFDVE >DRNTG_31821.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3287293:3290180:1 gene:DRNTG_31821 transcript:DRNTG_31821.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSGREGELDPCPICLNPLVQEAYLDRCFHVFCYPCIVYWTRLVTEKQSLSSIKCPLCKAENFSIIHSYTGDSFQQNYVNKDSKKSSLLDSHEFRLKFYGASSRMRNGPFDVQRYWKNHRYLLKNTWLETWLRREIQALSQ >DRNTG_31821.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3287293:3288895:1 gene:DRNTG_31821 transcript:DRNTG_31821.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSGREGELDPCPICLNPLVQEAYLDRCFHVFCYPCIVYWTRLVTEKQSLSSIKCPLCK >DRNTG_31821.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3287293:3291504:1 gene:DRNTG_31821 transcript:DRNTG_31821.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSGREGELDPCPICLNPLVQEAYLDRCFHVFCYPCIVYWTRLVTEKQSLSSIKCPLCKAENFSIIHSYTGDSFQQNYVNKDSKKSSLLDSHEFRLKFYGASSRMRNGPFDVQRYWKNHRYLLKNTWLETWLRREIQALSQVEDVDIVMHHIHGVVDSFIKRYF >DRNTG_31821.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3287293:3293429:1 gene:DRNTG_31821 transcript:DRNTG_31821.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSGREGELDPCPICLNPLVQEAYLDRCFHVFCYPCIVYWTRLVTEKQSLSSIKCPLCKAENFSIIHSYTGDSFQQNYVNKDSKKSSLLDSHEFRLKFYGASIGRMRNGPFDVQRYWKNHRYLLKNTWLETWLRREIQALSQVEDVDIVMHHIHGVVDSFIKSKEKEGRKCTPEQNRNAFRHLLLDAGR >DRNTG_31821.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3287293:3293429:1 gene:DRNTG_31821 transcript:DRNTG_31821.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSGREGELDPCPICLNPLVQEAYLDRCFHVFCYPCIVYWTRLVTEKQSLSSIKCPLCKAENFSIIHSYTGDSFQQNYVNKDSKKSSLLDSHEFRLKFYGASSRMRNGPFDVQRYWKNHRYLLKNTWLETWLRREIQALSQVEDVDIVMHHIHGVVDSFIKSKEKEGRKCTPEQNRNAFRHLLLDAGR >DRNTG_17219.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2757510:2759571:1 gene:DRNTG_17219 transcript:DRNTG_17219.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVETHLPLLIDLQLLEPRFIFDTLTVRRMELLLMTALCWRLRAITPFDFLDFASSSALSSDARRFAGELIVNTSRVVDFLGFPPSIIAAAAIVCAQRRADPSAVHDDDLLGFLGDSVCKETVMGCCQLMHQYLIDTCPSSFGGKPCSEPIAPSSPSGVLDAATYGACSSDKFSSSASLAEPAQKRRRIEHR >DRNTG_17219.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2757510:2759571:1 gene:DRNTG_17219 transcript:DRNTG_17219.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLCQWTSSTSPPPPPQQPTFTARKTPATCLPHRHHPLHHLNLSFSPQTTPLQSFLSYPLSTPSSLPFLTFSPSTPLSSTTPSPTSSRHSFPKHPPFCLFPSLHPSSSFPNLPSLFSSQVSDLLHFRPVTPYLAINYLHRFLSSHSLPDAQGESGNGGGWALQLLTVACLSVAMKMVETHLPLLIDLQLLEPRFIFDTLTVRRMELLLMTALCWRLRAITPFDFLDFASSSALSSDARRFAGELIVNTSRVVDFLGFPPSIIAAAAIVCAQRRADPSAVHDDDLLGFLGDSVCKETVMGCCQLMHQYLIDTCPSSFGGKPCSEPIAPSSPSGVLDAATYGACSSDKFSSSASLAEPAQKRRRIEHR >DRNTG_17219.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2758597:2759571:1 gene:DRNTG_17219 transcript:DRNTG_17219.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCQLMHQYLIDTCPSSFGGKPCSEPIAPSSPSGVLDAATYGACSSDKFSSSASLAEPAQKRRRIEHR >DRNTG_17219.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2757510:2759571:1 gene:DRNTG_17219 transcript:DRNTG_17219.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDLLNLTTTTTTTTDLYCSEDAGDLSSSPAPSSPPPQPILLSPDNTTPILSLLSSEHSFLPSLPHLLSLNPTLLHHSISYILKVSDLLHFRPVTPYLAINYLHRFLSSHSLPGESGNGGGWALQLLTVACLSVAMKMVETHLPLLIDLQLLEPRFIFDTLTVRRMELLLMTALCWRLRAITPFDFLDFASSSALSSDARRFAGELIVNTSRVVDFLGFPPSIIAAAAIVCAQRRADPSAVHDDDLLGFLGDSVCKETVMGCCQLMHQYLIDTCPSSFGGKPCSEPIAPSSPSGVLDAATYGACSSDKFSSSASLAEPAQKRRRIEHR >DRNTG_17219.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2757510:2759571:1 gene:DRNTG_17219 transcript:DRNTG_17219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDLLNLTTTTTTTTDLYCSEDAGDLSSSPAPSSPPPQPILLSPDNTTPILSLLSSEHSFLPSLPHLLSLNPTLLHHSISYILKVSDLLHFRPVTPYLAINYLHRFLSSHSLPDAQGESGNGGGWALQLLTVACLSVAMKMVETHLPLLIDLQLLEPRFIFDTLTVRRMELLLMTALCWRLRAITPFDFLDFASSSALSSDARRFAGELIVNTSRVVDFLGFPPSIIAAAAIVCAQRRADPSAVHDDDLLGFLGDSVCKETVMGCCQLMHQYLIDTCPSSFGGKPCSEPIAPSSPSGVLDAATYGACSSDKFSSSASLAEPAQKRRRIEHR >DRNTG_17219.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2757510:2759571:1 gene:DRNTG_17219 transcript:DRNTG_17219.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVETHLPLLIDLQLLEPRFIFDTLTVRRMELLLMTALCWRLRAITPFDFLDFASSSALSSDARRFAGELIVNTSRVVDFLGFPPSIIAAAAIVCAQRRADPSAVHDDDLLGFLGDSVCKETVMGCCQLMHQYLIDTCPSSFGGKPCSEPIAPSSPSGVLDAATYGACSSDKFSSSASLAEPAQKRRRIEHR >DRNTG_06018.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16071572:16076429:1 gene:DRNTG_06018 transcript:DRNTG_06018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAEPGLVLSFSQESAYVGVDHHHLHLHLNAEELHRRFLSDLSTVAAPAPYMGNLGQATVSEYDLGGEGDLFKAPEPIIEDQVLSLDPLTAAISIIAGNEDVITTETIESAEMHSMQQGDLLSDVFYGCKDFLAKAAIENFVSEVPNFAMPSLPSEKTQVFGGDGAPQEIPLQKSVSSGSLISSGERINSCHIRPNFLDFQELDLGVALRRAYSEGDIQTLGNKRLSSGATSIVHYSLTIGDANLNDKIEERRQKISRYRKKKSKRNFGRKIKYACRKALADSQPRVRGRFAKTDECDAPKPHK >DRNTG_06018.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16073309:16076429:1 gene:DRNTG_06018 transcript:DRNTG_06018.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSMQQGDLLSDVFYGCKDFLAKAAIENFVSEVPNFAMPSLPSEKTQVFGGDGAPQEIPLQKSVSSGSLISSGERINSCHIRPNFLDFQELDLGVALRRAYSEGDIQTLGNKRLSSGATSIVHYSLTIGDANLNDKIEERRQKISRYRKKKSKRNFGRKIKYACRKALADSQPRVRGRFAKTDECDAPKPHK >DRNTG_18564.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000961.1:1885:2294:1 gene:DRNTG_18564 transcript:DRNTG_18564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSEHESLQFSPLLPCGVRGSASEKSTDEPHAGKLARVVLAGDPAETNRAPSDLPEAEAESVAGYNVEYARDAILNSSLLAEANVPGSRGLILT >DRNTG_21097.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23296649:23297652:-1 gene:DRNTG_21097 transcript:DRNTG_21097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSSSAAAGDEVHGGSIGAGIGNGGARDEEGFLGHRTKDEPTSRSKICCNVSKRAMNSDNRAAHAAPISATLAGPSTTSVLTEARGQDEKPRHHHPIPRLSLGLVEPEHKPPSQPYGAYPSISSSRGLEEQTIVMSKCSELNYIEVVEFRIGFVELE >DRNTG_23091.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19853724:19857262:-1 gene:DRNTG_23091 transcript:DRNTG_23091.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine gamma-lyase [Source:Projected from Arabidopsis thaliana (AT1G64660) UniProtKB/Swiss-Prot;Acc:Q9SGU9] MAETMHSLSFSNPNPNPNPKKRSSADDDGAAAENHRSLPKKPSPTSWGSDPAGNLANARHEFGEHGGVNMSIEASATFTVMEPETMRRMFTGELGPDRDFFIYSRHFNPTVLNLGRQMAALESTEAAYCTSSGMSAISSALLQLCPAGSHIVASRCLYGGTHALLSHFLPRSCSIRTTFVDISNIDEVRDAIRVGDTKVLYVESVSNPTLTVADVPTLSAVAHEKGVLLVVDNTFTPMVLSPAKLGADVVLHSVSKFISGGADIIAGAICGPAWLVNSMMDLHNGALMLLGPTMNAKVAFEISERLPHLSIRMKEHCHRAMEFATRMKRLGLRVIYPGLDDHPHHSLLKSIANKGYGFGGMLCLDMGTEERANRLMHHLQNTAQFGLIAVSLGYYETLMSCSGSSTSSEMSDEEKLLAGISPGLVRMSVGYSGTLEQRWAQFEKALAIVQQQDGNLAHANNAFSSYGKN >DRNTG_33634.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1918238:1918695:1 gene:DRNTG_33634 transcript:DRNTG_33634.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGMKKSFAAGPFLRGSTLMKPTASQLAKQNKPQEVKNSSESFLRHQKLLVGKSEKSSEDPFGNVYQAAKRQKLEGGHLFK >DRNTG_33634.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1916752:1920328:1 gene:DRNTG_33634 transcript:DRNTG_33634.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEMGEADGGAVEVDFLCVEVDLDYEFDAARFFDFCRAESDMEFREAELWFESAGSYPPSPFIATMKAWTDVAGENVNTLPKYKDEDKSNTLIIQGEFNMGVEYSELDKKDKGLGVHRHTSQSMRKGMKKSFAAGPFLRGSTLMKPTASQLAKQNKPQEVKNSSESFLRHQKLLVGKSEKSSEDPFGNVYQAAKRQKLEGGHLFKVADSKQQMDLIHKVPQKNSRLKLTIPREPELETAQRAQRVRIQVHRTKTANPTQDGMTPASSAFKARPLNRKILEAPSLPLHQKSKPQLP >DRNTG_33634.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1918238:1920328:1 gene:DRNTG_33634 transcript:DRNTG_33634.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGMKKSFAAGPFLRGSTLMKPTASQLAKQNKPQEVKNSSESFLRHQKLLVGKSEKSSEDPFGNVYQAAKRQKLEGGHLFKVADSKQQMDLIHKVPQKNSRLKLTIPREPELETAQRAQRVRIQVHRTKTANPTQDGMTPASSAFKARPLNRKILEAPSLPLHQKSKPQLP >DRNTG_08237.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000373.1:51106:55876:1 gene:DRNTG_08237 transcript:DRNTG_08237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKDWNWDFTRPYEQPCGFFTQACGISTRPCGFSVFLFSRPVCEDMLVEILFYMHKSECLNVTAIVPLQIVVLTRIRGVTFPRSPKIDFLEIPTTAYSYGLERLWQGFWSGSMAFNTAFHQKIVIGGAFIDIDYARRGKEPVQEQDHYTEIEGEGSENMAEQEGQRRTL >DRNTG_09981.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22120955:22121247:1 gene:DRNTG_09981 transcript:DRNTG_09981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSWLSTDSDSHLQPNTDASQKIKRSLNFLTERVSHQADNSAPDIAHE >DRNTG_04095.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5373367:5376310:1 gene:DRNTG_04095 transcript:DRNTG_04095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHRPLRHSVNPPPPIPIPIPSRFHPSNPSPFPPFSPLSISTPSKRRISVSTSSFSSLLLLLFSLRSLYSLLPFLRSSPSSFSLFPFSFLVSLLSLVLSLPFSLPLSISSLRPHLRSLAARSSLLTLVFLFRFQALRYCGTSATILADFSGSLVAQSLSYSHSHRRRDARKLIGYASLISALFFLSRSWDRTGCAPLSSSPPPPDGCSRVAPLLLPFLSGFLGFHERASSNWASLRQLGRKRSRLASLALTNVFLFVPALISFLREGGEEMAAVSFGSLGWPLINTVIFGVVIGDHYGDDKVVTSGKDLRRTFTVTFVCTLVLELFYFPKLSMPGFLFCGFLMWLSVQELVACLPNFVEIGSSDPSESLYSMIMNPLRHIMTERKSRKIALFLLINTAYMVVEFVAGFMSNSLGLISDACHMLFDCAALAIGLYASYISRLPANHHYSYGRGRFEVLSGYVNAVLLVLVGALIVLESFERILDPQEISTNSLLTVSIGGLLVNVVGLVFFHEEHHHAHGGSGACSHSHHSHHPHHSHDHHDHHHHNHHHSHDHHDHHYGIVMQDLDAKIEQHQHNVHSHDSSSGNLHTVHVNHCCNHNNHHNDDHHHLHTDVKNGNACKSSHEHQNQDHSSHGHFPDHTNNGCHVEKESCSHKETVHSSSEHQNNHSSSSKQQHHHIDHNMEGIFLHVLADTMGSLGVVISTLLIKYKGWFAADPACSIFISIMIVSSVVPLLKNSAETLLQRVPRANEQDLKVALHNLMKVDSVCGFRNLHVWNFTNTEPVATVHLHLSAEGDKTRVKKRSMNLFHEAGIRDVTVQVEYVNT >DRNTG_02854.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20820631:20821923:1 gene:DRNTG_02854 transcript:DRNTG_02854.2 gene_biotype:protein_coding transcript_biotype:protein_coding ALDAITPHRSLFPWKRFHHLASFKREGERKDEASIYQGEKHHPKPPYLRENQKGNERKSMMLKR >DRNTG_02854.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20819885:20821923:1 gene:DRNTG_02854 transcript:DRNTG_02854.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALDAITPHRSLFPWKRFHHLASFKREGERKDEASIYQGEKHHPKPPYLRENQKGNERKSMMLKR >DRNTG_20068.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1496087:1502709:-1 gene:DRNTG_20068 transcript:DRNTG_20068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSSSSSSSSSSSSPSSSITQRRSANFEPTIWSNDYLQSLRDDHFMDEKFKSRIEKLKESVKHLLYETKDIIHQLKLIDTLSQLGVAHHFVREIKDAFGTIYSVMNININILKDDLFSTSLLFRLLREHGFKVSQGVFDGFKDENGNFQLSLCDDIKGMLSLYEASYMAMEGEDALEKARVFTTKHLEGIIEKDDIDPILKEHIEHALELPMHWRMPRLHTHWFIGIHEKEDNMNPVLLEFAKLDFNILQSTHRKEIKQCSRWWSTLNLLDDDLSFSRDRLVENYLFAMGWVSKAKFSFYRETLTQVNCLITVIDDIYDTYGSIQELELFTSAVDRWDVNDIDYLPKFMKICFLGLFNTTNDTAYKVLKMRNVNCIPYLKKSWLELCKAYLMEANWAHSDYKPTLKEYLDNGWISIGAFPIFFYSCFCINEAISKEALEILENFLIIMRQPFVIARLCNDLGTSTEEVNRGDVNKSIQCYMREKGVPETIAREHIQDLIRETWKELNTKIFTMSSLFDVSLNNLAMNIARTGHFMYDHGDGFGIPQHKTKDRVISLFIKPIPFDKM >DRNTG_07672.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23316872:23318737:1 gene:DRNTG_07672 transcript:DRNTG_07672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGGGDGGGKAGSSSWVVEIQNKIQQVDLKAEENLWSKQSIYRVPSCIKELNPKAYKPQVVSFGPHHHGEPQVVPMEEHKQRALMHFLNRTTDKSIHYFLGELEKVEDELRASYKALDQRWDNKDVFLQMMLVDGCFMLEILHMATAGRADKIGDHYCKSDPIFSIHGMLYTLPYIKRDMLMLENQLPLLVLETLDVAPLSSGTSRAKEITKLVLKFFDSSSDHQVQVMTAVGLHPLNLYRQSLLGGEAARSPPTKGQEDSSGMVRSAMELNEAGVRFKKSKTTSLHDISFHNGVLSLPVIVVDDSTEFMFLNLMAFERLHTNAGNEVTAYVFFMDNIIDSAKDVSLLHSKGIIQNALGSDKAVAKLFNTLSKDVVLDPESSLDDVHRSVHNYCSKSWNMWRANLIHTYFRSPWAFLSLAAAIFLIILTVGQTFYSIYQYYKPNTGESSASSSSPPTLFAPPPALSPQ >DRNTG_31937.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19476497:19497503:1 gene:DRNTG_31937 transcript:DRNTG_31937.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGSRRTARSRGRPAGVDSDRDEAVRNQKPIRVIGKDRSRDHGPIRLMNVSVTKLLVLGVGFLAFLFLASLYYTYSLASEEDEVARMARTSVTPLDAPKMMDLPQFQGEHRESLYWGTYRPQVYLGIRARTPQSLIAGLMWIGIKNGQYFLRHACDDSDGLNTFGWIDHNGRDYGHQVLVDHDISLTTSFLKDRQNGSGYGGDWAVRVDVKNNDSDEAKQNLVHLFFYMADEEGNSISTGKDIDNFDGATLLTFGSRKDVGRWELHLESKDVFEIHYSGFKTPHMHNLTELVQGALAFHARTTGRLLLPDVYDDSSNVVVFQITAKLPSKLDIAFISGTGLRSSRVEERINQLRGTKLTSRLDEKQKDFEERFHNIFNLKDKVDSETIAVGRAAIGNLLGGIGYFYGQSKIALPNGFSLKNGDKFISYWPAALYTAVPSRSYFPRGFLWDEGFHQLLVGRWDISICMDIIGHWLDLINVNGWIPREQILGAEALSKVPEEFVAQHPSNGNPPMLFLALRDLVNGLKENKFSAKEANEVSAFLDRAYIRLGKWFQWFNTTQSGKALNTFYWHGRDNTTNKELNPKTLSSGLDDYPRASHPSDDERHLDLRCWMFLAANCMHSIAELLGTNSGLEKEYENMAKELADFETLNQMHLDDISGAYFDFGNHTEKVRLRWRDVVVEHHVKRELIREASEKPQLTLVPQIGIVGLFPFVMGIIPPTSSILGKQMDIISNRTILWTDYGLRSLSRTSSMYMKRNTEHDPPYWRGPIWINMNFMILSALHHYSQENGPYKVRAKAIYDELRSNLIRNVVRNYYETGFLWEHYDQANKGKGKGTRPFTGWTSLVLLIMAETYPSL >DRNTG_07826.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10084059:10084637:-1 gene:DRNTG_07826 transcript:DRNTG_07826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQYYGAKAGLNVWAPRVASSSKFSLSQIWVISGSFGDDLNTIETGWQV >DRNTG_12923.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1465525:1466512:1 gene:DRNTG_12923 transcript:DRNTG_12923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQKLTQTSIFLTGHDVFALKSKINKPKTTTFEVITALLWRAWACFLALDCETRLVFPIDTRRSHTPVLPVGYYGVALITPCTIIHAKQLVSQPLSFAVGLISELKSKVQDHKEYRSSAIDFIEMNGRSRFCNKVAFAVSDLSKLRFDKVDMGWGQCLYGGFARAGVGDVPGLMVAPLVRYKERRMGWRVCLPLSHCLLRLWMCFKRRCVVRLIALMPSHPLSRFGYKY >DRNTG_09275.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21609020:21611386:-1 gene:DRNTG_09275 transcript:DRNTG_09275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIAAFDNAMDIGGGVMMAMMLKALIELDVLEVMAAAGPGVLLSPEEIASKIQTSNPDAPKVLDRMFRFLAANKVMTCEEVTVVGEEDGKSKRRYGLGPGCKLFTKDEDGISIAPFLFMQLSNSWIDTWTNMKHAVSDGCVPFVKTHGMTWYEYVDKNPHIAEMFNKAMFNQTTMLMKKILENYNGFESINALVDVGGGHGGILSLIISKYPHIKAINFDLPHTVSEAKPIQGVEFVGGDMFESVPSGDAMVLKGVLHNWSDADCVKILKNCWKALPDNGKIIIIEHVIPKNIDEAKSAIQFDIIKLIFYSKGKERTEDEYQFLAKESGFSGFKIVCNIYRSLIMEFYKSM >DRNTG_32802.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001920.1:77:5226:1 gene:DRNTG_32802 transcript:DRNTG_32802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMQSKIEESQWQAVLDNEIWDIPRATDKIRPELWLSYVNLPSEVKKCFAFCGIFPKDSLIEVDMLVQFWIAYGFIPSQTGIDIEVEGHEIFSELISRSLLQDVNIHAAGTHLYISVNNRYEWPGVRLCKMHDLIHDLAQFVTGDGCSTLPKKNEFKKISKRARHFILKDDDAEYYMGDRPINGRTALSVQRGFIGLSKLKLLRVLELGYGANVDELSTSIQYLQHLRYLNLSSTKIRELPESICMLVNLQTLNLNGCDLLTKLPMSIVYMNSLRHLHLSDCPKLKIMPSGLSRLRCLKTLTKYIVSEKAGNKIGELKHWNLDGELGLYDLHKVKNVDEAKEANMSSRQRINSLSLSWGASVENAEQVLEALKPHAALKVLSLLDYPGTQFSTWIRDGHQLQNLVKIFLHNCQGCEQLPPLEQLPCLEELTIRRMDGIKYIINNTTGDALSLFPALRTLHLYEMANLEGWCVEEDRETAPPMFPCLAELVIIRCPKLTTMPPQIPTLKGLFITESYCRTQIALVSKEKGVFKHLNSLKQLSLTRCEELALLLEDKEETRPLSSSIRDLCINDCSQFSLSVALRNLTSLERLWMYHFEELVSWPDELLRDSESLRCSIFMFLQEFDRCIITRRLWSTVSRAS >DRNTG_03014.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000136.1:6400:9483:-1 gene:DRNTG_03014 transcript:DRNTG_03014.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytanoyl-CoA dioxygenase [Source:Projected from Arabidopsis thaliana (AT2G01490) UniProtKB/Swiss-Prot;Acc:Q9ZVF6] MGILGNLSVNQLDSFNSEGFLVLDSFASPEEIQEMRSQMDDLLHQFDGSSSSIFSTRNQQQSTDDYFFESAEKISFFFEEKAFGDDGLLKQPKELSINKVGHALHEIDPVFKKFSHSDKISGLLLSLGYKRPVIIQSMYIFKQPGIGGEVVPHQDNSFLRTEPPSCTGLWLALEDATIVNGCLWAIPGSHKNGLVRKFIRDKDGVHFDHPSPSYDIKEFVPLEVKAGSFVVIHGDLVHQSFENNSPSSRHAFSLHAVDTDRCSWIKDNWIQRKVDPEPLYVS >DRNTG_30176.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18578698:18589145:1 gene:DRNTG_30176 transcript:DRNTG_30176.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVGEVNERTLLQPFREYAFAELREATHGFARRYMIKKGGSELPNLTFRGRLEGGQQIVVKRISKYAWPDEEQFMEAAIKAGGLRHRRLARLIGYCCQGDARILVAEFMPNGTLAKRLFNGTKNKTMEWSLRLRVACYIAEALEYCFDEQALYFDLNLNKVLFDKVDNPCLSSFGLVKNHRNGKYYSTNIAYTPPECLMGNALPLLEIYCSCFGILLRDLLSGNKISQSQAIDVLLGKKFPIVLDPRLNGEYSAEEATALVDVAEECMQYRPNDRFNIYELIVALAQVQNNAAGPSNGMPRTEGQDKIHSALINSDARRPDVVEEVGGRVEGGELKDMVTGIAEGGGEVKAGAVKGSMESLEQGVEEREREEDRKERRRVASWRDSAGRR >DRNTG_06887.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14148106:14150733:1 gene:DRNTG_06887 transcript:DRNTG_06887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKDMVSWNTMITGYAQEGQIDEALRTFQEMGDKNTVSWNSIISALTQNGFYVEALQYIMWMRREGKKPDWSTFACALSACAHLAAYQAGKQLHPILLKSGYVDNLFAGNALISMYARCGRISRAKQVFDELEAVDLVSWNSLIAGYALSGNGTEAISLLRTMERSGIKPDEVTFVGVLSACSHSGLINEGLDLFKSMSKDYAVKPAAEHFACIVDLLGRAGRLEEAYELVVGMQVEENAGVWGALLGACRIYRNAGLANIAAEKLCEFEPCKSSNYVLLSNIHADAGRWDEVERMRVLMKERGVKKQAGCSWVEIKNKFCEFFSDDPKQPGTAEICLVLETLTAQMRNTGPVLGLSALDCG >DRNTG_06887.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14148106:14149785:1 gene:DRNTG_06887 transcript:DRNTG_06887.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKDMVSWNTMITGYAQEGQIDEALRTFQEMGDKNTVSWNSIISALTQNGFYVEALQYIMWMRREGKKPDWSTFACALSACAHLAAYQAGKQLHPILLKSGYVDNLFAGNALISMYARCGRISRAKQVFDELEAVDLVSWNSLIAGYALSGNGTEAISLLRTMERSGIKPDEVTFVGVLSACSHSGLINEGLDLFKSMSKDYAVKPAAEHFACIVDLLGRAGRLEEAYELVVGMQVEENAGVWGALLGACRIYRNAGLANIAAEKLCEFEPCKSSNYVLLSNIHADAGRWDEVERMRVLMKERGVKKQAGCSWVEIKNKFCEFFSDDPKQPGTAEICLVLETLTAQMRNTGPVLGLSALDCG >DRNTG_24062.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26492775:26493162:1 gene:DRNTG_24062 transcript:DRNTG_24062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASGPFLSSIGAIQVSLEVDEGVDGESTFESELVLKKEPFKGCVSTIEAVARALRILEPEEKVGVEVEETLLRVLRAMVGFQKRHLKP >DRNTG_19452.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000998.1:242850:251126:-1 gene:DRNTG_19452 transcript:DRNTG_19452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLSYTTTKDLQQVTAERDSHALLDSSSRSPLAGAHAPVRLP >DRNTG_13016.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26724016:26728028:1 gene:DRNTG_13016 transcript:DRNTG_13016.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY75 [Source:Projected from Arabidopsis thaliana (AT5G13080) UniProtKB/TrEMBL;Acc:A0A178UJF4] MVSNSQLFNQIQSTSYGEHGEELQEKKNNKKKGIEKKERSHRYAFRTRSQVDILDDGYRWRKYGQKAVKNNRFPRNYYRCTHGGCSVKKQVQRLTEDEGVVVTTYEGVHTHPIQKSSDNFEQILQQLQIYRSL >DRNTG_18590.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14531035:14532037:-1 gene:DRNTG_18590 transcript:DRNTG_18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIAVERNRRRQMNEHLKVLRSLTPPFYIKRGDQASIIGGAIEFIKELHQVLQSLEAKKRRKSISPSPTTPSPKPLLLLPPPQQMSLSLPLDSPHSIDTLKELGASCNSPVADVEAKISGSNVLLRTLSKRIPGQVIKIITVLEKLDFEILHLNISSMEDTVLYSFVIKIGLECQLSVEELALEVQQCFCQDVAY >DRNTG_19086.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:77518:80564:1 gene:DRNTG_19086 transcript:DRNTG_19086.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFNESPHYEDDLISLHRSDEETSKVYAKFNEGRDVKNPQLELGMKFPSHKSFREALRVWAIARGYSYKLQKNNKTMITTVCENHCGFKIHASRFINTPTFQIKTFKAEHSSPKKKKNLNHMVSTKYLALRYLEDIRDDPEWYAAAMQKKLAGNVELIYIFQSVIEPEKLQLR >DRNTG_19086.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:80020:80564:1 gene:DRNTG_19086 transcript:DRNTG_19086.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAINRTLVSSRQSSYNAHTASNVQKIITQNQHGPLIPPNISNNPHKETQTIGHAAQSREESLNLHKKKKAV >DRNTG_30550.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:689650:700083:-1 gene:DRNTG_30550 transcript:DRNTG_30550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFLDLEVQDGVRMAWNVFPGTKQEATSCVIPVAALYTPLKPIPTGSVLPYAPLRCRMCRSILNPFSVVDYANRNWICPFCFQRNHFPQHYYSISEDNLPAELFPQFTTVEYESAPEAAPTTPPVFLFVVDTCMIEEEIGYLKSALSQAVELLPEKSLVGLITFGTYVQVHELGFGQIPKSYVFKGSKEVTKEQLLEQMNFFVRKPMPNVGVIAGPRDGLSAESIARFLLPASECEFILNSVLEELQKDAWPVPADERASRCTSTALSVAACLLGVCVPGSGARIMAFVGGPSTEGPGSIVSKILSEPIRSHKDLDKGSAPFYNKAVKFYEGLSKQLVHQGHVLDLFACALDQVGVAELKVAVEKTGGIVVLAESFGHSVFKDSFRRIFQSNDYDLGLSFNGVFEVNCSKDIKVQGIIGPCASLEKKGPLCSDTIVGQGNTSAWKMCGLDKETSLCLVFEIARKDGPDAIGQPTNNQFYLQFLTYYQHHEGQMRLRATTLSRKWVAGPGCLQDLVSGFDQEAAAAVMARLVSLKMESEADFDPIRWLDRSLIRLCSRFGDYQKDNSSSFSLSPRFSIFPQFMFNLRRSQFVQVFNNSPDETAYFRMILNRENVANSVVMIQPSLISYSFQSGPEPALLDVTAIAADRILLLDSYFTVVLFHGASIAQWRNAGYQNQSGHEAFAHLLQAPRDDADAIMKERFPVPRLVVCDQYGSQARFLLAKLNPSVTYNSDNPPPPGGDIIFTDDVSFQVFLDHLQRLAVQ >DRNTG_10375.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15616043:15616948:-1 gene:DRNTG_10375 transcript:DRNTG_10375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVGFRSGLPITDTSPLRGPGGEIAQSQLLTPHMTAKHSRDSKRTHSESRFTDGFLDAMTEEELIKVGDEAPPKPQRPPLQTLAVSPLKRRTKDDKE >DRNTG_20183.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1418892:1419240:-1 gene:DRNTG_20183 transcript:DRNTG_20183.1 gene_biotype:protein_coding transcript_biotype:protein_coding NKQWGPLTKHLVKPNQKIDKNKHYNLPPLKRV >DRNTG_16840.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18133223:18136092:1 gene:DRNTG_16840 transcript:DRNTG_16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDPFIETRIQHQRTIGGVLFPAVLSPRPGSELDLVRFTEAVKARKPQLESLLGSSGAVLFRGFPVLGALEFNRAVEAFGYEELPYVGGAAPRTNVVGRVFTANESPPDQKIPFHHEMAQVPEFPSKLFFFCEEEPKCGGETPIVLSHYVYERMKDKFPEFVDRLEKHGLIYVRILGEGDDPSSPIGRGWQSTFLTKDKSVAEERAAKLGMKLEWMEDCVKTVMGPIPAIKFDQTRGRKIWFNSMVAAYTGWEDARNDPHKAVTFGDGAPLPSDIIEECLKILEEECVAIPWKQGDILLLDNWAVLHSRRSFEPPRRILASLCK >DRNTG_32078.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:89065:93809:1 gene:DRNTG_32078 transcript:DRNTG_32078.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLSPILLRSSIPSPSLLFSARTVSIDSRFGCFDRKLLSVRPPARSGSDPPVHRGFRASWLRGGALTSSPMAEEGSVARRFWINSLKETVYAAYTPFVVCLAAGNLEMECFRNYIAQDVHFLRAYVQAYEMAEDCADDDDAKAGISDLRKSALEELKMHNSIVEEWGVDTTKEIAPFPATTKYIDFLLATAAGKVEGGKVPVKIVTPFEKTKIAAYTVGAMTPCMSLYAFLGKKLQMFLHLNGNNHPYKKWIENYASKNFEAAAEQIEELLDKLSVTLTGEELELIQKLYQQAMRLEIEFFLAQPIAQPAVVPLTRLHDPANRLVIFSDFDLTCTVVDSSAILAEIAILTAPKADLIGLDDSNARISSTDLRNSWDSLSGQYTEEYEQCIENILPSDKASSFDYEGLRKSLEHLSNFEKQANSRVVESGVLRGMNLDDIKRAGELLSLQEGCRDFFQKIVSKQENFNADIHILSYCWCGDLIRSAFASGSLNVLNIHANEFEYNESISTGNIIRKMESPLNKVEAFKDILGNAGDKDQHLSVYIGDSVGDLLCLLEADVGIVIGSSTSLRKVGEQFGVSFVPLFSGLVAKQKHLAEGAQPVWKGLSGVLYTVSSWTEIQAFILGE >DRNTG_32078.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:89065:93954:1 gene:DRNTG_32078 transcript:DRNTG_32078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLSPILLRSSIPSPSLLFSARTVSIDSRFGCFDRKLLSVRPPARSGSDPPVHRGFRASWLRGGALTSSPMAEEGSVARRFWINSLKETVYAAYTPFVVCLAAGNLEMECFRNYIAQDVHFLRAYVQAYEMAEDCADDDDAKAGISDLRKSALEELKMHNSIVEEWGVDTTKEIAPFPATTKYIDFLLATAAGKVEGGKVPVKIVTPFEKTKIAAYTVGAMTPCMSLYAFLGKKLQMFLHLNGNNHPYKKWIENYASKNFEAAAEQIEELLDKLSVTLTGEELELIQKLYQQAMRLEIEFFLAQPIAQPAVVPLTRLHDPANRLVIFSDFDLTCTVVDSSAILAEIAILTAPKADLIGLDDSNARISSTDLRNSWDSLSGQYTEEYEQCIENILPSDKASSFDYEGLRKSLEHLSNFEKQANSRVVESGVLRGMNLDDIKRAGELLSLQEGCRDFFQKIVSKQENFNADIHILSYCWCGDLIRSAFASGSLNVLNIHANEFEYNESISTGNIIRKMESPLNKVEAFKDILGNAGDKDQHLSVYIGDSVGDLLCLLEADVGIVIGSSTSLRKVGEQFGVSFVPLFSGLVAKQKHLAEGAQPVWKGLSGVLYTVSSWTEIQAFILGE >DRNTG_32962.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30828421:30833328:1 gene:DRNTG_32962 transcript:DRNTG_32962.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVMVCCGTATLVDAPPGSSLWPSCRPIRSSRTRASLSLGPLGTFVGVYDGHGGPHASRYVCDHLYRHFREISSGPQGVTPDGLRRSFLATEEGFISLVSNLWDTRPDLATTGTCCLVGVINQGTLHVANAGDSRIVLGKKVGNTGGIAAIQLSVEHNANSEDVRQELKAQHPNDSQIVVLKHGVWRIKGIIQVSRSIGDAYMKHAQFNREPINPKFRIPEPINKPILTADPSIVSYPLQPSDSFVIFASDGLWEHLSNEQAVEIVHNHPRAGIARRLIKAALREAARKREMRYSDLKRIDKKVRRHFHDDITVVVVFLNHDLAARGYSHGPTASVRSLLEH >DRNTG_32962.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30828138:30833328:1 gene:DRNTG_32962 transcript:DRNTG_32962.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVMVCCGTATLVDAPPGSSLWPSCRPIRSSRTRASLSLGPLGTFVGVYDGHGGPHASRYVCDHLYRHFREISSGPQGVTPDGLRRSFLATEEGFISLVSNLWDTRPDLATTGTCCLVGVINQGTLHVANAGDSRIVLGKKVGNTGGIAAIQLSVEHNANSEDVRQELKAQHPNDSQIVVLKHGVWRIKGIIQVSRSIGDAYMKHAQFNREPINPKFRIPEPINKPILTADPSIVSYPLQPSDSFVIFASDGLWEHLSNEQAVEIVHNHPRAGIARRLIKAALREAARKREMRYSDLKRIDKKVRRHFHDDITVVVVFLNHDLAARGYSHGPTASVRSLLEH >DRNTG_32962.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30828421:30833328:1 gene:DRNTG_32962 transcript:DRNTG_32962.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVMVCCGTATLVDAPPGSSLWPSCRPIRSSRTRASLSLGPLGTFVGVYDGHGGPHASRYVCDHLYRHFREISSGPQGVTPDGLRRSFLATEEGFISLVSNLWDTRPDLATTGTCCLVGVINQGTLHVANAGDSRIVLGKKVGNTGGIAAIQLSVEHNANSEDVRQELKAQHPNDSQIVVLKHGVWRIKGIIQVSRSIGDAYMKHAQFNREPINPKFRIPEPINKPILTADPSIVSYPLQPSDSFVIFASDGLWEHLSNEQAVEIVHNHPRAQGIARRLIKAALREAARKREMRYSDLKRIDKKVRRHFHDDITVVVVFLNHDLAARGYSHGPTASVRSLLEH >DRNTG_32962.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30828325:30833328:1 gene:DRNTG_32962 transcript:DRNTG_32962.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVMVCCGTATLVDAPPGSSLWPSCRPIRSSRTRASLSLGPLGTFVGVYDGHGGPHASRYVCDHLYRHFREISSGPQGVTPDGLRRSFLATEEGFISLVSNLWDTRPDLATTGTCCLVGVINQGTLHVANAGDSRIVLGKKVGNTGGIAAIQLSVEHNANSEDVRQELKAQHPNDSQIVVLKHGVWRIKGIIQVSRSIGDAYMKHAQFNREPINPKFRIPEPINKPILTADPSIVSYPLQPSDSFVIFASDGLWEHLSNEQAVEIVHNHPRAGIARRLIKAALREAARKREMRYSDLKRIDKKVRRHFHDDITVVVVFLNHDLAARGYSHGPTASVRSLLEH >DRNTG_14940.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27071519:27073702:1 gene:DRNTG_14940 transcript:DRNTG_14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFSESTSALQNPQDFHSDRPGASHSAANNNSPLVAQLQKLLFHRMLIGVNDGRFFLGVFHCIDKQGNIILQDAVEYRNTRRSATSPMDQRCLGLILIPASCRSSCHVDCSIEEQLSLLSLQK >DRNTG_32411.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1861855:1863579:1 gene:DRNTG_32411 transcript:DRNTG_32411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSTIPPLKTNTANTYHNSKDTASL >DRNTG_09007.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8795436:8808796:-1 gene:DRNTG_09007 transcript:DRNTG_09007.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVRGVNVPMYTTLRRTTVVFTMTMEYLLTRQKYSFPIFGSVALIVFGAFVAGARDLSFDAYGYAIVFVANITTAIYLATIARVGKSSGLNSFGLMWCNGLVCGPILLLWTFIRGDLQSTVNFPYLYSPGFQIVMLFSCIMAFFLNYSIFLNTTLNSAVTQTMCGNLKDLFTVGLGWILFGGLPFDLLNVIGQVLGFLGSGLYAYCKLKGK >DRNTG_09007.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8795436:8808796:-1 gene:DRNTG_09007 transcript:DRNTG_09007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVPASKGMLLPVSDSPRAAGDESLFKSSAMTKRGAYAALAYMSCAVLLVLFNKAALSSYSFPFANVITLFQMICSTALLYAMKRWKIISFAVGEPWASDSGSLVPFRTILKTLPLSLSYLLYMLASMESVRGVNVPMYTTLRRTTVVFTMTMEYLLTRQKYSFPIFGSVALIVFGAFVAGARDLSFDAYGYAIVFVANITTAIYLATIARVGKSSGLNSFGLMWCNGLVCGPILLLWTFIRGDLQSTVNFPYLYSPGFQIVMLFSCIMAFFLNYSIFLNTTLNSAVTQTMCGNLKDLFTVGLGWILFGGLPFDLLNVIGQVLGFLGSGLYAYCKLKGK >DRNTG_29587.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1227069:1227858:-1 gene:DRNTG_29587 transcript:DRNTG_29587.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps14 MARKSLIHREKKRQKLEQKYQLIRRSSKKEISKVLPLSEKWKIHRKLQSSPRNSTPIRLHRRCFLTGRPRANYRDFGLSGHILREMVYACLLPGAIRSSW >DRNTG_11937.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:924616:925335:-1 gene:DRNTG_11937 transcript:DRNTG_11937.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSDLDLGLGVGGEDGDDKGQKKKKRRRIPLPPMTPPTIAGSSMMLLSLVMLLLFLNKRKRRQ >DRNTG_14764.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7037860:7053876:-1 gene:DRNTG_14764 transcript:DRNTG_14764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVEDYLNAFGKILHLDLSGKQDRVIMRVLVDCFLQEKLFNKYYTVLALKLCSHDKNHKSSLQRFEDCFPVVTVFHVKTLKAGIHLRRAMVL >DRNTG_14764.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7050211:7051721:-1 gene:DRNTG_14764 transcript:DRNTG_14764.4 gene_biotype:protein_coding transcript_biotype:protein_coding CFWEDPAPRFVWETGLFPVLLQCPRFKFYLAFTVVCSHLSVKKKCQDRVIMRVLVDCFLQEKLFNKYYTVLALKLCSHDKNHKSSLQRFEDCFPVVTVFHVKTLKAGIHLRRAMVL >DRNTG_14764.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7053099:7053876:-1 gene:DRNTG_14764 transcript:DRNTG_14764.5 gene_biotype:protein_coding transcript_biotype:protein_coding LDPVVKHANQNRGEKTKKKNDEKGLLVSRSEFLLNSQ >DRNTG_14764.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7050211:7053876:-1 gene:DRNTG_14764 transcript:DRNTG_14764.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVEDYLNAFGKILHLDLSGKQDRVIMRVLVDCFLQEKLFNKYYTVLALKLCSHDKNHKSSLQVCRLRFEDCFPVVTVFHVKTLKAGIHLRRAMVL >DRNTG_14764.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7050211:7052266:-1 gene:DRNTG_14764 transcript:DRNTG_14764.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRGDDPTAMKDFVLDIQNRVNELKNSCLMQDAKSKINSKRMQYMLEAICYITNNKKKGPGWILPSIHVQRKGCK >DRNTG_27434.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28649694:28654298:-1 gene:DRNTG_27434 transcript:DRNTG_27434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPHITLVSKPKKIRFPPICAFPFASEKPVHFFSPVPFLAVSAVSAGFLFFRSFLKVLPPDFSDRWNQLLAFSEGAETKVTQLPYHLIQAVMASEDRRFFYHFGVDPYGVGRAVVYFPNGGGGSTITQQLVKNVFLTHERKMSRKFVEGILSLILERRLSKWKILYSYLNKHPALLNVGESALLAGILPAPETLSPFTNPQKGKYSQARVLRRMVAAGFLDLETALKIVSQPLSLRADGPVPANVEQEMFNTQIRGKSAIKNNWDWEMANASWEMRDHMEKWALMKNKSSR >DRNTG_27434.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28650885:28654298:-1 gene:DRNTG_27434 transcript:DRNTG_27434.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPHITLVSKPKKIRFPPICAFPFASEKPVHFFSPVPFLAVSAVSAGFLFFRSFLKVLPPDFSDRWNQLLAFSEGAETKVTQLPYHLIQAVMASEDRRFFYHFGVDPYGVGRAVVYFPNGGGGSTITQQLVKNVFLTHERKMSRKFVEGILSLILERRLSKWKILYSYLNKHPALLNVGESALLAGILPAPETLSPFTNPQKGKYSQARVLRRMVAAGFLDLETALKIVSQPLSLRADGPVPANVK >DRNTG_07835.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:103646:106409:-1 gene:DRNTG_07835 transcript:DRNTG_07835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRDLSKEDCNTCLSSYIPQIKNLGNGHQQGGVLLGMSCYLRFEVYPFYNFSAINADTSPPVSSPPDAIVRTSPPVSSVPGKSNKTATVIAAIAIPAVAAVVLLPVTCFCLRKRWVNKKRAKKINGEFSKLSALYLILFAKHRPVVLDGRDEQELPDAESLLFDLVTLKEATDDFSEANKLGQGGFGPVYKGVLDDGQQIAVKRLSGNSSQGLIELKNEVFLVAKLQHRNLVRLLGCCLQEQERLLVYEYLPNTSLDKFLFDSVRRRQLDWRSRYKIIEGIARGLLYLHEDSRLKIIHRDLKASNILLDGDMNPKISDFGLAKLFDIDETQGNTSQIAGTYGYMPPEYVRQGVFSTKLDVYSYGVLVLEIVTGRKNSGFHASENAPDLLTYVWQHWTGGTPLDLKDQSLDGDDFRTEEMLRCVHIGLLCVHEDPTQRPSMTSIVLMLNSYSSSLPAPSPPTYYFPSYMMNRVQSMIDESSSQKSEKTVNDASITEMDPR >DRNTG_28153.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5519931:5528178:1 gene:DRNTG_28153 transcript:DRNTG_28153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGFALLLLFSSLPLLAVSKSTIEPCSGSDTCNALLGYTLFTDLKVSEVAALFQADPIAILTANSIDISIPDVENHILPSGLFLKIPTLCSCSDGIRKSISTRYKTRPADTLASIANSIYGGLVSADQIKDANSISDPAVIDVGQNLVIPLPCTCFNSTDNFLPAVYLSYVVQADDTVPAIAARYFTTVTDIMNVNAMGSPSVRAGDILAIPLPACASSFPRFASDNGLIVANGSYAISASHCVQCSCGPGNLNLYCTPASLAVSCSSMQCRNSNLMLGNVTSQQTSAGCNVTSCTYGGFVNGTILTVLSRSLQPRCPGVHQFPVLIPPPTTVIHESFLAPSPSPSSFQSGGPVTTPSSNPAGTFSLPGVSPGPAGSTSMASSYINSLFHYLSVQVLFGAIVLLL >DRNTG_26891.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21403230:21405971:1 gene:DRNTG_26891 transcript:DRNTG_26891.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGVAEGCLNEISDKASSIEEPMNKCIPDSQPPSVNGCFDCNICLDFAMDPVVTLCGHLYCWPCIYKWLEIHGGDSHHCPVCKAFLSRDALVPLYGRGNSSPQSPEIPKRPPSVCRPDNQFDINHHHYVHHNDYQYFSSNIPPYWPMETSVFRSTAGEVIEGIAVSILPWMFRDRKQHILL >DRNTG_05470.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23182448:23184527:-1 gene:DRNTG_05470 transcript:DRNTG_05470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKHTFFSILLVSLMVVVWSPAGDAHNITAILDGFPDYSLYNSYLTQTKVADEINSRDTVTCLVLPNAAMAALAAKRPLAAIKNALQLLTLLDYFDPAKLHNLQGGAVLSTTLLQTTGNEPGNLGFVNITNQRGGKVAFASAAPGSKPDATYTKAIKEEPYNLSVVEISAPITFPGLLDAPTASGSNLTGLLEKAGCKTFASLLSSSGVLKIFESAMTKGLTLFAPNDEAFKAPGIPDLASLSSAELVTVLQYHALASYTPKASLKTATGRLATMASSGAGKYDLGVVTRGDDVSLSTGVDSSRVASTILDDTPVCVLTVDSILLPVELFGKAPSPAPAPGPAATPPSPTPSPVEAPAPSPKKAKAHPKKHHSPPAPPASSPATESPSDAPAADEKAADDKSAAPAMLAGTLISLISIIVALVSVF >DRNTG_05470.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23182556:23185184:-1 gene:DRNTG_05470 transcript:DRNTG_05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKHTFFSILLVSLMVVVWSPAGDAHNITAILDGFPDYSLYNSYLTQTKVADEINSRDTVTCLVLPNAAMAALAAKRPLAAIKNALQLLTLLDYFDPAKLHNLQGGAVLSTTLLQTTGNEPGNLGFVNITNQRGGKVAFASAAPGSKPDATYTKAIKEEPYNLSVVEISAPITFPGLLDAPTASGSNLTGLLEKAGCKTFASLLSSSGVLKIFESAMTKGLTLFAPNDEAFKAPGIPDLASLSSAELVTVLQYHALASYTPKASLKTATGRLATMASSGAGKYDLGVVTRGDDVSLSTGVDSSRVASTILDDTPVCVLTVDSILLPVELFGKAPSPAPAPGPAATPPSPTPSPVEAPAPSPKKAKAHPKKHHSPPAPPASSPATESPSDAPAADEKAADDKSAAPAMLAGTLISLISIIVALVSVL >DRNTG_05470.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23182500:23184527:-1 gene:DRNTG_05470 transcript:DRNTG_05470.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKHTFFSILLVSLMVVVWSPAGDAHNITAILDGFPDYSLYNSYLTQTKVADEINSRDTVTCLVLPNAAMAALAAKRPLAAIKNALQLLTLLDYFDPAKLHNLQGGAVLSTTLLQTTGNEPGNLGFVNITNQRGGKVAFASAAPGSKPDATYTKAIKEEPYNLSVVEISAPITFPGLLDAPTASGSNLTGLLEKAGCKTFASLLSSSGVLKIFESAMTKGLTLFAPNDEAFKAPGIPDLASLSSAELVTVLQYHALASYTPKASLKTATGRLATMASSGAGKYDLGVVTRGDDVSLSTGVDSSRVASTILDDTPVCVLTVDSILLPVELFGKAPSPAPAPGPAATPPSPTPSPVEAPAPSPKKAKAHPKKHHSPPAPPASSPATESPSDAPAADEKAADDKSAAPAMLAGTLISLISIIVALVSVF >DRNTG_05470.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23182556:23184527:-1 gene:DRNTG_05470 transcript:DRNTG_05470.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKHTFFSILLVSLMVVVWSPAGDAHNITAILDGFPDYSLYNSYLTQTKVADEINSRDTVTCLVLPNAAMAALAAKRPLAAIKNALQLLTLLDYFDPAKLHNLQGGAVLSTTLLQTTGNEPGNLGFVNITNQRGGKVAFASAAPGSKPDATYTKAIKEEPYNLSVVEISAPITFPGLLDAPTASGSNLTGLLEKAGCKTFASLLSSSGVLKIFESAMTKGLTLFAPNDEAFKAPGIPDLASLSSAELVTVLQYHALASYTPKASLKTATGRLATMASSGAGKYDLGVVTRGDDVSLSTGVDSSRVASTILDDTPVCVLTVDSILLPVELFGKAPSPAPAPGPAATPPSPTPSPVEAPAPSPKKAKAHPKKHHSPPAPPASSPATESPSDAPAADEKAADDKSAAPAMLAGTLISLISIIVALVSVF >DRNTG_05470.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23182556:23184527:-1 gene:DRNTG_05470 transcript:DRNTG_05470.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKHTFFSILLVSLMVVVWSPAGDAHNITAILDGFPDYSLYNSYLTQTKVADEINSRDTVTCLVLPNAAMAALAAKRPLAAIKNALQLLTLLDYFDPAKLHNLQGGAVLSTTLLQTTGNEPGNLGFVNITNQRGGKVAFASAAPGSKPDATYTKAIKEEPYNLSVVEISAPITFPGLLDAPTASGSNLTGLLEKAGCKTFASLLSSSGVLKIFESAMTKGLTLFAPNDEAFKAPGIPDLASLSSAELVTVLQYHALASYTPKASLKTATGRLATMASSGAGKYDLGVVTRGDDVSLSTGVDSSRVASTILDDTPVCVLTVDSILLPVELFGKAPSPAPAPGPAATPPSPTPSPVEAPAPSPKKAKAHPKKHHSPPAPPASSPATESPSDAPAADEKAADDKSAAPAMLAGTLISLISIIVALVSVL >DRNTG_05470.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23182448:23184527:-1 gene:DRNTG_05470 transcript:DRNTG_05470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKHTFFSILLVSLMVVVWSPAGDAHNITAILDGFPDYSLYNSYLTQTKVADEINSRDTVTCLVLPNAAMAALAAKRPLAAIKNALQLLTLLDYFDPAKLHNLQGGAVLSTTLLQTTGNEPGNLGFVNITNQRGGKVAFASAAPGSKPDATYTKAIKEEPYNLSVVEISAPITFPGLLDAPTASGSNLTGLLEKAGCKTFASLLSSSGVLKIFESAMTKGLTLFAPNDEAFKAPGIPDLASLSSAELVTVLQYHALASYTPKASLKTATGRLATMASSGAGKYDLGVVTRGDDVSLSTGVDSSRVASTILDDTPVCVLTVDSILLPVELFGKAPSPAPAPGPAATPPSPTPSPVEAPAPSPKKAKAHPKKHHSPPAPPASSPATESPSDAPAADEKAADDKSAAPAMLAGTLISLISIIVALVSVL >DRNTG_05470.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23182500:23184527:-1 gene:DRNTG_05470 transcript:DRNTG_05470.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKHTFFSILLVSLMVVVWSPAGDAHNITAILDGFPDYSLYNSYLTQTKVADEINSRDTVTCLVLPNAAMAALAAKRPLAAIKNALQLLTLLDYFDPAKLHNLQGGAVLSTTLLQTTGNEPGNLGFVNITNQRGGKVAFASAAPGSKPDATYTKAIKEEPYNLSVVEISAPITFPGLLDAPTASGSNLTGLLEKAGCKTFASLLSSSGVLKIFESAMTKGLTLFAPNDEAFKAPGIPDLASLSSAELVTVLQYHALASYTPKASLKTATGRLATMASSGAGKYDLGVVTRGDDVSLSTGVDSSRVASTILDDTPVCVLTVDSILLPVELFGKAPSPAPAPGPAATPPSPTPSPVEAPAPSPKKAKAHPKKHHSPPAPPASSPATESPSDAPAADEKAADDKSAAPAMLAGTLISLISIIVALVSVL >DRNTG_05470.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23182556:23185184:-1 gene:DRNTG_05470 transcript:DRNTG_05470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKHTFFSILLVSLMVVVWSPAGDAHNITAILDGFPDYSLYNSYLTQTKVADEINSRDTVTCLVLPNAAMAALAAKRPLAAIKNALQLLTLLDYFDPAKLHNLQGGAVLSTTLLQTTGNEPGNLGFVNITNQRGGKVAFASAAPGSKPDATYTKAIKEEPYNLSVVEISAPITFPGLLDAPTASGSNLTGLLEKAGCKTFASLLSSSGVLKIFESAMTKGLTLFAPNDEAFKAPGIPDLASLSSAELVTVLQYHALASYTPKASLKTATGRLATMASSGAGKYDLGVVTRGDDVSLSTGVDSSRVASTILDDTPVCVLTVDSILLPVELFGKAPSPAPAPGPAATPPSPTPSPVEAPAPSPKKAKAHPKKHHSPPAPPASSPATESPSDAPAADEKAADDKSAAPAMLAGTLISLISIIVALVSVF >DRNTG_26966.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30241706:30250314:-1 gene:DRNTG_26966 transcript:DRNTG_26966.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAELLELQQLFESAQQAKSSVRLSERNVVELILKLQQRGFLDFDLLHTVSGKEYITPDQLRLEMEAEIRKSGRVSLIDLSDIIGMDLYHIETQAQRIVAGDSGLMLINGEIISQGYWNSVAEEINEKLQECSQISLAELAAQLHIGSELIVSVLEPRLGTIVKGRLEGGQLFTPAYVSRIGAMVRGATRGITVPTNLSTVWSSLQQLLQEMDSASGVSVEGTFFQSLFNGLVKEGEILGSLRAGVQWTPAVFAHAQRESVDSFFSQNSFISYDVLHKLAIPQPKQYLQSRYAEGIPLDGIFVHPSMVEMLDAAIEDAIEHGNWIDCLSVLPAYVGGSDASKILSLCSSIQKATKSSKAVILGETCVFSNIYLKAMFDQMEKEMDTLICKNSYGQTLSNELCAASESKNITLGQHFDQKEVGDDGAISRQVVEKGSKKKRGKNAGSVKAGVSEDDPDTQENFTGKAKKNARKSKGTGSSDVPDTKVSAKKGLDKGKDDSVDIPSEEWIMERILTLAPDLEDVGGLDDPHALIRCLSAHLKPMLTSTWKKRRSAVLVESAEKRRRLLDDLQKLLDEVVLDLQLYEKALDHFDDDPSTSIILHKHLLRTMASPITDKILLTLDMDNKLKDGVAVDDHQNLETPSLTGDRISLAKSLPSPLSVKAQALVEALEGKRVESFMAALRAIAEESGMLLKKLDKKVERAMLHSYRKDLISQVSSETDPVALLPKVVALLFMQVHNKALQAPGRAISAVLSHLKDKLPGSTFKVLTDYHSVTVKLLALQAAATGDEEDCTSDRILSQKELLESKMAELKGLVLNTTNS >DRNTG_26966.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30241706:30250314:-1 gene:DRNTG_26966 transcript:DRNTG_26966.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAELLELQQLFESAQQAKSSVRLSERNVVELILKLQQRGFLDFDLLHTVSGKEYITPDQLRLEMEAEIRKSGRVSLIDLSDIIGMDLYHIETQAQRIVAGDSGLMLINGEIISQGYWNSVAEEINEKLQECSQISLAELAAQLHIGSELIVSVLEPRLGTIVKGRLEGGQLFTPAYVSRIGAMVRGATRGITVPTNLSTVWSSLQQLLQEMDSASGVSVEGTFFQSLFNGLVKEGEILGSLRAGVQWTPAVFAHAQRESVDSFFSQNSFISYDVLHKLAIPQPKQYLQSRYAEGIPLDGIFVHPSMVEMLDAAIEDAIEHGNWIDCLSVLPAYVGGSDASKILSLCSSIQKATKSSKAVILGETCVFSNIYLKAMFDQMEKEMDTLICKNSYGQTLSNELCAASESKNITLGQHFDQKEVGDDGAISRQVVEKGSKKKRGKNAGSVKAGVSEDDPDTQENFTGKAKKNARKSKGTGSSDVPDTKVSAKKGLDKGKDDSVDIPSEEWIMERILTLAPDLEDVGGLDDPHALIRCLSAHLKPMLTSTWKKRRSAVLVESAEKRRRLLDDLQKLLDEVWCSSSSASCLFYRVKFV >DRNTG_05033.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5685633:5686604:1 gene:DRNTG_05033 transcript:DRNTG_05033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTKKATIKHPREPLPSPEHIEFVIPEHQARFERLSKLKVGQSLFPDVSIVREIQLGDEMADEVEELLSVGSWRCLLSIREPAILRLGQYDESFISIEEYEQLSTDYPGSLTPQCAYRALCGEEQSVNDHGDSTGVLIRWELLYLYSMYVRVRVFFSGPYITRLIIGMGLLDAIIGAKKMIVPSCLGLEIMRLMGMIHRYRDGVYVMIIPQPETVEEEVDIPGGPQLVPKPQ >DRNTG_26477.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:573245:574559:-1 gene:DRNTG_26477 transcript:DRNTG_26477.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSARFDFYCFLRVVNMRKYHGNVQFVPAAGYEVYGEPLDRNESCKDEVPEQTCGVAARVQQCGYTGPKISLEDLEWKSVNGPFILVWLNNVPWSGEDVMPAPEAKFSDGFLDVVIVKDCPKTAFLSLMLKMNDGSHVKSRYVMYLK >DRNTG_26477.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:572727:577267:-1 gene:DRNTG_26477 transcript:DRNTG_26477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKGEDGIQPLMLRERIRVDGVAADASFNASTGELRWGSSAGVNWCLMMESEVLGFKTKGVKIIVKAFVFASKGASFGASGGKLARTRKDFVLEMPSEQCAMIWSDKFGDLINLFGRPRRLFIILNPYGGKRCALKIFQNDVKPLLEASGIIYTLQETSYQLHARELAHKLDLLKYDGIVCVSGDGVLVEVVNGLLQREDWDTAVKVPLGIIPAGTGNGMAKSLLDSAGDSYSVSNAVFAIIRGHRRSLDVTTVQQGEARFFSVLMLTWGFVADVDIESEKYRWMGSARFDFYCFLRVVNMRKYHGNVQFVPAAGYEVYGEPLDRNESCKDEVPEQTCGVAARVQQCGYTGPKISLEDLEWKSVNGPFILVWLNNVPWSGEDVMPAPEAKFSDGFLDVVIVKDCPKTAFLSLMLKMNDGSHVKSRYVMYLKVKAFRLRPGQRVGNPDKGGIIDSDGEVIARGEGTYECSLQETDLMTYGPPIQMTVDKGLATIFSPK >DRNTG_26477.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:574636:577012:-1 gene:DRNTG_26477 transcript:DRNTG_26477.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSSKSQPLPGTVAKPLRRMACKGEDGIQPLMLRERIRVDGVAADASFNASTGELRWGSSAGVNWCLMMESEVLGFKTKGVKIIVKAFVFASKGASFGASGGKLARTRKDFVLEMPSEQCAMIWSDKFGDLINLFGRPRRLFIILNPYGGKRCALKIFQNDVKPLLEASGIIYTLQETSYQLHARELAHKLDLLKYDGIVCVSGDGVLVEVVNGLLQREDWDTAVKVPLGIIPAGTGNGMAKSLLDSAGDSYSVSNAVFAIIRGHRRSLDVTTVQQGEARFFSVLMLTWG >DRNTG_26477.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:572727:574559:-1 gene:DRNTG_26477 transcript:DRNTG_26477.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSARFDFYCFLRVVNMRKYHGNVQFVPAAGYEVYGEPLDRNESCKDEVPEQTCGVAARVQQCGYTGPKISLEDLEWKSVNGPFILVWLNNVPWSGEDVMPAPEAKVKAFRLRPGQRVGNPDKGGIIDSDGEVIARGEGTYECSLQETDLMTYGPPIQMTVDKGLATIFSPK >DRNTG_26477.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:576555:577267:-1 gene:DRNTG_26477 transcript:DRNTG_26477.6 gene_biotype:protein_coding transcript_biotype:protein_coding VPTKQRPTSGATLRAEFFFRLQEPAFTWHRCKASAENGVQRGRWHPTLDAKGEDSSGWSRSGRQLQCEYGGVALGEQCRR >DRNTG_26477.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:575434:577012:-1 gene:DRNTG_26477 transcript:DRNTG_26477.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSSKSQPLPGTVAKPLRRMACKGEDGIQPLMLRERIRVDGVAADASFNASTGELRWGSSAGVNWCLMMESEVLGFKTKGVKIIVKAFVFASKGASFGASGGKLARTRKDFVLEMPSEQCAMIWSDKFGDLINLFGRPRRLFIILNPYGGKRCALKIFQNDVKPLLEASGIIYTLQETSYQLHARELAHKLDLLKYDGIVCVSGDGVLVE >DRNTG_06709.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7768077:7768815:1 gene:DRNTG_06709 transcript:DRNTG_06709.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHLAGKSGNILPCGKVPPDVRECIKEYITNSTSSKSRGKELVKEAYEEVEEVEEQEINPTKNFGKRKAKGSLENFITSKPTSLSQPGIKSAMASKQAIHKANMAFARWCFDACIPFNAMRSPYFQPMVDAIGSIGVGYKAPSYNDMRVNLLRDCKKECQLLIDSYRSQWANCGCTIMGDGWTDQRQRTLINFL >DRNTG_34431.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21226424:21228665:1 gene:DRNTG_34431 transcript:DRNTG_34431.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDGRLGVQNWPCYQQPLKGNLGLQLMSSVAERATKPFLADGGFLSRACGVPDPPAPLEFMRNGWMHQTRDPKMQHVFPMNHGYHVFSEHPEANALQMFQPSGEKIPTVDEAGVRNDAPSKKRHLGRTHKAPKQKKPKKANDTREEFMNGIVRPSRGRNTRNACLVINGVDLDISGIPTPVCSCTGNPQPCYRWGIGGWQSACCTTTMSMYPLPMSTKRRGTRIAGRKMTQGAFKKVLEKLTGEGHDLTNPIDLRAYWAKHGTNKFVTIR >DRNTG_31135.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:222988:225623:-1 gene:DRNTG_31135 transcript:DRNTG_31135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVISAFGESLGSVGGFEFHQFPTLERLALVSEQELRDAGFGYRAKYIVGTVKALQEKPGGGVNWLASLRGLELPQVIDALCTLPGVGPKVAACIALFSLDQHHAIPVDTHVWQIATQYLMPELAGKSLTPKLSSCIADAFVAKFGKYAGWAQNVLFIGELPSQKLLDNKVGVSKSAKKKQRIKKAAVELPLEGD >DRNTG_13548.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:200275:201556:-1 gene:DRNTG_13548 transcript:DRNTG_13548.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAVTAAPSPKIVLRRRQIPSPSSSFLRFSSITPSSTSISISYTTSLVAPTLTSRSRLRSVAEEEEKVLAGNENKGDGEEEGISEEPKPWVRPCELYVCNLPRSCDVSQLLELFKPHGTVYAVEVSRDPATGFSRGSGFVTMSSIQEAKTAIAALDGS >DRNTG_13548.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:197141:201556:-1 gene:DRNTG_13548 transcript:DRNTG_13548.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAVTAAPSPKIVLRRRQIPSPSSSFLRFSSITPSSTSISISYTTSLVAPTLTSRSRLRSVAEEEEKVLAGNENKGDGEEEGISEEPKPWVRPCELYVCNLPRSCDVSQLLELFKPHGTVYAVEVSRDPATGFSRGSGFVTMSSIQEAKTAIAALDGSDLSGREMRVQYSADMTSGRRNIEALNTAPKKDIVFETPYKAYIGNLSWSVKPEDLREHFSQFGTVVSTRVLYDRKGGKNRVYGFLSFSSADELKSALEQNGSEFRGRTLLVREVLNRSES >DRNTG_13548.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:197141:201556:-1 gene:DRNTG_13548 transcript:DRNTG_13548.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAVTAAPSPKIVLRRRQIPSPSSSFLRFSSITPSSTSISISYTTSLVAPTLTSRSRLRSVAEEEEKVLAGNENKGDGEEEGISEEPKPWVRPCELYVCNLPRSCDVSQLLELFKPHGTVYAVEVSRDPATGFSRGSGFVTMSSIQEAKTAIAALDGSDLSGREMRVQYSADMTSGRRNIEALNTAPKKDIVFETPYKAYIGNLSWSVKPEDLREHFSQFGTVVSTRVLYDRKGGKNRVYGFLSFSSADELKSALEQNGSEFRGRTLLVREVLNRSES >DRNTG_13548.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:201121:201556:-1 gene:DRNTG_13548 transcript:DRNTG_13548.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAVTAAPSPKIVLRRRQIPSPSSSFLRFSSITPSSTSISISYTTSLVAPTLTSRSRLRSVAEEEEKVLAGNENKGDGEEEGISEEPKPWVRPCELYVCNLPRSCDVSQLLELFKPHGTVYAVEV >DRNTG_13548.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:196957:201556:-1 gene:DRNTG_13548 transcript:DRNTG_13548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAVTAAPSPKIVLRRRQIPSPSSSFLRFSSITPSSTSISISYTTSLVAPTLTSRSRLRSVAEEEEKVLAGNENKGDGEEEGISEEPKPWVRPCELYVCNLPRSCDVSQLLELFKPHGTVYAVEVSRDPATGFSRGSGFVTMSSIQEAKTAIAALDGSDLSGREMRVQYSADMTSGRRNIEALNTAPKKDIVFETPYKAYIGNLSWSVKPEDLREHFSQFGTVVSTRVLYDRKGGKNRVYGFLSFSSADELKSALEQNGSEFRGRTLLVREVLNRSES >DRNTG_13548.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:197141:201556:-1 gene:DRNTG_13548 transcript:DRNTG_13548.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAVTAAPSPKIVLRRRQIPSPSSSFLRFSSITPSSTSISISYTTSLVAPTLTSRSRLRSVAEEEEKVLAGNENKGDGEEEGISEEPKPWVRPCELYVCNLPRSCDVSQLLELFKPHGTVYAVEVSRDPATGFSRGSGFVTMSSIQEAKTAIAALDGSDLSGREMRVQYSADMTSGRRNIEALNTAPKKDIVFETPYKAYIGNLSWSVKPEDLREHFSQFGTVVSTRVLYDRKGGKNRVYGFLSFSSADELKSALEQNGSEFRGRTLLVREVLNRSES >DRNTG_30748.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:10941181:10946779:-1 gene:DRNTG_30748 transcript:DRNTG_30748.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPHEDPDRATREMIKANQQLEAQKVFVPKKKKWVGQSRLNKYEQELMRIFLNCSMDSTVVWKNDAVSTTRDKLYTLLEGKKMVTDDVMDAFVCIIQKSLSKVPYPYKKHASITRPLALFMSKQDDAHETTMAMIGDVVRNLHEVQIVILPIIMNGHFHVLDLDNDKQEYRI >DRNTG_09580.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4660718:4666812:-1 gene:DRNTG_09580 transcript:DRNTG_09580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETSFRKRPRSRAPTMTRTKSIKEMSPVKPSHEIEDCKTCRISIGISTAPLGLQSLHEACQKAEVSGDKALGFLHSCGMCRRLLGSGRDIYIYRGDSAFCSQECREQHIKKESLKGSSTPLQ >DRNTG_09580.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4664947:4666812:-1 gene:DRNTG_09580 transcript:DRNTG_09580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSETSFRKRPRSRAPTMTRTKSIKEMSPVKPSHEIEDCKTCRISIGISTAPLGLQSLHEACQKAEVSGDKALGFLHSCGMCRRLLGSGRDIYIYRGDSAFCSQECREQHIKKESLKGSSTPLQ >DRNTG_09580.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4660644:4666812:-1 gene:DRNTG_09580 transcript:DRNTG_09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETSFRKRPRSRAPTMTRTKSIKEMSPVKPSHEIEDCKTCRISIGISTAPLGLQSLHEACQKAEVSGDKALGFLHSCGMCRRLLGSGRDIYIYRGDSAFCSQECREQHIKKESLKGSSTPLQ >DRNTG_11847.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:149476:150513:-1 gene:DRNTG_11847 transcript:DRNTG_11847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDMNINMEYHCPILKIFVGYDSREDVAYQVCRHSLLKHASIPVEVIPLKQSELREIGLYTRERGPTESTEFSFTRFLTPYLARYQGWAVFVDCDFLFLADVAELMQLADNRYALMCVKHEYQPKEGTKMDGVLQTSYPRKNWSSMVLYNCQHPNNQILTPQLVSSQSGAFLHRFMWLEDEEIGSIPFTWNFLVGHNKVEENDPMTIPKAIHYTCGGPWFEAYKNCEFADIWLKELEELKTEKPEIKSIEHKEMIKGKMLVKA >DRNTG_11847.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:149476:149821:-1 gene:DRNTG_11847 transcript:DRNTG_11847.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEDEEIGSIPFTWNFLVGHNKVEENDPMTIPKAIHYTCGGPWFEAYKNCEFADIWLKELEELKTEKPEIKSIEHKEMIKGKMLVKA >DRNTG_15121.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18205028:18207703:1 gene:DRNTG_15121 transcript:DRNTG_15121.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSRAKPPPKKRMDKLDTVFCCPFCNHGSSVECRIDMKNLIGEATCRICQENFSTTVTALTEPIDIYSEWIDECERVNNLEDDGA >DRNTG_15121.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18205028:18207703:1 gene:DRNTG_15121 transcript:DRNTG_15121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSRAKPPPKKRMDKLDTVFCCPFCNHGSSVECRIDMKNLIGEATCRICQENFSTTVTALTEPIDIYSEWIDECERVNNLEDDGA >DRNTG_25239.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27535397:27537165:-1 gene:DRNTG_25239 transcript:DRNTG_25239.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISASRRRHHSLLHHLRRLSTITSSGGAQSSDAQSPPTVSIISVSAAKSRLRREFDPDKALAILSSLPSNPSPSSRFAFDLAVRRLVRSGRLSDIQSLLRSRLLNSSSSSHEPFLASLILSYGTGRMLDDALSLFEDLPSLGSPRTVISFNALLSACIKAKLPARAPTLFFDLSEKHSIVPDNISYSILIKSLFLSGNSDKALETLKVMEDKGMEITAITYTTVLDSLYKEGFFEEAEKLWTTMLEKGCVPDLPAYNVKVSYRALHGKPEEVLKLIEEMETAGLKPDTITLNYLMTSYCNAKQYEDAKKVYKSMKGKNRRPNAATYKKLLPCLYENGDLDWGLEVFKDSVRCHKIPDFQTMKGFVERLVKASRVEDAKSVITKVRKSFPENLLGGWKALEKELGLDVEGGLSDKLETA >DRNTG_25239.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27530559:27537165:-1 gene:DRNTG_25239 transcript:DRNTG_25239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISASRRRHHSLLHHLRRLSTITSSGGAQSSDAQSPPTVSIISVSAAKSRLRREFDPDKALAILSSLPSNPSPSSRFAFDLAVRRLVRSGRLSDIQSLLRSRLLNSSSSSHEPFLASLILSYGTGRMLDDALSLFEDLPSLGSPRTVISFNALLSACIKAKLPARAPTLFFDLSEKHSIVPDNISYSILIKSLFLSGNSDKALETLKVMEDKGMEITAITYTTVLDSLYKEGFFEEAEKLWTTMLEKGCVPDLPAYNVKVSYRALHGKPEEVLKLIEEMETAGLKPDTITLNYLMTSYCNAKQYEDAKKVYKSMKGKNRRPNAATYKKLLPCLYENGDLDWGLEVFKDSVRCHKIPDFQTMKGFVERLVKASRVEDAKSVITKVRKSFPENLLGGWKALEKELGLDVEGGLSDKLETA >DRNTG_10760.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29601238:29602905:-1 gene:DRNTG_10760 transcript:DRNTG_10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKEELMSPQTETKASVGFKAGVKDYKLTYYTPDYETKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYHIESVVGEEDQYIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPTSYSKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCAEALFKAQAETGEIKGHYLNATAGTCEEMMKRAIFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRMSGGDHIHAGTVVGKLEGEREMTLGFVDLLRDDFIEKDRSRGIFFTQDWVSMPGVIPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVQARNEGRDLAREGNEIIREASKWSPELAAACEVWKEIKFEYKPVDTLDV >DRNTG_22995.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1750653:1751517:-1 gene:DRNTG_22995 transcript:DRNTG_22995.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIQATQIFNIINGCISLAPILGGIISDSWLGCYSVITIASAA >DRNTG_30968.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001649.1:21049:22165:-1 gene:DRNTG_30968 transcript:DRNTG_30968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRKYQVFFISMLFRRKAGVTLPLELDFSMGMRKYQVFFTDDRGALSVFRCNLGRYMLAAKEKVSGAKMGTEVHLETEASLVRKMKEKAVVEPCSHTRQRDVHDMLVRPAAGADSARDSGSTLLPAVVEHCSHGGAEARIPIAWDAARSTDGPSEVQGRTDGSWRTRSPERKPATSAKWVKSLRTTD >DRNTG_25195.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5689792:5690027:1 gene:DRNTG_25195 transcript:DRNTG_25195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLEETPVEGSVEGGTTSVPRKRSTRPLL >DRNTG_29863.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001511.1:8071:15510:1 gene:DRNTG_29863 transcript:DRNTG_29863.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLATQPAFLPFLMHRGFRFSITNELPFHPTDCPFATHQPFNNHPIDQTPQPQRLKEAPRPMTQFHPFINPISREMFNYCHCGVMSSKSFIRKPGPSQGRCFFGCGNWTASRRAVCNYFAWASP >DRNTG_29863.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001511.1:14858:15510:1 gene:DRNTG_29863 transcript:DRNTG_29863.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMLATQPAFLPFLMHRGFRFSITNELPFHPTDCPFATHQPFNNHPIDQTPQPQRLKEAPRPMTQFHPFINPISREMFNYCHCGVMSSKSFIRKPGPSQGRCFFGCGNWTASRRAVCNYFAWASP >DRNTG_29863.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001511.1:14858:15643:1 gene:DRNTG_29863 transcript:DRNTG_29863.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLATQPAFLPFLMHRGFRFSITNELPFHPTDCPFATHQPFNNHPIDQTPQPQRLKEAPRPMTQFHPFINPISREMFNYCHCGVMSSKSFIRKPGPSQGRCFFGCGNWTASRRAVCNYFAWASP >DRNTG_30775.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:282960:308726:-1 gene:DRNTG_30775 transcript:DRNTG_30775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFRGNPHLRSSKWLLVDSMPLATGHAASLKGWIVVRQRSTAIWVQFHNLPVECWDGETLETIANHLGVLLKIDELTTTLVRSKFARVCIEIDLSKPLSRGFWIGDDHHRVFVVVMYERLPTFCYKCGLIGHGSNSCNRPASDGVGGHVLPFRDKQQQVVGPSQVPPDVDQPMESNDTHESDPLPMSDPHDPFQTPPETDYGPWLLVSRRRGRSRGRGGITRASYVTARAAADTGPNVDAPRGTVSRNIRGGSRGTGRGRFISSNATIASPHATNVTPQATHIIRSTDASTNPHHLNLTVDIPTPTISLVKLSSTSPSYIYPNVLLPFYSISPPSFVGCGEGLFGFRQWRNGGDCDDEDDDYSDEEDDEMPDDDSPEDSMTLVQYQEEARREALIRKAIDTSSRVLRLIRKFNPIIVCLVETRANSNRVDRFCKKVPKHWEWAAILADGFSGGIFVLWNKAIGMFTLTVIVAGAWFIWKSRCDAIFNNSPPNFSAIVNRSIAHAREHSKSNRCLLGKRLILYNFSGADDHFLFTHAILNESRQVRAIGFFLSSANLLVSFAGCLSQPADDNSSDALLALDVALQFALDFQLPVKHIFSAYHTDLAMLKRPDHVIAWCLHTQISNIRFLLDMTGNAHIHEIPHSWMAPATLLASYGLVHQSLNLFLFGKDLPYWIMKSFFEFGFVF >DRNTG_34122.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21398607:21402088:1 gene:DRNTG_34122 transcript:DRNTG_34122.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRSLKSITPKCQTRLTPWSSAEKTRRPSSMRLGEKRMSSSSSNGKRRKKEGKGGSM >DRNTG_34122.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21398607:21402088:1 gene:DRNTG_34122 transcript:DRNTG_34122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHYKDDDFFNWQLRKQHRRNSDAGLHFLRLKMRNRSLKSITPKCQTRLTPWSSAEKTRRPSSMRLGEKRMSSSSSNGKRRKKEGKGGSM >DRNTG_34122.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21401735:21402088:1 gene:DRNTG_34122 transcript:DRNTG_34122.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRSLKSITPKCQTRLTPWSSAEKTRRPSSMRLGEKRMSSSSSNGKRRKKEGKGGSM >DRNTG_30248.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:242989:255690:1 gene:DRNTG_30248 transcript:DRNTG_30248.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-sugar pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT5G52560) UniProtKB/Swiss-Prot;Acc:Q9C5I1] MASLVAEKLSEIQIGDDMASTAPNLMKNLSLLSPDQVELAKMLLREEQSHLFLHWPEPGVDDDKKRDFFEQVSRLNSSYPGGLIAYIQNSRKLLADSKAGKNPYDGFTPSVPSGEILTFGDDNFNMLEEAGVKEARKAAFVLVAGGLGERLGYKGIKLALPLETTTGRCFLQHYIESILALQEASCKLVQGGCNSEIPLVIMTSDDTHAPTLNLLESNSYFGMKPTQVNLLKQEKVACLDDNDARLALDLNDKFKIQTKPHGHGDVHALLFSSGLLNKWHNAGLRWVLFFQDTNGLLFKAIPAALGVSLTREYHVNSLAVPRKAKEAIGGITKLTHADGRTMVINVEYNQLDPSLRANGHADGDVNCETGYSPFPGNINQLILELQPYIEELTKTQGAIAEFVNPKYKDSSKASFKSSTRLECMMQDYPKTLPPSARVGFTVMETWLAYAPVKNNPEDAAKVPKGNPYHSATSGEMAIYRANSLIMKKAGVEIADPVVDEFNAQEVEVWPRITWSPKWAITFADVKKKVNEKCSVSQRSTMIIKGQNIVLDNLSLDGTLIVHAIDEAEVQVSGCVQNKGWLLEKIDFKDSSLPQETRMRGFKIQKVEQLEVNYSEPGKHLFRA >DRNTG_17536.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29024736:29026218:-1 gene:DRNTG_17536 transcript:DRNTG_17536.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRTVMKAMQELEELYGGIPDESVDLTFKDFASMQQAEPEEKKVISMNPKLHQEITQQDQDMKKKKKKNISAESKIPHSTIIFHEQYHLNSVNNINHMMSSAHLREEEEEEEEEEKKQRGGRRKARPGIPHTNLCSLCNRYIHLFRHRCLVCGRVYCRNCVPVGMGSMAEGRKCLDCLGRRFSQKYIQRAGNSGPCWTYPSSVKQQELIWAEKGPRSGKGERR >DRNTG_22546.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3717189:3718815:1 gene:DRNTG_22546 transcript:DRNTG_22546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSLTDNRNQQHEAGSSTVKSEQNRISTTGAGSSTAVRTDTHVW >DRNTG_22546.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3717189:3718815:1 gene:DRNTG_22546 transcript:DRNTG_22546.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSLTDNRNQQHEAGSSTVKSEQNRISTTGAGSSTAVRTDTHVW >DRNTG_22546.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3717189:3718053:1 gene:DRNTG_22546 transcript:DRNTG_22546.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSLTDNRNQQHEAGSSTVKSEQNRISTTGAGSSTAVRTDTHVW >DRNTG_22546.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3717189:3718815:1 gene:DRNTG_22546 transcript:DRNTG_22546.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTEMDHDSPTEYTNSLHAKIHKHDTSLTRIE >DRNTG_20471.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:25499585:25502153:-1 gene:DRNTG_20471 transcript:DRNTG_20471.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSKKQADKWPCKSSPDSESMRFTIPEHQARFVRLSRLRFAQTRFLDTSILRDLQQGDEFIDEVYDLVSVGDWSMSITQFSVLLGLYEEAFIDTEKYSQLPTDYPGALTPQRAYRALCGHGQSVNGRGDSAGVLSRLGVIISGPCITGPVLGMGLLDAIRGVKKTSIPASLSLEMMRLVGMIRKVRTAVYALVLPAPEIAEEEGEDRRGLRLFSSLTDTEMEIDVPPAAEEPHPLHKFVDDGLDLHSNSFSFAPLPLGDDVCSFPTRIITESWPRDLKDVRWRRPKRPRKKSPRSALKGCIRGPYSPHTRWYEGGMEILADIFKSSRCYSTYGPHTGRYGGGKKWLFSSLFA >DRNTG_17768.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000910.1:7688:7919:-1 gene:DRNTG_17768 transcript:DRNTG_17768.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANEQSSSDRLMEASTATPVSKDEVKETKSEPKGSSSKIEQDLDVFLLGDLGSEDDGPGVLLNPISPCSCCKFNCP >DRNTG_06084.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8513264:8515414:1 gene:DRNTG_06084 transcript:DRNTG_06084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEKKEHLKKDRERIEAVLQILKKQAPLTVKQEKFCNDACVERFLRAKGDSVKKAAKHLRAVLSWRDNIGTEHLIADEFSAELAEGLAYVAGHDDDSRPVLIFRIKQDYLKSHSQKSFVRFLVFTIEVAISTMPRFIDQFVIIFDANLFRSASQLLNLVMGTLKITSDYYPARLHKAFILNPPSLFPYLWKGARPFIELWPVTTLISSTTMEEDDDPRTLSTSTVNRSTTGSVSSRFSFTVSGHDSLKPWYLNTVAEPSPSLIGLSPQTARSYSFASPSVRSTPVKTPQRVAPRTPRPSFLASPAAALFSMKKEGQQGGKEVMFLPYLRLYRRPYDEMVYRGKMKPPLGGLVSIVAPQHSGKQIVQPPHLLRRVGTSYQQRF >DRNTG_06084.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8513264:8515414:1 gene:DRNTG_06084 transcript:DRNTG_06084.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFIDQFVIIFDANLFRSASQLLNLVMGTLKITSDYYPARLHKAFILNPPSLFPYLWKGARPFIELWPVTTLISSTTMEEDDDPRTLSTSTVNRSTTGSVSSRFSFTVSGHDSLKPWYLNTVAEPSPSLIGLSPQTARSYSFASPSVRSTPVKTPQRVAPRTPRPSFLASPAAALFSMKKEGQQGGKEVMFLPYLRLYRRPYDEMVYRGKMKPPLGGLVSIVAPQHSGKQIVQPPHLLRRVGTSYQQRF >DRNTG_18360.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:17187769:17192391:1 gene:DRNTG_18360 transcript:DRNTG_18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGGGIISSSLPAHQRLHEFAKTALIKIFASPYAKVCDLYCGSGSDTDKWYDAQIGHYIGIDSSSSSISETREMWESQPKPYTAEFCELNPCVDNLEMYLQDKGIPVDIVCCLQHLQLCFETEDKARTLLHNVSTLLKPGGYFFGITADSSTIWTKYQKNVEASHNKGIGLKPTTVPNCIRSENYIITFDVEEEKFPLFGKKYQLKFVNDISSETHSLVHFPSLIRLAREAGLEYVEIQNLTEFYDDNRAILAGILHGYGPNFVDPRGRLLPRSFDALGLYATFVFQKPDPDIVPPITTPLFQDGNPIQDEDWMASSWRQHGSSLDEDRTGHTETALNINPPIVEHDKGILGPGPPDLRFSEPF >DRNTG_18360.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:17187769:17192391:1 gene:DRNTG_18360 transcript:DRNTG_18360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGGGIISSSLPAHQRLHEFAKTALIKIFASPYAKVCDLYCGSGSDTDKWYDAQIGHYIGIDSSSSSISETREMWESQPKPYTAEFCELNPCVDNLEMYLQDKGIPVDIVCCLQHLQLCFETEDKARTLLHNVSTLLKPGGYFFGITADSSTIWTKYQKNVEASHNKGIGLKPTTVPNCIRSENYIITFDVEEEKFPLFGKKYQLKFVNDISSETHSLVHFPSLIRLAREAGLEYVEIQNLTEFYDDNRNPPWLWSEFCGSPWQASS >DRNTG_13435.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9557346:9560555:1 gene:DRNTG_13435 transcript:DRNTG_13435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSTITFKALLVDQYRAEMMRQMKDMMSFERRILQQSQFITQDSQPVTDDHDVDL >DRNTG_34143.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002090.1:33395:34070:1 gene:DRNTG_34143 transcript:DRNTG_34143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVYAALNEALTTEVQRLKLVTAELGDPHRLSKQLEPANAAESSYVSSAATAEGTTASRA >DRNTG_01579.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5490239:5499528:1 gene:DRNTG_01579 transcript:DRNTG_01579.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMAYSEVNGADQKSANVLASDQMAPRGQLVFFVGVGALVFVPVFKAVTGLPPYMGMLLGLGVLWILTDAIHYGNSGRQSLKVPQALSRIDSQGILFFLGILLSVGSLEAAGVLRELANYLDAHFPNIELIASAIGVVSAVIDNVPLVAATMGMYDLTSFPRDSDFWQLVAFCAGTGGSMLIIGSAAGVAYMGMEKVDFFWYLRKVSGFALIGYTTGILTYLAVRNLHSSLLTSLPHIPFLSG >DRNTG_01579.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5488498:5499528:1 gene:DRNTG_01579 transcript:DRNTG_01579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASCFLGAQTVHGSLSLTNAFYAFSSQPSLHGINVWRLLAPSQPLGYSSGWSPERRPSFHGLSFVSRVEKKDGSSQPSFFRREDGGGFEDLEDGSGICDPLCSVDDLSSQEFEANYQPKTDLLKAIVILASAFAGAVAINHSWVAANQDIAMALVFSIGYAGIIFEESLAFNKSGVALLMAVSLWVIRSIGAPSTDIAVTELTRSSAEVSEIVFFLLGAMTVVEIVDAHQGFKLVTDNITTRNPRVLLWVVGFVTFILSSILDNLTTTIIMVSLLRKLVPSVRIQKAFRCCCCNSSKCWWCMDTHW >DRNTG_08473.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7390452:7392011:1 gene:DRNTG_08473 transcript:DRNTG_08473.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLATIQAATGNFAVENKLGEGGFGSVYKGVLNNGTEVAVKRLSAKSKQGAIEFENEVKLIAKLQHRNLVKMLGWCAEREEKLLIYEYLPNKSLDALLFDSEKRVQLDWNKRLQIIGGIARGLLYLHEDSLLKVIHRDLKASNVLLDNKMTPKISDFGMARIFGGDESEANTNRVVGTYGYMAPEYAMGGLFSAKSDVYSFGVLILEIVTGQRNGREHFEEHGQTLIRNMWHLWVEGRAVKLMDPLLEGSYPINEAMKCIKIGLLCVQENTEVRPTMSLVVHMLRSVDETVFPEPSQPPTFMRQRSSVSNGSSSSIGSHATLVHSINDVTNSDVQAR >DRNTG_08473.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7389926:7392011:1 gene:DRNTG_08473 transcript:DRNTG_08473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNKTNGWRYLSGSCTLRFEVNPFFDTALISTLSPPVSPEPNNGGEGKKKSKTVNIAAIAAPVSGLILLGSCILYIWWRLRRRNERRRKQFEDYRPVTNNDLPFMDLATIQAATGNFAVENKLGEGGFGSVYKGVLNNGTEVAVKRLSAKSKQGAIEFENEVKLIAKLQHRNLVKMLGWCAEREEKLLIYEYLPNKSLDALLFDSEKRVQLDWNKRLQIIGGIARGLLYLHEDSLLKVIHRDLKASNVLLDNKMTPKISDFGMARIFGGDESEANTNRVVGTYGYMAPEYAMGGLFSAKSDVYSFGVLILEIVTGQRNGREHFEEHGQTLIRNMWHLWVEGRAVKLMDPLLEGSYPINEAMKCIKIGLLCVQENTEVRPTMSLVVHMLRSVDETVFPEPSQPPTFMRQRSSVSNGSSSSIGSHATLVHSINDVTNSDVQAR >DRNTG_07847.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16661:19594:-1 gene:DRNTG_07847 transcript:DRNTG_07847.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVLLVVLLLGLFPVTTLSVDNPTFVRCATDSNYTTPSPFATNLALLLSNLTATASNSATLFSNSSVGSAYGLAQCRPDASSSDCASCLNRSATAFSTYCPFASYATIRFDLCLLRYSSSNFFSQLDDSNPVGLVNPVNASDPTVFNRRLDDLMDEILPAASQSSSRFSVGTTNFSDFGDIYAMLQCTHDLSTSDCGQCLEQVVLYIPSYCSGKIGCQVFTVSCTARYETSPFFPSSLLSAPPPPPSPPPPANGGTQSAESGGKITTCIIDCIGAGGVASFDEKVLPVLIDVCYIWYTGNGSNTTTIVLATVIPLVALILFSFALFKFLRRRKPVRKAHTRGGVRGGEEKEVRSAESLLFDLSTIREATDDFSDDNKLGEGGFGPVYKGMLRDGQEIAVKRLARTSTQGFVELKNEVLLVAKLQHKNLVRLLGCCLEEGEKLLVYEYLRNASLDKFLFDPTKRGQLDWTRRYKIIEGIGRGLLYLHEDSRLRIIHRDLKASNILLDEDMTPKISDFGFAKLFGIDETQGNTSRIAGTYGYMAPEYAMHGLFSVKSDVYSYGVMVLEIVTGRKNSVFQESGSAPDLITTVWRRWNEGKGLELIDPSLVDKIRAEEVLRCIHIGLLCIQEEPTERPTMASVVLMLRTYSLSLPRPSTPAFFMQSYTTSESNKLSREADPIFLENEHANEERNRPRLISSNDLSISEMEAR >DRNTG_07847.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16661:19594:-1 gene:DRNTG_07847 transcript:DRNTG_07847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVLLVVLLLGLFPVTTLSVDNPTFVRCATDSNYTTPSPFATNLALLLSNLTATASNSATLFSNSSVGSAYGLAQCRPDASSSDCASCLNRSATAFSTYCPFASYATIRFDLCLLRYSSSNFFSQLDDSNPVGLVNPVNASDPTVFNRRLDDLMDEILPAASQSSSRFSVGTTNFSDFGDIYAMLQCTHDLSTSDCGQCLEQVVLYIPSYCSGKIGCQVFTVSCTARYETSPFFPSSLLSAPPPPPSPPPPANGGTQSAESGGNGSNTTTIVLATVIPLVALILFSFALFKFLRRRKPVRKAHTRGGVRGGEEKEVRSAESLLFDLSTIREATDDFSDDNKLGEGGFGPVYKGMLRDGQEIAVKRLARTSTQGFVELKNEVLLVAKLQHKNLVRLLGCCLEEGEKLLVYEYLRNASLDKFLFDPTKRGQLDWTRRYKIIEGIGRGLLYLHEDSRLRIIHRDLKASNILLDEDMTPKISDFGFAKLFGIDETQGNTSRIAGTYGYMAPEYAMHGLFSVKSDVYSYGVMVLEIVTGRKNSVFQESGSAPDLITTVWRRWNEGKGLELIDPSLVDKIRAEEVLRCIHIGLLCIQEEPTERPTMASVVLMLRTYSLSLPRPSTPAFFMQSYTTSESNKLSREADPIFLENEHANEERNRPRLISSNDLSISEMEAR >DRNTG_07847.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:18873:19628:-1 gene:DRNTG_07847 transcript:DRNTG_07847.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLMAFLSAGAQRASASSSMALQVLLVVLLLGLFPVTTLSVDNPTFVRCATDSNYTTPSPFATNLALLLSNLTATASNSATLFSNSSVGSAYGLAQCRPDASSSDCASCLNRSATAFSTYCPFASYATIRFDLCLLRYSSSNFFSQLDDSNPVGLVNPVNASDPTVFNRRLDDLMDEILPAASQSSSRFSVGTTNFSDFGDIYAMLQCTHDLSTSDCGQCLEQVVLYIPSYCSGKIGCQVFTVS >DRNTG_14105.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20695491:20696683:-1 gene:DRNTG_14105 transcript:DRNTG_14105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITNLQALSSLGITTHLVAAPILRLRSGAATAAAFTHLLHSRYSSPSDLAAYASDPRHVAVVKDSVLPICDDIMAVDWLSDLSSGPIAPPPGSAVRLTLAKPKEGKKLELMAALEQVKASAAMKLAGQVSFGENFSPARAKGYEVGFIAVFDGVEALDGLEKDEALVEEEKKKLRPLLESVIVVDFVVPPAPAPASL >DRNTG_14105.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20695491:20696620:-1 gene:DRNTG_14105 transcript:DRNTG_14105.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITNLQALSSLGITTHLVAAPILRLRSGAATAAAFTHLLHSRYSSPSDLAAYASDPRHVAVVKDSVLPICDDIMAVDWLSDLSSGPIAPPPGSAVRLTLAKPKEGKKLELMAALEQVKASAAMKLAGQVSFGENFSPARAKGYEVGFIAVFDGVEALDGLEKDEALVEEEKKKLRPLLESVIVVDFVVPPAPAPASL >DRNTG_26629.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:381286:383196:1 gene:DRNTG_26629 transcript:DRNTG_26629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIGEGAFMASLIPTILILVISLSLPLETQANYFYGFSPPPPIKHYQYTSPPPPPLHVYKYASPPPPDPVYKYNSPPPPYHWSYKYASPPPPHHPAYKYKSPPPPQHWFYKYKSPPPPTHPIYKYKSPPPPSHDPVYKYQSPPPPHHPVYKYKSPPPPYYPAYKYKSPPPPHHPVYKYKSPPPPSHDPVYKYKSPPPPHHSVYKYKSPPPPHHPVYKYKSPLPPSHDPIYKYKSPPPPHHRVYKYKSPPPPHHPVYKYKSPPPPHHPIYKYRSPPPPSHDPVYKYKSPPPPRHPVYKHKSPPPPHHPVYKYKSPPPPHHPVYKYKSPPPPSHDPVYKYQSPPPPRHHPIYKYKSPPPPYHPGYKYKSPPPPHHPVYKYKSPPPPSHDPVYKYKSPPPPLHHPIYKYKSPPPPYKYISPPPPTPIYKYKSPPPPTPIYKYNSPPPPIPVYKYKSPPPPPPPIYKYTSPPPPIYKYKSPPPPIYKYTSPPPPPPPHHY >DRNTG_20061.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26314399:26316542:1 gene:DRNTG_20061 transcript:DRNTG_20061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFILLLSLVICFSALALAEDPYLFFTWKVTYGIRSPLGVPQKVILINDQFPGPNINSTTNNNLVINVFNYLDEPFLLSWNGIQQRKNSWQDGVPGTNCPIPPGTNYTYHFQVKDQIGSFFYFPSLGLHKASGGFGGLRINSRLLIPVPFDSPADDYTVLIGDWYKRNHKYHTRVLNRGKSLGRPSGVLINGNVGKPDDTPLFNMEPGKTYRYRICNVGIKTSLNFRFQGHQMKLVEMDGSHTVQNVYDSLDIHVGQCFSVLVTADQPLGDYYIVASSRFSRYHYTATARIHYVGSTTPPNAVIPPGPRGWAWSLNQWRSFRWNLTASAARPNPQGSYHYGRINITRTIKLSSSTTYVNGKLRYALNGVSHVETPVPLKLAQYFGIADQVFKYDLISDEPPSHASVVNLAPNVLSTEFRTFIEIILENPERSMQSYHLDGYSFFSVGMGPGKWSPERRKTYNLLDGVSRHTIQVYPRSWSAIMLTFDNAGMWNLRSEMLERSYLGQQLYVSVVSPARSLRDEYSMPNSTLLCGSVAGLPLPPPYT >DRNTG_23118.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:194417:199094:1 gene:DRNTG_23118 transcript:DRNTG_23118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCDDDFSLLGDEAQSHPHAQHQHHHHHQHHHHQQQPPFSTPHRYVAKAVPPIRSHLGAIPSPKKDVDGRRADDDDEYGEAFAAAQSEATKSYHDVNSHHHCFADEDPGANPFGPRPTTEDEDEDNGNNNPDLKSRAAAQQQLGPAAICRSEPKRKDRDELSDGGSPYCFNSKKSRPSSSSGDYRKDREEWSDSAIGCLLDAYTDKYVQLNRGNLRGRDWEDVATIVSERCDKQKSGKSVEQCKNKIDNLKKRYKAECQRLTSGSLAVSHWPWFKKLEQIVVPSSSSSKPQCEDDKSITLGGSASVTRQTKRYSVSTPGAVGVTNNVKMKQLSSPRWKRVVLKISGAALTGNGPQNVDPKVAMLIAREIAMANHAGVEVAVVVGGRNFFSGDSWVAATGIDKATAYQIGMMASVMNSMLLQASLEKIGVPTRVQTAFTMQEIAEPYIRRRAIRHLEKGRVVIFGGIGAGTGSPLFTTDAAAALRASEINADAVLKGTNVDGVYDCHHRNSNMQFEHISFRELDARGISAMDMTAVSFCEENGIPVVLFNLLEPGNISRALCGEQVGTLVDQSGRIS >DRNTG_23118.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:194928:199094:1 gene:DRNTG_23118 transcript:DRNTG_23118.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASCDDDFSLLGDEAQSHPHAQHQHHHHHQHHHHQQQPPFSTPHRYVAKAVPPIRSHLGAIPSPKKDVDGRRADDDDEYGEAFAAAQSEATKSYHDVNSHHHCFADEDPGANPFGPRPTTEDEDEDNGNNNPDLKSRAAAQQQLGPAAICRSEPKRKDRDELSDGGSPYCFNSKKSRPSSSSGDYRKDREEWSDSAIGCLLDAYTDKYVQLNRGNLRGRDWEDVATIVSERCDKQKSGKSVEQCKNKIDNLKKRYKAECQRLTSGSLAVSHWPWFKKLEQIVVPSSSSSKPQCEDDKSITLGGSASVTRQTKRYSVSTPGAVGVTNNVKMKQLSSPRWKRVVLKISGAALTGNGPQNVDPKVAMLIAREIAMANHAGVEVICVLEVRVAVVVGGRNFFSGDSWVAATGIDKATAYQIGMMASVMNSMLLQASLEKIGVPTRVQTAFTMQEIAEPYIRRRAIRHLEKGRVVIFGGIGAGTGSPLFTTDAAAALRASEINADAVLKGTNVDGVYDCHHRNSNMQFEHISFRELDARGISAMDMTAVSFCEENGIPVVLFNLLEPGNISRALCGEQVGTLVDQSGRIS >DRNTG_23118.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:194928:199094:1 gene:DRNTG_23118 transcript:DRNTG_23118.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASCDDDFSLLGDEAQSHPHAQHQHHHHHQHHHHQQQPPFSTPHRYVAKAVPPIRSHLGAIPSPKKDVDGRRADDDDEYGEAFAAAQSEATKSYHDVNSHHHCFADEDPGANPFGPRPTTEDEDEDNGNNNPDLKSRAAAQQQLGPAAICRSEPKRKDRDELSDGGSPYCFNSKKSRPSSSSGDYRKDREEWSDSAIGCLLDAYTDKYVQLNRGNLRGRDWEDVATIVSERCDKQKSGKSVEQCKNKIDNLKKRYKAECQRLTSGSLAVSHWPWFKKLEQIVVPSSSSSKPQCEDDKSITLGGSASVTRQTKRYSVSTPGAVGVTNNVKMKQLSSPRWKRVVLKISGAALTGNGPQNVDPKVAMLIAREIAMANHAGVEVAVVVGGRNFFSGDSWVAATGIDKATAYQIGMMASVMNSMLLQASLEKIGVPTRVQTAFTMQEIAEPYIRRRAIRHLEKGRVVIFGGIGAGTGSPLFTTDAAAALRASEINADAVLKGTNVDGVYDCHHRNSNMQFEHISFRELDARGISAMDMTAVSFCEENGIPVVLFNLLEPGNISRALCGEQVGTLVDQSGRIS >DRNTG_23118.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:194841:199094:1 gene:DRNTG_23118 transcript:DRNTG_23118.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASCDDDFSLLGDEAQSHPHAQHQHHHHHQHHHHQQQPPFSTPHRYVAKAVPPIRSHLGAIPSPKKDVDGRRADDDDEYGEAFAAAQSEATKSYHDVNSHHHCFADEDPGANPFGPRPTTEDEDEDNGNNNPDLKSRAAAQQQLGPAAICRSEPKRKDRDELSDGGSPYCFNSKKSRPSSSSGDYRKDREEWSDSAIGCLLDAYTDKYVQLNRGNLRGRDWEDVATIVSERCDKQKSGKSVEQCKNKIDNLKKRYKAECQRLTSGSLAVSHWPWFKKLEQIVVPSSSSSKPQCEDDKSITLGGSASVTRQTKRYSVSTPGAVGVTNNVKMKQLSSPRWKRVVLKISGAALTGNGPQNVDPKVAMLIAREIAMANHAGVEVAVVVGGRNFFSGDSWVAATGIDKATAYQIGMMASVMNSMLLQASLEKIGVPTRVQTAFTMQEIAEPYIRRRAIRHLEKGRVVIFGGIGAGTGSPLFTTDAAAALRASEINADAVLKGTNVDGVYDCHHRNSNMQFEHISFRELDARGISAMDMTAVSFCEENGIPVVLFNLLEPGNISRALCGEQVGTLVDQSGRIS >DRNTG_02429.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000115.1:27853:32063:1 gene:DRNTG_02429 transcript:DRNTG_02429.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative chloride channel-like protein CLC-g [Source:Projected from Arabidopsis thaliana (AT5G33280) UniProtKB/Swiss-Prot;Acc:P60300] MASDELRDAGGEPESIDDQEIPLIDDELRVNLRRTASNATSQVALIGSNVCPIESLDFEMIENDFVKHDWRSHSRPRTLRYVLLKWILCFLIGGITGCVGFFNNLAVENIAGVKFVVTSNMMLANKYAWAFVVFAVSNFVLTMFASVITSCIAPAAAGSGIPEVKAYLNGVDAPDIFSLRTVLVKIAGSIAAVSSSLHVGKAGPLVHTGACIASILGQGGSRKYGLTCKWLRYFKNDRDRRDLVTCGAGAGVAAAFRAPVGGVLFALECVASWWRSALLWRTFFTTAIVAVVLRALIDICKSGKCGLFGQGGLIMYDVTADKITYHLVDLPPVIVLGIIGGILGSIHNFLLEKVLRIYNRINEKGLARKFLLAASVSILTSCCLFGLPWLASCRPCPTGLKEACPSIGRSGNFKKFQCAPDHYNDLASLFFNTNDDTIRNLYSAGTDDVFTNFSIILFFVTSFFLGIISYGVVAPTGLFIPVILTGATYGRLVGMLMGSRSTLDHGLFAVLGSASLLGGTMRMTVSVCVIILELTNNLLLLPLVMLVLLISKSVADTSTTNIYDLIVKLKGLPYLEAHAEPYMRQLTVSDVVTGPLQMFNGVEKISNIVHVLKTTGHHGFPVIDEQPFAASRLLFGIISRAHLLVLLKKKKFLPVCTFSAVEDMKHFSADDFAKRGSGKHDSIDDIELTAGEMEMYIDLHPFVNTSPYTVIETMSLAKAVILFREVGLRHLLIIPKSSHREPVVGILTRHDFMPEHILGLHPILKRSRSKRMRFGKAALVSLCKGCFPWCEDDF >DRNTG_23795.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29698654:29701552:1 gene:DRNTG_23795 transcript:DRNTG_23795.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLICTSFMTMVVAAMVIHLLLRSAGIFPRHIDAIPGILLLMFIAMLFCPFNICYRSTRYCFLRVMRNIACSPLYRVLMVDFFMADQLTSQIPLLRHMEFTACFFMLESFRTNKYEICTTSNKYKLLAYVISFLPYYWRAMQCARRYIEEGYDVNHLANAGKYISAMLAAAVRWKYAVDPTPFWLVIVVLSSTMATAYQLYWDFVKDWGLFSFSSRNFLLRDDLILQNKSIYYVSIAFNFILRLAWIETVLRLNLGSVEHSIVDFLLASFEIIRRGHWNFYRLENEHLNNVGRFRAIKTVPLPFRDME >DRNTG_23795.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29694220:29701552:1 gene:DRNTG_23795 transcript:DRNTG_23795.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSRELEAQLIPEWKEAFVNYRQLKKHVKKVKLSAARSTADPSSDGLRCLGFSLLNPLHFLATHFAPSDHLSSQDDEETFADTELVQSNEHEVREFFEKLEEELEKVNNFYAGKEAEFCERGEMLNKQLQILLGLKEIIDDRSSHRRRSSVSENEGTVGDVTPVAEEMIAELERNGVSFTGAVRSKTKKVGKPRVASMRIDIPATTPSRTISAVTSVIWEDLINGQRKEGAGGDDHVSRKKIQCAEKMIRGAFVELYKGLGLLKTFSSLNLVAFRKILKKFDKVSNQQASEKYLNMVKRSHFISSDKVVRLGDELESIFTKHFTSNDRKKAMKFLRPQQPKDSHMITFLVGLFTGSFVTLFTVYAILAHLSGIFSSSTESGYMKTVYPVFSMFALLSLHIFLYGCNIFMWRATRINHNFIFEFSANTALKHRDAFPYLHFLHDHGGGCHGYPPIAEISRHLSTSHRRHSWNSVTDVHCNALLPI >DRNTG_01864.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21210720:21215232:-1 gene:DRNTG_01864 transcript:DRNTG_01864.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLIHLTKNRLLECSFPTINLVLSITSVECMTSLWVRVFLYVFFVWNGWVVCVTNGSIGCSNNNYASREKCKKCGQPKEETAMPAIAMRGASLPTYAHYFARAHGLSGLGMNFGITGSYGLQPSLLPNSGLSYGGIDKYGLQSIPRWPTPGGHSSGLLHVNNISQPLVVPRDWRSGDWICNCGFHNYSSRTQCKKCNAPLPSGAPPSSAVNSAVSNIFPTLGTKRLASEEFVNDWDSKRLNAGDINSHFLNNGQQRPYQGFEQLGESTNDQSPGTMSRYSSGNLATMSSTQLKAQSPQLTTIPLIVGKGAKQWRDGDWMCNNCNNHNYASRTHCNRCKSQKQLLSL >DRNTG_01864.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21210720:21215232:-1 gene:DRNTG_01864 transcript:DRNTG_01864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIAMRGASLPTYAHYFARAHGLSGLGMNFGITGSYGLQPSLLPNSGLSYGGIDKYGLQSIPRWPTPGGHSSGLLHVNNISQPLVVPRDWRSGDWICNCGFHNYSSRTQCKKCNAPLPSGAPPSSAVNSAVSNIFPTLGTKRLASEEFVNDWDSKRLNAGDINSHFLNNGQQRPYQGFEQLGESTNDQSPGTMSRYSSGNLATMSSTQLKAQSPQLTTIPLIVGKGAKQWRDGDWMCNNCNNHNYASRTHCNRCKSQKQLLSL >DRNTG_05991.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:24190415:24190751:1 gene:DRNTG_05991 transcript:DRNTG_05991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRPPKSPQKWPSRYLHIPPNISHKLASTWVVYRLNEDLIEVVYWLNQDLIEPVWGSILGSIEAICHDSI >DRNTG_15278.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4526601:4527131:-1 gene:DRNTG_15278 transcript:DRNTG_15278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLAFSILSSAPADIFSVGWTKTKTKPLRSADEKNTSEVGGAPKKDHQDKKQRRPCVAPEFDGLFCFETLVPQ >DRNTG_11853.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:106178:108266:-1 gene:DRNTG_11853 transcript:DRNTG_11853.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFAEYFFSGGKVILMLVEAIDIYLIGTVMLVFGMGLYELFISNFDIAKKFYYRSNLFGLFVLPERPKWLEIKSVNELKTKVGHVIVLVLLVGLFEKSKKVAIGTPMDLLCFAASILVSSGGLYLLSLLLNARSGHE >DRNTG_11853.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:106178:108266:-1 gene:DRNTG_11853 transcript:DRNTG_11853.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSTRLFISGIKVPVATPGQKWRSLLLLHHHHLHVHMVHRSRVSFAKASSRAPETASRISTYTNDTHIRQVDQMPATPQTKAQDLEEQIERVIYWFRFMTFLGIFGSLVGSILCFLKGCRYVMDSFAEYFFSGGKVILMLVEAIDIYLIGTVMLVFGMGLYELFISNFDIAKKFYYRSNLFGLFVLPERPKWLEIKSVNELKTKVGHVIVLVLLVGLFEKSKKVAIGTPMDLLCFAASILVSSGGLYLLSLLLNARSGHE >DRNTG_07418.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7244076:7246650:1 gene:DRNTG_07418 transcript:DRNTG_07418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVSIELKNGTIVHGTITGVDISMNTHLKTVKLSLKGKNPVSLDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPTAGRPLGRGRGRGRGRGRGRGR >DRNTG_21953.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2049908:2057393:-1 gene:DRNTG_21953 transcript:DRNTG_21953.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQSTMLISPLPVKSKPYHYSISSFGFRRLNLNLNPRRQRDGAVVMATSGDVDAFTKYSGYLFEDGASSEAEFLEEYNLARIAAIYRRKPILVFRRFVQIGTTFGQWFASRYLDSLLERSDEMFKVRATELRRILIKLGPAFVKIAQAVSSRPDVIPPAYLEELSLLQDRIAPFSTKVAFNLIEEELGSPIEVLFSEISPEPTAAASLGQVYQARLCSNGQVVAVKVQRPGVQTAISLDIFILRFLAGLVRRAGRLNTDLQAVLDEWASSLFRVYVVTSLCFTYRS >DRNTG_21953.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2049908:2057393:-1 gene:DRNTG_21953 transcript:DRNTG_21953.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQSTMLISPLPVKSKPYHYSISSFGFRRLNLNLNPRRQRDGAVVMATSGDVDAFTKYSGYLFEDGASSEAEFLEEYNLARIAAIYRRKPILVFRRFVQIGTTFGQWFASRYLDSLLERSDEMFKVRATELRRILIKLGPAFVKIAQAVSSRPDVIPPAYLEELSLLQDRIAPFSTKVAFNLIEEELGSPIEVLFSEISPEPTAAASLGQVYQARLCSNGQVVAVKVQRPGVQTAISLDIFILRFLAGLVRRAGRLNTDLQAVLDEWASSLFREMDYMAEARNGVKFRELYGGLQDVFVPKMYMDRTSKRVLIMEWVEGQKLSEVRDLYLVEVGVYCSLSQLLEHGFYHADPHPGNLLRSSDGKLAYLDFGMMGEFKQELRDGFIEACLHLVNRDFDALAKDFITLGLLPPTAQKDEVTKALTDVFQNAVNKGVRNVSFGDLSGNLGQTMYKFKFQIPSYFSLVIRSLAVLEGIAISFDPEYKVLSSSYPWIARKVLTDSSPKLRSTLQTLLYKDGVFQIDRLESLLNESLRARTEESLTRKEVQNADSDREIKQVLSFTLTEKGAFVREILLQEFVKGIDALGLATLDSVTSGAASRLPIAIPSSSALFVSEEDISNLRTLHRLLQLISSFQKKETQNKEVRYSSVEEGKIMPYREEASLVLYRMASAQDFLPILAAIPELPAESQQQLLLLPADLAGKLLSRAVARTIRRLFV >DRNTG_21953.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2049908:2057393:-1 gene:DRNTG_21953 transcript:DRNTG_21953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQSTMLISPLPVKSKPYHYSISSFGFRRLNLNLNPRRQRDGAVVMATSGDVDAFTKYSGYLFEDGASSEAEFLEEYNLARIAAIYRRKPILVFRRFVQIGTTFGQWFASRYLDSLLERSDEMFKVRATELRRILIKLGPAFVKIAQAVSSRPDVIPPAYLEELSLLQDRIAPFSTKVAFNLIEEELGSPIEVLFSEISPEPTAAASLGQVYQARLCSNGQVVAVKVQRPGVQTAISLDIFILRFLAGLVRRAGRLNTDLQAVLDEWASSLFRGNRI >DRNTG_21953.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2049908:2057393:-1 gene:DRNTG_21953 transcript:DRNTG_21953.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQSTMLISPLPVKSKPYHYSISSFGFRRLNLNLNPRRQRDGAVVMATSGDVDAFTKYSGYLFEDGASSEAEFLEEYNLARIAAIYRRKPILVFRRFVQIGTTFGQWFASRYLDSLLERSDEMFKVRATELRRILIKLGPAFVKIAQAVSSRPDVIPPAYLEELSLLQDRIAPFSTKVAFNLIEEELGSPIEVLFSEISPEPTAAASLGQVYQARLCSNGQVVAVKVQRPGVQTAISLDIFILRFLAGLVRRAGRLNTDLQAVLDEWASSLFRVYVVTSLCFTYRS >DRNTG_01623.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1015585:1018934:1 gene:DRNTG_01623 transcript:DRNTG_01623.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin carboxyl carrier protein of acetyl-CoA carboxylase [Source:Projected from Arabidopsis thaliana (AT5G15530) UniProtKB/TrEMBL;Acc:A0A178U9S1] MASISVPCPKCSTPSLSTSKPAAALLLPGSVRFPSTQGFNQGRSLVLKAQAQKAVESMNSSPKSSTKPETPLSTGDNAEGVEKSAGQAAVSESTISAFMAEVADLVKLVDSRDITELQLKQNDCELIIRKKEALPQPPPSPYLMMQPPPQASIPSQPPLPQYPPGPTPSAPAPALPPPAKTSSSSLPSLKCPMAGNFYRSPAPGEPPFVKVGDKVKKGQVVCIIEAMKLMNEIEADQSGTIVEIIAEDGKPVSVDMPLLVIQP >DRNTG_14486.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14762100:14765075:1 gene:DRNTG_14486 transcript:DRNTG_14486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLNLSKISSKIDTTDEIIDEYMQEMFNPDPYEGLFDQEEGNEKVMMLGSTEEGPSTPGILKKVLRKMKRARRCHQKHSKAVGDIRNRRSWTYHC >DRNTG_27917.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22906578:22910877:1 gene:DRNTG_27917 transcript:DRNTG_27917.13 gene_biotype:protein_coding transcript_biotype:protein_coding MIWQEPCLVFCPHWNLRLGPAVHLLSRWHKDHKSLLILEEGVDAEMALLPFKTLSMKVLQCSFFAGIKLKKIQPLLELLQPKLVLFPDRLKSQLNFSKNYTHLYYSENSTLRVPCLKEDFEVRLMRYLDFKLQPRILPHENLAIARLEGQFLVSNGNHLLVPVKNPTHISSKQQLNWGSVDPDLLLQALKDKGIDGLISYDETAPCHGVHSIHISAPDEAVIEIDSKQTVIFAANENLTAVICETFSSVCSGI >DRNTG_27917.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22904505:22910912:1 gene:DRNTG_27917 transcript:DRNTG_27917.8 gene_biotype:protein_coding transcript_biotype:protein_coding MIWQEPCLVFCPHWNLRLGPAVHLLSRWHKDHKSLLILEEGVDAEMALLPFKTLSMKVLQCSFFAGIKLKKIQPLLELLQPKLVLFPDRLKSQLNFSKNYTHLYYSENSTLRVPCLKEDFEVRLMRYLDFKLQPRILPHENLAIARLEGQFLVSNGNHLLVPVKNPTHISSKQQLNWGSVDPDLLLQALKDKGIDGLISYDETAPCHGVHSIHISAPDEAVIEIDSKQTVIFAANENLTAVICETFSSVCSGI >DRNTG_27917.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22897531:22910877:1 gene:DRNTG_27917 transcript:DRNTG_27917.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTCLSMGHGLYCPPCHMLELCGFRVLLECPLDLSALTVFSPVIPNSAPDLIGAVPWYKTVKSLHLWDPSLIDLVIISTPYAMLGLPFLTCLHDFSGKIYATEAVVRIGCLMMEDLVSMHAEYNQFYGPDERSGCPGWMEWEELEKLPLELRDIVMGKDGEELGSWMPLYSATDVKECMLKIHSLRYGEEACYNSTLILKSFSSGLEIGSSNWMINGPRRNFTYLSSSIFKSAHAMGFDYYSLRGNDLILFSDLSVEMTHDGPNDAYGCKIYNETVVEDPLPYHISAVGANCASEQDLVKCLLENDEILEESDKIAFICSCVLDSLNEGGSVLIPIGRIGIVHFLLEKIWQYLELSNMMRRY >DRNTG_27917.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22897531:22910877:1 gene:DRNTG_27917 transcript:DRNTG_27917.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTCLSMGHGLYCPPCHMLELCGFRVLLECPLDLSALTVFSPVIPNSAPDLIGAVPWYKTVKSLHLWDPSLIDLVIISTPYAMLGLPFLTCLHDFSGKIYATEAVVRIGCLMMEDLVSMHAEYNQFYGPDERSGCPGWMEWEELEKLPLELRDIVMGKDGEELGSWMPLYSATDVKECMLKIHSLRYGEEACYNSTLILKSFSSGLEIGSSNWMINGPRRNFTYLSSSIFKSAHAMGFDYYSLRGNDLILFSDLSVEMTHDGPNDAYGCKIYNETVVEDPLPYHISAVGANCASEQDLVKCLLENDEILEESDKIAFICSCVLDSLNEGGSVLIPIGRIGIVHFLLEKIWQYLELSNMMVPIFMISTISEEILAFMNAMPEWLCEERQLKLFSGEPLFGHIELIKEKKLYLFPVLNSSNLSMIWQEPCLVFCPHWNLRLGPAVHLLSRWHKDHKSLLILEEGVDAEMALLPFKTLSMKVLQCSFFAGIKLKKIQPLLELLQPKLVLFPDRLKSQLNFSKNYTHLYYSENSTLRVPCLKEDFEVRLMRYLDFKLQPRILPHENLAIARLEGQFLVSNGNHLLVPVKNPTHISSKQQLNWGSVDPDLLLQALKDKGIDGLISYDETAPCHGVHSIHISAPDEAVIEIDSKQTVIFAANENLTAVICETFSSVCSGI >DRNTG_27917.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22897531:22910877:1 gene:DRNTG_27917 transcript:DRNTG_27917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTCLSMGHGLYCPPCHMLELCGFRVLLECPLDLSALTVFSPVIPNSAPDLIGAVPWYKTVKSLHLWDPSLIDLVIISTPYAMLGLPFLTCLHDFSGKIYATEAVVRIGCLMMEDLVSMHAEYNQFYGPDERSGCPGWMEWEELEKLPLELRDIVMGKDGEELGSWMPLYSATDVKECMLKIHSLRYGEEACYNSTLILKSFSSGLEIGSSNWMINGPRRNFTYLSSSIFKSAHAMGFDYYSLRGNDLILFSDLSVEMTHDGPNDAYGCKIYNETVVEDPLPYHISAVGANCASEQDLVKCLLENDEILEESDKIAFICSCVLDSLNEGGSVLIPIGRIGIVHFLLEKIWQYLELSNMMRRY >DRNTG_27917.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22897531:22910877:1 gene:DRNTG_27917 transcript:DRNTG_27917.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTCLSMGHGLYCPPCHMLELCGFRVLLECPLDLSALTVFSPVIPNSAPDLIGAVPWYKTVKSLHLWDPSLIDLVIISTPYAMLGLPFLTCLHDFSGKIYATEAVVRIGCLMMEDLVSMHAEYNQFYGPDERSGCPGWMEWEELEKLPLELRDIVMGKDGEELGSWMPLYSATDVKECMLKIHSLRYGEEACYNSTLILKSFSSGLEIGSSNWMINGPRRNFTYLSSSIFKSAHAMGFDYYSLRGNDLILFSDLSVEMTHDGPNDAYGCKIYNETVVEDPLPYHISAVGANCASEQDLVKCLLENDEILEESDKIAFICSCVLDSLNEGGSVLIPIGRIGIVHFLLEKIWQYLELSNMMRRY >DRNTG_27917.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22897531:22910877:1 gene:DRNTG_27917 transcript:DRNTG_27917.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTCLSMGHGLYCPPCHMLELCGFRVLLECPLDLSALTVFSPVIPNSAPDLIGAVPWYKTVKSLHLWDPSLIDLVIISTPYAMLGLPFLTCLHDFSGKIYATEAVVRIGCLMMEDLVSMHAEYNQFYGPDERSGCPGWMEWEELEKLPLELRDIVMGKDGEELGSWMPLYSATDVKECMLKIHSLRYGEEACYNSTLILKSFSSGLEIGSSNWMINGPRRNFTYLSSSIFKSAHAMGFDYYSLRGNDLILFSDLSVEMTHDGPNDAYGCKIYNETVVEDPLPYHISAVGANCASEQDLVKCLLENDEILEESDKIAFICSCVLDSLNEGGSVLIPIGRIGIVHFLLEKIWQYLELSNMMRRY >DRNTG_27917.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22897531:22910877:1 gene:DRNTG_27917 transcript:DRNTG_27917.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTCLSMGHGLYCPPCHMLELCGFRVLLECPLDLSALTVFSPVIPNSAPDLIGAVPWYKTVKSLHLWDPSLIDLVIISTPYAMLGLPFLTCLHDFSGKIYATEAVVRIGCLMMEDLVSMHAEYNQFYGPDERSGCPGWMEWEELEKLPLELRDIVMGKDGEELGSWMPLYSATDVKECMLKIHSLRYGEEACYNSTLILKSFSSGLEIGSSNWMINGPRRNFTYLSSSIFKSAHAMGFDYYSLRGNDLILFSDLSVEMTHDGPNDAYGCKIYNETVVEDPLPYHISAVGANCASEQDLVKCLLENDEILEESDKIAFICSCVLDSLNEGGSVLIPIGRIGIVHFLLEKIWQYLELSNMMVPIFMISTISEEILAFMNAMPEWLCEERQLKLFSGEPLFGHIELIKEKKLYLFPVLNSSNLSTQVD >DRNTG_27917.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22906578:22910912:1 gene:DRNTG_27917 transcript:DRNTG_27917.11 gene_biotype:protein_coding transcript_biotype:protein_coding MIWQEPCLVFCPHWNLRLGPAVHLLSRWHKDHKSLLILEEGVDAEMALLPFKTLSMKVLQCSFFAGIKLKKIQPLLELLQPKLVLFPDRLKSQLNFSKNYTHLYYSENSTLRVPCLKEDFEVRLMRYLDFKLQPRILPHENLAIARLEGQFLVSNGNHLLVPVKNPTHISSKQQLNWGSVDPDLLLQALKDKGIDGLISYDETAPCHGVHSIHISAPDEAVIEIDSKQTVIFAANENLTAVICETFSSVCSGI >DRNTG_27917.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22897531:22903835:1 gene:DRNTG_27917 transcript:DRNTG_27917.10 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTCLSMGHGLYCPPCHMLELCGFRVLLECPLDLSALTVFSPVIPNSAPDLIGAVPWYKTVKSLHLWDPSLIDLVIISTPYAMLGLPFLTCLHDFSGKIYATEAVVRIGCLMMEDLVSMHAEYNQFYGPDERSGCPGWMEWEELEKLPLELRDIVMGKDGEELGSWMPLYSATDVKECMLKIHSLRYGEEACYNSTLILKSFSSGLEIGSSNWMINGPRRNFTYLSSSIFKSAHAMGFDYYSLRGNDLILFSDLSVEMTHDGPNDAYGCKIYNETVVEDPLPYHISAVGANCASEQDLVKCLLENDEILEESDKIAFICSCVLDSLNEGGSVLIPIGRIGIVHFLLEKIWQYLELSNMMVGSFSLNLFAFNIYVFLLAF >DRNTG_27917.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22897531:22910877:1 gene:DRNTG_27917 transcript:DRNTG_27917.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTCLSMGHGLYCPPCHMLELCGFRVLLECPLDLSALTVFSPVIPNSAPDLIGAVPWYKTVKSLHLWDPSLIDLVIISTPYAMLGLPFLTCLHDFSGKIYATEAVVRIGCLMMEDLVSMHAEYNQFYGPDERSGCPGWMEWEELEKLPLELRDIVMGKDGEELGSWMPLYSATDVKECMLKIHSLRYGEEACYNSTLILKSFSSGLEIGSSNWMINGPRRNFTYLSSSIFKSAHAMGFDYYSLRGNDLILFSDLSVEMTHDGPNDAYGCKIYNETVVEDPLPYHISAVGANCASEQDLVKCLLENDEILEESDKIAFICSCVLDSLNEGGSVLIPIGRIGIVHFLLEKIWQYLELSNMMVPIFMISTISEEILAFMNAMPEWLCEERQLKLFSGEPLFGHIELIKEKKLYLFPVLNSSNLSTQVD >DRNTG_27917.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22906578:22910877:1 gene:DRNTG_27917 transcript:DRNTG_27917.12 gene_biotype:protein_coding transcript_biotype:protein_coding MIWQEPCLVFCPHWNLRLGPAVHLLSRWHKDHKSLLILEEGVDAEMALLPFKTLSMKVLQCSFFAGIKLKKIQPLLELLQPKLVLFPDRLKSQLNFSKNYTHLYYSENSTLRVPCLKEDFEVRLMRYLDFKLQPRILPHENLAIARLEGQFLVSNGNHLLVPVKNPTHISSKQQLNWGSVDPDLLLQALKDKGIDGLISYDETAPCHGVHSIHISAPDEAVIEIDSKQTVIFAANENLTAVICETFSSVCSGI >DRNTG_27917.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22904505:22910877:1 gene:DRNTG_27917 transcript:DRNTG_27917.9 gene_biotype:protein_coding transcript_biotype:protein_coding MISTISEEILAFMNAMPEWLCEERQLKLFSGEPLFGHIELIKEKKLYLFPVLNSSNLSMIWQEPCLVFCPHWNLRLGPAVHLLSRWHKDHKSLLILEEGVDAEMALLPFKTLSMKVLQCSFFAGIKLKKIQPLLELLQPKLVLFPDRLKSQLNFSKNYTHLYYSENSTLRVPCLKEDFEVRLMRYLDFKLQPRILPHENLAIARLEGQFLVSNGNHLLVPVKNPTHISSKQQLNWGSVDPDLLLQALKDKGIDGLISYDETAPCHGVHSIHISAPDEAVIEIDSKQTVIFAANENLTAVICETFSSVCSGI >DRNTG_15944.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000790.1:54919:57012:1 gene:DRNTG_15944 transcript:DRNTG_15944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCWSSGSANPRRTRDSAKCGNDECTVREAMMERRNGAGVHYELEKEHTKIWRNRKRRREGASPDF >DRNTG_15944.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000790.1:55112:57012:1 gene:DRNTG_15944 transcript:DRNTG_15944.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCWSSGSANPRRTRDSAKCGNDECTVREAMMERRNGAGVHYELEKEHTKIWRNRKRRREGASPDF >DRNTG_15944.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000790.1:55112:57012:1 gene:DRNTG_15944 transcript:DRNTG_15944.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCWSSGSANPRRTRDSAKCGNDECTVREAMMERRNGAGVHYELEKEHTKIWRNRKRRREGASPDF >DRNTG_06744.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000298.1:17444:18823:1 gene:DRNTG_06744 transcript:DRNTG_06744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWSSKAVTSPAMTVYFGMWIRFKLMRMLCNQREQANKLETYLYPDIHSKVEIIVEDSQNLRVGYCVDDSYEVIDQCSNSVDLAIRTCSCRRWQVYGIPCKHACAAIMQTDTNVHRFISGYFTVDNYKLAYKEAIFPIPDDDRPSDGNCELRLQPPMTRR >DRNTG_21767.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3019619:3024854:1 gene:DRNTG_21767 transcript:DRNTG_21767.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISGKWLKTLVGLRKSEKSHDLAKYENKRTIANNSWNQRKNSIDLNGAVIHDEYSGENASQIRDTNHQSNSNSVALASTSFNVQVSCQTEKIKKDEWAATVIQSAFRAFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRMALESQLGQQKHQQQLEHEAHVREIEEGWCDSVGSVEHIQAKLLKRQAAAAKRERAMAYALSHQWQAGSQRQPVPPGFEPDKSSWGWNWLERWMAVRAWENRFLDINLKDGVTVHATETSEGNNDTKTGLKHSLKKPVSKLNSNSPNQKTGQSNSEGSGSSGKSLSLKKPSALPSGKAKPKSSSERDGEAITRSSGMGPQSHSNPRERSTPSNSQAKKRLSLPVSGVGAGNRPANRMSTNVKKPIKDT >DRNTG_21767.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3019619:3024854:1 gene:DRNTG_21767 transcript:DRNTG_21767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISGKWLKTLVGLRKSEKSHDLAKYENKRTIANNSWNQRKNSIDLNGAVIHDEYSGENASQIRDTNHQSNSNSVALASTSFNVQVSCQTEKIKKDEWAATVIQSAFRAFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRMALESQLGQQKHQQQLEHEAHVREIEEGWCDSVGSVEHIQAKLLKRQAAAAKRERAMAYALSHQWQAGSQRQPVPPGFEPDKSSWGWNWLERWMAVRAWENRFLDINLKDGVTVHATETSEGNNDTKTGLKHSLKKPVSKLNSNSPNQKTGQSNSEGSGSSGKSLSLKKPSALPSGKAKPKSSSERDGEAITRSSGMGPQSHSNPRERSTPSNSQAKKRLSLPVSGVGAGNRPANRMSTNVKKPIKDT >DRNTG_22497.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2565839:2569127:-1 gene:DRNTG_22497 transcript:DRNTG_22497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKCSPPLSLPPPNSALLPPSRFKIASFASHGLRPSHSLQASRLFSKGRGFSRSFKPYFAASSEGIGSSDSISVVAIPSEPQVRTSFWNWRGYRIRYQFSGETGPALVLVHGFGANSDHWRKNISFLAKSSRVFSIDLIGYGYSDKPNPRDFEQDSFYTFETWAAQLNDFCEEVVRDRAFFICNSIGGVVGLQAAVLKPQVCKGIVLLNISLRMLHIKKQPWYGRPFIKSFQSILRNTALGKLFFNAVATPESVRSILCQCYHDTSAVTDELIQIILQPGLEPGAVDVFLEFICYSGGPLPEELILQVNCPVLVAWGDKDPWEPVELGKAYADFDTVDDFVILPDVGHCPQDEAPHLVNPLIEKFVACHTA >DRNTG_31179.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16254803:16265144:-1 gene:DRNTG_31179 transcript:DRNTG_31179.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFIPNAAMVHTHCFGESIDGENSMLFGPQVNESIDPIVLIDDEVVRISSISLRPINNMELADAICHSNASAKESSVKPGDIAVIYACELPEIKGKFDPAKAAALGLRPGPKYRELQLGNSVLSDHLDIMENIKSVSCIVHMGPASVTKRAEYQKWMKKFGSTQHIMAGHEMKNMEVPILKSSARITSRLKYLCPQLFPALGLRSVNQTNGLCLTSDGSCEDSSSIHCESIPAENLLKFHLRPYSQMGLDRSAIPSPLNTMEVVNELISEIPEIVDASTEISQFWEGNHLICLDDSVDLIEELLINKKSSVLRSNASGQVAAACMSDLSCPDPRQNNIKNHSDIPTCLRNISRDDMEIIFLGTGSSQPSKYRNVSSVFVNLFSRGNLLLDCGEGTLGQLKRRFGVSGADDAVKNLKFIWISHIHADHHAGLVRILALRFQLLKGVPHEPLLVIGPRQLQKFLNAYSRLEYLDMQFLDCRHTSEETINSFLHGQSLGESFYSEHTNKKDDISANQHFDQCNIIQNALDIPGSDVVDTSTVLPVLMKLKAVLSEAGLEVLYSVPVVHCPQAFGLVLKACGRKDGVGKFIPGWKLVYSGDTRPCQALIDASCDATVLIHEATFEDSLQDEAIVRNHSTTTEAIGVGTMAGAYRIILTHFSQRYPKIPVLDVAHTHNTCIAFDLMSINLADLPMLPKVLPYLKVMFRDQMGLEESEEILDGVL >DRNTG_31179.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16254724:16256791:-1 gene:DRNTG_31179 transcript:DRNTG_31179.12 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFQFHLRPYSQMGLDRSAIPSPLNTMEVVNELISEIPEIVDASTEISQFWEGNHLICLDDSVDLIEELLINKKSSVLRSNASGQVAAACMSDLSCPDPRQNNIKNHSDIPTCLRNISRDDMEIIFLGTGSSQPSKYRNVSSVFVNLFSRGNLLLDCGEGTLGQLKRRFGVSGADDAVKNLKFIWISHIHADHHAGLVRILALRFQLLKGVPHEPLLVIGPRQLQKFLNAYSRLEYLDMQFLDCRHTSEETINSFLHGQSLGESFYSEHTNKKDDISANQHFDQCNIIQNALDIPGSDVVDTSTVLPVLMKLKAVLSEAGLEVLYSVPVVHCPQAFGLVLKACGRKDGVGKFIPGWKLVYSGDTRPCQALIDASCDATVLIHEATFEDSLQDEAIVRNHSTTTEAIGVGTMAGAYRIILTHFSQRYPKIPVLDVAHTHNTCIAFDLMSINLADLPMLPKVLPYLKVMFRDQMGLEESEEILDGVL >DRNTG_31179.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16264279:16266470:-1 gene:DRNTG_31179 transcript:DRNTG_31179.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLQNINLIYSLNEFFLLQGLQRFCTEHKIKLSKIDHIFLTRVSSETAGGLPGLLLTLAG >DRNTG_31179.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16254803:16267739:-1 gene:DRNTG_31179 transcript:DRNTG_31179.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLQRFCTEHKIKLSKIDHIFLTRVSSETAGGLPGLLLTLAGMGQDGMSVNIWGPSDLNYLLDAMKSFIPNAAMVHTHCFGESIDGENSMLFGPQVNESIDPIVLIDDEVVRISSISLRPINNMELADAICHSNASAKESSVKPGDIAVIYACELPEIKGKFDPAKAAALGLRPGPKYRELQLGNSVLSDHLDIMVHPGDVLGSSSPGPIVLIIDCPTTFHIPDLLSKQSLNCYYVDSGDIAQENIKSVSCIVHMGPASVTKRAEYQKWMKKFGSTQHIMAGHEMKNMEVPILKSSARITSRLKYLCPQLFPALGLRSVNQTNGLCLTSDGSCEDSSSIHCESIPAENLLKFHLRPYSQMGLDRSAIPSPLNTMEVVNELISEIPEIVDASTEISQFWEGNHLICLDDSVDLIEELLINKKSSVLRSNASGQVAAACMSDLSCPDPRQNNIKNHSDIPTCLRNISRDDMEIIFLGTGSSQPSKYRNVSSVFVNLFSRGNLLLDCGEGTLGQLKRRFGVSGADDAVKNLKFIWISHIHADHHAGLVRILALRFQLLKGVPHEPLLVIGPRQLQKFLNAYSRLEYLDMQFLDCRHTSEETINSFLHGQSLGESFYSEHTNKKDDISANQHFDQCNIIQNALDIPGSDVVDTSTVLPVLMKLKAVLSEAGLEVLYSVPVVHCPQAFGLVLKACGRKDGVGKFIPGWKLVYSGDTRPCQALIDASCDATVLIHEATFEDSLQDEAIVRNHSTTTEAIGVGTMAGAYRIILTHFSQRYPKIPVLDVAHTHNTCIAFDLMSINLADLPMLPKVLPYLKVMFRDQMGLEESEEILDGVL >DRNTG_31179.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16254803:16256791:-1 gene:DRNTG_31179 transcript:DRNTG_31179.13 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFQFHLRPYSQMGLDRSAIPSPLNTMEVVNELISEIPEIVDASTEISQFWEGNHLICLDDSVDLIEELLINKKSSVLRSNASGQVAAACMSDLSCPDPRQNNIKNHSDIPTCLRNISRDDMEIIFLGTGSSQPSKYRNVSSVFVNLFSRGNLLLDCGEGTLGQLKRRFGVSGADDAVKNLKFIWISHIHADHHAGLVRILALRFQLLKGVPHEPLLVIGPRQLQKFLNAYSRLEYLDMQFLDCRHTSEETINSFLHGQSLGESFYSEHTNKKDDISANQHFDQCNIIQNALDIPGSDVVDTSTVLPVLMKLKAVLSEAGLEVLYSVPVVHCPQAFGLVLKACGRKDGVGKFIPGWKLVYSGDTRPCQALIDASCDATVLIHEATFEDSLQDEAIVRNHSTTTEAIGVGTMAGAYRIILTHFSQRYPKIPVLDVAHTHNTCIAFDLMSINLADLPMLPKVLPYLKVMFRDQMGLEESEEILDGVL >DRNTG_31179.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16254803:16266470:-1 gene:DRNTG_31179 transcript:DRNTG_31179.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLQNINLIYSLNEFFLLQGLQRFCTEHKIKLSKIDHIFLTRVSSETAGGLPGLLLTLAGMGQDGMSVNIWGPSDLNYLLDAMKSFIPNAAMVHTHCFGESIDGENSMLFGPQVNESIDPIVLIDDEVVRISSISLRPINNMELADAICHSNASGMNPLISGHKTTQLQYPLMHCSQNIHAAKESSVKPGDIAVIYACELPEIKGKFDPAKAAALGLRPGPKYRELQLGNSVLSDHLDIMVHPGDVLGSSSPGPIVLIIDCPTTFHIPDLLSKQSLNCYYVDSGDIAQENIKSVSCIVHMGPASVTKRAEYQKWMKKFGSTQHIMAGHEMKNMEVPILKSSARITSRLKYLCPQLFPALGLRSVNQTNGLCLTSDGSCEDSSSIHCESIPAENLLKFHLRPYSQMGLDRSAIPSPLNTMEVVNELISEIPEIVDASTEISQFWEGNHLICLDDSVDLIEELLINKKSSVLRSNASGQVAAACMSDLSCPDPRQNNIKNHSDIPTCLRNISRDDMEIIFLGTGSSQPSKYRNVSSVFVNLFSRGNLLLDCGEGTLGQLKRRFGVSGADDAVKNLKFIWISHIHADHHAGLVRILALRFQLLKGVPHEPLLVIGPRQLQKFLNAYSRLEYLDMQFLDCRHTSEETINSFLHGQSLGESFYSEHTNKKDDISANQHFDQCNIIQNALDIPGSDVVDTSTVLPVLMKLKAVLSEAGLEVLYSVPVVHCPQAFGLVLKACGRKDGVGKFIPGWKLVYSGDTRPCQALIDASCDATVLIHEATFEDSLQDEAIVRNHSTTTEAIGVGTMAGAYRIILTHFSQRYPKIPVLDVAHTHNTCIAFDLMSINLADLPMLPKVLPYLKVMFRDQMGLEESEEILDGVL >DRNTG_31179.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16254803:16255227:-1 gene:DRNTG_31179 transcript:DRNTG_31179.15 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAYRIILTHFSQRYPKIPVLDVAHTHNTCIAFDLMSINLADLPMLPKVLPYLKVMFRDQMGLEESEEILDGVL >DRNTG_31179.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16254803:16262159:-1 gene:DRNTG_31179 transcript:DRNTG_31179.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDRSAIPSPLNTMEVVNELISEIPEIVDASTEISQFWEGNHLICLDDSVDLIEELLINKKSSVLRSNASGQVAAACMSDLSCPDPRQNNIKNHSDIPTCLRNISRDDMEIIFLGTGSSQPSKYRNVSSVFVNLFSRGNLLLDCGEGTLGQLKRRFGVSGADDAVKNLKFIWISHIHADHHAGLVRILALRFQLLKGVPHEPLLVIGPRQLQKFLNAYSRLEYLDMQFLDCRHTSEETINSFLHGQSLGESFYSEHTNKKDDISANQHFDQCNIIQNALDIPGSDVVDTSTVLPVLMKLKAVLSEAGLEVLYSVPVVHCPQAFGLVLKACGRKDGVGKFIPGWKLVYSGDTRPCQALIDASCDATVLIHEATFEDSLQDEAIVRNHSTTTEAIGVGTMAGAYRIILTHFSQRYPKIPVLDVAHTHNTCIAFDLMSINLADLPMLPKVLPYLKVMFRDQMGLEESEEILDGVL >DRNTG_31179.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16261914:16266470:-1 gene:DRNTG_31179 transcript:DRNTG_31179.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLQNINLIYSLNEFFLLQGLQRFCTEHKIKLSKIDHIFLTRVSSETAGGLPGLLLTLAG >DRNTG_31179.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16262252:16265144:-1 gene:DRNTG_31179 transcript:DRNTG_31179.10 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFIPNAAMVHTHCFGESIDGENSMLFGPQVNESIDPIVLIDDEVVRISSISLRPINNMELADAICHSNASAKESSVKPGDIAVIYACELPEIKGKFDPAKAAALGLRPGPKYRELQLGNSVLSDHLDIMVHPGDVLGSSSPGPIVLIIDCPTTFHIPDLLSKQSLNCYYVDSGDIAQENIKSVSCIVHMGPASVTKRAEYQKWMKKFGSTQHIMAGHEMKNMEVPILKSSARITSRLKYLCPQLFPALGLRSVNQTNGLCLTSDGSCE >DRNTG_31179.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16254803:16264162:-1 gene:DRNTG_31179 transcript:DRNTG_31179.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGPASVTKRAEYQKWMKKFGSTQHIMAGHEMKNMEVPILKSSARITSRLKYLCPQLFPALGLRSVNQTNGLCLTSDGSCEDSSSIHCESIPAENLLKFHLRPYSQMGLDRSAIPSPLNTMEVVNELISEIPEIVDASTEISQFWEGNHLICLDDSVDLIEELLINKKSSVLRSNASGQVAAACMSDLSCPDPRQNNIKNHSDIPTCLRNISRDDMEIIFLGTGSSQPSKYRNVSSVFVNLFSRGNLLLDCGEGTLGQLKRRFGVSGADDAVKNLKFIWISHIHADHHAGLVRILALRFQLLKGVPHEPLLVIGPRQLQKFLNAYSRLEYLDMQFLDCRHTSEETINSFLHGQSLGESFYSEHTNKKDDISANQHFDQCNIIQNALDIPGSDVVDTSTVLPVLMKLKAVLSEAGLEVLYSVPVVHCPQAFGLVLKACGRKDGVGKFIPGWKLVYSGDTRPCQALIDASCDATVLIHEATFEDSLQDEAIVRNHSTTTEAIGVGTMAGAYRIILTHFSQRYPKIPVLDVAHTHNTCIAFDLMSINLADLPMLPKVLPYLKVMFRDQMGLEESEEILDGVL >DRNTG_31179.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16254803:16267739:-1 gene:DRNTG_31179 transcript:DRNTG_31179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKQGLSFNKRRVKGSDKVGEPKDLKLKSRKLNPVNTTCYVQILGTGMDTQDTSPSVLLFFDNQRFIFNAGEGLQRFCTEHKIKLSKIDHIFLTRVSSETAGGLPGLLLTLAGMGQDGMSVNIWGPSDLNYLLDAMKSFIPNAAMVHTHCFGESIDGENSMLFGPQVNESIDPIVLIDDEVVRISSISLRPINNMELADAICHSNASAKESSVKPGDIAVIYACELPEIKGKFDPAKAAALGLRPGPKYRELQLGNSVLSDHLDIMVHPGDVLGSSSPGPIVLIIDCPTTFHIPDLLSKQSLNCYYVDSGDIAQENIKSVSCIVHMGPASVTKRAEYQKWMKKFGSTQHIMAGHEMKNMEVPILKSSARITSRLKYLCPQLFPALGLRSVNQTNGLCLTSDGSCEDSSSIHCESIPAENLLKFHLRPYSQMGLDRSAIPSPLNTMEVVNELISEIPEIVDASTEISQFWEGNHLICLDDSVDLIEELLINKKSSVLRSNASGQVAAACMSDLSCPDPRQNNIKNHSDIPTCLRNISRDDMEIIFLGTGSSQPSKYRNVSSVFVNLFSRGNLLLDCGEGTLGQLKRRFGVSGADDAVKNLKFIWISHIHADHHAGLVRILALRFQLLKGVPHEPLLVIGPRQLQKFLNAYSRLEYLDMQFLDCRHTSEETINSFLHGQSLGESFYSEHTNKKDDISANQHFDQCNIIQNALDIPGSDVVDTSTVLPVLMKLKAVLSEAGLEVLYSVPVVHCPQAFGLVLKACGRKDGVGKFIPGWKLVYSGDTRPCQALIDASCDATVLIHEATFEDSLQDEAIVRNHSTTTEAIGVGTMAGAYRIILTHFSQRYPKIPVLDVAHTHNTCIAFDLMSINLADLPMLPKVLPYLKVMFRDQMGLEESEEILDGVL >DRNTG_31179.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16255445:16265144:-1 gene:DRNTG_31179 transcript:DRNTG_31179.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIRCYSAGLLLTLAGMGQDGMSVNIWGPSDLNYLLDAMKSFIPNAAMVHTHCFGESIDGENSMLFGPQVNESIDPIVLIDDEVVRISSISLRPINNMELADAICHSNASAKESSVKPGDIAVIYACELPEIKGKFDPAKAAALGLRPGPKYRELQLGNSVLSDHLDIMVHPGDVLGSSSPGPIVLIIDCPTTFHIPDLLSKQSLNCYYVDSGDIAQENIKSVSCIVHMGPASVTKRAEYQKWMKKFGSTQHIMAGHEMKNMEVPILKSSARITSRLKYLCPQLFPALGLRSVNQTNGLCLTSDGSCEDSSSIHCESIPAENLLKFHLRPYSQMGLDRSAIPSPLNTMEVVNELISEIPEIVDASTEISQFWEGNHLICLDDSVDLIEELLINKKSSVLRSNASGQVAAACMSDLSCPDPRQNNIKNHSDIPTCLRNISRDDMEIIFLGTGSSQPSKYRNVSSVFVNLFSRGNLLLDCGEGTLGQLKRRFGVSGADDAVKNLKFIWISHIHADHHAGLVRILALRFQLLKGVPHEPLLVIGPRQLQKFLNAYSRLEYLDMQFLDCRHTSEETINSFLHGQSLGESFYSEHTNKKDDISANQHFDQCNIIQNALDIPGSDVVDTSTVLPVLMKLKAVLSEAGLEVLYSVPVVHCPQAFGLVLKACGRKDGVGKFIPGWKLVYSGDTRPCQALIDASCDATVLIHE >DRNTG_31179.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16254803:16267739:-1 gene:DRNTG_31179 transcript:DRNTG_31179.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKQGLSFNKRRVKGSDKVGEPKDLKLKSRKLNPVNTTCYVQILGTGMDTQDTSPSVLLFFDNQRFIFNAGEGLQRFCTEHKIKLSKIDHIFLTRVSSETAGGLPGLLLTLAG >DRNTG_31179.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16267322:16267739:-1 gene:DRNTG_31179 transcript:DRNTG_31179.16 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKQGLSFNKRRVKGSDKVGEPKDLKLKSRKLNPVNTTCYVQILGTGMDTQDTSPSVLLFFDNQRFIFNAGE >DRNTG_31179.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16254724:16255227:-1 gene:DRNTG_31179 transcript:DRNTG_31179.14 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAYRIILTHFSQRYPKIPVLDVAHTHNTCIAFDLMSINLADLPMLPKVLPYLKVMFRDQMGLEESEEILDGVL >DRNTG_02379.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7753183:7754143:1 gene:DRNTG_02379 transcript:DRNTG_02379.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTLAVTSPPGQPLSPLPKPSPSTSTPTLHCRAESLSLLLLLHQNESLFRSRPCTQLQAIIDAEQVDFL >DRNTG_33224.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19384285:19384701:1 gene:DRNTG_33224 transcript:DRNTG_33224.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHVQGGQCGNQIGAKFWEVICDEHGIDNTGKYTGDSDIQIERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPVGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQ >DRNTG_15792.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21622927:21623634:1 gene:DRNTG_15792 transcript:DRNTG_15792.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTTLHLHFLLILPIGFLCNREQSKSCMQSERLALVKFKTGLQDPHHLLSSWEGEDCCTWRGVHCDNETWHVVSLDLQYHHLYHGLSNGGRLSGEINPSLLSLKHLNRLDLSSNNFNFQGNRDSQLLWFTYWFELSESL >DRNTG_30463.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18664583:18667175:1 gene:DRNTG_30463 transcript:DRNTG_30463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCPPRSTTFNVRITAPAARTANATAKTSDFFTDADHDKMRPRNTMKKKGPHRSQSRSAFPGSSRDGFGNTTSFKLLTIRDRSLFHRVPHRLRQRVGRRSETIHRDPRTWRPRGTARRDWGENRSNGRRGRWRWLGVGRGREVGGDEGGG >DRNTG_20459.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4623345:4626882:1 gene:DRNTG_20459 transcript:DRNTG_20459.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADGTTWPRVSALVVAVAVAVASASIAASFLSTSISRRKSKRMASKIRDLEASLEAALEKCASERRGRVNAQQALRKALAQQSSDEMRPRPGQPLPSLCYPMVPIGTVRSCFSTRYGTPRQPLLVPLARACVVFDQVRVPPDALEGLANYSHCWILYVFHLNTNLDKLWEHPARSKMKAKVRVPRLKGGKMGVLATRSPHRPCPIGLTVAKVEAVDGRAVMLSGVDLVDGTPVLDVKPYLPYSDCIQDATIPDWVKVDDTLTVASVSFSADFLSSLSDCWMAAERQSMYSSAEEFQCLIKEVLSWDIRSSSQQSQLHHQGLEVESYVKSDTVENGGGSDLYEPADDTVTYHLVLEGICVSYKIDCYSNVIVERADLVTTSKNPSNYQLNHSNWRAMMAHLHQ >DRNTG_07949.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1063863:1065638:-1 gene:DRNTG_07949 transcript:DRNTG_07949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTTMFANTNIKTDTIVSTAMSIAATAVFIRTFATDLIPDTLYSYVSTLFTRLSSQITITIDEFDNRLPNHLFRAAETYLGAMASSSARNLRAAKQDDENAINISIDRGEQVVDRFLGFTFTWRLVSRESNKQVVNYRRNHYKEVSTPSEARSFQLSFHKRNKELAVSAYLPHVITQAKTIKDGAKTLKLYTNDDCAWSASNLRHPATFATLAMEPALKKAIVEDLERFVKRKEYYKRVGKAWKRGYLLYGPPGTGKSSLVAAMAEFLKFDVYDLELNGVSSNSGLRTLLAAMENQSILVVEDIDCSMELQRREAADEEKVTLSGMLNLVDGLWSSSAEEKIIVFTTNHKERLDPALLRPGRMDMHIHMGYCTAAGFRILVSNYHGVDEHPLFGKIDQLIGEVEVSPAEVAEELMKSDDVNVALRGLVNLLHVKKNSA >DRNTG_10870.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19949700:19952837:-1 gene:DRNTG_10870 transcript:DRNTG_10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELQGPSSSSSSSSSSSSSSSSSSSSSSLYLRVVLSGIKLFVCIIFLGWLMIWVIMPTNTYNKEWSPKLQSATTSTYFGSQGTNILIYTFPILFIAVLGCIYLHLAKQSGHTYHGGPLSKVLQAWRRPFLLMGPLGVISAVELTFCLMFLALLVWCFSMYLNNTLSHISQNSTENGEKLWMVKLGDVSYWSGFVGHIAAAFLFFPVARMSSLLPLIGLSSEASIKYHVWIGHIVMLLFSAHGFGFIIVWAATGTLYKLLKWEAVGGSIIAGGLALVCGLIMWITAIPRIRRKIFELFYYTHHLYILFIVFYILHVGVSAFCLILPGVYLFLVDRFLRFLQSRQKIRLVSARLLPSEAVELNFSKSTGCCYSPTSTVFIKVANCSSLQWHPFTICSSSSLEPESLSIIIKREGNWTKNLYQILSSPSSPQRLDVSIEGPYGPPSLDFLRYDSLVMVSGGSGITPFISIIRELIHRSKTQRSRTPGVLLICAFKTSAELSMLNLLLPISGQVFNLSSLELRIEAYVTRDKALIPNAQNLIRTKWFKPIPSDSPISHVLGPNSWLWLGAVIATSFIAFLVLLGILTRCYIYPIDHNTDLVYNYSKKSMLNLLFICVCITASCTAAVLWNKRGNSIELKQIQSMDFPSSWSYNVDTEIESVPHESIIHSINVHFGERPDLKKMLLECKELNTGVMASGPRRLRHEVARICSSGLANNLHFESISFSW >DRNTG_22852.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:16224872:16231060:1 gene:DRNTG_22852 transcript:DRNTG_22852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSTDARFNSLTSSMDAQFGKVNAQLTQQAEQFSEIGSVLRNLQASVKSLEHRVGELGKANSERPLGCLSSNTEDNPREHLKAIALRSGRQVETRDKVDPSVKKSGEVLSINPLDEYLGELENEEQEEPHYHPQIHNLKQPKKKVSCTNAKEKEKKESFVKKMWREIHGRKKKGTKLHHPTSQEGKGCLTLKDWPPNAKNHRTLIYTG >DRNTG_14458.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:16049784:16052586:1 gene:DRNTG_14458 transcript:DRNTG_14458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFLSWKLSCATVAAGFLLFFAQIIFSCPPWRFRAFIP >DRNTG_10157.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9865946:9868476:-1 gene:DRNTG_10157 transcript:DRNTG_10157.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLSKKIVKKRVKKFKRPQSDRKICVKPSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNRFKKFVVHNTSELELLMMHNRTYCAEIAHNVSTKKRKEIVERAAQLDIVVTNKLARLRSQEDE >DRNTG_10157.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9865946:9868476:-1 gene:DRNTG_10157 transcript:DRNTG_10157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLSKKIVKKRVKKFKRPQSDRKICVKPSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNRFKKFVVHNTSELELLMMHNRTYCAEIAHNVSTKKRKEIVERAAQLDIVVTNKLARLRSQEDE >DRNTG_34216.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2043377:2046417:1 gene:DRNTG_34216 transcript:DRNTG_34216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTRFCHSHILLDGKQMLYKGCNYVIKSAPNGQIYCGKPVLRAAIPSLCPVHFQKAQKHVSQALRKAGLNGPSTVRHAPKFHVILAEYVSQVQAKRRELLNSNGDNNGEKDEKIS >DRNTG_34216.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2043377:2046417:1 gene:DRNTG_34216 transcript:DRNTG_34216.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTRFCHSHILLDGKQMLYKGCNYVIKRYWILSMFLFLVFLLFFLGF >DRNTG_34503.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32678223:32678572:-1 gene:DRNTG_34503 transcript:DRNTG_34503.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSSSAMAASLTCGSNDSVNGLKFGMKIYFEDVTTAAGGGVGGSSSKGSSSVTAKKGKGVVQGVQQAPRCQVEGCNVDLSDVKAYYSRHKVCAIHSKS >DRNTG_34503.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32678223:32678689:-1 gene:DRNTG_34503 transcript:DRNTG_34503.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSSSAMAASLTCGSNDSVNGLKFGMKIYFEDVTTAAGGGVGGSSSKGSSSVTAKKGKGVVQGVQQAPRCQVEGCNVDLSDVKAYYSRHKVCAIHSKS >DRNTG_09265.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:511310:517510:-1 gene:DRNTG_09265 transcript:DRNTG_09265.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase ERECTA [Source:Projected from Arabidopsis thaliana (AT2G26330) UniProtKB/Swiss-Prot;Acc:Q42371] MEPVWSLLPLLFFLFSVAFSVASVHAAGDSNDGLTLLEIKKSFRNVDNILYDWTDAPSSDYCSWRGVTCDNVTFDVVALNLSGLNLDGEISPAIGDLKSLVSIDLKGNRLSGQIPDEIGDCSSLKTLDLSFNNIDGDIPFSISKLKQLEDLILKNNQLIGPIPSTLSQIPNLKILDLAQNNLSGDIPRLIYWNEVLQYLGLRGNNLEGTLSPDMCQLTGLWYFDVKNNSLSGTIPQSIGNCTSFQVLDLSYNKFTGEIPFNIGFLQVATLSLQGNNFSGNIPSVLGLMQALAVLDLSCNQLDGPIPPILGNLTYTEKLYLQGNRLTGPIPPELGNMTRLHYLELNDNQLTGRIPPELGKLTDLFDLNVANNNLEGLLPQNLSSCVNLNSLNAYGNRLNGTIPRALENLESMTYLNLSSNNLKGPIPIELSKISNLDTLDLSCNKISGPIPSSIGDLEHLLKLNLSNNGIVGCIPVEFGNLRSVMEIDLSNNHLSGVIPQELGLLQNLNLLNIDRNNLSGDIMSLTNCFSLNVLNVSYNNLAGDIPTLNNFSRFSPESFIGNPGLCGYWLGSPCRSSHPPPRATISKAAILGIALGALVILLMILVAACRPHNPPPFIDTTLNKPAVRPVSPKLVILHMNMALHVYEDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYMHYPHSLKEFETELETVGSIKHRNLVSLQGYSLSPSGNLLFYDYMENGSLWDLLHGPSKKKKLDWETRLNIALGAAQGLAYLHHDCSPRIIHRDVKSSNILLDKDFEAHLTDFGIAKSLCVSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKAVDNESNLQHLILSKAATNAVMETVDPDISATCKDLGAVKKVFQLALLCTKKQSSDRPTMHEVTRVLGSLVQPLPPPKQAQQLALLPLPTTDSALPSYMNEYANLKNPTALTCTASLSSSDAQLFLKFGEVISQNTD >DRNTG_09265.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:511310:517510:-1 gene:DRNTG_09265 transcript:DRNTG_09265.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase ERECTA [Source:Projected from Arabidopsis thaliana (AT2G26330) UniProtKB/Swiss-Prot;Acc:Q42371] MEPVWSLLPLLFFLFSVAFSVASVHAAGDSNDGLTLLEIKKSFRNVDNILYDWTDAPSSDYCSWRGVTCDNVTFDVVALNLSGLNLDGEISPAIGDLKSLVSIDLKGNRLSGQIPDEIGDCSSLKTLDLSFNNIDGDIPFSISKLKQLEDLILKNNQLIGPIPSTLSQIPNLKILDLAQNNLSGDIPRLIYWNEVLQYLGLRGNNLEGTLSPDMCQLTGLWYFDVKNNSLSGTIPQSIGNCTSFQVLDLSYNKFTGEIPFNIGFLQVATLSLQGNNFSGNIPSVLGLMQALAVLDLSCNQLDGPIPPILGNLTYTEKLYLQGNRLTGPIPPELGNMTRLHYLELNDNQLTGRIPPELGKLTDLFDLNVANNNLEGLLPQNLSSCVNLNSLNAYGNRLNGTIPRALENLESMTYLNLSSNNLKGPIPIELSKISNLDTLDLSCNKISGPIPSSIGDLEHLLKLNLSNNGIVGCIPVEFGNLRSVMEIDLSNNHLSGVIPQELGLLQNLNLLNIDRNNLSGDIMSLTNCFSLNVLNVSYNNLAGDIPTLNNFSRFSPESFIGNPGLCGYWLGSPCRSSHPPPRATISKAAILGIALGALVILLMILVAACRPHNPPPFIDTTLNKPVRPVSPKLVILHMNMALHVYEDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYMHYPHSLKEFETELETVGSIKHRNLVSLQGYSLSPSGNLLFYDYMENGSLWDLLHGPSKKKKLDWETRLNIALGAAQGLAYLHHDCSPRIIHRDVKSSNILLDKDFEAHLTDFGIAKSLCVSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKAVDNESNLQHLILSKAATNAVMETVDPDISATCKDLGAVKKVFQLALLCTKKQSSDRPTMHEVTRVLGSLVQPLPPPKQAQQLALLPLPTTDSALPSYMNEYANLKNPTALTCTASLSSSDAQLFLKFGEVISQNTD >DRNTG_07489.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3918976:3920856:-1 gene:DRNTG_07489 transcript:DRNTG_07489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDEEVKKGHIVEARARNISHNVRCTEWGSQLIEDFQADVAILLRKVIVAGVTAEAWAYQRYRQKTNVHIMALNLIRGVSLTPKEKQIMLELLTPPPTPRNKWRWRH >DRNTG_10805.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17488779:17489066:1 gene:DRNTG_10805 transcript:DRNTG_10805.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGGAGSGSGSGYGEGGGYGSGGGHGRP >DRNTG_17593.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7421209:7421511:1 gene:DRNTG_17593 transcript:DRNTG_17593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEASSKLSSSNPMKQTSPKNAMADL >DRNTG_09814.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26742187:26743209:1 gene:DRNTG_09814 transcript:DRNTG_09814.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase proenzyme 4 [Source:Projected from Arabidopsis thaliana (AT5G18930) UniProtKB/Swiss-Prot;Acc:Q3E9D5] MALSGFEGFEKRLELHFSGDDPLGLRLLPIDAIQQVLDAVQCSIVSAASNLHFDSYVLSESSLFIYPQKIIIKTCGTTQLLKSITCLLNHTAFMNLHLHHCRYTRGSFIFPKAQPFPHTSFTEEVLFLEQTLPTTLCYRKARVLPSKTSHSWHVYTATDLCMAAAPPAGELTVEVCMTELDRVLAKRFYRRKGECEATGHEAGKEMTELSGIDGINPRAIICDFAFEPCGYSMNGLDRDRYSTIHVTPEDGYSYASFECVVRAAGAEEEITDMIRRAARVFRPGSISVSVCMQTSDNDGIVWKAVTDALKPLGMSCRSRVAEGFPGVGTVSYQTFISRRK >DRNTG_11123.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30483920:30486105:1 gene:DRNTG_11123 transcript:DRNTG_11123.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQLGHSEVENVSLPCLVEHFQQLKSTGVKVCSVKAGAMSSFAIDNLGGLWTWGNCPQPSNDEDEGFCFLSYPSPMPVVDFYGRSVVKVACGNEHVVALVTAGEVHTGGGDDLLCYSWGNNNHGQLGLGDTISRSKPEIINVFNQECPWSVFDISCGAFHTALLTHRKSGGGQHAHCMCWTFGLGENGQLGHGTTANECLPRAVKGLPEDAVLVSLDCGLFHTSVVSSAGDVWSWGMEKGLGLCPDASFTGDDAGDALSPIRMHCAEGVKQVACGAAHTLVVADDGHKLWAWGRGRSGVLGHGKTTDSFMPFPVLWPRPDEDFKENNMDAQNEKTKPSNQEAGKLANTSEEIEFLRSKLTLMERYASLLHVSIFRKQLDDRNLPQSLRGSGVFDIGKELETILESADDDELARMQMFYRNMLASVKDKLLKRRVQEMVKESLKSLSAGSHSYTRP >DRNTG_11123.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30483920:30486930:1 gene:DRNTG_11123 transcript:DRNTG_11123.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKVVQIAAGEAHTLALAGDGSVLSWGRGTFGRLGTGKEHDEHSPVRVYFPDASSPEKTSDPSVHSGNSDSSRPLCVGIAAGAYHSLALQDDGSVWSWGYNTYGQLGHSEVENVSLPCLVEHFQQLKSTGVKVCSVKAGAMSSFAIDNLGGLWTWGNCPQPSNDEDEGFCFLSYPSPMPVVDFYGRSVVKVACGNEHVVALVTAGEVHTGGGDDLLCYSWGNNNHGQLGLGDTISRSKPEIINVFNQECPWSVFDISCGAFHTALLTHRKSGGGQHAHCMCWTFGLGENGQLGHGTTANECLPRAVKGLPEDAVLVSLDCGLFHTSVVSSAGDVWSWGMEKGLGLCPDASFTGDDAGDALSPIRMHCAEGVKQVACGAAHTLVVADDGHKLWAWGRGRSGVLGHGKTTDSFMPFPVLWPRPDEDFKENNMDAQNEKTKPSNQEAGKLANTSEEIEFLRSKLTLMERYASLLHVSIFRKQLDDRNLPQSLRGSGVFDIGKELETILESADDDELARMQMFYRNMLASVKDKLLKRRVQEMVKESLKSLSAGSHSYTRP >DRNTG_11123.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30483920:30487758:1 gene:DRNTG_11123 transcript:DRNTG_11123.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLFGHGATILLGHSEVENVSLPCLVEHFQQLKSTGVKVCSVKAGAMSSFAIDNLGGLWTWGNCPQPSNDEDEGFCFLSYPSPMPVVDFYGRSVVKVACGNEHVVALVTAGEVHTGGGDDLLCYSWGNNNHGQLGLGDTISRSKPEIINVFNQECPWSVFDISCGAFHTALLTHRKSGGGQHAHCMCWTFGLGENGQLGHGTTANECLPRAVKGLPEDAVLVSLDCGLFHTSVVSSAGDVWSWGMEKGLGLCPDASFTGDDAGDALSPIRMHCAEGVKQVACGAAHTLVVADDGHKLWAWGRGRSGVLGHGKTTDSFMPFPVLWPRPDEDFKENNMDAQNEKTKPSNQEAGKLANTSEEIEFLRSKLTLMERYASLLHVSIFRKQLDDRNLPQSLRGSGVFDIGKELETILESADDDELARMQMFYRNMLASVKDKLLKRRVQEMVKESLKSLSAGSHSYTRP >DRNTG_11123.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30483920:30487758:1 gene:DRNTG_11123 transcript:DRNTG_11123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKVVQIAAGEAHTLALAGDGSVLSWGRGTFGRLGTGKEHDEHSPVRVYFPDASSPEKTSDPSVHSGNSDSSRPLCVGIAAGAYHSLALQDDGSVWSWGYNTYGQLGHSEVENVSLPCLVEHFQQLKSTGVKVCSVKAGAMSSFAIDNLGGLWTWGNCPQPSNDEDEGFCFLSYPSPMPVVDFYGRSVVKVACGNEHVVALVTAGEVHTGGGDDLLCYSWGNNNHGQLGLGDTISRSKPEIINVFNQECPWSVFDISCGAFHTALLTHRKSGGGQHAHCMCWTFGLGENGQLGHGTTANECLPRAVKGLPEDAVLVSLDCGLFHTSVVSSAGDVWSWGMEKGLGLCPDASFTGDDAGDALSPIRMHCAEGVKQVACGAAHTLVVADDGHKLWAWGRGRSGVLGHGKTTDSFMPFPVLWPRPDEDFKENNMDAQNEKTKPSNQEAGKLANTSEEIEFLRSKLTLMERYASLLHVSIFRKQLDDRNLPQSLRGSGVFDIGKELETILESADDDELARMQMFYRNMLASVKDKLLKRRVQEMVKESLKSLSAGSHSYTRP >DRNTG_11123.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30483920:30486105:1 gene:DRNTG_11123 transcript:DRNTG_11123.10 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLFGHGATILLGHSEVENVSLPCLVEHFQQLKSTGVKVCSVKAGAMSSFAIDNLGGLWTWGNCPQPSNDEDEGFCFLSYPSPMPVVDFYGRSVVKVACGNEHVVALVTAGEVHTGGGDDLLCYSWGNNNHGQLGLGDTISRSKPEIINVFNQECPWSVFDISCGAFHTALLTHRKSGGGQHAHCMCWTFGLGENGQLGHGTTANECLPRAVKGLPEDAVLVSLDCGLFHTSVVSSAGDVWSWGMEKGLGLCPDASFTGDDAGDALSPIRMHCAEGVKQVACGAAHTLVVADDGHKLWAWGRGRSGVLGHGKTTDSFMPFPVLWPRPDEDFKENNMDAQNEKTKPSNQEAGKLANTSEEIEFLRSKLTLMERYASLLHVSIFRKQLDDRNLPQSLRGSGVFDIGKELETILESADDDELARMQMFYRNMLASVKDKLLKRRVQEMVKESLKSLSAGSHSYTRP >DRNTG_11123.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30483920:30487758:1 gene:DRNTG_11123 transcript:DRNTG_11123.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKVVQIAAGEAHTLALAGDGSVLSWGRGTFGRLGTGKEHDEHSPVRVYFPDASSPEKTSDPSVHSGNSDSSRPLCVGIAAGAYHSLALQDDGSVWSWGYNTYGQLGHSEVENVSLPCLVEHFQQLKSTGVKVCSVKAGAMSSFAIDNLGGLWTWGNCPQPSNDEDEGFCFLSYPSPMPVVDFYGRSVVKVACGNEHVVALVTAGEVHTGGGDDLLCYSWGNNNHGQLGLGDTISRSKPEIINVFNQECPWSVFDISCGAFHTALLTHRKSGGGQHAHCMCWTFGLGENGQLGHGTTANECLPRAVKGLPEDAVLVSLDCGLFHTSVVSSAGDVWSWGMEKGLGLCPDASFTGDDAGDALSPIRMHCAEGVKQVACGAAHTLVVADDGHKLWAWGRGRSGVLGHGKTTDSFMPFPVLWPRPDEDFKENNMDAQNEKTKPSNQEAGKLANTSEEIEFLRSKLTLMERYASLLHVSIFRKQLDDRNLPQSLRGSGVFDIGKELETILESADDDELARMQMFYRNMLASVKDKLLKRRVQEMVKESLKSLSAGSHSYTRP >DRNTG_11123.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30483920:30486930:1 gene:DRNTG_11123 transcript:DRNTG_11123.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLFGHGATILLGHSEVENVSLPCLVEHFQQLKSTGVKVCSVKAGAMSSFAIDNLGGLWTWGNCPQPSNDEDEGFCFLSYPSPMPVVDFYGRSVVKVACGNEHVVALVTAGEVHTGGGDDLLCYSWGNNNHGQLGLGDTISRSKPEIINVFNQECPWSVFDISCGAFHTALLTHRKSGGGQHAHCMCWTFGLGENGQLGHGTTANECLPRAVKGLPEDAVLVSLDCGLFHTSVVSSAGDVWSWGMEKGLGLCPDASFTGDDAGDALSPIRMHCAEGVKQVACGAAHTLVVADDGHKLWAWGRGRSGVLGHGKTTDSFMPFPVLWPRPDEDFKENNMDAQNEKTKPSNQEAGKLANTSEEIEFLRSKLTLMERYASLLHVSIFRKQLDDRNLPQSLRGSGVFDIGKELETILESADDDELARMQMFYRNMLASVKDKLLKRRVQEMVKESLKSLSAGSHSYTRP >DRNTG_11123.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30483920:30487758:1 gene:DRNTG_11123 transcript:DRNTG_11123.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQLGHSEVENVSLPCLVEHFQQLKSTGVKVCSVKAGAMSSFAIDNLGGLWTWGNCPQPSNDEDEGFCFLSYPSPMPVVDFYGRSVVKVACGNEHVVALVTAGEVHTGGGDDLLCYSWGNNNHGQLGLGDTISRSKPEIINVFNQECPWSVFDISCGAFHTALLTHRKSGGGQHAHCMCWTFGLGENGQLGHGTTANECLPRAVKGLPEDAVLVSLDCGLFHTSVVSSAGDVWSWGMEKGLGLCPDASFTGDDAGDALSPIRMHCAEGVKQVACGAAHTLVVADDGHKLWAWGRGRSGVLGHGKTTDSFMPFPVLWPRPDEDFKENNMDAQNEKTKPSNQEAGKLANTSEEIEFLRSKLTLMERYASLLHVSIFRKQLDDRNLPQSLRGSGVFDIGKELETILESADDDELARMQMFYRNMLASVKDKLLKRRVQEMVKESLKSLSAGSHSYTRP >DRNTG_11123.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30483920:30486930:1 gene:DRNTG_11123 transcript:DRNTG_11123.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQLGHSEVENVSLPCLVEHFQQLKSTGVKVCSVKAGAMSSFAIDNLGGLWTWGNCPQPSNDEDEGFCFLSYPSPMPVVDFYGRSVVKVACGNEHVVALVTAGEVHTGGGDDLLCYSWGNNNHGQLGLGDTISRSKPEIINVFNQECPWSVFDISCGAFHTALLTHRKSGGGQHAHCMCWTFGLGENGQLGHGTTANECLPRAVKGLPEDAVLVSLDCGLFHTSVVSSAGDVWSWGMEKGLGLCPDASFTGDDAGDALSPIRMHCAEGVKQVACGAAHTLVVADDGHKLWAWGRGRSGVLGHGKTTDSFMPFPVLWPRPDEDFKENNMDAQNEKTKPSNQEAGKLANTSEEIEFLRSKLTLMERYASLLHVSIFRKQLDDRNLPQSLRGSGVFDIGKELETILESADDDELARMQMFYRNMLASVKDKLLKRRVQEMVKESLKSLSAGSHSYTRP >DRNTG_11123.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30483920:30486105:1 gene:DRNTG_11123 transcript:DRNTG_11123.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKVVQIAAGEAHTLALAGDGSVLSWGRGTFGRLGTGKEHDEHSPVRVYFPDASSPEKTSDPSVHSGNSDSSRPLCVGIAAGAYHSLALQDDGSVWSWGYNTYGQLGHSEVENVSLPCLVEHFQQLKSTGVKVCSVKAGAMSSFAIDNLGGLWTWGNCPQPSNDEDEGFCFLSYPSPMPVVDFYGRSVVKVACGNEHVVALVTAGEVHTGGGDDLLCYSWGNNNHGQLGLGDTISRSKPEIINVFNQECPWSVFDISCGAFHTALLTHRKSGGGQHAHCMCWTFGLGENGQLGHGTTANECLPRAVKGLPEDAVLVSLDCGLFHTSVVSSAGDVWSWGMEKGLGLCPDASFTGDDAGDALSPIRMHCAEGVKQVACGAAHTLVVADDGHKLWAWGRGRSGVLGHGKTTDSFMPFPVLWPRPDEDFKENNMDAQNEKTKPSNQEAGKLANTSEEIEFLRSKLTLMERYASLLHVSIFRKQLDDRNLPQSLRGSGVFDIGKELETILESADDDELARMQMFYRNMLASVKDKLLKRRVQEMVKESLKSLSAGSHSYTRP >DRNTG_27174.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:677177:680436:-1 gene:DRNTG_27174 transcript:DRNTG_27174.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPLSTVHRSSDGGASSTCPRRVRWDRFLPRPSIRVLLVEHDDSTRHIVAALLRKCGYHVAAVGDGLKAWEFMTEKRFQFDLVLAEVSIPSLSGIALLSRIMSSENCKNIPVIMMSSHDSVNVVLKCMLKGAVDFLVKPVRKNELKNLWQHVWRKHSLSQQVNASENNAASNRISVNAGEGSETGENSGEGKYDQNAGTKLDMEIERVQELTDPHLVEGGNSNSDEDAKLGAPNHDFADKSVADTFVIEGTLPVQGCHSVDKDQMAEYSYKILPSNEEASSPRRCIEEVNVDLGSYCQNMHVNGIRRVSAESSQEKIEHNVGSPPLWELSLRRREFRDRHKLNHSDSSAFSRYGDKKIQPSIPKLSSSALDIGNNECADQCLTFPQLTDNGTDHSDKDTSLFLNGKLPHPQVNVGEVPMNYDLSTNCYKDTSALPSSDSHRENALIGCSASGEDSSSHHHQAGFMPSQIPVGATHYQTFCPGYGAILQPVFYCENSLSPHTSNTVDIVRYPISSHQSGLRDNHADNDHPAMKFSHPGECHQPYHSLNPSDVELENRALSCPQEQANRNEIFSLDILNRSRSNGSAETTDGVMPIGNALENGNESSFQNCNKEALECSHSRRAAALIKFRMKRKDRCFQKKVRYYSRKKLAEQRPRVKGQFVKQKNVGSSTATDAEE >DRNTG_27174.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:677177:680436:-1 gene:DRNTG_27174 transcript:DRNTG_27174.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPLSTVHRSSDGGASSTCPRRVRWDRFLPRPSIRVLLVEHDDSTRHIVAALLRKCGYHVAAVGDGLKAWEFMTEKRFQFDLVLAEVSIPSLSGIALLSRIMSSENCKNIPVIMMSSHDSVNVVLKCMLKGAVDFLVKPVRKNELKNLWQHVWRKHSLSQQVNASENNAASNRISVNAGEGSETGENSGEGKYDQNAGTKLDMEIERVQELTDPHLVEGGNSNSDEDAKLGAPNHDFADTFVIEGTLPVQGCHSVDKDQMAEYSYKILPSNEEASSPRRCIEEVNVDLGSYCQNMHVNGIRRGMDRFIETIVISKCSLLILKI >DRNTG_27174.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:677177:680436:-1 gene:DRNTG_27174 transcript:DRNTG_27174.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPLSTVHRSSDGGASSTCPRRVRWDRFLPRPSIRVLLVEHDDSTRHIVAALLRKCGYHVAAVGDGLKAWEFMTEKRFQFDLVLAEVSIPSLSGIALLSRIMSSENCKNIPVIMMSSHDSVNVVLKCMLKGAVDFLVKPVRKNELKNLWQHVWRKHSLSQQVNASENNAASNRISVNAGEGSETGENSGEGKYDQNAGTKLDMEIERVQELTDPHLVEGGNSNSDEDAKLGAPNHDFADKSVADTFVIEGTLPVQGCHSVDKDQMAEYSYKILPSNEEASSPRRCIEEVNVDLGSYCQNMHVNGIRRESSQEKIEHNVGSPPLWELSLRRREFRDRHKLNHSDSSAFSRYGDKKIQPSIPKLSSSALDIGNNECADQCLTFPQLTDNGTDHSDKDTSLFLNGKLPHPQVNVGEVPMNYDLSTNCYKDTSALPSSDSHRENALIGCSASGEDSSSHHHQAGFMPSQIPVGATHYQTFCPGYGAILQPVFYCENSLSPHTSNTVDIVRYPISSHQSGLRDNHADNDHPAMKFSHPGECHQPYHSLNPSDVELENRALSCPQEQANRNEIFSLDILNRSRSNGSAETTDGVMPIGNALENGNESSFQNCNKEALECSHSRRAAALIKFRMKRKDRCFQKKVRYYSRKKLAEQRPRVKGQFVKQKNVGSSTATDAEE >DRNTG_27174.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:677177:680436:-1 gene:DRNTG_27174 transcript:DRNTG_27174.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYSYKILPSNEEASSPRRCIEEVNVDLGSYCQNMHVNGIRRESSQEKIEHNVGSPPLWELSLRRREFRDRHKLNHSDSSAFSRYGDKKIQPSIPKLSSSALDIGNNECADQCLTFPQLTDNGTDHSDKDTSLFLNGKLPHPQVNVGEVPMNYDLSTNCYKDTSALPSSDSHRENALIGCSASGEDSSSHHHQAGFMPSQIPVGATHYQTFCPGYGAILQPVFYCENSLSPHTSNTVDIVRYPISSHQSGLRDNHADNDHPAMKFSHPGECHQPYHSLNPSDVELENRALSCPQEQANRNEIFSLDILNRSRSNGSAETTDGVMPIGNALENGNESSFQNCNKEALECSHSRRAAALIKFRMKRKDRCFQKKVRYYSRKKLAEQRPRVKGQFVKQKNVGSSTATDAEE >DRNTG_27174.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:677177:680436:-1 gene:DRNTG_27174 transcript:DRNTG_27174.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPLSTVHRSSDGGASSTCPRRVRWDRFLPRPSIRVLLVEHDDSTRHIVAALLRKCGYHVAAVGDGLKAWEFMTEKRFQFDLVLAEVSIPSLSGIALLSRIMSSENCKNIPVIMMSSHDSVNVVLKCMLKGAVDFLVKPVRKNELKNLWQHVWRKHSLSQQVNASENNAASNRISVNAGEGSETGENSGEGKYDQNAGTKLDMEIERVQELTDPHLVEGGNSNSDEDAKLGAPNHDFADTFVIEGTLPVQGCHSVDKDQMAEYSYKILPSNEEASSPRRCIEEVNVDLGSYCQNMHVNGIRRESSQEKIEHNVGSPPLWELSLRRREFRDRHKLNHSDSSAFSRYGDKKIQPSIPKLSSSALDIGNNECADQCLTFPQLTDNGTDHSDKDTSLFLNGKLPHPQVNVGEVPMNYDLSTNCYKDTSALPSSDSHRENALIGCSASGEDSSSHHHQAGFMPSQIPVGATHYQTFCPGYGAILQPVFYCENSLSPHTSNTVDIVRYPISSHQSGLRDNHADNDHPAMKFSHPGECHQPYHSLNPSDVELENRALSCPQEQANRNEIFSLDILNRSRSNGSAETTDGVMPIGNALENGNESSFQNCNKEALECSHSRRAAALIKFRMKRKDRCFQKKVRYYSRKKLAEQRPRVKGQFVKQKNVGSSTATDAEE >DRNTG_27174.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:677177:680436:-1 gene:DRNTG_27174 transcript:DRNTG_27174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPLSTVHRSSDGGASSTCPRRVRWDRFLPRPSIRVLLVEHDDSTRHIVAALLRKCGYHVAAVGDGLKAWEFMTEKRFQFDLVLAEVSIPSLSGIALLSRIMSSENCKNIPVIMMSSHDSVNVVLKCMLKGAVDFLVKPVRKNELKNLWQHVWRKHSLSQQVNASENNAASNRISVNAGEGSETGENSGEGKYDQNAGTKLDMEIERVQELTDPHLVEGGNSNSDEDAKLGAPNHDFADTFVIEGTLPVQGCHSVDKDQMAEYSYKILPSNEEASSPRRCIEEVNVDLGSYCQNMHVNGIRRVSAESSQEKIEHNVGSPPLWELSLRRREFRDRHKLNHSDSSAFSRYGDKKIQPSIPKLSSSALDIGNNECADQCLTFPQLTDNGTDHSDKDTSLFLNGKLPHPQVNVGEVPMNYDLSTNCYKDTSALPSSDSHRENALIGCSASGEDSSSHHHQAGFMPSQIPVGATHYQTFCPGYGAILQPVFYCENSLSPHTSNTVDIVRYPISSHQSGLRDNHADNDHPAMKFSHPGECHQPYHSLNPSDVELENRALSCPQEQANRNEIFSLDILNRSRSNGSAETTDGVMPIGNALENGNESSFQNCNKEALECSHSRRAAALIKFRMKRKDRCFQKKVRYYSRKKLAEQRPRVKGQFVKQKNVGSSTATDAEE >DRNTG_06739.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11112888:11118895:1 gene:DRNTG_06739 transcript:DRNTG_06739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTIPMAPIKKNTPEISKEREMLAGGTAARDRQKSMDEICLGFH >DRNTG_13320.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23056214:23061330:-1 gene:DRNTG_13320 transcript:DRNTG_13320.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRIAAVAILLIFISASFSRCLANHLADDKLALLDFISRVPPSRNLNWRSDTSVCSDWTGITCDSNRSRVTAVRLPGFGLNGQIPPNTLSRLSALEILSLRSNGLSGPFPADFFNLTALTGLHLQFNSFVGSLPSDIGLLSNLTLLDLSHNLFNGSIPFAISNLAELVSLNLSNNSFSGELPDLEFPSLKSLNLSYNHLNGSIPASLRGFPASSFSGNDLVPVVPVNPVPTPAPFPPPSRKHHHKLSEGVILGIAVGGCVLVFAVLAVVLVLCCGKGGDNVVIGKGSKGERSPEKVVSGRQEERNRMVFFPGCTFEFDLEDLLTASAEILGKGMCGTTYKAILEDATTVVVKRVKDVGVGKRDFEQQMEMIGRIRHENVVELRAYYYSKDEKLIVYDFYNQGNVSSLLHAKRGEDRTPLDWETRLRIALGAARGIARIHMENNGKFIHGNIKSSNVFLNNQQYGCVSDFGLTSLMATTVPPVSRNAGYRAPEVIDTRRTTQASDVYSFGVLLLELLTGKSPIHVAGAGEEMVHLVRWVQSVVREEWTAEVFDLELMRYPNIEEEMVEMLQIGMACVARMPEQRPRMADVVRMLEDVRRADTANRPSLEIKSDVATPSQIPSTGGPSPQN >DRNTG_13320.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23056125:23061444:-1 gene:DRNTG_13320 transcript:DRNTG_13320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRIAAVAILLIFISASFSRCLANHLADDKLALLDFISRVPPSRNLNWRSDTSVCSDWTGITCDSNRSRVTAVRLPGFGLNGQIPPNTLSRLSALEILSLRSNGLSGPFPADFFNLTALTGLHLQFNSFVGSLPSDIGLLSNLTLLDLSHNLFNGSIPFAISNLAELVSLNLSNNSFSGELPDLEFPSLKSLNLSYNHLNGSIPASLRGFPASSFSGNDLVPVVPVNPVPTPAPFPPPSRKHHHKLSEGVILGIAVGGCVLVFAVLAVVLVLCCGKGGDNVVIGKGSKGERSPEKVVSGRQEERNRMVFFPGCTFEFDLEDLLTASAEILGKGMCGTTYKAILEDATTVVVKRVKDVGVGKRDFEQQMEMIGRIRHENVVELRAYYYSKDEKLIVYDFYNQGNVSSLLHAKRGEDRTPLDWETRLRIALGAARGIARIHMENNGKFIHGNIKSSNVFLNNQQYGCVSDFGLTSLMATTVPPVSRNAGYRAPEVIDTRRTTQASDVYSFGVLLLELLTGKSPIHVAGAGEEMVHLVRWVQSVVREEWTAEVFDLELMRYPNIEEEMVEMLQIGMACVARMPEQRPRMADVVRMLEDVRRADTANRPSLEIKSDVATPSQIPSTGGPSPQN >DRNTG_13320.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23055466:23061330:-1 gene:DRNTG_13320 transcript:DRNTG_13320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRIAAVAILLIFISASFSRCLANHLADDKLALLDFISRVPPSRNLNWRSDTSVCSDWTGITCDSNRSRVTAVRLPGFGLNGQIPPNTLSRLSALEILSLRSNGLSGPFPADFFNLTALTGLHLQFNSFVGSLPSDIGLLSNLTLLDLSHNLFNGSIPFAISNLAELVSLNLSNNSFSGELPDLEFPSLKSLNLSYNHLNGSIPASLRGFPASSFSGNDLVPVVPVNPVPTPAPFPPPSRKHHHKLSEGVILGIAVGGCVLVFAVLAVVLVLCCGKGGDNVVIGKGSKGERSPEKVVSGRQEERNRMVFFPGCTFEFDLEDLLTASAEILGKGMCGTTYKAILEDATTVVVKRVKDVGVGKRDFEQQMEMIGRIRHENVVELRAYYYSKDEKLIVYDFYNQGNVSSLLHAKRGEDRTPLDWETRLRIALGAARGIARIHMENNGKFIHGNIKSSNVFLNNQQYGCVSDFGLTSLMATTVPPVSRNAGYRAPEVIDTRRTTQASDVYSFGVLLLELLTGKSPIHVAGAGEEMVHLVRWVQSVVREEWTAEVFDLELMRYPNIEEEMVEMLQIGMACVARMPEQRPRMADVVRMLEDVRRADTANRPSLEIKSDVATPSQIPSTGGPSPQN >DRNTG_13320.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23056214:23061444:-1 gene:DRNTG_13320 transcript:DRNTG_13320.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRIAAVAILLIFISASFSRCLANHLADDKLALLDFISRVPPSRNLNWRSDTSVCSDWTGITCDSNRSRVTAVRLPGFGLNGQIPPNTLSRLSALEILSLRSNGLSGPFPADFFNLTALTGLHLQFNSFVGSLPSDIGLLSNLTLLDLSHNLFNGSIPFAISNLAELVSLNLSNNSFSGELPDLEFPSLKSLNLSYNHLNGSIPASLRGFPASSFSGNDLVPVVPVNPVPTPAPFPPPSRKHHHKLSEGVILGIAVGGCVLVFAVLAVVLVLCCGKGGDNVVIGKGSKGERSPEKVVSGRQEERNRMVFFPGCTFEFDLEDLLTASAEILGKGMCGTTYKAILEDATTVVVKRVKDVGVGKRDFEQQMEMIGRIRHENVVELRAYYYSKDEKLIVYDFYNQGNVSSLLHAKRGEDRTPLDWETRLRIALGAARGIARIHMENNGKFIHGNIKSSNVFLNNQQYGCVSDFGLTSLMATTVPPVSRNAGYRAPEVIDTRRTTQASDVYSFGVLLLELLTGKSPIHVAGAGEEMVHLVRWVQSVVREEWTAEVFDLELMRYPNIEEEMVEMLQIGMACVARMPEQRPRMADVVRMLEDVRRADTANRPSLEIKSDVATPSQIPSTGGPSPQN >DRNTG_13320.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23055466:23061399:-1 gene:DRNTG_13320 transcript:DRNTG_13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRIAAVAILLIFISASFSRCLANHLADDKLALLDFISRVPPSRNLNWRSDTSVCSDWTGITCDSNRSRVTAVRLPGFGLNGQIPPNTLSRLSALEILSLRSNGLSGPFPADFFNLTALTGLHLQFNSFVGSLPSDIGLLSNLTLLDLSHNLFNGSIPFAISNLAELVSLNLSNNSFSGELPDLEFPSLKSLNLSYNHLNGSIPASLRGFPASSFSGNDLVPVVPVNPVPTPAPFPPPSRKHHHKLSEGVILGIAVGGCVLVFAVLAVVLVLCCGKGGDNVVIGKGSKGERSPEKVVSGRQEERNRMVFFPGCTFEFDLEDLLTASAEILGKGMCGTTYKAILEDATTVVVKRVKDVGVGKRDFEQQMEMIGRIRHENVVELRAYYYSKDEKLIVYDFYNQGNVSSLLHAKRGEDRTPLDWETRLRIALGAARGIARIHMENNGKFIHGNIKSSNVFLNNQQYGCVSDFGLTSLMATTVPPVSRNAGYRAPEVIDTRRTTQASDVYSFGVLLLELLTGKSPIHVAGAGEEMVHLVRWVQSVVREEWTAEVFDLELMRYPNIEEEMVEMLQIGMACVARMPEQRPRMADVVRMLEDVRRADTANRPSLEIKSDVATPSQIPSTGGPSPQN >DRNTG_13320.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23056214:23061560:-1 gene:DRNTG_13320 transcript:DRNTG_13320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRIAAVAILLIFISASFSRCLANHLADDKLALLDFISRVPPSRNLNWRSDTSVCSDWTGITCDSNRSRVTAVRLPGFGLNGQIPPNTLSRLSALEILSLRSNGLSGPFPADFFNLTALTGLHLQFNSFVGSLPSDIGLLSNLTLLDLSHNLFNGSIPFAISNLAELVSLNLSNNSFSGELPDLEFPSLKSLNLSYNHLNGSIPASLRGFPASSFSGNDLVPVVPVNPVPTPAPFPPPSRKHHHKLSEGVILGIAVGGCVLVFAVLAVVLVLCCGKGGDNVVIGKGSKGERSPEKVVSGRQEERNRMVFFPGCTFEFDLEDLLTASAEILGKGMCGTTYKAILEDATTVVVKRVKDVGVGKRDFEQQMEMIGRIRHENVVELRAYYYSKDEKLIVYDFYNQGNVSSLLHAKRGEDRTPLDWETRLRIALGAARGIARIHMENNGKFIHGNIKSSNVFLNNQQYGCVSDFGLTSLMATTVPPVSRNAGYRAPEVIDTRRTTQASDVYSFGVLLLELLTGKSPIHVAGAGEEMVHLVRWVQSVVREEWTAEVFDLELMRYPNIEEEMVEMLQIGMACVARMPEQRPRMADVVRMLEDVRRADTANRPSLEIKSDVATPSQIPSTGGPSPQN >DRNTG_13320.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23056125:23061399:-1 gene:DRNTG_13320 transcript:DRNTG_13320.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRIAAVAILLIFISASFSRCLANHLADDKLALLDFISRVPPSRNLNWRSDTSVCSDWTGITCDSNRSRVTAVRLPGFGLNGQIPPNTLSRLSALEILSLRSNGLSGPFPADFFNLTALTGLHLQFNSFVGSLPSDIGLLSNLTLLDLSHNLFNGSIPFAISNLAELVSLNLSNNSFSGELPDLEFPSLKSLNLSYNHLNGSIPASLRGFPASSFSGNDLVPVVPVNPVPTPAPFPPPSRKHHHKLSEGVILGIAVGGCVLVFAVLAVVLVLCCGKGGDNVVIGKGSKGERSPEKVVSGRQEERNRMVFFPGCTFEFDLEDLLTASAEILGKGMCGTTYKAILEDATTVVVKRVKDVGVGKRDFEQQMEMIGRIRHENVVELRAYYYSKDEKLIVYDFYNQGNVSSLLHAKRGEDRTPLDWETRLRIALGAARGIARIHMENNGKFIHGNIKSSNVFLNNQQYGCVSDFGLTSLMATTVPPVSRNAGYRAPEVIDTRRTTQASDVYSFGVLLLELLTGKSPIHVAGAGEEMVHLVRWVQSVVREEWTAEVFDLELMRYPNIEEEMVEMLQIGMACVARMPEQRPRMADVVRMLEDVRRADTANRPSLEIKSDVATPSQIPSTGGPSPQN >DRNTG_13320.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23056125:23061330:-1 gene:DRNTG_13320 transcript:DRNTG_13320.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRIAAVAILLIFISASFSRCLANHLADDKLALLDFISRVPPSRNLNWRSDTSVCSDWTGITCDSNRSRVTAVRLPGFGLNGQIPPNTLSRLSALEILSLRSNGLSGPFPADFFNLTALTGLHLQFNSFVGSLPSDIGLLSNLTLLDLSHNLFNGSIPFAISNLAELVSLNLSNNSFSGELPDLEFPSLKSLNLSYNHLNGSIPASLRGFPASSFSGNDLVPVVPVNPVPTPAPFPPPSRKHHHKLSEGVILGIAVGGCVLVFAVLAVVLVLCCGKGGDNVVIGKGSKGERSPEKVVSGRQEERNRMVFFPGCTFEFDLEDLLTASAEILGKGMCGTTYKAILEDATTVVVKRVKDVGVGKRDFEQQMEMIGRIRHENVVELRAYYYSKDEKLIVYDFYNQGNVSSLLHAKRGEDRTPLDWETRLRIALGAARGIARIHMENNGKFIHGNIKSSNVFLNNQQYGCVSDFGLTSLMATTVPPVSRNAGYRAPEVIDTRRTTQASDVYSFGVLLLELLTGKSPIHVAGAGEEMVHLVRWVQSVVREEWTAEVFDLELMRYPNIEEEMVEMLQIGMACVARMPEQRPRMADVVRMLEDVRRADTANRPSLEIKSDVATPSQIPSTGGPSPQN >DRNTG_13320.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23056214:23061399:-1 gene:DRNTG_13320 transcript:DRNTG_13320.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRIAAVAILLIFISASFSRCLANHLADDKLALLDFISRVPPSRNLNWRSDTSVCSDWTGITCDSNRSRVTAVRLPGFGLNGQIPPNTLSRLSALEILSLRSNGLSGPFPADFFNLTALTGLHLQFNSFVGSLPSDIGLLSNLTLLDLSHNLFNGSIPFAISNLAELVSLNLSNNSFSGELPDLEFPSLKSLNLSYNHLNGSIPASLRGFPASSFSGNDLVPVVPVNPVPTPAPFPPPSRKHHHKLSEGVILGIAVGGCVLVFAVLAVVLVLCCGKGGDNVVIGKGSKGERSPEKVVSGRQEERNRMVFFPGCTFEFDLEDLLTASAEILGKGMCGTTYKAILEDATTVVVKRVKDVGVGKRDFEQQMEMIGRIRHENVVELRAYYYSKDEKLIVYDFYNQGNVSSLLHAKRGEDRTPLDWETRLRIALGAARGIARIHMENNGKFIHGNIKSSNVFLNNQQYGCVSDFGLTSLMATTVPPVSRNAGYRAPEVIDTRRTTQASDVYSFGVLLLELLTGKSPIHVAGAGEEMVHLVRWVQSVVREEWTAEVFDLELMRYPNIEEEMVEMLQIGMACVARMPEQRPRMADVVRMLEDVRRADTANRPSLEIKSDVATPSQIPSTGGPSPQN >DRNTG_03573.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:7273289:7275837:-1 gene:DRNTG_03573 transcript:DRNTG_03573.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISKSRSSSPMTSSSSLVHTFVNQLPASSPKVPSSSLGPTSPNQWRRKLPQ >DRNTG_29667.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001494.1:7158:8304:-1 gene:DRNTG_29667 transcript:DRNTG_29667.1 gene_biotype:protein_coding transcript_biotype:protein_coding STYNILNEEGRVVAAALLPYGETLQ >DRNTG_29369.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1347668:1349709:-1 gene:DRNTG_29369 transcript:DRNTG_29369.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFIGLLNIHSQWRAKQRAALGLKYLSQSAHAQVATGNLEPQPPRGFCAPLVLICGKASMPSLCPIHNASCEENSSFCLLKGNAIGPLVELLNDKNTDVQIAAVEALFTLVLDPQSLTNSREVLEQLGLFDTAVTLFKKSRPGELQERIISVVDRFLRVESLIQSYSLDQDLVRALVEALKHGTTNTKRHAQDILTNLRELSGVGGKTSSHSRGRRTNR >DRNTG_29369.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1347668:1355076:-1 gene:DRNTG_29369 transcript:DRNTG_29369.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTTASAATAVAADTAMETLALKLAEICSHAAEDYPWDPPRRFTVLAQRLQLLVAQLSHPGVLPSSPAVSTALRGIAGDVSAAVSTLSSYCSRSRIYVLIHCLPLCSSLRSLCSSLTSSLALLDAPLSCQPDLQKRASDLARDFILSDLRVTETEERVYSALQREGEARESGQKAVQSGIIMDLARALGAGPEALADQIKLLRSDLTGPSISPAERRILVSLEKIFGSWSATEPCVADRSIDVDFDENATIPPFKNFLCPLAKVVMKDPVVLESLQTYERTEIKHWFDWCLEDGRDPTCPVTGQVLQSLELKPNIGLAGAIEEWVNRNIEVQIKSAVQCLGQETSSSLESVERALDNVYRISEEHPTSRYRVRNAGIIGLVVKMLKEQSKMLGSELRTKALMVMDSMAKDHESKLMMLEEGLSRLAIRSLNGSSEKEREYALNLLLQFSHDRGYCAKLASEKGAFVLLSSLAENLQYPRLSNMAEEVLKNMEKEEDNVQHLAVVGRFQPLLNRLCEGSEDVRINMANIVGNMKLTNSDKEHVARQGGRALIAMLSSKPEGRASSLQALYNLSTLDDNSTILVDFGLLPALNNILFMTRPDDQPDLKDLAASTISNIVSNTGHWELSFADDQGHLMQSESVIHSLLQLLTNSSSKCQIAILKILCGIASSPQALELAAAHIGSGNGIAIILPFLEHPESEQRINAFKLANLLSRNLGLALADELRTSNKLLLLKEKLLDPQCLSGEKSEITCILANLPITDDEVKTILGAEFLRWAVDTLKQQLSSSLSNKSKRAGHMLEGLIGLLVHYARSSDQSIRSLIQEMPLYENFHRLTEHPFTMES >DRNTG_33672.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18497938:18498799:-1 gene:DRNTG_33672 transcript:DRNTG_33672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKIKLMVVVVVVVLIGFMSCSCASIFDVGGVDGWVQNPSETYTHWAMRNRFQISDTLVFKYKRGEDSVLVVNEKDCDTCNINNPIEKMDNGYSTFNLSRSGPFFFISGVAGKCQKGQKLVIVVLAPRIKMTGSPASAPSPLPSPVSSPFSPSPLSPDSTTSSSSVLSFSGTSKVVVMVVVVAFMLVVF >DRNTG_05259.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000237.1:62797:64873:-1 gene:DRNTG_05259 transcript:DRNTG_05259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVSYPHSPNPNPAAAAAIDAGDPVRGSELRLRIVRSRGGAEITSGSWLRRDRPRRSRRERRRTARTKQSRSRAIAVKSDDSSIGTLRRISGEDRAFLRRTSDVEI >DRNTG_12179.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19065464:19067684:-1 gene:DRNTG_12179 transcript:DRNTG_12179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDDHLMCDVDGQKLTSAAILGQDGSVWAQSSAFPQLKPEEITGIINDFNEPGFLAPTGLFLGSTKYMVIQGEPGAVIRGKKGSGGVTIKKTNEALIFGIYDEPMTPGQCNMVVERLGDYLYDQGL >DRNTG_11830.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:237432:239249:-1 gene:DRNTG_11830 transcript:DRNTG_11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFYFQGAGLCNGGNTCYMNAVLQCLTHTVPLAKQVLTFSHNMNCTFREQEREEFFGINGLKLLLKIAEISLNFVDGEQEDAHEYLQSLLTSIHECCVRLSKVASMDSESLITQVFGGRLRSQTRCCDCGHKSETFEPVTDLSLEISGANDPFSAFESFTRLEMIDDPENRFTCSNCNAKVTVEKQMTIDNAPQVLIIHLKRFSFNGNIIKKNFQEVDFSLSMNLSPFVNNHVEEAGDLNYRLYAVIVHLGSPSYGHYVSFVHSDKKNWYLMSDEKVIN >DRNTG_26933.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2403615:2404043:1 gene:DRNTG_26933 transcript:DRNTG_26933.2 gene_biotype:protein_coding transcript_biotype:protein_coding KSHATSHGTNNTNKSIAAKHDTNDANKSSATEYDTNDANKPGATEYDCTNDAN >DRNTG_26933.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2402886:2404043:1 gene:DRNTG_26933 transcript:DRNTG_26933.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLPGRTDNEIKNYWNTRVKRLRRAGLPLYPPEILQSLSPPPMRFPEGLSCGEEVCVNEISLGDYGRGEFLYGGLQNHGDWNSCALFDQPNVQGAAVTGPGAQIEIRNDAGMGVRNPMQQAMAQIIQTNPLQQSMTPMMQTNPVQQSMTPMMQTNLVQQSMTVPMMRTNSLMTFPPVITKVDNSMNKPASNDQLLNVSWENLPGLFQHFM >DRNTG_28562.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001441.1:24217:41465:-1 gene:DRNTG_28562 transcript:DRNTG_28562.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNTRRIDTSVARSETLSIIHGMRYLKLGVKTEGGLNASASFVAKANPLNRAPHKRKSELHHALCNMLSSILASLAEGGKLNWPPIGVDPALTLWYDAVARIRGQLMHWMDKQSKHISVGYPLVTLLLCLGDPQTFNANFGPHMEHLYRHLRDKNHRSMALDCLHRVVKFYLSVYADFQPRNRVWDYLDSVTSQLLTVLKKGLLTQDVQHDKLVEFCVTIAESNLDFSMNHMILELLKSDSSSEAKVIGLRALLAIVMSPSNEQSGLERFRGHDISHYIPKVKSAIESILRSCNRIYRPALLTSSRTTIDTITKEKSQVTLFRSVLKCIPYLIEEVGRNDKITEIIPHHCISIDPGVREEAVQVMTRLVRYLPHRRYAVMKGMANFILTLPDEFPLLIQTSLGRLVELMQLWRSCLAEEALKGDVQNGKRPGLGGNTSQASPFHQSGDPTEFRTSEMDAIGLIFLCSVDVQIRRTALELLRHVRALKNDIRDLSINERSDYKFRYEAEPIFIIDVLEENGDDIVQSCYWDSGRPYDLRRELDPVPFDVTLQSILESPDKNRCARCLSELVKYAGELCPISVQEARVEVTQRLALITPIELGGKASQSQEVENKLDQWLIYSMFACSCPPDNREDGGFATAKELFQFIFPSLRHGSEAQAHAATTALGHSHVDICEIMFGELTSFIEEASSENEGKPKWKNQKSRREELRVYIANIYQTVAEKIWPGMLSRKRILCLHFLRFIDDTYRQVCISGFDSFQELQPLRYALASVLRSLAPEFVDSKSERFDLKTRKRLFDLLLSWCDDTGNTWGQEGNSDYRREIERYKAAQHSRSRESIDRFAFDKEVIEQVEAIQWAAMNAIASLLYGPCFDDNARKMGGRVVSWINSLFVEQAPRAPFGCSPADPRTPSYLKYTGDGGRLAGGRDKQKCSHLRVLLAKTALKNLLLTNLDLFPACIDQCYSPDSSIADGYFSVLAEVYMSQENPKCEIQRLLSLILYKVVDPSRQIRDDALQMLETLSVREWAEDDIEGISRYRASVVGNLPDSYQQFQYKLSAKLAKDHPELSELLCMEIMQRQLDAVDIIAQHQVLTCVAPWIENLNFLKLWESGWSERLLKSLYYVTWKHGDQFPDEIEKLWSTVASNTRNIIPVLDFLITKGIEDCDSNTSAEITGAFLTYFSVAKRVSLYLARISPQKTIDHLVCELSQRMLEDSMDPVRPSKVDASGNFVLEFSQGPTAAQIATVVESQPHMSPLLVRGSLDGPLRNTSGNLSWRTSVVPGRSISGPLSPLPPEVNMVSAAGGRSGQLLPALVNMSGPLMGVRSSTGNLRSRHVSRDSGDLPIDTPNSVEDILHPTGSGIHGVNASELQSALQGHHQHSLSSADIALILLAEIAYENDEDFRENLPLLFHVTCVSMDSSEDIVLEHCQHLLVNLLYSLAGRHLELYDVENSEGENKQQVVSLIKYIQSKRGSLMWENEDPTLVRPELPSAALLSALVMNMVDAIIFQGDLRETWGAEALKWAMECTSRHLACRSHQIYRALRPTVKSDACVLLLRCLHRCLGNPVPAVLGFVMEILMTLQVMVENMEAEKVILYPQLFWGCVAVMHTDFVHVYCQVLDLFARVIDRLSFRDQTTENVLLSSMPRDELDTSNSDAADLQRLESRGAGEPSLTENGKVPVFEGVQPLVLKGLMSTVSHGSAIEVLSRLTVPSCDSIFGNSETRLLMHITGLLPWLALQLTTDPNSTSSSSPMQQQYQKACDVASNISFWCQAKSLVELAEVFSAYARSEIITIDVLFSCASPPICAQWFPKHSSLAYGHLLRLLERGPLDYQKVILLLLKVLLQQTPVDAAQSPHVYAIVSQLVESTLSWEALSVVESLLQSCSSLAGGHTDESGANENGFGLMLEEKGLQALLAPQSSFKARSGPLQYASGSGFGAGVGAQSAGAAAPEGGLSQREVSLQNTRLILGRVLDTCALGRRRDYKRLVPFVANIGNP >DRNTG_28562.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001441.1:24217:39453:-1 gene:DRNTG_28562 transcript:DRNTG_28562.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELTPLQDKNHRSMALDCLHRVVKFYLSVYADFQPRNRVWDYLDSVTSQLLTVLKKGLLTQDVQHDKLVEFCVTIAESNLDFSMNHMILELLKSDSSSEAKVIGLRALLAIVMSPSNEQSGLERFRGHDISHYIPKVKSAIESILRSCNRIYRPALLTSSRTTIDTITKEKSQVTLFRSVLKCIPYLIEEVGRNDKITEIIPHHCISIDPGVREEAVQVMTRLVRYLPHRRYAVMKGMANFILTLPDEFPLLIQTSLGRLVELMQLWRSCLAEEALKGDVQNGKRPGLGGNTSQASPFHQSGDPTEFRTSEMDAIGLIFLCSVDVQIRRTALELLRHVRALKNDIRDLSINERSDYKFRYEAEPIFIIDVLEENGDDIVQSCYWDSGRPYDLRRELDPVPFDVTLQSILESPDKNRCARCLSELVKYAGELCPISVQEARVEVTQRLALITPIELGGKASQSQEVENKLDQWLIYSMFACSCPPDNREDGGFATAKELFQFIFPSLRHGSEAQAHAATTALGHSHVDICEIMFGELTSFIEEASSENEGKPKWKNQKSRREELRVYIANIYQTVAEKIWPGMLSRKRILCLHFLRFIDDTYRQVCISGFDSFQELQPLRYALASVLRSLAPEFVDSKSERFDLKTRKRLFDLLLSWCDDTGNTWGQEGNSDYRREIERYKAAQHSRSRESIDRFAFDKEVIEQVEAIQWAAMNAIASLLYGPCFDDNARKMGGRVVSWINSLFVEQAPRAPFGCSPADPRTPSYLKYTGDGGRLAGGRDKQKCSHLRVLLAKTALKNLLLTNLDLFPACIDQCYSPDSSIADGYFSVLAEVYMSQENPKCEIQRLLSLILYKVVDPSRQIRDDALQMLETLSVREWAEDDIEGISRYRASVVGNLPDSYQQFQYKLSAKLAKDHPELSELLCMEIMQRQLDAVDIIAQHQVLTCVAPWIENLNFLKLWESGWSERLLKSLYYVTWKHGDQFPDEIEKLWSTVASNTRNIIPVLDFLITKGIEDCDSNTSAEITGAFLTYFSVAKRVSLYLARISPQKTIDHLVCELSQRMLEDSMDPVRPSKVDASGNFVLEFSQGPTAAQIATVVESQPHMSPLLVRGSLDGPLRNTSGNLSWRTSVVPGRSISGPLSPLPPEVNMVSAAGGRSGQLLPALVNMSGPLMGVRSSTGNLRSRHVSRDSGDLPIDTPNSVEDILHPTGSGIHGVNASELQSALQGHHQHSLSSADIALILLAEIAYENDEDFRENLPLLFHVTCVSMDSSEDIVLEHCQHLLVNLLYSLAGRHLELYDVENSEGENKQQVVSLIKYIQSKRGSLMWENEDPTLVRPELPSAALLSALVMNMVDAIIFQGDLRETWGAEALKWAMECTSRHLACRSHQIYRALRPTVKSDACVLLLRCLHRCLGNPVPAVLGFVMEILMTLQVMVENMEAEKVILYPQLFWGCVAVMHTDFVHVYCQVLDLFARVIDRLSFRDQTTENVLLSSMPRDELDTSNSDAADLQRLESRGAGEPSLTENGKVPVFEGVQPLVLKGLMSTVSHGSAIEVLSRLTVPSCDSIFGNSETRLLMHITGLLPWLALQLTTDPNSTSSSSPMQQQYQKACDVASNISFWCQAKSLVELAEVFSAYARSEIITIDVLFSCASPPICAQWFPKHSSLAYGHLLRLLERGPLDYQKVILLLLKVLLQQTPVDAAQSPHVYAIVSQLVESTLSWEALSVVESLLQSCSSLAGGHTDESGANENGFGLMLEEKGLQALLAPQSSFKARSGPLQYASGSGFGAGVGAQSAGAAAPEGGLSQREVSLQNTRLILGRVLDTCALGRRRDYKRLVPFVANIGNP >DRNTG_02505.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7759209:7765723:1 gene:DRNTG_02505 transcript:DRNTG_02505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHPQTHPDAIGDDDFFEQFLSMPSYTSADPGSSGPEAGLSGIALQLSSGDGSAAGFPGSVFPLGLSLEQGRGGFPLPEEASGSGKRFRDDVDGKAPPKPELASLFPAFGQVQAHQIRPNPPPQVFHAQVAHGGVTAGPQPPAPRPRVRARRGQATDPHSIAERLRRERIAERMRALQELLPNSNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPLSSVEGDTSEGGNKQQVWEKWSTDGTEKQVAKLMEEDIGAAMQFLQSKALCIMPISLATAIYHSQPQPESPTVVKPEPNSSS >DRNTG_06748.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1443994:1448404:1 gene:DRNTG_06748 transcript:DRNTG_06748.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVGLSSVGEHTNFGLRPTLKGEFSTIGLAAQVNFGDISVHPELIPPAGFAWKFSEGSAAKLSSEGEEDSDDSVTDFERNLQEMLPGLHGSSSPGSKVPPKGSRRSERQKKPSSRFNAEAGFIPEPPRSTKKKTAREGTSSLPLLLSDWSNAQLASYCNACGIVFTELASDCLNCLRAIELSSPNKGLDQAETSAEESKLELVTQATWKKIGGTRLDQFVFAPAKGTAGGLIVGWNGDFLFGTLEKMGIFSITVAFHDKRDNFRWRCTSVYGPNARDQKLGFWEELRGGLEDLRIVKLILLLFEGLAGLQEMWGYFRRTLLMPEPPNSMRSIWLDWRNLAKLEDMMTTVRRNLDFTGPRSRMDRMEMMESTAEEALDGNMG >DRNTG_16945.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:11658014:11658896:-1 gene:DRNTG_16945 transcript:DRNTG_16945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNINLVYGGGNIGLMGLISQTVFDGGRQVLGIIPKALMDKEVGLLNINGYYNSLLSFINQAVEEGFINPNARHIIVCASNAKQLIEKL >DRNTG_27836.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19669120:19670277:1 gene:DRNTG_27836 transcript:DRNTG_27836.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFLDDFDDQEQQELHEEVEDEDEDEEINMMELQKRMWKDRVLLKKLKEKDKHKEQVKQSTLEEKSRRKKMSRAQDGILKYMLKIMNTCKAKGFVYGIIPEKGQPISGSSESLRAWWKDTVMFDRNAPPAIIRRPAEEMEGGVVLLEKSTMVSFVEELEELQDSTLGSLLSALMQHCVPPQRRFPLEKGLAPPWWPTGGESWWGVQGMAVEHGPPPYRKPHDLKKAWKQSVLVGVLKHMAHDMDRVRRLVWQSKRLQNKMSARESETWSKVVNQEEVMERMKVVNDHKRKLEEVMDETWMEELMEMYERDVGGGGMEMGRVFEEEREEMEIKAMLVGDGDGDGDGDDEMRVWIGEEFGEGSSSASCGASTSTTGTSVVWDFGFD >DRNTG_23558.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22447734:22448356:1 gene:DRNTG_23558 transcript:DRNTG_23558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILTERGYSFTTTAEREIVRDIKEKLAYVALDFEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQV >DRNTG_10526.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7010775:7012947:1 gene:DRNTG_10526 transcript:DRNTG_10526.3 gene_biotype:protein_coding transcript_biotype:protein_coding KPENTRRRPIPSTDPVTLSLLIYKAHILNLSPTPPCLHLWWCFSSFVGDFSSKELSSWKIKFNALDLGGHRIARRVWKDYYAKVYALQEGAKFSPLWMLCKKVLVS >DRNTG_10526.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7010775:7011027:1 gene:DRNTG_10526 transcript:DRNTG_10526.4 gene_biotype:protein_coding transcript_biotype:protein_coding KPENTRRRPIPSTDPVTLSLLIYKAHILNLSPTPPCLHLWWCFSSFVGDFSSKELSSWKIKFNALDLGGHRIARRVWKDYYAK >DRNTG_10526.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7014857:7017528:1 gene:DRNTG_10526 transcript:DRNTG_10526.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDAKTPSHSIHHQANHLSCACCLYVYTLLL >DRNTG_10526.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:7010775:7016979:1 gene:DRNTG_10526 transcript:DRNTG_10526.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRGPSAFLPNDASTSLLTDDIGVNESDASNIIPHDPLRMIDDINSLKSELALENEELM >DRNTG_26542.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5703789:5704721:1 gene:DRNTG_26542 transcript:DRNTG_26542.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EID1-like F-box protein 3 [Source:Projected from Arabidopsis thaliana (AT3G63060) UniProtKB/Swiss-Prot;Acc:Q93ZT5] MIERARCSTNLSNDSDDPPTPTPPPASRASSNAGSGPSRDGNIGINDERILMLVFRSINWDPRVLSVTSCVSRRLRAVAKRVLWRELCFSRAPRLVSALTSGAPNARLVGGWLALAKLFFFCCGSQSSRFFPLDHPFPGHFIAVSRFSKTSGKSFLPRRCWGDLLYVSDPCEHSTPAGGDDLGVYRGVFPGFIRSRTRACLINRQAELDSRVRCPYCGARVWSMTAARLIPRSAARRLGSHDGDLEYFVCVYGHLHGLCWLAHLSSDDEGKHRGEAPDDDDDDDGDAHGDDDDDDDDDDDDDDDDDHIAF >DRNTG_04661.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30557411:30558166:1 gene:DRNTG_04661 transcript:DRNTG_04661.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKPAEKKPAEKKPSEEKEKKTVAEKAPAEKKPKAEKRLPSKDASSGDKKKKKAKKGIETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEAARLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >DRNTG_29541.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:16316928:16320523:-1 gene:DRNTG_29541 transcript:DRNTG_29541.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEYVPTSPIFEVAQPFGGVQGKSHSSIPTYAHRTRFPSTYPSLKKQSDPRHKRVLVYVTSMKSGFGSIFGKVMLQSFLRKKVGRGASVEAYPIPDKGILGRRSTQHFVCTLRRQTAGIVRRRLLILLYVSERLIVESNSDQKLSHAYAMVLSESCGGEASSHGKEIGNLGLQGDTAVWGSTVRPNGRQRGQPWVYPGQEVRGAIDRGVFYLVATTISLAIV >DRNTG_20064.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26329917:26333919:-1 gene:DRNTG_20064 transcript:DRNTG_20064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEVVNSEMALLAVLPFKRIQMSDKYPKAQSRGRHWKHLKQILQAENFLENEPNYLSIESPPSMYPPKKFCDVTGFKAPYVDPRTSLRYASPDVLKCIRYLPNEYVQRYLSLRNAAIVLK >DRNTG_30719.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12474675:12478784:1 gene:DRNTG_30719 transcript:DRNTG_30719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASPCFLESEDRHQVYSRKQKSLGLLCSNFVSLYNRDDVESISLDDAAKQLGVERRRIYDIVNVMESVGVLTRKAKNRYSWIGFSGIPKALEDLKKEALRDLSRYPGDDQCSQVSEEEENDRSMDHEEGIREDKSSSGSHKNVRSDNRKEKSLGQLTQNFVKLFLTSNADTVSLDEAARLLLGDCNDATQMKTKVRRLYDIANVLSSMNLIEKTQQVVTKKPAFRWLGNQGKPDMGVTVAIPPCTSQIKKRTFGSEITNLDFKRSRSVSYVDKKPDNLQMRKEDLKACNLAAQKQLQTSKGYVFGPFQPGGVTREGGNESDMGRSKVQDWESLASSFRPQYHNQALSDLFAHYLEAWKSWFAEVTQGSSSSLQQPYKSIINQLS >DRNTG_34966.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3050488:3051546:1 gene:DRNTG_34966 transcript:DRNTG_34966.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQPNYAPPFSQCFLSPRTQEDIERDEQIYNSYEKLSSQLPILDDWYPLTLQNYQSFWIHPSQVPSCMAVQSHFNPRPSDILIVTNPKSGTTWLRALSYATLHRHSFSISDHPLLTTTPEQCVPFLEGLFNYHTIPNISVLPSPTIFSTHLAFSLLPQSLDCRIVFLCRDPKDTFVSLWHLVQRLRISSSTEDKSIVELDFNKAFQLFSQGISPRGPFWDHVLGYWKESKRRPEKVLFLKYEEMMEDPVSHLRKLAEFMGCPFSMEEERDGVVEDIVKLCSFDNLRELEVNKDNKGSVESRRLPPSSFFRKGKVGDWVNYLSMEMVEKLDAITKEKLHGFGLSFESSAAVP >DRNTG_19920.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12623967:12624371:1 gene:DRNTG_19920 transcript:DRNTG_19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVLDKQAAGEAGVGALEKSNSSDETIITTAFPDESITGLVPRHVDSFQKLKKIDSGTYSNVYKARDLVTGRVVLLKKVRFDIL >DRNTG_34508.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002160.1:7382:12101:-1 gene:DRNTG_34508 transcript:DRNTG_34508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEFKTEHEKKGEKENKLWGGRFQESVTDAVERFSESVSFDKQLYKQDIRGSRAHATMLANQGLMSDSDRCCILSGLDEIERRIENGEFVWRADREDVHMNIEAALTDLIGEPAKKLHTARSRNDQVVTDLRLWCRDAIDQILSCIKQLQVGLIMLAKENEGLIVPGYTHLQRAQPVLLQHLLLSYVEQLERDFCRLVNCKDRLNVCPLGACALAGTGLPIDRFMTSEALGFSAPMKNSIDAVSDRDFVLEFLAANSITAIHLSRLGEEWVMWASEEFGFLTPSDKVSTGSSIMPQKKNPDPMELVRGKSARVVGDLVTLLVLCKGLPQAYNRDLQEDKEPLFDSVKTIIGMLEVTTEFAHNVTFNRQKIQKALPAGHLDATTLADYLVKKGIPFRTSHDIVGRSVALCVAKICQLSDLTLDDFRIISPVFEEDVYEFLGVENCVKKFCSYGSTGSEMVAEQLNAWITKLNISGISS >DRNTG_34542.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:952870:953403:1 gene:DRNTG_34542 transcript:DRNTG_34542.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNLITHPPLISSKLVHNSCNGESSARASPPPSSPNSI >DRNTG_34542.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:952870:955195:1 gene:DRNTG_34542 transcript:DRNTG_34542.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSAEDQRRSKRSPRWRRPLIAQSTTSKFYKTTTTSTAGQAFSGRFQCRGDLTPSDCSTCVSKLTPMWGSLCGSSIVAAARVQLTGCYALYEIAGFPQVAATELLFKTCGSDGGGTGFEEKRSTALSSLQSGLSASGGAGFYATSYESVYAMAQCEGDLSPGDCGDCVVAAVEKVEVECGGAASGQVYLDKCYISYSYYPNGVPRGAGAGAGAGEGDLGGELLDLYRFLE >DRNTG_34542.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:954094:955195:1 gene:DRNTG_34542 transcript:DRNTG_34542.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSAEDQRRSKRSPRWRRPLIAQSTTSKFYKTTTTSTAGQAFSGRFQCRGDLTPSDCSTCVSKLTPMWGSLCGSSIVAAARVQLTGCYALYEIAGFPQVAATELLFKTCGSDGGGTGFEEKRSTALSSLQSGLSASGGAGFYATSYESVYAMAQCEGDLSPGDCGDCVVAAVEKVEVECGGAASGQVYLDKCYISYSYYPNGVPRGAGAGAGAGEGDLGGELLDLYRFLE >DRNTG_32106.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18931633:18932102:1 gene:DRNTG_32106 transcript:DRNTG_32106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYFHLVVLNNNKQEYSHYSSCQSEECDKDALEMRLFDTCIDMEFGETVTTKYPLIHDIETPRQKKGSVDCAVYVMQFIEQLIDNEKLQLPETDIPYLRLKYIAHILKEGSIAGIIDKGESLTVGK >DRNTG_23953.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:14692525:14694953:-1 gene:DRNTG_23953 transcript:DRNTG_23953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSRKGPMAPQSHRSSGSPQIKTWDLLANVPVFEKLSTAAVWIQFHYARIDLWGTELLGSIASQFGNVLKVDDLTLTRSRTKYARLWLELDLGQRLQQGTWDHYGDSHIFIVVFYEKLPVFCYNCSHVGHRESKCSFINSCKSGPAPHQPYVPLEHEMMVEDPTPPVGKASEQTFLSLDGELPDKEAFSEFGPWLIPRKCSHRSLAPERNIKGKSPVEAPNDTIPSPNVTVDVATSEKHFPYPSSNPKVVVSFDIGKSSMLSFSLCTEIGEASHPNGMETPQRTRRYNLAIKRRKPGCGCSVFTTAKHCSWSTVASTMQQDHCSCHCSTMRVFKSGIESWHTASMLLGMEPRMITVAIEEDMLEGILAQ >DRNTG_27743.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4301844:4306268:1 gene:DRNTG_27743 transcript:DRNTG_27743.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDKKLEGINLTDLASALPANAPVKNVEKVTMVNNYGEMLVGVLHRTSSEKLVILCHGFQSSKEDKSLLTLADALTKEGFSAFRFDFAGNGESDGVFQYGNYRKEADDLRAVIIHLAKQKYNICAILGHSKGGNAVLLYASIYGGIDTVINISGRFALERGISGRLGKDFKQRIKKDGFIDVKDKRGKVEYRVMEESLMDRLTTDMRAACISINKECRVLTVHGSADEIVPVEDAKEFAKLIANHKLCIIEGANHNYTKHQSELASIVLDLLKRGCPPSSNCMITLRSRL >DRNTG_27743.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4304628:4306268:1 gene:DRNTG_27743 transcript:DRNTG_27743.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLMDRLTTDMRAACISINKECRVLTVHGSADEIVPVEDAKEFAKLIANHKLCIIEGANHNYTKHQSELASIVLDLLKRGCPPSSNCMITLRSRL >DRNTG_27743.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4304937:4306268:1 gene:DRNTG_27743 transcript:DRNTG_27743.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTGGNAVLLYASIYGGIDTVINISGRFALERGISGRLGKDFKQRIKKDGFIDVKDKRGKVEYRVMEESLMDRLTTDMRAACISINKECRVLTVHGSADEIVPVEDAKEFAKLIANHKLCIIEGANHNYTKHQSELASIVLDLLKRGCPPSSNCMITLRSRL >DRNTG_27743.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4303930:4306268:1 gene:DRNTG_27743 transcript:DRNTG_27743.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLMDRLTTDMRAACISINKECRVLTVHGSADEIVPVEDAKEFAKLIANHKLCIIEGANHNYTKHQSELASIVLDLLKRGCPPSSNCMITLRSRL >DRNTG_27743.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4301844:4306268:1 gene:DRNTG_27743 transcript:DRNTG_27743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLMDRLTTDMRAACISINKECRVLTVHGSADEIVPVEDAKEFAKLIANHKLCIIEGANHNYTKHQSELASIVLDLLKRGCPPSSNCMITLRSRL >DRNTG_21967.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2229091:2229753:-1 gene:DRNTG_21967 transcript:DRNTG_21967.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEFRLENPLTLPKEDWVLCRVFKKKKGDESHASTSADEQAMQEYNNNNISEKMSTSLIYIPDEQEEDMYNKESSSNSILNLAMFQCCHFLDEVDYSTSIGMRMINSRTDHGDDVDDYGLLLDVGLINNSIGM >DRNTG_22840.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2439878:2442871:-1 gene:DRNTG_22840 transcript:DRNTG_22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGQKQRIAIARALLKSPKILLLDEATSALDSESERIVQEALDNASLGRTSIVIAHRLSTIRNADLITVIQAGKVIETGTHTELIQDENGLYSTLVHLQQSSKTMEIAEEVNTSTSNYMSMSDNEDRNSQRMSRISSSKSLESNEPREDQLEKPKMKPPVPSFRRLLLMNTAEWKQAIIASISASIFGGVQPAYAYGIGSVISVYFLKDHKEMSDKIRMYSLLFFSLSFVSLFLNVVQHYNFGAMGEYLTKRVRETMLAKMLTFEVGWFDQDENSTGAICSRLAKDANAVRSLVGDRMALLIQTFSAVTIACTLGLVIAWRLALVMIAVQPLIIMCFYARKMLLKNMSGKAIKAQSESSKLAVEAVSNLRTITAFSSQDRILRLFELAQEGPKRDSVRQSWYAGFGLGSSQSLMTCTWALDFWYGGKLVSHGYITSKELFQTFMILVSTGRVIADAGTMTTDLAKGGDAVSSVFDVLDRNSQIEPEDPNGHRPDKLIGTVDICDVDFAYPARPDSIIFKNFSLSIEAGKSTALVGQSGSGKSTIIGLIERFYDPLKGSIKIDGKDIKNFHLRELRKHIALVGQEPTLFNDTIKGNIKYGSEEATEAEVEEAARVANAHDFISCLQDGYETLCGDKGVQLSGGQKQRVAIARAVLKNPTILLLDEATSALDSQSEKVVQEALERVMVGRTSVVVAHRLSTIQDCDLIAVLEKGMIVEKGSHASLITKGPSGTYFSLVSLQQGSKSTSGL >DRNTG_05481.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23269377:23270747:1 gene:DRNTG_05481 transcript:DRNTG_05481.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDVYGEDKQLKEIQNDLDALKMAMTVGPSKEACVYVKLAGIGCVEGSNGEAKKAEQMSRLELLKGVEEDEVGAEDDLEDILVSGQGEGKLVDEENDLHDSDYSINDGDTDVEDGDNVTRQRPEVDINGELGEVGSDGNVKSDYARSEQLQSCSSTDEDELVTAKPRYAEFNNEVDIKNPQFKIGMKFGSFKQFREAVRSYGIKNRYVMKFKPNNKKKCKAFCTKGCPFYLWASPMVNDRSTIQIKTGNLVHECTRDHVNRHVNAQWIANTYLEQFRADPSWKLSGVIQAVKTNQEVDISRLKAYRAKCIAQRIIDGDEESQMARLHDFELLKTHPGSAIIVNCNDEGVFEALYVCLAPLREGFLAGC >DRNTG_05481.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23265177:23271129:1 gene:DRNTG_05481 transcript:DRNTG_05481.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDVYGEDKQLKEIQNDLDALKMAMTVGPSKEACVYVKLAGIGCVEGSNGEAKKAEQMSRLELLKGVEEDEVGAEDDLEDILVSGQGEGKLVDEENDLHDSDYSINDGDTDVEDGDNVTRQRPEVDINGELGEVGSDGNVKSDYARSEQLQSCSSTDEDELVTAKPRYAEFNNEVDIKNPQFKIGMKFGSFKQFREAVRSYGIKNRYVMKFKPNNKKKCKAFCTKGCPFYLWASPMVNDRSTIQIKTGNLVHECTRDHVNRHVNAQWIANTYLEQFRADPSWKLSGVIQAVKTNQEVDISRLKAYRAKCIAQRIIDGDEESQMARLHDFELLKTHPGSAIIVNCNDEGVFEALYVCLAPLREGFLAGCRHLISVDGCFLKGLYGGQLLCAVGIDANDCIYPISWAMVKKENKDNWKWFFEVLAEDLRITDSKKWAFILDRQKVSGDNF >DRNTG_05481.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23270578:23272947:1 gene:DRNTG_05481 transcript:DRNTG_05481.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHDFELLKTHPGSAIIVNCNDEGVFEALYVCLAPLREGFLAGCRHLISVDGCFLKGLYGGQLLCAVGIDANDCIYPISWAMVKKENKDNWKWFFEVLAEDLRITDSKKWAFILDRQKGLMPAIAEVFPYSEHRYCVTYIHTNFKKTFRGKALKDQLWACARASYYSAFEREMEILKVMSIEAYEYMKKIEPKHWSKSHFQSQFKCDILLNNLCECFNSNIVEARIKGIITMNEMIRTLLMKRIKKRRDAMQKVTTMYCPRILKKLEKFKQASWLYTTIWSGGDMYQVGGPDGQFVVKKKHCCCSCRKWQLSGVPCSHAISVLYYNNEKAENYLDKWYKVSTYMETYAHILYPTHDRGSWPRSGYPPVIPPEPVNKRRGRKTLLRRKEIDEQSGIKNNRVNKKGVKMKCNICGTVGHNKRFHGTQANKSCASATNTASRSNIDIEQPFSQVGITQGLKEPFSMVIEIPIEGVQEGALVTDYTTNQGSQDPLSQVVIDPLSTYTVDQTLAGTQSRITKLTRRGGKKSSNIQEDASHDIHPQRKHKNAAEGTRARDPEKRKRVWLPPGAGAFFG >DRNTG_05481.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23266293:23272947:1 gene:DRNTG_05481 transcript:DRNTG_05481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDVYGEDKQLKEIQNDLDALKMAMTVGPSKEACVYVKLAGIGCVEGSNGEAKKAEQMSRLELLKGVEEDEVGAEDDLEDILVSGQGEGKLVDEENDLHDSDYSINDGDTDVEDGDNVTRQRPEVDINGELGEVGSDGNVKSDYARSEQLQSCSSTDEDELVTAKPRYAEFNNEVDIKNPQFKIGMKFGSFKQFREAVRSYGIKNRYVMKFKPNNKKKCKAFCTKGCPFYLWASPMVNDRSTIQIKTGNLVHECTRDHVNRHVNAQWIANTYLEQFRADPSWKLSGVIQAVKTNQEVDISRLKAYRAKCIAQRIIDGDEESQMARLHDFELLKTHPGSAIIVNCNDEGVFEALYVCLAPLREGFLAGCRHLISVDGCFLKGLYGGQLLCAVGIDANDCIYPISWAMVKKENKDNWKWFFEVLAEDLRITDSKKWAFILDRQKGLMPAIAEVFPYSEHRYCVTYIHTNFKKTFRGKALKDQLWACARASYYSAFEREMEILKVMSIEAYEYMKKIEPKHWSKSHFQSQFKCDILLNNLCECFNSNIVEARIKGIITMNEMIRTLLMKRIKKRRDAMQKVTTMYCPRILKKLEKFKQASWLYTTIWSGGDMYQVGGPDGQFVVKKKHCCCSCRKWQLSGVPCSHAISVLYYNNEKAENYLDKWYKVSTYMETYAHILYPTHDRGSWPRSGYPPVIPPEPVNKRRGRKTLLRRKEIDEQSGIKNNRVNKKGVKMKCNICGTVGHNKRFHGTQANKSCASATNTASRSNIDIEQPFSQVGITQGLKEPFSMVIEIPIEGVQEGALVTDYTTNQGSQDPLSQVVIDPLSTYTVDQTLAGTQSRITKLTRRGGKKSSNIQEDASHDIHPQRKHKNAAEGTRARDPEKRKRVWLPPGAGAFFG >DRNTG_05481.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23269377:23271129:1 gene:DRNTG_05481 transcript:DRNTG_05481.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDVYGEDKQLKEIQNDLDALKMAMTVGPSKEACVYVKLAGIGCVEGSNGEAKKAEQMSRLELLKGVEEDEVGAEDDLEDILVSGQGEGKLVDEENDLHDSDYSINDGDTDVEDGDNVTRQRPEVDINGELGEVGSDGNVKSDYARSEQLQSCSSTDEDELVTAKPRYAEFNNEVDIKNPQFKIGMKFGSFKQFREAVRSYGIKNRYVMKFKPNNKKKCKAFCTKGCPFYLWASPMVNDRSTIQIKTGNLVHECTRDHVNRHVNAQWIANTYLEQFRADPSWKLSGVIQAVKTNQEVDISRLKAYRAKCIAQRIIDGDEESQMARLHDFELLKTHPGSAIIVNCNDEGVFEALYVCLAPLREGFLAGCRHLISVDGCFLKGLYGGQLLCAVGIDANDCIYPISWAMVKKENKDNWKWFFEVLAEDLRITDSKKWAFILDRQKVSGDNF >DRNTG_05481.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23266687:23270472:1 gene:DRNTG_05481 transcript:DRNTG_05481.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDVYGEDKQLKEIQNDLDALKMAMTVGPSKEACVYVKLAGIGCVEGSNGEAKKAEQMSRLELLKGVEEDEVGAEDDLEDILVSGQGEGKLVDEENDLHDSDYSINDGDTDVEDGDNVTRQRPEVDINGELGEVGSDGNVKSDYARSEQLQSCSSTDEDELVTAKPRYAEFNNEVDIKNPQFKIGMKFGSFKQFREAVRSYGIKNRYVMKFKPNNKKKCKAFCTKGCPFYLWASPMVNDRSTIQIKTGNLVHECTRDHVNRHVNAQWIANTYLEQFRADPSWKLSGVIQAVKTNQEVDISRLKAYRAKCIAQR >DRNTG_05481.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23271187:23272947:1 gene:DRNTG_05481 transcript:DRNTG_05481.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIAEVFPYSEHRYCVTYIHTNFKKTFRGKALKDQLWACARASYYSAFEREMEILKVMSIEAYEYMKKIEPKHWSKSHFQSQFKCDILLNNLCECFNSNIVEARIKGIITMNEMIRTLLMKRIKKRRDAMQKVTTMYCPRILKKLEKFKQASWLYTTIWSGGDMYQVGGPDGQFVVKKKHCCCSCRKWQLSGVPCSHAISVLYYNNEKAENYLDKWYKVSTYMETYAHILYPTHDRGSWPRSGYPPVIPPEPVNKRRGRKTLLRRKEIDEQSGIKNNRVNKKGVKMKCNICGTVGHNKRFHGTQANKSCASATNTASRSNIDIEQPFSQVGITQGLKEPFSMVIEIPIEGVQEGALVTDYTTNQGSQDPLSQVVIDPLSTYTVDQTLAGTQSRITKLTRRGGKKSSNIQEDASHDIHPQRKHKNAAEGTRARDPEKRKRVWLPPGAGAFFG >DRNTG_05481.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23265177:23270472:1 gene:DRNTG_05481 transcript:DRNTG_05481.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDVYGEDKQLKEIQNDLDALKMAMTVGPSKEACVYVKLAGIGCVEGSNGEAKKAEQMSRLELLKGVEEDEVGAEDDLEDILVSGQGEGKLVDEENDLHDSDYSINDGDTDVEDGDNVTRQRPEVDINGELGEVGSDGNVKSDYARSEQLQSCSSTDEDELVTAKPRYAEFNNEVDIKNPQFKIGMKFGSFKQFREAVRSYGIKNRYVMKFKPNNKKKCKAFCTKGCPFYLWASPMVNDRSTIQIKTGNLVHECTRDHVNRHVNAQWIANTYLEQFRADPSWKLSGVIQAVKTNQEVDISRLKAYRAKCIAQR >DRNTG_05481.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23265177:23265588:1 gene:DRNTG_05481 transcript:DRNTG_05481.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKGILLITLFLNFISFSACKLDVTQFPPSFLFGTATSSYQISIILEEGM >DRNTG_17991.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15699985:15700689:1 gene:DRNTG_17991 transcript:DRNTG_17991.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHKWSSKICECVATSMYSSPYYELEGHTARFLKRSFMLLSNFSLSFESPSAGFEGLLASLWSGSTDLTLRSAWKKVIGGALVGTDPARYALGRTRRPSDKTRLLHKTVDTNTERVFLW >DRNTG_01822.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16243796:16244636:1 gene:DRNTG_01822 transcript:DRNTG_01822.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVRTLLAVAVVQGWELHQMDVHNAFLHSDLKEEVYMKLPPGFTASQDKVCKLNKSLYGLRQMTWLLQEMTQRQ >DRNTG_28773.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9197086:9199291:-1 gene:DRNTG_28773 transcript:DRNTG_28773.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSDDSQTPLSEFPETQNPHKGNEAIEDAITQDAETRKRSRGPNKATQLNRKPIYGEVFNHTHKREKGHGSW >DRNTG_28773.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9197086:9199291:-1 gene:DRNTG_28773 transcript:DRNTG_28773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSDDSQTPLSEFPETQNPHKGNEAIEDAITQDAETRKRSRGPNKVMPTPTNPNDRVLINTLHDQYAHLQFILFYTKSNFLLI >DRNTG_08042.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30272236:30272732:-1 gene:DRNTG_08042 transcript:DRNTG_08042.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPIEREAVLANIALKKSVDNPVIIEIACINSPADLLVVKQAYQALYKHSLEEDVAARSSGDLRKACH >DRNTG_26870.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2117943:2123135:-1 gene:DRNTG_26870 transcript:DRNTG_26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKKSMKSIRCKAAVIRGAGEPLQIEEVEVAMPSFGEVRLKIICSSLCHSDITFSRLKDFPGVYPRILGHEAFGVVESVGEGVDEFKEGDSVVPVFLAQCRDCVDCRESQSNICSKFKFGPEPGMPRDGTTRFTGSDGSPIHHTIFVSSFVEYTVVDAVHLVKVDPRVPPSDRLFT >DRNTG_25992.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1014062:1016138:1 gene:DRNTG_25992 transcript:DRNTG_25992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREVMTAYVCPLLKQLQEAKRLSAKHKWKRPMFMDNMLKKMERELMFINDIFRRLERWENDVKEALSVLARFLDDMLDDGCYETRGLEFQLQSIDCKISDLKEHVTPPLRLPPLATDESIPSSLSKTDALQEFAFVGRNPGLPLHVSYVWQKYEKMRPQLRECLLTLVIFPKVSVIKKSLMSYWWIGEGIVKDDKSGELCFQELINEGLITPIYKAHNLVADRCMMPLWVRDELSFIAMMVDAFSYGSPGLPSSLLASSSRAVLVQGSEEPEQSIAVSPRFNPNKFQTCFNANQRNLVFGKEFILMRNAAVLQLGRWQSSALEHHIEVKNTKFLEWLEFFKNLRYLSLRGISRMTELPGSIGELANLKILDLQGCQRLEKLPVGITKLKSLTHLDLSECCLLEHMPKGLGSLPELQVLKGFVINKDQVCQLQELAKLEKLRKLDINIKREAMIGAGELDELCKLTTLRSLKLTWEQDTPLPPKVASVMNTELVSPPPNLEKLELCCFPLVRPPSWLDPCKLMKLKRLYIWGGRLHNLCLGSQKKEAYHVEVLRLKFLQEWDLEESELLIEFPYLTFLEITECNKLTNTSRCLHTNSETEDGSLLPL >DRNTG_02836.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20051233:20051838:1 gene:DRNTG_02836 transcript:DRNTG_02836.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAASAASTNKFPTPMTQLYRAILKGVELRRVMMAVARSGAYELSAGDVVFKDELMVVVNKPSRIYCKTLLKALSDMAPPSLENGTNEDNALYLANRLDGDTSGLMVITKSNKVAAKLVKAFTNHKVKKSYIALCIGHAPNLKKLTISSGHGQSNFDMWRLYSMSDVGKTLLGGSMVKDMMTYFEVYRSMDRRTTCVMI >DRNTG_25214.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20134742:20137494:-1 gene:DRNTG_25214 transcript:DRNTG_25214.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEFNVPPVVFQSSGATPAAVAHQRHTSSAPAFKPSSMLPFMPFDLSSAPASSSFSTPAAHSFNVPAYPSISGSGSGSGSGFFSSFDEEPPLLEELGINTRQIWLKITSILNPFRVNPILHEDADLSGPFLSLMAFGLFQLLAGKFHFGIILGWVTVASLFLYGVFNMLAGRYGNLDLYRCLSLVGYCMIPMVIFSAVSLFMPHSGVPIFVVGAVFVLWSTRVCTRLLIELASCGDEHRWLIAYACWLVYLLFALLVIF >DRNTG_25214.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20134742:20137422:-1 gene:DRNTG_25214 transcript:DRNTG_25214.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEFNVPPVVFQSSGATPAAVAHQRHTSSAPAFKPSSMLPFMPFDLSSAPASSSFSTPAAHSFNVPAYPSISGSGSGSGSGFFSSFDEEPPLLEELGINTRQIWLKITSILNPFRVNPILHEDADLSGPFLSLMAFGLFQLLAGKFHFGIILGWVTVASLFLYGVFNMLAGRYGNLDLYRCLSLVGYCMIPMVIFSAVSLFMPHSGVPIFVVGAVFVLWSTRVCTRLLIELASCGDEHRWLIAYACWLVYLLFALLVIF >DRNTG_25214.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20134654:20137422:-1 gene:DRNTG_25214 transcript:DRNTG_25214.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEFNVPPVVFQSSGATPAAVAHQRHTSSAPAFKPSSMLPFMPFDLSSAPASSSFSTPAAHSFNVPAYPSISGSGSGSGSGFFSSFDEEPPLLEELGINTRQIWLKITSILNPFRVNPILHEDADLSGPFLSLMAFGLFQLLAGKFHFGIILGWVTVASLFLYGVFNMLAGRYGNLDLYRCLSLVGYCMIPMVIFSAVSLFMPHSGVPIFVVGAVFVLWSTRVCTRLLIELASCGDEHRWLIAYACWLVYLLFALLVIF >DRNTG_25214.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20134654:20137494:-1 gene:DRNTG_25214 transcript:DRNTG_25214.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEFNVPPVVFQSSGATPAAVAHQRHTSSAPAFKPSSMLPFMPFDLSSAPASSSFSTPAAHSFNVPAYPSISGSGSGSGSGFFSSFDEEPPLLEELGINTRQIWLKITSILNPFRVNPILHEDADLSGPFLSLMAFGLFQLLAGKFHFGIILGWVTVASLFLYGVFNMLAGRYGNLDLYRCLSLVGYCMIPMVIFSAVSLFMPHSGVPIFVVGAVFVLWSTRVCTRLLIELASCGDEHRWLIAYACWLVYLLFALLVIF >DRNTG_25214.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20134493:20137422:-1 gene:DRNTG_25214 transcript:DRNTG_25214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEFNVPPVVFQSSGATPAAVAHQRHTSSAPAFKPSSMLPFMPFDLSSAPASSSFSTPAAHSFNVPAYPSISGSGSGSGSGFFSSFDEEPPLLEELGINTRQIWLKITSILNPFRVNPILHEDADLSGPFLSLMAFGLFQLLAGKFHFGIILGWVTVASLFLYGVFNMLAGRYGNLDLYRCLSLVGYCMIPMVIFSAVSLFMPHSGVPIFVVGAVFVLWSTRVCTRLLIELASCGDEHRWLIAYACWLVYLLFALLVIF >DRNTG_23664.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20500167:20504026:-1 gene:DRNTG_23664 transcript:DRNTG_23664.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein S-acyltransferase 12 [Source:Projected from Arabidopsis thaliana (AT4G00840) UniProtKB/Swiss-Prot;Acc:Q5M757] MDFGQAAPNPFRLCSGLRVLGYLMILMVAAIIAVTYYAVVIVVWSPRLLHGGLSSAALALAVLVPFHLLLVLLIWSYFMVVFRDPGTVPVNWRPTFDVESLEITSSDSVAHESRLSSESSSGLERRLNIGYCNFCQNNKPPRCHHCSVCQRCVLKMDHHCVWVVNCVGARNYKFFLLFLLYTFLETILDTLALLPHFITFFGDAKNHSSSAQNLAVTFIAFVLNLAFSLSLLCFVVMHSSLVLSNTTTIEVYEKKKAVTWKYDIGRRKNFEQVFGTKKIFWFLPLFSEKDLENIPALGGLEFPMRSDVEA >DRNTG_02894.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:750106:752646:-1 gene:DRNTG_02894 transcript:DRNTG_02894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQHPSIVRVTRPTRPDKARRLGYKAKQGYVIYRVRVRRGGRKRPVPKGIVYGKPKNQGITQLKFQRNKRSVAEERAGRKLGGLKVLNSYWINEDSTYKYFEIILVDAAHNAIRNDPRINWICKGVHKHRELRGLTSAGKKYRGLRGKGHLHHKARPSRRATWKRNQTLSLRRYR >DRNTG_20034.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13334915:13336156:-1 gene:DRNTG_20034 transcript:DRNTG_20034.9 gene_biotype:protein_coding transcript_biotype:protein_coding LVKKEKAGKDPNKPKRPPSAFFVFMYALAIILVFRHFFSWIYIYIFIIWF >DRNTG_20034.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13333062:13336156:-1 gene:DRNTG_20034 transcript:DRNTG_20034.5 gene_biotype:protein_coding transcript_biotype:protein_coding LVKKEKAGKDPNKPKRPPSAFFVFMKTYKENPYVNKVDVVCLRSSIQSFLYNSLRISGCLRSQFLLIFFD >DRNTG_20034.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13332918:13336156:-1 gene:DRNTG_20034 transcript:DRNTG_20034.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNPNKSLNTIPHLLHLSLLIILQVSAEVHRNPANEIVDMINQNRTSNKLPKLYDSAGLGCMALQYISKCTGNCSKNNTMNCHPRTRSRYY >DRNTG_20034.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13332918:13336245:-1 gene:DRNTG_20034 transcript:DRNTG_20034.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVKKEKAGKDPNKPKRPPSAFFVFMKTYKENPYVNKVDVVCLRSSIQSFLYNSLRISGCLRSQFLLIFFD >DRNTG_20034.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13333062:13336156:-1 gene:DRNTG_20034 transcript:DRNTG_20034.7 gene_biotype:protein_coding transcript_biotype:protein_coding MWNPNKSLNTIPHLLHLSLLIILQVSAEVHRNPANEIVDMINQNRTSNKLPKLYDSAGLGCMALQYISKCTGNCSKNNTMNCHPRTRSRYY >DRNTG_20034.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13333062:13336156:-1 gene:DRNTG_20034 transcript:DRNTG_20034.6 gene_biotype:protein_coding transcript_biotype:protein_coding VIHLKNSFLGNPANEIVDMINQNRTSNKLPKLYDSAGLGCMALQYISKCTGNCSKNNTMNCHPRTRSRYY >DRNTG_20034.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13333062:13336245:-1 gene:DRNTG_20034 transcript:DRNTG_20034.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWNPNKSLNTIPHLLHLSLLIILQVSAEVHRNPANEIVDMINQNRTSNKLPKLYDSAGLGCMALQYISKCTGNCSKNNTMNCHPRTRSRYY >DRNTG_20034.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13334915:13336156:-1 gene:DRNTG_20034 transcript:DRNTG_20034.10 gene_biotype:protein_coding transcript_biotype:protein_coding LVKKEKAGKDPNKPKRPPSAFFVFMKTYKENPYVNKVDVVCLRSSIQSFLYNSLRISGCLRSQFLLIFFD >DRNTG_20034.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13333062:13336245:-1 gene:DRNTG_20034 transcript:DRNTG_20034.3 gene_biotype:protein_coding transcript_biotype:protein_coding LVKKEKAGKDPNKPKRPPSAFFVFMKTYKENPYVNKVDVVCLRSSIQSFLYNSLRISGCLRSQFLLIFFD >DRNTG_02444.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10434758:10435369:-1 gene:DRNTG_02444 transcript:DRNTG_02444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHKMNVHDRNTLGHHLLLPEPPSSLVDINVNGNQYRPLDWPRLRVPMAITIVTFILLIIWHCDRHSARHPQRHILVTRDGLNKAIIASFPILRFEVVEKVVPREKCGEDCSVCLSEFEKGDDVRLLTVCGHAFHPNCIDTWLGTKKTCPVCRTDLTEFPAEEILAAVEAVQATEHKVVSDRRRRKEKGDVHVMRIVEERER >DRNTG_22610.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9364148:9365655:-1 gene:DRNTG_22610 transcript:DRNTG_22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEDLQGLKRSPLKQCFDALDLLPISFCDVCLSLGEALHSISLEITGEKGGVAALKTEEEEDPFFTLKIPIKGLFRKASWTHSEARFTGGFLDAMTEEESIKVGDEAPPKPQRPPLQTLAVSPLKSRTKDEKE >DRNTG_33689.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1271283:1271779:-1 gene:DRNTG_33689 transcript:DRNTG_33689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGRNCDEPDPDDSLKSDTLMDGKQMEIDEPDPDDSTITLDNEELRIIEEPVAAICARLQKAISVVKV >DRNTG_33689.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1271283:1271779:-1 gene:DRNTG_33689 transcript:DRNTG_33689.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGRNCDEPDPDDSLKSDTLMDGKQMEIDEPDPDDSTITLDNEELRIIEEPVAAICARLQKAISVVKV >DRNTG_32515.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001851.1:37735:38395:-1 gene:DRNTG_32515 transcript:DRNTG_32515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDEKTKQKAMEAVADIYGINSIAIDLKEQKMTIIGEMDTVAIAKKLKKIGKIDIVTVGPAKEEKKDEKKEEKKDEKKEEKKDEKKDEKKEEKK >DRNTG_24114.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31331171:31333116:1 gene:DRNTG_24114 transcript:DRNTG_24114.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLKNAFHLKKICQFRIIGHTLRKQWKRYVLAFNCQFHQLLYYRVIFMINCYSLAIL >DRNTG_24114.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31327857:31328344:1 gene:DRNTG_24114 transcript:DRNTG_24114.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLFGSVRKSLVFRPSADGVGIGEKIGSCLRKSRVGFGLGLGFSPKLPAPVSEDEGPPIRWRKGELIGCGAFGQVYMGMNLDSGELLAVKQVVNLFFFSFIS >DRNTG_24114.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31327857:31333116:1 gene:DRNTG_24114 transcript:DRNTG_24114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLFGSVRKSLVFRPSADGVGIGEKIGSCLRKSRVGFGLGLGFSPKLPAPVSEDEGPPIRWRKGELIGCGAFGQVYMGMNLDSGELLAVKQVLIGRSSAKERAQAHIRELEEEVKLLKNLSHPNIVRYLGTVREEETLNILLEFVPGGSISSLLGKFGSFPESVIKMYSKQLLQGLEYLHQNGIMHRDIKGANILVDNKGCIKLADFGASKQVAKLATMTAAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTIIEMATGKPPWSQQFQEVAALFHIGTTKSHPPIPEHLSPEAKDFLLKCLQKEPNLRPSPSDLLKHPFVTGEREDSHTVNHSTVMEPPKNPFSFRSYTKSIFNSMTSYGNADHIRGSCSMMIPSKNLGAKPLWDVRASDDMCQLGGMDDFPKADSSFNPMSEPFDDWGCKFDLSQEQRRMDSEDFSGLAIDSTDGTGNKDFTVPCEAAGEDDDEVTETKIRAFLDEKALDLKKLQTPLYEEFFNSLNSNEPVGSTSEENCTNNTKLPPKSTKSPSRVTTDASATIENPASIINPGNTIARFTRSRSGICRILKEIPFQEAQKESNCVSSSFLDRRQKWKEELDQELEMMRQAGVVARTSPKDKTLNRKRDRSRFAFPAK >DRNTG_28926.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22083504:22085068:1 gene:DRNTG_28926 transcript:DRNTG_28926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLSNGHHESLPESYILPVDKRPGLIINKAIPVIDLAAHNHEQTAMQILEAAKEYGFFQVVNHGIEEEVIREMLKISKEFFQLPIEEKAVYFSEDVTKFPRLHTSTTRVNKLEKKVWRDYFKFVCHPVDPQSMDQWPQRPTGFREIAPKYSKFARELGLKILEFIAQGLKLDDNYFNGELSDGTSIVNINYYPQCPDPSLALGLLSHCDPNLITVLLPDDQVNGLQVLHHGDWISVDPIPNAFVINVGHQLEFITNGILKSVEHRAATSSAMSRISIATFIYPSSECVIGPAKQLIDENNGPIFREFKLKEFLSIYNGHIGDTANIMDAFKIKA >DRNTG_00400.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2858554:2859601:-1 gene:DRNTG_00400 transcript:DRNTG_00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITMDSEEHHRLSEKEAATTTTSPSHEFSFTISLHPSPNSNPTSIKYSKNNPTFAIDLAPADDIFFHGHLLPLHLLSSTSISPRPSDFSIENLNLPLDSTDGNQSQKYETYNNNNNMLINEANETKEKFKPKNLSSSLFGLGKWLLKAGGGGEKHESGKTKKKKVLDLSRVFKRYVSAIELLFTFRSDKEKQRLPPRPYSFSGNISVKDKEVWRKRRGELSAPASMRTSPTNSGLLVATSSVFSSSDESTMEELQNAIQAAISHCKNSIAVSEEKCIC >DRNTG_21690.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:986393:991710:1 gene:DRNTG_21690 transcript:DRNTG_21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVSTAKGQALADEYGIKFFETSAKTDLNVEQVFFSIARDIKQRLAETDSKAEDRTIKINRPEVAGDAKAPERSACCGAT >DRNTG_13089.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2521001:2522326:1 gene:DRNTG_13089 transcript:DRNTG_13089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHYFTGIAANRHIVSAPDDVWAPLLERNKDARKWHSRPFPYFMALQEVYEGRYAESKRSRGVEDYDDISQSPVHTPCPSVFTPNDSTQPSPAHETEDDDIMQVELPSSQPRNSQTQRSSNEILRGIRDQDGQRRKRERKGKRPQDSPFDMDKYIAFRECENKEYLEVLKGTQIVEKHTIEDCMKVFNQMSSIFTEEEMFKATQIFIKDKSYRELFLYLQEDHRMPWLKMMFTKLE >DRNTG_22960.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1035772:1037529:-1 gene:DRNTG_22960 transcript:DRNTG_22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKPELRSLRGGGGNGGMRGFRDAPLAPWRWDGFGSMYTWRPVAPA >DRNTG_22960.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1035772:1037529:-1 gene:DRNTG_22960 transcript:DRNTG_22960.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKPELRSLRGGGGNGGMRGFRDAPLAPWRWDGFGSMYTWRPVAPA >DRNTG_20228.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4093542:4102812:-1 gene:DRNTG_20228 transcript:DRNTG_20228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRGIFQLLSRRSLCQSSVSSNLREKFSAAQEEVSAHFKGRFSAAKGVNEETFGPRKAEFSRSSTTKTDAKDGSNATPGAESSQSYQSNDSKESSAEVESFFDRIKSTLSSTPKVSVTLRKLEERVSGLATKGYDILKDELSSSSSKQRRMQNNVHSTSEPRSTRTDIVVLPSKKSRLGEKWEAFKNKMHGHPLYKRVSGYSKPVVTMSQEIAEDVRERWETSDHPVVHKIQDLNESVFGETATAQSFKEIRRRDPSFSLPEFVAEVQEMIRPVLTAYLKGDEEVLKKYCSNEVIERCKAERTAYQTQGMFFENKILHISEADVRETKLMGSTPVIIVAFQTQQIYCVRDREGAITAGGKDTIHTIYYAWAMQQMDSEELGEGDFYPGWRLREMQQLGFQALI >DRNTG_30908.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001641.1:3544:4485:1 gene:DRNTG_30908 transcript:DRNTG_30908.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSSSRTSSPRWTSSRNRSPFFINAYPYFTYKAEPDNVKLNYALFEPNPGCLTSNRASNGNENELGATAANAAKYSENLIKMVAEGKGTSAKPYQVLLVYVFSLFNENLKPGPKSERNYGLFKPDDTTAYDLGIKPETENSTTNGSGSSGGGSSDDVDDGEREKMSLIIRVVNEPSRAEYYQARARLKYIIRRLELGSSSFEPFFLCSSSAR >DRNTG_35397.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1623062:1626202:1 gene:DRNTG_35397 transcript:DRNTG_35397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAAGASPCLSAKALAQSPDPSYPAAARKPRRRRIRSAVFSGSAVAGGRRSGPATPLVRWKFDVGDRSADVVPDEGPKVRRKRHRTVAPAVSARRLAAVIWQLQLSEVGGGGGKGRTGRDGTEPVPGHLQNPYVPIHAGSGLHGNVKNKSASWVTAPSPENAILQKFDGSGTLHNYVMEKATKWDPGCSKASDDVFRFYSHLKLLEDQQVTTVSVVSALQSEVDQARARISELETERRSAKKKLDHFLRKLAEEKASWRSREHEKIQAVLDDMKADLNRERKNRQRIETVNSKLVSELAEVKLSAKRFMQDYEKERKARELMEEVCDELAKEIGEDKAEVEALKRESQKIREEVDEERKMLQMAEVWREERVQMKLIDAKLTLEEKYVQLRRLQTDLEIFLSEKGDTNLDKAEIREAEALREAVGSVKVQDIKEFTYHPPSASEDIFSIFEELQPREDTDERETEPCYGYSPASRASKVHTVSPDVNGFLEKSSRRYSTGIMDRDGDVEDDSGWETVSHVEEQGSSNSLDGSDPSVNGICEESNASLSGTDWEENGIHGRSRSEISEICSLTTKQPTKKTSSIVRLWRSSGPNKGEHPKKISMETINGRLSNGRMSNATPSPDRRSGEIGLSPRSLGHWSSPDSMNPHIARGMKGCIEWPRGMHKQSLKSKLLEARVENQKIQLKQVLKQKI >DRNTG_21315.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1454912:1455164:1 gene:DRNTG_21315 transcript:DRNTG_21315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKERERERTIEKEEEEEEEGVCLTSKSRASFPTTRFCALAITSWKLELELGRPQYRLHSSPPMFVICHLGTKS >DRNTG_04681.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30404462:30406788:-1 gene:DRNTG_04681 transcript:DRNTG_04681.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPL1 [Source:Projected from Arabidopsis thaliana (AT3G55330) UniProtKB/TrEMBL;Acc:A0A178VEI8] MSVSLPESASFAAETKKGYLAVTDRKDGYSFLYPFGWQEVVVQGQDKVFKDVIEPLESVSVNTVATSKGDIRDLGSPQEVAETLIKKVLAPPSQKIKLVEASEHDVDGKTYYTFEFIAQAPNFTRHALGTVTIGNGKFYTLTTGANERRWEKMKDKLHTVIDSFKTFVV >DRNTG_28695.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:473408:482503:-1 gene:DRNTG_28695 transcript:DRNTG_28695.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 16 [Source:Projected from Arabidopsis thaliana (AT4G34910) UniProtKB/Swiss-Prot;Acc:Q9SW44] MGGLPQGKRPEGKKDGEEDEEEVGFEELGIDARLIRALKKKSINKPSPIQREGIPLILEGKDVVARAKTGSGKTYAYLLPMLQKLFSEIGLKKSAPSAFILVPTRELCQQVYSVALSLLEFCRVQLKVVQVTTDMPMPDLSAALAGPPDLLVSTPACISTCISKGVLAKAAIQDSLSMLVLDEADLLLDYGYKEDLEGLKNHISRRCQCLLMSATLSPDVEILKKLVLHNPVTLTLSEADGSNDQLIPKSVQQFLISCSSRDKLLYALALLRLEVVQKKVLIFVNTIDMGYRMKLFLEQFGIKSAILNAELPHNSRDHILHEFNIGLFDYLIATDDSHLKGEQANREKLKSRSSKKKFRQRLDSEFGVVRGIDFKNVFTVLNFDMPQSPAGYIHRIGRTGRARTTGASISLVSPEETEVLEEIKQLLGEDDNQDSESCIALFPLLTKNAVEALRYRAEDVAKGVTKVAIRESRARDLRDELTNSEKLKAHFEDNPKDLDLLKHDKFLSKKPPPAHLSEVPVYLRDAATEEASKNIKLSRAAMGIDNLNKQRGFRRGFSRFGKNRDPLKSFSAEGRKFRKRKDKDDDAGSKKRRKKSK >DRNTG_17369.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000892.1:16315:16817:1 gene:DRNTG_17369 transcript:DRNTG_17369.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNKVLLVLGLILLLAIGLSSAERTLNEGYTPGGGEGGHGGGGYGKGGGGGGGHGGGYGKGGGGGHGHP >DRNTG_17369.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000892.1:16315:16817:1 gene:DRNTG_17369 transcript:DRNTG_17369.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNKVLLVLGLILLLAIGLSSAERTLNEGYTPGGGEGGHGGGGYGKGGGGGHGGGGYGKGGGGEGGHGGGGYGKGGGGGHGGGYGKGGGGGHGHP >DRNTG_31652.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:906479:908130:-1 gene:DRNTG_31652 transcript:DRNTG_31652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTRTKSSSLNLKYDDDDDDDDHGVSDGVTVALHIGLPTPSASDLISRISSSSIQEHHHADDSKQVDDQEENDDHEAVDHVVPLGYPSSSSPIGKLTKGQYWIPTPSQILIGPTQFSCPVCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGIQPTAMLRLPCYCCAPECRNNIDHPRSKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHVKAFGHGHAAYGIDVFDEDDDPSSEIEQGCNASH >DRNTG_22385.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16931706:16934784:1 gene:DRNTG_22385 transcript:DRNTG_22385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALVFLVVANGLAVVYSLIQGFRCIMNMVKGGMLLSKPLAWAIFSCDQIMAYVTVAAVAAAAQTAVLGDLGQRQLQWMKICNLYGKFCNKVGEGIVIAFLVSLSMQCLSCISAFKLFRLYNNINK >DRNTG_26831.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1968114:1968675:1 gene:DRNTG_26831 transcript:DRNTG_26831.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor PRE4 [Source:Projected from Arabidopsis thaliana (AT3G47710) UniProtKB/Swiss-Prot;Acc:F4JCN9] MSSRRSSHSRQSSSSPNITEDQIIDLISKLQALLPETRIRNTDRASAEKVLKETCRYIKKLQNEVEDLSEKLAELLTLTEETNSAQASLIR >DRNTG_18931.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12393490:12397525:1 gene:DRNTG_18931 transcript:DRNTG_18931.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNQPQSISDFARPNHEGVGLSIVHPPVTANNFELKPNLIQLVQQMCQFDGFQDKDPYEHLRNFSEICNTYKVSNVSEEAVRLRLFPFILQGRAKQWLNSLSHGSLITWKQITEAIVAGKDMEPPEPPRKWISVAKKWWKKVTSRKKKPPISPPKPNNTEHSTTWTFGFHRDISSLVSSSSSSFLQIRQCRGSILLLGLLRGLGPMTRPPQQAIGPHSILNDIQSDMHVSLIDISMALEVLATFELSRGTIAFHHADFIQFQVFGALHRMSLTEFSIHLGLYDVEFARTSPYDALLTSRPAGEPLIDAW >DRNTG_07852.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10611360:10612159:-1 gene:DRNTG_07852 transcript:DRNTG_07852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSTSPCGESTGACRFLISALFKANFSPDIDILFSIICPTFKRVAARVLRDITPRSKKQEVKCPRETRPQQVDMEFLNLEHQARFERLSTLTFGQSCIVDLSVLREIRRSYELADEIDEMLAVRSWRRLLAIRKPAFRAMTLEMLASFEFDHSYGSLNIVDAI >DRNTG_11706.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14318964:14321250:-1 gene:DRNTG_11706 transcript:DRNTG_11706.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCPEYPHIERRVEGFGPVAFPVHPSSSGACLGVCHQKSGLFGHDYYNKVKIHLPMAHASYLFQADGVNSDSIRVFNPAAEMLS >DRNTG_12570.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:787727:788584:-1 gene:DRNTG_12570 transcript:DRNTG_12570.2 gene_biotype:protein_coding transcript_biotype:protein_coding FVTYKTVEAAKKALDDPNKSLGGRNITVKLADSHKSKVIQTQAPMSMGPLALPYQHGFTQQGKSHAVSPDPVGYASYTHALPSYPTTYGNAPSGFAHQ >DRNTG_12570.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:787647:788584:-1 gene:DRNTG_12570 transcript:DRNTG_12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVTYKTVEAAKKALDDPNKSLGGRNITVKLADSHKSKVIQTQAPMSMGPLALPYQHGFTQQGKSHAVSPDPVGYASYTHALPSYPTTYGNAPSGFAHQPSNFIYGFKERSTWVTIHDNWSHWIL >DRNTG_18518.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:13422733:13429061:1 gene:DRNTG_18518 transcript:DRNTG_18518.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIISTPIEDAQLFVIRVNEHEYKAKINIVSNSSCTTNCHVPLRGERAISLNFIASSIGATKAVGKVLLALNRTLTGMAFQVPTVDVSVLDLIIKLDKTAIYNESRRLWLGFGEALPRFLERFYGLRHRVSLEESYWGSFRRHQFGDVCLRLDKETIGEDKAAPQDHRYGLQGGFIYGLLAFTFDFIIDFV >DRNTG_14800.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29891084:29893736:-1 gene:DRNTG_14800 transcript:DRNTG_14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLPLALLFFALSPVFCRGSTIGVCYGRNADDLPTPDKVAQLVQLHNIKYVRIYDANIQVLKAFANTGVELMIGVANSDLLPFSQYQSNVDTWLKNNILPYYPATMITYITVGAEVTETTANVSAMLVPAMVNVLNALKKAGLHKRIKVSTTHSLGVMSRSFPPSAGAFNSKYAFFLKPLLEFLVENQSPFMVDIYPYYAYRDSASNVSLNYALFTSSQDVIDPNTGLVYTNMFDAQLDAIYFALMALNFKTLNIMVTETGWPSKGAAKETAATPDNAQTYNTNLIRHVINDTGTPAKPGEEIDVYLFSLFNENRKPGLESERNWGLFYPDQTSVYSLDWTGRGNVDVVTGANVTSSNGTWCVASSTASELDLQNALDWACGAGNVDCSAVQPSQPCYQPDTLVSHASYAFNSYYQMNGATDVACSFGGTGVKTTQDPSYDNCIFPTAGKTSSGSSSISSSSPTAAPSSMAHGNRPMLVIEGLLLIIFFILKLSCIA >DRNTG_27156.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:662087:664787:1 gene:DRNTG_27156 transcript:DRNTG_27156.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMKEPTSVSSFSTSTAYFRALRDTPRRLAQRATSVTTTHEEMSRVRARSGSDMARTLRWPDLIGFGLGGMVGAGVFVSTGRAARLYAGPAVIVSYVIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGEFAAFLTGANLVMEYVFSNAAVARSFTAYLGTAIGVATTEKWRITVAGLPEGFNHIDLLALAVVLLISLCICHSTKESSVLNMILTGIHLAFIFFIIIMGFWRGSFKNFTHPADPAKNNGGFFPYGISGVFVGASMVYLSYIGYDAVSTMAEEVKNPARDIPIGVSGSVAVVSLLYCLMAASMSMIVPYDAVSILIFSDPTRVKTR >DRNTG_27156.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:662087:664787:1 gene:DRNTG_27156 transcript:DRNTG_27156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMKEPTSVSSFSTSTAYFRALRDTPRRLAQRATSVTTTHEEMSRVRARSGSDMARTLRWPDLIGFGLGGMVGAGVFVSTGRAARLYAGPAVIVSYVIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGEFAAFLTGANLVMEYVFSNAAVARSFTAYLGTAIGVATTEKWRITVAGLPEGFNHIDLLALAVVLLISLCICHSTKESSVLNMILTGIHLAFIFFIIIMGFWRGSFKNFTHPADPAKNNGGFFPYGISGVFVGASMVYLSYIGYDAVSTMAEEVKNPARDIPIGVSGSVAVVSLLYCLMAASMSMIVPYDAMDTEAPFSAAFSGSDGWGWVSRVIGVGASFGILTSLLVSMLGQARYLCVIARSNVVPVWLARVNPSTSTPVNASAFLGVFTASIALFTDLNVLLNLVCIGTLFVFYMVANAVIYRRYVVIGSTNPVPTITFLVCFSTISVIFTLIWQFSAAGRTKAVLLGGCIVAAIVVMQAFHLLVPQARKPEFWGVPFMPWIPSGSIALNVFLLGSLDRQSYVRFGFFSALAVLVYVLYSVHASFDAEENGHHLNTSQFDDTNDAGSLKV >DRNTG_22762.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4062881:4066168:-1 gene:DRNTG_22762 transcript:DRNTG_22762.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCNMAMTADLTEFWLRCGRPQDPSTSRSCLVRVDAAKSADPDCRQLAYLLSFSSRSPLARGARPFPNNKRQRLESSATAVRWIIQFQGTLIDEEHNPDAQASTPFAG >DRNTG_34423.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9352205:9352887:1 gene:DRNTG_34423 transcript:DRNTG_34423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNIHNSLLPKKAGVKASAVAEDES >DRNTG_03715.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000173.1:85990:94559:-1 gene:DRNTG_03715 transcript:DRNTG_03715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMATMASTPSSTTTSSSSLTRTPPPTSFSSAAQRREEASTEPNSCYFPGCRKDTNCNCDICLASINATLDLIPHSSHSSLTKLSSSSSVRRRPLFGPEKTPPVKPKPISTPQSPPIQSTAKSRPIKERVVAEKATAIRTKVVVVVVLMVFSLFLIVDQGVPKMVFWRGFEPKLKGDTLSKGLVGELRERIGLVQERIEEMINEKVVDCSSMKLGWQFHQEGQNFFHWKCVIYKSWVEEVSIWGSPLRSSGLLPASFSPRLLSVISGKITEWSDGKVMSSMRTSNSSSLWTLKPWNKSVMQLEANTWVVEYKRSAWLEGQGLLEFAWEMVRVKNLKMLAQQKSLFFSVHKQIACEPRSCRGWWENAWRGLYFFLRGSALETIFFLKDSSPSSRPLNPFSLGFSVLAAARRREFLVLGSSPSFQEEIKSRIRGCLGVILSAFVSISVLPFREWLTLIRSNMRYCTQWGSIPLDAADLSAGPAVGLGHDTIPVKQNKGNDHFNTNYGIHVNVEDLGDCITEWLPLWRCWHYIFAGAQIEKSKCAAWCIGELYARPQLQMVLQNAGTY >DRNTG_31757.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8307352:8308272:-1 gene:DRNTG_31757 transcript:DRNTG_31757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPDPYKGLFDQEVANEEVMMLGLTEEVLSTPGILKKVLQMMKSEFSTEPIEFLRYPEKTHGHVKFPHVRGFVLRAYLEKTQGRGRALGRGLGLVGELMKNARAWIIYARPCGTL >DRNTG_26329.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13862881:13865200:1 gene:DRNTG_26329 transcript:DRNTG_26329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTVNSSREGTGACGYQCGRPCDWPCCRVGQILPRTQGRGLAPLGDLVNHTRAWVISARPCKTLQGSQSGMRLPLWVWLMGVVNFRTPVGDRFKSIMTPRTKKQVDKRPREDEGDGRSISARPRASARIDGDRGTSSAEEPPRCVCFSPSRAIDRFERLENAIGVVRAEVAEIRATQATQYIEFMARFDILQQILEQDVASSFVLQPRTLQAPLVPPAPPSSTPAPEDPLYASTSVAAAAELESDSDT >DRNTG_19737.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1962300:1963977:-1 gene:DRNTG_19737 transcript:DRNTG_19737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVLLLLPIVFFNGLCKSDVMTAPMHKGELQALYLVIQGFTGNSWNVSQLYPDPCGWTPIQGVSCDIFHKLWSVTSINIGPVFENSLACTPQANFNQYIFGLKHLRSLSFFNCFSSQHPTKIPSNAWKHLSESLENLEFRSNEGLVGKIPKSFGHLTNLKSLVLVENSLTGEVPQELANLVNLKKLSLSSNALSGRIPASLGYNNSMNQLLILDFSRNSITGPIPSSLGSMISLLKLDLSNNNLNGMLP >DRNTG_16680.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16388996:16395823:1 gene:DRNTG_16680 transcript:DRNTG_16680.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit mu [Source:Projected from Arabidopsis thaliana (AT1G56590) UniProtKB/Swiss-Prot;Acc:F4I562] MLQCIFLLSDSGREVMLEKQMSGHRVDRSICTWFWDHALSHSEVLPVTASPTHYLFHVVREGITFLACTQVEMAPLLAIEFLCRVVDVLTDYLGALNEDLIKDNFVIVYELLDEMMDNGFPLTTEPNILREMITPPNIVSKMLSVVMGKSSNMSTVLPDATTSSVPWRKTDLKSAANEVYVDIIEEMDAVITREGVLSKCEIYGEIQVNSHLPGLPDLTISLANPAILNDVRFHPCVRFRPWESHQILSFVPPDGQFKLMCYRVKNLKSTPIYVKPQLTSNSGSCRLNVLVGIRNDPGKSIDNLSVEFQLPPCVSSAELTTNHGTVNVLADKKWSWMIGRIPKDKSPSLSGDLILEAGLERLHVFPVFKVGFKIMGVALSGMKIDKLELKNVPSPPYKGFRALTRAGEYQIRS >DRNTG_16680.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16388996:16395823:1 gene:DRNTG_16680 transcript:DRNTG_16680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit mu [Source:Projected from Arabidopsis thaliana (AT1G56590) UniProtKB/Swiss-Prot;Acc:F4I562] MLQCIFLLSDSGEVMLEKQMSGHRVDRSICTWFWDHALSHSEVLPVTASPTHYLFHVVREGITFLACTQVEMAPLLAIEFLCRVVDVLTDYLGALNEDLIKDNFVIVYELLDEMMDNGFPLTTEPNILREMITPPNIVSKMLSVVMGKSSNMSTVLPDATTSSVPWRKTDLKSAANEVYVDIIEEMDAVITREGVLSKCEIYGEIQVNSHLPGLPDLTISLANPAILNDVRFHPCVRFRPWESHQILSFVPPDGQFKLMCYRVKNLKSTPIYVKPQLTSNSGSCRLNVLVGIRNDPGKSIDNLSVEFQLPPCVSSAELTTNHGTVNVLADKKWSWMIGRIPKDKSPSLSGDLILEAGLERLHVFPVFKVGFKIMGVALSGMKIDKLELKNVPSPPYKGFRALTRAGEYQIRS >DRNTG_16680.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16388996:16395823:1 gene:DRNTG_16680 transcript:DRNTG_16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit mu [Source:Projected from Arabidopsis thaliana (AT1G56590) UniProtKB/Swiss-Prot;Acc:F4I562] MLQCIFLLSDSGEVMLEKQMSGHRVDRSICTWFWDHALSHSEVLPVTASPTHYLFHVVREGITFLACTQVEMAPLLAIEFLCRVVDVLTDYLGALNEDLIKDNFVIVYELLDEMMDNGFPLTTEPNILREMITPPNIVSKMLSVVMGKSSNMSTVLPDATTSSVPWRKTDLKSAANEVYVDIIEEMDAVITREGVLSKCEIYGEIQVNSHLPGLPDLTISLANPAILNDVRFHPCVRFRPWESHQILSFVPPDGQFKLMCYRVKNLKSTPIYVKPQLTSNSGSCRLNVLVGIRNDPGKSIDNLSVEFQLPPCVSSAELTTNHGTVNVLADKKWSWMIGRIPKDKSPSLSGDLILEAGLERLHVFPVFKVGFKIMGVALSGMKIDKLELKNVPSPPYKGFRALTRAGEYQIRS >DRNTG_30311.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5465460:5465816:-1 gene:DRNTG_30311 transcript:DRNTG_30311.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSQTSLLNSLHKSPSPSNQILHVSISSSSSSFQNTTNQNNVPPSPPPPHPIPLPCFPLPLPHPRPYHASPTRPNPY >DRNTG_08273.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:4452479:4454311:-1 gene:DRNTG_08273 transcript:DRNTG_08273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLVLQSLQGRAAAPVDDHATGARPWVISARACAFLQLGRFSREHRGRGLALVGDLVNHTPVKHRGVRLPLVGSGDRAWIISARPWEIVLSR >DRNTG_25087.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:715039:718141:-1 gene:DRNTG_25087 transcript:DRNTG_25087.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SUPPRESSOR OF QUENCHING 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G56500) UniProtKB/Swiss-Prot;Acc:Q8VZ10] MAFISTAINLTGPSRLFKYQLYPSQPSKKTCFSIVPFPETPAFVLAGWRRRLSFIAGASTTREEEVMGVRDKNGGEEWGKVSAVLFDMDGVLCNSEDVSRMAAVDVFAEIGVSVTAEDFVPFMGTGEANFLGGVAGVKGVKDFDREAAKKRFFEIYLDKYAKPNSGIGFPGALELVKECKSRGLKVAVASSADRIKVDANLAAAGLDSSLFDAIVSADAFENLKPAPDIFLAASKNLNVPTHECIVIEDALAGIQAAKAAGMRCIAVTTTLSEDSLEQANPSLIRKEIGNISVQDILDGGDSGSHSM >DRNTG_25087.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:714462:718141:-1 gene:DRNTG_25087 transcript:DRNTG_25087.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SUPPRESSOR OF QUENCHING 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G56500) UniProtKB/Swiss-Prot;Acc:Q8VZ10] MAFISTAINLTGPSRLFKYQLYPSQPSKKTCFSIVPFPETPAFVLAGWRRRLSFIAGASTTREEEVMGVRDKNGGEEWGKVSAVLFDMDGVLCNSEDVSRMAAVDVFAEIGVSVTAEDFVPFMGTGEANFLGGVAGVKGVKDFDREAAKKRFFEIYLDKYAKPNSGIGFPGALELVKECKSRGLKVAVASSADRIKVDANLAAAGLDSSLFDAIVSADAFENLKPAPDIFLAASKNLNVPTHECIVIEDALAGIQAAKAAGMRCIAVTTTLSEDSLEQANPSLIRKEIGNISVQDILDGGDSGSHSM >DRNTG_25087.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:702365:718141:-1 gene:DRNTG_25087 transcript:DRNTG_25087.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SUPPRESSOR OF QUENCHING 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G56500) UniProtKB/Swiss-Prot;Acc:Q8VZ10] MAFISTAINLTGPSRLFKYQLYPSQPSKKTCFSIVPFPETPAFVLAGWRRRLSFIAGASTTREEEVMGVRDKNGGEEWGKVSAVLFDMDGVLCNSEDVSRMAAVDVFAEIGVSVTAEDFVPFMGTGEANFLGGVAGVKGVKDFDREAAKKRFFEIYLDKYAKPNSGIGFPGALELVKECKSRGLKVAVASSADRIKVDANLAAAGLDSSLFDAIVSADAFENLKPAPDIFLAASKNLNVPTHECIVIEDALAGIQAAKAAGMRCIAVTTTLSEDSLEQANPSLIRKEIGNISVQDILDGGDSGSHSM >DRNTG_25087.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:712551:718141:-1 gene:DRNTG_25087 transcript:DRNTG_25087.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SUPPRESSOR OF QUENCHING 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G56500) UniProtKB/Swiss-Prot;Acc:Q8VZ10] MAFISTAINLTGPSRLFKYQLYPSQPSKKTCFSIVPFPETPAFVLAGWRRRLSFIAGASTTREEEVMGVRDKNGGEEWGKVSAVLFDMDGVLCNSEDVSRMAAVDVFAEIGVSVTAEDFVPFMGTGEANFLGGVAGVKGVKDFDREAAKKRFFEIYLDKYAKPNSGIGFPGALELVKECKSRGLKVAVASSADRIKVDANLAAAGLDSSLFDAIVSADAFENLKPAPDIFLAASKNLNVPTHECIVIEDALAGIQAAKAAGMRCIAVTTTLSEDSLEQANPSLIRKEIGNISVQDILDGGDSGSHSM >DRNTG_25087.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:702365:718141:-1 gene:DRNTG_25087 transcript:DRNTG_25087.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SUPPRESSOR OF QUENCHING 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G56500) UniProtKB/Swiss-Prot;Acc:Q8VZ10] MAFISTAINLTGPSRLFKYQLYPSQPSKKTCFSIVPFPETPAFVLAGWRRRLSFIAGASTTREEEVMGVRDKNGGEEWGKVSAVLFDMDGVLCNSEDVSRMAAVDVFAEIGVSVTAEDFVPFMGTGEANFLGGVAGVKGVKDFDREAAKKRFFEIYLDKYAKPNSGIGFPGALELVKECKSRGLKVAVASSADRIKVDANLAAAGLDSSLFDAIVSADAFENLKPAPDIFLAASKNLNVPTHECIVIEDALAGIQAAKAAGMRCIAVTTTLSEDSLEQANPSLIRKEIGNISVQDILDGGDSGSHSM >DRNTG_25087.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:702365:718141:-1 gene:DRNTG_25087 transcript:DRNTG_25087.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SUPPRESSOR OF QUENCHING 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G56500) UniProtKB/Swiss-Prot;Acc:Q8VZ10] MAFISTAINLTGPSRLFKYQLYPSQPSKKTCFSIVPFPETPAFVLAGWRRRLSFIAGASTTREEEVMGVRDKNGGEEWGKVSAVLFDMDGVLCNSEDVSRMAAVDVFAEIGVSVTAEDFVPFMGTGEANFLGGVAGVKGVKDFDREAAKKRFFEIYLDKYAKPNSGIGFPGALELVKECKSRGLKVAVASSADRIKVDANLAAAGLDSSLFDAIVSADAFENLKPAPDIFLAASKNLNVPTHECIVIEDALAGIQAAKAAGMRCIAVTTTLSEDSLEQANPSLIRKEIGNISVQDILDGGDSGSHNKKMQNLQNNSALEDTSLELTNGEVNSGIVQNMNSAGEHADFLGWLQGSRRDILRYGSLGIAISCLVFTITNWKAMQYASVKGILNLFSRVDRSMFGQNEGDPGSSRIEQMKKYISDFEESGSGSSMPEFPSQLDWLNAAPLQFQRDLKGRVVLLDFWTYCCINCMHVLPDLEFLEKKYSDKPFTVVGVHSAKFDNEKDLEAIRNAVLRYNIAHPVVNDGDMYLWRELGVNSWPTFVIVGPNGKILAQISGEGHRKDLDDFIEAALQFYQEKKLLENRPIPLALERIMTVLCWHHH >DRNTG_25087.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:715039:718141:-1 gene:DRNTG_25087 transcript:DRNTG_25087.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SUPPRESSOR OF QUENCHING 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G56500) UniProtKB/Swiss-Prot;Acc:Q8VZ10] MAFISTAINLTGPSRLFKYQLYPSQPSKKTCFSIVPFPETPAFVLAGWRRRLSFIAGASTTREEEVMGVRDKNGGEEWGKVSAVLFDMDGVLCNSEDVSRMAAVDVFAEIGVSVTAEDFVPFMGTGLFHLLLILHLLVGLSS >DRNTG_25087.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:702365:718141:-1 gene:DRNTG_25087 transcript:DRNTG_25087.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SUPPRESSOR OF QUENCHING 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G56500) UniProtKB/Swiss-Prot;Acc:Q8VZ10] MAFISTAINLTGPSRLFKYQLYPSQPSKKTCFSIVPFPETPAFVLAGWRRRLSFIAGASTTREEEVMGVRDKNGGEEWGKVSAVLFDMDGVLCNSEDVSRMAAVDVFAEIGVSVTAEDFVPFMGTGEANFLGGVAGVKGVKDFDREAAKKRFFEIYLDKYAKPNSGIGFPGALELVKECKSRGLKVAVASSADRIKVDANLAAAGLDSSLFDAIVSADAFENLKPAPDIFLAASKNLNVPTHECIVIEDALAGIQAAKAAGMRCIAVTTTLSEDSLEQANPSLIRKEIGNISVQDILDGGDSGSHNKKMQNLQNNSALEDTSLELTNGEVNSGIVQNMNSAGEHADFLGWLQGSRRDILRYGSLGIAISCLVFTITNWKAMQYASVKGILNLFSRVDRSMFGQNEGDPGSSRIEQMKKYISDFEESGSGSSMPEFPSQLDWLNAAPLQFQRDLKGRVVLLDFWTYCCINCMHVLPDLEFLEKKYSDKPFTVVGVHSAKFDNEKDLEAIRNAVLRYNIAHPVVNDGDMYLWRELGVNSWPTFVIVGPNGKILAQISGEGHRKDLDDFIEAALQFYQEKKLLENRPIPLALERIMTVLCWHHH >DRNTG_25087.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:713405:718141:-1 gene:DRNTG_25087 transcript:DRNTG_25087.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SUPPRESSOR OF QUENCHING 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G56500) UniProtKB/Swiss-Prot;Acc:Q8VZ10] MAFISTAINLTGPSRLFKYQLYPSQPSKKTCFSIVPFPETPAFVLAGWRRRLSFIAGASTTREEEVMGVRDKNGGEEWGKVSAVLFDMDGVLCNSEDVSRMAAVDVFAEIGVSVTAEDFVPFMGTGEANFLGGVAGVKGVKDFDREAAKKRFFEIYLDKYAKPNSGIGFPGALELVKECKSRGLKVAVASSADRIKVDANLAAAGLDSSLFDAIVSADAFENLKPAPDIFLAASKNLNVPTHECIVIEDALAGIQAAKAAGMRCIAVTTTLSEDSLEQANPSLIRKEIGNISVQDILDGGDSGSHSM >DRNTG_11257.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21781996:21787919:1 gene:DRNTG_11257 transcript:DRNTG_11257.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPLLRLLQRPMVSLKNVCPVSKADLPGWPLLSPSKVQMQKCDKCSQEFCSTINYRRHIRVHRRSLNIDKDSSKNRELLGEFWSKLSPDEAKEIFSLKDVAIEEVTGPSILRSLGSLIRMPAFSSLPHVYVKAGATLLDIIQARPSRFPISSQDLFSVLDDASEKTFMCAGTATTMQKFVFDGEAGKVALEMKNLVACTSFLLEQKLVRAWLADKDAEALRCQKLLVEEEEAARKRQAEILERKRLKKLRQKVQKAKETVDADKLNYEASSADAVDSASGSAETPSPSGSSEPDTDSLEATAQPLPESVLGLSTSDMELYKSLKQPGDVADQAVNKKQLGSGRWQPIPLCHQATKLTKNIPKTSVPMKHGTNYRDLKTGLPANGHKIWARKAKHETEEEGSCDKEGEKKKHQCHQYQSAVSDNHEVLIGSISVTLKNGNCHCQGDTALKQSDGGEKVGSSNLNIVNSSTVKLFRPVSHDGNEDSNGLESEKRETEMDHAAAGMESTVSSNEICQATDEITVQDLPGPRPFSSDVVKAFLAQRWKEAIASDHVMLVLDSENETSGCFDFTVGHCTPAIFERSVLGSAENRMAAGSVTPPSSVASKTKFRAKQEKNYKVKYVPKQKNVVPEG >DRNTG_11257.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21781996:21787919:1 gene:DRNTG_11257 transcript:DRNTG_11257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAKVRAFSGIDGMNTEQKIGRTEKESHDSFDSLNILRNPIKDPFYPLSRAGDSPVQLIQLLHALDQQGSSRMSKDSKIDSLGQGKEQSLDLCTGGDSSTIGGVKESGHSLKSNVMAAKGTKSTSEPIQSLKFPDAVVAFAQAAAKANGEPEKYLPGWPLLSPSKVQMQKCDKCSQEFCSTINYRRHIRVHRRSLNIDKDSSKNRELLGEFWSKLSPDEAKEIFSLKDVAIEEVTGPSILRSLGSLIRMPAFSSLPHVYVKAGATLLDIIQARPSRFPISSQDLFSVLDDASEKTFMCAGTATTMQKFVFDGEAGKVALEMKNLVACTSFLLEQKLVRAWLADKDAEALRCQKLLVEEEEAARKRQAEILERKRLKKLRQKVQKAKETVDADKLNYEASSADAVDSASGSAETPSPSGSSEPDTDSLEATAQPLPESVLGLSTSDMELYKSLKQPGDVADQAVNKKQLGSGRWQPIPLCHQATKLTKNIPKTSVPMKHGTNYRDLKTGLPANGHKIWARKAKHETEEEGSCDKEGEKKKHQCHQYQSAVSDNHEVLIGSISVTLKNGNCHCQGDTALKQSDGGEKVGSSNLNIVNSSTVKLFRPVSHDGNEDSNGLESEKRETEMDHAAAGMESTVSSNEICQATDEITVQDLPGPRPFSSDVVKAFLAQRWKEAIASDHVMLVLDSENETSGCFDFTVGHCTPAIFERSVLGSAENRMAAGSVTPPSSVASKTKFRAKQEKNYKVKYVPKQKNVVPEG >DRNTG_11257.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21784883:21787919:1 gene:DRNTG_11257 transcript:DRNTG_11257.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFSSLPHVYVKAGATLLDIIQARPSRFPISSQDLFSVLDDASEKTFMCAGTATTMQKFVFDGEAGKVALEMKNLVACTSFLLEQKLVRAWLADKDAEALRCQKLLVEEEEAARKRQAEILERKRLKKLRQKVQKAKETVDADKLNYEASSADAVDSASGSAETPSPSGSSEPDTDSLEATAQPLPESVLGLSTSDMELYKSLKQPGDVADQAVNKKQLGSGRWQPIPLCHQATKLTKNIPKTSVPMKHGTNYRDLKTGLPANGHKIWARKAKHETEEEGSCDKEGEKKKHQCHQYQSAVSDNHEVLIGSISVTLKNGNCHCQGDTALKQSDGGEKVGSSNLNIVNSSTVKLFRPVSHDGNEDSNGLESEKRETEMDHAAAGMESTVSSNEICQATDEITVQDLPGPRPFSSDVVKAFLAQRWKEAIASDHVMLVLDSENETSGCFDFTVGHCTPAIFERSVLGSAENRMAAGSVTPPSSVASKTKFRAKQEKNYKVKYVPKQKNVVPEG >DRNTG_11257.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21784708:21787919:1 gene:DRNTG_11257 transcript:DRNTG_11257.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFSSLPHVYVKAGATLLDIIQARPSRFPISSQDLFSVLDDASEKTFMCAGTATTMQKFVFDGEAGKVALEMKNLVACTSFLLEQKLVRAWLADKDAEALRCQKLLVEEEEAARKRQAEILERKRLKKLRQKVQKAKETVDADKLNYEASSADAVDSASGSAETPSPSGSSEPDTDSLEATAQPLPESVLGLSTSDMELYKSLKQPGDVADQAVNKKQLGSGRWQPIPLCHQATKLTKNIPKTSVPMKHGTNYRDLKTGLPANGHKIWARKAKHETEEEGSCDKEGEKKKHQCHQYQSAVSDNHEVLIGSISVTLKNGNCHCQGDTALKQSDGGEKVGSSNLNIVNSSTVKLFRPVSHDGNEDSNGLESEKRETEMDHAAAGMESTVSSNEICQATDEITVQDLPGPRPFSSDVVKAFLAQRWKEAIASDHVMLVLDSENETSGCFDFTVGHCTPAIFERSVLGSAENRMAAGSVTPPSSVASKTKFRAKQEKNYKVKYVPKQKNVVPEG >DRNTG_04908.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:10993880:10995787:1 gene:DRNTG_04908 transcript:DRNTG_04908.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMENKKISFWQKEKKTGISYYPHIAQYGGRMHCSSGLIWCSVQISILPSIRGRMEAVCTPTFCLADFWEIIWEILGDLGEEKKGKKARRSFKPKVQGSQGKKATSFKGEIDHDLKEGEPRLEEASFGIPLAGEASFGIFSPHPSPFHLGSVILCLCFMFCLI >DRNTG_16174.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7091900:7095121:-1 gene:DRNTG_16174 transcript:DRNTG_16174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSTFLPSSFSFPLSPEHNSPGDVDPGHPRKLSDVHVIKNPQKSPITRKIYPFSKHKYSQNTSIALTEKCTTEILTKAENTTPRHPPDPRLDLLLASSYRLVHQIPRTRARYKI >DRNTG_16174.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7091900:7093371:-1 gene:DRNTG_16174 transcript:DRNTG_16174.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQPPRHYITQSIATSRSSSRLVSSVQNSKGRLLLRRRRRSRRKRRRMPLL >DRNTG_07093.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1982232:1983815:1 gene:DRNTG_07093 transcript:DRNTG_07093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDGKPRRRIRAFSSFLLFLLFVQEAQPTSFTFSNNCNYTVWAGTLSGATSQSLSETGFKLTPAFSTTLSAPPGWSGRFWGRTLCSTDSTGKFSCTTADCATGTIPCNGAGSVPPATLAEITLSNNGGQDFYDISLVDGFNLPMSLSPVGGRGDCEPASCAWDVNTVCPSEMQVTSKSSGAVIACRSACNAFGDAKYCCTGAYASPSSCKPTNYSKLFKDVCPDAYSFAFDDPSSTFTCIGATTYLVTFCP >DRNTG_05114.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2162818:2166902:-1 gene:DRNTG_05114 transcript:DRNTG_05114.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSIFLLLLLCIAFPLHVTSHSNEGHHINCGSQKETTIEGIKWITEHGLTKLGKTHNLDTLGILPTLSSLRYFPEKSVRKYCYTIPVIKGGKYLIRTSYYYGGFDAGNEPPVFDQIVGETKWSVVNTSENYAKGLSTYYEIITVALRKKMCVCLARNEFTVGNPFISTLEFEYLNESMYNETDFKNYALATTSRHRFGLSDGAILRHPDDPFNRYWQSFADENPVVESHTHVSSSDFWNNPPETVFRRGLTTSRGKKLILKWPPANLQNGNYYITLYFQDNRTPSPFSWRIFDIKINGENLYTKLNVSTAGIMVYSAHQPLSGQVTITLIPDENSPVGPVINAAEILQIVPLGRRTHTRDGEVINVSV >DRNTG_05114.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2162818:2166902:-1 gene:DRNTG_05114 transcript:DRNTG_05114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSIFLLLLLCIAFPLHVTSHSNEGHHINCGSQKETTIEGIKWITEHGLTKLGKTHNLDTLGILPTLSSLRYFPEKSVRKYCYTIPVIKGGKYLIRTSYYYGGFDAGNEPPVFDQIVGETKWSVVNTSENYAKGLSTYYEIITVALRKKMCVCLARNEFTVGNPFISTLEFEYLNESMYNETDFKNYALATTSRHRFGLSDGAILRHPDDPFNRYWQSFADENPVVESHTHVSSSDFWNNPPETVFRRGLTTSRGKKLILKWPPANLQNGNYYITLYFQDNRTPSPFSWRIFDIKINGENLYTKLNVSTAGIMVYSAHQPLSGQVTITLIPDENSPVGPVINAAEILQIVPLGRRTHTRDVNVMEDLARRLKNVPIDWSGDPCMPKESSWTGVSCSEGKFARVVSINLTNFGLVGTLPQSIGKLTAVKRIWLGGNKLHGNIPDMSYLKHLVSLHLENNQFNGSIPTSLEKLEKLQELYLQNNNLRGELPSNLRNRGRIKIQYNE >DRNTG_21320.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9443795:9450606:-1 gene:DRNTG_21320 transcript:DRNTG_21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELLFEDIFTLTRIDPDGKKFDKVSRIEAHSEQFDMLMQLDVNTEVYPMSVGDKFTMVLAPTLSLDGTPDSGFYVPGGRKSLADKFEYVMHGKLYKISEESSSGPDVKVEIYASFGGLLMMLKGDPSNAANFELDQRLFLLMRKV >DRNTG_21320.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9443872:9450606:-1 gene:DRNTG_21320 transcript:DRNTG_21320.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVELLFEDIFTLTRIDPDGKKFDKVSRIEAHSEQFDMLMQLDVNTEVYPMSVGDKFTMVLAPTLSLDGTPDSGFYVPGGRKSLADKFEYVMHGKLYKISEESSSGPDVKVEIYASFGGLLMMLKGDPSNAANFELDQRLFLLMRKV >DRNTG_21320.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9443795:9450606:-1 gene:DRNTG_21320 transcript:DRNTG_21320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVELLFEDIFTLTRIDPDGKKFDKVSRIEAHSEQFDMLMQLDVNTEVYPMSVGDKFTMVLAPTLSLDGTPDSGFYVPGGRKSLADKFEYVMHGKLYKISEESSSGPDVKVEIYASFGGLLMMLKGDPSNAANFELDQRLFLLMRKV >DRNTG_21320.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9443872:9450606:-1 gene:DRNTG_21320 transcript:DRNTG_21320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVELLFEDIFTLTRIDPDGKKFDKVSRIEAHSEQFDMLMQLDVNTEVYPMSVGDKFTMVLAPTLSLDGTPDSGFYVPGGRKSLADKFEYVMHGKLYKISEESSSGPDVKVEIYASFGGLLMMLKGDPSNAANFELDQRLFLLMRKV >DRNTG_21320.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9443872:9450606:-1 gene:DRNTG_21320 transcript:DRNTG_21320.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVELLFEDIFTLTRIDPDGKKFDKVSRIEAHSEQFDMLMQLDVNTEVYPMSVGDKFTMVLAPTLSLDGTPDSGFYVPGGRKSLADKFEYVMHGKLYKISEESSSGPDVKVEIYASFGGLLMMLKGDPSNAANFELDQRLFLLMRKV >DRNTG_21320.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9443872:9450606:-1 gene:DRNTG_21320 transcript:DRNTG_21320.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVELLFEDIFTLTRIDPDGKKFDKVSRIEAHSEQFDMLMQLDVNTEVYPMSVGDKFTMVLAPTLSLDGTPDSGFYVPVDGMTLGHWLVTCGKPKTREGGSHLQINLSMSCMGSSTRFRKKVLVDLM >DRNTG_21320.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9443872:9450606:-1 gene:DRNTG_21320 transcript:DRNTG_21320.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVELLFEDIFTLTRIDPDGKKFDKVSRIEAHSEQFDMLMQLDVNTEVYPMSVGDKFTMVLAPTLSLDGTPDSGFYVPVDGMTLGHWLVTCGKPKTREGGSHLQINLSMSCMGSSTRFRKKVLVDLM >DRNTG_21320.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:9443872:9450606:-1 gene:DRNTG_21320 transcript:DRNTG_21320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVELLFEDIFTLTRIDPDGKKFDKVSRIEAHSEQFDMLMQLDVNTEVYPMSVGDKFTMVLAPTLSLDGTPDSGFYVPGGRKSLADKFEYVMHGKLYKISEESSSGPDVKVEIYASFGGLLMMLKGDPSNAANFELDQRLFLLMRKV >DRNTG_21931.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2208762:2213118:1 gene:DRNTG_21931 transcript:DRNTG_21931.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAPTQVAASGRLSIYEPNHQISMWSDSFKADSSQNTSASTIVEGEVKLSDKLEDNSHEALRQSKKFDQEANRPSDKVLRRLAQNREAARKSRLRKKAYVQQLESSRIKLSQLEQELGRARQQGLYIGGNLGDSALGFSGNVNSGVAAFEMEYGHWVEEQNRQICELRTGLQAHVSDIELRILVESGMAHYDNLFRIKAIAAKSDVFYLMSGMWKTTAERFFLWIGGFRPSELLKVLSSQLEPLTEQQVVAVYNLQQSSQQAEDALSQGMDKLQQTLAETLTSDPLDLSGTNNYMEQMTVAVGKLEALVSFVNQADHLRQQTLQQMYKILTTRQAARGLLALGDYFQRLRALSSLWAARPREPA >DRNTG_21931.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2208762:2213118:1 gene:DRNTG_21931 transcript:DRNTG_21931.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAPTQVAASGRLSIYEPNHQISMWSDSFKADSSQNTSASTIVEGEVKLSDKVLRRLAQNREAARKSRLRKKAYVQQLESSRIKLSQLEQELGRARQQGLYIGGNLGDSALGFSGNVNSGVAAFEMEYGHWVEEQNRQICELRTGLQAHVSDIELRILVESGMAHYDNLFRIKAIAAKSDVFYLMSGMWKTTAERFFLWIGGFRPSELLKVLSSQLEPLTEQQVVAVYNLQQSSQQAEDALSQGMDKLQQTLAETLTSDPLDLSGTNNYMEQMTVAVGKLEALVSFVNQADHLRQQTLQQMYKILTTRQAARGLLALGDYFQRLRALSSLWAARPREPA >DRNTG_13773.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000638.1:11196:12544:-1 gene:DRNTG_13773 transcript:DRNTG_13773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVREAVRHPEGSHGCPCARKDTREASRELSRVPLHPQRHPIVHSLANEVLSPSEMSDADVELHTCRMVTEATGDPAREKEQPGPVTRSRRRAADSLNGEEGSSHVPPHEEQGIGTSLPPLHVPRKHKEVQQLNEDEEDEPVFIPQKGHRDTEYQRLNNNMAMLMGSMLQMQK >DRNTG_29318.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29670743:29676755:-1 gene:DRNTG_29318 transcript:DRNTG_29318.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIVGLVLKEKAQLLLWYLMKEIALGITAEEVLPTFLFLLIICHQTLSQLLLKLQLGIQCIVLPGYRINTLPVTEEAPQRNVRRRHSLASHHEAPVNTSSHNSYPTGNVSGLQLAGQSSQTPAPVVFQRRIFPSGNGFPNVNVETNGAYLPDASRSRNPRAPMPIHYVPPGQVTLADRSAHDPRILPSSSYPTMSFMATLEERLRSGREAIVPPRNSRPLSIAGHGGERNGRGRNLQDRFRSSTDEANVRNRLVSEGAVMIDRFYDSWRTLDHHRDMRLDIDNMSYEELLALEESIGNVNTGLSDEAISKYLRHTIYCSPDQITDNQQEERCAICLEEYEDRANLGVLNCRHEFHFGCIKHWLQIKNACPICKASATDTRKDKQKIHL >DRNTG_29318.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29670743:29676755:-1 gene:DRNTG_29318 transcript:DRNTG_29318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIVGLVLKEKAQLLLWYLMKEIALGITAEEVLPTFLFLLIICHQTLSQLLLKLQLGIQCIVLPGYRINTLPVTEEAPQRNVRRRHSLASHHEAPVNTSSHNSYPTGNVSGLQLAGQSSQTPAPVVFQRRIFPSGNGFPNVNVETNGAYLPDASRSRNPRAPMPIHYVPPGQVTLADRSAHDPRILPSSSYPTMSFMATLEERLRSGREAIVPPRNSRPLSIAGHGGERNGRGRNLQDRFRSSTDEANVRNRLVSEGAVMIDRFYDSWRTLDHHRDMRLDIDNMSYEELLALEESIGNVNTGLSDEAISKYLRHTIYCSPDQITDNQQEERCAICLEEYEDRANLGVLNCRHEFHFGCIKHWLQIKNACPICKASATDTRKDKQKIHL >DRNTG_16023.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17522024:17522378:-1 gene:DRNTG_16023 transcript:DRNTG_16023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPQGNHNFCDTMQRYVEAMKELSQMVTRMMMEGLELRTSIMMR >DRNTG_16252.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9639357:9641770:1 gene:DRNTG_16252 transcript:DRNTG_16252.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSYSIVLFFYMMIAFLIVSDRGNSIENASLIDEIVRRANINGTIDWLKKLRHEIHEFPELAHEEFRTSGVIRRELDQLGVKYQWPIANTGIVATIGTGLPPFVALRADMDALPIQELVEWDHKSKLDGKMHACGHDAHVSMLLGAAKILQDLRDTLL >DRNTG_16252.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9642598:9642906:1 gene:DRNTG_16252 transcript:DRNTG_16252.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGGALKEVEAIFSLHVAFPYPTGQVASRPGEFLAGSGFFKAYISRNKEPTATSSDPVLAASASVISLQNLISREADPLDSQ >DRNTG_16252.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9642598:9643790:1 gene:DRNTG_16252 transcript:DRNTG_16252.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGGALKEVEAIFSLHVAFPYPTGQVASRPGEFLAGSGFFKAYISRNKEPTATSSDPVLAASASVISLQNLISREADPLDSQVLTVTQFHGGDSCNVIPDSVVIGGTFRAFNKKSLNALKKRIEE >DRNTG_16252.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9639357:9644375:1 gene:DRNTG_16252 transcript:DRNTG_16252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSYSIVLFFYMMIAFLIVSDRGNSIENASLIDEIVRRANINGTIDWLKKLRHEIHEFPELAHEEFRTSGVIRRELDQLGVKYQWPIANTGIVATIGTGLPPFVALRADMDALPIQELVEWDHKSKLDGKMHACGHDAHVSMLLGAAKILQDLRDTLLGTVVLIFQPAEEKGVGASQMIEGGALKEVEAIFSLHVAFPYPTGQVASRPGEFLAGSGFFKAYISRNKEPTATSSDPVLAASASVISLQNLISREADPLDSQVLTVTQFHGGDSCNVIPDSVVIGGTFRAFNKKSLNALKKRIEEVIEGQVKVYQCVAKLEFSNLDNPFIPPTVNDEKIYQMVREVSSEIVGHKNIQIAQYLMGSEDFAFYLEHVPGTLLLIGTRNEKMGSIDSPHSPYFTIDEDVLPIGAAIHAAFAHSYLLNSSQTKVL >DRNTG_16064.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25690728:25692913:1 gene:DRNTG_16064 transcript:DRNTG_16064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLLLLLLLVSWSLMEVDGKNQGREEVKLISDQSNGRSPMFILNKFKKVVKSEGGEVKVVSGYKWKGDINPMHIGFITMKPNTLFIPQYLDANLILFVQTGEVKVGWIHKDAYLVEERMKMGDVNVIPAGSAFYFVNVGNDDEKLSIICSIDASGTSEWNSYQSFFIGGGRNPTSVIAGFGVITLRAAFNVTMNELSFLMKKKSGPIIYMTNTTKEQHLLPSMIKLKLKLQQKQSDNGKEIHEDDDDDDYQYKESYKTWSWRKLVSSLISKKKRKGGRVHSPESLNLYNTEPGFCNDYGTSVSIDKHQYSPLKISGIGVYYVNLTAGSMMAPHFNPTATEYGVVLKGSGIIQVVFPNGTNAMTARVRAGDVFWVPRYFPFCQIASMDGSFEFFGFTTSARENRPQFLVGESSVLKSMMGPELAAAFGVAEEWLERVVEAQSQSVILPPLHVEGKN >DRNTG_29946.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21370581:21373161:1 gene:DRNTG_29946 transcript:DRNTG_29946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTEIRSPHPSIWLLDSGCSSHMTGRKELFFKLDENQRHVVKLGDNKEMQVAGKGSVAVTTQIGETKLIHNVQYVPNLAHNLLSVGQLIANGYQLIFEKRRCRIIDEKAGIQLMVVNQNKNNLFPIEFSQIGQTNAAISDSGNSQLWHDRYGHLNFESLQVLHHKQMVIGLPEVKQFSCCESCIFGKLSRQPFYSGKSWRAKERLQLVHSDLCGPMQVDSLGGSRDVRFDEQKHWEWESENSSSHRIISSSVPIVGNSSGNNLADGSRVIDTTRESDSTSNSDTEVQSASSNDQSEDS >DRNTG_29946.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21370581:21372526:1 gene:DRNTG_29946 transcript:DRNTG_29946.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSGYSGLSHPLVPQFSGEDYDHWSIMMKTLFRCNSLWEVVEKGFSEEEAKVEGNRQKDAHALFLIQQGVQRSLFSRIAAANTAKEAWDALKIQFQGSPKIMALRIQALRQSFENLHKKENEGIQAYIARVTDLVNQMKGLGDQISESLVVGKVLRSLGPKYNFIVAAIGEAKDLTKLTMDELAGSLQAHENLLLSQDDVSVEKVLVSNSEDKTLIMKGESTSSEDWHFNRGRGRSFSRGRGRNYGRSRGRFSNDVTTESNQRSNSADQSG >DRNTG_08024.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30163038:30167229:-1 gene:DRNTG_08024 transcript:DRNTG_08024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLSNVEDLWKDFATSESARQVVKLKAFSKFENTTEALSAATLLIDSKPSKGLRKFLRTHCDGETLAVADSKLGNAIKEKLKIDCLHNNAVMELMRGLRNQLTELISGLATQDLAPMSLGLSHSLSRYKLKFSPDKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELAKIVQDNIQYAKTVKLMGDRVNAADLDFSEVLSEEVEAELKEAAVISMGTEISDLDLANIRCLCDQVLALSEYRAQLYDYLRSRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTVQILGAEKALFRALKTKHATPKYGLIFHASLIGQAAPKLKGKISRSLAAKTALAIRYDALGENTDSSMGLENRAKLEARLRVLEGRELGRSAGSVKGKPKIEVYDKDRKKGAGALITPAKTYNTSADLLLGPAATPEQDGALSRKRKHEEVETESAKETTDASVDEDGKKEKKKKKKSKIEEANDNDATVEAAEPEKKKKKKKDEGSSAEFVNNDETTVPENDKEGPSTKEKKKKKRKNAETEEPSVNVEEQETETKSKKKEKKKKEKKGGE >DRNTG_08024.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30163038:30167229:-1 gene:DRNTG_08024 transcript:DRNTG_08024.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLSNVEDLWKDFATSESARQVVKLKAFSKFENTTEALSAATLLIDSKPSKGLRKFLRTHCDGETLAVADSKLGNAIKEKLKIDCLHNNAVMELMRGLRNQLTELISGLATQDLAPMSLGLSHSLSRYKLKFSPDKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELAKIVQDNIQYAKTVKLMGDRVNAADLDFSEVLSEEVEAELKEAAVISMGTEISDLDLANIRCLCDQVLALSEYRAQLYDYLRSRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTVQILGAEKALFRALKTKHATPKYGLIFHASLIGQAAPKLKGKISRSLAAKTALAIRYDALGENTDSSMGLENRAKLEARLRVLEGRELGRSAGSVKGKPKIEVYDKDRKKGAGALITPAKTYNTSADLLLGPAATPEQDGALSRKRKHEEVETESAKETTDASVDEDGKKEKKKKKKSKIEEANDNDATVEAAEPEKKKKKKKDEGSSAEFVNNDETTVPENDKEGPSTKEKKKKKRKNAETEEPSVNVEEQETETKSKKKEKKKKEKKGGE >DRNTG_17591.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7414861:7415613:-1 gene:DRNTG_17591 transcript:DRNTG_17591.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLHEPLSSLSAPPLHGSSAERKKDEILQCIMDPEVSKIGVYGMGGVGKTTIMTQIYKELNEKKVFEIVMWVTVSSSFNEEELQNKIAVRLHCELSSSGDLMSKAQKLHEALGRRRNFVIILDDIWDGVSLQNVGIPEPNRSNGSKVVWTTRFMDVCNSMESQKEIKIEGLTDEEAWSLFKEKVGG >DRNTG_27702.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21763614:21764937:-1 gene:DRNTG_27702 transcript:DRNTG_27702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNILILFLFYLHAITLTCSSEGNEKSPKFSAILVFGDSTVDTGNNGHIPTLFRSNHHPYGRDLSSHKASGRFSNGRLVPDFLSSALGLNDHLPPFLDPDLTTNDLHTGVSFASAGSGLDDVTSALSRTMTTAKQVKMFESYIDNLKKIAGDAEASNIISNSLIVTSAGTNDMILNFYDIPTRKFEFNITEYQDFLLQKLHDIIMDIYKLGGRKFLIAGLPPIGCIPVQMTVKFKRQSERVCIDEQNIDASIYNSKLQALLPQLQQILPGSLFVYQDLFDELMKILKEPEKYGFKETKKGCCGTGLFEIGPLCNTMTSLCDDVSSYVFFDAIHPTERVYGQITQYMMEHAIPLFGE >DRNTG_27702.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21763614:21764188:-1 gene:DRNTG_27702 transcript:DRNTG_27702.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKFKRQSERVCIDEQNIDASIYNSKLQALLPQLQQILPGSLFVYQDLFDELMKILKEPEKYGFKETKKGCCGTGLFEIGPLCNTMTSLCDDVSSYVFFDAIHPTERVYGQITQYMMEHAIPLFGE >DRNTG_08624.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12393835:12395471:1 gene:DRNTG_08624 transcript:DRNTG_08624.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVVRPSMKERSQCGLQCTNFGGNLAKGFEGYWPRFWRGSTAQTSSFLRKKVGRGASVEAYPIPDE >DRNTG_17042.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22114140:22117531:-1 gene:DRNTG_17042 transcript:DRNTG_17042.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQHITQILPWVNPKPKKSSTHTLSQLQSENRKNKSMPDTAQQGLEDSLYWLQGSDLQSPSPSLLLLQPSKSPFFLFPAASSLVPTFFLT >DRNTG_15545.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:9052756:9054806:1 gene:DRNTG_15545 transcript:DRNTG_15545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWSNFIFSKGSVVKLRAHFTKSMPMKASPITIFRRNTLLKATEPLQKPYQRLSKPLLRAPPKLGKRWEKDCKKITDRAQLCSIPLNLLFWCGSSEEAYRGMTLRQTRLKHNRIPPTEYLKLEFLFFSTGEAHVGGSLHDHVHGNSCQARPRCLPLKISGEHLADLLKGSPWKHGQSTIMLRWCQARRHPF >DRNTG_16983.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000861.1:32252:32647:1 gene:DRNTG_16983 transcript:DRNTG_16983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSTIVQSSAFPLLLIPIIISSTTTSTPIFSNFTPKLLLLCLAIGLLNGVNNLLISWG >DRNTG_09574.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22509542:22510588:-1 gene:DRNTG_09574 transcript:DRNTG_09574.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLALGDMAHTKYISMETMDLESGRLEADYRRKSTIANYQIVKMLGKGAYGCVFEAIDLRTGHRVAVKQATFVRYAEDHIEDIPYTSLREIDILSSCRHPNIIRFREFIIDDALHSVFIVMDRAVTDLRTHLILASGNLKEAMVKKLMLQLLLGVSYLHSHGILHRDLKPGNLLLTGVGDMRQLKICDFGLGKRFHYLYEEEGTAYDLLSQTVVTQWYRSPELLLGDEKYTAAIDVWSVGCIMAEMVTGKPLFPGKSKIDQLDMIFMVMGTIGLKSWPGLDKLDMARYFLGGPARYNTLRLRVPPTKLSRPGYDLLKRLLEVDPRKRISAEDALDHGWFSDLFISI >DRNTG_17010.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3777048:3778550:1 gene:DRNTG_17010 transcript:DRNTG_17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTQASIGDLTFKGFGDLIKLLPTGTVFLFQFLNPLLTNTGHCHTFNKYLSGLLLFVCSFSCCFSSFTDSYTGSDGKVYYGIVTKKGLWSFSDPNARSIDLSKYKLRFGDFVHSSLALVVFAVIALLDNNTVSCFYPSLETEEKTLMTILPTIVGGLSSFVFMLFPNNRHGIGYPATQPAQD >DRNTG_15806.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000786.1:63990:66141:1 gene:DRNTG_15806 transcript:DRNTG_15806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHRRAENNHAGVPDPKGQPHAPVASRGERMPLCLFGIEITLLQRSTQAC >DRNTG_27890.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20140583:20142166:-1 gene:DRNTG_27890 transcript:DRNTG_27890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGIFYGLLQRMAILKAFKEVMGPNSQQDGTFNTAAFAPGTPFPSPSASPSATTIPTPTASAPGPPVPLDIPKTNVEEIPQHDTSNNTKADKETEGHE >DRNTG_27890.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20141513:20145472:-1 gene:DRNTG_27890 transcript:DRNTG_27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTVSLLPARPPVLPAAFSSPRAPFYPAGKARRVVSLWIRRAATAPEKLEMQDSANVASQGTVQTITSSINPPQILALPPPSFIGSPLLWIGVGIGISAAFNTMATRLKRMAILKAFKEVMGPNSQQDGTFNTAAFAPGTPFPSPSASPSATTIPTPTASAPGPPVPLDIPKTNVEEIPQHDTSNNTKADKETEGHGTLPASN >DRNTG_26777.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3388577:3400080:-1 gene:DRNTG_26777 transcript:DRNTG_26777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDNPPISEAVVTASVVAPVALNNPALDAVVAPAPNAPVVIEENWVGCDKCQKWRLLPYGTNPGLLPKKWQCNMLNWLGPGMNKCSISEEETTKALHALYQLPVSQCNTNANGVNAVASNTVLAEGQFLNHTFGNNTTNLPAIGKKKHKLKDPSNLVNGPALLQGSASVKKNQQASVKSRNLNDVNVNRYQLESNMLSKTDLEHANNPTDFAVDKQKHKDEVKSQILGCHSNGGDFVGNAGRPSKLKSKREVDQDGFTTSKKFKKEELNYASEGWHSDYEGAVNLLSAKDNALPTDVSKHNMQNYNGFSSSKAECILLASSKRVKVQTQALSNGEVKDLVNGPNLERSKPGFISKKRKNKVSQETKGYPQSIHGDQQFMDSRVDTREAFSENELKREKKLKVLKPEAKDSSIRKADGRLETKGRPTKILFSGSREHLTYGMENDGFAVKEEHREQFQVNAMPRQASEGMESFKKDSGYAQSSAAATSSSSKVSSSRKSRGNFQEARGSPVESVSSSPFRNSNSDKVLPSRRKFEQKDDALNAGLSAIGSPKNYSDGEFDAGSVRPERMKNNASSFQGRSLEGHRAVESRGLDSMRGKYDYKHNEASKLYEGKDKDGLHLKLFGDTHDDFSPTDFECRNLVNATAKHDKYEPLDKDHFRDSEKMNNYHLANGSMQRKSCKGSSHSREKHSTKSGLDRSKIKVPGSVSKQVELLSAKNDPDSQDMVHSASHDNYCKDFKHGNGNCAYPEKDVEDVVKKESLVKLSFVGGRESHSDRGKQGNLEAHGSGLFDKQHRDGNSRDAVSGTGDGKSMFQDITQRDPHADDKKLIGRSEMAPGRGKSQPVVSYGDKQETQCRGNQSIPTVKGGKLESCPIDAANGDPKVIKSRKPDEENGTHQVSLRQATPSRLDHRSPVRRDGQSAANVLKEARDLKHTANRLKSEGLELESTGLYFQAAMKFLYYASLLEPHVESARHGEGTQSVQMYSETARLCEFCAHEYERCKKMAAAALAYKCVEVAYMKVSYFKHSCASKDRLELQSTLQTVVPGESPSSSASDVDNLNNQVTLDKSTSARGVSSPQVAGNHVVVARSRPNFVRLLNYANETVCAFDASRKMQLAFAAAGSGLDKDCIGRLSSVKKVIDFNFHDVERLLQLIRFSMESISC >DRNTG_12205.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10529192:10536086:-1 gene:DRNTG_12205 transcript:DRNTG_12205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPLILLLLATHLILAASSRVAGGTLARDIRKPLRRSGFPPGFVFGTASSAYQYEGGAKEGGRGPSMWDAFTQDHPEKIADGSNGDVALDFYHRYKEDVNLMKEMGVDAFRFSISWSRILPNGNLSGGINKEGIQFYNNLINELISKGLKPFVTIFHWDVPQGLQDQYGGFLSPLIVEDFKQFSNICFQEFGDKVKHWITLNEAYIFSTGGYASGFLAPGRCTPGQGSNCFSGDSGKEPYIVAHHLLLSHAAAANLYKTKYQVSQKGKIGITHAVTGGVPLSNSKSDIDATKRYLDFSYGWFMDPLTYGDYPFIMKSIVGERLPKFTKEQSKSIKGSFDFIGLNYYTSNYVSNVPFSQNQMNSYLTDSFTNLTVEKKGIPIGPVAGSSWLHIYPKGIQNVLEYTQSEYNDPVIYITENGVDEVNNETMPLQEALKDDTRIHYYQQHLHYVQKAIRNGVNVRGYFAWSLLDDFEWNSGYTIRFGIHYTDYKNGLKRYRKSSAYWFEEFLKN >DRNTG_22268.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2435371:2444315:-1 gene:DRNTG_22268 transcript:DRNTG_22268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASANPTGNQDGAAGGGGAAGNPNNGAAAAAPAAGNASGPGQGLKHNPGLAIEWTSEEQTILEDGLAKYASDASLIRYAKIAMELQDKTVRDVALRCRWMTKKESGKRRKEELARKNKDKKERATDSSTKPSTHLAARPSAPQYALPMLPVDNDDDISYRAIGGATGQLLEQNMQAFNQISTNLANFLLQDNINLFQQTYDNILTIMNEMNEMPEIMKQMPPLPVKVNEELANSILPPPSVPRQSGQ >DRNTG_01035.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18606643:18609381:1 gene:DRNTG_01035 transcript:DRNTG_01035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDEMRDWKGKSEGECETFIHGLISLIDKDQRKEFTFSKENIKAIIKDVMGAGTESVSVVMDWIMAELLKNPNKLDILKTEIRNVISATTTTIIKEQDLKEMHYLRAVIKETLRLHPPASLLVPRESMQEAQIGPYKIPKNTQVMTNVWAIGRDPQVWEAPDEFRPERFLGSCVDFKGCDFELLPFGAGRRICPGIQFSTLIIELTIANLVHLFEWNLGMNVEDLDMIEGPGLTGRRRVELVLHATPCF >DRNTG_17977.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000928.1:43478:64527:-1 gene:DRNTG_17977 transcript:DRNTG_17977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDVIYGIVPNENDVAEDENAAVKEVTPPVQMAPQEEGSTGRVGVDNEESNATKEDYIVVEAGVAVDYFTERTRHGNELPMVDVFICTANPVAEPPSLVMSTVLSMMAYDYPKEKLSVYLSDDAGSELTFYALCEACKFAKHWIPFCNKFDVEPRSPIAFFDKSCHSSNLYVSEESSKLKGMYEKMINRIDFVVTKGNVPRELKKHQGFYKWTSNMTHDAIIQILVDGNDENSVDIEKNLLPRVIYMAREKRPQHHRNFKAGSMNALGHETGFVQYPQYFDNINKNDLYDNSLNVINKIDLLGFVVLEGWGGTPYIGTVCFHRREALQGRKYNKDYIEDWKKGIDITSVENARVLEEKLKYLASCTFEHNTLWGKEVEDLTINIFYDGSMQKPCTAFWEGNQSSYAEKVFGESKLAFTITAKVSDEDASKLYEQEVMDFGSVSSMFVIISTIALINLVCLFGGVKRLMLNNEDAESSSISPEISAGWICFQNPSGLLPGIGPTLLLLIATPFFAIISCEFRYDMSFKAMASCKA >DRNTG_01212.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:419365:420442:1 gene:DRNTG_01212 transcript:DRNTG_01212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTTEQEALVVKSWEVMKKDAAALGLKLFLRIFEIAPSAQRLFSFLKDSDVPLHKNPKLKAHAMAVFVMTCESAVQLRKAGKITVRETTLKKLGATHFKYGVVDEHFEVVRFSLLETIKEAVGDIWCPEMKSAWGEAYDQLVAAIKVEMKPLPSSS >DRNTG_26486.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:629268:634846:1 gene:DRNTG_26486 transcript:DRNTG_26486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKKKEAIDEGKSMEIRVSLFPDEVLERVLGFVKSHKDRSSVSLVCKDWYTAERWSRTHVFIGNCYSVSPEIVARRFPNIRSVTLKGKPRFSDFNLVPPNWGADIHSWLVVFASAYPFLEELRLKRMTVTDESLDFLARSFTGFKALSLVSCDGFSTDGLASIASYCRNLTELDIQESGIDDLGGSWLSYFPESFTSLETLNFANLNSEVNFDALEKLVSRCKSLKVLKVNRSITLEQLRRLLMRAPQLVELGTGSFSQDHLLRADAELEAAFNNCKSLHTLSGLWEVSSMYLPALYPACTNLIFLNLSYATLQSTELAKLLPNCPLLRRLWVLDTVEDKGLEAVASNCHFLEELRVFPADPFDQEGIPEGGGTGVTEFGFVAVSKGCPNLRYVLYFCRQMTNVAVATVVRNCPNFTHFRLCIMNMCEPDHITKDPMDEAFGAVVKTCKKLRRLAVSGLLTDRTFEYIGTYAKNLETLSVAFAGRSDRGMQSVLEGCPKLRKLEIRDCPFGNSALLSGLDRYESMRSLWMSACKVTINGCRLLARRMPRLNVEVIKEDDNDDTQAEKVYVYRSVAGPRRDAPPFVITM >DRNTG_32349.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001828.1:53385:57929:-1 gene:DRNTG_32349 transcript:DRNTG_32349.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPHADNNRRVPMPPASARITDGDPRHVP >DRNTG_18770.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1363001:1370118:-1 gene:DRNTG_18770 transcript:DRNTG_18770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMKITPTIPFHMLIPILRPHLDKDRSMQLQSIFAKLRSNEVSKDDFLRVIRNIVGDEMLRQAAFKVQLQLQAQAQRNSQTNPNRQSLQAPTAAQPLPIHGQMQADSSFPALGNSSQKAQETENQADSKGVHAGPTSSSNVNVANPDREIPINALQAANKQQQHMQPPQAPFSMYGGTASTFHSLSYSRPSMSSATASLKPQTQDSQIRQAQVSQGMVSTQLPMNSMNMPKYDKQISGNESKRPFSQLTSPSSLPQNSVAWQPSASKDQRTNAFPSLAFVKQEVEPTADQHKSQFSAPESSSFGSVRADEGNSATVPLKEENMDKQSSRTGPTAATSTITNQISVPMSAQVEPAMQMRNQMSSVTPVLAGSTMKTPTKKPSAGQKKTVEALNTPSPVASKKQKTSGAFHDQSIEQLNDVTAVSGVNLREEEEQLLSAPKEDSRASEATRRFVQEEEERLILQKGPLQRKLADIISKCGISNIGGDVERCLSLCVEERLRGVVSNLIRLSKQRVDIEKTRHRVVITADVHRQILAMNQKAKEEWDKKQAEEAEKFRKLNQVEGSTGTDADKDKDEGRSKALKINKEEDDKMRTTAANVAARAAVGGDDMLSKWQLMAEQARQKREGLDGASGSQTTKTATRKALTGKTSREQQETGKKSLSTASIPGGMRKMGKNSALAAQPKIARSITVKDVIAVLEREPQMSKSTLIYRLYERMPNDSMVE >DRNTG_18770.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1363001:1370118:-1 gene:DRNTG_18770 transcript:DRNTG_18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSIMKLLEDDEDESMHSGADVDAFTAALNRDIGGDVAAISHPADSDSGSSSAAKPLLEQWQSSSQDGSLAQQVLQQDPKQQPTVQNSSSEVETKDQGSGAKDSDRQIVSHTEHDQQLSNLDKPPSDKQLEQSEANCNPNTEGDQTKKPEQNSVQSSEQDRDPPAENQQQHKFQELNGQQTLSANQANNSMRRMKITPTIPFHMLIPILRPHLDKDRSMQLQSIFAKLRSNEVSKDDFLRVIRNIVGDEMLRQAAFKVQLQLQAQAQRNSQTNPNRQSLQAPTAAQPLPIHGQMQADSSFPALGNSSQKAQETENQADSKGVHAGPTSSSNVNVANPDREIPINALQAANKQQQHMQPPQAPFSMYGGTASTFHSLSYSRPSMSSATASLKPQTQDSQIRQAQVSQGMVSTQLPMNSMNMPKYDKQISGNESKRPFSQLTSPSSLPQNSVAWQPSASKDQRTNAFPSLAFVKQEVEPTADQHKSQFSAPESSSFGSVRADEGNSATVPLKEENMDKQSSRTGPTAATSTITNQISVPMSAQVEPAMQMRNQMSSVTPVLAGSTMKTPTKKPSAGQKKTVEALNTPSPVASKKQKTSGAFHDQSIEQLNDVTAVSGVNLREEEEQLLSAPKEDSRASEATRRFVQEEEERLILQKGPLQRKLADIISKCGISNIGGDVERCLSLCVEERLRGVVSNLIRLSKQRVDIEKTRHRVVITADVHRQILAMNQKAKEEWDKKQAEEAEKFRKLNQVEGSTGTDADKDKDEGRSKALKINKEEDDKMRTTAANVAARAAVGGDDMLSKWQLMAEQARQKREGLDGASGSQTTKTATRKALTGKTSREQQETGKKSLSTASIPGGMRKMGKNSALAAQPKIARSITVKDVIAVLEREPQMSKSTLIYRLYERMPNDSMVE >DRNTG_04912.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:9504452:9506593:-1 gene:DRNTG_04912 transcript:DRNTG_04912.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNMQPFILILLSISFSLIATDANAASPNPFTAKAALIRYWDRKIPNQRPHPSFLLFKLSPLSALDCTTYSSLLSSNPSSLTPHILTFCSAAHLLCSPSLTSKSPKDSNFANYNNVNFTNYGNHIHSGQESFKNYSKSQLVPIDVFTTYSKDSVSHDEAFKAYSPNGTIITDNFTSYASAAVDGSGDFTSYGRSSGVPLLNFANYETGSNGHTQNFTSYATSNDEALLSFLQYSKQSNAVPSVFTSYTKNNSIGISNFKGYGEGANNPLDAFKKYGTDDKISHQNFKSYGDHATAGTQSFSSYRGKLDDGDSVFESYGKLGNNPTLEFTTYGKPIPRGTDHFTGYGEAATDPKVNFKTYRGMPTEFKTYAKTGVVFKDYHNSTIPPLVKLSSKPDIRDKMPERSFLPKDISGKLPFDAIELGKIFNAPAGTGLGRAIADTISECERASSRGETKRCTTSAEDMIDFAVSVLGNDAVPRTTESAGGSGTNIVIGKVKGINGGRVTKSVSCHQSLFPYLVYYCHSVPKVRVYQAEILSVESKKKINQGVAICHLDTSDWSAGHGAFVALGSKPGVIEVCHWIFEGDLTWAAAD >DRNTG_04912.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:9504452:9506593:-1 gene:DRNTG_04912 transcript:DRNTG_04912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNMQPFILILLSISFSLIATDANAASPNPFTAKAALIRYWDRKIPNQRPHPSFLLFKLSPLSALDCTTYSSLLSSNPSSLTPHILTFCSAAHLLCSPSLTSKSPKDSNFANYNNVNFTNYGNHIHSGQESFKNYSKSQLVPIDVFTTYSKDSVSHDEAFKAYSPNGTIITDNFTSYASAAVDGSGDFTSYGRSSGVPLLNFANYETGSNGHTQNFTSYATSNDEALLSFLQYSKQSNAVPSVFTSYTKNNSIGISNFKGYGEGANNPLDAFKKYGTDDKISHQNFKSYGDHATAGTQSFSSYRGKLDDGDSVFESYGKLGNNPTLEFTTYGKPIPRGTDHFTGYGEAATDPKVNFKTYRGMPTEFKTYAKTGVVFKDYHNSTIPPLVKLSSKPVNKWVEPGKFFREGSLNEGTVMPIPDIRDKMPERSFLPKDISGKLPFDAIELGKIFNAPAGTGLGRAIADTISECERASSRGETKRCTTSAEDMIDFAVSVLGNDAVPRTTESAGGSGTNIVIGKVKGINGGRVTKSVSCHQSLFPYLVYYCHSVPKVRVYQAEILSVESKKKINQGVAICHLDTSDWSAGHGAFVALGSKPGVIEVCHWIFEGDLTWAAAD >DRNTG_15453.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1536948:1540553:1 gene:DRNTG_15453 transcript:DRNTG_15453.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKSSEKSPGETESSGSISSERYSDDHQENLRASPNNASPNSVQSLEVSSKVDNNEVHETMKSLTEKLSAALLSINAKDDLVKQHAKVAEEAVSGWEKAELEVVALKQQLEAAAKKNSALEDRVGHLDGALKECVRELRVSREEQEQKIQDAIVKKAQDWEVEKSEFEGQLSELRTQLEAAKAEVASSVDHDLQSKLEASETKNSALKDVWLAQSKNLKTRTLERDLSIQAAETASKQLLESIKKVAKLEAECRRLRCVERKTPLANDRRMGSSICMESVTDSQSDSGEQLLGLENEGSCSGSWATALIAELDQFKSNKVGTRSLATSVEIELMDDFLEMERLVGLPEADSSEGNSKVETQLMHQQITDLVAKIEKMENKKAELEVALTGTRKQLDSSTNQMRAAEAKITELQRQLDLADRAKQLSMIQLIDAEEKRKALESQFELAQSQVNELSGKLSQLEANVDEERALSAELTAKLDAADEAKQVLESQLLDKISILEGEIEEKKAKSAEYTASAGALEAAKKELEASKKELEIQLESTKLEVRKLHVKVTMLEQEIKEERILSAESIAKLENAEADRKTAENQLEVAQQEVGKLHDKITSLEKKIDDERSSCEALVTKCQNLEDELSSRKRETEFRRARSSNEEMKLKQEKDFAMAAGKLAECQKTIASLNRHLQLLTALDDLMLESEMPELNDRLPDLRTEDGKELSNSDSSADTENSTVPNGKTEGTTPCLVPRLPTSPVAFA >DRNTG_15453.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1536948:1540553:1 gene:DRNTG_15453 transcript:DRNTG_15453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKSSEKSPGETESSGSISSERYSDDHENLRASPNNASPNSVQSLEVSSKVDNNEVHETMKSLTEKLSAALLSINAKDDLVKQHAKVAEEAVSGWEKAELEVVALKQQLEAAAKKNSALEDRVGHLDGALKECVRELRVSREEQEQKIQDAIVKKAQDWEVEKSEFEGQLSELRTQLEAAKAEVASSVDHDLQSKLEASETKNSALKDVWLAQSKNLKTRTLERDLSIQAAETASKQLLESIKKVAKLEAECRRLRCVERKTPLANDRRMGSSICMESVTDSQSDSGEQLLGLENEGSCSGSWATALIAELDQFKSNKVGTRSLATSVEIELMDDFLEMERLVGLPEADSSEGNSKVETQLMHQQITDLVAKIEKMENKKAELEVALTGTRKQLDSSTNQMRAAEAKITELQRQLDLADRAKQLSMIQLIDAEEKRKALESQFELAQSQVNELSGKLSQLEANVDEERALSAELTAKLDAADEAKQVLESQLLDKISILEGEIEEKKAKSAEYTASAGALEAAKKELEASKKELEIQLESTKLEVRKLHVKVTMLEQEIKEERILSAESIAKLENAEADRKTAENQLEVAQQEVGKLHDKITSLEKKIDDERSSCEALVTKCQNLEDELSSRKRETEFRRARSSNEEMKLKQEKDFAMAAGKLAECQKTIASLNRHLQLLTALDDLMLESEMPELNDRLPDLRTEDGKELSNSDSSADTENSTVPNGKTEGTTPCLVPRLPTSPVAFA >DRNTG_15453.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1536948:1540553:1 gene:DRNTG_15453 transcript:DRNTG_15453.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKSSEKSPGETESSGSISSERYSDDHENLRASPNNASPNSVQSLEVSSKVDNNEVHETMKSLTEKLSAALLSINAKDDLVKQHAKVAEEAVSGWEKAELEVVALKQQLEAAAKKNSALEDRVGHLDGALKECVRELRVSREEQEQKIQDAIVKKAQDWEVEKSEFEGQLSELRTQLEAAKAEVASSVDHDLQSKLEASETKNSALKDVWLAQSKNLKTRTLERDLSIQAAETASKQLLESIKKVAKLEAECRRLRCVERKTPLANDRRMGSSICMESVTDSQSDSGEQLLGLENEGSCSGSWATALIAELDQFKSNKVGTRSLATSVEIELMDDFLEMERLVGLPEADSSEGNSKVETQLMHQQITDLVAKIEKMENKKAELEVALTGTRKQLDSSTNQMRAAEAKITELQRQLDLADRAKQLSMIQLIDAEEKRKALESQFELAQSQVNELSGKLSQLEANVDEERALSAELTAKLDAADEAKQVLESQLLDKISILEGEIEEKKAKSAEYTASAGALEAAKKELEASKKELEIQLESTKLEVRKLHVKVTMLEQEIKEERILSAESIAKLENAEADRKTAENQLEVAQQEVGKLHDKITSLEKKIDDERSSCEALVTKCQNLEDELSSRKRETEFRRARSSNEEMKLKQEKDFAMAAGKLAECQKTIASLNRHLQLLTALDDLMLESEMPELNDRLPDLRTEDGKELSNSDSSADTENSTVPNGKTEGTTPCLVPRLPTSPVAFA >DRNTG_15453.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1536948:1540553:1 gene:DRNTG_15453 transcript:DRNTG_15453.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKSSEKSPGETESSGSISSERYSDDHQENLRASPNNASPNSVQSLEVSSKVDNNEVHETMKSLTEKLSAALLSINAKDDLVKQHAKVAEEAVSGWEKAELEVVALKQQLEAAAKKNSALEDRVGHLDGALKECVRELRVSREEQEQKIQDAIVKKAQDWEVEKSEFEGQLSELRTQLEAAKAEVASSVDHDLQSKLEASETKNSALKDVWLAQSKNLKTRTLERDLSIQAAETASKQLLESIKKVAKLEAECRRLRCVERKTPLANDRRMGSSICMESVTDSQSDSGEQLLGLENEGSCSGSWATALIAELDQFKSNKVGTRSLATSVEIELMDDFLEMERLVGLPEADSSEGNSKVETQLMHQQITDLVAKIEKMENKKAELEVALTGTRKQLDSSTNQMRAAEAKITELQRQLDLADRAKQLSMIQLIDAEEKRKALESQFELAQSQVNELSGKLSQLEANVDEERALSAELTAKLDAADEAKQVLESQLLDKISILEGEIEEKKAKSAEYTASAGALEAAKKELEASKKELEIQLESTKLEVRKLHVKVTMLEQEIKEERILSAESIAKLENAEADRKTAENQLEVAQQEVGKLHDKITSLEKKIDDERSSCEALVTKCQNLEDELSSRKRETEFRRARSSNEEMKLKQEKDFAMAAGKLAECQKTIASLNRHLQLLTALDDLMLESEMPELNDRLPDLRTEDGKELSNSDSSADTENSTVPNGKTEGTTPCLVPRLPTSPVAFA >DRNTG_13020.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1719677:1723445:1 gene:DRNTG_13020 transcript:DRNTG_13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLRSSSSEMDLDRPNIEEYLTPDSIQESPKKLHLRDLLDISPTLAEAAGAIIDDSFTRCFKSNPPEPWNWNIYLFPLWCLGLVVRYGFLFPLRVAVLAAGWIVFFAAFFPVHFLLRGHNKWRRKIERKLVEMMCSVFVASWTGVVKYHGPRPSIRPQQVFVANHTSMIDFIILEQISAFAVIMQKHPGWVGFIQKTILESVGCIWFNRTESKDRETVARKLREHVHGVDNNPLLIFPEGTCVNNDYTVMFKKGAFELGCAVCPIAIKYNKIFVDAFWNSKKQSFTMHLVRLMTSWAVVCDVWYLEPQYLRPGETPIEFAERVRDMISARAGLKKVPWDGYLKYYRPSPKLTERKQQIFAESVLRRLDEK >DRNTG_05416.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5221694:5226490:1 gene:DRNTG_05416 transcript:DRNTG_05416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCFSSRTQATPIVAAAESPPLGKLGLEEMAMATGNPIIKVAAVCGSLRKGSFNRGLIRAAVQLCNESVKGMEIDYVDIGDLPFLNTDLEVNGTYPAEVEAFRSRILQADSVLFASPEYNYSVTGPLKNAIDWASRSPNVWQDKPAAIMSAGGAFGGGRSQYHLRQVGVFLDLHFINKPELFVQAFQPPQKFDSEGNLIDPETKERVKNLLLSLYAFTLRLKNTC >DRNTG_05947.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19885072:19885787:1 gene:DRNTG_05947 transcript:DRNTG_05947.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTVIAPMLGEILIKFKREDIGRVRDAGVCANLFIIQLARPFGGPQGQSHFSVPTCARRTRSLPTCPIMKKQSGPRRGRVPVYVTSMKSWNRDTSCRFVAGFINSQPKKQETEKPHGRLGGGSTAPTSRLGRKKVGKGASDKGVSYTGRGDRATSRGLSTRPSTRPSRGFFLW >DRNTG_07152.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:21070694:21078587:-1 gene:DRNTG_07152 transcript:DRNTG_07152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVGFVVQKLGELIVQEAVSLHGAREQVEWLERELRRMQCFLKDADSKKNKGDDERIKNWVRDMRDVAFGAEDIIDTFMYLKLRRQQKQPGCIGFIKRYVFILDQLIGRHEVHVDVEEIKAKMLELSESRILYGIANIGETTGTTCHSPSQDVIPILPQLNDDIDIVGFDDEKKMMIQKVVDKSNANRLVISIVGMGGLGKTTLAKSVYNDPEVKRGFVVFAWVIISQEYTIFEIVKKILLAVSGISLEGTIEILSVNLYEKLKEQKYLVVLDDVWEENIWNELQKVFPDVNNGSRVIITTRSLNVINIADPTTQLYELRCLNENEAQELFLRKVFPRQAIEACCPIYLVDYAHQLVQRCRGLPLALVVLGGLVSTKPQTQDAWQKVVASMKWQFVEGGERCLEILALSYNNLPYYLKSCFLYFGCFQEDIYIPAQTLIRLWSAEGFLPRKNGKTTEEIGLDCLEELARRCLIQVTDRQYDGSAKYCRIHDVLRDMCIKEAKENKYLEIYKSDNADCATMPNKARRLIVTCNEIEILDYPNSNLRGLFFHGYSYDSLTFKALNRQLGGFKLLRVLYLFSPGMPVLPTEIKSLIHLRYLELHAFCLKEVPSWIGHLRNLQTFIVTSGNLKKISSSLWKINCLRHVHVNYDIGRTHWHDDINYGYCSILPNMGDNQLKNLQTLKGVCAGEWIGKVLPKLTNLSKLKIYDIFDDHANALSSSLQKLGRLTSLHIHGYQIPLDIVIIAFSNQHYLKKLSLYGNLNCKQLPHNHVFPQQLVKLVLKFTELEQDPMATLEKLQFLKYLELSTELYRGKKMICSSTGFPQLLTLHIGGFDELEEWNIEEKAMQCLKNLYLWNCPNLKMIPEGLKNVPLNQLVFVEIPEELMKRIKEKSGEDWYKIQHVPNISF >DRNTG_22020.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23661799:23663920:1 gene:DRNTG_22020 transcript:DRNTG_22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGKTSNKPKGKIRVGDGVVEGPPSAAAWETWFRPTISHPQSPPLMNSWDDSQAPLTEVPETQNPHIRNEAIEDAITQDVETRKRSRGPNKVMPKPTNPNDRVLITTLHDEKFIEPKVVRTITKCIQLNFNDAWPTWKQTPNGIKEDMWAKFQEQFVWPIEKTNVVRKIWDTTCKERFKRMMEEERSRAKKHFGVSDIAHCKGYNEGWIRVDIWDRLIDNVWNSETWKNRSQKAKQNRHTEKNGSITKHTGGSIPFLLHAERMATQLNRKPTYAEVFNRTHKRDKGRGDYVDKKSKSVIESYTSSMSQKYGIDESSHPEFDPQAWCDAIGGMETTRTHVYGFGTTPRGKNLISPPISIEEGYYSSNCSPLIETPHPSTEVDNLREEVVTMKNKLQSLEDSQNEIKTFLYRIIEMLNPATICRNAGFSQIGTNDKDHEDETTDGED >DRNTG_19078.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16613489:16615490:-1 gene:DRNTG_19078 transcript:DRNTG_19078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSIDRAIAVKAFDETKAGVKGLVDSGITSVPFIFHHPTANLSLPVAAHLSIPTVDLSLPRPITIDRIRSASRDWGFFQLINHSIPISTMQSTISAVRAFHELPTAVRSKHYTRSPVGGVAYLSNGDLFKSSAASWRDTLQISFGPTPPELDDIPEVCRSELVKWDESAKEVAREVMSLMCEGLGLGPRSLEELSCLEGRGMSCHYYPPCPEPNLTVGAGNHTDPVILTVLLQDEIGGLRAKRKVEDGHEVWVDVKPIPGALVVNVGDLLQIFTNDEFKSVHHQVVANSSDVARVSVACFFNPGRREESTTYGPLPELLSSENPPRYRNFTVNDFLGRFLSKELNTKTLIEFFKL >DRNTG_04784.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2506062:2506759:-1 gene:DRNTG_04784 transcript:DRNTG_04784.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSEKAKVVRAIWETTCKERLRGMLEEERKRAMRHFGVSEISKCKGYNVGWIQLDIWDRLVSDVWTTDAWKNRSINGKRNRMTEKDGSITKHTGGSIPFMVHAERMEKQLNRKPTYGELFNRTHKREKGQGDFVDHKSKNVCVSVCL >DRNTG_04784.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2505158:2506759:-1 gene:DRNTG_04784 transcript:DRNTG_04784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSEKAKVVRAIWETTCKERLRGMLEEERKRAMRHFGVSEISKCKGYNVGWIQLDIWDRLVSDVWTTDAWKNRSINGKRNRMTEKDGSITKHTGGSIPFMVHAERMEKQLNRKPTYGELFNRTHKREKGQGDFVDHKSKNVCESYTSSMSQKYGPDEANHHEFDPEVWCDAIGGQGTTRTHFYGFGITPRGKNFISTSINAGDASYSACSRPNHEREQTPIEIDNLREEVTLVKDRMINLEDKVEKQASDTADIKKYLEQMMDMFNPARMFTNASIGPSQPTSHDKDTGGPPL >DRNTG_28138.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23991857:23996363:1 gene:DRNTG_28138 transcript:DRNTG_28138.6 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYVALDFEQELETAKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPSIVHRKCF >DRNTG_28138.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23991816:23996363:1 gene:DRNTG_28138 transcript:DRNTG_28138.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYVALDFEQELETAKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPSIVHRKCF >DRNTG_28138.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23991857:23996571:1 gene:DRNTG_28138 transcript:DRNTG_28138.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYVALDFEQELETAKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPSIVHRKCF >DRNTG_28138.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23991816:23996326:1 gene:DRNTG_28138 transcript:DRNTG_28138.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYVALDFEQELETAKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPSIVHRKCF >DRNTG_28138.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23991816:23996571:1 gene:DRNTG_28138 transcript:DRNTG_28138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYVALDFEQELETAKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPSIVHRKCF >DRNTG_28138.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23991857:23996326:1 gene:DRNTG_28138 transcript:DRNTG_28138.7 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYVALDFEQELETAKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPSIVHRKCF >DRNTG_28138.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23991816:23996472:1 gene:DRNTG_28138 transcript:DRNTG_28138.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYVALDFEQELETAKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPSIVHRKCF >DRNTG_23358.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5954191:5956005:-1 gene:DRNTG_23358 transcript:DRNTG_23358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATVDQMRNAMKINDWVSLQESFEKINKQLEKVLRVTESEKVPNLYIKALVMLEDFLAQALANKDARKKMSSSNAKALNSMKQKLKKNNKQYEEDGEEDDDSGSEVEEDPSKITLSDGDEDEDDDAQAESGGWEKKMSKKDKLMDKQFMKDPSEITWDIVDKKLKEIVAARGRKGTGRIEQVEQLTFLTRVAKTPAQKLEILFSVISAQFDVNPSLLGHMPVNVWKKCVDNMLLVLDILEQYPNIVVDDTVEPEENETQKGVDHKGTIRVWGNLVAFLERLDSEFFKSLQCTDPHTRDYVERLRDEPLFLVVAQNVQEYLERVGDFKAAAKVALKRVELIYYKPQGVYDAMRKLAESDRTEIGGEDGDEEASEERQAAEEIRGPPAFVVIPELVRRRPTFPESSRELMDLLVSLIYKYGDERTKARAMLCDIYHHAIFDEFSIARDLLLMSHLQEGIQLLDISSQILFNRAMAQLGLCAFRAGLIIEAHGCLSELYTGGRVKELIAQGVSQNRYHEKTPE >DRNTG_24024.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8701080:8709246:1 gene:DRNTG_24024 transcript:DRNTG_24024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGRLMVVEHQRSQLDTMVPFPLKVSGWENSLEGQACSRMACQGVGKLRCAGGAIAFTSDALVSLLKDVYSSSCLRLMCMVKAPLMVVEELAVMILGVELVDPSPTSSAEQLLTIYQADFARFQQLQGTVSHTIVSSPTSLTRNGTGRESIYWEKFEDENFKLKHTGRRVLSMANAGLRTNGSQFFICTAATSWLNLKHVVFGIVMEGLVHHRSVYQDLYTTLCLRGPTHIDLYTTCVSELTTLSDIVLPIPVSVTPPMPMPSVTHSPVDPDDGRFEQVYHRRQHVPPPD >DRNTG_29429.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:47232:51713:1 gene:DRNTG_29429 transcript:DRNTG_29429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFAAERTRKLLFSSLGFSSSYSSSPSSNPISAAAAMASTTSPPVTYESINPKVKKCEYAVRGEIVTQAQRLQQELQENPGSHPFDEILYCNIGNPQSLGQQPVTFFREVLSLCNHPAILDRDETHGLFSSDAISRAWEILDLIPGRA >DRNTG_33153.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001962.1:23746:24445:1 gene:DRNTG_33153 transcript:DRNTG_33153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKDSQQRAIADILSISGFVWNNEHSTIECEKSAYDEYVKNHKEEAGLYGTSFPFFNDLAPVLTKDRAQDNARGDLGDDVDQYTHETINLEDNADFSQFQTEEFFMPMDEPTEPPSPITSDNGTSSSTVQ >DRNTG_07633.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000344.1:59951:63994:-1 gene:DRNTG_07633 transcript:DRNTG_07633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFFSPPLHLSSPPSSYEAHAGEDLDPDLCKPKIR >DRNTG_07633.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000344.1:63656:63994:-1 gene:DRNTG_07633 transcript:DRNTG_07633.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFFSPPLHLSSPPSSYEAHAGEDLDPDLCKPKIR >DRNTG_11425.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:934959:937971:-1 gene:DRNTG_11425 transcript:DRNTG_11425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTENDVMVITLPSLPYKLHRDPSLLLMASGNLGLVVIAVDGSEESMNALRWALTNLRLRPQSETDGSGGSFLALHVQSPPSIATSLNPGAIPFGGPSDVEVPAFAAAIEAHQRRITDAILNRAFEICDELHVKAKTEVVVGDPKDKICEVVDKLHADLLVMGSRGFGPIKRMFLGSVSHYCINQVSCPVVVIKGTS >DRNTG_11425.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:934900:937726:-1 gene:DRNTG_11425 transcript:DRNTG_11425.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNLGLVVIAVDGSEESMNALRWALTNLRLRPQSETDGSGGSFLALHVQSPPSIATSLNPGAIPFGGPSDVEVPAFAAAIEAHQRRITDAILNRAFEICDELHVKAKTEVVVGDPKDKICEVVDKLHADLLVMGSRGFGPIKRMFLGSVSHYCINQVSCPVVVIKGTS >DRNTG_11425.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:934959:937726:-1 gene:DRNTG_11425 transcript:DRNTG_11425.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNLGLVVIAVDGSEESMNALRWALTNLRLRPQSETDGSGGSFLALHVQSPPSIATSLNPGAIPFGGPSDVEVPAFAAAIEAHQRRITDAILNRAFEICDELHVKAKTEVVVGDPKDKICEVVDKLHADLLVMGSRGFGPIKRMFLGSVSHYCINQVSCPVVVIKGTS >DRNTG_25478.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1631230:1633948:-1 gene:DRNTG_25478 transcript:DRNTG_25478.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKFSNLSIEEEEIMNKEWRLGVMEEKILAQDVDESMIWSYGVKKSSEYLCVVDEVRKFTESSGSSNEFLDRAHCLLQMAMARLEEEFIYLLVHNEQLVEPGLMSFPSSEEGSVDEYSCSSLEEEQIEGRIRSESSIHAEDFVINLVDPGAVFDLKCIAGMMLICNYDMECCRAYVNIRKQALDECLSALCMEKWSIEEILQMHWRVLNKKIKRWNQAMKVFVRVYLTSERHLCNVVLGDYSTSVRERCFVEISKVSILRLLNFAEAIAIGTPKLEKIFRILDMYECLTDLLPDVESMFPEESCSSILTECHDVVSRLGETIRVIFKEFKNAIRNNRSKNALVGGGVHPITKYVMNYIKTLGDYSSTLEPLLDNQKEQTGSFHVKDSELRTFFNDNWIKETKRKFRNYEMRYERASWPSVLSFLKDEGGSTPSNSVLKDKFKHFNLAFEEVYRNQTAWLVPAVELREDLRISVSHILLQAYRTFIGRYSSQLDGVRHRNKYIKYSADDLAAYILDLFEGSPKSLH >DRNTG_25478.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1631230:1633437:-1 gene:DRNTG_25478 transcript:DRNTG_25478.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVASVEKDADHFTDEMPTKFSNLSIEEEEIMNKEWRLGVMEEKILAQDVDESMIWSYGVKKSSEYLCVVDEVRKFTESSGSSNEFLDRAHCLLQMAMARLEEEFIYLLVHNEQLVEPGLMSFPSSEEGSVDEYSCSSLEEEQIEGRIRSESSIHAEDFVINLVDPGAVFDLKCIAGMMLICNYDMECCRAYVNIRKQALDECLSALCMEKWSIEEILQMHWRVLNKKIKRWNQAMKVFVRVYLTSERHLCNVVLGDYSTSVRERCFVEISKVSILRLLNFAEAIAIGTPKLEKIFRILDMYECLTDLLPDVESMFPEESCSSILTECHDVVSRLGETIRVIFKEFKNAIRNNRSKNALVGGGVHPITKYVMNYIKTLGDYSSTLEPLLDNQKEQTGSFHVKDSELRTFFNDNWIKETKRKFRNYEMRYERASWPSVLSFLKDEGGSTPSNSVLKDKFKHFNLAFEEVYRNQTAWLVPAVELREDLRISVSHILLQAYRTFIGRYSSQLDGVRHRNKYIKYSADDLAAYILDLFEGSPKSLH >DRNTG_18527.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:6138212:6138824:-1 gene:DRNTG_18527 transcript:DRNTG_18527.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTKRLASKRPRTTEPSSTPDEPVFKLSHHQERYDRLKTKPFGTLCYLDWELV >DRNTG_32408.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8356404:8368175:-1 gene:DRNTG_32408 transcript:DRNTG_32408.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQGSPFRDQHIGVAAWELFFYSGAFEGRHALVNGGEPFIMRISDEGVEDFDSDDGERFDESLGKGTRFSCADLDLSDLESSEDEGYLKITPHLVHKKGPEDSILFEYEREREIKVKEVVRCKLSSLEASLSSENGLSSALIRVANGVQARIEAYMKLDNQYKRKIAEMTDSHLSAIQRRHEQRCQIEERRIREDAATEEARRKEIALLVEQVQQEKAKVEREARLRAVKLAEEAQKAAREAAIKESKETAEKEAVRATEFVAEALHNHITEKIRVSPSKVSNNIVSNVDKGIKVLAADNALKVEESRIKFYDEVAQEMMLNSNEEFDRCGRQISKLLRQINRTQQKVRAVSVALFGIIDGPQCPRPISCLLVAQKVFNNLLCISFFV >DRNTG_32408.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8353906:8368175:-1 gene:DRNTG_32408 transcript:DRNTG_32408.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQGSPFRDQHIGVAAWELFFYSGAFEGRHALCWFLELCFVGIFRGLEVNGGEPFIMRISDEGVEDFDSDDGERFDESLGKGTRFSCADLDLSDLESSEDEGYLKITPHLVHKKGPEDSILFEYEREREIKVKEVVRCKLSSLEASLSSENGLSSALIRVANGVQARIEAYMKLDNQYKRKIAEMTDSHLSAIQRRHEQRCQIEERRIREDAATEEARRKEIALLVEQVQQEKAKVEREARLRAVKLAEEAQKAAREAAIKESKETAEKEAVRATEFVAEALHNHITEKIRVSPSKVSNNIVSNVDKGIKVLAADNALKVEESRIKFYDEVAQEMMLNSNEEFDRCGRQISKLLRQINRTQQKVRAVSVALFGIIDGPQCPRPISCLLVAQKVVSLCENPNASFDSTAFAWGHVILSVTSQVPAVMDLLIAALHKACIYTVPKHLQPTEVSL >DRNTG_32408.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8352766:8368175:-1 gene:DRNTG_32408 transcript:DRNTG_32408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQGSPFRDQHIGVAAWELFFYSGAFEGRHALCWFLELCFVGIFRGLEVNGGEPFIMRISDEGVEDFDSDDGERFDESLGKGTRFSCADLDLSDLESSEDEGYLKITPHLVHKKGPEDSILFEYEREREIKVKEVVRCKLSSLEASLSSENGLSSALIRVANGVQARIEAYMKLDNQYKRKIAEMTDSHLSAIQRRHEQRCQIEERRIREDAATEEARRKEIALLVEQVQQEKAKVEREARLRAVKLAEEAQKAAREAAIKESKETAEKEAVRATEFVAEALHNHITEKIRVSPSKVSNNIVSNVDKGIKVLAADNALKVEESRIKFYDEVAQEMMLNSNEEFDRCGRQISKLLRQINRTQQKVRAVSVALFGIIDGPQCPRPISCLLVAQKVVSLCENPNASFDSTAFAWGHVILSVTSQVPAVMDLLIAALHKACIYTVPKHLQPTESPSSPMTT >DRNTG_32408.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8354790:8368175:-1 gene:DRNTG_32408 transcript:DRNTG_32408.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQGSPFRDQHIGVAAWELFFYSGAFEGRHALCWFLELCFVGIFRGLEVNGGEPFIMRISDEGVEDFDSDDGERFDESLGKGTRFSCADLDLSDLESSEDEGYLKITPHLVHKKGPEDSILFEYEREREIKVKEVVRCKLSSLEASLSSENGLSSALIRVANGVQARIEAYMKLDNQYKRKIAEMTDSHLSAIQRRHEQRCQIEERRIREDAATEEARRKEIALLVEQVQQEKAKVEREARLRAVKLAEEAQKAAREAAIKESKETAEKEAVRATEFVAEALHNHITEKIRVSPSKVSNNIVSNVDKGIKVLAADNALKVEESRIKFYDEVAQEMMLNSNEEFDRCGRQISKLLRQINRTQQKVRAVSVALFGIIDGPQCPRPISCLLVAQKVVSLCENPNASFDSTAFAWGHVILSVTSQVFVSI >DRNTG_32408.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8356404:8368175:-1 gene:DRNTG_32408 transcript:DRNTG_32408.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQGSPFRDQHIGVAAWELFFYSGAFEGRHALCWFLELCFVGIFRGLEVNGGEPFIMRISDEGVEDFDSDDGERFDESLGKGTRFSCADLDLSDLESSEDEGYLKITPHLVHKKGPEDSILFEYEREREIKVKEVVRCKLSSLEASLSSENGLSSALIRVANGVQARIEAYMKLDNQYKRKIAEMTDSHLSAIQRRHEQRCQIEERRIREDAATEEARRKEIALLVEQVQQEKAKVEREARLRAVKLAEEAQKAAREAAIKESKETAEKEAVRATEFVAEALHNHITEKIRVSPSKVSNNIVSNVDKGIKVLAADNALKVEESRIKFYDEVAQEMMLNSNEEFDRCGRQISKLLRQINRTQQKVRLVYIVFSFLSFFFYFCIFLDINYLLISFGYNKN >DRNTG_04024.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22921679:22922096:-1 gene:DRNTG_04024 transcript:DRNTG_04024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVEEIASDYSYSQSQRSTLGTQQSMPSMPPEVMSKLEFLEKAYEE >DRNTG_08439.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21318966:21325737:-1 gene:DRNTG_08439 transcript:DRNTG_08439.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFRMGSFRGSMRRSMSSWRANSASEVFGRSGREEDDEEALKWAALEKLPTYDRMRRGILSGVVGGAQEIDIEGLGFQERKNLLERLVRTAEEDNERFLLKLRNRMERVGIDNPTIEVRFENLKIDAEAYVGNRGLPTFTNFFTNKIMGVLSALHIVSSGKRPISILDDVSGIIRPCRMTLLLGPPGSGKTSLLLALAGKLDSSLKVSGRVTYNGHGMDEFVPQRTSAYIGQHDLHIGEMTVRETLTFSARCQGVGTRYEMLTELSRREKEANIKPDPDIDVYMKAISVEGQETVVTDYILKILGLDICADTMVGDAMIRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVNSLRQSVHILGGTAFIALLQPAPETYDLFDDIVLLSDGQVVYQGPREHVLEFFESMGFKCPERKGVADFLQEVTSRKDQHQYWSRRDEAYTYVSVNEFSEAFQSFHVGRKLGDELATPFDRSRNHPAALTTSKYGISKKELLKTNIEREWLLMKRNSFVYIFKIVQLIILATIAMTVFLRTKMHRNEIEDGVIFLGAMFIGLVTHLFNGFAELAMTIAKLPIFYKQRDLLFYPSWAYGLPTWILKIPISFLECAVWIVLTYYIIGFDPNIERFFRHYLLLVLVSQMASGLFRLLAAVGREMVVADTFGSFAQLVLLILGGFLISREKIKKWWIWGYWASPLMYAQNAVAVNEFLGHSWNKVVNATVDNRTLGVQILEFRGIFVDKNWYWYGVLGLVGYMMVFNILFIYFLDWLDPLGKGQATVSEEELREKHANRTGESVELLPAGTDSARPTASSGVGEIRAAGSERQRGMVLPFAPLSITFDDIRYSVDMPQEMKDQGVAEDRLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGNISVSGYPKKQETFARVSGYCEQNDIHSPYVTVYESLLFSAWLRLPSEVDDKARKMFVEEVMSLVELTSLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGQNSCHLIKYFEGIQGVRKIKGGYNPATWMLEVTTLAQEEILGVNFTELYKNSDLYRRNKALISELSSPPPGSQDLYFPTQYSQSFFIQCMACLWKQHWSYWRNPSYTATRVFFTTVIAFIFGTIFWRLGRKVDNEQDLFNSLGSIYAAALFIGVQNGQTVQPIVDVERTVFYREKAAGMYSALPYAFAQVLIEIPHIFFQAAIYGVIVYALINFEWTFQKFFWYLFFMFFTFLYFTFYGMMAVAMTPNSDIAAIVSTAFYFVWNVFAGYLIPRPRVPVWWRWYVWICPVAWTLYGLVASQYGDIETRMPNSDNVRVKDFIRQFYGFRHDFLGVCAVAVVGFSVLFAFVFAFSIKAFNFQKR >DRNTG_08962.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000392.1:25460:26492:-1 gene:DRNTG_08962 transcript:DRNTG_08962.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTVYGKLLAGDGEEDCPSRWPEIERGPAVLAMEKGGDQQVKERELVGRSGREREKGGGGGWRCVTAAAGRT >DRNTG_16520.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5396308:5404637:1 gene:DRNTG_16520 transcript:DRNTG_16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 3 [Source:Projected from Arabidopsis thaliana (AT1G13180) UniProtKB/Swiss-Prot;Acc:Q9SAF1] MDAASRPAVVIDNGTGYTKMGFAGNVEPCFITPTVVAVNESFLNQSRSTSKGNWLAQHSAGVMADLDFFIGDEALSRSRSSSTYNLSYPIHHGQVENWDAMERFWQQCIFNYMRCDPEDHYFLLTESPLTAPENREYTGEIMFETFNVPGLYIAVQPVLALAAGYTTSKCEMTGVVVDVGDGGTHVVPVVDGYVIGSSIKSIPIGGKDVTHFIQQLLKERGEHIPPEDSFEVARKIKETYCYTCSDIVKEYNKHDKEPAKYIKQWTGVKPKTGAQYSCDIGYERFLGPEVFFHPEIYSSEFTTPLPVVIDKCIQSSPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDIKKIVDARILASDARLGGEAKSQPVEVNVVSHPIQRFAVWFGGSVLASTSEFYGACHTKAEYEEHGASICRTNPVFKGMY >DRNTG_22191.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1500517:1502603:1 gene:DRNTG_22191 transcript:DRNTG_22191.1 gene_biotype:protein_coding transcript_biotype:protein_coding KVGKQRTGKQSKSIASPNSSSSHEGHVLLFSSGQQRKAPLRSFLKAAALHSLNHS >DRNTG_05805.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19127465:19130693:-1 gene:DRNTG_05805 transcript:DRNTG_05805.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTLSWSWRCLSFSPPSFSLLFPPLRPNSLCFPTSSLRLIRRRKLFTVLCSLSSTPGDYGGWDDLELPEENNQLDPVRDFMVSIGIGDQKHTSIFLIGFASALLVSRLRLSLLALFPISVLVFVSGFSAGVAQGGSIRRIGRIVDAIRVPEDRVRDLGLFFSDLDGKMADLRAGVETGKMEGCLEAVEYVRSLIVNAKKDLENSLEMVDVGRKSNHKSSKKKGDFGEIGEIGSQFVQFLGGLFQESEDSVKQSMKASESAKGDVAGKMKTMVERDGIQDKSLHSVLKNNVGGSMVDESGEPLGRLKKTASDYAKNFKNSNNNDSDFRADDEMNRKGRYGYGEGDKYSSHMISYDGEVDHLNRSSKFSSKRGSYRRMYVQQRYESHTSNSSIHDFTDHALHKRKPESAYDFYGMDSEELLLSEETLAHGRSGKPYNGIRSREEAVDPRSQSIPVDRLSACETEEGTEFNLLVKEASDLIKQASQCFMGQADEERVDSILYRSASLLSTAVAIKPMSLLAVGQLGNTYLLHGELKLKYSRELRTILARSDDSEGHRWRYKKLDAPVQSRVEAASVLIDVCEECEELLIEAGRKYRSALSIDGNDVRALYNWGLALSFRAQLIADIGPEAAFDADRVYLAAIDKFDAMASRSNAYAPDALFRWGIALQHRSHLRSHNTKEKMKLLHQAKSLFEDVLSVESSNHQVREALKSCTSELNRRTRF >DRNTG_19563.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5420819:5421882:-1 gene:DRNTG_19563 transcript:DRNTG_19563.1 gene_biotype:protein_coding transcript_biotype:protein_coding PKHFFFVPSSATPPSHHLSPCSFKTAMKPRSRAKSLWRSSRA >DRNTG_16173.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20513297:20516697:-1 gene:DRNTG_16173 transcript:DRNTG_16173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRATVLMETATTTTTTAAATTTATTVVGKEDQEGELGQEDGGKKRLSLGLQNNLELRLGISMNNNNGFQEMDRSSIRRSQEGLVLQRNSGHEVGTGIGVGGGGSKRSWLSDTAAACGFVHPWSLAARQEKAALEQAHHKASIPSTSSLSRVNGHSAQAQPVVGWPPVRTFRRNLAGSQATKQEMESEKEAKKAKSLMEMEKVANNINHVQSKPTMFVKVNMEGYAVGRKIDLKAHDSYDSLSKSLQKMFQNFLSVNIQGIITKENERVDAVKNNYILLY >DRNTG_15262.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4393721:4395452:-1 gene:DRNTG_15262 transcript:DRNTG_15262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVPSTPGKFKTDKPYHQRLLLQRWIHNHRPAFWVLIALSLLAIVLLFSPRSSSPLISSRRHLSTSTLAPWGGPDWEKRVRASARVRPSSSRRSISVLVTGAAGFVGSHASLALKRRGDGVLGLDNFNDYYDTSLKRSRQTLLDRAGVFVVEGDINDPDLLRKLFDVVPFTHVLHLAAQAGVRYALRDPASYVRSNVAGLVSILEAARSASPQPAIVWASSSSVYGLNSKVPFSESDRTDRPASLYAATKKAGEEITHAYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFTKDILRGKPISVYEGPNHTNVARDFTYIDDVVNGCLAALDTAQKSTGTGGKKRGPAQLRLYNLGNTTPVSVGELVGILERLLKVKAIRKVMKMPRNGDVQFTHANISLAQRELGYRPSTDLETGLKKFVRWYLDYYYSRSSSLQKKFSSIGGRLTSS >DRNTG_27070.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2785793:2786185:1 gene:DRNTG_27070 transcript:DRNTG_27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAIKTIVENEDIFDCVDINKQPAFDHPSLKDHQIQLRPSSLPVGLFDNDMIKSSSRIKSMEVGLHESCPSGTV >DRNTG_17621.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4434816:4436370:1 gene:DRNTG_17621 transcript:DRNTG_17621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCGIFSPLNYQFGRLNPLFSTFLRYQLIRISAKGMNEGCKWMEMFEDARTVIFCVALSDYDQLAVPVHGSCKPLQNKMMQTKELFENTVKYAFSLSPTFW >DRNTG_07639.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000344.1:120995:128407:1 gene:DRNTG_07639 transcript:DRNTG_07639.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLCTTVLGALAYPEEVPLLHLMNNWLEWMQIQIFYNGLNLATKHMLDVAAGGCFCNKQLTKAYALIDGMASNGYQWSSAKTTGIYEVDVLTILATQVKAIAKSTEQVDFVGSLPSNTEFNPLRPFSNEPGYQPHRFIASNQPHRELTSTPAQVYEKAFPKPEMVILKDTSVGGNIEEKPGMAISTPINTVVLASGKENLCPKVRFQRDSLLSFWMHGLGQPSAFFKARSGVKSSVEKEDCQ >DRNTG_04269.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9363680:9364563:-1 gene:DRNTG_04269 transcript:DRNTG_04269.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA small subunit methyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G66360) UniProtKB/Swiss-Prot;Acc:Q9FK02] MTKLPPFDLCVANIPYGISSPLIAKLLFETRPPCFRTATLLLQKEFARRLLAMPGDSDYNRLAVNVGLVANVEFLMDVSKKDFVPSPKVDSTLVKIRPRDEVPVVDLDEWQAFIRTCFSKRNKTLGAIFKQKKKIAELLERLVKPNSRKLREVEEEERKRRRRRR >DRNTG_04269.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9363680:9365636:-1 gene:DRNTG_04269 transcript:DRNTG_04269.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA small subunit methyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G66360) UniProtKB/Swiss-Prot;Acc:Q9FK02] MFQFIPIMNRVFHHHILRSLVAFFPHIRFQSHGLLSKAFSRIPTRHQPHRHHNYNDNDNGNGDPKNQKPWNEAFGSRFQLHKSRGQHLLTNPRILDSIVRRANIYPDDTVLEIGPGTGNLTVRLLQYASKVIAVEIDHRMVDSLLGRVSQLGLADRLTVIKENALMTKLPPFDLCVANIPYGISSPLIAKLLFETRPPCFRTATLLLQKEFARRLLAMPGDSDYNRLAVNVGLVANVEFLMDVSKKDFVPSPKVDSTLVKIRPRDEVPVVDLDEWQAFIRTCFSKRNKTLGAIFKQKKKIAELLERLVKPNSRKLREVEEEERKRRRRRR >DRNTG_32166.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30920124:30924297:-1 gene:DRNTG_32166 transcript:DRNTG_32166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGLSTISAPSFCTFNAQTGLGAKSKPSGLSFNAPNSFGAFSGLKAVSPVQIETDTSFLGKESNASLQASFGPKRGVMQRFTNQLQPRASSFKVAVLGAAGGIGQPLALLIKMSPLVSAVHLYDIANVKGVAADLSHCNTPSQVLDFTGPSELPNCLKGVDVVVIPAGVPRKPGMTRDDLFNINANIVKTLVEAVADNCPDAFIHIISNPVNSTVPIAAEVLKQKGVYDPKKLFGVTTLDVVRANTFVAHKKNLKLIDVDVPVIGGHAGITILPLLSKTRPSTAFTDEEVEELTVRIQNGGTEVVEAKAGAGSATLSMAYAAARFVESSLRALDGDGDVYECCFIQSELTELPFFASRIKLGKNGVEAVISADLQGLTQYEEKALEALKPELKASIEKGVAFAQKQPAAASA >DRNTG_18050.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:31148:36761:-1 gene:DRNTG_18050 transcript:DRNTG_18050.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGTPLHGPRIKLIEKAQNLFAETKEHIFESKAAEEHAKLLRIQHELEVSTKQAIFIDSSISDTIRTCISTGNHRAAMKVRTEFKVPEKRWYWLKALALSTRGDWAALEKFSKEKRPPGGYKPFVEACIGADAKAEALKYIPKLTEPRERSEAYARIGMAKEAADAASQAKDSEFFGRLKLTLGQNAGATSIFDSLRDRLSFQGVY >DRNTG_18050.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:31148:37228:-1 gene:DRNTG_18050 transcript:DRNTG_18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGTPLHGPRIKLIEKAQNLFAETKEHIFESKAAEEHAKLLRIQHELEVSTKQAIFIDSSISDTIRTCISTGNHRAAMKVRTEFKVPEKRWYWLKALALSTRGDWAALEKFSKEKRPPGGYKPFVEACIGADAKAEALKYIPKLTEPRERSEAYARIGMAKEAADAASQAKDSEFFGRLKLTLGQNAGATSIFDSLRDRLSFQGVY >DRNTG_18050.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:31148:36761:-1 gene:DRNTG_18050 transcript:DRNTG_18050.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTILLILQWQRDDYVPILYHFLNCHFRRIHERPPLDFFGTINARPLARDLFIKYVRCYKHEFLRDFFLSTGQLQDVAFLLLKESWEIEKNPMASKGTPLHGPRIKLIEKAQNLFAETKEHIFESKAAEEHAKLLRIQHELEVSTKQAIFIDSSISDTIRTCISTGNHRAAMKVRTEFKVPEKRWYWLKALALSTRGDWAALEKFSKEKRPPGGYKPFVEACIGADAKAEALKYIPKLTEPRERSEAYARIGMAKEAADAASQAKDSEFFGRLKLTLGQNAGATSIFDSLRDRLSFQGVY >DRNTG_18050.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:31148:36761:-1 gene:DRNTG_18050 transcript:DRNTG_18050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQMIGWCICAYLKIIGGQSFGYAYIGFMTILLILQWQRDDYVPILYHFLNCHFRRIHERPPLDFFGTINARPLARDLFIKYVRCYKHEFLRDFFLSTGQLQDVAFLLLKESWEIEKNPMASKGTPLHGPRIKLIEKAQNLFAETKEHIFESKAAEEHAKLLRIQHELEVSTKQAIFIDSSISDTIRTCISTGNHRAAMKVRTEFKVPEKRWYWLKALALSTRGDWAALEKFSKEKRPPGGYKPFVEACIGADAKAEALKYIPKLTEPRERSEAYARIGMAKEAADAASQAKDSEFFGRLKLTLGQNAGATSIFDSLRDRLSFQGVY >DRNTG_18050.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:31148:36761:-1 gene:DRNTG_18050 transcript:DRNTG_18050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMQMIGWCICAYLKIIGGQSFGYAYIGFMTILLILQWQRDDYVPILYHFLNCHFRRIHERPPLDFFGTINARPLARDLFIKYVRCYKHEFLRDFFLSTGQLQDVAFLLLKESWEIEKNPMASKGTPLHGPRIKLIEKAQNLFAETKEHIFESKAAEEHAKLLRIQHELEVSTKQAIFIDSSISDTIRTCISTGNHRAAMKVRTEFKVPEKRWYWLKALALSTRGDWAALEKFSKEKRPPGGYKPFVEACIGADAKAEALKYIPKLTEPRERSEAYARIGMAKEAADAASQAKDSEFFGRLKLTLGQNAGATSIFDSLRDRLSFQGVY >DRNTG_18050.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:31148:36761:-1 gene:DRNTG_18050 transcript:DRNTG_18050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGTPLHGPRIKLIEKAQNLFAETKEHIFESKAAEEHAKLLRIQHELEVSTKQAIFIDSSISDTIRTCISTGNHRAAMKVRTEFKVPEKRWYWLKALALSTRGDWAALEKFSKEKRPPGGYKPFVEACIGADAKAEALKYIPKLTEPRERSEAYARIGMAKEAADAASQAKDSEFFGRLKLTLGQNAGATSIFDSLRDRLSFQGVY >DRNTG_20260.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29971825:29973842:1 gene:DRNTG_20260 transcript:DRNTG_20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESICQKVIKNDPLNWNAAAESMAGSHLDEVKRMVEEFRKPVVRLEGANLKISQVAAVAAAAATAINDDDDDEDEKVVKVELSEEARPRVKASSDWVMNSMSNGTDSYGVTTGFGATSHRRTKQGSALQTELIRFLNAGIFGNGQESGNTLPAPATRAAMLVRINTLLQGYSGIRFEILEAITSFLNSNVTPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPDGKKIDATKAFKLAGISSDFFELQPKEGLALVNGTAVGSGLASIVLFEANILAVLSEVMSAVFCEVMQGKPEYTDHLTHKLKHHPGQIEAAAIMEHILDGSSYMKMAKKIHELDPLQKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRDKALHGGNFQGTPIGVSMDNTRLALAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGLKGGEIAMASYCSELQALANPVTNHVQSAEQHNQDVNSLGLISARKTAEAIEILKLMSSTFLVGLCQAIDLRHLEENLKSTVKNTVSQVAKRVLTVGSNGEVHPARFCEKDLIKVIDREYVFSYIDDPCSYTYPLMQKLRQVLVEHALNKNAEKEKDASTSVFQKI >DRNTG_32427.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10953418:10959638:1 gene:DRNTG_32427 transcript:DRNTG_32427.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:15-cis-zeta-carotene isomerase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G10830) UniProtKB/Swiss-Prot;Acc:Q9SAC0] MASSLLLSTSPCLHRLAVLRFPSKPSRNSSPTLSESFFLLKTNPSKSLRLIGRVYARGTSFGGTGGGENTSEDAFVGEDSAAFQLEKQSLVSWVYFSAILGVVLFALNVLWIDPSTGFGKAFVDAVSGISESHEVVMLVLIFIFALVHSGLASLRDAGEKVVGERAYRVLFAGISLPLAVSTIVYFINHRYDGAQLWQVQGVSGIHELVWILNFISFFFLYPSTFNLLEVAAVDKPKMHLWETGIMRITRHPQMVGQVIWCLAHTLWIGNSVAVAASAGLIAHHLFGVWNGDRRLAIRYGEAFEALKNRTSVVPFTAVLEGRQKLPKDYHKEFIRLPYFTITVLTLGAYFAHPLMQASSFQLHW >DRNTG_24462.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4198878:4199916:1 gene:DRNTG_24462 transcript:DRNTG_24462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVRPVPSSSEKKSISRSTCGFTSYLDGKKVEAMNGREVKLAVDVLHDGNLKEEQDRNGYCPKPLGDASYNGGPEKIDGSGKPLTVDIGRDDECEDFFDPQDSMSSVSNTEFDDSNGLNHPWKPSTSQGNFFYAFEEISSEGASPTVYYFARAVAASIGKDCCRAEIEFKLKSQIELKNFEIARLLDMLQYYDAALSHLF >DRNTG_16085.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25567507:25568878:-1 gene:DRNTG_16085 transcript:DRNTG_16085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRVFVSKLVFSCCVSLFLLFTWVLAVHGCSNWSAYLDFRSWREALNAQQDGAAPRMPLMMGTRRQLGEVPGSYPPRCKSKCGNCTPCNPVHVPVPPGIPVIAEYYPEAWRCKCGNKLYMP >DRNTG_35403.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1590776:1591365:1 gene:DRNTG_35403 transcript:DRNTG_35403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSISGLLSSLLVKFPTLMELAKGAFKTYLRSIKKQKDKEVFDLFKLPIDDFAASLGLPMTPKVRFIQQKTKKNTESEETTDSENNEDGSRPASDEKQHLERFGRSRR >DRNTG_11073.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11360998:11366083:1 gene:DRNTG_11073 transcript:DRNTG_11073.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRQQNGVSGWDHCSFTVSITVAHRGLLSRKLKFPRGRHTAGILTRLPIGIWAYIRLVRASIKGLLATTLHHHPLELQGCGRRHI >DRNTG_07718.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000346.1:98152:103475:-1 gene:DRNTG_07718 transcript:DRNTG_07718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHFGRKSYHLKSVKATLAAAFEKATLEDIAKSLSERPHGSLPSNTETNPREHVKAITLRSGREVDGRLPRSTANTVAVIVHSRPKMTVSENPYGRVEITHARVQILHGRVYRTHPWSSPIPALFKVDSATILVFFSPSFPQLARGLRLGFRGVLAKVLERFYGSDIVIPLGRRLVGELRSRRILYRTKESLDDE >DRNTG_11523.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3784415:3785787:-1 gene:DRNTG_11523 transcript:DRNTG_11523.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKNHLQLTSWLPSEICSIYMKKGISSLYPWQVDCLTVDGVLERRNLVYCAST >DRNTG_31028.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30497817:30500518:1 gene:DRNTG_31028 transcript:DRNTG_31028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTMSSMGAIEASVLDDIIRRLLAGGAAGKQVQLSEAEIRQLCVESRRIFLSQPNLLRLSAPIKICGDIHGQFIDLLRLFECGGFPPSASYLFLGDYVDRGKHSLETICLLLAYKIKYPDKLFLLRGNHEDPKVNRVYGFYDECKRRFNVRLWKIFTDCFNCLPVAALIDEKILCMHGGLSPELNTLDQIKDIERPTEIPDSGLLCDLLWSDPDPDPSTEGWGESDRGVSCTFGANKLVEFLEKNELDLLVRGHQVVEDGYEFFAQRRLVTIFSAPNYCGEFDNAGALLSIDDSLLCSFEILKPLESKAKAGSSNTLKPLPKKSSKGGKV >DRNTG_05479.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23253774:23255752:1 gene:DRNTG_05479 transcript:DRNTG_05479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDIELRGKIAVGLNPNIRFYRYTVGQRFGRHIDESVNLGEGCRMQYTLPIYLSGDLGSKTRHGLDKKQDSFTHSPVGGETVFYDESRGIVAEVAPVVGMALLHIHGDRCMLHEARAVTKNVKHVLRSDVVFA >DRNTG_05479.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23253774:23255098:1 gene:DRNTG_05479 transcript:DRNTG_05479.4 gene_biotype:protein_coding transcript_biotype:protein_coding WKQQYPFVDFTVQIGEGHVILSFVSLVVSRYTVGQRFGRHIDESVNLGEGCRMQYTLPIYLSGDLGSKTRHGLDKKQDSFTHSPVGGETVFYDESRGIVAE >DRNTG_05479.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23253774:23255752:1 gene:DRNTG_05479 transcript:DRNTG_05479.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQYTLPIYLSGDLGSKTRHGLDKKQDSFTHSPVGGETVFYDESRGIVAEVAPVVGMALLHIHGDRCMLHEARAVTKNVKHVLRSDVVFA >DRNTG_05479.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23253774:23255752:1 gene:DRNTG_05479 transcript:DRNTG_05479.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYTLPIYLSGDLGSKTRHGLDKKQDSFTHSPVGGETVFYDESRGIVAEVAPVVGMALLHIHGDRCMLHEARAVTKNVKHVLRSDVVFA >DRNTG_08011.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30069577:30071546:-1 gene:DRNTG_08011 transcript:DRNTG_08011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSTGGESFSSGSTREDGVKQQGQRQPQNQSYEPSVPTEVKNSKQQASTLPVKKRRNHPGTPDPTAEVIALSPKTLMTRNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRTNIETRKRVYICPEVTCVHHDPSRALGDLTGIKKHFFRKHGEKKWECEKCSKKYAVQSDLKAHSKTCGTREYKCDCGTIFSRRDSFVSHRAFCDALEEDNIRVDEGLRDSKTRNFKGENPQLIMPVSGNNTSMIKSSFAQDFIPMPIKSMRMADVGMFSSNSGPVFGLIRSIPSSPASLQLSHDSPGGSSAHMSATVLLQKATQMGVTSSCSINSTMMQQSFIPNMRSFGQLQQTQMVGIDEGGHATLKNINGDGNTTAVDFLGIDGQRALVGLHEKEFETISQQMLQSLHAFHQPMAHGHAAIGKPMWDV >DRNTG_27817.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27289458:27294328:-1 gene:DRNTG_27817 transcript:DRNTG_27817.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 65 kDa protein [Source:Projected from Arabidopsis thaliana (AT1G09230) UniProtKB/Swiss-Prot;Acc:Q8RWV8] MSMFPPYRLPVAPPPPHPPPPAPPPQMPTSITSVEEQAAGPAEGTVATLLVRHLPEAITHEMLSRLFSHYGASSVRPCAGGRLRNCAFVDFKDEGLASQAQSQLNRLRFLGNVLTVEPAGKINLRSTLQENEVKHAKDLYGPPDSLSKDSVNNISSRLEPISARLGVDYPFPPHLEYVYPPPDGNILTNIVNALIAVPQFYTQVLHLMNKMNIPAPFRMALPTPPLPPSVPAPSPPPPPPITAKPHTADLSSDESELESSEDDADGHCRKRAKRESIVGPAVDKDVSHEAVGLKPATLVPKEVPLIKKKNPVLQIKISSKTNQKESKDIDSSAKELLEPKEENADQKPYATQQEIKSKKLPPEEILSLPMFKNYAAGNPANVLYIKNLAKDVVVDDFHFIFGSLFKSAEGARSSLSVKLMQEGRMRGQAFVTFPSVDLAHNALNLANGYVFKGKPMIIQFGRNPSSGKTG >DRNTG_22535.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3611717:3613851:1 gene:DRNTG_22535 transcript:DRNTG_22535.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGLKRCEDERERERERDRDKDREDRKRSKEGEWDFDM >DRNTG_05616.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20586365:20588561:-1 gene:DRNTG_05616 transcript:DRNTG_05616.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNFALRNNFIPGFDCNLRLNFNHLLFADDLILITKATRSVARNINLCLSIYSQLTGQYPNHTKSQIFFPSWFNQRVTNSICSILRLPQTSFPLRYLGILISPKKISVHSFKPLIDKIRCLCSRWKNFKLSAAAKSILINSSILSIPTYYLSVYPIPDSVLHEITKLVRDFFWFKGGNGKGIHAVAWCHITDFKSEGGLGFRNLALVKHSLMAKNVFTYLNADNVFWVEIARHKYGRINFWTDLIPPRCSWVFRSICKTASILKPNLWINTFNPIQTSFQFDPWLFDVPIAFKPTFLNVSADFSNPTMSELVVNGHWNFSLLESLFGENLSSIISNLSAIDCSGNNTWVWLPNPSKLKISAAVYHHLNLGINHNESWAGWTKIWRLHVAPRVKHFIWLLLHGRISTTDYLHSINMSPRTLCILCSLELESADHLFHGCYKAQQVWNLLNCILNINISFPDRISSGAWITDYNLSLHSISIIAVSIWLLWKARCAAIFSNIRPNYPLLVRKAIAHVQEFLQGNASLCGRRLLLNNFSHADGLFLFFAFSWNCANKVGHLGYFVSNSTHIINCAGHCCFTAESIFEAAIHALNTAIYNAINRQLNIRRILHCHQLISQLLYNNCEPVIWRFSHAISNINNLLRFVMNPPLVEIPRCWNSPAIALASSGANNHNLNLFLTGRDLPRWIMKTFIDAGFSF >DRNTG_05616.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20586365:20589701:-1 gene:DRNTG_05616 transcript:DRNTG_05616.1 gene_biotype:protein_coding transcript_biotype:protein_coding YTDCHSVVFEALRSPVHGSPLHAFSHLLSRTRFKLSNWKSSGLNSVEIALAQTETEINSLEQLDSSTDIQDRLSVLYSKLAAIQRQLSIKWAQRARLLWVSDGDKNTSFFHNSARIRAHHNYISQISDSFGNIYSNHSSIEQAFMTFYTNLWSCPAGTDFLNILEALPNDLPSITADVGHHLIRMVTKDEIFQALLDLPTGHCCFTAESIFEAAIHALNTAIYNAINRQLNIRRILHCHQLISQLLYNNCEPVIWRFSHAISNINNLLRFVMNPPLVEIPRCWNSPAIALASSGANNHNLNLFLTGRDLPRWIMKTFIDAGFSF >DRNTG_11197.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2170247:2171137:1 gene:DRNTG_11197 transcript:DRNTG_11197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLCTSKLNLCAKESSIRRISTKVTNVLMSRPMTKTVTVTTVATTSLRETKKPEIKPVLASVWKEIQGTDDWEDLMEPLNPLLRDEIVRYGEFVSACYKAFDLEPGSKRYLNCKYGRKNMFAEVGMEKPGYNVVKYIYATPDISIPMQSTACCGRWIGYVAVSNDEETKRLGRRDILVSFRGTVTQSEWIANFMSSLTPAMLDPTDDRLEVQVETGFFNLYSSDDSTCKFSQGSCRQQLMSEISRMINKYKGEEMSITLAGHSLGSSLATLLGYDLAELRLNRDGNNEIQITV >DRNTG_31444.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:735150:737191:1 gene:DRNTG_31444 transcript:DRNTG_31444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSNSKRRAAEQHLLSLLDTCDSIPKLHQAQAHLLKLGLHSNPLVLTKFTSTSSSLSSLSSSLSLLFSPFSSPHLYDSFLFNTLIRSLAHSSFNLLAISYFSLMLRRRLLPNKFTFPFLLKAIAAAPSPHLLGPQAHAAAIKFTFADDLFVRNTLIHMYSCCSGGFHSARKVFDGMPKTNVVSWSAMIGGYVRSGLSNEAVALFREMQVSGVRPDEVTVVAVLSACADLGALELGHWIKSFVERERIPKTLPLCNALLDALAKCGDIDGALKVFVEMPERSIVSWTSVIDGLAMHGRGREAVAVFEEMRTAGIVPDDVAFIGVLSACSHAGMVDDGRHYFASMKSEFGIEPKIQHCGCMVDLFSRAGMVEQAMEFVQSMPMRPNPVIWRTLISACRVHGKFELGESITRNLLKDEPMHGSNYVLLSNVYALSRRWEKKSEIRKVMSKQGIKKVPGCSLLEFNGEIHEFIAGDELHSKYRDVFDMVEEMGRKLKLAGYVPVLSEVLLDIDEEDKEDALHQHSEKLAIAFALLKTAPRTTIRIVKNLRVCADCHLATKFISKVYDRDIVVRDRNRFHHFKDGLCSCRDFW >DRNTG_09629.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21976113:21976427:-1 gene:DRNTG_09629 transcript:DRNTG_09629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQDRLLQFRLHIAATAAGIAVISLLIHGPTLSTVFSFFWPLLLSTACFLTLVAFLLRFSPTSNGDSSGSITGEELMDYVAGHREDHTGELANDGEESRPKSQ >DRNTG_13054.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23747302:23747853:1 gene:DRNTG_13054 transcript:DRNTG_13054.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRNRGAVGDPHFFTLTRRSPSQGDQGPPCYIEILISMTPEMKRVD >DRNTG_22616.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17822083:17824407:-1 gene:DRNTG_22616 transcript:DRNTG_22616.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLPIHQIKFHINSAAEKFIDWNFSQVAEEVGHCGPSCDSFLPKTSSHTVLLFSHLCEKLRWRSTCTSSIVASESRKSTNFVAAESPKTTSSKATTRVSSSKPTTTT >DRNTG_13488.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21785678:21787622:-1 gene:DRNTG_13488 transcript:DRNTG_13488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPHHLAFHWAWGLRAHFNSLIAIVAVFALILTLSLTAINAPKPVLSTPRNSSSIEKTISAPKPVLSTLRNSSSTEKCNLFSGRWVYDDSSTHPLYSGLLCPYIHDEIGCDKYGRNDTSYQKWKWQPNQCNLPSFNSTKLLERLRDKRMVFVGDSLNRNQWVSMVCLLESSIPVYEKSMNYNGSTLSFNAKKYNATVDFYWAPLLLESNCDDPVKHRVSNRMFRAGAVETHARHYANADILIFNSYLWWKKPRMKMKILHGSFDDKVQVYDEVEMIKGFEIALKTWSQWLQDNVRLHKKELYFMSLSPTHAWGESLGEDSFQNCYNETQPIRNVNYNGRGQDFDIMQIAGSVINQLQRKGVNIQFLNITHLSEFRKDGHPSIYRKFWDTLSEEQLANPSSYSDCTHWCLPGVPDVWNELLYAHIFSKHAEE >DRNTG_34095.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22994364:22998137:-1 gene:DRNTG_34095 transcript:DRNTG_34095.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLLLLLLQLTLYFSLADDESALLAFKSSVTSDPADVLAGWSPAGGPHCAWLGVSCDAASRVISLNLSGTIAISGEIHPAIGDLHHLKVLDLHGNNFSDEIPLSITNLSSLRILDLSLNSLSGGIPESLIGFSRLESINLSSNHLTGRITISSTNLCHSLLHLNLSNNLLQDKIPPGIGKCVKLKTLLLNGNILEGRIPPEIGWITTLKILDISRNSLTGSIPKELANCTKLSHLVLTNLDDSIFKFSNEFNAFKGGIPHELLVLKELEVLWAPRANLGGSLPELREGICKLRVINLGQNYINGFIPDWLSSCRNLSFLDLSSNVFLGLMPPQLGVHCMLYFNISHNSLTGFLKEQQSSSLQCRRNLTALVEEGDQLVNSYSVTKDGNGNYMLLHDFSWNNFTGALPSLPLKLVGDFSYSLLLNSNGFNGSFPGELLGSCVGASEFRVNLSTNHLSGEIGIGHSSCLQMRSLELADNALTGLIPPEIGDLSHLKLLDLRENYLTGSIPEQLGNIASLVAVDLSRNSLTGGIPQSFSKLTMLEKLNVSFNNLSGSIPHLPNINDCDFFIGNQLLQPCADLKNASSWPSSPSSSHSGASKWSAQSSRLKSFEVAAVASASVLLFILLALLVYLVCSKRKLAQVTSLRRKVVVTFTEAPSELNYENVVRATGNFSIQKLIGTGGFGATYKAELASGFLVAVKRLSIGRFQGLQQFGAEIRTLGRIRHENLVTLIGYYMGESDMFLIYNYLAGGNLETFIHEMSSRNVKYPVVHKIALDIAQALAYLHYSCVPRIVHRDIKPSNILLDEKLNAYLSDFGLARLLEVSETHATTDVAGTFGYVAPEYATTCRVSDKADVYSFGVVLLELMSGKRSLDPSFSKYGNGFTIVAWGRLLIQEGRSGEVFAPSLWEAGPQEHLVSMLRVALACTVESLSVRPSMNQVVETLKLLN >DRNTG_34095.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22993869:22998137:-1 gene:DRNTG_34095 transcript:DRNTG_34095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLLLLLLQLTLYFSLADDESALLAFKSSVTSDPADVLAGWSPAGGPHCAWLGVSCDAASRVISLNLSGTIAISGEIHPAIGDLHHLKVLDLHGNNFSDEIPLSITNLSSLRILDLSLNSLSGGIPESLIGFSRLESINLSSNHLTGRITISSTNLCHSLLHLNLSNNLLQDKIPPGIGKCVKLKTLLLNGNILEGRIPPEIGWITTLKILDISRNSLTGSIPKELANCTKLSHLVLTNLDDSIFKFSNEFNAFKGGIPHELLVLKELEVLWAPRANLGGSLPELREGICKLRVINLGQNYINGFIPDWLSSCRNLSFLDLSSNVFLGLMPPQLGVHCMLYFNISHNSLTGFLKEQQSSSLQCRRNLTALVEEGDQLVNSYSVTKDGNGNYMLLHDFSWNNFTGALPSLPLKLVGDFSYSLLLNSNGFNGSFPGELLGSCVGASEFRVNLSTNHLSGEIGIGHSSCLQMRSLELADNALTGLIPPEIGDLSHLKLLDLRENYLTGSIPEQLGNIASLVAVDLSRNSLTGGIPQSFSKLTMLEKLNVSFNNLSGSIPHLPNINDCDFFIGNQLLQPCADLKNASSWPSSPSSSHSGASKWSAQSSRLKSFEVAAVASASVLLFILLALLVYLVCSKRKLAQVTSLRRKVVVTFTEAPSELNYENVVRATGNFSIQKLIGTGGFGATYKAELASGFLVAVKRLSIGRFQGLQQFGAEIRTLGRIRHENLVTLIGYYMGESDMFLIYNYLAGGNLETFIHEMSSRNVKYPVVHKIALDIAQALAYLHYSCVPRIVHRDIKPSNILLDEKLNAYLSDFGLARLLEVSETHATTDVAGTFGYVAPEYATTCRVSDKADVYSFGVVLLELMSGKRSLDPSFSKYGNGFTIVAWGRLLIQEGRSGEVFAPSLWEAGPQEHLVSMLRVALACTVESLSVRPSMNQVVETLKLLN >DRNTG_00529.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30356662:30359303:-1 gene:DRNTG_00529 transcript:DRNTG_00529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKNVVVPEAVAIAVAAPHGSAPLFPYPPPRASGGGAAGGFGIGRMLLTQLELGGRINAWVESMKASSPTHAKAAATLAAFPSTESSSSWIVKHPSALEVFDEIISQSKGKQIVMFLDYDGTLSPIVDDPDRAFMSDAMRAAVRNVARYFPTAIVSGRCRDKVYDFVRLAELYYAGSHGMDIKGPVKGPRYTKAKAKAVLFQPASEFLPMIDEIYKALLEKTKSIPGAKVENNKFCVSVHYRCVEEQKWVPLAEQVKAVIKEYPKLRITQGRKVLEIRPTIKWDKGKALEFLLESLGFANCNNVLPVYIGDDRTDEDAFKVLRERGQGFGILVSKIPKETNASYSLEEPSEVMDFLHRLVDWKRASLKARSRSVGSIRTSNP >DRNTG_09181.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7431341:7434739:-1 gene:DRNTG_09181 transcript:DRNTG_09181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLVSGAENLPLVRGRSPNGLGLLGCPPHGRALPGVGSVAINGGGARSKAAVVRCSLSSARPASQPRFIQHKKEAFWFYRFLSIVYDHIINPGHWTEDMRDDALEPADLWNPHMKVVDVGGGTGFTTLGIIKHVRPENVTILDQSPHQLAKAREKEALKECTIIEGDAEDLPFPTDSVDRYISAGSIEYWPDPQRGIKEAYRVLKLGGLACVIGPVHPTFWLSRFFADMWMLFPKEEEYIDWFKKAGFKDVKLKRIGPKWYRGVRRHGLIMGCSVTGVKRASGDSPLQLGPKAEDVKKPVNPFSFILRFILGTIVAAYFVLVPIYMWIKDKIVPKGMPI >DRNTG_09860.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000417.1:110786:113788:1 gene:DRNTG_09860 transcript:DRNTG_09860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDGQEIAVKRLARTSTQGFVELKNEVLLVAKLQHKNLVRLLGCCLEEGEKLLVYEYLRNASLDKFLFDPTMRGQLDWTRRYKIIEGIGRGLLYLHEDSRLRIIHRDLKASNILLDEDITPKISDFGFAKLFGIDETQGNTSRIAGTYGYMAPEYAMHGLFSVKSDVYSYGVMVLEIVTGRKNSVFQESGNAPDLITTAWRRWNQGKGLELIDPSLGDKIRAEEVLRCIHIGLLCIQEEPTERPTMASVVLMLRSYSLSLPRPSTPAFFMQSYTTSESNKLSRETDPIFLENEHANEGRNRPRLISSNDLSISETEAR >DRNTG_09860.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000417.1:110786:113788:1 gene:DRNTG_09860 transcript:DRNTG_09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALQQVLLLLLLGLFLIVTHSQNDPTFVDCPTDSNYTTPSTFATNLALLLPNLTITASNSPTLFSTSFIGSTYGLAQCRPDASSDDCATCLNRSAISFSAKCPSRSNAAIRFDLCLLRYSSSNFFSLLDDSLVWSLVNVGNASDPTVFNRRLDNLMDEILPEASQTTSRFSVGTNLSDSEDIYAMLQCTRDLSAGSCEQCLNQVIKSMRSYCSGKIGCQILTVSCFVRYETQSFFSSSLLSPPPPPPASSPPPPPANGGTQSTESGGNGSNTTTIVLATVIPLVALILFSFALFKFLRRRKPVRKAQTGGVRGGDEQEFRSAESLLFDLSTIREATDDFSDDNKLGEGGFGPVYKGMLRDGQEIAVKRLARTSTQGFVELKNEVLLVAKLQHKNLVRLLGCCLEEGEKLLVYEYLRNASLDKFLFDPTMRGQLDWTRRYKIIEGIGRGLLYLHEDSRLRIIHRDLKASNILLDEDITPKISDFGFAKLFGIDETQGNTSRIAGTYGYMAPEYAMHGLFSVKSDVYSYGVMVLEIVTGRKNSVFQESGNAPDLITTAWRRWNQGKGLELIDPSLGDKIRAEEVLRCIHIGLLCIQEEPTERPTMASVVLMLRSYSLSLPRPSTPAFFMQSYTTSESNKLSRETDPIFLENEHANEGRNRPRLISSNDLSISETEAR >DRNTG_04549.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22529501:22532022:-1 gene:DRNTG_04549 transcript:DRNTG_04549.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPSGMHQSLDTPPPVCPAMAEDSTNQEKKKKMVKQGGLRTMPFILANDVCDRFAMIGFNANLITYLTQELHLPLVQATNTLTVFKGTSDFTPIIGGLLADSFAGRFWTITVGTIIYELGMISLTICSFLRNFRLPPCSNNQKCQRASWWQLLVIYMSLLLTSIGSGGIRPCIVPFGADQLETGDPESKRKGSFFNFYFFCMGVATVTAHTVVVYIQDNVGWGWGLGVPTLSMFASLLVFAAGYPLYVKLKPGGSPLKRLAQVVVAAIRKRNVAKPTDPSLLHTDKELDAAISTKGRLFHTNQLRFLDRAAIFTERDKLQVGESRLWRLSTVHRVEELKCIIRVLPFWAVGILVAVTGSNNYSFAIQQARTMDRHISSNFKIPPASMSVFSDIALLVTLAMYDRIFVPLARRFTNKPSGFTSLQRIGIGLVITLFCNVSAALVEVKRKAAAAKNGLIDEPQAVIPLSVFWLVPQYAIHGIGEAFMQVGQMEFLYDQAPESMRSVAAALYWLAISIGSYLATVLVSLVSRITEKSGQWLPDNINKGKLDYYYWLVVGLQVVNVLYFVVCAMLHTLKPLEVMKDDQITKVEEGQGEGGEGHERNGASFA >DRNTG_22338.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:581582:585068:-1 gene:DRNTG_22338 transcript:DRNTG_22338.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-mannose 3,5-epimerase [Source:Projected from Arabidopsis thaliana (AT5G28840) UniProtKB/Swiss-Prot;Acc:Q93VR3] MDGRETAARLYGEYTYENLERESYWPSEKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMPEDMFCHEFHLVDLRVMDNCLKVTQGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEASRISGVKRFFYASSACIYPEFKQLETNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKAITSTDKFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEMVLGFEDKKLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMRLKDGLRITYFWIKEQIEKEKSQGVDLSVYGSSKVVGTQAPVQLGSLRAADGKE >DRNTG_11083.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30786064:30790962:-1 gene:DRNTG_11083 transcript:DRNTG_11083.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:MACPF domain-containing protein CAD1 [Source:Projected from Arabidopsis thaliana (AT1G29690) UniProtKB/Swiss-Prot;Acc:Q9C7N2] MVIFRRRGGDDLVENHMEWASTVSASPDVINMTFLPIVSLLEGMPGIKHLARAVDLYLEYKPPIEELQYFLEFQIQQLWAPIHDNIAGHQRKEPVCPSLQFSLMSPKLYISSEQISVGRRPVTGLKLCLEGSKQNRLAIQLKHLGSLPKILKPHWDSHIAIGPPKWQGPEEQDSRWFEPIKWKNFAHVSTAPIEHTEVSFGDLVGVYIVTGAQLGVWDFGAKSVLHLKLLFSKIPGCTIRRSVWDHSPSCANQPLRSDEAASSSNDSSTHIGKLLKIVDSTEMSRGPEDIPGHWLVTGAKLGVEKGKIVIRAKYSILNY >DRNTG_11083.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30786064:30790962:-1 gene:DRNTG_11083 transcript:DRNTG_11083.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:MACPF domain-containing protein CAD1 [Source:Projected from Arabidopsis thaliana (AT1G29690) UniProtKB/Swiss-Prot;Acc:Q9C7N2] MDRRMGSEDALIATLSGAIQTLGRGFDVTSDARLLYCKGAHGSLVVRPDEGCARDFGLSESGPFLRAVPVDVDVSRGRGGRRETTQVCSFHEMAQYFNRKSGLSEKEPLGSFNSMFNFTGSWKTDAAMTKALAMDSYFIPLFKVELNNPNLALREEVKRAGPRSWDPQSLASFIEKFGTHIITSVTIGGRDEVYIKQHISSPLSSADIEKYVQDIGDRRFLTLKSHFTSSPIATKAKSAGASPQRDMNSQPFSVPYLSGKEASVSSILFLTHSLILVYAKDQCFEL >DRNTG_11083.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30786064:30790962:-1 gene:DRNTG_11083 transcript:DRNTG_11083.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:MACPF domain-containing protein CAD1 [Source:Projected from Arabidopsis thaliana (AT1G29690) UniProtKB/Swiss-Prot;Acc:Q9C7N2] MDRRMGSEDALIATLSGAIQTLGRGFDVTSDARLLYCKGAHGSLVVRPDEGCARDFGLSESGPFLRAVPVDVDVSRGRGGRRETTQVCSFHEMAQYFNRKSGLSEKEPLGSFNSMFNFTGSWKTDAAMTKALAMDSYFIPLFKVELNNPNLALREEVKRAGPRSWDPQSLASFIEKFGTHIITSVTIGGRDEVYIKQHISSPLSSADIEKYVQDIGDRRFLTLKSHFTSSPIATKAKVSALEVFVFFLVHCSTSGLYAINHPTEVLHLHSLRGHLRSVT >DRNTG_11083.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30786064:30790962:-1 gene:DRNTG_11083 transcript:DRNTG_11083.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:MACPF domain-containing protein CAD1 [Source:Projected from Arabidopsis thaliana (AT1G29690) UniProtKB/Swiss-Prot;Acc:Q9C7N2] MVIFRRRGGDDLVENHMEWASTVSASPDVINMTFLPIVSLLEGMPGIKHLARAVDLYLEYKPPIEELQYFLEFQIQQLWAPIHDNIAGHQRKEPVCPSLQFSLMSPKLYISSEQISVGRRPVTGLKLCLEGSKQNRLAIQLKHLGSLPKILKPHWDSHIAIGPPKWQGPEEQDSRWFEPIKWKNFAHVSTAPIEHTEVSFGDLVGVYIVTGAQLGVWDFGAKSVLHLKLLFSKIPGCTIRRSVWDHSPSCANQPLRSDEAASSSNDSSTHIGKLLKIVDSTEMSRGPEDIPGHWLVTGAKLGVEKGKIVIRAKYSILNY >DRNTG_11083.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30786064:30790962:-1 gene:DRNTG_11083 transcript:DRNTG_11083.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:MACPF domain-containing protein CAD1 [Source:Projected from Arabidopsis thaliana (AT1G29690) UniProtKB/Swiss-Prot;Acc:Q9C7N2] MDRRMGSEDALIATLSGAIQTLGRGFDVTSDARLLYCKGAHGSLVVRPDEGCARDFGLSESGPFLRAVPVDVDVSRGRGGRRETTQVCSFHEMAQYFNRKSGLSEKEPLGSFNSMFNFTGSWKTDAAMTKALAMDSYFIPLFKVELNNPNLALREEVKRAGPRSWDPQSLASFIEKFGTHIITSVTIGGRDEVYIKQHISSPLSSADIEKYVQDIGDRRFLTLKSHFTSSPIATKAKDVMVIFRRRGGDDLVENHMEWASTVSASPDVINMTFLPIVSLLEGMPGIKHLARAVDLYLEYKPPIEELQYFLEFQIQQLWAPIHDNIAGHQRKEPVCPSLQFSLMSPKLYISSEQVINIDWLNHSIF >DRNTG_11083.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30786064:30790962:-1 gene:DRNTG_11083 transcript:DRNTG_11083.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:MACPF domain-containing protein CAD1 [Source:Projected from Arabidopsis thaliana (AT1G29690) UniProtKB/Swiss-Prot;Acc:Q9C7N2] MDRRMGSEDALIATLSGAIQTLGRGFDVTSDARLLYCKGAHGSLVVRPDEGCARDFGLSESGPFLRAVPVDVDVSRGRGGRRETTQVCSFHEMAQYFNRKSGLSEKEPLGSFNSMFNFTGSWKTDAAMTKALAMDSYFIPLFKVELNNPNLALREEVKRAGPRSWDPQSLASFIEKFGTHIITSVTIGGRDEVYIKQHISSPLSSADIEKYVQDIGDRRFLTLKSHFTSSPIATKAKVSALEVFVFFLVHCSTSGLYAINHPTEVLHLHSLRGHLRSVT >DRNTG_11083.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30786064:30790962:-1 gene:DRNTG_11083 transcript:DRNTG_11083.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:MACPF domain-containing protein CAD1 [Source:Projected from Arabidopsis thaliana (AT1G29690) UniProtKB/Swiss-Prot;Acc:Q9C7N2] MDRRMGSEDALIATLSGAIQTLGRGFDVTSDARLLYCKGAHGSLVVRPDEGCARDFGLSESGPFLRAVPVDVDVSRGRGGRRETTQVCSFHEMAQYFNRKSGLSEKEPLGSFNSMFNFTGSWKTDAAMTKALAMDSYFIPLFKVELNNPNLALREEVKRAGPRSWDPQSLASFIEKFGTHIITSVTIGGRDEVYIKQHISSPLSSADIEKYVQDIGDRRFLTLKSHFTSSPIATKAKVSALEVFVFFLVHCSTSGLYAINHPTEVLHLHSLRGHLRSVT >DRNTG_11083.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30786064:30790962:-1 gene:DRNTG_11083 transcript:DRNTG_11083.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MACPF domain-containing protein CAD1 [Source:Projected from Arabidopsis thaliana (AT1G29690) UniProtKB/Swiss-Prot;Acc:Q9C7N2] MDRRMGSEDALIATLSGAIQTLGRGFDVTSDARLLYCKGAHGSLVVRPDEGCARDFGLSESGPFLRAVPVDVDVSRGRGGRRETTQVCSFHEMAQYFNRKSGLSEKEPLGSFNSMFNFTGSWKTDAAMTKALAMDSYFIPLFKVELNNPNLALREEVKRAGPRSWDPQSLASFIEKFGTHIITSVTIGGRDEVYIKQHISSPLSSADIEKYVQDIGDRRFLTLKSHFTSSPIATKAKSAGASPQRDMNSQPFSVPYLSGKEDVMVIFRRRGGDDLVENHMEWASTVSASPDVINMTFLPIVSLLEGMPGIKHLARAVDLYLEYKPPIEELQYFLEFQIQQLWAPIHDNIAGHQRKEPVCPSLQFSLMSPKLYISSEQISVGRRPVTGLKLCLEGSKQNRLAIQLKHLGSLPKILKPHWDSHIAIGPPKWQGPEEQDSRWFEPIKWKNFAHVSTAPIEHTEVSFGDLVGVYIVTGAQLGVWDFGAKSVLHLKLLFSKIPGCTIRRSVWDHSPSCANQPLRSDEAASSSNDSSTHIGKLLKIVDSTEMSRGPEDIPGHWLVTGAKLGVEKGKIVIRAKYSILNY >DRNTG_11083.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30786064:30790962:-1 gene:DRNTG_11083 transcript:DRNTG_11083.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MACPF domain-containing protein CAD1 [Source:Projected from Arabidopsis thaliana (AT1G29690) UniProtKB/Swiss-Prot;Acc:Q9C7N2] MDRRMGSEDALIATLSGAIQTLGRGFDVTSDARLLYCKGAHGSLVVRPDEGCARDFGLSESGPFLRAVPVDVDVSRGRGGRRETTQVCSFHEMAQYFNRKSGLSEKEPLGSFNSMFNFTGSWKTDAAMTKALAMDSYFIPLFKVELNNPNLALREEVKRAGPRSWDPQSLASFIEKFGTHIITSVTIGGRDEVYIKQHISSPLSSADIEKYVQDIGDRRFLTLKSHFTSSPIATKAKVSALEVFVFFLVHCSTSGLYAINHPTEVLHLHSLRGHLRSVT >DRNTG_11083.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30786064:30790962:-1 gene:DRNTG_11083 transcript:DRNTG_11083.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:MACPF domain-containing protein CAD1 [Source:Projected from Arabidopsis thaliana (AT1G29690) UniProtKB/Swiss-Prot;Acc:Q9C7N2] MDRRMGSEDALIATLSGAIQTLGRGFDVTSDARLLYCKGAHGSLVVRPDEGCARDFGLSESGPFLRAVPVDVDVSRGRGGRRETTQVCSFHEMAQYFNRKSGLSEKEPLGSFNSMFNFTGSWKTDAAMTKALAMDSYFIPLFKVELNNPNLALREEVKRAGPRSWDPQSLASFIEKFGTHIITSVTIGGRDEVYIKQHISSPLSSADIEKYVQDIGDRRFLTLKSHFTSSPIATKAKSAGASPQRDMNSQPFSVPYLSGKEASVSSILFLTHSLILVYAKDQCFEL >DRNTG_11083.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30786064:30790962:-1 gene:DRNTG_11083 transcript:DRNTG_11083.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:MACPF domain-containing protein CAD1 [Source:Projected from Arabidopsis thaliana (AT1G29690) UniProtKB/Swiss-Prot;Acc:Q9C7N2] MNSQPFSVPYLSGKEDVMVIFRRRGGDDLVENHMEWASTVSASPDVINMTFLPIVSLLEGMPGIKHLARAVDLYLEYKPPIEELQYFLEFQIQQLWAPIHDNIAGHQRKEPVCPSLQFSLMSPKLYISSEQISVGRRPVTGLKLCLEGSKQNRLAIQLKHLGSLPKILKPHWDSHIAIGPPKWQGPEEQDSRWFEPIKWKNFAHVSTAPIEHTEVSFGDLVGVYIVTGAQLGVWDFGAKSVLHLKLLFSKIPGCTIRRSVWDHSPSCANQPLRSDEAASSSNDSSTHIGKLLKIVDSTEMSRGPEDIPGHWLVTGAKLGVEKGKIVIRAKYSILNY >DRNTG_11083.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30786064:30790962:-1 gene:DRNTG_11083 transcript:DRNTG_11083.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:MACPF domain-containing protein CAD1 [Source:Projected from Arabidopsis thaliana (AT1G29690) UniProtKB/Swiss-Prot;Acc:Q9C7N2] MDRRMGSEDALIATLSGAIQTLGRGFDVTSDARLLYCKGAHGSLVVRPDEGCARDFGLSESGPFLRAVPVDVDVSRGRGGRRETTQVCSFHEMAQYFNRKSGLSEKEPLGSFNSMFNFTGSWKTDAAMTKALAMDSYFIPLFKVELNNPNLALREEVKRAGPRSWDPQSLASFIEKFGTHIITSVTIGGRDEVYIKQHISSPLSSADIEKYVQDIGDRRFLTLKSHFTSSPIATKAKSAGASPQRDMNSQPFSVPYLSGKEDVMVIFRRRGGDDLVENHMEWASTVSASPDVINMTFLPIVSLLEGMPGIKHLARAVDLYLEYKPPIEELQYFLEFQIQQLWAPIHDNIAGHQRKEPVCPSLQFSLMSPKLYISSEQSRV >DRNTG_11083.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30786064:30790962:-1 gene:DRNTG_11083 transcript:DRNTG_11083.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:MACPF domain-containing protein CAD1 [Source:Projected from Arabidopsis thaliana (AT1G29690) UniProtKB/Swiss-Prot;Acc:Q9C7N2] MDRRMGSEDALIATLSGAIQTLGRGFDVTSDARLLYCKGAHGSLVVRPDEGCARDFGLSESGPFLRAVPVDVDVSRGRGGRRETTQVCSFHEMAQYFNRKSGLSEKEPLGSFNSMFNFTGSWKTDAAMTKALAMDSYFIPLFKVELNNPNLALREEVKRAGPRSWDPQSLASFIEKFGTHIITSVTIGGRDEVYIKQHISSPLSSADIEKYVQDIGDRRFLTLKSHFTSSPIATKAKSAGASPQRDMNSQPFSVPYLSGKEDVMVIFRRRGGDDLVENHMEWASTVSASPDVINMTFLPIVSLLEGMPGIKHLARAVDLYLEYKPPIEELQYFLEFQIQQLWAPIHDNIAGHQRKEPVCPSLQFSLMSPKLYISSEQVINIDWLNHSIF >DRNTG_10816.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5242612:5244577:-1 gene:DRNTG_10816 transcript:DRNTG_10816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAKIDHVSPCALLKDFEKILSTKPEVDGFVKIFPGVEYGWTVRYDVNVEAAVKNAEEAHQDMLEWFSKYLK >DRNTG_30574.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19204892:19205347:-1 gene:DRNTG_30574 transcript:DRNTG_30574.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVMHVVHSSSTPKIEHSWSYLLVHIDYAPRGVKPPHTHPHATEVIVVLKGTIYAGFVSSSPNDTLNSKVLSTGDVFVFLKGLTHFNMNYGKSNAAALVAFNSQKPSTIIDANNLFGARPPINDELLAKAFQLNKETIEELQANTWPNPAN >DRNTG_15236.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23406703:23411764:1 gene:DRNTG_15236 transcript:DRNTG_15236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSNSGALRGTRSARYASDPPEGKPPGMPPPPTVTFGRRTNSGRYISYSRDDLDSELGSVDYTNYHVHIPATPDNQPSTLDPSISARVEEQYVSSSLFTGGFNSVTRAHLMNRVIDNEPGRQHPQMAGAKGSSCAVPGCDSNVMSDGRGQDILPCECDFKICVECFNDAVKTGGGICPGCKEPYKATELEEVMPLSLPAPPAQGQSKMERRLSLMRSSKQLTRSMTQTGDFDHNRWLFETKGTYGYGNAIWPKENVGDGSTGGGSDGQPAELTNKPWRPLTRKLKIPAAVLSPYRLLIFVRLAVLCLFLTWRVKHKNEDAIWLWGMSVVCELWFAFSWLLDQLPKLCPVNRSTDLAVLKEKFETPSPSNPTGKSDLPGVDVFVSTADPEKEPPLVTANTILSILAANYPVEKLSCYVSDDGGALLTFEAMAEAASFADIWVPFCRKHDIEPRNPESYFNLKRDPYKNKVRSDFVKDRRRVKREYDEFKVRINGLPDSIRRRSDAYHAREEIKAMRLQRENTGEDSLEPVKIRKATWMADGTHWPGTWMDSSTEHSRGDHAGIIQVMLKPPSDEPLLGKNDDKSPLDFTDVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQALREGMCFMMDRGGDRICYVQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRSKERHPGFCSCCFPRKHKSKSGASVASEETRALRMGDSDEEEMDLSTFPKKFGNSSFLIDSIPVAEFQGRPLADHPAVKNGRPPGALTIPRDLLDASTVAEAISVISCWYEDKTEWGERVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASTKMKILQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLTYLLVITITLCMLAVLEVKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSAGDDADDEFADLYLVKWSSLMIPPITIMMVNLIGIAVGFSRTIYSVVPQWSKLIGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPSSNSQIGGSFTFP >DRNTG_16131.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14564825:14567430:1 gene:DRNTG_16131 transcript:DRNTG_16131.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVCSTDEVPSAMGILKKVLRRMKRARRCHRKCSKAVRDVHEPKKLDEPLLEKPQGHAAAPVGQAHGRGYFSHARARAELHALQIHLVLIWHLA >DRNTG_00416.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16672078:16681581:1 gene:DRNTG_00416 transcript:DRNTG_00416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEILGEDAFQLQRVLANFIEAFDVCVQNMETTRRCHEASYKNLEHQLGGYLTHSPANNKYLSKQAKFLIQKMCMFVSKKWCKESWLRHIAFKLKLKKTQILRSKTVAEHCNNNVARTIAALFTAGRETRETENPHVRVEIIHARVEIPHGHVVLRGIGQGFGEVLWVRHRDSIRKKIGRGASIEAYPIPDEGILGLRVEDFPQDHQHDHRWGFFMDSLLLQSISLISLQNFLRHLEKTHWRVEFPHARGFALRAHPEKAQGRAAAPVNDHHQRHLHQQRKQMLKTVAEQCNNNVAKSCSDHLFTVARNRRSGESTGRVEIIHARVEIPHGRVYRPRPVELPDSTLFKARFSPDFWYSFSPSFSPTCERDSARVSRGIGQGFGEVLLLQHRDSIRKKVGRGASIEAYPIPDEGILGTDE >DRNTG_08183.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000369.1:56089:56915:-1 gene:DRNTG_08183 transcript:DRNTG_08183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPATVAPLVVVVVDCVDFDGSFPKRAVKSLFRALEGGKKNPRLSKLPKLVLVATKVDLLPSQISPARLDRWVRNRAKAAGAPKLNGVYLVSA >DRNTG_05451.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:12336986:12338880:-1 gene:DRNTG_05451 transcript:DRNTG_05451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHSSSSSSSSSSFSSSSSSSHGQPLYLKGCFVNLLPYLKNQSARILQRWMTGSNYASFSTWVRKESFHVLRDCGGFSRGGRFKLMRMLCNRCEHSNVQTLVSLYEGRVTDANWTWFISKLGDALYEDGDYHEIITFVSDRSKGLVSAIVRVFPSSPHAYCLRHLDANFMKANVRLGKALREECWSICFRIAWASTAKDFDDTANELQATSPEAHHWLINKSDMAHWSNYLFGGDCWGEMYSNVAESFNAWIKEARHLPVTKMVYSIRSANVGLHLTFSVTL >DRNTG_27571.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6595114:6595949:-1 gene:DRNTG_27571 transcript:DRNTG_27571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGASSLLNGLRSPLPSAHNLRSFGRGTKLMLLSPPLSASASSSTSDQSKSKPWLLVGLGNPGKMYTGTRYNVGFEMIDAIAEAEGISVSTIRFKALF >DRNTG_10912.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17551457:17557187:-1 gene:DRNTG_10912 transcript:DRNTG_10912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESGVPLCSTCGEPVGFSSNGEVFVACHECNYPLCKPCFEDELKEGRGSCLRCGASYLVHDTTEDADHQELRKRVTMASHLQDSKDNGVHARNESSLSVAESEANSESGNPIWKNRVESWKEKKNKKSAAKKAKKEEQIPVEQQMEGKESLDDGQPLSIIVPLSPNKLTPYRAVIIMRLIILGLFFHYRITNPVDSAYALWLTSVICEIWFAVSWVLDQFPKWSPIMRETFIDRLSARYEKGEFCGLAPVDFFVSTVDPLKEPPLITANTVLSILSADYPVEKISCYVSDDGSAMLTFETLAETAEFARKWVPFCKKYAIEPRAPEFYFSQKIDYLKDKIQPSFVKERRAMKRDYEEYKVRINALVAKAQKTPDEGWTMQDGTSWPGNNPRDHPGMIQVFLGNSGAHDIEGNELPRLVYVSREKRPGYQHHKKAGAMNALVRVSAVLTNAPYILNLDCDHYVNNSKAVREAMCFMMDPQVGREVCYIQFPQRFDGIDRSDRYANRNTVFFDVNMKGLDGIQGPVYVGTGCVFNRQALYGYGPPSLPALPKASFCSWCCSCCCCCRSKKAPKDEKEVYRDSKRDDLNAAIFNLREIDNYDEYERSMLISQLSFEKTFGLSSVFIESTLMEYGGVAESANPSTLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWRSIYCMPLRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGGRLKWLQRLSYINTIVYPFTSLPLIAYCSLPAICLLTGKFIIPTLSNIASVWFLGLFISIILTSVLELRWSGVGIEEWWRNEQFWVIGGVSAHLFAVFQGFLKMLAGIDTNFTVTSKAADDGEFADLYIVKWTTLLIPPTTLIVVNLVGVVAGFSDALNSGYEAWGPLFGKVFFALWVILHLYPFLKGLMGRQNRTPTIVVLWSVLLASVFSLLWVKIDPFLSKTDSAVAQNCNSIDC >DRNTG_19268.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:418909:424402:1 gene:DRNTG_19268 transcript:DRNTG_19268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKMEEEKEVGVVHKVMEEKRSRFRRICVFCGSSPGRKPSYQEAAVELGKELVERNIDLVYGGGSIGLMGLVSQAVHDGGRHVLGVIPKSLMPRELTGETIGEVRTVSDMHERKAMMARLADAFIALPGGYGTLEELLEVITWAQLGIHNKPVGLLNVNGYYNSLLSFIDVAVGEGFISQTARRIIVSSPSAKELVRKLEEYTPQHDEFVSKLSWEVEPPLSVVPEPEPGMAS >DRNTG_19268.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:418909:424402:1 gene:DRNTG_19268 transcript:DRNTG_19268.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHERKAMMARLADAFIALPGGYGTLEELLEVITWAQLGIHNKPVGLLNVNGYYNSLLSFIDVAVGEGFISQTARRIIVSSPSAKELVRKLEEYTPQHDEFVSKLSWEVEPPLSVVPEPEPGMAS >DRNTG_21493.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9548855:9551486:1 gene:DRNTG_21493 transcript:DRNTG_21493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEVETSQVLEDVKATPVDEETPVAPTNVETSSELTETPVPVVEEPPKTEDEGEELKPEEETKVDTEEKTEEKVEEEQDPKIDTPAEEADVEVLGEEKTEAVEAPAEPEENAPAPEPEPEPETAPETAPADADGDAAEEAPKDGEEQEE >DRNTG_16311.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000809.1:21272:23565:1 gene:DRNTG_16311 transcript:DRNTG_16311.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSTLQLIAVVTGANKGIGLETVRQLATQGVTVILTARDPKLGNDAVSSLQLSNVLFHPLDVRDDHSVAGLADFIGAEFGKLDILVNNAGVSGLIVDVEGLKALNIDPESWLSGKATNAVMDTVIKQNHENAVACLDTNYYGCKRVTQALLPFLKLSSSASIVNVSSLRSELKRLPNEKTRGELADIDNLNEEVIENVLDRFLEDLEKGNLESGGWPLMLPSYSMSKVALNAYTRVLAKKHPGMRINCVHPGYVNTDINWHTGVLKVEDGAKGPVMLALLPLDGPSGCYFDQITMAEF >DRNTG_16311.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000809.1:22296:23565:1 gene:DRNTG_16311 transcript:DRNTG_16311.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVIKQNHENAVACLDTNYYGCKRVTQALLPFLKLSSSASIVNVSSLRSELKRLPNEKTRGELADIDNLNEEVIENVLDRFLEDLEKGNLESGGWPLMLPSYSMSKVALNAYTRVLAKKHPGMRINCVHPGYVNTDINWHTGVLKVEDGAKGPVMLALLPLDGPSGCYFDQITMAEF >DRNTG_28216.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11805647:11807714:1 gene:DRNTG_28216 transcript:DRNTG_28216.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVKRYLAQGLPQPHVKSLTNRSVFRHDIALGQQPPVRSNTLQPKMMSVSMMWPSASTATSLPVKAIPGSYGPPVVGPLKDRLDYFWFQGTETFFRSRMATYKSSVFRTNMPPTFPFFTQVDPRVIAVLDCSSFSALFDISLVDKRNVLIGDFMPSLKFTGGTRVGVYLDPTEPKHKLVKNFCFDILKRSSRVWISEFLRHSDLMLNNFEKEIDEKGSTSFFIPMQKCIFAFLCKGIAGADPSKSKEIEDTGFALLDSWLALQLLPTVKTGAIPQPLEELLLHSFPYPFFFVSGAYRKLYEFIEKEGQETIELAESEYNLGKEEAIHNILFVLGFNAYGGFSIFFNSLISTIGKDKNGLRPRLKSEVRRVLLNGKRSINFETVKEMELVRSTVYEVLRLNPPVPLQYGRARVDFELPSHDSLFQVSKGELLCGYQPLAMRDPMVFKNPEEFVPDRFAKDKELLKYLFWSNGPETSEPTTGNKQCAAKDHVVWTSCMLVAQIFNRYDSFECDEAGSSIVKLEKAT >DRNTG_28216.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11805876:11807714:1 gene:DRNTG_28216 transcript:DRNTG_28216.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVSMMWPSASTATSLPVKAIPGSYGPPVVGPLKDRLDYFWFQGTETFFRSRMATYKSSVFRTNMPPTFPFFTQVDPRVIAVLDCSSFSALFDISLVDKRNVLIGDFMPSLKFTGGTRVGVYLDPTEPKHKLVKNFCFDILKRSSRVWISEFLRHSDLMLNNFEKEIDEKGSTSFFIPMQKCIFAFLCKGIAGADPSKSKEIEDTGFALLDSWLALQLLPTVKTGAIPQPLEELLLHSFPYPFFFVSGAYRKLYEFIEKEGQETIELAESEYNLGKEEAIHNILFVLGFNAYGGFSIFFNSLISTIGKDKNGLRPRLKSEVRRVLLNGKRSINFETVKEMELVRSTVYEVLRLNPPVPLQYGRARVDFELPSHDSLFQVSKGELLCGYQPLAMRDPMVFKNPEEFVPDRFAKDKELLKYLFWSNGPETSEPTTGNKQCAAKDHVVWTSCMLVAQIFNRYDSFECDEAGSSIVKLEKAT >DRNTG_28216.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11805876:11807631:1 gene:DRNTG_28216 transcript:DRNTG_28216.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVSMMWPSASTATSLPVKAIPGSYGPPVVGPLKDRLDYFWFQGTETFFRSRMATYKSSVFRTNMPPTFPFFTQVDPRVIAVLDCSSFSALFDISLVDKRNVLIGDFMPSLKFTGGTRVGVYLDPTEPKHKLVKNFCFDILKRSSRVWISEFLRHSDLMLNNFEKEIDEKGSTSFFIPMQKCIFAFLCKGIAGADPSKSKEIEDTGFALLDSWLALQLLPTVKTGAIPQPLEELLLHSFPYPFFFVSGAYRKLYEFIEKEGQETIELAESEYNLGKEEAIHNILFVLGFNAYGGFSIFFNSLISTIGKDKNGLRPRLKSEVRRVLLNGKRSINFETVKEMELVRSTVYEVLRLNPPVPLQYGRARVDFELPSHDSLFQVSKGELLCGYQPLAMRDPMVFKNPEEFVPDRFAKDKELLKYLFWSNGPETSEPTTGNKQCAAKDHVVWTSCMLVAQIFNRYDSFECDEAGSSIVKLEKAT >DRNTG_28216.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11805647:11807631:1 gene:DRNTG_28216 transcript:DRNTG_28216.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVKRYLAQGLPQPHVKSLTNRSVFRHDIALGQQPPVRSNTLQPKMMSVSMMWPSASTATSLPVKAIPGSYGPPVVGPLKDRLDYFWFQGTETFFRSRMATYKSSVFRTNMPPTFPFFTQVDPRVIAVLDCSSFSALFDISLVDKRNVLIGDFMPSLKFTGGTRVGVYLDPTEPKHKLVKNFCFDILKRSSRVWISEFLRHSDLMLNNFEKEIDEKGSTSFFIPMQKCIFAFLCKGIAGADPSKSKEIEDTGFALLDSWLALQLLPTVKTGAIPQPLEELLLHSFPYPFFFVSGAYRKLYEFIEKEGQETIELAESEYNLGKEEAIHNILFVLGFNAYGGFSIFFNSLISTIGKDKNGLRPRLKSEVRRVLLNGKRSINFETVKEMELVRSTVYEVLRLNPPVPLQYGRARVDFELPSHDSLFQVSKGELLCGYQPLAMRDPMVFKNPEEFVPDRFAKDKELLKYLFWSNGPETSEPTTGNKQCAAKDHVVWTSCMLVAQIFNRYDSFECDEAGSSIVKLEKAT >DRNTG_00405.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2803831:2805684:1 gene:DRNTG_00405 transcript:DRNTG_00405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTVEDIVDDVAVAEVQKIVNSLVNEIHDPVEPAPEITASKTDTIPEEQEQAKDLSPDDAVAVATAEKIVDSVAVAVADCTASKQDTIPQQQKPCKDVSAVDAVAVVPASKPDTIPQQEQPRKDVSAVDVVAVVPASKEDAAGAEHLQGTSTVLHEDPDRSTREMIKANQQLDKTARQVFVPKKKKWVGQSRLNKYEQELMRIFLNCRMDSTVVWKNDAVSTTRANLYTLLEGKEMITDDVMDAFVCIIQKSLSKVSYPYKKRAPIIKPLALFMSKHDDAHETTMAMIGDAVRNLHEVQIIILPIIMNGHFHVVVLDNDKQEYRHYSSCAGNEKDASDMRNLLDTAVDMEFGESATAKYPLLYDTETPRQKQGSVDCAVYVMRFIEQLLWGEKLRLPQTDIPYLRLKYVTRILKEGRAAGVHEKGGSSEAG >DRNTG_08411.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4889978:4897854:1 gene:DRNTG_08411 transcript:DRNTG_08411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLKRWGFVVFCLIFVSVLMPRGFRGRQRTGELLLGLGVSSQEVDEDTVGRMWRNCGLDPLNINNVMKGQYLSILRDINSDSAETNLKLRSLMKVGMQEADTFIECMRKQDLQFHASEQDDNSRNMFVDYQESRHGWDPAARRHLASQPFQVMAPHPALSPSPSPSSLAPGPSPDLASVSSPSPAVYSPTPAPAFDMPSERPPTHDDRSPAPTPLGKSNSHSENLNSAPVAPPNSQSNSNKTIIIAVTVTAVGTSIFTALLFCCYIRCCRKDSSSGASHRDDRPLLALSLSDFSGSSQKSYGFGGSINGNKLGPLSFKTSSNQSGRILSFNGSLGENPAPGVVLGISESEPGPPNALIDSAAPKPPLPPPAPPVQNATPPPVPAPPVPPPKPGPRPPPPPKNAIPRPPPKSSIPSRAKRPAPPGPNHSGDATSSQQSYDDDASGSKTKLKPFFWEKVLANPDDSMVWHQIKAGSFQFNEEMIESLFGYNSADKSKNGGNQVLKKINPAHQYIQLLEPKKSQNLAISLKAMGVKVEEVSEALMEGNELPSELLQILLRMAPTDDEEFKLRVYTGDVTLLGPAEQFLKVLVDIPFAFKRMDALSFMASFSEDTSTLKDAFESLEATCKELRSSRLFRKLLEAVLKTGNRMNVGTFRGGADAFKLDTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGVRAARMASEQGSISSLTTSSLGSFDLTEDPPQENGDYYQTLGLKVVSVLSTELENVKKAATLDSDVLTSTAANLGHRLVKAKEFLNTDMKSLEEQSGFHRSLKSFVEHAETDIVFLLEEEKRIRSLVKSTTDYFHGNAGKDEGLRLFVIVRDFLGMVDKACKEVKESSNKIQKQPKTKGDPAITSLKDHRQRLFPAIRDRRVDSSSSSDEDS >DRNTG_19634.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27902601:27910154:-1 gene:DRNTG_19634 transcript:DRNTG_19634.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMKSPLSSPPTSRLTRHSFFPSRSLPYRVCLKPSSNPGRAGSVRACEANVRSDGAPVSMGVGSPASSAIDFLTLCHRLKATKRKGWVNHEIKGPESIADHMYRMALMALIIDDIPGVNRERCIKMAIVHDIAEAIVGDITPSDGVPKEEKSRLEQAALNEMCEVLGGGLRAEEIKELWTEYENNSSPEANLVKDFDKVEMILQALEYEMAHGKILDEFFLSTAGKFQTDVGKRWAAEVTLRRNKRLGRQA >DRNTG_16476.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1986586:1987227:1 gene:DRNTG_16476 transcript:DRNTG_16476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNWIAAREVVQRPSLAVKELVENSLCAGATSISIVV >DRNTG_06542.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10472135:10473048:-1 gene:DRNTG_06542 transcript:DRNTG_06542.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKRSTPPLLSLLLLLLLLLLLFLGARESKQMVAEKKAQERERERMERKWRKGKTRSGAEQI >DRNTG_06542.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10472135:10473048:-1 gene:DRNTG_06542 transcript:DRNTG_06542.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKRSTPPLLSLLLLLLLLLLLFLLGARESKQMVAEKKAQERERERMERKWRKGKTRSGAEQI >DRNTG_33074.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:324613:328902:1 gene:DRNTG_33074 transcript:DRNTG_33074.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSLILLLLAASAAMAAPAPPPSGDVEATALLAIKAAFTDHDGALASWSSTSHHCSWVGVGCDLTHRVVSLDISSMNLSGVLSGEIAGLRSLLNLSVAENSVSGPIPPLLSRISNLRFLNLSNNVFNGSFPSSLSLLKNLRVLDLYNNNITGELPVEVTELRNLRHLHLGGNFFSGEIPPEYGRWELLEYLAVSGNSLIGSIPRQLGDLAKLQYLYLGYFNSYSGGIPPEIGNLSSLVRLDMANCGLSGEIPPEIGNLENLDTLFLQVNGLSGEIPPEMGKLKSLRSLDISNNALTGEMPPSFAELRNLTLLNLFRNKLYGAIPEFVGDLPVLEVLQLWENNFTESIPRSLGTSGRLQVLDVSSNKLTGSLPPELCSGAKLHTLIALGNFLFGPIPETLGVCQSLVKIRLGDNYLNGTIPADLFSLPNLQQVELQNNLLAGGFPDTGMSEISVNLDQISLSANHLSGPIPSSIGNYSGIQKLLLNQNLFSGEIPPEIGRLQQLSKLDLSGNRFSGAITPEISECKSLALLDLSRNNLSGEIPAEITEMRILNYLNLSRNQLQGSIPASIATMQSLTAIDLSFNNLSGVVPSTGQFGYFNSSSFVGNPSLCGPFLGACISANTSGGGHSRGPLSAPFKLLLVFGLLTFSILFAIAAILKARSLKKASAARAWKLNAFQRLDFSCNDVLDCLKEENIIGKGGAGVVYKGIMPNGEQVAVKRLSAMTRAGSLHDHGFSAEIQTLGRIRHRHIVRLLGFCSNRNTNLLVFEYMPNGSLGEVLHGKKGEHLLWDTRYRIAIDAAKGLCYLHHDCSPAILHRDVKSNNILLDSDFEAHVADFGLAKFLQASGNSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGKKPVGEFGDGVDIVQWVHKMTGSNKEDVQKILDARLLTVPLHEAMHLFHVAMLCVSEQSIERPTMREVVQILTELPRSSSTEQEEDESSIKATTIAPAESKETPPPDLLSI >DRNTG_12958.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3025851:3029509:1 gene:DRNTG_12958 transcript:DRNTG_12958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNSSKLDALPAVALCRHRLRLISDALRHRHALARAHSSYSLSLLSVSSALHRFLHSHLPLLQSQSQSQSECISPFHSSTASPTLAVHHARHQPPPPNSFYFQPSEPVYSYPPAPAPVPVPVPQMYTPSPPTTPPPPPPAAAYGWDFLDPFRTYDGFYPVYRPVYTPSRSSREVREEEGIPDLEEDDDDLEEHCCEPPSDHRHRGFYQQPEIGVDEGGEDEGSSVEDNAGEQTLDLKFDRGVSEAVEEIMVQFDRASKSVDELSSILQLGEIPQQQKNVGFAGDFFQVREMETGSLSSTLQKLYIWEKKLYEEVRAEEKMRVLLDRYCKRLKRLDKTGAEAQKVDSTQKTIRKLSARIRVAIQVVQSISNKINKVRNEELWPQICEVIQGVMRMWAVMLECHQTQSQAVFAAKNLDSISFGCDGQHNYDHIEATKELELEMLKWVSSFSAWINAQKNYVKALNGWLLKCIQNEPRITSDGIATFSPGRLGAPPVFIICNDWSQAMDRISEKEVIDAIKVFTANVQHLREQQTFEQHHITMANKEMNGELKITERDEPEFHKAIQMRGKKSSTHPWHIAHHKNTVQESNLQLTLRNIFEAMENFAATSMKAYEQLYIRSEELRLARGNAKVP >DRNTG_18594.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000966.1:9441:11891:-1 gene:DRNTG_18594 transcript:DRNTG_18594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFSPSQPRDPFERIERIVGGLHIDMAEVHKIKAANGAKMVLRTIGRDFVGIDFVRCALELTREPLEKSRRLLKTIDTDDNGFSLRIACTYFRFHY >DRNTG_33947.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:944938:947896:1 gene:DRNTG_33947 transcript:DRNTG_33947.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRSGNNGCLTHKWRCPCGDDQCYIRVESDEEDAPMEGELNLPPGTSSSSFIQQGTPQAECTVSDSLPYVGQTFRTDDEAQEYFTNFARKNGFAIRRERSKGNPSHPLGVYKRELVCHRAGVSLPRKTPEVKRQRNKKSTRCKCEAQMIIKKNISKGVARWVVSHFSNEHNHPLLDSEEVRHLPAYRNISSTDRERILDLSKAGCTVNLIMRALEMQKGVRQGELSFTERDLRNFLQTSKNINRENEGSELLKACKAMKEKTPDFHYEFSVDENDKLEHIAWSYPDSIRAYRAFGDVVVFDTTYRLYAYDRPFGVWFGLDNYGNAIFFGCVLLREEKPLSFRWALQVCPILPSIYLEMLVLELFFLLSKGNLFWQNV >DRNTG_33947.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:944753:947896:1 gene:DRNTG_33947 transcript:DRNTG_33947.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRSGNNGCLTHKWRCPCGDDQCYIRVESDEEDAPMEGELNLPPGTSSSSFIQQGTPQAECTVSDSLPYVGQTFRTDDEAQEYFTNFARKNGFAIRRERSKGNPSHPLGVYKRELVCHRAGVSLPRKTPEVKRQRNKKSTRCKCEAQMIIKKNISKGVARWVVSHFSNEHNHPLLDSEEVRHLPAYRNISSTDRERILDLSKAGCTVNLIMRALEMQKGVRQGELSFTERDLRNFLQTSKNINRENEGSELLKACKAMKEKTPDFHYEFSVDENDKLEHIAWSYPDSIRAYRAFGDVVVFDTTYRLYAYDRPFGVWFGLDNYGNAIFFGCVLLREEKPLSFRWALQSFIRIMDGKFPQTMLTDLDMRLKEAMLSELPNTKHVFSPWNITSKLPSWFFAILGPRYDQFVSEFNRISNLENVMDFEQQWSQMVFEFGVVSDRHIVTLSFHRVCWALPYLRGWFLGGLMKIGFSMSTKLFFKGFLDSQLRLKDFIEQVGLAVDFQNQASEEAIMRQSYQNVKIKTCMPMEEHASKVLTSHAFDMFQKEIVSSTQHAVYEQSGEGYLVRHRLDTDGGYRVQCIPSQEEIHCSCKEFETSGILCRHALRVLSLKNCFLLPDKYLLPRWRHENSLFPRSSGYKYWSRALRSLASIIVQESSLTKSRFDYVQWHMSKLLTHLREMPTVDETTADLGSNLSFDATTTTEVISIETTASASRPRGRPKKLKVLVEA >DRNTG_33947.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:944753:947896:1 gene:DRNTG_33947 transcript:DRNTG_33947.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKFPQTMLTDLDMRLKEAMLSELPNTKHVFSPWNITSKLPSWFFAILGPRYDQFVSEFNRISNLENVMDFEQQWSQMVFEFGVVSDRHIVTLSFHRVCWALPYLRGWFLGGLMKIGFSMSTKLFFKGFLDSQLRLKDFIEQVGLAVDFQNQASEEAIMRQSYQNVKIKTCMPMEEHASKVLTSHAFDMFQKEIVSSTQHAVYEQSGEGYLVRHRLDTDGGYRVQCIPSQEEIHCSCKEFETSGILCRHALRVLSLKNCFLLPDKYLLPRWRHENSLFPRSSGYKYWSRALRSLASIIVQESSLTKSRFDYVQWHMSKLLTHLREMPTVDETTADLGSNLSFDATTTTEVISIETTASASRPRGRPKKLKVLVEA >DRNTG_33947.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:944753:947896:1 gene:DRNTG_33947 transcript:DRNTG_33947.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRSGNNGCLTHKWRCPCGDDQCYIRVESDEEDAPMEGELNLPPGTSSSSFIQQGTPQAECTVSDSLPYVGQTFRTDDEAQEYFTNFARKNGFAIRRERSKGNPSHPLGVYKRELVCHRAGVSLPRKTPEVKRQRNKKSTRCKCEAQMIIKKNISKGVARWVVSHFSNEHNHPLLDSEEVRHLPAYRNISSTDRERILDLSKAGCTVNLIMRALEMQKGVRQGELSFTERDLRNFLQTSKNINRENEGSELLKACKAMKEKTPDFHYEFSVDENDKLEHIAWSYPDSIRAYRAFGDVVVFDTTYRLYAYDRPFGVWFGLDNYGNAIFFGCVLLREEKPLSFRWALQVCPILPSIYLEMLVLELFFLLSKGNLFWQNV >DRNTG_05300.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2638314:2640350:1 gene:DRNTG_05300 transcript:DRNTG_05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiosulfate sulfurtransferase 16, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66040) UniProtKB/Swiss-Prot;Acc:Q39129] MTSSSPSTPWLASRFSPLLLPPALPHPFIFKRDLPTKSKLVLAVLRQPYGVPRAANNGRRSFSRLVGEEDEVRQAVAVPSSVPVRVAHELLQAGHRYLDVRTVDEFIAGHAVGAVNIPYMFKVGSGMARNPKFVEEVLSVFGKDDEIIVGCQSGKRSLLAAADLCSAGFTGITDIAGGYSAWVQTRLPINQ >DRNTG_34231.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1392964:1395401:1 gene:DRNTG_34231 transcript:DRNTG_34231.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 3 [Source:Projected from Arabidopsis thaliana (AT5G51600) UniProtKB/Swiss-Prot;Acc:Q9FHM4] GAVDASLVLEQIDLQISAVKEEAFSRKDILERVEKWLAACDEESWLEEYNRDENRYSAGRGAHLTLKRAEKARAIVNKLPTIVDALTTKVAAWEKERGIEFTYDGVRLLSMLEEYSILRQEKEQERKRQRVMPMPLRYLQIYHCI >DRNTG_34231.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1390382:1395401:1 gene:DRNTG_34231 transcript:DRNTG_34231.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 3 [Source:Projected from Arabidopsis thaliana (AT5G51600) UniProtKB/Swiss-Prot;Acc:Q9FHM4] MSNLLNDQLLQVETTCGTLLCELQIIWDEVGESDVERDKLLFQLEQECLEVYRRKVDQANKSRAQLRQAIADSEAELAAICSAMGERPVHNGQKAGTLKEELRAITPQLEEMRKKKNERRNQFLVVNEQIQHILNEIRPAEQNRCKNALDESDLSIKRLEELHRQLQSLQKEKSERLKQVLDHLGTLKCLCSVLGMDFKQTVSEVHHSLEGAEVSVSVSNETIERLASAVHRLREMKLQRMQKLQDLATTMLELWNLMDTPIEEQQMFQNVTCNVAASEHEITDANMLSEDYINYVEAEVLRLEELKTSKMKELVLKKKTELEELRRRTHLVADAYNEIEYAIEAIESGAVDASLVLEQIDLQISAVKEEAFSRKDILERVEKWLAACDEESWLEEYNRDENRYSAGRGAHLTLKRAEKARAIVNKLPTIVDALTTKVAAWEKERGIEFTYDGVRLLSMLEEYSILRQEKEQERKRQRDQRKLQGQLIAEQEALYGSKPSPSKPQSAKKVPRNSTGGPGRRLSLGGAVLHPAKHDFHHSTKSTRSTRKVDEGAALSAVSRGLDVAGLPVKKLSFPSSNAREIDTPRQPFTSLLPVNAVPSTPLKPITSITTEEIMTPQTMPIMTPKTPSTVSMPMQVANTPAPVFAYELASGAAERTEDIEYSFEEKRLAVLLKA >DRNTG_34231.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1390382:1395401:1 gene:DRNTG_34231 transcript:DRNTG_34231.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 3 [Source:Projected from Arabidopsis thaliana (AT5G51600) UniProtKB/Swiss-Prot;Acc:Q9FHM4] MSNLLNDQLLQVETTCGTLLCELQIIWDEVGESDVERDKLLFQLEQECLEVYRRKVDQANKSRAQLRQAIADSEAELAAICSAMGERPVHNGQKAGTLKEELRAITPQLEEMRKKKNERRNQFLVVNEQIQHILNEIRPAEQNRCKNALDESDLSIKRLEELHRQLQSLQKEKSERLKQVLDHLGTLKCLCSVLGMDFKQTVSEVHHSLEGAEVSVSVSNETIERLASAVHRLREMKLQRMQKLQDLATTMLELWNLMDTPIEEQQMFQNVTCNVAASEHEITDANMLSEDYINYVEAEVLRLEELKTSKMKELVLKKKTELEELRRRTHLVADAYNEIEYAIEAIESGAVDASLVLEQIDLQISAVKEEAFSRKDILERVEKWLAACDEESWLEEYNRDENRYSAGRGAHLTLKRAEKARAIVNKLPTIVDALTTKVAAWEKERGIEFTYDGVRLLSMLEEYSILRQEKEQERKRQRDQRKLQGQLIAEQEALYGSKPSPSKPQSAKKVPRNSTGGPGRRLSLGGAVLHPAKHDFHHSTKSTRSTRKVDEGAALSAVSRGLDVAGLPVKKLSFPSSNAREIDTPRQPFTSLLPVNAVPSTPLKPITSITTEEIMTPQTMPIMTPKTPSTVSMPMQVANTPAPVFAYELASGAAERTEDIEYSFEEKRLAVLLKA >DRNTG_34231.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1390382:1395401:1 gene:DRNTG_34231 transcript:DRNTG_34231.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 3 [Source:Projected from Arabidopsis thaliana (AT5G51600) UniProtKB/Swiss-Prot;Acc:Q9FHM4] MSNLLNDQLLQVETTCGTLLCELQIIWDEVGESDVERDKLLFQLEQECLEVYRRKVDQANKSRAQLRQAIADSEAELAAICSAMGERPVHNGQKAGTLKEELRAITPQLEEMRKKKNERRNQFLVVNEQIQHILNEIRPAEQNRCKNALDESDLSIKRLEELHRQLQSLQKEKSERLKQVLDHLGTLKCLCSVLGMDFKQTVSEVHHSLEGAEVSVSVSNETIERLASAVHRLREMKLQRMQKLQDLATTMLELWNLMDTPIEEQQMFQNVTCNVAASEHEITDANMLSEDYINYVEAEVLRLEELKTSKMKELVLKKKTELEELRRRTHLVADAYNEIEYAIEAIESGAVDASLVLEQIDLQISAVKEEAFSRKDILERVEKWLAACDEESWLEEYNRDENRYSAGRGAHLTLKRAEKARAIVNKLPTIVDALTTKVAAWEKERGIEFTYDGVRLLSMLEEYSILRQEKEQERKRQRDQRKLQGQLIAEQEALYGSKPSPSKPQSAKKVPRNSTGGPGRRLSLGGAVLHPAKHDFHHSTKSTRSTRKVDEGAALSAVSRGLDVAGLPVKKLSFPSSNAREIDTPRQPFTSLLPVNAVPSTPLKPITSITTEEIMTPQTMPIMTPKTPSTVSMPMQVANTPAPVFAYELASGAAERTEDIEYSFEEKRLAVLLKA >DRNTG_15152.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18673350:18679039:1 gene:DRNTG_15152 transcript:DRNTG_15152.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSEAAPGAVPPQPPAAPPPAPEPEPDRAQAPEPAPAPAPAPAPVAILVPSVTVVQKVETVIDVKYCGGAGADADDAESEKVCRICHLSPDLSGVGGGAEGCELIQIGCGCRGELGIAHRHCAEAWFRVKGNRCCEICGVNAKNITGEDDSGFMEEWHERRMFSNRRRRNFSEGGSCWRGQPCCNFLMACLVIAFILPWFFRVNIF >DRNTG_15152.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18673570:18679177:1 gene:DRNTG_15152 transcript:DRNTG_15152.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLMTPNRRRFAGSAILAPTSPASAAGRRAVS >DRNTG_15152.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18673350:18679177:1 gene:DRNTG_15152 transcript:DRNTG_15152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSEAAPGAVPPQPPAAPPPAPEPEPDRAQAPEPAPAPAPAPAPVAILVPSVTVVQKVETVIDVKYCGGAGADADDAESEKVCRICHLSPDLSGVGGGAEGCELIQIGCGCRGELGIAHRHCAEAWFRVKGNRCCEICGVNAKNITGEDDSGFMEEWHERRMFSNRRRRNFSEGGSCWRGQPCCNFLMACLVIAFILPWFFRVNIF >DRNTG_15152.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18673570:18679039:1 gene:DRNTG_15152 transcript:DRNTG_15152.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLMTPNRRRFAGSAILAPTSPASAAGRRAVS >DRNTG_15525.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8834492:8835927:-1 gene:DRNTG_15525 transcript:DRNTG_15525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQRTNKIWSSENGILLCWEPKKKKNEMLRDLTQSETNDDKGVERQSETQ >DRNTG_15525.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8834492:8835385:-1 gene:DRNTG_15525 transcript:DRNTG_15525.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCEMLSWEAGSDAGRVGGGLTSGG >DRNTG_03802.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7520828:7523444:1 gene:DRNTG_03802 transcript:DRNTG_03802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMSKLIEERLPGSLPRNREVNMKESLKDVTFRSGKQLPSPAKRKVKVENVEPTDTFMKVELNELLGTPSEDEAPEEVTEEFHCIDLAAVEVSVPSEPLKKKTSSITKWWEKVTTKKKKAPISPPTPNISNRSNPWTFGESGGTHSWHRQRTCLPHSGVQGVLCTPLLSPLW >DRNTG_17714.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4024680:4075540:1 gene:DRNTG_17714 transcript:DRNTG_17714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSFPSLLLLLLWEFSPETLEFLPKVCSLPLPLSFDLATVWYLNALETPSDCGEVLHFPGIFFISSSDAT >DRNTG_17714.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4024680:4026347:1 gene:DRNTG_17714 transcript:DRNTG_17714.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSFPSLLLLLLWEFSPETLEFLPKVCSLPLPLSFDLATVWYLNALETPSDCGEVLHFPGIFFISSSDAT >DRNTG_18380.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000946.1:79790:81602:1 gene:DRNTG_18380 transcript:DRNTG_18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEKNQSKHVCVIGAGPSGLVSARELKKEGHTVTVLEQNYEVGGQWLYDPNVEFEDPMSIHSSVYASLRLTSPREIMGFSDFPFIAKKGRDMRRFPGHSELFLYLKDFCQWFGLREMIRFNTRVEYVGMENMKWIVRSKEKKSEKIIEEIFDAVVVATGHYSKPRMPVIKGMNEWRRRQIHSHVYRVPEPFRDEVVMVVGNSLSGQDISTELVEIAKEVHISAKSLQVSEGLSKVISKHQNLHLHVQIDTLFEDGRVLFIDGSLVIADSIIYCTGYSYSFPFLDTKGIVVDDDRVGPLYEHTFPPSLAPSLSFVGIPRKLIGFPFFESQAKWIAQILSGKRSLPSWDEMMNSIQEFYNSRDIAGIPKHNTHDIANFEYCDKYGDFCDFPHLEEWRKQLCLAALKNSEKNLETYRDLWDDDEVLQEALQSSHFTQIDPESIPL >DRNTG_18380.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000946.1:80416:81602:1 gene:DRNTG_18380 transcript:DRNTG_18380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEWRRRQIHSHVYRVPEPFRDEVVMVVGNSLSGQDISTELVEIAKEVHISAKSLQVSEGLSKVISKHQNLHLHVQIDTLFEDGRVLFIDGSLVIADSIIYCTGYSYSFPFLDTKGIVVDDDRVGPLYEHTFPPSLAPSLSFVGIPRKLIGFPFFESQAKWIAQILSGKRSLPSWDEMMNSIQEFYNSRDIAGIPKHNTHDIANFEYCDKYGDFCDFPHLEEWRKQLCLAALKNSEKNLETYRDLWDDDEVLQEALQSSHFTQIDPESIPL >DRNTG_18380.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000946.1:81150:81602:1 gene:DRNTG_18380 transcript:DRNTG_18380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEETTLPCSTEELREKLRDIPRSLGRRRSPPRSSAKLSFHSNRP >DRNTG_33458.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20338949:20340889:-1 gene:DRNTG_33458 transcript:DRNTG_33458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAKPMFVIFIIFSILLLLFINTPAAGVSIDPGRPLNPDKPVCGGPKGKPCVPNQPSLFSGHLQKKYFIAVYIPPSGRLPYSAI >DRNTG_20219.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21008203:21008638:1 gene:DRNTG_20219 transcript:DRNTG_20219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPPLMNSSAAPTHTPPGSSTSILLMRRLLIG >DRNTG_22097.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:117309:118223:1 gene:DRNTG_22097 transcript:DRNTG_22097.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPKIEVRFQELSVEGKVYVGSRALPTLLNSVINFTEGMAGHLGLFPSKKKVHKILHSVNGIIKPSR >DRNTG_22097.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:116850:117233:1 gene:DRNTG_22097 transcript:DRNTG_22097.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVREPSNSGQGQERHHPSCISDRPTCYKRG >DRNTG_22097.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:116850:118403:1 gene:DRNTG_22097 transcript:DRNTG_22097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPGDLGRMGSSRRALMAASFRANEEEGDYNFIYDDKDPRWVELESLPTPDRARKGIILHVSLTGQLVTNEVDVRRLKPHLKKTLMSRIFADVDEDNEQFLRWIKGRYERVGMELPKIEVRFQELSVEGKVYVGSRALPTLLNSVINFTEGMAGHLGLFPSKKKVHKILHSVNGIIKPSRMTLLLGPPGSGKTTLLRSLSGMPDRNLK >DRNTG_22097.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:116850:117431:1 gene:DRNTG_22097 transcript:DRNTG_22097.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPGDLGRMGSSRRALMAASFRANEEEGDYNFIYDDKDPRWVELESLPTPDRARKGIILHVSLTGQLVTNEVDVRRLKPHLKKTLMSRIFADVDEDNEQFLRWIKGRYERVGMELPKIEVRFQELSVEGKVYVGSRALPTLLNSVINFTE >DRNTG_13163.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:15807492:15808306:1 gene:DRNTG_13163 transcript:DRNTG_13163.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIEDFLTKINPLRKSINMPLRQQQGSPPPRYDRLRVENYLLEEQLREEEEARAADADAAAVDEQGENSEVSQETSTPTLTVQTHVFVPQTHLVVSMRLRDFSTIIASELRDIYMEQYQIEPGHMPQLCRWYGVTGDMATTYDDAHAVLQYGETVEQRNRRRPYQRH >DRNTG_13163.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:15806026:15808306:1 gene:DRNTG_13163 transcript:DRNTG_13163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKNHLLGASSKAGDPVQIRVDKIN >DRNTG_28482.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8611469:8617108:1 gene:DRNTG_28482 transcript:DRNTG_28482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGAVTPEFGPKEREMDDRNHGIRGEVHPYAVLGIKFVWRTDPLGAILIAIYTTSTWARPVLENVWSLIGQIASLEYLTKVIYVIWNHHEEIKQIDTVRAYTFGSHYFVEVDIVLLMLIEGMGDDVLPMEGADRIMVLGMVLFTCKAILLFSHPPLQHVLLGDMPLSQAHDIGETLQVKLKNLPEFERAFVHNDFECTHRPEHKVML >DRNTG_22034.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2454764:2460252:1 gene:DRNTG_22034 transcript:DRNTG_22034.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLLSWSLAKVIKRPLRILPGEWEQEWLKFICKEVETVDDYDEYCHYVAGLVGLGLSKLFYAAGLEDLASDSLSNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPRQIWSKYANKLEAVECLNDMVTNALMHAEDCLTYMAALKDIAIFRFCAIPQVMAIGTLALCYNNLEVFRGVVKMRRGLTARVIDQTKTMADVYGAFYDFSSMLKAKVNDKDPNAVLTRKRVEAIQKICVSSGLLNKRGLRVCNTQPTISPMLIIVAVVLLAILLATLSK >DRNTG_31014.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30450971:30453719:-1 gene:DRNTG_31014 transcript:DRNTG_31014.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKRYQEYMQGSNRGLPEVPLKKLKRLLKSCRTKSRSQHHQHNPSTSLPLHCPVCDATFFPSLLKEISAVVCCFNEKAKTLLELHSSSCSIKCILWFGASKLLNNRETMMQEAKKLITYAVINSAAIRKILKKYDKIHNCNKGEIFRSKVQSMYLEILQSPWLCELLAFYINLRRRASNHGTEAPWFFGDCSLSIDDGKPMLNYGLFDRVNLQIDLSCSICLETVFDPVALRCGHIFCYICFCSAASVTIIDGLDTADSKTQCPLCRRSGVHKGAVNLDELSFLLSQSCPDYWKKRLRTERIERVQQAKEHWESQCRSLMGHQ >DRNTG_07510.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27108553:27109041:-1 gene:DRNTG_07510 transcript:DRNTG_07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVLSALIKLVCEKVASKVLKELGALASVEKEFKRLENTLLTIQDVLEDAEARQVKEKALKRWLRKLKDVAFDMDDVLDEFMAKAEKLKME >DRNTG_05275.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19591686:19592273:1 gene:DRNTG_05275 transcript:DRNTG_05275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTNSRGSPSREEESEDLSKAIVPFISPQDARLSNRVVDVGTSSQEVPTGVKDRDDLLRWVCQSFPRTYVQKNEEDKQDNSTTVPDEESPENQQARVADDAREKVTPTQGEEASGGKSIDAPTIAEEEAVVILNEVLGDLHRHAETPIETEHVTALVTISSATSDSSHSSDEIPLKDCVTHIAKGKKALSKKKS >DRNTG_19188.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21388565:21389812:1 gene:DRNTG_19188 transcript:DRNTG_19188.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADVSARLRMMKDGGGDGKGQRDLITRDLLGGCDLGGQDRRLDLMPEKAYLERRGLHDLNLPPPPAAAIGTQAITEDSAALDLRLVSPSSSAAPEYQSVCTLEKVKSALERAEREARGRRRMSDGSPSPSSSTTSSSAKRRAAEAEEEEGLDGWDSSAETGMMAAGCPGCLLYVLISRRNPRCPRCDSHVPITAIPKKLKIDLNYTSP >DRNTG_19188.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21388565:21389783:1 gene:DRNTG_19188 transcript:DRNTG_19188.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAADVSARLRMMKDGGGDGKGQRDLITRDLLGGCDLGGQDRRLDLMPEKAYLERRGLHDLNLPPPPAAAIGTQAITEDSAALDLRLVSPSSSAAPEYQSVCTLEKVKSALERAEREARGRRRMSDGSPSPSSSTTSSSAKRRAAEAEEEEGLDGWDSSAETGMMAAGCPGCLLYVLISRRNPRCPRCDSHVPITAIPKKLKIDLNYTSP >DRNTG_19188.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21388440:21389783:1 gene:DRNTG_19188 transcript:DRNTG_19188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADVSARLRMMKDGGGDGKGQRDLITRDLLGGCDLGGQDRRLDLMPEKAYLERRGLHDLNLPPPPAAAIGTQAITEDSAALDLRLVSPSSSAAPEYQSVCTLEKVKSALERAEREARGRRRMSDGSPSPSSSTTSSSAKRRAAEAEEEEGLDGWDSSAETGMMAAGCPGCLLYVLISRRNPRCPRCDSHVPITAIPKKLKIDLNYTSP >DRNTG_10452.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4929924:4951631:1 gene:DRNTG_10452 transcript:DRNTG_10452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSVPQSKVIQTPSTICERAPLNPSNILRNDLAAQQTVMAEMMVKL >DRNTG_01002.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12789710:12809347:-1 gene:DRNTG_01002 transcript:DRNTG_01002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPATMSAAVDARFSNRELIGRGSFGDVFKVFDKELNKEVAIKIIDLEEAEDEIEDIQKEISVLSQCRSPYITDYYGSYLHQTKLWIVMEYMAGGSVADLLQTGPPLDEMSISCILRDLLHAVEYLHNEGKIHRDIKAANILLTENGDVKVADFGVSAQLTRTMSRRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITAIEMAKGEPPLADLHPMRVLFMIPRENPPQLDEHFSKPMKEFVSLCLRKIPSERPSAKELLKHRFIRNARKSPRLLERIRERPKFHMKEAMETQRNGNNSFDDGASTVRVTRDLRDEIPKSSHGNAQRSGRSNFSMDESQGTGTVRVGAPLGMGLSNMGELQGTGTVRSIIKPNPAAVVKDRVSDISYDHKLTRKASDKGNFQIPFSGISRNEPTSEISFKEDAETELNDKGLQNSSDDEEDPSVSGSGTVILRAPKDTQVHAAFGNQGLMTPSRYHSVEDVSISGTVVLRPQHDESGSPRTPKSRFDIIEKTSSVSPEDSATNLAEAKAALQAAFKKGNPRERFALGKLNKEASEAKVMERASNSISSRDSRDNFEPQKLLQRSRHNDDDGGDRVSVPVTSPVLSMLIMPSVKEAAGDKLEGSTARNLIEGLTNMERLSPGSCELLVGRLLQRLGSSKEPSMKGLQDLATRAFTKNIVPSQDESETKKQVKKDLFESPSLTPLAKFLLTRWQSQVSEDLKSV >DRNTG_12647.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1270011:1272892:1 gene:DRNTG_12647 transcript:DRNTG_12647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLVVAILCMLLVFLLVPLLWWRRHQISRSPDDPILRERHDPQVARAEGVVRRGGAGRMRRRSVASSSVATTSAQETVVESDEEPHVDDYLVDKELSKKEKKRQEREEQRQAEEAARENRRSKQDRYEERRRKKDEEREEKERLLEEEALARKAKEEEAAALEFEKWKGAFSVDAEGTTENELQDGAHGLLADFVEYIKKQKCVPLEELAAEFKLRTQDCINRITSLEDMGRLSGVMDDRGKYIYISPEEMKAVADYIKTSRKG >DRNTG_25458.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1534046:1537789:1 gene:DRNTG_25458 transcript:DRNTG_25458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVWERAVEAALEGQGDSSTAPRTLTLDGAVKCVHGRLPPPALLERFQSLEHLSIANVGVSSLEKFPRLKNLQRLILSDNRIASGLEFLVEAGLESLRDLDLSNNRIQFLEELAPLARLRLVSLDLYECPVTKVRDYRSRVFGMIRTLKYLDKMDADENERPESDDDEEDDEEEDEDEDPVSGEVDGDDRSGKVANGGRSTAGDEGIIDADDDEESDADEEETGAERRIESNGHHSNGFRVEPVRLVDGDEDDEEDVEDEDDEDDLGEEVDEEDGEDDDVVEVHEIEDSGDEDEDVVEDDDDEEEDVEDEDGEEEEEDVEDEDDAEPGSTGRGSAEGEIDGHEQGEEGEEDDNGEIGEEDEQGVEEREFDDDDGDGEDEEDGTEYLMQPIPQVDEYLMQPIPQVDDENGGNDFDGCNEEDESEDDEVDDEDHLNNGVLQGPSSSSQPNKRKRDEDEDSVEDLRSSKHQ >DRNTG_30979.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18704971:18707172:1 gene:DRNTG_30979 transcript:DRNTG_30979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPHALVFPFPAQGHVIPLLELSNRLVEREFKITFVNTELNHARITSAMSNSSCDMNHINFVTIVDGAEEGDDPNDLARIYERLQQVLPAYLEELIKKSNMVENHKFTCFIVDIFLAWTLDVAKKAGLQTVLFYVAGLGTLLIGPSVPKLIEDGIIDEQGEVKTKGKFQISPEIPSMRSADLPWQCFSDIKTRHHMFKLTLNVGATVNTEELILCNSFSGLEMGNCIVPPNILLIGPLLASQEFKKPKGYFWEEDTSCITWLDKQPPNSVIYIAFGSLAMFDHCQFEELALGLELSGKRFLWAMRPGFTGEEDVGFLARFRSRVEGRGMIVSWAPQQQVLAHCSIACFMSHCGWNSTMEGLANGVPFLCWPYFAEQFINQGYICDVWKIGLRMNLGGNKVVSREEIKRKVEELMSDEAMKARAMQLKAMADKSVKKGGSYLENFNCFVNRMKSSVH >DRNTG_03959.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1572922:1573378:-1 gene:DRNTG_03959 transcript:DRNTG_03959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLTPLHLYPPSLLLLLLPTSLHRNDHCLCILSSTNSCVQDPGLNNGVDDKVDDGERGNQDDVIVVGVGDRVSGDRVVVLGEDEGSSKWDCGLEIGDAGDEKMEISGGDEEEDVADGDDVPKLIEDLI >DRNTG_21653.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001224.1:11861:19209:-1 gene:DRNTG_21653 transcript:DRNTG_21653.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb2738 [Source:Projected from Arabidopsis thaliana (AT3G12080) UniProtKB/TrEMBL;Acc:A0A384LJ95] MVERERSRRLGTSILNQVVQEALAFKPPPRTRGGKRGRVYYCTQAAIRPPTFVFFVNDPKLFPETYRRYMEKQLRLDVGFPGTPIRLLWRSRRKVDKR >DRNTG_21653.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001224.1:23768:45852:-1 gene:DRNTG_21653 transcript:DRNTG_21653.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb2738 [Source:Projected from Arabidopsis thaliana (AT3G12080) UniProtKB/TrEMBL;Acc:A0A384LJ95] MEEEARVAVREYSRSISRELSIEDEIYTEKEVGTKKKSRRSSDGHIPDHLLPRVAIVGRPNVGKSALFNRLVGGNKAIVVDEPGVTRDRLYGRSFWGEYQFMVVDTGGVMTVSKSQADVMEELAITTTIGMDGIPLASREAAAARMPSMIEKQAIAAVEEAAVIIFLVDGQAGLIAADVEIADWLRKNYSNKNIILAVNKCESPRKGLLQASEFWSLGFSPLAISAVSGSGTGELLDLICSGLKTNE >DRNTG_21653.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001224.1:11861:45852:-1 gene:DRNTG_21653 transcript:DRNTG_21653.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb2738 [Source:Projected from Arabidopsis thaliana (AT3G12080) UniProtKB/TrEMBL;Acc:A0A384LJ95] MRPNVGKSSILNALVGEDRTIVSPISGTTRDAIDTEFAGEDGQKYSLIDTAGIRRRAAVSSSGSTTEALSVNRAFCAIRRSDVVALVIEALACVTEQDYRIAERIEREGKGCIIVVNKWDTVPDKNQQTTTYYDQDVREKLRELDWAPIVYSTATSGHSVDKIVGAASMVERERSRRLGTSILNQVVQEALAFKPPPRTRGGKRGRVYYCTQAAIRPPTFVFFVNDPKLFPETYRRYMEKQLRLDVGFPGTPIRLLWRSRRKVDKR >DRNTG_21653.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001224.1:11861:19209:-1 gene:DRNTG_21653 transcript:DRNTG_21653.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb2738 [Source:Projected from Arabidopsis thaliana (AT3G12080) UniProtKB/TrEMBL;Acc:A0A384LJ95] MPKDYRIAERIEREGKGCIIVVNKWDTVPDKNQQTTTYYDQDVREKLRELDWAPIVYSTATSGHSVDKIVGAASMVERERSRRLGTSILNQVVQEALAFKPPPRTRGGKRGRVYYCTQAAIRPPTFVFFVNDPKLFPETYRRYMEKQLRLDVGFPGTPIRLLWRSRRKVDKR >DRNTG_21653.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001224.1:11861:45852:-1 gene:DRNTG_21653 transcript:DRNTG_21653.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb2738 [Source:Projected from Arabidopsis thaliana (AT3G12080) UniProtKB/TrEMBL;Acc:A0A384LJ95] MEEEARVAVREYSRSISRELSIEDEIYTEKEVGTKKKSRRSSDGHIPDHLLPRVAIVGRPNVGKSALFNRLVGGNKAIVVDEPGVTRDRLYGRSFWGEYQFMVVDTGGVMTVSKSQADVMEELAITTTIGMDGIPLASREAAAARMPSMIEKQAIAAVEEAAVIIFLVDGQAGLIAADVEIADWLRKNYSNKNIILAVNKCESPRKGLLQASEFWSLGFSPLAISAVSGSGTGELLDLICSGLKTNEAFEISEEEKEDYIPSIAIVGRPNVGKSSILNALVGEDRTIVSPISGTTRDAIDTEFAGEDGQKYSLIDTAGIRRRAAVSSSGSTTEALSVNRAFCAIRRSDVVALVIEALACVTEQDYRIAERIEREGKGCIIVVNKWDTVPDKNQQTTTYYDQDVREKLRELDWAPIVYSTATSGHSVDKIVGAASMVERERSRRLGTSILNQVVQEALAFKPPPRTRGGKRGRVYYCTQAAIRPPTFVFFVNDPKLFPETYRRYMEKQLRLDVGFPGTPIRLLWRSRRKVDKR >DRNTG_21653.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001224.1:11861:45852:-1 gene:DRNTG_21653 transcript:DRNTG_21653.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb2738 [Source:Projected from Arabidopsis thaliana (AT3G12080) UniProtKB/TrEMBL;Acc:A0A384LJ95] MEEEARVAVREYSRSISRELSIEDEIYTEKEVGTKKKSRRSSDGHIPDHLLPRVAIVGRPNVGKSALFNRLVGGNKAIVVDEPGVTRDRLYGRSFWGEYQFMVVDTGGVMTVSKSQADVMEELAITTTIGMDGIPLASREAAAARMPSMIEKQAIAAVEEAAVIIFLVDGQAGLIAADVEIADWLRKNYSNKNIILAVNKCESPRKGLLQASEFWSLGFSPLAISAVSGSGTGELLDLICSGLKTNEAFEISEEEKEDYIPSIAIVGRPNVGKSSILNALVGEDRTIVSPISGTTRDAIDTEFAGEDGQKYSLIDTAGIRRRAAVSSSGSTTEALSVNRAFCAIRRSDVVALVIEALACVTEQVYY >DRNTG_21653.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001224.1:11861:45852:-1 gene:DRNTG_21653 transcript:DRNTG_21653.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb2738 [Source:Projected from Arabidopsis thaliana (AT3G12080) UniProtKB/TrEMBL;Acc:A0A384LJ95] MEEEARVAVREYSRSISRELSIEDEIYTEKEVGTKKKSRRSSDGHIPDHLLPRVAIVGRPNVGKSALFNRLVGGNKAIVVDEPGVTRDRLYGRSFWGEYQFMVVDTGGVMTVSKSQADVMEELAITTTIGMDGIPLASREAAAARMPSMIEKQAIAAVEEAAVIIFLVDGQAGLIAADVEIADWLRKNYSNKNIILAVNKCESPRKGLLQASEFWSLGFSPLAISAVSGSGTGELLDLICSGLKTNENDCESNQRDYS >DRNTG_27947.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5785317:5788270:-1 gene:DRNTG_27947 transcript:DRNTG_27947.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSAHQSSSRVDTGRNFLDGQRSHRGVCVSLWIGHTGVWKFHTPVWMHSEVNRGPFRPSSVPPAPPSSTPIPVDPPCASTSAAVAQEPESSTDT >DRNTG_21275.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4872281:4878487:1 gene:DRNTG_21275 transcript:DRNTG_21275.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 7 [Source:Projected from Arabidopsis thaliana (AT1G14570) UniProtKB/Swiss-Prot;Acc:Q94JZ8] MDAAALSPEQQGLVSSFLEIAVGQNADTAVHFLQLTNWILEEAIQLFFVGGDGAVGAAAAAPAPSAPPAAEDPSSSVNVSQDVGEDGVRAPLPVKRETLYGDAALFNRDFRFQQSPLIAFRNFEEESKRQPVWESDKNAPSTANGSRDNLASLYRPPFDLMFNGTFDKAKVEASHMDKWLLVNLQSTAEFNSHMLNRDTWSNETVAQTISTNFIFWQVYHDSTEGKKVCTYYNLVAFPSILVIDPITGQKMRAWNGMITPERLLEDLLPFLEKGPNENHVTLPPKRARQTPHVSVPSVADTLRDEDEEVLRAIAASLEEKKVAIRPSTAKDEPKVEKEPETILSKKLVYPPLPEEPKEKRELLSRVGIRLPDGRRLQRHFLRADSIKLLWSFCSSQLEGGEERPFRFTQSIPGASKTLEFDSDVTFEESGISNSMISLTWI >DRNTG_16838.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18108322:18108794:-1 gene:DRNTG_16838 transcript:DRNTG_16838.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTITKLEMRRRLVLICKFAVNTRPSRGNLKQVFAFLSKERDPVMCLKSGCQQREEIL >DRNTG_16838.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18108322:18112581:-1 gene:DRNTG_16838 transcript:DRNTG_16838.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGPSTKTPSSSPRSPPYGRSSHWSQTYDVVSGTPPVSILLATSSPLMATPTTGPSAPPASTSTLPALPDSETNANKTTEIRSTFSNWDSSLHLPSWVPNNEEAAIGDRLEEWTGLLEGCGADIDSIVSVLKKPLRPLWISQKTLIWLNEVPECDSWDFTPLILISASAPNGTSQQRTTSVFSWQYIAGAGDDEESWARGLSPKLFWDHVFDLIDSGPDLCKSKVAEIVERERVYHAHRGAFSPQVTVKPQKLLVSNGDASHKELQLTDFESSMNSMSVRSPSAEGSLSWVGLTNVAVTSTLHVAAVSGHVDCVLNCDSKLSSFSFLTADMYMHLPIVTSKFDRFSITNNLPAAISFAKSKLTQGNKLLICCENGEDISICVCLAILTYLFDEQGSFDGGKSFMHSTITKLEMRRRLVLICKFAVNTRPSRGNLKQVFAFLSKERDPVMCLKSGCQQREEIL >DRNTG_16838.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18108322:18111917:-1 gene:DRNTG_16838 transcript:DRNTG_16838.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTIPIWACVLNRAIAKRLQERESRRECELDSETNANKTTEIRSTFSNWDSSLHLPSWVPNNEEAAIGDRLEEWTGLLEGCGADIDSIVSVLKKPLRPLWISQKTLIWLNEVPECDSWDFTPLILISASAPNGTSQQRTTSVFSWQYIAGAGDDEESWARGLSPKLFWDHVFDLIDSGPDLCKSKVAEIVERERVYHAHRGAFSPQVTVKPQKLLVSNGDASHKELQLTDFESSMNSMSVRSPSAEGSLSWVGLTNVAVTSTLHVAAVSGHVDCVLNCDSKLSSFSFLTADMYMHLPIVTSKFDRFSITNNLPAAISFAKSKLTQGNKLLICCENGKPYGFLNPISVSHILQ >DRNTG_16838.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18112289:18112581:-1 gene:DRNTG_16838 transcript:DRNTG_16838.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEERLSIYRATRRIKRSDNSMYNALRSIYEDSVFVSEIAALWPELPLVANLRCGLWYSSRFHSTCYFKSTDGHTNNWAFSTSRLNLHLARLA >DRNTG_16838.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18108322:18112581:-1 gene:DRNTG_16838 transcript:DRNTG_16838.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGPSTKTPSSSPRSPPYGRSSHWSQTYDVVSGTPPVSILLATSSPLMATPTTGPSAPPASTSTLPALPRGGCIIVDSTRKGKRFPDSMSKTIPIWACVLNRAIAKRLQERESRRECELDSETNANKTTEIRSTFSNWDSSLHLPSWVPNNEEAAIGDRLEEWTGLLEGCGADIDSIVSVLKKPLRPLWISQKTLIWLNEVPECDSWDFTPLILISASAPNGTSQQRTTSVFSWQYIAGAGDDEESWARGLSPKLFWDHVFDLIDSGPDLCKSKVAEIVERERVYHAHRGAFSPQVTVKPQKLLVSNGDASHKELQLTDFESSMNSMSVRSPSAEGSLSWVGLTNVAVTSTLHVAAVSGHVDCVLNCDSKLSSFSFLTADMYMHLPIVTSKFDRFSITNNLPAAISFAKSKLTQGNKLLICCENGEDISICVCLAILTYLFDEQGSFDGGKSFMHSTITKLEMRRRLVLICKFAVNTRPSRGNLKQVFAFLSKERDPVMCLKSGCQQREEIL >DRNTG_16838.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18108322:18112581:-1 gene:DRNTG_16838 transcript:DRNTG_16838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEERLSIYRATRRIKRSDNSMYNALRSIYEDSVFVSEIAALWPELPLVANLRCGLWYSSRFHSTCYFKSTDGHTNNWAFSTSRLNLHLARLAGQRGGCIIVDSTRKGKRFPDSMSKTIPIWACVLNRAIAKRLQERESRRECELDSETNANKTTEIRSTFSNWDSSLHLPSWVPNNEEAAIGDRLEEWTGLLEGCGADIDSIVSVLKKPLRPLWISQKTLIWLNEVPECDSWDFTPLILISASAPNGTSQQRTTSVFSWQYIAGAGDDEESWARGLSPKLFWDHVFDLIDSGPDLCKSKVAEIVERERVYHAHRGAFSPQVTVKPQKLLVSNGDASHKELQLTDFESSMNSMSVRSPSAEGSLSWVGLTNVAVTSTLHVAAVSGHVDCVLNCDSKLSSFSFLTADMYMHLPIVTSKFDRFSITNNLPAAISFAKSKLTQGNKLLICCENGEDISICVCLAILTYLFDEQGSFDGGKSFMHSTITKLEMRRRLVLICKFAVNTRPSRGNLKQVFAFLSKERDPVMCLKSGCQQREEIL >DRNTG_27474.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26432971:26438076:1 gene:DRNTG_27474 transcript:DRNTG_27474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDRVTAGAKRSIRDRLNGATGDDLGLIRSTNGKRQRQSEDKWKHDLYEDDEEVQYSKSKVGPKDLRLKLQKKDSQQIYQGGKASGVRDLREKLSGVVPAQQISEPKAKPVPESNKSANKNVTSVEKPGSETKVVSNPNSSRRKSQQKSNLSVDGLLQSLSLEKYSIIFQAEEIDMTALVHMNDDDLKALGIPMGPRKKILLALDSKA >DRNTG_13734.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5500342:5509644:1 gene:DRNTG_13734 transcript:DRNTG_13734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFGEKHRAFINANSQSEVIVSSGTIGSPQLLMLSGIGPENELKKLNIPVILHNEYIGKGLSDNPMNAIFLPTKKPIEQSLIQTVGITKFGAFIEASNGFGQSSDSIQCHHGIMSAEIGQLSTIPPRQRSLDAVKEYVRTRHEIPREAFQGGFILEKIDGPLSTGHLSLINTDINSNPSITFNYFSHPADLQRCVEAIRTIEKIIQTEQFADLAEDNFHTKAMLLNMSVKANVNFIPKHTNDTASLEQFCKDTVITIWHYHGGCHVGKVVDNNYKVIGIDNLRVIDGSTFNSSPGTNPQATVMMLGRYMGVKISTERLGKP >DRNTG_13734.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5505469:5509644:1 gene:DRNTG_13734 transcript:DRNTG_13734.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKKVELSLNFLLLLCIVRLSQGKEFIKSRHPYLRTASSFLSTEAPAYDYIIVGGGTAACPLAATLSQKFNVLVLERGGSPYGNLNVSYLQNFHISLADTSPKSASQPFISTDGVINARARVLGGGTCINAGFYTRASHSFVREAGWDADLVNKSYPWVEKQIVHWPKLAPWQVALKNGLLEAGISPFNGYTFDHIYGTKVGGTIFNEHGFRRTAADLLLAGNPKNLRVLLHATVQKLIFDTRGGRPKAIGVLFMDEFGEKHRAFINANSQSEVIVSSGTIGSPQLLMLSGIGPENELKKLNIPVILHNEYIGKGLSDNPMNAIFLPTKKPIEQSLIQTVGITKFGAFIEASNGFGQSSDSIQCHHGIMSAEIGQLSTIPPRQRSLDAVKEYVRTRHEIPREAFQGGFILEKIDGPLSTGHLSLINTDINSNPSITFNYFSHPADLQRCVEAIRTIEKIIQTEQFADLAEDNFHTKAMLLNMSVKANVNFIPKHTNDTASLEQFCKDTVITIWHYHGGCHVGKVVDNNYKVIGIDNLRVIDGSTFNSSPGTNPQATVMMLGRYMGVKISTERLGKP >DRNTG_25370.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24213608:24214652:1 gene:DRNTG_25370 transcript:DRNTG_25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCCAKLNPFFFIFFSTPLFSSSSAFSFLSSPGPGGAGLLKDTELLIIVVFELMDLQERSDYKFESGGKGEQSKQTELMERLEKQVAGSSLKRNQEQENKSSFSCTESGIKEFHYQKITEGDELLSTYSHGGRHKSASVKWHPGSRNDQEMLCFHLHRF >DRNTG_03841.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4344968:4349318:1 gene:DRNTG_03841 transcript:DRNTG_03841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCWNLLMLCLWFDIDREKCISDLVFSKGPLVKPRAHLAGSVLTKAPPITIFQKKHGDETGAAGGSDGTASDVGGVGGARGLITNVRSRLTRVTIVMCQDAPSPRSGSQPVSCEPQPSRSIVPLQHSRASKSDHGLKKEKRPAMVVAPVLQGVSLSPSALAVAEEEVENPLHCHPHPPSSLVGA >DRNTG_32115.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001786.1:26734:33380:1 gene:DRNTG_32115 transcript:DRNTG_32115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAFFQPYHFIIVQDGNPSRTIKISEGFDYELYNRDDINRILGPKASCIWFKDSACRCFGFMISKKKYILTIDDDCFIAKDPFGKEINALEQYIKKTCSRLLPRSKLLTKVVGFSTLRCKLVLPVTEKIGLAMTLVRAHTKIQWQGFVSRRNDQSNKNTYNWTCSLHFIQECRLYNFSSTVSQDPSLDPTYAEQLKKQYPNGSTNPSIVVPMDPEFASHKHVLRSFSNRCCHTSEA >DRNTG_28824.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4136934:4138306:-1 gene:DRNTG_28824 transcript:DRNTG_28824.1 gene_biotype:protein_coding transcript_biotype:protein_coding NKVTNGSSSIPPQQHKSTQVKSSKSLYQTPITMK >DRNTG_06389.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21116949:21120855:1 gene:DRNTG_06389 transcript:DRNTG_06389.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGARVAAYKVCWASGCFGSDILAGIVAAVEDGVDVISLSLGGGAVPYYRDVIASAAFAATAAGVFVAASAGNSGPEIASLANAAPWLATVGAGTLDRDFPASVSLLNSLEIQGVSLYAGRGLGNAVLPLVYGGGAGSKGNSNANSSRLCLAGALDPAHVRGKVVLCDRGVSARVEKGLVVKQAGGAGMVLANTEASGEELVADSHLLPAVAVGRRDGDIIRQYVLNAKKPKVSLSFKGTVLGVRPSPVVAAFSSRGP >DRNTG_06257.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8259816:8263117:1 gene:DRNTG_06257 transcript:DRNTG_06257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIQKELQDLQRDPPTSCSAGPGGEDLFQWQATIMGPADSPYAGGVFFVMIHFPPDYPFKPPKVNFQTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHIYKNQRSRYEETARAWTQKYAMG >DRNTG_22019.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23653871:23655463:1 gene:DRNTG_22019 transcript:DRNTG_22019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFLKEECEFDILRGDKFYYLNPSSTFEDFNALVLVKDDGDMQNMLGSYARFNSKPIDIYTLLKDYDIVPNTSPEDLFRHSDADVINNGIEDEHEPSCPLNDSGQTVRNRSCCPLQVKEWKDIQNGSIEHMWHIILERSMKNKKNREKRSMPPYTGTKSYARLRHQMEKEKGKVPSRVELWIESRKRKRDQEIDPSSQNVIAQFDQLKKKQEEGQISL >DRNTG_22868.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4315986:4318091:-1 gene:DRNTG_22868 transcript:DRNTG_22868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRPDGTFNRHLAEFLDRKVSANATPVNGVLSFDLLIDRPTSLLVRIYRPSPFPDTDNTEPSTSSMSSLLTDLIQPPSSDPFPVIVFFHGGSFAHSSANTAIYDSLCRRFVSLCNAVVISVNYRRSPEYRYPCAYDDGWTALKWASTQPWLHSGKDSKLRVFLAGDSSGGNIAHHVGLRAIESGITISGNILLNPMFGGQARTESEKRLDGKYFVTIQDRDWYWKAFLPEGADRDHPACNPFGPNGNDLKGLPFPRSIVVVAGLDLVQDWQLAYVDGLKKAGQDVKLVYREQATIGFYLLPNTDHFYEVMEE >DRNTG_25659.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21667814:21674685:-1 gene:DRNTG_25659 transcript:DRNTG_25659.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPSNGSPLLALLFALFIAFPACVLSQKLSNEIVTDNASTQSVGSLDRRTRMFIHALKNDGGFVVEGSGLGVFDALFASLSMIIVSEIGDETFIIAALMAMRHPKSIVLSGALTALFVMTVLSTGLGRIVPNLISRKHTNNAATVLYAFFGLRLLYIAWRSGPKSSQKKEMEEVEEKLESGQGKSASRRFFSRFCTPIFLEV >DRNTG_25659.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21667814:21674685:-1 gene:DRNTG_25659 transcript:DRNTG_25659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPSNGSPLLALLFALFIAFPACVLSQKLSNEIVTDNASTQSVGSLDRRTRMFIHALKNDGGFVVEGSGLGVFDALFASLSMIIVSEIGDETFIIAALMAMRHPKSIVLSGALTALFVMTVLSTGLGRIVPNLISRKHTNNAATVLYAFFGLRLLYIAWRSGPKSSQKKEMEEVEEKLESGQGKSASRRFFSRFCTPIFLESFILTFLAEWGDRSQIATIALATHKNAVGVAVGATIGHTICTSLAVVGGSMLASKISQRTVATFGGLLFLGFSLSSYFYPPL >DRNTG_12483.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3160313:3160660:1 gene:DRNTG_12483 transcript:DRNTG_12483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKEEGLISIVKRSIVVIVGRRAYYMSYVAQRLLEGLKAYTTMYEVSEVFVARMTLIRNLGRILSEDDKTLVTPLFPVVFIGGKLVGGLDRLIAIHVTGKLIPMLKAAGAIWL >DRNTG_02225.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1165645:1168102:1 gene:DRNTG_02225 transcript:DRNTG_02225.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ORANGE-LIKE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G06130) UniProtKB/Swiss-Prot;Acc:Q8VYD8] MASSCKVAAFSLLSLRKPSSPPKSMLIFPGGDRTWRSRSVWRGKLVVSSLFPPIRCSSTDDPNTSGESGSSFCIIEGPETLRDFVQMEQQEIQDNIKSRRNKIFLLMEEVRRLRVQQRIKSAEYIDENNDENEMPDIPSTLPFLPHMTPKTLKQLYLTAFSFISGVIVFGGLVAPVLELKLGLGGTSYEDFIRSMHLPLQLSQVDPIVASFSGGAVGVISALMLLEANNVEQQEKKRCKYCHGTGYLACARCSSSGVLVSAKPLSIAGGCEHPLQPPQTQRCPNCAGAGKVMCPTCLCTGMVMASEHDPRINPFD >DRNTG_12548.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21062694:21065645:-1 gene:DRNTG_12548 transcript:DRNTG_12548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLDHESMRELAKGLEITGKPFLWVVRASERGKLEENFNGEGKGRVCEWSEQLEVLGHGSVGCFVTHCGWNSTVEALAAGMAMVAVPQWTDQPMNGKYVEGVWGVGVRARKDENGVVRGEEIGRCVMEVMEEGDKSGEIWENASKWKRLSVEAMMEGGSSYNNILGFVEKYYGRVRV >DRNTG_12548.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21062694:21063555:-1 gene:DRNTG_12548 transcript:DRNTG_12548.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEWGAKTIGPTIPSKYLDNQIPGDTHYGFHLFAGADRCNEWLDAQPPRSVIYVSFGSMANLDHESMRELAKGLEITGKPFLWVVRASERGKLEENFNGEGKGRVCEWSEQLEVLGHGSVGCFVTHCGWNSTVEALAAGMAMVAVPQWTDQPMNGKYVEGVWGVGVRARKDENGVVRGEEIGRCVMEVMEEGDKSGEIWENASKWKRLSVEAMMEGGSSYNNILGFVEKYYGRVRV >DRNTG_31157.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:13459080:13464688:-1 gene:DRNTG_31157 transcript:DRNTG_31157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQNAMTSKEPIKVGEELPLNRRRPSPQTLAASLSMSMLKAPQKVPLESAKSNDAYVRVLIFRYFCCDFLVDICYLESIQGRALAP >DRNTG_17815.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000915.1:8947:9639:1 gene:DRNTG_17815 transcript:DRNTG_17815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQRKYVLDILTDSGVTGAKPARFPLPQGLKLSLHEGAPLSDISYAVQHLSQFVSTPKEPHMAAAMHVLRYLKGTLSKGLFYPFQQSLKITGFTDSDWAGCLMTRRSLTGYCIFMGHSLVSWKTKKQTTVSRSSTEAEYRSMASTTCELLWLSYLLADLHVNIELPMTLFCDNKAAQLIAANPCYHERTKHLDIDCHFTREKIQDGFIQTAHIPSSL >DRNTG_02999.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5102744:5109661:1 gene:DRNTG_02999 transcript:DRNTG_02999.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKLESRKLALSDLSMHAKRSLEDVKRKREQLGLEIRSLLLAGKTLSAADQQLQDANKLLSGKKGCGRLKYLQKMLRMREQYMISQVCTLYPVKASNDIISRDKLDPQSDGGKSEDLVDSQSRKSSLTILGQQLTVFPMKKLSFFGDKKEIQRSATVLGYVAHAVLLIASYLDVPLRYPVRLGGSRSYVLDYAPLVETASSDLVFSSTVGLNGKPMEFPLFLEGQDTTRAAYAVFLLNKDLEQLLNYIGAESLGPRHVLPNLNELFRIIQSNEYINK >DRNTG_02999.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5102744:5109661:1 gene:DRNTG_02999 transcript:DRNTG_02999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETAAAAPDPSPSAPNPMQGEEWVLYVVDKGINGLDNPKVIEWDDLQQELARLWSLTSELNSAKERKEALAQRLESVIKVRTESLAQSNELEEMKQKLESRKLALSDLSMHAKRSLEDVKRKREQLGLEIRSLLLAGKTLSAADQQLQDANKLLSGKKGCGRLKYLQKMLRMREQYMISQVCTLYPVKASNDIISRDKLDPQSDGGKSEDLVDSQSRKSSLTILGQQLTVFPMKKLSFFGDKKEIQRSATVLGYVAHAVLLIASYLDVPLRYPVRLGGSRSYVLDYAPLVETASSDLVFSSTVGLNGKPMEFPLFLEGQDTTRAAYAVFLLNKDLEQLLNYIGAESLGPRHVLPNLNELFRIIQSNEYINK >DRNTG_32973.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21000846:21001539:-1 gene:DRNTG_32973 transcript:DRNTG_32973.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAAEKPAEEKEKKSVAEKAPAEKKPKAGKRLPSKDAATGEKKKKKMKKGSETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >DRNTG_22902.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1359051:1361493:-1 gene:DRNTG_22902 transcript:DRNTG_22902.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVFSRPAWRCVWHLIQNDLIHGWGIDYKLGYCAQGDRTKRVGVIDSEYVVHQGVKTLGGFSEEQNTFLRRVQTSKGMIIQNQPQKKSVDQSMHRIKVRKQATLELQKFQDRWDRAIKDDENWVDPFQPHEGGSEDQVLAKKT >DRNTG_22902.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1359051:1361493:-1 gene:DRNTG_22902 transcript:DRNTG_22902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVFSRPAWRCVWHLIQNDLIHGWGIDYKLGYCAQGDRTKRVGVIDSEYVVHQGVKTLGGFSEEQNTFLRRVQTSKGMIIQNQPQKKSVDQSMHRIKVRKQATLELQKFQDRWDRAIKDDENWVDPFQPHEGGSEDQVLAKKT >DRNTG_22902.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1359051:1360539:-1 gene:DRNTG_22902 transcript:DRNTG_22902.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVFSRPAWRCVWHLIQNDLIHGWGIDYKLGYCAQGDRTKRVGVIDSEYVVHQGVKTLGGFSEEQNTFLRRVQTSKGMIIQNQPQKKSVDQSMHRIKVRKQATLELQKFQDRWDRAIKDDENWVDPFQPHEGGSEDQVLAKKT >DRNTG_25392.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24437136:24438148:1 gene:DRNTG_25392 transcript:DRNTG_25392.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 20 [Source:Projected from Arabidopsis thaliana (AT4G26640) UniProtKB/Swiss-Prot;Acc:Q93WV0] MQIGKVNREPRVVVQTVSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNVGCPVRKHVERASHDPKAVITTYEGKHNHDVPVSRNSSHDTIVAPAEDGANTLNGCMALALNGMLRTCDIKSIGHHYNQSDEMDTISLDLSVGISPRHGSSKENQQTLQPEHAESHQLQIPQVDQKDFSTTSFNAIFYHSLHGSRENNGDGFTFSAPINHPPNQYYSKAGNLVMGP >DRNTG_25392.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24436885:24438148:1 gene:DRNTG_25392 transcript:DRNTG_25392.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 20 [Source:Projected from Arabidopsis thaliana (AT4G26640) UniProtKB/Swiss-Prot;Acc:Q93WV0] MQIGKVNREPRVVVQTVSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNVGCPVRKHVERASHDPKAVITTYEGKHNHDVPVSRNSSHDTIVAPAEDGANTLNGCMALALNGMLRTCDIKSIGHHYNQSDEMDTISLDLSVGISPRHGSSKENQQTLQPEHAESHQLQIPQVDQKDFSTTSFNAIFYHSLHGSRENNGDGFTFSAPINHPPNQYYSKAGNLVMGP >DRNTG_25392.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24436232:24438148:1 gene:DRNTG_25392 transcript:DRNTG_25392.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 20 [Source:Projected from Arabidopsis thaliana (AT4G26640) UniProtKB/Swiss-Prot;Acc:Q93WV0] MKKQLERSHDGQITEVIYKGHHDHLKPQPSHRVAIGAILAGYGEEKTEGFSSLVNAEDKLLNANGQGSRHADPNGNPELSPVLVSDDDANGGGRLNNTGDEGADGDDPESKRRKQDTNVTDSMQIGKVNREPRVVVQTVSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNVGCPVRKHVERASHDPKAVITTYEGKHNHDVPVSRNSSHDTIVAPAEDGANTLNGCMALALNGMLRTCDIKSIGHHYNQSDEMDTISLDLSVGISPRHGSSKENQQTLQPEHAESHQLQIPQVDQKDFSTTSFNAIFYHSLHGSRENNGDGFTFSAPINHPPNQYYSKAGNLVMGP >DRNTG_25392.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24433210:24438148:1 gene:DRNTG_25392 transcript:DRNTG_25392.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 20 [Source:Projected from Arabidopsis thaliana (AT4G26640) UniProtKB/Swiss-Prot;Acc:Q93WV0] MVTVILEALSSSLTYSQASAGSRRQEYDTCGQVLRQSVVQLLECSQSVKAEGCAFSAHELTLTVAETRPPAEAACSNLQEAVGSDHGLTLLQLDNKGSSVSAVPEKLSNDGYNWRKYGQKHVKGCEFPRSYYKCTHPNCQMKKQLERSHDGQITEVIYKGHHDHLKPQPSHRVAIGAILAGYGEEKTEGFSSLVNAEDKLLNANGQGSRHADPNGNPELSPVLVSDDDANGGGRLNNTGDEGADGDDPESKRRKQDTNVTDSMQIGKVNREPRVVVQTVSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNVGCPVRKHVERASHDPKAVITTYEGKHNHDVPVSRNSSHDTIVAPAEDGANTLNGCMALALNGMLRTCDIKSIGHHYNQSDEMDTISLDLSVGISPRHGSSKENQQTLQPEHAESHQLQIPQVDQKDFSTTSFNAIFYHSLHGSRENNGDGFTFSAPINHPPNQYYSKAGNLVMGP >DRNTG_32891.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1042369:1044802:-1 gene:DRNTG_32891 transcript:DRNTG_32891.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAAKHLTPVVLELGGKCPTIVDTLSNLRDLKVAVRRIVGGKWGPCCGQACIGVDYLLVEDKFAPILIDLLKTTIKRFYIESDNISRIVNKQHFQRLCDLLNESSVRDSIAFGGSSDYETLKVEPTILVNPPLESLLMTKEIFGPLLPIITVKKIEDSIEFISERPKPLAIYAFTKNEHLKQRIIAETSSGSITFNDTMVQYACDALPFGGVGLSGFGKYHGKFSFDAFSHGKAVLRRSFLIEFVCRYPPWNDMKLHFMRCLYRFDYIGLLLMCLGLKKE >DRNTG_26765.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18651144:18655795:1 gene:DRNTG_26765 transcript:DRNTG_26765.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTSCSGTVESALQGVRGVQKAIVALATEEAEIQYDSKIVGADQLMKAVEDTGFEAILICTGEDRSKVELKLDGVCTERSLRIIEKSLQALPGIEKCSIDPVLNKVSLSYNPDQTGPRNFIEVIESSGSGHLKASLYPEDGGYKPHRHHEIKQYYQSFLWSLVFTIPVILTSMIFMYIPGIKHGLDIKIVNMLSIGELLRWILATPVQFIIGRRFYIGSYKALRHGSANMDVLIALGTNAAYFYSFYSVFKAATSPNFEATDFFETSATLISFILLGKYLEVLAKGKTSDAIAKLMDLAPETATLLIYDKEGNVLDELEIDSRLIQKNDVIKIMPGGKVASDGLVIWGQSHVNESMITGEARPVFKRKGDTVIGGTVNENGVLHIQATHVGSESALSQIVRLVESAQMAKAPVQKFADRISKYFVPLVITIAFFTWLSWFLAGKYHTYPKSLIPSSMDYFELALQFGITVMVIACPCALGLATPTAVMVGTGVGASQGVLIKGGHALESAHKVNCIVFDKTGTLTTGKPVVVSTKLLKNMVLCDFYEYVAAAEVNSEHPLAKAIVQYAKRLRDEENHVWPEVQDFISVTGHGVKAKVGDKEIIVGNKSLMLNSGIHIPVEAYELLAEAEQNAQTGIVVSMNQEVVGVIAISDPLKPGAQDVISILNTMKVKSIMVTGDNQGTANAIAKEVGIDTIVAEARPEQKAEKVKELQMSGFTVAMVGDGINDSPALVSADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFTRIRWNYIWALGYNIIGIPIAAGVFFPMTQVRLPPWLAGAAMAASSVSVVLCSLSLKNYKRPKQLETLQIKDIMVQ >DRNTG_26765.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18649268:18655795:1 gene:DRNTG_26765 transcript:DRNTG_26765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGKAFFFECFRSHGLWTKPRYPSMPKYPKGSSLKSPGRDDPEAAVMENKATALFSVIGMTCSACAGSVEKAIKRLPGIHDAAVDLLSNRAQEEQIRETIEDVGYVAALIKEEVNEKSTLVCRIRIIGMTCTSCSGTVESALQGVRGVQKAIVALATEEAEIQYDSKIVGADQLMKAVEDTGFEAILICTGEDRSKVELKLDGVCTERSLRIIEKSLQALPGIEKCSIDPVLNKVSLSYNPDQTGPRNFIEVIESSGSGHLKASLYPEDGGYKPHRHHEIKQYYQSFLWSLVFTIPVILTSMIFMYIPGIKHGLDIKIVNMLSIGELLRWILATPVQFIIGRRFYIGSYKALRHGSANMDVLIALGTNAAYFYSFYSVFKAATSPNFEATDFFETSATLISFILLGKYLEVLAKGKTSDAIAKLMDLAPETATLLIYDKEGNVLDELEIDSRLIQKNDVIKIMPGGKVASDGLVIWGQSHVNESMITGEARPVFKRKGDTVIGGTVNENGVLHIQATHVGSESALSQIVRLVESAQMAKAPVQKFADRISKYFVPLVITIAFFTWLSWFLAGKYHTYPKSLIPSSMDYFELALQFGITVMVIACPCALGLATPTAVMVGTGVGASQGVLIKGGHALESAHKVNCIVFDKTGTLTTGKPVVVSTKLLKNMVLCDFYEYVAAAEVNSEHPLAKAIVQYAKRLRDEENHVWPEVQDFISVTGHGVKAKVGDKEIIVGNKSLMLNSGIHIPVEAYELLAEAEQNAQTGIVVSMNQEVVGVIAISDPLKPGAQDVISILNTMKVKSIMVTGDNQGTANAIAKEVGIDTIVAEARPEQKAEKVKELQMSGFTVAMVGDGINDSPALVSADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFTRIRWNYIWALGYNIIGIPIAAGVFFPMTQVRLPPWLAGAAMAASSVSVVLCSLSLKNYKRPKQLETLQIKDIMVQ >DRNTG_26765.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18649268:18649658:1 gene:DRNTG_26765 transcript:DRNTG_26765.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATGKAFFFECFRSHGLWTKPRYPSMPKYPKGSSLKSPGRDDPEAAVMENKATALFSVIGMTCSACAGSVEKAIKRLPGIHDAAVDLLSNRAQVLFYPAFRLGEC >DRNTG_05432.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:4606100:4698308:-1 gene:DRNTG_05432 transcript:DRNTG_05432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRCRSRRTSPKPWLIPLETLAKVLSQIGEKMEKRISKSGLKSALNRAGIGRLHGRVVNALKSKKEAKMGYKDIMLGVLVQFKDQYMRGVYKRGDVCQLPRRFKSIH >DRNTG_06385.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16646712:16648689:1 gene:DRNTG_06385 transcript:DRNTG_06385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNRKLNKNLCSHLTNFLTTSCWKYSPTSVM >DRNTG_31371.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28458125:28460289:1 gene:DRNTG_31371 transcript:DRNTG_31371.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKQALIDHDSVNGFHEDSQYSSEPVPQQHDLTTDTSVLKLGREIFQFNHKKLYFLCIHIYIYIMIQVEVKEKKAGHECIEERVTY >DRNTG_27145.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:8270904:8271235:1 gene:DRNTG_27145 transcript:DRNTG_27145.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLGLLGSFPAFFFTSFAFGFTSFFLTNNLKTKPSDPSEITTNHERKTPKSPHSHCGSRSRIRVRRATTNNT >DRNTG_12162.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12173952:12176265:-1 gene:DRNTG_12162 transcript:DRNTG_12162.3 gene_biotype:protein_coding transcript_biotype:protein_coding MITNAVPHDSHTFQFLFKSCSLPSMSLLHGKVIHGLFLRLFPEFDALPLNSLIHLYVAFGCLDDARWVFDGIGMKDVVSWTTIVAGLARAGCLDDARKLFDEMPERNVVSWTSMVSGYSQAGRAAESVEFFKKMISDDVLPDTVAMVAVLSACGQLRDLGLSKWVHQYVIDAGIGMSDNLAVALIDVYAKCGDLNSARQVFDLNGWKVLPAWNALIDGYCKVGDVDMARSLFDQTEVRDLITFNSMICGYIQSSQLKQALHLFVELRTSGFQPDKFTMVGLLSACANLNSLDQGKALHAYIEVSSIVFDVFLGTALLDMYAKCGRMDEALLVFNRMRDKDVMTWTAVISGLAMNGKGKLALEHFALMRKLSIRPNAVAYIGVLTACSHSNLVEEARKHFHEMTSLYNLEPEVEHYGCMVDILGRGGLLEEAVKLIDSMPIKPNAVIWGSLLSACRVYKNVDVGEKAAKNILALEPNEDAVYVQLSNLYANSGNWASASKIRRLMEERGIKKTAGYSSLSVAGQVHKFIAGDRCHPEINEIEAMMNVMAKKLKLAGYLPITSKISVDVNEEEKEQALFLHSERIAIAYGLMRLGASLPIHITKNLRVCEDCHIAIKLIAKIWNRKIVVRDRSRFHHFSDGQCSCNDFW >DRNTG_12162.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12171392:12176265:-1 gene:DRNTG_12162 transcript:DRNTG_12162.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITNAVPHDSHTFQFLFKSCSLPSMSLLHGKVIHGLFLRLFPEFDALPLNSLIHLYVAFGCLDDARWVFDGIGMKDVVSWTTIVAGLARAGCLDDARKLFDEMPERNVVSWTSMVSGYSQAGRAAESVEFFKKMISDDVLPDTVAMVAVLSACGQLRDLGLSKWVHQYVIDAGIGMSDNLAVALIDVYAKCGDLNSARQVFDLNGWKVLPAWNALIDGYCKVGDVDMARSLFDQTEVRDLITFNSMICGYIQSSQLKQALHLFVELRTSGFQPDKFTMVGLLSACANLNSLDQGKALHAYIEVSSIVFDVFLGTALLDMYAKCGRMDEALLVFNRMRDKDVMTWTAVISGLAMNGKGKLALEHFALMRKLSIRPNAVAYIGVLTACSHSNLVEEARKHFHEMTSLYNLEPEVEHYGCMVDILGRGGLLEEAVKLIDSMPIKPNAVIWGSLLSACRVYKNVDVGEKAAKNILALEPNEDAVYVQLSNLYANSGNWASASKIRRLMEERGIKKTAGYSSLSVAGQVHKFIAGDRCHPEINEIEAMMNVMAKKLKLAGYLPITSKISVDVNEEEKEQALFLHSERIAIAYGLMRLGASLPIHITKNLRVCEDCHIAIKLIAKIWNRKIVVRDRSRFHHFSDGQCSCNDFW >DRNTG_12162.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12171064:12176265:-1 gene:DRNTG_12162 transcript:DRNTG_12162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITNAVPHDSHTFQFLFKSCSLPSMSLLHGKVIHGLFLRLFPEFDALPLNSLIHLYVAFGCLDDARWVFDGIGMKDVVSWTTIVAGLARAGCLDDARKLFDEMPERNVVSWTSMVSGYSQAGRAAESVEFFKKMISDDVLPDTVAMVAVLSACGQLRDLGLSKWVHQYVIDAGIGMSDNLAVALIDVYAKCGDLNSARQVFDLNGWKVLPAWNALIDGYCKVGDVDMARSLFDQTEVRDLITFNSMICGYIQSSQLKQALHLFVELRTSGFQPDKFTMVGLLSACANLNSLDQGKALHAYIEVSSIVFDVFLGTALLDMYAKCGRMDEALLVFNRMRDKDVMTWTAVISGLAMNGKGKLALEHFALMRKLSIRPNAVAYIGVLTACSHSNLVEEARKHFHEMTSLYNLEPEVEHYGCMVDILGRGGLLEEAVKLIDSMPIKPNAVIWGSLLSACRVYKNVDVGEKAAKNILALEPNEDAVYVQLSNLYANSGNWASASKIRRLMEERGIKKTAGYSSLSVAGQVHKFIAGDRCHPEINEIEAMMNVMAKKLKLAGYLPITSKISVDVNEEEKEQALFLHSERIAIAYGLMRLGASLPIHITKNLRVCEDCHIAIKLIAKIWNRKIVVRDRSRFHHFSDGQCSCNDFW >DRNTG_11112.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30573449:30576764:-1 gene:DRNTG_11112 transcript:DRNTG_11112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDGGSWVCRARFPRVPFPRLDTVKLSESLLSAQQLDLNKSSLDLKLKNPRSLSKTMSFTPGSNVSSSAATSSNDLDQKLKKLNVDSSESKPTTSRKIDPDSRKSNLFLNRNERRSRGNRRSVSPLRSTELSDTFKEARSHGKRFFSPPLRRHKSQNKSVSKDAFVQHATRAATPSIMDKLKGKKDNSWSSCFDAGVGKVMAIETTEEWSVDLSKLYIGLRFASGAHSRLYQGLYKDQAVAVKIIRQPDDDENKTMAVRLEKQFTREATLLSHLYHRNVIKLEGACRNPPVFCIITEYLSGGSLRSFLHKLQHESLPLQKLIAIALDIARGMEYIHSQGVIHRDLKPENILFDEHFCVKIADFGIACEEACCDALADDPGTYRWMAPEMIKHKSYGRKVDVYSFGLLLWEMVTGTIPYQDMTPIQAAFAVVDKNLRPVIPCDCPAELGCLIKQCWALQPEKRPEFWQIVKVLEQFESDVARDGKLSSIQKLNFQDHKKQLFNWIHKPKASHAYFRTSLSKMS >DRNTG_11112.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30573449:30576764:-1 gene:DRNTG_11112 transcript:DRNTG_11112.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDGGSWVCRARFPRVPFPRLDTVKLSESLLSAQQLDLNKSSLDLKLKNPRSLSKTMSFTPGSNVSSSAATSSNDLDQKLKKLNVDSSESKPTTSRKIDPDSRKSNLFLNRNERRSRGNRRSVSPLRSTELSDTFKEARSHGKRFFSPPLRRHKSQNKSVSKDAFVQHATRAATPSIMDKLKGKKDNSWSSCFDAGVGKVMAIETTEEWSVDLSKLYIGLRFASGAHSRLYQGLYKDQAVAVKIIRQPDDDENKTMAVRLEKQFTREATLLSHLYHRNVIKLEGACRNPPVFCIITEYLSGGSLRSFLHKLQHESLPLQKLIAIALDIARGMEYIHSQGVIHRDLKPENILFDEHFCVKIADFGIACEEACCDALADDPGTYRWMAPEMIKHKSYGRKVDVYSFGLLLWEMVTGTIPYQDMTPIQAAFAVVDKNLRPVIPCDCPAELGCLIKQCWALQPEKRPEFWQIVKVLEQFESDVARDGKLSSIQKLNFQDHKKQLFNWIHKPKASHAYFRTSLSKMS >DRNTG_25415.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24603744:24606642:1 gene:DRNTG_25415 transcript:DRNTG_25415.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAATVRSSVKPRCKPRKEVARSVQKKEAWKEGRGMKSRFVETRLDSSFSSEDSSRSGGSLKKGPGLKSSVKAALNSVRVPSLSLPVLTMNSLRCAWITPFSEPLYVSFHDEEWGVPVHDDRKLFELLVLSMALAELRWPAILSNRDIFRKIFDNFDPQSVAKFDEKKLITIRTSGSTLLSEQKMCAVVENAKQTLKIIEEFGSFNHYFWSFVNHKPIVNGFRYARQVPVKSPKAENISKDLMQRGFRCVGPTVIYSFMQAAGIVNDHLTSCFRFQGCISSHGKEFKPADNETLRDDK >DRNTG_25415.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24603744:24606747:1 gene:DRNTG_25415 transcript:DRNTG_25415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAATVRSSVKPRCKPRKEVARSVQKKEAWKEGRGMKSRFVETRLDSSFSSEDSSRSGGSLKKGPGLKSSVKAALNSVRVPSLSLPVLTMNSLRCAWITPFSEPLYVSFHDEEWGVPVHDDRKLFELLVLSMALAELRWPAILSNRDIFRKIFDNFDPQSVAKFDEKKLITIRTSGSTLLSEQKMCAVVENAKQTLKVKFQPVQLSVLHCDLIPLFSLEKLLISL >DRNTG_09223.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000395.1:4927:5586:1 gene:DRNTG_09223 transcript:DRNTG_09223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMLTRSSIHIWVIDYPPHLTLGQIFYDLCGGYHCVPGLFKASLLTRLAHRDFHAILNRSSATFTIRVSTYE >DRNTG_14338.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16119825:16120981:-1 gene:DRNTG_14338 transcript:DRNTG_14338.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTGTDTCYLWAEGCFLSLLLVGWSGMRSYGKEYITASLIREFLMIAVSCMLDPLLFYVLPESVPIPMLCGAEHLLFAGIKLFLCRGLVQ >DRNTG_17035.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10774732:10779222:1 gene:DRNTG_17035 transcript:DRNTG_17035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKPSEKKRKKKGRPSLIDLQKRSLRLQQEQQSPNPNPNSNPKFASPPPHRRITRRNPNPEPEHDRPEEEDEDDEDGASGGKRREKKLKFVLKLPRNASDSACSGSDVDGEQARGESNVKTSAGNGTDGLRGNPPVSGPTTPLPDKKLIVFILDRLQKKDTYGVFSEPVDPEELPDYHEIIAHPMDFSTVRERLSSGAYQNLEQFESDVFLISSNAMTYNAPDTIYYRQARTIQDLAKKSFENLRQESDNEQEPKIVRRGRPPSKNTAKRTVGKPPDRAGSDFSGNATLAGASDNGHWSNFAQDLARKASTVDKHSPADIYVKGPYGLRKTETSNWVGDNRSERDQENPGLILKGVPTKFGKKPIVIDDNRRNTYKQSQLSASVQELPTLTSFDGENQQLLPIGVHMEHAYARSLARFAAKLSPVAWTIAAEKIKSVLPPGTKFGRGWVGDCEAPQQSHTAVPFTSPNPSQDKLIPSSGVPNNVELSGEQELPHKNSHTEDTLTQRDPPRMPALAAMSRSESELESVKDPNTESRFNPQNSKSDVASNGPAMQHKTPLFQFHQNPLIKTEANGFNSTFGVHLTQDGKITGPISLPKIMNLERPMTHAHVLDMVSAGNNNFIHQAPRSHSDIERVTSVSNQSIVNSSNPVPDSSRHSPAPWRSMPLNSRQQSVPPDLNIRFQSPGSPVSGVMVDSQQPDLALQL >DRNTG_22007.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20610427:20617616:-1 gene:DRNTG_22007 transcript:DRNTG_22007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVDPNVLKLGFRFKQDLQYLSSTFCSHGCDPGFDRVEPFLDITTVYHLLKCQIAGKRFPRETKSLATICEEILNISLSKELQVSDWSFRPLTEEQISYAAADAYFLLEIFAVLKHKFFAEGPNASHSAVGLKENMESSEPSDDVLGIKFCKASDMIESSVLKCHPSKCPSIAEISGPSHKNIPSLDEHLLTIIRKYGERIILTESDRKPRNSRRKGKQLSSMSVKSKKHLEINGNWQGLAPWDSSVGGDGCPKFLCDIMVEGLAKHLRCVGIDAAIPPSKKPDPRHLLDQAYKEKRVILTRDSKLLKHQYLIQDQIYLVKSLLKNDQLLEVIETFQLKITEEQLMSRCTKCNGRFIQKPLTMEEAIAASKGFQVIPNCLFNRAIEFWQCTDCKQLYWE >DRNTG_15838.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25109949:25110621:-1 gene:DRNTG_15838 transcript:DRNTG_15838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIESHREGAEIVKGDASCKKKAAEVLGELGLPNGLFPLDDIQEFGYNRAGGFIWLVQKKKKEHTFKKIKQVVSYATEVTAFVEKGKMIKISGVKTRELLLWLSVVEMYIEDPSSGKITFKTGTGLSDSFPVSAFELE >DRNTG_11476.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15484520:15491249:1 gene:DRNTG_11476 transcript:DRNTG_11476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPNEKLAGCQTIDEDMQMVETEILTQVIGNERCGRYLGVTIPGINNTGSSSQSQPRLVQRSPLVKPRAHLARSVPMKASPITIFQEKHDVEAVEPLQKPCQ >DRNTG_10794.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000463.1:8696:10805:1 gene:DRNTG_10794 transcript:DRNTG_10794.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPILTRTLNLIQSPSARLLTVRRCSGRPKKEVVGKLVEIDLEEESTATSKADVEVLRVRRLEDAIHGIIVRRAAPDWLPFRPGSSYWVPPRPTSLGLVELVEKLANPMSEEERLSFSSVRGWPSLDYFVQGTVLKAGSWKDMIDIGIPFKMQFLFLIILVGEVKFYPFLTDLLCSLEINAAGISMGNVLLWFYGYYLVWNFVASLFCDPPHHVKKNIKAVIAQSDDDEG >DRNTG_22715.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1497539:1512187:1 gene:DRNTG_22715 transcript:DRNTG_22715.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 54, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19490) UniProtKB/Swiss-Prot;Acc:F4JT76] MEAPPPPARALRSSSSGAGDSPSFSSGAGTFVHSLSSILNNPHAARSDAYWSLWGPSTAVAEPAPPSSIPTDSVSRSDFQPYLSTISEPFSRFQDVRNHATTESSAGAEIRGPGQALVACLREVPSLFFKEDFALEEGETFRAACPFSPSPDENAALQERLTQYLDVVEMHLVKEISLRSDSFFEAQGQLQALNGEIVEACVRIRELKETIRILNVNLVDSARQVQEHNAARGNLVALQQKLALILYVNQALSALKLLVAAADCAGALDITDDLQHLLDTDELAGLHCFRHLREQLGASLDTVNSILSAEFLRMAVYDAKAVRSVILSKIKAKTANSINGVEDEVVLDDDESSILRDHLLPLIISLLRTAKLPTVLKVYRDTLTNDMRGAIKITVAELIAVLVARSSDSDLANSERAVDADGGGLSLANKLRSLSSESFVQLLVAIFKVVKAHLLRAAEVKRIVEWIMDNLDGYFAIDSASVTTIASVDAHDNNGQVVAPTTYSRNAPKALLFPGKINDASSPCTSKSFRVDVLRENTEALVAACDAAHARWAKLLGVRALLHPKLKLQEFLSIYDITMAFIAATEKIGGRLGYSIRGTLQSQSKAFLDFQHDSRMAKIKAVLDQETWVSVDVPDEFQAIVVSLSSFDTPASDPELAESEGLEEPLTGNESVQSSPDHPRQDPSETLTAPVHEKKVNSTPQTQSKNASLNEHGKSTSQTLVCRGIGYHMVNCGLILLKMLSEYLDISKCLPVLAPEVVQRVVEILKLFNTRTCQLVLGAGAMQVSGLKSITSKHLALTSQVISFIYAIIPGIFYYRSSMNLIRSSSSVLQFVNCILPFAPAC >DRNTG_22715.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1497539:1512187:1 gene:DRNTG_22715 transcript:DRNTG_22715.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 54, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19490) UniProtKB/Swiss-Prot;Acc:F4JT76] MEAPPPPARALRSSSSGAGDSPSFSSGAGTFVHSLSSILNNPHAARSDAYWSLWGPSTAVAEPAPPSSIPTDSVSRSDFQPYLSTISEPFSRFQDVRNHATTESSAGAEIRGPGQALVACLREVPSLFFKEDFALEEGETFRAACPFSPSPDENAALQERLTQYLDVVEMHLVKEISLRSDSFFEAQGQLQALNGEIVEACVRIRELKETIRILNVNLVDSARQVQEHNAARGNLVALQQKLALILYVNQALSALKLLVAAADCAGALDITDDLQHLLDTDELAGLHCFRHLREQLGASLDTVNSILSAEFLRMAVYDAKAVRSVILSKIKAKTANSINGVEDEVVLDDDESSILRDHLLPLIISLLRTAKLPTVLKVYRDTLTNDMRGAIKITVAELIAVLVARSSDSDLANSERAVDADGGGLSLANKLRSLSSESFVQLLVAIFKVVKAHLLRAAEVKRIVEWIMDNLDGYFAIDSASVTTIASVDAHDNNGQVVAPTTYSRNAPKALLFPGKINDASSPCTSKSFRVDVLRENTEALVAACDAAHARWAKLLGVRALLHPKLKLQEFLSIYDITMAFIAATEKIGGRLGYSIRGTLQSQSKAFLDFQHDSRMAKIKAVLDQETWVSVDVPDEFQAIVVSLSSFDTPASDPELAESEGLEEPLTGNESVQSSPDHPRQDPSETLTAPVHEKKVNSTPQTQSKNASLNEHGKSTSQTLVCRGIGYHMVNCGLILLKMLSEYLDISKCLPVLAPEVVQRVVEILKLFNTRTCQLVLGAGAMQVSGLKSITSKHLALTSQVISFIYAIIPDIRQVLFLKIPESRKVLLFSEIDRVAQDYKTHRDEIHTKLVQIMRERLLANLRKLPQIVENWNSADEIDQQGSQFARSVTKEVSYLHRILSQTLLENDVQAIFRQVVEIFHSHISDGFSKLELTSPQANNRLCRDIQIILGCIRKLPSGNTVESVPNYGLLDELLLEKFGIQTGQ >DRNTG_07057.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3834054:3838618:-1 gene:DRNTG_07057 transcript:DRNTG_07057.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CASP [Source:Projected from Arabidopsis thaliana (AT3G18480) UniProtKB/Swiss-Prot;Acc:Q9LS42] MDHSQPSSDRDKPGAPIAAVSSFWREFDLEKERSGLDEQGLKIAENQENSQKNRRKLAESTRDFKKASAEEKLNLFNSLLKGYQEEVDNLTKRAKFGENAFLNIYQKFYEAPDPYPALASIAEQDFKLSELESENRKMKLELEEYRTEATHLKNQQATIRRLEERNRQLEQQMEEKVRELVEMKQRSMAEENQKTLEVLKERELLLQDQLRQAKESVMNMQKIHESAQNQLFQLRAQSEEERAAKQAEVNLLTNEVELAQARLISLEREKGLLHSQLQSTNEGDEDSKIVRLPIIALQAIYQSFLMCYFFNI >DRNTG_07057.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3827676:3833703:-1 gene:DRNTG_07057 transcript:DRNTG_07057.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CASP [Source:Projected from Arabidopsis thaliana (AT3G18480) UniProtKB/Swiss-Prot;Acc:Q9LS42] MLENSLNAKEKLISELNTELRNVETTLSNEREQHMNEIKKLNALLNEKDAAIMTIKKELQDRPTAKLVEDLRKKVKILQAVGYNSIEAEDWELATNEEEMSKLESLLLDKNRKMEHELTQLKVKLSEKSSMLEAAEAQIAELTAKVNEQQGLIVKLEDDILKGYSSNERKGSVLTDWDLQDIGAAEVPGGSEQRQASSDQDQSSMLKVICSQRDRFRNRLRETEEEVRQLKEKVGLLTEELEKTKADNVKLYGKIRYVQDYNHEKIVSRGPKRYAEDIESGFSSDVESKYKKIYEDDINPFAAFSKRERDQRYKELGFRDKITLTSGRFLLGNKYARTFIFFYSIGLHLLVFTCLYRMSALSYLSTTHGHEEILCRRQ >DRNTG_07057.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3827676:3833703:-1 gene:DRNTG_07057 transcript:DRNTG_07057.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CASP [Source:Projected from Arabidopsis thaliana (AT3G18480) UniProtKB/Swiss-Prot;Acc:Q9LS42] MLENSLNAKEKLISELNTELRNVETTLSNEREQHMNEIKKLNALLNEKDAAIMTIKKELQDRPTAKLVEDLRKKVKILQAVGYNSIEAEDWELATNEEEMSKLESLLLDKNRKMEHELTQLKVKLSEKSSMLEAAEAQIAELTAKVNEQQGLIVKLEDDILKGYSSNERKGSVLTDWDLQDIGAAEVPGGSEQRQASSDQDQSSMLKVICSQRDRFRNRLRETEEEVRQLKEKVGLLTEELEKTKADNVKLYGKIRYVQDYNHEKIVSRGPKRYAEDIESGFSSDVESKYKKIYEDDINPFAAFSKRERDQRYKELGFRDKITLTSGRFLLGNKYARTFIFFYSIGLHLLVFTCLYRMSALSYLSTTHGHEEILCRRQ >DRNTG_07057.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3827676:3833703:-1 gene:DRNTG_07057 transcript:DRNTG_07057.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CASP [Source:Projected from Arabidopsis thaliana (AT3G18480) UniProtKB/Swiss-Prot;Acc:Q9LS42] MLENSLNAKEKLISELNTELRNVETTLSNEREQHMNEIKKLNALLNEKDAAIMTIKKELQDRPTAKLVEDLRKKVKILQAVGYNSIEAEDWELATNEEEMSKLESLLLDKNRKMEHELTQLKVKLSEKSSMLEAAEAQIAELTAKVNEQQGLIVKLEDDILKGYSSNERKGSVLTDWDLQDIGAAEVPGGSEQRQASSDQDQSSMLKVICSQRDRFRNRLRETEEEVRQLKEKVGLLTEELEKTKADNVKLYGKIRYVQDYNHEKIVSRGPKRYAEDIESGFSSDVESKYKKIYEDDINPFAAFSKRERDQRYKELGFRDKITLTSGRFLLGNKYARTFIFFYSIGLHLLVFTCLYRMSALSYLSTTHGHEEILCRRQ >DRNTG_07057.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3827676:3838618:-1 gene:DRNTG_07057 transcript:DRNTG_07057.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CASP [Source:Projected from Arabidopsis thaliana (AT3G18480) UniProtKB/Swiss-Prot;Acc:Q9LS42] MDHSQPSSDRDKPGAPIAAVSSFWREFDLEKERSGLDEQGLKIAENQENSQKNRRKLAESTRDFKKASAEEKLNLFNSLLKGYQEEVDNLTKRAKFGENAFLNIYQKFYEAPDPYPALASIAEQDFKLSELESENRKMKLELEEYRTEATHLKNQQATIRRLEERNRQLEQQMEEKVRELVEMKQRSMAEENQKTLEVLKERELLLQDQLRQAKESVMNMQKIHESAQNQLFQLRAQSEEERAAKQAEVNLLTNEVELAQARLISLEREKGLLHSQLQSTNEGDEDSKIDYQESSDMLENSLNAKEKLISELNTELRNVETTLSNEREQHMNEIKKLNALLNEKDAAIMTIKKELQDRPTAKLVEDLRKKVKILQAVGYNSIEAEDWELATNEEEMSKLESLLLDKNRKMEHELTQLKVKLSEKSSMLEAAEAQIAELTAKVNEQQGLIVKLEDDILKGYSSNERKGSVLTDWDLQDIGAAEVPGGSEQRQASSDQDQSSMLKVICSQRDRFRNRLRETEEEVRQLKEKVGLLTEELEKTKADNVKLYGKIRYVQDYNHEKIVSRGPKRYAEDIESGFSSDVESKYKKIYEDDINPFAAFSKRERDQRYKELGFRDKITLTSGRFLLGNKYARTFIFFYSIGLHLLVFTCLYRMSALSYLSTTHGHEEILCRRQ >DRNTG_24941.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21359631:21361274:1 gene:DRNTG_24941 transcript:DRNTG_24941.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPPNSDEEMAEVVEELPEGSKSPSPQDRSAQCQNASDSAFTTQSTVVQEMKTRTGSEEVVQSVTGEWSESVNPPSDEPLMQSHGTQQEPSVNEQLELLSITPKSTVKSSKRSRRKSEVEQLLETQTNIEDLNKFGRGNSRLPVTESKSVNMELEPSAEKSSLLEDTHSLSVNQESSKGAMKHGNSGAKAHKTITGPRRTSKRLAILNSVQKPDLQTSDIPQQIIHSSSKKAKEVAPQTEPVLVKPDAETAEQPADLDKILATPFRGPLVDIMACPCIEFAVRTLTNDLPEFD >DRNTG_24941.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21356641:21361274:1 gene:DRNTG_24941 transcript:DRNTG_24941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEKPPEWLPDGWIMEIRKTRTGSMYRCYFDPSTGSKFYSGKEAQRHLKDGKQCSTKSSQKRSQNTNLDNVIAQFEHSPDGLPRGWIKETKFRKSTKQRSGMRKDPYYTEPVTGYVFRSLKDCVRYIDTGKISKHAFLPKNLQKSNTSSSDMESSPPNSDEEMAEVVEELPEGSKSPSPQDRSAQCQNASDSAFTTQSTVVQEMKTRTGSEEVVQSVTGEWSESVNPPSDEPLMQSHGTQQEPSVNEQLELLSITPKSTVKSSKRSRRKSEVEQLLETQTNIEDLNKFGRGNSRLPVTESKSVNMELEPSAEKSSLLEDTHSLSVNQESSKGAMKHGNSGAKAHKTITGPRRTSKRLAILNSVQKPDLQTSDIPQQIIHSSSKKAKEVAPQTEPVLVKPDAETAEQPADLDKILATPFRGPLVDIMACPCIEFAVRTLTNDLPEFD >DRNTG_34891.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21846033:21847831:-1 gene:DRNTG_34891 transcript:DRNTG_34891.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIARKFLWANHDHAGMPLVNWDTVTSCKSERGLGIRHLPLVKHSLMAKNLLNFLNNHNSLWVDILNLKYGNHNFWSMAAPPNCSGFFRGLCNSANIIKPFLWINCLNPSITSFLYHPWMFEIPIAYKPVFLNMDLDVTDCCITDFIENSMWSMDALNSLFGPNWSSPIISHGKIVSGVNNHWLWFLDSKGNKISSNVYNFLTSNKVEAQGWCGWSNIWKLNIAPKAKHFIWLLNHGKIKTYEFLYLNLGPPDPCVFCGLVLESADHLFRSCPVSLRIWRMVELIASIKTNLVDLLTSGAWLDFSFVGNSKFVASIIAATIWHIWKCRCNFIFRQERPDFCKIANWVVLHVQDFLISPNSFKMQNYLMNSRPHPGFMGIFSAAAWNSASGIGGLGFVLIDSNANVCCAGCVNCNFTSSVDMELKALNQALSYSMEARLCVSNVYISSVELWKAFHLLENEVGWRHVHSFLCLRELLQLSNHPSVEVIPHQWNRIAAALAGHSISFPHLSLFHRGLEKPKWLMEMISQAGFS >DRNTG_11480.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15354506:15356299:-1 gene:DRNTG_11480 transcript:DRNTG_11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIRSLLRHRRSSKSKAASPSSPSSPSTNRPAGSDLELVFRKFDSNGDGQISTPELANILESLGQKASEEELSRMMAVADADGDGFISLNEFLDLMAADDASALEDLRHAFAVFDTDRSGSISAEELATVLRSLGEGASVAQCRRMIDSVDRDGDGMVSFEEFRIMMTSRSASLTASHKI >DRNTG_22551.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001244.1:26173:36040:-1 gene:DRNTG_22551 transcript:DRNTG_22551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPSLKSINSYGMFPLPILGFLVVDQVHKNVSEISNNIGNKSGSVIGDEQENEGGNNSDSMKDDEERLIDVPFISYNSDVDDEVEEASSKIRSYVQLVRSLQGSDNAVNKDDDVVSIDKDPEEIIIDRNETAGLQDILENPQSIKNMTAPSIIKNVPLDIGKFSGYISDYIESSDPSSYSDTSEDFNVDDAKGDNSNRLIYDLDVPVNDFSLDLRKKEIVATKGKSKTQFNKQ >DRNTG_12561.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20973395:20975314:1 gene:DRNTG_12561 transcript:DRNTG_12561.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 4A, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25480) UniProtKB/Swiss-Prot;Acc:Q56XR7] MNSLQNPQFLGILNISPPPKLPNPRKSFPFVNPISGRLTDPSSSHISLKTFIFPFLSTPLPSFAAEVDESSGKINIESILISIDDFFNRYPFFVAGVTFIWLVAIPLFQEYVLRKCKPIMAIDAYRKFRDLPNSQLLDIRKKKSFMFMDSPNLSIFSKNTVWMEFDEENEDLFVKEVLKIFEDPGNTVLCVLDNFDGNSLKVAELLFKNGFKEAYAIEGGLRGKDGWEEIQEDLLPPSVRVYPRKKKKSKKLSQSNLNSLVKDEKASDNDQALSNNTENGYVSTMNEVPPQETSVQQRPLSPYPNYSDLKPPSSPTPSKPQT >DRNTG_12561.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20973395:20975314:1 gene:DRNTG_12561 transcript:DRNTG_12561.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 4A, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25480) UniProtKB/Swiss-Prot;Acc:Q56XR7] MNSLQNPQFLGILNISPPPKLPNPRKSFPFVNPISGRLTDPSSSHISLKTFIFPFLSTPLPSFAAEVDESSGKINIESILISIDDFFNRYPFFVAGVTFIWLVAIPLFQEYVLRKCKPIMAIDAYRKFRDLPNSQLLDIRKKKSFMFMDSPNLSIFSKNTVWMEFDEENEDLFVKEVLKIFEDPGNTVLCVLD >DRNTG_14966.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24092445:24098351:-1 gene:DRNTG_14966 transcript:DRNTG_14966.7 gene_biotype:protein_coding transcript_biotype:protein_coding MERENLNPLFKGFLRINCPTRVPLTNITIIFNDLTTFLFDNQYFRDIIRGRGLFTVDSELSVHPRTAAFVQRFAADLRYFYSVFSTAFVKLSTMQVLTGDGDGGEVRRVFMGENQPYFWPMFFIC >DRNTG_14966.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24092445:24098351:-1 gene:DRNTG_14966 transcript:DRNTG_14966.5 gene_biotype:protein_coding transcript_biotype:protein_coding MERENLNPLFKGFLRINCPTRVPLTNITIIFNDLTTFLFDNQYFRDIIRGRGLFTVDSELSVHPRTAAFVQRFAADLRYFYSVFSTAFVKLSTMQVLTGDGDGGEVRRVFMGENQPYFWPMFFIC >DRNTG_14966.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24092445:24098351:-1 gene:DRNTG_14966 transcript:DRNTG_14966.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDKNFGVRGLELIRVVKRVVEEKCPGVVSCADLVAMAAREAVARSGGPRIVIPFGRKDAVNGSRMMAEEKVPGHDDGVDAALGLFSPFDMSVEETVAILGEFFCFLSIPCSFP >DRNTG_14966.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24092379:24098351:-1 gene:DRNTG_14966 transcript:DRNTG_14966.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDKNFGVRGLELIRVVKRVVEEKCPGVVSCADLVAMAAREAVARSGGPRIVIPFGRKDAVNGSRMMAEEKVPGHDDGVDAALGLFSPFDMSVEETVAILGEFFCFLSIPCSFP >DRNTG_14966.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24092379:24098351:-1 gene:DRNTG_14966 transcript:DRNTG_14966.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDKNFGVRGLELIRVVKRVVEEKCPGVVSCADLVAMAAREAVARSGGPRIVIPFGRKDAVNGSRMMAEEKVPGHDDGVDAALGLFSPFDMSVEETVAILGEFFCFLSIPCSFP >DRNTG_14966.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24092445:24098399:-1 gene:DRNTG_14966 transcript:DRNTG_14966.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDKNFGVRGLELIRVVKRVVEEKCPGVVSCADLVAMAAREAVARSGGPRIVIPFGRKDAVNGSRMMAEEKVPGHDDGVDAALGLFSPFDMSVEETVAILGEFFCFLSIPCSFP >DRNTG_14966.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24092445:24098351:-1 gene:DRNTG_14966 transcript:DRNTG_14966.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDKNFGVRGLELIRVVKRVVEEKCPGVVSCADLVAMAAREAVARSGGPRIVIPFGRKDAVNGSRMMAEEKVPGHDDGVDAALGLFSPFDMSVEETVAILGEFFCFLSIPCSFP >DRNTG_29600.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7197807:7199884:-1 gene:DRNTG_29600 transcript:DRNTG_29600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEEEQQQEQEQEQQQQKKSNYYSVLGVHPNASPSELRSAYKKLAMKWHPDKLGRTEDPCIVAEANQSFQLIHEAYQVLSDEKKRILYDSGLYNNSFEDEKDTEVWIQISAFD >DRNTG_29600.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7197807:7199884:-1 gene:DRNTG_29600 transcript:DRNTG_29600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEEEQQQEQEQEQQQQKKSNYYSVLGVHPNASPSELRSAYKKLAMKVVFNAW >DRNTG_29600.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7197807:7199884:-1 gene:DRNTG_29600 transcript:DRNTG_29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEEEQQQEQEQEQQQQKKSNYYSVLGVHPNASPSELRSAYKKLAMKWHPDKLGRTEDPCIVAEANQSFQLIHEAYQVLSDEKKRILYDSGLYNNSFEDEKDTEGFTDFLHEMMTLMAQVRREGKQYSLEELQGMLADMTKSVENWDSSSSACFSSDAPTSTKRSCDSETQKSGR >DRNTG_03835.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23456195:23456787:1 gene:DRNTG_03835 transcript:DRNTG_03835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPMRKLPHGRTKHLGDSLRCPEQSQGCASAPVGRAHGHA >DRNTG_14749.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:184049:184657:1 gene:DRNTG_14749 transcript:DRNTG_14749.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLFIYLFIYFFLSVVKRIRATIDLPLIISKSSTSNKTSFSRSSSRTKMRTMARPMMRSRIPDR >DRNTG_07324.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3219878:3220415:1 gene:DRNTG_07324 transcript:DRNTG_07324.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRDNGRISACGMISQYNLEELEGVHFLVKMITKRLTMKGFLVGDYFNLYPKFLEVIMPYIKNGKITYLEDKTEGIENAPAALVGLFTGKNVGKQLVVLADE >DRNTG_07324.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3218518:3220415:1 gene:DRNTG_07324 transcript:DRNTG_07324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGDENGVVVKRNKKVLLKKYVNGFVEESDMEVVTNETILLELPQGSKDIVLVKNLYLSCDPFMRGLMLEMSESHYMEAFVPGSVIKGFGVSRVLKSGHPNFKEGEYVWAITGWEEYSLITDTEKIFKIPFTNVPLSYYTGLLGMTGFTAYVGFNEICNPKKGEYVFVSAASGAVGQIVGQLAKLMGCYVVGSAGSDEKVELLKTKFGFDDAFNYKKEKSLGAALKRCFPKGIDIYFDNVGGATLDAALLNMRDNGRISACGMISQYNLEELEGVHFLVKMITKRLTMKGFLVGDYFNLYPKFLEVIMPYIKNGKITYLEDKTEGIENAPAALVGLFTGKNVGKQLVVLADE >DRNTG_07324.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3218518:3218887:1 gene:DRNTG_07324 transcript:DRNTG_07324.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGDENGVVVKRNKKVLLKKYVNGFVEESDMEVVTNETILLELPQGSKDIVLVKNLYLSCDPFMRGLMLEMSESHYMEAFVPGS >DRNTG_07324.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3219274:3220415:1 gene:DRNTG_07324 transcript:DRNTG_07324.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFTAYVGFNEICNPKKGEYVFVSAASGAVGQIVGQLAKLMGCYVVGSAGSDEKVELLKTKFGFDDAFNYKKEKSLGAALKRCFPKGIDIYFDNVGGATLDAALLNMRDNGRISACGMISQYNLEELEGVHFLVKMITKRLTMKGFLVGDYFNLYPKFLEVIMPYIKNGKITYLEDKTEGIENAPAALVGLFTGKNVGKQLVVLADE >DRNTG_07705.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23069324:23076569:-1 gene:DRNTG_07705 transcript:DRNTG_07705.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGAVDANGGGQSPGSSNSDDAGEMEARFADLCKDGLALDENTARSALVLFKETKQVLVANMSSIGSGSAEEAEKFWSAFVLYCVSRLRENSKEKENGLGISLCQILRATKLKVADFFKEMPQFSLKAGHALNGLYGSDWEKRLELKEMQANVAHLCHLSRYYKRTYQELFLAGNESTNQQFTVSGGTGYVSDHHRFGWLLFLALRVHELSLHKDLVTCTNGLVSVLAVLIIHVPVQFRKFSMQDSPHFAKKSNNGVNILASLCDAYHTSEDELRVMMDKVNNLIVDILKKSPRIASECKAENLDHVDADGLTYFEDLLDEKSLHSSLVLLEKDYDDVINRQGELDERMLLNEEDSLLGNGSLSGSSINICSTKRKFEAMTSPSKTITSPLCFSPASPANGNCINNSKAVYATPVSTAMTTAKWLRSVISALPSKPSQELVHFLSSCDRDLTGDVVRRAEIILEAVFPSKSFGERCIAGSLQSASLMDGIWAEQRKLEAMKLYYRVLEAICRAEAQILNGNNLTSLLSNERFHRCMLACSAELVLATHKTITLMFPAVLERAGITAFDLSKVIESFVRHEETLPRELKRHLNSLEERLLESMAWEKGSSMYNSLIVARPALSAEINRLGLLAEPMPSLDAIALHLNMPTVCFPPPKKWESSTDQNGDVRSPKRLCSEYRNVLAERNSFTSPVKERLSTFNSLKPKLPHLQSAFASPTRPNPAAGGEMCAETGINIFFSKIVKLAAIRIRSMCERLQLPQEILERVYTLIQHILSQHTALFFNRHIDQLILCSFYGVAKISQLSLTFKEIIYNYRKQPQCKPQIFKSVYVNWPSTSHNGRLGEEHVDIITFYNEVFIPSVKPLLVELGSGVNQSSNKPAEDKNNTSGSPRFSPFPSLPDMSPKKVSTTHNVYVSPLRSSKMDALLSPSSKSYYACVGESTHAYQSPSKDLTAINNRLNCGRRVHGRLNFDVVSDSVVAGSLGPQQNGSSASSAAAATSNLPIKSEQAS >DRNTG_07705.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23069324:23076569:-1 gene:DRNTG_07705 transcript:DRNTG_07705.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGAVDANGGGQSPGSSNSDDAGEMEARFADLCKDGLALDENTARSALVLFKETKQVLVANMSSIGSGSAEEAEKFWSAFVLYCVSRLRENSKEKENGLGISLCQILRATKLKVADFFKEMPQFSLKAGHALNGLYGSDWEKRLELKEMQANVAHLCHLSRYYKRTYQELFLAGNESTNQQFTVSGGTGYVSDHHRFGWLLFLALRVHELSLHKDLVTCTNGLVSVLAVLIIHVPVQFRKFSMQDSPHFAKKSNNGVNILASLCDAYHTSEDELRVMMDKVNNLIVDILKKSPRIASECKAENLDHVDADGLTYFEDLLDEKSLHSSLVLLEKDYDDVINRQGELDERMLLNEEDSLLGNGSLSGSSINICSTKRKFEAMTSPSKTITSPLCFSPASPANGNCINNSKAVYATPVSTAMTTAKWLRSVISALPSKPSQELVHFLSSCDRDLTGDVVRRAEIILEAVFPSKSFGERCIAGSLQSASLMDGIWAEQRKLEAMKLYYRVLEAICRAEAQILNGNNLTSLLSNERFHRCMLACSAELVLATHKTITLMFPAVLERAGITAFDLSKVIESFVRHEETLPRELKRHLNSLEERLLESMAWEKGSSMYNSLIVARPALSAEINRLGLLAEPMPSLDAIALHLNMPTVCFPPPKKWESSTDQNGDVRSPKRLCSEYRNVLAERNSFTSPVKERLSTFNSLKPKLPHLQSAFASPTRPNPAAGGEMCAETGINIFFSKIVKLAAIRIRSMCERLQLPQEILERVYTLIQHILSQHTALFFNRHIDQLILCSFYGVAKISQLSLTFKEIIYNYRKQPQCKPQIFKSVYVNWPSTSHNGRLGEEHVDIITFYNEVFIPSVKPLLVELGSGVNQSSNKPAEDKNNTSGSPRFSPFPSLPDMSPKKVSTTHNVYVSPLRSSKMDALLSPSSKSYYACVGESTHAYQSPSKDLTAINNRLNCGRRVHGRLNFDVVSDSVVAGSLGPQQNGSSASSAAAATSNLPIKSEQAS >DRNTG_07705.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23069324:23076569:-1 gene:DRNTG_07705 transcript:DRNTG_07705.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHIVCRYYKRTYQELFLAGNESTNQQFTVSGGTGYVSDHHRFGWLLFLALRVHELSLHKDLVTCTNGLVSVLAVLIIHVPVQFRKFSMQDSPHFAKKSNNGVNILASLCDAYHTSEDELRVMMDKVNNLIVDILKKSPRIASECKAENLDHVDADGLTYFEDLLDEKSLHSSLVLLEKDYDDVINRQGELDERMLLNEEDSLLGNGSLSGSSINICSTKRKFEAMTSPSKTITSPLCFSPASPANGNCINNSKAVYATPVSTAMTTAKWLRSVISALPSKPSQELVHFLSSCDRDLTGDVVRRAEIILEAVFPSKSFGERCIAGSLQSASLMDGIWAEQRKLEAMKLYYRVLEAICRAEAQILNGNNLTSLLSNERFHRCMLACSAELVLATHKTITLMFPAVLERAGITAFDLSKVIESFVRHEETLPRELKRHLNSLEERLLESMAWEKGSSMYNSLIVARPALSAEINRLGLLAEPMPSLDAIALHLNMPTVCFPPPKKWESSTDQNGDVRSPKRLCSEYRNVLAERNSFTSPVKERLSTFNSLKPKLPHLQSAFASPTRPNPAAGGEMCAETGINIFFSKIVKLAAIRIRSMCERLQLPQEILERVYTLIQHILSQHTALFFNRHIDQLILCSFYGVAKISQLSLTFKEIIYNYRKQPQCKPQIFKSVYVNWPSTSHNGRLGEEHVDIITFYNEVFIPSVKPLLVELGSGVNQSSNKPAEDKNNTSGSPRFSPFPSLPDMSPKKVSTTHNVYVSPLRSSKMDALLSPSSKSYYACVGESTHAYQSPSKDLTAINNRLNCGRRVHGRLNFDVVSDSVVAGSLGPQQNGSSASSAAAATSNLPIKSEQAS >DRNTG_02269.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:942983:944239:-1 gene:DRNTG_02269 transcript:DRNTG_02269.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFMDDSVEVPNYFICPISLQIMKDPVTTISGITYERQSIERWLFTDHNTTCPLTNQPLPKDSHLTPNHTLRRLIQAWCTLNSLNGFERFPTPRAPLDHSNVLNLLHDLTIPHLQLKTLKLISAFASDSESNRRCMVRAGLPSSLIHLIISINLNDYQAVHHESLHVLHSLKVSTDDLKPLVAENHDLIEALTLILQHASSSSSSSSSRGNNGDVIITTTCLVLKSIFEVASEKLFERLKVEFFEALISVLRRGTSQQVIKAVLHVLLESCPYGRNRTKIVDAGAVPVLVELELTLPEKRTTELVFGVLDHLCSCADGRAQFLDHAAGIAIVSKRILRVSPGADDRAVTILSSVCKYSATNDVLQEMLRVGAVSKLCFLLQANCSNYVRERTRWILKVHSGVWKKSPCINAYLLTRYP >DRNTG_14218.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15866294:15867262:-1 gene:DRNTG_14218 transcript:DRNTG_14218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRVPIHLGHIMAEYIRHQGHYARLGEIFLGPYITRLVLGMGLLDSIRGAEKTRLGQVFALVLPAPEITEDEGDEAWASQPTPEPHPARWRPRDTQATQYTEFIAGFDVLQQILERDIASSFVLRPRTSQAALVPPAPSSPTLAPVDPPCASSPVAAVVPEPERDTDI >DRNTG_03779.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1743282:1744116:-1 gene:DRNTG_03779 transcript:DRNTG_03779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVRYYHLHNPFPGPWKRKCLADFGIVTQCMAPTRVDDQYITNVLLKINAELGGMNSFLQVEQSPSMPLISKSPMIILGMDVSHGSPGQSDAPSIAAVFL >DRNTG_03779.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1743282:1744116:-1 gene:DRNTG_03779 transcript:DRNTG_03779.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVRYYHLHNPFPGPWKRKCLADFGIVTQCMAPTRVDDQYITNVLLKINAELGGMNSFLQVEQSPSMPLISKSPMIILGMDVSHGSPGQSDAPSIAAVFL >DRNTG_18014.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24545698:24546705:-1 gene:DRNTG_18014 transcript:DRNTG_18014.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPDSALILTTLFIFLAFLHLLHRSFRRTTLPLPPGPKGYPILGALPLIGHTPHVSLANLAKLYGPLMHLKLGNSHIIVISSSSTAHSFFTTLDLQFANRPGKVISAKHISYNGNDMTFSNYTPKWKLFPPAHHPPHARLQSHVHPGLTSAMMRSTACFSPCMSLANVDNSLLCLKP >DRNTG_18014.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24546297:24546705:-1 gene:DRNTG_18014 transcript:DRNTG_18014.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPDSALILTTLFIFLAFLHLLHRSFRRTTLPLPPGPKGYPILGALPLIGHTPHVSLANLAKLYGPLMHLKLGNSHIIVISSSSTAHSFFTTLDLQFANRPGKVISAKHISYNGNDMTFSNYTPKWK >DRNTG_18014.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24544253:24546216:-1 gene:DRNTG_18014 transcript:DRNTG_18014.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSMHESSQRGQLIVVPETLICATTNIIGRVMLSQRVFDASDPKLGSYKELLKKLMTGGGMFNVGDFVPAVAWMDLQGIQAKLKKGKEMMDQMIKAMLAQHAASADERKGRPDFADLVMASDLRDDNGDKLSDVNIRGLLANIFKAGTDTSTIIVEWALAEILKNKDILESMQSEMDVIIGRERALQESDIPNLPYLQAVVKEALRLHPSTPLSLPHFSFESCEVNGYYIPANTRLITNIWAIGRDPDVWERPLEFDPMRFLPGGKAAKIEPYGTDFELIPFGAGRRICIGKQVGMVFVQYLLGALVHGFDWRLPDGVVIDMEETPGLVIPKAVPIKAFVTPRLLPTAYV >DRNTG_31944.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18825173:18829551:1 gene:DRNTG_31944 transcript:DRNTG_31944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTHIQSVSSLIQHFHTNSRNYPNLGCKTQGKPLSSTLQSKSNQNCQEKAN >DRNTG_31944.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18825173:18829551:1 gene:DRNTG_31944 transcript:DRNTG_31944.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTHIQSVSSLIQHFHTNSRNYPNLGCKTQGKPLSSTLSGRRLSMNKPPNSIDHKRGAVLKILIFLASRGATPPQFPSSQPARTPYGPF >DRNTG_31944.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18825173:18829551:1 gene:DRNTG_31944 transcript:DRNTG_31944.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTHIQSVSSLIQHFHTNSRNYPNLGCKTQGKPLSSTLQSKSNQNCQEKAN >DRNTG_31944.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18825173:18829551:1 gene:DRNTG_31944 transcript:DRNTG_31944.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTHIQSVSSLIQHFHTNSRNYPNLGCKTQGKPLSSTLSGRRLSMNKPPNSIDHKRGAVLKILIFLASRGATPPQFPSSQPARTPYGPF >DRNTG_11527.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13797:17336:1 gene:DRNTG_11527 transcript:DRNTG_11527.8 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSIGRMRVKHRGVLLTLKGTVIRSASVKMIEGEKEYECRRCRHRFKVYPELETGNPIRLPTSCPSKVCLAAF >DRNTG_11527.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13797:17336:1 gene:DRNTG_11527 transcript:DRNTG_11527.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSIGRMRVKHRGVLLTLKGTVIRSASVKMIEGEKEYECRRCRHRFKVYPELETGNPIRLPTSCPSKVCLAAF >DRNTG_11527.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13797:17336:1 gene:DRNTG_11527 transcript:DRNTG_11527.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSIGRMRVKHRGVLLTLKGTVIRSASVKMIEGEKEYECRRCRHRFKVYPELETGNPIRLPTSCPSKSNCLGL >DRNTG_11527.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13797:17336:1 gene:DRNTG_11527 transcript:DRNTG_11527.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSIGRMRVKHRGVLLTLKGTVIRSASVKMIEGEKEYECRRCRHRFKVYPELETGNPIRLPTSCPSKSNCLGL >DRNTG_11527.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13797:17336:1 gene:DRNTG_11527 transcript:DRNTG_11527.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSIGRMRVKHRGVLLTLKGTVIRSASVKMIEGEKEYECRRCRHRFKVYPELETGNPIRLPTSCPSKVCLAAF >DRNTG_11527.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13797:17336:1 gene:DRNTG_11527 transcript:DRNTG_11527.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSIGRMRVKHRGVLLTLKGTVIRSASVKMIEGEKEYECRRCRHRFKVYPELETGNPIRLPTSCPSKSNCLGL >DRNTG_11527.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13797:17336:1 gene:DRNTG_11527 transcript:DRNTG_11527.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSIGRMRVKHRGVLLTLKGTVIRSASVKMIEGEKEYECRRCRHRFKVYPELETGNPIRLPTSCPSKVCLAAF >DRNTG_11527.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13797:17336:1 gene:DRNTG_11527 transcript:DRNTG_11527.7 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSIGRMRVKHRGVLLTLKGTVIRSASVKMIEGEKEYECRRCRHRFKVYPELETGNPIRLPTSCPSKSNCLGL >DRNTG_24348.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:395864:398281:-1 gene:DRNTG_24348 transcript:DRNTG_24348.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein Iojap, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G12930) UniProtKB/Swiss-Prot;Acc:Q9LDY9] MRALAAAPAAPCFLRRHAQLKKRPSKSFLLMKYRSFPPIAVLSLSRPDHNPSEDTDEMFDELFNKYGKVVYSRGDRKSASAEAEDDYESLSFAVALAKTANEVKAGDIRVLFVKPLVYWTRFFIIVTAFSRPQIDAIGSRIRETAEKQFKKVPSGDTRPKSWTLLDFGDVVVHIFLPQQRAFYNLEEFYGNATPIELPFDSESPFRN >DRNTG_08104.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13649405:13655891:-1 gene:DRNTG_08104 transcript:DRNTG_08104.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHLWSRPRLGLGEVLARLLERKRAIGGAFVGTDPARCSLGLTRQPLEKMQPLHKTIATNTKELQVMTRGNPSILIKGDPELERTLRRKGKEPVHDQPNPADLEVEGSENMAEQNEQQ >DRNTG_01941.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32494812:32498972:1 gene:DRNTG_01941 transcript:DRNTG_01941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVAFLSAMVGPEVAKAAAQAATGALHKMNYATGGNTSIIGIGCAENKIDERELVAKSGRLEPEEDLKEVVNEACAQLDKEQENVEKSISDIVDVQMKELQEKIAHFEALELLLEKEQLQLGRMKDLLFADQLNLLRHKARTNSQKGFHHEHNKAGDDAI >DRNTG_24641.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3312265:3313041:-1 gene:DRNTG_24641 transcript:DRNTG_24641.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLALMFTIITYPTIGFFWSPYKFIWFFYTISCAILSYVYLGMLLVSLTPNFQIASIFSSFLFQTLNLFSGFLIPGPGIPKWWMWAYYMMPTSWILKGVFTSQYGDIKQEVKVFGETKTVAAFLEDFYGFHQNRLTMVAFVLISFPVLFASLFMYFIGKLDFQKR >DRNTG_24641.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3313669:3317002:-1 gene:DRNTG_24641 transcript:DRNTG_24641.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGPTKVLFMDDISTGLDSSTTYQIVKWLQQMAHIMEDTMVISLLQPAPETYELFDDIILMAKGQIAYHGPRCEILSFFKECGFKCPERKGEADFLQEILSEKDQKQYWHCPQEHYSYVPVSEFANKFKAHHIGQRISERLSEPIHKTQSHIDALSFSIYSLPKKELFKACMARELLLMKRNSIIYIFKLTQLTITGIITMSFFMRTRPSFDVQQANFYMASLFYAITFLMINGLPEMAFTIARLSGFYKQRDLHFYPAWAYAIPASILKIPISLIESLVWTSLTYYVTGYSPEAKRFFGHFLTLFLVHQMAVSLSRFIGAYFQTLVSSVLVASLALMVFTLCGGFLLPKSSMPVWFKWVFWVSPITYSEIALSVNEFLAPRWQRSSSGNTTIGIQVLSSHALNYGYSFYWISVGALIGYIILFNVAFTLALTFTRSIGKSRAVISHDKLSQMKQGDKPDGATVQREDYQRGKMVLPVQPLTVTFQDLQYYVDTPPELREQGYTSKKLQLLNNFTGAFRPGILSALMGVSGAGKTTLLDVLSGRKTSGTIAGDIRIGGFPKTQETFARISGYCEQTDIHSPQITVKESVIYSAWLRLPQKIDSEAFVNEVLQTIELDGIKDELVGVPGEYGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARSAAVVMRAVKNIAETGRTVVCTIHQPSIHIFEAFDELILMKAGGELIYSGPIGENSSEVIKYFEGIPGVPKITDNYNPATWMLEVTSTSNETQLGVDFAKIYRESTLYK >DRNTG_24641.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3312265:3318346:-1 gene:DRNTG_24641 transcript:DRNTG_24641.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLGPPGCGKTTFLLALAGKLDKSFKVAGEISYNGFKLEEFAPGKSSAYISQNDMHIPEMTVREILDFSARFQGVGSRAEIMKEVSRREKLAGIVPEPDIDIYMKATSVEGLARSLQTDYVLKIMGLEICAEITVGDEMRRGISGGQKKRLTTGEMIVGPTKVLFMDDISTGLDSSTTYQIVKWLQQMAHIMEDTMVISLLQPAPETYELFDDIILMAKGQIAYHGPRCEILSFFKECGFKCPERKGEADFLQEILSEKDQKQYWHCPQEHYSYVPVSEFANKFKAHHIGQRISERLSEPIHKTQSHIDALSFSIYSLPKKELFKACMARELLLMKRNSIIYIFKLTQLTITGIITMSFFMRTRPSFDVQQANFYMASLFYAITFLMINGLPEMAFTIARLSGFYKQRDLHFYPAWAYAIPASILKIPISLIESLVWTSLTYYVTGYSPEAKRFFGHFLTLFLVHQMAVSLSRFIGAYFQTLVSSVLVASLALMVFTLCGGFLLPKSSMPVWFKWVFWVSPITYSEIALSVNEFLAPRWQRSSSGNTTIGIQVLSSHALNYGYSFYWISVGALIGYIILFNVAFTLALTFTRSIGKSRAVISHDKLSQMKQGDKPDGATVQREDYQRGKMVLPVQPLTVTFQDLQYYVDTPPELREQGYTSKKLQLLNNFTGAFRPGILSALMGVSGAGKTTLLDVLSGRKTSGTIAGDIRIGGFPKTQETFARISGYCEQTDIHSPQITVKESVIYSAWLRLPQKIDSEAFVNEVLQTIELDGIKDELVGVPGEYGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARSAAVVMRAVKNIAETGRTVVCTIHQPSIHIFEAFDELILMKAGGELIYSGPIGENSSEVIKYFEGIPGVPKITDNYNPATWMLEVTSTSNETQLGVDFAKIYRESTLYNENEELVKELSKPPPGSTDLHFTTRFPRNNWEQFKACLWKQHLSYWRSPSYNLVRITFRVYLSVDTCCFILEAWQSIKHRARSVQHAWFTLHVSDSDWCE >DRNTG_24641.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3312265:3319039:-1 gene:DRNTG_24641 transcript:DRNTG_24641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHEKDDGDDDSHWSAVQRLPSMKRLRTSLFDCNETEDGEQQKGKRVVDVTKLSAVERRLFIERLIKHIENDNLQLLQKQKERTDRVNVKLPTIEVRYNNLCVEAECEVVKGKPLPTLWNATKSFLLGIAKIPGLVSETKISIIKDVSGIIKPSRMTLLLGPPGCGKTTFLLALAGKLDKSFKVAGEISYNGFKLEEFAPGKSSAYISQNDMHIPEMTVREILDFSARFQGVGSRAEIMKEVSRREKLAGIVPEPDIDIYMKATSVEGLARSLQTDYVLKIMGLEICAEITVGDEMRRGISGGQKKRLTTGEMIVGPTKVLFMDDISTGLDSSTTYQIVKWLQQMAHIMEDTMVISLLQPAPETYELFDDIILMAKGQIAYHGPRCEILSFFKECGFKCPERKGEADFLQEILSEKDQKQYWHCPQEHYSYVPVSEFANKFKAHHIGQRISERLSEPIHKTQSHIDALSFSIYSLPKKELFKACMARELLLMKRNSIIYIFKLTQLTITGIITMSFFMRTRPSFDVQQANFYMASLFYAITFLMINGLPEMAFTIARLSGFYKQRDLHFYPAWAYAIPASILKIPISLIESLVWTSLTYYVTGYSPEAKRFFGHFLTLFLVHQMAVSLSRFIGAYFQTLVSSVLVASLALMVFTLCGGFLLPKSSMPVWFKWVFWVSPITYSEIALSVNEFLAPRWQRSSSGNTTIGIQVLSSHALNYGYSFYWISVGALIGYIILFNVAFTLALTFTRSIGKSRAVISHDKLSQMKQGDKPDGATVQREDYQRGKMVLPVQPLTVTFQDLQYYVDTPPELREQGYTSKKLQLLNNFTGAFRPGILSALMGVSGAGKTTLLDVLSGRKTSGTIAGDIRIGGFPKTQETFARISGYCEQTDIHSPQITVKESVIYSAWLRLPQKIDSEARTAFVNEVLQTIELDGIKDELVGVPGEYGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARSAAVVMRAVKNIAETGRTVVCTIHQPSIHIFEAFDELILMKAGGELIYSGPIGENSSEVIKYFEGIPGVPKITDNYNPATWMLEVTSTSNETQLGVDFAKIYRESTLYNENEELVKELSKPPPGSTDLHFTTRFPRNNWEQFKACLWKQHLSYWRSPSYNLVRITFRVYLSVDTCCFILEAWQSIKHRARSVQHAWFTLHVSDSDWCE >DRNTG_11666.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:215789:221294:1 gene:DRNTG_11666 transcript:DRNTG_11666.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-coenzyme A carboxylase carboxyl transferase subunit alpha, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G38040) UniProtKB/Swiss-Prot;Acc:Q9LD43] MASGSLPGSASADLLRSSRNGVAGAPLRLLGRSPMVMVPGRRRDVSVKVVAKIRKGKKHEYPWPDEIDPNSKAGPLSYLSHFKPLKEKPKPVTLPFEKPLMDLQKKIIDVRKMADETGLDFSDQIVSLEGKYQQALKDLYTHLTPIQRLSIARHPNRPTFLDHVLSITDKWVELHGDRAGYDDPAIVTGIGSIEGRTYMFIGHQKGRNTKENIHRNFGMPTPHGYRKALRMMYYADHHGFPIITFIDTPGAFADLKSEEIGQGEAIAHNLRSMFGLKVPIVTVVIGEGGSGGALAIGCANKLLMMENAVFFVASPEACAAILWKSAKAAPKAAEKLKITANELCRLKIADGIIPEPLGGAHTDHSWTSQQIKIAVMGAMDELLEMDTPTLLNHRMLKFRQLGGFLESDNVDPAKKVNMKKKDEPIVKLDEQASVSDADLELEVEKLRQQIQKSKEDASFQPPELSLNEMIEKLKKEVDREFTEAAKSMGLHEKLEMLRTEVVKVRSDSQDQLIDPSLTEEIEKLKQEFKQGLSESPNFASLEYKLNMLKELSKAQKISEGNEKAISMKEEINKAFKEVMDHPDVKEKMDKLRADIAGAGVSKASELDDDLKENILMVKKEIESEMANALNSLQLKVELVAPKRGAAIAKSLLDQPMYAPLRDEIDELNGEIDERMQQVINSTDLKNKIELLKLEVTRAGKSPDQKTKAKIDEMKQQIKQSLMAGVSTPSLLNKYEKVTQEIASAKLSSSAGSEQSSSTNGGLKQNTLKGGSAVEANVGATNID >DRNTG_25959.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2067152:2067846:-1 gene:DRNTG_25959 transcript:DRNTG_25959.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGRTVKDVSPHEFVKAYAAHLKRSGKAWINLSLSFYIYFHRFSFCSSGRVFNACMLFFCDLCF >DRNTG_25959.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2065735:2066791:-1 gene:DRNTG_25959 transcript:DRNTG_25959.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKIYLRQGIGVGGFQKIYGGRKRNGSRPPHFCKSSGAIARHILQQLQNMDIIEICPKGGRKITSNGMRDLDQIAGRVVITP >DRNTG_25959.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2065735:2067846:-1 gene:DRNTG_25959 transcript:DRNTG_25959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGRTVKDVSPHEFVKAYAAHLKRSGKLELPHWTDIVKTGRFKELAPYDPDWYFIRAASMARKIYLRQGIGVGGFQKIYGGRKRNGSRPPHFCKSSGAIARHILQQLQNMDIIEICPKGGRKITSNGMRDLDQIAGRVVITP >DRNTG_18749.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1264687:1266526:1 gene:DRNTG_18749 transcript:DRNTG_18749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAGSSSCRPSDLRLLLGVMGAPLAPVHVTSGEPLPHLSIKDTPIETSSAQYILQQYSAASGGLKLQSSIRNSYAMGKVRMLASEFETANKVMKTRGSSRAAESGGFVLWQMAPDMWYVELAVGGSKVHAGCNGKLVWRHTPWLGTHAAKGPVRPLRRALQGLDPLTTVSMFANARCIGEKKVNGEDCFILKLCADPQTLKARSEGPAEIIRHVLFGYFSQRSGLLVHMEDSHLTRIQSNSGGDAVYWETTINSFLDDYSPVEGIMIAHSGRSVVTLFRFGEMAMSHTKTRMEEAWTIEEVAFNVPGLSMDCFIPPADIRCGLISEASELPQRDRGKTIMPGSHRSKVAAHNDDNIVWRVEV >DRNTG_15372.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26865953:26867455:-1 gene:DRNTG_15372 transcript:DRNTG_15372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQSIKERKHHPRPPSSPREKKKRNERK >DRNTG_26383.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17986271:17987679:1 gene:DRNTG_26383 transcript:DRNTG_26383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIGTPKEDDLGFLDEVGRRSIRRLPCYTRQSFAEKFPQMHCTAIDLVEKMLTFNPSQRITEDALAHPYFASLHDTSDEPVCMTPFSFDFEQHVITRDHVKELIYREALALNPEYQT >DRNTG_08892.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27576725:27579782:1 gene:DRNTG_08892 transcript:DRNTG_08892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRARHDLELRLGLSSLWKGGAPASNSVRRSPEFILIDDDDDDDDDDEVQIYHSGPNLETRNFFPHSGSWMHVLTEADLGLRLGIGAPVEYSGNSSGRNMPRERSEDPYAWKCGKASASRIISDFSEVKLKCVICMEAMKEETSTMCGHIFCRVCITNAIRAQKKCPTCREKLTISSIHRIYLPGATS >DRNTG_08892.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27576725:27579782:1 gene:DRNTG_08892 transcript:DRNTG_08892.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRARHDLELRLGLSSLWKGGAPASNSVRRSPEFILIDDDDDDDDDDEVQIYHSGPNLETRNFFPHSGSWMHVLTEADLGLRLGIGAPVEYSGNSSGRNMPRERSEDPYAWKCGKASASRIISDFSEVKLKCVICMEAMKEETSTMCGHIFCRVCITNAIRAQKKCPTCREKLTISSIHRIYLPGATS >DRNTG_08892.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27576725:27579782:1 gene:DRNTG_08892 transcript:DRNTG_08892.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYGKLIFYMSIVQASASRIISDFSEVKLKCVICMEAMKEETSTMCGHIFCRVCITNAIRAQKKCPTCREKLTISSIHRIYLPGATS >DRNTG_17671.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24162089:24169810:1 gene:DRNTG_17671 transcript:DRNTG_17671.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINNADSGNEANQENTSKVSRPASEENAPAENDNTEQPMPVKIRRPRKRDIISTTELEKKAIVLPEGSPLTMVADVEWQAEDAGPALQFLEFCSAFSKILDIRKGQPEDILREIARECVALRGAQPLTVQFHIKLLSLIKEDIGEGSTLYSPENARSWLQDLEKVITESPCIAKELHLDSYDWVSIDYYNLEPSEKLKILNFLCDEALGTEVLRSWIDEENTKFLEQKKEDRGKASAVKQKEKDIKQKMKDEMAKAMAPREGAPLSASEHLELIFKIKTEAEKSSAELAESMEIVPKKKRRMDAVRTERVFIVENGRTFWKLGGSGKSDILAQEIENQDLVEGKEKWFTYDEDSQKVIEKVILTLR >DRNTG_17671.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24164813:24169810:1 gene:DRNTG_17671 transcript:DRNTG_17671.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIPNFLSRRRKTEEKQVLSNRRRRISNRR >DRNTG_17671.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24162089:24169810:1 gene:DRNTG_17671 transcript:DRNTG_17671.3 gene_biotype:protein_coding transcript_biotype:protein_coding MINNADSGNEANQENTSKVSRPASEENAPAENDNTEQPMPVKIRRPRKRDIISTTELEKKAIVLPEGSPLTMVADVEWQAEDAGPALQFLEFCSAFSKILDIRKGQPEDILREIARECVALRGAQPLTVQFHIKLLSLIKEDIGEGSTLYSPENARSWLQDLEKVITESPCIAKELHLDSYDWVSIDYYNLEPSEKLKILNFLCDEALGTEVLRSWIDEENTKFLEQKKEDRGKASAVKQKVHIFQKLLPIDSSLTLYD >DRNTG_17671.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24164588:24169810:1 gene:DRNTG_17671 transcript:DRNTG_17671.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIPNFLSRRRKTEEKQVLSNRRSISFRNYYQSIHL >DRNTG_17671.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24162089:24169810:1 gene:DRNTG_17671 transcript:DRNTG_17671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSMAVTPVPTNSTDLVEEAAMADQRAQTEASSAPSLDSALASPKQERDSAVRHVGSRIYDRENGISCHQCRQKTRDFAVPCKKMKKKNKPCCIRFCHKCLRNRYGENALEAYAKENWECPKCRGICNCSVCMKRRGQRPTGMLIKTAKATGCSSVFEWLHHNIAEGSNAGNVEAVPAEASRGKEIDNVGKNHTADPQPEMINNADSGNEANQENTSKVSRPASEENAPAENDNTEQPMPVKIRRPRKRDIISTTELEKKAIVLPEGSPLTMVADVEWQAEDAGPALQFLEFCSAFSKILDIRKGQPEDILREIARECVALRGAQPLTVQFHIKLLSLIKEDIGEGSTLYSPENARSWLQDLEKVITESPCIAKELHLDSYDWVSIDYYNLEPSEKLKILNFLCDEALGTEVLRSWIDEENTKFLEQKKEDRGKASAVKQKEKDIKQKMKDEMAKAMAPREGAPLSASEHLELIFKIKTEAEKSSAELAESMEIVPKKKRRMDAVRTERVFIVENGRTFWKLGGSGKSDILAQEIENQDLVEGKEKWFTYDEDSQKVIEKVILTLR >DRNTG_19935.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18974111:18981187:-1 gene:DRNTG_19935 transcript:DRNTG_19935.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDWNLKSPTQWDWENLGLNFGDKECENPRGEQESQWKIEGDRAITNGSVYLSGIGAYSGSAYGSGCSPTGSISASIGSSSMPQTKITEFDYQAVDWSFQKPCMTKEFTRARAIDASPCVVSTACQGEQLIGLKLGKRTYFEDVCTGSNNGSSSSSSSSSSLSSSSLTSVIPAVTLSKKSKVSLHSTQTFYCQVEGCRFDLSTAKEYHRKHRVCESHSKCPKVIVAGQERRFCQQCSRFHELSEFDLKKRSCRRRLSDHNARRRKPPPDLISFNSGRFSPSFFDDQCHMNPIWNKACPTMNSTWDASLDFKLMPTRVSWIKSAKTGTFNEDLQCLSFRLSNSCPSLRNDLDRLLPFKGATAEALIQGPGASDVSPNSDGALDLQRALSLLSNDPRSSSSSEIRSNSLDATAQQAIHQLYQDGEEALIQQAKMQLPFNRHVNGGQYDQLLRASSSSVEAEAALYDSSQIR >DRNTG_19935.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18974111:18981187:-1 gene:DRNTG_19935 transcript:DRNTG_19935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDWNLKSPTQWDWENLGLNFGDKECENPRGEQESQWKIEGDRAITNGSVYLSGIGAYSGSAYGSGCSPTGSISASIGSSSMPQTKITEFDYQAVDWSFQKPCMTKEFTRARAIDASPCVVSTACQGEQLIGLKLGKRTYFEDVCTGSNNGSSSSSSSSSSLSSSSLTSVIPAVTLSKKSKVSLHSTQTFYCQVEGCRFDLSTAKEYHRKHRVCESHSKCPKVIVAGQERRFCQQCSRFHELSEFDLKKRSCRRRLSDHNARRRKPPPDLISFNSGRFSPSFFDDQCHMNPIWNKACPTMNSTWDASLDFKLMPTRVSWIKSAKTGTFNEDLQCLSFRLSNSCPSLRNDLDRLLPFKGATAEALIQGPGASDVSPNSDGALDLQRALSLLSNDPRSSSSSEIRSNSLDATAQQAIHQLYQDGEEALIQQAKMQLPFNRHVNGGQYDQLLRASSSSVEAEAALYDSSQIR >DRNTG_06005.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2517048:2517371:-1 gene:DRNTG_06005 transcript:DRNTG_06005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWLFRNGVVKLVENPANEQSSTARKKVLLHTPSNEVITSYESLEGKLLSLGWERYYDDPDLLQFHKRSSVDLISLPKDFRRFKSMHMYDIVVKNRETFRVIDMQ >DRNTG_05331.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3664152:3665013:-1 gene:DRNTG_05331 transcript:DRNTG_05331.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSSMPSTPALFRHDKIASSLAATPITMSSRFPRITGSLQPSLSRWDFLRRRGHGVFYSMCLMLFLFMVAFYSVLATSSVLVHLLCGGTMGFLWIQSMWIGHDSGHYQVMTSPTSHALFAISSKLLTSLTSYFYDRKMNFDAVLKFLSIILLVSKKKVLHRWHEILGVITFWIWYPYLLSFLTTWSGRVMFVIASFVIIGIQHVQFYLNHFSSCVYVGPPKGNDWFEKQTMGTLDVSCSP >DRNTG_18189.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4693534:4696168:1 gene:DRNTG_18189 transcript:DRNTG_18189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDPLALDSLLAARRSLRAGLDKSKSLSAALARAGPRLDEIRARLPSLESAVRPARAPRAALSSAASHIDRAVGPAAAVLKVFDAVHGLEPPLLLPSPLPSPLSSYLSLLSRLQEALRFLSDNSPLAPPFLSGLQSLLASLRDSKAPLDAGLLDAVLAKLLSEFRRLLSDHSNPLPMNPNPNPSAAASIAPSPLPVPVIHSLTSILNRMISHGRLDDCVSAFIDVRGSTARAALDALGLDYLRIGPADFDDVQSIEADIGKWGRHLEFAVKHLLDAEYRLCHEVMENAGVPELPSKCFLEIADRAGFRSFLNFGMTVTESKKDPIKLLKLLDIFASLNKLRLDFNRLFGGKACVEIQNLTRDLIKRVIDGACDIFWELLVQVELQRSSPPPPDGRVPRLVTFITDYCDRLLGEDYRPVLTQVLVIHRSWKQEKFQDRLLLDAILNIIKALEANFDAWSKGYEDVTLSYLFMMNTHWHFYKQLKGTKLGELLGDVWLREHEQYKEYYAAVFLRETWGKLPGLLSRDGLILFSGGRATARDLVKKRLKAFNETLDAMYQKQSNWVVTDKELREKTCNLVVQAIVPAYRSYMQNYGPLVEQDASASKYAKYTAQSLEKMLGSLFQHKPVKSVSFRVSHSNGKVDSVVSSQYRSAPTVV >DRNTG_32287.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001817.1:18515:20085:1 gene:DRNTG_32287 transcript:DRNTG_32287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKHSSSSIGSGGGGGGEDTVGGSTGASDVGGVGRARGLIINDERAQSVAPPLHSQAYQSDYNLEMEKTYAVEVAPILRGCIGQYQFLLWLLHRLRTLCYLSLGLSWL >DRNTG_15458.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:322111:326094:1 gene:DRNTG_15458 transcript:DRNTG_15458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRERRSLDRSVWAACAGVSVQIPTVGSLVYYFPQGHCEQASSPPDFSSVAFGKPYILARVTAVGYLADADTDEVYARISLDPRVSLSGSPGCSAESSLDDLEDGGRVRSFPKILTPSDANNGGGFSVPRYCADSIFPPLDFDADPPVQALNVRDVHGVAWEFRHIYRGTPRRHLLTTGWSKFVNVKKLVAGDSVVFVCDAAGELFVGIRRNTGRNICPPIGYSLQSGENAVKREAIWRCSKGRVPPESVVEAVKRAEMGLPFEVAYYPMAGSPEFVVAMEKVRAAMGVQWTAGMQIKMAVDTENLSRMTWYQGTVSGAALQDQWPRSGSPWRMLQITWDEPEVLQHVKHVSPWQVELVSDSRQSHTSYSAMKKPRVSQTTGLFSESENKVMFAMSGLNSAMVGNFTPLHMTQNTFPAGMQGARHDPTRVFSLPTYLPNNSSDMYTSNLCGITVPPKSVDASTELHLGSAQSESSSPQSQGSILSNGRDVFGKPSCNPSKKTTILLFGHTITSEQPLDMDYAKYKEAQGMGVLSA >DRNTG_08172.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1656045:1657071:-1 gene:DRNTG_08172 transcript:DRNTG_08172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSFGYSAPEFAMSGVYTVKSDVYSFGVVMLELLTGRKPLDSSRPRSEQSLVRWATPQLHDIDSLSKMVDPALNGIYPAKSLSRFADIIALCVQSEPEFRPPMSEVVQALVRLMQRASVVRRSSNDELGFPSKLSEHESTTEMSF >DRNTG_16686.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16310650:16312181:1 gene:DRNTG_16686 transcript:DRNTG_16686.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRERKRSWEQAMQMEGRPRLSLPPLPSFQRRQSKLHAWPLVPLWVKQFCTKVCMMAWEKICEAKKRLTIYEKVENWDDSAGEDAFKNAKTRYWATINGLPCHIPLPDPDAYIDVVDHDAVIYNQQDSFYSFREGQERRFATVYSRWQEERRVRLLQK >DRNTG_11851.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:119280:123533:1 gene:DRNTG_11851 transcript:DRNTG_11851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLESGGRALGGGRLGINGKADPAQAVAAGVFQINTAVSTFQRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSAKLKQASETDHLSGVSASKKIADAKLAKDFQAVLKEFQKAQRLAAERETAYSPFVPQSVPSSNTVSEVDSSDKAPEQRALLESRRQEVLLLDNEIVFNEAIIEEREQGIQEIQQQIGEVNEIFKDLAVLVHDQGTMIDDIDSHIENSLAATAQAKTQLAKAAKTQKSNSSLTCLLLVIFGVVLLIVIIVLAA >DRNTG_29021.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1144991:1161769:-1 gene:DRNTG_29021 transcript:DRNTG_29021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTFEVETSIPASRMFKAAVLEWHNLGPKIVPEHIKSIDFIHGDGSAGSIRQINF >DRNTG_29021.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1150673:1161769:-1 gene:DRNTG_29021 transcript:DRNTG_29021.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTIEVETSIPASRMFKAAVLEWHNLGPKIVPEHIKSIDFIHGDGSAGSIRQINFTP >DRNTG_27700.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21752807:21754451:-1 gene:DRNTG_27700 transcript:DRNTG_27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPPPRLPNRFHNLINLRRFCMPDFSLIRSLQTSYYVIYQVNREGGYQIAQLRNMNELRGELLIGGLENIGKTEEMMKAKYLKEKRHIKQLQLRWGDAFDDCKQDFQEQTLEALQPHPNLKYLRIAGYMGSKSPTWLMTPELQRLEKIELDGCRKWARLPAALGLLPSLKSLELSSIENMTIEVDYSVIEMYPSLTSLDAWHATLSFEDMSSSSSSVTGQNHTHFPKFTSLTIKESEVNGLHLPLFSALKYLKIKNCRVVFDQCLNGVSSLSDLVLHGAKIQTFPAKIMATLHALVNLKIFACNELISLEGLQALPSLKQLSISNCRKFKYWGTEEEIIEDGLPLPKLQLMEIIYCNVLETLPAWLPRLPLLNQLIINNCPKFRSWGTEEEITQDGLCLPNLQRMEIYSCFDLETLPAWLPRLPLLEKLIISFCPKFRSWDTKEEITEDALCLPNLQRMEIYSCKDLETLPAWLPRLPLLEKLIISFCPKFRSWGTEEEEITEDRLLLPNLQRMEIRVCGDLETLPAWLPHLPLLNELTI >DRNTG_06162.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25346863:25350986:-1 gene:DRNTG_06162 transcript:DRNTG_06162.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAVHEERFEDAARYRDELKILAPHCLLKCSSDATTLGIRVQVRSVYIESRSQASKGQYFFAYRIRITNNSQRPVQLLRRHWIITDDNGKTENIWGVGVIGEQPVILPRAGFEYSSACPLTTPNGRMEGDFEMKHIDKAGSSTFNVSIAPFSLSILGDDTDDAL >DRNTG_06162.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25346863:25350986:-1 gene:DRNTG_06162 transcript:DRNTG_06162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLGLKMAPAWKLSAGLTTTGPRERERLGFGRIVVAASSSSSSSSSSSSERDGDGDSKDVESPAAFLSRSQTYALLKQQLAVAAKFEDYKEAARIRDSLKSFEEGEPVLRLRRLMKIAVHEERFEDAARYRDELKILAPHCLLKCSSDATTLGIRVQVRSVYIESRSQASKGQYFFAYRIRITNNSQRPVQLLRRHWIITDDNGKTENIWGVGVIGEQPVILPRAGFEYSSACPLTTPNGRMEGDFEMKHIDKAGSSTFNVSIAPFSLSILGDDTDDAL >DRNTG_26143.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20034750:20037672:1 gene:DRNTG_26143 transcript:DRNTG_26143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSLLLLLLLFSPSLPSQSLYGHAFSSDDAAELLRIAGDEAPWLLSIRRRIHQHPELRFEEHHTNALIRSNLDLLSIPYSYPFAKTGLIADIGSGSPPIVALRADMDALPLQELVEWEHKSKIDGVMHGCGHDAHVAMLLGAAKLLHQRRHKLKGTVRLIFQPAEERGAGAYHMVKDGALDGVEAIFGMHVDYEKPTGTIASLAGAAQAAVCFFEAKIEGKGGHAAMPHLNVDPVVAASLAVLALQHLVSREADPLFSQVLSITYVKTGEALNVVSPYVEFGGTLRSLSTEGLHNLQKRVEEVIRTQAAVHRCDAFVSMKEYEFPLYPAVVNDESLHQHVQNVGGLMLGADKVQTKKKIMAGEDFAFYQQLIPGIIFGIGIRNEKVNAIHSAHSPFFFIDEDVLPIGAALHAAIAESYLELRNSSDSGMFLPLNT >DRNTG_26143.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20034750:20037672:1 gene:DRNTG_26143 transcript:DRNTG_26143.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSLLLLLLLFSPSLPSQSLYGHAFSSDDAAELLRIAGDEAPWLLSIRRRIHQHPELRFEEHHTNALIRSNLDLLSIPYSYPFAKTGLIADIGSGSPPIVALRADMDALPLQELVEWEHKSKIDGVMHGCGHDAHVAMLLGAAKLLHQRRHKLKGTVRLIFQPAEERGAGAYHMVKDGALDGVEAIFGMHVDYEKPTGTIASLAGAAQAAVCFFEAKIEGKGGHAAMPHLNVDPVVAASLAVLALQHLVSREADPLFSQVCY >DRNTG_09570.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22541976:22553211:-1 gene:DRNTG_09570 transcript:DRNTG_09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRHVRRVCIPSMATQLIESNRDGAEVYHGADLCKKKCIEVLEEMHLPRGLLPLNNLEEVGRNKATGFVWLRQPKSVKHDFDKIGRPVSYATEVTAFLEDRRMKRVSGVKSKEMLIWVSLCDIFINDADGDKITFKTPTGLSRSFPVSAFELEETKN >DRNTG_04698.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000223.1:12620:15070:1 gene:DRNTG_04698 transcript:DRNTG_04698.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVRKVGMEVSPPFAAAAASHGGMEARARNKYHGLLLDYEDLLKEIEAKKNRLQKAQEKKLRLSAEVKFLRRKYKRLSTNSSYRLKKQSQKSLPNAALQAEVQSKDRKYRVMEAPNISAVLDLNQAFLPNGEEMEELQMEQEHPKAIANELKLSICRDVGTSGNRVGKRKISWQDQVALRV >DRNTG_14320.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14674941:14676675:-1 gene:DRNTG_14320 transcript:DRNTG_14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFDLGMQKGNIACHKSGVPRGWARRSSVTIRERITHQQGNRRQPSRGTSRPTDYKLQMFGKALLLFRELPCLKKGLLPGMEDRFASDD >DRNTG_00913.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21536775:21539429:1 gene:DRNTG_00913 transcript:DRNTG_00913.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCG-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT2G15890) UniProtKB/Swiss-Prot;Acc:Q9XIM0] MRTVSLRSPAPATVPSQFSGMLSRSRSPMIVRCLQRRDEVSSIPKLEPFSRSRIDRLVKDPPLLEKTKDDFTDYCSTLEGEESCRCWTAYFELRDLEKEMSQEDIETLIRQSGGIKSLIDCVHGITAMQKKQEGKFAIPTVSNKETEKKIPFPVPDGIPKSKDELEEEEKARMPDSSHTRLLRAMGRFPAWYSQAPDHESD >DRNTG_30161.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20711531:20716551:1 gene:DRNTG_30161 transcript:DRNTG_30161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSISESKNSTVEIFLGESGSSED >DRNTG_12485.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3136027:3143114:-1 gene:DRNTG_12485 transcript:DRNTG_12485.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAP-specific phosphatase HAL2-like [Source:Projected from Arabidopsis thaliana (AT5G54390) UniProtKB/Swiss-Prot;Acc:Q38945] MLRLTSSFHITTTSSKAGARGAGFRRFRCSSSQPNLNVDPSSPSHSPPSPPCPPLSSDVSHSRGLEPLVGLGLHRMEVAGEYGMELDVAVRVVQMACSLCQRVQDGLVGRKPDQIQAKDDDSPVTVADWGVQATVSWVLSEVFGSENVSIVAEEDIQALSKKDAAGLLESVVNAVNECLIEAPKYGLNGPQKALGTLEVLDAIRRCNANGGPKGRYWVLDPVDGTLGFVRGDQYAVALALIEDGEVVLGVLGCPNYPMKKEWLNYHQRYYRLMSKLSPPTSGSWNKGCVMYARRGSCEAWMQPLVHDCGEFDWQQSVRKIQVSSIDDPAFATFCEPVEKGNSSHSFTAGLAHSVGLRNQPLRVYSMVKYAAIARGDAEIFMKFARAGYKEKIWDHAAGVLIIQEAGGVVTDAGGHPLDFSKGLYLEGLDRGIIACSGAALHDKIIKAVDASWNSSGL >DRNTG_15500.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20871040:20872354:1 gene:DRNTG_15500 transcript:DRNTG_15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding PNGSKQPRVPYSPSECPPSSLTAKSTFAMLPKTWTNSEIKQQNKIK >DRNTG_02046.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000101.1:26226:26756:1 gene:DRNTG_02046 transcript:DRNTG_02046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSSSSFFRIGDEFNNTPTQPSATPSSFGPPPPLPAKKKRNPGTPCKI >DRNTG_02067.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000104.1:27633:32234:-1 gene:DRNTG_02067 transcript:DRNTG_02067.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKSSSNSASPRKLMKFSPKVPPRKPPPTVTAKTDPLDDASIKIDSELMKKLRAHESSGRKLSKVPRKTEPTVTFGHTDNSMHARSFGNPGVNADERRYQVPTFSVQTMSALPDRDDLGQSASGMSFDVSGGMADEMNSQDGGEKLARKQGKKHVDPWDMNSYYPITLPLRRPDFRIPEILDEEEFGKASESSVEDTNKIRPAETLGLMERSDEARLLFFQLPASLPLEKKSASQKDGGGRILQDLPSGLMGKMLVYRSGIVKMKLGDVLLDVSPGVDCIFPQDVAAINMDEKHCCIVGEINKRAVLSLDMDYLLNAKINSD >DRNTG_02067.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000104.1:27633:32234:-1 gene:DRNTG_02067 transcript:DRNTG_02067.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKSSSNSASPRKLMKFSPKVPPRKPPPTVTAKTDPLDDASIKIDSELMKKLRAHESSGRKLSKVPRKTEPTVTFGHTDNSMHARSFGNPGVNADERRYQVPTFSVQTMSALPDRDDLGQSASGMSFDVSGGMADEMNSQDGGEKLARKQGKKHVDPWDMNSYYPITLPLRRPDFRIPEILDEEEFGKASESSVEDTNKIRPAETLGLMERSDEARLLFFQLPASLPLEKKSASQKDGGGRILQDLPSGLMGKMLVYRSGIVKMKLGDVLLDVSPGVDCIFPQDVAAINMDEKHCCIVGEINKRAVLSLDMDYLLNAKINSD >DRNTG_02067.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000104.1:27633:32234:-1 gene:DRNTG_02067 transcript:DRNTG_02067.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKSSSNSASPRKLMKFSPKVPPRKPPPTVTAKTDPLDDASIKIDSELMKKLRAHESSGRKLSKVPRKTEPTVTFGHTDNSMHARSFGNPGVNADERRYQVPTFSVQTMSALPDRDDLGQSASGMSFDVSGGMADEMNSQDGGEKLARKQGKKHVDPWDMNSYYPITLPLRRPDFRIPEILDEEEFGKASESSVEDTNKIRPAETLGLMERSDEARLLFFQLPASLPLEKKSASQKDGGGRILQDLPSGLMGKMLVYRSGIVKMKLGDVLLDVSPGVDCIFPQDVAAINMDEKHCCIVGEINKRAVLSLDMDYLLNAKINSD >DRNTG_28137.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23997259:24002047:-1 gene:DRNTG_28137 transcript:DRNTG_28137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQSESSSRIEQIISQFLLKALHVVLASRVPNLGAGRATDPSRASRRDRWFQLAIGDLPPALDYAGFWHHGFSDPMVVDIFLSTFESSDLDPDSVIERWILQFQTSSNSSSSSSFSLSGRIYKKTIVLLRSLYSLLRFLPAHKVFRTLNASNQSYNYDISYKVSSFAEPFSRDEERDFKPYSFTPVETPFGQLSVSVMYRTNLSVFNFEVSSLIPPMIIADYVGSPAAEPMRSFPSSPSARTMRATSFPSRIGGSSNYPPSFTRPHSWASAPMAHHPLSSSPVLDLRASPPEVYGHQRTVSYAQVQPPAACRKGGFGLDEFKWSLSFSLTPSSSPPTHGGNPLHSRLRYVTAPVSIPQPVTGKSHLHRSPNFSDPTRNFLPPPSPRSVRGDPSSQESQSDGRSSRKLEGLSVGNLYPNLNCYATQKGLKDARDDSGRFSGVFSSSGSPRFGFSRSSSRLSIQDDLEDGDFSCPFAFTVDDVDTTDSQTRDGDAKEGLPSTTSRRLQDAAVGDLVHMFKTAAPLRQDLSYSSSPTSNPELNTDVTHSSFFISRKASDALEELRGYRKIKEMLLTRSRSLFPESEN >DRNTG_12434.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000549.1:6229:7251:1 gene:DRNTG_12434 transcript:DRNTG_12434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHGRAENIHAHAPDPQGQAHDPVASRGSRTPLCFLGMKGATCRVSHGRAEITHARVCFTRSPTGASPRPCVFSGKSAQLCRNSHACAEITHGRAPVASSSTGVATSPLRLWTT >DRNTG_27154.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:645481:650858:1 gene:DRNTG_27154 transcript:DRNTG_27154.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLTMDRILRENQNRDAASIISLQLSHRALSDVALLSSFKNLERLDLTANCLNTLEALSSCVNLKWLSVVENKLKSLRGIEGLLKLTVLNAGKNKLQKMDEVCSLTSLKALILNDNNITSICKLDQLKYLNTLVLSRNPICDIGDSLAKVKALTKLSLSHCQIQVIRSSLRSCVDLKELRLSHNQITSFPAELSQNVKLQNLDVGNNLIEKWSDLKALSALSNLRSVNLQGNPVAEKDKLANKVRKLLPNLRILNGRPIEKARLNERLLPNEDMLSHIKDDRPRDYFTDIGSKKKMKRKASETDVVKLPGGDASKSFGEDEAVALPSSPVAEEKKDAKRNGSKSTRKNPKEKIPSQPEGGGNPKVVKKPKERSWDEKSDPEIEGEIKWKNSNKTSAKDAKKPRSFCGAGDLEATKEFVGEGNRSEGIDDAETPFVDLILADNIHEGTNRKMKNHEIASDAKSFQGLVIDHTKKKKKKAKNIKAIVNGRLSLQLLQPNSDIGTGGLSTWDD >DRNTG_27154.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:645481:650858:1 gene:DRNTG_27154 transcript:DRNTG_27154.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVCSLTSLKALILNDNNITSICKLDQLKYLNTLVLSRNPICDIGDSLAKVKALTKLSLSHCQIQVIRSSLRSCVDLKELRLSHNQITSFPAELSQNVKLQNLDVGNNLIEKWSDLKALSALSNLRSVNLQGNPVAEKDKLANKVRKLLPNLRILNGRPIEKARLNERLLPNEDMLSHIKDDRPRDYFTDIGSKKKMKRKASETDVVKLPGGDASKSFGEDEAVALPSSPVAEEKKDAKRNGSKSTRKNPKEKIPSQPEGGGNPKVVKKPKERSWDEKSDPEIEGEIKWKNSNKTSAKDAKKPRSFCGAGDLEATKEFVGEGNRSEGIDDAETPFVDLILADNIHEGTNRKMKNHEIASDAKSFQGLVIDHTKKKKKKAKNIKAIVNGRLSLQLLQPNSDIGTGGLSTWDD >DRNTG_27154.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:645481:650858:1 gene:DRNTG_27154 transcript:DRNTG_27154.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLTMDRILRENQNRDAASIISLQLSHRALSDVALLSSFKNLERLDLTANCLNTLEALSSCVNLKWLSVVENKLKSLRGIEGLLKLTVLNAGKNKLQKMDEVCSLTSLKALILNDNNITSICKLDQLKYLNTLVLSRNPICDIGDSLAKVKALTKARTHIFLCLILLQTPSLYKLPIGLLSVRIIETFPT >DRNTG_27154.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:645481:650858:1 gene:DRNTG_27154 transcript:DRNTG_27154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLTMDRILRENQNRDAASIISLQLSHRALSDVALLSSFKNLERLDLTANCLNTLEALSSCVNLKWLSVVENKLKSLRGIEGLLKLTVLNAGKNKLQKMDEVCSLTSLKALILNDNNITSICKLDQLKYLNTLVLSRNPICDIGDSLAKVKALTKLSLSHCQIQVIRSSLRSCVDLKELRLSHNQITSFPAELSQNVKLQNLDVGNNLIEKWSDLKALSALSNLRSVNLQGNPVAEKDKLANKVRKLLPNLRILNGRPIEKARLNERLLPNEDMLSHIKDDRPRDYFTDIGSKKKMKRKASETDVVKLPGGDASKSFGEDEAVALPSSPVAEEKKDAKRNGSKSTRKNPKEKIPSQPEGGGNPKVVKKPKERSWDEKSDPEIEGEIKWKNSNKTSAKDAKKPRSFCGAGDLEATKEFVGEGNRSEGIDDAETPFVDLILADNIHEGTNRKMKNHEIASDAKSFQGLVIDHTKKKKKKAKNIKAIVNGRLSLQLLQPNSDIGTGGLSTWDD >DRNTG_30093.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22886898:22888865:1 gene:DRNTG_30093 transcript:DRNTG_30093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVGVYGSRASFSMAVAAQKPATKAAGIRKGTGVVFPLGEPGPRQTTTSNGQPIKLLTNVENLRLLSKAEKAGLLSAAEKFGLSLSTVERLGLLSKAEELGVLSAATDPATPGALLTLSLVLLALGPACVYFVPEDYPWEVILQVVVALVSVIGGSTAFAASNLVSTLQ >DRNTG_19789.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15808791:15812869:1 gene:DRNTG_19789 transcript:DRNTG_19789.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTYNALVDEDGNGDAEHADNGKVPMGPAEVKL >DRNTG_19789.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15808791:15812869:1 gene:DRNTG_19789 transcript:DRNTG_19789.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSRPTMENHNSANIEKSDSKWSRELVKKLHDGKSPPCRKGQLIEETINKNSKRNWRMFT >DRNTG_19789.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15808791:15812869:1 gene:DRNTG_19789 transcript:DRNTG_19789.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNALVDEDGNGDAEHADNGKVPMGPAEVKL >DRNTG_19789.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15808791:15812869:1 gene:DRNTG_19789 transcript:DRNTG_19789.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNALVDEDGNGDAEHADNGKVPMGPAEVKL >DRNTG_19789.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15808791:15812869:1 gene:DRNTG_19789 transcript:DRNTG_19789.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTYNALVDEDGNGDAEHADNGKVPMGPAEVKL >DRNTG_19629.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001034.1:15305:16392:1 gene:DRNTG_19629 transcript:DRNTG_19629.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTGESEHVHNHAERRAGNRKSFMEGWERKENGRISRREGGPTLKQRCTYIKRG >DRNTG_19629.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001034.1:14784:16856:1 gene:DRNTG_19629 transcript:DRNTG_19629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGESEHVHNHAERRAGNRKSFMEGWERKENGRISRREGGPTLKQRCTYIKRG >DRNTG_19629.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001034.1:15305:16856:1 gene:DRNTG_19629 transcript:DRNTG_19629.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGESEHVHNHAERRAGNRKSFMEGWERKENGRISRREGGPTLKQRCTYIKRG >DRNTG_19629.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001034.1:15305:16754:1 gene:DRNTG_19629 transcript:DRNTG_19629.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGESEHVHNHAERRAGNRKSFMEGWERKENGRISRREGGPTLKQRCTYIKRG >DRNTG_17247.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5620077:5626567:-1 gene:DRNTG_17247 transcript:DRNTG_17247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDCEAITLVSETSPLPGLRRRIADSSASACVNDFGGKRCSDAAARDPIENDDVRVPEKKEGLVFNDGDHGERGVGRSDVPMKFLYRASAPAHRRVKESPLSSDAIFKQSHAGLLNLCIVVLVAVNSRLIIENLMKYGLLIRTGFWFSSRSLRDWPLLMCGLSLPIFPFSAFLVEKLIQHKFISEPLVITLHVILTSGAVLYPVFVILRCDSTVVSGLTLMLFACTVWLKLVSYAHTNYDLRTLSNSVDKGDVSSIYLNLDNSQGVSFRNLVYFMMAPTLCYQPSYPRTTCIRKGWVIRQLVKWVIFTGVTGFIIEQYINPIVQNSQHPLKGNFLSGIERVLKLSVPTLYVWLCMFYCFFHLWLNILAEVLRFGDREFYKDWWNAKTVEEYWRMWNMPVHKWMIRHIYFPCLRNGIPKRVAVLISFLVSAIFHELCIAVPCHIFKFWAFIGIMFQVPLVILTNYLQNKFRNTMVGNTIFWLFFSILGQPMSVLLYYHDVMNRKVRVE >DRNTG_03709.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000173.1:39094:51071:1 gene:DRNTG_03709 transcript:DRNTG_03709.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSTPTGSSACRTPPHRSPIIHSEDSRTS >DRNTG_02514.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7887379:7889533:1 gene:DRNTG_02514 transcript:DRNTG_02514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEMVVGKGFGLCLEATELRLGPPGDGEMTKNSGKRGFSETIDLKLKLKTVHDADESGVETEKMERSPSQKNVHSENKDPEKPPAKAQVVGWPPVRSYRKNIMAVQSEKAAKDDGEKSANGVAAFVKVSMDGAPYLRKVDLKMYNSYKELSLALEKMFSSFTIGNCGSQGINGRDFMNESKLMDLLNGSEYVPTYEDKDGDWMLVGDVPWEMFVDSCKRLRIMKGSEAIGLAPRAMEKCKNRS >DRNTG_28559.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001441.1:14221:16401:1 gene:DRNTG_28559 transcript:DRNTG_28559.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLIPSPIKLIAATLATNPRHRFPPNPNLISQPPKPFLSSFPSFSSCIPIKPLFNSSISSKFTEVSDITDFAAVSDDLDDDEALASSPWEGAIVYRRDASVTHLEFATTLERLGLTKLSTALSRSRASTMGIRLPSRKPGGSSAAAAGDDGTPVLISIDVTRRKRKLKLDGIVRTVITLGCNRCADPAAETVFKNFTLLLTEDPVEESEEINLGVIYGEDKGKPSTGSGNEEGEDDEKQIDIDDRLHFPSEEKEIDISKHIRDIVHVEITITAICDASCKGLCLQCGVNLNRKACTCSKKNAEEKQYGPLKDLGKQMQRR >DRNTG_11629.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000513.1:52693:53151:-1 gene:DRNTG_11629 transcript:DRNTG_11629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNERINNVIFLHLLLLFVLTIVSRGHAHYSLGKEKVTHLHFFFQERLNGDHPTTVLVAKPKDTVISASNTLPFGAVYVLDVPLTEGLDPNSKVVGQAQGLAVSVGQDKLMVVFMVDIGFTSGEFNGSSFSVLSRNPILETNHRELAIVG >DRNTG_13454.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19294567:19295031:1 gene:DRNTG_13454 transcript:DRNTG_13454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARLRYPDESEKSEKQRIHMGVWKLSTPVWKFRMGACIVPRLRELPDSDPYLKPIQPRFWYSFLHLFPN >DRNTG_09864.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000417.1:147636:148085:1 gene:DRNTG_09864 transcript:DRNTG_09864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSTGAQRASSSMPMALQQVLLLFLLDLVPIVTHSQSDPTFVYCPTDSNYATPSIFATDLALLLPNLTTSTSNSSTLFFTSFIGSTYGLAQCCPDASSSDCTTCLNCSATSPPTAPPATMPPSASTSASSATPATTSNPYPASMSLS >DRNTG_32617.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13727659:13727985:1 gene:DRNTG_32617 transcript:DRNTG_32617.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKLNIHQTKEEREGKIMGRDSHLQSISRRSRIARMGKPNYSQMGIYLVKLANLEAPSISPLLPALPNITTRSMIKRRKKVVHYFLKEKEPVVMGNKASWKA >DRNTG_34136.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21829851:21833584:1 gene:DRNTG_34136 transcript:DRNTG_34136.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPVPSNKSADSVVATSTTSNGNTTPQRSLMFEMPKQNLRGMNKPKCIKCGNVARSRCPFQSCKNCCAKAQNPCHIHVLKTNGTLPDKAPNSTSPASEAPSADLPSTGASWRLNSLRQLSTTFVNTLRVRKPLSRKDAININKWRFSRLKQYNDGEIEAENEAFERYMENVRLLEETFHTGELVKSEELITSETDSSAEKVQKLISEIKVKLKSNAERAESIRKRVRNLVDQKLGNLQSAGLVDDENFSGTDDLDGDNVAKRAKIEKWRVERTVAVDALIDKLNKARSEDDLKSCLETKQLLFNQTKNMDDLLSHLCSHSLCNKTYTAVDVNQDSLANVNAEFSALDQVAEL >DRNTG_34136.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21830952:21833584:1 gene:DRNTG_34136 transcript:DRNTG_34136.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPVPSNKSADSVVATSTTSNGNTTPQRSLMFEMPKQNLRGMNKPKCIKCGNVARSRCPFQSCKNCCAKAQNPCHIHVLKTNGTLPDKAPNSTSPASEAPSADLPSTGASWRLNSLRQLSTTFVNTLRVRKPLSRKDAININKWRFSRLKQYNDGEIEAENEAFERYMENVRLLEETFHTGELVKSEELITSETDSSAEKVQKLISEIKVKLKSNAERAESIRKRVRNLVDQKLGNLQSAGLVDDENFSGTDDLDGDNVAKRAKIEKWRVERTVAVDALIDKLNKARSEDDLKSCLETKQLLFNQTKNMDDLLSHLCSHSLCNKTYTAVDVNQDSLANVNAEFSALDQVAEL >DRNTG_09547.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22721933:22722412:-1 gene:DRNTG_09547 transcript:DRNTG_09547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDLQRKRPPVLGRLPTLLPSTRFHIGIITTRPLRRAPLQARHLCPQAPLNYHLSTSQSLETLEFQMQNPKLNKKSPKPQIHRSNYKGFTKD >DRNTG_32756.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1704045:1708711:-1 gene:DRNTG_32756 transcript:DRNTG_32756.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFRAMKGFDSEPIRESQRRRFASVKVINEVISLNKEWRQHQFELEILRKDFNGINKGLHVSKL >DRNTG_32756.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1704045:1708711:-1 gene:DRNTG_32756 transcript:DRNTG_32756.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFRAMKGFDSEPIRESQRRRFASVKVINEVISLNKEWRQHQFELEILRKDFNGINKGLHVSKL >DRNTG_32756.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1707151:1708711:-1 gene:DRNTG_32756 transcript:DRNTG_32756.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFRAMKGFDSEPIRESQRRRFASVKVINEVISLNKEWRQHQFELEILRKDFNGINKGLHVSKL >DRNTG_32756.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1704045:1708711:-1 gene:DRNTG_32756 transcript:DRNTG_32756.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFRAMKGFDSEPIRESQRRRFASVKVINEVISLNKEWRQHQFELEILRKDFNGINKGLHVSKL >DRNTG_32756.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1704045:1708711:-1 gene:DRNTG_32756 transcript:DRNTG_32756.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFRAMKGFDSEPIRESQRRRFASVKVINEVISLNKEWRQHQFELEILRKDFNGINKGLHVSKL >DRNTG_11058.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20045475:20047340:-1 gene:DRNTG_11058 transcript:DRNTG_11058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMASKKSLFSAFALARTAPAIIVMVGAFGLMLFVLSQLLISSPVYQWRKPANEPLKDIKEDIFIKQSTSGSKEWNASRQVQILSSDLGQDEVTVKPISSKEMQEKGDSKSSVSSNEIQQQSKERSSMPSNEIQEQIKENRSTSSNEMQEPRKEKSSMFSGSSVISNDTDENLAASSNLTLNLSPVGDIQKVDSGSCDLLKGKWIYHPAGPLYTNNTCMFITQMQNCQGNGRPDKEYENWRWKPDDCDLPLFDGRKFLELMRDKTVAFVGDSVARNQFESMLCILSQASSLYPPSHF >DRNTG_08569.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000387.1:58745:60068:1 gene:DRNTG_08569 transcript:DRNTG_08569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSQLLEPKTRRLPFEMRTTAPVDPPVSSSSPRVMSGARSWHQHL >DRNTG_08155.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16118653:16119814:1 gene:DRNTG_08155 transcript:DRNTG_08155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVADSQFHVLAVDDSLMDRKLIERLLKTSSYQVTTVDSVSKALEFLDGQQHEIEVNLIITDYCMPEMTGYDLLKKIKESSSLKDIPVVIMSSENVPSRINRCLEEGADEFFLKPVQLSDMKRLRPHLLKGKFKEQDQEQGKVMGNNGNKRKATDEEILPEKRRTRFSTSHSIL >DRNTG_34786.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:19624670:19627133:1 gene:DRNTG_34786 transcript:DRNTG_34786.1 gene_biotype:protein_coding transcript_biotype:protein_coding STTTLGWGARLLSCFGALLFLAFFFPGGLEEGGFAHAGRAGEGAPTSAPSRNLSAGSIPAKQSRGTPVGRGKERSGEEAG >DRNTG_34786.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:19624701:19627133:1 gene:DRNTG_34786 transcript:DRNTG_34786.2 gene_biotype:protein_coding transcript_biotype:protein_coding STTTLGWGARLLSCFGALLFLAFFFPGGLEEGGFAHAGRAGEGAPTSAPSRNLSAGSIPAKQSRGTPVGRGKERSGEEAG >DRNTG_04008.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29188166:29191838:-1 gene:DRNTG_04008 transcript:DRNTG_04008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATAQSIWKFNIVQSGVHHAINPDQYRGIFGSEGPKYARDVQEIIDFGTSGQVAGFISEAIQGVGGIMELAPGYLPAVYKIIKKAGGLCIADEVQSGFGRTGTHFWGFENHGVIPDIVTMAKGIGNGIPIGAVITTPEIAQALTRRSYFNTFGGNPVCTAGGHAVLRVLEKEKLQENAFTVGSYLKDRLKELQKKHEIIGDVRGRGLMLGVELVADRQSKTPVKSEILHVMDKMKDMGVLVGKGGFYGNVFRITPPLCFTKQDSDFFVDVMDIAMSKL >DRNTG_04008.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29188166:29189768:-1 gene:DRNTG_04008 transcript:DRNTG_04008.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELAPGYLPAVYKIIKKAGGLCIADEVQSGFGRTGTHFWGFENHGVIPDIVTMAKGIGNGIPIGAVITTPEIAQALTRRSYFNTFGGNPVCTAGGHAVLRVLEKEKLQENAFTVGSYLKDRLKELQKKHEIIGDVRGRGLMLGVELVADRQSKTPVKSEILHVMDKMKDMGVLVGKGGFYGNVFRITPPLCFTKQDSDFFVDVMDIAMSKL >DRNTG_35191.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18690141:18695204:1 gene:DRNTG_35191 transcript:DRNTG_35191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVPPHGTYKNNTYQRENQQRKEKSVDEWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGITVLILSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLIVEVGVNIVYMVTGGKSLKKFHDVVCPDCKNIKLTYFIMIFASVHFVLSQLPNFNSISGVSLAAAVMSLSYSTIAWGASVDKGKQSGVEYGYKSSSTAGTVLDFFSALGDVAFAYAGHNVVLEIQATIPSSPEKPSKKPMWKGVIVAYIVVALCYFPVALIGYWAFGNSVSDNILITLEKPRWLIAMANMMVVIHVIGSYQIYAMPVFDMIETVLVKKLHFPPGVTLRLIARSLYVAFTMFVGITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLVIYKPRRGSLSWITNWICIILGICLMFLSSIGGLRQIIKNAKSYQFYS >DRNTG_16825.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1410547:1414157:-1 gene:DRNTG_16825 transcript:DRNTG_16825.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSLTLLQTLTLTLTLTLTLTLTLITSTVNSTGDERASLLQLRDTLISPSISLHSNWTGPSCYRGRSRWLGISCSPSSHVISIDLHSTQLTGSLSSSSFQNITYLTTIILANNYLNGTLPTLEGLFYLQSLSLAGNRFFGSIPKEYIDLKSLTRLELQDNLLNGSIPPLDQPSLQVFNVSYNFLQGLIPETEALQRFNSSCFDHNLGLCGKPLDTLCPLAPSPSPSPSPSSLPSLAGKSSLETWILVCVAVGAVLVPLFVILCFLCFHKWCLKKKEEEEEEQGKAKFSSGEQEERELRYGTRGVTRAKKIAELEFMDKEKQMAFDLDELLRASAEVMGRGQLGTTYKTTLESGSIVVVKRLIREINDGLSKKDFVQHMQVLAKLNHENVTQIIAFYFSTDEKILIFDHVPHGNLFQLLHENRGEGRVPLKWETRLNIVKGIARGLAYLHQSLPPSFKVPHGNLKTSNVLILHPNHHPKLTDYAFLPLLQTLNLTLIDKLAISKTPEYYKAKRNQKEKKKMMMMLSSKADVFCFGLILIEVITGLIIPSDHEDDDHGGGDLSEWVRSVVSNEWSTDILDLEIVGENENHEEMMKLVDIALECTCFDPEKRPLVHDLLCRIEAISPACSI >DRNTG_02068.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000104.1:37064:41386:1 gene:DRNTG_02068 transcript:DRNTG_02068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRRSGHGMPPIARRQREEDLILFKDMIKREERTVSLLQPISVEFEPGEGNYRLYKIPSGKTEADFLAADGKNDYDWLKTPPGTPLFPSLDLEAEDPLLNMAVHKELPILQPIKPSRLSKHVHADRSKPSQRTKSPSTIYTSGYSSASITGSSSVSVTPRLIKAEARSIHRTTNISSSVKSNDQRGNETIYKSESKQMPPRTTTTTTTTARQNFDGKLPPNRRPQIQSNMAQTLIEFTDETPKNLITETRPNNRRTSSTMRGRSMAMADERHQESGRNIRRVSYSPISTMRGRKTMDLGVEYDGTKLKTIHNGNDNGNGNKNRNEGVVMGSKMVERMMNVQKTIRDHEKPKAAATATATAVVGISGTTRFEGTSFGSSILKTSITLQESDEKKIESEGVARPTNRLRSATPTTNTVLKRESQRDEKKNENGVANVPRMKMRSSTPTSATVYTREFPRMNTTPDYETTRILKGRLNAESQIIVKKGNPRNRCGLC >DRNTG_24494.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18971548:18973072:1 gene:DRNTG_24494 transcript:DRNTG_24494.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILSHEFVYYCTHKYTTMKNFCRLSYCTDSIILMSS >DRNTG_28305.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8070574:8077399:1 gene:DRNTG_28305 transcript:DRNTG_28305.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGALRNAPRCSSMKKEPATLKQLFDMDAKLHAISSSTLLLPSSETETDQLISMISYCTYVFTFTDPLESPLQHELKRHKLLHILSAVRSPKEGLLNNDRFLSSLVAMLAVNLFRSIPPPVNPCFPPDTLDEESPAIAFTPSWPHLHIVYDILATLVSSADAEVLQSQINQCFLSSLISLFHSEDLRERDRLKNVYHQMYSKLTSNRAFMRKSMKNVFLQFVCDAERHCGIGELLEIWGSIINGFTVPLKEEHKQFLVNVLLPLHKHKAMTAYHQQLSYCISQFLQKGAELTGVVVRRILRYWPVTNCHKELVLISEIEELVLSVELEQLDEVAVSLCSQIAKCLNSTNSQVAEKALYLWNNEQFVKMAMQSKEGVFPAVVESLENNINYHWNKSVQDLTISVKKMIEELEPRLYTKCLLDLKHQKSISTQEEKKRKERWERLELAATNNHLFLQPNCASSASH >DRNTG_28305.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8070574:8077399:1 gene:DRNTG_28305 transcript:DRNTG_28305.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALRNAPRCSSMKKEPATLKQLFDMDAKLHAISSSTLLLPSSETETDQLISMISYCTYVFTFTDPLESPLQHELKRHKLLHILSAVRSPKEGLLNNDRFLSSLVAMLAVNLFRSIPPPVNPCFPPDTLDEESPAIAFTPSWPHLHIVYDILATLVSSADAEVLQSQINQCFLSSLISLFHSEDLRERDRLKNVYHQMYSKLTSNRAFMRKSMKNVFLQFVCDAERHCGIGELLEIWGSIINGFTVPLKEEHKQFLVNVLLPLHKHKAMTAYHQQLSYCISQFLQKGAELTGVVVRRILRYWPVTNCHKELVLISEIEELVLSVELEQLDEVAVSLCSQIAKCLNSTNSQVAEKALYLWNNEQFVKMAMQSKEGVFPAVVESLENNINYHWNKSVQDLTISVKKMIEELEPRLYTKCLLDLKHQKSISTQEEKKRKERWERLELAATNNHLFLQPNCASSASH >DRNTG_28305.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8070574:8077399:1 gene:DRNTG_28305 transcript:DRNTG_28305.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALRNAPRCSSMKKEPATLKQLFDMDAKLHAISSSTLLLPSSETETDQLISMISYCTYVFTFTDPLESPLQHELKRHKLLHILSAVRSPKEGLLNNDRFLSSLVAMLAVNLFRSIPPPVNPCFPPDTLDEESPAIAFTPSWPHLHIVYDILATLVSSADAEVLQSQINQCFLSSLISLFHSEDLRERDRLKNVYHQMYSKLTSNRAFMRKSMKNVFLQFVCDAERHCGIGELLEIWGSIINGFTVPLKEEHKQFLVNVLLPLHKHKAMTAYHQQLSYCISQFLQKGAELTGVVVRRILRYWPVTNCHKELVLISEIEELVLSVELEQLDEVAVSLCSQIAKCLNSTNSQVAEKALYLWNNEQFVKMAMQSKEGVFPAVVESLENNINYHWNKSVQDLTISVKKMIEELEPRLYTKCLLDLKHQKSISTQEEKKRKERWERLELAATNNHLFLQPNCASSASH >DRNTG_28305.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8070574:8071129:1 gene:DRNTG_28305 transcript:DRNTG_28305.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCSERTPAVSLRKRGTSAIRRSSPDF >DRNTG_28305.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8070574:8077399:1 gene:DRNTG_28305 transcript:DRNTG_28305.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGALRNAPRCSSMKKEPATLKQLFDMDAKLHAISSSTLLLPSSETETDQLISMISYCTYVFTFTDPLESPLQHELKRHKLLHILSAVRSPKEGLLNNDRFLSSLVAMLAVNLFRSIPPPVNPCFPPDTLDEESPAIAFTPSWPHLHIVYDILATLVSSADAEVLQSQINQCFLSSLISLFHSEDLRERDRLKNVYHQMYSKLTSNRAFMRKSMKNVFLQFVCDAERHCGIGELLEIWGSIINGFTVPLKEEHKQFLVNVLLPLHKHKAMTAYHQQLSYCISQFLQKGAELTGVVVRRILRYWPVTNCHKELVLISEIEELVLSVELEQLDEVAVSLCSQIAKCLNSTNSQVAEKALYLWNNEQFVKMAMQSKEGVFPAVVESLENNINYHWNKSVQDLTISVKKMIEELEPRLYTKCLLDLKHQKSISTQEEKKRKERWERLELAATNNHLFLQPNCASSASH >DRNTG_23469.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2787252:2789145:-1 gene:DRNTG_23469 transcript:DRNTG_23469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKLLHLHPPCFPFSLSQPPHHSSFLHLPHLKHHSFPHLKHSSPKSPSLSSSSSSSSSWSLFSSISNNTNLDSNTNSNLSPTSSSNKTFTSWDFATLWISMIIGIPSYYLAGSLVDLGMSWWQGIATIFLANFLLLVPLLLTSVPGTKHGIPFPILARSSFGISGAHIPTLLRALIGCGWFGIETWIGGEAIYLLLPSQLKNSIPFAFVPLLGLDIYLLQFICYSIFCLAQICIIWRGIEGISKLEKYSAPVLVALTFALLHWSYQNAGGFSRMLSLSSRLNRSEFWSLFFPAITANIGFWATVALNIPDFTRFARSQKDQIIGQIGLPIFMSLFTFVGLAVTSSTEVIFGRVISDPIRLLGMIGGQWTTILAIFGISLATITTNIAANVVAPANALTNLCPEWFTFRRGALVTALLGFAFQPWKLLRSSESFVYTWLLGYSALMGPMAGIILTDYYLVKHMELNVDALYSRSPQGAYFYQNGFNVVAIISLVVSILPIIPGFLHKLGILLNTMKLFVVLYNNAWFVGFFLSSLIYWVLSGRKKMNI >DRNTG_23469.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2787371:2789242:-1 gene:DRNTG_23469 transcript:DRNTG_23469.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKLLHLHPPCFPFSLSQPPHHSSFLHLPHLKHHSFPHLKHSSPKSPSLSSSSSSSSSWSLFSSISNNTNLDSNTNSNLSPTSSSNKTFTSWDFATLWISMIIGIPSYYLAGSLVDLGMSWWQGIATIFLANFLLLVPLLLTSVPGTKHGIPFPILARSSFGISGAHIPTLLRALIGCGWFGIETWIGGEAIYLLLPSQLKNSIPFAFVPLLGLDIYLLQFICYSIFCLAQICIIWRGIEGISKLEKYSAPVLVALTFALLHWSYQNAGGFSRMLSLSSRLNRSEFWSLFFPAITANIGFWATVALNIPDFTRFARSQKDQIIGQIGLPIFMSLFTFVGLAVTSSTEVIFGRVISDPIRLLGMIGGQWTTILAIFGISLATITTNIAANVVAPANALTNLCPEWFTFRRGALVTALLGFAFQPWKLLRSSESFVYTWLLGYSALMGPMAGIILTDYYLVKHMELNVDALYSRSPQGAYFYQNGFNVVAIISLVVSILPIIPGFLHKLGILLNTMKLFVVLYNNAWFVGFFLSSLIYWVLSGRKKMNI >DRNTG_23469.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2787371:2789145:-1 gene:DRNTG_23469 transcript:DRNTG_23469.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKLLHLHPPCFPFSLSQPPHHSSFLHLPHLKHHSFPHLKHSSPKSPSLSSSSSSSSSWSLFSSISNNTNLDSNTNSNLSPTSSSNKTFTSWDFATLWISMIIGIPSYYLAGSLVDLGMSWWQGIATIFLANFLLLVPLLLTSVPGTKHGIPFPILARSSFGISGAHIPTLLRALIGCGWFGIETWIGGEAIYLLLPSQLKNSIPFAFVPLLGLDIYLLQFICYSIFCLAQICIIWRGIEGISKLEKYSAPVLVALTFALLHWSYQNAGGFSRMLSLSSRLNRSEFWSLFFPAITANIGFWATVALNIPDFTRFARSQKDQIIGQIGLPIFMSLFTFVGLAVTSSTEVIFGRVISDPIRLLGMIGGQWTTILAIFGISLATITTNIAANVVAPANALTNLCPEWFTFRRGALVTALLGFAFQPWKLLRSSESFVYTWLLGYSALMGPMAGIILTDYYLVKHMELNVDALYSRSPQGAYFYQNGFNVVAIISLVVSILPIIPGFLHKLGILLNTMKLFVVLYNNAWFVGFFLSSLIYWVLSGRKKMNI >DRNTG_12200.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10637578:10641886:-1 gene:DRNTG_12200 transcript:DRNTG_12200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTQLGRLRRPSPFSLVDRNNLKNQLKLSKASLFSVFDDHWCVKKGTLHLCRALQDKRSVFSTWASRVCSRNQFETSKILYFCSKGTLFREKLFSLSVLRGLCTDSRKRDSNFSQKNTQAVVKGQAAFVDYLHGTRGLQFTDAEHISKNSPIFLGRLLKKVENEEDVERALMRYFRYHPIYEFEPFFESVGLKPSEYNQLLPRDLMFLSDDEMLLENYHVLCNYGIARGKIGKIYKEAAEVFKYDFGLLSSKLQAYEALGLSKTSVIKLVASSPQLLVKEVDRMMFVKVLEQLESMGIQRDWIGGILSEKNTYNWGRTLMLLHFLHHLGLSSQEVAIFIRKQPNVISDGSGKTVFLLIGLLVKMGGREKEVIAMFTQFPSVQIGSYIKNVLRGLQFLIDIEMDHSDIQNLICTHMDIFGMCKLKRPNSIITTLNVGKKRLCKIIKNDPHELKKYAFGLKVTPLPNSGDDERSLMEKKKFLLRLGFVENSKEMNKALKVFRGKGDELQNRYDFLVKAGFDANDVSNMIKMAPQILNQKIDVLQRKLDFLLNNLGYPLSTLVAFPSCMSYTVGRVKLRFLMYDWLKDRARVNPGLALSSVLACSDKLFMKRFVNCDPKGPEVWENFKKALSSG >DRNTG_12200.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10637578:10641886:-1 gene:DRNTG_12200 transcript:DRNTG_12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTQLGRLRRPSPFSLVDRNNLKNQLKLSKASLFSVFDDHWCVKKGTLHLCRALQDKRSVFSTWASRVCSRNQFETSKILYFCSKGTLFREKLFSLSVLRGLCTDSRKRDSNFSQKNTQAVVKGQAAFVDYLHGTRGLQFTDAEHISKNSPIFLGRLLKKVENEEDVERALMRYFRYHPIYEFEPFFESVGLKPSEYNQLLPRDLMFLSDDEMLLENYHVLCNYGIARGKIGKIYKEAAEVFKYDFGLLSSKLQAYEALGLSKTSVIKLVASSPQLLVKEVDRMMFVKVLEQLESMGIQRDWIGGILSEKNTYNWGRTLMLLHFLHHLGLSSQEVAIFIRKQPNVISDGSGKTVFLLIGLLVKMGGREKEVIAMFTQFPSVQIGSYIKNVLRGLQFLIDIEMDHSDIQNLICTHMDIFGMCKLKRPNSIITTLNVGKKRLCKIIKNDPHELKKYAFGLKVTPLPNSGDDERSLMEKKKFLLRLGFVENSKEMNKALKVFRGKGDELQNRYDFLVKAGFDANDVSNMIKMAPQILNQKIDVLQRKLDFLLNNLGYPLSTLVAFPSCMSYTVGRVKLRFLMYDWLKDRARVNPGLALSSVLACSDKLFMKRFVNCDPKGPEVWENFKKALSSG >DRNTG_21720.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:638949:642794:1 gene:DRNTG_21720 transcript:DRNTG_21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWHYRSSSPAWVVILLVLLLFFAADASVHDYTGEKFAAKGNAFVLHGGSEGLYASVPSTNSTLPDNGDSSIRFEKIIFTRPEEEASLKKDSDKVIVQAIVFEVEDRDTIGGSAYGGQKAVCCTPDLAKLGVCIEGTIIYRASTRNPNWPQVFTASFEGRALVATLAPETIHITRTGMYNLYFIHCDPSLDGLVIDGKTIWKNPTGYLPGRMAPLMNFFGFMSLAFVVLGLFWFSQYARFWREVMPLQNCVTLVIALGMFEMALWYFEYAEFNETGIRPRGITFWAVTFGAIKRTIARVLILVVSMGFGVVRPTLGGLTSKVMMLGTTFFLASEVLELVENVGAVSDLSGKARLFLVLPVALLDAFFILWIFRSLAKTLDKLQSRRLMVKLDIYRKFTNALAVAVLVSVGWICYELYFKSTDVYNGRWQSAWIIPAFWHVLSFSLLCVICALWAPSQNSMRYAYSGDANEDFDRESSLSLIKPGPIPSNDIQKLDTERTSSLNSSDPHNGDIEEDKRE >DRNTG_23814.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29557897:29559286:-1 gene:DRNTG_23814 transcript:DRNTG_23814.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIEHIGHEYMGEFFSCCDSVLAEDGVFVLQFSSIPDERYDEHRRSSGFMKEYIFTGGCLPSLSRITSAMASSSRLCVEQLENIGIHYYRTLRCWRDNFMANKCKILSLGFDEKFIRTWEYYFSYRAAGFKTRTLGNYQVVFSRPGNNKVFSDPYEGFPAA >DRNTG_23814.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29574498:29582059:-1 gene:DRNTG_23814 transcript:DRNTG_23814.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVGAGISGLAAAYELSKSGADVVLYDKEPYLGGHARTVNVDGLDIDLGFMVFNRVTYPNMMEFFEKLGVEIERSDMSFSVSLDEGKGCEWGSRNGLAGLLAQKRNALNPYFLKMISEILKFKEDTLNYLQKLENNPDMDRSETLEHFIKSHGYSQLFQKAYLVPICASIWSCSSEGVMNFSAFSVLSFCRNHHLLQLFGRPQWLTVKSRSHSYVKKVRQELESRSCQIRTGFEVKSITSTNAGCCVHGPDHSEETYDACVISVHAPEALEILGNQATYEETRVLGAFQYSYSDVYVHRDTSLMPKNPSAWSAWNFLGTSSKGACLTYWLNLLQNLGSTDLPFLVTLNTQFVPKHTILKWQTSHPIPSVAASKASLELDKIQGKRGIWFCGAYQGYGFHEDGLKAGIVAANSVLSKDYIPLRNPKHMVLSWSEIGARAIITKFLEKYVVTGSLTLLEEGGTIFVFDREDKIRQIKSVLKVHNPLFYWKVATEADLGLADAYINGYFSFVDEQEGLLDFFTILIANRDLKNSSGKTTNRGWWTPLLLTAGVASARYFFRHISRQNSVTQARRNISQHYDLSNDFFYLFLDETMTYSSAIFKSENEDLKVAQIRKISLLIEKARISSQHEILEIGCGWGSLAIEVVKQTGCKYTGITLSKEQLHYAQRRVKDAGLEDRITFRLCDYRQLPMSHKYDRIISCEMIEAVGHEYMGEFFSYCDSILAEDGVFVLQFISIPDDRYDEYRRSSDFIKEYIFPGGCLPSLSRITSVMASSSRLCVEHLENIGIHYYQTLRCWRDNFMAKRCKILSLGFDEKFIRTWEYYFIYCAAGFKTRTLGNYQIVFSRPGNNKAFSDPYERLPAA >DRNTG_23814.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29557897:29559165:-1 gene:DRNTG_23814 transcript:DRNTG_23814.8 gene_biotype:protein_coding transcript_biotype:protein_coding MIEHIGHEYMGEFFSCCDSVLAEDGVFVLQFSSIPDERYDEHRRSSGFMKEYIFTGGCLPSLSRITSAMASSSRLCVEQLENIGIHYYRTLRCWRDNFMANKCKILSLGFDEKFIRTWEYYFSYRAAGFKTRTLGNYQVVFSRPGNNKVFSDPYEGFPAA >DRNTG_23814.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29559418:29577424:-1 gene:DRNTG_23814 transcript:DRNTG_23814.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSWSEIGARAIITKFLEKYVVTGSLTLLEEGGTIFVFDREDKIRQIKSVLKVHNPLFYWKVATEADLGLADAYINGYFSFVDEQEGLLDFFTILIANRDLKNSSGKTTNRGWWTPLLLTAGVASARYFFRHISRQNSVTQARRNISQHYDLSNDFFYLFLDETMTYSSAIFKSENEDLKVAQIRKISLLIEKARINSQHEILDIGCGWGSLAIEVVKQTGCKYTGITLSKEQLHYAQSRVKDAGLE >DRNTG_23814.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29559418:29582059:-1 gene:DRNTG_23814 transcript:DRNTG_23814.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVGAGISGLAAAYELSKSGADVVLYDKEPYLGGHARTVNVDGLDIDLGFMVFNRVTYPNMMEFFEKLGVEIERSDMSFSVSLDEGKGCEWGSRNGLAGLLAQKRNALNPYFLKMISEILKFKEDTLNYLQKLENNPDMDRSETLEHFIKSHGYSQLFQKAYLVPICASIWSCSSEGVMNFSAFSVLSFCRNHHLLQLFGRPQWLTVKSRSHSYVKKVRQELESRSCQIRTGFEVKSITSTNAGCCVHGPDHSEETYDACVISVHAPEALEILGNQATYEETRVLGAFQYSYSDVYVHRDTSLMPKNPSAWSAWNFLGTSSKGACLTYWLNLLQNLGSTDLPFLVTLNTQFVPKHTILKWQTSHPIPSVAASKASLELDKIQGKRGIWFCGAYQGYGFHEDGLKAGIVAANSVLSKDYIPLRNPKHMVLSWSEIGARAIITKFLEKYVVTGSLTLLEEGGTIFVFDREDKIRQIKSVLKVHNPLFYWKVATEADLGLADAYINGYFSFVDEQEGLLDFFTILIANRDLKNSSGKTTNRGWWTPLLLTAGVASARYFFRHISRQNSVTQARRNISQHYDLSNDFFYLFLDETMTYSSAIFKSENEDLKVAQIRKISLLIEKARINSQHEILDIGCGWGSLAIEVVKQTGCKYTGITLSKEQLHYAQSRVKDAGLE >DRNTG_23814.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29559418:29577607:-1 gene:DRNTG_23814 transcript:DRNTG_23814.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSWSEIGARAIITKFLEKYVVTGSLTLLEEGGTIFVFDREDKIRQIKSVLKVHNPLFYWKVATEADLGLADAYINGYFSFVDEQEGLLDFFTILIANRDLKNSSGKTTNRGWWTPLLLTAGVASARYFFRHISRQNSVTQARRNISQHYDLSNDFFYLFLDETMTYSSAIFKSENEDLKVAQIRKISLLIEKARINSQHEILDIGCGWGSLAIEVVKQTGCKYTGITLSKEQLHYAQSRVKDAGLE >DRNTG_23814.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29557897:29575871:-1 gene:DRNTG_23814 transcript:DRNTG_23814.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKYDRIISCEMIEHIGHEYMGEFFSCCDSVLAEDGVFVLQFSSIPDERYDEHRRSSGFMKEYIFTGGCLPSLSRITSAMASSSRLCVEQLENIGIHYYRTLRCWRDNFMANKCKILSLGFDEKFIRTWEYYFSYRAAGFKTRTLGNYQVVFSRPGNNKVFSDPYEGFPAA >DRNTG_23814.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29574498:29576653:-1 gene:DRNTG_23814 transcript:DRNTG_23814.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKYDRIISCEMIEAVGHEYMGEFFSYCDSILAEDGVFVLQFISIPDDRYDEYRRSSDFIKEYIFPGGCLPSLSRITSVMASSSRLCVEHLENIGIHYYQTLRCWRDNFMAKRCKILSLGFDEKFIRTWEYYFIYCAAGFKTRTLGNYQIVFSRPGNNKAFSDPYERLPAA >DRNTG_25427.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24655354:24656765:-1 gene:DRNTG_25427 transcript:DRNTG_25427.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCSTVTLDKAYSFKGEQQIKHMLLSPRVEKEIKMEPCKEDNYELVSFDALPNFLKDNEFILNYYRSEWPWKQTLLSLFSVHNETLNIWTHLIGFFIFLALTICAVSMVPFGSQPEVNGIEINKTNLSVITLPSIDHMISSSFNWYLPTYIEKEIANEAQGTVTRWPFYAYLFGAMLCLFTSSACHLLSCHSEKCAYTMLRLDYSGISTLIVTSFYPLVYYSFMCLPFYRNLYIGFITAFGIATIMVSLFPVFQTPQFRSVRALLFFCMGVSGLVPIMHKVFVFGHQPVAMITTVYELVMGLFYGVGVIVYAARVPERWWPGKFDLIGHSHQLFHVLVIAGAYTHYLASVMYLNWRDMEGQC >DRNTG_18815.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000974.1:51759:53293:-1 gene:DRNTG_18815 transcript:DRNTG_18815.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKISLVSQLLSSPHGTQNSEPNRRNAHLRPTPIPWPLIQVKEYYHKRLNPKLALLRGKAQLREISGTSPAT >DRNTG_18815.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000974.1:51759:53387:-1 gene:DRNTG_18815 transcript:DRNTG_18815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKISLVSQLLSSPHGTQNSEPNRRNAHLRPTPIPWPLIQVKEYYHKRLNPKLALLRGKAQLREISGTSPAT >DRNTG_15307.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4772543:4774349:1 gene:DRNTG_15307 transcript:DRNTG_15307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGEPLVMDRERLTADLEFADDSSSIVIKIRRRLPDFLQSVKLKYVKLGLSSITISATYLVAPFLFLSAVSALRLDLESALAAATVVVVLTTIYLLKRPRPVYLIDFACYKPEDELKISKDGFLDMTEKTGAFSEETLEFQTKITKRSGLGDETYLPPGIQARPPRLSMAEARMEAEAVMFGCLDALFKRTGINPSRDVGILIVNCSLFNPTPSLSAMIVNRYKMRSDIKSFNLGGMGCSAGLISIDLAKDLLQANRNSYALVLSMENITLNWYFGNDRSMLLSNCIFRMGGGAVLLSNRAADSGRAKYRLMHTVRTHKGADDGCYQCVYQKEDAQGNIGVSLARELMAVAGDALKTNITTLGPLVLPLSEQMKFLATLVARRVLRMRRVRPYIPDFKKAFEHFCVHAGGRAVLDEVQKNLGLHDRDMEPGRSALHRFGNTSSSTLWYELAYAEAKGRVRSGDRVWQIGFGSGFKCNSAVWRAMRDVPAVGQTDSCNPWADCIHLYPAK >DRNTG_22794.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3875488:3880306:-1 gene:DRNTG_22794 transcript:DRNTG_22794.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zeta-carotene desaturase, chloroplastic/chromoplastic [Source:Projected from Arabidopsis thaliana (AT3G04870) UniProtKB/Swiss-Prot;Acc:Q38893] MASASIPASSFGSASRELFRRAELRRGRVELKPFAVRSSLDNMVSDMSVNAPKGLFPPEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHDVDIYDCRTFIGGKVGSFVDKRGNHIEMGLHVFFGCYNNLFRLMKKVGAEANLLVKDHTHTFVNKGGEVGELDFRFPVGAPIHGIRAFLATNQLKPYDKARNAVALALSPVVRALVDPDGAMQQIRDLDNISFSDWFMSKGGTRASIQRMWDPVAYALGFIDCDNISARCMLTIFALFATKTEASLLRMLKGSPDVYLSGPIRKYITNKGGRFHLRWGCREILHGKLPDGSTYVTGLAMSKATEKQIVKADVYVAACDVPGIKRLIPNQWREWDLFDNIYKLVGVPVVTVQLRYNGWVTELQDLERSRQLRQAVGLDNLLYTPDADFSCFADLALASPEDYYIEGQGSLIQAVLTPGDPYMPLPNEAIIERVQKQVLDLFPSSQGLELLWSSVVKLGQSLYREAPGKDPFRPDQKTPVKNFFLAGSYTKQDYIDSMEGATLSGRQAASYICSAGEELAALRKQVSAIEAEQMLKGSDTADELSLV >DRNTG_29797.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18251488:18252886:-1 gene:DRNTG_29797 transcript:DRNTG_29797.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGELKLRVGDDKLTYCLAKAMWHSLDFDDALYFLDTSDEIVDEYMQEMFNPDRYEVPSTPGILKKVLQKMKRARRRHRKHSKAIEDGCELTKLDAPLLDPHGHGEFPQARVEFCNTISRALLIVFLLILIDMEPRSKKHEVKHPRETPPELVHMEFSNHEQQARFERLSALSFGQSYFVDLSVLRVIQRDDELVDKTDEILAVGSWRRLFTIQEPDFRVLTLEVLVSFKFDQPYARFDTVNAIQF >DRNTG_09283.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6759199:6762169:1 gene:DRNTG_09283 transcript:DRNTG_09283.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIR4 [Source:Projected from Arabidopsis thaliana (AT5G62740) UniProtKB/TrEMBL;Acc:A0A178U8N7] MGQLLCCVQVDQSTVAIKERFGKFDEVLEPGCHCLPWFIGSQLAGHLSLRLQQLDVKCETKTKDNVFVNVVASVQYRALADKASDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDDAFEQKNDIAKAVEDELEKAMSAYGFEIVQTLIIDIEPDEHVKRAMNEINAAARLRLAATEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAVRDVAAQIRDGLLQGSVHH >DRNTG_09283.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6759199:6762169:1 gene:DRNTG_09283 transcript:DRNTG_09283.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIR4 [Source:Projected from Arabidopsis thaliana (AT5G62740) UniProtKB/TrEMBL;Acc:A0A178U8N7] MGQLLCCVQVDQSTVAIKERFGKFDEVLEPGCHCLPWFIGSQLAGHLSLRLQQLDVKCETKTKDNVFVNVVASVQYRALADKASDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDDAFEQKNDIAKAVEDELEKAMSAYGFEIVQTLIIDIEPDEHVKRAMNEINAAARLRLAATEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAVRDVAAQIRDGLLQGSVHH >DRNTG_09283.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6759199:6762169:1 gene:DRNTG_09283 transcript:DRNTG_09283.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIR4 [Source:Projected from Arabidopsis thaliana (AT5G62740) UniProtKB/TrEMBL;Acc:A0A178U8N7] MGQLLCCVQVDQSTVAIKERFGKFDEVLEPGCHCLPWFIGSQLAGHLSLRLQQLDVKCETKTKDNVFVNVVASVQYRALADKASDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDDAFEQKNDIAKAVEDELEKAMSAYGFEIVQTLIIDIEPDEHVKRAMNEINAAARLRLAATEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAVRDVAAQIRDGLLQGSVHH >DRNTG_09283.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6759199:6762169:1 gene:DRNTG_09283 transcript:DRNTG_09283.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIR4 [Source:Projected from Arabidopsis thaliana (AT5G62740) UniProtKB/TrEMBL;Acc:A0A178U8N7] MGQLLCCVQVDQSTVAIKERFGKFDEVLEPGCHCLPWFIGSQLAGHLSLRLQQLDVKCETKTKDNVFVNVVASVQYRALADKASDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDDAFEQKNDIAKAVEDELEKAMSAYGFEIVQTLIIDIEPDEHVKRAMNEINAAARLRLAATEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAVRDVAAQIRDGLLQGSVHH >DRNTG_09283.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6759199:6762169:1 gene:DRNTG_09283 transcript:DRNTG_09283.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIR4 [Source:Projected from Arabidopsis thaliana (AT5G62740) UniProtKB/TrEMBL;Acc:A0A178U8N7] MGQLLCCVQVDQSTVAIKERFGKFDEVLEPGCHCLPWFIGSQLAGHLSLRLQQLDVKCETKTKDNVFVNVVASVQYRALADKASDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDDAFEQKNDIAKAVEDELEKAMSAYGFEIVQTLIIDIEPDEHVKRAMNEINAAARLRLAATEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAVRDVAAQIRDGLLQGSVHH >DRNTG_09283.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6758817:6762169:1 gene:DRNTG_09283 transcript:DRNTG_09283.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIR4 [Source:Projected from Arabidopsis thaliana (AT5G62740) UniProtKB/TrEMBL;Acc:A0A178U8N7] MGQLLCCVQVDQSTVAIKERFGKFDEVLEPGCHCLPWFIGSQLAGHLSLRLQQLDVKCETKTKDNVFVNVVASVQYRALADKASDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDDAFEQKNDIAKAVEDELEKAMSAYGFEIVQTLIIDIEPDEHVKRAMNEINAAARLRLAATEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAVRDVAAQIRDGLLQGSVHH >DRNTG_09283.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6759199:6762169:1 gene:DRNTG_09283 transcript:DRNTG_09283.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIR4 [Source:Projected from Arabidopsis thaliana (AT5G62740) UniProtKB/TrEMBL;Acc:A0A178U8N7] MGQLLCCVQVDQSTVAIKERFGKFDEVLEPGCHCLPWFIGSQLAGHLSLRLQQLDVKCETKTKDNVFVNVVASVQYRALADKASDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDDAFEQKNDIAKAVEDELEKAMSAYGFEIVQTLIIDIEPDEHVKRAMNEINAAARLRLAATEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAVRDVAAQIRDGLLQGSVHH >DRNTG_09283.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6759199:6762169:1 gene:DRNTG_09283 transcript:DRNTG_09283.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIR4 [Source:Projected from Arabidopsis thaliana (AT5G62740) UniProtKB/TrEMBL;Acc:A0A178U8N7] MGQLLCCVQVDQSTVAIKERFGKFDEVLEPGCHCLPWFIGSQLAGHLSLRLQQLDVKCETKTKDNVFVNVVASVQYRALADKASDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDDAFEQKNDIAKAVEDELEKAMSAYGFEIVQTLIIDIEPDEHVKRAMNEINAAARLRLAATEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAVRDVAAQIRDGLLQGSVHH >DRNTG_09283.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6759199:6762169:1 gene:DRNTG_09283 transcript:DRNTG_09283.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIR4 [Source:Projected from Arabidopsis thaliana (AT5G62740) UniProtKB/TrEMBL;Acc:A0A178U8N7] MGQLLCCVQVDQSTVAIKERFGKFDEVLEPGCHCLPWFIGSQLAGHLSLRLQQLDVKCETKTKDNVFVNVVASVQYRALADKASDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDDAFEQKNDIAKAVEDELEKAMSAYGFEIVQTLIIDIEPDEHVKRAMNEINAAARLRLAATEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAVRDVAAQIRDGLLQGSVHH >DRNTG_09283.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6759199:6762169:1 gene:DRNTG_09283 transcript:DRNTG_09283.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIR4 [Source:Projected from Arabidopsis thaliana (AT5G62740) UniProtKB/TrEMBL;Acc:A0A178U8N7] MGQLLCCVQVDQSTVAIKERFGKFDEVLEPGCHCLPWFIGSQLAGHLSLRLQQLDVKCETKTKDNVFVNVVASVQYRALADKASDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDDAFEQKNDIAKAVEDELEKAMSAYGFEIVQTLIIDIEPDEHVKRAMNEINAAARLRLAATEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAVRDVAAQIRDGLLQGSVHH >DRNTG_12982.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24813561:24815445:-1 gene:DRNTG_12982 transcript:DRNTG_12982.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARSYASAVALHGSIYAFGGGESSGGNVWHKTVECYNPKYDEWTMCSPMSTERGNLAGATLNGKIFAIGGGKGSDCYFDVEMFDPALNKWFNSTSMHEKIG >DRNTG_12982.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24813561:24818323:-1 gene:DRNTG_12982 transcript:DRNTG_12982.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASLDFDHEESDCANSSKMSSAGPEDIVAKEPAPNSEELGKDFVDTPHVDATPDLNVSDQTLPKQQYADERFQNEVEAVVLKLQTLSAKRSSLLSSSLHSENECSSSLHSETDLVSSVATIDLNEDIGKISEETPILTVEDNIELDHYQGKEEVVQLVKEIKAKSLVLEKKQVESDQEIQRLKDLVKHSERKIEQLEEHLKDLETKLEPSITLDESLNKFVDQYFGSDKVIYLIGGYDGVSWLSSLDAFSPSLDILTPLKSMSTARSYASAVALHGSIYAFGGGESSGGNVWHKTVECYNPKYDEWTMCSPMSTERGNLAGATLNGKIFAIGGGKGSDCYFDVEMFDPALNKWFNSTSMHEKIG >DRNTG_12982.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24813561:24814985:-1 gene:DRNTG_12982 transcript:DRNTG_12982.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPMSTERGNLAGATLNGKIFAIGGGKGSDCYFDVEMFDPALNKWFNSTSMHEKIG >DRNTG_04742.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:62841:63406:-1 gene:DRNTG_04742 transcript:DRNTG_04742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELERKVQEINKAEEEEEGDSSTSSQFSTGKRSFPFRLPTVFLSKKKRRLPASSQSSSLST >DRNTG_27730.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:223134:226240:-1 gene:DRNTG_27730 transcript:DRNTG_27730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIYIVYYSMYGHVEKLAEEIEKGASSVEGVEAKLWQVPEILPEEVLGKMGAPPKSDVPVISPNELAEADGILFGFPTRFGMMAAQFKAFIDATGGLWRTQQLAGKPAGIFYSTGSQGGGQETTP >DRNTG_27730.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:222621:226240:-1 gene:DRNTG_27730 transcript:DRNTG_27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIYIVYYSMYGHVEKLAEEIEKGASSVEGVEAKLWQVPEILPEEVLGKMGAPPKSDVPVISPNELAEADGILFGFPTRFGMMAAQFKAFIDATGGLWRTQQLAGKPAGIFYSTGSQGGGQETTPLTAITQLVHHGMIFVPIGYTFGAGMFEMEKLKGGSPYGAGTYAGDGSRFPSELEVEQAFHQGKYFAGIAKKLKDSA >DRNTG_07427.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7097936:7099238:-1 gene:DRNTG_07427 transcript:DRNTG_07427.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAISTADNELGCRRNEARDTKKKDSHKIPREPPERTVPLNLNTRALCPSHRLWFPR >DRNTG_06083.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8449207:8456369:1 gene:DRNTG_06083 transcript:DRNTG_06083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASLKEIVNCPEAEIYAMLKECGMDPSEAVHRLLSQDTFHEVKSKREKKKEVKEVPENRSRSVGAKSNRGARGGTDRGLRNNPSQFSSSDFAVARGKAVHQKENSENAIPTSSLESASSATYHNKSDFAPMRTPAPTTVVPDGLSYPVQPSSGYQNSWFGAPGRRSMADVVKMGRPQGKSPSVSAVASDSSYTPSVPLLSDMPPLCQRPSPSVLPCESNQESHSSQNPILKSTEITHEQNTSVGSHGSHDDWAVVDESPAATVPSVPETSGSSTLYAETPTLADGNNLHVNPHLNETEELEDDATDEDLHAEHTGSVSLSDRQVQLDNLGDDSHQTDDSINNLSSYQSQRHAFEHREVEDVNAEISSVAANLHQINLQKDLNAPSVEEIPAVIIPDHLLVTNVDCSHLSFGSFNSGIITAGFSGSFSSKPMKTSLDVAPVTEDTSSIDKSEPRNTEYYDSEQLRSALSENVASTSTTTTREDVPSATEPEIIRSDSLDATHGLQYNFPSVSDYTLPSTTEQNAAGYGFPQSNSQIQNLAPFSSLM >DRNTG_21246.10 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:2201:2862:1 gene:DRNTG_21246 transcript:DRNTG_21246.10 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDVHDELLSFMSSGIYRFSGSNVVFVDPVRVLSNSYSRFRINSAGYYSRFFGVPSKGNDGNSVDSSSSMKNKKRKRNSQSLNEREKSAENRHQNARPFLVSAYESFQRATDLLHFLPSLLKEDDSMPDKCDTELNFIELGSLWQAPLYEISLSLPMENIPAEGG >DRNTG_21246.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:2201:5767:1 gene:DRNTG_21246 transcript:DRNTG_21246.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDVHDELLSFMSSGIYRFSGSNVVFVDPVRVLSNSYSRFRINSAGYYSRFFGVPSKGNDGNSVDSSSSMKNKKRKRNSQSLNEREKSAENRHQNARPFLVSAYESFQRATDLLHFLPSLLKEDDSMPDKCDTELNFIELGSLWQAPLYEISLSLPMENIPAEGGSQPSCCEGRAVPVFNNLIRNQSSYDLEADFLNRQYILPGKCRFFMLSYVA >DRNTG_21246.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:971:3911:1 gene:DRNTG_21246 transcript:DRNTG_21246.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDVHDELLSFMSSGIYRFSGSNVVFVDPVRVLSNSYSRFRINSAGYYSRFFGVPSKGNDGNSVDSSSSMKNKKRKRNSQSLNEREKSAENRHQNARPFLVSAYESFQRATDLLHFLPSLLKEDDSMPDKCDTELNFIELGSLWQAPLYEISLSLPMENIPAEGGSQPSCCEGRAVPVFNNLIRNQSSYDLEADFLNRQYILPGKCRFFMSDLRRVHDLIPAQPDYGYNLIIIDPPWENGSVYQKAVYVC >DRNTG_21246.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:971:5767:1 gene:DRNTG_21246 transcript:DRNTG_21246.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDVHDELLSFMSSGIYRFSGSNVVFVDPVRVLSNSYSRFRINSAGYYSRFFGVPSKGNDGNSVDSSSSMKNKKRKRNSQSLNEREKSAENRHQNARPFLVSAYESFQRATDLLHFLPSLLKEDDSMPDKCDTELNFIELGSLWQAPLYEISLSLPMENIPAEGGSQPSCCEGRAVPVFNNLIRNQSSYDLEADFLNRQYILPGKCRFFMSDLRRVHDLIPAQPDYGYNLIIIDPPWENGSVYQKAVYPTLPNRYLLYLPIKQLAHIDGALVVLWITNREKLRAFVEDELFPAWGVADISEFFWLKVKPDGSLIGELDLFHHRPYECLLLGYINAKNVNSEKIPKNKVIVSIPGSHSRKPPLGSIQIKIAC >DRNTG_21246.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:971:5767:1 gene:DRNTG_21246 transcript:DRNTG_21246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDVHDELLSFMSSGIYRFSGSNVVFVDPVRVLSNSYSRFRINSAGYYSRFFGVPSKGNDGNSVDSSSSMKNKKRKRNSQSLNEREKSAENRHQNARPFLVSAYESFQRATDLLHFLPSLLKEDDSMPDKCDTELNFIELGSLWQAPLYEISLSLPMENIPAEGGSQPSCCEGRAVPVFNNLIRNQSSYDLEADFLNRQYILPGKCRFFMLSYVA >DRNTG_21246.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:2201:6245:1 gene:DRNTG_21246 transcript:DRNTG_21246.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDVHDELLSFMSSGIYRFSGSNVVFVDPVRVLSNSYSRFRINSAGYYSRFFGVPSKGNDGNSVDSSSSMKNKKRKRNSQSLNEREKSAENRHQNARPFLVSAYESFQRATDLLHFLPSLLKEDDSMPDKCDTELNFIELGSLWQAPLYEISLSLPMENIPAEGGSQPSCCEGRAVPVFNNLIRNQSSYDLEADFLNRQYILPGKCRFFMSDLRRVHDLIPAQPDYGYNLIIIDPPWENGSVYQKAVYVC >DRNTG_21246.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:971:5767:1 gene:DRNTG_21246 transcript:DRNTG_21246.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDVHDELLSFMSSGIYRFSGSNVVFVDPVRVLSNSYSRFRINSAGYYSRFFGVPSKGNDGNSVDSSSSMKNKKRKRNSQSLNEREKSAENRHQNARPFLVSAYESFQRATDLLHFLPSLLKEDDSMPDKCDTELNFIELGSLWQAPLYEISLSLPMENIPAEGGSQPSCCEGRAVPVFNNLIRNQSSYDLEADFLNRQYILPGKCRFFMSDLRRVHDLIPAQPDYGYNLIIIDPPWENGSVYQKAVYPTLPNRYLLYLPIKQLAHIDGALVVLWITNREKLRAFVEDELFPAWGVADISEFFWLKVKPDGSLIGELDLFHHRPYECLLLGYINAKNVNSEKIPKNKVIVSIPGSHSRKPPLGRLLSEYVPGPKPARCIDLFARELVAGWTSWGNEPLHFQDSKYFLQRGSTISDTDA >DRNTG_21246.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:971:3911:1 gene:DRNTG_21246 transcript:DRNTG_21246.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDVHDELLSFMSSGIYRFSGSNVVFVDPVRVLSNSYSRFRINSAGYYSRFFGVPSKGNDGNSVDSSSSMKNKKRKRNSQSLNEREKSAENRHQNARPFLVSAYESFQRATDLLHFLPSLLKEDDSMPDKCDTELNFIELGSLWQAPLYEISLSLPMENIPAEGGSQPSCCEGRAVPVFNNLIRNQSSYDLEADFLNRQYILPGKCRFFMLNLIMAITLSSLIRHGRMGACIRKQCMFADL >DRNTG_21246.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:971:5767:1 gene:DRNTG_21246 transcript:DRNTG_21246.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDVHDELLSFMSSGIYRFSGSNVVFVDPVRVLSNSYSRFRINSAGYYSRFFGVPSKGNDGNSVDSSSSMKNKKRKRNSQSLNEREKSAENRHQNARPFLVSAYESFQRATDLLHFLPSLLKEDDSMPDKCDTELNFIELGSLWQAPLYEISLSLPMENIPAEGGSQPSCCEGRAVPVFNNLIRNQSSYDLEADFLNRQYILPGKCRFFMLSYVA >DRNTG_21246.9 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001216.1:2201:4403:1 gene:DRNTG_21246 transcript:DRNTG_21246.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDVHDELLSFMSSGIYRFSGSNVVFVDPVRVLSNSYSRFRINSAGYYSRFFGVPSKGNDGNSVDSSSSMKNKKRKRNSQSLNEREKSAENRHQNARPFLVSAYESFQRATDLLHFLPSLLKEDDSMPDKCDTELNFIELGSLWQAPLYEISLSLPMENIPAEGGSQPSCCEGRAVPVFNNLIRNQSSYDLEADFLNRQYILPGKCRFFMLSYVA >DRNTG_16411.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28877505:28878245:-1 gene:DRNTG_16411 transcript:DRNTG_16411.1 gene_biotype:protein_coding transcript_biotype:protein_coding STRPPYHQTAPTQCPPLVFKRSRPSSPRVEFSAPLRASFHEGLLPPGGQVKLNDVVGSGISGILYKWVNYGKGWRPRWFVLQDGVLSYYKIHGPDRIEVNKETEKGSKVIGDESIRRIGRHRKHHCSHLRRKPIGEVHLKVSSIKESRSDDKRFSIFTGTKTLHLRAETREDRGSWMEALLAVKEMFPRMSNSELMAPVDGLVVSTEKLRQRLQ >DRNTG_07048.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3770306:3773412:-1 gene:DRNTG_07048 transcript:DRNTG_07048.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNRDFLFCSLCGNLLSLNSPRVASCETCGFKRNAREIIGREIRYSITAEDIIRELNMEPILSRETDRESEKVNRAVVNERCPQCNHPQLEYYTKQLRSADEGQTIFYECPSCFHKFSQNT >DRNTG_13776.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13167372:13182278:1 gene:DRNTG_13776 transcript:DRNTG_13776.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFMLKGGWASEGRSSRYSCLPSQYKKNKKSECDVRPVGGEVRAGRLLALGAAITLRLVGSRNAGSAIVPSQSKGAGAQSKSGPVSGEHIRNMSMGLVDKEGSERGKIEKEAQSDEVHEVMLVDSAE >DRNTG_13542.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20981962:20990150:1 gene:DRNTG_13542 transcript:DRNTG_13542.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKKQHHFLLVVNALQSHINQCFNLAKHLTSSTGAAVTLSTTVFFHRRMFPSISEPSQEVIDGLITFKPFSDGFDVDGYQPGSMDLKEYLAAFRANGQRGLSTLVKDLAAGGRPVTCIIRAPFLEWVVDVAGEHGVPSVLYWIQAITLFATHYHFFHGFESVIRAHSGDPSFTIQLPGLQPLQIKDLPSFLTETSDNSPYAFFIDSFRELFQVLKRDVAVLMNSLTALETEALALVLSQRLKVFAVGSCPLASPGNMFKEDEKKYMEWLDTKEEGSVVYISFGSLSVMKKEQILEMVKGLKESKSPYLWVVRKDNKEKELLDIEGEEGDHDGNGMVVEWCSQVKVLAHRAVGCFVTHCGWNSILESLACGVPMVCVPQWNDQGMNAKLVESLWGCGVRSEINGDGVVKGEELVRCLESVMGDGEKGVEIRTKAKYVER >DRNTG_13542.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20981962:20990061:1 gene:DRNTG_13542 transcript:DRNTG_13542.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKKQHHFLLVVNALQSHINQCFNLAKHLTSSTGAAVTLSTTVFFHRRMFPSISEPSQEVIDGLITFKPFSDGFDVDGYQPGSMDLKEYLAAFRANGQRGLSTLVKDLAAGGRPVTCIIRAPFLEWVVDVAGEHGVPSVLYWIQAITLFATHYHFFHGFESVIRAHSGDPSFTIQLPGLQPLQIKDLPSFLTETSDNSPYAFFIDSFRELFQVLKRDVAVLMNSLTALETEALALVLSQRLKVFAVGSCPLASPGNMFKEDEKKYMEWLDTKEEGSVVYISFGSLSVMKKEQILEMVKGLKESKSPYLWVVRKDNKEKELLDIEGEEGDHDGNGMVVEWCSQVKVLAHRAVGCFVTHCGWNSILESLACGVPMVCVPQWNDQGMNAKLVESLWGCGVRSEINGDGVVKGEELVRCLESVMGDGEKGVEIRTKAKYVER >DRNTG_13542.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20988419:20990225:1 gene:DRNTG_13542 transcript:DRNTG_13542.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKKQHHLLLVASPLQSHINQCFNLAKHLTSSTGAAVTFSTTVYGHRRMFPSISVPQQEVNDGLITFKPFSDGFDVHGYQPESMDVKEYITALRTNGQRTLSTLVKDLAAGGRPVTCIIRAPFLEWVVDVAGEHGVPSVLYWIQAATAFITYYHFFHGFESIIRTHSVDPLFTIQLPGLQPLQIKDLPSFLTETSDNSPYAFFIDSFRELFQVLKRDVAVLMNSLTALETEALALVLSQRLKVFAVGSCPLASPGNMFKEDEKKYMEWLDTKEEGSVVYISFGSLSVMKKEQILEMVKGLKESKSPYLWVVRKDNKEKELLDIEGEEGDHDGNGMVVEWCSQVKVLAHRAVGCFVTHCGWNSILESLACGVPMVCVPQWNDQGMNAKLVESLWGCGVRSEINGDGVVKGEELVRCLESVMGDGEKGVEIRTKAKYVER >DRNTG_13542.20.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21038284:21039850:1 gene:DRNTG_13542 transcript:DRNTG_13542.20 gene_biotype:protein_coding transcript_biotype:protein_coding MADKQHHHFLFITSSHQGHISPTLHLARHLATSTGAAVTFSTTVFAHRRMFSSNQDLISDGLITFIPYSDGYDDGFKSEPSIFTDKFHSYVRTNSKRSVSEIVGNLAGRGQPVTCIIYTIVLNWAVEVAREHGILSVLYWIQTSSVFATYYHFFHGFESLIKTHIDDLSFTVSFPGLQPLQIRDLPSFVRVTNYDSADGSILCLFREMFEILDEEKERMKAMVLMNTFQEWETDALASFNVEIEAIPVGILPKETNSGAGYLFKEDEKKYMEWLDTKEDGSVVYISFGTMVEMKKEQIKEMVKGLKESKRPYLWVVRKDNREEELLEIEGGGDGMVVEWCSQVRVLAHKAVGCIVTHCGWNSTLESLACGVPMVCVPQWSDQGINAKLVESLWGCGVRSEVDGDGVVKGEELVRCLELVMGDGEKGVEIRRKAKMWKDEALVAGSEGGSSYLNLRAFVRKISD >DRNTG_13542.22.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21038200:21039636:1 gene:DRNTG_13542 transcript:DRNTG_13542.22 gene_biotype:protein_coding transcript_biotype:protein_coding MADKQHHHFLFITSSHQGHISPTLHLARHLATSTGAAVTFSTTVFAHRRMFSSNQDLISDGLITFIPYSDGYDDGFKSEPSIFTDKFHSYVRTNSKRSVSEIVGNLAGRGQPVTCIIYTIVLNWAVEVAREHGILSVLYWIQTSSVFATYYHFFHGFESLIKTHIDDLSFTVSFPGLQPLQIRDLPSFVRVTNYDSADGSILCLFREMFEILDEEKERMKAMVLMNTFQEWETDALASFNVEIEAIPVGILPKETNSGAGYLFKEDEKKYMEWLDTKEDGSVVYISFGTMVEMKKEQIKEMVKGLKESKRPYLWVVRKDNREEELLEIEGGGDGMVVEWCSQVRVLAHKAVGCIVTHCGWNSTLESLACGVPMVCVPQWSDQGINAKLVESLWGCGVRSEVDGDGVVKGEELVRCLELVMGDGEKGVEIRRKAKMWKDEALVAGSEGG >DRNTG_13542.24.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21038284:21039636:1 gene:DRNTG_13542 transcript:DRNTG_13542.24 gene_biotype:protein_coding transcript_biotype:protein_coding MADKQHHHFLFITSSHQGHISPTLHLARHLATSTGAAVTFSTTVFAHRRMFSSNQDLISDGLITFIPYSDGYDDGFKSEPSIFTDKFHSYVRTNSKRSVSEIVGNLAGRGQPVTCIIYTIVLNWAVEVAREHGILSVLYWIQTSSVFATYYHFFHGFESLIKTHIDDLSFTVSFPGLQPLQIRDLPSFVRVTNYDSADGSILCLFREMFEILDEEKERMKAMVLMNTFQEWETDALASFNVEIEAIPVGILPKETNSGAGYLFKEDEKKYMEWLDTKEDGSVVYISFGTMVEMKKEQIKEMVKGLKESKRPYLWVVRKDNREEELLEIEGGGDGMVVEWCSQVRVLAHKAVGCIVTHCGWNSTLESLACGVPMVCVPQWSDQGINAKLVESLWGCGVRSEVDGDGVVKGEELVRCLELVMGDGEKGVEIRRKAKMWKDEALVAGSEGG >DRNTG_13542.19.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21038244:21039850:1 gene:DRNTG_13542 transcript:DRNTG_13542.19 gene_biotype:protein_coding transcript_biotype:protein_coding MADKQHHHFLFITSSHQGHISPTLHLARHLATSTGAAVTFSTTVFAHRRMFSSNQDLISDGLITFIPYSDGYDDGFKSEPSIFTDKFHSYVRTNSKRSVSEIVGNLAGRGQPVTCIIYTIVLNWAVEVAREHGILSVLYWIQTSSVFATYYHFFHGFESLIKTHIDDLSFTVSFPGLQPLQIRDLPSFVRVTNYDSADGSILCLFREMFEILDEEKERMKAMVLMNTFQEWETDALASFNVEIEAIPVGILPKETNSGAGYLFKEDEKKYMEWLDTKEDGSVVYISFGTMVEMKKEQIKEMVKGLKESKRPYLWVVRKDNREEELLEIEGGGDGMVVEWCSQVRVLAHKAVGCIVTHCGWNSTLESLACGVPMVCVPQWSDQGINAKLVESLWGCGVRSEVDGDGVVKGEELVRCLELVMGDGEKGVEIRRKAKMWKDEALVAGSEGGSSYLNLRAFVRKISD >DRNTG_13542.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20982023:21039850:1 gene:DRNTG_13542 transcript:DRNTG_13542.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKKQHHFLLVVNALQSHINQCFNLAKHLTSSTGAAVTLSTTVFFHRRMFPSISEPSQEVIDGLITFKPFSDGFDVDGYQPGSMDLKEYLAAFRANGQRGLSTLVKDLAAGGRPVTCIIRAPFLEWVVDVAGEHGVPSVLYWIQAITLFATHYHFFHGFESVIRAHSGDPSFTIQLPGLQPLQIKDLPSFLTETSDNSPYTFLVDFLRELFQVLKRDVAVLVNSFTALETEALDSVPEWLKVFVVGSCTLAGPGNMFKEDENEYMEWLDTKEEGSVVYISFGSLSVMKKKQIEEMVKGLKESKRPYLWVVRKDNREKELMEIEGEGDQDGNGMMVEWCSQSESIGSQGGRMLCDALWMELNVGELGVRQTNGVRATVDGSRDECEVGGEFVGLWNEE >DRNTG_13542.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20982023:20990150:1 gene:DRNTG_13542 transcript:DRNTG_13542.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKKQHHFLLVVNALQSHINQCFNLAKHLTSSTGAAVTLSTTVFFHRRMFPSISEPSQEVIDGLITFKPFSDGFDVDGYQPGSMDLKEYLAAFRANGQRGLSTLVKDLAAGGRPVTCIIRAPFLEWVVDVAGEHGVPSVLYWIQAITLFATHYHFFHGFESVIRAHSGDPSFTIQLPGLQPLQIKDLPSFLTETSDNSPYAFFIDSFRELFQVLKRDVAVLMNSLTALETEALALVLSQRLKVFAVGSCPLASPGNMFKEDEKKYMEWLDTKEEGSVVYISFGSLSVMKKEQILEMVKGLKESKSPYLWVVRKDNKEKELLDIEGEEGDHDGNGMVVEWCSQVKVLAHRAVGCFVTHCGWNSILESLACGVPMVCVPQWNDQGMNAKLVESLWGCGVRSEINGDGVVKGEELVRCLESVMGDGEKGVEIRTKAKYVER >DRNTG_13542.17.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20988419:20990061:1 gene:DRNTG_13542 transcript:DRNTG_13542.17 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKKQHHLLLVASPLQSHINQCFNLAKHLTSSTGAAVTFSTTVYGHRRMFPSISVPQQEVNDGLITFKPFSDGFDVHGYQPESMDVKEYITALRTNGQRTLSTLVKDLAAGGRPVTCIIRAPFLEWVVDVAGEHGVPSVLYWIQAATAFITYYHFFHGFESIIRTHSVDPLFTIQLPGLQPLQIKDLPSFLTETSDNSPYAFFIDSFRELFQVLKRDVAVLMNSLTALETEALALVLSQRLKVFAVGSCPLASPGNMFKEDEKKYMEWLDTKEEGSVVYISFGSLSVMKKEQILEMVKGLKESKSPYLWVVRKDNKEKELLDIEGEEGDHDGNGMVVEWCSQVKVLAHRAVGCFVTHCGWNSILESLACGVPMVCVPQWNDQGMNAKLVESLWGCGVRSEINGDGVVKGEELVRCLESVMGDGEKGVEIRTKAKYVER >DRNTG_13542.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20982023:20983823:1 gene:DRNTG_13542 transcript:DRNTG_13542.12 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKKQHHFLLVVNALQSHINQCFNLAKHLTSSTGAAVTLSTTVFFHRRMFPSISEPSQEVIDGLITFKPFSDGFDVDGYQPGSMDLKEYLAAFRANGQRGLSTLVKDLAAGGRPVTCIIRAPFLEWVVDVAGEHGVPSVLYWIQAITLFATHYHFFHGFESVIRAHSGDPSFTIQLPGLQPLQIKDLPSFLTETSDNSPYTFLVDFLRELFQVLKRDVAVLVNSFTALETEALDSVPEWLKVFVVGSCTLAGPGNMFKEDENEYMEWLDTKEEGSVVYISFGSLSVMKKKQIEEMVKGLKESKRPYLWVVRKDNREKELMEIEGEGDQDGNGMMVEWCSQSESIGSQGGRMLCDALWMELNVGELGVRQTNGVRATVDGSRDECEVGGEFVGLWNEE >DRNTG_13542.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20966945:20968748:1 gene:DRNTG_13542 transcript:DRNTG_13542.11 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDHPFYPIFVTLKEVFEKLESQIKEGSGGSNYKPKVLVNSFAELEPDVDVDEVELVPVGPVLPFLFKDQLQEEDDGSNLYKADDKGYMQWLDSKEEGSVVYISFGSFWELKKEDMEEILKGLKESKRPYLWVVRKDNREKELLEIEGEGDDQNGNGMMVEWCSQVRVLAHKAVGCFVTHCGWNSTLESLACGVPMVSVPQWTDQGMNAKLVESLWGCGVRSEFDGDGDGVVKGEELVRCLELVMGDGEKGVEIRTKAKMWKDKALEAVSEGGSSDVNLKAFVDGIKKLIK >DRNTG_13542.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20981962:20983823:1 gene:DRNTG_13542 transcript:DRNTG_13542.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKKQHHFLLVVNALQSHINQCFNLAKHLTSSTGAAVTLSTTVFFHRRMFPSISEPSQEVIDGLITFKPFSDGFDVDGYQPGSMDLKEYLAAFRANGQRGLSTLVKDLAAGGRPVTCIIRAPFLEWVVDVAGEHGVPSVLYWIQAITLFATHYHFFHGFESVIRAHSGDPSFTIQLPGLQPLQIKDLPSFLTETSDNSPYTFLVDFLRELFQVLKRDVAVLVNSFTALETEALDSVPEWLKVFVVGSCTLAGPGNMFKEDENEYMEWLDTKEEGSVVYISFGSLSVMKKKQIEEMVKGLKESKRPYLWVVRKDNREKELMEIEGEGDQDGNGMMVEWCSQSESIGSQGGRMLCDALWMELNVGELGVRQTNGVRATVDGSRDECEVGGEFVGLWNEE >DRNTG_13542.21.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20967000:20968562:1 gene:DRNTG_13542 transcript:DRNTG_13542.21 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDHPFYPIFVTLKEVFEKLESQIKEGSGGSNYKPKVLVNSFAELEPDVDVDEVELVPVGPVLPFLFKDQLQEEDDGSNLYKADDKGYMQWLDSKEEGSVVYISFGSFWELKKEDMEEILKGLKESKRPYLWVVRKDNREKELLEIEGEGDDQNGNGMMVEWCSQVRVLAHKAVGCFVTHCGWNSTLESLACGVPMVSVPQWTDQGMNAKLVESLWGCGVRSEFDGDGDGVVKGEELVRCLELVMGDGEKGVEIRTKAKMWKDKALEAVSEGGSSDVNLKAFVDGIKKLIK >DRNTG_13542.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20988419:20990150:1 gene:DRNTG_13542 transcript:DRNTG_13542.14 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKKQHHLLLVASPLQSHINQCFNLAKHLTSSTGAAVTFSTTVYGHRRMFPSISVPQQEVNDGLITFKPFSDGFDVHGYQPESMDVKEYITALRTNGQRTLSTLVKDLAAGGRPVTCIIRAPFLEWVVDVAGEHGVPSVLYWIQAATAFITYYHFFHGFESIIRTHSVDPLFTIQLPGLQPLQIKDLPSFLTETSDNSPYAFFIDSFRELFQVLKRDVAVLMNSLTALETEALALVLSQRLKVFAVGSCPLASPGNMFKEDEKKYMEWLDTKEEGSVVYISFGSLSVMKKEQILEMVKGLKESKSPYLWVVRKDNKEKELLDIEGEEGDHDGNGMVVEWCSQVKVLAHRAVGCFVTHCGWNSILESLACGVPMVCVPQWNDQGMNAKLVESLWGCGVRSEINGDGVVKGEELVRCLESVMGDGEKGVEIRTKAKYVER >DRNTG_13542.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20967000:20983823:1 gene:DRNTG_13542 transcript:DRNTG_13542.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDHPFYPIFVTLKEVFEKLESQIKEGSGGSNYKPKVLVNSFAELEPDVDVDEVELVPVGPVLPFLFKDQLQEEDDGSNLYKADDKGYMQWLDSKEEGSVVYISFGSFWELKKEDMEEILKGLKESKRPYLWVVRKDNREKELLEIEGEGDDQNGNGMMVEWCSQVRVLAHKAVGCFVTHCGWNSTLESLACGVPMVSVPQWTDQGMNAKLVESLWGCGVRSEFDGDGDGVVKGEELVRCLELVMGDGEKGVEIRRKAKMWKDKVFEAVNEGGSSQVNLRAFVEMFNEQ >DRNTG_13542.16.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21038200:21039850:1 gene:DRNTG_13542 transcript:DRNTG_13542.16 gene_biotype:protein_coding transcript_biotype:protein_coding MADKQHHHFLFITSSHQGHISPTLHLARHLATSTGAAVTFSTTVFAHRRMFSSNQDLISDGLITFIPYSDGYDDGFKSEPSIFTDKFHSYVRTNSKRSVSEIVGNLAGRGQPVTCIIYTIVLNWAVEVAREHGILSVLYWIQTSSVFATYYHFFHGFESLIKTHIDDLSFTVSFPGLQPLQIRDLPSFVRVTNYDSADGSILCLFREMFEILDEEKERMKAMVLMNTFQEWETDALASFNVEIEAIPVGILPKETNSGAGYLFKEDEKKYMEWLDTKEDGSVVYISFGTMVEMKKEQIKEMVKGLKESKRPYLWVVRKDNREEELLEIEGGGDGMVVEWCSQVRVLAHKAVGCIVTHCGWNSTLESLACGVPMVCVPQWSDQGINAKLVESLWGCGVRSEVDGDGVVKGEELVRCLELVMGDGEKGVEIRRKAKMWKDEALVAGSEGGSSYLNLRAFVRKISD >DRNTG_13542.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20982023:20990061:1 gene:DRNTG_13542 transcript:DRNTG_13542.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKKQHHFLLVVNALQSHINQCFNLAKHLTSSTGAAVTLSTTVFFHRRMFPSISEPSQEVIDGLITFKPFSDGFDVDGYQPGSMDLKEYLAAFRANGQRGLSTLVKDLAAGGRPVTCIIRAPFLEWVVDVAGEHGVPSVLYWIQAITLFATHYHFFHGFESVIRAHSGDPSFTIQLPGLQPLQIKDLPSFLTETSDNSPYAFFIDSFRELFQVLKRDVAVLMNSLTALETEALALVLSQRLKVFAVGSCPLASPGNMFKEDEKKYMEWLDTKEEGSVVYISFGSLSVMKKEQILEMVKGLKESKSPYLWVVRKDNKEKELLDIEGEEGDHDGNGMVVEWCSQVKVLAHRAVGCFVTHCGWNSILESLACGVPMVCVPQWNDQGMNAKLVESLWGCGVRSEINGDGVVKGEELVRCLESVMGDGEKGVEIRTKAKYVER >DRNTG_13542.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20982023:20990061:1 gene:DRNTG_13542 transcript:DRNTG_13542.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKKQHHFLLVVNALQSHINQCFNLAKHLTSSTGAAVTLSTTVFFHRRMFPSISEPSQEVIDGLITFKPFSDGFDVDGYQPGSMDLKEYLAAFRANGQRGLSTLVKDLAAGGRPVTCIIRAPFLEWVVDVAGEHGVPSVLYWIQAITLFATHYHFFHGFESVIRAHSGDPSFTIQLPGLQPLQIKDLPSFLTETSDNSPYTFLVDFLRELFQVLKRDVAVLVNSFTALETEALDSVPEWLKVFVVGSCTLAGPGNMFKEDENEYMEWLDTKEEGSVVYISFGSLSVMKKKQIEEMVKGLKESKRPYLWVVRKDNREKELMEIEGEGDQDGNGMMVEWCSQSESIGSQGGRMLCDALWMELNVGELGVRQTNGVRATVDGSRDECEVGGEFVGLWNEE >DRNTG_13542.23.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21038244:21039636:1 gene:DRNTG_13542 transcript:DRNTG_13542.23 gene_biotype:protein_coding transcript_biotype:protein_coding MADKQHHHFLFITSSHQGHISPTLHLARHLATSTGAAVTFSTTVFAHRRMFSSNQDLISDGLITFIPYSDGYDDGFKSEPSIFTDKFHSYVRTNSKRSVSEIVGNLAGRGQPVTCIIYTIVLNWAVEVAREHGILSVLYWIQTSSVFATYYHFFHGFESLIKTHIDDLSFTVSFPGLQPLQIRDLPSFVRVTNYDSADGSILCLFREMFEILDEEKERMKAMVLMNTFQEWETDALASFNVEIEAIPVGILPKETNSGAGYLFKEDEKKYMEWLDTKEDGSVVYISFGTMVEMKKEQIKEMVKGLKESKRPYLWVVRKDNREEELLEIEGGGDGMVVEWCSQVRVLAHKAVGCIVTHCGWNSTLESLACGVPMVCVPQWSDQGINAKLVESLWGCGVRSEVDGDGVVKGEELVRCLELVMGDGEKGVEIRRKAKMWKDEALVAGSEGG >DRNTG_13542.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21038284:21040003:1 gene:DRNTG_13542 transcript:DRNTG_13542.15 gene_biotype:protein_coding transcript_biotype:protein_coding MADKQHHHFLFITSSHQGHISPTLHLARHLATSTGAAVTFSTTVFAHRRMFSSNQDLISDGLITFIPYSDGYDDGFKSEPSIFTDKFHSYVRTNSKRSVSEIVGNLAGRGQPVTCIIYTIVLNWAVEVAREHGILSVLYWIQTSSVFATYYHFFHGFESLIKTHIDDLSFTVSFPGLQPLQIRDLPSFVRVTNYDSADGSILCLFREMFEILDEEKERMKAMVLMNTFQEWETDALASFNVEIEAIPVGILPKETNSGAGYLFKEDEKKYMEWLDTKEDGSVVYISFGTMVEMKKEQIKEMVKGLKESKRPYLWVVRKDNREEELLEIEGGGDGMVVEWCSQVRVLAHKAVGCIVTHCGWNSTLESLACGVPMVCVPQWSDQGINAKLVESLWGCGVRSEVDGDGVVKGEELVRCLELVMGDGEKGVEIRRKAKMWKDEALVAGSEGGSSYLNLRAFVRKISD >DRNTG_13542.18.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20966945:20968562:1 gene:DRNTG_13542 transcript:DRNTG_13542.18 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDHPFYPIFVTLKEVFEKLESQIKEGSGGSNYKPKVLVNSFAELEPDVDVDEVELVPVGPVLPFLFKDQLQEEDDGSNLYKADDKGYMQWLDSKEEGSVVYISFGSFWELKKEDMEEILKGLKESKRPYLWVVRKDNREKELLEIEGEGDDQNGNGMMVEWCSQVRVLAHKAVGCFVTHCGWNSTLESLACGVPMVSVPQWTDQGMNAKLVESLWGCGVRSEFDGDGDGVVKGEELVRCLELVMGDGEKGVEIRTKAKMWKDKALEAVSEGGSSDVNLKAFVDGIKKLIK >DRNTG_13542.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20966850:20968748:1 gene:DRNTG_13542 transcript:DRNTG_13542.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDHPFYPIFVTLKEVFEKLESQIKEGSGGSNYKPKVLVNSFAELEPDVDVDEVELVPVGPVLPFLFKDQLQEEDDGSNLYKADDKGYMQWLDSKEEGSVVYISFGSFWELKKEDMEEILKGLKESKRPYLWVVRKDNREKELLEIEGEGDDQNGNGMMVEWCSQVRVLAHKAVGCFVTHCGWNSTLESLACGVPMVSVPQWTDQGMNAKLVESLWGCGVRSEFDGDGDGVVKGEELVRCLELVMGDGEKGVEIRTKAKMWKDKALEAVSEGGSSDVNLKAFVDGIKKLIK >DRNTG_13542.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20967000:20968748:1 gene:DRNTG_13542 transcript:DRNTG_13542.13 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDHPFYPIFVTLKEVFEKLESQIKEGSGGSNYKPKVLVNSFAELEPDVDVDEVELVPVGPVLPFLFKDQLQEEDDGSNLYKADDKGYMQWLDSKEEGSVVYISFGSFWELKKEDMEEILKGLKESKRPYLWVVRKDNREKELLEIEGEGDDQNGNGMMVEWCSQVRVLAHKAVGCFVTHCGWNSTLESLACGVPMVSVPQWTDQGMNAKLVESLWGCGVRSEFDGDGDGVVKGEELVRCLELVMGDGEKGVEIRTKAKMWKDKALEAVSEGGSSDVNLKAFVDGIKKLIK >DRNTG_20622.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20589948:20591449:-1 gene:DRNTG_20622 transcript:DRNTG_20622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPFITSTSTSTTTISDSTTKGCIKAERDALLAFKAQIIHDKAHPISSWGDQNDDDCCHWAGVHCNNNTGHVFRLKLQRRRPRQYYYDDGFGLSRMTPKCDEWDLSGNISESLIGLQHLKFLDLSNNCFLNISIPKFLGSLDHLVHLDLSYSGFTGIIPHELGNLTRLRYLNLAFNDYYYERVLLKVDDAEWLSGLSSLRYLSMNGVDFYGVNNVMQSLNKLQHLEHVSLFDCSMNSVPGSLPHLNFTSLTFMDIGRNFMFDNTSIPEWLFRIPNLRELRLYFNGFIGAIPASIGNATSLQFLDLAGNRGISGDMPSGFGDLCNLQWLLLDGTFVGKSLEEDFRDAFSGCIRRNLIGLFFQDSSLQGLLPDWLGEFTNLTYLYLSSNSFNSSIPASIGRLSKLQELYLYDNALNGSIPESFGRLSGLEYLDLRGNDLNGPIPESLPQLSNLVRLDLGYNFNYYNSVITEAHLANLTSLKDLILDHTNLVLNISTDR >DRNTG_15275.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4511557:4516344:1 gene:DRNTG_15275 transcript:DRNTG_15275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDPEQLKEEYLSARAFNNHGTHTASTAAGVLVRNVSAHGLAAGAARGGAPRARLAIYKTCWGSNGDCSEAAVLKAIDDAIHDGVDVLSLSIGPVGGKGYLEGSLNAVAKGITLVFAGGNGGPATRNLINELPWVITVAASTIDRSFPTVITLGNGQKLVVRLYHYNC >DRNTG_15275.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4511557:4513253:1 gene:DRNTG_15275 transcript:DRNTG_15275.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEPSILVFNVTHKVLTFKVEFTATVKLQGDYTFGSLTWYDKDGHSVRMPIAARIVIQDFFADTS >DRNTG_12233.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25032759:25035213:-1 gene:DRNTG_12233 transcript:DRNTG_12233.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLFSSLHLPPKSLHLRSPNRFPDSKNPILMLGFLSKRRLWKSLRVSDQKSMPELVPIGKFGGSDGAEEETEMILGNDSSTQRRGFGRAEFDSFFNRVSKWLVAFLFGLAILWKHDAEVSWAVMGAVINSGLSVTLKRVLNHQRPVSGLRSDPGMPSSHAQSLFYAAVLGIISCESSHTVFLYFSPAFGS >DRNTG_12233.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25032759:25035213:-1 gene:DRNTG_12233 transcript:DRNTG_12233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLFSSLHLPPKSLHLRSPNRFPDSKNPILMLGFLSKRRLWKSLRVSDQKSMPELVPIGKFGGSDGAEEETEMILGNDSSTQRRGFGRAEFDSFFNRVSKWLVAFLFGLAILWKHDAEVSWAVMGAVINSGLSVTLKRVLNHQRPVSGLRSDPGMPSSHAQSLFYAAVLGIISLLQWKGINFITVSMAVFTLAFGSYLTWLRVSQRLHTISQVVVGGMLGSACAITWVWLWHSFVHRAFTSSVWAGIIVLIGSALFCVVFLFHVVQHWFTDEQ >DRNTG_10490.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000441.1:7275:23504:-1 gene:DRNTG_10490 transcript:DRNTG_10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFSHLLSRVRSNLISWSHKGVNNIDSALIHTESEIHHLEQSDSNPNIFAILMEHYAKLSTLQLQYNTKCAQRARLLWLKDGDKNTNFFHNTIRIRSHANFISQIEDLDGNVFCSHADINSTFLNFYQNLWTAPTEIHMDILKALPSDLPRLSDSDGAHLIREVTKEEVYATLMDLPSGKSPGPDGFNVEFFRNFWHFIGDHLFAAIHHFFVTACLPSTWGNTFIALIPKKDNSKLVSDFRPISLCNVCFKIISKILANRLKIVLPDLISREQVGFVPGRCSFNNIITVQEIAHSIERDTIGFPRMLIKIDIEKAYDTLNWSAILAVLTKMNFSPTWISWISFCLKSSSFSLLINGVPTPWFHSFRGVRQGDPISSYLFILVSQILSSLLNFGLNSGMI >DRNTG_10490.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000441.1:7275:11375:-1 gene:DRNTG_10490 transcript:DRNTG_10490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFSHLLSRVRSNLISWSHKGVNNIDSALIHTESEIHHLEQSDSNPNIFAILMEHYAKLSTLQLQYNTKCAQRARLLWLKDGDKNTNFFHNTIRIRSHANFISQIEDLDGNVFCSHADINSTFLNFYQNLWTAPTEIHMDILKALPSDLPRLSDSDGAHLIREVTKEEVYATLMDLPSGKSPGPDGFNVEFFRNFWHFIGDHLFAAIHHFFVTACLPSTWGNTFIALIPKKDNSKLVSDFRPISLCNVCFKIISKILANRLKIVLPDLISREQVGFVPGRCSFNNIITVQEIAHSIERDTIGFPRMLIKIDIEKAYDTLNWSAILAVLTKMNFSPTWISWISFCLKSSSFSLLINGVPTPWFHSFRGVRQGDPISSYLFILVSQILSSLLNFGLNSGMI >DRNTG_18725.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1116066:1121363:-1 gene:DRNTG_18725 transcript:DRNTG_18725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVSALASQFVSTIAELVKDEVTLLRAVRSELQQLVFEARLVQNYLNDAEHKAMHDLTIRTWLSELRDAFYDADDIVDHARTRAERVSGASTAQVCCFSPLASVSGDLVFRHRIGGRIRSVKARLGEILKRGMELGLVCVGSVRRRREGIRGRDAGPDVEPDIVGGGIEDDTSKLVEMMITDGDGKISVYGIVGMGGIGKTTLAQKINNHGSIRENFHSPLPVWICVSKEANDLRVLKLIVKHLGGDYNYDSVGELQHILGQAVENKKLFLILDDVWDSHLWERVLKKPLSKVGLGSRVLVTTRDERVTLQMGDVYIHNVQELSVEDGWSLMRKLVFSANEEWDMRQLEDIGMRIVEKCHGLPLAIRTVAGVLRENEKHPREWEKVLENPAWGMTDLPQGVMGVLYLSYEDLPLHLKQCFLFCTLFPKDFVLFESFLIEHWIAQGYVKEDKDLIVEEVARKYYRELLRRNLLQPVPYTYEKAECQVHDLLRSLGLYLAQDEHFFGNVKALTRSLSAPPMKRLHHIAIWDESLTAIPKSVKKQTSTRTLILAKNFLMNELPEDLFHKLRTLRVLNFRETGLTTMPKSLGNLLHLRCLSLSFVPIEELPESIGGLSNLQYLLLWSCEKLSRLPRSITRLYKLRCLTVSGTPLVGMPSGIGKLVHLNRIVGFVTNDKSNDPNGELCSLEEIRSFSQLRGISIVNLEKAAIAPRGEIGSFLKDKKHLHHLDLQCSNEGQRFTEEDVARIEEVFEEQLSPPCSLQRLIINGYFGSKFPTWMMQDRVIFLNLRILMLLRCTACVQLPPLGQLPQLDHLEIADAESIGCIDLDLMGTGNILFPKLNKLFLLHMPKWTEWRWVARDNVKVMPRLDMLYISDCPHLKALPEGLTHHATALTALEIREAHSLTVVERFKSVKILKLHFNNKLTRVSGFPTVHTMDIKDCDALVHDVGVFPSLQILEWGSKYVSHLPEWLLPKDVPIFPALRKFVGTVKDVETLGRCLVDGPDWPKIEHISNVSIGVIHQLKFISYIKEPFDFKANISLLSSDEIDALDANDEYEHQA >DRNTG_18725.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1116066:1121363:-1 gene:DRNTG_18725 transcript:DRNTG_18725.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVSALASQFVSTIAELVKDEVTLLRAVRSELQQLVFEARLVQNYLNDAEHKAMHDLTIRTWLSELRDAFYDADDIVDHARTRAERVSGASTAQVCCFSPLASVSGDLVFRHRIGGRIRSVKARLGEILKRGMELGLVCVGSVRRRREGIRGRDAGPDVEPDIVGGGIEDDTSKLVEMMITDGDGKISVYGIVGMGGIGKTTLAQKINNHGSIRENFHSPLPVWICVSKEANDLRVLKLIVKHLGGDYNYDSVGELQHILGQAVENKKLFLILDDVWDSHLWERVLKKPLSKVGLGSRVLVTTRDERVTLQMGDVYIHNVQELSVEDGWSLMRKLVFSANEEWDMRQLEDIGMRIVEKCHGLPLAIRTVAGVLRENEKHPREWEKVLENPAWGMTDLPQGVMGVLYLSYEDLPLHLKQCFLFCTLFPKDFVLFESFLIEHWIAQGYVKEDKDLIVEEVARKYYRELLRRNLLQPVPYTYEKAECQVHDLLRSLGLYLAQDEHFFGNVKALTRSLSAPPMKRLHHIAIWDESLTAIPKSVKKQTSTRTLILAKNFLMNELPEDLFHKLRTLRVLNFRETGLTTMPKSLGNLLHLRCLSLSFVPIEELPESIGGLSNLQYLLLWSCEKLSRLPRSITRLYKLRCLTVSGTPLVGMPSGIGKLVHLNRIVGFVTNDKSNDPNGELCSLEEIRSFSQLRGISIVNLEKAAIAPRGEIGSFLKDKKHLHHLDLQCSNEGQRFTEEDVARIEEVFEEQLSPPCSLQRLIINGYFGSKFPTWMMQDRVIFLNLRILMLLRCTACVQLPPLGQLPQLDHLEIADAESIGCIDLDLMGTGNILFPKLNKLFLLHMPKWTEWRWVARDNVKVMPRLDMLYISDCPHLKALPEGLTHHATALTALEIREAHSLTVVERFKSVKILKLHFNNKLTRVSGFPTVHTMDIKDCDALVHDVGVFPSLQILEWGSKYVSHLPEWLLPKDVPIFPALRKFVGTVKDVETLGRCLVDGPDWPKIEHISNVSIGVIHQLKFISYIKEPFDFKANISLLSSDEIDALDANDEYEHQA >DRNTG_18725.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1116066:1121363:-1 gene:DRNTG_18725 transcript:DRNTG_18725.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVSALASQFVSTIAELVKDEVTLLRAVRSELQQLVFEARLVQNYLNDAEHKAMHDLTIRTWLSELRDAFYDADDIVDHARTRAERVSGASTAQVCCFSPLASVSGDLVFRHRIGGRIRSVKARLGEILKRGMELGLVCVGSVRRRREGIRGRDAGPDVEPDIVGGGIEDDTSKLVEMMITDGDGKISVYGIVGMGGIGKTTLAQKINNHGSIRENFHSPLPVWICVSKEANDLRVLKLIVKHLGGDYNYDSVGELQHILGQAVENKKLFLILDDVWDSHLWERVLKKPLSKVGLGSRVLVTTRDERVTLQMGDVYIHNVQELSVEDGWSLMRKLVFSANEEWDMRQLEDIGMRIVEKCHGLPLAIRTVAGVLRENEKHPREWEKVLENPAWGMTDLPQGVMGVLYLSYEDLPLHLKQCFLFCTLFPKDFVLFESFLIEHWIAQGYVKEDKDLIVEEVARKYYRELLRRNLLQPVPYTYEKAECQVHDLLRSLGLYLAQDEHFFGNVKALTRSLSAPPMKRLHHIAIWDESLTAIPKSVKKQTSTRTLILAKNFLMNELPEDLFHKLRTLRVLNFRETGLTTMPKSLGNLLHLRCLSLSFVPIEELPESIGGLSNLQYLLLWSCEKLSRLPRSITRLYKLRCLTVSGTPLVGMPSGIGKLVHLNRIVGFVTNDKSNDPNGELCSLEEIRSFSQLRGISIVNLEKAAIAPRGEIGSFLKDKKHLHHLDLQCSNEGQRFTEEDVARIEEVFEEQLSPPCSLQRLIINGYFGSKFPTWMMQDRVIFLNLRILMLLRCTACVQLPPLGQLPQLDHLEIADAESIGCIDLDLMGTGNILFPKLNKLFLLHMPKWTEWRWVARDNVKVMPRLDMLYISDCPHLKALPEGLTHHATALTALEIREAHSLTVVERFKSVKILKLHFNNKLTRVSGFPTVHTMDIKDCDALVHDVGVFPSLQILEWGSKYVSHLPEWLLPKDVPIFPALRKFVGTVKDVETLGRCLVDGPDWPKIEHISNVSIGVIHQLKFISYIKEPFDFKANISLLSSDEIDALDANDEYEHQA >DRNTG_34337.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9508846:9509705:1 gene:DRNTG_34337 transcript:DRNTG_34337.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSHQRKVVATQTPKENNMENTTRQQKETYVATKGRRNPPAVIWCF >DRNTG_27617.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3622264:3640318:1 gene:DRNTG_27617 transcript:DRNTG_27617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSTSRGRSSSPFGYRKPPPSSFSSTSFSSSSSSFMNGRLMPRSSPSSVSSHFGGMPRSATPSRGRSRAPVGFASADELVEELNEAARSGEGDSISVTVRFRPLSEREFQRGDEIAWYADGDKVVRNEYNPATAYAFDRVFGPTTASKVVYDVAARPVVKAAMEGINGTVFAYGVTSSGKTHTMHGDQNSPGIIPLAIKDVFSIIQDTPGREFLLRVTYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGVKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSVRGDEYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNRIIDEKSLIKKYQKEISSLKQELDHLRKGMLSGVNHEELLILRQRLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKNTIPSCLSDLPNHQQRHSIGEDEKMDVLREGSPLLLESASISSALSDVFDPLNDLKNKISSGKVSDEHSSMGSSITESTQAGERGGGTANGHMLPLTGMTMSDQMDLLTEQVKMLAGEIAFSSSTLKRLTEQSIDDPEGSQIQIQNLEREIQEKRRQMSVLEQRIVESGEASMSSASLIDMQQNVTRLMTLCNEKDFALEIKSADNRILQEQLDQKCAENRELQEKFIFLQQQLDSMKGEKLELPPEQILLEDLSGLKNKLQSQEEENEKLALEKRQLCEENRGLVTQNRKLAEEASYAKELASAAAVELKTLAAEVTKLSLQNERLGKELSVAQEIAYSRGGSAGLRKHSEGKNDGIKLGRKSRPGSRGGEFGSAGYDDTDCWNAELDTMKMELHTRKQREAALEASLAEKELLEEDYQRKFDEAKKREAALENDLAGMWVLVAKLKKGALGISELSADERSRLGMDLVNDAEKSKSDSDEPHLRERQSSDAFTAITNSQMDQASEFEPLLVRLKAKIQEMKEKDLEPLGNGDANTHVCKVCFESPTAAVLLPCRHFCLCKPCSLACSECPLCRTKIADRIIAFT >DRNTG_00357.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18635612:18638556:1 gene:DRNTG_00357 transcript:DRNTG_00357.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:7-dehydrocholesterol reductase [Source:Projected from Arabidopsis thaliana (AT1G50430) UniProtKB/Swiss-Prot;Acc:Q9LDU6] MVESKTVHSALITYTSMISLLSLCPPFVILLWYTMVHADGSVMQTFEYLKQNGLEGLKTIWPTPSLIAWKIIAVFGAFEAFLQLALPGKRFEGPVSPTGHVPVYKANGLQAYAVTLITYLGLWWFGIFNPAIVYDHLGEIYSALVTGSLVFCVFLYIKGHLAPSSSDSGSSGNVIIDFYWGMELYPRIGKHFDIKVFTNCRFGMMSWAVLALTYCIKQYEQNGQVADSMLVNTALMLVYITKFFWWESGYWCTMDIAHDRAGFYICWGCLVWVPSIYTSPGMYLVNHPVNLGSQLALSILAAGLLCIYINYDCDRQRQEFRRTNGKCKIWGK >DRNTG_33744.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32358368:32363011:-1 gene:DRNTG_33744 transcript:DRNTG_33744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTYLSSLIFTLILLLLPSHKQACFTSIFSFGDSLADTGNLLFYLNNNHRVSQLPYGQTYFHHPTGRFSDGRLVIDFIAEALGLPLVPPYLPGGDSRNFEKGINFAVAGATALNNDFFKSKGIHVNWNNKFTLDVQVELFRQFLPSICSTISECEEKLSSALFLMGEIGGNDYNDPFFQGKSLEEITTFVPLVINAISRAITEVIELGARTLLVPGNIPIGCNSAYLTLFHVSNNESYDQETGCINWLNEFSQYHNQLLINELQLLRKLHPHVTIIYADYFEALMPIFQSPEKYGFQSFPLSACCGGGGLYNYNLSCYCGDLGSTVCMEPSKYVTWDGIHLTEAGYKHIATSLLQGKYTNPPLITQTCFPETIVDLHDFKQYSS >DRNTG_33744.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32358368:32362695:-1 gene:DRNTG_33744 transcript:DRNTG_33744.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIGGNDYNDPFFQGKSLEEITTFVPLVINAISRAITEVIELGARTLLVPGNIPIGCNSAYLTLFHVSNNESYDQETGCINWLNEFSQYHNQLLINELQLLRKLHPHVTIIYADYFEALMPIFQSPEKYGFQSFPLSACCGGGGLYNYNLSCYCGDLGSTVCMEPSKYVTWDGIHLTEAGYKHIATSLLQGKYTNPPLITQTCFPETIVDLHDFKQYSS >DRNTG_28317.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19602:30349:1 gene:DRNTG_28317 transcript:DRNTG_28317.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPEMAANDKDLYALLHISPEASDEEIRRAYRQWAQVYHPDKYQDAQMKYVATENFQKIRDAYEILTDEQKRLIYDIYGMEGLKSGLELGPKLSKPDEIKEELERLQRRKEQAKVLSHARPTGALIANISLPRFLNGEGMMRGMAMSTGIQSQISKRNTVVMGGNLAVNGNAGTGAASVLLRHQVSPVASVEFMATAGLRALIGMQTSRHLSLHSTATTGIAISLRDGSINLSNAWTRQLSESCVGNVELVLGSESSIAVGWQKKDEKTSAAGEVKFGTTSFGASAHYTHHFSAKSHGRVAGRAGSAALELEIGGGRRISEFSTVRMVYNIGIQGIFWRFELHRGGQKLVVPILLSRELNPFFATGALLVPSFFYFFFKKFIVKPYYLKRERRKAAEKMEKSSTQIREARDAAEKAQQLLQNVSNRKKNKQFEKGGLVITRAVYGNREAIKRSGEQTELNGETTPLLDVTIPLNFLVTDSGQLKLHEGVKKSGIMGFCDVCPGEPKQLLVEYTLGGQKFEAIVDDTQELLIPQDRHRI >DRNTG_20124.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22562607:22563324:-1 gene:DRNTG_20124 transcript:DRNTG_20124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDESFRIRPHALVFSFLLIIFILSFLHSREHLNLTMQASFSSSRRFLSSSSSSSSSSRNQTMKKSTSSTTTATTTTTTQRTSSSGFKFEENKHEVPSGPNPVSNK >DRNTG_20124.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22562607:22563200:-1 gene:DRNTG_20124 transcript:DRNTG_20124.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDESFRIRPHALVFSFLLIIFILSFLHSREHLNLTMQASFSSSRRFLSSSSSSSSSSRNQTMKKSTSSTTTATTTTTTQRTSSSGFKFEENKHEVPSGPNPVSNK >DRNTG_20124.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22562660:22563200:-1 gene:DRNTG_20124 transcript:DRNTG_20124.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASDESFRIRPHALVFSFLLIIFILSFLHSREHLNLTMQASFSSSRRFLSSSSSSSSSSRNQTMKKSTSSTTTATTTTTTQRTSSSGFKFEENKHEVPSGPNPVSNK >DRNTG_25568.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29694472:29695451:-1 gene:DRNTG_25568 transcript:DRNTG_25568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAKLRSWAYNSLDLYKIKNKLIHSQRSKQQEDSDGNKRTKKQEESKNKERRKEFINIHNILHPSRRELYSFPRTAQITSYYGSHYISLILQLRLVC >DRNTG_09037.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31362837:31363465:1 gene:DRNTG_09037 transcript:DRNTG_09037.2 gene_biotype:protein_coding transcript_biotype:protein_coding QQQGSPTTNLSGEPPVKRSFLRPQASRRGSADLVKKKEHA >DRNTG_09037.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31361544:31363465:1 gene:DRNTG_09037 transcript:DRNTG_09037.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSATTENMCTWLGLTHPSTNLSGEPPVKRSFLRPQASRRGSADLVKKKEHA >DRNTG_33915.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8799940:8801231:-1 gene:DRNTG_33915 transcript:DRNTG_33915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYAQLPTDYPGTLTSQRAYRVLRGQEYIRHQGHYARLGAIFSGPYITRLVLGMGLLDLIRGAEKTSPEIAEDEGDETRASQPAPDPQRAPMETEAPPVAEEPPPVRMFSPSRAYDRFERLESALGVVRTEVAEALAGIAEIRATQATQYTEFMAHFDLLQQILERDIASSFVLLPRTPQAPSVPPAPSSPTPAPVDPPCESSPAALAAPEPEGDTDI >DRNTG_12735.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25698595:25701690:-1 gene:DRNTG_12735 transcript:DRNTG_12735.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 3 [Source:Projected from Arabidopsis thaliana (AT5G48450) UniProtKB/TrEMBL;Acc:F4K1P9] MLLVETEGSYTIQQNYTNMDIHAGQSYSFLVTMDQNASSDYYIAASARFVNESIRDVATGVAILHYSNSQGPASGPLPDGPDERDTYFSINQAKSIRWNVSASAARPNPQGSFRYGDITVTDVYVLLNTPAQLINGQRRTTLNGISYIAPTTPLKLAQQFNVPGVYKLDFPNRMMNRPAKVDTSVINGTYKGFTEIIFQNNDTTVQSYHLDGYAFFVVGMDFGIWTENSRGIYNKWDGVARSTIQVFPGAWTAILVYLDNVGIWNLRAENLDSWYLGQEVYISVVNPEVNNKTELPLPDNAIFCGALSSLQKEQSHKFSFSDASCVLSSSNKILIGLLLVLFHILFFPS >DRNTG_12735.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25698595:25703897:-1 gene:DRNTG_12735 transcript:DRNTG_12735.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 3 [Source:Projected from Arabidopsis thaliana (AT5G48450) UniProtKB/TrEMBL;Acc:F4K1P9] MCSAMRFLIVFLMFDAALGGDPYAYIDWDVSFISAAPLGVKQQVIGINGQFPGPTLNVTTNWNVVLNVKNNLDEPFLVTWNGLQQRKNSWQDGVLGTNCPIPSGWNWTYEFQVKDQIGSFFYFSSINFQKAAGGFGGIIVNNRDVIPLPFGMPDGDVTIFIGDWYTRGYQELRKTLEDGTDLGAPDGVLINGFGPYRYNESIVPAGIAYETINVEPGKTYRIRVHHVGISASLNFRIQNHNMLLVETEGSYTIQQNYTNMDIHAGQSYSFLVTMDQNASSDYYIAASARFVNESIRDVATGVAILHYSNSQGPASGPLPDGPDERDTYFSINQAKSIRWNVSASAARPNPQGSFRYGDITVTDVYVLLNTPAQLINGQRRTTLNGISYIAPTTPLKLAQQFNVPGVYKLDFPNRMMNRPAKVDTSVINGTYKGFTEIIFQNNDTTVQSYHLDGYAFFVVGMDFGIWTENSRGIYNKWDGVARSTIQVFPGAWTAILVYLDNVGIWNLRAENLDSWYLGQEVYISVVNPEVNNKTELPLPDNAIFCGALSSLQKEQSHKFSFSDASCVLSSSNKILIGLLLVLFHILFFPS >DRNTG_08221.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14496750:14500183:1 gene:DRNTG_08221 transcript:DRNTG_08221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVQTYGGGLWYTWFDRDLSVAGRVIVKAADGCFVHKLVKVKRPILRIPTLAIHLDRLVNTEGFKPNLETHLIPLLATKLEETSLKSDEKTTPLSFSRSAHHPLLLQLLSEELGCNSEDIMGIELNVCDTQPSCLGGGKNEFIFSGRLDNLASCYGALRALIDACKSPAALANEQAIRMVALFDNEEVGSNSVQGAGAPTIFQAMRRIADHLAHEYIGESAFERAVRSSFLVSADMAHGVHPNFSDKHEEHHKPQLQKGLVIKHNANQRYATSAITAFLFKEVANAHNLPVQEFVVRNDMACGSTIGPIIATGVGIRTVDCGIPQLSMHSIREICGKEDIVTAYKLFTAFFQTFTSVDKKLKVDY >DRNTG_08221.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14496750:14500183:1 gene:DRNTG_08221 transcript:DRNTG_08221.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYVLIYQYGYFTQLLSEELGCNSEDIMGIELNVCDTQPSCLGGGKNEFIFSGRLDNLASCYGALRALIDACKSPAALANEQAIRMVALFDNEEVGSNSVQGAGAPTIFQAMRRIADHLAHEYIGESAFERAVRSSFLVSADMAHGVHPNFSDKHEEHHKPQLQKGLVIKHNANQRYATSAITAFLFKEVANAHNLPVQEFVVRNDMACGSTIGPIIATGVGIRTVDCGIPQLSMHSIREICGKEDIVTAYKLFTAFFQTFTSVDKKLKVDY >DRNTG_31239.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001676.1:73033:74882:1 gene:DRNTG_31239 transcript:DRNTG_31239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSRLRYLDESMDSGVFRAITTAGNRRNHYSRVTLGLRVHVVTLVSGVVRPIMKERSQCGTQCTDFGGNLAKVQTRRHRKKVGRGASVEAYPIPDEGVLGQRVEDSP >DRNTG_00108.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3076586:3078507:-1 gene:DRNTG_00108 transcript:DRNTG_00108.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNINLVYGGGNIGLMGLISQTVFDGGREVLGIIPQALMDKEVGLLNIDGYYNSLLSFIDQAVKEGFINPSARHILIYASNAKELIEKLEVKNNASREKVGKGSTPNHHQIHGVNSSENNAGKSLRSSSSPKISSLVTVIDLNLLVAMVNDVEHSTVSDAHL >DRNTG_07607.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:8524043:8528125:-1 gene:DRNTG_07607 transcript:DRNTG_07607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVPVRNFHTAVDNFHMPVWILCFSGFSAGCEQCFIGVTQNRHTFTSWITCFFNDRHVGGALVLYA >DRNTG_15541.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15174319:15177879:1 gene:DRNTG_15541 transcript:DRNTG_15541.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRCQSRRTKIGAESALNRAKIGQLHGRGRYTRPYGIST >DRNTG_26665.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1024158:1027435:-1 gene:DRNTG_26665 transcript:DRNTG_26665.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWLLMPFLIWQQMLAQLNLLCTMILQAQSYLLALQQVVWNHQFTMWKPVPLAITITTMLLCWINLGQVTSTTEPGSSSLLSEKIDMNSSQPASFTSTIQVAESSLVNGNNADVLPATANKNQSKVVRGKKRTLAVASSLRSNKKVSSLVNKWKAAKEELHGDEDEDEEPENAYEVLERKRLKEIEEWRKRQIESGEAQVNANFLPLGGDWRERVRRKREQKAKAAQTPSEGIDDGKKIPNLTELSKHLPSGWQAYWDESTKEVYYGNTVTSETSWTKPSR >DRNTG_26665.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1024158:1031760:-1 gene:DRNTG_26665 transcript:DRNTG_26665.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKERRLAAMHGAGRRVKLDLFAEPSGEAGGSSSNDGVGRNMDHNHHTGDPVSPSSSGQKQENPLMLLGQYSDEELDEEATEQPNNADEQTSLTGLEFQAEHGDVSNDKGHINDKDVVELVDKESDKLDTTESKEGYSVKEEEIISSAAIQNNETDSATHAPEASGPQIHGDVTGGWKMVMDEQSNRYYYWNTFTGETSWETPSSVALTSENISEHDDSLGEDKTKSLVHEHSSMQLNDTIVAYSNISSDNQSLNGGGAHLSYDATHYNPVATGISYGEASILPNVGSFHQSHIFSEHHPNLGEVVHASSAEHKDMHMTSYIESTEAIAAHSVTLIQYAESLLQRLNTLLRSGNHVTDHVLIMKEIEIRISDCKGLSSYGSSLLPFWWHTEVQLKQLESVIGKEESSQLNTFKSCNEQTGDKATVFSESLGTRSETVGKNEGTGALVLNDNSPSRYASKDGQTEMSNVSGSRAGGTEQTLSGPTFQGSRDEKEPGEIEADQTVLSVEMVNKVGTGAIEDVDMDVEMEVDEDIPVDQTAGAGRSIGEGPTLLEQQTQTSLLTTERAPPPPEEEWIPPPPPESEAIPPPPTEEPPTSPIPPPFPETQPLNSYQEQFNTGYTVPTYDFYTPTVNEVGNNGYYVPVEGCHIAEQATPYYGPVAANAFPHLAADVSSVEPVVYYDPSSTVIPAGPAASSVESSIYYVEASSISYHNHNNASLLDQSGTSDIYYGTRKQFFTV >DRNTG_26665.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1024158:1029528:-1 gene:DRNTG_26665 transcript:DRNTG_26665.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDEQSNRYYYWNTFTGETSWETPSSVALTSENISEHDDSLGEDKTKSLVHEHSSMQLNDTIVAYSNISSDNQSLNGGGAHLSYDATHYNPVATGISYGEASILPNVGSFHQSHIFSEHHPNLGEVVHASSAEHKDMHMTSYIESTEAIAAHSVTLIQYAESLLQRLNTLLRSGNHVTDHVLIMKEIEIRISDCKGLSSYGSSLLPFWWHTEVQLKQLESVIGKEESSQLNTFKSCNEQTGDKATVFSESLGTRSETVGKNEGTGALVLNDNSPSRYASKDGQTEMSNVSGSRAGGTEQTLSGPTFQGSRDEKEPGEIEADQTVLSVEMVNKVGTGAIEDVDMDVEMEVDEDIPVDQTAGAGRSIGEGPTLLEQQTQTSLLTTERAPPPPEEEWIPPPPPESEAIPPPPTEEPPTSPIPPPFPETQPLNSYQEQFNTGYTVPTYDFYTPTVNEVGNNGYYVPVEGCHIAEQATPYYGPVAANAFPHLAADVSSVEPVVYYDPSSTVIPAGPAASSVESSIYYVEASSISYHNHNNASLLDQSGTSDIYYGTRKQFFTV >DRNTG_26665.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1024158:1031760:-1 gene:DRNTG_26665 transcript:DRNTG_26665.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGQYSDEELDEEATEQPNNADEQTSLTGLEFQAEHGDVSNDKGHINDKDVVELVDKESDKLDTTESKEGYSVKEEEIISSAAIQNNETDSATHAPEASGPQIHGDVTGGWKMVMDEQSNRYYYWNTFTGETSWETPSSVALTSENISEHDDSLGEDKTKSLVHEHSSMQLNDTIVAYSNISSDNQSLNGGGAHLSYDATHYNPVATGISYGEASILPNVGSFHQSHIFSEHHPNLGEVVHASSAEHKDMHMTSYIESTEAIAAHSVTLIQYAESLLQRLNTLLRSGNHVTDHVLIMKEIEIRISDCKGLSSYGSSLLPFWWHTEVQLKQLESVIGKEESSQLNTFKSCNEQTGDKATVFSESLGTRSETVGKNEGTGALVLNDNSPSRYASKDGQTEMSNVSGSRAGGTEQTLSGPTFQGSRDEKEPGEIEADQTVLSVEMVNKVGTGAIEDVDMDVEMEVDEDIPVDQTAGAGRSIGEGPTLLEQQTQTSLLTTERAPPPPEEEWIPPPPPESEAIPPPPTEEPPTSPIPPPFPETQPLNSYQEQFNTGYTVPTYDFYTPTVNEVGNNGYYVPVEGCHIAEQATPYYGPVAANAFPHLAADVSSVEPVVYYDPSSTVIPAGPAASSVESSIYYVEASSISYHNHNNASLLDQSGTSDIYYGTRKQFFTV >DRNTG_26665.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1024158:1031760:-1 gene:DRNTG_26665 transcript:DRNTG_26665.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGQYSDEELDEEATEQPNNADEQTSLTGLEFQAEHGDVSNDKGHINDKDVVELVDKESDKLDTTESKEGYSVKEEEIISSAAIQNNETDSATHAPEASGPQIHGDVTGGWKMVMDEQSNRYYYWNTFTGETSWETPSSVALTSENISEHDDSLGEDKTKSLVHEHSSMQLNDTIVAYSNISSDNQSLNGGGAHLSYDATHYNPVATGISYGEASILPNVGSFHQSHIFSEHHPNLGEVVHASSAEHKDMHMTSYIESTEAIAAHSVTLIQYAESLLQRLNTLLRSGNHVTDHVLIMKEIEIRISDCKGLSSYGSSLLPFWWHTEVQLKQLESVIGKEESSQLNTFKSCNEQTGDKATVFSESLGTRSETVGKNEGTGALVLNDNSPSRYASKDGQTEMSNVSGSRAGGTEQTLSGPTFQGSRDEKEPGEIEADQTVLSVEMVNKVGTGAIEDVDMDVEMEVDEDIPVDQTAGAGRSIGEGPTLLEQQTQTSLLTTERAPPPPEEEWIPPPPPESEAIPPPPTEEPPTSPIPPPFPETQPLNSYQEQFNTGYTVPTYDFYTPTVNEVGNNGYYVPVEGCHIAEQATPYYGPVAANAFPHLAADVSSVEPVVYYDPSSTVIPAGPAASSVESSIYYVEASSISYHNHNNASLLDQSGTSDIYYGTRKQFFTV >DRNTG_16433.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7626589:7631265:-1 gene:DRNTG_16433 transcript:DRNTG_16433.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPATKASTASDSRIPNANSPVKQNHSDMERSEITERLPLSQNVHNIPESTLCPTGETNFAQGFSKKRYNDLHGLVFMESGLADGSELDYRSKGQTILRGKKLQNGILCSCCNSKVSPSQFEAHAGFSGRRQPYHNIYTPIMDLSLHELSIILSGGQNLTTMLSEEKCAICGDGGELIPCDACPKAFHTVCLDIQCLPEGEWRCPYCTESFSETTSTVTASCATQPLSVHSKRILKAPTNFLGGCAFCKGFSSCQDNFSAETVLFCYQCVKEYHVGCLKKQRFCDLKDIPLSKWFCSAECDRIHVALERFVLKGPLAVPWSLITMMKSKTRDIGLANETRDEVQWQLLNGQHIPVSEKLFVKAISIFEDEFGPIVERGNDIIPAMVYSREIVDQDFEGMHCAVLMVKSVAVSAAVFRVFGKDAAEVPLIATHHKSRGKGYCKTLLLLIEELLRSLGVETVMLPAAEDELPLFLDKLGYTKMEEEQLKQYSEALPLLMFQNTSMLEKQLSRNAFPSCPMNS >DRNTG_16433.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7627447:7629358:-1 gene:DRNTG_16433 transcript:DRNTG_16433.6 gene_biotype:protein_coding transcript_biotype:protein_coding VCLDIQCLPEGEWRCPYCTESFSETTSTVTASCATQPLSVHSKRILKAPTNFLGGCAFCKGFSSCQDNFSAETVLFCYQCVKEYHVGCLKKQRFCDLKDIPLSKWFCSAECDRIHVALERFVLKGPLAVPWSLITMMKSKTRDIGLANETRDEVQWQLLNGQHIPVSEKLFVKAISIFEDEFGPIVERGNDIIPAMVYSREIVDQDFEGMHCAVLMVKSVAVSAAVFRVFGKDAAEVPLIATHHKSRGK >DRNTG_16433.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7626589:7628800:-1 gene:DRNTG_16433 transcript:DRNTG_16433.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSKTRDIGLANETRDEVQWQLLNGQHIPVSEKLFVKAISIFEDEFGPIVERGNDIIPAMVYSREIVDQDFEGMHCAVLMVKSVAVSAAVFRVFGKDAAEVPLIATHHKSRGKGYCKTLLLLIEELLRSLGVETVMLPAAEDELPLFLDKLGYTKMEEEQLKQYSEALPLLMFQNTSMLEKQLSRNAFPSCPMNS >DRNTG_16433.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7626589:7630451:-1 gene:DRNTG_16433 transcript:DRNTG_16433.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESGLADGSELDYRSKGQTILRGKKLQNGILCSCCNSKVSPSQFEAHAGFSGRRQPYHNIYTPIMDLSLHELSIILSGGQNLTTMLSEEKCAICGDGGELIPCDACPKAFHTVCLDIQCLPEGEWRCPYCTESFSETTSTVTASCATQPLSVHSKRILKAPTNFLGGCAFCKGFSSCQDNFSAETVLFCYQCVKEYHVGCLKKQRFCDLKDIPLSKWFCSAECDRIHVALERFVLKGPLAVPWSLITMMKSKTRDIGLANETRDEVQWQLLNGQHIPVSEKLFVKAISIFEDEFGPIVERGNDIIPAMVYSREIVDQDFEGMHCAVLMVKSVAVSAAVFRVFGKDAAEVPLIATHHKSRGKGYCKTLLLLIEELLRSLGVETVMLPAAEDELPLFLDKLGYTKMEEEQLKQYSEALPLLMFQNTSMLEKQLSRNAFPSCPMNS >DRNTG_16433.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7626589:7630742:-1 gene:DRNTG_16433 transcript:DRNTG_16433.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESGLADGSELDYRSKGQTILRGKKLQNGILCSCCNSKVSPSQFEAHAGFSGRRQPYHNIYTPIMDLSLHELSIILSGGQNLTTMLSEEKCAICGDGGELIPCDACPKAFHTVCLDIQCLPEGEWRCPYCTESFSETTSTVTASCATQPLSVHSKRILKAPTNFLGGCAFCKGFSSCQDNFSAETVLFCYQCVKEYHVGCLKKQRFCDLKDIPLSKWFCSAECDRIHVALERFVLKGPLAVPWSLITMMKSKTRDIGLANETRDEVQWQLLNGQHIPVSEKLFVKAISIFEDEFGPIVERGNDIIPAMVYSREIVDQDFEGMHCAVLMVKSVAVSAAVFRVFGKDAAEVPLIATHHKSRGKGYCKTLLLLIEELLRSLGVETVMLPAAEDELPLFLDKLGYTKMEEEQLKQYSEALPLLMFQNTSMLEKQLSRNAFPSCPMNS >DRNTG_16433.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7630535:7630982:-1 gene:DRNTG_16433 transcript:DRNTG_16433.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPATKASTASDSRIPNANSPVKQNHSDMERSEITERLPLSQNVHNIPESTLCPTGETNFAQGFSKKRY >DRNTG_16433.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7632519:7633342:-1 gene:DRNTG_16433 transcript:DRNTG_16433.7 gene_biotype:protein_coding transcript_biotype:protein_coding RNELKRPRKKLRRGASSRTIPATNGSWSVSGVSKNVLPVPSETKDPSVLGPSGDETYTSKGSGLESPPSHNDLLVPLVTKFSSPLVFYGETDTRNCPGLDSQPSTNSMLLPLETKNPSSLVFHSKTDTSNGLGIESLPFDSGFLMHLETNDLFPLDLNGETATGIFHTDGLVFELPSSNNDGNPIQKSDNQGYGPNGFSHQEFEMNVAPSGTGFEKVQAEILEKCQEDFLPSNKKEWKSYNNVSSMVFIRNVKSLLSTGLLEGMPVKYIYKKGN >DRNTG_16433.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7626589:7633680:-1 gene:DRNTG_16433 transcript:DRNTG_16433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATKASTASDSRIPNANSPVKQNHSDMERSEITERLPLSQNVHNIPESTLCPTGETNFAQGFSKKRYNDLHGLVFMESGLADGSELDYRSKGQTILRGKKLQNGILCSCCNSKVSPSQFEAHAGFSGRRQPYHNIYTPIMDLSLHELSIILSGGQNLTTMLSEEKCAICGDGGELIPCDACPKAFHTVCLDIQCLPEGEWRCPYCTESFSETTSTVTASCATQPLSVHSKRILKAPTNFLGGCAFCKGFSSCQDNFSAETVLFCYQCVKEYHVGCLKKQRFCDLKDIPLSKWFCSAECDRIHVALERFVLKGPLAVPWSLITMMKSKTRDIGLANETRDEVQWQLLNGQHIPVSEKLFVKAISIFEDEFGPIVERGNDIIPAMVYSREIVDQDFEGMHCAVLMVKSVAVSAAVFRVFGKDAAEVPLIATHHKSRGKGYCKTLLLLIEELLRSLGVETVMLPAAEDELPLFLDKLGYTKMEEEQLKQYSEALPLLMFQNTSMLEKQLSRNAFPSCPMNS >DRNTG_08549.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17320430:17325317:1 gene:DRNTG_08549 transcript:DRNTG_08549.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SFD4 [Source:Projected from Arabidopsis thaliana (AT4G30950) UniProtKB/TrEMBL;Acc:A0A178V0M7] MACFLVDSILHLSVPPRSSACSNGLRSSNGIYCLKWGRLDQRGGKRVKTAKFVRAAVLPISPPLLDDEEKRKQMSESYGFTQIGEPLPDNVTLKDVMDTLPKKVFEIDDMKAWKSVFISVASYALGIFLTSKAPWYLLPLAWAWTGTAATGFFVIGHDCAHKSFSRNKLVEDIVGTLAFLPLIYPYEPWRFKHDKHHAKTNMLSEDTAWHPVWRDEFDSSPLLQKALIYGYGPLRPWMSITHWLMWHFDLKKFRPNEVRRVKISLACVFAFIAIGWPLIIYKTGIIGWFKFWFMPWMVYHFWMSTFTMVHHTAPHIPFKTSDEWDAAQAQLGGTVHCNYPRWIEILCHDINVHIPHHISSRIPSYNLRAAHKSLQENWGKYLNEANWNWRLMKTIMTMCHIYNKEQYYIPFDDIAPKESQPINFLRKAMPDFA >DRNTG_01109.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21625738:21628276:-1 gene:DRNTG_01109 transcript:DRNTG_01109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCGGNALKFYAAMRMRIVRNNLLENKDEIFGISISVQVTKNKLAPTMKKATLNIGFGKGICREAEVLELASEHGIVLRERKWLLDKREVFQR >DRNTG_16985.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000861.1:56634:60056:-1 gene:DRNTG_16985 transcript:DRNTG_16985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSQGEIPSQLGQLKQALKIDLSRNNFTGRVPQEIGDCSLLTYLDLSQNQLNGPLPTQLSQIRILNYLNVSWNHLNESIPREIGSMKSLTSVDFSHNEFSGRIPETGQFAYFNASSFVANPQLCGSLSNPCNLSAASTFIPEQKHGVKSQLPGKFKLLFALGLLTCSLVFATTVVIKTRSMMKRNSESWKLTAFQKLDFASDDIVECLKENSIIGRGGAGIVYRGTMPNGEQVAVKRLLGITKGSSHDNGFSAEIQTLGKIRHRNIVRLLAFCSNKDTKLLVYEYMPNGSLGEVLHGKRGAYLNWGTRLKIAIEAARGLCYLHHDCSPLILHRDVKSNNILLDAEYEAHVADFGLAKFLRDTGTSECMSAIAGSYGYIAPEYAYTLKWMRRAMYIVSEWCCWS >DRNTG_13048.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23798204:23799751:-1 gene:DRNTG_13048 transcript:DRNTG_13048.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPERCSKPFATTENKAITGPSQCHGVTRFNCITGSSRLMTWKFLSRRSSHGDHPRTGHLFFNTRPFTVSNNCEPMLFFLDRVSERRKAQQISVTEYTRHESSIDKGCDRAGFASASQVRSIRVVAPKMDPYEWQKAPRRHCCEARSKVSNEKIIDVWIRHCYPGEITSPP >DRNTG_17906.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22362092:22362649:1 gene:DRNTG_17906 transcript:DRNTG_17906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKRMNDVIPLLLLFVLTIISQGHAHYSLGKEKITHLHFFYQENLNGDHPTAVLVAKPKDTVVNASNLAPFGVVYVLDTPLTEGQDPNSKVVGQVQGLSVSAGQDKTMLVFMADFEFTSCEFNGSSISVLSRNPILETDRELAIVGGRGKFRMARGFANLHTNYMNATSSVVIVEYNVTIFHYE >DRNTG_21998.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5520777:5524195:1 gene:DRNTG_21998 transcript:DRNTG_21998.10 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEKCFGYHLRFRRNFLIFSLFDELPKGNHVLEDLFSSLKTTNGICTSNTASVLKGLSMPCLIDSMIEIKEVLEKQSTEKADSLASLELSINQVREEAKEVRIAQATIEELKDEGISDSYLILMELLNPLKMALPRLEGILAWDRPVVTTGALAMSLLVTYKEWFGYAIASFF >DRNTG_21998.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5520777:5524195:1 gene:DRNTG_21998 transcript:DRNTG_21998.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEKCFGYHLRFRRNFLIFSLFDELPKGNHVLEDLFSSLKTTNGICTSNTASVLKGLSMPCLIDSMIEIKEVLEKQSTEKADSLASLELSINQVREEAKEVRIAQATIEELKDEGISDSYLILMELLNPLKMALPRLEGILAWDRPVVTTGALAMSLLVTYKEWFGYAIASFF >DRNTG_21998.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5520777:5524195:1 gene:DRNTG_21998 transcript:DRNTG_21998.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEKCFGYHLRFRRNFLIFSLFDELPKGNHVLEDLFSSLKTTNGICTSNTASVLKGLSMPCLIDSMIEIKEVLEKQSTEKADSLASLELSINQVREEAKEVRIAQATIEELKDEGISDSYLILMELLNPLKMALPRLEGILAWDRPVVTTGALAMSLLVTYKEWFGYAIASFF >DRNTG_21998.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5520777:5524195:1 gene:DRNTG_21998 transcript:DRNTG_21998.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEKCFGYHLRFRRNFLIFSLFDELPKGNHVLEDLFSSLKTTNGICTSNTASVLKGLSMPCLIDSMIEIKEVLEKQSTEKADSLASLELSINQVREEAKEVRIAQATIEELKDEGISDSYLILMELLNPLKMALPRLEGILAWDRPVVTTGALAMSLLVTYKEWFGYAIASFF >DRNTG_21998.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5520777:5524195:1 gene:DRNTG_21998 transcript:DRNTG_21998.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEKCFGYHLRFRRNFLIFSLFDELPKGNHVLEDLFSSLKTTNGICTSNTASVLKGLSMPCLIDSMIEIKEVLEKQSTEKADSLASLELSINQVREEAKEVRIAQATIEELKDEGISDSYLILMELLNPLKMALPRLEGILAWDRPVVTTGALAMSLLVTYKEWFGYAIASFF >DRNTG_21998.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5520777:5524195:1 gene:DRNTG_21998 transcript:DRNTG_21998.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEKCFGYHLRFRRNFLIFSLFDELPKGNHVLEDLFSSLKTTNGICTSNTASVLKGLSMPCLIDSMIEIKEVLEKQSTEKADSLASLELSINQVREEAKEVRIAQATIEELKDEGISDSYLILMELLNPLKMALPRLEGILAWDRPVVTTGALAMSLLVTYKEWFGYAIASFF >DRNTG_21998.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5520777:5524195:1 gene:DRNTG_21998 transcript:DRNTG_21998.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEKCFGYHLRFRRNFLIFSLFDELPKGNHVLEDLFSSLKTTNGICTSNTASVLKGLSMPCLIDSMIEIKEVLEKQSTEKADSLASLELSINQVREEAKEVRIAQATIEELKDEGISDSYLILMELLNPLKMALPRLEGILAWDRPVVTTGALAMSLLVTYKEWFGYAIASFF >DRNTG_21998.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5520777:5524195:1 gene:DRNTG_21998 transcript:DRNTG_21998.9 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEKCFGYHLRFRRNFLIFSLFDELPKGNHVLEDLFSSLKTTNGICTSNTASVLKGLSMPCLIDSMIEIKEVLEKQSTEKADSLASLELSINQVREEAKEVRIAQATIEELKDEGISDSYLILMELLNPLKMALPRLEGILAWDRPVVTTGALAMSLLVTYKEWFGYAIASFF >DRNTG_21998.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5520777:5524195:1 gene:DRNTG_21998 transcript:DRNTG_21998.8 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEKCFGYHLRFRRNFLIFSLFDELPKGNHVLEDLFSSLKTTNGICTSNTASVLKGLSMPCLIDSMIEIKEVLEKQSTEKADSLASLELSINQVREEAKEVRIAQATIEELKDEGISDSYLILMELLNPLKMALPRLEGILAWDRPVVTTGALAMSLLVTYKEWFGYAIASFF >DRNTG_21998.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5520777:5524195:1 gene:DRNTG_21998 transcript:DRNTG_21998.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEKCFGYHLRFRRNFLIFSLFDELPKGNHVLEDLFSSLKTTNGICTSNTASVLKGLSMPCLIDSMIEIKEVLEKQSTEKADSLASLELSINQVREEAKEVRIAQATIEELKDEGISDSYLILMELLNPLKMALPRLEGILAWDRPVVTTGALAMSLLVTYKEWFGYAIASFF >DRNTG_13632.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7530644:7531501:1 gene:DRNTG_13632 transcript:DRNTG_13632.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGESATSNYPLVHDMETPRQKQGSVDCAVYVMRFIKQLLADEKLWLPQIDVPYLRLKYVSRIL >DRNTG_06011.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11975518:11978606:1 gene:DRNTG_06011 transcript:DRNTG_06011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFNVLVVLEVRGLENARLYPESEFCMQSDSTIPEIKRCNLANVVLQLKTLGIDDIIGYDFMEKPSRQPEDMPKKKQQQQREQRKGKHDASPEIGAWVIRNGTRKPST >DRNTG_06011.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11975518:11978266:1 gene:DRNTG_06011 transcript:DRNTG_06011.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFNVLVVLEVRGLENARLYPESEFCMQSDSTIPEIKRCNLANVVLQLKTLGIDDIIGYDFMEKPSR >DRNTG_23876.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:37381:41540:1 gene:DRNTG_23876 transcript:DRNTG_23876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIAPPSTISPKSLAIFDSKNATNKKKFFVRMVSNGYAGRDASSKNKKIYKRLDSCLVVPPPTGKKPKALIKFLGGAFIGAAPEVTYSYLMELLAKEGYLIVSVPYNVTFDHERAAKEVHERFHSCMEMLFSSGLPYANISASDMVNLPLYSVGHSNGALLQLLVGCYFAEKIPKANAVVSFNNRPASEAVPYFEQLGPAVSQMMPLMEASPFYSMARDVSGNAWKTLLDTTGTLVQEYDQEIMTSLNKFVDQLPSVMGQVSQGTSEFRPTPSENRLFFKQSYNVPHTLLVKFSNDAIDETDTVEQILSPRVDSIGGTLKKITLSGNHLTPCLQDLKWAVGHQYTPADALAQSLKSISLNDTRVLARTISDWFQDLQP >DRNTG_07363.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000335.1:32481:33450:1 gene:DRNTG_07363 transcript:DRNTG_07363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTTKLDYATWAKISANASIREVISTGALVMLTPLIVNILFGVETLSSVLAGSLVSSVQIVIFASNTVGSVGGGEYEPLFPSLEKSPPEKLLFNIRWKISCGSQHVWMGNNKVMEGESPHRRLCCNQECVCEASTEWTPL >DRNTG_24888.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:311529:313278:1 gene:DRNTG_24888 transcript:DRNTG_24888.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPFIDLSHGKLRSADGPRKCVRGGFSKHQH >DRNTG_15254.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4337854:4341575:-1 gene:DRNTG_15254 transcript:DRNTG_15254.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:like heterochromatin protein (LHP1) [Source:Projected from Arabidopsis thaliana (AT5G17690) TAIR;Acc:AT5G17690] MKAGRKRKDPPLGNHPNGGEDALVPTADPADPAHAAGDAAGGEVEDDVPKLEDGFYEIEDIRKKRIRKGKLQYLIKWRGWPETANTWEPLENLGSCSDFIDAFEERLLSPKKRKRKSGGLQSIGKKKRTPLYIGDGHDNADAATDLIEPMDVGGNMVLDAQGRKEGDEAAELANEQERDFGPAGHGDVAVEHEMIEKEMGGENGFGGGFVDGTEPNSAEDTGCDTSNHLAGSRDHDCSVDVLPKDVSSQQPVKGLAPRFTGAKRRKSGSVKRFKADSLPSDQDRIQLTALRNVDGLLGKGDALANETSGPNRVVAVEKNKADANPSRIIKILKAISFYTSVTKDMPEVLVKFMALRADGKEMIVDNNYLKDNNPKLLIDYYEERLRPDNLRENC >DRNTG_15254.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4339883:4341575:-1 gene:DRNTG_15254 transcript:DRNTG_15254.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:like heterochromatin protein (LHP1) [Source:Projected from Arabidopsis thaliana (AT5G17690) TAIR;Acc:AT5G17690] MKAGRKRKDPPLGNHPNGGEDALVPTADPADPAHAAGDAAGGEVEDDVPKLEDGFYEIEDIRKKRIRKGKLQYLIKWRGWPETANTWEPLENLGSCSDFIDAFEERLLSPKKRKRKSGGLQSIGKKKRTPLYIGDGHDNADAATDLIEPMDVGGNMVLDAQGRKEGDEAAELANEQERDFGPAGHGDVAVEHEMIEKEMGGENGFGGGFVDGTEPNSAEDTGCDTSNHLAGSRDHDCSVDVLPKDVSSQQPVKGLAPRFTGAKRRKSGSVKRFKADSLPSDQDRIQLTALRNVDGLLGKGDALANETSGPNRVVAVEKNKADANPSRIIKILKAISFYTSVTKDMPEVLVKFMALRLAYSFH >DRNTG_13254.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13745035:13750367:-1 gene:DRNTG_13254 transcript:DRNTG_13254.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin dehydrogenase 5 [Source:Projected from Arabidopsis thaliana (AT1G75450) UniProtKB/Swiss-Prot;Acc:Q67YU0] MLRSTGDKEIVAKEMMEKLMGKRVKVENDRLIPIVGLTLHSSDLLPLQLHIHPSTTSSVSTDFGGTTHSTPLAILHPTSPHDIAILIRTSYLSPHPFPISARGHGHSINGQASAPQGVVIDMSRDSVISDLTHDRPLPFYSSTRHEYYVDVWAGELWINVLSWTLANGGLAPKSWTDYLYLSVGGTLSNAGISGQAFHHGPQISNVHELDVVTGKGELVTCSDDQNSELFHAVLGGLGQFGIITRARIALESAPQRVRWIRVLYSNFTAFTKDQERLISLHSDFKRFDYVEGFVIVDEGLINNWRSSFFSPSNPVKMSSLGGANGGVLYCLEMTKNYNEYNAHSVDQDVEELLKELDFIPASIFTTDLTYLEFLDRVHKAELKLRAKGLWEVPHPWLNLFVPASRIADFDLGVFRGILGNKTSGPILIYPMNKHNLNRWPALPIDIGRWDDRTSVVTPEEDVFYLVAFLRSALDDSGEPSKTLKHLSRENQEILEFCRKEGLKTRQYLPHHETQSEWQHHFGRNWDRFRHRKAEFDPKFILGTGQGIFQPSSLPYSSLFFS >DRNTG_03850.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:14471036:14473961:1 gene:DRNTG_03850 transcript:DRNTG_03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYFHSKNVRKHQTKHPVHLQSDGQNSPCNRLERLPMDM >DRNTG_22479.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2653568:2656567:1 gene:DRNTG_22479 transcript:DRNTG_22479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPGTPATAPLLSPSSSQPYYGVPAPFQSPSPPAYVLLPSYHRRRSLRHRCRCCSFLVPSSCFFSLAFICAIIAASLFFLWPSDPEVSVTRLRLHSIHVATKPSISLDISIGLEVKVRNRDFFSLDYDEMVVAIGYRGRRLGLVRSEGGHIRARGVSYIDAMLRLDGIRVLNDVFYLIEDLARGSIPFDTVTEIEGQLHLFFLDVPIQGRVSCEVNVNPENQTVIRQNCYPE >DRNTG_22479.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2653528:2655669:1 gene:DRNTG_22479 transcript:DRNTG_22479.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPGTPATAPLLSPSSSQPYYGVPAPFQSPSPPAYVLLPSYHRRRSLRHRCRCCSFLVPSSCFFSLAFICAIIAASLFFLWPSDPEVSVTRLRLHSIHVATKPSISLDISIGLEVKVRNRDFFSLDYDEMVVAIGYRGRRLGLVRSEGGHIRARGVSYIDAMLRLDGIRVLNDVFYLIEDLARGSIPFDTVTEIEGQLHLFFLDVPIQGRVSCEVNVNPENQTVIRQNCYPE >DRNTG_19644.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3108273:3119140:-1 gene:DRNTG_19644 transcript:DRNTG_19644.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:Projected from Arabidopsis thaliana (AT3G52200) UniProtKB/TrEMBL;Acc:F4J5T2] MRNIRVLVSGLCRVRSSFVSRTAHSAPKRLFTFSPVKSILRSPSYECSVRVRWLASSANAPHLVLEMPALSPTMNQGNIAKWIKKEGDKINVGDVICEIETDKATLEFESLEEGYLAKILVPEGSKDVLVGQPIAITVEDPDDIKNVPTTILSGAETKEEKVPQDVGHKDSAKKTDIRRISPSAKLLILEYGLDASSMKASGPRGTLLKGDILAAIKSRGASPQPSKSSQDSTSSTMQSAQPTARKPPQLASSKQVVDSHEDLPNSQIRKVSFLQLNMI >DRNTG_19644.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3108273:3119140:-1 gene:DRNTG_19644 transcript:DRNTG_19644.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:Projected from Arabidopsis thaliana (AT3G52200) UniProtKB/TrEMBL;Acc:F4J5T2] MRNIRVLVSGLCRVRSSFVSRTAHSAPKRLFTFSPVKSILRSPSYECSVRVRWLASSANAPHLVLEMPALSPTMNQGNIAKWIKKEGDKINVGDVICEIETDKATLEFESLEEGYLAKILVPEGSKDVLVGQPIAITVEDPDDIKNVPTTILSGAETKEEKVPQDVGHKDSAKKTDIRRISPSAKLLILEYGLDASSMKASGPRGTLLKGDILAAIKSRGASPQPSKSSQDSTSSTMQSAQPTARKPPQLASSKQVVDSHEDLPNSQIRKVIAKRLLESKQGTPHFYLSSDIILDPLLAFRNHLKEQHNVKVSVNDIIIKAVATALRSVPEANAYWNAEKGDTSLCDSIDISIAVATEKGLMTPIVRNADQKTLSAISSEVKELAEKARAGKLTPEQFQGGTFSISNLGMFPVDHFCAIINPPQACILAVGRGNKVVEPIIDSDGTEKPAVVTKMNLTLSADNRVFDENIGGKFLSALALNFSNIQRLLL >DRNTG_14097.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20725101:20726458:-1 gene:DRNTG_14097 transcript:DRNTG_14097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTVANTMAMPVSQRVTQLAGSGEFFSPFKLNTRSIRSNVKSSNRVEIITASSSINEKAMTGLTAAAMTAALVIPEMAEAAQPGISPSLKNFLLSIVAGGVVLTVIVGAVVAVANFDPVKRS >DRNTG_31123.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:133148:136361:-1 gene:DRNTG_31123 transcript:DRNTG_31123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDERKKMEKREQRNRRHGSTAFFVMLDYLSLLIFFCFLCYFVSKIWIRYIYRAKLVKVKGRMLTMTLEPARALCSVTSASRNTSLRFCIPPARLAVAAHRVPHGVGASQRNLNKHLLGSSKPRVFLLDVNPLCYEGSKPSLSSFARWLSLFFTEVSLQDPVIAVLDGEEGNEYRRMLMPSYKAHRRKFLRAMNAGQSSNSSEAQVTDVLQKCHVPVIKVNGYEADDVVATLMDQVLQRGFRVVIGSPDKDFKQLISEDVQMVMPLQEFGRWSFYTLKHYIAQYNSDPSSDLSLRCLIGDEVDGVPGIQHVAPSFGRKTAQKLLKKHGSLPNLLAAAAIRTVGKQYVQEALNKHADYLRKNYDVLSLRRDAAVHLQEEWLLKRDPSNDSTVLASNFIQRPGKLHNFDVT >DRNTG_29932.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001522.1:27153:27421:-1 gene:DRNTG_29932 transcript:DRNTG_29932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAPMRNFHTSVDNFHTPCPATVFDLNSFPIPYFHRDNANGHTFTSWITCFFNGVHIGETLVLCA >DRNTG_01120.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:14918883:14926952:1 gene:DRNTG_01120 transcript:DRNTG_01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLNLNKISSKIGRQKLDKIPLLISRKCTGLLKVLYSSSKDSFVRYRIRLDRSSPTNLLPNGITMSEP >DRNTG_08437.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21306654:21312545:-1 gene:DRNTG_08437 transcript:DRNTG_08437.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIAGSNQSGSNLTLKGWPLTGLDQFRSGILQQQKALMQSAQSFNQIQFLNPQQRQLLLQAQQNLTSPMAGDFDSRRLRMLLNNRSSFLGKDVQTNSIGDIIPNVGSPLQTSCQVLPCADKEMLIKKITQLQQQQQIGSQQQQLHQHALATQLSQSSNPHQQDEVGAVSLTMEASMPNSYRGNEQASKNIGRKRKQPASSSGPANSSGTANTAGPSPGSVPSTPSTHTPGDVISMPSFQHSNNPLKPLMVLASDGNASLTSTSNQLGDIDRFVDDGALDDNVESYLSHDDADARERVGHLRDASKGFSFSEIAISRASTSKVVCCHFSSDGKLLATGGHDKKVVLWYSDILKPKSTLEEHSLLITDVRFSPIVPRLATSSFDKTVRIWDADNPGYSIRTFMGHSASVMSLDFHPTKDDLVCSCDGDGEVRYWSINNGNCTRFFKGGGAQMRFQPRVGRYLAAAAENVVSILDVETQACCHSLQGHVKHVDSVCWDPSGEYLASVSEDSVKVWSLASGNEGECVHELSCSGNKFHSCVFHPTYASLLVIGCYQSLELWDMSESKTMTLPAHEGLIAALSASTVTGLLASASHDKFIKLWR >DRNTG_08437.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21306654:21311104:-1 gene:DRNTG_08437 transcript:DRNTG_08437.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHLLQQHAQQQQQQQQQQQQQQQQQQQQQRRATANLLNGTANAPVSSDSLMRQNSGIANALATKMYEEQLKLPLPRNSLDEASMKQRFGENVGQLLDPTQTSMLKSAAAPGQPSGQLLPSSVGVMSDALQQVQNRNQQYPGSAQDIKTEANAVLSSRSSVPDGSLIGVSGSNQSGSNLTLKGWPLTGLDQFRSGILQQQKALMQSAQSFNQIQFLNPQQRQLLLQAQQNLTSPMAGDFDSRRLRMLLNNRSSFLGKDVQTNSIGDIIPNVGSPLQTSCQVLPCADKEMLIKKITQLQQQQQIGSQQQQLHQHALATQLSQSSNPHQQDEVGAVSLTMEASMPNSYRGNEQASKNIGRKRKQPASSSGPANSSGTANTAGPSPGSVPSTPSTHTPGDVISMPSFQHSNNPLKPLMVLASDGNASLTSTSNQLGDIDRFVDDGALDDNVESYLSHDDADARERVGHLRDASKGFSFSEIAISRASTSKVVCCHFSSDGKLLATGGHDKKVVLWYSDILKPKSTLEEHSLLITDVRFSPIVPRLATSSFDKTVRIWDADNPGYSIRTFMGHSASVMSLDFHPTKDDLVCSCDGDGEVRYWSINNGNCTRFFKGGGAQMRFQPRVGRYLAAAAENVVSILDVETQACCHSLQGHVKHVDSVCWDPSGEYLASVSEDSVKVWSLASGNEGECVHELSCSGNKFHSCVFHPTYASLLVIGCYQSLELWDMSESKTMTLPAHEGLIAALSASTVTGLLASASHDKFIKLWR >DRNTG_08437.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21306654:21312545:-1 gene:DRNTG_08437 transcript:DRNTG_08437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNNWDADKMLDVYIYDYLMKRNLQASAKAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDAAASYLETQLQKAREQQQQQQPQPQRQQQLHQEHQQQQIQMQQHLLQQHAQQQQQQQQQQQQQQQQQQQQQRRATANLLNGTANAPVSSDSLMRQNSGIANALATKMYEEQLKLPLPRNSLDEASMKQRFGENVGQLLDPTQTSMLKSAAAPGQPSGQLLPSSVGVMSDALQQVQNRNQQYPGSAQDIKTEANAVLSSRSSVPDGSLIGVSGSNQSGSNLTLKGWPLTGLDQFRSGILQQQKALMQSAQSFNQIQFLNPQQRQLLLQAQQNLTSPMAGDFDSRRLRMLLNNRSSFLGKDVQTNSIGDIIPNVGSPLQTSCQVLPCADKEMLIKKITQLQQQQQIGSQQQQLHQHALATQLSQSSNPHQQDEVGAVSLTMEASMPNSYRGNEQASKNIGRKRKQPASSSGPANSSGTANTAGPSPGSVPSTPSTHTPGDVISMPSFQHSNNPLKPLMVLASDGNASLTSTSNQLGDIDRFVDDGALDDNVESYLSHDDADARERVGHLRDASKGFSFSEIAISRASTSKVVCCHFSSDGKLLATGGHDKKVVLWYSDILKPKSTLEEHSLLITDVRFSPIVPRLATSSFDKTVRIWDADNPGYSIRTFMGHSASVMSLDFHPTKDDLVCSCDGDGEVRYWSINNGNCTRFFKGGGAQMRFQPRVGRYLAAAAENVVSILDVETQACCHSLQGHVKHVDSVCWDPSGEYLASVSEDSVKVWSLASGNEGECVHELSCSGNKFHSCVFHPTYASLLVIGCYQSLELWDMSESKTMTLPAHEGLIAALSASTVTGLLASASHDKFIKLWR >DRNTG_21365.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19277733:19289914:-1 gene:DRNTG_21365 transcript:DRNTG_21365.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIASQILHGCKLAGELETNLLNLLNQSQHNLLIDSCDQVVQTFSKAIQKLTTSKEARSSGHEFIGMEEEKTDDDDVCAGAGATIGQDEDPLALAPAKKQMGSPDTSKSVEMMKPHHIGPGSGIRREEKPSTEAAVMLIGSSGESSFGAASMTSNKMKKRLTQQESKKRKRIYGHVVRVRAAQGVEQMYEVPPDDGFIWRKYGQKDILGTIFPRSYYRCTNPDRKGCLARKLVQRLQEDPTTFEIVYYGIHTCGSSVTMPCSTSPTNLEHNQQSQSQSFSIQLGTCSSGEVQCSATNANAHSKDMTGKEAIRSDRSDSSDSSVNIRATKEKD >DRNTG_21365.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19277651:19289914:-1 gene:DRNTG_21365 transcript:DRNTG_21365.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIASQILHGCKLAGELETNLLNLLNQSQHNLLIDSCDQVVQTFSKAIQKLTTSKEARSSGHEFIGMEEEKTDDDDVCAGAGATIGQDEDPLALAPAKKQMGSPDTSKSVEMMKPHHIGPGSGIRREEKPSTEAAVMLIGSSGESSFGAASMTSNKMKKRLTQQESKKRKRIYGHVVRVRAAQGVEQMYEVPPDDGFIWRKYGQKDILGTIFPRSYYRCTNPDRKGCLARKLVQRLQEDPTTFEIVYYGIHTCGSSVTMPCSTSPTNLEHNQQSQSQSFSIQLGTCSSGEVQCSATNANAHSKDMTGKEAIRSDRSDSSDSSVNIRATKEKD >DRNTG_21365.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19277651:19289852:-1 gene:DRNTG_21365 transcript:DRNTG_21365.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIASQILHGCKLAGELETNLLNLLNQSQHNLLIDSCDQVVQTFSKAIQKLTTSKEARSSGHEFIGMEEEKTDDDDVCAGAGATIGQDEDPLALAPAKKQMGSPDTSKSVEMMKPHHIGPGSGIRREEKPSTEAAVMLIGSSGESSFGAASMTSNKMKKRLTQQESKKRKRIYGHVVRVRAAQGVEQMYEVPPDDGFIWRKYGQKDILGTIFPRSYYRCTNPDRKGCLARKLVQRLQEDPTTFEIVYYGIHTCGSSVTMPCSTSPTNLEHNQQSQSQSFSIQLGTCSSGEVQCSATNANAHSKDMTGKEAIRSDRSDSSDSSVNIRATKEKD >DRNTG_21365.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19277733:19290016:-1 gene:DRNTG_21365 transcript:DRNTG_21365.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIASQILHGCKLAGELETNLLNLLNQSQHNLLIDSCDQVVQTFSKAIQKLTTSKEARSSGHEFIGMEEEKTDDDDVCAGAGATIGQDEDPLALAPAKKQMGSPDTSKSVEMMKPHHIGPGSGIRREEKPSTEAAVMLIGSSGESSFGAASMTSNKMKKRLTQQESKKRKRIYGHVVRVRAAQGVEQMYEVPPDDGFIWRKYGQKDILGTIFPRSYYRCTNPDRKGCLARKLVQRLQEDPTTFEIVYYGIHTCGSSVTMPCSTSPTNLEHNQQSQSQSFSIQLGTCSSGEVQCSATNANAHSKDMTGKEAIRSDRSDSSDSSVNIRATKEKD >DRNTG_21365.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19277733:19290016:-1 gene:DRNTG_21365 transcript:DRNTG_21365.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIASQILHGCKLAGELETNLLNLLNQSQHNLLIDSCDQVVQTFSKAIQKLTTSKEARSSGHEFIGMEEEKTDDDDVCAGAGATIGQDEDPLALAPAKKQMGSPDTSKSVEMMKPHHIGPGSGIRREEKPSTEAAVMLIGSSGESSFGAASMTSNKMKKRLTQQESKKRKRIYGHVVRVRAAQGVEQMYEVPPDDGFIWRKYGQKDILGTIFPRSYYRCTNPDRKGCLARKLVQRLQEDPTTFEIVYYGIHTCGSSVTMPCSTSPTNLEHNQQSQSQSFSIQLGTCSSGEVQCSATNANAHSKDMTGKEAIRSDRSDSSDSSVNIRATKEKD >DRNTG_21365.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19277733:19289852:-1 gene:DRNTG_21365 transcript:DRNTG_21365.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIASQILHGCKLAGELETNLLNLLNQSQHNLLIDSCDQVVQTFSKAIQKLTTSKEARSSGHEFIGMEEEKTDDDDVCAGAGATIGQDEDPLALAPAKKQMGSPDTSKSVEMMKPHHIGPGSGIRREEKPSTEAAVMLIGSSGESSFGAASMTSNKMKKRLTQQESKKRKRIYGHVVRVRAAQGVEQMYEVPPDDGFIWRKYGQKDILGTIFPRSYYRCTNPDRKGCLARKLVQRLQEDPTTFEIVYYGIHTCGSSVTMPCSTSPTNLEHNQQSQSQSFSIQLGTCSSGEVQCSATNANAHSKDMTGKEAIRSDRSDSSDSSVNIRATKEKD >DRNTG_21365.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19277651:19290016:-1 gene:DRNTG_21365 transcript:DRNTG_21365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIASQILHGCKLAGELETNLLNLLNQSQHNLLIDSCDQVVQTFSKAIQKLTTSKEARSSGHEFIGMEEEKTDDDDVCAGAGATIGQDEDPLALAPAKKQMGSPDTSKSVEMMKPHHIGPGSGIRREEKPSTEAAVMLIGSSGESSFGAASMTSNKMKKRLTQQESKKRKRIYGHVVRVRAAQGVEQMYEVPPDDGFIWRKYGQKDILGTIFPRSYYRCTNPDRKGCLARKLVQRLQEDPTTFEIVYYGIHTCGSSVTMPCSTSPTNLEHNQQSQSQSFSIQLGTCSSGEVQCSATNANAHSKDMTGKEAIRSDRSDSSDSSVNIRATKEKD >DRNTG_21365.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19277733:19278612:-1 gene:DRNTG_21365 transcript:DRNTG_21365.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSTSPTNLEHNQQSQSQSFSIQLGTCSSGEVQCSATNANAHSKDMTGKEAIRSDRSDSSDSSVNIRATKEKD >DRNTG_09040.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31365920:31367754:1 gene:DRNTG_09040 transcript:DRNTG_09040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHVLVFPFPAQGHLNSMLKLAELLSLSGLHITFLTTDYHYHRLCLHSPTHARLSRRPGFWFRSISDGLTDQRLRSADRILELYESLTYNSSSLFRDLLISNQNQDGWPRITSLVVDGIMPFAMDVANDLGIPTIAFRTSSPCSIWTYFSLPSLVQAGEFPFPAEEADLDKRVYAVTGMESFLRRRDLPGFFRQARDISHPFLDFVYAVTLSTTRAKAFILNSLEAMDGTVLSHIRTVCPTTYAIGPLHSMLTSMSSHVLINSQSDERSTNSATLRREDRSCLTWLDNQPKGSVLYVSFGSFTVITNEDLLEFWHGLVNSGQRFLWVIRSDLVNGVEKVGSPLPSLSVPPGVVEGTSERGCLVAWAPQEDVLAHPSVGCFLTHSGWNSTLESVVAGVPMICWPFFVDQQITSRYVSEVWKIGLDMKDMHGREIVERMVRDAMEGEKAQELKRSAAAMAEKAKESIREGGSSYLNFQSLVHYLKSTDDRSGQERLD >DRNTG_09040.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31365553:31367727:1 gene:DRNTG_09040 transcript:DRNTG_09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHVLVFPFPAQGHLNSMLKLAELLSLSGLHITFLTTDYHYHRLCLHSPTHARLSRRPGFWFRSISDGLTDQRLRSADRILELYESLTYNSSSLFRDLLISNQNQDGWPRITSLVVDGIMPFAMDVANDLGIPTIAFRTSSPCSIWTYFSLPSLVQAGEFPFPAEEADLDKRVYAVTGMESFLRRRDLPGFFRQARDISHPFLDFVYAVTLSTTRAKAFILNSLEAMDGTVLSHIRTVCPTTYAIGPLHSMLTSMSSHVLINSQSDERSTNSATLRREDRSCLTWLDNQPKGSVLYVSFGSFTVITNEDLLEFWHGLVNSGQRFLWVIRSDLVNGVEKVGSPLPSLSVPPGVVEGTSERGCLVAWAPQEDVLAHPSVGCFLTHSGWNSTLESVVAGVPMICWPFFVDQQITSRYVSEVWKIGLDMKDMHGREIVERMVRDAMEGEKAQELKRSAAAMAEKAKESIREGGSSYLNFQSLVHYLKSTDDRSGQERLD >DRNTG_09040.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31365553:31367727:1 gene:DRNTG_09040 transcript:DRNTG_09040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHACITHTQRYIYIYILYSLSPLILTKFTTAEEADLDKRVYAVTGMESFLRRRDLPGFFRQARDISHPFLDFVYAVTLSTTRAKAFILNSLEAMDGTVLSHIRTVCPTTYAIGPLHSMLTSMSSHVLINSQSDERSTNSATLRREDRSCLTWLDNQPKGSVLYVSFGSFTVITNEDLLEFWHGLVNSGQRFLWVIRSDLVNGVEKVGSPLPSLSVPPGVVEGTSERGCLVAWAPQEDVLAHPSVGCFLTHSGWNSTLESVVAGVPMICWPFFVDQQITSRYVSEVWKIGLDMKDMHGREIVERMVRDAMEGEKAQELKRSAAAMAEKAKESIREGGSSYLNFQSLVHYLKSTDDRSGQERLD >DRNTG_03947.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20630989:20636713:-1 gene:DRNTG_03947 transcript:DRNTG_03947.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLENFSGKSSGVCVCPGGRFPPFSSEGKAPGFVSRGPRDLALCRVFRKSTCDLAQTYPALLAMRRLASAGEASQECFSLWELLECSICHPLVGVQPGPPLICESFCDGIFQACANAYFSIDAKTQVLFPCGPSDVVCGRASEWVSNGTELCHLAGFAVQPANFISWSDSVPFCYGEKSSIESVAGSWSTPRSRSLF >DRNTG_03947.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20630989:20636713:-1 gene:DRNTG_03947 transcript:DRNTG_03947.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLENFSGKSSGVCVCPGGRFPPFSSEGKAPGFVSRGPRDLALCRVFRKSTCDLAQTYPALLAMRRLASAGEASQECFSLWELLECSICHPLVGVQPGPPLICESFCDGIFQACANAYFSIDAKTQVLFPCGPSDVVCGRASEWVSNGTELCHLAGFAVQPANFISWSDSVPFCYGEKSSIESVAGSWSTPRSRSLF >DRNTG_03947.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20630441:20636713:-1 gene:DRNTG_03947 transcript:DRNTG_03947.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLENFSGKSSGVCVCPGGRFPPFSSEGKAPGFVSRGPRDLALCRVFRKSTCDLAQTYPALLAMRRLASAGEASQECFSLWELLECSICHPLVGVQPGPPLICESFCDGIFQACANAYFSIDAKTQVLFPCGPSDVVCGRASEWVSNGTELCHLAGFAVQPANFISWSDSVPFCYGEKSSIESVAGSWSTPRSRSLF >DRNTG_03947.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20630441:20636713:-1 gene:DRNTG_03947 transcript:DRNTG_03947.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLENFSGKSSGVCVCPGGRFPPFSSEGKAPGFVSRGPRDLALCRVFRKSTCDLAQTYPALLAMRRLASAGEASQECFSLWELLECSICHPLVGVQPGPPLICESFCDGIFQACANAYFSIDAKTQVLFPCGPSDVVCGRASEWVSNGTELCHLAGFAVQPANFISWSDSVPFCYGEKSSIESVAGSWSTPRSRSLF >DRNTG_03947.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20630441:20636713:-1 gene:DRNTG_03947 transcript:DRNTG_03947.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLLLLFALVIPSLAGKSSGVCVCPGGRFPPFSSEGKAPGFVSRGPRDLALCRVFRKSTCDLAQTYPALLAMRRLASAGEASQECFSLWELLECSICHPLVGVQPGPPLICESFCDGIFQACANAYFSIDAKTQVLFPCGPSDVVCGRASEWVSNGTELCHLAGFAVQPANFISWSDSVPFCYGEKSSIESVAGSWSTPRSRSLF >DRNTG_31208.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21626903:21628463:1 gene:DRNTG_31208 transcript:DRNTG_31208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGIPIPSDLILPIRRSFMLDPSPCTTPTLAFPHQLPMGWGSYQLGYGRKAEDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGKNRSRKPVEMSLASIPTTHHSRYPTPPPPSLSISTPDSSHNLFYPYSSSSSSSSSKTSTASTASTTTTTTRLHLDTGSYYSTENKDYRYGHGMKDVDEYSFFSESSGASRDDSWPKLSSNYSNCTADFLSKEEGEKQQQQHCFVLGADFKLEKPVKVDREEVPLRHFFDEWPQKSKDSSSWMSLDDHSSSRTQLSISIPTMASIYQYHNG >DRNTG_02011.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:15078257:15089162:-1 gene:DRNTG_02011 transcript:DRNTG_02011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPHGCVEIPHVRVPDPQGHRHAPVAFLSNRENSLSVSHGRGEILHGHGPSGISTGADARPCVFSGKI >DRNTG_17448.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2092644:2094644:1 gene:DRNTG_17448 transcript:DRNTG_17448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSGLHSCMILGCPKLEPSAERIQLIRARKNKAGGVKAQEEEGKEEEAGSAKGEEEEAGDLEPDW >DRNTG_30447.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:365220:367032:1 gene:DRNTG_30447 transcript:DRNTG_30447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSWCVFLVVFLGLVAAGLGQDQDRDEEPGLVMNFYKDSCPQAEDIITEQVKLLYKRHKNTAFSWLRNIFHDCAVQSCDASLLLDTTRRTLSEKETDRSFGLRNFRYLDTIKEAVERECPEVVSCADILVLSARDGIVALGGPYIPLKTGRRDGRKSRSDVVEQYLPDHNESISSVLDKFGAMGIDTPGVVALLGAHSVGRTHCVKLVQRLYPEVDPALNPDHVPHMLKKCPDSIPDPKAVQYVRNDRATPMKLDNNYYRNILDSKGLLLVDHQLAHDPLTRPFVKKMAKNQDYFFKEFGRAITILSENNPLTGSKGEIRKQCNVANKHH >DRNTG_05511.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2388938:2390185:1 gene:DRNTG_05511 transcript:DRNTG_05511.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDKFWKFHRDIPATRVFAYGLSKESATVPGPIIEALHGVHTDVTWENHLPSHHILSWDPTIPNAMPSSGTGVPTVVHLHGGVHPPFSDGNANSWFTSGFRSTGPTWSSPTSSYPNVQSPGNLWYHDHAM >DRNTG_13419.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17380061:17380786:1 gene:DRNTG_13419 transcript:DRNTG_13419.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEITSFASLRSNNSFTNKKRKCQSGLQT >DRNTG_30421.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001573.1:156049:159535:1 gene:DRNTG_30421 transcript:DRNTG_30421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWQRARVFAEEAAKKSQEISIEAAKRSQDLTKGAAKFSQEFVSETAKKSKEFAAEASKKADSIKIEALKRADQIKALAGEIPLPIGSSDGSGSSVAPDPQVDLERFGVTDELREFVKGITLSTFRDFPMEDEPEMQEVRTLSNVRQDLSEWQARHATLVLSTVKEISKFRYELCPRYMKERKFWRIYFILVSNYVAPYEKRYAEEVTMKANEQSSSDRLREASTATPVSKDEVKETKLEPKGSSSKIEQDLDVFLLGDLGSEDDGPDGGDGFDDDFDKNEKMGLESDEDGEGAKKS >DRNTG_10436.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5774580:5778235:1 gene:DRNTG_10436 transcript:DRNTG_10436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFEPEDVALVLGLHCDGDAVVFQKKKTHSAFEEWQDERPTSSVRTRRRSPTSSLRRARIPRRRRSPPLPCRLQHPPPDHDNDSLPNRGSPLTALGDDVTATFLQACQILMTKFPQLVTPVEALEGRSQSTAPSLQTNEAPGWTRRRNLTMRTSSGWPFQDDHAADAVIKSVTIDDMPVTIEKIVDDVGIAMVDNIVDSVVNEIPITVESVADSAASKVDTIPEKREPTKGVSPVDDVAVAAVEKIIDSVINEIIVTEDVVSAEHRQPSTTMSHDDPKIAVDEGQGKTVVWMNDSLSTTQSKLFTLVRGKEMVSDDVMDAFDDAADTTFAMIGDATRKLHDVDIVILPIIMNDYFHVVALNNNKQEYMHYSSCQSEEYDKDALEMRRLFNTYIDMEFGETVTTKYPLVHDIETPRQKKGSVDWAVYIMRFIEQLLDDEKLRLPQTDVPYIRLKYVARILKEKSAAGITEKGGSSSTAGK >DRNTG_15886.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17985507:17986167:-1 gene:DRNTG_15886 transcript:DRNTG_15886.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSSANENIEVSTSLPTPPSCRCPLQGSLRYLPSMPPSTPPLSPSRLRDH >DRNTG_15886.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17981365:17986167:-1 gene:DRNTG_15886 transcript:DRNTG_15886.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIDWNCEIPHEGPFCDLMWSDPEEIESLGN >DRNTG_25640.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21846607:21877669:1 gene:DRNTG_25640 transcript:DRNTG_25640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALTELCDLIAQNPSLLADKLAWICTRCPPPTTPRLTRSHVHALLTITRLLSKTPSAPPSARIPILDFVRSLPASLSRPSFLPQPQDPVVFFSDFFSYLSLAAALSPDFASELSPLLGPLLVSAVTDPSIPRAFLSAVALHCPPIPPSDSDRVVVSLLELFGSNVLADESVSVSVSMSSLSEISSSWGSSAQSTPSKGKGKEEDRDVADDGISEASVSSKPNDTSNARSSVDQSDSGGAVRQDVSALEEESVEALEKQEIAFRLFGQMLGQSGSVKAGHLEQVRKVATKQLKSLPGFLKIRKRDWGDQGAQLKARINAKLSACQAAALVQIKSVLSLDSDGKTTKDLLRRTLALLLDAAEACILCSWRKLKICEELFNTLVSGISQITVTRGGQLLRVLLIPLKPLVLTTCARADMLGSNQGLMFVTVTKLSCEIIEFGWSKDRALVDTFIMGLAACIRERNDYEEQEGRDKPAVPVLQLNLIRLLADLSVSVNKWEVVDMILPLFIESLEEGDASSPSLLRLRLLEAVSYMASLGFEKSYRETVVLMTRSYLDKLKSVGSAESNTMAPEATTERVETLPAGFLLVASRLIRPKLRSDFRHRLLSLCSDVGLAAESKSGRSGADFLGPLLPAVAEICSDFDPASSVEPSLLKLFRNLWFYIALFGLAPPIQQNQLPTKSVSTSLNSLGSMSAIALQSVAGPYMWNNQWSLAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGSGNEKAAVVQRAALSAALGGRVDVAAMGSISGVKATYLLAVSFLEIIRFSCGGGLLSSSNTSGSAFSCVFEYLLTPNLMPAVFQCLTAIVHRAFETAVAWLDERISETGKEADKRELVLSSHTCFLIKNLSQRNDHVRDISVSLFTQLKDRFPQVLWNSSCLDSLLVSVYNELPSALVNDPAWVATVRSLYQRIVREWITAALSYAPCTTQGLLQENLCELNAWQRTQHATDMVSLLSEIRLGTGKNVCWTGIRTANIPAVLDAASAASGAKKDVSEGFILEVLSTAIVSATVKCNHAGEIAGMRRLYDTIGGLNASPINFGLGGGPQSLKAGVPSAQVQTDSFNEILLNRFVQLLQHFVATAEKGGSVEKSQFRESCSQATALLLSHMGSASKVNLDGFSQLLRLLCWSPAYISTPDAMETGIFIWTWLVSAAPSLGPLVLAELVDAWLWTIDTKRGLFTSEMRFSGPAAKLRPHLIPGEPDAPPEKDPVEGLIAHRLWLGFFFDRFEVVRHDSVEQLLLLGRMLQGTMKSPSHFSHHPAATGTFFTVMLLGLKFCSCHSQINLQNCKMGLQLLEDRVYRAALGWFAYEPEWYEANNKSFAQSEAHSVSLFVHHLVNERVDIVSTDLSSKGRGFEAESNTMTELCHPVWGRMDNYSLGREKRKQLLIMLCQHEADRLEVWSQPLNMKENTSSRSKISSDKWVEHARTAFTVDPRIAISLTSRFPTNSSIIAEVTQLVQMHILEIRTIPEALPFFMTPKAVEENSVLLQQLPHWASCSITQALEFLTPPFKGHPRVMAYVLRVLESYPPERVTFFMPQLVQALRYDEGKLVEGYLLGAAQRSNIFAHILIWNLQGESLPEFEKDGVGKNNSFQSILPIVRQRIIDAFTPEARDLFEREFDFFDKVTSISGVLLPLPKEERRAGIRRELEKITMEGEDLYLPTATNKLVRGIQLDSGIPLQSAAKVPIMVTFNVVDRDGDPNVLQSQGCIFKVGDDCRQDVLALQVIALLRDIFEAVGLNLYLFPYGVLPTGPGRGIIEVVPNTRSRSQMGEITDGGLYEIFQQDFGPVGSSAFETAREMFIVSSAGYAVASLLLQPKDRHNGNLLFDSQGRLVHIDFGFILETSPGGNMRFESAQFKLSHEMTQLLDPPGTMKSETWTQFVRHVILYFKCSDIVTFHGYEYFCLLASSSIL >DRNTG_25640.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21878697:21879569:1 gene:DRNTG_25640 transcript:DRNTG_25640.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHGIITTVLLMVDSGLPCFSRGDPIGNLRKRFHPEMNDREAANFMIRTCMDAYNKWTTAGYDLIQYLQQGIEK >DRNTG_25640.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21846607:21879569:1 gene:DRNTG_25640 transcript:DRNTG_25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALTELCDLIAQNPSLLADKLAWICTRCPPPTTPRLTRSHVHALLTITRLLSKTPSAPPSARIPILDFVRSLPASLSRPSFLPQPQDPVVFFSDFFSYLSLAAALSPDFASELSPLLGPLLVSAVTDPSIPRAFLSAVALHCPPIPPSDSDRVVVSLLELFGSNVLADESVSVSVSMSSLSEISSSWGSSAQSTPSKGKGKEEDRDVADDGISEASVSSKPNDTSNARSSVDQSDSGGAVRQDVSALEEESVEALEKQEIAFRLFGQMLGQSGSVKAGHLEQVRKVATKQLKSLPGFLKIRKRDWGDQGAQLKARINAKLSACQAAALVQIKSVLSLDSDGKTTKDLLRRTLALLLDAAEACILCSWRKLKICEELFNTLVSGISQITVTRGGQLLRVLLIPLKPLVLTTCARADMLGSNQGLMFVTVTKLSCEIIEFGWSKDRALVDTFIMGLAACIRERNDYEEQEGRDKPAVPVLQLNLIRLLADLSVSVNKWEVVDMILPLFIESLEEGDASSPSLLRLRLLEAVSYMASLGFEKSYRETVVLMTRSYLDKLKSVGSAESNTMAPEATTERVETLPAGFLLVASRLIRPKLRSDFRHRLLSLCSDVGLAAESKSGRSGADFLGPLLPAVAEICSDFDPASSVEPSLLKLFRNLWFYIALFGLAPPIQQNQLPTKSVSTSLNSLGSMSAIALQSVAGPYMWNNQWSLAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGSGNEKAAVVQRAALSAALGGRVDVAAMGSISGVKATYLLAVSFLEIIRFSCGGGLLSSSNTSGSAFSCVFEYLLTPNLMPAVFQCLTAIVHRAFETAVAWLDERISETGKEADKRELVLSSHTCFLIKNLSQRNDHVRDISVSLFTQLKDRFPQVLWNSSCLDSLLVSVYNELPSALVNDPAWVATVRSLYQRIVREWITAALSYAPCTTQGLLQENLCELNAWQRTQHATDMVSLLSEIRLGTGKNVCWTGIRTANIPAVLDAASAASGAKKDVSEGFILEVLSTAIVSATVKCNHAGEIAGMRRLYDTIGGLNASPINFGLGGGPQSLKAGVPSAQVQTDSFNEILLNRFVQLLQHFVATAEKGGSVEKSQFRESCSQATALLLSHMGSASKVNLDGFSQLLRLLCWSPAYISTPDAMETGIFIWTWLVSAAPSLGPLVLAELVDAWLWTIDTKRGLFTSEMRFSGPAAKLRPHLIPGEPDAPPEKDPVEGLIAHRLWLGFFFDRFEVVRHDSVEQLLLLGRMLQGTMKSPSHFSHHPAATGTFFTVMLLGLKFCSCHSQINLQNCKMGLQLLEDRVYRAALGWFAYEPEWYEANNKSFAQSEAHSVSLFVHHLVNERVDIVSTDLSSKGRGFEAESNTMTELCHPVWGRMDNYSLGREKRKQLLIMLCQHEADRLEVWSQPLNMKENTSSRSKISSDKWVEHARTAFTVDPRIAISLTSRFPTNSSIIAEVTQLVQMHILEIRTIPEALPFFMTPKAVEENSVLLQQLPHWASCSITQALEFLTPPFKGHPRVMAYVLRVLESYPPERVTFFMPQLVQALRYDEGKLVEGYLLGAAQRSNIFAHILIWNLQGESLPEFEKDGVGKNNSFQSILPIVRQRIIDAFTPEARDLFEREFDFFDKVTSISGVLLPLPKEERRAGIRRELEKITMEGEDLYLPTATNKLVRGIQLDSGIPLQSAAKVPIMVTFNVVDRDGDPNVLQSQGCIFKVGDDCRQDVLALQVIALLRDIFEAVGLNLYLFPYGVLPTGPGRGIIEVVPNTRSRSQMGEITDGGLYEIFQQDFGPVGSSAFETAREMFIVSSAGYAVASLLLQPKDRHNGNLLFDSQGRLVHIDFGFILETSPGGNMRFESAQFKLSHEMTQLLDPPGTMKSETWTQFVSLCVKGYLAARRHMHGIITTVLLMVDSGLPCFSRGDPIGNLRKRFHPEMNDREAANFMIRTCMDAYNKWTTAGYDLIQYLQQGIEK >DRNTG_25640.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21878697:21879671:1 gene:DRNTG_25640 transcript:DRNTG_25640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHGIITTVLLMVDSGLPCFSRGDPIGNLRKRFHPEMNDREAANFMIRTCMDAYNKWTTAGYDLIQYLQQGIEK >DRNTG_25640.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21854839:21879569:1 gene:DRNTG_25640 transcript:DRNTG_25640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVFQCLTAIVHRAFETAVAWLDERISETGKEADKRELVLSSHTCFLIKNLSQRNDHVRDISVSLFTQLKDRFPQVLWNSSCLDSLLVSVYNELPSALVNDPAWVATVRSLYQRIVREWITAALSYAPCTTQGLLQENLCELNAWQRTQHATDMVSLLSEIRLGTGKNVCWTGIRTANIPAVLDAASAASGAKKDVSEGFILEVLSTAIVSATVKCNHAGEIAGMRRLYDTIGGLNASPINFGLGGGPQSLKAGVPSAQVQTDSFNEILLNRFVQLLQHFVATAEKGGSVEKSQFRESCSQATALLLSHMGSASKVNLDGFSQLLRLLCWSPAYISTPDAMETGIFIWTWLVSAAPSLGPLVLAELVDAWLWTIDTKRGLFTSEMRFSGPAAKLRPHLIPGEPDAPPEKDPVEGLIAHRLWLGFFFDRFEVVRHDSVEQLLLLGRMLQGTMKSPSHFSHHPAATGTFFTVMLLGLKFCSCHSQINLQNCKMGLQLLEDRVYRAALGWFAYEPEWYEANNKSFAQSEAHSVSLFVHHLVNERVDIVSTDLSSKGRGFEAESNTMTELCHPVWGRMDNYSLGREKRKQLLIMLCQHEADRLEVWSQPLNMKENTSSRSKISSDKWVEHARTAFTVDPRIAISLTSRFPTNSSIIAEVTQLVQMHILEIRTIPEALPFFMTPKAVEENSVLLQQLPHWASCSITQALEFLTPPFKGHPRVMAYVLRVLESYPPERVTFFMPQLVQALRYDEGKLVEGYLLGAAQRSNIFAHILIWNLQGESLPEFEKDGVGKNNSFQSILPIVRQRIIDAFTPEARDLFEREFDFFDKVTSISGVLLPLPKEERRAGIRRELEKITMEGEDLYLPTATNKLVRGIQLDSGIPLQSAAKVPIMVTFNVVDRDGDPNVLQSQGCIFKVGDDCRQDVLALQVIALLRDIFEAVGLNLYLFPYGVLPTGPGRGIIEVVPNTRSRSQMGEITDGGLYEIFQQDFGPVGSSAFETAREMFIVSSAGYAVASLLLQPKDRHNGNLLFDSQGRLVHIDFGFILETSPGGNMRFESAQFKLSHEMTQLLDPPGTMKSETWTQFVSLCVKGYLAARRHMHGIITTVLLMVDSGLPCFSRGDPIGNLRKRFHPEMNDREAANFMIRTCMDAYNKWTTAGYDLIQYLQQGIEK >DRNTG_08279.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16247417:16256500:-1 gene:DRNTG_08279 transcript:DRNTG_08279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQNNQEHQSRITTSQTSINYSNNPKHHKFTPKE >DRNTG_04523.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19248173:19251813:1 gene:DRNTG_04523 transcript:DRNTG_04523.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWETIEHSHLPIRGLNLHIAYTGPATSTAVVFLHGFPEIWYTWRYQMVAVAAAGFRAIAPDFRGYGLSDQPPEPEKATWKDLIDDLLAILDYLSIPKAFIVAKDFGALPAYSFAVNYPDRVSGVVTLGIPFVPDENSALFTELPEGYYLSRWRVPGRAEADFGRLDVKTVVKNIYILFSGSEFPNAKEDEEIMDLVDPSTPLPPWFSEEDLDVYASLYEKSGFRFALQIPYRSLKELTGIANPKVEVPALLIMGGKDYVLKLPGFEDYIRSGMVKNFVPNLEIKFIEEGNHFVHEQFPDEVNELILKFLKT >DRNTG_00866.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21902055:21931407:1 gene:DRNTG_00866 transcript:DRNTG_00866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMTGVKTKELMLWLSVVEMYIEDPSLKKITFKTGTGLSDSFPVSAFELDMY >DRNTG_06846.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6274704:6289788:-1 gene:DRNTG_06846 transcript:DRNTG_06846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDVDEVDVLDPHADIYALFCYYDSLYFDESLGACSVSWSSSRMTRCAGVCRYLPGGGCEIHLSEPLLKFRSTADVKNTLLHEMIHAFLWIKHNNKDHSQHGPGFQALMNKINSSSLIDHQKPACGYNITIYHQFHNEVDSYRLHHWICEGCGDLIKRAINREPSAGDCMERFRENGSCGNTSCHWHNHKMVCSGSYKKIAEPPGYKGKRKRAKEQELPDNKLSGRGGIINKLTQKSQSKAESKAQCSLDNMKSLTSFFPSASNRHTGTSLPEDSKLEALKAVEPKMQTLALVSQFPKRPRTAHSQKSKYVCNKRRKVDKEKDRCIVFSKWLGWFADEETDEEVEPLINKRTERRKKLKLLENQKGRHVESQASDATQTANSSTSIFHGNEGELMGNYLLYHQNENGGPVGC >DRNTG_06846.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6274704:6289788:-1 gene:DRNTG_06846 transcript:DRNTG_06846.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDVDEVDVLDPHADIYALFCYYDSLYFDESLGACSVSWSSSRMTRCAGVCRYLPGGGCEIHLSEPLLKFRSTADVKNTLLHEMIHAFLWIKHNNKDHR >DRNTG_06846.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6274704:6289788:-1 gene:DRNTG_06846 transcript:DRNTG_06846.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDVDEVDVLDPHADIYALFCYYDSLYFDESLGACSVSWSSSRMTRCAGVCRYLPGGGCEIHLSEPLLKFRSTADVKNTLLHEMIHAFLWIKHNNKDHR >DRNTG_02721.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19511789:19521465:-1 gene:DRNTG_02721 transcript:DRNTG_02721.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRTYTRRSRGLPRSHSDPNLLADDDDPATVGEDDHPEPFLSQGSGSQVPIPLFFSSQDSSPWSLDHPFDLPPDESPEPSLPFSKESNGERRKGRGLKRGSGGEVKNGKGAATATLMEAQEFGEMMEHVDEVNFALDGLRPGQPARIRRASLLSLLSICETTQQRRLLRTQGMAKKIIDAVLGLNLDDSPSTIAAAALFFVLASDAQDDHLLDSPSCIHFLLKLLNIHISDTANDKIPTFGHKLLGIRKPQVEGTSMKGLDSSSSAIMSKVHAILLNCKELKSGNEDDDVTGKPELSSKWISLLTMEKACLSTVSFEDTPDTVRRVGGTFKEKLREFGGLDAISDVISSCYSTMEIELKHTLHSVRDIKDGAALQCAVLLLKCLKIMENATFLSKDNQKHLLGMKSNMKSGGLPLSFVGMIISFIKLLSGLSRHQSSWNISKQMTPSNCPSERTGLDFSPASENQLKKHLLDNQDQTSSCDHVGRSSVEVSPGERINACLKRQRLSICQSEESIPNIGMTLSTGTDVYSVKRISDSTISGLSNGVLTGSNGESHKNATGLSKSHCKSSNGWISIKAIGSKRTLSSLSRKPHVSEDVKGNCMKDSYDPFAFDEDDMEPSKWELLAKKKEPMQTLQISSQNKVMDESDIQMGPTDHELSQVTDRENHLTVGNSSLTSIEEDSTLIEDCLLTAIKVLMNLTNDNPIGCRQIAACGGLETLASLILSHFPSFGVSCSTHSQLKDTISSSSSLIEASQLKNKHLNDHELEFLVAILGLLVNLVEKDSQNRSRLVSAQVSVEEPGISEESVNDRDVIPLLCSIFLANQGSGEGAGDESPLLCDDEASLLQGEREAEMMIIQAYAALLLAFLSTESSNAREAIAKCLPNHNLRALVPVLERFVAFHLSLNMISPETHSAVNEVIESCKEP >DRNTG_05532.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16862845:16864513:1 gene:DRNTG_05532 transcript:DRNTG_05532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHSSSSSSSSSPSSSSSSSHGQPLYLKGCFVNLLPYLENQSARILQRWMTGSNYASFSTWVRKESFHVLHDCGGFSRGGRFNGEGRVLMFTAQTSWELVLAEICERWGLEVSLVRVKFITPDGYKTVCPIENDVDFQRMCHVHSIFKCAVVDLVVETENVRLPNPNENEFYSL >DRNTG_33277.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23886163:23889652:1 gene:DRNTG_33277 transcript:DRNTG_33277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKLRKMAGAVRTGGKGSVRRKKKAVHKTTTTDDKRLQSTLKRVGVNAIPAIEEVNFFKDDYVIQFFNPKVQASIGANTWVVSGSPQTKKLSDLLPSLLNQLGPDNADNLRKLTEQFQKHAQSAGASKPENDDDDDVPELVAGATFEGVADEKPSS >DRNTG_17777.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000910.1:88517:88809:1 gene:DRNTG_17777 transcript:DRNTG_17777.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGDQPEKDTRRYKQRLNPSVLLGCLLSRSPNGERFEASKKREANSEIQKK >DRNTG_05790.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1152104:1156321:-1 gene:DRNTG_05790 transcript:DRNTG_05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFRCVGNPCKDVDIKDQSSASSVEKSKRNLQVDVKKQSSIDIKKDALCKSSQDSSTRTFTFSELAIATNNFLSDCMLGEGGFGRVYKGYLESINQVVAIKQLDLNGLQGNSEFSAEVMFLGLLHHPNLVNLIGSCKDGDQRLLVYEYMPLGSLEDHLFDPIPGRQGLDWDTRMKIAAGAAKGLEYLHDIAKPPVIYRDLKCSNILLGEGYYPKLSDFGLAKLGPVGDDTHVTTRVMGTYGYCAPEYAMTGQLTPKSDMYSFGVVLLEIITGRRAIDNRRAPAERNLVAWARPLFKDRRKFSQMADPVLNGQYSTRSLYQALAVAAMCVHEQPLLRPVIKDVVSALNFLASQTYNPEIQTAQTSGTTQTTKDNDNKPEQSSDEPEQRQPK >DRNTG_05790.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1152104:1155098:-1 gene:DRNTG_05790 transcript:DRNTG_05790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEGGFGRVYKGYLESINQVVAIKQLDLNGLQGNSEFSAEVMFLGLLHHPNLVNLIGSCKDGDQRLLVYEYMPLGSLEDHLFDPIPGRQGLDWDTRMKIAAGAAKGLEYLHDIAKPPVIYRDLKCSNILLGEGYYPKLSDFGLAKLGPVGDDTHVTTRVMGTYGYCAPEYAMTGQLTPKSDMYSFGVVLLEIITGRRAIDNRRAPAERNLVAWAEESTNEH >DRNTG_05790.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1152104:1155098:-1 gene:DRNTG_05790 transcript:DRNTG_05790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEGGFGRVYKGYLESINQVVAIKQLDLNGLQGNSEFSAEVMFLGLLHHPNLVNLIGSCKDGDQRLLVYEYMPLGSLEDHLFDPIPGRQGLDWDTRMKIAAGAAKGLEYLHDIAKPPVIYRDLKCSNILLGEGYYPKLSDFGLAKLGPVGDDTHVTTRVMGTYGYCAPEYAMTGQLTPKSDMYSFGVVLLEIITGRRAIDNRRAPAERNLVAWARPLFKDRRKFSQMADPVLNGQYSTRSLYQALAVAAMCVHEQPLLRPVIKDVVSALNFLASQTYNPEIQTAQTSGTTQTTKDNDNKPEQSSDEPEQRQPK >DRNTG_05790.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1151961:1155098:-1 gene:DRNTG_05790 transcript:DRNTG_05790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEGGFGRVYKGYLESINQVVAIKQLDLNGLQGNSEFSAEVMFLGLLHHPNLVNLIGSCKDGDQRLLVYEYMPLGSLEDHLFDPIPGRQGLDWDTRMKIAAGAAKGLEYLHDIAKPPVIYRDLKCSNILLGEGYYPKLSDFGLAKLGPVGDDTHVTTRVMGTYGYCAPEYAMTGQLTPKSDMYSFGVVLLEIITGRRAIDNRRAPAERNLVAWARPLFKDRRKFSQMADPVLNGQYSTRSLYQALAVAAMCVHEQPLLRPVIKDVVSALNFLASQTYNPEIQTAQTSGTTQTTKDNDNKPEQSSDEPEQRQPK >DRNTG_20403.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19439158:19439602:1 gene:DRNTG_20403 transcript:DRNTG_20403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCYGENSYRKQATIEAILSSLERKEFAELVAANADEEIFVQAIRRGMLLLSNHWREERMRGHPRQGALDAVHLMTT >DRNTG_13339.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1077943:1079927:-1 gene:DRNTG_13339 transcript:DRNTG_13339.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 6 [Source:Projected from Arabidopsis thaliana (AT3G62390) UniProtKB/Swiss-Prot;Acc:Q9LZQ1] MERQRSFSIKPSKLLFLSFTISSSLLFFFIFSFWFLTPLSSSSSSYTNTIHSINGLQTLKAQPFAFSKDILFDATAQNPILVTAHLNPTPEIPLNYSLKNESSVHSSRKEAALIVDKNGEKEVGSTCDVSDGKWVYDSKYPLYTNDSCPFIDGGFSCEANGRLDREYLKWRWQPNGCNIPRFNPVKMLELIRGKRLVFVGDSINRNQWESMLCMLRGAIRNPNRVFEARGRRITKGRGNYNFKFPV >DRNTG_13339.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1077943:1079927:-1 gene:DRNTG_13339 transcript:DRNTG_13339.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 6 [Source:Projected from Arabidopsis thaliana (AT3G62390) UniProtKB/Swiss-Prot;Acc:Q9LZQ1] MERQRSFSIKPSKLLFLSFTISSSLLFFFIFSFWFLTPLSSSSSSYTNTIHSINGLQTLKAQPFAFSKDILFDATAQNPILVTAHLNPTPEIPLNYSLKNESSVHSSRKEAALIVDKNGEKEVGSTCDVSDGKWVYDSKYPLYTNDSCPFIDGGFSCEANGRLDREYLKWRWQPNGCNIPRFNPVKMLELIRGKRLVFVGDSINRNQWESMLCMLRGAIRNPNRVFEARGRRITKGRGNYNFKFPDYSCSVEYYVTHFLVHESKARIGHKRSKTLRIDTIDRSSSRWRGADILVFNTAHWWSHYKTKAGCVLLIELLWISFS >DRNTG_13339.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1077943:1079927:-1 gene:DRNTG_13339 transcript:DRNTG_13339.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 6 [Source:Projected from Arabidopsis thaliana (AT3G62390) UniProtKB/Swiss-Prot;Acc:Q9LZQ1] MERQRSFSIKPSKLLFLSFTISSSLLFFFIFSFWFLTPLSSSSSSYTNTIHSINGLQTLKAQPFAFSKDILFDATAQNPILVTAHLNPTPEIPLNYSLKNESSVHSSRKEAALIVDKNGEKEVGSTCDVSDGKWVYDSKYPLYTNDSCPFIDGGFSCEANGRLDREYLKWRWQPNGCNIPRFNPVKMLELIRGKRLVFVGDSINRNQWESMLCMLRGAIRNPNRVFEARGRRITKGRGNYNFKFPDYSCSVEYYVTHFLVHESKARIGHKRSKTLRIDTIDRSSSRWRGADILVFNTAHWWSHYKTKAGVNYYQEGDMVHPRLDASTAFRKAMMTWASWVDRHVNRAKTRVFFRSSSPSHFKGGEWNSGGHCQESTHPLNDTTNRASPEKNKIVEDVVKQMRTPVTILNITNLSGLRIDGHPSIYGRKAGKGFRSIIQDCSHWCLPGVPDTWNELLYFHLLSQQKQTLTS >DRNTG_33088.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001948.1:34064:34444:1 gene:DRNTG_33088 transcript:DRNTG_33088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNLRPCGLDMLYMRRSLLGFWSIIWWYGCMCTRIYRMTGDISLICRTSRS >DRNTG_27740.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4378332:4382421:-1 gene:DRNTG_27740 transcript:DRNTG_27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGHETDKNIEIWKVKKLIKALESARGNGTSMISLIMPPRDQISRVTKMLGDEYGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSSEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVEILIVWENLDINRYVLKNSATAEILIKHFTKDQEADQNSFRDPATNAELEVQEKMSLLEWFANEYKRFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRALDEPSDDEGAYEDSD >DRNTG_27740.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4378332:4382421:-1 gene:DRNTG_27740 transcript:DRNTG_27740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGHETDKNIEIWKVKKLIKALESARGNGTSMISLIMPPRDQISRVTKMLGDEYGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSSEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVEILIVWENLDINRYVLKNSATAEILIKHFTKDQEADQNSFRDPATNAELEVQEKMSLLEWFANEYKRFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRALDEPSDDEGAYEDSD >DRNTG_12639.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1315870:1317741:-1 gene:DRNTG_12639 transcript:DRNTG_12639.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKNKECKKHTLHKVTQYKKGKDSLSVQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHVSQHPIKRCKHFEIGGDKKGKGTSLF >DRNTG_13913.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19725891:19729177:-1 gene:DRNTG_13913 transcript:DRNTG_13913.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRTVLLLVLVSLVSSQAHQCRSDQALALLQLKNDFSSMSSLSPAWIPDTNCCNWDGVICDAASGVVVSLDLSNHNISGEINSSLFELTSLQRLNLANNAFYGSPLPPLGFEKLGDLTYLNLSNSGFAGRVPIGISRLKKLVSLDLSTYLFDGGELDTPDLGALIGGLSNLQKLYLDGVNLSSNSMDWCQVIAKSAPGLQALSLSGCSLSGPIHESLSKLQNLSVIHLDLNTLSCEVPEFFGNFSHLNELSLIDCELYGLFPYSVFLLRNLKALDLSLNPMLSGYLPEFPRESALEELILENTNFTGSLPESLGNLKSLTKLGLASCHFSGPIPLSIAKLNQLVHLDLSLNGFSGKIPPQVGGERISQIILSHNSFTGGIPQSFGRLQSLTNLDLRNNSLNGSIPVTLFTLLALQVLHLNLNKLSGELEEFYNTSSSLETVNLSDNELQGDIPRSITELSNLKYLALASNNFSGTLELDLIGRMKNLFHLDLSSNKLSISNASGNSSLLFPSITTLKLVSCNLVMIPPFLEHKLHMTDLDLSNNQIGGAIPKWIWNIGNSTLSYWNLPYNSLSYLNLSYNLFTYVDGPLPNVSMSHSSMILDLHSNLLRGPVPLPPPNTIILDYSNNFFTSFIPSNISSYLSGTLFFSLSNNRLIGNIPPSICDAPYLQILDLSDNSFSGSIPECLLREVSVLQVLNLKGNQLQGLLPMNVSSQCSLRTLNLNDNKLEGQLPRSLANCGSIEVLDLGHNKFVDSFPHWLGNMSALKALVLRSNEFHGRLGHPLGGNYTFPKLQIFDISSNNFSGHLPHEFFENLKAMMIDPNLSDLTIGVAYLQFDSSDRYQNSITVTFKGLVLTFVKALGILRSIDFSNNRFEGSLPKSLGNLTSLVMLNISRNNFTGPIPAELGKLVQLEALDLSCNQFSEEIPESLVFLHFLSFLDLSNNKLVGRIPLDSQFSTFSNTSFEGNTGLCGSPLSKQCADSSPPSPTFLFSESDH >DRNTG_13913.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19725843:19729177:-1 gene:DRNTG_13913 transcript:DRNTG_13913.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRTVLLLVLVSLVSSQAHQCRSDQALALLQLKNDFSSMSSLSPAWIPDTNCCNWDGVICDAASGVVVSLDLSNHNISGEINSSLFELTSLQRLNLANNAFYGSPLPPLGFEKLGDLTYLNLSNSGFAGRVPIGISRLKKLVSLDLSTYLFDGGELDTPDLGALIGGLSNLQKLYLDGVNLSSNSMDWCQVIAKSAPGLQALSLSGCSLSGPIHESLSKLQNLSVIHLDLNTLSCEVPEFFGNFSHLNELSLIDCELYGLFPYSVFLLRNLKALDLSLNPMLSGYLPEFPRESALEELILENTNFTGSLPESLGNLKSLTKLGLASCHFSGPIPLSIAKLNQLVHLDLSLNGFSGKIPPQVGGERISQIILSHNSFTGGIPQSFGRLQSLTNLDLRNNSLNGSIPVTLFTLLALQVLHLNLNKLSGELEEFYNTSSSLETVNLSDNELQGDIPRSITELSNLKYLALASNNFSGTLELDLIGRMKNLFHLDLSSNKLSISNASGNSSLLFPSITTLKLVSCNLVMIPPFLEHKLHMTDLDLSNNQIGGAIPKWIWNIGNSTLSYWNLPYNSLSYLNLSYNLFTYVDGPLPNVSMSHSSMILDLHSNLLRGPVPLPPPNTIILDYSNNFFTSFIPSNISSYLSGTLFFSLSNNRLIGNIPPSICDAPYLQILDLSDNSFSGSIPECLLREVSVLQVLNLKGNQLQGLLPMNVSSQCSLRTLNLNDNKLEGQLPRSLANCGSIEVLDLGHNKFVDSFPHWLGNMSALKALVLRSNEFHGRLGHPLGGNYTFPKLQIFDISSNNFSGHLPHEFFENLKAMMIDPNLSDLTIGVAYLQFDSSDRYQNSITVTFKGLVLTFVKALGILRSIDFSNNRFEGSLPKSLGNLTSLVMLNISRNNFTGPIPAELGKLVQLEALDLSCNQFSEEIPESLVFLHFLSFLDLSNNKLVGRIPLDSQFSTFSNTSFEGNTGLCGSPLSKQCADSSPPSPTFLFSESDHEPNWLFIFVELGFIVG >DRNTG_13913.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19725843:19727638:-1 gene:DRNTG_13913 transcript:DRNTG_13913.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLFHLDLSSNKLSISNASGNSSLLFPSITTLKLVSCNLVMIPPFLEHKLHMTDLDLSNNQIGGAIPKWIWNIGNSTLSYWNLPYNSLSYLNLSYNLFTYVDGPLPNVSMSHSSMILDLHSNLLRGPVPLPPPNTIILDYSNNFFTSFIPSNISSYLSGTLFFSLSNNRLIGNIPPSICDAPYLQILDLSDNSFSGSIPECLLREVSVLQVLNLKGNQLQGLLPMNVSSQCSLRTLNLNDNKLEGQLPRSLANCGSIEVLDLGHNKFVDSFPHWLGNMSALKALVLRSNEFHGRLGHPLGGNYTFPKLQIFDISSNNFSGHLPHEFFENLKAMMIDPNLSDLTIGVAYLQFDSSDRYQNSITVTFKGLVLTFVKALGILRSIDFSNNRFEGSLPKSLGNLTSLVMLNISRNNFTGPIPAELGKLVQLEALDLSCNQFSEEIPESLVFLHFLSFLDLSNNKLVGRIPLDSQFSTFSNTSFEGNTGLCGSPLSKQCADSSPPSPTFLFSESDHEPNWLFIFVELGFIVG >DRNTG_13913.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19725891:19727638:-1 gene:DRNTG_13913 transcript:DRNTG_13913.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLFHLDLSSNKLSISNASGNSSLLFPSITTLKLVSCNLVMIPPFLEHKLHMTDLDLSNNQIGGAIPKWIWNIGNSTLSYWNLPYNSLSYLNLSYNLFTYVDGPLPNVSMSHSSMILDLHSNLLRGPVPLPPPNTIILDYSNNFFTSFIPSNISSYLSGTLFFSLSNNRLIGNIPPSICDAPYLQILDLSDNSFSGSIPECLLREVSVLQVLNLKGNQLQGLLPMNVSSQCSLRTLNLNDNKLEGQLPRSLANCGSIEVLDLGHNKFVDSFPHWLGNMSALKALVLRSNEFHGRLGHPLGGNYTFPKLQIFDISSNNFSGHLPHEFFENLKAMMIDPNLSDLTIGVAYLQFDSSDRYQNSITVTFKGLVLTFVKALGILRSIDFSNNRFEGSLPKSLGNLTSLVMLNISRNNFTGPIPAELGKLVQLEALDLSCNQFSEEIPESLVFLHFLSFLDLSNNKLVGRIPLDSQFSTFSNTSFEGNTGLCGSPLSKQCADSSPPSPTFLFSESDH >DRNTG_10646.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:313399:313626:1 gene:DRNTG_10646 transcript:DRNTG_10646.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKLLFPPIKEYETPKKGVSLQGDKHQREGS >DRNTG_30002.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11574381:11582524:1 gene:DRNTG_30002 transcript:DRNTG_30002.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLILDLEKQEGNDQDTSQISAKMMEKRKEISLYSG >DRNTG_15606.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10310449:10310710:1 gene:DRNTG_15606 transcript:DRNTG_15606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPMQQTYEPSAQHAPNYTHSHPSHPSPSSELSSSPRHSVTPSSRTCLTITSTLQGS >DRNTG_06786.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19822566:19825457:1 gene:DRNTG_06786 transcript:DRNTG_06786.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II subunit 7 [Source:Projected from Arabidopsis thaliana (AT5G59180) UniProtKB/Swiss-Prot;Acc:P38421] MFFHISMEKNMQLHPRHFGPHLRDKLVAKLMKDVEGTCSGRHGFVVAITGVDDIGKGLIREGTGFVTFPVRYQCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQTGDVPNYTTSDRSVRIQKDSEVRLKIIGTRVDATEIFCIGTIKDDFLGVINDPGAAA >DRNTG_15131.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5620580:5627456:1 gene:DRNTG_15131 transcript:DRNTG_15131.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELESGSESVAVVGEVSASAQTQWPRLRCRPAPSAKRNQYRTSRSFFLIARTGSYRSYVNWEVAKHPLRGRNFPNSESVSGRDDWFFLMVTVDFSPTLQCGVPQSGLMGGGVVNSGLPWSRGSKDAHASSMTQLRKKLAPKMMFYSPGIVATLAGTVA >DRNTG_35291.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:735156:738950:-1 gene:DRNTG_35291 transcript:DRNTG_35291.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BUBR1 [Source:Projected from Arabidopsis thaliana (AT2G33560) UniProtKB/TrEMBL;Acc:A0A178VU51] MDPEAELMASEQQTGNEWELFKENIRPLKRGRNVQLLNHALRSHSQPALKRSLIHTRRRLIETIDDYKGEDPLQPWLDCIKWVQESFPTGGECSGLLVIYEQCVRTFWHDQRYKGDLRYLKVWLEYAENCADAEVIYRFLEANEIGQNHSFYYCAYALLMESKNKLKKADEMFNLGIARKAKPVEKLEADYRKFLARSTRKKKNIKDESVEPENHIPIRSFGTVLAAAAARNQTTENSDIARKRVKLQRVDTNRPLSIYSDTNTQGNNNSEVIRNNEKPWHTLATQIDRNKENTSAPSKWISYKVPQKIASRTVVPTPSTCIEVFVDEECAELQPVEGVKVVSSSIMQLRQGNNVDLKKETELLKENPLRNFPPHCLR >DRNTG_18968.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10732790:10733872:-1 gene:DRNTG_18968 transcript:DRNTG_18968.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFKLPTMPVKGTVKVREKINNGEKERLKMEGHRQGVLEESNDHVDGQIAGEEAHQTAGKEREKMGKAEAGEFSLQGLLGGINYLEVKEKGSSKKLLRLVSGRRGERNSVESEMGEKAKSLEEGIGGGPNAEKGRGKGDQ >DRNTG_18968.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10732716:10733598:-1 gene:DRNTG_18968 transcript:DRNTG_18968.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKAKSLEEGIGGGPNAEKGRGKGDQ >DRNTG_18968.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10732790:10733872:-1 gene:DRNTG_18968 transcript:DRNTG_18968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFKLPTMPVKGTVKVREKINNGEKERLKMEGHRQGVLEESNDHVDGQIAGEEAHQTAGKEREKMGKAEAGEFSLQGLLGGINYLEVKEKGSSKKLLRLVSGRRGERNSV >DRNTG_18968.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10732790:10733539:-1 gene:DRNTG_18968 transcript:DRNTG_18968.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKAKSLEEGIGGGPNAEKGRGKGDQ >DRNTG_18968.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10732716:10733539:-1 gene:DRNTG_18968 transcript:DRNTG_18968.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKAKSLEEGIGGGPNAEKGRGKGDQ >DRNTG_18968.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10732790:10733539:-1 gene:DRNTG_18968 transcript:DRNTG_18968.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKAKSLEEGIGGGPNAEKGRGKGDQ >DRNTG_18968.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10732790:10733598:-1 gene:DRNTG_18968 transcript:DRNTG_18968.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKAKSLEEGIGGGPNAEKGRGKGDQ >DRNTG_18968.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10732790:10733598:-1 gene:DRNTG_18968 transcript:DRNTG_18968.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAEAGEFSLQGLLGGINYLEVKEKGSSKKLLRLVSGRRGERNSVESEMGEKAKSLEEGIGGGPNAEKGRGKGDQ >DRNTG_08713.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18090433:18094099:1 gene:DRNTG_08713 transcript:DRNTG_08713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRELPQTNKNSNSTLMNPSSTTDGDARRTTLNSWIENAPVERFLNRIAEEKPIRFTLQQLAGLTSNYTTRLGSGGFGMVYKGQLPNGVLVAVKVLNSGGSENKTLMEQQFKAEIGTIGRTFHANLVKLYGFCYDSIVRALVYEYMDKGSLDTYLFDKSHAIAWEKLHEIAIGTAKALSYLHDECEQRIIHYDIKPANILLDNNFNPKVADFGLAKLCDRENTHVSMTVGRGTPGYAAPEIVRCG >DRNTG_08713.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18090433:18094099:1 gene:DRNTG_08713 transcript:DRNTG_08713.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRELPQTNKNSNSTLMNPSSTTDGDARRTTLNSWIENAPVERFLNRIAEEKPIRFTLQQLAGLTSNYTTRLGSGGFGMVYKGQLPNGVLVAVKVLNSGGSENKTLMEQQFKAEIGTIGRTFHANLVKLYGFCYDSIVRALVYEYMDKGSLDTYLFDKSHAIAWEKLHEIAIGTAKALSYLHDECEQRIIHYDIKPANILLDNNFNPKVADFGLAKLCDRENTHVSMTVGRGTPGYAAPEIVRCG >DRNTG_03188.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18999834:19003264:-1 gene:DRNTG_03188 transcript:DRNTG_03188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKANLIGSIPDSFSNLSALQQLDLARNNLTGPIPAGIWMLPNLEYLYLYANHLSGLGIYFNKFSGEIPASIGLLPSLTSVRFFDNNLTGVLSPEFGKHSKLWEFDISNNRISGELPAGLCAGGILTSVVAFNNNLTGRLPDSLDHCVTLDNIQVYNNRLTGDVPNGIWSAVNLTTVMMYDNQLSGTLPEKLPWNISRLQIANNRFSGKIPSTAGNLQVFEAANNQFSGEIPGNLSSFSRLQTLSLRGNQISGGIPGSISALKFVNILDLSDNQLSGEIPDGIGSLSSLTKLDLSGNDLTGEIPQKMAELKLTFLNVSSNQLSGEVPAGLRNGAYDDSFLANPGLCSPSTVLNLRACGGKSDGQNHTSVALILFFVFGGLALLGTVVFAIVGIRDYKRRMDRIDLGPWKLTSFQSLDFTEQRILKGLTVENLIGSGGGGQVYKIILGNRAGEIVAVKKIRNGKKFDSRREKEFQAEVQILGTIRHANIVKLIACISNGDSKLLVYEYMHNKSLDRWLHAKYRSDQSNENLKLDWPTRLSIAIGAAKGLCYMHNDCNPAVVHRDVKSSNILLDDEFGAKIADFGLARMLENASEPESVSIVAGSFGYMAPECYTRKVNEKMDVYSFGVVLLELTTGREANDGGDDGSLADWAWQHVQDGNQLIDAIDCEIKDHAFMQEIEMVFKLGILCTNKTPSMRPTMKEVLQVLLQFHQIEVVDRLHKMDYGVAPGCLQTKRGSRHNRISDSNEFDDYCTSPV >DRNTG_24000.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001285.1:34447:36364:-1 gene:DRNTG_24000 transcript:DRNTG_24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKAITDKMLANNKEKPGMIRGNPRQVFT >DRNTG_24000.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001285.1:34447:35627:-1 gene:DRNTG_24000 transcript:DRNTG_24000.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGEGRDRGMKDWQQRSKIGKDGLIFH >DRNTG_24000.11.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001285.1:34651:35706:-1 gene:DRNTG_24000 transcript:DRNTG_24000.11 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGEGRDRGMKDWQQRSKIGKDGLIFH >DRNTG_24000.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001285.1:34447:35706:-1 gene:DRNTG_24000 transcript:DRNTG_24000.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGEGRDRGMKDWQQRSKIGKDGLIFH >DRNTG_24000.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001285.1:34603:36364:-1 gene:DRNTG_24000 transcript:DRNTG_24000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKAITDKMLANNKEKPGMIRGNPRQVFT >DRNTG_24000.13.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001285.1:34603:35627:-1 gene:DRNTG_24000 transcript:DRNTG_24000.13 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGEGRDRGMKDWQQRSKIGKDGLIFH >DRNTG_24000.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001285.1:34447:35627:-1 gene:DRNTG_24000 transcript:DRNTG_24000.8 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGEGRDRGMKDWQQRSKIGKDGLIFH >DRNTG_24000.10.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001285.1:34603:35706:-1 gene:DRNTG_24000 transcript:DRNTG_24000.10 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGEGRDRGMKDWQQRSKIGKDGLIFH >DRNTG_24000.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001285.1:34651:36364:-1 gene:DRNTG_24000 transcript:DRNTG_24000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKAITDKMLANNKEKPGMIRGNPRQVFT >DRNTG_24000.12.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001285.1:34651:35706:-1 gene:DRNTG_24000 transcript:DRNTG_24000.12 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGEGRDRGMKDWQQRSKIGKDGLIFH >DRNTG_24000.14.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001285.1:34651:35627:-1 gene:DRNTG_24000 transcript:DRNTG_24000.14 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGEGRDRGMKDWQQRSKIGKDGLIFH >DRNTG_24000.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001285.1:34603:36364:-1 gene:DRNTG_24000 transcript:DRNTG_24000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKAITDKMLANNKEKPGMIRGNPRQVFT >DRNTG_24000.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001285.1:34447:35706:-1 gene:DRNTG_24000 transcript:DRNTG_24000.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGEGRDRGMKDWQQRSKIGKDGLIFH >DRNTG_24000.9.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001285.1:34603:35706:-1 gene:DRNTG_24000 transcript:DRNTG_24000.9 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGEGRDRGMKDWQQRSKIGKDGLIFH >DRNTG_28517.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9130403:9142754:1 gene:DRNTG_28517 transcript:DRNTG_28517.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKQMEEADVKPDSETFSYLISNCKCENDIIKYQKDLQNSGVLLSKHIYMALINAYANLGKFDMAKKVILDKDVPARYLNELKSVLVSALASNGQILDALKVYDEIKRVGGSLEPKAVISLIEYLQHEGELDRLLQLIQELKDSKSWFDGCSRVILYCTRYNLGSSAIDLLKSLMKADESSTYIIIDQIFSQIWEMEPTNIGIGLELLQAMKEELHLHPSRTCLDFLLSSCVKAKNPQCVRMVWSEYEKAGLPYNILTYLRMYQVLLASGEYEAAAKMLKKIPQDDPHIRYVVKSCRTSFS >DRNTG_28517.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9129980:9142754:1 gene:DRNTG_28517 transcript:DRNTG_28517.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKQMEEADVKPDSETFSYLISNCKCENDIIKYQKDLQNSGVLLSKHIYMALINAYANLGKFDMAKKVILDKDVPARYLNELKSVLVSALASNGQILDALKVYDEIKRVGGSLEPKAVISLIEYLQHEGELDRLLQLIQELKDSKSWFDGCSRVILYCTRYNLGSSAIDLLKSLMKADESSTYIIIDQIFSQIWEMEPTNIGIGLELLQAMKEELHLHPSRTCLDFLLSSCVKAKNPQCVRMVWSEYEKAGLPYNILTYLRMYQVLLASGEYEAAAKMLKKIPQDDPHIRYVVKSCRTSFS >DRNTG_28517.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9111950:9123089:1 gene:DRNTG_28517 transcript:DRNTG_28517.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVEELLPKLNNLHEKLGVVLCIASYEKLIGLCCELFKVDAALIIADHMCHSGFNIPIETLHPILRATEEGGELDLVHSIHSVMCRHSLKPKEDTLKSMISLYVKIKDFAGAYNLLRSVQEMNQPLTTSMFNAIMAGHFRE >DRNTG_28517.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9140592:9142754:1 gene:DRNTG_28517 transcript:DRNTG_28517.10 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTNIGIGLELLQAMKEELHLHPSRTCLDFLLSSCVKAKNPQCVRMVWSEYEKAGLPYNILTYLRMYQVLLASGEYEAAAKMLKKIPQDDPHIRYVVKSCRTSFS >DRNTG_28517.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9120025:9142754:1 gene:DRNTG_28517 transcript:DRNTG_28517.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCHSGFNIPIETLHPILRATEEGGELDLVHSIHSVMCRHSLKPKEDTLKSMISLYVKIKDFAGAYNLLRSVQEMNQPLTTSMFNAIMAGHFREKNYYGALMVLKQMEEADVKPDSETFSYLISNCKCENDIIKYQKDLQNSGVLLSKHIYMALINAYANLGKFDMAKKVILDKDVPARYLNELKSVLVSALASNGQILDALKVYDEIKRVGGSLEPKAVISLIEYLQHEGELDRLLQLIQELKDSKSWFDGCSRVILYCTRYNLGSSAIDLLKSLMKADESSTYIIIDQIFSQIWEMEPTNIGIGLELLQAMKEELHLHPSRTCLDFLLSSCVKAKNPQCVRMVWSEYEKAGLPYNILTYLRMYQVLLASGEYEAAAKMLKKIPQDDPHIRYVVKSCRTSFS >DRNTG_28517.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9135467:9142754:1 gene:DRNTG_28517 transcript:DRNTG_28517.9 gene_biotype:protein_coding transcript_biotype:protein_coding MKADESSTYIIIDQIFSQIWEMEPTNIGIGLELLQAMKEELHLHPSRTCLDFLLSSCVKAKNPQCVRMVWSEYEKAGLPYNILTYLRMYQVLLASGEYEAAAKMLKKIPQDDPHIRYVVKSCRTSFS >DRNTG_28517.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9132172:9142754:1 gene:DRNTG_28517 transcript:DRNTG_28517.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIFCFLNCLQYQKDLQNSGVLLSKHIYMALINAYANLGKFDMAKKVILDKDVPARYLNELKSVLVSALASNGQILDALKVYDEIKRVGGSLEPKAVISLIEYLQHEGELDRLLQLIQELKDSKSWFDGCSRVILYCTRYNLGSSAIDLLKSLMKADESSTYIIIDQIFSQIWEMEPTNIGIGLELLQAMKEELHLHPSRTCLDFLLSSCVKAKNPQCVRMVWSEYEKAGLPYNILTYLRMYQVLLASGEYEAAAKMLKKIPQDDPHIRYVVKSCRTSFS >DRNTG_28517.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9134092:9142754:1 gene:DRNTG_28517 transcript:DRNTG_28517.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKADESSTYIIIDQIFSQIWEMEPTNIGIGLELLQAMKEELHLHPSRTCLDFLLSSCVKAKNPQCVRMVWSEYEKAGLPYNILTYLRMYQVLLASGEYEAAAKMLKKIPQDDPHIRYVVKSCRTSFS >DRNTG_28517.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9111950:9142754:1 gene:DRNTG_28517 transcript:DRNTG_28517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHSGFNIPIETLHPILRATEEGGELDLVHSIHSVMCRHSLKPKEDTLKSMISLYVKIKDFAGAYNLLRSVQEMNQPLTTSMFNAIMAGHFREKNYYGALMVLKQMEEADVKPDSETFSYLISNCKCENDIIKYQKDLQNSGVLLSKHIYMALINAYANLGKFDMAKKVILDKDVPARYLNELKSVLVSALASNGQILDALKVYDEIKRVGGSLEPKAVISLIEYLQHEGELDRLLQLIQELKDSKSWFDGCSRVILYCTRYNLGSSAIDLLKSLMKADESSTYIIIDQIFSQIWEMEPTNIGIGLELLQAMKEELHLHPSRTCLDFLLSSCVKAKNPQCVRMVWSEYEKAGLPYNILTYLRMYQVLLASGEYEAAAKMLKKIPQDDPHIRYVVKSCRTSFS >DRNTG_28517.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9130403:9142754:1 gene:DRNTG_28517 transcript:DRNTG_28517.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALINAYANLGKFDMAKKVILDKDVPARYLNELKSVLVSALASNGQILDALKVYDEIKRVGGSLEPKAVISLIEYLQHEGELDRLLQLIQELKDSKSWFDGCSRVILYCTRYNLGSSAIDLLKSLMKADESSTYIIIDQIFSQIWEMEPTNIGIGLELLQAMKEELHLHPSRTCLDFLLSSCVKAKNPQCVRMVWSEYEKAGLPYNILTYLRMYQVLLASGEYEAAAKMLKKIPQDDPHIRYVVKSCRTSFS >DRNTG_13275.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2602596:2610951:-1 gene:DRNTG_13275 transcript:DRNTG_13275.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zeaxanthin epoxidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G67030) UniProtKB/Swiss-Prot;Acc:Q9FGC7] MALTSTTLLHSPQSHLPIPSQTFIHGAFAPCLPPSNLLYSRGVLRRCRMPMIVKNSGRSVDLAPGSSSVSNPERKFRILIAGGGIGGLVFALAAKRKGFDVMVFEKDLSAIRGEGQYRGPIQIQSNALAALEAIDMDVAEEVLRAGCITGDRINGLVDGISGTWYIKFDTFTPAAERGLPVTRVISRMTLQQILARAVGDDVILNASNVVDFVDNGSTVTVILENGQKYDGDLLVGADGIWSKVRKVLFGHSEASYSGYTCYTGIADFVPPDIETVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEPPGGTDVPNGKKERLLKIFGGWCDNVIDLLLATDEEAILRRDIYDRIPVFSWGKGRVTLLGDSVHAMQPNMGQGGCMAIEDGFQLALELEKAWTKSVESGTPLDVFSALKSYEEARRIRVAIIYGMARMAAIMASTYRPYLGVGLGPLSFLTKLRIPHPGRVGGRFFIKIAMPLMLSWVLGGNSEKLEGRPLCCRLSDKASDDLQRWFEDDDALERALGGEWYLFPAGNENNELLQPIHLPKDAQTPYLIGKKHSHADIPGTSIALPLPQVDELHARITCKDNAFYLTDMQSQHGTWISDNEGRQYRAPPNFPVKLRPSDIIEFGSDKKAVFRVKVLNTLPPPTQNEGRQVLQPV >DRNTG_02921.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4334896:4335675:-1 gene:DRNTG_02921 transcript:DRNTG_02921.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLDIRTQITDLPIALEMWDYLERHYCGSSQIDALTPPYCAVNAAQILTCFESCSRRRSHNETRRMYEFIMHLRPEFEQTYAQLLHAPSVYSLDDAFTFVHAEETRLWASFIGGGSALVVPRLSPVSLSSSTRTLAHSVSSRPSSSIRPKRTVICHYCGMFGHLECECRKNQHGLLRTASSPPLLPLPHSHQQAYSIQGSPAPPSLATSSSLSLFPADYQLLVMF >DRNTG_03836.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9800969:9802885:-1 gene:DRNTG_03836 transcript:DRNTG_03836.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGQGAEVLGRVSFITRQNTTPMGLASSNATLGVREGFKGYWPRFWRGSMAPTSSFLRKKVGRRAYVEAYPIPDEGILGRRVEDFPQDH >DRNTG_16651.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:19156374:19157598:1 gene:DRNTG_16651 transcript:DRNTG_16651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLFSPKTLVEGGEASSKGKKTADHGLELERLLCAIRFLMVTMDLVPTLQCGVPWSGLMGDGVVTLSLP >DRNTG_07918.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6697817:6701408:-1 gene:DRNTG_07918 transcript:DRNTG_07918.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g50280, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G50280) UniProtKB/Swiss-Prot;Acc:Q9FGR7] MALKLYPNITFIPSPPPPPPPPPPPRFLFLRSIRALNAPPSSPSPSPSPSPSPSPLFLPHLHIQPHESPQRDHKLFDEMPEPKELPMTPNNGEEVEEDEEDEAFDPIRVFFQSRTSPVADPKTEGRLSFQRNRRTTWHLADFDPVEEELPLNSDPEIDPSSSPTVTICGEILQLARNLPENTTLGDSLGSYAGKIGEGECIELLGTMGEEGLVLSCLYLFEWMRLQEPSLVTPRSYSVLFPVLGTAGMGEKLMILFENLPRRKLFQDVHVYNAAISGLSSCGRYDDAWKVYELLEANNVRPDHVTCSILITIMRKRGDCAKDAWNFFERMNRKGVKWSLEVCGALIKSFCDEGLKKEALIVQSEMENKGIASNAIIYNTLMNAFGKCNQIEEVEGLFTEMKNKEIKPTTATYNVLMDAYSRRMQPEIIENLLIEMQDSGLKPNVKSYTCLISAYGRQRKMSEKAADAFLRMKKAGIRPTSHSYTALIHAYSVSRWHEKAYAAFENMQREGIKPSIETYTALLDAFRRAGDTQMLMEIWKSMISNRVKGTRVTFNIIVDGLAKHGLYAQARDVIFEFEKLGLPPTAMTYNMLMNAYARGGQHNRLPQLLKEMAADDLKPDSVTYSTMIYAFVRVRDFNRAFFYHKQMVKSGQVPDGRSYQKLRAILEAKAKTKNRRDRSAILGMINSKMGIKPKKGKKDEFWKTRRRPSLINKLDVDRRSSS >DRNTG_00713.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000052.1:72670:77248:-1 gene:DRNTG_00713 transcript:DRNTG_00713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKVPFAGINVELGANWVEGVNGNEVNPIWALANDLNLRNFRSDYNNISANFYKHGGGLYDISVVEEEIEKAEKVEAAGEEIVPELAENGRDDISILTLQRLLDHVPTDPIGMAVDYYTFDYEFAEPPRVTSMRNTIPLPTFSNFGEDVYFVADQRGYGSIVEHLARQFLKTDENGNIIDSRISFNKVVREINYTDNGVTVFTEDGETYEADYVMVSVSLGVLQTHLIRFNPVLPNWKILALYQFDMAIYTKIFIKFSETFWPTGNGTEFFLYASERRGYYPIWQESCCCYYYFMFFRFKLI >DRNTG_34821.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16744042:16744488:1 gene:DRNTG_34821 transcript:DRNTG_34821.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATLSLSSQFLGPRIADSRRASLPRSPRSQISASYAAAPERPPPIRASTAPSGSSTLYDVLGIGSGASAPEIKAAYRRLARACHPDVVKGSSADEFLRIHAAYTTLSDPMKRADYDRSLAAPDRLHRVSFSPFYGYTRRSWETDQCW >DRNTG_19583.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001022.1:37196:39239:-1 gene:DRNTG_19583 transcript:DRNTG_19583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPIDQRSRYVHGEHYDSLQLIAYDAPTPVTSAPNRYTTTSSMDCFPLALNESRRPGIKLVNGMCEKTTANRLTEIAGPRYGISQHTGGSISHTSHEDRLRSRLGRDPCPFEIFVVTHTKKGTSMLVDAHAQSIITLSQVQDHEERLQQSLLEMKEERDQYHEEMMRQMKDMMMSFEKRIL >DRNTG_00456.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27263706:27264301:-1 gene:DRNTG_00456 transcript:DRNTG_00456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVAIRPKSSLKEIAPKKLISSTGLPPETKKVKIEESLAKVKRSLEFLSASNVEQEAPLERPPSSGEI >DRNTG_31831.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2137205:2138929:-1 gene:DRNTG_31831 transcript:DRNTG_31831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEGCVFHKLRCGVPLFESWHFPLSSNETLSERSRPFSWQWGLIATAARLLNGSLRLSIIYTSLLSNTDPQLLQGFETLAFESRRIAEHLDSVAQAAAARARELDQELWIARR >DRNTG_26735.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1214149:1214718:-1 gene:DRNTG_26735 transcript:DRNTG_26735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNSTTQTQTQQQAPISHEIRNMVSTLTHHLSSSSSSSNNQEQSHGNGIITLAGTNRGATMKSDMDHEVSDTHGVVFGDEQRLSAYTNSNYQSINNSIVLGGSYTAEDPGIHLVISDYLEEHEHEHEDDEDEDEDHEKNAKKESKKKKKEKKGKKEKKKESSSDDE >DRNTG_30351.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001564.1:27913:30998:-1 gene:DRNTG_30351 transcript:DRNTG_30351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQLHNSTLYVVFYPQIRMASFYPPPNLFPSFSSSPSFSFPFHSSIPSLFLLPKRHGGTLKVCFHSQVEALFPPRRLVLCHWRPIDTQTLIVTATVLAAVTFSLFLGIKGDPVPCERCVRNGEILEFVFCLVYSRLRNVFPIFCPSLHHLWRRSRLGFRELWQGFWCGSTAFNAAFLLKSVIVGAFVSIDSARYALGLTKEPLEKMRSLHKTINTNTNGVLHMDCMLLLSISLLIVSCSMES >DRNTG_22533.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3593071:3595000:-1 gene:DRNTG_22533 transcript:DRNTG_22533.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVWYEVLSVLHLMAMLCLSETNFLLLAKPSASGLHSLIIQEYRRTAIDILVKAAGYLDCAIQHVLPKISSQMRRDLPIDLSEEVLGALCAQALAQGVDLQLWVAIDSPKATLAVKRRLACEMVIYWQQ >DRNTG_22533.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3590069:3599022:-1 gene:DRNTG_22533 transcript:DRNTG_22533.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIISTPLYEGGKRRWPKKIGEVAIFVPSIRIPKEVDLLQHSMNYHLLRAQVEHLARMRAKIAQVAPYSKQRRRIPTQHGGSVLSNLLQALEDYLRVLLGLLKEGSELIDKVEFVWVNQEDDLQETTMPNVWYEVLSVLHLMAMLCLSETNFLLLAKPSASGLHSLIIQEYRRTAIDILVKAAGYLDCAIQHVLPKISSQMRRDLPIDLSEEVLGALCAQALAQGVDLQLWVAIDSPKATLAVKRRLACEMVIYWQQAYDNIMKFSFNDGWGAKHQLFARWKLFESKAAAYYYHGMILEEGNTEKSHEMAIAATQAAHQFFINSKMENEAFNKMHPFSRNPPLWGSMKYLSEKLSKEISTKFHINQDISDHERFIKNAPILPDFVLALKPDEYHLP >DRNTG_17504.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19145755:19146904:1 gene:DRNTG_17504 transcript:DRNTG_17504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNCAENAKSLREGIEKTGKFEVVSKDIGVPLVAFSLKDSSIYSVFDISENLRRFGWIVPAYTMPANAENIAVLRVVVREDFSRSLVDRLITDIQKVLADLVSTVAATSSLESTKNVKVAVVKKTVEETVQEITSYWKKLVNKEKTTGVC >DRNTG_04140.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14080703:14082080:-1 gene:DRNTG_04140 transcript:DRNTG_04140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGCLTRIVAGVAIGGAVGSAAGAVYGTYEAIRFKVIMLN >DRNTG_04140.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14077261:14082080:-1 gene:DRNTG_04140 transcript:DRNTG_04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGCLTRIVAGVAIGGAVGSAAGAVYGTYEAIRFKDC >DRNTG_27501.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17131759:17135883:-1 gene:DRNTG_27501 transcript:DRNTG_27501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECPARDSVAGERKEEACGATVAGAYQIDPFLIEALQNPRHRLTVLRMELDIQRFMQNPGQNQFEFQHFPTSYLRCAAHRVAQYYGLQTMSLDNVSDGLVSRILAIKTQESRFPPVYLSEIPVKQSEIDSIGQVKIAIRTRPNKTSSGDTDELGRRVNPARTMEERMEEYDKARARIFSASSGLEGESLCMIAPDEKSMCENPDEQEYCRTWIEESERACMKDGASRVAIFRDREKDRHDPDYDRSYNRYARNLSYGQNFNLGAPNLVQPPLLQYEAGFPQLAQLPRVQPSVSYPAIINPFCASGGNKSSGDTVYMQWPSPTMLYAHSYEHFRHAVFQPPSYQQPLSFDHSQNY >DRNTG_34206.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6162280:6162899:1 gene:DRNTG_34206 transcript:DRNTG_34206.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKTSSSGVEVLLKASTHTHSQNSKPAPSSPLKS >DRNTG_34206.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6161197:6162899:1 gene:DRNTG_34206 transcript:DRNTG_34206.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSATTENMCTWLGLAPPSWVSQKWRCTKNLQPKRKQDPRTATMATKKTSSSGVEVLLKASTHTHSQNSKPAPSSPLKS >DRNTG_34206.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6161197:6161607:1 gene:DRNTG_34206 transcript:DRNTG_34206.8 gene_biotype:protein_coding transcript_biotype:protein_coding SRNRIEYQQPELDLRPQSERTRMSGSATTENMCTWLGLAPPSWVSQKWRCTKNLAPSYTVGFTKSHALRFSTKSNPTKQANLDLQDL >DRNTG_34206.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6160758:6162899:1 gene:DRNTG_34206 transcript:DRNTG_34206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDKSTITEGAPEQPIDPKLDFLLAPSYTVGFTKSHALRFSTKSPTKEEARSQDCNYGHQENLKLRS >DRNTG_34206.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6161197:6162899:1 gene:DRNTG_34206 transcript:DRNTG_34206.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSATTENMCTWLGLAPPSWVSQKWRCTKNLAPSYTVGFTKSHALRFSTKSPTKEEARSQDCNYGHQENLKLRS >DRNTG_34206.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6161197:6162899:1 gene:DRNTG_34206 transcript:DRNTG_34206.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKTSSSGVEVLLKASTHTHSQNSKPAPSSPLKS >DRNTG_34206.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6160758:6161607:1 gene:DRNTG_34206 transcript:DRNTG_34206.6 gene_biotype:protein_coding transcript_biotype:protein_coding NKNNTQVSQPQEQMFDKSTITEGAPEQPIDPKLDFLLAPSYTVGFTKSHALRFSTKSNPTKQANLDLQDL >DRNTG_34206.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6161197:6162899:1 gene:DRNTG_34206 transcript:DRNTG_34206.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKTSSSGVEVLLKASTHTHSQNSKPAPSSPLKS >DRNTG_07963.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:18243055:18245937:-1 gene:DRNTG_07963 transcript:DRNTG_07963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTEFSIRTGLCDVAYIGFCVGMGSISRDYRKLLVCPDSVTDTYTESSAGCILADVFHYQGRSTRVGLLFAGPYISRLILGMGLGDALRCAERIVVPIALTFDTIRMMGLVWRFGPGVYVLIPATTETTRSEGDTTGGVHQTPPSYVAPGIRAYDRIERLETDVRDIRTEIKELRALQLAQYTDHMARFDFLRDLLRPGSSHLQSTSILDPTTVRTHYMLPLQQLKQSLSVAIDTL >DRNTG_31735.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:128754:131018:-1 gene:DRNTG_31735 transcript:DRNTG_31735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKDIESTLPPGFRFYPSDEELVSHYLCNKVANQKLSDGPTMVDVDLHSQEPWELPDVAKLSEDEWYFFNFRDRKYATGSRSNRATKSGYWKATGKDRTVFDASSHVVIGMRKTLVFYLGRAPNGIKTSWVMHEFRLESPHSPPKEDWVLCRVFQKRKKNNGGDEVITNNLMQEENSSNANSTSCLNLSMLQCNFLDDFSQEMMMMINSDDQNYDPNGLQYWA >DRNTG_04774.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22236100:22239489:-1 gene:DRNTG_04774 transcript:DRNTG_04774.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYKKSRNTKYRNIVIDNDKDYNFTTGQATSTGSLSRSTTVYHS >DRNTG_18547.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4501295:4503941:-1 gene:DRNTG_18547 transcript:DRNTG_18547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHLALLCISFLFIFPFSSSSSIPIRSEYEVGLLFEGWLVTLNKSYKDSSEKQKRYEIFKDNLQYIDERNAGNHTFTLGLNVFADITVEEYRATYLGTLPPSPSLQMVNDETIETYESDDHYFNVTNTPDSIDWRDLGAVTPVENQGKCFSCWAFTVLATVEAIHQIKTGDLISLSKQQLVDCHKKSCGRHYLDQPYEYIIKNGGIDTEKDYPYEAKIKKCNTTKENNNVVSIDAYKMVAQSNEFALMQAVARQPIAVAVEGYGQDFQLYTKGIFTAYCGTAVDHAVTVIGYGTNGNVDYWLIKNSWGDFWGESGYIRLERNIQDRDGKCGVAQWPYYPIKN >DRNTG_18547.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4502919:4503941:-1 gene:DRNTG_18547 transcript:DRNTG_18547.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHLALLCISFLFIFPFSSSSSIPIRSEYEVGLLFEGWLVTLNKSYKDSSEKQKRYEIFKDNLQYIDERNAGNHTFTLGLNVFADITVEEYRATYLGTLPPSPSLQMVNDETIETYESDDHYFNVTNTPDSIDWRDLGAVTPVENQGKCFSCWAFTVLATVEAIHQIKTGDLISLSKQQLVDCHKKSCGRHYLDQPYEYIIKNGGIDTEKDYPYEAKIKKCNTTKENNNVVSIDAYKMVAQSNEFALMQAVARQPIAVAVEGYGQDFQLYTKVGL >DRNTG_18547.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4502081:4503941:-1 gene:DRNTG_18547 transcript:DRNTG_18547.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHLALLCISFLFIFPFSSSSSIPIRSEYEVGLLFEGWLVTLNKSYKDSSEKQKRYEIFKDNLQYIDERNAGNHTFTLGLNVFADITVEEYRATYLGTLPPSPSLQMVNDETIETYESDDHYFNVTNTPDSIDWRDLGAVTPVENQGKCFSCWAFTVLATVEAIHQIKTGDLISLSKQQLVDCHKKSCGRHYLDQPYEYIIKNGGIDTEKDYPYEAKIKKCNTTKENNNVVSIDAYKMVAQSNEFALMQAVARQPIAVAVEGYGQDFQLYTKGIFTAYCGTAVDHAVTVIGYGTNGNVDYWLIKNSWGDFWGESGYIRLERNIQDRDGKCGVAQWPYYPIKN >DRNTG_31018.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30469886:30478044:1 gene:DRNTG_31018 transcript:DRNTG_31018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTASPPWMTSLSCSPSQPASTILQWLSFVFLSPCPQRMLFSIVDGLFLLVLFVFAIQKLIVRFRRRSGTLEEEEEEKKPLLIKAQQRQQQPILTTIRFKIGLVVVAILAFAYGVLLVVVFVRGSESGWFLIESLFHVLQFLSYLSSVAVVAHEKRFRAAVHPLTLRLYWVASFILVVLFSISSIFRLAGGNPIQPDDYISLGILPFSLVLLVLAVNGDSGVVVVVEVNVNSGRASEPDSLITGYTSASIISLATWSWMNPLLAKGYKSPLKIDDVPWLAAEHRAEKLYELFQSNWPRPASRENHPVRTALLRCFWPQLLFTAFLSILRLCVMYVGPTLIQKFVDFASGKRSSLLEGYYLCGILLVAKFFEVLCSHQYNFQCAKLGMMIRSTLITNLYRKGLRLSCSARQSHGVGMIVNYMAVDAQQLSDMVLQLHYIWLMPLQVGVAIALLYLYLGPSVTTALGAIAAIIIFVLLGTRRNNRFQFLLMSMRDKRMKATNEMLNYMRVIKFQAWENHFNRRIKQFREGEFGWLSKFMYSISGNIIVLWSAPVIISSLVFATCVATGVRLNAGLVFTATSFFKILQEPMRNFPQALISASQAMVSLERLDSYMTSAELDDAAVEHVIGSDVAIEIQAGNFSWDDENPTPSLKNLNVEIKRGALAAVVGTVGSGKSSFLSCILGEMHKISGKVRVCGSTAYVAQTSWIQNGTIQENILFGMPMNSSKYKEVLRVCCLEKDMEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDSDVYLLDDVFSAVDAHTGSEIFKECVRGALKDKTVLLVTHQVDFLHNVNCIYVMRDGEIAEAGKYDELAASGPEFMGLVAAHESSMELVEQSANQDNNQNFEPEQAAALNQKQSAGNNEPEGSPKTEKGSSKLIKEEERETGHVSWSVYKTYVTEAWGWWGVIAILLVSLAWQGAQMACDYWLAFETSDENEASFNASLFIEVYAGLAAVAIILVTVRAFLVAYLGLKTAQIFFEQILNSILHAPMSFFDTTPSGRILTRASSDQTNIDLFLPFFVGLTVSMYITVISIIIVTGQVAWPTFIAVIPLAILNFWYRGYYLATSRELTRLDSITKAPVIHHFSETVLGVTTIRCFKKEARFTQENVDRVNSSLRMDFHNNGSNEWLGFRLELIGSFVLCVTALLIVMLPANIIQPEYVGLSLSYGLSLNTALFWAVWISCFIENRMVSVERVKQFTKIPPESAWEIKGCLPSLNWPMRGDISVQDLKVRYRPNTPLVLKGISLNIHGGEKIGVVGRTGSGKSTLVQVLFRLVEPSGGKIVIDGIDICTLGLHDLRSRFGIIPQEPVLFEGTVRSNIDPIGKYSDDEIWQSLERCQLKDAVASKPEKLDASVVDNGENWSVGQRQLLCLGRVMLKHSRILFMDEATASVDSQTDGVIQKIIREDFASCTIISIAHRIPTVMDCDRVLVVDAGIAKEFDKPANLIERPSLFGALVQEYANRSSEL >DRNTG_33196.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:307921:313370:1 gene:DRNTG_33196 transcript:DRNTG_33196.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPEPESFNPRTTALVAIWPLTHFKRGHLCNYCSVSKLQRAPSSTKHAANSNTQTDQL >DRNTG_33196.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:307921:313370:1 gene:DRNTG_33196 transcript:DRNTG_33196.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYSSQYIYLSKYQSNDFAKCSQEFQTRNINQANKRLKLMPYLCNYCSVSKLQRAPSSTKHAANSNTQTDQL >DRNTG_33196.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:307921:313370:1 gene:DRNTG_33196 transcript:DRNTG_33196.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMVMIVADRIQVEEERMRKAAWQSSASRSAAEMEAACRSLERGRG >DRNTG_33196.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:307921:313370:1 gene:DRNTG_33196 transcript:DRNTG_33196.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATMVMIVADRIQVEEERMRKAAWQSSASRSAAEMEAACRSLERGRG >DRNTG_33196.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:307853:313370:1 gene:DRNTG_33196 transcript:DRNTG_33196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPEPESFNPRTTALVAIWPLTHFKRGHLCNYCSVSKLQRAPSSTKHAANSNTQTDQL >DRNTG_28430.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18475659:18480242:1 gene:DRNTG_28430 transcript:DRNTG_28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPRPESAAWCQDRQMKKVGLEADKVISASVLAACTESGLISFGKKVHAYVEGTDLKFVIQICFIPDGVTFIGILCACTHTGLIKMRKERKWFKRLVINTLYRFLQRKLKEEEEEKEMALKNSTISGSLKLIIFLAEGTEVQLSNFTFNTRAAGAELSFGKISESVQFVRRPAPLEENLTVPRLPSKGRRPNVIVPATGEDVAIKELPRVSTFEGLSQHKRLVKKTANTADLRPQLNENKVGRPLKSYHKNSFGYVTTLNPEGSSQGKVTVDGNKVILSSTEDDKPFAVPEELWEVFISKRSMKMLKKLAELP >DRNTG_21512.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17912535:17912986:-1 gene:DRNTG_21512 transcript:DRNTG_21512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFSNGEIVVVQEVTARRRKRGKGRRRGKRKEKL >DRNTG_06806.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3825477:3826686:-1 gene:DRNTG_06806 transcript:DRNTG_06806.7 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSLIPSLVCHNQHLWFVVQMHNPLILLMFASSESFSCCCISASSREDGDGDDDRYPINNRSVSPN >DRNTG_06806.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3819430:3826686:-1 gene:DRNTG_06806 transcript:DRNTG_06806.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSLIPSLVCHNQHLWFVVQMHNPLILLMFASSESFSCCCISASSREDGDGDDDRYPINNRSVSPN >DRNTG_06806.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3819430:3826686:-1 gene:DRNTG_06806 transcript:DRNTG_06806.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSLIPSLVCHNQHLWFVVQMHNPLILLMFASSESFSCCCISASSREDGDGDDDRYPINNRSVSPN >DRNTG_06806.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3821954:3826686:-1 gene:DRNTG_06806 transcript:DRNTG_06806.6 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSLIPSLVCHNQHLWFVVQMHNPLILLMFASSESFSCCCISASSREDGDGDDDRYPINNRSVSPN >DRNTG_06806.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3819430:3826686:-1 gene:DRNTG_06806 transcript:DRNTG_06806.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSLIPSLVCHNQHLWFVVQMHNPLILLMFASSESFSCCCISASSREDGDGDDDRYPINNRSVSPN >DRNTG_06806.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3819430:3826686:-1 gene:DRNTG_06806 transcript:DRNTG_06806.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSLIPSLVCHNQHLWFVVQMHNPLILLMFASSESFSCCCISASSREDGDGDDDRYPINNRSVSPN >DRNTG_06806.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3819430:3826686:-1 gene:DRNTG_06806 transcript:DRNTG_06806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSLIPSLVCHNQHLWFVVQMHNPLILLMFASSESFSCCCISASSREDGDGDDDRYPINNRSVSPN >DRNTG_14993.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23973687:23975796:1 gene:DRNTG_14993 transcript:DRNTG_14993.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMQIWVMVLLQCFLKLFLLCVLLLCNQGDKLFLTETLKYYKIQRSVHMAQSALEETSESGSFKRTPSTFRSLISKDRTSPFPAESGRYHLYVSYACPWASRCLAYLKLKGLDNVISYTAVKPKWGRTKETDEHYGWVFPASSMEEPGAEPDKLNGVTSIRALYEFASPNYSGKYTVPVLWDKKLKTIVNNESSDIIRMMNTEFNDMAENADLDLYPTHLQPLIDEVNEWVYDWINNGVYRCGFTKKQEPYDEAVTKLFEALDKCEEILSKQRYICGNVLTEADVRLFVTLIRFDEVYFVHFKCNKKLIQEYPNLFNYTKDIYQINGMSSTVNKEHIKKSYYSQLFINPFGIVPIGPNIDFSAAHDRARFGP >DRNTG_14993.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23967072:23975796:1 gene:DRNTG_14993 transcript:DRNTG_14993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSALEETSETGAFNRTPSTFRSLISRDKTSPFPAESGRYHLFVSYACPWASRCLAYLKLKGLDNVISYTAVKPKWGRTKETDEHYGWVFPASSMEEPGAEPDKLNGVTSIRALYEFASPNYSGKYTVPVLWDKKLKTIVNNESSDIIRMMNTEFNDMAENADLDLYPTHLQPLIDEVNEWVYDWINNGVYRCGFTKKQEPYDEAVTKLFEALDKCEEILSKQRYICGNVLTEADVRLFVTLIRFDEVYFVHFKCNKKLIQEYPNLFNYTKDIYQINGMSSTVNKEHIKKSYYSQLFINPFGIVPIGPNIDFSAAHDRARFGP >DRNTG_14993.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23967072:23970782:1 gene:DRNTG_14993 transcript:DRNTG_14993.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSALEETSETGAFNRTPSTFRSLISRDKTSPFPAESGRYHLFVSYACPWASRCLAYLKLKGLDNVISYTVVKPKWERINETDEHYGWVFPAFSMEEPGAKPDKLNGVTSIRALYEFASPNYTGKYTVPVLWDKKLKTIVNNESSEITRMMNTEFNDMAEIADLDLYPSHLQPLIDEVNEWVYDGINNGVYRCGFASKQEPYDEAVTKLYEALDKCEEILSKQRYICGNVLTEADVRLFVTLIRFDEVYVVHFKCNKKLIREYPNLFNYTKDIYQTNGMSSTVNMEHIKKGYGSHPSINPLGIVPIGPNIDFSAAHDRARFAP >DRNTG_14993.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23968709:23975796:1 gene:DRNTG_14993 transcript:DRNTG_14993.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQIWVMVLLQGFLKVYLLCVLLLCYQGDKLFLTETLKYYKIQRSVHMAQSALEETSESGSFKRTPSTFRSLISKDRTSPFPAESGRYHLYVSYACPWASRCLAYLKLKGLDNVISYTAVKPKWGRTKETDEHYGWVFPASSMEEPGAEPDKLNGVTSIRALYEFASPNYSGKYTVPVLWDKKLKTIVNNESSDIIRMMNTEFNDMAENADLDLYPTHLQPLIDEVNEWVYDWINNGVYRCGFTKKQEPYDEAVTKLFEALDKCEEILSKQRYICGNVLTEADVRLFVTLIRFDEVYFVHFKCNKKLIQEYPNLFNYTKDIYQINGMSSTVNKEHIKKSYYSQLFINPFGIVPIGPNIDFSAAHDRARFGP >DRNTG_14993.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23972091:23975796:1 gene:DRNTG_14993 transcript:DRNTG_14993.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSPSFSFLHCHRTFVFQRSFYFHKRSVHMAQSALEETSESGSFKRTPSTFRSLISKDRTSPFPAESGRYHLYVSYACPWASRCLAYLKLKGLDNVISYTAVKPKWGRTKETDEHYGWVFPASSMEEPGAEPDKLNGVTSIRALYEFASPNYSGKYTVPVLWDKKLKTIVNNESSDIIRMMNTEFNDMAENADLDLYPTHLQPLIDEVNEWVYDWINNGVYRCGFTKKQEPYDEAVTKLFEALDKCEEILSKQRYICGNVLTEADVRLFVTLIRFDEVYFVHFKCNKKLIQEYPNLFNYTKDIYQINGMSSTVNKEHIKKSYYSQLFINPFGIVPIGPNIDFSAAHDRARFGP >DRNTG_20980.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11384816:11389602:-1 gene:DRNTG_20980 transcript:DRNTG_20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHGSPLENSSLMPFFPSLAPTPSRLPLRHVLPVTHSGPRGANEVRCGRYAEGRRSRDVDYYANVPMDTPSPSNPAPNDPIQSLSTPKIDTEDSDFAQVEPSCNQRYISQPQSSCSAR >DRNTG_08656.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16866249:16874271:1 gene:DRNTG_08656 transcript:DRNTG_08656.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:snRNA activating complex family protein [Source:Projected from Arabidopsis thaliana (AT1G28560) TAIR;Acc:AT1G28560] MRSLRFITNPAKVKSSNSEEHVPLCYPEVLLCVEIYHKKNNSMKTQEFLVLGRQLLTELRDNIYCQMDKLMQISGKHDPSGYFLIENTFYNDLRDPSAIDYSKPIFDWLESCKDEVSEKWKYIMSGELKKKQKELLGDVEVPCVPDFKVADMQKTHFTELCFRLGAGYIYCHQGNCKHMIVIRDMRLVHPEDVQNQVDYPLLTFQIRPRYKKCSVCKIYHATKMTIDDKWAPNNPCYFCIKCYFLLHYKEDNSLLYPHLVYDYHHE >DRNTG_08656.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16866249:16874271:1 gene:DRNTG_08656 transcript:DRNTG_08656.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:snRNA activating complex family protein [Source:Projected from Arabidopsis thaliana (AT1G28560) TAIR;Acc:AT1G28560] MDHTFFDQGSYFAKVEQLKKLKQKQEEDKLAARLHSFSGNSKFTEGTGLALENTERMRSLRFITNPAKVKSSNSEEHVPLCYPEVLLCVEIYHKKNNSMKTQEFLVLGRQLLTELRDNIYCQMDKLMQISGKHDPSGYFLIENTFYNDLRDPSAIDYSKPIFDWLESCKDEVSEKWKYIMSGELKKKQKELLGDVEVPCVPDFKVADMQKTHFTELCFRLGAGYIYCHQKLSYDAFVGI >DRNTG_23113.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4233588:4238153:-1 gene:DRNTG_23113 transcript:DRNTG_23113.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSPPKALSDEGSQLAAAPTPGPRRPRAAARDGAPGRSKPCQPSPAPCPPPPTAPHDRVPP >DRNTG_04040.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11492956:11497046:-1 gene:DRNTG_04040 transcript:DRNTG_04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKIFTWNCRGLSNLKTQDRIHDAIRRLNPKLLCLVETKTDIVRTQRFCNTLSKHWDWVAIPSRGLSGGIIVLWRRDLGSVTPVANSHFVLHLVISSNNVHWILSVIYNAQVTSLQNSTWNNLSFISSLNLPWILAGDFNAILTEDDHKGDSPGAMASMVLPGVGLDLTDIWKNLLRWKSFGAHPLDQEIKNIEKELQIVEAAAGFYSDPWLQIWFRALSNRYSALLRLNSIYWGQRARMLWLSEGDRNSKFFHSFVKIRRHKNKYLKPHFWMLVANPAGLDIWKDPCFMDLPILFKPTYINMDSDLKGLSFTDFMLHGNLNLPLVQNTFGPNLDWSWINSIRFDFNAQNLWVWGPRSLRTSVASAVYDYLVTLDPQPWPGWSFIWKLFVLPRVRTFI >DRNTG_01087.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000064.1:20768:21446:1 gene:DRNTG_01087 transcript:DRNTG_01087.3 gene_biotype:protein_coding transcript_biotype:protein_coding PPPSSSPPPPYHYNSPPPPSPSPPPPYHYKSPPPPSPSPPPTYIYASPPPPIHY >DRNTG_01087.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000064.1:20768:21513:1 gene:DRNTG_01087 transcript:DRNTG_01087.2 gene_biotype:protein_coding transcript_biotype:protein_coding PPPSSSPPPPYHYNSPPPPSPSPPPPYHYKSPPPPSPSPPPTYIYASPPPPIHY >DRNTG_01087.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000064.1:17988:21446:1 gene:DRNTG_01087 transcript:DRNTG_01087.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYNSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYTSPPPPYHHEPILKVVGRVYCYKCYNWENPKESYKKKLFAGAIVKVTCEAGNKKYEAYGETKGHGKYIVTVEGYPYWEHGAKGCKVYLHAAPKGSTCNKPTKLNECAPLNVYSKSHEEVVLRAKATCLCTRETL >DRNTG_08143.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5428295:5428763:-1 gene:DRNTG_08143 transcript:DRNTG_08143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDYGPEPLRPNSSHGAIHRVDLHIKKHQQDTPAVENKKMALNLFYELALAEAHLFLLEKSYMEWNICICKLFEQVNRECDLEITDIMSIKRFFYNAYSSCIIGSVFDGLKMDLISFAKELLDSVFS >DRNTG_14227.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:870845:873515:-1 gene:DRNTG_14227 transcript:DRNTG_14227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAEDTFVSLWHFAERLRVSLSTEDKSERLDLIKAFQLFSQGISHVGSFWDHVLGYWKESKRRPEKVLFLKYEEMMEDPITSDRNQQSLSEASLRIKRSLNFLSAIVSTHSGDQYPDVAQE >DRNTG_01329.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:85058:87447:1 gene:DRNTG_01329 transcript:DRNTG_01329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALYFSITTLFVILLLSLLILIKLNLNNKNKNPNPNPNPPSPPGHPLLGHLHLLKPPIHQALAHLSDFHGPILLLRFGSRRVLLVSSYSAAEECFTINDIIFANRPRLLAGKHLGYNFTLLVWAPYGPHWRNLRRISTLQLFSTNRLLSSSDVRSDEVLSLVKALLRDYSGPGFHCTELRPKFFTLAYNVATTMIANKRFYSNTDESSLETAKEFRDIIKETIAALGTSNAADFVPLWRWLGIGGYEKKLKSLKKRRDKFFQGLIDEQREKKKKMAASRQGHGLPAARSTIIDLLLSMQDDDPEYFTDVIIKGFIAAMSVAATDTSSLTMEWAMSFLLNNPETLKIVKAELDANIEQSSILQERDLHKLPYLQAVILETLRIHPAAPLLLPHESSQDCIVGGFHVPKGTMLLVNAWKIHRDPEIWEEPCKFKPERFLNNDGKEKGKIMTFGLGRRRCPGEGLALRVVALVVGTLVQCFEWERVDGIEIDMDEGVGQIMPKAKPLEAMYKPRDAMVDLLSRH >DRNTG_17435.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6225309:6227078:1 gene:DRNTG_17435 transcript:DRNTG_17435.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 4 [Source:Projected from Arabidopsis thaliana (AT5G02850) UniProtKB/TrEMBL;Acc:A0A178UPY5] MLQSHAPAIHPSPARLGLSNSPSTVTSAANTATTATATTTTKPPQSSSSSSALPSAPPTSPSLLPLLPPLPRAQSLLLLMSSLASRLFEVCPHRSLWLSSFRGSIPSFLPSSPSPPLSLPSPPSSSKEILSLFTSLQTQLFEAVAELQEILDLQDSRVKLTRDIRSKDSTLLSFTNKIKEAEQLLDHLLDDYSDYRPNPKRPRSDHLDLNDVLSYAHRISYTTFAPPEHGAGLPQLRGSLPPAPQENELRASMLYHFADLDVGVPARKVESKEGVTAGDDSGSLALIEPTPPREQVPTVPIPTPMLPIAVPPGWKKGMPVELPSELPPVPPGWKPGDPVPLPPLDGLLVGDRVEEPRIPAGLPVPPLKAPEAIQVKYVELDINPGQDDYSSDYSSEVGSSDEDDEE >DRNTG_17435.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6225309:6229795:1 gene:DRNTG_17435 transcript:DRNTG_17435.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 4 [Source:Projected from Arabidopsis thaliana (AT5G02850) UniProtKB/TrEMBL;Acc:A0A178UPY5] MLQSHAPAIHPSPARLGLSNSPSTVTSAANTATTATATTTTKPPQSSSSSSALPSAPPTSPSLLPLLPPLPRAQSLLLLMSSLASRLFEVCPHRSLWLSSFRGSIPSFLPSSPSPPLSLPSPPSSSKEILSLFTSLQTQLFEAVAELQEILDLQDSRVKLTRDIRSKDSTLLSFTNKIKEAEQLLDHLLDDYSDYRPNPKRPRSDHLDLNDVLSYAHRISYTTFAPPEHGAGLPQLRGSLPPAPQENELRASMLYHFADLDVGVPARKVESKEGVTAGDDSGSLALIEPTPPREQVPTVPIPTPMLPIAVPPGWKKGMPVELPSELPPVPPGWKPGDPVPLPPLDGLLVGDRVEEPRIPAGLPVPPLKAPEAIQVKYVELDINPGQDDYSSDYSSEVGSSDEDDEE >DRNTG_32057.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5400670:5401662:-1 gene:DRNTG_32057 transcript:DRNTG_32057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQMLVVLAIVATILPVITTATVFTVGDEVGWSLKGNYTAWAMGKEFKIGDSLVFNYTKNKHNVLKISGDGFKACDPSKAAETLNTGMDVITLAKPGKKWYICGFSDHCSKGMKLVINVGGDLLAPTSPPTAPAPASFAHMEALSFSSKLVMVALSLGMVFILF >DRNTG_14990.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23991330:23994877:1 gene:DRNTG_14990 transcript:DRNTG_14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAEALSSFPVLSVALMLLAFTLGGTVKLVQGQKTWCVAKPSLDEATLLLNINYACSQVDCSVLRKGSPCFNPDNLISHASVSMNLYYQSKGPKFLELQLQEHRTHCHD >DRNTG_14990.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23991330:23992466:1 gene:DRNTG_14990 transcript:DRNTG_14990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAEALSSFPVLSVALMLLAFTLGGTVKLVQGQKTWCVAKPSLDEATLLLNINYACSQVDCSVLRKGSPCFNPDNLISHASVSMNLYYQSKGPKFLELQLQEHRTHCHD >DRNTG_25733.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20020301:20024528:-1 gene:DRNTG_25733 transcript:DRNTG_25733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKEEEEEEVEEPQGSSLTMERVAAAKKFIENHYRSHMKNIQERKERRWILERKLASSRVPQEEQINLIKDLERKETEYMRLKRHKICVDDFELLTIIGRGAFGEVRLCREKSSGNIYAMKKLKKSEMLSRGQVDHVIAERNLLAEVASHCIVKLYYSFQDTEHLYLIMEYLPGGDMMTLLIREDTLTESVAKFYIAESVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPVDCTTLSTLNENEPMSDENLRESMDVDGGFADTNESRWRSADEQLQHWQMNRRKLAFSTVGTPDYIAPEVLVKKGYGMECDWYANFVFVNFRKLN >DRNTG_02152.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29037498:29037947:1 gene:DRNTG_02152 transcript:DRNTG_02152.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVVLDSSAISSEKEQNNKNISQPLYENQEIRRTSVGRPMRKAAEKVSSYKETPLNIKMRRED >DRNTG_02152.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29037169:29037410:1 gene:DRNTG_02152 transcript:DRNTG_02152.3 gene_biotype:protein_coding transcript_biotype:protein_coding EANTKCADTTDVASTAANKKARNTSRKCLLKGKSLTSTAMIQQAAGKEKDDRR >DRNTG_02152.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29035525:29037947:1 gene:DRNTG_02152 transcript:DRNTG_02152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGSLLEPGTNNSNVGGVGGNPKRGRNRKNKDQVGRTSRRKQLSDITNTVDSVRRGGGEENAKALSCSSSSDHVAHLLKENAALLKVIGDKDKVIEMNGIELQKLRVSLQKASLQNAHLAQTNSMMLAELNFSKERLRALQHEMGCTMAALKSKTVELDEEKLAKKQCLTISTKEEKLSKKQCSMMNTEEEKLSKMQCPMMSIASIVEANTKCADTTDVASTAANKKARNTSRKCLLKGKSLTSTAMIQQAAGKEKDDRRSSDLESEQCEPTENSFVMKDVVLDSSAISSEKEQNNKNISQPLYENQEIRRTSVGRPMRKAAEKVSSYKETPLNIKMRRED >DRNTG_12260.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24883211:24887274:1 gene:DRNTG_12260 transcript:DRNTG_12260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSLRKYLHKQEPYSLPLKLVLKLALDIARGVHYLHSEGILHRDLKSENILLGEDMSVKVADFGISCDESECGSGKGFMSTYRWMAPEMIKEKHHTRKVDVYSYGIVLWELLTALTPFQDMTPEQAAYAVCQKNARPPLPAGCPVALSHLINRCWATNPDKRPHFREIVSLFERYTISLEEDPTFFSTYKPAQQQTLLKCFPSCIAVRGDQQL >DRNTG_12260.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24883211:24887274:1 gene:DRNTG_12260 transcript:DRNTG_12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLDCFKQNSYRGGSDRRISLGEYKKAVSWSKYLVSFGGEIKGGGEEEWSADMSQLLIGSKFASGRHSRIYHGIYKDQEVAIKLMSQPEEDEILAASLEKQFTSEVALLFRLRHPNIITFVAACKKPPVFCIITEYMAGGSLRKYLHKQEPYSLPLKLVLKLALDIARGVHYLHSEGILHRDLKSENILLGEDMSVKVADFGISCDESECGSGKGFMSTYRWMAPEMIKEKHHTRKVDVYSYGIVLWELLTALTPFQDMTPEQAAYAVCQKNARPPLPAGCPVALSHLINRCWATNPDKRPHFREIVSLFERYTISLEEDPTFFSTYKPAQQQTLLKCFPSCIAVRGDQQL >DRNTG_15034.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23734690:23737421:1 gene:DRNTG_15034 transcript:DRNTG_15034.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRMLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDNLNNWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRVVSEKKARAWCASKGNIPYFETSAKEGFNVEAAFQCIAKNALKNEPEEEIYLPDTVDVAGGRQQRPSSGCEC >DRNTG_15034.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23734690:23737421:1 gene:DRNTG_15034 transcript:DRNTG_15034.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLFHSKFRYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDNLNNWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRVVSEKKARAWCASKGNIPYFETSAKEGFNVEAAFQCIAKNALKNEPEEEIYLPDTVDVAGGRQQRPSSGCEC >DRNTG_29028.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18327853:18331585:-1 gene:DRNTG_29028 transcript:DRNTG_29028.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNTNWKTRRMAVPHKPHDIPIVPPNHAQLAEPQHSMAAHIAASHMHR >DRNTG_29028.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18327853:18331585:-1 gene:DRNTG_29028 transcript:DRNTG_29028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNTNWKTRRMAVPHKPHDIPIVPPNHAQLAEPQHSMAAHIAASHMHR >DRNTG_24434.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8543556:8544378:1 gene:DRNTG_24434 transcript:DRNTG_24434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIRSIGTFEGSMVYEWEIYRIVIGEPSHGRVLGLGTGIQGKDMYGSGSSQACRKRCKEIQKMKEKEWEDRFKQMEFTIDKLQQQVPVMVQAVLQCLGVSNIQLATQGGDNDLRDVIANSQQNIRDVPHGNANEKDDNENSLEEDSKKDDDDNENEDSGEDDNDDDD >DRNTG_16455.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000814.1:48045:51428:1 gene:DRNTG_16455 transcript:DRNTG_16455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPDLEPKNQLTHNGHHNACGETVMRRICPHVDNALAMINLCMFKECVGNEKPGNPHARVEFPQWVVRPSMKERSQCGAQCTDFAGNLAKVQMRRHRPDIVEEHCSNKVAGTVAALFTAGRENRSSENPHGHVEIIHARVEIPHWHVKHPRPWFWRGSTALTSSFLRKKVGRGASIEAYPIPDEGILGRRVEDSPQDHRHDHRGASHFPSDVIDSTSAPRVLCGHNRVNGLRDDPPMGLSCACNGVKR >DRNTG_21447.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1809289:1812285:1 gene:DRNTG_21447 transcript:DRNTG_21447.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGREILHKMKVKAGFGSSSAETGKGKSKMWKHITHGYHLVKGKSNHPMEDYVVSQFKKVGEQELGLFAIFDGHLGHDVPDYLQAHLFDNILKEPDFWSDSESAIRKAYELTDSKILEKSMELGRGGSTAVTAILKNGDKLVVANVGDSRAVISKNGVAKQLSVDHEPDKERQEIEDKGGFVSNLPGDVPRVDGQLAVARAFGDKSLKKHLSSEPYVVEEDINADTEVLILASDGLWKVMDNQEAVNSIKDIKDAQAAAKHLTEEALARKSKDDISCIVVKFQ >DRNTG_19731.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001049.1:29004:32468:-1 gene:DRNTG_19731 transcript:DRNTG_19731.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKTLTAVLLSAGVMLSCILLLLRGLFVRSRQSAVKLEMAWT >DRNTG_19731.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001049.1:28342:32836:-1 gene:DRNTG_19731 transcript:DRNTG_19731.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKTLTAVLLSAGVMLSCILLLLRGLFVRSRQSAVKLEMAWT >DRNTG_19731.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001049.1:29004:32468:-1 gene:DRNTG_19731 transcript:DRNTG_19731.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKTLTAVLLSAGVMLSCILLLLRGLFVRSRQSAVKLEMAWT >DRNTG_19731.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001049.1:28342:32468:-1 gene:DRNTG_19731 transcript:DRNTG_19731.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKTLTAVLLSAGVMLSCILLLLRGLFVRSRQSAVKLEMAWT >DRNTG_19731.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001049.1:28252:32468:-1 gene:DRNTG_19731 transcript:DRNTG_19731.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKTLTAVLLSAGVMLSCILLLLRGLFVRSRQSAVKLEMAWT >DRNTG_30936.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2520312:2521882:1 gene:DRNTG_30936 transcript:DRNTG_30936.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGSLQSSSGGDEEYDSLIPSSTAACATISTTTTTHHHHHPHPPPHSSLYDTLSYYDSFPRSPPNPFLHLETPWSRTPSATDITGLLPTSTSTTTNTTNPFSLTTPSKPSSAPPEPPPPPPPPPPPRNSKKRSRASRRAPTTVLTTDTSNFRAMVQEFTGIPTSPFATPSSSSSFPRSRLDIFSGRSSFNDSLLSPPPYLLRPFPQKLQPSSSFPPLSSSSSSSSSSLSVTASTLNATTIARPSSSCTTNPNPTNNFQLPHDHHHHHHHHLGLTMQNQMFTFQSLLQPPINSLSNIPAFTDKSRSNDHHHHQAIPDLGAGNLDHGDVNNLTGNLSSLVGSAEGGDCKINF >DRNTG_18512.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1592855:1593198:-1 gene:DRNTG_18512 transcript:DRNTG_18512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGLALRVTNELLAPTYFVERKTWSPHWEANGGIFKISPSEDDEEKLFSSLTLRGWVGTRIALPAPVFWGVLGRKGLQGF >DRNTG_11066.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000483.1:59495:59858:1 gene:DRNTG_11066 transcript:DRNTG_11066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRVSWLENWMTQLEKAFTRFVQVERPEGSLPSNTKTNLREHVKMIILRSGHEVEGRLPSEKSVVEGPEVMEVEERTKGKVVAPPPYKPRIP >DRNTG_04904.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11129493:11134235:1 gene:DRNTG_04904 transcript:DRNTG_04904.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALSALPIPTSFSPSSSKPYFPSSLSHPLRLRLRTLRRPLSLTPRRTITTMVLSMLPTAKPERAASAEKIPKWSARAIKSFGMAELEARKLKYPNTGTEALLMGILVEGTSEAAKFLRANGITLFKVREETVNLLGKSDLYFFSPEHPPLTEPAQRALDWAVDEKIKSGKDGEITTTHMLLGIWSEKESAGHKILAALGFNDEKASELAKFLS >DRNTG_05623.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:17247315:17247799:-1 gene:DRNTG_05623 transcript:DRNTG_05623.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISNYSGRIRSALREHISKNGGRNSLLVAPMPTASTSQILGNNECFEPYTYNIYSRGVLSGEFVVVNKHLLHDLTKMGIWSPVLKNQIIYENGFVLKIP >DRNTG_01345.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:199576:204242:-1 gene:DRNTG_01345 transcript:DRNTG_01345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPGLYSDIGKTAKDLLTKDYNFDHKLTISTQSSSGVALTSGALKKGGLFSFDIGTVYKYKGTTIDVKVDTNSNISSTLTFTDLLPSTKAIATLKLPDYNAGKVEVQYFHDHATVASAVAVKQSPVVDFSATVGAHGVAFGAEAGFDTASGNFTKYSLAIGLTKPDYAVSLILGDMGDSIKGSYLHYLDEKQKSAAVGEVTRKFSTNENTFTVGAAYELDPFMTVKSKLNNSGKLGVALLHKVKPMSTLTISGEFDTKALDKTPKFGLALALKP >DRNTG_17567.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16585507:16585842:1 gene:DRNTG_17567 transcript:DRNTG_17567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLLRHHSLLTPLVKSLMVAMDKGLRRIVEGNVVVVVGRRGCCMSYVTQRLLQGLKANPTMCEVSEGFAVKMILMHKIGKIFRGDDNTSVALLFLVVVIRGKLVQVLISL >DRNTG_09871.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:409940:419624:-1 gene:DRNTG_09871 transcript:DRNTG_09871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAKLLRHLPVESPSSYPSLKKQSDPRHKQNHCNSYCSQPAENCRNRESTRACGNYPRPFGNSARARVLYTPVESPDSSPI >DRNTG_05051.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:257205:258680:1 gene:DRNTG_05051 transcript:DRNTG_05051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFRFSISWTRILPTGSLSGGINRKGVEFYNNLINELLALGMKPYVTIFHWDTPQGLESKYGGFLSRNIVDDYRDFAEVCFKEFGDRVKHWITFNEPYSYCSRAYDIGTFAPGRCSPWAGNCTAGDSGREPYIACHNILLAHGVA >DRNTG_30383.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001569.1:2490:2829:1 gene:DRNTG_30383 transcript:DRNTG_30383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWIAVAYLAPVAAATALFLIYPIGQGSFSDAGVFGGSLFSAMHGSLVTSRETPENESANEGYRFGQ >DRNTG_28591.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7892097:7892708:-1 gene:DRNTG_28591 transcript:DRNTG_28591.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPHIPRHHYKNPYILHKHTSNSKPPSLISHHLEAAMEEDEEEEGEEVEEEDMEEERPPREVMCSSSLHA >DRNTG_28591.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7891749:7892763:-1 gene:DRNTG_28591 transcript:DRNTG_28591.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPHIPRHHYKNPYILHKHTSNSKPPSLISHHLEAAMEEDEEEEGEEVEEEDMEEERPPREVMCSSSLHA >DRNTG_28591.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7891749:7892708:-1 gene:DRNTG_28591 transcript:DRNTG_28591.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPHIPRHHYKNPYILHKHTSNSKPPSLISHHLEAAMEEDEEEEGEEVEEEDMEEERPPREVMCSSSLHA >DRNTG_28591.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7892097:7892763:-1 gene:DRNTG_28591 transcript:DRNTG_28591.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPHIPRHHYKNPYILHKHTSNSKPPSLISHHLEAAMEEDEEEEGEEVEEEDMEEERPPREVMCSSSLHA >DRNTG_34594.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23157841:23160490:1 gene:DRNTG_34594 transcript:DRNTG_34594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPEKLVSFETLITDLEISLQDAEISPENEASPITDLASFRAVGESIWNLTIKWVHIDTGWACKRFSVKRTVHTIDGHLRKFCIHHNALPPDERTTDPRSCVIVGCVTRVEYKL >DRNTG_09775.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:20106775:20113497:-1 gene:DRNTG_09775 transcript:DRNTG_09775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRMPAKFYGICLRLYGRPRIEEGELLTPKYPIARLTHNPLQSQHAYARYKYARKVLLKRFTTISMVPIIQIKNIMAEQKIVSSELCKKGIEAGFASLEILNEENEAMVNMEVPTCGKVVPKAGMKLNSQEEVYNLYIEYARQGVFPNSHHRLCLWHIVKKVLEKIGRLNEYKAIKKVLKSIIYEAMDIQEFEDTRLKMKSHLKGDAQTPDGTQELCTQESVQNTPSTILTMTDRAQ >DRNTG_21708.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:896126:897702:1 gene:DRNTG_21708 transcript:DRNTG_21708.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKRGRRGRRNVNENLLISCPRVYNPYQERWIRAWCYLCDTKFKDVKGLTSHRTAIHSKYTFFCVKCNQSFETEASAQDHILE >DRNTG_21708.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:896126:898138:1 gene:DRNTG_21708 transcript:DRNTG_21708.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKRGRRGRRNVNENLLISCPRVYNPYQERWIRAWCYLCDTKFKDVKGLTSHRTAIHSKYTFFCVKCNQSFETEASAQDHILEVPQDRVNVFREIGLLP >DRNTG_03421.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3240610:3252387:1 gene:DRNTG_03421 transcript:DRNTG_03421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEASTSSVNQSSQYEATSSSKFKRVKRGDKYDDTSLSMAVESIANAIMQSTNAMIQASNTMKEKFTNALNQCIANQDPTKDCDVWGMLADIGITQPLLIKAYVFLVKDPKTLEGVIRCPHEHRKSLLLDLMGYDHEHHN >DRNTG_25364.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24187221:24191611:-1 gene:DRNTG_25364 transcript:DRNTG_25364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSATEKKRVRRAPQTGARDTPETAPSKKAAKTKDEYQLFAEKVRDNKQLESRWAIMQETRMEYFRGKDFTVFLKNHPELREVLGSDKDLEVDDIVNTLLMKNLLVRCDRVVKTVRPGKKKLSSWPAHLEIYPEQVFSENDAFFAWTFMKRQTLWQAILAFSWPLVALAMCLFPVYPYQCKIVVLYTCAGALLFIVSMLIIRGAIFGVLYIILGKRIWIFPNINAEETTFRELIRFWPKKDEGERPKWTSRLTYAVGAVLIILLLRHHAPDEAARARYQKQVSNIIDDFLEWSPKLALSGMMDKQQTANATEANPPTDVSGTATEEVVEHLNTDPSGAAGTEHIVEDLNDEIRSDRFADEKTVDN >DRNTG_19373.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22300970:22301683:1 gene:DRNTG_19373 transcript:DRNTG_19373.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLRKHSRPRLSTCDQNYSHSLADLEPKHHKN >DRNTG_19373.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22300970:22301683:1 gene:DRNTG_19373 transcript:DRNTG_19373.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQYLIPKSMNPLRKHSRPRLSTCDQNYSHSLADLKSSKWQQW >DRNTG_19373.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22300970:22301683:1 gene:DRNTG_19373 transcript:DRNTG_19373.2 gene_biotype:protein_coding transcript_biotype:protein_coding NPRNGNNGDDCGTSETPSGEAIAVADQIQVEEER >DRNTG_19918.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12572737:12578389:-1 gene:DRNTG_19918 transcript:DRNTG_19918.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLAFYISVLGVHAKMMTVSTFASAIIQSPPQELVFLVSVCIHFST >DRNTG_33766.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32489497:32490587:-1 gene:DRNTG_33766 transcript:DRNTG_33766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRTQSSCIEAEKAMKLKVAMNETSTPPKITYFTLPESRPEPPGMATPPLRPPGAVPFLWEEAPGKPKAKRELREVRLPPRPVIKSLQPPPRKVAEMKGAINVTSLTTVLDGPYVLKTNNKSSFQSSSFRFLSGSSNRKGDKYSESNNTPSSCSSFSSSSSSSSSSFSSSYSSCMFYPLSSSSSQICEDDEVVSEKRKEGKEITEVTISRMMRRNRSLTSMSLHSSSHFWACISGGMKHWPWKRNHKA >DRNTG_30384.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001569.1:5171:5789:-1 gene:DRNTG_30384 transcript:DRNTG_30384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSVLYAEPRAVVGPKPMCYNAEPRALRLIYRSSQLSSERMGSLVGSSVYSTSIHHFEPYTEGFSVPAPSTYTAVEAPKGEFGVFLVSNGSNRPYRRKIRAPGSAHSQGLDSMSKHHMPADVVTIIGTQDIVSGEVDR >DRNTG_15717.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4348857:4349506:1 gene:DRNTG_15717 transcript:DRNTG_15717.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLAFVLRLNLRLHLADSLFIIIEECFSRIIGRVRWMPMMVLSTRLCPLGIEGSFFALLMCIDSVGSLLSKIVGALVLHALHVTRTDFDNLWLAVLIRNLLRFGILGLIFLIPDVNQNEVLISEEVVKVHDVGDEEMESLQLVRMEGKEQVVEI >DRNTG_15717.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4346850:4349506:1 gene:DRNTG_15717 transcript:DRNTG_15717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVAIADVTIDACIARNSIEKPELAADMQSLCGFVSSIGALVGYSTSGIFVHKLGPQAALGLMAMPALLLILLGFVIFELKARLHPSEKKNALDKAMVAVKGMGRTVRCAQVWKPSLYMFLSLALSFSTHEGHFYWYTSAKGGPAFSQEFIGIIYAIGAIASIVGVVIYHKFLKEYPFRKLLFYVQILYGISGMLDLAFVLRLNLRLHLADSLFIIIEECFSRIIGRVRWMPMMVLSTRLCPLGIEGSFFALLMCIDSVGSLLSKIVGALVLHALHVTRTDFDNLWLAVLIRNLLRFGILGLIFLIPDVNQNEVLISEEVVKVHDVGDEEMESLQLVRMEGKEQVVEI >DRNTG_15717.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4347853:4349506:1 gene:DRNTG_15717 transcript:DRNTG_15717.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPALLLILLGFVIFELKARLHPSEKKNALDKAMVAVKGMGRTVRCAQVWKPSLYMFLSLALSFSTHEGHFYWYTSAKGGPAFSQEFIGIIYAIGAIASIVGVVIYHKFLKEYPFRKLLFYVQILYGISGMLDLAFVLRLNLRLHLADSLFIIIEECFSRIIGRVRWMPMMVLSTRLCPLGIEGSFFALLMCIDSVGSLLSKIVGALVLHALHVTRTDFDNLWLAVLIRNLLRFGILGLIFLIPDVNQNEVLISEEVVKVHDVGDEEMESLQLVRMEGKEQVVEI >DRNTG_19240.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18882351:18886328:1 gene:DRNTG_19240 transcript:DRNTG_19240.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP DNA-binding protein, Disease resistance, Vascular developmen [Source: Projected from Oryza sativa (Os03g0336200)] MQSTNGDPSNRTVDDPQLPPPPNGVGMRGPHHRRARSEMAFRFTDELDLGAAGDPGGSFEEIGSEDDLFCTFMDIEKIGCKLEGSGSGSEGGICGDPTPESSGGVEERRNGNAGGVVPVRPKHRHSSSVDGVSLASAGAVKGDGMFGEVMEAKKAMTPDQLAELAAIDPKRAKRILANRLSAARSKERKARYISELERKVQTLQTEATTLSAQLTLFQVWFFQFLMKKGLRYQSGT >DRNTG_19240.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18882351:18886328:1 gene:DRNTG_19240 transcript:DRNTG_19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP DNA-binding protein, Disease resistance, Vascular developmen [Source: Projected from Oryza sativa (Os03g0336200)] MQSTNGDPSNRTVDDPQLPPPPNGVGMRGPHHRRARSEMAFRFTDELDLGAAGDPGGSFEEIGSEDDLFCTFMDIEKIGCKLEGSGSGSEGGICGDPTPESSGGVEERRNGNAGGVVPVRPKHRHSSSVDGVSLASAGAVKGDGMFGEVMEAKKAMTPDQLAELAAIDPKRAKRILANRLSAARSKERKARYISELERKVQTLQTEATTLSAQLTLFQRDTTGLTSENAELRIRLQAMEQQAQLRDALNEALKQEVERLKIATG >DRNTG_05185.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22580004:22585944:1 gene:DRNTG_05185 transcript:DRNTG_05185.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDPWRFLVAGLRTGPGAQTNGRRPTGREPHRPARPQNLENTLLQDTMRRPKATSQVIRVVRVQFSAEGTFLGVVNSSYVWVVPAPT >DRNTG_04025.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16945834:16947473:1 gene:DRNTG_04025 transcript:DRNTG_04025.1 gene_biotype:protein_coding transcript_biotype:protein_coding TVGREVSQSPNFQKEEPSCFLLRWPKPPREK >DRNTG_01136.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5223683:5233879:-1 gene:DRNTG_01136 transcript:DRNTG_01136.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(Oxysterol binding protein)-related protein 1D [Source:Projected from Arabidopsis thaliana (AT1G13170) UniProtKB/TrEMBL;Acc:F4HP28] MLNGRFSDFYSVMSEGSASDSDADNESQGADVETDEEDGIYFDTSDFLSSESLRSGSYRSRDSMGNNCFYLPYGRDSTSSDVMHGNSVGVKIPEYPFVKRRKKLPEPKEKEKPVGLWSIIKENIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDRALEWGKQGNNLMRILNIAAFAVSGYASTEGRQCKPFNPLLGETYEGDYPDKGLRFFSEKVSHHPMVVACHCDGMGWKFWGDSNLRGKFWGRSIQLDPIGVLTLQFEDGEKFQWSKVTTSIYNIILGKIYCDHYGTMRIKGSGQYSCKLKFKEQSIIDRNPHQVHGFVQDNKTGNKVAMLIGKWDEAMYYVLGDPSTKPKGYDPMSEAVLLWERHKTVNQTRYNLTPFAISLNELAPSLLEKLPPTDSRLRPDQRHLENGEYELANAEKLRLENSQRQARRMQEKGWQPRWFRKDSEDDCYRYVGGYWEAREQRKWDGIPDIFRQHTTQIYVE >DRNTG_01136.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5223683:5233396:-1 gene:DRNTG_01136 transcript:DRNTG_01136.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(Oxysterol binding protein)-related protein 1D [Source:Projected from Arabidopsis thaliana (AT1G13170) UniProtKB/TrEMBL;Acc:F4HP28] MLNGRFSDFYSVMSEGSASDSDADNESQGADVETDEEDGIYFDTSDFLSSESLRSGSYRSRDSMGNNCFYLPYGRDSTSSDVMHGNSVGVKIPEYPFVKRRKKLPEPKEKEKPVGLWSIIKENIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDRALEWGKQGNNLMRILNIAAFAVSGYASTEGRQCKPFNPLLGETYEGDYPDKGLRFFSEKVSHHPMVVACHCDGMGWKFWGDSNLRGKFWGRSIQLDPIGVLTLQFEDGEKFQWSKVTTSIYNIILGKIYCDHYGTMRIKGSGQYSCKLKFKEQSIIDRNPHQVHGFVQDNKTGNKVAMLIGKWDEAMYYVLGDPSTKPKGYDPMSEAVLLWERHKTVNQTRYNLTPFAISLNELAPSLLEKLPPTDSRLRPDQRHLENGEYELANAEKLRLENSQRQARRMQEKGWQPRWFRKDSEDDCYRYVGGYWEAREQRKWDGIPDIFRQHTTQIYVE >DRNTG_23026.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3219242:3225698:-1 gene:DRNTG_23026 transcript:DRNTG_23026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAIEYVKQAVQEDNAGNYVKAFPLYMNALEYFKTHLKYEKNPKIKEAITQKFTEYLRRAEEIRAVLDDGGTGPAANGDAAVATRPKTKAKGGEGGDGGEDPEQAKLRAGLTSAIISEKPDVKWSDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVSNLFQMARENAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGNNDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLTESDFEHLARRTEGFSGSDIAVCVKDVLFEPVRKTQDAMFFTKTPNGMWMPCGPKQPGAVQTTMQDLAAKGLAAQILPPPITRTDFDKVLARQRPTVSKADLEVHERFTKEFGEEG >DRNTG_08900.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27541048:27542921:1 gene:DRNTG_08900 transcript:DRNTG_08900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALAHVISSSQTAGGIRSGEEGSSVGEEVQATTLPLPPSSLPVGTIVSHHMEPTQPLEDQGNVRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEGAAIAYDEAALKFKGTKAKLNFPERVQGRTDLGFLVSKDIPQRTIQEQPIQPPSSFPDLLQYAQLLQSSGDEDLHNVASSLSFFSSGSSQTSHSSQEYIHFGSSTSSTSWPHEDQQHQKDKDKDVS >DRNTG_08900.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27541212:27542778:1 gene:DRNTG_08900 transcript:DRNTG_08900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALAHVISSSQTAGGIRSGEEGSSVGEEVQATTLPLPPSSLPVGTIVSHHMEPTQPLEDQGNVRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEGAAIAYDEAALKFKGTKAKLNFPERVQGRTDLGFLVSKDIPQRTIQEQPIQPPSSFPDLLQYAQLLQSSGDEDLHNVASSLSFFSSGSSQTSHSSQEYIHFGSSTSSTSWPHEDQQHQKDKDKDVS >DRNTG_08900.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27541102:27542921:1 gene:DRNTG_08900 transcript:DRNTG_08900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALAHVISSSQTAGGIRSGEEGSSVGEEVQATTLPLPPSSLPVGTIVSHHMEPTQPLEDQGNVRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEGAAIAYDEAALKFKGTKAKLNFPERVQGRTDLGFLVSKDIPQRTIQEQPIQPPSSFPDLLQYAQLLQSSGDEDLHNVASSLSFFSSGSSQTSHSSQEYIHFGSSTSSTSWPHEDQQHQKDKDKDVS >DRNTG_08900.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27541102:27543024:1 gene:DRNTG_08900 transcript:DRNTG_08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALAHVISSSQTAGGIRSGEEGSSVGEEVQATTLPLPPSSLPVGTIVSHHMEPTQPLEDQGNVRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEGAAIAYDEAALKFKGTKAKLNFPERVQGRTDLGFLVSKDIPQRTIQEQPIQPPSSFPDLLQYAQLLQSSGDEDLHNVASSLSFFSSGSSQTSHSSQEYIHFGSSTSSTSWPHEDQQHQKDKDKDVS >DRNTG_22973.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16197191:16198343:1 gene:DRNTG_22973 transcript:DRNTG_22973.2 gene_biotype:protein_coding transcript_biotype:protein_coding NFTFIKNDKLRVTVRCAAEECQWRVHASKEGIHDTFRLKTMRTTHICGGGIGTTTHPKGSKKWISEHVIHKLRETPL >DRNTG_22973.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16197191:16199016:1 gene:DRNTG_22973 transcript:DRNTG_22973.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFTFIKNDKLRVTVRCAAEECQWRVHASKEGIHDTFRLKTMRTTHICGGGIGTTTHPKGSKKWISEHVIHKLRETPL >DRNTG_17085.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17298330:17299348:-1 gene:DRNTG_17085 transcript:DRNTG_17085.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPKVFFDVTIDGAPAGRIVMELFADVVPKTAENFRALCTGEKGMGKSRKPLHYKGSSFHRVIPKFMCQGGDFTDGDGTGGESIYGAQFPDENFSLTHTSPGVLSMANAGPDTNGSQFFICTAVTAWLDGRHVVFGHVVEGMDVVKKIERVGSLSGATSKIVTIADCGQLC >DRNTG_17085.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17297951:17299348:-1 gene:DRNTG_17085 transcript:DRNTG_17085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPKVFFDVTIDGAPAGRIVMELFADVVPKTAENFRALCTGEKGMGKSRKPLHYKGSSFHRVIPKFMCQGGDFTDGDGTGGESIYGAQFPDENFSLTHTSPGVLSMANAGPDTNGSQFFICTAVTAWLDGRHVVFGHVVEGMDVVKKIERVGSLSGATSKIVTIADCGQLC >DRNTG_12433.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000549.1:5233:6086:1 gene:DRNTG_12433 transcript:DRNTG_12433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKLSKLAYALSNSNKESTSSVNSRMAGSLIVSNCRQPPSETRSSISSVNSSPC >DRNTG_05646.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17959729:17966198:-1 gene:DRNTG_05646 transcript:DRNTG_05646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAKRTIQLSALAEDLEAIVPTAPPPGGETPAPADFEVLQHRLVDIGSKVDEMGRRVDEVESFFERKKQLSDSGKKTAGKGKGRKVRIKVSEDEIDAEFMGVGISGAAPTMRMQEIIRRFGRILNQILQHQWAESFMDPVDVEGLQLHDYYEIIKKPMDFNTIKTRMEA >DRNTG_05646.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17959729:17962928:-1 gene:DRNTG_05646 transcript:DRNTG_05646.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLLRKFEDKWLHLLPMVLKEERKQEESQALAKVNRQIAEEAAIIKKAHDTNNEVSLSYTLVQH >DRNTG_13948.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21296723:21300883:-1 gene:DRNTG_13948 transcript:DRNTG_13948.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sialyltransferase-like protein 2 [Source:Projected from Arabidopsis thaliana (AT3G48820) UniProtKB/Swiss-Prot;Acc:Q8RY00] MEKLALVLPETPPYVPRQFGRCAVIGNSGDLLKTNFGAEIDGYDAVFRENGAPIQNYTQYVGRKCTFRLLNRGSAKALDKVADLDDTGKEVLIIKTTIHDIMNKMIREVPISNPVYLMLGASFGSAAKGTGLKALEFALSICDSVDMYGFTVDPGYKEWTRYFSESRQGHTPLHGRAYYQMMECLGLIKIHSPMRTDLNRKVNWLPSQTTLNAARMASEKLLRVGAGISDPLSACSIIKKRGKAKTPSMPGFRDAATKHQKYVRRATLYPLEHSPGHGMLCVIPEV >DRNTG_13948.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21296811:21300883:-1 gene:DRNTG_13948 transcript:DRNTG_13948.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sialyltransferase-like protein 2 [Source:Projected from Arabidopsis thaliana (AT3G48820) UniProtKB/Swiss-Prot;Acc:Q8RY00] MLGASFGSAAKGTGLKALEFALSICDSVDMYGFTVDPGYKEWTRYFSESRQGHTPLHGRAYYQMMECLGLIKIHSPMRTDLNRKVNWLPSQTTLNAARMASEKLLRRVGAGISDPLSACSIIKKRGKAKTPSMPGFRDAATKHQKYVRRATLYPLEHSPGHGMLCVIPEV >DRNTG_13948.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21296811:21300883:-1 gene:DRNTG_13948 transcript:DRNTG_13948.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sialyltransferase-like protein 2 [Source:Projected from Arabidopsis thaliana (AT3G48820) UniProtKB/Swiss-Prot;Acc:Q8RY00] MEKLALVLPETPPYVPRQFGRCAVIGNSGDLLKTNFGAEIDGYDAVFRENGAPIQNYTQYVGRKCTFRLLNRGSAKALDKVADLDDTGKEVLIIKTTIHDIMNKMIREVPISNPVYLMLGASFGSAAKGTGLKALEFALSICDSVDMYGFTVDPGYKEWTRYFSESRQGHTPLHGRAYYQMMECLGLIKIHSPMRTDLNRKVNWLPSQTTLNAARMASEKLLRRVGAGISDPLSACSIIKKRGKAKTPSMPGFRDAATKHQKYVRRATLYPLEHSPGHGMLCVIPEV >DRNTG_13948.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21296723:21299110:-1 gene:DRNTG_13948 transcript:DRNTG_13948.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sialyltransferase-like protein 2 [Source:Projected from Arabidopsis thaliana (AT3G48820) UniProtKB/Swiss-Prot;Acc:Q8RY00] MLGASFGSAAKGTGLKALEFALSICDSVDMYGFTVDPGYKEWTRYFSESRQGHTPLHGRAYYQMMECLGLIKIHSPMRTDLNRKVNWLPSQTTLNAARMASEKLLRYIIYMHFDLCQLILMVEGVIFELQ >DRNTG_05501.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30214962:30220662:1 gene:DRNTG_05501 transcript:DRNTG_05501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAPVWTNTAPGSGAPFRKTQSSVTSSPPAPTSTSRYTQLIMEALKIMPEPEGIDTGAIYRYIEQKIPVQQNFRRLLSSKLRRLAAQNKIAKGLKGYMLKESFATKTPTPRQKDLTHHRPKMPHISGFCRTTNAIEEASITAAYKIADAEAKAYLASEAIKEAEKVSRMAEETDSLLQIAKEIYERCGRGEIVTMA >DRNTG_17238.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16693988:16694194:-1 gene:DRNTG_17238 transcript:DRNTG_17238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPIAIEGTAAVGRAVTIEGTVVVTGEMGVG >DRNTG_30496.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:697600:701856:1 gene:DRNTG_30496 transcript:DRNTG_30496.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAAAIPRALLPFGRRQLSPSLASRPRFSSPTPFYLLALAPKPPRFPFLVASAAASFDDLDADGESQLRSSKKAILSNLIHEIEPLDVSLIQKDVPPNTIDAMKRTISSMLGLLPSDQFGVLVEAFWDPLFKLLVSSMMTGYTLRNAEYRLCLERSLGVCEELPDSQTLENTADDDQITTPDGTLTTIKVSEEQELLCNSVKNEEESVCSSVGVEGLGEMTPEAQEYILYMQSRLSSMEKELHDIKRKNAALQMQHFVGEEENELLEYLRSLQPEKVVELSEPTCPGLQESINSVAHGLLATLSPKIHTKAPLQSENTTSTSTLNIGKDDCPELVENTSLQFQPLISISRDYLARLLFWCMLLGHYLRGLEYRLELVQILRISGNVELISQDSDCVS >DRNTG_11451.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000506.1:14729:16407:1 gene:DRNTG_11451 transcript:DRNTG_11451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNGLVMVDGDYWQHHRHIITPAFSPANLNGMVSLMVESTTSMIDEWSKRVRSGESEIDVEKYIIRNAAEIIAKTSFGISEDEGKIVFEKLQAMQTMLFKSQRFVGVPFSKLLSPMKSYEAWKLGKEIDHLLLSIIKSRKENELANGTSTAHQDLLGILLARNQENTGRERKLTARELVDECKTFFFGGHETTALALTWTLFLLALYPQWQDILRDEIIEVFNGDHHSLDSTILSKLTKMGWVLNEVLRLYSPAPNVTRQAIKDIKVGNKMVIPKGTNMWIDVVAMHHDEELWGDDVNEFRPERFKESNNGGCKHRMGYLPFGFGGRLCVGRNLTMMEYKIVLTLLLSKFSFKVSPSYLHCPRYMLSLRPSHGVPLILEPIQ >DRNTG_30388.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5753456:5763372:1 gene:DRNTG_30388 transcript:DRNTG_30388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSSWRSLFLRIGDKCTEYGGSTDHKEHVDTCYGVIWREMEHSKEEIFKLFLECAEQLPHKIPFYAVLIGLINLEDEDFAKRVVDASQTILQEALFSGNCDRIRIIMRFLTGLMCSKVLLPGSIIEVFEKLLSFASKVVDDEGENPWQSCADFYVTCILSCLPWGGAELSEQVPDEVDSVMVRVESYLSMRRHSGDAGFRVFELDEAKHSDNKDFLEDLWDRIQTLSKNGWKADSVPRPHLSFEAQLVAGKSHNLSPICCPELPAFSSSPSSNHKAKLKYPQRLCRLNIFPPNKAEV >DRNTG_00427.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21934134:21937529:1 gene:DRNTG_00427 transcript:DRNTG_00427.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEVRLVQGSVLKKVVEAIRELVTDANFDCSSTGLSLQAMDSSHVALVALLLRSDGFEHFRCDRNVSMGMNLANVSKILRTAGNDDIITLKADDDGDVLTFMFESPNQDRISDIEMKLMDIKSEHLGIPDAEYEAVVKMPSQEFLRICKDLSNIGDTVVISVTKEGVKFSTRGDIGNANIVCRQNTTVDKPEDSTTIQMKEPVSLMFALRYMNSFTKATSLSSSVTISMSSDLPIVVEYKIADMGYLRFYLAPKIEDDEDQA >DRNTG_07036.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3531700:3535420:1 gene:DRNTG_07036 transcript:DRNTG_07036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRMKEKFDKYWRQYSMVLAFGAILDPRIKLSMLEYFYGKVESDSTKCQEKVSLVRTKLYTLFEHYSNANKSSPSQPQSSSIITPTSTQGGGGIKSKDKRIFDEIKAFESQSITSAGKSQLDLYLEEPKLEFAYYEDLDVLEYWKNHKHRFPILALMASDVLAIPITTVASESAFSIGACVLNKYRSCTLPEKVQALICTRNWLHGYNI >DRNTG_25254.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19305748:19308054:-1 gene:DRNTG_25254 transcript:DRNTG_25254.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbQ-like protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G01918) UniProtKB/Swiss-Prot;Acc:Q2V4B2] MWMRIDKQNHSKIYSRKQMLSPMALISSSSGFFVFPANSKPFKAPHEFQIRFSRRTATTATVLLVNEAFSYSNKALSFELQFTVPDQTPEEAYAGIRSHAQDLLQIKVLIDLQSWKEAQKELRRSSAYLKQDLYTVIQSRPGSERPLLRKLYSNLFNNVSRLDYAARSKDAAVVQECYDNIVTAIDEIFARI >DRNTG_25254.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19305748:19308054:-1 gene:DRNTG_25254 transcript:DRNTG_25254.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbQ-like protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G01918) UniProtKB/Swiss-Prot;Acc:Q2V4B2] MWMRIDKQNHSKIYSRKQMLSPMALISSSSGFFVFPANSKPFKAPHEFQIRFSRRTATTATVLLVNEAFSYSNKALSFELQFTVPDQTPEEAYAGIRSHAQDLLQIKVLIDLQSWKEAQKELRRSSAYLKQDLYTVIQSRPGSERPLLRKLYSNLFNNVSRLDYAARSKDAAVVQECYDNIVTAIDEIFARI >DRNTG_01065.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29884277:29887302:-1 gene:DRNTG_01065 transcript:DRNTG_01065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVMHQEIQDKLFDEIQGVVGSEEAEVKEEELQRMPYLKAVVLEGLRRHPPGHFLLPHIVTKDVLLNGYVIPKGSEVNFTVADIGTADDIWDKPMEFIPERFMEGGEGEGVNITGKWETKMMPFRIGRRICPGAGLGLLHLKYFVANLIKKFKWRNVDGEKINLSEKMEFTVAMEKPLHARISIRMEV >DRNTG_01065.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29885455:29887302:-1 gene:DRNTG_01065 transcript:DRNTG_01065.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLLLIILPTLIFIIALKLITTISKPTKNTATTTTNLPPGPTFISIIGNLLLLRKNLLHIKPMLHSLRAKYSPIFSLPLTSHPSILISDHTLIHEALIQKGAVFADRPPGLMTNNQLRVLSRATFAATSSLRSSILVASSFSPQLAAAASTSSSMISNLSPLALAQAPSLL >DRNTG_33555.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28471376:28472466:1 gene:DRNTG_33555 transcript:DRNTG_33555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQHYSEGTSFSILFPMTTNSHPKYYYSSSSSLSSMDTSSSSSSVDCTLSLGTPSTRQSDHANANSNGTSTTSKLPINGYWDFLTNSSKPASRDHLLHARRCANCDTTSTPLWRNGPKGPKSLCNACGIRYKKEERRAIVSSTSSTSSCSSVSQSGLNEKVMSYGYQQAVQQSPWGCYGASGTGVPISMFSGNNDDDDEEDDQGYLSWRLNMVQPSSSSSSQFHHVRDNRPCSLFQYQ >DRNTG_26953.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30163067:30165688:-1 gene:DRNTG_26953 transcript:DRNTG_26953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDNSDEITSMEEQVPDLKPERLELQLICDKVKTHFEKNSEASVGELAGKIDQLVDKVIGLELTVSSQNVEIRKLQSEVDELQKHMQCLEMEKKTLTDNSNSSIERLNQAEEMLQRIKELEKCVKDAKNVLSSHYIEACHSLNDLSEKLQCSECQESKSSCLSSAEIPDDKDSCSLDTDKNVKFSDDSRNLRNDTDDDRFKDNVSSQAVDIIQSNDAHDDTEDDLWNLQLLLSKGLEDREKVLLAEYTGILRNNKDIKKKLSEVEKKYQDYQSEIIVQVKELKSSNAMKDEEIRLLREKLILLQDCSNESKNATLANGGNPFLGKLESMSTFSKFRSRSTKQQDSADTANLNVEEINSCRIGEPPAVSEIEEKFRRDIDCVLEENLEFWLRFSTTFHHAQTLQTRFDDLQAEIVKQKNIKTQPDSNDGSSADQSQISGSKPPFENRLRELKTDVQVWLEQNAMLKGELKQRFSSLCEIQDEISRVLKESSEGGEVQFTQYQAAKFQGEVLNMQQENNKVSDELQAGQDHVRALQVEIEKILSKLQEKFELSGPRSSHHSHPFRHFSSKAKIPLRSFLYGVKPKKPSIFACVSPALQKQYSDLQAGLPT >DRNTG_35031.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15314037:15314560:-1 gene:DRNTG_35031 transcript:DRNTG_35031.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGERMRMTSPLLMEKREERAVAREETERQRELKEMHWPEAASMKAVVSEWWWEKMKAVTER >DRNTG_29637.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3002424:3007783:1 gene:DRNTG_29637 transcript:DRNTG_29637.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A)-specific ribonuclease PARN [Source:Projected from Arabidopsis thaliana (AT1G55870) UniProtKB/Swiss-Prot;Acc:Q9LG26] MRKQWLLCALPKTLTLARRSHPFSSSSSGGGVAVKKVTKANFESTLEDLRTRVREADFVAIDLEMTGVTSAPWRECFEFDRSDVRYLKVKDSAEKFAVVQFGVCPFRWDSSRSSFLAYPHNFFVFPRKELPLDGPAYEFLCQTTSMNFLAKYQFDFNACIHEGISYLSRAQEAEALSKLSLAYENELTNSTNTSQENLEIPIVSTADLLFTERIKNRFHEWRNGIMKNSCTDHYLKEEPGCVMSQFQTVFFKMRPAVELNGFNSHQMKLIQLVLRKHFKDLVFIRTPGEEVVFEKKVVYTDSEEDKALLMREVLEDLHRSKEARVRSAVGLRHVIDCLVSEQKLIVGHNCFLDIAHVYSKFFGPLPSSLMEFALSVHENFPNIVDTKHFLNSSHVLQYLMKKSSKSLSSAFSLLCPKVSSYSVKSAHASYVKFEVEEDEAGSSNWTSGAKHEAGFDAFMTGCLFAQECAHLGIKFDLSSPLTNLATNEKLKGYINLLYPSWNSGTVIDLTTGIEKPESNYKRKYPTVLFSNIVLLWGFPSKFKPKDLKDCITKIFGASSVTSIFFLDYTAALIQFSKKEFVNDFLMLKDTLERNPDDPISVLNPLAGLLEGDNTRAADYDTYKTICSSSASKVLFADQAEAAGIRCKTLFSSQSPEAGSSAETRPYSHHVSCEDIIDTLYAARSVS >DRNTG_29637.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3002424:3007783:1 gene:DRNTG_29637 transcript:DRNTG_29637.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A)-specific ribonuclease PARN [Source:Projected from Arabidopsis thaliana (AT1G55870) UniProtKB/Swiss-Prot;Acc:Q9LG26] MKNSCTDHYLKEEPGCVMSQFQTVFFKMRPAVELNGFNSHQMKLIQLVLRKHFKDLVFIRTPGEEVVFEKKVVYTDSEEDKALLMREVLEDLHRSKEARVRSAVGLRHVIDCLVSEQKLIVGHNCFLDIAHVYSKFFGPLPSSLMEFALSVHENFPNIVDTKHFLNSSHVLQYLMKKSSKSLSSAFSLLCPKVSSYSVKSAHASYVKFEVEEDEAGSSNWTSGAKHEAGFDAFMTGCLFAQECAHLGIKFDLSSPLTNLATNEKLKGYINLLYPSWNSGTVIDLTTGIEKPESNYKRKYPTVLFSNIVLLWGFPSKFKPKDLKDCITKIFGASSVTSIFFLDYTAALIQFSKKEFVNDFLMLKDTLERNPDDPISVLNPLAGLLEGDNTRAADYDTYKTICSSSASKVLFADQAEAAGIRCKTLFSSQSPEAGSSAETRPYSHHVSCEDIIDTLYAARSVS >DRNTG_29637.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3002424:3007783:1 gene:DRNTG_29637 transcript:DRNTG_29637.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A)-specific ribonuclease PARN [Source:Projected from Arabidopsis thaliana (AT1G55870) UniProtKB/Swiss-Prot;Acc:Q9LG26] MKNSCTDHYLKEEPGCVMSQFQTVFFKMRPAVELNGFNSHQMKLIQLVLRKHFKDLVFIRTPGEEVVFEKKVVYTDSEEDKALLMREVLEDLHRSKEARVRSAVGLRHVIDCLVSEQKLIVGHNCFLDIAHVYSKFFGPLPSSLMEFALSVHENFPNIVDTKHFLNSSHVLQYLMKKSSKSLSSAFSLLCPKVSSYSVKSAHASYVKFEVEEDEAGSSNWTSGAKHEAGFDAFMTGCLFAQECAHLGIKFDLSSPLTNLATNEKLKGYINLLYPSWNSGTVIDLTTGIEKPESNYKRKYPTVLFSNIVLLWGFPSKFKPKDLKDCITKIFGASSVTSIFFLDYTAALIQFSKKEFVNDFLMLKDTLERNPDDPISVLNPLAGLLEGDNTRAADYDTYKTICSSSASKVLFADQAEAAGIRCKTLFSSQSPEAGSSAETRPYSHHVSCEDIIDTLYAARSVS >DRNTG_29888.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:449230:454730:1 gene:DRNTG_29888 transcript:DRNTG_29888.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSPITLFRRKRGVKDRREWMNLSVDDFRDDAVVMLGWKGRPQSLSLLYRANRVSPSFVEENPALKVTRIWQGIQMSIHGRLVH >DRNTG_12709.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13890691:13891215:-1 gene:DRNTG_12709 transcript:DRNTG_12709.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCSSTVHNRPRNQRNRESTRACGNYPRPCENSAWARGASTSVESPDSSLFKANSAPNLVFGEVLRLRHRASFGRRLLGELSSAPIRRGVS >DRNTG_07384.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20573522:20575754:1 gene:DRNTG_07384 transcript:DRNTG_07384.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGKYVRDEQKAGRRTPAWCDRILTYGKGMKLMDYKRSELKFSDHRPVTAVLMAEVEVFSHRKLQRALTLTDKEIEDGDILADLDIHAQMGHIML >DRNTG_07384.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20573522:20575754:1 gene:DRNTG_07384 transcript:DRNTG_07384.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYQTLFCFICSHLTSGEKEGDEHKRNADVHEIHKRTQFNRVSDIGLPKTIHDHERIIWLGDLNYRINLPYEKTHELIAKREWFKLAEKDQLKKELKKGRAFDGWSEGVIDFPPTYKFEMNSGKYVRDEQKAGRRTPAWCDRILTYGKGMKLMDYKRSELKFSDHRPVTAVLMAEVEVFSHRKLQRALTLTDKEIEDGDILADLDIHAQMGHIML >DRNTG_07384.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20573522:20575754:1 gene:DRNTG_07384 transcript:DRNTG_07384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGKYVRDEQKAGRRTPAWCDRILTYGKGMKLMDYKRSELKFSDHRPVTAVLMAEVEVFSHRKLQRALTLTDKEIEDGDILADLDIHAQMGHIML >DRNTG_07384.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20573522:20575754:1 gene:DRNTG_07384 transcript:DRNTG_07384.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLDRCHRLSSIEDEFTASEKKLTKTVSSLEKVGLVWPEPPLDLLSHCSSLSSKKSFKSVKSFRSQSSIKFAIQDNIDPSEMSLFAELNLDDMIRRKKRSPFVRIISKQMIGIFISIWVRRSLREHIQNLKVSTVGVGAMGYIGNKVKRVLQFVYWCYNIL >DRNTG_08148.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000367.1:83628:84977:1 gene:DRNTG_08148 transcript:DRNTG_08148.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTKNITSLSLNSVGKGRAKDYSGDTKLEENSKAR >DRNTG_26248.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1822832:1824883:-1 gene:DRNTG_26248 transcript:DRNTG_26248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKREDITNSDTHEMEKSESTQELSENGKASLKDNKEEEMLMLESKHAEKNGENSKEDMQLSMEKKTRTSAKQTPKGKHTVPQPFSLLPERRASKERKSILEQSNPKLSKSLSLRTDPQRCLRTIQTQTSFRA >DRNTG_21283.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27323969:27325685:1 gene:DRNTG_21283 transcript:DRNTG_21283.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKWKKKRMRRLKRKRRKMRQRSK >DRNTG_10678.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13041917:13042158:1 gene:DRNTG_10678 transcript:DRNTG_10678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPPITIFEDKHGVEAVQPLQMPCQSLSKP >DRNTG_04484.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8787560:8790105:1 gene:DRNTG_04484 transcript:DRNTG_04484.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGFNRPDSVNGLILGRFIRTLESVDGREAPPSTDHVSLSTMHCVSVLNVDAGDAPKRRERPRREPLSSTNKFRRESVFELQVDASGNGALTSTENCMAPSHIGLGNIASLSVTSGNLIVEKESCVCSVDDIATCSVEVDVEAYGSNLISSRSDCLPCLNADLQHVALPLTTSAGSIIKEKSFVSGFHGQPRESTISSAKKGVLTPGVDIESNASDSSLTLNSNESMTPLNSRSGNATMFPTSGNTHAEEKSFVPRPGGRPIDQFIQSTSKTVSTSGADFKLFSNLELANSRENLISGDCQSELIVSDSCEGVTNR >DRNTG_04484.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8787040:8790105:1 gene:DRNTG_04484 transcript:DRNTG_04484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSISALCGEPSCEGFLLSQIERFSSMIMFLKEWRQFYYEPKVLNFSYESESGQVSNFSEGITLQQFSSASVSVPEVESLPQNVRSSSSDFVLHVGGSVWALDWCPRTDEKTEGQANCEYLAVAAHPSGASYHKIGVPLVGRGLIQIWCLTSLDKKNELSQAKPKGRGRQKINLKQSETELSHELNQNEFSATRRKRGRPRKRQAEDDALCDSDNKKILHLPKPRGRPRKIEKLSTDDLSRGEILPARPRGRPRKHMNRGFNRPDSVNGLILGRFIRTLESVDGREAPPSTDHVSLSTMHCVSVLNVDAGDAPKRRERPRREPLSSTNKFRRESVFELQVDASGNGALTSTENCMAPSHIGLGNIASLSVTSGNLIVEKESCVCSVDDIATCSVEVDVEAYGSNLISSRSDCLPCLNADLQHVALPLTTSAGSIIKEKSFVSGFHGQPRESTISSAKKGVLTPGVDIESNASDSSLTLNSNESMTPLNSRSGNATMFPTSGNTHAEEKSFVPRPGGRPIDQFIQSTSKTVSTSGADFKLFSNLELANSRENLISGDCQSELIVSDSCEGVTNR >DRNTG_02462.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19461233:19464302:1 gene:DRNTG_02462 transcript:DRNTG_02462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKAIRELDPRRCSEIIDEIVRLEKKLFPKHESLARNFHDELQKTNTGLLYMKFSEEDDGEIVGYVMYSWISSLCASITKLAVKENYRRQGYGEALLKAAIQKCRTRRIQRVCLHVDPTRTPAVSLYQKLGFQIDELVKSYYSSDRNAFRMYVDFTDDS >DRNTG_22861.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4491270:4496550:1 gene:DRNTG_22861 transcript:DRNTG_22861.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT4G02980) UniProtKB/Swiss-Prot;Acc:P33487] MGAVSLSWQVLSFLFLLLLASSDAASSHCAYQGPIVRNISNAFQSNFGRGGLSHITIAGALSHGLKEVEVWLQTFSPGSRTPIHRHSCEEVFIILKGKGTLLLASSSFNHPGKPNEFPIYTNATFIVPVNDPHQIWNTSEDEDLQLLAIVSRPPAKIFIYDDWYMPHTAAKLKFPFDWDEDCYDQPKDEL >DRNTG_34004.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28833601:28835693:1 gene:DRNTG_34004 transcript:DRNTG_34004.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCIIILTITAGIKALRPPNTYTQATSGQLAVLYTGIVLYTIGAGGTRFSMMKLGADQLSNVGDQDVFFNWSFIVLYGAVAIGSTVIVYVEDSISWELGFGICLTVTALAVLSFLLGIKYYLISRPKRNPFMTMARVVVAGVRKRKLALPEEEAAYYRGLFEKTDQPLFSSFSCMNRATLIQQGDVATDGSISRPWSLCSVEDVNDLKTLIRIVPLWTSNVFLSISIATQTSLSVLQALTMDRSLGSHFSVPASSFSIATLLAICLTLFILDRGIYPLCHRLTSYTPTPLQRVGVGQAFNIAAMVASALIEHRRSIIVHEHQAENQPDWIVPMSAFWLVLPYVFIGIGEAFHFPGQIAFYYQEFPKSLKSTAIGIVAVLMSIGFYLSMAVLAVVRRATSWLPDNLNSSRLENVYWMLTLVASINFFYFILCAKFYKKQSDDKHVVGAVN >DRNTG_34004.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28832567:28835693:1 gene:DRNTG_34004 transcript:DRNTG_34004.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLGADQLSNVGDQDVFFNWSFIVLYGAVAIGSTVIVYVEDSISWELGFGICLTVTALAVLSFLLGIKYYLISRPKRNPFMTMARVVVAGVRKRKLALPEEEAAYYRGLFEKTDQPLFSSFSCMNRATLIQQGDVATDGSISRPWSLCSVEDVNDLKTLIRIVPLWTSNVFLSISIATQTSLSVLQALTMDRSLGSHFSVPASSFSIATLLAICLTLFILDRGIYPLCHRLTSYTPTPLQRVGVGQAFNIAAMVASALIEHRRSIIVHEHQAENQPDWIVPMSAFWLVLPYVFIGIGEAFHFPGQIAFYYQEFPKSLKSTAIGIVAVLMSIGFYLSMAVLAVVRRATSWLPDNLNSSRLENVYWMLTLVASINFFYFILCAKFYKKQSDDKHVVGAVN >DRNTG_34004.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28832567:28833293:1 gene:DRNTG_34004 transcript:DRNTG_34004.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFTVYLIKCYNFKRMDAALLTNIMYGTSSFLPLLGAILSDSFFGCFLIVAFSTVASLCVNHINI >DRNTG_34004.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28832567:28835693:1 gene:DRNTG_34004 transcript:DRNTG_34004.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEQDVQTQPHAAHDHESRTPAKQGGWITIPFILGNAFGLALVLSGVMGNFTVYLIKCYNFKRMDAALLTNIMYGTSSFLPLLGAILSDSFFGCFLIVAFSTVASLCCIIILTITAGIKALRPPNTYTQATSGQLAVLYTGIVLYTIGAGGTRFSMMKLGADQLSNVGDQDVFFNWSFIVLYGAVAIGSTVIVYVEDSISWELGFGICLTVTALAVLSFLLGIKYYLISRPKRNPFMTMARVVVAGVRKRKLALPEEEAAYYRGLFEKTDQPLFSSFRYVCDYP >DRNTG_34004.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28832567:28833293:1 gene:DRNTG_34004 transcript:DRNTG_34004.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEQDVQTQPHAAHDHESRTPAKQGGWITIPFILGNAFGLALVLSGVMGNFTVYLIKCYNFKRMDAALLTNIMYGTSSFLPLLGAILSDSFFGCFLIVAFSTVASLCVNHINI >DRNTG_34004.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28832567:28835693:1 gene:DRNTG_34004 transcript:DRNTG_34004.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFKHNHMLLMIMNHAHLQNKECIIILTITAGIKALRPPNTYTQATSGQLAVLYTGIVLYTIGAGGTRFSMMKLGADQLSNVGDQDVFFNWSFIVLYGAVAIGSTVIVYVEDSISWELGFGICLTVTALAVLSFLLGIKYYLISRPKRNPFMTMARVVVAGVRKRKLALPEEEAAYYRGLFEKTDQPLFSSFSCMNRATLIQQGDVATDGSISRPWSLCSVEDVNDLKTLIRIVPLWTSNVFLSISIATQTSLSVLQALTMDRSLGSHFSVPASSFSIATLLAICLTLFILDRGIYPLCHRLTSYTPTPLQRVGVGQAFNIAAMVASALIEHRRSIIVHEHQAENQPDWIVPMSAFWLVLPYVFIGIGEAFHFPGQIAFYYQEFPKSLKSTAIGIVAVLMSIGFYLSMAVLAVVRRATSWLPDNLNSSRLENVYWMLTLVASINFFYFILCAKFYKKQSDDKHVVGAVN >DRNTG_34004.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28832567:28835693:1 gene:DRNTG_34004 transcript:DRNTG_34004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEQDVQTQPHAAHDHESRTPAKQGGWITIPFILGNAFGLALVLSGVMGNFTVYLIKCYNFKRMDAALLTNIMYGTSSFLPLLGAILSDSFFGCFLIVAFSTVASLCCIIILTITAGIKALRPPNTYTQATSGQLAVLYTGIVLYTIGAGGTRFSMMKLGADQLSNVGDQDVFFNWSFIVLYGAVAIGSTVIVYVEDSISWELGFGICLTVTALAVLSFLLGIKYYLISRPKRNPFMTMARVVVAGVRKRKLALPEEEAAYYRGLFEKTDQPLFSSFSCMNRATLIQQGDVATDGSISRPWSLCSVEDVNDLKTLIRIVPLWTSNVFLSISIATQTSLSVLQALTMDRSLGSHFSVPASSFSIATLLAICLTLFILDRGIYPLCHRLTSYTPTPLQRVGVGQAFNIAAMVASALIEHRRSIIVHEHQAENQPDWIVPMSAFWLVLPYVFIGIGEAFHFPGQIAFYYQEFPKSLKSTAIGIVAVLMSIGFYLSMAVLAVVRRATSWLPDNLNSSRLENVYWMLTLVASINFFYFILCAKFYKKQSDDKHVVGAVN >DRNTG_34004.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28832567:28835693:1 gene:DRNTG_34004 transcript:DRNTG_34004.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEQDVQTQPHAAHDHESRTPAKQGGNAFGLALVLSGVMGNFTVYLIKCYNFKRMDAALLTNIMYGTSSFLPLLGAILSDSFFGCFLIVAFSTVASLCCIIILTITAGIKALRPPNTYTQATSGQLAVLYTGIVLYTIGAGGTRFSMMKLGADQLSNVGDQDVFFNWSFIVLYGAVAIGSTVIVYVEDSISWELGFGICLTVTALAVLSFLLGIKYYLISRPKRNPFMTMARVVVAGVRKRKLALPEEEAAYYRGLFEKTDQPLFSSFRYVCDYP >DRNTG_34004.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28833601:28835693:1 gene:DRNTG_34004 transcript:DRNTG_34004.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNRATLIQQGDVATDGSISRPWSLCSVEDVNDLKTLIRIVPLWTSNVFLSISIATQTSLSVLQALTMDRSLGSHFSVPASSFSIATLLAICLTLFILDRGIYPLCHRLTSYTPTPLQRVGVGQAFNIAAMVASALIEHRRSIIVHEHQAENQPDWIVPMSAFWLVLPYVFIGIGEAFHFPGQIAFYYQEFPKSLKSTAIGIVAVLMSIGFYLSMAVLAVVRRATSWLPDNLNSSRLENVYWMLTLVASINFFYFILCAKFYKKQSDDKHVVGAVN >DRNTG_34004.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28832567:28835693:1 gene:DRNTG_34004 transcript:DRNTG_34004.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNRATLIQQGDVATDGSISRPWSLCSVEDVNDLKTLIRIVPLWTSNVFLSISIATQTSLSVLQALTMDRSLGSHFSVPASSFSIATLLAICLTLFILDRGIYPLCHRLTSYTPTPLQRVGVGQAFNIAAMVASALIEHRRSIIVHEHQAENQPDWIVPMSAFWLVLPYVFIGIGEAFHFPGQIAFYYQEFPKSLKSTAIGIVAVLMSIGFYLSMAVLAVVRRATSWLPDNLNSSRLENVYWMLTLVASINFFYFILCAKFYKKQSDDKHVVGAVN >DRNTG_16223.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30779434:30783122:-1 gene:DRNTG_16223 transcript:DRNTG_16223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSSSSMARVKNDAENILATRTFSFARIVKYLWSVLSLLYRFFAFHVSPFWIQLCYFVSLCLLGSLAMMTLKPSKPSFSPRYVDMLYMSASALTVSGLNSVELENFSSSQIVVLTLLMLLGGEAFTSMLSLLFRKLKYNKPENTESRIDANDIELEPRDIPMNTITHVEAGQNIAVIVSSDNSSSSSSSIDKDKKYNSIRYLLFIVFSYIFLIHVSGSIFILLYVSLVSSARDVLNGRGIPKILFSISVTVSSFANAGLIPTNENMVIFKKNPGLLLIIIPQILAGNTLFPVFLRLILVCLAMTVISLIVAQVTLYWAMDWNSAVFDGLTSYQKIVNALFMAVNSRHAGEGTLDLSITAPSVLILFIIVM >DRNTG_14949.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1087879:1091266:1 gene:DRNTG_14949 transcript:DRNTG_14949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDRGDGRTPNQMRPLACSRNLLHRAHGSARWSQGNTIVLAGVYGPKAGTRKGENPELASIEVIWKPKTGQIGERV >DRNTG_14949.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1087879:1089009:1 gene:DRNTG_14949 transcript:DRNTG_14949.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDRGDGRTPNQMRPLACSRNLLHRAHGSARWSQGNTIVLAGVYGPKAGTRKGENPELASIEVIWKPKTGQIGKYDNSTKVLIFLICSFCM >DRNTG_28858.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22231569:22233811:1 gene:DRNTG_28858 transcript:DRNTG_28858.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMTEHDDFLSRRCVWVNGPVIVGAGPSGLAIAASLKEQGVPCVILERSDCIASLWQKRTYDRLKLHLPKQFCQLPGLAFPDDYPEYPTKKQFIEYLETYAKRFEITPRFNETVQSARYDETCGLWRVRTAGEVEYICRWVVAATGENAEEVIPDIQGLKELDRPVTHVSNYKSGEAYKGKKVLVVGCGNSGMEVCLDLCDHNAFPSMVVRESVSITEPTSTSKFQPKQNKFFQL >DRNTG_21941.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2136343:2144706:-1 gene:DRNTG_21941 transcript:DRNTG_21941.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ACTIVITY OF BC1 COMPLEX KINASE 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G64940) UniProtKB/Swiss-Prot;Acc:Q93Y08] MAASLVAAPLFTHDLLCFHPLVRRIPLLHHRLRHRSLRGIAARSVREDRVAVAEVVNGEESVRVAKDEYDWNGNGNGNGSWRSLEYGVERGSENGALELEVYVNGNGGATNGSLVKYEGEKGEVGVDKEEKKRKRRVEEIGQEDAWFKKSGGETPQVSVAPGGRWNRFKTYSTIQRTLEIWGFVFTFIFKAWLNNQKFSYRGGMTEEKKILRRKELAKWLKESILRLGPTFIKIGQQFSTRVDILAQEYVDQLSELQDQVPPFPSETAISIVEEELGAPVDDIFDRFDYEPIAAASLGQVHRASLKGQEAVVKVQRPGLKELFDIDLKNLRVIAEYLQKVDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAANAELFAQNFKDMDYVKVPNVYWEYTTPQVLTMEYVPGIKINRIKQLDQLGVDRQRLSRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISPNIREGLLETFYGVYEKNPDRVLQAMIQMGVLVPTGDMTAVRRTALFFLNSFEERLAAQRREREAAIAELGFKKQLTKEERFEKKKQRLAAIGEDLLAIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYALELLRFREAGIEVFMKDLRKRWQRQASAFNNLFRQADRVEKLAEVIQRLEQGDLKLRVRTLESERAFKRVASMQRTIGNAVIAGSLVNLATLLYLNSIRVPAMTAYILSAVFGVQVLFGFLKVKKLDQQERLITGTA >DRNTG_16870.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29812916:29824176:1 gene:DRNTG_16870 transcript:DRNTG_16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDFAVQLTLQVQVIQKYVGPQHGEVCSRGLMKSDRRKKEPSNLLLPLRPSHGKLLRHTSRHSDFQSRERKPSHSSVPGSWSSKRPQHAPSCLGKRNAKTVLCSLIDKNVVLPREKVSYIRKRDGQVLMQGRITRDGIKCDCCQQVFTLTKFEAHAGSTSHRPAANIFLKDQRSLLQCQKDLIHVSNFQNFPHPRLKIDYSQSPSDSICSICQDGGILLLCDHCPSAFHQHCIGLEVFFHLQKLLGKSNLTPVEGLSWSLYRSSRENGGDDIESIAECHSKLRVALDILHECFVDIIEPRTKSDLVADLLFNRKSDLKRLNFWGFYTIVLERGDELISVATFRIFGDKVAEMPLIGTLVQYRRQGMCRLLVNELEKLLLSLGVEMLILPAVSQLLQTWTTRFGFTQMTSFDRLKLLDYIFLSFQDTCLCYKLLAGNKNQLTDAVLASTEETNNNSNAYEIIEVEPMKCLPVMEADTVHSGSATNTESPGQIVPYDANDGVTLGSKEDITSAEVKLKPTTNDVNARFDTLKFYYRRRIFAG >DRNTG_22409.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22672581:22674357:1 gene:DRNTG_22409 transcript:DRNTG_22409.8 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYLKDYNYNKQTQAHSDPKLTRYPKKEKRQST >DRNTG_22409.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22672655:22674357:1 gene:DRNTG_22409 transcript:DRNTG_22409.12 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYLKDYNYNKQTQAHSDPKLTRYPKKEKRQST >DRNTG_22409.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22672655:22674553:1 gene:DRNTG_22409 transcript:DRNTG_22409.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYLKDYNYNKQTQAHSDPKLTRYPKKEKRQST >DRNTG_22409.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22672655:22674553:1 gene:DRNTG_22409 transcript:DRNTG_22409.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYLKDYNYNKQTQAHSDPKLTRYPKKEKRQST >DRNTG_22409.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22672532:22674553:1 gene:DRNTG_22409 transcript:DRNTG_22409.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYLKDYNYNKQTQAHSDPKLTRYPKKEKRQST >DRNTG_22409.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22672532:22674553:1 gene:DRNTG_22409 transcript:DRNTG_22409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYLKDYNYNKQTQAHSDPKLTRYPKKEKRQST >DRNTG_22409.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22672581:22674357:1 gene:DRNTG_22409 transcript:DRNTG_22409.7 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYLKDYNYNKQTQAHSDPKLTRYPKKEKRQST >DRNTG_22409.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22672607:22674357:1 gene:DRNTG_22409 transcript:DRNTG_22409.9 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYLKDYNYNKQTQAHSDPKLTRYPKKEKRQST >DRNTG_22409.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22672655:22674357:1 gene:DRNTG_22409 transcript:DRNTG_22409.11 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYLKDYNYNKQTQAHSDPKLTRYPKKEKRQST >DRNTG_22409.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22672607:22674357:1 gene:DRNTG_22409 transcript:DRNTG_22409.10 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYLKDYNYNKQTQAHSDPKLTRYPKKEKRQST >DRNTG_22409.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22672532:22674357:1 gene:DRNTG_22409 transcript:DRNTG_22409.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYLKDYNYNKQTQAHSDPKLTRYPKKEKRQST >DRNTG_22409.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22672532:22674357:1 gene:DRNTG_22409 transcript:DRNTG_22409.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYLKDYNYNKQTQAHSDPKLTRYPKKEKRQST >DRNTG_14730.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:87354:89687:1 gene:DRNTG_14730 transcript:DRNTG_14730.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVIQDERNHGIPGLVNLFGIESPGLTSSLAIGEYITAKFPG >DRNTG_14730.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:85233:85722:1 gene:DRNTG_14730 transcript:DRNTG_14730.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRAIGSFGKALGDRRWKAFGAGLEGISKEKVDVVVIGAGVVGLAVAREMALKGRDVLVVESASTFGTGTSSRNSEVIHAGIYYPPNSLKVPW >DRNTG_14730.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:85233:89687:1 gene:DRNTG_14730 transcript:DRNTG_14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRAIGSFGKALGDRRWKAFGAGLEGISKEKVDVVVIGAGVVGLAVAREMALKGRDVLVVESASTFGTGTSSRNSEVIHAGIYYPPNSLKV >DRNTG_14730.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:85233:89687:1 gene:DRNTG_14730 transcript:DRNTG_14730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRAIGSFGKALGDRRWKAFGAGLEGISKEKVDVVVIGAGVVGLAVAREMALKGRDVLVVESASTFGTGTSSRNSEVIHAGIYYPPNSLKGEAENARAIFSYNSTVLGGHVEDNHLHLHISESKDLENHDDASPLLPQLVLIPKLVINSAGLSALPLAKRFCGLDHNVIPAAYYARGCYFTLSKTKNPPFSHLIYPIPEDGGLGVHVTLDLNGLIKFGPDVEWIDGVDDISFFNRFDYSVNPDRVNRFYPEIRKYFPDLKDGSLDPGYSGIRPKLSGPKQPQMDFVIQDERNHGIPGLVNLFGIESPGLTSSLAIGEYITAKFPG >DRNTG_14730.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:85233:89687:1 gene:DRNTG_14730 transcript:DRNTG_14730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRAIGSFGKALGDRRWKAFGAGLEGISKEKVDVVVIGAGVVGLAVAREMALKGRDVLVVESASTFGTGTSSRNSEVIHAGIYYPPNSLKARFCVKGRELLYRYCADRQIPHQQIGKLIVATRVSEVPKLSILLRCGTDNGVKGLRMMEGSEAMRMEPKLHCVKALLSPCSGIVDSHSFMLSLAGEAENARAIFSYNSTVLGGHVEDNHLHLHISESKDLENHDDASPLLPQLVLIPKLVINSAGLSALPLAKRFCGLDHNVIPAAYYARGCYFTLSKTKNPPFSHLIYPIPEDGGLGVHVTLDLNGLIKFGPDVEWIDGVDDISFFNRFDYSVNPDRVNRFYPEIRKYFPDLKDGSLDPGYSGIRPKLSGPKQPQMDFVIQDERNHGIPGLVNLFGIESPGLTSSLAIGEYITAKFPG >DRNTG_14730.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:85233:89687:1 gene:DRNTG_14730 transcript:DRNTG_14730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRAIGSFGKALGDRRWKAFGAGLEGISKEKVDVVVIGAGVVGLAVAREMALKGRDVLVVESASTFGTGTSSRNSEVIHAGIYYPPNSLKDERNHGIPGLVNLFGIESPGLTSSLAIGEYITAKFPG >DRNTG_14730.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:88614:89687:1 gene:DRNTG_14730 transcript:DRNTG_14730.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRFDYSVNPDRVNRFYPEIRKYFPDLKDGSLDPGYSGIRPKLSGPKQPQMDFVIQDERNHGIPGLVNLFGIESPGLTSSLAIGEYITAKFPG >DRNTG_13643.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9607912:9610491:-1 gene:DRNTG_13643 transcript:DRNTG_13643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNETDHKVFKEKITYLGILCIPTGVWKLPTPVRLTSRVPQGCTHVPVPSRENAPLTLTDLTQACGKYPRPCARPTGADARPYGFPGHLRKISSCPHARAEISHKRGHSQALTHRGGRTPMCFLGMEGTTCRVSHGRAEISHARAWFTRSSTGVLFSVYDFFFQFL >DRNTG_15239.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23423875:23429100:1 gene:DRNTG_15239 transcript:DRNTG_15239.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDAETQAKAGKTSAAQEQPAATNSSATPPGYPDWSGFQAYSPIPPHGFFPSPVASSPQAHPYMWGTQHIMAPYGTPPHPYVMYPPGGLYAHPSMPPGSHPFSPYAMPSPNGNVEVSGAVTSGAEVDGKSPEGKEKSHLKRTRGSLGSLNMITGKNNNEPAKTSGPLANGVFSQSGESGSEASSEGSDANSQNDSQPKAGGGHESFEAETSQEGTAARDPQNGVSRASSQSALNQTLPIIPVPAAAGTSGGVAGPTTNLNIGMDYWGAPAAAISPIRGKVPATTVPGAVVPGGFVGSRENVPTEMWLQVFL >DRNTG_15239.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23423875:23429100:1 gene:DRNTG_15239 transcript:DRNTG_15239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDAETQAKAGKTSAAQEQPAATNSSATPPGYPDWSGFQAYSPIPPHGFFPSPVASSPQAHPYMWGTQHIMAPYGTPPHPYVMYPPGGLYAHPSMPPGSHPFSPYAMPSPNGNVEVSGAVTSGAEVDGKSPEGKEKSHLKRTRGSLGSLNMITGKNNNEPAKTSGPLANGVFSQSGESGSEASSEGSDANSQNDSQPKAGGGHESFEAETSQEGTAARDPQNGVSRASSQSALNQTLPIIPVPAAAGTSGGVAGPTTNLNIGMDYWGAPAAAISPIRGKVPATTVPGAVVPGGFVGSRENVPTEMWLQDERELKRQRRKQSNRESARRSRLRKQAECEELAQRVESLKDENNSLRAEVNQIRKEYEQLLAQNASLKEKLGEQPRGTGDSRPDDNDQHAGEDDHRKNSDSDARPTQEDLDQGSH >DRNTG_15239.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23423875:23429100:1 gene:DRNTG_15239 transcript:DRNTG_15239.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDAETQAKAGKTSAAQEQPAATNSSATPPGYPDWSGFQAYSPIPPHGFFPSPVASSPQAHPYMWGTQHIMAPYGTPPHPYVMYPPGGLYAHPSMPPGSHPFSPYAMPSPNGNVEVSGAVTSGAEVDGKSPEGKEKSHLKRTRGSLGSLNMITGKNNNEPAKTSGPLANGVFSQSGESGSEASSEGSDANSQNDSQPKAGGGHESFEAETSQEGTAARDPQNGVSRASSQSALNQTLPIIPVPAAAGTSGGVAGPTTNLNIGMDYWGAPAAAISPIRGKVPATTVPGAVVPGGFVGSRENVPTEMWLQQDERELKRQRRKQSNRESARRSRLRKQAECEELAQRVESLKDENNSLRAEVNQIRKEYEQLLAQNASLKEKLGEQPRGTGDSRPDDNDQHAGEDDHRKNSDSDARPTQEDLDQGSH >DRNTG_18423.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4199893:4209227:1 gene:DRNTG_18423 transcript:DRNTG_18423.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 41 homolog [Source:Projected from Arabidopsis thaliana (AT1G08190) UniProtKB/Swiss-Prot;Acc:P93043] MALDRRSENGGDGDDEREEEEEDDDEEEDGVEDDEEEEEEPRLKYQRMGGSVPSLLSNDAAASIAISERMIALGTHDGSVHILDFQGNQVKQFSAHSATVNDLSFDVDGEYIGSCSDDGYVVINSLFTDERFKFEYHRPMKTIALDPDYSRKTSRRFVAGGLAGQLFLNTKTWLGYSKQVLHDGEGPIHAVKWRTNLIAWANDAGVKVYDMANNERITFIERPRGSPRPELLLPHLFWQDDTLLVIGWGTCVKVAVIRSSSFRGMNGVKRNLSISSSKYVDIVASFQTSYYISGIAPYGDALVVLAYIPEEENGEKSFSSTAPSRQGTAQRPEIRIVTWKNEELTTDALPVHGFEHYKAKDYALAHAPFSGSSYAGGQWAAGDEPLYYIVSPKDIVIAKPRDAEDHINWLLQHGWHEKALAAVEAGQGRTELLDEVGARYLDHLIVERKYAEAASLCPKLLRGSASAWERWVFHFAHLRQLPVLVPYMPIDNPRLSDTAYEVALVALATNPSYHNVLLSTVKSWPTTVYSVLPVISAIEPQLNSFSMTDMLKESLAELYVINTQYEKALTFYADLMKPEVFDFIEKHSLHDAIHDKVIQLMILDCKRAVTLFIQHRDIISPSEVVTQLLGASKKCDNRYFLHLYLHSLFEIDLNAGKEFHDLQVELYADYEPLMLLTFLRSSQHYNLDKAYEICMRKNLLREQVFVLGRMGNSKKALAVIINKLEDIEEAVEFVTMQHDDELWEELIKLCLRKPEMVGMLLEHTVGNLDPLYIVSMVPNGLQIPRLRDRLVKIITDYRTETSLRHGCNDILKADCVNLLVKFYKEARRAIYLGSIEEEIREKKDESAASQTIERVASTKTMELKSRTRGGARCCLCFDPFSIQNLSVAAFFCCHAYHVSCLTGGSDSINAGNTNNEDDEDDIDGAQSDGPRLRCVLCTTAGRR >DRNTG_27898.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10447640:10454454:1 gene:DRNTG_27898 transcript:DRNTG_27898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSIFKCTVVDLVVETDDVASYPTENEFCSLRNARLLALRVSNDGSAFRLGSRKEGFTYKLIADDYQKKVLKNIDLVYGGGSVGLMGLISQAVFNGGNHVLGYYYFF >DRNTG_22293.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:889000:891160:1 gene:DRNTG_22293 transcript:DRNTG_22293.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSGVDFSFCFFLFSKTPIPPPLLALLLVVVSFFFFFSPGGFAWAFSRGIPGPPGFVRALSGPVAHRALDKLSRSFQAVDLMAFSVGFTRFIVSSQPETAKEILSSSAFADRPIKESAYELLFHRAMGFAPFGDYWRNLRRISATYLFSPKRISASGEHRRLIGCRMVSEVQELMGSSGVVELKKVLHFGSLNNVMMSVFGKSYDFGKGEGLELEGLVREGYELLGMFNWSDHLPLLGWLDLQGVRRRCKKLVAKVNVFVGKIIGEHRNRRVGGIACGVGDFVDVLLDLEKDEKLSDADMIAVLWEMIFRGTDTVAILLEWIMTRMVLHPDIQSKAQTEIDSVVGNSRLVTDSDIAKLPYLQSIVKETLRMHPPGPLLSWARRAIHDVQVGDHVIPAGTTAMVNMWAITHDECIWSEPNEFKPERFMLEDISILGSDLRLAPFGSGRRVCPGKAMALATVHLWLAQLLQSFKWVPSEKVPDLSECLKMSLEMENPLLCRVIPRY >DRNTG_22293.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:889000:891472:1 gene:DRNTG_22293 transcript:DRNTG_22293.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSGVDFSFCFFLFSKTPIPPPLLALLLVVVSFFFFFSPGGFAWAFSRGIPGPPGFVRALSGPVAHRALDKLSRSFQAVDLMAFSVGFTRFIVSSQPETAKEILSSSAFADRPIKESAYELLFHRAMGFAPFGDYWRNLRRISATYLFSPKRISASGEHRRLIGCRMVSEVQELMGSSGVVELKKVLHFGSLNNVMMSVFGKSYDFGKGEGLELEGLVREGYELLGMFNWSDHLPLLGWLDLQGVRRRCKKLVAKVNVFVGKIIGEHRNRRVGGIACGVGDFVDVLLDLEKDEKLSDADMIAVLWEMIFRGTDTVAILLEWIMTRMVLHPDIQSKAQTEIDSVVGNSRLVTDSDIAKLPYLQSIVKETLRMHPPGPLLSWARRAIHDVQVGDHVIPAGTTAMVNMWAITHDECIWSEPNEFKPERFMLEDISILGSDLRLAPFGSGRRVCPGKAMALATVHLWLAQLLQSFKWVPSEKVPDLSECLKMSLEMENPLLCRVIPRSHEVVKWILDRIC >DRNTG_10055.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4670697:4672568:1 gene:DRNTG_10055 transcript:DRNTG_10055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKEKRWSFRRAVTTGNNSNSQVCVNPGQEGLSESHVKQRTEDVAEDKTKIAASDAAVAMTQSVAATVRLNSTAIRDTTRDIEETAAIKIQSIFRSHLARRALRALKGLVKLQALVRGHLVRKQARATLRCMQAMVTVQARARAQRFQIFEGAQSIPHIATVHRRSKHPLYAPSYVDHSVEENVKIVEMDTSESRNIPTSRKSYSINHTEGIDPRFSKCYHGIYASKKTEYQQNSHYPPELTDINPESGSWYFEEFPSNTPQSSPQCPSVVSIS >DRNTG_10055.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4670697:4672568:1 gene:DRNTG_10055 transcript:DRNTG_10055.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQARRALRALKGLVKLQALVRGHLVRKQARATLRCMQAMVTVQARARAQRFQIFEGAQSIPHIATVHRRSKHPLYAPSYVSFPIKT >DRNTG_10055.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4670697:4672568:1 gene:DRNTG_10055 transcript:DRNTG_10055.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQARRALRALKGLVKLQALVRGHLVRKQARATLRCMQAMVTVQARARAQRFQIFEGAQSIPHIATVHRRSKHPLYAPSYVDHSVEENVKIVEMDTSESRNIPTSRKSYSINHTEGIDPRFSKCYHGIYASKKTEYQQNSHYPPELTDINPESGSWYFEEFPSNTPQSSPQCPSVVSIS >DRNTG_25845.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8768464:8770764:1 gene:DRNTG_25845 transcript:DRNTG_25845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGVAKLVAFAIPSCSTKSHSSSSLLLQHNDPLLGLRPPFNSRQTVRRAPRASLSTPKESVLRAFNERRALKIISGLQNFDKENIASVVTAADKGGATHVDIACDPELVELAVKLTSLPVCVSSVDPSAFAPAVEAGAQMVEIGNYDSFYEMGIQFSPDQILKLTQETKRILPFTTLSVTVPHTLTLPDQVKLAEALEQEGADIIQTEGGKCSNPSKPGILGLIEKATPTLAAAYSISRAVKILVMCSSGLSSVTAPMALTAGAAGVGVGSAVNKLNDLIAMIAEVRSIADALGLPITYVSEEQRTAYY >DRNTG_26596.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19999056:20001006:-1 gene:DRNTG_26596 transcript:DRNTG_26596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDIDLLSTSAVVIGDRWNEAQLEHIFGPNLNLQELSFNSIDPNSCNHWIWSPTSKHHKISTLVYHQLNQSLSLSDSWNGWRLLWKLNIAPRAKYFLWTLFHGRLSTSNFLYQMRLGPDNHCILCGHFSEMIDHLFWDCIKTKQVWAHLSLKVNTYIHFPSGFASGSWITDGILSKHLTSVVAATAWLLWKSQCDAIFHGVNINFPTIVCKALIHVQEFSSSNYNLLGYCAQSMVDTSLDDLFALGVALQTILDKQLSIKHVFVNTPATLNGLNDSNTVISWSYTLQISSIRSLLNALGSPSIHCIPIAWMTPAVNLATHGFSFSVLNLLLAGRDLPRWIMKAFVDSGFKFKLYFSFCFIFWLVVYFGALGSNKISPPGFSF >DRNTG_26823.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2007704:2008032:1 gene:DRNTG_26823 transcript:DRNTG_26823.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRKPGLGFRVFGVPSRLKPDCTHEKRSPPGDESAMPLPTKG >DRNTG_19781.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001060.1:3072:5916:-1 gene:DRNTG_19781 transcript:DRNTG_19781.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSESRNIPTSRKSYSINHTEGIDPRFSKCYHGIYASKKTEYQQNSHYPPELTDINPESGSWYFEEFPSNTPQSSPQCPSVVSISDATQASFAISRQGSTYSMSHEYPYFPNYMANTESSKAKARSQSAPKQRTVSFERQPSRQRPSVEGRHIPRCVKMQRSASHICSTAKGYQHGTYIKLDQSNMSLANSECGSTSTIFTTASHCRPTYEAYRSRH >DRNTG_19781.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001060.1:3072:7155:-1 gene:DRNTG_19781 transcript:DRNTG_19781.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSESRNIPTSRKSYSINHTEGIDPRFSKCYHGIYASKKTEYQQNSHYPPELTDINPESGSWYFEEFPSNTPQSSPQCPSVVSISDATQASFAISRQGSTYSMSHEYPYFPNYMANTESSKAKARSQSAPKQRTVSFERQPSRQRPSVEGRHIPRCVKMQRSASHICSTAKGYQHGTYIKLDQSNMSLANSECGSTSTIFTTASHCRPTYEAYRSRH >DRNTG_19781.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001060.1:3072:7155:-1 gene:DRNTG_19781 transcript:DRNTG_19781.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKWLRNLLIGKRIKEEKEKSGHEQTLTKTKSLPAPVMAPKEKRWSFRRAVTTGNNSNSQVCVNPGQEGLSESHVKQRTEDVAEDKTKIAASDAAVAMTQSVAATVRLNSTAIRDTTRDIEETAAIKIQSIFRSHLARRALRALKGLVKLQALVRGHLVRKQARATLRCMQAMVTVQARARAQRFQIFEGAQSIPHIATVPQKIKNIHCMHHHMWIIVLRRMLRLWRWTPVNPGIFQLAEKATLSIILKESIQGSPNVIMEFMHLRRQSTNRTLITHQS >DRNTG_09542.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18969430:18972680:1 gene:DRNTG_09542 transcript:DRNTG_09542.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQCSPISSSSSSILHSISRRPRFLFSLPTIAPSYRPLTSLKPISINGFNSSRHSAEALPQCSKIEDEEVENGSVHCEMETVSWRERRIKACILVDADVESVWNVLTDYERLADFVPNLVYSGRIPCPHRGRIWLEQRGLQRALYWHIEARVVLDLQELPDLDDGCELLFAMVDGDFKKFEGKWSVKAGPRASTAVLSYEVNVIPTFNFPAIFIERIIRCDLPVNLRAIACRAERNSEENQMSSDPKATSGVISDTDLLSPNQLNEKHASFGNVSPAPSIEHNSKWGVFGKVCRLDRPCMVDEVHLRRFDGLLENGGTHRCVAASITVKAPISEVWNVLTAYEALPEFVPNLAISKILSREKNKVRILQEGCKGLLYMVLHARVVLELHEIFEREISFEQVEGDFDLLKGKWHLEQLGNQHTLMKYTVESKMHKDTFLSEAIVEEVVYEDLPSNLCAIRDFVENRLLIIDPLKPIDAKVVRVENSFCVSESKPAKQNSSFDASSNSSRQRSKVPGLQSDIEVLKAELLKFISEHGQEGYMPMRKQLRSHGRVDIEKAISRYGGFRKTAILLNLSLAYKHRKPKGYWDNLENLQEEISRFQRKWGMDPAYMPSRKSFERAGRYDIARALEKWGGLQEVSRLLSLELRHTRRRSNLEKSSKLTDTATSDDSNAQGKMSSKPLVSQDAQKWLMKLKDLDINWVE >DRNTG_03485.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:825442:829637:-1 gene:DRNTG_03485 transcript:DRNTG_03485.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQRKTSTIAPDAQAQTQESNPLNPVSNGGESVKEEVAHESSGRPPFAIPQPMREEQVQNAVNFLSHPKVKGSPVIFRRAFLEKKGLSKEEIDEAFRRVPDSAPSNAAGTGTTTTNQANQASQPNPSTALQPQAPIQTLQPVAGGSSLGASLQQPRLQWKHALFAIGVLTASGAATGVLFKKMVVPRLQSWVQKVVEKDRESKKEVELKSSLAEEAAEAAKAAASAAAIVAKASQELVNAKNEESKRLELFMEMMDMQMKEMKSMSKTIRELEMRTENGRYEDKSIGVHTTSNGTTNNSWRNSQVDHSEPTYSSVFPKQVKVNGTSDSDFGTVRPSSAPASVEPLAQPPHPKSYMEIMAMIQRGEKPPGIKPWEIPQQSQPAPNYGYPYQTKSDPQPIQQTVSQTSSDDGSVSELQDNGQPSQPNDKAHLVSEPWWGKKTVKLTELDETDEMRASSARPNQRAWVPPQPPAVVMSEAATAIRQPKASVQKRLSSDDDISVASNLEDTENEAARTTVSVAEVKYPSNTTMDLNHSEIQEERMDTVEVNN >DRNTG_03485.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:825442:829637:-1 gene:DRNTG_03485 transcript:DRNTG_03485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQRKTSTIAPDAQAQTQESNPLNPVSNGGESVKEEVAHESSGRPPFAIPQPMREEQVQNAVNFLSHPKVKGSPVIFRRAFLEKKGLSKEEIDEAFRRVPDSAPSNAAGTGTTTTNQANQASQPNPSTALQPQAPIQTLQPVAGGSSLGASLQQPRLQWKHALFAIGVLTASGAATGVLFKKMVVPRLQSWVQKVVEKDRESKKEVELKSSLAEEAAEAAKAAASAAAIVAKASQELVNAKNEESKRLELFMEMMDMQMKEMKSMSKTIRELEMRTENGRYEDKSIGVHTTSNGTTNNSWRNSQVDHSEPTYSSVFPKQVKVNGTSDSDFGTVRPSSAPASVEPLAQPPHPKSYMEIMAMIQRGEKPPGIKEINDMPPNPNQPPSKPVLAPRPKPWEIPQQSQPAPNYGYPYQTKSDPQPIQQTVSQTSSDDGSVSELQDNGQPSQPNDKAHLVSEPWWGKKTVKLTELDETDEMRASSARPNQRAWVPPQPPAVVMSEAATAIRQPKASVQKRLSSDDDISVASNLEDTENEAARTTVSVAEVKYPSNTTMDLNHSEIQEERMDTVEVNN >DRNTG_04214.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20876448:20877618:-1 gene:DRNTG_04214 transcript:DRNTG_04214.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDMNEELDRQVPLMDEIDTKVDKATSDLKNTNVRLKETVNQLRSSRNFCIDIILLCIILGIAAYLYNVLKK >DRNTG_04214.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20876448:20880307:-1 gene:DRNTG_04214 transcript:DRNTG_04214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIDILTRVDAICKKYDKYDVDKQRGDAANVAGDDAFARLYSSVEADIEAALEKSEKAKLEKNRAAAVAMNAEIRRTKARLMEEVPKLQRLAVKKVKGLSGEELGARNDLVLALPDRIQSIPDGSATGAKQAGGWTASASRTEIRFDSTSDGNFESEYFHQTEESSQFRQEYEMRRLKQDQGLDVIAEGLDTLKNMAHDMNEELDRQVPLMDEIDTKVDKATSDLKNTNVRLKETVNQLRSSRNFCIDIILLCIILGIAAYLYNVLKK >DRNTG_04214.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20878019:20880307:-1 gene:DRNTG_04214 transcript:DRNTG_04214.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIDILTRVDAICKKYDKYDVDKQRGDAANVAGDDAFARLYSSVEADIEAALEKSEKAKLEKNRAAAVAMNAEIRRTKARLMEEVPKLQRLAVKKVKGLSGEELGARNDLVLALPDRIQSIPDGSATGAKQAGGWTASASRTEIRFDSTSDGNFESEYFHQTEESSQFRQEYEMRRLKQASSDNLACEYLLCGD >DRNTG_19609.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31356901:31370268:-1 gene:DRNTG_19609 transcript:DRNTG_19609.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQQLQHKHYGGTQASHIFHSPGAQMGGRMRPSMPKPSPYGFSNGRMAGGLGFTRAKQLVNGPAASEGYFSTVSYGSSPKPLQEHFDQQHHQPILPGATAAASSFSFLLSRLLTAASSARERHNTASSSNKHRLQDKEGLVKSH >DRNTG_19609.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31356901:31363868:-1 gene:DRNTG_19609 transcript:DRNTG_19609.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQQLQHKHYGGTQASHIFHSPGAQMGGRMRPSMPKPSPYGFSNGRMAGGLGFTRAKQLVNGPAASEGYFSTVSYGSSPKPLQEHFDQQHHQPILPGATAAASSFSFLLSRLLTAASSARERHNTASSSNKHRLQDKEGLVKSH >DRNTG_19609.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31361031:31361913:-1 gene:DRNTG_19609 transcript:DRNTG_19609.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQQLQHKHYGGTQASHIFHSPGAQMGGRMRPSMPKPSPYGFSNGRMAGGLGFTRAKQLVNGPAASEGYFSTVSYGSSPKPLQEHFDQQHHQPILP >DRNTG_28072.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21412208:21413595:1 gene:DRNTG_28072 transcript:DRNTG_28072.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCVASPSLSFSSSSIFFSSSRITYKPPPCSPGILNRTLTIRSDLSTLPILSFSGEKVGDASLDLKSAPPDTARSVVHRGIITDQQNQRRGTASTLTRAEVSGGGKKPYQQKKTGRARRGSQRTPLRPGGGVVFGPRPRDWSIKINRKEKQLALSTAIASAVAAADAFVVEDFGKEFAGGPKTKEFVAAMRRWGLNPNEKAMFLMTEVPDNVMLSCRNIGTLKMLTPRTLNLFDILDSKKLVFTRSALEYLNSMYGLDAVQDEDQEEIS >DRNTG_15567.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:562237:563744:-1 gene:DRNTG_15567 transcript:DRNTG_15567.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSSTMRSSNREDYMRKDANPLLGARWMGSGGGDRLTTTYDLVEQMYYLYVRVVKAKDLPTSAITGSCDPYVEVKLGNYKGTTKHLEKRPNPEWHQVFAFSKERIQSSVLEVYVKDKEMVGRDDFMGRVVFDLNEVPTRVPPDSPLAPQWYKLEDRRGTKVRGEIMVAVWVGTQADEAFPDAWHTDAASVHTEGMINIRSKVYVSPKLWYLRVNIIEAQDIEPNERGRSPEVFVRAQVGNQMLRTKTCTAKTMNPLWNEDLVFVAAEPFEEQLVLTVEDRVSPRKDDVLGKITLPLTIFEKRLDHRPVDSRWFDLEKFGLGVLEGDWRKELRFASRIHLRVCPRRRLPCYG >DRNTG_15567.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:562237:563744:-1 gene:DRNTG_15567 transcript:DRNTG_15567.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSSTMRSSNREDYMRKDANPLLGARWMGSGGGDRLTTTYDLVEQMYYLYVRVVKAKDLPTSAITGSCDPYVEVKLGNYKGTTKHLEKRPNPEWHQVFAFSKERIQSSVLEVYVKDKEMVGRDDFMGRVVFDLNEVPTRVPPDSPLAPQWYKLEDRRGTKVRGEIMVAVWVGTQADEAFPDAWHTDAASVHTEGMINIRSKVYVSPKLWYLRVNIIEAQDIEPNERGRSPEVFVRAQVGNQMLRTKTCTAKTMNPLWNEDLVFVAAEPFEEQLVLTVEDRVSPRKDDVLGKITLPLTIFEKRLDHRPVDSRWFDLEKFGLGVLEGDWRKELRFASRIHLRVCPRRRLPCYG >DRNTG_15567.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:562237:563976:-1 gene:DRNTG_15567 transcript:DRNTG_15567.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSSTMRSSNREDYMRKDANPLLGARWMGSGGGDRLTTTYDLVEQMYYLYVRVVKAKDLPTSAITGSCDPYVEVKLGNYKGTTKHLEKRPNPEWHQVFAFSKERIQSSVLEVYVKDKEMVGRDDFMGRVVFDLNEVPTRVPPDSPLAPQWYKLEDRRGTKVRGEIMVAVWVGTQADEAFPDAWHTDAASVHTEGMINIRSKVYVSPKLWYLRVNIIEAQDIEPNERGRSPEVFVRAQVGNQMLRTKTCTAKTMNPLWNEDLVFVAAEPFEEQLVLTVEDRVSPRKDDVLGKITLPLTIFEKRLDHRPVDSRWFDLEKFGLGVLEGDWRKELRFASRIHLRVCPRRRLPCYG >DRNTG_15567.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:561231:563744:-1 gene:DRNTG_15567 transcript:DRNTG_15567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSSTMRSSNREDYMRKDANPLLGARWMGSGGGDRLTTTYDLVEQMYYLYVRVVKAKDLPTSAITGSCDPYVEVKLGNYKGTTKHLEKRPNPEWHQVFAFSKERIQSSVLEVYVKDKEMVGRDDFMGRVVFDLNEVPTRVPPDSPLAPQWYKLEDRRGTKVRGEIMVAVWVGTQADEAFPDAWHTDAASVHTEGMINIRSKVYVSPKLWYLRVNIIEAQDIEPNERGRSPEVFVRAQVGNQMLRTKTCTAKTMNPLWNEDLVFVAAEPFEEQLVLTVEDRVSPRKDDVLGKITLPLTIFEKRLDHRPVDSRWFDLEKFGLGVLEGDWRKELRFASRIHLRVCPRRRLPCYG >DRNTG_18239.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3222234:3229482:-1 gene:DRNTG_18239 transcript:DRNTG_18239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPTYECLTLEFLSSIDIHVLYGPRSEEGDCRTPKDIDATDFWHTLTQEPKYEPSIAGATSLRNPCLRYIHCIMALTLFGCGDYEAIVRQSELMFLWAMLYNTALDTSAHLALQFAKVSIASSGDIVLGGLITPIAFAFHCDLSPFHRIPGNIWLDLEACIAMKMIVKEANVYYLILKGDMPPYPLSDLERTIVKCHENWGFSSQNLPSSRHTEHYSAEHIPTAPSV >DRNTG_09870.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:422611:431392:1 gene:DRNTG_09870 transcript:DRNTG_09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKSVEILFYLHKSECLSVTVLVPLQMVVLTQIREAPGYDLREPFASQYCRKNATVAAQHYSNPTVPKYPLRGKNSPNTLRLSGRDGWLFFMVTADFSSTLQCGVPRSGLIGGGVVNPGLPLSRDRMPAQRPRTCRGAGVSSREPTPAQPYSRLGKKSVQDMSLLWQLQCMLLEFQALYLRLGHPHTEPVSVPLLPPPPLSSIQQALVEDSKISQNVILSKQLNEAIQLGCNAFDGSSDAMATEEWLKRLLATFEDMGIEDELKLKVAIRLLENRASIWWETLKGRTDIPLTWSDFLHEFDEEYYTLFHRDQKQQEYMKLVQGSKAVAEYEEELKELANFMPKIVGGEEALCSKFEAGLNLSIREKMAVTESQSFKEVVQLALSAEKLVPEAKHIRENLAKRRNLDFSWASKHSNSEDTSSSFSGSSSVKPPSGQAGNQKGVTSAIGRGTLKSAPPLVGRQSQSKGVPHTASTPIAPIKSITASNSPRGHKEAMENKKISFGRKKKKTGISYYPHTTQYGGRMQCCSGLIWSVYLDFHTTQAEKGDFWLDLFLADFGEITWEILGNLGKEKKGKEARRSFKPKVQDSQGKKATSFNWRFTTI >DRNTG_27699.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21751814:21752446:-1 gene:DRNTG_27699 transcript:DRNTG_27699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKITEDGLLLPNLQKMEIYSCEDMKILPAWLPRLLLLKELIIIDCPKFRSWGMEEEEIIEDGLPLPNLQYMEIDSCQDLETLPAWLPRLPLLNELTIRLCHKFRSWCTEAEEVITEYGFPLPNLQKMEIDCCEDLETLPAWLPRLPLLNQLIIKACPKIQSLPQGNLPSSLKKLYLIQCERSLTERCRQGGSLEWQMIQHIPHRLYI >DRNTG_18626.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2804765:2805590:-1 gene:DRNTG_18626 transcript:DRNTG_18626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVDSFTVQNLGNDSSSQIIPSVTHPTMTDRAH >DRNTG_32647.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22086554:22098970:1 gene:DRNTG_32647 transcript:DRNTG_32647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPRRCNEGFDENALAMLDSSGVKEACDVDDDRNSFLEAVRSAYLVPDSPPTPSWKIFNATFQILRESKSLELAVASYLLLMELDKRYPRVSTGGVGELVVDKEAWSPFIIGLEVIHDVAEHALANSELLIDSSRFSCLVVDISQALNKSNSVLLVKDVEDMLVFQYLISVIEADFLPRQTLYKETLDWNHPRESIINILLTSRKLNFKNIVKDCMLIADKLSHHQQKHCPDDIKNANNFAKTSSDGDLALVIAADQIGKGTCLSMQKLLTLILELDVMKKEADLQGCTSRVDGRRTPLLEMVLDELTYNKDQISTFLEVFKEPRWKLEIVLQYFSKYCTKKHICPMAD >DRNTG_15308.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4772681:4774349:1 gene:DRNTG_15308 transcript:DRNTG_15308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGEPLVMDRERLTADLEFADDSSSIVIKIRRRLPDFLQSVKLKYVKLGLSSITISATYLVAPFLFLSAVSALRLDLESALAAATVVVVLTTIYLLKRPRPVYLIDFACYKPEDELKISKDGFLDMTEKTGAFSEETLEFQTKITKRSGLGDETYLPPGIQARPPRLSMAEARMEAEAVMFGCLDALFKRTGINPSRDVGILIVNCSLFNPTPSLSAMIVNRYKMRSDIKSFNLGGMGCSAGLISIDLAKDLLQANRNSYALVLSMENITLNWYFGNDRSMLLSNCIFRMGGGAVLLSNRAADSGRAKYRLMHTVRTHKGADDGCYQCVYQKEDAQGNIGVSLARELMAVAGDALKTNITTLGPLVLPLSEQMKFLATLVARRVLRMRRVRPYIPDFKKAFEHFCVHAGGRAVLDEVQKNLGLHDRDMEPGRSALHRFGNTSSSTLWYELAYAEAKGRVRSGDRVWQIGFGSGFKCNSAVWRAMRDVPAVGQTDSCNPWADCIHLYPAK >DRNTG_14280.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:872527:875172:1 gene:DRNTG_14280 transcript:DRNTG_14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFFFIILPSLIFIIIALKLITTISKPNKTTTTTTTNLPPGPTIIQIIANLLLLRKSLLYIEPLLRHLRSKHGPILSLPLLTSHPSIFISDRTLIHQALILNGAVFADRPPSLTPDGLMITNNQLRISTAPYGPLWRHLRRNLFSNILHPSRVKLFSSTRHRALNILLHDLQFQSFISTTGTVIPMDSFFYSMFCLSSFMCFGEDVDDKTIREIESMQRNILLSFNNLGIFGLFPRISHLFFRNQSNVKLVAIRHHCEEILIPLIKTRRGEKVKSVWFMTTPM >DRNTG_14280.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:872575:875172:1 gene:DRNTG_14280 transcript:DRNTG_14280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFFFIILPSLIFIIIALKLITTISKPNKTTTTTTTNLPPGPTIIQIIANLLLLRKSLLYIEPLLRHLRSKHGPILSLPLLTSHPSIFISDRTLIHQALILNGAVFADRPPSLTPDGLMITNNQLRISTAPYGPLWRHLRRNLFSNILHPSRVKLFSSTRHRALNILLHDLQFQSFISTTGTVIPMDSFFYSMFCLSSFMCFGEDVDDKTIREIESMQRNILLSFNNLGIFGLFPRISHLFFRNQSNVKLVAIRHHCEEILIPLIKTRRGEKVKSVWFMTTPM >DRNTG_14280.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:872575:875107:1 gene:DRNTG_14280 transcript:DRNTG_14280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFFFIILPSLIFIIIALKLITTISKPNKTTTTTTTNLPPGPTIIQIIANLLLLRKSLLYIEPLLRHLRSKHGPILSLPLLTSHPSIFISDRTLIHQALILNGAVFADRPPSLTPDGLMITNNQLRISTAPYGPLWRHLRRNLFSNILHPSRVKLFSSTRHRALNILLHDLQFQSFISTTGTVIPMDSFFYSMFCLSSFMCFGEDVDDKTIREIESMQRNILLSFNNLGIFGLFPRISHLFFRNQSNVKLVAIRHHCEEILIPLIKTRRGEKVKSVWFMTTPM >DRNTG_14280.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:872527:875107:1 gene:DRNTG_14280 transcript:DRNTG_14280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFFFIILPSLIFIIIALKLITTISKPNKTTTTTTTNLPPGPTIIQIIANLLLLRKSLLYIEPLLRHLRSKHGPILSLPLLTSHPSIFISDRTLIHQALILNGAVFADRPPSLTPDGLMITNNQLRISTAPYGPLWRHLRRNLFSNILHPSRVKLFSSTRHRALNILLHDLQFQSFISTTGTVIPMDSFFYSMFCLSSFMCFGEDVDDKTIREIESMQRNILLSFNNLGIFGLFPRISHLFFRNQSNVKLVAIRHHCEEILIPLIKTRRGEKVKSVWFMTTPM >DRNTG_18535.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3319661:3320938:-1 gene:DRNTG_18535 transcript:DRNTG_18535.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPWSSPPFSDAAQTHGRTQSSSGNQPSPFIPDPKTIQTLNPIPITKPKKIHKSSPNQTKIDRESYRLP >DRNTG_28732.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2345559:2348132:-1 gene:DRNTG_28732 transcript:DRNTG_28732.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRFVGWERWRRMSPLPACRICFTVLHPFSDGDPRRVRLTEELQEEEATLKTCIEKLKSFESNRVALISQLRAALQEQESELEIVRTQLQVAQAQVDEAANMRRRLNNEPIVETNSRGSSATEISKKTAAAIAAEVADKLAASSRSQQIMTSVLSTFAAEEAKNTAIASSSLSEVTHDRVNVNLEKPLPSSAAAPAFVSVPLQHQTVLVQQGPIQNQASTPQPPYNLYPASTQQYLQPTGGVMIGVPYTYSNLPPPPPPPQMLNMARPGPMPPQQPMAMIQQPPAMPPTMALQQPAMPPMNQQLALQQPAPPSYRPLQPPGMQFFHHQSQ >DRNTG_28732.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2345559:2348907:-1 gene:DRNTG_28732 transcript:DRNTG_28732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAFNEQVLADKLAKLNSTQQCIETLSHWCIFHRKKAEQVVQTWDKAFHSSQKEQKIPLLYVANDILQNSKRNGTEFVGEFWKVLPGALKDVMENGDDRGKNVVSRLVGIWEERKVFGSYAKGLKDLMLGNEPPPALELNKKRSRSVRIMKRDSRSIKLKLSVGGMAEKIISALHSVQSEHSAEDSDLSKCKGGGSSGGKDGEGCHRCLHAGRRPSQGEVDGGIARGGGNLKNMH >DRNTG_28732.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2345559:2348907:-1 gene:DRNTG_28732 transcript:DRNTG_28732.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAFNEQVLADKLAKLNSTQQCIETLSHWCIFHRKKAEQVVQTWDKAFHSSQKEQKIPLLYVANDILQNSKRNGTEFVGEFWKVLPGALKDVMENGDDRGKNVVSRLVGIWEERKVFGSYAKGLKDLMLGNEPPPALELNKKRSRSVRIMKRDSRSIKLKLSVGGMAEKIISALHSVQSEHSAEDSDLSKCKGGGSSGGKDGEGCHRCLHAGFVSPYCIRFQTETLAG >DRNTG_28732.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2345559:2348907:-1 gene:DRNTG_28732 transcript:DRNTG_28732.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAFNEQVLADKLAKLNSTQQCIETLSHWCIFHRKKAEQVVQTWDKAFHSSQKEQKIPLLYVANDILQNSKRNGTEFVGEFWKVLPGALKDVMENGDDRGKNVVSRLVGIWEERKVFGSYAKGLKDLMLGNEPPPALELNKKRSRSVRIMKRDSRSIKLKLSVGGMAEKIISALHSVQSEHSAEDSDLSKCKGGGSSGGKDGEGCHRCLHAGRRPSQGEVDGGIARGGGNLKNMH >DRNTG_28732.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2345559:2348907:-1 gene:DRNTG_28732 transcript:DRNTG_28732.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAFNEQVLADKLAKLNSTQQCIETLSHWCIFHRKKAEQVVQTWDKAFHSSQKEQKIPLLYVANDILQNSKRNGTEFVGEFWKVLPGALKDVMENGDDRGKNVVSRLVGIWEERKVFGSYAKGLKDLMLGNEPPPALELNKKRSRSVRIMKRDSRSIKLKLSVGGMAEKIISALHSVQSEHSAEDSDLSKCKGGGSSGGKDGEGCHRCLHAGRRPSQGEVDGGIARGGGNLKNMH >DRNTG_18254.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4259166:4263043:-1 gene:DRNTG_18254 transcript:DRNTG_18254.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSAKSSDGGLGDADVGWTRAVDDDDDDDDDSKKESSRSLTDRRDVQVT >DRNTG_07687.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23202402:23203642:1 gene:DRNTG_07687 transcript:DRNTG_07687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQESTWLMQLLKDLHQPIEQVILHCDNRSAVCLAENPMFHARTKHIEVHYHFLREKVLQGEIHMKLTPTEEQVADIFTKSLSTKKFEDLRAQLGILRKASIQEKSVLRGSDKV >DRNTG_16227.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30757513:30760103:-1 gene:DRNTG_16227 transcript:DRNTG_16227.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLASRNEPYWGETKVYMRKNPNPNPNPSIHNHYNNPGFLLDGGHENHHQTRQMEPDEIAAGAASVSDDSSSLSRRPAGPGRNRDSSVGHISFNLASYSRRELRELKRRLVSELERVRSVASRIDASARSGGYHTREPISGSDSKKAKKPAPGSEAEKLLSGMMKKCGQILSKLMKHKGAIWFNTPVDVVGMGLHDYHQIIKNPMDLGTVKTKLNKGMYQGPPEFAADLKLTFENALLYNPEGHEVHRVASQLLKIFEGLYYPSYEKYEKQWNAICRVEEEEELKRGIGNSWSPVTDYTRRAEPITSAAPPPPNPAPIAPAYGKQLQQPPPLQQQQQQQQQQQQQQQMGGLGRVSTVKQPKPKAKDPNKRAMTLDEKQKLSLGLQSLPDEKMEHVIQIVRRRNGDPMQHGDEILLDFETMDNETLWELDRFVGNCKKMMSKMRRLEALAGANATAAGGASTAPASAHADDGDKSPTAAKRSKKGETGDEDVDIGDEMPSLHYPSVEIEKDTGNASSSSSSGSDSSSSSSDSDSGSSSDSDSGGGEDEAQSPGGAAPDSRPSLP >DRNTG_16227.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30757513:30759930:-1 gene:DRNTG_16227 transcript:DRNTG_16227.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLASRNEPYWGETKVYMRKNPNPNPNPSIHNHYNNPGFLLDGGHENHHQTRQMEPDEIAAGAASVSDDSSSLSRRPAGPGRNRDSSVGHISFNLASYSRRELRELKRRLVSELERVRSVASRIDASARSGGYHTREPISGSDSKKAKKPAPGSEAEKLLSGMMKKCGQILSKLMKHKGAIWFNTPVDVVGMGLHDYHQIIKNPMDLGTVKTKLNKGMYQGPPEFAADLKLTFENALLYNPEGHEVHRVASQLLKIFEGLYYPSYEKYEKQWNAICRVEEEEELKRGIGNSWSPVTDYTRRAEPITSAAPPPPNPAPIAPAYGKQLQQPPPLQQQQQQQQQQQQQQQMGGLGRVSTVKQPKPKAKDPNKRAMTLDEKQKLSLGLQSLPDEKMEHVIQIVRRRNGDPMQHGDEILLDFETMDNETLWELDRFVGNCKKMMSKMRRLEALAGANATAAGGASTAPASAHADDGDKSPTAAKRSKKGETGDEDVDIGDEMPSLHYPSVEIEKDTGNASSSSSSGSDSSSSSSDSDSGSSSDSDSGGGEDEAQSPGGAAPDSRPSLP >DRNTG_16227.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30757191:30759930:-1 gene:DRNTG_16227 transcript:DRNTG_16227.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLASRNEPYWGETKVYMRKNPNPNPNPSIHNHYNNPGFLLDGGHENHHQTRQMEPDEIAAGAASVSDDSSSLSRRPAGPGRNRDSSVGHISFNLASYSRRELRELKRRLVSELERVRSVASRIDASARSGGYHTREPISGSDSKKAKKPAPGSEAEKLLSGMMKKCGQILSKLMKHKGAIWFNTPVDVVGMGLHDYHQIIKNPMDLGTVKTKLNKGMYQGPPEFAADLKLTFENALLYNPEGHEVHRVASQLLKIFEGLYYPSYEKYEKQWNAICRVEEEEELKRGIGNSWSPVTDYTRRAEPITSAAPPPPNPAPIAPAYGKQLQQPPPLQQQQQQQQQQQQQQQMGGLGRVSTVKQPKPKAKDPNKRAMTLDEKQKLSLGLQSLPDEKMEHVIQIVRRRNGDPMQHGDEILLDFETMDNETLWELDRFVGNCKKMMSKMRRLEALAGANATAAGGASTAPASAHADDGDKSPTAAKRSKKGETGDEDVDIGDEMPSLHYPSVEIEKDTGNASSSSSSGSDSSSSSSDSDSGSSSDSDSGGGEDEAQSPGGAAPDSRPSLP >DRNTG_16227.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30757066:30759930:-1 gene:DRNTG_16227 transcript:DRNTG_16227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLASRNEPYWGETKVYMRKNPNPNPNPSIHNHYNNPGFLLDGGHENHHQTRQMEPDEIAAGAASVSDDSSSLSRRPAGPGRNRDSSVGHISFNLASYSRRELRELKRRLVSELERVRSVASRIDASARSGGYHTREPISGSDSKKAKKPAPGSEAEKLLSGMMKKCGQILSKLMKHKGAIWFNTPVDVVGMGLHDYHQIIKNPMDLGTVKTKLNKGMYQGPPEFAADLKLTFENALLYNPEGHEVHRVASQLLKIFEGLYYPSYEKYEKQWNAICRVEEEEELKRGIGNSWSPVTDYTRRAEPITSAAPPPPNPAPIAPAYGKQLQQPPPLQQQQQQQQQQQQQQQMGGLGRVSTVKQPKPKAKDPNKRAMTLDEKQKLSLGLQSLPDEKMEHVIQIVRRRNGDPMQHGDEILLDFETMDNETLWELDRFVGNCKKMMSKMRRLEALAGANATAAGGASTAPASAHADDGDKSPTAAKRSKKGETGDEDVDIGDEMPSLHYPSVEIEKDTGNASSSSSSGSDSSSSSSDSDSGSSSDSDSGGGEDEAQSPGGAAPDSRPSLP >DRNTG_00679.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15897007:15899516:-1 gene:DRNTG_00679 transcript:DRNTG_00679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRIKAVVDRFVKELKEALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRREAEIARQEARLKMEKENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFSKAKK >DRNTG_01214.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:423336:424262:-1 gene:DRNTG_01214 transcript:DRNTG_01214.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKLFTITLDNDCSSHDIYSAFTRLETCDESYNEAPSVDDWKMVEIACAFLRLLYDSAVFIMSAIDPTANMFFQEAWKIQLELTNAIKNEDSMVSCMAQELHEKFDKYWKDCSLVLSIAVVMDPRFKLKLVEFSFSKIYVEDASMYVKVVDDAIHEFYLEYVAQPLPLTPAYVEQGGAVVTNGSIDNNQAVSSISALDVDDLLDFDIYISEMSVNQQAKSELDQYLEESLVPRIQEFDILNWWKLNNIKYPTLSKMARDVLSNIPMSMVGPGCSIFGAGTGNKVLDEYRSSLRPQIVEALFCAKDWLQ >DRNTG_19189.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21409449:21409745:-1 gene:DRNTG_19189 transcript:DRNTG_19189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKGKKRELSIYERLVEGSSEEKEEKQAPRGFIPVIVGKEPRVKRFLVHVKLFKDPCMVVLLEMAENELGHKQDGALRILCDVDHFQQVVNVISKSK >DRNTG_13874.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4863596:4865685:-1 gene:DRNTG_13874 transcript:DRNTG_13874.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQLLSSVNLSLDNFLIWLAPLLLLLLSIKLVLSMRSSRLPPSPLKLPIIGNLHQLGLLSHHAFYNLSKKHGPLMFLKLGQIPTLVVSSSEMAKEIMKTHDLIFASRPVLKATRILLYGNHDLAFAPYGEYWKQVRKIMVANVLSMRRVQLFHDGRKEEVLHLINKIVSHASSHPLKPLNMSRVMFSYTNDLICRAVFGEVPRHQEGRNEIFMEMIEENITLLRLFHVEDFFPSLRWLDSLLGLDERVKKNFKKWDDSLSQMVEEHKIKKKDGTDKDDDFVDVLLSFKNDPNLGFSLTDEHVKALLVDMFVAGTDTTYIAFEWSIAELVRNPDVMKKLQNEINGIASGKSMVDEG >DRNTG_03909.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14714650:14715090:1 gene:DRNTG_03909 transcript:DRNTG_03909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGMFCATSLEYMMEFGIMSLVTRDIQASSTIVNEQNDDVEMKPSYSVALTDVCVMGGEKLKVCLDQPYLYTVFYWRATGKYKEYMVALKGNDETKMLEVKLGRLPVCHFMAEDYVLWTVRM >DRNTG_14138.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:21419134:21420492:1 gene:DRNTG_14138 transcript:DRNTG_14138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCDKISDKAVFKGGNSDGVPQEVDLHVSGLSDLDAKITKNFALVCVTLSLSLSLSLSLSSRFPLPRSCRLQAPPPSQHYAVQFLPTSSCFGFSSVGHGWRKHLMLGQEDKEKETLDCLNQWFVKVHVKDLMLWRAMVSVYCKNGLFFEAIHTFAEMQDFGVCPNGVTLLSVLLACANTVSICWGNMCMALAFKEGDTDTKVTEIAAAQLMKLDPDNPSYYVLLSNMYSDIGKWEDAKRIRLLIDEKWFKKKGISM >DRNTG_10004.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3173298:3178989:-1 gene:DRNTG_10004 transcript:DRNTG_10004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKILNGVNYASGAGGILNHTGSLFVNRLGMDIQIDYFNTTRKQLDELLGKAGAKEYMTKKTMLSIVIGSNDILNNYLLPGISTAQMILQPPDQFLQQLISHFRAQLMRLYSLDARKFVVTNIGPLGCIPYQRTLNQMSESECVSLPNDLAMQYNALLKNLLEELNYNFPQAKFLYANLYDVLMELIQNHQQYGFDTASVACCGIGGKYAGFIPCGPTSPMCWDRSKYVFWDPYHPTEATNLIISQNFMDGQLHHISPSNIRQLINNFS >DRNTG_00399.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2869436:2872560:-1 gene:DRNTG_00399 transcript:DRNTG_00399.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAKKEKRMVPLEVLLKRELWRETMEEQPDILYGVVCQPKKGEDFTLVKTQCRRFPGDPFFSVFALFDGHEGCAAAIYAKENLLDNILSAIPKNHSREQWLAALPRALVSGFVKTDKEFLDRAQHSGTTVTFVIIDGWVVTIASVGDSLCILESAEGSVHYLSEDHRLEFNKKEVERLIASGVQVRRLHTVGGTEVGPLRCWPGGLSVSRTIGDRNASTAIVPIPHVKQIKLSNAGGRIIISSDGVWDSLTTEMVLNISCGLPVVKAATEIVEEVVHLKGLRDDTTCIVVDILPPEKLSPSVAPSMKQRMVILKSLFFKKSCDSSSKYHRELSEPDLVEEIFEDGSAVLAQSKLSNAVYVQSVHLRDLPD >DRNTG_00399.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2869436:2872560:-1 gene:DRNTG_00399 transcript:DRNTG_00399.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAKKEKRMVPLEVLLKRELWRETMEEQPDILYGVVCQPKKGEDFTLVKTQCRRFPGDPFFSVFALFDGHEGCAAAIYAKENLLDNILSAIPKNHSREQWLAALPRALVSGFVKTDKEFLDRAQHSGTTVTFVIIDGWVVTIASVGDSLCILESAEGSVHYLSEDHRLEFNKKEVERLIASGVQVRRLHTVGGTEVGPLRCWPGGLSVSRTIGDRNASTAIVPIPHVKQIKLSNAGGRIIISSDGVWDSLTTEMVLNISCGLPVVKAATEIVEEVVHLKGLRDDTTCIVVDILPPEKLSPSVAPSMKQRMVILKSLFFKKSCDSSSKYHRELSEPDLVEEIFEDGSAVLAQRYI >DRNTG_00399.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2869436:2872560:-1 gene:DRNTG_00399 transcript:DRNTG_00399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAKKEKRMVPLEVLLKRELWRETMEEQPDILYGVVCQPKKGEDFTLVKTQCRRFPGDPFFSVFALFDGHEGCAAAIYAKENLLDNILSAIPKNHSREQWLAALPRALVSGFVKTDKEFLDRAQHSGTTVTFVIIDGWVVTIASVGDSLCILESAEGSVHYLSEDHRLEFNKKEVERLIASGVQVRRLHTVGGTEVGPLRCWPGGLSVSRTIGDRNASTAIVPIPHVKQIKLSNAGGRIIISSDGVWDSLTTEMVLNISCGLPVVKAATEIVEEVVHLKGLRDDTTCIVVDILPPEKLSPSVAPSMKQRMVILKSLFFKKSCDSSSKYHRELSEPDLVEEIFEDGSAVLAQRLSAANCPMQYMFNQFICAICRIEMKPGEGTFINNVGSSKQKRFHPWNEPLLCRVCLAKKEAMEGKIINCISS >DRNTG_07775.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1072151:1074376:1 gene:DRNTG_07775 transcript:DRNTG_07775.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-3-methyladenine glycosylase [Source:Projected from Arabidopsis thaliana (AT3G12040) UniProtKB/Swiss-Prot;Acc:Q39147] MMLNIVADEEGVGAAVLIRSCSPVNGLKTIQERRGQNTVKPVLLTGPGKVGQALGLTTAWSHHPLYTPGGLEVLDGPEPENILVGPRVGIEYASPEHVSAPWRFAIAGSPWISSPRNTLRPP >DRNTG_07775.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1072151:1074376:1 gene:DRNTG_07775 transcript:DRNTG_07775.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-3-methyladenine glycosylase [Source:Projected from Arabidopsis thaliana (AT3G12040) UniProtKB/Swiss-Prot;Acc:Q39147] MAAALGSHVAPPLRPSPMKTSSIAPPSFKRTPKKKTTTTTNKKKQSSRAVDHHSTPLLITPVPTNPSPAPILPPEFFLVDALDLAPRLLGKLLRRDEVVLRITEVEAYRPNDTACHGRFGLTARTAPVFGAGGHAYVYLCYGLHMMLNIVADEEGVGAAVLIRSCSPVNGLKTIQERRGQNTVKPVLLTGPGKVGQALGLTTAWSHHPLYTPGGLEVLDGPEPENILVGPRVGIEYASPEHVSAPWRFAIAGSPWISSPRNTLRPP >DRNTG_31370.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28454330:28456493:1 gene:DRNTG_31370 transcript:DRNTG_31370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKIKIKKINNATARQVMFAKCRRARVVQEVTGTCHFV >DRNTG_31370.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28454509:28456493:1 gene:DRNTG_31370 transcript:DRNTG_31370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKIKIKKINNATARQVMFAKCRRARVVQEVTGTCHFV >DRNTG_31370.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28454509:28457391:1 gene:DRNTG_31370 transcript:DRNTG_31370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKIKIKKINNATARQVMFAKCRRARVVQEVTGTCHFV >DRNTG_08881.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27661860:27664035:-1 gene:DRNTG_08881 transcript:DRNTG_08881.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFEHPYVPKDLELHDYVPCFLSQSEIVAPYLVVSVVVVALVWILSGRASKILLIDRLLMCWWAFTGLTHIILEGYFAFSPDFYKEKTPFFLAEVWKEYSKGDSRYAGRDSAIVTVEAVTAVIEGPACLLAIYAIASKKAYSHTLQLAICLGQLYGCLIYFITAFLEGDNFSASPFYYWTYYIGANGWWVLIPSLIAIRSWKKINAAFQGRTKKTKAN >DRNTG_01610.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11981082:11991192:-1 gene:DRNTG_01610 transcript:DRNTG_01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINPDPFEGVLDEEVENEDILMLGLKDKIQPTPGIMKKTLWKMKRARRCHKKCRKANGDKQEWSNDTSDEVVDEYIQEMFNPDLYDGLFDQEVENKEVIMLSSTEEVPSTPGILKKVFRKMKMARRRHWKHSKAVRDGCEPTRLDAPLLGGPKPDNSPLPPRDTVHHAFKLWVRGQPSSMNPHEVRQGMSRHARAEYPYRCARHFDDSLGWKEKPQGRATAPVSRAHGYMAPHSKKHEVKHLRETPPELVHMEFLNPEQQARFERLSALSFAVGS >DRNTG_21745.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13523984:13525459:1 gene:DRNTG_21745 transcript:DRNTG_21745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGNHYLSTHATHHSLSLSSQESHCSLVDG >DRNTG_31799.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:555482:563905:1 gene:DRNTG_31799 transcript:DRNTG_31799.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVATTAVRQKLRALCHDKPWIYAVFWKLKNRSDLLTWEDGYIDNGKSVAYVRNALDHGVLSGKFGTTYFCCKMDIASGKLILCPTHIAMAGISHDSYAIGEGVLCKRQSADNHVWIAASELNSEILSDYPPEWQLQFAAGIKTVLLVPVIPLGVVQLGSLDMVEEDLNVVAYIKDSFSIFQHNAGACSDMALRLGHVNPLSSVKECLVENLLSPSSLIGNLPNPIQSQQSWGRDFMAIDSGQKNGDEMISSDHHFYPLFAPEDDFPHQIVTAQVMEMNEKPREEESIWKIIYGELLVDPNNAFFDHHLCEEEITQISMNLPDNSYLSHDANDISFAENMFDETLWKNFEAGTMIDDDQLLSFPTYCELHKALGSGSLEQHADHTWNISSSKTAQSNCTPAKYETIIEESKAWLANGNDTGLLLDAVMTHTRCGSDDGSSETSRCTKSYSSSSEKLSDSCLTQCKAEIDPLRFDISQMLAHVNGAAGPFDGLMDSPRRYSSNDSNIFSNDGHQKKGTAKLHPIAKRRGKNNDLHKPRPRDRQLIQDRVKELRELIPNGSKCSIDALLDRTVKHMLFLKNLSSQTEKLQHCSYSKAQVKDADSCLSTAQTHQDQVSLACQLGRTSKQFPLIIENLDQPGHILVEMLCSEYGLFLEIAQVIRSMRLTILKGVLKNKSEELWAHFIVETSRGFHRLDILLPLMRLLQRNVPSDTPIM >DRNTG_31799.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:557796:563905:1 gene:DRNTG_31799 transcript:DRNTG_31799.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVATTAVRQKLRALCHDKPWIYAVFWKLKNRSDLLTWEDGYIDNGKSVAYVRNALDHGVLSGKFGTTYFCCKMDIASGKLILCPTHIAMAGISHDSYAIGEGVLCKRQSADNHVWIAASELNSEILSDYPPEWQLQFAAGIKTVLLVPVIPLGVVQLGSLDMVEEDLNVVAYIKDSFSIFQHNAGACSDMALRLGHVNPLSSVKECLVENLLSPSSLIGNLPNPIQSQQSWGRDFMAIDSGQKNGDEMISSDHHFYPLFAPEDDFPHQIVTAQVMEMNEKPREEESIWKIIYGELLVDPNNAFFDHHLCEEEITQISMNLPDNSYLSHDANDISFAENMFDETLWKNFEAGTMIDDDQLLSFPTYCELHKALGSGSLEQHADHTWNISSSKTAQSNCTPAKYETIIEESKAWLANGNDTGLLLDAVMTHTRCGSDDGSSETSRCTKSYSSSSEKLSDSCLTQCKAEIDPLRFDISQMLAHVNGAAGPFDGLMDSPRRYSSNDSNIFSNDGHQKKGTAKLHPIAKRRGKNNDLHKPRPRDRQLIQDRVKELRELIPNGSKCSIDALLDRTVKHMLFLKNLSSQTEKLQHCSYSKAQVKDADSCLSTAQTHQDQVSLACQLGRTSKQFPLIIENLDQPGHILVEMLCSEYGLFLEIAQVIRSMRLTILKGVLKNKSEELWAHFIVETSRGFHRLDILLPLMRLLQRNVPSDTPIM >DRNTG_31799.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:555482:563905:1 gene:DRNTG_31799 transcript:DRNTG_31799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATTAVRQKLRALCHDKPWIYAVFWKLKNRSDLLTWEDGYIDNGKSVAYVRNALDHGVLSGKFGTTYFCCKMDIASGKLILCPTHIAMAGISHDSYAIGEGVLCKRQSADNHVWIAASELNSEILSDYPPEWQLQFAAGIKTVLLVPVIPLGVVQLGSLDMVEEDLNVVAYIKDSFSIFQHNAGACSDMALRLGHVNPLSSVKECLVENLLSPSSLIGNLPNPIQSQQSWGRDFMAIDSGQKNGDEMISSDHHFYPLFAPEDDFPHQIVTAQVMEMNEKPREEESIWKIIYGELLVDPNNAFFDHHLCEEEITQISMNLPDNSYLSHDANDISFAENMFDETLWKNFEAGTMIDDDQLLSFPTYCELHKALGSGSLEQHADHTWNISSSKTAQSNCTPAKYETIIEESKAWLANGNDTGLLLDAVMTHTRCGSDDGSSETSRCTKSYSSSSEKLSDSCLTQCKAEIDPLRFDISQMLAHVNGAAGPFDGLMDSPRRYSSNDSNIFSNDGHQKKGTAKLHPIAKRRGKNNDLHKPRPRDRQLIQDRVKELRELIPNGSKCSIDALLDRTVKHMLFLKNLSSQTEKLQHCSYSKAQVKDADSCLSTAQTHQDQVSLACQLGRTSKQFPLIIENLDQPGHILVEMLCSEYGLFLEIAQVIRSMRLTILKGVLKNKSEELWAHFIVETSRGFHRLDILLPLMRLLQRNVPSDTPIM >DRNTG_08163.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000368.1:40912:41894:-1 gene:DRNTG_08163 transcript:DRNTG_08163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCVTTTTTTMMSSHHQSGSSIPFRFFFIILVLFSFSSFSSSANALLMTSHSQQCLDLLNLKKGFDLSNALTSLSDWLAGTDCCRWEGVSCDEASSLVVSLDLSERYIGGNIMPSLFNLTSLQTLNLSSNWFNQLSDVLLSDLEKLANLTHLNLSHSGLLGGQVPISISHLTKLISLDLSSYMDYRYALKLEKPDLGTLIKDLSNLKELYLDRVNISSSRTEWCQAVSYSVPGLQLLSLQGSLLSGPIDSSLSKLQNLSILHLDYNDLSSQVPDFFAKFSSLTVLSLNECGLQGVFPTGVFELLNLMTLDLSFNEMLEGVFPEFP >DRNTG_22421.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23301245:23302045:1 gene:DRNTG_22421 transcript:DRNTG_22421.1 gene_biotype:protein_coding transcript_biotype:protein_coding RIKYRDVHPTLLSTLFSSVLVLSFIDIDTCAMRPEEDKKRHTAPDELFSEIRRH >DRNTG_03415.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3314417:3316657:1 gene:DRNTG_03415 transcript:DRNTG_03415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAHDGGFGGASSRRKPLGLMANAMKRKESFVQFFLMTGILMLSLRSLGQKYRIHELTNDNSSLRDERDSLSLRMASVKDALLREASLDPSGLLSSHLRRLFAEDDNN >DRNTG_21759.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23163883:23164470:-1 gene:DRNTG_21759 transcript:DRNTG_21759.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSLNQAQGEWSSEGRERTDGKDSRAQPQRQ >DRNTG_14063.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5022065:5025212:-1 gene:DRNTG_14063 transcript:DRNTG_14063.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKWVDEPADSLPPGRGLGSVGELENSNPRSTEDRCSTMRVLSTNCRTEEVEPGRFIRKCANVEQTFRSCIGRPTELVESRTEHTEDDVTDEIKSSVISFGSSRPQPFNLPGFQNEIEAIERGLSGGFSRFLDAAEEMANDIFNSLNIPPFRTRESPSFDRAERQPEQDVSKKHDSPYSEISGEIKEL >DRNTG_05128.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30580510:30581498:-1 gene:DRNTG_05128 transcript:DRNTG_05128.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g07350/T13E11.12 [Source:Projected from Arabidopsis thaliana (AT2G07340) UniProtKB/TrEMBL;Acc:Q94AF7] MAEETSRTAFVELQGRIIETTAKLKQVQNQMRGKEGEKKRAYLTLEELRQLPDDTKTYKSIGMESLLA >DRNTG_05128.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30578478:30581498:-1 gene:DRNTG_05128 transcript:DRNTG_05128.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g07350/T13E11.12 [Source:Projected from Arabidopsis thaliana (AT2G07340) UniProtKB/TrEMBL;Acc:Q94AF7] MAEETSRTAFVELQGRIIETTAKLKQVQNQMRGKEGEKKRAYLTLEELRQLPDDTKTYKSIGKM >DRNTG_05128.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30578478:30581498:-1 gene:DRNTG_05128 transcript:DRNTG_05128.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g07350/T13E11.12 [Source:Projected from Arabidopsis thaliana (AT2G07340) UniProtKB/TrEMBL;Acc:Q94AF7] MAEETSRTAFVELQGRIIETTAKLKQVQNQMRGKEGEKKRAYLTLEELRQLPDDTKTYKSIGKMFVLEPKSLLMNEQEEKFKDSESAITSLQTSKEYFEKQMAEVENNLRELLQQDPGLARQIMSMSVG >DRNTG_23619.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26289702:26294855:-1 gene:DRNTG_23619 transcript:DRNTG_23619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNTVFLQKIVIGGAFVGYDFLETNVWVPTFKKANEVYASRRTSRRGGDRLPRDIRPWPVAEPVPQPGQELHLEEVSLEAEEAYTHEETLKKVAKKGAVAEAG >DRNTG_25213.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20138055:20138616:1 gene:DRNTG_25213 transcript:DRNTG_25213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHVHSIFKCSVVDLVVETDDVASYPTENEFFSLNSESGSASVPHHGEPEGVACLPSSSDQAEVLSLDIGQCFEGVEHFRDALRNFAIKRNFDFKLLKNEKHRVTVEGSFDFKNGV >DRNTG_31808.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1619873:1621606:1 gene:DRNTG_31808 transcript:DRNTG_31808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAARRLVICNEIGILNYSNSKLRGLFYGLYNGYPPNFRALKGQLGRFKLLRVLYLNTLGMSEFPSEIKSLIHLRYLAFIDAYLKEVPSWIGHLRNLQTFILSNEEGLEKISDSLWTIGNLRHFSLESTSRVPPPNLGNNVPKNLQTLDGVTAGSWIGNTLPKLTNLCELGIQSVSNDHADALSSSLQKLGRLAALSIHGAYGDEIPLDNFITAFSNQHCLKKLFLSGSSNRKQLLPYDVFPQQLVDLQLSYSNLEQDPMATLEKLPCLKYLYLYDAYRGKEMICSATGFPQLLSLQILGRELEEWKIEEKAMSCLKFLGIYSCKRLKMIPEELKNVRLDRLHFIYMPEEFMNRIKENTGEDWYKIQHVPDIDIIN >DRNTG_33439.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2991598:2995817:-1 gene:DRNTG_33439 transcript:DRNTG_33439.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor 27 [Source:Projected from Arabidopsis thaliana (AT5G47140) UniProtKB/Swiss-Prot;Acc:Q5PP38] MGKQGPCHHCGATSTPLWRNGPPEKPVLCNACGSRWRTKGTLTNYFPFQAREHKNLEGTKVPEAPKLKIFSSKIKEQRIHRRMQENVTEEIEHETPYCGQNFQKFFDEDVSNRSSSGSAISFSEGCAYFAASDMNDLTASQQSVVWEPVVPSRKRACIPRPKPSSVEKLRRDLYSIMCEQQSSNISEITDSDLLYENENLSASAEIGHGGVLIRLPNSKVVEEESEASSFPINDKLYNENKVYTRLVYATSKHKHFPF >DRNTG_33439.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2991540:2995817:-1 gene:DRNTG_33439 transcript:DRNTG_33439.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor 27 [Source:Projected from Arabidopsis thaliana (AT5G47140) UniProtKB/Swiss-Prot;Acc:Q5PP38] MGKQGPCHHCGATSTPLWRNGPPEKPVLCNACGSRWRTKGTLTNYFPFQAREHKNLEGTKVPEAPKLKIFSSKIKEQRIHRRMQENVTEEIEHETPYCGQNFQKFFDEDVSNRSSSGSAISFSEGCAYFAASDMNDLTASQQSVVWEPVVPSRKRACIPRPKPSSVEKLRRDLYSIMCEQQSSNISEITDSDLLYENENLSASAEIGHGGVLIRLPNSKVVEEESEASSFPINDKLYNENKVYTRKSTCC >DRNTG_33439.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2991598:2995817:-1 gene:DRNTG_33439 transcript:DRNTG_33439.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor 27 [Source:Projected from Arabidopsis thaliana (AT5G47140) UniProtKB/Swiss-Prot;Acc:Q5PP38] MGKQGPCHHCGATSTPLWRNGPPEKPVLCNACGSRWRTKGTLTNYFPFQAREHKNLEGTKVPEAPKLKIFSSKIKEQRIHRRMQENVTEEIEHETPYCGQNFQKFFDEDVSNRSSSGSAISFSEGCAYFAASDMNDLTASQQSVVWEPVVPSRKRACIPRPKPSSVEKLRRDLYSIMCEQQSSNISEITDSDLLYENENLSASAEIGHGGVLIRLPNSKVVEEESEASSFPINDKLYNENKVYTSDISECEKLYVLQGRDSPLSRIDLKDVISFEAFSSLFSDEEQQLLIKFLPSADTAKTQTSLKSMFFSPQFLEDLHCFQQLLLEGIFDLSLSGVDAKECNNLKNLVLNNTEKARWVEQYEQLKDVKHQKTSKHGIDETTVC >DRNTG_33918.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:15313600:15315555:1 gene:DRNTG_33918 transcript:DRNTG_33918.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRNQEMKKVEENLKSLLKEQAEKQDEKHGRAIQELRDLQNHAIQELKDKQVKDMSEVHTMLLNLQPINAPSSVNAVNAVSSVKNAVGMKKGRFVQRPTNTTPLLPTPKPYYNGAGMSRERNMGGVNRGAGEKPFKQLSSKEIEERRKKGLCYWCDDKYSVGHNCRSKQLYRIELIDDQSEGEEEAIDEEGPGKEEDSSGDELCSLVVTNYSVQGERNIDNSSLMLNEVQQKQLKEILEGNEEVFQEVNVLPPARVHDHIITLRGRLVRGNVERLPRVTW >DRNTG_02273.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:921000:925568:-1 gene:DRNTG_02273 transcript:DRNTG_02273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSESPFGRPSPRRELQGPRPTPLKVNKDSYKIKKPPPGPPPYQADTMAVPPPQPRPPVIIYTVSPKIIHTNPNDFMSLVQSLTGLTSTNTTTTMSSTATTSAALPSPTVLSPAARLALEQPPVNSSSDLRKTEFTIIEGMEMVIEENQMMNNRASISTFPGILSPMPSSLPPISPGFFSPSVDPNSLSFLHELSPAFHGNRSYVENTFLASPNNFLTTPTIPSPGAYWDLFNQLQDP >DRNTG_02273.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:921000:924715:-1 gene:DRNTG_02273 transcript:DRNTG_02273.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSESPFGRPSPRRELQGPRPTPLKVNKDSYKIKKPPPGPPPYQADTMAVPPPQPRPPVIIYTVSPKIIHTNPNDFMSLVQSLTGLTSTNTTTTMSSTATTSAALPSPTVLSPAARLALEQPPVNSSSDLRKTEFTIIEGMEMVIEENQMMNNRASISTFPGILSPMPSSLPPISPGFFSPSVDPNSLSFLHELSPAFHGNRSYVENTFLASPNNFLTTPTIPSPGAYWDLFNQLQDP >DRNTG_02273.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:921210:924715:-1 gene:DRNTG_02273 transcript:DRNTG_02273.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSESPFGRPSPRRELQGPRPTPLKVNKDSYKIKKPPPGPPPYQADTMAVPPPQPRPPVIIYTVSPKIIHTNPNDFMSLVQSLTGLTSTNTTTTMSSTATTSAALPSPTVLSPAARLALEQPPVNSSSDLRKTEFTIIEGMEMVIEENQMMNNRASISTFPGILSPMPSSLPPISPGFFSPSVDPNSLSFLHELSPAFHGNRSYVENTFLASPNNFLTTPTIPSPGAYWDLFNQLQDP >DRNTG_02273.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:921210:922685:-1 gene:DRNTG_02273 transcript:DRNTG_02273.9 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSESPFGRPSPRRELQGPRPTPLKVNKDSYKIKKPPPGPPPYQADTMAVPPPQPRPPVIIYTVSPKIIHTNPNDFMSLVQSLTGLTSTNTTTTMSSTATTSAALPSPTVLSPAARLALEQPPVNSSSDLRKTEFTIIEGMEMVIEENQMMNNRASISTFPGILSPMPSSLPPISPGFFSPSVDPNSLSFLHELSPAFHGNRSYVENTFLASPNNFLTTPTIPSPGAYWDLFNQLQDP >DRNTG_02273.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:921112:925568:-1 gene:DRNTG_02273 transcript:DRNTG_02273.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSESPFGRPSPRRELQGPRPTPLKVNKDSYKIKKPPPGPPPYQADTMAVPPPQPRPPVIIYTVSPKIIHTNPNDFMSLVQSLTGLTSTNTTTTMSSTATTSAALPSPTVLSPAARLALEQPPVNSSSDLRKTEFTIIEGMEMVIEENQMMNNRASISTFPGILSPMPSSLPPISPGFFSPSVDPNSLSFLHELSPAFHGNRSYVENTFLASPNNFLTTPTIPSPGAYWDLFNQLQDP >DRNTG_02273.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:921112:924715:-1 gene:DRNTG_02273 transcript:DRNTG_02273.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSESPFGRPSPRRELQGPRPTPLKVNKDSYKIKKPPPGPPPYQADTMAVPPPQPRPPVIIYTVSPKIIHTNPNDFMSLVQSLTGLTSTNTTTTMSSTATTSAALPSPTVLSPAARLALEQPPVNSSSDLRKTEFTIIEGMEMVIEENQMMNNRASISTFPGILSPMPSSLPPISPGFFSPSVDPNSLSFLHELSPAFHGNRSYVENTFLASPNNFLTTPTIPSPGAYWDLFNQLQDP >DRNTG_02273.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:921112:922460:-1 gene:DRNTG_02273 transcript:DRNTG_02273.10 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSESPFGRPSPRRELQGPRPTPLKVNKDSYKIKKPPPGPPPYQADTMAVPPPQPRPPVIIYTVSPKIIHTNPNDFMSLVQSLTGLTSTNTTTTMSSTATTSAALPSPTVLSPAARLALEQPPVNSSSDLRKTEFTIIEGMEMVIEENQMMNNRASISTFPGILSPMPSSLPPISPGFFSPSVDPNSLSFLHELSPAFHGNRSYVENTFLASPNNFLTTPTIPSPGAYWDLFNQLQDP >DRNTG_02273.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:921112:922685:-1 gene:DRNTG_02273 transcript:DRNTG_02273.8 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSESPFGRPSPRRELQGPRPTPLKVNKDSYKIKKPPPGPPPYQADTMAVPPPQPRPPVIIYTVSPKIIHTNPNDFMSLVQSLTGLTSTNTTTTMSSTATTSAALPSPTVLSPAARLALEQPPVNSSSDLRKTEFTIIEGMEMVIEENQMMNNRASISTFPGILSPMPSSLPPISPGFFSPSVDPNSLSFLHELSPAFHGNRSYVENTFLASPNNFLTTPTIPSPGAYWDLFNQLQDP >DRNTG_02273.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:921000:922685:-1 gene:DRNTG_02273 transcript:DRNTG_02273.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSESPFGRPSPRRELQGPRPTPLKVNKDSYKIKKPPPGPPPYQADTMAVPPPQPRPPVIIYTVSPKIIHTNPNDFMSLVQSLTGLTSTNTTTTMSSTATTSAALPSPTVLSPAARLALEQPPVNSSSDLRKTEFTIIEGMEMVIEENQMMNNRASISTFPGILSPMPSSLPPISPGFFSPSVDPNSLSFLHELSPAFHGNRSYVENTFLASPNNFLTTPTIPSPGAYWDLFNQLQDP >DRNTG_02273.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:921210:925568:-1 gene:DRNTG_02273 transcript:DRNTG_02273.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSESPFGRPSPRRELQGPRPTPLKVNKDSYKIKKPPPGPPPYQADTMAVPPPQPRPPVIIYTVSPKIIHTNPNDFMSLVQSLTGLTSTNTTTTMSSTATTSAALPSPTVLSPAARLALEQPPVNSSSDLRKTEFTIIEGMEMVIEENQMMNNRASISTFPGILSPMPSSLPPISPGFFSPSVDPNSLSFLHELSPAFHGNRSYVENTFLASPNNFLTTPTIPSPGAYWDLFNQLQDP >DRNTG_02273.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:921210:922460:-1 gene:DRNTG_02273 transcript:DRNTG_02273.11 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSESPFGRPSPRRELQGPRPTPLKVNKDSYKIKKPPPGPPPYQADTMAVPPPQPRPPVIIYTVSPKIIHTNPNDFMSLVQSLTGLTSTNTTTTMSSTATTSAALPSPTVLSPAARLALEQPPVNSSSDLRKTEFTIIEGMEMVIEENQMMNNRASISTFPGILSPMPSSLPPISPGFFSPSVDPNSLSFLHELSPAFHGNRSYVENTFLASPNNFLTTPTIPSPGAYWDLFNQLQDP >DRNTG_21280.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4733863:4737508:1 gene:DRNTG_21280 transcript:DRNTG_21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding KIVSHPTACLAQPSPTSQPSSREWEEWNRGIDLLNNSTTNFFFSKFWMLGRRRKTRRKT >DRNTG_16932.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:11055394:11055945:-1 gene:DRNTG_16932 transcript:DRNTG_16932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCSSTVHSRPRNQRNRESTRACGNFPRRCGNSAWAREPSTPVESPDSSLI >DRNTG_32307.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3292879:3297164:1 gene:DRNTG_32307 transcript:DRNTG_32307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFGRRPLDGKRGTVKFSSEDKVVFTNYTDSEIVPNLISALAAPVLRTQLSSWTSDPSPRKIINFELPGKRFSAAVERISKLLSPLSLIVVKAAGERAPSKDENHKNKRRNEEEKRRKDTIPAAPLKLKPKPKPKLKPTHRSFCNTSHLLRHRPGTIALC >DRNTG_07783.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1151268:1152237:-1 gene:DRNTG_07783 transcript:DRNTG_07783.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGDEEEEDGESQRSRRHGSRLLLLLLL >DRNTG_07783.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1151268:1152237:-1 gene:DRNTG_07783 transcript:DRNTG_07783.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGDEEEEDGESQRSRRHGSRLLLLLLL >DRNTG_07783.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1151268:1153381:-1 gene:DRNTG_07783 transcript:DRNTG_07783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGDEEEEDGESQRSRRHGSRLLLLLLL >DRNTG_07783.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1151268:1153381:-1 gene:DRNTG_07783 transcript:DRNTG_07783.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGDEEEEDGESQRSRRHGSRLLLLLLL >DRNTG_07783.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1151268:1153381:-1 gene:DRNTG_07783 transcript:DRNTG_07783.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGDEEEEDGESQRSRRHGSRLLLLLLL >DRNTG_07783.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1151268:1152237:-1 gene:DRNTG_07783 transcript:DRNTG_07783.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGDEEEEDGESQRSRRHGSRLLLLLLL >DRNTG_01373.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17901299:17906453:-1 gene:DRNTG_01373 transcript:DRNTG_01373.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGETTNILKEMLLCGYKPDVEPFLSMLLQTFRATKLLELRTRSRIFIPKGRSLMGCLDETRTLDYGEVFVQVSRTGNKNVYDDGLSKFSGSELKVGTAVVKGKVIVAKNPCLHPGDIRVLQAIDVPCLHHMVDCVVFPQKGKRPHPNECSGSDLDGDIYFVSWDPMLIPPCQDEPMDYTAAKPEILDHDVTIEEVEKYFVNYILNDSLGIIANAHTVFADKEPLKARSEACLELARLFSIAVDFPKTGVPAVIPPNLHVKEYPDFMEKQDKVTYISEGVIGKLFREIKDHAPNSCRIKAFTREVAARSYDSDMEVDGFIEYIDEASFFKNDYDTKLGNLMDHYEIKTEAEILSGSVMNASKPFNRHKDGEAVILAVRSLRKEARGWFNEKRHDDEDEDDAFAKASAWYHVTYHPDYWGGIYNEELNRPHFLSFAWCVYDKLIVIKQKNMRMRRTAQSLQR >DRNTG_01373.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17879581:17906453:-1 gene:DRNTG_01373 transcript:DRNTG_01373.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGETTNILKEMLLCGYKPDVEPFLSMLLQTFRATKLLELRTRSRIFIPKGRSLMGCLDETRTLDYGEVFVQVSRTGNKNVYDDGLSKFSGSELKVGTAVVKGKVIVAKNPCLHPGDIRVLQAIDVPCLHHMVDCVVFPQKGKRPHPNECSGSDLDGDIYFVSWDPMLIPPCQDE >DRNTG_20364.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2708823:2714206:1 gene:DRNTG_20364 transcript:DRNTG_20364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGGQAAEQRESGANAVPSGAGPPPSGASVNLSAVGDSFTYDQLIKATSEFSDDNLIKHGHSGDLFHGILEGGVPVVVKKIDLRVVKKEGHAIELDVFAKASHSRLVPLLGHCLDNENVKFLLYKFMPNRDLSSALYRKNESEEEGLQSLDWITRLKIATGVAEALCFLHHQCVPPLVHRDIQASSILLDDKFEVRLGSLSEVSPQEGDGHQKVITRLLRFSQTSEASSSGSPPSTCAYDVYCFGKVLLELVTGKLGISGSDNAMTNEWMDQTLPYISIYEKELVTNIVDPSLIVDEDLLEEVWAMAIVAKSCLNPKPSKRPLMRYILKALENPLKVVREENGSGSARLRATSSRGSWNAALFGSWRHSSSEIPVPPREDNTLKRSGTTRSQGSCGEHSFNHKRPSKEIFPEPSGARDTND >DRNTG_12810.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000573.1:8713:9146:-1 gene:DRNTG_12810 transcript:DRNTG_12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLGCRCREEIKGSKDRLERHDVESFCVIRRLRHKRSSRMWNNARPLKDASMKKSLLAYHVIVCPIMCPKEEEYFDHDGGKYCSKVL >DRNTG_18505.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18159553:18160218:1 gene:DRNTG_18505 transcript:DRNTG_18505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKLLTLLLLPLILPLSYAATFEIVNRCPYTVWAAAFPGGGKKLNSGQSWNIDVNPGTTGGRVWARTGCNFDSSGHGSCQTGDCNGLLKCQGYGKPPNTLAEFSLDQPNNLDYIDISLVDGFNVPMRFSPTGGCARVIRCSADINGQCPAHLRAPGGCNNPCTVFKTNKYCCNSGSCEPTHYSKFFKSHCPDAYSYPNDDPTSLFTCPGGTNYKVVFCP >DRNTG_21186.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11583478:11584964:1 gene:DRNTG_21186 transcript:DRNTG_21186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGSSSKSELVMSCSTCGGGHVVAQCPIASSSIDPIENVDYIGGQRPQGNPYSSTYNPGWKYHPNFSWNQGQQQKASPPPQGSQMQQAILEKRFTTKDVLTKFMINTESRFNSITNSMDTQYSKVNAQLGQHAGQFNEIGSILRNLQASVKSLEHQVGQLAKENYERPSGSLPSNTEDNPREHLKAIALRSGRQVETRVRVDPIVKETGVAKVEDPNIAEKVIKKRKQGGAIIKPIG >DRNTG_29703.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3615128:3628756:-1 gene:DRNTG_29703 transcript:DRNTG_29703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYERWERLVRAALDRERLRVAAGATHGIGGSEAAGLAGAVPPSLGKTTNIDRILHAADEIENEDPNVARILCEHAYSRAQNLDPSSEGRGVLQFKTGLASLIKQKLARKDGSAFDRQNDIERLWKFYLGYKNRYQIDDIQKERQKLRESGTFSTNFAEMEARAVEMRKVYAILRTLVDVLEALVGDSAADGIGRLIMEEVKKMKKSDATLGGELTPYNIVPLDAPSFGNAIGFFPEVKAAISSIGYTSEFPRPPVDFEVPQFRKFDMFDLLEYVFGFQKDNVRNQRENVVLAIANAQSRLGLPIEAEPKIDEKTISELFKKVLGNYIKWCKYLGVRVAWNSLEAINRNRKVILISLYFLIWGEAANVRFLPECICYIFHHMAKELDAILDQPEPAHAGSCTGGDGTVSFLAEIVTPIYETMAAEVPVNNNAAHSSWRNYDDFNEYFWSSSCFKMGWPIRKDSSFLSKPRKWHRTGKCDFVEHRTFLHLYRSFHRLWIFLILMFQGLTIIAFRKGTIDLNTFKTVLSVGPVFFIMNFLESTLDIILMFGAYKTARGFAISRLIIRFLWFGIISASTMYLYLKLMEERNKRNSDSTYFRIYVLVLGVYVAIRTLFALLVKIPACHRVSDASDRWSFFQFFKWIYQERYFVGRGLYEKTSDYARYVLFWLVIFACKFTFAYFLQIKPLVSPTNIIIDLR >DRNTG_13931.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19622375:19624094:-1 gene:DRNTG_13931 transcript:DRNTG_13931.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLSKSSNFLNNNEEFFIQEVETHEIGESSPPLRIPRRRRLSHPPLLPR >DRNTG_27685.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:12152932:12153615:1 gene:DRNTG_27685 transcript:DRNTG_27685.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase ATL41 [Source:Projected from Arabidopsis thaliana (AT2G42360) UniProtKB/Swiss-Prot;Acc:Q9SLC3] MASSPSNPPPLPPPNAFLPGTQEDNNNNYYYDYNNNYNYNNGYNLNNRIMLTAIISLCFVILLVIVLHLYARYVIRRHLANRRANLISTFRIGVASSSAFEMPETYSVGIDSSSIEKLPLFRYKRSGSENPTMECSVCLSALEDGEMVRMLPGCRHMFHVGCIDMWLHSHCTCPVCRAEVKAVMEKGETSSGSVLGLSSSFSKMLSWERSGRRVHDAPALSDDPERQ >DRNTG_23039.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3280146:3281216:-1 gene:DRNTG_23039 transcript:DRNTG_23039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMREILLNRSGHFIKPEDNPLMKLLTMGLSTLEGQAWAQRRKLVNPAFHLDKLKVPLVT >DRNTG_17912.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000925.1:65112:66760:-1 gene:DRNTG_17912 transcript:DRNTG_17912.7 gene_biotype:protein_coding transcript_biotype:protein_coding ISFSCLIYLSFNVHAGLIGLTHLDLSDYLFDGFGLDNLKCFTNLQSLEICDGFLDDHYVDSIKGLTSLNLLNLSFNIGFTDRTLESISGLTALCLLNLTYNTDITDAGLRHLTPLKNLRSLYLDFTQVTAGELRRLHRDVLPNLVIFQPR >DRNTG_17912.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000925.1:68356:74750:-1 gene:DRNTG_17912 transcript:DRNTG_17912.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTKVTDFGIPYLRGLHELSYLDLLGCPVTFASLETISGFTSLLQLNLNRCGLSDVGCQKLSDLIQLKDLNLGYNRITDACLINLKGLINLESLNLEYCRISSEGLLSIEGLTSLRTLELSETAVEALSHLSGLPFIECHIQNYIIHLCVISVCKVCYSLH >DRNTG_17912.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000925.1:65112:68869:-1 gene:DRNTG_17912 transcript:DRNTG_17912.5 gene_biotype:protein_coding transcript_biotype:protein_coding GLTSLRTLELSETAVEALSHLSGLHNLENLNLSCTLVNDNSLAALSTLTSLKSLNLGDLLLTDVGLPFLSSLIGLTHLDLSDYLFDGFGLDNLKCFTNLQSLEICDGFLDDHYVDSIKGLTSLNLLNLSFNIGFTDRTLESISGIAIKIQSINYSSFLVSRCLFCSLILYFATSAGLTALCLLNLTYNTDITDAGLRHLTPLKNLRSLYLDFTQVTAGELRRLHRDVLPNLVIFQPR >DRNTG_17912.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000925.1:65112:67770:-1 gene:DRNTG_17912 transcript:DRNTG_17912.6 gene_biotype:protein_coding transcript_biotype:protein_coding QFSFFSGLHNLENLNLSCTLVNDNSLAALSTLTSLKSLNLGDLLLTDVGLPFLSSLIGLTHLDLSDYLFDGFGLDNLKCFTNLQSLEICDGFLDDHYVDSIKGLTSLNLLNLSFNIGFTDRTLESISGIAIKIQSINYSSFLVSRCLFCSLILYFATSAGLTALCLLNLTYNTDITDAGLRHLTPLKNLRSLYLDFTQVTAGELRRLHRDVLPNLVIFQPR >DRNTG_17912.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000925.1:67122:74750:-1 gene:DRNTG_17912 transcript:DRNTG_17912.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTKVTDFGIPYLRGLHELSYLDLLGCPVTFASLETISGFTSLLQLNLNRCGLSDVGCQKLSDLIQLKDLNLGYNRITDACLINLKGLINLESLNLEYCRISSEGLLSIEGLTSLRTLELSETAVEALSHLSGLHNLENLNLSCTLVNDNSLAALSTLTSLKSLNLGDLLLTDVGLPFLSS >DRNTG_17912.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000925.1:65112:74750:-1 gene:DRNTG_17912 transcript:DRNTG_17912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLICDFCPLSGLHELSYLDLLGCPVTFASLETISGFTSLLQLNLNRCGLSDVGCQKLSDLIQLKDLNLGYNRITDACLINLKGLINLESLNLEYCRISSEGLLSIEGLTSLRTLELSETAVEALSHLSGLHNLENLNLSCTLVNDNSLAALSTLTSLKSLNLGDLLLTDVGLPFLSSLIGLTHLDLSDYLFDGFGLDNLKCFTNLQSLEICDGFLDDHYVDSIKGLTSLNLLNLSFNIGFTDRTLESISGLTALCLLNLTYNTDITDAGLRHLTPLKNLRSLYLDFTQVTAGELRRLHRDVLPNLVIFQPR >DRNTG_17912.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000925.1:65112:71530:-1 gene:DRNTG_17912 transcript:DRNTG_17912.3 gene_biotype:protein_coding transcript_biotype:protein_coding GFTSLLQLNLNRCGLSDVGCQKLSDLIQLKDLNLGYNRITDACLINLKGLINLESLNLEYCRISSEGLLSIEGLTSLRTLELSETAVEALSHLSGLHNLENLNLSCTLVNDNSLAALSTLTSLKSLNLGDLLLTDVGLPFLSSFTNLQSLEICDGFLDDHYVDSIKGLTSLNLLNLSFNIGFTDRTLESISGLTALCLLNLTYNTDITDAGLRHLTPLKNLRSLYLDFTQVTAGELRRLHRDVLPNLVIFQPR >DRNTG_28036.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26215955:26219856:-1 gene:DRNTG_28036 transcript:DRNTG_28036.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQEAEAIAQQISEEEEKEKEDEEEEEEGKEDLLLIEHSNVFKEAEAIAQQISEEEEEEEEEEEEEEEEEEEEDEEEKEVVNNASKAKALDEIVSSNQTSPRGVLEVPVPNTDSDNSSSRSDSCRAETEPTDKQRPPASNEPVLVAQGLQWKSLITGFLLRRKRAMRRLATFPFTEEKSDLKQELVKINCSEDHMDLEGPQQHAKRGRPSWRNFDYQELVLATNNFNPDMLIGKGGHAEVYKGCLSDGQLVAVKKLTKGKSEEERTGDFLTELGIIAHVDHPNAAQLLGFSVDEGLHLVLQFSPHGSLATVLHGSKEKLEWGVRFNIALGVAKGLLYLHEGCHRRIIHRDIKASNILLTEEYQPQISDFGLAKWLPDKLPHHVVYPIEGTFGYMAPEYFMHGIVNEKTDVFAFGVLLLELITGRRAVDSSQQNRQSLVMWVKPLLTDDKMQELIDPAIGDAYDSSEMKRAVSVASMCIHHQPTARPNMSMVVRLLIGIDVSLDLMDEAAKPFARRLPIFDASDLDDYTCSRYLKDLNRHKQLALEQ >DRNTG_28036.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26215955:26219856:-1 gene:DRNTG_28036 transcript:DRNTG_28036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQEAIAQQISEEEEKEKEDEEEEEEGKEDLLLIEHSNVFKEAEAIAQQISEEEEEEEEEEEEEEEEEEEEDEEEKEVVNNASKAKALDEIVSSNQTSPRGVLEVPVPNTDSDNSSSRSDSCRAETEPTDKQRPPASNEPVLVAQGLQWKSLITGFLLRRKRAMRRLATFPFTEEKSDLKQELVKINCSEDHMDLEGPQQHAKRGRPSWRNFDYQELVLATNNFNPDMLIGKGGHAEVYKGCLSDGQLVAVKKLTKGKSEEERTGDFLTELGIIAHVDHPNAAQLLGFSVDEGLHLVLQFSPHGSLATVLHGSKEKLEWGVRFNIALGVAKGLLYLHEGCHRRIIHRDIKASNILLTEEYQPQISDFGLAKWLPDKLPHHVVYPIEGTFGYMAPEYFMHGIVNEKTDVFAFGVLLLELITGRRAVDSSQQNRQSLVMWVKPLLTDDKMQELIDPAIGDAYDSSEMKRAVSVASMCIHHQPTARPNMSMVVRLLIGIDVSLDLMDEAAKPFARRLPIFDASDLDDYTCSRYLKDLNRHKQLALEQ >DRNTG_28036.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26215955:26219070:-1 gene:DRNTG_28036 transcript:DRNTG_28036.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLATFPFTEEKSDLKQELVKINCSEDHMDLEGPQQHAKRGRPSWRNFDYQELVLATNNFNPDMLIGKGGHAEVYKGCLSDGQLVAVKKLTKGKSEEERTGDFLTELGIIAHVDHPNAAQLLGFSVDEGLHLVLQFSPHGSLATVLHGSKEKLEWGVRFNIALGVAKGLLYLHEGCHRRIIHRDIKASNILLTEEYQPQISDFGLAKWLPDKLPHHVVYPIEGTFGYMAPEYFMHGIVNEKTDVFAFGVLLLELITGRRAVDSSQQNRQSLVMWVKPLLTDDKMQELIDPAIGDAYDSSEMKRAVSVASMCIHHQPTARPNMSMVVRLLIGIDVSLDLMDEAAKPFARRLPIFDASDLDDYTCSRYLKDLNRHKQLALEQ >DRNTG_28036.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26215955:26219856:-1 gene:DRNTG_28036 transcript:DRNTG_28036.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQEAEAIAQQISEEEEKEKEDEEEEEEGKEEAEAIAQQISEEEEEEEEEEEEEEEEEEEEDEEEKEVVNNASKAKALDEIVSSNQTSPRGVLEVPVPNTDSDNSSSRSDSCRAETEPTDKQRPPASNEPVLVAQGLQWKSLITGFLLRRKRAMRRLATFPFTEEKSDLKQELVKINCSEDHMDLEGPQQHAKRGRPSWRNFDYQELVLATNNFNPDMLIGKGGHAEVYKGCLSDGQLVAVKKLTKGKSEEERTGDFLTELGIIAHVDHPNAAQLLGFSVDEGLHLVLQFSPHGSLATVLHGSKEKLEWGVRFNIALGVAKGLLYLHEGCHRRIIHRDIKASNILLTEEYQPQISDFGLAKWLPDKLPHHVVYPIEGTFGYMAPEYFMHGIVNEKTDVFAFGVLLLELITGRRAVDSSQQNRQSLVMWVKPLLTDDKMQELIDPAIGDAYDSSEMKRAVSVASMCIHHQPTARPNMSMVVRLLIGIDVSLDLMDEAAKPFARRLPIFDASDLDDYTCSRYLKDLNRHKQLALEQ >DRNTG_28036.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26215955:26219856:-1 gene:DRNTG_28036 transcript:DRNTG_28036.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQEAIAQQISEEEEKEKEDEEEEEEGKEEAEAIAQQISEEEEEEEEEEEEEEEEEEEEDEEEKEVVNNASKAKALDEIVSSNQTSPRGVLEVPVPNTDSDNSSSRSDSCRAETEPTDKQRPPASNEPVLVAQGLQWKSLITGFLLRRKRAMRRLATFPFTEEKSDLKQELVKINCSEDHMDLEGPQQHAKRGRPSWRNFDYQELVLATNNFNPDMLIGKGGHAEVYKGCLSDGQLVAVKKLTKGKSEEERTGDFLTELGIIAHVDHPNAAQLLGFSVDEGLHLVLQFSPHGSLATVLHGSKEKLEWGVRFNIALGVAKGLLYLHEGCHRRIIHRDIKASNILLTEEYQPQISDFGLAKWLPDKLPHHVVYPIEGTFGYMAPEYFMHGIVNEKTDVFAFGVLLLELITGRRAVDSSQQNRQSLVMWVKPLLTDDKMQELIDPAIGDAYDSSEMKRAVSVASMCIHHQPTARPNMSMVVRLLIGIDVSLDLMDEAAKPFARRLPIFDASDLDDYTCSRYLKDLNRHKQLALEQ >DRNTG_29058.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001464.1:9329:11095:1 gene:DRNTG_29058 transcript:DRNTG_29058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHMVPLSLKDYDEQETGLGEGIAQQRVASSSGTRKEGLGHMVKETEKDTHRYSRRERRISSRLRGYIIPVHQQNIKERQGQEERTA >DRNTG_29058.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001464.1:9329:10765:1 gene:DRNTG_29058 transcript:DRNTG_29058.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHMVPLSLKDYDEQETGLGEGIAQQRVASSSGTRKEGLGHMVKETEKDTHRYSRRERRISSRLRGYIIPVHQQNIKERQGQEERTA >DRNTG_22687.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:13808109:13816026:1 gene:DRNTG_22687 transcript:DRNTG_22687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLEKALNRFVQSSHTRFQLVEATLRNNTASLHNLENQVGQIAKLLSERTQGSLPSNAETNPREHVKAITLRSGHEVEGRLPNKKTNVEAPERRPRHPEKIQGRVNAPVSGPVKIHAHVEFPYGRVKHLDKFLVWIEEPQGAKSVLS >DRNTG_13393.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22937485:22940762:1 gene:DRNTG_13393 transcript:DRNTG_13393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLDMGIDDKKKKKQEGKKGGEKVSFFKLFSFADPKDVTLMAVGTIAGMANGFSIPLMTFIFGDLINTFGVANKANVVHLVSKVIIKFVYLAIGSGVASFLQVSCWMVTGERQAARIRGLYLKTILRQDIAFFDNETTTGEVIGRMSRDTILIQEAIG >DRNTG_28419.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4497294:4497761:1 gene:DRNTG_28419 transcript:DRNTG_28419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGDITLAKICGTIAADEKLHERAYTKIIEKLFEIDPNATMTSMAEMMRKRINMPVRVLFDGKDHNLAVHLNMVTQKTGSYTVKDYGDVVDFFIKRWHVEEITRLSDKGRQAQEYICGFSASIRKLEERVQHKSTSGETSKMLHFSWIFNKEVKV >DRNTG_29680.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3957048:3958244:-1 gene:DRNTG_29680 transcript:DRNTG_29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRNRVEERMEEDEVQEIRDMSPEEEDLIHRLYCLLGDRWKLIAGRLPNRTAEEVEKYWKMKEIENIEKNRNIYKPICIKPSPFFKFSMHN >DRNTG_03985.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25610806:25611731:1 gene:DRNTG_03985 transcript:DRNTG_03985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKRWTKEMDNVLISLLADMAKSGLKVDKSFKRQVFVEAANVVNSRFPAACMDADNVENHIRTLKQKYQDIKKLMNLSGVGWNDTEKKLVLEDETYCTYVEGQLKAKEYLNKPIPFFNELRLVAGDDHATGDYARIISDQFGGTLGEDESAPPLNTPLDGEPIETENQRHEALRSSMNKTAARATRRTMTNGENGLGENIGEKIGYNDDDMEMVYNKLIDNKKEAENFYLRKPSLRKKWIDNFIASMRQSSP >DRNTG_30818.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19752836:19753756:1 gene:DRNTG_30818 transcript:DRNTG_30818.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKRTSWLFMVCMLSAWMAVHGAVPVGAPVAMDCSNALMNLADCLTFVEAGSNVRKPEGNCCAGLKKVAKGQVGCLCEAFKSGADFGVKINMTKALSLPHVCGVNIPSISICKIAGGPAFAPDFWTIDTITVTSSSLPPVKAVYPAALA >DRNTG_34790.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15822375:15824285:1 gene:DRNTG_34790 transcript:DRNTG_34790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVWLGLVTTTSSWRRRKTRHSGEPPGGVVRSGNSTRPAPKQRKRCVPHSRETASEILEEGGDDVKSAWPLWAGPHTCYNGNDNRKQGCKAERIRKDCLSSDCSLQLGNMKLESLVIADQHAAVNMYPGPVHTARHTLGIGFARSIGPMITHDFCVPLVPQR >DRNTG_03730.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18176469:18177394:1 gene:DRNTG_03730 transcript:DRNTG_03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPYNLSKTLANSLKTPSRSPLSSWGKDGEKNPKIGADSALNKARIGRLHAGVDASLAVQEFPHGRG >DRNTG_25561.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3596737:3597640:-1 gene:DRNTG_25561 transcript:DRNTG_25561.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDRSSGDISTSVGQYCGGERGGEDFQRRRPES >DRNTG_25020.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19558507:19564021:1 gene:DRNTG_25020 transcript:DRNTG_25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor B [Source:Projected from Arabidopsis thaliana (AT3G10220) UniProtKB/Swiss-Prot;Acc:Q67Z52] MASALQLPVDDSVLFQVSHANIKSFSTELRLSLQTTIESVKEKLWRKCGTSVASMLLQLFDDSGSKICDLTDDSRPLGFFSPHDGYRLHIVDLDPSSLTSGGWLEDTSLVEKYTISEEAYDKLDNNFRKFKEKMASQHATGNQNKLSDNYMEDLCANIKVGDRCQIEPGEKRGVVKFVGKAETAGHGYWVGIQYDEPLGKHDGTVKGKRYFDCPPQHGALLRPDKVKVGNFPERDPFDEEEI >DRNTG_33097.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:9626878:9633105:-1 gene:DRNTG_33097 transcript:DRNTG_33097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTKQSNKAQENHKTKYRINKHLIELMLPRLDHGSGECGQRALCIAIMPVVSRVPVAMSKVVRTGPGIDPAKPREAKASNVGQGEFINQFGSGLIEENGEFQVDSANVECKVDPTDGIKDDKNPRLRRTNLRLKDFNINFYYSFSADPFIELLDHYNWCFRCRSHLMAKHTRLKELSTKLETVMAVLDQKEEKDKAKDERLALIEQSLTSIAKCMES >DRNTG_05935.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5617797:5620806:-1 gene:DRNTG_05935 transcript:DRNTG_05935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQSFRMMDGGGSGDDGLIEDHHGALEDDSINFWATLGVSPHVHVVDPVAVPSAPHHEQLQHHQQQQQQHQHQHLELVDQPVRRELFAMEADPRLEPFLGMEFESGEAAKTFYIAYAGRVGFSVRIARSRRSKCNESVIMLRFVCSREGFCREKRVVEGKKTRKRAASVREGCRAMLEVIRRGDGKWVVTKLVKDHNHQVGLPSKVHYIATEGDTELEPYMGMEFESLEIAKTFYYSYASRVGFEARVRQSRRSLHDESLKMLKLVCSRHRYHSGRDNNSEDAKMGLLLDPAREGCEALFEIIRKDNGRWMVSKLVLAHSHELSPSPPSKVHCVRSQGEILVIAKNFDDTRNLLLNGQDSRYPREIRYNDLGPDDAENLLEYFKKTQEENPAFYFAVQVEANNCMTNIFWADAKARMAYTYFGDAVVFDTTYKNDKELIPVVTFTGLNHHAQPVVFGCALLVDESEASYIWLLEKWLEAMSCRHPVSLVTDLDRALATAITKVFPNTRHCFDKKHILATIQEELPEFYSTQNPFDEDLRRCIDESKTTEYFESCWDAILDRYDLRDNAYMQFLFSIRHQWVPVFLKDIFFAEVFMSQKLDNFDKVVQKYFTTKTPLRVAVRQLGQALANRYEKEAQEDFVTMYNKPFLRTASPVEKQAAGIYTRTIFNRFQEEFLESLGYHVDKIEDGVISKFRVVRNGDAYTVTYNASETKAHCTCCLFEFSGILCRHALKVFIVVGMRKLPKDFILKRWTRNAKSGAVLDDCGVGFQSNCEEPSTSRYSDLCRDANRCAKEGATSVEVYKVAKDALQKAIAEVVSVKQQKEQETLQSYIKSQKKQSKKPGKGSPRDASRKSLKKLAPKESSLEIDS >DRNTG_05935.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5617797:5620806:-1 gene:DRNTG_05935 transcript:DRNTG_05935.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQSFRMMDGGGSGDDGLIEDHHGALEDDSINFWATLGVSPHVHVVDPVAVPSAPHHEQLQHHQQQQQQHQHQHLELVDQPVRRELFAMEADPRLEPFLGMEFESGEAAKTFYIAYAGRVGFSVRIARSRRSKCNESVIMLRFVCSREGFCREKRVVEGKKTRKRAASVREGCRAMLEVIRRGDGKWVVTKLVKDHNHQVGLPSKVHYIATEGDTELEPYMGMEFESLEIAKTFYYSYASRVGFEARVRQSRRSLHDESLKMLKLVCSRHRYHSGRDNNSEDAKMGLLLDPAREGCEALFEIIRKDNGRWMVSKLVLAHSHELSPSPPSKVHCVRSQGEILVIAKNFDDTRNLLLNGQDSRYPREIRYNDLGPDDAENLLEYFKKTQEENPAFYFAVQVEANNCMTNIFWADAKARMAYTYFGDAVVFDTTYKNDKELIPVVTFTGLNHHAQPVVFGCALLVDESEASYIWLLEKWLEAMSCRHPVSLVTDLDRALATAITKVFPNTRHCFDKKHILATIQEELPEFYSTQNPFDEDLRRCIDESKTTEYFESCWDAILDRYDLRDNAYMQFLFSIRHQWVPVFLKDIFFAEVFMSQKLDNFDKVVQKYFTTKTPLRVAVRQLGQALANRYEKEAQEDFVTMYNKPFLRTASPVEKQAAGIYTRTIFNRFQEEFLESLGYHVDKIEDGVISKFRVVRNGDAYTVTYNASETKAHCTCCLFEFSGILCRHALKVFIVVGMRKLPKDFILKRWTRNAKSGAVLDDCGVGFQSNCEEPSTSRYSDLCRDANRCAKEGATSVEVYKVAKDALQKAIAEVVSVKQQKEQETLQSYIKSQKKQSKKPGKGSPRDASRKSLKKLAPKESSLEIDS >DRNTG_11452.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000506.1:20271:22902:1 gene:DRNTG_11452 transcript:DRNTG_11452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRLGWCVISAYGCEGMLRRKNAEMSHEGSTEESLEKEERLLEAIIMGQKGLRCGRFQDPSISLSCLVRVDAAKSPDPDYEELAYLLSSSSRSPLRKARVLVRLL >DRNTG_20912.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25443289:25444723:1 gene:DRNTG_20912 transcript:DRNTG_20912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLCLHGFRTSGSFLQKQISKWDPSIFQDFSMVFPDGKYPAAGKSDIEGIFPPPYFEWFQFAKEFTEYTNLEECISFLCDYITEHGPFDGLLGFSQGSTLSALMLGYQAQGKILQNHPPFKFFISISGSKFRDPSICEIAYKEPIKVKSVHFIGAKDWLKVPSEDFASAFHNPLIIRHPQGHTVPRLDENTTELLKEWTKTVLLDGKSLAEDNDPKTLSEDNDSKTSNDTSGESQSVVVEA >DRNTG_25630.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21941710:21942421:1 gene:DRNTG_25630 transcript:DRNTG_25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHHHHHHHHHQFYTKPSVSSVTGTNKKNILEDTLQEKLNINTNTNTNKPSLPMVSGEVLSKKMNTNRSTSTPPAARRLSVDSRTQVNNQILSPERPTEISRQQPWAGGISCRTFRDGSKIQQRP >DRNTG_31113.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:26702:36341:-1 gene:DRNTG_31113 transcript:DRNTG_31113.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 4A [Source:Projected from Arabidopsis thaliana (AT1G10930) UniProtKB/Swiss-Prot;Acc:Q8L840] MTRGLASRSKLNIEFKCDGKYPKVNWSQHAALVDGSSPQDNHLSSNFLYSLPGQKPQSEGPNRMLCTRPFTCQLQRTETLQNPQFEKAWRALNGIQVACKNYLRPGLTGPAKRNHANYIPTNVLDSSNCTDKVAYCQNPGNSVEEKQKALHNSLSDAPTKVSYSLNYNGNSLPVNSSFGTVVDVRSEKLQQDTIGASLRSSSSYSGPINCRTSSDNDCKCLDEVFEDAMDEDDLLKNIDVDQIVMEHYQATSTPRGLASKDSPCTPVGSRGNFMGPRENTMPTELCEICSHGLKLAFCPEARGHLQELKDKLIAISNELLDNAGDLSPSRCESLRQDRLHLNKQVQQLEQYLNNSRVNEERELSHRMASTTPRDFQSVTPVSSFMIDPIRFDSQVHIRNELGNYEDRSTSVPYCPTDRFSSPLSCSMDRPSFASTPLDREIFAPKLAEVNYIDGSTDQRWKSVDFPWTKKLEANNKKVFGNHSFRPNQREVINATMSGYDVFVLMPTGGGKSLTYQLPSLICPGVTLVVSPLVSLIQDQIMYLLQANIPAAYLSANLEWVEQQEILRELMSGVCKYKLLYVTPEKIARSDVLLRNLESLHSRGSLARIVIDEAHCVSQWGHDFRPDYQGLGILKQKFPNTPVLALTATATASVKEDVVQALGLINCIVFRQSFNRPNLWYSILPKTKKCLDDIDKFIKENHFDECGIIYCLSRMDCEKVAEKLQECGHKASFYHGNMDSSQRAYVQRQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGLRSSCVLYYNYSDYIRVKHMLMQGVIEQNPLASGTRRNSLANTERVLETNLENLLRMVSYCENDTDCRRLLQLIHFGEKFNPENCQKTCDNCSKILCLIEKDVTDIAKQLVELVRITGQRYSSSHILEVYRGSLSQMVKKYRHENLSFHGAGKHLAKGEASRILRHLVIDDILMEDVKKSDLYGSVSSVLKINESKAQALSSGQLTIKLRFPTLGKASKMQKPETTPAKGSLVPGIVNPPQSDIPAQAQPEVDLNLSAKIYAALRILRTALVKEAGEGYMAYHIFGNATLQQISKKIPRTKEELLEVNGMGKVKVSKYGDRVLDTIESTIQEYQKTDKNSSGSSNTSSDGVKRRRGSAGVDSTADDDFTDNTEQSKKRVMKTPLEIRCIDLELDGCHMGTEESNPNPKYKNSGRVLPQWSSPEIKSVSPGNNLFEEFSYKK >DRNTG_31113.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:26702:27587:-1 gene:DRNTG_31113 transcript:DRNTG_31113.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 4A [Source:Projected from Arabidopsis thaliana (AT1G10930) UniProtKB/Swiss-Prot;Acc:Q8L840] MGKVKVSKYGDRVLDTIESTIQEYQKTDKNSSGSSNTSSDGVKRRRGSAGVDSTADDDFTDNTEQSKKRVMKTPLEIRCIDLELDGCHMGTEESNPNPKYKNSGRVLPQWSSPEIKSVSPGNNLFEEFSYKK >DRNTG_31113.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:30372:31893:-1 gene:DRNTG_31113 transcript:DRNTG_31113.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 4A [Source:Projected from Arabidopsis thaliana (AT1G10930) UniProtKB/Swiss-Prot;Acc:Q8L840] MYLLQANIPAAYLSANLEWVEQQEILRELMSGVCKYKLLYVTPEKIARSDVLLRNLESLHSRGSLARIVIDEAHCVSQWGHDFRPDYQGLGILKQKFPNTPVLALTATATASVKEDVVQALGLINCIVFRQSFNRPNLWYSILPKTKKCLDDIDKFIKENHFDECGIIYCLSRMDCEKVAEKLQ >DRNTG_31113.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:27508:30738:-1 gene:DRNTG_31113 transcript:DRNTG_31113.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 4A [Source:Projected from Arabidopsis thaliana (AT1G10930) UniProtKB/Swiss-Prot;Acc:Q8L840] MDCEKVAEKLQECGHKASFYHGNMDSSQRAYVQRQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGLRSSCVLYYNYSDYIRVKHMLMQGVIEQNPLASGTRRNSLANTERVLETNLENLLRMVSYCENDTDCRRLLQLIHFGEKFNPENCQKTCDNCSKILCLIEKDVTDIAKQLVELVRITGQRYSSSHILEVYRGSLSQMVKKYRHENLSFHGAGKHLAKGEASRILRHLVIDDILMEDVKKSDLYGSVSSVLKINESKAQALSSGQLTIKLRFPTLGKASKMQKPETTPAKGSLVPGIVNPPQSDIPAQAQPEVDLNLSAKIYAALRILRTALVKEAGEGYMAYHIFGNATLQQISKKIPRTKEELLEVNGMGK >DRNTG_31113.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:26702:29760:-1 gene:DRNTG_31113 transcript:DRNTG_31113.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 4A [Source:Projected from Arabidopsis thaliana (AT1G10930) UniProtKB/Swiss-Prot;Acc:Q8L840] MDSSQRAYVQRQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGLRSSCVLYYNYSDYIRVKHMLMQGVIEQNPLASGTRRNSLANTERVLETNLENLLRMVSYCENDTDCRRLLQLIHFGEKFNPENCQKTCDNCSKILCLIEKDVTDIAKQLVELVRITGQRYSSSHILEVYRGSLSQMVKKYRHENLSFHGAGKHLAKGEASRILRHLVIDDILMEDVKKSDLYGSVSSVLKINESKAQALSSGQLTIKLRFPTLGKASKMQKPETTPAKGSLVPGIVNPPQSDIPAQAQPEVDLNLSAKIYAALRILRTALVKEAGEGYMAYHIFGNATLQQISKKIPRTKEELLEVNGMGKVKVSKYGDRVLDTIESTIQEYQKTDKNSSGSSNTSSDGVKRRRGSAGVDSTADDDFTDNTEQSKKRVMKTPLEIRCIDLELDGCHMGTEESNPNPKYKNSGRVLPQWSSPEIKSVSPGNNLFEEFSYKK >DRNTG_31113.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:30372:30932:-1 gene:DRNTG_31113 transcript:DRNTG_31113.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 4A [Source:Projected from Arabidopsis thaliana (AT1G10930) UniProtKB/Swiss-Prot;Acc:Q8L840] RSDVLLRNLESLHSRGSLARIVIDEAHCVSQWGHDFRPDYQGLGILKQKFPNTPVLALTATATASVKEDVVQALGLINCIVFRQSFNRPNLWYSILPKTKKCLDDIDKFIKENHFDECGIIYCLSRMDCEKVAEKLQ >DRNTG_31113.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:29486:30738:-1 gene:DRNTG_31113 transcript:DRNTG_31113.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 4A [Source:Projected from Arabidopsis thaliana (AT1G10930) UniProtKB/Swiss-Prot;Acc:Q8L840] MDCEKVAEKLQECGHKASFYHGNMDSSQRAYVQRQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQ >DRNTG_07542.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13044720:13047255:-1 gene:DRNTG_07542 transcript:DRNTG_07542.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLGRGKGDSRRGGRRREKGLKEKPRTFKRGPYIGPTRNLLMGCLAAA >DRNTG_32649.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22022522:22023118:1 gene:DRNTG_32649 transcript:DRNTG_32649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKCLVVVLVLFVLSFLASSTTVSHNGRALLINGQRRIIIFGSIHYPRSTPEMWPELIKKTKEGGLDAIETYVFWNAHEPVKQWDYGGFPAWLRLIPGMEMRTDNKPFKDEMQTFTTLIVDMLKKENLLAPQGGPIILTQIENEYGNIQSGYGAAAKNYIE >DRNTG_31688.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14154586:14155118:-1 gene:DRNTG_31688 transcript:DRNTG_31688.2 gene_biotype:protein_coding transcript_biotype:protein_coding LVSRLRSRSLSELTRQIAPPTKNGHAPPPIESRKSSQSVNPCYVWTW >DRNTG_31688.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14153493:14155118:-1 gene:DRNTG_31688 transcript:DRNTG_31688.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFRKSGFVARISSRITTVIRVAGTIKQTITDLMSHSQ >DRNTG_04312.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22042126:22049080:-1 gene:DRNTG_04312 transcript:DRNTG_04312.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGKTMLVKDVAKQAKEQSLFGEVVMVTISQNIDLKRIQKEMAAILGLKLEEDDVQVVASNLADRLKTTRKKVLVILDDLWEQLHLSKVGIRLQEMATTCKVVITTRNKYVCEEMRCREIIELKTLSDEESWILFKSRAGDAVESPTIRKLAQQVARECAGLPLALVVLGTTLKDKSSLTWDTVLNQLKGSKEVYLQGVSKQVYQSIKLSFDFLEIDAAKSCFLHCCVYPEDWEIPKEELMHMMAGGGLLADVETLNEVQKIVDLLLDQLKACGLLLQGKDEGYVRMHDVVRDVAIHIGAAADHAFDTRAGQGLTGWPRTTESDMRNCRRLSLMYNDIEDLPPDPMQYPKLEMLILSGNRSLFSIPEMFFLYMGSLMVLDLSYTGIESLPKSFSYITKLRVLNLRRCRYLKDISHINGLKMLEILILDDCPVSIVPEAVGFAQSLRFVCMSLVFSNSSCLDIFFSKELPRFHRLEQLFMNKFAGSFQELISLRHLTHLFISEVVDLDDSLSHELILPDSWPDRLLKFSLCFVEGYPWVFNFERESRGLVLKETKPLAVWVKKLLEKTRQLVLVEFQKTKLISINSDISPLVFSSLESLEVNSWPKLTKLLDDELLLQEEIPLSQLQHMIINNCPGLTNSIPSRFCQRSMLPGLRDLKLYDLQSLQNVLQPFQCLPNLTSLYIDNCGVRYVVSSEMETVTILADPFPALENLKIIDCEEMIEMISPPASLQSPCFFQRLRQLYIFSCLRLKHLFTYEQAIGMQHLEELDIRYCDALEAVVISTENKEEASSSAHVADHESYNSPFPNLTDLSLNDLPQLTAFHHPTAPPMEWLHLERCFINKCPKLQEPLEERVQSLCERNRMKKKKAGSVKG >DRNTG_16633.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31486161:31487180:-1 gene:DRNTG_16633 transcript:DRNTG_16633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMVSHAVPSVGEHLTLGIGTDVRSIFTSPSTAALQKALGYPEVSLLNPILVHCKTSGKPFYAIIHRVTACLIVDFEPVKPSELPMTAAGPFNHIKLAAKAIARLQSLPGGSIERLCETVIEEVFELTGYDRVMVYRFHEDDHGEVFREITKPGLESYQGLHYPATDIPQAARFLFLKSKIRMICDCRARPIKIYQDEKLPSDLTFCGSTLRAPHSCHLQYMENMNSIASLVMAVVVNEGEEECENLESGQSQQQKRKRLWGLVVCHHESPRFVPFP >DRNTG_18259.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11577477:11579181:1 gene:DRNTG_18259 transcript:DRNTG_18259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEEDNTTDLSPPVFEEGNLDEEVVKGGISTTLVVDRRIMVLVSFKTGTVSHNRACLPEKLNLKTVSSKQEQYELEDLLMTMRRKEEGPTVDPSSTEVSTG >DRNTG_20688.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001140.1:15394:18281:1 gene:DRNTG_20688 transcript:DRNTG_20688.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGSTEEVPSTPGILKKVLWKMKRARRSHRKQSKTVGDKTHRRVEFPHDRGAALRAQPEKVQGRAAAPVNDHATVTRPWVISARACELLQSWADYPERTQGHGLAPVGDLVKHALACVISTRPCETLQKSSPHPDKTQGRVATPVSWACECPRPCGISARACRTLGIFHGCSKKPQGRAAAPVGRPHGCGYFPHARDFHALQIHTGMDITPRVKKNEVKHLRVTPPEPLHLDFSNPEHQTRFERLSALGFSQTCFADLQMLRDIQQGDELANEIDEMLAVGSWRKLLTIREPAYRALTLEVLASFEFWLMHGRFDTADAIQFRAFGHPFSMSVLEFFDFERAHDDIAYHGLSGVWTITGGYSGISDSTSCV >DRNTG_09820.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:12833841:12835128:-1 gene:DRNTG_09820 transcript:DRNTG_09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQVKIGFFRHVQGVCDLSGMKMKESYGLDTDPLTDYVFSCGQTEAFAATVFAIIDRGDEVLLFDPAYVTYETSISLAGGVPVYVPLDPPNWSLNMDKFMRSFTTRTKDVVLNSPHNPIGKVFTKEELEASLLELAAN >DRNTG_17515.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28880172:28880994:1 gene:DRNTG_17515 transcript:DRNTG_17515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMEVGLGPSVESVAAKDLNWAAGRVEIDTSAPFESVKEAVDRFGGSALWKSQLKNLFSPEKHDQFDEADAMKVEEQAARKLRRILL >DRNTG_04846.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18752079:18764916:-1 gene:DRNTG_04846 transcript:DRNTG_04846.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFSGLLATSRAARPPPSPRSEHFTGPFNR >DRNTG_04846.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18751819:18764916:-1 gene:DRNTG_04846 transcript:DRNTG_04846.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFSGLLATSRAARPPPSPRSEHFTGPFNR >DRNTG_04846.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18709943:18764916:-1 gene:DRNTG_04846 transcript:DRNTG_04846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFSGLLATSRAARPPPSPRSEHFTGPFNR >DRNTG_12659.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1230260:1231548:1 gene:DRNTG_12659 transcript:DRNTG_12659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQQDLESNVGSLFPLPATAAAAKKDSLASPRFMKTLPRKGSQRVGEKTAEVDLARSSDGGTDKEAFILQVADEGEGCMVNHATTPTAKWKRIPGRRSSSWIDPRRVLIFFATLSSMGTLILLYFTISMSMANSDANAR >DRNTG_12659.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1230696:1231548:1 gene:DRNTG_12659 transcript:DRNTG_12659.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRQRSGRESQAGEARRGSIPGECSSSSLPCQAWGHSYCYISLSP >DRNTG_18035.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:8049583:8061044:-1 gene:DRNTG_18035 transcript:DRNTG_18035.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETARLNLIDMNTMKSKVSKKHVPKLPSLGKDHYGHLEGHSLLGSPRTYHKVFSSKQNRGYEQSLLLLSNRQSNPSPKTFSPVDSSGNASPDLSPKSVRKYEPPLDLSSNNLKSVLTSPGNSDVADTDDTVEEHRSQRNSFRGMQNFSFTGTKNHALNTNLHSESDDDTSHSNFSVASSGRLTPRTRPPKDFVCPITGQLFNDPVTLETGQTFERRAIEEWLKRGNKSCPITRQFLSSTVLPKTNYVLKRLITSWIEQNPESPDFPCVYTPNGSPGPISFREFSSDSSILGNLDSPISRTGTNKVRNERRQRFNKRGDSTSPISAISQATTETYINELKPCTSCLCTSDNLPECEAAVLTVAKIWKESKADPVICSFLCNPKVVNGFVEVLSASGSREVLRTCSYVLSELVSANEGSGETLIRVDSAFDCFAALLVSGLAEVAILIYQLQPTFSQLSNYSLVPSLVQVIMSDTEQIDDIQFVLEPKDAAIALLDQILIGGDENSRSLNAFSVISANGLPALINSLDRIESRLSIVSVLLSCMRVDKRCRNMIAQRSELAPVLELFHTGNDDTRSICIDFLVEIICLSRRTMCNKILQIIKDEGAFSTMHSFLIYLQMAPLDQQPIVASLLLQLDLLVEPRKMSIYREEAIDSLIEALKRKEFAICQTIALETLCSLSGRLSSLGKPLTEAWLLKTAGFDQPYKTLMLEDQITMLEDEPLETLEVEEKAMTAWEKRLAFVLCNHDNGAIFKALEECLRCNSVEMAKSCLIIATWLTFMLTKIPDTGMGTIASQCLLDQFINVLQSSKNLEEKILAALALNSFIHDPGDSKLDLA >DRNTG_18035.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:8049583:8062866:-1 gene:DRNTG_18035 transcript:DRNTG_18035.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKHQSFSGATAMDSDGTARYVSATVAAFLHSRLSDHAQRIRHKDQCAERLAAGAASSDACYSDQAVLANLDWGIDALEEAISSSNPEAKLARLEHAERMLQIAAMLDPGGSTAGVPNSYLSAWAHLNLALLQRLSSSGSLRSAALHALEMFDVDPFFSRIDFASELWESLFLPHMASIVGWYTEARQQIVMDTIPDSSDLSLSIDFADQLFNESVILSVRPDQAEKLSELERRYGDSLNDSTRMYAKYFKEVLAIDHGATGRKAPVPVLPPIAEPPMTPLREVSRSIPDFVKFGPILPKSAGFSPVLSHYTRLNTNETSLPVREEPKQIGKEVLLEENVEIDFNGFDIDMDSETARLNLIDMNTMKSKVSKKHVPKLPSLGKDHYGHLEGHSLLGSPRTYHKVFSSKQNRGYEQSLLLLSNRQSNPSPKTFSPVDSSGNASPDLSPKSVRKYEPPLDLSSNNLKSVLTSPGNSDVADTDDTVEEHRSQRNSFRGMQNFSFTGTKNHALNTNLHSESDDDTSHSNFSVASSGRLTPRTRPPKDFVCPITGQLFNDPVTLETGQTFERRAIEEWLKRGNKSCPITRQFLSSTVLPKTNYVLKRLITSWIEQNPESPDFPCVYTPNGSPGPISFREFSSDSSILGNLDSPISRTGTNKVRNERRQRFNKRGDSTSPISAISQATTETYINELKPCTSCLCTSDNLPECEAAVLTVAKIWKESKADPVICSFLCNPKVVNGFVEVLSASGSREVLRTCSYVLSELVSANEGSGETLIRVDSAFDCFAALLVSGLAEVAILIYQLQPTFSQLSNYSLVPSLVQVIMSDTEQIDDIQFVLEPKDAAIALLDQILIGGDENSRSLNAFSVISANGLPALINSLDRIESRLSIVSVLLSCMRVDKRCRNMIAQRSELAPVLELFHTGNDDTRSICIDFLVEIICLSRRTMCNKILQIIKDEGAFSTMHSFLIYLQMAPLDQQPIVASLLLQLDLLVEPRKMSIYREEAIDSLIEALKRKEFAICQTIALETLCSLSGRLSSLGKPLTEAWLLKTAGFDQPYKTLMLEDQITMLEDEPLETLEVEEKAMTAWEKRLAFVLCNHDNGAIFKALEECLRCNSVEMAKSCLIIATWLTFMLTKIPDTGMGTIASQCLLDQFINVLQSSKNLEEKILAALALNSFIHDPDAIERLGSYVKRIFKPLKRLKRCSIVVADTLKAIKNLSSIDTFWSCTDLFEMESSSNGEVLSLIHSRDRLFSSHSDGTIKVSSNQLSPPFFC >DRNTG_18035.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:8049583:8062866:-1 gene:DRNTG_18035 transcript:DRNTG_18035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKHQSFSGATAMDSDGTARYVSATVAAFLHSRLSDHAQRIRHKDQCAERLAAGAASSDACYSDQAVLANLDWGIDALEEAISSSNPEAKLARLEHAERMLQIAAMLDPGGSTAGVPNSYLSAWAHLNLALLQRLSSSGSLRSAALHALEMFDVDPFFSRIDFASELWESLFLPHMASIVGWYTEARQQIVMDTIPDSSDLSLSIDFADQLFNESVILSVRPDQAEKLSELERRYGDSLNDSTRMYAKYFKEVLAIDHGATGRKAPVPVLPPIAEPPMTPLREVSRSIPDFVKFGPILPKSAGFSPVLSHYTRLNTNETSLPVREEPKQIGKEEHRSQRNSFRGMQNFSFTGTKNHALNTNLHSESDDDTSHSNFSVASSGRLTPRTRPPKDFVCPITGQLFNDPVTLETGQTFERRAIEEWLKRGNKSCPITRQFLSSTVLPKTNYVLKRLITSWIEQNPESPDFPCVYTPNGSPGPISFREFSSDSSILGNLDSPISRTGTNKVRNERRQRFNKRGDSTSPISAISQATTETYINELKPCTSCLCTSDNLPECEAAVLTVAKIWKESKADPVICSFLCNPKVVNGFVEVLSASGSREVLRTCSYVLSELVSANEGSGETLIRVDSAFDCFAALLVSGLAEVAILIYQLQPTFSQLSNYSLVPSLVQVIMSDTEQIDDIQFVLEPKDAAIALLDQILIGGDENSRSLNAFSVISANGLPALINSLDRIESRLSIVSVLLSCMRVDKRCRNMIAQRSELAPVLELFHTGNDDTRSICIDFLVEIICLSRRTMCNKILQIIKDEGAFSTMHSFLIYLQMAPLDQQPIVASLLLQLDLLVEPRKMSIYREEAIDSLIEALKRKEFAICQTIALETLCSLSGRLSSLGKPLTEAWLLKTAGFDQPYKTLMLEDQITMLEDEPLETLEVEEKAMTAWEKRLAFVLCNHDNGAIFKALEECLRCNSVEMAKSCLIIATWLTFMLTKIPDTGMGTIASQCLLDQFINVLQSSKNLEEKILAALALNSFIHDPDAIERLGSYVKRIFKPLKRLKRCSIVVADTLKAIKNLSSIDTFWSCTDLFEMESSSNGEVLSLIHSRDRLFSSHSDGTIKVSSNQLSPPFFC >DRNTG_14889.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3237059:3238877:1 gene:DRNTG_14889 transcript:DRNTG_14889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKLYSKRGPFFSLLQKYDGRINKFRIGESLLSFRPEDVALVLGLRCDRDTVVFQKKKTSSAFEERYLSKTYERHRDSIKSTLKQLVPNWIIVYVDDLPGMGQYAWAQARHKWLMEDIPQTAARVQARCVEKKTNTRYIKGCSVTLNIWFYELIGTRKKVRFVKTPRMLCYGESSYWKQATIETSLSSLEGKEFPELVPANADEVIFVQGNHRVDAITPEPLVRRQDKKPTSSVRARRHSPNSSPTRAHSPRCWTLVPSTALGDHVTVTLLQACQILITEFPRLVARVEALEGWSHSTATSLQTNEAPGTNEATKFDDDDCIRMADDETITAPSAADAIIESVAVNDMDVTVEKIIDDVAIAAVDKIVDSVVNEIPITVEPAADSVASKVDTIPITVESTKSVSNIDAIAVGVAEKIVYSIVNEMMVTVEPTADSAASKAATIPQQQEACKDMSPTNAVIVPASKDDAAGAEH >DRNTG_02357.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000111.1:43301:43924:-1 gene:DRNTG_02357 transcript:DRNTG_02357.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPYQWGETVSSAVHSRYKALPKKGKPQGRETTVLAAFLLSSPIHDLEVVALGTGTKCIGGSLLSPRGNVVNDSHAEIIARRALLRFFYSEILWIDQKERNGEQKMAFLFDAEQGKYVMSPGWRLHLYVTQIPCTPLSC >DRNTG_29909.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21345774:21350431:1 gene:DRNTG_29909 transcript:DRNTG_29909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKPVVILRSRLVLIKDRSVHQYLDKSMPEKVANVAVNRGQDTNERMVNSQKAFRLRRSFLPRLEGFLSEARSPMAPQCYDVEGTYQSCPRLSSSLLICRPYRVPVVPSCHGKRGTAAHSPTVPSQPKSWAQTAFSLCQPSDRSPLHNLSILNKLKESTNDFIHLDKEAINRACRRFQFALYGKLSSLGFLFPGGEPTQSPMQPNFEALLVVASEAPPTICDQSNLSRTVEIAHPTNQQDLISSRGFAHNPQSNPLLLIANPGLPPIPPTHLSPSLPPTSPSQIITLGKNFSHTRSNSPPSVLRTSILPAPLPHTSLVPYSHNVAVNKVVEALDEGSMDEDSNDDGDCSEDDDDEMSEEEGPDDS >DRNTG_10877.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13949847:13952390:1 gene:DRNTG_10877 transcript:DRNTG_10877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAGGTYVASAGSSSSPDDDSTSAYPPEPVRRTMPIKRRVAKPNGAGVLTLSSPLTERIRPILNIRRVM >DRNTG_00805.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12081734:12084142:1 gene:DRNTG_00805 transcript:DRNTG_00805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKTTSPEHPSSSSSASSRMSFIFMCPGSS >DRNTG_07074.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2100809:2103073:-1 gene:DRNTG_07074 transcript:DRNTG_07074.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKQGLKKGPWTPEEDKILIDFIQANGHGSWRSLPKLAGMHSLISSTVEYSLLFFIGCLFLVWQVC >DRNTG_01070.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8092577:8114771:-1 gene:DRNTG_01070 transcript:DRNTG_01070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRRRAMTWSRVGKSAQGVAAHGLLFCFTILLALKLDDRVSYSWWIIFVPLWLFHTVVARGRFSLPAPSIPHDRHWAPCHSIVATPLLVSFELLLCIYLESIGAHKNPAISLKIVFLPLLAFEIIILIDNFRMCRALMPGDEESMSDEAIWETLPHFWVAISMVFLVAATSFTLLKLCGDVGALGWWDLFINFGIAECFAFLVCTKWSNPMIHRPSQDVEPSSSSSSIRYREWNSGLLFSSMEDHDQDRLCSIQDIGGHIMKIPLLAFQILLCMRLEGTPSSARHIPILALFSPLFLLQGAGVIFTISRLVEKLVLLSRSGSFTGRYLTISSRTRDCFAFLHHGSRLLGWWSIDEGSKEEQARLYYDDATGFVSSHLESLLLTS >DRNTG_01070.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8092577:8114771:-1 gene:DRNTG_01070 transcript:DRNTG_01070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRRRAMTWSRVGKSAQGVAAHGLLFCFTILLALKLDDRVSYSWWIIFVPLWLFHTVVARGRFSLPAPSIPHDRHWAPCHSIVATPLLVSFELLLCIYLESIGAHKNPAISLKIVFLPLLAFEIIILIDNFRMCRALMPGDEESMSDEAIWETLPHFWVAISMVFLVAATSFTLLKLCGDVGALGWWDLFINFGIAECFAFLVCTKWSNPMIHRPSQDVEPSSSSSSIRYREWNSGLLFSSMEDHDQDRLCSIQDIGGHIMKIPLLAFQILLCMRLEGTPSSARHIPILALFSPLFLLQGAGVIFTISRLVEKLVLLSRSGSFTGRYLTISSRTRDCFAFLHHGSRLLGWWSIDEGSKEEQARLYYDDATGYNTFCGYPPEVVKKMPKKDLAEEVWRLQAALGEQTEITKYSQQEYERLQNEKILCRVCFEGEICMVLLPCRHRTLCKNCSEKCKKCPICRVSIEERMPVYDV >DRNTG_01070.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8092577:8114771:-1 gene:DRNTG_01070 transcript:DRNTG_01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRRRAMTWSRVGKSAQGVAAHGLLFCFTILLALKLDDRVSYSWWIIFVPLWLFHTVVARGRFSLPAPSIPHDRHWAPCHSIVATPLLVSFELLLCIYLESIGAHKNPAISLKIVFLPLLAFEIIILIDNFRMCRALMPGDEESMSDEAIWETLPHFWVAISMVFLVAATSFTLLKLCGDVGALGWWDLFINFGIAECFAFLVCTKWSNPMIHRPSQDVEPSSSSSSIRYREWNSGLLFSSMEDHDQDRLCSIQDIGGHIMKIPLLAFQILLCMRLEGTPSSARHIPILALFSPLFLLQGAGVIFTISRLVEKLVLLSRSGSFTGRYLTISSRTRDCFAFLHHGSRLLGWWSIDEGSKEEQARLYYDDATGYNTFCGYPPEVVKKMPKKDLAEEVWRLQAALGEQTEITKYSQQEYERLQNEKILCRVCFEGEICMVLLPCRHRTLCKNCSEKCKKCPICRVSIEERMPVYDV >DRNTG_05086.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:6178413:6179813:-1 gene:DRNTG_05086 transcript:DRNTG_05086.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMLRFIVGIMGNAASLLLYAAPMLTFKRVVKKKSTQEFSCIPYINALVSCLFYTWYGLPVVSRGLENFPLITINGVGVLLESLYILIYLWFSSSERKKGVCLMAVPAIAVFCVTAIVSSLVLHDHHHRKLLVGSVGLVASVLMYGSPLVAVKTVIKTKSVEYMPFYLSLFSFLASSLWLTYGLLAHDVLMAAPSFLGTPMAIIQLILYCIYKNNKPASEELVKIDLEKNAGKFTLKQQVINGPN >DRNTG_21221.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32720046:32723677:1 gene:DRNTG_21221 transcript:DRNTG_21221.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAALPAVELRSGLLQVLRSRRCNPEVSLTVELGKPVTHPMYQGNTILGRSEAMEACPKENIPDFKEKLIEENLYLTTEEGEQGRLPVLILSLRESPRKQRPAIVFLHSSYKCKEWLRPLLEAYASRGYIAVAIDSRYHGERASSTNTYLEALVSAWKKGDTMPFIFDTVWDLIKLGDYLTQREDIDPAKIGITGESLGGMHAWFAAAVDNRYAVVVPIIGVQGFKWAIDHDKWQARVDSIKLVFEEAQIDLGKKEIDTEVVKKVWDRIAPGLDSQFDAPYSVPAIAPRPLLIVNGADDPRCPLAGLETPVARAVEAYKDAGCLEKFQLIAEPGIGHQMTASMVKNASDWFDKFLK >DRNTG_14452.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13512112:13514000:1 gene:DRNTG_14452 transcript:DRNTG_14452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFEKALTRLPSNTEINPKEHVKAITLRSGRELEGRFPSERPNEHAPEEMLNPNLYKGLFNQEVENEEVMMLGSTEEVPSTSGILKKVLQKMKRARRRHRKCSKAIGDGCELNKFDEPLLEPAEFLRHPEKMHGRVEFLHTRGSVLRAHPEKAQGRGLAPMSDFVTFARRWLIKAQAFIFLQRLGNLSREHKGALTRPCG >DRNTG_13985.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27818874:27828288:-1 gene:DRNTG_13985 transcript:DRNTG_13985.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQASDGLEYEADNADLTTASYFVTEGQKWAVSNVGRFVDASNVSYIINTLSQFQNTLDPELFQTARLSPSSLRYYGIGLQNGNYSVKLQFSEFLYQDSHTSHSLGRRVFDIYIQGNRQEKDFDIRKEAGGVSNKAVVRKYVVPVTNNFLEIHFFWAGKGTCCVVNQGYYGSSVSAISASPYDFTSTVSNEPPSASSKNHTGLAVGIAIAVATLGLIAIVGIFILRRKRKLSSDDHQELQEISAKAEIFSYAEVRNATEDFNPDNKLGQGGFGSVYKGKVSDGRVVAVKQLLEASRHGKRQFMTEIATISEVQHRNLVQLYGCCIEGNNRLLVYEYLENKSLDQALFEKKIFLDWSTRFEICLGTARGLAYLHEESRLRIVHRDVKASNILLDADLNPKISDFGLAKLYDDKTTHISTRVAGTIGYLAPEYAMRGHLTEKADIFGFGVVALEVVSGRGNCDHNLEPEKIYLLEWAWNLKEKNSLLEMVDPMLPSFNEEEVGRVISIALLCTQASPALRPPMSRVVAMLVGDIDIKEVTSRPGYLTDWKWKDTSSYASSSNAGISTEISFHVQQTEPSLETEIKESYSPSPSEPILYRVTSEGR >DRNTG_13985.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27818874:27828288:-1 gene:DRNTG_13985 transcript:DRNTG_13985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCGASGEIPPTLANLKQLTILWASDNNFTGKIPDFIGSWTNLITLRMQGNSFKGPIPSNFANLKQLTDLRIGDLLSSGSSLAVISNLTSLSTLVLRNNKISDAIPQDFTRYTSLQMLDLSFNNITGTLPDSLFNLTSLGYLFLGNNSLFGSLPTQKSSSLLNVDLSYNKLAGSFPSWISQKNLHLNLVANNFVIDDSNSSILPSGLKCLQQDIPCNRGSPIYSSFAIKCGANRSMQASDGLEYEADNADLTTASYFVTEGQKWAVSNVGRFVDASNVSYIINTLSQFQNTLDPELFQTARLSPSSLRYYGIGLQNGNYSVKLQFSEFLYQDSHTSHSLGRRVFDIYIQGNRQEKDFDIRKEAGGVSNKAVVRKYVVPVTNNFLEIHFFWAGKGTCCVVNQGYYGSSVSAISASPYDFTSTVSNEPPSASSKNHTGLAVGIAIAVATLGLIAIVGIFILRRKRKLSSDDHQELQEISAKAEIFSYAEVRNATEDFNPDNKLGQGGFGSVYKGKVSDGRVVAVKQLLEASRHGKRQFMTEIATISEVQHRNLVQLYGCCIEGNNRLLVYEYLENKSLDQALFEKKIFLDWSTRFEICLGTARGLAYLHEESRLRIVHRDVKASNILLDADLNPKISDFGLAKLYDDKTTHISTRVAGTIGYLAPEYAMRGHLTEKADIFGFGVVALEVVSGRGNCDHNLEPEKIYLLEWAWNLKEKNSLLEMVDPMLPSFNEEEVGRVISIALLCTQASPALRPPMSRVVAMLVGDIDIKEVTSRPGYLTDWKWKDTSSYASSSNAGISTEISFHVQQTEPSLETEIKESYSPSPSEPILYRVTSEGR >DRNTG_00923.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21391853:21393409:1 gene:DRNTG_00923 transcript:DRNTG_00923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNSSHMKNKEEKRTLVPSGFSKKTNGEESFNNKHKQPPQPFFALPVIGHLHLLLNAQPIYHKLGDMANKLSPEFMLRLGSRCTLVISSWEDYFDKGTLIIATSLILAHLLNNPDSLKKAQTELEEQVASTQVIVNAWKLQHDPSVWPDLLEFRLDRFLPSHAAIGIDVKGRNYELIPFGSGRRLCPGISMSLHMMHLTLARLIQAFELRPINNVQNEFFEGLFSMALYSAPSVVEITPRLPLELYQS >DRNTG_04643.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19149376:19151468:1 gene:DRNTG_04643 transcript:DRNTG_04643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNFLSHPKKTHGRLEFLHTSEFALRGHPEKAQGHGLALVNNQAIPVGDLIKIARAWVIFARSCESLQRSSLPPEKIQGHVPALGRAVVPVGQAHGHGYFPHAHVFAFRGSECFPESVQGRASAPVRLSCENTRAWSEITPLLFPDLVSPIWKDFAWFSGRFQSFLISTRRSKKQAHKRPRELSPECESMRFTIPEHQAHFECLSRLGFGQTRFLDTSILRDLQQGDEFANEVEDLVSVGDTEEYSQLPTDFRGALTPHRAYRALCGQGQYQPGVSKATCLSQPAVWTWVYILVLLVPEIAEEEGDDAEAAQLVPKPQSAPMETESAMGVIQTEVAETRAENADIKAVQAPQYTEFMERFDIL >DRNTG_21977.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:896832:906423:1 gene:DRNTG_21977 transcript:DRNTG_21977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNLQQQVRYLNRNLEILSHQSNQLDSQQICAYHNCRQFLYVLLHSHHLSEHAIVYHCQHGMPTDHSC >DRNTG_23349.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6021807:6025908:-1 gene:DRNTG_23349 transcript:DRNTG_23349.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQMSTRRAMSSILNRSFRLLRGRGPVLASGSQRFGTAAALDEPITPPVEVKYTKLLINGQFVDSASGKTFPTLDPRTGEVIAHVSEGDTEDINRAVASARKAFDEGPWPKMTGYERSRILNRFADLVDKHSEELAALETWDNGKPYEQSALAEVPTFSRLFRYYAGWCDKIHGLVVPADSSHHVQVLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTIVLKSAEQTPLTALYAAKLFHEAGLPDGVLNVISGYGPTAGAALASHMDVDKLAFTGSTETGKIVLELAARSNLKPVTLELGGKSPMIILDDADVDKAVELAHFALFFNQGQCCCAGSRTFVHEHVYDEFAEKAKARALRRVVGDPFKKGVEQGPQIDDEQFSKVMRYIKSGVNSGATLESGGDRIGDKGYYIQPTIFSNVKDEMEIAKDEIFGPVQSILKFKDIDEVIRRANATHYGLAAGVFTSNIDKANTLTRALRAGTVWVNCFDVFDAAIPFGGYKMSGQGREKGIDSLKNYLQIKAVVTSLKNPAWL >DRNTG_21385.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19462601:19471213:-1 gene:DRNTG_21385 transcript:DRNTG_21385.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRRYAINPQLDINQIILEAQQRWLRPAEICEILRNYQNFCIAPEPPNKPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKSGSVDVLHCYYAHGEENENFQRRSYWMLEEDLMHIVLVHYREVKGNKSSYRSIREVEDNSQVTQLDSSVISNSFTHRSRLLSQTTEAESANSTQTSELEDAESDNYQASSRYHSYPDLQRCADEPVIDARLFNHYVPFHPPDDQFHFQKPHVGSLESGFYSVSQGDNTKAYDRTSLGLTFNAARTQFDSTCWNELLDHCTTGFDDPIFRPPVASTDPASLENNFVKESLILGGLGTDDFNFKHDVVAISQDQLIFQGSNAEIGSLPKLDVDLKAEHLPEGIDYDSCNRKSQSLDLANIGEGGLKKHDSFSRWMSNELGEVEDPCIKSSSGVYWGTVDNGNVEVSSLSTQDLLETYLMPPSLSQDQLFSIIDFSPNWAYIGSETKVLVTGKFLKDKEDVDKCKWSCMFGEIEVRAEILAEGVLRCIAPLHASGRVPFYITCSNRLACSEVREFEYRLSDVQHMEISDSYLCSTHELNLHIRLERLLSLESASHSKPLSSVLTEKHDLSSKISSLLMESEDEWCNLLKLNQDKRISPGNAKNHLLEKLLKDKLHTWLLHKLAEDGKGPSVLDSEGQGVLHLAAALGYDWAIEPTITAGVSINFRDVHGWTALHWAAFCGRERTVVTLIVLGADPGALTDPTPEFPTGRTASDLASTNNHKGIGGFLAESSLTNHLSMLKLKESEGNDVSEISGLSSIDDVVAESVAHLADGEEHAAVSMKDCLSALRNSALAAAQIHQVFRVQSFQRKKLVEFGDDKCGTSHERVISLLSLKPSRLGQQDLPLNAAAIKIQNKFRGWKDRKEFMVIRKRIVIIQAHVRGHQVRKHYKKVVWTVGIVEKAILRWRRKGSGLRGFRSENLLEGSMMQDQSSTEDEYDYLKEGRKQTEARFGKALARVRSMVQYSEARDQYHRLLKVVTELQESKVMQESLLNGPVDGGDFMTELEELWEDSPLPLGPA >DRNTG_21385.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19462601:19471213:-1 gene:DRNTG_21385 transcript:DRNTG_21385.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRRYAINPQLDINQIILEAQQRWLRPAEICEILRNYQNFCIAPEPPNKPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKSGSVDVLHCYYAHGEENENFQRRSYWMLEEDLMHIVLVHYREVKGNKSSYRSIREVEDNSQVTQLDSSVISNSFTHRSRLLSQTTEAESANSTQTSELEDAESADNYQASSRYHSYPDLQRCADEPVIDARLFNHYVPFHPPDDQFHFQKPHVGSLESGFYSVSQGDNTKAYDRTSLGLTFNAARTQFDSTCWNELLDHCTTGFDDPIFRPPVASTDPASLENNFVKESLILGGLGTDDFNFKHDVVAISQDQLIFQGSNAEIGSLPKLDVDLKAEHLPEGIDYDSCNRKSQSLDLANIGEGGLKKHDSFSRWMSNELGEVEDPCIKSSSGVYWGTVDNGNVEVSSLSTQDLLETYLMPPSLSQDQLFSIIDFSPNWAYIGSETKVLVTGKFLKDKEDVDKCKWSCMFGEIEVRAEILAEGVLRCIAPLHASGRVPFYITCSNRLACSEVREFEYRLSDVQHMEISDSYLCSTHELNLHIRLERLLSLESASHSKPLSSVLTEKHDLSSKISSLLMESEDEWCNLLKLNQDKRISPGNAKNHLLEKLLKDKLHTWLLHKLAEDGKGPSVLDSEGQGVLHLAAALGYDWAIEPTITAGVSINFRDVHGWTALHWAAFCGRERTVVTLIVLGADPGALTDPTPEFPTGRTASDLASTNNHKGIGGFLAESSLTNHLSMLKLKESEGNDVSEISGLSSIDDVVAESVAHLADGEEHAAVSMKDCLSALRNSALAAAQIHQVFRVQSFQRKKLVEFGDDKCGTSHERVISLLSLKPSRLGQQDLPLNAAAIKIQNKFRGWKDRKEFMVIRKRIVIIQAHVRGHQVRKHYKKVVWTVGIVEKAILRWRRKGSGLRGFRSENLLEGSMMQDQSSTEDEYDYLKEGRKQTEARFGKALARVRSMVQYSEARDQYHRLLKVVTELQESKVMQESLLNGPVDGGDFMTELEELWEDSPLPLGPA >DRNTG_21385.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19462601:19471213:-1 gene:DRNTG_21385 transcript:DRNTG_21385.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRRYAINPQLDINQIILEAQQRWLRPAEICEILRNYQNFCIAPEPPNKPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKSGSVDVLHCYYAHGEENENFQRRSYWMLEEDLMHIVLVHYREVKGNKSSYRSIREVEDNSQVTQLDSSVISNSFTHRSRLLSQTTEAESANSTQTSELEDAESADNYQASSRYHSYPDLQRCADEPVIDARLFNHYVPFHPPDDQFHFQKPHVGSLESGFYSVSQGDNTKAYDRTSLGLTFNAARTQFDSTCWNELLDHCTTGFDDPIFRPPVASTDPASLENNFVKESLILGGLGTDDFNFKHDVVAISQDQLIFQGSNAEIGSLPKLDVDLKAEHLPEGIDYDSCNRKSQSLDLANIGEGGLKKHDSFSRWMSNELGEVEDPCIKSSSGVYWGTVDNGNVEVSSLSTQDLLETYLMPPSLSQDQLFSIIDFSPNWAYIGSETKVLVTGKFLKDKEDVDKCKWSCMFGEIEVRAEILAEGVLRCIAPLHASGRVPFYITCSNRLACSEVREFEYRLSDVQHMEISDSYLCSTHELNLHIRLERLLSLESASHSKPLSSVLTEKHDLSSKISSLLMESEDEWCNLLKLNQDKRISPGNAKNHLLEKLLKDKLHTWLLHKLAEDGKGPSVLDSEGQGVLHLAAALGYDWAIEPTITAGVSINFRDVHGWTALHWAAFCGRERTVVTLIVLGADPGALTDPTPEFPTGRTASDLASTNNHKGIGGFLAESSLTNHLSMLKLKESEGNDVSEISGLSSIDDVVAESVAHLADGEEHAAVSMKDCLSALRNSALAAAQIHQVFRVQSFQRKKLVEFGDDKCGTSHERVISLLSLKPSRLGQQDLPLNAAAIKIQNKFRGWKDRKEFMVIRKRIVIIQAHVRGHQVRKHYKKVVWTVGIVEKAILRWRRKGSGLRGFRSENLLEGSMMQDQSSTEDEYDYLKEGRKQTEARFGKALARVRSMVQYSEARDQYHRLLKVVTELQESKVMQESLLNGPVDGGDFMTELEELWEDSPLPLGPA >DRNTG_13375.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2363238:2365018:1 gene:DRNTG_13375 transcript:DRNTG_13375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGGQQNERWRSSEKTIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSYLGWGPGVTVLVLSWIITLFTLWQMVEMHEIVEGKRFDRYHELGQHAFGEKLGLYIVVPQQLIVEVGTCIVYMVTGGKSLQKFHDLVCPDCKNIKLTFFIMIFATVHFVLSQLPNFNSISGVSLAAAVMSLSYSVIAWGAPLSKGKVAGVEYGYNTSSTAATVFNFFNALGNVAFAYAGHNVVLEIQATIPSTPEKPSKKPMWKGVIVAYIIVAICYFPIALIGYWAFGNSVNDNILITLEKPRWLVAAANMMVVIHVIGGYQIYAMPVFDMMETVLVKQLKFRPSIALRLISRTLFVALTMFIAICIPFFGGLLGFFGGFALAPTTYFLPCIIWLAVYKPKRFSLSWLVNWACIVLGVCLMIVAPIGALRTIILSAKTYKFFS >DRNTG_06799.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3914857:3925441:1 gene:DRNTG_06799 transcript:DRNTG_06799.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTFYLANNQLIGHIPAELSQLHCLSYLNPSINHIEVGDWKQPNV >DRNTG_27921.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22806950:22808141:1 gene:DRNTG_27921 transcript:DRNTG_27921.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein S-acyltransferase 21 [Source:Projected from Arabidopsis thaliana (AT2G33640) UniProtKB/Swiss-Prot;Acc:Q6DR03] MTTYEYVVAMRTQSEPPAPSVNLEQQSIPSTPNSSAATAVSENSLGLQYRGAWCTPPRGFVDQLDEIVPHLDPSSRPSTVDPDAVENKVTKRPVRISAWKLAKLDSNEAIRAAAKARASSSVLKPVNHWHQFETDQCSSGPCTLKSLTPSQASREDGETHPQTPSSLGSPIQGSSKLHSNTKHFNPIYQQSANHSPLSVKSTDRSSIAVGKKSEDVAKTNNMNALENSRTLVYWDQNAGRFVSSQCTTGSSSQSARTELTYTGHSIFFGGPVWAGNVAKSSSNFDAANNRPGRLVRGRGSDQLPLFVPSDSQN >DRNTG_27921.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22804882:22808141:1 gene:DRNTG_27921 transcript:DRNTG_27921.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein S-acyltransferase 21 [Source:Projected from Arabidopsis thaliana (AT2G33640) UniProtKB/Swiss-Prot;Acc:Q6DR03] MAMSLAWLAVEFWVGLAVLVRGFVDKRETEALIIKNLGSGFSQAPFATIVILSTALSLLASVPLGELFFFHILLIRKGMTTYEYVVAMRTQSEPPAPSVNLEQQSIPSTPNSSAATAVSENSLGLQYRGAWCTPPRGFVDQLDEIVPHLDPSSRPSTVDPDAVENKVTKRPVRISAWKLAKLDSNEAIRAAAKARASSSVLKPVNHWHQFETDQCSSGPCTLKSLTPSQASREDGETHPQTPSSLGSPIQGSSKLHSNTKHFNPIYQQSANHSPLSVKSTDRSSIAVGKKSEDVAKTNNMNALENSRTLVYWDQNAGRFVSSQCTTGSSSQSARTELTYTGHSIFFGGPVWAGNVAKSSSNFDAANNRPGRLVRGRGSDQLPLFVPSDSQN >DRNTG_27921.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22805827:22808141:1 gene:DRNTG_27921 transcript:DRNTG_27921.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein S-acyltransferase 21 [Source:Projected from Arabidopsis thaliana (AT2G33640) UniProtKB/Swiss-Prot;Acc:Q6DR03] MTTYEYVVAMRTQSEPPAPSVNLEQQSIPSTPNSSAATAVSENSLGLQYRGAWCTPPRGFVDQLDEIVPHLDPSSRPSTVDPDAVENKVTKRPVRISAWKLAKLDSNEAIRAAAKARASSSVLKPVNHWHQFETDQCSSGPCTLKSLTPSQASREDGETHPQTPSSLGSPIQGSSKLHSNTKHFNPIYQQSANHSPLSVKSTDRSSIAVGKKSEDVAKTNNMNALENSRTLVYWDQNAGRFVSSQCTTGSSSQSARTELTYTGHSIFFGGPVWAGNVAKSSSNFDAANNRPGRLVRGRGSDQLPLFVPSDSQN >DRNTG_27921.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22803709:22808141:1 gene:DRNTG_27921 transcript:DRNTG_27921.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein S-acyltransferase 21 [Source:Projected from Arabidopsis thaliana (AT2G33640) UniProtKB/Swiss-Prot;Acc:Q6DR03] MVRRHGWQLPAHTFQVVAISVFFILSIAFYVFLAPFLGRSLYEYVAVGVYSFLALSVFILYVRCTGIDPSDPGILINLDEESGLNKGQLPGDAISLEEPKKLALETKEKPRRRNKKCCSSICGFFCGFIVKEDCRRNYDNTEQQTNNDDALFCTLCNAEVQKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYITFFLLMAMSLAWLAVEFWVGLAVLVRGFVDKRETEALIIKNLGSGFSQAPFATIVILSTALSLLASVPLGELFFFHILLIRKGMTTYEYVVAMRTQSEPPAPSVNLEQQSIPSTPNSSAATAVSENSLGLQYRGAWCTPPRGFVDQLDEIVPHLDPSSRPSTVDPDAVENKVTKRPVRISAWKLAKLDSNEAIRAAAKARASSSVLKPVNHWHQFETDQCSSGPCTLKSLTPSQASREDGETHPQTPSSLGSPIQGSSKLHSNTKHFNPIYQQSANHSPLSVKSTDRSSIAVGKKSEDVAKTNNMNALENSRTLVYWDQNAGRFVSSQCTTGSSSQSARTELTYTGHSIFFGGPVWAGNVAKSSSNFDAANNRPGRLVRGRGSDQLPLFVPSDSQN >DRNTG_25202.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20194276:20195000:-1 gene:DRNTG_25202 transcript:DRNTG_25202.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQKYSTPPKKGEGELPPPMSVEQVVEAFEFFGVYPQIEQWQDRLRQWFSSMLMNPLLEKIETSHIQVMQAAARVGVSITVNQVGSDTLTTTVPVNVSPDRWCQKSGSRYLPWMKMGFFISFVQIYCK >DRNTG_25202.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20194519:20195000:-1 gene:DRNTG_25202 transcript:DRNTG_25202.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQKYSTPPKKGEGELPPPMSVEQVVEAFEFFGVYPQIEQWQDRLRQWFSSMLMNPLLEKIETSHIQVFSYHS >DRNTG_28075.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21415362:21417801:-1 gene:DRNTG_28075 transcript:DRNTG_28075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWPRSLLLVLCLWPLLVDGMVRHYKFNVVMKNTTRLCSSKPIVTVNGKFPGPTLYAREGDNVLVKVTNHIKYNVTIHWHGIRQIRTGWADGPAYITQCPIPSKQSYVYNFTITGQRGTLLWHAHILWLRATLHGGVVILPKLHVPYPFPAPYKEVVVILGEWWKSDTEAVINEAMKSGIAPNVSDAHTINGHPGPMSTCATSQDGFKLKVDSGKTYMLRVINAALNEELFFKVAGHQLTVVEVDAVYTKPFKTDTILIAPGQTTNVLLTADQGAGQYLVTASPYMDAPIAVDNKTATATVHYTNTVSTSAITTTNPPPQNATPVASNFIDSLRSLNSKRYPAKVPLKVDHSLLFTVGLGINPCPTCIAGNGSRVVAAINNVSFVMPTTALLQAHYFNISGVFTKDFPGNPPIAFNYTGSGPANLQTMTGTRLYQLAYNSTVQVVLQDTGIISPETHPVHLHGFNFFAVGRGLGNYNPKTSPKKFNLVDPIERNTIGVPSGGWVAIRFLADNPGVWFLHCHLEVHTTWGLKMAFVVENGKGPNESLLPPPKDLPIC >DRNTG_25007.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27231460:27233074:-1 gene:DRNTG_25007 transcript:DRNTG_25007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATLVLLVIFFGESMENALRACCKGIKIGKILIHREGDNGQQLIYHNLPKNISDRHVLLLDPILGTGNSAVQAISLLLENGVQEANIIFLNLISAPQGIHAVCKRFPKIKIVTSEIESGLNEDFRVIPGMGEFGDRYFGTL >DRNTG_14088.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20782840:20789883:-1 gene:DRNTG_14088 transcript:DRNTG_14088.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase BRE1-like 2 [Source:Projected from Arabidopsis thaliana (AT1G55250) UniProtKB/Swiss-Prot;Acc:Q9C895] MASGKRENDGRNCGLADQKEEVDAVGLQFENQKLVQQLEAQKTEMHTLEGKFKELKDDQISYDKTLIALNRIWNQLVDDLVLLGVRAGGHPNGLQILDHEDLSPDTIESCPPEQMFLCQLLQAGPIEDDASKGIIKNVQAALDIRLSSTINLMKNLQETIVAQRARTESIVLALRGKLSSEDAILQLQKLDESLMEEAGNLRQAIEIIHEKHKQFSDEINTYLESHPRDQSEIKRLSGELEESMAELEESRRKLVALQMQKHGTSAFSAATVVNAVNGGTLPDKLADKSMGLRDLKNSIEEAKTLAASQLFELEEAQEDNLLLSKQLEDLQSELKDDKYILSSRPYVLLSDQVKHLNFEVERYNGMIESLQADRNQLVRREMELTAKADSADTVKNSISNHEAKIKELELQIQKCVAEKNDLEIKFEEAQQDLGRKDIKDEMRVMALALSKEMEMLETQLNRSKEAACESASLHEEANSLRALLEKKISKQKSLSDRCAEQVVEIKSLKSLIEKLEKEKQELQIFLDMYGQECFDNRTITEIKESEQRARSQAEYLRTALDDHSLELRVKAANEAEAACQQRRSVAEAEIAELRAKLDASEREVFALKEAIKIKDSEGEAYISEIETIGQAYEDMQTQNQHLLQQVADRDGYNIKLVSDSVKMKQTHSSLISEKQALAKQLQQVHGSMELLKMKVARSEEQMKAHLAQGAKAASDNRHIAIIMDKVKLDLEDSEKELKWLRSTVDSFEKEYEQNEKKILDIRMELERERNEREKLEGELTEVKNEVAELSSESEEAAIQRLQDEIKECKAILKCGVCFDRPKEVVITKCFHLFCSPCIQRNLEIRHRKCPGCGSPFGQNDVREVNI >DRNTG_14088.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20782840:20789883:-1 gene:DRNTG_14088 transcript:DRNTG_14088.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase BRE1-like 2 [Source:Projected from Arabidopsis thaliana (AT1G55250) UniProtKB/Swiss-Prot;Acc:Q9C895] MELTAKADSADTVKNSISNHEAKIKELELQIQKCVAEKNDLEIKFEEAQQDLGRKDIKDEMRVMALALSKEMEMLETQLNRSKEAACESASLHEEANSLRALLEKKISKQKSLSDRCAEQVVEIKSLKSLIEKLEKEKQELQIFLDMYGQECFDNRTITEIKESEQRARSQAEYLRTALDDHSLELRVKAANEAEAACQQRRSVAEAEIAELRAKLDASEREVFALKEAIKIKDSEGEAYISEIETIGQAYEDMQTQNQHLLQQVADRDGYNIKLVSDSVKMKQTHSSLISEKQALAKQLQQVHGSMELLKMKVARSEEQMKAHLAQGAKAASDNRHIAIIMDKVKLDLEDSEKELKWLRSTVDSFEKEYEQNEKKILDIRMELERERNEREKLEGELTEVKNEVAELSSESEEAAIQRLQDEIKECKAILKCGVCFDRPKEVVITKCFHLFCSPCIQRNLEIRHRKCPGCGSPFGQNDVREVNI >DRNTG_14088.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20782840:20789883:-1 gene:DRNTG_14088 transcript:DRNTG_14088.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase BRE1-like 2 [Source:Projected from Arabidopsis thaliana (AT1G55250) UniProtKB/Swiss-Prot;Acc:Q9C895] MELTAKADSADTVKNSISNHEAKIKELELQIQKCVAEKNDLEIKFEEAQQDLGRKDIKDEMRVMALALSKEMEMLETQLNRSKEAACESASLHEEANSLRALLEKKISKQKSLSDRCAEQVVEIKSLKSLIEKLEKEKQELQIFLDMYGQECFDNRTITEIKESEQRARSQAEYLRTALDDHSLELRVKAANEAEAACQQRRSVAEAEIAELRAKLDASEREVFALKEAIKIKDSEGEAYISEIETIGQAYEDMQTQNQHLLQQVADRDGYNIKLVSDSVKMKQTHSSLISEKQALAKQLQQVHGSMELLKMKVARSEEQMKAHLAQGAKAASDNRHIAIIMDKVKLDLEDSEKELKWLRSTVDSFEKEYEQNEKKILDIRMELERERNEREKLEGELTEVKNEVAELSSESEEAAIQRLQDEIKECKAILKCGVCFDRPKEVVITKCFHLFCSPCIQRNLEIRHRKCPGCGSPFGQNDVREVNI >DRNTG_14088.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20782840:20789883:-1 gene:DRNTG_14088 transcript:DRNTG_14088.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase BRE1-like 2 [Source:Projected from Arabidopsis thaliana (AT1G55250) UniProtKB/Swiss-Prot;Acc:Q9C895] MEEAGNLRQAIEIIHEKHKQFSDEINTYLESHPRDQSEIKRLSGELEESMAELEESRRKLVALQMQKHGTSAFSAATVVNAVNGGTLPDKLADKSMGLRDLKNSIEEAKTLAASQLFELEEAQEDNLLLSKQLEDLQSELKDDKYILSSRPYVLLSDQVKHLNFEVERYNGMIESLQADRNQLVRREMELTAKADSADTVKNSISNHEAKIKELELQIQKCVAEKNDLEIKFEEAQQDLGRKDIKDEMRVMALALSKEMEMLETQLNRSKEAACESASLHEEANSLRALLEKKISKQKSLSDRCAEQVVEIKSLKSLIEKLEKEKQELQIFLDMYGQECFDNRTITEIKESEQRARSQAEYLRTALDDHSLELRVKAANEAEAACQQRRSVAEAEIAELRAKLDASEREVFALKEAIKIKDSEGEAYISEIETIGQAYEDMQTQNQHLLQQVADRDGYNIKLVSDSVKMKQTHSSLISEKQALAKQLQQVHGSMELLKMKVARSEEQMKAHLAQGAKAASDNRHIAIIMDKVKLDLEDSEKELKWLRSTVDSFEKEYEQNEKKILDIRMELERERNEREKLEGELTEVKNEVAELSSESEEAAIQRLQDEIKECKAILKCGVCFDRPKEVVITKCFHLFCSPCIQRNLEIRHRKCPGCGSPFGQNDVREVNI >DRNTG_06165.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25325445:25331647:-1 gene:DRNTG_06165 transcript:DRNTG_06165.8 gene_biotype:protein_coding transcript_biotype:protein_coding MILWFLKDRKFSVEEAVAKLTKAIKWREDFGVSMLSEDSVKSLYNTRKAYVHDFLDVKWQTCACCCGI >DRNTG_06165.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25325445:25331647:-1 gene:DRNTG_06165 transcript:DRNTG_06165.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFRPPIRLSFSRPACCRRLPHRTFAASSQNQITPSSIDTSKLISEVKRILEREHLSLPIGKNGRDDEDMILWFLKDRKFSVEEAVAKLTKAIVSENLFIYFPL >DRNTG_06165.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25325445:25331647:-1 gene:DRNTG_06165 transcript:DRNTG_06165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMTFWMSNGRPVLVVVASKHFPEKQDANENERLCVYLIEKALSKCSPGTEDILGIFDLRGFSTDNSDFFFLKFLIDVFYYYYPKRLGQVLFVDAPFVFQPLWQLVRPLLKSYASLVRFCDAKTVREEFFTEDTVPDAFKG >DRNTG_06165.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25325445:25331647:-1 gene:DRNTG_06165 transcript:DRNTG_06165.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFRPPIRLSFSRPACCRRLPHRTFAASSQNQITPSSIDTSKLISEVKRILEREHLSLPIGKNGRDDEDMILWFLKDRKFSVEEAVAKLTKAIKWREDFGVSMLSEDSVKSLYNTRKAYVHDFLDVKWQTCACCCGI >DRNTG_06165.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25325445:25331647:-1 gene:DRNTG_06165 transcript:DRNTG_06165.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMTFWMSNGRPVLVVVASKHFPEKQDANENERLCVYLIEKALSKCSPGTEDILGIFDLRGFSTDNSDFFFLKFLIDVFYYYYPKRLGQVLFVDAPFVFQPLWQLVRPLLKSYASLVRFCDAKTVREEFFTEDTVPDAFKG >DRNTG_06165.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25325445:25331647:-1 gene:DRNTG_06165 transcript:DRNTG_06165.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKKINLGGNHSGGTEYRYPNCIALCETMAQNSFSKLIPLLHKCRGEKELRHLLAPMIVLGLFKDSLVTREVVSWCSTGRIEMLQYAVLLLKQVDYTQPFLWNMVLRGYEQRNDQWKAIQLFYQMLFSFVIPDKFSFPFVLKACANARALKEGEKIHCRVMKSPKSMDLFVQDSLIFMYAKCGQINAARISFDTMPNKSLISWNSMIDGYVKQGDVSAAFKLFDSMPERDLFSWNVMIDGLGKRGLIDVARQLFDEMPERDAVSWNSMIDGYAKCGRMEDARTLFAEAPNKDVFTWSIMLNGFVECGKIEIAHNWFEKIPCKNIVTWNSLINGYAKHGNLAASRKLFELMPYRNLNSWNVILDALTKNGEIELACKIFNEMPTQDIFSWNTIIDGHAKLGKMNVAQELFDIMPIKDLVSWNAIITGYRQNGHAMEAIQMFTKMHLDGQKPNSLTLATVLSATADLGFLVQGRSVHAYIDRNQFPLDGIVGAALIDMYSKCGYVHMAKGIFNSITSKSIDHWNAILSGFAIHGYGSIAVSLFDVMEESPVQPDDITFISVLSACSHAGLLHAGHQYFEVMRLKYRITPKIQHYGCMVDLLGRSGHLEEAFSLVRNMPMRANDVVWRALLGAAKNHGNIEIAECAARHLTELVPHDSSSYVLLSNIYGCIGQCESARDMWKIMKERGIAKTPGCSFIELKGILHEFTAGDTSHPAAMDIYLLLSNLTHGLMLAGYVPDLKHSLC >DRNTG_06165.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25325445:25331647:-1 gene:DRNTG_06165 transcript:DRNTG_06165.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKKINLGGNHSGGTEYRYPNCIALCETMAQNSFSKLIPLLHKCRGEKELRHLLAPMIVLGLFKDSLVTREVVSWCSTGRIEMLQYAVLLLKQVDYTQPFLWNMVLRGYEQRNDQWKAIQLFYQMLFSFVIPDKFSFPFVLKACANARALKEGEKIHCRVMKSPKSMDLFVQDSLIFMYAKCGQINAARISFDTMPNKSLISWNSMIDGYVKQGDVSAAFKLFDSMPERDLFSWNVMIDGLGKRGLIDVARQLFDEMPERDAVSWNSMIDGYAKCGRMEDARTLFAEAPNKDVFTWSIMLNGFVECGKIEIAHNWFEKIPCKNIVTWNSLINGYAKHGNLAASRKLFELMPYRNLNSWNVILDALTKNGEIELACKIFNEMPTQDIFSWNTIIDGHAKLGKMNVAQELFDIMPIKDLVSWNAIITGYRQNGHAMEAIQMFTKMHLDGQKPNSLTLATVLSATADLGFLVQGRSVHAYIDRNQFPLDGIVGAALIDMYSKCGYVHMAKGIFNSITSKSIDHWNAILSGFAIHGYGSIAVSLFDVMEESPVQPDDITFISVLSACSHAGLLHAGHQYFEVMRLKYRITPKIQHYGCMVDLLGRSGHLEEAFSLVRNMPMRANDVVWRALLGAAKNHGNIEIAECAARHLTELVPHDSSSYVLLSNIYGCIGQCESARDMWKIMKERGIAKTPGCSFIELKGILHEFTAGDTSHPAAMDIYLLLSNLTHGLMLAGYVPDLKHSLC >DRNTG_34257.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28137443:28142607:1 gene:DRNTG_34257 transcript:DRNTG_34257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKVGEKMVPLGVLLKKEQSGERMERPDVTHGQANQSKKGEDFTMFELDCQRVPGDNVSTFSVFALFDGHNGSAAAIYSKENLLNNVISAIPSDLSREEWIRALPRALVAGFVKTDKDFQEKAHTSGTTVTFVIIDGWYVTVASVGDSRCILESAEGSIYSLTADHRLEVNEEEVERITASGGEVGRLNTGAGAEVGPLRCWPGGLCLSRSIGDLDVGEYIVPVPHVKQVKLSSAGGRLVISSDGVWDALSSEAAIECCRGMPPDAAANQIVKEAVQLKGLRDDTTCIVVDIQPPEKTTPTVPPTKKSGKGMFKMFRRKSCESSSHTDKENFVPDTVEEIFEDGSAILSRRLETEYPICNMFKLFICAVCQVEMKPGEGISVHADSSKPGRVRPWDGPFLCPSCQTKKEAMEGKRPQK >DRNTG_12445.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21005164:21008527:1 gene:DRNTG_12445 transcript:DRNTG_12445.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRVGRLLLLVFLLSYVLTAFCAKSYYDVLQVSKSASEDQIKRAYRKLALKYHPDKNPGNEEANKRFAEINNAYEVLSDREKRSIYDRYGEEGLKQHAASGGGRGGGMNIQDIFNNFFGGGGGAEEEEEKIPKGDDVIVELHASLEDLYMGGSLKVWREKNVIKPAPGKRRCNCRNEVYHRQIAPGMFQQMTEQVCEQCPNVKYEREGDFITVDIEKGMQDGQEVVFHEDGEPIIDGEPGDLKFRIHTAPHDRFRREGNDLHMTVTISLVQALVGFEKTVTHLDEHLVEIGTKGITKPKEVRKFKGEGMPLHFSNKKGDLYVTYEVLFPKSLTEDQKTKIKEILT >DRNTG_30555.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:739113:739658:1 gene:DRNTG_30555 transcript:DRNTG_30555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLCYLLRAQGDKDATPTKISIDVVFSTCMEMSWESGKLICASAIQMGLEFRPSVQTVFLYAKYCSVSHEAQNVVQHIVYKDVISWNSMISAYFNQEVCAETFQILKQINFNSFSFCCLTHGIQHDGMTLSDTSLGVGHDAFNMLFSEIGAKKHVLRVIYVNPEPAIINEVIIRIYQQLLLQ >DRNTG_24701.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19112769:19116010:-1 gene:DRNTG_24701 transcript:DRNTG_24701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGSPVKSISPSSTRASSPVASRRQANSSFSTTGKLSSISSSFDMSCEEVCLEGQILESPNLRTFSFSELKSATKNFKADAILGEGGFGRVYKGWVEEKTLNPTKSGVGIVVAIKKLNAESVQGFEQWQSEVNFLGRLSHPNLVKLLGYCWEDKELLLVYEFMVKGSLENHLFKRNSAPLSWSLRLKIVLGAARGLAFLHASEKQIIYRDFKASNILLDSNYNPKLSDFGLAKNGPTGGDSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLSGQRALDTSRPSGQHNLVDWAKPYLSDRRKVSRLMDPRFEGHYSSKGALMAAQLTLNCIAVDPKKRPSMKIVVEILEQIEAIGGRAKESKKGHASH >DRNTG_04839.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30975004:30977453:1 gene:DRNTG_04839 transcript:DRNTG_04839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPDKCGTLTIPYPFGLTDGCSLSGFKIRCNTTYNPPKAFLDNANHVPVLNISEDVLIISNKIAGRNCHGIGTQTKFNQSRPYTFSSTRNMFTAVGCDTLAIFSAGNNSDYLAGCVSMCTNLSSIVNGSCSGHGCCQTSIPSGLKRFDVSLSTISDKIRYNYSQNVSKYCSKAFLVDKDQFVFNTSFMQSDHLESLPVVLDWAIGKKTCEVASLSKNFACKHENTRCVDFVNGHGYRCSCQDGFQGNPYLPLPAGCQDVNECASPKTNDCKMICQNIPVVSTLLVCWGVKKRRMIKLKEKFFEQNGGLLMQQLLSSHQSTTKSARIFTADELERATDNYNQSRVLGRGGYGIVYKGIFPPDNQLVAIKKSKFMENQINQIDQFINEVVILSQVIHMNVVRILGCCLETPVPLLVYEYVPGGTLYHHIHERRGSLSWSTRLKIAIDTAGALAYLHSATARPIFHRDIKSTNILLDDNYMAKVSDFGASRLIPQDKDHITTIVQGTLGYLDPEYYSSGVLTEKSDVYSFGVVLAELLTGEKPISQGRVQEEKNLAIYFVLSVERDSLFDILEPRVKKEVRREQLQRVAEVAMSCLRDKGEERPTMKSVHLELQRLISYGDQDIYSLHPHDQWPLDEHQTDESFVVKSTGYYNWSRIEDESLLRGSTQSHSASFEITNASGDLGGSSKLFSRTSSH >DRNTG_01590.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9622874:9629270:1 gene:DRNTG_01590 transcript:DRNTG_01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQCSATVFDLNSFPIPYFHRDNANGHTFTSWITCFFNGVHIGEGTTYPGDNEEDAPDDE >DRNTG_21898.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19627720:19636411:-1 gene:DRNTG_21898 transcript:DRNTG_21898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSGPSARSPPSIPMELYAQNRDKLVQALRESLAASSRPIRGFVLLQGGEEQTRYCTDHIELFRQESYFSYLFGVREPGFYGAIDIASGQSLLFAPRLSPDYAVWLGEIKPLVYFKERYMVSKVFYVDEIVQVLTDQYGESEKPLLFLLYGLNTDSNNFSKPAVLEEMEKFDTDLSTLHPILTECRVFKSELELALIQYANDVSSEAHIEVMRQTRAGMKEYQLESTFLHHIYMYGGCRHCSYTCICATGENSSVLHYGHAAAPNDRTLKDGDMALFDMGAEYHFYGSDITCSFPVNGRFTKDQAIIYNAVLKAHNAVLSEMKPGVGWVDMHKLAEKIILESLQKGSILVGNIDDMMAKRLGAIFMPHGLGHLLGIDTHDPGGYPKGIERPKEPGLKSLRTARELKEGMVITVEPGCYFIDALLIPALQAPETSDFFNRKELDKYKDFGGVRIESDVYVTSTGCKNLTNCPRETWEIEAVMAGAQWPLDSNFIRNKSENKLNLDSN >DRNTG_03533.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:225869:230748:1 gene:DRNTG_03533 transcript:DRNTG_03533.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSAKEANAPYFGGEDYNLWNLMMKTMFRSKDLWKLVEKGFSKEGDGNQLNESMKKDTKGLYLIQHALDKRILVRISGTKTVKEAWEIIKIEFQGNQSTLTVKLHSFQREFDAAKMKQGEKIQDFVSRVLDIVYQIRILEEDLPQKTVVSKILRSLTPRFSQLVHSII >DRNTG_03312.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9317124:9318995:-1 gene:DRNTG_03312 transcript:DRNTG_03312.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRVAAALVPPWLEPLLTTPFFSTCSSHSDAARSERNMYCLDCSGTAFCFYCRSESHSGHRVIQIRRSSYHDVVRVAEVQKSLDISGVQTYVINSARVLFLNERPQPRGGAKGVASPHACEICSRSLLDPFRFCSLGCKVLPLFLSLSPSLWHSFKYLPCRHVCTHTHIYIYINFVSLVGGDKEEWGCVFRSKGGGGGGGEREWRRRRRRRRRRRRSGREGEG >DRNTG_03312.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9317124:9318854:-1 gene:DRNTG_03312 transcript:DRNTG_03312.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRVAAALVPPWLEPLLTTPFFSTCSSHSDAARSERNMYCLDCSGTAFCFYCRSESHSGHRVIQIRRSSYHDVVRVAEVQKSLDISGVQTYVINSARVLFLNERPQPRGGAKGVASPHACEICSRSLLDPFRFCSLGCKLVGIKRNGDASFVLKEEEEEEASGSGGAGVGEEGEGGGVEGKVKDKERRAEGTPDRGRAHDIRLSTPSPPNSRRRKGVPHRSPLTS >DRNTG_03312.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9317698:9318995:-1 gene:DRNTG_03312 transcript:DRNTG_03312.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRVAAALVPPWLEPLLTTPFFSTCSSHSDAARSERNMYCLDCSGTAFCFYCRSESHSGHRVIQIRRSSYHDVVRVAEVQKSLDISGVQTYVINSARVLFLNERPQPRGGAKGVASPHACEICSRSLLDPFRFCSLGCKLVGIKRNGDASFVLKEEEEEEASGSGGAGVGEEGEGGGVEGKVKDKERRAEGTPDRGRAHDIRLSTPSPPNSRRRKGVPHRSPLTS >DRNTG_03312.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9317772:9318854:-1 gene:DRNTG_03312 transcript:DRNTG_03312.13 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRVAAALVPPWLEPLLTTPFFSTCSSHSDAARSERNMYCLDCSGTAFCFYCRSESHSGHRVIQIRRSSYHDVVRVAEVQKSLDISGVQTYVINSARVLFLNERPQPRGGAKGVASPHACEICSRSLLDPFRFCSLGCKVLPLFLSLSPSLWHSFKYLPCRHVCTHTHIYIYINFVSLVGGDKEEWGCVFRSKGGGGGGGEREWRRRRRRRRRRRRSGREGEG >DRNTG_03312.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9317124:9318995:-1 gene:DRNTG_03312 transcript:DRNTG_03312.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRVAAALVPPWLEPLLTTPFFSTCSSHSDAARSERNMYCLDCSGTAFCFYCRSESHSGHRVIQIRRSSYHDVVRVAEVQKSLDISGVQTYVINSARVLFLNERPQPRGGAKGVASPHACEICSRSLLDPFRFCSLGCKEEEEEEASGSGGAGVGEEGEGGGVEGKVKDKERRAEGTPDRGRAHDIRLSTPSPPNSRRRKGVPHRSPLTS >DRNTG_03312.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9317698:9318995:-1 gene:DRNTG_03312 transcript:DRNTG_03312.8 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRVAAALVPPWLEPLLTTPFFSTCSSHSDAARSERNMYCLDCSGTAFCFYCRSESHSGHRVIQIRRSSYHDVVRVAEVQKSLDISGVQTYVINSARVLFLNERPQPRGGAKGVASPHACEICSRSLLDPFRFCSLGCKVLPLFLSLSPSLWHSFKYLPCRHVCTHTHIYIYINFVSLVGGDKEEWGCVFRSKGGGGGGGEREWRRRRRRRRRRRRSGREGEG >DRNTG_03312.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9317698:9318854:-1 gene:DRNTG_03312 transcript:DRNTG_03312.12 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRVAAALVPPWLEPLLTTPFFSTCSSHSDAARSERNMYCLDCSGTAFCFYCRSESHSGHRVIQIRRSSYHDVVRVAEVQKSLDISGVQTYVINSARVLFLNERPQPRGGAKGVASPHACEICSRSLLDPFRFCSLGCKEEEEEEASGSGGAGVGEEGEGGGVEGKVKDKERRAEGTPDRGRAHDIRLSTPSPPNSRRRKGVPHRSPLTS >DRNTG_03312.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9317772:9318995:-1 gene:DRNTG_03312 transcript:DRNTG_03312.9 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRVAAALVPPWLEPLLTTPFFSTCSSHSDAARSERNMYCLDCSGTAFCFYCRSESHSGHRVIQIRRSSYHDVVRVAEVQKSLDISGVQTYVINSARVLFLNERPQPRGGAKGVASPHACEICSRSLLDPFRFCSLGCKVLPLFLSLSPSLWHSFKYLPCRHVCTHTHIYIYINFVSLVGGDKEEWGCVFRSKGGGGGGGEREWRRRRRRRRRRRRSGREGEG >DRNTG_03312.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9317698:9318854:-1 gene:DRNTG_03312 transcript:DRNTG_03312.10 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRVAAALVPPWLEPLLTTPFFSTCSSHSDAARSERNMYCLDCSGTAFCFYCRSESHSGHRVIQIRRSSYHDVVRVAEVQKSLDISGVQTYVINSARVLFLNERPQPRGGAKGVASPHACEICSRSLLDPFRFCSLGCKVLPLFLSLSPSLWHSFKYLPCRHVCTHTHIYIYINFVSLVGGDKEEWGCVFRSKGGGGGGGEREWRRRRRRRRRRRRSGREGEG >DRNTG_03312.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9317124:9318854:-1 gene:DRNTG_03312 transcript:DRNTG_03312.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRVAAALVPPWLEPLLTTPFFSTCSSHSDAARSERNMYCLDCSGTAFCFYCRSESHSGHRVIQIRRSSYHDVVRVAEVQKSLDISGVQTYVINSARVLFLNERPQPRGGAKGVASPHACEICSRSLLDPFRFCSLGCKVLPLFLSLSPSLWHSFKYLPCRHVCTHTHIYIYINFVSLVGGDKEEWGCVFRSKGGGGGGGEREWRRRRRRRRRRRRSGREGEG >DRNTG_03312.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9317698:9318854:-1 gene:DRNTG_03312 transcript:DRNTG_03312.11 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRVAAALVPPWLEPLLTTPFFSTCSSHSDAARSERNMYCLDCSGTAFCFYCRSESHSGHRVIQIRRSSYHDVVRVAEVQKSLDISGVQTYVINSARVLFLNERPQPRGGAKGVASPHACEICSRSLLDPFRFCSLGCKLVGIKRNGDASFVLKEEEEEEASGSGGAGVGEEGEGGGVEGKVKDKERRAEGTPDRGRAHDIRLSTPSPPNSRRRKGVPHRSPLTS >DRNTG_03312.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9317772:9319099:-1 gene:DRNTG_03312 transcript:DRNTG_03312.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRVAAALVPPWLEPLLTTPFFSTCSSHSDAARSERNMYCLDCSGTAFCFYCRSESHSGHRVIQIRRSSYHDVVRVAEVQKSLDISGVQTYVINSARVLFLNERPQPRGGAKGVASPHACEICSRSLLDPFRFCSLGCKLVGIKRNGDASFVLKEEEEEEASGSGGAGVGEEGEGGGVEGKVKDKERRAEGTPDRGRAHDIRLSTPSPPNSRRRKGVPHRSPLTS >DRNTG_03312.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9317124:9318995:-1 gene:DRNTG_03312 transcript:DRNTG_03312.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRVAAALVPPWLEPLLTTPFFSTCSSHSDAARSERNMYCLDCSGTAFCFYCRSESHSGHRVIQIRRSSYHDVVRVAEVQKSLDISGVQTYVINSARVLFLNERPQPRGGAKGVASPHACEICSRSLLDPFRFCSLGCKLVGIKRNGDASFVLKEEEEEEASGSGGAGVGEEGEGGGVEGKVKDKERRAEGTPDRGRAHDIRLSTPSPPNSRRRKGVPHRSPLTS >DRNTG_16928.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000857.1:28935:30871:-1 gene:DRNTG_16928 transcript:DRNTG_16928.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVEEALHMVGGAGDTSYASNSRLQEKAIRKTKDIVEKALKNINGEVVSKSLVVADLGCSSGPNAFLVISQIISAVMEAGYQKAKEIVFLLNDLPGNDFNTIFRSLSLYEKKVKEENGDQVLPYFVAGVPGSFYGRLFPCNSLHFAHSSYSLMWLSQVPVGIDHQSGGVNINKGNIYISRTSPPIVSRLYLEQFKRDFSSFLKLRSQELVNGGQMVLSFLGRKSSDPSKAEVCHLWGLVADALNSMVQEGVLEEEKVNTFNMPFYAASKEEVQQVIQSEGSFYIEQMQILESNWDPFDDSVDDQAFDNVKSGHNVAKCIRAVLEPLLVGHFGKQAIVDQVFERYAHNVAMHLLKEKTKHIVFILALKTKDLNL >DRNTG_16928.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000857.1:28935:48024:-1 gene:DRNTG_16928 transcript:DRNTG_16928.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKALKNINGVIVSKSLVQNQVTRNQSLNIFMMKVEEALHMVGGAGDTSYASNSRLQEKAIRKTKDIVEKALKNINGEVVSKSLVVADLGCSSGPNAFLVISQIISAVMEAGYQKAKEIVFLLNDLPGNDFNTIFRSLSLYEKKVKEENGDQVLPYFVAGVPGSFYGRLFPCNSLHFAHSSYSLMWLSQVPVGIDHQSGGVNINKGNIYISRTSPPIVSRLYLEQFKRDFSSFLKLRSQELVNGGQMVLSFLGRKSSDPSKAEVCHLWGLVADALNSMVQEGVLEEEKVNTFNMPFYAASKEEVQQVIQSEGSFYIEQMQILESNWDPFDDSVDDQAFDNVKSGHNVAKCIRAVLEPLLVGHFGKQAIVDQVFERYAHNVAMHLLKEKTKHIVFILALKTKDLNL >DRNTG_07879.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18858245:18859719:-1 gene:DRNTG_07879 transcript:DRNTG_07879.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFNDNHAPPQGYPMNDRVAIATNQQTMPIETKNRGDNFWKGCLAALCCCCVLDCCF >DRNTG_21455.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26888230:26889185:1 gene:DRNTG_21455 transcript:DRNTG_21455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVPSLRRKDFNSWSAIQDTYLSTKVLFSLLNLRFLVFILPLKGKDKAYASLRTHLILRDGDDEEENFGDDYLDEENDKFEEKIDDV >DRNTG_22846.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2540124:2544792:1 gene:DRNTG_22846 transcript:DRNTG_22846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDNVASSSPDAVEEAMRRLNLEENPDGVDDAHGSPYPDRPGEPDCMYYLRTGLCGYGNNCRYNHPTHIGLGTQYTGELPERTGQPDCQYFLKTGTCKYGPTCKYHHPRDKHDSRPVLLNIYGLPMRQDEKSCPYYMRTGSCKFGMACKFHHPQPAAPVGAIYPTTGSSSYGFTGSSMATPTSLPLLGGLSAWTLSRPPYVSNNMQGLPAYMPVTIPPSQGAIHLQHGWSTYVGNAGHAPSTDVLGLQMSNSKQHSQSGSSNQPYFPERPDQPECQYYMKTGSCKYGTSCKYNHPKERNISLANYTVGPHGLPLRPGMAACTFYNTYGSCKYGSACKYDHPFMGYYNYNLPAYSTGFNTERNPQTSWSSVETLPSRASRFNDQQSGTSNGTQDVDKSVDGDHSAKTSPTHTEPYSEPPQDHSN >DRNTG_22846.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2540124:2544792:1 gene:DRNTG_22846 transcript:DRNTG_22846.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLNLEENPDGVDDAHGSPYPDRPGEPDCMYYLRTGLCGYGNNCRYNHPTHIGLGTQYTGELPERTGQPDCQYFLKTGTCKYGPTCKYHHPRDKHDSRPVLLNIYGLPMRQDEKSCPYYMRTGSCKFGMACKFHHPQPAAPVGAIYPTTGSSSYGFTGSSMATPTSLPLLGGLSAWTLSRPPYVSNNMQGLPAYMPVTIPPSQGAIHLQHGWSTYVVSN >DRNTG_04815.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2334946:2336952:-1 gene:DRNTG_04815 transcript:DRNTG_04815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKQEHEKRVVLETIVSLLPKEKNVLSVSFLSMLLRAAVFLETTVACRLDLEKRMGIQLGQAALDDLLIPSFSFAGDMLFDVDMIQRVVMNYLEHEADEGRIGFDIDDEFASSISGMDQVGRLIESYLAEIASDPNLPISKFISLAELIPEQARFTEDSMYRAIDIYLKVILMICFQYFYDLFGFLLAWCLSCRLIHH >DRNTG_30522.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21435463:21437962:1 gene:DRNTG_30522 transcript:DRNTG_30522.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDESWGKSIQVDNVQVLANSSADIPEIYIRPDLDIGAGKISDEKLPIIDMSLLLNSNFSFEECSKLDLACKQWGFFQLVNHGIDDELMDKMEGDLKEFFKLPLEEKEVFAPVPGGLQGYGQKLNVNEEKLEWHDLLFLITRPLDSKNLRFWPTHPSSFRSTLEKYSLELKRVSECLFEVICQELRAQARDTP >DRNTG_11159.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31182070:31183764:1 gene:DRNTG_11159 transcript:DRNTG_11159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVEKHTRNLVVSKEDFSNCYASYAQMQYHQSLFSNSLPNSNFIQSRFPISSQVINHTDYGIEQQDVKRGDVRIAKQRIRWTHELHEQFVEAVNCLGGPMKATPKGILKLMESQGLTIFHIKSHLQKYRAVKCIMNFSEGKHGGKANNDRMPIHNSKKNGGVHILEALRLQLDVQKNLHEQLEFQKKLQSRIEEHANYLQRMFDFQKRSCSYFENTNAKNQMDSHATESSSYGGYSEFGEIYLNESEAKWFAS >DRNTG_26611.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:242867:243681:1 gene:DRNTG_26611 transcript:DRNTG_26611.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKYKAYQVPPAELEHVLQSLPEIVDAAVVPYPHEEAGQIPMAFVVRQPGSNLTELEVMEFVAKQVAPYKKIRRVAFIKSIPKSAAGKVLRRELASFALCGSFSRL >DRNTG_26611.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:242867:243350:1 gene:DRNTG_26611 transcript:DRNTG_26611.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIKYKAYQVPPAELEHVLQSLPEIVDAAVVPYPHEEAGQIPMAFVVRQPGSNLTELEVMEFVAKQ >DRNTG_26611.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:240334:243681:1 gene:DRNTG_26611 transcript:DRNTG_26611.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGFHALRPSDMETTMLSAPMFHSLGFFVALKGIALAETTVVVGGAPLERIMAVAERYNVTQMTAAPPLVVAMAQSEETLRFDLSSLRRVFCGGAPLSLDAAERFLAKFPLLEISQGYGSTEAGGISRMIGPEECRRGRSVGRLTENVEAKVVHHVTGKVLSVGEPGELCLKGPATMLGYVGDPEANALAFDSEGWLKTGDLCYFDEDGFLFIVDRLKEMIKYKAYQVPPAELEHVLQSLPEIVDAAVVPYPHEEAGQIPMAFVVRQPGSNLTELEVMEFVAKQVAPYKKIRRVAFIKSIPKSAAGKVLRRELASFALCGSFSRL >DRNTG_19810.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17124408:17126199:1 gene:DRNTG_19810 transcript:DRNTG_19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSYDRASEIKAFDETKAGVKGLVDAGITKVPRFFIHPTETMSNSTTELKIPVIDMKNINTRKKEIVEKVKEASETIGFFQVANHGVPNKVMDEMLDAIKRFIEDDEEVKKNYYTRDHKRNVVFNCNFHLYSSPAANWRDTLILRMAPDPPEKEEVPHAFR >DRNTG_10547.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3306039:3306913:1 gene:DRNTG_10547 transcript:DRNTG_10547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLVCMRNNEDGSRPGVDEKQRRSSSLGFDGGYRG >DRNTG_13842.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:16927130:16927498:-1 gene:DRNTG_13842 transcript:DRNTG_13842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAQSPKPHHIVAMPFPGRGLINPLLNLSCHLSTHGLLVTVVLTEEWLGLLSTSPTPPHPVVQFRSIPNVIPSEHGRAADYNGFLNAVNSKLEEFFERLLEDLDPPPAGTKPGLDGRGD >DRNTG_03145.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21389453:21391067:-1 gene:DRNTG_03145 transcript:DRNTG_03145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTARPAPPRSLTKTLTNLLRSRRTIAGDGDAAIAADRALKPSKTLGRYPAIILSDELPGDTDNERNERDEREALLSNLFVGISAIKAGYAQLQVAQSPYNPDAIQSADKAIVSHIKHLSHLKHAFLKKQLPEPRLAFAAEIEEQRNLLKTFEITAKKLEAEIEHKDAEIHSLRDRLLDSEQQCRAIDARLHPHRSLSSLYDLHLSGLNAVHFLSALRFAVKSVRSFVKQLVREMESAGWDVDAAAGAIHPDVLRRGPAHGFFAYESYVCLTMFSDFHRRDFGLRGAEDRRSWDRRRFFDEFSSSAHRSWNQLLDRSSTASRFARAKYLAMVHPKMEASFFGDLNQRTIVRSGKGFPETTFFAGFADMARRVWLLHCLFFSFESSSSSSPAVFQAAKGTRFSEVFMESVTTDDDYSGEVEPTVAFTVVPGFRVDHAVIQSRVYLSCNPTTTYGRF >DRNTG_34937.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18775982:18777160:1 gene:DRNTG_34937 transcript:DRNTG_34937.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKGSDVSKFKRIYVFCGSTAGKKRIYQDAAINLGKELVLKNIDLVYGERSIGLMAIPSPEKMI >DRNTG_32207.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001799.1:1:1584:-1 gene:DRNTG_32207 transcript:DRNTG_32207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIRNERFAFISYKHLSVLLQVMTRGNPSILIKGDPELEPTLRRKEKEPVQE >DRNTG_05073.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4570179:4577128:-1 gene:DRNTG_05073 transcript:DRNTG_05073.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lecithin-cholesterol acyltransferase-like 4 [Source:Projected from Arabidopsis thaliana (AT4G19860) UniProtKB/Swiss-Prot;Acc:Q71N54] MNSFGRWSIWLKVAKKQQPLVDPDLDPVLLVPGIAGSILHAVDDDGKEERVWVRILGADHEFRTKLWSRFDPSTGQTVSLDTKTKIVVPEDRYGLYAIDTLDPDMIIGCECVYYYHDLIEEMIKLGYQEGKTLFGFGYDFRQSNRLQETMDKFSAKLESIHASSGGKKINIITHSMGGLLVKCFMCLHGEIFEKYVKTWIAIAAPFQGAPGYITASLLNGTSFVEGWEQNFFISKWSMHQLLIECPSIY >DRNTG_23437.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21270138:21270808:-1 gene:DRNTG_23437 transcript:DRNTG_23437.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLIQNSTLQHYTSYKSVDLKPAVLEMQILQTNNQNCSLKAIHEKYKQPKYESVATLTSPELLQSLFC >DRNTG_23437.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21270138:21271093:-1 gene:DRNTG_23437 transcript:DRNTG_23437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILQTNNQNCSLKAIHEKYKQPKYESVATLTSPELLQSLFC >DRNTG_32290.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001817.1:41366:45342:-1 gene:DRNTG_32290 transcript:DRNTG_32290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHHSLTSLCQFGGVIARFYGRILSFSSQFVGPYGFFTPVDPHGRTEIPHDRVEFYSNALSSCLTDFFSNFSGAPVQIMAPRSKKQADKQSSSESEDMSFTIPEHRVRFKRLSRVHFGQTRFFDTSILTYLQQGDELADEVEDLVLVGGWRQLLTIREPAIRELALEVLSSFDFDRSYSSFDSINTIQFRVFGHHHSLSVTQFSVLLRLYEEAFTDTEEYSQLPTDYPGTMTPQRAYRVLYGTFVLVIDGQGAMWSGSELTECYGVRSRQEHLYLYSMVQHVPIHLGQIMAEYIRHLGHYARLGSIFSGPYIMRLVMGIGLLDAIRGAEKTSITTHLGLETLRLMGMVHRVWTGVYTLVLPALDIAEGEVDHAEASQPAPQPQSAPMETEAPSAVEDSPPVCIFSPSRAHDRFERLESAVGVIQTEVAEARAEITEIRATQATQYTEFMARFDVRDARVCANLLLFEVAQPFGGAQGQSHSSIPTYAHRTRSSSIYPSLKKQSDPGHKHVPVYVNSMKSGFGSIFGRVTVHSRPKMTVSENQHERVEITHARAKIPQGRPQGQGFGLGFERYWLGFWSGSTAPTSSFLWKKLGRGASVEAYPIPDKGILVR >DRNTG_18047.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:12032:12413:-1 gene:DRNTG_18047 transcript:DRNTG_18047.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEESKNIHWNKKKKWRTKEERSIRRRRRRRRRRRRR >DRNTG_11576.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8170344:8173885:1 gene:DRNTG_11576 transcript:DRNTG_11576.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLVKLGTLAVKTLSKPIANRLKKEAGNYPKFRLFIINIAQINHRFSTSLQRRLYSHATNVKIRPLDEEKAVQAAADLLGDVFVFLVAGGVLIFEVQRSARSESRKEEARKQELQALKDKDADLAREVEDLKRKINEVESLARGRGLTGILNLKQGMVSESSKPVAAA >DRNTG_11576.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8170305:8173885:1 gene:DRNTG_11576 transcript:DRNTG_11576.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLVKLGTLAVKTLSKPIANRLKKEAGNYPKFRLFIINIAQINHRFSTSLQRRLYSHATNVKIRPLDEEKAVQAAADLLGDVFVFLVAGGVLIFEVQRSARSESRKEEARKQELQALKDKDADLAREVEDLKRKINEVESLARGRGLTGILNLKQGMVSESSKPVAAA >DRNTG_11576.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8170305:8173773:1 gene:DRNTG_11576 transcript:DRNTG_11576.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLVKLGTLAVKTLSKPIANRLKKEAGNYPKFRLFIINIAQINHRFSTSLQRRLYSHATNVKIRPLDEEKAVQAAADLLGDVFVFLVAGGVLIFEVQRSARSESRKEEARKQELQALKDKDADLAREVEDLKRKINEVESLARGRGLTGILNLKQGMVSESSKPVAAA >DRNTG_11576.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8170097:8173773:1 gene:DRNTG_11576 transcript:DRNTG_11576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLVKLGTLAVKTLSKPIANRLKKEAGNYPKFRLFIINIAQINHRFSTSLQRRLYSHATNVKIRPLDEEKAVQAAADLLGDVFVFLVAGGVLIFEVQRSARSESRKEEARKQELQALKDKDADLAREVEDLKRKINEVESLARGRGLTGILNLKQGMVSESSKPVAAA >DRNTG_11576.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8170344:8173773:1 gene:DRNTG_11576 transcript:DRNTG_11576.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLVKLGTLAVKTLSKPIANRLKKEAGNYPKFRLFIINIAQINHRFSTSLQRRLYSHATNVKIRPLDEEKAVQAAADLLGDVFVFLVAGGVLIFEVQRSARSESRKEEARKQELQALKDKDADLAREVEDLKRKINEVESLARGRGLTGILNLKQGMVSESSKPVAAA >DRNTG_11576.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8170344:8173707:1 gene:DRNTG_11576 transcript:DRNTG_11576.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLVKLGTLAVKTLSKPIANRLKKEAGNYPKFRLFIINIAQINHRFSTSLQRRLYSHATNVKIRPLDEEKAVQAAADLLGDVFVFLVAGGVLIFEVQRSARSESRKEEARKQELQALKDKDADLAREVEDLKRKINEVESLARGRGLTGILNLKQGMVSESSKPVAAA >DRNTG_11576.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8170305:8173707:1 gene:DRNTG_11576 transcript:DRNTG_11576.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLVKLGTLAVKTLSKPIANRLKKEAGNYPKFRLFIINIAQINHRFSTSLQRRLYSHATNVKIRPLDEEKAVQAAADLLGDVFVFLVAGGVLIFEVQRSARSESRKEEARKQELQALKDKDADLAREVEDLKRKINEVESLARGRGLTGILNLKQGMVSESSKPVAAA >DRNTG_11576.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8170097:8173773:1 gene:DRNTG_11576 transcript:DRNTG_11576.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLVKLGTLAVKTLSKPIANRLKKEAGNYPKFRLFIINIAQINHRFSTSLQRRLYSHATNVKIRPLDEEKAVQAAADLLGDVFVFLVVFHS >DRNTG_11576.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8170344:8173707:1 gene:DRNTG_11576 transcript:DRNTG_11576.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLVKLGTLAVKTLSKPIANRLKKEAGNYPKFRLFIINIAQINHRFSTSLQRRLYSHATNVKIRPLDEEKAVQAAADLLGDVFVFLVVFHS >DRNTG_03793.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22060031:22061356:-1 gene:DRNTG_03793 transcript:DRNTG_03793.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIDTKNLNSTSTDKTVIDEVSGWLRLFDDGTVDRTWTGPPEALFLMSSIPPSPTPNADNVTVHDLPGKPNLRLYLPAGTLLPILLHFHGGGFCISHNSWYMYHQFYSRLAGAIPAAIFSVELSLAPEHRLPAQIYDSFSALLRLRLISKNQNSDPLFSSLDFSRVLLIGDSSGGNLVHEVAALAGNETDEFWSPVKLAGAIPIHPGFVRATRSKSELEMKQDPFLTLDMLDKFLALGFAGRGNQGPPYTCPMGTAAPAMENLRLPPFMVCVADKDLIRDTNYEYCEAMKKAGKKVKELVSEGMSHSFYLNKMAVDSDPVTAQRTKELIHAIKDFVSCP >DRNTG_03793.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22060031:22061227:-1 gene:DRNTG_03793 transcript:DRNTG_03793.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIDTKNLNSTSTDKTVIDEVSGWLRLFDDGTVDRTWTGPPEALFLMSSIPPSPTPNADNVTVHDLPGKPNLRLYLPAGTLLPILLHFHGGGFCISHNSWYMYHQFYSRLAGAIPAAIFSVELSLAPEHRLPAQIYDSFSALLRLRLISKNQNSDPLFSSLDFSRVLLIGDSSGGNLVHEVAALAGNETDEFWSPVKLAGAIPIHPGFVRATRSKSELEMKQDPFLTLDMLDKFLALGFAGRGNQGPPYTCPMGTAAPAMENLRLPPFMVCVADKDLIRDTNYEYCEAMKKAGKKVKELVSEGMSHSFYLNKMAVDSDPVTAQRTKELIHAIKDFVSCP >DRNTG_26169.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29225587:29232002:-1 gene:DRNTG_26169 transcript:DRNTG_26169.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase large subunit 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19220) UniProtKB/Swiss-Prot;Acc:P55229] MKMALWADSRILPSATTSNLQIGSRLSRRCRGFSDGELMGTRIDSWKPCARVGGHSSTPSRKRGLIRMVVATELVGELRNLEMERRDPRTVVAVILGGGAGTRLFPLTKQRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQFNSASLNRHLARAYNFGNGVNFGDGFVEVLAATQTPGEAGKRWFQGTADAVRQFHWLFEDARSKAIEDVLILSGDHLYRMDYMDFIQSHRQSGADITIACLPMDDSRASDFGLMKIDNKGKVISFSEKPKGADLKAMAMDTTVLGLSREEAEKKPYIASMGVYVFKKEKLLNLLRWRFPTANDFGSEIIPASARESFIKAYLFNDYWEDIGTIKSFFEANLALTAHPPSFSFYDATKPMYTSRRNLPPTKVDNTKIVDSIVSHGSFLYNSFIEHSVVGIRSRINSNVHLKDTVMLGADYYETDDEIATLLAEGRVPIGIGENTKLKNCIIDKNARIGKNVTIANTEGVQEADRPSEGFYIRSGITIILKNSTVNDGLVI >DRNTG_30728.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:612281:619597:1 gene:DRNTG_30728 transcript:DRNTG_30728.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFHVLKGLSISKCNLDNLDWVLHLPCLVILVISDCSEIKMLFYIEEREIRQQEVSEHRPTFPVLEYVIIKKLPKLVSISNFALDFSRLKYLSVCQCLNLKKLPFKGAIDNNQRMIDIHCEREWWESLEWHDATIPSHLRPYFSMDESNVDLFEAWRKHIYVQDESYKDSD >DRNTG_10162.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000425.1:18079:18624:1 gene:DRNTG_10162 transcript:DRNTG_10162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHCLPRLITDTFRRLSVHGTPSSNTTILRPLAGALTLEHPRMLTSEEKWRRRQRRTRGSMTSPSPGMTTTTSIIGR >DRNTG_10983.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:13470522:13495314:-1 gene:DRNTG_10983 transcript:DRNTG_10983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRCHLVSALVLLLVLLSVQRMMLVDLPVLGRLMVVPEMPKGSERTNLGQITLEYPHSTLKPLKMFMGSRWREDAQRRWLLCWGSGICIHLHGLRLGDRLRILCSVISSLCYLWSSVANVM >DRNTG_06553.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22679268:22684695:1 gene:DRNTG_06553 transcript:DRNTG_06553.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ultraviolet hypersensitive 1 [Source:Projected from Arabidopsis thaliana (AT5G41150) UniProtKB/TrEMBL;Acc:Q2F6I1] MTAAMKGVQSAALEAMGACLRELRRTNKVDVDDLTVENGLFKSFDEIVRQQLDPIWHTLGKKTKQLVNDLKTLRKLVDYLVRYDAVTFLKYLDTLRVSEGGKSIWIYAESSYKIFEFAKKRVYHVLRADGSKISMNSKSAANKKRRVNDDNNKNRENEASCSDGGKGHINSGVMLEEVLEEAPKWKVLRELLEEIEEERRKVALSREDELGIEDTGEDTGIVMVACKDERSCLQLEACITKGPHQVMREEWGKYLLGKAELHGLQKRSKKKNQEPKGFGILNGEVANGPYENTDPSSISKLENDALLAAASEISHLASEEISVGDGSDPCATRGRSRKCRGRGRGRSKKASSKGQTPINRHKNPGDDKVIGKGCSAIEIQGAEKDTEDATDLEERSLLDASTDKAVLRRHSKDHDISESVCAKPLPPVQFYALESDKHILDVWKPSAIVVYHPDIAFVREIEVYKAENPSRKLKVYFLFYEDSTEVQKFEASIRRENAAFESLIRQKSLMMIPVDQDGRCIGLKSSSEPDLHGLQNSQTRKAGGRKTVEKEMQVIVDMREFMSSLPNVLHQKGMRIIPVTLEVGDYVLSPMICVERKSITDLFQSFASGRLYHQVETMVRYYRMPVLLIEFSHEKSFSFQSANDISDDVSPTSIISKLSLLVLHFPRLRLVWSRSVHATAEIFASLKMNQDEPDETKAIRVGVPSEDGVIENDVRAENYNTSAVEFLRRLPGVTDSNYRALMDGCNSLAELALLPIERLAELMGGQKLARTLKDFLDAKFPTLL >DRNTG_06553.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22679268:22684695:1 gene:DRNTG_06553 transcript:DRNTG_06553.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ultraviolet hypersensitive 1 [Source:Projected from Arabidopsis thaliana (AT5G41150) UniProtKB/TrEMBL;Acc:Q2F6I1] MVACKDERSCLQLEACITKGPHQVMREEWGKYLLGKAELHGLQKRSKKKNQEPKGFGILNGEVANGPYENTDPSSISKLENDALLAAASEISHLASEEISVGDGSDPCATRGRSRKCRGRGRGRSKKASSKGQTPINRHKNPGDDKVIGKGCSAIEIQGAEKDTEDATDLEERSLLDASTDKAVLRRHSKDHDISESVCAKPLPPVQFYALESDKHILDVWKPSAIVVYHPDIAFVREIEVYKAENPSRKLKVYFLFYEDSTEVQKFEASIRRENAAFESLIRQKSLMMIPVDQDGRCIGLKSSSEPDLHGLQNSQTRKAGGRKTVEKEMQVIVDMREFMSSLPNVLHQKGMRIIPVTLEVGDYVLSPMICVERKSITDLFQSFASGRLYHQVETMVRYYRMPVLLIEFSHEKSFSFQSANDISDDVSPTSIISKLSLLVLHFPRLRLVWSRSVHATAEIFASLKMNQDEPDETKAIRVGVPSEDGVIENDVRAENYNTSAVEFLRRLPGVTDSNYRALMDGCNSLAELALLPIERLAELMGGQKLARTLKDFLDAKFPTLL >DRNTG_18852.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2524432:2528101:1 gene:DRNTG_18852 transcript:DRNTG_18852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDETQSPDLQPLLLLRKPIAFLLSSLSTHFHLLKPWESPLPLPAFLSTHATTVQALLITGLAPVTAAILDSLPSLGCIVTTSVGVDHIDLLECARRGVAVANAGSVFSDDAADYAVGLLIDVLRRISAADGYLRQGLWTLAGDYPLGSKLSGKRIGIIGLGSIGSRVAKRLQAFSCTISYNSLTMKPSVPYKFYPNVCDLASNSDALIVCCALTSKTHHIINKDVLSALGKQGIIINIGRGALVDEEDLIKCLMNADIKGAGLDVFKNEPLVPKELLSMNNVVLSSHSAVNTEENILDLYQLIIANFEAFFAGRPLISPVSA >DRNTG_12952.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14774370:14776282:1 gene:DRNTG_12952 transcript:DRNTG_12952.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIASNQRKTKPLAMDLTFSFIFILLLPLFTFLLFHHLSIKKPTSEGLKPHPLIGHLPHFIKHRHRFLDWITDVLTSNPSHTMSLQIPFQDYGILTANPLNVEHILKTNFGNYPKGQRSTTFLQDFLGQGIFNSDGEQWRHQRKLASYEFNKRSLRNFVMDTVQLEIENRLLPLLRRAEERDEVLDFQDILESFGFDNICKVAFNEDPACLSIDEEEEKKKSMSKGFKKAFGDAQSLSMVRFMDVFPFTWRIKKMLDIGSEKKLRKSVSIVREFAMKIIRDRRRDNRDHDGDDLLSRFSSEKEKSEEFLRDIVISFVLAGRETTSSALSWFFWLVSTRTDVEEKIVKEVDEIRARHGNFREGFDYDKLREMQYLHAAVTESMRLYPPVAIDTLACKEDDTLPDGNFVGKGWFVSYSASAREVVGGWSF >DRNTG_20575.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8435407:8436831:1 gene:DRNTG_20575 transcript:DRNTG_20575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNCGKKQDYGDLENLIKEIDKRRSKGIEDVREQNSKALDEIRMLLADMAKKRNGCSSRRSSEFSSDTLFAVEAALNAAMELNKPVKHIFCDLQDIIHLLNCTDHVTSWRFDDQINNLKFLLDSWGNPLIHVIPSSWGSPAVGLAMHGLTHHHPNLFLFGKDLPFWIMKSFINFGFRF >DRNTG_20575.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8435407:8436831:1 gene:DRNTG_20575 transcript:DRNTG_20575.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDLRIGCMNLNNCFEIDNIVDVVKVKLAAIYFVGKALHWLKYFLSIREKGKLVSWSEFVEVLVARFGEHVVWDTMAEIKKLKQEGSLQQYPDEFDVLLSQTYCIET >DRNTG_20785.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4229405:4234600:1 gene:DRNTG_20785 transcript:DRNTG_20785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGVTISSSTPTTKSPTERSSTMTPASTIS >DRNTG_13212.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2622127:2624767:1 gene:DRNTG_13212 transcript:DRNTG_13212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKLDIEKAYDSLSWNAILATLTKMKFPGRWINWIKVCISKVSFALLINKSPSNWFNSTRRLRQRDPISPYLFILIAQNLTSMLNFAMANNMIPGFNSGLSNNFNHLMYVDNLILITQASRKISRNVKLCLSIYGILTGQKLNNSKSEIIFPSRFNMRLKNSISRILGYKVGSFSFNYLGILISPKKLALSYFSSLVNKIEKSVTFWKKSRISLAGKTILINSAIMSTPLYYLSAYPVPDSILDRITKAARAFFWSKDCNRNGIHSVEWNEITLNRSEGGLSVGDLGLSKISLMAKNVIRMVNNSNVWWVSIMNKKYGNFNIWADIVPASCSWFYRGLYRNAKHIRPYLWINIINPAETSFLNDPWYFELPLSHKPTYLNMDIDNSMV >DRNTG_14332.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000673.1:4924:5291:1 gene:DRNTG_14332 transcript:DRNTG_14332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITHLRLVRTEGQCSPNLNGPTSKATGPTPDGRPRSIGKTLRKKNVSPRPQPAALPLFFARRPPISLFFEIWIESRSGKHGFFRSKESMLQAASV >DRNTG_21091.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18895644:18902630:1 gene:DRNTG_21091 transcript:DRNTG_21091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNEPVHLGHILAEYLKHQGQYPRLGVIFSGPYVTRLILGMGLRDAISRAEKIIIPALLGLETMRLMGLIHKYPNGVYVLNIPFEDNGDEPQSAPMETEAPPAAENIPPVRSVTPSQTHDRFERLENALGVVRAEVSEIRAAQEAQYTEFMARFDVLQQILERDMVLSSWEFRLSHGHGQGTEALGRVSLSTRRNTTPMELASSNTTLGNKSSTNSPIIEEASDPRCERVTVCVTPMKVWIREAIQAGLCSKALQQHGCEHYSSTVHSRPRKQEFRESTRACGNYPRPCGNSPRAREASTPVQGSTAPTSSFHRKKVVRGASVEAYPIPDEGILGRRVEDFPPNHRHDYRGELIATPCEYEVLRLFNFFSGTCIELGIVDLRFGTMLWGDLGKEKKGKEARRSFKAKVQDSQGKKATSFKGISTTIGRKETRG >DRNTG_29493.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5994266:6000176:1 gene:DRNTG_29493 transcript:DRNTG_29493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLRPSNDPPMPSLNNSRPKLLPWRPKQFCGGVLKGILVGTKEEAGRRFKPSKDPPKFKLHNSQPKLFPWRPKGNSCLAFNLIPSQKNFWG >DRNTG_30039.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5653476:5654831:-1 gene:DRNTG_30039 transcript:DRNTG_30039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLLLLLLLLLLPFSISTTTTSPSDIKALSSFLSSIHPSSIPSFSCLSSWNFSSDPCHPSTPSHFLCALSCSSSSSSSSFSHIISISLDPAGYSSSSFPLSLLSLPSLSFLSLSSNSFQGPLPSSLPSLPSLSTLDLSHNSFSGPIPSSFFSSFSSLSTLDLSHNSFSGSIPPSLSSLSFLSTLDLSFNHFSGPLPPSLPPNLITLAVKSNSLSGSITTSTFHPLSKLQGGSAVAGGQGLVALDLSYNKLSGELPVGLAGFPAMAAMSVRYNKLRGGIPREYYEKKKGVPFKRLFLDGNYLNGRVPEGFLAGAGEELTGSFGDNCLESCPKTVGLCSPEQKPKWVCKQVYGSGKGGR >DRNTG_13234.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2858506:2866424:1 gene:DRNTG_13234 transcript:DRNTG_13234.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAQLRRGISRQFSTGSIKLSGKFSFKRQSSFDPARPGGNSSRRFAFGRQSSLDPNRRSPVHEELSVPENLDSTMQLLFMACRGDVKGVEELLKEGADVNSIDLDGRTALHIAACEGHVEVVKLLLRWRANIDARDRWGSTAAVDAKYYGNTEVFNILKARGAKVPKTRRTPMAVSNPREVPEYELNPLELQFRRGEDILKGAYQVAKWNGTKVSVKILDKECYSDPESINAFKHELALLQRVRHPNVVQFVGAVTQNMPMMIVSEYHPKGNLASYLQKKGRLQPHKAFRFALDIARGMNYLHACRPDPIIHCDLKPKNILLDDGGQLKVTGCGLIKLSKLSPDKVRLAHPMQIDGSNLYLAPEVHNNEIFDGKVDSYSFGLILYEMIEGAPAFHPKPPEEAAKMLCVEGKRPQMKNKSKSYPLDIRELIEECWDPRPVVRPTFLEIIVRLDKVVANCGKQGRWTGNFKLPWK >DRNTG_13234.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2858506:2866424:1 gene:DRNTG_13234 transcript:DRNTG_13234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAQLRRGISRQFSTGSIKLSGKFSFKRQSSFDPARPGGNSSRRFAFGRQSSLDPNRRSPVHEELSVPENLDSTMQLLFMACRGDVKGVEELLKEGADVNSIDLDGRTALHIAACEGHVEVVKLLLRWRANIDARDRWGSTAAVDAKYYGNTEVFNILKARGAKVPKTRRTPMAVSNPREVPEYELNPLELQFRRGEDILKGAYQVAKWNGTKVSVKILDKECYSDPESINAFKHELALLQRVRHPNVVQFVGAVTQNMPMMIVSEYHPKGNLASYLQKKGRLQPHKAFRFALDIARGMNYLHACRPDPIIHCDLKPKCQKYFAR >DRNTG_13740.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5538900:5541202:1 gene:DRNTG_13740 transcript:DRNTG_13740.2 gene_biotype:protein_coding transcript_biotype:protein_coding GFSCPPNTLFSNSNKLGFSSLLVTPSCTSTAKSTNPAKSATDPSRTSRSTRPSTSESFEITAISFSSSSIPCPKPSLETKHLPSPPFPDGSDSSSTSTRSAPHLWFSSEGDSDGLGDESRHTERIRARAR >DRNTG_13740.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5538648:5541202:1 gene:DRNTG_13740 transcript:DRNTG_13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFSCPPNTLFSNSNKLGFSSLLVTPSCTSTAKSTNPAKSATDPSRTSRSTRPSTSESFEITAISFSSSSIPCPKPSLETKHLPSPPFPDGSDSSSTSTRSAPHLWFSSEGDSDGLGDESRHTERIRARAR >DRNTG_17499.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19941102:19943791:1 gene:DRNTG_17499 transcript:DRNTG_17499.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSTTPSSPFHEEENWGFTNLTPGAVRKKKDTVFFINHCI >DRNTG_17499.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19939315:19943791:1 gene:DRNTG_17499 transcript:DRNTG_17499.2 gene_biotype:protein_coding transcript_biotype:protein_coding LCCHFSLKAQIYELLNSRIKPRAIRLLSKQLHLLNNMDTPPNPQIRQIQVPNRRIHHPPP >DRNTG_17499.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19939315:19943791:1 gene:DRNTG_17499 transcript:DRNTG_17499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSTTPSSPFHEEENWGFTNLTPGAVRKKKDTVFFINHCI >DRNTG_34779.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002194.1:17649:24086:1 gene:DRNTG_34779 transcript:DRNTG_34779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGLDYSTKTKPNVENSEAKNASPVLH >DRNTG_35380.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1721100:1726431:-1 gene:DRNTG_35380 transcript:DRNTG_35380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRLPDHKILEMVLDKLQKKDTYGVFAEPVDPEELPDYRDVIEHPMDFGTVRKKLARNAYRCFEQFEDDVFLICSNAMQYNAPDTIYFRQARSIQEMARKKFQMLRSDGAHKTQTFVDPAPMATERNCEEKMNSNFIEKKLIKKPVCIASKERLGSDNSSGATLPLMQDTVTVMKTAKINESKRPAIVNQGLDRTPPLVESKSDKADEVSARCSPTQHGTKVLLVDENHGANDGTLTEEPVVESDSLFTVSAKSSPCKYGRKPLIVDENRRATYSANIEEHVVESDLTFAFFGSEAKQLTAVGDYVEYSYARSLAHFAGSLGPVAWKITSEKIEKALPAGVKFGPGWVGEYEPLPTPILSFESHITQLGHSSKLKKHRENEGRTKVTQTSGLKDIQLVRKTHIVSDQCNGSSNQPKEGISCRETMGGKRGWFGVASETKPGITFAMLQKQKDRLTVDLPQASANLAEHLQPSNSTSVITTETALQRPLSCSEAATSRSFETILRNSNHRQPDNLKQEEMRKPERGNAILGMDLRGFNGGNATEKSDIDRLNASLGIISNQQSKVTNNGRAHGSQEQPVDDPCRIMGLPGKIFYQSNANVGGHTANIGGHTLKQVCSPLQQPRRDNASAAAVAAQAWMSIGAPAEHKTIDISRYPKSQVEPALLHNSFWKMPTARTGVNEDQMTRQVSQVLPQSIQVSEESQVKNQGFVLFPQLLGNDSSRQSGQAHWQRLVPHVQQRQRSDMLPPDLNISCQPPGSPVRQSSSILMDSQQPDLALQL >DRNTG_19439.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000998.1:26281:26854:-1 gene:DRNTG_19439 transcript:DRNTG_19439.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPMSRPLKSMSTERKMVQERAEAGEAPPIEYIVKETQRPADAPPPLTSPLPVVHLGQPDEAEEIKAALQSWGMFQVISFLSSIRDLGLFGLKKRKDEIFRVSLCFFFFLCWGFD >DRNTG_19439.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000998.1:23880:26854:-1 gene:DRNTG_19439 transcript:DRNTG_19439.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDDVIDVFVLMLLDTLKKSPNMYKMTGTITCPMALALSRQEHSPCGMERMMGPALEDFPATELILMPIVWNKHYHLLVLDKVKEYLYYESIGRHVYDR >DRNTG_14309.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17015519:17016322:-1 gene:DRNTG_14309 transcript:DRNTG_14309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNRQEDTSQNCQDIFCNLNHFPGLQRTIDWMPPVYTNWFPVLVVSLPISLTTDELPPMLHTLLCATSSITLYNRS >DRNTG_15770.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17546021:17546797:-1 gene:DRNTG_15770 transcript:DRNTG_15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:15.7 kDa heat shock protein, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G37670) UniProtKB/Swiss-Prot;Acc:Q9FHQ3] MADLLFGSPFRRLIWSSPILHDWSGAVPIPMDWIETPSSHIFKFNVPGFGRDDIKVQLEEGNIIHIRGESPASKEEQRQGKEVLSHLVERVKGDFSRRFELPENVRGDEIKAQVENGVLAIVVPKEPVPAKLKPRTIAVTSKL >DRNTG_09605.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000402.1:27583:30048:-1 gene:DRNTG_09605 transcript:DRNTG_09605.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHIDAPTIGKDVLKPRGMLTDDRWPGVLTALVGVSGAGNTTLMDILAIPGVKKITEGCNPATWMLEITSSSAEAQLSLDFAEF >DRNTG_26796.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19569855:19571539:-1 gene:DRNTG_26796 transcript:DRNTG_26796.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFPWLVARVEALEGRLQSSVTSLQTNEPPGTGEASEFDDDNFIGIAIPRRPHLKRLVRKKKTIAALYPSPVDDETTTAPSVADVVTIDDMAVTVEEIIDDVAIAAINKIVDSVVIEIPDPVEPVADSAVSKMDTIPEEQELAKVVSPVNAVAVATVEKIIDSVVNEIVVTVELTADSATSKEDTIPQQQETSKDMSLVDVVIVPASKEDADGVEHRQPSTAVDEGQGNATAMAMRDMILANKKLDEVRKGFIPKKKKYVGQLRLNKYEQELIRIFLNCPMDNTVVWKNDSLSTTRSRLFTLLEVKEMVSDDVMDAFDDAHKTTIAMIGDAARNLHDVEIIVLPIIINGHFHVVVLDNNKQEYRHYSSCQSEGYDKDALEMQRLFDIYIDMEFGESATAKYPLVHDIETPRQKQGSVDCTVYVMWFIEQLLADEELRLPQTDVPYLR >DRNTG_07774.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1067660:1070767:1 gene:DRNTG_07774 transcript:DRNTG_07774.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component 3 [Source:Projected from Arabidopsis thaliana (AT5G06680) UniProtKB/Swiss-Prot;Acc:Q9FG37] MDDPQTSALLRELVLRLLPAGSPFSPDAALRYSRRLLSSRLLSPSSLPADETSLSDSIKRQLALSGRTSAALVFSDLLHKLSMLSGPGSLRQRPALLLLLKSLSDHPASCVLPSLPLPISKKTAVQSTAGGVGGVLMLSKDPDNIREIALREYSDLAIEDAEIDEQTLVRDVLYAAQGIDGRFVRFDPRSDGYDLPETMRVPRSMRTMVRRLCELGWLFKKVRGFVSENMGQLAAAEVGTVAQAFCSALQDELSDYYKLLAVLESQALNPIPSTGSSSGDLRNYLSLRRLAVWLAEPMVRMRLMAVLVDGCKDLRGGAMAGAIHGHAQHGDPLVQEFMGRLLRRVCSPLFEMVRSWVLEGELEDLFSEFFILSQPVKAESLWREGYRIQTSMLPSFISQTLAQRILRTGKSINFLRVCCEDNGWADAAAEAAAHVGTTTRRGGLGYGETDALEALVVEAAKRIDRHLMDVIHKRYRFKDHCLAIKRYLLLGQGDFVQYLMDIVGPELSGPANTISSFQLAGLLETAIRASNAQYDDRDILDRLKVKMMEHGDGDRGWDVFSLEYDAMVPLDTVFTASVMKKYLRIFNYLWKLKRVEHALIRVWKTMKPNCIASCIFAKEGMGVKVQFVSVLRRCQVLWNEMNHFVTNFQYYIMFEVLEVSWARFSEEMDVTKDMDGLLAAHEKYLSSIMEKSLLGERSQGIVRTLFALFDLILRFRSHAEMWFERIYELQIRGRGKSRSKAKDEFSKTNSWLEGGRKAMMQLAGEFIQKMGEDLDKIAAEYTSSLAVFISQLPMQQHVDLKFLLFRLDFTEYYSQLYPTK >DRNTG_18143.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:579075:581637:1 gene:DRNTG_18143 transcript:DRNTG_18143.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHRIARRVWKDYYAKVDAVVYLVDAYDKDRFAESKKELDALLSDDSLAMVPFLVLGNKIDIPYAASEEELRFHLGLSNFTTGKGKVNLADSNVRPLEVFMCSIVRKMGYGDGFKWLSQYIK >DRNTG_18143.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:578992:581637:1 gene:DRNTG_18143 transcript:DRNTG_18143.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHRIARRVWKDYYAKVDAVVYLVDAYDKDRFAESKKELDALLSDDSLAMVPFLVLGNKIDIPYAASEEELRFHLGLSNFTTGKGKVNLADSNVRPLEVFMCSIVRKMGYGDGFKWLSQYIK >DRNTG_18143.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:579075:581739:1 gene:DRNTG_18143 transcript:DRNTG_18143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHRIARRVWKDYYAKVDAVVYLVDAYDKDRFAESKKELDALLSDDSLAMVPFLVLGNKIDIPYAASEEELRFHLGLSNFTTGKGKVNLADSNVRPLEVFMCSIVRKMGYGDGFKWLSQYIK >DRNTG_10906.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000477.1:69905:70153:1 gene:DRNTG_10906 transcript:DRNTG_10906.1 gene_biotype:protein_coding transcript_biotype:protein_coding GHTGTQRRLGERGEGMEGDRENIEESEKVENGRDLPRWLMKCFLMNGFSL >DRNTG_33703.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1128801:1131000:-1 gene:DRNTG_33703 transcript:DRNTG_33703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSENEKSIKEKKKVVTLAPIAKPFAGKKLCKRTLKLVRKASESKCLKRGVKEVVKSIRRGNKGLCVIAGNISPIDVITHVPILCEESDIPYIYVPSKEDLATAGTTKRPTCCVLVQTKPTKGELDQDVQEKLQKEYQQVVADVVEVTSSLF >DRNTG_20094.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:358690:361343:-1 gene:DRNTG_20094 transcript:DRNTG_20094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRIRKSMPIPVKAKQTAQKNALQYRKLGDSDLQISEITLGTMTFGEQNTEKEAHDMLNYSFEQGINTIDTAEAYPIPMKKETQGRTDLYIGSWIKSRPREKVILATKVCGYSERSSYIRDNAKVLRVDAANIKESVEKSLKRLNTDYIDLLQIHWPDRYVPVFGEFSYDPSKWRPSIPISEQLKAFQDLIDEGKIRYIGVSNETSYGVMEFVHAAKVEGLPKIVSIQNSYSLLIRCRFEIDLVEVCHPNNCNIGLLAYSPLGGGSLSGKYLDINSEAAKKGRLNLFPGYMERYNKSIAKEVTKLYIELAKKHGLTPVQLALGFTRDRSFVTSSIIGATSVDQLKEDIDTFTATTRPLPPEVATGHRRYLQETQGSSHYLILSSQFLCDLFLFQ >DRNTG_06698.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3509122:3512163:-1 gene:DRNTG_06698 transcript:DRNTG_06698.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIYNFILYLCLEVGTGRVTGPGGPDPPGPVVTDSDFSPDHSVRHLIT >DRNTG_31582.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28256780:28258157:1 gene:DRNTG_31582 transcript:DRNTG_31582.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSDEVDLEDYVSRPDKISAAEIAAICQEAGMHAVRKKTGM >DRNTG_31582.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28254420:28258157:1 gene:DRNTG_31582 transcript:DRNTG_31582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRSALPK >DRNTG_09595.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23695741:23696737:1 gene:DRNTG_09595 transcript:DRNTG_09595.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEQVNPLQSSDGNIPSYPDTEKIVGNMSTSPASAVEYQLLKGQLAKEQSRAETLSAEVMKLTAELRRSVQSYNNLARL >DRNTG_10146.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:697984:699443:1 gene:DRNTG_10146 transcript:DRNTG_10146.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLSICEGRGRRKRGGKKLMGMARTESSKIIEINDVV >DRNTG_10146.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:697984:699473:1 gene:DRNTG_10146 transcript:DRNTG_10146.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSICEGRGRRKRGGKKLMGMARTESSKIIEINDVV >DRNTG_10422.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6770580:6772176:1 gene:DRNTG_10422 transcript:DRNTG_10422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAATGACGCPCGSGARAWGRAPAPVKLSCRGIQAVCNFCTPVRMYRTPRGASPFKSIIVLFTLISSSSLRALLHCFPTSYRQFGRILFDFPAVFKAFSSQLNGAAVRLFTARGSTRACGNSARLCGFLQTMTPRSKKQADKRPRESSPESESMRFTIPEHQAHFERLSRLHFEQTRFLDTIRQILCEIR >DRNTG_11534.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21337112:21338737:-1 gene:DRNTG_11534 transcript:DRNTG_11534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMAEVGEQQRRREPHDYHLYNNTTNNNTNSNNGNSTSNKKLKTGTTTTTTTTLHDGSFHKPISSKEKNDKFGERVAALQQLVSPFGKTDTASVLSEATGYIRFLHEQLQVLSAPYIGTPTMQNNTQDEKYSLRRRGLCLMPITATQSLSQSNGADLWAPTKHTSYNLHGVP >DRNTG_11534.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21337112:21338737:-1 gene:DRNTG_11534 transcript:DRNTG_11534.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIISTTTPPTTTPTATMEIVLVIRSSKQELLLPLLLLFMMVLSISPSPPKLVSILLSLSLTLICIDDGDDDDDDDEMVKQEKNDKFGERVAALQQLVSPFGKTDTASVLSEATGYIRFLHEQLQVLSAPYIGTPTMQNNTQDEKYSLRRRGLCLMPITATQSLSQSNGADLWAPTKHTSYNLHGVP >DRNTG_21396.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19513787:19516167:-1 gene:DRNTG_21396 transcript:DRNTG_21396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDELRLLNGFQKMSIGSKPMNASALRKTNFDSSMFKTSYSNVDRRHSPDKDIGASRCPVWASGEQFAVNGGLFDSYRRDALMPSYDSVCTEPIRSSNFGREFFDRGAQNVCGFSSRRGSFTGQSFSESRRNLVDNRSALDSLISSYRLNPKLQYEYPIHDGYVSWPPSSMENVQNMENLQIENSLIIQGDGLHYLPKGLKTSQIDHWQSRGGFGGTADNWHNLRPHCAPVQPTSYDQLMEIQGGIYHLAKDQQGCRFLQLKFDEGKTQVDKIFDGIIDHVCELMLNPFGNYLMQKLLDVCTEEQRISLILVLRRYPYQLVRISLNIHGTRAIQKLIATLKTSQQIALVISALQPGFLELITDANGNHVIKCCLQYLAMENNEFIFDSAAKNCIEIATHQHGCCALLSCITASSGEHRMKLLSAICVNGLLLAQRSLRVN >DRNTG_21396.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19513787:19514055:-1 gene:DRNTG_21396 transcript:DRNTG_21396.2 gene_biotype:protein_coding transcript_biotype:protein_coding FIFDSAAKNCIEIATHQHGCCALLSCITASSGEHRMKLLSAICVNGLLLAQRSLRVN >DRNTG_33849.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2016903:2018562:-1 gene:DRNTG_33849 transcript:DRNTG_33849.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G22480) UniProtKB/Swiss-Prot;Acc:Q8VYN6] MQERGVNMLFVLGGNGTHAGANAIHEECRKRRMKAVVVGVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEANSAYHGIGIVKLMGRSSGYIAMHASLASGQVDVCLIPEVSFNLHGPNGVLRHLRHLLETKRSAVVCVAEGAGQNLVEKTNATDASGNIVYGDIGVHIQQEIKKYFKNIGMLADVKYIDPTYMIRACRANASDGILCTVLGQNAVHGAFAGYSGITVGICNTHYVYFPIPEVISHSRQVDPNSRMWHRCLTSTGQPDFF >DRNTG_33849.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2016903:2017586:-1 gene:DRNTG_33849 transcript:DRNTG_33849.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G22480) UniProtKB/Swiss-Prot;Acc:Q8VYN6] MLADVKYIDPTYMIRACRANASDGILCTVLGQNAVHGAFAGYSGITVGICNTHYVYFPIPEVISHSRQVDPNSRMWHRCLTSTGQPDFF >DRNTG_33849.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2017849:2019323:-1 gene:DRNTG_33849 transcript:DRNTG_33849.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G22480) UniProtKB/Swiss-Prot;Acc:Q8VYN6] MYGVKRITGLRFGYRGFSKDVPEIPLSRAVVQNIHLEGGSLLGVSRGGPNTKDIVDSMQERGVNMLFVLGGNGTHAGANAIHEECRKRRMKAVVVGVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEANSAYHGIGIVKLMGRSSGYIAMHASLASGQVDVCLIPEV >DRNTG_33849.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2016903:2019323:-1 gene:DRNTG_33849 transcript:DRNTG_33849.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G22480) UniProtKB/Swiss-Prot;Acc:Q8VYN6] MYGVKRITGLRFGYRGFSKDVPEIPLSRAVVQNIHLEGGSLLGVSRGGPNTKDIVDSMQERGVNMLFVLGGNGTHAGANAIHEECRKRRMKAVVVGVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEANSAYHGIGIVKLMGRSSGYIAMHASLASGQVDVCLIPEVSFNLHGPNGVLRHLRHLLETKRSAVVCVAEGAGQNLVEKTNATDASGNIVYGDIGVHIQQEIKKYFKNIGMLADVKYIDPTYMIRACRANASDGILCTVLGQNAVHGAFAGYSGITVGICNTHYVYFPIPEVISHSRQVDPNSRMWHRCLTSTGQPDFF >DRNTG_33849.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2017849:2019785:-1 gene:DRNTG_33849 transcript:DRNTG_33849.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G22480) UniProtKB/Swiss-Prot;Acc:Q8VYN6] MSLISIGNASNLLVNCPVQWKCKSFTVFRGSVRPEWKIRRESVVKAALMEVGRSGMDFGESGWKEYQEDFERRFRIPHLTDSFPELSPIPSTFCLKRRRPIIDDYFDCCPSAEQWNGYVNNDDRVLLKVIKYSSPTSAGAECVDGNCSWIEQWVHRAGPREKIYFRPGEVNAAIVTCGGLCPGLNDVIRQIVITLEMYGVKRITGLRFGYRGFSKDVPEIPLSRAVVQNIHLEGGSLLGVSRGGPNTKDIVDSMQERGVNMLFVLGGNGTHAGANAIHEECRKRRMKAVVVGVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEANSAYHGIGIVKLMGRSSGYIAMHASLASGQVDVCLIPEV >DRNTG_33849.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2017290:2019323:-1 gene:DRNTG_33849 transcript:DRNTG_33849.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G22480) UniProtKB/Swiss-Prot;Acc:Q8VYN6] MYGVKRITGLRFGYRGFSKDVPEIPLSRAVVQNIHLEGGSLLGVSRGGPNTKDIVDSMQERGVNMLFVLGGNGTHAGANAIHEECRKRRMKAVVVGVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEANSAYHGIGIVKLMGRSSGYIAMHASLASGQVDVCLIPEVSFNLHGPNGVLRHLRHLLETKRSAVVCVAEGAGQNLVEKTNATDASGNIVYGDIGVHIQQEIKKYFKNIGMLADVKYIDPTYMIRACRANASDGILCTVLGQNAVSL >DRNTG_15821.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24959884:24962066:1 gene:DRNTG_15821 transcript:DRNTG_15821.4 gene_biotype:protein_coding transcript_biotype:protein_coding METENPVTYRYMRRNFNDSAGDPGGAFSDCSSSCAGGTTTLHHRLTPSSATIYSDESLRSLISDLESPSVTIDTQRHAAMELRLLAKHSPENRFQIAGAGAVVPLISLISSPDSLLQEHGVTAILNLSLLDENKDLIAAAGAIKPLVRALRSPSLAARENAACALFRLSHSDALKATIARSGAIPPLISLLESGRLRAKKDAITALYALCSISENKIRAVEAGIMKPLLEMMAETDGELVDKAGCVLRKVTGEEKGRVAAVSDGGIPVLVEVIEVGTQRQKEIAAAALLQICEGSPAYSALAVREGAIPPLVSLSQSSTSRAMKKAEMLIEMLRQLRTTEDC >DRNTG_15821.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24960509:24961894:1 gene:DRNTG_15821 transcript:DRNTG_15821.16 gene_biotype:protein_coding transcript_biotype:protein_coding METENPVTYRYMRRNFNDSAGDPGGAFSDCSSSCAGGTTTLHHRLTPSSATIYSDESLRSLISDLESPSVTIDTQRHAAMELRLLAKHSPENRFQIAGAGAVVPLISLISSPDSLLQEHGVTAILNLSLLDENKDLIAAAGAIKPLVRALRSPSLAARENAACALFRLSHSDALKATIARSGAIPPLISLLESGRLRAKKDAITALYALCSISENKIRAVEAGIMKPLLEMMAETDGELVDKAGCVLRKVTGEEKGRVAAVSDGGIPVLVEVIEVGTQRQKEIAAAALLQICEGSPAYSALAVREGAIPPLVSLSQSSTSRAMKKAEMLIEMLRQLRTTEDC >DRNTG_15821.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24960272:24961894:1 gene:DRNTG_15821 transcript:DRNTG_15821.11 gene_biotype:protein_coding transcript_biotype:protein_coding METENPVTYRYMRRNFNDSAGDPGGAFSDCSSSCAGGTTTLHHRLTPSSATIYSDESLRSLISDLESPSVTIDTQRHAAMELRLLAKHSPENRFQIAGAGAVVPLISLISSPDSLLQEHGVTAILNLSLLDENKDLIAAAGAIKPLVRALRSPSLAARENAACALFRLSHSDALKATIARSGAIPPLISLLESGRLRAKKDAITALYALCSISENKIRAVEAGIMKPLLEMMAETDGELVDKAGCVLRKVTGEEKGRVAAVSDGGIPVLVEVIEVGTQRQKEIAAAALLQICEGSPAYSALAVREGAIPPLVSLSQSSTSRAMKKAEMLIEMLRQLRTTEDC >DRNTG_15821.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24960509:24961957:1 gene:DRNTG_15821 transcript:DRNTG_15821.15 gene_biotype:protein_coding transcript_biotype:protein_coding METENPVTYRYMRRNFNDSAGDPGGAFSDCSSSCAGGTTTLHHRLTPSSATIYSDESLRSLISDLESPSVTIDTQRHAAMELRLLAKHSPENRFQIAGAGAVVPLISLISSPDSLLQEHGVTAILNLSLLDENKDLIAAAGAIKPLVRALRSPSLAARENAACALFRLSHSDALKATIARSGAIPPLISLLESGRLRAKKDAITALYALCSISENKIRAVEAGIMKPLLEMMAETDGELVDKAGCVLRKVTGEEKGRVAAVSDGGIPVLVEVIEVGTQRQKEIAAAALLQICEGSPAYSALAVREGAIPPLVSLSQSSTSRAMKKAEMLIEMLRQLRTTEDC >DRNTG_15821.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24960378:24961957:1 gene:DRNTG_15821 transcript:DRNTG_15821.12 gene_biotype:protein_coding transcript_biotype:protein_coding METENPVTYRYMRRNFNDSAGDPGGAFSDCSSSCAGGTTTLHHRLTPSSATIYSDESLRSLISDLESPSVTIDTQRHAAMELRLLAKHSPENRFQIAGAGAVVPLISLISSPDSLLQEHGVTAILNLSLLDENKDLIAAAGAIKPLVRALRSPSLAARENAACALFRLSHSDALKATIARSGAIPPLISLLESGRLRAKKDAITALYALCSISENKIRAVEAGIMKPLLEMMAETDGELVDKAGCVLRKVTGEEKGRVAAVSDGGIPVLVEVIEVGTQRQKEIAAAALLQICEGSPAYSALAVREGAIPPLVSLSQSSTSRAMKKAEMLIEMLRQLRTTEDC >DRNTG_15821.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24960272:24962562:1 gene:DRNTG_15821 transcript:DRNTG_15821.2 gene_biotype:protein_coding transcript_biotype:protein_coding METENPVTYRYMRRNFNDSAGDPGGAFSDCSSSCAGGTTTLHHRLTPSSATIYSDESLRSLISDLESPSVTIDTQRHAAMELRLLAKHSPENRFQIAGAGAVVPLISLISSPDSLLQEHGVTAILNLSLLDENKDLIAAAGAIKPLVRALRSPSLAARENAACALFRLSHSDALKATIARSGAIPPLISLLESGRLRAKKDAITALYALCSISENKIRAVEAGIMKPLLEMMAETDGELVDKAGCVLRKVTGEEKGRVAAVSDGGIPVLVEVIEVGTQRQKEIAAAALLQICEGSPAYSALAVREGAIPPLVSLSQSSTSRAMKKAEMLIEMLRQLRTTEDC >DRNTG_15821.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24960378:24962562:1 gene:DRNTG_15821 transcript:DRNTG_15821.3 gene_biotype:protein_coding transcript_biotype:protein_coding METENPVTYRYMRRNFNDSAGDPGGAFSDCSSSCAGGTTTLHHRLTPSSATIYSDESLRSLISDLESPSVTIDTQRHAAMELRLLAKHSPENRFQIAGAGAVVPLISLISSPDSLLQEHGVTAILNLSLLDENKDLIAAAGAIKPLVRALRSPSLAARENAACALFRLSHSDALKATIARSGAIPPLISLLESGRLRAKKDAITALYALCSISENKIRAVEAGIMKPLLEMMAETDGELVDKAGCVLRKVTGEEKGRVAAVSDGGIPVLVEVIEVGTQRQKEIAAAALLQICEGSPAYSALAVREGAIPPLVSLSQSSTSRAMKKAEMLIEMLRQLRTTEDC >DRNTG_15821.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24959884:24962562:1 gene:DRNTG_15821 transcript:DRNTG_15821.1 gene_biotype:protein_coding transcript_biotype:protein_coding METENPVTYRYMRRNFNDSAGDPGGAFSDCSSSCAGGTTTLHHRLTPSSATIYSDESLRSLISDLESPSVTIDTQRHAAMELRLLAKHSPENRFQIAGAGAVVPLISLISSPDSLLQEHGVTAILNLSLLDENKDLIAAAGAIKPLVRALRSPSLAARENAACALFRLSHSDALKATIARSGAIPPLISLLESGRLRAKKDAITALYALCSISENKIRAVEAGIMKPLLEMMAETDGELVDKAGCVLRKVTGEEKGRVAAVSDGGIPVLVEVIEVGTQRQKEIAAAALLQICEGSPAYSALAVREGAIPPLVSLSQSSTSRAMKKAEMLIEMLRQLRTTEDC >DRNTG_15821.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24960378:24962066:1 gene:DRNTG_15821 transcript:DRNTG_15821.9 gene_biotype:protein_coding transcript_biotype:protein_coding METENPVTYRYMRRNFNDSAGDPGGAFSDCSSSCAGGTTTLHHRLTPSSATIYSDESLRSLISDLESPSVTIDTQRHAAMELRLLAKHSPENRFQIAGAGAVVPLISLISSPDSLLQEHGVTAILNLSLLDENKDLIAAAGAIKPLVRALRSPSLAARENAACALFRLSHSDALKATIARSGAIPPLISLLESGRLRAKKDAITALYALCSISENKIRAVEAGIMKPLLEMMAETDGELVDKAGCVLRKVTGEEKGRVAAVSDGGIPVLVEVIEVGTQRQKEIAAAALLQICEGSPAYSALAVREGAIPPLVSLSQSSTSRAMKKAEMLIEMLRQLRTTEDC >DRNTG_15821.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24960272:24961957:1 gene:DRNTG_15821 transcript:DRNTG_15821.10 gene_biotype:protein_coding transcript_biotype:protein_coding METENPVTYRYMRRNFNDSAGDPGGAFSDCSSSCAGGTTTLHHRLTPSSATIYSDESLRSLISDLESPSVTIDTQRHAAMELRLLAKHSPENRFQIAGAGAVVPLISLISSPDSLLQEHGVTAILNLSLLDENKDLIAAAGAIKPLVRALRSPSLAARENAACALFRLSHSDALKATIARSGAIPPLISLLESGRLRAKKDAITALYALCSISENKIRAVEAGIMKPLLEMMAETDGELVDKAGCVLRKVTGEEKGRVAAVSDGGIPVLVEVIEVGTQRQKEIAAAALLQICEGSPAYSALAVREGAIPPLVSLSQSSTSRAMKKAEMLIEMLRQLRTTEDC >DRNTG_15821.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24959884:24961894:1 gene:DRNTG_15821 transcript:DRNTG_15821.7 gene_biotype:protein_coding transcript_biotype:protein_coding METENPVTYRYMRRNFNDSAGDPGGAFSDCSSSCAGGTTTLHHRLTPSSATIYSDESLRSLISDLESPSVTIDTQRHAAMELRLLAKHSPENRFQIAGAGAVVPLISLISSPDSLLQEHGVTAILNLSLLDENKDLIAAAGAIKPLVRALRSPSLAARENAACALFRLSHSDALKATIARSGAIPPLISLLESGRLRAKKDAITALYALCSISENKIRAVEAGIMKPLLEMMAETDGELVDKAGCVLRKVTGEEKGRVAAVSDGGIPVLVEVIEVGTQRQKEIAAAALLQICEGSPAYSALAVREGAIPPLVSLSQSSTSRAMKKAEMLIEMLRQLRTTEDC >DRNTG_15821.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24960509:24962562:1 gene:DRNTG_15821 transcript:DRNTG_15821.6 gene_biotype:protein_coding transcript_biotype:protein_coding METENPVTYRYMRRNFNDSAGDPGGAFSDCSSSCAGGTTTLHHRLTPSSATIYSDESLRSLISDLESPSVTIDTQRHAAMELRLLAKHSPENRFQIAGAGAVVPLISLISSPDSLLQEHGVTAILNLSLLDENKDLIAAAGAIKPLVRALRSPSLAARENAACALFRLSHSDALKATIARSGAIPPLISLLESGRLRAKKDAITALYALCSISENKIRAVEAGIMKPLLEMMAETDGELVDKAGCVLRKVTGEEKGRVAAVSDGGIPVLVEVIEVGTQRQKEIAAAALLQICEGSPAYSALAVREGAIPPLVSLSQSSTSRAMKKAEMLIEMLRQLRTTEDC >DRNTG_15821.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24960509:24962066:1 gene:DRNTG_15821 transcript:DRNTG_15821.13 gene_biotype:protein_coding transcript_biotype:protein_coding METENPVTYRYMRRNFNDSAGDPGGAFSDCSSSCAGGTTTLHHRLTPSSATIYSDESLRSLISDLESPSVTIDTQRHAAMELRLLAKHSPENRFQIAGAGAVVPLISLISSPDSLLQEHGVTAILNLSLLDENKDLIAAAGAIKPLVRALRSPSLAARENAACALFRLSHSDALKATIARSGAIPPLISLLESGRLRAKKDAITALYALCSISENKIRAVEAGIMKPLLEMMAETDGELVDKAGCVLRKVTGEEKGRVAAVSDGGIPVLVEVIEVGTQRQKEIAAAALLQICEGSPAYSALAVREGAIPPLVSLSQSSTSRAMKKAEMLIEMLRQLRTTEDC >DRNTG_15821.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24959884:24961957:1 gene:DRNTG_15821 transcript:DRNTG_15821.5 gene_biotype:protein_coding transcript_biotype:protein_coding METENPVTYRYMRRNFNDSAGDPGGAFSDCSSSCAGGTTTLHHRLTPSSATIYSDESLRSLISDLESPSVTIDTQRHAAMELRLLAKHSPENRFQIAGAGAVVPLISLISSPDSLLQEHGVTAILNLSLLDENKDLIAAAGAIKPLVRALRSPSLAARENAACALFRLSHSDALKATIARSGAIPPLISLLESGRLRAKKDAITALYALCSISENKIRAVEAGIMKPLLEMMAETDGELVDKAGCVLRKVTGEEKGRVAAVSDGGIPVLVEVIEVGTQRQKEIAAAALLQICEGSPAYSALAVREGAIPPLVSLSQSSTSRAMKKAEMLIEMLRQLRTTEDC >DRNTG_15821.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24960272:24962066:1 gene:DRNTG_15821 transcript:DRNTG_15821.8 gene_biotype:protein_coding transcript_biotype:protein_coding METENPVTYRYMRRNFNDSAGDPGGAFSDCSSSCAGGTTTLHHRLTPSSATIYSDESLRSLISDLESPSVTIDTQRHAAMELRLLAKHSPENRFQIAGAGAVVPLISLISSPDSLLQEHGVTAILNLSLLDENKDLIAAAGAIKPLVRALRSPSLAARENAACALFRLSHSDALKATIARSGAIPPLISLLESGRLRAKKDAITALYALCSISENKIRAVEAGIMKPLLEMMAETDGELVDKAGCVLRKVTGEEKGRVAAVSDGGIPVLVEVIEVGTQRQKEIAAAALLQICEGSPAYSALAVREGAIPPLVSLSQSSTSRAMKKAEMLIEMLRQLRTTEDC >DRNTG_15821.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24960378:24961894:1 gene:DRNTG_15821 transcript:DRNTG_15821.14 gene_biotype:protein_coding transcript_biotype:protein_coding METENPVTYRYMRRNFNDSAGDPGGAFSDCSSSCAGGTTTLHHRLTPSSATIYSDESLRSLISDLESPSVTIDTQRHAAMELRLLAKHSPENRFQIAGAGAVVPLISLISSPDSLLQEHGVTAILNLSLLDENKDLIAAAGAIKPLVRALRSPSLAARENAACALFRLSHSDALKATIARSGAIPPLISLLESGRLRAKKDAITALYALCSISENKIRAVEAGIMKPLLEMMAETDGELVDKAGCVLRKVTGEEKGRVAAVSDGGIPVLVEVIEVGTQRQKEIAAAALLQICEGSPAYSALAVREGAIPPLVSLSQSSTSRAMKKAEMLIEMLRQLRTTEDC >DRNTG_02989.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9083954:9084412:-1 gene:DRNTG_02989 transcript:DRNTG_02989.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFVCIIQKSLSIVPYPYKKRASITRPLALFMSKQDNAHETTMAMIGDAECNLHDFEIAILPIIMNDHFHVVVLDNNKQKYKHYSSCQSEGYDKDTLEMRLFHICIDMEFGESATAKYPLVYDIETP >DRNTG_26532.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001356.1:37759:47633:1 gene:DRNTG_26532 transcript:DRNTG_26532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSVFLNSRIDETTVWSNVWGHTTRCHLHALIDGKLMVPDSVIDVFVLMLLDSLKKSPHEFDRPATICRPMALALSQQEHSVDGLDKTMSPALEDYGRVKLVLMPVALDKHYHLIVLDKDEKAYFHYSSVRSPVYDHAANDMLLIPILSFCVTDALHGTASAWQGISVPHSDVAHLRLEYTVHILSDGITRNTPQLALPTEASEASTETGEEMDDANNHANNHATHPKD >DRNTG_32963.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30825292:30827461:1 gene:DRNTG_32963 transcript:DRNTG_32963.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:stachyose synthase [Source:Projected from Arabidopsis thaliana (AT4G01970) TAIR;Acc:AT4G01970] MLISKAVEIEQAEKALDKAIQSGVTDLKSFQSKLEILRRELNEMFGGGEDDDVDADDSSENSSKFGFEDEGMKAFIRDVRSKFSGLDDVYVWHALCGAWGGVRPGATHLNSKLIPVELSPGLAGTMNDLAVVKIIEGGIALVYPDQANDFFDAMHSYLSNSGITGVKVDVIHTLEYVCEDYGGRVELAKKYYKGITDSLIKNFNGNGLISSMQQCNDFFFLGTWQISFGRVGDDFWFQDPNGDPMGVYWLQGVHMMHCAYNSLWMGEMIWPDWDMFKSDHECAKFHGGSRAISGGPVYVSDSVGDHDFELIKMLVFPDGTIPKCERFALPTRDCLFKNPLFDGQTILKIWNINKFGGVIGAFNCQGGGWDSKEKRIKGYSHCYKPISGKVQVRDIEWEQGKEEAGETYAVYLNQSEQLLLMTFDSDGIEITIQPSSFEIFTFVPVKIVSKDISFAPIGLVNMFNSGGTVVEFETVHEVVRIKIKGEGKFLAYSSEKPKSVLVNGIDEGFEWFTDGKKLMVSVSWVKVNSGVSQICVSY >DRNTG_32963.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30826392:30827461:1 gene:DRNTG_32963 transcript:DRNTG_32963.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:stachyose synthase [Source:Projected from Arabidopsis thaliana (AT4G01970) TAIR;Acc:AT4G01970] MGVYWLQGVHMMHCAYNSLWMGEMIWPDWDMFKSDHECAKFHGGSRAISGGPVYVSDSVGDHDFELIKMLVFPDGTIPKCERFALPTRDCLFKNPLFDGQTILKIWNINKFGGVIGAFNCQGGGWDSKEKRIKGYSHCYKPISGKVQVRDIEWEQGKEEAGETYAVYLNQSEQLLLMTFDSDGIEITIQPSSFEIFTFVPVKIVSKDISFAPIGLVNMFNSGGTVVEFETVHEVVRIKIKGEGKFLAYSSEKPKSVLVNGIDEGFEWFTDGKKLMVSVSWVKVNSGVSQICVSY >DRNTG_15342.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20727606:20729023:-1 gene:DRNTG_15342 transcript:DRNTG_15342.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELPNRDNKIPILVVPFPAQGHLNTLLHFSLLLSSHGLPVHFASSSIHNNQARHRLRGWTSASLHNITFHDIPIPSLPPSTPNPNSTHSFPSHLVPIWEFVIHHLRSPLSSLLHSLSASSPLILIYDPLMSFVSDDAASIPSIHTFNFQCVPANFILSYLYEGTSTTWSDNDILFSPSPSPSPLQDTVPEEVINFSKKHYYETPCEGMLFNTSLAIEGAFIDLLAKQDDFVGKKIFAVGPVSPLAVSTDNKSGPRHPCLEWLDKQPPKSVVYVAFGSSTTIPVEQIEQIALGLEKSKQRFIWVVRDADRGDVSQEAEPDHERVKSLLLDFEKRVEGMGMVVRGWAPQLDILAHGSTAAFMSHCGWNSCMEGMSMGVAMLTWPIHSEQPRNALLITEYLKVGVMVREWEKRHEVLKWEKVDGAIQKVMVDEEGLEIRRRAKELGEKIRDSIEGGSSYEQLLAFINYIS >DRNTG_15342.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20727558:20729023:-1 gene:DRNTG_15342 transcript:DRNTG_15342.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPNRDNKIPILVVPFPAQGHLNTLLHFSLLLSSHGLPVHFASSSIHNNQARHRLRGWTSASLHNITFHDIPIPSLPPSTPNPNSTHSFPSHLVPIWEFVIHHLRSPLSSLLHSLSASSPLILIYDPLMSFVSDDAASIPSIHTFNFQCVPANFILSYLYEGTSTTWSDNDILFSPSPSPSPLQDTVPEEVINFSKKHYYETPCEGMLFNTSLAIEGAFIDLLAKQDDFVGKKIFAVGPVSPLAVSTDNKSGPRHPCLEWLDKQPPKSVVYVAFGSSTTIPVEQIEQIALGLEKSKQRFIWVVRDADRGDVSQEAEPDHERVKSLLLDFEKRVEGMGMVVRGWAPQLDILAHGSTAAFMSHCGWNSCMEGMSMGVAMLTWPIHSEQPRNALLITEYLKVGVMVREWEKRHEVLKWEKVDGAIQKVMVDEEGLEIRRRAKELGEKIRDSIEGGSSYEQLLAFINYISGFK >DRNTG_15342.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20727476:20729023:-1 gene:DRNTG_15342 transcript:DRNTG_15342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPNRDNKIPILVVPFPAQGHLNTLLHFSLLLSSHGLPVHFASSSIHNNQARHRLRGWTSASLHNITFHDIPIPSLPPSTPNPNSTHSFPSHLVPIWEFVIHHLRSPLSSLLHSLSASSPLILIYDPLMSFVSDDAASIPSIHTFNFQCVPANFILSYLYEGTSTTWSDNDILFSPSPSPSPLQDTVPEEVINFSKKHYYETPCEGMLFNTSLAIEGAFIDLLAKQDDFVGKKIFAVGPVSPLAVSTDNKSGPRHPCLEWLDKQPPKSVVYVAFGSSTTIPVEQIEQIALGLEKSKQRFIWVVRDADRGDVSQEAEPDHERVKSLLLDFEKRVEGMGMVVRGWAPQLDILAHGSTAAFMSHCGWNSCMEGMSMGVAMLTWPIHSEQPRNALLITEYLKVGVMVREWEKRHEVLKWEKVDGAIQKVMVDEEGLEIRRRAKELGEKIRDSIEGGSSYEQLLAFINYISGFK >DRNTG_17659.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:16845080:16849012:-1 gene:DRNTG_17659 transcript:DRNTG_17659.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Ethylene receptor-like protein 2 [Source: Projected from Oryza sativa (Os02g0820900)] MLRALCYGSLVLSILITASAYEAGYSRCNCDLEGFWSTERILQCQKVGDFLIAAAYFSIPLELFYFLTCSQVFPFKWILFQFGAFIVLCGLTHFLNVFTYEQHSFLLMLALSVSKFLTALVSFATSITLLTLIPQLLRVKVRENLLRIKARELDREVGMMKRQEETSWHVRMLTREIRKSLDRHTILYTTLVELSETLGLKNCAVWMPDEEKKEMHLTHELRQRVPSDVFCPIPIDDPDVVEIVGTKDARVLKPDSLLGTGSSRAGDIPGAVAAIRMPMLKVSNFKGGTPQLVQTCYAILVLVLPGDDSRVWNTNELEIIEVIADQVAVALSHAAVLEESQSMRDKLAEQNRDLLQAKQKAVMASEARTSFQRAMGHAMGRPIHSILGLLSMMQQENLGPEQKLAIDTMVKTSTVVSSLINDVTEVSTINSQRLMLEMKPFHLHSMIKEAASAARCLCDSRGFGFEIQVGERVPDRVVGDERRIFLVILHMVGNLLNVFNEGLLMFRILNLSVDDQEDQMWRSWGSNTSGSFSCVKFEIEVRRLQSDDSGLTPQFARRPDSGGIETSLSFSMCRKLVQMMQGNISAVPNSHGYTECMTLVLRFQPQPSDSITELEGSFERQQHPSSTPRFKGLKVLLADDDDTNRAVTRKAPRKTRLQGHFSLLRDPVPKLPCHLQYAFPACHSGPSDVQNGRL >DRNTG_15155.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25539958:25548345:1 gene:DRNTG_15155 transcript:DRNTG_15155.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNMGTQCSTLRKYDSEKQTTQQFVASIPPEVISKLE >DRNTG_15155.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25539092:25548345:1 gene:DRNTG_15155 transcript:DRNTG_15155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNMGTQCSTLRKYDSEKQTTQQFVASIPPEVISKLE >DRNTG_15155.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25545950:25548345:1 gene:DRNTG_15155 transcript:DRNTG_15155.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNMGTQCSTLRKYDSEKQTTQQFVASIPPEVISKLE >DRNTG_15784.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17157563:17161133:1 gene:DRNTG_15784 transcript:DRNTG_15784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLNDLVNLNLSDTTEKIIAEYIWIGGSGMDIRSKARTLPGPVTDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVMCDCYTPAGEPIPTNKRYNAAKIFSHPDVAAEVPWYGIEQEYTLLQKDVKWPLGWPVGGYPGPQGPYYCSTGADKSFGRDIVDAHYKACLYAGINISGINGEVMPGQWEFQVGPAVGISAGDEVWVARYILERITEIAGVVLSFDPKPIQGDWNGAGAHTNYSTKSMREDGGFEVIKKAIEKLGLRHKEHIAAYGEGNERRLTGRHETADINTFKWGVANRGASIRVGRETEQNGKGYFEDRRPASNMDPYVVTSLIAETTILFKPT >DRNTG_33935.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22044737:22045142:-1 gene:DRNTG_33935 transcript:DRNTG_33935.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLALPLIDLVSPDLASTAKSIRKACVDYGFFYLVNHGIEESFFQQVFNESKKFFSLPLDQKMKLKTRKDNRGYNPLPSVTLDSPPKVK >DRNTG_33935.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22044631:22045142:-1 gene:DRNTG_33935 transcript:DRNTG_33935.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLALPLIDLVSPDLASTAKSIRKACVDYGFFYLVNHGIEESFFQQVFNESKKFFSLPLDQKMKLKTRKDNRGYNPLPSVTLDSPPKVKGFSDQSLF >DRNTG_33935.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22044449:22045142:-1 gene:DRNTG_33935 transcript:DRNTG_33935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLALPLIDLVSPDLASTAKSIRKACVDYGFFYLVNHGIEESFFQQVFNESKKFFSLPLDQKMKLKTRKDNRGYNPLPSVTLDSPPKVKGFSDQSLF >DRNTG_13805.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10299474:10299949:1 gene:DRNTG_13805 transcript:DRNTG_13805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYVQSLLYKIYNEYVEALKAKNNIETESQLSAPTTSSSSTLGVSFVKDGLDVQSIPITTTPSELDFSVAKRVIEMHRASLAPARVEALMCGGDWLRAY >DRNTG_25924.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1814547:1818392:1 gene:DRNTG_25924 transcript:DRNTG_25924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYGPNERAHKQDFWGELRRCRCASPLPWVICGDFNAIFCSGG >DRNTG_29197.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3132061:3137974:1 gene:DRNTG_29197 transcript:DRNTG_29197.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPIAVEDVKREVKILKALRGHENVVHFHNAFEDDNYVYIVMELCEGGELLDRILAKKDSRYSEKDAAVVVRQMLKVAAECHLRGLVHRDMKPENFLFKSTKEDSPLKATDFGLSDFIKPGKKFHDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGKRPFWDRTEDGIFKEVLRNKPDFRRKPWPSISDSAKDFIQKLLVKDPRCRLTAAQALSHQWVREGGVASEIPIDISVLSNMRQFVKYSRLKQFALRALASTLNEDEIADLKDQFQAFDVDKNGVISLEEMRQALAKDIPWRSKEPRVLEILQAIDSNTDGLVDFSEFVAAALHVRQLEEHDSERWHSRSQAAFEKFDVDKDGYITPEELRMHTGLRGSIEPLLDEADIDKDGRISLAEFRRLLRTASMGSHQPSPSGVRNPQKF >DRNTG_29197.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3132061:3137974:1 gene:DRNTG_29197 transcript:DRNTG_29197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFSITATKVTGSSSSSANQAAARNDNQNPRDANKNPSPQHHVQQRDPKGQHRRRGSGLVPCGKRTDFGYDKDFDSRYSIGKLLGHGQFGYTFVATDKANGDRVAVKRIEKNKMVLPIAVEDVKREVKILKALRGHENVVHFHNAFEDDNYVYIVMELCEGGELLDRILAKKDSRYSEKDAAVVVRQMLKVAAECHLRGLVHRDMKPENFLFKSTKEDSPLKATDFGLSDFIKPGKKFHDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGKRPFWDRTEDGIFKEVLRNKPDFRRKPWPSISDSAKDFIQKLLVKDPRCRLTAAQALSHQWVREGGVASEIPIDISVLSNMRQFVKYSRLKQFALRALASTLNEDEIADLKDQFQAFDVDKNGVISLEEMRQALAKDIPWRSKEPRVLEILQAIDSNTDGLVDFSEFVAAALHVRQLEEHDSERWHSRSQAAFEKFDVDKDGYITPEELRMHTGLRGSIEPLLDEADIDKDGRISLAEFRRLLRTASMGSHQPSPSGVRNPQKF >DRNTG_29197.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3132061:3137974:1 gene:DRNTG_29197 transcript:DRNTG_29197.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPIAVEDVKREVKILKALRGHENVVHFHNAFEDDNYVYIVMELCEGGELLDRILAKKDSRYSEKDAAVVVRQMLKVAAECHLRGLVHRDMKPENFLFKSTKEDSPLKATDFGLSDFIKPGKKFHDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGKRPFWDRTEDGIFKEVLRNKPDFRRKPWPSISDSAKDFIQKLLVKDPRCRLTAAQALSHQWVREGGVASEIPIDISVLSNMRQFVKYSRLKQFALRALASTLNEDEIADLKDQFQAFDVDKNGVISLEEMRQALAKDIPWRSKEPRVLEILQAIDSNTDGLVDFSEFVAAALHVRQLEEHDSERWHSRSQAAFEKFDVDKDGYITPEELRMHTGLRGSIEPLLDEADIDKDGRISLAEFRRLLRTASMGSHQPSPSGVRNPQKF >DRNTG_09546.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22727523:22733085:1 gene:DRNTG_09546 transcript:DRNTG_09546.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIHHSLDAVQSLNAPKEEKDPRSFSTFRERLCYLQSTEDTRVCFGRSGIHGWGLFARREIQEGEMVLEYRGELVRRSVADLREARYRLEGKDCYLFKISEEVVVDATDKGNIARLINHSCMPNCYARIMSVGEFESRIVLIARRIVPAGEELTYDYLFDPDEAEECKVPCLCKAPNCRKYMN >DRNTG_09546.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22722500:22723616:1 gene:DRNTG_09546 transcript:DRNTG_09546.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPLGSNADWKHQKQSLEQRKDFFWPQDFGLGDIVWAKSGKKFPAWPAIVIDPMQQAPELVLNSCIPGAMCVMFFGYSGGGNDRDYAWVKQGMMFPFIEYLDRFQGQTQLHKSKPSDFRMAIEEAFLAEHGFLGTCLDEGNTACQPAYHQSVPRGIQEATDSNQEQECQSQIQARNYFRFIFHTFYLL >DRNTG_09546.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22722500:22733085:1 gene:DRNTG_09546 transcript:DRNTG_09546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPLGSNADWKHQKQSLEQRKDFFWPQDFGLGDIVWAKSGKKFPAWPAIVIDPMQQAPELVLNSCIPGAMCVMFFGYSGGGNDRDYAWVKQGMMFPFIEYLDRFQGQTQLHKSKPSDFRMAIEEAFLAEHGFLGTCLDEGNTACQPAYHQSVPRGIQEATDSNQEQECQSQIQAVSSSGQQCESCGLSLKKKKRDTEQLLCRHCMKLLKSKQYCGICKKIWHHTDGGSWVCCDGCQVWVHAECDKVCGNLKALENADYFCPDCKMKFNFESSDTEKKQSQVRFANSNCLDSRPDKITVCCFGVEGTYLPELHVVICRCGSCNLLKLTLTEWERHTGSKSKNWRTSVKVKSSKLALGKWIEQYNAFANHSKRPSLKVRKQKLFAFLREPYDPVLAKWTTERCAICRWVEDWDYNKIIICNRCQIAVHQECYGARSIQNFTAWVCRACESPQLKRECCLCPVKGGALKPTDVDTLWVHVTCAWFQPEVSFASDEKMEPAVGTYNIPPQSFLKSCTICKQMHGACTQCYKCSTHYHAMCASRAGYRMELHTLEKNGKQITKMISYCANHRPPNPDTVLIIKTPTGVFSTRNLLQNDVKQTGTRLIRKDLHVDTPFPTQKSESSAAARCLVYKNGGTKRKEAIAHRVMGSIHHSLDAVQSLNAPKEEKDPRSFSTFRERLCYLQSTEDTRVCFGRSGIHGWGLFARREIQEGEMVLEYRGELVRRSVADLREARYRLEGKDCYLFKISEEVVVDATDKGNIARLINHSCMPNCYARIMSVGEFESRIVLIARRIVPAGEELTYDYLFDPDEAEECKVPCLCKAPNCRKYMN >DRNTG_09546.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22724651:22733085:1 gene:DRNTG_09546 transcript:DRNTG_09546.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAVGTYNIPPQSFLKSCTICKQMHGACTQCYKCSTHYHAMCASRAGYRMELHTLEKNGKQITKMISYCANHRPPNPDTVLIIKTPTGVFSTRNLLQNDVKQTGTRLIRKDLHVDTPFPTQKSESSAAARCLVYKNGGTKRKEAIAHRVMGSIHHSLDAVQSLNAPKEEKDPRSFSTFRERLCYLQSTEDTRVCFGRSGIHGWGLFARREIQEGEMVLEYRGELVRRSVADLREARYRLEGKDCYLFKISEEVVVDATDKGNIARLINHSCMPNCYARIMSVGEFESRIVLIARRIVPAGEELTYDYLFDPDEAEECKVPCLCKAPNCRKYMN >DRNTG_18842.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2596423:2599755:-1 gene:DRNTG_18842 transcript:DRNTG_18842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVWFSLFLCFGFLFLVLEASIGDRDEIYRTCVKQCEEKGYVGTISITHCKFPPDDAHVNSSWYMQEPLYVEWKHLNCRSECRYHCMMQRETEKEAQGLGPVKYHGKWPFKRVFVFQEPVSAAFSALNLLMHFIGWLSFFILVHYKLPLRPQSKRTYYEYTGLWHIYGLLSMNAWFWSAIFHTLDFNLTEKLDYSSAVALLGYSLILSILRVFNVKDEASRVMYAAPVLAFVTTHILYLNFYDLDYGWNMKVCLVMGIGQLMIWSVWAGITCHPARLKLWAAVFGCALAMLLEIYDFPPYLGYIDAHALWHFSTIPLTYLWWSFIKADAIYRTSTQIRKMK >DRNTG_30355.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001565.1:24066:28435:1 gene:DRNTG_30355 transcript:DRNTG_30355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEALNLASGVTKSLYQLYDVITHDLLTFLRINLIHGAYWQEQEMKKKQVKRFHLFLTAQDSATNIPKNLEARRRLQFFTNSLFMDIPSEKPVSEMIPSSIDQREDALLSVTSSLL >DRNTG_21050.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18403046:18404250:1 gene:DRNTG_21050 transcript:DRNTG_21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAREWWRRTTAAAKDRRSLYLCRIRGGAKRPWGVDLESAVIRATSHDERAVDYSSAGRVFTWARASPSFLKPLMWSIARRATRTSSWPVALKSLLIAHGLILSTTAGAGSSLGRLPFDLSDFRDRSSPAFSSFVRAYFRFLDLRSVSTDLDPTDLYDLETLQELLDLLLQIRPCSDGMEVSLILETMDCVLIEVFEIYSGICSGIAGFLVGFLGSKTRDLGDVEKKQGVVGIKVLKRAGEQSVKLTGFFEVCKGLGVSNARELPAVERVPDEDIEDLERLVIAGGGGGIDREERQGSPATVVTEEWVVFEETEGYCRNPAVVDDVRDLILFD >DRNTG_05339.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18567684:18579441:-1 gene:DRNTG_05339 transcript:DRNTG_05339.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFGNEKPLNAPGRPAMPSPAASQATPPFLSSGPVVGFGASGASRTTAPFSSSGALPNPGAQGAPQAMAPPLSSTPITGLETSGYTSPPQGRFNGPTRPPQPSYPVQDPNSLQQSLAPRFPPTSQSPYPLRSPPFGAPSVGPPLGPPPPAQVPVVPMGPPPQISSPSHPRVSMAPPVLDSSVPAPRPVPHLPLPGNPNASPLGNMPHQQFPAGRPVSMPAPQTFSAPNAPPYASPFNAHQGGYVPPPPPVGATLGFNARGQTQYPSTGPPLEGTLQGLIEDFQSLSVASTPGTLDHGIDPKLLPRPLDGDNEPTSILETYPLNCHPRYLRLTTHAIPSSQSLLARWHLPLGVVVHPLAEAPDGEEVPVVNFGPAGVIRCRRCRTYVNPYVTFTDAGRKWRCNLCSLLNDVPGEYFCALDATGRRCDMDQRPELCQGSVEIIAPTEYMVRPPMPPLYFFLIDVSVTAVRSGLLEVVSKTIKSCLDELPGYPRTQIGFITFDSTLHFYSLKSSSSQPQMMVVADLEDIFLPMPDDLLVNLSDSRSVVDTLLDSLPSMFQDNVNVESAFGPALKAAFMVMGKLGGKLIVFQSTLPSLGVGRLRLRGEDLRVYGTDKEHSLRTPEDPFYKQMAAEFTKCQIAMDIFTFSDKYSDIASLGTLAKYTGGQVYYYPSFHASIHEEKLKHDLARDLTRETAWEAVMRIRCGKGVRFTTYHGHFMLRSTDLLALPAVDCDKAFAMQLALEETLITTQTAYFQVALLYTSSSGERRIRVLTTAVPVVTDLGDMYRQADTGAIVSVMSRLAIENTLSTKLEDARQLLQLKIVKSLREYRNLYVVQHRVAGRLIYPESLKFLALYVLSLCKTLALRGGYADASLDERCAAGYSMMILPIGRLLRLLYPSLIRVDEILLKASHKSDEVLERLPLTTENLDSRGLYIYDNGFNFVIWFGRMLFPDIVTSILGVDFSTFPDLSRAALFERDNEISIKFTKILMKLRAEDPSSYQLCHMVRQGEQPRESSLFLSNLVEDQTASTSGYRDWILQLFRQTQQSS >DRNTG_29025.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:561920:562659:1 gene:DRNTG_29025 transcript:DRNTG_29025.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRDGDGDGELEVVFGKQVYHGGEQEAEGEGPCTCPGE >DRNTG_33911.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:8683434:8685927:1 gene:DRNTG_33911 transcript:DRNTG_33911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGLEQQDLHHIDSENQQEINQNSTMEIGVLPPIVATVATEDAITSSAGPDDKTLNEFSSFLGTLARNSTLALLTVTNWRKMPTKESLWEYVQKKYIVPEESKKWVLATIGDDWRVYKCRVKKEHYYKYKTDKLRILNLPQHIGEDKFKELLNYWNSTNAKQDSNENNEVPTNTALFIETRKRQPNKTCKESFDDISDKISQMQVVQSQQSNKNQSVDAFSMVMGGTRPGLAMLYGRSVTPTDLKRKGSSAQQQFLSMMSRLQGLVPGMNINQVPTFNLNFGSPGDANSVPTQGIRARNISSTSSHEPQGQ >DRNTG_13144.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:6105525:6108813:1 gene:DRNTG_13144 transcript:DRNTG_13144.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID REDOX INSENSITIVE 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G10522) UniProtKB/Swiss-Prot;Acc:Q9XIK0] MAGSSVLLAFSPPLPSSPSSSLRSHHSHSFFASPLHSLPPISQNPSSKYHLSFLSKPSLSLSPSPPSFFSFFLRSATSEYVFPDPIPEFAEAETEKFRSHLLQKLSKKDIFGTSVEEVVGVCTEILSNFLHTEYGGPGTLLVIPFIDMADTLNERGLPGGPQAARAAVVWAQKHIDEDWKEWTGDSD >DRNTG_21901.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19661081:19668311:1 gene:DRNTG_21901 transcript:DRNTG_21901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESAYAPRHDNKRRLDDSPPPPWPARRQSGFSSPLVDGQSGAAASYNSVPPPPDGIQLAKQRAQEIAAKIFSEAGAKRPKVDNGVSSGDESSDKGFGSGPSDHFQKSMGQQLPSQVGFSSPAPFSSYGYQGSGKKIEIPNGRVGVIIGKGGETIKYLQVQSGARIQVTRDADADPGAQFRNVELMGTAEQISKAEQLIKEVIAEADAGGSGTVSARKYGGSQAGVEHFSMKVPNNKVGLIIGKGGETIKNMQTKSGARIQLIPLHLPPGDSSTERNLHVDGTPEQIEIAKQLVNEIISENRARNPSMGGYPPQYGAPRPPTSWGPPGPPPMQQPGYGYMQPGTYPGQPPQYNMPQSAYGGYPPAPSSGGYSTGWDQSSNPPSQQATAGGGYDYYNQQQSVGNSSAPADNNSSYNYGQPPTGGYNSQGSYSDAYPQSAAAGQQSSHGQDSYSAGYQAPPAQTGYDQQGYASAPGYGGPPNPTSDGSASTYGTQGGTAHAPPAQQAPPVQQSSAPQSSQGYASQQTGYGVPPPTSQPGYASQTLQSGYAQSGSMTQPSYGQGPRPPAYPQGQQPQSAQAGYSTGMVQPGYSQVPPAQAGYAQESHHRGYGQPPSYGAPPQSQPPYAQQSYGDTYSGGGYAQPPAFSSETTATGAYDAPAAAQTASTGTAKASPKS >DRNTG_21901.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19661081:19668311:1 gene:DRNTG_21901 transcript:DRNTG_21901.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADESAYAPRHDNKRRLDDSPPPPWPARRQSGFSSPLVDGQSGAAASYNSVPPPPDGIQLAKQRAQEIAAKIFSEAGAKRPKVDNGVSSGDESSDKGFGSGPSDHFQKSMGQQLPSQVGFSSPAPFSSYGYQGSGKKIEIPNGRVGVIIGKGGETIKYLQVQSGARIQVTRDADADPGAQFRNVELMGTAEQISKAEQLIKEVIAEADAGGSGTVSARKYGGSQAGVEHFSMKVPNNKVGLIIGKGGETIKNMQTKSGARIQLIPLHLPPGDSSTERNLHVDGTPEQIEIAKQLVNEIISEVCTCTQVSALVMLCYPLLVAKTILWF >DRNTG_00498.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30553856:30554283:-1 gene:DRNTG_00498 transcript:DRNTG_00498.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRRPASVVGETLRARARESLGRRRAASEVGDTPAASHRRAAAR >DRNTG_04607.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3992129:3995332:-1 gene:DRNTG_04607 transcript:DRNTG_04607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETYVGPSELHLKKELGALCKARFLRDPETCSSWRSRLSSRSLTAAYNLKRGNAPGTKLTRTDNSQKKVYLYNWRHHSSKSSDRGLKLNDNSRQDSVDESLDDSSSILSKVDSKSDTYLEVYASTHGVRDKQPEKTVTRVGRKSERSGSSRKQIVRNSAVTKFLEGSSSSLGILNQVEESDDSDHGNGNSEDLRQPAHEYAQGTGYPALSASPLLSLSARRNQSCSKFFGNTGREESSHSYTPISTSSYNRYGVRNASTAGSWDGTTSFDEDELDQMDLPGQQGCGIPCYWPKRSKDTGCGGWYSPSLSDTLRRKGNSILCRGQKLYNKRRLSASQKRKYLLKTSQGLSHLTSSCGGGSSSSEAATDELSSNFGELDLEAMSRLDGRRWSTCKSQDGWELALPGATNMEILHRRSLNQKHRPMSFDEIMGQNIVVQSLSNAVIRGRIAPAYLFYGPRGTGKTATAMVFSAALNCLSTEHKKPCGFCKECRNFSSGNGSNVIEVDATDNKSMDRFTYLLKNMSVARKSSRRKVFVIEECHMLSSKLWSAFTKFLEEPLPRVVFIFVTIDPDKLPRAILSRCQKYLFPKIKEADIVCRLRRISDEEKLDVELDALN >DRNTG_04607.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3992129:3994641:-1 gene:DRNTG_04607 transcript:DRNTG_04607.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETYVGPSELHLKKELGALCKARFLRDPETCSSWRSRLSSRSLTAAYNLKRGNAPGTKLTRTDNSQKKVYLYNWRHHSSKSSDRGLKLNDNSRQDSVDESLDDSSSILSKVDSKSDTYLEVYASTHGVRDKQPEKTVTRVGRKSERSGSSRKQIVRNSAVTKFLEGSSSSLGILNQVEESDDSDHGNGNSEDLRQPAHEYAQGTGYPALSASPLLSLSARRNQSCSKFFGNTGREESSHSYTPISTSSYNRYGVRNASTAGSWDGTTSFDEDELDQMDLPGQQGCGIPCYWPKRSKDTGCGGWYSPSLSDTLRRKGNSILCRGQKLYNKRRLSASQKRKYLLKTSQGLSHLTSSCGGGSSSSEAATDELSSNFGELDLEAMSRLDGRRWSTCKSQDGWELALPGATNMEILHRRSLNQKHRPMSFDEIMGQNIVVQSLSNAVIRGRIAPAYLFYGPRGTGKTATAMVFSAALNCLSTEHKKPCGFCKECRNFSSGNGSNVIEVDATDNKSMDRFTYLLKNMSVARKSSRRKVFVIEECHMLSSKLWSAFTKFLEEPLPRVVFIFVTIDPDKLPRAILSRCQKYLFPKIKEADIVCRLRRISDEEKLDVELDALN >DRNTG_02608.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21314274:21318637:-1 gene:DRNTG_02608 transcript:DRNTG_02608.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06510) TAIR;Acc:AT3G06510] MAAAALWLFASAVKLAGAIVAVAVAANAFSYSRFRRANLRQFKSPIDESSEVLADFQSLPADQKGEERFFFGLATAPAHVEDKLNDAWLQFAEEQPCGDSEHAQSQQPVDDGSFQQSALGQDEHKKDNVEQTRKPIKIAMEAMIRGFEKYLDEEEHPKSKECRHTVAAWHNVPHPDERLRFWSDPDVELKLARDTGITVFRMGIDWTRIMPKEPINGLQDTVNFAALERYRWIIEKVRSYGMKVMLTLFHHSLPPWAGEYGGWKMEKTVDYFMDFTRLIVDRVSDLIDYWVPFNEPHVFCLLTYCAGAWPGGNPDMIEAATSALPTGVYMQALHWMAVAHSKAYDYIHKESKNIGKTIVGVAHNVSFTRPYGLFDVAAVTLANSLTLYPFVDSICDKLDFIGINYYGQEVISGIGLKLVDTDEYSESGRGVYPDGLFRMLLQFHGRYKHLNLPFIITENGVSDETDLIRRPYMLEHLLAVYAAMLMGIPVLGYLFWTTSDNWEWADGYGPKFGLVAVDRANNLARIPRPSYSLFSKVAKTGKIEKQDRLRAWRELQIAIKEKKTRPFCRAVDRHGLMYAGGLDVPIQRPYVQRDWRFGHYEMEGLQDPLSCLARAITSPLSFKKSKGNKPHQIEDEDVTVLQPLTL >DRNTG_21981.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:853249:855949:-1 gene:DRNTG_21981 transcript:DRNTG_21981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVFLVFMLAMSGGSDATWCVCKTDQPTTSQQKALDYACGAGADCTPILQNGACYNPNTVSAHCSYAANSYYQKEGTSSRILRLSPALPKSLKPIQVLAVDALFRLLQVLQGQVGQQQQQATAQQEQLQAQHSHQQEALELE >DRNTG_25259.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19337924:19342203:-1 gene:DRNTG_25259 transcript:DRNTG_25259.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKGVASPNYFVLNTGAKIPAIGLGTWQSGGDICVEAVSMALKVGYRHIDCAHLYGNEAEVGKALGEAFDGGLKREDVFLTSKLYFATNSHTRVENSVRDSLKNLGVSYLDLYLVHCPEISAFGDATDPPWKSGTEYRQFLQRLKPTWKAMEDLVQMGLVRAIGVSNFNVHQISELLQFANIVPAVNQVKFDFAILVLFMMLFLGREFLICPLYVP >DRNTG_25259.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19337924:19342203:-1 gene:DRNTG_25259 transcript:DRNTG_25259.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKGVASPNYFVLNTGAKIPAIGLGTWQSGGDICVEAVSMALKVGYRHIDCAHLYGNEAEVGKALGEAFDGGLKREDVFLTSKLYFATNSHTRVENSVRDSLKNLGVSYLDLYLVHCPEISAFGDATDPPWKSGTEYRQFLQRLKPTWKAMEDLVQMGLVRAIGVSNFNVHQISELLQFANIVPAVNQVELHPFWRQDELVKFCQVKGIHVSAHTPLGVPTTSISMSNSSPALSDSGSEDEPGTPRMSFRRSRSVHGTTTKAFSGWRYCRTS >DRNTG_25259.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19337924:19342203:-1 gene:DRNTG_25259 transcript:DRNTG_25259.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKGVASPNYFVLNTGAKIPAIGLGTWQSGGDICVEAVSMALKVGYRHIDCAHLYGNEAEVGKALGEAFDGGLKREDVFLTSKLYFATNSHTRVENSVRDSLKNLGVSYLDLYLVHCPEISAFGDATDPPWKSGTEYRQFLQRLKPTWKAMEDLVQMGLVRAIGVSNFNVHQISELLQFANIVPAVNQVELHPFWRQDELVKFCQVKGIHVSAHTPLGVPTTSISMSNSSPALSDSGSEDEPGTPRMSFRRSRSVHGTTTKAFSGWRYCRTS >DRNTG_25259.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19337924:19343310:-1 gene:DRNTG_25259 transcript:DRNTG_25259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKGVASPNYFVLNTGAKIPAIGLGTWQSGGDICVEAVSMALKVGYRHIDCAHLYGNEAEVGKALGEAFDGGLKREDVFLTSKLYFATNSHTRVENSVRDSLKNLGVSYLDLYLVHCPEISAFGDATDPPWKSGTEYRQFLQRLKPTWKAMEDLVQMGLVRAIGVSNFNVHQISELLQFANIVPAVNQVELHPFWRQDELVKFCQVKGIHVSAHTPLGVPTTSISMSNSSPALSDSGSEDEPGTPRMSFRRSRSVHGTTTKAFSGWRYCRTS >DRNTG_27541.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1656288:1659142:1 gene:DRNTG_27541 transcript:DRNTG_27541.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVHQWPLSISLNPRKQARPTTMASLSHLANGNHHPDPLLHSALRSASLRFHESHRSAPLFLDPYCGCFLSPDTAPPSASTPYRLATKFIDDELMNRMMSDDELRQVVLFTDGMDTRPFRLNWPRSSAIYDVSPGSVFQFASQRLKDVGAKISRTCMLLHVPSESSNIQERLCEKGFNGNRPSIWALQGLPLMTSASFNDLLFLVSCLAMKSSIFLGELPGWLLGTEFGNMAATRKWMESLFMSHGFQVSIVSYAEVARKLHLEPPTENLCSALFVAEQLRFSDAQMERWRSEFDRLEDEGDEEGFEEL >DRNTG_27541.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1656774:1659142:1 gene:DRNTG_27541 transcript:DRNTG_27541.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRPFRLNWPRSSAIYDVSPGSVFQFASQRLKDVGAKISRTCMLLHVPSESSNIQERLCEKGFNGNRPSIWALQGLPLMTSASFNDLLFLVSCLAMKSSIFLGELPGWLLGTEFGNMVCSLIYCLIKFLFFLCSS >DRNTG_27541.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1656288:1657835:1 gene:DRNTG_27541 transcript:DRNTG_27541.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRPFRLNWPRSSAIYDVSPGSVFQFASQRLKDVGAKISRTCMLLHVPSESSNIQERLCEKGFNGNRPSIWALQ >DRNTG_27541.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1656288:1656722:1 gene:DRNTG_27541 transcript:DRNTG_27541.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVHQWPLSISLNPRKQARPTTMASLSHLANGNHHPDPLLHSALRSASLRFHESHRSAPLFLDPYCGCFLSPDTAPPSASTPYRLATKFIDDELMNRMMSDDELRQVV >DRNTG_20050.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26204459:26205187:-1 gene:DRNTG_20050 transcript:DRNTG_20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding WVIHTLDSFLVMELVSLKMEFLSVKLSHWF >DRNTG_29640.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2963084:2966472:1 gene:DRNTG_29640 transcript:DRNTG_29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVSFKSREDHRKQLELEEARKAGLAPAELDEDGKEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYTKAWYDRGAKIFQADKFRKGACENCGAMTHDKKSCMDRPRKLGAKWTNMHIAPDEKVETFELDYDGKRDRWNGYDPSTYGLVIERYEARDEARRKYLKEQQLKKLEEKNSNQKSQDQVSDEEEEEDVDDDDLRVDEAKVDESKQMDFCESGETCSHNWWREYRNCEESAYS >DRNTG_10539.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000444.1:32193:32522:1 gene:DRNTG_10539 transcript:DRNTG_10539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQRHVGVCQPPLCSSEYIKRRDTKEVTLMCSDLCNASKILVNMVPMKTRRDLPYECVPIHMASMKRVDSGAFQ >DRNTG_10624.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:204252:209147:1 gene:DRNTG_10624 transcript:DRNTG_10624.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGCGRGSLVLCSLLLLLLLSLASATVFFEERFEDGWENRWVKSDWKKDEGMAGEWNHTAGKWSGDPNDKGIQTFPDYRFFAISAQFPEFSNKGKTLVFQFSVKHEQKLDCGGGYAKLLSGDVDQKKFGGETPYSIMFGPDICGYSTKKVHAILTRDGKNHLIKHDVPCETDQLTHVYTFIIHPDSTYSILVDNEEKRSGNLYSDWDLLPPKEIKDPDAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWATPNIPNPDYKGPWTQKKIKNPNYKGKWKAPMIDNPEFKDDPDLYVFPDLKYVAIELWQVKSGTLFDNILICDDPEYAKKFAEEKKKEDEEKAAGSDIDESDNENDDETTEEEEEEEEDSKHDEL >DRNTG_17548.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29100502:29104927:1 gene:DRNTG_17548 transcript:DRNTG_17548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPGSPGGSGGEGSPRSGVREQDRYLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIEPLKLYLQKYREMEGDSKATAKGGDGSLSKDGGGIQVGTPGTSTQGIAPQGSFTQGMNYIHTQFHNGDLSG >DRNTG_17548.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29100502:29104927:1 gene:DRNTG_17548 transcript:DRNTG_17548.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPGSPGGSGGEGSPRSGVREQDRYLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIEPLKLYLQKYREVMISL >DRNTG_17548.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29100502:29104927:1 gene:DRNTG_17548 transcript:DRNTG_17548.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPGSPGGSGGEGSPRSGVREQDRYLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIEPLKLYLQKYREV >DRNTG_17548.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29101854:29104383:1 gene:DRNTG_17548 transcript:DRNTG_17548.4 gene_biotype:protein_coding transcript_biotype:protein_coding GDSKATAKGGDGSLSKDGGGIQVGTPGTSTQGIAPQGSFTQGMNYIHTQFHNGDLSG >DRNTG_32514.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001851.1:34410:37587:1 gene:DRNTG_32514 transcript:DRNTG_32514.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKKPGRGDTTLSMSCFDKITRWTVVGLQGLVGSQRSLCVMSFYPRHCQSCLF >DRNTG_32514.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001851.1:34410:36395:1 gene:DRNTG_32514 transcript:DRNTG_32514.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKKPGRGDTTLSMSCFDKITRWTVVGLQGLVGSQRSLCVMSFYPRHCQSCLF >DRNTG_32514.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001851.1:34410:37587:1 gene:DRNTG_32514 transcript:DRNTG_32514.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFDAEQGKYVMSPWLRLHLYVTQIPCGVFSMALSQALHMRSSIDGDSSSTGKTKDSNDASVTPVIGCPEFVQMVQKKPGRGDTTLSMSCFDKITRWTVVGLQGALLSHLVQPVYLSTITVGNSCCDACREFYFEHLEKAFCSRMATLPKKVSSSFEVLKPCIYWSSVPLKEFQQPHGDDPNLTCGYSICWNRSGLHEVILGTTGRKQGTSTKGALSRSTESSLCKRRLLEVFMSLKHKLPFGSQAEEILYSELKGMAYEYQSALKVLKESPPFITWHPKVSDLEAFSSRKHP >DRNTG_32514.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001851.1:34410:37587:1 gene:DRNTG_32514 transcript:DRNTG_32514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKKPGRGDTTLSMSCFDKITRWTVVGLQGALLSHLVQPVYLSTITVGNSCCDACREFYFEHLEKAFCSRMATLPKKVSSSFEVLKPCIYWSSVPLKEFQQPHGDDPNLTCG >DRNTG_32514.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001851.1:34410:37587:1 gene:DRNTG_32514 transcript:DRNTG_32514.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPKKVSSSFEVLKPCIYWSSVPLKEFQQPHGDDPNLTCGYSICWNRSGLHEVILGTTGRKQGTSTKGALSRSTESSLCKRRLLEVFMSLKHKLPFGSQAEEILYSELKGMAYEYQSALKVLKESPPFITWHPKVSDLEAFSSRKHP >DRNTG_32514.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001851.1:34410:37587:1 gene:DRNTG_32514 transcript:DRNTG_32514.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKKPGRGDTTLSMSCFDKITRWTVVGLQGALLSHLVQPVYLSTITVGNSCCDACREFYFEHLEKAFCSRMATLPKKVSSSFEVLKPCIYWSSVPLKEFQQPHGDDPNLTCGYSICWNRSGLHEVILGTTGRKQGTSTKGALSRSTESSLCKRRLLEVFMSLKHKLPFGSQAEEILYSELKVIILSDNL >DRNTG_17404.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7999209:8004577:1 gene:DRNTG_17404 transcript:DRNTG_17404.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAARASLRGQRRRTTRARGTAVPPRDEGSERVIVGAGV >DRNTG_17404.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7999209:8004577:1 gene:DRNTG_17404 transcript:DRNTG_17404.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAARASLRGQRRRTTRARGTAVPPRDEGSERVIVGAGV >DRNTG_17404.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:8003909:8004577:1 gene:DRNTG_17404 transcript:DRNTG_17404.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDINIYGRVRRRGRRREKRPMRGRSLSSGSRAMRRSMMVIASGSRLVFTLSSSSDRSSLMSSGSEVRWSLSVQTFSSSVRDSGRASD >DRNTG_17404.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7999209:7999933:1 gene:DRNTG_17404 transcript:DRNTG_17404.3 gene_biotype:protein_coding transcript_biotype:protein_coding FISSQASLLQSISSQSCYQAHSSTEIRSHHSHHHHHPNHPTLQNLCKMMLTYSRQQIQNSYLFLIIASS >DRNTG_08631.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20390099:20390438:-1 gene:DRNTG_08631 transcript:DRNTG_08631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSGMNPMQVVGDVGFQDRDSKSC >DRNTG_02360.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12838636:12839095:-1 gene:DRNTG_02360 transcript:DRNTG_02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSAHSKTTSPLIQMTSKPSDHYLSSK >DRNTG_18934.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12362656:12365319:1 gene:DRNTG_18934 transcript:DRNTG_18934.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDFEFAKDGELSVKAAHGAHKKAFKFDAVFSPEEDQEKVFERTSPLVTSLLDGYNVCIFAYGQTGTGKTFTMEGTEEARGVNYRTLEELFRIIKERQGQYLYEITVSVLEVYNEQIHDLLLSGSQPGMTAKRLEVRQVADGVHHVPGLVEAHVNNMNEVWNALQTGSKARAVGLTNANERSSRSHCIVCVMVKGENLINGECTRSKLWLIDLAGSERVGKTDAQGERLKEAQNINRSLSALGDVVSALASKSPHIPFRNSKLTHLLQDSLGGDSKTLMFVQISPNENDVGETLCSLNFASRVRGIELGPAKKQVDASEIFRYKHMVEKIKQDNRNKDCQIKKMEETVHSLDSKNKIKEQINKSLQEKIKELESQLLIERKLARQHVDTRIAENQLQQQQEANVQSQIPSSPARILSEKRDNHEEFKEIGNVIRPPVFESVPPTDIFKCNSALKDKENISKLSNEDSLPKKPSRASLCNAVNRIPISPTTRRNSLIPVPYTRTLPPPLPLVMNTANLSPPPLLTPMPVEVGNSGHEPRNTRKINSILRRSLQKKVIIRSPMHQNIRRAGGTTDKLRTSIGGSGRKARRGTIGEPARAEKLMLQKLKQKEKGWNNGTAVKNIC >DRNTG_18934.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12360417:12361172:1 gene:DRNTG_18934 transcript:DRNTG_18934.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHCSFDPCKTIISYSTSDFGEMMIDHDMCAVSPGNASDSNQETPNDMRNGRRLGKVEPCIRHDYHVKNDVEDAKFIILGINAGGMGSFKPCGRTEFQKDQFFGGGDVVTTDAQVGDEEFSPLYQSARFGNFSYKFDNLEAGDYLVDLHFAEIVFTGGPSGLRIFDVFMQKEK >DRNTG_18934.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:12360417:12365319:1 gene:DRNTG_18934 transcript:DRNTG_18934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHCSFDPCKTIISYSTSDFGEMMIDHDMCAVSPGNASDSNQETPNDMRNGRRLGKVEPCIRHDYHVKNDVEDAKFIILGINAGGMGSFKPCGRTEFQKDQFFGGGDVVTTDAQVGDEEFSPLYQSARFGNFSYKFDNLEAGDYLVDLHFAEIVFTGGPSGLRIFDVFMQKEKVVSGIDIYAEVGPKRPLILYNLKASVTREEGLLIKFKGIVGVPIVSGISIKRDSSSGMNLHRHIVQNSKALLGKESEDVDKENLKQGKCTGCRKLQRDYDLLFKELVEMKKALESVQEENEVKSKEYHEASMSLRELQMELMRKSMHVGSLAFAVEGQVKEKSKWFSSLTDLSEKLRLLKLEHSRLLEEASGYSRCLTDMIHMTNSVQTTMDSYLDLERECKIVKQKLIAEIKERKILYNKIVELKGNIRVFCRCRPLSSEETAEGASMAIDFEFAKDGELSVKAAHGAHKKAFKFDAVFSPEEDQEKVFERTSPLVTSLLDGYNVCIFAYGQTGTGKTFTMEGTEEARGVNYRTLEELFRIIKERQGQYLYEITVSVLEVYNEQIHDLLLSGSQPGMTAKRLEVRQVADGVHHVPGLVEAHVNNMNEVWNALQTGSKARAVGLTNANERSSRSHCIVCVMVKGENLINGECTRSKLWLIDLAGSERVGKTDAQGERLKEAQNINRSLSALGDVVSALASKSPHIPFRNSKLTHLLQDSLGGDSKTLMFVQISPNENDVGETLCSLNFASRVRGIELGPAKKQVDASEIFRYKHMVEKIKQDNRNKDCQIKKMEETVHSLDSKNKIKEQINKSLQEKIKELESQLLIERKLARQHVDTRIAENQLQQQQEANVQSQIPSSPARILSEKRDNHEEFKEIGNVIRPPVFESVPPTDIFKCNSALKDKENISKLSNEDSLPKKPSRASLCNAVNRIPISPTTRRNSLIPVPYTRTLPPPLPLVMNTANLSPPPLLTPMPVEVGNSGHEPRNTRKINSILRRSLQKKVIIRSPMHQNIRRAGGTTDKLRTSIGGSGRKARRGTIGEPARAEKLMLQKLKQKEKGWNNGTAVKNIC >DRNTG_33166.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:24010853:24045298:-1 gene:DRNTG_33166 transcript:DRNTG_33166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLKLKCMKMVVSDSATNSAPNNATGCNTGVGARMSNTCNSNPSVPLGSNRSAPTLNVAPHCSVPSSSADSNHNEQEAEQNNSTRLENVPAKWTAKKRGRTTLKELWSLPPEERIVVSANHLGQPIGAEAQLLAGFLGMLARTGQQIGLHYESWHKVPKTLKDELFKFIELRFALGISKEYVLKSLGKKWRDYKHDLKKRHFKREDGLQANKDKHPNATIRWQWDQLVDFWYSSKGEDSERLGVASRKQQKYTHTSGSKSFARKEKEMEVNSGRKVGRLEFFKATHTKKDGSHMNVETEQIMETANEKLAECETVDENMQMVETEILTELIGKERCGINLLEISSGFDHYVKQCFYLSLFSSCIMEYTIVDV >DRNTG_04503.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000218.1:74716:82696:1 gene:DRNTG_04503 transcript:DRNTG_04503.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGGGTQQSLRKYLGAIKDSTTVGLAKVNSDYKELDISIVRATNHVERPAKEKHIRSIFYAISATRPRADVAYCIHALSRRLAKTHNWAVALKTLTVIHRALREVDPTFREELINYGRNRGHILNMAHFKDDSSANAWDYSSWVRTYALFLEERLECYRVLKYDVETDPPRTRDLSTSELLEQLPALQQLLYRLLGCQPQGAAAYNIVIQLALSMVAVESVKIYNAISDGTVNLVDKFFEMQRHDAVKALDIYRRAGHQAGRLSEFYEVCKTIDIGRGEKFIKIEQPPASFLTAMEDYVKDAPRASVRKDKVADEKNEAPKVVLAIEYKPTAEVEEAAPQSPPPPPPPPEPIKEEAPVAEQTDLLGLSDNANPDATELDNKNALALAIVPVDNPSTATPSSGFSVENGTTGWELALVTAPTSNETAVASSKLAGGLDKLTLDSLYEDADRRANQTVSYNPWEPAPMTTPVMQQPMAHDPFYASNSVAAPHSVQMAAMAQQQQAFVLQQQMMMMGQQSYQASLNPFGSPYSGASAHPYAPGIPLQASNGYTGLL >DRNTG_04503.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000218.1:74716:82696:1 gene:DRNTG_04503 transcript:DRNTG_04503.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGGGTQQSLRKYLGAIKDSTTVGLAKVNSDYKELDISIVRATNHVERPAKEKHIRSIFYAISATRPRADVAYCIHALSRRLAKTHNWAVALKTLTVIHRALREVDPTFREELINYGRNRGHILNMAHFKDDSSANAWDYSSWVRTYALFLEERLECYRVLKYDVETDPPRTRDLSTSELLEQLPALQQLLYRLLGCQPQGAAAYNIVIQLALSMVAVESVKIYNAISDGTVNLVDKFFEMQRHDAVKALDIYRRAGHQAGRLSEFYEVCKTIDIGRGEKFIKIEQPPASFLTAMEDYVKDAPRASVRKDKVADEKNEAPKVVLAIEYKPTAEVEEAAPQSPPPPPPPPEPIKEEAPVAEQTDLLGLSDNANPDATELDNKNALALAIVPVDNPSTATPSSGFSVENGTTGWELALVTAPTSNETAVASSKLAGGLDKLTLDSLYEDADRRANQTVSYNPWEPAPMTTPVMQQPMAHDPFYASNSVAAPHSVQMAAMAQQQQAFVLQQQMMMMGQQSYQASLNPFGSPYSGASAHPYAPGIPLQASNGYTGLL >DRNTG_04503.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000218.1:74716:82696:1 gene:DRNTG_04503 transcript:DRNTG_04503.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGGGTQQSLRKYLGAIKDSTTVGLAKVNSDYKELDISIVRATNHVERPAKEKHIRSIFYAISATRPRADVAYCIHALSRRLAKTHNWAVALKTLTVIHRALREVDPTFREELINYGRNRGHILNMAHFKDDSSANAWDYSSWVRTYALFLEERLECYRVLKYDVETDPPRTRDLSTSELLEQLPALQQLLYRLLGCQPQGAAAYNIVIQLALSMVAVESVKIYNAISDGTVNLVDKFFEMQRHDAVKALDIYRRAGHQAGRLSEFYEVCKTIDIGRGEKFIKIEQPPASFLTAMEDYVKDAPRASVRKDKVADEKNEAPKVVLAIEYKPTAEVEEAAPQSPPPPPPPPEPIKEEAPVAEQTDLLGLSDNANPDATELDNKNALALAIVPVDNPSTATPSSGFSVENGTTGWELALVTAPTSNETAVASSKLAGGLDKLTLDSLYEDADRRANQTVSYNPWEPAPMTTPVMQQPMAHDPFYASNSVAAPHSVQMAAMAQQQQAFVLQQQMMMMGQQSYQASLNPFGSPYSGASAHPYAPGIPLQASNGYTGLL >DRNTG_32914.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1189630:1192908:-1 gene:DRNTG_32914 transcript:DRNTG_32914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQNMIKEGKIVPSEVTIKLLQRAMRESGNDKFLIDGFPRNEENRAAFEEVVKPFLFVL >DRNTG_31246.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3042360:3048507:1 gene:DRNTG_31246 transcript:DRNTG_31246.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLYRIYFCRMHSTTLCLAASCCSLRGTSLAGTSNDSVYSNLRLLDLTPARAKCAPPPAVKDGEEQTGQAARHTRMNDSGMP >DRNTG_31246.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3042360:3048507:1 gene:DRNTG_31246 transcript:DRNTG_31246.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLYRIYFCRMHSTTLCLAASCCSLRGTSLAGTSNDSVYSNLRLLDLTPARAKCAPPPAVKDGEEQTGQAARHTRMNDSGMP >DRNTG_31246.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3042360:3048507:1 gene:DRNTG_31246 transcript:DRNTG_31246.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLYRIYFCRMHSTTLCLAASCCSLRGTSLAGTSNDSVYSNLRLLDLTPARAKCAPPPAVKDGEEQTGQAARHTRMNDSGMP >DRNTG_31246.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3042360:3048507:1 gene:DRNTG_31246 transcript:DRNTG_31246.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLYRIYFCRMHSTTLCLAASCCSLRGTSLAGTSNDSVYSNLRLLDLTPARAKCAPPPAVKDGEEQTGQAARHTRMNDSGMP >DRNTG_31246.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3042360:3048507:1 gene:DRNTG_31246 transcript:DRNTG_31246.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLYRIYFCRMHSTTLCLAASCCSLRGTSLAGTSNDSVYSNLRLLDLTPARAKCAPPPAVKDGEEQTGQAARHTRMNDSGMP >DRNTG_31246.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3042360:3048507:1 gene:DRNTG_31246 transcript:DRNTG_31246.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLYRIYFCRMHSTTLCLAASCCSLRGTSLAGTSNDSVYSNLRLLDLTPARAKCAPPPAVKDGEEQTGQAARHTRMNDSGMP >DRNTG_31246.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3045761:3048507:1 gene:DRNTG_31246 transcript:DRNTG_31246.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLYRIYFCRMHSTTLCLAASCCSLRGTSLAGTSNDSVYSNLRLLDLTPARAKCAPPPAVKDGEEQTGQAARHTRMNDSGMP >DRNTG_31246.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3042360:3048507:1 gene:DRNTG_31246 transcript:DRNTG_31246.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLYRIYFCRMHSTTLCLAASCCSLRGTSLAGTSNDSVYSNLRLLDLTPARAKCAPPPAVKDGEEQTGQAARHTRMNDSGMP >DRNTG_06973.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:58437:60285:1 gene:DRNTG_06973 transcript:DRNTG_06973.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQTYFPVNEMAKALSGMGYDVAPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFVHVPLFLTICEETQMQFVASLLELLPSLN >DRNTG_06973.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:58437:60285:1 gene:DRNTG_06973 transcript:DRNTG_06973.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSAITVHVTGFKKFHGVSENPTETIVSNLRSYMQKNGLPKDLVLGSCTVLEAAGLGALDSLYKMLESSVSDPDAKNNGQIIWLHLGVNSGAMRFAIENQAVNEATFRCPDELGWKPQKVSIIESDGCILRARETYFPVNEMAKALSGMGYDVAPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFVHVPLFLTICEETQMQFVASLLELLPSLN >DRNTG_06973.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:58437:60285:1 gene:DRNTG_06973 transcript:DRNTG_06973.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSAITVHVTGFKKFHGVSENPTETIVSNLRSYMQKNGLPKDLVLGSCTVLEAAGLGALDSLYKMLESSVSDPDAKNNGQIIWLHLGVNSGAMRFAIENQAVNEATFRCPDELGWKPQKVSIIESDGCILRARETYFPVNEMAKALSGMGYDVAPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFVHVPLFLTICEETQMQFVASLLELLPSLN >DRNTG_06973.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:58437:60285:1 gene:DRNTG_06973 transcript:DRNTG_06973.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSAITVHVTGFKKFHGVSENPTETIVSNLRSYMQKNGLPKDLVLGSCTVLEAAGLGALDSLYKMLESSVSDPDAKNNGQIIWLHLGVNSGAMRFAIENQAVNEATFRCPDELGWKPQKVSIIESDGCILRARETYFPVNEMAKALSGMGYDVAPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFVHVPLFLTICEETQMQFVASLLELLPSLN >DRNTG_05016.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5495198:5504773:-1 gene:DRNTG_05016 transcript:DRNTG_05016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITIKEVTSKKCLPLSPPSSLIRLRYKYRKRQHFRCVLMADLLRRRSAALWVARLAVLLAGAVSAAALARTSLPYSLALLSSLPRAWSSFRSWLSPPYLFAAIHFIIIVIWKLSDKKQSSRDADPQSKPRDLLSRKPSAELWTEIRADPLESDSRSPDPVVEQEKSSDGSCVTDESLENETMDATWKAIMEAAASPARPQLRKSETWERTDRGSGD >DRNTG_22168.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3763621:3764654:-1 gene:DRNTG_22168 transcript:DRNTG_22168.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQNLSICSEKQSTSTHAKKSLHNWMPELSTGRYHLTSSRTQKVMQVPFLI >DRNTG_22168.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3763621:3768096:-1 gene:DRNTG_22168 transcript:DRNTG_22168.1 gene_biotype:protein_coding transcript_biotype:protein_coding YEIGKVTATQRLDLNLGRGRIRDELCKKNWGTMALTTKTIHLTCICSEKQSTSTHAKKSLHNWMPELSTGRYHLTSSRTQKVMQVPFLI >DRNTG_22168.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3763621:3766108:-1 gene:DRNTG_22168 transcript:DRNTG_22168.2 gene_biotype:protein_coding transcript_biotype:protein_coding QNSYQSIFYDRYEIGKVTATQRLDLNLGRGRIRDELCKKNWGTMALTTKTIHLTCICSEKQSTSTHAKKSLHNWMPELSTGRYHLTSSRTQKVMQVPFLI >DRNTG_22168.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3763621:3764304:-1 gene:DRNTG_22168 transcript:DRNTG_22168.4 gene_biotype:protein_coding transcript_biotype:protein_coding TKGCKVGVGCTSLLIWHEFRKLHLLRVFKVSTKPGAFIVASLNHT >DRNTG_24181.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3387819:3393881:-1 gene:DRNTG_24181 transcript:DRNTG_24181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKARSRFLLFLRTTLWILIVFGSPPRISCIRRDFYLPVQNACRSTVQGRFLISDDKGYVCTSLSVDPWTRCCPEAGEQFSCQGCNLVSRCCNSYEYCVSCCLNPSKTQEELAVKVKIAKQETAGTYSSVFDFCAGRCRHNSASVVHENAYASDSHHCFSLDSNSTRITRLNSPARLAGIDIVIGKQGESCESACKLKGISCVPSRLFVLNNCEYLQKYMSCNDTCLASTGADQPAKVVDDAPGHLNPGACLYTQIESMLSCDGSHQHTRRLCPCA >DRNTG_28014.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20737107:20739134:-1 gene:DRNTG_28014 transcript:DRNTG_28014.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKMKYKRENNSNSRSSIFGRIWFSLRMFLFSVLSFGPMPAHIAFIMDGNRRYSKKHNVKQGSGHNVGFSALISTLQYCYELGVKYVTVYAFSIDNFKRKPEEVQSLMNLLTEKIDEMLEETSVVKEYGIRVNFWGDLNLLTEPVRLAAKKAMAVTAENTGPVLSVCVAYTSTNEILHAVQESCAEKNNSMAVGYSNGRINSSEGLLSVADLEQHFYSASFPEPDIVVRTSGETRLSNFLLWQTSFSHLQNPIALWPEFSLRYLVWSILQYQKAYPSLQEQRIRSKKTH >DRNTG_28014.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20737023:20739134:-1 gene:DRNTG_28014 transcript:DRNTG_28014.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKMKYKRENNSNSRSSIFGRIWFSLRMFLFSVLSFGPMPAHIAFIMDGNRRYSKKHNVKQGSGHNVGFSALISTLQYCYELGVKYVTVYAFSIDNFKRKPEEVQSLMNLLTEKIDEMLEETSVVKEYGIRVNFWGDLNLLTEPVRLAAKKAMAVTAENTGPVLSVCVAYTSTNEILHAVQESCAEKNNSMAVGYSNGRINSSEGLLSVADLEQHFYSASFPEPDIVVRTSGETRLSNFLLWQTSFSHLQNPIALWPEFSLRYLVWSILQYQKAYPSLQEQRIRSKKTH >DRNTG_28014.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20737023:20739002:-1 gene:DRNTG_28014 transcript:DRNTG_28014.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKMKYKRENNSNSRSSIFGRIWFSLRMFLFSVLSFGPMPAHIAFIMDGNRRYSKKHNVKQGSGHNVGFSALISTLQYCYELGVKYVTVYAFSIDNFKRKPEEVQSLMNLLTEKIDEMLEETSVVKEYGIRVNFWGDLNLLTEPVRLAAKKAMAVTAENTGPVLSVCVAYTSTNEILHAVQESCAEKNNSMAVGYSNGRINSSEGLLSVADLEQHFYSASFPEPDIVVRTSGETRLSNFLLWQTSFSHLQNPIALWPEFSLRYLVWSILQYQKAYPSLQEQRIRSKKTH >DRNTG_28014.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20737161:20739002:-1 gene:DRNTG_28014 transcript:DRNTG_28014.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKMKYKRENNSNSRSSIFGRIWFSLRMFLFSVLSFGPMPAHIAFIMDGNRRYSKKHNVKQGSGHNVGFSALISTLQYCYELGVKYVTVYAFSIDNFKRKPEEVQSLMNLLTEKIDEMLEETSVVKEYGIRVNFWGDLNLLTEPVRLAAKKAMAVTAENTGPVLSVCVAYTSTNEILHAVQESCAEKNNSMAVGYSNGRINSSEGLLSVADLEQHFYSASFPEPDIVVRTSGETRLSNFLLWQTSFSHLQNPIALWPEFSLRYLVWSILQYQKAYPSLQEQRIRSKKTH >DRNTG_28014.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20737023:20738647:-1 gene:DRNTG_28014 transcript:DRNTG_28014.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKRENNSNSRSSIFGRIWFSLRMFLFSVLSFGPMPAHIAFIMDGNRRYSKKHNVKQGSGHNVGFSALISTLQYCYELGVKYVTVYAFSIDNFKRKPEEVQSLMNLLTEKIDEMLEETSVVKEYGIRVNFWGDLNLLTEPVRLAAKKAMAVTAENTGPVLSVCVAYTSTNEILHAVQESCAEKNNSMAVGYSNGRINSSEGLLSVADLEQHFYSASFPEPDIVVRTSGETRLSNFLLWQTSFSHLQNPIALWPEFSLRYLVWSILQYQKAYPSLQEQRIRSKKTH >DRNTG_28014.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20736940:20739002:-1 gene:DRNTG_28014 transcript:DRNTG_28014.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKMKYKRENNSNSRSSIFGRIWFSLRMFLFSVLSFGPMPAHIAFIMDGNRRYSKKHNVKQGSGHNVGFSALISTLQYCYELGVKYVTVYAFSIDNFKRKPEEVQSLMNLLTEKIDEMLEETSVVKEYGIRVNFWGDLNLLTEPVRLAAKKAMAVTAENTGPVLSVCVAYTSTNEILHAVQESCAEKNNSMAVGYSNGRINSSEGLLSVADLEQHFYSASFPEPDIVVRTSGETRLSNFLLWQTSFSHLQNPIALWPEFSLRYLVWSILQYQKAYPSLQEQRIRSKKTH >DRNTG_28014.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20737107:20739002:-1 gene:DRNTG_28014 transcript:DRNTG_28014.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKMKYKRENNSNSRSSIFGRIWFSLRMFLFSVLSFGPMPAHIAFIMDGNRRYSKKHNVKQGSGHNVGFSALISTLQYCYELGVKYVTVYAFSIDNFKRKPEEVQSLMNLLTEKIDEMLEETSVVKEYGIRVNFWGDLNLLTEPVRLAAKKAMAVTAENTGPVLSVCVAYTSTNEILHAVQESCAEKNNSMAVGYSNGRINSSEGLLSVADLEQHFYSASFPEPDIVVRTSGETRLSNFLLWQTSFSHLQNPIALWPEFSLRYLVWSILQYQKAYPSLQEQRIRSKKTH >DRNTG_13236.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2869021:2880986:1 gene:DRNTG_13236 transcript:DRNTG_13236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLKVATCNLNQWAMDFDNNLRNIKKSIARAKEAGAVIRLGPELEITGYGCEDHFLEEDTVTHSWECLKDLLLGDLTDNVLCGIGMPIIHDSVRYNCQVFCMNRRILMIRPKMSLANDGNYRELRWFSAWTHKYMLVDFQLPSDVSEAISQSSAPFGYGYIQFLDVAVAAEICEELFTADPPRIGLALNGVEVFLNASGSHHQLRKLNVRIDAIKNATLSCGGVYMYSNHQGCDGARLYYDGCSCIVINGDVVAQGSQFSLKDVEVLIAQVDLDAVSSYRGSISSFREQASQKQKVFSVKAPYKICQSFKLQMVPTAPVEVKYHCPEEEIAFGPSCWLWDYLRRSGASGFLLPLSGGADSSAVAAIVGCMCQLVIKDIENGDEQVKTDAIRIGQYKNGEFPTDSKEFARRVFYTVYMGTENSSEATRSRAKKLADEIGSWHLDVPIDSVISALLSLFQTLTGKRPCYKVDGGSNTENLALQNIQARVRMVLAFMLASLMPWVHNKSGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSVSKVDLRSFLRWGAAHLGYASLADIEAAPPTAELEPIRSNYNQLDEVDMGMTYEELSVYGRLRKIFRCGPVSMFQNLCHKWCGKLTPSEVAEKVKHFFKYYSINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNWRWPYQFRKIDELVQEMGEDKTKVPLIAERPGDSENTSDWGGGMGVVAAGSGNPNVGL >DRNTG_13236.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2869021:2880616:1 gene:DRNTG_13236 transcript:DRNTG_13236.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLKVATCNLNQWAMDFDNNLRNIKKSIARAKEAGAVIRLGPELEITGYGCEDHFLEEDTVTHSWECLKDLLLGDLTDNVLCGIGMPIIHDSVRYNCQVFCMNRRILMIRPKMSLANDGNYRELRWFSAWTHKYMLVDFQLPSDVSEAISQSSAPFGYGYIQFLDVAVAAEICEELFTADPPRIGLALNGVEVFLNASGSHHQLRKLNVRIDAIKNATLSCGGVYMYSNHQGCDGARLYYDGCSCIVINGDVVAQGSQFSLKDVEVLIAQVDLDAVSSYRGSISSFREQASQKQKVFSVKAPYKICQSFKLQMVPTAPVEVKYHCPEEEIAFGPSCWLWDYLRRSGASGFLLPLSGGADSSAVAAIVGCMCQLVIKDIENGDEQVKTDAIRIGQYKNGEFPTDSKEFARRVFYTVYMGTENSSEATRSRAKKLADEIGSWHLDVPIDSVISALLSLFQTLTGKRPCYKVDGGSNTENLALQNIQARVRMVLAFMLASLMPWVHNKSGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSVSKVDLRSFLRWGAAHLGYASLADIEAAPPTAELEPIRSNYNQLDEVDMGMTYEELSVYGRLRKIFRCGPVSMFQNLCHKWCGKLTPSEVAEKVKHFFKYYSINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNWRWPYQFRKIDELVQEMGEDKTKVPLIAERPGDSENTSDWGGGMGVVAAGSGNPNVGL >DRNTG_04460.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15526219:15530877:1 gene:DRNTG_04460 transcript:DRNTG_04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWLGIWRGSTALTSRSIWKKVSRRAFVGHRSAKGVFGGSRH >DRNTG_34555.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1387418:1390611:1 gene:DRNTG_34555 transcript:DRNTG_34555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSDLINLNLSDSTEKIIAEYIWVGGSGMDIRSKARTLPGPVTDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVMCDCYTPQGEPIPTNKRFNAAKIFSDPAVAAEVPWYGIEQEYTLLQKDVKWPLGWPVGGFPGPQGPYYCAAGADKSFGRDIVDAHYKACLYAGVNISGINGEVMPGQWEFQVGPSVGISAADEVWIARYILERITEIAGVVLSFDPKPIPGDWNGAGAHTNYSTKSMRNDGGYEVIKKAVEKLGLRHKEHIAAYGEGNERRLTGRHETADINTFTWGVANRGASVRVGRDTEKNGKGYFEDRRPASNMDPYVVTSMVAETTILWKPT >DRNTG_06168.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25313355:25315175:-1 gene:DRNTG_06168 transcript:DRNTG_06168.4 gene_biotype:protein_coding transcript_biotype:protein_coding MILRLRRPLLILPKSIHTKPTPPTASSSSDITGLLINGGKWDALTARFGSITLTNSLVEQVLLDLKEPSDAKKALLFFHWSSTQHSSFHHSLRSYSIAIHILVRSGLFIDAGALLESAITKTSQPESCLLDALLSTYEATPSGPRVFDILVQTYAKMRMLDHAFDACSHLMDRGFSISLTSFNALLRVAQKSNRYDLAWKVYEYMLHRRVYPDRNSIETMTNVMCKQGSLQNIISVLDKINGKRCAPAVIVNSGLWFMIAKKDNFNVDHGIVLLKRLLQKDMIVDSVVYSLIISTRCERGELDNAYEMFDEMLKRGCGSNAFVYTCFIGAHCKSGRIEEAIRLMQEMQLSGFKPYQKTYSYMIEGCSMSRRLDECMAFYEKMIKHGFVPDSDACNQMIGKLCECGKVEKANDILTVLLDKGFKANQVTYMILMKGFGDAGNVHEVTKLYYEQRHRGIHLDPMACESLIKSLRQCGKFKEAEKFLHVIGSEKHLPL >DRNTG_06168.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25313355:25315041:-1 gene:DRNTG_06168 transcript:DRNTG_06168.6 gene_biotype:protein_coding transcript_biotype:protein_coding MILRLRRPLLILPKSIHTKPTPPTASSSSDITGLLINGGKWDALTARFGSITLTNSLVEQVLLDLKEPSDAKKALLFFHWSSTQHSSFHHSLRSYSIAIHILVRSGLFIDAGALLESAITKTSQPESCLLDALLSTYEATPSGPRVFDILVQTYAKMRMLDHAFDACSHLMDRGFSISLTSFNALLRVAQKSNRYDLAWKVYEYMLHRRVYPDRNSIETMTNVMCKQGSLQNIISVLDKINGKRCAPAVIVNSGLWFMIAKKDNFNVDHGIVLLKRLLQKDMIVDSVVYSLIISTRCERGELDNAYEMFDEMLKRGCGSNAFVYTCFIGAHCKSGRIEEAIRLMQEMQLSGFKPYQKTYSYMIEGCSMSRRLDECMAFYEKMIKHGFVPDSDACNQMIGKLCECGKVEKANDILTVLLDKGFKANQVTYMILMKGFGDAGNVHEVTKLYYEQRHRGIHLDPMACESLIKSLRQCGKFKEAEKFLHVIGSEKHLPL >DRNTG_06168.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25313134:25315041:-1 gene:DRNTG_06168 transcript:DRNTG_06168.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILRLRRPLLILPKSIHTKPTPPTASSSSDITGLLINGGKWDALTARFGSITLTNSLVEQVLLDLKEPSDAKKALLFFHWSSTQHSSFHHSLRSYSIAIHILVRSGLFIDAGALLESAITKTSQPESCLLDALLSTYEATPSGPRVFDILVQTYAKMRMLDHAFDACSHLMDRGFSISLTSFNALLRVAQKSNRYDLAWKVYEYMLHRRVYPDRNSIETMTNVMCKQGSLQNIISVLDKINGKRCAPAVIVNSGLWFMIAKKDNFNVDHGIVLLKRLLQKDMIVDSVVYSLIISTRCERGELDNAYEMFDEMLKRGCGSNAFVYTCFIGAHCKSGRIEEAIRLMQEMQLSGFKPYQKTYSYMIEGCSMSRRLDECMAFYEKMIKHGFVPDSDACNQMIGKLCECGKVEKANDILTVLLDKGFKANQVTYMILMKGFGDAGNVHEVTKLYYEQRHRGIHLDPMACESLIKSLRQCGKFKEAEKFLHVIGSEKHLPL >DRNTG_06168.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25313239:25315279:-1 gene:DRNTG_06168 transcript:DRNTG_06168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRLRRPLLILPKSIHTKPTPPTASSSSDITGLLINGGKWDALTARFGSITLTNSLVEQVLLDLKEPSDAKKALLFFHWSSTQHSSFHHSLRSYSIAIHILVRSGLFIDAGALLESAITKTSQPESCLLDALLSTYEATPSGPRVFDILVQTYAKMRMLDHAFDACSHLMDRGFSISLTSFNALLRVAQKSNRYDLAWKVYEYMLHRRVYPDRNSIETMTNVMCKQGSLQNIISVLDKINGKRCAPAVIVNSGLWFMIAKKDNFNVDHGIVLLKRLLQKDMIVDSVVYSLIISTRCERGELDNAYEMFDEMLKRGCGSNAFVYTCFIGAHCKSGRIEEAIRLMQEMQLSGFKPYQKTYSYMIEGCSMSRRLDECMAFYEKMIKHGFVPDSDACNQMIGKLCECGKVEKANDILTVLLDKGFKANQVTYMILMKGFGDAGNVHEVTKLYYEQRHRGIHLDPMACESLIKSLRQCGKFKEAEKFLHVIGSEKHLPL >DRNTG_06168.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25313239:25315175:-1 gene:DRNTG_06168 transcript:DRNTG_06168.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILRLRRPLLILPKSIHTKPTPPTASSSSDITGLLINGGKWDALTARFGSITLTNSLVEQVLLDLKEPSDAKKALLFFHWSSTQHSSFHHSLRSYSIAIHILVRSGLFIDAGALLESAITKTSQPESCLLDALLSTYEATPSGPRVFDILVQTYAKMRMLDHAFDACSHLMDRGFSISLTSFNALLRVAQKSNRYDLAWKVYEYMLHRRVYPDRNSIETMTNVMCKQGSLQNIISVLDKINGKRCAPAVIVNSGLWFMIAKKDNFNVDHGIVLLKRLLQKDMIVDSVVYSLIISTRCERGELDNAYEMFDEMLKRGCGSNAFVYTCFIGAHCKSGRIEEAIRLMQEMQLSGFKPYQKTYSYMIEGCSMSRRLDECMAFYEKMIKHGFVPDSDACNQMIGKLCECGKVEKANDILTVLLDKGFKANQVTYMILMKGFGDAGNVHEVTKLYYEQRHRGIHLDPMACESLIKSLRQCGKFKEAEKFLHVIGSEKHLPL >DRNTG_06168.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25313239:25315041:-1 gene:DRNTG_06168 transcript:DRNTG_06168.5 gene_biotype:protein_coding transcript_biotype:protein_coding MILRLRRPLLILPKSIHTKPTPPTASSSSDITGLLINGGKWDALTARFGSITLTNSLVEQVLLDLKEPSDAKKALLFFHWSSTQHSSFHHSLRSYSIAIHILVRSGLFIDAGALLESAITKTSQPESCLLDALLSTYEATPSGPRVFDILVQTYAKMRMLDHAFDACSHLMDRGFSISLTSFNALLRVAQKSNRYDLAWKVYEYMLHRRVYPDRNSIETMTNVMCKQGSLQNIISVLDKINGKRCAPAVIVNSGLWFMIAKKDNFNVDHGIVLLKRLLQKDMIVDSVVYSLIISTRCERGELDNAYEMFDEMLKRGCGSNAFVYTCFIGAHCKSGRIEEAIRLMQEMQLSGFKPYQKTYSYMIEGCSMSRRLDECMAFYEKMIKHGFVPDSDACNQMIGKLCECGKVEKANDILTVLLDKGFKANQVTYMILMKGFGDAGNVHEVTKLYYEQRHRGIHLDPMACESLIKSLRQCGKFKEAEKFLHVIGSEKHLPL >DRNTG_35239.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3521116:3526249:-1 gene:DRNTG_35239 transcript:DRNTG_35239.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRLQNSGINPIEEESPDSPDASASSGPSRASLACVINAEVAAVLAVMRRNVRWGGAGSGAARYLPSAADDHLDHPLVQSLKSLRGQLFSRDPAHFLDPAAYLRPFLDVVRSDETGAPITGVALSAVYKILTLDGALRPGDGDAIRGVVDAVTSCRFEVTDPASEEAVLMKILQVLLAAMRSPVAISLSNQHVCTVVNTCFRVVHQAGTKGELLQRVSRHTMHELVRCIFSLLPYVAESTDQPALLNGEMGAVDKDQSFGVNHAENGNGNVGSLGNSGDDGVLEVETPNGMRVMMEPYGIPCMVEIFHFLCSLLNIVEHMEMSPRMNPIALDEDVPLFALGLINSAVELGGPSIRKHPKLLSLIQDELFRNLMQFGLSMSPLILSMVCSIVLNLYHHLRTELKLQLEAFFSCVILRLAQSRYGASYHQQEVAMEALVDFCRQKTFMPEMYANLDCDITCSNVFEDLANLLSKSAFPVNCPLSSMHVLALDGLIAVIQGMADRIGTAPPRTEQAPLELAEYTPFWTVKCEDYSDPEEWVKFVRRRKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPEKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAGTFDFEDMNLDTALRLFLETFRLPGESQKIQRVLEAFSERYYEQSPQILANKDAALLLSYSLIMLNTDQHNVQVKKKMTEEDFIRNNRLINDGSDLPREFLSELYHSICRNEIRTIPDQGIGFPEMSPSRWIDLMRKSKKTSKYIICDSRPFLDHDMFAIMSGPTIAAISVVFDYAEQEEVFLTCVDGFLAVAKISAYHHLEDVLDDLVVSLCKFTTLLSTSLVEEPVTAFGDDMKARLATETVFNIANRYGDFIRTGWRNVLDCILRLHKLGLLPARVASDAADDSEVASDPVHGKPVPNSLSTSHIPAMGTPRRSSGLMGRFSQLLSLDTEEPRSQPTEQQLAAHQRTLQTIQKCHIDSIFTESKFLQADSLLQLARALIWAAGRPQKVTNSPDDEDTAVFCLELLIAITLNNRDRIVLLWKGVYEHIANIVQSTVMPCALVEKAVFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCENITQEVTRLVKANATHIKSQMGWRTITSLLSITARHPEASEVGFEALVFIMSEGAHLSPANYILCVEASRQFAESRVGLTDRSVRALDLMTESVTSLARWSRETRDAGEEAEKISEGIREMWLRLVQALRKVCLDQREEVRNHALSSLQRCLVGLEGLCLSTMSWLQAFDLVIFPLMDDLLETAQNHSQKDYRNMEGTLLLSMKLLSKVFLQWLQELSALSSFCKLWLGVLSRMEKFMKAKVRGKRSEKLQELIPELLKNTLLVMKTKGVLAKRSTIGGDSLWELTWLHVNNMAPSLQLEVFPGQESEQESLAKQKDSAGSVQPDDNTSAATAEKSTVPEEGVGPGA >DRNTG_35239.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3520294:3526249:-1 gene:DRNTG_35239 transcript:DRNTG_35239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRLQNSGINPIEEESPDSPDASASSGPSRASLACVINAEVAAVLAVMRRNVRWGGAGSGAARYLPSAADDHLDHPLVQSLKSLRGQLFSRDPAHFLDPAAYLRPFLDVVRSDETGAPITGVALSAVYKILTLDGALRPGDGDAIRGVVDAVTSCRFEVTDPASEEAVLMKILQVLLAAMRSPVAISLSNQHVCTVVNTCFRVVHQAGTKGELLQRVSRHTMHELVRCIFSLLPYVAESTDQPALLNGEMGAVDKDQSFGVNHAENGNGNVGSLGNSGDDGVLEVETPNGMRVMMEPYGIPCMVEIFHFLCSLLNIVEHMEMSPRMNPIALDEDVPLFALGLINSAVELGGPSIRKHPKLLSLIQDELFRNLMQFGLSMSPLILSMVCSIVLNLYHHLRTELKLQLEAFFSCVILRLAQSRYGASYHQQEVAMEALVDFCRQKTFMPEMYANLDCDITCSNVFEDLANLLSKSAFPVNCPLSSMHVLALDGLIAVIQGMADRIGTAPPRTEQAPLELAEYTPFWTVKCEDYSDPEEWVKFVRRRKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPEKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAGTFDFEDMNLDTALRLFLETFRLPGESQKIQRVLEAFSERYYEQSPQILANKDAALLLSYSLIMLNTDQHNVQVKKKMTEEDFIRNNRLINDGSDLPREFLSELYHSICRNEIRTIPDQGIGFPEMSPSRWIDLMRKSKKTSKYIICDSRPFLDHDMFAIMSGPTIAAISVVFDYAEQEEVFLTCVDGFLAVAKISAYHHLEDVLDDLVVSLCKFTTLLSTSLVEEPVTAFGDDMKARLATETVFNIANRYGDFIRTGWRNVLDCILRLHKLGLLPARVASDAADDSEVASDPVHGKPVPNSLSTSHIPAMGTPRRSSGLMGRFSQLLSLDTEEPRSQPTEQQLAAHQRTLQTIQKCHIDSIFTESKFLQADSLLQLARALIWAAGRPQKVTNSPDDEDTAVFCLELLIAITLNNRDRIVLLWKGVYEHIANIVQSTVMPCALVEKAVFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCENITQEVTRLVKANATHIKSQMGWRTITSLLSITARHPEASEVGFEALVFIMSEGAHLSPANYILCVEASRQFAESRVGLTDRSVRALDLMTESVTSLARWSRETRDAGEEAEKISEGIREMWLRLVQALRKVCLDQREEVRNHALSSLQRCLVGLEGLCLSTMSWLQAFDLVIFPLMDDLLETAQNHSQKDYRNMEGTLLLSMKLLSKVFLQWLQELSALSSFCKLWLGVLSRMEKFMKAKVRGKRSEKLQELIPELLKNTLLVMKTKGVLAKRSTIGGDSLWELTWLHVNNMAPSLQLEVFPGQESEQESLAKQKDSAGSVQPDDNTSAATAEKSTVPEEGVGPGA >DRNTG_35239.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3521184:3526249:-1 gene:DRNTG_35239 transcript:DRNTG_35239.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRLQNSGINPIEEESPDSPDASASSGPSRASLACVINAEVAAVLAVMRRNVRWGGAGSGAARYLPSAADDHLDHPLVQSLKSLRGQLFSRDPAHFLDPAAYLRPFLDVVRSDETGAPITGVALSAVYKILTLDGALRPGDGDAIRGVVDAVTSCRFEVTDPASEEAVLMKILQVLLAAMRSPVAISLSNQHVCTVVNTCFRVVHQAGTKGELLQRVSRHTMHELVRCIFSLLPYVAESTDQPALLNGEMGAVDKDQSFGVNHAENGNGNVGSLGNSGDDGVLEVETPNGMRVMMEPYGIPCMVEIFHFLCSLLNIVEHMEMSPRMNPIALDEDVPLFALGLINSAVELGGPSIRKHPKLLSLIQDELFRNLMQFGLSMSPLILSMVCSIVLNLYHHLRTELKLQLEAFFSCVILRLAQSRYGASYHQQEVAMEALVDFCRQKTFMPEMYANLDCDITCSNVFEDLANLLSKSAFPVNCPLSSMHVLALDGLIAVIQGMADRIGTAPPRTEQAPLELAEYTPFWTVKCEDYSDPEEWVKFVRRRKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPEKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAGTFDFEDMNLDTALRLFLETFRLPGESQKIQRVLEAFSERYYEQSPQILANKDAALLLSYSLIMLNTDQHNVQVKKKMTEEDFIRNNRLINDGSDLPREFLSELYHSICRNEIRTIPDQGIGFPEMSPSRWIDLMRKSKKTSKYIICDSRPFLDHDMFAIMSGPTIAAISVVFDYAEQEEVFLTCVDGFLAVAKISAYHHLEDVLDDLVVSLCKFTTLLSTSLVEEPVTAFGDDMKARLATETVFNIANRYGDFIRTGWRNVLDCILRLHKLGLLPARVASDAADDSEVASDPVHGKPVPNSLSTSHIPAMGTPRRSSGLMGRFSQLLSLDTEEPRSQPTEQQLAAHQRTLQTIQKCHIDSIFTESKFLQADSLLQLARALIWAAGRPQKVTNSPDDEDTAVFCLELLIAITLNNRDRIVLLWKGVYEHIANIVQSTVMPCALVEKAVFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCENITQEVTRLVKANATHIKSQMGWRTITSLLSITARHPEASEVGFEALVFIMSEGAHLSPANYILCVEASRQFAESRVGLTDRSVRALDLMTESVTSLARWSRETRDAGEEAEKISEGIREMWLRLVQALRKVCLDQREEVRNHALSSLQRCLVGLEGLCLSTMSWLQAFDLVIFPLMDDLLETAQNHSQKDYRNMEGTLLLSMKLLSKVFLQWLQELSALSSFCKLWLGVLSRMEKFMKAKVRGKRSEKLQELIPELLKNTLLVMKTKGVLAKRSTIGGDSLWELTWLHVNNMAPSLQLEVFPGQESEQESLAKQKDSAGSVQPDDNTSAATAEKSTVPEEGVGPGA >DRNTG_35239.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3520932:3526249:-1 gene:DRNTG_35239 transcript:DRNTG_35239.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRLQNSGINPIEEESPDSPDASASSGPSRASLACVINAEVAAVLAVMRRNVRWGGAGSGAARYLPSAADDHLDHPLVQSLKSLRGQLFSRDPAHFLDPAAYLRPFLDVVRSDETGAPITGVALSAVYKILTLDGALRPGDGDAIRGVVDAVTSCRFEVTDPASEEAVLMKILQVLLAAMRSPVAISLSNQHVCTVVNTCFRVVHQAGTKGELLQRVSRHTMHELVRCIFSLLPYVAESTDQPALLNGEMGAVDKDQSFGVNHAENGNGNVGSLGNSGDDGVLEVETPNGMRVMMEPYGIPCMVEIFHFLCSLLNIVEHMEMSPRMNPIALDEDVPLFALGLINSAVELGGPSIRKHPKLLSLIQDELFRNLMQFGLSMSPLILSMVCSIVLNLYHHLRTELKLQLEAFFSCVILRLAQSRYGASYHQQEVAMEALVDFCRQKTFMPEMYANLDCDITCSNVFEDLANLLSKSAFPVNCPLSSMHVLALDGLIAVIQGMADRIGTAPPRTEQAPLELAEYTPFWTVKCEDYSDPEEWVKFVRRRKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPEKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAGTFDFEDMNLDTALRLFLETFRLPGESQKIQRVLEAFSERYYEQSPQILANKDAALLLSYSLIMLNTDQHNVQVKKKMTEEDFIRNNRLINDGSDLPREFLSELYHSICRNEIRTIPDQGIGFPEMSPSRWIDLMRKSKKTSKYIICDSRPFLDHDMFAIMSGPTIAAISVVFDYAEQEEVFLTCVDGFLAVAKISAYHHLEDVLDDLVVSLCKFTTLLSTSLVEEPVTAFGDDMKARLATETVFNIANRYGDFIRTGWRNVLDCILRLHKLGLLPARVASDAADDSEVASDPVHGKPVPNSLSTSHIPAMGTPRRSSGLMGRFSQLLSLDTEEPRSQPTEQQLAAHQRTLQTIQKCHIDSIFTESKFLQADSLLQLARALIWAAGRPQKVTNSPDDEDTAVFCLELLIAITLNNRDRIVLLWKGVYEHIANIVQSTVMPCALVEKAVFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCENITQEVTRLVKANATHIKSQMGWRTITSLLSITARHPEASEVGFEALVFIMSEGAHLSPANYILCVEASRQFAESRVGLTDRSVRALDLMTESVTSLARWSRETRDAGEEAEKISEGIREMWLRLVQALRKVCLDQREEVRNHALSSLQRCLVGLEGLCLSTMSWLQAFDLVIFPLMDDLLETAQNHSQKDYRNMEGTLLLSMKLLSKVFLQWLQELSALSSFCKLWLGVLSRMEKFMKAKVRGKRSEKLQELIPELLKNTLLVMKTKGVLAKRSTIGGDSLWELTWLHVNNMAPSLQLEVFPGQESEQESLAKQKDSAGSVQPDDNTSAATAEKSTVPEEGVGPGA >DRNTG_07722.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2405599:2406066:1 gene:DRNTG_07722 transcript:DRNTG_07722.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPEHVTLLARFINEPSPVKSTVTKAVAEFRRTHADTWNLQKESFTEEQLQVLADTSSSSSYFA >DRNTG_10263.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20055946:20065417:1 gene:DRNTG_10263 transcript:DRNTG_10263.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FIP1[V]-like protein [Source:Projected from Arabidopsis thaliana (AT5G58040) UniProtKB/Swiss-Prot;Acc:F4KDH9] MEDDDEFGDLYSDVLIAPSHPPPKPPRAAVPDDDDDDDQILFGSSRKEGGPSQTLAPAKEAGDEDDWMLGPAPVAIEQPENWSEEDDGIVLRAKESRVEKEEVRGARVSEIRDVEVANNEIGDLDREGEPIIPGLSTGSFVAPENKTSRSDDWDSDSDDDLKIVLNDDHRGPLGGEMGRGIGDDDDDDGEEDLVIVMDEDQPHHHLQGTEEQEWGEEGIQGAADGEKEGGDGAKANSVVGGAASGARIGYSNHGFHQQHHSMFKYVRPGAAPAAGSSMVSSIGGPGQPRPPLATGIVGGRGRGDWRPVGGRGLSAAQKGFHSGYGMPGWNNGAGRGFGGGLDFTLPSHKTVFDVDIESFEEKPWRYPGVDISDFFNFGFDEDSWKNYCKQLDQLRLESTMQSKIRVYESGRSEQEYDPDLPPELAAATGLHDISGELHRAKADGGEADINGQGRVLTSIRPPIPTGRAIQVESGYGERLPSIDTRPQRPRDSDSVIEIVCQDAVDDSNNYSGALEQSEYEPVGDHSKGVREVDDEYSEYNNRFAHGYSDRKREMVSRRISCTPERDDIIPFSSDPPIHAQPHSKFRGPACTSGPSVDDDGRSAAGRRQRSSVSMDRTNDVSQSQSALSDKHEDHQEEKLGNSPMRRQQDASPVESTRELSTEPRDYEPDDRIALGDSIELEGEEIASDSRVSPGTLDDERFGRSAKKQKLSSRVEQSTNQDNGDGSSDDLKATYSDNSRARSGSGKEYHKRHEVGEEVMQGEQLRRVSGMRKRYEEEERNFSRKDDYGRDGRLEIEKSRMASRGRVEAYHSYPHRDLDSYHPTTGGRREGFDRLKDRDSAGVWPRREDDVHVRRLKDEDLRRDRGDEAAMRNRNKIRPNDRKDKDENHLKMRMDDGDWRGRDREGSSRQRERDDIMLNRRASLEDPHVKRRKEEEHSRREKVDKEDLHIHRVREDIGRRKRERDDVADHRRREDVSRRREKPEDQHAGVHKDETWRQKEREDRHRLKQPHEDAQKHRDREEGRAARNVRMREDKSVAIGGNAKSKDELKVAGPDKDSQQKDRRRHNEPHRRGDKAVEENNLPHKGREDMLTRENQLNNERNSRGPSSASDGQQMYRERHRENIRKNNDTEIPDQHFLGRGRRDNDDHSTRRTDKVSAKGMDEHENNNSSIPHSKFVDGQLESAQLHHSSGEHGEDHSDDENQQDSRRGRSKLERWTSHKERDYAALDNLQNSSLSSKAEIPKTDKSQWEELSKTEGNNTGDSEHKDIDANQTSGKNGEDHSRHLDTVAKLKRRSERFKLPMPGEKEATTTKKVETETQTTLNEAVVTDVEIKPERPPRKRRWTSS >DRNTG_29931.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001521.1:14896:16968:1 gene:DRNTG_29931 transcript:DRNTG_29931.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAIHEALLDMGIRFPMPSFIMEFLKLNKLSSAQLHPNSWRFLIGFHIFCFISGLRVSVDLFHLFFELQPCKDKSGRHVLHGTSSFLIDDLLPIKNYEDRWVLVYPPKGSWKGWGVLEPSPSLSSYSSSNLSSSHADDTGKETNSSYGASPPPKRLKSPTSKGANLVNSEVVKASSRVSDPNPDAPQGFNLDVFKGSNLDTCKGSNPPNKGNNDTSKGSNPLLSSANLVVSRRERRELRSESLLAINLTFPPMEPPQVIHRVYKDHADLRSTLKAEPFRFYPGLVDTDSITRHGVTSRLIYSSILPRDEECYFADMLENIDVAERLLVEAAQRSSLAFGLMRSLNQRIEDSNIHQKKLQLTIEDLRKHNKDITTHLQTSEAELASLRGYASKCSTLNDENKRLGDKVSSLCSTITSLEKDLASERQATSALKDEISSLCQAFDAERQSIRATAVREYREFLNCYQRKCAVGVTFSKTGFYLARELLEKEHDRAYPKLVFSKTSSIVEPLPWRSFDPTEEDVTISLNSGLHDDLDDLIGPWEFFWEEWRLL >DRNTG_25605.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:274713:279248:1 gene:DRNTG_25605 transcript:DRNTG_25605.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVENLTGGSDHGLSAGPSHGRDDRDVRELQELMSKLNPMAEEFVPPSLMAKSGAVDGGSRFDGGIGNGVGGRRTGYAPGRSRVNNRTTKAQKDSAIRKTVYVSDIDHQVTEEQLAALFINCGQVVDCRVCGDPNSVLRFAFVEFTDEEGARAALSLSGTMLGFYP >DRNTG_25605.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:274713:279248:1 gene:DRNTG_25605 transcript:DRNTG_25605.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVENLTGGSDHGLSAGPSHGRDDRDVRELQELMSKLNPMAEEFVPPSLMAKSGAVDGGSRFDGGIGNGVGGRRRKTGYAPGRSRVNNRTTKAQKDSAIRKTVYVSDIDHQVTEEQLAALFINCGQVVDCRVCGDPNSVLRFAFVEFTDEEGARAALSLSGTMLGFYP >DRNTG_08372.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4345461:4348935:1 gene:DRNTG_08372 transcript:DRNTG_08372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSDEDDQENAPHLLPLLQDQHQDHSSLSEHQQEHYLNSISALLSIRQLKSQGLSFQLWPAAHSLVSLLDSNPQALLPLNPNLRILELDSGTGLLDLAAAAILLANVTLTDLPHVLPNLGFNADSNASTITTPPHLHHLKS >DRNTG_12329.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4217925:4221905:-1 gene:DRNTG_12329 transcript:DRNTG_12329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYHKQEILNRMASKMITNAMLTCCVLSGLLLFVLNSNTCNAARLTQSEMSTSTSVEFIRNSCTKTDYPTLCFSSLSAYAPTIQTSPKQLAEAALSVSLDSTKSTSTMIKSMSKGQGMSSREKEAMSDCMDTLQDSVEELKQSLKAMGELKGKDVKLHMNDIQTWVSSALTDENTCMNGFTNNEIKDEGAENKVRSQVVKVAQLTSNALALINGMAGAQSSAP >DRNTG_02597.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21258075:21258424:-1 gene:DRNTG_02597 transcript:DRNTG_02597.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLVADDLYVLRYKSIKGLVENGQIDLV >DRNTG_19621.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001033.1:11441:15070:1 gene:DRNTG_19621 transcript:DRNTG_19621.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S9, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G49080) UniProtKB/Swiss-Prot;Acc:Q8L6Z4] MLSRLVARHRHLSLQTLTLISSNQALLSSPLRLPTSRVPLPPPSPALSATLLFRSLRAFSTNGGDDGDWKFTLESDVTDSIFGKEEAGLSGITDGADDTTRSEPPAAAGELELKGGDEWLKESGSGMKGDIFSEIDKEFVAKEEVESGDGEWKTAEGYRPWSFGEDGEKGGDVFNLGETEGIDGIGNAEVDDMEKEKEEEERRKLAEREQELTTTLKGPNRAFGDLISASGITEDMIDSLILLKDVRGVKGLPPLSEIEDKAIARMNATSSRAEIERKKQEEIAKARVREVDEKGRAYGTGRRKCSIARVWIQPGDGTFIVNDKQFDVYFPILDHRAELLRPFTETKTLGLWDVNCTVKGGGVSGQVGAIRLGISRALQNWEPGLRPYLKAAGYLRRDPRVVERKKPGKAKARKSFQWVKR >DRNTG_06130.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25581789:25586002:1 gene:DRNTG_06130 transcript:DRNTG_06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAEQCSAMASENRGSWKAKVAGSIAERRAERCGFSVTPRLNTVRFRSVSPVSSPASRSPCFLTIPPGLSPAALLDSPVLLSSSQALASPSIGRLRWLSDDIAPGIPCLPSDEIQVPSSIFDDQLISANANNEIQDTVHLHIESDGQAGATEVKSFTNEEHNGTKGSDTVALESKHVNLPTHICPPFDGVNVEPQLPSNGDQPDHGPTLGKQAEDGYNWRKYGQKHVKGSVHPRSYYKCTHPSCQVKKKVERSHDGQITEIIYRGAHNHPKPQPSRRCAFGMPCSVNEMSDATMGSRSCTIIDEELTLSSRQGSEDKNAAEWSSDGPSSSSIVTELSETLSTVKEKQPDLLKLTDDQEIPSTLNKLIDEEDGATQGTMSLEDDGGEDETESKRMKIGSLLIETSSTSRAAHEQKVVVQTNSDVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNPGCSVRKHVERASHDLKSVITTYEGKHNHEVPVTRNNSQINSCFNMQPASNAQNSGTPAGPANLSIADLRAVQRTEKRQEFGCDFKSGYTDSIIVNQLAGTSLCYDMKAPTLQQMHWASFGLEINPMEAFHASSIPNIVQDYPVSTPTELYRPNISLPSNDLNQGSFILSELQPFLRHRNPREGILRYRQPKQEVNNDNYNSPINAPSDSLAFTDGQYFGGLPL >DRNTG_06130.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25583635:25586002:1 gene:DRNTG_06130 transcript:DRNTG_06130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPSSIFDDQLISANANNEIQDTVHLHIESDGQAGATEVKSFTNEEHNGTKGSDTVALESKHVNLPTHICPPFDGVNVEPQLPSNGDQPDHGPTLGKQAEDGYNWRKYGQKHVKGSVHPRSYYKCTHPSCQVKKKVERSHDGQITEIIYRGAHNHPKPQPSRRCAFGMPCSVNEMSDATMGSRSCTIIDEELTLSSRQGSEDKNAAEWSSDGPSSSSIVTELSETLSTVKEKQPDLLKLTDDQEIPSTLNKLIDEEDGATQGTMSLEDDGGEDETESKRMKIGSLLIETSSTSRAAHEQKVVVQTNSDVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNPGCSVRKHVERASHDLKSVITTYEGKHNHEVPVTRNNSQINSCFNMQPASNAQNSGTPAGPANLSIADLRAVQRTEKRQEFGCDFKSGYTDSIIVNQLAGTSLCYDMKAPTLQQMHWASFGLEINPMEAFHASSIPNIVQDYPVSTPTELYRPNISLPSNDLNQGSFILSELQPFLRHRNPREGILRYRQPKQEVNNDNYNSPINAPSDSLAFTDGQYFGGLPL >DRNTG_06130.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25585012:25586002:1 gene:DRNTG_06130 transcript:DRNTG_06130.3 gene_biotype:protein_coding transcript_biotype:protein_coding YDDRSYYKCTNPGCSVRKHVERASHDLKSVITTYEGKHNHEVPVTRNNSQINSCFNMQPASNAQNSGTPAGPANLSIADLRAVQRTEKRQEFGCDFKSGYTDSIIVNQLAGTSLCYDMKAPTLQQMHWASFGLEINPMEAFHASSIPNIVQDYPVSTPTELYRPNISLPSNDLNQGSFILSELQPFLRHRNPREGILRYRQPKQEVNNDNYNSPINAPSDSLAFTDGQYFGGLPL >DRNTG_10152.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:649077:652595:1 gene:DRNTG_10152 transcript:DRNTG_10152.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEMVGICTGEHEKHRSGGGKQGKSRRLWNKVKYQLVEYHSLPSYLKDNEYILRHYRSEWPLKQIFLSIFSIHNETLNVWTHLVGFFLFLALTIYTAMKVPKVVDLQSLQHLPDVFRKADLHKIQSELLACLPSLPHLSDLQKLKDDLRTSLPSMDLLPSLSRWHLLELLSSCLPQRFSNANNTNWSMTEEVANMIAPLLVRPIPRWPFFAFLGGAMFCLLASSTCHLLSCHSRRLAYIMLRLDYAGIAALIATSFYPPVYYSFMCNPFFCNLYLGFITILGIATICVSLFPVFQNPEYRALRAGLFFGMGVSGVVPVIHKLILFWHRPEALHTTGYEILMGVLYGLGALVYATRIPERWMPGRFDIAGHSHQLFHVLVVAAAYTHYRAGLVYLKWRDLQGC >DRNTG_10152.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:649077:652595:1 gene:DRNTG_10152 transcript:DRNTG_10152.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEMVGICTGEHEKHRSGGGKQGKSRRLWNKVKYQLVEYHSLPSYLKDNEYILRHYRSEWPLKQIFLSIFSIHNETLNVWTHLVGFFLFLALTIYTAMKVPKVVDLQSLQHLPDVFRKADLHKIQSELLACLPSLPHLSDLQKLKDDLRTSLPSMDLLPSLSRWHLLELLSSCLPQRFSNANNTNWSMTEEVANMIAPLLVRPIPRWPFFAFLGGAMFCLLASSTCHLLSCHSRRLAYIMLRLDYAGIAALIATSFYPPVYYSFMCNPFFCNLYLGFITILGIATICVSLFPVFQNPEYRALRAGLFFGMGVSGVVPVIHKLILFWHRPEALHTTGYEILMGVLYGLGALVYATRIPERWMPGRFDIAGHSHQLFHVLVVAAAYTHYRAGLVYLKWRDLQGC >DRNTG_10152.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:649077:652595:1 gene:DRNTG_10152 transcript:DRNTG_10152.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEMVGICTGEHEKHRSGGGKQGKSRRLWNKVKYQLVEYHSLPSYLKDNEYILRHYRSEWPLKQIFLSIFSIHNETLNVWTHLVGFFLFLALTIYTAMKVPKVVDLQSLQHLPDVFRKADLHKIQSELLACLPSLPHLSDLQKLKDDLRTSLPSMDLLPSLSRWHLLELLSSCLPQRFSNANNTNWSMTEEVANMIAPLLVRPIPRWPFFAFLGGAMFCLLASSTCHLLSCHSRRLAYIMLRLDYAGIAALIATSFYPPVYYSFMCNPFFCNLYLGFITILGIATICVSLFPVFQNPEYRALRAGLFFGMGVSGVVPVIHKLILFWHRPEALHTTGYEILMGVLYGLGALVYATRIPERWMPGRFDIAGHSHQLFHVLVVAAAYTHYRAGLVYLKWRDLQGC >DRNTG_10152.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:649077:652595:1 gene:DRNTG_10152 transcript:DRNTG_10152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEMVGICTGEHEKHRSGGGKQGKSRRLWNKVKYQLVEYHSLPSYLKDNEYILRHYRSEWPLKQIFLSIFSIHNETLNVWTHLVGFFLFLALTIYTAMKVPKVVDLQSLQHLPDVFRKADLHKIQSELLACLPSLPHLSDLQKLKDDLRTSLPSMDLLPSLSRWHLLELLSSCLPQRFSNANNTNWSMTEEVANMIAPLLVRPIPRWPFFAFLGGAMFCLLASSTCHLLSCHSRRLAYIMLRLDYAGIAALIATSFYPPVYYSFMCNPFFCNLYLGFITILGIATICVSLFPVFQNPEYRALRAGLFFGMGVSGVVPVIHKLILFWHRPEALHTTGYEILMGVLYGLGALVYATRIPERWMPGRFDIAGHSHQLFHVLVVAAAYTHYRAGLVYLKWRDLQGC >DRNTG_08747.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18667903:18668554:1 gene:DRNTG_08747 transcript:DRNTG_08747.1 gene_biotype:protein_coding transcript_biotype:protein_coding TKSLNISSNKKEIQLPRQPLTIENIQHLLTRKLESDTVLTLQKLDDKVNNLEISVSKLLELVNKLI >DRNTG_01282.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2017643:2019043:-1 gene:DRNTG_01282 transcript:DRNTG_01282.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKTKWHNLCHIATAELLSTHRLLSSFHLCSDVVLTLLKTLHHGYSSSCFHLTELNTKFFGLAYNIVMKMISNKKYYGEDDESSSKAWKEFIAIMNSFLVSGVLDSADFLPVLRWLGIGGYEKWL >DRNTG_30877.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2261237:2262135:-1 gene:DRNTG_30877 transcript:DRNTG_30877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTTIILLLFFFFITLINIPSPSSSQSCKPFCGPLPLHFPFGSGPGCGHPSFNPHITCNPSQQSLLFTTFSGTYPITSIDYTNHLLFIQDPSMSTCSTSCSSPGFSLNSNAPFSFSDFSFFALLSCSSSSSIITTTTTLCDNSPICTLLSSCPSLSSSSPSSCCVYTPVTLGPAFQMNLKSMNCNSYTAVYSFNGDETNPVIWKYGIVLKYKFSVDDAYPSSCDSCEQSGGVCGFTITGDSSSSSSSSSSSSSYRFSCNCNGDMNTTTNCHFPSWSHAVIFSSPSSWT >DRNTG_08543.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17390349:17391331:1 gene:DRNTG_08543 transcript:DRNTG_08543.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQKELQLLIQTPDLNPRFQTLTLNPSQFLTLSHLKSLLLPRSQIITLDSYFTSNGRPLPDSTPISTALLPSLTLRLRLRGGGGDGGATGAESRDCYLNMYAVKKPDKVDPNETRLSRWTTCALSSEPLAPPVVIDRLGSLFNKEPLVEALIHKKLPKAFSHIRGLKDMIPVHLSPVPGRSDSEAKFQCPITGLEFNGKYGFLAIRGCGHVLSVKAFKEVKTSACLVCHKEFADSEKIVINGTAEEVAALRERMEEERGKVGKVKERKEKKALGAFVDGAKRGCGDEIEDGRKDRDHKKFKAGIAVPANATKEVYE >DRNTG_08543.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17390231:17391331:1 gene:DRNTG_08543 transcript:DRNTG_08543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQKELQLLIQTPDLNPRFQTLTLNPSQFLTLSHLKSLLLPRSQIITLDSYFTSNGRPLPDSTPISTALLPSLTLRLRLRGGGGDGGATGAESRDCYLNMYAVKKPDKVDPNETRLSRWTTCALSSEPLAPPVVIDRLGSLFNKEPLVEALIHKKLPKAFSHIRGLKDMIPVHLSPVPGRSDSEAKFQCPITGLEFNGKYGFLAIRGCGHVLSVKAFKEVKTSACLVCHKEFADSEKIVINGTAEEVAALRERMEEERGKVGKVKERKEKKALGAFVDGAKRGCGDEIEDGRKDRDHKKFKAGIAVPANATKEVYE >DRNTG_12522.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23130007:23134295:1 gene:DRNTG_12522 transcript:DRNTG_12522.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGPSGFYNAPVTRTIVIASTMLTIAFGFRSRPLRLGLDYQGIFQNFHLWRVLSSALAFSSTPELMFGLYLLYYFRLFERQIGSNKYSVFVAFSLMLSTLLEVLALVIFKDFNLSTLASGPYGLIFASFIPFYFDVPVSTRFNMFNIHLSDKSFVYLGGLQLLLSSWKRSLVPGICGILAGSLYRLNVFAIRKIKVTTTYPLKWLMKCLFSAHFHELIQFVYLCNFTFFN >DRNTG_12522.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23130007:23136753:1 gene:DRNTG_12522 transcript:DRNTG_12522.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGPSGFYNAPVTRTIVIASTMLTIAFGFRSRPLRLGLDYQGIFQNFHLWRVLSSALAFSSTPELMFGLYLLYYFRLFERQIGSNKYSVFVAFSLMLSTLLEVLALVIFKDFNLSTLASGPYGLIFASFIPFYFDVPVSTRFNMFNIHLSDKSFVYLGGLQLLLSSWKRSLVPGICGILAGSLYRLNVFAIRKIKFPKVLASFFSRLAWSSAGNSTHTTPRRNVIRKYALYWSTSPGELFLSRPCSCPRTF >DRNTG_12522.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23136107:23136753:1 gene:DRNTG_12522 transcript:DRNTG_12522.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDRTSARQALQQARNDVNVATNILLEHQTRSQ >DRNTG_17351.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32174648:32176163:-1 gene:DRNTG_17351 transcript:DRNTG_17351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVYRGEQVRRVVADMREARYRLEGKDCYLFKISEEVVIDATNKGNIARLINHSCMPNCYARIMSVGNGQSCIVLIAKSNVSAGTELTYDYLFDPDEGECSKVPCLCKASNCRKFMN >DRNTG_04948.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3867595:3870338:1 gene:DRNTG_04948 transcript:DRNTG_04948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKSLASPLLTLESAPSCSFLFSDFSSSTPKLPLVKKNSALCTVESSWANCRKQRPLNSFQASKKWKLLAIDQAQLVVLEDEEKKTWEDCIEIISTFSFTTEEADRILKKAFGFVHSPYWGEERSQELPKVQSLNAVLDYLKTLGLSDDDLHKIIKKFPEVLGCDFDKEVKNNVSMLESEWGIKGKPLRNLLLRNPKVLGYNVDCKGDCMAKCTRCWVRF >DRNTG_12558.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20991240:20993495:-1 gene:DRNTG_12558 transcript:DRNTG_12558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSPTAIAAPHKLHQLLKYFPKSWTKPLPSKDQPSLRSAFATIQLLCSNGHLSQAFKAFSFLLHLHSPPPCLLQHPISSLLSCSTTLTALSPGLQLHARCITIGIAGNPFLISRLTSFYSSLGLLHDAILIVISSNIKRALSWNLLISAFCRRGLWREAIIAFAEMVGNGVEVDKYTYASVLSACGEILDFNCGRLVHKCIVCCGLELDLFVHNALVSMYAKCGDFVSARNVFDRMPERDVVSWNSMISGYLSKGIRAEAFELVERMRIENVEVNSITWNAVLGGNLRMGNYVEVLKMISQMRRGASVIDFVTLIIGLNACSRLRDMKSGRQIHGMLVRMGCDLFENVRNALITMYSRCDDTVHAYVSFRMDTDRSLVCWNAMVAGFAHTDQVKEACLVFQELISCGMKPNYVTMVTMLSLCACVADLQHGRELHCYIMKHRFKGGGRQLLSNCLLDMYSKSGYGMQGDGTISLKLFNRMIECGIEPDHITMVAILSACSHSGLVTQGQMLFDKMVSLHGIVPQMEHYSCMVDLFGRVGLLRKAEEIMIRMPFPPSTAMLATLIGACQAHRNIEIGKRAADKLLEMKPQDPRHYVLIASMYVSAKSLEGVVNVRTLMRDMGIWKAPSWASIELDGKLYPFLAGKKSNPNAPAIYQLLFWLMKHLKDAGYVANGEVE >DRNTG_11416.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:888655:893687:-1 gene:DRNTG_11416 transcript:DRNTG_11416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMEVVDASTARPVRRRMPEEVRLGKKALHQMIEQCQRTLELLRVADRDLGAGLTDDDDDDDDDGEQMMEKEEKDGKGVGSSESDFETEELYDLIKSKVESPDFLEKLGSIHASVLENSYDDNASWDMVSTADLWEDTYLNSENELDQDSFVLVRQEDVVEGIAGFMAAYLLSLKQTKELSPNKLQEALSKTFSVKKRKSRLLKAWDGSKVIYNVASWGATAVGIYQNPAIVKAATAAVWSSFGVISKLL >DRNTG_34360.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3053739:3054625:1 gene:DRNTG_34360 transcript:DRNTG_34360.1 gene_biotype:protein_coding transcript_biotype:protein_coding ETESLTFTVAREFPFKGLRNSTKPFFLATAKSGLVFLSARDAATTEREASGGPQVSTTLGVPTFSRTSTTLTSPRTSVVRALIGGQPGHSTVVVLGILFDQRNRYQNERN >DRNTG_34360.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3053875:3054625:1 gene:DRNTG_34360 transcript:DRNTG_34360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRIHKSKKKLTKPFFLATAKSGLVFLSARDAATTEREASGGPQVSTTLGVPTFSRTSTTLTSPRTSVVRALIGGQPGHSTVVVLGILFDQRNRYQNERN >DRNTG_08307.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000375.1:170241:171554:1 gene:DRNTG_08307 transcript:DRNTG_08307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRQSKIGEGREGESRGSRTSPFPSDEIYSTSIFQEFFAEQSCELDSVLDQFEKYALASVSDHLEESLARVLAQFDSSYHKQRHELFSLGISTLVQKFVR >DRNTG_23892.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2092544:2093026:1 gene:DRNTG_23892 transcript:DRNTG_23892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRSQTQKPSRQPAAEPPPPHSTPIPPSPPASPGARPSPPEPAPFSPSAMTANPSAKRS >DRNTG_03979.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25533391:25534431:-1 gene:DRNTG_03979 transcript:DRNTG_03979.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRSMDAVNLSVSLTSSDGLQNLATANIVTAALDMANWTKVELLLEAEGTNVNSRLQIITAQKGIIWLDQVSLMPLDTYKGHGFRAGLVSMLADLKPRFIRFPGGSYVEGEWLRNAFRWRETVGPWEERPGHFGDVWKYWTDDGLGYYEFLQVCILWF >DRNTG_01393.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28280138:28280563:-1 gene:DRNTG_01393 transcript:DRNTG_01393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQIHNVSHITISPSPAHGKDPLLLLPLSFFDAIWITLPPIQRLLLFPGATPDLHSLKSSLSTSLPLLYSLAGKLTYLPATGDIAVACSPDDHVTFIEAKSDGDFIRLASDEIHDVDSFLRLVPELDVGNILLNSMQIL >DRNTG_01457.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27762993:27767296:1 gene:DRNTG_01457 transcript:DRNTG_01457.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASDSDSSSGDDFIEACPDNAFVTTEEDPSCDEERADLDVDEKLNSTQDAPGEDSLSSKESEDASNADNTVVTDRLPAPKAVGNMLLEPEVGMLFHSEDEAFEFYNSYAKRKGFSVRKGHLAKRKDGSIRVRIFLCSNEGLRQTHRTHITRKPRVNERTNCKARIEFKVDRDYVWAVRKVNLEHNHPLASPSKSFMLRSHRKIWRSQLGVICEVENDEAGEDPHGAECHVKRMRDLEKQDMQVLLDYMMTRQSEDPSFFYAMQLDVNGQLTNFFWADGRSIVDYSYFGDVVSFDTTYRLSNFDIPFAPFLGVNHHKQPVLFGSALLLDESIESFIWLFKTFMTAMSCRQPNTFLTDHCDAISKAVEMAFPETRHLLCLWHIFQNSVKHLSEIYAKESNFEKDFKSCMYEAGSEEDFQTGWNSLMKKYDLEGISWVKDLFEDRERWALVYNQSSFLANMLTMEWRDSMSHLFRKYFNRKLPFSKFLEQYHKAVIRCREKESFEDFKSRQSKPLLLGNVSMLTQAAESYTRLIYEDFEEEFKNQFACLCEALGANRTTYSFKVSLPKDHSSGQVLFNPTDVMISCSCKKFECNGILCMHILKVLNNNNILTLPSQYILKRWTKYAKNGLVYSGHLPGIDSDGHEPLALHYNRICHKAIAVAIKSSASKDALELFENGLDQLMGEVDNLLHNAPNDGEQGRRKARPKGAHEKKRKRDAQDACKASDAVTIDNPSQSKGQIDDGTAGVMIDESSHVPPYNREDLTLPYINAIPMQPNGISGYASFPPETVISNQASFAPPQGIFDHTITSLHVGDPNKPRSTNSGSVGGHMPIMPGQSNNYTNWELQSFNIPNIVMSHRHLSQSVHSNVPSKQQALSHKLNLDINKGN >DRNTG_01457.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27764060:27767296:1 gene:DRNTG_01457 transcript:DRNTG_01457.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEPEVGMLFHSEDEAFEFYNSYAKRKGFSVRKGHLAKRKDGSIRVRIFLCSNEGLRQTHRTHITRKPRVNERTNCKARIEFKVDRDYVWAVRKVNLEHNHPLASPSKSFMLRSHRKIWRSQLGVICEVENDEAGEDPHGAECHVKRMRDLEKQDMQVLLDYMMTRQSEDPSFFYAMQLDVNGQLTNFFWADGRSIVDYSYFGDVVSFDTTYRLSNFDIPFAPFLGVNHHKQPVLFGSALLLDESIESFIWLFKTFMTAMSCRQPNTFLTDHCDAISKAVEMAFPETRHLLCLWHIFQNSVKHLSEIYAKESNFEKDFKSCMYEAGSEEDFQTGWNSLMKKYDLEGISWVKDLFEDRERWALVYNQSSFLANMLTMEWRDSMSHLFRKYFNRKLPFSKFLEQYHKAVIRCREKESFEDFKSRQSKPLLLGNVSMLTQAAESYTRLIYEDFEEEFKNQFACLCEALGANRTTYSFKVSLPKDHSSGQVLFNPTDVMISCSCKKFECNGILCMHILKVLNNNNILTLPSQYILKRWTKYAKNGLVYSGHLPGIDSDGHEPLALHYNRICHKAIAVAIKSSASKDALELFENGLDQLMGEVDNLLHNAPNDGEQGRRKARPKGAHEKKRKRDAQDACKASDAVTIDNPSQSKGQIDDGTAGVMIDESSHVPPYNREDLTLPYINAIPMQPNGISGYASFPPETVISNQASFAPPQGIFDHTITSLHVGDPNKPRSTNSGSVGGHMPIMPGQSNNYTNWELQSFNIPNIVMSHRHLSQSVHSNVPSKQQALSHKLNLDINKGN >DRNTG_06122.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000281.1:14304:14946:1 gene:DRNTG_06122 transcript:DRNTG_06122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKEEISDRKVAIWCFQCLMMDRMMTRARDVDEQLSSIADQLARHDSVFSKIDSLCTIVQPLGVI >DRNTG_35070.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19653537:19659232:-1 gene:DRNTG_35070 transcript:DRNTG_35070.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTSTSFLLLFVFIFFANNIACFAARRLLEDSVPKLSNIPSFPKPNLPPLPKVPTLPKPNLPKLEIPTLPKPKLPPVPELPKVEVPTLPKPKLPSTPEFPTLPKPVLPPKLEEPVSPKPVVPQKSEESTLNKPTLPPKPEKPILPKSEEPTSPPKLEEPSLPKPTLPQKPEEPTLPKPTLPPKAEEPITFPSKPNEPTLPKPQLPPKAEEPIFPKPVKTSEPEKPTLHKPEQQDLPKPTLPPKLEVPTLPKPTLPPKPELPSLPKPIFPPKPEVPTLPKPTLPPKLEIPTLPKPTLPSKPEIPILPEPKLPEIPTQPKHEIPTLPFTMPPSPKPNHD >DRNTG_35070.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19653537:19659232:-1 gene:DRNTG_35070 transcript:DRNTG_35070.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTSTSFLLLFVFIFFANNIACFAARRLLEDSVPKLSNIPSFPKPNLPPLPKVPTLPKPNLPKLEIPTLPKPRLQPLPELPTLPKPTLPKLEVPTLPKPKLPKLPKPEMPILPKPELPLVPEVPKLEVPTLPKPKLPPVPELPKVEVPTLPKPKLPSTPEFPTLPKPVLPPKLEEPVSPKPVVPQKSEESTLNKPTLPPKPEKPILPKSEEPTSPPKLEEPSLPKPTLPQKPEEPTLPKPTLPPKAEEPITFPSKPNEPTLPKPQLPPKAEEPIFPKPVKTSEPEKPTLHKPEQQDLPKPTLPPKLEVPTLPKPTLPPKLEIPTLPKPTLPSKPEIPILPEPKLPEIPTQPKA >DRNTG_35070.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19657769:19659232:-1 gene:DRNTG_35070 transcript:DRNTG_35070.9 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTSTSFLLLFVFIFFANNIACFAARRLLEDSVPKLSNIPSFPKPNLPPLPKVPTLPKPNLPKLEIPTLPKPRLQPLPELPTLPKPTLPKLEVPTLPKPKLPKLPKPEMPILPKPELPLVPEVPKLEVPTLPKPKLPPVPELPKVEVPTLPKPKLPSTPEFPTLPKPVLPPKLEEPVSPKPVVPQKSEESTLNKPTLPPKPEKPILPKSEEPTSPPKLEEPSLPKPTLPQKPEEPTLPKPTLPPKAEEPITFPSKPNEPTLPKPQLPPKAEEPIFPKPVKTSEPEKPTLHKPEQQDLPKPTLPPKLEVPTLPKPTLPPKLEIPTLPKPTLPSKPEIPILPEPKLPEIPTQPKHEIPTLPFTMPPSPKPNHD >DRNTG_35070.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19653537:19654869:-1 gene:DRNTG_35070 transcript:DRNTG_35070.10 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTSTSFLLLFVFIFFANNIACFAARRLLEDSVPNLSNIPSFPKPNLPPLPEVPTLPKPTLLPKPEIPTLPKLELPELPKPKLPPVPELPKPEVPILPKPKLPPVPELPKVEVPTLPKPKLPPVPELPKPEVPILPNPKLPTVPELPKVEVPTLPKPKLPSTPELPTLPKPVLPPKMEEPTSQKPVLPQKSGESILNKPTLPPKPEKPILQKPEEPTSPPKLEEPSSPKPTLSPKIEEPTLPKPTFPSKPNEPTLPKPQLPPKAEEPIFPKPVKTSEPEEPTLQKPEQPNLPKPTLPPKLEVPTLPKPTLPLKPELPPLPKPTFPPKLEVPTLPKPTLPPKPEIPTLPKPTLPSKPEIPSLPEPKLPEIPTQPKA >DRNTG_35070.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19653537:19654869:-1 gene:DRNTG_35070 transcript:DRNTG_35070.11 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTSTSFLLLFVFIFFANNIACFAARRLLEDSVPNLSNIPSFPKPNLPPLPEVPTLPKPTLLPKPEIPTLPKLELPELPKPKLPPVPELPKPEVPILPNPKLPTVPELPKVEVPTLPKPKLPSTPELPTLPKPVLPPKMEEPTSQKPVLPQKSGESILNKPTLPPKPEKPILQKPEEPTSPPKLEEPSSPKPTLSPKIEEPTLPKPTFPSKPNEPTLPKPQLPPKAEEPIFPKPVKTSEPEEPTLQKPEQPNLPKPTLPPKLEVPTLPKPTLPLKPELPPLPKPTFPPKLEVPTLPKPTLPPKPEIPTLPKPTLPSKPEIPSLPEPKLPEIPTQPKA >DRNTG_35070.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19653537:19659232:-1 gene:DRNTG_35070 transcript:DRNTG_35070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTSTSFLLLFVFIFFANNIACFAARRLLEDSVPKLSNIPSFPKPNLPPLPKVPTLPKPNLPKLEIPTLPKPRLQPLPELPTLPKPTLPKLEVPTLPKPKLPKLPKPEMPILPKPELPLVPEVPKLEVPTLPKPKLPPVPELPKVEVPTLPKPKLPSTPEFPTLPKPVLPPKLEEPVSPKPVVPQKSEESTLNKPTLPPKPEKPILPKSEEPTSPPKLEEPSLPKPTLPQKPEEPTLPKPTLPPKAEEPITFPSKPNEPTLPKPQLPPKAEEPIFPKPVKTSEPEKPTLHKPEQQDLPKPTLPPKLEVPTLPKPTLPPKPEIPTLPKPTLPSKPEIPSLPEPKLPEIPTQPKA >DRNTG_35070.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19653493:19659232:-1 gene:DRNTG_35070 transcript:DRNTG_35070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTSTSFLLLFVFIFFANNIACFAARRLLEDSVPKLSNIPSFPKPNLPPLPKVPTLPKPNLPKLEIPTLPKPRLQPLPELPTLPKPTLPKLEVPTLPKPKLPKLPKPEMPILPKPELPLVPEVPKLEVPTLPKPKLPPVPELPKVEVPTLPKPKLPSTPEFPTLPKPVLPPKLEEPVSPKPVVPQKSEESTLNKPTLPPKPEKPILPKSEEPTSPPKLEEPSLPKPTLPQKPEEPTLPKPTLPPKAEEPITFPSKPNEPTLPKPQLPPKAEEPIFPKPVKTSEPEKPTLHKPEQQDLPKPTLPPKLEVPTLPKPTLPPKPELPSLPKPIFPPKPEVPTLPKPTLPPKPEIPTLPKPTLPSKPEIPSLPEPKLPEIPTQPKA >DRNTG_35070.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19653537:19659232:-1 gene:DRNTG_35070 transcript:DRNTG_35070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTSTSFLLLFVFIFFANNIACFAARRLLEDSVPNLSNIPSFPKPNLPPLPEVPTLPKPTLLPKPEIPTLPKLELPELPKPKLPPVPELPKPEVPILPKPKLPPVPELPKVEVPTLPKPKLPPVPELPKPEVPILPNPKLPTVPELPKVEVPTLPKPKLPSTPELPTLPKPVLPPKMEEPTSQKPVLPQKSGESILNKPTLPPKPEKPILQKPEEPTSPPKLEEPSSPKPTLSPKIEEPTLPKPTFPSKPNEPTLPKPQLPPKAEEPIFPKPVKTSEPEEPTLQKPEQPNLPKPTLPPKLEVPTLPKPTLPLKPELPPLPKPTFPPKLEVPTLPKPTLPPKPEIPTLPKPTLPSKPEIPSLPEPKLPEIPTQPKA >DRNTG_35070.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19653537:19659232:-1 gene:DRNTG_35070 transcript:DRNTG_35070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTSTSFLLLFVFIFFANNIACFAARRLLEDSVPKLSNIPSFPKPNLPPLPKVPTLPKPNLPKLEIPTLPKPRLQPLPELPTLPKPTLPKLEVPTLPKPKLPKLPKPEMPILPKPELPLVPEVPKLEVPTLPKPKLPPVPELPKVEVPTLPKPKLPSTPEFPTLPKPVLPPKLEEPVSPKPVVPQKSEESTLNKPTLPPKPEKPILPKSEEPTSPPKLEEPSLPKPTLPQKPEEPTLPKPTLPPKAEEPITFPSKPNEPTLPKPQLPPKAEEPIFPKPVKTSEPEKPTLHKPEQQDLPKPTLPPKLEVPTLPKPTLPPKPELPSLPKPIFPPKPEVPTLPKPTLPPKLEIPTLPKPTLPSKPEIPILPEPKLPEIPTQPKHEIPTLPFTMPPSPKPNHD >DRNTG_35070.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19653537:19659232:-1 gene:DRNTG_35070 transcript:DRNTG_35070.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTSTSFLLLFVFIFFANNIACFAARRLLEDSVPNLSNIPSFPKPNLPPLPEVPTLPKPTLLPKPEIPTLPKLELPELPKPKLPPVPELPKPEVPILPNPKLPTVPELPKVEVPTLPKPKLPSTPELPTLPKPVLPPKMEEPTSQKPVLPQKSGESILNKPTLPPKPEKPILQKPEEPTSPPKLEEPSSPKPTLSPKIEEPTLPKPTFPSKPNEPTLPKPQLPPKAEEPIFPKPVKTSEPEEPTLQKPEQPNLPKPTLPPKLEVPTLPKPTLPLKPELPPLPKPTFPPKLEVPTLPKPTLPPKPEIPTLPKPTLPSKPEIPSLPEPKLPEIPTQPKA >DRNTG_35070.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19657769:19659232:-1 gene:DRNTG_35070 transcript:DRNTG_35070.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTSTSFLLLFVFIFFANNIACFAARRLLEDSVPKLSNIPSFPKPNLPPLPKVPTLPKPNLPKLEIPTLPKPRLQPLPELPTLPKPTLPKLEVPTLPKPKLPKLPKPEMPILPKPELPLVPEVPKLEVPTLPKPKLPPVPELPKVEVPTLPKPKLPSTPEFPTLPKPVLPPKLEEPVSPKPVVPQKSEESTLNKPTLPPKPEKPILPKSEEPTSPPKLEEPSLPKPTLPQKPEEPTLPKPTLPPKAEEPITFPSKPNEPTLPKPQLPPKAEEPIFPKPVKTSEPEKPTLHKPEQQDLPKPTLPPKLEVPTLPKPTLPPKPELPSLPKPIFPPKPEVPTLPKPTLPPKLEIPTLPKPTLPSKPEIPILPEPKLPEIPTQPKHEIPTLPFTMPPSPKPNHD >DRNTG_22327.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:531453:535301:1 gene:DRNTG_22327 transcript:DRNTG_22327.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVLDQTVAKSPEGLRVAGDDGGSGVAALLEKFVTDYDGTVVVELESSCSLAYTVKKESPLLTRLFAVLDNIFCLFEGEFENVGLLKQQYGLNKTANEVVIVIEAYRTLRDRGAYPADQVVRDFHGKFAFVLYDNTNKSTFIAADPDGGIPFFWGCDPEGHLILSDNVDFLRLGCGKSFAPFPKGCFFSTKGGLQSYEHPLNELKPVPRVDSKGEVLGVTYKVDAQTKKGSSMPRVGSAADWSSQY >DRNTG_19768.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001055.1:18026:20727:-1 gene:DRNTG_19768 transcript:DRNTG_19768.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLLAELEHLLRSEGMTREEAMFFKECKDRAIKDFTVGACASSAIAWIASRSLVPWHRFSLSAGSGMLAGMWRFNHSLDACVDKILGRDWDRMKLGLAGIIMKRHMYNPSRVKLLSKHMYPEEVFNDLNPDRAFTLWRFRNMYAEGNDVQKFQYSKVENYKNSTAKEQPKQIISSQGSEMIADPLDCIFGDLESGKEISHSNNVSKRSRRRLRGHKRAHRHYHHHHREANQM >DRNTG_27516.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21035432:21037135:1 gene:DRNTG_27516 transcript:DRNTG_27516.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVSPIGRNCSQEERDDFEKYDKVHNVRQKMVSVLREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLNDFQEIHFFGDKTYKGGNDFEIYESERTVGHTVTSPKDTAEQCSSLFLKK >DRNTG_27516.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21035432:21037135:1 gene:DRNTG_27516 transcript:DRNTG_27516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVSPIGRNCSQEERDDFEKYDKVHNVRQKMVSVLREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLNDFQEIHFFGDKTYKGGNDFEIYESERTVGHTVTSPKDTAEQCSSLFLKK >DRNTG_05865.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31451896:31459584:1 gene:DRNTG_05865 transcript:DRNTG_05865.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISQRKTQALAPEHLENIWTKGRNYIKKETINQAAKAKQNTVLGISDASHYPTVTSNYLIQDKTAKVHVPSQSCYSFQAKGSYLEEHLHVHPNGSGSFTSEVSFHEETDNFNQEEFEVNSDSSYATDDDESNIVTGLGSPGTKVWDSKNKKNASVSRIRHPLETPEIHLSKKSVKNHVRHPRTSRTLSGRRRSRLSNQKLPMWQEVERISFLSGDGQDILNSSSKDVKAEDLIDHYHMESWSRIGSDRAAASSSSIPSISTSEFCDSSLASSETSVLADSFLKLRCEVLGANIVKSGSGTFAVYSIAVTDANNNCWSIKRRFRHFEELHRRLKEFSEYNLSLPPKHFLSSGLEVPLIQERCKLLDQYLKKLLQLPTISGSIEVWDFLSVDSQTYMFSDSLSIIQTLSGEIVFNF >DRNTG_05865.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31458668:31459675:1 gene:DRNTG_05865 transcript:DRNTG_05865.6 gene_biotype:protein_coding transcript_biotype:protein_coding LLISLCNFHFAFHLCNTVNCTLTDKAPAALVSLVGRKEYERCAQDIYFFLQSSVCMKQLAFELLELLLLATFPELDDVIRRFHEEKEQFGGVEENR >DRNTG_05865.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31451896:31459584:1 gene:DRNTG_05865 transcript:DRNTG_05865.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFQITYQLVLIILKGKNAAARKSGGEWAQALDMISQRKTQALAPEHLENIWTKGRNYIKKETINQAAKAKQNTVLGISDASHYPTVTSNYLIQDKTAKVHVPSQSCYSFQAKGSYLEEHLHVHPNGSGSFTSEVSFHEETDNFNQEEFEVNSDSSYATDDDESNIVTGLGSPGTKVWDSKNKKNASVSRIRHPLETPEIHLSKKSVKNHVRHPRTSRTLSGRRRSRLSNQKLPMWQEVERISFLSGDGQDILNSSSKDVKAEDLIDHYHMESWSRIGSDRAAASSSSIPSISTSEFCDSSLASSETSVLADSFLKLRCEVLGANIVKSGSGTFAVYSIAVTDANNNCWSIKRRFRHFEELHRRLKEFSEYNLSLPPKHFLSSGLEVPLIQERCKLLDQYLKKLLQLPTISGSIEVWDFLSVDSQTYMFSDSLSIIQTLSVHLEDKMHEKTSKDHNSKNDLNGQIFSTVETLTSGSEDYKGQKNQGYFESDNLRLRKKTLDQTMVMHIRKEGKDANQDYSGSDSENRFENFASSTQKSVKNSTDTVSRADDLPYASQIVEVSEESIIPTEWVPPNLSVPILDLVDVIFQLQDGGWIRRQAFWVAKQLLQLGMGDAFDDWLIQKIQLLRRGSVIASAIKRLEQILWPDGIFLTKHPNRKPRPPVPSPKSGSDQRETKNLLTEEQQLEAARRAKFVHELIIDKAPAALVSLVGRKEYERCAQDIYFFLQSSVCMKQLAFELLELLLLATFPELDDVIRRFHEEKEQFGGVEENR >DRNTG_05865.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31458668:31459584:1 gene:DRNTG_05865 transcript:DRNTG_05865.7 gene_biotype:protein_coding transcript_biotype:protein_coding LLISLCNFHFAFHLCNTVNCTLTDKAPAALVSLVGRKEYERCAQDIYFFLQSSVCMKQLAFELLELLLLATFPELDDVIRRFHEEKEQFGGVEENR >DRNTG_05865.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31456072:31459584:1 gene:DRNTG_05865 transcript:DRNTG_05865.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDSLSIIQTLSVHLEDKMHEKTSKDHNSKNDLNGQIFSTVETLTSGSEDYKGQKNQGYFESDNLRLRKKTLDQTMVMHIRKEGKDANQDYSGSDSENRFENFASSTQKSVKNSTDTVSRADDLPYASQIVEVSEESIIPTEWVPPNLSVPILDLVDVIFQLQDGGWIRRQAFWVAKQLLQLGMGDAFDDWLIQKIQLLRRGSVIASAIKRLEQILWPDGIFLTKHPNRKPRPPVPSPKSGSDQRETKNLLTEEQQLEAARRAKFVHELIIDKAPAALVSLVGRKEYERCAQDIYFFLQSSVCMKQLAFELLELLLLATFPELDDVIRRFHEEKEQFGGVEENR >DRNTG_05865.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31451896:31459584:1 gene:DRNTG_05865 transcript:DRNTG_05865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRPTLRDLGEEAKKRVVLLLICVFGLSYLMSLTSSSVWVNLPAAAAIILFFRYISLDFDLRRRSSVSDKQPLDNQSSRKKSIDLSKLPLERPNWRAKVNSPAVEAALEQLTRHLVSEWVTDLWYCRITPDKDAPEELVQIINGVLGEISSRARDVNLIYLLTRDIINLFCNHLELYRSSQAKIEKPELKKLSIDYRDIQMRLILADDGKLHPALFSAEAEHKVLQQLMEGLISLTFKPEDLQCSFFRYTVRELLACAVIRPVLNLACPRVINEKIEALVLSVTAKKADKGAKPSAEEVHAVKPNGSSKAVPAQFSGFQDRSTIGVELVQFKQENLRFASDERVSNNISTGANYTDQKGKNAAARKSGGEWAQALDMISQRKTQALAPEHLENIWTKGRNYIKKETINQAAKAKQNTVLGISDASHYPTVTSNYLIQDKTAKVHVPSQSCYSFQAKGSYLEEHLHVHPNGSGSFTSEVSFHEETDNFNQEEFEVNSDSSYATDDDESNIVTGLGSPGTKVWDSKNKKNASVSRIRHPLETPEIHLSKKSVKNHVRHPRTSRTLSGRRRSRLSNQKLPMWQEVERISFLSGDGQDILNSSSKDVKAEDLIDHYHMESWSRIGSDRAAASSSSIPSISTSEFCDSSLASSETSVLADSFLKLRCEVLGANIVKSGSGTFAVYSIAVTDANNNCWSIKRRFRHFEELHRRLKEFSEYNLSLPPKHFLSSGLEVPLIQERCKLLDQYLKKLLQLPTISGSIEVWDFLSVDSQTYMFSDSLSIIQTLSVHLEDKMHEKTSKDHNSKNDLNGQIFSTVETLTSGSEDYKGQKNQGYFESDNLRLRKKTLDQTMVMHIRKEGKDANQDYSGSDSENRFENFASSTQKSVKNSTDTVSRADDLPYASQIVEVSEESIIPTEWVPPNLSVPILDLVDVIFQLQDGGWIRRQAFWVAKQLLQLGMGDAFDDWLIQKIQLLRRGSVIASAIKRLEQILWPDGIFLTKHPNRKPRPPVPSPKSGSDQRETKNLLTEEQQLEAARRAKFVHELIIDKAPAALVSLVGRKEYERCAQDIYFFLQSSVCMKQLAFELLELLLLATFPELDDVIRRFHEEKEQFGGVEENR >DRNTG_05865.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31453319:31459584:1 gene:DRNTG_05865 transcript:DRNTG_05865.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLILADDGKLHPALFSAEAEHKVLQQLMEGLISLTFKPEDLQCSFFRYTVRELLACAVIRPVLNLACPRVINEKIEALVLSVTAKKADKGAKPSAEEVHAVKPNGSSKAVPAQFSGFQDRSTIGVELVQFKQENLRFASDERVSNNISTGANYTDQKGKNAAARKSGGEWAQALDMISQRKTQALAPEHLENIWTKGRNYIKKETINQAAKAKQNTVLGISDASHYPTVTSNYLIQDKTAKVHVPSQSCYSFQAKGSYLEEHLHVHPNGSGSFTSEVSFHEETDNFNQEEFEVNSDSSYATDDDESNIVTGLGSPGTKVWDSKNKKNASVSRIRHPLETPEIHLSKKSVKNHVRHPRTSRTLSGRRRSRLSNQKLPMWQEVERISFLSGDGQDILNSSSKDVKAEDLIDHYHMESWSRIGSDRAAASSSSIPSISTSEFCDSSLASSETSVLADSFLKLRCEVLGANIVKSGSGTFAVYSIAVTDANNNCWSIKRRFRHFEELHRRLKEFSEYNLSLPPKHFLSSGLEVPLIQERCKLLDQYLKKLLQLPTISGSIEVWDFLSVDSQVSVLSCLIATTTIIIILFICFYF >DRNTG_22760.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4072901:4073677:-1 gene:DRNTG_22760 transcript:DRNTG_22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQASTSFFSPATLTGTTIPFKPLKPLTFPQSNRLSIKLCATDEKTAPVGFTPPELNPNTPSPIFGGSTGGLLRKAQVEEFYAITWESPKEQIFEMPTGGAAIMRQGPNLLKLARKEQCLALGTRLRSKYKINYQFYRVFPNGEVQYLHPKDGVYPEKVNPGRQGVGQNFRSIGKNVNPIEVKFTGKQVYDL >DRNTG_04501.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000218.1:24909:25213:-1 gene:DRNTG_04501 transcript:DRNTG_04501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKKPRVEVTEGHNSKASPRLIDSGIFGKIPPELYHHILKFLSSEDLIACFHVR >DRNTG_03085.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:412276:416795:-1 gene:DRNTG_03085 transcript:DRNTG_03085.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 51 [Source:Projected from Arabidopsis thaliana (AT3G18600) UniProtKB/Swiss-Prot;Acc:Q9LIH9] MCPTAMESGKKKKTRSRKRKSNSSCQIEEEQPPKQQDEEQQQEEKEQHESEKDQKVSEDEEANGSGEDRDSTDVNGKEEPAAKKQKVTPRKVSSIMSSEPFTILPISELTMNAVKEMGFVNMTQIQAKAIPPLLEGKDVMGAARTGSGKTLAFLIPAVELLYNVKFSPRNGTGVIIICPTRELAIQTHAVAKDLLKHHSQSVGLVMGGAPRKTEAERLGKGVNLLVATPGRLLDHLQNTKGFIYKNLQCLMIDEADRILEQNFEDDMKQIFKRLPENRQTALFSATQTKEVEDFARLSFQKKPVYIDVDDGRSRVTVEGLQQGYCVIPSDKRFLVLYAFLKKHMSEKVMVFFSSCNSVKFHSELLKYIQIECFDIHGKQKQEKRTNTFFDFCKANKGILLCTDVAARGLDIPAVDWIVQYDPPDEPKEYIHRVGRTARGEGGKGTGIVVPIA >DRNTG_10498.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6134264:6139843:-1 gene:DRNTG_10498 transcript:DRNTG_10498.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSEDAAIHYEAVGVIGNLVHSSPSIKKEVLLAGALQPVIGLLSSCCSESQREAALLLGQFAATDSDCK >DRNTG_10498.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6133941:6139843:-1 gene:DRNTG_10498 transcript:DRNTG_10498.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSEDAAIHYEAVGVIGNLVHSSPSIKKEVLLAGALQPVIGLLSSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVRPLIEMLQSPDIQLKEMSAFALGRLAQVRFASYHSYFRKFGDSYRRR >DRNTG_19867.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10236313:10239717:1 gene:DRNTG_19867 transcript:DRNTG_19867.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML48 [Source:Projected from Arabidopsis thaliana (AT2G27480) UniProtKB/Swiss-Prot;Acc:Q9ZQH1] MSSYDGYPSHSYNPSAPPLPPGSSAASAPPPPPPSALAQPPMHPSPTAFPYQPPPPPFSHQHPPPPAPYGYAPSPSFHGGGGSGGFGYFPPGTHPEIIRSFQAVDQDGSGSIDEAELQAALSAGYQKFSSRTVRLLMFLFKNPKSPSKIGPAEFASLWSCLGEWRAIFERFDRDRSGKIDSSELRDALLSIGYAVPPSVLQVLVSKYNDGKTGKGALSFDSFVECGMVVKGLTEKFKEKDPRYTGSATLSYEEFMKMIIPFLVA >DRNTG_02660.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13485934:13487120:-1 gene:DRNTG_02660 transcript:DRNTG_02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTSTAISIPEASGTNTEAKALVPPPAQTSAPVVTKRESRFGSIFRKTRAAGGWKRGLACIDFILRLFAITATIIAAIMMGTTDETLPFFTHYYQFEAKYDDFPALTFFVIGNAIAGGYLVLSLVFALISLVRPHAVGPRLLLLIFDTVVLGLTTAAAAAAAAIVSVAHDGNQRANWVAICLRFDGFCQRISGAIVASFVAVLILMLLILMSGLAMRKH >DRNTG_10311.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27649907:27652254:-1 gene:DRNTG_10311 transcript:DRNTG_10311.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSCLMRRSISRELLFERLRLFGERDKEQDFWLVIEPKFLDKFPNITKRLNRPAVALVSTNGPWITFMKLRLDRVLADNFDANSLEEALDFNPVDLKFDKPEKWVAPYPKYEFGWWEPFLPPVNSKTSS >DRNTG_32892.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1047311:1049063:-1 gene:DRNTG_32892 transcript:DRNTG_32892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYFCCSCGESRTNQSFNKSTSHPWEAYNLKELLHATNNFHDENKLGEGGFGTVYWGRNNKGVEIAVKRLKSMTAKAEMEFAVEVEILGRVRHKNLLALRGFYAGGDERLIVYDYMPNHSLVSHLHGKLASEVKLDWNRRMSVAIGAAEGLLYLHSEVSPHIIHRDIKASNVLLDSDFNAKVADFGFAKLVPDGMTHVTTKVKGTLGYLAPEYAMWGKASNSCDVYSFGILLLELVTGRKPIEKLPGGIKRDIVHWVTPMIENGRWSNIIDPRLGGKYDPMQLRNAVVLAIRCTNGDSESRPTMMEVVEFLRGGMKDVRKKEVVVMKNGLDDHEDYEDDYDIGVSQTPDQQCAKSSYKLSR >DRNTG_23268.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001262.1:1011:3080:1 gene:DRNTG_23268 transcript:DRNTG_23268.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQENKVLMALEALKKASKDIENNPNSGADDAGGDSPSMKALLELETGSEDLLSGDPNLSTLSHLLSHLKALASSLRSQSPSHGSGTGGGLLRLLLRRRFTGHGEISRVAGSIGSEIQSWIDREYADNLIAALRSQSDDEKIDLLSAMETRVSRGFDQGLQDVLLKSDVYTAVEAVLTDKAAAKPVQERAAALVLALVRFNKAVFVGPVLMGVAVGCIVSIASGSATTLRSLCGLITHIKSPLIDVLHSDGKIPKLISLLTSSDPEMRAAAFECCLDIGYFGRKEAIEAMMGDGLVKILMELQRSGEIASSVARFAIQMEVGEGLRQREKRALKLEVVRRVKEDAMSDAEAATVLTEVLWGSTP >DRNTG_23268.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001262.1:1011:3152:1 gene:DRNTG_23268 transcript:DRNTG_23268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQENKVLMALEALKKASKDIENNPNSGADDAGGDSPSMKALLELETGSEDLLSGDPNLSTLSHLLSHLKALASSLRSQSPSHGSGTGGGLLRLLLRRRFTGHGEISRVAGSIGSEIQSWIDREYADNLIAALRSQSDDEKIDLLSAMETRVSRGFDQGLQDVLLKSDVYTAVEAVLTDKAAAKPVQERAAALVLALVRFNKAVFVGPVLMGVAVGCIVSIASGSATTLRSLCGLITHIKSPLIDVLHSDGKIPKLISLLTSSDPEMRAAAFECCLDIGYFGRKEAIEAMMGDGLVKILMELQRSGEIASSVARFAIQMEVGEGLRQREKRALKLEVVRRVKEDAMSDAEAATVLTEVLWGSTP >DRNTG_23268.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001262.1:1781:3080:1 gene:DRNTG_23268 transcript:DRNTG_23268.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQENKVLMALEALKKASKDIENNPNSGADDAGGDSPSMKALLELETGSEDLLSGDPNLSTLSHLLSHLKALASSLRSQSPSHGSGTGGGLLRLLLRRRFTGHGEISRVAGSIGSEIQSWIDREYADNLIAALRSQSDDEKIDLLSAMETRVSRGFDQGLQDVLLKSDVYTAVEAVLTDKAAAKPVQERAAALVLALVRFNKAVFVGPVLMGVAVGCIVSIASGSATTLRSLCGLITHIKSPLIDVLHSDGKIPKLISLLTSSDPEMRAAAFECCLDIGYFGRKEAIEAMMGDGLVKILMELQRSGEIASSVARFAIQMEVGEGLRQREKRALKLEVVRRVKEDAMSDAEAATVLTEVLWGSTP >DRNTG_23268.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001262.1:1633:3080:1 gene:DRNTG_23268 transcript:DRNTG_23268.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQENKVLMALEALKKASKDIENNPNSGADDAGGDSPSMKALLELETGSEDLLSGDPNLSTLSHLLSHLKALASSLRSQSPSHGSGTGGGLLRLLLRRRFTGHGEISRVAGSIGSEIQSWIDREYADNLIAALRSQSDDEKIDLLSAMETRVSRGFDQGLQDVLLKSDVYTAVEAVLTDKAAAKPVQERAAALVLALVRFNKAVFVGPVLMGVAVGCIVSIASGSATTLRSLCGLITHIKSPLIDVLHSDGKIPKLISLLTSSDPEMRAAAFECCLDIGYFGRKEAIEAMMGDGLVKILMELQRSGEIASSVARFAIQMEVGEGLRQREKRALKLEVVRRVKEDAMSDAEAATVLTEVLWGSTP >DRNTG_23268.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001262.1:1518:3265:1 gene:DRNTG_23268 transcript:DRNTG_23268.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQENKVLMALEALKKASKDIENNPNSGADDAGGDSPSMKALLELETGSEDLLSGDPNLSTLSHLLSHLKALASSLRSQSPSHGSGTGGGLLRLLLRRRFTGHGEISRVAGSIGSEIQSWIDREYADNLIAALRSQSDDEKIDLLSAMETRVSRGFDQGLQDVLLKSDVYTAVEAVLTDKAAAKPVQERAAALVLALVRFNKAVFVGPVLMGVAVGCIVSIASGSATTLRSLCGLITHIKSPLIDVLHSDGKIPKLISLLTSSDPEMRAAAFECCLDIGYFGRKEAIEAMMGDGLVKILMELQRSGEIASSVARFAIQMEVGEGLRQREKRALKLEVVRRVKEDAMSDAEAATVLTEVLWGSTP >DRNTG_07245.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9376124:9392965:-1 gene:DRNTG_07245 transcript:DRNTG_07245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASRLLRFRSRAQSGILNRHLPCFSSSFGGFGHSGASLHTESEDGRGFKGHGMLAPFTAGWQISDLHPLVIERSEGSYVFDTNGKKYLDSLAGLWCTALGGSEPQLVAAATSQLNKLPFYHSFWNRTTKPSLDLAKELLEMFTARKMGKVFFTNSGSEANDSQVKLVWYYNNALGRPNKKKFIARTKAYHGSTLISASLSGLSPLHQKFDLPAPFVLHTDCPHYWRYQLPGETEEEFSSRLATNLENLILKEGPDTIAAFIAEPIMGAGGVIPPPKYYFEKVQAVLKKYDILFIADEVITAFGRLGTMFGCDKYNIQPDLVSLAKALSSAYMPIGAILVSPEIADVIHSQSNKLGSFSHGFTYSGHPVSCAVALEALKIYKERNIAECVRNLTPHFQEGIKAFAGSPIIGEIRGAGLILGTEFIDNKSPNDPFPSEWGVGAIFGAECEKRGMLVRVAGDGIFMSPPLTISPQELDELIGIYGEALKSTEEKVEDLKSKRKQ >DRNTG_19528.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:123879:125063:-1 gene:DRNTG_19528 transcript:DRNTG_19528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPVSLDGEAIRNEKVKVLRSIRKLGMDDVVLGQHKGGPIENTAIHKDDLTPTFFAAALYIDNARWDGVPFLIKAGLGLIKHRVEIRIQFHHVPGNIYRERIRHDTDLATNELILRDLPDETILLKVNNKVPGLGVQLDASELNLLYNDKYNVEIPDSYEHLILDVIDGDNHLFMRSDELAAAWNILTPILYQIDENKIVPELYQFGGRGPVGAYYLGAKHGVRWADD >DRNTG_19528.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:123879:125063:-1 gene:DRNTG_19528 transcript:DRNTG_19528.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTICDFVKVKVLRSIRKLGMDDVVLGQHKGGPIENTAIHKDDLTPTFFAAALYIDNARWDGVPFLIKAGLGLIKHRVEIRIQFHHVPGNIYRERIRHDTDLATNELILRDLPDETILLKVNNKVPGLGVQLDASELNLLYNDKYNVEIPDSYEHLILDVIDGDNHLFMRSDELAAAWNILTPILYQIDENKIVPELYQFGGRGPVGAYYLGAKHGVRWADD >DRNTG_04247.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:15781923:15801338:1 gene:DRNTG_04247 transcript:DRNTG_04247.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSHCRGRGHYCGLGGAGGSLSHVECSLRSLKEEGSKHSMMVDRVEGVMASAFTSPEDFDQSWSDSDGDFESRLPSTITYTRTPLGIKQRKEKEDVGAVTVVGSGSGHRCRFQWWPRKKRGALGLDKINRVRLMNRNHKPMIMYLIETGVDCDHVFRFCSRFSRGWNWAAIVANGYSGVNNSLMDIGFIGPARRWTRRLLWANGSNGSGMPMISWNNTTLDAPESVTFNLLVPSVRLVAVDSTRAPPAYNCFLPHVTSAGLSLPRDVPTKAHATISSKIAAEDLPIFFPNLAQVWSSQMIYV >DRNTG_17998.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24176091:24176846:1 gene:DRNTG_17998 transcript:DRNTG_17998.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVERLENSRQKLLMEIDSQSSEIERLFEENSNMATSYQDAMSAAMQWEKSGYTTWHAGIA >DRNTG_20060.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26309608:26313639:1 gene:DRNTG_20060 transcript:DRNTG_20060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQLELKPMSSELDTEAVDQHSKSNAEPLPQQRIGRNVSSLDKRKFLVNTLLDLKDSKEAVYGTLDAWVAWEQEFPLAMIKRALLVLEKEEQWHRVVQVLKWMLSKGQGTTMGTYEQLIRALEKDGRPEEAHNIWVKKVSHDLHSVPWRVCDLMISIYYRNNMLERLVKVRSLCSHQQLCLLLIIINCGIFFIFLCLRQ >DRNTG_20060.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26310819:26313639:1 gene:DRNTG_20060 transcript:DRNTG_20060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRALLVLEKEEQWHRVVQVLKWMLSKGQGTTMGTYEQLIRALEKDGRPEEAHNIWVKKVSHDLHSVPWRVCDLMISIYYRNNMLERLVKVRSLCSHQQLCLLLIIINCGIFFIFLCLRQ >DRNTG_20060.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26308921:26313639:1 gene:DRNTG_20060 transcript:DRNTG_20060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAGQISHFTTRYSDVHHRHSGYGGLLQDRMKNQLELKPMSSELDTEAVDQHSKSNAEPLPQQRIGRNVSSLDKRKFLVNTLLDLKDSKEAVYGTLDAWVAWEQEFPLAMIKRALLVLEKEEQWHRVVQVLKWMLSKGQGTTMGTYEQLIRALEKDGRPEEAHNIWVKKVSHDLHSVPWRVCDLMISIYYRNNMLERLVKLFKGLEEFDRKPPRKSIVRKVADAFEMLGLSEEKNRVLEKYSHLFNETSEGHFKKSRKALRKKDEQLSKKKQVESIEPSHNQPVDSGPSDTEIDVPV >DRNTG_20060.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26308921:26313639:1 gene:DRNTG_20060 transcript:DRNTG_20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVVNSLRQTMALIQLTSKLNPVKDSWNSNFRLELDAGQISHFTTRYSDVHHRHSGYGGLLQDRMKNQLELKPMSSELDTEAVDQHSKSNAEPLPQQRIGRNVSSLDKRKFLVNTLLDLKDSKEAVYGTLDAWVAWEQEFPLAMIKRALLVLEKEEQWHRVVQVLKWMLSKGQGTTMGTYEQLIRALEKDGRPEEAHNIWVKKVSHDLHSVPWRVCDLMISIYYRNNMLERLVKLFKGLEEFDRKPPRKSIVRKVADAFEMLGLSEEKNRVLEKYSHLFNETSEGHFKKSRKALRKKDEQLSKKKQVESIEPSHNQPVDSGPSDTEIDVPV >DRNTG_31115.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:53605:57231:-1 gene:DRNTG_31115 transcript:DRNTG_31115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHANYAPLMPARRARHSRPPAPAVRWFRQWVPQEIAATGEKCLLLKWVTEDMMKVLKESEETETKEAEPEPTTEVLFLCSHEGCGKTFIDVGALRKHAHIHGERQHICPYEGCGRKFLDSSKLKRHILIHTGAKDYVCPYEGCGKAFSLDFNLRTHMKTHLPENYHVCPHKDCGKRYTQEHKLNAHLKTFHEKNTVTEFTKHAPVEKVHYNPKPASIVYTPAPPDRPYACPYEGCGKAYIHEYKLNLHLKREHPGHNSEENRKQVSATTRAIDDASDPDAHVVKGGSSKKSKKRKPSPIHEMPEMPISKISNRKSMTLDSRNLDIAKKPWTETKVEEDSEETEEDRDNVEDTIWRYRENDDDEETEDED >DRNTG_31115.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:53605:57231:-1 gene:DRNTG_31115 transcript:DRNTG_31115.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGHSFLYFLFRVLKSRKFMSKLYNFSQRMPLNNIFPLIGHSEDMMKVLKESEETETKEAEPEPTTEVLFLCSHEGCGKTFIDVGALRKHAHIHGERQHICPYEGCGRKFLDSSKLKRHILIHTGAKDYVCPYEGCGKAFSLDFNLRTHMKTHLPENYHVCPHKDCGKRYTQEHKLNAHLKTFHEKNTVTEFTKHAPVEKVHYNPKPASIVYTPAPPDRPYACPYEGCGKAYIHEYKLNLHLKREHPGHNSEENRKQVSATTRAIDDASDPDAHVVKGGSSKKSKKRKPSPIHEMPEMPISKISNRKSMTLDSRNLDIAKKPWTETKVEEDSEETEEDRDNVEDTIWRYRENDDDEETEDED >DRNTG_27907.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:10284643:10284936:1 gene:DRNTG_27907 transcript:DRNTG_27907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSCITSCFSPHFSSFGLFDFSSAGFVIRKRVWIKFIIPEIYKSVSPTGNEVDFHRIGQVHSIFK >DRNTG_24148.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26261180:26263012:-1 gene:DRNTG_24148 transcript:DRNTG_24148.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGVILPGAGMAPLHLRHRGGAAGAGAFGWMPVKNPGLRAVGLKTRAAVKEGGKVGASFYDLLGISEGGSLEEIKRAYKKMARKYHPDVSPPDLASEYTRRFIELQEAYETLSDPGRRAMYDRDLARGLQFALSSRRRFDQELEEKAAWKNRWQDQLETLKMRSKQRDSMENLSWGARMRQAKG >DRNTG_03657.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:17916136:17918668:-1 gene:DRNTG_03657 transcript:DRNTG_03657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKSKLLQYLRESSIELDFNQQEAWMRERLELEIQSQVFKSMVSELLQEEIRKSRTISQILDSLYLLKYSLQRIYGWVSEEEFGGKVGKEEILEDELDVLFLEMRSVYQFASVVESRIAKREEIRTKEKMEMDERIMSLIEDNRDIDGLLRVAMLEKMNLERTLNKLKRSGEQKRVAILQIAERGLQKVGFGFMMSSVAEEPPVDSANIDSGQSEDEVVSVAATFEKMMKNLRLEIANLRRSLEESRLENEHLQSFSGEQAQKIAENMLYIEHLEERLNTLVHNIDELMLEVTKAEEDATRWKQACELEVEAGRTAIEERDREVALLKEELSRTKMALDASNNKMQLKERLASTAMAALEAAEISRRLADSRSAGLRERIEELTKQLEEDVDQGKKEKDRGRRRLRHECWPWRVLRFTPAVSSRPGNLNRMRRLPEMEALLHFM >DRNTG_17315.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31909477:31912287:1 gene:DRNTG_17315 transcript:DRNTG_17315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFRQIGEVIGSLKVLMVFQDEIRINQRQCCLLVDAFDLAFDVIKEEIHKNLCFSERLTKWKALDQPLKELQRIFREGEHFIRQCLETKDWWGKAVLMNQSTECVDFHLHNLLWCIPVILEAIECAAEISGSRQEDVQKKKIVFSKKYERDWMEPMIFQFEYGKQYLVSPEMCHRMETAWREDRWILSEMISDKKYSGISKQENRLGELLLAPRGKFFPTSLLLNSKDYQFRRRLGTGGKYKEIQWMGESFVVKHLIGEIDPIAQEVSLQSSVTHPNVMHIMYAFADEEKKESFLLMELMHRDLSGYIREVSSSKRRIPFPLLIAVDIMLQIARGMEHLHLRKIYHGELNPSNVFVRTRNSSHDIGYLQAKITGFGVSAMNQTPSDTCIWYAPEVLEEQERSADSTAFKYSEKADVYSFGMICFELLTGKVPFEDSHLQGGKMSRNIKAGERPLFPSPSPKYLTNLVKKCWQAEPSQRPNFTSICRVLRYIKRFLLMNPDHSQLESLMPNVDYFELEMSLSKKYASWARKEPLQVSEIPFQMFAYRILEREKNSMNIIKDKSSESGSEDASLCGDETARNLCTLDDSLSGSVTSSKSSLGSSDTPKSSQVSTDRNKNSSTKKVNRKDRKETGQRQKVKPVRPLQTTRMNSRRNLQPSVVPSPRRTSGNSADTELP >DRNTG_29120.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29756640:29757727:1 gene:DRNTG_29120 transcript:DRNTG_29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLEEACHTGNLSMFRSLLHEDKLLLHRFSSSITASIDNPLHIAASLGHTDLANEIIIRNPDLSSDLNPKALSALHLASAHGHLEIVKLLISKVGCHLCYLKDRDGRLAIHIAAMKGRIDILEELIKVCPESAKALTYQHESILHNAVQFNSFEIIEFLIKKLEVDDNINELFNLKDDKGNTILHHAVARRQLQSVKLLLSKGELAEVNTMNHKGLTALDVLLDSPREHGDLTLGEVIRAAGGKIAREMDPQQTFLETNTSRNESSGTTTTPSRSWVRR >DRNTG_10519.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31010222:31012033:-1 gene:DRNTG_10519 transcript:DRNTG_10519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGFAMGVLGGLLLAHAAYATIQYRGMLKIVEEEFSGPPMNVVVELLIAMTLCMVAGLTVPGKFLSILPDSDENRVVSLPANLDFMIFNHRGRVLPFDADLKFEEIEHLFVLRHLFSVISSDLLKGITQ >DRNTG_02471.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2141816:2144966:-1 gene:DRNTG_02471 transcript:DRNTG_02471.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYKKLTFFFLAFFFFFSCLPFSLSSFNPKCEPAPPIIPVAVYPTDVDLIQFALNLEYMETDWFLFGANGRGLDSVAPELAMGGPPPIGARKAKLDPVTQRIIAEFGYQEVGHLRAIKSTVGGFPRPLIDLSSHNFAKIFDDAVGFHLNPPFDPYLNSINYLLASYVIPYVGLVGYVGTNPNINGFLSKRLLAGLLGVEAGQDAVIRSMLYEKAFEKVKPYNYTVAEFTVKISELRNKLAMCGMKDEGIIVPLELGAERRTTSNVLSADADSLSYSRTQAEILRVVYGTGDEHKPGGFLPNGGNGTIAKSFLKFPHHQ >DRNTG_30803.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001631.1:32450:32920:1 gene:DRNTG_30803 transcript:DRNTG_30803.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILILIFAEALALYGLIVGIILSSRAGQSRAD >DRNTG_30803.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001631.1:29970:32920:1 gene:DRNTG_30803 transcript:DRNTG_30803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKANHTTFLMDMRISRLGLLAVLLDSRQAWPSALLVILAFGPMHSSQSYLLA >DRNTG_25935.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001339.1:167205:168347:-1 gene:DRNTG_25935 transcript:DRNTG_25935.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCFQTSVISSSMFLTAMAANPLSANLTYNTIKQTIGWTDWAVAAIVPGIVSLIVVPLLLYIIYPPSVKSSPDAPKLARQNLEKMGPMTKNEIIMAVTLLLT >DRNTG_25935.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001339.1:167003:168317:-1 gene:DRNTG_25935 transcript:DRNTG_25935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCFQTSVISSSMFLTAMAANPLSANLTYNTIKQTIGWTDWAVAAIVPGIVSLIVVPLLLYIIYPPSVKSSPDAPKLARQNLEKMGPMTKNEIIMAVTLLLTVKTFMPLFPIFQLNACFSLH >DRNTG_25935.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001339.1:167205:168418:-1 gene:DRNTG_25935 transcript:DRNTG_25935.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCFQTSVISSSMFLTAMAANPLSANLTYNTIKQTIGWTDWAVAAIVPGIVSLIVVPLLLYIIYPPSVKSSPDAPKLARQNLEKMGPMTKNEIIMAVTLLLT >DRNTG_25935.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001339.1:167205:168317:-1 gene:DRNTG_25935 transcript:DRNTG_25935.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCFQTSVISSSMFLTAMAANPLSANLTYNTIKQTIGWTDWAVAAIVPGIVSLIVVPLLLYIIYPPSVKSSPDAPKLARQNLEKMGPMTKNEIIMAVTLLLT >DRNTG_21658.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:11181861:11199570:-1 gene:DRNTG_21658 transcript:DRNTG_21658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALILSSSSAVRSMTKSHDIAFSSRPNLKVPRQLVYNSKNISFSPYGPYWRQSRKLSVLHLLSTKRVLSFRPIRNSELSIMLSHIQEASFNLK >DRNTG_21658.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:11181861:11188583:-1 gene:DRNTG_21658 transcript:DRNTG_21658.2 gene_biotype:protein_coding transcript_biotype:protein_coding QKIFLLGQKNFLGTTALPPSATITSLKQG >DRNTG_21658.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:11181861:11183208:-1 gene:DRNTG_21658 transcript:DRNTG_21658.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFFLTFLLGQKNFLGTTALPPSATITSLKQG >DRNTG_01861.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21231746:21235562:-1 gene:DRNTG_01861 transcript:DRNTG_01861.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVEGSTTPQAQLRIPAFQGSQILRAPPNRHFPPFAPSQSFDHRIFPDPRGKSPIAFDKDVISVAGVSCPRSTARPFNGDLEQKCKVDDHDVKGRKAVVIKKEVKDEIDNHTTPPGSGTGGKRHRKSKGSKQRKLSQQPSEVADVIPPNALGSSSNCRYDSSLGLLTKKFINLLQQAEDGTLDLNKAAVVLDVQKRRIYDITNVLEGVGLIEKKLKNRIHWKGVNMTRPRELEDQVSAWRSGVDTLRAEDSRLDGLISQMQENLRLLKEDETNRKWLYLTKEDITSIPSFEDATLIAIKAPHGTSLEVPDPDGGIEFPHGRYQILLRSSMGPIDCYLLSNHEERLDSSNAVQQPEVEVCSPSADGDGQGISEQATTAVPNASSNCGCGGIVKIVPSDVDMDADYWLSSDVGISMTDTWRT >DRNTG_01861.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21231746:21235562:-1 gene:DRNTG_01861 transcript:DRNTG_01861.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVEGSTTPQAQLRIPAFQGSQILRAPPNRHFPPFAPSQSFDHRIFPDPRGKSPIAFDKDVISVAGVSCPRSTARPFNGDLEQKCKVDDHDVKGRKAVVIKKEVKDEIDNHTTPPGSGTGGKRHRKSKGSKQRKLSQQPSEVADVIPPNALGSSSNCRYDSSLGLLTKKFINLLQQAEDGTLDLNKAAVVLDVQKRRIYDITNVLEGVGLIEKKLKNRIHWK >DRNTG_06925.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16863016:16864054:1 gene:DRNTG_06925 transcript:DRNTG_06925.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQENKACERTLYLILPIHSSQGAVSSGQTHHRPHTHTHT >DRNTG_06925.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16863082:16864054:1 gene:DRNTG_06925 transcript:DRNTG_06925.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQENKACERTLYLILPIHSSQGAVSSGQTHHRPHTHTHT >DRNTG_06925.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16863657:16864711:1 gene:DRNTG_06925 transcript:DRNTG_06925.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKAKQGEQKQKQRWNGKRREKEHKHRHFF >DRNTG_06925.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16862584:16864054:1 gene:DRNTG_06925 transcript:DRNTG_06925.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQENKACERTLYLILPIHSSQGAVSSGQTHHRPHTHTHT >DRNTG_06925.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16863016:16864711:1 gene:DRNTG_06925 transcript:DRNTG_06925.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQENKACERTLYLILPIHSSQGAVSSGQTHHRPHTHTHT >DRNTG_06925.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16863082:16864711:1 gene:DRNTG_06925 transcript:DRNTG_06925.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQENKACERTLYLILPIHSSQGAVSSGQTHHRPHTHTHT >DRNTG_06925.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16864119:16864711:1 gene:DRNTG_06925 transcript:DRNTG_06925.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKAKQGEQKQKQRWNGKRREKEHKHRHFF >DRNTG_06925.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16862825:16864054:1 gene:DRNTG_06925 transcript:DRNTG_06925.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQENKACERTLYLILPIHSSQGAVSSGQTHHRPHTHTHT >DRNTG_20348.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23397612:23400188:1 gene:DRNTG_20348 transcript:DRNTG_20348.15 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGGNIMEPPYPPLDPRLNKPPPTTSDEAIKSETPASEAAAAAAALAAVAVGMPPVTTTTTTTNNNNNTDNSISISCGNGDEAMVMGVLEVLIHQARDIHNICIYHKQDVYAKLYLTSDSHLTTVSTRIINGGGRNPVFNDTLRLNVRTLDSSLKCEIWMLSRARNYLEDQLLGFALVPLSDVVLATNATLNQEFSLSSTDLFHSPAGFVQLSLSYHGSFSPELMSINDTTLPDACCTDDLHVPVMLHKIEFPDLKVVNENQMMVSEYFGIPCSSESPQSMNISSQNGANLNNEDDDAAGVRVVESFSTGSNGAANKHENDTTNGTPLSSASTAPPAISNSMTCSTETGACPSLKDKSVDVSESEVDSSSLSSGSGGGGAGAGAGAGAGGISPLVSDKGFPTPIIKIDNIEPEETVKQQEIVDMYMKSMQQFTESLAKMKLPMDIENNAQIVENQNSENSQSHVSKGTTGSRVFYGSRAFF >DRNTG_20348.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23397092:23400188:1 gene:DRNTG_20348 transcript:DRNTG_20348.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGGNIMEPPYPPLDPRLNKPPPTTSDEAIKSETPASEAAAAAAALAAVAVGMPPVTTTTTTTNNNNNTDNSISISCGNGDEAMVMGVLEVLIHQARDIHNICIYHKQDVYAKLYLTSDSHLTTVSTRIINGGGRNPVFNDTLRLNVRTLDSSLKCEIWMLSRARNYLEDQLLGFALVPLSDVVLATNATLNQEFSLSSTDLFHSPAGFVQLSLSYHGSFSPELMSINDTTLPDACCTDDLHVPVMLHKIEFPDLKVVNENQMMVSEYFGIPCSSESPQSMNISSQNGANLNNEDDDAAGVRVVESFSTGSNGAANKHENDTTNGTPLSSASTAPPAISNSMTCSTETGACPSLKDKSVDVSESEVDSSSLSSGSGGGGAGAGAGAGAGGISPLVSDKGFPTPIIKIDNIEPEETVKQQEIVDMYMKSMQQFTESLAKMKLPMDIENNAQIVENQNSENSQSHVSKGTTGSRVFYGSRAFF >DRNTG_20348.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23397612:23400588:1 gene:DRNTG_20348 transcript:DRNTG_20348.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGGNIMEPPYPPLDPRLNKPPPTTSDEAIKSETPASEAAAAAAALAAVAVGMPPVTTTTTTTNNNNNTDNSISISCGNGDEAMVMGVLEVLIHQARDIHNICIYHKQDVYAKLYLTSDSHLTTVSTRIINGGGRNPVFNDTLRLNVRTLDSSLKCEIWMLSRARNYLEDQLLGFALVPLSDVVLATNATLNQEFSLSSTDLFHSPAGFVQLSLSYHGSFSPELMSINDTTLPDACCTDDLHVPVMLHKIEFPDLKVVNENQMMVSEYFGIPCSSESPQSMNISSQNGANLNNEDDDAAGVRVVESFSTGSNGAANKHENDTTNGTPLSSASTAPPAISNSMTCSTETGACPSLKDKSVDVSESEVDSSSLSSGSGGGGAGAGAGAGAGGISPLVSDKGFPTPIIKIDNIEPEETVKQQEIVDMYMKSMQQFTESLAKMKLPMDIENNAQIVENQNSENSQSHVSKGTTGSRVFYGSRAFF >DRNTG_20348.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23397784:23400588:1 gene:DRNTG_20348 transcript:DRNTG_20348.11 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGGNIMEPPYPPLDPRLNKPPPTTSDEAIKSETPASEAAAAAAALAAVAVGMPPVTTTTTTTNNNNNTDNSISISCGNGDEAMVMGVLEVLIHQARDIHNICIYHKQDVYAKLYLTSDSHLTTVSTRIINGGGRNPVFNDTLRLNVRTLDSSLKCEIWMLSRARNYLEDQLLGFALVPLSDVVLATNATLNQEFSLSSTDLFHSPAGFVQLSLSYHGSFSPELMSINDTTLPDACCTDDLHVPVMLHKIEFPDLKVVNENQMMVSEYFGIPCSSESPQSMNISSQNGANLNNEDDDAAGVRVVESFSTGSNGAANKHENDTTNGTPLSSASTAPPAISNSMTCSTETGACPSLKDKSVDVSESEVDSSSLSSGSGGGGAGAGAGAGAGGISPLVSDKGFPTPIIKIDNIEPEETVKQQEIVDMYMKSMQQFTESLAKMKLPMDIENNAQIVENQNSENSQSHVSKGTTGSRVFYGSRAFF >DRNTG_20348.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23397186:23400188:1 gene:DRNTG_20348 transcript:DRNTG_20348.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGGNIMEPPYPPLDPRLNKPPPTTSDEAIKSETPASEAAAAAAALAAVAVGMPPVTTTTTTTNNNNNTDNSISISCGNGDEAMVMGVLEVLIHQARDIHNICIYHKQDVYAKLYLTSDSHLTTVSTRIINGGGRNPVFNDTLRLNVRTLDSSLKCEIWMLSRARNYLEDQLLGFALVPLSDVVLATNATLNQEFSLSSTDLFHSPAGFVQLSLSYHGSFSPELMSINDTTLPDACCTDDLHVPVMLHKIEFPDLKVVNENQMMVSEYFGIPCSSESPQSMNISSQNGANLNNEDDDAAGVRVVESFSTGSNGAANKHENDTTNGTPLSSASTAPPAISNSMTCSTETGACPSLKDKSVDVSESEVDSSSLSSGSGGGGAGAGAGAGAGGISPLVSDKGFPTPIIKIDNIEPEETVKQQEIVDMYMKSMQQFTESLAKMKLPMDIENNAQIVENQNSENSQSHVSKGTTGSRVFYGSRAFF >DRNTG_20348.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23397186:23400239:1 gene:DRNTG_20348 transcript:DRNTG_20348.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGGNIMEPPYPPLDPRLNKPPPTTSDEAIKSETPASEAAAAAAALAAVAVGMPPVTTTTTTTNNNNNTDNSISISCGNGDEAMVMGVLEVLIHQARDIHNICIYHKQDVYAKLYLTSDSHLTTVSTRIINGGGRNPVFNDTLRLNVRTLDSSLKCEIWMLSRARNYLEDQLLGFALVPLSDVVLATNATLNQEFSLSSTDLFHSPAGFVQLSLSYHGSFSPELMSINDTTLPDACCTDDLHVPVMLHKIEFPDLKVVNENQMMVSEYFGIPCSSESPQSMNISSQNGANLNNEDDDAAGVRVVESFSTGSNGAANKHENDTTNGTPLSSASTAPPAISNSMTCSTETGACPSLKDKSVDVSESEVDSSSLSSGSGGGGAGAGAGAGAGGISPLVSDKGFPTPIIKIDNIEPEETVKQQEIVDMYMKSMQQFTESLAKMKLPMDIENNAQIVENQNSENSQSHVSKGTTGSRVFYGSRAFF >DRNTG_20348.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23397259:23400239:1 gene:DRNTG_20348 transcript:DRNTG_20348.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGGNIMEPPYPPLDPRLNKPPPTTSDEAIKSETPASEAAAAAAALAAVAVGMPPVTTTTTTTNNNNNTDNSISISCGNGDEAMVMGVLEVLIHQARDIHNICIYHKQDVYAKLYLTSDSHLTTVSTRIINGGGRNPVFNDTLRLNVRTLDSSLKCEIWMLSRARNYLEDQLLGFALVPLSDVVLATNATLNQEFSLSSTDLFHSPAGFVQLSLSYHGSFSPELMSINDTTLPDACCTDDLHVPVMLHKIEFPDLKVVNENQMMVSEYFGIPCSSESPQSMNISSQNGANLNNEDDDAAGVRVVESFSTGSNGAANKHENDTTNGTPLSSASTAPPAISNSMTCSTETGACPSLKDKSVDVSESEVDSSSLSSGSGGGGAGAGAGAGAGGISPLVSDKGFPTPIIKIDNIEPEETVKQQEIVDMYMKSMQQFTESLAKMKLPMDIENNAQIVENQNSENSQSHVSKGTTGSRVFYGSRAFF >DRNTG_20348.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23397342:23400188:1 gene:DRNTG_20348 transcript:DRNTG_20348.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGGNIMEPPYPPLDPRLNKPPPTTSDEAIKSETPASEAAAAAAALAAVAVGMPPVTTTTTTTNNNNNTDNSISISCGNGDEAMVMGVLEVLIHQARDIHNICIYHKQDVYAKLYLTSDSHLTTVSTRIINGGGRNPVFNDTLRLNVRTLDSSLKCEIWMLSRARNYLEDQLLGFALVPLSDVVLATNATLNQEFSLSSTDLFHSPAGFVQLSLSYHGSFSPELMSINDTTLPDACCTDDLHVPVMLHKIEFPDLKVVNENQMMVSEYFGIPCSSESPQSMNISSQNGANLNNEDDDAAGVRVVESFSTGSNGAANKHENDTTNGTPLSSASTAPPAISNSMTCSTETGACPSLKDKSVDVSESEVDSSSLSSGSGGGGAGAGAGAGAGGISPLVSDKGFPTPIIKIDNIEPEETVKQQEIVDMYMKSMQQFTESLAKMKLPMDIENNAQIVENQNSENSQSHVSKGTTGSRVFYGSRAFF >DRNTG_20348.18.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23397784:23400188:1 gene:DRNTG_20348 transcript:DRNTG_20348.18 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGGNIMEPPYPPLDPRLNKPPPTTSDEAIKSETPASEAAAAAAALAAVAVGMPPVTTTTTTTNNNNNTDNSISISCGNGDEAMVMGVLEVLIHQARDIHNICIYHKQDVYAKLYLTSDSHLTTVSTRIINGGGRNPVFNDTLRLNVRTLDSSLKCEIWMLSRARNYLEDQLLGFALVPLSDVVLATNATLNQEFSLSSTDLFHSPAGFVQLSLSYHGSFSPELMSINDTTLPDACCTDDLHVPVMLHKIEFPDLKVVNENQMMVSEYFGIPCSSESPQSMNISSQNGANLNNEDDDAAGVRVVESFSTGSNGAANKHENDTTNGTPLSSASTAPPAISNSMTCSTETGACPSLKDKSVDVSESEVDSSSLSSGSGGGGAGAGAGAGAGGISPLVSDKGFPTPIIKIDNIEPEETVKQQEIVDMYMKSMQQFTESLAKMKLPMDIENNAQIVENQNSENSQSHVSKGTTGSRVFYGSRAFF >DRNTG_20348.16.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23397784:23400318:1 gene:DRNTG_20348 transcript:DRNTG_20348.16 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGGNIMEPPYPPLDPRLNKPPPTTSDEAIKSETPASEAAAAAAALAAVAVGMPPVTTTTTTTNNNNNTDNSISISCGNGDEAMVMGVLEVLIHQARDIHNICIYHKQDVYAKLYLTSDSHLTTVSTRIINGGGRNPVFNDTLRLNVRTLDSSLKCEIWMLSRARNYLEDQLLGFALVPLSDVVLATNATLNQEFSLSSTDLFHSPAGFVQLSLSYHGSFSPELMSINDTTLPDACCTDDLHVPVMLHKIEFPDLKVVNENQMMVSEYFGIPCSSESPQSMNISSQNGANLNNEDDDAAGVRVVESFSTGSNGAANKHENDTTNGTPLSSASTAPPAISNSMTCSTETGACPSLKDKSVDVSESEVDSSSLSSGSGGGGAGAGAGAGAGGISPLVSDKGFPTPIIKIDNIEPEETVKQQEIVDMYMKSMQQFTESLAKMKLPMDIENNAQIVENQNSENSQSHVSKGTTGSRVFYGSRAFF >DRNTG_20348.17.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23397784:23400239:1 gene:DRNTG_20348 transcript:DRNTG_20348.17 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGGNIMEPPYPPLDPRLNKPPPTTSDEAIKSETPASEAAAAAAALAAVAVGMPPVTTTTTTTNNNNNTDNSISISCGNGDEAMVMGVLEVLIHQARDIHNICIYHKQDVYAKLYLTSDSHLTTVSTRIINGGGRNPVFNDTLRLNVRTLDSSLKCEIWMLSRARNYLEDQLLGFALVPLSDVVLATNATLNQEFSLSSTDLFHSPAGFVQLSLSYHGSFSPELMSINDTTLPDACCTDDLHVPVMLHKIEFPDLKVVNENQMMVSEYFGIPCSSESPQSMNISSQNGANLNNEDDDAAGVRVVESFSTGSNGAANKHENDTTNGTPLSSASTAPPAISNSMTCSTETGACPSLKDKSVDVSESEVDSSSLSSGSGGGGAGAGAGAGAGGISPLVSDKGFPTPIIKIDNIEPEETVKQQEIVDMYMKSMQQFTESLAKMKLPMDIENNAQIVENQNSENSQSHVSKGTTGSRVFYGSRAFF >DRNTG_20348.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23397612:23400239:1 gene:DRNTG_20348 transcript:DRNTG_20348.13 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGGNIMEPPYPPLDPRLNKPPPTTSDEAIKSETPASEAAAAAAALAAVAVGMPPVTTTTTTTNNNNNTDNSISISCGNGDEAMVMGVLEVLIHQARDIHNICIYHKQDVYAKLYLTSDSHLTTVSTRIINGGGRNPVFNDTLRLNVRTLDSSLKCEIWMLSRARNYLEDQLLGFALVPLSDVVLATNATLNQEFSLSSTDLFHSPAGFVQLSLSYHGSFSPELMSINDTTLPDACCTDDLHVPVMLHKIEFPDLKVVNENQMMVSEYFGIPCSSESPQSMNISSQNGANLNNEDDDAAGVRVVESFSTGSNGAANKHENDTTNGTPLSSASTAPPAISNSMTCSTETGACPSLKDKSVDVSESEVDSSSLSSGSGGGGAGAGAGAGAGGISPLVSDKGFPTPIIKIDNIEPEETVKQQEIVDMYMKSMQQFTESLAKMKLPMDIENNAQIVENQNSENSQSHVSKGTTGSRVFYGSRAFF >DRNTG_20348.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23397342:23400318:1 gene:DRNTG_20348 transcript:DRNTG_20348.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGGNIMEPPYPPLDPRLNKPPPTTSDEAIKSETPASEAAAAAAALAAVAVGMPPVTTTTTTTNNNNNTDNSISISCGNGDEAMVMGVLEVLIHQARDIHNICIYHKQDVYAKLYLTSDSHLTTVSTRIINGGGRNPVFNDTLRLNVRTLDSSLKCEIWMLSRARNYLEDQLLGFALVPLSDVVLATNATLNQEFSLSSTDLFHSPAGFVQLSLSYHGSFSPELMSINDTTLPDACCTDDLHVPVMLHKIEFPDLKVVNENQMMVSEYFGIPCSSESPQSMNISSQNGANLNNEDDDAAGVRVVESFSTGSNGAANKHENDTTNGTPLSSASTAPPAISNSMTCSTETGACPSLKDKSVDVSESEVDSSSLSSGSGGGGAGAGAGAGAGGISPLVSDKGFPTPIIKIDNIEPEETVKQQEIVDMYMKSMQQFTESLAKMKLPMDIENNAQIVENQNSENSQSHVSKGTTGSRVFYGSRAFF >DRNTG_20348.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23397342:23400588:1 gene:DRNTG_20348 transcript:DRNTG_20348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGGNIMEPPYPPLDPRLNKPPPTTSDEAIKSETPASEAAAAAAALAAVAVGMPPVTTTTTTTNNNNNTDNSISISCGNGDEAMVMGVLEVLIHQARDIHNICIYHKQDVYAKLYLTSDSHLTTVSTRIINGGGRNPVFNDTLRLNVRTLDSSLKCEIWMLSRARNYLEDQLLGFALVPLSDVVLATNATLNQEFSLSSTDLFHSPAGFVQLSLSYHGSFSPELMSINDTTLPDACCTDDLHVPVMLHKIEFPDLKVVNENQMMVSEYFGIPCSSESPQSMNISSQNGANLNNEDDDAAGVRVVESFSTGSNGAANKHENDTTNGTPLSSASTAPPAISNSMTCSTETGACPSLKDKSVDVSESEVDSSSLSSGSGGGGAGAGAGAGAGGISPLVSDKGFPTPIIKIDNIEPEETVKQQEIVDMYMKSMQQFTESLAKMKLPMDIENNAQIVENQNSENSQSHVSKGTTGSRVFYGSRAFF >DRNTG_20348.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23397612:23400188:1 gene:DRNTG_20348 transcript:DRNTG_20348.14 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGGNIMEPPYPPLDPRLNKPPPTTSDEAIKSETPASEAAAAAAALAAVAVGMPPVTTTTTTTNNNNNTDNSISISCGNGDEAMVMGVLEVLIHQARDIHNICIYHKQDVYAKLYLTSDSHLTTVSTRIINGGGRNPVFNDTLRLNVRTLDSSLKCEIWMLSRARNYLEDQLLGFALVPLSDVVLATNATLNQEFSLSSTDLFHSPAGFVQLSLSYHGSFSPELMSINDTTLPDACCTDDLHVPVMLHKIEFPDLKVVNENQMMVSEYFGIPCSSESPQSMNISSQNGANLNNEDDDAAGVRVVESFSTGSNGAANKHENDTTNGTPLSSASTAPPAISNSMTCSTETGACPSLKDKSVDVSESEVDSSSLSSGSGGGGAGAGAGAGAGGISPLVSDKGFPTPIIKIDNIEPEETVKQQEIVDMYMKSMQQFTESLAKMKLPMDIENNAQIVENQNSENSQSHVSKGTTGSRVFYGSRAFF >DRNTG_20348.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23397612:23400318:1 gene:DRNTG_20348 transcript:DRNTG_20348.12 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGGNIMEPPYPPLDPRLNKPPPTTSDEAIKSETPASEAAAAAAALAAVAVGMPPVTTTTTTTNNNNNTDNSISISCGNGDEAMVMGVLEVLIHQARDIHNICIYHKQDVYAKLYLTSDSHLTTVSTRIINGGGRNPVFNDTLRLNVRTLDSSLKCEIWMLSRARNYLEDQLLGFALVPLSDVVLATNATLNQEFSLSSTDLFHSPAGFVQLSLSYHGSFSPELMSINDTTLPDACCTDDLHVPVMLHKIEFPDLKVVNENQMMVSEYFGIPCSSESPQSMNISSQNGANLNNEDDDAAGVRVVESFSTGSNGAANKHENDTTNGTPLSSASTAPPAISNSMTCSTETGACPSLKDKSVDVSESEVDSSSLSSGSGGGGAGAGAGAGAGGISPLVSDKGFPTPIIKIDNIEPEETVKQQEIVDMYMKSMQQFTESLAKMKLPMDIENNAQIVENQNSENSQSHVSKGTTGSRVFYGSRAFF >DRNTG_20348.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23397259:23400318:1 gene:DRNTG_20348 transcript:DRNTG_20348.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGGNIMEPPYPPLDPRLNKPPPTTSDEAIKSETPASEAAAAAAALAAVAVGMPPVTTTTTTTNNNNNTDNSISISCGNGDEAMVMGVLEVLIHQARDIHNICIYHKQDVYAKLYLTSDSHLTTVSTRIINGGGRNPVFNDTLRLNVRTLDSSLKCEIWMLSRARNYLEDQLLGFALVPLSDVVLATNATLNQEFSLSSTDLFHSPAGFVQLSLSYHGSFSPELMSINDTTLPDACCTDDLHVPVMLHKIEFPDLKVVNENQMMVSEYFGIPCSSESPQSMNISSQNGANLNNEDDDAAGVRVVESFSTGSNGAANKHENDTTNGTPLSSASTAPPAISNSMTCSTETGACPSLKDKSVDVSESEVDSSSLSSGSGGGGAGAGAGAGAGGISPLVSDKGFPTPIIKIDNIEPEETVKQQEIVDMYMKSMQQFTESLAKMKLPMDIENNAQIVENQNSENSQSHVSKGTTGSRVFYGSRAFF >DRNTG_20348.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23397259:23400188:1 gene:DRNTG_20348 transcript:DRNTG_20348.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGGNIMEPPYPPLDPRLNKPPPTTSDEAIKSETPASEAAAAAAALAAVAVGMPPVTTTTTTTNNNNNTDNSISISCGNGDEAMVMGVLEVLIHQARDIHNICIYHKQDVYAKLYLTSDSHLTTVSTRIINGGGRNPVFNDTLRLNVRTLDSSLKCEIWMLSRARNYLEDQLLGFALVPLSDVVLATNATLNQEFSLSSTDLFHSPAGFVQLSLSYHGSFSPELMSINDTTLPDACCTDDLHVPVMLHKIEFPDLKVVNENQMMVSEYFGIPCSSESPQSMNISSQNGANLNNEDDDAAGVRVVESFSTGSNGAANKHENDTTNGTPLSSASTAPPAISNSMTCSTETGACPSLKDKSVDVSESEVDSSSLSSGSGGGGAGAGAGAGAGGISPLVSDKGFPTPIIKIDNIEPEETVKQQEIVDMYMKSMQQFTESLAKMKLPMDIENNAQIVENQNSENSQSHVSKGTTGSRVFYGSRAFF >DRNTG_01118.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:14695296:14703117:1 gene:DRNTG_01118 transcript:DRNTG_01118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVVELDSMKFLFSSLFAQMISSCSPWLPYALHLDTYQCRSHRTSPKP >DRNTG_08090.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10058247:10058991:-1 gene:DRNTG_08090 transcript:DRNTG_08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding NGKKIHFGRTPRILCYGVGSYKKQAVVSALIESLEGKKFVPLLADRQSEVELLKYGKTKGQHSRQLDSIQWEFGQQLLSSSPVRKATKDENYETLMEGLIALHAKVSVLERREDVGKRNEE >DRNTG_00163.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30952235:30957612:-1 gene:DRNTG_00163 transcript:DRNTG_00163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKEGPDPIDSVGTLANPTTDPSEKSPSSEMKAQPLSPKIDKMVPATSSRDSSKNAQCQLGSFNASGDSRGAYTPNLYAPQAQAFCYTGYDNPSSEWEEYSRYLNIEGMEVGSTGAYNENPSLMFHTAFGYSPQMPYGPYSPVTTPLPSSAGHGQPYSPQQFSFTSPYYQQPVPHSLPYMATPVPVSQADLPIAVDTQGAFLGDTLNSNNMLFGPRPSPSLTHGPFGRGSFVGNSGNHGFYDMRQAYEGVGSDWLRSPEGSGSLTPLPSPVASPQPIAPLGSFEQSVAPLASGMGSRQHRASYGSVSPIGAYNRGHPHCGMYQSSNFGVFMPGLETHNRNLLAFEKGRRRGRGNASLCSCNGALDFLNEQNRGPRATRPKNPITEQSASLESKKENTAAPDHQLYNRPDFVTEYKDAKFFIIKSYSEDNVHKSIKYNVWASTANGNKKLDSAYHDAKEQGVPCPIFLFFSVNASAQFCGVAEMIGPVDFEKSVDYWQQDKWSGQFPVKWHIIKDIPNNLFRHIILENNENKPVTNSRDTQEVKLEQGLEMLNLFKDYESEMSILDDFDFYEDREKAMQDRKAKQQQQSNPAGASATVVMPVGDVHCIPVPISGDYINRMSKSFAQAVRLEGSNVENPPSDKSSLGPPVGMSE >DRNTG_13531.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22049332:22049872:1 gene:DRNTG_13531 transcript:DRNTG_13531.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAGAREMGKIEFDAGKPPPFRISDIREAIPKHCWEKNAWKSMSYVLRDIVFIVFFAVFFLWIDAWFAWPIYWLAQGTMFWALFVLGHDCGHGSFSESVKMNNLVGHFLHSFILVPYHGW >DRNTG_13531.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22049332:22051644:1 gene:DRNTG_13531 transcript:DRNTG_13531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAGAREMGKIEFDAGKPPPFRISDIREAIPKHCWEKNAWKSMSYVLRDIVFIVFFAVFFLWIDAWFAWPIYWLAQGTMFWALFVLGHDCGHGSFSESVKMNNLVGHFLHSFILVPYHGWRISHKTHHQNHGHVEKDESWHPLTEKLYRSLNFVTLKLRFTIPFPMLAYPVYLLRRSPGKQGSHFHPDSD >DRNTG_05874.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31405612:31407216:1 gene:DRNTG_05874 transcript:DRNTG_05874.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNIAIPFILFIISIITLTTSSHSLLSNEAFLQCLHLKTKTSIKNLSKLLYFPNNNTYSSFLLFSMVNTRFNTISTPKPLLIFTPTQDSHIQGSIICAKNHNLSLRVRSGGHDYEGLSSRSQHPQPFIILDLINLNSVTVNVEHGTAWVQAGATLGEVYYQIANKSSVLGFPAGICPSVGVGGHISGGGMGTMVRKYGLAADNVLDVRLVDVHGRILDKDSMGEDLFWAVRGGGAASFGVVLSWKLRLVPVPQTVTVFAITKSAKEGAVELIDKWQYIAYKLPEELFIEAIVQTVTNGSSRRVEGLFNCLFLGNSSELVRVMGERFPELGVGMKDCKEMSWVQSVLFFGFSQSGLPADSLMDRKLQLQNKGLFKAKSDFVREPVPKDVLERLWSRFMEVERASMFMDPYGGKMAEISESETPFPHRKGTLYNIQYLVGWSDTSSKASKEHIRWIRSLYKQMGPYVSRNPRAAYLNFRDLDLGRNEWRNTSYFKAKVWGVKYFKNNFKRLAFVKGDVDPENFFRNEQSIPPLFL >DRNTG_23843.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:11769724:11773756:-1 gene:DRNTG_23843 transcript:DRNTG_23843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLCNRREQANKWETYLCPDIYSKLRPPVTRRQHGRPRRKRIESQAFDVRELHSNRCHGSSHNRRSCNETVAD >DRNTG_17735.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4135909:4140237:-1 gene:DRNTG_17735 transcript:DRNTG_17735.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLNLLKAFQSSSFPKATILGNHDCWHTYQFSEKKVDRVQLQLACLGEQHVGYNHLDFPALKLNVVGGRPFSCGGERLFRPRLLSARYGVNCMESSAKRIVEAALGTPEGHCIVLLAHNGPTGLGSKMNDICGKDWVYGGGDHGDPDLAQAILDLKRNTQFPIPLVMFGHMHKELAYRSGLRKMIVIDSDNTIYLNGAIVPRVKSVDQQATTSSEISTEHSDTKNGTLRAFTLVEMIYGMVEKVSETWVSVIGETTSIAEEILLFKKP >DRNTG_17735.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4135909:4140237:-1 gene:DRNTG_17735 transcript:DRNTG_17735.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSCAVRLTAPSPPLLLSSPLSAPATRPTPASPNPILFSSMPPSIRIAVVGDVHDDWDLQEDSKALHFLQPDLVLFTGMPFFHYLMFFHMVS >DRNTG_17735.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4135909:4140237:-1 gene:DRNTG_17735 transcript:DRNTG_17735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGTCKRIQKLFTFFSLTWCCLQVILVMKMLNLLKAFQSSSFPKATILGNHDCWHTYQFSEKKVDRVQLQLACLGEQHVGYNHLDFPALKLNVVGGRPFSCGGERLFRPRLLSARYGVNCMESSAKRIVEAALGTPEGHCIVLLAHNGPTGLGSKMNDICGKDWVYGGGDHGDPDLAQAILDLKRNTQFPIPLVMFGHMHKELAYRSGLRKMIVIDSDNTIYLNGAIVPRVKSVDQQATTSSEISTEHSDTKNGTLRAFTLVEMIYGMVEKVSETWVSVIGETTSIAEEILLFKKP >DRNTG_17839.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000916.1:11560:15015:1 gene:DRNTG_17839 transcript:DRNTG_17839.3 gene_biotype:protein_coding transcript_biotype:protein_coding SPCSSLLFSSRPLRCEIHELPFSVSQLLVRYGFTRSIVDVVGHRSHGPVPEPGSIVVVRVTKVMARMASADIMCVESKAVKEKFTGIISLLKTYMK >DRNTG_17839.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000916.1:11560:12876:1 gene:DRNTG_17839 transcript:DRNTG_17839.4 gene_biotype:protein_coding transcript_biotype:protein_coding SPCSSLLFSSRPLRCEIHELPFSVSQLLVRYGFTRSIVDVVGHRSHGPVPEPGSIVVVRVTKVMARMASADIMCVESKAVKEKFTGIISLLVCNVN >DRNTG_17839.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000916.1:11560:15015:1 gene:DRNTG_17839 transcript:DRNTG_17839.2 gene_biotype:protein_coding transcript_biotype:protein_coding SPCSSLLFSSRPLRCEIHELPFSVSQLLVRYGFTRSIVDVVGHRSHGPVPEPGSIVVVRVTKVMARMASADIMCVESKAVKEKFTGIISLLEKGSLKTSSIFSSIGEGFTEDIFYFPFAYVNLYFWYELNVGSFVWINCIFGMIQMMDCGCLMR >DRNTG_17839.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000916.1:11560:15015:1 gene:DRNTG_17839 transcript:DRNTG_17839.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPCSSLLFSSRPLRCEIHELPFSVSQLLVRYGFTRSIVDVVGHRSHGPVPEPGSIVVVRVTKVMARMASADIMCVESKAVKEKFTGEGFTEDIFYFLIYRRRVH >DRNTG_19098.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:333496:335314:1 gene:DRNTG_19098 transcript:DRNTG_19098.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITLLPRHTSASAPPPSTRLGLRRPLPPSTSPSASPPLMPLPPTSSRSSSLLSPNPFPSTLRGETSELVLQVYTLDLRTVEARIVVVGISTICSQKENHNQLEHGQEVEELSNVSDYVCHYLHAHFWNSLWLDFVNSLFVFILAIVAL >DRNTG_19098.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:333496:335314:1 gene:DRNTG_19098 transcript:DRNTG_19098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLLPRHTSASAPPPSTRLGLRRPLPPSTSPSASPPLMPLPPTSSRSSSLLSPNPFPSTLRGETSELVLQVYTLDLR >DRNTG_18654.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20405786:20409458:1 gene:DRNTG_18654 transcript:DRNTG_18654.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLNTGKHQQQYKHGFPNTTRKYKYKNNT >DRNTG_20319.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5707655:5709972:-1 gene:DRNTG_20319 transcript:DRNTG_20319.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFCCCFNSDAKEERSSRRSAKVRREGKTLHSLIHNISIESANQRHRTIAEEILQIGSGDTATRVFTFSELEAATENFADECLLGEGGFGRVYKGHLEDLNQDIAVKQLDRNGLQGNREFLVEVLMLSLLHHQNLVNLLGYCADGNQRVLVYEYMPLGSLEDHLLDLPPNKKPLDWNTRMRIAEGAAKGLEYLHDVANPPVIYRDFKASNILLDKGFNPKLSDFGLAKVGPVGDKSHVSTRVMGTYGYCAPEYALTGQLTTMSDVYSFGVVFLEIITGRRAIDTARSSGEQNLVEWAEPLFKDKKRFIEMADPLLEGNYPVKGLYQALAVAAMCLQQEASTRPLIGDVVTALEYLTISHNKSTLGMEESLPRSLSCASSEENVINETNEEKDAISSQQIEEEEEEEECHLSESKDEKDEVNHQGGSMELEQQHSDKVHS >DRNTG_31409.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001699.1:51617:53620:-1 gene:DRNTG_31409 transcript:DRNTG_31409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDRDPLVLGQVIGDVIDPFVKLASMRVVYGGREITNGTGLRSSSVADQPWVDIQGFNCGSDLYTLVMVDPDAPSPTNPTEQEYLLWLVTDIPEASDIRYGNEIVRYECPRAVTGIHRIVFVLFRQHVQQRVERPGWRQNFNTRDFGLYYDLGPPVAALYFNCQREHGCGGRSNNMGTLHRGSGINKPRGC >DRNTG_12653.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1244838:1246155:-1 gene:DRNTG_12653 transcript:DRNTG_12653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHWLSSSLVLLLCFAISTIAKPSSFSFKDNFDVMFADDHFKTSSDGQVWSLYLDKETGCGFQTKQRYRFGWFSMKLKLVGGDSAGVVTAFYMCSDLGAGPERDELDFEFLGNRTGQPYTIQTNVYKGGVGGREMRHMLWFDPTEDFHTYSLLWNNHTIVFFVDRVAIREYKNNVAESNDFFPNEKPMYMFSSIWNADDWATRGGLEKTDWKKAPFVSSYKDFNVDGCQWEDPYPACVSTTAEHWWDQYQAWTLTDSQQQDFSWVGRNLVIYDYCNDYERYPKLPPECALHSD >DRNTG_12653.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1244838:1246155:-1 gene:DRNTG_12653 transcript:DRNTG_12653.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYMFSSIWNADDWATRGGLEKTDWKKAPFVSSYKDFNVDGCQWEDPYPACVSTTAEHWWDQYQAWTLTDSQQQDFSWVGRNLVIYDYCNDYERYPKLPPECALHSD >DRNTG_33204.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:361282:362716:-1 gene:DRNTG_33204 transcript:DRNTG_33204.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFVRVSESVVVFIVLVMMRCEGMNHVVGGDQGWDAASDIASWSINKIFTVGDNIWFTYSAAREGVLEVRSKDEFESCDVSNPIKMFTGGLDTVALDGVGSRYFVSGRPEDCHNGLKLHVQVLPVSSETNHLTNVIDVVADGP >DRNTG_33204.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:361282:362645:-1 gene:DRNTG_33204 transcript:DRNTG_33204.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFVRVSESVVVFIVLVMMRCEGMNHVVGGDQGWDAASDIASWSINKIFTVGDNIWFTYSAAREGVLEVRSKDEFESCDVSNPIKMFTGGLDTVALDGVGSRYFVSGRPEDCHNGLKLHVQVLPVSSETNHLTNVIDVVADGP >DRNTG_33204.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:361188:362645:-1 gene:DRNTG_33204 transcript:DRNTG_33204.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFVRVSESVVVFIVLVMMRCEGMNHVVGGDQGWDAASDIASWSINKIFTVGDNIWFTYSAAREGVLEVRSKDEFESCDVSNPIKMFTGGLDTVALDGVGSRYFVSGRPEDCHNGLKLHVQVLPVSSETNHLTNVIDVVADGP >DRNTG_33204.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:361282:362780:-1 gene:DRNTG_33204 transcript:DRNTG_33204.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFVRVSESVVVFIVLVMMRCEGMNHVVGGDQGWDAASDIASWSINKIFTVGDNIWFTYSAAREGVLEVRSKDEFESCDVSNPIKMFTGGLDTVALDGVGSRYFVSGRPEDCHNGLKLHVQVLPVSSETNHLTNVIDVVADGP >DRNTG_34217.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2042786:2043268:1 gene:DRNTG_34217 transcript:DRNTG_34217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREPPAEELPGSPRAIHDPESNPGPMEAIAGEDEDEALKMAVVLSREEVLRRRSRRLKQLERCYREQYWALMEELRVRHRDYYWEFGKSPFDGQKGENV >DRNTG_34217.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2042911:2043268:1 gene:DRNTG_34217 transcript:DRNTG_34217.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKREPPAEELPGSPRAIHDPESNPGPMEAIAGEDEDEALKMAVVLSREEVLRRRSRRLKQLERCYREQYWALMEELRVRHRDYYWEFGKSPFDGQKGENV >DRNTG_27129.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1838046:1841588:-1 gene:DRNTG_27129 transcript:DRNTG_27129.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRIVTICQFGGEFVTNSDGSMSYDGGDAKAMEINREMKFSEFKSELSSMFDSSTDGFTIKYFLPNNKKTLITVSNDNDLKRMVDFNADSATTDVYVLKKNRNRTIIRSTPADSGTSTDGNTAVVAPRRGAKRLRLSTTGQEGLITGVGQVFDGPKPFRDALQKYGATNNFSFKFIKNDAASVIAECSVEGCSWRIQASRSAKKEFTIKKMNDTHTCGRVISKEGHRLASQQWVANIIKDKLRDSPDYKPREILNDLQREYGLNLNYAQAWRGRSIAEKELNNSHKEACDQLNWFCNRIMETNPGSVAVLQTTGDMRFHVFVAFHASLGGFEHGCRPLIFLDAIPLKANKQWKLLVATAVDGENNIFPVSFSVAEAETNDNWLWFLVQLKSAFSMSRTITFVSNKQNGLEEAVAQVFEDSYHGYCVHQLIENFKAELDESWTQEVKDVLVHTFERCVFACKVEEFNECVTSIKAESQELSEWVLASKPEIWSNAYFKGHRFGHYYSNAADTFNNWISMRYEPSLVQIVDVIRCKMMEMMYSRRESSNTWTEALTPSVNRRMEEEMVKAQALNVVCTSGSVFEVQDDSINVVNIETWECTCRRWQVFGLPCMHALAVIERTDGCVYDFCSKYFLTEYFRSTYALSINPIPDAGWPGCGDPVHSPVSCPPRTRRMVGRPKVKPATPRVSIKRSNRCGRCKSFGHNKQTCKSPA >DRNTG_27138.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19038739:19041837:-1 gene:DRNTG_27138 transcript:DRNTG_27138.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTACIVLMALFALQHYGTHRVGFLFAPILIAWLCCISGIGIYNIIKWNPGVVRAVSPYYIYNFFKLTGKDGWSSLGGIVLCITGAEAMFADLGHFSKFSIRMAFTAVVYPCLVLAYMGEAAYLTKHNEDLQKSFYESIPEQIFWPVFVIATLATAVGSQAIISATFSIISQCRALGCFPRVKIIHTSSSIHGQIYIPEVNWLLMFLCLVVTIGFKNTDTIGNAYGLAVIIVMFVTTCLMFLIIVTIWKRTVFLALLFIVFFGSLELLYFSACLTKVHNGGWLPLVFALLALIAMSAWHYGTSRKQSFELQNKVCLDQLLSLGPSLGLVRVPGIGLIYTTTVTGIPPMFAHFVTNFPAFHRVCVFVCLQTLTVPKVLPDEQFLIGRIGPPEYHIFQCIVRYGYKDLRWDSDEFENQLLLKIAEFLQRPESNGEMSVVSGKHSDTVVSAMARIGDTREVKKKVRFRGVGVKQEVKELIEEKESGVAYMMGHTCVVAHESSSFIKKFTINVIYGFLRRNSRRPAVALGVPHTSLIEVGMLYIV >DRNTG_27138.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19038739:19042342:-1 gene:DRNTG_27138 transcript:DRNTG_27138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRNSKMGLLNNFNTVHDHLSVYRMETSQKETKTSVLIKNFFEKHQSSRIVLLLVVLLGVSMVIGDGVLTPTMSVLSAVSGIRIKVPNLHENYMVFTACIVLMALFALQHYGTHRVGFLFAPILIAWLCCISGIGIYNIIKWNPGVVRAVSPYYIYNFFKLTGKDGWSSLGGIVLCITGAEAMFADLGHFSKFSIRMAFTAVVYPCLVLAYMGEAAYLTKHNEDLQKSFYESIPEQIFWPVFVIATLATAVGSQAIISATFSIISQCRALGCFPRVKIIHTSSSIHGQIYIPEVNWLLMFLCLVVTIGFKNTDTIGNAYGLAVIIVMFVTTCLMFLIIVTIWKRTVFLALLFIVFFGSLELLYFSACLTKVHNGGWLPLVFALLALIAMSAWHYGTSRKQSFELQNKVCLDQLLSLGPSLGLVRVPGIGLIYTTTVTGIPPMFAHFVTNFPAFHRVCVFVCLQTLTVPKVLPDEQFLIGRIGPPEYHIFQCIVRYGYKDLRWDSDEFENQLLLKIAEFLQRPESNGEMSVVSGKHSDTVVSAMARIGDTREVKKKVRFRGVGVKQEVKELIEEKESGVAYMMGHTCVVAHESSSFIKKFTINVIYGFLRRNSRRPAVALGVPHTSLIEVGMLYIV >DRNTG_27138.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19038739:19040551:-1 gene:DRNTG_27138 transcript:DRNTG_27138.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRQMAFTAVVYPCLVLAYMGEAAYLTKHNEDLQKSFYESIPEQIFWPVFVIATLATAVGSQAIISATFSIISQCRALGCFPRVKIIHTSSSIHGQIYIPEVNWLLMFLCLVVTIGFKNTDTIGNAYGLAVIIVMFVTTCLMFLIIVTIWKRTVFLALLFIVFFGSLELLYFSACLTKVHNGGWLPLVFALLALIAMSAWHYGTSRKQSFELQNKVCLDQLLSLGPSLGLVRVPGIGLIYTTTVTGIPPMFAHFVTNFPAFHRVCVFVCLQTLTVPKVLPDEQFLIGRIGPPEYHIFQCIVRYGYKDLRWDSDEFENQLLLKIAEFLQRPESNGEMSVVSGKHSDTVVSAMARIGDTREVKKKVRFRGVGVKQEVKELIEEKESGVAYMMGHTCVVAHESSSFIKKFTINVIYGFLRRNSRRPAVALGVPHTSLIEVGMLYIV >DRNTG_27138.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19038739:19040121:-1 gene:DRNTG_27138 transcript:DRNTG_27138.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCLVVTIGFKNTDTIGNAYGLAVIIVMFVTTCLMFLIIVTIWKRTVFLALLFIVFFGSLELLYFSACLTKVHNGGWLPLVFALLALIAMSAWHYGTSRKQSFELQNKVCLDQLLSLGPSLGLVRVPGIGLIYTTTVTGIPPMFAHFVTNFPAFHRVCVFVCLQTLTVPKVLPDEQFLIGRIGPPEYHIFQCIVRYGYKDLRWDSDEFENQLLLKIAEFLQRPESNGEMSVVSGKHSDTVVSAMARIGDTREVKKKVRFRGVGVKQEVKELIEEKESGVAYMMGHTCVVAHESSSFIKKFTINVIYGFLRRNSRRPAVALGVPHTSLIEVGMLYIV >DRNTG_31234.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001676.1:48016:49819:1 gene:DRNTG_31234 transcript:DRNTG_31234.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICCLGGIEISIRIRTSRLKRVERVSHEIVKYPLSPPSGVFYLHSLDSMQPYLVRGVPDPMVGVIFVGPYISHLIRDMGLRLGTRDSD >DRNTG_10499.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6142330:6235634:1 gene:DRNTG_10499 transcript:DRNTG_10499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNISGSMILLQSWAWYRISSISPRTRAMVSFPLARRWAHIEVDDDSRSNKHNVKIYRQLLDRLEMQQMCHDRVLPVRRRRRHSSRVEHDEAHIPRHPHHEG >DRNTG_18281.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1825820:1827573:-1 gene:DRNTG_18281 transcript:DRNTG_18281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGASPFKDAFELLVFQRIISRGINIPEYFSDEASDLIDKLLDIDPSRRLGAGPDGYLALKLHPFFKGIDWHNLRQGSVRKLAMEFIANKNNEPYNSTQNGSHQQSSFDRSSGSTSSSETHPHLSSLSSIDSFDSKWRDFLEPGESILMTSKLKKFRKLKSKKVQLILTDKPRLISVNANKLMEKKIVWSDNPNSINVQVVNSSHFKITTPTKVMSFEDAKQRAWQWKKTVEGLQKP >DRNTG_18281.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1825820:1826518:-1 gene:DRNTG_18281 transcript:DRNTG_18281.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKLKKFRKLKSKKVQLILTDKPRLISVNANKLMEKKIVWSDNPNSINVQVVNSSHFKITTPTKVMSFEDAKQRAWQWKKTVEGLQKP >DRNTG_18281.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1825820:1826729:-1 gene:DRNTG_18281 transcript:DRNTG_18281.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKLKKFRKLKSKKVQLILTDKPRLISVNANKLMEKKIVWSDNPNSINVQVVNSSHFKITTPTKVMSFEDAKQRAWQWKKTVEGLQKP >DRNTG_17426.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6039720:6040338:-1 gene:DRNTG_17426 transcript:DRNTG_17426.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNKVKNAGSTMDSTSTTFDNIYYKLLLQGKSLFSSDQSLLSHPKTKALVSLFASSHDAFSQAFVKSMIKMSSLNGGQEVRLDCKVVN >DRNTG_34595.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23158112:23159853:-1 gene:DRNTG_34595 transcript:DRNTG_34595.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPTARNEARSVIGDASFSGEISASRSPRTLK >DRNTG_14585.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000708.1:8592:10484:-1 gene:DRNTG_14585 transcript:DRNTG_14585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLNGWRSQSVKVGYSGNASPRIQIMAPRTKKQADKRPRESSSEPEGMRFVIPEHQARYECLLRLRFGQTRILDTTILRDLQQGDEFADEVEDLVSEGGWRQLLTIREPAI >DRNTG_16363.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7696585:7702514:-1 gene:DRNTG_16363 transcript:DRNTG_16363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECVPTCSYSSKHIHLEGHKGSHTRAFRLMHIRTRDPPTCTSLKKQVIHNVNVCPFAGVCDIIHARVVARFHPYLKPISASISIFFSPSFPQLARGFRLGFRGVFAKVLERFYGSDIVIPLGRRLVGELRSRRILYRTKESLDDEYRTFHKTIDTTIEGHFSTVLCYSIRLNSFPNPYFHRGNANGHTFTSWITCFFNDRQVGGALVLCAYVGMLECDCPYAPPNGCANSNMRRLILAMGFRAAIRYTDQIVLPSPLGLDTLRMMGVVRRYGPGAHILATPTTESTESGGDAVGDSALSWPRPQDSQLLRCRPLAPLASSPSPIPAPVDLPYGLVILGIETLSWARPKCFGTWPCELHNLFQHYSQGVSFVKRDTRVVRPIMKERSQCGS >DRNTG_21934.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2188230:2189030:-1 gene:DRNTG_21934 transcript:DRNTG_21934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRENNYNWRWFLELVAVDLDINNSHLWAFMSDRQKGLILALQDLFPNSEHRYCVRHTH >DRNTG_05771.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000268.1:1:4893:-1 gene:DRNTG_05771 transcript:DRNTG_05771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECYFRPGTVAEHCSESDVAALFTAGRENRNSENPHGDVEIIHGRVEIPHGRVKNPQARVVARFQPYLKPIQPRFQHSFLHLFLNLREGFGYGFERYWLGFWRGSMAPTSSLLRKKVGREASFEAYPIPDKGIFGL >DRNTG_07195.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21940401:21940917:1 gene:DRNTG_07195 transcript:DRNTG_07195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYDAFESSQASSAMRTTTTTSGHKRWTPSESRYFIRFMASQVEEGLKVDKGFKPQAIHAAIRAMKQAFGVVVTEANVSNHLKTIRRRWARIKRLKEMSGMGWDNNLKMIVMGEAEYRDYVQIHPQDEPYLNKTIEDHDLLEAICGND >DRNTG_29303.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29604904:29605209:1 gene:DRNTG_29303 transcript:DRNTG_29303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKRRSNRAGRNPRDDHWSEAKGDLNTGMVVDWGSEAH >DRNTG_20669.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20278598:20280970:-1 gene:DRNTG_20669 transcript:DRNTG_20669.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMNPLLEKIETSHIQVMQAAARVGVSITVNQVGSDTLTTTVPVNVSLIDGAKEWQPIFTLDEDGVLHQLRANLLQVRDASALQSPIVGLQQPQPNPILPFVQACVDAITEHQRLNTLMKGELIRGLLPLSGVRADYTVQRVRELAEGTCLKNYNYLGSVDGSDKAGKKYSGELPSDSHLLLYLFSAFLDHPKWMLHVDPASYSGAQSSKNPLFLGVLPPKERFPEKYVAIISGVPSVVHPGACILAVGKQSPPTFALYWDKKLQISLQGRTALWDAIFASLP >DRNTG_25014.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19476127:19476768:-1 gene:DRNTG_25014 transcript:DRNTG_25014.1 gene_biotype:protein_coding transcript_biotype:protein_coding HQWLLRVNSHAHVLHAFINDEFIGTEHGSHNSEGVVFEKPISLLNGKNNFSFLSVMVGSPDSGAYLEHKIFGLQRVRIQGNINVQDFGNQLWGYQVGLQGEKLEVYTEKGSESVEWNTFDTFVHQS >DRNTG_18794.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:336912:340141:-1 gene:DRNTG_18794 transcript:DRNTG_18794.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVALKEQLASLMDSMYQEGLLDEQFQQLQSLQDETNPCFVMEVVTLFCDDAERIFGELDKIMNQPVIEFQQVDAYIHQLKGSSSSVGAQNVKLACIQFRHSLEDNDREGCLRALNVVKREYLHLRSKLETMLQLEQRIQAYDKQKN >DRNTG_15067.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4941400:4943932:-1 gene:DRNTG_15067 transcript:DRNTG_15067.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:LysM domain-containing GPI-anchored protein 2 [Source:Projected from Arabidopsis thaliana (AT2G17120) UniProtKB/Swiss-Prot;Acc:O23006] MSSPFLSIHLLLHLLLFTSTALSSFICNSTTTATCSSLIGYVPDNETSLSALQSLFQTTLLSLVGANSLPLSTPSSYTVAANKPILISIPCNCSNGNGSSAHVPTYTVKANDTLDNIAINKFGRFVTYQQIATANGIPNPNLITVGQVLWIPLPCSCDPVDGDERVHLAHKVAPQSSLDQIAAEFGVNKSTVMRLNGINDSATLQASQILDVPLRACSSSISSKSLDSGLRLSDGSYAVTANGCVLCNCTSSFRVSCNLQPNGNTSVCPAARKCGNLEFGKSSSSGCEKTTCDYAGYTNSTNLSILTTNYVDSSTCSSGGGSPTSSPSSHAHAVGMNLSRALIFIHILLGTLALRH >DRNTG_15067.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4941400:4943932:-1 gene:DRNTG_15067 transcript:DRNTG_15067.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LysM domain-containing GPI-anchored protein 2 [Source:Projected from Arabidopsis thaliana (AT2G17120) UniProtKB/Swiss-Prot;Acc:O23006] MSSPFLSIHLLLHLLLFTSTALSSFICNSTTTATCSSLIGYVPDNETSLSALQSLFQTTLLSLVGANSLPLSTPSSYTVAANKPILISIPCNCSNGNGSSAHVPTYTVKANDTLDNIAINKFGRFVTYQQIATANGIPNPNLITVGQVLWIPLPCSCDPVDGDERVHLAHKVAPQSSLDQIAAEFGVNKSTVMRLNGINDSATLQASQILDVPLRACSSSISSKSLDSGLRLSDGSYAVTANGCVLCNCTSSFRVSCNLQPNGNTSVCPAARKCGNLEFGKSSSSGCEKTTCDYAGYTNSTNLSILTTNYVDSSTCSSAGGGSPTSSPSSHAHAVGMNLSRALIFIHILLGTLALRH >DRNTG_01193.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29455735:29458543:-1 gene:DRNTG_01193 transcript:DRNTG_01193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDGQLVEKPYKSSSKKIRRRQKKAGSMQSAVQRLYDVCKDVFADGGAGVVPPPEDVERIRSVLDSLTPADVGLSPNLPFFKKTEYKGPPAVRYLHLYDCPRFSIGIFCLPPTSCIPLHNHPGMTVFSKLLFGSMHIKSYDWVDVPENFNQPLKSGHFQPPGMRLAKLHRDAVFTTPCETSILYPLAGGNMHRFTAVTSCAVLDVLGPPYSDAEGRPCMYYNDFPYASFSGDTDSVPGNGDEYAWLEEKAKPDEFFVLGAKYTGPKIIER >DRNTG_33058.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7270930:7309933:1 gene:DRNTG_33058 transcript:DRNTG_33058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQFPRLVARVEALEGRSQPTAPSLQRTEAPGTNEASEFDDDDIIGKVIPRRPHSKRLAKKRRTIRPLSPPPADDETMATPSAADAVTETVAVDDMAMTVEDIVDDVVVAA >DRNTG_23308.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1150893:1156351:1 gene:DRNTG_23308 transcript:DRNTG_23308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGKGNLERIRRGFRTALFMLTMVASLLVLSAPLLLAVGDVFISLVLASRYACATCYGLREHLRKYDFRSSLMDIPVISVVRSLVITCAYCLRDGPGLSHGPYLESATVCSFISVVILLVKSCLYSPALEEIHASDSLPSEKLHSNKSWGTSSLFLSSLVFALGHVVVAYRTSCKARKKLLFHHVLACKNVFAGYHKVPRSPTPCNARNSKSNSETKRKTSFRNMHDIPISLLADVDSQFIACQGITVHYKLSLPESPLPLSLSSSPFLEWSPNVSPPRISSGRLNIEWPMIVPPKSHYHLQRSLSNHFQNSSLYAPLLADAVPAATYCTDQIPALNLDDGDTYGYLPNSVSLDIDVNKKDKFAVILIHGFGGGVFSWRHVMDVLARQIGCAVVAFDRPGWGLTSRPRRKDWEEKQLPNPYKLESQVDLLISFCLEMGFSSVVLVGHDDGGLLALKAAEKIRSSLEYAHVVEVKGAILLSASLSREVIPAFARILLHTSLGKKHMVRPLLRAEITQVINRHAWYDSTKLTAEVMNLYKATLCVEGWDEALHEIGRLSFATVLSPENADALLKSIENLPVLIVVGAEDALVSLKSAQVMASKLLNSRLVAISGCGHLPHEECPKALLAALQPFITRLLPSSDDTLQKQ >DRNTG_02424.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000114.1:319140:321182:-1 gene:DRNTG_02424 transcript:DRNTG_02424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSMVLWKVLYSSSKDSFVRYRIRLDRSSPTNLLPNGITMAGIGNPHALVDIPHTPVDIPHGLVELTQRCVEFPHAHVASLVNSFFCLATVFRYCKRAHVHVVDRLGSSINGHVGGDLAKYALARILGCNYHRAPPNHCFSSNTRRLAHILALSRPVSRVPLMTYRHDNPREVQH >DRNTG_12876.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22866065:22867480:1 gene:DRNTG_12876 transcript:DRNTG_12876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKRTHIVMLCSPGVGHFIPIFELAKHLAHHDDLTITIITTQPITSIPDSYQTIINSLQSDIHLLSFPSPPYHSLPESAKDESRIMFSISHNLPRLRELLRSLASSDNPPVALFVDLFGVDAFDVAMEFKIHPYIFSTSSCFALRFGLHLPKLDDVLTGEFQDFLEPLRLPGCPPISPKDMPDFMLDRGNTAYLSFVRIFKRYVEAKGILVNSFEELEPVAMKALKDDEDVPLVYTVGPLVRTCLTGVVNGAGCLTWLDKQPLGSVLYVSFGSGGTLTREQMKELALGLEMSKQRFLWVVKTPHESKPSAAYFGGQTPESITSLEFLPDGFLARTEGLGLVVPAWAPQVEVLGHASVAGFMSHCGWNSILESILHGVPMLAWPLYAEQNLNALMIVDGLKVALRPQVGDNGLVTRDEVCRVIKCLMEGMEGKELRERMKQLSVAATKAVAEDGSSFKSISKLALDLKIL >DRNTG_20180.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:9089111:9098298:-1 gene:DRNTG_20180 transcript:DRNTG_20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/calcium exchanger NCL [Source:Projected from Arabidopsis thaliana (AT1G53210) UniProtKB/Swiss-Prot;Acc:Q8L636] MAIRAAQPSWSPLLLAPLLLLLFFACGAHSRLISEETPLISDGITSSSGDHPSPFLLLPRSIVRSKLMAEESCEQTYGFLPCTTTVLGNLFLVIVYGFMMFKAATFLSTGSELLLEILGPGIVGGLFLPILGALPDAMLILVSGLSGSRETAQSQVVIGMGLLAGSTVMLLTVLWGSCIVVGKCDLSENSTSVDLQDTKGFSLFGSGVSVDLPTSHAAKIMAISVVPFIIVQIPLIFKIPSAGRIAVLISLIVSAGLIIAYCLYQVFQPWIQKRRLAYAKHKHVISGILRHAQMQSLGRLFDSDGEPNVHVIRKLFHKLDLDANNLISRNELRALIIGIQFDEMDLDKDDAVDKVMDDFDTSRNDLIEEGEFIQGISKWLLEAKHTVGYSGVFSQRFIHDFHMKTRDEHNSLVEKSDEVVENIDNPTYTCIKALLLLLLGTVLAAVFADPLVGAVDNFSLATNIPSFFISFIAMPLATNSSEAVSALIFASRKKQRTSSLTFSEIYGGVTMNNTLCLAIFLALVYIRGLTWDFSSEVLVILIVCIVMALFTSFRTTFPLWTCFVAYLLYPFSLVLVYVLDFVFGWS >DRNTG_18742.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1224010:1224669:1 gene:DRNTG_18742 transcript:DRNTG_18742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPNLLHLLPPSMDHQQLKEEDQEEKSSSLSSSKNQSEEDESWLDLCLGKSSTDSSTSSMTNIISSSPKSSGKHKVFTCNFCMRTFFSSQALGGHQNAHKRERSEARRFHQAQRFIESSSIMPSFRSLGVQAHSMISKVHGDHRRGDMVARFDKIIMSGVHHPNNNNTCFAFGDLDCFSHDLRWQRSYKITTGFDHQHDHELQKSSRFNINIDLDLRL >DRNTG_27718.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3677632:3678330:-1 gene:DRNTG_27718 transcript:DRNTG_27718.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSNGRYKSCLHRAVVNSDETRKSLAFFLCPEMSKIVRPPCSLVDSEHPRVYPDFTWSALLEFTQKHYRADTKTLDAFAEWLLKSQKVIIISSIENGTVFVFVFLFFL >DRNTG_27718.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3677632:3679400:-1 gene:DRNTG_27718 transcript:DRNTG_27718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCFKPESPQAPPPSSGELITKRPPPPSLVFDASILSHELNIPSQFIWPEEDKPTPDASEELHLPLIDLQDFLSGNPTAMSDVSRIVGDACERHGFFQVINHGVDHELLRVALLCMDSFFSMPLKDKQKAQRLAGECCGYASSFTGRFSSKLPWKETLSFRFSLSPNVVHDYFLQTLGHEFRHFGDVYQRYCEAMSKLSLEIMEVLGVSLGVGKRGFRDFFERNDSIMRLNYYPLCQRPDQTLGTGPHCDPTSLTILHQDHVGGLQVLSDGKWRTIRPNPNAFVVNIGDTFMALSNGRYKSCLHRAVVNSDETRKSLAFFLCPEMSKIVRPPCSLVDSEHPRVYPDFTWSALLEFTQKHYRADTKTLDAFAEWLLKSQKVIIISSIENGTVFVFVFLFFL >DRNTG_31084.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001657.1:1051:2361:1 gene:DRNTG_31084 transcript:DRNTG_31084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWPAHTSVVPTHAAAWAGREHQDEPRARVARPRGHLAPLTARPTEPCTHVACHVCHHGQRNWLRNVLAKGGSHPPSAEIELPNPIHQQRPNSQCYLTYTIRNMLTQSIGYLHHQSEYLRIRVLFTRPYITRLLFKMGLLRLVRGEERIGTPIPLGLVTLRLMGIVRRTEAPPKGEPMVTEAAPAASADPKSSST >DRNTG_14539.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28249370:28257161:-1 gene:DRNTG_14539 transcript:DRNTG_14539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAAEQQAAMGPRPQWVAAMRYPATALMMAPPPPPYGGPHFMPFHPPPPQAAEAVVGGTEENKTIWVGDLHYWMDEAYLMNCFGYIGQVVNIKVIRNKQTGQSEGYGFVEFVSHAMAEKVLHTLNGAAMPSTDQPFRLNWATFSMGDRRSDAASDHSIFVGDLASDVTDTMLQETFGSRYSSVKGAKVVIDANTGRSKGYGFVRFGDDEEKTRAMTEMNGVYCSSRPMRIGAATPRKSSGGFSSNAAPTQGSQSEGDTTNTTVFVGGLDPDVSEDDLKLAFSQYGEISSVKIPVGKQCGFVQFVHRNNAEEALQGLHGKVLGKQTVRLSWGRSPANKQG >DRNTG_23277.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001262.1:85780:87948:1 gene:DRNTG_23277 transcript:DRNTG_23277.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRDRPSSSSSSPSPISKKPRPLPSDDLLSLLISATSLALRFLSSSSPLLPSYLSHPLYSSLLSSSSSLSRLLSLLPYPPPPPSPPPPPYPSWFLPFLTPSSPSSLWLPYFRMSKPTFDLLLQTLTPSLTADSAPPSHKLAAALLRLSHATPFSDLARRFSFSSPSLACRAFYEVCRAITHRLGHLFELRSDPRRILQGFNWMSLPNCLGAVGFSRFLIDGPACGGSVILQAVVDSEGRFLDVSAGWHGEMTPCPDSPPDGAV >DRNTG_23277.13.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001262.1:91993:93021:1 gene:DRNTG_23277 transcript:DRNTG_23277.13 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSWLSKSSLDASLVYEYGLLLSHNELEEEDITHFDHEFLQSMGITIAKHRLEIIKLSKKHKKVFYYSPFPVNRLLSAVDKTKNCITKYVLRLFVHRNSSAIVVVPRSSLGDRWKGAALKRSKKIMVVKQGSFLLTDGRSPVMPSFFYGDKTNIVDNNGGVAVVGEQEDGDDDDDGYWGSGDVEKVRWDTMFQDLKPT >DRNTG_23277.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001262.1:91563:93021:1 gene:DRNTG_23277 transcript:DRNTG_23277.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSWLSKSSLDASLVYEYGLLLSHNELEEEDITHFDHEFLQSMGITIAKHRLEIIKLSKKHKKVFYYSPFPVNRLLSAVDKTKNCITKYVLRLFVHRNSSAIVVVPRSSLGDRWKGAALKRSKKIMVVKQGSFLLTDGRSPVMPSFFYGDKTNIVDNNGGVAVVGEQEDGDDDDDGYWGSGDVEKVRWDTMFQDLKPT >DRNTG_23277.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001262.1:88079:92920:1 gene:DRNTG_23277 transcript:DRNTG_23277.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSWLSKSSLDASLVYEYGLLLSHNELEEEDITHFDHEFLQSMGITIAKHRLEIIKLSKKHKKVFYYSPFPVNRLLSAVDKTKNCITKYVLRLFVHRNSSAIVVVPRSSLGDRWKGAALKRSKKIMVVKQGSFLLTDGRSPVMPSFFYGDKTNIVDNNGGVAVVGEQEDGDDDDDGYWGSGDVEKVRWDTMFQDLKPT >DRNTG_23277.14.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001262.1:91904:92920:1 gene:DRNTG_23277 transcript:DRNTG_23277.14 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSWLSKSSLDASLVYEYGLLLSHNELEEEDITHFDHEFLQSMGITIAKHRLEIIKLSKKHKKVFYYSPFPVNRLLSAVDKTKNCITKYVLRLFVHRNSSAIVVVPRSSLGDRWKGAALKRSKKIMVVKQGSFLLTDGRSPVMPSFFYGDKTNIVDNNGGVAVVGEQEDGDDDDDGYWGSGDVEKVRWDTMFQDLKPT >DRNTG_23277.9.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001262.1:91662:92920:1 gene:DRNTG_23277 transcript:DRNTG_23277.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSWLSKSSLDASLVYEYGLLLSHNELEEEDITHFDHEFLQSMGITIAKHRLEIIKLSKKHKKVFYYSPFPVNRLLSAVDKTKNCITKYVLRLFVHRNSSAIVVVPRSSLGDRWKGAALKRSKKIMVVKQGSFLLTDGRSPVMPSFFYGDKTNIVDNNGGVAVVGEQEDGDDDDDGYWGSGDVEKVRWDTMFQDLKPT >DRNTG_23277.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001262.1:85780:91251:1 gene:DRNTG_23277 transcript:DRNTG_23277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRDRPSSSSSSPSPISKKPRPLPSDDLLSLLISATSLALRFLSSSSPLLPSYLSHPLYSSLLSSSSSLSRLLSLLPYPPPPPSPPPPPYPSWFLPFLTPSSPSSLWLPYFRMSKPTFDLLLQTLTPSLTADSAPPSHKLAAALLRLSHATPFSDLARRFSFSSPSLACRAFYEVCRAITHRLGHLFELRSDPRRILQGFNWMSLPNCLGAVGFSRFLIDGPACGGSVILQAVVDSEGRFLDVSAGWHGEMTPCPDSPPDGAV >DRNTG_23277.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001262.1:91662:93021:1 gene:DRNTG_23277 transcript:DRNTG_23277.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSWLSKSSLDASLVYEYGLLLSHNELEEEDITHFDHEFLQSMGITIAKHRLEIIKLSKKHKKVFYYSPFPVNRLLSAVDKTKNCITKYVLRLFVHRNSSAIVVVPRSSLGDRWKGAALKRSKKIMVVKQGSFLLTDGRSPVMPSFFYGDKTNIVDNNGGVAVVGEQEDGDDDDDGYWGSGDVEKVRWDTMFQDLKPT >DRNTG_23277.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001262.1:91462:93021:1 gene:DRNTG_23277 transcript:DRNTG_23277.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSWLSKSSLDASLVYEYGLLLSHNELEEEDITHFDHEFLQSMGITIAKHRLEIIKLSKKHKKVFYYSPFPVNRLLSAVDKTKNCITKYVLRLFVHRNSSAIVVVPRSSLGDRWKGAALKRSKKIMVVKQGSFLLTDGRSPVMPSFFYGDKTNIVDNNGGVAVVGEQEDGDDDDDGYWGSGDVEKVRWDTMFQDLKPT >DRNTG_23277.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001262.1:91462:92920:1 gene:DRNTG_23277 transcript:DRNTG_23277.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSWLSKSSLDASLVYEYGLLLSHNELEEEDITHFDHEFLQSMGITIAKHRLEIIKLSKKHKKVFYYSPFPVNRLLSAVDKTKNCITKYVLRLFVHRNSSAIVVVPRSSLGDRWKGAALKRSKKIMVVKQGSFLLTDGRSPVMPSFFYGDKTNIVDNNGGVAVVGEQEDGDDDDDGYWGSGDVEKVRWDTMFQDLKPT >DRNTG_23277.11.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001262.1:91768:92920:1 gene:DRNTG_23277 transcript:DRNTG_23277.11 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSWLSKSSLDASLVYEYGLLLSHNELEEEDITHFDHEFLQSMGITIAKHRLEIIKLSKKHKKVFYYSPFPVNRLLSAVDKTKNCITKYVLRLFVHRNSSAIVVVPRSSLGDRWKGAALKRSKKIMVVKQGSFLLTDGRSPVMPSFFYGDKTNIVDNNGGVAVVGEQEDGDDDDDGYWGSGDVEKVRWDTMFQDLKPT >DRNTG_23277.12.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001262.1:91904:93021:1 gene:DRNTG_23277 transcript:DRNTG_23277.12 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSWLSKSSLDASLVYEYGLLLSHNELEEEDITHFDHEFLQSMGITIAKHRLEIIKLSKKHKKVFYYSPFPVNRLLSAVDKTKNCITKYVLRLFVHRNSSAIVVVPRSSLGDRWKGAALKRSKKIMVVKQGSFLLTDGRSPVMPSFFYGDKTNIVDNNGGVAVVGEQEDGDDDDDGYWGSGDVEKVRWDTMFQDLKPT >DRNTG_23277.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001262.1:91563:92920:1 gene:DRNTG_23277 transcript:DRNTG_23277.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSWLSKSSLDASLVYEYGLLLSHNELEEEDITHFDHEFLQSMGITIAKHRLEIIKLSKKHKKVFYYSPFPVNRLLSAVDKTKNCITKYVLRLFVHRNSSAIVVVPRSSLGDRWKGAALKRSKKIMVVKQGSFLLTDGRSPVMPSFFYGDKTNIVDNNGGVAVVGEQEDGDDDDDGYWGSGDVEKVRWDTMFQDLKPT >DRNTG_23277.10.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001262.1:91768:93021:1 gene:DRNTG_23277 transcript:DRNTG_23277.10 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSWLSKSSLDASLVYEYGLLLSHNELEEEDITHFDHEFLQSMGITIAKHRLEIIKLSKKHKKVFYYSPFPVNRLLSAVDKTKNCITKYVLRLFVHRNSSAIVVVPRSSLGDRWKGAALKRSKKIMVVKQGSFLLTDGRSPVMPSFFYGDKTNIVDNNGGVAVVGEQEDGDDDDDGYWGSGDVEKVRWDTMFQDLKPT >DRNTG_23277.15.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001262.1:91993:92920:1 gene:DRNTG_23277 transcript:DRNTG_23277.15 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSWLSKSSLDASLVYEYGLLLSHNELEEEDITHFDHEFLQSMGITIAKHRLEIIKLSKKHKKVFYYSPFPVNRLLSAVDKTKNCITKYVLRLFVHRNSSAIVVVPRSSLGDRWKGAALKRSKKIMVVKQGSFLLTDGRSPVMPSFFYGDKTNIVDNNGGVAVVGEQEDGDDDDDGYWGSGDVEKVRWDTMFQDLKPT >DRNTG_15512.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20801878:20804240:1 gene:DRNTG_15512 transcript:DRNTG_15512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKHKEGESDKGLFSHGHSGYPPAGYPPQGYPPQQGYPPQQGYPPQGYPPAPGGYPPQGYPPQGYGGYPPQSGYPPAGYAPYPGGSSHGQGHGHGAMGAVLAGGAAAAAAAMGAHHLSHSGHGAHNLGHGGYAGYGAPHFGGHGGKFKHGKHGKHHGGKFKHGKHGKHGMFGGGKFKKWK >DRNTG_10465.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3972090:3976914:1 gene:DRNTG_10465 transcript:DRNTG_10465.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIAKLCLSNIGIFQAFTRCFRIWQDSFTSKNDDESAQNVIQKKEMGSYA >DRNTG_35311.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22780513:22784629:1 gene:DRNTG_35311 transcript:DRNTG_35311.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLQPWKKTMAMAIAMAVTLLQVCASMKITKLPGQPQVSFQHYSGYITVDELKHRSLFYYFAEAELDPSSKPLVLWLNGGPGCSSVGVGAFSENGPFRPSGQILVRNEYSWNKDANMLYLETPAGVGFSYSTDSSYYTGVNDKMAARDNLVFLRRWLTQFPQYKTRDLYIAGESYAGHYVPQLAQLMVQFNMKEKIFNLKGIALGNPVLEFSTDFNSRAEYFWSHGLISDSTYNLFTSACNYSRYVHEYYGGMLSYVCASVMNQVTKETSRFVDKYDVTLDVCISSVLSQSLALNPQKLTTRIDVCVEDETMNYLNRKDVQDALHAKLNGVTEWTVCSSVLQYELLNLEIPTITVVGSLIKYGIPVLVYSGDQDSVIPLTGSRTLVDKLAKELGLNTTVPYRVWFEGQQVGGWTQVYGNILSFATIRGASHEAPFSQPERSLVLFRAFLQGRPLPESF >DRNTG_17197.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:366584:373264:1 gene:DRNTG_17197 transcript:DRNTG_17197.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein 19 [Source:Projected from Arabidopsis thaliana (AT3G07950) UniProtKB/Swiss-Prot;Acc:Q8LF05] MMNSSSSQHQPLGVSNLFSGFTRLCKGLSVILVGGYVLLQIFPSAATYLALIPARTIPFVWNLITSGYFEQSLLGVAISTIGLLFVGKLLEPLWGSKEFLKFIFVVNFLTSICVFVTAIALYYIKREESYLYTPISGFHGVLSGFLVGIKQIMPDQELSLFFIIKIRAMWLPLIVVLISIAASFFTTDSVPHVPIILFGIYMSWIYLRYFQRKPETNLKGDPSDEFSFSSFFPEFLRPVLEPIASLFDRLLCGRSEASGEPRGQTLGGAPLPGSDPIEASRRRQRGARALEERLAAEKLSASGESGEEMPLESV >DRNTG_17197.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:366584:373264:1 gene:DRNTG_17197 transcript:DRNTG_17197.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein 19 [Source:Projected from Arabidopsis thaliana (AT3G07950) UniProtKB/Swiss-Prot;Acc:Q8LF05] MPDQELSLFFIIKIRAMWLPLIVVLISIAASFFTTDSVPHVPIILFGIYMSWIYLRYFQRKPETNLKGDPSDEFSFSSFFPEFLRPVLEPIASLFDRLLCGRSEASGEPRGQTLGGAPLPGSDPIEASRRRQRGARALEERLAAEKLSASGESGEEMPLESV >DRNTG_25571.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29719555:29720412:1 gene:DRNTG_25571 transcript:DRNTG_25571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSITVMSLNLHEGEQDSGSPNSWEKRRDLCLSVITSYSPTVLCTQQGLKWQLDFLQQSLPGYEQFGISRKGPQDTTDEYCTIFYDKEK >DRNTG_11102.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30655349:30656102:-1 gene:DRNTG_11102 transcript:DRNTG_11102.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRLILLISLITTTSLLTISSSASDIGSHAWLEELVHGRARFISYGALRRNAIPCSRRGHSYYNCGRGGTANPYHRGDKKDRKKAQKERSYIDFNESYPSLDHTNWSFFIKALEN >DRNTG_33477.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17920241:17921969:-1 gene:DRNTG_33477 transcript:DRNTG_33477.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMQQLQPTVTDLTEILKNGEHIGYQDGSFVVDILKRIGFKDHQMMNYSTREEYKEALIKGSANGGVAAIFDEIPYLKLFFSKYCTNFTMVGKTYKTDGFGFVFPLGSPLSRDVSRAILSVTESDMMVAIERKWFGDMTSCPSDSSKLSSSSLNFSSFGGLFLITGAVSVLALILFFTIYVYQNWNELRTVATESSAWTRTGAWIKHFDQRDLNSHTFRREGQMTMSNRKSKQESLRTASVPAMPDFDGCQSPMSIQLTDMNCGTPEGMFSAELGIQSVETQTEDAPVRIAELAS >DRNTG_33477.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17920241:17921969:-1 gene:DRNTG_33477 transcript:DRNTG_33477.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQWSGDVTIIANRSNYVDFTLPYTESGVSMIALVKENKRRNMWIFLKPLTTNLWLGTLTFFFFTGCIVWLIEHRINPEFRGPPSQQLGIIFYFAFSTLVFAHRERLQSNLSRVVVVIWVFVVLILTSSYTASLTSMLTMQQLQPTVTDLTEILKNGEHIGYQDGSFVVDILKRIGFKDHQMMNYSTREEYKEALIKGSANGGVAAIFDEIPYLKLFFSKYCTNFTMVGKTYKTDGFGFVFPLGSPLSRDVSRAILSVTESDMMVAIERKWFGDMTSCPSDSSKLSSSSLNFSSFGGLFLITGAVSVLALILFFTIYVYQNWNELRTVATESSAWTRTGAWIKHFDQRDLNSHTFRREGQMTMSNRKSKQESLRTASVPAMPDFDGCQSPMSIQLTDMNCGTPEGMFSAELGIQSVETQTEDAPVRIAELAS >DRNTG_33477.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17920108:17921969:-1 gene:DRNTG_33477 transcript:DRNTG_33477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMQQLQPTVTDLTEILKNGEHIGYQDGSFVVDILKRIGFKDHQMMNYSTREEYKEALIKGSANGGVAAIFDEIPYLKLFFSKYCTNFTMVGKTYKTDGFGFVSSFPCSKEQTSCKLCDNVLQISCRFSLWAHLYHGTFPGRYSV >DRNTG_19549.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001010.1:27837:32190:-1 gene:DRNTG_19549 transcript:DRNTG_19549.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRSIGFFIVDAYYRISLAGCLSQPMDNNSSDILLALEVALQSALDSQMQVQHIFTDHANTLHLIENPNQYLLWRFQAQISNINFLLDMFTRPKIHQIPPHWMKPASILAYLGFRHRSLNLFLYGRDLPYWVGLGARAFLCECRWTNPLLNPYSWNQVCRQYFPNQLENYFSKYYTKFITLIYPYIEISFPEYSGQRLKRSEAYTAIESYLSNTTSERARRLKAEMGHDSDKLILSMAENEEITDEFCGVKLWWFSRKTSSDRQTISFYPTDDDKRSYRLTFHRRHRVLINETYLPLVIQQGKAIAVQKRKRKLYTNSSSFDYTEYRKLVWSHVPFEHPATFETLAVDPQMKLEIMQDLVKFSKSKEYYAKIGKPWKRVS >DRNTG_29449.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001479.1:16377:17021:-1 gene:DRNTG_29449 transcript:DRNTG_29449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKVAILFFVLVISLGLLMDTSTCEGARLHGAMQSSVDVKSIKVWLHDLSVKRARRGVMEYGFNREVPSGPDGQHHNQPPSGKVHS >DRNTG_23164.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001260.1:1710:6019:-1 gene:DRNTG_23164 transcript:DRNTG_23164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRRTPVLLSWICLLLAVFLIPGHCFYLPGVAPADFQKKDPLQVKVNKLTSTKTQLPYSYYSLPFCRPDTIVDSAENLGEVLRGDRIENSPYVFEMREPKMCQIVCKLTPNEKDAKDLKEKIEDEYHVNMILDNLPLVVPIKRLDQDSPTFYQHGYQIGVKSPHSEGKDEKYYIHNHLSFLVRYHKDEQMDVARIVGFEVKPFSVKHEADGKWNGDKTRLSTCDPHARQTVMSNDNPLEVEANKDIIFTYDVDFVESDVKWASRWDTYLLMADDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMMFAVLGFLSPSNRGGLMTAMLLLWVFMGLFAGYSSARLYKMFKGAEWKQIALKTAFLFPAVVFVIFFVLNALIWGEKSSGAVPFTTMFALVFLWFGISVPLVFVGSYLGFKKPAVEDPVKTNKIPRQIPEQAWYMNPIFSMLIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFIILIVTCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKLVSGALYFGYMLIAAYSFFVLTGTIGFYACFWFTRLIYSSVKID >DRNTG_23164.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001260.1:1879:6019:-1 gene:DRNTG_23164 transcript:DRNTG_23164.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRRTPVLLSWICLLLAVFLIPGHCFYLPGVAPADFQKKDPLQVKVNKLTSTKTQLPYSYYSLPFCRPDTIVDSAENLGEVLRGDRIENSPYVFEMREPKMCQIVCKLTPNEKDAKDLKEKIEDEYHVNMILDNLPLVVPIKRLDQDSPTFYQHGYQIGVKSPHSEGKDEKYYIHNHLSFLVRYHKDEQMDVARIVGFEVKPFSVKHEADGKWNGDKTRLSTCDPHARQTVMSNDNPLEVEANKDIIFTYDVDFVESDVKWASRWDTYLLMADDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMMFAVLGFLSPSNRGGLMTAMLLLWVFMGLFAGYSSARLYKMFKGAEWKQIALKTAFLFPAVVFVIFFVLNALIWGEKSSGAVPFTTMFALVFLWFGISVPLVFVGSYLGFKKPAVEDPVKTNKIPRQIPEQAWYMNPIFSMLIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFIILIVTCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKLVSGALYFGYMLIAAYSFFVLTGTIGFYACFWFTRLIYSSVKID >DRNTG_17004.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19992851:19994282:-1 gene:DRNTG_17004 transcript:DRNTG_17004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAKGLFPEDHPKFIGTYWGAISTSFCSEIVESSDAYLFAGPVFNDYTSVGYSLLIKTEKAVIVQPERVVIPNGPAFGCILMKDFLHALAKRIKRNTTAYENYERIFVPGGVPRKAVSREALRVNVLFMHIQRMLSGQTAVIAETGDSWFNCQKLKLPPGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVIACIGDGSFQVTAQDVSTMIRCGQNSIIFLINNGGYTIEVEIHDGPYNVIKNWDYTAFVDAIHNGEGKCWTAKVHCEEELKEAIEMAMGAKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >DRNTG_18133.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:512534:517298:1 gene:DRNTG_18133 transcript:DRNTG_18133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIEGFNPNGAQEGFEDECSVKQTQFFTTEDVGNIVDDFWSIYSFSQESQTDKGTILPSSVTESIPEFIAPQTPLMVFEPNLENPIVQDITEFVLPKKKSCTGVLSSLEILNSYKPCHNRLQVGNLNGEIVGFDSNSLDGETRFSTEEIVRFAAARYIELSSPTEGNNSMLRNPLGLSLSGFNNEEIQNVELASLLLAAAEKVSNRQYDRASNLLRQCETFSSHCGHPVQRLVYYFSDALQERIDRETRRLSAKRPRDKGLPAEDVAKEMLTSHPIHLIAQKTLPFSQVIQFTSIQTILDNVATMKRIHLVNLSIKHGFQCTILMQALATRSSCPVDYLRITGVGASEEPVLLTGQRLVSFAETLSLPFDFRVVAVSDMKDLREDMFEIEPDEALAVYSSLDMNSMIVRPNSLENLIRVMRKLKPIIMMIVDLEANHNSPSFITRFTEALFFYSAYFDYVNCYLDRSDSNRTFLEGYFFAEGMRSIIATEGSERLIRHVSISIWRVYFARFGLVEVDLNEWASYQASLLLKKFAHSSSCTLDKNGKSLTVGWKDC >DRNTG_18133.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:512534:514997:1 gene:DRNTG_18133 transcript:DRNTG_18133.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIEGFNPNGAQEGFEDECSVKQTQFFTTEDVGNIVDDFWSIYSFSQESQTDKGTILPSSVTESIPEFIAPQTPLMVFEPNLENPIVQDITEFVLPKKKSCTGVLSSLEILNSYKPCHNRLQVGNLNGEIVGFDSNSLDGETRFSTEEIVRFAAARYIELSSPTEGNNSMLRNPLGLSLSGFNNEEIQNVELASLLLAAAEKVSNRQYDRASNLLRQCETFSSHCGHPVQRLVYYFSDALQERIDRETRRLSAKRPRDKGLPAEDVAKEMLTSHPIHLIAQKTLPFSQVIQFTSIQTILDNVATMKRIHLVNLSIKHGFQCTILMQALATRSSCPVDYLRITGVGASEEPVLLTGQRLVSFAETLSLPFDFRVVAVSDMKDLREDMFEIEPDEALAVYSSLDMNSMIVRPNSLENLIRVMRKLKPIIMMIVDLEANHNSPSFITRFTEALFFYSAYFDYVNCYLDRSDSNRTFLEGYFFAEGMRSIIATEGSERLIRHVSISIWRVYFARFGLVEVDLNEWASYQASLLLKKFAHSSSCTLDKNGKSLTVGWKGTPLYFISAWKFQYGD >DRNTG_14882.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2930617:2936139:1 gene:DRNTG_14882 transcript:DRNTG_14882.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGLSSGAASSSSSSASSSSSSAGDSYIGSLISLTSKSDIRYEGILASISPQDSTIALQNVRSFGTEGRRKDGPQVPPIDKVYEYILFRGSDIKDLQVKSSPVHSKPQAYSDPAIIQSHYSYAPASTGSATVGGRTFSGPSAHSGNSAGGMSTIPDASSLYRTAAHLPSPTSTDINGVSLSAPAYWQEYAGATGALGHSQQQSVPSPISSVPLHNELQYGKGLAPSSMPQLPQQAHGLTFNSSTTPLLVPANSSDLSSSTSNASLLTHPLSHSSLSLPSHLQPLSSFASPDQELNINMKSYSWQTITSVKPSRPFSAISIFNDEQYFWSIAIILFHSRCTGNFYTNVDP >DRNTG_18488.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:864757:870539:-1 gene:DRNTG_18488 transcript:DRNTG_18488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTEQRFKTIEKSLTTLPLIQQSLAGLSQMMETIQLVKHTGETSNSTPLLEEISAETVHTTGENYSIRNIKLDFPRFAGEDVLQWIFHAEQFFDYYGVPDNHRLKIASVHLDGQVVPWFQRLRKAGRLTTWQALTRSLECAYGPSVFDCPSYSLFRLIQESTVADYYAHFIALSNRVDGVPEQVLLDCFVSGLTKELQAELIPWHPEDLDKAVTLAKLFEEKLQLGRKPMGNKNIYLPDTKFKALPGIPLATNSTSIVPFGHGQLTTQSLNSGNVNAKPPTAFRKMGLQEMQLRKVKGLCFNCDAKYSPTHNCPNKRLLLLQWDDDDTGISDSEIFIDPHPQEEVHDPGKDPSTKMSLNAMSSVTVSGTMRFTGQLGGQQIKILLDGGSDDTFIQPRVVKFLHLDVLSSTPLRVLVGNGQSLQVEGKIPELSVQVQGYTLSVPAYVLPIEGADLILVASWLAKLGPHVIDYDKKIIKFYHNNQYMVLKGEQMERPASTTVPQLTRLCSTHAVRECYSLQFYQDDLDNQSGNSNKPELVTEISASLPSSTPQELIELLLRYQHVFSTPVGLPPSRSCDHKIPLIPDNSPVKVRPYRYPHSQKAEIEKMVEQMLTEGLIEHTTSLFSSPVILVKKKDGTWRFCTDYRALNAITVKDAYPIPTVDELLDELHGAKFFSKLDLRSGYHQILLHPQDRYKTAFRTHQGHYQWLVMPFGLSNAPATFQSLMHQIFHIALRKFVLVFFDDILIYSKSWSLHLHHLETVLLTLEAHQLYAKLSKCSFGQQQIDYLGHLVSSKGVEMDPSKVSAVLQWPIPTTLKQLRGFLGLSGYYRRFIKQYATLSRPLTDLLKKDSFLWSADTQQAFDNLKTAMTSAPVLALPDFSLPFILETDASGVAIGAVLSQNNHPIAYFSKKMSSRMQNQSVYVRELFAVTESVIKFRHYLLGHQFLIRTDQQALRHLCQQTIQTPEQQRWLPKLLGFDFVIEYKTGRDNVAADALSRCFALTSSQPQESFFSELKLLQTHDNFYGPIIQSIHDKHNGDSAYSLRHGLLYWKGRLVVPDDDSLKHRLLHDFHSSLLGGHSGSLRTFMRIAAQFFWKGMRQDIHQFVQRCMVCQQAKTLSTAPSGLLQPLPIPKQIWEDIAMDFVCGLPSSKGYSVILVVVDRLSKYGHFMHLKSDFSSVTVAEVFIHSVFKLHGIPRSIVCDRDKTFTSRFWQHLFSKMGTSIQMSTAYHPQSDGQTEALNKCLEMYLRCFVTDYHGNWVDLLPWAEYWYNTSYQSSAAMTPFRIVYGRDPPGLVAYMATDTDPPLVVDWLTNRDKILTKLKSNLLCAQSSMKRYADAKRSELVFTEGDWVFVKLQPYRQNSVLLRRNQKLGMRYFGPFQVLQRVGTVAYRLNLPEGAKIHPVFHVSLLKQCQGDPTTTTLPLPLISSSKGLLIFPAMILQYRQVLRRARRVARVLIQWHGLAPDDTSWEDVDQLSHEYPELDLEDKVTAYERGIVDLPVNLVKREFNSEEHGGHETKSGELKARDTCRRGKREKRLNCRLRGYILPVHKQKIKGGEGEKRGQLGLGDLRPWFLSQC >DRNTG_14656.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5827093:5827613:-1 gene:DRNTG_14656 transcript:DRNTG_14656.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQDPSTYVLVSNLYLASGRWHCSEKVREEMRGKGLQKHPTRSWIIHSKIVHSFYTRDRSHPQSKDIYSGLEVLILECMKAGYEPDTSFVLQDVEEYQKKDFLCYHSAKLAATYGHLVTELGQPVQVVKNIRLCGDCHTFLK >DRNTG_11262.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21762384:21763802:-1 gene:DRNTG_11262 transcript:DRNTG_11262.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQIDCVDHVKFLSQFCSKKSKLSNKPSGEQKLLFDCINEVLVEIHGMLFHCSPNPRPISIGENLIQKVFEGIVPHLKFEFPSTLEKLITRDLDKTWLNLTFEIQNIVCELEDDILAALIDEFINVITLD >DRNTG_11262.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21762384:21763802:-1 gene:DRNTG_11262 transcript:DRNTG_11262.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQIDCVDHVKFLSQFCSKKSKLSNKPSGEQKLLFDCINEVLVEIHGMLFHCSPNPRPISIGENLIQKVFEGIVPHLKFEFPSTLEKLITRDLDKTWLNLTFEIQNIVCELEDDILAALIDEFINVITLD >DRNTG_11262.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21762384:21763802:-1 gene:DRNTG_11262 transcript:DRNTG_11262.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQIDCVDHVKFLSQFCSKKSKLSNKPSGEQKLLFDCINEVLVEIHGMLFHCSPNPRPISIGENLIQKVFEGIVPHLKFEFPSTLEKLITRDLDKTWLNLTFEIQNIVCELEDDILAALIDEFINVITLD >DRNTG_11262.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21762384:21763802:-1 gene:DRNTG_11262 transcript:DRNTG_11262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQIDCVDHVKFLSQFCSKKSKLSNKPSGEQKLLFDCINEVLVEIHGMLFHCSPNPRPISIGENLIQKVFEGIVPHLKFEFPSTLEKLITRDLDKTWLNLTFEIQNIVCELEDDILAALIDEFINVITLD >DRNTG_10052.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4672658:4675009:-1 gene:DRNTG_10052 transcript:DRNTG_10052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALGPSGPGLLSITAVPRAPALRRALLPLARKLALLDNKDRARILKEHGLGSDVPLKNPDRNVSSFALQLKFEQHASLKLSCRGAENVDVGDDFGKEGLNVYRSSDARDDEFKYLGNTFKELGLCMMELGLMLACVCDNAIGDGELEDSLMGSGTAKGRLIHYHSKLDSIMLRDRSRKIKGGISKARVNACSPLLCDREEGSLSQVPMEPCRMRSRTLDDNCCRASLSDLWQQWHYDYGIFTVLTGPMFLSSCQAGDCLCDSSHQECLSPSGHTYLQLFDSDKNKIYVVKSPPESFIIQVGESADVLSRGKLRSALHSVGRPLELENLSRDFLLSSYNQHGTKFSLFQMVF >DRNTG_21007.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:7378:9439:1 gene:DRNTG_21007 transcript:DRNTG_21007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSTKIKKSLHYYLLTFIILYYTTTFSAATTTCHSGDKAALLAFKAGITDDPSGLLSTWNSTTNCCSNWDGVSCHFTTGRVVNLSRPGLSSGPDSIIDTSMAGTISPALGRLTALRVLDLSSLKQLSGQIPPSLGHLSKLTVLFLFSNNLTGPIPHTLRNLKHLQRLLLDSNQLSGEIPFSLFTPSSPMTDLTLNQNQLSGVIPNSIGHLTALKRLDIHSNNFSGSIPNSIGNLQQLTYLDFSENQISGVLPASIGRLVNLGLLYLNDNFLNGNIPSSISGLASLQFFRLSNNQLTGEIPASIGELQQLQRVTFENNKLNGKLPSSLGGLTSLTEIYLSGNQFTGRIPSSIGDLSSLQTLDLSNNKLSGPLPRSLTKLKNLQELYLSFNPLRLVTIPDWLIDMKLFKLMLAGTGIKGQLPVKLLSTASSTSSLDLSSNELTGELPHWIGEMSGLSFLNLSNNGFHSRIPEEFKNLTLLMDLDLHSNAFSGELRPVLAKSTSDPLGHYNSLDLSCNQFTGGIDEDIGELPAMDTLESLVLSDNPLGGNIPATLRKLENLRVVALAKNGLTGGVPAGVLDIASLTEFDVPDNRLTGEIPAHTARVPAAGFRGNPGLCGNPLPPCRREVP >DRNTG_24291.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21231166:21232735:-1 gene:DRNTG_24291 transcript:DRNTG_24291.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEELEGVIEEADFSNLVNGMELPVPPRKQISRTKKWQRKVMSWKKEPPISPQYQTMLTIQLLRHLTMPRNHITVGPSKKNRIDDLSPGADFKITFSTQPTHIFGTLCTIDWEALKMTWLKVEVE >DRNTG_02951.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1385792:1390419:-1 gene:DRNTG_02951 transcript:DRNTG_02951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGAAPAPKQDELQPHPVKDQLPNVAYCITSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGGNDEKARVVQTILFVSGLNTLLQSFFGTRLPAVIGGSYTFVAPTISIILAGRYSNVVDPHEKFLRIMRGTQGALIVASTLQIIVGFSGLWRNVTRFLSPLSAVPLVALVGFGLYELGFPGVAKCIEIGLPQLIWLIILSQYLPHAIHAHKPIFDRFAVLFSVAIVWLYAYLLTVGGAYRHAAPKTQLHCRTDRSGLVSAAPWIRVPYPFQWGAPTFDAGEAFAMMAASFAALVESTGAFIAVSRYASATPLPPSVLSRGIGWQGIGILLDGLFGTGNGSTVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAALYCIFFAYVGSVGLSFLQFCNLNSFRTKFILGFSIFMGISVPQYFNEYTSVAGYGPVHTGARWFNDIINVIFSSKPFVAGLVAFFLDNTLHRHDMRKDRGYHWWDKFRSFRGDTRSEEFYSLPFNLNKYFPSV >DRNTG_30233.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:156414:158963:1 gene:DRNTG_30233 transcript:DRNTG_30233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEERMVLWVFGYGSIVWNPGFDFDEKIIGYIKDYRRVFDLACIDHRGTPENPARTCTLEAKEGAVCWGAAYCVRGIEREKAAMQYLERRECEYDLKSYVDFYKEGYDLKPTLTGVVVFTSTNNKESNKYYIGPAPLEEMARQIATANGPCGNNRDYLFLLEKAMFDIGHEDDHVIELANEVRKVLGRLKEKKITVVPPHIPLIPLVQPLMQLVPLPETTIVDSR >DRNTG_30233.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:156414:158963:1 gene:DRNTG_30233 transcript:DRNTG_30233.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWVFGYGSIVWNPGFDFDEKIIGYIKDYRRVFDLACIDHRGTPENPARTCTLEAKEGAVCWGAAYCVRGIEREKAAMQYLERRECEYDLKSYVDFYKEGYDLKPTLTGVVVFTSTNNKESNKYYIGPAPLEEMARQIATANGPCGNNRDYLFLLEKAMFDIGHEDDHVIELANEVRKVLGRLKEKKITVVPPHIPLIPLVQPLMQLVPLPETTIVDSR >DRNTG_30233.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:156414:158963:1 gene:DRNTG_30233 transcript:DRNTG_30233.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWVFGYGSIVWNPGFDFDEKIIGYIKDYRRVFDLACIDHRGTPENPARTCTLEAKEGAVCWGAAYCVRGIEREKAAMQYLERRECEYDLKSYVDFYKEGYDLKPTLTGVVVFTSTNNKESNKYYIGPAPLEEMARQIATANGPCGNNRDYLFLLEKAMFDIGHEDDHVIELANEVRKVLGRLKEKKITVVPPHIPLIPLVQPLMQLVPLPETTIVDSR >DRNTG_11675.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:273540:277280:-1 gene:DRNTG_11675 transcript:DRNTG_11675.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSITNSTMNSISFSINLSPFSPHPPPPPRPYPCSCSYSLCSSLSFVPRRSLPLLSRHPLKQRELLASNTMISGEVNEEEEMPPLLDDAELNSKPRRIALFVEPSPFAYVSGYKNRFQHFIKNLREMGDEVIVVTTHEGVPQEFYGAKLIGSWSFPCPWYKKVPLSLALSPRIISEIARFKPDIIHASSPGIMVFGALIIAKLLCVPLVMSYHTHVPIYIPRYTFSWLVKPMWMIIKFLHRAADLTLVPSAAISRDLLAARVTAANKIRLWNKGVDSESFHPQYRSHEMRERLSAGETEKPLLIHVGRLGVEKSLDFLKRVMDRLPGVRIAFIGDGPYRQVKLFY >DRNTG_11675.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:273540:277280:-1 gene:DRNTG_11675 transcript:DRNTG_11675.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSITNSTMNSISFSINLSPFSPHPPPPPRPYPCSCSYSLCSSLSFVPRRSLPLLSRHPLKQRELLASNTMISGEVNEEEEMPPLLDDAELNSKPRRIALFVEPSPFAYVSGYKNRFQHFIKNLREMGDEVIVVTTHEGVPQEFYGAKLIGSWSFPCPWYKKVPLSLALSPRIISEIARFKPDIIHASSPGIMVFGALIIAKLLCVPLVMSYHTHVPIYIPRYTFSWLVKPMWMIIKFLHRAADLTLVPSAAISRDLLAARVTAANKIRLWNKGVDSESFHPQYRSHEMRERLSAGETEKPLLIHVGRLGVEKSLDFLKRVMDRLPGVRIAFIGDGPYRNELEKIFAGMPAVFTGMLLGEELSQAYASGDIFLMPSESETLGLVVLEAMASGVPVVAARAGGIPDIIPEEQEGKTSILYTPGDLDDCVSKLEQLLSNDEVRLAMGRAAREEMEKYDWHAATRKIRNEQYNAAIWFWRKKRAQLLRPIQWLARRIFRSPAITYT >DRNTG_11318.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:9207050:9211022:-1 gene:DRNTG_11318 transcript:DRNTG_11318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLVGLDTQTKTILFIPKKATAKDLQALWVVLIIASVAACYQVTRLFCVAFVWWVNRPCLSSKLVAWICLLLEQ >DRNTG_16516.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000822.1:48305:51912:-1 gene:DRNTG_16516 transcript:DRNTG_16516.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGLEVAVIVIGVVALLTLLLLLLVYCRRSFPTNPKILQPRPPPPPPPPQPPPPPPPPPPPPPPPGIAFTYEELKRATDGFSDANLLGEGGFGYVHRGVLPNGKNIAVKQLKSGGMQEEQLKSRGKQEEQEREREREFQAEVEIISRVHHRHLVSLVGYCISGKRRLLVWEGRPTMDWPTRLKIALGSAKGLAYLHENCIPKIIHRDIKGTNILLDFDFEVKIADFGLAKVVPDNNTHVTTKIKGTHGYLAPEYFFSGKLTDKADVYSFGVMLLELITGSLPVDSQDIDDSSVAMLSSSLVSALDEGNYELLVDPRLGKNYNPNEMERMVACASACVHYTAKLRPRMSQVVGVLEGHASVEDLNNGVPPGLSRSYKPTATEE >DRNTG_16516.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000822.1:48305:51912:-1 gene:DRNTG_16516 transcript:DRNTG_16516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGLEVAVIVIGVVALLTLLLLLLVYCRRSFPTNPKILQPRPPPPPPPPQPPPPPPPPPPPPPPPGIAFTYEELKRATDGFSDANLLGEGGFGYVHRGVLPNGKNIAVKQLKSGGMQEEQLKSRGKQEEQEREREREFQAEVEIISRVHHRHLVSLVGYCISGKRRLLVCEFVPNNTLHFHLHGEGRPTMDWPTRLKIALGSAKGLAYLHENCIPKIIHRDIKGTNILLDFDFEVKIADFGLAKVVPDNNTHVTTKIKGTHGYLAPEYFFSGKLTDKADVYSFGVMLLELITGSLPVDSQDIDDSSVAMLSSSLVSALDEGNYELLVDPRLGKNYNPNEMERMVACASACVHYTAKLRPRMSQVVGVLEGHASVEDLNNGVPPGLSRSYKPTATEE >DRNTG_16516.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000822.1:48305:51912:-1 gene:DRNTG_16516 transcript:DRNTG_16516.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWPTRLKIALGSAKGLAYLHENCIPKIIHRDIKGTNILLDFDFEVKIADFGLAKVVPDNNTHVTTKIKGTHGYLAPEYFFSGKLTDKADVYSFGVMLLELITGSLPVDSQDIDDSSVAMLSSSLVSALDEGNYELLVDPRLGKNYNPNEMERMVACASACVHYTAKLRPRMSQVVGVLEGHASVEDLNNGVPPGLSRSYKPTATEE >DRNTG_16516.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000822.1:48305:49580:-1 gene:DRNTG_16516 transcript:DRNTG_16516.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLELITGSLPVDSQDIDDSSVAMLSSSLVSALDEGNYELLVDPRLGKNYNPNEMERMVACASACVHYTAKLRPRMSQVVGVLEGHASVEDLNNGVPPGLSRSYKPTATEE >DRNTG_16516.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000822.1:48305:49208:-1 gene:DRNTG_16516 transcript:DRNTG_16516.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLELITGSLPVDSQDIDDSSVAMLSSSLVSALDEGNYELLVDPRLGKNYNPNEMERMVACASACVHYTAKLRPRMSQVVGVLEGHASVEDLNNGVPPGLSRSYKPTATEE >DRNTG_30081.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22817032:22819745:-1 gene:DRNTG_30081 transcript:DRNTG_30081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEEEAIQVASMEICRQFKALIDAKDLDSINQLQHLILQDSNAVLTHFNEYSERCYADVSADLSKNTRLLKSMKSDLDHIFMKLRSMKAKLMATYPDAFPNDSASKILDRRPDLERPLP >DRNTG_08165.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11793296:11794516:1 gene:DRNTG_08165 transcript:DRNTG_08165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFPRLVAWVDALEGRSQSTAPPLQRNEAPGTNEASKFDHDDIIGVAIPRRPHSKRLAKKRRTISPLSTT >DRNTG_06652.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23107647:23108777:-1 gene:DRNTG_06652 transcript:DRNTG_06652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTDTNVHRFISDYFTVDNYKQAYKEAIFPIPDNNKPTDGNRELCLHQTVTRRQPGCPKRKRIESQVFEDHEMEVAIHDYREDDNVNIMQLARDIPDHSESRVRRVFLL >DRNTG_22552.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001244.1:37675:41532:-1 gene:DRNTG_22552 transcript:DRNTG_22552.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVNLSTVAWDHSEGRSSTWGRRTRTSVRSGPG >DRNTG_22552.8.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001244.1:37768:40107:-1 gene:DRNTG_22552 transcript:DRNTG_22552.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVNLSTVAWDHSEGRSSTWGRRTRTSVRSGPG >DRNTG_22552.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001244.1:37675:40107:-1 gene:DRNTG_22552 transcript:DRNTG_22552.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVNLSTVAWDHSEGRSSTWGRRTRTSVRSGPG >DRNTG_22552.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001244.1:37675:41586:-1 gene:DRNTG_22552 transcript:DRNTG_22552.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVNLSTVAWDHSEGRSSTWGRRTRTSVRSGPG >DRNTG_22552.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001244.1:37768:41532:-1 gene:DRNTG_22552 transcript:DRNTG_22552.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVNLSTVAWDHSEGRSSTWGRRTRTSVRSGPG >DRNTG_22552.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001244.1:37675:41586:-1 gene:DRNTG_22552 transcript:DRNTG_22552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVNLSTVAWDHSEGRSSTWGRRTRTSVRSGPG >DRNTG_22552.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001244.1:37768:41532:-1 gene:DRNTG_22552 transcript:DRNTG_22552.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVNLSTVAWDHSEGRSSTWGRRTRTSVRSGPG >DRNTG_22552.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001244.1:37768:41586:-1 gene:DRNTG_22552 transcript:DRNTG_22552.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVNLSTVAWDHSEGRSSTWGRRTRTSVRSGPG >DRNTG_12244.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24978976:24981022:-1 gene:DRNTG_12244 transcript:DRNTG_12244.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKGLENTTVADILNTKGDEAGALYWCCSNDYVYDAAKQMTDNRVGALVVVKPDDERLVAGIITERDYLRKIIVQGRSSQATRVGEIMTDESQLVSVSTDTNILQAMQLMTDKRIRHVPVINHKVVGMISIVDVVRAVVGQQRDEVKKLNEFIRGDYY >DRNTG_21878.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19165368:19167626:-1 gene:DRNTG_21878 transcript:DRNTG_21878.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAQVIQKQHFVLVHGAGHGAWCWYKLRYHLQSSGHKVSCLDLAGAGIDPSDPNSLLSFNDYNQPLSHFLSSLPPNHKVVLVGHSSGGLSLTQALHEFGDKISLAIFVAATMLPFGILTEQDARDVVADLSEYGDICEYNYGRGPDDPPTTVYLKKEFQRKILYQMSPEEDSILASMLLRPSSKIAFKTAKFSGGDVERVRRVYIKTMNDRMVKPEQQDGMIRKWPPSEVMTINTDHSPFFSAPEELCRLILKASNSSLAAK >DRNTG_11105.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30633706:30635051:-1 gene:DRNTG_11105 transcript:DRNTG_11105.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHPNLQDREIPSPNSSSGSSSNNHRSPVPAPATPPTPPPKPPTPRSVDANPYPTTFVQADTSSFKHVVQMLTGSAETAAKHAVSNPKTSTPPPPSPSPATKPPGPKKPAFKLYERRSSMKNLKMISPLGPAFLHPGPVSPITAFSPRKQPEILSPSMLDFPSLVLSPVTPLIPDPFNRSPAPSSASSLSAEDRAIAEKGFYLHPSPRSTPRDGEPPRLLPLFPVTSPRVSQ >DRNTG_00983.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20741239:20748978:1 gene:DRNTG_00983 transcript:DRNTG_00983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDWDDENLEPAPPKKKQPRSMYDDEDAEDDGVKESWEDEDVTPTPKPVPSIEKTALKPDSKAIGKKEEESQ >DRNTG_26192.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29072778:29074085:1 gene:DRNTG_26192 transcript:DRNTG_26192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMTTQSAQGSPTMVKKQRDDRKAVRVTCEKDKHFTQAQSVFLQCICVLCSRNIDNEQQRKKHQASNKKTHRGPCTRCSNYFDNGDENSHTFTIHGMYFAEAVAARFPEALELNGIDVAPADDDEQAAADEETAEFEGIDADEEGG >DRNTG_34570.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1457741:1458011:-1 gene:DRNTG_34570 transcript:DRNTG_34570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGCHIRRKNHRHRHRRRRRRRREPIRCSNSH >DRNTG_33265.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23932026:23933556:1 gene:DRNTG_33265 transcript:DRNTG_33265.1 gene_biotype:protein_coding transcript_biotype:protein_coding YNADYKELRSSLDDGAKLPAPDGVLINGLPQYKANFTFQPGATYRLRISNVGLKATLNFQIQGHKLLLIETEGSYTIQQYYDTLDIHVGQSYSV >DRNTG_31668.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001729.1:63611:64670:1 gene:DRNTG_31668 transcript:DRNTG_31668.7 gene_biotype:protein_coding transcript_biotype:protein_coding SSELKHISVSIKSDTIEFMLLELEEAIEEEEEEGEVFRLFA >DRNTG_31668.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001729.1:60059:64670:1 gene:DRNTG_31668 transcript:DRNTG_31668.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRHTMVLYNNFIVDTIEFMLLELEEAIEEEEEEGEVFRLFA >DRNTG_07337.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:23572897:23576962:1 gene:DRNTG_07337 transcript:DRNTG_07337.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFKLRVLENNNKPLKNRPQTYSYVDESPVIFGRDEDKGKLLQMLISDCFDEKVAVVSIVGIGGLGKTTLAQLVYRDEEVQKHFQLHIWVCVSDDFDVPKLAGKIIHTTSGELCNHTNMEVLQQKLRKELGQKRYLLVLDDVWNEDFQKWDALRSILLDGGDGSRILVTTRNEKCSRLMGVQKPYILSRLSEENSWVLFEQKAFAVGAPKPLPKLVEIGQQIVKKCQGLPLAIQVLGCIMHYKSKESEWQAVLENIETWKLQHTKNKIMPELWLSYVDLATHLKKCFAFCAIFPKDHDIEEEQLIQFWMAHGFIPSQKGTDMEVEGREIFTELIRRSLLQKGISPYLWGKESVYKMHDLIHDLAHFVNENECFTSLKSSAAPKVSISPRRLTFYADEIYSQGDCSTIHTVLHCGRDLSVLSKLKVVRVLDLSQAFIDELPASIEHLHHLRYLDLSFTHIKKLPESICMLVNLQTLKLYGCYQLPELPKSITYMNSLRHFHFDYCLQFEAFAAGLSRLQNLKTVSGYTVGDAAENKLGQLKSFNPFGELALYNLRKVKTADDAREADLGNKQHIRTLKLSWGKLSWGDDDDECCLMENAEEVLEALEPHNNLKELRVSYYAGNQFPIWMSERQQFQYLHHMELFACRKCEQLPPLELLPCLEDLRISQMDGIKHIVNNKRGNTLQPFPALKHLSLSGMRNLEGWCVEEGRGANRSLFPRLTMMDITRCPKLTTMPLEILPCLEDLSISEMDGIKHIINSRRGNALQSFPALKTLELRLMKNLEGWCVEGREANLSLFSCLIRMEIVGCPKLTTMPLEILSRVEYLRMFEMDGIKHIVNNRRGNTLQSFSVLKKLTFCKTRPLAGRYMKEGREAIPRPIVMNIDNCNQLYLTLVLQNLASLKHLHVSKCDTLRELPMCPKSVKTLTIDSCPGIGSLWPEMGHLTSLSRLEVSNCPKLVSLSDGMQALTSLQYLSITYCPALESFPEGLQQLLPTLKSLRIEGCPELERLCKPGGDYCNLLSTNSYKQIGVQPEQTIQVPHEIGTGGRNALECITTNRFLLSAILVCAIACFIDFLPNELDTQVPLSPLLFFYFLLLVLAF >DRNTG_01928.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32413473:32417217:-1 gene:DRNTG_01928 transcript:DRNTG_01928.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G76570) UniProtKB/Swiss-Prot;Acc:Q9C9K1] MLAALGVVIPELLDMQGLVHFVEPVWWKVGYAKLQGDTLDYLGIPGFHIAGSQGIIVIAICQVLLMVGPEYARYCGIEALEPLGIYLPGDINYPGGFLFDPLGLSKDPVSFEDLKVKEIKNGRLAMVAWLGFYLQAALTGKGPIQNLLDHISDPLHNNILSYL >DRNTG_01928.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32413473:32417217:-1 gene:DRNTG_01928 transcript:DRNTG_01928.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G76570) UniProtKB/Swiss-Prot;Acc:Q9C9K1] MAPLLTQSVSSHPSLSRHCSCHALRHKASRPVFKASWQELTGVLVFSAIPFTAVKAIANSSLGEKLRKRLEETKREAVDGSSRFRAQAQRAREDSFWYGAERPRWLGPIPFEYPSYLTGEYPGDYGFDIAGLGRDSASFQKYFNFEILHARWAMLAALGVVIPELLDMQGLVHFVEPVWWKVGYAKLQGDTLDYLGIPGFHIAGSQGIIVIAICQVLLMVGPEYARYCGIEALEPLGIYLPGDINYPGGFLFDPLGLSKDPVSFEDLKVKEIKNGRLAMVAWLGFYLQAALTGKGPIQNLLDHISDPLHNNILSYL >DRNTG_14802.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29879146:29880537:-1 gene:DRNTG_14802 transcript:DRNTG_14802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIHDLSGSPVAAASMVTPFVPSPGSDRVSRSNPGAWLILQPDTGFSATTWKPWARLEAWRERGPVDALGFKIELITDTGAITVAESSLSVRKGGQLCIDSSLSLSLSLNGDGGTWAWPFHEGFVMASSVEGERKVVSQPMVQVGARNVNCMADVALFIVLAAAVDLSMDACQLFSHKLRSELCQIHS >DRNTG_23035.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3264689:3271130:1 gene:DRNTG_23035 transcript:DRNTG_23035.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNEMSVAFENRTFNRRSALGGGRMACTLVTGFLGSGKTTLLRHILDNRGDLRIAVLVNEFAGSDVDSLLLDSSRINSAFNLSTVALTHGCACCDVKGPFRDALQRIVDSKHNFDCLLIETSGLARPDMFVAQLEEVGIHLDLTITVVDAESLDKVVKIDIVKKQLEHVDLVLLNKCDLATLGQISDAEDILERLTGNAKVVRSQFCKVPLDLVIDCSKIEALSLPEEECNSVLPVLSHEALPKMRFRRNVFGNTSVAVSSLNNTSNHPAVSSDKNNADDSGSGLSHGEFFSSVTFQSEVPLSLAMFQSEVLPRMRNSCRLLRAKGIIWFAEDRGTRFVFQWSGVKRIEAVSGQPWDTVPKSCLVLIGIDSSELEDIFVCLSRSTDPPKIFLDGGLAKEYARQFFQLISKDGRFKEPSLEKEPLVIFGVKGSPLRGIKESQLSGALMRIVNAKGNIFLTATTSAEEYNLQLFFDGVSDPQKAWDEVRLAASAVISKLCKNFCPCRSDLAAHVH >DRNTG_23035.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3264689:3267108:1 gene:DRNTG_23035 transcript:DRNTG_23035.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNEMSVAFENRTFNRRSALGGGRMACTLVTGFLGSGKTTLLRHILDNRGDLRIAVLVNEFAGSDVDSLLLDSSRINSAFNLSTVALTHGCACCDVKGPFRDALQRIVDSKHNFDCLLIETSGLARPDMFVAQLEEVGIHLDLTITVVDAESLDKVVKIDIVKKQLEHVDLVLLNKCDLATLGQISDAEDILERLTGNAKVVRSQFCKVPLDLVIDCSKIEALSLPEEECNSVLPVLSHEALPKMRFRRNVFGNTSVAVSSLNNTSNHPAVSSDKNNADDSGSGMTYGI >DRNTG_02648.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22876463:22876769:-1 gene:DRNTG_02648 transcript:DRNTG_02648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHEEEIGVEAGRKREESADVEIREMGKHQDSNLG >DRNTG_06524.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29426504:29429609:1 gene:DRNTG_06524 transcript:DRNTG_06524.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g03540 [Source:Projected from Arabidopsis thaliana (AT1G03540) UniProtKB/Swiss-Prot;Acc:Q9LR69] MIRDIWSTSKVCLDSLGCKKNLPLSLFEAFVLLNSTESRHQGLKKPAFYASLLQTCTKSFSFFHSLQLHSHIIKSGLDTNRFVGNSLLALYFKLCPDFSTTRLVFDTLPVRDVISWTSVVSGYVRVGEPAKSLQMFTEMVDFGVEPNGFTLSAVIKACSDLGDVRTGRCFHGMVLVHGFGSNHVISSALVDMYGRNFALEDAHHVFSEMLEPDAICWTSMISAFTRNDRFEEALGCFYSMMQNNVGLFPDEFTFGTILAVLGNLSRARQGKQVHANVITSGFQGNVVVASSTVDMYAKCGLICDSRGVFDRMTTKNAVSWCALLGGYCQSGNFNAVISLFREMNWEGDCYSFGTLLRACAGLAAVRKGKEVHCQYLRLGRHGDVVVESALVDFYAKCGLIDYARRVFIKISARSVISWNAMICGFAQNGHGVEAAKMFDEMVQEGITPDYISFVAVLFACSHAGLVDDGRKYFRSMTEDYSIRTGIEHCNCMVDLLSRVGLLEEAEDLINNSEYSNDPSLWAALLGACATHSDSMVGERAAKKMIELERSYHLSYVLLANVYKKVGRWDEALEIRKLMKRMRAKKGPGKSWIEIKSSLNDKSNNSFQKFDDLSLISL >DRNTG_06524.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29427261:29429545:1 gene:DRNTG_06524 transcript:DRNTG_06524.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g03540 [Source:Projected from Arabidopsis thaliana (AT1G03540) UniProtKB/Swiss-Prot;Acc:Q9LR69] MIRDIWSTSKVCLDSLGCKKNLPLSLFEAFVLLNSTESRHQGLKKPAFYASLLQTCTKSFSFFHSLQLHSHIIKSGLDTNRFVGNSLLALYFKLCPDFSTTRLVFDTLPVRDVISWTSVVSGYVRVGEPAKSLQMFTEMVDFGVEPNGFTLSAVIKACSDLGDVRTGRCFHGMVLVHGFGSNHVISSALVDMYGRNFALEDAHHVFSEMLEPDAICWTSMISAFTRNDRFEEALGCFYSMMQNNVGLFPDEFTFGTILAVLGNLSRARQGKQVHANVITSGFQGNVVVASSTVDMYAKCGLICDSRGVFDRMTTKNAVSWCALLGGYCQSGNFNAVISLFREMNWEGDCYSFGTLLRACAGLAAVRKGKEVHCQYLRLGRHGDVVVESALVDFYAKCGLIDYARRVFIKISARSVISWNAMICGFAQNGHGVEAAKMFDEMVQEGITPDYISFVAVLFACSHAGLVDDGRKYFRSMTEDYSIRTGIEHCNCMVDLLSRVGLLEEAEDLINNSEYSNDPSLWAALLGACATHSDSMVGERAAKKMIELERSYHLSYVLLANVYKKVGRWDEALEIRKLMKRMRAKKGPGKSWIEIKSSLNDKSNNSFQKFDDLSLISL >DRNTG_06524.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29426504:29429545:1 gene:DRNTG_06524 transcript:DRNTG_06524.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g03540 [Source:Projected from Arabidopsis thaliana (AT1G03540) UniProtKB/Swiss-Prot;Acc:Q9LR69] MIRDIWSTSKVCLDSLGCKKNLPLSLFEAFVLLNSTESRHQGLKKPAFYASLLQTCTKSFSFFHSLQLHSHIIKSGLDTNRFVGNSLLALYFKLCPDFSTTRLVFDTLPVRDVISWTSVVSGYVRVGEPAKSLQMFTEMVDFGVEPNGFTLSAVIKACSDLGDVRTGRCFHGMVLVHGFGSNHVISSALVDMYGRNFALEDAHHVFSEMLEPDAICWTSMISAFTRNDRFEEALGCFYSMMQNNVGLFPDEFTFGTILAVLGNLSRARQGKQVHANVITSGFQGNVVVASSTVDMYAKCGLICDSRGVFDRMTTKNAVSWCALLGGYCQSGNFNAVISLFREMNWEGDCYSFGTLLRACAGLAAVRKGKEVHCQYLRLGRHGDVVVESALVDFYAKCGLIDYARRVFIKISARSVISWNAMICGFAQNGHGVEAAKMFDEMVQEGITPDYISFVAVLFACSHAGLVDDGRKYFRSMTEDYSIRTGIEHCNCMVDLLSRVGLLEEAEDLINNSEYSNDPSLWAALLGACATHSDSMVGERAAKKMIELERSYHLSYVLLANVYKKVGRWDEALEIRKLMKRMRAKKGPGKSWIEIKSSLNDKSNNSFQKFDDLSLISL >DRNTG_06524.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29427261:29429696:1 gene:DRNTG_06524 transcript:DRNTG_06524.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g03540 [Source:Projected from Arabidopsis thaliana (AT1G03540) UniProtKB/Swiss-Prot;Acc:Q9LR69] MIRDIWSTSKVCLDSLGCKKNLPLSLFEAFVLLNSTESRHQGLKKPAFYASLLQTCTKSFSFFHSLQLHSHIIKSGLDTNRFVGNSLLALYFKLCPDFSTTRLVFDTLPVRDVISWTSVVSGYVRVGEPAKSLQMFTEMVDFGVEPNGFTLSAVIKACSDLGDVRTGRCFHGMVLVHGFGSNHVISSALVDMYGRNFALEDAHHVFSEMLEPDAICWTSMISAFTRNDRFEEALGCFYSMMQNNVGLFPDEFTFGTILAVLGNLSRARQGKQVHANVITSGFQGNVVVASSTVDMYAKCGLICDSRGVFDRMTTKNAVSWCALLGGYCQSGNFNAVISLFREMNWEGDCYSFGTLLRACAGLAAVRKGKEVHCQYLRLGRHGDVVVESALVDFYAKCGLIDYARRVFIKISARSVISWNAMICGFAQNGHGVEAAKMFDEMVQEGITPDYISFVAVLFACSHAGLVDDGRKYFRSMTEDYSIRTGIEHCNCMVDLLSRVGLLEEAEDLINNSEYSNDPSLWAALLGACATHSDSMVGERAAKKMIELERSYHLSYVLLANVYKKVGRWDEALEIRKLMKRMRAKKGPGKSWIEIKSSLNDKSNNSFQKFDDLSLISL >DRNTG_05748.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:18956234:18958171:1 gene:DRNTG_05748 transcript:DRNTG_05748.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCKFHTPVWMPDSSPLKPRLSTDFYIFSLIFSSTFPHLWRRRRLGFGEALARLWRCLTAFKTTFPSEESYWGTFLRHRSGEGYPNFLWNSDGQYWEAPQEECQKGEILGEEALQLQRVLANFIEASDVCVQNMETTLRCHEASYKNLEHQLGGILDTLSKEQQAFEQAIQVLYRNDVVVNDNEEVG >DRNTG_17627.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4387203:4389820:1 gene:DRNTG_17627 transcript:DRNTG_17627.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVFWLQVDPKWFELAQQLEGLELQQCINETYCLPEAPKVVFGLKASTGDVFVDNAAYRKFLFMEFDVSTVDEESAAILMTAMSPGIPVMVFRGVSDLAGGEEAKWSSTSLNTLACENALRVALEFIAAIGKQS >DRNTG_17627.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4387203:4389820:1 gene:DRNTG_17627 transcript:DRNTG_17627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVFWLQVDPKWFELAQQLEGLELQQCINETYCLPEAPKVVFGLKASTGDVFVDNAAYRKFLFMEFDVSTVDEESAAILMTAMSPGIPVMVFRGVSDLAGGEEAKWSSTSLNTLACENALRVALEFIAAIGKQS >DRNTG_17627.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4387203:4389820:1 gene:DRNTG_17627 transcript:DRNTG_17627.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGSLEEVTPELSFGSYSIPQKSENLLSKVKFKTEEFYSVSKVMEEVFWLQVDPKWFELAQQLEGLELQQCINETYCLPEAPKVVFGLKASTGDVFVDNAAYRKFLFMEFDVSTVDEESAAILMTAMSPGIPVMVFRGVSDLAGGEEAKWSSTSLNTLACENALRVALEFIAAIGKQS >DRNTG_35347.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13584017:13632567:-1 gene:DRNTG_35347 transcript:DRNTG_35347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNSGNLVNGRCYLAPVVDTINQLKKMMTRRHWDILRRTPFSHLMDIEPIVQERSVLDALMQMFDERSNTFHLGDSFLQFKAEDVSLILGLQCDGTAIDFKRKKERSVFEEEYFSKGVDRNRDCLVRSLMNMVVKRESKKEESFVKLLLVYILGFVLFPTTSCSSPAWLPHYVDNLSTIGQYAWAQATHKWMMDDIPLATARVKKRCVGKQSRIGYVRGCTMALIIWFYEVTGNGKKIHFGRTPRILCYGVGSYKKQAAVSALIDSLEGKKFVPLMADREFEIELLGYGKVQRNNSLMVSETSDAMK >DRNTG_30326.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1079865:1080944:-1 gene:DRNTG_30326 transcript:DRNTG_30326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAQVIKEILKHCPDSDELVDGKGRSFLHVAIMNHSRRVVRYVLQVPILEKLLNCQDFEGNTLLHIACTLGAKDIVYDLIKDGRVDSGVMNNEGCTPLDLSFYLHNATASWQIKQTSPSLIFRCLLDTGAIFSPKRSDLQKRNVHDLENETLMISLSKTLAILSILVATVTFTAGFTVPGGYSSGSSSNDNIQEGTAILSNKFCFKVFLISNTLAMVCSLTATCCLVHAGAPLLDLKVRESYLSWSMSILTWAIVGMYVAYGMALMAVVFPQERVIGIVVCAITFWPSVCLVFAIVWPAVNLLRVTGFMNGMMSRSQDPQTKLWLSEHLFWNSPSSIMIRSVSRVVFASAVIFGLAML >DRNTG_04741.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:61666:63431:-1 gene:DRNTG_04741 transcript:DRNTG_04741.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELERKVQEINKAEEEEEGDSSTSSQFSTGKRSFPFRLPTVFLSKKKRRLPASSQSSSLSTDEDIVRQVTSIVKQINSIESKLKAETKLEEWFDQIKLNGVYDPREYHFTQNERVTTSSTNERKIYGRDDEIQQLIKFLTGPNVNNGSNVSVVPIVGMGGIGKTTLAQFVFHNREIKNHFDEKAWIYVSNHFDRCRITKEMLQVINPNVQHCSTSNLDFLERELQRHLTGKKFLLVLDDIWSNEWQWLLAPLQSSQAHVIKIIVTCRDPMVLRSTDERNKIILKGIDHQEYWSLFLNHAFAENNPDNSSQTLHDIGRCIVKKLMGSPLAAKTVGKLLGRDLTEKHWNDVLENDLWKLLFHRKF >DRNTG_04741.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:61666:62731:-1 gene:DRNTG_04741 transcript:DRNTG_04741.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLAQFVFHNREIKNHFDEKAWIYVSNHFDRCRITKEMLQVINPNVQHCSTSNLDFLERELQRHLTGKKFLLVLDDIWSNEWQWLLAPLQSSQAHVIKIIVTCRDPMVLRSTDERNKIILKGIDHQEYWSLFLNHAFAENNPDNSSQTLHDIGRCIVKKLMGSPLAAKTVGKLLGRDLTEKHWNDVLENDLWKLLFHRKF >DRNTG_04741.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:61429:63370:-1 gene:DRNTG_04741 transcript:DRNTG_04741.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELERKVQEINKAEEEEEGDSSTSSQFSTGKRSFPFRLPTVFLSKKKRRLPASSQSSSLSTDEDIVRQVTSIVKQINSIESKLKAETKLEEWFDQIKLNGVYDPREYHFTQNERVTTSSTNERKIYGRDDEIQQLIKFLTGPNVNNGSNVSVVPIVGMGGIGKTTLAQFVFHNREIKNHFDEKAWIYVSNHFDRCRITKEMLQVINPNVQHCSTSNLDFLERELQRHLTGKKFLLVLDDIWSNEWQWLLAPLQSSQAHVIKIIVTCRDPMVLRSTDERNKIILKGIDHQEYWSLFLNHAFAENNPDNSSQTLHDIGRCIVKKLMGSPLAAKTVGKLLGRDLTEKHWNDVLENDLWKLLFHRKF >DRNTG_14661.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5799912:5800758:1 gene:DRNTG_14661 transcript:DRNTG_14661.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILDRLEGNYADLSMQKCSSNVVEKCLRLAGEEHCVRIVQELMNSSMLLQILQDPFGNYVIQSALKECKTAFRASFVEVIKPHIPALRNSPYGRKVLSSICLKK >DRNTG_14661.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5795570:5800758:1 gene:DRNTG_14661 transcript:DRNTG_14661.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEGRRATEQEYDELEMLLGEIPNVTLANPHFVDHAITDILPKLGALSVEEEEERSSPSRKFTKSYNSPQASIHGGYKALNGSLSQTFDQHPQSNGNFSLKTSMVNTEKIPSDLPDNWHQASAFFGNAFLFDEGNTLFNKLSSSAVDHSPVSSLASPIGSMGVPNCSIPNTMINGVHVSCPELESHLALTRINGINKYVSRMDVQNESEFLKKKRQEVLQNNWQEQQRSIQVNSRFMPLNAETNAALELLPGVPFPGMELTASAFQQQYCFDVHSTACVPSHDSAWQNIEGGGHYNMHQQFFYPPCLHKQGFPNGTFSGIRSVGSTTEPYIKLPDSHQIQHFNGNLYWNDYLLYKRHNEPEFPAIGGGVYQCNPYVQKQTSTNEQTFLAKDDNDHLFMDRKLVSPIKILTRSDGMNSLRPSQSRSARVNQSKSTVDRDGDMHSNGQVEQPLAFSNGLWMDGLNCQSLSPSNHDFTISPKSPQVKYNSIDDVLGGIYSMAKDQIGCRFLQKKFAEGAPEDIERIFVEIIGHIVELMTDPFGNYLVQKLLEVCDEGQRMCILNIVTRKPGELFRISCDMHGTRAVQKVIETLQNADQYSMVVASLKPRVVALLKNINGNHVAQRCLQYLPAEYNEFLFDAAVAHCVELATDRQGCCVLQKCLYHSDGDRKARLMSEIASNSLFLSQDQYGNYVVQFILDQKVPWATSMILDRLEGNYADLSMQKCSSNVVEKCLRLAGEEHCVRIVQELMNSSMLLQILQDPFGNYVIQSALKECKTAFRASFVEVIKPHIPALRNSPYGRKVLSSICLKK >DRNTG_00254.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5103600:5104250:-1 gene:DRNTG_00254 transcript:DRNTG_00254.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPICQRVRLWGWGVTFNVKNVVVKDLKRGFNMANLQVELQGCKDDKNVAHLTHISEMEKKCGSLVRMIAILKDVTQNKHSIIARLQQPYSLDCISVKAEYQKQFLSKFLLKAACDFMALTTSVNDFHCS >DRNTG_33668.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2192281:2192958:1 gene:DRNTG_33668 transcript:DRNTG_33668.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCLEGRRVLPSAECCGALRAVDRTCLCSTVDIINRIPRDCNLPVVTCV >DRNTG_21732.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13866028:13874476:-1 gene:DRNTG_21732 transcript:DRNTG_21732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEILGLNLTCVMGSLTAGQFPEKQCLLPLISKILGYCIVAASTTVKLPQILKILKHSSIRGLSLMAFELEVVGYTIALSYCLHKGLPFSAYGELAFLLIQGISTCNIFLCKGSPNMGKLQKQKHRRA >DRNTG_07648.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5443258:5443699:1 gene:DRNTG_07648 transcript:DRNTG_07648.3 gene_biotype:protein_coding transcript_biotype:protein_coding KKSIVYIYIYITRTPRTGTLDSPSTKQSSSSSVLRGSNQPPQQTFARHL >DRNTG_07648.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5443296:5443699:1 gene:DRNTG_07648 transcript:DRNTG_07648.4 gene_biotype:protein_coding transcript_biotype:protein_coding KKSIVYIYIYITRTPRTGTLDSPSTKQSSSSSVLRGSNQPPQQTFARHL >DRNTG_07648.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5443296:5443789:1 gene:DRNTG_07648 transcript:DRNTG_07648.2 gene_biotype:protein_coding transcript_biotype:protein_coding KKSIVYIYIYITRTPRTGTLDSPSTKQSSSSSVLRGSNQPPQQTFARHL >DRNTG_07648.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5443258:5443789:1 gene:DRNTG_07648 transcript:DRNTG_07648.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKSIVYIYIYITRTPRTGTLDSPSTKQSSSSSVLRGSNQPPQQTFARHL >DRNTG_33396.15 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:16823:18682:-1 gene:DRNTG_33396 transcript:DRNTG_33396.15 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLLLMFYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPTSSGEISTTTLLLSLSTSSGEVSATTLLLSLPSSAGEVATTTVLL >DRNTG_33396.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:16823:18682:-1 gene:DRNTG_33396 transcript:DRNTG_33396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLLLMFYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPTSSGEVSATTLLLSLPTSSGEVSATTLLLSLPTSSG >DRNTG_33396.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:16823:18682:-1 gene:DRNTG_33396 transcript:DRNTG_33396.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLLLMFYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYNSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPTSSGEVSATTLLLSLPTSSGEVSATTLLLSLPTSSG >DRNTG_33396.12.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:16823:18682:-1 gene:DRNTG_33396 transcript:DRNTG_33396.12 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLLLMFYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPTSSGEISTTTLLLSLSTSSGEVSATTLLLSLPSSAGEVATTTVLL >DRNTG_33396.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:16823:18682:-1 gene:DRNTG_33396 transcript:DRNTG_33396.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLLLMFYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYNSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPTSSGEVTTTTVLLSLPTSSGEVTTTTVLLSLPTSSGEVTTTTLLLSLPTSSCEVPTTTLLLSFPTSSGEITTTTILLHVTPTTISP >DRNTG_33396.20 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:16823:18682:-1 gene:DRNTG_33396 transcript:DRNTG_33396.20 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLLLMFYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYNSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPTSSGEVTTTTVLLSLPTSSGEVSATTLLLSLPSSAGEVATTTVLL >DRNTG_33396.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:16823:18682:-1 gene:DRNTG_33396 transcript:DRNTG_33396.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLLLMFYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPTSSGEISTTTLLLSLSTSSGEVSATTLLLSLPSSSGEVTTTTVLLSLPTSSGEVSATTVLL >DRNTG_33396.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:16823:18682:-1 gene:DRNTG_33396 transcript:DRNTG_33396.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLLLMFYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYNSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPTSSGEVSATTLLLSLPTSSGEVSATTLLLSLPTSSG >DRNTG_33396.18.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:16823:18682:-1 gene:DRNTG_33396 transcript:DRNTG_33396.18 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLLLMFYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPTSSGEISTTTLLLSLSTSSGEVSATTLLLSLPSSAGEVATTTVLL >DRNTG_33396.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:16823:18682:-1 gene:DRNTG_33396 transcript:DRNTG_33396.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLLLMFYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYNSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPTSSGEVSATTLLLSLPTSSGEVSATTLLLSLPTSSG >DRNTG_33396.9 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:16823:18682:-1 gene:DRNTG_33396 transcript:DRNTG_33396.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLLLMFYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPTSSGEISTTTLLLSLSTSSGEVSATTLLLSLPSSAGEVATTTVLL >DRNTG_33396.17.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:16823:18682:-1 gene:DRNTG_33396 transcript:DRNTG_33396.17 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLLLMFYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPTSSGEISTTTLLLSLSTSSGEVSATTLLLSLPSSAGEVATTTVLL >DRNTG_33396.11 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:16823:18682:-1 gene:DRNTG_33396 transcript:DRNTG_33396.11 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLLLMFYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYNSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPTSSGEVSATTLLLSLPTSSGEVSATTVLL >DRNTG_33396.22 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:16823:18682:-1 gene:DRNTG_33396 transcript:DRNTG_33396.22 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLLLMFYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYNSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPTSSGEVSATTLLLSLPTSSGEVSATTLLLSLPTSSG >DRNTG_33396.19.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:16823:18682:-1 gene:DRNTG_33396 transcript:DRNTG_33396.19 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLLLMFYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPTSSGEISTTTLLLSLSTSSGEVSATTLLLSLPSSAGEVATTTVLL >DRNTG_33396.13 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:16823:18682:-1 gene:DRNTG_33396 transcript:DRNTG_33396.13 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLLLMFYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYNSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPTSSGEVTTTTVLLSLPTSSGEVTTTTVLL >DRNTG_33396.14 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:16823:18682:-1 gene:DRNTG_33396 transcript:DRNTG_33396.14 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLLLMFYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYTSPPPPYHHEPILKVVGRVYCYKCYNWENPKESYKKKLFAGDC >DRNTG_33396.21 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:16823:18682:-1 gene:DRNTG_33396 transcript:DRNTG_33396.21 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLLLMFYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPTSSGEVSATTLLLSLPTSSGEVSATTLLLSLPTSSG >DRNTG_33396.23 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:16823:18682:-1 gene:DRNTG_33396 transcript:DRNTG_33396.23 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLLLMFYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYNSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPTSSGEVTTTTVLLSLPTSSGEVSATTLLLSLPSSAGEVATTTVLL >DRNTG_33396.24.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:16823:18682:-1 gene:DRNTG_33396 transcript:DRNTG_33396.24 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLLLMFYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPTSSGEISTTTLLLSLSTSSGEVSATTLLLSLPSSAGEVATTTVLL >DRNTG_33396.16 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:16823:18682:-1 gene:DRNTG_33396 transcript:DRNTG_33396.16 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLLLMFYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYNSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPTSSGEVSATTLLLSLPTSSGEVSATTLLLSLPTSSG >DRNTG_33396.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:16823:18682:-1 gene:DRNTG_33396 transcript:DRNTG_33396.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLLLMFYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPTSSGEISTTTLLLSLSTSSGEVSATTLLLSLPSSAGEVATTTVLL >DRNTG_33396.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:16823:18682:-1 gene:DRNTG_33396 transcript:DRNTG_33396.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLLLMFYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPTSSGEISTTTLLLSLSTSSGEVSATTLLLSLPSSAGEVATTTVLL >DRNTG_33396.10 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:16823:18682:-1 gene:DRNTG_33396 transcript:DRNTG_33396.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLLLMFYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYNSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYTSPPPPYHHEPILKVVGRVYCYKCYNWENPKESYKKKLFAGDC >DRNTG_33396.25 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001995.1:16823:18682:-1 gene:DRNTG_33396 transcript:DRNTG_33396.25 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLLLMFYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYNSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPTSSGEVTTTTVLLSLPTSSGEVSATTLLLSLPSSAGEVATTTVLL >DRNTG_13947.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21290836:21293181:-1 gene:DRNTG_13947 transcript:DRNTG_13947.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGFARFNEKLQKISQNIKKHEKKRTKDDSCLGSTSPTRKGYPWDR >DRNTG_13947.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21290836:21295334:-1 gene:DRNTG_13947 transcript:DRNTG_13947.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRISGLIESLVAYSPPSWAAVIAGIFVVTSLSLSMLLIFEHLSAYKNPEEQKFLIGVILMVPCYAVESYISLVSPSISVDCGILRDSYESFAMYCFGRYLVACLGGEERTVEFMKRQGSLSSNAPLLPHSSEKGLIHHHFPMSVFLKPWKMGEWFYQLIKFGIVQYMIIKTLTAILAVILEAFGVYGEGEFTWNRGYPYVAFVLNFSQSWALYCLVQFYTATKDELAYIKPLAKFLVFKSIVFLTWWQGVGIALFYTLGLFKSPVAFKTSIQDFIICIEHRWALHPLFTCMYFLPSHMH >DRNTG_13947.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21290836:21295334:-1 gene:DRNTG_13947 transcript:DRNTG_13947.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISGLIESLVAYSPPSWAAVIAGIFVVTSLSLSMLLIFEHLSAYKNPEEQKFLIGVILMVPCYAVESYISLVSPSISVDCGILRDSYESFAMYCFGRYLVACLGGEERTVEFMKRQGSLSSNAPLLPHSSEKGLIHHHFPMSVFLKPWKMGEWFYQLIKFGIVQYMIIKTLTAILAVILEAFGVYGEGEFTWNRGYPYVAFVLNFSQSWALYCLVQFYTATKDELAYIKPLAKFLVFKSIVFLTWWQGVGIALFYTLGLFKSPVAFKTSIQDFIICIEMGIASIVHMYVFPAKPYALMDDHFRGSVSVLGDYASVDCPPDFDEVRESERPTKLRLPQPDAGVKSGTAIKGECP >DRNTG_30642.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1084475:1086133:-1 gene:DRNTG_30642 transcript:DRNTG_30642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELGLGLRSSTFNPFPLRRISPEVIFSPSSLSLKQHNNQSKLPCILSHDKSLQIVKKPGKKEHHLWKKRDSAGSGQKALHLVRIVSNLPNEKEAIYGTLDKWTAWETEFPVIAAAKALSILRRRKQWLRIIQVSKWLLSKGQMLTMGTYDTLLLAFDMDGRLDETEIIWNMILQTHSRSISKRLFFSNNFFI >DRNTG_09564.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22589800:22602195:1 gene:DRNTG_09564 transcript:DRNTG_09564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRALEVLYSLGVLDEDAKLTSPTGFQVAEIPLDPMISKMILSANTYECTEEIIIIAAVLSMQSIWVSARGVQKEFDEAKLRFAAAEGDHVTYLNVYKGFIQSGKSSQWCYKNYINYHAMKKVVDIREQLSKLLKRFGMSLKSCERDTQKLRKAIVAGFFANSCHLEAYSQNGMYKTIRHSQEVFIHPSSVLFRVNPKWVIYHSLVSTDKHYMRNVMAIDPDWLIEAAPHFYQHKRPNLSAPF >DRNTG_09564.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22591967:22602195:1 gene:DRNTG_09564 transcript:DRNTG_09564.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVVDIREQLSKLLKRFGMSLKSCERDTQKLRKAIVAGFFANSCHLEAYSQNGMYKTIRHSQEVFIHPSSVLFRVNPKWVIYHSLVSTDKHYMRNVMAIDPDWLIEAAPHFYQHKRPNLSAPF >DRNTG_33639.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1960087:1964763:1 gene:DRNTG_33639 transcript:DRNTG_33639.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 7 [Source:Projected from Arabidopsis thaliana (AT5G62190) UniProtKB/Swiss-Prot;Acc:Q39189] MPSLPLLSDAEEAKVLQLKKPKKVDSMAVDLPFAASADDEKKSEKKKKKKKHKEIESDELEIDDIEKKVMKKKRKASDEETSDTSFDAGVPVVEDSKKKKKKLKVVEGDDGEVSGSGEEDSGKNPNAVSNFRISEPLREKLKSKGIGSLFPIQAMTFDVILDGSDLVGRARTGQGKTLAFVLPILESLINGKHKATRKTGYGRPPSVLVLLPTRELAKQVSSDFEVYGGAVGLSACCVYGGDPFRGQEFALKKGVDIVVGTPGRVKDHIERGTIDLKSLKFRVLDEADEMLNMGFVDDVELILGKVEDVNKVQTLLFSATLPDWVKKISARFLKPDKKTADLVGNEKLKASANVRHLVLPCSRAARPQLIPDIVRCYSHGGRTIIFTETKESASELAGLLPGARALHGDIMQAQREVILAGFRSGRFLLLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNSGVAILLYEPKFKHCITRIERESGVKFEHISAPQPADIAESAGFEAAEAITTVSDSVIPVFRSQAEQLLNSSGLPVVDLLAKALAKAAGYTDIKKRSLLSSLENYVTLLLQAGKPIYSPSFAFSALRRFLPDEKIEGVKGLALTADGMGAVFDVPADEVSNFIEGQENASMVTIEVLEKLPALQENEHSRGNGGGRGRFGGGGGGRRFSGGSSGGGRRGGGNRFNRRN >DRNTG_33639.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1960087:1964763:1 gene:DRNTG_33639 transcript:DRNTG_33639.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 7 [Source:Projected from Arabidopsis thaliana (AT5G62190) UniProtKB/Swiss-Prot;Acc:Q39189] MPSLPLLSDAEEAKVLQLKKPKKVDSMAVDLPFAASADDEKKSEKKKKKKKHKEIESDELEIDDIEKKVMKKKRKASDEETSDTSFDAGVPVVEDSKKKKKKLKVVEGDDGEVSGSGEEDSGKNPNAVSNFRISEPLREKLKSKGIGSLFPIQAMTFDVILDGSDLVGRARTGQGKTLAFVLPILESLINGKHKATRKTGYGRPPSVLVLLPTRELAKQVSSDFEVYGGAVGLSACCVYGGDPFRGQEFALKKGVDIVVGTPGRVKDHIERGTIDLKSLKFRVLDEADEMLNMGFVDDVELILGKVEDVNKVQTLLFSATLPDWVKKISARFLKPDKKTADLVGNEKLKASANVRHLVLPCSRAARPQLIPDIVRCYSHGGRTIIFTETKESASELAGLLPGARALHGDIMQAQREVILAGFRSGRFLLLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNSGVAILLYEPKFKHCITRIERESGVKFEHISAPQPADIAESAGFEAAEAITTVSDSVIPVFRSQAEQLLNSSGLPVVDLLAKALAKAAGYTDIKKRSLLSSLENYVTLLLQAGKPIYSPSFAFSALRRFLPDEKIEGVKGLALTADGMGAVFDVPADEVSNFIEGQENASMVTIEVLEKLPALQENEHSRGNGGGRGRFGGGGGGRRFSGGRGGGGGGGGRRFSGGSSGGGRRGGGNRFNRRN >DRNTG_00818.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18998849:19000160:1 gene:DRNTG_00818 transcript:DRNTG_00818.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTRKRSIRAGFCCFNASTIYDNDDKVKTEISTDSPVQISEEELGSSSSFSRHRILTKAFHSTAFISALERYVTGSSSRLMEDDNRRANSSDQGFTPLSVSLFSPSLSTLSLSFQWLLTRRQKPSLAMKTTKDRILLVFKYFLQVEFWIDLLLTLLGYKPGIIYAIYALVG >DRNTG_13032.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:662718:668563:1 gene:DRNTG_13032 transcript:DRNTG_13032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPSILITADALRAKDAHLSSDDSAERQHRSTLSGEAGSLLGLRLQRTSAGELCRPRA >DRNTG_02369.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15115522:15116912:-1 gene:DRNTG_02369 transcript:DRNTG_02369.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIPQLAARVQDRCAAKKTNTGYIKGCSVVLNIWFYELNRTGKKIRFGKIPRMLCYSETPGTNEASEFADDDIIGVVIPRRPHSKRLAKKRRTILPLSLLPANDETIATPSATDDVTKSVTVDDMAVTVEEIVDDVTVAAVEKIIYFLVNEIPDPVEPAAESAPSKMHIIPEEEEQAKGVSAIEKIVESVAVAGADSTASKQDTIPQQQEAYKDMSAVN >DRNTG_17340.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32064233:32066031:1 gene:DRNTG_17340 transcript:DRNTG_17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGPWTFNTNLLLFKPIASLDQASHIQLHDFEIWIQVHGLPFSLKSEWVLQHIGNHVGSFLTSDPKNFSEVWRSYFRVRVRLDVRRPLRAGMKIKKDGGSWLWLDFKYERLPTFCFLCGKIGHGENFCDKALEQGPGPSCKPFGSWLRATSRSSQINHGAQWLVEEVDGTTDSPAVNRASAIGIVANLGGKDVNSAQHGVHDRQHHVISAQQGGQERSHHVICAQHGGQVSNGVNDGYGALSDQRCNQFPCRGNPQLDTKEAEGDGVHSAIPQDDGIILLDPKRRRVGQNRTVLSLTT >DRNTG_31269.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19885127:19889320:1 gene:DRNTG_31269 transcript:DRNTG_31269.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVIKVVVLMMMMMMVSMVREGKGEKARHFKWEVGYVFWSPDCEEKMMIGINGKYPGPTIRAKEGDTIVVQLKNNLPTEGVVIHWHGIRQFGTPWADGTAAISQCPIKPDETFTYRFKADKAGTYFYHGHYGMQRAAGLYGSLIVDVADGEKEPFHYDGELNLLLSDWFHQSIHSQMLGLSSNPFRWIGEPQSLLINGRGQYNCSLAAHVLRDGSTSCQINSSDCAPLTLRVLPNKTYRIRIASTTSLSSLNLAIGNHKMTVVEADGNYVQPFIVDDMDIYSGESYSVLITTDQNPLSNYWISVGVRGRLPKTLPALAILNYHPNSPSRLPTLIPPTTPAWNDYAHSKSFTYKILAREGTPMPPNNTSHKIALLNTQTKINGCIRWSINNISLVLSSTPHLGSIKYGLKDALQTGKPAENFPSDYNVMIPPINPNSTKGNNVYKLEFNSTIDVILQNANALAVNASEIHPWHLHGHDFWVLGYGEGRFTEADITQFNLKNPPLRNTVVIFPYGWTAIRFVANNPGVWAFHCHVEPHLHMGMGIIFSEGVDLVGKIPSEALTCGETGKLLMKNHQN >DRNTG_31269.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19884869:19889320:1 gene:DRNTG_31269 transcript:DRNTG_31269.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVIKVVVLMMMMMMVSMVREGKGEKARHFKWEVGYVFWSPDCEEKMMIGINGKYPGPTIRAKEGDTIVVQLKNNLPTEGVVIHWHGIRQFGTPWADGTAAISQCPIKPDETFTYRFKADKAGTYFYHGHYGMQRAAGLYGSLIVDVADGEKEPFHYDGELNLLLSDWFHQSIHSQMLGLSSNPFRWIGEPQSLLINGRGQYNCSLAAHVLRDGSTSCQINSSDCAPLTLRVLPNKTYRIRIASTTSLSSLNLAIGNHKMTVVEADGNYVQPFIVDDMDIYSGESYSVLITTDQNPLSNYWISVGVRGRLPKTLPALAILNYHPNSPSRLPTLIPPTTPAWNDYAHSKSFTYKILAREGTPMPPNNTSHKIALLNTQTKINGCIRWSINNISLVLSSTPHLGSIKYGLKDALQTGKPAENFPSDYNVMIPPINPNSTKGNNVYKLEFNSTIDVILQNANALAVNASEIHPWHLHGHDFWVLGYGEGRFTEADITQFNLKNPPLRNTVVIFPYGWTAIRFVANNPGVWAFHCHVEPHLHMGMGIIFSEGVDLVGKIPSEALTCGETGKLLMKNHQN >DRNTG_31269.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19885127:19889382:1 gene:DRNTG_31269 transcript:DRNTG_31269.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVIKVVVLMMMMMMVSMVREGKGEKARHFKWEVGYVFWSPDCEEKMMIGINGKYPGPTIRAKEGDTIVVQLKNNLPTEGVVIHWHGIRQFGTPWADGTAAISQCPIKPDETFTYRFKADKAGTYFYHGHYGMQRAAGLYGSLIVDVADGEKEPFHYDGELNLLLSDWFHQSIHSQMLGLSSNPFRWIGEPQSLLINGRGQYNCSLAAHVLRDGSTSCQINSSDCAPLTLRVLPNKTYRIRIASTTSLSSLNLAIGNHKMTVVEADGNYVQPFIVDDMDIYSGESYSVLITTDQNPLSNYWISVGVRGRLPKTLPALAILNYHPNSPSRLPTLIPPTTPAWNDYAHSKSFTYKILAREGTPMPPNNTSHKIALLNTQTKINGCIRWSINNISLVLSSTPHLGSIKYGLKDALQTGKPAENFPSDYNVMIPPINPNSTKGNNVYKLEFNSTIDVILQNANALAVNASEIHPWHLHGHDFWVLGYGEGRFTEADITQFNLKNPPLRNTVVIFPYGWTAIRFVANNPGVWAFHCHVEPHLHMGMGIIFSEGVDLVGKIPSEALTCGETGKLLMKNHQN >DRNTG_33418.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1614160:1615477:1 gene:DRNTG_33418 transcript:DRNTG_33418.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGMAMSSAGEGFIGRRIGADLGGARSSGEGLDGNPWRKDEGDEEARHACE >DRNTG_25672.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21583976:21585828:1 gene:DRNTG_25672 transcript:DRNTG_25672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPDTSKTTKLERYNSYLRRINTARLLTLSSQLLFRTTILAALLLILLFTLHHPPLSSFSFSSSPPHSHRSLLSSSSSSSTSSSLSSHSFQRQVLHSATPRNPTGLSVLVTGAAGFVGSHCSLALKKRGDGVLGLDNFNSYYDPSLKRSRQSLLSKHSIFIVDGDINDSSLLKTLFDLTPFTHILHLAAQAGVRYAMRNPQSYISSNIAGFVSLLEVAAKSADPQPSIVWASSSSVYGLNVENPFSELHRTDRPASLYAATKKAGEEIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFTKSILSGKPITVFKTQDGAGEVARDFTYIDDVVKGCLGALDTAEKSTGSGGKKKGQAQLRIYNLGNTSPVPVGKLVGILEGLLGVKAKKNVVKMPSNGDVPYTHANVSLAEHDFGYRPTTDLAAGLRKFVKWYVQYYGIKNKVHGGVGGGIDVSEVASV >DRNTG_01452.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:8198663:8198941:-1 gene:DRNTG_01452 transcript:DRNTG_01452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSRAHDLFERLESALGVIRTEIAEVRAEIAEIRVAQSPTPPASPSPPPPAPIDLALASVSEPECGTDT >DRNTG_13614.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6200456:6204496:-1 gene:DRNTG_13614 transcript:DRNTG_13614.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat domain-containing protein EMB506, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G40160) UniProtKB/Swiss-Prot;Acc:Q9SQK3] MLALSPSRALLHPSLSAAAHAALRRSISLGDSSHRLRFKSLRAPTALRRISNSSSPAKSASRGHWDESDDGHGSEYDEVDEEQEDYGIGSTATAEEYEKLVEEVELLLGEEEKAILQLNEAPDLSKLTSRKWSLFHSLALSSQIRFIDELLQYGIFIDLVDKDGYTALHKAVIGRKEAVITHLLRKGANPHVKDRDGATPLHSAVQVGAMKSVKLLIKYKADVNVADNEGWTPLHLAIQSRSREIAKVLLVNGADATRRNKDGKTPLDLSLCFGKDFKSYELAKLLKLFPANRNLETQ >DRNTG_33281.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23863656:23869224:-1 gene:DRNTG_33281 transcript:DRNTG_33281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVALRNPNSRRLVGFSPSYYHSCCRAVGCGLESPQSLLPGTSERKALDYGSWWRRSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLAEEGKAKAVAFDEIDKAPEEKARGITIATAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLSFYKFPGDEIPIIRGSALSALQGTNEEMGRKSILKLMDAVDEYIPDPVRQLDKPFLMPIEDVFSIQGRGTVVTGRVEQGTIKTGEEVEVLGLMQTGALKTTVTGVEMFKKILDHGQAGDNVGLLLRGLKRGDVQRGQVVCKPGTVKTYKKFEAEIYVLTKDEGGRHTAFFSNYSPQFYMRTADVTGKVDLPESVKMVMPGDNVTATFELILPVPLEAGQRFALREGGRTVGAGVVSKVIS >DRNTG_15526.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8851538:8854167:1 gene:DRNTG_15526 transcript:DRNTG_15526.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSRKGDRQASLDALTGSLARKGGRKAPKTAPCEHPSS >DRNTG_15526.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8851538:8854167:1 gene:DRNTG_15526 transcript:DRNTG_15526.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLSQSFTKSRFYNFKILLNFKPKQNSRFSTINPKESGKKSKEKN >DRNTG_29521.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2182606:2189504:1 gene:DRNTG_29521 transcript:DRNTG_29521.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMALNVTDKTSDNEKEERFITHYTSSQRILLVGEGDFSFSLCLARAFGSANNMVATSLDSQATLLKNYKLAEVHLQKLKELNCLVLHEIDVKTMHEHDVLKDMKFDRIIYNFPHAVHDFFFKERDKELIKRHMELVGAFFKVASKMLNEEGQVHVSHRDDYPYRLWRIEDLANDAELVLKQKEVFSKWDYPGYSNKRGSGVLSDNEFPLIDSFTFKFSLESSIFTDSAYSDDEDIIDDHDWEWELAKILENMYNSSKMLSDEEVHVAHGNDCPYHKWKLEKFAKVGLVLMEIVELMKEKYPGYIITREVVAFVDAHSEGLVNGWIGG >DRNTG_14369.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:373393:379056:1 gene:DRNTG_14369 transcript:DRNTG_14369.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIIKEGMKYRLCSIPLPLNGNGLTHLAALHPCFLPLPSHVCQISPGPNYDYYSSTMRFTISSPVMPDAVVDYDLSNAKWIIVQQQNVLSDRTRTLYGSSAVNDIKKLSSLKKHDQMHDFNDSDGVWNELTEFYACEYHDVPSNDEVLVPLTIVYSRKQKQEGSPGPGLLHGHGAYGELLDKRWRSELKSLLDRGWVVAYADVRGGGGFGRKWHYDGRRTKKQNSIIDYISCAEFLIAEGFVMENKLAGWGYSAGGLLVASAINKHPDLFRAAVLKVPFLDTCNTLLYPVLPLDAADYEEFGYPVEVEDFLAMKKCSPYDNIPKNVKFPSVMVTSSFNTRFGVWEAAKWVARVRENTIYDPKHPVVLNLTTDLVEDNKYLQIKELALETAFLIKMVSDS >DRNTG_14369.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:378227:379056:1 gene:DRNTG_14369 transcript:DRNTG_14369.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCSPYDNIPKNVKFPSVMVTSSFNTRFGVWEAAKWVARVRENTIYDPKHPVVLNLTTDLVEDNKYLQIKELALETAFLIKMVSDS >DRNTG_14369.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:375687:379056:1 gene:DRNTG_14369 transcript:DRNTG_14369.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIIKEGMKYRLCSIPLPLNGNGLTHLAALHPCFLPLPSHVCQISPGPNYDYYSSTMRFTISSPVMPDAVVDYDLSNAKWIIVQQQNVLSDRTRTLYGSSAVNDIKKLSSLKKHDQMHDFNDSDGVWNELTEFYACEYHDVPSNDEVLVPLTIVYSRKQKQEGSPGPGLLHGHGAYGELLDKRWRSELKSLLDRGWVVAYADVRGGGGFGRKWHYDGRRTKKQNSIIDYISCAEFLIAEGFVMENKLAGWGYSAGGLLVASAINKHPDLFRAAVLKVPFLDTCNTLLYPVLPLDAADYEEFGYPVEVEDFLAMKKCSPYDNIPKNVKFPSVMVTSSFNTRFGVWEAAKWVARVRENTIYDPKHPVVLNLTTDLVEDNKYLQIKELALETAFLIKMVSDS >DRNTG_14369.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:377904:379056:1 gene:DRNTG_14369 transcript:DRNTG_14369.5 gene_biotype:protein_coding transcript_biotype:protein_coding MENKLAGWGYSAGGLLVASAINKHPDLFRAAVLKVPFLDTCNTLLYPVLPLDAADYEEFGYPVEVEDFLAMKKCSPYDNIPKNVKFPSVMVTSSFNTRFGVWEAAKWVARVRENTIYDPKHPVVLNLTTDLVEDNKYLQIKELALETAFLIKMVSDS >DRNTG_14369.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:374302:379056:1 gene:DRNTG_14369 transcript:DRNTG_14369.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIIKEGMKYRLCSIPLPLNGNGLTHLAALHPCFLPLPSHVCQISPGPNYDYYSSTMRFTISSPVMPDAVVDYDLSNAKWIIVQQQNVLSDRTRTLYGSSAVNDIKKLSSLKKHDQMHDFNDSDGVWNELTEFYACEYHDVPSNDEVLVPLTIVYSRKQKQEGSPGPGLLHGHGAYGELLDKRWRSELKSLLDRGWVVAYADVRGGGGFGRKWHYDGRRTKKQNSIIDYISCAEFLIAEGFVMENKLAGWGYSAGGLLVASAINKHPDLFRAAVLKVPFLDTCNTLLYPVLPLDAADYEEFGYPVEVEDFLAMKKCSPYDNIPKNVKFPSVMVTSSFNTRFGVWEAAKWVARVRENTIYDPKHPVVLNLTTDLVEDNKYLQIKELALETAFLIKMVSDS >DRNTG_14369.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:374825:379056:1 gene:DRNTG_14369 transcript:DRNTG_14369.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIIKEGMKYRLCSIPLPLNGNGLTHLAALHPCFLPLPSHVCQISPGPNYDYYSSTMRFTISSPVMPDAVVDYDLSNAKWIIVQQQNVLSDRTRTLYGSSAVNDIKKLSSLKKHDQMHDFNDSDGVWNELTEFYACEYHDVPSNDEVLVPLTIVYSRKQKQEGSPGPGLLHGHGAYGELLDKRWRSELKSLLDRGWVVAYADVRGGGGFGRKWHYDGRRTKKQNSIIDYISCAEFLIAEGFVMENKLAGWGYSAGGLLVASAINKHPDLFRAAVLKVPFLDTCNTLLYPVLPLDAADYEEFGYPVEVEDFLAMKKCSPYDNIPKNVKFPSVMVTSSFNTRFGVWEAAKWVARVRENTIYDPKHPVVLNLTTDLVEDNKYLQIKELALETAFLIKMVSDS >DRNTG_05612.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20413747:20427800:-1 gene:DRNTG_05612 transcript:DRNTG_05612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKHNIIITNEGDQVGHESNVVATPTTHTSTGTTTKKR >DRNTG_05612.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20413747:20415012:-1 gene:DRNTG_05612 transcript:DRNTG_05612.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSCRVGPVFPAWIRTQTNLSELCLSNTGLSGTIPAWLWDLKSSYVLNLSNNNLEGRLPTSLKNYPVNQVDLSSNRFEGPLPELSTNLIFINLSNNSFSGPIPSYFAVATYTQVFALSDNHITGSIPSFFCNFAFLELFDVSNNNMSAGLPGCWNSASALKVINLSNNNFTGKIPDGLVSLTNLISLHLRNNSFSGELPLALRKASKLLILDVGENKLSGSIPTWIGRKTFHL >DRNTG_03133.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21479638:21480143:-1 gene:DRNTG_03133 transcript:DRNTG_03133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSMNSGFKEGPLTRNPFTSGFAASSLQFALLTK >DRNTG_14513.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000701.1:38766:42805:1 gene:DRNTG_14513 transcript:DRNTG_14513.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWKKILARDLEMFCALTSRSVWKKVSGIAFVGADPASGVTIHAASIRLNTVAEHCSTDNFSGRNDNEIHGLHAPAWSLYGYDETIREATPIAASVEAFQVTWLVISFLFFEGVFLCHFDKFPLLISGKCTGLSNGGSSLRPLTLLWPQKNSRNGGRIYHAGGERGRSCTSRLTLSTLSNL >DRNTG_05814.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19032222:19037215:-1 gene:DRNTG_05814 transcript:DRNTG_05814.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSSFPPHLAAPRRRSTLSCAHSLSPTQPHFLASSSSCSSSLGRHCMPLQVRCDAQTISASDLTPGTPVRPTSVLVVGATGTLGRQIVRRALDEGYDVRCLVRPRPAPADFLRDWGAIVVNGDLSKPETIPATLVGIHTIIDCATGRPEEPIKTVDWEGKVALIQCAKAMGIQKYVFYSIHNCDNHPEVPLMQIKHCTEKFLQDSGLTYIIIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDIARLTFIALRNEKINQKLLTFAGPRAWTTQEVITLCERLAGQDANVTTVPVSVLRFTRQLTRFFQWTNDVADRLAFTEASVIWLIILNMYDALLL >DRNTG_05814.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19032222:19037215:-1 gene:DRNTG_05814 transcript:DRNTG_05814.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSSFPPHLAAPRRRSTLSCAHSLSPTQPHFLASSSSCSSSLGRHCMPLQVRCDAQTISASDLTPGTPVRPTSVLVVGATGTLGRQIVRRALDEGYDVRCLVRPRPAPADFLRDWGAIVVNGDLSKPETIPATLVGIHTIIDCATGRPEEPIKTVDWEGKVALIQCAKAMGIQKYVFYSIHNCDNHPEVPLMQIKHCTEKFLQDSGLTYIIIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDIARLTFIALRNEKINQKLLTFAGPRAWTTQEVITLCERLAGQDANVTTVPVSVLRFTRQLTRFFQWTNDVADRLAFTEVLSSDTVFSVPMNETYSLLGVDQKDILTLEKYLQEYFTNILKKLKDLKAQSKQTDIYF >DRNTG_23594.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:648220:649321:-1 gene:DRNTG_23594 transcript:DRNTG_23594.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAFLYLFTSPSSSQDRSHIAQDHGDPDRDHFPASISKPTARKAGGKPPAPKRPPQRGLGIAQLERLRVQESRMKLNDDEFQLPTYTASPKVYVDGDRWNDLKAPRHGLGVAPFNYSRLQESFNQITDREFLLQSYAVPSYTNVGGGGGWHDLPPGSLSHGYQRWRTLRHAMTPYVPAPGIRSEFLDYYAVDRSLMTGGHTRFCAVCPPMVEPPSNQMPHLGNCEFLALKKRRLSGDQEFDTPNAGHVAARE >DRNTG_23594.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:647799:649321:-1 gene:DRNTG_23594 transcript:DRNTG_23594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAFLYLFTSPSSSQDRSHIAQDHGDPDRDHFPASISKPTARKAGGKPPAPKRPPQRGLGIAQLERLRVQESRMKLNDDEFQLPTYTASPKVYVDGDRWNDLKAPRHGLGVAPFNYSRLQESFNQITDREFLLQSYAVPSYTNVGGGGGWHDLPPGSLSHGYQRWRTLRHAMTPYVPAPGIRSEFLDYYAVDRSLMTGGHTRFCAVCPPMVEPPSNQMPHLGNCEFLALKKRRLSGDQEFDTPNAGHVAAREESVAPYYMFFPPIDQSAGDSEIADQTVDVASPSTSSPNLVDLSLKLGH >DRNTG_32177.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30860298:30863336:-1 gene:DRNTG_32177 transcript:DRNTG_32177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTALVVSPAIASFSRSRFSGNQFVRTIRIQCATSFSSSSVDSSKKATVLEKTSVETKTGSWQWRFQDNAVNVYYEEHKEGGASNAKNILMIPTISDVSTVEEWRMVAKDIVTRKGETDWHTTIVDWPGLGYSDRPSLNYTADVMENFLIQFMNASDSPVKNSGGDLIVVGGGHAATIAVRAAGKGLIKPSAIAAVAPTWAGPLPIVFGRSSDMESRYGLLRGTLRAPAVGWMMYNVLVSNEKAIQSQYKSHVYADPNNVTPSIVESRYALTKRKGARFAPAAFLTGLLDPVQSREDFLSLFAELDGKVPVLVVSTTSSPKRSKAEMDALREAKGVTKFVDVPGALLPQEEYPLTVADELYKFLLENFASNM >DRNTG_31877.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21097895:21100093:1 gene:DRNTG_31877 transcript:DRNTG_31877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASVPSQMKSSMLNCISLSDPDVDKSVALLKQACLDSGFFYVIDHGIPQDFM >DRNTG_29433.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001477.1:15550:16990:-1 gene:DRNTG_29433 transcript:DRNTG_29433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPTVLPASGNRPTVWPTSGDRPVSDNRPPIQPISSETPAVHRWSGQPPATDRRSDRPPVTHRLSTGGPADLRRFGRLPAGLRQPATGGSADLRRPATDPPAVHLLIANLRNFFYFVTQTLILVVSWVRKPLPLIVAMPLNSDLDAVEALIQGLVIFQGGVLMVSHDEHLISGSVGELWVASKGKVNPYLRNFQDYKKMLKSSS >DRNTG_22768.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4031401:4038081:1 gene:DRNTG_22768 transcript:DRNTG_22768.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDAAIVDSPRRRQGLLRDQVQLVKRRDCDRYEIAPIQESLSFEKGFFIVIRACQLLAQKNQGIILVGVAGPSGAGKTVFTEKVLNFMPSIAVISMDNYNDASRIIDGNFDDPRLTDYDTLLENIRGLKEGMPVQVPIYDFKSSSRIGYRTVEVPSSRIVVIEGIYALSEKLRPYLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFSGFQNPTYVLKSPKALSIDQIKAVISENFTESREETYDIYLLPPGEDPEACQSYLRMRNRDGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSHVFSDERVTIKIDWLEQLNRKYVQVQGRDRLLVRNVAELLGLEGSYIARTYIEQIQLEKLVNEVMALPDDLKTKLSIDDDMVSSPKEALSRASADRVAMRNKHLKSTGMARSFSTHRDEHLAKLTRLTVGNNKYEGRNSESPSINSGVITQLSEQISTLNERMDEFTNRIEELNSKFSMRKPTMSQQNLALQADACNGSGPTSLFVSGLGNGTLLPNSSSSSQLKDFSLVEEMVVIARGQRQIMHQLDNLSNLLHEHLASLTQPRRIDTQSSRIFDADSIGNSLIILLAVGGLGIFLLKGLNRN >DRNTG_33845.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002047.1:27724:29710:-1 gene:DRNTG_33845 transcript:DRNTG_33845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMKDKLSELLEDNLLEDEVMEEGVEESQFLEVVRVKATVPLPPGATNEESLPSNSMVEKDDHHEEKASYLTSG >DRNTG_10123.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30491023:30493511:1 gene:DRNTG_10123 transcript:DRNTG_10123.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERRIGFLERSGTMGREKRGLELSAEGEEHGSNAKRQKAPALASVIVETLKVDSLQKPCSSLEPVFRRVASEEVERALAKLGSARIGTRCDLWKLST >DRNTG_10123.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30491023:30494359:1 gene:DRNTG_10123 transcript:DRNTG_10123.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRIGFLERSGTMGREKRGLELSAEGEEHGSNAKRQKAPALASVIVETLKVDSLQKPCSSLEPVFRRVASEEVERALAKLGSARIGTRSSPKRIEGPDGRNLQLHFHSRLSLPLFTGGKVEGEQGAAIHVVLLDANTGHVVTSGPESSAKLNVVVLEGDFNNEDDWTDEDFESHVVKEREGKGPLLTGDLQVSLKEGVGTLGELTFTDNSSWKQSRKFRLGLKIASGFCEGIRIREAKTEPFAVKDHRSELNKKHYPPVLKDDVWRLEKIGKDGSFHKRLNKA >DRNTG_10123.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30493513:30494359:1 gene:DRNTG_10123 transcript:DRNTG_10123.4 gene_biotype:protein_coding transcript_biotype:protein_coding LYICDYSDLKKCRSSPKRIEGPDGRNLQLHFHSRLSLPLFTGGKVEGEQGAAIHVVLLDANTGHVVTSGPESSAKLNVVVLEGDFNNEDDWTDEDFESHVVKEREGKGPLLTGDLQVSLKEGVGTLGELTFTDNSSWKQSRKFRLGLKIASGFCEGIRIREAKTEPFAVKDHRSELNKKHYPPVLKDDVWRLEKIGKDGSFHKRLNKA >DRNTG_10123.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30490793:30494359:1 gene:DRNTG_10123 transcript:DRNTG_10123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETATEISRLKSTVGKLQMQVSEMERRIGFLERSGTMGREKRGLELSAEGEEHGSNAKRQKAPALASVIVETLKVDSLQKPCSSLEPVFRRVASEEVERALAKLGSARIGTRSSPKRIEGPDGRNLQLHFHSRLSLPLFTGGKVEGEQGAAIHVVLLDANTGHVVTSGPESSAKLNVVVLEGDFNNEDDWTDEDFESHVVKEREGKGPLLTGDLQVSLKEGVGTLGELTFTDNSSWKQSRKFRLGLKIASGFCEGIRIREAKTEPFAVKDHRSELNKKHYPPVLKDDVWRLEKIGKDGSFHKRLNKA >DRNTG_29977.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:15423522:15430787:-1 gene:DRNTG_29977 transcript:DRNTG_29977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLSPKEAYKILCGKGQYEPRVSKASCLSRPSYRYLHAIISRSVNGRGDSTRVINKQELLYLYSMVQNEPFHRGHILAEYLKHEGQYPRLGVIFSGPYITRLISGMGLRDTISGAEKTIIPAPLGLETMRLMGLVSKYPDGVYVLNIPFEDKGDEPQSVPIETEAPPAAEKVPPPVRLFTPSRAHDHFERLENALGVLRTEILERDVASSFVLRPRTPQPPSASPAPPSPIPAPVDPHYVSPPPAVAEEPTEHGLVIMGIETLSWTRPKCFGT >DRNTG_01398.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4559582:4569059:-1 gene:DRNTG_01398 transcript:DRNTG_01398.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDDKELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQSLQSLTGVSPGEGTGATMSDDDDDQADSETNLFDGGLDGTDTMGFGPLVPTESERSLMERVRQELKHELKHGYKEKIVDIREEILRKRRAGKLPGDTTSTLKSWWQSHSKWPYPTEDDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSTVLKSKRKR >DRNTG_01398.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4559582:4569059:-1 gene:DRNTG_01398 transcript:DRNTG_01398.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDDKELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQSLQSLTGVSPGEGTGATMSDDDDDQADSETNLFDGGLDGTDTMGFGPLVPTESERSLMERVRQELKHELKHGYKEKIVDIREEILRKRRAGKLPGDTTSTLKSWWQSHSKWPYPTEDDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSTVLKSKRKRK >DRNTG_01398.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4559582:4569059:-1 gene:DRNTG_01398 transcript:DRNTG_01398.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDDKELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQSLQSLTGVSPGEGTGATMSDDDDDQADSETNLFDGGLDGTDTMGFGPLVPTESERSLMERVRQELKHELKHGYKEKIVDIREEILRKRRAGKLPGDTTSTLKSWWQSHSKWPYPTEDDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSTVLKSKRKR >DRNTG_01398.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4559582:4569059:-1 gene:DRNTG_01398 transcript:DRNTG_01398.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDDKELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQSLQSLTGVSPGEGTGATMSDDDDDQADSETNLFDGGLDGTDTMGFGPLVPTESERSLMERVRQELKHELKHGYKEKIVDIREEILRKRRAGKLPGDTTSTLKSWWQSHSKWPYPTEDDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSTVLKSKRKR >DRNTG_01398.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4559582:4569059:-1 gene:DRNTG_01398 transcript:DRNTG_01398.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDDKELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQSLQSLTGVSPGEGTGATMSDDDDDQADSETNLFDGGLDGTDTMGFGPLVPTESERSLMERVRQELKHELKHGYKEKIVDIREEILRKRRAGKLPGDTTSTLKSWWQSHSKWPYPTEDDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSTVLKSKRKSNAGDGLSK >DRNTG_03034.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000138.1:91995:92366:-1 gene:DRNTG_03034 transcript:DRNTG_03034.2 gene_biotype:protein_coding transcript_biotype:protein_coding LSPVHRFSLSYTPRSRTPLPSLLWHESPVAAFLSVVPPIPAAALLCRVPLSRQRCPILSRASAPNHHSPECLAPLSESLSSP >DRNTG_03034.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000138.1:90854:92366:-1 gene:DRNTG_03034 transcript:DRNTG_03034.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSPVHRFSLSYTPRSRTPLPSLLWHESPVAAFLSVVPPIPAAALLCRVPLSRQRCPILSRASAPNHHSPECLAPLSESLSSP >DRNTG_14988.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23999048:24001626:-1 gene:DRNTG_14988 transcript:DRNTG_14988.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNFFGEALFLLTQKILNLLPGHHHLQGHSLTSNGLVQGQRFCYPNHGSFLPLSNHDHHEHVGSAPSGVPFERHYDFFPESTETSFMSQLSRGSLGINGQNDGNSINIGVSAPMNPGAGSKRNVSENCSPTYWMMSSQRDGNSFFGNAPYPGSNMAERFIEHGRSRRANVSSSQLDINQQYHLDLEKIKSGEDTRTTLMIKNIPNKYTSKMLLSAINEKHKGAYDFLYLPIDFKNKCNVGYAFVNMLSPSHVIPFHQTFNGKKWEKFNSEKVATLAYARIQGKPALIAHFQNSSLMNEDKKCRPILLNCEGSEVSDQEPFPSDGIHIYSPKSLNSYSTIDDQSLEGHR >DRNTG_14988.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23999048:24003991:-1 gene:DRNTG_14988 transcript:DRNTG_14988.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSDHSAQAGPSNIPLWKRGHKVGTHGWGIPSGTNACQTSNDASLFTSSLPVLPHAKLTFGDSGNGVCCNGDTSFAPEDLCNDMETKASSKDDFDLNSVELLLPDDDELFAGIIDGHGIDITGSPSPPNEAEEDDVFGSGGGLELDSDPIDSLSAGLANASISNGHVGNGVGHYGIPNGVGTVAGEHPYGEHPSRTLFVRNLTSSVEDSELRSLFGHYGDIRAIYTGSKQRGFVMISYYDIRDARKAMRALQNKPLRRKKLDIHFSIPKENPSEKDMNQGTLVVFNLDSSVSNDLLLRIFGACGEVKEIRETPHKHYHKFIEYYDVRAAEAALRSLNNCEIAGKRIKLQPSRPGGTRRSLMQNLSQDLEQDDMRNFRHQIGSPIASSPPGAWPQLVSLK >DRNTG_14988.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23999048:24003454:-1 gene:DRNTG_14988 transcript:DRNTG_14988.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNFFGEALFLLTQKILNLLPGHHHLQGHSLTSNGLVQGQRFCYPNHGSFLPLSNHDHHEHVGSAPSGVPFERHYDFFPESTETSFMSQLSRGSLGINGQNDGNSINIGVSAPMNPGAGSKRNVSENCSPTYWMMSSQRDGNSFFGNAPYPGSNMAERFIEHGRSRRANVSSSQLDINQQYHLDLEKIKSGEDTRTTLMIKNIPNKYTSKMLLSAINEKHKGAYDFLYLPIDFKNKCNVGYAFVNMLSPSHVIPFHQTFNGKKWEKFNSEKVATLAYARIQGKPALIAHFQNSSLMNEDKKCRPILLNCEGSEVSDQEPFPSDGIHIYSPKSLNSYSTIDDQSLEGHR >DRNTG_03789.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21993403:21994832:1 gene:DRNTG_03789 transcript:DRNTG_03789.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWRASGDKGSSSAFYNGQQNQLQRMIPLEIGDCSELVVLALSNNYISDDIPVELGSLANLQILNLGNSSLEGSVPEELSELSELVFLVLSGNQLSGPLSGKLCHNSSKLEHLLLSTNNFTGEIPLTGSILGSLGMLENLNFLHLRGNGFSGEILVSLGNCRQFMILDLADNQLSGKIPVSFGQLESLQQFMLYNNSVHGNNGEEMKETTEEKRGWLTWPLKNADEDPLSPLALHVFNLVATCVKTHRNCIGTLSVKANYSMASKKIQLNCGTHFDIQP >DRNTG_09899.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29243142:29248230:1 gene:DRNTG_09899 transcript:DRNTG_09899.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:Projected from Arabidopsis thaliana (AT1G17260) UniProtKB/TrEMBL;Acc:A0A178W776] MDELEKPLLHPENFSRETIDLERLPLDEVFRLLRTSQDGLSSADAEARLSLFGPNKLEEKPENKVLKFLGFMWNPLSWVMEAAALMAIVLANGGGEGPDWQDFVGIVCLLILNSTISFIEENNAGNAAAALMAHLAPKAKVLRDGQWQEQDASILAPGDIISIKLGDIIPADARLLDGDPLKIDQSALTGESLPVTKKTGDQVFSGSTCKHGEIQAVVIATGVHTFFGKAAHLVDSTEVVGHFQKVLTSIGNFCICSIAIGMILEIIVMFPIQHRSYRDGINNLLVLLIGGIPIAMPTVLSVTLAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNRLTVDKNLIEVFNKDMDRDMVVLLAARASRMENQDAIDTAIVNMLSDPREARANITEVHFLPFNPVDKRTAITYFDSDGNWYRASKGAPEQILNMCHEKHEIAARVHEIIERFAERGLRSLGVAYQSVPERSKESVGGPWIFCGLLPLFDPPRHDSAETIRKALNLGVCVKMITGDQLSIAKETGRRLGMGTNMYPSSSLLGHNKDEHEALPVDELIEKADGFAGVFPEHKYEIVKILQEKKHICGMTGDGVNDAPALKKADIGIAVSDATDATRSAADIVLTEPGLGVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFVLLALIWEYDFPPFMVLIIAILNDGTIMTISKDRVKPSPRPDSWKLKEIFATGIVIGTYLALVTVLFYWSIRKTNFYETHFHVRSLNNNEEEISSAIYLQVSIISQALIFVTRSQSWSFMERPGTLLMCAFVVAQMVATLIAVYAHISFASIRGIGWGWAGVIWIYSLIFYIPLDVIKFAVRYALSGEAWDLIFDRKTAFTLKKDYGKEEREAKWVRSQQNLATQTSFHFEINGRRSSMIAEQAKRRAEIARLGEIHTLRGHVESVVKLKNLDLNVIQAAHTV >DRNTG_05871.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31417941:31420935:-1 gene:DRNTG_05871 transcript:DRNTG_05871.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTTEERLGEMLAIRPPRLEDAGLEDCALPPDAIREAFARAADSLKSRLTIASDDDESDDNDAQRTDDESDLQTASSRVLVMVGDDGVVEEEEKKAKGLREEDGVGSDDVEDDPKPKGDLDDFECR >DRNTG_05871.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31418952:31420935:-1 gene:DRNTG_05871 transcript:DRNTG_05871.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTTEERLGEMLAIRPPRLEDAGLEDCALPPDAIREAFARAADSLKSRLTIASDDDESDDNDAQRTDDESDLQTASSRVLVMVGDDGVVEEEEKKAKGLREEDGVGSDDVEDDPKPKGDLDDFECR >DRNTG_24743.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2281605:2282424:1 gene:DRNTG_24743 transcript:DRNTG_24743.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRKHGSERLLGTWGGGGAVAGGNDLPDLREEDVWSEAVGEESEECWYRDERERRQRRWMNEREDRHVGGLSLAFEDGCRSPSTSVIHQFREVGRSGTTQQQRRHAAASAPVNVPDWSRVRRVDYDVHGEVVVVEEEEEEEGWRVGAATRVSRT >DRNTG_24743.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2281605:2282499:1 gene:DRNTG_24743 transcript:DRNTG_24743.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRKHGSERLLGTWGGGGAVAGGNDLPDLREEDVWSEAVGEESEECWYRDERERRQRRWMNEREDRHVGGLSLAFEDGCRSPSTSVIHQFREVGRSGTTQQQRRHAAASAPVNVPDWSRVRRVDYDVHGEVVVVEEEEEEEGWRVGAATRVSRT >DRNTG_24743.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2281456:2282499:1 gene:DRNTG_24743 transcript:DRNTG_24743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRKHGSERLLGTWGGGGAVAGGNDLPDLREEDVWSEAVGEESEECWYRDERERRQRRWMNEREDRHVGGLSLAFEDGCRSPSTSVIHQFREVGRSGTTQQQRRHAAASAPVNVPDWSRVRRVDYDVHGEVVVVEEEEEEEGWRVGAATRVSRT >DRNTG_24743.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2281456:2282424:1 gene:DRNTG_24743 transcript:DRNTG_24743.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRKHGSERLLGTWGGGGAVAGGNDLPDLREEDVWSEAVGEESEECWYRDERERRQRRWMNEREDRHVGGLSLAFEDGCRSPSTSVIHQFREVGRSGTTQQQRRHAAASAPVNVPDWSRVRRVDYDVHGEVVVVEEEEEEEGWRVGAATRVSRT >DRNTG_32372.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19144495:19146797:-1 gene:DRNTG_32372 transcript:DRNTG_32372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDDVMDAFVCIIQKSLSKEPYPYKKRASITRPLALFMSKQDDAHDTIMAMIGDVVRKLHEVQIVILPIIMNSHFHVVVLDNDKQEYRHYSSCPGYNKDALDMRNLFDNCVDMEFGESATAKYPLVHDIETPRLKTRKASIAPVYVMRFIEQLLGVRSYGHRRQTFSPSDYGMLPAYLKRGGQPASMTKGGRHKLV >DRNTG_15842.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25127560:25133171:-1 gene:DRNTG_15842 transcript:DRNTG_15842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQAIESHRKGAEIVKGDASCKKKAAEVLGELGLPNGLFPLDDIQEFGYNRAGGFIWLVQKKRKEHTFKKIKQVVSYATEVTAFVEKGKMLKISGVKTRELLLWLSVVEMYIEDPSSGKITFKTGTGLSDSFPVSAFELE >DRNTG_15842.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25127560:25128216:-1 gene:DRNTG_15842 transcript:DRNTG_15842.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQAIESHRKGAEIVKGDASCKKKAAEVLGELGLPNGLFPLDDIQEFGYNRAGGFIWLVQKKRKEHTFKKIKQVVSYATEVTAFVEKGKMLKISGVKTRELLLWLSVVEMYIEDPSSGKITFKTGTGLSDSFPVSAFELE >DRNTG_20781.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001155.1:47702:48531:-1 gene:DRNTG_20781 transcript:DRNTG_20781.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILNVPSPAVVPSVKHFTIFFLISFFFFSSSTLVSVAAGDHCAPSSCGNLTNIRYPFRLKDDPSNCGDPNYELTCDHLNHTILTLSSHSYYITDIKYLSDKSFMIHVKYVGMEKYNNFNNGSCSHIPLPASPLTLSDQMRSNKYYDVFGWVTYVNCSKEVKDNVSKYYYRPVPCLSNNNSFIYHIDPDYYRLYEVHNLNYGVYEVRNLMPSCRFLAMFPDNSYIDWYSIDQQPTDIFKFLDQGFTLRSFSKSAYSPGLRHCLN >DRNTG_05436.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:4349613:4350654:1 gene:DRNTG_05436 transcript:DRNTG_05436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHPWGFFMMTNPSAMVPRRDSKVDRPPVPTATHTPPIKRWLRSSKIRETSPEGVSCDAKRWGGGAGPGRRRRPDGPGGAGATSHGGKGALRVISRSTRAFFMKLRPLGFFMMTNPSAMVPRRDSKVDRPPVPTATHTPPIKRWLRSSKIRETSPEGVSCDAKRWGGGAGPGRRRRPDGPGGAGTPCRAAPARSV >DRNTG_11241.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21886105:21886329:-1 gene:DRNTG_11241 transcript:DRNTG_11241.2 gene_biotype:protein_coding transcript_biotype:protein_coding IQKAKAKNEKEASEADLQTAKMQVQAADEAEEKKKQLN >DRNTG_11241.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21886105:21886545:-1 gene:DRNTG_11241 transcript:DRNTG_11241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGGNEDGSWKTEMEKEGASFMASAKETWLNIRARIIGQIQKAKAKNEKEASEADLQTAKMQVQAADEAEEKKKQLN >DRNTG_04765.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3752821:3753832:-1 gene:DRNTG_04765 transcript:DRNTG_04765.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB5 [Source:Projected from Arabidopsis thaliana (AT3G13540) UniProtKB/TrEMBL;Acc:A0A178VAR4] MNYLRPSVKRGAIAADEEDLILRLHRLLGNRWSLIAGRIPGRTDNEIKNYWNTHLSKKLIGQGIDPRTHKPFENGVQEHAIHVVAEGNPNPNPNYPNPNNVSGDQQFNYEGFENYLEGIEVDCGEIWKSTGEGLFIDQMECNEGKSVEENDEIFGFLNSLMNDDVDELHEIKE >DRNTG_01261.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:9685136:9685945:-1 gene:DRNTG_01261 transcript:DRNTG_01261.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNPHQVRSIQPQHSLEEVELCKSALGLILDHALGNVTYVFSFAIQRKCQGQWSYVGNNIYNSVKLMAKFI >DRNTG_11292.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1467431:1468307:1 gene:DRNTG_11292 transcript:DRNTG_11292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQSTQPNNKNKNKNHMNKTIRKTLTILNCLLLMLGNTGGPCLSRLYFNHGGHRNWLLSFLETAGFPILLIPLIISYYYRRSSNPSTRAYFTTPHIFISCAVLGLLTGLDDFLYAYGLSFLPVSTSSLLLASHLVFTAVFAFFIVKQKFTPFSLNAVALLTVGSVILGLHRNSDRPANVTNKKYIMGFILTLGTAALYGLVLPLIELTYMKAKQVVTYTLVMEMQVIMGFFATLFCVVGMLINHDFQVRYFY >DRNTG_04507.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000218.1:166239:169991:1 gene:DRNTG_04507 transcript:DRNTG_04507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAKSKKVVSNKMGAALKVLTQYGFKKDHIVSTIKELIEEYGGEDGWVFIEDNGYQVVVDSILDKQSCEQQKGGKEVGESSSNPHVEQLAHSSEREHCHAQDPLPVVEMQDSKKRRREPCYGWISEEEED >DRNTG_04507.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000218.1:166239:169991:1 gene:DRNTG_04507 transcript:DRNTG_04507.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAALKVLTQYGFKKDHIVSTIKELIEEYGGEDGWVFIEDNGYQVVVDSILDKQSCEQQKGGKEVGESSSNPHVEQLAHSSEREHCHAQDPLPVVEMQDSKKRRREPCYGWISEEEED >DRNTG_19477.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:819016:822782:1 gene:DRNTG_19477 transcript:DRNTG_19477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLNLSKISSKIGMNASHSYRTSTYHMFFGSPNRKTQDHAIGEQNVRGKAKGVKSGEGIDVEIYDGRIVTPKTDSEIHVLFHQKINGAWISYSEYPKSELNTLFARYLTDQMENIRSTATSEGSLINEWEIYRNVTGEPSHGRVLGLA >DRNTG_33285.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23834409:23835102:1 gene:DRNTG_33285 transcript:DRNTG_33285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSALEDRVAKRMMEKDAELEMARRRNADLEEKLRQLASENQIWFNVARNNEAVVSGLRSTLEQALLQNAAVGVPPVEGVGDSDGGAFAVDDAQSCCFEARIAGGDEEADAERKNKALKRRMECKVCGEREACVLVLPCRHFCLCRDCESFVDTCPVCQSAKNGNLHVFLS >DRNTG_31591.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18619860:18621190:-1 gene:DRNTG_31591 transcript:DRNTG_31591.1 gene_biotype:protein_coding transcript_biotype:protein_coding NQSSRLEMHSQVDNFLPQGGSFATESQTRNPRTNEHKNGN >DRNTG_14103.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20702807:20710314:1 gene:DRNTG_14103 transcript:DRNTG_14103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLQKPIYETHKKKKQPGHDCSQRSHHRSMKELSDDWEKFVIISKRHRHHCHKSTGCILEPDSPTVGAMPGRNSQATLLGIPSINQIKWMTHSKMTGGRWLMAWMMTIGGGIIMANTS >DRNTG_14103.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20709270:20710314:1 gene:DRNTG_14103 transcript:DRNTG_14103.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLQKPIYETHKKKKQPGHDCSQRSHHRSMKELSDDWEKFVIISKRHRHHCHKSTGCILEPDSPTVGAMPGRNSQATLLGIPSINQIKWMTHSKMTGGRWLMAWMMTIGGGIIMANTS >DRNTG_14444.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:11121316:11122645:-1 gene:DRNTG_14444 transcript:DRNTG_14444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKQGGRRDCLLNNQPKQSLARRREGQPLE >DRNTG_08682.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4865823:4866357:1 gene:DRNTG_08682 transcript:DRNTG_08682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGRQGLPGERKQDGDKKEKKFEPAAPPSRVGRRQRKQKGPEAAARLPTVTPLTKCKLRLLKLERIKDYLIMEEEFVASQERLKPHEDKNEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVSILSFVDKDQLEPGCAILMHNK >DRNTG_22113.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:213537:215909:-1 gene:DRNTG_22113 transcript:DRNTG_22113.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAFSSSLLPDNSNCLVFMTAIAVPLLSILVLLLLNKNKNNKNKTKALNLPPGPSGWPVVGNLFQVARASKPFIHYVRDLIGIYGPIFTLRMGARTLIIVSSAELAHEALIEKGQMFASRPAENPTRNIFSCDKFTVNSAVYGPEWRSLRRNMVSGMLSNSRLREFRGVRESAMERFIQRIRNEAEANDGAVWVLKNARFAVFCILLSMCFGVDLDEETIIKIDQVMKRVLITLNPRMDDYLPLLRPFFSKQQKEALVVRAEQIQTLVPLIDMRRQILRSPSKDPKAVSFSYLDSLLDLKVDGRKSAPDDVELVTLCSEFLNGGTDTTATAIEWAIARLIENPLIQTKLYQEIVSAVGERPVDEKDTEKMVYLQAFVKELLRKHPPTFFSLTHAAAEPGAKLGGYDIPMGTNLEIYLPTISEDPKLWSNEGTFDPERFLSGGESADITGVTGIKMIPFGCGRRICPGLGMGVTHITLMVARMVQEFEWCVHPSQPNLEFADKQEFTVVMNQALLAMVKSRQ >DRNTG_22113.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:213090:215909:-1 gene:DRNTG_22113 transcript:DRNTG_22113.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAFSSSLLPDNSNCLVFMTAIAVPLLSILVLLLLNKNKNNKNKTKALNLPPGPSGWPVVGNLFQVARASKPFIHYVRDLIGIYGPIFTLRMGARTLIIVSSAELAHEALIEKGQMFASRPAENPTRNIFSCDKFTVNSAVYGPEWRSLRRNMVSGMLSNSRLREFRGVRESAMERFIQRIRNEAEANDGAVWVLKNARFAVFCILLSMCFGVDLDEETIIKIDQVMKRVLITLNPRMDDYLPLLRPFFSKQQKEALVVRAEQIQTLVPLIDMRRQILRSPSKDPKAVSFSYLDSLLDLKVDGRKSAPDDVELVTLCSEFLNGGTDTTATAIEWAIARLIENPLIQTKLYQEIVSAVGERPVDEKDTEKMVYLQAFVKELLRKHPPTFFSLTHAAAEPGAKLGGYDIPMGTNLEIYLPTISEDPKLWSNEGTFDPERFLSGGESADITGVTGIKMIPFGCGRRICPGLGMGVTHITLMVARMVQEFEWCVHPSQPNLEFADKQEFTVVMNQALLAMVKSRQ >DRNTG_22113.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:213090:215592:-1 gene:DRNTG_22113 transcript:DRNTG_22113.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAFSSSLLPDNSNCLVFMTAIAVPLLSILVLLLLNKNKNNKNKTKALNLPPGPSGWPVVGNLFQVARASKPFIHYVRDLIGIYGPIFTLRMGARTLIIVSSAELAHEALIEKGQMFASRPAENPTRNIFSCDKFTVNSAVYGPEWRSLRRNMVSGMLSNSRLREFRGVRESAMERFIQRIRNEAEANDGAVWVLKNARFAVFCILLSMCFGVDLDEETIIKIDQVMKRVLITLNPRMDDYLPLLRPFFSKQQKEALVVRAEQIQTLVPLIDMRRQILRSPSKDPKAVSFSYLDSLLDLKVDGRKSAPDDVELVTLCSEFLNGGTDTTATAIEWAIARLIENPLIQTKLYQEIVSAVGERPVDEKDTEKMVYLQAFVKELLRKHPPTFFSLTHAAAEPGAKLGGYDIPMGTNLEIYLPTISEDPKLWSNEGTFDPERFLSGGESADITGVTGIKMIPFGCGRRICPGLGMGVTHITLMVARMVQEFEWCVHPSQPNLEFADKQEFTVVMNQALLAMVKSRQ >DRNTG_22113.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:213537:215592:-1 gene:DRNTG_22113 transcript:DRNTG_22113.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAFSSSLLPDNSNCLVFMTAIAVPLLSILVLLLLNKNKNNKNKTKALNLPPGPSGWPVVGNLFQVARASKPFIHYVRDLIGIYGPIFTLRMGARTLIIVSSAELAHEALIEKGQMFASRPAENPTRNIFSCDKFTVNSAVYGPEWRSLRRNMVSGMLSNSRLREFRGVRESAMERFIQRIRNEAEANDGAVWVLKNARFAVFCILLSMCFGVDLDEETIIKIDQVMKRVLITLNPRMDDYLPLLRPFFSKQQKEALVVRAEQIQTLVPLIDMRRQILRSPSKDPKAVSFSYLDSLLDLKVDGRKSAPDDVELVTLCSEFLNGGTDTTATAIEWAIARLIENPLIQTKLYQEIVSAVGERPVDEKDTEKMVYLQAFVKELLRKHPPTFFSLTHAAAEPGAKLGGYDIPMGTNLEIYLPTISEDPKLWSNEGTFDPERFLSGGESADITGVTGIKMIPFGCGRRICPGLGMGVTHITLMVARMVQEFEWCVHPSQPNLEFADKQEFTVVMNQALLAMVKSRQ >DRNTG_22113.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:213537:215819:-1 gene:DRNTG_22113 transcript:DRNTG_22113.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAFSSSLLPDNSNCLVFMTAIAVPLLSILVLLLLNKNKNNKNKTKALNLPPGPSGWPVVGNLFQVARASKPFIHYVRDLIGIYGPIFTLRMGARTLIIVSSAELAHEALIEKGQMFASRPAENPTRNIFSCDKFTVNSAVYGPEWRSLRRNMVSGMLSNSRLREFRGVRESAMERFIQRIRNEAEANDGAVWVLKNARFAVFCILLSMCFGVDLDEETIIKIDQVMKRVLITLNPRMDDYLPLLRPFFSKQQKEALVVRAEQIQTLVPLIDMRRQILRSPSKDPKAVSFSYLDSLLDLKVDGRKSAPDDVELVTLCSEFLNGGTDTTATAIEWAIARLIENPLIQTKLYQEIVSAVGERPVDEKDTEKMVYLQAFVKELLRKHPPTFFSLTHAAAEPGAKLGGYDIPMGTNLEIYLPTISEDPKLWSNEGTFDPERFLSGGESADITGVTGIKMIPFGCGRRICPGLGMGVTHITLMVARMVQEFEWCVHPSQPNLEFADKQEFTVVMNQALLAMVKSRQ >DRNTG_34574.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1477184:1480262:1 gene:DRNTG_34574 transcript:DRNTG_34574.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRRLHTKSLESLLDRDHNQAARRRGVEMPEKIVYKHGQGLEFKNLSYSVMKKQKKDGVWITKEVFLLNDISGQALRGHVTAILGPSGAGKSTFLDALAGRIASGSLEGSVSIEGRPVTTNYMKKVSSYVMQDDQLFPMLTVYETFLFAAKVRLPPSVSNSEKTRRVWELIDQLGLQTAVHTYIGDEGRRGVSGGERRRVSIGIDIIHKPSLLFLDEPTSGLDSTSAYSVVEKVKDIAKEGSIVLMTIHQPSYRIQLLLDHITVLARGRLIYMGNPKALPIHLSGFGRPVPDGENNIEYLIDVIKEYDESTIGLEPLVLYQRDGLKPNQIAQTPIPKTPKTPRTPFHKSSTHSLRQLHLNSHQFSNSHSTSYNQDDEDDDDDEFDNSLGRKTQTPMQPRLASYFYKDFSKWLYHGVTRTPQPSLPSWTPARTPLPTPAISIHNNHHHNNPIISPHPPPSQSLQQQPQEFTELDTGPKYANPMVQRAHSPLMEDIP >DRNTG_28310.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29320711:29326722:1 gene:DRNTG_28310 transcript:DRNTG_28310.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G22130) UniProtKB/TrEMBL;Acc:F4K8F7] MCGSINFGRMMVISAFLRLGLIAYGEWQDAHMEVRYTDIDYFVFSDAAALVATGKSPFERSTYRYSPLLAFLLIPNLILHQSWGKLLFSSADLLVGLFVDIILKLRSVPETLRLLSVAVWLFNPFTFTIGTRGNCEPIVCAMILWIIICIMNGKVIQAAFWYGLVVHFRIYPIIYALPFVLVLNNQHARPRECILKKGSPRSGVTDPSSRARVVKPSTTSWKQLFCPWDLLRSNITINSFLFGLISGSIFFFCTALFFKLYGWEFLNEGLLYHLTRTDPRHNFSIYFYHIYLHHQHKFSLVEKLISFLPQLMVQLALIFRFAADLPFCLFLQTVAFVAFNKVITAQYFVWFFCLLPLILPWSSMKLKWKGLICISLWMGSQLHWLLWGYILEFKGQNVFIQLWLASLLFLAANTVILLMVIHHHKFSRVFSPSLSAPDSTDTKKLD >DRNTG_28310.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29320711:29326722:1 gene:DRNTG_28310 transcript:DRNTG_28310.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G22130) UniProtKB/TrEMBL;Acc:F4K8F7] MCGSINFGRMMVISAFLRLGLIAYGEWQDAHMEVRYTDIDYFVFSDAAALVATGKSPFERSTYRYSPLLAFLLIPNLILHQSWGKLLFSSADLLVGLFVDIILKLRSVPETLRLLSVAVWLFNPFTFTIGTRGNCEPIVCAMILWIIICIMNGVNSASSYKYLHFFWYDLLQQTSKFG >DRNTG_28310.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29320711:29326722:1 gene:DRNTG_28310 transcript:DRNTG_28310.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G22130) UniProtKB/TrEMBL;Acc:F4K8F7] MCGSINFGRMMVISAFLRLGLIAYGEWQDAHMEVRYTDIDYFVFSDAAALVATGKSPFERSTYRYSPLLAFLLIPNLILHQSWGKLLFSSADLLVGLFVDIILKLRSVPETLRLLSVAVWLFNPFTFTIGTRGNCEPIVCAMILWIIICIMNGVNSASSYKYLHFFWKSDSGCLLVWLGCPLQNLSNHICPSFCPRLK >DRNTG_28310.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29320711:29326722:1 gene:DRNTG_28310 transcript:DRNTG_28310.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G22130) UniProtKB/TrEMBL;Acc:F4K8F7] MVQLALIFRFAADLPFCLFLQTVAFVAFNKVITAQYFVWFFCLLPLILPWSSMKLKWKGLICISLWMGSQLHWLLWGYILEFKGQNVFIQLWLASLLFLAANTVILLMVIHHHKFSRVFSPSLSAPDSTDTKKLD >DRNTG_28310.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29320711:29326722:1 gene:DRNTG_28310 transcript:DRNTG_28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G22130) UniProtKB/TrEMBL;Acc:F4K8F7] MVQLALIFRFAADLPFCLFLQTVAFVAFNKVITAQYFVWFFCLLPLILPWSSMKLKWKGLICISLWMGSQLHWLLWGYILEFKGQNVFIQLWLASLLFLAANTVILLMVIHHHKFSRVFSPSLSAPDSTDTKKLD >DRNTG_28310.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29320711:29326722:1 gene:DRNTG_28310 transcript:DRNTG_28310.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G22130) UniProtKB/TrEMBL;Acc:F4K8F7] MVQLALIFRFAADLPFCLFLQTVAFVAFNKVITAQYFVWFFCLLPLILPWSSMKLKWKGLICISLWMGSQLHWLLWGYILEFKGQNVFIQLWLASLLFLAANTVILLMVIHHHKFSRVFSPSLSAPDSTDTKKLD >DRNTG_28310.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29320711:29326722:1 gene:DRNTG_28310 transcript:DRNTG_28310.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G22130) UniProtKB/TrEMBL;Acc:F4K8F7] MCGSINFGRMMVISAFLRLGLIAYGEWQDAHMEVRYTDIDYFVFSDAAALVATGKSPFERSTYRYSPLLAFLLIPNLILHQSWGKLLFSSADLLVGLFVDIILKLRSVPETLRLLSVAVWLFNPFTFTIGTRGNCEPIVCAMILWIIICIMNGKVIQAAFWYGLVVHFRIYPIIYALPFVLVLNNQHARPRECILKKGSPRSGVTDPSSRARVVKPSTTSWKQLFCPWDLLRSNITINSFLFGLISGSIFFFCTALFFKLYGWEFLNEGLLYHLTRTDPRHNFSIYFYHIYLHHQHKFSLVEKLISFLPQLMVQLALIFRFAADLPFCLFLQTVAFVAFNKVITAQYFVWFFCLLPLILPWSSMKLKWKGLICISLWMGSQLHWLLWGYILEFKGQNVFIQLWLASLLFLAANTVILLMVIHHHKFSRVFSPSLSAPDSTDTKKLD >DRNTG_28310.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29320711:29326722:1 gene:DRNTG_28310 transcript:DRNTG_28310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G22130) UniProtKB/TrEMBL;Acc:F4K8F7] MSDQRNAGVIGDRGSIAREKKIWGFGDWFPTKMCGSINFGRMMVISAFLRLGLIAYGEWQDAHMEVRYTDIDYFVFSDAAALVATGKSPFERSTYRYSPLLAFLLIPNLILHQSWGKLLFSSADLLVGLFVDIILKLRSVPETLRLLSVAVWLFNPFTFTIGTRGNCEPIVCAMILWIIICIMNGKVIQAAFWYGLVVHFRIYPIIYALPFVLVLNNQHARPRECILKKGSPRSGVTDPSSRARVVKPSTTSWKQLFCPWDLLRSNITINSFLFGLISGSIFFFCTALFFKLYGWEFLNEGLLYHLTRTDPRHNFSIYFYHIYLHHQHKFSLVEKLISFLPQLMVQLALIFRFAADLPFCLFLQTVAFVAFNKVITAQYFVWFFCLLPLILPWSSMKLKWKGLICISLWMGSQLHWLLWGYILEFKGQNVFIQLWLASLLFLAANTVILLMVIHHHKFSRVFSPSLSAPDSTDTKKLD >DRNTG_31532.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001714.1:25328:25482:-1 gene:DRNTG_31532 transcript:DRNTG_31532.3 gene_biotype:protein_coding transcript_biotype:protein_coding VPVSELEGKVVGIYFSASSFPGSSEFTPLLVKVYEK >DRNTG_31532.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001714.1:24948:25482:-1 gene:DRNTG_31532 transcript:DRNTG_31532.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFASMPWLAIPFKDKALEILPHYFELETLPMLVVLDPDGKTLNSNAVELVEEHGHEAYPFTPGKIKEFEAEIEEMAKSWLKTLKHLLHEAHELVLTRRRRYNC >DRNTG_31532.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001714.1:23847:27554:-1 gene:DRNTG_31532 transcript:DRNTG_31532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFASMPWLAIPFKDKALEILPHYFELETLPMLVVLDPDGKTLNSNAVELVEEHGHEAYPFTPHA >DRNTG_08055.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18114810:18120946:1 gene:DRNTG_08055 transcript:DRNTG_08055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKSNKLPQAAALKQMLRRCSSLGRRQGVGADEDGLPGDVPKGHFAVYVGENRSRFIVPISYLSHPEFQSLLRRAEEEFGEHEKEGVWRWVDLYMLSGENFFDSSANFHGADFLEIGVSSAEIFDPVETDDVPLLNPTENGFFSLSKCSRNCLNAEDSLAEDDGEAIKRAFRLGQDKKAFTYCFIAEDFPEEDDRDTSFMMDLIFIT >DRNTG_04058.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3664913:3673025:-1 gene:DRNTG_04058 transcript:DRNTG_04058.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTKPIDPELARSFKFSKDVFSYNDRHVAQYALAIGACGKDAVDEKELKYVYHPDGQTFIEVLPTFAATLPYRNTQALKVVSGLRFDPNLVLYGQQFLEINKPLPSNL >DRNTG_04058.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3657013:3673025:-1 gene:DRNTG_04058 transcript:DRNTG_04058.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTKPIDPELARSFKFSKDVFSYNDRHVAQYALAIGACGKDAVDEKELKYVYHPDGQTFIEIQNEVVIAGLHDNGERATLDLQTISCDQNSRDVLCMNRSTFYLRGAGGFSKSSKPYEYANYSPNQSSVKIPDCKPSFVYEDITKQSQACHLSLLRY >DRNTG_04058.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3647640:3649383:-1 gene:DRNTG_04058 transcript:DRNTG_04058.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFCCNGEPTLVKRIFGQFLSSVYSGEILITEMWITGTKVIYQTKVKGENAHKVVLSGYVILKDIR >DRNTG_04058.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3647640:3673025:-1 gene:DRNTG_04058 transcript:DRNTG_04058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFFAAALIYRQCGDYNPLYSDPRVAKKAGFPHPILHGLCTLGFAVRAVTMFCCNGEPTLVKRIFGQFLSSVYSGEILITEMWITGTKVIYQTKVKGENAHKVVLSGYVILKDIR >DRNTG_04058.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3647640:3648051:-1 gene:DRNTG_04058 transcript:DRNTG_04058.5 gene_biotype:protein_coding transcript_biotype:protein_coding FRRDFNHRNVDHRHKGDISDKGERRKRSQGSAVRVCNSQGYSLIDDPCKSWRL >DRNTG_25221.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20085272:20087591:-1 gene:DRNTG_25221 transcript:DRNTG_25221.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative serine/threonine-protein kinase-like protein CCR3 [Source:Projected from Arabidopsis thaliana (AT3G55950) UniProtKB/Swiss-Prot;Acc:Q9LY50] MAHSDHSLPVVASPVPSMITPPSSAGAAGEIKSSRPTRVSQCQVSLQEILTSVGSPPTVTSSAKGSNNTGQINTPKSSPFEFSNLALGVNHSCAIRQETGAVLCWGGGGDGKGTFSPIGKTPLESIVAGEDRTCGILSANLSVICWGSNRDNLQVTVVPLLSVLPDICLPDQSSCSCGIDINSQVLCAGTGFICKPCNRFPPPLVPPPIPPPLLRTTRKKSKWNYASVIIGAVGGFIGVCSLFYLMFTCVCRREKVHNSVQPTTAPGAGAPSTYASPSASRSAIFIRQASRVMRRQRSGTSSSMKDRAELFTFAELAAATKNFSPENKIGAGSFGQVYKGQLQDGREVAIKRSETGPKAKKFQEKESAFQSELSFLSRLNHKHLVGLIGYCEEDDERLLVYDYMTNGALYDHLHSNRTNTTLDSWKLRIKVLLDAARGIEYLHNYAVPPIIHRDIKSSNILLDENWTARVSDFGLSLMGPATDSEHLSMKAAGTVGYMDPEYYGMQQLTVKSDVYGFGVVMLEMITGKRAIFKEEGDKESPTSVVDYAMPSIVAGDVFKVLDETVGVLNAQEAEAVELVAYTAVHCVSLEGRERPTMSDVVGNLESAHGMCQGSHVSISTASILSSD >DRNTG_23745.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21041917:21045855:1 gene:DRNTG_23745 transcript:DRNTG_23745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKQAMLRHEIVFRDQVRELHRLYWTQRSLMMCRSLTEDAKVGSDAVLPLDLLENQQGSLEIKGFRFGDEKDGGAIHRNANFYKLGFREINLSTQKNQSVSYKAMHFDLNVAPDDESLANCNDNVPTVLSSSIASLVIQPLASVNSLAGSQSFISFSRESSTKRSKETSTGVQPVDSRSASKMTINSLQGCQVSHHSGMDASVCNAQVIIKGASDNNQQNVENGHHDSDSDAPLKLQMNSAGFGGEVQDNVTGKMLTGILGIEVRNLVLSSGEQIHHAHSAIRQQISNVTSSNNAEDTNLPASVGSAEQPPAMTRDSENKQTNFEGSEEDTLSSHTKARDEEQQEKCSKEIPVESKFDNERCSGDVCVQTLAVIPSSTEESGTTQTESQVLESYPIKHPLVSQTCHPPIIVGKSKHEDEPEGDSLIFLAAKTLFSISSHKPECVTDPSSGFGQTELEAEGNAEPQYSSDSFETLTMMLPENTTDEYTMPRIPADKVSGNDTCGVKLRRGRGLRDFQKDILPGLTSLSRHEICEDFHNIQHALRKSRSRNRENWPVPVRSRRSRRCSSNRKTPLNLFSFFNLLSATIMRFVMYNSVNIY >DRNTG_16513.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000822.1:37861:42273:-1 gene:DRNTG_16513 transcript:DRNTG_16513.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTWFSEVNLLGQGGFGYVHRGELPNGKEIAVKQLKSGSGQGEREFQAELEIISRVHHRHLVSLVGYCISGRQRVLVYEFVPNKTLEFHLHGEGQPTLDWPKRSKIALGAAKGLAYLHEDCNPRIIHRDIKPANILLDFNFEVKIADFGLAKVAPDNITRVSTRIIGTRGYLAPEYVLFKTLTDKADVYSFGIILLELITGLRPVDNHAFDDSLVDWALAHTCFRRWQL >DRNTG_01766.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:2701016:2701711:1 gene:DRNTG_01766 transcript:DRNTG_01766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIDPLIVLYYQVEALSKRVDRIVTSQQQSNLCCSTYHLIEVSYPNLLRNNDGQHWEAPQEEYQKDSFKNLEHELGGTLDTLSKEQQVFEQASQVPYRNDVVVTENEEVRQIEYIDADNEKIEVEYHFEILDYVNEDCSCERENFQVDFLGSCSFQAENTQEEVNPKLMEQAPFFGIDKLINCKKEILGLEEDM >DRNTG_12146.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11810643:11813010:1 gene:DRNTG_12146 transcript:DRNTG_12146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRGRPHRGPASPPQEPMDLDQEALGQSDPETLIGIMRKMMLMMRSQRRQHNSGGSQDLLTEFRRHAPPPFEGTTDLTVAESWIRQIERTFRAMQSPEEDKVRLASYMLRGSVALWFESELRMKGEDGLRTWEQFKEAFNAKYFPLSRRV >DRNTG_10308.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19594529:19596766:1 gene:DRNTG_10308 transcript:DRNTG_10308.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKTLAQARAGGAAQGNPSPVFVFLRDCGAENTLKPGDVIQCRECGYRILYKKRTRRVVQYEAR >DRNTG_10105.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20798602:20801310:1 gene:DRNTG_10105 transcript:DRNTG_10105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKELTDEQVSSMREAFHLFDTDGDGRIAVSELGILMRSLGGNPTQAQLKDIVASEKLSGSFDFPRFLDLMRRHFRPEPFDRQLRDAFKVLDKEGTGLVAVSDLRHVLTSIGEKLEPSEFDEWIREVDVLPDGNIRYEDFIVRMVAK >DRNTG_10105.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20798602:20801310:1 gene:DRNTG_10105 transcript:DRNTG_10105.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKELTDEQVSSMREAFHLFDTDGDGRIAVSELGILMRSLGGNPTQAQLKDIVASEKLSGSFDFPRFLDLMRRHFRPEPFDRQLRDAFKVLDKEGTGLVAVSDLRHVLTSIGEKLEPSEFDEWIREVDVLPDGNIRYEDFIVRMVAK >DRNTG_01263.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:9744748:9745381:1 gene:DRNTG_01263 transcript:DRNTG_01263.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLPSTSRFYPTAVLSPWPRLGQEPPRCSYLGISRWEVRVVTPNNPTISMSGLYAPTAVSAGVS >DRNTG_25569.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29696208:29699631:1 gene:DRNTG_25569 transcript:DRNTG_25569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFTSHLIQLVGMRQQGICPEVIYAGGPEAEGVPATPAELLWVPDRNTPDQVYYQSLYEPKMGWRVEVVDGGLTDMYNNSVLLDDQQVTLFWTLGKDSISVAARGEKKSGYLAIGFGSGMVNSFVYVGWVDENGKGHVNTYWIDGKDAMSIHSTSENLTYVRCKSENGIITFEFTRPLSPSCTGRKECKNIIDPTTPLKVVWAMGAQWSEDNLSERNMHSVTSTRPVQVLLMRGSAEAEQDLRPVLAVHGFMMFVAWGILLPGGILAARYLKHVKGDGWFQLHVYMQYSGIAIIFLGILFAAAELRGFFLSSLHVKFGVTATLLAFAQPVNAYLRPKRPAHGEIPATKRVFWEYFHFITGRCAIVAGIAALFTGMKHLGSRYGSENVDGLTWALMLWVLLGALLAMYLEYRKVKRSREENSFRGSWVLGNEEDDSVDLLHPDRTRVESDPNISGRMEVQLEPLNR >DRNTG_25569.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29696208:29699215:1 gene:DRNTG_25569 transcript:DRNTG_25569.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFTSHLIQLVGMRQQGICPEVIYAGGPEAEGVPATPAELLWVPDRNTPDQVYYQSLYEPKMGWRVEVVDGGLTDMYNNSVLLDDQQVTLFWTLGKDSISVAARGEKKSGYLAIGFGSGMVNSFVYVGWVDENGKGHVNTYWIDGKDAMSIHSTSENLTYVRCKSENGIITFEFTRPLSPSCTGRKECKNIIDPTTPLKVVWAMGAQWSEDNLSERNMHSVTSTRPVQVLLMRGSAEAEQDLRPVLAVHGFMMFVAWGILLPGGILAARYLKHVKGDGWFQLHVYMQYSGIAIIFLGILFAAAELRGFFLSSLHVKFGVTATLLAFAQPVNAYLRPKRPAHGEIPATKRVFWEYFHFITGRCAIVAGIAALFTGMKHLGSRYGSENVDGLTWALMLWVLLGALLAMYLEYRKVKRSREENSFRGSWVLGNEEDDSVDLLHPDRTRVESDPNISGRMEVQLEPLNR >DRNTG_29215.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3220642:3226612:1 gene:DRNTG_29215 transcript:DRNTG_29215.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRVFASMIFVFLVIASPSVKVVRCQDDSAVADVADVEGGDLGIVGDDFQDFGDGSFSAAPGVGTICVFPKNTARLVAAGEETELLVGMHNEGEANINIIAIKASVHYPFDHRMLVQNLTSQSFYNASVPVSAQATFPYIFAVSKYLQPGSFDLVGTILYEIDQQPYQSTFYNGTIEVVESGSFLSVESVFLVTLGLALIGLFGFWAYSQVQLFSKKTKKAPKVEVGTGITDANMDEWLQGTAYSQSLSSKSKKKK >DRNTG_18945.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11858869:11859895:-1 gene:DRNTG_18945 transcript:DRNTG_18945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKGVLLLDFWVSPFGQRVRIALAEKGVDYEFKEQDLINKSEHLLKSNPVYKKIPVLLHEGKSICESLIIVQYIDEVWSNKAPLLPAEPLARANARFWADFIDNKVYECGTRLWKLNGEEQEAAKQEFIEIFKLLEGELGDKKFFGGETFGFLDLALTPFVAWFYAYETFAKFSMEEECPKIVAWGNRCMERESVSKTLSDPNKIYEFILFLKKKFGAEY >DRNTG_30133.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3200568:3204188:1 gene:DRNTG_30133 transcript:DRNTG_30133.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMPESSKKGELHLYRAVDFPLQWKLEKVILKKPLVDSFIINHNGYYWLFGSDFSAFGAKKNGQLEIWYSSSPLGPWKPHKQNPVYNTDKSLGARNAGRPFVYNDILYRTGQDCGETYGRRIRLFQVKVLTPDNYEEVEVPFGIEESRKGRNAWNGARYHHLDVQKLPSGEWIGVMDGDRVPSGDSVRRLILGYIAFGLVTILVIVSGVLVSAIKCIVPLSWCLPISAKRSDSISQRRSYFQLYPKLRWLFTHLNRLGSPLQGRINPNNCSGRSLLALILIVVLALTCIGTHHVYGGNGAEVAYPVKGQYSQFTLLTMTYDARIWNLKMFIKHYSRCSSVREIVVVWNKGQPPVNGDFDSAVPVRIRIEDRNSLNNRFKADPLIKTRAVLELDDDIMMTCDDVERGFRVWREHPDRIVGFYPRLANGNPLQYRDERYARQQNGYNMILTGAAFIDSKLAFDMYWSDLAKEGREFVDKKFNCEDVLLNYLYVNASKPGKTVEYVRPSWAIDTSKFTGAAISKNTQVHYQVRSECLVKFAKMYGNLAANKWSFNGRTDGWDV >DRNTG_30133.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3200568:3204188:1 gene:DRNTG_30133 transcript:DRNTG_30133.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPESSKKGELHLYRAVDFPLQWKLEKVILKKPLVDSFIINHNGYYWLFGSDFSAFGAKKNGQLEIWYSSSPLGPWKPHKQNPVYNTDKSLGARNAGRPFVYNDILYRTGQDCGETYGRRIRLFQVKVLTPDNYEEVEVPFGIEESRKGRNAWNGARYHHLDVQKLPSGEWIGVMDGDRVPSGDSVRRLILGYIAFGLVTILVIVSGVLVSAIKCIVPLSWCLPISAKRSDSISQRRSYFQLYPKLRWLFTHLNRLGSPLQGRINPNNCSGRSLLALILIVVLALTCIGTHHVYGGNGAEVAYPVKGQYSQFTLLTMTYDARIWNLKMFIKHYSRCSSVREIVVVWNKGQPPVNGDFDSAVPVRIRIEDRNSLNNRFKADPLIKTRAVLELDDDIMMTCDDVERGFRVWREHPDRIVGFYPRLANGNPLQYRDERYARQQNGYNMILTGAAFIDSKLAFDMYWSDLAKEGREFVDKKFNCEDVLLNYLYVNASKPGKTVEYVRPSWAIDTSKFTGAAISKNTQVHYQVRSECLVKFAKMYGNLAANKWSFNGRTDGWDV >DRNTG_30133.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3200568:3204188:1 gene:DRNTG_30133 transcript:DRNTG_30133.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMPESSKKGELHLYRAVDFPLQWKLEKVILKKPLVDSFIINHNGYYWLFGSDFSAFGAKKNGQLEIWYSSSPLGPWKPHKQNPVYNTDKSLGARNAGRPFVYNDILYRTGQDCGETYGRRIRLFQVKVLTPDNYEEVEVPFGIEESRKGRNAWNGARYHHLDVQKLPSGEWIGVMDGDRVPSGDSVRRLILGYIAFGLVTILVIVSGVLVSAIKCIVPLSWCLPISAKRSDSISQRRSYFQLYPKLRWLFTHLNRLGSPLQGRINPNNCSGRSLLALILIVVLALTCIGTHHVYGGNGAEVAYPVKGQYSQFTLLTMTYDARIWNLKMFIKHYSRCSSVREIVVVWNKGQPPVNGDFDSAVPVRIRIEDRNSLNNRFKADPLIKTRAVLELDDDIMMTCDDVERGFRVWREHPDRIVGFYPRLANGNPLQYRDERYARQQNGYNMILTGAAFIDSKLAFDMYWSDLAKEGREFVDKKFNCEDVLLNYLYVNASKPGKTVEYVRPSWAIDTSKFTGAAISKNTQVHYQVRSECLVKFAKMYGNLAANKWSFNGRTDGWDV >DRNTG_30133.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3200568:3204188:1 gene:DRNTG_30133 transcript:DRNTG_30133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMAAAARRLRLLLRSTAVLYLLAAAFLLGSAGVLYSRFTFAAFSDSYTPPSPGCRPDGEGSWSIGLFYGESPLKLQPLELREMWRNESAAWPVANPVFTCASVLDARSPSNFVADPFLHILGDTFYLFFETKNSITLQGDIGVARSTDRGATWKFLGIALDEEWHLSYPYVFSHEDQIFMMPESSKKGELHLYRAVDFPLQWKLEKVILKKPLVDSFIINHNGYYWLFGSDFSAFGAKKNGQLEIWYSSSPLGPWKPHKQNPVYNTDKSLGARNAGRPFVYNDILYRTGQDCGETYGRRIRLFQVKVLTPDNYEEVEVPFGIEESRKGRNAWNGARYHHLDVQKLPSGEWIGVMDGDRVPSGDSVRRLILGYIAFGLVTILVIVSGVLVSAIKCIVPLSWCLPISAKRSDSISQRRSYFQLYPKLRWLFTHLNRLGSPLQGRINPNNCSGRSLLALILIVVLALTCIGTHHVYGGNGAEVAYPVKGQYSQFTLLTMTYDARIWNLKMFIKHYSRCSSVREIVVVWNKGQPPVNGDFDSAVPVRIRIEDRNSLNNRFKADPLIKTRAVLELDDDIMMTCDDVERGFRVWREHPDRIVGFYPRLANGNPLQYRDERYARQQNGYNMILTGAAFIDSKLAFDMYWSDLAKEGREFVDKKFNCEDVLLNYLYVNASKPGKTVEYVRPSWAIDTSKFTGAAISKNTQVHYQVRSECLVKFAKMYGNLAANKWSFNGRTDGWDV >DRNTG_28109.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14309452:14324017:-1 gene:DRNTG_28109 transcript:DRNTG_28109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPRSGLVGANPGIYRAFGFGGGEHVGRCLSGAKYRPHGVYTDVRIILVIHNVAHQWYGALEWILPTWARKNAVDAGATVNILKDLLVTADPLSLSLSFASTFSLTSLDLLISLGRLSAASPALLIATARALRSLYDRHSALLRQNGPKWTQRTKLSCQTLDLSDNMALQGVGFGALTLKSPPFPTYSTSNAFVNLILQPSNEDSKIWPHRDHLVILGDSSQNNRSHLFTLGYSRLNIRWSLNISPNGHALTPAVKTSCKSVSSGDGINFHQLSQESKVEWENGEKGERWKNGGGRWSCGVMARPRGRQRWDGSLGILPEFSLSTKLSQQGNKKKKKELRKKANRPGSLYGDEHGTFDDNQVVEITRAQVDAMKKFIGGKSFLQCEPNEVLSTGSHPELPNVLQFIPRVNIIMVMIKEMIDLGRNFVTVRLGSPILMAMGIGIDMAKSTRETINILSRKIMKLMKIPVI >DRNTG_10219.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:27894:30591:1 gene:DRNTG_10219 transcript:DRNTG_10219.3 gene_biotype:protein_coding transcript_biotype:protein_coding METNSREPKDRFFLIRKQTNKKTQKKEKIKRVRRLPDGHCIPASRNHSSRAAISKSHGKKGAWSLHWKHCDWERDGRRTA >DRNTG_10219.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:27894:30591:1 gene:DRNTG_10219 transcript:DRNTG_10219.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNSREPKDRFFLIRKQTNKKTQKKEKIKRVRRLPDGHCIPASRNHSSRAAISKSHGKKGAWSLHWKHCDWERDGRRTA >DRNTG_10219.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:27894:30591:1 gene:DRNTG_10219 transcript:DRNTG_10219.2 gene_biotype:protein_coding transcript_biotype:protein_coding METNSREPKDRFFLIRKQTNKKTQKKEKIKRVRRLPDGHCIPASRNHSSRAAISKSHGKKGAWSLHWKHCDWERDGRRTA >DRNTG_11740.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000518.1:24493:25519:1 gene:DRNTG_11740 transcript:DRNTG_11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALTVWRAYRVLCGQGQYEPGVSKAKFLSRPAYRYLHAIMSRLVNGCGDSTGVLSRQDLLYLYSMVERVPIHLGHILAEYIRHQGQYARLGAIFSGPYITRLVLGMGLLDWIRGAKKTSVPTPLGLETMQLMSMVRRVRTGVFALVLTAPEIAEDEGDEAGASQPVPEPQPASMETEAPPAAEEPPPILEQDITSSFVLQPRTLQAPSVPAAPPSSTPAPEDPLYASTSAAEAEPESDSDT >DRNTG_20853.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15986348:15987109:1 gene:DRNTG_20853 transcript:DRNTG_20853.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLLQALKEPPVPKKHGTSSRLSFKVTTSRLFSRHKTKGMTSRSCK >DRNTG_13366.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2312091:2318639:1 gene:DRNTG_13366 transcript:DRNTG_13366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSSRNSSFNGNNFRSESFSSERDLDSVLETGCLSVVVLGASGDLAKKKTFPALFNLFRQGFLQSNEVHIFGYARTKMSDDDLRERIHGYLSHGKGDEEMESLTNFLQLIKYVSGSYDSEDGFRLLDKEISEHELVRKSHPGTSRRLFYLALPPSVYPSVCKMIRSYCMNQSDLSGWTRIVVEKPFGKDLASSEELSSQLAELFNEEQLYRIDHYLGKELVQNLLVLRFANRFFVPLWNRDNIDNVQIVFREDFGTEGRGGYFDEYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVMPIAPEEVVLGQYDGYRDDPTVPDGSSTPTFATVVLRIHNERWEGVPFILKAGKALNSRKAEVRVQFKDVPGDIFRSKKHGRNEFVIRLQPSEAMYMKLTVKKPGLQMSTILSELDLSYGQRYQDVKIPEAYERLILDTIRGDQQHFVRRDELRAAWEIFTPLLHKIDNGELPPISYKPGSRGPAEADELLARAGYVQTHGYIWIPPSL >DRNTG_13366.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2312091:2318639:1 gene:DRNTG_13366 transcript:DRNTG_13366.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSSRNSSFNGNNFRSESFSSERDLDSVLETGCLSVVVLGASGDLAKKKTFPALFNLFRQGFLQSNEVHIFGYARTKMSDDDLRERIHGYLSHGKGDEEMESLTNFLQLIKYVSGSYDSEDGFRLLDKEISEHELVRKSHPGTSRRLFYLALPPSVYPSVCKMIRSYCMNQSDLSGWTRIVVEKPFGKDLASSEELSSQLAELFNEEQLYRIDHYLGKELVQNLLVLRFANRFFVPLWNRDNIDNVQIVFREDFGTEGRGGYFDEYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVMPIAPEEVVLGQYDGYRDDPTVPDGSSTPTFATVVLRIHNERWEGVPFILKAGKALNSRKAEVRVQFKDVPGDIFRSKKHGRNEFVIRLQPSEAMYMKLTVKKPGLQMSTILSELDLSYGQRYQDVKIPEAYERLILDTIRGDQQHFVRRDELRAAWEIFTPLLHKIDNGELPPISYKPGSRGPAEADELLARAGYVQTHGYIWIPPSL >DRNTG_13366.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2312091:2318639:1 gene:DRNTG_13366 transcript:DRNTG_13366.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSSRNSSFNGNNFRSESFSSERDLDSVLETGCLSVVVLGASGDLAKKKTFPALFNLFRQGFLQSNEVHIFGYARTKMSDDDLRERIHGYLSHGKGDEEMESLTNFLQLIKYVSGSYDSEDGFRLLDKEISEHELVRKSHPGTSRRLFYLALPPSVYPSVCKMIRSYCMNQSDLSGWTRIVVEKPFGKDLASSEELSSQLAELFNEEQLYRIDHYLGKELVQNLLVLRFANRFFVPLWNRDNIDNVQIVFREDFGTEGRGGYFDEYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVMPIAPEEVVLGQYDGYRDDPTVPDGSSTPTFATVVLRIHNERWEGVPFILKAGKALNSRKAEVRVQFKDVPGDIFRSKKHGRNEFVIRLQPSEAMYMKLTVKKPGLQMSTILSELDLSYGQRYQDVKIPEAYERLILDTIRGDQQHFVRRDELRAAWEIFTPLLHKIDNGELPPISYKPGSRGPAEADELLARAGYVQTHGYIWIPPSL >DRNTG_19547.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8664019:8665412:1 gene:DRNTG_19547 transcript:DRNTG_19547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSSMRILSESGLEYSEGRHDRIMPVPCLYMIFQRAPRSCCPCFGEIVFRLRSSG >DRNTG_00449.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27295583:27295954:-1 gene:DRNTG_00449 transcript:DRNTG_00449.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSGKGRREGSDESERSQHCIHWYKTSSGLSLSLSLTDTHSQLQIHSVNQLKKPSDYHRLQRHSTQT >DRNTG_17859.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2894619:2897237:-1 gene:DRNTG_17859 transcript:DRNTG_17859.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKYNLMVGSDDSFIGSSLAKFLHLPILPTKQLKVLVGDGSALFVEGMIKDLQVQIQHHHFTFSIYLLPIVGEDVILGANWLATLGPHITDYQHMTLEFFYNQVFIILKGKRHLKLFNVYIPQLHRLCSTNAIASCYTLIVSQASELRDTSLQKFSVEDPMSEVEFVLPPNLLDNMQQLLKKFSTHFSMPHGLPPSRYCDYRIHILPNSNLVKVRPYQYTHAHKSEIENMIA >DRNTG_17859.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2894619:2897203:-1 gene:DRNTG_17859 transcript:DRNTG_17859.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKYNLMVGSDDSFIGSSLAKFLHLPILPTKQLKVLVGDGSALFVEGMIKDLQVQIQHHHFTFSIYLLPIVGEDVILGANWLATLGPHITDYQHMTLEFFYNQVFIILKGKRHLKLFNVYIPQLHRLCSTNAIASCYTLIVSQASELRDTSLQKFSVEDPMSEVEFVLPPNLLDNMQQLLKKFSTHFSMPHGLPPSRYCDYRIHILPNSNLVKVRPYQYTHAHKSEIENMIA >DRNTG_17859.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2893425:2897203:-1 gene:DRNTG_17859 transcript:DRNTG_17859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKYNLMVGSDDSFIGSSLAKFLHLPILPTKQLKVLVGDGSALFVEGMIKDLQVQIQHHHFTFSIYLLPIVGEDVILGANWLATLGPHITDYQHMTLEFFYNQVFIILKGKRHLKLFNVYIPQLHRLCSTNAIASCYTLIVSQASELRDTSLQKFSVEDPMSEVEFVLPPNLLDNMQQLLKKFSTHFSMPHGLPPSRYCDYRIHILPNSNLVKVRPYQYTHAHKSEIENMIA >DRNTG_17859.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2895058:2897237:-1 gene:DRNTG_17859 transcript:DRNTG_17859.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKYNLMVGSDDSFIGSSLAKFLHLPILPTKQLKVLVGDGSALFVEGMIKDLQVQIQHHHFTFSIYLLPIVGEDVILGANWLATLGPHITDYQHMTLEFFYNQVFIILKGKRHLKLFNVYIPQLHRLCSTNAIASCYTLIVSQASELRDTSLQKFSVEDPMSEVEFV >DRNTG_17859.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2895058:2897378:-1 gene:DRNTG_17859 transcript:DRNTG_17859.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKYNLMVGSDDSFIGSSLAKFLHLPILPTKQLKVLVGDGSALFVEGMIKDLQVQIQHHHFTFSIYLLPIVGEDVILGANWLATLGPHITDYQHMTLEFFYNQVFIILKGKRHLKLFNVYIPQLHRLCSTNAIASCYTLIVSQASELRDTSLQKFSVEDPMSEVEFV >DRNTG_17859.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2895058:2897203:-1 gene:DRNTG_17859 transcript:DRNTG_17859.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKYNLMVGSDDSFIGSSLAKFLHLPILPTKQLKVLVGDGSALFVEGMIKDLQVQIQHHHFTFSIYLLPIVGEDVILGANWLATLGPHITDYQHMTLEFFYNQVFIILKGKRHLKLFNVYIPQLHRLCSTNAIASCYTLIVSQASELRDTSLQKFSVEDPMSEVEFV >DRNTG_17859.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2894619:2897378:-1 gene:DRNTG_17859 transcript:DRNTG_17859.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKYNLMVGSDDSFIGSSLAKFLHLPILPTKQLKVLVGDGSALFVEGMIKDLQVQIQHHHFTFSIYLLPIVGEDVILGANWLATLGPHITDYQHMTLEFFYNQVFIILKGKRHLKLFNVYIPQLHRLCSTNAIASCYTLIVSQASELRDTSLQKFSVEDPMSEVEFVLPPNLLDNMQQLLKKFSTHFSMPHGLPPSRYCDYRIHILPNSNLVKVRPYQYTHAHKSEIENMIA >DRNTG_01649.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2945720:2947123:1 gene:DRNTG_01649 transcript:DRNTG_01649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTWKCPFIIIGRMTISTSCKLRATSPIIAIVVSCASPKPSITSSATISFPSSRSNSLDRVVLTESFFHTIVLSIERVEERSLSTPCQYLLRQRLATYFSSE >DRNTG_27404.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001396.1:193356:194063:-1 gene:DRNTG_27404 transcript:DRNTG_27404.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKYLLLLFAFLATIQFISFEVVSARDLAQQTGYDTPPSHNYGKRYSLDHHGEKYFGDFPGGYGEPGYFGGIPGWNGYVGGIPKWFGGGGYIGGYGGGGYGGGYGGGGLGYP >DRNTG_12677.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:12802759:12805253:1 gene:DRNTG_12677 transcript:DRNTG_12677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIALEGIGRSEFAGGIGCFPAGESLGTGGESVVKNEFRPAEEVEQSCSSSVGNNSDCSDSDGGDSPEVQSKMKGPLETMDSLEESLPIRRGLSKFYCSKSKSFTSLADAISSISSAKELAKPQNPYTRKRKNLLACIKMWDKIDGNISRPVKGGMPKRPANSNRSTASLTASSSSSMSNGNNSEEEQEPYLLRPPRCPNGKHFASRDDTHPPGAFLCDVRSFSMADLQSIACSTPSYHPP >DRNTG_05080.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4650183:4652183:1 gene:DRNTG_05080 transcript:DRNTG_05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein CP33, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G52380) UniProtKB/Swiss-Prot;Acc:Q39061] MATAAITSLLLSRCLVTTTNKSNTLHPLIPNFNLSNPTTLLIRTLSLSPFLPLRSRSQYSVVAAAAAYAESDDRDGDSEDGEASMSQETPEEAGRLYVGNLPYSMTSSQLAEHFSEAGQVISVDLVYDRVTDRSRGFAFVSMASHDDALNAIRMFDGSEVGGRTMKVNFPEVPRGGEREVMSSRIRAASRGYIDSPHKVYAGNLGWAVTGQSLRDAFASQSGILGAKVIYDRDSGRSRGFGFVSFATAEEAQAAIDEMNGVEVEGRPLRLSHAQRKPPPTPGPEVAASQSVDEELMEVH >DRNTG_06699.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3515339:3516742:-1 gene:DRNTG_06699 transcript:DRNTG_06699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPGFSHPPQHVCHLRRAIYGLKQAPRAWFERFRSVILALGFSESSHDYALFTRQTPRGLTILLLYVDDMVISGDDAGTIISLKQQLHTEFQMKDLGPLRYFLGLEIAYSRRGYLLSQQKYISDIFRRADITDIRTASTPIELHHRLSSSDGELLTDPTRYRALVGALVYLTITRPDIAYAVRVLSQFVSAPRSTHYAALLRVLRYLRGTLTRSLFFSATSSFELRAYCDADWAGDSTDRKSTTGFCIFLGDSLISWKSKKQSTVALSTAEAEYGAMSSTAKEIIWLRHILVDFGVSLSTPTPIYCDNQSAIKIAANPVFHERTKHLEVALHFVRHHYHAGTILLPYVASAYQLADLFTKAHTVSRFQFLVDKLSVYDPP >DRNTG_19640.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31468758:31472483:-1 gene:DRNTG_19640 transcript:DRNTG_19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAVLLLPTKTDKIEHAKWEVKDAQIMAWILGSVEPNIVLNLRPFKTSAEMWTFLKKLYSQHNTARRFQLEHDLAILQQDSLSISDFYSCFMNLWAEYTDIVYATVPIEGLKSVQTIHETTKRDKFLMKLRSEFEATRSNLMNREAVPSLDACLNDLFREEQRLLTQNTLKEQKSNFV >DRNTG_18373.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000946.1:30468:33202:-1 gene:DRNTG_18373 transcript:DRNTG_18373.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWLPSKFTATFPTLMMIMVLLLLVLLFSNLCFPAFNMATASNIESEGRALLQWKATLKSQDLLHNWTSTESPCNWTGISCRYAGHLMPTITKVQLGELGLEGKLETLNFSAFPSLRVLDLSDNHLHGYIPEAISALSKLIILDLSNNNLTGVIPSELGNLTKLKTLWLFENQISGSIPPSFGQLKNLNLLNIASNVIVGSIPPMLGNLTKLNALILARNNLTGSIPREIGYMVKLKDFNIANNNIIGSIPASIGNLIELNYLGFDGNQLHGFIPYHIGNMTELKGLYIFNNYITGPIPHSIGNLTKLEDLYLGANNINDSLPGEIGNLVNVRTFDISENQIIDSIPRSIGNLTKLQFFYLYNNNINGSIPSEIGNMTNLIVFQISHNKISGSIPYELGNLTKLETLYLFSNNISGSIPTEIGNLVRLKNFAIYDNQITGSIPPSLGSLKGLIKLILFDNYLYGKVPDEFENLTNLINLQLFNNYLSGDLPPGLAKGGLLQYLILGYNKFQGPIPISLKNSTNLVRVRLDNNQFTGDVSQSFGVHPYLVYIDLSFNRLSGTLSPFWGACLNLTSFKISSNRISGQIPREIVQLPMLHMLDISSNNFVGKIPRELGRSSYMFHLNISNNHLTGAIPPEFGDLFSLEVLDLSSNNLIGEIPIRLENCIKLSTLKLSNNLLNGTIPSQFGNLNLHDDLDLSDNLFMGEIPPQLSKLTELQELNLSHNKLVGDIPSSFQSMTSLTLLDLSYNSLEGPVPKNHFFQTAPIKWFIHNKGLCGQVQGLPLCAQSQSTSRDDAKKQHKVIILIIVLVLGTLLTIFLISGIFTLRCFKRKRSIVIDTREEFDGHFFSVWRVNQGKEAYKEIIRVMRLQSEKYRIGTGASSIVYKASLSLD >DRNTG_32959.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30852468:30855245:1 gene:DRNTG_32959 transcript:DRNTG_32959.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPN-loop GTPase QQT2 [Source:Projected from Arabidopsis thaliana (AT4G21800) UniProtKB/Swiss-Prot;Acc:Q8W586] MDVDESPERMDLEESKKSASKGKGKEELSETMEKLKIAESDITFKRKPVIIIVVGMAGIGKTTFLHRLVCHTLSSNIRGYVLNLDPAVTTLPFGANIDIRDTVRYKEVMKEYNLGPNGGILTSLNLFATKFDEVISVIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVIAYVVDTPRSANPVTFMSNMLYACSILYKTRLPLVLVFNKVDVAKHEFALEWMEDFEAFQAAIETDSSYTSTLTRSLSLVLDEFYKNLKSVGVSAVSGTGMEAFFSAIEASAEEYMENYKVDLDKRRAEKERLEAERRKQNMEKLRKDMEESKGQTVVLSTGLKDKKTIADPMDEEDEEEEDEDEDDSVKFSEEDEDEDEGEDEEVAHFAF >DRNTG_32959.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30852468:30855245:1 gene:DRNTG_32959 transcript:DRNTG_32959.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPN-loop GTPase QQT2 [Source:Projected from Arabidopsis thaliana (AT4G21800) UniProtKB/Swiss-Prot;Acc:Q8W586] MKSRSVLMDVDESPERMDLEESKKSASKGKGKEELSETMEKLKIAESDITFKRKPVIIIVVGMAGIGKTTFLHRLVCHTLSSNIRGYVLNLDPAVTTLPFGANIDIRDTVRYKEVMKEYNLGPNGGILTSLNLFATKFDEVISVIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVIAYVVDTPRSANPVTFMSNMLYACSILYKTRLPLVLVFNKVDVAKHEFALEWMEDFEAFQAAIETDSSYTSTLTRSLSLVLDEFYKNLKSVGVSAVSGTGMEAFFSAIEASAEEYMENYKVDLDKRRAEKERLEAERRKQNMEKLRKDMEESKGQTVVLSTGLKDKKTIADPMDEEDEEEEDEDEDDSVKFSEEDEDEDEGEDEEVAHFAF >DRNTG_32959.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30852468:30855245:1 gene:DRNTG_32959 transcript:DRNTG_32959.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPN-loop GTPase QQT2 [Source:Projected from Arabidopsis thaliana (AT4G21800) UniProtKB/Swiss-Prot;Acc:Q8W586] MDVDESPERMDLEESKKSASKGKGKEELSETMEKLKIAESDITFKRKPVIIIVVGMAGIGKTTFLHRLVCHTLSSNIRGYVLNLDPAVTTLPFGANIDIRDTVRYKEVMKEYNLGPNGGILTSLNLFATKFDEVISVIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVIAYVVDTPRSANPVTFMSNMLYACSILYKTRLPLVLVFNKVDVAKHEFALEWMEDFEAFQAAIETDSSYTSTLTRSLSLVLDEFYKNLKSVGVSAVSGTGMEAFFSAIEASAEEYMENYKVDLDKRRAEKERLEAERRKQNMEKLRKDMEESKGQTVVLSTGLKDKKTIADPMDEEDEEEEDEDEDDSVKFSEEDEDEDEGEDEEVAHFAF >DRNTG_20074.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1260693:1261228:-1 gene:DRNTG_20074 transcript:DRNTG_20074.5 gene_biotype:protein_coding transcript_biotype:protein_coding NIDGIVVDRCSEVDDRTLTVEFFPVAKHTLNIP >DRNTG_20074.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1260693:1264966:-1 gene:DRNTG_20074 transcript:DRNTG_20074.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDFCSSSSSFLPLTMLSSSLMSSLIRRNPASEGFFLHMKE >DRNTG_20074.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1260693:1264966:-1 gene:DRNTG_20074 transcript:DRNTG_20074.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDFCSSSSSFLPLTMLSSSLMSSLIRRNPASEGFFLHMKE >DRNTG_05960.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20249432:20278955:-1 gene:DRNTG_05960 transcript:DRNTG_05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLMNMCRKCLILTQVPSTPGILKKVIQKMKRARRRHRKHSKTVGENFCRACRVFQVFQRRHTGVWNFHMPVGLYCELIKRRHRGVNSPL >DRNTG_16230.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000800.1:1122:1392:-1 gene:DRNTG_16230 transcript:DRNTG_16230.2 gene_biotype:protein_coding transcript_biotype:protein_coding CIYLVVLKGSVPMSFGGTQEPAAYDELVSIGDSP >DRNTG_16230.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000800.1:1122:2079:-1 gene:DRNTG_16230 transcript:DRNTG_16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNLSTKVIVEGIDTSSIFSKASKTVAKLIVLKGSVPMSFGGTQEPAAYDELVSIGDSP >DRNTG_25688.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001333.1:9597:9897:1 gene:DRNTG_25688 transcript:DRNTG_25688.2 gene_biotype:protein_coding transcript_biotype:protein_coding RRRDGHQRRRRPCQRRISKPSQTLAEGLTESTTSEGFIKTME >DRNTG_25688.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001333.1:7806:9897:1 gene:DRNTG_25688 transcript:DRNTG_25688.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRDGHQRRRRPCQRRISKPSQTLAEGLTESTTSEGFIKTME >DRNTG_10644.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:301356:303189:-1 gene:DRNTG_10644 transcript:DRNTG_10644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHCMASSSTSTSTSTSSSYLSVFERQKTWFKSQQQQQQQHQANYLINESLNSLYQPSDSHTMNYQQELYDQLPSLNSGWPDLSSLTGDPVSKKRKAETSRSSSPNNNVTEEEFNKTEKRIKGDAEITAALLSDHQDKKIKESSTQKSDYIHVRARRGQATDSHSLAERVRREKISARMRYLQDLVPGCNRITGKAGMLDEIINYVQSLQRQVEFLSMKLAAMNPRLDFGIGDLIDKEINAMTMSNMIPPGIIGMSSEVVDHSCFQFSSSQQGMNSSNADLLQRTENSSVPMPEQFLDSCFNIHGASSTWNTDLNCIHDLGYSFQLYQGNVIANNLKMEI >DRNTG_10017.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3038577:3041614:-1 gene:DRNTG_10017 transcript:DRNTG_10017.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAALMFFPSAATLMFLLDTSINLRLADAPPKTPPMIFAHTRMMISINSVLLQVMTAFMAPPPAATYLAFHPQDNNIIAIGTDDSSIQIYNVRIDEVKTKLKGHQKKITGLVFSQMMNVLVS >DRNTG_10017.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3038577:3041671:-1 gene:DRNTG_10017 transcript:DRNTG_10017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAALMFFPSAATLMFLLDTSINLRLADAPPKTPPMIFAHTRMMISINSVLLQVMTAFMAPPPAATYLAFHPQDNNIIAIGTDDSSIQIYNVRIDEVKTKLKGHQKKITGLVFSQMMNVLVS >DRNTG_33969.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002069.1:12578:13162:1 gene:DRNTG_33969 transcript:DRNTG_33969.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLNLPVHTAVKEDPHQLLLVFVKTRLANPFFLLRNEDLPSRLVPILIGLDHLPRCSCVWLRCGDDDSINRRHTLTCFFLLWDCVLLRCGTVGHATATDSTIFSAVATATASTGDTPLACSCSSGIVSIFDAAISAAGSTGSGISLTRE >DRNTG_33585.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20101381:20106831:1 gene:DRNTG_33585 transcript:DRNTG_33585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSERPPKVVPNPNPNPADHDLDPSSCTLEKFRLFETRARFYLIGSDCEKRRFRVLKIDRSEPSDLGVSEDPVVYSEQEVKNLLQRIAEGNRATGGLSFVTKVYGIAGCIKFLESYYLILVTKRRQIGSICGHAIYAIDESQMITVPHSSVQSDVAFSKTELRYKKLLSSVDLTKDFFFSYTYPIMCSLQQNVLAEGTVMMPYENMFVWNAFLTQPIRCKCNNTMWTIALVHGHFKQVRMSVFGREFSVALISRRSRHFAGTRYLKRGVNDRGRVANDVETEQIVFDEEVGSCGGKMSSVVQMRGSIPLFWSQEASRLSPKPDIILQRYDPTYQATKLHFEDLAKRYGNPIIVLNLIKTVEKRPREMMLRREFASAVGYLNQILPEESQLKFIHWDFHKFAKSKSANVLAVLGVVASEALDMTGFYYSGKPMNVKRKSVPLSRTSTGR >DRNTG_11749.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1904047:1904776:-1 gene:DRNTG_11749 transcript:DRNTG_11749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLEQCFEDQTNRRNSEAHHGYYLFGEEKNKFSDNILSEEELALRKEIEIEIENELEEEIKQELGHLAFRLRGLYRHKMARMNICKRGEQSSKEKVMEVRVISIRMEGEEYKIEINENNKTRTQTSIKSQVKQGKKVCVNKHVDWASTLRSGNHCIVPIDQIAKKKRFNPEKTS >DRNTG_00346.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18704693:18715248:-1 gene:DRNTG_00346 transcript:DRNTG_00346.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMASEGSQFDARQYDEKMSQINAETDDFFTPYEEVCDTFDAMGLHENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELA >DRNTG_00346.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18704693:18715248:-1 gene:DRNTG_00346 transcript:DRNTG_00346.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGSQFDARQYDEKMSQINAETDDFFTPYEEVCDTFDAMGLHENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVCEDQRILSSGVHVVVGTPGRVFDMLRRQSLRQITLGCLCWMKL >DRNTG_00346.20 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18704693:18710548:-1 gene:DRNTG_00346 transcript:DRNTG_00346.20 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGSQFDARQYDEKMSQINAETDDFFTPYEEVCDTFDAMGLHENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELA >DRNTG_00346.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18707310:18715248:-1 gene:DRNTG_00346 transcript:DRNTG_00346.17 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMASEGSQFDARQYDEKMSQINAEADDFFTPYEEVCDTFDAMGLHENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKVQVGVFSATMPPEALEITRKFMSKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVIIEELPSNVADLI >DRNTG_00346.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18707310:18715248:-1 gene:DRNTG_00346 transcript:DRNTG_00346.19 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMASEGSQFDARQYDEKMSQINAEADDFFTPYEEVCDTFDAMGLHENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKVQVGVFSATMPPEALEITRKFMSKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVIIEELPSNVADLI >DRNTG_00346.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18704693:18715248:-1 gene:DRNTG_00346 transcript:DRNTG_00346.12 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMASEGSQFDARQYDEKMSQINAEADDFFTPYEEVCDTFDAMGLHENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLRQITLGCLCWMKL >DRNTG_00346.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18707310:18715248:-1 gene:DRNTG_00346 transcript:DRNTG_00346.13 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMASEGSQFDARQYDEKMSQINAEADDFFTPYEEVCDTFDAMGLHENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKVQVGVFSATMPPEALEITRKFMSKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVIIEELPSNVADLI >DRNTG_00346.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18707310:18715248:-1 gene:DRNTG_00346 transcript:DRNTG_00346.15 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMASEGSQFDARQYDEKMSQINAEADDFFTPYEEVCDTFDAMGLHENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKVQVGVFSATMPPEALEITRKFMSKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVIIEELPSNVADLI >DRNTG_00346.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18707310:18715248:-1 gene:DRNTG_00346 transcript:DRNTG_00346.16 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMASEGSQFDARQYDEKMSQINAEADDFFTPYEEVCDTFDAMGLHENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKVQVGVFSATMPPEALEITRKFMSKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVIIEELPSNVADLI >DRNTG_00346.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18704693:18715248:-1 gene:DRNTG_00346 transcript:DRNTG_00346.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRTISLPRMRRFAMPLMLWAFMKNLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELA >DRNTG_00346.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18704693:18715248:-1 gene:DRNTG_00346 transcript:DRNTG_00346.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMASEGSQFDARQYDEKMSQINAEADDFFTPYEEVCDTFDAMGLHENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVCEDQRILSSGVHVVVGTPGRVFDMLRRQSLRQITLGCLCWMKL >DRNTG_00346.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18704693:18715248:-1 gene:DRNTG_00346 transcript:DRNTG_00346.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRALGDYLGVKVHACVGGTSVCEDQRILSSGVHVVVGTPGRVFDMLRRQSLRQITLGCLCWMKL >DRNTG_00346.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18704693:18715248:-1 gene:DRNTG_00346 transcript:DRNTG_00346.11 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRTISLPRMRRFAMPLMLWAFMKNLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELA >DRNTG_00346.21 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18704693:18710548:-1 gene:DRNTG_00346 transcript:DRNTG_00346.21 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGSQFDARQYDEKMSQINAETDDFFTPYEEVCDTFDAMGLHENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVCEDQRILSSGVHVVVGTPGRVFDMLRRQSLRQITLGCLCWMKL >DRNTG_00346.22.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18704693:18710548:-1 gene:DRNTG_00346 transcript:DRNTG_00346.22 gene_biotype:protein_coding transcript_biotype:protein_coding MRALGDYLGVKVHACVGGTSVCEDQRILSSGVHVVVGTPGRVFDMLRRQSLRQITLGCLCWMKL >DRNTG_00346.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18704693:18715248:-1 gene:DRNTG_00346 transcript:DRNTG_00346.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMASEGSQFDARQYDEKMSQINAETDDFFTPYEEVCDTFDAMGLHENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVCEDQRILSSGVHVVVGTPGRVFDMLRRQSLRQITLGCLCWMKL >DRNTG_00346.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18704693:18715248:-1 gene:DRNTG_00346 transcript:DRNTG_00346.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMASEGSQFDARQYDEKMSQINAEADDFFTPYEEVCDTFDAMGLHENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVCEDQRILSSGVHVVVGTPGRVFDMLRRQSLRQITLGCLCWMKL >DRNTG_00346.24 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18711867:18713909:-1 gene:DRNTG_00346 transcript:DRNTG_00346.24 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMASEGSQFDARQYDEKMSQINAEADDFFTPYEEVCDTFDAMGLHENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKVQVGVFSATMPPEALEITRKFMSKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVIIEELPSNVADLI >DRNTG_00346.23 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18707310:18710548:-1 gene:DRNTG_00346 transcript:DRNTG_00346.23 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGSQFDARQYDEKMSQINAETDDFFTPYEEVCDTFDAMGLHENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKVQVGVFSATMPPEALEITRKFMSKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVIIEELPSNVADLI >DRNTG_00346.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18704693:18715248:-1 gene:DRNTG_00346 transcript:DRNTG_00346.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGSQFDARQYDEKMSQINAETDDFFTPYEEVCDTFDAMGLHENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVCEDQRILSSGVHVVVGTPGRVFDMLRRQSLRQITLGCLCWMKL >DRNTG_00346.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18704693:18715248:-1 gene:DRNTG_00346 transcript:DRNTG_00346.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGSQFDARQYDEKMSQINAETDDFFTPYEEVCDTFDAMGLHENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELA >DRNTG_00346.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18704693:18715248:-1 gene:DRNTG_00346 transcript:DRNTG_00346.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMASEGSQFDARQYDEKMSQINAETDDFFTPYEEVCDTFDAMGLHENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLRQITLGCLCWMKL >DRNTG_00346.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18707310:18715248:-1 gene:DRNTG_00346 transcript:DRNTG_00346.18 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMASEGSQFDARQYDEKMSQINAEADDFFTPYEEVCDTFDAMGLHENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKVQVGVFSATMPPEALEITRKFMSKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVIIEELPSNVADLI >DRNTG_00346.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18707310:18715248:-1 gene:DRNTG_00346 transcript:DRNTG_00346.14 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMASEGSQFDARQYDEKMSQINAEADDFFTPYEEVCDTFDAMGLHENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKVQVGVFSATMPPEALEITRKFMSKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVIIEELPSNVADLI >DRNTG_10570.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1645581:1647457:1 gene:DRNTG_10570 transcript:DRNTG_10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAMKPTKPGLEESQEQLHRIRITLSSKNVKNIEKVCADLVRGAKEKRLKVKGPVRIPTKVLHITTRKSPCGEGTNTWDRFELRVHKEGDRSYQLSRSGETNYLDHH >DRNTG_19134.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19089536:19091914:-1 gene:DRNTG_19134 transcript:DRNTG_19134.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLGEEGEHGGNGDSTPCLPITLKFKDISVHVKRGAGGGGGGGGGSGGFRRLLGQKPARNVEQEERTILNGITGMVSPGEMMAILGPSGSGKSTLLSVLAGRLHGRHGGTVLVNGKEMTKSVTRRTGFVTQDDVLYPHLTVRETLVFCALLRLPRTVARAEKVRAAEAVMVELGLDKCADTVIGNAFVRGVSGGERKRVSIGHEMLTRPSMLLLDEPTSGLDATAAYRLVAMLGGLARRGRTVAASVHQPSSRVFQLFDNVLLLSEGSCLYFGRAKDAVGYFESIGYAPKFLVNPADFMLDLANGIAQVDYQGDAEKSNVKQALVSSYNRIVAPNVRKLIEDEEHGHAVHTVNQRGMEKEFKNYASIGWFSQFMILLHRSLKERRYESFNSLRVFQVLAAALLAGSMWWRSNIHNVHDRLGLLFFMSIFWGVFPSFNAVFVFPQDRAIFMKERRSGMYTLSPYFMARLAGDLPMELLLPTVFTIVAYWMTGLRPDLEAFVLTLIVILGYVLVAQGLGLALGAIIMDAKQASTMITVIMLAFLLTGGFYVLNVPFCMAWLKYVSYTFYCYRLLVGVQYSGMETEHLDQIHKGRGSVEQELEGPVSESICIIVMVSMFIGYRLMAYAALRRVKV >DRNTG_19134.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19089536:19091727:-1 gene:DRNTG_19134 transcript:DRNTG_19134.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPGEMMAILGPSGSGKSTLLSVLAGRLHGRHGGTVLVNGKEMTKSVTRRTGFVTQDDVLYPHLTVRETLVFCALLRLPRTVARAEKVRAAEAVMVELGLDKCADTVIGNAFVRGVSGGERKRVSIGHEMLTRPSMLLLDEPTSGLDATAAYRLVAMLGGLARRGRTVAASVHQPSSRVFQLFDNVLLLSEGSCLYFGRAKDAVGYFESIGYAPKFLVNPADFMLDLANGIAQVDYQGDAEKSNVKQALVSSYNRIVAPNVRKLIEDEEHGHAVHTVNQRGMEKEFKNYASIGWFSQFMILLHRSLKERRYESFNSLRVFQVLAAALLAGSMWWRSNIHNVHDRLGLLFFMSIFWGVFPSFNAVFVFPQDRAIFMKERRSGMYTLSPYFMARLAGDLPMELLLPTVFTIVAYWMTGLRPDLEAFVLTLIVILGYVLVAQGLGLALGAIIMDAKQASTMITVIMLAFLLTGGFYVLNVPFCMAWLKYVSYTFYCYRLLVGVQYSGMETEHLDQIHKGRGSVEQELEGPVSESICIIVMVSMFIGYRLMAYAALRRVKV >DRNTG_09992.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21571863:21572138:1 gene:DRNTG_09992 transcript:DRNTG_09992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQNASYYYNKCAHSICGNITVSFPFSSSESFGSPAGYEISCDHSTSIPSLTLSGIILSVKAIYYPNGLISLSDTTLVQALSSNICSNIG >DRNTG_06576.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:511226:511630:1 gene:DRNTG_06576 transcript:DRNTG_06576.6 gene_biotype:protein_coding transcript_biotype:protein_coding MESSHDSISDHDFNKIVGITDGSELEEMDAPDTLLCELRPYQKQALHWMVQLEKGKCPEEAATSLHPCWDAYRVADK >DRNTG_06576.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:512263:517441:1 gene:DRNTG_06576 transcript:DRNTG_06576.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWGNWGLWHKLIQRPYEEGDERGLKLVQSILKPIMLRRTKSSTDKDGKPILVLPPADIQVIYCELTTAEQDFYQALFRKSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEYADLNKLAKHFLKVAKDSSGDSNIIPSKAYVKEVVEELRKGEGECPICLEAFEDAVLTPCAHRLCRECLLASWRSTTAGLCPV >DRNTG_06576.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:510838:517441:1 gene:DRNTG_06576 transcript:DRNTG_06576.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSFGEANGNNHISDQSLTGLKSSEGISGSGKLFKSKTLFRGGNLIVCPMTLLGQWKAEIETHARCGSLTLYVHYGQSRPKDAKLLAENDVVLTTYGVLGSEFSAENAEDNGVLYSVRWFRIVLDEAHTIKSSKSQISIAAAALTADRRWCLTGTPIQNNLEDIYSLLRFLRMEPWGNWGLWHKLIQRPYEEGDERGLKLVQSILKPIMLRRTKSSTDKDGKPILVLPPADIQVIYCELTTAEQDFYQALFRKSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEYADLNKLAKHFLKVAKDSSGDSNIIPSKAYVKEVVEELRKGEGECPICLEAFEDAVLTPCAHRLCRECLLASWRSTTAGLCPVCRKVINKQDLITAPTESRFQIDIEKNWVESTKVSVLLQELEKLRHAGSKSIVFSQWTAFLDLLQIPLSRSNLKFVRLDGTSNQQQREKIISQFTEDENILVLLMSLKAGGVGINLTAATNAFLLDPWWNPAVEEQAVMRIHRIGQTKSVSIRRFIVKGTVEERMEVVQARKQRMISGALTDQEVRTARIEELKMFFT >DRNTG_06576.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:509967:517441:1 gene:DRNTG_06576 transcript:DRNTG_06576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSFGEANGNNHISDQSLTGLKSSEGISGSGKLFKSKTLFRGGNLIVCPMTLLGQWKAEIETHARCGSLTLYVHYGQSRPKDAKLLAENDVVLTTYGVLGSEFSAENAEDNGVLYSVRWFRIVLDEAHTIKSSKSQISIAAAALTADRRWCLTGTPIQNNLEDIYSLLRFLRMEPWGNWGLWHKLIQRPYEEGDERGLKLVQSILKPIMLRRTKSSTDKDGKPILVLPPADIQVIYCELTTAEQDFYQALFRKSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEYADLNKLAKHFLKVAKDSSGDSNIIPSKAYVKEVVEELRKGEGECPICLEAFEDAVLTPCAHRLCRECLLASWRSTTAGLCPVCRKVINKQDLITAPTESRFQIDIEKNWVESTKVSVLLQELEKLRHAGSKSIVFSQWTAFLDLLQIPLSRSNLKFVRLDGTSNQQQREKIISQFTEDENILVLLMSLKAGGVGINLTAATNAFLLDPWWNPAVEEQAVMRIHRIGQTKSVSIRRFIVKGTVEERMEVVQARKQRMISGALTDQEVRTARIEELKMFFT >DRNTG_06576.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:515613:517441:1 gene:DRNTG_06576 transcript:DRNTG_06576.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKAGGVGINLTAATNAFLLDPWWNPAVEEQAVMRIHRIGQTKSVSIRRFIVKGTVEERMEVVQARKQRMISGALTDQEVRTARIEELKMFFT >DRNTG_06576.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:510560:517441:1 gene:DRNTG_06576 transcript:DRNTG_06576.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWGNWGLWHKLIQRPYEEGDERGLKLVQSILKPIMLRRTKSSTDKDGKPILVLPPADIQVIYCELTTAEQDFYQALFRKSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEYADLNKLAKHFLKVAKDSSGDSNIIPSKAYVKEVVEELRKGEGECPICLEAFEDAVLTPCAHRLCRECLLASWRSTTAGLCPVCRKVINKQDLITAPTESRFQIDIEKNWVESTKVSVLLQELEKLRHAGSKSIVFSQWTAFLDLLQIPLSRSNLKFVRLDGTSNQQQREKIISQFTEDENILVLLMSLKAGGVGINLTAATNAFLLDPWWNPAVEEQAVMRIHRIGQTKSVSIRRFIVKGTVEERMEVVQARKQRMISGALTDQEVRTARIEELKMFFT >DRNTG_02601.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21282380:21284895:-1 gene:DRNTG_02601 transcript:DRNTG_02601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFVFLLLQIYGLSIFLKAFHHPHHCHHLHQNHRYRYQTSFVLKRLRPFQHHRCSLHHCLRPHRSLHLARSHLHLPRLQPGPPAELGLQFPYPPLLAHHHPHRHHPLHRPHRHHHHLHHHLHLHPLHLRSHPHPPHPHHPQYEPPAHLSSSHPPPHHHPFPHHHPSLPRSPVALPPLPPPPRHRLHSHHQHHHHHHHTTTLPFPLTPSSASFFLSISISLSSSTFSLHPSLPPKPQKYQKIKIEFLSNFFRELIPEKLE >DRNTG_12563.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20965152:20966068:-1 gene:DRNTG_12563 transcript:DRNTG_12563.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSYEETNLWKIVDDVPRGVHVNFLKAERSLHRWALEDIQRIHSAEELAADEGAGVEMHVLEDAGHWVQADNPDGLFRILSSSFQGFRMQ >DRNTG_12563.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20965152:20969603:-1 gene:DRNTG_12563 transcript:DRNTG_12563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASHLAATRQWPGFVADGPRCVRLDRRPVIRALRRREDGAYHLGRLSLSNPRRSSSSVLRFCTRMTLVHDRVGVEEDVARVSGVLAYDLIQGSLVRWNSFMDKSVPDPPTAVLLHGILGGRKNWGSFAKRLAQEFPMWQFLLVDLRCHGDSAKIKKRGPHTVASAARDVLKLVAQLRVTPRVLVGHSFGGKVALSMVDQAAKPLARPVRVWVLDSTPGKVHAGGDGEDHPAELIDFLSRMPEQVSSKKEVVQALEQAGFSTDVAKWVVTNLRPVSSQASPTTEFSWIFDLEGISEMFKSYEETNLWKIVDDVPRGVHVNFLKAERSLHRWALEDIQRIHSAEELAADEGAGVEMHVLEDAGHWVQADNPDGLFRILSSSFQGFRMQ >DRNTG_21430.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7460404:7461286:-1 gene:DRNTG_21430 transcript:DRNTG_21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTYALKDHPEELTPIRVVIRDHIIEFGAKLSVFCILRLRVARKDERELKEAFQTLNEEAGALSASIEQVQGKIDGLRAAYSREARREWRRISKAQGPNLGGYWDPHSREELIVAFKLILISFLEALLGFLW >DRNTG_08480.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17067043:17070171:1 gene:DRNTG_08480 transcript:DRNTG_08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTDRTATLKEFDDTKTGVKGLVDSGITSLPAIFHQHNAHLSLPSATHLSVPIVDLSLPHPIVVDLIHSACRDWGIFQLVNHGIPLSTIDSTISAVRSFNELPPAVRSQYYTRTPVGGLSYYSNLDLFLSATTCWRDTLKIDLAPVPPELNRIPEVCREALLTWDEVVKEVAKEVMVMMCEGLGVDPGKLEEMTCLEGRTMVAHYYPPCPEPDLALGAIDHTDPGALTVLIQDQIGGLQVKSERDECWVDVKPVPGALVVFAGDFLQVRTYA >DRNTG_05686.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:168469:169325:1 gene:DRNTG_05686 transcript:DRNTG_05686.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESSDDELNSYDDEDDLPQSNLDSGHDNEAFYESKEDSCRSEDDEEDQAAVSDEEEDDDDTDTLGDSCKGLGPRGCDNSHGIISDDSDSDDDMDDDDEDTDEEESAQKSLAETADVEEDVEEAANGEDENDMKKAKTLKRKFVDYAGKPNETSLRALKRLAGSNMSPIPSVDDKEILSNEDFQRIKELKVSILEVIFLSIRHFSLNSLFLCLVLAVLYL >DRNTG_07366.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000335.1:55465:56515:1 gene:DRNTG_07366 transcript:DRNTG_07366.1 gene_biotype:protein_coding transcript_biotype:protein_coding NTKEFKHTQLHKGTKAKHKNKTENQNKDNILQMKTIHLDSLHNPSGQISTLIKQR >DRNTG_24001.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001285.1:38390:41560:1 gene:DRNTG_24001 transcript:DRNTG_24001.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 2-beta-dioxygenase 8 [Source:Projected from Arabidopsis thaliana (AT4G21200) UniProtKB/Swiss-Prot;Acc:O49561] MAMDSFQTIFEASALPHQTAQAHETLKKMDPNPPFMSTYKQLLKAQPSCNHDLASVEECELPLIDLSLLYTENASRCKREIAAASQNWGFFQVVNHGISSELLHRIRSEQVKLFRQPFENKCKDFSADAYRWGTPTATSLHQLSWSEAYHIPLCQSTDLSKHNTIIRLTIEELAVSLSSLAHLLGGVLAEELGGDGAYFERNCNRETCYLRLNRYPLCPVPGGLFGLVPHTDSDFLTILCQDEVGGLQLMKDGRWITVKPNPSALVVNIGDLFQAWSNGMYKSVEHRVISNESEERFSVAYFMCPSYDSMIESEAEKSIYRRFSFREYRQQVQEDVKMVGYKVGLSRFLVGGA >DRNTG_24001.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001285.1:38390:41560:1 gene:DRNTG_24001 transcript:DRNTG_24001.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 2-beta-dioxygenase 8 [Source:Projected from Arabidopsis thaliana (AT4G21200) UniProtKB/Swiss-Prot;Acc:O49561] MAMDSFQTIFEASALPHQTAQAHETLKKMDPNPPFMSTYKQLLKAQPSCNHDLASVEECELPLIDLSLLYTENASRCKREIAAASQNWGFFQVVNHGISSELLHRIRSEQVKLFRQPFENKCKDFSADAYRWGTPTATSLHQLSWSEAYHIPLCQSTDLSKHNTIIRLTIEELAVSLSSLAHLLGGVLAEELGGDGAYFERNCNRETCYLRLNRYPLCPVPGGLFGLVPHTDSDFLTILCQDEVGGLQLMKDGRWITVKPNPSALVVNIGDLFQAWSNGMYKSVEHRVISNESEERFSVAYFMCPSYDSMIESEAEKSIYRRFSFREYRQQVQEDVKMVGYKVGLSRFLVGGA >DRNTG_24001.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001285.1:38390:41560:1 gene:DRNTG_24001 transcript:DRNTG_24001.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 2-beta-dioxygenase 8 [Source:Projected from Arabidopsis thaliana (AT4G21200) UniProtKB/Swiss-Prot;Acc:O49561] MAMDSFQTIFEASALPHQTAQAHETLKKMDPNPPFMSTYKQLLKAQPSCNHDLASVEECELPLIDLSLLYTENASRCKREIAAASQNWGFFQVVNHGISSELLHRIRSEQVKLFRQPFENKCKDFSADAYRWGTPTATSLHQLSWSEAYHIPLCQSTDLSKHNTIIRLTIEELAVSLSSLAHLLGGVLAEELGGDGAYFERNCNRETCYLRLNRYPLCPVPGGLFGLVPHTDSDFLTILCQDEVGGLQLMKDGRWITVKPNPSALVVNIGDLFQAWSNGMYKSVEHRVISNESEERFSVAYFMCPSYDSMIESEAEKSIYRRFSFREYRQQVQEDVKMVGYKVGLSRFLVGGA >DRNTG_24001.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001285.1:38390:41560:1 gene:DRNTG_24001 transcript:DRNTG_24001.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 2-beta-dioxygenase 8 [Source:Projected from Arabidopsis thaliana (AT4G21200) UniProtKB/Swiss-Prot;Acc:O49561] MAMDSFQTIFEASALPHQTAQAHETLKKMDPNPPFMSTYKQLLKAQPSCNHDLASVEECELPLIDLSLLYTENASRCKREIAAASQNWGFFQVVNHGISSELLHRIRSEQVKLFRQPFENKCKDFSADAYRWGTPTATSLHQLSWSEAYHIPLCQSTDLSKHNTIISRLTIEELAVSLSSLAHLLGGVLAEELGGDGAYFERNCNRETCYLRLNRYPLCPVPGGLFGLVPHTDSDFLTILCQDEVGGLQLMKDGRWITVKPNPSALVVNIGDLFQAWSNGMYKSVEHRVISNESEERFSVAYFMCPSYDSMIESEAEKSIYRRFSFREYRQQVQEDVKMVGYKVGLSRFLVGGA >DRNTG_26985.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17602445:17605432:1 gene:DRNTG_26985 transcript:DRNTG_26985.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit delta [Source:Projected from Arabidopsis thaliana (AT1G48760) UniProtKB/Swiss-Prot;Acc:Q9C744] MAALVDTLLQRSLDDLIKSLRSQPTLESSIISRSVSEIRREIRSTDPLTKSTALQKLSYLSSLHFLDISWSSFHSLELLPSPSFTFKRLAYLSASLSFHPSSDLLLLATNQLRKDLSPSFPSPVISLALDFLSLTASPDLARDLTPEIHSLLSSPNPSIRTKATATALRIFTRYPDSVRVAFKRLVDNIDSSAAIGVFCELSASDPKPYLPLAPDFYRLLVGSKNNWILIKVLKIFARLAPLEPRLARRIVDPVCEHLRRSNAKSLVLECARTVALSLADYDEAVRLVVEKVGEFLASEDDANLRYLGLHVLSMLGPRHSWAVEMNKELVIKSLCDPDTNIRREALFLIMEMLFESNVVDISMLLVGFASKSMPNPEFCNDILEAVLSACGRNVYELVMDFDWYVGLLGQMSRNPHCAKSDEIERQIVDIGLRVKDARPELVRIARDLLIDPTLLGNHSIHRILSAAAWISGEYAEFSRNPLELLEALLQPRTNLLPTSVRAVYIQAVFKVLTFCFCSYFERLESGHMLSSLGNPIAGTGCQEESDVSTVVFGKGDNAAVGNLNNTGAIGCKPDSLSGSVNLDEQFTHASVLRMLNLIETAMITLSDWGEVEIQDRALSVLCLIHMLQRFQVWNRDEEGLRKDRRVSEFANLMSGVFNQELGPVSANSQKRVSVPDGLILKENLADLTAVIGEEVNVSVSASTSFFHRKTSQWGNRGGIQNIDRVHLST >DRNTG_29939.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22073323:22077401:-1 gene:DRNTG_29939 transcript:DRNTG_29939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGNLLILIEGDPELERAIRRRGKEPVQEPSNQAEIEVEGSDNMAEHNEQWRTFLDYARPSVLGTQLKIRSHRGVRLPLWVGCTGVGIFHTPMRLRSVRLSVFLRAHRGVRLPLNSCKYGTSFKEA >DRNTG_23481.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2857805:2868284:-1 gene:DRNTG_23481 transcript:DRNTG_23481.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEASKRIAVVTGGNQGIGLEIVKQLASNGIMVLLTAIDEKRGTEAVEKLKDSGFSDVVSHQLDVSDTASVATFADFIKTQFGKLDILVNNAAVLGILLDLQDLDSSTKESMKQGGLPVFLKLLAAAVEDYEKAEECLNINYYGTKKVIDALMPFLQLSHLPRIVNVSSAGGKLQHIPSESIRREFSEADDLSEEKLDELLQQFLSDFKAKNLEENGWPTKISAYIISKAALNALTRILAKKYPKFCINCVHPGFVNTEMSFYTGTETVEEAAKGPVMLALFPDGGPSGFFYDHTLVSTFE >DRNTG_23481.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2865651:2868284:-1 gene:DRNTG_23481 transcript:DRNTG_23481.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEASKRIAVVTGGNQGIGLEIVKQLASNGIMVLLTAIDEKRGTEAVEKLKDSGFSDVVSHQLDVSDTASVATFADFIKTQFGKLDILVNNAAVLGILLDLQDLDSSTKESMKQGGLPVFLKLLAAAVEDYEKAEECLNINYYGTKKVIDALMPLLQLSHSPRIVNVSSDGGKLQRIPSERIRREFSDVDGLSEEKLDELLQQFLSDFKAKNLEEHGWPTPVSAYRISKVALNALTRILAKKYPKFCINCVHPGFVKTDITFNAGTETVEQGAKGPVMLALLPDGGPSGFFYDRTSVSTFE >DRNTG_23481.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2857805:2860778:-1 gene:DRNTG_23481 transcript:DRNTG_23481.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEASSKRIAVVTGANKGIGLEIVKQLASNGIMVLLTARDEKRGTEAVEKLKDSGFSDVVFHQLDVSDSASIASLADFIKTEFGKLDILVNNAAVNGISIDLQDLDSSTKESIEQGGLPVFLKLLAAAVEDYEKAEECLNINYYGTKKVIDALMPFLQLSHLPRIVNVSSAGGKLQHIPSESIRREFSEADDLSEEKLDELLQQFLSDFKAKNLEENGWPTKISAYIISKAALNALTRILAKKYPKFCINCVHPGFVNTEMSFYTGTETVEEAAKGPVMLALFPDGGPSGFFYDHTLVSTFE >DRNTG_04071.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15735264:15736133:-1 gene:DRNTG_04071 transcript:DRNTG_04071.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQLTYHFHRRTTEPLGPSSTPGCDESTSRCQTTPSIRALGSHQPVIPGVPLIR >DRNTG_30692.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1814660:1818657:1 gene:DRNTG_30692 transcript:DRNTG_30692.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVSARMAEEISRASTAAAVEHSSSPAKSSVRRLWPSVLRWIPTSTDHIISSERRLLSLVKTKYVQEQVNIGSGPPGSTVRWFRSSSDQPRFINTVTFDSSENSPTLVMVHGYGASQGFFFRNFDALASRFRIIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLSNFILLGHSFGGYVAAKYAIKHPEHVNHLILVGPAGFTSETEHRSEWLTKFRATWKGMIINHLWESNFTPQKVIR >DRNTG_30692.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1814660:1818657:1 gene:DRNTG_30692 transcript:DRNTG_30692.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVSARMAEEISRASTAAAVEHSSSPAKSSVRRLWPSVLRWIPTSTDHIISSERRLLSLVKTKYVQEQVNIGSGPPGSTVRWFRSSSDQPRFINTVTFDSSENSPTLVMVHGYGASQGFFFRNFDALASRFRIIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLSNFILLGHSFGGYVAAKYAIKHPEHVNHLILVGPAGFTSETEHRSEWLTKFRATWKGMIINHLWESNFTPQKVIRGLGPWGPDLVRRYTSARFGSYSNGSTLTEEEAGLLTDYVYHTLAAKASGELCLKYIFSFGAFARSPLLQSASEWKVPTTFIYGYEDWMNYQGAQLARRDMKVPCEIIRVPQGGHFVFIDNPSGFHSAVFHACRKFLSSDNVDHQSLPEGLTSA >DRNTG_15689.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000783.1:78466:78850:1 gene:DRNTG_15689 transcript:DRNTG_15689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQILQGLVFDTEENSQYWQNQPPSNPSLHRLSISSRPS >DRNTG_19544.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2589287:2591494:-1 gene:DRNTG_19544 transcript:DRNTG_19544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDAIISHGRVPSESTFHILTVAYLSAPVQGCLDEVCTIYNRMIQLGGYRPRLSLHNSLFRALVSKPGGLSKHYLKQAEFIYHQLVTLELEVHKDIYAGLIWLHSYQDNIDRERITALREEMQCAGIEESRDVLISLMRAFSKEGDVDETERAWLKLIDSGGIAPFQAFVYRIELYAKIGEPMKSLKIFKGMKEKGISINVAVYNKIIEVMSEVPEVEIVEELVDELINSGMKPLTSAFLDLLKMYLNLGVHDKLEVSFANCLERCRPNRSIYYIYLKSLVGNGNLEKAEEIFYEMHTNPAIGIHAQSCNTILGAYLSSGDFVKAEKIYDLMCQKKYDIEPQYMEKLDYILSLKRKVIKRPVSMKLDPEQREILMGLLIGGLRIQSDEEWRNHAIYFEFNGNSNVHSLLKIHIHERFYEWLKSSNESANRDNDIPDRFSTIAHSYFGFFADQFWLKGRPVIPKLIHRWLSPRVLAYWYMYGGFRTSSGDILLKLKGGNQEDLKRIAKVFQAKSLTCKVKRKGRVFWIGFQGDNAVWFWKLTEPYILENVREFLTPESDSMRNEPKGDLFTDFDSESDNDELPSL >DRNTG_01068.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8231145:8232420:-1 gene:DRNTG_01068 transcript:DRNTG_01068.1 gene_biotype:protein_coding transcript_biotype:protein_coding RYAGLTERFELFICGREIGNAFSELTDPVDQVDNSNFTFLWSFIKDLI >DRNTG_09401.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000399.1:49743:50572:-1 gene:DRNTG_09401 transcript:DRNTG_09401.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGDMERAHGNGVNRITTESRRGEDGDDVSEAECSGNMD >DRNTG_09401.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000399.1:49743:56267:-1 gene:DRNTG_09401 transcript:DRNTG_09401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGDMERAHGNGVNRITTESRRGEDGDDVSEAECSGNMD >DRNTG_09401.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000399.1:49743:53937:-1 gene:DRNTG_09401 transcript:DRNTG_09401.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGDMERAHGNGVNRITTESRRGEDGDDVSEAECSGNMD >DRNTG_30219.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:103583:109181:-1 gene:DRNTG_30219 transcript:DRNTG_30219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVDNRNSSAGKRARTDGGRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPADHNSKSALKPMQVHLPYTSAGGYMGSIPPTSMYLGGPPYGSSLFSGSPLPPYDMPYSSGSAYPYDYSSRLSVSSPYGSIHMSGPPYSSGPMLGTGGMYGMPPPLMERYGLGLPMGHGPLGVRPGAFPEESSQKKIADSGRDNDWTCPNCGNNNFSFRTVCNMRKCSTPRPGSQGSKADNSKGSKPNMPEGSWKCEKCNNINFPFRMKCNRQNCGAQRPHDSNATHGLTSDEDDQ >DRNTG_20680.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6947552:6951380:-1 gene:DRNTG_20680 transcript:DRNTG_20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESPERESSRSAGLPRDNCHDDPEEGHTPLNPRVIARLTNS >DRNTG_03996.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25764948:25768974:-1 gene:DRNTG_03996 transcript:DRNTG_03996.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKSFFSGSHRSPSFFRSVILLASLSLIAVIIISFSSSSSRCPPLLPNTDHNPNPNPSPPIYSFVESLDKFLASRRQATDRTDSVASVEDLDNSIWSREMERLQGSRSSDLWPGISSVIRVYVYEMPSKFTYDLLSLFRNTYRETANLTSNGSPVHRLIKQHSIDYWLWADLIAPESQRLLKNVIRVHRQEEADFFYIPFFTTISYFLLEKQQCKALYREALKWVTDQPAWQRSGGRDHILPVHHPWSFKSVRRFMKKAIWLLPDMDSTGNWYKPGEVWLEKDLILPYVANLDACDAKCVSENQPRRKTLLFFRGRLKRNAGGKIRSKLVQVLHDAEGVVIEEGSIGIAGKEVAQHGMLSSIFCLSPAGDTPSSARLFDAIVSGCIPVIVSDELELPFEGILDYRKIALFVSSTDAVQPGWLVNFLRSIGSKQIKSMQDNLLKYSRHFLYSSPALPLGPEDLTWRMIAGKLLNIKLHIRRSQHLVEGSRNICTCECRHSNTTRAS >DRNTG_03996.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25764948:25768974:-1 gene:DRNTG_03996 transcript:DRNTG_03996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGFTDKKRRISSIFHFSQPLATSCWRNNNARHFTGCASGSKEALKWVTDQPAWQRSGGRDHILPVHHPWSFKSVRRFMKKAIWLLPDMDSTGNWYKPGEVWLEKDLILPYVANLDACDAKCVSENQPRRKTLLFFRGRLKRNAGGKIRSKLVQVLHDAEGVVIEEGSIGIAGKEVAQHGMLSSIFCLSPAGDTPSSARLFDAIVSGCIPVIVSDELELPFEGILDYRKIALFVSSTDAVQPGWLVNFLRSIGSKQIKSMQDNLLKYSRHFLYSSPALPLGPEDLTWRMIAGKLLNIKLHIRRSQHLVEGSRNICTCECRHSNTTRAS >DRNTG_17325.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31975696:31979419:1 gene:DRNTG_17325 transcript:DRNTG_17325.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SEH1 [Source:Projected from Arabidopsis thaliana (AT1G64350) UniProtKB/Swiss-Prot;Acc:Q93VR9] MERVVATLEKGAQCCGWSHGGRRLAAGYADGAVSVHDSADPSARYSSRWMAHSCGVVNVVWVPPEFGEAIACICADGTLSLWEEIGQDAQAVSWKLCKLFEGTGTQVVDVQFGVSLTTLKLVAAYSDGYVKVYESLDPLELNKWQLQAEFQNVIDSVSRFGKPSCMSASIAWSPRRGESQQSSFVLGYNSDLSQFNSPKVWEFEEAHQRWVPVAELALPGDKGDRVHAVAWAPNIGRPYEVVAVATCKGIAIWHVGLNPESDGRLSAEKVALLAGHDGEVWQLDWDMSGMTLASTGGDGMVRLWQSNLNGVWHEQAALECNAVQH >DRNTG_20842.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7449338:7454653:1 gene:DRNTG_20842 transcript:DRNTG_20842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGHLAVSFTGSMRLHGCPHGHSSRRRSTTQDITKREASFGGEDWVKIHLFHRSSSIQVSSS >DRNTG_30758.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28684983:28687128:1 gene:DRNTG_30758 transcript:DRNTG_30758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFLCSSNAFPFLSLHSDSERSFTSRTLRNVSLFSMAPHQPFSGLVFEPFEELKHELFLIPSMPDQSIARQRFSDDCEAALNQQINVEYNVSYAYHAMFAYFDRDNVALRGFAKFFKESSEAEREHAEKLIEYQNKRGGRVNLNSILMPLSEFNHYEKGDALNAMELALSLEKLTNEKLLNLHDIAVKCNDVHMKNYIENEFLGEQVEAIKKISEYIAQLRLVGNGHGVWHFDQMLLNG >DRNTG_30758.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28684983:28686640:1 gene:DRNTG_30758 transcript:DRNTG_30758.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFLCSSNAFPFLSLHSDSERSFTSRTLRNVSLFSMAPHQPFSGLVFEPFEELKHELFLIPSMPDQSIARQRFSDDCEAALNQQINVEYNVSYAYHAMFAYFDRDNVALRGFAKFFKESSEAEREHAEKLIEYQNKRGGRVNLNSILMPLSEFNHYEKGDALNAMELALSLEKLTNEKLLNLHDIAVKCNDVHMKNYIENEFLGEQ >DRNTG_07903.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2525209:2535101:1 gene:DRNTG_07903 transcript:DRNTG_07903.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMYIILLFLRISIYISPTVLAKKPLNNARVTYIVHVQREPNMASFSNKEEREQWHKSFLPTTTTAGSGQPRIIYSYNNIISGFAARLTREELEAVKNKNGFIHAIPDRLLHVATTHSPGFLGLDTSSTGFWASSNYGKGVIIGVLDTGVTPDHPSFSDQGIPSPPAKWKGVCGFTSATCNNKLIGARTFLEGMNAVQPTDTAQEPYDNNGHGTHTASTAAGMFVENANINGLATGTAAGMAPHAHLAIYKVCHDHGTCANSDVLAGIDQAVQDGVDIISASLSGGSANFYEDNNAIGSFSAIEKGVFVSFAAGNDGPAYKTLSNEAPWIITVGASTMDRYLTSTVVLGNGDAIEGQTAYQPQSFKPIPLPLIYPGFTLSTAATCTNGSLDTINVKGMMVVCDEGDNDLAEKGSNVQNAGGVAMIIANFKGDGYTTTASPHVLPAAHISYNDGVSIKAYISTASAPTATILFNGTLLGVTPSPMMASFSSRGPSKADPFTLKPDIIAPGVNILAAWPFSPGPTPSIGANFNLDSGTSMATPHISGIAALLKAVHPNWSPAAIKSAMMTSADITGNDGNPIADYTLEVADYFGTGAGHVNPTKASVPGFVYDLDPASYIPYLCGLGYTDANVTVVVGSSVTCADVTPITGSELNYPSFMVFLTLSNSYTVTVNRTVTNVGDATSTYSVKVIEPRGSSVTVNPETITFSGENQQSQYSVTFSNSVGGTRMAAYSRGFLIWVSSDGNTTVRSPI >DRNTG_07903.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2514509:2527672:1 gene:DRNTG_07903 transcript:DRNTG_07903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVQPTDTAQEPYDNNGHGTHTASTAAGMFVKNANINGLANGTAAGMAPYAHLAIYKVCHDNGTCANSDVLAGIDQAVQDGVDIISASLGGGSANFYEDNNAIGSFGAIEKGVFVSFAAGNDGPAYKTLSNEAPWIITVGASTMDRYLISTVVLGSGDAINGQTAYQPQSFEPTPLPLIYPGFTLSTAATCTNGSLDTINVKGMMVVCDEGGNDLVEKGSIVKNAGGVAMIIANYKEDGYTTTASPHVLPAAHISYNDGVSIKAYISTASAPTATILFNGTLLGVTPSPMMASFSSRGPSKADPFTLKPDIIAPGVNILAAWPISPGPTPSIGANFNLDSGTSMATPHISGIAALLKAVHPDWSPAAIKSAMMTSADITGNDGNPIADYTLDVADYFSTGAGHVNPTKASVPGFVYDLDPASYIPYLCGLGYTDANVTVVVGSSVTCADVTPITGSELNYPSFMVFLTLSNSYTVTVNRTVTNVGDATSTYSVKVIEPRGSSVTVNPETITFSGENQQSQYSVTFSNSVGGTRMAAYSRGSLIWASSDGNTTVRSPIMVAIV >DRNTG_07903.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2525209:2527672:1 gene:DRNTG_07903 transcript:DRNTG_07903.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMYIILLFLRISIYISPTVLAKKPLNNARVTYIVHVQREPNMASFSNKEEREQWHKSFLPTTTTAGSGQPRIIYSYNNIISGFAARLTREELEAVKNKNGFIHAIPDRLLHVATTHSPGFLGLDTSSTGFWASSNYGKGVIIGVLDTGVTPDHPSFSDQGIPSPPAKWKGVCGFTSATCNNKLIGARTFLEGMNAVQPTDTAQEPYDNNGHGTHTASTAAGMFVENANINGLATGTAAGMAPHAHLAIYKVCHDHGTCANSDVLAGIDQAVQDGVDIISASLSGGSANFYEDNNAIGSFSAIEKGVFVSFAAGNDGPAYKTLSNEAPWIITVGASTMDRYLTSTVVLGNGDAIEGQTAYQPQSFKPIPLPLIYPGFTLSTAATCTNGSLDTINVKGMMVVCDEGDNDLAEKGSNVQNAGGVAMIIANFKGDGYTTTASPHVLPAAHISYNDGVSIKAYISTASAPTATILFNGTLLGVTPSPMMASFSSRGPSKADPFTLKPDIIAPGVNILAAWPFSPGPTPSIGANFNLDSGTSMATPHISGIAALLKAVHPNWSPAAIKSAMMTSADITGNDGNPIADYTLEVADYFGTGAGHVNPTKASVPGFVYDLDPASYIPYLCGLGYTDANVTVVVGSSVTCADVTPITGSELNYPSFMVFLTLSNSYTVTVNRTVTNVGDATSTYSVKVIEPRGSSVTVNPETITFSGENQQSQYSVTFSNSVGGTRMAAYSRGSLIWASSDGNTTVRSPIMVAIV >DRNTG_22277.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:878654:882896:1 gene:DRNTG_22277 transcript:DRNTG_22277.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRRRGVLAKTLASSLSLLLLLTVFSFFFTAAEDYSGTERSALLQFKASVSSDPSGVLSLWSGFDHCSWPGVGCDSGSRVVSLNISAKAVSCPQTASFRRRCSDPRLKLAGKLSPEIGRLSSLKVLVLSFHNFDGEIPVEIWGLDALEVVDLEGNSLSGSLPSRFPPALRVLKLGSNLIRGEVPRSLSKCIYLKILDLSNNRINGSVPNFLNGFPGLRELYLFDNQFGGEIPNEPQTGCWNLEHLDFSGNLITGGIPTNIGNCTKLRSLLLFSNLLDGVIPSEIGNLGKLETLDVSRNCLSSPVPPELGNCKSLSVLVLLNLFNPNPGREDPRLVDEFNNFQGEIPENVTSLPNLRILWAPRAMLEGHIPSNWGACESLEMVNLGQGFISGGTLSAVFTQCSNLKFLNLSSNNLTGWVDEKLQVPCMDLFDVSGNQLSGYIPTFADKQCSSLQLSLDDSPSAYFSFFAYRTRQQIASLPLLLDSDFAVYHNFGQNNFTGILSSLPVATNRFGGQAVYAFLADGNKIHGALTDSLFEKCKGLNGLIANFSNNMISGDISAYIGSTCGPLVALDLAGNRITGTIPQSLSLLDRLVALDLSRNSLHGPIPESLGQLKHLEFLSLAGNHLNGSIPAVLDQLHSLKVLDLSSNLFMGDIPSGISDLRNLTVLLLDRNRLSGKIPSVLANFTLRGVFNASFNNLSGPLPFNASTGICGSLLGNPLIQPCPVVSFSIPPSDLQGRTGNYQSYAYPPPASASSESSNSGFSSIEIASITSASAIVSVLIALIVLYVYTRKCAPRSSPQTLGRREVTIFTDIGVPLTYETVVRATGNFNTSNCIGSGGFGATYKAEIAPGVLVAIKRLSIGRFQGAQQFHAEIKTLGRWRHPNLVTLIGYHVNEAEMFLIYNYLPGGNLERFIHERYKRPVDWRMLHKIALDVARALAYLHDHCVPRILHRDVKPSNILLDNDFNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVAWACMLLRQGRSHEFFAEGLWDVGPHDDLVEVLHLGVMCTVDSLSIRPTMKHVVQRLKQLQPPTC >DRNTG_22277.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:878176:882896:1 gene:DRNTG_22277 transcript:DRNTG_22277.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRRRGVLAKTLASSLSLLLLLTVFSFFFTAAEDYSGTERSALLQFKASVSSDPSGVLSLWSGFDHCSWPGVGCDSGSRVVSLNISAKAVSCPQTASFRRRCSDPRLKLAGKLSPEIGRLSSLKVLVLSFHNFDGEIPVEIWGLDALEVVDLEGNSLSGSLPSRFPPALRVLKLGSNLIRGEVPRSLSKCIYLKILDLSNNRINGSVPNFLNGFPGLRELYLFDNQFGGEIPNEPQTGCWNLEHLDFSGNLITGGIPTNIGNCTKLRSLLLFSNLLDGVIPSEIGNLGKLETLDVSRNCLSSPVPPELGNCKSLSVLVLLNLFNPNPGREDPRLVDEFNNFQGEIPENVTSLPNLRILWAPRAMLEGHIPSNWGACESLEMVNLGQGFISGGTLSAVFTQCSNLKFLNLSSNNLTGWVDEKLQVPCMDLFDVSGNQLSGYIPTFADKQCSSLQLSLDDSPSAYFSFFAYRTRQQIASLPLLLDSDFAVYHNFGQNNFTGILSSLPVATNRFGGQAVYAFLADGNKIHGALTDSLFEKCKGLNGLIANFSNNMISGDISAYIGSTCGPLVALDLAGNRITGTIPQSLSLLDRLVALDLSRNSLHGPIPESLGQLKHLEFLSLAGNHLNGSIPAVLDQLHSLKVLDLSSNLFMGDIPSGISDLRNLTVLLLDRNRLSGKIPSVLANFTLRGVFNASFNNLSGPLPFNASTGICGSLLGNPLIQPCPVVSFSIPPSDLQGRTGNYQSYAYPPPASASSESSNSGFSSIEIASITSASAIVSVLIALIVLYVYTRKCAPRSSPQTLGRREVTIFTDIGVPLTYETVVRATGNFNTSNCIGSGGFGATYKAEIAPGVLVAIKRLSIGRFQGAQQFHAEIKTLGRWRHPNLVTLIGYHVNEAEMFLIYNYLPGGNLERFIHERYKRPVDWRMLHKIALDVARALAYLHDHCVPRILHRDVKPSNILLDNDFNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVAWACMLLRQGRSHEFFAEGLWDVGPHDDLVEVLHLGVMCTVDSLSIRPTMKHVVQRLKQLQPPTC >DRNTG_22277.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:878176:882378:1 gene:DRNTG_22277 transcript:DRNTG_22277.8 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRRRGVLAKTLASSLSLLLLLTVFSFFFTAAEDYSGTERSALLQFKASVSSDPSGVLSLWSGFDHCSWPGVGCDSGSRVVSLNISAKAVSCPQTASFRRRCSDPRLKLAGKLSPEIGRLSSLKVLVLSFHNFDGEIPVEIWGLDALEVVDLEGNSLSGSLPSRFPPALRVLKLGSNLIRGEVPRSLSKCIYLKILDLSNNRINGSVPNFLNGFPGLRELYLFDNQFGGEIPNEPQTGCWNLEHLDFSGNLITGGIPTNIGNCTKLRSLLLFSNLLDGVIPSEIGNLGKLETLDVSRNCLSSPVPPELGNCKSLSVLVLLNLFNPNPGREDPRLVDEFNNFQGEIPENVTSLPNLRILWAPRAMLEGHIPSNWGACESLEMVNLGQGFISGGTLSAVFTQCSNLKFLNLSSNNLTGWVDEKLQVPCMDLFDVSGNQLSGYIPTFADKQCSSLQLSLDDSPSAYFSFFAYRTRQQIASLPLLLDSDFAVYHNFGQNNFTGILSSLPVATNRFGGQAVYAFLADGNKIHGALTDSLFEKCKGLNGLIANFSNNMISGDISAYIGSTCGPLVALDLAGNRITGTIPQSLSLLDRLVALDLSRNSLHGPIPESLGQLKHLEFLSLAGNHLNGSIPAVLDQLHSLKVLDLSSNLFMGDIPSGISDLRNLTVLLLDRNRLSGKIPSVLANFTLRGVFNASFNNLSGPLPFNASTGICGSLLGNPLIQPCPVVSFSIPPSDLQGRTGNYQSYAYPPPASASSESSNSGFSSIEIASITSASAIVSVLIALIVLYVYTRKCAPRSSPQTLGRREVTIFTDIGVPLTYETVVRATGNFNTSNCIGSGGFGATYKAEIAPGVLVAIKRLSIGRFQGAQQFHAEIKTLGRWRHPNLVTLIGYHVNEAEMFLIYNYLPGGNLERFIHERYKRPVDWRMLHKIALDVARALAYLHDHCVPRILHRDVKPSNILLDNDFNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVAWACMLLRQGRSHEFFAEGLWDVGPHDDLVEVLHLGVMCTVDSLSIRPTMKHVVQRLKQLQPPTC >DRNTG_22277.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:878654:884341:1 gene:DRNTG_22277 transcript:DRNTG_22277.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRRRGVLAKTLASSLSLLLLLTVFSFFFTAAEDYSGTERSALLQFKASVSSDPSGVLSLWSGFDHCSWPGVGCDSGSRVVSLNISAKAVSCPQTASFRRRCSDPRLKLAGKLSPEIGRLSSLKVLVLSFHNFDGEIPVEIWGLDALEVVDLEGNSLSGSLPSRFPPALRVLKLGSNLIRGEVPRSLSKCIYLKILDLSNNRINGSVPNFLNGFPGLRELYLFDNQFGGEIPNEPQTGCWNLEHLDFSGNLITGGIPTNIGNCTKLRSLLLFSNLLDGVIPSEIGNLGKLETLDVSRNCLSSPVPPELGNCKSLSVLVLLNLFNPNPGREDPRLVDEFNNFQGEIPENVTSLPNLRILWAPRAMLEGHIPSNWGACESLEMVNLGQGFISGGTLSAVFTQCSNLKFLNLSSNNLTGWVDEKLQVPCMDLFDVSGNQLSGYIPTFADKQCSSLQLSLDDSPSAYFSFFAYRTRQQIASLPLLLDSDFAVYHNFGQNNFTGILSSLPVATNRFGGQAVYAFLADGNKIHGALTDSLFEKCKGLNGLIANFSNNMISGDISAYIGSTCGPLVALDLAGNRITGTIPQSLSLLDRLVALDLSRNSLHGPIPESLGQLKHLEFLSLAGNHLNGSIPAVLDQLHSLKVLDLSSNLFMGDIPSGISDLRNLTVLLLDRNRLSGKIPSVLANFTLRGVFNASFNNLSGPLPFNASTGICGSLLGNPLIQPCPVVSFSIPPSDLQGRTGNYQSYAYPPPASASSESSNSGFSSIEIASITSASAIVSVLIALIVLYVYTRKCAPRSSPQTLGRREVTIFTDIGVPLTYETVVRATGNFNTSNCIGSGGFGATYKAEIAPGVLVAIKRLSIGRFQGAQQFHAEIKTLGRWRHPNLVTLIGYHVNEAEMFLIYNYLPGGNLERFIHERYKRPVDWRMLHKIALDVARALAYLHDHCVPRILHRDVKPSNILLDNDFNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVAWACMLLRQGRSHEFFAEGLWDVGPHDDLVEVLHLGVMCTVDSLSIRPTMKHVVQRLKQLQPPTC >DRNTG_22277.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:878176:882426:1 gene:DRNTG_22277 transcript:DRNTG_22277.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRRRGVLAKTLASSLSLLLLLTVFSFFFTAAEDYSGTERSALLQFKASVSSDPSGVLSLWSGFDHCSWPGVGCDSGSRVVSLNISAKAVSCPQTASFRRRCSDPRLKLAGKLSPEIGRLSSLKVLVLSFHNFDGEIPVEIWGLDALEVVDLEGNSLSGSLPSRFPPALRVLKLGSNLIRGEVPRSLSKCIYLKILDLSNNRINGSVPNFLNGFPGLRELYLFDNQFGGEIPNEPQTGCWNLEHLDFSGNLITGGIPTNIGNCTKLRSLLLFSNLLDGVIPSEIGNLGKLETLDVSRNCLSSPVPPELGNCKSLSVLVLLNLFNPNPGREDPRLVDEFNNFQGEIPENVTSLPNLRILWAPRAMLEGHIPSNWGACESLEMVNLGQGFISGGTLSAVFTQCSNLKFLNLSSNNLTGWVDEKLQVPCMDLFDVSGNQLSGYIPTFADKQCSSLQLSLDDSPSAYFSFFAYRTRQQIASLPLLLDSDFAVYHNFGQNNFTGILSSLPVATNRFGGQAVYAFLADGNKIHGALTDSLFEKCKGLNGLIANFSNNMISGDISAYIGSTCGPLVALDLAGNRITGTIPQSLSLLDRLVALDLSRNSLHGPIPESLGQLKHLEFLSLAGNHLNGSIPAVLDQLHSLKVLDLSSNLFMGDIPSGISDLRNLTVLLLDRNRLSGKIPSVLANFTLRGVFNASFNNLSGPLPFNASTGICGSLLGNPLIQPCPVVSFSIPPSDLQGRTGNYQSYAYPPPASASSESSNSGFSSIEIASITSASAIVSVLIALIVLYVYTRKCAPRSSPQTLGRREVTIFTDIGVPLTYETVVRATGNFNTSNCIGSGGFGATYKAEIAPGVLVAIKRLSIGRFQGAQQFHAEIKTLGRWRHPNLVTLIGYHVNEAEMFLIYNYLPGGNLERFIHERYKRPVDWRMLHKIALDVARALAYLHDHCVPRILHRDVKPSNILLDNDFNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVAWACMLLRQGRSHEFFAEGLWDVGPHDDLVEVLHLGVMCTVDSLSIRPTMKHVVQRLKQLQPPTC >DRNTG_22277.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:878176:882497:1 gene:DRNTG_22277 transcript:DRNTG_22277.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRRRGVLAKTLASSLSLLLLLTVFSFFFTAAEDYSGTERSALLQFKASVSSDPSGVLSLWSGFDHCSWPGVGCDSGSRVVSLNISAKAVSCPQTASFRRRCSDPRLKLAGKLSPEIGRLSSLKVLVLSFHNFDGEIPVEIWGLDALEVVDLEGNSLSGSLPSRFPPALRVLKLGSNLIRGEVPRSLSKCIYLKILDLSNNRINGSVPNFLNGFPGLRELYLFDNQFGGEIPNEPQTGCWNLEHLDFSGNLITGGIPTNIGNCTKLRSLLLFSNLLDGVIPSEIGNLGKLETLDVSRNCLSSPVPPELGNCKSLSVLVLLNLFNPNPGREDPRLVDEFNNFQGEIPENVTSLPNLRILWAPRAMLEGHIPSNWGACESLEMVNLGQGFISGGTLSAVFTQCSNLKFLNLSSNNLTGWVDEKLQVPCMDLFDVSGNQLSGYIPTFADKQCSSLQLSLDDSPSAYFSFFAYRTRQQIASLPLLLDSDFAVYHNFGQNNFTGILSSLPVATNRFGGQAVYAFLADGNKIHGALTDSLFEKCKGLNGLIANFSNNMISGDISAYIGSTCGPLVALDLAGNRITGTIPQSLSLLDRLVALDLSRNSLHGPIPESLGQLKHLEFLSLAGNHLNGSIPAVLDQLHSLKVLDLSSNLFMGDIPSGISDLRNLTVLLLDRNRLSGKIPSVLANFTLRGVFNASFNNLSGPLPFNASTGICGSLLGNPLIQPCPVVSFSIPPSDLQGRTGNYQSYAYPPPASASSESSNSGFSSIEIASITSASAIVSVLIALIVLYVYTRKCAPRSSPQTLGRREVTIFTDIGVPLTYETVVRATGNFNTSNCIGSGGFGATYKAEIAPGVLVAIKRLSIGRFQGAQQFHAEIKTLGRWRHPNLVTLIGYHVNEAEMFLIYNYLPGGNLERFIHERYKRPVDWRMLHKIALDVARALAYLHDHCVPRILHRDVKPSNILLDNDFNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVAWACMLLRQGRSHEFFAEGLWDVGPHDDLVEVLHLGVMCTVDSLSIRPTMKHVVQRLKQLQPPTC >DRNTG_22277.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:878654:883931:1 gene:DRNTG_22277 transcript:DRNTG_22277.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRRRGVLAKTLASSLSLLLLLTVFSFFFTAAEDYSGTERSALLQFKASVSSDPSGVLSLWSGFDHCSWPGVGCDSGSRVVSLNISAKAVSCPQTASFRRRCSDPRLKLAGKLSPEIGRLSSLKVLVLSFHNFDGEIPVEIWGLDALEVVDLEGNSLSGSLPSRFPPALRVLKLGSNLIRGEVPRSLSKCIYLKILDLSNNRINGSVPNFLNGFPGLRELYLFDNQFGGEIPNEPQTGCWNLEHLDFSGNLITGGIPTNIGNCTKLRSLLLFSNLLDGVIPSEIGNLGKLETLDVSRNCLSSPVPPELGNCKSLSVLVLLNLFNPNPGREDPRLVDEFNNFQGEIPENVTSLPNLRILWAPRAMLEGHIPSNWGACESLEMVNLGQGFISGGTLSAVFTQCSNLKFLNLSSNNLTGWVDEKLQVPCMDLFDVSGNQLSGYIPTFADKQCSSLQLSLDDSPSAYFSFFAYRTRQQIASLPLLLDSDFAVYHNFGQNNFTGILSSLPVATNRFGGQAVYAFLADGNKIHGALTDSLFEKCKGLNGLIANFSNNMISGDISAYIGSTCGPLVALDLAGNRITGTIPQSLSLLDRLVALDLSRNSLHGPIPESLGQLKHLEFLSLAGNHLNGSIPAVLDQLHSLKVLDLSSNLFMGDIPSGISDLRNLTVLLLDRNRLSGKIPSVLANFTLRGVFNASFNNLSGPLPFNASTGICGSLLGNPLIQPCPVVSFSIPPSDLQGRTGNYQSYAYPPPASASSESSNSGFSSIEIASITSASAIVSVLIALIVLYVYTRKCAPRSSPQTLGRREVTIFTDIGVPLTYETVVRATGNFNTSNCIGSGGFGATYKAEIAPGVLVAIKRLSIGRFQGAQQFHAEIKTLGRWRHPNLVTLIGYHVNEAEMFLIYNYLPGGNLERFIHERYKRPVDWRMLHKIALDVARALAYLHDHCVPRILHRDVKPSNILLDNDFNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVAWACMLLRQGRSHEFFAEGLWDVGPHDDLVEVLHLGVMCTVDSLSIRPTMKHVVQRLKQLQPPTC >DRNTG_22277.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:878176:883931:1 gene:DRNTG_22277 transcript:DRNTG_22277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRRRGVLAKTLASSLSLLLLLTVFSFFFTAAEDYSGTERSALLQFKASVSSDPSGVLSLWSGFDHCSWPGVGCDSGSRVVSLNISAKAVSCPQTASFRRRCSDPRLKLAGKLSPEIGRLSSLKVLVLSFHNFDGEIPVEIWGLDALEVVDLEGNSLSGSLPSRFPPALRVLKLGSNLIRGEVPRSLSKCIYLKILDLSNNRINGSVPNFLNGFPGLRELYLFDNQFGGEIPNEPQTGCWNLEHLDFSGNLITGGIPTNIGNCTKLRSLLLFSNLLDGVIPSEIGNLGKLETLDVSRNCLSSPVPPELGNCKSLSVLVLLNLFNPNPGREDPRLVDEFNNFQGEIPENVTSLPNLRILWAPRAMLEGHIPSNWGACESLEMVNLGQGFISGGTLSAVFTQCSNLKFLNLSSNNLTGWVDEKLQVPCMDLFDVSGNQLSGYIPTFADKQCSSLQLSLDDSPSAYFSFFAYRTRQQIASLPLLLDSDFAVYHNFGQNNFTGILSSLPVATNRFGGQAVYAFLADGNKIHGALTDSLFEKCKGLNGLIANFSNNMISGDISAYIGSTCGPLVALDLAGNRITGTIPQSLSLLDRLVALDLSRNSLHGPIPESLGQLKHLEFLSLAGNHLNGSIPAVLDQLHSLKVLDLSSNLFMGDIPSGISDLRNLTVLLLDRNRLSGKIPSVLANFTLRGVFNASFNNLSGPLPFNASTGICGSLLGNPLIQPCPVVSFSIPPSDLQGRTGNYQSYAYPPPASASSESSNSGFSSIEIASITSASAIVSVLIALIVLYVYTRKCAPRSSPQTLGRREVTIFTDIGVPLTYETVVRATGNFNTSNCIGSGGFGATYKAEIAPGVLVAIKRLSIGRFQGAQQFHAEIKTLGRWRHPNLVTLIGYHVNEAEMFLIYNYLPGGNLERFIHERYKRPVDWRMLHKIALDVARALAYLHDHCVPRILHRDVKPSNILLDNDFNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVAWACMLLRQGRSHEFFAEGLWDVGPHDDLVEVLHLGVMCTVDSLSIRPTMKHVVQRLKQLQPPTC >DRNTG_22277.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:878654:882378:1 gene:DRNTG_22277 transcript:DRNTG_22277.12 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRRRGVLAKTLASSLSLLLLLTVFSFFFTAAEDYSGTERSALLQFKASVSSDPSGVLSLWSGFDHCSWPGVGCDSGSRVVSLNISAKAVSCPQTASFRRRCSDPRLKLAGKLSPEIGRLSSLKVLVLSFHNFDGEIPVEIWGLDALEVVDLEGNSLSGSLPSRFPPALRVLKLGSNLIRGEVPRSLSKCIYLKILDLSNNRINGSVPNFLNGFPGLRELYLFDNQFGGEIPNEPQTGCWNLEHLDFSGNLITGGIPTNIGNCTKLRSLLLFSNLLDGVIPSEIGNLGKLETLDVSRNCLSSPVPPELGNCKSLSVLVLLNLFNPNPGREDPRLVDEFNNFQGEIPENVTSLPNLRILWAPRAMLEGHIPSNWGACESLEMVNLGQGFISGGTLSAVFTQCSNLKFLNLSSNNLTGWVDEKLQVPCMDLFDVSGNQLSGYIPTFADKQCSSLQLSLDDSPSAYFSFFAYRTRQQIASLPLLLDSDFAVYHNFGQNNFTGILSSLPVATNRFGGQAVYAFLADGNKIHGALTDSLFEKCKGLNGLIANFSNNMISGDISAYIGSTCGPLVALDLAGNRITGTIPQSLSLLDRLVALDLSRNSLHGPIPESLGQLKHLEFLSLAGNHLNGSIPAVLDQLHSLKVLDLSSNLFMGDIPSGISDLRNLTVLLLDRNRLSGKIPSVLANFTLRGVFNASFNNLSGPLPFNASTGICGSLLGNPLIQPCPVVSFSIPPSDLQGRTGNYQSYAYPPPASASSESSNSGFSSIEIASITSASAIVSVLIALIVLYVYTRKCAPRSSPQTLGRREVTIFTDIGVPLTYETVVRATGNFNTSNCIGSGGFGATYKAEIAPGVLVAIKRLSIGRFQGAQQFHAEIKTLGRWRHPNLVTLIGYHVNEAEMFLIYNYLPGGNLERFIHERYKRPVDWRMLHKIALDVARALAYLHDHCVPRILHRDVKPSNILLDNDFNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVAWACMLLRQGRSHEFFAEGLWDVGPHDDLVEVLHLGVMCTVDSLSIRPTMKHVVQRLKQLQPPTC >DRNTG_22277.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:878654:882497:1 gene:DRNTG_22277 transcript:DRNTG_22277.10 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRRRGVLAKTLASSLSLLLLLTVFSFFFTAAEDYSGTERSALLQFKASVSSDPSGVLSLWSGFDHCSWPGVGCDSGSRVVSLNISAKAVSCPQTASFRRRCSDPRLKLAGKLSPEIGRLSSLKVLVLSFHNFDGEIPVEIWGLDALEVVDLEGNSLSGSLPSRFPPALRVLKLGSNLIRGEVPRSLSKCIYLKILDLSNNRINGSVPNFLNGFPGLRELYLFDNQFGGEIPNEPQTGCWNLEHLDFSGNLITGGIPTNIGNCTKLRSLLLFSNLLDGVIPSEIGNLGKLETLDVSRNCLSSPVPPELGNCKSLSVLVLLNLFNPNPGREDPRLVDEFNNFQGEIPENVTSLPNLRILWAPRAMLEGHIPSNWGACESLEMVNLGQGFISGGTLSAVFTQCSNLKFLNLSSNNLTGWVDEKLQVPCMDLFDVSGNQLSGYIPTFADKQCSSLQLSLDDSPSAYFSFFAYRTRQQIASLPLLLDSDFAVYHNFGQNNFTGILSSLPVATNRFGGQAVYAFLADGNKIHGALTDSLFEKCKGLNGLIANFSNNMISGDISAYIGSTCGPLVALDLAGNRITGTIPQSLSLLDRLVALDLSRNSLHGPIPESLGQLKHLEFLSLAGNHLNGSIPAVLDQLHSLKVLDLSSNLFMGDIPSGISDLRNLTVLLLDRNRLSGKIPSVLANFTLRGVFNASFNNLSGPLPFNASTGICGSLLGNPLIQPCPVVSFSIPPSDLQGRTGNYQSYAYPPPASASSESSNSGFSSIEIASITSASAIVSVLIALIVLYVYTRKCAPRSSPQTLGRREVTIFTDIGVPLTYETVVRATGNFNTSNCIGSGGFGATYKAEIAPGVLVAIKRLSIGRFQGAQQFHAEIKTLGRWRHPNLVTLIGYHVNEAEMFLIYNYLPGGNLERFIHERYKRPVDWRMLHKIALDVARALAYLHDHCVPRILHRDVKPSNILLDNDFNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVAWACMLLRQGRSHEFFAEGLWDVGPHDDLVEVLHLGVMCTVDSLSIRPTMKHVVQRLKQLQPPTC >DRNTG_22277.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:878176:882292:1 gene:DRNTG_22277 transcript:DRNTG_22277.9 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRRRGVLAKTLASSLSLLLLLTVFSFFFTAAEDYSGTERSALLQFKASVSSDPSGVLSLWSGFDHCSWPGVGCDSGSRVVSLNISAKAVSCPQTASFRRRCSDPRLKLAGKLSPEIGRLSSLKVLVLSFHNFDGEIPVEIWGLDALEVVDLEGNSLSGSLPSRFPPALRVLKLGSNLIRGEVPRSLSKCIYLKILDLSNNRINGSVPNFLNGFPGLRELYLFDNQFGGEIPNEPQTGCWNLEHLDFSGNLITGGIPTNIGNCTKLRSLLLFSNLLDGVIPSEIGNLGKLETLDVSRNCLSSPVPPELGNCKSLSVLVLLNLFNPNPGREDPRLVDEFNNFQGEIPENVTSLPNLRILWAPRAMLEGHIPSNWGACESLEMVNLGQGFISGGTLSAVFTQCSNLKFLNLSSNNLTGWVDEKLQVPCMDLFDVSGNQLSGYIPTFADKQCSSLQLSLDDSPSAYFSFFAYRTRQQIASLPLLLDSDFAVYHNFGQNNFTGILSSLPVATNRFGGQAVYAFLADGNKIHGALTDSLFEKCKGLNGLIANFSNNMISGDISAYIGSTCGPLVALDLAGNRITGTIPQSLSLLDRLVALDLSRNSLHGPIPESLGQLKHLEFLSLAGNHLNGSIPAVLDQLHSLKVLDLSSNLFMGDIPSGISDLRNLTVLLLDRNRLSGKIPSVLANFTLRGVFNASFNNLSGPLPFNASTGICGSLLGNPLIQPCPVVSFSIPPSDLQGRTGNYQSYAYPPPASASSESSNSGFSSIEIASITSASAIVSVLIALIVLYVYTRKCAPRSSPQTLGRREVTIFTDIGVPLTYETVVRATGNFNTSNCIGSGGFGATYKAEIAPGVLVAIKRLSIGRFQGAQQFHAEIKTLGRWRHPNLVTLIGYHVNEAEMFLIYNYLPGGNLERFIHERYKRPVDWRMLHKIALDVARALAYLHDHCVPRILHRDVKPSNILLDNDFNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVAWACMLLRQGRSHEFFAEGLWDVGPHDDLVEVLHLGVMCTVDSLSIRPTMKHVVQRLKQLQPPTC >DRNTG_22277.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:878654:882292:1 gene:DRNTG_22277 transcript:DRNTG_22277.13 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRRRGVLAKTLASSLSLLLLLTVFSFFFTAAEDYSGTERSALLQFKASVSSDPSGVLSLWSGFDHCSWPGVGCDSGSRVVSLNISAKAVSCPQTASFRRRCSDPRLKLAGKLSPEIGRLSSLKVLVLSFHNFDGEIPVEIWGLDALEVVDLEGNSLSGSLPSRFPPALRVLKLGSNLIRGEVPRSLSKCIYLKILDLSNNRINGSVPNFLNGFPGLRELYLFDNQFGGEIPNEPQTGCWNLEHLDFSGNLITGGIPTNIGNCTKLRSLLLFSNLLDGVIPSEIGNLGKLETLDVSRNCLSSPVPPELGNCKSLSVLVLLNLFNPNPGREDPRLVDEFNNFQGEIPENVTSLPNLRILWAPRAMLEGHIPSNWGACESLEMVNLGQGFISGGTLSAVFTQCSNLKFLNLSSNNLTGWVDEKLQVPCMDLFDVSGNQLSGYIPTFADKQCSSLQLSLDDSPSAYFSFFAYRTRQQIASLPLLLDSDFAVYHNFGQNNFTGILSSLPVATNRFGGQAVYAFLADGNKIHGALTDSLFEKCKGLNGLIANFSNNMISGDISAYIGSTCGPLVALDLAGNRITGTIPQSLSLLDRLVALDLSRNSLHGPIPESLGQLKHLEFLSLAGNHLNGSIPAVLDQLHSLKVLDLSSNLFMGDIPSGISDLRNLTVLLLDRNRLSGKIPSVLANFTLRGVFNASFNNLSGPLPFNASTGICGSLLGNPLIQPCPVVSFSIPPSDLQGRTGNYQSYAYPPPASASSESSNSGFSSIEIASITSASAIVSVLIALIVLYVYTRKCAPRSSPQTLGRREVTIFTDIGVPLTYETVVRATGNFNTSNCIGSGGFGATYKAEIAPGVLVAIKRLSIGRFQGAQQFHAEIKTLGRWRHPNLVTLIGYHVNEAEMFLIYNYLPGGNLERFIHERYKRPVDWRMLHKIALDVARALAYLHDHCVPRILHRDVKPSNILLDNDFNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVAWACMLLRQGRSHEFFAEGLWDVGPHDDLVEVLHLGVMCTVDSLSIRPTMKHVVQRLKQLQPPTC >DRNTG_22277.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:878654:882426:1 gene:DRNTG_22277 transcript:DRNTG_22277.11 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRRRGVLAKTLASSLSLLLLLTVFSFFFTAAEDYSGTERSALLQFKASVSSDPSGVLSLWSGFDHCSWPGVGCDSGSRVVSLNISAKAVSCPQTASFRRRCSDPRLKLAGKLSPEIGRLSSLKVLVLSFHNFDGEIPVEIWGLDALEVVDLEGNSLSGSLPSRFPPALRVLKLGSNLIRGEVPRSLSKCIYLKILDLSNNRINGSVPNFLNGFPGLRELYLFDNQFGGEIPNEPQTGCWNLEHLDFSGNLITGGIPTNIGNCTKLRSLLLFSNLLDGVIPSEIGNLGKLETLDVSRNCLSSPVPPELGNCKSLSVLVLLNLFNPNPGREDPRLVDEFNNFQGEIPENVTSLPNLRILWAPRAMLEGHIPSNWGACESLEMVNLGQGFISGGTLSAVFTQCSNLKFLNLSSNNLTGWVDEKLQVPCMDLFDVSGNQLSGYIPTFADKQCSSLQLSLDDSPSAYFSFFAYRTRQQIASLPLLLDSDFAVYHNFGQNNFTGILSSLPVATNRFGGQAVYAFLADGNKIHGALTDSLFEKCKGLNGLIANFSNNMISGDISAYIGSTCGPLVALDLAGNRITGTIPQSLSLLDRLVALDLSRNSLHGPIPESLGQLKHLEFLSLAGNHLNGSIPAVLDQLHSLKVLDLSSNLFMGDIPSGISDLRNLTVLLLDRNRLSGKIPSVLANFTLRGVFNASFNNLSGPLPFNASTGICGSLLGNPLIQPCPVVSFSIPPSDLQGRTGNYQSYAYPPPASASSESSNSGFSSIEIASITSASAIVSVLIALIVLYVYTRKCAPRSSPQTLGRREVTIFTDIGVPLTYETVVRATGNFNTSNCIGSGGFGATYKAEIAPGVLVAIKRLSIGRFQGAQQFHAEIKTLGRWRHPNLVTLIGYHVNEAEMFLIYNYLPGGNLERFIHERYKRPVDWRMLHKIALDVARALAYLHDHCVPRILHRDVKPSNILLDNDFNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVAWACMLLRQGRSHEFFAEGLWDVGPHDDLVEVLHLGVMCTVDSLSIRPTMKHVVQRLKQLQPPTC >DRNTG_24068.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26462497:26465298:-1 gene:DRNTG_24068 transcript:DRNTG_24068.8 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSIHILGLRSSRKPLFLFFGFVALFFAFSWFYFFGFAVARAPIVGRSVLPSSKILSVVESRDAAPVTEIAGFENRQCDPERALLKVFMYDLPAEFHFGLLGWSSKGGEVWPDVKEKIPDYPGGLNLQHSIEYWLTLDLLSSAFIDRSGPCTAVRVDDSRQADVIFVPFFSSLSYNRHSKVTPPMKVSTNKMLQDKLVRFLISQEEWKRSGGRDHVVMAHHPNSMLDARMKLWPCVFILSDFGRYPPTIANVEKDVIAPYKHVVSTFVNDSSGFDDRPTLLYFQGAIYRKDGGFIRQELYYLLREEKDVHFSFGSIQANGIKDSTRGMRASKFCLNIAGDTPSSNRLFDAIASHCVPVIISDDIELPYEDILDYSKFCIFVRTSDALKKNFLLKLVREISKEEWTRCGRG >DRNTG_24068.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26462455:26465369:-1 gene:DRNTG_24068 transcript:DRNTG_24068.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSIHILGLRSSRKPLFLFFGFVALFFAFSWFYFFGFAVARAPIVGRSVLPSSKILSVVESRDAAPVTEIAGFENRQCDPERALLKVFMYDLPAEFHFGLLGWSSKGGEVWPDVKEKIPDYPGGLNLQHSIEYWLTLDLLSSAFIDRSGPCTAVRVDDSRQADVIFVPFFSSLSYNRHSKVTPPMKVSTNKMLQDKLVRFLISQEEWKRSGGRDHVVMAHHPNSMLDARMKLWPCVFILSDFGRYPPTIANVEKDVIAPYKHVVSTFVNDSSGFDDRPTLLYFQGAIYRKDGGFIRQELYYLLREEKDVHFSFGSIQANGIKDSTRGMRASKFCLNIAGDTPSSNRLFDAIASHCVPVIISDDIELPYEDILDYSKFCIFVRTSDALKKNFLLKLVREISKEEWTRCGRG >DRNTG_24068.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26462455:26465252:-1 gene:DRNTG_24068 transcript:DRNTG_24068.9 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSIHILGLRSSRKPLFLFFGFVALFFAFSWFYFFGFAVARAPIVGRSVLPSSKILSVVESRDAAPVTEIAGFENRQCDPERALLKVFMYDLPAEFHFGLLGWSSKGGEVWPDVKEKIPDYPGGLNLQHSIEYWLTLDLLSSAFIDRSGPCTAVRVDDSRQADVIFVPFFSSLSYNRHSKVTPPMKVSTNKMLQDKLVRFLISQEEWKRSGGRDHVVMAHHPNSMLDARMKLWPCVFILSDFGRYPPTIANVEKDVIAPYKHVVSTFVNDSSGFDDRPTLLYFQGAIYRKDGGFIRQELYYLLREEKDVHFSFGSIQANGIKDSTRGMRASKFCLNIAGDTPSSNRLFDAIASHCVPVIISDDIELPYEDILDYSKFCIFVRTSDALKKNFLLKLVREISKEEWTRCGRG >DRNTG_24068.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26462329:26465298:-1 gene:DRNTG_24068 transcript:DRNTG_24068.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSIHILGLRSSRKPLFLFFGFVALFFAFSWFYFFGFAVARAPIVGRSVLPSSKILSVVESRDAAPVTEIAGFENRQCDPERALLKVFMYDLPAEFHFGLLGWSSKGGEVWPDVKEKIPDYPGGLNLQHSIEYWLTLDLLSSAFIDRSGPCTAVRVDDSRQADVIFVPFFSSLSYNRHSKVTPPMKVSTNKMLQDKLVRFLISQEEWKRSGGRDHVVMAHHPNSMLDARMKLWPCVFILSDFGRYPPTIANVEKDVIAPYKHVVSTFVNDSSGFDDRPTLLYFQGAIYRKDGGFIRQELYYLLREEKDVHFSFGSIQANGIKDSTRGMRASKFCLNIAGDTPSSNRLFDAIASHCVPVIISDDIELPYEDILDYSKFCIFVRTSDALKKNFLLKLVREISKEEWTRCGRG >DRNTG_24068.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26464040:26465326:-1 gene:DRNTG_24068 transcript:DRNTG_24068.11 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSIHILGLRSSRKPLFLFFGFVALFFAFSWFYFFGFAVARAPIVGRSVLPSSKILSVVESRDAAPVTEIAGFENRQCDPERALLKVFMYDLPAEFHFGLLGWSSKGGEVWPDVKEKIPDYPGGLNLQHSIEYWLTLDLLSSAFIDRSGPCTAVRVDDSRQADVIFVPFFSSLSYNRHSKVTPPMKVSTNKMLQDKLVRFLISQEEWKRSGGRDHVVMAHHPNSMLDARMKLWPCVFILSDFGRYPPTIANVEKDVIAPYKHVVSTFVNDSSGFDDRPTLLYFQGAIYRKDVSNSFSLSHQDHCFFGNQLFVFFGLICLLFDNSVVV >DRNTG_24068.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26462497:26465326:-1 gene:DRNTG_24068 transcript:DRNTG_24068.7 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSIHILGLRSSRKPLFLFFGFVALFFAFSWFYFFGFAVARAPIVGRSVLPSSKILSVVESRDAAPVTEIAGFENRQCDPERALLKVFMYDLPAEFHFGLLGWSSKGGEVWPDVKEKIPDYPGGLNLQHSIEYWLTLDLLSSAFIDRSGPCTAVRVDDSRQADVIFVPFFSSLSYNRHSKVTPPMKVSTNKMLQDKLVRFLISQEEWKRSGGRDHVVMAHHPNSMLDARMKLWPCVFILSDFGRYPPTIANVEKDVIAPYKHVVSTFVNDSSGFDDRPTLLYFQGAIYRKDGGFIRQELYYLLREEKDVHFSFGSIQANGIKDSTRGMRASKFCLNIAGDTPSSNRLFDAIASHCVPVIISDDIELPYEDILDYSKFCIFVRTSDALKKNFLLKLVREISKEEWTRCGRG >DRNTG_24068.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26462497:26465252:-1 gene:DRNTG_24068 transcript:DRNTG_24068.10 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSIHILGLRSSRKPLFLFFGFVALFFAFSWFYFFGFAVARAPIVGRSVLPSSKILSVVESRDAAPVTEIAGFENRQCDPERALLKVFMYDLPAEFHFGLLGWSSKGGEVWPDVKEKIPDYPGGLNLQHSIEYWLTLDLLSSAFIDRSGPCTAVRVDDSRQADVIFVPFFSSLSYNRHSKVTPPMKVSTNKMLQDKLVRFLISQEEWKRSGGRDHVVMAHHPNSMLDARMKLWPCVFILSDFGRYPPTIANVEKDVIAPYKHVVSTFVNDSSGFDDRPTLLYFQGAIYRKDGGFIRQELYYLLREEKDVHFSFGSIQANGIKDSTRGMRASKFCLNIAGDTPSSNRLFDAIASHCVPVIISDDIELPYEDILDYSKFCIFVRTSDALKKNFLLKLVREISKEEWTRCGRG >DRNTG_24068.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26462455:26465326:-1 gene:DRNTG_24068 transcript:DRNTG_24068.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSIHILGLRSSRKPLFLFFGFVALFFAFSWFYFFGFAVARAPIVGRSVLPSSKILSVVESRDAAPVTEIAGFENRQCDPERALLKVFMYDLPAEFHFGLLGWSSKGGEVWPDVKEKIPDYPGGLNLQHSIEYWLTLDLLSSAFIDRSGPCTAVRVDDSRQADVIFVPFFSSLSYNRHSKVTPPMKVSTNKMLQDKLVRFLISQEEWKRSGGRDHVVMAHHPNSMLDARMKLWPCVFILSDFGRYPPTIANVEKDVIAPYKHVVSTFVNDSSGFDDRPTLLYFQGAIYRKDGGFIRQELYYLLREEKDVHFSFGSIQANGIKDSTRGMRASKFCLNIAGDTPSSNRLFDAIASHCVPVIISDDIELPYEDILDYSKFCIFVRTSDALKKNFLLKLVREISKEEWTRCGRG >DRNTG_24068.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26462329:26465252:-1 gene:DRNTG_24068 transcript:DRNTG_24068.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSIHILGLRSSRKPLFLFFGFVALFFAFSWFYFFGFAVARAPIVGRSVLPSSKILSVVESRDAAPVTEIAGFENRQCDPERALLKVFMYDLPAEFHFGLLGWSSKGGEVWPDVKEKIPDYPGGLNLQHSIEYWLTLDLLSSAFIDRSGPCTAVRVDDSRQADVIFVPFFSSLSYNRHSKVTPPMKVSTNKMLQDKLVRFLISQEEWKRSGGRDHVVMAHHPNSMLDARMKLWPCVFILSDFGRYPPTIANVEKDVIAPYKHVVSTFVNDSSGFDDRPTLLYFQGAIYRKDGGFIRQELYYLLREEKDVHFSFGSIQANGIKDSTRGMRASKFCLNIAGDTPSSNRLFDAIASHCVPVIISDDIELPYEDILDYSKFCIFVRTSDALKKNFLLKLVREISKEEWTRCGRG >DRNTG_24068.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26462455:26465298:-1 gene:DRNTG_24068 transcript:DRNTG_24068.6 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSIHILGLRSSRKPLFLFFGFVALFFAFSWFYFFGFAVARAPIVGRSVLPSSKILSVVESRDAAPVTEIAGFENRQCDPERALLKVFMYDLPAEFHFGLLGWSSKGGEVWPDVKEKIPDYPGGLNLQHSIEYWLTLDLLSSAFIDRSGPCTAVRVDDSRQADVIFVPFFSSLSYNRHSKVTPPMKVSTNKMLQDKLVRFLISQEEWKRSGGRDHVVMAHHPNSMLDARMKLWPCVFILSDFGRYPPTIANVEKDVIAPYKHVVSTFVNDSSGFDDRPTLLYFQGAIYRKDGGFIRQELYYLLREEKDVHFSFGSIQANGIKDSTRGMRASKFCLNIAGDTPSSNRLFDAIASHCVPVIISDDIELPYEDILDYSKFCIFVRTSDALKKNFLLKLVREISKEEWTRCGRG >DRNTG_24068.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26462497:26463405:-1 gene:DRNTG_24068 transcript:DRNTG_24068.13 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSTSLFFCQQGGFIRQELYYLLREEKDVHFSFGSIQANGIKDSTRGMRASKFCLNIAGDTPSSNRLFDAIASHCVPVIISDDIELPYEDILDYSKFCIFVRTSDALKKNFLLKLVREISKEEWTRCGRG >DRNTG_24068.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26462329:26465326:-1 gene:DRNTG_24068 transcript:DRNTG_24068.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSIHILGLRSSRKPLFLFFGFVALFFAFSWFYFFGFAVARAPIVGRSVLPSSKILSVVESRDAAPVTEIAGFENRQCDPERALLKVFMYDLPAEFHFGLLGWSSKGGEVWPDVKEKIPDYPGGLNLQHSIEYWLTLDLLSSAFIDRSGPCTAVRVDDSRQADVIFVPFFSSLSYNRHSKVTPPMKVSTNKMLQDKLVRFLISQEEWKRSGGRDHVVMAHHPNSMLDARMKLWPCVFILSDFGRYPPTIANVEKDVIAPYKHVVSTFVNDSSGFDDRPTLLYFQGAIYRKDGGFIRQELYYLLREEKDVHFSFGSIQANGIKDSTRGMRASKFCLNIAGDTPSSNRLFDAIASHCVPVIISDDIELPYEDILDYSKFCIFVRTSDALKKNFLLKLVREISKEEWTRCGRG >DRNTG_24068.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26464040:26465252:-1 gene:DRNTG_24068 transcript:DRNTG_24068.12 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSIHILGLRSSRKPLFLFFGFVALFFAFSWFYFFGFAVARAPIVGRSVLPSSKILSVVESRDAAPVTEIAGFENRQCDPERALLKVFMYDLPAEFHFGLLGWSSKGGEVWPDVKEKIPDYPGGLNLQHSIEYWLTLDLLSSAFIDRSGPCTAVRVDDSRQADVIFVPFFSSLSYNRHSKVTPPMKVSTNKMLQDKLVRFLISQEEWKRSGGRDHVVMAHHPNSMLDARMKLWPCVFILSDFGRYPPTIANVEKDVIAPYKHVVSTFVNDSSGFDDRPTLLYFQGAIYRKDVSNSFSLSHQDHCFFGNQLFVFFGLICLLFDNSVVV >DRNTG_28806.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28735206:28740867:1 gene:DRNTG_28806 transcript:DRNTG_28806.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSGKTTVGKILSEVLGYSFFDSDKLVEQSVGMSSVAQIFQERSEAFFRDSESEVLRDLSTMHRLVVATGGGAVIRPINWKYMKHGITVWLDVPLEALARRIAAVGTASRPLLHQESGDPFTKAFTRLTTLAEQRGDAYANADARVCLQHIASKQGHNDVGSLTPTAIAIEALLQVENFVTPKGARASGRRNSYRQA >DRNTG_28806.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28735206:28740867:1 gene:DRNTG_28806 transcript:DRNTG_28806.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSGKTTVGKILSEVLGYSFFDSDKLVEQSVGMSSVAQIFQERSEAFFRDSESEVLRDLSTMHRLVVATGGGAVIRPINWKYMKHGITVWLDVPLEALARRIAAVGTASRPLLHQESGDPFTKAFTRLTTLAEQRGDAYANADARVCLQHIASKQGHNDVGSLTPTAIAIEALLQVENFVTPKGARASGRRNSYRQA >DRNTG_28806.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28735206:28740867:1 gene:DRNTG_28806 transcript:DRNTG_28806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEALAALSLQPCSWIACERPGKAHGGFLKFSKHVGDERKFQKLSLRGASEWDNNAVPRISSCYRKRGVSAVGSENVHAFVDEALVIKRKSEDVAPYLNGRCIYLVGMMGSGKTTVGKILSEVLGYSFFDSDKLVEQSVGMSSVAQIFQERSEAFFRDSESEVLRDLSTMHRLVVATGGGAVIRPINWKYMKHGITVWLDVPLEALARRIAAVGTASRPLLHQESGDPFTKAFTRLTTLAEQRGDAYANADARVCLQHIASKQGHNDVGSLTPTAIAIEALLQVENFVTPKGARASGRRNSYRQA >DRNTG_28806.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28735206:28740867:1 gene:DRNTG_28806 transcript:DRNTG_28806.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSGKTTVGKILSEVLGYSFFDSDKLVEQSVGMSSVAQIFQERSEAFFRDSESEVLRDLSTMHRLVVATGGGAVIRPINWKYMKHGITVWLDVPLEALARRIAAVGTASRPLLHQESGDPFTKAFTRLTTLAEQRGDAYANADARVCLQHIASKQGHNDVGSLTPTAIAIEALLQVENFVTPKGARASGRRNSYRQA >DRNTG_34341.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9484645:9490918:1 gene:DRNTG_34341 transcript:DRNTG_34341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHGSHTLILMPVARTCSVHAQSQSPARLPPIFSQKSHCSGRATSLRS >DRNTG_22880.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001252.1:20442:29837:-1 gene:DRNTG_22880 transcript:DRNTG_22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPDLLMWKHMVDIQIADEAAPAATSLNLGQRNPGDQPVKDNQSGSLMDFRPILIDMVAGDAMSHYFKVFSQPSWTRHVSPVPCYKEKRKHTHCQADGEDDLDQAYGHKCWNVNFSICPLLPPLQAHKNAHKVLWKRLTAIIPAVRPSSYTALDVTRRERGETEQGETEKKERREEGRKNREEEEDVESFNRPKKERLGGRFLSLLISLILGCPLYLAFNISGNPYPRFTCYFDPYSPIYSGRATVWGAGKTWNLSGFAALNPKMTINHKHCNNDVDMALLSVTTCHNYDFAMAPTNNANNAATSCTIFLGEGTQVKLPYASVYTGVARAEIKFGTVSEYVNFVPSPPSLPTGVHVPRLPSRGRWPKVIPPAEVKEDAVAAKASVFDRLTFLKKVMNIKGGEDEEEPSFTITARGEESGIFKKPKATPTKSFMMGRPRLTKRKIPSPK >DRNTG_28200.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19710553:19715045:1 gene:DRNTG_28200 transcript:DRNTG_28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGDGGGGSWRDGMSADNIKGLVLALSSSFFIGASFIVKKKGLKKAGASGVRAGVGGYSYLYEPLWWAGMITMIVGEVANFAAYAFAPAILVTPLGALSIIISAVLAHIILREKLHIFGILGCVLCVVGSTTIVLHAPREREIESVAEVWDLATEPAFLFYAAVVIAAVFVLIFHFIPQYGQTHIMVYIGVCSLVGSLSVMGVKALGIALKLTFSGMNQLIYPQTWAFTIVVVTCVVTQMNYLNKALDTFNTAVVSPIYFVMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHRTKDMADGSSQSLPLRLPKHADEDGFPSEGIPLRHQDSFRSP >DRNTG_31344.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2833597:2836074:-1 gene:DRNTG_31344 transcript:DRNTG_31344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRLKDFSTCIRTTDIGDIVLNFCMSEARRARESSAIILNTFDSLEQSTLDAMRELKLPPIYTIGPLTLLNDQRLSLREWEEDEDCVEWLNGRVSRSVMYVNFGSGVVLSKDQLVEFAWGLANSEHEFLWVIRPNLVHGYGYHDSAAALPQEFMDEIKERGRVSSWCAQEKVLKHSSIRVFLTHCGWNSIMESISNGVPMLCWPCFADQQMNCKYVCHEWGVGMEIENDVKREKVERLIREVMDGDIGKEMKKKVVEFKELAERAVKPGGSSFENFNKVVMDVLLQS >DRNTG_26124.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:8162060:8163664:-1 gene:DRNTG_26124 transcript:DRNTG_26124.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDEQFPVQPPPPLPFPGFHQVSQVVMMPSSLTYEASFMRESKGTGVFIPQCKYPRRKNRSGRPNSSNNSYKETNKPGTLVAHANTECCIENFNYCHSSVLKKEKFTS >DRNTG_26124.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:8162060:8163928:-1 gene:DRNTG_26124 transcript:DRNTG_26124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDEQFPVQPPPPLPFPGFHQVSQVVMMPSSLTYEASFMRESKGTGVFIPQCKYPRRKNRSGRPNSSNNSYKETNKPGTLVAHANTECCIENFNYCHSSVLKKEKFTS >DRNTG_26124.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:8162138:8163857:-1 gene:DRNTG_26124 transcript:DRNTG_26124.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDEQFPVQPPPPLPFPGFHQVSQVVMMPSSLTYEASFMRESKGTGVFIPQCKYPRRKNRSGRPNSSNNSYKETNKPGTLVAHANTECCIENFNYCHSSVLKKEKFTS >DRNTG_26124.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:8162138:8163664:-1 gene:DRNTG_26124 transcript:DRNTG_26124.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDEQFPVQPPPPLPFPGFHQVSQVVMMPSSLTYEASFMRESKGTGVFIPQCKYPRRKNRSGRPNSSNNSYKETNKPGTLVAHANTECCIENFNYCHSSVLKKEKFTS >DRNTG_26124.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:8162060:8163857:-1 gene:DRNTG_26124 transcript:DRNTG_26124.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDEQFPVQPPPPLPFPGFHQVSQVVMMPSSLTYEASFMRESKGTGVFIPQCKYPRRKNRSGRPNSSNNSYKETNKPGTLVAHANTECCIENFNYCHSSVLKKEKFTS >DRNTG_02664.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000122.1:43960:45087:1 gene:DRNTG_02664 transcript:DRNTG_02664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTRPIFPMPGSQHYSDYGFDSQFDYFQVLEEARRHGKRGETRGTIEGHHFKLQKPISKDDSRARSKKRKQWWKTAFLFWKRKNARGNENNRMDSGHRDSTHRAVSGPLYLTESLGSGTPCRSNRSGSGPLRFSPAERAGIPYLNLRESNVIESHRVSATSAHPHVPVYLVT >DRNTG_15645.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14630238:14630585:-1 gene:DRNTG_15645 transcript:DRNTG_15645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELAHELKFGITVPYSVWFYKGQVSGWVTGYGNILKFATVRGASHMVPYAQPGRALQLFASFVHGQRLRPNTTHPSIYD >DRNTG_24939.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21367710:21370480:-1 gene:DRNTG_24939 transcript:DRNTG_24939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLLEDEAITVGGKNHSHATQDLYDSIAAGNYPEWRFYVQVMDPDQEDQYDFDPLDDTKTWPEDILPLQPVGRMVLNRNIDNFFAENEQLAFGPGLVVPGIYYSDDKMLQCRTFAYADTQRYRLGPNYLTLPVNAPKCAFHNNQYDGAMNIVQRNEEVDYFPSRHTTVRHAERFPIPNRIITGRREKTEIPKPNDFQQPGERYRSWAPDRQERFVRRWAEALAHPKVSYELRNIWVTYLSKCDRSLGDKVGNRLNIKPRI >DRNTG_02563.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19492074:19499596:1 gene:DRNTG_02563 transcript:DRNTG_02563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSQYSFSLTTCSPSGKLVQIEHALMAVGSGQTSIGIKAANGVVIATEKKLSSILVDETSVQKSQLMTTNVGVVYSGMGPDFWVLVRKSRKRAQRYYRLYKVDPSGSYFSWKTSVMGKNVSNAKTFLEKRFEGQISGKNIETGIIGSDRKFRSGA >DRNTG_02563.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19497242:19503109:1 gene:DRNTG_02563 transcript:DRNTG_02563.2 gene_biotype:protein_coding transcript_biotype:protein_coding CWRQISGFFSPSGNLVQIEHALMAVGSRQTLLGIKGAKDSADDNKHWCGLQKYWEVMHVKR >DRNTG_02563.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19492074:19493140:1 gene:DRNTG_02563 transcript:DRNTG_02563.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSQYSFSLTTCSPSGKLVQIEHALMAVGSGQTSIGIKAANGVVIATEKKLSSILVDETS >DRNTG_30703.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1768073:1771048:1 gene:DRNTG_30703 transcript:DRNTG_30703.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRDYRNHRTALFDGIEEGGIRASPYGSHEIDEHDNERAMDGLQDRVNILKRLTGDIHEEVEGHNRFLDRMGNDMDTSRGVLSGTMDRFKMVFETKSSRRMATLVASFLALFLLIYYLTK >DRNTG_30703.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1768073:1771048:1 gene:DRNTG_30703 transcript:DRNTG_30703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRDYRNHRTALFDGIEEGGIRASPYGSHEIDEHDNERAMDGLQDRVNILKRLTGDIHEEVEGHNRFLDRMGNDMDTSRGVLSGTMDRFKMVFETKSSRRMATLVASFLALFLLIYYLTK >DRNTG_21845.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17693480:17697772:1 gene:DRNTG_21845 transcript:DRNTG_21845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLATAPRPPHRASSISPKCSHGFKRTLIARSPSMPFSSLCFPGRNLFLNAILDKEAKIMSLIQKRHEELFQSMFFNEEAKTDKDLLLRLCAFSCGIASWLSSAQMAQSNEGARLNMVYEIGELFELGIQLSYLVILLGLLGTGTFFVIRQVLVRRELDLSAKELQEQVRSGDATAAGYFELGAVMLRRKFYPAATKYLLQAIEKWDGEDQDLAQVYNALGVSYVRDNKLDKGIAQFEKAVKLQPGYVTAWNNLGDAYEKKNDLRSALKAFEEVLLFDPNNKVARPRRDALRDRVELYKGIPLKSEDR >DRNTG_24569.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001310.1:14679:15892:-1 gene:DRNTG_24569 transcript:DRNTG_24569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWNRESTRPCRRPCGISVRAWVISTQSCGFFAIVIFEGL >DRNTG_03924.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15048293:15051494:1 gene:DRNTG_03924 transcript:DRNTG_03924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLRSSGRAIFRAVRPRSSYGDGSSSFDALSVPSLRRKASNSWSAVQDTYLSTKEVFEKHRVVFTIGTSVASVLTAWAGYSLRHYHHSRVEERLMSIEEAMKHKNNAEHKEIKKIVNSGNVSTEACIATAWTALLLGYGLGWRGGLWFANRKFQREQLKLMGQMKPRGWQFLGRPFMRLRSIRSGLKTAKVPPNTNTSSLSSQQTLETS >DRNTG_07218.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20270532:20270909:1 gene:DRNTG_07218 transcript:DRNTG_07218.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEAPPVAEEPPPVHMFSPSRAQDRFERLESALGVIRTEVAEAQAEIAEIRATQTTQYTEFMARFDAPSVPPVSSSPILTPVDPPCSSSPTAAPDPERDTDI >DRNTG_26449.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:420005:420870:1 gene:DRNTG_26449 transcript:DRNTG_26449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRTLLDWTAVVRLQSTASISQGMMTLSCPTQASRFQTLLAGLYHPHNRAVYKTYLARYPPSQPSSSNPPPPPPNPALVVSCVC >DRNTG_12775.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000571.1:64512:71801:-1 gene:DRNTG_12775 transcript:DRNTG_12775.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Riboflavin biosynthesis protein PYRR, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G47390) UniProtKB/Swiss-Prot;Acc:Q9STY4] MVLALSFAAFSPVASLCTRTNSCSLRSTPHSQEASLLRQAAEVADLSAGLTAPHPNFGCVIARGTDVVGHGFLYAQGTKCAELQAVEIARELSRGATAYLNLEPGDCYGDLTPVSSLVQAGISRVVVGIRHPLHHLRGKAIQALRSQGVQVDVVGEDLLGKAFEEALKSCLVVNAPLLYWAAYCVPFSVLKFAMTLDGKIAASSGHASWVSSKVSRHRVFELRGRSDAIIVGGNTVRRDDPQLTARHGGGHVPARIVLSRTLDFPEERKLWNVFDAYTIVATQRGACKDMQKKLASKGVEVVEFDFLNPKDVMEYCYDRGYLSLLWECGGSLSAPAIKSGVIHKHQKLLEEKMPLHQLVNWEMWR >DRNTG_12775.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000571.1:64512:71702:-1 gene:DRNTG_12775 transcript:DRNTG_12775.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Riboflavin biosynthesis protein PYRR, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G47390) UniProtKB/Swiss-Prot;Acc:Q9STY4] MVLALSFAAFSPVASLCTRTNSCSLRSTPHSQEASLLRQAAEVADLSAGLTAPHPNFGCVIARGTDVVGHGFLYAQGTKCAELQAVEIARELSRGATAYLNLEPGDCYGDLTPVSSLVQAGISRVVVGIRHPLHHLRGKAIQALRSQGVQVDVVGEDLLGKAFEEALKSCLVVNAPLLYWAAYCVPFSVLKFAMTLDGKIAASSGHASWVSSKVSRHRVFELRGRSDAIIVGGNTVRRDDPQLTARHGGGHVPARIVLSRTLDFPEERKLWNVFDAYTIVATQRGACKDMQKKLASKGVEVVEFDFLNPKDVMEYCYDRGYLSLLWECGGSLSAPAIKSGVIHKLFAFVAPKIIGGENAPSPVGELGNVEMTQAIDLIDVSYEQIGPDILVSGYIKPIPDLSPVIPSVDETSALDPTISPYETNIIFFYKTWDEYGVFSNFSPHPVQMPDENGDYIMWPSVEHYYQAHKFIPADAPLAGEFVQQIKIAKSPEEAARLGRKLQRQHPEMVREDWDTVKIDVMYSALKCKFSTYSNLKSLLCSTAGCILVEASPHDLFWGGGREGEGLNYLGRLLMKLRSEIMENTSTPV >DRNTG_12775.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000571.1:64512:71801:-1 gene:DRNTG_12775 transcript:DRNTG_12775.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Riboflavin biosynthesis protein PYRR, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G47390) UniProtKB/Swiss-Prot;Acc:Q9STY4] MTQAIDLIDVSYEQIGPDILVSGYIKPIPDLSPVIPSVDETSALDPTISPYETNIIFFYKTWDEYGVFSNFSPHPVQMPDENGDYIMWPSVEHYYQAHKFIPADAPLAGEFVQQIKIAKSPEEAARLGRKLQRQHPEMVREDWDTVKIDVMYSALKCKFSTYSNLKSLLCSTAGCILVEASPHDLFWGGGREGEGLNYLGRLLMKLRSEIMENTSTPV >DRNTG_12775.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000571.1:64512:71702:-1 gene:DRNTG_12775 transcript:DRNTG_12775.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Riboflavin biosynthesis protein PYRR, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G47390) UniProtKB/Swiss-Prot;Acc:Q9STY4] MTLDGKIAASSGHASWVSSKVSRHRVFELRGRSDAIIVGGNTVRRDDPQLTARHGGGHVPARIVLSRTLDFPEERKLWNVFDAYTIVATQRGACKDMQKKLASKGVEVVEFDFLNPKDVMEYCYDRGYLSLLWECGGSLSAPAIKSGVIHKLFAFVAPKIIGGENAPSPVGELGNVEMTQAIDLIDVSYEQIGPDILVSGYIKPIPDLSPVIPSVDETSALDPTISPYETNIIFFYKTWDEYGVFSNFSPHPVQMPDENGDYIMWPSVEHYYQAHKFIPADAPLAGEFVQQIKIAKSPEEAARLGRKLQRQHPEMVREDWDTVKIDVMYSALKCKFSTYSNLKSLLCSTAGCILVEASPHDLFWGGGREGEGLNYLGRLLMKLRSEIMENTSTPV >DRNTG_08941.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27302376:27304486:1 gene:DRNTG_08941 transcript:DRNTG_08941.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYACIREGPDHAPRFKASVNFNGEIFEGPSNCTTLRQAEHAAAEVALQNLSARGPSRTLTARVLDETGVYKNLLQETAHRAGLKLPVYTTVRSGPGHQPVFTCNVELAGMSFNGEPAKTKKQAEKNAAMAAWSALKQMPNLESLSRQAKESENSEEQEQVVVARVLSSFRPKEESSRALRHREQLLQRRASGSSNSSFWYRKHRGSMDSLVEAPSPSPSTPMHQAQKESYLTGSKILLTMPTSASSPETSSSKEKTSISQGFHSSSRYLPVEIRSSSQAPLENHWMDECNSKITPLPPHCRAFPLQTQINTKPFSPIPHPCASAGASSMPAMPRRISAGGYHAAAQGLAPMVHIRTVIPVCATPPMRLPASNATVHKQEQDDEVSAATSKLTNLQL >DRNTG_08941.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27302376:27304486:1 gene:DRNTG_08941 transcript:DRNTG_08941.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYACIREGPDHAPRFKASVNFNGEIFEGPSNCTTLRQAEHAAAEVALQNLSARGPSRTLTARVLVSLPFTFSWLILFFFF >DRNTG_08941.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27302376:27304486:1 gene:DRNTG_08941 transcript:DRNTG_08941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPKQWLSLGWARLQKLTNALNAPLMAEPDGGVSTSALLSLLLLSLYLETVLFPTTHPKNNTPSSSSSSSSSSERSTCVECLEGMYKNQLQELAQRSCFNLPSYACIREGPDHAPRFKASVNFNGEIFEGPSNCTTLRQAEHAAAEVALQNLSARGPSRTLTARVLDETGVYKNLLQETAHRAGLKLPVYTTVRSGPGHQPVFTCNVELAGMSFNGEPAKTKKQAEKNAAMAAWSALKQMPNLESLSRQAKESENSEEQEQVVVARVLSSFRPKEESSRALRHREQLLQRRASGSSNSSFWYRKHRGSMDSLVEAPSPSPSTPMHQAQKESYLTGSKILLTMPTSASSPETSSSKEKTSISQGFHSSSRYLPVEIRSSSQAPLENHWMDECNSKITPLPPHCRAFPLQTQINTKPFSPIPHPCASAGASSMPAMPRRISAGGYHAAAQGLAPMVHIRTVIPVCATPPMRLPASNATVHKQEQDDEVSAATSKLTNLQL >DRNTG_08976.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10470384:10471598:-1 gene:DRNTG_08976 transcript:DRNTG_08976.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEEVMMFCLEEKVPSTPGIVKKMLQKMKRTRRHHKKHPNAVGEAQELNKGDEPLRGSFFPSFLHLLRGWWLGFRGVLARLLEWFSNFDTMIHFEDSYWGAFVGTDSERCALGLKG >DRNTG_00944.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21191454:21192397:1 gene:DRNTG_00944 transcript:DRNTG_00944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTNTAPQSSKTLTKPSCREGSFCPVHGTPPTFIPNIPVTMDNGRKTIERTER >DRNTG_22574.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14500076:14503676:-1 gene:DRNTG_22574 transcript:DRNTG_22574.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVEEQLKEMKNDGRSCILVGVQIDANGRELLDWALSKIAKKGDRIVAVHVCRDSDLSNTTTLSLIKQIDDYLAVYEGLCSLKQVVLVGRISRGNSIRRVLVREAKLNTAKAVVVGANKTCKFGGSASVAKYCAKKLPPTTTLIALQNGKIILEKGAAKLPPGQEQKTNLRSILHPSIGMDPKVIIPSSKNKSVLDDRCVVDREPQLMPGWPLLRKTVSANIETVKDNRARKMSVVQWVMNLPDRSLPAIQSPEDAEIVHNHSIDLKKELEIVLGMNPCSSCKWFTYDELRISTDYFSSDNLIGKGGNSRVYKGCLSNDQLVAIKVSKLSAESLKNFLLEVDIITSLQHDNIVPLIGICIDETRLLSVYSYFSEGSLEENLHGQKAKHSLSWDMRYKIAIGAAEALSFIHSDFSRPVIHRDVKSSNILLSNEFKPQLSDFGLALWAPKNSSYLTHNDVVGTFGYLAPEYFMYGKVSDKIDVYAFGVVLLELLTGRKPINDENPKGQESLVMWAKPILEKGEMTQLLDPKLEGKFNEDQVQRMVLAASLCINRTARLRPEMNQILRLLRGDQEVEEWMNAQFKNNDNDLDCQDEETYPASSFGSHLGLALLDIDDDASVTSYEQHNISSWEDYLKGRWSRSSSFE >DRNTG_22574.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14500076:14503609:-1 gene:DRNTG_22574 transcript:DRNTG_22574.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVEEQLKEMKNDGRSCILVGVQIDANGRELLDWALSKIAKKGDRIVAVHVCRDSDLSNTTTLSLIKQIDDYLAVYEGLCSLKQVVLVGRISRGNSIRRVLVREAKLNTAKAVVVGANKTCKFGGSASVAKYCAKKLPPTTTLIALQNGKIILEKGAAKLPPGQEQKTNLRSILHPSIGMDPKVIIPSSKNKSVLDDRCVVDREPQLMPGWPLLRKTVSANIETVKDNRARKMSVVQWVMNLPDRSLPAIQSPEDAEIVHNHSIDLKKELEIVLGMNPCSSCKWFTYDELRISTDYFSSDNLIGKGGNSRVYKGCLSNDQLVAIKVSKLSAESLKNFLLEVDIITSLQHDNIVPLIGICIDETRLLSVYSYFSEGSLEENLHGQKAKHSLSWDMRYKIAIGAAEALSFIHSDFSRPVIHRDVKSSNILLSNEFKPQLSDFGLALWAPKNSSYLTHNDVVGTFGYLAPEYFMYGKVSDKIDVYAFGVVLLELLTGRKPINDENPKGQESLVMWAKPILEKGEMTQLLDPKLEGKFNEDQVQRMVLAASLCINRTARLRPEMNQILRLLRGDQEVEEWMNAQFKNNDNDLDCQDEETYPASSFGSHLGLALLDIDDDASVTSYEQHNISSWEDYLKGRWSRSSSFE >DRNTG_35376.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1742231:1745044:-1 gene:DRNTG_35376 transcript:DRNTG_35376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRCCDYVMKMMYLLLCLILLHGHAKASSPAMYVFGDSLADVGNNNHITFSLLKANFPHNGIDYPGHKATGRFSNGNNSVDFLADYLGLPSPPPYLSIKSNANKTQAFLNGLNFASGGAGVFDSTNQDHCLSFNQQINYYSSVFTTLAQQLGSTEAENHLSKSIFTFVIGSNDIFAYDPDKTKLTTQQYAQSMVSTLQGQLKTLYNLGARKFLFVGTGPIGCCPSQRAKSKTNDCNAVTDNLSTQYNKGASSLLNQLKSSLTGFSYSFFDTFSSLMQCIQNPASYGFTEIKAACCGLGNMNAKLACIPLSSYCSDRKKYMFWDPYHPTEIAAALLASTAVNGAPPLVFPINGMQLSAI >DRNTG_16207.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30867118:30867740:-1 gene:DRNTG_16207 transcript:DRNTG_16207.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYNELMASRQAKFEIVFISSDKDEDGYKKSRSEMAWLAVPYHERSRHDLSRIYQVKKIPSLIILGPDGKVVSTDGRAAVTCYGAMTFPFTATRIAELEMGIRKEREGLVPEMKDWRHEHVLKLDMAVAYVCDGCGQRGRNCVFSCYQCDFDLHPACAEENTRNLPKT >DRNTG_25833.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7443681:7449810:1 gene:DRNTG_25833 transcript:DRNTG_25833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPHKNDNIEVSASPPTPPSSLLSASPSTSPSSPSLLRDH >DRNTG_25833.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7443681:7446175:1 gene:DRNTG_25833 transcript:DRNTG_25833.15 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPHKNDNIEVSASPPTPPSSLLSASPSTSPSSPSLLRDH >DRNTG_25833.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7446476:7449810:1 gene:DRNTG_25833 transcript:DRNTG_25833.13 gene_biotype:protein_coding transcript_biotype:protein_coding VNFRLSTSNIRQKLLFNDDPYIPPVQNHRSFLKEYVIYKEAITIKDPLVLSKIHQTY >DRNTG_25833.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7443681:7449810:1 gene:DRNTG_25833 transcript:DRNTG_25833.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPHKNDNIEVSASPPTPPSSLLSASPSTSPSSPSLLRDH >DRNTG_25833.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7443681:7449810:1 gene:DRNTG_25833 transcript:DRNTG_25833.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPHKNDNIEVSASPPTPPSSLLSASPSTSPSSPSLLRDH >DRNTG_25833.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7443681:7449810:1 gene:DRNTG_25833 transcript:DRNTG_25833.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPHKNDNIEVSASPPTPPSSLLSASPSTSPSSPSLLRDH >DRNTG_25833.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7443681:7449810:1 gene:DRNTG_25833 transcript:DRNTG_25833.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPHKNDNIEVSASPPTPPSSLLSASPSTSPSSPSLLRDH >DRNTG_25833.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7443681:7449810:1 gene:DRNTG_25833 transcript:DRNTG_25833.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPHKNDNIEVSASPPTPPSSLLSASPSTSPSSPSLLRDH >DRNTG_25833.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7443681:7449810:1 gene:DRNTG_25833 transcript:DRNTG_25833.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPHKNDNIEVSASPPTPPSSLLSASPSTSPSSPSLLRDH >DRNTG_25833.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7446476:7449810:1 gene:DRNTG_25833 transcript:DRNTG_25833.14 gene_biotype:protein_coding transcript_biotype:protein_coding VNFRLSTSNIRQKLLFNVLLNNPQIFDRIFGDEFILDILGSLEYDPYIPPVQNHRSFLKEYVIYKEAITIKDPLVLSKIHQTY >DRNTG_25833.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7443681:7449810:1 gene:DRNTG_25833 transcript:DRNTG_25833.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPHKNDNIEVSASPPTPPSSLLSASPSTSPSSPSLLRDH >DRNTG_25833.16.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7447689:7449867:1 gene:DRNTG_25833 transcript:DRNTG_25833.16 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMCRFKINLNFTLPGFYGANCVVSFLYSFSVSC >DRNTG_25833.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7443681:7449810:1 gene:DRNTG_25833 transcript:DRNTG_25833.11 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPHKNDNIEVSASPPTPPSSLLSASPSTSPSSPSLLRDH >DRNTG_25833.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7443681:7447352:1 gene:DRNTG_25833 transcript:DRNTG_25833.12 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPHKNDNIEVSASPPTPPSSLLSASPSTSPSSPSLLRDH >DRNTG_25833.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7443681:7449810:1 gene:DRNTG_25833 transcript:DRNTG_25833.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPHKNDNIEVSASPPTPPSSLLSASPSTSPSSPSLLRDH >DRNTG_25833.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7443681:7449810:1 gene:DRNTG_25833 transcript:DRNTG_25833.10 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPHKNDNIEVSASPPTPPSSLLSASPSTSPSSPSLLRDH >DRNTG_03745.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000175.1:36225:38017:-1 gene:DRNTG_03745 transcript:DRNTG_03745.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDFTLEGRKMQARTYGLTVSIIDFTLSRINTGKAILFLDLSADPGLFEGPKGDIQSETYRKMKKETKECWEGSFPKTNVLWLIYLVDILLMKKSFKRTTKDERELRSFKKRLNSYTSAKDSLSDIFLSELLSDHSP >DRNTG_03745.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000175.1:36225:37740:-1 gene:DRNTG_03745 transcript:DRNTG_03745.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMDFTLEGRKMQARTYGLTVSIIDFTLSRINTGKAILFLDLSADPGLFEGPKGDIQSETYRKMKKETKECWEGSFPKTNVLWLIYLVDILLMKKSFKRTTKDERELRSFKKRLNSYTSAKDSLSDIFLSELLSDHSP >DRNTG_03745.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000175.1:36225:36599:-1 gene:DRNTG_03745 transcript:DRNTG_03745.4 gene_biotype:protein_coding transcript_biotype:protein_coding SFPKTNVLWLIYLVDILLMKKSFKRTTKDERELRSFKKRLNSYTSAKDSLSDIFLSELLSDHSP >DRNTG_03745.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000175.1:36225:40443:-1 gene:DRNTG_03745 transcript:DRNTG_03745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDFTLEGRKMQARTYGLTVSIIDFTLSRINTGKAILFLDLSADPGLFEGPKGDIQSETYRKMKKETKECWEGSFPKTNVLWLIYLVDILLMKKSFKRTTKDERELRSFKKRLNSYTSAKDSLSDIFLSELLSDHSP >DRNTG_06525.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29574362:29576018:-1 gene:DRNTG_06525 transcript:DRNTG_06525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGAEAGSPSREFFRFNGDVAWVVQVSDLHLSAYHPERGADLLRLLAPALRVIRPSLLLVTGDITDAKNERRS >DRNTG_08761.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18514670:18524035:-1 gene:DRNTG_08761 transcript:DRNTG_08761.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGHMVVLFVLSTVTTLIDGALEDWGLKSVSVEKHDTLFASDGWQAMDIDCKGSLNDRRNEHREKLRRSNILMAVDVVAKITADKKAKVLLRLAYFNMPERFNSLLQKLQYIEAQKSALQSLVSADQLLVKVSANVKEAMSGPYHVDKHHLLGLVDIGSHDSHFSLSSGVSRVACWVPFDVFLENAMDGKLLHAISPLEILTELIRTLQVINRASWQETFLALWISALRLTQREREPLEGPMPHLDSRLCILLSIVPLAILSILKEDSEKSSSTRNGSEHGTEGDHYTSRRNGLVSSLQLLSQFSGLLSPPPYVVTAANNAATKAASFISNIKNGSGNHTNTSQNASVNAVGSMLHLIVEACIARKLIDTSAYFWPNYVVASAPSKESSSFNESPWLTFMEGTPLSSSLKNDLMATPASRIAELEKLYNIALNGSEEEKPAAAKILCGATLVRGWNIQEYVVSFVVKLLSPPAPPGISKTGTGSHLIGYMSMLNAVLFGISAIDILHILSLYGAVPEVAAALMPLCETFGSLPPTSSHKSSTSEETSAHAVFSCAFLFLIRLWKFYRPPQEHCIGGRGATIRLELTLDYLLLMHNSRVGLQNPAFPDKTLGNADPLNFSSGQPVYIDSFPKLRSWYFQNQACVASTLSGLCSKNPVHQVANKILNMLCRKMTNRATMSSIPASTSGSCMTDSPGNSAEDTCHMPLLPAWEVLEAIPFVLEAVLTACAHGKLSSRDLITGLRDLVDYLPASLATIISYFSAEITRGIWKPVSMNGTDWPSPAANLLLIESEVKEILTSAGVHVPSFHAGGMSVMLPLPMAALVSLTITFKLDKNLEYIHGVIGPALDNCASGCAWPSMPIIGSLWSQKVRRWHNFIVFSCARSPFSYDKEAITQLVRSCFSSFLGSSPTSSSHMTSRRGVNGLLGQAFTDQGVRLPIAPGFLYLRSCRAFHDTHFVTNLILELVVEFAHELGRSWSCGGLARLKSSKISLATAAAQVREVATVGACLLCIAGGVLLVQVLYEETLPTSLLSVTEGKLQDLSPTARILEGYAMAYMLVLSGAFVWGVGDTSPAYTSLFSSRRAATVDKHMDFIARVVDGNVTLGCDPATFKAYVSCLASLLVGFVPTWVPEMKQDTLRRLANGLRGWHECDLALSLLERGGADAMTFVVESLL >DRNTG_08761.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18514670:18524035:-1 gene:DRNTG_08761 transcript:DRNTG_08761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEVQLRVLAAAKALENRREPPLAVAMDLARSVVDGLPSPELAHAIVAAVCSLNTSPSMWKLLEQAIVFRFVLPLHALALLTGRVVPNRKAQPEAYRLYLELLSRYALSPPAVPAGPCRDKIVKSVGDALQIFENFGSQCMDYGHMVVLFVLSTVTTLIDGALEDWGLKSVSVEKHDTLFASDGWQAMDIDCKGSLNDRRNEHREKLRRSNILMAVDVVAKITADKKAKVLLRLAYFNMPERFNSLLQKLQYIEAQKSALQSLVSADQLLVKVSANVKEAMSGPYHVDKHHLLGLVDIGSHDSHFSLSSGVSRVACWVPFDVFLENAMDGKLLHAISPLEILTELIRTLQVINRASWQETFLALWISALRLTQREREPLEGPMPHLDSRLCILLSIVPLAILSILKEDSEKSSSTRNGSEHGTEGDHYTSRRNGLVSSLQLLSQFSGLLSPPPYVVTAANNAATKAASFISNIKNGSGNHTNTSQNASVNAVGSMLHLIVEACIARKLIDTSAYFWPNYVVASAPSKESSSFNESPWLTFMEGTPLSSSLKNDLMATPASRIAELEKLYNIALNGSEEEKPAAAKILCGATLVRGWNIQEYVVSFVVKLLSPPAPPGISKTGTGSHLIGYMSMLNAVLFGISAIDILHILSLYGAVPEVAAALMPLCETFGSLPPTSSHKSSTSEETSAHAVFSCAFLFLIRLWKFYRPPQEHCIGGRGATIRLELTLDYLLLMHNSRVGLQNPAFPDKTLGNADPLNFSSGQPVYIDSFPKLRSWYFQNQACVASTLSGLCSKNPVHQVANKILNMLCRKMTNRATMSSIPASTSGSCMTDSPGNSAEDTCHMPLLPAWEVLEAIPFVLEAVLTACAHGKLSSRDLITGLRDLVDYLPASLATIISYFSAEITRGIWKPVSMNGTDWPSPAANLLLIESEVKEILTSAGVHVPSFHAGGMSVMLPLPMAALVSLTITFKLDKNLEYIHGVIGPALDNCASGCAWPSMPIIGSLWSQKVRRWHNFIVFSCARSPFSYDKEAITQLVRSCFSSFLGSSPTSSSHMTSRRGVNGLLGQAFTDQGVRLPIAPGFLYLRSCRAFHDTHFVTNLILELVVEFAHELGRSWSCGGLARLKSSKISLATAAAQVREVATVGACLLCIAGGVLLVQVLYEETLPTSLLSVTEGKLQDLSPTARILEGYAMAYMLVLSGAFVWGVGDTSPAYTSLFSSRRAATVDKHMDFIARVVDGNVTLGCDPATFKAYVSCLASLLVGFVPTWVPEMKQDTLRRLANGLRGWHECDLALSLLERGGADAMTFVVESLL >DRNTG_04876.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000230.1:6329:9004:1 gene:DRNTG_04876 transcript:DRNTG_04876.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFDDLDKIFRSNDSIFGHDIGSNADEFLSSSADVISGTAQSIPMPDIPMSRDQPSDQEFPYAFSEHSDGIRKPEEKTSDITVKTEEQMVSSSNLTNHSSGIQTQPSDKAGKQSKQVKPRKKAEDRSKNNFSQNLNGAWSNRISQSQQFPSPKAHPSLLTSVQTFQHPAISQQRQGESEHMGYPISSSQFMFSGYGFPAYSFPAIPVLPCAPAERNQMAPVVVGYKSNVDQSKGPNSSDKLPDSSKPLTMTPQEKIEKLRRRQQMQAMLAIQQQQQQFGKQISGSDSLASQACSQKNQNQDASTSTIGVEESANKILS >DRNTG_04876.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000230.1:5554:9004:1 gene:DRNTG_04876 transcript:DRNTG_04876.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEASNLNSVRVQLEGNHELFNNEHDDREDDSFLDCDWANMGDFDDLDKIFRSNDSIFGHDIGSNADEFLSSSADVISGTAQSIPMPDIPMSRDQPSDQEFPYAFSEHSDGIRKPEEKTSDITVKTEEQMVSSSNLTNHSSGIQTQPSDKAGKQSKQVKPRKKAEDRSKNNFSQNLNGAWSNRISQSQQFPSPKAHPSLLTSVQTFQHPAISQQRQGESEHMGYPISSSQFMFSGYGFPAYSFPAIPVLPCAPAERNQMAPVVVGYKSNVDQSKGPNSSDKLPDSSKPLTMTPQEKIEKLRRRQQMQAMLAIQQQQQQFGKQISGSDSLASQACSQKNQNQDASTSTIGVEESANKILS >DRNTG_04876.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000230.1:3207:9004:1 gene:DRNTG_04876 transcript:DRNTG_04876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDWNEDRVGDTIWGEFSESEDHIVPYPKEGEEDTLLICHDFGKKQKNEGSTTDIKSAQQTSEDKRDLPGCDFENSSSCNANKEFSAPRLDLDSWPDLPSISAALSKGYNDENNESSLQAGLMDFSEASNLNSVRVQLEGNHELFNNEHDDREDDSFLDCDWANMGDFDDLDKIFRSNDSIFGHDIGSNADEFLSSSADVISGTAQSIPMPDIPMSRDQPSDQEFPYAFSEHSDGIRKPEEKDAAYLYCYMKCSKMFIHSLHFLIIFGRHLILL >DRNTG_04876.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000230.1:6329:9004:1 gene:DRNTG_04876 transcript:DRNTG_04876.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSNLTNHSSGIQTQPSDKAGKQSKQVKPRKKAEDRSKNNFSQNLNGAWSNRISQSQQFPSPKAHPSLLTSVQTFQHPAISQQRQGESEHMGYPISSSQFMFSGYGFPAYSFPAIPVLPCAPAERNQMAPVVVGYKSNVDQSKGPNSSDKLPDSSKPLTMTPQEKIEKLRRRQQMQAMLAIQQQQQQFGKQISGSDSLASQACSQKNQNQDASTSTIGVEESANKILS >DRNTG_26718.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4879293:4890175:-1 gene:DRNTG_26718 transcript:DRNTG_26718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLKIYSNGLKQSIVNPGYNSNEEISCYKIHRVGEAVEPPKGKLQQIMRVGRGDSVGLRLKREKLARESLLRFGITVLCGRSREMKDTISVHPVTIILLLHIELNLLPRRPDIYQVLEINEEAESWISHASSPRCATFVRRWRSALVASILGELKVNKLGTGGFGVIYKVGDNGLLKWNAMSAI >DRNTG_25332.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6463944:6465474:-1 gene:DRNTG_25332 transcript:DRNTG_25332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLTYLATLASLIILLAPPKSTADFTIVMPDSSTLLNNPQTIFSNQARTDPAEQQAVYDIMEATGNGWAKSIPDVCRGRWHGIECMPDCNHIYHIVSLSFGALSDDTAFPTCDAKTSTLSPSLLRLPHLRTLFFYRCLTSNPQPLPAFLGQLSPGIRTLVLRENGHVGRIPSELGNLTSLKILDLHGNNLGSTIPKSIKQLSSLQLLDLSNNQLTGEIPEMSFSGLTALDLSHNLFQGQIPSSISKCESLVKLDMSRNHLTGSIPENLSNLKNLILLDLSHNWLSGPLPNSFGSLSSLRALVLTGNSMNSTLIPKRLVSRTEGFRNISAVQHGTWRSHT >DRNTG_05695.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1701992:1712581:-1 gene:DRNTG_05695 transcript:DRNTG_05695.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQICPSLLVKKDRGRPTNPKAKPKAFGEVNIETSVPGLELLQNDGNSMPESSDDELNSYDDEDDLPQSNLDSGHDNEAFYESKEDSCRSEDDEEDQAAVSDEEEDDDDTDTLGDSCKGLGPRSCDNNHGIISDDSDSDDDMDDDDSDEDTDEEESAQKSLAQTADVEEDVEEAANDEDEDDMKKAKTLKRKFVDYAGKPNETSLRALKRLAGSNMSPIPSVDDKEILSNEDFQRIKELKAKKEAKLALSRQGLLRKGSDPKLSALKLPSAEQLSLKWVDPAKLEIHVKRKLSKEERLALVKAGREDRVKYQARTATKQNKTGGLSNRQKEHKKAMPLAAKRAKVARSRHEKRKKQNRSGKQFRGKKAWK >DRNTG_05695.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1701992:1712581:-1 gene:DRNTG_05695 transcript:DRNTG_05695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPSSVQLLTPETLLASGKGSERLSLPTLQSKMKCDPEGYESELVLLRRHFGSSLQLFRQQSTLAPSTDPAVVKDLGDFAMFLAHVSPLYPSHLADFPGELIDFLRSDAGSLPSSLRCHLVQALILLVNRKLVTIEETLELFMALQILGDRTMRKMAFAHVVHSIRRMNQKHKNEANNRKLQNILFLMLQEEDEARAKRSLVVLSDLHRRRVWFDDRTANAICTACFHSSSRILISALSFLLGYEQVEEEDDSDNSSSEDDTTNQTAQIILSREAVYKAHRKGTTSSKKKKKAKLQRVARSMKKQQRISSENNNSNWYSPLAHLQDAQGFVDKLFSRLQKCNERFEVKMMMLKVIARTVGMHRLILLNFYPFLQKYIKAHQRDVTTLLAAAVQACHDMVPPDAIEPLFRQIVDQFVHDRSRTEAIAVGLNVVREICLRMPLLMNEDLLQDLVLYKKSHEKAISTAARSLIMLFREICPSLLVKKDRGRPTNPKAKPKAFGEVNIETSVPGLELLQNDGNSMPESSDDELNSYDDEDDLPQSNLDSGHDNEAFYESKEDSCRSEDDEEDQAAVSDEEEDDDDTDTLGDSCKGLGPRSCDNNHGIISDDSDSDDDMDDDDSDEDTDEEESAQKSLAQTADVEEDVEEAANDEDEDDMKKAKTLKRKFVDYAGKPNETSLRALKRLAGSNMSPIPSVDDKEILSNEDFQRIKELKAKKEAKLALSRQGLLRKGSDPKLSALKLPSAEQLSLKWVDPAKLEIHVKRKLSKEERLALVKAGREDRVKYQARTATKQNKTGGLSNRQKEHKKAMPLAAKRAKVARSRHEKRKKQNRSGKQFRGKKAWK >DRNTG_05695.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1701992:1712581:-1 gene:DRNTG_05695 transcript:DRNTG_05695.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLKVIARTVGMHRLILLNFYPFLQKYIKAHQRDVTTLLAAAVQACHDMVPPDAIEPLFRQIVDQFVHDRSRTEAIAVGLNVVREICLRMPLLMNEDLLQDLVLYKKSHEKAISTAARSLIMLFREICPSLLVKKDRGRPTNPKAKPKAFGEVNIETSVPGLELLQNDGNSMPESSDDELNSYDDEDDLPQSNLDSGHDNEAFYESKEDSCRSEDDEEDQAAVSDEEEDDDDTDTLGDSCKGLGPRSCDNNHGIISDDSDSDDDMDDDDSDEDTDEEESAQKSLAQTADVEEDVEEAANDEDEDDMKKAKTLKRKFVDYAGKPNETSLRALKRLAGSNMSPIPSVDDKEILSNEDFQRIKELKAKKEAKLALSRQGLLRKGSDPKLSALKLPSAEQLSLKWVDPAKLEIHVKRKLSKEERLALVKAGREDRVKYQARTATKQNKTGGLSNRQKEHKKAMPLAAKRAKVARSRHEKRKKQNRSGKQFRGKKAWK >DRNTG_30779.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4094762:4095828:-1 gene:DRNTG_30779 transcript:DRNTG_30779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSSLVCVAMEAQSFFRRSKHAQLSKRATYKWLMEDISQAAARVQARCAGKKTNTVYIKGCSVALNIWFYELTIAGKKVHFGKTPSILCYGENTYQKQATIETSLSSLEGKEFPEVVPENADEDIFVRANQRVDAIAPEPFARKQDERATSFVHSSTPKKPSPTSAGCNTPHGNRLRCQYNSYVGVQDIDDRVPSACRSGGGICKPFKYYV >DRNTG_07486.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3967202:3969537:-1 gene:DRNTG_07486 transcript:DRNTG_07486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGEAIKEMKASTTMKKRNLLETVEAHVNLGVDPRRGDPMVPGALTLPLAPGRLSELLYLLKVLQRRKQKLHIARILGPRGLMPNPKLGSMTSNVSIAVKEAKSGRIDFKIDKTAIVHVGLGKVNFSDEALRENIGAFVNALLLAKPVDLKKKYAGYVKNFTLSSTIGPGFPVTIQSLFVAANNYVKLQVKLLFTSEKLKTCVYCGVQEGLQGRCRLRPPVTTDENRRREGSPGVLDKRGSSCKCPRSASINGKEEPFR >DRNTG_19133.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19102182:19103155:-1 gene:DRNTG_19133 transcript:DRNTG_19133.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLPLLLLFLLFLTITTTTTSSSSSSSSEKLKRMKKKKITHFTVYWHDIMSGPNPSGAMVAQAPTTKTSTTGFGLVRIIDNPLTKGPTMSSDLVGRAQGFYASTSMEYVGLMMAMNFAFTSGKYNGSTVTILGRNEVFTEVREMPVIGGSGLFRWAQGYAQARTSMLNMTTGDAVVKYDVHVMHY >DRNTG_19133.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19102182:19103075:-1 gene:DRNTG_19133 transcript:DRNTG_19133.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLPLLLLFLLFLTITTTTTSSSSSSSSEKLKRMKKKKITHFTVYWHDIMSGPNPSGAMVAQAPTTKTSTTGFGLVRIIDNPLTKGPTMSSDLVGRAQGFYASTSMEYVGLMMAMNFAFTSGKYNGSTVTILGRNEVFTEVREMPVIGGSGLFRWAQGYAQARTSMLNMTTGDAVVKYDVHVMHY >DRNTG_19133.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19102155:19103075:-1 gene:DRNTG_19133 transcript:DRNTG_19133.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLPLLLLFLLFLTITTTTTSSSSSSSSEKLKRMKKKKITHFTVYWHDIMSGPNPSGAMVAQAPTTKTSTTGFGLVRIIDNPLTKGPTMSSDLVGRAQGFYASTSMEYVGLMMAMNFAFTSGKYNGSTVTILGRNEVFTEVREMPVIGGSGLFRWAQGYAQARTSMLNMTTGDAVVKYDVHVMHY >DRNTG_19133.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19102222:19102954:-1 gene:DRNTG_19133 transcript:DRNTG_19133.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLPLLLLFLLFLTITTTTTSSSSSSSSEKLKRMKKKKITHFTVYWHDIMSGPNPSGAMVAQAPTTKTSTTGFGLVRIIDNPLTKGPTMSSDLVGRAQGFYASTSMEYVGLMMAMNFAFTSGKYNGSTVTILGRNEVFTEVREMPVIGGSGLFRWAQGYAQARTSMLNMTTGDAVVKYDVHVMHY >DRNTG_19133.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19102182:19102954:-1 gene:DRNTG_19133 transcript:DRNTG_19133.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLPLLLLFLLFLTITTTTTSSSSSSSSEKLKRMKKKKITHFTVYWHDIMSGPNPSGAMVAQAPTTKTSTTGFGLVRIIDNPLTKGPTMSSDLVGRAQGFYASTSMEYVGLMMAMNFAFTSGKYNGSTVTILGRNEVFTEVREMPVIGGSGLFRWAQGYAQARTSMLNMTTGDAVVKYDVHVMHY >DRNTG_19133.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19102155:19103155:-1 gene:DRNTG_19133 transcript:DRNTG_19133.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLPLLLLFLLFLTITTTTTSSSSSSSSEKLKRMKKKKITHFTVYWHDIMSGPNPSGAMVAQAPTTKTSTTGFGLVRIIDNPLTKGPTMSSDLVGRAQGFYASTSMEYVGLMMAMNFAFTSGKYNGSTVTILGRNEVFTEVREMPVIGGSGLFRWAQGYAQARTSMLNMTTGDAVVKYDVHVMHY >DRNTG_19133.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19102155:19103023:-1 gene:DRNTG_19133 transcript:DRNTG_19133.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLPLLLLFLLFLTITTTTTSSSSSSSSEKLKRMKKKKITHFTVYWHDIMSGPNPSGAMVAQAPTTKTSTTGFGLVRIIDNPLTKGPTMSSDLVGRAQGFYASTSMEYVGLMMAMNFAFTSGKYNGSTVTILGRNEVFTEVREMPVIGGSGLFRWAQGYAQARTSMLNMTTGDAVVKYDVHVMHY >DRNTG_19133.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19102222:19103155:-1 gene:DRNTG_19133 transcript:DRNTG_19133.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLPLLLLFLLFLTITTTTTSSSSSSSSEKLKRMKKKKITHFTVYWHDIMSGPNPSGAMVAQAPTTKTSTTGFGLVRIIDNPLTKGPTMSSDLVGRAQGFYASTSMEYVGLMMAMNFAFTSGKYNGSTVTILGRNEVFTEVREMPVIGGSGLFRWAQGYAQARTSMLNMTTGDAVVKYDVHVMHY >DRNTG_19133.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19102182:19103023:-1 gene:DRNTG_19133 transcript:DRNTG_19133.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLPLLLLFLLFLTITTTTTSSSSSSSSEKLKRMKKKKITHFTVYWHDIMSGPNPSGAMVAQAPTTKTSTTGFGLVRIIDNPLTKGPTMSSDLVGRAQGFYASTSMEYVGLMMAMNFAFTSGKYNGSTVTILGRNEVFTEVREMPVIGGSGLFRWAQGYAQARTSMLNMTTGDAVVKYDVHVMHY >DRNTG_19133.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19102222:19103023:-1 gene:DRNTG_19133 transcript:DRNTG_19133.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLPLLLLFLLFLTITTTTTSSSSSSSSEKLKRMKKKKITHFTVYWHDIMSGPNPSGAMVAQAPTTKTSTTGFGLVRIIDNPLTKGPTMSSDLVGRAQGFYASTSMEYVGLMMAMNFAFTSGKYNGSTVTILGRNEVFTEVREMPVIGGSGLFRWAQGYAQARTSMLNMTTGDAVVKYDVHVMHY >DRNTG_19133.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19102222:19103075:-1 gene:DRNTG_19133 transcript:DRNTG_19133.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLPLLLLFLLFLTITTTTTSSSSSSSSEKLKRMKKKKITHFTVYWHDIMSGPNPSGAMVAQAPTTKTSTTGFGLVRIIDNPLTKGPTMSSDLVGRAQGFYASTSMEYVGLMMAMNFAFTSGKYNGSTVTILGRNEVFTEVREMPVIGGSGLFRWAQGYAQARTSMLNMTTGDAVVKYDVHVMHY >DRNTG_14328.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12646231:12648202:1 gene:DRNTG_14328 transcript:DRNTG_14328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARYLSCGRGLLRPPQLRQHLLHHLHQHSLI >DRNTG_26770.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18417412:18418922:1 gene:DRNTG_26770 transcript:DRNTG_26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGCRPSVLHFTNLIDGLSRAGNLRACEHFFDEMKKNHCEPDVVCYTVMINGYLVAGEFGKAQELFDEMLVRGHLPNVFTYNSMIRGLCVAEKFDEACAMLKEMDARGCTPNFSVYSTLVGRLRRAGRVAQARAVIGYMVDKGHYLHLVSKFKGYRRC >DRNTG_01276.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:10459298:10463563:1 gene:DRNTG_01276 transcript:DRNTG_01276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTSMNNTEGAQGEKRKGTPNKRWTKEMDHVLILLLADMPTSGLKVDKSFKHQAFVEAANVVNSRFPSACMDADNVENHMRTLKQKYQDIKKLMNLSGVGWNDTEKKLVLEDETYHTYVEGQPKAKEYLNKPIPFFDELRSVAGDDHATGDYARTIFDQFGGMTGEDEIAPPPNTPLDVGVVRPIMKERSQCGAQCIDFGGNLAKEVVEVISLPPLQGSDTFPTSPTSAHLRANEQPLRGSAREVREMG >DRNTG_19200.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2239219:2241455:-1 gene:DRNTG_19200 transcript:DRNTG_19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPIGCASFCTLEDHFIENSACTGVGCCQVPIPPGLKNFSLDFTSISDQSCGAPQNNSQVGCNKVFILDPNKFTFSRRELYSDGKQQKEVVLDWSIGNDNCDKASLNKDSFACVADNSSCSDSSDLLGYRCFCKQGFQGNPYIVDGCKDINECAEGKDDCVHKCINKIGSYECRCHFGTSGDGRRDGIGCNRIAPLDIGLGTGLGFLLILFIISSWSYWALKKQKIRRIKQKYFLQNGGLLLQQHISSQIATARIFTTEELELATDGFHGSRVLGHGGYGTVYKGILPGDMPVAIKKSKLVDETQIEQFINEVVILSQIKHKNVVKLLGCCLETQVPLLVYEFISNGTLYQHLHAVKTSAASHMNWETRLRIAVETAAALAYLHGVTSTPIIHRDVKSTNILVDDNYIAKVSDFGASRLVPHNRTHVTTVVQGTLGYLDPEYFHTGLLTDKSDVYSFGVVLVELLTRENPVSFGRAKDDGNLAFHFVTLVEENRLIEGLDKETIEEAGIMQLLAVAQLAKRCLNVKGEERPTMKEVAVELEALRRLMHQHFVQEGKVYRTSTSTSLTIYDTAMQDSMKSMESHLLSEPIIREPR >DRNTG_07683.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23245775:23246467:1 gene:DRNTG_07683 transcript:DRNTG_07683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIIIISLAVLLLILCNSSPSSLADNNPLQDLCPTTSSTPNPIFLNGYLCKNPTTITASDFKTSKINHPGNTDNYARSSTDILTPIEFPGLNTMGLSISRTDMAMDGAVLPHYHPRATEILFVSLGTVIVGFGDSKGRLFLKTLREGDVFLVPRALPHFCVNAGYGLATFFSALNSQNPGFVSLVGNLYSSSNDAVMLPRLLSLRTNFAVDSTSTNYTTTTASFFDFFT >DRNTG_08546.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17355474:17359180:1 gene:DRNTG_08546 transcript:DRNTG_08546.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stromal cell-derived factor 2-like protein [Source:Projected from Arabidopsis thaliana (AT2G25110) UniProtKB/Swiss-Prot;Acc:Q93ZE8] MALSLFALSLFLFLTLDLDDGSPQPTYAAASEGVEITFGSVMKLMHEKTKFRLHSHDVPYGSGSGQQSVTGFPNVDDSNSYWIVKPTLDSSAKQGDVIESGTLIRLQHMRTRRWLHSHLHASPISGNMEVSCFGGDDNSDTGDFWRLEIEGNKKIWKQDQRIRLRHVDTSGYLHSHDKKYSRIAGGQQEVCGVRDKRPDNIWLATEGVYLPVNKAK >DRNTG_17934.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25203033:25206270:-1 gene:DRNTG_17934 transcript:DRNTG_17934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRMLIEDLKDGTYDNKCSCKACDPSPLAPYSHILKPGRKDTNLQGFRGDFWGIFGPNNKEKEIVAVKLTFAAFAKSESFSDSINLNGVSVLSQHSSSWTLCIAGCSQAHDGSTLKVGDCKERCSGMLMASACFERGKPIHIPGENNVSSSHLWWRHRYDITSQSKQEMDKKAKTYPGSVVTIDNDGEWFNFAIVDNESDEN >DRNTG_26112.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20839510:20840185:1 gene:DRNTG_26112 transcript:DRNTG_26112.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGQRALDTNRPSGQHNLVDWAKPYLSDRRKLARLMDPRLEGQYPSKGVLHAAQLTLKCLASEPRNRPSMKEVVETLEQIEAIKSRSKDSTKDATAPRHASRRPAQSPVHHRSPLHPKHDGTGSGVRVNHHSPKLR >DRNTG_00924.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21379225:21379636:1 gene:DRNTG_00924 transcript:DRNTG_00924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRGRLYYGRAPYSSWFLPSHVAAGIDVKGHNYEIIQFGSGLRLCLGISMSLRHVMHLNLARIIQDFELRLINNIPNELFEGLFSMALYSASLVMEITPRLPLEIYQS >DRNTG_07863.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000349.1:63969:68294:-1 gene:DRNTG_07863 transcript:DRNTG_07863.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSILDLDVLFYPCPRNGPNFRPKVLQMGGKQQFPYMVDPNTGVAMYESDNIIKYLADKYGDGTVPLMLSLGFLTTLTAGLAMLPRMRRGSSYLPAKLPPQPLVYWAYEGSPFCRLVREVLVELELPHLLRTVARGSPKRQELYKQASHFQAPYLEDPNTGVKMFESAAIVDYLKATYAQ >DRNTG_07863.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000349.1:63969:68294:-1 gene:DRNTG_07863 transcript:DRNTG_07863.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSILDLDVLFYPCPRNGPNFRPKVLQMGGKQQFPYMVDPNTGVAMYESDNIIKYLADKYGDGTVPLMLSLGFLTTLTAGLAMLPRMRRGSSYLPAKLPPQPLVYWAYEGSPFCRLVREVLVELELPHLLRTVARGSPKRQELYKQASHFQAPYLEDPNTGVKMFESAAIVDYLKATYAQ >DRNTG_07863.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000349.1:63969:68294:-1 gene:DRNTG_07863 transcript:DRNTG_07863.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSILDLDVLFYPCPRNGPNFRPKVLQMGGKQQFPYMVDPNTGVAMYESDNIIKYLADKYGDGTVPLMLSLGFLTTLTAGLAMLPRMRRGSSYLPAKLPPQPLVYWAYEGSPFCRLVREVLVELELPHLLRTVARGSPKRQELYKQASHFQAPYLEDPNTGVKMFESAAIVDYLKATYAQ >DRNTG_04134.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30205763:30210668:1 gene:DRNTG_04134 transcript:DRNTG_04134.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSASEPLIWSSFRNSWLRIGKQELRRMVEIPSRIATAMTVAAMTKDADGPAYLAAPAGEGTNPPEAPVVVFVNSRSGGRHGLMLKDRLQMLIGKDQVYDLSCVKPDDFVQYGLGCLETLASLGDLCAKSIREKLRIMVAGGDGTVGWVLGSLAELHTQNRTPVPPIGIIPLGTGNDLSRSFGWGGSFPFTWRTTVKNSIHRAVTGPVCHLDSWEVVISVPELEPIDLPHCLRQLDDHIYIQDGDNEEQSPLRGSCYKGVFYNYFSVGMDAQVAYGFHHLRDEKPYIAQSPIANKLIYTGYGCTQGWFMTPCMTDASFRGLKNILRLYIKRFNSTEWEQIHVPKSVRAIVALNLHSYGGGRNPWGNPKPEYLEKRGFVEAHVDDGLLEIFGLKQGWHASFVMVELIPAKHITQAAAIRLEMRGGEWRDAYMQMDGEPWKQPITGEFSTTVIINRMPFQSLLIAGDVSVHHHLMPFL >DRNTG_22430.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23359445:23361098:-1 gene:DRNTG_22430 transcript:DRNTG_22430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASRPAVHPIEYPPEATENPRRVRMKDVQGMGGTTGGLALRLCQFIFAAAALCVMASTDDFSSATAFRYLVAAAGLQSLWSLALALLDIYALLVKRCLRNSRVLCLFTIGDGITCTLTFAAACASAGITVLLGNDLDNCKVSQCIRFETATGMAFLSWFAISPSFFLNFWSLATK >DRNTG_22430.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23358147:23360808:-1 gene:DRNTG_22430 transcript:DRNTG_22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASRPAVHPIEYPPEATENPRRVRMKDVQGMGGTTGGLALRLCQFIFAAAALCVMASTDDFSSATAFRYLVAAAGLQSLWSLALALLDIYALLVKRCLRNSRVLCLFTIGDGITCTLTFAAACASAGITVLLGNDLDNCKVSQCIRFETATGMAFLSWFAISPSFFLNFWSLATK >DRNTG_03151.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21347661:21350826:-1 gene:DRNTG_03151 transcript:DRNTG_03151.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQIVRRRVGRILNHVAPCDDIFPSNPHLFPMNCSSTLNTMVRRYDNRLLFARQGSASQPCFMQPVSSGQNTEHDHSCQLSSHLTNSSSGTCDSSKSPL >DRNTG_03151.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21347661:21350826:-1 gene:DRNTG_03151 transcript:DRNTG_03151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQIVRRRVGRILNHVAPCDDIFPSNPHLFPMNCSSTLNTMVRRYDNRLLFARQGSASQPCFMQPVSSGQNTEHDHSCQLSSHLTNSSSGTCDSSKSPL >DRNTG_13690.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22205209:22218568:1 gene:DRNTG_13690 transcript:DRNTG_13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETPRGLDKARLERVERVSGEEMFNPDSYEGLFDQEEEIEEVMMLGSTEEVPSTPGILNKVLRTMKRERRSHRKASKTIGRVCEPNDEPFLGLISTSYYQFLEHFYLVFWPIPILLISTRRSKKQAEKCPLESSSEFEGMSFTSPDHQAHFVRLSRLQCGHTRYLDSSILRELQQGDEFAEEVEDLVLVWGWSQLLTIRELAILELTLEFSVSLGLYEEAFKDTEEYSLSPTDYLGALTPQRAYRVLCGQGQSVNGRGDSTEYIHHQGHYVRLGAIFSGPYITRLVMGMGLLERSSQAPILHDFGQFWHQMPQGRVRARTHDPAPVLYKISKTGVHANASSPSTGVANGPFKGHRIKEPIPGIDFTAIFHTQVHQRSPSLPRVASCHPEQITCSSMAVRWIQMSMSRIADHLGIRLSHEPTFPMCPTSHIGFFTSNLSESQDDSTFSSSDNPSENAQL >DRNTG_03463.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20603535:20612020:1 gene:DRNTG_03463 transcript:DRNTG_03463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLALLTSAGINIGLCSLFLMLYSILRKQPGNVNVYFGRRIAEEASRRSNSFTFERFVPSPSWIVKALQCKEEEILSVSGLDAVVFLRLIVFSMRIFCIAAVACVFGILPLNYFGQETKHKSFSSESLEVFTISNVQEESSWFWVHCLALYIISISACVLLYVEYKSIARMRLVHIRQSPNPSHFTVLVRSIPKSPEESYSDSVRKFFQKYHSSSYLSHQMIYKTGKVQKIMTNAEKAYRKFMHLKNIAIDQKCISGIHRCCLCAASSDTFQLQCNDFELNSKKTDLDHSNSSKIEKECSAAFVFFKTRYAAVIISDVLQSSNPMVWVTDLAPEPHDVYWSNLWLPYRQLWIRRIATLLASIVFMFLFLIPVTFVQGLSQLDQLQQKLPFLRGILKKTFVSQIVTGYLPSVILQLFLYSIPPIMMLFSTLEGPISHSERKKSAAFKVLYFTIWNVFFVNVLSGSVISQLNVISSPKGIPTQLAKAVPRQATFFTTYVLTSGWASLSSEVMQLFPLIWNLLKRYIFQINSDQLSIPSFPYHTEVPKVLLMGLLGFTLAILAPLILPFLLVYFFLCYVVYRNQILNVYCSKYETGGRMWPIMHNTTIFSLVLSQIIALGVFGLKKSPVSAGFMIPLVILTLLFNEYCRQRFNPIFKSLSAMNLIEMDQEDEQCGRMEEIHQQLHTAYQQLPPDEIDFCSGECSGSHELHENEGSRTIQLDDDKDQTNDDNDCRKPLEKIVPVA >DRNTG_03463.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20603535:20612020:1 gene:DRNTG_03463 transcript:DRNTG_03463.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFCIAAVACVFGILPLNYFGQETKHKSFSSESLEVFTISNVQEESSWFWVHCLALYIISISACVLLYVEYKSIARMRLVHIRQSPNPSHFTVLVRSIPKSPEESYSDSVRKFFQKYHSSSYLSHQMIYKTGKVQKIMTNAEKAYRKFMHLKNIAIDQKCISGIHRCCLCAASSDTFQLQCNDFELNSKKTDLDHSNSSKIEKECSAAFVFFKTRYAAVIISDVLQSSNPMVWVTDLAPEPHDVYWSNLWLPYRQLWIRRIATLLASIVFMFLFLIPVTFVQGLSQLDQLQQKLPFLRGILKKTFVSQIVTGYLPSVILQLFLYSIPPIMMLFSTLEGPISHSERKKSAAFKVLYFTIWNVFFVNVLSGSVISQLNVISSPKGIPTQLAKAVPRQVIY >DRNTG_03463.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20603535:20612020:1 gene:DRNTG_03463 transcript:DRNTG_03463.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVHIRQSPNPSHFTVLVRSIPKSPEESYSDSVRKFFQKYHSSSYLSHQMIYKTGKVQKIMTNAEKAYRKFMHLKNIAIDQKCISGIHRCCLCAASSDTFQLQCNDFELNSKKTDLDHSNSSKIEKECSAAFVFFKTRYAAVIISDVLQSSNPMVWVTDLAPEPHDVYWSNLWLPYRQLWIRRIATLLASIVFMFLFLIPVTFVQGLSQLDQLQQKLPFLRGILKKTFVSQIVTGYLPSVILQLFLYSIPPIMMLFSTLEGPISHSERKKSAAFKVLYFTIWNVFFVNVLSGSVISQLNVISSPKGIPTQLAKAVPRQATFFTTYVLTSGWASLSSEVMQLFPLIWNLLKRYIFQINSDQLSIPSFPYHTEVPKVLLMGLLGFTLAILAPLILPFLLVYFFLCYVVYRNQILNVYCSKYETGGRMWPIMHNTTIFSLVLSQIIALGVFGLKKSPVSAGFMIPLVILTLLFNEYCRQRFNPIFKSLSAMNLIEMDQEDEQCGRMEEIHQQLHTAYQQLPPDEIDFCSGECSGSHELHENEGSRTIQLDDDKDQTNDDNDCRKPLEKIVPVA >DRNTG_03463.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20603535:20612020:1 gene:DRNTG_03463 transcript:DRNTG_03463.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMSYYFMQECSAAFVFFKTRYAAVIISDVLQSSNPMVWVTDLAPEPHDVYWSNLWLPYRQLWIRRIATLLASIVFMFLFLIPVTFVQGLSQLDQLQQKLPFLRGILKKTFVSQIVTGYLPSVILQLFLYSIPPIMMLFSTLEGPISHSERKKSAAFKVLYFTIWNVFFVNVLSGSVISQLNVISSPKGIPTQLAKAVPRQATFFTTYVLTSGWASLSSEVMQLFPLIWNLLKRYIFQINSDQLSIPSFPYHTEVPKVLLMGLLGFTLAILAPLILPFLLVYFFLCYVVYRNQILNVYCSKYETGGRMWPIMHNTTIFSLVLSQIIALGVFGLKKSPVSAGFMIPLVILTLLFNEYCRQRFNPIFKSLSAMNLIEMDQEDEQCGRMEEIHQQLHTAYQQLPPDEIDFCSGECSGSHELHENEGSRTIQLDDDKDQTNDDNDCRKPLEKIVPVA >DRNTG_03463.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20603535:20604845:1 gene:DRNTG_03463 transcript:DRNTG_03463.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLALLTSAGINIGLCSLFLMLYSILRKQPGNVNVYFGRRIAEEASRRSNSFTFERFVPSPSWIVKALQCKEEEILSVSGLDAVVFLRLIVFSMRIFCIAAVACVFGILPLNYFGQETKHKSFSSESLEVFTISNVQEESSW >DRNTG_03463.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20603535:20612020:1 gene:DRNTG_03463 transcript:DRNTG_03463.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSYYFMQECSAAFVFFKTRYAAVIISDVLQSSNPMVWVTDLAPEPHDVYWSNLWLPYRQLWIRRIATLLASIVFMFLFLIPVTFVQGLSQLDQLQQKLPFLRGILKKTFVSQIVTGYLPSVILQLFLYSIPPIMMLFSTLEGPISHSERKKSAAFKVLYFTIWNVFFVNVLSGSVISQLNVISSPKGIPTQLAKAVPRQATFFTTYVLTSGWASLSSEVMQLFPLIWNLLKRYIFQINSDQLSIPSFPYHTEVPKVLLMGLLGFTLAILAPLILPFLLVYFFLCYVVYRNQILNVYCSKYETGGRMWPIMHNTTIFSLVLSQIIALGVFGLKKSPVSAGFMIPLVILTLLFNEYCRQRFNPIFKSLSAMNLIEMDQEDEQCGRMEEIHQQLHTAYQQLPPDEIDFCSGECSGSHELHENEGSRTIQLDDDKDQTNDDNDCRKPLEKIVPVA >DRNTG_28027.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23378157:23379146:1 gene:DRNTG_28027 transcript:DRNTG_28027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQVLQTLLTLFSIQLAVLISSGLASNFMITGGYLTEGQNLTYKEYTLTMQSDCNLVLRNGSTVIWETMTGGYGQGCYLSLYQNGKLYLYTRYGIYSYPIWNSRTESQYGTYALVLRYDGTLGIYGPKIWTARSSSAQPLSTTGTGLVNWAKVTDSVLYSGDVAPIGTTIVNGGSVLTLQNDCNLVLTNDGVTKWQTGVTDNTLHDCFVNLEANGEFRVKHWGGDILWTNGVAATAYAEFVLILQSNADLGVYGPRIWSSVSASGIGKPSADHGIEMVTDK >DRNTG_01516.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:12918774:12919836:1 gene:DRNTG_01516 transcript:DRNTG_01516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPSKEPPKFKLHNSRPKLFPWRPKGNLCLAFNLTPSRKGPQGCHVPVRQPMRLTTSRARKGQKPSQAPCARMEPIWLA >DRNTG_23033.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3261441:3263677:-1 gene:DRNTG_23033 transcript:DRNTG_23033.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFLRVPSPPHTALKTLARNLFSSSISASQMDSASTSAEMGASSDDGKKKVFVAGSTGRTGKKIVEQLLAKGFAVRAGALDLEKVKADVTEGSEKLVEAIGDAEAVICTTGFRYSWDVFAPWKVDNFGTVNLVEACRKIGVKRFILISSILVNGAAMGQILNPAYVLLNAFGLTLIAKLQAEQYIRKSGINYTIIRPGGLRDDPPSGNIIMEPEDTLYEGSVSRDQVAEVAVEAILCPESYFKVVEIVSRSDGPRRPIKDLFSAIQQK >DRNTG_23033.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3260014:3263492:-1 gene:DRNTG_23033 transcript:DRNTG_23033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASTSAEMGASSDDGKKKVFVAGSTGRTGKKIVEQLLAKGFAVRAGALDLEKVKADVTEGSEKLVEAIGDAEAVICTTGFRYSWDVFAPWKVDNFGTVNLVEACRKIGVKRFILISSILVNGAAMGQILNPAYVLLNAFGLTLIAKLQAEQYIRKSGINYTIIRPGGLRDDPPSGNIIMEPEDTLYEGSVSRDQVAEVAVEAILCPESYFKVVEIVSRSDGPRRPIKDLFSAIQQK >DRNTG_21973.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2279492:2292619:-1 gene:DRNTG_21973 transcript:DRNTG_21973.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRGSSFSSILTIFKHANTTDQWLMAFGFIGAVGDGLSGPITVYITSRLMNNLGDDISSSYSLFNHNMNENALHMLFVAGGSFVAAFLEGFCWTRTAERQASTMRIKHLKAMLRQDVEYFDLKLASTSEVIDNISSDSLVIQDVISEKVPNFIMNFSTFVGCYAIGFILMWRLAIVVLPTVFLLIIPGILCGRILMSIAREMREEYSKATYVVEQAISSVRTVYSFVGEQKTMENFSAALDGSVKLGLHHGLIKGLAIGSTGVTFAIWSFVSWYGSKFVMHDGGLGGSVFATGTGIIFGGMALGTGLSCLKSFSEAISAGERIMEMVKRIPKIDIDSTEGEILENVDGEVEFKGVDFAYPSRLENSILNEFSLKVPAGMAVALVGSSGSGKSTVIALLERFYNPLHGEVLLDTVNIKQLKLKWLRSQIGLVSQEPALFATSIKENILFGKEDASMDEVVAAAKASNAHNFICQLPQGYDTQVGERGVQMSGGQKQRIAIARALLKSPKILLLDEATSALDTESERIVQKALDKVSLGRTTIVIAHRLSTIRNADLIAVIQAGKVTETGTHTELLQNENGLYSTLVHLQQSSKTTEMVEVHPSASMSTCISNNEDQISQNMRVVNFPKPSDSNETQKNQLEKPKMKPPAPSFWRLLLMNTPEWKQAVIGSISATFFGAVQPIYAYVLGGVVSVYFLKDHKEMNDSIEVYSLIFLSLSVISLFLNVVQHYNLAAMGEYLTKRVREVMLSKILTFEVGWFDQDQNSSGAICSRLSQDANAVRSLVGDRMALLIQTFSAVAIACTMGLVIAWRLALVMIAVQPLIIMCFYARKTLLKNMSSKAIKAQSESSKLAAEAVGNLRTVTAFCSQDRILHLFEKAQEGPLRESVKQS >DRNTG_21973.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2288723:2292619:-1 gene:DRNTG_21973 transcript:DRNTG_21973.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRGSSFSSILTIFKHANTTDQWLMAFGFIGAVGDGLSGPITVYITSRLMNNLGDDISSSYSLFNHNMNENALHMLFVAGGSFVAAFLEGFCWTRTAERQASTMRIKHLKAMLRQDVEYFDLKLASTSEVIDNISSDSLVIQDVISEKVPNFIMNFSTFVGCYAIGFILMWRLAIVVLPTVFLLIIPGILCGRILMSIAREMREEYSKATYVVEQAISSVRTVYSFVGEQKTMENFSAALDGSVKLGLHHGLIKGLAIGSTGVTFAIWSFVSWYGSKFVMHDGGLGGSVFATGTGIIFGGMALGTGLSCLKSFSEAISAGERIMEMVKRIPKIDIDSTEGEILENVDGEVEFKGVDFAYPSRLENSILNEFSLKVPAGMAVALVGSSGSGKSTVIALLERFYNPLHGEVLLDTVNIKQLKLKWLRSQIGLVSQEPALFATSIKENILFGKEDASMDEVVAAAKASNAHNFICQLPQGYDTQVSNSN >DRNTG_30958.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001647.1:32817:33883:-1 gene:DRNTG_30958 transcript:DRNTG_30958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTSIYGPDSIYHSPRPPVHFPPDPNLSILSFLSAAASGHPHRIALADSDTDETLSFSDLLSLIPRAGLGLSRRLGVTCNSVVLIFAPNSTDFLVCFLAITALGAIATTVNPLYTIPELSKQAQDSGASVVITIPDLWPKVRNLNLPTIFLSPPIPSFDSDSIPITFFSDLIAHDGSEFIQPAIHQTDIAALLYSSGTTGPSKGVILTHRNFICTAIAATADQEIVGDPPNVFLCFAPLFHILGLSVIAYAQLWRGNSVVIMKRYEMGRMLRSIERFKVTHLYLVPPVMIALAKQGRI >DRNTG_01612.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11972920:11974268:-1 gene:DRNTG_01612 transcript:DRNTG_01612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTPRGLDNARLEKIKRVSRESCELDSVLDQFEKFALAYMSIHLEESLERVLPQFDSSYHERRQGLFSLGVLTSGQEISEIENVVVIEDHSDAHVQAEEVENNIEQGLENFEE >DRNTG_28638.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28307635:28309113:1 gene:DRNTG_28638 transcript:DRNTG_28638.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAMVSRQGRQFQRYNNSGRRRVVGCIPYKFNLNKSSSEGVDKRVEVLVISSQKGYGMMFPKGGWEKDENIEQAALREAWEEAGVQGDIESELGKWSYKSKSQDIMHEGVMFPLNVTEELVRWPEMNVRKRQWVSVEEVKKGCPHSWMIDALDRLIARLRHQSMLTDNIFN >DRNTG_28638.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28307932:28309113:1 gene:DRNTG_28638 transcript:DRNTG_28638.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAMVSRQGRQFQRYNNSGRRRVVGCIPYKFNLNKSSSEGVDKRVEVLVISSQKGYGMMFPKGGWEKDENIEQAALREAWEEAGVQGDIESELGKWSYKSKSQDIMHEGVMFPLNVTEELVRWPEMNVRKRQWVSVEEVKKGCPHSWMIDALDRLIARLRHQSMLTDNIFN >DRNTG_28638.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28307932:28309467:1 gene:DRNTG_28638 transcript:DRNTG_28638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAMVSRQGRQFQRYNNSGRRRVVGCIPYKFNLNKSSSEGVDKRVEVLVISSQKGYGMMFPKGGWEKDENIEQAALREAWEEAGVQGDIESELGKWSYKSKSQDIMHEGVMFPLNVTEELVRWPEMNVRKRQWVSVEEVKKGCPHSWMIDALDRLIARLRHQSMLTDNIFN >DRNTG_31702.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14468688:14469749:1 gene:DRNTG_31702 transcript:DRNTG_31702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDNVMDAFICIIQKSLSRVPYPYKKRASITRPLALFYVKRNLFDTCIDMEFGETVATKYPLVHDMETPGQKRGSVDCAVYVMRFIEQLLNDEKLWLPQMDVPYLRLKYVACILKEERATDIIEKGGSLFVFKFGGSFIARSAIVTGAVAAAAM >DRNTG_01069.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:8187284:8191020:-1 gene:DRNTG_01069 transcript:DRNTG_01069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASRRIDFSIEIDFDAKPAQQQGIEKSDAKVSPKTSPELVKSLKRGNSGVEKLLSPELVK >DRNTG_22009.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14363810:14365076:1 gene:DRNTG_22009 transcript:DRNTG_22009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKEWVKRVIATFDDMSLGGETRLRVATRLLEGRARIWWESLKSRSFGQVTWSDFLREFDEEYYTRFHRDQKRHEFMRLVQGNKTVTEYETELKDLANFVPELAPTEEVLCSKFEVGLNLGIRERMTVTSKQSFKEMVQSALRAEQLVREGKRVRENIAKRRSLEAGQPSKKSRSEGSSKGNSTPGPTRPPLSQSGDQQRLTRSDSAPSVRGPEASNRCKNCGKPHKGQCQTPRKCFHCGQTGHLRSACPELGRGGST >DRNTG_28617.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28458597:28460244:1 gene:DRNTG_28617 transcript:DRNTG_28617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLAKLLHSNGFQITFVNTHFNHKRLLKSRGVSSLSGVPGFDFKSIPDGLPPSNEDATQDVPALCESATNNFLAPFLDLLGNLNHDPPVSCIVSDGAMSFTLDAAKQLGVPEVLFWTTSACGFMGYLHYQHLIDQGLVPLKNEEDLTNGYLDMHITTVPGMDNMRLKDFPSFVRTTNPNDIMLNYCRQETMRASMGSAIILNTFEELEGQVLDAMRSILKPPIFTIGPLSLLNNNMIKDAELYSSLGSNLWKEELGCFEWLKGRKEASVVYVNFGSIAVMSNEHLVEFAWGLANSKLDFLWVIRGDLVKGDSAVLPQEFLEEINGRGMLATWCPQEEVLNHPAIGGFLTHSGWNSTIESIVAGVPMISWPFFAEQQTNCRYVCVEWGLGMEIDSDVKRDEVEKQVRELMEGEKGEEMRKKAMELKEKAFRSTEPSGTSTVNFEKLVHEVLLSTKSKAIK >DRNTG_02235.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1119584:1121427:-1 gene:DRNTG_02235 transcript:DRNTG_02235.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAKNKHINAGKCCHALAIHADLLADTLICNMLINVYSKCGLVQYARYVFDRMPERTVVSWNTMIAAHNHQWQDTEALELFMEMCRDGTSLVTKFTLSSVLCACAAKGAILESRQLHALAVKIAVDSNVYVGTALLDVYGKCGMIDDACWAFESMPEKSSVTWSSMIAGCVQNDLHEEALLFFRKAQVLGVECTQFTLSAVLSACASLAVTIEGVQLHAILIKVGFRSDMYVRTSLIDVYSRCGCIAEAYLVFTDMEEKSIVLWNAMIAGFSRHACVNEAMILFEKMQQKGVCPNEVTYISLLSACSHVGSVESGRRYFDQMLKDENVQPNVLHYSCMVDVLGRSGQIQEAWRLIENMPFKATAAMWGSLLGACRTHGELRLAKVAAEHLFEIEPENAGNHVLLSNLYAANRRWGDVANARKLLKDSGAKKEIGKSWIEVKNRVHIFVVGDHNHPRFSEIYAKLEDLENEMKKLAYKVETHSDLHDVEEDQKQELLRHHSEKLALAFGLISLPSGLPIRIKKNLRICRDCHSFMKFASRISDREIIVRDTNRFHHFRSGSCSCRDFW >DRNTG_28655.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6148599:6152316:1 gene:DRNTG_28655 transcript:DRNTG_28655.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYKPKNILITGAAGFIASHVANRLIRNYPEYKVVVLDKLDYCSNLKNLNPSRSSPNFKFVKGDIGSADLVNYILITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMKGQPLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEIGHVYNIGTKKERRVIDVAKDICKLFSLDPENAIKFVENRPFNDQRYFLDDQKLKNLDWSERTTWEEGLRKTMEWYTSNPTWWGDVSGALVPHPRMLMMPGVERQPDCSEEIKNTESESSPGQNKMNVPASRGAAASPKKSSFKFLIYGRTGWIGGLLGKICEKQGIPYEYGRGRLEDRSQLILDIQNVKPTHVFNAAGVTGRPNVDWCESHKPETIRTNVVGTLTLADVCREHGLLMMNYATGCIFEYDAGHPEGSGIGFKEEDKPNFTGSFYSKTKAMVEELMKEFENVCTLRVRMPISSDLSNPRNFITKISRYNKVVNIPNSMTILDELLPLSVEMAKRNCRGIWNFTNPGVVSHNEILEMYKKYIDPGFEWANFTLEEQAKVIVAARSNNEMDASKLKMEFPELLSIKDSLIKYVFEPNKKVFAE >DRNTG_28655.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6148599:6152504:1 gene:DRNTG_28655 transcript:DRNTG_28655.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYKPKNILITGAAGFIASHVANRLIRNYPEYKVVVLDKLDYCSNLKNLNPSRSSPNFKFVKGDIGSADLVNYILITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMKGQPLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEIGHVYNIGTKKERRVIDVAKDICKLFSLDPENAIKFVENRPFNDQRYFLDDQKLKNLDWSERTTWEEGLRKTMEWYTSNPTWWGDVSGALVPHPRMLMMPGVERQPDCSEEIKNTESESSPGQNKMNVPASRGAAASPKKSSFKFLIYGRTGWIGGLLGKICEKQGIPYEYGRGRLEDRSQLILDIQNVKPTHVFNAAGVTGRPNVDWCESHKPETIRTNVVGTLTLADVCREHGLLMMNYATGCIFEYDAGHPEGSGIGFKEEDKPNFTGSFYSKTKAMVEELMKEFENVCTLRVRMPISSDLSNPRNFITKISRYNKVVNIPNSMTILDELLPLSVEMAKRNCRGIWNFTNPGVVSHNEILEMYKKYIDPGFEWANFTLEEQAKVIVAARSNNEMDASKLKMEFPELLSIKDSLIKYVFEPNKKVFAE >DRNTG_28655.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6148696:6152316:1 gene:DRNTG_28655 transcript:DRNTG_28655.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYKPKNILITGAAGFIASHVANRLIRNYPEYKVVVLDKLDYCSNLKNLNPSRSSPNFKFVKGDIGSADLVNYILITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMKGQPLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEIGHVYNIGTKKERRVIDVAKDICKLFSLDPENAIKFVENRPFNDQRYFLDDQKLKNLDWSERTTWEEGLRKTMEWYTSNPTWWGDVSGALVPHPRMLMMPGVERQPDCSEEIKNTESESSPGQNKMNVPASRGAAASPKKSSFKFLIYGRTGWIGGLLGKICEKQGIPYEYGRGRLEDRSQLILDIQNVKPTHVFNAAGVTGRPNVDWCESHKPETIRTNVVGTLTLADVCREHGLLMMNYATGCIFEYDAGHPEGSGIGFKEEDKPNFTGSFYSKTKAMVEELMKEFENVCTLRVRMPISSDLSNPRNFITKISRYNKVVNIPNSMTILDELLPLSVEMAKRNCRGIWNFTNPGVVSHNEILEMYKKYIDPGFEWANFTLEEQAKVIVAARSNNEMDASKLKMEFPELLSIKDSLIKYVFEPNKKVFAE >DRNTG_28655.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6148696:6152316:1 gene:DRNTG_28655 transcript:DRNTG_28655.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYKPKNILITGAAGFIASHVANRLIRNYPEYKVVVLDKLDYCSNLKNLNPSRSSPNFKFVKGDIGSADLVNYILITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMKGQPLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEIGHVYNIGTKKERRVIDVAKDICKLFSLDPENAIKFVENRPFNDQRYFLDDQKLKNLDWSERTTWEEGLRKTMEWYTSNPTWWGDVSGALVPHPRMLMMPGVERQPDCSEEIKNTESESSPGQNKMNVPASRGAAASPKKSSFKFLIYGRTGWIGGLLGKICEKQGIPYEYGRGRLEDRSQLILDIQNVKPTHVFNAAGVTGRPNVDWCESHKPETIRTNVVGTLTLADVCREHGLLMMNYATGCIFEYDAGHPEGSGIGFKEEDKPNFTGSFYSKTKAMVEELMKEFENVCTLRVRMPISSDLSNPRNFITKISRYNKVVNIPNSMTILDELLPLSVEMAKRNCRGIWNFTNPGVVSHNEILEMYKKYIDPGFEWANFTLEEQAKVIVAARSNNEMDASKLKMEFPELLSIKDSLIKYVFEPNKKVFAE >DRNTG_28655.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6148599:6152316:1 gene:DRNTG_28655 transcript:DRNTG_28655.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYKPKNILITGAAGFIASHVANRLIRNYPEYKVVVLDKLDYCSNLKNLNPSRSSPNFKFVKGDIGSADLVNYILITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMKGQPLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEIGHVYNIGTKKERRVIDVAKDICKLFSLDPENAIKFVENRPFNDQRYFLDDQKLKNLDWSERTTWEEGLRKTMEWYTSNPTWWGDVSGALVPHPRMLMMPGVERQPDCSEEIKNTESESSPGQNKMNVPASRGAAASPKKSSFKFLIYGRTGWIGGLLGKICEKQGIPYEYGRGRLEDRSQLILDIQNVKPTHVFNAAGVTGRPNVDWCESHKPETIRTNVVGTLTLADVCREHGLLMMNYATGCIFEYDAGHPEGSGIGFKEEDKPNFTGSFYSKTKAMVEELMKEFENVCTLRVRMPISSDLSNPRNFITKISRYNKVVNIPNSMTILDELLPLSVEMAKRNCRGIWNFTNPGVVSHNEILEMYKKYIDPGFEWANFTLEEQAKVIVAARSNNEMDASKLKMEFPELLSIKDSLIKYVFEPNKKVFAE >DRNTG_08595.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30368591:30372541:1 gene:DRNTG_08595 transcript:DRNTG_08595.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSTWFRYAANKFEYSLSLGWKKYNIGQINGRELSDAIWKNFFSRQADIFLHWNKGEEMTPTITGEGGTLLVRKLPFPAPTQVFVGDVVMLKDPDKPDDYLVRRLAAIEGYEMVSKDEKDEPFVLEKDQCWVMSDNEALKPKEAKDSRLYGPVPMTSIVGRVIYSLRSAVDHGPVQNSHFAMSQDSSVLAVELDVDEMAKSTRT >DRNTG_00653.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16487895:16492166:-1 gene:DRNTG_00653 transcript:DRNTG_00653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDRAGAGSPAMFKGTDYSFPRTMVALALWLGAIHFNVVLILVALLFLPTRLGLAVLALQLFFMVIPLNERSGLGRRLARFICKYAAGYFPLTLHVEDLQAFDPNQAYVFGYEPHSVFAIGAWGLMNHTGFMPLPKIKFLGSSAIFHIPFMRQIWTWLGVVPTTRKNFYKYLEAGYSCVVVPGGVREMFHMDYDTEVAFLKSRKGFVRIALETGRPLVPVFCFGQSYVYKWKRFDGSKLLVKIAQAIQYAPIVYWGRFGTPIPFQHPMHVVVGKPIELEKNPKPTTEEVNAVHEQFVVALQDLFEKYKAKVGCPDLRLRVL >DRNTG_21140.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2386501:2390206:-1 gene:DRNTG_21140 transcript:DRNTG_21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKKSFLSFDSFDSLPRSCQIEQGLFNKQMKSQSIITSPIKPVEYLDLSFRVLPEEHSVLASSNSGYISSRDNSADNFPRHTAFHSGEGTLDFIEHGSENDQNARHEKNILSAFTKSSSVKEGTFSFSKANAKHRFGHLKKLLDPIVRYRSMRSLSLNEAETYGVTASVFHKTLLTDTSSKVERIECDESLIEGNKALITNPSSAHLYGTLKLEFKQGVPAFEFSVKDPDLVLLAKTWKTDNAFNWVYTFHRKKSNSTVWSAKDRHKHSSPTIGQMHVSCDLCFEVNGDKHLNKSMVTEFILFDTDQARRSYITNIALDNKSSSKDHDKHASNDNDSIVSASYPLAPSELHPQHEIAAIVIQTAFTKKDGSKELQADETNTTSTGQYYSNINVVTPSGRHGLSHTEEDGLSSLLDRWRSNGGCDCGGWDVGCPLSVFKNCILNSGNQSMMLSVQGNKEKLPALSIKADGKGQYSVQFHARFSALQAFSICIAMLHGLETPEKILKLYPNSLNMLLGDEVRSLIEAVNTEKAKKLDKRVEEIHPCFNLDPPFSPMSR >DRNTG_21140.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2386501:2390206:-1 gene:DRNTG_21140 transcript:DRNTG_21140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKKSFLSFDSFDSLPRSCQIEQGLFNKQMKSQSIITSPIKPVEYLDLSFRVLPEEHSVLASSNSGYISSRDNSADNFPRHTAFHSGEGTLDFIEHGSENDQNARHEKNILSAFTKSSSVKEGTFSFSKANAKHRFGHLKKLLDPIVRYRSMRSLSLNEAETYGVTASVFHKTLLTDTSSKVERIECDESLIEGNKALITNPSSAHLYGTLKLEFKQGVPAFEFSVKDPDLVLLAKTWKTDNAFNWVYTFHRKKSNSTVWSAKDRHKHSSPTIGQMHVSCDLCFEVNGDKHLNKSMVTEFILFDTDQARRSYITNIALDNKSSSKDHDKHASNDNDSIVSASYPLAPSELHPQHEIAAIVIQTAFTKKDGSKELQADETNTTSTGQYYSNINVVTPSGRHGLSHTEEDGLSSLLDRWRSNGGCDCGGWDVGCPLSVFKNCILNSGNQSMMLSVQGNKEKLPALSIKADGKGQYSVQFHARFSALQAFSICIAMLHGLETPEKILKLYPNSLNMLLGDEVRSLIEAVNTEKAKKLDKRVEEIHPCFNLDPPFSPMSR >DRNTG_21140.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2386501:2390206:-1 gene:DRNTG_21140 transcript:DRNTG_21140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKKSFLSFDSFDSLPRSCQIEQGLFNKQMKSQSIITSPIKPVEYLDLSFRVLPEEHSVLASSNSGYISSRDNSADNFPRHTAFHSGEGTLDFIEHGSENDQNARHEKNILSAFTKSSSVKEGTFSFSKANAKHRFGHLKKLLDPIVRYRSMRSLSLNEAETYGVTASVFHKTLLTDTSSKVERIECDESLIEGNKALITNPSSAHLYGTLKLEFKQGVPAFEFSVKDPDLVLLAKTWKTDNAFNWVYTFHRKKSNSTVWSAKDRHKHSSPTIGQMHVSCDLCFEVNGDKHLNKSMVTEFILFDTDQARRSYITNIALDNKSSSKDHDKHASNDNDSIVSASYPLAPSELHPQHEIAAIVIQTAFTKKDGSKELQADETNTTSTGQYYSNINVVTPSGRHGLSHTEEDGLSSLLDRWRSNGGCDCGGWDVGCPLSVFKNCILNSGNQSMMLSVQGNKEKLPALSIKADGKGQYSVQFHARFSALQAFSICIAMLHGLETPEKILKLYPNSLNMLLGDEVRSLIEAVNTEKAKKLDKRVEEIHPCFNLDPPFSPMSR >DRNTG_21140.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2386501:2388835:-1 gene:DRNTG_21140 transcript:DRNTG_21140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKKSFLSFDSFDSLPRSCQIEQGLFNKQMKSQSIITSPIKPVEYLDLSFRVLPEEHSVLASSNSGYISSRDNSADNFPRHTAFHSGEGTLDFIEHGSENDQNARHEKNILSAFTKSSSVKEGTFSFSKANAKHRFGHLKKLLDPIVRYRSMRSLSLNEAETYGVTASVFHKTLLTDTSSKVERIECDESLIEGNKALITNPSSAHLYGTLKLEFKQGVPAFEFSVKDPDLVLLAKTWKTDNAFNWVYTFHRKKSNSTVWSAKDRHKHSSPTIGQMHVSCDLCFEVNGDKHLNKSMVTEFILFDTDQARRSYITNIALDNKSSSKDHDKHASNDNDSIVSASYPLAPSELHPQHEIAAIVIQTAFTKKDGSKELQADETNTTSTGQYYSNINVVTPSGRHGLSHTEEDGLSSLLDRWRSNGGCDCGGWDVGCPLSVFKNCILNSGNQSMMLSVQGNKEKLPALSIKADGKGQYSVQFHARFSALQAFSICIAMLHGLETPEKILKLYPNSLNMLLGDEVRSLIEAVNTEKAKKLDKRVEEIHPCFNLDPPFSPMSR >DRNTG_21140.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2386501:2386901:-1 gene:DRNTG_21140 transcript:DRNTG_21140.5 gene_biotype:protein_coding transcript_biotype:protein_coding QGNKEKLPALSIKADGKGQYSVQFHARFSALQAFSICIAMLHGLETPEKILKLYPNSLNMLLGDEVRSLIEAVNTEKAKKLDKRVEEIHPCFNLDPPFSPMSR >DRNTG_18685.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15756491:15766977:1 gene:DRNTG_18685 transcript:DRNTG_18685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLETKTRPPQLSLELLAPGHKPLPELCEPSPSTSSSLGSGVQVCSSSRPRELYPRDPCPALDS >DRNTG_21507.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17852597:17857226:-1 gene:DRNTG_21507 transcript:DRNTG_21507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHNFGMKKKQMRPVAVIQRRPLQMGSPIVPCGLIAWSLFNDTYTFTRGNQSLTVNKTNISWKSDRDHKFGSNVFPKNFQNGTLIGGGSLDLNKSLSEQENLIVWMRTAALPTFRKLYGKIEVDLKKNDIITVVLKNNYNTYSFSGKKKLVLSTTSWLGGKNDFLGIGYLTVGGLCFFLAAAFTVVYFVKPRKLGDTSYLSWNRTGAGR >DRNTG_17104.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000872.1:14418:17038:-1 gene:DRNTG_17104 transcript:DRNTG_17104.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDLIWRTFLVASNARRRCGGRRFYGAGIASLS >DRNTG_13789.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11873478:11876020:-1 gene:DRNTG_13789 transcript:DRNTG_13789.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSMKNLDPAFQGAGHKIGMEIWRIENFQPFPLPKSDYGKFYNGDSYIVLQTSSGKGGSYLYDIHFWIGKDSTQDEAGTAAIKTVELDAALGGRAVQHRELQGHESDKFLSYFKPCIIPLEGGVASGFRTPEEEKFETRLYICRGKRVVRLKQVPFARSSLNHDDIFILDTEHKIYQFNGANSNIQERAKSLEVIQLLKEKYHEGKCEVAIIGRNGYPSKIFFLFRTPMPVCYGVFTLYPTEYKFFFDMPDDGKLAAESDSGEFWVLFGGFAPLGKKAISEDDIIPEATPAQLYKYATFILYCVIRQSLGHDS >DRNTG_28448.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3394041:3395083:1 gene:DRNTG_28448 transcript:DRNTG_28448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVGIGPLGCIPSQLSMNNSTTGLCIQRVNDIVSAFNQLLLPLSTSLNSTLPGSFFVYHNTYDTFFDMVNNPSNYGFTVTNEACCGSGKYGGTMSCLP >DRNTG_12728.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000565.1:19653:20478:1 gene:DRNTG_12728 transcript:DRNTG_12728.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSQCSSQRSRVGSLDGIDGTSKNGSY >DRNTG_05467.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23167746:23169644:-1 gene:DRNTG_05467 transcript:DRNTG_05467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDVNFITEYIEINRVRLRKMYALFVDGLKQLGIECASSSGGFYCWADMSKYIRSYSEKGELELWDKLLNVAKINVTPGSSCHCIEPGWFRCCFTTLSERDIPVVIGRIRSVIESCKVNH >DRNTG_13649.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15806234:15820641:-1 gene:DRNTG_13649 transcript:DRNTG_13649.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRETVSGTFTRGIRAIAISESSYIFSQSPPGASPPAPPLLPTSLSC >DRNTG_27742.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4325393:4325915:1 gene:DRNTG_27742 transcript:DRNTG_27742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANPRVFFDMTIGGAPASRIVMKVYTNVVPNTAENFRALCIGEKGIGKSISALVTALAGSRSADPSSKMRTLAASILVPVFFPSPTPASTLMNPSFFICTAQTSCFDGKHVVIRQVNESLDVVKKVKSVGSQGGRTSKVVTIADCGQFS >DRNTG_09655.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16717027:16720731:1 gene:DRNTG_09655 transcript:DRNTG_09655.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREAITLRSGREAESRLPSEKINGLCSDSTAFDTAFLWKIVIGHFHRHQFGEVCPGLDERTLGEDETTPLDHRYGLRGGFTYGLNAFPLDSILIVSCSIES >DRNTG_06985.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19347167:19349335:-1 gene:DRNTG_06985 transcript:DRNTG_06985.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTIKEIECKSNESIKKPPQWSCRWSCGESSTHRKGSFVWPRIHLARSVPTKALPITFFQMTHDIKAVELLQNLANTPQNPSRSPLSSCGKDGEKNPKIGADSALNRARIR >DRNTG_04027.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17043285:17044127:-1 gene:DRNTG_04027 transcript:DRNTG_04027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALRLNCLGFVFKESSIIKTKMSTTSSGTMCLSSNKMISWFDACMLSDPMSATLGSPVSTSTCASPTCTESTNLPMSSIVSSPNVVNCDEGNTAFFFPFTSATELL >DRNTG_17182.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:24639840:24640427:1 gene:DRNTG_17182 transcript:DRNTG_17182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFDSIRGAKKTSIPTPLSLETLRLMGMVRRVWTGVYALVLPALEIAEGEGDHAEASQPALQPQSAPIETEAPLAVEDASPVDMFSPSRAHDHFERLESAATQYTECMARFDILQQILERDVASPFVLRPRTPQPPSASLAPPSPIPAPVDPPYVSPPPAVALEPIERDTDI >DRNTG_14683.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000738.1:16124:47921:1 gene:DRNTG_14683 transcript:DRNTG_14683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVKLPAKCPHGGLTLRVKDDKLTYRLTEAMRHSLDFDDTLYFLDTTNELIDDDYVYEMLCPDPLERVTRSREIILQSCNFSESSREDTWTYGNFTWACVSIQSSTSPSREDTGVCKHPCPSGGSSLRPFTLLLP >DRNTG_03413.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3337892:3342771:1 gene:DRNTG_03413 transcript:DRNTG_03413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEAECLARLRRSHIGLCAPVHINEPPYHVAFDLGNFLHFTDSLNMKFELNIVCIEDVAEIVRVSPSVMFKQFSDAMHGSHEKFDSLSSLIINHVGPFSMELMVWKYLARAVAVKSALSSVYLLHSVQHKDSECCLGDLQITLVEDGETALDGVLLCVPDEWHCVDIALYEDLAVKFSLEIGKIQYIPLCNCSTSY >DRNTG_04123.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30150546:30151889:-1 gene:DRNTG_04123 transcript:DRNTG_04123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLSTYSRFSCFQSARQMQFSGYVTKPELVASVLSVCARFKDLRYGREIHGHAIRNAGFESGVLLLTALVDMYSKCGDLDSALSVFGLMSERNEVSWTAMITGCVVGGDHSLSFELFRGMVGEGVKPNRVTLISVLRACGEFGALRCGKEVHGYVLRHDFDLEPRVIAALIDMYCKFKQAFHLALFVFEQDQFKDVVTWSLMMMNSTRHGDNFRTMELFNRMKIDGVKPNSVTMLAILLACAGLPSMDHGRGVHCSILKSGLLSDVFIGNSLIDMYAKCGCLASAVQTFYEMPIKDSVSYTCMISGYGIHGHGYEALRLFYEMEKGGIRQDSITFLTILSACNRSGLIDKADEIFC >DRNTG_35110.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8766672:8769420:-1 gene:DRNTG_35110 transcript:DRNTG_35110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREEFSIEPAEFLRRPEKMHGRVKFPDLETYPESTHWRGLSPVGELMKNARAWVISTCPCETLQKSFLHPMKAQGRALAPVGHKAVCIFYTPVWMRFEWLTIFPRAHKGVCLPLCSSLMEGHEHMAPRSKKHDVKSPRETPPEPVHMEFSNHEHQARFERLSAIIFGQSCFVDLSVPREIRRGDELADEIDEILVVGSRRRLLTIKEPGFCALML >DRNTG_18171.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29146677:29148670:1 gene:DRNTG_18171 transcript:DRNTG_18171.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIQHSLRPVPETDQTLTKWDIAALWSATVMNIPSFYFATSLVDLGLSWWQAVLSITIGSIILLVPFILNGLPGTQYGISFPIYLRAAFGVKGAQIPAIIRALFACGWNGIETWIGGQAIFLSLPSSLTTSSYAQPINWLGTSMLEFSCFIIFLIVQLALAWKGMHAISVLEKFSSLILFLLTCLLFAWACYEAGGIKAMLISTSSTTSSHSSSSLVHVYLSSLTASISSWSGISLNISDFSRFAKSHSDEILGLLAIPLASILYSFAGVAVTSSTVTIFGHLISNPTQLFKAIGGTFTIVLATFGISLATITTNIPCNLVAPSNVLLSLFPSKFNFINGVILSSLISLVFQPWRILGSSENFVYAWLGGYSPISGSITGILITDYYLIKRMDLDVNSLYCDSDLGHYYYVGGFNLAAFGALIISVMPCVPGFLHKVGILKTNVGEFNVVIYDISWFFSVFFGSFVSLQEI >DRNTG_18171.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29146677:29149951:1 gene:DRNTG_18171 transcript:DRNTG_18171.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQHSLRPVPETDQTLTKWDIAALWSATVMNIPSFYFATSLVDLGLSWWQAVLSITIGSIILLVPFILNGLPGTQYGISFPIYLRAAFGVKGAQIPAIIRALFACGWNGIETWIGGQAIFLSLPSSLTTSSYAQPINWLGTSMLEFSCFIIFLIVQLALAWKGMHAISVLEKFSSLILFLLTCLLFAWACYEAGGIKAMLISTSSTTSSHSSSSLVHVYLSSLTASISSWSGISLNISDFSRFAKSHSDEILGLLAIPLASILYSFAGVAVTSSTVTIFGHLISNPTQLFKAIGGTFTIVLATFGISLATITTNIPCNLVAPSNVLLSLFPSKFNFINGVILSSLISLVFQPWRILGSSENFVYAWLGGYSPISGSITGILITDYYLIKRMDLDVNSLYCDSDLGHYYYVGGFNLAAFGALIISVMPCVPGFLHKGLFQELIP >DRNTG_18171.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29146677:29153024:1 gene:DRNTG_18171 transcript:DRNTG_18171.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQHSLRPVPETDQTLTKWDIAALWSATVMNIPSFYFATSLVDLGLSWWQAVLSITIGSIILLVPFILNGLPGTQYGISFPIYLRAAFGVKGAQIPAIIRALFACGWNGIETWIGGQAIFLSLPSSLTTSSYAQPINWLGTSMLEFSCFIIFLIVQLALAWKGMHAISVLEKFSSLILFLLTCLLFAWACYEAGGIKAMLISTSSTTSSHSSSSLVHVYLSSLTASISSWSGISLNISDFSRFAKSHSDEILGLLAIPLASILYSFAGVAVTSSTVTIFGHLISNPTQLFKAIGGTFTIVLATFGISLATITTNIPCNLVAPSNVLLSLFPSKFNFINGVILSSLISLVFQPWRILGSSENFVYAWLGGYSPISGSITGILITDYYLIKRMDLDVNSLYCDSDLGHYYYVGGFNLAAFGALIISVMPCVPGFLHKGSMSLFQS >DRNTG_26460.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:491459:500407:1 gene:DRNTG_26460 transcript:DRNTG_26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKQKKKKEEKEKKNNNNKKSLPSRAQTIRDDRVNIGLDTGKRRESSHQFHVGARQASSTPPTHLTQASPPPTTRVDKHARISQVTGMAYRGPVMAPLEVERGAEGERWRHFDSSVNAVSFGFVATAILISMFLVMAIFERFLRPAPSLLDSPHRRFRGRSSDLNVQHHRPGLAGKLDFPSPKMSLYAKGVSVLMPGHDFPTFIAHPAPAPCPPERMPWPSHQAIPICGSTSNPQAI >DRNTG_17366.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3067399:3072053:1 gene:DRNTG_17366 transcript:DRNTG_17366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTIKKIECKSNESIEKPPRWSCRWSCGESSTRRKGSFVHPRIHLVGSMPTKALPITFFQMPCDVIAAVNSAAIVLATVFDQNNFPIPYFHRGFGRGLRSRHLASPIPPLYLYLSCLNHSYVAPPRKIPHFEIEDSAGLDILPARILILIQSIIISLIHLQIQSSSKPPLPDKDEVWLLTSFSDKNFTLVSQLGFSYDSL >DRNTG_02455.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19410177:19412548:1 gene:DRNTG_02455 transcript:DRNTG_02455.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLGAKRRALVLADLVVVPIGAVLAGVNGFGEGVVRDDDDLLVVTQATSLGNMPLDSASADVVICIWKTPEIIGENLLGEIHRVLKPGGKLLMQTSYSSSNNMNKPSLPLEGKLLVAGFVDVQTFETKAFLPLEGYQSFTLECKKTSWLVGSSFSIKKVTKSIPKIHDSDDMDLIDEDSLLTEEDLKKPQLPAVGDCEVGATRKACKNCTCGRAEAEEKVQKLGLTAEQLNNPQSACGNCGLGDAFRCATCPYKGLAPFKLGEKVSLSSNFLVSDF >DRNTG_23029.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3240589:3242088:-1 gene:DRNTG_23029 transcript:DRNTG_23029.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENFDVNVVGIDLSVNMVSFALESAIGRKCSVEFEVADCTKKAYLDNSFDVIYSRDTLLHIQVCIERNYSKLLYAHTRLELTWLFSIC >DRNTG_04533.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3760233:3766027:1 gene:DRNTG_04533 transcript:DRNTG_04533.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSVWESLQKYWGSEEFKKISEQNEKNRAANGASSIVIYRGGSVITAVHRLRLIEELEYGTRHERMFHPHSWEEGCHSRG >DRNTG_03255.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20310065:20311517:1 gene:DRNTG_03255 transcript:DRNTG_03255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAKVQGMRNMSPEEEDLIHRLYRLLGARWELIAGRLLNRTSEEVEKYWKMKEIEILEKNRIYKPICTRLSPSFKFSMDN >DRNTG_02140.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28888010:28890690:1 gene:DRNTG_02140 transcript:DRNTG_02140.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGQILTEALSVTTRGFQRPTPRRAI >DRNTG_02140.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28888010:28892126:1 gene:DRNTG_02140 transcript:DRNTG_02140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGQILTEALSVTTRGFQRPTPRRAI >DRNTG_02140.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28888010:28892126:1 gene:DRNTG_02140 transcript:DRNTG_02140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGQILTEALSVTTRGFQRPTPRRAI >DRNTG_02140.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28888010:28892126:1 gene:DRNTG_02140 transcript:DRNTG_02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQILTEALSVTTRGFQRPTPRRAI >DRNTG_02140.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28888010:28892126:1 gene:DRNTG_02140 transcript:DRNTG_02140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQILTEALSVTTRGFQRPTPRRAI >DRNTG_31805.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:590305:592276:-1 gene:DRNTG_31805 transcript:DRNTG_31805.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEGMVWGLRLKRERPAGESLLRFGMTAVCGRRREMEDTVSVHPDLGHGRMGFRGRYEFYGVFDGHGCSHVAVSCRDRMHELVAEELEKNRSAPPEWEGVMGRSFARMDAEVSGGHGTPTKTSTCRCELRTPNCDHVGSTAVVAVVTPEQLVVANCGDSRAVLCRGGVAIPLSSDHKPDRPDEMERIEAAGGRVIYWDGARVLGVLAMSRAIGDGYLKPYVISEPEVKVMERKEEDECLILASDGLWDVVTNEMACEIARMCLRGGSDKACTDAAILLTKLALARQSADNVSVVVVDLRRDDNSASANSGTSNSTATATDAAAAGSD >DRNTG_31805.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:590305:592432:-1 gene:DRNTG_31805 transcript:DRNTG_31805.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEGMVWGLRLKRERPAGESLLRFGMTAVCGRRREMEDTVSVHPDLGHGRMGFRGRYEFYGVFDGHGCSHVAVSCRDRMHELVAEELEKNRSAPPEWEGVMGRSFARMDAEVSGGHGTPTKTSTCRCELRTPNCDHVGSTAVVAVVTPEQLVVANCGDSRAVLCRGGVAIPLSSDHKPDRPDEMERIEAAGGRVIYWDGARVLGVLAMSRAIGDGYLKPYVISEPEVKVMERKEEDECLILASDGLWDVVTNEMACEIARMCLRGGSDKACTDAAILLTKLALARQSADNVSVVVVDLRRDDNSASANSGTSNSTATATDAAAAGSD >DRNTG_31805.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:590212:592432:-1 gene:DRNTG_31805 transcript:DRNTG_31805.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEGMVWGLRLKRERPAGESLLRFGMTAVCGRRREMEDTVSVHPDLGHGRMGFRGRYEFYGVFDGHGCSHVAVSCRDRMHELVAEELEKNRSAPPEWEGVMGRSFARMDAEVSGGHGTPTKTSTCRCELRTPNCDHVGSTAVVAVVTPEQLVVANCGDSRAVLCRGGVAIPLSSDHKPDRPDEMERIEAAGGRVIYWDGARVLGVLAMSRAIGDGYLKPYVISEPEVKVMERKEEDECLILASDGLWDVVTNEMACEIARMCLRGGSDKACTDAAILLTKLALARQSADNVSVVVVDLRRDDNSASANSGTSNSTATATDAAAAGSD >DRNTG_31805.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:590305:592634:-1 gene:DRNTG_31805 transcript:DRNTG_31805.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEGMVWGLRLKRERPAGESLLRFGMTAVCGRRREMEDTVSVHPDLGHGRMGFRGRYEFYGVFDGHGCSHVAVSCRDRMHELVAEELEKNRSAPPEWEGVMGRSFARMDAEVSGGHGTPTKTSTCRCELRTPNCDHVGSTAVVAVVTPEQLVVANCGDSRAVLCRGGVAIPLSSDHKPDRPDEMERIEAAGGRVIYWDGARVLGVLAMSRAIGDGYLKPYVISEPEVKVMERKEEDECLILASDGLWDVVTNEMACEIARMCLRGGSDKACTDAAILLTKLALARQSADNVSVVVVDLRRDDNSASANSGTSNSTATATDAAAAGSD >DRNTG_10099.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20751980:20755458:1 gene:DRNTG_10099 transcript:DRNTG_10099.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypersensitive-induced response protein 4 [Source:Projected from Arabidopsis thaliana (AT5G51570) UniProtKB/Swiss-Prot;Acc:Q9FHM7] MCFCFFACVGQASVGVVEKWGRFLKLADPGLHFFNPFAGEWLAGVLSTRIASLDVKIETKTKDNVFAQLVCSIQYRVIKENADDAFYELQNPREQIQAYVFDVVRAHVPRMTLDELFEQKNDVAQAVLEELEKVMGAYGYNIEQILMVDIIPDASVRKAMNEINAAQRLQLASVYKGEAEKVLMVKRAEAEAEAKFLGGVGVARQRQAITEGLRENIVNFSDRITGTSAKEVMDLIMVTQYFDTIKDLGNSSKNTTVFIPHGPGHVKDIGNQIRNGIMEASCSQTQQ >DRNTG_28192.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001428.1:13253:19935:1 gene:DRNTG_28192 transcript:DRNTG_28192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKWLISLRITTKPLNLSQFIAKFLHSNVAVCCSSFTVLVTVAHRGLLGKKLDIPRGRDYRRDHRSDLFLDVSWSNHRFLCNSSIHLALVVPRGYLPGDLALSLLLASLVCLGASKIEFQGRALLQWKATLETQELHNTWISKTSPCNWTGITCRNDGHLMPNITKLQLRDFGLEGKLETLNFSDLPSLKVLDLNGNRLYEFIPATISVLSKLIILDLANNSLTGIIPLELGNLTRLKTLWLATNQISGSIPLSFGNLLNLNRLAISINSLVGSISLEFGNLTKLNILYLWSNNFTGSIPPEIGYMVNLKEFDISSNNITGSIPPNIGNLTKLETFKLDINNINGFIPFEIGNLVNFKIFDISYNQITGPIPYSTKNLTKLERFYLHDNNINGSIREIGNLVNLGNFDVSNNQIIDSIPPSIGNLTNLELLYLYGNNISGFIPSEIGNLINLTVLQIFDNQLSGPIPHGIGRDVSGAGRGMGSPSPSPLPMGRGFPASKFPAGEKLFPLTPPWG >DRNTG_31681.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18430984:18431867:-1 gene:DRNTG_31681 transcript:DRNTG_31681.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSKFCLHPAGDTPSSCRLFDAIVSHCVPVIVSDRIELPFEDEIDYSEFAFFFSIKDALKP >DRNTG_31681.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18430984:18435105:-1 gene:DRNTG_31681 transcript:DRNTG_31681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPGLVTLIVLLLLGVCISIFISNADLRFSIPAALSHSSRFAPCLVASRHRPPPLKVFMYDLPRRFNLGMLERNGTGNVVGFPEWPVRSGIKKQHSVEYWMMASLVDGGGDEREAVRVLDAEEAEVFFVPFFSSLSFNTHGHNMTDPDTEIDHQLQIDLLNFLRESKYWQQSAGRDHLIPMNHPNAFRFLRDQVNASILLVADFGRYPKNVASLSKDVVSPYVHLVDSYVDDNPSDPYESRPTLLFFRGRTVRKDEGIVRTKLAKLLKGYDDVRYEDSIATGEGIKASSEGMRSSKFCLHPAGDTPSSCRLFDAIVSHCVPVIVSDRIELPFEDEIDYSEFAFFFSIKDALKP >DRNTG_29754.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15927363:15928460:1 gene:DRNTG_29754 transcript:DRNTG_29754.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAFGFNRQHRRYRRDLKDSRSKEHEHTLSFAHVISASRTVDSPEPQKTVGFGKKGKKPTKVLLSTAGGRRY >DRNTG_19036.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22511172:22513373:1 gene:DRNTG_19036 transcript:DRNTG_19036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRRLREVQAQPGNKTCVDCSQKNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQLKKMESGGNDRLNAFLSGYGIPKETDIVTKYNTNAAEVYRDRIQALAEGKTWKDPPVEKENLKKSGGKPPTGSNNAAGKTGGNWDHDDFRSSNNNMRRNQTVGDFRAGSGSQPSRSRSTEDMYTRAQMEASEANKENFFAMKMAENEVRPEGVPPSQGGKYVGFGSNPGPPPPRSNSQGDMFKDTVSVVSQGFGRLSLAAASAAQSAANVVQAGTKELTSKVMDGGYDYKVNETINVVTTKTTEIGHKTWGIMKDVIAMTSQKVEEYTKDGNGWAGGDDWQLKENEKNGYYQRFRQEVGHSDNETYKTNKGWDNWESNNTSGKKHRSSNSWDDWEEVGGKSQEKRRSGSDDWAGWDDGKDDNSNNHGSYNHGTKHNGKSDNSWSEGGFH >DRNTG_12188.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10754081:10756120:1 gene:DRNTG_12188 transcript:DRNTG_12188.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTNGQYASHKGGFVRGDDLVVNLDEGSDSSDSPMLEVGKDTIPSHSTGKKVSYNNAGSSRTKRKQLEHQALVSQRRKVLTTRWMRRID >DRNTG_12188.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10751861:10756120:1 gene:DRNTG_12188 transcript:DRNTG_12188.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTGQGSQPVPGGNPEHVGSHQDVQRLSRRNNWTISSDEALVDLLLEESSQPGVCSGSFRL >DRNTG_12188.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10751345:10752671:1 gene:DRNTG_12188 transcript:DRNTG_12188.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTGQGSQPVPGGNPEHVGSHQDVQRLSRRNNWTISSDEALVDLLLEESSQPGVCSGSFRL >DRNTG_12188.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10751345:10756120:1 gene:DRNTG_12188 transcript:DRNTG_12188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTGQGSQPVPGGNPEHVGSHQDVQRLSRRNNWTISSDEALVDLLLEESSQPGVCSGSFRL >DRNTG_19238.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18897428:18898217:1 gene:DRNTG_19238 transcript:DRNTG_19238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIRVRKKRIIVLLCCIYLYSLFSSFLISATQDKYWMMSMGGGNGGGRRRLVGGPGSHPPRCEGKCRRCTPCRPVRVTVPPGTPVTTEYYPEAWRCKCRNHLYMP >DRNTG_19238.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18897913:18898217:1 gene:DRNTG_19238 transcript:DRNTG_19238.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSMGGGNGGGRRRLVGGPGSHPPRCEGKCRRCTPCRPVRVTVPPGTPVTTEYYPEAWRCKCRNHLYMP >DRNTG_18137.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:541213:548995:-1 gene:DRNTG_18137 transcript:DRNTG_18137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPSISDESKRNLVLFFHEFCALSKSLALGQQMRLFRDLASEGVFDIITSMLQSQDRKLVSIGTDLLLLFLNQDPNLLRSYVIQPEGNALLGLLVKGLVTDFGEDMHCQFLEIVRILLDSHTLSGSQSFQRDTIIDIFYEKHLDQLIDVITSSCPSTSISHKSSKSVSSSRRLNARGVTKPEILLNICELLCFCVLHHPYRIKCNFLMNNVIEKVLLLTRRREKFLVVAAIRFMRAIVSRNDDHLFRHIVKNNLLKPIIDSFIGNGSRYNMLHSGVLELLEYIRKENIKILILYVVDTFWVQLMRFEHLGSIQGLRVKYEQTLESCDIKNGPNVTDPRKRIDERALEKEEEDYFNEDSDEEDSTTAHSSRTWDQRTRTSLPNGNKANYSSLRPASGGLVDYEDDDDEDDDYNPPPRKPEASGGDDRESTKSKRKLTSKADCEDKDFEIGKKRRLDQNFSDSNNVSPPAGSLCTDIDFPNNTQLCDATLTTENDDRLHENRGDDEESPSSQNCHESQPEAADMRQSSSDDCPLAAPMNNSSPDMVVNGASSEPYSVR >DRNTG_18137.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:541213:548995:-1 gene:DRNTG_18137 transcript:DRNTG_18137.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPSISDESKRNLVLFFHEFCALSKSLALGQQMRLFRDLASEGVFDIITSMLQSQDRKLVSIGTDLLLLFLNQDPNLLRSYVIQPEGNALLGLLVKGLVTDFGEDMHCQFLEIVRILLDSHTLSGSQVVLIIQFFFIFRSCT >DRNTG_23324.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1070039:1070440:-1 gene:DRNTG_23324 transcript:DRNTG_23324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRSRSGWQSRSGSEIFSLSSRDQDDEEALKWAALEKLPTYDRLRKGILTVSTGEKMEIDIANLGFHERKNLLERLIRVTEEDNEKFLLKLKNRMERVGIQN >DRNTG_11137.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30396636:30398239:1 gene:DRNTG_11137 transcript:DRNTG_11137.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSLQNQAAAMKKSFFDQGYLDEQFYQLEELQDDASPNFVEEVVALFLRDSSRLIGIIDQAIIGALKVKNECTHFREYCAEDNLEGCLRSFQKLKREHAILKQKLEAYFQLLKQMGSAGKATRSGK >DRNTG_11137.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30396636:30398239:1 gene:DRNTG_11137 transcript:DRNTG_11137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSLQNQAAAMKKSFFDQGYLDEQFYQLEELQDDASPNFVEEVVALFLRDSSRLIGIIDQAMDKHPQDYLKLETYMHQLKGSSSSIGALKVKNECTHFREYCAEDNLEGCLRSFQKLKREHAILKQKLEAYFQLLKQMGSAGKATRSGK >DRNTG_16684.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16316601:16321689:-1 gene:DRNTG_16684 transcript:DRNTG_16684.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIIKKPMDFSTIKNQMEAKDGSGYKNVREIYADVRLIFTNAMTYNDEKDDVHVMAKSLLAKFEEKWLQLLPKVLAEERRQQEVEAQALENMQTAQEAAIVKMARATNNRLAELNLNLDELREAVAHKCRMSAEDKRKLCTALSYLSDEDLVKVLGIIAESNPSFQAMSEEVDINLDEQSEATLWKLKFFLKDSMNLQSKNPPSNVDRNRNAKRKKEICQALAKFPKRKSKLLSSV >DRNTG_16684.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16316601:16324566:-1 gene:DRNTG_16684 transcript:DRNTG_16684.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTSSAPEDAPTQANAHFAAPPATVNGGEDGFDAAPLPIESLRHRINEIVSKVDELERKVDKIAKFYANDSKDKKLALKSSRNQALKDKDGEERMRDLMRQFGTIVRQISQHKWADPFKEPVDVVSYGLHDYYDIIKKPMDFSTIKNQMEAKDGSGYKNVREIYADVRLIFTNAMTYNDEKDDVHVMAKSLLAKFEEKWLQLLPKVLAEERRQQEVEAQALENMQTAQEAAIVKMARATNNRLAELNLNLDELREAVAHKCRMSAEDKRKLCTALSYLSDEDLVKVLGIIAESNPSFQAMSEEVDINLDEQSEATLWKLKFFLKDSMNLQSKNPPSNVDRNRNAKRKKEICQALAKFPKRKSKLLSSV >DRNTG_16684.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16316601:16324566:-1 gene:DRNTG_16684 transcript:DRNTG_16684.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLMRQFGTIVRQISQHKWADPFKEPVDVVSYGLHDYYDIIKKPMDFSTIKNQMEAKDGSGYKNVREIYADVRLIFTNAMTYNDEKDDVHVMAKSLLAKFEEKWLQLLPKVLAEERRQQEVEAQALENMQTAQEAAIVKMARATNNRLAELNLNLDELREAVAHKCRMSAEDKRKLCTALSYLSDEDLVKVLGIIAESNPSFQAMSEEVDINLDEQSEATLWKLKFFLKDSMNLQSKNPPSNVDRNRNAKRKKEICQALAKFPKRKSKLLSSV >DRNTG_03525.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000167.1:28041:29274:-1 gene:DRNTG_03525 transcript:DRNTG_03525.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLFILFFFILFMISFSKADPLQDFCVADLSLPQSPAGYSCKSVSEVTVDDFVFTGFRNPGNTSNTNMISVTQAFVAQWPALNGLGVSAGYVEIAPGGRSPIHSHPGGTELIVLIEGTILAGFISSSNKVYMKKLEAHDALIFPQGLLHFQVNAGSVKAKGHCELQ >DRNTG_03525.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000167.1:28117:29274:-1 gene:DRNTG_03525 transcript:DRNTG_03525.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLFILFFFILFMISFSKADPLQDFCVADLSLPQSPAGYSCKSVSEVTVDDFVFTGFRNPGNTSNTNMISVTQAFVAQWPALNGLGVSAGYVEIAPGGRSPIHSHPGGTELIVLIEGTILAGFISSSNKVYMKKLEAHDALIFPQGLLHFQVNAGSVKAKGHCELQ >DRNTG_03525.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000167.1:28117:29054:-1 gene:DRNTG_03525 transcript:DRNTG_03525.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLFILFFFILFMISFSKADPLQDFCVADLSLPQSPAGYSCKSVSEVTVDDFVFTGFRNPGNTSNTNMISVTQAFVAQWPALNGLGVSAGYVEIAPGGRSPIHSHPGGTELIVLIEGTILAGFISSSNKVYMKKLEAHDALIFPQGLLHFQVNAGSVKAKGHCELQ >DRNTG_03525.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000167.1:28041:29054:-1 gene:DRNTG_03525 transcript:DRNTG_03525.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLFILFFFILFMISFSKADPLQDFCVADLSLPQSPAGYSCKSVSEVTVDDFVFTGFRNPGNTSNTNMISVTQAFVAQWPALNGLGVSAGYVEIAPGGRSPIHSHPGGTELIVLIEGTILAGFISSSNKVYMKKLEAHDALIFPQGLLHFQVNAGSVKAKGHCELQ >DRNTG_09219.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4516609:4520415:-1 gene:DRNTG_09219 transcript:DRNTG_09219.3 gene_biotype:protein_coding transcript_biotype:protein_coding VRERDVCWEYCEKLDGNKVKCKFCLKVLNGGISRLKFHLSRLPSKGVHPCSKVRDDVTERVKAIIAVKEEGKEAANAKKQRLSENKPPGIISNTKALIPFEAVSSSTKLFPSSNANVPQASLDPERCIAEFFFENRLDFSIAYSSSFQQMLEAIGGPGFRGLSPETLRTTWLQKLKSEITLKIKEIEKEWAASGCTIIADTWTDNKTRCLINFFVSSLSGTFFHKSVDASTYFKNIKCLYDLFDSVIQDFGHENVVQVIVDNALNYDSVGSLIMQNYGTIFWSCCASHCLNLILEDFSKVDWVNGCISHAQSLTKFIYNHTRVLDLARKYTGGQELIRPGITRYATNFLSLQSLLKLRPRLKHMFNCPEYTSSPYTNRPHSISCINLLENNEFWRAVGEIASVSEPVLKVLRDVSGGKPAVGSIYESMTKAKDLIRTYYIMDESKCKTFLDIVDRRWQNQLHSQLHAAAAYLNPCIQYNPDAKFLGVIKEEFIAVLEKLLPTPESRHDITSQIFAFKKAQGMFGSNLAREARTTTSPGMWWELYGDSAPGLQRAAVRILSQVCSTLNFERDWSSIQQIHSERRNKLDKETLNDLIYVHYNLKLRAKAKPSDEDPIVLRDIDMTSDWVEGTEIQNPTQWLDRFSSGLDGSDLNTRQFGSSIFGPNDHIFGL >DRNTG_09219.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4516609:4520553:-1 gene:DRNTG_09219 transcript:DRNTG_09219.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAIGGPGFRGLSPETLRTTWLQKLKSEITLKIKEIEKEWAASGCTIIADTWTDNKTRCLINFFVSSLSGTFFHKSVDASTYFKNIKCLYDLFDSVIQDFGHENVVQVIVDNALNYDSVGSLIMQNYGTIFWSCCASHCLNLILEDFSKVDWVNGCISHAQSLTKFIYNHTRVLDLARKYTGGQELIRPGITRYATNFLSLQSLLKLRPRLKHMFNCPEYTSSPYTNRPHSISCINLLENNEFWRAVGEIASVSEPVLKVLRDVSGGKPAVGSIYESMTKAKDLIRTYYIMDESKCKTFLDIVDRRWQNQLHSQLHAAAAYLNPCIQYNPDAKFLGVIKEEFIAVLEKLLPTPESRHDITSQIFAFKKAQGMFGSNLAREARTTTSPGMWWELYGDSAPGLQRAAVRILSQVCSTLNFERDWSSIQQIHSERRNKLDKETLNDLIYVHYNLKLRAKAKPSDEDPIVLRDIDMTSDWVEGTEIQNPTQWLDRFSSGLDGSDLNTRQFGSSIFGPNDHIFGL >DRNTG_09219.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4516609:4520553:-1 gene:DRNTG_09219 transcript:DRNTG_09219.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRERDVCWEYCEKLDGNKVKCKFCLKVLNGGISRLKFHLSRLPSKGVHPCSKVRDDVTERVKAIIAVKEEGKEAANAKKQRLSENKPPGIISNTKALIPFEAVSSSTKLFPSSNANVPQASLDPERCIAEFFFENRLDFSIAYSSSFQQMLEAIGGPGFRGLSPETLRTTWLQKLKSEITLKIKEIEKEWAASGCTIIADTWTDNKTRCLINFFVSSLSGTFFHKSVDASTYFKNIKCLYDLFDSVIQDFGHENVVQVIVDNALNYDSVGSLIMQNYGTIFWSCCASHCLNLILEDFSKVDWVNGCISHAQSLTKFIYNHTRVLDLARKYTGGQELIRPGITRYATNFLSLQSLLKLRPRLKHMFNCPEYTSSPYTNRPHSISCINLLENNEFWRAVGEIASVSEPVLKVLRDVSGGKPAVGSIYESMTKAKDLIRTYYIMDESKCKTFLDIVDRRWQNQLHSQLHAAAAYLNPCIQYNPDAKFLGVIKEEFIAVLEKLLPTPESRHDITSQIFAFKKAQGMFGSNLAREARTTTSPGMWWELYGDSAPGLQRAAVRILSQVCSTLNFERDWSSIQQIHSERRNKLDKETLNDLIYVHYNLKLRAKAKPSDEDPIVLRDIDMTSDWVEGTEIQNPTQWLDRFSSGLDGSDLNTRQFGSSIFGPNDHIFGL >DRNTG_09219.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4516609:4519221:-1 gene:DRNTG_09219 transcript:DRNTG_09219.4 gene_biotype:protein_coding transcript_biotype:protein_coding VRERDVCWEYCEKLDGNKVKCKFCLKVLNGGISRLKFHLSRLPSKGVHPCSKVRDDVTERVKAIIAVKEEGKEAANAKKQRLSENKPPGIISNTKALIPFEAVSSSTKLFPSSNANVPQASLDPERCIAEFFFENRLDFSIAYSSSFQQMLEAIGGPGFRGLSPETLRTTWLQKLKSEITLKIKEIEKEWAASGCTIIADTWTDNKTRCLINFFVSSLSGTFFHKSVDASTYFKNIKCLYDLFDSVIQDFGHENVVQVIVDNALNYDSVGSLIMQNYGTIFWSCCASHCLNLILEDFSKVDWVNGCISHAQSLTKFIYNHTRVLDLARKYTGGQELIRPGITRYATNFLSLQSLLKLRPRLKHMFNCPEYTSSPYTNRPHSISCINLLENNEFWRAVGEIASVSEPVLKVLRDVSGGKPAVGSIYESMTKAKDLIRTYYIMDESKCKTFLDIVDRRWQNQLHSQLHAAAAYLNPCIQYNPDAKFLGVIKEEFIAVLEKLLPTPESRHDITSQIFAFKKAQGMFGSNLAREARTTTSPGMWWELYGDSAPGLQRAAVRILSQVCSTLNFERDWSSIQQIHSERRNKLDKETLNDLIYVHYNLKLRAKAKPSDEDPIVLRDIDMTSDWVEGTEIQNPTQWLDRFSSGLDGSDLNTRQFGSSIFGPNDHIFGL >DRNTG_30722.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12532799:12534617:-1 gene:DRNTG_30722 transcript:DRNTG_30722.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPNRAAEGMCNDGNEMSINEDNQALPPVEEVSATFQKGLVHDLPSSNKTEMPAVSAENSSDSPEKNALAENSSDSPEKNVLVPFVRSSPMWQTIESMEIFRLLPQEPHFQPLEQHCPEFREGMAVGLMITFANFATSIRSLRITDPLTVLNEKLKALATLEANGFKVQRMRHRIEQLLDIRRKQEQSEIKRTELEGQLLDRKNEKGLLNSSIMDFDKDIMELEQKILRFKEKRASAIVQRELIDSEIAILQRDAEAAEEFLASAEHQFDGAVTAPW >DRNTG_30722.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12532799:12534617:-1 gene:DRNTG_30722 transcript:DRNTG_30722.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPNRAAEGMCNDGNEMSINEDNQALPPVEEVSATFQKGLVHDLPSSNKTEMPAVSAENSSDSPEKNVLVPFVRSSPMWQTIESMEIFRLLPQEPHFQPLEQHCPEFREGMAVGLMITFANFATSIRSLRITDPLTVLNEKLKALATLEANGFKVQRMRHRIEQLLDIRRKQEQSEIKRTELEGQLLDRKNEKGLLNSSIMDFDKDIMELEQKILRFKEKRASAIVQRELIDSEIAILQRDAEAAEEFLASAEHQFDGAVTAPW >DRNTG_30722.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12532799:12534617:-1 gene:DRNTG_30722 transcript:DRNTG_30722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPNRAAEGMCNDGNEMSINEDNQALPPVEEVSATFQKGLVHDLPSSNKTEMPAVSAENSSDSPEKIALADNSSDSPEKNALAENSSDSPEKNVLVPFVRSSPMWQTIESMEIFRLLPQEPHFQPLEQHCPEFREGMAVGLMITFANFATSIRSLRITDPLTVLNEKLKALATLEANGFKVQRMRHRIEQLLDIRRKQEQSEIKRTELEGQLLDRKNEKGLLNSSIMDFDKDIMELEQKILRFKEKRASAIVQRELIDSEIAILQRDAEAAEEFLASAEHQFDGAVTAPW >DRNTG_30722.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12532799:12534617:-1 gene:DRNTG_30722 transcript:DRNTG_30722.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPNRAAEGMCNDGNEMSINEDNQALPPVEEVSATFQKGLVHDLPSSNKTEMPAVSAENSSDSPEKIALADNSSDSPEKNVLVPFVRSSPMWQTIESMEIFRLLPQEPHFQPLEQHCPEFREGMAVGLMITFANFATSIRSLRITDPLTVLNEKLKALATLEANGFKVQRMRHRIEQLLDIRRKQEQSEIKRTELEGQLLDRKNEKGLLNSSIMDFDKDIMELEQKILRFKEKRASAIVQRELIDSEIAILQRDAEAAEEFLASAEHQFDGAVTAPW >DRNTG_12823.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32870158:32872878:1 gene:DRNTG_12823 transcript:DRNTG_12823.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein CITRX, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06730) UniProtKB/Swiss-Prot;Acc:Q9M7X9] MAGALHCSPSLALSFSHCRLPPSSLSLPRCPRLGLAGSRSWRRASVTTSAKYIREDYLVKKLTAKEVQELVKGERTVPLIVDFYATWCGPCILMAQELEMLAVEYENNALFVKVDTDDEYEFARDMQVRGLPTLYFISPDPNKDAIRTEGLVPPEMIKNIIDNEM >DRNTG_22695.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001249.1:12207:21681:1 gene:DRNTG_22695 transcript:DRNTG_22695.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPSPTVTEVNKKENDSDGRADNSSSSETLSKMTQNRRQNFAKAESSGQTNHQDSESVRDPFIDRAELWKLLNCLVEAANRTKALKSSSPQCTVVKAEQINFPDSEAYFNKLKVREHMNKSNVQDDKNASVSTTQTLVRSRRLNGVSRRKKELETSVQALVDTAGKRERRRSPVWFSLVASTDKLDFFVYCYGITFYNHRSSASFLTLHKSFREGDCPLPQISSSYLRIRDGNLPVSFIQKYLVKKLGLASEAEVEITCRGQSIIPTMALHNLIDLWLRSGSSIKDPAFLGTSAKEFVMVLSYRRKV >DRNTG_22695.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001249.1:4772:21681:1 gene:DRNTG_22695 transcript:DRNTG_22695.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQRTVYGLLCSLQTALCEKKLKCRRRKVNAPEVTPSFSFPVIRKERSLSSLVVNTPRIATQNSLTGRRSKAVGRRAAAMRGPSPTVTEVNKKENDSDGRADNSSSSETLSKMTQNRRQNFAKAESSGQTNHQDSESVRDPFIDRAELWKLLNCLVEAANRTKALKSSSPQCTVVKAEQINFPDSEAYFNKLKVREHMNKSNVQDDKNASVSTTQTLVRSRRLNGVSRRKKELETSVQALVDTAGKRERRRSPVWFSLVASTDKLDFFVYCYGITFYNHRSSASFLTLHKSFREGDCPLPQISSSYLRIRDGNLPVSFIQKYLVKKLGLASEAEVEITCRGQSIIPTMALHNLIDLWLRSGSSIKDPAFLGTSAKEFVMVLSYRRKV >DRNTG_22695.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001249.1:11743:21681:1 gene:DRNTG_22695 transcript:DRNTG_22695.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKVNAPEVTPSFSFPVIRKERSLSSLVVNTPRIATQNSLTGRRSKAVGRRAAAMRGPSPTVTEVNKKENDSDGRADNSSSSETLSKMTQNRRQNFAKAESSGQTNHQDSESVRDPFIDRAELWKLLNCLVEAANRTKALKSSSPQCTVVKAEQINFPDSEAYFNKLKVREHMNKSNVQDDKNASVSTTQTLVRSRRLNGVSRRKKELETSVQALVDTAGKRERRRSPVWFSLVASTDKEGDCPLPQISSSYLRIRDGNLPVSFIQKYLVKKLGLASEAEVEITCRGQSIIPTMALHNLIDLWLRSGSSIKDPAFLGTSAKEFVMVLSYRRKV >DRNTG_22695.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001249.1:1856:21681:1 gene:DRNTG_22695 transcript:DRNTG_22695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECEVIDLWHKSLRQMNGKDLQILERKSLISVEKARKEEDFDGARVVMHLQRTVYGLLCSLQTALCEKKLKCRRRKVNAPEVTPSFSFPVIRKERSLSSLVVNTPRIATQNSLTGRRSKAVGRRAAAMRGPSPTVTEVNKKENDSDGRADNSSSSETLSKMTQNRRQNFAKAESSGQTNHQDSESVRDPFIDRAELWKLLNCLVEAANRTKALKSSSPQCTVVKAEQINFPDSEAYFNKLKVREHMNKSNVQDDKNASVSTTQTLVRSRRLNGVSRRKKELETSVQALVDTAGKRERRRSPVWFSLVASTDKEGDCPLPQISSSYLRIRDGNLPVSFIQKYLVKKLGLASEAEVEITCRGQSIIPTMALHNLIDLWLRSGSSIKDPAFLGTSAKEFVMVLSYRRKV >DRNTG_22695.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001249.1:13077:13856:1 gene:DRNTG_22695 transcript:DRNTG_22695.6 gene_biotype:protein_coding transcript_biotype:protein_coding QNFAKAESSGQTNHQDSESVRDPFIDRAELWKLLNCLVEAANRTKALKSSSPQCTVVKAEQINFPDSEAYFNKLKVREHMNKSNVQDDKNASVSTTQTLVRSRRLNGVSRRKKELETSVQALVDTAGKRERRRSPVWFSLVASTDKLDFFVYCYGITFYNHRSSASFLTLHKSFREGDCPLPQISSSYLRIR >DRNTG_22695.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001249.1:17751:21681:1 gene:DRNTG_22695 transcript:DRNTG_22695.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALHNLIDLWLRSGSSIKDPAFLGTSAKEFVMVLSYRRKV >DRNTG_07912.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2570365:2571182:-1 gene:DRNTG_07912 transcript:DRNTG_07912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTSDQTTIVISPQNSPLPSQDQHQQQQQQQQRQQLQQKQQHIGWEGNKSGTLLVVATLITTLTYQIGSNPPGGFWQDDNNGHEAGSPIMRDKHRVRYWLFMTGAWVGFIDSMLLTLWLLLGMPVSSCRVRWSFLIAYSSLQLTYVTAVYSTPLVFDLITWVVIVFILAIGIGRRELNWLGFKCLFFFTEPTVLVNPSA >DRNTG_33210.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:407019:408097:1 gene:DRNTG_33210 transcript:DRNTG_33210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMETIGKIKHRNLVPLLGYCNVGDERLLVYEYMKFGSLDVVLHDRTKRGINLDWSARKKIAIGSARGLAFLHHSCNPHIIHRDMKSSNVLLDENLEPRVSDFGMARLMNTVDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLSGKKPIDPLEFGDNNLVGWVKQMVKENTSSEIFDHVLMSSNLAENELHQYLKIACECLDDWPPRRPTMIQVMAMLNDLQTDTGSDILNDELSFEKIIIDESRGKGGS >DRNTG_33210.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:407019:408342:1 gene:DRNTG_33210 transcript:DRNTG_33210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMETIGKIKHRNLVPLLGYCNVGDERLLVYEYMKFGSLDVVLHDRTKRGINLDWSARKKIAIGSARGLAFLHHSCNPHIIHRDMKSSNVLLDENLEPRVSDFGMARLMNTVDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLSGKKPIDPLEFGDNNLVGWVKQMVKENTSSEIFDHVLMSSNLAENELHQYLKIACECLDDWPPRRPTMIQVMAMLNDLQTDTGSDILNDELSFEKIIIDESRGKGGS >DRNTG_33210.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:406338:408097:1 gene:DRNTG_33210 transcript:DRNTG_33210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLDLSYNTLSGNIPENFGTMNYLQVLNLAHNELTGVIPESLGGMKMAMELDLSNNHLIGVIPAALGALTFLSDLDVSNNNLTGPIPTGGQLITFPPSRYANNSGLCGVPLLPCASQQFSSGSNSYARGGRRFFGGSTFIGISISLFIVMIFMLAFYKLKKQWQSEEQSEYVESLPASSGGTNSWKLSGVLEPLSINVATFEKPLKKLTFAHLLDATNGFSAESLIGSGGFGEVYKAKLKDGTIVAIKKLIHVTGQGDREFMAEMETIGKIKHRNLVPLLGYCNVGDERLLVYEYMKFGSLDVVLHDRTKRGINLDWSARKKIAIGSARGLAFLHHSCNPHIIHRDMKSSNVLLDENLEPRVSDFGMARLMNTVDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLSGKKPIDPLEFGDNNLVGWVKQMVKENTSSEIFDHVLMSSNLAENELHQYLKIACECLDDWPPRRPTMIQVMAMLNDLQTDTGSDILNDELSFEKIIIDESRGKGGS >DRNTG_33210.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:404972:408097:1 gene:DRNTG_33210 transcript:DRNTG_33210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGELPPSFVSCSLIQSLNLGRNNLSGRFIESIISTSMSSLKVFRLPFNNITGTVPLISLKNCSLLQVIDLGSNGFTGEIPSGLCSSLLHLEKLSLPNNFISGALPADLGNCSNLKRIDLSLNNVSGVIPLEIWRLPKLVDLVLWANNISGELPTDDLCSNSDNSLQSLILSFNKLNGSIPKSFTRCLNLVWVSLSGNELTGEIPDGISNLQNLAILQLAINSLSGEIPLELGHCKNLIWLDLYSNKFNGYIPSSLASESGLITPGIVSGKQFAFLRNEVGNICAGAGVLFEFEGIRPERLADFALGHSCHQTRIYTGTTVYSYAGNGSMIYLDLSYNTLSGNIPENFGTMNYLQVLNLAHNELTGVIPESLGGMKMAMELDLSNNHLIGVIPAALGALTFLSDLDVSNNNLTGPIPTGGQLITFPPSRYANNSGLCGVPLLPCASQQFSSGSNSYARGGRRFFGGSTFIGISISLFIVMIFMLAFYKLKKQWQSEEQSEYVESLPASSGGTNSWKLSGVLEPLSINVATFEKPLKKLTFAHLLDATNGFSAESLIGSGGFGEVYKAKLKDGTIVAIKKLIHVTGQGDREFMAEMETIGKIKHRNLVPLLGYCNVGDERLLVYEYMKFGSLDVVLHDRTKRGINLDWSARKKIAIGSARGLAFLHHSCNPHIIHRDMKSSNVLLDENLEPRVSDFGMARLMNTVDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLSGKKPIDPLEFGDNNLVGWVKQMVKENTSSEIFDHVLMSSNLAENELHQYLKIACECLDDWPPRRPTMIQVMAMLNDLQTDTGSDILNDELSFEKIIIDESRGKGGS >DRNTG_17269.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5342643:5348262:-1 gene:DRNTG_17269 transcript:DRNTG_17269.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTEDRVARRASKKGSFVGFVLPSLEPKILPSPCDLVELIIGAAFFSQALIVSNATVKLEIWDTGGQERYHSLAPMNRLSEQKKWVLELQNQGLC >DRNTG_12082.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18888355:18894018:-1 gene:DRNTG_12082 transcript:DRNTG_12082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVVFISLANREDKIWVNNFLAHTNFPSILLPQRAFWIRRLNIGPATNCHFCGLYPETADHIIWSCHYSLSCWHTIFSWLGLNPLALNQLALGNWFTYNLTSWATSDFAKSVIAFTAWHIWSARCLSIFQHINPNFSRIPHLAWTNTSDFFTANNHANREHSLLTLSNHLKIIIATDASWDPLTNQSGFGFLIFTNAHKILFAGASSGFCTSSMEAELRVRLVRK >DRNTG_03039.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16410806:16416111:-1 gene:DRNTG_03039 transcript:DRNTG_03039.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELWSSSQHKVRLGKHTRNLGSIQTKVENSLAIWSPDTTTIVILTSLSILHIYKVQFSGMKLLVGGKHSSGLFLATISLVITEMAPFADKNLITSNFVCDNKSLLIGLSGGCLQFASWNGEFSDGFKPFYHPSAYQGDRGGASSTESNGKNAAIVQLEFSLALRMLFNLYSDGCVALCSTSKKGLRLVNSLKIERWLNTPDAICASMASNQRIIAIGCWRGVVELYDLVEGVSHLRTVSVYDWGYSVEDTGPVSCIAWTPDNCAFAVGWKYRGLAVWSVSGCRLMCTIRQIGINSVSSPVVISSQDLKCEPFLGATSVVHWDEYGYKLYAVEESSSERIVAFSFGKYCLNRGLSGTTYGHQVIYGEDRVLLVQPDDTDELKMLHMNLPVSYVSQNWPLSLVVTSKDGMYLAVVGQHGLILYDLCNKKWHVFGDVTQEQKIECKGLLWLGKIIVVCNYNETSNS >DRNTG_03039.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16410806:16416111:-1 gene:DRNTG_03039 transcript:DRNTG_03039.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELWSSSQHKVRLGKHTRNLGSIQTKVENSLAIWSPDTTTIVILTSLSILHIYKVQFSGMKLLVGGKHSSGLFLATISLVITEMAPFADKNLITSNFVCDNKSLLIGLSGGCLQFASWNGEFSDGFKPFYHPSAYQGDRGGASSTESNGKNAAIVQLEFSLALRMLFNLYSDGCVALCSTSKKGLRLVNSLKIERWLNTPDAICASMASNQRIIAIGCWRGVVELYDLVEGVSHLRTVSVYDWGVIGSSAYTECRYSVEDTGPVSCIAWTPDNCAFAVGWKYRGLAVWSVSGCRLMCTIRQIGINSVSSPVVISSQDLKCEPFLGATSVVHWDEYGYKLYAVEESSSERIVAFSFGKYCLNRGLSGTTYGHQVIYGEDRVLLVQPDDTDELKMLHMNLPVSYVSQNWPLSLVVTSKDGMYLAVVGQHGLILYDLCNKKWHVFGDVTQEQKIECKGLLWLGKIIVVCNYNETSNS >DRNTG_03039.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16410806:16416111:-1 gene:DRNTG_03039 transcript:DRNTG_03039.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVGGKHSSGLFLATISLVITEMAPFADKNLITSNFVCDNKSLLIGLSGGCLQFASWNGEFSDGFKPFYHPSAYQGDRGGASSTESNGKNAAIVQLEFSLALRMLFNLYSDGCVALCSTSKKGLRLVNSLKIERWLNTPDAICASMASNQRIIAIGCWRGVVELYDLVEGVSHLRTVSVYDWGVIGSSAYTECRYSVEDTGPVSCIAWTPDNCAFAVGWKYRGLAVWSVSGCRLMCTIRQIGINSVSSPVVISSQDLKCEPFLGATSVVHWDEYGYKLYAVEESSSERIVAFSFGKYCLNRGLSGTTYGHQVIYGEDRVLLVQPDDTDELKMLHMNLPVSYVSQNWPLSLVVTSKDGMYLAVVGQHGLILYDLCNKKWHVFGDVTQEQKIECKGLLWLGKIIVVCNYNETSNS >DRNTG_03039.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16410806:16417448:-1 gene:DRNTG_03039 transcript:DRNTG_03039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELWSSSQHKVRLGKHTRNLGSIQTKVENSLAIWSPDTTTIVILTSLSILHIYKVQFSGMKLLVGGKHSSGLFLATISLVITEMAPFADKNLITSNFVCDNKSLLIGLSGGCLQFASWNGEFSDGFKPFYHPSAYQGDRGGASSTESNGKNAAIVQLEFSLALRMLFNLYSDGCVALCSTSKKGLRLVNSLKIERWLNTPDAICASMASNQRIIAIGCWRGVVELYDLVEGVSHLRTVSVYDWGVIGSSAYTECRYSVEDTGPVSCIAWTPDNCAFAVGWKYRGLAVWSVSGCRLMCTIRQIGINSVSSPVVISSQDLKCEPFLGATSVVHWDEYGYKLYAVEESSSERIVAFSFGKYCLNRGLSGTTYGHQVIYGEDRVLLVQPDDTDELKMLHMNLPVSYVSQNWPLSLVVTSKDGTED >DRNTG_03039.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16410806:16415200:-1 gene:DRNTG_03039 transcript:DRNTG_03039.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEILIHYSFDFPTRVIGSSAYTECRYSVEDTGPVSCIAWTPDNCAFAVGWKYRGLAVWSVSGCRLMCTIRQIGINSVSSPVVISSQDLKCEPFLGATSVVHWDEYGYKLYAVEESSSERIVAFSFGKYCLNRGLSGTTYGHQVIYGEDRVLLVQPDDTDELKMLHMNLPVSYVSQNWPLSLVVTSKDGMYLAVVGQHGLILYDLCNKKWHVFGDVTQEQKIECKGLLWLGKIIVVCNYNETSNS >DRNTG_03039.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16410806:16416111:-1 gene:DRNTG_03039 transcript:DRNTG_03039.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEILIHYSFDFPTRVIGSSAYTECRYSVEDTGPVSCIAWTPDNCAFAVGWKYRGLAVWSVSGCRLMCTIRQIGINSVSSPVVISSQDLKCEPFLGATSVVHWDEYGYKLYAVEESSSERIVAFSFGKYCLNRGLSGTTYGHQVIYGEDRVLLVQPDDTDELKMLHMNLPVSYVSQNWPLSLVVTSKDGMYLAVVGQHGLILYDLCNKKWHVFGDVTQEQKIECKGLLWLGKIIVVCNYNETSNS >DRNTG_03039.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16410806:16416111:-1 gene:DRNTG_03039 transcript:DRNTG_03039.7 gene_biotype:protein_coding transcript_biotype:protein_coding MELWSSSQHKVRLGKHTRNLGSIQTKVENSLAIWSPDTTTIVILTSLSILHIYKVQFSGMKLLVGGKHSSGLFLATISLVITEMAPFADKNLITSNFVCDNKSLLIGLSGGCLQFASWNGEFSDGFKPFYHPSAYQGDRGGASSTESNGKNAAIVQLEFSLALRMLFNLYSDGCVALCSTSKKGLRLVNSLKIERWLNTPDAICASMASNQRIIAIGCWRGVVELYDLVEGVSHLRTVSVYDWGYSVEDTGPVSCIAWTPDNCAFAVGWKYRGLAVWSVSGCRLMCTIRQIGINSVSSPVVISSQDLKCEPFLGATSVVHWDEYGYKLYAVEESSSERIVAFSFGKYCLNRGLSGTTYGHQVIYGEDRVLLVQPDDTDELKMLHMNLPVSYVSQNWPLSLVVTSKDGMYLAVVGQHGLILYDLCNKKWHVFGDVTQEQKIECKGLLWLGKIIVVCNYNETSNS >DRNTG_03039.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16410806:16416111:-1 gene:DRNTG_03039 transcript:DRNTG_03039.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVGGKHSSGLFLATISLVITEMAPFADKNLITSNFVCDNKSLLIGLSGGCLQFASWNGEFSDGFKPFYHPSAYQGDRGGASSTESNGKNAAIVQLEFSLALRMLFNLYSDGCVALCSTSKKGLRLVNSLKIERWLNTPDAICASMASNQRIIAIGCWRGVVELYDLVEGVSHLRTVSVYDWGYSVEDTGPVSCIAWTPDNCAFAVGWKYRGLAVWSVSGCRLMCTIRQIGINSVSSPVVISSQDLKCEPFLGATSVVHWDEYGYKLYAVEESSSERIVAFSFGKYCLNRGLSGTTYGHQVIYGEDRVLLVQPDDTDELKMLHMNLPVSYVSQNWPLSLVVTSKDGMYLAVVGQHGLILYDLCNKKWHVFGDVTQEQKIECKGLLWLGKIIVVCNYNETSNS >DRNTG_10523.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:6981235:6982130:-1 gene:DRNTG_10523 transcript:DRNTG_10523.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIEKRNGSLITSYGSSDATMKNNGYEVILQWMNGTAGHLLIEGKKFMLQQCHWHSPSEHTFNGQRYPLEMHMVHSSSEGEIAIIGVVYELGAADIFLSPKVMRNVKYLKDKQQDEVLMGSMLPPLIERTTPYYRYLGSLTTPPCSEGVVWSLVHDVQTVSLEQVKLIRAAINNVSD >DRNTG_01185.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29357840:29365092:-1 gene:DRNTG_01185 transcript:DRNTG_01185.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 17 [Source:Projected from Arabidopsis thaliana (AT1G72990) UniProtKB/Swiss-Prot;Acc:Q93Z24] MSKRRTVGSACARAMASSWSFKLLLVLLSIVSLASFFPALPALSSPRSHHSKSEGGDRGFWIADDKFWKDGQPFQIIGGDLHYFRVVPQYWKDRLLRAKALGLNTIQTYVPWNLHEPSPEKWVFDGMADIESFLRLAQELGFLVMLRAGPYICGEWDLGGFPAWLLNIEPALKLRSSDPSFLRLVDKWWGVLLPKILPLLYNKGGPIIMVQVENEFGSYGDDQPYLRHLVALARRYLGNEIILYTTDGGSRDLLDKGTIRGEEVYSAVDFSTGDDPWPIFQLQKKYNSRGKSPPLIAEFYTGWLTHWGERIAETDANFTAAALEKILSLNASAVLYMAHGGTNFGFFSGANTGDNETDYKADLTSYDYDAPIKESGDVENLKFEALRNVSQKYSRGSLPPFPSNNERTRYGPVKVSKVSSLLELLHVLRDPMGVIERDQPVPMESIGQLYGFLLYTSEYSQKKTGSIISIPKVHDRAQVFIQCSLNDNERSLRFIGAIERWSNRELAVPYIKCSSNVSVLVLASGKHGSPELWTIHT >DRNTG_01185.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29360340:29365092:-1 gene:DRNTG_01185 transcript:DRNTG_01185.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 17 [Source:Projected from Arabidopsis thaliana (AT1G72990) UniProtKB/Swiss-Prot;Acc:Q93Z24] MSKRRTVGSACARAMASSWSFKLLLVLLSIVSLASFFPALPALSSPRSHHSKSEGGDRGFWIADDKFWKDGQPFQIIGGDLHYFRVVPQYWKDRLLRAKALGLNTIQTYVPWNLHEPSPEKWVFDGMADIESFLRLAQELGFLVMLRAGPYICGEWDLGGFPAWLLNIEPALKLRSSDPSFLRLVDKWWGVLLPKILPLLYNKGGPIIMVQVENEFGSYGDDQPYLRHLVALARRYLGNEIILYTTDGGSRDLLDKGTIRGEEVYSGDVY >DRNTG_01185.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29357840:29360173:-1 gene:DRNTG_01185 transcript:DRNTG_01185.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 17 [Source:Projected from Arabidopsis thaliana (AT1G72990) UniProtKB/Swiss-Prot;Acc:Q93Z24] MGRLNYGPYIHDRKGILSAVILDGIILHRWRFYPVSFNNLSSVLRVNPIMQVADPQNEGMTEEPRFYQGSFFIDHKNQVKDTYISFHGWTKGIAFINNFNIGRFWPVKGPQCSLYVPAPILQHGENIVVILELHSPHPELILNLVKNPDFSCGGT >DRNTG_01185.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29357772:29360173:-1 gene:DRNTG_01185 transcript:DRNTG_01185.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 17 [Source:Projected from Arabidopsis thaliana (AT1G72990) UniProtKB/Swiss-Prot;Acc:Q93Z24] MGRLNYGPYIHDRKGILSAVILDGIILHRWRFYPVSFNNLSSVLRVNPIMQVADPQNEGMTEEPRFYQGSFFIDHKNQVKDTYISFHGWTKGIAFINNFNIGRFWPVKGPQCSLYVPAPILQHGENIVVILELHSPHPELILNLVKNPDFSCGGT >DRNTG_01185.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29357840:29360173:-1 gene:DRNTG_01185 transcript:DRNTG_01185.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 17 [Source:Projected from Arabidopsis thaliana (AT1G72990) UniProtKB/Swiss-Prot;Acc:Q93Z24] MQVADPQNEGMTEEPRFYQGSFFIDHKNQVKDTYISFHGWTKGIAFINNFNIGRFWPVKGPQCSLYVPAPILQHGENIVVILELHSPHPELILNLVKNPDFSCGGT >DRNTG_01185.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29357840:29365092:-1 gene:DRNTG_01185 transcript:DRNTG_01185.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 17 [Source:Projected from Arabidopsis thaliana (AT1G72990) UniProtKB/Swiss-Prot;Acc:Q93Z24] MSKRRTVGSACARAMASSWSFKLLLVLLSIVSLASFFPALPALSSPRSHHSKSEGGDRGFWIADDKFWKDGQPFQIIGGDLHYFRVVPQYWKDRLLRAKALGLNTIQTYVPWNLHEPSPEKWVFDGMADIESFLRLAQELGFLVMLRAGPYICGEWDLGGFPAWLLNIEPALKLRSSDPSFLRLVDKWWGVLLPKILPLLYNKGGPIIMVQVENEFGSYGDDQPYLRHLVALARRYLGNEIILYTTDGGSRDLLDKGTIRGEEVYSAVDFSTGDDPWPIFQLQKKYNSRGKSPPLIAEFYTGWLTHWGERIAETDANFTAAALEKILSLNASAVLYMAHGGTNFGFFSGANTGDNETDYKADLTSYDYDAPIKESGDVENLKFEALRNVSQKYSRGSLPPFPSNNERTRYGPVKVSKVSSLLELLHVLRDPMGVIERDQPVPMESIGQLYGFLLYTSEYSQKKTGSIISIPKVHDRAQVFIQCSLNDNERSLRFIGAIERWSNRELAVPYIKCSSNVSVLVLASGKHGSPELWTIHT >DRNTG_01185.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29360340:29365092:-1 gene:DRNTG_01185 transcript:DRNTG_01185.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 17 [Source:Projected from Arabidopsis thaliana (AT1G72990) UniProtKB/Swiss-Prot;Acc:Q93Z24] MSKRRTVGSACARAMASSWSFKLLLVLLSIVSLASFFPALPALSSPRSHHSKSEGGDRGFWIADDKFWKDGQPFQIIGGDLHYFRVVPQYWKDRLLRAKALGLNTIQTYVPWNLHEPSPEKWVFDGMADIESFLRLAQELGFLVMLRAGPYICGEWDLGGFPAWLLNIEPALKLRSSDPSFLRLVDKWWGVLLPKILPLLYNKGGPIIMVQVENEFGSYGDDQPYLRHLVALARRYLGNEIILYTTDGGSRDLLDKGTIRGEEVYSAVDFSTGDDPWPIFQLQKKYNSRGKSPPLIAEFYTGWLTHWGERIAETDANFTAAALEKILSLNASAVLYMAHGGTNFGFFSGANTGDNETDYKADLTSYDYDAPIKESGDVENLKFEALRNVSQKYSRGSLPPFPSNNERTRYGPVKVSKVSSLLELLHVLRDPMGVIERDQPVPMESIGQLYGFLLYTSEYSQKKTGSIISIPKVHDRAQVFIQCSLNDNERSLRFIGAIERWSNRELAVPYIKCSSNVSVLVLASIF >DRNTG_01185.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29357772:29360173:-1 gene:DRNTG_01185 transcript:DRNTG_01185.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 17 [Source:Projected from Arabidopsis thaliana (AT1G72990) UniProtKB/Swiss-Prot;Acc:Q93Z24] MQVADPQNEGMTEEPRFYQGSFFIDHKNQVKDTYISFHGWTKGIAFINNFNIGRFWPVKGPQCSLYVPAPILQHGENIVVILELHSPHPELILNLVKNPDFSCGGT >DRNTG_01185.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29357840:29365092:-1 gene:DRNTG_01185 transcript:DRNTG_01185.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 17 [Source:Projected from Arabidopsis thaliana (AT1G72990) UniProtKB/Swiss-Prot;Acc:Q93Z24] MSKRRTVGSACARAMASSWSFKLLLVLLSIVSLASFFPALPALSSPRSHHSKSEGGDRGFWIADDKFWKDGQPFQIIGGDLHYFRVVPQYWKDRLLRAKALGLNTIQTYVPWNLHEPSPEKWVFDGMADIESFLRLAQELGFLVMLRAGPYICGEWDLGGFPAWLLNIEPALKLRSSDPSFLRLVDKWWGVLLPKILPLLYNKGGPIIMVQVENEFGSYGDDQPYLRHLVALARRYLGNEIILYTTDGGSRDLLDKGTIRGEEVYSAVDFSTGDDPWPIFQLQKKYNSRGKSPPLIAEFYTGWLTHWGERIAETDANFTAAALEKILSLNASAVLYMAHGGTNFGFFSGANTGDNETDYKADLTSYDYDAPIKESGDVENLKFEALRNVSQKYSRGSLPPFPSNNERTRYGPVKVSKVSSLLELLHVLRDPMGVIERDQPVPMESIGQLYGFLLYTSEYSQKKTGSIISIPKVHDRAQVFIQCSLNDNERSLRFIGAIERWSNRELAVPYIKCSSNVSVLVLVENMGRLNYGPYIHDRKGILSAVILDGIILHRWRFYPVSFNNLSSVLRVNPIMQVADPQNEGMTEEPRFYQGSFFIDHKNQVKDTYISFHGWTKGIAFINNFNIGRFWPVKGPQCSLYVPAPILQHGENIVVILELHSPHPELILNLVKNPDFSCGGT >DRNTG_28176.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20666536:20669610:-1 gene:DRNTG_28176 transcript:DRNTG_28176.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor-binding protein [Source:Projected from Arabidopsis thaliana (AT4G15802) UniProtKB/Swiss-Prot;Acc:Q8GW48] MAGQESDNLQQSTADMTVFVQNLLQQMQTRFQTMSESLIGKIDEMGSRIDELEQSINNLKAEMGTDGVSEAKPEDPKPADESS >DRNTG_19576.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2045029:2047410:-1 gene:DRNTG_19576 transcript:DRNTG_19576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFLAAMGSLKQEVENLGDLDQSAEIVVRLLCTLPGWGEKNVQVQQQVIEVITYIASTVKRFPKRCVVLCLQGISERVADIKTRAHAMKCLSAFSEAVGPGLIFDRLYKIMKEHKNPKVLSEGILWMVSAVEDFGVSHLKLKDLIDFCKDIGLQSSVAATRNSTIKLIGVLHKFVGPDIKGFLTDVKPALLSVLDAEYEKNPFE >DRNTG_00826.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000056.1:20278:21629:-1 gene:DRNTG_00826 transcript:DRNTG_00826.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMTFSWINPLLNLGYLKPLVLEDIPSLSSDDSAFVAANIFLCKWGNINKEKRSFTSNLIIRALARCYLKEMIQVGVYALLRTIAVVSLPLLVRAFVHLSSNEGKDTYQGVLLVVCLILVKLVESFSQRHWFFNSRRYGMRMRSALMAVVFEKQFKLSCIARRRHSMGQIVNYIAVDAYRLGELLWWFNMAWSLPLQLLLGIAVLFSSVGIGLLLGLVPLVLFGLANIPFAKRLQICQTHVVNAQDERIRATTEILNNMKIIKLQSWEDKFKNVIESIREVEFKWMKEAHIKKAYGSAFYWMSPTFISSLVFVGCSLMKSAPLDASTVFTVLATLRVMAEPTKMFAEVISMIIQAKVSMDRLNAFLQEDEFKHYDVVRISEGNSDASIRIHADFSWEENSTVLTLEKINLFVNRAEKVAMCGPVGSGKSSLLLAILGEIPKTSGLVS >DRNTG_00826.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000056.1:20320:22526:-1 gene:DRNTG_00826 transcript:DRNTG_00826.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLKNFQELFTWLCEGEFELGSSCVQRSMFDSLNLLFLLSFLLALLYVFRKRNNIHGRRSRGCVYVFGSILCAIASIIYFIACLLVKFKGNNKLLHQNWVNFAIRSIVWIGLAASLQLLINKFIKVLVLAWWVCFSVLESVIVAEMLFGGQSIQILDISSWPICLLLLYCAFDLARGDSHQNSSGADLDQHLLFEEAKVKTELEKAGFLSMMTFSWINPLLNLGYLKPLVLEDIPSLSSDDSAFVAANIFLCKWGNINKEKRSFTSNLIIRALARCYLKEMIQVGVYALLRTIAVVSLPLLVRAFVHLSSNEGKDTYQGVLLVVCLILVKLVESFSQRHWFFNSRRYGMRMRSALMAVVFEKQFKLSCIARRRHSMGQIVNYIAVDAYRLGELLWWFNMAWSLPLQLLLGIAVLFSSVGIGLLLGLVPLVLFGLANIPFAKRLQICQTHVVNAQDERIRATTEILNNMKIIKLQSWEDKFKNVIESIREVEFKWMKEAHIKKAYGSAFYWMSPTFISSLVFVGCSLMKSAPLDASTVFTVLATLRVMAEPTKMFAEVISMIIQAKVSMDRLNAFLQEDEFKHYDVVRISEGNSDASIRIHADFSWEENSTVLTLEKINLFVNRAEKVAMCGPVGSGKSSLLL >DRNTG_00826.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000056.1:20278:21944:-1 gene:DRNTG_00826 transcript:DRNTG_00826.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGGQSIQILDISSWPICLLLLYCAFDLARGDSHQNSSGADLDQHLLFEEAKVKTELEKAGFLSMMTFSWINPLLNLGYLKPLVLEDIPSLSSDDSAFVAANIFLCKWGNINKEKRSFTSNLIIRALARCYLKEMIQVGVYALLRTIAVVSLPLLVRAFVHLSSNEGKDTYQGVLLVVCLILVKLVESFSQRHWFFNSRRYGMRMRSALMAVVFEKQFKLSCIARRRHSMGQIVNYIAVDAYRLGELLWWFNMAWSLPLQLLLGIAVLFSSVGIGLLLGLVPLVLFGLANIPFAKRLQICQTHVVNAQDERIRATTEILNNMKIIKLQSWEDKFKNVIESIREVEFKWMKEAHIKKAYGSAFYWMSPTFISSLVFVGCSLMKSAPLDASTVFTVLATLRVMAEPTKMFAEVISMIIQAKVSMDRLNAFLQEDEFKHYDVVRISEGNSDASIRIHADFSWEENSTVLTLEKINLFVNRAEKVAMCGPVGSGKSSLLLAILGEIPKTSGLVS >DRNTG_00826.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000056.1:20320:22526:-1 gene:DRNTG_00826 transcript:DRNTG_00826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSLNLLFLLSFLLALLYVFRKRNNIHGRRSRGCVYVFGSILCAIASIIYFIACLLVKFKGNNKLLHQNWVNFAIRSIVWIGLAASLQLLINKFIKVLVLAWWVCFSVLESVIVAEMLFGGQSIQILDISSWPICLLLLYCAFDLARGDSHQNSSGADLDQHLLFEEAKVKTELEKAGFLSMMTFSWINPLLNLGYLKPLVLEDIPSLSSDDSAFVAANIFLCKWGNINKEKRSFTSNLIIRALARCYLKEMIQVGVYALLRTIAVVSLPLLVRAFVHLSSNEGKDTYQGVLLVVCLILVKLVESFSQRHWFFNSRRYGMRMRSALMAVVFEKQFKLSCIARRRHSMGQIVNYIAVDAYRLGELLWWFNMAWSLPLQLLLGIAVLFSSVGIGLLLGLVPLVLFGLANIPFAKRLQICQTHVVNAQDERIRATTEILNNMKIIKLQSWEDKFKNVIESIREVEFKWMKEAHIKKAYGSAFYWMSPTFISSLVFVGCSLMKSAPLDASTVFTVLATLRVMAEPTKMFAEVISMIIQAKVSMDRLNAFLQEDEFKHYDVVRISEGNSDASIRIHADFSWEENSTVLTLEKINLFVNRAEKVAMCGPVGSGKSSLLL >DRNTG_09749.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2108101:2123837:1 gene:DRNTG_09749 transcript:DRNTG_09749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMLGMLPEVILPKLGILTLLDLLMMTLSTGPWLVVSIGCLVRDWWVPINSGCGVGSLVAAVLPIDTFSKKCWRVSKVKMVEIDKPEATVGDAGCAGYSPYEDVHLYETYMEMTQYPIIVQNVDNRKCSEEIERMYSTSGKFKFEWCIKLRYSESIRQLCRYGVRRVSDRKVPTRLRHGNPTPKTRKRRLRHLCHADAQAAFSSQAVANEAHDFRLKACHRRRKCVCKGYHKLTTFDMNKF >DRNTG_22801.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3824139:3827466:-1 gene:DRNTG_22801 transcript:DRNTG_22801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNACWELYCLEHGIQPDGIMPSDTTVGIAHDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIGRPTYTNLNRLISQIISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLARVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGVDEDGEENEDY >DRNTG_26038.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:524511:528454:1 gene:DRNTG_26038 transcript:DRNTG_26038.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPESMEMDGKDRNVEASHKSHNPRSRKLRSLVWNDFTKEQRADGTYIAICNHCKKHLTASSRSGTTHLKNHLGVCMSSKRTKRKKLVVRRLALKSAEAKNDGKTGGADCFQFDQELSEQDLARMIVLHGYPFGIIQHTGFKTFLRNLQPQFKLVSFETLKADCLRIYESARLKLQEVLGKLTCRVSLSVDMWKSNVDMEYMCLTCHYIDNDWRFQKKILAFLPVESLSTGEEISKAIVQSMCDWNIDRKVSSLLLDNCSFDDVVANELLKFLRPKGSLHLNGDMLHVHSCAHVLSLIVQDGLELISELINKVRDCIQYVKSSQARLAKFQDAVKQVGAPKKPIIIDIPSSWTSTYVMLETACEFQSAFTWLASNDDEYLPLSPKDWGDVKAVTECLDVFYQSILKFSTIRIPTANLYFNDICGIHLLLKTSCLSQYPIIISMAKGMLGKFQERWGTTRMVMAIASILDPRYKMKSVEYFFQKIYGDTFDAKDKIENIRKTLVTLYNEYALQSTHASSNQTFLCYAGDNSSCASNECNAGAESKNSTRITLSDARRGLDQYLQEVSSSQSMKSDLDMYLDEAVHPSKEGPDESFNILAWWKFNAAKYPVLSMMAHDILGIPVNIPLDNDGRVLNQYLSSTEPATVQALVCAQDWLKVDIEAGNLDGDVCMPLVPVNGDEFSPLSK >DRNTG_26038.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:523483:528454:1 gene:DRNTG_26038 transcript:DRNTG_26038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESMEMDGKDRNVEASHKSHNPRSRKLRSLVWNDFTKEQRADGTYIAICNHCKKHLTASSRSGTTHLKNHLGVCMSSKRTKRKKLVVRRLALKSAEAKNDGKTGGADCFQFDQELSEQDLARMIVLHGYPFGIIQHTGFKTFLRNLQPQFKLVSFETLKADCLRIYESARLKLQEVLGKLTCRVSLSVDMWKSNVDMEYMCLTCHYIDNDWRFQKKILAFLPVESLSTGEEISKAIVQSMCDWNIDRKVSSLLLDNCSFDDVVANELLKFLRPKGSLHLNGDMLHVHSCAHVLSLIVQDGLELISELINKVRDCIQYVKSSQARLAKFQDAVKQVGAPKKPIIIDIPSSWTSTYVMLETACEFQSAFTWLASNDDEYLPLSPKDWGDVKAVTECLDVFYQSILKFSTIRIPTANLYFNDICGIHLLLKTSCLSQYPIIISMAKGMLGKFQERWGTTRMVMAIASILDPRYKMKSVEYFFQKIYGDTFDAKDKIENIRKTLVTLYNEYALQSTHASSNQTFLCYAGDNSSCASNECNAGAESKNSTRITLSDARRGLDQYLQEVSSSQSMKSDLDMYLDEAVHPSKEGPDESFNILAWWKFNAAKYPVLSMMAHDILGIPVNIPLDNDGRVLNQYLSSTEPATVQALVCAQDWLKVDIEAGNLDGDVCMPLVPVNGDEFSPLSK >DRNTG_26038.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:524511:528454:1 gene:DRNTG_26038 transcript:DRNTG_26038.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPESMEMDGKDRNVEASHKSHNPRSRKLRSLVWNDFTKEQRADGTYIAICNHCKKHLTASSRSGTTHLKNHLGVCMSSKRTKRKKLVVRRLALKSAEAKNDGKTGGADCFQFDQELSEQDLARMIVLHGYPFGIIQHTGFKTFLRNLQPQFKLVSFETLKADCLRIYESARLKLQEVLGKLTCRVSLSVDMWKSNVDMEYMCLTCHYIDNDWRFQKKILAFLPVESLSTGEEISKAIVQSMCDWNIDRKVSSLLLDNCSFDDVVANELLKFLRPKGSLHLNGDMLHVHSCAHVLSLIVQDGLELISELINKVRDCIQYVKSSQARLAKFQDAVKQVGAPKKPIIIDIPSSWTSTYVMLETACEFQSAFTWLASNDDEYLPLSPKDWGDVKAVTECLDVFYQSILKFSTIRIPTANLYFNDICGIHLLLKTSCLSQYPIIISMAKGMLGKFQERWGTTRMVMAIASILDPRYKMKSVEYFFQKIYGDTFDAKDKIENIRKTLVTLYNEYALQSTHASSNQTFLCYAGDNSSCASNECNAGAESKNSTRITLSDARRGLDQYLQEVSSSQSMKSDLDMYLDEAVHPSKEGPDESFNILAWWKFNAAKYPVLSMMAHDILGIPVNIPLDNDGRVLNQYLSSTEPATVQALVCAQDWLKVDIEAGNLDGDVCMPLVPVNGDEFSPLSK >DRNTG_26038.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:523483:528454:1 gene:DRNTG_26038 transcript:DRNTG_26038.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIICMPESMEMDGKDRNVEASHKSHNPRSRKLRSLVWNDFTKEQRADGTYIAICNHCKKHLTASSRSGTTHLKNHLGVCMSSKRTKRKKLVVRRLALKSAEAKNDGKTGGADCFQFDQELSEQDLARMIVLHGYPFGIIQHTGFKTFLRNLQPQFKLVSFETLKADCLRIYESARLKLQEVLGKLTCRVSLSVDMWKSNVDMEYMCLTCHYIDNDWRFQKKILAFLPVESLSTGEEISKAIVQSMCDWNIDRKVSSLLLDNCSFDDVVANELLKFLRPKGSLHLNGDMLHVHSCAHVLSLIVQDGLELISELINKVRDCIQYVKSSQARLAKFQDAVKQVGAPKKPIIIDIPSSWTSTYVMLETACEFQSAFTWLASNDDEYLPLSPKDWGDVKAVTECLDVFYQSILKFSTIRIPTANLYFNDICGIHLLLKTSCLSQYPIIISMAKGMLGKFQERWGTTRMVMAIASILDPRYKMKSVEYFFQKIYGDTFDAKDKIENIRKTLVTLYNEYALQSTHASSNQTFLCYAGDNSSCASNECNAGAESKNSTRITLSDARRGLDQYLQEVSSSQSMKSDLDMYLDEAVHPSKEGPDESFNILAWWKFNAAKYPVLSMMAHDILGIPVNIPLDNDGRVLNQYLSSTEPATVQALVCAQDWLKVDIEAGNLDGDVCMPLVPVNGDEFSPLSK >DRNTG_26038.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:523483:527304:1 gene:DRNTG_26038 transcript:DRNTG_26038.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPESMEMDGKDRNVEASHKSHNPRSRKLRSLVWNDFTKEQRADGTYIAICNHCKKHLTASSRSGTTHLKNHLGVCMSSKRTKRKKLVVRRLALKSAEAKNDGKTGGADCFQFDQELSEQDLARMIVLHGYPFGIIQHTGFKTFLRNLQPQFKLVSFETLKADCLRIYESARLKLQEVLGKLTCRVSLSVDMWKSNVDMEYMCLTCHYIDNDWRFQKKILAFLPVESLSTGEEISKAIVQSMCDWNIDRKVSSLLLDNCSFDDVVANELLKFLRPKGSLHLNGDMLHVHSCAHVLSLIVQDGLELISELINKVRDCIQYVKSSQARLAKFQDAVKQVGAPKKPIIIDIPSSWTSTYVMLETACEFQSAFTWLASNDDEYLPLSPKDWGDVKAVTECLDVFYQSILKFSTIRIPTANLYFNDICGIHLLLKTSCLSQYPIIISMAKGMLGKFQERWGTTRMVMAIASILDPRYKMKSVEYFFQKIYGDTFDAKDKIENIRKTLVTLYNEYALQSTHASSNQTFLCYAGDNSSCASNECNAGAESKNSTRITLSDARRGLDQYLQEVSSSQSMKSDLDMYLDEAVHPSKEGPDESFNILAWWKFNAAKYPVLSMMAHDILGIPVNIPLDNDGRVLNQYLSSTEPATVQALVCAQDWLKVDIEAGNLDGDVCMPLVPVNGDEFSPLSK >DRNTG_26038.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:523483:528454:1 gene:DRNTG_26038 transcript:DRNTG_26038.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIICMPESMEMDGKDRNVEASHKSHNPRSRKLRSLVWNDFTKEQRADGTYIAICNHCKKHLTASSRSGTTHLKNHLGVCMSSKRTKRKKLVVRRLALKSAEAKNDGKTGGADCFQFDQELSEQDLARMIVLHGYPFGIIQHTGFKTFLRNLQPQFKLVSFETLKADCLRIYESARLKLQEVLGKLTCRVSLSVDMWKSNVDMEYMCLTCHYIDNDWRFQKKILAFLPVESLSTGEEISKAIVQSMCDWNIDRKVSSLLLDNCSFDDVVANELLKFLRPKGSLHLNGDMLHVHSCAHVLSLIVQDGLELISELINKVRDCIQYVKSSQARLAKFQDAVKQVGAPKKPIIIDIPSSWTSTYVMLETACEFQSAFTWLASNDDEYLPLSPKDWGDVKAVTECLDVFYQSILKFSTIRIPTANLYFNDICGIHLLLKTSCLSQYPIIISMAKGMLGKFQERWGTTRMVMAIASILDPRYKMKSVEYFFQKIYGDTFDAKDKIENIRKTLVTLYNEYALQSTHASSNQTFLCYAGDNSSCASNECNAGAESKNSTRITLSDARRGLDQYLQEVSSSQSMKSDLDMYLDEAVHPSKEGPDESFNILAWWKFNAAKYPVLSMMAHDILGIPVNIPLDNDGRVLNQYLSSTEPATVQALVCAQDWLKVDIEAGNLDGDVCMPLVPVNGDEFSPLSK >DRNTG_04621.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3194697:3197291:1 gene:DRNTG_04621 transcript:DRNTG_04621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFTLLGHRQPFSPPKAPPILAPFVGIFTFTMVQSLPSDSHITQKHNRISGEEKNGKLSNGPVQLR >DRNTG_21929.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2219533:2221614:-1 gene:DRNTG_21929 transcript:DRNTG_21929.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLMMLRLCKFLQNMYGGNATLKASGALSIAVPGEVAGLHEAWKQHGKLPWKRLVMPAEHLARRGFRISPYLFMQMNRTKAGILADEGLRSMFTSNGELLQLGDTCYNKRLADTLGVISRHGPRALYNGSVGKNLVRDIRKFGGVLTMKDLQKYKVRVTKPISAEIMGLTILGNATTFSWWCWNNTSKSSSS >DRNTG_21929.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2217566:2221614:-1 gene:DRNTG_21929 transcript:DRNTG_21929.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQAKDQFSSLLQWFLTSVMLGKVQKWHAIVIIIFLALEAGARREEIISNYGVVATDMAKCSRIGRDVLKEGGHAVDAAVAAALCLGVVSPASSGIGGGAFMLLRLANGDAQAFDMRETAPAFSSMNMYGGNATLKASGALSIAVPGEVAGLHEAWKQHGKLPWKRLVMPAEHLARRGFRISPYLFMQMNRTKAGILADEGLRSMFTSNGELLQLGDTCYNKRLADTLGVISRHGPRALYNGSVGKNLVRDIRKFGGVLTMKDLQKYKVRVTKPISAEIMGLTILGNATTFSWWCWNNTNVKHSCSIWKSIRCFWSSWYSQAY >DRNTG_21929.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2217566:2221614:-1 gene:DRNTG_21929 transcript:DRNTG_21929.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLMMLRLCKFLQNMYGGNATLKASGALSIAVPGEVAGLHEAWKQHGKLPWKRLVMPAEHLARRGFRISPYLFMQMNRTKAGILADEGLRSMFTSNGELLQLGDTCYNKRLADTLGVISRHGPRALYNGSVGKNLVRDIRKFGGVLTMKDLQKYKVRVTKPISAEIMGLTILGNATTFSWWCWNNTNVKHSCSIWKSIRCFWSSWYSQAY >DRNTG_09521.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:25737648:25738520:-1 gene:DRNTG_09521 transcript:DRNTG_09521.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISSSTRCYRRCGNLLDTPLSISLAPGGLCGSYSKNIDSWPKPPYKSHHSDRIKRKRSRHNFPLSTT >DRNTG_33487.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11655115:11657833:-1 gene:DRNTG_33487 transcript:DRNTG_33487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISDHYSSKANPSLTHSSKPRFPRPHPQTLTLTLIPSLDSVISQLSPIPFIHIPPLAFSNLPTNPSPMKRAHKSKPGGGRVHPSPTYPSMASLPTAILALVAVLSPEEQEVLAYLISGDKGKRRAPPRQHEPELSCDCFGCYKSFWARWDASPNRHLIHRILDAFEEKLEDEGKKGFQVKKARRSRRRNGKGVPASDDLDAVEKEIKGFDSLDGHVDGADDGDGDDDDDDHRRGGGDDSGGSGNAGESKSSVFRDH >DRNTG_12091.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14063312:14066036:-1 gene:DRNTG_12091 transcript:DRNTG_12091.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFYLARGGIRGIKHTLFLYHLPGSLVVTL >DRNTG_02094.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10494214:10498269:-1 gene:DRNTG_02094 transcript:DRNTG_02094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGVEVKPNETLKCEPGEEKYLHLSQVTLGEMKKDKGAENVTVFVRFNDQKLVLGTLSAEKFPQISYDLVFEKEFELSHSSKNTSLYFCGYKTATMDEHSDLSGDEFDSDEEPVPILSANGNSKEEVAKPAVAKAAAGKADASPAKPKAKTEEPIKADKLKAEADDDDDEDDDEDDEDIDEDDSDDEDMLDADDSDDEDDEDNSDEEEEETPKKVESAKKRSSDSASKTPVPAKKAKVVSPVGAQKTGGDGKKGGHTATPHPAKQSGKTPASGDKSKQQTPKSAGSVACKSCSKTFNSENALQAHTKAKHAAAK >DRNTG_23703.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:651278:654993:1 gene:DRNTG_23703 transcript:DRNTG_23703.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLSLLEHSLPVPPHLLNRPLADAIKGELERLFLDKVISNLGLCISVYDIRSIDGGFIVPGDGSATYKVVFRLVMFQPFVGEILSGKIERSDATGLHVSLGFFSDIFIPAHLIVQPSEL >DRNTG_23703.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:651077:654993:1 gene:DRNTG_23703 transcript:DRNTG_23703.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLSLLEHSLPVPPHLLNRPLADAIKGELERLFLDKVISNLGLCISVYDIRSIDGGFIVPGDGSATYKVVFRLVMFQPFVGEILSGKIERSDATGLHVSLGFFSDIFIPAHLIVQPSEL >DRNTG_23703.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:651077:654993:1 gene:DRNTG_23703 transcript:DRNTG_23703.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLSLLEHSLPVPPHLLNRPLADAIKGELERLFLDKVISNLGLCISVYDIRSIDGGFIVPGDGSATYKVVFRLVMFQPFVGEILSGKIERSDATGLHVSLGFFSDIFIPAHLIVQPSELEADGIWVWKYDGSELPLDLNEEIHFQVTNIKYPSIPAEQDENAKPFSPMEIVGTINGDGLGLLSWWAD >DRNTG_23703.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:651077:654993:1 gene:DRNTG_23703 transcript:DRNTG_23703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLSLLEHSLPVPPHLLNRPLADAIKGELERLFLDKVISNLGLCISVYDIRSIDGGFIVPGDGSATYKVVFRLVMFQPFVGEILSGKIERSDATGLHVSLGFFSDIFIPAHLIVQPSELEADGIWVWKYDGSELPLDLNEEIHFQVTNIKYPSIPAEQDENAKPFSPMEIVGTINGDGLGLLSWWAD >DRNTG_11209.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2347182:2349113:1 gene:DRNTG_11209 transcript:DRNTG_11209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLRFSSLSRPKPTWNSNHNLLITNQTLVAMESCSSMPELKQIQAQLTRTGLIFHCFPASRVLAFCALSNPPQMPHARLVFAQSPQPNTFMYNTMIRGYTRSTLPSMALLYFIALMREPVEPDARSFVFALKACEKFACVSVGEGVHSLVCKLGFEFDLVVENSLVHFYAEHGRVGCARKVFDEIPQKDIFSWTTMIDVYARSGFGFEAFRMFCLMRTSDVRPNEVTMITVLSACLQIGVLNLGKSVHAIIEKSDFNVTVNLLNALVDMYGKCCCVGSARKVFDGMETKDVFSWTSLLNAYAKSGDLELARQIFDDMPEKNAVSWSSMIAGYSQANQPDKALEMFRAMVAASVEPIDATLVSVLSSCAQTGCLDLGRWIYDHYILEKQIIVGVNLVNAFIDMYAKCGDLSTAAKLFNEMPKRNSVSWNSIITGYAIHGYGEEALSLFEQMKSEGVLPDDITFVGVLSACNHSGLVAKGRKHFEEMKTVFGIEPRVEHYACLIDLLSRVGLLEDVFELVKRMPMEPDAAGWGAILNACRIHGNVELGTCAGDKLLGLDPGDSGIYILLSNLYAGKEKWNEVKKVRRMMRERGVKKTPGFSSIEVDGKFHEFLSADKSHILSEEIYGILDVLYIQFRFKHRQ >DRNTG_14839.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000744.1:40140:40997:1 gene:DRNTG_14839 transcript:DRNTG_14839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWKDVGVPTKLTFARDRIVELYFWILGVYFEPQYSRARMMMVKVISMVSLMDDVYDSYGTMVELQHFTGAIQRWDFKAADEMENV >DRNTG_14839.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000744.1:40140:40727:1 gene:DRNTG_14839 transcript:DRNTG_14839.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWWKDVGVPTKLTFARDRIVELYFWILGVYFEPQYSRARMMMVKVISMVSLMDDVYDSYGTMVELQHFTGAIQRS >DRNTG_16029.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17471754:17473688:1 gene:DRNTG_16029 transcript:DRNTG_16029.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVKDFACASGLEINCRKSSIIFSKNTPLLVRAQVGHILGVREATRQVKYLGLPLVFGRKKLAAFQFIRDRVRSRLNIWNQRFLSRAGKMILLKAVVQAIPNYCMNVYLLPRVLCRELERMMNSFWWNNNRGRGKGINWLSWGSMCAPKEVGGLGFRCLHDFNVAQLGKQAWRLLLHPQSLVAQVIKARYYPHCSFLEAQVGHNPSFTWRSILASQPLIRQGVRWRVGNGQTIHIWNIAWLPDDHCPYVTSQHVLDTSLEHVADLFQQDGSGWDLRKLGEFNVRDRELILQIPLSFQQPPDSIFWWPERSGRYTVKSAHHLASLGGIIEDGNLQLVVWARIWKLCIPRAAQEFMWRMVKDCLPTKLNLIQKHVDLDPVCCVCHGALESTIHIMFDCPFARDCWSLLHIHNPWVIQNTPCGVLSQCWVALTDD >DRNTG_16029.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17471435:17473688:1 gene:DRNTG_16029 transcript:DRNTG_16029.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFTVLIKRQIQVGALHGYKVARRGPIISSLLFADDSLVFFRATTGEAVVMDAVVKDFACASGLEINCRKSSIIFSKNTPLLVRAQVGHILGVREATRQVKYLGLPLVFGRKKLAAFQFIRDRVRSRLNIWNQRFLSRAGKMILLKAVVQAIPNYCMNVYLLPRVLCRELERMMNSFWWNNNRGRGKGINWLSWGSMCAPKEVGGLGFRCLHDFNVAQLGKQAWRLLLHPQSLVAQVIKARYYPHCSFLEAQVGHNPSFTWRSILASQPLIRQGVRWRVGNGQTIHIWNIAWLPDDHCPYVTSQHVLDTSLEHVADLFQQDGSGWDLRKLGEFNVRDRELILQIPLSFQQPPDSIFWWPERSGRYTVKSAHHLASLGGIIEDGNLQLVVWARIWKLCIPRAAQEFMWRMVKDCLPTKLNLIQKHVDLDPVCCVCHGALESTIHIMFDCPFARDCWSLLHIHNPWVIQNTPCGVLSQCWVALTDD >DRNTG_16029.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17471951:17473688:1 gene:DRNTG_16029 transcript:DRNTG_16029.6 gene_biotype:protein_coding transcript_biotype:protein_coding MILLKAVVQAIPNYCMNVYLLPRVLCRELERMMNSFWWNNNRGRGKGINWLSWGSMCAPKEVGGLGFRCLHDFNVAQLGKQAWRLLLHPQSLVAQVIKARYYPHCSFLEAQVGHNPSFTWRSILASQPLIRQGVRWRVGNGQTIHIWNIAWLPDDHCPYVTSQHVLDTSLEHVADLFQQDGSGWDLRKLGEFNVRDRELILQIPLSFQQPPDSIFWWPERSGRYTVKSAHHLASLGGIIEDGNLQLVVWARIWKLCIPRAAQEFMWRMVKDCLPTKLNLIQKHVDLDPVCCVCHGALESTIHIMFDCPFARDCWSLLHIHNPWVIQNTPCGVLSQCWVALTDD >DRNTG_16029.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17471951:17473878:1 gene:DRNTG_16029 transcript:DRNTG_16029.5 gene_biotype:protein_coding transcript_biotype:protein_coding MILLKAVVQAIPNYCMNVYLLPRVLCRELERMMNSFWWNNNRGRGKGINWLSWGSMCAPKEVGGLGFRCLHDFNVAQLGKQAWRLLLHPQSLVAQVIKARYYPHCSFLEAQVGHNPSFTWRSILASQPLIRQGVRWRVGNGQTIHIWNIAWLPDDHCPYVTSQHVLDTSLEHVADLFQQDGSGWDLRKLGEFNVRDRELILQIPLSFQQPPDSIFWWPERSGRYTVKSAHHLASLGGIIEDGNLQLVVWARIWKLCIPRAAQEFMWRMVKDCLPTKLNLIQKHVDLDPVCCVCHGALESTIHIMFDCPFARDCWSLLHIHNPWVIQNTPCGVLSQCWVALTDD >DRNTG_16029.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17471754:17473878:1 gene:DRNTG_16029 transcript:DRNTG_16029.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVKDFACASGLEINCRKSSIIFSKNTPLLVRAQVGHILGVREATRQVKYLGLPLVFGRKKLAAFQFIRDRVRSRLNIWNQRFLSRAGKMILLKAVVQAIPNYCMNVYLLPRVLCRELERMMNSFWWNNNRGRGKGINWLSWGSMCAPKEVGGLGFRCLHDFNVAQLGKQAWRLLLHPQSLVAQVIKARYYPHCSFLEAQVGHNPSFTWRSILASQPLIRQGVRWRVGNGQTIHIWNIAWLPDDHCPYVTSQHVLDTSLEHVADLFQQDGSGWDLRKLGEFNVRDRELILQIPLSFQQPPDSIFWWPERSGRYTVKSAHHLASLGGIIEDGNLQLVVWARIWKLCIPRAAQEFMWRMVKDCLPTKLNLIQKHVDLDPVCCVCHGALESTIHIMFDCPFARDCWSLLHIHNPWVIQNTPCGVLSQCWVALTDD >DRNTG_16029.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17471435:17473878:1 gene:DRNTG_16029 transcript:DRNTG_16029.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFTVLIKRQIQVGALHGYKVARRGPIISSLLFADDSLVFFRATTGEAVVMDAVVKDFACASGLEINCRKSSIIFSKNTPLLVRAQVGHILGVREATRQVKYLGLPLVFGRKKLAAFQFIRDRVRSRLNIWNQRFLSRAGKMILLKAVVQAIPNYCMNVYLLPRVLCRELERMMNSFWWNNNRGRGKGINWLSWGSMCAPKEVGGLGFRCLHDFNVAQLGKQAWRLLLHPQSLVAQVIKARYYPHCSFLEAQVGHNPSFTWRSILASQPLIRQGVRWRVGNGQTIHIWNIAWLPDDHCPYVTSQHVLDTSLEHVADLFQQDGSGWDLRKLGEFNVRDRELILQIPLSFQQPPDSIFWWPERSGRYTVKSAHHLASLGGIIEDGNLQLVVWARIWKLCIPRAAQEFMWRMVKDCLPTKLNLIQKHVDLDPVCCVCHGALESTIHIMFDCPFARDCWSLLHIHNPWVIQNTPCGVLSQCWVALTDD >DRNTG_14691.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000740.1:38914:41777:1 gene:DRNTG_14691 transcript:DRNTG_14691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGMDDDEAVKEKVKLLDGPVLTKHDRVKLERRKQKEERQREVRLCHTDFLVVAVYLDGRMIWNANLIFFGCFRANIKRIRRRWKLLKQEYQLCLKDAISKRLEEVYKRLEFIDAYSAEARPASILAVRLKRNTGTCSEEIRKGLGETKAVGEEVEAIREVDHLMASVIGIRIHLLQGQVRFLKSKS >DRNTG_19891.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18151938:18152990:-1 gene:DRNTG_19891 transcript:DRNTG_19891.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTVVWKNDAVSTTRDKLYTLLEGKEMVTDNVVDAFQDDTHETTMAMIGDAVRNLHEVKIVILPIIMNGHFHVVVLDNEKQEYMHYSSCQSAVYDKDALDMRNLFDICVDMEFGESATSKYLLVQDMEIPR >DRNTG_34751.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002187.1:12359:14044:-1 gene:DRNTG_34751 transcript:DRNTG_34751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRSRPRTSKTYFGANNPHTIKCRL >DRNTG_26419.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23911629:23915075:1 gene:DRNTG_26419 transcript:DRNTG_26419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKSYQTAHEPIDSVFSKELAAVVVKAVEDNKCDDQDRSLHNEDYVRTLNLASIVAILPSGFHGSSTAGEPSPLIERWKSGGLCDCGGWDEGCALTILRDKNQDNKNSPDGTHLIELFYQKRKCALRMVAFREGLYTVDFRSSISLLQAFVICIATLHSKNPPHLSKLLLAEPNNFEEHVLSDHLRSSTRRHPDDPTRCVPNHPPISSVERD >DRNTG_20015.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18221014:18221596:1 gene:DRNTG_20015 transcript:DRNTG_20015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRRPSPLIRGCLNLHRAKAFINKPIEHYEAFRVICGEDNAIGMYAMSVFADLGDNSEHEGNNNNNFDEELVEQPSDDDADANFAPPVVSIPATSSTPRSQRSRRGSKNPSMMGDLIVVVGEMASTIKNPTHWIESLYAKVMEVDGFEKKELVQVFDFL >DRNTG_05235.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:22368704:22377230:-1 gene:DRNTG_05235 transcript:DRNTG_05235.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAHSSALDEKYGFGSIGMKYCSSTVTKHKGSLGELNDGMQYYDHPHEQYCECEASHREARVHPFISIVRVSFEGGSLLLGAHHHHTKSELEVSEEEVRVASQALGNTIPSCSHEGYYLVIPYTCGEAINNPTSVSRREHSFSPGYSVGLVTVNLMFGTVCLGAHGYSLERLLQGFWSGSMAFNIAFLRKIVIGGAFVGIDSARGLRLKQRVRSRLGNGVYHMESLVLLATLHSFSNDALAPKVDGLTRKLDLLMGSSSRFNSLTSRMDAQFGKVNAQLTQHAEQFSEIGSVLRNLQASVKSLEHQVGELAKAHSEHPLGCLPSNTENNPREHLKAIALRSGRQVETRVEEVLSINPLDEYLGELENEEQGEPHHHPQIHNLKQPK >DRNTG_18796.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:350062:351662:1 gene:DRNTG_18796 transcript:DRNTG_18796.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRSSQLWVSLIFFVLLLVVAMAADGNGGNKGGKNGNNNGNNGNNGKGNGNGNGNNNGNGNGNGKGNGNGNGNGNGNGNDGGGNSGGGDNGGGNSGGGNNGGGNNGGGNNGGGGTKTVKCDRKMYPYCSGQQHCPPSCPGSCFIDCKACKPVCYCDKPGGVCQDPRFVGGDGITFYFHGEKDKDFCLLSDSHLHINGHFIGRRNAEMKRDFTWVQSIAVLFHSHKLYVGAKKTSIWNSAEDHLIISLNGEQVLLPTEEGAKWQAPTEPTVTITRSIDANGVIVEVDGMFKVQANVVPITEEESRVHHYGVTADDCFAHLELAFKFNSLTQEVDGVLGQTYKDNYVSRVKMSSSMPLMGGVDKFSSSGLYTTDCAVARFNGGGEGIIAMATELANVIKCGSDINGHGIVCKK >DRNTG_08323.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000377.1:39394:42564:-1 gene:DRNTG_08323 transcript:DRNTG_08323.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRTSLERVREAGRLGLLLRCLRSPGTLRCIRRHGWISLLYEDEEEPDDFDMSDAQEEHALVVQHHEPRLAALKIELCPTHMSEGCFWKIYFLLLHSRLSKHDAELLSTRQVVEARARWLQELQNQARPELLRTSKGLAFKKEGFGSFSPEEKLSTQGSSSTPYAQRPLLPEFGKASSLPITDFVSEKHPTEIMGINNSIDKLVIMKQSQVRTGNKCLPWSASENSIHDDGDDDDDEWLEEETEEWNNSRSRTITLGIEDVSFSDLEDEDDT >DRNTG_13965.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21490720:21491523:1 gene:DRNTG_13965 transcript:DRNTG_13965.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSLQTLKAPIRSKPKENSHSHSQSKRSDSNPIEATKPPKIVAKSLASTFSTISDEMTADPSKPTAPVTDPDILAADPLEASIIAAADNKKEPIAMDTPALNPEEEIGSSEVVKEVTIVEEVGGKQGWSSDPVTLMNLSIWIVFILTLAVTAVGWLLWWSAEWEEECCSVLHPT >DRNTG_09343.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:646994:647595:1 gene:DRNTG_09343 transcript:DRNTG_09343.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHEGQRQHARYQQEGMKGILPDKGQGPSASKVLAVATLLPVGGTLLGLSGVTLAGTVLGLAVATPLFLLFSPVLVPAAITIGLAVLGFLTSGAFGLTALSALSWILNYLRRARMPEHMEHAKRRMAEATGQVGQRAREAGQAMQSKVQEGGG >DRNTG_17243.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000886.1:20407:21762:-1 gene:DRNTG_17243 transcript:DRNTG_17243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSPVRNFHTGVDNFHTPVWILCFSGFSVGCEQCCYSISYNVATVFDLNSFPIILEGSLFQSGR >DRNTG_32721.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1493746:1495709:1 gene:DRNTG_32721 transcript:DRNTG_32721.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLAGGGEDVGGSAGDWVLEFFELEAAVDSDEEEEEEELEEEGDGDFIYREVALPDENDVTRVHQSTRAFMQDENEDVEDLERRLDERYRRPVQIEYGEDATDVEQQSLLPSVKEPKLWMVKCAIGHERGAAICLMQKFIDKPDIQIKSAVALDHLKNYIYVEADKEAHVKEEMTDVLSVESKSVDLSRDTWVRMKLGIYKGNLAKVIEVDNVQQKVTVKLIPRIDLQMIASKLEGRDVVKKKTFVPPARFFKINEARAMHNHVEQRRD >DRNTG_02579.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19396040:19399717:1 gene:DRNTG_02579 transcript:DRNTG_02579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEPVSPTGQYLSSSVLNVTVLAVFETLMPIDDSQSTTALESIFLPINPRFSSIMVTDEHGVRKWKKVEVKLEDHLKVPVFPPGLELYDEYLQDYITKISMEPLPFSRPLWDVNVIKYPTSTAAGTLIFRLHHALGDGYSLMAALFSCLKRIDDPSLPLTFPSSKPRRGWDSFLGFAQEISYACVNTIRDFGWSLMKSSVMEDSVSAIRSGVPFVESRPINLSYFELSLDDIKTIKKKVDGTVNDVLAGMIFHGLNLYMEEVMDPCNDATKITALVLLNTRAIRTYQNMLEMRSPNSNARWGNHFAFIHIPIPKCDKKNSKVDPLEFILKVKKLIKSKKSSFGIYLSGAFLEIIRKFRGPEVASGYMLKTLTNSSLAISNMIGPMEEVEMVGHPVSGFHFMMVRAPQSLTITIVSYNGKVRLAIGAEKDFIDSPLLVSCMKKSFSELYETAASETENRVNGHEHAK >DRNTG_26866.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2099832:2101019:1 gene:DRNTG_26866 transcript:DRNTG_26866.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEEEEDEEEIGEDAYGGGAPPEKKRRLEAEKVRELERSFEAQSKLDPERKARLACELGLEPRQVAVWFQNRRARWKVKRLERDFSSMRAARDALELDVSALRRETSALALEAAELRAKLTARLSLGSPAEKPPAGEGISPPLEQMIAVGLERKSISSEEYEPLDCAVKKGLFYEEELMDYGWSLGCYEEW >DRNTG_26866.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2099775:2100815:1 gene:DRNTG_26866 transcript:DRNTG_26866.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEEEEDEEEIGEDAYGGGAPPEKKRRLEAEKVRELERSFEAQSKLDPERKARLACELGLEPRQVAVWFQNRRARWKVKRLERDFSSMRAARDALELDVSALRRETSALALEAAELRAKLTARLSLGSPAEKPPAGEGISPPLEQMIAVGLERKSISSEEYEPLDCAVKKGLFYEEELMDYGWSLGCYEEW >DRNTG_26866.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2099775:2100815:1 gene:DRNTG_26866 transcript:DRNTG_26866.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEEEEDEEEIGEDAYGGGAPPEKKRRLEAEKVRELERSFEAQSKLDPERKARLACELGLEPRQVAVWFQNRRARWKVKRLERDFSSMRAARDALELDVSALRRETSALALEAAELRAKLTARLSLGSPAEKPPAGEGISPPLEQMIAVGLERKSISSEEYEPLDCAVKKGLFYEEELMDYGWSLGCYEEW >DRNTG_26866.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2099832:2101019:1 gene:DRNTG_26866 transcript:DRNTG_26866.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEEEEDEEEIGEDAYGGGAPPEKKRRLEAEKVRELERSFEAQSKLDPERKARLACELGLEPRQVAVWFQNRRARWKVKRLERDFSSMRAARDALELDVSALRRETSALALEAAELRAKLTARLSLGSPAEKPPAGEGISPPLEQMIAVGLERKSISSEEYEPLDCAVKKGLFYEEELMDYGWSLGCYEEW >DRNTG_26866.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2099775:2101019:1 gene:DRNTG_26866 transcript:DRNTG_26866.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEEEEDEEEIGEDAYGGGAPPEKKRRLEAEKVRELERSFEAQSKLDPERKARLACELGLEPRQVAVWFQNRRARWKVKRLERDFSSMRAARDALELDVSALRRETSALALEAAELRAKLTARLSLGSPAEKPPAGEGISPPLEQMIAVGLERKSISSEEYEPLDCAVKKGLFYEEELMDYGWSLGCYEEW >DRNTG_26866.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2099593:2101019:1 gene:DRNTG_26866 transcript:DRNTG_26866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEEEEDEEEIGEDAYGGGAPPEKKRRLEAEKVRELERSFEAQSKLDPERKARLACELGLEPRQVAVWFQNRRARWKVKRLERDFSSMRAARDALELDVSALRRETSALALEAAELRAKLTARLSLGSPAEKPPAGEGISPPLEQMIAVGLERKSISSEEYEPLDCAVKKGLFYEEELMDYGWSLGCYEEW >DRNTG_26866.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2099593:2100815:1 gene:DRNTG_26866 transcript:DRNTG_26866.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEEEEDEEEIGEDAYGGGAPPEKKRRLEAEKVRELERSFEAQSKLDPERKARLACELGLEPRQVAVWFQNRRARWKVKRLERDFSSMRAARDALELDVSALRRETSALALEAAELRAKLTARLSLGSPAEKPPAGEGISPPLEQMIAVGLERKSISSEEYEPLDCAVKKGLFYEEELMDYGWSLGCYEEW >DRNTG_26866.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2099832:2100815:1 gene:DRNTG_26866 transcript:DRNTG_26866.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEEEEDEEEIGEDAYGGGAPPEKKRRLEAEKVRELERSFEAQSKLDPERKARLACELGLEPRQVAVWFQNRRARWKVKRLERDFSSMRAARDALELDVSALRRETSALALEAAELRAKLTARLSLGSPAEKPPAGEGISPPLEQMIAVGLERKSISSEEYEPLDCAVKKGLFYEEELMDYGWSLGCYEEW >DRNTG_04067.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:18735934:18746431:-1 gene:DRNTG_04067 transcript:DRNTG_04067.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVHRSLEFLGPLSETAGQNTTASLAKNKALEAIWSSMQSFMELPDDGLYTAFKSAVNTNRVFNHDGGVVQSLSSSSPSSSSSNNGKDQLSSIKSHDYPTLEAKKAKLEDSAASAKPNLEFKDTHRGETIRHAFGVSNWHSYGNLSSSVLDEQLDKKESQLRTYIVHVQRQKHDELLGDEDIEDWHRSYLPNTTLDTGEPRLVHSYRHAISGFAARLTSEEVRAIESMEGFLIARPSRTLKLSTTYTPSFLGLDRQSGLWVDSFMGSGVIIGVVDSGITPNHASFMDDGTMPPKPTKWKGRCRFHNKTLCNNKLIGAIAFHGSRRPQPKDAKKDGHGTHVAGIAAGSFVDNANVLRLARGIASGTAPKAHLAIYKVCFTSGCSGADIVAAIEEAMKNSVDILSLSLGKKSLPFYDDDIMIATLSAVRAKIFVCMAAGNSGPYRESVENGAPWILTVGASTHDRRVSATVKLGNGVEVEGESGYQPRTFNATGNIIFPGFGAQNGTLGCNKNSFNNTNVKGKIVLCNIEKGNYRDMSVNVKDAGGAGMIVLDTFVEGATTLSYDYVLPTAHVNYTTARKILSYLKNSSSTATATIAFNGTKFGA >DRNTG_00648.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16574147:16574554:1 gene:DRNTG_00648 transcript:DRNTG_00648.1 gene_biotype:protein_coding transcript_biotype:protein_coding CKPLCDSEFGDCIRENYYTLNKFHFGLSLKQVMRLLGLFSLKKINVGEPQNLISKSSVVKTNLLEKTHCLIHNILQTDASHSFGNDSSYGHNARPMYYEH >DRNTG_10478.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000440.1:10757:13035:1 gene:DRNTG_10478 transcript:DRNTG_10478.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGRDGSSLNHNPPSKSWAQVASASSRTQESSPLHNPVILEKLKSSSTDFVKLDGDAISRARSKFQNSLFGKFFGKPPPFDQLKASLLTKWGNYGELMISDLPNGFLLIRCPSHNVMEKLLTDGPWSLNGLTLQLSPWQPFFEPAFAKLSTAAIWVQLHNLPVEFWDGESLETIVAHLGPLIKVDDLTLSLTRSKFARVCIEIDISKPLCRGFWLGDDLHRIFVVVLYERLPTFCYSCGVIGHGASSCSRVNVREIGRDSPPLRSSQRQEVFPAQSVTSVDRDTEMVAGDTLLDPPVPNSDFGPWMLVSSQLGNVRGRGTGLHASHVTCDVAATENVAPLGPSPGSTGGTRGGRRARGRGGAVSSRSFHVEYVNTDVVPMIEAPVENPCANASGLNLSSHSPVSERGGVRNNARGGLTGSRASHSEFQDPHCNPSAVGPVWSNPTQVTAVINDGVIFSLPNTLPTTPLGSIPLSRYLHYR >DRNTG_12889.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20761900:20771874:1 gene:DRNTG_12889 transcript:DRNTG_12889.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 2 [Source:Projected from Arabidopsis thaliana (AT5G26040) UniProtKB/Swiss-Prot;Acc:Q944K3] MADALLHFYLTSNLSLQSPPPPELRCDPVKHAAAASPVVRRPIIRLPRLKAHGIVSQNEGPKWWERNAGKNMVDVHSTEEFLNQLSEAGDRLVIVEFYGTWCASCRALFPKLSSL >DRNTG_12889.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20761900:20766049:1 gene:DRNTG_12889 transcript:DRNTG_12889.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 2 [Source:Projected from Arabidopsis thaliana (AT5G26040) UniProtKB/Swiss-Prot;Acc:Q944K3] MIIDLDAHQGNGHERDFSDDRRIYIMDMYNSGIYPLDFEASRYIDQKVELVSGTKTKDYLDQLDKSLEVARNSFDPELIVYNAGTDILDGDPLGRLKISPEGVTARDEKVFRFAKEQNIPIIMLTSGGYMKSSARVIADSITNLAKKNLIEIPSKLSRK >DRNTG_12889.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20761900:20771874:1 gene:DRNTG_12889 transcript:DRNTG_12889.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 2 [Source:Projected from Arabidopsis thaliana (AT5G26040) UniProtKB/Swiss-Prot;Acc:Q944K3] MADALLHFYLTSNLSLQSPPPPELRCDPVKHAAAASPVVRRPIIRLPRLKAHGIVSQNEGPKWWERNAGKNMVDVHSTEEFLNQLSEAGDRLVIVEFYGTWCASCRALFPNYAEQLEITLMFYSSRWTMMKTSQCAGD >DRNTG_12889.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20761900:20766134:1 gene:DRNTG_12889 transcript:DRNTG_12889.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 2 [Source:Projected from Arabidopsis thaliana (AT5G26040) UniProtKB/Swiss-Prot;Acc:Q944K3] MIIDLDAHQGNGHERDFSDDRRIYIMDMYNSGIYPLDFEASRYIDQKVELVSGTKTKDYLDQLDKSLEVARNSFDPELIVYNAGTDILDGDPLGRLKISPEGVTARDEKVFRFAKEQNIPIIMLTSGGYMKSSARVIADSITNLAKKNLIEIPSKLSRK >DRNTG_12889.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20767144:20771874:1 gene:DRNTG_12889 transcript:DRNTG_12889.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 2 [Source:Projected from Arabidopsis thaliana (AT5G26040) UniProtKB/Swiss-Prot;Acc:Q944K3] MADALLHFYLTSNLSLQSPPPPELRCDPVKHAAAASPVVRRPIIRLPRLKAHGIVSQNEGPKWWERNAGKNMVDVHSTEEFLNQLSEAGDRLVIVEFYGTWCASCRALFPKLSSL >DRNTG_32677.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1192520:1193288:1 gene:DRNTG_32677 transcript:DRNTG_32677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSAVINAGYHISGTHITPLGLKSDAPMSVIWDIMRCWVKTRKVKDQPSDQPGAAILAKEPTLQVNFKKAVGAVSKAQANNIARFLPKPEKNWGPKIRAGRRIIATKHASILGCKNIVTDDTGS >DRNTG_26089.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20696742:20699210:-1 gene:DRNTG_26089 transcript:DRNTG_26089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGKPDAQLFDLLSTLLQQVESLSNQEEVELRAKIEALGLEVTKVPSEQSKHLNELEIAAELDKLSAKLDDVDKMISSAMADDPQVQSLLSSTADVWMPVITATADQRREFSGSTDEDNHEEQQ >DRNTG_35292.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22575246:22586214:1 gene:DRNTG_35292 transcript:DRNTG_35292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVARRRLGCGGFSSSMLGQTLERIRLSNSILPRSFASAAKEITVRDALNSALDEEMSADSKVILMGEEVGEYQGAYKISKGLLDKYGPERVIDTPITEAGFTGIGVGAAYYGLRPVIEFMTFNFSLQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCFASWYATCPGLKVLCPYSAEDARGLLKAAIRDPDPVVFLENELMYGESFPVSDEVLDSSFCLPIGKAKIEREGKDVTITAFSKMVGYALKAAEILSKEGISAEVINLRSIRPLDRATINSSVRKTNRLVTVEEGFPQHGVGAEICTSVVEESFEYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRTCYRSVPMAATA >DRNTG_18959.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:11040254:11041724:-1 gene:DRNTG_18959 transcript:DRNTG_18959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEQVLRRAWLSTMVKGSVVTGSSEKNGPPEELALMDEIAKMHSGDAMILPVAVSLVVFIRRNLVRGLDHLINIHISGQLIPIFPSCRFPPPLSVTAPDRRFLASALGRRFSHPLPAPVSLSFGLEEKLGHRSEVHKRREQRP >DRNTG_07917.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000352.1:3455:7730:-1 gene:DRNTG_07917 transcript:DRNTG_07917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKHRDQNVFSKADSKDVNTKNLDEPAITHRVVSKRTSSKYDPQADSFYGKKSVISMGTQKQRNVMENPPPTKPETNAVNLISTSLVSNDDQSTAESLTVSRDILLAGSFSSAHNAKKMDEDSGPIPNSATNLDNLKSFGSSNVPSSGDPTSLGVSSKKYSSKGEETASVTSAALTKLTSRLQFLKERQVQLVNELQNLDANSASSSDRASELKAFDEMKAGVKGLVNAVVNHGVPKELMDEMLDAIKRFMEEEVKVKEKYYTRDTAEKISSIGRFSFNIARSNQSHLTLFFDFFDLAAGSGPCSFLAVLLFKPRPDNYPSISASKGMGIMNSINDIFEKLAQEASRLARYKDLRLSCSSRRAPQECHIMWNVDDDDDDDDDDDV >DRNTG_06359.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24369304:24370118:-1 gene:DRNTG_06359 transcript:DRNTG_06359.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAILVKALGLIIDLTAPPVLQYLRPIWGGVDEELEKLRRYLLQIQPLVEDAEERQLMDQTVKSWLMLLRDVAYDADDILDQANTHVLLIQRKAQFYGPLKSKVRDFFSLDHNPLLFQLQLGHKLRSINQRIDGIIEEMHKFNFKVADNNNNNNRPWRNRPQTQSHVIESEVIGRDEEKEQIMQMLIRDHFEEKVTVVSIVGMGGLGKTTLAQLVYGVKDIESHFQLRIWVCVSDDFNVTKLAGNIIHTAS >DRNTG_06359.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24369304:24370175:-1 gene:DRNTG_06359 transcript:DRNTG_06359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAILVKALGLIIDLTAPPVLQYLRPIWGGVDEELEKLRRYLLQIQPLVEDAEERQLMDQTVKSWLMLLRDVAYDADDILDQANTHVLLIQRKAQFYGPLKSKVRDFFSLDHNPLLFQLQLGHKLRSINQRIDGIIEEMHKFNFKVADNNNNNNRPWRNRPQTQSHVIESEVIGRDEEKEQIMQMLIRDHFEEKVTVVSIVGMGGLGKTTLAQLVYGVKDIESHFQLRIWVCVSDDFNVTKLAGNIIHTAS >DRNTG_29076.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13847099:13852324:-1 gene:DRNTG_29076 transcript:DRNTG_29076.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMYFCVFFVVFLDYSKDSARRSSLGLGGVRESYCLRYQYLVISLLAAKVRTCSISVSSILFVLLCSVHICLLGLTLTRVLAEALHPVGPSPIGCGRSVLGPGCGAGT >DRNTG_28456.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11058356:11059348:-1 gene:DRNTG_28456 transcript:DRNTG_28456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLPLLPHITVILMLLSSCFPLLVSSAKCHKDDKKALLNLFTRPEDLSWTSSTACCSWPGVICSSLTNRVLTLDMSFFNISGTISPSIGDLPFLFSINIEYSPSLTGTIPYSITKLPLTSLTIRFTSLSGPIPGFLSELKELTNLDLSGNLHTGPIPDSIASLPKLDRLTLFGNKLTGTIPPALFRRLTSRNLLYLSDNLLTGEIPISLGDADLGYIYLAGNRFTGDASFLFSQSKQATEIDLSRNQLEMDMSSLSFPQNLRLLDLSFNRINGTIPASLAHLNDLNTFNVSHNMLCGRIPTGGQMKSFDASCYQHNKCLCGTPLPSCHH >DRNTG_21398.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19526647:19527797:-1 gene:DRNTG_21398 transcript:DRNTG_21398.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFINISSSRATTSTTSSQISSSSSSLQHQGISSVSRPKISKKRTRASRRAPTTVLTTDTSNFRAMVQEFTGIPTASFMPYSTLPPPRFDLLHSVPPYLLRPFAQKVVHSSSHLAPPAPPAPAPPAPIDVISSLASIHSTSNIANTSATAATCSNSNIAARTNTSLGLNMQSQNPILSFQSMQDHQQHANISAAQLNSRPLDFDWQKGGQSEHQTEERGGSGIDSWVLSSD >DRNTG_28423.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4418302:4431611:1 gene:DRNTG_28423 transcript:DRNTG_28423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGWYGPLIDLSDASSHLDDFVQLIVFVHRSQPIQKSSGSREGKLLKTDIQVGDNTRSYFSISVWQKHLWSMIVAGDIIFLQNVKIVKFRDILEASTVQVTGVQVLINSYKFTTTKANTEIEANCSVGERTREKLRRVVEWVRLTESATLHLQPVNAKCQPVKNWKSHEEKVLNRYLFVSELPYLSNSSNANFYAWINEISFPSTGKHGAIEDWQLFHSGRLTMLSDFKAEDLICTGCKLCGSPLDSRYIVEGSRIPLDCMENSKYLHDVCFIYRPFLLHVRDETGQIPLLVKNKTAEILFGGISAENVYKYYLEEKNNKVLPHACHENPQLSCSLQTENQRNRRILNFYHIFLIMMKLLMHEDNNSPFLFEIIVDVDQTCKFELVTLTMPCCT >DRNTG_28423.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4431159:4431611:1 gene:DRNTG_28423 transcript:DRNTG_28423.2 gene_biotype:protein_coding transcript_biotype:protein_coding DSGVDSATCEGRNRPNSSSCEEQDS >DRNTG_04967.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3986900:3992678:1 gene:DRNTG_04967 transcript:DRNTG_04967.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFDLKPKVYKPVSEVNLGADSDETYIHANVKAPRMAGLLVKVFAWLLEMKIIGAFVVEILKRNNLVHKLVSFAEVPEPPVFTPAHAWEDNVEQQVCFIEPNSTPADRVLEAIDCLPNRKENVINNSGYNFHRWTIMDYSRAYRSRETTPLLVAKRFLAAVKESSEPHLNMSFFINYDSEDILRQAEESTLRHERGTPISVLDGVLVAVKDEIDCTPYPTTGGTKWLHKFRSCTEDACCVKQLRSCGAILVGKTNMHEIGAGTSGINPHYGSTRNPYNINKITGGSSSGSAAVVCAGLCPVALGVDGGGSVRIPASLCGVVGFKPTFGRVSDSGILPLNWTVGMAGILAGTVEDALITYAAICHHIPLKQPTTSLPEINFPLLTSTNSISNIRFAKYGKWFNDSTDDVRKCCEDALAMLCKHHGWKTVEVTVPEIEEMRLAHYATIASECTASLAPQIAKLDFAEMGWDVRVAYQVYRSFHSREYLNAQRIRNRQMHFHKEIFKTADVIVTPTTGVTAYPLLSDAFNTGELDYINGAALVRFMIAGNFLGLPTVTVMVGCNKEGMPIGLQFIGKPWSEATLLHLAFAMQELCSKSYKKPMVFYDLLKKEEQLPIDATAKA >DRNTG_04967.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3986817:3992678:1 gene:DRNTG_04967 transcript:DRNTG_04967.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSRAYRSRETTPLLVAKRFLAAVKESSEPHLNMSFFINYDSEDILRQAEESTLRHERGTPISVLDGVLVAVKDEIDCTPYPTTGGTKWLHKFRSCTEDACCVKQLRSCGAILVGKTNMHEIGAGTSGINPHYGSTRNPYNINKITGGSSSGSAAVVCAGLCPVALGVDGGGSVRIPASLCGVVGFKPTFGRVSDSGILPLNWTVGMAGILAGTVEDALITYAAICHHIPLKQPTTSLPEINFPLLTSTNSISNIRFAKYGKWFNDSTDDVRKCCEDALAMLCKHHGWKTVEVTVPEIEEMRLAHYATIASECTASLAPQIAKLDFAEMGWDVRVAYQVYRSFHSREYLNAQRIRNRQMHFHKEIFKTADVIVTPTTGVTAYPLLSDAFNTGELDYINGAALVRFMIAGNFLGLPTVTVMVGCNKEGMPIGLQFIGKPWSEATLLHLAFAMQELCSKSYKKPMVFYDLLKKEEQLPIDATAKA >DRNTG_01111.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:14316658:14318628:1 gene:DRNTG_01111 transcript:DRNTG_01111.1 gene_biotype:protein_coding transcript_biotype:protein_coding YFVHNNNDNNHSHREYTFHTQHKSNQHI >DRNTG_01111.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:14316658:14318628:1 gene:DRNTG_01111 transcript:DRNTG_01111.2 gene_biotype:protein_coding transcript_biotype:protein_coding METIHTLTAVSTGVSPCHHQNHPVKNMKAVAQYFYGYVQDPTGVCIIKSNYSSQHENGPNSGLCSQIMNESNK >DRNTG_04537.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:18376327:18376948:1 gene:DRNTG_04537 transcript:DRNTG_04537.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSTPIHLGHIITKYLRHQGQYARLGVIFSGPYIMRLVMDPEVAEGEDDLAEGSQPTQMETGATPVVEEPPLVRIFSPSQDYDRFERLEYGVGVLRTEIMETPPVPPASPSPDLLAEPTADDTDT >DRNTG_26677.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:870504:873224:-1 gene:DRNTG_26677 transcript:DRNTG_26677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPEGAYSQLIRLQENQKHDKEEPYKHSQNMVLSNDTVTPIVRSESHRSTRRSTSKGSKLISQNNNREDDFEKPLEKDNNGIRKQVSIKRLASLNKPEAPILALASIIGAINGLTMPMFGFLLSSAIQTFYEPPHELRKHSSLWALRFLAMGFLCLVVSPVVSFLFGMAGGKLVERIRSLSFERVVYQEISWFDEPSHSSGAICERLSADATTVSEIVGESLSQMVQILSMVIAGLVIAMIANWKLAFIVIALLPLICLQGYAKMKFSQGFSADAKVKYEEASQVANDAVGNIRTVASFCAETRVIKAYQNKCEGPLRNGVRQGIISGLGFGFSFAVLYVGYAICFYAGARFMHNGSATFDQVFKVFYALTMAASGVLQSSASGTKTNKAKDSAASIFEILDNKSKIDSSTNEGVTLAYVRGDIVFQHVSFKYPTRPEVQIFKDLCLTISFGKTVALVGESGSGKSTIIAMLERFYDPDSGMILLDGVKLQDLKISWLRQQMGLVSQEPVLFNDTIRANIAYGKQGQVSEEEIIAVAETANAHRFVSGLPQGYDTNVGERGAQLSGGQKQRIAIARAIIKDPKILLLDEATSALDAESEHVVQEALQRVMVGRTCIVVAHRLSTIKGADVIAVIKNGVIVEQGKHEELIELCNGAYASLVALHSTSSS >DRNTG_26677.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:870504:871903:-1 gene:DRNTG_26677 transcript:DRNTG_26677.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNGSATFDQVFKVFYALTMAASGVLQSSASGTKTNKAKDSAASIFEILDNKSKIDSSTNEGVTLAYVRGDIVFQHVSFKYPTRPEVQIFKDLCLTISFGKTVALVGESGSGKSTIIAMLERFYDPDSGMILLDGVKLQDLKISWLRQQMGLVSQEPVLFNDTIRANIAYGKQGQVSEEEIIAVAETANAHRFVSGLPQGYDTNVGERGAQLSGGQKQRIAIARAIIKDPKILLLDEATSALDAESEHVVQEALQRVMVGRTCIVVAHRLSTIKGADVIAVIKNGVIVEQGKHEELIELCNGAYASLVALHSTSSS >DRNTG_26677.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:872266:873224:-1 gene:DRNTG_26677 transcript:DRNTG_26677.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPEGAYSQLIRLQENQKHDKEEPYKHSQNMVLSNDTVTPIVRSESHRSTRRSTSKGSKLISQNNNREDDFEKPLEKDNNGIRKQVSIKRLASLNKPEAPILALASIIGAINGLTMPMFGFLLSSAIQTFYEPPHELRKHSSLWALRFLAMGFLCLVVSPVVSFLFGMAGGKLVERIRSLSFERVVYQEISWFDEPSHSRSLLFSYF >DRNTG_24258.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20709582:20710714:-1 gene:DRNTG_24258 transcript:DRNTG_24258.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEELIAVNSNTNSTNRSNNMNNNTITRTAATPATPATPATTATGSQVMVERKTRPQKEQALNCPRCNSINTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRTTSSCTTTTTSTITTATATTTTTSATLPSIRGQDLNLAFPNPRNSMGEYGEMASMESSGNNGSGCSVVASSSSLSAMELLRSGMVVRGLSSSSPFVSMNDAGMMPYPAGFGLHEFKPPASLSFNPHDPVQENAGRLLFPFEDLRQVDESNRGGHGGDAQGFWTGMM >DRNTG_24258.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20709212:20710714:-1 gene:DRNTG_24258 transcript:DRNTG_24258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAQWPQGIGLVKPMEELIAVNSNTNSTNRSNNMNNNTITRTAATPATPATPATTATGSQVMVERKTRPQKEQALNCPRCNSINTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRTTSSCTTTTTSTITTATATTTTTSATLPSIRGQDLNLAFPNPRNSMGEYGEMASMESSGNNGSGCSVVASSSSLSAMELLRSGMVVRGLSSSSPFVSMNDAGMMPYPAGFGLHEFKPPASLSFNPHDPVQENAGRLLFPFEDLRQVDESNRGGHGGDAQGFWTGMMGGGGGGGGGGTGAGPW >DRNTG_24258.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20709582:20710714:-1 gene:DRNTG_24258 transcript:DRNTG_24258.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAQWPQGIGLVKPMEELIAVNSNTNSTNRSNNMNNNTITRTAATPATPATPATTATGSQVMVERKTRPQKEQALNCPRCNSINTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRTTSSCTTTTTSTITTATATTTTTSATLPSIRGQDLNLAFPNPRNSMGEYGEMASMESSGNNGSGCSVVASSSSLSAMELLRSGMVVRGLSSSSPFVSMNDAGMMPYPAGFGLHEFKPPASLSFNPHDPVQENAGRLLFPFEDLRQVDESNRGGHGGDAQGFWTGMM >DRNTG_06312.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2158429:2159857:1 gene:DRNTG_06312 transcript:DRNTG_06312.1 gene_biotype:protein_coding transcript_biotype:protein_coding HCSVEYYRYNQLASPLVSEGLWVDSDDYRLIYALQNDDACCEEDVEWDNLLEHRPGDICRKRWKQMIRHIGGHREKS >DRNTG_05187.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22608049:22613365:-1 gene:DRNTG_05187 transcript:DRNTG_05187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFQNKRRPESQKQFPGCMGRMMNMFDLSAGMPGTKLLTDRAHRDGSPTHRNRSDSPKKLLDPVGVHTENKPITNESRQNSPSKRSGATPVKMLIAQEMSKETESKRRPPNVVAKLMGLDGLPVQQPSLTNQRNLYEDYSYEGSVQYSQMEEDIYFSSPLPCRTPSYVHEKEYKDVYEVWQQPCRLPCVKEQLQLRGRSNENSNENRMALVRQKFLEAKRLATDEKLFHSKEFQDALEVLSSNNDLLLKFLEESNSLIYKHQNEVQTIPQPSQTRRITVLKPSKAIEEGGMNQARIQQCPSGEERIWDKNKHRRCSSLENLKVENLSQPTRIVVLKPSPGKPCDFKALATNRISSPKSLDERDLSRNIKADEAIGSRDIAKEITRQMRESMNSNRRDDSLLSSVLSNGYVGDESSFNRSENYVEEAGGNLSDSEIVTPTSRHSWDYMNRYGSPYSFSSISRASYSPESSVIREAKKRLSALVASNGIGQEQTHVRRSSSTLGEMLAISEVKKEENVKELDFTSNGSCGGGDLKASTPCLSIGIASDAANGERSPMGLSRSKSVPVSSSTYENIELNAEVSDTPKSKLDTRTEEGKTKNGKLSFKGKVSSLFFSRNKKSSREKSIPSSLVASDARLHPRHADIAVRDDISKPTVERSLSPADNPSKAAVSLEKPSISESPSENQEQPSPVSVLEAKFEDDSNSNVPQCTESFPVGHLQALSRSPPIESLARSLSWDDSCMDASTINNPSKLPTRASFKADEEEEECIDFVLKLLSSTGLDNKNSKTIFSRWHSMDSPLDQMLLAGFLDEKDEEAKCKGRQLTQRLLFDSVNAALLDIGRTAFLNACPQARSYIGTLKDFPSDTSVTDEVLSIINTWFSGDRKWLTGEAGNSAVIVDGVVRKEAVGREWTELMWWELDEISKEIGGKVLEQLVGEALSDLTDGCL >DRNTG_25267.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31500345:31503013:-1 gene:DRNTG_25267 transcript:DRNTG_25267.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At1g48350 [Source:Projected from Arabidopsis thaliana (AT1G48350) UniProtKB/TrEMBL;Acc:Q0WWC5] MCPAAAACLWSSTAPFSSSVSLRGTRLIPITNVTPLLQSAATFPCIVAKTVTRKQNRDARHQRVRKKVEGTPERPRLSVFRSNKHLYVQVIDDTKMHTLASASTMQKPISQEFDYSSAPTIEVAKKVGEAIAKSCLEKGISKVAFDRGGYPYHGRIEALANSARENGLQF >DRNTG_07001.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1772307:1773405:1 gene:DRNTG_07001 transcript:DRNTG_07001.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLPKTSNKSKPIFIFPSSRPSSIIQQQNFHCGLDNQSCTISTTDLRSTKIYNKKNIQEPNLKIIKQLGQNIKQLLSILSQTQNTDDKS >DRNTG_17871.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28305962:28306675:-1 gene:DRNTG_17871 transcript:DRNTG_17871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIKFLLPLMLMVMVLVFINGGILVKGYPSDEDLVKKPPGQPNASFKQYYAGVPQLSVLAHLAVAGFVAHCGWNLGECSDSGERDGVDISLTNKGP >DRNTG_11559.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15741715:15744380:1 gene:DRNTG_11559 transcript:DRNTG_11559.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLLNLRAKKKLLQIKAIRRDTGQQASIARSNVSGSEVISYSQPTILLSRKKMVPSIIPFRSLVLPSACASKSYKKEARVGPLISSFSELGLWKKEPRRRLGFINDVGVGAKGNAKNGIARFSRRRTLV >DRNTG_24566.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001309.1:855:14238:1 gene:DRNTG_24566 transcript:DRNTG_24566.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAPGGKTTAIAILMKDKGEVVACDRSHNKVLDIMKLATEMGLTCINAYKLDALKSVCQVKEILDVGVEILDLEVLNPVVTGTVGSPNEEMKNNDMTKCRNGTKLMQTDVL >DRNTG_24566.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001309.1:1611:16674:1 gene:DRNTG_24566 transcript:DRNTG_24566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAPGGKTTAIAILMKDKGEVVACDRSHNKVLDIMKLATEMGLTCINAYKLDALKSVCQVKEILDVGVEILDLEVLNPVVTGTVGSPNEEMKNNDMTKCRNGTKLMQTDVL >DRNTG_33075.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:324580:327228:1 gene:DRNTG_33075 transcript:DRNTG_33075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSLILLLLAASAAMAAPAPPPSGDVEATALLAIKAAFTDHDGALASWSSTSHHCSWVGVGCDLTHRVVSLDISSMNLSGVLSGEIAGLRSLLNLSVAENSVSGPIPPLLSRISNLRFLNLSNNVFNGSFPSSLSLLKNLRVLDLYNNNITGELPVEVTELRNLRHLHLGGNFFSGEIPPEYGRWELLEYLAVSGNSLIGSIPRQLGDLAKLQYLYLGYFNSYSGGIPPEIGNLENLDTLFLQVNGLSGEIPPEMGKLKSLRSLDISNNALTGEMPPSFAELRNLTLLNLFRNKLYGAIPEFVGDLPVLEVLQLWENNFTESIPRSLGTSGRLQVLDVSSNKLTGSLPPELCSGAKLHTLIALGNFLFGPIPETLGVCQSLVKIRLGDNYLNGTIPADLFSLPNLQQVELQNNLLAGGFPDTGMSEISVNLDQISLSANHLSGPIPSSIGNYSGIQKLLLNQNLFSGEIPPEIGRLQQLSKLDLSGNRFSGAITPEISECKSLALLDLSRNNLSGEIPAEITEMRILNYLNLSRNQLQGSIPASIATMQSLTAIDLSFNNLSGVVPSTGQFGYFNSSSFVGNPSLCGPFLGACISANTSGGGHSRGPLSAPFKLLLVFGLLTFSILFAIAAILKARSLKKASAARAWKLNAFQRLDFSCNDVLDCLKEENIIGKGGAGVVYKGIMPNGEQVAVKRLSAMTRAGSLHDHGFSAEIQTLGRIRHRHIVRLLGFCSNRNTNLLVFEYMPNGSLGEVLHGKKGEHLLWDTRYRIAIDAAKGLCYLHHDCSPAILHRDVKSNNILLDSDFEAHVADFGLAKFLQASGNSECMSAIAGSYGYIA >DRNTG_29774.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1959562:1959899:-1 gene:DRNTG_29774 transcript:DRNTG_29774.1 gene_biotype:protein_coding transcript_biotype:protein_coding YSGAPYTEPPQTHKYTNNMMLHYPK >DRNTG_15019.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23811089:23821491:1 gene:DRNTG_15019 transcript:DRNTG_15019.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal fatty acid beta-oxidation multifunctional protein AIM1 [Source:Projected from Arabidopsis thaliana (AT4G29010) UniProtKB/Swiss-Prot;Acc:Q9ZPI6] MANVFVSMEVGRDGVAVITISNPPVNALAPIIIDGLKKNYKEAMDRDDVKAIVLTGNAGKFSGGFDINVFAEVHKNGDLSRLPDVSVDLVVNTIEDGKKPSVAAIQGLALGGGLELAMGCHARISTPEAQLGLPELTLGVIPGFGGTQRLPRLVGLPKAIEMMLLSKSIRAKEGKEYGLIDSVVSSGELLTVSRLWALDIAEKRKPWVTSLHRTDRLCSLSEANEILKGARQQAKKIAPNMPQHQVCLDSIEEGIVFGGYAGVLKEAKVFKELVLSSTARGLVYAFFSQRATSKVPNVTDVGLKPRNIKKVAVIGGGLMGSGIATALLLSDISVIIKEIDSRFLQKGIKTIAGNLEGLVKRRSISQEKMNQALSLLSGALDYSEFKHLDMVIEAVIEKIPLKQSIFADLEKACSPHCIFATNTSTIDLNIVGQKTSSQDRIIGAHFFSPAHVMPLLEIVRTEKTSPQVILDLMTVGKLIKKVPIVVGNCTGFAVNRTFFPYTQGSLLLLHLGVDLFRIDKVISSFGMPMGPFLLQDLAGYGVARAVKGVFASAFNGRTFESDLVELMVEAGREGKSNGKGYYIHEKGSKPKPDPTVLPIINESRRRANIMPNGKPINVSDREILEMVFFPVVNEACRVLDEGIVVRASDLDAACILGMSFPKYRGGIIFWADTVGPRYYILMSQEVGRHLWWLL >DRNTG_08921.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27387886:27392819:-1 gene:DRNTG_08921 transcript:DRNTG_08921.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVGISNVTRTSLPFRPPFVLACRRAGRRSSAAALETLPEITHDPVDNGSEIPASSPPPKAKVWEGRGWPEWKMMSSKELGIKASMITKPTKLVLDELKKKGYDVYLVGGCVRDLVMKRTPKDFDIITSAHLSEVKKTFSRCDIVGKRFPICHVHVKDSIVEVSSFCTSGRTPSKGLVYATRSHQDCNEHDYMRWRNCLARDFTINGLMFNPFSNLVYDYMGGMEDIKKAKVCMFEL >DRNTG_08921.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27387886:27392819:-1 gene:DRNTG_08921 transcript:DRNTG_08921.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVGISNVTRTSLPFRPPFVLACRRAGRRSSAAALETLPEITHDPVDNGSEIPASSPPPKAKVWEGRGWPEWKMMSSKELGIKASMITKPTKLVLDELKKKGYDVYLVGGCVRDLVMKRTPKDFDIITSAHLSEVKKTFSRCDIVGKRFPICHVHVKDSIVEVSSFCTSGRTPSKGLVYATRSHQDCNEHDYMRWRNCLARDFTINGLMFNPFSNLVYDYMGGMEDIKKAKVRTVIPAYTSFQEDCARILRAIRIAARLGFRFSKETAHSIKDLANSIMRLDKGRLLMEMNYMLAYGSAEASLRLLWKFGLLELLLPIQAAYFVSQGFRRRDKRSNMLLALFSNLDRLLAPDKPCHSCLWVGILAFHQALVKEPRDPLVIATFTLALHNGGDLSEAISIARRINHPHYVSFPELVEARGIDDDEELTKQVQDLACSVNCSLSAMTDEYFVSKAMINYPQAPHSDLVFIPLQVYLKVCRIFECIINRGTEKFFVPKQGNKINYFVLAQGGLAEVRHVFSRVVFDTIYPLKPQDCETST >DRNTG_08921.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27387886:27392819:-1 gene:DRNTG_08921 transcript:DRNTG_08921.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDKGRLLMEMNYMLAYGSAEASLRLLWKFGLLELLLPIQAAYFVSQGFRRRDKRSNMLLALFSNLDRLLAPDKPCHSCLWVGILAFHQALVKEPRDPLVIATFTLALHNGGDLSEAISIARRINHPHYVSFPELVEARGIDDDEELTKQVQDLACSVNCSLSAMTDEYFVSKAMINYPQAPHSDLVFIPLQVYLKVCRIFECIINRGTEKFFVPKQGNKINYFVLAQGGLAEVRHVFSRVVFDTIYPLKPQDCETST >DRNTG_08921.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27387886:27392819:-1 gene:DRNTG_08921 transcript:DRNTG_08921.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVGISNVTRTSLPFRPPFVLACRRAGRRSSAAALETLPEITHDPVDNGSEIPASSPPPKAKVWEGRGWPEWKMMSSKELGIKASMITKPTKLVLDELKKKGYDVYLVGGCVRDLVMKRTPKDFDIITSAHLSEVKKTFSRCDIVGKRFPICHVHVKDSIVEVSSFCTSGRTPSKGLVYATRSHQDCNEHDYMRWRNCLARDFTINGLMFNPFSNLVYDYMGGMEDIKKAKVRTVIPAYTSFQEDCARILRAIRIAARLGFRFSKETAHSIKDLANSIMRLDKGRLLMEMNYMLAYGSAEASLRLLWKFGLLELLLPIQAAYFVSQGFRRRDKRSNMLLALFSNLDRLLAPDKPCHSCLWVGILAFHQALVKEPRDPLVIATFTLALHNGGDLSEAISIARRINHPHYVSFPELVEARGIDDDEELTKQVQDLACSVNCSLSAMTDEYFVSKAMINYPQAPHSDLVSNLNVYVEPHCHHTLDFLFANY >DRNTG_08921.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27387886:27392819:-1 gene:DRNTG_08921 transcript:DRNTG_08921.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDKGRLLMEMNYMLAYGSAEASLRLLWKFGLLELLLPIQAAYFVSQGFRRRDKRSNMLLALFSNLDRLLAPDKPCHSCLWVGILAFHQALVKEPRDPLVIATFTLALHNGGDLSEAISIARRINHPHYVSFPELVEARGIDDDEELTKQVQDLACSVNCSLSAMTDEYFVSKAMINYPQAPHSDLVFIPLQVYLKVCRIFECIINRGTEKFFVPKQGNKINYFVLAQGGLAEVRHVFSRVVFDTIYPLKPQDCETST >DRNTG_17139.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16841336:16947773:-1 gene:DRNTG_17139 transcript:DRNTG_17139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSFLRKKVGMGAFVEVYPIPDEGILRRRVEDFPQDHRHDHRRGGALSEYTIFIDCLTFSFTRALLLVDFTFEN >DRNTG_31820.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3271249:3277577:-1 gene:DRNTG_31820 transcript:DRNTG_31820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSGAKGGALEGVEVVARRHLWRLPKPLEQAGIVGVFSLTLLLFFLLFCCAYLDYWSFIPSGDDGAKGLGLKMGIGIGIGRGERDGDGDGCDLIDGDWVWDDSYPLYESKDCVFLDEGFKCAENGRPDRLYSKWRWQPKHCDLPRFDAKRMLEKLQNKRLVFVGDSIGRNQWESLLCMLSSVISDKSSIYEINGSPITKHKGFLVFKFRDYNCTVEYYRAPFLVLQSRAPVGAPDVVKSTLKLDIMDWTSKEWKGADVLIFNTGHWWNYEKTIRGGCYFQEGAEVKMKMSAETAYQRSIATLLDWVHREVNTSKTQVFFRSYAPVHFRAGDWKTGGSCHLETLPELNSSPMSLKKWDHLLKPLRNLSLGSELQLLNVTQLTDRRKDGHISLYYLHAGGPAPVHRQDCSHWCLPGVPDTWNELLYAHFLRWKPMIHKNTTS >DRNTG_31820.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3271249:3273079:-1 gene:DRNTG_31820 transcript:DRNTG_31820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKLQNKRLVFVGDSIGRNQWESLLCMLSSVISDKSSIYEINGSPITKHKGFLVFKFRDYNCTVEYYRAPFLVLQSRAPVGAPDVVKSTLKLDIMDWTSKEWKGADVLIFNTGHWWNYEKTIRGGCYFQEGAEVKMKMSAETAYQRSIATLLDWVHREVNTSKTQVFFRSYAPVHFRAGDWKTGGSCHLETLPELNSSPMSLKKWDHLLKPLRNLSLGSELQLLNVTQLTDRRKDGHISLYYLHAGGPAPVHRQDCSHWCLPGVPDTWNELLYAHFLRWKPMIHKNTTS >DRNTG_28037.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26184387:26192842:1 gene:DRNTG_28037 transcript:DRNTG_28037.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLEPVNNKRGRKTMHRRREAGEKVAKLPPRGRKLPTCERVSRV >DRNTG_03493.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:753297:754841:1 gene:DRNTG_03493 transcript:DRNTG_03493.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGFEGRINIEGKKGFIIRGWAPQLLILNHKAVGGFMTHCGWNSTLEGVCAGLPMITWPLFAEQFYNERLVVDVLKIGVAVGMKEHVLKTEDRPLIHGIDIERAVSCVMGVGEEAEAMRKRARELGEMAKSAVMEDGSSYTELTQLISELSDLHP >DRNTG_03493.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:753297:778455:1 gene:DRNTG_03493 transcript:DRNTG_03493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTQEGLHILFFPYMAQGHMLPMINMAKLFANRGIRTTILTTPANTSIVKPIISNSPIELALIPFPSAAAGLPEGCENLSSIPSPDLIPYFIRAVFMLSEPFDQILKELHPNVTIIDAFFPWTIDITSKLGITQLIFTGSGFFPLCLTDSIENYKPHETLPAETKSFLVPGIPHRVELLKTQVFDSGKIGKEHIEFFDKVRDADKRSYGTVMNSFYELEPDYVEHYRTVIGRRSWHIGPVSLCSEDMIKSTTTTTSSTDRNHECLSWLDGKESGSVLYVCFGSLSTFRGEQILEIALGLEASNHAFILVVPKPVERDENMDWMPEGFEGRINIEGKKGFIIRGWAPQLLILNHKAVGGFMTHCGWNSTLEGVCAGLPMITWPLFADQFYNEKLVVDVLKIGVAVGMKEHVLKPEDRPLIHGMEIERAVNCVMGVGEEAEAMRKRARELGEMAKSAVMVDGSSYTELTRLISELSALHQ >DRNTG_03493.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:776831:778455:1 gene:DRNTG_03493 transcript:DRNTG_03493.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTQDLHILFFPYMAPGHILPMINMAKLFANRGVRTTILTTPANTSIVKPIISNSPIELALIPFPSAAAGLPEGCENLSSVPSTNLIPYFLRAVVMLSEPFDQILKELHPNITIIDGFFPWTIDITSKLGITQLVFYGTGFFPVCLIDSIEKYKPHETLPDETKSFLVPGIPHRIELLKTQVLDTSKTNKERQEFFNKVRDADKRSYGVVMNTFYELEPDYVEHYRTVIGRRAWHIGPVSLYSEDMIKSTTTTSTDRNHECLSWLDGKESGSVLYVCFGSLSTFRGEQILEIALGLEASNHAFILVVPKPVERDENMDWMPEGFEGRINIEGKKGFIIRGWAPQLLILNHKAVGGFMTHCGWNSTLEGVCAGLPMITWPLFADQFYNEKLVVDVLKIGVAVGMKEHVLKPEDRPLIHGMEIERAVNCVMGVGEEAEAMRKRARELGEMAKSAVMVDGSSYTELTRLISELSALHQ >DRNTG_10429.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:16015797:16016681:-1 gene:DRNTG_10429 transcript:DRNTG_10429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEFSVRMGLYNEAYIVTEEYGHLPIDYPGTLTSQSVDGRGDNTSVLSRQGLLYLYSMVRLILGMGFRAAIWHANLIVLPSPLALNTLRMMGVVRRYGPGAYILATSATESTEDGGDTARDTAPAVSETPGQPGSISGAYDRIKRLESTIGILRSEIIKMRVIQSPQYTDIMSPFDFQRQLLRCRPSAPPASSSSHIPAPVDPPCTSSPPAAAEDPERDTNI >DRNTG_14959.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1034507:1035688:-1 gene:DRNTG_14959 transcript:DRNTG_14959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLLLRSGGGGGVPIHFSIRSLKGIRWNRTFTFSPRSASQGEKKGNNIQIDSEHLRRARSDTDLAGSARVIALASFPGTVVGEDEEERLEMEFGGGFGSGKQTRTRGRGGGGGGDQSQNEIVSEYYKSMLSSDPNNTLLLRNYGQFLHEVIGDFGEAEKYYSRAIVLSPRDGDLLSLYAKLLWDEGEKTKAGHYYDLAAKASPDDRFVLGAQAYFLWSLDEDEDEVGVENTAEFLQG >DRNTG_03265.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2318805:2320675:1 gene:DRNTG_03265 transcript:DRNTG_03265.1 gene_biotype:protein_coding transcript_biotype:protein_coding QFLFDFIDIGRLVKPGTYRLVRPFPRRAFTVGESELTLSELGLTSKQEALFLELV >DRNTG_03265.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2318805:2320529:1 gene:DRNTG_03265 transcript:DRNTG_03265.5 gene_biotype:protein_coding transcript_biotype:protein_coding QFLFDFIDIGRLVKPGTYRLVRPFPRRAFTVGESELTLSELGLTSKQEALFLELV >DRNTG_03265.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2318761:2320566:1 gene:DRNTG_03265 transcript:DRNTG_03265.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSICCCLLLQFLFDFIDIGRLVKPGTYRLVRPFPRRAFTVGESELTLSELGLTSKQEALFLELV >DRNTG_03265.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2318761:2320529:1 gene:DRNTG_03265 transcript:DRNTG_03265.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSICCCLLLQFLFDFIDIGRLVKPGTYRLVRPFPRRAFTVGESELTLSELGLTSKQEALFLELV >DRNTG_03265.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2318805:2320566:1 gene:DRNTG_03265 transcript:DRNTG_03265.4 gene_biotype:protein_coding transcript_biotype:protein_coding QFLFDFIDIGRLVKPGTYRLVRPFPRRAFTVGESELTLSELGLTSKQEALFLELV >DRNTG_33015.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4815840:4818581:-1 gene:DRNTG_33015 transcript:DRNTG_33015.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLEKEIKLSGPAPTMVEAEPDLGFGALDDELGLPSAPVSSEEDDEDVEVVSGSMEAKGGGYRDQIWGLMMRSLMEGYGLG >DRNTG_33015.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4815840:4818581:-1 gene:DRNTG_33015 transcript:DRNTG_33015.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLEKEIKLSGPAPTMVEAEPDLGFGALDDELGLPSAPVSSEEDDEDVEVVSGSMEAKGGGYRDQIWGLMMRSLMEGYGLG >DRNTG_33015.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4815840:4818581:-1 gene:DRNTG_33015 transcript:DRNTG_33015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLEKEIKLSGPAPTMVEAEPDLGFGALDDELGLPSAPVSSEEDDEDVEVVSGSMEAKGGGYRDQIWGLMMRSLMEGYGLG >DRNTG_01710.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23640615:23644742:-1 gene:DRNTG_01710 transcript:DRNTG_01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDELSDHGAGLTSDSGELLPWLWSIETLAEENWCDASIVQACIDRVPNFWDKASDCIKEKVILRYLRELLNSGAFGSSQFLPLDSDSREVENVPSSEVLCRLLRKASSLCNSTKGMDKGKERSRGHWRGKDLRRYIRRKSSDLPRSSLDLLKNCSVEGHSKLLLSLKESSSSGRLNEANNQVCDIGAEQEIEIQMPKMKKPNSAADPANLVTEEDSTRSRQHLPVLNCMDSDRREQSHRNIGGNGCENVELSRHDSLPQISSKEKSGPQQDSNPPLHEQSHFDGDQIVCVETGNDDDADGYRVVPTNPGVNADKAARIYVDLDSMADDWTEKNLCVKCNKNGPMFNCSDNSCLMAVHEKCLGTSPYFDERGFYYCPPCSYKITTTAYRKAKLTMLRAREALSAFLSKDSIQDKLKGTVSVGVPREVNQPGVTVDLEKGEASKVSCEGDKGDVSCADLIFRRSGYETVNDHIESMQLQSHNATVTHAAENDGVNCIREERDVPQKGFVVEKGEASKVSYDGDKGDIFCTDLVLRRSGCKTANDHVEFMQLQIHNATVTHAAESDGMDCSNEERDVPHKGFVVEENHHGSKQLQPHNAIVTPTADNDDTNCNNEEMVVPQEGLVVEENHHGSKQLQFHNAIVTPTIADADTNYINEERDVPHESFVAEENQHSEPDADNDSRNLHCHDLNSVQTRNEHGSVAVEGKQHNEDNGDTVNMLRDNEPPETIMNFHTENTTDPGSLVLPTSGNHAKRRKLDLEMENHTPRVPAIAKEEKKLSSDNANKRQTQNKRYSNPIVSLGRRTRLHWTAEEEEILKTAVQKFANDGERTLPWTKILDFGRHIFHKTRQPGDLKDKWRNIRNKEASKGMER >DRNTG_17819.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15749179:15750511:1 gene:DRNTG_17819 transcript:DRNTG_17819.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSTMSDAPKFVIAFRGTITKKDSFARDITLDLHFIRNGLHKTSRSDIAMQAVRNVVSAVGNVNIWLAGHSLGSAMATFAGRNMAKTGHLLETFLFNPPFLSAPIERIKDKKVKQGLRIANSFFTAGLAIALKGRHEKSSEDSFTILSAWVPSLFVNPDDHICSEYIGYFDHRAKMEEIGVGSIERLATQNSIGDLFLSAFGKESEPLHLLPSANLTINMSPSPDFKTAHGIHQWWTPDANLQTKEYRYR >DRNTG_17819.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15746845:15750511:1 gene:DRNTG_17819 transcript:DRNTG_17819.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKRDIFDISGPLHLSSVNWKCPQHRRTVAASLVQSCYVLERDRQQNCLASEALAPAWWKFFHFELIRTLIDDADFSIFGAIFKFNPPISMQNSTMSDAPKFVIAFRGTITKKDSFARDITLDLHFIRNGLHKTSRSDIAMQAVRNVVSAVGNVNIWLAGHSLGSAMATFAGRNMAKTGHLLETFLFNPPFLSAPIERIKDKKVKQGLRIANSFFTAGLAIALKGRHEKSSEDSFTILSAWVPSLFVNPDDHICSEYIGYFDHRAKMEEIGVGSIERLATQNSIGDLFLSAFGKESEPLHLLPSANLTINMSPSPDFKTAHGIHQWWTPDANLQTKEYRYR >DRNTG_17819.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15749179:15751141:1 gene:DRNTG_17819 transcript:DRNTG_17819.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSTMSDAPKFVIAFRGTITKKDSFARDITLDLHFIRNGLHKTSRSDIAMQAVRNVVSAVGNVNIWLAGHSLGSAMATFAGRNMAKTGHLLETFLFNPPFLSAPIERIKDKKVKQGLRIANSFFTAGLAIALKGRHEKSSEDSFTILSAWVPSLFVNPDDHICSEYIGYFDHRAKMEEIGVGSIERLATQNSIGDLFLSAFGKESEPLHLLPSANLTINMSPSPDFKTAHGIHQWWTPDANLQTKEYRYR >DRNTG_17819.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15746845:15750511:1 gene:DRNTG_17819 transcript:DRNTG_17819.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKRDIFDISGPLHLSSVNWKCPQHRRTVAASLVQSCYVLERDRQQNCLASEALAPAWWKFFHFELIRTLIDDADFSIFGAIFKFNPPISMQNSTMSDAPKFVIAFRGTITKKDSFARDITLDLHFIRNGLHKTSRSDIAMQAVRNVVSAVGNVNIWLAGHSLGSAMATFAGRNMAKTGHLLETFLFNPPFLSAPIERIKDKKVKQGLRIANSFFTAGLAIALKGRHEKSSEDSFTILSAWVPSLFVNPDDHICSEYIGYFDHRAKMEEIGVGSIERLATQNSIGDLFLSAFGKESEPLHLLPSANLTINMSPSPDFKTAHGIHQWWTPDANLQTKEYRYR >DRNTG_27298.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:143028:144147:1 gene:DRNTG_27298 transcript:DRNTG_27298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGGPSANGKGTMENELENGEPSNINSHGLVVFNHDQLQPDDSWLSHDVNDITKGEERVRISVVNEVNSERFPPPFHYIPQNLVYQNGYINFSLARICDEDCCADCFGDCLSKSIPCACARETGGEFAYTLDGLIKKEFLDGCISMNQDPQKHRLYYCMDCPLERTKNEVRPEPCKGHLVRKFVKECWSKCGCN >DRNTG_27298.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:143028:143244:1 gene:DRNTG_27298 transcript:DRNTG_27298.2 gene_biotype:protein_coding transcript_biotype:protein_coding LVLHFFFLAHQDHSRHANISGLAERRKGKGKPL >DRNTG_30671.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3710841:3712641:1 gene:DRNTG_30671 transcript:DRNTG_30671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAIDQSVGNRVGVAEPFLMRMAHGAPVPGQNWVRGKKNGPYSRLQKRDVPNNKILLSSEQTLRVAKRFYVALMLSRLVQEAPVADVCEAFKVARGTIQGLQENAGRFASMVSLFSGRLGWHDLEGLVAKFQNRVSFGVRAEIVELTNIPYVKGSRARALYKAGLRTPLAIAEASVPDIVKALFEYSSWTEENSALRRVQLGAA >DRNTG_30671.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3710841:3711722:1 gene:DRNTG_30671 transcript:DRNTG_30671.2 gene_biotype:protein_coding transcript_biotype:protein_coding SVGWQSGGSSRTFSHAHGSWCSCSWSELGEREKEWALQQTAKTRCAQ >DRNTG_06490.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:4778858:4781519:-1 gene:DRNTG_06490 transcript:DRNTG_06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSKLYTPMRPTHRGSHTPLCTVCSTERIH >DRNTG_28572.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:10525068:10561697:-1 gene:DRNTG_28572 transcript:DRNTG_28572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAIKMAWQCKAAEGQAARHGIPRDLKLGVRKLPQTDSLRMQDLDLRSRLGHDPRPFELFEVTHTKKGTSMLVDARAESIKDRYLELVKQASQTQEGHDELPVVDETTLYYEAVGGEKKSRVYGIGSQACIYYPHSSSSLSTGSSSEAVHAEERD >DRNTG_23949.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:16460076:16460795:-1 gene:DRNTG_23949 transcript:DRNTG_23949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGQGQYEPGVSKATCLSRLAYRYLHAIMSRSVNRRGDSTGVLSRQELLYLYSMTRSDLLGSLHYEISAGHGSLGFDSRGREDECTGSPGSRDDEVDGMVRRVRTGVFALVLPAPEIAKDEGDDAGASQPTPEPRPASMDTEAPPAAEEPFPVRMFLPSRANDRFERLENALGVVRAEVAEIRATQATQYTEFMARFDILQQILERDVASSFALA >DRNTG_29736.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:622235:622899:-1 gene:DRNTG_29736 transcript:DRNTG_29736.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHRLRNKFHRPILNLDRIWSLVPEDVAAKADASSGSVPLVDVTQFGYFKVLGKGQLPEGKSVIVKAKLVSKIAEKKIKEAGGAVVLTA >DRNTG_24236.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19849089:19849531:1 gene:DRNTG_24236 transcript:DRNTG_24236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNPSKKCSHAMNNNNNNNNNNNNNNNNNRGEKQKKEK >DRNTG_16832.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18048922:18052210:-1 gene:DRNTG_16832 transcript:DRNTG_16832.10 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTPRLEFIKVLLSGSRFRIPSAFVGHVIDDNHGKAMIFLADKFWHIKVQKTEEGLFFTDGWEEMIKAHGLSEGCILSFCYEGNMVFTLKVFGHDACRINNFSINSEGFKNRNAYVEFNGIGEDSNRLGQIPSANNCDETNVASPSVPPAHSLSGIKSEDETSCTKNIIQYKKKIHRTNLVHGRIGVPLWVCASTGLKSSHDVTIRGPDRRLWTIFFSYSPQTPRLGKGWSEFCEHHKLEIGDLCIFTHVSKRDKLFDVQIKRASHERASTSYEDEV >DRNTG_16832.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18048922:18052210:-1 gene:DRNTG_16832 transcript:DRNTG_16832.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTPRLEFIKVLLSGSRFRIPSAFVGHVIDDNHGKAMIFLADKFWHIKVQKTEEGLFFTDGWEEMIKAHGLSEGCILSFCYEGNMVFTLKVFGHDACRINNFSINSEGFKNRNAYVEFNGIGEDSNRSLGQIPSANNCDETNVASPSVPPAHSLSGIKSEDETSCTKNIIQYKKKIHRTNLVHGRIGVPLWVCASTGLKSSHDVTIRGPDRRLWTIFFSYSPQTPRLGKGWSEFCEHHKLEIGDLCIFTHVSKRDKLFDVQIKRASHERASTSYEDEV >DRNTG_16832.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18048922:18051864:-1 gene:DRNTG_16832 transcript:DRNTG_16832.13 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTPRLEFIKVLLSGSRFRIPSAFVGHVIDDNHGKAMIFLADKFWHIKVQKTEEGLFFTDGWEEMIKAHGLSEGCILSFCYEGNMVFTLKVFGHDACRINNFSINSEGFKNRNAYVEFNGIGEDSNRSLGQIPSANNCDETNVASPSVPPAHSLSGIKSEDETSCTKNIIQYKKKIHRTNLVHGRIGVPLWVCASTGLKSSHDVTIRGPDRRLWTIFFSYSPQTPRLGKGWSEFCEHHKLEIGDLCIFTHVSKRDKLFDVQIKRASHERASTSYEDEV >DRNTG_16832.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18048922:18052300:-1 gene:DRNTG_16832 transcript:DRNTG_16832.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTPRLEFIKVLLSGSRFRIPSAFVGHVIDDNHGKAMIFLADKFWHIKVQKTEEGLFFTDGWEEMIKAHGLSEGCILSFCYEGNMVFTLKVFGHDACRINNFSINSEGFKNRNAYVEFNGIGEDSNRSLGQIPSANNCDETNVASPSVPPAHSLSGIKSEDETSCTKNIIQYKKKIHRTNLVHGRIGVPLWVCASTGLKSSHDVTIRGPDRRLWTIFFSYSPQTPRLGKGWSEFCEHHKLEIGDLCIFTHVSKRDKLFDVQIKRASHERASTSYEDEV >DRNTG_16832.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18048922:18052300:-1 gene:DRNTG_16832 transcript:DRNTG_16832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTPRLEFIKVLLSGSRFRIPSAFVGHVIDDNHGKAMIFLADKFWHIKVQKTEEGLFFTDGWEEMIKAHGLSEGCILSFCYEGNMVFTLKVFGHDACRINNFSINSEGFKNRNAYVEFNGIGEDSNRSLGQIPSANNCDETNVASPSVPPAHSLSGIKSEDETSCTKNIIQYKKKIHRTNLVHGRIGVPLWVCASTGLKSSHDVTIRGPDRRLWTIFFSYSPQTPRLGKGWSEFCEHHKLEIGDLCIFTHVSKRDKLFDVQIKRASHERASTSYEDEV >DRNTG_16832.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18048922:18052210:-1 gene:DRNTG_16832 transcript:DRNTG_16832.9 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTPRLEFIKVLLSGSRFRIPSAFVGHVIDDNHGKAMIFLADKFWHIKVQKTEEGLFFTDGWEEMIKAHGLSEGCILSFCYEGNMVFTLKVFGHDACRINNFSINSEGFKNRNAYVEFNGIGEDSNRSLGQIPSANNCDETNVASPSVPPAHSLSGIKSEDETSCTKNIIQYKKKIHRTNLVHGRIGVPLWVCASTGLKSSHDVTIRGPDRRLWTIFFSYSPQTPRLGKGWSEFCEHHKLEIGDLCIFTHVSKRDKLFDVQIKRASHERASTSYEDEV >DRNTG_16832.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18048922:18051864:-1 gene:DRNTG_16832 transcript:DRNTG_16832.14 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTPRLEFIKVLLSGSRFRIPSAFVGHVIDDNHGKAMIFLADKFWHIKVQKTEEGLFFTDGWEEMIKAHGLSEGCILSFCYEGNMVFTLKVFGHDACRINNFSINSEGFKNRNAYVEFNGIGEDSNRSLGQIPSANNCDETNVASPSVPPAHSLSGIKSEDETSCTKNIIQYKKKIHRTNLVHGRIGVPLWVCASTGLKSSHDVTIRGPDRRLWTIFFSYSPQTPRLGKGWSEFCEHHKLEIGDLCIFTHVSKRDKLFDVQIKRASHERASTSYEDEV >DRNTG_16832.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18048922:18052210:-1 gene:DRNTG_16832 transcript:DRNTG_16832.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTPRLEFIKVLLSGSRFRIPSAFVGHVIDDNHGKAMIFLADKFWHIKVQKTEEGLFFTDGWEEMIKAHGLSEGCILSFCYEGNMVFTLKVFGHDACRINNFSINSEGFKNRNAYVEFNGIGEDSNRSLGQIPSANNCDETNVASPSVPPAHSLSGIKSEDETSCTKNIIQYKKKIHRTNLVHGRIGVPLWVCASTGLKSSHDVTIRGPDRRLWTIFFSYSPQTPRLGKGWSEFCEHHKLEIGDLCIFTHVSKRDKLFDVQIKRASHERASTSYEDEV >DRNTG_16832.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18048922:18052210:-1 gene:DRNTG_16832 transcript:DRNTG_16832.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTPRLEFIKVLLSGSRFRIPSAFVGHVIDDNHGKAMIFLADKFWHIKVQKTEEGLFFTDGWEEMIKAHGLSEGCILSFCYEGNMVFTLKVFGHDACRINNFSINSEGFKNRNAYVEFNGIGEDSNRSLGQIPSANNCDETNVASPSVPPAHSLSGIKSEDETSCTKNIIQYKKKIHRTNLVHGRIGVPLWVCASTGLKSSHDVTIRGPDRRLWTIFFSYSPQTPRLGKGWSEFCEHHKLEIGDLCIFTHVSKRDKLFDVQIKRASHERASTSYEDEV >DRNTG_16832.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18048922:18052210:-1 gene:DRNTG_16832 transcript:DRNTG_16832.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTPRLEFIKVLLSGSRFRIPSAFVGHVIDDNHGKAMIFLADKFWHIKVQKTEEGLFFTDGWEEMIKAHGLSEGCILSFCYEGNMVFTLKVFGHDACRINNFSINSEGFKNRNAYVEFNGIGEDSNRSLGQIPSANNCDETNVASPSVPPAHSLSGIKSEDETSCTKNIIQYKKKIHRTNLVHGRIGVPLWVCASTGLKSSHDVTIRGPDRRLWTIFFSYSPQTPRLGKGWSEFCEHHKLEIGDLCIFTHVSKRDKLFDVQIKRASHERASTSYEDEV >DRNTG_16832.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18048922:18051864:-1 gene:DRNTG_16832 transcript:DRNTG_16832.12 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTPRLEFIKVLLSGSRFRIPSAFVGHVIDDNHGKAMIFLADKFWHIKVQKTEEGLFFTDGWEEMIKAHGLSEGCILSFCYEGNMVFTLKVFGHDACRINNFSINSEGFKNRNAYVEFNGIGEDSNRSLGQIPSANNCDETNVASPSVPPAHSLSGIKSEDETSCTKNIIQYKKKIHRTNLVHGRIGVPLWVCASTGLKSSHDVTIRGPDRRLWTIFFSYSPQTPRLGKGWSEFCEHHKLEIGDLCIFTHVSKRDKLFDVQIKRASHERASTSYEDEV >DRNTG_16832.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18048922:18052210:-1 gene:DRNTG_16832 transcript:DRNTG_16832.8 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTPRLEFIKVLLSGSRFRIPSAFVGHVIDDNHGKAMIFLADKFWHIKVQKTEEGLFFTDGWEEMIKAHGLSEGCILSFCYEGNMVFTLKVFGHDACRINNFSINSEGFKNRNAYVEFNGIGEDSNRSLGQIPSANNCDETNVASPSVPPAHSLSGIKSEDETSCTKNIIQYKKKIHRTNLVHGRIGVPLWVCASTGLKSSHDVTIRGPDRRLWTIFFSYSPQTPRLGKGWSEFCEHHKLEIGDLCIFTHVSKRDKLFDVQIKRASHERASTSYEDEV >DRNTG_16832.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18048922:18052300:-1 gene:DRNTG_16832 transcript:DRNTG_16832.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTPRLEFIKVLLSGSRFRIPSAFVGHVIDDNHGKAMIFLADKFWHIKVQKTEEGLFFTDGWEEMIKAHGLSEGCILSFCYEGNMVFTLKVFGHDACRINNFSINSEGFKNRNAYVEFNGIGEDSNRSLGQIPSANNCDETNVASPSVPPAHSLSGIKSEDETSCTKNIIQYKKKIHRTNLVHGRIGVPLWVCASTGLKSSHDVTIRGPDRRLWTIFFSYSPQTPRLGKGWSEFCEHHKLEIGDLCIFTHVSKRDKLFDVQIKRASHERASTSYEDEV >DRNTG_16832.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18048922:18052210:-1 gene:DRNTG_16832 transcript:DRNTG_16832.11 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLADKFWHIKVQKTEEGLFFTDGWEEMIKAHGLSEGCILSFCYEGNMVFTLKVFGHDACRINNFSINSEGFKNRNAYVEFNGIGEDSNRSLGQIPSANNCDETNVASPSVPPAHSLSGIKSEDETSCTKNIIQYKKKIHRTNLVHGRIGVPLWVCASTGLKSSHDVTIRGPDRRLWTIFFSYSPQTPRLGKGWSEFCEHHKLEIGDLCIFTHVSKRDKLFDVQIKRASHERASTSYEDEV >DRNTG_25993.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1010839:1016028:1 gene:DRNTG_25993 transcript:DRNTG_25993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPFRLRSIWNAWDLRTFMLASLSLQIILIFSGSLRKRKASRWVSLILWSAYLLADWVATFALGILSNTHDDDHDCILPAPALSHSQNDDLLAFWSPFLLLHLGGPDTITAFSLEDNELWMRHLLGLAFQVSLALYVFMRSLPDTRLKVPAFLMFIAGIVKYGERSWSLMCASMDCLRNSMVTPPDPGPNYAKFMEEYASKSAAGLHAEIEVEKEPESKPRALHTNVEEISNVMLLSKAHYFFKTFKRLTVDLILTFHDRNESQSFFLKRSPAQAFKLIEFELSFIYEELFTKSTALHTVAGPFLRLLTFSFILSSLLLFFFTKKQGYVDVDVAITYTLLVGAVALEIYTVGLLFFSEWTFLQLKKLGFYQLSNMIFTIISYFRPTSKPRWSNSMAQYSLISFCLADDEPSAFKTTLEFISMKDTWDKYRHTNYIPVTSELKEFIFEEIKGKASSAEDSKSYKRFSDYRGEWALQKKGYGKELGWSVEVELDESILLWHIATDLCYYSHDENKQSPPVSNRQMSKALSDYMLYLLLVRPFMLTAGIGQVRYGDTCAEAKNFFQRGEAAVLDERQASKMLLSVETKVPPVQVKGDRSKSVLFDACILAKVLLELNVGKRWRIISAVWVEMLCYAASHCRSYFHAKQLSSGGELITLIWFLMAHLGIGEQYRIEAGHARAKLIVEK >DRNTG_16097.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25480251:25488161:1 gene:DRNTG_16097 transcript:DRNTG_16097.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDNESGSRLFGFVGAGATLGQLFGSLFAAGMAWLGPFLLLFSALLMELAAQSAKGIDHDASNDDKELSPMRDDNQDQHDEADDKTRSGLVEQSSKSTTQASNAPMFIMLEGLWLIISSTYLLFVSLFLWLSAVVSSFFYFQKVTIIATTVSDPVIRRRLFALINSFTAVFILAGQLTLTGRILTVAGVTVAICASPLVAFSNMVALAIWPTWIAVAVSETLRKVTTYVVTRPGRELLFTVVSQEEKYKAKVCIDVIVQRLGDAAAAGIYKLLSNSLNKRASIVSVCALPVCTPISILSTFIL >DRNTG_16097.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25480251:25480628:1 gene:DRNTG_16097 transcript:DRNTG_16097.12 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLWACPICQASSSAPSSSPLSPPQSPPSSSPCPIYLNPR >DRNTG_16097.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25480251:25488259:1 gene:DRNTG_16097 transcript:DRNTG_16097.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWTMSHLSVQSGSRLFGFVGAGATLGQLFGSLFAAGMAWLGPFLLLFSALLMELAAQSAKGIDHDASNDDKELSPMRDDNQDQHDEADDKTRSGLVEQSSKSTTQASNAPMFIMLEGLWLIISSTYLLFVSLFLWLSAVVSSFFYFQKVTIIATTVSDPVIRRRLFALINSFTAVFILAGQLTLTGRILTVAGVTVAICASPLVAFSNMVALAIWPTWIAVAVSETLRKVTTYVVTRPGRELLFTVVSQEEKYKAKVCIDVIVQRLGDAAAAGIYKLLSNSLNKRASIVSVCALPVCTPISILSTFIL >DRNTG_16097.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25480251:25488161:1 gene:DRNTG_16097 transcript:DRNTG_16097.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSRIEAIVSAVVTVHPHEIPALVRSSSCFFFILSAYFVILPLRDDGAISLGLSNLPGLFVGSLLLTLVAAPISTLVFSLPNLSKSKALVYIHRFFSLSLVLFFVLWYASSAGNSPSSAVPKEGAHEDDARDGPYSLGWGSHGWFYILVRIGLFLWVALLNLVTISSTWARVIDVMDNESGSRLFGFVGAGATLGQLFGSLFAAGMAWLGPFLLLFSALLMELAAQSAKGIDHDASNDDKELSPMRDDNQDQHDEADDKTRSGLVEQSSKSTTQASNAPMFIMLEGLWLIISSTYLLFVSLFLWLSAVVSSFFYFQKVTIIATTVSDPVIRRRLFALINSFTAVFILAGQLTLTGRILTVAGVTVAICASPLVAFSNMVALAIWPTWIAVAVSETLRKVTTYVVTRPGRELLFTVVSQEEKYKAKVCIDVIVQRLGDAAAAGIYKLLSNSLNKRASIVSVCALPLCLLWIITAIHLGRRQANLVKLQVY >DRNTG_16097.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25480251:25480807:1 gene:DRNTG_16097 transcript:DRNTG_16097.11 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLWACPICQASSSAPSSSPLSPPQSPPSSSPCPIYLNPRLWSIFTDSLVYPLFYSLFSGMLPRPGILLLLLFQR >DRNTG_16097.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25483280:25488161:1 gene:DRNTG_16097 transcript:DRNTG_16097.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVALAIWPTWIAVAVSETLRKVTTYVVTRPGRELLFTVVSQEEKYKAKVCIDVIVQRLGDAAAAGIYKLLSNSLNKRASIVSVCALPLCLLWIITAIHLGRRQANLVKLQVY >DRNTG_16097.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25480251:25488161:1 gene:DRNTG_16097 transcript:DRNTG_16097.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLWTMSVQSGSRLFGFVGAGATLGQLFGSLFAAGMAWLGPFLLLFSALLMELAAQSAKGIDHDASNDDKELSPMRDDNQDQHDEADDKTRSGLVEQSSKSTTQASNAPMFIMLEGLWLIISSTYLLFVSLFLWLSAVVSSFFYFQKVTIIATTVSDPVIRRRLFALINSFTAVFILAGQLTLTGRILTVAGVTVAICASPLVAFSNMVALAIWPTWIAVAVSETLRKVTTYVVTRPGRELLFTVVSQEEKYKAKVCIDVIVQRLGDAAAAGIYKLLSNSLNKRASIVSVCALPLCLLWIITAIHLGRRQANLVKLQ >DRNTG_16097.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25480251:25488161:1 gene:DRNTG_16097 transcript:DRNTG_16097.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLGPFLLLFSALLMELAAQSAKGIDHDASNDDKELSPMRDDNQDQHDEADDKTRSGLVEQSSKSTTQASNAPMFIMLEGLWLIISSTYLLFVSLFLWLSAVVSSFFYFQKVTIIATTVSDPVIRRRLFALINSFTAVFILAGQLTLTGRILTVAGVTVAICASPLVAFSNMVALAIWPTWIAVAVSETLRKVTTYVVTRPGRELLFTVVSQEEKYKAKVCIDVIVQRLGDAAAAGIYKLLSNSLNKRASIVSVCALPLCLLWIITAIHLGRRQANLVKLQVY >DRNTG_16097.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25480251:25488161:1 gene:DRNTG_16097 transcript:DRNTG_16097.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDNESGSRLFGFVGAGATLGQLFGSLFAAGMAWLGPFLLLFSALLMELAAQSAKGIDHDASNDDKELSPMRDDNQDQHDEADDKTRSGLVEQSSKSTTQASNAPMFIMLEGLWLIISSTYLLFVSLFLWLSAVVSSFFYFQKVTIIATTVSDPVIRRRLFALINSFTAVFILAGQLTLTGRILTVAGVTVAICASPLVAFSNMVALAIWPTWIAVAVSETLRKVTTYVVTRPGRELLFTVVSQEEKYKAKVCIDVIVQRLGDAAAAGIYKLLSNSLNKRASIVSVCALPLCLLWIITAIHLGRRQANLVKLQVY >DRNTG_16097.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25480251:25488259:1 gene:DRNTG_16097 transcript:DRNTG_16097.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLWACPICQASSSAPSSSPLSPPQSPPSSSPCPIYLNPRLWSIFTDSLVYPLFYSLFSGMLPRPGILLLLLFQRTRCLIYPLFRRGHMKMMLEMALIH >DRNTG_16097.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25480251:25483273:1 gene:DRNTG_16097 transcript:DRNTG_16097.9 gene_biotype:protein_coding transcript_biotype:protein_coding MCLQILSAYFVILPLRDDGAISLGLSNLPGLFVGSLLLTLVAAPISTLVFSLPNLSKSKALVYIHRFFSLSLVLFFVLWYASSAGNSPSSAVPKEGAHEDDARDGPYSLGWGSHGWFYILVRIGLFLWVALLNLVTISSTWARVIDVMDNESGSRLFGFVGAGATLGQLFGSLFAAGMAWLGPFLLLFSALLMELAAQSAKGIDHDASNDDKELSPMRDDNQDQHDEADDKTRSGLVEQSSKSTTQASNAPMFIMLEGLWLIISSTYLLFVSLFLWLSAVVSSFFYFQVLNSPKHYISLLLFSFVSLPDLISGSNCKCTITKMLFHRIGCGDAMVLLNRIICYHFYPFPSQIIDAEFSTPS >DRNTG_16097.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25480251:25481021:1 gene:DRNTG_16097 transcript:DRNTG_16097.10 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLWACPICQASSSAPSSSPLSPPQSPPSSSPCPIYLNPRLWSIFTDSLVYPLFYSLFSGMLPRPGILLLLLFQRRGHMKMMLEMALIH >DRNTG_03869.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3721092:3730345:1 gene:DRNTG_03869 transcript:DRNTG_03869.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPESEREERERKGDLDKYERLNGERNQTTQYLAVKKYNRTAERDADLIRPLPVLQKTIDYLLDMLGQPYSDNFLGIYNFLWDRMRAIRMDLRMQHIFNQDAITMLEQMIRLHIIAMHELCEYEKGEGFSEGFDAHLNIEQMNKTSVELFQIYDDHRKKGKSVETEKEFRGYYALLKLDRHPGYKVEPAELSLDLAKMAPEVRCSPEIMFARNVARACRTGNYIAFFRLAKKATYLQACLMHAHFAKTRTQALASLHIGLQNNQGIPVQHIVKWLGMEGEDIEALLEYHGFVLRKYEEMYMVKVGLFRNSDNDFPTKCSELVHQKQSHRVIDDVYSGQSISCLGEDREFAPNIVSMVDQGATSSLEALPAIVEDEMLDFEAESIEVVTPDVDEEAATVVSGEHEGDMVEATFSEASFIPVDPFARNLMPVEYDLVDEPIEDSPGEGMTEEMSMPKFEENIIQNGAIGRSDSNYVKESENSEHQMASDSKSEVVASMPTHQQKEKLSNEKLKNILRKWKQQALVQRETRERKLFLASLALSSLSLGPPIRFSQPQSNHATGSLDIDQAARNRFSRLSKSWSRLNVSELVAATLSARNPYAKCICWKLVVYIQGKCLGEPCL >DRNTG_03869.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3724759:3730345:1 gene:DRNTG_03869 transcript:DRNTG_03869.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQPYSDNFLGIYNFLWDRMRAIRMDLRMQHIFNQDAITMLEQMIRLHIIAMHELCEYEKGEGFSEGFDAHLNIEQMNKTSVELFQIYDDHRKKGKSVETEKEFRGYYALLKLDRHPGYKVEPAELSLDLAKMAPEVRCSPEIMFARNVARYFLCFICHCFQLKLHAT >DRNTG_03869.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3724347:3730345:1 gene:DRNTG_03869 transcript:DRNTG_03869.6 gene_biotype:protein_coding transcript_biotype:protein_coding MCPESEREERERKGDLDKYERLNGERNQTTQYLAVKKYNRTAERDADLIRPLPVLQKTIDYLLDMLGQPYSDNFLGIYNFLWDRMRAIRMDLRMQHIFNQDAITMLEQMIRLHIIAMHELCEYEKGEGFSEGFDAHLNIEQMNKTSVELFQIYDDHRKKGKSVETEKEFRGYYALLKLDRHPGYKVEPAELSLDLAKMAPEVRCSPEIMFARNVARACRTGNYIAFFRLAKKATYLQACLMHAHFAKTRTQALASLHIGLQNNQGIPVQHIVKWLGMEVIFWSCFCLFFSFCCKFDHLGVSFIMFIQGEDIEALLEYHGFVLRKYEEMYMVKVGLFRNSDNDFPTKCSELVHQKQSHRVIDDVYSGQSISCLGEDREFAPNIVSMVDQGATSSLEALPAIVEDEMLDFEAESIEVVTPDVDEEAATVVSGEHEGDMVEATFSEASFIPVDPFARNLMPVEYDLVDEPIEDSPGEGMTEEMSMPKFEENIIQNGAIGRSDSNYVKESENSEHQMASDSKSEVVASMPTHQQKEKLSNEKLKNILRLPLVWFLMSSPFMCSVMLKQNLKFCSYLSSSGNGSSKH >DRNTG_03869.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3724759:3730345:1 gene:DRNTG_03869 transcript:DRNTG_03869.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQPYSDNFLGIYNFLWDRMRAIRMDLRMQHIFNQDAITMLEQMIRLHIIAMHELCEYEKGEGFSEGFDAHLNIEQMNKTSVELFQIYDDHRKKGKSVETEKEFRGYYALLKLDRHPGYKVEPAELSLDLAKMAPEVRCSPEIMFARNVARACRTGNYIAFFRLAKKATYLQACLMHAHFAKTRTQALASLHIGLQNNQGIPVQHIVKWLGMEGEDIEALLEYHGFVLRKYEEMYMVKVGLFRNSDNDFPTKCSELVHQKQSHRVIDDVYSGQSISCLGEDREFAPNIVSMVDQGATSSLEALPAIVEDEMLDFEAESIEVVTPDVDEEAATVVSGEHEGDMVEATFSEASFIPVDPFARNLMPVEYDLVDEPIEDSPGEGMTEEMSMPKFEENIIQNGAIGRSDSNYVKESENSEHQMASDSKSEVVASMPTHQQKEKLSNEKLKNILRKWKQQALVQRETRERKLFLASLALSSLSLGPPIRFSQPQSNHATGSLDIDQAARNRFSRLSKSWSRLNVSELVAATLSARNPYAKCICWKLVVYIQGKCLGEPCL >DRNTG_03869.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3723580:3730345:1 gene:DRNTG_03869 transcript:DRNTG_03869.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQPYSDNFLGIYNFLWDRMRAIRMDLRMQHIFNQDAITMLEQMIRLHIIAMHELCEYEKGEGFSEGFDAHLNIEQMNKTSVELFQIYDDHRKKGKSVETEKEFRGYYALLKLDRHPGYKVEPAELSLDLAKMAPEVRCSPEIMFARNVARACRTGNYIAFFRLAKKATYLQACLMHAHFAKTRTQALASLHIGLQNNQGIPVQHIVKWLGMEGEDIEALLEYHGFVLRKYEEMYMVKVGLFRNSDNDFPTKCSELVHQKQSHRVIDDVYSGQSISCLGEDREFAPNIVSMVDQGATSSLEALPAIVEDEMLDFEAESIEVVTPDVDEEAATVVSGEHEGDMVEATFSEASFIPVDPFARNLMPVEYDLVDEPIEDSPGEGMTEEMSMPKFEENIIQNGAIGRSDSNYVKESENSEHQMASDSKSEVVASMPTHQQKEKLSNEKLKNILRKWKQQALVQRETRERKLFLASLALSSLSLGPPIRFSQPQSNHATGSLDIDQAARNRFSRLSKSWSRLNVSELVAATLSARNPYAKCICWKLVVYIQGKCLGEPCL >DRNTG_03869.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3725267:3730345:1 gene:DRNTG_03869 transcript:DRNTG_03869.9 gene_biotype:protein_coding transcript_biotype:protein_coding MHELCEYEKGEGFSEGFDAHLNIEQMNKTSVELFQIYDDHRKKGKSVETEKEFRGYYALLKLDRHPGYKVEPAELSLDLAKMAPEVRCSPEIMFARNVARACRTGNYIAFFRLAKKATYLQACLMHAHFAKTRTQALASLHIGLQNNQGIPVQHIVKWLGMEGEDIEALLEYHGFVLRKYEEMYMVKVGLFRNSDNDFPTKCSELVHQKQSHRVIDDVYSGQSISCLGEDREFAPNIVSMVDQGATSSLEALPAIVEDEMLDFEAESIEVVTPDVDEEAATVVSGEHEGDMVEATFSEASFIPVDPFARNLMPVEYDLVDEPIEDSPGEGMTEEMSMPKFEENIIQNGAIGRSDSNYVKESENSEHQMASDSKSEVVASMPTHQQKEKLSNEKLKNILRKWKQQALVQRETRERKLFLASLALSSLSLGPPIRFSQPQSNHATGSLDIDQAARNRFSRLSKSWSRLNVSELVAATLSARNPYAKCICWKLVVYIQGKCLGEPCL >DRNTG_03869.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3723935:3730345:1 gene:DRNTG_03869 transcript:DRNTG_03869.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCPESEREERERKGDLDKYERLNGERNQTTQYLAVKKYNRTAERDADLIRPLPVLQKTIDYLLDMLGQPYSDNFLGIYNFLWDRMRAIRMDLRMQHIFNQDAITMLEQMIRLHIIAMHELCEYEKGEGFSEGFDAHLNIEQMNKTSVELFQIYDDHRKKGKSVETEKEFRGYYALLKLDRHPGYKVEPAELSLDLAKMAPEVRCSPEIMFARNVARACRTGNYIAFFRLAKKATYLQACLMHAHFAKTRTQALASLHIGLQNNQGIPVQHIVKWLGMEVIFWSCFCLFFSFCCKFDHLGVSFIMFIQGEDIEALLEYHGFVLRKYEEMYMVKVGLFRNSDNDFPTKCSELVHQKQSHRVIDDVYSGQSISCLGEDREFAPNIVSMVDQGATSSLEALPAIVEDEMLDFEAESIEVVTPDVDEEAATVVSGEHEGDMVEATFSEASFIPVDPFARNLMPVEYDLVDEPIEDSPGEGMTEEMSMPKFEENIIQNGAIGRSDSNYVKESENSEHQMASDSKSEVVASMPTHQQKEKLSNEKLKNILRKWKQQALVQRETRERKLFLASLALSSLSLGPPIRFSQPQSNHATGSLDIDQAARNRFSRLSKSWSRLNVSELVAATLSARNPYAKCICWKLVVYIQGKCLGEPCL >DRNTG_03869.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3721092:3730345:1 gene:DRNTG_03869 transcript:DRNTG_03869.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCPESEREERERKGDLDKYERLNGERNQTTQYLAVKKYNRTAERDADLIRPLPVLQKTIDYLLDMLGQPYSDNFLGIYNFLWDRMRAIRMDLRMQHIFNQDAITMLEQMIRLHIIAMHELCEYEKGEGFSEGFDAHLNIEQMNKTSVELFQIYDDHRKKGKSVETEKEFRGYYALLKLDRHPGYKVEPAELSLDLAKMAPEVRCSPEIMFARNVARACRTGNYIAFFRLAKKATYLQACLMHAHFAKTRTQALASLHIGLQNNQGIPVQHIVKWLGMEGEDIEALLEYHGFVLRKYEEMYMVKVGLFRNSDNDFPTKCSELVHQKQSHRVIDDVYSGQSISCLGEDREFAPNIVSMVDQGATSSLEALPAIVEDEMLDFEAESIEVVTPDVDEEAATVVSGEHEGDMVEATFSEASFIPVDPFARNLMPVEYDLVDEPIEDSPGEGMTEEMSMPKFEENIIQNGAIGRSDSNYVKESENSEHQMASDSKSEVVASMPTHQQKEKLSNEKLKNILRKWKQQALVQRETRERKLFLASLALSSLSLGPPIRFSQPQSNHATGSLDIDQAARNRFSRLSKSWSRLNVSELVAATLSARNPYAKCICWKLVVYIQGKCLGEPCL >DRNTG_03869.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3722421:3730345:1 gene:DRNTG_03869 transcript:DRNTG_03869.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQPYSDNFLGIYNFLWDRMRAIRMDLRMQHIFNQDAITMLEQMIRLHIIAMHELCEYEKGEGFSEGFDAHLNIEQMNKTSVELFQIYDDHRKKGKSVETEKEFRGYYALLKLDRHPGYKVEPAELSLDLAKMAPEVRCSPEIMFARNVARYFLCFICHCFQLKLHAT >DRNTG_03869.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3722823:3723744:1 gene:DRNTG_03869 transcript:DRNTG_03869.11 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASLALQKNIPIQTSNSPVEGAKRSPARQKVSYAGIYDGSSLAAPHPSFMNAPKGISPPLASLDSPLVVSSTQVDSER >DRNTG_01704.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23702919:23703491:1 gene:DRNTG_01704 transcript:DRNTG_01704.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDKILPFSSTLGWHSLNVNGEVQTRKGLRWIPRHPETRKGVASDEMLRGVENKHRSGDSRIGQPFELLPNPWAGKRQPGELKHLSSQRKRKQKRFP >DRNTG_09345.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:631614:635456:-1 gene:DRNTG_09345 transcript:DRNTG_09345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRCSGEGSERSASIPLPIACRRGRRGSRGPSKRPPFPPRKERERERE >DRNTG_10328.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3068629:3068925:1 gene:DRNTG_10328 transcript:DRNTG_10328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVPISMHAAHHMLQRMVGSLFAAQRGNGSYMVPLAYLDNSIFKEFLKISEEELGLPCDGPITLPLDAVSMEYVLSLIRRGVSKEMEMAVLSSIFSSC >DRNTG_27464.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26370891:26374833:1 gene:DRNTG_27464 transcript:DRNTG_27464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVKAHKESSYPLVDEDGMLDSPESVHSDDDTILTTKKKPHRKYQNVTKKCGVCYLSRVPPHMDPTKLRQILSQYGDIQRIYLVPEDPTAQMHRKRAGGFRGKQFNEGWVEFTEKRAAKKIANMLNGEQIGGKKRSSFYYDIWNIRYLSKFTWDDLTNETAEKNHIREQKRALEASGAKRERDFYLSKVEQSRALSSIEERINKKRKTGETELSKKEPKVIRHFRQNQPITADTAQKKQKLSKDILAGLLGVGS >DRNTG_29517.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2213052:2214027:-1 gene:DRNTG_29517 transcript:DRNTG_29517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLQEKISTVAGASTKNPPEILEGYLSQTLPPQISSQITSTTHPYLPPHHQRAKDTLHHPHHEGESNTLANRLIGHRKRM >DRNTG_16839.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18112867:18115460:-1 gene:DRNTG_16839 transcript:DRNTG_16839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSRGKSTARKPLANISNAGKRSRPGKKKAPSADGDDGGGAIDRLLLARSALSDLVAQVDELVAQALGNKTINKTGSQVVESFRNDLCTIHSSLKAWVPRLQQAFAETSTETEHKSRQTANVCSASSEYGERTALSPDENKDMLEVSPSPLVSWRPGTCTIDCGRQLFLLTPLPKSTLLTSKRPGLLRSTLTRTTIADRHVSHGILALTNGANNADDRRVVEGVATSFEFSKPCTNAGNSTTDTALMSPLIISDQKITTISVSKLTPCLKKTSPKTCQLLHPTSEEDDMSKNGGPHTYDPPSDEVIDNLASKYQALLGLQTNYSHAGRRKEVDESLDWFLSPPKTCILMEPSDGKLIPTPTNVIALATPMWNGFESTFKKTKNVGENTLKRELWTKFEAATSSELHFNASVLQNTTKKGFLDMLEEVS >DRNTG_01972.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13129545:13130136:-1 gene:DRNTG_01972 transcript:DRNTG_01972.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCVENTYRKQAMIETSLSSLEGKEFLELISANADEDIFVRANRRVDAIAPEPLARRQDERVISSMRARRCSPTSSPTRGRIPRCRRSPPLIRQIATSPDHDDNNAPDHGSPLNGTRR >DRNTG_32096.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22279517:22283583:1 gene:DRNTG_32096 transcript:DRNTG_32096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVLFLHQSLILPRITRALKPSSFSSCFSLPLLRPISHPFSSLAASRASSIPTHDDVDGAAPIAVTERTMIDVNPPRGTRDFLPEDMRLRNWLFQQFREVSRLLSFEEVDFPVLESEALYIRKAGEEITQQLYNFEDKGGRRVVLRPEITPSLARLVIKQGKSVSLPLKWFTIGQCWRYERMTRGRRREHYQWNMDIIGVSRVRAEAELIHALVILFERLGITSADVGIRISSRKVLQAVLQTYSIPEHLFTEVFVIVDKLGKISREEIEKELVSVGVSLDAVKGLVEVLSFKSLTRLEDVLGPNAEAVADLKQLFSLAENYGYSQWIQFDASVVRGLAYYTGIVFEAFDKEGILRAICGGGRYDRLLSTFGTDDIPACGFGFGDAVIVELLKEKGLVPDLIRQVDDIVFPLDAELEGPASKIVASLRQKGRSVDLVEDKRLKWVFKHAERVNAGRLILVGNSEWQRGMVRVKILSTREENEIRIDELD >DRNTG_30092.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22882740:22885944:-1 gene:DRNTG_30092 transcript:DRNTG_30092.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLNPNLRASRRWRNQRDQWRRSRSSSTLRSTMKRIGPSI >DRNTG_29018.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22250678:22252574:-1 gene:DRNTG_29018 transcript:DRNTG_29018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMGLFEAEMSGDSFQLLGMSEIGMLPAFFSSRSKYGTPTISILLSATGVIFLSWMSFQEIIEFLNFLYALGMLLEFAAFIQLRIKRPDLHRPYKVPVGTYGAIAICIPPALLLILVMCLASLRTFIVSGIIMLIGFVLYPAIQLMKNKQWVRFIDPSEPVESYFETTALRFENVADEASLSLLPEFSSIKHELPEKEPDLETKLE >DRNTG_06057.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:24887243:24888318:-1 gene:DRNTG_06057 transcript:DRNTG_06057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATTRPLSHSTFANLTNDEYQNTYLGFQRFTGENMGFKKSKSISLMAVKHYLIPLIGERRELLWMSRSKDYVARQELVDCARKSCEAWYMDKAFEFIINNGGIDTDKDYPYRAVIHEFVAKKKSCTIDGYESIPKNREDSLKKAVVPPN >DRNTG_30164.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16601843:16604459:1 gene:DRNTG_30164 transcript:DRNTG_30164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQINGCVRNTHAHVPDSQGQPHAPVACLDIQENLLSVPHTRAEIPHGRGHS >DRNTG_21030.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:166729:168601:-1 gene:DRNTG_21030 transcript:DRNTG_21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMRPMPITSLQTLVPPKPSLAIRAPSPRLQLLQARCTAEPSRAEEQVSAMVDELLQRDENRPLLNDLDKASQRVDLARAALADIERQEAQFLRAKNQIKQLETRKSQIAEAQKEILEARALAEEAQRSLLFDTYDGNTNEDTKTADRWESIKAASISSLVGTITGLPISLYHSTDFPQFALHLTTIFVSCALFGVTYRYTIRRDLDNIQLKTGTSAAFGFVRGLAALEVRGKPLESNIGSLVSLSIDGAVYVSESVFVFLFSAIALDFCFKSRLLSPFPMKK >DRNTG_17942.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17470621:17470997:1 gene:DRNTG_17942 transcript:DRNTG_17942.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEAPPAAEEPPLVRLRRPLSTQSSWRVLSYYSRSKSETLPHHSSCSRGLFRHRQYLQHLHPRPQYQRTHYMLPLL >DRNTG_27446.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28752051:28758323:-1 gene:DRNTG_27446 transcript:DRNTG_27446.11 gene_biotype:protein_coding transcript_biotype:protein_coding MALPMWRRASIQTLRAGARWLSTSSVPSRRFAAVWGNGDYGRLGLGGLESRWRPTVCAFFQDDDPPVSIACGGAHTLFLTESGRVYASGLNKFGQLGINAGTSHVLEPVEVYGFSEKVVEISAGYHHSSAITEDGKLFTWGNNSCGQLGLGKRAGSIVSTPTMIDCLADIKVSKVALGSEHSIAITDEGEVLSWGAGGSGRLGHGHQSSFLGFSMISSEYTPRLIKNFEGMKVRRIAAGMLHSACINEQGSVFIFGERTINKLGFGEAKNATRPLVVEQLPFSEEVACGGYHTCVVTSIIAIRQVQFALSSISIIFFGSNLYDLLILSVRSISSF >DRNTG_27446.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28752051:28758323:-1 gene:DRNTG_27446 transcript:DRNTG_27446.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALPMWRRASIQTLRAGARWLSTSSVPSRRFAAVWGNGDYGRLGLGGLESRWRPTVCAFFQDDDPPVSIACGGAHTLFLTESGRVYASGLNKFGQLGINAGTSHVLEPVEVYGFSEKVVEISAGYHHSSAITEDGKLFTWGNNSCGQLGLGKRAGSIVSTPTMIDCLADIKVSKVALGSEHSIAITDEGEVLSWGAGGSGRLGHGHQSSFLGFSMISSEYTPRLIKNFEGMKVRRIAAGMLHSACINEQGSVFIFGERTINKLGFGEAKNATRPLVVEQLPFSEEVACGGYHTCVVTNEGKLFTWGSNENGCLGLGSMDIVRTPQDLRSSLLKFRISQVSCGWKHTAAISGGNIYTWGWGGANGTFFEDGHSSGGQLGHGNDVDCFEPMMVSMDQHVKALQISCGFNHTGGIFEHSQD >DRNTG_27446.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28752051:28758323:-1 gene:DRNTG_27446 transcript:DRNTG_27446.9 gene_biotype:protein_coding transcript_biotype:protein_coding MALPMWRRASIQTLRAGARWLSTSSVPSRRFAAVWGNGDYGRLGLGGLESRWRPTVCAFFQDDDPPVSIACGGAHTLFLTESGRVYASGLNKFGQLGINAGTSHVLEPVEVYGFSEKVVEISAGYHHSSAITEDGKLFTWGNNSCGQLGLGKRAGSIVSTPTMIDCLADIKVSKVALGSEHSIAITDEGEVLSWGAGGSGRLGHGHQSSFLGFSMISRLEI >DRNTG_27446.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28753241:28758323:-1 gene:DRNTG_27446 transcript:DRNTG_27446.15 gene_biotype:protein_coding transcript_biotype:protein_coding MALPMWRRASIQTLRAGARWLSTSSVPSRRFAAVWGNGDYGRLGLGGLESRWRPTVCAFFQDDDPPVSIACGGAHTLFLTESGRVYASGLNKFGQLGINAGTSHVLEPVEVYGFSEKVVEISAGYHHSSAITEDGKLFTWGNNSCGQLGLGKRAGSIVSTPTMIDCLADIKVSKVALGSEHSIAITDEGEVLSWGAGGSGRLGHGHQSSFLGFSMISSEYTPRLIKNFEGMKVRRIAAGMLHSACINEQGSVFIFGERTINKLGFGEAKNATRPLVVEQLPFSEEVACGGYHTCVVTNEGKLFTWGSNENGCLGLGSMDIVRTPQDLRSSLLKFRISQVSCGWKHTAAIS >DRNTG_27446.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28752051:28758323:-1 gene:DRNTG_27446 transcript:DRNTG_27446.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALPMWRRASIQTLRAGARWLSTSSVPSRRFAAVWGNGDYGRLGLGGLESRWRPTVCAFFQDDDPPVSIACGGAHTLFLTESGRVYASGLNKFGQLGINAGTSHVLEPVEVYGFSEKVVEISAGYHHSSAITEDGKLFTWGNNSCGQLGLGKRAGSIVSTPTMIDCLADIKVSKVALGSEHSIAITDEGEVLSWGAGGSGRLGHGHQSSFLGFSMISRIILKDPFMQ >DRNTG_27446.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28753241:28758323:-1 gene:DRNTG_27446 transcript:DRNTG_27446.14 gene_biotype:protein_coding transcript_biotype:protein_coding MCCIANAFQEPVEVYGFSEKVVEISAGYHHSSAITEDGKLFTWGNNSCGQLGLGKRAGSIVSTPTMIDCLADIKVSKVALGSEHSIAITDEGEVLSWGAGGSGRLGHGHQSSFLGFSMISSEYTPRLIKNFEGMKVRRIAAGMLHSACINEQGSVFIFGERTINKLGFGEAKNATRPLVVEQLPFSEEVACGGYHTCVVTNEGKLFTWGSNENGCLGLGSMDIVRTPQDLRSSLLKFRISQVSCGWKHTAAIS >DRNTG_27446.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28752051:28758323:-1 gene:DRNTG_27446 transcript:DRNTG_27446.8 gene_biotype:protein_coding transcript_biotype:protein_coding MALPMWRRASIQTLRAGARWLSTSSVPSRRFAAVWGNGDYGRLGLGGLESRWRPTVCAFFQDDDPPVSIACGGAHTLFLTESGRVYASGLNKFGQLGINAGTSHVLEPVEVYGFSEKVVEISAGYHHSSAITEDGKLFTWGNNSCGQLGLGKRAGSIVSTPTMIDCLADIKVSKVALGSEHSIAITDEGEVLSWGAGGSGRLGHGHQSSFLGFSMISRIILKDPFMQ >DRNTG_27446.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28756533:28758323:-1 gene:DRNTG_27446 transcript:DRNTG_27446.17 gene_biotype:protein_coding transcript_biotype:protein_coding MALPMWRRASIQTLRAGARWLSTSSVPSRRFAAVWGNGDYGRLGLGGLESRWRPTVCAFFQDDDPPVSIACGGAHTLFLTESGRVYASGLNKFGQLGINAGTSHVLEPVEVYGFSEKVVEISAGYHHSSAITEDGKLFTWGNNSCGQLGLGKSNILLTLMLWS >DRNTG_27446.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28752051:28758323:-1 gene:DRNTG_27446 transcript:DRNTG_27446.7 gene_biotype:protein_coding transcript_biotype:protein_coding MALPMWRRASIQTLRAGARWLSTSSVPSRRFAAVWGNGDYGRLGLGGLESRWRPTVCAFFQDDDPPVSIACGGAHTLFLTESGRVYASGLNKFGQLGINAGTSHVLEPVEVYGFSEKVVEISAGYHHSSAITEDGKLFTWGNNSCGQLGLGKRAGSIVSTPTMIDCLADIKVSKVALGSEHSIAITDEGEVLSWGAGGSGRLGHGHQSSFLGFSMISRIILKDPFMQ >DRNTG_27446.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28756533:28758496:-1 gene:DRNTG_27446 transcript:DRNTG_27446.16 gene_biotype:protein_coding transcript_biotype:protein_coding MALPMWRRASIQTLRAGARWLSTSSVPSRRFAAVWGNGDYGRLGLGGLESRWRPTVCAFFQDDDPPVSIACGGAHTLFLTESGRVYASGLNKFGQLGINAGTSHVLEPVEVYGFSEKVVEISAGYHHSSAITEDGKLFTWGNNSCGQLGLGKSNILLTLMLWS >DRNTG_27446.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28752051:28758323:-1 gene:DRNTG_27446 transcript:DRNTG_27446.6 gene_biotype:protein_coding transcript_biotype:protein_coding MALPMWRRASIQTLRAGARWLSTSSVPSRRFAAVWGNGDYGRLGLGGLESRWRPTVCAFFQDDDPPVSIACGGAHTLFLTESGRVYASGLNKFGQLGINAGTSHVLEPVEVYGFSEKVVEISAGYHHSSAITEDGKLFTWGNNSCGQLGLGKRAGSIVSTPTMIDCLADIKVSKVALGSEHSIAITDEGEVLSWGAGGSGRLGHGHQSSFLGFSMISRIILKDPFMQ >DRNTG_27446.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28752051:28758323:-1 gene:DRNTG_27446 transcript:DRNTG_27446.12 gene_biotype:protein_coding transcript_biotype:protein_coding MALPMWRRASIQTLRAGARWLSTSSVPSRRFAAVWGNGDYGRLGLGGLESRWRPTVCAFFQDDDPPVSIACGGAHTLFLTESGRVYASGLNKFGQLGINAGTSHVLEPVEVYGFSEKVVEISAGYHHSSAITEDGKLFTWGNNSCGQLGLGKRAGSIVSTPTMIDCLADIKVSKVALGSEHSIAITDEGEVLSWGAGGSGRLGHGHQSSFLGFSMISRIILKDPFMQ >DRNTG_27446.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28752051:28758323:-1 gene:DRNTG_27446 transcript:DRNTG_27446.13 gene_biotype:protein_coding transcript_biotype:protein_coding MALPMWRRASIQTLRAGARWLSTSSVPSRRFAAVWGNGDYGRLGLGGLESRWRPTVCAFFQDDDPPVSIACGGAHTLFLTESGRVYASGLNKFGQLGINAGTSHVLEPVEVYGFSEKVVEISAGYHHSSAITEDGKLFTWGNNSCGQLGLGKRAGSIVSTPTMIDCLADIKVSKVALGSEHSIAITDEGEVLSWGAGGSGRLGHGHQSSFLGFSMISRIILKDPFMQ >DRNTG_27446.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28751964:28758323:-1 gene:DRNTG_27446 transcript:DRNTG_27446.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPMWRRASIQTLRAGARWLSTSSVPSRRFAAVWGNGDYGRLGLGGLESRWRPTVCAFFQDDDPPVSIACGGAHTLFLTESGRVYASGLNKFGQLGINAGTSHVLEPVEVYGFSEKVVEISAGYHHSSAITEDGKLFTWGNNSCGQLGLGKRAGSIVSTPTMIDCLADIKVSKVALGSEHSIAITDEGEVLSWGAGGSGRLGHGHQSSFLGFSMISRLEI >DRNTG_27446.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28757888:28758323:-1 gene:DRNTG_27446 transcript:DRNTG_27446.18 gene_biotype:protein_coding transcript_biotype:protein_coding MALPMWRRASIQTLRAGARWLSTSSVPSRRFAAVWGNGDYGRLGLGGLESRWRPTVCAFFQDDDPPVSIACGGAHTLFLTESGRVYASGLNKFGQLGINAGTSHVL >DRNTG_27446.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28752051:28758323:-1 gene:DRNTG_27446 transcript:DRNTG_27446.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALPMWRRASIQTLRAGARWLSTSSVPSRRFAAVWGNGDYGRLGLGGLESRWRPTVCAFFQDDDPPVSIACGGAHTLFLTESGRVYASGLNKFGQLGINAGTSHVLEPVEVYGFSEKVVEISAGYHHSSAITEDGKLFTWGNNSCGQLGLGKRAGSIVSTPTMIDCLADIKVSKVALGSEHSIAITDEGEVLSWGAGGSGRLGHGHQSSFLGFSMISSEYTPRLIKNFEGMKVRRIAAGMLHSACINEQGSVFIFGERTINKLGFGEAKNATRPLVVEQLPFSEEVACGGYHTCVVTSIIAIRQVQFALSSISIIFFGSNLYDLLILSVRSISSF >DRNTG_27446.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28752051:28758496:-1 gene:DRNTG_27446 transcript:DRNTG_27446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCIANAFQEPVEVYGFSEKVVEISAGYHHSSAITEDGKLFTWGNNSCGQLGLGKRAGSIVSTPTMIDCLADIKVSKVALGSEHSIAITDEGEVLSWGAGGSGRLGHGHQSSFLGFSMISRLEI >DRNTG_27446.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28752051:28758323:-1 gene:DRNTG_27446 transcript:DRNTG_27446.10 gene_biotype:protein_coding transcript_biotype:protein_coding MALPMWRRASIQTLRAGARWLSTSSVPSRRFAAVWGNGDYGRLGLGGLESRWRPTVCAFFQDDDPPVSIACGGAHTLFLTESGRVYASGLNKFGQLGINAGTSHVLEPVEVYGFSEKVVEISAGYHHSSAITEDGKLFTWGNNSCGQLGLGKRAGSIVSTPTMIDCLADIKVSKVALGSEHSIAITDEGEVLSWGAGGSGRLGHGHQSSFLGFSMISRLEI >DRNTG_22934.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23426559:23427913:-1 gene:DRNTG_22934 transcript:DRNTG_22934.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVRGMWKGREGEGEGKTEVREEKESWGIGDSEFQQEGR >DRNTG_06430.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29869609:29872340:1 gene:DRNTG_06430 transcript:DRNTG_06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTNLPLIPLSITLIAIITALLIYLRRRPRLPPGPPPRPVVGNLYDIKPVRFRCFSEWAGTYGPIMSVWFGTTLNVVVSSSELAKEVLKDNDQQLADRPRSRSAARFSRDGKDLIWADYGPHYVTVRKVCNLELFAPKRLEALRPIREDEVTAMVESIFRECTLPEKQGKSLVVKDHLAGVAFNNITRLAFGKRFMNSAGVMDEQGVEFKAIVQNGLKLGASLSLGEYVPWLRWMFPLNEGAIAKHGARRDRLTKTIMAEHTRARAQSGAKQHFVDALLTLQSKYDLSEDTIIGLLWDMITAGMDTTVITVEWAMAELVKHPRVQQKAQEELDRVVGRDRIITEADFANLPYLNCLVKEALRLHPPTPLMLPHKANTNVKLAGYDIPKGSNVHVNVWAIARDPQVWKEPLEFRPERFQEEDIDIKGHDFRVLPFGAGRRVCPGAQLGIYLVESMLGHLLHQFKWDLPEGMKPEDVDMTENPGMVTFMHTPLQAVATPRLDCVDLYKRVPVEM >DRNTG_21575.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19227884:19229906:-1 gene:DRNTG_21575 transcript:DRNTG_21575.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SENSITIVE TO PROTON RHIZOTOXICITY 1 [Source:Projected from Arabidopsis thaliana (AT1G34370) UniProtKB/Swiss-Prot;Acc:Q9C8N5] MDLKKRGLSESSPLKASSSSLMTPPESSSPSNLNLNPNPNISFTTTQFGPSNLCFETRTNQSFHAYQPNLGIQSLQSYHDIKKKDQQQVLDWDPRVMLNNLSFLEQKIHQVQEVVRSMIGREGSQFAAQQQLVTADLTSIIIQLISTAGTLLPSFKNSSLPNNNGSLIGSDIPSSDSTKASEYEELIKGFSNARDEGDDLMKYVNGCGGEGADSVLAEDDAVDGDNLPPGSYEVLQLEKEEILAPHTHFCLICGKGFKRDANLRMHMRGHGDEYKTPAALAKPSKEISSEPVPIKRYSCPFIGCKRNKEHKKFQPLKTILCVKNHYKRSHCDKSYTCSRCNRKKFSVIADLKTHEKHCGRDKWLCSCGTTFSRKDKLFGHVALFQGHTPALPPVDDAKVSVVLDQVHNNDLMNGGSIGFNFGSASDDVGLLDIKGDDDDRGFFSPMNFSTHATLAVWMIFLAPHLKFLKVHSPFSLDLTTMLTEMDPNHFTFSIKKKPYFFCPLIISCTSSSSVLPIVYHVCYVRLIINKSFSL >DRNTG_01348.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:228708:232650:-1 gene:DRNTG_01348 transcript:DRNTG_01348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERARDRTDTKDTQRKDEKAPSRGDDKSVWRHDGFYELETDAPPARKRPAFREQKMPVETEPAAAEMSKQPALDTGIKEERGHYSHGLDKPGRPYPRVDERYAKRGDGSYYREQRPGYQSRRDRYGGGGGGGGMRGRDRFNGRYAERRMQYPGDVQPEKWKHDLFDEANKSPAQKNEEEQIAKVEALLLL >DRNTG_01348.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:228708:232650:-1 gene:DRNTG_01348 transcript:DRNTG_01348.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERARDRTDTKDTQRKDEKAPSRGDDKSVWRHDGFYELETDAPPARKRPAFREQKMPVETEPAAAEMSKQPALDTGIKEERGHYSHGLDKPGRPYPRVDERYAKRGDGSYYREQRPGYQSRRDRYGGGGGGGGMRGRDRFNGRYAERRMQYPGDVQPEKWKHDLFDEANKSPAQKNEEEQIAKVEALLLL >DRNTG_01348.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:228708:232650:-1 gene:DRNTG_01348 transcript:DRNTG_01348.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERARDRTDTKDTQRKDEKAPSRGDDKSVWRHDGFYELETDAPPARKRPAFREQKMPVETEPAAAEMSKQPALDTGIKEERGHYSHGLDKPGRPYPRVDERYAKRGDGSYYREQRPGYQSRRDRYGGGGGGGGMRGRDRFNGRYAERRMQYPGDVQPEKWKHDLFDEANKSPAQKNEEEQIAKVEALLLL >DRNTG_01348.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:228708:232650:-1 gene:DRNTG_01348 transcript:DRNTG_01348.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRERERDGRDSHSKRFHSRSEREHRSDRDSRPDRGPSPKKVRRDGKPATERIHASDSNLDTVGLTDPDLKQHRRLKDPLPLEDLATEKKAEPEIMKKVPDKNVEELSHKHLSDPAEIPRSKGYFQHDERGSAGHGGRTFIHKATDHGRWSERKEQPMERARDRTDTKDTQRKDEKAPSRGDDKSVWRHDGFYELETDAPPARKRPAFREQKMPVETEPAAAEMSKQPALDTGIKEERGHYSHGLDKPGRPYPRVDERYAKRGDGSYYREQRPGYQSRRDRYGGGGGGGGMRGRDRFNGRYAERRMQYPGDVQPEKWKHDLFDEANKSPAQKNEEEQIAKVEALLLL >DRNTG_27482.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26507635:26510290:1 gene:DRNTG_27482 transcript:DRNTG_27482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSIVINGTLHRLEPDLRKLSPTSLPAEKGFSYQRSTAAPESFSEASQPLTIQEALSFIGEGSRVEPALYVPLLQQCIEYRSLSETECIHGHIIKTGLREEVFLSTSLVNVYMKCGAVDYARNLFEKIPQRNIFTWTALITGYVHNGQPENGMVVFVQLLESGVYPTYYTLGAVLSACSASYSIEFGEQVHGYIIKFGLESATSMGNSLCSLYSKCGSLELSVKAFGRIPDKNVISWTTVITACGDNGDADLGLRLFVDMISEDTVPNEFTLTSAMSLCCSAHAFDLGKQVHSLCVKYGCDSSLPVRNSIMYLYLKRGELDEARRLFDSMETVSLITWNAMIAGHAELMDMAKNDATAHKSGIEALKMFRRLHRSGLKPDLFSFSSVLRVCSGLVALEQGEQVHGQAIKSGFLADVVVSSALVNMYNKCGSIDKASKAFVEMNTRTLISWTSMISAYSQHGRAKEAIQLFEQMRLAGVRPNHVTFVGVLSACAHAGMVSEAQHYFNVMRNEYGIKPVMDHYACMVDMYVRLGCLESAFDFVKCMAFEPNEIIWSILIAGCRSHGNMELAFYASERLLELIPKATETYVLLLNMYVAAERWQDVSRVRKLMKDEKIGVVRDRSWVNIKDRVYFFRANDRSHFQSDRMYELLEDLLERAKRLGYVPYKNADVSDDEKEEKAASGSTLHHSERLAIAFGLLNMPKGAPVRVVKNITMCRDCHSSAKFFSILTGREIIVRDSKRLHNFKDGRCSCGDFGALV >DRNTG_11869.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15436533:15438844:1 gene:DRNTG_11869 transcript:DRNTG_11869.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKETVNLQPPSPLPNPSNATPSSSSRLASLVRSSKEACHLFSPNSKKGC >DRNTG_31545.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22882266:22884953:1 gene:DRNTG_31545 transcript:DRNTG_31545.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g35490 [Source:Projected from Arabidopsis thaliana (AT4G35490) UniProtKB/TrEMBL;Acc:Q9SVW7] MIDERLLTFQQGFGTIVGNDKSSPTLQRQALIPLLEMIMGQNMLKRDKTIKKQVERSELGIRLAKNYLKTDFAFCEVCQSLLGYANSGLAKDLCIAHFGNDQLKCQQVKDENTEGSMVADDKPRIYLGEEFLQWSLIVVAIRPVKAELHCEIRTAAKSEERIPKKMTVLKELAVRRPVAATIRLTVPAGAARPAPPVGPALGQYRLNLMAFCKDFNARTQKYKPETPMAVTITAFKDNTFEFTVKSPSVTWFIKKAAGIESGSSRPGHVVASSISIRHVFEIAKVKQSDPFCQFMPLESICKSIIGTANAMGIQVVKDL >DRNTG_07814.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1339136:1339920:-1 gene:DRNTG_07814 transcript:DRNTG_07814.1 gene_biotype:protein_coding transcript_biotype:protein_coding APAPTSSPPLKRRLGLGTSAAAEPKGYRFPKPNRPRFPPASLAAHRGSRSRLSRTRIFCKDPTRKDPLTRCWIFMRWSGSRGISNGW >DRNTG_11431.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1042423:1049378:1 gene:DRNTG_11431 transcript:DRNTG_11431.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP40 [Source:Projected from Arabidopsis thaliana (AT2G15790) UniProtKB/Swiss-Prot;Acc:Q9C566] MEDEKGSTNPRCFLDISIGGEMEGRVVVELFADVVPRTAENFRALCTGEKGVGPNTGVPLHFKGSRFHRVIKGFMIQGGDISAGDGTGGESIYGLKFEDENFELKHERKGMLSMANSGPNTNGSQFFITTTRTPHLDGKHVVFGRVIKGMGVVRSAEHAPTGDGDCPTVDVTIAACGQIPDGGELGISNFFKDGDTHPDWPADLDEKPNEVAWWMSVVESSKSFGNGYYKKEDYKMALKKYRKALRYLDVCWDKEEIDEEKSSLLRKTKSVILTNSSACKLKLGDLKGALLDADFALREREGNAKAFFRQGQAYMALNDVDAAVESFKNALELEPNDGGIKKELAAANRKIANRRDQERKAYAKMFKAPAKSDVNT >DRNTG_11431.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1042423:1049378:1 gene:DRNTG_11431 transcript:DRNTG_11431.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP40 [Source:Projected from Arabidopsis thaliana (AT2G15790) UniProtKB/Swiss-Prot;Acc:Q9C566] MEDEKGSTNPRCFLDISIGGEMEGRVVVELFADVVPRTAENFRALCTGEKGVGPNTGVPLHFKGSRFHRVIKGFMIQGGDISAGDGTGGESIYGLKFEDENFELKHERKGMLSMANSGPNTNGSQFFITTTRTPHLDGKHVVFGRVIKGMGVVRSAEHAPTGDGDCPTVDVTIAACGQIPDGGELGISNFFKDGDTHPDWPADLDEKPNEVAWWMSVVESSKSFGNGYYKKEDYKMALKKYRKALRYLDVCWDKEEIDEEKSSLLRKTKSVILTNSSACKLKLGDLKGALLDADFALREREGNAKAFFRQGQAYMALNDVDAAVESFKNALELEPNDGGIKKELAAANRKIANRRDQERKAYAKMFKAPAKSDVNT >DRNTG_32075.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22353845:22354246:1 gene:DRNTG_32075 transcript:DRNTG_32075.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQTPVHTIASNAGVEGAVVVGKLLEQDNPDLGYDADTGEYVDMVKSGIIDPLKVIRTALVDAAR >DRNTG_12014.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:695842:696149:1 gene:DRNTG_12014 transcript:DRNTG_12014.2 gene_biotype:protein_coding transcript_biotype:protein_coding ILFLQIKRYFSIASGSSGDTFDGKTLSLCVRRAELSPDSVSNFLCNVKAGDTVDISGLKINYSKLTLYLITRL >DRNTG_12014.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:695533:696149:1 gene:DRNTG_12014 transcript:DRNTG_12014.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGRRATKLNAAVIPLEAAEVRSEVPVKVEKIYTTTVVSVETLVGPKGEIGEIKRYFSIASGSSGDTFDGKTLSLCVRRAELSPDSVSNFLCNVKAGDTVDISGLKINYSKLTLYLITRL >DRNTG_09628.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21975980:21976491:1 gene:DRNTG_09628 transcript:DRNTG_09628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPVMEPEESPLEVGEKRRRKATRVRKQAVERRRGQKKEKTVERVGPWMRREMTAIPAAVAAMWSLNCRRRSWIAIDRFERKKVRFLWLCEYEGLFG >DRNTG_33910.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15152828:15153590:1 gene:DRNTG_33910 transcript:DRNTG_33910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADKSSPDNLLPSEAQCGIHRTTPKTCQRL >DRNTG_08032.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30224719:30228073:1 gene:DRNTG_08032 transcript:DRNTG_08032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSDECLNVISRSFKNFRALLLASCEGFGGDGLSAIAANCRHLRVLDLQENEVDDRCGNWMSHFPESFTSLVSLNFACLEGEVKLSVLERLVSRCTNLKTLRLNQTVPLERLASILHRAPQLVDLGTGKFSSDYRPELYAKVESAFAGCKQLKSLSGVWDSSPPFLPAVYPVCENLTSLNLSYATVQSPDLIDLISHCRNIQRLWVMDYIEDSGLNAVAASCKHLQELRVFPSDPFGMAAPVTLTEHGLVAVSAGCPMLHSVLYFCRQMTNSALIEVARNRPNLTRFRLCIIEPRTPDYVTLQPLDAGFSAIVESCKDLRRLSVSGLLTDQVFKSIGEKAHRLEMLSVAFAGDSDAALHYILSGCSSLRKLEIRDCPFGDKPLLVNAAKLDTMRSLWMSSCSVSLGACKTLAKKMPNLNVEVINERTGMCEVLPNSGSVDKIYIYRTVAGPRSDMPPFVQIV >DRNTG_20907.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25334318:25336102:1 gene:DRNTG_20907 transcript:DRNTG_20907.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSDLQRLDLLLKLSSLRVLDLSASGITELPECINKLIHLKYLDLSRTLIKALPSQVGDLYYLQTMRLIACPITELPFTVNKLINLRTLDGKSELLSTMSRIRNMISLLELPEFKVQHQDGHKLEELKRMNELRGELHISGLENAQDGIEAREAMLSSKQYLNTLELEWDAVVAAARNGALQQEVLQQLEPHSNLKVLKIIGNNGMEFPSWLAGQHLCNLEEIILVGCRNWNSLPPFGGLSYLRVLEIRDMQGVKKLHDEFWGDKDFPYLEKLQISNLSNWDECQLSQGTLQLFPSLKLLEITDCPKLKAWPVLPLTLSEVTLSSVGLTALPPQPLSSSSSSSSKLSRLCILNCPNLTTLEELSQHQPSSLKMLDIIGCEKLESLTSQGSSALHSLDTLKVDNCPGLTSLPTTTNGGPSSSNAICNQPLLFQIYNLNSLTFLSISNCNNLEFLSGEGLKHLKALQTLSINGCSTLKTVGTQSLSCIINLVIKNCNELKHLTDNNDPFQRFIPVRSITIERCQELESLPLGMQCLASLEELWLDRCRKMNSLPDQPPSLKKIDIKGCPDLMKYHNGSTDLRSIVSNANVLVTIE >DRNTG_03318.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15407792:15414984:1 gene:DRNTG_03318 transcript:DRNTG_03318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLESGFLFILLFNLLSWFQLPFLVVSSSSYEGHWYDYSAYTECKSYPEDPLYNGGILKDNVEGNFGNHLMETISYSPAFLLENLTATTRYTFSCWVKINAINYSSSLVKARLSIDDSKLECIGTVMARSDCWSFLKGGFVLDSPSKASLLFFESVEENLTDIMISSASLQPFTIEQWGMHQQNNIRLKRKRMVAVHLSDEKGNQISGASILIQQLSKDFPFGSAIAKTIIGNSAYQDWFIKRFNAAVFENELKWYVTEKEQGNLNYSLADQMLEFVRGNQILVRGHNIFWEDPQYTPKWVLDLNGEELKSVVDSRIQSLLSRYKGEFVHWDVNNEMLHFDFYEQRLGSDASLNFFQTAQQEDPLATLFMNDFNVVETCDDKNSSVDSYILRLRELMEGGAILDGIGLEGHFTRPNIPFMRAVIDKLATLNLPIWLTEIDISKKMDQQTQATYLEEVLREGFSHPSINGIMLWTALHPNGCYQMCLTDNNFKNLPTGDVVDKLLQEWQTNQTGGVTNEHGLYSFNGFLGEYKISVSFGNKSTVTTFSLPQGEETKHL >DRNTG_01897.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20987195:20987608:-1 gene:DRNTG_01897 transcript:DRNTG_01897.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKWKKLAVAPKSNSKKSIKFLKKTLSFSDTSSASSEMSGGHDHVPKGFLAVCVGEEMRRFVIPTEYLSHRAFELLLREAEEEFGFQQEGVLRIPCEVSFFETILKVVEKNKKGFCCCSLEAELANANHSPKPIYR >DRNTG_09926.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17769037:17770938:-1 gene:DRNTG_09926 transcript:DRNTG_09926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTGIWIMILDVGLFSHIPLLTLLLWRGEERIHVLGWIYLICLTITSAVLPLSTIWQVIKTRSVNRMPFYDPLLTTVGAALWLAYGLLMKNIKIAVPNALSLMFGVIQMAVYVVYKHAAIEDQGDSLQLPECLAGACEVKIENGAGCQNHLNM >DRNTG_08442.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21361272:21363154:-1 gene:DRNTG_08442 transcript:DRNTG_08442.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHYYYYEACPYLKFGHFTANQAILEAFDGHDRVHVIDFSLMHGLQWPALIQALALRPGGSPSLRLTGIGPPSLDGRDSLREIGIRLAELARSVGVPFAFRGVAARKLDDVQPWMLNVVAGEAVAVNSVMQLHRLLGDEGAAPAPIDSVLGWIHELRPEIVTVVEQDANHNKPGFADRFTEALFYYSTVFDSLEASRCGGAVVAAAEEYLKREIRDVVCCAGSERHEPLEQWRARLGRAGFRAVNLGPNAIKQARMLLSLFSGEGYCVEEKGECLSLGWHGRPLVAASAWRAAEINNGPVRTVEHGTSVSNTYNSSESSSSSYQEYMH >DRNTG_08442.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21343728:21363154:-1 gene:DRNTG_08442 transcript:DRNTG_08442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNPFGLTVNGGASEDKSSEMEIQNPSDISAWVDSMLSDLPSADTWTDQIFPPVKRPEEAAEGDGIRLVHLLMSAAEAVELGDAGHAGTLIEEMRRLLTSVGTGFGIGKVAAHFIEARQPLPPHHHHHHQHHHVKPFPLRNNVGSSYKGRVVESPESDMRSAGERSESTGEGEEEEVERQEREDEDEEGDADVEVHEKSVAENALVLVNRGLQETSGLKL >DRNTG_06149.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25432284:25434932:1 gene:DRNTG_06149 transcript:DRNTG_06149.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIASTEPILSFVWNRIAVVHISRSGVALADSAMILANLALDNDRYKKIIIEEEGARPLVSLLKDGPSVESQIAAATALANLAFDGESVAVLLRNLVVPAIVQVLFDSPTRLQTLAAQLISKMAYHSPEAQEEFARENAIRPLVSLLSFEVPLDEPKPAAKKPTSIHSVVQINRDLGKGLNPWFFPFRQRKQQGWFYPRQPSQSHERTGERASGGDA >DRNTG_06149.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25438065:25439661:1 gene:DRNTG_06149 transcript:DRNTG_06149.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPSPLSPPPLPLMSSAPSPSPSLRSSHFPSFAPITTRLRPAAAFATLMTCSSLIAVSFHCFPGSLGKHFFKKKKIPLPLELSRKSWPEQLRQCLGSTLLYLRSGTCSGLKVGRVSQDRDQIVDNVLAAIDGAVQLVPKKWSNVRSLHLKSVESVALPIYQTLPELGLKIEPLQKEEERKQEQKEDDAADEVVLQTPKLSQSSKKKTKRRGRIHEGLLP >DRNTG_06149.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25432284:25439661:1 gene:DRNTG_06149 transcript:DRNTG_06149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPSPLSPPPLPLMSSAPSPSPSLRSSHFPSFAPITTRLRPAAAFATLMTCSSLIAVSFHCFPGSLGKHFFKKKKIPLPLELSRKSWPEQLRQCLGSTLLYLRSGTCSGLKVGRVSQDRDQIVDNVLAAIDGAVQLVPKKWSNVRSLHLKSVESVALPIYQTLPELGLKIEPLQKEEERKQEQKEDDAADEVVLQTPKLSQSSKKKTKRRGRIHEGLLP >DRNTG_02853.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20800154:20807494:-1 gene:DRNTG_02853 transcript:DRNTG_02853.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSETSDAIKAPKTVVWSSGWGHTTRSHLHALIDGKLMVLDSVIDVFVLMLLDSLKKFPHEFNRPATICRPMVLALSQQEHSVGGLDKMMSPALEDYVRVKLVLMPIALKNYHLIVLNNNEKEYFHYLSVCSSVYNQAANAMIVCRKNSISMRWHHTLSQVREYPQRRKDNVDCLVYLMRFMEQLLHGEEFSVPHSDVAHLRLEYAVCILSDGITRNTPQLALPTEVLETTTDARKKMDDEPSQSHQETDQQPKTAPPIPKNNEEVEPNGDSTESSNA >DRNTG_28146.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19701201:19702425:-1 gene:DRNTG_28146 transcript:DRNTG_28146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLRDGDRGMQSLNFQGLGVSPWVQPRIDASMLGLQPDMYQAMAAAALQEIRTVDPLKQPGPAMLQFQPQNMTNRSTPLLPSQMFQQMQSQPQHSLLQAVQESSGQSQTQSQLLQRQLQHCHSFNDQQQPQPQVQLSPRHQHQHQQQQHQHQQVQLQPQQHQQMQHHQMADQQIPNVMPTLSHIASSSQSQSPTFQSMSSFCQKQNFPEINANPVPTSGVSPLHSILRSFPAEETSNLLGLPRASSLLNSNGWSSKRLAVEATLPAGAQCVSSQVEQLGLPQPNVSQNSGSLPPFPGRDCLVEQECSTDPQSHQLFGVNIDSSSLLVQNGLSNLRSVGSETDSTSLPYATANFLNTTGSDFPFNQALTSTGCLEESGFLPSPDNVDQVDAQNGTFVK >DRNTG_05917.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5104432:5107253:-1 gene:DRNTG_05917 transcript:DRNTG_05917.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTAVAGWRSAAFTASQWVELEHQALIYKYLMAGVPVPPDLLLPIRRSFFHFSALGYPSCYGMKLDPEPGRCRRTDGKKWRCSKDAHPDSKYCERHMHRSRNRSRKPVETQAISKSQSSSSTVTSLSPTVSAGNGRGSGSFQSFPLHSVSGRNNPQTSRMESNAPLLHMDSASFGFDDKEFRYVHGGKSDANVDGHNVLTGESASDKGLLGGELDRWWSSFPSSKSSYHPELQLQAANNLGQTDIMSSLTMQQHSLLGSEYAGYGSISSEQEKHESGQQVLRPLFDEWPKTRDHHPWSLGFSGDDHSNRTTSSFSATQLSMSIPMPSADFSTTACSSSPNA >DRNTG_05917.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5104317:5107253:-1 gene:DRNTG_05917 transcript:DRNTG_05917.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTAVAGWRSAAFTASQWVELEHQALIYKYLMAGVPVPPDLLLPIRRSFFHFSALGYPSCYGMKLDPEPGRCRRTDGKKWRCSKDAHPDSKYCERHMHRSRNRSRKPVETQAISKSQSSSSTVTSLSPTVSAGNGRGSGSFQSFPLHSVSGRNNPQTSRMESNAPLLHMDSASFGFDDKEFRYVHGGKSDANVDGHNVLTGESASDKGLLGGELDRWWSSFPSSKSSYHPELQLQAANNLGQTDIMSSLTMQQHSLLGSEYAGYGSISSEQEKHESGQQVLRPLFDEWPKTRDHHPWSLGFSGDDHSNRTTSSFSATQLSMSIPMPSADFSTTACSSSPNA >DRNTG_05917.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5104317:5107287:-1 gene:DRNTG_05917 transcript:DRNTG_05917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTAVAGWRSAAFTASQWVELEHQALIYKYLMAGVPVPPDLLLPIRRSFFHFSALGYPSCYGMKLDPEPGRCRRTDGKKWRCSKDAHPDSKYCERHMHRSRNRSRKPVETQAISKSQSSSSTVTSLSPTVSAGNGRGSGSFQSFPLHSVSGRNNPQTSRMESNAPLLHMDSASFGFDDKEFRYVHGGKSDANVDGHNVLTGESASDKGLLGGELDRWWSSFPSSKSSYHPELQLQAANNLGQTDIMSSLTMQQHSLLGSEYAGYGSISSEQEKHESGQQVLRPLFDEWPKTRDHHPWSLGFSGDDHSNRTTSSFSATQLSMSIPMPSADFSTTACSSSPNA >DRNTG_05917.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5104317:5107253:-1 gene:DRNTG_05917 transcript:DRNTG_05917.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTAVAGWRSAAFTASQWVELEHQALIYKYLMAGVPVPPDLLLPIRRSFFHFSALGYPSCYGMKLDPEPGRCRRTDGKKWRCSKDAHPDSKYCERHMHRSRNRSRKPVETQAISKSQSSSSTVTSLSPTVSAGNGRGSGSFQSFPLHSVSGRNNPQTSRMESNAPLLHMDSASFGFDDKEFRFLFIVFSLNFNAFSFDNYLECRNAQ >DRNTG_05917.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5104432:5107253:-1 gene:DRNTG_05917 transcript:DRNTG_05917.8 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTAVAGWRSAAFTASQWVELEHQALIYKYLMAGVPVPPDLLLPIRRSFFHFSALGYPSCYGMKLDPEPGRCRRTDGKKWRCSKDAHPDSKYCERHMHRSRNRSRKPVETQAISKSQSSSSTVTSLSPTVSAGNGRGSGSFQSFPLHSVSGRNNPQTSRMESNAPLLHMDSASFGFDDKEFRFLFIVFSLNFNAFSFDNYLECRNAQ >DRNTG_05917.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5104432:5107253:-1 gene:DRNTG_05917 transcript:DRNTG_05917.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDPEPGRCRRTDGKKWRCSKDAHPDSKYCERHMHRSRNRSRKPVETQAISKSQSSSSTVTSLSPTVSAGNGRGSGSFQSFPLHSVSGRNNPQTSRMESNAPLLHMDSASFGFDDKEFRFLFIVFSLNFNAFSFDNYLECRNAQ >DRNTG_05917.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5104432:5107253:-1 gene:DRNTG_05917 transcript:DRNTG_05917.9 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDPEPGRCRRTDGKKWRCSKDAHPDSKYCERHMHRSRNRSRKPVETQAISKSQSSSSTVTSLSPTVSAGNGRGSGSFQSFPLHSVSGRNNPQTSRMESNAPLLHMDSASFGFDDKEFRYVHGGKSDANVDGHNVLTGESASDKGLLGGELDRWWSSFPSSKSSYHPELQLQAANNLGQTDIMSSLTMQQHSLLGSEYAGYGSISSEQEKHESGQQVLRPLFDEWPKTRDHHPWSLGFSGDDHSNRTTSSFSATQLSMSIPMPSADFSTTACSSSPNA >DRNTG_05917.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5104317:5107253:-1 gene:DRNTG_05917 transcript:DRNTG_05917.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDPEPGRCRRTDGKKWRCSKDAHPDSKYCERHMHRSRNRSRKPVETQAISKSQSSSSTVTSLSPTVSAGNGRGSGSFQSFPLHSVSGRNNPQTSRMESNAPLLHMDSASFGFDDKEFRYVHGGKSDANVDGHNVLTGESASDKGLLGGELDRWWSSFPSSKSSYHPELQLQAANNLGQTDIMSSLTMQQHSLLGSEYAGYGSISSEQEKHESGQQVLRPLFDEWPKTRDHHPWSLGFSGDDHSNRTTSSFSATQLSMSIPMPSADFSTTACSSSPNA >DRNTG_05917.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5104432:5107287:-1 gene:DRNTG_05917 transcript:DRNTG_05917.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTAVAGWRSAAFTASQWVELEHQALIYKYLMAGVPVPPDLLLPIRRSFFHFSALGYPSCYGMKLDPEPGRCRRTDGKKWRCSKDAHPDSKYCERHMHRSRNRSRKPVETQAISKSQSSSSTVTSLSPTVSAGNGRGSGSFQSFPLHSVSGRNNPQTSRMESNAPLLHMDSASFGFDDKEFRYVHGGKSDANVDGHNVLTGESASDKGLLGGELDRWWSSFPSSKSSYHPELQLQAANNLGQTDIMSSLTMQQHSLLGSEYAGYGSISSEQEKHESGQQVLRPLFDEWPKTRDHHPWSLGFSGDDHSNRTTSSFSATQLSMSIPMPSADFSTTACSSSPNA >DRNTG_10744.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26147332:26150116:1 gene:DRNTG_10744 transcript:DRNTG_10744.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERFFSSAAMNWQRSEAMAAVEMNCGGGEELPAFLNINWDQSVEQSATAQLESALSSIVSSPSSSHPPPVAAESVVIRELIGRLGSICNSGEISPPSHLNTSNCSHTPLSSPPKLNLSMAEGRGGVPIPGNLFPPANFPPFAADPGFAERAARYSCFSGRNYATLPGSQFGIPETGKLSRVPSSQSLKVDVSPLEVEPRSKLTGRVSGPPTPMETELKSSQEASSVSGESNSRKRKAASKGKGKETPLSSKVAEEEDGSAKRCKLGEINRSAEKDGDVQLKTEQNDTTSNGNDNGDQKQGKESNAKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNDMHQNRGPLQHSFYPIESVPGAFSYAYQPQQEAPLQSVVTNNALETHCSMNPLDSGLRRSLSMQPPPSDGFADAASQLCNFWEDDLQSVVQMGFGQNQEDAFSSQNFHGSLATSHMKIEL >DRNTG_17038.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10829128:10829753:1 gene:DRNTG_17038 transcript:DRNTG_17038.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLIEEIPVILCKLERIFPSAFFDSMEHLPIHLPYEAKIPGPVQYRWMYPFERFLRHLKKKVTNKAKVEGSICNAYLVEEASTFCSYYFEPHVNTKLRKVPRNDDGGKVETSEGLLSIFSFPGRPYGQAKSRRLTDKEYHQAQTYVLLNCDGVQQYVKYASLLQFS >DRNTG_15921.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:935099:936482:1 gene:DRNTG_15921 transcript:DRNTG_15921.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGAASDGSCYYSRLGIRRSASSAEIRAAYRKLALKWHPDKFAKNPTAAVEAKRRFQRIQEAYSVLSDEGKRSLYDAGVFDPFEDDDQDFSDFMKEMISMMDSVRSETPDSIEDLQRMLAEIIDGGTSSGARRGPSDGAKRTRGSSWGRR >DRNTG_11190.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2084821:2095901:-1 gene:DRNTG_11190 transcript:DRNTG_11190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRSAGSLLFTRVQADQRAGRAGRTRPGKCYRLYPSTVYHEEFLEATIPEIQRSSLAGSVLYLKSLDLPDIDILEFDFLDPPSRESLEDALRQLYLIDAIDESGTIIDFGQTMAELPLEPSLSRTLIEANELGCLSQALTIAAMLSSETALRSNQSKGNDRKRKQPSELPDGGGWGDHIQLLQVYEAWDQVDYDPRWCTDNDLQVRTMVFAKDVRKQLSQIIQKIAKGPCDVESGIRQKRSDKDYRNLRRALCKGYGNQLAERMLHHNGYRTLGYRSQLVQVHPSSVLESDENGCLPDYVVYHELINISRPFMRNVCAVEMAWAMPILRKLEKMDVNKLRGGSYDLKVETKSVENEVIQKQSPEETTKKLAEDVDSKIQAARERYLARKAKK >DRNTG_11190.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2084821:2095901:-1 gene:DRNTG_11190 transcript:DRNTG_11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPIVEYEDKIAETVEKNPVVVIIGETGSGKSTQLSQILHRRGYSRSGMIAVTQPRRVAAVSVSRRVAMELGVQLGEEVGYAIRFEDRTSDKTRIKYLTDGCLLRESLSNPELNQYSVIILDEAHERSLNTDILLGLMKRLVKLRSSNLKILITSATLDGVKVSSFFSGCPILNVPGTLFPVEKFYSTERPTNYLESSLKTSLDIHVREPPGDILIFMTGQDDIGKMVSKLEERIQKLDEGSCMDALVLPLHGSLPPEMQVRVFSPAPPNCRRFIVATNIAETSLTVDGVVYVIDSGYVKQRQYNPSTGMYSLDVVQISRVQADQRAGRAGRTRPGKCYRLYPSTVYHEEFLEATIPEIQRSSLAGSVLYLKSLDLPDIDILEFDFLDPPSRESLEDALRQLYLIDAIDESGTIIDFGQTMAELPLEPSLSRTLIEANELGCLSQALTIAAMLSSETALRSNQSKGNDRKRKQPSELPDGGGWGDHIQLLQVYEAWDQVDYDPRWCTDNDLQVRTMVFAKDVRKQLSQIIQKIAKGPCDVESGIRQKRSDKDYRNLRRALCKGYGNQLAERMLHHNGYRTLGYRSQLVQVHPSSVLESDENGCLPDYVVYHELINISRPFMRNVCAVEMAWAMPILRKLEKMDVNKLRGGSYDLKVETKSVENEVIQKQSPEETTKKLAEDVDSKIQAARERYLARKAKK >DRNTG_11190.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2084821:2089482:-1 gene:DRNTG_11190 transcript:DRNTG_11190.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPLEPSLSRTLIEANELGCLSQALTIAAMLSSETALRSNQSKGNDRKRKQPSELPDGGGWGDHIQLLQVYEAWDQVDYDPRWCTDNDLQVRTMVFAKDVRKQLSQIIQKIAKGPCDVESGIRQKRSDKDYRNLRRALCKGYGNQLAERMLHHNGYRTLGYRSQLVQVHPSSVLESDENGCLPDYVVYHELINISRPFMRNVCAVEMAWAMPILRKLEKMDVNKLRGGSYDLKVETKSVENEVIQKQSPEETTKKLAEDVDSKIQAARERYLARKAKK >DRNTG_11190.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2084821:2095901:-1 gene:DRNTG_11190 transcript:DRNTG_11190.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRSAGSLLFTRVQADQRAGRAGRTRPGKCYRLYPSTVYHEEFLEATIPEIQRSSLAGSVLYLKSLDLPDIDILEFDFLDPPSRESLEDALRQLYLIDAIDESGTIIDFGQTMAELPLEPSLSRTLIEANELGCLSQALTIAAMLSSETALRSNQSKGNDRKRKQPSELPDGGGWGDHIQLLQVYEAWDQVDYDPRWCTDNDLQVRTMVFAKDVRKQLSQIIQKIAKGPCDVESGIRQKRSDKDYRNLRRALCKGYGNQLAERMLHHNGYRTLGYRSQLVQVHPSSVLESDENGCLPDYVVYHELINISRPFMRNVCAVEMAWAMPILRKLEKMDVNKLRGGSYDLKVETKSVENEVIQKQSPEETTKKLAEDVDSKIQAARERYLARKAKK >DRNTG_11190.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2093891:2095901:-1 gene:DRNTG_11190 transcript:DRNTG_11190.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPIVEYEDKIAETVEKNPVVVIIGETGSGKSTQLSQILHRRGYSRSGMIAVTQPRRVAAVSVSRRVAMELGVQLGEEVGYAIRFEDRTSDKTRIK >DRNTG_11190.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2084821:2095901:-1 gene:DRNTG_11190 transcript:DRNTG_11190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLVKLRSSNLKILITSATLDGVKVSSFFSGCPILNVPGTLFPVEKFYSTERPTNYLESSLKTSLDIHVREPPGDILIFMTGQDDIGKMVSKLEERIQKLDEGSCMDALVLPLHGSLPPEMQVRVFSPAPPNCRRFIVATNIAETSLTVDGVVYVIDSGYVKQRQYNPSTGMYSLDVVQISRVQADQRAGRAGRTRPGKCYRLYPSTVYHEEFLEATIPEIQRSSLAGSVLYLKSLDLPDIDILEFDFLDPPSRESLEDALRQLYLIDAIDESGTIIDFGQTMAELPLEPSLSRTLIEANELGCLSQALTIAAMLSSETALRSNQSKGNDRKRKQPSELPDGGGWGDHIQLLQVYEAWDQVDYDPRWCTDNDLQVRTMVFAKDVRKQLSQIIQKIAKGPCDVESGIRQKRSDKDYRNLRRALCKGYGNQLAERMLHHNGYRTLGYRSQLVQVHPSSVLESDENGCLPDYVVYHELINISRPFMRNVCAVEMAWAMPILRKLEKMDVNKLRGGSYDLKVETKSVENEVIQKQSPEETTKKLAEDVDSKIQAARERYLARKAKK >DRNTG_11190.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2084821:2095901:-1 gene:DRNTG_11190 transcript:DRNTG_11190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRSAGSLLFTRVQADQRAGRAGRTRPGKCYRLYPSTVYHEEFLEATIPEIQRSSLAGSVLYLKSLDLPDIDILEFDFLDPPSRESLEDALRQLYLIDAIDESGTIIDFGQTMAELPLEPSLSRTLIEANELGCLSQALTIAAMLSSETALRSNQSKGNDRKRKQPSELPDGGGWGDHIQLLQVYEAWDQVDYDPRWCTDNDLQVRTMVFAKDVRKQLSQIIQKIAKGPCDVESGIRQKRSDKDYRNLRRALCKGYGNQLAERMLHHNGYRTLGYRSQLVQVHPSSVLESDENGCLPDYVVYHELINISRPFMRNVCAVEMAWAMPILRKLEKMDVNKLRGGSYDLKVETKSVENEVIQKQSPEETTKKLAEDVDSKIQAARERYLARKAKK >DRNTG_12942.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5100429:5101838:-1 gene:DRNTG_12942 transcript:DRNTG_12942.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTVPNGNWPLPPGSQKPFIEMLSDLSNFFGRTSGAIDQSSRSLNTLLEAIHDLCRVAAFLRAELANSCSWIQLFIKKYWINRLIKCKELSGLSSDRVMKYIEGPKDTNGSWNVVWSEQQKQPAEKDRQAQ >DRNTG_34223.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1356734:1365028:1 gene:DRNTG_34223 transcript:DRNTG_34223.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVKEDTIVIVLRKHEGGRIFIKTEVRGYDEGSRFSIVFRLGSPHGPIRIENRTLGSKIGIRQAGLDDGAWVYLDPHSTVNFSWEDPYGQRLMDICIQSEINTYVPNVSLEKTTECCTILQGHGIRYDVIESGDMKIARFTEGRSVLYGNPELLASNWGISPLPNEAQSNNSPLELVIELGILGISLIDHRPRELLYLYLEKVFVSYSTGYDAGLTNRFKLIIGRLQVDNQLPLTPVPVLLAPEDIPDINHPVFKATVTMNKENIDGIEVYPYVYIRVTEKCWRVDIHEPIIWALVDFYNNLRLDGTSSSSSITQVDPEIRFELIDISEVRLKLSLETEPTHRPPGALGIWSPVLSAVGNALKIQVHLRKVMHRSKFMRKSTIAPAILNRIRRDLIHNPLHLIFSVDVLGMTKSTLSSLSKGFAELSTDGQFLQLRSKQVWSRRITGVSDGILQGTEALAQGVAFGVSGVVRKPIESTRQYGPLGLAHGLGRAFLGIFVQPLSGALDFVSLTVDGVGASFSRCMEILSNRTIAQRIRNPRAFHADGIVREYSEKEAVGQMILYLAEASRHIGCSDLFKEPSKYAWSDSYEDHFIVPYQRTVLITNKRVMLLQCTAPEKLDRKPSKILWDVPWEEILALELAKAGCPEPSHVIIHLKNFRRSESFVRLIKCLIMEDGEQEPQAVRICSSVRKMWRAHQSRKLLNLKVPSSQRYVEFAADETKVLDSSNKNKPIIKLRGFSSVNSLSDDKRFVKHSVNFQKVWSSEHESKSQCTLFLKQVAGDDILCSIWRPLCPIGYVSVGDVAHVGAHQPNVAAVYLASAGSFSVPVGYDLVWRNCPEDYSYPVSIWLPRAPDGFVAVGCVAVAGYAEPELDSAYCVSADMAEETSFEERRVWTAPDSYPWACHIYQVRSEALHFVALRQQKEDSDWKPMRVLDRQSLEASSEFPRQSNVDNNAEKGSTSASLPHESQSKDENKTQ >DRNTG_16007.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17626736:17631074:-1 gene:DRNTG_16007 transcript:DRNTG_16007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRVQSGWEMASRSQWPLLALALIALVFPFSALSSVDLVDHGCYWTESCQSKWFGGCGAGHVIADQSGDCKGLCREPTYAPCLPFHTYFHCCKPERPRATDRCSSCDNKIDFGDEYICCTDCSEPYLIDNKSKLGYCKTGAELAMQLKPQEIFKWIAGPWMKCSSPCDGGIRYRDVGCFGSMEDMSIKHYAVDDSRCSVEDMPARQESCNLMSCGDFSNNDLDDNEHSGMTKWLVAFFVLVGLVAVGGLGFAGYTYYQRKTSTPSGFVYIMLEGYS >DRNTG_18271.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1869754:1873009:1 gene:DRNTG_18271 transcript:DRNTG_18271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQFAGEMPVIHRSLLLDDNLKGVVLAVVSSAFIGASFIFKKKGLKRAGSSGARAGVGGYSYLLQPLWWIGMITMIIGEVANFIAYIFAPAVLVTPLGALSIIVSAVLAHFILRERLQKMGVMGCILCIVGSTVIVLHAPAERTPSSVEQIWYLAVQPAFLLYTVAAIAVSLVLMMHCSPRCGQTNIMVYLSICSIIGSLTVMSIKAIGIAIKLTLEGINQAGYFQTWVFAMVAVSCIIIQLNYLNKALDTFNTAIVSPIYYAMFTTLTILASAIMFKDWSGQSASNIASEICGFVTVISGTTVLHSTRGQDQPPNADLYTPLSSKIFWHTQGNSELGKHKGDDLLSGDFVAVVRQDHFI >DRNTG_06825.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15604822:15605861:1 gene:DRNTG_06825 transcript:DRNTG_06825.1 gene_biotype:protein_coding transcript_biotype:protein_coding HVSPQPFLETSQPLHSMSGNIATNAMHSCTTA >DRNTG_24686.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19224012:19226665:1 gene:DRNTG_24686 transcript:DRNTG_24686.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKEASSSSYGLPSLPADEPSTGPLLAPHPSSLPPLSSQPKTFANVFIAIVGAGVLGLPYTFMRTGYLAGALMLIIIGALTYHCMMLIVHTRRRLDLDRGFSKIASFGDLGLAVAGPAGRLAVDSMIVLSQAGFCVGYLIFIANTLAHLYPFSPILGVLKPKVVSILSMLPFQLGLNSIKTLTLLAPLSIFADVVDIGAMGVVIVEDLLTYFHDRPDLVAFNGGISVVLYGLGVAVYAFEGIGMVLPLESEAADKPKFGRTLGLSMGLISIMYALFGAFGYFAFGDQTRDIITTNLGGGLISEIVQLGLCINLFFTFPIMMNPVFEVVERRAFGKNYCLWARWVLVLVVCLVALFVPNFADFLSLVGSSVCCVLGFVLPAAFHLKVFGEQMGWIGITSDIAIVVIGIVFAVSGTWSALLEIFFSQEQIGRL >DRNTG_24686.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19224012:19226380:1 gene:DRNTG_24686 transcript:DRNTG_24686.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKEASSSSYGLPSLPADEPSTGPLLAPHPSSLPPLSSQPKTFANVFIAIVGAGVLGLPYTFMRTGYLAGALMLIIIGALTYHCMMLIVHTRRRLDLDRGFSKIASFGDLGLAVAGPAGRLAVDSMIVLSQAGFCVGYLIFIANTLAHLYPFSPILGVLKPKVVSILSMLPFQLGLNSIKTLTLLAPLSIFADVVDIGAMGVVIVEDLLTYFHDRPDLVAFNGGISVVLYGLGVAVYAFEGIGMVLPLESEAADKPKFGRTLGLSMGLISIMYALFGAFGYFAFGDQTRDIITTNLGGGLISEIVQLGLCINLFFTFPIMMNPVFEVVERRAFGKNYCLWARWVLVLVVCLVALFVPNFADFLSLVGSSVCCVLGFVLPAAFHLKVFGEQMGWIGITSDIAIVVIGIVFAVSGTWSALLEIFFSQEQIGRL >DRNTG_24686.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19224012:19226241:1 gene:DRNTG_24686 transcript:DRNTG_24686.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKEASSSSYGLPSLPADEPSTGPLLAPHPSSLPPLSSQPKTFANVFIAIVGAGVLGLPYTFMRTGYLAGALMLIIIGALTYHCMMLIVHTRRRLDLDRGFSKIASFGDLGLAVAGPAGRLAVDSMIVLSQAGFCVGYLIFIANTLAHLYPFSPILGVLKPKVVSILSMLPFQLGLNSIKTLTLLAPLSIFADVVDIGAMGVVIVEDLLTYFHDRPDLVAFNGGISVVLYGLGVAVYAFEGIGMVLPLESEAADKPKFGRTLGLSMGLISIMYALFGAFGYFAFGDQTRDIITTNLGGGLISEIVQLGLCINLFFTFPIMMNPVFEVVERRAFGKNYCLWARWVLVLVVCLVALFVPNFADFLSLVGSSVCCVLGFVLPAAFHLKVFGEQMGWIGITSDIAIVVIGIVFAVSGTWSALLEIFFSQEQIGRL >DRNTG_24686.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19224191:19226241:1 gene:DRNTG_24686 transcript:DRNTG_24686.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKEASSSSYGLPSLPADEPSTGPLLAPHPSSLPPLSSQPKTFANVFIAIVGAGVLGLPYTFMRTGYLAGALMLIIIGALTYHCMMLIVHTRRRLDLDRGFSKIASFGDLGLAVAGPAGRLAVDSMIVLSQAGFCVGYLIFIANTLAHLYPFSPILGVLKPKVVSILSMLPFQLGLNSIKTLTLLAPLSIFADVVDIGAMGVVIVEDLLTYFHDRPDLVAFNGGISVVLYGLGVAVYAFEGIGMVLPLESEAADKPKFGRTLGLSMGLISIMYALFGAFGYFAFGDQTRDIITTNLGGGLISEIVQLGLCINLFFTFPIMMNPVFEVVERRAFGKNYCLWARWVLVLVVCLVALFVPNFADFLSLVGSSVCCVLGFVLPAAFHLKVFGEQMGWIGITSDIAIVVIGIVFAVSGTWSALLEIFFSQEQIGRL >DRNTG_24686.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19224191:19226665:1 gene:DRNTG_24686 transcript:DRNTG_24686.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKEASSSSYGLPSLPADEPSTGPLLAPHPSSLPPLSSQPKTFANVFIAIVGAGVLGLPYTFMRTGYLAGALMLIIIGALTYHCMMLIVHTRRRLDLDRGFSKIASFGDLGLAVAGPAGRLAVDSMIVLSQAGFCVGYLIFIANTLAHLYPFSPILGVLKPKVVSILSMLPFQLGLNSIKTLTLLAPLSIFADVVDIGAMGVVIVEDLLTYFHDRPDLVAFNGGISVVLYGLGVAVYAFEGIGMVLPLESEAADKPKFGRTLGLSMGLISIMYALFGAFGYFAFGDQTRDIITTNLGGGLISEIVQLGLCINLFFTFPIMMNPVFEVVERRAFGKNYCLWARWVLVLVVCLVALFVPNFADFLSLVGSSVCCVLGFVLPAAFHLKVFGEQMGWIGITSDIAIVVIGIVFAVSGTWSALLEIFFSQEQIGRL >DRNTG_24686.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19224191:19226028:1 gene:DRNTG_24686 transcript:DRNTG_24686.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKEASSSSYGLPSLPADEPSTGPLLAPHPSSLPPLSSQPKTFANVFIAIVGAGVLGLPYTFMRTGYLAGALMLIIIGALTYHCMMLIVHTRRRLDLDRGFSKIASFGDLGLAVAGPAGRLAVDSMIVLSQAGFCVGYLIFIANTLAHLYPFSPILGVLKPKVVSILSMLPFQLGLNSIKTLTLLAPLSIFADVVDIGAMGVVIVEDLLTYFHDRPDLVAFNGGISVVLYGLGVAVYAFEGIGMVLPLESEAADKPKFGRTLGLSMGLISIMYALFGAFGYFAFGDQTRDIITTNLGGGLISEIVQLGLCINLFFTFPIMMNPVFEVVERRAFGKNYCLWARWVLVLVVCLVALFVPNFADFLSLVGSSVCCVLGFVLPAAFHLKVFGEQMGWIGITSDIAIVVIGIVFAVSGTWSALLEIFFSQEQIGRL >DRNTG_24686.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19224012:19226028:1 gene:DRNTG_24686 transcript:DRNTG_24686.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKEASSSSYGLPSLPADEPSTGPLLAPHPSSLPPLSSQPKTFANVFIAIVGAGVLGLPYTFMRTGYLAGALMLIIIGALTYHCMMLIVHTRRRLDLDRGFSKIASFGDLGLAVAGPAGRLAVDSMIVLSQAGFCVGYLIFIANTLAHLYPFSPILGVLKPKVVSILSMLPFQLGLNSIKTLTLLAPLSIFADVVDIGAMGVVIVEDLLTYFHDRPDLVAFNGGISVVLYGLGVAVYAFEGIGMVLPLESEAADKPKFGRTLGLSMGLISIMYALFGAFGYFAFGDQTRDIITTNLGGGLISEIVQLGLCINLFFTFPIMMNPVFEVVERRAFGKNYCLWARWVLVLVVCLVALFVPNFADFLSLVGSSVCCVLGFVLPAAFHLKVFGEQMGWIGITSDIAIVVIGIVFAVSGTWSALLEIFFSQEQIGRL >DRNTG_24686.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19224191:19226136:1 gene:DRNTG_24686 transcript:DRNTG_24686.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKEASSSSYGLPSLPADEPSTGPLLAPHPSSLPPLSSQPKTFANVFIAIVGAGVLGLPYTFMRTGYLAGALMLIIIGALTYHCMMLIVHTRRRLDLDRGFSKIASFGDLGLAVAGPAGRLAVDSMIVLSQAGFCVGYLIFIANTLAHLYPFSPILGVLKPKVVSILSMLPFQLGLNSIKTLTLLAPLSIFADVVDIGAMGVVIVEDLLTYFHDRPDLVAFNGGISVVLYGLGVAVYAFEGIGMVLPLESEAADKPKFGRTLGLSMGLISIMYALFGAFGYFAFGDQTRDIITTNLGGGLISEIVQLGLCINLFFTFPIMMNPVFEVVERRAFGKNYCLWARWVLVLVVCLVALFVPNFADFLSLVGSSVCCVLGFVLPAAFHLKVFGEQMGWIGITSDIAIVVIGIVFAVSGTWSALLEIFFSQEQIGRL >DRNTG_24686.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19224191:19226380:1 gene:DRNTG_24686 transcript:DRNTG_24686.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKEASSSSYGLPSLPADEPSTGPLLAPHPSSLPPLSSQPKTFANVFIAIVGAGVLGLPYTFMRTGYLAGALMLIIIGALTYHCMMLIVHTRRRLDLDRGFSKIASFGDLGLAVAGPAGRLAVDSMIVLSQAGFCVGYLIFIANTLAHLYPFSPILGVLKPKVVSILSMLPFQLGLNSIKTLTLLAPLSIFADVVDIGAMGVVIVEDLLTYFHDRPDLVAFNGGISVVLYGLGVAVYAFEGIGMVLPLESEAADKPKFGRTLGLSMGLISIMYALFGAFGYFAFGDQTRDIITTNLGGGLISEIVQLGLCINLFFTFPIMMNPVFEVVERRAFGKNYCLWARWVLVLVVCLVALFVPNFADFLSLVGSSVCCVLGFVLPAAFHLKVFGEQMGWIGITSDIAIVVIGIVFAVSGTWSALLEIFFSQEQIGRL >DRNTG_24686.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19224012:19226136:1 gene:DRNTG_24686 transcript:DRNTG_24686.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKEASSSSYGLPSLPADEPSTGPLLAPHPSSLPPLSSQPKTFANVFIAIVGAGVLGLPYTFMRTGYLAGALMLIIIGALTYHCMMLIVHTRRRLDLDRGFSKIASFGDLGLAVAGPAGRLAVDSMIVLSQAGFCVGYLIFIANTLAHLYPFSPILGVLKPKVVSILSMLPFQLGLNSIKTLTLLAPLSIFADVVDIGAMGVVIVEDLLTYFHDRPDLVAFNGGISVVLYGLGVAVYAFEGIGMVLPLESEAADKPKFGRTLGLSMGLISIMYALFGAFGYFAFGDQTRDIITTNLGGGLISEIVQLGLCINLFFTFPIMMNPVFEVVERRAFGKNYCLWARWVLVLVVCLVALFVPNFADFLSLVGSSVCCVLGFVLPAAFHLKVFGEQMGWIGITSDIAIVVIGIVFAVSGTWSALLEIFFSQEQIGRL >DRNTG_24488.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18913836:18915400:-1 gene:DRNTG_24488 transcript:DRNTG_24488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTTLHTVFLFLFFFILISLPPSSSAKLPFSKIFAFGDSYTDTGNTHSRTGPYSYGYVSNLPYGTTFFHHSTNRYSDGRLVIDFIATNLSLPFL >DRNTG_00533.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30314210:30318482:-1 gene:DRNTG_00533 transcript:DRNTG_00533.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGNKRHVLGDLTNKRKRPPQIVLDKFENQYKFGRLGEMDAIGATSGSCSQVEKEILTKGKEKVGSMLANHRIAENVGRKFSLFFNSSKNHGPLFPQTMKENLSLDLSQSSKVSEDAPCSLTPELLPGRSGNAAHTGKSSYSCFSHGCLHDQHNKSEDSSPLSEDYSQKSEELQEDYETDNADFSKHAMKNLREDMKSFPLNHTDSDLSQMKVHGVVEDDDEDDDEDDELLTQDLLGKSFSRSCLRPSEFDAGNLAGLNDVHDTSNIDAVVKVQDNIGFENSCSCSFCLKAAYMWMDLHYQDARGRLSALKKSRRYAKSIDQRFPSHDFIAKLDQDNSDLSNKLEFDLMQHWRSLFVHTENILTVESTQIQASLLKLKDLKEKCRKDLEMVSVASDRK >DRNTG_30760.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28693542:28694079:-1 gene:DRNTG_30760 transcript:DRNTG_30760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLVSLSLARSAAEEEEEEEEEGEGDAKEEGKKKEDMVVVHEEKEDRDSEKVEEEEGGSIFNSLIANLPVSISDGTSAPEADEASLLINSVVHG >DRNTG_18681.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2074756:2075595:-1 gene:DRNTG_18681 transcript:DRNTG_18681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKVQLGCKVIYREKGVTTSTWVSSRLKRERSSRDVRHDLSSVYTDALNSGSTDTVLQIIREKINGAAQLEEDIIIHKEGFLRPTSLIIEGPSRIEKTSWARSLGKHNYICGHLDFNHDTFHQDVLYNVIDDVAPSYLRMKHWRELIGVQRDWKTNYKYDKPIRIKGGIPSIILYNPGHDSSYRDYMDKSENIALRDWILKNVEFEFLDNPLYTTDNNCDRDVLLFVT >DRNTG_25299.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19749546:19750702:-1 gene:DRNTG_25299 transcript:DRNTG_25299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCMKSDKRTLMLLDRCQHLLLTIAQIHHLHARLIVSGAISDPYAAAKLLAFYAISEDGDLAHSRAVFGALRRPTAFAWNTMIRAHADRKQPLDALYLCKHMLRSGFSPNNYTFSFVLRACVELSSLFDGRKFHTQILKRGWELYDFVLNGLIHMYASCGCLDSARRLFDLSTNKDVISWTAMVHGYAKSGRIDVARELFDRMPERNEVSWSSMITAYSQIGMFKEALEVFNEMQLASVKPNQAGIVGALSACGFLGALDQGKWIHVYVERNGMVLDRILGTALIDMYAKCGCIENALKVFDEMPERDVFAYTSMISGLSNHGHSEKAIELFDSMENESVRPNEVTFICVLSACGRMGLVDRGRQIFDSMNRVYGIEPRVEHYG >DRNTG_02419.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000114.1:20629:21034:-1 gene:DRNTG_02419 transcript:DRNTG_02419.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERRSIKVGDGRPPISPKTSSKTLAALRARVDFPRGRMEFPRGGA >DRNTG_08717.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4934909:4943247:-1 gene:DRNTG_08717 transcript:DRNTG_08717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPISPSSSQIPLPFPTPPSSSPCSCSGGGDLDYNDLIKIPYMDELDKRINMDDVRMRQKGEPECVQVVSPGLHVLSYVRLHTPWHKAQVLGESLKEMLRSNGDKEIAAKEMVEKLMGNRVKVEKDRLPNTGYSLITTFLDPPHLHPHLNPNLVLSNNFSPLPPLPPTPCPPPLQPLPSSLSGGVYIRNGPNLFLPPSGPHHLIDGDGMLHSLLLPSSSSSSPPLFSSRFILTNKHLLELRSNSPLFPSSLLSFHGLPGLLRFAVHLLRILTCQYNPLLGFGVANTSLLFFSNRAFALFESDLPYSFFISPDTGDTTTISRHDFNGQLHTSMTAHPKLDPISGDLLAFRYSLTPPFLTFFRFDNLGQKLSEVHIHSLRHPTVIHDFAITQRFAIFSDVQLVMKLPLTSPAVQFDPKKTPRLGLLPLDAIDDSEMLWFDVAGFNMMHSVNAWDEEDGAVVVLVGLNIVGDSMKYVVERMELLRSRLEMVRIYVNSGKVTRTVISPENLEFGSVNQEYVGRRNRYVYAGVAAPHPKISGVVKVDLEGGGVVGRREFGLECYAGEPCFVPAKKGEGGGEEDDGYLVTYVHDERKEESRFVVMDASSPELEVVTEVALPRRVPYGFHGIFITEAQLRSQRPVMV >DRNTG_12740.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25755386:25756054:1 gene:DRNTG_12740 transcript:DRNTG_12740.7 gene_biotype:protein_coding transcript_biotype:protein_coding SEAFRADDEDDDYSDDEELQSPIDAVDPFIFLVETVQGMTASNPSRFQHLVQTLDFSTQSHATGILQHAEQRRLEIEKEKLEKATTMTG >DRNTG_12740.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25750529:25756054:1 gene:DRNTG_12740 transcript:DRNTG_12740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNSLPDGFAESAPKLIQVVFQNCKGQVDQWVEPYLMITIARLRQTRKPYLKCLLIQVIAGALYYDASLTLTTLQKHNVATEVFNLWFQMLQVKSSGVHSNFRRKREKKVCCLGLTSILALSEAWLSEEAFGVVLKAIIELLVTYKDQVAESKKEPHDDDDDDDMDEAQTDEDENSKFDHEIERDGQDDGESIRFQNLAAKSEAFRADDEDDDYSDDEELQSPIDAVDPFIFLVETVQGMTASNPSRFQHLVQTLDFSTQSHATGILQHAEQRRLEIEKEKLEKATTMTG >DRNTG_12740.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25739587:25756054:1 gene:DRNTG_12740 transcript:DRNTG_12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLLQPQLDIILFEIIYPLICFNDNDAQLWDEDPHEYVRKCHDFKEDFFSPRGAAIGFLIELLRLRGKGNLEKLIQFITEIFRRYDEASIETKPYCQKDGALFVIGLLCGKLKQTEPYKSELEPMLVQHVFPEFASHLGHLRGKAAWVAGQYADIKFSDQNNFRKAFHCVVFGLNDPELPVRVDSVFALQFFIEACKELNEILPILPQLLDEIFKLMNDVENEDLVLCLETIFVKFGEEMAPYAVGLCQNLSSVCWRCLEKSKADGPGSLGALGCLRAISVILESINMLPHLFVQIEPILLPMLQKMLTNDCQDVFEEVLEIVSLLTAFSPIISLELWSLWPMMVEALRDWAMDFFGDALVPLNNYISRSSEHFLTCRGPDYQLSLWSILSSTMLDNSLPDGFAESAPKLIQVVFQNCKGQVDQWVEPYLMITIARLRQTRKPYLKCLLIQVIAGALYYDASLTLTTLQKHNVATEVFNLWFQMLQVKSSGVHSNFRRKREKKVCCLGLTSILALSEAWLSEEAFGVVLKAIIELLVTYKDQVAESKKEPHDDDDDDDMDEAQTDEDENSKFDHEIERDGQDDGESIRFQNLAAKSEAFRADDEDDDYSDDEELQSPIDAVDPFIFLVETVQGMTASNPSRFQHLVQTLDFSTQSHATGILQHAEQRRLEIEKEKLEKATTMTG >DRNTG_12740.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25739587:25744333:1 gene:DRNTG_12740 transcript:DRNTG_12740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGSLALIFRGAFSVNPQQRSAAEKSLSQFQCTPQHLVIVLKIIVGSDYDMTTRQLASIYFKNFVAKNWPSGTPGVLQKIPENDKGFVRDNILGFILQVPPLLRTQLVESLETIINADYPENWPRLLCGIKECLQLQSHQACGAFHVLRILSRKYE >DRNTG_12740.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25753786:25756054:1 gene:DRNTG_12740 transcript:DRNTG_12740.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAQTDEDENSKFDHEIERDGQDDGESIRFQNLAAKSEAFRADDEDDDYSDDEELQSPIDAVDPFIFLVETVQGMTASNPSRFQHLVQTLDFSTQSHATGILQHAEQRRLEIEKEKLEKATTMTG >DRNTG_12740.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25753311:25756054:1 gene:DRNTG_12740 transcript:DRNTG_12740.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVKSSGVHSNFRRKREKKVCCLGLTSILALSEAWLSEEAFGVVLKAIIELLVTYKDQVAESKKEPHDDDDDDDMDEAQTDEDENSKFDHEIERDGQDDGESIRFQNLAAKSEAFRADDEDDDYSDDEELQSPIDAVDPFIFLVETVQGMTASNPSRFQHLVQTLDFSTQSHATGILQHAEQRRLEIEKEKLEKATTMTG >DRNTG_12740.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25749775:25756054:1 gene:DRNTG_12740 transcript:DRNTG_12740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEALRDWAMDFFGDALVPLNNYISRSSEHFLTCRGPDYQLSLWSILSSTMLDNSLPDGFAESAPKLIQVVFQNCKGQVDQWVEPYLMITIARLRQTRKPYLKCLLIQVIAGALYYDASLTLTTLQKHNVATEVFNLWFQMLQVKSSGVHSNFRRKREKKVCCLGLTSILALSEAWLSEEAFGVVLKAIIELLVTYKDQVAESKKEPHDDDDDDDMDEAQTDEDENSKFDHEIERDGQDDGESIRFQNLAAKSEAFRADDEDDDYSDDEELQSPIDAVDPFIFLVETVQGMTASNPSRFQHLVQTLDFSTQSHATGILQHAEQRRLEIEKEKLEKATTMTG >DRNTG_03915.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:14823655:14831044:-1 gene:DRNTG_03915 transcript:DRNTG_03915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRWLVDRLSYWSSSDESVEPDWIHIRNPESPHGFSMGLRQGSECLVWHGKEVKKSVLHVTFGTTSIMSHEQGLLVWREITLMAKIKGSLKGLLAKEHEMEIGHPKNVTHVAHVGWDNASVHAPSWTFIGSTQHAWLLSPSSTFSPPPPPTTTIIPFSKFYLCTPPSSFPFDGHCYGLVGGGCSGAESGLRRPGLCSVSCCGFVCCWHCNVRPL >DRNTG_34783.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:13479457:13480328:-1 gene:DRNTG_34783 transcript:DRNTG_34783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSIRLTTCSTANYDFCIASARCRVTTVHLFNANRFVSNSTRSMSMRTSNTSSGHFLSLQ >DRNTG_10703.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13691528:13692738:1 gene:DRNTG_10703 transcript:DRNTG_10703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKNGALPLTSLNHISIVCASVQRSVDFYQNVLGFFPVRRPGSFDFDGAWLFNYGIGIHLLQAEDPQHQPKKTVINPRDEHISFQCESMTAVEKKLKEMEIDYVERQVEEGGINVDQLFFHDPDGFMIEICNCDNLPVIPLVTGEPIRGCKRVVSLQQQQQKVVQCHLSQNHVKEETCSLCM >DRNTG_10326.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3083908:3084285:-1 gene:DRNTG_10326 transcript:DRNTG_10326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKWQISAALGKRRISSDSDACTSSSSVADKGHFIVYTLEGKRFMVPLSYLESSVFKELFRMSEEEFGLPSDGPITLPCDSVFMEYLLSLLRRRVSKDVEKALFQSACSLGSVGHSQHVAVCSF >DRNTG_19055.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:7337043:7337745:-1 gene:DRNTG_19055 transcript:DRNTG_19055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLGSSTSSIPCSVSSGTGAEKKAAQRPAVLPRNEFARQRWVR >DRNTG_00695.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30020868:30023727:1 gene:DRNTG_00695 transcript:DRNTG_00695.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISRPAVHPVEAPPLTDAAENRPRVRMKDIQGMSGTAGGLALRLCQFAFALAALAVMVSTSDFTSVTAFCYLVAAAILQSLWSLSLAILDIYALLVKRCLRNPRVVCLFAIGDWITSSLTFAAACACAGITVLIGNDMNLCAENHCPSFETATAMAFISWFAVSPSFFLNFWVLASR >DRNTG_11184.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2065867:2066956:-1 gene:DRNTG_11184 transcript:DRNTG_11184.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKVSEKIDVYAFGVVLLELLSGRKPIITGSSNNQESLVIWAKNIMQEGKIKQLVDPSLGDEYDDDQVERTILAASLCIRRASRSRPRIGRVLKLLQGEDDAVSWARTQAGTLEEFDDSDDEVISPPSNLQSYIKLALLGVEDDSHSISSIEANTYFEDYLQGRWSRSSSFD >DRNTG_11184.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2065867:2068667:-1 gene:DRNTG_11184 transcript:DRNTG_11184.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEESSLALVPIKESSNNISLSTDNDLIDMRPGWPLLRGAIMNDMRTSMEKSKGSVVQWAMMLPSRCSVSAPPPHSDFDHMKTEQNALLICDADTNSSSSPVETHEEEVKFLAELECIREKYSSVYRLFTYNELKQATSDLSPEKLAGRGGSSRVYKGCLSDGRELAVKMLKPSEDALKEFTSEIEIITSLHHKNIISLFGFCFENNNLILVYDFLSRGSLEECLHGEQESKVVVSWVDRFKIATAIAEALDYLHGDCNGQPVIHRDVKSSNILLADDFEPQLSDFGLAKWASESTEPITCSDVAGTFGYLAPEYFMHGKVSEKIDVYAFGVVLLELLSGRKPIITGSSNNQESLVIWAKNIMQEGKIKQLVDPSLGDEYDDDQVERTILAASLCIRRASRSRPRIGRVLKLLQGEDDAVSWARTQAGTLEEFDDSDDEVISPPSNLQSYIKLALLGVEDDSHSISSIEANTYFEDYLQGRWSRSSSFD >DRNTG_26197.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29041088:29045013:-1 gene:DRNTG_26197 transcript:DRNTG_26197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPTSDETDASKTGSKPKICGHYLVKWKGLSYLHCTWVQEKEFLKAGKANPRLKTKLKSFSKQMDGMDNSEDDWIAIRPEWTTVDRIIASRKIGEERE >DRNTG_29200.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3141273:3143524:-1 gene:DRNTG_29200 transcript:DRNTG_29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGRAHEIGSAYEMGSRRAMMVCRVIAGRVKEAAAEEEEEMGGFDSLADDGANLEELFVGNPKAILPCFVVIYRVLG >DRNTG_18664.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15875545:15882136:-1 gene:DRNTG_18664 transcript:DRNTG_18664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNSRRRKRREKKKNKYRGVRQRPWGRWAAEIRDPWRAVRKWLGTFDTAEDAARAYDLAAIQFRGPRAKLNFPFPEQCNGNGVYDHTASASTSMSSCTCASGATSEEVCQYQHQYIHQYEQQKKQEDEMAVSFWDGLQDLVQLDETELF >DRNTG_16970.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:21008910:21009362:1 gene:DRNTG_16970 transcript:DRNTG_16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKVKYQSHHVFALLLMLVLDERVPVEVVIVMLKEPKGSVVTKQMMRLPLSLLEPQQSRFIVSPQYSQASQNDHGLKMVKTCAPAADPMLQEVPWSLSVSAEAREQAEKPLHHRHLPRLPLG >DRNTG_24887.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:305780:309206:-1 gene:DRNTG_24887 transcript:DRNTG_24887.8 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGVVALRRRLRQRPCKGWGWGCLGLKAKKKGKERVAGSVNNASSRASEALEKLTQQTREAVRGLESATAASGTGGAGGVGGLGKDEEGMVEEFVKQFEQLSESQDVNSLVETMMQQLLSKEILQDPMKEIGERYPKWLEDHKSGLSLEEYERYHHQYEIILKLNEVYEHDPNNYKMIVDLMQKMQQLGHPPDDIIQELAPAFDLSNLDGQLNPELPESASDCCIM >DRNTG_24887.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:305431:309206:-1 gene:DRNTG_24887 transcript:DRNTG_24887.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGVVALRRRLRQRPCKGWGWGCLGLKAKKKGKERVAGSVNNASSRASEALEKLTQQTREAVRGLESATAASGTGGAGGVGGLGKDEEGMVEEFVKQFEQLSESQDVNSLVETMMQQLLSKEILQDPMKEIGERYPKWLEDHKSGLSLEEYERYHHQYEIILKLNEVYEHDPNNYKMIVDLMQKMQQLGHPPDDIIQELAPAFDLSNLDGQLNPELPE >DRNTG_24887.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:305780:309426:-1 gene:DRNTG_24887 transcript:DRNTG_24887.6 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGVVALRRRLRQRPCKGWGWGCLGLKAKKKGKERVAGSVNNASSRASEALEKLTQQTREAVRGLESATAASGTGGAGGVGGLGKDEEGMVEEFVKQFEQLSESQDVNSLVETMMQQLLSKEILQDPMKEIGERYPKWLEDHKSGLSLEEYERYHHQYEIILKLNEVYEHDPNNYKMIVDLMQKMQQLGHPPDDIIQELAPAFDLSNLDGQLNPELPESASDCCIM >DRNTG_24887.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:305691:309206:-1 gene:DRNTG_24887 transcript:DRNTG_24887.7 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGVVALRRRLRQRPCKGWGWGCLGLKAKKKGKERVAGSVNNASSRASEALEKLTQQTREAVRGLESATAASGTGGAGGVGGLGKDEEGMVEEFVKQFEQLSESQDVNSLVETMMQQLLSKEILQDPMKEIGERYPKWLEDHKSGLSLEEYERYHHQYEIILKLNEVYEHDPNNYKMIVDLMQKMQQLGHPPDDIIQELAPAFDLSNLDGQLNPELPESASDCCIM >DRNTG_24887.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:305780:309206:-1 gene:DRNTG_24887 transcript:DRNTG_24887.9 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGVVALRRRLRQRPCKGWGWGCLGLKAKKKGKERVAGSVNNASSRASEALEKLTQQTREAVRGLESATAASGTGGAGGVGGLGKDEEGMVEEFVKQFEQLSESQDVNSLVETMMQQLLSKEILQDPMKEIGERYPKWLEDHKSGLSLEEYERYHHQYEIILKLNEVYEHDPNNYKMIVDLMQKMQQLGHPPDDIIQELAPAFDLSNLDGQLNPELPESASDCCIM >DRNTG_24887.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:305691:309426:-1 gene:DRNTG_24887 transcript:DRNTG_24887.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGVVALRRRLRQRPCKGWGWGCLGLKAKKKGKERVAGSVNNASSRASEALEKLTQQTREAVRGLESATAASGTGGAGGVGGLGKDEEGMVEEFVKQFEQLSESQDVNSLVETMMQQLLSKEILQDPMKEIGERYPKWLEDHKSGLSLEEYERYHHQYEIILKLNEVYEHDPNNYKMIVDLMQKMQQLGHPPDDIIQELAPAFDLSNLDGQLNPELPE >DRNTG_24887.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:305780:311765:-1 gene:DRNTG_24887 transcript:DRNTG_24887.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGVVALRRRLRQRPCKGWGWGCLGLKAKKKGKERVAGSVNNASSRASEALEKLTQQTREAVRGLESATAASGTGGAGGVGGLGKDEEGMVEEFVKQFEQLSESQDVNSLVETMMQQLLSKEILQDPMKEIGERYPKWLEDHKSGLSLEEYERYHHQYEIILKLNEVYEHDPNNYKMIVDLMQKMQQLGHPPDDIIQELAPAFDLSNLDGQLNPELPESASDCCIM >DRNTG_24887.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:309765:313610:-1 gene:DRNTG_24887 transcript:DRNTG_24887.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSASDIAQAPGQHPAEVSVVASTFKWHNILPIAWCDICRVNCDSLEILEQHKNGKQHKKTMQRSEHRQAQVKLMVESLVKNISKPKIVRPWVPEVKTTQVGEANKSCPLVWDQNTASVLAHNDAKIVLDQVVDEAYKLYDGNLRLRKL >DRNTG_24887.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:305780:313610:-1 gene:DRNTG_24887 transcript:DRNTG_24887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGVVALRRRLRQRPCKGWGWGCLGLKAKKKGKERVAGSVNNASSRASEALEKLTQQTREAVRGLESATAASGTGGAGGVGGLGKDEEGMVEEFVKQFEQLSESQDVNSLVETMMQQLLSKEILQDPMKEIGERYPKWLEDHKSGLSLEEYERYHHQYEIILKLNEVYEHDPNNYKMIVDLMQKMQQLGHPPDDIIQELAPAFDLSNLDGQLNPELPESASDCCIM >DRNTG_02048.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1477421:1484309:1 gene:DRNTG_02048 transcript:DRNTG_02048.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWNSCSMEVLFSLPFSKTRSLLCFSADAGPIRSLAWAPEESDPESSNLVVTTGPEGLKFWDVRDPHRPLWEPYPMQRAILSLEWMKDPRCVIISLDDGTLRTFSLWDLANDGPVIGKPSDGMKQPAWHSYFCSSFALWSIQVSRSTGLAAYCGAEGSTYYFKLTVNSLKDPRNHLPHILCGSLSKDGEKVKISTPLLNTPSLVTKHMSTCTVTSQVLIPYTAGANHATGPKDLKSSLPGNRIFFFPKMKKPKSKPKNVESEHGCREAESEFLDSPSKVLAMHRVRWNTNKGSERWLCYGGAAGIVRCQEIS >DRNTG_02048.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1483500:1483879:1 gene:DRNTG_02048 transcript:DRNTG_02048.5 gene_biotype:protein_coding transcript_biotype:protein_coding KSEDKHPIAQHSISSDKAHEHLHSDLPSSYSLYCRCKSCYWTKRLKIFTSR >DRNTG_02048.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1481634:1483210:1 gene:DRNTG_02048 transcript:DRNTG_02048.3 gene_biotype:protein_coding transcript_biotype:protein_coding HFVCLVSFLLIYGLFIDCVQFSDPESSNLVVTTGPEGLKFWDVRDPHRPLWEPYPMQRAILSLEWMKDPRCVIISLDDGTLRTFSLWDLANDGPVIGKPSDGMKQPAWHSYFCSSFALWSIQVSRSTG >DRNTG_02048.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1482921:1484309:1 gene:DRNTG_02048 transcript:DRNTG_02048.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALLWWRCWHCTLSGNFLSKTNNNINGIVACSFLLDGWIYRAKSLFLPLCKM >DRNTG_34282.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28157206:28164396:1 gene:DRNTG_34282 transcript:DRNTG_34282.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MDAR4 [Source:Projected from Arabidopsis thaliana (AT3G27820) UniProtKB/TrEMBL;Acc:A0A178VES2] MGRAFVYVILGGGVAAGYAALEFSRRGVGPGELCIISEETVAPYERPALSKGFLLPEAPARLPAFHTCVGANDERLTPDWYKEHGIELVLGTRVKSADVRRKTLITAMGETISYEVLIVATGARALKLEEFGVKGSDAVNVCYLRNLADASRLVNVMQSCNDGNAVVIGGGYIGMECAAALVTNRIKVTMVFPEAHCMARLFTPKIADFYEGQYESKGVNFIKGTVLTSFENDSEGKVTAVILKDGTRVPTDMVVVGIGIRANTALFDGQLAIEKGGIKVNGRMQTSNSSVYGVGDVAAFPVKLFGSDIRRLEHVDSARKTAKHAVAGIMAPEKTADFDYLPFFYSRVFSFSWQFYGDNVGQVIHYGDFLGGKFGAYWLDKGRIIGAFLEGGNREEYEAIAKVVKRKTTIKDVSEVERRGLDYALRSSQQVLPESSGMIVERPMCNWHAAAGVAVAISISAFAFWYGRRRRRW >DRNTG_04010.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29202084:29203284:-1 gene:DRNTG_04010 transcript:DRNTG_04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSRGCGKGSSHGGRGAGCGASRGRFVCTFCRRTGHTENRCWDKHSRPVVVNTSTELADPSHTFGAEQLVTISQADFARFQQLQGTVSHAIVSSLISIGNVFLASMGRSWIIDSGASSHVTGTKSFFQNLCPSVFLSVAIANGRSCLVSGEGVVHASSQLKLTDVPFVPEFSVNLLFISAITKHLNCSVTFSLFTVLFRIRGRGRGLV >DRNTG_00364.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18599410:18602955:1 gene:DRNTG_00364 transcript:DRNTG_00364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPGKRSRASNGHAAAGARGGGAHGGGRQGRRRSSHRCTHGKAVPQSSSRCTSRCIDPQPGRRSS >DRNTG_31439.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:714630:717812:1 gene:DRNTG_31439 transcript:DRNTG_31439.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVWPSTIGSGNLRILVPYLPAKPRRRILTVRASSASSPERRSPLTQVLDVPKTLWKRTLQPLSDFGFGSRSIWEGGVGLFMVSGAALLALSLVWLRGFYLRSQFKKYQAVFEFSQACGICLGTPVRIRGVTVGSVIRVDSSLKSVDAVVEVEDDKIIIPRNSSIEVNQSGLLMETLIDITPRDPLPNPSAGPLEPDCVKEGLIVCDKERMKGQQGVSLDELVGIFTRLGREMEEIGITRSYRLAEKVASVVEDAKPLLEKIEAMATDIQPLLAEVRDSALLKDIENLMKTLSEATEDLRRVRATAMSPENAELIRQNIFTLIFTLKNLESISSDLSGFTGDETTRRNLKSLIKSLSRLL >DRNTG_29655.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15621824:15622982:-1 gene:DRNTG_29655 transcript:DRNTG_29655.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGQTPQASSPHPPPQSWAHVTSSHSRVPDPSPFNALFGKFFGKPPPFDQVKKALIDKWSAVGELQLSDLPNGFMLIRCASHDAMKYLLTEGPWSLGGPTLQLAPWQPLFEPTFAKLTTAAIWVQLHNLPIEMWDGEMLETVTAHLGNLLKVDSLTASLSRSRSCSHVLAAGDGRSSPFPQASRRSAVGSIRTEATGSEGMDTDFPPDNPPGQYNSSDSDFGSWMLVSRLCGRAQGRDGNSRSTPVSVGVATEENAPPCS >DRNTG_32303.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001817.1:97191:110175:-1 gene:DRNTG_32303 transcript:DRNTG_32303.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGVDGTFSSRPIIITSLLSTYWSSNPSYGHISDPSPSTSSRFETSCTGSLPLLLTVRSSSGSPPTNINSLILSKGPLVKPRTHLARSVPMKVISACRVVRLSMKEISQCGLQCTDFGRNLAKYSLDFDDTLYFFDTTDEIIDEYMQEMFNPDSYEGLFDQEEGNEEVMMLRSMEEVPSTPEILKKVLQKMKRARRRHRKHSKAVGDVREPKKLDVSLLEPADFSKASSEDTWACEISARPWICIASSSREGIGACGGPCEQPCDCHTPVLWTVTATVNYCIACYSTCYSTPPKYPESTLFIEAKNQNSRESTGVCGNSTGACGRSTDLFGCPILVYLKPFFCVISAFFFPSFLRLLRCQELWFRCVLARLLEWFSSVDTVILLEDSYWGSFRRHRFGEVCPKHDKGTFGEDEATPQDH >DRNTG_22062.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20147055:20149555:1 gene:DRNTG_22062 transcript:DRNTG_22062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKRAKIHILLLCFLALLHQSQGQANSYNCSDDRTIYPCRAYAFYRAAAEQDLAAVADLFGVSRLMISRASNLSLAAAISLGDPLLIPLTCTCGGATSNLSYAPTTYQILSGDTFYAVSTYKFHNLTAYPAVEAVNPTLIPTQLQIGVDVTLPIFCQCPRKSSPALITYVFQPSDSYRSIASNFGSDVPSLVSMNGPETKIKHFTTVLVPISRIPPPMMLNRSSVLLPPPPRSTDDHGVVVGLAIGFTIMVVLWVLLLSSLAWKWRAWQGQAQNTAINKKKNKKKKKKMIGSPQPSDEYLTVDISDLLDKYRVFELEELRHATSDFDRLINGSVFKGIINGQVFAIKRMKWDATDELKILQKVNHSNLVKLEGFCIDEENNGCYLVYEYIPNGSLHDWLHSPAMPHKLQWRTRLRIALDLANALQYIHEHTWPRVVHKDIKSTNVLLDATMKAKIANFGLARSGCNAFTTRIIGTQGYVSPEYLSDGLVTTKMDVFAYGVILLELVSGREAVHARGELLWMSVDKVFDKMGVRRDELRLWMDEFLVHMSCSMESVVIVLGIARACLNRDPSKRPSMVDVAYLLSKVDDLRSDFSEEELTVANVEVKAR >DRNTG_27674.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001403.1:60051:61649:-1 gene:DRNTG_27674 transcript:DRNTG_27674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLPPKVPNNTMTNNCVKSSASFDAPVTTTGAQTSWVDEFLDFTSVKRSAHRRSVSDSVAFLEPDPMITTACGSVHEFDKLDDDQLISMFSDDMPLSSGGSASDHNSVSEEKLCLPSEHRTSNEAESICKTEPPLLTPPSDQSIMDPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQSEVSAMSPRVAFLDHQRSVLTVGNSHLKQRIAALAQDKIFKDAHQEALKKEIERLRLVYHQQSLKRMSSGTAAAGDRPAVHTDNELVS >DRNTG_28031.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5321415:5322626:1 gene:DRNTG_28031 transcript:DRNTG_28031.2 gene_biotype:protein_coding transcript_biotype:protein_coding HHSSRVFSLREREREREREREKILVLKIRRSSGDAPAWKLDRGNGWVLDT >DRNTG_28031.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5321415:5324530:1 gene:DRNTG_28031 transcript:DRNTG_28031.1 gene_biotype:protein_coding transcript_biotype:protein_coding HHSSRVFSLREREREREREREKILVLKIRRSSGDAPAWKLDRGNGWVLDT >DRNTG_13448.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19246793:19250492:-1 gene:DRNTG_13448 transcript:DRNTG_13448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPFPLWRDRFYLRFSQMQVVQSQESSENQSVNAFFVVMGGTQPGHAMLYGRSVTPIDLKGKGVSGDANSATTQGIRARNISSASSHKPRYPQNPSPHVRNHSPFRLLVNVFLCGLVRQIMLPFHNSPLLTSTHPKRKVPAFVHDKMNQIKLGPSPDIPLSDHSLIPMQI >DRNTG_26465.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:528622:529902:1 gene:DRNTG_26465 transcript:DRNTG_26465.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPYIAVPGAPSSAPSAVPSSSPTANQLYLNFISSSIHISYPYLHACSHRPYILSYSILFYSILFSFSIFVISSYTSES >DRNTG_26465.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:528545:529902:1 gene:DRNTG_26465 transcript:DRNTG_26465.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPYIAVPGAPSSAPSAVPSSSPTANQLYLNFISSSIHISYPYLHACSHRPYILSYSILFYSILFSFSIFVISSYTSES >DRNTG_26465.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:528622:529814:1 gene:DRNTG_26465 transcript:DRNTG_26465.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFPYIAVPGAPSSAPSAVPSSSPTANQLYLNFISSSIHISYPYLHACSHRPYILSYSILFYSILFSFSIFVISSYTSES >DRNTG_26465.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:528545:529814:1 gene:DRNTG_26465 transcript:DRNTG_26465.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFPYIAVPGAPSSAPSAVPSSSPTANQLYLNFISSSIHISYPYLHACSHRPYILSYSILFYSILFSFSIFVISSYTSES >DRNTG_25269.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31507005:31507697:-1 gene:DRNTG_25269 transcript:DRNTG_25269.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPGAYQLIDEHTGEKFIVWGGSDDGGDDSIPSEQVLSWKPEAVKSSDSLADDDRAARSRTGFSKLKASKIRGLMIKRSSANRTLDCKENAPATYQEEPDFVRARTGFGLLHDNGRDGDIKDGSFSNVRPDFVGESSTSPGNASSSSSSSSSSLRGRGWGSASSSFSSESNTTAAARLPQRSRKSANGG >DRNTG_02435.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000115.1:77136:78550:-1 gene:DRNTG_02435 transcript:DRNTG_02435.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIHSTTTTAAAATSNNNILIHHEPPSSSSSLLPNNGIRSQHESFSTKKHSYRVKMGEIEEDLARVRASIRRAVLLRKCTPGKL >DRNTG_22104.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:162346:163890:1 gene:DRNTG_22104 transcript:DRNTG_22104.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGACDPSCSERHPCGVLGCPSSPFIDPPAVIEPETGSLLPSGTTGALEETSPLITAANESHPPSAPVLPDKAISPTPPMMAVPMPYQEQAIPPRQFVGESSVPVPAPAPAPAPAPPQGVDNHDVPIQRMESMDYPPPGHRPFGGEDLGRRGTRRPFPGPHTHSRWYDYIPLISDLGRYRCRQYYPNDHYLNNRPCYRRVENFWYNRDSDNCVPPRSRRVRDRVPNYCPNMDDYNYVPVPPPPLRRWNTFPIREPPSSSSAHQNHEDPQAGPSDGRQPAAGDQGTLVRDNIVIGDPTRVRIGEGNRGGRSTGGRVTGNVVIR >DRNTG_22104.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:162346:163890:1 gene:DRNTG_22104 transcript:DRNTG_22104.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGACDPSCSERHPCGVLGCPSSPFIDPPAVIEPETGSLLPSGTTGALEETSPLITAANESHPLEQPPSAPVLPDKAISPTPPMMAVPMPYQEQAIPPRQFVGESSVPVPAPAPAPAPAPPQGVDNHDVPIQRMESMDYPPPGHRPFGGEDLGRRGTRRPFPGPHTHSRWPCYRRVENFWYNRDSDNCVPPRSRRVRDRVPNYCPNMDDYNYVPVPPPPLRRWNTFPIREPPSSSSAHQNHEDPQAGPSDGRQPAAGDQGTLVRDNIVIGDPTRVRIGEGNRGGRSTGGRVTGNVVIR >DRNTG_22104.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:162346:163890:1 gene:DRNTG_22104 transcript:DRNTG_22104.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACDPSCSERHPCGVLGCPSSPFIDPPAVIEPETGSLLPSGTTGALEETSPLITAANESHPLEQPPSAPVLPDKAISPTPPMMAVPMPYQEQAIPPRQFVGESSVPVPAPAPAPAPAPPQGVDNHDVPIQRMESMDYPPPGHRPFGGEDLGRRGTRRPFPGPHTHSRWYDYIPLISDLGRYRCRQYYPNDHYLNNRPCYRRVENFWYNRDSDNCVPPRSRRVRDRVPNYCPNMDDYNYVPVPPPPLRRWNTFPIREPPSSSSAHQNHEDPQAGPSDGRQPAAGDQGTLVRDNIVIGDPTRVRIGEGNRGGRSTGGRVTGNVVIR >DRNTG_24876.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32734690:32735079:1 gene:DRNTG_24876 transcript:DRNTG_24876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSSSLLPPLLLQLVLLSQIILVILIHFPEQTRAQSYKNISLGTTLTTSGTTTSWLSPSGDFAFGFITTPSNTTTTTTSSLFLLAIWFAKTADKAIVWTANGNNPAPAGSKLYLSSNGQLLL >DRNTG_16976.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19912785:19916459:-1 gene:DRNTG_16976 transcript:DRNTG_16976.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAVKWYYVIIAYVLAPALGFCNAYGAGLTDMNMAYNYGKVSLFILAAWAGKDNGVVAGLVGCGLIKSVVSISADLMHDFKTGHLTLTSPKSMLLSQTIGTAMGCVVAPLTFFLFYKAFDVGNPNGSFKAPYALIYRNMAVLGVEGFSALPHHCLQLCYGFFGFAAAANVMRDLLPVRYGRWVPLPMAMAVPFLVGASFAIDMSLGSFIVFAWHKINSRKATLMIPAVASGLICGDGLWILPSSLLALAKINPPICMKFLDS >DRNTG_16976.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19912785:19916459:-1 gene:DRNTG_16976 transcript:DRNTG_16976.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGESNNKKRRILRKHFVGIQMEELKEREEIEKGEDFEHGNIEEEVGDIKRIPHWSKQLTLRGLVASLAIGIIYSIIVMKLNLTTGLVPTLNVSAALFAFIFLRTWTKLLHKAGVLSTPFTRQENTVVQTCAVACYSIAVGGGFGSYLLALNKKTYEQAGVDTEGNVPGSYKEPGIGWMTGFLFAVSFVGIFALVPLRKIMIIDYKLTYPSGTATAVLINGFHTRHGDQMAKKQVRGFTKYFTFSFLWSFFQWFFSGGDECGFSQFPTFGLKAWKQTFFFDFSLTYVGAGMICSHLVNLSLLFGAILSWGVMWPLISELKGDWYPENIPQSSMKSLQGYKVFISIALILGDGLYNFLKILAITAKNITDRLKHKNIKKVSDLNTPVLDDLQRDELFGRENIPLWLAYTGYIVFTVISVIAIPFMFPAVKWYYVIIAYVLAPALGFCNAYGAGLTDMNMAYNYGKVSLFILAAWAGKDNGVVAGLVGCGLIKSVVSISADLMHDFKTGHLTLTSPKSMLLSQTIGTAMGCVVAPLTFFLFYKAFDVGNPNGSFKAPYALIYRNMAVLGVEGFSALPHHCLQLCYGFFGFAAAANVMRDLLPVRYGRWVPLPMAMAVPFLVGASFAIDMSLGSFIVFAWHKINSRKATLMIPAVASGLICGDGLWILPSSLLALAKINPPICMKFLDS >DRNTG_16976.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19912785:19916459:-1 gene:DRNTG_16976 transcript:DRNTG_16976.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGIQMEELKEREEIEKGEDFEHGNIEEEVGDIKRIPHWSKQLTLRGLVASLAIGIIYSIIVMKLNLTTGLVPTLNVSAALFAFIFLRTWTKLLHKAGVLSTPFTRQENTVVQTCAVACYSIAVGGGFGSYLLALNKKTYEQAGVDTEGNVPGSYKEPGIGWMTGFLFAVSFVGIFALVPLRKIMIIDYKLTYPSGTATAVLINGFHTRHGDQMAKKQVRGFTKYFTFSFLWSFFQWFFSGGDECGFSQFPTFGLKAWKQTFFFDFSLTYVGAGMICSHLVNLSLLFGAILSWGVMWPLISELKGDWYPENIPQSSMKSLQGYKVFISIALILGDGLYNFLKILAITAKNITDRLKHKNIKKVSDLNTPVLDDLQRDELFGRENIPLWLAYTGYIVFTVISVIAIPFMFPAVKWYYVIIAYVLAPALGFCNAYGAGLTDMNMAYNYGKVSLFILAAWAGKDNGVVAGLVGCGLIKSVVSISADLMHDFKTGHLTLTSPKSMLLSQTIGTAMGCVVAPLTFFLFYKAFDVGNPNGSFKAPYALIYRNMAVLGVEGFSALPHHCLQLCYGFFGFAAAANVMRDLLPVRYGRWVPLPMAMAVPFLVGASFAIDMSLGSFIVFAWHKINSRKATLMIPAVASGLICGDGLWILPSSLLALAKINPPICMKFLDS >DRNTG_20657.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20343644:20347471:-1 gene:DRNTG_20657 transcript:DRNTG_20657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPEKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDMILEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPTGLTTEVKSVEMHHESLPEALPGDNVGFNVKNVAVKDLKRGFVASNSKEDPAREAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGLVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDPSGAKVTKSAAKKK >DRNTG_23641.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7047752:7049201:-1 gene:DRNTG_23641 transcript:DRNTG_23641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTILADQKQAKGVSPNDAVVVATVEKIVESVAVAVADSTASKQDTIPPQLEPCKDVFAVDVVAVVPASKPGTIPQQEQPCKMCLQLMLSPSSSHRRKMLLVLNTVKVQRQYPMKIPTEQRER >DRNTG_07237.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14721367:14722555:1 gene:DRNTG_07237 transcript:DRNTG_07237.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLSAQIEETLPEWRDKFLSYKELKKRLKRITVGDQSRPVKRPKLGDENELAAIATEEEENFIKLLEAELEKFNGFFVEKEEEYIIRQKELQDIVARVAGKETKEELMKVRKEIVDLHGEMVLLENYSALNYTGISKFSMLFLFLMLDVVLIG >DRNTG_07237.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14721367:14722555:1 gene:DRNTG_07237 transcript:DRNTG_07237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLSAQIEETLPEWRDKFLSYKELKKRLKRITVGDQSRPVKRPKLGDENELAAIATEEEENFIKLLEAELEKFNGFFVEKEEEYIIRQKELQDIVARVAGKETKEELMKVRKEIVDLHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQKVLQQPFFTTDLIYKLVKECETMLEQLFPKNEPCVSGDVDGVVDTEKGSSSLGNGQVPELEEIEIMESMYMKSTVAALRVLKEIRRGSSTVSVFSLPPLQSSGLEKRWDSNIPMLEQIAK >DRNTG_24630.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31156097:31159109:-1 gene:DRNTG_24630 transcript:DRNTG_24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRTMACFGCCGEDEFHRVADTRVHLSSNHSAGNNGSVHALDRAPNEGAPIVKVQPIAIPAIPVDELEEATKDFGTETLIGEGSYGRVYYGVLKNGKSTAIKKLDTNNQPDQEFLALVSMMSRLKHENVMELLGYCIDGNFRALAYEFATMGSLHDILHGRKGVKGAQPGPVLSWIQRVKIAIGAAKGLEYLHEKAQPHIIHRNIKSSNVLIFDDDVAKIADFDISYQAPDMTARLHSTRVLGAFGYHAPEFVMTGQLSSKSNVYSYGVILLELLTGRKPVDHTLPRGQQSLVTWATPRLCEDKVKQCVDARLGGQYPPKAAAKMAAIAALCLQYEADCRPNMGIVVKALHPLLNARSRHASETNSSLNHAY >DRNTG_17218.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2797960:2801573:-1 gene:DRNTG_17218 transcript:DRNTG_17218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLLRRLSTSLSSLRLSSSSTPSSLAIPPQPPHQQQWTRGICVKVMNGNLEQALAVMQRKMTASGMERLIRRQVRHHLKNSEKRVLARKRLELRIRSEDLACKLRTILLKKIRGH >DRNTG_03322.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15333761:15339884:-1 gene:DRNTG_03322 transcript:DRNTG_03322.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTSSSSAASALDPPLASPWPAGTSPAADTIFSTDLLPNVLVKLRSRAPFHSQSSNITGKLSVSLTGHSKAVNSIQWSLNQAHLLASAGMDKKVHVWNVWSKDQKKACSLEHHNAAVKDVRWSPQGLSLLSCGYDCSTRLVDIEKGIQIQQFKDDQVVGVIRFHPSNPNLFLSGGSKGFLKLWDIRSGKVVQNYRKDLGPIFDIEFSSDGKQFISSSDTTLSNISENAIIVWDVSREVPLSNQVYTEAFTCPCIKYHPTDACFVAQSNGNYIAIFSSHRPFKLDRYKRFENHGVWGFPIKCNFSSDGNQLASGSSDGCIYLYNYHSSELIRKFKAFEQPCVDVAF >DRNTG_03322.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15335501:15336042:-1 gene:DRNTG_03322 transcript:DRNTG_03322.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGPLLSVIIYFKLKHAAHLLASAGMDKKVHVWNVWSKDQKKACSLEHHNAAVKDVRWSPQGLSLLSCGYDCSTRLVDIEKGIQIQQFKDDQVVGVIRFHPSNPNLFLSGGSKGFLKLWDIRSGKVVQNYRKDLGPIFDIEFSSDGKQFISSSDTTLSNISENAIIVWDVSREVPLSNQ >DRNTG_03322.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15333761:15334069:-1 gene:DRNTG_03322 transcript:DRNTG_03322.3 gene_biotype:protein_coding transcript_biotype:protein_coding VYTEAFTCPCIKYHPTDACFVAQSNGNYIAIFSSHRPFKLDRYKRFENHGVWGFPIKCNFSSDGNQLASGSSDGCIYLYNYHSSELIRKFKAFEQPCVDVAF >DRNTG_06437.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29893780:29895162:1 gene:DRNTG_06437 transcript:DRNTG_06437.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGTTNRSLIKGSNKNMTTNRPLGRW >DRNTG_26724.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1257051:1257449:1 gene:DRNTG_26724 transcript:DRNTG_26724.1 gene_biotype:protein_coding transcript_biotype:protein_coding IELKQSPVEAKEEAEVENEKEKEVEEEEVNGEELVVESNNKVEGEEDLVNLQETETQTDNVQEPTNTTTTTTTDVVASEEEEEVKPESKSTEENKPEEQN >DRNTG_01556.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:8821559:8822938:1 gene:DRNTG_01556 transcript:DRNTG_01556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEESEVVVHHSGKPYTDPPPAPLLDRTELQRWSFYRALITEFMATLLFLYVTVATVIGHKSQSASDDCNGVGLLGIAWSFGGMIFILVYCTAGISGGHINPAVTLAFFLARKVSIVRVVGYIVAQCLGAICGVGLVKAFMKHHYNSLGGGANEVSSGYSNGTALGAEIIGTFVLVYTVFSATDPKRMARDSHVPVLTPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNQDKAWNDHWIFWVGPLIGALAAAAYHQFVLRALAAKALASFRSNPHN >DRNTG_03414.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3319749:3323595:1 gene:DRNTG_03414 transcript:DRNTG_03414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPEDVSDHRSYSPSADVSESETSVASSSSSFHPFFPASSPNAASASTMIPDGDSLFWESTPEKRNADFSASGMEMMKERFAKLLLGEDMSGGGKGVSTALAISNAITNLSATVFGELWRLEPLAPLKKSMWFREIEWLLCVSDYIVELTPSLQEVPGGGSYEVMVPRPRSDLYMNLPALKKLDAMLLAMLDGFRDTEFWYVNRGIMAADDEDDSGDTYQSSSFGRPSLRQEEKWWLPCPKIPPKGLSAGARKRLQQCRDCANQILKAAMAINSGVLADMEIPDVYFETLPKTGKSCLGEAIHRNITAEQFSPECLLDSLDLSSEHHAVEIANRIEAALHVWKSKEWRRHSHKSKAKKSSWSRKVKSLVNLKERNQFLAQHAECLLQCLKLRHPGLPQSALDMNKIQYNKDVGQSILESYSRVLESLAFNIMARIDDLIFVDDATKKCAAPETLSLFNPGGLGGLPIQKRISPSPFSLNKSPHTSSPFATPTHTFCSSTLSSSPRRAQSSPCLGQQDLKLGKLISGDQEMAWSYTGNLTSGKDAGDVSERDRV >DRNTG_03414.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3319749:3323595:1 gene:DRNTG_03414 transcript:DRNTG_03414.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLVLATVFGELWRLEPLAPLKKSMWFREIEWLLCVSDYIVELTPSLQEVPGGGSYEVMVPRPRSDLYMNLPALKKLDAMLLAMLDGFRDTEFWYVNRGIMAADDEDDSGDTYQSSSFGRPSLRQEEKWWLPCPKIPPKGLSAGARKRLQQCRDCANQILKAAMAINSGVLADMEIPDVYFETLPKTGKSCLGEAIHRNITAEQFSPECLLDSLDLSSEHHAVEIANRIEAALHVWKSKEWRRHSHKSKAKKSSWSRKVKSLVNLKERNQFLAQHAECLLQCLKLRHPGLPQSALDMNKIQYNKDVGQSILESYSRVLESLAFNIMARIDDLIFVDDATKKCAAPETLSLFNPGGLGGLPIQKRISPSPFSLNKSPHTSSPFATPTHTFCSSTLSSSPRRAQSSPCLGQQDLKLGKLISGDQEMAWSYTGNLTSGKDAGDVSERDRV >DRNTG_03414.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3322458:3323595:1 gene:DRNTG_03414 transcript:DRNTG_03414.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIQYNKDVGQSILESYSRVLESLAFNIMARIDDLIFVDDATKKCAAPETLSLFNPGGLGGLPIQKRISPSPFSLNKSPHTSSPFATPTHTFCSSTLSSSPRRAQSSPCLGQQDLKLGKLISGDQEMAWSYTGNLTSGKDAGDVSERDRV >DRNTG_18971.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:1034010:1034351:1 gene:DRNTG_18971 transcript:DRNTG_18971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMIRRVRSGVYAFVTPTPEIGEGEGDDAEASHPAPELQPTPMETAAPPVWTEIVETPPAPQHHYQLIHQHHLIIQQ >DRNTG_01652.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12673034:12681456:1 gene:DRNTG_01652 transcript:DRNTG_01652.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITKNTKRITNSLEGLQKTKSPNYPNQRRSHYPLKLWQVYARVVRPSMKERSQCGLQCTDFGENLAKIQVMTQGNPSILIKGDPELERTLRRKGKEPIQEQSNSTDLEVEESENMKMFNPDPYEGLFDQEEGHEDVMMLGSRDEVPSTIRILKKGTPLRIDADESSPNNYLLKERSVEGRRTTPKPLPKSLQTLAEDAPKDEKRWAKRWENRILKTG >DRNTG_02346.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1468155:1471483:1 gene:DRNTG_02346 transcript:DRNTG_02346.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIKIGINGFGRIGRLVARVALQSEDVDLVAVNDPFITTDYMTYMFKYDSVHGQWKHHEIKMKDSKTLVFGEKEVTVFGVRNPEEIPWGEAGADYVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVIGVNEHEYKADINIVSNASCTTNCLAPLAKVIHDRFGIVEGLMTTVHSITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTARLEKPATYDEVKAAIKEESEGKMKGILGYVDEDLVSTDFVGDSRSSIFDAKAGIALNENFIKFVSWYDNEWGYSNRVVDLVRHMDKTK >DRNTG_02346.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1469831:1471483:1 gene:DRNTG_02346 transcript:DRNTG_02346.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFVIGVNEHEYKADINIVSNASCTTNCLAPLAKVIHDRFGIVEGLMTTVHSITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTARLEKPATYDEVKAAIKEESEGKMKGILGYVDEDLVSTDFVGDSRSSIFDAKAGIALNENFIKFVSWYDNEWGYSNRVVDLVRHMDKTK >DRNTG_02346.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1468332:1470894:1 gene:DRNTG_02346 transcript:DRNTG_02346.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVIGVNEHEYKADINIVSNASCTTNCLAPLAKVIHDRFGIVEGLMTTVHSITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTARLEKPATYDEVKAAIK >DRNTG_31199.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26916543:26921510:1 gene:DRNTG_31199 transcript:DRNTG_31199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIVFSNRGKLYEFCSSSSMMKTLERYQKCNYGAPETNIVTRETQSSQQEYLKLKARVEALQRSQRNLLGEDLGPLSSKELEQLERQLDVSLKQIRSTRTQFMLDQLADLQRREQMLCEANKSLKRRLDESNQASHQQQVWEANAQAVEYARQASQNQGDGFFHPLDCEPTLQIGYHPDQITIAAAPGPSVSTYMPGWLA >DRNTG_26816.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20330169:20332773:-1 gene:DRNTG_26816 transcript:DRNTG_26816.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAQLKTHSQQFQPQTHRSKRRKRRDTTSVAAAPPHVVSTDGAWCCSASKSHSSSPRRAAPPPPPPPPPPLLPPPPPPPPPPPPPPPPRFWASPAMDTSPVPYTGYAPYPSSYSKFNTALNAGLLNPMSPPPLLDKTRSSPTLFDMMANEQDYHPRPQASAQPPPPAAAQDRQLVLQERVALLLGSRSPGNQFNDPETSDVRLTLSSKDGLSISMNVHRHILVTHSRFFAGKLSDRWSKQQRSLPHLVEISDCDDVEVYVETLRLMYSKDIRRRLMKEDVSKILGILKVSSAIGFDAGVLSCLEYLEAAPWAEDEEEKVASLLAQLHLESSGAGEVLKRVSLELAPSSMEDGSSNGGGGEEILVRLLQVVLEGKDEKARREMKGLVSKMLRENNNGGGGGGGGGVGHCSGDLSKGSLYSACDGCLRLLRHHFFQAAAGEFNEVAQIARQADNLHWILDILIDRQIAEEFLTTWAGELELSEVHGRVPALHRYEISRVTARLFVGIGKGQVLVSKEVRCMLLRTWLEPFYEDFAWMRRACKGLDRHLVEDGLGNTILTLPLAMQQEILLAWFDRFLNSGDDCPNIQRGFEVWWRRAFWRRNGEVDRSALLRIAAVSDTS >DRNTG_26816.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20330505:20332929:-1 gene:DRNTG_26816 transcript:DRNTG_26816.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAQLKTHSQQFQPQTHRSKRRKRRDTTSVAAAPPHVVSTDGAWCCSASKSHSSSPRRAAPPPPPPPPPPLLPPPPPPPPPPPPPPPPRFWASPAMDTSPVPYTGYAPYPSSYSKFNTALNAGLLNPMSPPPLLDKTRSSPTLFDMMANEQDYHPRPQASAQPPPPAAAQDRQLVLQERVALLLGSRSPGNQFNDPETSDVRLTLSSKDGLSISMNVHRHILVTHSRFFAGKLSDRWSKQQRSLPHLVEISDCDDVEVYVETLRLMYSKDIRRRLMKEDVSKILGILKVSSAIGFDAGVLSCLEYLEAAPWAEDEEEKVASLLAQLHLESSGAGEVLKRVSLELAPSSMEDGSSNGGGGEEILVRLLQVVLEGKDEKARREMKGLVSKMLRENNNGGGGGGGGGVGHCSGDLSKGSLYSACDGCLRLLRHHFFQAAAGEFNEVAQIARQADNLHWILDILIDRQIAEEFLTTWAGELELSEVHGRVPALHRYEISRVTARLFVGIGKGQVLVSKEVRCMLLRTWLEPFYEDFAWMRRACKGLDRHLVEDGLGNTILTLPLAMQQEILLAWFDRFLNSGDDCPNIQRGFEVWWRRAFWRRNGEVDRSALLRIAAVSDTS >DRNTG_26816.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20330505:20332819:-1 gene:DRNTG_26816 transcript:DRNTG_26816.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAQLKTHSQQFQPQTHRSKRRKRRDTTSVAAAPPHVVSTDGAWCCSASKSHSSSPRRAAPPPPPPPPPPLLPPPPPPPPPPPPPPPPRFWASPAMDTSPVPYTGYAPYPSSYSKFNTALNAGLLNPMSPPPLLDKTRSSPTLFDMMANEQDYHPRPQASAQPPPPAAAQDRQLVLQERVALLLGSRSPGNQFNDPETSDVRLTLSSKDGLSISMNVHRHILVTHSRFFAGKLSDRWSKQQRSLPHLVEISDCDDVEVYVETLRLMYSKDIRRRLMKEDVSKILGILKVSSAIGFDAGVLSCLEYLEAAPWAEDEEEKVASLLAQLHLESSGAGEVLKRVSLELAPSSMEDGSSNGGGGEEILVRLLQVVLEGKDEKARREMKGLVSKMLRENNNGGGGGGGGGVGHCSGDLSKGSLYSACDGCLRLLRHHFFQAAAGEFNEVAQIARQADNLHWILDILIDRQIAEEFLTTWAGELELSEVHGRVPALHRYEISRVTARLFVGIGKGQVLVSKEVRCMLLRTWLEPFYEDFAWMRRACKGLDRHLVEDGLGNTILTLPLAMQQEILLAWFDRFLNSGDDCPNIQRGFEVWWRRAFWRRNGEVDRSALLRIAAVSDTS >DRNTG_26816.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20330169:20332819:-1 gene:DRNTG_26816 transcript:DRNTG_26816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAQLKTHSQQFQPQTHRSKRRKRRDTTSVAAAPPHVVSTDGAWCCSASKSHSSSPRRAAPPPPPPPPPPLLPPPPPPPPPPPPPPPPRFWASPAMDTSPVPYTGYAPYPSSYSKFNTALNAGLLNPMSPPPLLDKTRSSPTLFDMMANEQDYHPRPQASAQPPPPAAAQDRQLVLQERVALLLGSRSPGNQFNDPETSDVRLTLSSKDGLSISMNVHRHILVTHSRFFAGKLSDRWSKQQRSLPHLVEISDCDDVEVYVETLRLMYSKDIRRRLMKEDVSKILGILKVSSAIGFDAGVLSCLEYLEAAPWAEDEEEKVASLLAQLHLESSGAGEVLKRVSLELAPSSMEDGSSNGGGGEEILVRLLQVVLEGKDEKARREMKGLVSKMLRENNNGGGGGGGGGVGHCSGDLSKGSLYSACDGCLRLLRHHFFQAAAGEFNEVAQIARQADNLHWILDILIDRQIAEEFLTTWAGELELSEVHGRVPALHRYEISRVTARLFVGIGKGQVLVSKEVRCMLLRTWLEPFYEDFAWMRRACKGLDRHLVEDGLGNTILTLPLAMQQEILLAWFDRFLNSGDDCPNIQRGFEVWWRRAFWRRNGEVDRSALLRIAAVSDTS >DRNTG_26816.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20330365:20332819:-1 gene:DRNTG_26816 transcript:DRNTG_26816.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAQLKTHSQQFQPQTHRSKRRKRRDTTSVAAAPPHVVSTDGAWCCSASKSHSSSPRRAAPPPPPPPPPPLLPPPPPPPPPPPPPPPPRFWASPAMDTSPVPYTGYAPYPSSYSKFNTALNAGLLNPMSPPPLLDKTRSSPTLFDMMANEQDYHPRPQASAQPPPPAAAQDRQLVLQERVALLLGSRSPGNQFNDPETSDVRLTLSSKDGLSISMNVHRHILVTHSRFFAGKLSDRWSKQQRSLPHLVEISDCDDVEVYVETLRLMYSKDIRRRLMKEDVSKILGILKVSSAIGFDAGVLSCLEYLEAAPWAEDEEEKVASLLAQLHLESSGAGEVLKRVSLELAPSSMEDGSSNGGGGEEILVRLLQVVLEGKDEKARREMKGLVSKMLRENNNGGGGGGGGGVGHCSGDLSKGSLYSACDGCLRLLRHHFFQAAAGEFNEVAQIARQADNLHWILDILIDRQIAEEFLTTWAGELELSEVHGRVPALHRYEISRVTARLFVGIGKGQVLVSKEVRCMLLRTWLEPFYEDFAWMRRACKGLDRHLVEDGLGNTILTLPLAMQQEILLAWFDRFLNSGDDCPNIQRGFEVWWRRAFWRRNGEVDRSALLRIAAVSDTS >DRNTG_26816.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20330365:20332929:-1 gene:DRNTG_26816 transcript:DRNTG_26816.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAQLKTHSQQFQPQTHRSKRRKRRDTTSVAAAPPHVVSTDGAWCCSASKSHSSSPRRAAPPPPPPPPPPLLPPPPPPPPPPPPPPPPRFWASPAMDTSPVPYTGYAPYPSSYSKFNTALNAGLLNPMSPPPLLDKTRSSPTLFDMMANEQDYHPRPQASAQPPPPAAAQDRQLVLQERVALLLGSRSPGNQFNDPETSDVRLTLSSKDGLSISMNVHRHILVTHSRFFAGKLSDRWSKQQRSLPHLVEISDCDDVEVYVETLRLMYSKDIRRRLMKEDVSKILGILKVSSAIGFDAGVLSCLEYLEAAPWAEDEEEKVASLLAQLHLESSGAGEVLKRVSLELAPSSMEDGSSNGGGGEEILVRLLQVVLEGKDEKARREMKGLVSKMLRENNNGGGGGGGGGVGHCSGDLSKGSLYSACDGCLRLLRHHFFQAAAGEFNEVAQIARQADNLHWILDILIDRQIAEEFLTTWAGELELSEVHGRVPALHRYEISRVTARLFVGIGKGQVLVSKEVRCMLLRTWLEPFYEDFAWMRRACKGLDRHLVEDGLGNTILTLPLAMQQEILLAWFDRFLNSGDDCPNIQRGFEVWWRRAFWRRNGEVDRSALLRIAAVSDTS >DRNTG_26816.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20330505:20332773:-1 gene:DRNTG_26816 transcript:DRNTG_26816.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAQLKTHSQQFQPQTHRSKRRKRRDTTSVAAAPPHVVSTDGAWCCSASKSHSSSPRRAAPPPPPPPPPPLLPPPPPPPPPPPPPPPPRFWASPAMDTSPVPYTGYAPYPSSYSKFNTALNAGLLNPMSPPPLLDKTRSSPTLFDMMANEQDYHPRPQASAQPPPPAAAQDRQLVLQERVALLLGSRSPGNQFNDPETSDVRLTLSSKDGLSISMNVHRHILVTHSRFFAGKLSDRWSKQQRSLPHLVEISDCDDVEVYVETLRLMYSKDIRRRLMKEDVSKILGILKVSSAIGFDAGVLSCLEYLEAAPWAEDEEEKVASLLAQLHLESSGAGEVLKRVSLELAPSSMEDGSSNGGGGEEILVRLLQVVLEGKDEKARREMKGLVSKMLRENNNGGGGGGGGGVGHCSGDLSKGSLYSACDGCLRLLRHHFFQAAAGEFNEVAQIARQADNLHWILDILIDRQIAEEFLTTWAGELELSEVHGRVPALHRYEISRVTARLFVGIGKGQVLVSKEVRCMLLRTWLEPFYEDFAWMRRACKGLDRHLVEDGLGNTILTLPLAMQQEILLAWFDRFLNSGDDCPNIQRGFEVWWRRAFWRRNGEVDRSALLRIAAVSDTS >DRNTG_26816.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20330365:20332773:-1 gene:DRNTG_26816 transcript:DRNTG_26816.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAQLKTHSQQFQPQTHRSKRRKRRDTTSVAAAPPHVVSTDGAWCCSASKSHSSSPRRAAPPPPPPPPPPLLPPPPPPPPPPPPPPPPRFWASPAMDTSPVPYTGYAPYPSSYSKFNTALNAGLLNPMSPPPLLDKTRSSPTLFDMMANEQDYHPRPQASAQPPPPAAAQDRQLVLQERVALLLGSRSPGNQFNDPETSDVRLTLSSKDGLSISMNVHRHILVTHSRFFAGKLSDRWSKQQRSLPHLVEISDCDDVEVYVETLRLMYSKDIRRRLMKEDVSKILGILKVSSAIGFDAGVLSCLEYLEAAPWAEDEEEKVASLLAQLHLESSGAGEVLKRVSLELAPSSMEDGSSNGGGGEEILVRLLQVVLEGKDEKARREMKGLVSKMLRENNNGGGGGGGGGVGHCSGDLSKGSLYSACDGCLRLLRHHFFQAAAGEFNEVAQIARQADNLHWILDILIDRQIAEEFLTTWAGELELSEVHGRVPALHRYEISRVTARLFVGIGKGQVLVSKEVRCMLLRTWLEPFYEDFAWMRRACKGLDRHLVEDGLGNTILTLPLAMQQEILLAWFDRFLNSGDDCPNIQRGFEVWWRRAFWRRNGEVDRSALLRIAAVSDTS >DRNTG_07231.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000327.1:50132:53214:1 gene:DRNTG_07231 transcript:DRNTG_07231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSYAIVNNTVTEGTDEHALLQALQLVNSSILPMTFKTAVELNLFNIISAASPNPLSATEITTLLPSSTPSTPIMLDRILRLLSSYSIFTCSLSTDPISGATTHLYAAAPAVKYLAQNEDGFTLSTLGLLNQDKVLMESWDYLKDAVLNGGIPFNMAHGMTSFEYHGTDPRFNKLFNEAMKNHSGIIMKRILEKYRGFDDVKVLVDVGGGVGNTLAQVVAKHKHIKGINFDLPHVISEAPLIPVCST >DRNTG_27144.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001384.1:43833:44318:-1 gene:DRNTG_27144 transcript:DRNTG_27144.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKPYSHSSSHHHYMKLAGPRPNLNV >DRNTG_27144.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001384.1:43451:44318:-1 gene:DRNTG_27144 transcript:DRNTG_27144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKPYSHSSSHHHYMKLAGPRPNLNV >DRNTG_27144.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001384.1:43664:44318:-1 gene:DRNTG_27144 transcript:DRNTG_27144.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKPYSHSSSHHHYMKLAGPRPNLNV >DRNTG_05238.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23164081:23204940:-1 gene:DRNTG_05238 transcript:DRNTG_05238.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGIHTALVSRGIGQGFGEVLRLRHRDSIRKKVGRGASIEAYPIPDEGIFGRRVEDSPQDHRHDHRGVESLELIATLCECEVLRLFDFSSGTCIELGIVDLRFGTMDGIGDPHARVDFPHGPVEFPYAHVDSLFFLLLQPAVSSTCYIILLHMHKPEYLNVTALVPLQII >DRNTG_30040.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5645107:5649362:1 gene:DRNTG_30040 transcript:DRNTG_30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNIAQADASLKAGKWLRSKYVGVSLVGKTLVVLGFGKVGSEVARRAKGLGMHVIAHDPYAAADRARAIGVELVTFDEAIATADFISLHMPLTPSTAKLLNDETFAKMKKGVRIVNVARGGVIDEEALLRALDSGIVAQAALDVFTEEPPSADSKLVLHENITVTPHLGASTAEAQEGVAVEIAEAVVGALKGELAATAVNAPMVPAEVLSELAPFVILAEKLGRLVVQLVAGGSGVKSVKVTYASARAPDDLDTRLLRAMITKGLIEPISSAFVNLVNADFIAKQRGVQITEERILLDGSPESPLDFIQVRIANVESKFASGISETGEISVEGRIKDGIPHLTKVGTFQVDVSMEGSLILCRQVDQPGMIGTVGNILGEQNINVSFMSVGRIAPRKQAVMAIGVDEEPSKESLKKIGEIPAIEEFVFLKL >DRNTG_27236.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18463000:18463783:-1 gene:DRNTG_27236 transcript:DRNTG_27236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRRSWTSWRGRGTVSKPDGNGYHALQWAVLNNHVDVAQYIIELLAAIRYLIVDIVIMRQ >DRNTG_31517.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16004495:16012583:1 gene:DRNTG_31517 transcript:DRNTG_31517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPTCVSISTCHHNGQYARLGASSRVHTIRVCLWGSDGVYALVLPVPEKAEEEGDDAEASEPTPEPQLAPMETEASPMVEDPPPVHMFSPSRAHDRFEKLESAVGVIQTEVAEARAEIAKIRATEATQHTEFMARFDMLQRILERDIAS >DRNTG_14826.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:16452970:16453730:1 gene:DRNTG_14826 transcript:DRNTG_14826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTIKEIECKSNESIKKPPRWSCRWSCGESSTRRPKIPSSSIGYASIEAPLPTFFLMESRCWSRRTSPKPWPIPL >DRNTG_12782.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2264532:2266346:1 gene:DRNTG_12782 transcript:DRNTG_12782.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G40690) UniProtKB/Swiss-Prot;Acc:Q949Q0] MNLGNNCMAALVAQGCSEIRWLATKMGAKATTLTGLSGSGDIMLTCFVNLSRNRTVGLRLGSGESLDNIISSMNQVAEGVSTAGAVIALAEKYNVKMPVLTAVARIIDNELTPKTAVLELMGLPQVEEV >DRNTG_12782.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2258793:2259400:1 gene:DRNTG_12782 transcript:DRNTG_12782.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G40690) UniProtKB/Swiss-Prot;Acc:Q949Q0] MPLLVGGGAMAAAVLVGDPSLFNVLFSPPSMPRRSLSLGSKNLMLHQPPKPISPRLILCRSAAGALDPAAPEKEIPRAREGGRDQRKAVRIAWEKLVRWSRSWRSKAKTDVVERTKKVVVLGGGSFGTAMAAHIAGKKAEMEVLMLVRDEDVCRGINETHFNR >DRNTG_12782.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2263288:2265246:1 gene:DRNTG_12782 transcript:DRNTG_12782.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G40690) UniProtKB/Swiss-Prot;Acc:Q949Q0] MVVASKDKNLAGTVQQLLASPHLRISSSSDVIGVEIAGALKNVLAIAAGIVEGMNLGNNCMAALVAQGCSEIRWLATKMGAKATTLTGLSGSGDIMLTCFVNLSRNRTVGLRLGSGESLDNIISSMNQ >DRNTG_12782.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2265092:2265479:1 gene:DRNTG_12782 transcript:DRNTG_12782.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G40690) UniProtKB/Swiss-Prot;Acc:Q949Q0] MGAKATTLTGLSGSGDIMLTCFVNLSRNRTVGLRLGSGESLDNIISSMNQVAEGVSTAGAVIALAEKYNVKMPVLTAVARIIDNELTPKTAVLELMGLPQV >DRNTG_12782.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2258793:2266346:1 gene:DRNTG_12782 transcript:DRNTG_12782.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G40690) UniProtKB/Swiss-Prot;Acc:Q949Q0] MFVGVSMKHISIGNIFQTTCCRKMFLQQPMREMLCWELIFVYMLCQCSSSFLEGISQHVDPKLPFISLSKGLELNTLRTMSQIIPQALRNPRQPFVVLSGPSFAVELMRKLPTAMVVASKDKNLAGTVQQLLASPHLRISSSSDVIGVEIAGALKNVLAIAAGIVEGMNLGNNCMAALVAQGCSEIRWLATKMGAKATTLTGLSGSGDIMLTCFVNLSRNRTVGLRLGSGESLDNIISSMNQVAEGVSTAGAVIALAEKYNVKMPVLTAVARIIDNELTPKTAVLELMGLPQVEEV >DRNTG_12782.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2262776:2264748:1 gene:DRNTG_12782 transcript:DRNTG_12782.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G40690) UniProtKB/Swiss-Prot;Acc:Q949Q0] MYMPMVIVLTLFILWQCSSSFLEGISQHVDPKLPFISLSKGLELNTLRTMSQIIPQALRNPRQPFVVLSGPSFAVELMRKLPTAMVVASKDKNLAGTVQQLLASPHLRISSSSDVIGVEIAGALKNVLAIAAGIVEGMNLGNNCMAALVAQGCSEIRWLATKVDIKLAFTLDNSYFVQNIG >DRNTG_16209.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30852291:30860267:1 gene:DRNTG_16209 transcript:DRNTG_16209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKETVNLQPPSPLPNPSNATPSSSSRLASSVRSSKEACHLFSPNSKKGC >DRNTG_27023.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2510853:2511991:-1 gene:DRNTG_27023 transcript:DRNTG_27023.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYAALEEMRAELRQGPVFCPKPRRLSQLAGVAALDRHRLRPDSPSPSDLLDLFLSKGGEHNQVGSSPPFFNGSPPTRSANPLVHDARFREDKPVFQTGPVHQTGSPLSPTRKGCGPTKFGFKPAPVRIEGFACLDRRDHPTRGITAMA >DRNTG_27023.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2510853:2511991:-1 gene:DRNTG_27023 transcript:DRNTG_27023.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYAALEEMRAELRQGPVFCPKPRRLSQLAGVAALDRHRLRPDSPSPSDLLDLFLSKGGEHNQVGSSPPFFNGSPPTRSANPLVHDARFREDKPVFQTGPVHQTGSPLSPTRKGCGPTKFGFKPAPVRIEGFACLDRRDHPTRGITAMA >DRNTG_27023.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2510945:2511991:-1 gene:DRNTG_27023 transcript:DRNTG_27023.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYAALEEMRAELRQGPVFCPKPRRLSQLAGVAALDRHRLRPDSPSPSDLLDLFLSKGGEHNQVGSSPPFFNGSPPTRSANPLVHDARFREDKPVFQTGPVHQTGSPLSPTRKGCGPTKFGFKPAPVRIEGFACLDRRDHPTRGITAMA >DRNTG_27023.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2510853:2512097:-1 gene:DRNTG_27023 transcript:DRNTG_27023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYAALEEMRAELRQGPVFCPKPRRLSQLAGVAALDRHRLRPDSPSPSDLLDLFLSKGGEHNQVGSSPPFFNGSPPTRSANPLVHDARFREDKPVFQTGPVHQTGSPLSPTRKGCGPTKFGFKPAPVRIEGFACLDRRDHPTRGITAMA >DRNTG_27023.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2510945:2512097:-1 gene:DRNTG_27023 transcript:DRNTG_27023.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYAALEEMRAELRQGPVFCPKPRRLSQLAGVAALDRHRLRPDSPSPSDLLDLFLSKGGEHNQVGSSPPFFNGSPPTRSANPLVHDARFREDKPVFQTGPVHQTGSPLSPTRKGCGPTKFGFKPAPVRIEGFACLDRRDHPTRGITAMA >DRNTG_27023.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2510945:2511991:-1 gene:DRNTG_27023 transcript:DRNTG_27023.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYAALEEMRAELRQGPVFCPKPRRLSQLAGVAALDRHRLRPDSPSPSDLLDLFLSKGGEHNQVGSSPPFFNGSPPTRSANPLVHDARFREDKPVFQTGPVHQTGSPLSPTRKGCGPTKFGFKPAPVRIEGFACLDRRDHPTRGITAMA >DRNTG_24866.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20154693:20156390:1 gene:DRNTG_24866 transcript:DRNTG_24866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLISVLLFLFMLQLCSMSVLSLIEAPDSASFVFGDSLVDAGNNNYLQTLSKADIPPNGIDFIASGGQPTGRFTNGRTIADIVGEQLGQPSFALPFLAPNTTGTAILNGVNYASGGGGILNGTGQIFINRIGMEVQVDFFNTTRKQLDEILGESKAKEFLMKKSIFSVTIGSNDFLNNYLFPLISATVRLNQSPDSFIDEMINTLKTQLTRLYTLDARKFVVGNVGPLGCIPYQKSINRVSPNECVTLANQLAMQYNTQLRTMLADLNKNLPGARFLLANVYDLVLELITNYNSYGFSTASVACCGNGGQYAGIIPCGPTSSMCDDRSAHVFWDPYHPSEAANLVIAKYLVNGSTKYISPINLLQLKAL >DRNTG_04236.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30298626:30300873:-1 gene:DRNTG_04236 transcript:DRNTG_04236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWRSRAYGDDRMQIEVYRGGGGGRGVGIAPYPPSGMHDLRSYSASYAYSQQASQPPREIKLKKGKSVSASSSSSSAPKSSWSFKDPELQRKKRVAGYKIYTMEGRMKGSFRKSFRWLKDRYTQVVNGWW >DRNTG_04236.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30300278:30300873:-1 gene:DRNTG_04236 transcript:DRNTG_04236.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWRSRAYGDDRMQIEVYRGGGGGRGVGIAPYPPSGMHDLRSYSASYAYSQQASQPPREIKLKKGKSVSASSSSSSAPKSSWSFKDPELQRKKRVAGYKIYTMEGRMKGSFRKSFRWLKDRYTQVVNGWW >DRNTG_23122.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:233267:237545:1 gene:DRNTG_23122 transcript:DRNTG_23122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGDDAVRRKKNKSNRKKMQANDVSSARVTSIIASKRRRKDGKRRRCEGMCFSLPTPEDPFNDRFDNPRDPRKLVPHNKNKRSPQPKLHQDEDRDKDHKAPDSDQAISKFLILCLNAIQDAWAEEGSFDPNLDAPLLSGTWGFRLWRRCSAPQSDFIDINGVCANREQIAWLVSTASDIFAAKEKQGLTVPSPFLLYLVPSQQKALQVRSVCKPLKALGIHTVSVHTGASLDHQVRGLKSCDPEFLVSTPERLLQLVSQSAIDISGISFLVIDGLINPLDTSSLDKLQAIIAKISKEPHVVVFTDGCGKVSMSMARNLFKSALNTIQ >DRNTG_23122.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:233267:236629:1 gene:DRNTG_23122 transcript:DRNTG_23122.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGDDAVRRKKNKSNRKKMQANDVSSARVTSIIASKRRRKDGKRRRCEGMCFSLPTPEDPFNDRFDNPRDPRKLVPHNKNKRSPQPKLHQDEDRDKDHKAPDSDQAISKFLILCLNAIQDAWAEEGSFDPNLDAPLLSGTWGFRLWRRCSAPQSDFIDINGVCANREQIAWLVSTASDIFAAKEKQGLTVPSPFLLYLVPSQQKALQVRSVCKPLKALGIHTVSVHTGASLDHQVRGLKSCDPEFLVSTPERLLQLVSQSAIDISGISFLVIDGLINPLDTSSLDKLQAIIAKISKEPHVVVFTDGCGKVSMSMARNLFKSALNTIQ >DRNTG_09320.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1005970:1009649:-1 gene:DRNTG_09320 transcript:DRNTG_09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIYIVYYSMYGHVEKLAEEIEKGASSVEGVEAKLWQVPEILPEEVLGKMGAPPKSDVPVISPNELAEADGILFGFPTRFGMMAAQFKAFIDATGGLWRTQQLAGKPAGIFYSTGSQGGGQETTPLTAITQLVHHGMIFVPIGYTFGAGMFEMEKLKGGSPYGAGTYAGDGSRFPSELEVEQAFHQGKYFAGIAKKLKDSA >DRNTG_30764.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28729091:28732177:1 gene:DRNTG_30764 transcript:DRNTG_30764.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 7 [Source:Projected from Arabidopsis thaliana (AT2G40760) UniProtKB/Swiss-Prot;Acc:Q1JPN0] MLLSAPALRFANCTRAAAAAATSTALWLLCRNPNPCRPTMEAVSPKSLIAGLSNPRPLLWNPLLSQSAEPKGICSAAEQSDVSSALVVVSFYKFADFPGPCRDADALERSLRGTGGIILAPEGINGSICGTPESVEKVLEFIQSDDRLRGLRLVESPVSPEDEAIHHGHTSHSPVGAGEDAPFRWDHVRVKLKKEIVTFGDPSIRPTERVGKYVSPNDWNTLISDPDTVSGFMLQHAIITTKFLVFNFTFLFLMLWMWWP >DRNTG_30764.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28729091:28732177:1 gene:DRNTG_30764 transcript:DRNTG_30764.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 7 [Source:Projected from Arabidopsis thaliana (AT2G40760) UniProtKB/Swiss-Prot;Acc:Q1JPN0] MRMPLKDLCEELRVSGGIILAPEGINGSICGTPESVEKVLEFIQSDDRLRGLRLVESPVSPEDEAIHHGHTSHSPVGAGEDAPFRWDHVRVKLKKEIVTFGDPSIRPTERVGKYVSPNDWNTLISDPDTVVIDVRNTYETRIGKFKGAVDPCTTAFREFPAWVDDQFQLAELEEQIVNKADSPKAGSKEQNKIEEAGKLPRGAMYCTGGIRCEKASSFLLSKGFEEVYHLEGGILKYLEEVPKAESLWEGECFVFDKRVSVEHGLTQGNFKLCYGCKQPVSDEDMEAPEWEFGVSCPYCFSTKSEEEKDRARARQKQFEAWGVIGGPDKGRRKPAELQKGTDEEARQPNSSI >DRNTG_22822.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14825594:14826824:1 gene:DRNTG_22822 transcript:DRNTG_22822.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHSGRKSYYLESVKSTFAAALERATLEDNKSSTNVYTIEEASDPRCERVPVCVTPMKVWNWEAIQVEYYSITAHSRPRNQRNRESTQACGNYPRPCARV >DRNTG_34778.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002194.1:13740:14689:-1 gene:DRNTG_34778 transcript:DRNTG_34778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSLGATLRSERGAMELDWIKRVNIVRDIAHALSYLHHDCNPPIVHRDITSNNILLDKECKACVADFGISQLLKSNSSHWSLLAGTYGYMAPELAYTMRVTKKCDVYSFGIVALEVICGMHHGDLLSNLSLSMLVRDMLDPRLPLPFPLLPLHIADQVIMNQVLFVILMAMHCINTDPQVRPTMQQV >DRNTG_25790.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2896161:2901068:1 gene:DRNTG_25790 transcript:DRNTG_25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVDAFAGKLVERLANVIEEKAIMVLGVKDDLQRLRRRMERMARVLKDAERRRIQDEAVQGWVDELKDLMYDAEDIIDLCMIQGMRLLQDDDQHSQPAESSAAASTRVRCCNFPLFSCVRSVPFRYEIADQIKNLNVKLEEISADKDKFNFITSSSSNSSDAYVVNHQPSSRQSSSLPEPDIVGWDIRDSTKSLVELLISPHEQKCRLFAIVGMGGIGKTTLARQIYNDSKINDHFLLHSWIWVSKSSTSTTDLLKEIIRNVGDSYGESMTIAELQKILSKVLHEKSLFLVLDDVWDANVWIELIESLLQIATKKCRVLVTTRDRNTAMKMRAAHIHNVNKLSLDFGWELLCKKVFTNNEVSDMQRLKDIGMQIVEKCDGLPVAIKAIAGVLLTKDRNKREWENVLNSDAWTITGLPEELRGALYLSYDTLPSALKQCFLYCSLQRHEFQREEVIHEWIAEGFIKPSGNASMEDIAKDYYMELIRRSFLQPNLDYVDMSECTMHDLLRGLAQALAGNENFLGDPQDARNTNSIKKVRRLTVSSERDNVIIEHLDSLRRLSLLTPPNLNTSVIGSLKHLRLLILNGDRIENIPDSIGDLVHLRLLDLYNTRICELPEFLGNLINLQSLVLSYCESLHILPRSITKLCSLRRFVLHHTPLNYVPKGIGKLEHLNSLSGFIIGNNGINEGVGCDLEELQMLENLSFLDIKNLEKARGKSALVLSNKPSLRELHLCCTRNISGHVQQQEMDKIVQVFDQLSPSTSLVDLAIADYFGLDIQSG >DRNTG_25790.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2896231:2901068:1 gene:DRNTG_25790 transcript:DRNTG_25790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVDAFAGKLVERLANVIEEKAIMVLGVKDDLQRLRRRMERMARVLKDAERRRIQDEAVQGWVDELKDLMYDAEDIIDLCMIQGMRLLQDDDQHSQPAESSAAASTRVRCCNFPLFSCVRSVPFRYEIADQIKNLNVKLEEISADKDKFNFITSSSSNSSDAYVVNHQPSSRQSSSLPEPDIVGWDIRDSTKSLVELLISPHEQKCRLFAIVGMGGIGKTTLARQIYNDSKINDHFLLHSWIWVSKSSTSTTDLLKEIIRNVGDSYGESMTIAELQKILSKVLHEKSLFLVLDDVWDANVWIELIESLLQIATKKCRVLVTTRDRNTAMKMRAAHIHNVNKLSLDFGWELLCKKVFTNNEVSDMQRLKDIGMQIVEKCDGLPVAIKAIAGVLLTKDRNKREWENVLNSDAWTITGLPEELRGALYLSYDTLPSALKQCFLYCSLQRHEFQREEVIHEWIAEGFIKPSGNASMEDIAKDYYMELIRRSFLQPNLDYVDMSECTMHDLLRGLAQALAGNENFLGDPQDARNTNSIKKVRRLTVSSERDNVIIEHLDSLRRLSLLTPPNLNTSVIGSLKHLRLLILNGDRIENIPDSIGDLVHLRLLDLYNTRICELPEFLGNLINLQSLVLSYCESLHILPRSITKLCSLRRFVLHHTPLNYVPKGIGKLEHLNSLSGFIIGNNGINEGVGCDLEELQMLENLSFLDIKNLEKARGKSALVLSNKPSLRELHLCCTRNISGHVQQQEMDKIVQVFDQLSPSTSLVDLAIADYFGLDIQSG >DRNTG_02606.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21304957:21305617:1 gene:DRNTG_02606 transcript:DRNTG_02606.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHKHKTLAIDIISSSKYTSSPQGLPASGNLGVYSSMQTNTNNRSMKTTTNGIRKLP >DRNTG_02606.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21298103:21305617:1 gene:DRNTG_02606 transcript:DRNTG_02606.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHKHKTLAIDIISSSKYTSSPQGLPASGNLGVYSSMQTNTNNRSMKTTTNGIRKLP >DRNTG_03052.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18591302:18592157:-1 gene:DRNTG_03052 transcript:DRNTG_03052.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESITPGRKKGTPHCLSTHPLNPLQPSFCLTPVVCHSLTRNLSKTLANTPRNPSRSPLASWGKDGEKNPKIGAESALNRAGIGRLHGRGVYTRPCGISTRVWIISTRSCGFSVSLVSRPAVNCAATVHATVLLKCSATVFDLNSFPIP >DRNTG_34318.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29313489:29314021:1 gene:DRNTG_34318 transcript:DRNTG_34318.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFSSSSTSSPSSPSSPSLKHKLRSSICFSCCFRADRDADDRQATSLMRSSSAWIRSKAPEIGDRYRTFVSRMSQNHHRRRHSGDFRYDPLDYALNFDDGGDADDAYLAGDLSKYRNFSSRLPASPPPPPPPSSAVAAV >DRNTG_34318.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29313426:29314252:1 gene:DRNTG_34318 transcript:DRNTG_34318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFSSSSTSSPSSPSSPSLKHKLRSSICFSCCFRADRDADDRQATSLMRSSSAWIRSKAPEIGDRYRTFVSRMSQNHHRRRHSGDFRYDPLDYALNFDDGGDADDAYLAGDLSKYRNFSSRLPASPPPPPPPSSAVAAV >DRNTG_34318.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29313489:29314252:1 gene:DRNTG_34318 transcript:DRNTG_34318.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFSSSSTSSPSSPSSPSLKHKLRSSICFSCCFRADRDADDRQATSLMRSSSAWIRSKAPEIGDRYRTFVSRMSQNHHRRRHSGDFRYDPLDYALNFDDGGDADDAYLAGDLSKYRNFSSRLPASPPPPPPPSSAVAAV >DRNTG_34318.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29313489:29314069:1 gene:DRNTG_34318 transcript:DRNTG_34318.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFSSSSTSSPSSPSSPSLKHKLRSSICFSCCFRADRDADDRQATSLMRSSSAWIRSKAPEIGDRYRTFVSRMSQNHHRRRHSGDFRYDPLDYALNFDDGGDADDAYLAGDLSKYRNFSSRLPASPPPPPPPSSAVAAV >DRNTG_18454.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19531589:19531991:-1 gene:DRNTG_18454 transcript:DRNTG_18454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQQQQHQRMIERSGSGLEDVIMGCTSTENKGESSMQQSQETEWLKYSSYWLPDNPDHHG >DRNTG_17327.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31982512:31983274:-1 gene:DRNTG_17327 transcript:DRNTG_17327.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKADTNPTVLNITYYGHHTCHQKIPTHEQQQDRFDSENSHEQHHLLSFQTELKAQDQMSSSFSFLSAPLSQAQPGIHLFSASTIDTRITESSSPTFVSQTSELKNYLNKGKNLRTSETELTEVISTATSTTNPLTVDMDFLLDPNFSFDDSNFLS >DRNTG_17327.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31982512:31983961:-1 gene:DRNTG_17327 transcript:DRNTG_17327.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSISIKTINHPRNKTTHHIEQTSNFKQVKLSEKVKMEGDKSDQKMLLDELSRARELMRQLTVHLEHLSTSESYGTSARELMCSIEKAFSLAKLSCFSDQEKRSTSGSLQSENEQDLRDMSRKRKSLPTMTRQIQLTAEGEVSSMDDGYHWRKYGQKGILGAKHPRSYYRCTHRNTYGCSATKQMQKADTNPTVLNITYYGHHTCHQKIPTHEQQQDRFDSENSHEQHHLLSFQTELKAQDQMSSSFSFLSAPLSQAQPGIHLFSASTIDTRITESSSPTFVSQTSELKNYLNKGKNLRTSETELTEVISTATSTTNPLTVDMDFLLDPNFSFDDSNFLS >DRNTG_12996.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13036748:13037280:1 gene:DRNTG_12996 transcript:DRNTG_12996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTCILGVGPLPPKVHRSPSLSAGAKEKAAKPRHHNPPPLQSLEMVKPQHKLPSDPCGPYPSISSSSGSGEQVYSSSKARELRQRDPYPKLVLYRSSCRLRTPVLSSWSFTDVLRMACKYRYAGQERYVALDTPSSY >DRNTG_06688.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3292870:3295623:1 gene:DRNTG_06688 transcript:DRNTG_06688.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prefoldin subunit 5 [Source:Projected from Arabidopsis thaliana (AT5G23290) UniProtKB/Swiss-Prot;Acc:P57742] MASKGAAASPAALRASSEMEKMSLEQLKAIKEQTDLEVNLLQDSLNNIRTAATRLEHASTALNDLSLRPRGKQMLVPLTASLYVPGTLDDSEKVLIDVGTGYFIEKTMDEGKDYCNRKISLLKSNFDELVEVASKKKSIADEAGLILQAKLRQASTTS >DRNTG_18074.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:242275:243365:1 gene:DRNTG_18074 transcript:DRNTG_18074.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKSFFLSFFHFSKSKSNVKPEFQEPNKEGHKNSHVKRDLELHGTPPVLSNEKPEFQEPNQEGHKKLNGGADTGKAQVSDEDDIDKKTEEFIGKVHQSMNLGRPPTSPLLSANSLIT >DRNTG_33825.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002040.1:1734:2419:1 gene:DRNTG_33825 transcript:DRNTG_33825.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIVPLQSFVLGHGVHHQIKGQLSPSPSSSSSIRSSIVRTALVSSSSPAVVSSRATSMYSHEHESPGHIGFSKCLDDPYDRTTNPNGVVQLGIAENLLAMDLVKEWISENVKASLIDSEVSRLVPYHPYDGILELKTVRARVGSKVQRRST >DRNTG_16088.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25555567:25556901:1 gene:DRNTG_16088 transcript:DRNTG_16088.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRVVLIFLSATLAGFFLLRGLKAQPDQTTTGLDLDANESSVAMKEPLPVSTKVRSAACSVFWTCVDMASGRYLWRTLMPSSSSSSSSSSSICSNKKD >DRNTG_16088.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25555567:25556620:1 gene:DRNTG_16088 transcript:DRNTG_16088.8 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRVVLIFLSATLAGFFLLRGLKAQPDQTTTGLDLDANESSVAMKEPLPVSTKVRSAACSVFWTCVDMASGRYLWRTLMPSSSSSSSSSSSICSNKKD >DRNTG_16088.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25555567:25557372:1 gene:DRNTG_16088 transcript:DRNTG_16088.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRVVLIFLSATLAGFFLLRGLKAQPDQTTTGLDLDANESSVAMKEPLPVSTKVRSAACSVFWTCVDMASGRYLWRTLMPSSSSSSSSSSSICSNKKD >DRNTG_16088.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25555567:25556689:1 gene:DRNTG_16088 transcript:DRNTG_16088.7 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRVVLIFLSATLAGFFLLRGLKAQPDQTTTGLDLDANESSVAMKEPLPVSTKVRSAACSVFWTCVDMASGRYLWRTLMPSSSSSSSSSSSICSNKKD >DRNTG_16088.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25555567:25556775:1 gene:DRNTG_16088 transcript:DRNTG_16088.6 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRVVLIFLSATLAGFFLLRGLKAQPDQTTTGLDLDANESSVAMKEPLPVSTKVRSAACSVFWTCVDMASGRYLWRTLMPSSSSSSSSSSSICSNKKD >DRNTG_16088.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25555185:25556689:1 gene:DRNTG_16088 transcript:DRNTG_16088.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRVVLIFLSATLAGFFLLRGLKAQPDQTTTGLDLDANESSVAMKEPLPVSTKVRSAACSVFWTCVDMASGRYLWRTLMPSSSSSSSSSSSICSNKKD >DRNTG_16088.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25555185:25556901:1 gene:DRNTG_16088 transcript:DRNTG_16088.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRVVLIFLSATLAGFFLLRGLKAQPDQTTTGLDLDANESSVAMKEPLPVSTKVRSAACSVFWTCVDMASGRYLWRTLMPSSSSSSSSSSSICSNKKD >DRNTG_16088.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25555185:25557372:1 gene:DRNTG_16088 transcript:DRNTG_16088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRVVLIFLSATLAGFFLLRGLKAQPDQTTTGLDLDANESSVAMKEPLPVSTKVRSAACSVFWTCVDMASGRYLWRTLMPSSSSSSSSSSSICSNKKD >DRNTG_08106.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13625592:13636621:-1 gene:DRNTG_08106 transcript:DRNTG_08106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMNLISSECVYMFRNFLQSLLSFLRHPEESSTAEIHTGVWKFRSHVVLHCELVQRRHRGVGVDAPLRALLHPEKTQGCATFPMSWTCECPRPCGIFAWACETLSDSSRLNKEATGAYVCPCASGARAWTFFAHPYGCV >DRNTG_00579.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29977973:29978500:-1 gene:DRNTG_00579 transcript:DRNTG_00579.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYVTIWDGSTWATGGGRYKVNYKYAPYVAEFANLILQGCVVDPTDHSSACDDFYSDGFGTMTVSFDEKLKMESFRRKYMTYSYCYDVVRYSKPLPECITDHHGIEHVRAGRRGRQKYGNKKQSAI >DRNTG_00579.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29979054:29979594:-1 gene:DRNTG_00579 transcript:DRNTG_00579.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMASLLLLTILFALFLTSNGTKASILIQDFPTLSFEEGYTQLFGDTNLMLLSNGNTVHISLDERTGAGFASQDLFLHGFFSASIKLPSHYTAGVVVAFYVSFQFFIHASNLDDDLHLYFIEFDLFSNY >DRNTG_00579.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29977973:29979594:-1 gene:DRNTG_00579 transcript:DRNTG_00579.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGDVFEKTHDELDFEFLGNVRGREWRVQTNVYGNGSTAIGREERFYIDKVPIREVVKNQYIGGAFPSKPMSLYVTIWDGSTWATGGGRYKVNYKYAPYVAEFANLILQGCVVDPTDHSSACDDFYSDGFGTMTVSFDEKLKMESFRRKYMTYSYCYDVVRYSKPLPECITDHHGIEHVRAGRRGRQKYGNKKQSAI >DRNTG_00579.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29977973:29979594:-1 gene:DRNTG_00579 transcript:DRNTG_00579.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGDVFEKTHDELDFEFLGNVRGREWRVQTNVYGNGSTAIGREERYNLWFNPTHNFHNYSILWTSDRIIFYIDKVPIREVVKNQYIGGAFPSKPMSLYVTIWDGSTWATGGGRYKVNYKYAPYVAEFANLILQGCVVDPTDHSSACDDFYSDGFGTMTVSFDEKLKMESFRRKYMTYSYCYDVVRYSKPLPECITDHHGIEHVRAGRRGRQKYGNKKQSAI >DRNTG_00579.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29977973:29979594:-1 gene:DRNTG_00579 transcript:DRNTG_00579.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMASLLLLTILFALFLTSNGTKASILIQDFPTLSFEEGYTQLFGDTNLMLLSNGNTVHISLDERTGAGFASQDLFLHGFFSASIKLPSHYTAGVVVAFYMSNGDVFEKTHDELDFEFLGNVRGREWRVQTNVYGNGSTAIGREERYNLWFNPTHNFHNYSILWTSDRIIFYIDKVPIREVVKNQYIGGAFPSKPMSLYVTIWDGSTWATGGGRYKVNYKYAPYVAEFANLILQGCVVDPTDHSSACDDFYSDGFGTMTVSFDEKLKMESFRRKYMTYSYCYDVVRYSKPLPECITDHHGIEHVRAGRRGRQKYGNKKQSAI >DRNTG_22550.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001244.1:4261:6945:-1 gene:DRNTG_22550 transcript:DRNTG_22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSVAWGLLCFSPSLSFSNKANNLCDFACSIGLRFSIDHIRVASLGIFAISSIRLSIGIVLSPVIFCTLGPDPTSSSDLLHFIHCTVPCSVVFVDTDPYSSPSLPLSFKPMIASGIEFYLRSKPSLDHPPKPKPNPIAPTRAEAQRRSMSAIAYPCYQGRYAKGKRSRGIEDYEDISESPVHTPTPSVFTLNDPL >DRNTG_31923.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:361293:362405:1 gene:DRNTG_31923 transcript:DRNTG_31923.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISPVHPRETINKENIHNINNSKPNHELKLRNKYYTKEEHLIPYQKINMHRNHALQYTAISPTSK >DRNTG_31923.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:361293:362242:1 gene:DRNTG_31923 transcript:DRNTG_31923.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQETKKVLSTQDLSNLESRKKKISA >DRNTG_24444.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10114346:10115013:1 gene:DRNTG_24444 transcript:DRNTG_24444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLESMGHMSSTGGFSPITLRSLQRIGLVTLLRSTTLMNLGRSNPLFLAQESNLLFTTPGAILCLSLSPFIVLPF >DRNTG_22287.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21883880:21887181:1 gene:DRNTG_22287 transcript:DRNTG_22287.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVPTSDEDPVLAVVRFTSELSWADAGPEVAEPQVTRLCMEAQECLVIGGWLDLVSLMLTSADLIIQRVAEKDLECIYTVICSLVSRASSPDEALEMAKLITSKIIQHPNDKPALRLKLLFNLYNLLENPYSKFFVYMKALDLAASGKVTESIIQSFKKIDCFLEEWNIGIVDQRNLFLSISNILKDNKSTAKDSFTFLTRYLATFSGDDEESYTMNDAKEEAVRAIIEFVKSPDMFQCDLLDMPAVGQLENDEKYTLIYQLLKIFLTHRLDAYLDFQSANSALLKSYGLVNEDCVTKMRLMSLIDLSSNASGEIPYSHIKDALQITDDEVEYWVVKAITSKVLNCKMDQLNQLVIVSRRTERVFGPAQWQALRSKLGIWRDNIAGAINTIQSKKISEDGIQASQAMTIR >DRNTG_30430.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4557468:4562674:-1 gene:DRNTG_30430 transcript:DRNTG_30430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMADPTTGGFASAMIVNHRFVVSIPKKLAPEQAAPLLCAGVTAYSPLKHFCRKEDNNEGGVKVGILGLGGVGHVGVIIAKAMGHHVTVISSSNKKEEEALQHLGADAYLVSTDTKEMDEAVASLDFILDTIPALHSINSYLSLLKPDGKILLVGVSPQDLQVNSSALILGRKTITGSFIGSIADIQEVLNFWEEKELKSMVEVVKMDYVNKAVERMEKNDVRYRFVLDVHGSDLS >DRNTG_12700.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4347477:4352189:-1 gene:DRNTG_12700 transcript:DRNTG_12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GR1 [Source:Projected from Arabidopsis thaliana (AT3G25530) UniProtKB/TrEMBL;Acc:A0A178VK60] MEKGYIDMSTVDPDTSLKISEAIEHKGGYFLEAPVSGSKKPAEDGQLVILAAGKKELYEEIIPAFDVLGKKSFYLGQVGNGAKMKLVVNMIMGSMMNAFSEGLCLADRSGLNCQTLLDVLDLGGIANPMFKMKGPTMLQSSYSPAFPLKHQQKDMRLALALGDENAVSMPVAAAANEAFKKARSLGLGDLDFSAVYEAVKVAEGSASGTK >DRNTG_09482.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21450296:21453636:1 gene:DRNTG_09482 transcript:DRNTG_09482.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALKSMVTFVEALDQLMPGFDFEIEKLAQRILVNPRNINYHTGVFTNKITPTNYGRPVLIELIDAKTKEPNDTLEVIYYLMSFDNLQTILE >DRNTG_09482.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21450296:21453636:1 gene:DRNTG_09482 transcript:DRNTG_09482.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKSMVTFVEALDQLMPGFDFEIEKLAQRILVNPRNINYHTGVFTNKITPTNYGRPVLIELIDAKTKEPNDTLEVIYYLMSFDNLQTILE >DRNTG_09482.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21450296:21453636:1 gene:DRNTG_09482 transcript:DRNTG_09482.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKSMVTFVEALDQLMPGFDFEIEKLAQRILVNPRNINYHTGVFTNKITPTNYGRPVLIELIDAKTKEPNDTLEVDAALMATGRAPFTKELGIENVCNSSLTFSYQLLVCVKSSNLLSMFGLSYPINVVTQCSFVPIDDHMQVLDASGNPVS >DRNTG_20755.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001146.1:16146:18187:-1 gene:DRNTG_20755 transcript:DRNTG_20755.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKQVATIIAWFEADSICGSHSVVIPFVLVFPYLCRLLQCLRKYQDTPF >DRNTG_20755.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001146.1:16146:17433:-1 gene:DRNTG_20755 transcript:DRNTG_20755.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALFLCTLPGSEMTQSSISAISASLFSYLYVVPSVSSSISTLCPHVMDFQVATIIAWFEADSICGSHSVVIPFVLVFPYLCRLLQCLRKYQDTPF >DRNTG_20755.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001146.1:16146:23281:-1 gene:DRNTG_20755 transcript:DRNTG_20755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKQVATIIAWFEADSICGSHSVVIPFVLVFPYLCRLLQCLRKYQDTPF >DRNTG_24739.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2286456:2288373:1 gene:DRNTG_24739 transcript:DRNTG_24739.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPWPAIPFYDLQSKRGLTEKFDIEGIPTLILFSPKGELLQTDGVELIERYGYEAYPFTSDKMAELEAEEKARYASQTLEKLLVCSDRDFVVGHNQQVPVSGLVGKTIGLYFSASWCAPCSKFTSKLVSIYESLRRNKKDFEVVFVSLDKEQEGYAQCFNEMPWFALPFEKNTSKTLSRYFDIRGIPSLIIVGPDGKTVTKDGKYLINLHSEMAFPFTEAQMRFLKGKMDEEAKKYPLSIQHVGHQHILKLVSENSGGGPYICCECEQQGSGWAYQCLDCGYEVHLKCVEVDKEVDGKDKNGDEFCVVSST >DRNTG_24739.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2286456:2288373:1 gene:DRNTG_24739 transcript:DRNTG_24739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWPAIPFYDLQSKRGLTEKFDIEGIPTLILFSPKGELLQTDGVELIERYGYEAYPFTSDKMAELEAEEKARYASQTLEKLLVCSDRDFVVGHNQQVPVSGLVGKTIGLYFSASWCAPCSKFTSKLVSIYESLRRNKKDFEVVFVSLDKEQEGYAQCFNEMPWFALPFEKNTSKTLSRYFDIRGIPSLIIVGPDGKTVTKDGKYLINLHSEMAFPFTEAQMRFLKGKMDEEAKKYPLSIQHVGHQHILKLVSENSGGGPYICCECEQQGSGWAYQCLDCGYEVHLKCVEVDKEVDGKDKNGDEFCVVSST >DRNTG_31210.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21637894:21640164:1 gene:DRNTG_31210 transcript:DRNTG_31210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIAYLDQQLRLAPGTDVDPCGAGGEIHPDLAAASEDFGGVVRALPAAVHRPRSADDVAEVIRQALRYDHLTVAARGNGHSVAGQALAPGGIVLDMRTMSRMELVRGDGGQICADVGAGVLWGELLEWGVAKHGLSPASWTDYLGLTVGGTLSNAGISGQAFQKGPQISNVDELEVVTGDGERVVCSPKSRSDLFFGVLGGLGQFGVITRARIPLIPAPPMVRWIRVVYASFEGYKADVEWLVGRPEREGFDYIEGFAFVNRGGDPASGWESVPIGPSSVFDASLVPHGSGPLLYCLEVALYHQLHDGVDKRVDEMLRPLKYIRGLEFTAEVSYVEFLSRVNLAESAARANGSWHAPHPWLNILISASDVSHFDRHVFQSVLKDGIGGPMLVYPLLRAKWDPRTSVALPDSDVFFLVALLRFNQPYPIGPPVESLISQNREILKRCKSNGYDFKLYFPNYKTEPEWVRHFGQDWARFVDRKAMYDPRAILSPGQNIFSRARPPSSGS >DRNTG_17194.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:386161:390355:1 gene:DRNTG_17194 transcript:DRNTG_17194.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G48850) UniProtKB/Swiss-Prot;Acc:P57720] MAASTSSLASKVGSGLGFQRSELRGLPPPSVQISIQRHSRPSRRLEVKAIGNVFGNFFRVATYGESHGGGVGCTISGCPPRLPISEADMQVELDRRRPGQSRITTPRKETDTCKILSGVADGMTTGTPIHVFVPNTDQRGHDYKEMSSAYRPSHADATYDFKYGLRSVQGGGRSSARETIGRVAAGAVAKKILKLRTGTEILAYVSRVHQVELPEGLVDNETVTYEQIESNIVRCPDPEYAQKMIDAIDAVRVRGDSVGGIVTCIARNVPRGLGSPVFDKLEADLAKAALSLPATKGFEFGSGFAGTLLTGSEHNDEFYTDANGNIRTRTNRSGGIQGGISNGETIYMRIAFKPTSTIGKKQNTVTRDRQETELIARGRHDPCVVPRAVPMVESMVALVLVDQLMAHLAQCEMFPVNPAFQDPINPAAHESLPHSQAHLNGFLLELYYCCILLFVASPDKAHPKSVSRKVVGKKLQLLSFSILWCLYQK >DRNTG_12148.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11813517:11816577:1 gene:DRNTG_12148 transcript:DRNTG_12148.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKAALAAALASSSRSSGTSKLWASPSLSMCSVKLICSANSCRSKLNHQRAFIP >DRNTG_02754.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:167261:173678:-1 gene:DRNTG_02754 transcript:DRNTG_02754.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVWHPECFRCHACNQPISDYEFSMSGNYPYHKACYKEQYHPKCDVCKQFIPTNMNGLIEYRAHPFWGQKYCPSHEVDGTPRCCSCERMESRDVRYVALDDGRKLCLECLDSSIMDTSECQPLYLDIQEFYEGLNMKIEQQVPLLLVERPALNEAMEGEKHGHHHLPETRGLCLSEEQTVSTILRRPKIGAGNRFMDMITEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYRSLSQEVEEGICQVLAHMWLDSEIMSGSGSNVASTSSSSSTGPSKKGAKSQFERKLGEFFKHQIESDTSSAYGDGFRAGNQAVLQFGLKRTLDHIRMTGSFP >DRNTG_02754.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:167261:173678:-1 gene:DRNTG_02754 transcript:DRNTG_02754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLNKIFKGSSHKISEGQYHGKAAADEIWNEPSSSLDVLSEYENEDIDHAIALSLSEEEHKKAKEIDNGSHLEEDEELARALQESLNVESPPRENGHIYQPMPFLFSSGVRICAGCNTEIGHGRFLSCMGAVWHPECFRCHACNQPISDYEFSMSGNYPYHKACYKEQYHPKCDVCKQFIPTNMNGLIEYRAHPFWGQKYCPSHEVDGTPRCCSCERMESRDVRYVALDDGRKLCLECLDSSIMDTSECQPLYLDIQEFYEGLNMKIEQQVPLLLVERPALNEAMEGEKHGHHHLPETRGLCLSEEQTVSTILRRPKIGAGNRFMDMITEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYRSLSQEVEEGICQVLAHMWLDSEIMSGSGSNVASTSSSSSTGPSKKGAKSQFERKLGEFFKHQIESDTSSAYGDGFRAGNQAVLQFGLKRTLDHIRMTGSFP >DRNTG_03827.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4617799:4618760:1 gene:DRNTG_03827 transcript:DRNTG_03827.1 gene_biotype:protein_coding transcript_biotype:protein_coding FIRKRTVTNRVNQIYQQTKIQTKSKQRNKQKHKQLKLKTRTDEAFHDPVWEISSKQEKIEG >DRNTG_03827.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:4617799:4618622:1 gene:DRNTG_03827 transcript:DRNTG_03827.2 gene_biotype:protein_coding transcript_biotype:protein_coding FIRKRTVTNRVNQIYQQTKIQTKSKQRNKQKHKQLKLKTRTDEAFHDPVWEISSKQEKIEG >DRNTG_33755.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32402820:32403907:1 gene:DRNTG_33755 transcript:DRNTG_33755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCSSFNGTLSDEESSIFISQLFGDQNSSFGMQTLCLEDANSYLWSQEPHFFSYSMDASMSLIDNSSNSTALDLEDNLSSKRKNEEVVPLEILKKKPRISKKVVSESTCSKGSSSMNLSCKTRASKGTATDPQSLYARKRRERINQRLRILQKLVPNGTKVDISTMLEEAVQYVKFMQLQIKLLSSDELWMYAPFAYNGMNIGLDLKIFPPEK >DRNTG_33755.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32402820:32403584:1 gene:DRNTG_33755 transcript:DRNTG_33755.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESCSSFNGTLSDEESSIFISQLFGDQNSSFGMQTLCLEDANSYLWSQEPHFFSYSMDASMSLIDNSSNSTALDLEDNLSSKRKNEEVVPLEILKKKPRISKKVVSESTCSKGSSSMNLSCKTRASKGTATDPQSLYARKRRERINQRLRILQKLVPNGTKVSDKLFI >DRNTG_17272.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5293227:5293852:-1 gene:DRNTG_17272 transcript:DRNTG_17272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPISLGQLANLYRIDIAENQLTGPLPVSRNKAPSLDLLLKTKHL >DRNTG_35425.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20333506:20334703:-1 gene:DRNTG_35425 transcript:DRNTG_35425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCANTRGRTPQPHNHVDWNVNVIASNYRRILNHCSCRNPQQLQISAKFSSLCAKTGFHANVNKVNQPHRSRHDFSVENLPDCEVLIHGSAEATEHLKQYCSKQFYPHIYAPQIEETIDVTSDLCAYKVNVSLLCFLM >DRNTG_00788.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:9964610:9965915:1 gene:DRNTG_00788 transcript:DRNTG_00788.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFMVLIITAIGIAETLSMAPDIVKGSQALGSVFSILERRTRIDPDDRNSEIVAEIKGDIEFRNVSFRYPTRPEVTILDDMNLKVEAGTSFAIVGRSGSGKSSVIALIMRFYDPSHGSILIDNKNIRNLNLKSLRLRIGFVQQEPALFSTTIYENIAYGKEGASEIEVMKAAKAANAHGFISRMPEGYSTSIGERGSQLSGGQKQRLAIARAVLKDPSILLLDEATSALDTASEKLVQEALRRLMEARTTVVVAHRLSTIQDANMIVVLQGGKVQEIGRHCDLLEKPASLYSQLVQLQQQSCVIGM >DRNTG_00788.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:9961499:9965915:1 gene:DRNTG_00788 transcript:DRNTG_00788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVLKQEIYLFDADAKNNNILYHISSDAVLVQDAIGDKIGHCLRYISQFLVGFIVGFSSVWQLTLLTLAIVPLMVIAGGIHTVTMSGLSKKGEAAYAEAGKIADEVMSQIRTVHSYVGEERSFGAYSRSIKKALEFGKKSGLAKGLGVGTTYGLLFCAWSLLLWYAGVLVRHKVTNGAKAFTTILNVIFSGFALGQAAPNIGSFAKGQAAASYIISMINDMSSASNTSDKGIVLQKFSGNIDFCNVSFSYPSRKNLVLEELNLLILAGRKHAVVGQSGSGKSTIISLIERFYEPTSGEILLDGYDMKKLQLKWLRNQMGLVSQEPALFSTSIAENILYGKEDAEMEEIIEAAKVANAHSFIQTLPDGYKTEVGEGGTQLSGGQKQRIAIARAVIRNPKILLLDEATSALDAESECHVQQALERVMMNRTTVVVAHRLSTISNVDLIAVVKNGKIIESGTHTELMSKEDNGEYAMLVRLQLSSSSQNLSEDTTKGQKTYAETSTYLDIHVNKDDKGSSKSVVIRDNKITELDSIESKRTPSISKFLKLNKPEWPYGVMGSVGAILAGIEGPLFALGITHILDTLYTHDNVKIRHEIKVVSLIFIAAAISTVPIYLLQHYFYTLMGEKLTSRVRLLMFSAILKNEIGWFDLEDNNSGFLTSSLAADATLVRSALADRMSTIVQNVALTVTAFVIAFVLSWRMAFVVVATLPLIIGASIAEHLFLKGFGGNYTHAYARATSIAREAITNIRTVAAFGAEDRISARFISELNEPKKQATLRGHISGIGFGLSQLFVFCSYALSLWYASQLIKHGNSNFGNIMKSFMVLIITAIGIAETLSMAPDIVKGSQALGSVFSILERRTRIDPDDRNSEIVAEIKGDIEFRNVSFRYPTRPEVTILDDMNLKVEAGTSFAIVGRSGSGKSSVIALIMRFYDPSHGSILIDNKNIRNLNLKSLRLRIGFVQQEPALFSTTIYENIAYGKEGASEIEVMKAAKAANAHGFISRMPEGYSTSIGERGSQLSGGQKQRLAIARAVLKDPSILLLDEATSALDTASEKLVQEALRRLMEARTTVVVAHRLSTIQDANMIVVLQGGKVQEIGRHCDLLEKPASLYSQLVQLQQQSCVIGM >DRNTG_28233.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20417235:20421388:1 gene:DRNTG_28233 transcript:DRNTG_28233.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFNRSRTLTRAGKSWSFSEMEYSDPKRKTHFLSKVLIGATLTVMCIMMLNHCPVASVKSKFASHEPGVKHILVTGGAGYIGSHAALRLLEDSYRVTIVDNLSRGNVGAIKVLQGLFPEPGRLQFILADLGDAKVVNQIFAGNAFDAVMHFAAVAYVGESTLEPLRYYHNITLNTLVILEAMAAHGVKTLIYSSTCATYGQPEKMPITEETPQVPINPYGKAKKMAEDMILDFSKRSNMAVMILRYFNVIGSDPEGRLGEAPKPELREHGRISGACFDAALGIITELKVKGSDYETIDGTCIRDYIDVTDLVDAHVKALEKAEVNKVGIYNVGTGKGRSVKEFVDACKKATQVDIKVEYLNRRPGDYAEVYSDPSKIVRELNWTAKHTDLEQSLRIAWRWQQLHTDGYAPPMAMAF >DRNTG_28233.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20417235:20421388:1 gene:DRNTG_28233 transcript:DRNTG_28233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFNRSRTLTRAGKSWSFSADHSLSSEMEYSDPKRKTHFLSKVLIGATLTVMCIMMLNHCPVASVKSKFASHEPGVKHILVTGGAGYIGSHAALRLLEDSYRVTIVDNLSRGNVGAIKVLQGLFPEPGRLQFILADLGDAKVVNQIFAGNAFDAVMHFAAVAYVGESTLEPLRYYHNITLNTLVILEAMAAHGVKTLIYSSTCATYGQPEKMPITEETPQVPINPYGKAKKMAEDMILDFSKRSNMAVMILRYFNVIGSDPEGRLGEAPKPELREHGRISGACFDAALGIITELKVKGSDYETIDGTCIRDYIDVTDLVDAHVKALEKAEVNKVGIYNVGTGKGRSVKEFVDACKKATQVDIKVEYLNRRPGDYAEVYSDPSKIVRELNWTAKHTDLEQSLRIAWRWQQLHTDGYAPPMAMAF >DRNTG_23829.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29496670:29498683:1 gene:DRNTG_23829 transcript:DRNTG_23829.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UNE15 [Source:Projected from Arabidopsis thaliana (AT4G13560) UniProtKB/TrEMBL;Acc:A0A384KL48] MSNTKQTFNAGKAHGEGQAKGEQWVQSAKDTAHSIQNSDTAQQMRESKDQAAGFLQQTGEHVMQMAHDAADAVKNAVGMGPNNSGTTTTTTTTHSTHKA >DRNTG_23829.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29497528:29498683:1 gene:DRNTG_23829 transcript:DRNTG_23829.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:UNE15 [Source:Projected from Arabidopsis thaliana (AT4G13560) UniProtKB/TrEMBL;Acc:A0A384KL48] MRESKDQAAGFLQQTGEHVMQMAHDAADAVKNAVGMGPNNSGTTTTTTTTHSTHKA >DRNTG_24449.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:491180:494206:1 gene:DRNTG_24449 transcript:DRNTG_24449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKADRAPQEQLSGELYLRHRLFGMSSFVGGYASAILAPGRCSPYEVGHGPVGDSSREPYVVAHDQLLAHAATVTIYKLNYQAHQNGKIGITINSNWYVPFSKSRSSDDSVQRSLDFMYGWFMDPLVLRGYPLNMRVYVGNRLPEFTKEQSQMVKGSFDFIGLNYYTSNYARNIPFSNMVNVSYNTDAHVAQTGDRNGILIGRQGLTSLANATVLSLEEALKDDVRVDFYKKHLIYLERAIKKGVDVRGYFAWSLLDNFE >DRNTG_33156.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13359164:13361463:-1 gene:DRNTG_33156 transcript:DRNTG_33156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAPVRNFHTVVDNFHTPVWILCFSGFSGISVGCEQCCYSTYYNVLCECSPYEIRLE >DRNTG_21289.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27338558:27339554:1 gene:DRNTG_21289 transcript:DRNTG_21289.1 gene_biotype:protein_coding transcript_biotype:protein_coding EDNQLEIQKNSSSDSQRNPNIPSLKLEINQAQVPSI >DRNTG_01192.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29445218:29446924:-1 gene:DRNTG_01192 transcript:DRNTG_01192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFRNFKIEKEAGIHRSMEEQTHLFDIINREQAKRPFEASLKDPDVEDAELLSLNLGTSYSGIKKEEKTSACMKNKEDGLSLGLELIKSEQEPIQAWNSQQTCAKRARVSVRARCDAPVMNDGCQWRKYGQKTAKGNPCPRAYYRCAGAPGCSVRKQVQRCAEDMSILISTYEGTHNHPLPVSAATIASTTSAAASMLMSGSSASSPAFDTCFSNLNFSSLDNLRSSPQLSLARPSFSSNPTITLDLTAPQSTLSSTKPFFENQIGTLSLGVQNQNLFTESTTKSIMSSPSFRSALATAIASYVGAHGSDKLLNSSRNTNSMILQPQPMTLFGAKCASASPLDSMENTI >DRNTG_01192.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29445218:29446924:-1 gene:DRNTG_01192 transcript:DRNTG_01192.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGCQWRKYGQKTAKGNPCPRAYYRCAGAPGCSVRKQVQRCAEDMSILISTYEGTHNHPLPVSAATIASTTSAAASMLMSGSSASSPAFDTCFSNLNFSSLDNLRSSPQLSLARPSFSSNPTITLDLTAPQSTLSSTKPFFENQIGTLSLGVQNQNLFTESTTKSIMSSPSFRSALATAIASYVGAHGSDKLLNSSRNTNSMILQPQPMTLFGAKCASASPLDSMENTI >DRNTG_01192.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29445218:29446924:-1 gene:DRNTG_01192 transcript:DRNTG_01192.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYICMYVDDDQLESLKTHLFDIINREQAKRPFEASLKDPDVEDAELLSLNLGTSYSGIKKEEKTSACMKNKEDGLSLGLELIKSEQEPIQAWNSQQTCAKRARVSVRARCDAPVMNDGCQWRKYGQKTAKGNPCPRAYYRCAGAPGCSVRKQVQRCAEDMSILISTYEGTHNHPLPVSAATIASTTSAAASMLMSGSSASSPAFDTCFSNLNFSSLDNLRSSPQLSLARPSFSSNPTITLDLTAPQSTLSSTKPFFENQIGTLSLGVQNQNLFTESTTKSIMSSPSFRSALATAIASYVGAHGSDKLLNSSRNTNSMILQPQPMTLFGAKCASASPLDSMENTI >DRNTG_01192.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29445218:29446822:-1 gene:DRNTG_01192 transcript:DRNTG_01192.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFRNFKIEKEAGIHRSMEEQLESLKTHLFDIINREQAKRPFEASLKDPDVEDAELLSLNLGTSYSGIKKEEKTSACMKNKEDGLSLGLELIKSEQEPIQAWNSQQTCAKRARVSVRARCDAPVMNDGCQWRKYGQKTAKGNPCPRAYYRCAGAPGCSVRKQVQRCAEDMSILISTYEGTHNHPLPVSAATIASTTSAAASMLMSGSSASSPAFDTCFSNLNFSSLDNLRSSPQLSLARPSFSSNPTITLDLTAPQSTLSSTKPFFENQIGTLSLGVQNQNLFTESTTKSIMSSPSFRSALATAIASYVGAHGSDKLLNSSRNTNSMILQPQPMTLFGAKCASASPLDSMENTI >DRNTG_31140.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:281861:285940:1 gene:DRNTG_31140 transcript:DRNTG_31140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMATSKHNSVLLAAVLIAAAVLFSAIPSTHSAASASTPKKPRLGVSTVPSQVAVDAGSVGFASVVFPLYGDVYPHGLYYASMSIGDPPKPYFLDVDTGSDLTWLQCDAPCVRCSKGPHPLYKPTKNKLVPCQDPLCEAVQRATGMRDENGCEPNQQCDYVVGYADQGSSTGVLVRDGFTLRFSNGSLARPRLAFGCGYDQQGIGPNAPAPTDGVLGLGRGKSSILSQLSDARLTRNVVGHCLGRHGGGYLFFGDGLVPRSGVTWSPMARTTVLAKYYSPGQASIYFGSKSLGVRQQQMVFDSGSSFTYFALQPYQSLLNAITKDLSGKPIKETHEDRALPVCWKGPKAFKSIFDVKTYFKSLVLNFVNGKKAFMEIPPENYLIVTDHGNACLGILNGTEVGLKDLNLIGDISMQDLMVVYNNENQQIGWIRGSCDRLPNADDDDDDNGFKSEGGHFEPQFLYQISVLPLLFNNQ >DRNTG_31140.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:281861:285940:1 gene:DRNTG_31140 transcript:DRNTG_31140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATSKHNSVLLAAVLIAAAVLFSAIPSTHSAASASTPKKPRLGVSTVPSQVAVDAGSVGFASVVFPLYGDVYPHGLYYASMSIGDPPKPYFLDVDTGSDLTWLQCDAPCVRCSKGPHPLYKPTKNKLVPCQDPLCEAVQRATGMRDENGCEPNQQCDYVVGYADQGSSTGVLVRDGFTLRFSNGSLARPRLAFGCGYDQQGIGPNAPAPTDGVLGLGRGKSSILSQLSDARLTRNVVGHCLGRHGGGYLFFGDGLVPRSGVTWSPMARTTVLAKYYSPGQASIYFGSKSLGVRQQQMVFDSGSSFTYFALQPYQSLLNAITKDLSGKPIKETHEDRALPVCWKGPKAFKSIFDVKTYFKSLVLNFVNGKKAFMEIPPENYLIVTDHGNACLGILNGTEVGLKDLNLIGDISMQDLMVVYNNENQQIGWIRGSCDRLPKSANSPL >DRNTG_14389.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:513792:515126:-1 gene:DRNTG_14389 transcript:DRNTG_14389.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPCAACKFLRRKCTQGCVFAPYFPPDQPHKFAHVHRVFGASNVAKLLNELPASQREDAVNSLAYEAEARLRDPVYGCVRYISLLQTRLKEVQLDLYNAKKELAAYIGPSALAPFISPQLGFTLPPNSIAQFGLGPIQQQQLQQQHQPQHQLLLQQPLQQQQLAEQQQQQALLAAREQEIMMMRFNGLYDGSASSSQELVLLPAEDHQLNLHHQHHQHHQPNQQQQQQQQQFVEQQQQQQQQGMQNEEGRNGAGQ >DRNTG_14389.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:513792:514951:-1 gene:DRNTG_14389 transcript:DRNTG_14389.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPCAACKFLRRKCTQGCVFAPYFPPDQPHKFAHVHRVFGASNVAKLLNELPASQREDAVNSLAYEAEARLRDPVYGCVRYISLLQTRLKEVQLDLYNAKKELAAYIGPSALAPFISPQLGFTLPPNSIAQFGLGPIQQQQLQQQHQPQHQLLLQQPLQQQQLAEQQQQQALLAAREQEIMMMRFNGLYDGSASSSQELVLLPAEDHQLNLHHQHHQHHQPNQQQQQQQQQFVEQQQQQQQQGMQNEEGRNGAGQ >DRNTG_14091.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20772436:20776447:-1 gene:DRNTG_14091 transcript:DRNTG_14091.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AL4 [Source:Projected from Arabidopsis thaliana (AT5G26210) UniProtKB/TrEMBL;Acc:A0A178U9E8] MDGAYNPRTVEEVFRDFKSRRAGMIKALTTDVEEFFQQCDPDKENLCLYGLPNEQWEVTLPAEEVPPELPEPALGINFARDGMQDKDWLSLVAVHSDAWLLSVAFYFGARFGFDKTERKRLFGMINDLPTIYEVVNGKDKVSPQGNNHSNNKHKSNSKPQRGSESQSKSSKIMVQKEEDEGLQEEDDEDEHGDALCGACGENYASDEFWICCDACEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARSS >DRNTG_14091.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20772436:20776447:-1 gene:DRNTG_14091 transcript:DRNTG_14091.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AL4 [Source:Projected from Arabidopsis thaliana (AT5G26210) UniProtKB/TrEMBL;Acc:A0A178U9E8] MDGAYNPRTVEEVFRDFKSRRAGMIKALTTDVEEFFQQCDPDKENLCLYGLPNEQWEVTLPAEEVPPELPEPALGINFARDGMQDKDWLSLVAVHSDAWLLSVAFYFGARFGFDKTERKRLFGMINDLPTIYEVVNGKDKVSPQGNNHSNNKHKSNSKPRGSESQSKSSKIMVQKEEDEGLQEEDDEDEHGDALCGACGENYASDEFWICCDACEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARSS >DRNTG_14091.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20772436:20776447:-1 gene:DRNTG_14091 transcript:DRNTG_14091.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:AL4 [Source:Projected from Arabidopsis thaliana (AT5G26210) UniProtKB/TrEMBL;Acc:A0A178U9E8] MQDKDWLSLVAVHSDAWLLSVAFYFGARFGFDKTERKRLFGMINDLPTIYEVVNGKDKVSPQGNNHSNNKHKSNSKPQRGSESQSKSSKIMVQKEEDEGLQEEDDEDEHGDALCGACGENYASDEFWICCDACEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARSS >DRNTG_26073.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4174970:4180521:-1 gene:DRNTG_26073 transcript:DRNTG_26073.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQELADQALEFSSDPSASEHAAAVEPALLRTTSSSRLNARAPEFVPRAAAPMVKIPHQPAMIHVFHGHPSSPGFVGPVVNHGGFEYFGGGFGGEQEAVQSAAVPDPDHTLAGPPPPPPVRDGLSDEVVQKITKQVEYYFSDINLATTEHLMRFISKDPEGYVPMSVIGSFKKIKALVHNNALLASALRSSSKLVVSDDGKKVRRQQPFTEKDVEELQARIVVAENLPEDHCYQSLMKIFSSVGSVRTIRTCYPQTPNGTIVPNNRSAKVEMLFGNKLHAFVEYESIDDAEKAVAELNDGRNWRSGLRVRLLHRCMNKLGLGRGRKGMHEGDLDGEEDVSTSNQPHERMSDDISHPSEVSHEHLSEETNDKEGVNRRGRGRGRGQHHNNHKTGGHAVGTPPNHLIHSEQPASAKQPPGPRMPDGTRGFTMGRGKPLSTPISG >DRNTG_29402.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1920809:1923511:-1 gene:DRNTG_29402 transcript:DRNTG_29402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVSTITGVIFDHAWDHVGRHIGYLLSYKRNINKLERKFDELDALRKDVQERVDATRRERLEVVDNVVQNWLMNVDRKEKEVKKIKENASANKHFLHIGLHYKLGKEAADLIKTTDDLIREGKFASVSHKQPPPSTTDSLLYNEGFVIFDSRKSRAKKILEALKNEAVHSIGLCGMGGVGKTMLVKDVAKQAKEQSFFGEVVMVTASQNIDLKKIQKEMAECLGFPLNEENVVVRAAKLAERLTTKKNKVLVILDDLWEQLHLSKVGIRFPEMATTCKVVITTRNKDVCKRMSCKEIVELNKLSDEESWSLFRSRAGDAVESPTMRELAWNVARECDGLPLALVVLGAALKGEKPEHWNTVLKQLKKSMDVDLLDVSKEVFQSIKLSFDILKNETAKSCFLHCCLYPEDYDIPKEELMHLMVGGDILIGVETLNDAQDRVNLLLDQLKARSLLLQGKDERFVKMHDVVRDVTIQIGAVADHAFYCRAGQGLKEWPVESEMRNCRRLSLMGNDIKDLRPDPMQYPKLEMLILRDNRRLSSIPEMFFQHMGSLMVLDLSYTDIKSLPNSLSCLTNLRALNLRGCRALEDISHINGLKMLEILILEDCPVSIAPEGVKWAQNVRFVDLGISVMNDYFSKEFPRFHRLEQLFMSKFAGSFRELILSLRHLTHLFIDQVVDLDDSLSHELVSPGSWPDRLQDFSINFLPEHVQYWYHLHLLGRRRLGLMGTNPLAVWVKKLLEKTQNLALAEFQETELSSIDCLLLSSLEYLTIVNWPNLIKLLGDERLLHEQMNLSQLKQMRIDNCPRLISLIPSSLWGSMQKLEYVRVKACPMMLELFPFECPPNLKKLHIDNCGVRYVVSSEMDTVAILADPSPALENLHIRNCQKMIGMISPSASLQTP >DRNTG_17059.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:30058:33361:1 gene:DRNTG_17059 transcript:DRNTG_17059.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic nuclear division protein 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G29170) UniProtKB/Swiss-Prot;Acc:Q8GYD2] MSKKRGLSLEEKREQMLQIFYDSQGFFLLKELEKLGPKKGVISQSVKDVVQSLVDDDLVLKDKIGTSVYFWSLPSCAGNQLRNAYSKLESDLKSSRKRFAELTEQRENLKKGREESDEREAALEELKAVELQHKKLTEELACYADNDPAALESMKNAIEVAHTAANRWTDNIFTLQQWCSNNFPQAREQLEHLYKEVGIPEDFDYLE >DRNTG_26252.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:7012293:7013097:-1 gene:DRNTG_26252 transcript:DRNTG_26252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHQPLGKSSPATFSPRLSCIVAGSTSGIGLSTAWQLLDSGVHVVMAVRKMKLGHELIHEWQCERFGNFPTLNIEFMELALLSLDSVVRFTEAWNARLGPLHVSINNARIFSIREPQRFSKIGYEEHLIVNLNSIMHYVDCVDIEDMNDTSGRKKYTSLVGYTNSKLAQIKFCSILHKCIPIEAGINIMRVSLGIVHKNAAWDLSKIIIAGYHLIPYFIFDAQEGLEAHSSLPLNIVEEFSPRIL >DRNTG_30263.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5094229:5096822:1 gene:DRNTG_30263 transcript:DRNTG_30263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAEKTVVPESVLKKRKREEQWALAKKQELADKRKKDRENRKVIFLRAQKYSKAYETQEKELIQLKREARLKGGFYVSPEPKLLFIIRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATMNMLQRVEPYVTYGYPNLKSVRELIYKRGYGKLNKQRAPLTENAIIEEALGKFGIICIEDLIHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >DRNTG_09722.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000409.1:9531:12083:-1 gene:DRNTG_09722 transcript:DRNTG_09722.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division cycle protein 123 homolog [Source:Projected from Arabidopsis thaliana (AT4G05440) UniProtKB/TrEMBL;Acc:Q9M0V1] MTTPHRSPDLEAAVTHSIADLGGAVLPKLNWSAPKDATWISADGSLRCTSFSEIALLLRSSDSIAHDLSQALASCSDASPDPSSLPFYLALRKWYPSLRPEMEFRCFVRGCRLIAISQREVTTFYPVLPDRKDEILPLIERFFHEVVREGFGSENYTIDVYLTSDGRVKIVDFNPWGAFTLPLMFEWEELDEQGFGAQGVEIRVVEGGRECGRG >DRNTG_09722.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000409.1:10965:12083:-1 gene:DRNTG_09722 transcript:DRNTG_09722.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division cycle protein 123 homolog [Source:Projected from Arabidopsis thaliana (AT4G05440) UniProtKB/TrEMBL;Acc:Q9M0V1] MTTPHRSPDLEAAVTHSIADLGGAVLPKLNWSAPKDATWISADGSLRCTSFSEIALLLRSSDSIAHDLSQALASCSDASPDPSSLPFYLALRKWYPSLRPEMEFRCFVRGCRLIAISQREVTTFYPVLPDRKDEILPLIERFFHEVVREGFGSENYTIDVYLTSDGRVKIVDFNPWGAFTLPLMFEWEELDEQGFGAQGVEIRVVEGGRECGRG >DRNTG_27968.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3453838:3454460:1 gene:DRNTG_27968 transcript:DRNTG_27968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQPQPLMSMSSPQVSRTEEGVKAMVVEVALRRHDIGWDAGVHK >DRNTG_10305.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19545506:19548418:-1 gene:DRNTG_10305 transcript:DRNTG_10305.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSTTLHRPLSAIAAVAVAAVSTDLPERFPGPKTAEQQPSPSPSVGFSASPSWFPRISISNLTRTSFPVLIAPYQYAKLAKPQEIGPAIRSSPADDVMYQWHLPAPSVGESECSLAKSQTVVVLLGWLGAKQKHLNKYAEWYTARGFHAITFTFPMGDIIGYKIGGKVEQNLDLFAEHLAGCVAEEDGKKLVFHTFSNTGWLTYGVLLEKFKKDDPSLMGKIKGCIVDSAPVAAPDPQVWASGFSAAFLKKQSVATKGGLVSNGAGLSMMVHSNSKFDQKPALAETALLTILERFFGVVLNLPSINRRLSDVLEVLSSEQPKCPQLYIYSSADRVIPAKSVETFIERQRK >DRNTG_10305.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19545506:19547544:-1 gene:DRNTG_10305 transcript:DRNTG_10305.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSTTLHRPLSAIAAVAVAAVSTDLPERFPGPKTAEQQPSPSPSVGFSASPSWFPRISISNLTRTSFPVLIAPYQYAKLAKPQEIGPAIRSSPADDVMYQWHLPAPSVGESECSLAKSQTVVVLLGWLGAKQKHLNKYAEWYTARGFHAITFTFPMGDIIGYKIGGKVEQNLDLFAEHLAGCVAEEDGKKLVFHTFSNTGWLTYGVLLEKFKKDDPSLMGKIKGCIVDSAPVAAPDPQVWASGFSAAFLKKQSVATKGGLVSNGAGLSMMVHSNSKFDQKPALAETALLTILERFFGVVLNLPSINRRLSDVLEVLSSEQPKCPQLYIYSSADRVIPAKSVETFIERQRK >DRNTG_10305.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19545946:19548418:-1 gene:DRNTG_10305 transcript:DRNTG_10305.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSTTLHRPLSAIAAVAVAAVSTDLPERFPGPKTAEQQPSPSPSVGFSASPSWFPRISISNLTRTSFPVLIAPYQYAKLAKPQEIGPAIRSSPADDVMYQWHLPAPSVGESECSLAKSQTVVVLLGWLGAKQKHLNKYAEWYTARGFHAITFTFPMGDIIGYKIGGKVEQNLDLFAEHLAGCVAEEDGKKLVFHTFSNTGWLTYGVLLEKFKKDDPSLMGKIKGCIVDSAPVAAPDPQVWASGFSAAFLKKQSVATKGGLVSNGAGLSMMVHSNSKFDQKPALAETALLTILERFFGVVLNLPSINRYPVFFSRFCHSMILN >DRNTG_11536.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21328163:21332019:-1 gene:DRNTG_11536 transcript:DRNTG_11536.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGDSEEVLRALDDCLSRIKWRLRSSAKRRLEIDILSLLTGLRPVVMVDYGGKMPELGEHLCALLHLARKMILHSEQNAATLELVAIQKKFASLLPSNEDNAGPSLPNAPISTPKVKSSDCDPHGYTNAGESFGFESSEPIDLSDIVKDTKITLPTLNGWLLGYPVVYLFSKDHVADAVYNLSTKYLHIYKILVRRKEASGRKSSEEELMSFTVPYELSQRADKEPWAEMFLTCISAKLESCRRVWMHLRMEVSECYPQAIVL >DRNTG_11536.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21329921:21332019:-1 gene:DRNTG_11536 transcript:DRNTG_11536.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGDSEEVLRALDDCLSRIKWRLRSSAKRRLEIDILSLLTGLRPVVMVDYGGKMPELGEHLCALLHLARKMILHSEQNAATLELVAIQKKFASLLPSNEDNAGPSLPNAPISTPKVKSSDCDPHGYTNAGESFGFESSEPIDLSDIVKDTKITLPTLNG >DRNTG_08968.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000392.1:56069:57570:1 gene:DRNTG_08968 transcript:DRNTG_08968.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVATCLRNVAALTGRVQRNIGIPAIGEISGFFMGERNQSIFTCLFQANQKRLMTRSRRVQDRSKLKRIHDLEIATERWKVVSKLLAMMEILKKEPEQIVPLRRLEQYRQQINLKKPHKVSDFIRKSPKIFELYRDKNGVVWCGLTKRAEHLVEEETRLLEEHTEKVVEYVTRFLMMSIDKRLPVDKIAHFRRDLGLPYDFRNKWIHMFPEHFRVIKIDDVEYLELTSWNPSWAVTELEKKSVAGASSEFHSPGILTLPFPMKFPPNFKKIFRDGGRIEHFQKRPYLSPYADARDLSPGSQEFDKRAIAVMHEILSFTLEKRLVTDFLTHFRWEFVMPQKLMRLFLKHYGIFYVSERGKRLSVFLSEAYEGCELIEKSPLVLWKEKILRLTGYRGRRKKIGKFNEFSYDDEEEEEQEDEEEEDILFGDNDDSESTLEFEDGESTDALEDDCLADDSEMDIDKVVMHMRVSTPLEV >DRNTG_08968.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000392.1:54959:57819:1 gene:DRNTG_08968 transcript:DRNTG_08968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATCLRNVAALTGRVQRNIGIPAIGEISGFFMGERNQSIFTCLFQANQKRLMTRSRRVQDRSKLKRIHDLEIATERWKVVSKLLAMMEILKKEPEQIVPLRRLEQYRQQINLKKPHKVSDFIRKSPKIFELYRDKNGVVWCGLTKRAEHLVEEETRLLEEHTEKVVEYVTRFLMMSIDKRLPVDKIAHFRRDLGLPYDFRNKWIHMFPEHFRVIKIDDVEYLELTSWNPSWAVTELEKKSVAGASSEFHSPGILTLPFPMKFPPNFKKIFRDGGRIEHFQKRPYLSPYADARDLSPGSQEFDKRAIAVMHEILSFTLEKRLVTDFLTHFRWEFVMPQKLMRLFLKHYGIFYVSERGKRLSVFLSEAYEGCELIEKSPLVLWKEKILRLTGYRGRRKKIGKFNEFSYDDEEEEEQEDEEEEDILFGDNDDSESTLEFEDGESTDALEDDCLADDSEMDIDKVVMHMRVSTPLEV >DRNTG_08968.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000392.1:54959:57570:1 gene:DRNTG_08968 transcript:DRNTG_08968.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVATCLRNVAALTGRVQRNIGIPAIGEISGFFMGERNQSIFTCLFQANQKRLMTRSRRVQDRSKLKRIHDLEIATERWKVVSKLLAMMEILKKEPEQIVPLRRLEQYRQQINLKKPHKVSDFIRKSPKIFELYRDKNGVVWCGLTKRAEHLVEEETRLLEEHTEKVVEYVTRFLMMSIDKRLPVDKIAHFRRDLGLPYDFRNKWIHMFPEHFRVIKIDDVEYLELTSWNPSWAVTELEKKSVAGASSEFHSPGILTLPFPMKFPPNFKKIFRDGGRIEHFQKRPYLSPYADARDLSPGSQEFDKRAIAVMHEILSFTLEKRLVTDFLTHFRWEFVMPQKLMRLFLKHYGIFYVSERGKRLSVFLSEAYEGCELIEKSPLVLWKEKILRLTGYRGRRKKIGKFNEFSYDDEEEEEQEDEEEEDILFGDNDDSESTLEFEDGESTDALEDDCLADDSEMDIDKVVMHMRVSTPLEV >DRNTG_13729.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5445384:5451283:-1 gene:DRNTG_13729 transcript:DRNTG_13729.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAQNTIEMEEGTLEIGMEYRTVSGVAGPLVILEKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDNLLEGGEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLEKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDTTH >DRNTG_08173.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1659105:1661898:-1 gene:DRNTG_08173 transcript:DRNTG_08173.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPPLLLVALLVILVPLLEAVTDDTDVQALGVLYGSLNNPPQLSGWRSDGGDPCGESWKGVSCAGSAVTSIQVSGLGLNGTLGYLLSNLFSLRTFDISDNDIHDGIPYQLPPNLTHLNLASNSFTGNLPYSISTMTSLIYFNASHNTLSQSIGDLFLNLENLSDLDLSFNSFTGDLPTSMGSLSNLSSLYLQDNQLTGQVNLLTNLDLIDLNIANNHFTGWIPQEFKSIPNLVIDGNSFSNGPAPPPPPFTPPPPQRQHKHHNRSQPPSSSPPQSGGSPSDQEQRSKRSLGAGSIVGIVLGSILGVTCATLAIIICVRNLLNSRKDSHSNARNSSGVLAVGADK >DRNTG_08173.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1657111:1661898:-1 gene:DRNTG_08173 transcript:DRNTG_08173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPPLLLVALLVILVPLLEAVTDDTDVQALGVLYGSLNNPPQLSGWRSDGGDPCGESWKGVSCAGSAVTSIQVSGLGLNGTLGYLLSNLFSLRTFDISDNDIHDGIPYQLPPNLTHLNLASNSFTGNLPYSISTMTSLIYFNASHNTLSQSIGDLFLNLENLSDLDLSFNSFTGDLPTSMGSLSNLSSLYLQDNQLTGQVNLLTNLDLIDLNIANNHFTGWIPQEFKSIPNLVIDGNSFSNGPAPPPPPFTPPPPQRQHKHHNRSQPPSSSPPQSGGSPSDQEQRSKRSLGAGSIVGIVLGSILGVTCATLAIIICVRNLLNSRKDSHSNARNSSGVLAVGADKGINKELQEQKLKSAPKTNLKSPPSDIVVAEKAYGKNASVRRPKVPITATSYTVAALQIATNNFSQENLVGEGSLGRVYKAEFSNGKVFAIKKIDSAAVSLQEEDNFLEAVSNMSRLRHPNITTLAGCCVEHGQRLLVYEYIGNGTLHDMLHFADNSGRILTWNARVKVALGTARALEYLHEVCLPSVVHRNFKSANILLDEELNPRLSDCGLAALTPNTERQASPPSYILYFSCGG >DRNTG_11242.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21873324:21875047:1 gene:DRNTG_11242 transcript:DRNTG_11242.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPL9 [Source:Projected from Arabidopsis thaliana (AT3G44890) UniProtKB/TrEMBL;Acc:A0A178VBQ7] MATASSPSLSWSSSSRSWPTFHDRSSMPMIVAQKKAKKTRKIILKEDVSELGKKGQLLEVKAGFFRNYLFPLGKAQLVTPLLLKEMRVEEERIEAEKKRVREEAEQLALLFQTVGAFKVKRKGGKGKLIFGTVTAQDLVDIIKAQLQRDVDKRIISLPEIRETGEYIAELKLHPEVTAQVRLNVYAN >DRNTG_28542.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:20661842:20663476:1 gene:DRNTG_28542 transcript:DRNTG_28542.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHEEITEYFHGVKLWWFSSSISPSKQTISFYPASENKRSYLLTFHRRHRTLISESYLPFVIQQGKDISVQKRQRKLYTNSPNFDYHECRRVLWSHVPFEHPATFENLAMESEMKHEIIQDLVKFSKSKEYYAKIGKAWKRGYLLYGPPGTGKSTMIAAMANLLDYDVYDLELAAVKNNSALRKLMLETTSKSIIVVEDIDCSLELSGKRKAEGGSLEGKEEEKKAVGGPPDKEESKVTLSGLLNCIDGLWSACGGEKVIVFTTNHIDKLDPALIRRGRMDKHIELRYCGYEGFKVLAKNYLGVQSHELFDSIHELLKEKKMSPADVAESLMPKNECEDQIDVCLESLIKALKDCKVLKD >DRNTG_06098.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4705061:4706786:1 gene:DRNTG_06098 transcript:DRNTG_06098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSCFIYLHPCVVLLLKKSMEVINKSKILIIGVTGYIGRYLVEASLSMGHPTFALVRETTMANHPDKARYMQDLKDSGVTILFGDLYDHESLVKAIKQVDVVFSLMGHHQDKQLADQIIIVSAIKESGNIKRYFPSEFGFDVDKVRILEPAKSTLAIKARVREEIRMAGIPFTFISSNLCSTYFLSRLGQVESTGIPDEKVIIMGDGNTKVIINSEKDIAIYAIRAVDDPRTFNKVLYVRPASNHCTLNEIVSFWEKKTSKTLDRIYVSEEEVLKKIQSSLEPLPFFYAIAHACFIKGETCNFKIDKSVGVEATELYPDHKYTSVYEILNQFI >DRNTG_06098.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4705666:4706786:1 gene:DRNTG_06098 transcript:DRNTG_06098.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIPFTFISSNLCSTYFLSRLGQVESTGIPDEKVIIMGDGNTKVIINSEKDIAIYAIRAVDDPRTFNKVLYVRPASNHCTLNEIVSFWEKKTSKTLDRIYVSEEEVLKKIQSSLEPLPFFYAIAHACFIKGETCNFKIDKSVGVEATELYPDHKYTSVYEILNQFI >DRNTG_15528.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8893211:8894452:-1 gene:DRNTG_15528 transcript:DRNTG_15528.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISEEMLSTLVPPVVYWVVSGIYALLAIYLNEYRLHPKGDAETNNSVSRTTVIKGVLIQQAMQMVVVYMATKIRAKEGLAAKEQQPSLPVMAVQWVIAMIVLDTWQYFTHRYIHINRFLYKHIHAVHHAQIVPYVYGALYGHPLESLIVDIIGGTLAYLISGMTTRTSIYFFSFSLIKTLDLHSGLCIPWNPLQAFFFNDCAFHDTHHQLKGHRYNFSQPFFISWDKILGTYMPYSVEKRTGGGLELKLLKDA >DRNTG_35447.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002248.1:48908:49448:-1 gene:DRNTG_35447 transcript:DRNTG_35447.1 gene_biotype:protein_coding transcript_biotype:protein_coding DNKVPWFTIATFSKDCNPPPTLPRNSQQTNWQG >DRNTG_06948.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:820744:821391:1 gene:DRNTG_06948 transcript:DRNTG_06948.1 gene_biotype:protein_coding transcript_biotype:protein_coding GQDPRTHGSRTTPHNHYSHSEKCALINDSNFHHL >DRNTG_07345.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:7575205:7575582:-1 gene:DRNTG_07345 transcript:DRNTG_07345.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPKPLFEGRHAQVNLVSKRPKEKPLPL >DRNTG_27725.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:249536:251285:-1 gene:DRNTG_27725 transcript:DRNTG_27725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPKYRSRADLLASRLDQKAFKEKKN >DRNTG_33568.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28657268:28658646:1 gene:DRNTG_33568 transcript:DRNTG_33568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVYASLVFVLVSVPLMGGTTLGVSVDTSLAVLITVDQSGKGDFQRIQDAIDAVPSFNSEQVFILIKPGIYRERVVVPEDKPWITLSGTNATSTIITWSGAWDTLESTTVSILAPDFVGRHLTIQVIF >DRNTG_16959.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:175703:177400:1 gene:DRNTG_16959 transcript:DRNTG_16959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANERLAFWINLYNTLIMHAYLAYGVPKSDMKLFSLMQKVSYTIGGCSFSAAEIEFVILKMKPPAYRPQIALILALHKFKISEEHKRYSIDSSEPLVVFALSCGMYSSPAVRVFTAENVREELQNSMRDYMRAYIGINNKGKLLVPKLVYCFAKDIVEDSLLVDWICRYLSPDQVTVVRDSASQWKQRLLGVRSFSIIPFDTRFRYLFLPDGKSTLQSCS >DRNTG_19569.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001020.1:15776:16675:-1 gene:DRNTG_19569 transcript:DRNTG_19569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMLGVMASLFLMIIIPRSDAGSIAIYWGQNGNEGTLADTCATGNYAFVNLAFLCVFGNGQNPQLNLAGHCDPSSNNGCAGLSQDIKSCQSQGVKVILSIGGGAGAYALASKDDARQVAQYLWNNYLGGQSSDQRPLGDAVLDGVDFDIEGGSPAHYDDLARYLSGYSATKGSKKVYLTAAPQCPYPDAWVGGALDTGLFDYVWVQFYNNPPCQYQSGSVTNLDDAWKQWTSGIKAKNIFLGLPAAPDAAGSGFIPVGDLTSQVLPSLKRSDVYGGVMLWSKYYDDHTGYSSAIKHDV >DRNTG_08617.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5664278:5672539:-1 gene:DRNTG_08617 transcript:DRNTG_08617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMETAAINAACACMRNLVVRDDICYICLTEEKLVDLASEVKDVFALSEDVKVKLKDEEEKLGKIPTYQVKRWLEQSKTTCNEAKGLEIEYRGKWFASGYCSINCCSRYNISRRADRLSMQLKELKREKNDFKDLTETQGENIAMKIPMPLDLVETPYLNQICTYLNDAAVNVIGIYGMIGTGKTTLLRVIYNSLLDDGMKNFNQVIMIKDSHNLNLEKIQKKIAEELNMPNDSTRNVMRYLKGKKFLFLLDDICQKVDFAEEVGIPLGDNKVIFTVLNRDMCSRMRAHRIVEVKCLKNEKAAWLIFNQNAKIEDLEFDSQFNKKKVNDLAKEVVNKCEGLPLALTLFGQAMSNKKTVEEWQHVVDKLDKPGSSDTLEMENTLHNNLKVCYETLDTPTLKKCFLSFSLWYNYPTIYKEDVIQCWMGLRFIVFTKYNEAFNEGCYLLGRLQNACLLTVVGDEVQLHHMVHRMVHSVASQDGTKMGKWIVRRNNSGQPQVLAEEIEEWKDAERIAVTMDPAIKEMPELSHNFPNLVSLMLQGNCSLIRLPDGIFQQMKKLEYLDLSSTSIDQLPMGIKDATNLQYLNISKTKIELLPRELAQLKELKFLICRKLKLGELEEGLVSSLYKLGVLELQPYTFVPIKCLRSSAASMKRIGMLVKSPLVFKLISELPTCYIQIEKLHELESISFESLSCKNRGCLEKLKLTACNELKELVVDGNESNFKKLHLWDLKNLNWIKFNGVEPRSYFQKLRKLYIAKCKSLKNLPWVLHLPTLVTLKIEQCEILEEFVCGKEEEIQQASECYSTFPMLEILSIGFLPSLLSISNDPLIFPCLSNLIVEGCPKLSRLPFAQHIITSNFRRLDCEEDWWNGLTWDDGHNIKSYIATKINFKEAGSSQPSSSSLPPVMTCPSPCIHPMDVIQSFSELSINKPALALEGLSTTTRA >DRNTG_03954.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10032413:10032899:-1 gene:DRNTG_03954 transcript:DRNTG_03954.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIIEEASDSRRECVPVCVTPMKPAEKSEKQRIHTVMWKLSTPVWKFHTGACIVHACGVARFRPYLKADSAPILVFFSPSFPQLVRGLRLGFRGILAKILGKFYGSDIVIPLGRRLVGELRSRRILYRTKESLDDE >DRNTG_24823.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31788591:31791331:1 gene:DRNTG_24823 transcript:DRNTG_24823.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKGRPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGSNSRTTESPGKEDQSLKSSTYSTKPTARKWLCCIQSGPAES >DRNTG_32193.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001796.1:9913:13954:-1 gene:DRNTG_32193 transcript:DRNTG_32193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQDIANIVVIWRGRQLNVEVNADSKVKDFGLMLQKLTDIKADTLRLLVPQSKASKMIIPFSDSHSNLTLREVSILEGKPIRMMGVFDNEIEEVSQNSSNPDLRIAGFDEEEKRMRQRMGRPVVSLKLPTGPYIFGNFQTLDIPGIELNPPPLEALKRMHMLACDPGIIAIMNKHRWRVGIMTEMAPEGYVGISPKCILGFNKNHGEEISLRLRTDDLKGFRKYDNIKKTLLHELAHMVYSEHDANFYALDKQLNREAESLDWTKSKGHTLSRRKVSDYYEDDIAYETVTGYEGQKLGGSSNALATARASSVAAAYYRSLKASTNLITTEDNHATEPDPDDNELRIDQEKIYAEPDPDDIVDIREDRTHISIIRESDVNDEPDPDDCLDHENTQEHCQTISKPDPDDSLIVEPDPDDSIPNGTDLNHKVILESATDEAEASILIDNGSPIKHVLPGRNCDEPDPDDSLKSDTLMDGKQMEIDEPDPDDSTITLDNEELRIIEEPVAAICARLQKAIAWLRSEAPPAEAASVLQTLSKIIRNVIDHPDNMKFRRLRKSNPMFQRNVANYKAAMEVLALIGFAEDIISDEVGRAEAYLVLKRNDPGLLWLAKSSLEISIA >DRNTG_30117.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31045386:31047972:1 gene:DRNTG_30117 transcript:DRNTG_30117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPPFPILAILYTVIASLVFNLHLCNGIYFPLVHQKHKYYSKHDEIYAKVNTLTSIASTLSFDYYSLPCCKPKEEIIQSREENLGMLLMGKRIRNSPYRFRMNTSESLYLCTWHPLSKEEANMLVQMSHDLYQTNMILDGLPVLRIIGVGDVQIKFMGFPLGYYSTLDFNYYIVNHLKFRILIHSIDVDGALKMEVVGFEVVPCSIKHDHRAISQLQMHDRINHSICESPEHEPQVILENETVSFTYQVEFVEKNELRWSSRWDAYLQDEPEQLRWFSILNSLLTISLLAGFLLFKFSRTLWKELSRGLPLMSQGVTRWWHKEPSCYSILFCVMVANGIQLSCTAAATIMFTLIGLVSPISQGDFLITASIVFYFFSGIPAGYVSVWLWRRFKGRENCEGWRSVTWSTSYLFNAIIFTIFVTMNMIHVANGSTRAVPASVYWTLLSLWLFISLPCTFIGGFIAASIPSSSSSSSVSSDLYSNIIQNNNHARSIKTWLTVLMAGLIPFSTIFIELFFFLSSIWLERRFNDYGLLLLMALLMLAIACSAVSAGIAYRCVCTEDWGWCWTSFFASGSTGLYVFIYSVYYLAIDLRWLNGPASTSIYIGYSLILALCVMLSTGAIGSLAAFSFLQYLSTYAKF >DRNTG_12929.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1542144:1543098:1 gene:DRNTG_12929 transcript:DRNTG_12929.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELIRHRTNLTTHQNVQDPDQQLEIKL >DRNTG_08738.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18725234:18728491:1 gene:DRNTG_08738 transcript:DRNTG_08738.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKSSLFVFHHTFLLEPLNKIKPTISSLPAHHPFPPSSIMNTLTLSFLILLPLLSSADQSSKQNNNIILLSCGLPTPNSSDGTRTWTSDKGTIYSTSLNADSAFKATSQLSDVPQVPYLTARIFTSNFTYRFPLKPGRIFLRLYFYPSDYSHFSASNALFSVTAGTTTLLNNFSVSQTADALTYSYLILEFSLNITSSPLNLTFFPSPSSNRSYYALINGIEIVSSDGLFTFTSSNRVNGGFPILVLGASIIPYSFNTNWALQTIYRLNVGGNTISPDQDSGNLYRLWDKDDNYIEGAGYGVTFSADYNVSIKYSKSLPKYIAPEMVYSTARSMGPNPAINLQSNLSWILPVDNGFYYLVRFHFCEIQNEFTLTNQRSFFIYINNQTAQEETDVIAMSGGIGNPIYEDFVTVMPGMGRSDLRIELHPDTTTKPEYYDAILNGLEVFKMEDANGSLAGPNPDSTPKSEGGDGSRVFPANHRSDHGSHKTLVVIIGGVIGGGAIIVCILFLICRSCLRKKTVAEDGHDKEKSSGSQFDTSRHFTFTEILVATDGFSDALLIGVGGFGKVFKGELPGVGGRKTKVAIKRGNPMAEQGVHEFQTEIEMFSKIRHHHLVSLIGYCDDNNEMILVYNFMSQGTLREHLYKSSKPPLPWKLRLEICIGAARGLHYLHTGAKNTIIHRDVKTTNILLDDKWIAKVSDFGLSKAKVALDNTHVSTVVKGTMGYLDPEYYRLQQLTDKSDVYSFGVVLMEVLCARAPVIKSLPEEEVSLAEWALQCMEKGVLESIIDPYLDGKIARQCLKKYAETAEKCLSDEGSERPSMGDVLWSLEFALQLQESAEDSSGLIVKDFSKGSGGDSGGDSRSAEEMSMSFRSQGSSESTPSGIFSMLTRPVHGMGRAGFGPG >DRNTG_30581.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3628215:3632372:1 gene:DRNTG_30581 transcript:DRNTG_30581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISKDEKIKLTKYILSSISEIMSSVLEVNIEKENLLEFGSYLHRTTPALSELQVAENVPSSTTETLRCLAAKVDIAKELVEKCNSGAKSVPASELKSIIEQIEGVVNSIGQDIGTIPSNIFQNHKYAGTAIQSLAKEMQNAQFDTDVSLKNNMEGEQDLYQVQTSKEEFKTTDNNIGDGEMPRLKDFLKGMYYHGKNIPPSKTLNQLAEQIEPLYEAFFCPLTKKVMDDPVTIETGVTYERKAIKKWFENLMNNSQDIVCPVTKQKLHSRVLSTNLALKTMITEWKERNEASRMRIAQTALSLASSASVILDAIRDLEDLCTKREHNKLKMHNFGVTKILAQFLEYDDRDVRCETSKALRCLAEDDRGKEIIAKTRAITAAVKMLSSDHLQEKHASLSLLLQLSKSEIICRNIGATPGAILMLITMKYNERTDFVSAERAGETLKNMEKCPDNIKCMAENGLLEPLLNHIVDGDEEMQIEMVSYLGDLVLGNDMKNYVARRMSPILIKMIQCGNTFSRKAAFKALLRLSSHHSNSTTLIEAGIVPIMVDEIFIRKIYDEPVDSKEESAAVIANILESGADPRFIQVYKHGHTMASDYVIYNIVHMLRYSNTAELNKNLIRILLSLTKLPKPFAAIVSVMKETEASYNVIEFLNSKNEDLVINSGKLLIKLITHMGHTIAEALCKTREQPGSLIKKLETDQVTKKHAISVNLVAKLPHHNLTLNLALLHSGTIPIIINNIQNFQRSETRIGRHERHYIEGLVGILLRFTTTLYDHEILQMAKEQNLTTVFTELLVRKSGSDEVQRMSAIGLENLSSETVNLSKPSMEIRGSTKMTKFLPRVFSIGSRRESRVKPCPVHRGACSPSTTFCLLESNALGKLVRCLQHENVKVVEAALSAICTLLDEKVDVERSLEVLGEMELVEQVVGVLRKHKQDGIWQKAFWVIERFVVKKGEELYGDEQFSEVLQDAYQHGNSRSRQVAQNMLSHLKKSSLPRNFTAV >DRNTG_30140.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3254621:3256975:1 gene:DRNTG_30140 transcript:DRNTG_30140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVMEFAENLILRLMEDPVERDRKAREHVYAIKEQCKKTKEMWSLPLRPYGFWTFERFNAQLYADAQISQAPGRRDPYDDILLAEPPVPPPSQKYKP >DRNTG_30140.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3254621:3256859:1 gene:DRNTG_30140 transcript:DRNTG_30140.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVMEFAENLILRLMEDPVERDRKAREHVYAIKEQCKKTKEMWSLPLRPYGFWTFERFNAQLYADAQISQAPGRRDPYDDILLAEPPVPPPSQKYKP >DRNTG_30140.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3254439:3256975:1 gene:DRNTG_30140 transcript:DRNTG_30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVMEFAENLILRLMEDPVERDRKAREHVYAIKEQCKKTKEMWSLPLRPYGFWTFERFNAQLYADAQISQAPGRRDPYDDILLAEPPVPPPSQKYKP >DRNTG_30140.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3254439:3256859:1 gene:DRNTG_30140 transcript:DRNTG_30140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVMEFAENLILRLMEDPVERDRKAREHVYAIKEQCKKTKEMWSLPLRPYGFWTFERFNAQLYADAQISQAPGRRDPYDDILLAEPPVPPPSQKYKP >DRNTG_30140.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3254621:3257135:1 gene:DRNTG_30140 transcript:DRNTG_30140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVMEFAENLILRLMEDPVERDRKAREHVYAIKEQCKKTKEMWSLPLRPYGFWTFERFNAQLYADAQISQAPGRRDPYDDILLAEPPVPPPSQKYKP >DRNTG_34631.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:129776:135982:-1 gene:DRNTG_34631 transcript:DRNTG_34631.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAKPKPSAPPATAAPVPLEDLFATLHRHIESFQYESAAKVADQILVASPGDEDAVRCKVVALIKADAIDRAMSAIKTFEKLPIDLKFYKAYCLYRQNKLQDALSTLDGQERNTMVLLLESHILYRLGRMDACLENYEKLQKFKIDSIDVKTNIIAALVSAGRGSEVQGMMDALKVKTSSSFDMAYNVSCSLIQNKRYAEAEQLLLSARRIGQEALMEDDFADDEIEAELAPIAVQLAYVQQLMGSTPETIEAYASIVNRNLADTSSLAVATNNLVALKGTKDVSDSLRKLDRLIEKANGSQQLQLANGLDFKLFPRQKEALYSNRLLLLLQANRLDQAQELVTLLQDMFPESITPVLLQAAVLVKEKKVSKAEEILGQFADRFPDKSKPVLLARAQIAAAAGHSQTAADSLAKIADIQHMPATVATIVSLRERLGDISGAVAVLDSAIQWWKNAMTEDSKFGIITQEAATFKLNHGLENEASQLYEELVKNHGSVDALVGLVITAARSDLEKAEFYEKELRALSGLKGINVESLEKTSGAKHVEGAHVGKTEVSEEVKKARAKKRKRKPRYPKGFDPANPGPPPDPERWLPKRERSSYRPRRKDKRGQVRGSQGAVAKEKHEASSATNTTVTTSSSAITSKASQSAAGVSKKSGAGSSEQPKASSSKSRKKSRS >DRNTG_16638.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1963337:1967263:-1 gene:DRNTG_16638 transcript:DRNTG_16638.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIMARNKNYELLEKVLEEMCLIECGPSTNACIGLITSLVKSRKLREAVKVIETMRKFKFRPAFSAYTTLIGALAEAHEPDLALNLFHEMQELGYEVSVHLFTTLIRVFARDGRLDAALSLLDEMKSNAFDADLVLYNVCIDCFGKVGKVDMAWKFFHEIKAQGLTPDDVSYTSMIGVLCKANKLAEAVELFDHMELNKQVPCAYAYNTMIMGYGSAGKFEDAYRLLERLKEKGCIPSVVSYNSLLTCLARKRRVDEALKVFEEMKKDAEPNPSTYNILLDLLCSTGKLEDAFRIRDTMEGAGLYPNLLTANIMIDRLCKSQKFDEAYHIFESINQKGFSPDAATYCSLIDGLGRYGKTEEAYEWLERMLDAGHTPNVVIYTSLIKNFFKYGRNEDGHKIFKEMNRRSCCPDLTLLNTYMDCVFKAGETEKGRALFEDIKARGFTPDSRSYCILIHGLTKAGHARETYKLFYAMKEQGCVMDVFVYNTVIYGFCKSGKVDKAYQLLEEMKAGGHNPTVVTYGAVIDGLAKIDRLDEAYMLFEEAKSKEMVLNVVVYTSLIDGFGKVGRIDEAYLIMEEMMQKGLVPNVYTWNCLIDALVKAEEISEALVCFQSMKDMKCAPNTYTYSILINGLCKVQKYNKAFVFWQDMQKQGLVPSVVTYTTMIQGLAKVGNINEAKGLFDKFKANGGIPDSVCFNALIEGMSNANRALDAFQVFEETRLRGCKINAKTCIFLLDALHKAECLEQAAIVGAVLREMAKSQHAARSL >DRNTG_16638.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1963337:1966152:-1 gene:DRNTG_16638 transcript:DRNTG_16638.11 gene_biotype:protein_coding transcript_biotype:protein_coding MIMARNKNYELLEKVLEEMCLIECGPSTNACIGLITSLVKSRKLREAVKVIETMRKFKFRPAFSAYTTLIGALAEAHEPDLALNLFHEMQELGYEVSVHLFTTLIRVFARDGRLDAALSLLDEMKSNAFDADLVLYNVCIDCFGKVGKVDMAWKFFHEIKAQGLTPDDVSYTSMIGVLCKANKLAEAVELFDHMELNKQVPCAYAYNTMIMGYGSAGKFEDAYRLLERLKEKGCIPSVVSYNSLLTCLARKRRVDEALKVFEEMKKDAEPNPSTYNILLDLLCSTGKLEDAFRIRDTMEGAGLYPNLLTANIMIDRLCKSQKFDEAYHIFESINQKGFSPDAATYCSLIDGLGRYGKTEEAYEWLERMLDAGHTPNVVIYTSLIKNFFKYGRNEDGHKIFKEMNRRSCCPDLTLLNTYMDCVFKAGETEKGRALFEDIKARGFTPDSRSYCILIHGLTKAGHARETYKLFYAMKEQGCVMDVFVYNTVIYGFCKSGKVDKAYQLLEEMKAGGHNPTVVTYGAVIDGLAKIDRLDEAYMLFEEAKSKEMVLNVVVYTSLIDGFGKVGRIDEAYLIMEEMMQKGLVPNVYTWNCLIDALVKAEEISEALVCFQSMKDMKCAPNTYTYSILINGLCKVQKYNKAFVFWQDMQKQGLVPSVVTYTTMIQGLAKVGNINEAKGLFDKFKANGGIPDSVCFNALIEGMSNANRALDAFQVFEETRLRGCKINAKTCIFLLDALHKAECLEQAAIVGAVLREMAKSQHAARSL >DRNTG_16638.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1963253:1966152:-1 gene:DRNTG_16638 transcript:DRNTG_16638.10 gene_biotype:protein_coding transcript_biotype:protein_coding MIMARNKNYELLEKVLEEMCLIECGPSTNACIGLITSLVKSRKLREAVKVIETMRKFKFRPAFSAYTTLIGALAEAHEPDLALNLFHEMQELGYEVSVHLFTTLIRVFARDGRLDAALSLLDEMKSNAFDADLVLYNVCIDCFGKVGKVDMAWKFFHEIKAQGLTPDDVSYTSMIGVLCKANKLAEAVELFDHMELNKQVPCAYAYNTMIMGYGSAGKFEDAYRLLERLKEKGCIPSVVSYNSLLTCLARKRRVDEALKVFEEMKKDAEPNPSTYNILLDLLCSTGKLEDAFRIRDTMEGAGLYPNLLTANIMIDRLCKSQKFDEAYHIFESINQKGFSPDAATYCSLIDGLGRYGKTEEAYEWLERMLDAGHTPNVVIYTSLIKNFFKYGRNEDGHKIFKEMNRRSCCPDLTLLNTYMDCVFKAGETEKGRALFEDIKARGFTPDSRSYCILIHGLTKAGHARETYKLFYAMKEQGCVMDVFVYNTVIYGFCKSGKVDKAYQLLEEMKAGGHNPTVVTYGAVIDGLAKIDRLDEAYMLFEEAKSKEMVLNVVVYTSLIDGFGKVGRIDEAYLIMEEMMQKGLVPNVYTWNCLIDALVKAEEISEALVCFQSMKDMKCAPNTYTYSILINGLCKVQKYNKAFVFWQDMQKQGLVPSVVTYTTMIQGLAKVGNINEAKGLFDKFKANGGIPDSVCFNALIEGMSNANRALDAFQVFEETRLRGCKINAKTCIFLLDALHKAECLEQAAIVGAVLREMAKSQHAARSL >DRNTG_16638.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1963253:1965620:-1 gene:DRNTG_16638 transcript:DRNTG_16638.13 gene_biotype:protein_coding transcript_biotype:protein_coding MQELGYEVSVHLFTTLIRVFARDGRLDAALSLLDEMKSNAFDADLVLYNVCIDCFGKVGKVDMAWKFFHEIKAQGLTPDDVSYTSMIGVLCKANKLAEAVELFDHMELNKQVPCAYAYNTMIMGYGSAGKFEDAYRLLERLKEKGCIPSVVSYNSLLTCLARKRRVDEALKVFEEMKKDAEPNPSTYNILLDLLCSTGKLEDAFRIRDTMEGAGLYPNLLTANIMIDRLCKSQKFDEAYHIFESINQKGFSPDAATYCSLIDGLGRYGKTEEAYEWLERMLDAGHTPNVVIYTSLIKNFFKYGRNEDGHKIFKEMNRRSCCPDLTLLNTYMDCVFKAGETEKGRALFEDIKARGFTPDSRSYCILIHGLTKAGHARETYKLFYAMKEQGCVMDVFVYNTVIYGFCKSGKVDKAYQLLEEMKAGGHNPTVVTYGAVIDGLAKIDRLDEAYMLFEEAKSKEMVLNVVVYTSLIDGFGKVGRIDEAYLIMEEMMQKGLVPNVYTWNCLIDALVKAEEISEALVCFQSMKDMKCAPNTYTYSILINGLCKVQKYNKAFVFWQDMQKQGLVPSVVTYTTMIQGLAKVGNINEAKGLFDKFKANGGIPDSVCFNALIEGMSNANRALDAFQVFEETRLRGCKINAKTCIFLLDALHKAECLEQAAIVGAVLREMAKSQHAARSL >DRNTG_16638.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1963127:1966152:-1 gene:DRNTG_16638 transcript:DRNTG_16638.8 gene_biotype:protein_coding transcript_biotype:protein_coding MIMARNKNYELLEKVLEEMCLIECGPSTNACIGLITSLVKSRKLREAVKVIETMRKFKFRPAFSAYTTLIGALAEAHEPDLALNLFHEMQELGYEVSVHLFTTLIRVFARDGRLDAALSLLDEMKSNAFDADLVLYNVCIDCFGKVGKVDMAWKFFHEIKAQGLTPDDVSYTSMIGVLCKANKLAEAVELFDHMELNKQVPCAYAYNTMIMGYGSAGKFEDAYRLLERLKEKGCIPSVVSYNSLLTCLARKRRVDEALKVFEEMKKDAEPNPSTYNILLDLLCSTGKLEDAFRIRDTMEGAGLYPNLLTANIMIDRLCKSQKFDEAYHIFESINQKGFSPDAATYCSLIDGLGRYGKTEEAYEWLERMLDAGHTPNVVIYTSLIKNFFKYGRNEDGHKIFKEMNRRSCCPDLTLLNTYMDCVFKAGETEKGRALFEDIKARGFTPDSRSYCILIHGLTKAGHARETYKLFYAMKEQGCVMDVFVYNTVIYGFCKSGKVDKAYQLLEEMKAGGHNPTVVTYGAVIDGLAKIDRLDEAYMLFEEAKSKEMVLNVVVYTSLIDGFGKVGRIDEAYLIMEEMMQKGLVPNVYTWNCLIDALVKAEEISEALVCFQSMKDMKCAPNTYTYSILINGLCKVQKYNKAFVFWQDMQKQGLVPSVVTYTTMIQGLAKVGNINEAKGLFDKFKANGGIPDSVCFNALIEGMSNANRALDAFQVFEETRLRGCKINAKTCIFLLDALHKAECLEQAAIVGAVLREMAKSQHAARSL >DRNTG_16638.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1963127:1965620:-1 gene:DRNTG_16638 transcript:DRNTG_16638.12 gene_biotype:protein_coding transcript_biotype:protein_coding MQELGYEVSVHLFTTLIRVFARDGRLDAALSLLDEMKSNAFDADLVLYNVCIDCFGKVGKVDMAWKFFHEIKAQGLTPDDVSYTSMIGVLCKANKLAEAVELFDHMELNKQVPCAYAYNTMIMGYGSAGKFEDAYRLLERLKEKGCIPSVVSYNSLLTCLARKRRVDEALKVFEEMKKDAEPNPSTYNILLDLLCSTGKLEDAFRIRDTMEGAGLYPNLLTANIMIDRLCKSQKFDEAYHIFESINQKGFSPDAATYCSLIDGLGRYGKTEEAYEWLERMLDAGHTPNVVIYTSLIKNFFKYGRNEDGHKIFKEMNRRSCCPDLTLLNTYMDCVFKAGETEKGRALFEDIKARGFTPDSRSYCILIHGLTKAGHARETYKLFYAMKEQGCVMDVFVYNTVIYGFCKSGKVDKAYQLLEEMKAGGHNPTVVTYGAVIDGLAKIDRLDEAYMLFEEAKSKEMVLNVVVYTSLIDGFGKVGRIDEAYLIMEEMMQKGLVPNVYTWNCLIDALVKAEEISEALVCFQSMKDMKCAPNTYTYSILINGLCKVQKYNKAFVFWQDMQKQGLVPSVVTYTTMIQGLAKVGNINEAKGLFDKFKANGGIPDSVCFNALIEGMSNANRALDAFQVFEETRLRGCKINAKTCIFLLDALHKAECLEQAAIVGAVLREMAKSQHAARSL >DRNTG_16638.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1963127:1967166:-1 gene:DRNTG_16638 transcript:DRNTG_16638.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMARNKNYELLEKVLEEMCLIECGPSTNACIGLITSLVKSRKLREAVKVIETMRKFKFRPAFSAYTTLIGALAEAHEPDLALNLFHEMQELGYEVSVHLFTTLIRVFARDGRLDAALSLLDEMKSNAFDADLVLYNVCIDCFGKVGKVDMAWKFFHEIKAQGLTPDDVSYTSMIGVLCKANKLAEAVELFDHMELNKQVPCAYAYNTMIMGYGSAGKFEDAYRLLERLKEKGCIPSVVSYNSLLTCLARKRRVDEALKVFEEMKKDAEPNPSTYNILLDLLCSTGKLEDAFRIRDTMEGAGLYPNLLTANIMIDRLCKSQKFDEAYHIFESINQKGFSPDAATYCSLIDGLGRYGKTEEAYEWLERMLDAGHTPNVVIYTSLIKNFFKYGRNEDGHKIFKEMNRRSCCPDLTLLNTYMDCVFKAGETEKGRALFEDIKARGFTPDSRSYCILIHGLTKAGHARETYKLFYAMKEQGCVMDVFVYNTVIYGFCKSGKVDKAYQLLEEMKAGGHNPTVVTYGAVIDGLAKIDRLDEAYMLFEEAKSKEMVLNVVVYTSLIDGFGKVGRIDEAYLIMEEMMQKGLVPNVYTWNCLIDALVKAEEISEALVCFQSMKDMKCAPNTYTYSILINGLCKVQKYNKAFVFWQDMQKQGLVPSVVTYTTMIQGLAKVGNINEAKGLFDKFKANGGIPDSVCFNALIEGMSNANRALDAFQVFEETRLRGCKINAKTCIFLLDALHKAECLEQAAIVGAVLREMAKSQHAARSL >DRNTG_16638.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1963337:1967166:-1 gene:DRNTG_16638 transcript:DRNTG_16638.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIMARNKNYELLEKVLEEMCLIECGPSTNACIGLITSLVKSRKLREAVKVIETMRKFKFRPAFSAYTTLIGALAEAHEPDLALNLFHEMQELGYEVSVHLFTTLIRVFARDGRLDAALSLLDEMKSNAFDADLVLYNVCIDCFGKVGKVDMAWKFFHEIKAQGLTPDDVSYTSMIGVLCKANKLAEAVELFDHMELNKQVPCAYAYNTMIMGYGSAGKFEDAYRLLERLKEKGCIPSVVSYNSLLTCLARKRRVDEALKVFEEMKKDAEPNPSTYNILLDLLCSTGKLEDAFRIRDTMEGAGLYPNLLTANIMIDRLCKSQKFDEAYHIFESINQKGFSPDAATYCSLIDGLGRYGKTEEAYEWLERMLDAGHTPNVVIYTSLIKNFFKYGRNEDGHKIFKEMNRRSCCPDLTLLNTYMDCVFKAGETEKGRALFEDIKARGFTPDSRSYCILIHGLTKAGHARETYKLFYAMKEQGCVMDVFVYNTVIYGFCKSGKVDKAYQLLEEMKAGGHNPTVVTYGAVIDGLAKIDRLDEAYMLFEEAKSKEMVLNVVVYTSLIDGFGKVGRIDEAYLIMEEMMQKGLVPNVYTWNCLIDALVKAEEISEALVCFQSMKDMKCAPNTYTYSILINGLCKVQKYNKAFVFWQDMQKQGLVPSVVTYTTMIQGLAKVGNINEAKGLFDKFKANGGIPDSVCFNALIEGMSNANRALDAFQVFEETRLRGCKINAKTCIFLLDALHKAECLEQAAIVGAVLREMAKSQHAARSL >DRNTG_16638.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1963253:1966198:-1 gene:DRNTG_16638 transcript:DRNTG_16638.9 gene_biotype:protein_coding transcript_biotype:protein_coding MIMARNKNYELLEKVLEEMCLIECGPSTNACIGLITSLVKSRKLREAVKVIETMRKFKFRPAFSAYTTLIGALAEAHEPDLALNLFHEMQELGYEVSVHLFTTLIRVFARDGRLDAALSLLDEMKSNAFDADLVLYNVCIDCFGKVGKVDMAWKFFHEIKAQGLTPDDVSYTSMIGVLCKANKLAEAVELFDHMELNKQVPCAYAYNTMIMGYGSAGKFEDAYRLLERLKEKGCIPSVVSYNSLLTCLARKRRVDEALKVFEEMKKDAEPNPSTYNILLDLLCSTGKLEDAFRIRDTMEGAGLYPNLLTANIMIDRLCKSQKFDEAYHIFESINQKGFSPDAATYCSLIDGLGRYGKTEEAYEWLERMLDAGHTPNVVIYTSLIKNFFKYGRNEDGHKIFKEMNRRSCCPDLTLLNTYMDCVFKAGETEKGRALFEDIKARGFTPDSRSYCILIHGLTKAGHARETYKLFYAMKEQGCVMDVFVYNTVIYGFCKSGKVDKAYQLLEEMKAGGHNPTVVTYGAVIDGLAKIDRLDEAYMLFEEAKSKEMVLNVVVYTSLIDGFGKVGRIDEAYLIMEEMMQKGLVPNVYTWNCLIDALVKAEEISEALVCFQSMKDMKCAPNTYTYSILINGLCKVQKYNKAFVFWQDMQKQGLVPSVVTYTTMIQGLAKVGNINEAKGLFDKFKANGGIPDSVCFNALIEGMSNANRALDAFQVFEETRLRGCKINAKTCIFLLDALHKAECLEQAAIVGAVLREMAKSQHAARSL >DRNTG_16638.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1963253:1967263:-1 gene:DRNTG_16638 transcript:DRNTG_16638.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIMARNKNYELLEKVLEEMCLIECGPSTNACIGLITSLVKSRKLREAVKVIETMRKFKFRPAFSAYTTLIGALAEAHEPDLALNLFHEMQELGYEVSVHLFTTLIRVFARDGRLDAALSLLDEMKSNAFDADLVLYNVCIDCFGKVGKVDMAWKFFHEIKAQGLTPDDVSYTSMIGVLCKANKLAEAVELFDHMELNKQVPCAYAYNTMIMGYGSAGKFEDAYRLLERLKEKGCIPSVVSYNSLLTCLARKRRVDEALKVFEEMKKDAEPNPSTYNILLDLLCSTGKLEDAFRIRDTMEGAGLYPNLLTANIMIDRLCKSQKFDEAYHIFESINQKGFSPDAATYCSLIDGLGRYGKTEEAYEWLERMLDAGHTPNVVIYTSLIKNFFKYGRNEDGHKIFKEMNRRSCCPDLTLLNTYMDCVFKAGETEKGRALFEDIKARGFTPDSRSYCILIHGLTKAGHARETYKLFYAMKEQGCVMDVFVYNTVIYGFCKSGKVDKAYQLLEEMKAGGHNPTVVTYGAVIDGLAKIDRLDEAYMLFEEAKSKEMVLNVVVYTSLIDGFGKVGRIDEAYLIMEEMMQKGLVPNVYTWNCLIDALVKAEEISEALVCFQSMKDMKCAPNTYTYSILINGLCKVQKYNKAFVFWQDMQKQGLVPSVVTYTTMIQGLAKVGNINEAKGLFDKFKANGGIPDSVCFNALIEGMSNANRALDAFQVFEETRLRGCKINAKTCIFLLDALHKAECLEQAAIVGAVLREMAKSQHAARSL >DRNTG_16638.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1963337:1965620:-1 gene:DRNTG_16638 transcript:DRNTG_16638.14 gene_biotype:protein_coding transcript_biotype:protein_coding MQELGYEVSVHLFTTLIRVFARDGRLDAALSLLDEMKSNAFDADLVLYNVCIDCFGKVGKVDMAWKFFHEIKAQGLTPDDVSYTSMIGVLCKANKLAEAVELFDHMELNKQVPCAYAYNTMIMGYGSAGKFEDAYRLLERLKEKGCIPSVVSYNSLLTCLARKRRVDEALKVFEEMKKDAEPNPSTYNILLDLLCSTGKLEDAFRIRDTMEGAGLYPNLLTANIMIDRLCKSQKFDEAYHIFESINQKGFSPDAATYCSLIDGLGRYGKTEEAYEWLERMLDAGHTPNVVIYTSLIKNFFKYGRNEDGHKIFKEMNRRSCCPDLTLLNTYMDCVFKAGETEKGRALFEDIKARGFTPDSRSYCILIHGLTKAGHARETYKLFYAMKEQGCVMDVFVYNTVIYGFCKSGKVDKAYQLLEEMKAGGHNPTVVTYGAVIDGLAKIDRLDEAYMLFEEAKSKEMVLNVVVYTSLIDGFGKVGRIDEAYLIMEEMMQKGLVPNVYTWNCLIDALVKAEEISEALVCFQSMKDMKCAPNTYTYSILINGLCKVQKYNKAFVFWQDMQKQGLVPSVVTYTTMIQGLAKVGNINEAKGLFDKFKANGGIPDSVCFNALIEGMSNANRALDAFQVFEETRLRGCKINAKTCIFLLDALHKAECLEQAAIVGAVLREMAKSQHAARSL >DRNTG_16638.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1963253:1967166:-1 gene:DRNTG_16638 transcript:DRNTG_16638.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIMARNKNYELLEKVLEEMCLIECGPSTNACIGLITSLVKSRKLREAVKVIETMRKFKFRPAFSAYTTLIGALAEAHEPDLALNLFHEMQELGYEVSVHLFTTLIRVFARDGRLDAALSLLDEMKSNAFDADLVLYNVCIDCFGKVGKVDMAWKFFHEIKAQGLTPDDVSYTSMIGVLCKANKLAEAVELFDHMELNKQVPCAYAYNTMIMGYGSAGKFEDAYRLLERLKEKGCIPSVVSYNSLLTCLARKRRVDEALKVFEEMKKDAEPNPSTYNILLDLLCSTGKLEDAFRIRDTMEGAGLYPNLLTANIMIDRLCKSQKFDEAYHIFESINQKGFSPDAATYCSLIDGLGRYGKTEEAYEWLERMLDAGHTPNVVIYTSLIKNFFKYGRNEDGHKIFKEMNRRSCCPDLTLLNTYMDCVFKAGETEKGRALFEDIKARGFTPDSRSYCILIHGLTKAGHARETYKLFYAMKEQGCVMDVFVYNTVIYGFCKSGKVDKAYQLLEEMKAGGHNPTVVTYGAVIDGLAKIDRLDEAYMLFEEAKSKEMVLNVVVYTSLIDGFGKVGRIDEAYLIMEEMMQKGLVPNVYTWNCLIDALVKAEEISEALVCFQSMKDMKCAPNTYTYSILINGLCKVQKYNKAFVFWQDMQKQGLVPSVVTYTTMIQGLAKVGNINEAKGLFDKFKANGGIPDSVCFNALIEGMSNANRALDAFQVFEETRLRGCKINAKTCIFLLDALHKAECLEQAAIVGAVLREMAKSQHAARSL >DRNTG_16638.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1963253:1967326:-1 gene:DRNTG_16638 transcript:DRNTG_16638.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMARNKNYELLEKVLEEMCLIECGPSTNACIGLITSLVKSRKLREAVKVIETMRKFKFRPAFSAYTTLIGALAEAHEPDLALNLFHEMQELGYEVSVHLFTTLIRVFARDGRLDAALSLLDEMKSNAFDADLVLYNVCIDCFGKVGKVDMAWKFFHEIKAQGLTPDDVSYTSMIGVLCKANKLAEAVELFDHMELNKQVPCAYAYNTMIMGYGSAGKFEDAYRLLERLKEKGCIPSVVSYNSLLTCLARKRRVDEALKVFEEMKKDAEPNPSTYNILLDLLCSTGKLEDAFRIRDTMEGAGLYPNLLTANIMIDRLCKSQKFDEAYHIFESINQKGFSPDAATYCSLIDGLGRYGKTEEAYEWLERMLDAGHTPNVVIYTSLIKNFFKYGRNEDGHKIFKEMNRRSCCPDLTLLNTYMDCVFKAGETEKGRALFEDIKARGFTPDSRSYCILIHGLTKAGHARETYKLFYAMKEQGCVMDVFVYNTVIYGFCKSGKVDKAYQLLEEMKAGGHNPTVVTYGAVIDGLAKIDRLDEAYMLFEEAKSKEMVLNVVVYTSLIDGFGKVGRIDEAYLIMEEMMQKGLVPNVYTWNCLIDALVKAEEISEALVCFQSMKDMKCAPNTYTYSILINGLCKVQKYNKAFVFWQDMQKQGLVPSVVTYTTMIQGLAKVGNINEAKGLFDKFKANGGIPDSVCFNALIEGMSNANRALDAFQVFEETRLRGCKINAKTCIFLLDALHKAECLEQAAIVGAVLREMAKSQHAARSL >DRNTG_16638.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1963337:1967326:-1 gene:DRNTG_16638 transcript:DRNTG_16638.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIMARNKNYELLEKVLEEMCLIECGPSTNACIGLITSLVKSRKLREAVKVIETMRKFKFRPAFSAYTTLIGALAEAHEPDLALNLFHEMQELGYEVSVHLFTTLIRVFARDGRLDAALSLLDEMKSNAFDADLVLYNVCIDCFGKVGKVDMAWKFFHEIKAQGLTPDDVSYTSMIGVLCKANKLAEAVELFDHMELNKQVPCAYAYNTMIMGYGSAGKFEDAYRLLERLKEKGCIPSVVSYNSLLTCLARKRRVDEALKVFEEMKKDAEPNPSTYNILLDLLCSTGKLEDAFRIRDTMEGAGLYPNLLTANIMIDRLCKSQKFDEAYHIFESINQKGFSPDAATYCSLIDGLGRYGKTEEAYEWLERMLDAGHTPNVVIYTSLIKNFFKYGRNEDGHKIFKEMNRRSCCPDLTLLNTYMDCVFKAGETEKGRALFEDIKARGFTPDSRSYCILIHGLTKAGHARETYKLFYAMKEQGCVMDVFVYNTVIYGFCKSGKVDKAYQLLEEMKAGGHNPTVVTYGAVIDGLAKIDRLDEAYMLFEEAKSKEMVLNVVVYTSLIDGFGKVGRIDEAYLIMEEMMQKGLVPNVYTWNCLIDALVKAEEISEALVCFQSMKDMKCAPNTYTYSILINGLCKVQKYNKAFVFWQDMQKQGLVPSVVTYTTMIQGLAKVGNINEAKGLFDKFKANGGIPDSVCFNALIEGMSNANRALDAFQVFEETRLRGCKINAKTCIFLLDALHKAECLEQAAIVGAVLREMAKSQHAARSL >DRNTG_33760.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32436041:32448572:-1 gene:DRNTG_33760 transcript:DRNTG_33760.10 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDLVDGVAEEPLAHAVEGEEDGDIEEEAEDEEEEEEEEEYRLEFDVEMDPLGLAQEGVDGGVELYRQFERLEYEALAERKRKALLDQPRGEPVKKPRQDEYLGATMEEINEMMNFGSRRKSRARKKRGRRKGSRKKLSPEVTRKIGDATLHYASGDYDKAIPLLEEVVRIAPNLPDAYYILGLIYDAMGNRKKALNFHMIAAHLSPKDPALWRKLIAWSIEEKNTGQVRYCLSKAITSDPKDVGLRFDRALLYCDLGEYQKAAESYDQIVALYPGNIVARKMAAKMYRECSQLEKAISILEDYVKTYSSEADIGTFTLLVDFLMENNSHILALHQIEYAAKSVCRSDEELPLHLKAKAVICHAYLGNIEFVENFLMDVESVGTENCADMITEIGDSLRELQYFDAALKFYLKLEHTAGEDNGNLHLKIAQCYASKMERGHAISFFYKALSRLENNIDARLTLCSLLLEEGKEDEAIGVLSPPKDLEQISNLDSLAKSWWLNGKIRLQLGKIYHAKDMLKEFVDAIFSCIKDTLTIEILNQKVLMSVSYENSLFIYITDALFSFSTFIQQMIMLHLLPLLYAITAILQAHFFSAEKPVEFEEVDSIC >DRNTG_33760.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32436041:32448572:-1 gene:DRNTG_33760 transcript:DRNTG_33760.12 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDLVDGVAEEPLAHAVEGEEDGDIEEEAEDEEEEEEEEEYRLEFDVEMDPLGLAQEGVDGGVELYRQFERLEYEALAERKRKALLDQPRGEPVKKPRQDEYLGATMEEINEMMNFGSRRKSRARKKRGRRKGSRKKLSPEVTRKIGDATLHYASGDYDKAIPLLEEVVRIAPNLPDAYYILGLIYDAMGNRKKALNFHMIAAHLSPKDPALWRKLIAWSIEEKNTGQVRYCLSKAITSDPKDVGLRFDRALLYCDLGEYQKAAESYDQIVALYPGNIVARKMAAKMYRECSQLEKAISILEDYVKTYSSEADIGTFTLLVDFLMENNSHILALHQIEYAAKSVCRSDEELPLHLKAKAVICHAYLGNIEFVENFLMDVESVGTENCADMITEIGDSLRELQYFDAALKFYLKLEHTAG >DRNTG_33760.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32436041:32442120:-1 gene:DRNTG_33760 transcript:DRNTG_33760.15 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEFVDAIFSCIKDTLTIEILNQKVKSKKKLTKSVLVERAKLLDEEQTANVFQGFKPIATTSDLVKASRAKKSLQKKAVIREEKKAAALAAGMDWQSDDSEDETPRKSPQEPPLPEFLKNEEHYQLIIDLCRALASLRQYSDALEVILLTLRLTNSTISDKQKEELRALGAQIAYNTTDPKYGYGFARNIVRQHPHSMAAWNCYYKVVSRFGSRFKKHPKFLHHMRTQKDCVPPMIMLGHQFTMLSQHQSAAREYLEAYKLQPENPLINLCVGTALINLALGHRLRNKHQCMTQGLAFLYNYLRICNDSQEAMYNIARAYHHVGLVTLAVSYYEKVLTTQEKDHPIPRLPYEENFSASDNYHPGFCSLHREAAYNLHLIYKKSGAVDLARQILKQYCNP >DRNTG_33760.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32436041:32448572:-1 gene:DRNTG_33760 transcript:DRNTG_33760.11 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDLVDGVAEEPLAHAVEGEEDGDIEEEAEDEEEEEEEEEYRLEFDVEMDPLGLAQEGVDGGVELYRQFERLEYEALAERKRKALLDQPRGEPVKKPRQDEYLGATMEEINEMMNFGSRRKSRARKKRGRRKGSRKKLSPEVTRKIGDATLHYASGDYDKAIPLLEEVVRIAPNLPDAYYILGLIYDAMGNRKKALNFHMIAAHLSPKDPALWRKLIAWSIEEKNTGQVRYCLSKAITSDPKDVGLRFDRALLYCDLGEYQKAAESYDQIVALYPGNIVARKMAAKMYRECSQLEKAISILEDYVKTYSSEADIGTFTLLVDFLMENNSHILALHQIEYAAKSVCRSDEELPLHLKAKAVICHAYLGNIEFVENFLMDVESVGTENCADMITEIGDSLRELQYFDAALKFYLKLEHTAGEDNGNLHLKIAQCYASKMERGHAISFFYKALSRLENNIDARLTLCSLLLEEGKEDEAIGVLSPPKDLGNQLQLFPLHYEL >DRNTG_33760.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32436015:32448572:-1 gene:DRNTG_33760 transcript:DRNTG_33760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDLVDGVAEEPLAHAVEGEEDGDIEEEAEDEEEEEEEEEYRLEFDVEMDPLGLAQEGVDGGVELYRQFERLEYEALAERKRKALLDQPRGEPVKKPRQDEYLGATMEEINEMMNFGSRRKSRARKKRGRRKGSRKKLSPEVTRKIGDATLHYASGDYDKAIPLLEEVVRIAPNLPDAYYILGLIYDAMGNRKKALNFHMIAAHLSPKDPALWRKLIAWSIEEKNTGQVRYCLSKAITSDPKDVGLRFDRALLYCDLGEYQKAAESYDQIVALYPGNIVARKMAAKMYRECSQLEKAISILEDYVKTYSSEADIGTFTLLVDFLMENNSHILALHQIEYAAKSVCRSDEELPLHLKAKAVICHAYLGNIEFVENFLMDVESVGTENCADMITEIGDSLRELQYFDAALKFYLKLEHTAG >DRNTG_33760.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32436041:32448572:-1 gene:DRNTG_33760 transcript:DRNTG_33760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDLVDGVAEEPLAHAVEGEEDGDIEEEAEDEEEEEEEEEYRLEFDVEMDPLGLAQEGVDGGVELYRQFERLEYEALAERKRKALLDQPRGEPVKKPRQDEYLGATMEEINEMMNFGSRRKSRARKKRGRRKGSRKKLSPEVTRKIGDATLHYASGDYDKAIPLLEEVVRIAPNLPDAYYILGLIYDAMGNRKKALNFHMIAAHLSPKDPALWRKLIAWSIEEKNTGQVRYCLSKAITSDPKDVGLRFDRALLYCDLGEYQKAAESYDQIVALYPGNIVARKMAAKMYRECSQLEKAISILEDYVKTYSSEADIGTFTLLVDFLMENNSHILALHQIEYAAKSVCRSDEELPLHLKAKAVICHAYLGNIEFVENFLMDVESVGTENCADMITEIGDSLRELQYFDAALKFYLKLEHTAGEDNGNLHLKIAQCYASKMERGHAISFFYKALSRLENNIDARLTLCSLLLEEGKEDEAIGVLSPPKDLEQISNLDSLAKSWWLNGKIRLQLGKIYHAKDMLKEFVDAIFSCIKDTLTIEILNQKVKSKKKLTKSVLVERAKLLDEEQTANVFQGFKPIATTSDLVKASRAKKSLQKKAVIREEKKAAALAAGMDWQSDDSEDETPRKSPQEPPLPEFLKNEEHYQLIIDLCRALASLRQYSDALEVILLTLRLTNSTISDKQKEELRALGAQIAYNTTDPKYGYGFARNIVRQHPHSMAAWNCYYKVVSRFGSRFKKHPKFLHHMRTQKDCVPPMIMLGHQFTMLSQHQSAAREYLEAYKLQPENPLINLCVGTALINLALGHRLRNKHQCMTQGLAFLYNYLRICNDSQEAMYNIARAYHHVGLVTLAVSYYEKVLTTQEKDHPIPRLPYEENFSASDNYHPGFCSLHREAAYNLHLIYKKSGAVDLARQILKQYCNP >DRNTG_33760.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32436041:32448572:-1 gene:DRNTG_33760 transcript:DRNTG_33760.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDLVDGVAEEPLAHAVEGEEDGDIEEEAEDEEEEEEEEEYRLEFDVEMDPLGLAQEGVDGGVELYRQFERLEYEALAERKRKALLDQPRGEPVKKPRQDEYLGATMEEINEMMNFGSRRKSRARKKRGRRKGSRKKLSPEVTRKIGDATLHYASGDYDKAIPLLEEVVRIAPNLPDAYYILGLIYDAMGNRKKALNFHMIAAHLSPKDPALWRKLIAWSIEEKNTGQVRYCLSKAITSDPKDVGLRFDRALLYCDLGEYQKAAESYDQIVALYPGNIVARKMAAKMYRECSQLEKAISILEDYVKTYSSEADIGTFTLLVDFLMENNSHILALHQIEYAAKSVCRSDEELPLHLKAKAVICHAYLGNIEFVENFLMDVESVGTENCADMITEIGDSLRELQYFDAALKFYLKLEHTAGEDNGNLHLKIAQCYASKMERGHAISFFYKALSRLENNIDARLTLCSLLLEEGKEDEAIGVLSPPKDLDIQLRFTSKILVAQWEN >DRNTG_33760.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32436041:32448572:-1 gene:DRNTG_33760 transcript:DRNTG_33760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDLVDGVAEEPLAHAVEGEEDGDIEEEAEDEEEEEEEEEYRLEFDVEMDPLGLAQEGVDGGVELYRQFERLEYEALAERKRKALLDQPRGEPVKKPRQDEYLGATMEEINEMMNFGSRRKSRARKKRGRRKGSRKKLSPEVTRKIGDATLHYASGDYDKAIPLLEEVVRIAPNLPDAYYILGLIYDAMGNRKKALNFHMIAAHLSPKDPALWRKLIAWSIEEKNTGQVRYCLSKAITSDPKDVGLRFDRALLYCDLGEYQKAAESYDQIVALYPGNIVARKMAAKMYRECSQLEKAISILEDYVKTYSSEADIGTFTLLVDFLMENNSHILALHQIEYAAKSVCRSDEELPLHLKAKAVICHAYLGNIEFVENFLMDVESVGTENCADMITEIGDSLRELQYFDAALKFYLKLEHTAGEDNGNLHLKIAQCYASKMERGHAISFFYKALSRLENNIDARLTLCSLLLEEGKEDEAIGVLSPPKDLEQISNLDSLAKSWWLNGKIRLQLGKIYHAKDMLKEFVDAIFSCIKDTLTIEILNQKVLMSVSYENSLFIYITDALFSFSTFIQQMIMLHLLPLLYAITAILQAHFFSAEKPVEFEEVDSIC >DRNTG_33760.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32436041:32448572:-1 gene:DRNTG_33760 transcript:DRNTG_33760.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDLVDGVAEEPLAHAVEGEEDGDIEEEAEDEEEEEEEEEYRLEFDVEMDPLGLAQEGVDGGVELYRQFERLEYEALAERKRKALLDQPRGEPVKKPRQDEYLGATMEEINEMMNFGSRRKSRARKKRGRRKGSRKKLSPEVTRKIGDATLHYASGDYDKAIPLLEEVVRIAPNLPDAYYILGLIYDAMGNRKKALNFHMIAAHLSPKDPALWRKLIAWSIEEKNTGQVRYCLSKAITSDPKDVGLRFDRALLYCDLGEYQKAAESYDQIVALYPGNIVARKMAAKMYRECSQLEKAISILEDYVKTYSSEADIGTFTLLVDFLMENNSHILALHQIEYAAKSVCRSDEELPLHLKAKAVICHAYLGNIEFVENFLMDVESVGTENCADMITEIGDSLRELQYFDAALKFYLKLEHTAGEDNGNLHLKIAQCYASKMERGHAISFFYKALSRLENNIDARLTLCSLLLEEGKEDEAIGVLSPPKDLGNQLQLFPLHYEL >DRNTG_33760.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32442850:32448572:-1 gene:DRNTG_33760 transcript:DRNTG_33760.16 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDLVDGVAEEPLAHAVEGEEDGDIEEEAEDEEEEEEEEEYRLEFDVEMDPLGLAQEGVDGGVELYRQFERLEYEALAERKRKALLDQPRGEPVKKPRQDEYLGATMEEINEMMNFGSRRKSRARKKRGRRKGSRKKLSPEVTRKIGDATLHYASGDYDKAIPLLEEVVRIAPNLPDAYYILGLIYDAMGNRKKALNFHMIAAHLSPKDPALWRKLIAWSIEEKNTGQVRYCLSKAITSDPKDVGLRFDRALLYCDLGEYQKAAESYDQIVALYPGNIVARKMAAKMYRECSQLEKAISILEDYVKTYSSEADIGTFTLLVDFLMENNSHILALHQIEYAAKSVCRSDEELPLHLKAKAVICHAYLGNIEFVENFLMDVESVGTENCADMITEIGDSLRELQYFDAALKFYLKLEHTAGEDNGNLHLKIAQCYASKMERGHAISFFYKGFLLISLVYD >DRNTG_33760.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32436041:32447010:-1 gene:DRNTG_33760 transcript:DRNTG_33760.13 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRKKALNFHMIAAHLSPKDPALWRKLIAWSIEEKNTGQVRYCLSKAITSDPKDVGLRFDRALLYCDLGEYQKAAESYDQIVALYPGNIVARKMAAKMYRECSQLEKAISILEDYVKTYSSEADIGTFTLLVDFLMENNSHILALHQIEYAAKSVCRSDEELPLHLKAKAVICHAYLGNIEFVENFLMDVESVGTENCADMITEIGDSLRELQYFDAALKFYLKLEHTAGEDNGNLHLKIAQCYASKMERGHAISFFYKALSRLENNIDARLTLCSLLLEEGKEDEAIGVLSPPKDLEQISNLDSLAKSWWLNGKIRLQLGKIYHAKDMLKEFVDAIFSCIKDTLTIEILNQKVLMSVSYENSLFIYITDALFSFSTFIQQMIMLHLLPLLYAITAILQAHFFSAEKPVEFEEVDSIC >DRNTG_33760.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32436041:32443911:-1 gene:DRNTG_33760 transcript:DRNTG_33760.14 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMLNSEKVKSKKKLTKSVLVERAKLLDEEQTANVFQGFKPIATTSDLVKASRAKKSLQKKAVIREEKKAAALAAGMDWQSDDSEDETPRKSPQEPPLPEFLKNEEHYQLIIDLCRALASLRQYSDALEVILLTLRLTNSTISDKQKEELRALGAQIAYNTTDPKYGYGFARNIVRQHPHSMAAWNCYYKVVSRFGSRFKKHPKFLHHMRTQKDCVPPMIMLGHQFTMLSQHQSAAREYLEAYKLQPENPLINLCVGTALINLALGHRLRNKHQCMTQGLAFLYNYLRICNDSQEAMYNIARAYHHVGLVTLAVSYYEKVLTTQEKDHPIPRLPYEENFSASDNYHPGFCSLHREAAYNLHLIYKKSGAVDLARQILKQYCNP >DRNTG_33760.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32436041:32448572:-1 gene:DRNTG_33760 transcript:DRNTG_33760.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDLVDGVAEEPLAHAVEGEEDGDIEEEAEDEEEEEEEEEYRLEFDVEMDPLGLAQEGVDGGVELYRQFERLEYEALAERKRKALLDQPRGEPVKKPRQDEYLGATMEEINEMMNFGSRRKSRARKKRGRRKGSRKKLSPEVTRKIGDATLHYASGDYDKAIPLLEEVVRIAPNLPDAYYILGLIYDAMGNRKKALNFHMIAAHLSPKDPALWRKLIAWSIEEKNTGQVRYCLSKAITSDPKDVGLRFDRALLYCDLGEYQKAAESYDQIVALYPGNIVARKMAAKMYRECSQLEKAISILEDYVKTYSSEADIGTFTLLVDFLMENNSHILALHQIEYAAKSVCRSDEELPLHLKAKAVICHAYLGNIEFVENFLMDVESVGTENCADMITEIGDSLRELQYFDAALKFYLKLEHTAGEDNGNLHLKIAQCYASKMERGHAISFFYKALSRLENNIDARLTLCSLLLEEGKEDEAIGVLSPPKDLDIQLRFTSKILVAQWEN >DRNTG_33760.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32436041:32448572:-1 gene:DRNTG_33760 transcript:DRNTG_33760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDLVDGVAEEPLAHAVEGEEDGDIEEEAEDEEEEEEEEEYRLEFDVEMDPLGLAQEGVDGGVELYRQFERLEYEALAERKRKALLDQPRGEPVKKPRQDEYLGATMEEINEMMNFGSRRKSRARKKRGRRKGSRKKLSPEVTRKIGDATLHYASGDYDKAIPLLEEVVRIAPNLPDAYYILGLIYDAMGNRKKALNFHMIAAHLSPKDPALWRKLIAWSIEEKNTGQVRYCLSKAITSDPKDVGLRFDRALLYCDLGEYQKAAESYDQIVALYPGNIVARKMAAKMYRECSQLEKAISILEDYVKTYSSEADIGTFTLLVDFLMENNSHILALHQIEYAAKSVCRSDEELPLHLKAKAVICHAYLGNIEFVENFLMDVESVGTENCADMITEIGDSLRELQYFDAALKFYLKLEHTAGEDNGNLHLKIAQCYASKMERGHAISFFYKALSRLENNIDARLTLCSLLLEEGKEDEAIGVLSPPKDLGNQLQLFPLHYEL >DRNTG_33760.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32436041:32448572:-1 gene:DRNTG_33760 transcript:DRNTG_33760.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDLVDGVAEEPLAHAVEGEEDGDIEEEAEDEEEEEEEEEYRLEFDVEMDPLGLAQEGVDGGVELYRQFERLEYEALAERKRKALLDQPRGEPVKKPRQDEYLGATMEEINEMMNFGSRRKSRARKKRGRRKGSRKKLSPEVTRKIGDATLHYASGDYDKAIPLLEEVVRIAPNLPDAYYILGLIYDAMGNRKKALNFHMIAAHLSPKDPALWRKLIAWSIEEKNTGQVRYCLSKAITSDPKDVGLRFDRALLYCDLGEYQKAAESYDQIVALYPGNIVARKMAAKMYRECSQLEKAISILEDYVKTYSSEADIGTFTLLVDFLMENNSHILALHQIEYAAKSVCRSDEELPLHLKAKAVICHAYLGNIEFVENFLMDVESVGTENCADMITEIGDSLRELQYFDAALKFYLKLEHTAGEDNGNLHLKIAQCYASKMERGHAISFFYKALSRLENNIDARLTLCSLLLEEGKEDEAIGVLSPPKDLGNQLQLFPLHYEL >DRNTG_33760.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32436041:32448572:-1 gene:DRNTG_33760 transcript:DRNTG_33760.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDLVDGVAEEPLAHAVEGEEDGDIEEEAEDEEEEEEEEEYRLEFDVEMDPLGLAQEGVDGGVELYRQFERLEYEALAERKRKALLDQPRGEPVKKPRQDEYLGATMEEINEMMNFGSRRKSRARKKRGRRKGSRKKLSPEVTRKIGDATLHYASGDYDKAIPLLEEVVRIAPNLPDAYYILGLIYDAMGNRKKALNFHMIAAHLSPKDPALWRKLIAWSIEEKNTGQVRYCLSKAITSDPKDVGLRFDRALLYCDLGEYQKAAESYDQIVALYPGNIVARKMAAKMYRECSQLEKAISILEDYVKTYSSEADIGTFTLLVDFLMENNSHILALHQIEYAAKSVCRSDEELPLHLKAKAVICHAYLGNIEFVENFLMDVESVGTENCADMITEIGDSLRELQYFDAALKFYLKLEHTAGEDNGNLHLKIAQCYASKMERGHAISFFYKALSRLENNIDARLTLCSLLLEEGKEDEAIGVLSPPKDLDIQLRFTSKILVAQWEN >DRNTG_21049.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18373652:18381256:1 gene:DRNTG_21049 transcript:DRNTG_21049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFCGIARFNGEGRVLMFTAQTSWELVLAEICERWGLEVSLVRVKFITPDRYKTVCPIENDVDFQ >DRNTG_24152.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19653911:19654285:1 gene:DRNTG_24152 transcript:DRNTG_24152.2 gene_biotype:protein_coding transcript_biotype:protein_coding GEATTGEISAMYGTTAQLPEDTERTAFRRAEKRYKLYKPPNPKSRKKQVSSLTDLSEVIDFNVVLESFRLNGVTPPGISRFDCPGFHRPVFCFDDRP >DRNTG_24152.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19653911:19661515:1 gene:DRNTG_24152 transcript:DRNTG_24152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGTTAQLPEDTERTAFRRAEKRYKLYKPPNPKSRKKQVSSLTDLSEVIDFNVVLESFRLNGVTPPGISRFDCPGFHRPVFCFDDRPGFYFIPGALSIEEQSYWIRESLQTFPQPPNRTNHTATYGPIYELFSAVENQKVLIQVEGLSTDVKLGLSSSENSPQSSRYLFSEARMVASKGEACKSVAASVLLRKLRWSTLGLQFDWSKRNYDVALPHNKIPDLLCQLAKKMAGPAMPADNEFQPEAAIVNYFGPSDMLGGHLDDMEADWSKPIVSISLGCKAIFLLGGKSREDSPIAMFLRSGDIVLMSGQARECFHGIPRIFTGDESADVSALLSQFSSEERSSYRGLH >DRNTG_00320.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2229114:2230977:1 gene:DRNTG_00320 transcript:DRNTG_00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARKSVLNPYATPYVPLSEMFSGMSFEEKNKAGKGILDESKEKNTVNCLVAGTTSSYGVQNAVKPDNFEELPTKIGQNSGSPDMHTLPDETAEYEDFGGMNDHALKMETLSSLYPDISVESLAEVLYVNYGNLNDAIDMLEQFENEGFDSGDFPESSEARNNFDPTSLEGSSSGTNNSG >DRNTG_10733.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1966228:1968288:-1 gene:DRNTG_10733 transcript:DRNTG_10733.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biogenesis of lysosome-related organelles complex 1 subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G30330) UniProtKB/Swiss-Prot;Acc:O22929] MEGTRKPETEDLEASLLRIVHEHQQESLQIREQAERAKKDSLRNAVVVAELLVDAVNGGVEGLFVNEKRIEFEIRALVITILRYKKQTDAWLAASHALNSVLKEIGDFENWMKIMDYDCKSINAAIRNIHRS >DRNTG_10733.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1966924:1968288:-1 gene:DRNTG_10733 transcript:DRNTG_10733.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biogenesis of lysosome-related organelles complex 1 subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G30330) UniProtKB/Swiss-Prot;Acc:O22929] MEGTRKPETEDLEASLLRIVHEHQQESLQIREQAERAKKDSLRNAVVVAELLVDAVNGGVEGLFVNEKRIEFEIRALVITILRYKKQTDAWLAASHALNSVLKEIGDFENWMKIMDYDCKSINAAIRNIHRS >DRNTG_10733.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1966228:1968288:-1 gene:DRNTG_10733 transcript:DRNTG_10733.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biogenesis of lysosome-related organelles complex 1 subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G30330) UniProtKB/Swiss-Prot;Acc:O22929] MEGTRKPETEDLEASLLRIVHEHQQESLQIREQAERAKKDSLRNAVVVAELLVDAVNGGVEGLFVNEKRIEFEIRALVITILRYKKQTDAWLAASHALNSVLKEIGDFENWMKIMDYDCKSINAAIRNIHRS >DRNTG_04734.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:95400:97689:1 gene:DRNTG_04734 transcript:DRNTG_04734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGERDQGGALKTTLVVVGGLALGWLTLETAFKPFLDRLRAAITRSDPAVDPDDDVSPTNVPEADPHQHGEVEVEEKDGETKSSD >DRNTG_23052.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3371438:3373250:1 gene:DRNTG_23052 transcript:DRNTG_23052.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty-acid-binding protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G53520) UniProtKB/Swiss-Prot;Acc:Q9C8L2] MVSMITSSSPTAPPPSYIPKCIPKTPSNVLHSQFLHKFPSISSSHHHLTYLAHKRLLPLLTPKASVGSAEYIVEPGTSVSFSREIRVPGCSESLVLLGTGFREKIFAIIGVKVYAAGFYVATSIEEKLDSWRGKQASEIVKDSTLFTSIFTAPLQKSLKIVLVRDVDGKTFWNALNDVILPRIKEPSSADEFALSTFRDTFQKRDLKNGTLILLTWVEPSKMLVSISSDGFPLSTDAVIESENVTMALFDGYFGDSPVSPTLKSSVADGIAVLLN >DRNTG_29101.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4418701:4419224:-1 gene:DRNTG_29101 transcript:DRNTG_29101.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQQQAPPPSYPPPASTEGYPTYVAPPPAGYPTKDDAAYSQNAPAQTKSRGEEGGFWEGW >DRNTG_29101.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4418753:4419224:-1 gene:DRNTG_29101 transcript:DRNTG_29101.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQQQAPPPSYPPPASTEGYPTYVAPPPAGYPTKDDAAYSQNAPAQTKSRGEEGGFWEG >DRNTG_26534.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:889666:905574:1 gene:DRNTG_26534 transcript:DRNTG_26534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDEFRRDLRQWIGREKFHNSVIGHEMAELSTNPLVNAWYAGEDPTAPTEIGDLCEGVYGSGGASKASFKH >DRNTG_08248.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000374.1:135256:139512:-1 gene:DRNTG_08248 transcript:DRNTG_08248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGPSIAKDVTELIGNTPLVYLNNVVTGCRARIAAKLETMQPCSSVKDRIGYSMIADAEEKGLITPGKSVLIEATSGNTGIGLAFIAAAKGYKLVLTMPASMTLERRIILKAFGAELVLTDPNAGLKGAIAKAKELAAKTPHSYILQQFDNPANPNIHYETTGPEIWKGTGGMIDAFVAGVGTGGTITGTGKYLKEQNPDIKVYGVEPAESAFLSEGKLGPHLIPGIGVSFISGVIDLNIMDEIVKVSSEEAIETAKLLALKEGLLVGISSGAAAAAAIKLARRPENDGKLIVVILPSAGERYLSSVLFQTIKDEAENMVFEP >DRNTG_15399.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4755854:4758166:1 gene:DRNTG_15399 transcript:DRNTG_15399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYISTNPIPITTMRNRNNHSKPLTTIQCSATSHPFVQHITQSSPYYQQSSSPFIKEDMSSMSQLMGPTKHERQQHTRESSSGSRPSWNIFQRAAAAALDVIEEAFISRVLESGHPLPKTADPDVQISGNFAPVDEQPPCHNLEVSGRIPPFINGFYVRNGANPLFKPVAGHHFFDGDGMVHAVRISNGSASYACRYTETERLRQERDIGRAIFPKAIGELHGHSGVARLLLFYARGLFGLVDHTHGIGVANAGLVYFNDRLLAMSEDDLPYQVRLKADGDLETVGRYDFNGQLKDSMIAHPKLDPDTRELFGLSYDVIKKPYLKYFKFSPDGEKSADVEIPSRETNHDARLRHHREIRSHSRSTGGVQDAGDDPRWLTRGVRQGQDIKIRDSTEERQGRGGDCMGGRSRLLLFPSLECMGG >DRNTG_29411.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1873888:1875956:-1 gene:DRNTG_29411 transcript:DRNTG_29411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSVQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHISQHPIKRCKHFEIGGDKKGKGTSLF >DRNTG_05944.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19766231:19772460:-1 gene:DRNTG_05944 transcript:DRNTG_05944.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLMKENSRYGFGPSPGIMSCIAGRLLCDTNTCSQDLLREVSYSLTQLAESQLKKDRKEIAPLDVPAMFRMSSSLFELVDCGENDARLSLELMFHLNVLPLTRQLTNISGNLWGKTLLGARAQRVEYLLLHSFHAKKYMVPDKNSARNKEINTTKRRINVAAEGGDDDNLNVDSMPPEGGDIKQGKGKKGPAYAGGLVLEPKKGLYDKYVLLLDFNSLYPSIIQEFNICFTTVEHSSDGTSTNLSYLVSVGVLPEVIYGDTDSIMIYTGLDDINKAKTIAAKVIQEVNKKYGCLEIDLDGLYKRMLLLKKKKYAAVEVQSENGIPKEVIDCKGVDIVRRDWCELSKDIGNFCLKKILSERSCEDVVELIHSYLVKEQEQIRKGEIQLEKYVIWKTLTKPPEDYLDAKNQPHVQVALRLKQNGYPGGFAGDTVPYVICCQRESNSGSSTGIAQRARHLDELNRDSGNWLIDINYYLSQQIYPVVSRLCASIQGTSPGRLADCLGLDSSKYQYNAAESAGKGSIALLSVLDDDKRYSGCVPLRLSCPRCSSSFDCPPVSSLLSTSSNRNQSGSQSENEALNFWRTMRCPRCPGDVGCRFSPAMIANQVKRQADGFTSKYYRGLMMCDDELCKHTTGSINLRVIGDAERGTVCPNYPSCNGHLIRQYTEADLYKQFSYFCYILDSKRFLEKLELKNRAPFEKKLAAIRPAVEQPSSVIHKIRNRCAYAWVSLGDLSVSI >DRNTG_05944.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19771963:19772460:-1 gene:DRNTG_05944 transcript:DRNTG_05944.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLMKENSRYGFGPSPGIMSCIAGRLLCDTNTCSQDLLRE >DRNTG_05944.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19768007:19772460:-1 gene:DRNTG_05944 transcript:DRNTG_05944.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLMKENSRYGFGPSPGIMSCIAGRLLCDTNTCSQDLLREVSYSLTQLAESQLKKDRKEIAPLDVPAMFRMSSSLFELVDCGENDARLSLELMFHLNVLPLTRQLTNISGNLWGKTLLGARAQRVEYLLLHSFHAKKYMVPDKNSARNKEINTTKRRINVAAEGGDDDNLNVDSMPPEGGDIKQGKGKKGPAYAGGLVLEPKKGLYDKYVLLLDFNSLYPSIIQEFNICFTTVEHSSDGTSTNLSYLVSVGVLPEVIYGDTDSIMIYTGLDDINKAKTIAAKVIQEVNKKYGCLEIDLDGLYKRMLLLKKKKYAAVEVQSENGIPKEVIDCKGVDIVRRDWCELSKDIGNFCLKKILSERSCEDVVELIHSYLVKEQEQIRKGEIQLEKYVIWKTLTKPPEDYLDAKNQPHVQVALRLKQNGYPGGFAGDTVPYVICCQR >DRNTG_05944.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19771963:19775495:-1 gene:DRNTG_05944 transcript:DRNTG_05944.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEENIANSKASPADFHTTLQEMAAGLKSEVTKRLRDLNVFNFSMMIVKRNYAFERTDIPRGEQYVLKINYPFKDPPLQTDLKGEHFLALLGTNSSALELFLIKRKIKGPCWLWISRFACCLASQKVSWCKYEVVVDCPKDLHVSVSKGALEIPPVIAAAINLKTIIGEKHTMNEIVSGSIICCHKAKIDSPMSAEELERPGMLSIFTAVRHLGGIFPMGFVKEADDRNSEAGSTVLALESSERALLNRLAVELHKLDCDVLVGHNISGFDLDIFLHRAQVCKVPNAGWSRIGRLKRSVMPKLMKENSRYGFGPSPGIMSCIAGRLLCDTNTCSQDLLRE >DRNTG_05944.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19771963:19773322:-1 gene:DRNTG_05944 transcript:DRNTG_05944.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIVSGSIICCHKAKIDSPMSAEELERPGMLSIFTAVRHLGGIFPMGFVKEADDRNSEAGSTVLALESSERALLNRLAVELHKLDCDVLVGHNISGFDLDIFLHRAQVCKVPNAGWSRIGRLKRSVMPKLMKENSRYGFGPSPGIMSCIAGRLLCDTNTCSQDLLRE >DRNTG_05944.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19766231:19775087:-1 gene:DRNTG_05944 transcript:DRNTG_05944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIVSGSIICCHKAKIDSPMSAEELERPGMLSIFTAVRHLGGIFPMGFVKEADDRNSEAGSTVLALESSERALLNRLAVELHKLDCDVLVGHNISGFDLDIFLHRAQVCKVPNAGWSRIGRLKRSVMPKLMKENSRYGFGPSPGIMSCIAGRLLCDTNTCSQDLLREVSYSLTQLAESQLKKDRKEIAPLDVPAMFRMSSSLFELVDCGENDARLSLELMFHLNVLPLTRQLTNISGNLWGKTLLGARAQRVEYLLLHSFHAKKYMVPDKNSARNKEINTTKRRINVAAEGGDDDNLNVDSMPPEGGDIKQGKGKKGPAYAGGLVLEPKKGLYDKYVLLLDFNSLYPSIIQEFNICFTTVEHSSDGTSTNLSYLVSVGVLPEVIYGDTDSIMIYTGLDDINKAKTIAAKVIQEVNKKYGCLEIDLDGLYKRMLLLKKKKYAAVEVQSENGIPKEVIDCKGVDIVRRDWCELSKDIGNFCLKKILSERSCEDVVELIHSYLVKEQEQIRKGEIQLEKYVIWKTLTKPPEDYLDAKNQPHVQVALRLKQNGYPGGFAGDTVPYVICCQRESNSGSSTGIAQRARHLDELNRDSGNWLIDINYYLSQQIYPVVSRLCASIQGTSPGRLADCLGLDSSKYQYNAAESAGKGSIALLSVLDDDKRYSGCVPLRLSCPRCSSSFDCPPVSSLLSTSSNRNQSGSQSENEALNFWRTMRCPRCPGDVGCRFSPAMIANQVKRQADGFTSKYYRGLMMCDDELCKHTTGSINLRVIGDAERGTVCPNYPSCNGHLIRQYTEADLYKQFSYFCYILDSKRFLEKLELKNRAPFEKKLAAIRPAVEQPSSVIHKIRNRCAYAWVSLGDLSVSI >DRNTG_04700.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000223.1:23076:24360:-1 gene:DRNTG_04700 transcript:DRNTG_04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHHAADGASGLHFINSWSDVARGLGISIPPFIDRTLLRSRDPPTPSFPHIEYQPAPSMMSPPPHPVSSPPPNPPPKTQLPSTTDTAVNIFKITREQLDLLKSKSPPGTRFSSYSLLAGHVWRCVCIARDLPGDQPTKMYIATDGRSRLCPPLPQGYFGNVIFTATPITNAGDLMDGGIITAAKKINDSLARMDDQYLRSALDYLEIQPDLAALVRGAHTFRCPNIGLTSWVRLPIHDADFGWGRPIFMGPGGIAYEGLAFVMPSPTDDGSMSLAISLQPAHMLRFQKLIYEF >DRNTG_23564.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8760395:8761200:1 gene:DRNTG_23564 transcript:DRNTG_23564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSMVLWKVLYSSSKDSFVRYRIRLDGSSPTNLLPKSGLNRL >DRNTG_23170.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001260.1:53463:55111:-1 gene:DRNTG_23170 transcript:DRNTG_23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVSESSAFLTATGVGGETNEDKSTGNSIWQSFIRMCSSHFLSTR >DRNTG_33608.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28140737:28143510:1 gene:DRNTG_33608 transcript:DRNTG_33608.1 gene_biotype:protein_coding transcript_biotype:protein_coding CISVLGSMTGVYKTEVITVMTPMLNSLLEQFSVILQPPVQPEDPDDWGIRMEVLKCLLQLVQNFPSLLKAQFSNGCFLSQSISASSNPR >DRNTG_17393.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000893.1:72494:74277:-1 gene:DRNTG_17393 transcript:DRNTG_17393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKCGGLPLALVVLGGFLSIKDKTPAVWRRVLETMDWEAEGRECQEILALSYEDLPYHMKSCFLYLGAYPEDSEISGNELIRKWVAEGFIPQEERKTMEDTAEAILEELIQRSLIHVNTRKNNGSVKNCGVHDLLLDFARSTAKKDGFLTVCSTENDRPTSWKLPRRVAFHNINDTMINKIPTRHGIRTLMVFRYCDGSIVSRIFRFELLRVLELAAFNLREGFPKEIKFMIYLRYFRLRGTTDSGIPSSIGNLQCLETIDLPEETEISLTLWKIKTLRHVQVRCCEPPQSLELRNLLTLGSVTFGSHKTINWRFPNLRKLKLSISEEHHGAMLNRLLSELPYLISLHIYANEYQIEINTKDFAFHNRLLSMTLNGFWPKGDTISEFPTCLTKLELYRSGLEEDPMPKLERLQHLVTLKFFGYVYLGKTMACSSGGFPRLETLFITYHGIISKSIIRGQVSALLNLEEWRVERGAMPKLTFLKLASCPKLKMLPNLQYVTSLQELEWTFISEELMCRLDKETGEDWCKIQHVPKLNIAFTDW >DRNTG_17451.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:8191144:8191599:1 gene:DRNTG_17451 transcript:DRNTG_17451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDASLAKGMPNDASSSRGSPSFKAW >DRNTG_26968.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6414868:6474347:1 gene:DRNTG_26968 transcript:DRNTG_26968.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTVEDIVDDVAVAAVEKVVNSLLDEILDPVEPAAEIAASKMDTIPEDQEQVKGVSPNDDVVKATVEKIVESVAVAVAVADSTASKQDTIPPQQEPCKDVSAVDAVAVVPASKPDTIPQQEQPCKDVSAVDAVAIVPASKEDDAEDPDRATREMIKANHKWDQTARKAFILKKKKWVGLSRLNKYEQELMRIFLNCSMDGTVVWKNDAVSTTRDKLYTLLEGKEMVTDDVMDAFDDAHETIMAMVGDAVRNLHEVQIIILPIIMNGHFHVVVLDNDKQEYRHYSSCPGYDKDALDMRNLFDNCVDMEFGESATAKYPLVHDLETPRQKQGSVDCAVYVMRFIEQLLWGEKLRLPQTDVPYLRLRYVTRIL >DRNTG_12463.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20925373:20929214:1 gene:DRNTG_12463 transcript:DRNTG_12463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRTDPLAKSIHGTNPQNLVEKIVRSKIYQNTYWKEQCFGLTAETLVDKAMELDHLGGTFGGNRRPTPFICLVMKMLQIQPDKDIVVEFIKNEDYKYVRILGAFYMRLTGTVTDVYQYLEPLYNDYRKLRRKTSDGSFSLTHVDEVIDELLTKDYSCDIALPRVQKRWTLETTGVLEPRKSVLDEDFEEEEEKEEEEQAALEDDTHEKDYHGRSPTRDRERDRKRDKQYRDRDRDRDRDYDRDYARGRERDRDRDRDRDRDRDRDRDRHRLRDERDYGRERDRDREWEGRDRDRRDRDRGRRRSRSRSRSRSRDRRERDRDDGDLRKRRARGSTSPHRRPEDDNNSREEQSKKKKGKEGEEE >DRNTG_16506.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5066595:5067667:1 gene:DRNTG_16506 transcript:DRNTG_16506.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRMRKEEEEVKQVEEEEEEKVRVLVVDDSPLDRRIVEMMLNRCGGFFEVIAVESGVKAIEVLGLNEGKADCPIVNV >DRNTG_16506.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5066595:5068338:1 gene:DRNTG_16506 transcript:DRNTG_16506.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRMRKEEEEVKQVEEEEEEKVRVLVVDDSPLDRRIVEMMLNRCGGFFEVIAVESGVKAIEVLGLNEGKADCPIVNVQKIDIILTDYCMPGMTGYDLLKAVKENNHPGSIPVVIMSSENDPQRIKRFSNFFSTAF >DRNTG_16506.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5066595:5068338:1 gene:DRNTG_16506 transcript:DRNTG_16506.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRMRKEEEEVKQVEEEEEEKVRVLVVDDSPLDRRIVEMMLNRCGGFFEVIAVESGVKAIEVLGLNEGKADCPIVNVQKIDIILTDYCMPGMTGYDLLKAVKENNHPGSIPVVIMSSENDPQRIKSCQAVGAE >DRNTG_16506.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5066595:5067894:1 gene:DRNTG_16506 transcript:DRNTG_16506.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRMRKEEEEVKQVEEEEEEKVRVLVVDDSPLDRRIVEMMLNRCGGFFEVIAVESGVKAIEVLGLNEGKADCPIVNVQKIDIILTDYCMPGMTGYDLLKAVK >DRNTG_35368.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:28:16094:17956:1 gene:DRNTG_35368 transcript:DRNTG_35368.3 gene_biotype:protein_coding transcript_biotype:protein_coding LLGDFGAGLEDGYAFGHHPPPRCQADGCGADLSGAKHYHRRHKVCEFHSKAAVVLFAGGVQQRFCQQCSRFHVLSEFDETKRSCRKRLADHNRRRRKPKPHQSSSSNSPSQKTKPSPPKPSPKHPQESSKKEINHNHNHNHHHHQFKNDPSLSLGGLERVNSYNNESMDVSQQELFSNDFFNNQSLLCSTSNEDSRSRDAGQSSLLQLGQAAMFSMDFI >DRNTG_35368.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:28:17247:17956:1 gene:DRNTG_35368 transcript:DRNTG_35368.5 gene_biotype:protein_coding transcript_biotype:protein_coding RFHVLSEFDETKRSCRKRLADHNRRRRKPKPHQSSSSNSPSQKTKPSPPKPSPKHPQSSKKEINHNHNHNHHHHQFKNDPSLSLGGLERVNSYNNESMDVSQQELFSNDFFNNQSLLCSTSNEDSRSRDAGQSSLLQLGQAAMFSMDFI >DRNTG_35368.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:28:16094:17956:1 gene:DRNTG_35368 transcript:DRNTG_35368.4 gene_biotype:protein_coding transcript_biotype:protein_coding LLGDFGAGLEDGYAFGHHPPPRCQADGCGADLSGAKHYHRRHKVCEFHSKAAVVLFAGGVQQRFCQQCSRFHVLSEFDETKRSCRKRLADHNRRRRKPKPHQSSSSNSPSQKTKPSPPKPSPKHPQSSKKEINHNHNHNHHHHQFKNDPSLSLGGLERVNSYNNESMDVSQQELFSNDFFNNQSLLCSTSNEDSRSRDAGQSSLLQLGQAAMFSMDFI >DRNTG_35368.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:28:16094:17956:1 gene:DRNTG_35368 transcript:DRNTG_35368.2 gene_biotype:protein_coding transcript_biotype:protein_coding LLGDFGAGLEDGYAFGHHPPPRCQADGCGADLSGAKHYHRRHKVCEFHSKAAVVLFAGGVQQRFCQQCSRFHVLSEFDETKRSCRKRLADHNRRRRKPKPHQSSSSNSPSQKTKPSPPKPSPKHPQESSKKEINHNHNHNHHHHQFKNDPSLSLGGLERVNSYNNESMDVSQQELFSNDFFNNQSLLCSTSNEDSRSRDAGQSSLLQLGQAAMFSMDFI >DRNTG_35368.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:28:16094:17956:1 gene:DRNTG_35368 transcript:DRNTG_35368.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQQELFSNDFFNNQSLLCSTSNEDSRSRDAGQSSLLQLGQAAMFSMDFI >DRNTG_10814.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:5284615:5290750:1 gene:DRNTG_10814 transcript:DRNTG_10814.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIVTGMATAKSTYCSSLYQHYDTIRRTMHFFNLDPAAEHFDNPVSMDIRELISLDDVMEELVLGRNGGLIYCMDISRLLEENLDDWLAGGLDNYLDDDYLVFYCPDELFKQKNDVAQAVLEELEKVAFPMLLVCNMVNNNNISIDGAHKVMGACGYNIEQILMVDIIPDPSVRRAMNEINAEWDDWDDDNVNDDFSLQLKNELDSNSEKK >DRNTG_19384.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22242743:22244948:-1 gene:DRNTG_19384 transcript:DRNTG_19384.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CUP-SHAPED COTYLEDON 2 [Source:Projected from Arabidopsis thaliana (AT5G53950) UniProtKB/Swiss-Prot;Acc:O04017] MEFYGMHGLHGSDAEAQLPPGFRFHPTDEELITYYLLKKVLDSGFTGRAIAEIDLNKCEPWELPEKAKMGEKEWYFFSLRDRKYPTGLRTNRATDAGYWKATGKDREIYSTKTGSLVGMKKTLVFYKGRAPKGEKSNWVMHEYRLEGKFAYHFLSRSSKDEWVVSRVFQKIGGGKKARISVATGFSYIDSSSSAIAISGSPSSTSLPPLLDSPYATGNAAADRESCSYDSSDRNNVPCFSTTFAATTFQAPPPAPPPPPQPAIYRRGNGNASLIPSSMQQGNIQLPFFLAPQVTAAMVCDNADQHQQQGGLGWVEIERKVDIGRSLGGTELDCLWSF >DRNTG_08571.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:9476864:9477111:-1 gene:DRNTG_08571 transcript:DRNTG_08571.3 gene_biotype:protein_coding transcript_biotype:protein_coding RLNNNAENKTQRSETKVWRSLKPSHPKKEEEQEGWM >DRNTG_08571.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:9476864:9485523:-1 gene:DRNTG_08571 transcript:DRNTG_08571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWASRVHERGKRASTQQAFEQTLDVHDASQMKMMGTCSESSAFIVKMEERGHGKAKTPLGKKIRSFAVYAILQLITA >DRNTG_08571.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:9484739:9485523:-1 gene:DRNTG_08571 transcript:DRNTG_08571.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKWASRVHERGKRASTQQAFEQTLDVHDASQMKMMGTCSESSAFIVKMEERGHGKAKTPLGKKIRSFAVYAILQLITA >DRNTG_16694.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22179340:22180761:1 gene:DRNTG_16694 transcript:DRNTG_16694.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNAEQLELPLIDLSSPDRACSAKSIRKACVDHGFFYLVNHGIEESVLQLVFQDSKKFFSLPLDEKRKLEYKDHRGYTPMFAETLDPSSKIKGDLKEGFYIGPAKLSDSQVDANQWPSDGMFFLSSFHIFIFAHAYQAILLLWMNLFCLKFFPITCFF >DRNTG_16694.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22179340:22181364:1 gene:DRNTG_16694 transcript:DRNTG_16694.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNAEQLELPLIDLSSPDRACSAKSIRKACVDHGFFYLVNHGIEESVLQLVFQDSKKFFSLPLDEKRKLEYKDHRGYTPMFAETLDPSSKIKGDLKEGFYIGPAKLSDSQVDANQWPSDDVLPCWRATMESYYEKML >DRNTG_14416.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000679.1:26901:27574:1 gene:DRNTG_14416 transcript:DRNTG_14416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHSSLRVAPPDFQQGGNSRIPYVHVPAARMSILVYIALAINSFLFPLTKHPLFLRSFKTGIEIGAFSTLFTLVTGGFRGRPMWGTFRVWDARLTSIFIFFLIYPGALRFQKLPVELAPISIRAGPIDIPIIKSPVNWWNTSHQPGSISRSGTSIHVPMPIPILSNFANSPFSTCILFVLETHLPIPSFPESP >DRNTG_17130.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000881.1:6627:10697:1 gene:DRNTG_17130 transcript:DRNTG_17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLSRARFLLRTRLNPSLPLRSISSSPFLSQEPQLQESPAASPLPPNPSIGSPFYNENWRHPTPSPGAAVAQSIVPLGIGAQSSVRMMAFSQTLDAASLMNVFADWMASQRWSDLKQLFEFWIRSLDATGKLNTPDVNIFNHYLRASLMMGASAGELLDLVVQMQDYQITPNTASYNLVLKAMHQTRESEAAEKLIDRMLQTGTAPDDESYNLVIGLLLTMNQIDLALKYMDLTLKSGYMVSMNVFTDCVRCCVTAGRLDVLSSIIEKCKTTEQNKSLCPPWNLCNYIADVALQADHSKLAFFALEFLARWIARGENARPPVLLSVDEGLVVSAFGTAGRTYNSTLLDAAWSILRRSLRQKRAPNPETYLSKIYSHASLGQLQRAFSTLSEFENAYGNSDEIEEELFSPFTSLYPLVVACCKNGFSTLDSVYVQLENLSNADPPYKSVAALNCVILGCANIWDLDRAYETFEAIGGKLGMAPDIHSYNALICAFGKLKKTSEALKVFEHLVSLGAKPNATTFTLLVDAHLINRDQKAALSVIDDMVKAGLAPSKETLKKVRRRCSREMDFDSDEKVQLLARQFNYRMGGEIRREVLYNLEYSTEY >DRNTG_31149.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:365475:368334:-1 gene:DRNTG_31149 transcript:DRNTG_31149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRVLQRSLMDRIFSWVFPSTELVIASDTSLEPIKATLFPGDGIGPEIAESVKQVFRAAEVPIEWEEHFVADEVDPRTGSLLTWESLESVRRNRVGLKGPMATPIGKGHRSLNLTLRKELGLYANVRPCLNLPGYKTRYDNVNLVTIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKMNGRQRVSSIHKANIMRKTDGLFLKCCREVAEKYPDITYEEVIIDNCCMMLVKNPSLFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGIILAEAVHGSAPDIAGKNLANPTAFLLSSVMMLRHLNLNDKADRIHNAILSTISEGQYRTADLGGTASTTDFAKAICDHL >DRNTG_31149.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:365475:365778:-1 gene:DRNTG_31149 transcript:DRNTG_31149.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRHLNLNDKADRIHNAILSTISEGQYRTADLGGTASTTDFAKAICDHL >DRNTG_31602.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:642430:646913:-1 gene:DRNTG_31602 transcript:DRNTG_31602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPLWKFEDRMESFPTKDPALAYSGQRFTQVDEPEPQVDLSLDASGSALVEENFVVPVTVTSKGHAVHSGELKINLVDARGGGLLMSPKGELEPFSSDSHHVELLGISGTIEEDESQLNLDNIRKIQQSFGVVSVPDLGLGDTWSCKLEIKWHRPKSVMLYVSLGYFSNPVEAASQRVNVHKSLQIEGKTPVIISHRFMTPFRREPLLLTKVKSSSDSDRKISLALNEKNILIVNARNSTEVPLRMISMSIESNSEKKNGHSCLVEHNHGATADYGLLAPGEEFKQVFSVTPQIDSPNLSVGTVCIRWTRDVRESQAPGSSVLTRLELPNVNVEKPPLIVSLECPPHAVLGVPFSVYLKVQNLTSMLQEIKYSLGDSQSFVFSGPHNDSILILPKTEHIISYKLVPLSSGSQQLPRITITSVRYSAALQPSIAAETIFVYPSEPNFSIGMRRKELDPVSS >DRNTG_14489.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14729699:14730654:-1 gene:DRNTG_14489 transcript:DRNTG_14489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVFECMYLLPLACIFIRIDARFVLNRVLFALQGTGTPWMTRGYDSGEKEKKTSVVDVAPGEFQVRIWIMAYGLNAARYGARDDHSSYNRRYLLDGVCLPQIVSMLSSIVSGIALRIEAIGSGIALGIALMMGAIGSGIALGMEAIKRAIGSGIALGMEAIKRGRLLKGFWQPSLGGRRETKTPFFGRVWLEAWEDQELELKGEASSSKEEKNSASLGPEEASFGRHSLPSSSFGLGSVIYAFTSCFCCV >DRNTG_27815.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27282799:27286156:1 gene:DRNTG_27815 transcript:DRNTG_27815.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGPGGGDVELLSWTLQFEHKLFYFDLKENPRGQYLKISEKTSATRCTIIVPLDGVAWFLKLFDYYASVDEQEVVNKQLKLETKVFYFDIGENKRGRFLKISEASISRNRSTIIVPAGSSSGEGWVAFRNILLEINEKASQLFMAPDQQRMEPSEHLPGLSDDVGAGFIAGHSTQLATGSDLNVDRLLELPSQDEVGGLGTSKVMRADQKRFFFDLGSNSRGDFLRISEVIGADRSSIILPLSGLRQFHETIGHFVEISQDRLEPLTSMNVRNVEQR >DRNTG_03885.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3883247:3885774:-1 gene:DRNTG_03885 transcript:DRNTG_03885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFKSVFSDPDPGQDPTEDEPSGEAPAGGSGGWSFDGLIKTISTKSESVIQTYRRDLEEFGSGLKKETAAFREAAARAVKDLPGSLEAGASVAQESLESVGQAIDDLGTSVFRGTAEIISHGKEALLTVDGDPSDSSSQANTSSKRYSRFEAQVLAIQADPATFTEEPEDGDEFKKWKEGFRLEEKGDEIEGLLYESSQLEGFVEKLVPSVVDFDTFWSRYFYRVHKLKLAEDARASLVKRVISREEDEEFLSWEVDDDDEEEEEEKKEEEKGIQVKDEEKKEIVEKELDHGEEKNGIVEKELDHGEEKNGIVEKELDHEEEKKEIVEKLEPSEENKPLQVSKDENLEVVDNALSVINEAKPGDDQVPPMALETTPHLSKQASKQEEVDLEWDEIEDLGEHDDKKVSSSGVENPNKVDLRKRLNVAEDDDDLSWDIEDDDDDDDGPVKA >DRNTG_14944.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27047532:27052448:-1 gene:DRNTG_14944 transcript:DRNTG_14944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHSRVENEETVRRCKERRRLMKQLLSCRSELASAHIAYLHSLRNTGATLRQFTEAESIIPVNSPVRLTLPPSPPPPLPPPPPPLPPSPPLPPLFSRKGGATLEKGNMVEGSIDDDDDDDDDDDDVDIDVCLPPMPPPGSDWDFLDPFGPPCSSTSSSPVLKKKDEGTVLQAGEEENWAETNTEFVEDEEELDAVSNVVVNTMKDKSPLRDLTDDTSSVVSGFTRDTDMAVVVWRSKKTMAGIVKELDDYFLKAAAAGKDLAVLLESNRGHSLDWNLEEQKGKRSKSAKVFHGLSWSWSSKSLLSNRDGHFGDTGDTCRPGNHCSTLDKIYEEEQRLYKEVKEEEIAKYECKKKILQLQKLEAGDHDWTKVEKARANIEYLQSRILSLQESINGTCLSISKLRDEELHPQLLEFSTGLTQMWRSMYECHQVQNHISQQVNLIDNQPGTEPTTEMHRQATVQLENEVRSWYSSFCNLLRSQREYMHALNQWIRLTDCLPDSNDQTGSAIGIRLLGEEWQLALDRLPDKVTADAIKSFVSVVHSIVLQQIEERTLQKRSDRLENRLEKELDALAQLDRYNESMVTNQDQTMESKQHLLSSKQAKLDGLKKRVEDEKAKYFNSVRLSRAMTLNSLHASLPNVFQSLMGFSSVCVQAFEGIQRQCEANSGHAEGVSPLLP >DRNTG_24842.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29348898:29350910:-1 gene:DRNTG_24842 transcript:DRNTG_24842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCNALSMIKPDVNDAFHVEAMHVDQETKVVEEEEEEVVVVVAKDGSGDYKTVGEAVAAAPAKSKKRYVIRIREGRYEENVEVHKKKTNLMFVGDGRDKTVITGSRNVVDGSTTFRSATLAVAGEGFLARSLRIENTAGPSKHQAVALRVNADHAAFYDCDIFGYQDTLYVHSFRQFFKNCTIQGTVDFIFGNAAVVFQNCEIQARLPNHNQKNMITAQSRDDINEPTGIVIQHCRISSTPDLESNKTSIKTFLGRPWKEFSRTVIIKSEIGDLVEPEGWHEWNGEFALKTLFYAEYMNSGVGSSTDDRVKWEGFKVITNETEAMQFSVENFIE >DRNTG_24842.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29348867:29350259:-1 gene:DRNTG_24842 transcript:DRNTG_24842.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGDGRDKTVITGSRNVVDGSTTFRSATLAVAGEGFLARSLRIENTAGPSKHQAVALRVNADHAAFYDCDIFGYQDTLYVHSFRQFFKNCTIQGTVDFIFGNAAVVFQNCEIQARLPNHNQKNMITAQSRDDINEPTGIVIQHCRISSTPDLESNKTSIKTFLGRPWKEFSRTVIIKSEIGDLVEPEGWHEWNGEFALKTLFYAEYMNSGVGSSTDDRVKWEGFKVITNETEAMQFSVENFIEGGSWLKTTGFP >DRNTG_24842.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29348898:29350259:-1 gene:DRNTG_24842 transcript:DRNTG_24842.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGDGRDKTVITGSRNVVDGSTTFRSATLAVAGEGFLARSLRIENTAGPSKHQAVALRVNADHAAFYDCDIFGYQDTLYVHSFRQFFKNCTIQGTVDFIFGNAAVVFQNCEIQARLPNHNQKNMITAQSRDDINEPTGIVIQHCRISSTPDLESNKTSIKTFLGRPWKEFSRTVIIKSEIGDLVEPEGWHEWNGEFALKTLFYAEYMNSGVGSSTDDRVKWEGFKVITNETEAMQFSVENFIE >DRNTG_29842.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23163637:23165950:1 gene:DRNTG_29842 transcript:DRNTG_29842.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-pore potassium channel 1 [Source:Projected from Arabidopsis thaliana (AT5G55630) UniProtKB/Swiss-Prot;Acc:Q8LBL1] MGDNSATRSLLSGLLDPSYHAQNHSDSKKRRFRRCRTIPSLDLGVEPHKDNNLFPGSNFILSKLRPSFKQVALFLVLYLGAGVTCFYIVRNQIKGDKTNGVLDALYFCVVTMTTVGYGDLVPDSVAAKLLACVFVFTGMAIVALFISQAADYIVEKQEILLVKAVNHSNKVGEAHMLREIEINRSKYKFIMTTLSLLVLITIGTLFLLKIEGLSLVDSFYCVCSTITTLGYGDKSFSTEGGRIFAVFWILTSTIFVAQFFLYLAELRTEQRQKLLAKWVLTRRMTFVDLAAADLDEDGALGAAEFIIYKLKELGKISQEDIAVVMEEFEDLDVDQSGKISATDLINAQGYP >DRNTG_33364.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26496595:26498836:1 gene:DRNTG_33364 transcript:DRNTG_33364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPFSPTIISSSRSTSLSKPSSDTFSQFSTSLKELWKETSMGFKE >DRNTG_11502.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29537106:29537798:1 gene:DRNTG_11502 transcript:DRNTG_11502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAITKKIRANGNKKIKPPPSDSVSSSTGGFRSIYNGDNTARSTRVMAASFSEEECLISMEL >DRNTG_24540.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2404988:2407181:1 gene:DRNTG_24540 transcript:DRNTG_24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGTLPSDLRFCTSLQTLDLSSNSISGGLPSSLCNWLPYLVSLDLSSNQLSGPLPAELSNCRFLNALILDHNSFSGSIPASIARLDRLKRLDLSDNQLSGAIPPSLPVSDPSAFANNPSLCGHPLHSCHSSLTRTSLIIIIASGVFGAAASLLLAFLIWRWRSKKHLAGAAGEDGLRWADRLRSSQHRLTEVSLFHKPIVKVKLADLMAATNGFHPNHIITAGSSRNGTAYKAVLRDGSALTVKRLHGCDLLEKPFRAEMNRLGQLRHPKPRPSSRLLPRRRREASHLQKHAPWFHF >DRNTG_20809.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22104193:22107927:-1 gene:DRNTG_20809 transcript:DRNTG_20809.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDYYKILQVDRSSKEEEIKKAYRKLAMQWHPDKNPSNKKDAEAKFKKISEAYEVLTDPKKREIYDQYGEEGLKGQVPPPAGGSSAYPGTSEFKFNPRSAFEIFSEFFGSASPFGGGAGDSGGVRRFPNGLSDDIFSAFRGGMGGGEASGSGGMRKGAQIERTLACSLEDLYKGTTKKMKISRDVIDSSGRPSTIEEILTIDIKPGWKKGTKITFPEKGNALQNLIPSDLVFIIDERPHGVFKRDKNDLIVTQKISLLEALTGYTVQLTALDGRNLTIPIKTVISPTYEEVVQGEGMPITKEPLKKGNLRIKFQIKYPNRLTSDQKAAIKQVLS >DRNTG_20809.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22104366:22107927:-1 gene:DRNTG_20809 transcript:DRNTG_20809.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDYYKILQVDRSSKEEEIKKAYRKLAMQWHPDKNPSNKKDAEAKFKKISEAYEVLTDPKKREIYDQYGEEGLKGQVPPPAGGSSAYPGTSEFKFNPRSAFEIFSEFFGSASPFGGGAGDSGGVRRFPNGLSDDIFSAFRGGMGGGEASGSGGMRKGAQIERTLACSLEDLYKGTTKKMKISRDVIDSSGRPSTIEEILTIDIKPGWKKGTKITFPEKGNALQNLIPSDLVFIIDERPHGVFKRDKNDLIVTQKISLLEALTGYTVQLTALDGRNLTIPIKTVISPTYEEVVQGEGMPITKEPLKKGNLRIKFQIKYPNRLTSDQKAAIKQVLS >DRNTG_20809.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22104298:22107927:-1 gene:DRNTG_20809 transcript:DRNTG_20809.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDYYKILQVDRSSKEEEIKKAYRKLAMQWHPDKNPSNKKDAEAKFKKISEAYEVLTDPKKREIYDQYGEEGLKGQVPPPAGGSSAYPGTSEFKFNPRSAFEIFSEFFGSASPFGGGAGDSGGVRRFPNGLSDDIFSAFRGGMGGGEASGSGGMRKGAQIERTLACSLEDLYKGTTKKMKISRDVIDSSGRPSTIEEILTIDIKPGWKKGTKITFPEKGNALQNLIPSDLVFIIDERPHGVFKRDKNDLIVTQKISLLEALTGYTVQLTALDGRNLTIPIKTVISPTYEEVVQGEGMPITKEPLKKGNLRIKFQIKYPNRLTSDQKAAIKQVLS >DRNTG_30086.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22856000:22859504:1 gene:DRNTG_30086 transcript:DRNTG_30086.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRFEEKGLESKGKPLGKLMRRRGRQCVSMADSQGRLSVDASLEEITSIRINNGDDLTGLIEFVRDDSSLLSMQPWVYEKEVCRLDDDGIRGNCEFSNDCSWDSSVAEVSSPRSSVALRRGFGRHRSSLSSRLHCRLSVKPVTSSIEDHPVTPLKNFELEDYFFSSSSPSTVRPFIVTDENDSVISNSSLVYKTVSGVSVGVMETLIGVPPLPASRKSKRKSKLLLEHRSQKPPFSPGSSDAMLLFFLGISIGVMSTSHSNRKEVEKLTDLLNHTENLVQDLQEELEMNNSLTVKELHDEASESVELNEDFGNVDKSISSFHSRLSCMQSPQENNANCAHNLRNIEAELEAELEKLELNMNGRGLDEINPGLAGEVVGNRRVTSNETATPIHDANYSVSPWELSLRLHKVIQSRLEERIEELERALDQSQKHVKVLESERAMSQTSFSNSYMGSSSQASPTLMEQEHAPSAPLCLKLSGDALSAYNEAYDEFIRTTDVDEDREQVDGLYQKWEEIMRSNRCDTADESDADDDNDNEGELLIKQIVERARKGSPALIQAQRMLFSIDK >DRNTG_30086.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22856276:22859504:1 gene:DRNTG_30086 transcript:DRNTG_30086.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRFEEKGLESKGKPLGKLMRRRGRQCVSMADSQGRLSVDASLEEITSIRINNGDDLTGLIEFVRDDSSLLSMQPWVYEKEVCRLDDDGIRGNCEFSNDCSWDSSVAEVSSPRSSVALRRGFGRHRSSLSSRLHCRLSVKPVTSSIEDHPVTPLKNFELEDYFFSSSSPSTVRPFIVTDENDSVISNSSLVYKTVSGVSVGVMETLIGVPPLPASRKSKRKSKLLLEHRSQKPPFSPGSSDAMLLFFLGISIGVMSTSHSNRKEVEKLTDLLNHTENLVQDLQEELEMNNSLTVKELHDEASESVELNEDFGNVDKSISSFHSRLSCMQSPQENNANCAHNLRNIEAELEAELEKLELNMNGRGLDEINPGLAGEVVGNRRVTSNETATPIHDANYSVSPWELSLRLHKVIQSRLEERIEELERALDQSQKHVKVLESERAMSQTSFSNSYMGSSSQASPTLMEQEHAPSAPLCLKLSGDALSAYNEAYDEFIRTTDVDEDREQVDGLYQKWEEIMRSNRCDTADESDADDDNDNEGELLIKQIVERARKGSPALIQAQRMLFSIDK >DRNTG_30086.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22857850:22859504:1 gene:DRNTG_30086 transcript:DRNTG_30086.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFFLGISIGVMSTSHSNRKEVEKLTDLLNHTENLVQDLQEELEMNNSLTVKELHDEASESVELNEDFGNVDKSISSFHSRLSCMQSPQENNANCAHNLRNIEAELEAELEKLELNMNGRGLDEINPGLAGEVVGNRRVTSNETATPIHDANYSVSPWELSLRLHKVIQSRLEERIEELERALDQSQKHVKVLESERAMSQTSFSNSYMGSSSQASPTLMEQEHAPSAPLCLKLSGDALSAYNEAYDEFIRTTDVDEDREQVDGLYQKWEEIMRSNRCDTADESDADDDNDNEGELLIKQIVERARKGSPALIQAQRMLFSIDK >DRNTG_18101.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:366753:369441:-1 gene:DRNTG_18101 transcript:DRNTG_18101.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAETEVIHQSIQVLDVQYRRTARGIDEIVDVPAAAAAAATTSVESPAFVELDIRASDAIPAISSEPELFVPAIRSGSFADIGPRRYMEDEHIRIDDLSAHLASLLRCPTPSAFYGVFDGHGGPDAAAYIKRHAIKLLFEDADFPQALQADDVFLGEVENSVRKSYLHADLALADDCTINKSSGTTVLTALVFGRLLLVANAGDCRAVLCRKGEVVEMSQDHRPIYDAERHRVEECGGYIDDGYLNGVLSVSRALGDWDLKLPQGSTSPLIAEPEFKQTILTEDDEFLIIGCDGIWDVMSSQQAVSIVRRGLQRHDNPERCARELVMEALRRNTFDNLTVIVVCFSNEQDECSTNQEDGAKQQKAKVNRCSLSTEALCSISSWLEKCGGGN >DRNTG_01818.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3056421:3058273:-1 gene:DRNTG_01818 transcript:DRNTG_01818.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIAKVFPNTCHRFCKWLILSRSKQKLAHVYSAHPTLRAELEKCVIESETVRCFETSWVSILDKYDLRKNTWLQALFNIRQRWIPLYMKDTFFAEMSPTQKLETMNEFYKKYFNTKTSLKVFLTQFDLSMENRYDEELQADSFTLCTKPLLKTASPIEKQAAETYTRMVFEKFQEEFVESLGYSVYKIKDGTISKFTVARDEDALESFIVTYDTAKGTASCSCKHFEFSGILCRHVLGVFLMVDLHMLPEEYFLKRWTRNAKSDVLLDDCSDELQKKGTSSVEIYKAAKDALQKAFAEIAIVEKSAGKGEQRDAININEDITVDEAMTNQSLQDPERKVTNLLGHLLGSTWSPM >DRNTG_16472.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2590164:2593392:-1 gene:DRNTG_16472 transcript:DRNTG_16472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYMPSLRSKAAHLVTDLTTVLLNPISDEPSKPHTEERVRRPEEALTESDADAPDGPDTSSFTAFLVSLLSSSESGDHHRNHPVEECNNPGVDAASGSGVKENSARKGILARGKHTLGRAFQKASRLGGYRSSSLPKADSDTTNDPNLLGQELKPIRTSKESTSKCTLPVISEPSMLLSENMRSNLYLSLPALVHGRNWVLLYSTWRHGMSLQTLYRRSFLWPGYSLLVVGDQKGAVFGGLVEAPLQPTNRKYQGTNNCFVFTNLPSHLAIFRPTGLNHYFTLCSTDFLAMGGGGHFALYLDGDLLNGSSSASETFGNSCLAHSQDFEIKEVELWGFVYASKYEETLAMCRTEKPGICRW >DRNTG_19946.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001081.1:14351:14642:1 gene:DRNTG_19946 transcript:DRNTG_19946.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCSGLPSPSNPLSAASRDARRYSMPRSVSLPVD >DRNTG_24840.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29338055:29342329:1 gene:DRNTG_24840 transcript:DRNTG_24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIALKTKEGVVLAVEKRVTSPLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMSVESTTQAICDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYHTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKEMTLQEAETVALSILKQVMEEKVTPNNVDIAKVAPTYHLYSPAEVEAVISRL >DRNTG_23162.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1822602:1824797:1 gene:DRNTG_23162 transcript:DRNTG_23162.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 34 [Source:Projected from Arabidopsis thaliana (AT2G38320) UniProtKB/Swiss-Prot;Acc:O80919] MAKKNNQLFFMKPWGLRSIINFIVSLFLALAIILFISSTAKTGNAREGGMESAKAAGQGEDGEICDLFSGKWVFDNLTYPLYSERECMFMSDQSACEKFGRKNFRYQNWRWQPNGCDLPRFNATRLLESLRGRRMVFVGDSLNRNQWVSMVCLLGSVIPSSLKSMETNGSLMSFKAKEYNASVEFYWSPLLVESNSDHPTNHRIPDRIVRTQSIEKHAKHWTDADILVFNSYLWWRRSTMKVMWGSFQDNDGIYKEIEILRAYELALKTWSDWLEFNVDPLKTQLFFVSMSPTHFWGEEWGIDSNQNCYNETEPIKKIGFWGRGSDKRMMNVVDTAIDRLKDRGVSVQILNVTQLSEYRKDGHPSIYRKQWDPLTEEQIANPSSYADCIHWCLPGVPDVWNELLYAYIFKQQ >DRNTG_00995.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6744716:6745979:-1 gene:DRNTG_00995 transcript:DRNTG_00995.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 41 [Source:Projected from Arabidopsis thaliana (AT3G02550) UniProtKB/Swiss-Prot;Acc:Q9M886] MRMSCNGCRVLRKGCSDNCSIRPCLQWIKSPESQANATVFLAKFYGRAGLMNLINAGPEHLRPAIFRSLLYEACGRIVNPIYGSVGLLWSGSWQLCQAAVEAVLKGAPIVQIPSEAAPMPQLKGYDIRHVCKDSAAPVGELRKVAKPRNRFKRSAVVKPKPKPLPGWLQCNSEPSHDSSDSIFSTDTMEASHVSQAEPNCSKSQAWFEDGDEVGLELTLGPFEPSTAPAPAPVPSQLD >DRNTG_00995.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6744607:6745871:-1 gene:DRNTG_00995 transcript:DRNTG_00995.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 41 [Source:Projected from Arabidopsis thaliana (AT3G02550) UniProtKB/Swiss-Prot;Acc:Q9M886] MRMSCNGCRVLRKGCSDNCSIRPCLQWIKSPESQANATVFLAKFYGRAGLMNLINAGPEHLRPAIFRSLLYEACGRIVNPIYGSVGLLWSGSWQLCQAAVEAVLKGAPIVQIPSEAAPMPQLKGYDIRHVCKDSAAPVGELRKVAKPRNRFKRSAVVKPKPKPLPGWLQCNSEPSHDSSDSIFSTDTMEASHVSQAEPNCSKSQAWFEDGDEVGLELTLGPFEPSTAPAPAPVPSQLD >DRNTG_13237.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2882422:2885481:-1 gene:DRNTG_13237 transcript:DRNTG_13237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRALYHSFFFPLSPSPSPASAPTQPPSSPPFPKYPSTTTTTTTTTSLPFFPTYPSPPPPPSPSLPTFPANISSLTLPTSSHSAPRQTNASKLLLSIFIPILALLLLLSVLLLLHYLRQRRRQTRTFNDAEDSRSDSHRLFPPNSAPSDIPKLDTSSRPTSSEFLYLGTLVSSRPHPQVPPAPAAPPPARSPELRPLPPLSRPTADAAESSSDEFYSPKAAPLKKKSGSNSSSSSSSPTVSSLPVSSSPSVSTIESLTTKKAFDGDSEKNMDQRSKLLHFSSHGVRPERLPPPPPPPLVPPRYDRCENERRVKLKALHWDKLPPSSDRAMVWDQLKKSGSFQLNEEFYSLGDDKTVLLDPRKSQNIAILLKALNVSKEDVCDALLEGNVDSLGCELLETLQKMAPNKEEERKLIEHRNDLNHKLGVAETFLKAILDIPFAFKRVDAMLYIANFNSEVDHLKQSFQTLEEACDELRNSRLFLKLLEAVLKTGNRMNIGTNRGDARAFKLDTLLKLVDIKGTDGKTTLLHFVVQEIVKAEGYRLLASRRNQVNVSRDDQECKRLGLQVVSRLGDELINVKKAAAMDFDMLCSSSSKLATGIDKIRDVIRLNNGERFHESMNGFLRTAEGEIVTVQMQEGVALSMVRELTEYFHGDSAKEEAHPFRIFMVVRDFLSILDRVCKEVNTKDDRAAFISTAPCHSPVCHVSSGSSDDSEAFSSSP >DRNTG_32255.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25658518:25659551:1 gene:DRNTG_32255 transcript:DRNTG_32255.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQGSSGMAGEGESVTLVDLKKKMAEFARERDWDQFHSPRNLLLALVGEVGELSEIFQWKGEVPKGLPGWDEKEKEHLGEELSDVLLYLVRLSDICGVDLGQAVLRKMELNASKYPVHHCTMPSSSSSSSSSSSMRNKNTVHHSSNNGNTNL >DRNTG_04263.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9396428:9397547:-1 gene:DRNTG_04263 transcript:DRNTG_04263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMSEEQLDYGEEDYGGSQKYPFAGGGGGGGGGGGGTGGAIPALADEELMGEDDEYDDLYNDVNVGDGFMQTIQQKQAPPARSEPTPAPAPAVAFGNSNQNPENHSSGGIEVKDAKPEQKPAQMGGIGFQGGSLGPIPPKMVIDPSFGGGGPPSVPAVAAPPPPIPPSIPLNPVGSGVVGSRPSMVNDNVRQVIPAVDNSGPTMLFVGELHWWTTDAELESVMSQYGRVKEIKFFDERASGKSKGYCQVEFYESAAAAACKEGMNGYLFNGRPCVVTFASPQTLKQMASGYVNKNQGQQQSQMQGRRGMNDGMGRGSGMGFQGGDGGRNFGR >DRNTG_11035.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10696114:10703652:-1 gene:DRNTG_11035 transcript:DRNTG_11035.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SHL1 [Source:Projected from Arabidopsis thaliana (AT4G39100) UniProtKB/TrEMBL;Acc:A0A178UWF3] MTRPRPPKRTLDSYTIKGISKTIKPGDCVLMRAPDARRQPYVAKVEGIEEAGRRRVRVRVRWYYRPEESIGGRRRFHGAKEVFLSDHYDVQSADTIEGKCVVHSFKDYTKLDAVGSEDFFCRFEYLSKTGTFIPDRIAVFCKCEMPYNPDDLMIQCESCSDWYHPTCINMTVEEAKKLEHFFCQSCGPENGKNTQNTQNGSGQSEHKGASKRRRR >DRNTG_11035.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10696114:10703652:-1 gene:DRNTG_11035 transcript:DRNTG_11035.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SHL1 [Source:Projected from Arabidopsis thaliana (AT4G39100) UniProtKB/TrEMBL;Acc:A0A178UWF3] MTRPRPPKRTLDSYTIKGISKTIKPGDCVLMRAPDARRQPYVAKVEGIEEAGRRRVRVRVRWYYRPEESIGGRRRFHGAKEVFLSDHYDVQSADTIEGKCVVHSFKDYTKLDAVGSEDFFCRFEYLSKTGTFIPDRIAVFCKCEMPYNPDDLMIQCESCSDWYHPTCINMTVEEAKKLEHFFCQSCGPENGKNTQNTQNGSGQSEHKGASKRRRR >DRNTG_16294.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:5576323:5576607:-1 gene:DRNTG_16294 transcript:DRNTG_16294.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQVREEGEGQGGKEEDEGRRKVWRMESKERRREMGEGA >DRNTG_28189.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20787725:20790531:1 gene:DRNTG_28189 transcript:DRNTG_28189.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENVVKQTREVVQIDPITNTEVIKRVKVWNYAVADIALLAFGTSFPQISLATIDAIRNIGQLNAGGLGPGTLVGSAAFDLFPIHAVCVIIPRAGTLKKISDIGVWLVGLFWSFWAYVWLYIILEVWTPNIITLWEALLTVLQYLLLLFHAYAQDKRLPYLSIPFARSERPEDWVPEGDNSCKARNAEHDECCKILDLSEGHGSNIDQVYENLPDTDISEASASASGKKYIEEDFNLFSAWGRQFID >DRNTG_28189.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20786937:20790531:1 gene:DRNTG_28189 transcript:DRNTG_28189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVVKQTREVVQIDPITNTEVIKRVKVWNYAVADIALLAFGTSFPQISLATIDAIRNIGQLNAGGLGPGTLVGSAAFDLFPIHAVCVIIPRAGTLKKISDIGVWLVGLFWSFWAYVWLYIILEVWTPNIITLWEALLTVLQYLLLLFHAYAQDKRLPYLSIPFARSERPEDWVPEGDNSCKARNAEHDECCKILDLSEGHGSNIDQVYENLPDTDISEASASASGKKYIEEDFNLFSAWGRQFID >DRNTG_29265.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001473.1:17639:20820:1 gene:DRNTG_29265 transcript:DRNTG_29265.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYWGNPYLRRSEWLNQSVLQRLLSEGPWTINGIILQLSPWRPFFEPTFTKLTTAAIWIQLHNLPIEFWSGDTLETITGHLGKLLKIDELTLSLTRTKYARVCIELDLSKPLSKGFWLGDDLYRVFIVVQYERLPTFCYTCGVIGNGSNSCSRAPVIGNVNASQSSRSQRGKEVRHEHSPLVADVGAGDISASSLPASVYEAPVNSTTSVVDSDFGPWLLVSRRRGPSRSRGGSARTPTVAEGSAAVPRHDIQSSRGASIHSLPGGLRGGASGRRHNSNATSHVPPCMVASGPLDSSDIASLPVTLPQASGDSESHPSNVHRDSLSPP >DRNTG_08500.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000381.1:19264:22998:-1 gene:DRNTG_08500 transcript:DRNTG_08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKTLTLFLLLFFSSFFFSSIHVEADDPYRFLTWNVTYGFIYPLGVQQQGILINGQFPGPQIECQTNDNLIINVFNSLNEPFLISWNGIQQRRNSWQDGVYGTTCPIPPGKNFTYMLQVKDQIGSYYYFPFLAMHKAAGGFGGFKILSRPMIPVPFPPPAGDFTILAGDWFKANHTDLRATLDGGHSLPFPDGVLINGRGWNGNTFTVQQGKTYRFRISNIGLTTCLNIRFQGHKMKLVEVEGSHTLQNTYSSLDVCLGQSYSVLVTADQPPLDYYIAVSTRFTNPVLTTTAILHYSNSAGRPSGSPPGGPTVEIDFSLNQARSIRWNLTASGPRPNPQGSYHYGMINTTRTIRLANSAATINGKQRYAVNSVSFIPADTPLKLADYYKISGVFWAGSIPDRPTFGGAYLQTSVMGANYREYIEIVFENYENTVQSWHIDGYSFFVVGMDGGQWSSTKSRKSYNLRDTVARCSFQVYPKSWTAIYMALDNVGMWNIRSENWERQYLGQQFYLRVYTPSNSWRDEYPIPRNALLCGRAAGRKTRPL >DRNTG_26676.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15717812:15722898:1 gene:DRNTG_26676 transcript:DRNTG_26676.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMKVALMIKISGETGNVKFFSTPARPNETSDRKPSFQKGPTSPIPFWFG >DRNTG_30741.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31719301:31720120:1 gene:DRNTG_30741 transcript:DRNTG_30741.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQFSNIGSISSTNAFCTYTGRMGTRYLIKTRALSIEDPFEQTENTARAVGWAELNIISRAFREAYRLFSSRNVGSDRTALLTYLVRPSIRSQLRGGLHIIDDDDNDDDDDDDYETMENRFRDTLTISHASNTSSSRSIGRMWTGSSSSRVFFND >DRNTG_33524.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20579147:20587126:1 gene:DRNTG_33524 transcript:DRNTG_33524.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRSHPLPTADPPDDWGDGSWTVDCSCGVTYDDGEEMVSCDECGVWVHTRCSRFSKGDSSFACHKCTAPSSSSAHAIGGDAEETEVARLLAELPTKAGYSCFPNPSPPRRPVRLWAETPLEDRVHVQGVPGGDPALFRGLSSVFTSELWKCTGYVPKKFNFQYKEFPCWDEKEGDEEEEDENPANRGAGVLFSLSKEILPRVPSARNFDWRSSEGVRKREGVRPLGGGSRSQSSGKKERNNRFRVVGGHSGKRKKEDQGEGKDRSSKKKARSIAYKAMEANNKRGSVGRVNKSDMFEDGSLQVAESNLEDQKMVDTKKGLSELNSGDHLVEEDKVSTKSGNTIVVNAQRGSFSDQGLKQNNSEAPVKVGRAEQLDIVKTENATDDDAFIAAGGQGNEPVKVLVKEEDVSNVVHGLKQPNDGDHHEGVVTGSENSNHVDTIPQNTHLGIIDSTRPPDANGTILLSSKMYEIEVKSEFGGEQITENAEFQSHPTTNGILADAHQVPWKPHSSMCCSTENPQQHEPISCLQPDEHRSHGEVVDESAGLVVAPEGSTGGKLDSVNALESLKSGDPDISHSTCDACELDNGGRIVSPIQGTSRGDSAGPTSSLEEKHDSIAYDSPKSAGPYTGQPASSGHKGLGKIPSSALVSSRSVLGKPDSGKSESPGIAASLTTMKAVHSSKPHRVKVNTSSVGKKEDVTIGVSPEESTQVISKHPTKDNSRVSTYSESILSQPSKISYASASKHDLSDPKEKHAGSSSRASIRENMGILSEVNTQGASSVQIKVKSSTCQKNEKNNQPFSQPSSKIFNNSIGMRLPTSVNTLNAGLSDEELALLLHQQLNSSPRVPRVPRVRQATGMQLTSPTGTSMLSKRSSFSGGKDHVVVPRRKNKEDPSRDGSRNSRELSGDTKRLGRYPLSPDKKHLDSSLMTVGSFKNDAWSRSPDSATSGRKNTPIASTAGSNSTHSSSLDVNGQNVLATRSLTKDISDDDGVSARTLPGLVDEIMKKGRCTSYEELCDAVHPHWHSLRKPNGERYAYSSHSQAVLDCLRNRNEWSHLIDRGPKTNSSKKRRKIDSDTSYIESESEDAKNKDTKEEDDKNGDSHREDFPKGKRKARKRRHLELHSRSSKDRKRHKQDLTVNDPAAHSHSSNEDDDSDEDESQEARFHAVGTEVSASSSDETD >DRNTG_33524.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20579147:20587126:1 gene:DRNTG_33524 transcript:DRNTG_33524.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRSHPLPTADPPDDWGDGSWTVDCSCGVTYDDGEEMVSCDECGVWVHTRCSRFSKGDSSFACHKCTAPSSSSAHAIGGDAEETEVARLLAELPTKAGYSCFPNPSPPRRPVRLWAETPLEDRVHVQGVPGGDPALFRGLSSVFTSELWKCTGYVPKKFNFQYKEFPCWDEKEGDEEEEDENPANRGAGVLFSLSKEILPRVPSARNFDWRSSEGVRKREGVRPLGGGSRSQSSGKKERNNRFRVVGGHSGKRKKEDQGEGKDRSSKKKARSIAYKAMEANNKRGSVGRVNKSDMFEDGSLQVAESNLEDQKMVDTKKGLSELNSGDHLVEEDKVSTKSGNTIVVNAQRGSFSDQGLKQNNSEAPVKVGRAEQLDIVKTENATDDDAFIAAGGQGNEPVKVLVKEEDVSNVVHGLKQPNDGDHHEGVVTGSENSNHVDTIPQNTHLGIIDSTRPPDANGTILLSSKMYEIEVKSEFGGEQITENAEFQSHPTTNGILADAHQVPWKPHSSMCCSTENPQQHEPISCLQPDEHRSHGEVSLSCVGSDEKTYRMVSKSSECKQHEQVVDESAGLVVAPEGSTGGKLDSVNALESLKSGDPDISHSTCDACELDNGGRIVSPIQGTSRGDSAGPTSSLEEKHDSIAYDSPKSAGPYTGQPASSGHKGLGKIPSSALVSSRSVLGKPDSGKSESPGIAASLTTMKAVHSSKPHRVKVNTSSVGKKEDVTIGVSPEESTQVISKHPTKDNSRVSTYSESILSQPSKISYASASKHDLSDPKEKHAGSSSRASIRENMGILSEVNTQGASSVQIKVKSSTCQKNEKNNQPFSQPSSKIFNNSIGMRLPTSVNTLNAGLSDEELALLLHQQLNSSPRVPRVPRVRQATGMQLTSPTGTSMLSKRSSFSGGKDHVVVPRRKNKEDPSRDGSRNSRELSGDTKRLGRYPLSPDKKHLDSSLMTVGSFKNDAWSRSPDSATSGRKNTPIASTAGSNSTHSSSLDVNGQNVLATRSLTKDISDDDGVSARTLPGLVDEIMKKGRCTSYEELCDAVHPHWHSLRKPNGERYAYSSHSQAVLDCLRNRNEWSHLIDRGPKTNSSKKRRKIDSDTSYIESESEDAKNKDTKEEDDKNGDSHREDFPKGKRKARKRRHLELHSRSSKDRKRHKQDLTVNDPAAHSHSSNEDDDSDEDESQEARFHAVGTEVSASSSDETD >DRNTG_03790.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22029382:22030151:-1 gene:DRNTG_03790 transcript:DRNTG_03790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITDKMVIDEVPGWLRLFDDGSVDRTWTGPPQALFQMSSIPPSPTPNSDNVTVHDLPGEPNLRFYLPASDHLSPGTLFPILLHFHGGGFCITHNTWYIYHQFYSRLAGAIPAAIFSVELSLAPEHRLPAQIHDSFSALVRLRSISENQNSDPLFSSLDFSRVFLIGDSSGGNLVHEVAALAGNETDEFWSPVKLAGAIPIHPGFVRATRSKSELEMEQNPVITLDMLDKFMALALPEGATKDHP >DRNTG_03790.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22028969:22029867:-1 gene:DRNTG_03790 transcript:DRNTG_03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNPVITLDMLDKFMALALPEGATKDHPYTCPMGTAAPAMEELRLPPFMVCVADKDLVRDTNYEYCEAMKKAGKKVEVLVSEGMSHSFLLE >DRNTG_25049.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8958119:8972973:1 gene:DRNTG_25049 transcript:DRNTG_25049.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTRMPEKTQLACLATSSCSPNRTLFLPCTSLILISQVEQPPTQPTLLLPCTSVSSGKHLVYQERGLRRLKDKLGVMSIDWEIRAAGAEAPEYCKIAPSHLLLKSNLSYGLMKLLSPKACPQTIRLLMGFDSCERSVEKRIPEANLFTIKTHHILYGVERHAGYVDFVYADQISKIEILNMAQQLNIAVERCSIWWMDVNSDYRKFKEIITDLDALVMAMSVDSSRNTHVCIAVRDRGCLHRANLGMDGDAGGSTHGIGGNTATSVEFSDEDEDPEENDLEDILIGRSGKGKKVDEEIEFDESDYNFDDDSDDERNGGECLPNALVTVTSEEQPDLGDTDTLQFDNENSDVLHSCSSTDSKGVRLSRPSFAEFNEEVDMRNPHFKIGMKFREFKQFKETVKNYKIKNRYVMNFRPNTKTRCKVYCVKDCPFYLWASPIPKDGTTIQIKSGVLKHECGKEHKNRHVNARWIAKTYMEQFRANPAWKLDGIVQVVKINQEVEITRLTAFRAKSIALRDSWPTAEQQAMIPPMPVNKNRGRKTLLRRKEADENTIRFNKGKVANRGGKVTCGICGARGHNRRYHGKQGNMDSVESSGHQHRHQDGDLCADIPVQLNSLNLEDRQQPSDDGGGPNNGMQDKTRQEVPCARQDSEIEEGITHQEFTQEPAAQTNIYFFHTWRVISTLKETIPATVDTNTDRGNLMRRRKLGITKGIDNTISQEADKSTSAHERQYAKKHGEKVSKRLLKADVDRSADVDVPSQTDDVAGHADCVISGIHISSMDVVTCGFEIDMAAYSMCYFGDEKDVEEELEAYRAIHFPALALIRNTTKHSGRILEGR >DRNTG_15348.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12309363:12309972:1 gene:DRNTG_15348 transcript:DRNTG_15348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPHYETDPCFSEGFPVSPAHLLAPKPANTPQVPSLSDLKEGKGSTTISSDSL >DRNTG_30680.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1875177:1877127:1 gene:DRNTG_30680 transcript:DRNTG_30680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKSTTTKQKGSQRRGMWWRCSHRKWMSSTENLFIWVGGWRPSSAVHILYSKSGIQFELKMNEVISGMETRDLARLSPKQVKMADELQRRVIKKERDYGGGGEDAGESGEQEDGGECGCDDGDGGEGGGDGGEDRRGDEEEERRYGESVIQGGWVEDGDNDGDYGDVEAYARRSRVDVEMVRVRLNRAFIHRLTWRLHLGVRT >DRNTG_21187.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11575345:11576191:1 gene:DRNTG_21187 transcript:DRNTG_21187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFQHLASSLKRGVGEKGEEDMAVRAHRWSGYKEMKK >DRNTG_19914.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:6041648:6045419:-1 gene:DRNTG_19914 transcript:DRNTG_19914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKFGFEGFGINRPSTYSSERSQASQRLYAPFHDLSDDQDLNDITYDNPGPPHSAPDGDGEIDPLDAFMEGIHGEIRAPPPPSVQPKEKGERFKDEDEDDPVESFLRSKKDIGLALASEAL >DRNTG_19914.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:6041648:6042336:-1 gene:DRNTG_19914 transcript:DRNTG_19914.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKMYFGSLYLMLSSRCFTNVFSEKHSLNTGMFFGCYTIFLKTIICFLSHGV >DRNTG_19914.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:6043960:6045419:-1 gene:DRNTG_19914 transcript:DRNTG_19914.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKFGFEGFGINRPSTYSSERSQASQRLYAPFHDLSDDQDLNDITYDNPGPPHSAPDGDGEIDPLDAFMEGIHGEIRAPPPPSVQPKEKGERFKDEDEDDPVESFLRSKKDIGLALASEAL >DRNTG_19914.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:6041648:6045419:-1 gene:DRNTG_19914 transcript:DRNTG_19914.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSMLLPRLWMLAWLSMIWMTTQLLLISERLSRSWLWIIVPLNMNPLIRISMKKSPQFLGSC >DRNTG_19914.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:6043960:6045419:-1 gene:DRNTG_19914 transcript:DRNTG_19914.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKFGFEGFGINRPSTYSSERSQASQRLYAPFHDLSDDQDLNDITYDNPGPPHSAPDGDGEIDPLDAFMEGIHGEIRAPPPPSVQPKEKGERFKDEDEDDPVESFLRSKKDIGLALASEAL >DRNTG_20153.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1599603:1600052:1 gene:DRNTG_20153 transcript:DRNTG_20153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSQQGTKGERTSELKEEGKKKKKREK >DRNTG_18030.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:24211480:24216501:-1 gene:DRNTG_18030 transcript:DRNTG_18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGDGGVFLCWISTKLFPFVFLLPEWSYGARSLVEQEDNLNQDEALIPDENFYMEGYDSLKVYIQKLVGALHEPYGSHETVEEQALTKDRSPQDKESEEWVTFSEKQIPPPIARRNRTISTPRTRINRIEFWGFQVINPLPRYRPNPLVQGTPRQIGANKSSPNNLLSSGARVRSHRTTPKPLPIPLKTLATTLLKVGEKMEKRKLKLG >DRNTG_15864.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25338917:25340502:1 gene:DRNTG_15864 transcript:DRNTG_15864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQEEREKRAEAAARQAADELAAQRRELEMEREKYAEKKEKERYESAGGEQQQRGVLESIKEGAKSLLSSVQDTTAAKAREASEKTAETKDAALEKTKDYKDSAVEKAKEAKDNAVEKAAEYKDSAAEKAKQAKDSTVEKAAEYKDSAVEKAKQAKDSTLQKAGETKDTTLQKTGEYKEKAAEKGREAKDTAAEKAGEYKESSEQRMEEAKQKAKEMKDTAAQKALQGKDTTLEGAQRAMEYLSTKKEEAKEGTAETGDTVKEKLSESEEEARRKFEELRNRDKEAKNETGRTVEETGEGGQRSDIGSGGGGLLSSLGNVAETIKEKLAGPKVVEHEEIRYRVKPAGEEGDVEAKIKTSDQMHGQAFNDVGEMGEEGSGPIGRDDRHGKM >DRNTG_23570.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18245361:18247513:1 gene:DRNTG_23570 transcript:DRNTG_23570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNSSIRLDEAMASEMANLSLVDLDGIQTAMELLSEMLRAVNPSDHEAVKDEVIVDLVSQCRSNQKRVLQLINATGDEELLGRALTLNDRLQSVLIKHEAIASGSPLPIEASASSPGPSAPLRPPSPVELNQNPIEEEEDEDDEFIQLARRNEKFKQAATETSNGLETNGQLVPISTTATEAEASSSATSNALVPA >DRNTG_23570.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18242935:18247513:1 gene:DRNTG_23570 transcript:DRNTG_23570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGMAPAPAGPATVRVEKAAVRVEKATSDLLIGPDWTMNMDICDSINSDHWQAKEVVKAMKKRLQHKNPRVQFLALTLLETMIKNCGDSVHHQVAERDILQEMIKIVRKKNFPQTDMQVRDKILVLLDSWQEAFGGPGGKYPQYFLAYSELKRSGVVFPKRSADSALIFTPPATHPTATPRQIQANYGMPNNSSIRLDEAMASEMANLSLVDLDGIQTAMELLSEMLRAVNPSDHEAVKDEVIVDLVSQCRSNQKRVLQLINATGDEELLGRALTLNDRLQSVLIKHEAIASGSPLPIEASASSPGPSAPLRPPSPVELNQNPIEEEEDEDDEFIQLARRNEKFKQAATETSNGLETNGQLVPISTTATEAEASSSATSNALVPA >DRNTG_23570.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18242935:18247513:1 gene:DRNTG_23570 transcript:DRNTG_23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGMAPAPAGPATVRVEKAAVRVEKATSDLLIGPDWTMNMDICDSINSDHWQAKEVVKAMKKRLQHKNPRVQFLALTLLETMIKNCGDSVHHQVAERDILQEMIKIVRKKTDMQVRDKILVLLDSWQEAFGGPGGKYPQYFLAYSELKRSGVVFPKRSADSALIFTPPATHPTATPRQIQANYGMPNNSSIRLDEAMASEMANLSLVDLDGIQTAMELLSEMLRAVNPSDHEAVKDEVIVDLVSQCRSNQKRVLQLINATGDEELLGRALTLNDRLQSVLIKHEAIASGSPLPIEASASSPGPSAPLRPPSPVELNQNPIEEEEDEDDEFIQLARRNEKFKQAATETSNGLETNGQLVPISTTATEAEASSSATSNALVPA >DRNTG_07005.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000320.1:1773:4666:-1 gene:DRNTG_07005 transcript:DRNTG_07005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLVKLLGDYNVPAYRSQNGWTKGAWNRILCDMVTKFLNLKCTVVQVKALEQELKKIYKLLKGFTELSGFGWDYEKNMVEATEKVWVPLLERNKKARRWHQKPFPYFTALQEIYEGRYAEGRRSHDVDYYANVPMDTPSPSIPAPNDPIQSLSTPEIEIEDPDFAQVEPPCSQQNISQPQNSCSASRQRLGDDVQRRKKDRKRKKCSRVILRTIYRYASS >DRNTG_33331.30.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3431673:3432228:1 gene:DRNTG_33331 transcript:DRNTG_33331.30 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] GYYSYLYARCFASTIWQQVCCEDPLSPTVGAAIRSKLLQHGGAKDPANLLRDFAGDNILECCDGGVAPNITSLREELGL >DRNTG_33331.26 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3428865:3432189:1 gene:DRNTG_33331 transcript:DRNTG_33331.26 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MAPRINHCDLETLFHEFGHALHSLLSRTDYQHFSGTRVLLDFAETPSNLFEYYARDYRVLKTFARHYVTGDAIPEKLVTVMNGARNMFAATELQRQIFYSMIDLTLFGEEAAASRDTVSVVSDLKRQYTSWKHADGTHWHTRFSHLINYGAGYYSYLYARCFASTIWQQVCCEDPLSPTVGAAIRSKLLQHGGAKDPANLLRDFAGDNILECCDGGVAPNITSLREELGL >DRNTG_33331.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3422037:3432189:1 gene:DRNTG_33331 transcript:DRNTG_33331.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MSLSCHFIIPKRKGKYPGCAHFAIRGGRQISEKEYQLPIVALVCNFSTGTGSMAPRINHCDLETLFHEFGHALHSLLSRTDYQHFSGTRVLLDFAETPSNLFEYYARDYRVLKTFARHYVTGDAIPEKLVTVMNGARNMFAATELQRQIFYSMIDLTLFGEEAAASRDTVSVVSDLKRQYTSWKHADGTHWHTRFSHLINYGAGYYSYLYARCFASTIWQQVCCEDPLSPTVGAAIRSKLLQHGGAKDPANLLRDFAGDNILECCDGGVAPNITSLREELGL >DRNTG_33331.21 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3423378:3432189:1 gene:DRNTG_33331 transcript:DRNTG_33331.21 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MSLSCHFIIPKRKGKYPGCAHFAIRGGRQISEKEYQLPIVALVCNFSTGTGSMAPRINHCDLETLFHEFGHALHSLLSRTDYQHFSGTRVLLDFAETPSNLFEYYARDYRVLKTFARHYVTGDAIPEKLVTVMNGARNMFAATELQRQIFYSMIDLTLFGEEAAASRDTVSVVSDLKRQYTSWKHADGTHWHTRFSHLINYGAGYYSYLYARCFASTIWQQVCCEDPLSPTVGAAIRSKLLQHGGAKDPANLLRDFAGDNILECCDGGVAPNITSLREELGL >DRNTG_33331.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3422037:3432189:1 gene:DRNTG_33331 transcript:DRNTG_33331.14 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MHHCFKPIYQPIHKMTKGELKVEDIKKEKGFRVRTDPSMLSSLLQFVSDAEVRKQSYITGNSAPHANLGVLNKLISARHELAQIMGCKSYAEFAIRSNMAASSVIVSSILLNLSKIVKHKADEEFLSMRDFKRQVCCGENLDLEPWDESYFTSMMKSSLYDLDPSVIASYFPLSQCLEGLKIMVNSLFGATFHKVAFAAGESWHPDVIKLSLHHPQEKGEVSRLCTFCH >DRNTG_33331.28 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3422037:3425031:1 gene:DRNTG_33331 transcript:DRNTG_33331.28 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MNLMLRRAASAFPTSSSRRLFSGSCFDSTAPAAAASPDSSPGGLYGFPVLRTAKGFRRFVDDAIERSGELVSYVSLLPPSGEIIRALDEISDTVCAVIDSAELCRNTHPDREFVEEANKASMRIIEHLHFLNTNQSLYDAVKRAEDIPLLTDEAQRAAHALRTDFEKGGIHLPADKLERVNKLNVEIAHLGREFFGYIPSVTHPKAYASLF >DRNTG_33331.23 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3427365:3432228:1 gene:DRNTG_33331 transcript:DRNTG_33331.23 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MAPRINHCDLETLFHEFGHALHSLLSRTDYQHFSGTRVLLDFAETPSNLFEYYARDYRVLKTFARHYVTGDAIPEKLVTVMNGARNMFAATELQRQIFYSMIDLTLFGEEAAASRDTVSVVSDLKRQYTSWKHADGTHWHTRFSHLINYGAGYYSYLYARCFASTIWQQVCCEDPLSPTVGAAIRSKLLQHGGAKDPANLLRDFAGDNILECCDGGVAPNITSLREELGL >DRNTG_33331.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3422037:3432296:1 gene:DRNTG_33331 transcript:DRNTG_33331.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MNLMLRRAASAFPTSSSRRLFSGSCFDSTAPAAAASPDSSPGGLYGFPVLRTAKGFRRFVDDAIERSGELVSYVSLLPPSGEIIRALDEISDTVCAVIDSAELCRNTHPDREFVEEANKASMRIIEHLHFLNTNQSLYDAVKRAEDIPLLTDEAQRAAHALRTDFEKGGIHLPADKLERVNKLNVEIAHLGREFNENIMIDPGSLDIFPASRIPKHMHHCFKPIYQPIHKMTKGELKVEDIKKEKGFRVRTDPSMLSSLLQFVSDAEVRKQSYITGNSAPHANLGVLNKLISARHELAQIMGCKSYAEFAIRSNMAASSVIVSSILLNLSKIVKHKADEEFLSMRDFKRQVCCGENLDLEPWDESYFTSMMKSSLYDLDPSVIASYFPLSQCLEGLKIMVNSLFGATFHKVAFAAGESWHPDVIKLSLHHPQEKGEVSRLCTFCH >DRNTG_33331.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3422037:3432189:1 gene:DRNTG_33331 transcript:DRNTG_33331.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MHHCFKPIYQPIHKMTKGELKVEDIKKEKGFRVRTDPSMLSSLLQFVSDAEVRKQSYITGNSAPHANLGVLNKLISARHELAQIMGCKSYAEFAIRSNMAASSVIVSSILLNLSKIVKHKADEEFLSMRDFKRQVCCGENLDLEPWDESYFTSMMKSSLYDLDPSVIASYFPLSQCLEGLKIMVNSLFGATFHKVAFAAGESWHPDVIKLSLHHPQEGDLGFLYLDLYSRKGKYPGCAHFAIRGGRQISEKEYQLPV >DRNTG_33331.31.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3431673:3432189:1 gene:DRNTG_33331 transcript:DRNTG_33331.31 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] GYYSYLYARCFASTIWQQVCCEDPLSPTVGAAIRSKLLQHGGAKDPANLLRDFAGDNILECCDGGVAPNITSLREELGL >DRNTG_33331.20 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3423378:3432189:1 gene:DRNTG_33331 transcript:DRNTG_33331.20 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MHHCFKPIYQPIHKMTKGELKVEDIKKEKGFRVRTDPSMLSSLLQFVSDAEVRKQSYITGNSAPHANLGVLNKLISARHELAQIMGCKSYAEFAIRSNMAASSVIVSSILLNLSKIVKHKADEEFLSMRDFKRQVCCGENLDLEPWDESYFTSMMKSSLYDLDPSVIASYFPLSQCLEGLKIMVNSLFGATFHKVAFAAGESWHPDVIKLSLHHPQEKGEVSRLCTFCH >DRNTG_33331.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3422037:3432228:1 gene:DRNTG_33331 transcript:DRNTG_33331.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MAPRINHCDLETLFHEFGHALHSLLSRTDYQHFSGTRVLLDFAETPSNLFEYYARDYRVLKTFARHYVTGDAIPEKLVTVMNGARNMFAATELQRQIFYSMIDLTLFGEEAAASRDTVSVVSDLKRQYTSWKHADGTHWHTRFSHLINYGAGYYSYLYARCFASTIWQQVCCEDPLSPTVGAAIRSKLLQHGGAKDPANLLRDFAGDNILECCDGGVAPNITSLREELGL >DRNTG_33331.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3422037:3432228:1 gene:DRNTG_33331 transcript:DRNTG_33331.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MHHCFKPIYQPIHKMTKGELKVEDIKKEKGFRVRTDPSMLSSLLQFVSDAEVRKQSYITGNSAPHANLGVLNKLISARHELAQIMGCKSYAEFAIRSNMAASSVIVSSILLNLSKIVKHKADEEFLSMRDFKRQVCCGENLDLEPWDESYFTSMMKSSLYDLDPSVIASYFPLSQCLEGLKIMVNSLFGATFHKVAFAAGESWHPDVIKLSLHHPQEKGEVSRLCTFCH >DRNTG_33331.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3422037:3432189:1 gene:DRNTG_33331 transcript:DRNTG_33331.15 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MNLMLRRAASAFPTSSSRRLFSGSCFDSTAPAAAASPDSSPGGLYGFPVLRTAKGFRRFVDDAIERSGELVSYVSLLPPSGEIIRALDEISDTVCAVIDSAELCRNTHPDREFVEEANKASMRIIEHLHFLNTNQSLYDAVKRAEDIPLLTDEAQRAAHALRTDFEKGGIHLPADKLERVNKLNVEIAHLGREFNENIMIDPGSLDIFPASRIPKHMHHCFKPIYQPIHKMTKGELKVEDIKKEKGFRVRTDPSMLSSLLQFVSDAEVRKQSYITGNSAPHANLGVLNKLISARHELAQIMGCKSYAEFAIRSNMAASSVIVSSILLNLSKIVKHKADEEFLSMRDFKRQVCCGENLDLEPWDESYFTSMMKSSLYDLDPSVIASYFPLSQCLEGLKIMVNSLFGATFHKVAFAAGESWHPDVIKLSLHHPQEKGEVSRLCTFCH >DRNTG_33331.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3422037:3432189:1 gene:DRNTG_33331 transcript:DRNTG_33331.16 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MNLMLRRAASAFPTSSSRRLFSGSCFDSTAPAAAASPDSSPGGLYGFPVLRTAKGFRRFVDDAIERSGELVSYVSLLPPSGEIIRALDEISDTVCAVIDSAELCRNTHPDREFVEEANKASMRIIEHLHFLNTNQSLYDAVKRAEDIPLLTDEAQRAAHALRTDFEKGGIHLPADKLERVNKLNVEIAHLGREFNENIMIDPGSLDIFPASRIPKHMHHCFKPIYQPIHKMTKGELKVEDIKKEKGFRVRTDPSMLSSLLQFVSDAEVRKQSYITGNSAPHANLGVLNKLISARHELAQIMGCKSYAEFAIRSNMAASSVIVSSILLNLSKIVKHKADEEFLSMRDFKRQVCCGENLDLEPWDESYFTSMMKSSLYDLDPSVIASYFPLSQCLEGLKIMVNSLFGATFHKVAFAAGESWHPDVIKLSLHHPQEKGEVSRLCTFCH >DRNTG_33331.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3423378:3432228:1 gene:DRNTG_33331 transcript:DRNTG_33331.19 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MHHCFKPIYQPIHKMTKGELKVEDIKKEKGFRVRTDPSMLSSLLQFVSDAEVRKQSYITGNSAPHANLGVLNKLISARHELAQIMGCKSYAEFAIRSNMAASSVIVSSILLNLSKIVKHKADEEFLSMRDFKRQVCCGENLDLEPWDESYFTSMMKSSLYDLDPSVIASYFPLSQCLEGLKIMVNSLFGATFHKVAFAAGESWHPDVIKLSLHHPQEGDLGFLYLDLYSRKGKYPGCAHFAIRGGRQISEKEYQLPPAYQLR >DRNTG_33331.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3422037:3432228:1 gene:DRNTG_33331 transcript:DRNTG_33331.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MHHCFKPIYQPIHKMTKGELKVEDIKKEKGFRVRTDPSMLSSLLQFVSDAEVRKQSYITGNSAPHANLGVLNKLISARHELAQIMGCKSYAEFAIRSNMAASSVIVSSILLNLSKIVKHKADEEFLSMRDFKRQVCCGENLDLEPWDESYFTSMMKSSLYDLDPSVIASYFPLSQCLEGLKIMVNSLFGATFHKVAFAAGESWHPDVIKLSLHHPQEGDLGFLYLDLYSRKGKYPGCAHFAIRGGRQISEKEYQLPIVALVCNFSTGTGSMAPRINHCDLETLFHEFGHALHSLLSRTDYQHFSGTRVLLDFAETPSNLFE >DRNTG_33331.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3422037:3432189:1 gene:DRNTG_33331 transcript:DRNTG_33331.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MNLMLRRAASAFPTSSSRRLFSGSCFDSTAPAAAASPDSSPGGLYGFPVLRTAKGFRRFVDDAIERSGELVSYVSLLPPSGEIIRALDEISDTVCAVIDSAELCRNTHPDREFVEEANKASMRIIEHLHFLNTNQSLYDAVKRAEDIPLLTDEAQRAAHALRTDFEKGGIHLPADKLERVNKLNVEIAHLGREFNENIMIDPGSLDIFPASRIPKHMHHCFKPIYQPIHKMTKGELKVEDIKKEKGFRVRTDPSMLSSLLQFVSDAEVRKQSYITGNSAPHANLGVLNKLISARHELAQIMGCKSYAEFAIRSNMAASSVIVSSILLNLSKIVKHKADEEFLSMRDFKRQVCCGENLDLEPWDESYFTSMMKSSLYDLDPSVIASYFPLSQCLEGLKIMVNSLFGATFHKVAFAAGESWHPDVIKLSLHHPQEGDLGFLYLDLYSRKGKYPGCAHFAIRGGRQISEKEYQLPPAYQLR >DRNTG_33331.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3422037:3432135:1 gene:DRNTG_33331 transcript:DRNTG_33331.17 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MHHCFKPIYQPIHKMTKGELKVEDIKKEKGFRVRTDPSMLSSLLQFVSDAEVRKQSYITGNSAPHANLGVLNKLISARHELAQIMGCKSYAEFAIRSNMAASSVIVSSILLNLSKIVKHKADEEFLSMRDFKRQVCCGENLDLEPWDESYFTSMMKSSLYDLDPSVIASYFPLSQCLEGLKIMVNSLFGATFHKVAFAAGESWHPDVIKLSLHHPQEGDLGFLYLDLYSRKGKYPGCAHFAIRGGRQISEKEYQLPIVALVCNFSTGTGSMAPRINHCDLETLFHEFGHALHSLLSRTDYQHFSGTRVLLDFAETPSNLFEYYARDYRVLKTFARHYVTGDAIPEKLVTVMNGARNMFAATELQRQIFYSMIDLTLFGEEAAASRDTVSVVSDLKRQYTSWKHADGTHWHTRFSHLINYGAGYYSYLYARCFASTIWQQVCCEDPLSPTVGAAIRSKLLQHGGAKDPANLLRDFAGDNILECCDGGVAPNITSLREELGL >DRNTG_33331.29 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3422348:3423437:1 gene:DRNTG_33331 transcript:DRNTG_33331.29 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MRIIEHLHFLNTNQSLYDAVKRAEDIPLLTDEAQRAAHALRTDFEKGGIHLPADKLERVNKLNVEIAHLGRE >DRNTG_33331.32.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3431673:3432135:1 gene:DRNTG_33331 transcript:DRNTG_33331.32 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] GYYSYLYARCFASTIWQQVCCEDPLSPTVGAAIRSKLLQHGGAKDPANLLRDFAGDNILECCDGGVAPNITSLREELGL >DRNTG_33331.27 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3423378:3426452:1 gene:DRNTG_33331 transcript:DRNTG_33331.27 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MIDPGSLDIFPASRIPKHMHHCFKPIYQPIHKMTKGELKVEDIKKEKGFRVRTDPSMLSSLLQFVSDAEVRKQSYITGNSAPHANLGVLNKLISARHELAQVLFNHLFG >DRNTG_33331.33 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3422037:3422433:1 gene:DRNTG_33331 transcript:DRNTG_33331.33 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MNLMLRRAASAFPTSSSRRLFSGSCFDSTAPAAAASPDSSPGGLYGFPVLRTAKGFRRFVDDAIERSGELVSYVSLLPPSGEIIRALDEISDT >DRNTG_33331.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3422037:3432135:1 gene:DRNTG_33331 transcript:DRNTG_33331.18 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MNLMLRRAASAFPTSSSRRLFSGSCFDSTAPAAAASPDSSPGGLYGFPVLRTAKGFRRFVDDAIERSGELVSYVSLLPPSGEIIRALDEISDTVCAVIDSAELCRNTHPDREFVEEANKASMRIIEHLHFLNTNQSLYDAVKRAEDIPLLTDEAQRAAHALRTDFEKGGIHLPADKLERVNKLNVEIAHLGREFNENIMIDPGSLDIFPASRIPKHMHHCFKPIYQPIHKMTKGELKVEDIKKEKGFRVRTDPSMLSSLLQFVSDAEVRKQSYITGNSAPHANLGVLNKLISARHELAQIMGCKSYAEFAIRSNMAASSVIVSSILLNLSKIVKHKADEEFLSMRDFKRQVCCGENLDLEPWDESYFTSMMKSSLYDLDPSVIASYFPLSQCLEGLKIMVNSLFGATFHKVAFAAGESWHPDVIKLSLHHPQEGDLGFLYLDLYSRKGKYPGCAHFAIRGGRQISEKEYQLPIVALVCNFSTGTGSMAPRINHCDLETLFHEFGHALHSLLSRTDYQHFSGTRVLLDFAETPSNLFEYYARDYRVLKTFARHYVTGDAIPEKLVTVMNGARNMFAATELQRQIFYSMIDLTLFGEEAAASRDTVSVVSDLKRQYTSWKHADGTHWHTRFSHLINYGAGYYSYLYARCFASTIWQQVCCEDPLSPTVGAAIRSKLLQHGGAKDPANLLRDFAGDNILECCDGGVAPNITSLREELGL >DRNTG_33331.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3422037:3432228:1 gene:DRNTG_33331 transcript:DRNTG_33331.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MHHCFKPIYQPIHKMTKGELKVEDIKKEKGFRVRTDPSMLSSLLQFVSDAEVRKQSYITGNSAPHANLGVLNKLISARHELAQIMGCKSYAEFAIRSNMAASSVIVSSILLNLSKIVKHKADEEFLSMRDFKRQVCCGENLDLEPWDESYFTSMMKSSLYDLDPSVIASYFPLSQCLEGLKIMVNSLFGATFHKVAFAAGESWHPDVIKLSLHHPQEGDLGFLYLDLYSRKGKYPGCAHFAIRGGRQISEKEYQLPLTNLGDVIDCGFSLQFLNWNWIDGSKDQSL >DRNTG_33331.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3422037:3432296:1 gene:DRNTG_33331 transcript:DRNTG_33331.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MAPRINHCDLETLFHEFGHALHSLLSRTDYQHFSGTRVLLDFAETPSNLFEYYARDYRVLKTFARHYVTGDAIPEKLVTVMNGARNMFAATELQRQIFYSMIDLTLFGEEAAASRDTVSVVSDLKRQYTSWKHADGTHWHTRFSHLINYGAGYYSYLYARCFASTIWQQVCCEDPLSPTVGAAIRSKLLQHGGAKDPANLLRDFAGDNILECCDGGVAPNITSLREELGL >DRNTG_33331.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3422037:3432189:1 gene:DRNTG_33331 transcript:DRNTG_33331.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MNLMLRRAASAFPTSSSRRLFSGSCFDSTAPAAAASPDSSPGGLYGFPVLRTAKGFRRFVDDAIERSGELVSYVSLLPPSGEIIRALDEISDTVCAVIDSAELCRNTHPDREFVEEANKASMRIIEHLHFLNTNQSLYDAVKRAEDIPLLTDEAQRAAHALRTDFEKGGIHLPADKLERVNKLNVEIAHLGREFNENIMIDPGSLDIFPASRIPKHMHHCFKPIYQPIHKMTKGELKVEDIKKEKGFRVRTDPSMLSSLLQFVSDAEVRKQSYITGNSAPHANLGVLNKLISARHELAQIMGCKSYAEFAIRSNMAASSVIVSSILLNLSKIVKHKADEEFLSMRDFKRQVCCGENLDLEPWDESYFTSMMKSSLYDLDPSVIASYFPLSQCLEGLKIMVNSLFGATFHKVAFAAGESWHPDVIKLSLHHPQEGDLGFLYLDLYSRKGKYPGCAHFAIRGGRQISEKEYQLPV >DRNTG_33331.22 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3422037:3428752:1 gene:DRNTG_33331 transcript:DRNTG_33331.22 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MNLMLRRAASAFPTSSSRRLFSGSCFDSTAPAAAASPDSSPGGLYGFPVLRTAKGFRRFVDDAIERSGELVSYVSLLPPSGEIIRALDEISDTVCAVIDSAELCRNTHPDREFVEEANKASMRIIEHLHFLNTNQSLYDAVKRAEDIPLLTDEAQRAAHALRTDFEKGGIHLPADKLERVNKLNVEIAHLGREFNENIMIDPGSLDIFPASRIPKHMHHCFKPIYQPIHKMTKGELKVEDIKKEKGFRVRTDPSMLSSLLQFVSDAEVRKQSYITGNSAPHANLGVLNKLISARHELAQIMGCKSYAEFAIRSNMAASSVIVSSILLNLSKIVKHKADEEFLSMRDFKRQVCCGENLDLEPWDESYFTSMMKSSLYDLDPSVIASYFPLSQCLEGLKIMVNSLFGATFHKVAFAAGESWHPDVIKLSLHHPQEVCTYFLVHICQHEI >DRNTG_33331.24 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3428865:3432189:1 gene:DRNTG_33331 transcript:DRNTG_33331.24 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MNGARNMFAATELQRQIFYSMIDLTLFGEEAAASRDTVSVVSDLKRQYTSWKHADGTHWHTRFSHLINYGAGYYSYLYARCFASTIWQQVCCEDPLSPTVGAAIRSKLLQHGGAKDPANLLRDFAGDNILECCDGGVAPNITSLREELGL >DRNTG_33331.25 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3428865:3432189:1 gene:DRNTG_33331 transcript:DRNTG_33331.25 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MAPRINHCDLETLFHEFGHALHSLLSRTDYQHFSGTRVLLDFAETPSNLFEYYARDYRVLKTFARHYVTGDAIPEKLVTVMNGARNMFAATELQRQIFYSMIDLTLFGEEAAASRDTVSVVSDLKRQYTSWKHADGTHWHTRFSHLINYGAGYYSYLYARCFASTIWQQVCCEDPLSPTVGAAIRSKLLQHGGAKDPANLLRDFAGDNILECCDGGVAPNITSLREELGL >DRNTG_33331.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3422037:3432228:1 gene:DRNTG_33331 transcript:DRNTG_33331.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MAPRINHCDLETLFHEFGHALHSLLSRTDYQHFSGTRVLLDFAETPSNLFEYYARDYRVLKTFARHYVTGDAIPEKLVTVMNGARNMFAATELQRQIFYSMIDLTLFGEEAAASRDTVSVVSDLKRQYTSWKHADGTHWHTRFSHLINYGAGYYSYLYARCFASTIWQQVCCEDPLSPTVGAAIRSKLLQHGGAKDPANLLRDFAGDNILECCDGGVAPNITSLREELGL >DRNTG_33331.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3422037:3432228:1 gene:DRNTG_33331 transcript:DRNTG_33331.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MNLMLRRAASAFPTSSSRRLFSGSCFDSTAPAAAASPDSSPGGLYGFPVLRTAKGFRRFVDDAIERSGELVSYVSLLPPSGEIIRALDEISDTVCAVIDSAELCRNTHPDREFVEEANKASMRIIEHLHFLNTNQSLYDAVKRAEDIPLLTDEAQRAAHALRTDFEKGGIHLPADKLERVNKLNVEIAHLGREFNENIMIDPGSLDIFPASRIPKHMHHCFKPIYQPIHKMTKGELKVEDIKKEKGFRVRTDPSMLSSLLQFVSDAEVRKQSYITGNSAPHANLGVLNKLISARHELAQIMGCKSYAEFAIRSNMAASSVIVSSILLNLSKIVKHKADEEFLSMRDFKRQVCCGENLDLEPWDESYFTSMMKSSLYDLDPSVIASYFPLSQCLEGLKIMVNSLFGATFHKVAFAAGESWHPDVIKLSLHHPQEGDLGFLYLDLYSRKGKYPGCAHFAIRGGRQISEKEYQLPV >DRNTG_33331.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3422037:3432228:1 gene:DRNTG_33331 transcript:DRNTG_33331.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MNLMLRRAASAFPTSSSRRLFSGSCFDSTAPAAAASPDSSPGGLYGFPVLRTAKGFRRFVDDAIERSGELVSYVSLLPPSGEIIRALDEISDTVCAVIDSAELCRNTHPDREFVEEANKASMRIIEHLHFLNTNQSLYDAVKRAEDIPLLTDEAQRAAHALRTDFEKGGIHLPADKLERVNKLNVEIAHLGREFNENIMIDPGSLDIFPASRIPKHMHHCFKPIYQPIHKMTKGELKVEDIKKEKGFRVRTDPSMLSSLLQFVSDAEVRKQSYITGNSAPHANLGVLNKLISARHELAQIMGCKSYAEFAIRSNMAASSVIVSSILLNLSKIVKHKADEEFLSMRDFKRQVCCGENLDLEPWDESYFTSMMKSSLYDLDPSVIASYFPLSQCLEGLKIMVNSLFGATFHKVAFAAGESWHPDVIKLSLHHPQEGDLGFLYLDLYSRKGKYPGCAHFAIRGGRQISEKEYQLPIVALVCNFSTGTGSMAPRINHCDLETLFHEFGHALHSLLSRTDYQHFSGTRVLLDFAETPSNLFE >DRNTG_24032.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26673128:26682157:-1 gene:DRNTG_24032 transcript:DRNTG_24032.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase BSL1 [Source:Projected from Arabidopsis thaliana (AT4G03080) UniProtKB/Swiss-Prot;Acc:Q8L7U5] MGSKAWLVPAPKYEPAPGTWANDADAPGFRCAHTLTAVAPTKSHGPRLILFGGATAIEGGGLVPGIRLAGVTNSVHSYDVRSGIWTKIQPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKYKWHRLVVQGAGPGPRYGHAMDLVAQRFLVTVSGNDGKRVLSDAWALDTAQKPYRWQKLNPEGDKPSARMYATASARSDGMLLLCGGRDHSGVPLSDAYGLLMHTNGQWEWNLAPGVSPSPRYQHEAVFVGARLHVTGGVLKGGRAVEGEGAIAVLDTAAGVWLDRNGIVTSTRVNKSASDLDPSLELLRRCRHGSASVGTQIFIFGGLKGDVLLDDLLVAENSPFSSEITSNMYSIPSVTNQDKNNSSSPVRSGLNPASDGLSMDQKSIDTLVAASAAEAEAVSAAWLAAKEASSVSSDSDLSDRNGPLPDAEDKSQVMDASSDDGLAGPLQPDVRLHPRAVVIAKEAVGNLGGLVRQLSLDHFENESRRMYPSNADQAYSNKRALNRQKSPLGLHKKIISLLLRPRNWKAPANRNFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPVKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENIHLIRGNHEAADINALFGFRLECIERMGENDGIWAWTRFNQLFNTLPLAALIEKKIICMHGGIGRSINSVEQIEKLERPITMDVGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVSDFCKRNKLQLIIRAHECVMDGFERFAHGQLITVFSATNYCGTANNAGAILVIGRGLVVVPKLIHPLPPPLNSPESSPEHVLEDTWMQELNIQRPPTPTRGRPQSASDRSSLAYI >DRNTG_16818.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1512851:1518144:-1 gene:DRNTG_16818 transcript:DRNTG_16818.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKILPSLLHNLLPISEAKVRSILSRDFHFILEEKVQTMVQNLEIERLPKIRAIKQTAEIRSGVHNLSLRIILRFIDNVICEAEDVLDEYKYRRLEQLVLRKQHAYMRSFYSYCSSHVQYVSKMEEVVKQLEQIFYTADNCISRLSADDIGEDSSNADARVITTSYLYEEVFGRDKEIEHVTKLLITHPMPASNQRPISDADEDEQNYEILPIIGVEGVGKTVLAKSVYHRSEISQHFDLRLWVHVPEDFDEVSITTGMIQLASKQARPDTNNLVELQKLLSEHIRKKKFLIVLDDVWYDEKSTELANKVRWMRVLAPLRHGQLGSRILVTSPMKLVTKMFTCTMDPVVLRGLEDEACFALFNRLVFGVADIQTPDDRDLILEIGKKIVAEKIIARCSGLPLAVFAVAGMLSQMLEAEEWKEILWSDNSFEDVIRIQLVSFQRLPQHLQRCVAHHSIFPKGMALSRDYLIHSWIGEGLIIDLAEEKNGLTAEDVAGAYLNELVSRSFFQCRDDGLFVLDDMMHDVADFVTKDVCTRIEHDTIREIPSTIRHLRIDMDILMEYRNNICRLKNLRTLVVTCKSSGIGNSYLLGKILKKTKRLRVLRLAACHIDRLPGSIGELQHLRLLDLSDLQVKRLPRSVCRLYHLLYLDLMNFKADIMPGSLSKLINLRRIMSDAATLDRFGKMGELTALQQLPAFSVTKTKGHKIGELKKLNKLGGELRISNLENVHRSKMAAGANLKKKASLYKLCLEWNSYCSAITSDPAENVLDELQPPHQINDLEICHYAGERPPIWMQLSRHSLPCLQVLILNQWACTLPLIGQCIPQLRQLELRDCFKLRYLPPLPLNLEKLLLSGCCLLAILTEADLVKGYAQEMMAGFDRGPSPLTEEEVRITESLKNNDRAMAELTRVKQSLEARGFTLEPSSSSSSTLVASLQSWSLSRKHDAILEKLTSKTQYSIDGKTQPLPSSLQQLHLGNCFVDDSELLNCIRKLMLLTHLVLAGCYCITTLPSGELLSQLTRLQELRIENCVMLTSLGDLRVLPSLKVFNICSCPNLLALIPSSEDEDGDEGSSSVASALLPKSLIDLAITDCELMTKHRISRCLRGLTRLKHLSLQKLKHMTSFPSADELAHLTSIESLTLKDCEELTSLGGLHMLCSLTSLHVQQCPGLLESDTGNASLCVPKIENPFLVRGFFLTEDDDDNEDLGWWVNVEEIVSQVKESVADV >DRNTG_01407.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6879497:6881835:1 gene:DRNTG_01407 transcript:DRNTG_01407.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLAYLHQEFHVCIIHRDIKSSNILLDDNFQARIADFGLARLLPSDQSHLSTKFAGTLGYTAPEYAIHGQLSEKVDTYGFGVVVLEIISGRKSNDMRFEPLTQFLLEWAWKLYEEDMPLDLVDDTLSPSEYEPEEMKRVIEIALLCTQSTVAARPTMSEVVVLLLSKGASLVQPTRPVFIEATSRVRGDTSSSSGTPSASLATASISQFSAR >DRNTG_01407.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6874395:6881835:1 gene:DRNTG_01407 transcript:DRNTG_01407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIAFLAVLLALLLSPITAGPQTNLLNSGCSQYNASNPSSFVADLNATFSGLRSNLSASPSAVFATASNAPVYALFQCRDYLSSSDCLTCFSTAAALLLSSCAPANGARVIYDGCMLRYESAAFFDQGSLIGNVGLCGNRTNHTSGFAADVDGLMMDLSVATPRIGGYFAAAVRDGVYGVAQCVESVTEESCGSCLAVAYGNINGCPPDAEARAVDAGCFMRYSDVAFFPANQTVNLSPFLNSGSSSKTGAIIGGVVGGVGGLLLLALAILLWIKKFRKSPNRRRGNILGATELQGPKNFHYRDLKAATENFSDKNKLGEGGFGDVYKGTLKNGTIVAVKRLAITKASRVQASFQSEVKLISNVHHRNLVRLLGCSSKGLELLLVYEYMANSSLDKFLFGENRGTLNWKQRFDIIVGMARGLAYLHQEFHVCIIHRDIKSSNILLDDNFQARIADFGLARLLPSDQSHLSTKFAGTLGYTAPEYAIHGQLSEKVDTYGFGVVVLEIISGRKSNDMRFEPLTQFLLEWAWKLYEEDMPLDLVDDTLSPSEYEPEEMKRVIEIALLCTQSTVAARPTMSEVVVLLLSKGASLVQPTRPVFIEATSRVRGDTSSSSGTPSASLATASISQFSAR >DRNTG_05726.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9126063:9128580:1 gene:DRNTG_05726 transcript:DRNTG_05726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTWIEGIKDDDRQGREQDNAGRARGGSRLGFGNDVLGSKPSEKEEKIWCGEEVGGGLGATAESFSKASSLVPRIDIDAQFYDDPGDAKIASLSLFASPPHSLAKRSKRAGKYRFLLRKQKKKEKCLWVMEDDCEVVMMGLLNALKVADKNEAMEFCVRFLDISEAMKNALRYRKVGEFISGALAEVIEQQDWQGLWAGNTIKMLHMIPTQVLEFGSFEFVKHEMTATQEKCKKDGCLKLQIGHINIELSFPWIYSIAVGGAAAAIVSTFIDSDDDDDDDDDDDDDDDDDDDDDDDGRFEEVSAPSRG >DRNTG_00622.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22504194:22505568:-1 gene:DRNTG_00622 transcript:DRNTG_00622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNKEGFDTCNTSNSILTSNTGNTSITLTEPGDKYFVCGVLSHCLGGMKLNVHVNGDGSSSGPAPAVLPKAGVLSPPSLPPPPVTNLKNNDSPFASASFGHLHGARGSLFFTWILCAIISFFLLILV >DRNTG_17938.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:25165666:25166815:1 gene:DRNTG_17938 transcript:DRNTG_17938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHNLHEVQIVILLIIMNGHFHVVVLDNDKQEYRYYSSCAAESIRHLCRYGVRRVGDLKVPTSSRHGNPTTKNGSVDCSVYVMRFIEQLLADEKLWLPQTDVPYLRLKYVFRILKEGRAADIHEKGASS >DRNTG_28206.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6364794:6367663:1 gene:DRNTG_28206 transcript:DRNTG_28206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLEKALTRFVQSYDTRFQLVEATLRNHTASLHNLENQVNKIAKVIIGDATRKLA >DRNTG_22075.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20321050:20324886:1 gene:DRNTG_22075 transcript:DRNTG_22075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDASPSMPFQAQLPVELAGGPEIAFFDLETTMPLRGSWKRYALLEFGAILVCPKKLVEVGSYSTLIRPSDLSVITDASIRCNGICPELVASAPSFHEVADEVFRILHGRVWAGHNILKFDCVRIQEAFEEIGRPSPQPRGTIDTLPLLTQKFGKRAGNMKMDSLAAYFGLGRQMHRSLGDVRMNLEILKYCATVLFLESNYSDMFPVNSLINESTIPRNNAGGSASPVETNATADPSAPASNMEPFDLMHNIEQMRIDQNESMENFRSTTSVATLAAGEGCSQCVGFSQPEEVSTQCVRASLAPSPYQQSVPRIVLLHKDTPLQLCQMGMKIHFRLSTKFAPKLSFMVYVESSLHNILDLCDNLAESLHVESGSSSQWRHVINTNYAKSASVRLHIPTVINDHVAMYSTEIYHKEPSGNTRRLVFREPDAEELEPLIIPGNMVDAYFNLETYDFQEYAGIRLVAKTLVLHAN >DRNTG_35182.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18722381:18722841:-1 gene:DRNTG_35182 transcript:DRNTG_35182.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQSNPGGITIFGWTVDRALLNTIFFIELTLVLFVLGKSIVFSS >DRNTG_35182.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18722381:18727474:-1 gene:DRNTG_35182 transcript:DRNTG_35182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLFVDQHHGHLERFQTQYVRRIQAFFCLLLWWILPCFIAKATREIIRFVYVHHGSIWKSVIFTLASIISWTYLTTIFLSACVLFNLVCNLQVIHFDDYVKLLERDTEALVYLEEHVRLRYYLSKISHRFRIYLLLIFLFVTASQFVALFQTTGYSEIVNFINAGDLAVSSVVQVVGIVLCLHAAAKISHRAQGIASVASRWHALVTCTPNGDSSYPRVTSSSGNLDAVSPNALLREFSESDLDSLDNLPVQTNTHLVSYMSSYHKRQALVMYLQSNPGGITIFGWTVDRALLNTIFFIELTLVLFVLGKSIVFSS >DRNTG_16039.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25919514:25929438:-1 gene:DRNTG_16039 transcript:DRNTG_16039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRVLYFEQLRLKSSLSGSSADGFFSQRMISSSGAPSLAVSPRDNYASLRRENRELKLEISRMRVRLSELEKEQAFMKQGMRDNKSGEHGRAFFASLSRGIGRIGIFGQGHGQQQKSTRKSQGSEGKHRRRQRRSV >DRNTG_32422.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17768607:17771100:1 gene:DRNTG_32422 transcript:DRNTG_32422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFCGIARYNGERRVLMFTALTSWESVLAEICEWWGLDVSRVRVKFITPDGYKIVCPVKNDVGFKRMCHVYSIFKCTAVDLIVKTDNMPLSNPPENEFLSLNSNSASAPVPPHGVGCLPSSLDQSEVLSLDIGQRFEGVEYFKDALQNFEIKLNFNFKFIKNEKHSG >DRNTG_15702.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4436987:4439910:-1 gene:DRNTG_15702 transcript:DRNTG_15702.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 55, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G24820) UniProtKB/Swiss-Prot;Acc:Q9SK50] MSDKNPPNTWKLPWFEHYAKPGFTDLSSIHELPYDHSILLENLMDPAHVPISHDRTDFTAKREDAQPLGFTVLERTSRGFSGNWWRSKTPDLVNILRFEAPCVLSNNLEFTDKQGIKQYFSALFLCRPSGQGKSMLIVRFGATMRSPLVKILPNWYLHQNACKVFEQDMGFLSSQNEVLMKEKSPTKDLYLNLRSIDGWVVEYRKWMDRAGHGMPYYFGHSTVRMPEEPAVVEHAPAGMVAAVAASPPAKGGVGATAVENPANRYYRHVVHCKGCRVAVSRFEGWKKGLVMAGVVAAAMAVLVNGRQWKAAALLAAAVLLGGASACSVVVGLLTTNFVRTHRRI >DRNTG_15702.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4436987:4439910:-1 gene:DRNTG_15702 transcript:DRNTG_15702.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 55, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G24820) UniProtKB/Swiss-Prot;Acc:Q9SK50] MALFHPTAFTSLCLFSNQRRTLNSSLVPKTSHAHTSNISRPLVLDKRIKRRFGQVCCAALAEGVQEKQAQIPVEAEQDNISNEKYDWKEEWYPLYLTKEVPDDAPLGLTVFNKQLVLYRDGAGVLRCYEDRCPHRLAKLSEGQLVDGTLECLYHGWQFEGDGQCVKIPQLEEGATIPRAACAKKYHIKDSQGVVWVWMSDKNPPNTWKLPWFEHYAKPGFTDLSSIHELPYDHSILLENLMDPAHVPISHDRTDFTAKREDAQPLGFTVLERTSRGFSGNWWRSKTPDLVNILRFEAPCVLSNNLEFTDKQGIKQYFSALFLCRPSGQGKSMLIVRFGATMRSPLVKILPNWYLHQNACKVFEQDMGFLSSQNEVLMKEKSPTKDLYLNLRSIDGWVVEYRKWMDRAGHGMPYYFGHSTVRMPEEPAVVEHAPAGMVAAVAASPPAKGGVGATAVENPANRYYRHVVHCKGCRVAVSRFEGWKKGLVMAGVVAAAMAVLVNGRQWKAAALLAAAVLLGGASACSVVVGLLTTNFVRTHRRI >DRNTG_21457.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26833065:26839071:-1 gene:DRNTG_21457 transcript:DRNTG_21457.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGDIKIHTVRMIAKAQGDPTAEQEQPGPVTRSHRRVPDSQSMPAEHVRYMTNPDYEGSSQGKITLVGNKIMLPSIFDGKPPSVLEKLWEIFISKKSKKMLKKLVELPEPTRGNVDPKIIMPTFSAANGYSDLLNGLVILGIETLSWARPRGASVKAYPIPDKGILGRRVEDFPQDHRHDYRGGASPFPSGVIDSTSVPRVLCGHDRLNGLRDDPLLGLSCACNRLKRSAPGYDPRESLNID >DRNTG_02399.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18517760:18529884:1 gene:DRNTG_02399 transcript:DRNTG_02399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLSAQFFIPSLYRPVWGSYGGCMDPLCTPSRRARKLGDHSRPRSKALKARRSRVLLQHS >DRNTG_17067.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:76408:81306:1 gene:DRNTG_17067 transcript:DRNTG_17067.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAVVAAVVVAGAAAVAGAALVVRRRMVTSAARWAPVMQIVEEFKKQCAMSATRLNQLANDMAIKMEAGLESDGGSELKMLLSYVDNLPTGDEKGLFYALDLGGTNFRIIRVQLGGQGKRVVKQEFVEVAIPPKLMVGTVDDLFDFIAAELEDFVNSEGEGFHFPDDDYRPREIGFTFSFPVKQSSIASGVLVKWTKGFNITNMVGEDVVAELSRAMAKRDVNMRVAALVNDTIGTVAGARYHDKDVVAGVILGTGTNAAYIERIQAIPKWRGTPPKSGETVINMEWGNFQSSHFTITEYDLALDLESLNPGEQIFEKLIAGMYLGEILRRVLLKLAERAALFGDVVPPKLNEPFILKTPAMSAMHHDTSWNLRVVGRKLKEILGIQNTSLKVRKLIVKLCDIIAYRGARLAAAGILGILKKLGRNTLPKDDIKQRTVIAVDGGLFEHYTKFSKCLNSTLAEILSKEVAATIVIDHANDGSGIGAALLAASHSQYLEHRES >DRNTG_17067.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:76408:76780:1 gene:DRNTG_17067 transcript:DRNTG_17067.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAVVAAVVVAGAAAVAGAALVVRRRMVTSAARWAPVMQIVEEFKKQCAMSATRLNQLANDMAIKMEAGLESDGGSELKMLLSYVDNLPTG >DRNTG_17067.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:79230:81306:1 gene:DRNTG_17067 transcript:DRNTG_17067.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGNFQSSHFTITEYDLALDLESLNPGEQIFEKLIAGMYLGEILRRVLLKLAERAALFGDVVPPKLNEPFILKTPAMSAMHHDTSWNLRVVGRKLKEILGIQNTSLKVRKLIVKLCDIIAYRGARLAAAGILGILKKLGRNTLPKDDIKQRTVIAVDGGLFEHYTKFSKCLNSTLAEILSKEVAATIVIDHANDGSGIGAALLAASHSQYLEHRES >DRNTG_11403.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13937805:13944675:1 gene:DRNTG_11403 transcript:DRNTG_11403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPSRYSQISRSRLPATSLPPQFLITQSPRKGRTPRKALKISPTKPPLDPSINSKTSTPMSPPPKPFYQFIKYISFENLAGFLNPEIKIKVETSGDGVDVSDADLNESTSEMAWLERISEKGT >DRNTG_25500.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1411479:1412464:1 gene:DRNTG_25500 transcript:DRNTG_25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKLRVSIHLLLFVVLSCALKSGYVK >DRNTG_25500.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1411711:1412464:1 gene:DRNTG_25500 transcript:DRNTG_25500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHPRCNVYIFGLILWELVTLRMPWSGLNSMQVVGSVGFQYLRLEIPKVVDPLVAKIIWHCLQKDPNLRPSFADLTTALKSLQWLVVPAYQDAQNPPLAQEKPANTTP >DRNTG_21758.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23163969:23166544:1 gene:DRNTG_21758 transcript:DRNTG_21758.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPILNPYTISSLTDAEAELWNLFHQFSPGLLKTTLPGLDSNSASSPKSVCFDHSEHFRHWLQSFFYDDHCYEGLQLNPDLKRKILLLVSKVLTEKPTVGGWHEDGACFLKRNGISKPVCSMVSDALKRCHSLPDMLIWLKRIAVSPCEPVTSAAASEWQMQILKARHSLFLSKTESSFDAQYPYLRRRKRRRIDRSLSLPSATILSNKKHVSSEVPNQPARRSKRFLGMLKFGENNGSRKRVPVDSSFQADVPEWCGPPSQSDQLDDERWLGTKIWTLDSDGKDLDNWMIGRGRPISCVCTYPGSVACVRFHVEIARLQLKFDLGSAFSGWGFGEMGEDVSKFWTSEEQQTFDTIMRMNHLSEYMDFLEPALKAFPFKDQAKHS >DRNTG_21758.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23163969:23166713:1 gene:DRNTG_21758 transcript:DRNTG_21758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPILNPYTISSLTDAEAELWNLFHQFSPGLLKTTLPGLDSNSASSPKSVCFDHSEHFRHWLQSFFYDDHCYEGLQLNPDLKRKILLLVSKVLTEKPTVGGWHEDGACFLKRNGISKPVCSMVSDALKRCHSLPDMLIWLKRIAVSPCEPVTSAAASEWQMQILKARHSLFLSKTESSFDAQYPYLRRRKRRRIDRSLSLPSATILSNKKHVSSEVPNQPARRSKRFLGMLKFGENNGSRKRVPVDSSFQADVPEWCGPPSQSDQLDDERWLGTKIWTLDSDGKDLDNWMIGRGRPISCVCTYPGSVACVRFHVEIARLQLKFDLGSAFSGWGFGEMGEDVSKFWTSEEQQTFDTIMRMNHLSEYMDFLEPALKAFPFKDQAKHS >DRNTG_01127.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000067.1:25238:30127:1 gene:DRNTG_01127 transcript:DRNTG_01127.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAILVKALGLIIELTAPPVIQYLRPIWGGVDGDLEKLNRYLLQIQPLVEDAEERQLMDQAVKSWLMQLRDVAYDADDILDQANTHVLLIQRKAEFYGPLKSKVRDFFSLHHNPLLFQLQLGDKLKSINKRIDDVIEGMHKFNFKVVDNNNNNNNNNNRPWRNRPQTHSYVPESEVIGRDEDKEQIVQMLIHDHFEEKVAVVSIVGMGGLGKTTLAQLIYGDENVESHFQLRIWVCVSDDFDVGKLAKNIILAATEKSYDHTNMEVLQRDLRQLLGQKRYLLVLDDVWNEDHMKWDALRRLLLDGAEGSRILVTTRNENCSMIMGSKKSYNLQGLSEESSWALFEQRAFTTITNVSKQPKLVEIGIKIVEKCKRLPLAIKVMGSIMHSKSEESQWQAVLDNNIWDIQHAMNEIRPELWLSYVDLPSQVKKCFAFCAIFPKDSFIQEDMLVQFWMAHGFIPSQTGKDIVVGGHEILDELIGRSLLQFVTDRGARPTHYYLSRHFILDEDELFSVPGYRVCKMHDLIHDLAQFVTGDECSTLPERNEFMKISKRTRHFILNNDVQYDMSDHPSVRTALPVGRLNFIGLSKLKLLRVLRLVFGKLPSASIEYLHHLRYLDLSDTHIRELPESICMLINLQTLNLNCCYDLTKLPMSIVYMNSLRHLYLRHCSALKIMPPGLSQLRCRKTLTEYTVAENIENKIGELKHWNLDGHIRLHDLHKVKNADDAKEANMSSRQNIKSMSLSWGASVENAELVLEALKPYSALKVLSLHDYPSTQFSMWIRDGQQLQNLVKIDLDGCQRCEQLTPLEQLPYLEHLTISRMDGIKYIINNTTGDALSSFPALRRLRLNQMANLEGWCVEEDRETAPPLFPCLKDMCISYCPKLTTMSPQFPILRQLDITDPYRKAQNALTFKEKGFFKHLNSLESLVLERCEKLALLLEDEEEMRSFSSSLRHLCIIDCGQFSLSVALRNLTSLRDLNLTNLEVLVSWPNEMFRGRESIRSLKIWACKKLTGASSLGDCGLPPFLEDLNVSGCDALIELPKCPASLKDLSVNHCPSIKSLCSNMGHLTSLSQLKLDTCPKLESLPEGMQGLTSLQYLSIEDCPSIKSLCSDMGQLTSLSQLKLSTCPKLESLPEGMQGLISLKDLSIKDCPSIKSLCSDMGYLTSLSQLKLSTCPKLVSLPEGMQGLTSLQYLSIEDCPSIKSLCSDMGYLTSLSQLKLSTCPKLESLPEGMQGLTSLEKLSIEDCPALKSFPEGLQQRLPNLKVLKINGCPKLERRCNPGGDYFHLVSGISKRSIQSSPRRTILALCL >DRNTG_01127.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000067.1:25238:31298:1 gene:DRNTG_01127 transcript:DRNTG_01127.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAILVKALGLIIELTAPPVIQYLRPIWGGVDGDLEKLNRYLLQIQPLVEDAEERQLMDQAVKSWLMQLRDVAYDADDILDQANTHVLLIQRKAEFYGPLKSKVRDFFSLHHNPLLFQLQLGDKLKSINKRIDDVIEGMHKFNFKVVDNNNNNNNNNNRPWRNRPQTHSYVPESEVIGRDEDKEQIVQMLIHDHFEEKVAVVSIVGMGGLGKTTLAQLIYGDENVESHFQLRIWVCVSDDFDVGKLAKNIILAATEKSYDHTNMEVLQRDLRQLLGQKRYLLVLDDVWNEDHMKWDALRRLLLDGAEGSRILVTTRNENCSMIMGSKKSYNLQGLSEESSWALFEQRAFTTITNVSKQPKLVEIGIKIVEKCKRLPLAIKVMGSIMHSKSEESQWQAVLDNNIWDIQHAMNEIRPELWLSYVDLPSQVKKCFAFCAIFPKDSFIQEDMLVQFWMAHGFIPSQTGKDIVVGGHEILDELIGRSLLQFVTDRGARPTHYYLSRHFILDEDELFSVPGYRVCKMHDLIHDLAQFVTGDECSTLPERNEFMKISKRTRHFILNNDVQYDMSDHPSVRTALPVGRLNFIGLSKLKLLRVLRLVFGKLPSASIEYLHHLRYLDLSDTHIRELPESICMLINLQTLNLNCCYDLTKLPMSIVYMNSLRHLYLRHCSALKIMPPGLSQLRCRKTLTEYTVAENIENKIGELKHWNLDGHIRLHDLHKVKNADDAKEANMSSRQNIKSMSLSWGASVENAELVLEALKPYSALKVLSLHDYPSTQFSMWIRDGQQLQNLVKIDLDGCQRCEQLTPLEQLPYLEHLTISRMDGIKYIINNTTGDALSSFPALRRLRLNQMANLEGWCVEEDRETAPPLFPCLKDMCISYCPKLTTMSPQFPILRQLDITDPYRKAQNALTFKEKGFFKHLNSLESLVLERCEKLALLLEDEEEMRSFSSSLRHLCIIDCGQFSLSVALRNLTSLRDLNLTNLEVLVSWPNEMFRGRESIRSLKIWACKKLTGASSLGDCGLPPFLEDLNVSGCDALIELPKCPASLKDLSVNHCPSIKSLCSNMGHLTSLSQLKLDTCPKLESLPEGMQGLTSLQYLSIEDCPSIKSLCSDMGQLTSLSQLKLSTCPKLESLPEGMQGLISLKDLSIKDCPSIKSLCSDMGYLTSLSQLKLSTCPKLVSLPEGMQGLTSLQYLSIEDCPSIKSLCSDMGYLTSLSQLKLSTCPKLESLPEGMQGLTSLEKLSIEDCPALKSFPEGLQQRLPNLKVLKINGCPKLERRCNPGGDYFHLVSGISKRSIQSSPRRTILALCL >DRNTG_27892.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20147009:20150949:-1 gene:DRNTG_27892 transcript:DRNTG_27892.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein KTI12 homolog [Source:Projected from Arabidopsis thaliana (AT1G13870) UniProtKB/Swiss-Prot;Acc:Q9LMH0] MALVVICGQPCSGKSTAARCLSEALLAMEPKPMVRIIDETSLHLGRNQSYADMTVEKNLRGVLRSEVDRSLSKDCIIIVDSLNNIKGYRYELWCLARAAGIRYCVLFCDTEEDFCREWNKNRADEGESSYDAIIFDDLIRRFEKPDKRNRWDSPLFELFPSKDGVKQTSEVISEAVSYLTRKVDSKTRDIRVLQPTIATQTAHATEANSLYVMDKATQEVVNAIVEAQSRGLGGSVGRISLGQQLPTISFSMNLQIPIFGLLLSLFRIDVIMV >DRNTG_03316.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:9234753:9235369:1 gene:DRNTG_03316 transcript:DRNTG_03316.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTAKQGEDIYSQSQEVLQIMEWDLYPNNGKESVKLEMPSIHPTAIGETSTIQSHKMLLCFHT >DRNTG_23580.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7029297:7029565:1 gene:DRNTG_23580 transcript:DRNTG_23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVVDKQLDHWTFEEVIPILMVQPALAGCGTPAVHRDERVIIVRAHAQLCNG >DRNTG_20225.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:21357841:21358194:-1 gene:DRNTG_20225 transcript:DRNTG_20225.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLNAFPRSATAEPSKTPVSCQCPCFILVSGC >DRNTG_20225.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:21356808:21358194:-1 gene:DRNTG_20225 transcript:DRNTG_20225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLNAFPRSATAEPSKTPVSCQCPCFILVAEVVVIL >DRNTG_16790.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7711036:7713027:1 gene:DRNTG_16790 transcript:DRNTG_16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGPYPFPVSFPEIETNWPFSSPSESRNLHRDKLAPVLTPGIKESPSLLAEICEQWGLDISGVRVKFITPDGHKTICPIENEEFRLCKRSCPPHGDTDVVACLPSSSDQSKVLSLDIGQRFKALNISEMRFKIL >DRNTG_02208.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1276698:1277556:1 gene:DRNTG_02208 transcript:DRNTG_02208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDNTNLPPGFRFFPSDEELVVHFLYCKAAHLPCQPDIIPTLDLYHCDPWELNGKALKGDNKWYFFTRRTDHRHSGDGYWMPVGDDELIRSQNTNAGIKKTLVYYMGESPAGIKTNWLMHEYHLLDTFACTSSSSSSSTSRRSSKKRSSQPRLDTNKWVICRVFDKSSGSQTSIYDEGMELSCLDEVFLSLD >DRNTG_06298.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20824930:20830552:-1 gene:DRNTG_06298 transcript:DRNTG_06298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDWDDLCLEARHLGGDLDVRLSSYAKLGAGYANARALVSRFHWKSMEMEIENLLELLLDVNEAMSRCTPAATPATTVAQKLTRHHDILHEFTQEFKRTKGNILSMREHAELLTSVRNDINEHKASSGAHLVPSLLRERVAIHERLMRSRHWIRDLPPARHLQSLLQQVLLRLAAQRHTFSDIRGKVKHLSERFPMVQNPLWAIKHKKPKDTLILSAVIKGCIHITIHTDSHF >DRNTG_12022.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:767388:769211:-1 gene:DRNTG_12022 transcript:DRNTG_12022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSAIMTTAYTEDLDGNPIADDAFSYQLASYFSMGAGHVNPERANDPELVYDTQPLDYISYLCGITLTNVVPTEVYDLDIKKMLDGVDIRADTYSLSFLALYENQSFRLQFTSNVIAEEARGKFEDSALAIRHSLEFLDQCANETSGVLAVEEASEQRTG >DRNTG_23922.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3206652:3209446:-1 gene:DRNTG_23922 transcript:DRNTG_23922.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLHRPAPLLRFNPKAPTFCHGFHPRLPSRVLCGLRDGPRKPLWRGRILSTEAIQAVQALKLAKSSSSPSLDQVFQSRIGRLLKADLISVLAELRRQHEWDLALQVFGFIRTEVWYKPDLSLYSDMIMMFGKKKMIETAEQLFSEIEKEGIKPDTRAYTEMIGAFLRVGMVEKAMDLYKSMKEEGCNPDKLTLVILIRNLEKAGAQDLACSVRRDCEKYIDEPEKFLSEVYTKFPKRRSFKVV >DRNTG_23922.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3207578:3209446:-1 gene:DRNTG_23922 transcript:DRNTG_23922.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLHRPAPLLRFNPKAPTFCHGFHPRLPSRVLCGLRDGPRKPLWRGRILSTEAIQAVQALKLAKSSSSPSLDQVFQSRIGRLLKADLISVLAELRRQHEWDLALQVFGFIRTEVWYKPDLSLYSDMIMMFGKKKMIETAEQLFSEIEKEGIKPDTRAYTEMIGAFLRVGMVEKAMDLYKSMKEEGCNPDKLTLVILIRNLEKAGAQDLACSVRRDCEKYIDEPEKFLSEVYTKFVSIASIYDP >DRNTG_27453.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10205807:10209134:1 gene:DRNTG_27453 transcript:DRNTG_27453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEPSKYRNYFRRPIPANQHRKFTPHILLSKVAKHPPRGRKPPISVRVSTRGNMFLVGPYGPVFIGGVVDLSVDLSRSGFSCFGRHSEAKCFTICIPSQEFVSLAMTRGLSGVCPSLGSSRRLSRGGPAGRSVTILLSLVDPVRVKHIA >DRNTG_35198.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20972690:20981078:1 gene:DRNTG_35198 transcript:DRNTG_35198.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVKLPEEGIGGLVNLKFWTQGSKTGEYSLSTVIYEPHSEAGISALAFRPDNCMAVTSSFGGDFKIWVNSSGVQRKDQILQKSGWRCRSVGAYKKRPMTAAAFSSDGSVLAVAAETVVTLWDPDTNALVAVIGDSFTPIVALSFVGDLEYLISVSRGSRPQLAVWNLPKLSMHWSYMLSVEAVAASGGDGSKFAVLACPTREANAREQDGIILLFEVDDLVPMSTWSVKKARGGNLTFLSSNLFPQDPNVTERRNSPLLVYVNGDHEFEVFDPHGNQSQIGRLHRKNPTAAEEPMRFGYESIYGELPEFDLKKDQIPEIPFAPSDRPWETIFSGPSHVLPPLTKLCSTFLESILERNTTLKE >DRNTG_35198.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20970533:20981078:1 gene:DRNTG_35198 transcript:DRNTG_35198.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVKLPEEGIGGLVNLKFWTQGSKTGEYSLSTVIYEPHSEAGISALAFRPDNCMAVTSSFGGDFKIWVNSSGVQRKDQILQKSGWRCRSVGAYKKRPMTAAAFSSDGSVLAVAAETVVTLWDPDTNALVAVIGDSFTPIVALSFVGDLEYLISVSRGSRPQLAVWNLPKLSMHWSYMLSVEAVAASGGDGSKFAVLACPTREANAREQDGIILLFEVDDLVPMSTWSVKKARGGNLTFLSSNLFPQDPNVTERRNSPLLVYVNGDHEFEVFDPHGNQSQIGRLHRKNPTAAEEPMRFGYESIYGELPEFDLKKDQIPEIPFAPSDRPWETIFSGPSHVLPPLTKLCSTFLESILERNTTLKE >DRNTG_35198.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20970221:20981078:1 gene:DRNTG_35198 transcript:DRNTG_35198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGGQSFVSSPPAFSNDGKKLLVCTRATVSVFSTSTGLQVTELEGHTDRVTSVVVVPVTGPASKFMSFCWTSSLDGNVCYWDFAASELVKKVNVQLPIWSMVIPNMPNAIVQSNEKSSSLYAFLSVEDTSKSADQQKALVGQIQIFNLRNARRVGGLLAETRKPECITMSNSGEFLGIRNKRKLHIWRVPLKDYKYDEIRKIKLHHTKNLSALAFHPTERIVAGGDVTGRILIWRGFGRKMFSESLLPHGREKIRNDEERPGVRGNDDSDSCSTWHWHPSEVKFLFFSSDGAYLYSGGREGVLVVWQLDTGKKKFKPRLGSPLLYFSASSDPSLSCIIKFIW >DRNTG_11183.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2064794:2065057:-1 gene:DRNTG_11183 transcript:DRNTG_11183.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFRSSSSSAAAAASASGQGLLHACMP >DRNTG_09522.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:25726280:25727985:1 gene:DRNTG_09522 transcript:DRNTG_09522.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLEKHLTRFVQSANTRFESVEATLCNHTASLHNLENHVGKIAKTLSEMPHGSLPSNTETNPREHVKMITLRSDREVEAQFTTGRETRETENPHGRVEIIHARGEILQGRVEHTCPCSRPIPALFKADSAPILVFFSPSFPQIERELRQGFRGVLAKVLERFYGSDIGIPLGRRLVGELRSRRILYRTNESLDDE >DRNTG_26049.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18681426:18682226:1 gene:DRNTG_26049 transcript:DRNTG_26049.1 gene_biotype:protein_coding transcript_biotype:protein_coding FISQIHHTSRKYPIRAEHYQLHEMVGKGAGATVHRVICIPLDEDVAIEILDFERNLVILGFS >DRNTG_01549.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:8307448:8308110:1 gene:DRNTG_01549 transcript:DRNTG_01549.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRMTSKIQTFPTNSSSPSSLKSKPHHIRSNTTSSNTRNSNQRPKIAPKKS >DRNTG_34106.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002087.1:45349:48525:-1 gene:DRNTG_34106 transcript:DRNTG_34106.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor PrfB2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G56350) UniProtKB/Swiss-Prot;Acc:F4I532] MQALINMRRTAKEKELEALLAGENDSCSCFIEVQAGAGGTESMDWAAMLVNMYTMWAQRHGYGVTIVDEMPGEVAGIKRATIKVDGEYSFGYAKAEVGVHRLVRISPFDSAKKRHTSFAAVAVIPILGDVSTRYQIKESDLRIERFRAGGAGGQHVNTTESAVRITHIPTGISATCQNERSQHSNKASAMSVLQSRLDQLEMARQAQLNAEHTQSLTEISWGNHIRSYVLHPYRMVKDLRTKL >DRNTG_34106.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002087.1:45349:48525:-1 gene:DRNTG_34106 transcript:DRNTG_34106.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor PrfB2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G56350) UniProtKB/Swiss-Prot;Acc:F4I532] MVVRLEQLSATINKADLWDDPVYAGRVSREHGGLMSKMKEVKGFEQDLLEHIDMLKLAREENDQHLELESMQALINMRRTAKEKELEALLAGENDSCSCFIEVQAGAGGTESMDWAAMLVNMYTMWAQRHGYGVTIVDEMPGEVAGIKRATIKVDGEYSFGYAKAEVGVHRLVRISPFDSAKKRHTSFAAVAVIPILGDVSTRYQIKESDLRIERFRAGGAGGQHVNTTESAVRITHIPTGISATCQNERSQHSNKASAMSVLQSRLDQLEMARQAQLNAEHTQSLTEISWGNHIRSYVLHPYRMVKDLRTKL >DRNTG_34106.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002087.1:45349:46585:-1 gene:DRNTG_34106 transcript:DRNTG_34106.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor PrfB2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G56350) UniProtKB/Swiss-Prot;Acc:F4I532] MSVLQSRLDQLEMARQAQLNAEHTQSLTEISWGNHIRSYVLHPYRMVKDLRTKL >DRNTG_25716.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17223900:17241821:-1 gene:DRNTG_25716 transcript:DRNTG_25716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDEREDVETPEEKEATAVARKEPPRIWRLEESVVNRIAAGEVVQRPSSVVKELVENSLDAGATSISVVVKDGGLKLIQVSDNGHGIRYEDLAILCERHTTSKLSTFEDLQTIKSMGFRGEALASMTYVGHVTVTTITEGQLHGYRASYRDGVMEQEPRPCAAVKGTQIMVENLFYNMIARRKNLQNSNDDYPKVVDLISRFAIHYMNVSFSCRKHGANRADVHTVAAFSRLEAIRNVYGVNVARDLLEITVSDDNPACSVFNMDGFVSNANYAAKKTTMVLFINDRLVECTALKRAIEVVYSATLPKASKPFIYMSINLPPEHVDVNIHPTKREVSLLNQESIVDRIQNAIESKLMSSNTTRTFISQPINSTAICLFGGKKETQPNSPFSGTKSQKVPVSKMVRTDSRDPFGRLHAYMPDLSLSQHEKQSDLTSVRHAVRLRRNPREASDLNSLHELAREIDSDVHTSLWDIVKNCTYIGLADDTFALLQHETYLYLMNVVNVSKELMYQQAVRKFCHFNAIQLSDPAPIQELLMMALKEEDLESPNEECDDLKKKIAEMNTELLKQKGGLLDECFCIHIDQQGNLLRLPVILEQHTPDMDRVPEFLLSLGNDVDWEDERECFQTISAAIANFYAMHPPILPNPSGSGIQFYKKTKDQTSYDLTTSANIEEDIIDQDLLAEAETTWAQREWSIQHVLFPAMRLFLKPPKVMATNGTFVQVASLEKLYKIFERC >DRNTG_25716.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17240588:17241821:-1 gene:DRNTG_25716 transcript:DRNTG_25716.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDEREDVETPEEKEATAVARKEPPRIWRLEESVVNRIAAGEVVQRPSSVVKELVENSLDAGATSISVVVKDGGLKLIQVSDNGHGIRYEDLAILCERHTTSKLSTFEDLQTIKSMGFRGEALASMTYVGHVTVTTITEGQLHGYR >DRNTG_33174.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23466450:23471807:1 gene:DRNTG_33174 transcript:DRNTG_33174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEAGDRYGNKRIHQRIGGSNPSSISERSNNKVCHHWRAGRCNRHPCPFLHAEPSIDSLPKRHQPAVEHHSLVWKNPGTNPGPPSKWGKARAPQKAPDKICKYFISGNCNYGERCRFLHSWFVGDSFSLLTPLQGHQKVITGIALPAGSDKLYSGSKDESVRVWDCQTGQCVGVINLGGEIGCMISEGPWIFVGIPNAVKAWNTQTATDLSLSGPTGQVYALVVGNEMLFAGIQDGHILAWKFSAVGNCFEPAASLSGHRLGVVSLVVGAMRLYSGSMDNTIRVWDLVTLQCIQTLTDHTSVVMSVLCWDQFLLSCSLDRTIKVWVATETGSLEVTYTHNEEQGLLALGGMHDAQAKPVLLCSCNDNVVRLYDLPSFTERGKIFSKDEVRAMQVGPGGLFFTGDGTGEVKVWKWSANETQSVN >DRNTG_31524.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19778896:19779470:-1 gene:DRNTG_31524 transcript:DRNTG_31524.1 gene_biotype:protein_coding transcript_biotype:protein_coding VESFSKAAATYYSKKDQSQRNNEIQKRNDHKLAMNHPF >DRNTG_06431.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29873727:29877896:1 gene:DRNTG_06431 transcript:DRNTG_06431.1 gene_biotype:protein_coding transcript_biotype:protein_coding HPRPGAEETKTIKGHPRPGAEETKTIKGHPRPGAEETKTIKRQQRSAQQDLGESLTTMKLCRAAGEVCSSGAQEVEGALDRLNGITGNLLSRVFSFPGIFRNLFLRGSWRMLYKTCFFIPSSRRLFVPVTTTD >DRNTG_05975.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000273.1:23825:24946:1 gene:DRNTG_05975 transcript:DRNTG_05975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEIPLLTPYKMGKFHLSHSFGSIDKIKILWQCSSIPSILYYSQRTSKGGLLIAEATGVSNTAQGYPETPGIWTKEQVEAWKPIVKAVHDKGGVFFCQLWHVGRLLNYGYQPNGQAPISSTNRPILAQTHPDGKVEEYSPPRRLRTNEIPQIVIDFRLAARNAIEAGFDGIEIHGAHGYLWEQFMKESTNDRNDEYGGSLEN >DRNTG_18552.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4582418:4584377:1 gene:DRNTG_18552 transcript:DRNTG_18552.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLLFISILLLFTTICFSSRIPIRSDYEVSLLYEGWLVRHNKFYSDSSEKERRYEIFKDNLKYIDKHNAGNHTYTLALNVFADLTVEEYRATFLRTLPPRKWKMENDSEAFNDNVGVAPDSIDWRDEGVINPIRHQGGCFSCWAFAVLTTVEAVNKIVTGDLVTLSEQQLVDCFNKGCQPSYLDDAYQYIIDNGGVDTEADYPYTAKYSNSCDTTK >DRNTG_06563.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22649355:22650163:1 gene:DRNTG_06563 transcript:DRNTG_06563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNIRLIGELYKKKMLTERIMHECIKKLLGQYPNPDEEDIEALCKLMSTIGQMIDHPKAKEHMDAYFDMMLKLSTNPKLSSRVRFMLKDAIDLRKNRWQQRRKIEGPKKIEEVHRDVAHERQAQASRLARGPVISSTPRRGAAVDFGPRGSTILSSPPQQMGGSRGFPVQARGPQDVRVDDRHPFESKTFSSPPASKKF >DRNTG_24218.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22634313:22637826:-1 gene:DRNTG_24218 transcript:DRNTG_24218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIARISIPPPMAEGLAPKSIPRMLSSVPKWRLSSSSALVTPIRSRRLGIRAAGTPSQAVEEQQKQDDESMSIDALHRFFDLNIGNWNGSFYQFDANGNVLQNVSTKLAVSSYGEDELISLIQSLYIKQPPSRTSIAGDEEEEPEWLEYKIKETNMFTVDKYQQIGFFPKEKAFALRYQTAGMLETVLRAGVLGEDDTGEESPKNLKIPSRRPSVVCENCLYSLNKDMRTRAFHIMDPKGVLEMLLIFLEERGGGVPAISSPKNLEGTEDRLTPMLGRWEGHSITKRSGVYGATISEADTVALIELNDKGQLIQDITSTTSGTSTTTNVPWTGVVSNNLVTFDGGFQLTLMPGGMYMGCPCDIAKSVAQLQSFHLEFCWMESPNKRQRLVRTFDVEGLAVSSTYFYEVKV >DRNTG_06242.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000285.1:11212:11909:1 gene:DRNTG_06242 transcript:DRNTG_06242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRGVVRAEVAKIRATQATQYTEFMARFDVLQQILERHVASSFVLRPRTPPGPSVPLAPLSSAPALVDPLCASPAAAAASVPESDTDS >DRNTG_11984.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4327516:4333108:-1 gene:DRNTG_11984 transcript:DRNTG_11984.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase 48 kDa subunit [Source:Projected from Arabidopsis thaliana (AT5G66680) UniProtKB/Swiss-Prot;Acc:Q944K2] MANHGVLLALLLLLPLHCFSFSEENPTDRRILVLVDDLAIRSSHSIFFKSLETRGFDLDFKLSDDPKLTLQRYGHYLYDGLVLFSPSTQRFGGSVDMAAILDFVDAGHDLILAADSSASDLVRGIATECGVDFDEDPEAVVIDHTSYAVSETEGDHTLIASDSFINSNVILGDKKIEAPVLFQGIGHSLNSANSLVLKVLSASPSAYSANPKAKLSSPPSLTGSAISLVSVVQARNNARILISGSLALFSNRFLRSGVLKVGSSVKYEKSGNEQFVTEISKWVFHERGHLKAVNVKHNKAGEKSEPAIYRINDDLEYSLEIYEWSGTSWKPYIADDVQVQFYMMSPYVLKTLSNDQKGLYSTSFKVPDVYGVFQFKVEYQRLGYTSLSLAKQIPVRPFRHNEYERFIPAAFPYYGASFSTMAGFFIFTIVYLYNK >DRNTG_04584.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000220.1:47318:48371:-1 gene:DRNTG_04584 transcript:DRNTG_04584.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGSDFPMAMNDNLEGPFIEWLKPTQPPPSFSSSPSSSTSTSSPSQDHAINRVSQCCLPLLSSFEEPKKVIKEEEDIDGEVNVALHIGLPGSSTSVDDGESIMSLDFSVEEDDKEVENNNKKKMEVMPDDHENRFWIPTPAQILIGPVQFSCSVCSKTFNRYNNMQMHMWVHGSEYRKGPESLKGTQPMGMLKLPCYCCAHGCKNNINHPRAKPLKDFRTLQTHYKRKHGVKPFMCRKCGKSFAVKGDWRTHEKNCGKLWYCTCGSNFKHKRSLKDHIRSFGMGHSPTCSPVSS >DRNTG_11553.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15872517:15874572:-1 gene:DRNTG_11553 transcript:DRNTG_11553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGREQLKRVVRNGKKETTTSPLCWTAGANIVVSDWDRGTNSIWILTCWLFF >DRNTG_06221.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:15102948:15105823:-1 gene:DRNTG_06221 transcript:DRNTG_06221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASKPLPFGPLRRVLFGGAPLGKEVIEVFKERYPMIKILQGYGLTESTAIGASTDSSEESRRYGTVGMLSPNTEAKIVDPKTGISLPPNGVSELWLRGPYIMKGGSIRESEESSICSGHTKEPIWENTQEGFDQACNR >DRNTG_08560.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17188700:17192025:-1 gene:DRNTG_08560 transcript:DRNTG_08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDSIERIKERVEEKEGIPPVQQRLIYAGKQLADDKTAKEYNIEGGSVLHLVLALRGGY >DRNTG_15427.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17876410:17879403:-1 gene:DRNTG_15427 transcript:DRNTG_15427.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQSRNSQRWSFYRPLSGHKPVLVGQRGWYLVVLGILSCIVFIYISIGYIGFHPELPKMNFVRVNGTQLMLDGKPFYVNGWNSYWLMDQAVEDYSWPRVLEMFQIGENMGLSVCRSWAFNDGAYHALQVSLGRFNERVFKALDKVVVEARRHGIRLLLSLVNNLDAFGGKSQYVRWAWEEGISLTSSNDSFFFDPAIRSYFKVYLKTILTRKNHLTGIEYRDDPAIFAWELINEPACLSDATGDTLQDWLEEMAAYVKSIDKKHLLTVGFEGFYGPASPPERLSMNPSEFYGRTGADFIRNSKISNIDFTSVHIYPDQWLKKANFSEKMKYISKWVSIHIEDCDTELKKPVLFTEFGLSSDHKDYDPAKRHIFYKSIYDAVHNSAKNNGAGGGALIWQFLARGMEKYNDDFGIFPDSRQPMYELIKHQSCRIAEVNYKQDILKTPYKDICQKN >DRNTG_15427.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17876410:17879403:-1 gene:DRNTG_15427 transcript:DRNTG_15427.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQSRNSQRWSFYRPLSGHKPVLVGQRGWYLVVLGILSCIVFIYISIGYIGFHPELPKMNFVRVNGTQLMLDGKPFYVNGWNSYWLMDQAVEDYSWPRVLEMFQIGENMGLSVCRSWAFNDGAYHALQVSLGRFNERVFKALDKVVVEARRHGIRLLLSLVNNLDAFGGKSQYVRWAWEEGISLTSSNDSFFFDPAIRSYFKVYLKTILTRKNHLTGIEYRDDPAIFAWELINEPACLSDATGDTLQDWLEEMAAYVKSIDKKHLLTVGFEGFYGPASPPERLSMNPSEFYGRTGADFIRNSKISNIDFTSVHIYPDQWLKKANFSEKMKYISKWVSIHIEDCDTELKKPVLFTEFGLSSDHKDYDPAKRHIFYKSIYDAVHNSAKNNGAGGGALIWQFLARGMEKYNDDFGIFPDSRQPMYELIKHQSCRIAEVNYKQDILKTPYKDICQKN >DRNTG_15427.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17876410:17879403:-1 gene:DRNTG_15427 transcript:DRNTG_15427.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQSRNSQRWSFYRPLSGHKPVLVGQRGWYLVVLGILSCIVFIYISIGYIGFHPELPKMNFVRVNGTQLMLDGKPFYVNGWNSYWLMDQAVEDYSWPRVLEMFQIGENMGLSVCRSWAFNDGAYHALQVSLGRFNERVFKALDKVVVEARRHGIRLLLSLVNNLDAFGGKSQYVRWAWEEGISLTSSNDSFFFDPAIRSYFKVYLKTILTRKNHLTGIEYRDDPAIFAWELINEPACLSDATGDTLQDWLEEMAAYVKSIDKKHLLTVGFEGFYGPASPPERLSMNPSEFYGRTGADFIRNSKISNIDFTSVHIYPDQWLKKANFSEKMKYISKWVSIHIEDCDTELKKPVLFTEFGLSSDHKDYDPAKRHIFYKSIYDAVHNSAKNNGAGGGALIWQFLARGMEKYNDDFGIFPDSRQPMYELIKHQSCRIAEVNYKQDILKTPYKDICQKN >DRNTG_15427.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:17876410:17879403:-1 gene:DRNTG_15427 transcript:DRNTG_15427.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQSRNSQRWSFYRPLSGHKPVLVGQRGWYLVVLGILSCIVFIYISIGYIGFHPELPKMNFVRVNGTQLMLDGKPFYVNGWNSYWLMDQAVEDYSWPRVLEMFQIGENMGLSVCRSWAFNDGAYHALQVSLGRFNERVFKALDKVVVEARRHGIRLLLSLVNNLDAFGGKSQYVRWAWEEGISLTSSNDSFFFDPAIRSYFKVYLKTILTRKNHLTGIEYRDDPAIFAWELINEPACLSDATGDTLQDWLEEMAAYVKSIDKKHLLTVGFEGFYGPASPPERLSMNPSEFYGRTGADFIRNSKISNIDFTSVHIYPDQWLKKANFSEKMKYISKWVSIHIEDCDTELKKPVLFTEFGLSSDHKDYDPAKRHIFYKSIYDAVHNSAKNNGAGGGALIWQFLARGMEKYNDDFGIFPDSRQPMYELIKHQSCRIAEVNYKQDILKTPYKDICQKN >DRNTG_03510.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:15670859:15675335:-1 gene:DRNTG_03510 transcript:DRNTG_03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQSNPKKSKKQLKIAGATVTSEMLQ >DRNTG_28389.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17876219:17887414:-1 gene:DRNTG_28389 transcript:DRNTG_28389.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53000) TAIR;Acc:AT1G53000] MASFCSPSSDSLGGKAKLAHALLLGAAIAASAAAAAYYGRLRRWRTRVVGIIPARFASTRFEGKPLVQILGKPMIQRTWERAKLASTLDSVDDERIAECCRGFGADVIMTSESCKNGTERCNEALQKLEKDYDIVVNIQGDEPLIEPDIIDGIVRALQGAPDAVFSTAVTSLKPGDGLDPNRVKCVVDNNGYAIYFSRGLIPFNKSGQVNPNFPYLLHLGIQSYDAKFLRIYPQLPSTPLQLEEDLEQLKVLENGYKMKVIRVNHDAHGVDAPEDVKKIEDLMRERNIS >DRNTG_32426.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10960375:10961303:-1 gene:DRNTG_32426 transcript:DRNTG_32426.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKRKPHTLTVPSSFSTPPPKPFTASPFLLIPPLSSPQNPKILTCKPSKTPLNKSIPSYSAFSPGNNKNMASPHGPLPIILLMACISFSPILANAANIIVANEDREVKTMKPMVVAEHNRWVPYNETRRRLGSFQICALCTCCGGPKGLCIPSPCCYAINCNIPNRPFGYCSFTPKTCNCFGCHL >DRNTG_09718.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000407.1:6083:12066:1 gene:DRNTG_09718 transcript:DRNTG_09718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRHFLYFECKGSLPEDGRKASRKCGGSGPNDQGKVPSFCTRTRLVFFKSSLFKPRAHLSESMPIKAPQITIFQRNAGIERPLVQGEALFEPFWREISEEPKRGIHHHQPLNVPRQKFHQMRPFWRAFKGQKKGRIKECIKELDGVDPTSSHHHFLIEILREFIDHLIFVMLYMYLELSFFAMSNKTPKATGLPVNLGRRLYSIDLILNDTCMVGCLLKLGHMMIEGGLIVLIHLRFQDLIGYGKSGVTTWNRCANVKGTKTLTTLNLVATMANVKEYF >DRNTG_23500.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21778437:21787616:-1 gene:DRNTG_23500 transcript:DRNTG_23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIITVAHAPLWCAPRKRWLPIMVAGNCWEPPATKVTFVSKRKSVFMRNGFHASVFKSDASQGEPEVVVDSNSLSSDNELSQSKIALSEARSIQETIEKDRDRLVEELAQSEVKQREYASIIEHNKKLAIAEIEAAKSLFKETLQGSISEKFGLESKLVLEKQEAVELAVQVEKLAELAFQQATSHLLEEAHLRVSAAETAAAEAVHHIEEQIRHATEGTISSILDQSKQAICKALFAAGQASNHAEKAVSTSSGGNNLLDEIAAVRSQNLGLQNAISGLESKLLVAERGIERLKVDLEQVVAQAKASDSRASVAEKAFADLEELTRKHSSKREEEVKLLMDKMKEEAAERENASSKAFKVKLEGIMAAVDAAKETAHLKDQAYERRVTALQRSLRASESVMEAWRQKAEIAQSILDGERSTGEENVPYLSTGGRIDLLTDDDSQKWKLLAEGPRREIPEWLTRRIRTIFPKFPPRKANIAEALSVNSLSLNLPKPEEVWSIAQEKPRDMGVLVDHFIEKEVIEKKRKALERALERKTIKWQRTPEEIKLEPGTGTGREIVFQGFNWESCRWRWYMELAPKAKDLSQSGITAVWFPPPTESVAPQGYMPSDLYNLNSSYGSIEELKHCIEEMHNQDLLALGDVVLNHRCAQKQSPNGVWNIFGGKLAWGPEAIVCDDPNFQGCGNPSTGDIFHAAPNIDHSQDFVRRDIKEWLNWLRNDIGFDGWRLDFVRGFAGVYVKEYIEASNPAFAIGEYWDSLAYEGGNLCYNQDAHRQRIVNWINATGGTSSAFDVTTKGILHSALHNQYWRLIDPQGKPTGVMGWWASRAVTFLENHDTGSTQGHWPFPREKLGQGYAYILTHPGTPVIFYDHFYDFGLRDTITELIEARRRAGIHCRSAVKIYHANNEGYVAKVDESLVMKLGHFDWNPSKENNLDGTWQKFVDRGSDYQIWLRQ >DRNTG_08456.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21430550:21435712:-1 gene:DRNTG_08456 transcript:DRNTG_08456.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIGPSIDSLVNCSNLQSLSVAFNDLGGVLPLSVANFSKEMIHLYMDYNHFSGILPHGIENLVSLNILQFSNNQLTGPIPEHIGQFAKLQVLSLGDNKFTGYIPSSIGNLTLLSDIYLSGNRFEGTIPSSMITLRQLKTLALSNNRFSGRMPGEILGQFFSLSVLDLANNSFNGTIPFEIGSLINLQQLQLSGNRLTGEIPGTISGCVVLEDLNLQGNLITGSIPPSLGKLKGLKNLNLSHNSLSGGIPSSFSNLKLLQTLGLSNNNLSGSVPEFLQDLKYLSFMDLSYNHFHGELPVNGLFANSSALILVGNVELCGGVPQLHLPVCLKSHKKTSRGSLILKIVIPIVSLLLCLLLFLLFLFLFRQRQRESKMKTKTSSSLPVPYEEFPRVTYNDLVRATDGFSSGNLIGKGKHASVYKGTLHGINNTIMMVAIKVFSLNIRGASKSFLSECESLRSIRHRNLIKIITSCVSTDSEGNDFKALVLDLMPNGSLDSWLHPVENQQHQSPLSLIHRLNVAIDVADALEYLHHSCQPPIVHCDLKPSNVLLDDDMNAHVGDFGLAKILMNNNNNNSLQSWTTSTGIIRGTIGYVPPEYGFGSEVSTMGDVYSYGILVLELLTGKRPVDESFKDAFEGSQAKLEGSINSIRRSLLFLGPKVEEIGQGSSSEGVHEQGAG >DRNTG_07444.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000338.1:62614:64863:1 gene:DRNTG_07444 transcript:DRNTG_07444.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMARMAPPILGFQRGGKFGGKESIEDNTNCRGA >DRNTG_07444.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000338.1:63467:64863:1 gene:DRNTG_07444 transcript:DRNTG_07444.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNMARMAPPILGFQRGGKFGGKESIEDNTNCRGA >DRNTG_07444.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000338.1:62132:64863:1 gene:DRNTG_07444 transcript:DRNTG_07444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMARMAPPILGFQRGGKFGGKESIEDNTNCRGA >DRNTG_02072.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000104.1:49843:51417:1 gene:DRNTG_02072 transcript:DRNTG_02072.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPASIDESSANVSPIGSVGNFDNAVNEANNVEVNESPISVKDDEVEENPFAAKQRKKTSKVWDEFKEITLSDATKKAECIHCRHQLGLLKSGATTQFIRHLKVCVRRQLALKGQRQLTISTNLAKSKSVNAIQTWKYDQAKVRQVYAHMVLVHDLTFSFAEDEVFNHFMRTVSPNWERISRGLSKKDCISAYDIEKKKE >DRNTG_17298.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31822823:31824757:1 gene:DRNTG_17298 transcript:DRNTG_17298.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPLAGIEAILSSDDLQVASEDAVYDLVLKWARAQYPEVDERREILGSRLCRLVRFPYMTCRKLRKVLTCNDLDHDLASKAVLEALFFKSETPHRQRILASEEVANRRFMERAYKYRPVKVVEFELPHPQCIVYLDLKREECANLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFTVDYEFAARTRPTGEFVSKYKGYYTFTGGKAVGYRNLFAIPWTSFMADDSLYFIHGTLHLRAELTIKQPQPQ >DRNTG_13768.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:11233137:11235287:1 gene:DRNTG_13768 transcript:DRNTG_13768.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMAVANGGLRSTLNPNAPLFIPAALQQVEDFSPEWWNLVKTSTWFREHWISQHQDQGNFDGEDEDDIANLLPDDFDIGITEEMSILEAELEETIQEMEAAEETALASLGKEKTGPEMDAAAIIKNLSLKSPKNGMAQPVLEPAKYWEKPAQCIIPKCSPRRIIQQPR >DRNTG_13768.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:11233137:11235287:1 gene:DRNTG_13768 transcript:DRNTG_13768.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMAVANGGLRSTLNPNAPLFIPAALQQVEDFSPEWWNLVKTSTWFREHWISQHQDQGNFDGEDEDDIANLLPDDFDIGITEEMSILEAELEETIQEMEAAEETALASLGKEKTGPEMDAAAIIKNLSLKSPKNGMAQPVLEPAKYWEKPAQCIIPKCSPRRIIQQPR >DRNTG_12354.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20837814:20846119:1 gene:DRNTG_12354 transcript:DRNTG_12354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKFSREDRSRISDMGNLDESDSATLHTRSNNGKRENLTSNPQVPCDPMLIEHIRSDFLLQEMESDIPGPETPVMRPLFPRLKRIHEEPCHLECISDSPPLSSSKRVKSILDEGLGKGTHVNIVDLQGNRSKLITTSSANPVDSHCNRDSLILSSQKRLKASGNLGTGKETSADVSEAVNSKFEWLNPSSIRDANRRRPNDPLFDKRTLYIPPDEMKKMSASQKQYWTVKCQYMDIVLFFKVGKFYELYELDAEIGQKELDWKMTVSGVGKCRQVGISEAGIDDAVGKLTARGYKVGRMEQLETSEQAKARGASSVIQRKLVHVSTPSTVTDGSVGPDAVHLLAIKEGNGRMENGSIIYAFAFLDYAALKVWVGSLCDDASCAALGALVMQVSPREIIYECEGLSKETLKALTKYASAGSTRTQLTPVTLGPEFLDASSVRKSIHSKGFFKGSSKPWSSAFDFTADPDLVLCALGGLLGHMCRMMLGDALRNGEILSYHVYKSCLRMDGQTLVNLEIFGNNADGGPSGTLFKYLDHCTTSSGKRLLKRWICHPLKDIEDINDRLNVVEGLIKNSGISSIISEYLRRLPDIERLLGRVKASIGASSTLLLPFIGEKLLKQQIKTFGSLIRGLRVGIDLLLILQREDHGILSLPKFASVPTLNELDDLLNQFEAAMEDDFPNYQDHNIKESDVKTLAVLVELFSVRVTDWSQVIHALNCVDVLQSFATIATVSCGTTCRPIFHPTKTSSKASSNRGGDGGEGSPVLHMKGLWHPYAIGENGNSTVPNDVCLGEDKDSGTSYPCSLLLTGPNMGGKSTLLRATCLAVILAQLGCYVPCETCVLSPADIIFTRLGATDRIMSGESTFFVECSETASVLQNATCDSIVLLDELGRGTSTFDGYAIAYAVFRHLVEKVGCRLLFATHYHPLTKEFACHPRVNLQHMACMLNMKPNSNDGQEKNLVFLYRLASGACPESYGLQVALMAGVSRPIVDAASEAAQRMKIIISKNFKSSEGRCKFSSLHEGWMKTLLALSKTTSSGSASSDGCWDEDASDTLLCLWLELKNFYSKRPRVHVAM >DRNTG_12354.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20843690:20846119:1 gene:DRNTG_12354 transcript:DRNTG_12354.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDFPNYQDHNIKESDVKTLAVLVELFSVRVTDWSQVIHALNCVDVLQSFATIATVSCGTTCRPIFHPTKTSSKASSNRGGDGGEGSPVLHMKGLWHPYAIGENGNSTVPNDVCLGEDKDSGTSYPCSLLLTGPNMGGKSTLLRATCLAVILAQLGCYVPCETCVLSPADIIFTRLGATDRIMSGESTFFVECSETASVLQNATCDSIVLLDELGRGTSTFDGYAIAYAVFRHLVEKVGCRLLFATHYHPLTKEFACHPRVNLQHMACMLNMKPNSNDGQEKNLVFLYRLASGACPESYGLQVALMAGVSRPIVDAASEAAQRMKIIISKNFKSSEGRCKFSSLHEGWMKTLLALSKTTSSGSASSDGCWDEDASDTLLCLWLELKNFYSKRPRVHVAM >DRNTG_12354.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20844492:20846119:1 gene:DRNTG_12354 transcript:DRNTG_12354.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSGESTFFVECSETASVLQNATCDSIVLLDELGRGTSTFDGYAIAYAVFRHLVEKVGCRLLFATHYHPLTKEFACHPRVNLQHMACMLNMKPNSNDGQEKNLVFLYRLASGACPESYGLQVALMAGVSRPIVDAASEAAQRMKIIISKNFKSSEGRCKFSSLHEGWMKTLLALSKTTSSGSASSDGCWDEDASDTLLCLWLELKNFYSKRPRVHVAM >DRNTG_12354.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20837814:20841981:1 gene:DRNTG_12354 transcript:DRNTG_12354.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFFYQGKFYELYELDAEIGQKELDWKMTVSGVGKCRQVGISEAGIDDAVGKLTARGYKVGRMEQLETSEQAKARGASSVIQRKLVHVSTPSTVTDGSVGPDAVHLLAIKEGNGRMENGSIIYAFAFLDYAALKVWVGSLCDDASCAALGALVMQ >DRNTG_12354.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20843956:20846119:1 gene:DRNTG_12354 transcript:DRNTG_12354.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLWHPYAIGENGNSTVPNDVCLGEDKDSGTSYPCSLLLTGPNMGGKSTLLRATCLAVILAQLGCYVPCETCVLSPADIIFTRLGATDRIMSGESTFFVECSETASVLQNATCDSIVLLDELGRGTSTFDGYAIAYAVFRHLVEKVGCRLLFATHYHPLTKEFACHPRVNLQHMACMLNMKPNSNDGQEKNLVFLYRLASGACPESYGLQVALMAGVSRPIVDAASEAAQRMKIIISKNFKSSEGRCKFSSLHEGWMKTLLALSKTTSSGSASSDGCWDEDASDTLLCLWLELKNFYSKRPRVHVAM >DRNTG_12354.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20841846:20846119:1 gene:DRNTG_12354 transcript:DRNTG_12354.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRMMLGDALRNGEILSYHVYKSCLRMDGQTLVNLEIFGNNADGGPSGTLFKYLDHCTTSSGKRLLKRWICHPLKDIEDINDRLNVVEGLIKNSGISSIISEYLRRLPDIERLLGRVKASIGASSTLLLPFIGEKLLKQQIKTFGSLIRGLRVGIDLLLILQREDHGILSLPKFASVPTLNELDDLLNQFEAAMEDDFPNYQDHNIKESDVKTLAVLVELFSVRVTDWSQVIHALNCVDVLQSFATIATVSCGTTCRPIFHPTKTSSKASSNRGGDGGEGSPVLHMKGLWHPYAIGENGNSTVPNDVCLGEDKDSGTSYPCSLLLTGPNMGGKSTLLRATCLAVILAQLGCYVPCETCVLSPADIIFTRLGATDRIMSGESTFFVECSETASVLQNATCDSIVLLDELGRGTSTFDGYAIAYAVFRHLVEKVGCRLLFATHYHPLTKEFACHPRVNLQHMACMLNMKPNSNDGQEKNLVFLYRLASGACPESYGLQVALMAGVSRPIVDAASEAAQRMKIIISKNFKSSEGRCKFSSLHEGWMKTLLALSKTTSSGSASSDGCWDEDASDTLLCLWLELKNFYSKRPRVHVAM >DRNTG_20236.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001104.1:1:11188:1 gene:DRNTG_20236 transcript:DRNTG_20236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQQKLKLIPIKDIALTVISNPNNIKMPRSKLKGMKVIRSETNTSRNAATSNEVELSTAQMHDAQPRTSTHSSDTDSNRNGHDENQDHGNILENTSGVVNVNTEEPEFHVNMSTPIYTHSESSELRGATSTQTRRRKGKLKLISEVEVIQEMNSTLKEALGNMTSTHTLAFAKDLMNECMKLKAHGYSGREINKAYD >DRNTG_10060.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4592805:4596021:-1 gene:DRNTG_10060 transcript:DRNTG_10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRSLGDELPKMPLKGWLPLSSTSYNQALGMDLAQNRAFLVKSSVEMPLNTFFLRSTMVCISPGEHNSDIPYLCLTPVSEAVRVIHSTLSVYLRPLRPVTYMGYNLSSLTVPYACKLGCKNKTDNLTDNSVKPLTAIPWVKKEMYPYEEGLTAASSSPSSYSTPSDEAED >DRNTG_14492.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7228772:7230858:1 gene:DRNTG_14492 transcript:DRNTG_14492.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHLSCNGCILKSNTVAEHYSNNVAYTLAAQLTTGQGTRETENSHGRVEIIHARVEIPHRRVEHPRPYSLPIPALFKADSALIFVFFSPYFPQLERGLRLWFRGVLVKVLERFYGSDIIIP >DRNTG_04288.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30007188:30010872:1 gene:DRNTG_04288 transcript:DRNTG_04288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESISLLVGRSGAKLSLTLFPGLQLLSLQESSLSGPIDSSLSKLQNLSILRLDFNNLSSHVPDFFANFSSLTVLSLSGCGLKGLFPTSMFELLNLKTLYLSYNEMLEGVFPEFPLNSSLEILIISSTNFSGSLPNSLGNLESLISLDLRHCNFSGSIPWSIGNLSELVYLDLSYNHLSGHLPPMLAGSKISTIDLSSNYFIGQIPSTLGHAHHLISLHLEDNLLTGSIPMSLFTLKKLEKLSLVDNKLSGQLQEFTNASSTLQYVGLWGNNLHGKLPKSLVNLFALISLDLSSNNFDGSVMGLELFGHLQNLTYLDLSGIDLSISHRIVGSSLLFPSLHTLKLQSCNLMAIPSFLKHKKNMGLLDLSNNRINGVIPKWIWGIGRSLNLSYNLFNDIERPLPDPKTMMSINSLDLHSNMLQGQIPLLHAQFLDYSNNYFTGSIPLNISAYLLGTSAFFLANNNLTGEIPASICDTYLQILDLSKNNLSGSIQGCLLESLSNLQALNLRENQFQGILPQNINSSCSLVTIDFYGNKSRRRNTKIIIKM >DRNTG_00804.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2400648:2402569:1 gene:DRNTG_00804 transcript:DRNTG_00804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPLSPSYPRAHKAHALLLAGAVAGATLLVVVLVLLMLLYFYFSIYRRSPTLPLPSPSPLPLRRFRHRDLRRATASFHHSRRLGRGSTATVLRAALLPAAAVKLFDPIAVSETTFLNEFHTLAAIPHSPFIVSLLGYCLSRRCRALVFEFMPNGSLQDALFHPSDALTWDHRFRIILDVAQALAFLHLECDPPVIHGDIKPSNVLLGADFSAKISDFGLARFKTEGDLGVEMFSQELWKSQELASLEADFAFAPPPKKAATGVARANDKGKEPALAPVPPAPATAAVVPCNEESVNLEHSNGEWGKDWWWKQDGSGELSSKEYVHEWIGSQICVGWEDEENGEQKSSPLNSQGEAFFNNCEAKHEKKLSNGGVDKKTKKVREWWKEEYFAEISKKGKHDEGFGFWVEINAVVPEC >DRNTG_00804.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2402118:2402974:1 gene:DRNTG_00804 transcript:DRNTG_00804.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGSGADRQGVSCSVGRCSAESLVARQACEELFVISLQRVGIQWRKGDVYSFGVLILVILSGRRPLHVLSSPMKLEKANLVSWCRHLAQSGNVLDLVDERLKDLYDKEQASLCINLALLCLQRSPESRPDSGDIVRIFERGDGCPWSCHLSSLLHQS >DRNTG_00804.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2400648:2402041:1 gene:DRNTG_00804 transcript:DRNTG_00804.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPLSPSYPRAHKAHALLLAGAVAGATLLVVVLVLLMLLYFYFSIYRRSPTLPLPSPSPLPLRRFRHRDLRRATASFHHSRRLGRGSTATVLRAALLPAAAVKLFDPIAVSETTFLNEFHTLAAIPHSPFIVSLLGYCLSRRCRALVFEFMPNGSLQDALFHPSDALTWDHRFRIILDVAQALAFLHLECDPPVIHGDIKPSNVLLGADFSAKISDFGLARFKTEGDLGVEMFSQELWKSQELASLEADFAFAPPPKKAATGVARANDKGKEPALAPVPPAPATAAVVPCNEESVNLEHSNGEWGKDWWWKQDGSGELSSKEYVHEWIGSQICVGWEDEENGEQKSSPLNSQGEAFFNNCEAKHEKKLSNGGVDKKTKKVREWWKEEYFAEISKKGKHDEG >DRNTG_16164.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14934309:14934788:-1 gene:DRNTG_16164 transcript:DRNTG_16164.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHLSSPLQTSSSPSFPFRFPSRITFLLPKLSSPSPNLAFIFKHPHIISRSCIPRHATFHTNKQFSNTEEDDEEEEEREEDDATAAKGYDEVLLVGVFDKEEEREEEVVQPSPDAFSRFEKHKCQRVQRLLSDVQ >DRNTG_30890.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19820205:19821749:-1 gene:DRNTG_30890 transcript:DRNTG_30890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPQDCVVSMTQKAKKKSLLLALKILIYTPKSIRAVWGAYGGCTEANRSNPAVYRDLYQGAIERSSKVDALTKDSSTWFLKRSSKYSTIYGLLVFKPHHHPLPRHLVMLLSLPRHLDLLSNNANYRV >DRNTG_05697.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1729438:1730482:1 gene:DRNTG_05697 transcript:DRNTG_05697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDTKNKLPPGFHFFPSEEELVLHFLYNKASHLPCKPDIIPTVDLHHCDPWQLINGKALEGDNQWYFFTYKAEKAEDRVSGDGYWTPVTEDELVFSGENKVGVKKSLVYCIGEAPEGIKTSWLMHEYHLLDELVSNPNPNCSRRRSSKKRRHSRMEDKKWVICQVFDTSYGTQDENEMELSCMDEAFLSLNDDDDDDDDDDDDEISFPN >DRNTG_22848.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2546936:2549639:1 gene:DRNTG_22848 transcript:DRNTG_22848.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSCKWRSLKERLGLKGVMGCCSGAWGLRSEADRMVIERENEVRGEEAIVEVEDRVPAEEMNLAAALAAERQFRGEWGEEEEEGRHAPNSNCR >DRNTG_00682.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30111161:30117523:-1 gene:DRNTG_00682 transcript:DRNTG_00682.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRIGALACTOSYLDIACYLGLYCEROL 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G65410) UniProtKB/Swiss-Prot;Acc:Q9AT00] MPEDKIAELVTENLAAVGLKGVEGRMPSELSGGMKKRVALARSIIFDNTKEVIEPEVLLYDEPTAGLDPIASTVVEDLIRSVHLKGEDALGKPGKIASYVVVTHQHSTIKRAVDRLLFLHEGKVVWEGMTHEFTTSENPIVRQFASGSLDGPIRY >DRNTG_01665.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23898874:23902295:-1 gene:DRNTG_01665 transcript:DRNTG_01665.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLTVNEYPSGVGLSPHIDTHSAFDELIFSLSISGPCIMEFRKYPQGTWYPPGDTVADMEGVNFIRKAIFLPPRSMLLMSGEGRYAWHHYIPHHKVQCY >DRNTG_01665.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23899049:23902295:-1 gene:DRNTG_01665 transcript:DRNTG_01665.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLTVNEYPSGVGLSPHIDTHSAFDELIFSLSISGPCIMEFRKYPQGTWYPPGDTVADMEGVNFIRKAIFLPPRSMLLMSGEGRYAWHHYIPHHKVDVVKGQSIKRSSRRVSFTFRKVRRGPCRCEFQQCCDSRRGSNVERSCPPLETSQ >DRNTG_01665.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23899049:23902295:-1 gene:DRNTG_01665 transcript:DRNTG_01665.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEEAANSMFARPKCSTTTSPNLYVANCGPTVGLSLETISSVFSAFGRVTGVHAADDTGARVIVCFSDTTAAQAAYQALNGNPCPQLRGRTLRMRFSLPKLPAKVQDNDSLSVFLLASELAIPGIYLVHDFITPEEEEVLLAAVDASPWKSLAKRRVQHYGYEFLYETRNVDARQYLGNLPSFVSFVLDKISSFPEPANNKCRLMDQLTVNEYPSGVGLSPHIDTHSAFDELIFSLSISGPCIMEFRKYPQGTWYPPGDTVADMEGVNFIRKAIFLPPRSMLLMSGEGRYAWHHYIPHHKVDVVKGQSIKRSSRRVSFTFRKVRRGPCRCEFQQCCDSRRGSNVERSCPPLETSQ >DRNTG_01665.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23901567:23902295:-1 gene:DRNTG_01665 transcript:DRNTG_01665.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEEAANSMFARPKCSTTTSPNLYVANCGPTVGLSLETISSVFSAFGRVTGVHAADDTGARVIVCFSDTTAAQAAYQALNGNPCPQLRGRTLRMRFSLPKLPAKVSVYHPIHPSFIDKFRSSLGNLRAAFDCYLMMLTNFFPFSELRCKAFSPPNPQ >DRNTG_11329.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000503.1:12035:14421:-1 gene:DRNTG_11329 transcript:DRNTG_11329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEDLVELKFRLFDGTDIGPIGYSSASTIAMLKERIVSEWPRDKKIIPKAANDVKLISAGKILEDNKNSCPV >DRNTG_08493.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6451311:6453751:-1 gene:DRNTG_08493 transcript:DRNTG_08493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARKDHPKELTIAHDNLLQLLYGLALTPVSSLGEVEEEKGQILNTLGGEASSKGKETIDHGLQSDTTVWGSTVRLNGRRHGQ >DRNTG_13926.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19652506:19652950:1 gene:DRNTG_13926 transcript:DRNTG_13926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSICQACGRERQCAYSERKEWPELLGVDALEAKATIERTNKWVEVILMPCYSLDASATMNCCCNRVFLFVTDLKNGKVCKVPVVG >DRNTG_25517.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2904417:2906856:-1 gene:DRNTG_25517 transcript:DRNTG_25517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERQLIGVVGGGQMGSGIAQLAAVAGFHVLLYDSNADVLVRANSSISSSIRRLVSKGQLSQSASDDAMKNLKFAQNLEDFRDADVIIEAIVESEVVKKTLFLELDKIAKVSAILASNTSSISITRLASTTSRPSQVIGMHFMNPPPIMKLIEIIRGADTSDAVYATVKSLAERLGKTVICSQDYPGFIVNRILMPMINEAFYTLYTGVATKEDIDTGMKLGTNHPMGPLELADFIGLDVCLSIMNVLHEGLGDSKYSPCPLLVQYVDAGRLGRKKGIGVYSYQKEPAKLKPSSSL >DRNTG_21014.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:60830:67620:1 gene:DRNTG_21014 transcript:DRNTG_21014.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAISVLTPTQRYAAGALLALALRQAQIHQTHPLGSSFPDDDNDDADFVPDRFSSASASSSSSATSSGGESDADSSLLWTHKSRGLLRPVFRFLDIHSNAWSGLEETAASSSSKHHIGAFLRIIFEEEVSAENSDQMLALSKAVDAMVMSLETSSSKHEAEEQRQYGHKQEQHQSTSTTTDRTSDVTTKPSENFELIKSQALIRRVERYDDSTDSTDNRSMLGDNSVNHQRKLAVLFALLSACVADMPEDDKKKHRYRKGYDSRHRVALRLLATWLDIQWIKVEAMEIMVACSAMAAAKEEEIQSQENELEKNKWAKWKRGGIIGAAALTGGALLAITGGLAAPAIAAGFGALAPTLGTLVPIVGAGGFAAMATAAGSVAGSVAVAASFGAAGAGLTGSKMARRIGSIEEFEFKPIGDNHNQGRLAVGILISGFVFEEEDFIRPWEGHEDSLERYALQWESKNLIAISTAIQDWITSKLMMGMMQQGAMMTVLGTLVTALAWPATLLAATDFIDSKWSIALNRSEKAGKLLAEVLMKGLQGYRPVTLVGFSLGARVIFKCLQKLAASGDNEGIVERVVLLGAPISVNGENWDSVRKMVAGRFINVYATNDWILGVTFRASLLTQGLAGIQAVDAPGIENVDVTDFIDGHSSYLWAVPQILQQLELNTYYPVFVSPPTETKQTI >DRNTG_21014.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:60830:67620:1 gene:DRNTG_21014 transcript:DRNTG_21014.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISVLTPTQRYAAGALLALALRQAQIHQTHPLGSSFPDDDNDDADFVPDRFSSASASSSSSATSSGGESDADSSLLWTHKSRGLLRPVFRFLDIHSNAWSGLEETAASSSSKHHIGAFLRIIFEEEVSAENSDQMLALSKAVDAMVMSLETSSSKHEAEEQRQYGHKQEQHQSTSTTTDRTSDVTTKPSENFELIKSQALIRRVERYDDSTDSTDNRSMLGDNSVNHQRKLAVLFALLSACVADMPEDDKKKHRYRKGYDSRHRVALRLLATWLDIQWIKVEAMEIMVACSAMAAAKEEEIQSQENELEKNKWAKWKRGGIIGAAALTGGALLAITGGLAAPAIAAGFGALAPTLGTLVPIVGAGGFAAMATAAGSVAGSVAVAASFGAAGAGLTGSKMARRIGSIEEFEFKPIGDNHNQGRLAVGILISGFVFEEEDFIRPWEGHEDSLERYALQWESKNLIAISTAIQDWITSKLMMGMMQQGAMMTVLGTLVTALAWPATLLAATDFIDSKWSIALNRSEKAGKLLAEVLMKGLQGYRPVTLVGFSLGARVIFKCLQKLAASGDNEGIVERVVLLGAPISVNGENWDSVRKMVAGRFINVYATNDWILGVTFRASLLTQGLAGIQAVDAPGIENVDVTDFIDGHSSYLWAVPQILQQLELNTYYPVFVSPPTETKQTI >DRNTG_21014.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:60830:67620:1 gene:DRNTG_21014 transcript:DRNTG_21014.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAISVLTPTQRYAAGALLALALRQAQIHQTHPLGSSFPDDDNDDADFVPDRFSSASASSSSSATSSGGESDADSSLLWTHKSRGLLRPVFRFLDIHSNAWSGLEETAASSSSKHHIGAFLRIIFEEEVSAENSDQMLALSKAVDAMVMSLETSSSKHEAEEQRQYGHKQEQHQSTSTTTDRTSDVTTKPSENFELIKSQALIRRVERYDDSTDSTDNRSMLGDNSVNHQRKLAVLFALLSACVADMPEDDKKKHRYRKGYDSRHRVALRLLATWLDIQWIKVEAMEIMVACSAMAAAKEEEIQSQENELEKNKWAKWKRGGIIGAAALTGGALLAITGGLAAPAIAAGFGALAPTLGTLVPIVGAGGFAAMATAAGSVAGSVAVAASFGAAGAGLTGSKMARRIGSIEEFEFKPIGDNHNQGRLAVGILISGFVFEEEDFIRPWEGHEDSLERYALQWESKNLIAISTAIQDWITSKLMMGMMQQGAMMTVLGTLVTALAWPATLLAATDFIDSKWSIALNRSEKAGKLLAEVLMKGLQGYRPVTLVGFSLGARVIFKCLQKLAASGDNGISVSL >DRNTG_21014.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:60830:69064:1 gene:DRNTG_21014 transcript:DRNTG_21014.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISVLTPTQRYAAGALLALALRQAQIHQTHPLGSSFPDDDNDDADFVPDRFSSASASSSSSATSSGGESDADSSLLWTHKSRGLLRPVFRFLDIHSNAWSGLEETAASSSSKHHIGAFLRIIFEEEVSAENSDQMLALSKAVDAMVMSLETSSSKHEAEEQRQYGHKQEQHQSTSTTTDRTSDVTTKPSENFELIKSQALIRRVERYDDSTDSTDNRSMLGDNSVNHQRKLAVLFALLSACVADMPEDDKKKHRYRKGYDSRHRVALRLLATWLDIQWIKVEAMEIMVACSAMAAAKEEEIQSQENELEKNKWAKWKRGGIIGAAALTGGALLAITGGLAAPAIAAGFGALAPTLGTLVPIVGAGGFAAMATAAGSVAGSVAVAASFGAAGAGLTGSKMARRIGSIEEFEFKPIGDNHNQGRLAVGILISGFVFEEEDFIRPWEGHEDSLERYALQWESKNLIAISTAIQDWITSKLMMGMMQQGAMMTVLGTLVTALAWPATLLAATDFIDSKWSIALNRSEKAGKLLAEVLMKGLQGYRYVIHIILVQEK >DRNTG_21014.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001193.1:60830:67620:1 gene:DRNTG_21014 transcript:DRNTG_21014.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTSSLTALAAPAPVAVAAPPAAAARAMRIPASSGLISPVASSALSSDSWIFILMRGLGWKRLRLPLRPSIILELIIFEEEVSAENSDQMLALSKAVDAMVMSLETSSSKHEAEEQRQYGHKQEQHQSTSTTTDRTSDVTTKPSENFELIKSQALIRRVERYDDSTDSTDNRSMLGDNSVNHQRKLAVLFALLSACVADMPEDDKKKHRYRKGYDSRHRVALRLLATWLDIQWIKVEAMEIMVACSAMAAAKEEEIQSQENELEKNKWAKWKRGGIIGAAALTGGALLAITGGLAAPAIAAGFGALAPTLGTLVPIVGAGGFAAMATAAGSVAGSVAVAASFGAAGAGLTGSKMARRIGSIEEFEFKPIGDNHNQGRLAVGILISGFVFEEEDFIRPWEGHEDSLERYALQWESKNLIAISTAIQDWITSKLMMGMMQQGAMMTVLGTLVTALAWPATLLAATDFIDSKWSIALNRSEKAGKLLAEVLMKGLQGYRPVTLVGFSLGARVIFKCLQKLAASGDNEGIVERVVLLGAPISVNGENWDSVRKVLMVNEGYNLMMSRIDKEICTLVNVDIVSNKESLTETDTFYFSELS >DRNTG_26034.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1666925:1672774:1 gene:DRNTG_26034 transcript:DRNTG_26034.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFQGPDRLNIDRYRNITGWGGGNRTVEFGDRTVGHVRVDLTETFWPLYGLAGGVGTALAWAWLVLLGSRANQMMKLSVHILTTYLAVVSVLCFWGEHFFWGVAFAVGAGLQFLYVMSVMDRIPFTMLVLQKAVKMVWELPEVMRVAYAFVFVMLCWLVIWTFGVAGTISLSADDGARWWLLLVFSVSLFWTGAVLCNTVHAIVSGMVFLVLIHGGQGAPSMPPKPLLKALQYALTTSFGSICYGSLFTAAIRTLRWEIRGVRSKIGNNECLLCCVDFLFHLVETLVRFFNKYAYVQIAVNGKGFNHSARDAWELFQSTGVEALVAYDCSGAVLLMGSILGGLITGTCTAIWTHFKRDDRVIMVGTTSMLIGMILVGLAVVVIEGAVTSIYICYAEDPSLIQRWDDEFYNQMAEALHQRLQYRSARAGRVTRLDQIPDTPSPV >DRNTG_26034.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1666925:1672774:1 gene:DRNTG_26034 transcript:DRNTG_26034.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSASTTSIGEEEDGGRGGSETSSGEPERAAESGRRWRDVFWLFVFLVHLLAFGFALGLLGMNRFQGPDRLNIDRYRNITGWGGGNRTVEFGDRTVGHVRVDLTETFWPLYGLAGGVGTALAWAWLVLLGSRANQMMKLSVHILTTYLAVVSVLCFWGEHFFWGVAFAVGAGLQFLYVMSVMDRIPFTMLVLQKAVKMVWELPEVMRVAYAFVFVMLCWLVIWTFGVAGTISLSADDGARWWLLLVFSVSLFWTGAVLCNTVHAIVSGMVFLVLIHGGQGAPSMPPKPLLKALQYALTTSFGSICYGSLFTAAIRTLRWEIRGVRSKIGNNECLLCCVDFLFHLVETLVRFFNKYAYVQIAVNGKGFNHSARDAWELFQSTGVEALVAYDCSGAVLLMGSILGGLITGTCTAIWTHFKRDDRVIMVGTTSMLIGMILVGLAVVVIEGAVTSIYICYAEDPSLIQRWDDEFYNQMAEALHQRLQYRSARAGRVTRLDQIPDTPSPV >DRNTG_26034.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1666925:1672942:1 gene:DRNTG_26034 transcript:DRNTG_26034.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSASTTSIGEEEDGGRGGSETSSGEPERAAESGRRWRDVFWLFVFLVHLLAFGFALGLLGMNRFQGPDRLNIDRYRNITGWGGGNRTVEFGDRTVGHVRVDLTETFWPLYGLAGGVGTALAWAWLVLLGSRANQMMKLSVHILTTYLAVVSVLCFWGEHFFWGVAFAVGAGLQFLYVMSVMDRIPFTMLVLQKAVKMVWELPEVMRVAYAFVFVMLCWLVIWTFGVAGTISLSADDGARWWLLLVFSVSLFWTGAVLCNTVHAIVSGMVFLVLIHGGQGAPSMPPKPLLKALQYALTTSFGSICYGSLFTAAIRTLRWEIRGVRSKIGNNECLLCCVDFLFHLVETLVRFFNKYAYVQIAVNGKGFNHSARDAWELFQSTGVEALVAYDCSGAVLLMGSILGGLITGTCTAIWTHFKRDDRVIMVGTTSMLIGMILVGLAVVVIEGAVTSIYICYAEDPSLIQRWDDEFYNQMAEALHQRLQYRSARAGRVTRLDQIPDTPSPV >DRNTG_26034.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1666925:1672942:1 gene:DRNTG_26034 transcript:DRNTG_26034.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFQGPDRLNIDRYRNITGWGGGNRTVEFGDRTVGHVRVDLTETFWPLYGLAGGVGTALAWAWLVLLGSRANQMMKLSVHILTTYLAVVSVLCFWGEHFFWGVAFAVGAGLQFLYVMSVMDRIPFTMLVLQKAVKMVWELPEVMRVAYAFVFVMLCWLVIWTFGVAGTISLSADDGARWWLLLVFSVSLFWTGAVLCNTVHAIVSGMVFLVLIHGGQGAPSMPPKPLLKALQYALTTSFGSICYGSLFTAAIRTLRWEIRGVRSKIGNNECLLCCVDFLFHLVETLVRFFNKYAYVQIAVNGKGFNHSARDAWELFQSTGVEALVAYDCSGAVLLMGSILGGLITGTCTAIWTHFKRDDRVIMVGTTSMLIGMILVGLAVVVIEGAVTSIYICYAEDPSLIQRWDDEFYNQMAEALHQRLQYRSARAGRVTRLDQIPDTPSPV >DRNTG_26034.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1666925:1672774:1 gene:DRNTG_26034 transcript:DRNTG_26034.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLVLIHGGQGAPSMPPKPLLKALQYALTTSFGSICYGSLFTAAIRTLRWEIRGVRSKIGNNECLLCCVDFLFHLVETLVRFFNKYAYVQIAVNGKGFNHSARDAWELFQSTGVEALVAYDCSGAVLLMGSILGGLITGTCTAIWTHFKRDDRVIMVGTTSMLIGMILVGLAVVVIEGAVTSIYICYAEDPSLIQRWDDEFYNQMAEALHQRLQYRSARAGRVTRLDQIPDTPSPV >DRNTG_26034.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1666925:1671331:1 gene:DRNTG_26034 transcript:DRNTG_26034.12 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFQGPDRLNIDRYRNITGWGGGNRTVEFGDRTVGHVRVDLTETFWPLYGLAGGVGTALAWAWLVLLGSRANQMMKLSVHILTTYLAVVSVLCFWGEHFFWGVAFAVGAGLQFLYVMSVMDRIPFTMLVLQKAVKMVWELPEVMRVAYAFVFVMLCWLVIWTFGVAGTISLSADDGARWWLLLVFSVSLFWTGAVLCNTVHAIVSGMVFLVLIHGGQGAPSMPPKPLLKALQYALTTSFGSICYGSLFTAAIRTLRWEIRGVRSKIGNNECLLCCVDFLFHLVETLVRFFNKYAYVQIAVNGKGFNHSARDAWELFQSTGVEALVAYDCSGAVLLMGSILGGLITGTCTAIWTHFKRDDRVIMVGTTSMLIGMILV >DRNTG_26034.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1666925:1671331:1 gene:DRNTG_26034 transcript:DRNTG_26034.11 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFQGPDRLNIDRYRNITGWGGGNRTVEFGDRTVGHVRVDLTETFWPLYGLAGGVGTALAWAWLVLLGSRANQMMKLSVHILTTYLAVVSVLCFWGEHFFWGVAFAVGAGLQFLYVMSVMDRIPFTMLVLQKAVKMVWELPEVMRVAYAFVFVMLCWLVIWTFGVAGTISLSADDGARWWLLLVSGSFLSVYFGQERCFATQFMR >DRNTG_26034.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1666925:1673296:1 gene:DRNTG_26034 transcript:DRNTG_26034.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSASTTSIGEEEDGGRGGSETSSGEPERAAESGRRWRDVFWLFVFLVHLLAFGFALGLLGMNRFQGPDRLNIDRYRNITGWGGGNRTVEFGDRTVGHVRVDLTETFWPLYGLAGGVGTALAWAWLVLLGSRANQMMKLSVHILTTYLAVVSVLCFWGEHFFWGVAFAVGAGLQFLYVMSVMDRIPFTMLVLQKAVKMVWELPEVMRVAYAFVFVMLCWLVIWTFGVAGTISLSADDGARWWLLLVFSVSLFWTGAVLCNTVHAIVSGMVFLVLIHGGQGAPSMPPKPLLKALQYALTTSFGSICYGSLFTAAIRTLRWEIRGVRSKIGNNECLLCCVDFLFHLVETLVRFFNKYAYVQIAVNGKGFNHSARDAWELFQSTGVEALVAYDCSGAVLLMGSILGGLITGTCTAIWTHFKRDDRVIMVGTTSMLIGMILVGLAVVVIEGAVTSIYICYAEDPSLIQRWDDEFYNQMAEALHQRLQYRSARAGRVTRLDQIPDTPSPV >DRNTG_26034.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1666925:1672715:1 gene:DRNTG_26034 transcript:DRNTG_26034.8 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFQGPDRLNIDRYRNITGWGGGNRTVEFGDRTVGHVRVDLTETFWPLYGLAGGVGTALAWAWLVLLGSRANQMMKLSVHILTTYLAVVSVLCFWGEHFFWGVAFAVGAGLQFLYVMSVMDRIPFTMLVLQKAVKMVWELPEVMRVAYAFVFVMLCWLVIWTFGVAGTISLSADDGARWWLLLVFSVSLFWTGAVLCNTVHAIVSGMVFLVLIHGGQGAPSMPPKPLLKALQYALTTSFGSICYGSLFTAAIRTLRWEIRGVRSKIGNNECLLCCVDFLFHLVETLVRFFNKYAYVQIAVNGKGFNHSARDAWELFQSTGVEALVAYDCSGAVLLMGSILGGLITGTCTAIWTHFKRDDRVIMVGTTSMLIGMILVGLAVVVIEGAVTSIYICYAEDPSLIQRWDDEFYNQMAEALHQRLQYRSARAGRVTRLDQIPDTPSPV >DRNTG_26034.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1666925:1672715:1 gene:DRNTG_26034 transcript:DRNTG_26034.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSASTTSIGEEEDGGRGGSETSSGEPERAAESGRRWRDVFWLFVFLVHLLAFGFALGLLGMNRFQGPDRLNIDRYRNITGWGGGNRTVEFGDRTVGHVRVDLTETFWPLYGLAGGVGTALAWAWLVLLGSRANQMMKLSVHILTTYLAVVSVLCFWGEHFFWGVAFAVGAGLQFLYVMSVMDRIPFTMLVLQKAVKMVWELPEVMRVAYAFVFVMLCWLVIWTFGVAGTISLSADDGARWWLLLVFSVSLFWTGAVLCNTVHAIVSGMVFLVLIHGGQGAPSMPPKPLLKALQYALTTSFGSICYGSLFTAAIRTLRWEIRGVRSKIGNNECLLCCVDFLFHLVETLVRFFNKYAYVQIAVNGKGFNHSARDAWELFQSTGVEALVAYDCSGAVLLMGSILGGLITGTCTAIWTHFKRDDRVIMVGTTSMLIGMILVGLAVVVIEGAVTSIYICYAEDPSLIQRWDDEFYNQMAEALHQRLQYRSARAGRVTRLDQIPDTPSPV >DRNTG_26034.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1666925:1672715:1 gene:DRNTG_26034 transcript:DRNTG_26034.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSASTTSIGEEEDGGRGGSETSSGEPERAAESGRRWRDVFWLFVFLVHLLAFGFALGLLGMNRFQGPDRLNIDRYRNITGWGGGNRTVEFGDRTVGHVRVDLTETFWPLYGLAGGVGTALAWAWLVLLGSRANQMMKLSVHILTTYLAVVSVLCFWGEHFFWGVAFAVGAGLQFLYVMSVMDRIPFTMLVLQKAVKMVWELPEVMRVAYAFVFVMLCWLVIWTFGVAGTISLSADDGARWWLLLVSGSFLSVYFGQERCFATQFMR >DRNTG_26034.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1666925:1671415:1 gene:DRNTG_26034 transcript:DRNTG_26034.10 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFQGPDRLNIDRYRNITGWGGGNRTVEFGDRTVGHVRVDLTETFWPLYGLAGGVGTALAWAWLVLLGSRANQMMKLSVHILTTYLAVVSVLCFWGEHFFWGVAFAVGAGLQFLYVMSVMDRIPFTMLVLQKAVKMVWELPEVMRVAYAFVFVMLCWLVIWTFGVAGTISLSADDGARWWLLLVSGSFLSVYFGQERCFATQFMR >DRNTG_22189.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1508822:1510359:-1 gene:DRNTG_22189 transcript:DRNTG_22189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGAVIETKDVVVAGLSDLLDQALQWRCLTSTSILHSRLLRTSLFSHPHLLTKLFLSYSSTLPRTRIANLLISTICYSLNPPPYNALISSLSRHHFPSSALQTLSFTHRLGARLDSYTLCSSLLAASSPRATCVGEQLHAFSVKSGCMSSVFVGGALIGFYSKMSHLVCARKVFDEMPLRNTICVNTLLNGYIESQNWPEGLLLAHEMFGFGLYPDECTLSGILRISAETTDITIGIEAHAYFLRRIDNFVDDLCLQSSLVEMYGRCGLTSKARQVFDQCNKKRSDVVLWTSMINAYGRNGMFDAVIMCFNEMLDEGIEPDEIAFLAVLSACRHAGEVATGLNYLQSMRKNYGMVAKAEHYGCVIDMLCRAGEVEKAWEIANTTSGIGVSVWGALLSACKDSGNVGIGELAAKRAHELDPDNVGIFVELSNLYARVGMWVEIEEVRELMKQRGLKKDSGSSRLDR >DRNTG_26804.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20320037:20320974:1 gene:DRNTG_26804 transcript:DRNTG_26804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTQFSIRMGLYDETFTDIEEYKRLPKDYNGDLIPMRAYRILCGQGQYELGVSKAICLSRPSYRYIHAVLSRSGQYARVSVLFSSPYITRLIVEIGLLNAIREAEKAIAPIPLGIGAIRLMGMVRRYRAGAGRGSPTVQEPPLVRIFYPPLAHDRFERLKSVVVVLQADLTELRATHAPHHTEASLASPSPVPELVDPPITTSSPTSAA >DRNTG_29733.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:609319:611547:-1 gene:DRNTG_29733 transcript:DRNTG_29733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTIRKALGAVKDQTSIGLAKVSSNMAPDLDVAIVKATSHEDEPASEKHIREILNLTSYSRGYVAACVAAVSKRLSKTRDWIVALKTLMLIHKLLAEGDPGFQHEILYATRKGTRLLNLSDFRDEAHSNSWDQSAFVRTFAFFLDQRLEGMVYERKQSPRNRDEYEFEYYEGRERRRREEEKKPMTPLRDMKPEKVLGRMHQLQQLLDRFLACRPTGSAKNCRMVLIALYPLVRESFQLYADICEILAVLLDRFFDMDYPDCVKSFEAYASAAKQIDELAAFYGWCKDTGVARSSEYPEVQRITDKLLETLEEFMRDRARRPKSPERLPEERVAVEEEPVEDMNSIKALPAPPVHEEVQPQPQVIEKVEPEKLPQQQEADLVNLRDNDVSVQDQENRLALALFSAPTATANGSASQDNEVTSAWQTPAAESGKADWELALVESASNLSKQKAALAGGFDPLLLNGMYDQGIVKQHVNSQVNGGSASSVALPGPGKTTTPVLALPAPDGTYQSVGQDPFAASLSFAPPSYVQMADMEKKQQLLTQEQQMWQAYARDGMQGQGYLAKLQNNYMYPNQPMPYGMPPMYYHPQPPY >DRNTG_25596.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23805371:23806522:-1 gene:DRNTG_25596 transcript:DRNTG_25596.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMVERGGDMVTSMDSSNKSVPAPFLTKTYQLVDDPSTNHIVSWGEDESTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKVVADRWEFANEFFRRGEKHLLTEIHRRRTPQISHQQQYYHHDTPQPPATFHCMEVTRSWMEPPQPISTRDADVLSALSEDNQRLRRSNSLLLSELTHMKKLYNDIIYFIQNHVRPVPPPDHHHHHHHHHQRPGKIIELGVDEKEKEKENTTVKLFGVPLQSKKRLQCEIGQVHQVSECESLEV >DRNTG_17273.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5265475:5268480:-1 gene:DRNTG_17273 transcript:DRNTG_17273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKKGSINIDIPPSSSPVTKEQNSPAISPEKYWISEVWKFAKDDTNRVTFALKVGLAVLLVSLLILFRAPYDVFGTNIIWSILTVAIMFEYTVGATFNRGFNRALGSLLAGILAIVVIQVAITAGHFAEPYVIGFSIFFIGAVTSFMKQWPSLVEYEYGFRVILFTYCLIMVSGYRVGNPIRTAMDRLYSIAIGGLVAVLVNVLVFPIWAGEQLHKELVNSFNTVADSLEECVKKYLKDDGSENLEFSKTVTDEFSDEPAFKKCKATLNTSAKLDSLANAAKWEPPHGRFRHFFYPWSEYVKVGAVLRYCAYEVMALHGCLHSEIQAPYNLRCTFQSEILDVTTQAAELLKTLGKDINLMKLNLQKSLLKKVHSSTERLQRSIDMHSYLLTSNLHLYDPSKPQNSRLSFNLKDSATLNSDTNETNAQQDQLSYHEAMRKQQIRLHSWPSREVDEFEDESVGVDLIPRMRALESTAALSLATFASLLIEFVARLDHLVDAVDMLAKMAKFKQEVIS >DRNTG_14143.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:17831803:17833844:-1 gene:DRNTG_14143 transcript:DRNTG_14143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRLAEELLVNSFKTIDGRGANIHIAGGACITLQYISNVIIHNIHIHHCVPAGHSNVRSSPTHYSYRTLSDGDGISIYSAREIWIDHCSLSYCTDGLIDAIMGSTAITISNSYFSHHDKVMLLGHNDAYMADSGMQVTIAFNHFGEELVQRMPRCRRGYIHVVNNDFTRWEMYAIGGSANPTINSQGNRYTAPFDPNAKEVRVFFFLDFGFF >DRNTG_27728.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:234536:235675:-1 gene:DRNTG_27728 transcript:DRNTG_27728.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPMYAKFPIALMPTFIDINIDPSSSNRWDWYPSPPSLKLSAAVCHKLNDNTPSNASWSASAWFYWKIRCDVIFGNAQLSGQNVVCKAFAHTNDFNISNHNLLDRKLILNNFSWADEQFLFIYTQLQSSKFVSSAGFFVSNANFVVSFARCFSGPANDVFSGDLIALEAALHTTWERNTPIKHIFINSCAAIDALSDDHSPIS >DRNTG_03844.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4364802:4366075:1 gene:DRNTG_03844 transcript:DRNTG_03844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDRTDDKNSFSGDSFQEHHRGVHTSLCSLGKAHL >DRNTG_20863.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001166.1:25160:25929:1 gene:DRNTG_20863 transcript:DRNTG_20863.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFVNGSEISNSYGLLDDNGTDDHPEASYESHCKTYVKP >DRNTG_24190.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22402849:22407220:-1 gene:DRNTG_24190 transcript:DRNTG_24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNATTAAAAGVIVPRAHGLAQRVSVSSIIARPRLFPWGPSRLASCSKPGLLAVVASAASFDELNARKKSNHQASKKSILSNLIQEIEPLDVSLIQKDVPADTVDAMKRTISSMLGLLPSDRFNVLVEAFWDPLFKLLVSSMVTGYTLRNAEYRLCLEKTLGVCEEFSNEQYPENTGLDADGHKMVPHGTSKMPEIPGRDEFLLSSKENGEVLDPERVGVEGLGEMTPATQEYIINLQNRISSMEKELHDVKRQNAALQMQHFVGEEKNDLLDYLRSLQPEKVAELSEPTCPGLQETIKSVAHGLLATLSPKIHTKSPPHSENATGGSSLDIGNDDCPELVENTSLQFQPLISVSRDYLARLLFWCMLLGHYLRGLEYKLDLMQLLTISGDATASIHQDGDSVS >DRNTG_26047.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18723244:18723705:1 gene:DRNTG_26047 transcript:DRNTG_26047.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRRTTLQLHNGDGLNPSSIFPLASSSSCSPHQPAFITSLLSFFKRPHAFPILLFIFVLLTWLSLRFQHHPQLLLSPSSPSLALTRQLEIADIATNSIRFSALDFPSKIARDRRGWLLDPVSAARAAGIQG >DRNTG_26047.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18723244:18737224:1 gene:DRNTG_26047 transcript:DRNTG_26047.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRRTTLQLHNGDGLNPSSIFPLASSSSCSPHQPAFITSLLSFFKRPHAFPILLFIFVLLTWLSLRFQHHPQLLLSPSSPSLALTRQLEIADIATNSIRFSALDFPSKIARDRRGWLLDPVSAARAAGIQGGAQSCASVHVGEIRPGGVRGNHRHHTCNETFIIWGAVTKFR >DRNTG_28594.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7919807:7922325:1 gene:DRNTG_28594 transcript:DRNTG_28594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDWLFERSVMMKKMMMELRGVVVVSMGVDLVMVTLGLLVMGLYHVWLLRTILCCPNRTVIGLNALARKRWDPMKNGVLAVQTIRNNIMASTVLATTAITLSSLISVFVGVTTTNSLTSSSMFFPPNNPDTHLASIKFLSISLCFLLAFLCNVQSIRYYAHVSFLLTTPANIEGGGGGAISLAYVTRNLNHGCFFWSLGMRAFYVSFTLFLWIFGPVFMFVSCVVMCSLLYFLDTASESARDIHDLFNGEV >DRNTG_28280.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11788818:11804914:1 gene:DRNTG_28280 transcript:DRNTG_28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCCSSELAETQLEKQKQKQKQKQKQKQKQKHQQHQPQRASFSLHHAPPPAAVGAAPAAFAEFSLAELKAATGGFSSDNIVSESGEKAPNLVYKGRLQNRTWIAVKKFTRMAWPDPKQFAEEAWGVGKLRHRRLANLIGYCCDGDERLLVAEYMPNDTLAKHLFHWENQTIEWAMRLRVAFYIAEALEYCSDEGRPLYHDLNAYRVLFDEDGDPCLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIFSFGTVLLDLLSGKRIPPSHALDMIRGKNILLLMDSHLEGNFSTEEATALVDLASRCLQYEPRERPSTKDLVATLAPLQPKSEVPSHVMLGISKEEETPAPQHPLSPMGEACSRMDLTAIHQILVMTHYRDDEGTNELSFQEWTQQMKDMLDARKRGDYAFRDKDFKTAIDCYTQFIDVGTMVSPTVYARRSLCHLMCDQADAALRDGMQAQCVYPDWPTAFYMQAVALAKLNMHSDATDMLNEAAGLEEKRQRGGKGT >DRNTG_03982.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25561188:25564143:-1 gene:DRNTG_03982 transcript:DRNTG_03982.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCLSAKCAAASSPLSSKNQLPNSNTSSSTNSSKTTSTTSVMSSWSSFKGDTAANASLKSFTLNDLKNATRNFRSESFLGEGGFGCVFKGWIDEHTLCPTRPGSGIVVAIKKLKRESFQGHKEWLTEVTYLGQLRHENLVKLIGYCSEGDNKLLVYEYMPRGSLENHLFKRGVQLIPWTTRVNIAVAVARGLTFLHSLEIQVIYRDLKASNVLLDSDFNAKLSDFGLARDGPTGDKTHVSTRVVGTRGYAAPEYIATGLISIKSAYFYLLDFINVHNFFTF >DRNTG_03982.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25561188:25564143:-1 gene:DRNTG_03982 transcript:DRNTG_03982.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCLSAKCAAASSPLSSKNQLPNSNTSSSTNSSKTTSTTSVMSSWSSFKGDTAANASLKSFTLNDLKNATRNFRSESFLGEGGFGCVFKGWIDEHTLCPTRPGSGIVVAIKKLKRESFQGHKEWLTEVTYLGQLRHENLVKLIGYCSEGDNKLLVYEYMPRGSLENHLFKRGVQLIPWTTRVNIAVAVARGLTFLHSLEIQVIYRDLKASNVLLDSDFNAKLSDFGLARDGPTGDKTHVSTRVVGTRGYAAPEYIATGRLNVKSDIYSFGVVLLELLSGRRAVDEDKGSAEEMLVDWAKPFLNDRRKMFRIMDTRLEGQYSKKAAQTIAALALQCLHVDPKNRPDMAEVLVALEQLQTTKEVRTSR >DRNTG_33286.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23833869:23835101:1 gene:DRNTG_33286 transcript:DRNTG_33286.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAPRALALEEHHHLHHHQSTNLFGACYESSYPISHQPLNAFSGPESELTCNASGSRKRSRMPDGAVANSLFSGMKSAALATGLQLSGDQTRMIASAATSTSGRPSAVSPVAKDIVSFLYNQNLEIDALIRLQNERLRSGVEEIRKRHCRAMLSALEDRVAKRMMEKDAELEMARRRNADLEEKLRQLASENQIWFNVARNNEAVVSGLRSTLEQALLQNAAVGVPPVEGVGDSDGGAFAVDDAQSCCFEARIAGGDEEADAERKNKALKRRMECKVCGEREACVLVLPCRHFCLCRDCESFVDTCPVCQSAKNGNLHVFLS >DRNTG_28118.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18777447:18779046:1 gene:DRNTG_28118 transcript:DRNTG_28118.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTALTSWGSVLAEICERWSLDVSLVRVKFITPDRYKMVCPIENEIDFQRMCHVYFIFKCVVLDLVVETDDVPLSNPTENEFLSLKTPSPGHPLLLLLYFFSDEFLLHVSRIFASHHKGCLMIILFWRINQPQSRNKLKYLFFPKSECPHNCLNAENSPAEDDGQAIKRASRLEQEKKVFPYFLIAEDSPEDDDHESSFKTEFKLMRMSCNRRE >DRNTG_08101.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13701079:13703529:-1 gene:DRNTG_08101 transcript:DRNTG_08101.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAHILSVSKDLTPRSTWKKVIGGAFVGTDAARQSKIGDGREGESRGSIVSPFPFVVINPTSFFQEFSEQLYVMTRGNPSILVKGDPEFERTVCRRGKEPVHKQNHSAKLEGEGFLKTWSEQEGQ >DRNTG_12062.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6619079:6623780:-1 gene:DRNTG_12062 transcript:DRNTG_12062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTNLHQHGKHRTIYNRHHQASGRSPPTSSDSPHCEKHSLDHHRSHTHLGHHPRPIQTSIDLGPCRNPPGVQRRTFLGGYRRRYTAAYPPGGDHRPPPSCGPCPWRSPA >DRNTG_12062.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6619079:6623780:-1 gene:DRNTG_12062 transcript:DRNTG_12062.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTNLHQHGKHRTIYNRHHQASGRSPPTSSDSPHCEKHSLDHHRSHTHLGHHPRPIQTSIDLGPCRNPPGVQRRTFLGGYRRRYTAAYPPGGDHRPPPSCGPCPWRSPA >DRNTG_12062.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6619079:6623780:-1 gene:DRNTG_12062 transcript:DRNTG_12062.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTNLHQHGKHRTIYNRHHQASGRSPPTSSDSPHCEKHSLDHHRSHTHLGHHPRPIQTSIDLGPCRNPPGVQRRTFLGGYRRRYTAAYPPGGDHRPPPSCGPCPWRSPA >DRNTG_07787.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1196248:1200033:-1 gene:DRNTG_07787 transcript:DRNTG_07787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEEIEGVEAQRKKKRYHRHTPRQIQELEAVFKECPHPDEKQRMQLSRELSLEPRQIKFWFQNRRTQMKAQHERADNCSLRAENDKIRCENIAIREALKKIMCPTCGSPPVAEDSYFDEQKLRMENARLKEELDRVSTIASKYLGRPITQLPPVQPIPVSSLDLSVGGYGGVQSGLGPSLDLLPGSSSSAMQLGYPSAVSEMEKPIFAELATNAIEELIRMAQTDQPLWIKPGGDGIDVLNLETYERIFSRPGRQYKSPDTRVEASRDSTHVFMNAETIVEMFIDPMKWAEFFSTIVSRARIIEVLTGGIGGTRSGSLVLMHEELQVLSPVVSKREFIFLRYFQQIEQGLWAIADVSVNVSAECQFTTPSRSRKLPSGCLIHEMPNGYSKVTWVEHMEIEDESSTHNMYRDLVESGAAFGAQRWLATLQRMCERFACLMVVGTTQDDIGGGVVPSPEGKRSMMKLAQRMMNNFCTSLSASNGHQWTSLSGLNEVGVRVSVHKSTDPGQPNGVVLSAATSFWLPVPSDIVFSFFKDERTRSQWDVLSNGNTVQEVAHIANGSHPGNCISLLRAFNASQNNMLILQESCTDASGSLVVYAPVDLQGINVVMSGEDPSYVPLLPSGFAIVPDGRAGGASTSSNPMTGSLITVQFQILVSSLPSAKLSAESVNTVNNLISATVQQIKAGLNCSSV >DRNTG_26699.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31299796:31300751:-1 gene:DRNTG_26699 transcript:DRNTG_26699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGGGSGGGSGGGGGGGFHRSEAISAVQDEEQYYGEDDDYDDLYNDVNVGEGFHQTHRPPVPEDHKRTEPPPSLPPPPVNEPQEKVQIPGIVAESKAIERSGGFPVEQVRPPPPPTAVRPDLAVNLVRPGEIQGFAGNVALGGAAGGGFQVNVGNDGFQRQGPVGNTNGAGGGGGGGTTLFVGDLHWWTTDAELEAELAKYGQVKEVRFYDEKASGKSKGYAQVDFFDPMAAAACKEGMHGHMFNGRPCVVAFASPYGVRRLGEAQLNRTQPMAQSQQPANTQKGRGTG >DRNTG_14098.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20725090:20725691:-1 gene:DRNTG_14098 transcript:DRNTG_14098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTVANTMAMPVSQRVTQLAGSGEFFSPFKLNTRSIRSNVKSSNRVEIITASSSINEKAMTGLTAAAMTAALVIPEMAEAAQPGISPSLKNFLLSIVAGGVVLTVIVGAVVAVANFDPVKRS >DRNTG_28385.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18028187:18033117:1 gene:DRNTG_28385 transcript:DRNTG_28385.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UNE3 [Source:Projected from Arabidopsis thaliana (AT2G01110) UniProtKB/TrEMBL;Acc:A0A178VUB7] MGSSGALLSHLGLREFRWRDPAQLQFSSPRNRIATSTSFRPVAAARKPRECRVFCSALQDDVKEQEQQQQQQLGAAGTFIEAKPDNTLKETPENLRKENDGSPIYNFLYPGKELLPDDKEMSIFDHLEELRERIFASILAVGVAMLGCFAFSKELILFLEAPVAVQGVRFLQLSPGEFFFTTLKVSGYCGLLLGSPVILYEIIAFVLPGLTKDERRFLGPIVLGSSVLFYAGIAFSYAVLTPAALKFFVSYAEGAVESLWSIDQYFEFILVLMFSTGLSFQVPVIQLLLGQVGLVSGDQMLSIWRYVVVGAVVAAAVLTPSTDPLTQILLAGPLVGLYFGGAFMVKLIGR >DRNTG_06069.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18058195:18087919:1 gene:DRNTG_06069 transcript:DRNTG_06069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHAAAESVNHLFLHCSFAREVWGFFCGLLGIPEPPREMDSVWLDWRGSVRPELRVAADLVIKALVWNIWLAQNDRIYNAKILPTYCVALNINRMLLSWFDALADNAKARLEDTMTTVKRSLEFLEARSRRERVDAAAEEAPDHSTE >DRNTG_24899.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:367032:368567:-1 gene:DRNTG_24899 transcript:DRNTG_24899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGSSAAGSGPMHDASTTQTYLYQRVDDLLDFSNNDDFPFPSSSSAAVDSHLQPPPEPSAPFSMNEISSSSNHHFSFSNNDQLYIPHCEEEAELEWLSRFVDDSFSDIPYHHVPPVSSPAPPSQSSPSSSSSSSDLAMAEHKAGNAEKSSGRSSSSSSNGRGLGGVKKGASGEVGVRRCTHCASEKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFMLTQHSNSHRKVLELRRQKELMLASAAAAAAAAAAAATGARPEFLLP >DRNTG_24583.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001312.1:12511:14018:1 gene:DRNTG_24583 transcript:DRNTG_24583.1 gene_biotype:protein_coding transcript_biotype:protein_coding STDQTPKLTSIPKDQAFHQIKKIKS >DRNTG_30250.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:265161:271919:1 gene:DRNTG_30250 transcript:DRNTG_30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKLWLAWIMLAFLIVGAKAEAVEELDESGSKPGLDLEHLRSKISALELTIVDNIRVLKDKDDSISSLQKTAHEKLSRIASLESEIEALQKKGSVETQELVQKSDARAVELEKQVENLKNKIEAQNRKKDALESHVAEAEKKAQDMSLKLERLQKTFEEQKHRIQKTERALKVAEEELLRVRFEATSKSKELTEVHEAWLPPWLVSHMDHWQDLTATYWKEKLRPTVNFFLLKVSNKSAEGRKWVEPHLETAKTKWIPAAKQQWTAITASVRPQIQSVCTKSAEFYEASKSRLIPHLIKAQEVADPYFQEAKKISKPYVDQVTTITKPYVEKAQKVLKPYTKRATRTYKKFLKSVTAYHRQVQATVQEKLKQHELTKNFATKELAWFLASGLLALPVFFLYRLLLGACCRKARKPPRNHTSHSHRRHRRRHADN >DRNTG_30250.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:265161:271919:1 gene:DRNTG_30250 transcript:DRNTG_30250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKLWLAWIMLAFLIVGAKAEAVEELDESGSKPGLDLEHLRSKISALELTIVDNIRVLKDKDDSISSLQKTAHEKLSRIASLESEIEALQKKGSVETQELVQKSDARAVELEKQVENLKNKIEAQNRKKDALESHVAEAEKKAQDMSLKLERLQKTFEEQKHRIQKTERALKVAEEELLRVRFEATSKSKELTEVHEAWLPPWLVSHMDHWQDLTATYWKEKLRPTVNFFLLKVSNKSAEGRKWVEPHLETAKTKWIPAAKQQWTAITASVRPQIQSVCTKSAEFYEASKSRLIPHLIKAQEVADPYFQEAKKISKPYVDQVTTITKPYVEKAQKVLKPYTKRATRTYKKFLKSVTAYHRQV >DRNTG_04773.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3702943:3711167:1 gene:DRNTG_04773 transcript:DRNTG_04773.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARLFILDSSCEPTELNDLQKRYSVGQAVEARILSVDKAKKLLRLTLRQSLFAYNQVFNHQSCEDGEENKLSDVNGTECIFQGDIVGGRIKKVMPAVGGLLVQIGQHLHGKVHYTELADMWILHPLSGYQEGQFVKCKVLEISRSSGGPVHVDLSLRASLLAHESNIPPAPMDSCNKRFEKFDDLQPDMEVQGYVKNVTAKGCFLMLSRVIDARILLSNLSDGFVVNPAAEFPIGKLVCGKVLSVDASSKRVDVTLRTDTSTKKSIIDVTVFNKLHVGDVISGQIRRIEPYGLFITILPTNVVGLCHISEFSDDHVDNIDTYYKAGDKVVAKILKVDEDKQRVSLGMKKSYLGGDRDITNGKTVHDEEAVGGKTPNGHPPVDLLENGYTVILETAQTRASVLPLQVSLDDSGALENDEALSRTVANVVDVVSKKNDKRMKKKAKEEREMEIAASEKRYLEKDTPKTVDEFEKLVRSSPNSSFVWIKYMAFMLSLADVHKAREIAERALRTINIRDEGEKLNVWIAYFNLENEYGNPPEESVKKTFQRALQYCDPKKLHLALLGMYERTAQPKLANELLEKMVKKFKNSCKVWIHYVQCLLKQQNDGVQSIVNRALLSLPRNKHIKFITQTAILEFKCGLPDRGRSLFEGVLREYPKRIDLWSVYLDQEIRLGDSEVIRSLFERATSLNLPPKRMKTLFKKYLEYEMAHGDDERIEHVKRKALEA >DRNTG_33832.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:11526379:11533638:1 gene:DRNTG_33832 transcript:DRNTG_33832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSKLDDLPAVALCQKRTQFLADAIRHRYVLADAHASYARSLRSVGVSLHRLLDGAAALPPPSSPVLPLPTQRKGDPLPPSSSPPPPPAAVHHQRHGHGHSHSGSHINFHSDSDSDSDSPLHSPGSSPPHHLHRDVELDSEHEVGPTTYTNLSYARNHPTASSVSYEQPLPPPPQSHYSGYSYPYPYPPPDQSPPSSSSYPSYSYPYAYSSYGGFFGSSSPPPVAMTPPPPPHAASSSKAPPPPPPPPSSSSWDFLNVFESYDNYYPTYTPSRSSKEVREEEGIPDLEDEEHEVVKEAYSDHQKFVGTSSPAKPPAAKVEEIGGLAEEPPYKRGPSEVEDEDEAPVVEKNVVADEVLQSDDRRSAAAPLANLRKYHGISDVAGEIKTQFEKASDTVDELSKMLEVGKLLYHQKNSVIHVSKMMCLMGPSTSQNDELLVYEEDKAMGSGNLSSTLQKLYIWEKKLYEEVMTEEKLRVLRERKVKRLKHLDERGAEAHKVDSTQVEIRKLSTKIRIAIQVVESISKRINKLRDEELWPQINELIQGFVRMWKAMLECHRIQCHAISEVKSLESIASGGKLSDALMDLMLQLELELLEWIGNFSAWVIAQKNYVKALNGWLILCLHYEPEETADGIAPFSPGRLGAPPVFVICNYWAQALDRISEREVVDAMNAFAMNVKYLWEQQNFEQRQRLVAANDIDRWSRTRDRETQTINRAFDALNKKLVLVSGQDGAPVYGQIVSQAHNMEIKGMQLGLRQIFETMENFTGCTVKAYEELNARAEEERIARDNAKLS >DRNTG_14564.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17683366:17687046:1 gene:DRNTG_14564 transcript:DRNTG_14564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLARAAWNLGRARHLPLSNALHSPFQERVLSLISYRGLSTLETSANEGVISPELLGKKVLRPDRIIGQYEDLVTRVTNFHNEDKGFMVLAGDVFDVPIRKDIVHKVVRWQLAKRQQGTHSTKTISEVSGTGRKPYNQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTGEGKLLVFEDLDIPSHKTKNIVNYISQMENTKKVLLVDGGPINDNLKFATGNLHYVNVLPSIGLNVYSILQHDTLVMTQDAVNRIVERMHTPINR >DRNTG_14564.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17683366:17685462:1 gene:DRNTG_14564 transcript:DRNTG_14564.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLARAAWNLGRARHLPLSNALHSPFQERVLSLISYRGLSTLETSANEGVISPELLGKKVLRPDRIIGQYEDLVTRVTNFHNEDKGFMVLAGDVFDVPIRKDIVHKVVRWQLAKRQQGTHSTKTISEVSGTGRKPYNQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTGEGKASFKSYPMSLLF >DRNTG_14564.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17683366:17687046:1 gene:DRNTG_14564 transcript:DRNTG_14564.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLARAAWNLGRARHLPLSNALHSPFQERVLSLISYRGLSTLETSANEGVISPELLGKKVLRPDRIIGQYEDLVTRVTNFHNEDKGFMVLAGDVFDVPIRKDIVHKVVRWQLAKRQQGTHSTKTISEVSGTGRKPYNQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTASGFRRLGHSEP >DRNTG_14564.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17686356:17687046:1 gene:DRNTG_14564 transcript:DRNTG_14564.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENTKKVLLVDGGPINDNLKFATGNLHYVNVLPSIGLNVYSILQHDTLVMTQDAVNRIVERMHTPINR >DRNTG_24017.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16983147:16983467:1 gene:DRNTG_24017 transcript:DRNTG_24017.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDEKLMAEMSEKALSVARPNASAEIAQDMLSLIRVSAP >DRNTG_13685.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:15681362:15683673:1 gene:DRNTG_13685 transcript:DRNTG_13685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMDPSPRRIVDRSPMVVIVCNSSPISVSCPSCTKFF >DRNTG_05367.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8552124:8559152:1 gene:DRNTG_05367 transcript:DRNTG_05367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLGMLATASYAISTQSLFAVHYKPRASQFIVRVNKYYKAVNNGLKIGMRFKMSFAAL >DRNTG_13500.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21871555:21873577:1 gene:DRNTG_13500 transcript:DRNTG_13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPFFLLLFLILLFFNGEAVVMPMNSGTLEHIDPTLPSARAGQEPKCSVLVLRHEFANTLGHPPATANFSQPEECPHPWSRVVLELAVSATDIQKGRMAAVWIDGAEILRMSTPVPMAPGAFWRVEKDVTRYEALLLRLSSVNGGALSMMLENSNKTLPGVYHANVTLHFYRGVFADARQGYTAHPSVKGLYSQPANLIYPISQDCYGNGFWFRINNESHVPVSSIAIPRNTYRAVLEIFASHHSDDEFWYTKPFRSTYSQNKADNHMTTIKGNGAFRQLYATIDGKFVGGHIPFAVIYPGAINPYFWSPVAAIGAFDIPSYDLDITPFLGMLLDGQLHEVGLGVREATSHWLASANLHLWIDPVSDNVEAGLSVYRAPRLRIHRHAEWHDENGSSDVSTDGIMRFAGWVVSSKGNLTTTVRMKVRFRSKVEMHEHGSAKTVEMIHKSRTTLGVRRGVKSLGHSDMFVEAPLHLQTMRVPAPAGGVYEKTRLTHQLKEWVYVDNKEMSGTSAVTDRQEVEGSALLHGGEPVWGSGSMKSSYKYRDAEECHIRVVNTEAGVVKFDATSRSCSAVADV >DRNTG_13944.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21262279:21265264:1 gene:DRNTG_13944 transcript:DRNTG_13944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLIFLVWSPSSSLSCMISQPITVITT >DRNTG_15726.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4283268:4291521:1 gene:DRNTG_15726 transcript:DRNTG_15726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQADQTVISLRPGGGGNRSSRLLVPRFESAAFAAAGGSPADALRPHGAAANLKSGDARFDGGDRVRYTRDQLLQLRENVDVPEAILKVKQGIESELFGEEQNWARGDINIQNQSQSRYSEPDNRDWRGRSGQSPSVGEERNWNDNKDSFASNSRNDINHLSSQFSSRAQVSSNQGPGTTPALIKAEVPWSARRGSLSEKERVLKTVKGILNKLTPEKFDVLKGQLIDSGITSTDILKEVIKLIFDKAVLEPTFCPMYAQLCSDLNEKLPSFPAEEPGGKDITFKRVLLNICQEAFEGSDNLRAEIRKMTDPDQELERKDKERMVKLRTLGNIRLIGELLKQKMVPEKIVHHIVQELLGPDAKVCPEEENVEAICQFFNTIGKQLDESPKSRHFNDSYFNRLKELAKNPQLAPRLKFMVRDVLDLRANKWVPRREEVKAKTISEIHSEAEKNLGLRPGAAANIRNGRNAAAMGSLSPGARPGTGGMMPGMPGARRMPGMPGLDGDDWEVPRTKSMPRGDQSRIQSPLVSKASTTNTRLLPQGSGGLISGKTSALLQGGGVQQARQSNLISGNVEPATQNLSPSMPSNRVTSPAPSPQKASTAPKANPNELRKKTISLLEEYFHIRILDEALECVKELQSAEYHPEVVKEAINLALDKGSSFVDPTVKLLEFLYSKKVFTAQDLRAGCMLYASMLDEIAIDLPKAPVCFGEVLGNLILAGGLAFKTMVEVLNKVEDTFFRSVIFNAIMKTIESSSSGQAITGEQAAEIKVCESLLS >DRNTG_08393.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4653108:4657731:-1 gene:DRNTG_08393 transcript:DRNTG_08393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEDDSESETDESLEEGTEAHDGSTMQKTFIISSSLHKKSVLDILKRIIKKYYTAPWNTWKEVSNDGYLCNWQQLSEKFVKARQDVLAREGQGVQIDDDKLWWDIFGVAKNRCYGMGNLVEEIASDYSHSQSQRSTLGTQQSMPSMPPEVMSKLEFLEKIYEEQKQQNQYIISLLESRGIQVNFEKTARTSHAPARTRESASHASHTLEDVEQPQPIDDIATK >DRNTG_13557.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29436560:29439453:-1 gene:DRNTG_13557 transcript:DRNTG_13557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRTLTDLYDTCTLVLSISDPTTFEEAVKDEEWKLAMNEELSAITQNQTWELVELPDDKQPVGLKWIYKSKYNADGTLHKRKARLVAKGYSQREGIDFEEVFAPVARMETVRMMLAIGAQRRWPIYQLDVKSAFLNGELIEEVYVSQPEGFITKGKEEMVLKLRKALYGLRQAPRAWYSNVDRYFINMGFKRSENEPTLYTKAQGNIDTLILCIYVDDIIYMGSSQEMLCQFKTNMMQTYEMTDLGLLKYFLGLEVKQGNDYIFVSQKKYAEDLLKRANMLQCKPELSPMNVNERLSMNDGSSTANAHIYRRMVGGLMYLTHTRPDLMHSVSLVSRYMHQPTMHHLGAVKRLLRYVGGTTRFGLLYQHTKEFKLSGFTDSDWGGSVDDRKGTSGWIFNLGSAAVAWSSKKQEITALSSTEAEYIAATSTACQAVWMRRLLEDMNEKQHAATIIYCDNKSAIAIAKNPAQHGRTKHIDTRYHFIRGLINEGVIQLIHCNTDNQTADVLTKALPVHKHEKFRDIMGVKVF >DRNTG_25551.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3060749:3062366:-1 gene:DRNTG_25551 transcript:DRNTG_25551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEKSVVMGIVWSLVDVKLLITTILILCSIATFLQLLPSTSSFLSCLSSQPPQPLNFTIPKPPQETLTSSSGVLKRSFNPIGSAAYLFIQMGAYRGGANSFAVVGLGSKPLHVFSKPTFTCVWTPTKDSTINSSKTTVGSKILPDWGYGRVYTVVVVNCSFPEDINVDGSGGQLTIHTTTGAQGDDKVESFVALEESPGSFNVTELTAAPPKYDILYCGSSLYGNLSPQRVREWMAYHARLFGPRAHFVFHDAGGVHPAVLDVLRPWMELGLITLHDIREQEKFDGYYHNQFLVVNDCLHRYRHAAKWMFFFDIDEFLYVEPKATLRSLLDSLSGYTQFTIEQMPMSSELCLSSDSGKTSRLWGFEKLVYRDVKRGVRRDRKYAIQPGNVFATGVHMSQDVIGRTLHKLDGRIKYFHYHGTIAQRREPCRNFVNVTVTDDLVVESTPYVFDDTLRSIVGSVKRYEYKAIGSRLGRTRQ >DRNTG_20084.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:10964894:10989141:1 gene:DRNTG_20084 transcript:DRNTG_20084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSDNAPPQRFTPLHAQLSPGGSSLRPFTLLWPQITQGTEVESITSEGKGDAPVPPLDGHVDGLVESPLLVARDPLSGLGYTSPNRCRRKLSQ >DRNTG_32593.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001867.1:43101:46302:1 gene:DRNTG_32593 transcript:DRNTG_32593.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLFPRENVGHGFSENCALDLSLQRLLVISQPGSGSAGSIEGKYVKNKGESFGSGSGSGSREGEHVKNKGENSNYSSSSNSLPPPSPEDRNRGRDNKKKYQELMHSWASVVGRRRDMEDGLTIATSFIKGYDFYGVFDGHGGAKVAHFCHERMHLAVAEEVSGVEEVNWELVMTSSFTKVDREVTAVPGHHNIGSTALVAVVGPKKIVVANCGDCRAVLSSGGVAVPLSVDHKMDLFTVKPDRADELERIEAIGGKVINWNGFRVLGVLATSRSIGDNYLKPCVIPNPEVMIVERSEKDEFIILASDGFWDVVSNEVACRVARRYLTQCNSVVAVEPERRNTLNEAATVLSQLAISQGSCDNITVIVVELKRLDLRRPRRTI >DRNTG_11118.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30514865:30518045:-1 gene:DRNTG_11118 transcript:DRNTG_11118.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carotenoid cleavage dioxygenase 8 [Source:Projected from Arabidopsis thaliana (AT4G32810) TAIR;Acc:AT4G32810] MASLAFSPANKAPVSSPVRRETSITHRRGFAKASLPWWRKQRSSESQRVNFATETLVSAPPVTTEDVDHSGKLAAWTSIQRERWEGELVVEGNIPLWLKGTYLRNGPGLWHIDDYNFRHLFDGYATLVRLSFNNGHLIFGHRQIESAAYKAAMKNRRLCYREFSEVPKPDSFLSYVGELASLFSGTSLTDNANTGVVKLGDGRVVCLTETIKGSIQINPDTLDTIGKFEYNDNLGGLIHSAHPIVTETEFVTLLPDLVRPGYTVVRMEPGSNERKVIGRVNCRGGPAPGWVHSFPVTEHYVIVPEMPLRYCAQNLLKAEPTPLYKFEWHPEGGSYVHVVCKASGRLVASVEVPLYLTFHFINAYEEVDEDGRVVAVIADCCEHNADTSILDKLRLHNLRSYTGIDTLPDARVGRFRIPLDGTPVGELKAALDPEEHGRGMDMCSINPVYLGKKYRYAYASGARRPCNFPNTLTKIDLVKKKAKNWHEEGAVPSEPLFVARPGAVEEDDGVVISMISCKNGEGYALILDGSSFEEIARAKFPYGLPYGLHGCWVPKE >DRNTG_29892.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4880988:4883265:1 gene:DRNTG_29892 transcript:DRNTG_29892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFLLLLLISIPFASPDPVLLDRYDAQLRRLESLVDSLSHAVARLESSLASPVPIRQHEMPSPTATGVAVTKLKPAWSDRFQFSAAARLESDPTCAAVLPYEDLEGISKYFAVGDSHGRVYVFSSSGDVLMELPSPSDSPVTAMLSYLSSRRNDSLLFAGHADGSLVAHRLSETIGAGDEWPSLSVGNTRPFVRAAARELDSPPVLGLELHQAGRARYVLASDAGGRIRVFTENGTLYGTAIASSRPLAFAKHRLLFLTETGAGSLDLRSMVIREVDCEGLNGTLASAYSFDVLERAKAYGFTSGGDLIHVVLLGDVANLKCRVRAIRKAEVQGPVCIQAIRGYLLAVSQDNVFVYNVSSQYYGRAGAPRPLFSVTIQEVKSLFLNSDSVQDGSFVEIKPLIAADREKLVVLGLGGGYIGIYKSNFPVFKAESNAVVWSAPSLLFLLFLIGIWQFYVKKKDSLGWVPDDSFNTTGVGSSSGLLSTGATDRAFGDASRPAERRELRGGTLRGPSRRYVSPPSRYPGGSGIPYRPASADPGFRGPSELKYRAQSIEPSGFAKRREPLFPAAQVSEDHID >DRNTG_05288.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16743468:16744733:1 gene:DRNTG_05288 transcript:DRNTG_05288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPYGCPNSKDTTTSSVNVRITGSRIDNGLLQLLTSISVSISLTNSSPRCTILSTLRSTNRDCPNTSLDSHSNRALDSRARKIQYVPILVKSLEGPYLLASSNVIPYPRHPQGQQTPMWLLSHRENHLSVPICPCGNSTWAWTDTSVYVCKPQVHGCRSNNPR >DRNTG_03703.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:12961502:12962625:1 gene:DRNTG_03703 transcript:DRNTG_03703.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLCRENASVQIIASTTSQSEPGEESFTGADACPCVS >DRNTG_33614.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22391192:22393165:1 gene:DRNTG_33614 transcript:DRNTG_33614.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRLAFDFHKLPAPWWFVCALMGFGVLMCLIAFIGHVAAEVISGCCLCFYAALVTILILFETGLVADLIYNKRWEADLPYDTTGELSRLSAFIEENLDIVKWAVMTVVIIQSLSLLLALVVRVMIPTRREYYDSDEDFLVLRRPLLNPQPIPTYAPHSVENPGHQPDMWSSRMRQKVCLSLP >DRNTG_16137.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:15699686:15703524:1 gene:DRNTG_16137 transcript:DRNTG_16137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHLGRRSYHLESVKATLEATLERATFEDFMMSNSIVPMVELIPPNDSFIVTYEEEMSKSDNSIRLVQQNKEEIDPIEGSDSGSSRYEIHAMEECMDSGSSMEEDSGGALERLYGSDIAHRLEDGYWESFRRHRSGEVYPRPDKESLATSRGLSTRPSTQPLRGFLYGFIAFTFDFFDCT >DRNTG_19473.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15400071:15406528:1 gene:DRNTG_19473 transcript:DRNTG_19473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREETPTLDFHLTLLKTSGTDGVSAIVSGGRGTVVGFGIIEGTVAESLFDAAGIRSLPGYSLLAMIMAPDSSWANASYLRIPYLNSAHESLAVFEVEGMMSAYILDCWRESECYNVARPVPLGEQDVQDLFTHYMKSNSVVPTVELIPPVLSSLPTHKEERSKSDDSVVLV >DRNTG_18086.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:293514:296820:-1 gene:DRNTG_18086 transcript:DRNTG_18086.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L3-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G17465) UniProtKB/Swiss-Prot;Acc:Q9LRN8] MSAASRGLLFRLSLSAKPSPALFSRWFSAETLLAGGDDEVEGSFGGRIIEAKPRVMRPDSKRTGVIGIKCGMTALWDKWGARIPITVLWIDDNVVCQVKTPDKDCTTALQIGAGQKKEKHLRKPEVGHYRAQGVPLKRKLREFPVTEDALLPVGTPITVRHFIPGQYVDVTGITMGKGFQGGMKRWGFSGMPASHGASLSHRSIGSTGQRDAPGRVFKGKKMPGRMGGKQCTVKNVWVYKIEPGRNLMWVKGQVPGAEGNFVFIKDAVYAKPDSSKLPFPTHFIPEGEETSELEPLVADLGEIDPFMVTD >DRNTG_22871.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4283122:4289234:1 gene:DRNTG_22871 transcript:DRNTG_22871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELVFGVLDHLCSCADGRAQFLNHVAGIAIISNIILRVSSGADDRAVRILSSVCKYSATNDVLQEMLRVGAVSKLCFLLQANCSNYVRERTRWILKVHSGVWKKSPCIIDYLLTSMVTRARAVDDQLAAMAEQLTRHDSVFMKLDTLCSTIQHHSESLEILRQSNTESFDLLCSSLASQQTVMAEMMVKL >DRNTG_34252.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28122006:28123599:-1 gene:DRNTG_34252 transcript:DRNTG_34252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDGYDPDIVTHNIIINGLCRAARCDDALHLFNRLLRPNLVSWTTIIVGYSRCANHEAALHLFRRMMITAISDSSVLPDHDTLSCVISSCRHVSCLRNGRAIHCYGIKSCKPDPEAFYSAAGAALVVMYAGGGEITAAERVFRLMDHDDVVTWNAMIVGFARVGKADVAMEHFKEMQVRGIGHDQTTLATVLTVCDLKRGREIHALRLRNYYESDTLLCDNALMCMYSRLGCIDHASSIFRTMSVKDLVSWNTMIGGCGSHGRAKDSIKLFHDMVKSGVKPNMITMTNVLMACSHGGLVDEGMKLIKCAVREYDVVVTSEQCACVVDMLSRAGRFEEVVELAGEIEGGGGSVWGAMLAACEVYESVEFGKVAFDALVRLEPENAGNYVTMASIYCKAGMWDEAKKVRRMIDGKGLVKPAGNSWIHVGAIK >DRNTG_21847.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17659382:17661418:1 gene:DRNTG_21847 transcript:DRNTG_21847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLLIYLKVLVLIVLLVNTWNVADCYDPLDPNGNISITIDIYQWTPDGYQARVTIQNYYQYRHVEKPGWALGWRWINKEVIWSMTGAIATHQGDCSNFTTRVPYCCKENPVLIDLTPEAPPETRTANCCRSGVLTASAIDMPNSLSYFDMIIGHLDGKPNVQIPHNLTLLAPSIGYTCSPLVDSTPTTVIVDGGLRKEQAFRTWKSACTYSSFVAKKSPACCVSLSTFYNTRITPCPACSCDCGTVGNVPPQCTGEGSVKPPLERDIQLNRYIGCTDHMCAVRVHWHVKSNYKGHWRVKVTVSNYDFVNNHSNWNLVIQHPSFNQSLQTFSFNYTKLPTSAGTDEAALFWGLNYYNTMLVHADEKDPGSVTTDVLMNKDLESFTLSNGWAFPRRIYFNGDNCQMPMPDEFPALPNSGLRPSNFQHILFLIIFLIFHGV >DRNTG_33361.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26529815:26530187:-1 gene:DRNTG_33361 transcript:DRNTG_33361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLLLRRFLASSRTPHHLTNHDHTNTHTQTRKE >DRNTG_32890.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001930.1:2952:5959:1 gene:DRNTG_32890 transcript:DRNTG_32890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRSGSPPKALSRTTSPYASSLVQEKRIGEIVLKAMGQAISKTVAIAEILKKRIPGLHQDTKISSVSITDVWEPIEEGLVPLEMTRHVSMISISLSTRELSKNSPG >DRNTG_08598.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30358494:30358771:1 gene:DRNTG_08598 transcript:DRNTG_08598.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVTDLKNSLKRRTINGDGDDGSVKEMRTRSMKAVSVLQDNYPELVHKNIFVNVSFGYYVYNALV >DRNTG_26737.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:27563497:27566639:1 gene:DRNTG_26737 transcript:DRNTG_26737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERSQCGSQCTDFGGNLAKVQTRRHSELQQTAVVNTVEMTVSENPHGRVENNHAHMEIPHGGVEHPRPCSRPIPALFKADSAPILVVNALKSKKEAKIGYKDTMSGVLVQYKDQDTRGVHKRDCKTSQRYVDEEKFYSLPHGRVPGHVAPREECLDLVEIPAAHTGMYCSHQVLFRLRAFLFSLKGRAKQWLHSLPKASITTCNEMVEAFLFRYFPPGKSAKPYNEILSFV >DRNTG_33726.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1282708:1283134:1 gene:DRNTG_33726 transcript:DRNTG_33726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRPGNNNSVECAVCLESFEPGVKCRMLPVCKHSFHARCLDSWLVRTPACPVCRTTAEHPQVESKAAAGDSCDS >DRNTG_30318.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1111378:1112090:1 gene:DRNTG_30318 transcript:DRNTG_30318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSPVEIGARGTIAFLVSKEIEYFQKQKHGINHQEGSHKQKTVIEEGASTSGSSIATKSKKKKASVSKAFLPSICSAVDINNSAVRVERIVGISNNNRMRSDGNKLPQI >DRNTG_05058.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3561511:3571082:1 gene:DRNTG_05058 transcript:DRNTG_05058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIKGHHLEEGEKAKTPFFGRVWLEAWEDQELELKGKASSSKEEKHSASLGLEEASFGWHSLSSSSFRLGSGTGTPWMTRGYDSGEKEKKTRVRIWIMAYSLNATRYRARDDHCSYNRRDLLDGVCLPQIVSILSSIASGIALRMEAIGSGIALSIVLMMGVIGSGIALGMEAIKGV >DRNTG_24248.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19938430:19940226:-1 gene:DRNTG_24248 transcript:DRNTG_24248.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSGFSTGAAGNPNPNKSVEVTPSPNDSVSSLSFSPKGNYLVATSWDNQVRCWEVMGGSSQPKASISHDQPVLCSAWKDDGTTVFSGGCDKQVKMWPLMSGGQATTVAAHDAPIKEVAWIEQMNLLVTGSWDKTLRHEHSY >DRNTG_24248.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19938430:19940226:-1 gene:DRNTG_24248 transcript:DRNTG_24248.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSGFSTGAAGNPNPNKSVEVTPSPNDSVSSLSFSPKGNYLVATSWDNQVRCWEVMGGSSQPKASISHDQPVLCSAWKDDGTTVFSGGCDKQVKMWPLMSGGQATTVAAHDAPIKEVAWIEQMNLLVTGSWDKTLRHEHSY >DRNTG_24248.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19934759:19940226:-1 gene:DRNTG_24248 transcript:DRNTG_24248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSGFSTGAAGNPNPNKSVEVTPSPNDSVSSLSFSPKGNYLVATSWDNQVRCWEVMGGSSQPKASISHDQPVLCSAWKDDGTTVFSGGCDKQVKMWPLMSGGQATTVAAHDAPIKEVAWIEQMNLLVTGSWDKTLRYWDTRQAQPVHTQQLPERCYALTVRYPLMVVGTADRNLIVFNLQNPQTEFKRVVSPLKYQTRCVAAFPDQQGFLVGSIEGRVGVQHLDDAQQSKNFTFKCHREGTEIYSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAMSRCSSPIPCSTFNHDGTIFAYAVCYDWSKGAEFHNPATSKTHIYLHNPQESEVKPKPRVGNGGRK >DRNTG_24248.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19934759:19938310:-1 gene:DRNTG_24248 transcript:DRNTG_24248.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGTADRNLIVFNLQNPQTEFKRVVSPLKYQTRCVAAFPDQQGFLVGSIEGRVGVQHLDDAQQSKNFTFKCHREGTEIYSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAMSRCSSPIPCSTFNHDGTIFAYAVCYDWSKGAEFHNPATSKTHIYLHNPQESEVKPKPRVGNGGRK >DRNTG_34452.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18306386:18308493:-1 gene:DRNTG_34452 transcript:DRNTG_34452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKTMLAVQYDGYGAGADGLKHVSVPIPSPKKYEILLKLDAVAINPIDWKIQKGMLRPFMPPKFPFIPVVDVTGEVIEVGPGVNSFKPGDRVISLLDFKNAGGLAEYAVAPIDFTVHRPPEIPAAEAAGLPAAACTALQALKTAGVTFDKPSQLKNILITAASGGVGLFAVQLAKVANLHVTATCGARNIELVKSLGADEVLDYKTSEGAELKSPSGKKYDGVIHCATGIAWSVFEPNLSTYGKVVDINPTLKSMLFSVVKKVTLSKKKLVTMSLTAKADELKFIVELANEGKLKTVIDSEHSMRNARDAWTKSIDGHATGKIIVQINVE >DRNTG_18153.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:663115:664278:-1 gene:DRNTG_18153 transcript:DRNTG_18153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKNPSHGSDVENPSNGAELDSSCSTPYVSAPSSPGRGPVPSYFFSAPASPMHYVLSSISSSSPPSSETDAETSVSGAGSFEFEFSTRGSMISADELFLNGQIRPMRLASHLQRPQALTPLLDEDEDDDDREGELVSGARGRGLRLRSGSIHRRTRSLSPLRNPRFPWTEDEDEDEPEPEPANRASNLETDPDPKRIEDPIPSSASASSSRSSSSGRNSKRWIFLKDLLYRSKSEGRENGKEKDKFWLSISFSPSKEKSKPSPSPAAKRVPPPAPAPASSRRPSAHERHYTANRAQAEEMRRRTFLPYRQGLLGCLGISSRGYGAVTGLAKTLNPVSSR >DRNTG_16701.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2545388:2547520:1 gene:DRNTG_16701 transcript:DRNTG_16701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHIVPTKIIDCKFFLMFLQYYLKALFHFNKDQFADLLLIVGIAGAISQLILMPLLAPLGEEKLLNIGLLAGCANIFLYSVAWSSWVPYLASSFVVLSVFTHPCIRSIVSKKVGSDEQGMAQGCITGIASVASIFIPISVHSFNRIIFIGQSTFQF >DRNTG_16548.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8653012:8653849:-1 gene:DRNTG_16548 transcript:DRNTG_16548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHLQLRASDGIPLSDPSRYRHLVGSLVYLAVTRPDISHAVHILSQFVAAPTSVHYAHLIRLQAYSDATWASSPDDRVSVTGYCIFLGSSLVVWKTKKQPTIAKSSAEAEVRALASTVQEVLWLRSILQDFGVPITSPIPIHCDSTGALQIAADPVKHELTKHIGVDAHFTRCHVRAQTVSLHYLPTEVQVADFFTKAQTRDHHLFMLSKLKTHDPP >DRNTG_32263.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:7180099:7181278:-1 gene:DRNTG_32263 transcript:DRNTG_32263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNPSILIKGGPELERVLRRNSKEPVQEPLNLAKVEVELTDNIVEQNKQRRILSDYARPSGFERYWRGFWRGFLASRSGSTWKKVIGRAFIDTDP >DRNTG_06009.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11971032:11973110:-1 gene:DRNTG_06009 transcript:DRNTG_06009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLMNCDNLTEDLQNSSAVTLPIEEHIILTCRAEEAEEASKKKIEAALLRVEEAN >DRNTG_30586.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3654556:3655383:1 gene:DRNTG_30586 transcript:DRNTG_30586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLDDEEHVVFPEVDDEEDERDEVDDREDDEDEDEDGEIEPSSAPLPPSVPVTDLGTMDPNLGMIPNPNSIAIHVAVSAVENGSVQVQPVLSDVATEDLTTPTSEERHQPDRQRRQRFLL >DRNTG_05629.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6447207:6451920:-1 gene:DRNTG_05629 transcript:DRNTG_05629.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPHVDFGLKLLGADVMSIPGLYRFVQETIKTQVANMYLWPKALEISIIDPSSALKKPVGILLVKVIRAQNLMGKSDPYVKLKLTEDNFPVKQTSVKHSNLIQSGMRNSSWWSRIPSLKLWNSVFMTGNRHALHSRYKLCS >DRNTG_05629.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6447207:6451920:-1 gene:DRNTG_05629 transcript:DRNTG_05629.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPHVDFGLKLLGADVMSIPGLYRFVQETIKTQVANMYLWPKALEISIIDPSSALKKPVGILLVKVIRAQNLMGKSDPYVKLKLTEDNFPVKQTSVKHSNLIQSGMRNSSWWSRIPSLKLWNSVFMTGNRLVNMIEWG >DRNTG_05629.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6447207:6451920:-1 gene:DRNTG_05629 transcript:DRNTG_05629.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILHHWRTCFLRFPYGLKIQILIEYVHKVDWLNKFLETLWPFLDKAVCNIAKEVTKPIIAENTAKYKIDSVEFETLTLGSLPLTFQGIKAYITDEKELILEPFLKWGSNPNVTVAVKAYGLKATLQVVDLQVFAAPRITLKPLVPSFPCFAKILVSLMEKPHVDFGLKLLGADVMSIPGLYRFVQETIKTQVANMYLWPKALEISIIDPSSALKKPVGILLVKVIRAQNLMGKSDPYVKLKLTEDNFPVKQTSVKHSNLIQSGMRNSSWWSRIPSLKLWNSVFMTGNRLVNMIEWG >DRNTG_05629.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6447207:6449460:-1 gene:DRNTG_05629 transcript:DRNTG_05629.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPHVDFGLKLLGADVMSIPGLYRFVQETIKTQVANMYLWPKALEISIIDPSSALKKPVGILLVKVIRAQNLMGKSDPYVKLKLTEDNFPVKQTSVKHSNLIQSGMRNSSWWSRIPSLKLWNSVFMTGNRHALHSRYKLCS >DRNTG_30834.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:546605:551862:-1 gene:DRNTG_30834 transcript:DRNTG_30834.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CW7 [Source:Projected from Arabidopsis thaliana (AT1G59520) TAIR;Acc:AT1G59520] MAAKEITISSGFSDDDSPSRCELLSMVRKHSRSLVEARGDDEETSDVEMDSRFWNRVFDLYFVRGRVSKGREEDDMIFFVKNMSMDAYGFNDSMEGAPPFFVRRWAPELEKVFGENPTDVDWRRSFFLNLIAHTSFSVTVAICSIQDLRKHQEGENLEISPIYKVVKTVYASPSRVNFQLDFKKAVETLPAYPNICFAVDDFDDTFDAVVLTETDHCYCVLLNAHGGAALPAESGLVDNDRQAKKAKITLFSGFVSYPMIREAYEAGRSRFGSFLSIGHSPAKTDRIYMRGPGGRGEVEVAVSGIAG >DRNTG_30834.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:544863:551862:-1 gene:DRNTG_30834 transcript:DRNTG_30834.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CW7 [Source:Projected from Arabidopsis thaliana (AT1G59520) TAIR;Acc:AT1G59520] MAAKEITISSGFSDDDSPSRCELLSMVRKHSRSLVEARGDDEETSDVEMDSRFWNRVFDLYFVRGRVSKGREEDDMIFFVKNMSMDAYGFNDSMEGAPPFFVRRWAPELEKVFGENPTDVDWRRSFFLNLIAHTSFSVTVAICSIQDLRKHQEGENLEISPIYKVVKTVYASPSRVNFQLDFKKAVETLPAYPNICFAVDDFDDTFDAVVLTETDHCYCVLLNAHGGAALPAESGLVDNDRQAKKAKITLFSGFVSYPMIREAYEAGRSRFGSFLSIGHSPAKTDRIYMRGPGGRGEVEVAVSGIADQSHQISGPPSPLQLSKKGLGIGLGTVVHKAAEAASVLAKQAYAAASSTRKSDVDLIPLKCCLMSIALPWDYIAHDLLFKENPPVNM >DRNTG_30834.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:544863:551862:-1 gene:DRNTG_30834 transcript:DRNTG_30834.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CW7 [Source:Projected from Arabidopsis thaliana (AT1G59520) TAIR;Acc:AT1G59520] MAAKEITISSGFSDDDSPSRCELLSMVRKHSRSLVEARGDDEETSDVEMDSRFWNRVFDLYFVRGRVSKGREEDDMIFFVKNMSMDAYGFNDSMEGAPPFFVRRWAPELEKVFGENPTDVDWRRSFFLNLIAHTSFSVTVAICSIQDLRKHQEGENLEISPIYKVVKTVYASPSRVNFQLDFKKAVETLPAYPNICFAVDDFDDTFDAVVLTETDHCYCVLLNAHGGAALPAESGLVDNDRQAKKAKITLFSGFVSYPMIREAYEAGRSRFGSFLSIGHSPAKTDRIYMRGPGGRGEVEVAVSGIADQSHQISGPPSPLQLSKKGLGIGLGTVVHKAAEAASVLAKQAYAAASSTRKSDVDLIPLKCCLMSIALPWDYIAHDLLFKENPPVNM >DRNTG_27210.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:798196:801897:-1 gene:DRNTG_27210 transcript:DRNTG_27210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTPTNQQHLCRVGFSSGDEPVGNLGETANSFGFGGTGKFSNAGKFSEYGTKFGLGDTIVCSVNLESEVATIGFSKNGEWLGIAKKFGVACSQRELPSEFGLFPHVMLKNVVVQLQFSIEDGLIPEVGYKPWSSALEDGNAAVGPSFSSPSECEVVMMVGLPASGKTTWAENWVKHHPEKHYVVLGTNLVLDQMKVPGLLRKQNYGKRFDCLMDRATGIFNELLSRASKTPHNYIIDQTNVYQSARIRKLKAFVKYRKIAVVTFPAPSELKLRTDKRFREMRKEVPADAVNEMLANFVLPMSKDTPGSSELFDEVIFPELSREETQKCLTEMKQALGTPVLSARQDLLPYAQECSVQSFTRPSVIKEAGLAPRGHMRAIRSPTILANDDSRVPPHDKMAFFIPASHAVHHHPRFDDPSLSCPNYGPYEVCYKHGESSHGVVAYSNHDSFDPYGRNAPERNSIGMKETGPFRSLGVTDGFSKSYFGSSTSRQNYEYSNAPDPYGGQHVHARASGFPQINHQALARPSFIPHSPLQSNYDGLRRPNFDGPVNGFNYAHIQDARIPQLNHQDVWQSSYQENISLQRPYNAVASHALPPIQPPSGTPLLNTPFHNSWRPHPRFC >DRNTG_27210.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:798196:802353:-1 gene:DRNTG_27210 transcript:DRNTG_27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQHRPPPEVREEGPGTKKTRAGSTTDKRPGGTRRVELNPADCDLDFDVTGNGLQGQALYKNGFAYCWSGARATVGISRGKYCFGCKIICEQEVEMSDTPTNQQHLCRVGFSSGDEPVGNLGETANSFGFGGTGKFSNAGKFSEYGTKFGLGDTIVCSVNLESEVATIGFSKNGEWLGIAKKFGVACSQRELPSEFGLFPHVMLKNVVVQLQFSIEDGLIPEVGYKPWSSALEDGNAAVGPSFSSPSECEVVMMVGLPASGKTTWAENWVKHHPEKHYVVLGTNLVLDQMKVPGLLRKQNYGKRFDCLMDRATGIFNELLSRASKTPHNYIIDQTNVYQSARIRKLKAFVKYRKIAVVTFPAPSELKLRTDKRFREMRKEVPADAVNEMLANFVLPMSKDTPGSSELFDEVIFPELSREETQKCLTEMKQALGTPVLSARQDLLPYAQECSVQSFTRPSVIKEAGLAPRGHMRAIRSPTILANDDSRVPPHDKMAFFIPASHAVHHHPRFDDPSLSCPNYGPYEVCYKHGESSHGVVAYSNHDSFDPYGRNAPERNSIGMKETGPFRSLGVTDGFSKSYFGSSTSRQNYEYSNAPDPYGGQHVHARASGFPQINHQALARPSFIPHSPLQSNYDGLRRPNFDGPVNGFNYAHIQDARIPQLNHQDVWQSSYQENISLQRPYNAVASHALPPIQPPSGTPLLNTPFHNSWRPHPRFC >DRNTG_07626.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000344.1:6769:10342:1 gene:DRNTG_07626 transcript:DRNTG_07626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQPACRLHTINGKEEPFPWTTTPRRPHPPPPPTPHLLLVYDIRWKDSPVVDDGQAIKQAF >DRNTG_05838.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31635901:31636856:1 gene:DRNTG_05838 transcript:DRNTG_05838.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQTQAVSKPPKRDRSIVMSLEKEEQVKPLALNPALAVSPTVHPIGHSHDEEAARWWKSSQYLRKRRCFIWCCGCCGALVVILGVIILILALTLFKVKDPRLTMNSIHIDTLNFGSRDVNDPTNLADQISFNATLRADISLKNPNIASFKFDKSTTMFYYQGETVGVAYAPDGNIGSHSTARMNVTVDVMADQVAKTRFNFSLVGTSVNLTSFTDIYGRVNVWGIYKRDIEILLNCSMTVDLNIVGQDAKNKVCQANVR >DRNTG_05838.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31635763:31637158:1 gene:DRNTG_05838 transcript:DRNTG_05838.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQTQAVSKPPKRDRSIVMSLEKEEQVKPLALNPALAVSPTVHPIGHSHDEEAARWWKSSQYLRKRRCFIWCCGCCGALVVILGVIILILALTLFKVKDPRLTMNSIHIDTLNFGSRDVNDPTNLADQISFNATLRADISLKNPNIASFKFDKSTTMFYYQGETVGVAYAPDGNIGSHSTARMNVTVDVMADQVAKTRFNFSLVGTSVNLTSFTDIYGRVNVWGIYKRDIEILLNCSMTVDLNIVGQDAKNKVCQANVR >DRNTG_05838.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31635531:31637158:1 gene:DRNTG_05838 transcript:DRNTG_05838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQTQAVSKPPKRDRSIVMSLEKEEQVKPLALNPALAVSPTVHPIGHSHDEEAARWWKSSQYLRKRRCFIWCCGCCGALVVILGVIILILALTLFKVKDPRLTMNSIHIDTLNFGSRDVNDPTNLADQISFNATLRADISLKNPNIASFKFDKSTTMFYYQGETVGVAYAPDGNIGSHSTARMNVTVDVMADQVAKTRFNFSLVGTSVNLTSFTDIYGRVNVWGIYKRDIEILLNCSMTVDLNIVGQDAKNKVCQANVR >DRNTG_05838.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31635763:31636856:1 gene:DRNTG_05838 transcript:DRNTG_05838.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQTQAVSKPPKRDRSIVMSLEKEEQVKPLALNPALAVSPTVHPIGHSHDEEAARWWKSSQYLRKRRCFIWCCGCCGALVVILGVIILILALTLFKVKDPRLTMNSIHIDTLNFGSRDVNDPTNLADQISFNATLRADISLKNPNIASFKFDKSTTMFYYQGETVGVAYAPDGNIGSHSTARMNVTVDVMADQVAKTRFNFSLVGTSVNLTSFTDIYGRVNVWGIYKRDIEILLNCSMTVDLNIVGQDAKNKVCQANVR >DRNTG_22159.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3830309:3830616:-1 gene:DRNTG_22159 transcript:DRNTG_22159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTRWLSWNVSLAHWKLFNGRLPRRRINPRDIVPAQVARIWSVSQATTKDASSGTLPNKRQTRVC >DRNTG_25830.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7368836:7371114:1 gene:DRNTG_25830 transcript:DRNTG_25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G41350) UniProtKB/Swiss-Prot;Acc:F4IK01] MQKNKTFSKFPPDANQVPKSFAMESMGDLVSALDSSPAISAGDPSAASKGSATSDAARISEVRSWLAAQFEAAGREVPAFDYTPRVVSHLHAMATLSTARSRADAIVAADLRLKASEYRAQAARIREVLDAAGLSHERLTPVALNAAQDLACVANLLNIRDTELSSYLLKLDGKMCSFVVAMAELSLRKADVEEKRAKVQKESKVLLEYTRKAIAKLNDLKKTLVKFENEVPLHDAQMLQWQTNLAIMDSKERQYNLQHANYKALLKRVGYTPEINHGVLMEMAEHRKDLEKKTKPILDTLRSYQDLPPDKALAALAIEEKKRQYAAAEKYLEDVLHSALTTPEI >DRNTG_21162.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2194487:2200585:-1 gene:DRNTG_21162 transcript:DRNTG_21162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGFDYSFKVLLIGDSGVGKSSLLVSFISNHVDDLAPTIGVDFKIKSLTVAGKRLKLTIWDTAGQERFRTLTGSYYRGAQGIILVYDVTRRETFTNLSDEWAKEIELYSTNQDCVKVLVGNKVDQEADRMVSREEGIALAQEYGCLFLECSAKTRENVEKCFEELALKILEVPSLLEEGSSVGKRNILKQKQENHPTQSRGCCS >DRNTG_33011.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26187160:26190054:1 gene:DRNTG_33011 transcript:DRNTG_33011.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVASWGNTPLVTVDPEIHDLIEHEKRRQSHGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEFIDEIENLCRSRALQAFHLDPAKWGVNVQPYSGSPANFAAYTALLQPHDRIMGLDLPSGGHLTHGYYTAGGKKISATSIYFESLPYKVSPVTGYIDYDKLEEKALDFRPKLIICGGSAYPRDWDYARFRSIADKVGALLLCDMAHISGLVAAQEAADPFEFCDVVTTTTHKSLRGPRSGMIFYRKGPKPPKKGQPEDAVYDYEDKINFAVFPSLQGGPHNHQIAALAVALKQAMTPGFKAYAKQVKANAVALGKFLMNKGYKIVTDGTENHLVLWDLRPLGLTGNKVEKLCDLCNITVNKNAVFGDSSALAPGGVRIGAPAMTSRGLVENDFEQIGEFLHQAVTLTLSIQKEHGKLLKDFNKGLVNNKDIENLKASVEKFSASFDMPGFQMSEMKYKE >DRNTG_25084.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:678911:681716:1 gene:DRNTG_25084 transcript:DRNTG_25084.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFMDPKSLEKELFIDLESGKHAVVNEVLSEDSCSSVELGRQIPNKFCSEVASDSGLLKGEEEHTSVQYYSLSLSDGSSKNVDDIGKKSHGGEEKMVHLKKAGTEKPRKKKCKKPPRPPRPPSLDSTEQKFAKEISELAMLKRARIERMKALKNMKNAKQAASNNSLCALVITVLFCLVIVWQGVFSRDVSSLSFHGSPESSHEAGASLISVQFYKNVSASPNIVEQAYGVDVHKGAESDGH >DRNTG_25084.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:678911:681716:1 gene:DRNTG_25084 transcript:DRNTG_25084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFMDPKSLEKELFIDLESGKHAVVNEVLSEDSCSSVELGRQIPNKFCSEVASDSGLLKGEEEHTSVQYYSLSLSDGSSKNVDDIGKKSHGGEEKMVHLKKAGTEKPRKKKCKKPPRPPRPPSLDSTEQKFAKEISELAMLKRARIERMKALKNMKNAKQAASNNSLCALVITVLFCLVIVWQGVFSRDVSSLSFHGSPESSHEAGASLISVQFYKNVSASPNIVEQAYGVDVHKGAESDGH >DRNTG_06726.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4821274:4821676:-1 gene:DRNTG_06726 transcript:DRNTG_06726.5 gene_biotype:protein_coding transcript_biotype:protein_coding KKKFSDKSYNQENKKKHKLNIKYSSNSRKTDILLQFLTISARRVIRKECVGLPFRTSPSLPRSLETRSRKQKP >DRNTG_06726.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4819063:4822868:-1 gene:DRNTG_06726 transcript:DRNTG_06726.1 gene_biotype:protein_coding transcript_biotype:protein_coding RIRGWAMPASQRSSREDDVVSDVAV >DRNTG_06726.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4819063:4820465:-1 gene:DRNTG_06726 transcript:DRNTG_06726.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLKNNHHRISLSQIAMHQSHNSRKISIPRMHTI >DRNTG_06726.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4819063:4821676:-1 gene:DRNTG_06726 transcript:DRNTG_06726.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLKNNHHRISLSQIAMHQSHNSRKISIPRMHTI >DRNTG_06726.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4819063:4820465:-1 gene:DRNTG_06726 transcript:DRNTG_06726.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISQNNQRLIQKLEALNESQRHL >DRNTG_24691.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19189070:19192248:1 gene:DRNTG_24691 transcript:DRNTG_24691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTHHGQWTFRQFGNPLRGFFSKKPYLSPELLSLLHVFEQSLAESLRKLMAVDNKDVLSLSWMRVAMDTLCTIHDSLKTLITDLKFPSSDWDNKWINMYLEDSVKLLDICIALISEVSRLDQCQLWLRYSVHLLDPANKYPPEKAKKAHTCLQDWMQKIRSSSPKLESCPATLQVLGGNLYFGKVKDSGKGEILGRALYGLKVVTVFICSLLVAAFSGHSKPLLLDLDVPRKFTWSVAFTDLQAYVNNEIMNLFPSGKVASPREVEAVRLAVEKFTISNHVNCNEVALAPVSNKNQEEQGLQETVSKLIKSAEELDRGLDLLSKQVNTFFKIVLTGRDALLNTLRGSDFTPESNKDANLKAMNL >DRNTG_24691.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19189070:19192248:1 gene:DRNTG_24691 transcript:DRNTG_24691.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTHHGQWTFRQFGNPLRGFFSKKPYLSPELLSLLHVFEQSLAESLRKLMAVDNKDVLSLSWMRVAMDTLCTIHDSLKTLITDLKFPSSDWDNKWINMYLEDSVKLLDICIALISEVSRLDQCQLWLRYSVHLLDPANKYPPEKAKKAHTCLQDWMQKIRSSSPKLESCPATLQVLGGNLYFGKVKDSGKGEILGRALYGLKVVTVFICSLLVAAFSGHSKPLLLDLDVPRKFTWSVAFTDLQAYVNNEIMNLFPSGKVASPREVEAVRLAVEKFTISNHVNCNEVALAPVSNKNQEEQGLQETVSKLIKSAEELDRGLDLLSKQVNTFFKIVLTGRDALLNTLRGSDFTPESNKDANLKAMNL >DRNTG_28432.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18505411:18505975:1 gene:DRNTG_28432 transcript:DRNTG_28432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVGLGFTFWFSYRYLIFKKNRDELFAKIEDLKGLIVGSDDD >DRNTG_30138.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3232209:3236317:1 gene:DRNTG_30138 transcript:DRNTG_30138.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVAQMACNAVASQLFSSPCSSFNARKKFRVRADSESTGKKTMIRKDKNGWNIEFSREKPPTPLLDTINYPIHMKNLSSQDLEQLAAELRADIVHTVADSGGHLSSSLGVVELTVALHHVFNTPEDKIIWDVGHQAYSHKVLTGRRSRMHTIRQTSGLAGFPKRDESVYDAFGVGHSSTSISAGLGMAVARDLLGKKNHVVAVIGDGAMTAGQAYEAMNNTGFLDSNLIVVLNDNKQVSLPTATLDGPALPVGALSSALTKLQASKKFRKLREAAKSITKQIGGPTHEVAAKVDEYARGMVSSSGSSLFEELGLYYIGPVDGHNVQDLVTIFQKVKAMPAPGPVLIHVVTEKGKGYPPAEAADDKMHGVVKFDPKTGKQFKSKSPTLAYTQYFANALIKEAEADEKIVAIHAAMGGGTGLNHFQKKFPDRCFDVGIAEQHAVTFAAGLAAEGLKPFCAIYSSFLQRGYDQVAHDVDLQKLPVRFALDRAGLVGADGPTHCGAFDITYMACLPNMVVMAPSDEAELLHMVATAAAIDDRPSCFRFPRGCGVGVPLPLDSKGTPLEIGKGRILMEGSRVAILGYGSIVQTCIKAAKSLINHGIAITLADARFCKPLDTELIRRLANEHEILITIEEGSVGGFGSHVCHFLSLNGLLDRNLKLRSMVLPDRYINHGSPHDQIEEAGLSSKHIAATVLSLLGRSKEAFQLH >DRNTG_11974.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9214846:9218489:1 gene:DRNTG_11974 transcript:DRNTG_11974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRGKEEMKKKKDRGAGDSQVGRRGQNKHYCRADEDKAHIEALVELSTNPMWRAENGFRNGYLVQMERMIKDKLPQSTLKASPNIESCVKLLRKQTTAIADILQMSGFIWNYEKATIECEKSAYDEYVKNHKETAGLYGKSFTFFNDLAPVFTKDRAHGKARGDIGHDAEQYAHESINLDEDTGFSQTPDDQFTIPTEEPNANPSPMASEASTSKTRRKKEKH >DRNTG_10441.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4671692:4673873:1 gene:DRNTG_10441 transcript:DRNTG_10441.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLRGITFRLCRRVWCFILYILAPTMARPKTVAAKGRHQTGLTLRAQCFTISSGTLHQAMLSSFLSATGKSLEPKSQPEPPSSSPTTTTTSGSLEFNSQSTPPRTSSSPASNSTFSSSLEFNSRSIPPRSTPSSAPPSTFSGSLDPNSSTNPPRSTPSSELKSSAIRTSIGDCSLIDAASSLSFTISIGSPFLDSCTLTKADPRSSETQNMGSRKRSSRLSSSRFSSMTIISDGSVKFREK >DRNTG_10441.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4671628:4673873:1 gene:DRNTG_10441 transcript:DRNTG_10441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLRGITFRLCRRVWCFILYILAPTMARPKTVAAKGRHQTGLTLRAQCFTISSGTLHQAMLSSFLSATGKSLEPKSQPEPPSSSPTTTTTSGSLEFNSQSTPPRTSSSPASNSTFSSSLEFNSRSIPPRSTPSSAPPSTFSGSLDPNSSTNPPRSTPSSELKSSAIRTSIGDCSLIDAASSLSFTISIGSPFLDSCTLTKADPRSSETQNMGSRKRSSRLSSSRFSSMTIISDGSVKFREK >DRNTG_27888.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20122679:20127451:1 gene:DRNTG_27888 transcript:DRNTG_27888.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGSKAGHKKGKKKTKAAVDALASAPVSVNTEEVVCSDEANGSPHNSEMDIDQASLGSEKPGHMSNINGDPPANTATGKLSHGRVKLKLKSSKALEPHQSYSDAQTPSDTDKSNAQVGLDKLDASIHKDEDNLHSDGQTSEMQKTAVVKYTKKKGSIKIKSSQALGISSGTVHEKQVNKISIPKIPGEQVLVHADDGKSRDASLPRNLRQRGTKELYMDSRYNEKELTASLMVIKKVMKMEAAVPFNAPVDPVALGIPDYFDIIDTPMDFGTISQNLENSRKYRNSEDVYKDVQYIWDNCCKYNNKGDYIVDLMKRVKKNFMKYWTDAGLYTDIPSSSPAETNPSESAGRPGQAKLHTKGKHKRRRLGTDHHKSDCLCAVCVVRRRRKERQEDLAVVDNQTATSDVSLSRDYKAEEKSPIDNLYSENASSSVDHSMETEANVDTEEAENEKINYLERPEPQQQGAVDNELELQQNASGSSEMSEQTPLENVIQDSDRQSQEPEPEFRQLDDLKDGVLHQQEAGRLQPESAGRNYQIKLREEDLEENHSALQLCRNLFSTDHKSVWNSPRSLFHHRAPVQDSLIHAAISTFLKH >DRNTG_27888.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20122679:20127451:1 gene:DRNTG_27888 transcript:DRNTG_27888.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGSKAGHKKGKKKTKAAVDALASAPVSVNTEEVVCSDEANGSPHNSEMDIDQASLGSEKPGHMSNINGDPPANTATGKLSHGRVKLKLKSSKALEPHQSYSDAQTPSDTDKSNAQVGLDKLDASIHKDEDNLHSDGQTSEMQKTAVVKYTKKKGSIKIKSSQALGISSGTVHEKQVNKISIPKIPGEQVLVHADDGKSRDASLPRNLRQRGTKELYMDSRYNEKELTASLMVIKKVMKMEAAVPFNAPVDPVALGIPDYFDIIDTPMDFGTISQNLENSRKYRNSEDVYKDVQYIWDNCCKYNNKGDYIVDLMKRVKKNFMKYWTDAGLYTDIPSSSPAETNPSESAGRPGQAKLHTKGKHKRRRLGTDHHKSDCLCAVCVVRRRRKERQEDLAVVDNQTATSDVSLSRDYKAEEKSPIDNLYSENASSSVDHSMETEANVDTEEAENEKINYLERPEPQQQGAVDNELELQQNASGSSEMSEQTPLENVIQDSDRQSQEPEPEFRQLDDLKDGVLHQQEAGRLQPESAGRNYQIKLREEDLEENHSALQLCRNLFSTDHKSVWNSPRSLFHHRAPVQDSLIHAAISTFLKH >DRNTG_27888.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20122679:20127451:1 gene:DRNTG_27888 transcript:DRNTG_27888.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGSKAGHKKGKKKTKAAVDALASAPVSVNTEEVVCSDEANGSPHNSEMDIDQASLGSEKPGHMSNINGDPPANTATGKLSHGRVKLKLKSSKALEPHQSYSDAQTPSDTDKSNAQVGLDKLDASIHKDEDNLHSDGQTSEMQKTAVVKYTKKKGSIKIKSSQALGISSGTVHEKQVNKISIPKIPGEQVLVHADDGKSRDASLPRNLRQRGTKELYMDSRYNEKELTASLMVIKKVMKMEAAVPFNAPVDPVALGIPDYFDIIDTPMDFGTISQNLENSRKYRNSEDVYKDVQYIWDNCCKYNNKGDYIVDLMKRVKKNFMKYWTDAGLYTDIPSSSPAETNPSESAGRPGQAKLHTKGKHKRRRLGTDHHKSDCLCAVCVVRRRRKERQEDLAVVDNQTATSDVSLSRDYKAEEKSPIDNLYSENASSSVDHSMETEANVDTEEAENEKINYLERPEPQQQGAVDNELELQQNASGSSEMSEQTPLENVIQDSDRQSQEPEPEFRQLDDLKDGVLHQQEAGRLQPESAGRNYQIKLREEDLEENHSALQLCRNLFSTDHKSVWNSPRSLFHHRAPVQDSLIHAAISTFLKH >DRNTG_27888.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20122679:20127451:1 gene:DRNTG_27888 transcript:DRNTG_27888.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGTISQNLENSRKYRNSEDVYKDVQYIWDNCCKYNNKGDYIVDLMKRVKKNFMKYWTDAGLYTDIPSSSPAETNPSESAGRPGQAKLHTKGKHKRRRLGTDHHKSDCLCAVCVVRRRRKERQEDLAVVDNQTATSDVSLSRDYKAEEKSPIDNLYSENASSSVDHSMETEANVDTEEAENEKINYLERPEPQQQGAVDNELELQQNASGSSEMSEQTPLENVIQDSDRQSQEPEPEFRQLDDLKDGVLHQQEAGRLQPESAGRNYQIKLREEDLEENHSALQLCRNLFSTDHKSVWNSPRSLFHHRAPVQDSLIHAAISTFLKH >DRNTG_19639.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27796778:27801513:-1 gene:DRNTG_19639 transcript:DRNTG_19639.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP38, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01480) UniProtKB/Swiss-Prot;Acc:Q9SSA5] MATLLFCCSSSPKPYLSSTTTGFRSRRATLPTCCSSTKPPQFNLQDKKLSKFGLKECAFSIALAIGLVTSAVELNLSAIASPFGGPVLPDVSVLISGPPIKDPNALLRYALPIDNKAIREVQKPLEDITDSLKVSGVKSLDLVERNLRQASRSLAQGKTMILSGIAESKKEHGKELLDKLENGMDELQNIVEERNRDAVAPKQKELLQYVGSVEEDMVDGFPYEIPEEYSNMPILKGRAIVDMKVKVKDNPNVQDCVFRIVLDGYNAPVTAGNFVDLVERHFYDGMEIQRADGFVVQTGDPDGPADGFIDPSTEKIRTIPLEIMVLGAKAPEYGSTLEELGLYKSQTRLPFNAFGTMAMARDEFANNSASSQVFWLLKESELTPSNANILDGRYAVFGYVTENEDYLADLKVGDVIESIQVVSGLDNLVNPTYKIAG >DRNTG_19639.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27796778:27801513:-1 gene:DRNTG_19639 transcript:DRNTG_19639.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP38, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01480) UniProtKB/Swiss-Prot;Acc:Q9SSA5] MVDGFPYEIPEEYSNMPILKGRAIVDMKVKVKDNPNVQDCVFRIVLDGYNAPVTAGNFVDLVERHFYDGMEIQRADGFVVQTGDPDGPADGFIDPSTEKIRTIPLEIMVLGAKAPEYGSTLEELGLYKSQTRLPFNAFGTMAMARDEFANNSASSQVFWLLKESELTPSNANILDGRYAVFGYVTENEDYLADLKVGDVIESIQVVSGLDNLVNPTYKIAG >DRNTG_19639.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27796778:27801513:-1 gene:DRNTG_19639 transcript:DRNTG_19639.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP38, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01480) UniProtKB/Swiss-Prot;Acc:Q9SSA5] MVDGFPYEIPEEYSNMPILKGRAIVDMKVKVKDNPNVQDCVFRIVLDGYNAPVTAGNFVDLVERHFYDGMEIQRADGFVVQTGDPDGPADGFIDPSTEKIRTIPLEIMVLGAKAPEYGSTLEELGLYKSQTRLPFNAFGTMAMARDEFANNSASSQVFWLLKESELTPSNANILDGRYAVFGYVTENEDYLADLKVGDVIESIQVVSGLDNLVNPTYKIAG >DRNTG_20668.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20281063:20282216:-1 gene:DRNTG_20668 transcript:DRNTG_20668.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADRNPNATSRSFCSSSSSKKREFSVYQNPAFSAALTASSLRPSSSAVLFFSSSALVSALSFLALSSMEDSLTHSLGLIRVSKVGALFLFKSLRTALVLVFITTLSAFLRALALRNGGVLTKRMKDQQKKLSERQLNLLGIKLQPCEKMDDEVVKKHPKSMTLSSEPLVPIRKSGFGYTPTRSSLVGSEQQQLASSAKKMSGMSPVSTPARLHSQYISSPSTPWSKGSAKGIQTEALFEQFLANVDEKFCESAVKTETTTPPATIRGFGIVSPSSIASSATASGTTRSTPLRPVRMSNQKYS >DRNTG_33654.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2082839:2085524:1 gene:DRNTG_33654 transcript:DRNTG_33654.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQWKQLNCRSECRYHCMMQREKEREATGRTPVKYHGKWPLKRIFVFQEPISAALSALNLLMHFIGWLSFFSLVCYKLPSRSQTKRPYYEYTGLLHIYGLLSMHACLWSTIFHTLDFDLTEKLDISSAVALLGYSVILALLRIFNVKDEASRVMFAAPVLSFVTTHIMYLNFYELDYNWNAKVCLVMSVAQLIMWSVWAGVSHHPSRFRLWLAMLGYGTTIWLRMIDFPPYEGYIDANSLLQFINLILTYLLWSFFKADAVFRTSGPHEEGEAPVITVPIETHSFMLMGFGAPAVF >DRNTG_33654.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2082839:2085524:1 gene:DRNTG_33654 transcript:DRNTG_33654.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGRLCVLLVFGFLVVALDASHGDRDLIYRTCVEQCEEIGSVGDISVAHCQFSPDVAHAKSTWYTQEPLYMQWKQLNCRSECRYHCMMQREKEREATGRTPVKYHGKWPLKRIFVFQEPISAALSALNLLMHFIGWLSFFSLVCYKLPSRSQTKRPYYEYTGLLHIYGLLSMHACLWSTIFHTLDFDLTEKLDISSAVALLGYSVILALLRIFNVKDEASRVMFAAPVLSFVTTHIMYLNFYELDYNWNAKVCLVMSVAQLIMWSVWAGVSHHPSRFRLWLAMLGYGTTIWLRMIDFPPYEGYIDANSLLQFINLILTYLLWSFFKADAVFRTSGPHEEGEAPVITVPIETHSFMLMGFGAPAVF >DRNTG_08462.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:16071325:16073413:-1 gene:DRNTG_08462 transcript:DRNTG_08462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWKVLYSSSKDSLIRLDNNLRRSNRRSSAPWNTILMSSHEYPDEAEQLLEPLASNESHWASRGSSQKTARIYEVSSNDAFAAKVDVLSRTLYLLKGSSWRSESALAVVGMVQPNV >DRNTG_27009.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26903525:26904291:-1 gene:DRNTG_27009 transcript:DRNTG_27009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVLRPRDCLPPVTPAGTLFHRRNPNPKSSRKPSWSPRPDPKKQREGSPKPSKSTSSSHIVAGHVTILQRGQPLDAFRRADDTVFGIGRLGPEPDLIPRTVWKEDRTAGEIYAGAGFLVSAPAPSALPLPNFSTRRVVAVDQTATRDLRRLLRLD >DRNTG_33415.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1598630:1599892:1 gene:DRNTG_33415 transcript:DRNTG_33415.2 gene_biotype:protein_coding transcript_biotype:protein_coding GNSWNLNSLLLIFGDNINLTPIKSERIIADSNNLWVWLPQSNKHSIPSKVYHHLCNGDAYSDSWEGWAILWHLNIAPKVKHFIWLLLHNAIKTHEFLYRLNLGPRSFCGFCNLDFETAEHLFNLCPKAQRIWGLINAATGKNISFCNDFTSGFWLCSNQNNFDLMSQSFIAVTAWLIWKARCNLIFQNTPLDCIALSSQAVAHVREYSFNQSSVIGRNLLINNFSSADAPFLFVNAVWNIESVKSGVGFSISNFNSSFVLAGCGPMIAESFIVAEACALLFALQSTLSQRIICKQIFLASYDLLAAINQNSRLADWRLETLIENICYHLEEFMFPQIHIIPLRWMKSSVDLAIFGSSKHALILYHQGRDLPHWLMKHFV >DRNTG_33415.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1598599:1599866:1 gene:DRNTG_33415 transcript:DRNTG_33415.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENLCISDCLNGNSWNLNSLLLIFGDNINLTPIKSERIIADSNNLWVWLPQSNKHSIPSKVYHHLCNGDAYSDSWEGWAILWHLNIAPKVKHFIWLLLHNAIKTHEFLYRLNLGPRSFCGFCNLDFETAEHLFNLCPKAQRIWGLINAATGKNISFCNDFTSGFWLCSNQNNFDLMSQSFIAVTAWLIWKARCNLIFQNTPLDCIALSSQAVAHVREYSFNQSSVIGRNLLINNFSSADAPFLFVNAVWNIESVKSGVGFSISNFNSSFVLAGCGPMIAESFIVAEACALLFALQSTLSQRIICKQIFLASYDLLAAINQNSRLADWRLETLIENICYHLEEFMFPQIHIIPLRWMKSSVDLAIFGSSKHALILYHQGRDLPHWLMKHFV >DRNTG_33415.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1598599:1599835:1 gene:DRNTG_33415 transcript:DRNTG_33415.3 gene_biotype:protein_coding transcript_biotype:protein_coding ENLCISDCLNGNSWNLNSLLLIFGDNINLTPIKSERIIADSNNLWVWLPQSNKHSIPSKVYHHLCNGDAYSDSWEGWAILWHLNIAPKVKHFIWLLLHNAIKTHEFLYRLNLGPRSFCGFCNLDFETAEHLFNLCPKAQRIWGLINAATGKNISFCNDFTSGFWLCSNQNNFDLMSQSFIAVTAWLIWKARCNLIFQNTPLDCIALSSQAVAHVREYSFNQSSVIGRNLLINNFSSADAPFLFVNAVWNIESVKSGVGFSISNFNSSFVLAGCGPMIAESFIVAEACALLFALQSTLSQRIICKQIFLASYDLLAAINQNSRLADWRLETLIENICYHLEEFMFPQIHIIPLRWMKSSVDLAIFGSSKHALILYHQGRDLPHWLMKHFV >DRNTG_18715.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14727244:14729249:-1 gene:DRNTG_18715 transcript:DRNTG_18715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSTISSVVFGSLPSTSRPLLKVIAFTCSLGLFFVLLAKLPCGLLERETSQFAPRAVYGPYGHLWHVPGPCGHHCSRKRPLARSIFHGLWVSWAP >DRNTG_34036.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002078.1:14340:20514:-1 gene:DRNTG_34036 transcript:DRNTG_34036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGASGRKRPVLPKLQDAPHRPGKMLLYTHVDSDAISVDLVTSRAN >DRNTG_00842.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11668384:11669532:1 gene:DRNTG_00842 transcript:DRNTG_00842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKRLTSPRSRKRPDISNPKPSPNPKKPKSSEFNAMQPRKSPRSRPATPGKLSDFATATSRSAPKKWTDSDEIALLKGVLAFRDKTGAVPAAQTIHSFYDSIKDSVSAPLDPSQAYNKIRRLKAFHLNNRRSGPTPHNAVIYELCSKIWGVNEKKGDGDDGDDDDADEDGDGDGDDNDKEEKEKGDEDVDYPHLSAFVALHRKEHLHLSGEFLNEGLKMIDPEKAKELEVRLMEHCRSVARIQVRRFELCKQVLKMLIGP >DRNTG_07079.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2075607:2075893:1 gene:DRNTG_07079 transcript:DRNTG_07079.1 gene_biotype:protein_coding transcript_biotype:protein_coding QNLSFTRNGNEKQSKLVWRSRNSPNIGYNVRKRYRDEG >DRNTG_03478.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:861500:863966:1 gene:DRNTG_03478 transcript:DRNTG_03478.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFPTLKAKNGSSRRRSKSSSPRFSIVFGVFQSMPQCHFSFFFGSDLCSDLSSQASSQHREASGGVGLCSVIDAIS >DRNTG_31031.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30514139:30516551:1 gene:DRNTG_31031 transcript:DRNTG_31031.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRSKRVKRTAPRSDDVYLKLLVKLYRFLVRRTGSKFNAVILKRLFMSKTNRPPISLRRLIKFTAEKEDKIAVVVGTVTDDKRVCEVPAMKVTALRFTETARARIVKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSSTKPYVRSKGRKFEKARGRRNSRGFRV >DRNTG_08363.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4176755:4179764:-1 gene:DRNTG_08363 transcript:DRNTG_08363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLKSGGEDLKKREEGNGRRRRRANSPLCFSKPLETLLEERRRRIFISFFICRPKMRSLERRNEGYRGGGGRRGRKAQR >DRNTG_08363.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4176755:4178704:-1 gene:DRNTG_08363 transcript:DRNTG_08363.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLKSGGEDLKKREEGNGRRRRRANSPLCFSKPLETLLEERRRRIFISFFICRPKMRSLERRNEGYRGGGGRRGRKAQR >DRNTG_19505.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2786241:2786478:-1 gene:DRNTG_19505 transcript:DRNTG_19505.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLNWNCEKKRRSEERSWKTSTLREGNRSESRPNSPARSRFRGDLLLEVPSDSLLHRLLVIVVA >DRNTG_02059.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000103.1:42680:54565:-1 gene:DRNTG_02059 transcript:DRNTG_02059.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFLFTERNIRQYKMVRLVWWLIVNGQKPFLISCKISRAAQRRLDFHLGWFLDPIFFGDYPAVMREKLGDRLPKFSDADRELVMDSVDFLGLNHYTSRYIAHATNTGGLHYYEIQEMERAEQSEGGEMIGDKAASEWLYIVPWGIRKVLNYIAKKYRNLPIYVTENGMDDEDSETASIEEFLDDKKRVGYFKGYLAAVAQAIRDGVDIRGYFAWSFVDNFEWDQGYTKRFGIVYVDYKNGLTRHPKSSAHWFSHLLKGDKENKI >DRNTG_29056.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2868518:2873489:-1 gene:DRNTG_29056 transcript:DRNTG_29056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMYAHSFSPARAISPQIRSMSEIDSQYLAELLAEHQKLGPFMQVLPLCSRLLNQEIIRVSSTVPSQVLEELERQQPRSLSPMAPNPGTNLRGNGIHPGRLPFPQGMAMDWQGTLGNSNSYLVKKIMRLDIPVDAYPNFNFVGRLLGPRGNSLKRVEMSTGCRVYIRGKGSIKDPGKEEKLRGKMGYEHLSDPLHILIEAELPANVIDTRLRQAQDIIEELLKPVDESQDVYKRQQLRELAMLNSGLREESPHPSGSVSPFNSGGMKRVKTGQ >DRNTG_07838.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:92739:93008:1 gene:DRNTG_07838 transcript:DRNTG_07838.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTELMHRLALAAHLSPLLYATGEMEIGESEKLHGLVQCTRDLSGGDCKKCLENAIGELPSCSYGKQDGRLMGVSCNIRYELYPFFDA >DRNTG_26565.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20246140:20268856:1 gene:DRNTG_26565 transcript:DRNTG_26565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPPPSSSASSPPPPRPLLRAETAGNLGENVFESEVVPSSLVQIAPILRVANEVEPRNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALMQRLERENDPTMRGRVKDSDAKEMQSFYQHYYKKYVQALQNAASKADRAQLTKVYQTASVLFEVLKAVNMTQSLEVDHEVLEAHTKVEEKTKVYVPYNILPLDPDSSNQAIMQYPEIQAAVNALRNTRGLPWPKDHKMKDTEDLLDWLQFMFGFQKDNVSNQREHLILLLSNVHIRTFPKADQQLKLDERALDEVMEKLFKNYKKWCEYLGRKSSLWLPTIQQEVEQRKLLYMGLYLLIWGEAANLRFLPECLCYIYHHMAFELYGMLAVAGNANPMPSDNIKPAYGGEEEAFLKKVVTPIYQIIAKEAEISKREKSKHSQWRNYDDLNEYFWSVDCFHLGWPMRGDADFFCQPRGSFIETNGEKKPASGDRWIGKINFVEIRSFWHIFRSFGRMWIFFILSLQAMIILAWNGGSPSDIFDAGVFKKILSIFITAAFLKLGQAVLDMILSWKARTSMSSAVRLRYILKVFSAAVWVIILPITYAYTWENPTGLARSIKSWVGNGQSQPSLYIVAVIVYLSPNVLSILLFVFPFLRQFLESLDYKIVMLMMWWSQPRLYLGRGMRESSISIFKYSLFWLLLIATKLAFSYYIEIKPLVGPTKDIMRDLIRTFQWHEFFPHAKSNIGVVIALWAPIVLVYFMDVQIWFAIFSTLLGGIYGAYRRLGEIRTLGMLRSRFQSMPGAFNARLIPVEKAGETKKKGLKASLSQRFARIPPSTEEKEAAKFAQMWNQIISSFREEDLISDREMDLLLLPYWADRELNLIQWPPFLLASKIPIALDMAKDSNRKDRELNKRLEADQYMFSAVRECYASFKNIIIYLVDGPREKEVINSIFSKIDDHIEKGAVLKNLHMAALPTLYGQFVKLIEYLMANKAEERGDVVILFQDMLEVVTKDIMDEEFPGLLDSSHGGSYGRHEGMASTDPEFQLFLSPGAIKFPRPESDAWTEKFKRLYMLLSVTESAMDVPSNLEARRRISFFTNSLFMNMPNAPKVHDMLSFSVLTPYRNEEVLFSLHDLEEQNEDGVSILYYLQKIYPDEWKNFVQRTNCKYDQEHQMSEEQVEELRLWASYRGQTLTRTVRGMMYYRKALELQSFLDIASDEDLMHGYKAVELSSDEQSLTGKSLWAQCQAVADMKFTYVVSCQQYGIQKRSGDSRAQDILRLMATYPSLRVAYIDEVEETHGGKMEKVYYSALVKAAGARPENPAEPTPNLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLEEFLRKHDGVRHPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLRVRFHYGHPDVFDRLFHITRGGVSKASKVINLSKDIFAGFNSTLREGSVTHHEYMQVGKGRDVGLNQISLFEAKMANGNGEQTLSRDIFRLGHRFDFFRMLSCYFTTVGFYFSTLMAVLTVYVFLYGRLYLVLSGLEEGLATGRRFSHNQSLQVALASQAFVQLGFLIVLPMMMEIGLEKGFFTAVSEFLLMQLQLASVFFTFSLGTKAHYYGRTLLHGGVEYRSAGRGFVVFHAKFADNYRLYSRSHFVKAIELLILLVLYEIFGQSYRSSVGYIFITISMWFLVGSWLFAPFLFNPSGFEWQKIVDDWNDWNKWVSNRGGIGVSAEKSWESWWDKQQEHLKYSGKRGIIVEIALGLRFFIYQYGLVYHLNITRKTRSLMVYGISWLVIVAVLLVMKTVSVGRRRFSANFQLVFRLIKFLIFVTFVSILITLIALPHMTAQDIIVCLLAFMPTGWGLLLIAQACRPVMRKFGLWGSVRALARGYEIMMGLLLFTPIAFFAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQKKDRSSRNSKD >DRNTG_12419.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12272520:12284505:1 gene:DRNTG_12419 transcript:DRNTG_12419.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLESREEVSTSSPVPCGFDYLLTGDSTPRFNWKNAIGGAFVGNYTGRCALGQTRGPFEKT >DRNTG_23873.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:9064:11241:-1 gene:DRNTG_23873 transcript:DRNTG_23873.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIDHLWDETLAGPRPDSFPSSAVSGATAVPKPLPVTRSITIVRAGHRFHSPDSAPSSPVSAPESPLTPGTPRSDWRRSLRKPPATSAAAAAEPRTPTVYDWFVRFCSLFLANNSFEMLMLVASDLKSLYASYDFSLILILLFLCFKNQANNFQRLICF >DRNTG_23873.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:9064:11241:-1 gene:DRNTG_23873 transcript:DRNTG_23873.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIDHLWDETLAGPRPDSFPSSAVSGATAVPKPLPVTRSITIVRAGHRFHSPDSAPSSPVSAPESPLTPGTPRSDWRRSLRKPPATSAAAAAEPRTPTVYDWVVISSLDR >DRNTG_23873.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001281.1:9064:11241:-1 gene:DRNTG_23873 transcript:DRNTG_23873.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIDHLWDETLAGPRPDSFPSSAVSGATAVPKPLPVTRSITIVRAGHRFHSPDSAPSSPVSAPESPLTRW >DRNTG_17371.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000892.1:24327:24631:1 gene:DRNTG_17371 transcript:DRNTG_17371.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSSSIVIYRGGSVSTAVHRLRLAEELGREPTLKECFIHTHKKKDGTLEVGRATQIVVSMRLLSQNKSS >DRNTG_07508.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27101460:27103170:1 gene:DRNTG_07508 transcript:DRNTG_07508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKGVKLYGMWASPAVRRVEWALKKKGIKYEYIEEDLSNKSFELLQYNPITKQVPVLVHDGKPVMESLVILEYIDEVWKGNPILPKDAYERAKAQFWASFSEDKCRDAVRKVYFAEEEDTKMKAIEHLMEIIRVIDQELKGKKFFGGESIGYLDLVFGWMAFWLGIAEEVASFKVVDSKKFPNFTSWINNFINDPLIRGNLPPRDKTLEFFRNFRKLHLASCK >DRNTG_12715.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:12776800:12778750:1 gene:DRNTG_12715 transcript:DRNTG_12715.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLEEDEYIPNINSFDSKNPLAVVDYVDDIYTFYRRTEDLSCVCPNYMSNQFDINERMRAILIDWLIEVHYKFELMDETLYLTVNIIDRFLARQTVVRKKLQLVGVTAMLLACKYEEISVPIVEDFIMISDRAYTRSEVLEMEKLIVSTLQFNMSVPTPYPFMRRFLKAAEFDKKLELLSFFIIELCLVEYQMLKFRPSLLAAAAIYTAQCTLRGFRHWTRTSELHANYAEEQLIECSRLMVDFHQKAGVGKLTGVHRKYSTLKFGCTAKSDPALFLLEN >DRNTG_12715.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:12775317:12778750:1 gene:DRNTG_12715 transcript:DRNTG_12715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGENSRGFMRSTCSQGLPLDGLKNGSEMGINTNRRALRDINRGIVGAPPYPCGINKSKVSNLQHGVVARRPMTRKFAASLATKSQTCHQETNVRLPPIGNERRKPAIPSVPNSHVFDASNDIDVDDFRSADDMPLPMVDEMEDVTNCELKEVEMEDLEEDEYIPNINSFDSKNPLAVVDYVDDIYTFYRRTEDLSCVCPNYMSNQFDINERMRAILIDWLIEVHYKFELMDETLYLTVNIIDRFLARQTVVRKKLQLVGVTAMLLACKYEEISVPIVEDFIMISDRAYTRSEVLEMEKLIVSTLQFNMSVPTPYPFMRRFLKAAEFDKKLELLSFFIIELCLVEYQMLKFRPSLLAAAAIYTAQCTLRGFRHWTRTSELHANYAEEQLIECSRLMVDFHQKAGVGKLTGVHRKYSTLKFGCTAKSDPALFLLEN >DRNTG_12715.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:12777026:12778750:1 gene:DRNTG_12715 transcript:DRNTG_12715.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQFDINERMRAILIDWLIEVHYKFELMDETLYLTVNIIDRFLARQTVVRKKLQLVGVTAMLLACKYEEISVPIVEDFIMISDRAYTRSEVLEMEKLIVSTLQFNMSVPTPYPFMRRFLKAAEFDKKLELLSFFIIELCLVEYQMLKFRPSLLAAAAIYTAQCTLRGFRHWTRTSELHANYAEEQLIECSRLMVDFHQKAGVGKLTGVHRKYSTLKFGCTAKSDPALFLLEN >DRNTG_12715.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:12775317:12778750:1 gene:DRNTG_12715 transcript:DRNTG_12715.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKFAASLATKSQTCHQETNVRLPPIGNERRKPAIPSVPNSHVFDASNDIDVDDFRSADDMPLPMVDEMEDVTNCELKEVEMEDLEEDEYIPNINSFDSKNPLAVVDYVDDIYTFYRRTEDLSCVCPNYMSNQFDINERMRAILIDWLIEVHYKFELMDETLYLTVNIIDRFLARQTVVRKKLQLVGVTAMLLACKYEEISVPIVEDFIMISDRAYTRSEVLEMEKLIVSTLQFNMSVPTPYPFMRRFLKAAEFDKKLELLSFFIIELCLVEYQMLKFRPSLLAAAAIYTAQCTLRGFRHWTRTSELHANYAEEQLIECSRLMVDFHQKAGVGKLTGVHRKYSTLKFGCTAKSDPALFLLEN >DRNTG_19358.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5616628:5618570:-1 gene:DRNTG_19358 transcript:DRNTG_19358.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPLIPSYSPPSPFPSFSSSGRHRPDHDLSITAEITRLNRPIPLTLLITNHNLYFMLSGGGDSNLKL >DRNTG_24206.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22525284:22526709:1 gene:DRNTG_24206 transcript:DRNTG_24206.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPVHQRLAGYASSYGPILSLRFGSRPTLIVSSAAIAEECLVAKDTAFANRPLLTASEVFGYDYTAVDSASYGPHWRSLRRIMAHEVLSQARVTSFAGVRGDGVKGFLGKLCRDSGRVTMRVYLSELTFSLMVRIVMGKRYVNGGGEEGREFRRIVEEVFLLSGKLCMDDFLPWWVAKLFGGGLKERIVKLGKEMDELLQNLVDERRRQRKEVEETAVIDVLLALQEKDPGFYSDVIIKGILLTLVSAGTDTVTGTLEWAMALLLNHPKVLNKARDEIAMQVGNERL >DRNTG_24206.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22525284:22526312:1 gene:DRNTG_24206 transcript:DRNTG_24206.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPVHQRLAGYASSYGPILSLRFGSRPTLIVSSAAIAEECLVAKDTAFANRPLLTASEVFGYDYTAVDSASYGPHWRSLRRIMAHEVLSQARVTSFAGVRGDGVKGFLGKLCRDSGRVTMRVYLSELTFSLMVRIVMGKRYVNGGGEEGREFRRIVEEVFLLSGKLCMDDFLPWWVAKLFGGGLKERIVKLGKEMDELLQNLVDERRRQRKEVEETAVIDVLLALQEKDPGFYSDVIIKGILLVCIYILDSIIIIRILLTFTSIKIKLMFIDDLGLNIKKNLIGQNLSSYIGA >DRNTG_24206.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22525284:22528532:1 gene:DRNTG_24206 transcript:DRNTG_24206.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPVHQRLAGYASSYGPILSLRFGSRPTLIVSSAAIAEECLVAKDTAFANRPLLTASEVFGYDYTAVDSASYGPHWRSLRRIMAHEVLSQARVTSFAGVRGDGVKGFLGKLCRDSGRVTMRVYLSELTFSLMVRIVMGKRYVNGGGEEGREFRRIVEEVFLLSGKLCMDDFLPWWVAKLFGGGLKERIVKLGKEMDELLQNLVDERRRQRKEVEETAVIDVLLALQEKDPGFYSDVIIKGILLTLVSAGTDTVTGTLEWAMALLLNHPKVLNKARDEIAMQVGNERLLTDTDLPELSYLHNIIKETLRLFPAAPVLLPHESAEDCTISGFNVPSGTLLLVNAYAIHRDPELWKDPLKFDPERFDRKVGDQGKDFKYIPFGSGRRGCPGEGFSKRMMMLTLGSLIQCFEWERIGEDLVDLDEGEGLAMPKAIPLEAICKPWPHMLHLLSQP >DRNTG_34132.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21818028:21819441:-1 gene:DRNTG_34132 transcript:DRNTG_34132.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTARDSIPSPPPPTIPSDELVASAPPPPSSSSSSSFAYSPSLLIIAAILAFVIAASASIHLLLRLLSRPATAGASTASPSPPLIPLRTTSTSSSSSSTSSSAPDPTPSTNRDPKTLIQSLPLFTLASSMASIPQIIAGLRRMPLSIPPRRRAPPPSGVSPRLPRRLHRCLASIHPILPSLPSRRRRRPTSPSFRSPTTPELPDRDRQRESPARRILSRLLRPFRSSSREILLHRLLLRVPRRRGSGGSGGSSSSDQGVVRRQRRSGGARGGGGWGGWGNWKRLAPRLRRQANLISVVIVLIAPVLQPPLRRLRRRRRSTARELGLGRWGRWQLLLLIVLQMACRGNIVNTPPKLL >DRNTG_34132.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21818157:21819462:-1 gene:DRNTG_34132 transcript:DRNTG_34132.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSRKRRRRRRRRSKEPKMRTARDSIPSPPPPTIPSDELVASAPPPPSSSSSSSFAYSPSLLIIAAILAFVIAASASIHLLLRLLSRPATAGASTASPSPPLIPLRTTSTSSSSSSTSSSAPDPTPSTNRDPKTLIQSLPLFTLASSMASIPQIIAGLRRMPLSIPPRRRAPPPSGVSPRLPRRLHRCLASIHPILPSLPSRRRRRPTSPSFRSPTTPELPDRDRQRESPARRILSRLLRPFRSSSREILLHRLLLRVPRRRGSGGSGGSSSSDQGVVRRQRRSGGARGGGGWGGWGNWKRLAPRLRRQANLISVVIVLIAPVLQPPLRRLRRRRRSTARELGLGRWGRWQLLLLIVLQMACRGNIVNTPPKLL >DRNTG_34132.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21818157:21819441:-1 gene:DRNTG_34132 transcript:DRNTG_34132.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTARDSIPSPPPPTIPSDELVASAPPPPSSSSSSSFAYSPSLLIIAAILAFVIAASASIHLLLRLLSRPATAGASTASPSPPLIPLRTTSTSSSSSSTSSSAPDPTPSTNRDPKTLIQSLPLFTLASSMASIPQIIAGLRRMPLSIPPRRRAPPPSGVSPRLPRRLHRCLASIHPILPSLPSRRRRRPTSPSFRSPTTPELPDRDRQRESPARRILSRLLRPFRSSSREILLHRLLLRVPRRRGSGGSGGSSSSDQGVVRRQRRSGGARGGGGWGGWGNWKRLAPRLRRQANLISVVIVLIAPVLQPPLRRLRRRRRSTARELGLGRWGRWQLLLLIVLQMACRGNIVNTPPKLL >DRNTG_09691.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000404.1:23690:24053:-1 gene:DRNTG_09691 transcript:DRNTG_09691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVLKLALQCTASEASDRPSMRSVVAQLTDLRSSVARYGKDIK >DRNTG_16777.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3447742:3448623:-1 gene:DRNTG_16777 transcript:DRNTG_16777.6 gene_biotype:protein_coding transcript_biotype:protein_coding MYEKSGNEKEFIEETMPSNEEYDPPNTSSPLKDRFNLSAMLIGRDPVPSAEDADTIESSILKLEELANKLRWIKGFLQFGYRWPDEEMKPSWTFTGDKRSLGE >DRNTG_16777.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3442442:3448533:-1 gene:DRNTG_16777 transcript:DRNTG_16777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEKSGNEKEFIEETMPSNEEYDPPNTSSPLKDRFNLSAMLIGRDPVPSAEDADTIESSILKLEELANKLRWIKGFLQFGYRWPDEEMKPSWTFTGDKRSLGE >DRNTG_16777.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3447314:3448623:-1 gene:DRNTG_16777 transcript:DRNTG_16777.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYEKSGNEKEFIEETMPSNEEYDPPNTSSPLKDRFNLSAMLIGRDPVPSAEDADTIESSILKLEELANKLRWIKGFLQFGYRWPDEEMKPSWTFTGDKRSLGE >DRNTG_16777.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3447314:3448533:-1 gene:DRNTG_16777 transcript:DRNTG_16777.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYEKSGNEKEFIEETMPSNEEYDPPNTSSPLKDRFNLSAMLIGRDPVPSAEDADTIESSILKLEELANKLRWIKGFLQFGYRWPDEEMKPSWTFTGDKRSLGE >DRNTG_16777.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3447080:3448533:-1 gene:DRNTG_16777 transcript:DRNTG_16777.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEKSGNEKEFIEETMPSNEEYDPPNTSSPLKDRFNLSAMLIGRDPVPSAEDADTIESSILKLEELANKLRWIKGFLQFGYRWPDEEMKPSWTFTGDKRSLGE >DRNTG_16777.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3447314:3448533:-1 gene:DRNTG_16777 transcript:DRNTG_16777.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYEKSGNEKEFIEETMPSNEEYDPPNTSSPLKDRFNLSAMLIGRDPVPSAEDADTIESSILKLEELANKLRWIKGFLQFGYRWPDEEMKPSWTFTGDKRSLGE >DRNTG_09712.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:737719:740925:-1 gene:DRNTG_09712 transcript:DRNTG_09712.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFTPSTSCSSPTATMASASTSGESSCHSHHPSCIHYTKGGLGEDDDEGSINRTVGSRKTGQHLMDARWTHEWNRAFVLVCAAGLIVDPLFFYALSISESRMCLYVDGWFSITVTALRCMTDAVHLWNMWLRVRSATRMRRLDGDEGSRFGRLHRDYLVSMRGFFFDLFVILPVPQVVLWVAAPSLIQKGSTMTVMTVILIMFLFQYLPKIYHSLCFLRRMQNSSGYIFGTIWWGIALNLIAYFVASHAAGACWYLLGIQRATKCLREQCITAQGCGLKTVACVDPIYYGTTTTTMKDLGRLHWAKNMTVRTTCLDSSDNFEYGAYKWTVPLFTKTNRAEKILLPIFWGLMTLSTFGTLESTTEWLEIVFNIIIVTSGLLLVTMLIGNIKVFLHTTTSKKQALHLKMRSLEWWMRRRHLPHGLRHRVRQYERQRWAAMRGVDECEMIRNLPEGLRRDIKYHLCLDLVRQVPLFQHMDELVLENICDRVKSLIFPKGETIAKEGDPVQRMLFIVRGHLQSSQVLRDGVKSCCMLGPGNFSGDELLSWCLRRPFIERLPPSSSTLVTLETTEAFGLEASDVKYVTQHFRYTFVNEKVKRSARYYSPSWRTWAAVAIQLAWRRFK >DRNTG_05448.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:18604977:18816307:-1 gene:DRNTG_05448 transcript:DRNTG_05448.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPDPYEGLFDQEESNEEVMMLGSTGEETSTPGILKTVLWKMKRARRRHRKCSKIVGDVHEPRKLDEPLLGGPKPESTPSTLKRFCSSCFQAMDGHVSMGIEN >DRNTG_02289.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:824245:826864:1 gene:DRNTG_02289 transcript:DRNTG_02289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAPLPKWASEPCIMGIDEAGRGPVLGPMVYGCLYCPLSYQKTLASLDFADSKTLKEEKREELFEKLKANDSIGWEVDIIDPKDLSAKMLKRVKINLNEISHNSAMGLVSRVLDMGVLLTEVYLDTVGDAEKYRIKLSERFPSVKFVVSKKADSIYPVVSGASIVAKVTRDRTLRNWVLDETAENMHRNFGSGYPGDPVTKAWLDHHKHPVFGFPSLVRFSWGTCASYSKDMVEVIWESDKLDEDGSGSGSSSGKHQLKLSKFGFSGSKRKSEDVESTGKGRSKFFQARKLELLSEF >DRNTG_01664.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23902925:23910982:-1 gene:DRNTG_01664 transcript:DRNTG_01664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGSRGGEAEGGSLDSLSAWNPCGGAFRPYLGTQSSGRRPPVTRKKTMVAKLTRDIVATYQLCDPGFKCAETLNLKHFFTSPSVAVQNDGFDNANSDMILYTNFVLVNFETRQRYIVKDMLGQGTFGQVVKCSIPETDHFVAVKVIKNQPAYYRQGMAEVSILSLLNNNFDLGDNHHIVRMLDYFIFRNHLCIAFEMLGPNLFELIKLNNYKGLSLNIVQMFSKQILHALVVIKDAGIIHCDLKPENILISTSVKPAEIKVIDFGSACMEKQTIYSYIQSRYYRSPEVLLGCSYTTAIDMWSFGCIVAELFLGLPLFPGASEFDILRRMIDTLGGQPPDELLRLGSNTNRFFKRIGSIYSMENDAMCKRISNTYQFLTEEEYEARESKKPVIGKHYYNFVKLEDIITNYPYKKNLPEEEISKENRTRLALVDFLRGLVQFDPEKRWSPLQASFHPFVTGEPFLCPYRPPQEVPQIPVIRAVAVNHNPVEGHWLAAGLSPQVLNVHRYLPHNSPHMQTPLSYGSYGSMGSYGSYTDNTGFRNSYGSYVDANSMYAYQPPVGSSAMSIQGQFGGSFLGASPDARRRPPLSHGNGFGISPNTRNFAPMSLGVSPSQYTPPSSQIQISNVPPGKFGPTSARVTFHGSPLGKGAAIGQFKKSWGSPGTSHVQLHENSSQSWHNHHSDYGYPDVYARGVASSPLSVHPTSNFSSWRPQKKCGNTFFSGSSSSTYQKFPVCHPPPQTSELMCDEPESSSPPDPADWDPNYSDELLLQEDTPEINSISFGIAKDMHLNDTVDPASQASGASRSRAGSNQAPFISSNPRTEGRFPAHPPFVGNFPSTSDQLPPFPQNSPSRFGQQSARRYMQSTFTHSNPRDHQQQSHSNYSRGDSYSSASSLLDNGISLGRSAGHPMATTLPSSHA >DRNTG_12515.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6710242:6715051:-1 gene:DRNTG_12515 transcript:DRNTG_12515.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMITKVLCWNCRGFSERNTFVHIFKIMWIHKPSLVYLVETRANSEHIDRFLSKFPHSWDWAAIEVDGFSGCFSGPTNNVFNGDLIALDVALHFTLEEEHSDQACLHQ >DRNTG_12515.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6710242:6716462:-1 gene:DRNTG_12515 transcript:DRNTG_12515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMITKVLCWNCRGFSERNTFVHIFKIMWIHKPSLVYLVETRANSEHIDRFLSKFPHSWDWAAIEVDGFSGCFSGPTNNVFNGDLIALDVALHFTLEEEHSDQACLHQ >DRNTG_12515.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6710242:6715051:-1 gene:DRNTG_12515 transcript:DRNTG_12515.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLGPPDLGTEKTLTLAHSNSPPPILHTSLQIPPPYPPQSKRSFHLHVIDQVSAMLHMEDMDEDSNGDSSDDADDEDEDMSEDGEPDDDMTLVQYQEEVKHEAIIRKSAHSSCSSPKKDVSLVLQIMSSMEI >DRNTG_12515.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6710242:6714989:-1 gene:DRNTG_12515 transcript:DRNTG_12515.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMITKVLCWNCRGFSERNTFVHIFKIMWIHKPSLVYLVETRANSEHIDRFLSKFPHSWDWAAIEVDGFSGGIFNFWNKNIRHVTPLAVSRRALHIVVSSSIFKACIVSVVYISTISSNQCFFWHELSKISHLHFPWLIIGDFNAVLSKHEFKGGNFSYYDRKAHFFKDFVDLNNLLDLNYSGPPFTWCNKQNGPARRWAKLDRCLVNLD >DRNTG_12515.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6710242:6714989:-1 gene:DRNTG_12515 transcript:DRNTG_12515.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLGPPDLGTEKTLTLAHSNSPPPILHTSLQIPPPYPPQSKRSFHLHVIDQVSAMLHMEDMDEDSNGDSSDDADDEDEDMSEDGEPDDDMTLVQYQEEVKHEAIIRKSAHSSCSSPKKDVSLVLQIMSSMEI >DRNTG_12515.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6710242:6714989:-1 gene:DRNTG_12515 transcript:DRNTG_12515.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKLDIEKAYDTISWNEILTILKKMNFYNNWISCISTCLKSSSFSIIINGVASPWFSSSRGVHQGDPISFHLFIVVSQILTTLLNSELRRGIIPGFCSNLKHNFNHLMYADDLILIMHATRSAAITIVRCLNFYSYIFG >DRNTG_12515.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6710242:6714989:-1 gene:DRNTG_12515 transcript:DRNTG_12515.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKLDIEKAYDTISWNEILTILKKMNFYNNWISCISTCLKSSSFSIIINGVASPWFSSSRGVHQGDPISFHLFIVVSQILTTLLNSELRRGIIPGFCSNLKHNFNHLMYADDLILIMHATRSAAITIVRCLNFYSYIFG >DRNTG_12515.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6710242:6714989:-1 gene:DRNTG_12515 transcript:DRNTG_12515.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMITKVLCWNCRGFSERNTFVHIFKIMWIHKPSLVYLVETRANSEHIDRFLSKFPHSWDWAAIEVDGFSGCFSGPTNNVFNGDLIALDVALHFTLEEEHSDQACLHQ >DRNTG_22737.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31593189:31595662:-1 gene:DRNTG_22737 transcript:DRNTG_22737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALGSVALTLLFVLIISLDLSFAQQTGITRHYKFNIVMTNVTRLCHTKSILTVNGKFPGPSIFAREGDRLVIRVVNHVQNNVTLHWHGVRQLRSAWADGPAYITQCPIQTGQSYVYNYTIVGQRGTLWWHAHISWLRSTIHGPIIILPKFGVPYPFPKPYKQVSIIFGEWFNSDPEAVINQALQTGGAPNVSDAYTINGLPGPLYNCSAKDTFTLNLRPGKVYLLRMINAALNDELFFGIADHLLTTVEVDAIYVKPFISDTILLGPGQTTNVLLLTKPTLPNATFLMLARPYASGTGTFDNSTVAGILHYKNTKKNFPTLDSNTSISQ >DRNTG_00243.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25989634:25992549:1 gene:DRNTG_00243 transcript:DRNTG_00243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAKKTKKTQESINNRLALVMKSGKYTLGYKTVLRSLRGSKSKLIIISNNCPPLRKSEIEYYAMLSKVGVHHFNGNNVDLGTACGKYFRVCCLSITDPGDSDIIKSIPGEQ >DRNTG_03350.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18122695:18124907:1 gene:DRNTG_03350 transcript:DRNTG_03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVAATTAAAAAKPFLSPVRSQSSYLPFLSLSYTLKSSSHCSTFPILRSSIRPQPNSKPFAISASSSTSTSITTFHGPCFVVGDNIDTDQIIPAEHLTLVPSKPDEYRKLGTLALIGLPSSLYPTPFVPPDSPSTHYPIIIAGANFGCGSSREHAPVALGAAGAHAVVAESYARIFFRNSVATGEIYPLETETVGLYRECTTGDVVTVDLAGSRLINHTTGKEYKLKPIGDAGPVIEAGGIFAYVRKTGMIASSPSSA >DRNTG_28077.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21427971:21429273:1 gene:DRNTG_28077 transcript:DRNTG_28077.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTREFLVRGDSESKSVSALEVRPHRAGLAASFFEALALRGIRVDSIQRGSLTCTFTVPPRLTGVDGNLSAGAIANLVDEIGGAVILADGQHTKVSVDMSISYMSAARIHDELEITAKVLGHKGGYSGTSVLMKNKATGDVVAEGRHSLFGNLLSKI >DRNTG_32689.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:10556683:10565646:1 gene:DRNTG_32689 transcript:DRNTG_32689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTSMTEGMTTRSQDMEDSIHLLSECHDSLLAKMEDLCGSVQQHAELFDTIQKSLATQQTVIIEWKLDCKAYPTTSQFAADIKQTLVNAMQNHPSTHEVHIKAKELSNIFNVAIVNKNLLLKAKEEQALQTHRFTVKTKTFKICKDAAAVSEPRLNQILILFFRQQV >DRNTG_31015.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30454258:30456585:-1 gene:DRNTG_31015 transcript:DRNTG_31015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLTGDELLSDSFPYTEIENGMLWEVEGKWVVQGAVEVNIGANPSAEGGEEDEGVDDQAAKVVDIVDTFRLQEQPAFDKKQFVTYVKRFIKLLTPKLEAEKQEAFKKHIEGATKHLLGKLKDLQFFVGESMHDEGSMVFAYYKEGATNPTFIYFAYALKEVKC >DRNTG_18873.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2341957:2344136:1 gene:DRNTG_18873 transcript:DRNTG_18873.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CB5-E [Source:Projected from Arabidopsis thaliana (AT5G53560) UniProtKB/TrEMBL;Acc:A0A178USU5] MASEKKIFHFDEVSKHNQTKDCWLIISGKVYDVTPFMDDHPGGDEVLLAATGKDATNDFEDVGHSDSARDMMHKYYIGEIDAATVPKKRAYVPPQQAAYNPDKTSEFVIKILQFLVPIMILGLAFAVRHFTKVE >DRNTG_18873.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2341957:2344136:1 gene:DRNTG_18873 transcript:DRNTG_18873.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CB5-E [Source:Projected from Arabidopsis thaliana (AT5G53560) UniProtKB/TrEMBL;Acc:A0A178USU5] MASEKKIFHFDEVSKHNQTKDCWLIISGKVYDVTPFMDDHPGGDEVLLAATGKDATNDFEDVGHSDSARDMMHKYYIGEIDAATVPKKRAYVPPQQAAYNPDKTSEFVIKILQFLVPIMILGLAFAVRHFTKVE >DRNTG_18873.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2341957:2344136:1 gene:DRNTG_18873 transcript:DRNTG_18873.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CB5-E [Source:Projected from Arabidopsis thaliana (AT5G53560) UniProtKB/TrEMBL;Acc:A0A178USU5] MASEKKIFHFDEVSKHNQTKDCWLIISGKVYDVTPFMDDHPGGDEVLLAATGKDATNDFEDVGHSDSARDMMHKYYIGEIDAATVPKKRAYVPPQQAAYNPDKTSEFVIKILQFLVPIMILGLAFAVRHFTKVE >DRNTG_18873.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2341957:2344136:1 gene:DRNTG_18873 transcript:DRNTG_18873.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:CB5-E [Source:Projected from Arabidopsis thaliana (AT5G53560) UniProtKB/TrEMBL;Acc:A0A178USU5] MASEKKIFHFDEVSKHNQTKDCWLIISGKVYDVTPFMDDHPGGDEVLLAATGKDATNDFEDVGHSDSARDMMHKYYIGEIDAATVPKKRAYVPPQQAAYNPDKTSEFVIKILQFLVPIMILGLAFAVRHFTKVE >DRNTG_33269.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23912597:23916050:-1 gene:DRNTG_33269 transcript:DRNTG_33269.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTRGGALLISSSSSAFLAAHRRHPPRIPFLRCLGLQSNAGGRFLSVVLPLQPPSSLRRRPWCSCVPPTGMAASPEAITSPAPSSTLESPSPSSDPIKDAADGLDIRVGRIIKAWRHPEADSLYVEEVDVGEEEPRTICSGLVNYILLDNLQDIKVIVLANLKPRNMRGIKSYGMLMAASDAPHETVELLIPPEGSVPGERIWFGSEEEKEQQPDAATPNQVQKKKLWESVQPHLKTTDACVAVLGERPMRTSAGVVVCSSLKKANIS >DRNTG_33269.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23913766:23916050:-1 gene:DRNTG_33269 transcript:DRNTG_33269.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTRGGALLISSSSSAFLAAHRRHPPRIPFLRCLGLQSNAGGRFLSVVLPLQPPSSLRRRPWCSCVPPTGMAASPEAITSPAPSSTLESPSPSSDPIKDAADGLDIRVGRIIKAWRHPEADSLYVEEVDVGEEEPRTICSGLVNYILLDNLQDIKVIVLANLKPRNMRGIKSYGMLMAASDAPHETVELLIPPEGSVPGERIWFGSEEEKEQQPDAATPNQ >DRNTG_21213.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:995290:997704:-1 gene:DRNTG_21213 transcript:DRNTG_21213.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQHPSIVRVTRPTRPDKECFDIDIALDNMSY >DRNTG_21213.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:988628:997704:-1 gene:DRNTG_21213 transcript:DRNTG_21213.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQHPSIVRVTRPTRPDKECFDIDIALDNMSY >DRNTG_21213.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:988628:997704:-1 gene:DRNTG_21213 transcript:DRNTG_21213.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQHPSIVRVTRPTRPDKECFDIDIALDNMSY >DRNTG_21213.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:988628:997704:-1 gene:DRNTG_21213 transcript:DRNTG_21213.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQHPSIVRVTRPTRPDKECFDIDIALDNMSY >DRNTG_09285.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6767122:6771990:-1 gene:DRNTG_09285 transcript:DRNTG_09285.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLEIVKVEEWDGVGELKGKEKAKKKKKKQEEGDRVTKSCWVRFCLACTCVSASKVDSSISGASAHYESKATNDDSRDQPVVPIVSGSTTASNSESNVSTPKVTEELKLASQLRKFNFNDLKAATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVTFLGDLIHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSTRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKKRPNGEHNLVEWARPHLGERRRFYRLVDPRLEGHFSIKGAQKAAQLAHHCLSRDPKARPLMSEVVEVLKPLLNLKDMASSSYYFQSMQAERVAASNSQNARNGLKTNGSFGKNGQPIRSLSNGASHASPYNQQSPKVNGKHP >DRNTG_09285.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6767122:6771990:-1 gene:DRNTG_09285 transcript:DRNTG_09285.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLEIVKVEEWDGVGELKGKEKAKKKKKKQEEGDRVTKSCWVRFCLACTCVSASKVDSSISGASAHYAESKATNDDSRDQPVVPIVSGSTTASNSESNVSTPKVTEELKLASQLRKFNFNDLKAATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVTFLGDLIHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSTRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKKRPNGEHNLVEWARPHLGERRRFYRLVDPRLEGHFSIKGAQKAAQLAHHCLSRDPKARPLMSEVVEVLKPLLNLKDMASSSYYFQSMQAERVAASNSQNARNGLKTNGSFGKNGQPIRSLSNGASHASPYNQQSPKVNGKHP >DRNTG_11541.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21294663:21295176:-1 gene:DRNTG_11541 transcript:DRNTG_11541.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLVLTCRKITAEVMIPRTGAIVAMASSGEQEFVQQHRARLFSRFPRSRSIWDARDAARVGEKLGLRLRGAGVDVVEVDLDLELSRPPHYRRPLASLFLSVQQAGVRVAGADKLKWP >DRNTG_11541.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21294715:21295176:-1 gene:DRNTG_11541 transcript:DRNTG_11541.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLVLTCRKITAEVMIPRTGAIVAMASSGEQEFVQQHRARLFSRFPRSRSIWDARDAARVGEKLGLRLRGAGVDVVEVDLDLELSRPPHYRRPLASLFLSVQQAGVRVAGADKLKWP >DRNTG_11541.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21294663:21295230:-1 gene:DRNTG_11541 transcript:DRNTG_11541.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAAESTAHMLRLVLTCRKITAEVMIPRTGAIVAMASSGEQEFVQQHRARLFSRFPRSRSIWDARDAARVGEKLGLRLRGAGVDVVEVDLDLELSRPPHYRRPLASLFLSVQQAGVRVAGADKLKWP >DRNTG_11541.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21294715:21295230:-1 gene:DRNTG_11541 transcript:DRNTG_11541.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAAESTAHMLRLVLTCRKITAEVMIPRTGAIVAMASSGEQEFVQQHRARLFSRFPRSRSIWDARDAARVGEKLGLRLRGAGVDVVEVDLDLELSRPPHYRRPLASLFLSVQQAGVRVAGADKLKWP >DRNTG_11541.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21294595:21295230:-1 gene:DRNTG_11541 transcript:DRNTG_11541.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAAESTAHMLRLVLTCRKITAEVMIPRTGAIVAMASSGEQEFVQQHRARLFSRFPRSRSIWDARDAARVGEKLGLRLRGAGVDVVEVDLDLELSRPPHYRRPLASLFLSVQQAGVRVAGADKLKWP >DRNTG_26434.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23956437:23957666:-1 gene:DRNTG_26434 transcript:DRNTG_26434.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLYCKPHFEQLFKESGNFNKNFQSAVKSAEKLTPELTRSPSKAAGMFSGTQEKCATCGKTAYPLEKVTVEGQAYHKSCFKCSHGGCPISPSNYAALEGILYCKHHFAQLFKEKGSYNHLIKCASIKRTTPAAAAAAVPDA >DRNTG_26434.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23956437:23958938:-1 gene:DRNTG_26434 transcript:DRNTG_26434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLMADGIAYHKSCFKCSHCKGTLKLSNFSSMEGVLYCKPHFEQLFKESGNFNKNFQSAVKSAEKLTPELTRSPSKAAGMFSGTQEKCATCGKTAYPLEKVTVEGQAYHKSCFKCSHGGCPISPSNYAALEGILYCKHHFAQLFKEKGSYNHLIKCASIKRTTPAAAAAAVPDA >DRNTG_07247.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9504605:9508260:-1 gene:DRNTG_07247 transcript:DRNTG_07247.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT3G10060) UniProtKB/TrEMBL;Acc:A0A178V516] MTSRQGLGVGGGTPYGFDVGQSEKGSVLKGLDLGVEGMRVGGQRLLIVPPELAYGSKGVQEIPPNATIELDVELLSIKQSPFGTGVKLIEG >DRNTG_07247.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9504605:9510985:-1 gene:DRNTG_07247 transcript:DRNTG_07247.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT3G10060) UniProtKB/TrEMBL;Acc:A0A178V516] MTSRQGLGVGGGTPYGFDVGQSEKGSVLKGLDLGVEGMRVGGQRLLIVPPELAYGSKGVQEIPPNATIELDVELLSIKQSPFGTGVKLIEG >DRNTG_07247.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9504605:9509590:-1 gene:DRNTG_07247 transcript:DRNTG_07247.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT3G10060) UniProtKB/TrEMBL;Acc:A0A178V516] MTSRQGLGVGGGTPYGFDVGQSEKGSVLKGLDLGVEGMRVGGQRLLIVPPELAYGSKGVQEIPPNATIELDVELLSIKQSPFGTGVKLIEG >DRNTG_10758.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23192246:23193138:1 gene:DRNTG_10758 transcript:DRNTG_10758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTPHARDVDVGATPAASSLSFLLSRLLVAASSVRERRNTASSSNRHRLQDEEGLAKSHQIGLDPRDLNSDWPDLEEISPARAISQSPDVKGL >DRNTG_34527.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21114235:21114806:1 gene:DRNTG_34527 transcript:DRNTG_34527.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFPPFYEEEDDDDEHHLHHQLQQEQHGLLSCWGKLKHVFPWRKLRRHVRRRHSRVPVKRGSFKYDPLSYAQNFDDGGKDEDEENLSRGFSSRFVALNASTSIKQSGGG >DRNTG_05297.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2539813:2546059:1 gene:DRNTG_05297 transcript:DRNTG_05297.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDCNLVEYDNGNAIWASGTNGRGSSCYVTLQSDGNLVIYDNNNNAVWASNTNVGQGNYVLILQKDRNVVIYGGALWATNTNTVGVSRGMFIQSKATIFGSLPANETTAEAKAARISMVVNK >DRNTG_23150.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17008014:17018635:1 gene:DRNTG_23150 transcript:DRNTG_23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTCLSMGRGLYCPPCHMLELCGFRVLLECPLDLSALTVFAPVIPNSATDLIRAVPWYKTVKSLHLWDPSLIDFVLISTPYAMLGLPFLTRLRDFSGKIYATEAVVRIGCLMMEELVSMHAEYNQFYGPDERPGCPGWMEWEELEKLSLELRDIVMGKDGEELGSWMPLYSATDVKECMLKIHFLRYGEEACYNSTLILKSFSSGLEIGSSNWMINGPRRNFTYLSSSIFKSAHAMGFDYYSLRGNDLILFSDLSVEVMHDGPNDANGCKIYNETVVEDPLPYHISAVGANCASDQDLVKCLLENDEILEESDKIAFICSCVLDSLNEGGSVLIPIGRIGIVHFLLEKIWQYLELSNMMRRY >DRNTG_23150.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17014769:17016260:1 gene:DRNTG_23150 transcript:DRNTG_23150.6 gene_biotype:protein_coding transcript_biotype:protein_coding FLKVPIFMISTISEEILASMNAMPEWLCEERQLKLFSGEPLFGHIELVKEKKLYLFPVLNSSKLS >DRNTG_23150.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17008014:17008794:1 gene:DRNTG_23150 transcript:DRNTG_23150.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTCLSMGRGLYCPPCHMLELCGFRVLLECPLDLSALTVFAPVIPNSATDLIRAVPWYKTVKSLHLWDPSLIDFVLISTPYAMLGLPFLTRLRDFSGKIYATEAVVRIGCLMMEELVSMHAEYNQFYGPDERPGCPGWMEWEELEKLSLELRDIVMGKDGEELGSWMPLYR >DRNTG_23150.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17008014:17016260:1 gene:DRNTG_23150 transcript:DRNTG_23150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTCLSMGRGLYCPPCHMLELCGFRVLLECPLDLSALTVFAPVIPNSATDLIRAVPWYKTVKSLHLWDPSLIDFVLISTPYAMLGLPFLTRLRDFSGKIYATEAVVRIGCLMMEELVSMHAEYNQFYGPDERPGCPGWMEWEELEKLSLELRDIVMGKDGEELGSWMPLYSATDVKECMLKIHFLRYGEEACYNSTLILKSFSSGLEIGSSNWMINGPRRNFTYLSSSIFKSAHAMGFDYYSLRGNDLILFSDLSVEVMHDGPNDANGCKIYNETVVEDPLPYHISAVGANCASDQDLVKCLLENDEILEESDKIAFICSCVLDSLNEGGSVLIPIGRIGIVHFLLEKIWQYLELSNMMVPIFMISTISEEILASMNAMPEWLCEERQLKLFSGEPLFGHIELVKEKKLYLFPVLNSSKLS >DRNTG_23150.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17008014:17012084:1 gene:DRNTG_23150 transcript:DRNTG_23150.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTCLSMGRGLYCPPCHMLELCGFRVLLECPLDLSALTVFAPVIPNSATDLIRAVPWYKTVKSLHLWDPSLIDFVLISTPYAMLGLPFLTRLRDFSGKIYATEAVVRIGCLMMEELVSMHAEYNQFYGPDERPGCPGWMEWEELEKLSLELRDIVMGKDGEELGSWMPLYRL >DRNTG_23150.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17008014:17013795:1 gene:DRNTG_23150 transcript:DRNTG_23150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTCLSMGRGLYCPPCHMLELCGFRVLLECPLDLSALTVFAPVIPNSATDLIRAVPWYKTVKSLHLWDPSLIDFVLISTPYAMLGLPFLTRLRDFSGKIYATEAVVRIGCLMMEELVSMHAEYNQFYGPDERPGCPGWMEWEELEKLSLELRDIVMGKDGEELGSWMPLYSATDVKECMLKIHFLRYGEEACYNSTLILKSFSSGLEIGSSNWMINGPRRNFTYLSSSIFKSAHAMGFDYYSLRGNDLILFSDLSVEVMHDGPNDANGCKIYNETVVEDPLPYHISAVGANCASDQDLVKCLLENDEILEESDKIAFICSCVLDSLNEGGSVLIPIGRIGIVHFLLEKIWQYLELSNMMVGSFSLNLLAFNIYVFFLHFDPISCSLNDMSCQSSNIVGMHPCALYFSCNL >DRNTG_23150.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17008014:17016260:1 gene:DRNTG_23150 transcript:DRNTG_23150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTCLSMGRGLYCPPCHMLELCGFRVLLECPLDLSALTVFAPVIPNSATDLIRAVPWYKTVKSLHLWDPSLIDFVLISTPYAMLGLPFLTRLRDFSGKIYATEAVVRIGCLMMEELVSMHAEYNQFYGPDERPGCPGWMEWEELEKLSLELRDIVMGKDGEELGSWMPLYSATDVKECMLKIHFLRYGEEACYNSTLILKSFSSGLEIGSSNWMINGPRRNFTYLSSSIFKSAHAMGFDYYSLRGNDLILFSDLSVEVMHDGPNDANGCKIYNETVVEDPLPYHISAVGANCASDQDLVKCLLENDEILEESDKIAFICSCVLDSLNEGGSVLIPIGRIGIVHFLLEKIWQYLELSNMMRRY >DRNTG_22406.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22603117:22603494:1 gene:DRNTG_22406 transcript:DRNTG_22406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLVILDIGENKISEQLSKLSSLQILDLAHNNLSGCIPHSFGDFKAMVATSHDERWSLLSIDGEILSSDYEMDNSPNSFLHWEYLLISTKGLQM >DRNTG_32669.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18530795:18541903:1 gene:DRNTG_32669 transcript:DRNTG_32669.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLDSCSIYIWTDNKKPSAHNLAWDYDIVITTFTRLSAEWGPRKRSVLMQIHWLRVMLDEGHTLGSSLSLTNKLQMAISLVASNRWILTGTPTPNTPNNQVAHLQPMLKFLHDEAYGQNQDLWEAGILRPFEAHMEEGRARLLQLLQRTMISARKKDLKSIPPCTKKVTFLNFNEDHAWSYNELVATVRRNILMADWNDPSHVESLLNPKQWKFRGSTIRNVRLSCCVAGHIKVTDAGQDIQETMDMLVQQGLHPLSEEYSHIRISLLNGCSCSRCEDWCRLPIITPCRHLLCLGCVSLDSEKCTFPGCQNHYEMQSPEILTRPENPNPKWPVPKDLIELQPSYKQDDWDPDWQSTSSSKVAYLLGRLKDLYESNRKIDYCVSGLDNCKVLETSQKNHSCIPTIQGVSMKRYGEYSCLLPDKVIIFSQFLEHIHVIEQQLTVAGIKYAGMYSPLHSDKKMKALAIFQNDPSCLALLMDGSAALGLDLSFVTYVFLMEPIWDRSMEEQVISRAHRMGAVRPISVETLVMHGTIEEQMVAFLQDIDLCQRALKQEFSKADGGVTRPHRTLHDFAESNYLAQLSFVRTNAK >DRNTG_32669.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:18530795:18541903:1 gene:DRNTG_32669 transcript:DRNTG_32669.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLDSCSIYIWTDNKKPSAHNLAWDYDIVITTFTRLSAEWGPRKRSVLMQIHWLRVMLDEGHTLGSSLSLTNKLQMAISLVASNRWILTGTPTPNTPNNQVAHLQPMLKFLHDEAYGQNQDLWEAGILRPFEAHMEEGRARLLQLLQRTMISARKKDLKSIPPCTKKVTFLNFNEDHAWSYNELVATVRRNILMADWNDPSHVESLLNPKQWKFRGSTIRNVRLSCCVAGHIKVTDAGQDIQETMDMLVQQGLHPLSEEYSHIRISLLNGCSCSRCEDWCRLPIITPCRHLLCLGCVSLDSEKCTFPGCQNHYEMQSPEILTRPENPNPKWPVPKDLIELQPSYKQDDWDPDWQSTSSSKVAYLLGRLKDLYESNRKIDYCVSGLDNCKVLETSQKNHSCIPTIQGVSMKRYGEYSCLLPDKVIIFSQFLEHIHVIEQQLTVAGIKYAGMYSPLHSDKKMKALAIFQNDPSCLALLMDGSAALGLDLSFVTYVFLMEPIWDRSMEEQVISRAHRMGAVRPISVETLVMHGTIEEQMVAFLQDIDLCQRALKQEFSKADGGVTRPHRTLHDFAESNYLAQLSFVRTNAK >DRNTG_18067.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:174490:178473:-1 gene:DRNTG_18067 transcript:DRNTG_18067.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNYSSDLSSFMPDDEFVELLWEKGQILVQGPSNRSNKSYFTSNASSQTGKAHGKDGNVKMGRFSSMDQVVDELSPTVPSVVRLSSQEDDNNNDPWMNYPIEDPLFQIDYCSEFLSEFSGVDLNSVTTNAKTTAERITSFGCGRASQNIQVGNASRGITEGSDPPSRIRKSQLFHLPQQCQTAIPNSKPKEATEFRNHGITSRHQGSSTDGVGVGVGVGDGSALLKTWSQKKQDTASTKVPQASSGGQGGAGLMNFSHFSRAASSFKANLQGSDRLRSNEKASTAGSSKPLESSLINSNSGFKSISGPIQCKPASTLLHDVVQRSSTKCTQDVASVQQAEAHKCHGKVAIASPKPSDQSKGQSTGLSGRQETEKAPEAPVASSVCSGNSVGAASNDPKYRAVKRKCREGEESQYQSDDVEDDSVGLKISDSAHCKSAKRSRAAEVHNLSERRRRDRINERMRALQELIPNCNKVDKASMLDEAIEYLKTLQLQVQIMSMGAGLCMPPMMMPPAMQHLRAAPMYSPMGLGMSMGMGMGMGYGMGMFDMNGSPSLHGLPGGPASLQMFGIPGQGLPIPTPQLPQYTPYSTHPMRPNTMGERSGTTSPVSAALPVVETAPSSNSKDLPHLKVNSEAPHQTKADDSPIPSSSI >DRNTG_10665.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000448.1:38708:43538:1 gene:DRNTG_10665 transcript:DRNTG_10665.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVCSASLIDREPLIGSCNPLFGSIFRNVAWVEAICWIGASGSVLEISGDFLTGLIWSGATSVVLNPMGHRRRGPTGRGLDKEVDEGEGQARNT >DRNTG_21860.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19351003:19351296:-1 gene:DRNTG_21860 transcript:DRNTG_21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSCITSCSSPHFSSFGLFDFSSAGFVIRKPVWVKFIIPEIYKSVSPTENEVDFHRIGQVHSIFK >DRNTG_06347.32 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24274264:24281854:-1 gene:DRNTG_06347 transcript:DRNTG_06347.32 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLASLGRLASGATVAYAGTKMLTRQWMYNQNLPRRQGLTNEFILGVDEFIQFAINQDDSYKNGENIRCPCFKCKNRRFLHPNDVTLHLYRRGFRECYWNWTCHGEEVFPISEELKEVDDQTSYHIAQEDEQMTWDQRMIYDCLRSHVPPIHSLL >DRNTG_06347.21 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24270685:24281854:-1 gene:DRNTG_06347 transcript:DRNTG_06347.21 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLVLIGRGRPKRLFIIVNPFGGKKVAPEIFQNEVRPLMEAAEILYTVQGVVLYTFFPFLRMKCHLELVHLYLLLISRYTCLYFLKGTYYSII >DRNTG_06347.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24268246:24281854:-1 gene:DRNTG_06347 transcript:DRNTG_06347.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLVLIGRGRPKRLFIIVNPFGGKKVAPEIFQNEVRPLMEAAEILYTVQGVVLYTFFPFLRMKCHLELVHLYLLLISRYTCLYFLKGTYYSII >DRNTG_06347.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24268246:24281854:-1 gene:DRNTG_06347 transcript:DRNTG_06347.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLVLIGRGRPKRLFIIVNPFGGKKVAPEIFQNEVRPLMEAAEILYTVQGVVLYTFFPFLRMKCHLELVHLYLLLISRCIKMAIAHDIAEGNQY >DRNTG_06347.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24268706:24281854:-1 gene:DRNTG_06347 transcript:DRNTG_06347.13 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRQWMYNQNLPRRQGLTNEFILGVDEFIQFAINQDDSYKNGENIRCPCFKCKNRRFLHPNDVTLHLYRRGFRECYWNWTCHGEEVFPISEELKEVDDQTSYHIAQEDEQMTWDQRMIYDCLRSHVPPIHSLL >DRNTG_06347.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24268246:24281854:-1 gene:DRNTG_06347 transcript:DRNTG_06347.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLVLIGRGRPKRLFIIVNPFGGKKVAPEIFQNEVRPLMEAAEILYTVQGVVLYTFFPFLRMKCHLELVHLYLLLISRCIKMAIAHDIAEGNQY >DRNTG_06347.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24268706:24281854:-1 gene:DRNTG_06347 transcript:DRNTG_06347.12 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLVLIGRGRPKRLFIIVNPFGGKKVAPEIFQNEVRPLMEAAEILYTVQGVVLYTFFPFLRMKCHLELVHLYLLLISRCIKMAIAHDIAEGNQY >DRNTG_06347.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24268706:24281854:-1 gene:DRNTG_06347 transcript:DRNTG_06347.17 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLVLIGRGRPKRLFIIVNPFGGKKVAPEIFQNEVRPLMEAAEILYTVQGVVLYTFFPFLRMKCHLELVHLYLLLISRCIKMAIAHDIAEGNQY >DRNTG_06347.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24268246:24281854:-1 gene:DRNTG_06347 transcript:DRNTG_06347.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRQWMYNQNLPRRQGLTNEFILGVDEFIQFAINQDDSYKNGENIRCPCFKCKNRRFLHPNDVTLHLYRRGFRECYWNWTCHGEEVFPISEELKEVDDQTSYHIAQEDEQMTWDQRMIYDCLRSHVPPIHSLL >DRNTG_06347.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24268706:24281854:-1 gene:DRNTG_06347 transcript:DRNTG_06347.15 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRQWMYNQNLPRRQGLTNEFILGVDEFIQFAINQDDSYKNGENIRCPCFKCKNRRFLHPNDVTLHLYRRGFRECYWNWTCHGEEVFPISEELKEVDDQTSYHIAQEDEQMTWDQRMIYDCLRSHVPPIHSLL >DRNTG_06347.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24268706:24281854:-1 gene:DRNTG_06347 transcript:DRNTG_06347.18 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLVLIGRGRPKRLFIIVNPFGGKKVAPEIFQNEVRPLMEAAEILYTVQGWCVDQ >DRNTG_06347.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24268246:24281854:-1 gene:DRNTG_06347 transcript:DRNTG_06347.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRQWMYNQNLPRRQGLTNEFILGVDEFIQFAINQDDSYKNGENIRCPCFKCKNRRFLHPNDVTLHLYRRGFRECYWNWTCHGEEVFPISEELKEVDDQTSYHIAQEDEQMTWDQRMIYDCLRSHVPPIHSLL >DRNTG_06347.28 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24273234:24281854:-1 gene:DRNTG_06347 transcript:DRNTG_06347.28 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQFDKALADKRDQGEDENSINQNELWDLIAIGSRNRVLGKGNISKKMSSINYKTRSGHSQSSGQLYDQIKELRAELAKTQAKHNAMLAEHDIMRAKHDAMWIEWARRESFEMSLLAALRLKGIDLSDMHVATLTPSIPRAPTVEAQSHVDEHSPTRKRLRTTLVADNTLDDPNDEEDIC >DRNTG_06347.22 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24270685:24281854:-1 gene:DRNTG_06347 transcript:DRNTG_06347.22 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLVLIGRGRPKRLFIIVNPFGGKKVAPEIFQNEVRPLMEAAEILYTVQGVVLYTFFPFLRMKCHLELVHLYLLLISRYTCLYFLKGTYYSII >DRNTG_06347.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24268246:24281854:-1 gene:DRNTG_06347 transcript:DRNTG_06347.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLASLGRLASGATVAYAGTKMLTRQWMYNQNLPRRQGLTNEFILGVDEFIQFAINQDDSYKNGENIRCPCFKCKNRRFLHPNDVTLHLYRRGFRECYWNWTCHGEEVFPISEELKEVDDQTSYHIAQEDEQMTWDQRMIYDCLRSHVPPIHSLL >DRNTG_06347.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24268246:24281854:-1 gene:DRNTG_06347 transcript:DRNTG_06347.9 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLVLIGRGRPKRLFIIVNPFGGKKVAPEIFQNEVRPLMEAAEILYTVQGVVLYTFFPFLRMKCHLELVHLYLLLISRCIKMAIAHDIAEGNQY >DRNTG_06347.25 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24270685:24281854:-1 gene:DRNTG_06347 transcript:DRNTG_06347.25 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLVLIGRGRPKRLFIIVNPFGGKKVAPEIFQNEVRPLMEAAEILYTVQGVVLYTFFPFLRMKCHLELVHLYLLLISRYTCLYFLKGTYYSII >DRNTG_06347.30 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24273234:24281854:-1 gene:DRNTG_06347 transcript:DRNTG_06347.30 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQFDKALADKRDQGEDENSINQNELWDLIAIGSRNRVLGKGNISKKMSSINYKTRSGHSQSSGQLYDQIKELRAELAKTQAKHNAMLAEHDIMRAKHDAMWIEWARRESFEMSLLAALRLKGIDLSDMHVATLTPSIPRAPTVEAQSHVDEHSPTRKRLRTTLVADNTLDDPNDEEDIC >DRNTG_06347.31.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24274264:24281854:-1 gene:DRNTG_06347 transcript:DRNTG_06347.31 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRQWMYNQNLPRRQGLTNEFILGVDEFIQFAINQDDSYKNGENIRCPCFKCKNRRFLHPNDVTLHLYRRGFRECYWNWTCHGEEVFPISEELKEVDDQTSYHIAQEDEQMTWDQRMIYDCLRSHVPPIHSLL >DRNTG_06347.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24268246:24281854:-1 gene:DRNTG_06347 transcript:DRNTG_06347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLVLIGRGRPKRLFIIVNPFGGKKVAPEIFQNEVRPLMEAAEILYTVQGVVLYTFFPFLRMKCHLELVHLYLLLISRCIKMAIAHDIAEGNQY >DRNTG_06347.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24268706:24281854:-1 gene:DRNTG_06347 transcript:DRNTG_06347.14 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLVLIGRGRPKRLFIIVNPFGGKKVAPEIFQNEVRPLMEAAEILYTVQGVVLYTFFPFLRMKCHLELVHLYLLLISRCIKMAIAHDIAEGNQY >DRNTG_06347.27 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24271822:24281854:-1 gene:DRNTG_06347 transcript:DRNTG_06347.27 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLASLGRLASGATVAYAGTKMLTRQWMYNQNLPRRQGLTNEFILGVDEFIQFAINQDDSYKNGENIRCPCFKCKNRRFLHPNDVTLHLYRRGFRECYWNWTCHGEEVFPISEELKEVDDQTSYHIAQEDEQMTWDQRMIYDCLRSHVPPIHSLL >DRNTG_06347.26 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24270685:24281854:-1 gene:DRNTG_06347 transcript:DRNTG_06347.26 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLVLIGRGRPKRLFIIVNPFGGKKVAPEIFQNEVRPLMEAAEILYTVQGWCVDQ >DRNTG_06347.16.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24268706:24281854:-1 gene:DRNTG_06347 transcript:DRNTG_06347.16 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRQWMYNQNLPRRQGLTNEFILGVDEFIQFAINQDDSYKNGENIRCPCFKCKNRRFLHPNDVTLHLYRRGFRECYWNWTCHGEEVFPISEELKEVDDQTSYHIAQEDEQMTWDQRMIYDCLRSHVPPIHSLL >DRNTG_06347.34.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24270685:24275269:-1 gene:DRNTG_06347 transcript:DRNTG_06347.34 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGTKMLTRQWMYNQNLPRRQGLTNEFILGVDEFIQFAINQDDSYKNGENIRCPCFKCKNRRFLHPNDVTLHLYRRGFRECYWNWTCHGEEVFPISEELKEVDDQTSYHIAQEDEQMTWDQRMIYDCLRSHVPPIHSLL >DRNTG_06347.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24268246:24281854:-1 gene:DRNTG_06347 transcript:DRNTG_06347.11 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRQWMYNQNLPRRQGLTNEFILGVDEFIQFAINQDDSYKNGENIRCPCFKCKNRRFLHPNDVTLHLYRRGFRECYWNWTCHGEEVFPISEELKEVDDQTSYHIAQEDEQMTWDQRMIYDCLRSHVPPIHSLL >DRNTG_06347.29 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24273234:24281854:-1 gene:DRNTG_06347 transcript:DRNTG_06347.29 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQFDKALADKRDQGEDENSINQNELWDLIAIGSRNRVLGKGNISKKMSSINYKTRSGHSQSSGQLYDQIKELRAELAKTQAKHNAMLAEHDIMRAKHDAMWIEWARRESFEMSLLAALRLKGIDLSDMHVATLTPSIPRAPTVEAQSHVDEHSPTRKRLRTTLVADNTLDDPNDEEDIC >DRNTG_06347.20 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24270685:24281854:-1 gene:DRNTG_06347 transcript:DRNTG_06347.20 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLVLIGRGRPKRLFIIVNPFGGKKVAPEIFQNEVRPLMEAAEILYTVQGWCVDQ >DRNTG_06347.37.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24274264:24275269:-1 gene:DRNTG_06347 transcript:DRNTG_06347.37 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGTKMLTRQWMYNQNLPRRQGLTNEFILGVDEFIQFAINQDDSYKNGENIRCPCFKCKNRRFLHPNDVTLHLYRRGFRECYWNWTCHGEEVFPISEELKEVDDQTSYHIAQEDEQMTWDQRMIYDCLRSHVPPIHSLL >DRNTG_06347.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24270685:24281854:-1 gene:DRNTG_06347 transcript:DRNTG_06347.19 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLASLGRLASGATVAYAGTKMLTRQWMYNQNLPRRQGLTNEFILGVDEFIQFAINQDDSYKNGENIRCPCFKCKNRRFLHPNDVTLHLYRRGFRECYWNWTCHGEEVFPISEELKEVDDQTSYHIAQEDEQMTWDQRMIYDCLRSHVPPIHSLL >DRNTG_06347.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24268246:24281854:-1 gene:DRNTG_06347 transcript:DRNTG_06347.10 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLVLIGRGRPKRLFIIVNPFGGKKVAPEIFQNEVRPLMEAAEILYTVQGVVLYTFFPFLRMKCHLELVHLYLLLISRCIKMAIAHDIAEGNQY >DRNTG_06347.23 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24270685:24281854:-1 gene:DRNTG_06347 transcript:DRNTG_06347.23 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLVLIGRGRPKRLFIIVNPFGGKKVAPEIFQNEVRPLMEAAEILYTVQGVVLYTFFPFLRMKCHLELVHLYLLLISRYTCLYFLKGTYYSII >DRNTG_06347.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24268246:24281854:-1 gene:DRNTG_06347 transcript:DRNTG_06347.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRQWMYNQNLPRRQGLTNEFILGVDEFIQFAINQDDSYKNGENIRCPCFKCKNRRFLHPNDVTLHLYRRGFRECYWNWTCHGEEVFPISEELKEVDDQTSYHIAQEDEQMTWDQRMIYDCLRSHVPPIHSLL >DRNTG_06347.38 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24270685:24271151:-1 gene:DRNTG_06347 transcript:DRNTG_06347.38 gene_biotype:protein_coding transcript_biotype:protein_coding GRPKRLFIIVNPFGGKKVAPEIFQNEVRPLMEAAEILYTVQGVVLYTFFPFLRMKCHLELVHLYLLLISRYTCLYFLKGTYYSII >DRNTG_06347.24 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24270685:24281854:-1 gene:DRNTG_06347 transcript:DRNTG_06347.24 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLVLIGRGRPKRLFIIVNPFGGKKVAPEIFQNEVRPLMEAAEILYTVQGWCCFIYIFSLSENEVSP >DRNTG_30291.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001555.1:18239:19108:-1 gene:DRNTG_30291 transcript:DRNTG_30291.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNRDPYEGLFYQEEDNEEVMMLGSGARRGYFPHANARAFIGSECFPEITQGRASAHVRLSCVDAQAWIAEEEGDDAEVFHPAPEPQSAPMETEAPPKTEDPPPVCMFSPSRVQ >DRNTG_15815.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19599689:19607826:-1 gene:DRNTG_15815 transcript:DRNTG_15815.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGSRSRSALGSAAAREVGVSSINSSSSFSGFSTSPFLARLARRSKS >DRNTG_14469.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000693.1:72998:73368:-1 gene:DRNTG_14469 transcript:DRNTG_14469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDCAPTSSYSTPILVFFSPSFPQLVRGLRLGFRGILAKVLEKFYGSDIVIPLGRRLVGELRSRHILYRMKESLDDE >DRNTG_13374.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2356648:2362115:-1 gene:DRNTG_13374 transcript:DRNTG_13374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKPTIVTNAEGQRTTPSVVAYTKTGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDDESKQVSYRVVRDENGNVKLECPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRVVDWLAGNFKRDEGIDLLKDKQALQRLTETAEKAKMELSSLTQTNISLPFITATAEGPKHIETTITRAKFEELCSDLLDRLKTPVENSLRDAKLSYKDLDEVILVGGSTRIPAVQELVKKMTGKDPNVTVNPDEVVALGAAVQAGVLAGDVSDIVLLDVTPLSIGLETFGWGDDKDHPKEYNTAYIQIRGLFYSCRWADKC >DRNTG_06256.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8288285:8295444:1 gene:DRNTG_06256 transcript:DRNTG_06256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRLLKELQHLQRDPPTSCSAEPVGDDLFHWKAAIMGPADSPYAGGVFFVDIHFSPEYPFRPPYVKFQTKVYHPNINSNGNICLDILNEQWSPALTISKVLLSISSLLTDPNPDHPLVPAIARICKNQRALYEETAREWTQKYAMG >DRNTG_06256.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8295058:8295444:1 gene:DRNTG_06256 transcript:DRNTG_06256.3 gene_biotype:protein_coding transcript_biotype:protein_coding QVYHPNINSNGNICLDILNEQWSPALTISKVLLSISSLLTDPNPDHPLVPAIARICKNQRALYEETAREWTQKYAMG >DRNTG_06256.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8293527:8295444:1 gene:DRNTG_06256 transcript:DRNTG_06256.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRLLKELQHLQRDPPTSCSAEPVGDDLFHWKAAIMGPADSPYAGGVFFVDIHFSPEYPFRPPYVKFQTKVYHPNINSNGNICLDILNEQWSPALTISKVLLSISSLLTDPNPDHPLVPAIARICKNQRALYEETAREWTQKYAMG >DRNTG_17903.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17211469:17214120:1 gene:DRNTG_17903 transcript:DRNTG_17903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLLPTLNRVLIEKIVPPSKTSGGILLPEKLNKLNSGKVVAVGPGARDRDGKLIPVSVKEGDTVLLPEYGGATVNLGEKEYHLYRDDDILGTLHD >DRNTG_34449.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8044681:8047035:1 gene:DRNTG_34449 transcript:DRNTG_34449.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPIISSGLGKMEDAGPEQLQPFTGSVEHPNAEASFSPAIPPDQSNAHADDGSDDLWWEDENEGSDDGFSRASLLNREWQRRHEQFHTMGYRDGIAAGKEASAQEGFNEGFKQSVHIGYNWGLVRGITSTLSSLSDNLKGKLVGDPEKRESFQNLYSSVQKVSTNDALKMFHDSIKHSGSRQSIDLVEDFQQLTVTGEGIRNEQLDTHFKRAHVAYI >DRNTG_34449.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8044681:8047035:1 gene:DRNTG_34449 transcript:DRNTG_34449.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPIISSGLGKMEDAGPEQLQPFTGSVEHPNAEASFSPAIPPDQSNAHVLISADDGSDDLWWEDENEGSDDGFSRASLLNREWQRRHEQFHTMGYRDGIAAGKEASAQEGFNEGFKQSVHIGYNWGLVRGITSTLSSLSDNLKGKLVGDPEKRESFQNLYSSVQKVSTNDALKMFHDSIKHSGSRQSIDLVEDFQQLTVTGEGIRNEQLDTHFKRAHVAYI >DRNTG_34449.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8044681:8047035:1 gene:DRNTG_34449 transcript:DRNTG_34449.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAGPEQLQPFTGSVEHPNAEASFSPAIPPDQSNAHADDGSDDLWWEDENEGSDDGFSRASLLNREWQRRHEQFHTMGYRDGIAAGKEASAQEGFNEGFKQSVHIGYNWGLVRGITSTLSSLSDNLKGKLVGDPEKRESFQNLYSSVQKVSTNDALKMFHDSIKHSGSRQSIDLVEDFQQLTVTGEGIRNEQLDTHFKRAHVAYI >DRNTG_34449.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8044681:8047081:1 gene:DRNTG_34449 transcript:DRNTG_34449.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIISSGLGKMEDAGPEQLQPFTGSVEHPNAEASFSPAIPPDQSNAHVLISADDGSDDLWWEDENEGSDDGFSRASLLNREWQRRHEQFHTMGYRDGIAAGKEASAQEGFNEGFKQSVHIGYNWGLVRGITSLQLMQYPIQPFR >DRNTG_34449.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8044681:8047081:1 gene:DRNTG_34449 transcript:DRNTG_34449.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIISSGLGKMEDAGPEQLQPFTGSVEHPNAEASFSPAIPPDQSNAHADDGSDDLWWEDENEGSDDGFSRASLLNREWQRRHEQFHTMGYRDGIAAGKEASAQEGFNEGFKQSVHIGYNWGLVRGITSTLSSLSDNLKGKLVGDPEKRESFQNLYSSVQKVSTNDALKMFHDSIKHSGSRQSIDLVEDFQQLTVTGEGIRNEQLDTHFKRAHVAYI >DRNTG_34449.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8044681:8047081:1 gene:DRNTG_34449 transcript:DRNTG_34449.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAGPEQLQPFTGSVEHPNAEASFSPAIPPDQSNAHVLISADDGSDDLWWEDENEGSDDGFSRASLLNREWQRRHEQFHTMGYRDGIAAGKEASAQEGFNEGFKQSVHIGYNWGLVRGITSLQLMQYPIQPFR >DRNTG_34449.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8044681:8047035:1 gene:DRNTG_34449 transcript:DRNTG_34449.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPIISSGLGKMEDAGPEQLQPFTGSVEHPNAEASFSPAIPPDQSNAHDDGSDDLWWEDENEGSDDGFSRASLLNREWQRRHEQFHTMGYRDGIAAGKEASAQEGFNEGFKQSVHIGYNWGLVRGITSTLSSLSDNLKGKLVGDPEKRESFQNLYSSVQKVSTNDALKMFHDSIKHSGSRQSIDLVEDFQQLTVTGEGIRNEQLDTHFKRAHVAYI >DRNTG_34449.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8044681:8047035:1 gene:DRNTG_34449 transcript:DRNTG_34449.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAGPEQLQPFTGSVEHPNAEASFSPAIPPDQSNAHVLISADDGSDDLWWEDENEGSDDGFSRASLLNREWQRRHEQFHTMGYRDGIAAGKEASAQEGFNEGFKQSVHIGYNWGLVRGITSTLSSLSDNLKGKLVGDPEKRESFQNLYSSVQKVSTNDALKMFHDSIKHSGSRQSIDLVEDFQQLTVTGEGIRNEQLDTHFKRAHVAYI >DRNTG_34449.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8044681:8047081:1 gene:DRNTG_34449 transcript:DRNTG_34449.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAGPEQLQPFTGSVEHPNAEASFSPAIPPDQSNAHDDGSDDLWWEDENEGSDDGFSRASLLNREWQRRHEQFHTMGYRDGIAAGKEASAQEGFNEGFKQSVHIGYNWGLVRGITSTLSSLSDNLKGKLVGDPEKRESFQNLYSSVQKVSTNDALKMFHDSIKHSGSRQSIDLVEDFQQLTVTGEGIRNEQLDTHFKRAHVAYI >DRNTG_34449.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8045456:8047035:1 gene:DRNTG_34449 transcript:DRNTG_34449.10 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAGPEQLQPFTGSVEHPNAEASFSPAIPPDQSNAHVLISADDGSDDLWWEDENEGSDDGFSRASLLNREWQRRHEQFHTMGYRDGIAAGKEASAQEGFNEGFKQSVHIGYNWGLVRGITSTLSSLSDNLKGKLVGDPEKRESFQNLYSSVQKVSTNDALKMFHDSIKHSGSRQSIDLVEDFQQLTVTGEGIRNEQLDTHFKRAHVAYI >DRNTG_23441.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21278676:21280366:-1 gene:DRNTG_23441 transcript:DRNTG_23441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAIVQMQNDINSMKDDVKFVKDKISSYETDIKSIIDSIKDLKDTVDKNGKDLNQSIQNISTGTSPGAGSGGPSTLPPNASLADIVKFLNELHATLVNNKVI >DRNTG_09795.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15543208:15544750:1 gene:DRNTG_09795 transcript:DRNTG_09795.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEFNLNVQGCSVWWLDEIGEDKGVKEIKSDLDALKMSMSVGPRKEVCVYIRLSSIGCVAGINAEGCNEDFVEPEQVDEVVEDVDLEDMLVRGKGQGGPIDEEDKFEDSDYSLDGDTDVEDGENTTRPGVEVGIEEETVQMNNDDEVESEYANSDELQSCSSTDEDNLVPTRARYAEFNEEVDMAIEWNTWPKSDQPPIIPPEPVNKRRGRKTLLRRQEDGEETGFNKGKVSRQGGKMTCSVCGQVGHNKRYHGAQQARKEDEMQVNKDFSIVQMHGETSEFINVQVHGTTTPSSLTEFRVSTEESHKSVPPATTNTSSGANIGIEHPTAQANTDTLHTVNLEQPSGGTQLKIPKLPTRGVKKFTTTSNKETQNGSNVEQKKAKDTARVGGADKRRRVWLPPGSGTSAGQL >DRNTG_26155.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29313072:29316914:-1 gene:DRNTG_26155 transcript:DRNTG_26155.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAVASRHAFAAAHSVYAISLKNTGAALSDFGHGESIIVHPPFSSSASTSSSSVAVAVPLPPPIEAPPPPPPPPAEVGTPTSSIQRATSMPNISSLKSSQSHHDSLPIPEDDPVPDYPVSQSEIMEEKPAETPDNIVEEPLRSSKQGRKEKRVKMAGVVSTSTQKNVSLLHILNELDDHFLKASESAHEVSKMLEVTRMHYHSNFAESQGRIDHSAKLLRVITWNRSHTSEDDGADKDEFETHATVLDKMLAWEKKLYDEVKASELMKIEYQNKIALLNKQKKRNSNPETIERTKAAVSHLHTRYIVDMQSMDSTIAEINRLRDHRLYTKLVALVDGMSKMWETMHSHHVSQCKISGELRALDTSNAPRETSEHQYDRTVQLWQVVGEWHSQLQKLITHQKEYIYNLNEWLKLNLIPIESNLKEKVSSPSQIQRPPIQALLLTWHEQLEKLPDSLAKGAIFNFSAVINAIIISQQEELKLKEKCEESKREYTRKLRAFEEWSRKYSQKMTTLSSSEVSDPPSNDEIIQTDPSQERKLAVETAKSKLDSDVEAYQKLCKKVREKSLATLKNHLPELFRSLSEFALACSEMYKKLNIRRPEEPDAPNA >DRNTG_26155.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29313072:29316914:-1 gene:DRNTG_26155 transcript:DRNTG_26155.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEYQNKIALLNKQKKRNSNPETIERTKAAVSHLHTRYIVDMQSMDSTIAEINRLRDHRLYTKLVALVDGMSKMWETMHSHHVSQCKISGELRALDTSNAPRETSEHQYDRTVQLWQVVGEWHSQLQKLITHQKEYIYNLNEWLKLNLIPIESNLKEKVSSPSQIQRPPIQALLLTWHEQLEKLPDSLAKGAIFNFSAVINAIIISQQEELKLKEKCEESKREYTRKLRAFEEWSRKYSQKMTTLSSSEVSDPPSNDEIIQTDPSQERKLAVETAKSKLDSDVEAYQKLCKKVREKSLATLKNHLPELFRSLSEFALACSEMYKKLNIRRPEEPDAPNA >DRNTG_26155.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29313072:29316914:-1 gene:DRNTG_26155 transcript:DRNTG_26155.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAVASRHAFAAAHSVYAISLKNTGAALSDFGHGESIIVHPPFSSSASTSSSSVAVAVPLPPPIEAPPPPPPPPAEVGTPTSSIQRATSMPNISSLKSSQSHHDSLPIPEDDPVPDYPVSQSEIMEEKPAETPDNIVEEPLRSSKQGRKEKRVKMAGVVSTSTQKNVSLLHILNELDDHFLKASESAHEVSKMLEVTRMHYHSNFAESQGRIDHSAKLLRVITWNRSHTSEDDGADKDEFETHATVLDKMLAWEKKLYDEVKVKWILVLFFFIFYVNMWDYMGKFSSYFC >DRNTG_12322.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29539898:29545621:1 gene:DRNTG_12322 transcript:DRNTG_12322.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKGGGVAASVLVSLLLLPLVAPSSAEGLVRIGLKKKPIDENSRLAARLSSEEGRVLSDRRYGLRNGLGEAGADTDIIALKNYMNAQYFGEIGIGTPSQKFTVIFDTGSSNLWIPSAKCYFSLACFFHSKYKASQSSTYKKNGKRADIRYGTGAISGFFSEDHVKIGGVVAEDQAFIEATREPSVTFLVAKFDGILGLGFQEISVGNAKPVWYNMVEQGLVKEPVFSFWFNRNADEGEGGEIVFGGADPNHYKGEHTYVPVTHKGYWQFDMGDVLIGGQSSGFCSGGCSAIADSGTSLIAGPTTIIAEINHKIGASGVVSQECKAVVAQYGQQILDLLLAESQPAKICSQIGLCTFDGSRGVGVGIESVVSDKGVGRSDAMCSTCEMAVVWMQNQLRQNQTQERILSYINELCERLPSPMGESSVDCNSVASMPGVSFTIGGKTFELSAEQYILKVGDGVAAQCVSGFTALDVPPPRGPLWILGDVFMGVYHTVFDYGNLRVGFAEAA >DRNTG_12322.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29539898:29545621:1 gene:DRNTG_12322 transcript:DRNTG_12322.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKGGGVAASVLVSLLLLPLVAPSSAEGLVRIGLKKKPIDENSRLAARLSSEEGRVLSDRRYGLRNGLGEAGADTDIIALKNYMNAQYFGEIGIGTPSQKFTVIFDTGSSNLWIPSAKCYFSLACFFHSKYKASQSSTYKKNGKRADIRYGTGAISGFFSEDHVKIGGVVAEDQAFIEATREPSVTFLVAKFDGILGLGFQEISVGNAKPVWYNMVEQGLVKEPVFSFWFNRNADEGEGGEIVFGGADPNHYKGEHTYVPVTHKGYWQFDMGDVLIGGQSSGFCSGGCSAIADSGTSLIAGPTTIIAEINHKIGASGVVSQECKAVVAQYGQQILDLLLAESQPAKICSQIGLCTFDGSRGVGVGIESVVSDKGVGRSDAMCSTCEMAVVWMQNQLRQNQTQERILSYINELCERLPSPMGESSVDCNSVASMPGVSFTIGGKTFELSAEQYILKVGDGVAAQCVSGFTALDVPPPRGPLWILGDVFMGVYHTVFDYGNLRVGFAEAA >DRNTG_12322.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29539898:29545621:1 gene:DRNTG_12322 transcript:DRNTG_12322.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKGGGVAASVLVSLLLLPLVAPSSAEGLVRIGLKKKPIDENSRLAARLSSEEGRVLSDRRYGLRNGLGEAGADTDIIALKNYMNAQYFGEIGIGTPSQKFTVIFDTGSSNLWIPSAKCYFSLACFFHSKYKASQSSTYKKNGKRADIRYGTGAISGFFSEDHVKIGGVVAEDQAFIEATREPSVTFLVAKFDGILGLGFQEISVGNAKPVWYNMVEQGLVKEPVFSFWFNRNADEGEGGEIVFGGADPNHYKGEHTYVPVTHKGYWQFDMGDVLIGGQSSGFCSGGCSAIADSGTSLIAGPTTIIAEINHKIGASGVVSQECKAVVAQYGQQILDLLLAESQPAKICSQIGLCTFDGSRGVGVGIESVVSDKGVGRSDAMCSTCEMAVVWMQNQLRQNQTQERILSYINELCERLPSPMGESSVDCNSVASMPGVSFTIGGKTFELSAEQYILKVGDGVAAQCVSGFTALDVPPPRGPLWILGDVFMGVYHTVFDYGNLRVGFAEAA >DRNTG_03950.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17633315:17635140:-1 gene:DRNTG_03950 transcript:DRNTG_03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHIFRYLNNDNVFWVDILKSKYGNINFWVDHAPPNCSWFFRGLCNSAAHIKHFCKIQSINPSNTSFLLDPWCFDIPIALKPTFLNMDADFHHFNISDMICDNNWDFSCFRFIFGNQIDYSFCNLGCINPDYDNGWVWNPKSCSSNISATIYHHLNHKSSFSDNWIGWKMLWRLHVAPHLKHFVWLCFKGRLSTTDFLFNLHLGPDIPCILCGISKETINHLFGQCPKSIFVWEQLSNYVNTTISFPEGFSSGNWITNPSYSQFTLAVIVAGAWFIWKSRCDAIFNNSPPNFSVIVNRSIAHAREHSNSNRCLLGKKTHSIQLL >DRNTG_32850.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32211810:32213674:-1 gene:DRNTG_32850 transcript:DRNTG_32850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHFIAGLRMIVGCEIKTVSSIARHVDMTLPPPDNLSALFQLENGVAGVFVMVVSATSPKIFWRVDGTKGTLQVERGNESGRHGYLVSFYPADGECQKKFYPFSGVTEELKSFIHDISQSVNKDVAGHKPDCRLSYIEGARDIAVLEAMLEIKC >DRNTG_34093.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22988484:22989874:1 gene:DRNTG_34093 transcript:DRNTG_34093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMERGWQSIMKMAMVLLLPLMVIGEGDPIPRQWPEKFHAVLLTNLSDGRLQIANLWYDWPTGRNTYLRQFQLGDLLHDVEWNNGTSYFYTVGPNSTNGGYCHVITFGIGIPRPDFLNDATYLGMEYTNGFLCNLWTKVDFIWYWEDVRTQIPVRWNFFDGISTYVMKFEEGVELEKSQWQAPSYCFKGQDNNGTTFLQDHKSKQFVPNHGFMNAMLPDHL >DRNTG_10187.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2166348:2170216:-1 gene:DRNTG_10187 transcript:DRNTG_10187.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDFNVEDVIGVAIRRRPRLKKLGKRRKLIMPLSPPVDDETIAAPSAVDKIVESIAVEEIPVSFELVDDSVASKVDIIPQQQEVAKDVSPIYAFVVPALDKIIDSIVNKILVSVEPADDSAVSKVDTIPQQQEATKDVSPVDIVIVLASKEDAAGAEHQQPSTIVSHDDPKNTFDEGMETNEKGPYSEEKKIPQTLAPRQIRAGVNKDLPQNCMDLTVIWKNDSVSTTRARLFMLLDGNEMVTNDVMDAFVRSSRPRGALSIGRAPSLRGRSPP >DRNTG_01837.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:17389407:17390101:1 gene:DRNTG_01837 transcript:DRNTG_01837.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKMGMIRSVGDNERISTPTLLGLVTLRLIGIVSCTSSGGYALVELSSEDDEKSTEAIETPPTRKPRITKATSARILEQLDRIQQTVDEEVSSTSTPAPDADT >DRNTG_31853.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001755.1:15216:19721:1 gene:DRNTG_31853 transcript:DRNTG_31853.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLRINRCFFVCSSSSLSFSPSEVRPFSKFLIHRLMPRSGTMAARLSTAAGSPKLLFRQLFEKESSTYTYLLADVGHPEKPALIVDPVDRTVDRDLSLVKDLGLKVIYAMNTHVHADHITGTGLIKSRVSGVKSVISTASNAKADILVEHGDKIYFGNLFLEVRATPGHTLGCLTYVTGDEPDQPHPRMAFTGDALLIRGCGRTDFQGGSSHKLYESVHSQIFTLPKDTLLYPAHDYNGFTVSTIEEEMLYNPRLSKDEDTFKTIMENLSLPYPKMIDAAVPANLVCGMQDLPAN >DRNTG_31853.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001755.1:15216:19721:1 gene:DRNTG_31853 transcript:DRNTG_31853.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLRINRCFFVCSSSSLSFSPSEVRPFSKFLIHRLMPRSGTMAARLSTAAGSPKLLFRQLFEKESSTYTYLLADVGHPEKPALIVDPVDRTVDRDLSLVKDLGLKVIYAMNTHVHADHITGTGLIKSRVSGVKSVISTASNAKADILVEHGDKIYFGNLFLEVRATPGHTLGCLTYVTGDEPDQPHPRMAFTGDALLIRGCGRTDFQGGSSHKLYESVHSQASIWLIHGILF >DRNTG_01165.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29056548:29103984:1 gene:DRNTG_01165 transcript:DRNTG_01165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCQKRAWACHQSCAWKPGPHQRSQKLLGQPSLAGKAVKVAPAASEIFGEGRVSMRKTGGRPRPVSGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLVHAQSILAIWACQVILMGAVEGYRIAGGPLGEITDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >DRNTG_07889.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20325952:20330392:1 gene:DRNTG_07889 transcript:DRNTG_07889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRAGTVHSRPKKEQNRGATRACGNSTRPCVNSTGSCGISTGSSPIPSGVMDSTSVPRVLCGHNRANELRDDPPLGLSCECNGVKR >DRNTG_23046.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3311408:3315779:-1 gene:DRNTG_23046 transcript:DRNTG_23046.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKKKTEVVIVGAGLAGLATAACLTMHAIPHVILEREDCIASLWHKKSYDRLHLHLAKQFCQLPHLPHPSSCPTFMSKNHFITYINDYVACFNLCPRLRCEVESAFFDDEDGKWQVVVRNLETGEVEEYVARFVVVATGENDKAVVPEFPGIESFPGEVIHSMDYRNGSKYKGKDVLVVGCGNSGMEIALDLSNLDK >DRNTG_23046.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3311408:3312170:-1 gene:DRNTG_23046 transcript:DRNTG_23046.8 gene_biotype:protein_coding transcript_biotype:protein_coding MATFASIELGSSSVKKPRTGSLNQAQIKWRTGAQERIYNRRLIEALRATRAATAPGPHAVKEAADSALAITARGQSRWSRAILTSRLRRRKLLVKAGGRIRRRRRPRPPQKQDPAAVKGGRVGERLRALGKLVPGCRRLPAPTLLEEAADYVAALQMQVKTMRALADALSAATINSPAPAMEEDS >DRNTG_23046.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3311290:3315779:-1 gene:DRNTG_23046 transcript:DRNTG_23046.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKKKTEVVIVGAGLAGLATAACLTMHAIPHVILEREDCIASLWHKKSYDRLHLHLAKQFCQLPHLPHPSSCPTFMSKNHFITYINDYVACFNLCPRLRCEVESAFFDDEDGKWQVVVRNLETGEVEEYVARFVVVATGENDKAVVPEFPGIESFPGEVIHSMDYRNGSKYKGKDVLVVGCGNSGMEIALDLSNLDK >DRNTG_23046.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3311408:3312470:-1 gene:DRNTG_23046 transcript:DRNTG_23046.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATFASIELGSSSVKKPRTGSLNQAQIKWRTGAQERIYNRRLIEALRATRAATAPGPHAVKEAADSALAITARGQSRWSRAILTSRLRRRKLLVKAGGRIRRRRRPRPPQKQDPAAVKGGRVGERLRALGKLVPGCRRLPAPTLLEEAADYVAALQMQVKTMRALADALSAATINSPAPAMEEDS >DRNTG_23046.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3311290:3315994:-1 gene:DRNTG_23046 transcript:DRNTG_23046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKKKTEVVIVGAGLAGLATAACLTMHAIPHVILEREDCIASLWHKKSYDRLHLHLAKQFCQLPHLPHPSSCPTFMSKNHFITYINDYVACFNLCPRLRCEVESAFFDDEDGKWQVVVRNLETGEVEEYVARFVVVATGENDKAVVPEFPGIESFPGEVIHSMDYRNGSKYKGKDVLVVGCGNSGMEIALDLSNLDK >DRNTG_23046.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3311290:3312170:-1 gene:DRNTG_23046 transcript:DRNTG_23046.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATFASIELGSSSVKKPRTGSLNQAQIKWRTGAQERIYNRRLIEALRATRAATAPGPHAVKEAADSALAITARGQSRWSRAILTSRLRRRKLLVKAGGRIRRRRRPRPPQKQDPAAVKGGRVGERLRALGKLVPGCRRLPAPTLLEEAADYVAALQMQVKTMRALADALSAATINSPAPAMEEDS >DRNTG_23046.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3311290:3312470:-1 gene:DRNTG_23046 transcript:DRNTG_23046.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATFASIELGSSSVKKPRTGSLNQAQIKWRTGAQERIYNRRLIEALRATRAATAPGPHAVKEAADSALAITARGQSRWSRAILTSRLRRRKLLVKAGGRIRRRRRPRPPQKQDPAAVKGGRVGERLRALGKLVPGCRRLPAPTLLEEAADYVAALQMQVKTMRALADALSAATINSPAPAMEEDS >DRNTG_23046.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3311472:3315994:-1 gene:DRNTG_23046 transcript:DRNTG_23046.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKKKTEVVIVGAGLAGLATAACLTMHAIPHVILEREDCIASLWHKKSYDRLHLHLAKQFCQLPHLPHPSSCPTFMSKNHFITYINDYVACFNLCPRLRCEVESAFFDDEDGKWQVVVRNLETGEVEEYVARFVVVATGENDKAVVPEFPGIESFPGEVIHSMDYRNGSKYKGKDVLVVGCGNSGMEIALDLSNLDK >DRNTG_11618.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27494446:27498055:1 gene:DRNTG_11618 transcript:DRNTG_11618.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSNTTSNDGTSGTEYNGVTAVPHADDLPQNKKDTNDVPVIFEHSGSLKRKRVDDVTIPEHSQEHFVNSEDQLEIMPNGINDSGTTDNNPGYAGEEAPHAVGAVGDDAKVEGLSSTSNATAISTHADVIEKDTYSSTTKKLALQKATESNHHEVSGIVKNYYFAMVFPLSNLLSPKKEKSSSLPSSQSEAPPALLDEVDDPVDSIRKLFMSPAAKKIKSSH >DRNTG_33892.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11686768:11687516:-1 gene:DRNTG_33892 transcript:DRNTG_33892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTALTSWQSVLAEICEQWGLDVSPVKVKFITSDGYKTACPIENDVDFQWMCYVHSIFKCSVVDLVVEKDDMALSNPTENEFFSFVGRNSDSASAPGQPHGEPNSVGCLPSSSDHSEVLSLDIGKRFEGVEHFRDALRNFAIIRNFDFKFIKNEKHRVIQK >DRNTG_15720.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4330815:4331608:1 gene:DRNTG_15720 transcript:DRNTG_15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRTKSMNYHVVDPPKNEITEYLKNVGQLKCQLQQFERENATIQGGADRGKEQLKLLKEIYKQLNVIESKIRNPHVKKHAQEEDSKLVSVMEAVLSFSI >DRNTG_17716.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4026499:4026750:-1 gene:DRNTG_17716 transcript:DRNTG_17716.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTWLAPTPSTTQRSQGHSYHHSPAQGQSSNPHGKTKLFSPTLHSQIFLASTN >DRNTG_17716.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4026499:4027826:-1 gene:DRNTG_17716 transcript:DRNTG_17716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLPSPTEMMYTWLAPTPSTTQRSQGHSYHHSPAQGQSSNPHGKTKLFSPTLHSQIFLASTN >DRNTG_33771.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:23227:25582:1 gene:DRNTG_33771 transcript:DRNTG_33771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTREFWNFGDQLRRANLAGISIGDSIWSDSYLAEASPMITSQKLAFANNNTDRYNNRNTSVGVIGVDGTVKDGFNGDIKNLYNKGSGLKGGAKKINGDGNNGGKKKNSYNDNGSVSVLEGKRFKTLPPCESLPRNEAVGGYIFVCNNDTMMENLKRQLFGLPPRYKDSVRAITPGLPLFLYNYSTHQLHGIYEAASFGGTNIDPTAWEDKKCPGESKFPAQVRVATRKLCAPLEEDSFRPVLHHYDGPKFRLELSVPEALDLLDKFAEMNV >DRNTG_35175.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26085223:26094085:1 gene:DRNTG_35175 transcript:DRNTG_35175.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NdhN [Source:Projected from Arabidopsis thaliana (AT5G58260) UniProtKB/TrEMBL;Acc:A0A178UG88] MSSSTASAFRLPSPFPCSPGVSRRRRGGAVSVRCGIGDFIGGDLLKPDLGRWLDDVEKHKALAIYSPHEGGYEGRYLNRLRYQGYYFLDMTARGLGDPETMLTKIYPVCPAHVGKQPIARWYFPPEVDFRLAMLPPNAKGLVLWIIEAKVLSKAELQFLALLPSLRPKVRVIAECGNWRKFIWKPLKEISGLVPLVE >DRNTG_03120.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21651885:21654425:1 gene:DRNTG_03120 transcript:DRNTG_03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSPPRKPPNPALPYREDCWSEGETSVLIDAWGDRYLELSRGNLRQKHWQEVADAVNSRPAGAHRPARTDVQCKNRIDTLKKKYKVEKARFLDSAGGINSQWQFYCRLDALIGSSVTPSSASKKPSPPSPPLPLALPLPYHRKGSPLALPLPLPLPAAAAFTPMPSSKRYTPAPPSVDETFRRRYPATVAAAAAAAAADSNSGSSSRSSRSSRERPAKRWRRAREDVGDGIRDLARAIMRFGDIYERVEAAKQRQMMELERQRMEFAKSLEFQRMQIFVDSQIKLEKVRRSSRRSDAGEIGSLAALPFLFDSVSMNL >DRNTG_14555.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17602072:17605562:1 gene:DRNTG_14555 transcript:DRNTG_14555.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable alpha,alpha-trehalose-phosphate synthase [UDP-forming] 11 [Source:Projected from Arabidopsis thaliana (AT2G18700) UniProtKB/Swiss-Prot;Acc:Q9ZV48] MPSRSCSNLLDLAESSSDYTDPTRLHSPRLPRVMTLSNVLSDDSLESPPSSPSLTRRIIVSHRLPLRVSPPVPPSRIPSFSLDPDSISILLLSGLPETATVLHVGTFPLFVSPDLQEEIAELLLSRFHCVPVFVPPETHSLFYHSFCKRHLWPLLHSQLPLAVSGSGPAPFPTNLWRAYVSANKLFADKVLEITNPDDDLVWIHDYHLMALPTFLRRRSPRIKLGFFLHSPFPSSEIFKTLPSREELLRALLNADLVGFHTFDYARHFLSCCSRLLGLDYASKRGHLAIEYYGRTVTVKILPTGIHVGRLHSNAESSETKSKVSELVDRYYGKIVLLGIDDMDVLKGIGLKFLAMERLLEDHPSLRGKVVFVQIANPARSQGTDIQHVQDQTHSLATRINARFGYEAIVLIDGPVPTLEKVAYYAVAECCVVNCIRDGLNLVPYKYTACRQWSPALESKPKKSVIVVSEFIGCSPSLSGAIRVNPWNVDDVAEALNLAITMPESEKQLRHDKHYKYVSSHDIAYWARSFDQDLQRACQDHYLKRCWGIGFGLSFKVVALGPNFRKLSVEHISSAYRRTSSRLILLDYDGTMMPQTSISKTPSDEVISVLNALCADPKNVVFVVSGRGKDSLSKWFASCDKLGISAEHGYFTRWSKDSPWESCMMPSDFDWKKIAEPVMKLYTETTDGSYIEHKESALVWHHQDADPDFGSCQAKELLDHLENVLAKEPVVVKRGQHIVEVNPQGISKGVVVENLISTMLSRGKPPDFVLCIGDDRSDEDMFESITSSVKNTSWPAISEVFACTVGKKPSKAKYYLDDTVDVIKMLQGLVTASTQPPRSSSFQVSFEGLL >DRNTG_21753.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3160870:3161706:1 gene:DRNTG_21753 transcript:DRNTG_21753.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFAGKLVERLTNVIEEKAIMVLGVKDDLQKLQRKMEMIERVLKDAERRRIQDEAVKGWVDKLKDVMYDAEDIIDLCMIQGMGLLQDDDQHSLPAESSAAASTRVRCCNFPLFSCVRSVPFRYEIADQIKNLNVKLEEISADKDKFNFITSSSSSMNSSDAYVVNHQPSSRQSSSLPEPDIVGWDIRDSTKSLVELLISPHEQKCRLFAIVGMGV >DRNTG_08296.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23803650:23807807:1 gene:DRNTG_08296 transcript:DRNTG_08296.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSSVIVELHRHDGVFIAKRKDDALCTRNLVSRESIYGEKRVVVQAPGTQVLYLGAASGTTMSHISDIVRPLSFHLGAAGILLTWQRSERMSSPALTMQVGILLDP >DRNTG_08296.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23803650:23807807:1 gene:DRNTG_08296 transcript:DRNTG_08296.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSSVIVELHRHDGVFIAKRKDDALCTRNLVSRESIYGEKRVVVQAPGTQVLYLGAASGTTMSHISDIVRPLSFHLGAAGILLTWQRSERMSSPALTMQVGILLDP >DRNTG_01167.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29070805:29073315:-1 gene:DRNTG_01167 transcript:DRNTG_01167.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHVELLCPQPWNPQTQSSSNVIGDEDGYRRKREQKEKKTKIHHSICNNTYHFESVRIKNISMF >DRNTG_01167.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29070705:29073315:-1 gene:DRNTG_01167 transcript:DRNTG_01167.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVELLCPQPWNPQTQSSSNVIGDEDGYRRKREQKEKKTKIHHSICNNTYHFESVRIKNISMF >DRNTG_01167.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29070805:29073427:-1 gene:DRNTG_01167 transcript:DRNTG_01167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVELLCPQPWNPQTQSSSNVIGDEDGYRRKREQKEKKTKIHHSICNNTYHFESVRIKNISMF >DRNTG_01167.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29070805:29073289:-1 gene:DRNTG_01167 transcript:DRNTG_01167.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHVELLCPQPWNPQTQSSSNVIGDEDGYRRKREQKEKKTKIHHSICNNTYHFESVRIKNISMF >DRNTG_12007.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7819667:7820613:1 gene:DRNTG_12007 transcript:DRNTG_12007.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSPCCNEPIDSPSTMASDNSVSETSRRRKRKAIARDPTMETIYKNFRQFTEMVGPVFKMMSETAARKEAARKEIEEKKKLLNQVIFEIDGLSNDEAIFILQVLVEDEDQLKTFFYVPDDKRLCFCRVLLKRMSYCPPSK >DRNTG_12007.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7819667:7820613:1 gene:DRNTG_12007 transcript:DRNTG_12007.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIEVQVCYMMCMCCFFVLNVMMTVCAISQRNNRNSIVEYEIESTSVKRRKRSKHLHREPIDSPSTMASDNSVSETSRRRKRKAIARDPTMETIYKNFRQFTEMVGPVFKMMSETAARKEAARKEIEEKKKLLNQVIFEIDGLSNDEAIFILQVLVEDEDQLKTFFYVPDDKRLCFCRVLLKRMSYCPPSK >DRNTG_12007.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7816104:7820613:1 gene:DRNTG_12007 transcript:DRNTG_12007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIEVQVCYMMCMCCFFVLNVMMTVCAISQRNNRNSIVEYEIESTSVKRRKRSKHLHREPIDSPSTMASDNSVSETSRRRKRKAIARDPTMETIYKNFRQFTEMVGPVFKMMSETAARKEAARKEIEEKKKLLNQVIFEIDGLSNDEAIFILQVLVEDEDQLKTFFYVPDDKRLCFCRVLLKRMSYCPPSK >DRNTG_05032.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5684433:5685035:-1 gene:DRNTG_05032 transcript:DRNTG_05032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFSTHRGTHTPLSALYTPENWLSIPHAPVEIPHGHVDAHRGTHSGTHTTLCALGMEERLCRDSHGHVEITHARVLFTRGPHTSVEITRSHVTVIRPFTGAFTRPCVLSGSSLDKRLNSINVPNVAQKCKLDEFDTKNGIFGVPAL >DRNTG_15443.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1522652:1523258:-1 gene:DRNTG_15443 transcript:DRNTG_15443.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLRPTRFLILHCPLRRLAPKPLLSSAVSGRNLGFRPLCSAGAGRTMQPDPFPPPPPSHSVRETIDLNEKEEKIFRRLLEVIRHFGLDTQLRVAGGWVRDKLLGKECYDIDIALDNMLGQEFCEKINTYLQHTGEEIQGVGVIQRYNLIFRLLTVVITCICCFV >DRNTG_15443.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1518116:1522454:-1 gene:DRNTG_15443 transcript:DRNTG_15443.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVYDTWIDFVNLRSEKYVENSRIPTMEFGTAEEDAYRRDLTINSLFYNINTNSVEDLTGKGIQHLKAGLHCHSLTTKGYLFG >DRNTG_15443.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1518116:1523258:-1 gene:DRNTG_15443 transcript:DRNTG_15443.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLRPTRFLILHCPLRRLAPKPLLSSAVSGRNLGFRPLCSAGAGRTMQPDPFPPPPPSHSVRETIDLNEKEEKIFRRLLEVIRHFGLDTQLRVAGGWVRDKLLGKECYDIDIALDNMLGQEFCEKINTYLQHTGEEIQGVGVIQRYTEQSKHLETARMRVYDTWIDFVNLRSEKYVENSRIPTMEFGTAEEDAYRRDLTINSLFYNINTNSVEDLTGKGIQHLKAGLHCHSLTTKGYLFG >DRNTG_13051.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23752904:23757410:-1 gene:DRNTG_13051 transcript:DRNTG_13051.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSVSTVYIHVIDDVINKIRDEFISFGAGETVLSELQTIWEMKMVQCGAISGMTERQSIPRPGGPITPVHDLNMPYEGPPEEYETPTAEMLFPPTPIQTPLPGTAQTPLPGSVQTPLPGLVDQSLYNIPTGPSDFSTPSDVSNGVDAKGGRHTPYMQSPSPWMNQRPPVGVDVNVAYVESRDEAERGASHQDFFTMSSGKRKRDDYAPHVASGGYIPQQDGSGDVTVEFVLLETTGTQTKEDHGRKTAIAKTVAIQEAKPIEAVPQLDGIHDEYDELFPYQGVATEDYNTPGEHVELRAPTPTVGTPKPAKNETADDDEPPLNEDDDDEEEEEYERGEEEPTTQHLVLAQFDKVSRTKSRWKCTLKDGIMHINSRDILFNKATGEFDF >DRNTG_05834.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31656568:31658526:1 gene:DRNTG_05834 transcript:DRNTG_05834.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHKEESLMEKIKEKLHGDSSSSDSDDERSGLSAASSIKNKIYRLFGREKPVHKVLGGGKPADIFLWRNKKISGGVLAGATAIWVFFELMKYHFLTLLCNCLILSLAILFLWSNATTFINKDPPHIPEVKIPEDLVVNIALSLRYELIRAFAALRDVASGRDLKKFLAVIAGLWVLSVIGSCCNFLTLLYIAFVTLHTVPVLYEKYEDKVDSFAEKASAEFKKHYAVFHAKVVTKIPKAQLKDKKH >DRNTG_04860.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22406841:22407081:1 gene:DRNTG_04860 transcript:DRNTG_04860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKILIRMWLPRQMMHLMIVVVIVMVMMMLFISNGDEEDDGFSDDTKTDEVEKDGG >DRNTG_04860.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22406841:22407249:1 gene:DRNTG_04860 transcript:DRNTG_04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILIRMWLPRQMMHLMIVVVIVMVMMMLFISNGDEEDDGFSDDTKTDEVEKDGG >DRNTG_15557.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2873744:2875015:1 gene:DRNTG_15557 transcript:DRNTG_15557.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSAPRKRSGAYVHKHHRNQRKVSPQTSPNLGLLPFYNV >DRNTG_13846.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9745553:9750514:-1 gene:DRNTG_13846 transcript:DRNTG_13846.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMADVTDKICKEDNSRFEDIKIDINELELCRLNEEGDGVTLKIDSQQLEDGHELATQDSQEIAMSPTVSRWK >DRNTG_17043.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22139300:22145189:1 gene:DRNTG_17043 transcript:DRNTG_17043.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein CBSX6 [Source:Projected from Arabidopsis thaliana (AT1G65320) UniProtKB/Swiss-Prot;Acc:Q8GZA4] MASVFVHHVIGDLTVGKPEIKEFADTETVEAAVKAIGECAEGAITVWKAKDGIGSRASRFVGILHSMDLVVFLAKAGEEHERAMRTPVAEVVTPNPMLLKEVDPGTRLIDALELMKQGVRRLLVRKSMAWKGVSKRFSILYNGKWLKTLNTSTATATGNSSQPSSSSYDDKYCCLSREDVVRFLIGCLGALAPIPLSSISSLGAITPHYFHIEASSPAIEVVHKLPQDPCAIVVVETNPDGSHKIIGEISAYKLWKCDYLAAAWALANLSAGQFVMGAEDNITNSDSLVPSFSIPSSPVEDTIIGASSRPKKFSSRSIGFFSSQANQMAVGGLRSMYRGRSAPLTCKHTSSLAAVMAQMLSHRATHVWVTDAEVEDILIGIIGYSDILHAVTRHPSSLVPPTP >DRNTG_07463.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26833971:26835422:-1 gene:DRNTG_07463 transcript:DRNTG_07463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCAELADSIVWCGRVTLETVISFVNNHDKWKARVVYGDTHSMFVLLKGRSVEEGFKIGNEFALAITAMNPDPVSH >DRNTG_32036.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001778.1:6408:14304:1 gene:DRNTG_32036 transcript:DRNTG_32036.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVDGLVESPLLKCAKGSFVGLGYTLAGSGCESSPNNLLPKWPMLSEP >DRNTG_27457.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21838370:21843355:1 gene:DRNTG_27457 transcript:DRNTG_27457.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEIPLEAAMKNLKIESTPKASDSNLHGPKDGNPSDAASGISLGDVTNSLKKSEFDQEAVMAGQNTLYPMNDYYGYYYPGYSFREWDNQSYTFGSQGFEVPLQAIATDNGSIAYFIPGAQPGYVPYPLVPGAMISVDGQYISQQPYYPTPVFPQASSPEYFPHSVAYGAELVPAYWNPSLLTDGFSGAGFGLDPAISAPILNLPSQTHTFTPAKPSISSKSTNATIKGSPQAWGVLPLPAVHNQSLKPVNKAASVLSQSFLPVNKFSSFSNHGKGGLLHAKETGRSLVGTEKSKAKTRVNTTGDLDMLNEQNRGPRTNGIRSILSSDTDQVAPPLASEGNEKINASFPVVMDEYNLPDFATNYDHALFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDNAYQSALEKMVQKGTKCPVFLFFSVNASGQFCGVAEMIGQVDYSKNMDFWQQDKWNGFFPVKWHIIKDIPNPHLRHIILENNEDKPVTNSRDTQEVKFTQGTEMLNIFKNYCSKTSILDDFDFYENRQKVMQDKKIRQPTTNVNRSLPVETKLINGITSNQLTQLK >DRNTG_35209.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:7960936:7964192:-1 gene:DRNTG_35209 transcript:DRNTG_35209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVLARLSSCAISARHCLISGSAPQGPLPSVSNGFHSLSEWNSSYLSNFFRCEMNMQKECLFPVSAGIQKCMFSSSASPQPTDKDIGQTNGDLDDVSADRNQAEPSETNANSSAPKIAEDASQPFSKKYEPQSGKRRRKRGPIRTAFSDSDTENELSKDDLLKLLAKKKELLKVKHQEFEKMQDKVVRSYAEMENVLNRTKREAENAKKFAIQNFAKSLLDVADNLRRASLVVKESFLKIDSSKDSAGALPLLKTLLEGVKMTDKQLADVLKKFGVEKYDPINEHFDPNRHNAVFQVPDSSKPSGTVAAVLKPGYMLYDRVLRPAEVGVTQASEEMTQ >DRNTG_27672.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001403.1:44011:49360:1 gene:DRNTG_27672 transcript:DRNTG_27672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSATSLLLTVGFRQTLTSPFSPLPRWAFDCRQSHHLKEIRNWGCSRRRSILIVSAVDENSSRISMLLEESDENFGRSVVVEDSSGSHGEQSSFVLSSNEGQSCDSDSHVYPLADVDLSLRKERLEARKEALGMSAHRFSNIVPSKPKRKRIRPGILINLGLMAFLVPFLLFFDWCAWKIVRLPLEPFYLTHPFLVSAVLSTCAGFLYVPMIDNMRVHQILRKEGPSTHTSKRGTPTMGGLFFIPVGIIVAKHVAGLSSVQFYGAALATLAFAAIGLMDDALSCVKSHNYGLPGWIKLLLQVAVGAVFSLWLNSTNISTPYNMKLLVPLPQPFGLVHLGKSYLILTAFCFASMGNGVNLTDGLDGLAGGAAALAFIGMSVAVLAICPELAVFGASMAGACIGFLFHNRYKASIFMGDTGSLALGGALAAMAACTGMFFPLFISSGLFVMEVLSVIVQVFVMKITRRIYGVTRRFFRMAPVHHHFELCGFREPIIVASAYAISFAFALIAGYIGLISA >DRNTG_19732.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001049.1:43647:66914:-1 gene:DRNTG_19732 transcript:DRNTG_19732.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLESFAAEGSYLTVATTHHGELKTLKYSNHVFENASVEFDEDSLRPTYKILWGVPGRSNAINIAERLGMPSNILDNARKLHGADSAEINQVNFTEKGQVGDLGLARGIEDCGTWTERGWIQR >DRNTG_19732.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001049.1:33436:82418:-1 gene:DRNTG_19732 transcript:DRNTG_19732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPVLLCNTISIAASPRRSYPQSTIRACISQFQLRHGGAPTPKSAVVSDSLRLLEWDKVCDAVSSFAGTPLGLEATKERLWSVDLSYEQSLRLLEETTAAVEMIKYGNSGLDFSGIDVVLVKSAIYRASRGIPIDGMEARAVVSLIEFSETLQIVVKTAVKEDADWFNRFMPLTQMILDFAISGPFVKAVQQVIDEDGSVKDSASTELKRARDQVRVLERKLYQLMDKLTRNEKNEASSLEMCNVNGRWCLKTMDAQYAQIDGLLLSSGSDVGGLIEPLAAVSLNDELQNAMASTAKAEEEVLSRLTDKMLAELDAIQLLVQTIIDLDAIFARAKFSIAYGGSCPDLFIPGDEKQRGINKGKPSNERASRKALSSGLPQKEWKLYMPQAHHPLLIRKHHENLNKARKDVANVYADIRRRRLQGKMDDNMDSILSSMKLKVAALEKNLPVPVDFMIPLETGVLVITGPNTGGKTISIKTVGLASLMAKTGLYVLASDPVKIPWFDAIYADIGDEQSLTQSLSTFSGHLRQISAIRSQSSNKSLVLLDEVGAGTNPLEGAALGMSLLESFAAEGSYLTVATTHHGELKTLKYSNHVFENASVEFDEDSLRPTYKILWGVPGRSNAINIAERLGMPSNILDNARKLHGADSAEINQIILDMERCKQDFRQGLEGGTALFDAV >DRNTG_19732.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001049.1:70026:82418:-1 gene:DRNTG_19732 transcript:DRNTG_19732.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVRMETIYNCLSTCFRKRWFHYAGKKVILIFFYPLLQEMCNVNGRWCLKTMDAQYAQIDGLLLSSGSDVGGLIEPLAAVSLNDELQNAMASTAKAEEEVLSRLTDKMLAELDAIQLLVQTIIDLDAIFARAKFSIAYGGSCPDLFIPGDEKQRGINKGKPSNERASRKALSSGLPQKEWKLYMPQAHHPLLIRKHHENLNKARKDVANVYADIRRRRLQGKMDDNMDSILSSMKLKVAALEKNLPVPVDFMIPLETGVLVITGPNTGGKTISIKTVGLASLMAKTGLHIQFFH >DRNTG_19732.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001049.1:70026:82418:-1 gene:DRNTG_19732 transcript:DRNTG_19732.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPVLLCNTISIAASPRRSYPQSTIRACISQFQLRHGGAPTPKSAVVSDSLRLLEWDKVCDAVSSFAGTPLGLEATKERLWSVDLSYEQSLRLLEETTAAVEMIKYGNSGLDFSGIDVVLVKSAIYRASRGIPIDGMEARAVVSLIEFSETLQIVVKTAVKEDADWFNRFMPLTQMILDFAISGPFVKAVQQVIDEDGSVKDSASTELKRARDQVRVLERKLYQLMDKLTRNEKNEASSLEMCNVNGRWCLKTMDAQYAQIDGLLLSSGSDVGGLIEPLAAVSLNDELQNAMASTAKAEEEVLSRLTDKMLAELDAIQLLVQTIIDLDAIFARAKFSIAYGGSCPDLFIPGDEKQRGINKGKPSNERASRKALSSGLPQKEWKLYMPQAHHPLLIRKHHENLNKARKDVANVYADIRRRRLQGKMDDNMDSILSSMKLKVAALEKNLPVPVDFMIPLETGVLVITGPNTGGKTISIKTVGLASLMAKTGLHIQFFH >DRNTG_19732.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001049.1:70026:73159:-1 gene:DRNTG_19732 transcript:DRNTG_19732.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLAELDAIQLLVQTIIDLDAIFARAKFSIAYGGSCPDLFIPGDEKQRGINKGKPSNERASRKALSSGLPQKEWKLYMPQAHHPLLIRKHHENLNKARKDVANVYADIRRRRLQGKMDDNMDSILSSMKLKVAALEKNLPVPVDFMIPLETGVLVITGPNTGGKTISIKTVGLASLMAKTGLHIQFFH >DRNTG_11531.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3711135:3711581:-1 gene:DRNTG_11531 transcript:DRNTG_11531.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGRRRRRKRRVCASFALHSTLILILLSSAVPSWIPLLCSFLCSIAIAWEICYKTDAERLLERDHENGMLLARCVAELKKAERHDERLLERDREDGSRRGAPLQRRSRDSRD >DRNTG_13800.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000641.1:83057:87060:-1 gene:DRNTG_13800 transcript:DRNTG_13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIFGNLLYCLNSFLQRIRITGHPITIFSYAYIKEPPVNSSAHLLTRVNHAASH >DRNTG_33602.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002024.1:27320:30925:1 gene:DRNTG_33602 transcript:DRNTG_33602.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDKILPFSSTLGWHSLNVNGEVQTRKGLRWIPRHPETRKGVASDEMLRGVENKHRSGDSRIGQPFELLPNPWAGKRQPGELKHLSSQRKRKQKRFP >DRNTG_19388.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22213239:22216210:-1 gene:DRNTG_19388 transcript:DRNTG_19388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFNFHSFLTVVLLVICTCSYIKMQFPAILEHRTGFRGFFWKAARIGERLSPWVAFGCFAMGASMIFSSF >DRNTG_25889.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19749401:19750731:-1 gene:DRNTG_25889 transcript:DRNTG_25889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYRAELKKLAEKMMEIMDENLGLEKGYIKKAFSGENGVPFFGTKVSHYPPCPRPELVNGLRAHTDAGGVILLFQDDQVGGLQMLKDGQWIDVQPSACFN >DRNTG_08322.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000377.1:37056:40307:1 gene:DRNTG_08322 transcript:DRNTG_08322.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein ACR1 [Source:Projected from Arabidopsis thaliana (AT5G65890) UniProtKB/Swiss-Prot;Acc:Q9FHP1] MDLVVLVLVLVEHTLTRILILLLNAFNHPDNETRHDCTLVKVDSANRPGILLEMVQVLTDLDLVISKSYISSDGGWLMDVFHVTDHQREKKLTDQSLILYIQQSLVVGRKESAREVRTCLGRLVGPGHLAAEHTVLEFTAADRPGLLSEVSAVLVELDCHVLSTQAWTHNSRAACIMTLVDTPSARPIVSRERLGYVEEQVGSVVGAHRGPGEKMHVSLSGPTPGRVHSERRLHQLMLEDGDYEESPLPLPVEGDQFAKVNIEERKKESMGFGSGAARMVTQVSVDSWKERGIRLLMLGVETDPSCCLILCVH >DRNTG_23889.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2103652:2105345:-1 gene:DRNTG_23889 transcript:DRNTG_23889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGPPKGNLNYIRLGPTVLFGGGGWSPGISLLRRMRSWRSPAGDTRRLQVCRATVGTNALVLSTELVGRRWREAVGIMGFLCFTLGFLSLPIMAYLVRDHSWRMLYILNFIMSLIYSIIIFFFQKESPRWLLVRGRRDEAMQTLGINGSLSKRFSSFLDRFEKEEKKDGDVFSSMRVLWEKRWVFRRMVAAMIVGVGIGMVYYGMPLALGSLGSNLYVSVGMNALSEVPSNLLVLVLIERMSKRMCLLGFNVVSGLGCIMCVFLVRRWWRVVAELVGFFAACSGFSVMMVYSVELFPTAVRNSAVMMVREAMVLGGTVAPMMIAAAGKAGNGVVSFGVFGLVMVCFSLFVVCLPETKGRQMFDTLEEEEEKIKKNYESLIC >DRNTG_12218.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25110742:25113757:1 gene:DRNTG_12218 transcript:DRNTG_12218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVLKAAAEKVGPSVRKQALSLTDAAASRIHHLLNLRQRPFLRLGVKSRGCNGLSYTLNYADEKGKFDELVEDKGVKILIDPKALMHVIGTKMDFVDDSLKSEFLFINPNSKGQCGCGESFMTSSPNARSGVGQ >DRNTG_17911.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000925.1:529:4817:-1 gene:DRNTG_17911 transcript:DRNTG_17911.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLLKGKSLESLDISCSDVTDRGLVLLKDCFNLKKLALNYCYQISDRGLGFISKGIEAFTNLSNL >DRNTG_17911.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000925.1:1822:4817:-1 gene:DRNTG_17911 transcript:DRNTG_17911.2 gene_biotype:protein_coding transcript_biotype:protein_coding SLLKGKSLESLDISCSDVTDRGLVLLKDCFNLKKLALNYCYQISDRGLGFISSI >DRNTG_10530.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3536555:3540355:-1 gene:DRNTG_10530 transcript:DRNTG_10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKREGERGVVRREAGEKWRRWSEGMSPEVLALIFSRLPADELLRAVPFVCKSWHEAVAGPYCWSEIEIEAWCRRVNRTDVIDFAVRKLVRRSSGTLRRLSAYRIGDSGFAYAASFGKLLNVLRIPVSEMSSVTVEKYAGSLSLLTVLDISYCLNLNASSIKVFGNNCRCLTHLRRNMPPPEVELNQGNHVVSREDEEEALAVANTMPKLEQLELAFGRFSDHGLDAILTKCKALQKLDIRGCWNVRLDGDVGLRCDSIRSFRDPWEDEYAAVDANGDDDESSSLDFNFTDDSGDD >DRNTG_10530.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3536555:3538693:-1 gene:DRNTG_10530 transcript:DRNTG_10530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTVEKYAGSLSLLTVLDISYCLNLNASSIKVFGNNCRCLTHLRRNMPPPEVELNQGNHVVSREDEEEALAVANTMPKLEQLELAFGRFSDHGLDAILTKCKALQKLDIRGCWNVRLDGDVGLRCDSIRSFRDPWEDEYAAVDANGDDDESSSLDFNFTDDSGDD >DRNTG_09741.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:1715519:1718792:1 gene:DRNTG_09741 transcript:DRNTG_09741.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLIGLLTLFSHILQHYFYTIIGETAMKNLRESLFSAVLRNELAWFEKPENAAARVTSKIISDTSMIKTIISDRMSVIVQCVSSILIATTVSIIVNWRMALVAWAVMPCHFIGGLVQAKSAKGFSANASVAHQELTALTSESASNIRTIASFVYEEQILAKAKVAVKEPMKISRIESVKYGIIQGVSLCLWNIAHAVALWYTTVLVKKEQATFKDGIRSYQIFSLTVPSITELWTLIPMVMSAINILKPVFQILDRETQIAPDIPDIPDNEQIEGRIEFEHVSFNYPTRPEQLVLSNFSLTIEAGQRVAIVGPSGQCKSSILALTLRFYDPSKGKISIDMIDIKAYNLRWLRKQIGLVQQEPPLFRSSIRENICYGNESASETEIVKAAIEANIHHFITNLPQGYDTLVGEKGCQLSGGQKQRVAIARALVKRPAVLLLDEATSALDGETERSVMNALRPQERRKGNQQAKATQITVAHRLSTVINSDVIIVMDKGKVIEMGNHKSLMEESGSAYSKLFNLHSQTCAVDQ >DRNTG_32929.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001931.1:26552:29407:-1 gene:DRNTG_32929 transcript:DRNTG_32929.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFVVSTVATKLSEMATQELMLLHGVSDEVEWMERELCWIKCFLKDANARGKKDERLKKWVNDVTELAYRAEAAIESFLIKVRPCKGGPTWINSFKPKALIARHNVGVEIREIKKRLDEIKAARETYGIQNLGNDGDASNSISIIIRTRRISPQYSQDADIVGLVDDERILLE >DRNTG_28930.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22118932:22120748:1 gene:DRNTG_28930 transcript:DRNTG_28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWRSKRMCKSRGDALATEPKPRPSDHISRSRSQPSTTLNSSSSSSATAAAAAATTSSSSSSSRATASSSSSSSRTSLASVRASLPETPIIYHFREISTATNGFLSPRLSSSSSSWRCSLRGRDVVVVQRRFLSGDPSTLPTRLSALSRAHHSAIIPLLGASFVSPHLYLVYEYAPGASLSLLLRNPRNPSFTPLSSWSSRVQIAADVAQGLDYIHQHSPASLNGAAPIHNRIKSSSIIVSDSDLRARICHFGAAALSGEIPNDSTAPNTSLIQGTRGYMAPEVIAGGSISPSSDIFAFGVVLLELLSGKEALKYNFPTEKMVVERTSVIETARETIGAGDEDADDEERSGRVRRWMDMRLRDSFPVASAEKLIRVALRCVAEEATARPGMEWVAGKISKVLLESKEWEKRIQMPTDISVSLAPR >DRNTG_29623.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11074408:11076627:1 gene:DRNTG_29623 transcript:DRNTG_29623.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSMTHHQYHLPDFTQLLTGPISGVQNHFSGAPPDVVLLSSQPQAQSLTTGSGFVFGEERGGSSQPRWPRQETLTLLEIRSRLDHKFREASQKAPLWDEVSRIMAEEYGYQRSGKKCREKLENLYKYYKKTKEGKAGKQEGKHYRFFRQLEALYGEKKRSIKSAAVQAPPTIPADQSFNFSSSTEYRTSSTDGDFEETIANLSEKKERKKHKRSGRKSWRLKLKECLEQQVRRLMELQEAWLQKMMRTLEQMEQERNLREEMWRKQEAARLDREQRDWASERAWMEARDAALITALENIGRRESK >DRNTG_22446.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18079976:18084103:-1 gene:DRNTG_22446 transcript:DRNTG_22446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKKDSKTREKTPTLDNNPSHPAIHVIIGGETLAGETSSSRKAYARQAYEVNNIMKTQENEEPITFTSEDQGDVVMPHDDAMVISTTIMKFPVERILIDNGSSVNLIYWNCFEKMNLSCDRLKKVSSPLFSFSGESLSRTRLTWSHFKSLAM >DRNTG_22453.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17953272:17955133:1 gene:DRNTG_22453 transcript:DRNTG_22453.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKSLMRDTLHINIEEQLLMFLHTIRHNQRNRVIAHNFLRSSETASSYRMNNIGMSEDNEMSSMRIPVKRSGGNKRWTTVESRFFAHPQDERYLNKPIEDHDLLERISNNDQATGSRTVQFGDDIGTYR >DRNTG_10625.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:209866:215618:-1 gene:DRNTG_10625 transcript:DRNTG_10625.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGFSNGEERKERKSDFDCSEDERRTRIGSLKKKAMNASTLLRHSLKKKNRRKSNSRIISVSIEDVRNIEELEAVDAFRQSLILDELLPARHDDYHMMLRFLKARKFDVEKAKHMWAEMLQWRKEYDVDTILEDFEYTELDEVLKYYPHGYHGVDKEGRPVYIERLGKVDPNKLMHVTSLDRYVRYHVLEFEKSFKIKFPACSIAAKKLIDSSTTILDVQGVGMKNFSKTARELITRLQKIDSDNYPETLHRMFIINAGPGFRLLWNTIKSFLDPKTTSKIHVIGSKYQSKLLEIIDPSELPEFFGGTCTCADVGGCLKSEKGPWKDPKILKMVQSGEAQYTRQIVKVSNGEGKIIAYAKPPHSGSKFSDTSTESGSEAEDMISPKPMKSYTSHPQLAPVLEEAKLGKMGYSVGFSEFDECVPMVDKAVDAGWRKEDSSQRLATSKGALFGLNSVKPPDGIRAQIVGLLVALFMTLFTLFRSIGGLVTKKYLDKALQQDNSSPTLTSDLMPNGQSDPPPPVPQFNEVEFSSLLTRLGELEDRVNMLQVKPSKMPSEKEELLNAAVRRVDALEAELISTKKALHEALMRQEELLAYIDRQQAAKFRETHETTPAQLSSALHGLRVYMRDDDDGTSLHHFQ >DRNTG_10625.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:209866:215618:-1 gene:DRNTG_10625 transcript:DRNTG_10625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGFSNGEERKERKSDFDCSEDERRTRIGSLKKKAMNASTLLRHSLKKKNRRKSNSRIISVSIEDVRNIEELEAVDAFRQSLILDELLPARHDDYHMMLRFLKARKFDVEKAKHMWAEMLQWRKEYDVDTILEDFEYTELDEVLKYYPHGYHGVDKEGRPVYIERLGKVDPNKLMHVTSLDRYVRYHVLEFEKSFKIKFPACSIAAKKLIDSSTTILDVQGVGMKNFSKTARELITRLQKIDSDNYPETLHRMFIINAGPGFRLLWNTIKSFLDPKTTSKIHVIGSKYQSKLLEIIDPSELPEFFGGTCTCADVGGCLKSEKGPWKDPKILKMVQSGEAQYTRQIVKVSNGEGKIIAYAKPPHSGSKFSDTSTESGSEAEDMISPKPMKSYTSHPQLAPVLEEAKLGKMGYSVGFSEFDECVPMVDKAVDAGWRKEDSSQRLATSKGALFGLNSVKPPDGIRAQIVGLLVALFMTLFTLFRSIGGLVTKKYLDKALQQDNSSPTLTSDLMPNGQSDPPPPVPQFNEVEFSSLLTRLGELEDRVNMLQVKPSKMPSEKEELLNAAVRRVDALEAELISTKKALHEALMRQEELLAYIDRQQAAKFRKKKFCF >DRNTG_15665.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000782.1:5603:6906:1 gene:DRNTG_15665 transcript:DRNTG_15665.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVKNKQVVLKWFVVGAPTEKDMEVRVATAQLKAPQGSGGLVVKNLYLSCDPYMRGRMREDYSSYIPPFQPGNAIEGFGVAKVLDSDNPNFSVGDYVAGFTGWE >DRNTG_15665.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000782.1:5603:5891:1 gene:DRNTG_15665 transcript:DRNTG_15665.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVKNKQVVLKWFVVGAPTEKDMEVRVATAQLKAPQGSGGLVVKNLYLSCDPYMRGRMREDYSSYIPPFQPGN >DRNTG_26938.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30095893:30098429:-1 gene:DRNTG_26938 transcript:DRNTG_26938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSACALADPSRAHFCRDSAAASHLKLVSIGIILVTSAFGISAPVALARVFHGRPAYELALLLIKCFAAGVILSTSLVHVLPDAFAALADCQLASRHPWRDFPFSGFVSLIGALFALLVDFAATSHATEPSAKPGYTPIGSEEAGKAAAIVVEMGCHGVAAVRDEEERLEKLKQRMVSQVLEIGIVFHSVIIGVTMGMSQNRCTIRPLVAALAFHQIFEGMGLGGCIAQAGFSLATVGYMCIMFSVTTPLGIVLGMIIFYLTGYDDSSPNALILEGLLGSLSAGVLVYMALVDLIAVDFFHNKTMSSSPRLKKASYIALVLGSAAMSVLALWA >DRNTG_28931.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22127371:22127593:1 gene:DRNTG_28931 transcript:DRNTG_28931.4 gene_biotype:protein_coding transcript_biotype:protein_coding SYQSRKPRKRRGGGISETSTRELDSCSELLTGCKERRGNGK >DRNTG_28931.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22123259:22127593:1 gene:DRNTG_28931 transcript:DRNTG_28931.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGDYLRKLSKSGEWGDHVTLQAAADSYGVKIFVITSFKDTCYIEILPNIQKSKRVIFLSFWAEVHYNSIYPEGELPISETKKKKRWWHFGNKH >DRNTG_28931.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22124389:22127593:1 gene:DRNTG_28931 transcript:DRNTG_28931.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGDYLRKLSKSGEWGDHVTLQAAADSYGVKIFVITSFKDTCYIEILPNIQKSKRVIFLSFWAEVHYNSIYPEGELPISETKKKKRWWHFGNKH >DRNTG_28931.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22123259:22127593:1 gene:DRNTG_28931 transcript:DRNTG_28931.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMYEQDPDVLQWGLHLLHGDPFANSGYCGTSMQNDTGYYSGTYALEEVAGPSHIDEEHMQATVLVHDWFGPPFRNYNSSENEGGDEEEDDREASSSCSSPEEKLYEEESWTWELTDDFSVLDGEVGKRLNQMVPIPHVPRINGEIPSVDEATSDHQRLLDRLLLYDLVELKVQGDGNCQFRALSDQFYRSPEHHKFVRQQVINQLKSNPEIYEGYVPMAYGDYLRKLSKSGEWGDHVTLQAAADSYGVKIFVITSFKDTCYIEILPNIQKSKRVIFLSFWAEVHYNSIYPEGELPISETKKKKRWWHFGNKH >DRNTG_20936.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7675436:7676203:-1 gene:DRNTG_20936 transcript:DRNTG_20936.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRGDKPAIDKYEFLNWLDNKKPNSVLYVCFGSMIKFTSTQLHEIALGLESSQQPFIWVLKKELFEDEREGLMEEHEKRVEGRGLIIRGWAPQMLILNHEAVGGCLTHCGWNSTLEAVTAGVPLVTMPMFAEHFYTERFIVDVLKIGLGVGVKHFGTGQRFEAGMEKVGAVVHREDIETAVLTLMVGTEGEEMRRRARELKVSAMMAVKGGSSYSDIVKFIEELSQKKTEVI >DRNTG_29592.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1217236:1219397:-1 gene:DRNTG_29592 transcript:DRNTG_29592.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:petN MDIVSLAWAALMVVFSFSLSLVVWGRSGL >DRNTG_25735.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20025947:20029101:-1 gene:DRNTG_25735 transcript:DRNTG_25735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVLRAPDMATRCLSSFSISSLAVLPNSSARNLTCKPPIHLPITSNNSFSKQRKDRSARLTEMMVKASPDVDFLVKEDDKISEPNDYGVVSIHHVGLLCENLEKSLDFYQKVLGLQINEARPHDKLPYRGAWLWVGTEMIHLMELPNPDPLTGRPEHGGRDRHACIAIKDVSKLKARFDEAGISYTLSRSGRPAIFTRDPDANALEFTQVD >DRNTG_10059.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4603611:4608727:1 gene:DRNTG_10059 transcript:DRNTG_10059.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTGHTAPPRNCAASCGSTQGAAHPRQRGVWPGNPENPYGHVDGFRKGHFSYNTSSSHPFTFKRFHVKDRVFSSSPFNSLTKGFVRFSRFLSKLCTSSSLVRKFVVMFESHCGSDHGNYAMADNPHSHPHDQMAPRTKKLASKYPCSIYEAFPEQLEFVIPGHQVRELLLVGGWNRHLSIKDTATRDFTLETGSPPPLLHGAEFSYSLRIHTGQLFVTSRQLCLNTLLMMGIVKRAASGKYVLVVPSPDDSEDESDEPDVAQPSSYLSLYLWRSRSPSSRGCTARFIIAHLSPRAYDRLEKARECCGSPPDRSYYQAYDTNSKPYRGDCSHGRVSYSDDGETDRATVDDGMRH >DRNTG_16181.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000798.1:34382:36970:-1 gene:DRNTG_16181 transcript:DRNTG_16181.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSVKWTPTNVTFVRRFEVYLDHPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLDNMERDVSEESGWKLVHGDVFRPPQNLVLLSALVGSGAQLAILGMLYIGRGAIVTTFIVCYAFTSFISGYVSGGLYSRNGGKNWIKSMIITASIFPFMCFGIGFVLNTIAIFYRSLAAIPFGTIVAVFVMWAFISFPLALLGTVVGRNWSGSPNNPC >DRNTG_16181.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000798.1:34382:36823:-1 gene:DRNTG_16181 transcript:DRNTG_16181.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSVKWTPTNVTFVRRFEVYLDHPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLDNMERDVSEESGWKLVHGDVFRPPQNLVLLSALVGSGAQLAILGMLYIGRGAIVTTFIVCYAFTSFISGYVSGGLYSRNGGKNWIKSMIITASIFPFMCFGIGFVLNTIAIFYRSLAAIPFGTIVAVFVMWAFISFPLALLGTVVGRNWSGSPNNPC >DRNTG_16181.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000798.1:34382:36545:-1 gene:DRNTG_16181 transcript:DRNTG_16181.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCSSALIVLMFIFSLQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLDNMERDVSEESGWKLVHGDVFRPPQNLVLLSALVGSGAQLAILGMLYIGRGAIVTTFIVCYAFTSFISGYVSGGLYSRNGGKNWIKSMIITASIFPFMCFGIGFVLNTIAIFYRSLAAIPFGTIVAVFVMWAFISFPLALLGTVVGRNWSGSPNNPC >DRNTG_15603.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:4907832:4910666:-1 gene:DRNTG_15603 transcript:DRNTG_15603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKKTKTNESINNRLALVMKSGKYTLGYKTVLRTIRNSKAKLILIANNCPPLRKSEIEYYAMLGKIGVHHFNGNNVDLGTACGKYYRVCCLSIIDPGDSDIIKSLPGEN >DRNTG_20095.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:354683:357737:-1 gene:DRNTG_20095 transcript:DRNTG_20095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTLHLVSGNVSGYVIYDGKCIPCPGASARAAPPLYSSPGLGRLFHSVNVISKKFPAYGARRPFLACRASSNSQRRNPDFSRQNKGISKGRGRQFHGQDNSQNAEDGDVLSSKNGPLFSLSGNQRYQATATPGKREREIVELFRKVQAQLRERAAIKEEKRIDATQQGQSERGTVDSLLKLLRKHSVDQDRKTSEEDDDNDLLDRSNPFEDERVPNFFDSNNINGEVNAPEPAPNRRPTSNFKRRSPVPRVKFQPVFAADEDENAISLLNIQGKKGSSIDVPNRSGTTDQIVDSVSYVEPNGVSLDVPSYSSEYDETSLEFTEVSYRTKGAWTCKVSRHQRFFKDEEEKFWNS >DRNTG_13350.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4411412:4415788:1 gene:DRNTG_13350 transcript:DRNTG_13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHDGRYDGNGDGYGDYGASERSGGGSGFEEFGDPKSQYDSHEHERGSSRSRHRERERDKDRDRDRDKGRDRERDRERDGGRDKEKDRDRERDRDRDRHHHEKSERREHGRERSDDRDRRRSRDYDRHRDFEREREGRRRHRSRSKSKGRSDHRSRSRSRSRSKSKRISGFDMAPPAAAMMPGVAATGTFTSTLLITIFLFL >DRNTG_19699.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4362093:4363970:1 gene:DRNTG_19699 transcript:DRNTG_19699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVEEDLHMVGGAGDTSYASNSRLQEKAIRKTKDIVEKALKNINGEVVSKSLVVADLGCSSGPNAFLVISQIISAVMEAGCQKAKEILFLLNDLPGNDFNTIFRSLSLYEKKVKEENGNQVLPYFVAGVPGSFYGRLFPSNSLHFAHSSYSLMWLSQVPVGIDQSGGVNINKGNIYISKTSPPIISSLYLEQFKRDFSSFLKLRSQELVNGGQMVLSFLGRKSSDPSKAELCHLWGLVADALNSMVQEGVLEEEKVNTFNMPFYAASKEEVQQVIQSEGSFYIEQMQTLESNWDPFDDSDDDQAFDNVRVDTMWPSV >DRNTG_06442.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29909797:29911519:-1 gene:DRNTG_06442 transcript:DRNTG_06442.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic leucine zipper 24 [Source:Projected from Arabidopsis thaliana (AT3G51960) UniProtKB/TrEMBL;Acc:F4J5N9] MESVDEGEVDLQAQILLSNTDMQSSFDEFLRNTTTCTHTHTCNPPGPSTANHTHTCYHTHTQVFATSEGEGDDEGEAKKPRKPLGNREAVRKYREKKKAHAAYLEEEVKKLRLLNQQLLKKLQGHAALEVEVVRLRSLLVDIRAKIDGELGAYPFQRQCSSNGKVFRCSSDSQCVHETPAVVGWEGGCMPAIINCQSGQNLEVVNSMDVFGSLVSSSSQAE >DRNTG_06442.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29909797:29911519:-1 gene:DRNTG_06442 transcript:DRNTG_06442.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic leucine zipper 24 [Source:Projected from Arabidopsis thaliana (AT3G51960) UniProtKB/TrEMBL;Acc:F4J5N9] MESVDEGEVDLQAQILLSNTDMQSSFDEFLRNTTTCTHTHTCNPPGPSTANHTHTCYHTHTQVFATSEGEGDDEGEAKKPRKPLGNREAVRKYREKKKAHAAYLEEEVKKLRLLNQQLLKKLQGHAALEVEVVRLRSLLVDIRAKIDGELGAYPFQRQCSSNGKVFRCSSDSQCVHETPAVVGWEGGCMPAIINCQSGQNLEVVNSMDVFGSLVSSSSQAE >DRNTG_06442.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29909707:29911519:-1 gene:DRNTG_06442 transcript:DRNTG_06442.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic leucine zipper 24 [Source:Projected from Arabidopsis thaliana (AT3G51960) UniProtKB/TrEMBL;Acc:F4J5N9] MESVDEGEVDLQAQILLSNTDMQSSFDEFLRNTTTCTHTHTCNPPGPSTANHTHTCYHTHTQVFATSEGEGDDEGEAKKPRKPLGNREAVRKYREKKKAHAAYLEEEVKKLRLLNQQLLKKLQGHAALEVEVVRLRSLLVDIRAKIDGELGAYPFQRQCSSNGKVFRCSSDSQCVHETPAVVGWEGGCMPAIINCQSGQNLEVVNSMDVFGSLVSSSSQAE >DRNTG_06442.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29908142:29911519:-1 gene:DRNTG_06442 transcript:DRNTG_06442.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic leucine zipper 24 [Source:Projected from Arabidopsis thaliana (AT3G51960) UniProtKB/TrEMBL;Acc:F4J5N9] MESVDEGEVDLQAQILLSNTDMQSSFDEFLRNTTTCTHTHTCNPPGPSTANHTHTCYHTHTQVFATSEGEGDDEGEAKKPRKPLGNREAVRKYREKKKAHAAYLEEEVKKLRLLNQQLLKKLQGHAALEVEVVRLRSLLVDIRAKIDGELGAYPFQRQCSSNGKVFRCSSDSQCVHETPAVVGWEGGCMPAIINCQSGQNLEVVNSMDVFGSLVSSSSQAE >DRNTG_06442.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29909707:29911667:-1 gene:DRNTG_06442 transcript:DRNTG_06442.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic leucine zipper 24 [Source:Projected from Arabidopsis thaliana (AT3G51960) UniProtKB/TrEMBL;Acc:F4J5N9] MESVDEGEVDLQAQILLSNTDMQSSFDEFLRNTTTCTHTHTCNPPGPSTANHTHTCYHTHTQVFATSEGEGDDEGEAKKPRKPLGNREAVRKYREKKKAHAAYLEEEVKKLRLLNQQLLKKLQGHAALEVEVVRLRSLLVDIRAKIDGELGAYPFQRQCSSNGKVFRCSSDSQCVHETPAVVGWEGGCMPAIINCQSGQNLEVVNSMDVFGSLVSSSSQAE >DRNTG_06442.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29909797:29911667:-1 gene:DRNTG_06442 transcript:DRNTG_06442.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic leucine zipper 24 [Source:Projected from Arabidopsis thaliana (AT3G51960) UniProtKB/TrEMBL;Acc:F4J5N9] MESVDEGEVDLQAQILLSNTDMQSSFDEFLRNTTTCTHTHTCNPPGPSTANHTHTCYHTHTQVFATSEGEGDDEGEAKKPRKPLGNREAVRKYREKKKAHAAYLEEEVKKLRLLNQQLLKKLQGHAALEVEVVRLRSLLVDIRAKIDGELGAYPFQRQCSSNGKVFRCSSDSQCVHETPAVVGWEGGCMPAIINCQSGQNLEVVNSMDVFGSLVSSSSQAE >DRNTG_30490.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:654072:655691:-1 gene:DRNTG_30490 transcript:DRNTG_30490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPILAPTISTSSPMFPPFTATDPPTAAAPMLETPSPTSPPLVTATPPAAAPTLPTPTPTLPPFIPTDQPSPAPTFTKSSPTSPPLAAATHEPAAAPTLSTPTPALSPLVVTPIHPPIAASEPPVSAAPAPTLSTPTPALSPLVVTPIHPPIAASEPPVSTAPAPTLQAEVPAQAPSPSKKPSPAPAIFPTPPTTASPPDAEETISPAPNPATISDDKGCAGEMKVVLISVWGAVIVAVGLLM >DRNTG_25059.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6356326:6363231:-1 gene:DRNTG_25059 transcript:DRNTG_25059.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFIGLRSGSYGSLQAQGNGASSPGVALPILSPPPALPSSRKGSKMLLAGSRDKERRLPWIFKLACRRRVAMLLLLLVSSAAVFLSCFSILSRDYDAQLGSEMNLRFSDHVRHVMNTATSSPDMLWQPSVTDEDTNKVESSPLLLSSVQFPLKSENETDEDESKVDPLPRSLSDVQFLVRSENETLNDAFPHRHYDFPAQHPCGQFSFPPPPSDRKRTGPRPCPVCYVPVEYAVAAMPTFPSPSPVLENLSYVSDEYLLLNDSNEGSLFGGLPSLHQRNESYDIRETMTVHCGFVKGNKPGHGTGFDMDDDDLLEMERCHGVVVASAIFGNYDIMQQPKGIGGFSKRSVCFYMFVDEETEAYIKNTSVLDNTMKVGLWRVVVVRNLPYADARRNGKIPKLLLHRIFPHARFSIWIDGKLKLVVDPYRILERFLWRENATFAISKHYKRFDVFEEAEANKAAGKYDNASIDYQLEFYRREGLTHYTEDKLPISSDVPEGCVILREHIPITNLFTCLWFNEVNRFTSRDQLSFSTVRDKIMSKVDWSINMFLDCERRNFVVQAYHKDLLEQRNLQLPFSYPPPRSSSGEQQSSKPSPDALRRGVSKPPPLRKPPVKHGKDKKSNSKRHRPRPLISREFVAN >DRNTG_19566.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:5353482:5354455:1 gene:DRNTG_19566 transcript:DRNTG_19566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLGRHRGRSVGLYAFRIGRASTAKEFDDTVNELAGHITQAHHWFINKSDMAHWSNYLFRGDRWGEMYSNVAESFNAWIKEARHLSVTKMVDSIRFKLMRMLCNRREQANKWETYLCPDIHSKTATNVHRFISGYFTVDNYKLAYKEVIFPIPDDDKPSDGNRELRLRPPVTRRQHRRPRRKRIESQVFDVHELHCSRCH >DRNTG_04101.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3441772:3443415:-1 gene:DRNTG_04101 transcript:DRNTG_04101.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLILILILVIISLALLIGQRERKRRRLPPSPPRIPILGNLLWLTKPFSQLEPTLHHLRAKYGSIFTLYVGSRPVIFIMDGKQVHRSLIENSEAFADRPLPLSRSDLNGNLHSINNTPYGPLWRLLRRNLISEVIHLSKAGESSSSIQCMAFEILLKSLKAEAEANSGIVVPVHSIQHSFSFFMSSLCFGEIMEQKVVDQIMNVQLELIQIVEKLFALNLLPKVTLLLYCTRLGKLKQLRRAHQELLLPIIKACKQRVKDTDVISYVDSLFKLKVPADNEGNMRELSEEEIMSFISEFLDASIRPASATLEWIMARLVKHQDIQNKLRKEIRSVVGEKRRQVNKDELQRMPYLKAVILEALRRHPPAHFLIPHGVKENVMMDEYLIPKGTVVNYLVASLGLDETVWEDPLVFRPERFMVGGEGEERGKRDNIKTMMPFGAGRRMCPGMDIAMLQLEYLVANLVNEMELKTVPGMEVDLSEKAELVVAMKNPLHARIISTA >DRNTG_04101.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3441600:3443415:-1 gene:DRNTG_04101 transcript:DRNTG_04101.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLILILILVIISLALLIGQRERKRRRLPPSPPRIPILGNLLWLTKPFSQLEPTLHHLRAKYGSIFTLYVGSRPVIFIMDGKQVHRSLIENSEAFADRPLPLSRSDLNGNLHSINNTPYGPLWRLLRRNLISEVIHLSKAGESSSSIQCMAFEILLKSLKAEAEANSGIVVPVHSIQHSFSFFMSSLCFGEIMEQKVVDQIMNVQLELIQIVEKLFALNLLPKVTLLLYCTRLGKLKQLRRAHQELLLPIIKACKQRVKDTDVISYVDSLFKLKVPADNEGNMRELSEEEIMSFISEFLDASIRPASATLEWIMARLVKHQDIQNKLRKEIRSVVGEKRRQVNKDELQRMPYLKAVILEALRRHPPAHFLIPHGVKENVMMDEYLIPKGTVVNYLVASLGLDETVWEDPLVFRPERFMVGGEGEERGKRDNIKTMMPFGAGRRMCPGMDIAMLQLEYLVANLVNEMELKTVPGMEVDLSEKAELVVAMKNPLHARIISTA >DRNTG_04101.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3441600:3443489:-1 gene:DRNTG_04101 transcript:DRNTG_04101.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLILILILVIISLALLIGQRERKRRRLPPSPPRIPILGNLLWLTKPFSQLEPTLHHLRAKYGSIFTLYVGSRPVIFIMDGKQVHRSLIENSEAFADRPLPLSRSDLNGNLHSINNTPYGPLWRLLRRNLISEVIHLSKAGESSSSIQCMAFEILLKSLKAEAEANSGIVVPVHSIQHSFSFFMSSLCFGEIMEQKVVDQIMNVQLELIQIVEKLFALNLLPKVTLLLYCTRLGKLKQLRRAHQELLLPIIKACKQRVKDTDVISYVDSLFKLKVPADNEGNMRELSEEEIMSFISEFLDASIRPASATLEWIMARLVKHQDIQNKLRKEIRSVVGEKRRQVNKDELQRMPYLKAVILEALRRHPPAHFLIPHGVKENVMMDEYLIPKGTVVNYLVASLGLDETVWEDPLVFRPERFMVGGEGEERGKRDNIKTMMPFGAGRRMCPGMDIAMLQLEYLVANLVNEMELKTVPGMEVDLSEKAELVVAMKNPLHARIISTA >DRNTG_15156.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25541720:25543427:1 gene:DRNTG_15156 transcript:DRNTG_15156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCWLECPQWSERKKFKFKGSDGKEKKKRKKGKMGKKRKRGINFFNRIG >DRNTG_05661.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18221166:18222359:-1 gene:DRNTG_05661 transcript:DRNTG_05661.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVIQIDDDITEIKTKNVVLIGKTGNGKSSTGNSILGSKTFKSNPTSCVTSSTEGGTTVKLPNGCHLNVIDTPGLFGLQLESKTTVKEIARCMELAKNEIHAFILVLTVRSRFSREEADSFEILKVVFGEKIVNRLIVVFTGGDELYENNQTLEDYLSHECPQPLQEILKSCGNRVVLFDNRTKNEIKQKEQLQKLLSLLDNVIKFNEGKAYCSDVSAYAEKKVMDLYL >DRNTG_35104.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:5983271:5990572:-1 gene:DRNTG_35104 transcript:DRNTG_35104.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFQGANFRDLTCVRAFWLVWWDENCCDYYYYGQAIQSGSHSIFKVILNQEQYRVLSIQENERLQGFPDYYQLHGPIKERFSFCLGILVSKFFFAFQHCLEEEFSLKYSAFHQFAIDSIKRTLEQLSQQRGEEENFVKLLMVYLMGTILFPNTTFSVLNWIVDYVNDLPAIGRYTWPQAMHKWLMKGVAQAVARVQARCTE >DRNTG_35084.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002229.1:1295:6209:1 gene:DRNTG_35084 transcript:DRNTG_35084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDSIRGAEKTSVPAPLSLETMRLMGMVCRVQTGFFALVLLAPEIAEDEGDETGVSQPTPEPQPTTMEIDSPPVAEEPPPVRMFSPSRANDRFKRLENAIGVVRAEVTEIRATLATQYTEFMMRFDVLQQI >DRNTG_20353.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23429677:23430059:-1 gene:DRNTG_20353 transcript:DRNTG_20353.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGVQLQNIAIKCTNQPMDTKGKKQKARKTQTLDGGFAP >DRNTG_20353.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23429677:23430350:-1 gene:DRNTG_20353 transcript:DRNTG_20353.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGVQLQNIAIKCTNQPMDTKGKKQKARKTQTLDGGFAP >DRNTG_20353.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23429619:23430059:-1 gene:DRNTG_20353 transcript:DRNTG_20353.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGVQLQNIAIKCTNQPMDTKGKKQKARKTQTLDGGFAP >DRNTG_20353.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23429677:23430797:-1 gene:DRNTG_20353 transcript:DRNTG_20353.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGVQLQNIAIKCTNQPMDTKGKKQKARKTQTLDGGFAP >DRNTG_20353.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23429677:23430188:-1 gene:DRNTG_20353 transcript:DRNTG_20353.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGVQLQNIAIKCTNQPMDTKGKKQKARKTQTLDGGFAP >DRNTG_17055.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000868.1:10099:11330:1 gene:DRNTG_17055 transcript:DRNTG_17055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASRAARRFLSFSRFVSPSIPLRHLHQGAPRLDGNASIHPYAIIHPGAVLGKAVSVGPFCTVGSAARIGDGCELHPGCHVVGDTELGERCVVLRSSRAYDHW >DRNTG_28715.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3763153:3765752:-1 gene:DRNTG_28715 transcript:DRNTG_28715.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDEKNESGGSEKVGEKEKEPAMNEVQHMVVHHGGGGGGGPPLIYPTPLATHEEIVKNHVVFMDALRSFHSSMSTKFMIPVIGGKDLDLHQLYVQVTLRGGLEKVILGRKWREVIAVFNFPSTTTSASFVLRKYYLSLLHHFEQVYFFGAKGPLILPAEALQTKSPSGKLERARPSSSETYMASSSRKRVFSEAEKKAAQTLASVSDGGEHSEDRSGPGDRFNFSVSGTIDGKFDYGYFVTVKMNSEILKGVLYHAPPSTSSPHSSS >DRNTG_14072.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8337333:8340446:1 gene:DRNTG_14072 transcript:DRNTG_14072.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 4 [Source:Projected from Arabidopsis thaliana (AT3G11220) UniProtKB/Swiss-Prot;Acc:Q9C778] MVMEDAEAPHHLLLLRNFMAQGLVHRHPLLFASPSKEPRAFLGTLPSPVSGSKDERPRMAPGLDPDTQDHDKGLRIAWQYKKYFGEQQSSELHSRDCRQEFSNDFDLRKPLERQLLNGQHINCISLLESPNLEVLQDHCSAFLSKLPRNDGTIGCAGRIAIQSLCAPQCGYFEMDWDMVSFIRSLRAMVRSSNAVAVVTCPTSVLPPSFIKRWQHLADTLLSVRAIPDEDKDMAKLLTGYQDMIGLLHVHKVAQLNSQVPVILDATTFSLKLQKRRTLVLERLNQAPVDGSTGSPYGVPGSSGSSKCSSIDF >DRNTG_14072.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8337333:8340446:1 gene:DRNTG_14072 transcript:DRNTG_14072.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 4 [Source:Projected from Arabidopsis thaliana (AT3G11220) UniProtKB/Swiss-Prot;Acc:Q9C778] MRGRGWRRGLILILRTMCPLFFPLFFLLISSVFYALGVIGFHWLQDKGLRIAWQYKKYFGEQQSSELHSRDCRQEFSNDFDLRKPLERQLLNGQHINCISLLESPNLEVLQDHCSAFLSKLPRNDGTIGCAGRIAIQSLCAPQCGYFEMDWDMVSFIRSLRAMVRSSNAVAVVTCPTSVLPPSFIKRWQHLADTLLSVRAIPDEDKDMAKLLTGYQDMIGLLHVHKVAQLNSQVPVILDATTFSLKLQKRRTLVLERLNQAPVDGSTGSPYGVPGSSGSSKCSSIDF >DRNTG_17607.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:9067209:9073191:-1 gene:DRNTG_17607 transcript:DRNTG_17607.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFLKKNFDFPPKNPSEEAQRRWRSAVGKIVKNRRRRFRMIPDLDKRSQVEANRRNIQEKIRIALYVQKAALTFIDAAGKKEYELPHEVKEAGFDISPEELASIVSGHDMKSLKFHEGVDGILRKISVSLDDGIRSSDLEIRQKFFGINRYVEKPSRSFWMFVWDALQDLTLIILMICAVISIVVGLPTEGWPKGMYDGLGILLSIFLVVIVTAVSDYKQSLQFKALDKEKKKIFIQVTRDGYRQKVSIYDLVVGDIVHLSIGDQVPADGLFISGYSLLIDESSLSGESEPVYISHQKPFLMAGTKVQDGSAKMLVTSVGMRTEWGKLMETLSQGGEDETPLQVKLNGVATIIGKIGLLFATLTFLVLLVRFLVYKANNVGLSKWYPNDALSILNYFAISVTIIVVAVPEGLPLAVTLSLAFAMKKLMDDKALVRHLSACETMGSANCICTDKTGTLTTNHMIVEKIWICEESKTYNDKESSDQLLSFVSEKVISILLQCIFQNTGSEVVRGRDGKNTILGSPTETALLEFGLHLEGANNTQHQNCKKLKVEPFNSVKKMMSVLIYLPGVGTRAFCKGASEIIVGMCDKIIGSDGNAVSLSEEQKRNVMDGINNFACEALRTLCLAFKDMDESHSGEDIPTVGYTLIAVFGIKDPVRPGVKEAVQSCLAAGITVRMVTGDNINTAKAIAKECGILTDDGLAIEGPEFRSKSPEEMKDLIPKIQVMARSLPLDKHKLVTNLRGMFNEVVAVTGDGTNDAPALHESDIGLAMGIAGTEVAKENADVIVMDDNFTTIVGVARWGRAVYINIQKFVQFQLTVNVVALMVNFVSACIIGNAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRPPVWKGENFITRIMWRNIIGQSVYQLAVLGILMFDGKRLLKLNGPNVDAVLNTFIFNTFVFCQVFNEINSRDMEKINVFRGIFRNWIFTAVLSSTVVFQIIIIEILGTFASTVPLNWQLWLLSILIGSISMVVGAILKRIPVQKVNLSAYDQNDYEPLPSGPEVV >DRNTG_17607.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:9067209:9069053:-1 gene:DRNTG_17607 transcript:DRNTG_17607.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNFTTIVGVARWGRAVYINIQKFVQFQLTVNVVALMVNFVSACIIGNAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRPPVWKGENFITRIMWRNIIGQSVYQLAVLGILMFDGKRLLKLNGPNVDAVLNTFIFNTFVFCQVFNEINSRDMEKINVFRGIFRNWIFTAVLSSTVVFQIIIIEILGTFASTVPLNWQLWLLSILIGSISMVVGAILKRIPVQKVNLSAYDQNDYEPLPSGPEVV >DRNTG_08601.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30346179:30346658:-1 gene:DRNTG_08601 transcript:DRNTG_08601.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGDLMEAIAACHRRGVVHRDVKPENVVFDAEGRIRLLDFGSAGWIGEDGGGVMKGLVGTPYYVAPEVVRGEEYGEKVDVWSAGVVLYIMLGGAPPFHGETAAEIFEAVRRGNLRFPSRVFHRVSPAAKDLLRRMICKDVSRRFSAEQVL >DRNTG_08601.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30345863:30346658:-1 gene:DRNTG_08601 transcript:DRNTG_08601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGDLMEAIAACHRRGVVHRDVKPENVVFDAEGRIRLLDFGSAGWIGEDGGGVMKGLVGTPYYVAPEVVRGEEYGEKVDVWSAGVVLYIMLGGAPPFHGETAAEIFEAVRRGNLRFPSRVFHRVSPAAKDLLRRMICKDVSRRFSAEQVLRHPWIKSRGGTNSVDN >DRNTG_03047.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5566652:5568103:1 gene:DRNTG_03047 transcript:DRNTG_03047.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSINSSRVSSIPTKFSNHPRNMPFY >DRNTG_29984.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:4244096:4244531:1 gene:DRNTG_29984 transcript:DRNTG_29984.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNIPEHQVRFERQTTLRFGQTRFPDVSTIREIQLVEGIADEIEDMLAVGSWGHLLSIRDPAIHALTLER >DRNTG_24210.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22556624:22560491:1 gene:DRNTG_24210 transcript:DRNTG_24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSEYSLTEGREFRRTMEEVFLLSGKLCMDDFLPWWVARLFGGGLKERIVKLGKEMDELLQNLVDERRRRRKEVEETATIDVLLALQEKDPGFYSDVIIKGILLVMPAARTVIGLFVKGFVSFLLKIGVYKHSYAHNRGHNKETEGLMGAITAVSEAVIITERHMATLTARNLKNKEIPGLTGSLMAISRL >DRNTG_34802.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1387677:1388326:1 gene:DRNTG_34802 transcript:DRNTG_34802.3 gene_biotype:protein_coding transcript_biotype:protein_coding SILGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHGFLGNKWSLIAGKLPGRTDNEIKNYWNTHIKRKLISCGIDPQTHRPLVGEKQQIGIRANGLTTYDNELNLDLSISLPYVQPQPASPSIQAKITSSTLSMAAAATTTTTTTSSTTTYAQSVCLCCHIGLQTSEACKCNVIQSQ >DRNTG_34802.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1387265:1387577:1 gene:DRNTG_34802 transcript:DRNTG_34802.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCEKAHTNKGAWTKEEDQRLISYIKTNGEGCWRSLPKAAGLHT >DRNTG_34802.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1387265:1388326:1 gene:DRNTG_34802 transcript:DRNTG_34802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCEKAHTNKGAWTKEEDQRLISYIKTNGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHGFLGNKWSLIAGKLPGRTDNEIKNYWNTHIKRKLISCGIDPQTHRPLVGEKQQIGIRANGLTTYDNELNLDLSISLPYVQPQPASPSIQAKITSSTLSMAAAATTTTTTTSSTTTYAQSVCLCCHIGLQTSEACKCNVIQSQ >DRNTG_34802.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1387265:1388326:1 gene:DRNTG_34802 transcript:DRNTG_34802.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCEKAHTNKGAWTKEEDQRLISYIKTNGEGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHGFLGNKWSLIAGKLPGRTDNEIKNYWNTHIKRKLISCGIDPQTHRPLVGEKQQIGIRANGLTTYDNELNLDLSISLPYVQPQPASPSIQAKITSSTLSMAAAATTTTTTTSSTTTYAQSVCLCCHIGLQTSEACKCNVIQSQ >DRNTG_34904.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002200.1:13780:14710:1 gene:DRNTG_34904 transcript:DRNTG_34904.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVWIREAIQADTIATEEQNYCSSTIHSWPRK >DRNTG_32318.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18299391:18302910:-1 gene:DRNTG_32318 transcript:DRNTG_32318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSVRLCEGVNGLQKLVLKEIRGSAAEVYLRGGQVTSWKNDLGEELLFISNKATFNSPKAVRGGIALSFPQFGTHGVVEHHGFARNMMWSIDPDPPPFPPTSTNRTFVDLILKLSEADHRIWPHCCECRLRVTLGPGGDLLLTSRIRNTSVDGKSFSFTASYHTCLQVTDISEVRVEGLETLDYLDNLQGKKRFTEQGDALTFESEVDRVYLGAPTKIAIIDHQRKRTFVLRKDGLPDIVVWNPWDKKAKAMPDFGDNEYKYMLCVEAATVEKQITLKAGQEWKGRLELSAVPSSYCSGQLDPQRVVNG >DRNTG_32318.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18299738:18302910:-1 gene:DRNTG_32318 transcript:DRNTG_32318.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSVRLCEGVNGLQKLVLKEIRGSAAEVYLRGGQVTSWKNDLGEELLFISNKATFNSPKAVRGGIALSFPQFGTHGVVEHHGFARNMMWSIDPDPPPFPPTSTNRTFVDLILKLSEADHRIWPHCCECRLRVTLGPGGDLLLTSRIRNTSVDGKSFSFTASYHTCLQVTDISEVRVEGLETLDYLDNLQGKKRFTEQGDALTFESEVDRVYLGAPTKIAIIDHQRKRTFVLRKDGLPDIVVWNPWDKKAKAMPDFGDNEYKYMLCVEAATVEKQITLKAGQEWKGRLELSAVPSSYCSGQLDPQRVVNG >DRNTG_10554.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:12955529:12956017:1 gene:DRNTG_10554 transcript:DRNTG_10554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSPQPFTPLHAQLSPSGSSSLRPFTQLWLQRTRGTEVESLTPEGKGDTPVPLDSPS >DRNTG_09534.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000400.1:21022:22405:-1 gene:DRNTG_09534 transcript:DRNTG_09534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAVRASSMLGGIISATQAATTTVSVMAMATMRNDSSNGRQQQQACLAASSQPLNKSVAIRIPLISHRITHKIHILN >DRNTG_10757.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23188207:23191509:-1 gene:DRNTG_10757 transcript:DRNTG_10757.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDDVMDAFVCIIQKSLSKVPYPYKKRAFITRPLALFMSKQDDAHQITMAMIRDARNLFDNCVDMEFGESATTKYPLVHDMETPCQKQGSVNCAVYVMWFIDQLLWGEKLRLPQTDVPYLRLKYVSRILKEGRAAGVLKKGVRHRRVKFCFRRT >DRNTG_16547.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8642032:8646920:1 gene:DRNTG_16547 transcript:DRNTG_16547.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:KU70 [Source:Projected from Arabidopsis thaliana (AT1G16970) UniProtKB/TrEMBL;Acc:A0A178WAS1] MSNIGSQYGIIPGSRENSLYSALWVAQALLRKGSPKYVSKRILIFTNEDNPFGNITGAAKTDMIRTTVQRAYDAQEMGILIEILPLSIPDEPFNVSHFYADLIGLEGDEVAQFLPSAVERLEDMTDQLTKRIFKKRIVRTISFSIAKSINIEVNTYALIRPALP >DRNTG_16547.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8634869:8670401:1 gene:DRNTG_16547 transcript:DRNTG_16547.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:KU70 [Source:Projected from Arabidopsis thaliana (AT1G16970) UniProtKB/TrEMBL;Acc:A0A178WAS1] MNLDPQGLFRDDSDSDDEFVQEKEKDTSKEMVVYLIDASPKMFDLVGNDEDKSQTYFHAAISSISQSLKTQIIGKSYDEVAICFFNTKEKKNLQDLNGVFVFNVNDREYLDQPTAKLIKEFSCIEGTFMSNIGSQYGIIPGSRENSLYSALWVAQALLRKGSPKYVSKRILIFTNEDNPFGNITGAAKTDMIRTTVQRAYDAQEMGILIEILPLSIPDEPFNVSHFYADLIGLEGDEVAQFLPSAVERLEDMTDQLTKRIFKKRIVRTISFSIAKSINIEVNTYALIRPALPGSVTWLDSVTNHSLKAERSFICADTGALVHEPPKRFHPYKNENVKFSLDELSEIKRISNGHLCLLGFKPLDLLKDYHNLKPSTFVFPTDETIIGSTQIFIALHRSMLQLKRFALAFYGGSANPRLVALVAQDEVISSSGQVEPPGMHMIYLPFSDDIRHVEEAVQLQTTADADVPHATDDQIKKASVLMKRMELKDFSVCQFANPALQRHYGILQALALGEDEMPDIKDETLPDEDGMARPTIVNALEDFKIAVYGENHDLEEVSSKKSSNTDASRKRKAVVEAAVESAANHDWADLADNGKLKDLTVPELKNYLTAHNIPVTGKKEALISRILTHLGR >DRNTG_16547.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8647331:8670401:1 gene:DRNTG_16547 transcript:DRNTG_16547.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:KU70 [Source:Projected from Arabidopsis thaliana (AT1G16970) UniProtKB/TrEMBL;Acc:A0A178WAS1] MLQLKRFALAFYGGSANPRLVALVAQDEVISSSGQVEPPGMHMIYLPFSDDIRHVEEAVQLQTTADADVPHATDDQIKKASVLMKRMELKDFSVCQFANPALQRHYGILQALALGEDEMPDIKDETLPDEDGMARPTIVNALEDFKIAVYGENHDLEEVSSKKSSNTDASRKRKAVVEAAVESAANHDWADLADNGKLKDLTVPELKNYLTAHNIPVTGKKEALISRILTHLGR >DRNTG_16547.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8670066:8670401:1 gene:DRNTG_16547 transcript:DRNTG_16547.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:KU70 [Source:Projected from Arabidopsis thaliana (AT1G16970) UniProtKB/TrEMBL;Acc:A0A178WAS1] LKDLTVPELKNYLTAHNIPVTGKKEALISRILTHLGR >DRNTG_16547.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8634869:8670401:1 gene:DRNTG_16547 transcript:DRNTG_16547.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KU70 [Source:Projected from Arabidopsis thaliana (AT1G16970) UniProtKB/TrEMBL;Acc:A0A178WAS1] MNLDPQGLFRDDSDSDDEFVQEKEKDTSKEMVVYLIDASPKMFDLVGNDEDKSQTYFHAAISSISQSLKTQIIGKSYDEVAICFFNTKEKKNLQDLNGVFVFNVNDREYLDQPTAKLIKEFSCIEGTFMSNIGSQYGIIPGSRENSLYSALWVAQALLRKGSPKYVSKRILIFTNEDNPFGNITGAAKTDMIRTTVQRAYDAQEMGILIEILPLSIPDEPFNVSHFYADLIGLEGDEVAQFLPSAVERLEDMTDQLTKRIFKKRIVRTISFSIAKSINIEVNTYALIRPALPGSVTWLDSVTNHSLKAERSFICADTGALVHEPPKRFHPYKNENVKFSLDELSEIKRISNGHLCLLGFKPLDLLKDYHNLKPSTFVFPTDETIIGSTQIFIALHRSMLQLKRFALAFYGGSANPRLVALVAQDEVISSSGQVEPPGMHMIYLPFSDDIRHVEELQTTADADVPHATDDQIKKASVLMKRMELKDFSVCQFANPALQRHYGILQALALGEDEMPDIKDETLPDEDGMARPTIVNALEDFKIAVYGENHDLEEVSSKKSSNTDASRKRKAVVEAAVESAANHDWADLADNGKLKDLTVPELKNYLTAHNIPVTGKKEALISRILTHLGR >DRNTG_32840.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001922.1:23088:27300:-1 gene:DRNTG_32840 transcript:DRNTG_32840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDRSWMYCGRDRASFINDEFIKGVEEFIAFAYSQNGIVSGDSIRCPCRRCENSMFQIVDIVRRHLYYNGFMLGYTTWTSHGEYEIGQSSRYGDEHDDTMSQVESQN >DRNTG_20057.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26270695:26271131:-1 gene:DRNTG_20057 transcript:DRNTG_20057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVKACALFIIAFFLSGLIELSHGEAMAPSPATGDGKALDQGIAYVLMLVALLVTYLMH >DRNTG_22397.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16785379:16789177:-1 gene:DRNTG_22397 transcript:DRNTG_22397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGFDIELPEQFPGGLESFEMVALFSYESLLPFDPFNVAALRCAAEFLEMTEMYCSANLCERSDLYLNQVVLQNWDDTLIVLQKCQPLLPWSEELLIVSRCVESLAFMACMEILDPEDKRDRPIVTTLDALAGWDCEKVKGIAGQDLWIKDLIALPFGFFQRIIASMRRQGMREKYVSPVIMFYANKWVLSKKTHKFWENTAEEDGSDNADNKVSMILVGIIELLPVGDKACRVLPAAFYFALLSRSLTLSLSMEGRMKLEDQAAALLQFARVEHFLLPEKNVQNVASSPEVETMERIISRYVTSKEETNCSGCTPLINNAVVAELWDAYLVKIAANPKLGPIRFMELIETVPIEDRETHDHLYYAMNTFLSVSFEDL >DRNTG_27949.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:14229459:14235366:1 gene:DRNTG_27949 transcript:DRNTG_27949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSVAVVGQQNNPLYLQSFTEAGDELKLHHIVHCSLDVIDERVNNPKKNWPTLNETFLGLLYPSENYKVYGYLTNTKVKFILVTNEIDVKDADMRNFFRRFHAAYVDAVSNPFHVPGKKITSRSFAVRLSAIVKSFESGSNA >DRNTG_00273.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1688419:1690325:1 gene:DRNTG_00273 transcript:DRNTG_00273.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGLAKKTNGYLGVTEPISWSGPSEVDVLKTQELEKFLSDAGLYESQEEAVSREEVLGRLDQIVKVWIKNVSRARGFSEQFVQEANAKIFTFGSYRLGVHGPGADIDTLCMGPKHATREEDFFVELHNMLAEMPEVSELHPVPDAHVPVMGFKFNGVSIGLLYASLSLWVIPEDSDISVDSILQNADEQTGRSLNGCRVTDQIVRLVPNIQSFRTTLRCMRLWAKRRGIYSNVAGFLGGINWALPVARICQLYPNA >DRNTG_04932.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8424239:8439335:1 gene:DRNTG_04932 transcript:DRNTG_04932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWSSLVFSKGSLAAAPLFPPAIYYARHHQEGGKLWRRRLGEDPRHPRHHLRGDLFKSSRSSPSKGI >DRNTG_33245.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:7229160:7233017:-1 gene:DRNTG_33245 transcript:DRNTG_33245.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWSSLVLSKGPLVKPRPHLARLNYSKSLAKASLNPSRKPLKRWRKDGKKDAERKRLQSGIHTGSFYDQPVEVPWKTGRLISPVYCHIKFVVRGQSPAVTLRKTVSHKFDLVICASPLIERPRLLTPLSSSQAFAGTSVAVKGCDGQTLLCFDSFLSSFGRILANRARKADVYTVSYNQGNVTSLKEKSELLFSLSLTSY >DRNTG_08643.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22071408:22078343:1 gene:DRNTG_08643 transcript:DRNTG_08643.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRTIILAIVLSLMLLFLNHKVRKLELELKKKDESNEDLTKSLTLQIGKLEEKCSDLENERKHLRDKVSELLRELDSSQVELEEKIQELTQLYQKQENSLAADSGADEKAESLSSLELSRILSEISKQLHIALSHVKDLQSKGESGAETECLFDSEFLAPGGTDAVTQKDQVDNMIKSFVKFNDMLESKLVECRVLIQFADGDRKQQDQNSVEAKKWLKDNLLYEQEFGAVEAKENSRNGDTHIELIESQSMVEELKAVCSSKDEQIDDLRNSNRELDDLLSDIRREKDQLEEQLNNALGEINVASNSLEDARHEVMMLKGSVDSHASANKMLEKKLVELESSKNELDVHISELENENVQLSERISGLEAQLRYLTNEKESNRLELDDSKAHIADLKDQLSSLQTEMDSQKLGLEQKLQEAQKRLAESQEESELLKRAQSKQQGTIENLMEECVSLQKSTADLKKQKLDLHGRNSRLEVELGESLKKSADFCEKVEFLEGKLSLLQKDIILKENLLATKLENVYKENKELEERIRQAHILLNQLDEEVKLERAGALLTAQASSAHNEEAITAAESSCESSNMLSDRRELETSLQEAQEKIKWYETELNDLKQESDNKIQGLVDLLNVSKQSEEMLMIDITRMQRLIENIKSSEERFKRTANDLELKLKASDYEKEQITEEITGLKLQVQKVALLQDEIMALKTAVDDAKFEKGKLEELLKSVSEECEELKTEKISFVDKVSNMQQALHGFEDDRLKRIALEEKLSRLESDLTAKEALFAQEAEIKNELNRMKRVNSEYQRKVQNLEDEKEEFTRITQELEKELLLRKEQSEVNKVSIEDGNNPHKENQGDGDKVDLKSKIQSLETELAEALEASSMYRIQLQSLVAEKENNQAEILKKSPENEANANQTARISSLEAELKDMQERYLNMSLKFAEVEAQREQLVMKLKSTNKEKRWF >DRNTG_08643.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22068265:22078343:1 gene:DRNTG_08643 transcript:DRNTG_08643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLHRHRSNSFGEKLEFKFSNLQAFQVPRGWDKLFVSIVSVETGKTIAKSSRTAVHGGTCQWTDSFSESIWVSQDGATKELEECLYKIVVAMGSSRSGVLGDVALNLTDYVHSRDSGLLSLPLERCNYGTILQIKVQCLSRTKSRDGKGWKETNFNMEEPTTNNDDVDSKSDGSDVSNRVASSCTNLAGAAHPDEPGNRDRSFSASGSHRSSDSGGSSVGRANFSPQSSLNGGAFNVGRPDSSGSHYNSHYGGGHSDDVSRSNHSSFNSRVSGSSNAIQLQEGQISVQGVAPVTLRSSNSCKDLLDAAEETIDELHDEVKMWERHSQKLKLDLEILKKQNSEKSKHQANLDMELSAAFAERDSFKQELEQLKLSLEESMTKQTVNGTAKVEEVVRAKKELEDELKFLKESNANLSIQLKKTQDSNLELISILQELEETVEKQTMEIANLSEQAQANDSGSGSKGRLLLDLEAEWAHKLSMKEEEIKKLEDKLSVALQTRDLSPKISSGDNIDTVKEIETLRAKVEELERDCAELTDENLELLFKMKESGNNIRQGKSSLSSTSNEFADHNSRDSFEFDASLLKSQST >DRNTG_10168.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22971053:22974241:-1 gene:DRNTG_10168 transcript:DRNTG_10168.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGCRTVLDQYTLELERVASILLVSLANDLGTEQVADMFKEGLQTVRINYYPPCHHADKVLGLSPHSDAEGLTLLLQINEAEGLQLKKNGQWMPIKPLPNVFIVNNGDDTLEIMSNGKYKSIEHRAVINPQKECMPIATFVMPWLDVTIVNRSNSEACGRE >DRNTG_10168.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22970686:22972783:-1 gene:DRNTG_10168 transcript:DRNTG_10168.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGCRTVLDQYTLELERVASILLVSLANDLGTEQVADMFKEGLQTVRINYYPPCHHADKVLGLSPHSDAEGLTLLLQINEAEGLQLKKNGQWMPIKPLPNVFIVNNGDDTLEIMSNGKYKSIEHRAVINPQKECMPIATFVMPWLDVTIVNRSNSEACGRE >DRNTG_10168.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22970686:22975466:-1 gene:DRNTG_10168 transcript:DRNTG_10168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKEGLQTVRINYYPPCHHADKVLGLSPHSDAEGLTLLLQINEAEGLQLKKNGQWMPIKPLPNVFIVNNGDDTLEIMSNGKYKSIEHRAVINPQKECMPIATFVMPWLDVTIVNRSNSEACGRE >DRNTG_17168.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23717921:23719464:-1 gene:DRNTG_17168 transcript:DRNTG_17168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSSTISATILPDFGDLFPLFLFFSTFLNHLGSHRLGFGKAFGKSLEWFEGLDTAICLEEGCWGSFCQHRSIEVLKEPNEAQNCKLDQFDTKNGIFGVPDRSKHCRVL >DRNTG_04461.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15554013:15554375:1 gene:DRNTG_04461 transcript:DRNTG_04461.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHLGFITSTNPCTCGIYTRDVVKFLAPLPGNRSRFMTPGNASILIEGDPDIERTVRRKGKEPVQEQPNLADLEVEGSDNMAE >DRNTG_06624.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:443288:443796:1 gene:DRNTG_06624 transcript:DRNTG_06624.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRENRRTVVCFNMFVVYYLTQTLERVKEEEKESLGREDELKR >DRNTG_11082.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30800788:30806147:1 gene:DRNTG_11082 transcript:DRNTG_11082.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARFDPADLARGRLAVIAAHLATSSISPALLETSPVSAQVAARAPSAIGGFLTVIDERTGKKYEIKVSDEGTVKASDFKKISTGNQDKGLKLYDPGYLNTAPVRSSICYIDGDEGILRYRGYPIEELAESSTFTEVAYLLMYGNLPSQNQLVDWEFAISQHSAVPQGLLDIIQGMPHDAHPMGVLVSAMSALSVFHPDANPALRGQDLYKLKQVRDKQIARILGKAPTIAAAAYLRLAGRPPVLSSNNLSYSENFLYMLDSLGNHSYKPNPRLARVLDILFILHAEHEMNCSTAAARHLASSGVDVFTALAGAVGALYGPLHGGANEAVLKMLNEIGTVENIPEFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIRKLAEEVFSIVGRDPLIEVAVALEKAALTDEYFIKRKLYPNVDFYSGLIYRAMGFPTEFFPVLFAIPRMAGYLAHWRESLDDPDRKIMRPQQVYTGVWLRHYMPFRERTMPTEADSLGQVSVSNASRRRLAGSST >DRNTG_00996.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6783017:6784844:-1 gene:DRNTG_00996 transcript:DRNTG_00996.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSLGNSPISPSPSPSGNRIANIPCCSAKPSSISFPHRHLFFPPNTGNAAEINKELSLSNSSSTIIPPSHKPSVVIVDEQDQAMVEKCVVRGQKHLRELSSWGIGGPCSYFLEVSRPSHLVSAIRYCKARSIPFLIVGKGSNCLFEDRGFDGFVMLNRLEHSAVEVVEPGTYRVGSAFPFNRLGVRCSSEGFSGLEFAGGIPGTVGGATFMNAGANGQETGDVIESVEIVTMDGELRVLGRSELDFGYRWSSFQAMDNLAAILAVTFRLSPAPSARDRQKAFLERRRKTQPVGERSAGSVFRNPLGAGISAGELIELAGLKGFAMGGAKVSEVHANFFINFNGSTSGDMLALINFVKERVDQMFGIQLKEEIRYVPYE >DRNTG_00996.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6782368:6784751:-1 gene:DRNTG_00996 transcript:DRNTG_00996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSLGNSPISPSPSPSGNRIANIPCCSAKPSSISFPHRHLFFPPNTGNAAEINKELSLSNSSSTIIPPSHKPSVVIVDEQDQAMVEKCVVRGQKHLRELSSWGIGGPCSYFLEVSRPSHLVSAIRYCKARSIPFLIVGKGSNCLFEDRGFDGFVMLNRLEHSAVEVVEPGTYRVGSAFPFNRLGVRCSSEGFSGLEFAGGIPGTVGGATFMNAGANGQETGDVIESVEIVTMDGELRVLGRSELDFGYRWSSFQAMDNLAAILAVTFRLSPAPSARDRQKAFLERRRKTQPVGERSAGSVFRNPLGAGISAGELIELAGLKGFAMGGAKVSEVHANFFINFNGSTSGDMLALINFVKERVDQMFGIQLKEEIRYVPYE >DRNTG_00996.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6782980:6784844:-1 gene:DRNTG_00996 transcript:DRNTG_00996.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSLGNSPISPSPSPSGNRIANIPCCSAKPSSISFPHRHLFFPPNTGNAAEINKELSLSNSSSTIIPPSHKPSVVIVDEQDQAMVEKCVVRGQKHLRELSSWGIGGPCSYFLEVSRPSHLVSAIRYCKARSIPFLIVGKGSNCLFEDRGFDGFVMLNRLEHSAVEVVEPGTYRVGSAFPFNRLGVRCSSEGFSGLEFAGGIPGTVGGATFMNAGANGQVPNFDWLVAVCVR >DRNTG_00996.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6782926:6784844:-1 gene:DRNTG_00996 transcript:DRNTG_00996.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSLGNSPISPSPSPSGNRIANIPCCSAKPSSISFPHRHLFFPPNTGNAAEINKELSLSNSSSTIIPPSHKPSVVIVDEQDQAMVEKCVVRGQKHLRELSSWGIGGPCSYFLEVSRPSHLVSAIRYCKARSIPFLIVGKGSNCLFEDRGFDGFVMLNRLEHSAVEVVEPGTYRVGSAFPFNRLGVRCSSEGFSGLEFAGGIPGTVGGATFMNAGANGQETGDVIESVEIVTMDGELRVLGRSELDFGYRWSSFQAMDNLAAILAVTFRLSPAPSARDRQKAFLERRRKTQPVGERSAGSVFRNPLGAGISAGELIELAGLKGFAMGGAKVSEVHANFFINFNGSTSGDMLALINFVKERVDQMFGIQLKEEIRYVPYE >DRNTG_00996.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6783017:6784844:-1 gene:DRNTG_00996 transcript:DRNTG_00996.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDGELRVLGRSELDFGYRWSSFQAMDNLAAILAVTFRLSPAPSARDRQKAFLERRRKTQPVGERSAGSVFRNPLGAGISAGELIELAGLKGFAMGGAKVSEVHANFFINFNGSTSGDMLALINFVKERVDQMFGIQLKEEIRYVPYE >DRNTG_00996.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6782980:6784844:-1 gene:DRNTG_00996 transcript:DRNTG_00996.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSLGNSPISPSPSPSGNRIANIPCCSAKPSSISFPHRHLFFPPNTGNAAEINKELSLSNSSSTIIPPSHKPSVVIVDEQDQAMVEKCVVRGQKHLRELSSWGIGGPCSYFLEVSRPSHLVSAIRYCKARSIPFLIVGKGSNCLFEDRGFDGFVMLNRLEHSAVEVVEPGTYRVGSAFPFNRLGVRCSSEGFSGLEFAGGIPGTVGGATFMNAGANGQETGDVIESVEIVTMDGELRVLGRSELDFGYRWSSFQAMDNLAAILAVTFRLSPAPSARDRQKAFLERRRKTQPVGERSAGSVFRNPLGAGISAGELIELAGLKGFAMGGAKVSEVHANFFINFNGSTSGDMLALINFVKERVDQMFGIQLKEEIRYVPYE >DRNTG_00996.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6782926:6784751:-1 gene:DRNTG_00996 transcript:DRNTG_00996.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSLGNSPISPSPSPSGNRIANIPCCSAKPSSISFPHRHLFFPPNTGNAAEINKELSLSNSSSTIIPPSHKPSVVIVDEQDQAMVEKCVVRGQKHLRELSSWGIGGPCSYFLEVSRPSHLVSAIRYCKARSIPFLIVGKGSNCLFEDRGFDGFVMLNRLEHSAVEVVEPGTYRVGSAFPFNRLGVRCSSEGFSGLEFAGGIPGTVGGATFMNAGANGQETGDVIESVEIVTMDGELRVLGRSELDFGYRWSSFQAMDNLAAILAVTFRLSPAPSARDRQKAFLERRRKTQPVGERSAGSVFRNPLGAGISAGELIELAGLKGFAMGGAKVSEVHANFFINFNGSTSGDMLALINFVKERVDQMFGIQLKEEIRYVPYE >DRNTG_20718.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17906838:17907962:-1 gene:DRNTG_20718 transcript:DRNTG_20718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLEYSKKINGGVWKKLPPGFRFRPTDEELVVQYLWRKVLSFPLPSSIIPEIDLNDYDPKNLHDWIEREKEGYFFKCKRSTNGSGSSEEKRSSGYWKAIGKEMPILSSRSNKLVGMKKVLIFFRGRCKTKWIMHEFRLAGVAAGIKSRMFSQKESFTCQEKEWMVCRIFKKRKDKKIHARVTDHSIDSKNQVNKVSRNSTSSSSSSSSSSPSSSSSSNLSCVIDVMEDSGSEDEFSSKSMDSSSYGNLQD >DRNTG_04576.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:22249964:22251146:1 gene:DRNTG_04576 transcript:DRNTG_04576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVRIFRRACGTLREFSRLNRERTVACGCPSVSGARAWIMAPRSKKQVDKRPRESSPEPEGIRFAMPEHQVRYERLLRLRFRQTHFLDTSILRDLQQGDEFTAEIEDLVSTGGWRQLLMVRELAIRELALEVLSTFEFDRSYASFDSVDAIQFRAVGHHHCLSVTQFTILLGLYEEAFTDTKGYSQLMMDYPGALTP >DRNTG_12409.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12349876:12351521:-1 gene:DRNTG_12409 transcript:DRNTG_12409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLEKALTRFVQSSNTRFELVEAIHRNHNASLHNLENQVGQIVKPLSERPHGSLPSNTETNAKEHVKAITLRSGREVDGRLPRHKEAMENKKISFGQKEKKTGIHTTPILPNFLLGIFWETLERLVATLGRRRRTRKLEDHPGPRSKTLKARRQLHSRGDLPRFVGRRPEARGSVIGHSFGGESVIRHILHLLLHHFI >DRNTG_07449.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19669780:19671484:-1 gene:DRNTG_07449 transcript:DRNTG_07449.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin X, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G50320) UniProtKB/Swiss-Prot;Acc:Q8LD49] MATSLCNPSSSPFPFSASSLPVARGRSLSRNGSSVRFGSSIGHPSSALCSRRWAAPCRASRPTLRCAAVSTIGQSDFVAEVLESDVPVLVEFVADWCGPCRVMVPVIDWVSQEYTDKLKVVKIDHDANSQLIEEYKVYGLPALILFKDGKEVAGSRREGAMTKVKLKEYLDSLLESTTTV >DRNTG_06865.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17280323:17281854:-1 gene:DRNTG_06865 transcript:DRNTG_06865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPQTKDKIPFNPSFSYKHLKKSSSREDQ >DRNTG_06042.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32641210:32643719:1 gene:DRNTG_06042 transcript:DRNTG_06042.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRAARMVQAADSLLKLVSELKQTAIFSGFASLNEHVDRRIVEFEQQAEKTDRMLERIGEQAAASLKELETHYYSSVLRTGHTEEP >DRNTG_11142.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30368098:30371841:1 gene:DRNTG_11142 transcript:DRNTG_11142.3 gene_biotype:protein_coding transcript_biotype:protein_coding KSKNFKFDPTLTIIRLICYHCLPVFTNKISLYAIHQSYLKLQQILLQDLQTRSKETINHTQNPSIFLKKSNNQTHDKLLTKKINHKMSNLMNNKNQDPQPFLHNSIWSRH >DRNTG_11142.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30368098:30371841:1 gene:DRNTG_11142 transcript:DRNTG_11142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTKKINHKMSNLMNNKNQDPQPFLHNSIWSRH >DRNTG_11142.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30368098:30371841:1 gene:DRNTG_11142 transcript:DRNTG_11142.2 gene_biotype:protein_coding transcript_biotype:protein_coding KSKNFKFDPTLTIIRLICYHCLPVFTNKISLYAIHQSYLKLQQILLQDLQTRSKETINHTQNPSIFLKKSNNQTHDKLLTKKINHKMSNLMNNKNQDPQPFLHNSIWSRH >DRNTG_11142.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30368098:30371841:1 gene:DRNTG_11142 transcript:DRNTG_11142.4 gene_biotype:protein_coding transcript_biotype:protein_coding NHKQGIPPSIKNLQENHNSDQSNTVTDSLCSSSIPNKIFWFNSRNQCSKVLQTLQTSRLSNLSALFLVVSFLSRSSCEKPRVQ >DRNTG_33748.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32371945:32373283:-1 gene:DRNTG_33748 transcript:DRNTG_33748.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRQMALSSPSISFSCSSSPPISRLPKPPPSITPSLSNPFLRSLPLLRNPRITRSLTPFRSPTRSLFTGIVEEMGEVLHLGPNDTGGIELQISAKTVLSGVHLGDSIAVNGTCLTVSSFDPEASHFTVGLSPETLRKTSLFGISPGDSVNLERALQPISRMGGHFVQGHVDGTGEIVSMVPEGDSLWVKVRAPPEIVRYVVPKGFITVDGTSLTVVGVYDEECCFDFMLVAYTQQKVVIPLKKVGEKVNLEVDILGKYVEKLLKCGIGAGEDAGLS >DRNTG_15769.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17549281:17557323:1 gene:DRNTG_15769 transcript:DRNTG_15769.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNKNDEKNDKIEEVKMEVEIKTRVFLPVKLNDGKQLNNIGIRKKKILALGVNIMLLLKEALKGKFGKAPEKANKELYNAVINPYVRMTVRLVIVFGSPTISMVRNNFDEGLGTSLKKLNGGQKNKDHVNKPEDEDLIHIDKLKKDIFATTTDDNKGDMENADSEPKVLAPSEKELKELPTHLEYAFLLLPSPLTISLPPPPPPPPPPLVLPIHEDLVCLTSIWSYLLLLFPVLSLDSGPREPTGFNRSQILALFQSQARHKRHSRLSTWLFGTLRAIDWEALHMAGLREERRRGHDIWYDTHTIWFQLRGQEYHLSYIEHALFMGIYEGDYTLTEEYHNLLSSPPLGETQFAHWSRLCNCGRTFKPSVTTASVLKSPTLRFIHTLLNSTITGWNRCTGNITLLEFQYQYLLSVIDRTLYHMGFVIANSFHHQATNTRGHTIFVGLYITRLIRGMGLLGNVEHLSIAGGSSPFSLHSLHKMGLITPQPAVTTENPHVDVR >DRNTG_34228.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1375929:1379306:-1 gene:DRNTG_34228 transcript:DRNTG_34228.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFDYFKEKLKYKQQRRSTPISATTSNHGTSRSTDSGAGKQTSKSSGSSSSQRSIPALYEEKAQHLRVFDLKELRNATNDFSRLLKIGEGGFGSVYKGLIKPFGSKKESTIVAVKKLNQNGLQGHKQWLAEVQFLGVVEHPNLVKLLGYCSEDGERGIQRLLVYEFMPNKSLEDHLFNKAYPFLPWSTRLHIALGAAEGLAYLHEGLEVQVIYRDFKASNVLLDGEFNPKLSDFGLAREGPAEGDTHVSTAVMGTYGYAAPDYVETGHLTSKSDVYSFGVVLFEILTGRRSMERNRPRHEQKLLDWLKQCKSFSKIMDLRLENQYSMKGAKEVAKIAYSCLEKNAKDRPKMSDVVEWLKQAMQFTDLSGPEESMEEFAFTDSDNEKKVEQASGVESQKRRMLHLAKLNENANAIGMRKFVMMNAANSR >DRNTG_02812.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13091132:13091519:1 gene:DRNTG_02812 transcript:DRNTG_02812.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVLWSWLVFSNGSLGKPRAHLTRSVTTKAPPIIIFQEKHDVKAAGIGHPHGPVDVPHAPVEFPHTLMEIPHASVEIPHRRVDSLES >DRNTG_30628.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1114593:1117201:1 gene:DRNTG_30628 transcript:DRNTG_30628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFENSNWAFDPSLIGDIPVHVVDFGAGDSGYFWTPQGLNVPPNASLQVDGSQVNSDGPKESSSSKRARTESSGGPSSKACREKMRRDRLNDKFVELGSILDPGKPPKMDKSAILSDAVHMVTQLRTDVQKLKDSNEKLHEKIKELKTEKNELRDEKQRLKAEKESLEQQVKLLNATPSFVPHPPVIPTPYVAQGQASGQKLMMPVIGYPGFPMWQFMPPADVDTSQDVESCPPVA >DRNTG_26104.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20812939:20815787:1 gene:DRNTG_26104 transcript:DRNTG_26104.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETLAPGVGAGAGGAPLSPLSILLLVLAFQFLDRYLELLKKKGSKSNEETQLRLEIKNLLKEASGLSTPSTFAQAAKLRRMATAKEKELIKKQEERSKEQNFSYSLYNKILMGVKVPLYAGLCWRFWGIPIAAVPQHLLQPFGRILSWKAGDPASGFIMIGIIPWLILTSRVTKFLCQKFLTKITPEIDWSLNNI >DRNTG_19937.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18990026:18998307:-1 gene:DRNTG_19937 transcript:DRNTG_19937.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G58130) UniProtKB/TrEMBL;Acc:Q9FGT1] MRIFVGGLGAGVTAEDMEKTFSSLGSVAAVQLVRTNGRSFAYMDFHPNSDKSLARLFANYNGCMWKGGKLRVEKAKEHYLARLSREWAEDAKLNTTSSTPLDLNLDKNPNTSNNPQILNQENMQLNIYFPKLRKVKPLPFKGTGKHKYSFQRIEVPPLPIHFCDCEEHSMFPETFSQKHLSRSNSVMHKKELSIMTAVMDKIFKMDGSASDRHTTLDIESNKSVPLDNEYMLEDSDEASPSDPDNLLTNIGLQHQGDDALDQILLEVEDLLEHQESKSSKLYPSKDGITGTNIKSCKKQHTCGTSAPINPLEQKSCVVLEVKVAEVDHVPISLRKKTSKNCMKEVEMPADALPMQSKAEPSENIEPSKGHSWQQKSSWKDLVGEVDSSSFSISHVIPAINLAAQNSPISNGSDLDMSVVRKKGKVHFNNELGVRQRKSLAKLAASTLVEKTENDNKVKESPGEASEAEPGKKGSIRAGTHVNMLDLKFPTVQEGKAADDERMTISPRKRTPQNFVKEVEMPEDTPVQRQTEPSKNMEPSKGHTWLQKSSWKDLIGEVGNSSFSISHVIPGTNSTAQSSPISNGSEFATSTVQKKRKVQYNNEVSTYVEGHKKSLAMQVAPTHVDKTGNSDKAQENPEVAQGEAGKKSNLAPRRIVPKIHVGEVCPFMRNAESEKEWTKAKAVFSGHLKKGGNANGANKNAKGTLRHKA >DRNTG_19937.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18990026:18998307:-1 gene:DRNTG_19937 transcript:DRNTG_19937.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G58130) UniProtKB/TrEMBL;Acc:Q9FGT1] MRIFVGGLGAGVTAEDMEKTFSSLGSVAAVQLVRTNGRSFAYMDFHPNSDKSLARLFANYNGCMWKGGKLRVEKAKEHYLARLSREWAEDAKLNTTSSTPLDLNLDKNPNTSNNPQILNQENMQLNIYFPKLRKVKPLPFKGTGKHKYSFQRIEVPPLPIHFCDCEEHSMFPETFSQKHLSRSNSVMHKKELSIMTAVMDKIFKMDGSASDRHTTLDIESNKSVPLDNEYMLEDSDEASPSDPDNLLTNIGLQHQGDDALDQILLEVEDLLEHQESKSSKLYPSKDGITGTNIKSCKKQHTCGTSAPINPLEQKSCVVLEVKVAEVDHVPISLRKKTSKNCMKEVEMPADALPMQSKAEPSENIEPSKGHSWQQKSSWKDLVGEVDSSSFSISHVIPAINLAAQNSPISNGSDLDMSVVRKKGKVHFNNELGVRQRKSLAKLAASTLVEKTENDNKVKESPGEASEAEPGKKGSIRAGTHVNMLDLKFPTVQEGKAADDERMTISPRKRTPQNFVKEVEMPEDTPVQRQTEPSKNMEPSKGHTWLQKSSWKDLIGEVGNSSFSISHVIPGTNSTAQSSPISNGSEFATSTVQKKRKVQYNNEVSTYVEGHKKSLAMQVAPTHVDKTGNSDKAQENPEVAQGEAGKKSNLAPRRIVPKIHVGEVCPFMRNAESEKEWTKAKAVFSGHLKKGGNANGANKNAKGTLRHKA >DRNTG_19937.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18994845:18998453:-1 gene:DRNTG_19937 transcript:DRNTG_19937.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G58130) UniProtKB/TrEMBL;Acc:Q9FGT1] MADAGGVTEKPMRIFVGGLGAGVTAEDMEKTFSSLGSVAAVQLVRTNGRSFAYMDFHPNSDKSLARLFANYNGCMWKGGKLRVEKAKEHYLARLSREWAEDAKLNTTSSTPLDLNLDKNPNTSNNPQILNQENMQLNIYFPKLRKVKPLPFKGTGKHKYSFQRIEVPPLPIHFCDCEEHSMFPETFSQKHLSRSNSVMHKKELSIMTAVMDKIFKMDGSASDRHTTLDIESNKSVPLDNEYMLEDSDEASPSDPDNLLTNIGLQHQGDDALDQILLEVEDLLEHQESKSSKLYPSKDGITGTNIKSCKKQHTCGTSAPINPLEQKSCVVLEVKVAEVDHVPISLRKKTSKNCMKEVEMPADALPMQSKAEPSENIEPSKGHSWQQKSSWKDLVGEVDSSSFSISHVIPAINLAAQNSPISNGSDLDMSVVRKKGKVHFNNELGVRQRKSLAKLAASTLVEKTENDNKVKESPGEASEAEPGKKGSIRAGTHVNMLDLKFPTVQEGKAADDERMTISPRKRTPQNFVKEVEMPEDTPVQRQTEPSKNMEPSKGHTWLQKSSWKDLIGEVGNSSFSISHVIPGTNSTAQSSPISNGSEFATSTVQKKRKVQYNNEVSTYVEGHKKSLAMQVAPTHVDKTGNSDKAQENPEVAQGEAGKKSNLAPRRIVPKIHVGEVCPFMRNAESEKEWTKAKAVFSGHLKKGGNANGANKNAKGTLRHKA >DRNTG_19937.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18989780:18991107:-1 gene:DRNTG_19937 transcript:DRNTG_19937.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G58130) UniProtKB/TrEMBL;Acc:Q9FGT1] MKDKLDISVGVAIGSSTQIAMFGIPFSVVLGWLMGRPMDLNFQLFETAILFITVLVLAFMLQDGSSNYFKGLMLVLCYLIVAASFYVHVDPKPNGDTVPLPSPEA >DRNTG_19937.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18990026:18998307:-1 gene:DRNTG_19937 transcript:DRNTG_19937.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G58130) UniProtKB/TrEMBL;Acc:Q9FGT1] MRIFVGGLGAGVTAEDMEKTFSSLGSVAAVQLVRTNGRSFAYMDFHPNSDKSLARLFANYNGCMWKGGKLRVEKAKEHYLARLSREWAEDAKLNTTSSTPLDLNLDKNPNTSNNPQILNQENMQLNIYFPKLRKVKPLPFKGTGKHKYSFQRIEVPPLPIHFCDCEEHSMFPETFSQKHLSRSNSVMHKKELSIMTAVMDKIFKMDGSASDRHTTLDIESNKSVPLDNEYMLEDSDEASPSDPDNLLTNIGLQHQGDDALDQILLEVEDLLEHQESKSSKLYPSKDGITGTNIKSCKKQHTCGTSAPINPLEQKSCVVLEVKVAEVDHVPISLRKKTSKNCMKEVEMPADALPMQSKAEPSENIEPSKGHSWQQKSSWKDLVGEVDSSSFSISHVIPAINLAAQNSPISNGSDLDMSVVRKKGKVHFNNELGVRQRKSLAKLAASTLVEKTENDNKVKESPGEASEAEPGKKGSIRAGTHVNMLDLKFPTVQEGKAADDERMTISPRKRTPQNFVKEVEMPEDTPVQRQTEPSKNMEPSKGHTWLQKSSWKDLIGEVGNSSFSISHVIPGTNSTAQSSPISNGSEFATSTVQKKRKVQYNNEVSTYVEGHKKSLAMQVAPTHVDKTGNSDKAQENPEVAQGEAGKKSNLAPRRIVPKIHVGEVCPFMRNAESEKEWTKAKAVFSGHLKKGGNANGANKNAKGTLRHKA >DRNTG_19937.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18990026:18998307:-1 gene:DRNTG_19937 transcript:DRNTG_19937.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G58130) UniProtKB/TrEMBL;Acc:Q9FGT1] MRIFVGGLGAGVTAEDMEKTFSSLGSVAAVQLVRTNGRSFAYMDFHPNSDKSLARLFANYNGCMWKGGKLRVEKAKEHYLARLSREWAEDAKLNTTSSTPLDLNLDKNPNTSNNPQILNQENMQLNIYFPKLRKVKPLPFKGTGKHKYSFQRIEVPPLPIHFCDCEEHSMFPETFSQKHLSRSNSVMHKKELSIMTAVMDKIFKMDGSASDRHTTLDIESNKSVPLDNEYMLEDSDEASPSDPDNLLTNIGLQHQGDDALDQILLEVEDLLEHQESKSSKLYPSKDGITGTNIKSCKKQHTCGTSAPINPLEQKSCVVLEVKVAEVDHVPISLRKKTSKNCMKEVEMPADALPMQSKAEPSENIEPSKGHSWQQKSSWKDLVGEVDSSSFSISHVIPAINLAAQNSPISNGSDLDMSVVRKKGKVHFNNELGVRQRKSLAKLAASTLVEKTENDNKVKESPGEASEAEPGKKGSIRAGTHVNMLDLKFPTVQEGKAADDERMTISPRKRTPQNFVKEVEMPEDTPVQRQTEPSKNMEPSKGHTWLQKSSWKDLIGEVGNSSFSISHVIPGTNSTAQSSPISNGSEFATSTVQKKRKVQYNNEVSTYVEGHKKSLAMQVAPTHVDKTGNSDKAQENPEVAQGEAGKKSNLAPRRIVPKIHVGEVCPFMRNAESEKEWTKAKAVFSGHLKKGGNANGANKNAKGTLRHKA >DRNTG_19937.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18997589:18998307:-1 gene:DRNTG_19937 transcript:DRNTG_19937.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G58130) UniProtKB/TrEMBL;Acc:Q9FGT1] MRIFVGGLGAGVTAEDMEKTFSSLGSVAAVQLVRTNGRSFAYMDFHPNSDKSLARLFANYNGCMWKGGKLRVEKAKEHYLARLSREWAEDAKLNTTSSTPLDLNLDKNPNTSNNPQILNQENMQLNIYFPKLRKVCCFRSLVLFE >DRNTG_19937.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18990026:18998307:-1 gene:DRNTG_19937 transcript:DRNTG_19937.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G58130) UniProtKB/TrEMBL;Acc:Q9FGT1] MRIFVGGLGAGVTAEDMEKTFSSLGSVAAVQLVRTNGRSFAYMDFHPNSDKSLARLFANYNGCMWKGGKLRVEKAKEHYLARLSREWAEDAKLNTTSSTPLDLNLDKNPNTSNNPQILNQENMQLNIYFPKLRKVKPLPFKGTGKHKYSFQRIEVPPLPIHFCDCEEHSMFPETFSQKHLSRSNSVMHKKELSIMTAVMDKIFKMDGSASDRHTTLDIESNKSVPLDNEYMLEDSDEASPSDPDNLLTNIGLQHQGDDALDQILLEVEDLLEHQESKSSKLYPSKDGITGTNIKSCKKQHTCGTSAPINPLEQKSCVVLEVKVAEVDHVPISLRKKTSKNCMKEVEMPADALPMQSKAEPSENIEPSKGHSWQQKSSWKDLVGEVDSSSFSISHVIPAINLAAQNSPISNGSDLDMSVVRKKGKVHFNNELGVRQRKSLAKLAASTLVEKTENDNKVKESPGEASEAEPGKKGSIRAGTHVNMLDLKFPTVQEGKAADDERMTISPRKRTPQNFVKEVEMPEDTPVQRQTEPSKNMEPSKGHTWLQKSSWKDLIGEVGNSSFSISHVIPGTNSTAQSSPISNGSEFATSTVQKKRKVQYNNEVSTYVEGHKKSLAMQVAPTHVDKTGNSDKAQENPEVAQGEAGKKSNLAPRRIVPKIHVGEVCPFMRNAESEKEWTKAKAVFSGHLKKGGNANGANKNAKGTLRHKA >DRNTG_19937.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18994845:18998307:-1 gene:DRNTG_19937 transcript:DRNTG_19937.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G58130) UniProtKB/TrEMBL;Acc:Q9FGT1] MRIFVGGLGAGVTAEDMEKTFSSLGSVAAVQLVRTNGRSFAYMDFHPNSDKSLARLFANYNGCMWKGGKLRVEKAKEHYLARLSREWAEDAKLNTTSSTPLDLNLDKNPNTSNNPQILNQENMQLNIYFPKLRKVKPLPFKGTGKHKYSFQRIEVPPLPIHFCDCEEHSMFPETFSQKHLSRSNSVMHKKELSIMTAVMDKIFKMDGSASDRHTTLDIESNKSVPLDNEYMLEDSDEASPSDPDNLLTNIGLQHQGDDALDQILLEVEDLLEHQESKSSKLYPSKDGITGTNIKSCKKQHTCGTSAPINPLEQKSCVVLEVKVAEVDHVPISLRKKTSKNCMKEVEMPADALPMQSKAEPSENIEPSKGHSWQQKSSWKDLVGEVDSSSFSISHVIPAINLAAQNSPISNGSDLDMSVVRKKGKVHFNNELGVRQRKSLAKLAASTLVEKTENDNKVKESPGEASEAEPGKKGSIRAGTHVNMLDLKFPTVQEGKAADDERMTISPRKRTPQNFVKEVEMPEDTPVQRQTEPSKNMEPSKGHTWLQKSSWKDLIGEVGNSSFSISHVIPGTNSTAQSSPISNGSEFATSTVQKKRKVQYNNEVSTYVEGHKKSLAMQVAPTHVDKTGNSDKAQENPEVAQGEAGKKSNLAPRRIVPKIHVGEVCPFMRNAESEKEWTKAKAVFSGHLKKGGNANGANKNAKGTLRHKA >DRNTG_17160.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21784428:21787000:-1 gene:DRNTG_17160 transcript:DRNTG_17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSGYTSIDKQNLSGSVPAASGPDHVVNFADSNLQTFPPSETQGKISAVFRPPTDADDTFSKPAPGSSNQSQQPTGWMHAFTVGAYRPYFDVDTSDVLERIRDSLFPFKGSFTEKTSDNPDLYGPFWICTTLIFVAAAIGTFVTYLAHKLQNKDWDYDINLVTWSAGLFYGYVTLVPLALYVILKYFSAPSGLVQLWCLYGYSLFVFIPASCLSVVPIEIFRWVIAGVAGFMSACFVALNLRTHIKSAGERWFLIVAGIFLLQLGLAVILKLYFFTITV >DRNTG_27190.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:570695:578331:1 gene:DRNTG_27190 transcript:DRNTG_27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPFVATPSTDRDADKALVALKKGSQLLKYGRKGKPKFCLFRLSNDESSLIWLSSSGERTLKLASVSKIIPGQRTPVFQRYLRPEKDYLSFSLIYNNGKRSLDLICKDKVEAEVWFAGLRALISSGQCGRSKIDGWSDGGLYFDEAKDLRTNRTSDSSVSTILDVSSPDSNLNPGISSIDHPENLRTFEKSDVTNMQGKGIPSDVFRVSVSSTHSTSSHGSAPDDCDALGDVYVWGEVICDSSAWASTERSNSPIIAKTDILLPKPLESNLVLDVHHVACGVRHAALVTRQGEMFTWGEESGGRLGHGVGTDVIHPRLVESLSVCNIDYVACGQFHTCAVTASGELYTWGDGTHNVGLLGHGTDVSHWIPKRVSGPLEGLQVAYVTCGTWHTALVTSAGQLFTFGDGTFGVLGHGNRESVSYPKEVESLMGLKTIAVACGVWHTAAIVDVIVTQSSASASSGKLFTWGDGDKFRLGHGDKEARLKPTCVPSLIDFNFHKLACGHSLTIGLTTSGRVFAMGSTVYGQLGNPQSDGKLPCMVEDKLASESVAEVSCGSYHVTVLTTKNEVYTWGKGANGRLGHGDFEDRKTPTLVEALKDRTVKRIACGSNFTAAICNHKWVSGAEQSQCSACRQAFGFTRKRHNCYNCGLVHCHSCSSRKALRAALSPNPGKPYRVCDTCYLKLNKVLESSGINNKRNTMPRLSGENKDRFDKVESKLLKTPPLASNLDLIKNLDVKAAKNGKKSDTLSFTRASQAPSILRSKDTSFIGGFDLHQAVPRPVQTSTTHSVNQSRAVSPFSRKSSPPRSATPVPTTSGLSFSKNVTDSLKRTNELLNQEIQKLRAQVDGLRHRCELQEFELQKSSKKAQEAMALAAEEAAKSKVAKEVIKSLTAQLKDMAERLPHGVYENDQIRPTYPLNGIDSHALYHSNLNEDYQLNNDASSSPANPPSINSVVINGLSAQNHSPSGSRETNELDDTHLNNHRVANSNQEGEYLNSDRSGNAEMPISGRREDLEGRGAEPRLNGENVSNSRSPTSNNQVEAEWIEQYEPGVYITLVALRDGTRDLKRVRFSRRRFGEHQAESWWSENRTKVYEKYNVRGSDRASAAVSTQSVQRFGES >DRNTG_32316.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18314938:18316268:1 gene:DRNTG_32316 transcript:DRNTG_32316.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMATISIQFHPSFLTGNPLRPITKLNYKSHPLSLLPCTTASIHHPNHSQLKKDELKLAKLAMVTLAAGVLAVTPVQDATAAKTGGRVGGQAFRSPAPPRSSGPRINNSRTNIFINPPIAPPLVGGYGYGGYGWSPFTFFVPGPSVAVGFGGGFELFAAIVVFGIIANVLRRFTRQRDEDEDDY >DRNTG_32316.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18314938:18316125:1 gene:DRNTG_32316 transcript:DRNTG_32316.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMATISIQFHPSFLTGNPLRPITKLNYKSHPLSLLPCTTASIHHPNHSQLKKDELKLAKLAMVTLAAGVLAVTPVQDATAAKTGGRVGGQAFRSPAPPRSSGPRINNSRTNIFINPPIAPPLVGGYGYGGYGWSPFTFFVPGPSVAVGFGGGFELFAAIVVFGIIANVLRRFTRQRDEDEDDY >DRNTG_27759.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24441504:24446637:-1 gene:DRNTG_27759 transcript:DRNTG_27759.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMSKTRNILEGSFKWALSRRTSFEDEFEDIGNSPSGRRKWISDLSPIANIIVARCSRMLEVSMDDLRHNFDAEASDSIKSPSNYARNFLEYCCFRALALSTQIAGHLADKDFRRLTFDMMLAWEAPAASDQPLLKVDKESSVGLEAFSRIAPAVPTIADVITIYNLFEVLTSSTAGRLSFAVYDKYLGSLDRAIKKMKTQSESSLLSGLRLHRGEKILEVDGTLTTQPVLEHVGISTWPGRLTLTDHALYFEALKVVAYDKPKVYELAEDLKQVIKPEMTGPWGSRLFDKAVMYKSISLSEPVVMEFPELTGHSRRDYWLAIMQEILYSHKFIRKFQLKGVEKEETLLKAVLGILRLQAIQELATSIPFRCETLLMFNLADQLPGGDLVLETLADMTVSRRLNRPSPSNSESGMYSVSALQILSSLGLVSQVSNDERLLVGDIVVGEMTPLERAVSASRNNFKKVEQAQASIEGVKVDGIDTNLAVMKELLNPVLELGRQLILLVSWDDPTKSLIFCVVTSYIIFRGWLRYVFVMMSLFLAIFMVLTRCCSQGRPIVELEVRAPPPMNTMEQLLAVQNAVSHITELIQDGNIVLLKLRALLLASPSQATEKVILMLVLLAVTLALLPSKFLQLLVFLEIFTRYSPTRRPSTEKWMRRLREWWFSIPAAPVVLERDKEEKKRR >DRNTG_27759.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24441504:24445273:-1 gene:DRNTG_27759 transcript:DRNTG_27759.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQSESSLLSGLRLHRGEKILEVDGTLTTQPVLEHVGISTWPGRLTLTDHALYFEALKVVAYDKPKVYELAEDLKQVIKPEMTGPWGSRLFDKAVMYKSISLSEPVVMEFPELTGHSRRDYWLAIMQEILYSHKFIRKFQLKGVEKEETLLKAVLGILRLQAIQELATSIPFRCETLLMFNLADQLPGGDLVLETLADMTVSRRLNRPSPSNSESGMYSVSALQILSSLGLVSQVSNDERLLVGDIVVGEMTPLERAVSASRNNFKKVEQAQASIEGVKVDGIDTNLAVMKELLNPVLELGRQLILLVSWDDPTKSLIFCVVTSYIIFRGWLRYVFVMMSLFLAIFMVLTRCCSQGRPIVELEVRAPPPMNTMEQLLAVQNAVSHITELIQDGNIVLLKLRALLLASPSQATEKVILMLVLLAVTLALLPSKFLQLLVFLEIFTRYSPTRRPSTEKWMRRLREWWFSIPAAPVVLERDKEEKKRR >DRNTG_13519.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21980464:21982239:-1 gene:DRNTG_13519 transcript:DRNTG_13519.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCFYKEESMKQVESFGMMMMPPDDDDGFTTTSTTSGLPSLVHPHGSSLHPCFEEVYEEEDGTASALRIHSQAEKRRRERINARLSTLRRMIPDSNKMDKASLLGKVIEQVKDLKRKAMDIAKLQTIPSETNEVIVESKGDDKPIMYIKASICCDDRPDLLADLMQAFQGLRVRAVKADMISLGGRVRNVFVLCKKDSQGNVCMNSLKDSIKEVLARIASSDVVSGKRQRLMQSQYTNISF >DRNTG_13519.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21980464:21982121:-1 gene:DRNTG_13519 transcript:DRNTG_13519.12 gene_biotype:protein_coding transcript_biotype:protein_coding MTFMTSKCLFASFGMMMMPPDDDDGFTTTSTTSGLPSLVHPHGSSLHPCFEEVYEEEDGTASALRIHSQAEKRRRERINARLSTLRRMIPDSNKMDKASLLGKVIEQVKDLKRKAMDIAKLQTIPSETNEVIVESKGDDKPIMYIKASICCDDRPDLLADLMQAFQGLRVRAVKADMISLGGRVRNVFVLCKKDSQGNVCMNSLKDSIKEVLARIASSDVVSGKRQRLMQSQYTNISF >DRNTG_13519.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21980464:21982181:-1 gene:DRNTG_13519 transcript:DRNTG_13519.10 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCFYKEESMKQVESFGMMMMPPDDDDGFTTTSTTSGLPSLVHPHGSSLHPCFEEVYEEEDGTASALRIHSQAEKRRRERINARLSTLRRMIPDSNKMDKASLLGKVIEQVKDLKRKAMDIAKLQTIPSETNEVIVESKGDDKPIMYIKASICCDDRPDLLADLMQAFQGLRVRAVKADMISLGGRVRNVFVLCKKDSQGNVCMNSLKDSIKEVLARIASSDVVSGKRQRLMQSQYTNISF >DRNTG_13519.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21980464:21982121:-1 gene:DRNTG_13519 transcript:DRNTG_13519.11 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCFYKEESMKQVESFGMMMMPPDDDDGFTTTSTTSGLPSLVHPHGSSLHPCFEEVYEEEDGTASALRIHSQAEKRRRERINARLSTLRRMIPDSNKMDKASLLGKVIEQVKDLKRKAMDIAKLQTIPSETNEVIVESKGDDKPIMYIKASICCDDRPDLLADLMQAFQGLRVRAVKADMISLGGRVRNVFVLCKKDSQGNVCMNSLKDSIKEVLARIASSDVVSGKRQRLMQSQYTNISF >DRNTG_13519.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21980389:21982121:-1 gene:DRNTG_13519 transcript:DRNTG_13519.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCFYKEESMKQVESFGMMMMPPDDDDGFTTTSTTSGLPSLVHPHGSSLHPCFEEVYEEEDGTASALRIHSQAEKRRRERINARLSTLRRMIPDSNKMDKASLLGKVIEQVKDLKRKAMDIAKLQTIPSETNEVIVESKGDDKPIMYIKASICCDDRPDLLADLMQAFQGLRVRAVKADMISLGGRVRNVFVLCKKDSQGNVCMNSLKDSIKEVLARIASSDVVSGKRQRLMQSQYTNISF >DRNTG_13519.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21980389:21982121:-1 gene:DRNTG_13519 transcript:DRNTG_13519.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTFMTSKCLFASFGMMMMPPDDDDGFTTTSTTSGLPSLVHPHGSSLHPCFEEVYEEEDGTASALRIHSQAEKRRRERINARLSTLRRMIPDSNKMDKASLLGKVIEQVKDLKRKAMDIAKLQTIPSETNEVIVESKGDDKPIMYIKASICCDDRPDLLADLMQAFQGLRVRAVKADMISLGGRVRNVFVLCKKDSQGNVCMNSLKDSIKEVLARIASSDVVSGKRQRLMQSQYTNISF >DRNTG_13519.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21980403:21982239:-1 gene:DRNTG_13519 transcript:DRNTG_13519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCFYKEESMKQVESFGMMMMPPDDDDGFTTTSTTSGLPSLVHPHGSSLHPCFEEVYEEEDGTASALRIHSQAEKRRRERINARLSTLRRMIPDSNKMDKASLLGKVIEQVKDLKRKAMDIAKLQTIPSETNEVIVESKGDDKPIMYIKASICCDDRPDLLADLMQAFQGLRVRAVKADMISLGGRVRNVFVLCKKDSQGNVCMNSLKDSIKEVLARIASSDVVSGKRQRLMQSQYTNISF >DRNTG_13519.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21980403:21982121:-1 gene:DRNTG_13519 transcript:DRNTG_13519.7 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCFYKEESMKQVESFGMMMMPPDDDDGFTTTSTTSGLPSLVHPHGSSLHPCFEEVYEEEDGTASALRIHSQAEKRRRERINARLSTLRRMIPDSNKMDKASLLGKVIEQVKDLKRKAMDIAKLQTIPSETNEVIVESKGDDKPIMYIKASICCDDRPDLLADLMQAFQGLRVRAVKADMISLGGRVRNVFVLCKKDSQGNVCMNSLKDSIKEVLARIASSDVVSGKRQRLMQSQYTNISF >DRNTG_13519.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21980464:21982181:-1 gene:DRNTG_13519 transcript:DRNTG_13519.9 gene_biotype:protein_coding transcript_biotype:protein_coding MTFMTSKCLFASFGMMMMPPDDDDGFTTTSTTSGLPSLVHPHGSSLHPCFEEVYEEEDGTASALRIHSQAEKRRRERINARLSTLRRMIPDSNKMDKASLLGKVIEQVKDLKRKAMDIAKLQTIPSETNEVIVESKGDDKPIMYIKASICCDDRPDLLADLMQAFQGLRVRAVKADMISLGGRVRNVFVLCKKDSQGNVCMNSLKDSIKEVLARIASSDVVSGKRQRLMQSQYTNISF >DRNTG_13519.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21980403:21982121:-1 gene:DRNTG_13519 transcript:DRNTG_13519.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTFMTSKCLFASFGMMMMPPDDDDGFTTTSTTSGLPSLVHPHGSSLHPCFEEVYEEEDGTASALRIHSQAEKRRRERINARLSTLRRMIPDSNKMDKASLLGKVIEQVKDLKRKAMDIAKLQTIPSETNEVIVESKGDDKPIMYIKASICCDDRPDLLADLMQAFQGLRVRAVKADMISLGGRVRNVFVLCKKDSQGNVCMNSLKDSIKEVLARIASSDVVSGKRQRLMQSQYTNISF >DRNTG_13519.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21980403:21982181:-1 gene:DRNTG_13519 transcript:DRNTG_13519.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCFYKEESMKQVESFGMMMMPPDDDDGFTTTSTTSGLPSLVHPHGSSLHPCFEEVYEEEDGTASALRIHSQAEKRRRERINARLSTLRRMIPDSNKMDKASLLGKVIEQVKDLKRKAMDIAKLQTIPSETNEVIVESKGDDKPIMYIKASICCDDRPDLLADLMQAFQGLRVRAVKADMISLGGRVRNVFVLCKKDSQGNVCMNSLKDSIKEVLARIASSDVVSGKRQRLMQSQYTNISF >DRNTG_13519.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21980403:21982181:-1 gene:DRNTG_13519 transcript:DRNTG_13519.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFMTSKCLFASFGMMMMPPDDDDGFTTTSTTSGLPSLVHPHGSSLHPCFEEVYEEEDGTASALRIHSQAEKRRRERINARLSTLRRMIPDSNKMDKASLLGKVIEQVKDLKRKAMDIAKLQTIPSETNEVIVESKGDDKPIMYIKASICCDDRPDLLADLMQAFQGLRVRAVKADMISLGGRVRNVFVLCKKDSQGNVCMNSLKDSIKEVLARIASSDVVSGKRQRLMQSQYTNISF >DRNTG_09731.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32233828:32236003:1 gene:DRNTG_09731 transcript:DRNTG_09731.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G42220) UniProtKB/Swiss-Prot;Acc:O48529] MAVLGFCVASPPRLSWKAFGTNRLSTRVHRSYRRRIALVRAEVNFVNADEAKRLVSEEGYTILDVRDKTQYERAHIKSSHHVPLFIENQDNDLGTIVKRTVHNNFSGLFFGLPFTKLNPDFVRTIKEKFSDDSKLLIVCQEGLRSAAAANKLEVAGYRNLSCITSGLQSAKPGSFESEGSTELQNAGKAGLVTIQGKISAVLGTVLICAFLFITFFPEQAEKILQMSPSS >DRNTG_29260.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20995803:20998143:1 gene:DRNTG_29260 transcript:DRNTG_29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWMELGQAVFFGVILSFIVAKLISVVVSLNEDRLTGAADQSVQPTSGASEVAKPRVLEEDAGVSVKEASLRGESDGDDDWEGIESTELDEAFSAATTFVAAAAADRMASKVSNELQLQLYGLYKVAIEGPCTTPQPSAIKMTARAKWNAWQKLGDMPPEEAMQKYIMLVTELYPNWAGNSSSKARDEGAVGSASSSDKGHMGPVFSSFIYEEEVDNDLKLDAIHVSAREGKMEDLLSCIENGVFNKFEGFVSFFFPSLTIYFTNGLYYLELI >DRNTG_29260.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20996553:20998143:1 gene:DRNTG_29260 transcript:DRNTG_29260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEEAMQKYIMLVTELYPNWAGNSSSKARDEGAVGSASSSDKGHMGPVFSSFIYEEEVDNDLKLDAIHVSAREGKMEDLLSCIENGVFNKFEGFVSFFFPSLTIYFTNGLYYLELI >DRNTG_29260.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20995803:20998143:1 gene:DRNTG_29260 transcript:DRNTG_29260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWMELGQAVFFGVILSFIVAKLISVVVSLNEDRLTGAADQSVQPTSGASEVAKPRVLEEDAGVSVKEASLRGESDGDDDWEGIESTELDEAFSAATTFVAAAAADRMASKVSNELQLQLYGLYKVAIEGPCTTPQPSAIKMTARAKWNAWQKLGDMPPEEAMQKYIMLVTELYPNWAGNSSSARDEGAVGSASSSDKGHMGPVFSSFIYEEEVDNDLKLDAIHVSAREGKMEDLLSCIENGVFNKFEGFVSFFFPSLTIYFTNGLYYLELI >DRNTG_02484.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2222272:2226148:1 gene:DRNTG_02484 transcript:DRNTG_02484.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALRRVTGKVGSSRTPPSLVKGVEPVAPVRRQEPTPPVAGGSDAEGISRPGDDVVLEERDPDYDAMLCKMVGRITTKPGGKPEMGEAFIVEKYNRPMPKLRTSKAEADGQKPIPPGTLTAVQVQEIILLYQGKSSEHQGKMQVDEIAKKFSIDAIQVQRIVQFISLLPEDLNSKNNKT >DRNTG_13197.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2517325:2518579:-1 gene:DRNTG_13197 transcript:DRNTG_13197.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSRPKTISLLALFIGILCLYFPVTTAAVLPLVEKSGAAVDLLYLTLVWPGTFCYSGQCCMPTTGEPSNDFLIEDLKTLDQTGQIVQDCNSTCRFSVNKDPMPSLIPDLYSNWCNLSCPCNNGFANWNNTWCAYGQCSQLNQTDYFIAAFNMTAKANLLNAFQVKSIVPSSSASYKLTEINTALANLSLSAHVECVTKTDGASLLSKISFCISTDGLSFIDCPFDIKQTCDSELWFYPFYINQLNTECDYDYVGSGDLIKMPTAKHLPM >DRNTG_13197.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2476019:2518579:-1 gene:DRNTG_13197 transcript:DRNTG_13197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSRPKTISLLALFIGILCLYFPVTTAAVLPLVEKSGAAVDLLYLTLVWPGTFCYSGQCCMPTTGEPANDFLIEDLKTLDQTGQIVQDCNSTCRFSVNKDPMPSLIPDLYSNWCNLSCPCNNGFANWNNTWCAYGQCSQLNQTDYFIAAFNMTAKANLLNAFQVNNIVP >DRNTG_13197.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2487663:2488469:-1 gene:DRNTG_13197 transcript:DRNTG_13197.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSRSKAIPLLVLFISILCLYFPVTTAAAAAASDAAVDLLYLTLVWPGTFCYSGQCCMPTTGEPSNDFLIEDLKTLDQTGQIVQDCNSTCRFSVNKDPMPSLIPDLYSNWCNLSCPCNNGFANWNNTWCAYGQCSQLNQTDYFIAAFNMTAKA >DRNTG_13197.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2476019:2477018:-1 gene:DRNTG_13197 transcript:DRNTG_13197.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALKIKSNKAQPDPTGVTIRRHASSSHPKPSSALKPSIKQIMAARSKTISLLALFIGILCLYFPVTTTAAVAKSGAAVDLLYLTLVWPGTFCYSGQCCMPTTGEPANDFLIEDLKTLDQTGQIVQDCNSTCRFSVNKDPMPSLIPDLYSNWCNLSCPCNNGFANWNNTWCAYGQCSQLNQTDYFIAAFNMTAKANLLNAFQVNNIVP >DRNTG_13197.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2487663:2518579:-1 gene:DRNTG_13197 transcript:DRNTG_13197.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSRPKTISLLALFIGILCLYFPVTTAAVLPLVEKSGAAVDLLYLTLVWPGTFCYSGQCCMPTTGEPSNDFLIEDLKTLDQTGQIVQDCNSTCRFSVNKDPMPSLIPDLYSNWCNLSCPCNNGFANWNNTWCAYGQCSQLNQTDYFIAAFNMTAKA >DRNTG_35258.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3380068:3386315:1 gene:DRNTG_35258 transcript:DRNTG_35258.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEEGNSSGGRGGWVGIQSLLRRKEVDSDRTKAGSGHQQLAKDLSVSRLIAIGVGSTIGAGVYVLVGTVAREHSGPALTVSFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGSAVARGISPNVALFFGSPDSLPSFLARAYIPWLGIVVDPCAAILVLIVTGLLCFGIKESSFVQAIITTANVLVMLFVIIAGGYIGFQTGWVGYSVSSGYFPFGVNGMLAGSATVFFSYIGFDSVASTAEEVKNPQRDLPLGIGIALTICCVLYMMVSIVIVGLVPYFAMDPDTPISSAFARNGVDWAVYVVTTGAVLALCSTLLGSLLPQPRILMAMARDGLLPPFFSDLNKRTQVPVKSTILTGICAAVLAFIMDVSQLAGMVSVGTLLAFTTVAISILILRYVPPDEVPLTSSIQESIYPEACSKDSSSIMNDGEISAVKPLINKEINQEKLNEQIRRKRAALSIASVCIGVLSLTSSASAAFLPNIPRCIACIVGALLLLGGLGMLSWLDQDHGRHSFGHAGGFICPFVPVLPVCCILINTYLLINLGAGTWARVSVWLVVGVFVYLFYGRTHSALTNAVYVSTAHADEMYKSSNSVA >DRNTG_35258.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3380068:3386315:1 gene:DRNTG_35258 transcript:DRNTG_35258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEEGNSSGGRGGWVGIQSLLRRKEVDSDRTKAGSGHQQLAKDLSVSRLIAIGVGSTIGAGVYVLVGTVAREHSGPALTVSFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGSAVARGISPNVALFFGSPDSLPSFLARAYIPWLGIVVDPCAAILVLIVTGLLCFGIKESSFVQAIITTANVLVMLFVIIAGGYIGFQTGWVGYSVSSGYFPFGVNGMLAGSATVFFSYIGFDSVASTAEEVKNPQRDLPLGIGIALTICCVLYMMVSIVIVGLVPYFAMDPDTPISSAFARNGVDWAVYVVTTGAVLALCSTLLGSLLPQPRILMAMARDGLLPPFFSDLNKRTQVPVKSTILTGICAAVLAFIMDVSQLAGMVSVGTLLAFTTVAISILILRYVPPDEVPLTSSIQESIYPEACSKDSSSIMNDGEISAVKPLINKEINQEKLNEQIRRKRAALSIASVCIGVLSLTSSASAAFLPNIPRCIACIVGALLLLGGLGMLSWLDQDHGRHSFGHAGGFICPFVPVLPVCCILINTYLLINLGAGTWARVSVWLVVGVFVYLFYGRTHSALTNAVYVSTAHADEMYKSSNSVA >DRNTG_35258.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3380068:3386315:1 gene:DRNTG_35258 transcript:DRNTG_35258.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEEGNSSGGRGGWVGIQSLLRRKEVDSDRTKAGSGHQQLAKDLSVSRLIAIGVGSTIGAGVYVLVGTVAREHSGPALTVSFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGSAVARGISPNVALFFGSPDSLPSFLARAYIPWLGIVVDPCAAILVLIVTGLLCFGIKESSFVQAIITTANVLVMLFVIIAGGYIGFQTGWVGYSVSSGYFPFGVNGMLAGSATVFFSYIGFDSVASTAEEVKNPQRDLPLGIGIALTICCVLYMMVSIVIVGLVPYFAMDPDTPISSAFARNGVDWAVYVVTTGAVLALCSTLLGSLLPQPRILMAMARDGLLPPFFSDLNKRTQVPVKSTILTGICAAVLAFIMDVSQLAGMVSVGTLLAFTTVAISILILRYVPPDEVPLTSSIQESIYPEACSKDSSSIMNDGEISAVKPLINKEINQEKLNEQIRRKRAALSIASVCIGVLSLTSSASAAFLPNIPRCIACIVGALLLLGGLGMLSWLDQDHGRHSFGHAGGFICPFVPVLPVCCILINTYLLINLGAGTWARVSVWLVVGVFVYLFYGRTHSALTNAVYVSTAHADEMYKSSNSVA >DRNTG_01432.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23447407:23448195:-1 gene:DRNTG_01432 transcript:DRNTG_01432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARAFASHSLALALLLVVTPLCTAKIILYSSETLKTGKSLIWGKYEFKMQEDCNAVLYDSNEPIWASQTWGRGCSCYITLQPDGNLVIYDDGNAPVWASNTNFGGKEHYVLILQKDRNLVIYGPARWATNTNIGVSGGMFIESKATIFGTLPANKTTEEAKTSGTIAMVVDNI >DRNTG_32224.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1403827:1404174:-1 gene:DRNTG_32224 transcript:DRNTG_32224.3 gene_biotype:protein_coding transcript_biotype:protein_coding GNLNINLVLQHSAILTVPGGPSVACSTPRAAKWDPKWSDNLDPSGRAALGVHVSAYESPNPTSLVADQDQDSANSITSEKKKDCSCCCSTSHS >DRNTG_32224.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1403827:1405323:-1 gene:DRNTG_32224 transcript:DRNTG_32224.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHRAPNKEEFQRELCDKIKALHQYDCLRANKATAAWGLEVRVPFLDKAFLNVAMNIDPEAKMIKCDLGRIEKWVLRSAFDDEENPYLPEHILYRQKEQFSDGVGYSWIHGLQDHAAKHVTATMMKNVSSIYPHNTPTTKEAYYYRMVFERFFPQHSAILTVPGGPSVACSTPRAAKWDPKWSDNLDPSGRAALGVHVSAYESPNPTSLVADQDQDSANSITSEKKKDCSCCCSTSHS >DRNTG_32224.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1403827:1405444:-1 gene:DRNTG_32224 transcript:DRNTG_32224.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHRAPNKEEFQRELCDKIKALHQYDCLRANKATAAWGLEVRVPFLDKAFLNVAMNIDPEAKMIKCDLGRIEKWVLRSAFDDEENPYLPEHILYRQKEQFSDGVGYSWIHGLQDHAAKHVTATMMKNVSSIYPHNTPTTKEAYYYRMVFERFFPQHSAILTVPGGPSVACSTPRAAKWDPKWSDNLDPSGRAALGVHVSAYESPNPTSLVADQDQDSANSITSEKKKDCSCCCSTSHS >DRNTG_28096.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1578302:1583611:1 gene:DRNTG_28096 transcript:DRNTG_28096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVDAFAGKLVERLTNVIEEKAIMVLGVKDDLQTLRRRMERIARVLKDAEKRRIQEETIKGWVDELKDLMYDADDIIDLCMIQGTGLLQDVDHHSQLAESSATASTRVRCNFPLFSCVRSVPFRYEIADKIKTLNDTLNEISEDKNKFNFSTSSISNDAYVTNEAPYLESSFLPESDIVGWDIIDATKSLVDLLVYQHEQKCRLFAIVGMGGIGKTTHAQLIYNDSKINDDFVLQSWICVSKFYTSRANLLKELIRNVGGTCGESTTIAELQKILCDVLHRKSLFLVLDDVWDADVWINLMKNPIERATTKCRVLVTTRDRNIAIKMGAIHIHNVNKLPLNFGWELLSKKVFTNNNERDILRMKDIGMQIVEKCDGLPVALKAIAGVLITKDQNKRAWEDVLNSNAWTITGLPEEIRGALYLSYESLPSALKHCFLYCSLYPLDQELYYDDLVCQWIAEGYIQASDNASLEDVAKGYYKELICRSFLQPDPTHATIYKCTMHDLLRALAQFFAGDESFSGNPQEAQHTISMQKLRHLTITSNRESVSIPHLDRLRTLQLREPPSLNTCVVLKLKHLRVLVLNGDKIENMPDSIGDLVHLRLLNLEHTCIDNLPDSLGNLINLQFLLLGNCMSLHILPNSITKLYNLRWLRLYHSPLNYVPKGIGKLEHLHHVQGLIIGDSGDNGEKGCNLEDLQMLEKLSYLSVRNLEKSSSKSSSVLSSKTHLRKLRLRCTPNTNGHIQQQEIDKIVQVFDDLCPPPCLEDLSIRDFLGGRYPKWMSSTSINTALQELIYLQLINCSNWPRLPQLGQLPQLKYLKIEGATAVVSIGPEFLGNHNGEPTESSFPKLEYLLFHNLINWVEWSLTSGEKDNEPEPSKPLMFFPHLKSISISDCPMLKALPRGLNLANIQELQIIGAHNLSRISHFSSLKELEVDDCPMLVCVEKLESLQSLKVIDRPKNNTSLPQWLISFFQQREEKPHNDQFILHLECSAQVLHDCLQGQRYWSFLEQVPRFTAYAKRQHMYLKYTKEPYYYETNIIDH >DRNTG_30569.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19296023:19297014:-1 gene:DRNTG_30569 transcript:DRNTG_30569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKFIFLAFFAITSSLCFAFDPSPLQDFCVADFTSQVFVNGFACKNPTTVTAEDFFVSGLNNRANTMNPLGVNISTASVFQVPGLNTLGLTLVRIDYAPGGVNPPHTHPRATEVIVVLEGTIYAGFVSSSPNDTLYSKVLSAGDVFVFPQSLTHFNMNYGKSNATALVAFNSQNPGTIIDANNLFGATPPINDYLLAKAFQLSKETIDELQAKTWPNPAATNITTNNVFGVN >DRNTG_32279.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001816.1:1:9873:1 gene:DRNTG_32279 transcript:DRNTG_32279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPLSREVFDRYRPSNADRFSSSEDHSPPSQRSTQERGPHLRSQTQSSFPRSRIY >DRNTG_19071.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16470123:16472013:1 gene:DRNTG_19071 transcript:DRNTG_19071.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMRYPKNTQGRVTTLVKLPSGVTRIELILSLSRLLTVELEGFHSSFSAVFKVFILISSIWLPDPRSRPASVHLSTLQIQNTWNVSALREVQLADNMADEVEELLSMGSWRRLLPIREPAIHLLTLEVLASFEFDHSYPSFDSIDAIQFKAFRHHYNISVTKFSIWLGLYDEDFTVTEKYEQLPIDYPGSLTPQRAYRALCGRGQYEPGVSKAMCLSRPSYRYIHVVLSRLVSGRSDNTGVLSRLELLYLHSMEPIETKAPPTAQEPSPVHILSPSRAYDRFERLESAVGVLRTEIAEILERDATSPFMMRPYTPPSPAPPAVALAFSPAPPTSSAAALETTAETADDIDA >DRNTG_30261.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5087245:5090841:1 gene:DRNTG_30261 transcript:DRNTG_30261.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRHILAGILTLAMFAMLGNMIKKDHFDSFDDGIRSDVHFNVLKVESELQSKINGPWEEMKQELKPCWKPPSLKAGAESNGYITLSLKSGPEFHMPQIAVAVVIARHLGATLVLPDIRSGELGQKRDFKEYYDLDKFYSSLWGVVDITREIPADVASENPTVVKVPNQVSEDFLVKNIEPIFEKNRYLRLAISYPSTNMKLEMKQNDDIESTTCLAMFSSLELKQEIREVVDQVVENLRALSNRSDGKFIAVDLKVELLEKKACQEVGASGRKNCYNGYEIGQFLKKIGFDTETTIYITQTWWHENAKALKDIFPRTYTKDDVLPDDQKTKFLRSQNVELEKLLDFEVCSQSDVFVPSMPGMFYGSVTGRRIGSGRTQILIPANFLASYGQASDHVSAYVSEKKHLAYSCFC >DRNTG_30261.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5089698:5090841:1 gene:DRNTG_30261 transcript:DRNTG_30261.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCRDFKEYYDLDKFYSSLWGVVDITREIPADVASENPTVVKVPNQVSEDFLVKNIEPIFEKNRYLRLAISYPSTNMKLEMKQNDDIESTTCLAMFSSLELKQEIREVVDQVVENLRALSNRSDGKFIAVDLKVELLEKKACQEVGASGRKNCYNGYEIGQFLKKIGFDTETTIYITQTWWHENAKALKDIFPRTYTKDDVLPDDQKTKFLRSQNVELEKLLDFEVCSQSDVFVPSMPGMFYGSVTGRRIGSGRTQILIPANFLASYGQASDHVSAYVSEKKHLAYSCFC >DRNTG_30261.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5089512:5090841:1 gene:DRNTG_30261 transcript:DRNTG_30261.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEMKQNDDIESTTCLAMFSSLELKQEIREVVDQVVENLRALSNRSDGKFIAVDLKVELLEKKACQEVGASGRKNCYNGYEIGQFLKKIGFDTETTIYITQTWWHENAKALKDIFPRTYTKDDVLPDDQKTKFLRSQNVELEKLLDFEVCSQSDVFVPSMPGMFYGSVTGRRIGSGRTQILIPANFLASYGQASDHVSAYVSEKKHLAYSCFC >DRNTG_25429.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24681541:24684620:1 gene:DRNTG_25429 transcript:DRNTG_25429.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIQGALIAASSLQIVLGFCGIWRIFMRFLSPLAAVPIVTITALGLFYYGFPIVASCIEVGLPAIILLVFFSQYVAHYFEKRRMIFDRFAVLVTVVIVWVYAHILTVAGAYKHRPPKTQFSCRTDRAGLIGGSPWIKIPYPFQWGGPTFDAGEAFAMMAASFASLIESTGTLIAVSRYASATPVPPSIFSRGVGWQGIAILLSGMFGTANGSAASVENAGLQGLTRVGSRRVIQISALFMIFFSILGKFGALFASIPFPIIAALYCVLYAYTASAGLGFLQFCNLNSMRTKFILGFSLFMGLSVAQYFREYEIIAGYGPLRTHARWFNDIVNVIFGSAATVAAIVAYFLDCTMLRGDSATRRDRGWHWWEKFRSYKTDPRSEEFYALPYNLGKFFPSL >DRNTG_25429.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24683179:24684620:1 gene:DRNTG_25429 transcript:DRNTG_25429.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTANGSAASVENAGLQGLTRVGSRRVIQISALFMIFFSILGKFGALFASIPFPIIAALYCVLYAYTASAGLGFLQFCNLNSMRTKFILGFSLFMGLSVAQYFREYEIIAGYGPLRTHARWFNDIVNVIFGSAATVAAIVAYFLDCTMLRGDSATRRDRGWHWWEKFRSYKTDPRSEEFYALPYNLGKFFPSL >DRNTG_25429.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24680786:24684620:1 gene:DRNTG_25429 transcript:DRNTG_25429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQDDFAPHPIKEQLPGVDFCLASSPPWPQAILLAFQHYLVMLGTSVFIPSLLVPQMGGGYEEKARVIQTLLFVAGINTLLQSYLGTRLPAVIGGSFTYILPTISIILSPRFAYIPDPYLRFEATMRAIQGALIAASSLQIVLGFCGIWRIFMRFLSPLAAVPIVTITALGLFYYGFPIVASCIEVGLPAIILLVFFSQYVAHYFEKRRMIFDRFAVLVTVVIVWVYAHILTVAGAYKHRPPKTQFSCRTDRAGLIGGSPWIKIPYPFQWGGPTFDAGEAFAMMAASFASLIESTGTLIAVSRYASATPVPPSIFSRGVGWQGIAILLSGMFGTANGSAASVENAGLQGLTRVGSRRVIQISALFMIFFSILGKFGALFASIPFPIIAALYCVLYAYTASAGLGFLQFCNLNSMRTKFILGFSLFMGLSVAQYFREYEIIAGYGPLRTHARWFNDIVNVIFGSAATVAAIVAYFLDCTMLRGDSATRRDRGWHWWEKFRSYKTDPRSEEFYALPYNLGKFFPSL >DRNTG_12426.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20211954:20214982:1 gene:DRNTG_12426 transcript:DRNTG_12426.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVALEGENYQAGPRPKATYMFIDCPTARDRKGKTKDKYFELKILDGSFPEASLTDSSSPCRRSMPSRPTVKDNEVQKRGSMYHSSREVRKMRKQREGERNLKPVDSKEAFLSFEIVNSLARSQQDELVITPQQKKSPLVSLSEEHASMSNVPAQSVFSKNVDIFYLSFRHMAEEQSDLNSSCSGGPEPPEQKKDEELKDSLSQVLGPQNDGNVCHDKGTFFTFSKSFSAKVPCRIEKGYLKDSLRPRFSPLKKMFDPIMKSRSLRNPSTGETETSGITTTDPANTQKSRQLCKSLLNDFSDVAEKTEFDDCFIGEDPVLMAGSSPAHLHGTLRLEFKYGVPSFEFSVKDPELQLSAKAMENE >DRNTG_34385.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17913308:17916438:1 gene:DRNTG_34385 transcript:DRNTG_34385.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLANT CADMIUM RESISTANCE 10 [Source:Projected from Arabidopsis thaliana (AT2G40935) UniProtKB/Swiss-Prot;Acc:Q8S8T8] MTHLVLWGLVNSLCCLCTGGILFGLTGSVVACYAGGYRRALRAKYNLQEAPCGDCATHVCCHLCAICQEYREIRERSQGSSPVLGIPVIAAPPIQTMGMESIYPPK >DRNTG_34385.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17913308:17916438:1 gene:DRNTG_34385 transcript:DRNTG_34385.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLANT CADMIUM RESISTANCE 10 [Source:Projected from Arabidopsis thaliana (AT2G40935) UniProtKB/Swiss-Prot;Acc:Q8S8T8] MTHLVLWGLVNSLCCLCTGGILFGLTGSVVACYAGGYRRALRAKYNLQEAPCGDCATHVCCHLCAICQEYREIRERSQGSSPVLGIPVIAAPPIQTMGMESIYPPK >DRNTG_34385.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17913308:17916438:1 gene:DRNTG_34385 transcript:DRNTG_34385.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLANT CADMIUM RESISTANCE 10 [Source:Projected from Arabidopsis thaliana (AT2G40935) UniProtKB/Swiss-Prot;Acc:Q8S8T8] MKAEGGYVPPQYIPLQQEDNEFESNVVDVSQPSQHLASRPDPVQWSSGICACCDDMPSCCIGTFFPCFLFAKNAEFLGSGTLAGSCMTHLVLWGLVNSLCCLCTGGILFGLTGSVVACYAGGYRRALRAKYNLQEAPCGDCATHVCCHLCAICQEYREIRERSQGSSPVLGIPVIAAPPIQTMGMESIYPPK >DRNTG_19314.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:664618:670203:1 gene:DRNTG_19314 transcript:DRNTG_19314.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP57 [Source:Projected from Arabidopsis thaliana (AT4G33060) UniProtKB/Swiss-Prot;Acc:Q6Q152] MSTVYTLEPPTKGKVVLKTTMGPVDIELWPKEAPKAVRNFVQLCLEGYYDRTIFHRVIKSFLIQGGDPTGSGTGGESIYGGAFADEFHTRLRFNHRGLVACANAGSPHSNGSQFFISLDRCDWLDKKNTIFGKVTGDSIYNLLRLGEVEVDKDDRPLDPPIILSVEVLWNPFDDIIPRKVSEKPLSHIKTDDGGKALRKKAEKKLNVLSFGEEVEEEEKEVEAAGKEKIKSIHDVLDDPHFVKEEVKRDEPVLNPAEVERKKDIQLSVREALNSRKGDSNKAEGINAPDTYDYSGDDDDDDDDDDGDEAKFDAHMRMQILRRRRELGDISTREKSATGKSGQKERQNSPPRRDTEEDERRKLKIEKLSMKKKGIGSEAISEQLAKADADLQLLNHAEQERQMQKQKKRRLHGREEDTLARLDKFSKTLSTKLSVPGSSKNSMETDEDNEPGWMTNQLKFIPDSSEKDGMARKDDPNEYVVLDPLLEKGKEKFNRMQAKLKRREREWAGKSLT >DRNTG_19314.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:664618:670203:1 gene:DRNTG_19314 transcript:DRNTG_19314.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP57 [Source:Projected from Arabidopsis thaliana (AT4G33060) UniProtKB/Swiss-Prot;Acc:Q6Q152] MSTVYTLEPPTKGKVVLKTTMGPVDIELWPKEAPKAVRNFVQLCLEGYYDRTIFHRVIKSFLIQGGDPTGSGTGGESIYGGAFADEFHTRLRFNHRGLVACANAGSPHSNGSQFFISLDRCDWLDKKNTIFGKVTGDSIYNLLRLGEVEVDKDDRPLDPPIILSVEVLWNPFDDIIPRKVSEKPLSHIKTDDGGKALRKKAEKKLNVLSFGEEVEEEEKEVEAAGKEKIKSIHDVLDDPHFVKEEVKRDEPVLNPAEVERKKDIQLSVREALNSRKGDSNKAEGINAPDTYDYSGDDDDDDDDDDGDEAKFDAHMRMQILRRRRELGDISTREKSATGKSGQKERQNSPPRRDTEEDERRKLKIEKLSMKKKGIGSEAISEQLAKADADLQLLNHAEQERQMQKQKKRRLHGREEDTLARLDKFSKTLSTKLSVPGSSKNSMETDEDNEPGWMTNQLKFIPDSSEKDGMARKDDPNEYVVLDPLLEKGKEKFNRMQAKLKRREREWAGKSLT >DRNTG_32064.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:8480949:8484111:-1 gene:DRNTG_32064 transcript:DRNTG_32064.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHIQGLSGRYGGGDTPLFTSEAIGGLPHLTGFSSCPLLGPARPLQAFSRLPLSSVIVIDLLSLSFLLAVVKLAEDRHIGRLN >DRNTG_13778.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13185413:13201450:1 gene:DRNTG_13778 transcript:DRNTG_13778.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSRPSKAGEPQWEEGKKDQGKKRGRGIGRLIRLMT >DRNTG_17628.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4384012:4385986:-1 gene:DRNTG_17628 transcript:DRNTG_17628.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTGTIRKQHKRTKGRDPRMGGM >DRNTG_28800.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28771940:28774364:1 gene:DRNTG_28800 transcript:DRNTG_28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPLTKWAQKSDKVYITIELPEAKDVKLSLQPDGHFHFSATTGADNVAFEIEFELFDKVNADESKAAIGSRTVCYLVKKAEKKWWSRLLKQEGKPPVFLKVDWDKWVDEDDEKENSYDMDFGDMDFSKLNMSGVEDDLDLDVDDEKDGDDEMEELKAGEAPVAAAESGVLA >DRNTG_28800.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28771940:28774364:1 gene:DRNTG_28800 transcript:DRNTG_28800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPLTKWAQKSDKVYITIELPEAKDVKLSLQPDGHFHFSATTGADNVAFEIEFELFDKVNADESKAAIGSRTVCYLVKKAEKKWWSRLLKQEGKPPVFLKVDWDKWVDEDDEKENSCD >DRNTG_03019.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:16763221:16765186:1 gene:DRNTG_03019 transcript:DRNTG_03019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRESRVFGCHTTPIQPPYDPYASGGGGYKYQL >DRNTG_16356.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:7736348:7737044:-1 gene:DRNTG_16356 transcript:DRNTG_16356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSKYSNPNGVHTVEARHHDRQPPSEMRSSISSANSSPCCRSRNIVMSKNRVCPKWSLDKRS >DRNTG_19641.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31472667:31473331:1 gene:DRNTG_19641 transcript:DRNTG_19641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSHLTKSITSHAKAERRLLNLLNRIIDAYNELKQMKDANKTYNF >DRNTG_28425.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4394952:4396447:1 gene:DRNTG_28425 transcript:DRNTG_28425.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCQLVARGTSPSRYRVERKKPTENHPTSSQIAEMAAATTPHARA >DRNTG_33964.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:1025462:1028357:-1 gene:DRNTG_33964 transcript:DRNTG_33964.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASDKDKPMFFDFLGMRCGESPDPSVVSAEWEKERRDNRGSEVGGAAGGYGLVLHCSDPASGRKRSRSPAYMGMNSDQILPAVSNSLDSPRLVKILGKDFVMGSPKRSHDVKETTFSMRPPRPTSLIPHPSIGVGTNSLTLRDATTGPTIISKVVADEGSRTRIKDCESLKLASPDLGVGGRDSNIALPCSHSPKPSPLVIEPGLSKPSCHKLSSVCRQMTIFYDGLAHVFDDVHPHKADVILALAGSKGGSWSTNYSPSSGVPSHVGYTKAVGQATEIRKDLGLSIQKNSGGHHSGLVDTTPAIPAVEPSIQGEKDA >DRNTG_25384.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24333306:24335102:-1 gene:DRNTG_25384 transcript:DRNTG_25384.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THAUMATIN-LIKE PROTEIN 1 [Source:Projected from Arabidopsis thaliana (AT4G24180) TAIR;Acc:AT4G24180] MHPSLLPLFLFLFTFPEFSTSSTTFTFVNKCKYTVWPGILSGASSSPLASTGFELATGASRSFQAPIGWSGRFWARTGCSFDDSGKFSCTTGDCNSGQVECSGSGATPPATLAEFTLTGPSAVKDFYDVSLVDGYNLPMIVQSHGGSGDCATTGCVVDLNQLCPAELKADGGHACNSACEAFAKPEFCCSDAYSSPATCKPSSYSEIFKSACPKSYSYAYDDATSTFTCLNGDYTITFCPVFTLPRIKLFFRGYANVTELISENESWLAIAAAMGDANTFRSVPLLWFGFYMVLITSCFILKFL >DRNTG_26722.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7241189:7245733:-1 gene:DRNTG_26722 transcript:DRNTG_26722.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SBP1 [Source:Projected from Arabidopsis thaliana (AT1G45976) UniProtKB/TrEMBL;Acc:A0A178WK23] MATIPHLQLHQPQSQPQQPQSKPFMNFVPIDPQIFATSTLPNPSPPYVHVMGIAPAGEGAVVEGWEPNRKRVKEQEFLEDSQISSIDFLHTGGSVSTGLGLSLDDRRVGVSSGESSLVLSSIDEEIGREIQRQDAEMDRFLKLQGERLRQQILEKVQAKQFQTLASVEEKFLRKIREKEAEVEDINRKNTELEEQMKQLSVEVAAWQHRAKYNESMISALKLNLQKVYAQSRDNNREGCGDSEVDDTASCCNGGAINFQLMCKENKDMKELMTCRVCRVNEVSMLLLPCRHLCLCKDCESKLSFCPLCHCSKLFGTEIYM >DRNTG_30168.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16563275:16567664:1 gene:DRNTG_30168 transcript:DRNTG_30168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHCSQPAEKPEKQRIHTGLWKLSMPVWKFRTAPWSIHARVVARFQPYLKPIQPRFWKKVGRGASVEAYPIPDEGILGRRVEDFPQDHRHDYRGGNSHGCKPQIESSTGILRS >DRNTG_15885.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17938689:17944917:-1 gene:DRNTG_15885 transcript:DRNTG_15885.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDEIIKRISQKRDQFLLFSSQFQAKALSAENKELTILLSEKETLEKEKDTLNMKIDALQNSTKDCLGEILEEIQISNSALDINIERGTSENKELLNDMRELKILLSSMSPSEEFSS >DRNTG_15885.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17938689:17949631:-1 gene:DRNTG_15885 transcript:DRNTG_15885.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSGAILCQISAYKDLLDEVNEEIEQNIQKTREIESEIVKCLEVEQDSVTKESDLLKMLSMRDFELNGLVQIAATSRNSCESLACDLRLLQMNKDEIIKRISQKRDQFLLFSSQFQAKALSAENKELTILLSEKETLEKEKDTLNMKIDALQNSTKDCLGEILEEIQISNSALDINIERGTSENKELLNDMRELKILLSSMSPSEEFSS >DRNTG_15885.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17938689:17949631:-1 gene:DRNTG_15885 transcript:DRNTG_15885.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDEIIKRISQKRDQFLLFSSQFQAKALSAENKELTILLSEKETLEKEKDTLNMKIDALQNSTKDCLGEILEEIQISNSALDINIERGTSENKELLNDMRELKILLSSMSPSEEFSS >DRNTG_15885.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17938689:17941439:-1 gene:DRNTG_15885 transcript:DRNTG_15885.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDALQNSTKDCLGEILEEIQISNSALDINIERGTSENKELLNDMRELKILLSSMSPSEEFSS >DRNTG_34726.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2253838:2254598:1 gene:DRNTG_34726 transcript:DRNTG_34726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIVKGHILSSQCLSKGMIATCMLGPGNFLGDELLSWCLRRPFIDRLPASSATFECAEPTEAFGLDAEHLRYITQHFRYKFANERLKRTARYYSSNWRTWAAVNIQLAWRRYKTRTRGIVIQPSEIIDSERRLRLYAAMFMSLRPHDHLE >DRNTG_09931.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18386647:18389247:-1 gene:DRNTG_09931 transcript:DRNTG_09931.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIGNPLPKMLSVEIDDLWFCFGIKLTLECPYFKMGSYWLFNLRIH >DRNTG_09931.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18386647:18394684:-1 gene:DRNTG_09931 transcript:DRNTG_09931.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTRLLLRRFASTSPCSGSTVQTLHPARLQRRVHALRWYSTGFSSVHGERPSPEYAKRRKESLEREFRQMVGTFGAKNLFAYYRFGPFLALYRAAIISFQVAKLTVWHFFLRDIHKRASKFRETLIRLGPFYIKLGQALSTRPDILPNAYCIELSKLQDQIPPFPTRIAIKSIESQLGAPISQIFADISAKPIAAASLGQVYKGQTYVRGN >DRNTG_09931.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18392361:18393371:-1 gene:DRNTG_09931 transcript:DRNTG_09931.5 gene_biotype:protein_coding transcript_biotype:protein_coding DQIPPFPTRIAIKSIESQLGAPISQIFADISAKPIAAASLGQVYKAHLHSGELVAVKVQRPGMTLLLTLDALLFHMIGGQLKRFAKARKDLLVAVNEMVCLQPTFVFYFF >DRNTG_09931.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18393545:18394359:-1 gene:DRNTG_09931 transcript:DRNTG_09931.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGRRRSMRSGGKSRWRGSSGKWLEPLARRICLLTIDLDLSWPCIVLPSFHFRLLN >DRNTG_09931.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18390962:18392325:-1 gene:DRNTG_09931 transcript:DRNTG_09931.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFEEIDYVLEAQNAERFASLYACGPSNDQTNHYRGSTIKVPKIYWNFTRKAVLTMEWVDGIKLTDSDRISEACLNRKKLIDQVID >DRNTG_09931.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18386647:18390664:-1 gene:DRNTG_09931 transcript:DRNTG_09931.2 gene_biotype:protein_coding transcript_biotype:protein_coding LEHIIKIPCLICQGVYCSLRQLLEEGFFHADPHPGNLVATADGCLAYFDFGMMGDIPRHYRVGLIQVLVHFVNRDSLGLANDFLSLGFIPDGIDIHSVADALHASFGDGTRQSNDFQSIMNQLYDVMYEFNFSLPPDYALVIRSLGSLEGTAKALDPGFKVVESAYPFVIGRLLTDPNPDMRKILRELLIRNDGSIRWNRLERLIAAISEQSSESENDNTDSPNIQGWKSFNIRSVVAASEDLFNFILSEKGRRVRVFLVQDILKAADAFQNEEALCIFYEKQGTGDQSVEVIEGISIFSTSCELGT >DRNTG_15659.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:135844:140391:-1 gene:DRNTG_15659 transcript:DRNTG_15659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCRNGEVWDFESEMPEGDRGVILGLDGGTASTVCICMAAGMPAPSGLLPEPFPVLARAIAGCSNHNSVGETAARETIERVMAQALLKARATRSIVQAVCLAVSGVNHPSDQERIRDWLRGIFPSHVKLFVENDAVAALASGTMGKLHGCVLIAGTGTIASAYTEDGREARAAGAGPVLGDWGSGYGIAAQALTAIVRAHDGRGPQTMLTFNILRELRLSSPDELIGWTYSDTSWARIAELVPVVVSSAEAGDEVANRILRGSVLELAESVMAVVKRLGLCGGDGKGTFPLVMVGGVLQPNKRWDIGKEVVGCVSKVYPGVQPIRPKVEPAVGAALLAWNCFVREFAGELAY >DRNTG_03094.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:490060:490955:-1 gene:DRNTG_03094 transcript:DRNTG_03094.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIARGLLYLHQDSRLRIIHRDLKASNVLLDEVMNPKISDFGMARIFCGDEAVGNTMKVVGTFGYMSPEYAMDGIFSQKSDVFSFGVLVLEIITGKKNRGVYLAAPHTNLLDHVWNLWKEGISLQLVDESMGCSYSMNEVMGCIKVGLLCVQNHPADRPFMSSVLLMLSGDHALLPNPKEPGFAMRDGVPCQTESSSSKRNSSPSYDMSATLIEGR >DRNTG_03094.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:490060:491797:-1 gene:DRNTG_03094 transcript:DRNTG_03094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLILACVGGLIWRRRKRRRTRAVEEVNTIFHDRSNQGFVSGNDLELSQGSDLELPLLDLSTVAFATDNFSDANKLGEGGFGPVFKGKLGNGQEIAVKRLSKTSVQGLVEFKNEVLLIAKLQHRNLVRLLASCIEGEERILIYEYMPNKSLDYFLFEKSEDQVLDWQTRFKIIMGIARGLLYLHQDSRLRIIHRDLKASNVLLDEVMNPKISDFGMARIFCGDEAVGNTMKVVGTFGYMSPEYAMDGIFSQKSDVFSFGVLVLEIITGKKNRGVYLAAPHTNLLDHVWNLWKEGISLQLVDESMGCSYSMNEVMGCIKVGLLCVQNHPADRPFMSSVLLMLSGDHALLPNPKEPGFAMRDGVPCQTESSSSKRNSSPSYDMSATLIEGR >DRNTG_19093.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:223233:227095:1 gene:DRNTG_19093 transcript:DRNTG_19093.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQPTCGLHTINDKEEPFPWTTTPRRPPPPPPLLLVFKLMRMLCNRREHSNVQILGESNQNLNRRTRVDSRVSEASTAKEFDDTVNELQATSPEVHHWLINKSDMAHWSNYLFRGDRWGEMYSNIAESFNAWIKEARHLSVTKMVDSIRFKLMRMLCNRREQANKWEAYLCPDIHSKVEIIVEDSRNLRVGHCVDDRYEVIDQCSNSVDLAIRTCSLCGYFTVDNYKLAYKEAIFPIPDDDKPSDGNRELRLRSPVTRRQPGRPRRKRIKSQAFDVRELHCSRCHGSGHNRRSCNETVAD >DRNTG_30512.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:785261:789770:-1 gene:DRNTG_30512 transcript:DRNTG_30512.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKAVFNLAEVQLKMEVRKDDEVSKSKSNQFPRKMLDLELPADVYIDNETGFAATRQPGNDLKLTLGTGENSGCRENSQKLDSRLKNCDLADLNEPAKECSDRAIGSASTFIFSTGTGCEVIRENKLPLQTETAARSHQRDKDAECSSKELPLLGKDSGRSHYRLNSSVLPLYNEKAPIVSEAVELKLNRTNGSHSPLDSSWRKPSNKISHIPIAVQALPCFNKPSTVNLQFNHNSSNHTSFISDKPNQNSNHSSSAFGASEGHRSSKYFKSLHCIDVKSAKALNLNQACTNDIVDGFATKQDTSMKNEESSGGIPWLRKKPICERNAAQSYTQLLANCAITSSGFEGKPNNGKGLFTSSQQELQQTLEMDEASNSLSGKTILGFTFAETFKQSDIQTRFLTDNVKCNEGAGIRFERTSGSKSSRNQIDLNSLGSPRKIMLNIDLEAPVTCQADDESAEIDYTFKADCLNKTENLHEQCIREAAESIFAISQDTFNNADKLTCHKTLVTESDALSWFADVVLSSDMEKELKGEDYLGSESLDDDGLDLFESMTLKLEEMKVEELQCHSQGQDDQEEERKGSVALLLLTKPRRGSTRKRRQKRDFQKDILPGLATLSRHEVIEDMKTIEGLARSAGGPWSQASPTKRKAQTRGRGRPRSLPAVEPVSEPKNAKPVDDDKSIVGWGRTTRRCRRPRCPPGSVGAPLV >DRNTG_01177.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29217987:29222221:1 gene:DRNTG_01177 transcript:DRNTG_01177.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G46400) UniProtKB/TrEMBL;Acc:F4KHG8] MEILFLASMQGLMQFLTMHGDTRQLPEVDGFMANLISPGTDLSRSLSSNDREDISRLFLKLVDQYGTIHDIRKAWDRHWKLFPHVMRPSVNHTTTGKGTFDNIAELKAAMLATSFHLVNGENSHDEPDTHTERSSLGNITSTVPEEVLTLPSQAKEIRSDATGMLAHVETASEMQPHSVVEDIELKKETCDNIKPSSDNLSINYLEVETQKTKLTGSPDRTAPLVDSRSNGAFKDSMSRSSGPQVKFEKDFSPMHSASDQSSLESQKGSVVQKSSNDENSAGTHYSVLAREDYHHRSHSSVQSPQPQEKQVAAAHVQYAPAVTNSQMATNQSYPCQSPTANVQQRQQADQVQVPYQMTAAQVYPVSNIAWPGHNMMQQGFVYAQAQPSTQSATLSPAMQVYQYVLPGNEQYGYLQNGQGFPPHIWQYYQQQLYYLQQVQLQQQQNQQANSTQQQLQIEQLQQMQNLQLQQLQLQNQQQSLVMQQQNQHHVSQQEAQHSSQQEKHEQLQQTSPYQQQNQQMSHEQQLLYLQQQQLYIHQQQLLLQQQQQQQLLQQQQHPQNQQQQAPQQQQTLQEQQMHQMQQQSSSSDRHYLQHAVHIQHYSANQLAQHYGQQAVTSQSSQGEGSKSPNAEQSASPSDSRHRQTPSTQ >DRNTG_01177.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29211686:29213316:1 gene:DRNTG_01177 transcript:DRNTG_01177.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G46400) UniProtKB/TrEMBL;Acc:F4KHG8] MYKEQVGDISSARASFPQCSCDSTIDLTENVNRQANMEKRMGNNEAAFLIYEKAIEVATKNDDSNALSILYSNFAQFTLVV >DRNTG_01177.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29209014:29222221:1 gene:DRNTG_01177 transcript:DRNTG_01177.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G46400) UniProtKB/TrEMBL;Acc:F4KHG8] MGNSEEEADEMLQDATLGTQSPQSDLFDTKLREMVESNPIDFDTWIMLITEIENMEPNSIKRITQVYDHFLSEFPLCYAYWSKYASHKARLCTLQEVQDIYEQAAGALAYSVDHWVNYCSFAIKCYEDPADVRRLFKRALSFVGKDYLCDRLWDKYIEFEYSQKQWTHLAPIYINILRFPTRNLKNYFESFKKLRSIWEEMGCLESDDVTIEDVPTCEAENVKSYKYAELSDIVGNLINGEDGHPDSNTLKQYLSAGEQLYHKSTQIDGDIKCFEACIRRPYFHVNPLDCSQLDNWHKYLDFVEHQGDFDWTVKLYERCLIACANYPEFWIRYVEFVDAKGGRAIANNALKRASTVFLKKNPTFHIYYTMYKEQVGDISSARASFPQCSCDSTIDLTENVNRQANMEKRMGNNEAAFLIYEKAIEVATKNDDSNALSILYSNFAQFTLVVTGRIDAAREAFVKGVQQKPCKSMIEGLMQFLTMHGDTRQLPEVDGFMANLISPGTDLSRSLSSNDREDISRLFLKLVDQYGTIHDIRKAWDRHWKLFPHVMRPSVNHTTTGKGTFDNIAELKAAMLATSFHLVNGENSHDEPDTHTERSSLGNITSTVPEEVLTLPSQAKEIRSDATGMLAHVETASEMQPHSVVEDIELKKETCDNIKPSSDNLSINYLEVETQKTKLTGSPDRTAPLVDSRSNGAFKDSMSRSSGPQVKFEKDFSPMHSASDQSSLESQKGSVVQKSSNDENSAGTHYSVLAREDYHHRSHSSVQSPQPQEKQVAAAHVQYAPAVTNSQMATNQSYPCQSPTANVQQRQQADQVQVPYQMTAAQVYPVSNIAWPGHNMMQQGFVYAQAQPSTQSATLSPAMQVYQYVLPGNEQYGYLQNGQGFPPHIWQYYQQQLYYLQQVQLQQQQNQQANSTQQQLQIEQLQQMQNLQLQQLQLQNQQQSLVMQQQNQHHVSQQEAQHSSQQEKHEQLQQTSPYQQQNQQMSHEQQLLYLQQQQLYIHQQQLLLQQQQQQQLLQQQQHPQNQQQQAPQQQQTLQEQQMHQMQQQSSSSDRHYLQHAVHIQHYSANQLAQHYGQQAVTSQSSQGEGSKSPNAEQSASPSDSRHRQTPSTQ >DRNTG_01177.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29209014:29222221:1 gene:DRNTG_01177 transcript:DRNTG_01177.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G46400) UniProtKB/TrEMBL;Acc:F4KHG8] MGNSEEEADEMLQDATLGTQSPQSDLFDTKLREMVESNPIDFDTWIMLITEIENMEPNSIKRITQVYDHFLSEFPLCYAYWSKYASHKARLCTLQEVQDIYEQAAGALAYSVDHWVNYCSFAIKCYEDPADVRRLFKRALSFVGKDYLCDRLWDKYIEFEYSQKQWTHLAPIYINILRFPTRNLKNYFESFKKLRSIWEEMGCLESDDVTIEDVPTCEAENVKSYKYAELSDIVGNLINGEDGHPDSNTLKQYLSAGEQLYHKSTQIDGDIKCFEACIRRPYFHVNPLDCSQLDNWHKYLDFVEHQGDFDWTVKLYERCLIACANYPEFWIRYVEFVDAKGGRAIANNALKRASTVFLKKNPTFHIYYTMYKEQVGDISSARASFPQCSCDSTIDLTENVNRQANMEKRMGNNEAAFLIYEKAIEVATKNDDSNALSILYSNFAQFTLVVTGRIDAAREAFVKGVQQKPCKSMIEGLMQFLTMHGDTRQLPEVDGFMANLISPGTDLSRSLSSNDREDISRLFLKLVDQYGTIHDIRKAWDRHWKLFPHVMRPSVNHTTTGKGTFDNIAELKAAMLATSFHLVNGENSHDEPDTHTERSSLGNITSTVPEEVLTLPSQAKEIRSDATGMLAHVETASEMQPHSVVEDIELKKETCDNIKPSSDNLSINYLEVETQKTKLTGSPDRTAPLVDSRSNGAFKDSMSRSSGPQVKFEKDFSPMHSASDQSSLESQKGSVVQKSSNDENSAGTHYSVLAREDYHHRSHSSVQSPQPQEKQVAAAHVQYAPAVTNSQMATNQSYPCQSPTANVQQRQQADQVQVPYQMTAAQVYPVSNIAWPGHNMMQQGFVYAQAQPSTQSATLSPAMQVYQYVLPGNEQYGYLQNGQGFPPHIWQYYQQQLYYLQQVQLQQQQNQQANSTQQQLQIEQLQQMQNLQLQQLQLQNQQQSLVMQQQNQHHVSQQEAQHSSQQEKHEQLQQTSPYQQQNQQMSHEQQLLYLQQQQLYIHQQQLLLQQQQQQQLLQQQQHPQNQQQQAPQQQQTLQEQQMHQMQQQSSSSDRHYLQHAVHIQHYSANQLAQHYGQQAVTSQSSQGEGSKSPNAEQSASPSDSRHRQTPSTQ >DRNTG_01177.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29211230:29214370:1 gene:DRNTG_01177 transcript:DRNTG_01177.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G46400) UniProtKB/TrEMBL;Acc:F4KHG8] MGCLESDDVTIEDVPTCEAENVKSYKYAELSDIVGNLINGEDGHPDSNTLKQYLSAGEQLYHKSTQIDGDIKCFEACIRRPYFHVNPLDCSQLDNWHKYLDFVEHQGDFDWTVKLYERCLIACANYPEFWIRYVEFVDAKGGRAIANNALKRASTVFLKKNPTFHIYYTMYKEQVGDISSARASFPQCSCDSTIDLTENVNRQANMEKRMGNNEAAFLIYEKAIEVATKNDDSNALSILYSNFAQFTLVVTGRIDAAREAFVKGVQQKPCKSMIEVPFPSLLIYMFID >DRNTG_01177.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29210912:29213121:1 gene:DRNTG_01177 transcript:DRNTG_01177.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G46400) UniProtKB/TrEMBL;Acc:F4KHG8] MGCLESDDVTIEDVPTCEAENVKSYKYAELSDIVGNLINGEDGHPDSNTLKQYLSAGEQLYHKSTQIDGDIKCFEACIRRPYFHVNPLDCSQLDNWHKYLDFVEHQGDFDWTVKLYERCLIACANYPEFWIRYVEFVDAKGGRAIANNALKRASTVFLKKNPTFHIYYTMYKEQVGDISSARASFPQCSCDSTIDLTENVNRQANMEKRM >DRNTG_01177.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29209014:29222221:1 gene:DRNTG_01177 transcript:DRNTG_01177.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G46400) UniProtKB/TrEMBL;Acc:F4KHG8] MGNSEEEADEMLQDATLGTQSPQSDLFDTKLREMVESNPIDFDTWIMLITEIENMEPNSIKRITQVYDHFLSEFPLCYAYWSKYASHKARLCTLQEVQDIYEQAAGALAYSVDHWVNYCSFAIKCYEDPADVRRLFKRALSFVGKDYLCDRLWDKYIEFEYSQKQWTHLAPIYINILRFPTRNLKNYFESFKKLRSIWEEMGCLESDDVTIEDVPTCEAENVKSYKYAELSDIVGNLINGEDGHPDSNTLKQYLSAGEQLYHKSTQIDGDIKCFEACIRRPYFHVNPLDCSQLDNWHKYLDFVEHQGDFDWTVKLYERCLIACANYPEFWIRYVEFVDAKGGRAIANNALKRASTVFLKKNPTFHIYYTMYKEQVGDISSARASFPQCSCDSTIDLTENVNRQANMEKRMGNNEAAFLIYEKAIEVATKNDDSNALSILYSNFAQFTLVVTGRIDAAREAFVKGVQQKPCKSMIEGLMQFLTMHGDTRQLPEVDGFMANLISPGTDLSRSLSSNDREDISRLFLKLVDQYGTIHDIRKAWDRHWKLFPHVMRPSVNHTTTGKGTFDNIAELKAAMLATSFHLVNGENSHDEPDTHTERSSLGNITSTVPEEVLTLPSQAKEIRSDATGMLAHVETASEMQPHSVVEDIELKKETCDNIKPSSDNLSINYLEVETQKTKLTGSPDRTAPLVDSRSNGAFKDSMSRSSGPQVKFEKDFSPMHSASDQSSLESQKGSVVQKSSNDENSAGTHYSVLAREDYHHRSHSSVQSPQPQEKQVAAAHVQYAPAVTNSQMATNQSYPCQSPTANVQQRQQADQVQVPYQMTAAQVYPVSNIAWPGHNMMQQGFVYAQAQPSTQSATLSPAMQVYQYVLPGNEQYGYLQNGQGFPPHIWQYYQQQLYYLQQVQLQQQQNQQANSTQQQLQIEQLQQMQNLQLQQLQLQNQQQSLVMQQQNQHHVSQQEAQHSSQQEKHEQLQQTSPYQQQNQQMSHEQQLLYLQQQQLYIHQQQLLLQQQQQQQLLQQQQHPQNQQQQAPQQQQTLQEQQMHQMQQQSSSSDRHYLQHAVHIQHYSANQLAQHYGQQAVTSQSSQGEGSKSPNAEQSASPSDSRHRQTPSTQ >DRNTG_01177.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29213196:29222221:1 gene:DRNTG_01177 transcript:DRNTG_01177.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G46400) UniProtKB/TrEMBL;Acc:F4KHG8] MIEGLMQFLTMHGDTRQLPEVDGFMANLISPGTDLSRSLSSNDREDISRLFLKLVDQYGTIHDIRKAWDRHWKLFPHVMRPSVNHTTTGKGTFDNIAELKAAMLATSFHLVNGENSHDEPDTHTERSSLGNITSTVPEEVLTLPSQAKEIRSDATGMLAHVETASEMQPHSVVEDIELKKETCDNIKPSSDNLSINYLEVETQKTKLTGSPDRTAPLVDSRSNGAFKDSMSRSSGPQVKFEKDFSPMHSASDQSSLESQKGSVVQKSSNDENSAGTHYSVLAREDYHHRSHSSVQSPQPQEKQVAAAHVQYAPAVTNSQMATNQSYPCQSPTANVQQRQQADQVQVPYQMTAAQVYPVSNIAWPGHNMMQQGFVYAQAQPSTQSATLSPAMQVYQYVLPGNEQYGYLQNGQGFPPHIWQYYQQQLYYLQQVQLQQQQNQQANSTQQQLQIEQLQQMQNLQLQQLQLQNQQQSLVMQQQNQHHVSQQEAQHSSQQEKHEQLQQTSPYQQQNQQMSHEQQLLYLQQQQLYIHQQQLLLQQQQQQQLLQQQQHPQNQQQQAPQQQQTLQEQQMHQMQQQSSSSDRHYLQHAVHIQHYSANQLAQHYGQQAVTSQSSQGEGSKSPNAEQSASPSDSRHRQTPSTQ >DRNTG_01177.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29209014:29222221:1 gene:DRNTG_01177 transcript:DRNTG_01177.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G46400) UniProtKB/TrEMBL;Acc:F4KHG8] MGNSEEEADEMLQDATLGTQSPQSDLFDTKLREMVESNPIDFDTWIMLITEIENMEPNSIKRITQVYDHFLSEFPLCYAYWSKYASHKARLCTLQEVQDIYEQAAGALAYSVDHWVNYCSFAIKCYEDPADVRRLFKRALSFVGKDYLCDRLWDKYIEFEYSQKQWTHLAPIYINILRFPTRNLKNYFESFKKLRSIWEEMGCLESDDVTIEDVPTCEAENVKSYKYAELSDIVGNLINGEDGHPDSNTLKQYLSAGEQLYHKSTQIDGDIKCFEACIRRPYFHVNPLDCSQLDNWHKYLDFVEHQGDFDWTVKLYERCLIACANYPEFWIRYVEFVDAKGGRAIANNALKRASTVFLKKNPTFHIYYTMYKEQVGDISSARASFPQCSCDSTIDLTENVNRQANMEKRMGNNEAAFLIYEKAIEVATKNDDSNALSILYSNFAQFTLVVTGRIDAAREAFVKGVQQKPCKSMIEGLMQFLTMHGDTRQLPEVDGFMANLISPGTDLSRSLSSNDREDISRLFLKLVDQYGTIHDIRKAWDRHWKLFPHVMRPSVNHTTTGKGTFDNIAELKAAMLATSFHLVNGENSHDEPDTHTERSSLGNITSTVPEEVLTLPSQAKEIRSDATGMLAHVETASEMQPHSVVEDIELKKETCDNIKPSSDNLSINYLEVETQKTKLTGSPDRTAPLVDSRSNGAFKDSMSRSSGPQVKFEKDFSPMHSASDQSSLESQKGSVVQKSSNDENSAGTHYSVLAREDYHHRSHSSVQSPQPQEKQVAAAHVQYAPAVTNSQMATNQSYPCQSPTANVQQRQQADQVQVPYQMTAAQVYPVSNIAWPGHNMMQQGFVYAQAQPSTQSATLSPAMQVYQYVLPGNEQYGYLQNGQGFPPHIWQYYQQQLYYLQQVQLQQQQNQQANSTQQQLQIEQLQQMQNLQLQQLQLQNQQQSLVMQQQNQHHVSQQEAQHSSQQEKHEQLQQTSPYQQQNQQMSHEQQLLYLQQQQLYIHQQQLLLQQQQQQQLLQQQQHPQNQQQQAPQQQQTLQEQQMHQMQQQSSSSDRHYLQHAVHIQHYSANQLAQHYGQQAVTSQSSQGEGSKSPNAEQSASPSDSRHRQTPSTQ >DRNTG_01177.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29214235:29222221:1 gene:DRNTG_01177 transcript:DRNTG_01177.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G46400) UniProtKB/TrEMBL;Acc:F4KHG8] MIEGLMQFLTMHGDTRQLPEVDGFMANLISPGTDLSRSLSSNDREDISRLFLKQLVDQYGTIHDIRKAWDRHWKLFPHVMRPSVNHTTTGKGTFDNIAELKAAMLATSFHLVNGENSHDEPDTHTERSSLGNITSTVPEEVLTLPSQAKEIRSDATGMLAHVETASEMQPHSVVEDIELKKETCDNIKPSSDNLSINYLEVETQKTKLTGSPDRTAPLVDSRSNGAFKDSMSRSSGPQVKFEKDFSPMHSASDQSSLESQKGSVVQKSSNDENSAGTHYSVLAREDYHHRSHSSVQSPQPQEKQVAAAHVQYAPAVTNSQMATNQSYPCQSPTANVQQRQQADQVQVPYQMTAAQVYPVSNIAWPGHNMMQQGFVYAQAQPSTQSATLSPAMQVYQYVLPGNEQYGYLQNGQGFPPHIWQYYQQQLYYLQQVQLQQQQNQQANSTQQQLQIEQLQQMQNLQLQQLQLQNQQQSLVMQQQNQHHVSQQEAQHSSQQEKHEQLQQTSPYQQQNQQMSHEQQLLYLQQQQLYIHQQQLLLQQQQQQQLLQQQQHPQNQQQQAPQQQQTLQEQQMHQMQQQSSSSDRHYLQHAVHIQHYSANQLAQHYGQQAVTSQSSQGEGSKSPNAEQSASPSDSRHRQTPSTQ >DRNTG_01177.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29212966:29214370:1 gene:DRNTG_01177 transcript:DRNTG_01177.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G46400) UniProtKB/TrEMBL;Acc:F4KHG8] MYKEQVGDISSARASFPQCSCDSTIDLTENVNRQANMEKRMGNNEAAFLIYEKAIEVATKNDDSNALSILYSNFAQFTLVVTGRIDAAREAFVKGVQQKPCKSMIEVPFPSLLIYMFID >DRNTG_34140.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21859729:21860364:1 gene:DRNTG_34140 transcript:DRNTG_34140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERIIKGLIDVALGGEDEPREEERSRSTWAEVVSSGKQEEEEEEEEGERGSRFGYSGGSHGGQRRKEKEEEEEEDSQWGLRQEGQGQGQGRSDGDWEVVGHKKKPQYPPKYKVAHVPLNIIFF >DRNTG_34140.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21859729:21862497:1 gene:DRNTG_34140 transcript:DRNTG_34140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVVARVPLLLSNMFLSEKSRDAGRMKSPVSTIGFSSTLKKRKGRVDYQGYIFPRRRGNSPDSETQLLTIQFEWNGILKSVSSTLVGVSPEFEIALYTLCFFVGEEDNRISLGPYSVNIKCYHIGNNKIGTVFPISEC >DRNTG_26645.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:297364:298743:-1 gene:DRNTG_26645 transcript:DRNTG_26645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELLEALLPEDMVVPTGFETVGHIAHLNLRD >DRNTG_03500.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000164.1:4949:5491:-1 gene:DRNTG_03500 transcript:DRNTG_03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHASKNENLGSTDTAKLPTYRCRAGVRHGYAVDTVGHRDEGYRGGGRAARGVDEGLERWSGGVEAPRG >DRNTG_00176.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:50530:54084:-1 gene:DRNTG_00176 transcript:DRNTG_00176.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 1 [Source:Projected from Arabidopsis thaliana (AT3G27310) UniProtKB/Swiss-Prot;Acc:Q9LK22] MELQEAQVKLAAAAEELGREICVFTNPAFFQASNEASASSNDETEDFYEFTPEDYYRIVSDRLGAQSQVLKTRKIREAEAAARRAKITKAVVRVLFPDNYILEAKFHPSEKIQDLVDLLMKVLAQPNLPFYLYTTPPKERIKDLSKDFYSAGFAPGAIVHFSYDLPQDSTVADTGPYLRDSIMSLNGLDIIHDEVQVRPEPDTVPVVISPIALESEPKPAVKKPAKPKWLKL >DRNTG_20390.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19283173:19283615:1 gene:DRNTG_20390 transcript:DRNTG_20390.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-ribulose kinase [Source:Projected from Arabidopsis thaliana (AT2G21370) UniProtKB/Swiss-Prot;Acc:Q8L794] AFNHYRLHPRPENDVHYLHGILESIARIEAKAFGLLKELGATEVEEVYTAGGGAKNEAWRKIRERVLGIPVRRAEHTEAAYGAALLALKGACQ >DRNTG_20390.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19280358:19283615:1 gene:DRNTG_20390 transcript:DRNTG_20390.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-ribulose kinase [Source:Projected from Arabidopsis thaliana (AT2G21370) UniProtKB/Swiss-Prot;Acc:Q8L794] MQPRLHPRPENDVHYLHGILESIARIEAKAFGLLKELGATEVEEVYTAGGGAKNEAWRKIRERVLGIPVRRAEHTEAAYGAALLALKGACQ >DRNTG_20390.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19277627:19283615:1 gene:DRNTG_20390 transcript:DRNTG_20390.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-ribulose kinase [Source:Projected from Arabidopsis thaliana (AT2G21370) UniProtKB/Swiss-Prot;Acc:Q8L794] MQPRLHPRPENDVHYLHGILESIARIEAKAFGLLKELGATEVEEVYTAGGGAKNEAWRKIRERVLGIPVRRAEHTEAAYGAALLALKGACQ >DRNTG_20390.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19277166:19283615:1 gene:DRNTG_20390 transcript:DRNTG_20390.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-ribulose kinase [Source:Projected from Arabidopsis thaliana (AT2G21370) UniProtKB/Swiss-Prot;Acc:Q8L794] MHQSDWLLWLLHGKLGVSDYNNALKVGYDPETESYPSWLVSQPFSYLLPTVKPPGTPIESIKEDMRKQFGFPKDCVVCTGTTDSIAAFLAAGATLPGKAVTSLGSTLAIKLLSTSRIEDSRFGVYSHRLDDKWLVGGASNTGGAVLRQIFTDDQLELLSQQINPLVASTLDYYPLPAYGERFPIADPNMQPRLHPRPENDVHYLHGILESIARIEAKAFGLLKELGATEVEEVYTAGGGAKNEAWRKIRERVLGIPVRRAEHTEAAYGAALLALKGACQ >DRNTG_20390.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19283173:19283615:1 gene:DRNTG_20390 transcript:DRNTG_20390.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-ribulose kinase [Source:Projected from Arabidopsis thaliana (AT2G21370) UniProtKB/Swiss-Prot;Acc:Q8L794] AKAFGLLKELGATEVEEVYTAGGGAKNEAWRKIRERVLGIPVRRAEHTEAAYGAALLALKGACQ >DRNTG_20390.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19277166:19283615:1 gene:DRNTG_20390 transcript:DRNTG_20390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-ribulose kinase [Source:Projected from Arabidopsis thaliana (AT2G21370) UniProtKB/Swiss-Prot;Acc:Q8L794] MHQSDWLLWLLHGKLGVSDYNNALKVGYDPETESYPSWLVSQPFSYLLPTVKPPGTPIESIKEDMRKQFGFPKDCVVCTGTTDSIAAFLAAGATLPGKAVTSLGSTLAIKLLSTSRIEDSRFGVYSHRLDDKWLVGGASNTGGAVLRQIFTDDQLELLSQQINPLVASTLDYYPLPAYGERFPIADPNMQPRLHPRPENDVHYLHGILESIARIEAKAFGLLKELGATEVEEVYTAGGGAKNEAWRKIRERVLGIPVRRAEHTEAAYGAALLALKGACQ >DRNTG_20390.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19277166:19283615:1 gene:DRNTG_20390 transcript:DRNTG_20390.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-ribulose kinase [Source:Projected from Arabidopsis thaliana (AT2G21370) UniProtKB/Swiss-Prot;Acc:Q8L794] MTALMLLPAPHSFALSGHGGCGLSRNFLSRIKRHTVVKMSSKGSLDEEFQGRKRLYLGIDFGTSGARYALIDKQGIVHSEGKRTYGQTNVAMNRASSWKETLLSLLEDLPPTLCPYISSISIDGTSATTLIIDSTTGEPLCKPFLYNESCPDALPFVTSLAPENHTVCAGSSTLSKLVSWWNSHNSDKGNAILMHQSDWLLWLLHGKLGVSDYNNALKVGYDPETESYPSWLVSQPFSYLLPTVKPPGTPIESIKEDMRKQFGFPKDCVVCTGTTDSIAAFLAAGATLPGKAVTSLGSTLAIKLLSTSRIEDSRFGVYSHRLDDKWLVGGASNTGGAVLRQIFTDDQLELLSQQINPLVASTLDYYPLPAYGERFPIADPNMQPRLHPRPENDVHYLHGILESIARIEV >DRNTG_20390.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19280358:19283615:1 gene:DRNTG_20390 transcript:DRNTG_20390.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-ribulose kinase [Source:Projected from Arabidopsis thaliana (AT2G21370) UniProtKB/Swiss-Prot;Acc:Q8L794] MQPRLHPRPENDVHYLHGILESIARIEAKAFGLLKELGATEVEEVYTAGGGAKNEAWRKIRERVLGIPVRRAEHTEAAYGAALLALKGACQ >DRNTG_20390.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19277166:19283615:1 gene:DRNTG_20390 transcript:DRNTG_20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-ribulose kinase [Source:Projected from Arabidopsis thaliana (AT2G21370) UniProtKB/Swiss-Prot;Acc:Q8L794] MGKPMQVAMNRASSWKETLLSLLEDLPPTLCPYISSISIDGTSATTLIIDSTTGEPLCKPFLYNESCPDALPFVTSLAPENHTVCAGSSTLSKLVSWWNSHNSDKGNAILMHQSDWLLWLLHGKLGVSDYNNALKVGYDPETESYPSWLVSQPFSYLLPTVKPPGTPIESIKEDMRKQFGFPKDCVVCTGTTDSIAAFLAAGATLPGKAVTSLGSTLAIKLLSTSRIEDSRFGVYSHRLDDKWLVGGASNTGGAVLRQIFTDDQLELLSQQINPLVASTLDYYPLPAYGERFPIADPNMQPRLHPRPENDVHYLHGILESIARIEAKAFGLLKELGATEVEEVYTAGGGAKNEAWRKIRERVLGIPVRRAEHTEAAYGAALLALKGACQ >DRNTG_20390.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19279257:19283615:1 gene:DRNTG_20390 transcript:DRNTG_20390.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-ribulose kinase [Source:Projected from Arabidopsis thaliana (AT2G21370) UniProtKB/Swiss-Prot;Acc:Q8L794] MRKQFGFPKDCVVCTGTTDSIAAFLAAGATLPGKAVTSLGSTLAIKLLSTSRIEDSRFGVYSHRLDDKWLVGGASNTGGAVLRQIFTDDQLELLSQQINPLVASTLDYYPLPAYGERFPIADPNMQPRLHPRPENDVHYLHGILESIARIEAKAFGLLKELGATEVEEVYTAGGGAKNEAWRKIRERVLGIPVRRAEHTEAAYGAALLALKGACQ >DRNTG_20390.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19278662:19283615:1 gene:DRNTG_20390 transcript:DRNTG_20390.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-ribulose kinase [Source:Projected from Arabidopsis thaliana (AT2G21370) UniProtKB/Swiss-Prot;Acc:Q8L794] MHQSDWLLWLLHGKLGVSDYNNALKVGYDPETESYPSWLVSQPFSYLLPTVKPPGTPIESIKEDMRKQFGFPKDCVVCTGTTDSIAAFLAAGATLPGKAVTSLGSTLAIKLLSTSRIEDSRFGVYSHRLDDKWLVGGASNTGGAVLRQIFTDDQLELLSQQINPLVASTLDYYPLPAYGERFPIADPNMQPRLHPRPENDVHYLHGILESIARIEAKAFGLLKELGATEVEEVYTAGGGAKNEAWRKIRERVLGIPVRRAEHTEAAYGAALLALKGACQ >DRNTG_08267.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17568022:17571485:1 gene:DRNTG_08267 transcript:DRNTG_08267.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGSLDNHLFRSGAQSIPWATRVNIAIDVARGVTFLHSLEIQVIYRDLKSGNVLLDSDFKAKLSDFGLARNGPAGNNTHVSTRVVGTYGYAAPEYIATGRLNAKSDVYSFGVLLIDLFSGRRAEEVLRSYRNKSLIDKWKMLRFMSTGQDGKYAKRTAQVVAELIVECLQVNPKKRPDMNEVLCTLEQLQTTKARTHSMS >DRNTG_08267.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17567024:17571485:1 gene:DRNTG_08267 transcript:DRNTG_08267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCVKRYSKCEDVSHLPQSPENLCHISSPNLNIITSAAAVVGSPPSFTVDALAAFSLKSFALNDLKQASKNFNREYFLGEGEFGCVFKGWIDKNTFVPSRPGTGIGVAIKKLKPNSFQGHQEWLTEVTYLAQLRHENLVKLIGYCLEGDERLIVYEHMQRGSLDNHLFRSGAQSIPWATRVNIAIDVARGVTFLHSLEIQVIYRDLKSGNVLLDSDFKAKLSDFGLARNGPAGNNTHVSTRVVGTYGYAAPEYIATGRLNAKSDVYSFGVLLIDLFSGRRAEEVLRSYRNKSLIDKWKMLRFMSTGQDGKYAKRTAQVVAELIVECLQVNPKKRPDMNEVLCTLEQLQTTKARTHSMS >DRNTG_08267.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17571023:17571485:1 gene:DRNTG_08267 transcript:DRNTG_08267.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFMSTGQDGKYAKRTAQVVAELIVECLQVNPKKRPDMNEVLCTLEQLQTTKARTHSMS >DRNTG_02247.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1059513:1061516:-1 gene:DRNTG_02247 transcript:DRNTG_02247.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:Projected from Arabidopsis thaliana (AT2G36020) UniProtKB/TrEMBL;Acc:Q1PEW5] MLGEFINRVLVLLLGYAYPAFECFKVVERNNGSGIQELRFWCKYWIIVAIVTVLEKFTDIIASGFPMYAELKLAFFIFLWYPKTQGTVFVYETLLRPWIVKHEPQIEEKLEKIRSKSGELLMFYIKNFTSKGQSMVLEVIHYIISHAQSKTQNKPVSPTEIVRPEPSAPPLPDSIRRSWDYDPSTSQVYPFWGDDEDRHLKRT >DRNTG_16191.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12414313:12414606:1 gene:DRNTG_16191 transcript:DRNTG_16191.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQRERRTHCASENGEAQGSYCAQSRERERQ >DRNTG_16191.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12412182:12414606:1 gene:DRNTG_16191 transcript:DRNTG_16191.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQRERRTHCASENGEAQGSYCAQSRERERQ >DRNTG_10058.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4621778:4623763:-1 gene:DRNTG_10058 transcript:DRNTG_10058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQHSMSMLKKKRNQPGNPDPDAEVVAMSPKSLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQKSNKEVMKKKVYVCPESTCVHHHPSRALGDLTGIKKHYCRKHGEKKWKCDRCSKRYAVLSDWKAHLKTCGTKEYTCDCGTLFSRKDSFLTHRAFCDALAQESATLISMKLPHELPQPSLHHELHSLNPNPKPNPSLSAMALLQKATAMRSFPLNGCDLTTWRNEDRPTRDFIGAVDVRVGNAAAVEAPAPAPAEDNVEQPVSVAVDPVTRGEHTRWFTTFG >DRNTG_21848.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17572854:17606165:-1 gene:DRNTG_21848 transcript:DRNTG_21848.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVNLSFFNFCIVWVQEKVKVAVAGTMNAINAAAKAGVRRFVFTSSIGAVHMSPNRSSDTALDENCWSDLDYCKKTDVCLLLITLIY >DRNTG_06940.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16789669:16793662:1 gene:DRNTG_06940 transcript:DRNTG_06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATMARQTWELENNITATSEAGADADAIYAYDEAAQAKAQQEKPWSQDPNYFKRVRISALALLKMVVHARSGGTIEVMGLMQGKTDGDAIVVMDAFALPVEGTETRVNAQADAYEYMVDYSQTNKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQYQEPFLAVVIDPTRTVSAGKVEIGAFRTYPEGYKPPDEPVSEYQTIPLNKIEDFGVHCKQYYSLDITYFKSSLDCHLLDLLWNKYWVNTLSSSPLLANRDYVAGQISDLAEKLEQAENQLAHTRLGSFLVPSQRKKEQEESQLAKITRDSSKITVEQVHGLMSQVIKDILFNSVRHSNGARPSLTDPSGPEPMVES >DRNTG_02472.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2153597:2157421:-1 gene:DRNTG_02472 transcript:DRNTG_02472.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNELKKQVLKKASEFYLIGCVQLSPLVNLKSQKAEKPLNFFSLLFFPWCLHPCFWPLPLNQCTLVCSHFTMCDNKEGEAALVRNWLDSAMITLSHDRTDSSPLFPGCDMIDTSSASDVNSTAPTSAGGGGGGGDSKGPEIIVVSSPHPPPLSPPHPRTYHVPSPQPVVSYSTASYFPATHYIPSSATATATATASYYAMMEPALEPAPREDSYSLFSEENANACRVM >DRNTG_02472.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2153597:2157421:-1 gene:DRNTG_02472 transcript:DRNTG_02472.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDNKEGEAALVRNWLDSAMITLSHDRTDSSPLFPGCDMIDTSSASDVNSTAPTSAGGGGGGGDSKGPEIIVVSSPHPPPLSPPHPRTYHVPSPQPVVSYSTASYFPATHYIPSSATATATATASYYAMMEPALEPAPREDSYSLFSEENANACRVM >DRNTG_02472.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2153597:2157421:-1 gene:DRNTG_02472 transcript:DRNTG_02472.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPMKNPITHPHPPIIPHLPPQPPMAVPPHPAAARRRKRKRRSHTHQHPPPPVAAAAAAIQKDQRSSSFHHHIRRLSAHPILAHTMFHRRSRW >DRNTG_02472.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2153597:2157421:-1 gene:DRNTG_02472 transcript:DRNTG_02472.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIPMKNPITHPHPPIIPHLPPQPPMAVPPHPAAARRRKRKRRSHTHQHPPPPVAAAAAAIQKDQRSSSFHHHIRRLSAHPILAHTMFHRRSRW >DRNTG_02472.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2153597:2157421:-1 gene:DRNTG_02472 transcript:DRNTG_02472.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSNELKKQVLKKASEFYLIGCVQLSPLVNLKSQKAEKPLNFFSLLFFPWCLHPCFWPLPLNQCTLVCSHFTMCDNKEGEAALVRNWLDSAMITLSHDRTDSSPLFPAPTSAGGGGGGGDSKGPEIIVVSSPHPPPLSPPHPRTYHVPSPQPVVSYSTASYFPATHYIPSSATATATATASYYAMMEPALEPAPREDSYSLFSEENANACRVM >DRNTG_00404.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2815093:2816043:1 gene:DRNTG_00404 transcript:DRNTG_00404.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 25 [Source:Projected from Arabidopsis thaliana (AT3G19210) UniProtKB/Swiss-Prot;Acc:Q0PCS3] MHVLARLLAHLRQKTDDRIVLVSNYTQTLDLFAQLCRERRYPYLRLDGTTSIGKRQKLVNRFNDLSKDEFVFLLSSKAGGCGLNLVGGNRLVLFDPDWNPANDKQ >DRNTG_00404.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2814823:2821018:1 gene:DRNTG_00404 transcript:DRNTG_00404.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 25 [Source:Projected from Arabidopsis thaliana (AT3G19210) UniProtKB/Swiss-Prot;Acc:Q0PCS3] MHVLARLLAHLRQKTDDRIVLVSNYTQTLDLFAQLCRERRYPYLRLDGTTSIGKRQKLVNRFNDLSKDEFVFLLSSKAGGCGLNLVGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVFIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQEQADNQRGNFLSMEDLRDLFSFHEDVRSEIHENMNCNRCKMTDVVQGNSILDESMIAENKDTTDKGQLNQQVDDIGGFAQIAGCLHKLKSSEKQLGSPLEEDLASWGHHFNLSTVPDLILQASAGDEVSFVFTNQVDGKLIPVESEKKPANQQMDAEKHPNDNCHRNRSQGLTMPLLKGTPPNSQKTFPNGFHVPKKLSISPKEQSSLKRINPSFDHCYQNNSQCRG >DRNTG_00404.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2809144:2818366:1 gene:DRNTG_00404 transcript:DRNTG_00404.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 25 [Source:Projected from Arabidopsis thaliana (AT3G19210) UniProtKB/Swiss-Prot;Acc:Q0PCS3] MFECVSGLSSAEGISGCILADDMGLGKTLQSITLLYTLLCQGFDGKPMVKRAVIVTPTSLVSNWESEINKWVGERIQLLSLCESTRADVVSGIDNFLRPCSPFQVLIISYETFRMHSSKFEKCGSCDLLICDEAHRLKNDQTRTNQALAALPCSRRILLSGTPMQNDLEEFFAMVNFTNPGILGDVSYFRRYYEAPIIAGREPMATKEEKKLGIERSTELSAKINQFVLRRTNALLSNHLPPKIVEVVCCKMTSLQQKLYKHFIQSKNVKRMISEEIKQSKILAYITALKKLCNHPKLIYDTIKSGSPGTSGFEDCIRFFPAELFSGRSGSWTGGGGIWVELSGKMHVLARLLAHLRQKTDDRIVLVSNYTQTLDLFAQLCRERRYPYLRLDGTTSIGKRQKLVNRFNDLSKDEFVFLLSSKAGGCGLNLVGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVFIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQEQADNQRGNFLSMEDLRDLFSFHEDVRSEIHENMNCNRCKMTDVVQGNSILDESMIAENKDTTDKGQLNQQVDDIGGFAQIAGCLHKLKSSEKQLGSPLEEDLASWGHHFNLSTVPDLILQASAGDEVSFVFTNQVDGKLIPVESEKKPANQQMDAEKHPNDNCHRNRSQGLTMPLLKGTPPNSQKTFPNGFHVPKKLSISPKEQSSLKRINPSFDHCYQNNSQCRG >DRNTG_00404.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2816313:2816387:1 gene:DRNTG_00404 transcript:DRNTG_00404.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 25 [Source:Projected from Arabidopsis thaliana (AT3G19210) UniProtKB/Swiss-Prot;Acc:Q0PCS3] RYTSGRCQRRDSRRLFSKSKQIIRG >DRNTG_00404.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2813245:2816043:1 gene:DRNTG_00404 transcript:DRNTG_00404.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 25 [Source:Projected from Arabidopsis thaliana (AT3G19210) UniProtKB/Swiss-Prot;Acc:Q0PCS3] MATKEEKKLGIERSTELSAKINQFVLRRTNALLSNHLPPKIVEVVCCKMTSLQQKLYKHFIQSKNVKRMISEEIKQSKILAYITALKKLCNHPKLIYDTIKSGSPGTSGFEDCIRFFPAELFSGRSGSWTGGGGIWVELSGKMHVLARLLAHLRQKTDDRIVLVSNYTQTLDLFAQLCRERRYPYLRLDGTTSIGKRQKLVNRFNDLSKDEFVFLLSSKAGGCGLNLVGGNRLVLFDPDWNPANDKQ >DRNTG_00404.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2817668:2818366:1 gene:DRNTG_00404 transcript:DRNTG_00404.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 25 [Source:Projected from Arabidopsis thaliana (AT3G19210) UniProtKB/Swiss-Prot;Acc:Q0PCS3] MDAEKHPNDNCHRNRSQGLTMPLLKGTPPNSQKTFPNGFHVPKKLSISPKEQSSLKRINPSFDHCYQNNSQCRG >DRNTG_00404.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2813245:2814410:1 gene:DRNTG_00404 transcript:DRNTG_00404.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 25 [Source:Projected from Arabidopsis thaliana (AT3G19210) UniProtKB/Swiss-Prot;Acc:Q0PCS3] MATKEEKKLGIERSTELSAKINQFVLRRTNALLSNHLPPKIVEVVCCKMTSLQQKLYKHFIQSKNV >DRNTG_00404.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2811967:2812057:1 gene:DRNTG_00404 transcript:DRNTG_00404.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 25 [Source:Projected from Arabidopsis thaliana (AT3G19210) UniProtKB/Swiss-Prot;Acc:Q0PCS3] QNDLEEFFAMVNFTNPGILGDVSYFRRYYE >DRNTG_00404.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2816076:2817339:1 gene:DRNTG_00404 transcript:DRNTG_00404.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 25 [Source:Projected from Arabidopsis thaliana (AT3G19210) UniProtKB/Swiss-Prot;Acc:Q0PCS3] AAARVWRDGQKKRVFIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQEQADNQRGNFLSMEDLRDLFSFHEDVRSEIHENMNCNRCKMTDVVQGNSILDESMIAENKDTTDKGQLNQQVDDIGGFAQIAGCLHKLKSSEKQLGSPLEEDLASWGHHFNLSTVPDLILQASAGDE >DRNTG_00404.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2809897:2811479:1 gene:DRNTG_00404 transcript:DRNTG_00404.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 25 [Source:Projected from Arabidopsis thaliana (AT3G19210) UniProtKB/Swiss-Prot;Acc:Q0PCS3] MFECVSGLSSAEGISGCILADDMGLGKTLQSITLLYTLLCQGFDGKPMVKRAVIVTPTSLVSNWESEINKWVGERIQLLSLCESTRADVVSGIDNFLRPCSPFQVLIISYETFRMHSSKFEKCGSCDLLICDEAHRLKNDQTRTNQ >DRNTG_00404.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2813245:2821018:1 gene:DRNTG_00404 transcript:DRNTG_00404.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 25 [Source:Projected from Arabidopsis thaliana (AT3G19210) UniProtKB/Swiss-Prot;Acc:Q0PCS3] MATKEEKKLGIERSTELSAKINQFVLRRTNALLSNHLPPKIVEVVCCKMTSLQQKLYKHFIQSKNVKRMISEEIKQSKILAYITALKKLCNHPKLIYDTIKSGSPGTSGFEDCIRFFPAELFSGRSGSWTGGGGIWVELSGKMHVLARLLAHLRQKTDDRIVLVSNYTQTLDLFAQLCRERRYPYLRLDGTTSIGKRQKLVNRFNDLSKDEFVFLLSSKAGGCGLNLVGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVFIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQEQADNQRGNFLSMEDLRDLFSFHEDVRSEIHENMNCNRCKMTDVVQGNSILDESMIAENKDTTDKGQLNQQVDDIGGFAQIAGCLHKLKSSEKQLGSPLEEDLASWGHHFNLSTVPDLILQASAGDEVSFVFTNQVDGKLIPVESEKKPANQQMDAEKHPNDNCHRNRSQGLTMPLLKGTPPNSQKTFPNGFHVPKKLSISPKEQSSLKRINPSFDHCYQNNSQCRG >DRNTG_00404.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2816767:2821018:1 gene:DRNTG_00404 transcript:DRNTG_00404.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 25 [Source:Projected from Arabidopsis thaliana (AT3G19210) UniProtKB/Swiss-Prot;Acc:Q0PCS3] MNCNRCKMTDVVQGNSILDESMIAENKDTTDKGQLNQQVDDIGGFAQIAGCLHKLKSSEKQLGSPLEEDLASWGHHFNLSTVPDLILQASAGDEVSFVFTNQVDGKLIPVESEKKPANQQMDAEKHPNDNCHRNRSQGLTMPLLKGTPPNSQKTFPNGFHVPKKLSISPKEQSSLKRINPSFDHCYQNNSQCRG >DRNTG_30428.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7113309:7113764:-1 gene:DRNTG_30428 transcript:DRNTG_30428.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLQCIILPRHQRRRCRALTGYLGVRKRPSGRYAAEIRNPSTKKRHWLGTFDTPEEAAVAYDMSSITFRGIEKAQTNFCYKFLTMSSPSPPSPPPSPLSSEKEKKYCSEDNLEINDDHDHLVDRDDDWINITTILQSFCQSNALPSSLIL >DRNTG_34261.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28159211:28159719:-1 gene:DRNTG_34261 transcript:DRNTG_34261.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLLRRSLRRPPPWRRLLSDAPSTVSAINTTNSLPLSPSPPLQPFSPPPRRRGFPLLLSASLLSAAVGAALVSSSDRLDETMEKSRASVDRVLDRMGRTAAATVALWRSLSSVLSSVDHEVRSGFELRVAALLADIAAASEARR >DRNTG_14004.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27710657:27713906:-1 gene:DRNTG_14004 transcript:DRNTG_14004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPPRSGDAVFANIEHVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGIRLIDEFLAKSNVSGCANFKETADVIAKVGFKMFLGVSATVTNWDAEGTSCSLVLEDNPLVDFVELPDTCQGLCYCNILSGVIRGALEMVSMKTEVTWVRDMLQGDDVYELRVKLLKQVPEEYPYKDDE >DRNTG_32505.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18798:23942:1 gene:DRNTG_32505 transcript:DRNTG_32505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIIVRRVIPSDNSCLFNAVGYVMEHDKHKAQELRQVIAAAVGSDPEKYIEAFLGKPNEEYCAWILDSDKWGGAIELSILSEYYGREIAAYDIQTMRCDLYGQEKKYTERVMLLYDGLHYDALAISPFDGAPEEFDQTIFCVNGSIRTVESQALKLVQEENRKRSYTDTANFTLRCGQCSIGLVGQKEAADHARQTGHVNFQEYR >DRNTG_32505.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19714:23942:1 gene:DRNTG_32505 transcript:DRNTG_32505.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIIVRRVIPSDNSCLFNAVGYVMEHDKHKAQELRQVIAAAVGSDPEKYIEAFLGKPNEEYCAWILDSDKWGGAIELSILSEYYGREIAAYDIQTMRCDLYGQEKKYTERVMLLYDGLHYDALAISPFDGAPEEFDQTIFCVNGSIRTVESQALKLVQEENRKRSYTDTANFTLRCGQCSIGLVGQKEAADHARQTGHVNFQEYR >DRNTG_06693.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3386044:3389892:-1 gene:DRNTG_06693 transcript:DRNTG_06693.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermembrane space import and assembly protein 40 homolog [Source:Projected from Arabidopsis thaliana (AT5G23395) UniProtKB/Swiss-Prot;Acc:Q8GYJ4] MGQNQSNVAAEEEQTNHPPEPLAKDSPSPSESPSASPTSLEDLIAEATAFGDNNESESLDVKAEKALQCPCVAELRKGPCGTQFSEAFVCFIKSTAEEKGSDCVHPFIALQNCIKANPNAFSEDVLEEDSKDVEVEEHRIIPPKWAKESKSNI >DRNTG_06693.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3386660:3388260:-1 gene:DRNTG_06693 transcript:DRNTG_06693.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermembrane space import and assembly protein 40 homolog [Source:Projected from Arabidopsis thaliana (AT5G23395) UniProtKB/Swiss-Prot;Acc:Q8GYJ4] MGQNQSNVAAEEEQTNHPPEPLAKDSPSPSESPSASPTSLEDLIAEATAFGDNNESESLDVKAEKALQCPCVAELRKGPCGTQFSEAFVCFIKSTAEEK >DRNTG_06693.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3386660:3389892:-1 gene:DRNTG_06693 transcript:DRNTG_06693.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermembrane space import and assembly protein 40 homolog [Source:Projected from Arabidopsis thaliana (AT5G23395) UniProtKB/Swiss-Prot;Acc:Q8GYJ4] MGQNQSNVAAEEEQTNHPPEPLAKDSPSPSESPSASPTSLEDLIAEATAFGDNNESESLDVKAEKALQCPCVAELRKGPCGTQFSEAFVCFIKSTAEEK >DRNTG_06693.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3386044:3389892:-1 gene:DRNTG_06693 transcript:DRNTG_06693.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermembrane space import and assembly protein 40 homolog [Source:Projected from Arabidopsis thaliana (AT5G23395) UniProtKB/Swiss-Prot;Acc:Q8GYJ4] MGQNQSNVAAEEEQTNHPPEPLAKDSPSPSESPSASPTSLEDLIAEATAFGDNNESEVSRSRPCMKFQH >DRNTG_09441.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21243954:21245720:-1 gene:DRNTG_09441 transcript:DRNTG_09441.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLHTRKRQDDTCEMLHATMGDSFISCEIQLAEDFQQWCSSLPACVIEELFSPELPNIKDCSQGSNTELEKLKSPSITIDNKLSSSHTLLQIHCLDQRGLFYDILRTMKDCNIRIAHGRFSLQKRRFAGDFFIVKGDGKKIVDPEKLNALRSQLRQEMLHSLRVMFVNRGPDNELLVANPAELCGEGRPLVFYDVTRALKVLGICIFS >DRNTG_09441.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21243597:21245720:-1 gene:DRNTG_09441 transcript:DRNTG_09441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLHTRKRQDDTCEMLHATMGDSFISCEIQLAEDFQQWCSSLPACVIEELFSPELPNIKDCSQGSNTELEKLKSPSITIDNKLSSSHTLLQIHCLDQRGLFYDILRTMKDCNIRIAHGRFSLQKRRFAGDFFIVKGDGKKIVDPEKLNALRSQLRQEMLHSLRVMFVNRGPDNELLVANPAELCGEGRPLVFYDVTRALKVLGICIFSAEIGRHTESGREWEVYRFLLDENTNFTLFNARTKDYTVDIISRTLMGW >DRNTG_35407.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1547268:1555692:1 gene:DRNTG_35407 transcript:DRNTG_35407.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCAITQSGFKLDCILFLGGDSLLFNGGERSEQHDLFLKMIIEKQFFSHLGVAKHYSYNKLIGSLYRPGYFEALGSVILKRFLLLVISLDRAKCESTLPLKYGIDGIDGGSPLLFGRHSHIKSSQQIIKEFLHEAMHGEGDLLAHLAIVGCKVKHQQSPLSEYDFTVTNLFEDIQDGILLCRAIQLLKCDASILSKLVVPSDTSKKKLHNCNIAMTYLKQADVPLVDTDGVVVVAEDVASGDKELTLSILWNIFVHLQVPMLVHRSSLTEEISKIKGIDLRGSNFERDTGFCLLLEWIQAVCEEYNVKIDNLSSLNDGKALRCLVDYYFKILLNGYHHLKGDQDEHLKPLFNLLDSDNNSAVHNFLLVQQVVIMSGKFREVLQASGILDQDSFFDERSMIILLVFLAAELIHRRNLSPDLNLLGTPCSLLPSDVPDLSSNNVLEFDSPREKDGLNLTKRKEWAAVVIQSQFRRFHEQKKYLKIKRATSLLQNAIRAWLVVIFRSSSHECVCSLNPSPGVLDEYLKYLMDRQRFVRLKKSALLIQQAIRVWIKRKHQKKIRALEITKSADIILAVTYVQAYIRGWISRSRFLGLLEVQHQCRAAIKIQAAWRSYIVRAFYLQKKSAAAIIQNHWRAWYLRRQFMRHVGAIIQIQACIRSVLNQVTYKRNKASATEIQRFIRGQIARNKFSGALNTFSSRQHQESYDVIDEDSIQNLELKAPPLHIVLKLQRWWRQILSQKSQSQSAILIQSYIRGWNAREKAKRRCSSIYMIQRWWRRVQYLASRKRSAVIIQTHVRGWIARREANRIRHCIIVIQSYWKGYLVRKASKAADLGPMLQIEKISR >DRNTG_35407.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1551463:1556633:1 gene:DRNTG_35407 transcript:DRNTG_35407.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIILLVFLAAELIHRRNLSPDLNLLGTPCSLLPSDVPDLSSNNVLEFDSPREKDGLNLTKRKEWAAVVIQSQFRRFHEQKKYLKIKRATSLLQNAIRAWLVVIFRSSSHECVCSLNPSPGVLDEYLKYLMDRQRFVRLKKSALLIQQAIRVWIKRKHQKKIRALEITKSADIILAVTYVQAYIRGWISRSRFLGLLEVQHQCRAAIKIQAAWRSYIVRAFYLQKKSAAAIIQNHWRAWYLRRQFMRHVGAIIQIQACIRSVLNQVTYKRNKASATEIQRFIRGQIARNKFSGALNTFSSRQHQESYDVIDEDSIQNLELKAPPLHIVLKLQRWWRQILSQKSQSQSAILIQSYIRGWNAREKAKRRCSSIYMIQRWWRRVQYLASRKRSAVIIQTHVRGWIARREANRIRHCIIVIQSYWKGYLVRKASKAADLGPMLQIEKISR >DRNTG_35407.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1551463:1561046:1 gene:DRNTG_35407 transcript:DRNTG_35407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILLVFLAAELIHRRNLSPDLNLLGTPCSLLPSDVPDLSSNNVLEFDSPREKDGLNLTKRKEWAAVVIQSQFRRFHEQKKYLKIKRATSLLQNAIRAWLVVIFRSSSHECVCSLNPSPGVLDEYLKYLMDRQRFVRLKKSALLIQQAIRVWIKRKHQKKIRALEITKSADIILAVTYVQAYIRGWISRSRFLGLLEVQHQCRAAIKIQAAWRSYIVRAFYLQKKSAAAIIQNHWRAWYLRRQFMRHVGAIIQIQACIRSVLNQVTYKRNKASATEIQRFIRGQIARNKFSGALNTFSSRQHQESYDVIDEDSIQNLELKAPPLHIVLKLQRWWRQILSQKSQSQSAILIQSYIRGWNAREKAKRRCSSIYMIQRWWRRVQYLASRKRSAVIIQTHVRGWIARREANRIRHCIIVIQSYWKGYLVRKASKAADLGPMLQIEKISR >DRNTG_35407.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1550138:1556633:1 gene:DRNTG_35407 transcript:DRNTG_35407.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHRSSLTEEISKIKGIDLRGSNFERDTGFCLLLEWIQAVCEEYNVKIDNLSSLNDGKALRCLVDYYFKILLNGYHHLKGDQDEHLKPLFNLLDSDNNSAVHNFLLVQQVVIMSGKFREVLQASGILDQDSFFDERSMIILLVFLAAELIHRRNLSPDLNLLGTPCSLLPSDVPDLSSNNVLEFDSPREKDGLNLTKRKEWAAVVIQSQFRRFHEQKKYLKIKRATSLLQNAIRAWLVVIFRSSSHECVCSLNPSPGVLDEYLKYLMDRQRFVRLKKSALLIQQAIRVWIKRKHQKKIRALEITKSADIILAVTYVQAYIRGWISRSRFLGLLEVQHQCRAAIKIQAAWRSYIVRAFYLQKKSAAAIIQNHWRAWYLRRQFMRHVGAIIQIQACIRSVLNQVTYKRNKASATEIQRFIRGQIARNKFSGALNTFSSRQHQESYDVIDEDSIQNLELKAPPLHIVLKLQRWWRQILSQKSQSQSAILIQSYIRGWNAREKAKRRCSSIYMIQRWWRRVQYLASRKRSAVIIQTHVRGWIARREANRIRHCIIVIQSYWKGYLVRKASKAADLGPMLQIEKISR >DRNTG_32752.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001910.1:1321:4453:1 gene:DRNTG_32752 transcript:DRNTG_32752.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIIKIQPPTYGNLITVLSIDGGGIRGIIPATILSFLEAQLQELDGEDARLADYFDVISGTSTGGLVTAMITAPNDKKRPLFAAKDIKAFYLEHSPKIFPQPNWGFLGEAFSIVKRLGGPKYNGKYLHKIIKEKLGNLKLHDTLTNIVIPTFDIKQLQPTIFSSYEVKNNNSSMDADLCDICISTSAAPTYLPAHYFQTTTSEGKSREFNLVDGGVAANNPALVAIKEVTKQIFKGNQDFFPIKPMDFGRFLVISLGTGTAKNEEKFNAKMASKWGVLSWLIAGGSNPLIDAFTHASGDMVDIHISVVFQALRSEENYLRIQDDTLRGTISSVDVSTKENLEGLVKIGEDLLKKPVAKVNLETGVFEPTANESNEQALIRFAKKLSHERRLREMRSPLTKGTKQILIDTN >DRNTG_32752.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001910.1:2976:3887:1 gene:DRNTG_32752 transcript:DRNTG_32752.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDADLCDICISTSAAPTYLPAHYFQTTTSEGKSREFNLVDGGVAANNPALVAIKEVTKQIFKGNQDFFPIKPMDFGRFLVISLGTGTAKNEEKFNAKMASKWGVLSWLIAGGSNPLIDAFTHASGDMVDIHISVVFQALRSEENYLRIQDDTLRGTISSVDVSTKENLEGLVKIGEDLLKKPVAKVNLETGVFEPTANESNEQALIR >DRNTG_10203.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000429.1:1:560:-1 gene:DRNTG_10203 transcript:DRNTG_10203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFNQLIRHGREEKRRTDRTQASDQCPQKQGVCPRVLTRTPKKPNSALRKIAKVRLRNRHDIFAHIPAKGHNSQEHSIVLVRGGTVKDSPGVKSHCIQGVMDLLGILDRIRGRSKYGPERPNSKCIEDASGMSLFLSAYRIYPPPLKQLGAILSCFWNLNFTLFMSQRRICYPRINCL >DRNTG_13078.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:14068471:14073695:1 gene:DRNTG_13078 transcript:DRNTG_13078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYYPRRKSYHLESVKATLAVALERATLKDVQTRSEHAHAPPPGNLRLEVFSGQQGKHALDAPSTIKHKRSMV >DRNTG_33256.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22149355:22150909:-1 gene:DRNTG_33256 transcript:DRNTG_33256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFGCFSFRRSAFKKESTSVAARAVTGKSPENGQQKGQLAMNNVEPGNGNNIAAQTFTFRDLAAATKNFRSEFLLGEGGFGRVYKGFLEKSNQFVAVKQLDRNGLQGNKEFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMTLGSLEDHLLNISPDRQPLSWYTRMKIAFGAAKGLEYLHEKANPPVIYRDLKSSNILLDQDFNPKLSDFGLAKLGPIGDKIHVSSRVMGTYGYCAPEYARTGQLTLKSDVYSFGVVLLEMISGRRVIDTTKPINEQNLIAWAQPMFKDQKRFRELVDPRLHQNFPQKALNQAVAVAAMCLQEEATVRPLIADVVMALSFLSTEPVGSPAPPAVVVMDESRRSHCSRSPSHSSDDASDIHHNSSSSRSSNASYQEESD >DRNTG_28540.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6303012:6304536:1 gene:DRNTG_28540 transcript:DRNTG_28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKFKTKTKLFMRSSSSSSNSKLSGGGCGGGNSGEEIEWELRPGGMLVQKRESGKGGAEIIRVRVRVSMASLSHDISISATSTFGELKMVLSMVTGLEARAQRILFKGKEREDGDHLHMVGVKDNDKVLLLEDPCLQRKKEPFKFHRKNKPSHGKPLSFSCH >DRNTG_02795.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1107740:1115838:1 gene:DRNTG_02795 transcript:DRNTG_02795.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQVLESYERAYSNMVRVQQLSELEEVIDYCTLPVGNSVADGRRELIRNMWNERIQGTKRNVEVWQVLLAVRELVLPPTEDFETWLKFASLCRNSGRISQARSTLVKLLQYDPEVCPEKLYHGHPQVILAYLKYQWSVGDDFKRKEAFVRLQELSVQLATAANISPGASASPSHVSSSGVPLLARVYLRLGNWQRALTPSLDDDSIQDILKSFKNATQCAKDWAKAWHTWALFNTAVMSHYTLRGYPAITGQYVVAAVTGYFYSISCASTAKGVDDSLQDILRLLTLWFNHGATSEVQMALQKGFSLVKIEMWLVVLPQIIARIHSNNRAVRELIQYLLVRIGKGHPQALMYPLLVACKSISLLRRAAAQEVVDIIRQHSGALVDQAQLVSKELIRVAILWHEMWHEALEEASRLYFGEHNIEGMLAALDPLHLMLEEGPETLKETAFIQAYGRELLEARECCLKYRKTGKDAELTQAWDLYYHVFRRIDKQLPSLTTLDLQSVSPELLKCRNLELAVPGQYRADMPVVTIASFAPQLVVITSKQRPRKLTIHGSNGEDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRNTAEKDLSIHRYAVIPLSPNSGLIGWVPNCDTLHHLIREYRDARKIFLNQEHRLMLAFAPDYDHLPLIAKVEVFEHALQNTEGNDLAKVLWLKSRTSEVWLDRRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLNRFSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGNFRCTCENVMQVLRTHKDSVMAMMEAFVHDPLINWRLFNFNEVPQMTNFGANLAHPVVNSDESAQNRELAHPLRGARERELLQAVNQLGDANEVLNERAVLVMARMSNKLTGRDFSSGSSASGPSSMQHAADHSSLVSGDTREAEHGLSVKLQVQKLINQAMSHENLCQNYVGWCPFW >DRNTG_30853.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001636.1:29074:29510:-1 gene:DRNTG_30853 transcript:DRNTG_30853.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVANHRSQFLGKYGMEVSKCWPSKGRCLRGVIHSHPKGELHVGHLEHLTTHLETNRVSLAEVDDTPIQLEGAHDLHR >DRNTG_30356.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001566.1:1818:2861:1 gene:DRNTG_30356 transcript:DRNTG_30356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIVLVGLRDYQDDKADVILKYMPDEARLLKAYGELPENTRLNEGIAGGLDEEDDGQGDDYIEFEDEDIDKI >DRNTG_30356.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001566.1:1818:2537:1 gene:DRNTG_30356 transcript:DRNTG_30356.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIVLVGLRDYQDDKADVILKYMPDEARLLKAYGELPENTRLNEGIAGGLDEEDDGQGDDYIEFEDEDIDKI >DRNTG_33488.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11646101:11646703:1 gene:DRNTG_33488 transcript:DRNTG_33488.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVTSCSPPLLGCPAIVYENLLEAVRRLEPSIKYRIFSRARAHYYSARARTITPLEEGKKTEQPCVLDVRTAMPTRRQGCLCDQAKRATDSTD >DRNTG_21937.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2166035:2166849:-1 gene:DRNTG_21937 transcript:DRNTG_21937.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRNKGKSEPVDADDDDGDDDRDGDEDDEGVFGEGGDDGSGEDEGGYEKPNNTSKKNTGGDAGGEENGEDEEEEPEDDNDDDDNDNAMMMTMMKMMTMMMVGTMETTGLKRRLMKNNLTKRKKTMRMRRRFNPRRRGRSDHQLFFL >DRNTG_21937.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2166035:2166849:-1 gene:DRNTG_21937 transcript:DRNTG_21937.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRNKGKSEPVDADDDDGDDDDDDEDDDDDDGGDDGDDGAEEEADEEQPNEEEEDDEDEEALQPPKKRKK >DRNTG_21937.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2166035:2166849:-1 gene:DRNTG_21937 transcript:DRNTG_21937.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRNKGKSEPVDADDDDGDDDRDGDEDDEGVFGEGGDDGSGEDEGGYEKPNNTSKKNTGGDAGGEENGEDEEEEPEDDNDDDDNDDDDGGDDGDDGAEEEADEEQPNEEEEDDEDEEALQPPKKRKK >DRNTG_11614.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27546027:27548002:-1 gene:DRNTG_11614 transcript:DRNTG_11614.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLQDEFQVGECKGQKMVEGVQIPLLLKPKQDHSNTNTINVASLVDILKANKDAFEDMLLKHSAILFRGFDVKDAQEFNDVVEAIGCEDIRYVGPAPRTHVHKRVWTANEGPLSEFIYYHHEMVLIKEFPTKVILFCEVPPPEGGETPFVTSWGVTERMLKEFPENVREMEEKGLRYSFTALSKNDTGSMRGRGWEDAFGTSDKVEAEKRAKALGMDIEWLSNGGVKAMLGPRQLTRVFPNRQNRKMWFNTIVGMYGKETSSAEMADGSKIPSNVVERCGEIIEDESIQFKWEKGDVLFLDNLALLHGRRPSLPPRKVLVATCK >DRNTG_17691.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28245886:28246345:1 gene:DRNTG_17691 transcript:DRNTG_17691.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIREISKSSNRKVSTPERTTRSRGMEDAHG >DRNTG_13485.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14045711:14047465:1 gene:DRNTG_13485 transcript:DRNTG_13485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRHGGHEDTIWAKKRRKPGRGTVAYFLVAKLL >DRNTG_20793.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28353440:28353892:-1 gene:DRNTG_20793 transcript:DRNTG_20793.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQLFVLSQRGDNIVFRDYRGEVPKGSAEIFFRKVKF >DRNTG_00069.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21807134:21812805:1 gene:DRNTG_00069 transcript:DRNTG_00069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLKFVDDEWGPVGAFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFKQKGLDEIDIVYPEELGTERINEGSPRRVSTIIPQLHNSVDELKQTASSFQASGGRRVTRSLSATRRLRSSFRQGNRPKFVSAFCQSNCGDVSPNVLGAFCIDTGLPCDFNHSTCSGKNELCYGRGPGYPDEFESTRIIGERQFRKAVDLFSAASEQIKGKVDYRHTYLDLSQLEVTLSSSDGSKSVVKTCPAAMGFAFAAGTTDGPGAFDFQQGDDKGNAFWKLVRNLLKTPSKEQEDCQYPKPILLDTGDMKEPYDWAPSILPIQIIRIGQLVILCVPGEFTTMAGRRLRDAVRTVLTSGSNGAFDKNIHIVIAGLTNSYSQYVTTYEEYQIQRYEGASTLFGPHTLDAYIQEFKKLAAALVGGQSISTSLQPPDLLDKQISLLPGVVLDSTPVGVKFGDVKIDVPLNSTFKRGDMVTATFWSGCPRNDLMTEGTFSLGGGSTWY >DRNTG_00069.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21807912:21812805:1 gene:DRNTG_00069 transcript:DRNTG_00069.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLKFVDDEWGPVGAFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFKQKGLDEIDIVYPEELGTERINEGSPRRVSTIIPQLHNSVDELKQTASSFQASGGRRVTRSLSATRRLRSSFRQGNRPKFVSAFCQSNCGDVSPNVLGAFCIDTGLPCDFNHSTCSGKNELCYGRGPGYPDEFESTRIIGERQFRKAVDLFSAASEQIKGKVDYRHTYLDLSQLEVTLSSSDGSKSVVKTCPAAMGFAFAAGTTDGPGAFDFQQGDDKGNAFWKLVRNLLKTPSKEQEDCQYPKPILLDTGDMKEPYDWAPSILPIQIIRIGQLVILCVPGEFTTMAGRRLRDAVRTVLTSGSNGAFDKNIHIVIAGLTNSYSQYVTTYEEYQIQRYEGASTLFGPHTLDAYIQEFKKLAAALVGGQSISTSLQPPDLLDKQISLLPGVVLDSTPVGVKFGDVKIDVPLNSTFKRGDMVTATFWSGCPRNDLMTEGTFSLGGGSTWY >DRNTG_17461.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21498003:21499750:1 gene:DRNTG_17461 transcript:DRNTG_17461.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILVAETISSEDFWEDVENVVMITKPIYLLIKFCDGEGAKMGEVYEKMDTMLGQIKDIMHDSKYESDYPCMEKKILERWEKMNIPMHCLGFALSPRFYDVRYLSTPAPGGFPRRAPNCDKEVILGVMEAFNRISENSKEEKLLREQFA >DRNTG_31476.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1966119:1968277:-1 gene:DRNTG_31476 transcript:DRNTG_31476.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g31920 [Source:Projected from Arabidopsis thaliana (AT1G31920) UniProtKB/Swiss-Prot;Acc:Q9C6T2] MDYARLIFDEIDQPCAYDFNTMIRGHVKDCDPDAAVRYYKEMLERDTLPNNFTYPFVLKACAQILGEKEGAQIHGQAIKFGLGTDIYIQNSLISMYGKCGEMKYSSKVFDLAGTNKTIASWSALLAAHARNGQWNECLNLFMKMNNEGWRADETSMVSALTSCSHAGAFDLGRSIHCSLLRNISEPDMTSQTALIDMYCNCGRLEKGLDIFERMKIKNVWTYSVMISGLAMHGDGEGALQIYSRMLKQGLEPNEVVYVSVLNACSRAGLLKEGLQCFDRMRFEHRIKPTLLHYNCMVDLMGRAGKLEEAHKLIKEMQVEPDEVTWRCLLSACKVHGNFELAEIAHRKLLELNSQNTGDCISLSNMYAQAKRWEDAAKLRKDIANRGLSQVPGISRVEVKGKMHTFVSHDWSHPQSYEVYEMLYQMEWQLRFEGYSPDVSQVPANVDDKEKRQMLSANSHKLALAFALLNTGNGSKILIVSNIQISRECHEYTALISQIFNREISVKDRQRFHHFKQGQCSCRGYW >DRNTG_30444.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001576.1:5574:6624:1 gene:DRNTG_30444 transcript:DRNTG_30444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGLVVISRSKEDGTTMEGVAMSLDCCGEINPKKCRLLREIDARNSR >DRNTG_00267.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3506867:3508887:-1 gene:DRNTG_00267 transcript:DRNTG_00267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSCHSLLLLLLFLLSFLAFISSAYTKDVAEEVGMSFAVQKYKHKHSGFSQAECPGACKFRCSKTQYKKPCLFFCQKCCFKCKCVPPGTYAHKEVCPCYNNWKTKSGGPKCP >DRNTG_27359.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6649290:6652671:1 gene:DRNTG_27359 transcript:DRNTG_27359.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKKRPPAKAHHPSRASPASNALMLSAPFHQMQQYYRYGNFDNCFGKWNALFDCLSLKTKRPSEVQEILEAREKAKPHIWTYRTVEEASANWWKMYSHIVTAPRRPDQQFPG >DRNTG_27359.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6649290:6652671:1 gene:DRNTG_27359 transcript:DRNTG_27359.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPGMEDAKEEEASSKSSSSIQSVSCIKCFDALWFCYSPFHQMQQYYRYGNFDNCFGKWNALFDCLSLKTKRPSEVQEILEAREKAKPHIWTYRTVEEASANWWKMYSHIVTAPRRPDQQFPGKTKGE >DRNTG_27359.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6649290:6652671:1 gene:DRNTG_27359 transcript:DRNTG_27359.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPGMEDAKEEEASSKSSSSIQSVSCIKCFDALWFCYSPFHQMQQYYRYGNFDNCFGKWNALFDCLSLKTKRPSEVQEILEAREKAKPHIWTYRTVEEASANWWKMYSHIVTAPRRPDQQFPG >DRNTG_27359.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6649290:6651834:1 gene:DRNTG_27359 transcript:DRNTG_27359.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKKRPPAKAHHPSRASPASNALMLSAPFHQMQQYYRYGNFDNCFGKWNALFDCLSLKTKRPSEVQVQHCTFII >DRNTG_27359.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6649290:6652946:1 gene:DRNTG_27359 transcript:DRNTG_27359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPGMEDAKEEEASSKSSSSIQSVSCIKCFDALWFCYSPFHQMQQYYRYGNFDNCFGKWNALFDCLSLKTKRPSEVQEILEAREKAKPHIWTYRTVEEASANWWKMYSHIVTAPRRPDQQFPGNRGPSSE >DRNTG_27359.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6649290:6651790:1 gene:DRNTG_27359 transcript:DRNTG_27359.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKKRPPAKAHHPSRASPASNALMLSAPFHQMQQYYRYGNFDNCFGKWNALFDCLSLKTKRPSEVQRML >DRNTG_27359.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6649290:6651790:1 gene:DRNTG_27359 transcript:DRNTG_27359.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPGMEDAKEEEASSKSSSSIQSVSCIKCFDALWFCYSPFHQMQQYYRYGNFDNCFGKWNALFDCLSLKTKRPSEVQVQHCTFII >DRNTG_19107.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29764234:29769908:1 gene:DRNTG_19107 transcript:DRNTG_19107.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPVGSSMHMMPQGAPTVHGGLGGGDGEIQYLEEQNQGVQQNNGDEGLEGAIRCLRCGISAKSTPHMRRGPEGPRTLCNACGIAWTKGKLRKVIDFDAPSDDATSEKMVPEVNMEFESEDKAYEFYNKYAGHIGFSVRKSSADKSSENVIRSRTFVCSREGFRKDKKGAKEVKRPKPETRIGCPARLTIKLVPNGKYRVSEFVPEHNHQPAPPSTTHLLRSHRITTEVQAAEADLSDDSGTTPRSTNEPAARQVGGFRNVTFLPAEYKNHLRSKRMKAMQIGDAGGVLKYLQSMQLNNPSFFYAIQVDEDDKLTNVFWADAKSMKDFSYFGDVVCFDTTYKTNGYGRPFAPFIGVNHHKQTVIFGAALIYDETIESFKWLLETFKIAMQGKQPKTILTDQSMAITSAISMAWPGTIQRLCLWHVYQNASKHLNHVFQGSKTFSKDFARCIYDYEDEEEFLTAWRMILEKYDLRNNEWLGKLFEDRDKWALPYRREIFCADIKSTLQNESLSNVLKKYLSPQLDLLSFFKHYERVVDEHRYAELQADFQASQSFPRIPPSKMLRQAANLYTPVVFEIFRKEFEMFMDCMLYSCGEVETTSEYKVIVTEKPKEHFVSFDPSDCSVICTCKKFDFMGIQCCHVLKVLDFRNIKELPLRYFLKRWCKDAKTGPEKDDKGITVDGGPKSPTTTPVMHAPLPSQPHLGFHPMAEYSQECPVSDLHHQTVHGATQLSQGFSNTDMHSQPFFGSSHLSQRTTERDRRGI >DRNTG_19107.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29764234:29769908:1 gene:DRNTG_19107 transcript:DRNTG_19107.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKGWKVLSDAFAVASVPNQLHICAVALKDPGLYAMRVELHGRSDDATSEKMVPEVNMEFESEDKAYEFYNKYAGHIGFSVRKSSADKSSENVIRSRTFVCSREGFRKDKKGAKEVKRPKPETRIGCPARLTIKLVPNGKYRVSEFVPEHNHQPAPPSTTHLLRSHRITTEVQAAEADLSDDSGTTPRSTNEPAARQVGGFRNVTFLPAEYKNHLRSKRMKAMQIGDAGGVLKYLQSMQLNNPSFFYAIQVDEDDKLTNVFWADAKSMKDFSYFGDVVCFDTTYKTNGYGRPFAPFIGVNHHKQTVIFGAALIYDETIESFKWLLETFKIAMQGKQPKTILTDQSMAITSAISMAWPGTIQRLCLWHVYQNASKHLNHVFQGSKTFSKDFARCIYDYEDEEEFLTAWRMILEKYDLRNNEWLGKLFEDRDKWALPYRREIFCADIKSTLQNESLSNVLKKYLSPQLDLLSFFKHYERVVDEHRYAELQADFQASQSFPRIPPSKMLRQAANLYTPVVFEIFRKEFEMFMDCMLYSCGEVETTSEYKVIVTEKPKEHFVSFDPSDCSVICTCKKFDFMGIQCCHVLKVLDFRNIKELPLRYFLKRWCKDAKTGPEKDDKGITVDGGPKSPTTTPVMHAPLPSQPHLGFHPMAEYSQECPVSDLHHQTVHGATQLSQGFSNTDMHSQPFFGSSHLSQRTTERDRRGI >DRNTG_19107.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29764234:29769908:1 gene:DRNTG_19107 transcript:DRNTG_19107.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPVGSSMHMMPQGAPTVHGGLGGGDGEIQYLEEQNQGVQQNNGDEGLEGAIRCLRCGISAKSTPHMRRGPEGPRTLCNACGIAWTKSLNKQGKLRKVIDFDAPSDDATSEKMVPEVNMEFESEDKAYEFYNKYAGHIGFSVRKSSADKSSENVIRSRTFVCSREGFRKDKKGAKEVKRPKPETRIGCPARLTIKLVPNGKYRVSEFVPEHNHQPAPPSTTHLLRSHRITTEVQAAEADLSDDSGTTPRSTNEPAARQVGGFRNVTFLPAEYKNHLRSKRMKAMQIGDAGGVLKYLQSMQLNNPSFFYAIQVDEDDKLTNVFWADAKSMKDFSYFGDVVCFDTTYKTNGYGRPFAPFIGVNHHKQTVIFGAALIYDETIESFKWLLETFKIAMQGKQPKTILTDQSMAITSAISMAWPGTIQRLCLWHVYQNASKHLNHVFQGSKTFSKDFARCIYDYEDEEEFLTAWRMILEKYDLRNNEWLGKLFEDRDKWALPYRREIFCADIKSTLQNESLSNVLKKYLSPQLDLLSFFKHYERVVDEHRYAELQADFQASQSFPRIPPSKMLRQAANLYTPVVFEIFRKEFEMFMDCMLYSCGEVETTSEYKVIVTEKPKEHFVSFDPSDCSVICTCKKFDFMGIQCCHVLKVLDFRNIKELPLRYFLKRWCKDAKTGPEKDDKGITVDGGPKSPTTTPVMHAPLPSQPHLGFHPMAEYSQECPVSDLHHQTVHGATQLSQGFSNTDMHSQPFFGSSHLSQRTTERDRRGI >DRNTG_27308.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:181734:185508:-1 gene:DRNTG_27308 transcript:DRNTG_27308.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEGSHEKCSSKLANDVTPVKKKDNVLQHSKTYNRTISKFSTMKEGNIANSKKRKLNTGIKTCSQIKPPHSSNQSAADGPVKCAFCHSFKITDLTGLMQHYVGERLVENHQPSQANSIHAHQKCVDWAPQVFYEGDKVINLEAEVLRASKLKCGKCGKKGAALGCFLEKCKKSYHVPCAVQLRGCRWDCENYLVLCPSHTSLKLPCDDPGSTRMKIHTDQPSPGQMDSTTQNSKWILCGSALSEEEKELVDKFANFIGAAVRKTWDQIVTHVIASTDEKGACSRTLKVLMAILTGKWVLNINWVKASMEARKLVSEEPYEINLDIYGSSDGPKTGRIRLMKKFPKLFTGLSFYFSGYFSPSRQRDLETLISVAGGVILDKNDALASDNSSSQLIYIVYNADPPPGNFSWDPVEDVRKRCEDAEALAGRIHAQVITHTRLLDAIASSKF >DRNTG_28378.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2318977:2321503:1 gene:DRNTG_28378 transcript:DRNTG_28378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEASTLSLPLAMVVSSILLILDRWLHDPSSCPILLDPFSSLANGTRFVPSSAAGSLGLMKVWNHGISNASHSYIPSYPSMQVSPRWFSMKASSDPDQSTEKNTSDTTLHQKTSKVVSFAPLEASASMKERPSALRSESLKIKRIELSQKITYALIPALLLVSKTKLTTSLIILSVFWQIHGFFKEIFLDYVHQEVTRKWVLIYFNLLLLIVAKDLFLAF >DRNTG_14493.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7236804:7237331:1 gene:DRNTG_14493 transcript:DRNTG_14493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPAPLGLEMMRLMGMVRRVRTWVVALVLPAPEIAEDDGDDAGACQPTLEPQPPSMKTEAPPAAEEPHPVRMFSPSRHNDRFERLKNAIGVVRAEPRTLQAPSVSAAPPSSTPAPEDPLYASSSAAAAAEPENDSNT >DRNTG_20643.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20443705:20444597:-1 gene:DRNTG_20643 transcript:DRNTG_20643.4 gene_biotype:protein_coding transcript_biotype:protein_coding QIRSHAQKYFLKVQKNGILALVPPPRPKRKATHPYLQKAPGHDSEVMDESFAYSHSSNYFIPAYNTWADGSLAANYPFNKALPSLDYVTPPPTAEGDKELEMMGGVCNENLSWTGNANISCATYEEPNQDDSQKMYPVALWLKQEF >DRNTG_20643.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20441735:20445848:-1 gene:DRNTG_20643 transcript:DRNTG_20643.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGGEVATKKLRKPYTITKARDRWTEEEHERFLDALILFGRDWKKVEDFVGTKTVIQIRSHAQKYFLKVQKNGILALVPPPRPKRKATHPYLQKAPGHDSEVMDESFAYSHSSNYFIPAYNTWADGSLAANYPFNKALPSLDYVTPPPTAEGDKELEMMGGVCNENLSWTGNANISCATYEEPNQDDSQKMYPVIPDLAEVYRFIAGLVDPEMKWPLGVYLHKLKQMDPITVKSILVLVRNLRNNLISPEFELHRNTISAYDVNSKTIRTITGTPLTIPASQVLNCMESNYVGLLPPY >DRNTG_20643.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20441735:20445848:-1 gene:DRNTG_20643 transcript:DRNTG_20643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVVLCCRLRFGRDWKKVEDFVGTKTVIQIRSHAQKYFLKVQKNGILALVPPPRPKRKATHPYLQKAPGHDSEVMDESFAYSHSSNYFIPAYNTWADGSLAANYPFNKALPSLDYVTPPPTAEGDKELEMMGGVCNENLSWTGNANISCATYEEPNQDDSQKMYPVIPDLAEVYRFIAGLVDPEMKWPLGVYLHKLKQMDPITVKSILVLVRNLRNNLISPEFELHRNTISAYDVNSKTIRTITGTPLTIPASQVLNCMESNYVGLLPPY >DRNTG_20643.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20441735:20445848:-1 gene:DRNTG_20643 transcript:DRNTG_20643.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCPFGTSSSSRFSPNSASRRGNLNRQLPNWGLVATGKRLKILLVQRL >DRNTG_12620.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20486343:20488679:-1 gene:DRNTG_12620 transcript:DRNTG_12620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIHSSMNDLTITKCIKKAPFDSYKDGVLDCLQHALAQAESDFLYMVKQEMDDRPDLVSIGSCVLVALLHQQDLFILSLGDSRAVLATIGDAENYNNEVLEAIQLTECHSVDNEIERMRVISEHPDDPKTIVNGKVKGKLKLTRAFGVGYLKKKNMNEALMGILRVSNLSSPPYISVEPSLKFHRVSTGDRFVLMASDGLFDFFSNAEAVELVSSFVISSPLGNPAEFIVEQLVLRASKKAGFTLEELMRVPSGMRRKYHDDVTVMVILLGGQQRMSTASTY >DRNTG_12620.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20486343:20489133:-1 gene:DRNTG_12620 transcript:DRNTG_12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIHSSMNDLTITKCIKKAPFDSYKDGVLDCLQHALAQAESDFLYMVKQEMDDRPDLVSIGSCVLVALLHQQDLFILSLGDSRAVLATIGDAENYNNEVLEAIQLTECHSVDNEIERMRVISEHPDDPKTIVNGKVKGKLKLTRAFGVGYLKKKNMNEALMGILRVSNLSSPPYISVEPSLKFHRVSTGDRFVLMASDGLFDFFSNAEAVELVSSFVISSPLGNPAEFIVEQLVLRASKKAGFTLEELMRVPSGMRRKYHDDVTVMVILLGGQQRMSTASTY >DRNTG_04143.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14046281:14048678:-1 gene:DRNTG_04143 transcript:DRNTG_04143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQPLRRCRTQIAATPRSSSRLVSSVRNSKEGCYLEEEDEEEGGGEEEGCLSHQPRQDRSSRYMDAGKAWEVFREMVSMGFVPNDVKYNTPIGELCRSGDIEDALELKRDMEKKGLIVDRLNPDVVVYTPLIFRFVKEVSVDEAFKIRDEMDAFGILPLFLEVVINEFCLFVETRLATEFLDDMIVKGWQLDAVICAKLISANWQEARLYKSGKIDRAQDYLSQMHEVGLLQNSWTYRALIHAHSKVGNVDKADVCLGNAFLQF >DRNTG_25683.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:959833:960590:-1 gene:DRNTG_25683 transcript:DRNTG_25683.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANHFDRHYCGKCGLTYVYQKAQGE >DRNTG_25683.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:959775:960590:-1 gene:DRNTG_25683 transcript:DRNTG_25683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHFDRHYCGKCGLTYVYQKAQGE >DRNTG_25683.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:959652:960464:-1 gene:DRNTG_25683 transcript:DRNTG_25683.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANHFDRHYCGKCGLTYVYQKAQGE >DRNTG_25683.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:959833:960464:-1 gene:DRNTG_25683 transcript:DRNTG_25683.5 gene_biotype:protein_coding transcript_biotype:protein_coding MANHFDRHYCGKCGLTYVYQKAQGE >DRNTG_25683.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:959775:960464:-1 gene:DRNTG_25683 transcript:DRNTG_25683.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANHFDRHYCGKCGLTYVYQKAQGE >DRNTG_26776.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3386998:3387256:1 gene:DRNTG_26776 transcript:DRNTG_26776.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSGIFVYLFHSSTVMMIEQQGLRQNATDTTRHHTHRERERERVAAEQARKQN >DRNTG_26776.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3384675:3387256:1 gene:DRNTG_26776 transcript:DRNTG_26776.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHLHLELLLETFSQLHSDDDRATRASSKRNRHHSPSHTQREREREGGGGASAEAKLEVLIQSSPILGQKNF >DRNTG_26776.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3384675:3387256:1 gene:DRNTG_26776 transcript:DRNTG_26776.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSIKLRGLKIFATFSQLHSDDDRATRASSKRNRHHSPSHTQREREREGGGGASAEAKLEVLIQSSPILGQKNF >DRNTG_26776.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3384675:3387256:1 gene:DRNTG_26776 transcript:DRNTG_26776.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHLHLELLLETFSQLHSDDDRATRASSKRNRHHSPSHTQREREREGGGGASAEAKLEVLIQSSPILGQKNF >DRNTG_32085.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:27538:31809:1 gene:DRNTG_32085 transcript:DRNTG_32085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAIHQFAQCITCHAWSPDHSMVAFCPNNNEVHIYKLVREKWEKLHVLYKHDQIVSAIDWSLRSNKIVTASHDRNSYVWNQEGSEWVPTLVILRLNRAALCVQWSPKENKFAVGSGAKTVCICYYEEENNWWVSKLIRKKHNSSVTGVAWHPNNILIATTSTDGKCRVFSTFIKGVDTRATGISSSNDAKFGEQIVQLDLSSTWTFGVKWSPSGNTLAYAGHNSMIYFVDDVGPSPSAQSVAFRDLPLRDVLFVSDRMAIGVGFDCNPMVFAADERGIWSFVRFLDERKIAPSSSKYSSQFSEAFGKLYGQPKHGMNNDTHDGSRHRGGAHENCITCIVPMITAGDTIVKRFSTSGLDGKIVTWELDNVTDALR >DRNTG_32085.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:27538:31809:1 gene:DRNTG_32085 transcript:DRNTG_32085.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAIHQFAQCITCHAWSPDHSMVAFCPNNNEVHIYKLVREKWEKLHVLYKHDQIVSAIDWSLRSNKIVTASHDRNSYVWNQEGSEWVPTLVILRLNRAALCVQWSPKENKFAVGSGAKTVCICYYEEENNWWVSKLIRKKHNSSVTGVAWHPNNILIATTSTDGKCRVFSTFIKGVDTRATGISSSNDAKFGEQIVQLDLSSTWTFGVKWSPSGNTLAYAGHNSMIYFVDDVGPSPSAQSVAFRDLPLRDVGPFCF >DRNTG_32800.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12337758:12344693:-1 gene:DRNTG_32800 transcript:DRNTG_32800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQIHHHGKPTRNHNTPLKAPCNFISKTHSLLHANIPKLEERSQESTRNRTRDGFLKLIIHSITQQP >DRNTG_32800.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12337758:12339474:-1 gene:DRNTG_32800 transcript:DRNTG_32800.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQIHHHGKPTRNHNTPLKAPCNFISKTHSLLHANIPKLEERSQESTRNRTRDGFLKLIIHSITQQP >DRNTG_32800.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12337758:12339474:-1 gene:DRNTG_32800 transcript:DRNTG_32800.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQIHHHGKPTRNHNTPLKAPCNFISKTHSLLHANIPKLEERSQESTRNRTRDGFLKLIIHSITQQP >DRNTG_32800.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12337758:12344693:-1 gene:DRNTG_32800 transcript:DRNTG_32800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQIHHHGKPTRNHNTPLKAPCNFISKTHSLLHANIPKLEERSQESTRNRTRDGFLKLIIHSITQQP >DRNTG_32800.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12337758:12339613:-1 gene:DRNTG_32800 transcript:DRNTG_32800.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFSPFSLNDAKTFQTNTSNDVIGSNNSHSKFMCSKNSPISLHPSSDTS >DRNTG_32800.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12337758:12339474:-1 gene:DRNTG_32800 transcript:DRNTG_32800.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQIHHHGKPTRNHNTPLKAPCNFISKTHSLLHANIPKLEERSQESTRNRTRDGFLKLIIHSITQQP >DRNTG_32800.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12337758:12339474:-1 gene:DRNTG_32800 transcript:DRNTG_32800.10 gene_biotype:protein_coding transcript_biotype:protein_coding KIQQNTTFQTNTSNDVIGSNNSHSKFMCSKNSPISLHPSSDTS >DRNTG_32800.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12337758:12339474:-1 gene:DRNTG_32800 transcript:DRNTG_32800.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQIHHHGKPTRNHNTPLKAPCNFISKTHSLLHANIPKLEERSQESTRNRTRDGFLKLIIHSITQQP >DRNTG_32800.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:12337758:12339474:-1 gene:DRNTG_32800 transcript:DRNTG_32800.11 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFSPFSLNDAKTFQTNTSNDVIGSNNSHSKFMCSKNSPISLHPSSDT >DRNTG_30831.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:534687:537949:1 gene:DRNTG_30831 transcript:DRNTG_30831.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMHGVLAGVRGPFTPSQWMELEHQALIYKYIDANAPIPSNLLIPIRRSLYQSGFPPFSAGSQRSNPLGWGSFHLGFTGNADPEPGRCRRTDGKKWRCSRDAVADGKYCERHMNRGRHRSRKHVEGQNGHAAKAMPIIAPSPSASAVPGGGSSNSLNISQQHTRNFQSSVADPSSAQFNRMLMSKENVNGGVPDSQGFSMLTSVNPKAMDNSFSISKQQNPFEGASSRTDFGLFSTDALLNTPRNSYSDHMELKDQQTQAHPLRHFFDDWPKNRSDRSTITWPEVEETHSNKTQLSISIPMSDFSSSSSSPNHEKLTLSPLKLSREFDPIPMGLSVGVLNEASQRQASWIPISWESSMGGPLGEVLTNTNNTTPKECSKNYSSSSLNLLTDGWDSSPRMVSSPTGVLQKTTFGSLSSSTGSSPRTESHKAHDEDLLGANLVHASTIPSL >DRNTG_30831.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:534687:537949:1 gene:DRNTG_30831 transcript:DRNTG_30831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGMVGMEGLVVGGSSEAAGSSSCGFFPSSLASSEVETRAQKGIFANGNGFFKHGRTCGLEGHDLRTLKMARTSSSASLLRSSFHDAGEHMLSFSSPKSDALLLTSEGTLPFYHLPSSSSASSSSSSSSSSSSSSTPAYFRTAGLSSGNTSLMNMHGVLAGVRGPFTPSQWMELEHQALIYKYIDANAPIPSNLLIPIRRSLYQSGFPPFSAGSQRSNPLGWGSFHLGFTGNADPEPGRCRRTDGKKWRCSRDAVADGKYCERHMNRGRHRSRKHVEGQNGHAAKAMPIIAPSPSASAVPGGGSSNSLNISQQHTRNFQSSVADPSSAQFNRMLMSKENVNGGVPDSQGFSMLTSVNPKAMDNSFSISKQQNPFEGASSRTDFGLFSTDALLNTPRNSYSDHMELKDQQTQAHPLRHFFDDWPKNRSDRSTITWPEVEETHSNKTQLSISIPMSDFSSSSSSPNHEKLTLSPLKLSREFDPIPMGLSVGVLNEASQRQASWIPISWESSMGGPLGEVLTNTNNTTPKECSKNYSSSSLNLLTDGWDSSPRMVSSPTGVLQKTTFGSLSSSTGSSPRTESHKAHDEDLLGANLVHASTIPSL >DRNTG_27026.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2524853:2526121:-1 gene:DRNTG_27026 transcript:DRNTG_27026.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 40 [Source:Projected from Arabidopsis thaliana (AT1G67100) UniProtKB/Swiss-Prot;Acc:Q9ZW96] MRTSCNGCRVLRKACNETCAIRPCLHWIKNPESQARATLFLAKFYGRAGLLNLLAAAPENMRPGVFRSLLYEACGRVVNPVHGSAGLLTGGSWQLCHEAVEAVLSGAPIKQLGGQLAEAVKIGEELSRVEEDGGSSVASMDSSHLSQTEPVEEDEVGLELSLGLEPPANRSQPDDTCRLGLRL >DRNTG_25144.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1821873:1831511:1 gene:DRNTG_25144 transcript:DRNTG_25144.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGNAAAAAAALYDHQGVGDAGDAVMARWLQSAGLQHLASPLASADQRMLPSLLMQGYGPQTVEEKQKLYKLLRNLNFNGESGSEPYTPTSQSFGGGGAADGFYSPELRGEFGAGLLDLHSMDDTELVPEHVLSEPFEPSPFIPARGSDNEFDAVTSRQHQGQADNNIRSAAIDKEIVPKDSNVAKIKVVVRKRPLNKKELARKEDDIVTVHENAYLTVHEPKVKVDLTAYVEKHEFCFDAVLDEHVSNDEVYRATVKPIIPTIFERTKATCFAYGQTGSGKTFTMKPLPLRAVEDIVHLLRQPYYRNQRFRLWLSYFEIYGGKLFDLLSERRKLCMREDGRQQVCIVGLQEFEVSDVQIVKEFIEKGNAARSTGSTGANEESSRSHAILQLAIKKHKEVKETKRHNENTESRDGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNSKKDPTLAPSSKESSTTSSIPLSVEPEDSYDQTQEMRVTETSRRNVENNLYSSTTESERYTSNTRSNYSSNGREESGPTSGSLLEREKADLKNNYGGPSSHKLYASSQATFGIQEEEKASKVSPPRRKTARDEKYEKQNNWPKKDTAPDLPAASHKQQQQQMYDTRSNNVSSRQYEQETTFEDGEIDAILEEEEALIAAHRKEIESTMEIVREEMNLLAEVDQPGSMIDNYVSQLSFVLSRKAAGLVSLQARLARFQHRLKEREILSRKKAPR >DRNTG_25144.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1821873:1831511:1 gene:DRNTG_25144 transcript:DRNTG_25144.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGNAAAAAAALYDHQGVGDAGDAVMARWLQSAGLQHLASPLASADQRMLPSLLMQGYGPQTVEEKQKLYKLLRNLNFNGESGSEPYTPTSQSFGGGGAADGFYSPELRGEFGAGLLDLHSMDDTELVPEHVLSEPFEPSPFIPARGSDNEFDAVTSRQHQGQADNNIRSAAIDKEIVPKDSNVAKIKVVVRKRPLNKKELARKEDDIVTVHENAYLTVHEPKVKVDLTAYVEKHEFCFDAVLDEHVSNDEVYRATVKPIIPTIFERTKATCFAYGQTGSGKTFTMKPLPLRAVEDIVHLLRQPYYRNQRFRLWLSYFEIYGGKLFDLLSERRKLCMREDGRQQVCIVGLQEFEVSDVQIVKEFIEKGNAARSTGSTGANEESSRSHAILQLAIKKHKEVKETKRHNENTESRDGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNSKKDPTLAPSSKESSTTSSIPLSVEPEDSYDQTQEMRVTETSRRNVENNLYSSTTESERYTSNTRSNYSSNGREESGPTSGSLLEREKADLKNNYGGPSSHKLYASSQATFGIQEEEKASKVSPPRRKTARDEKYEKQNNWPKKDTAPDLPAASHKQQQQQMYDTRSNNVSSRQYEQETTFEDGEIDAILEEEEALIAAHRKEIESTMEIVREEMNLLAEVDQPGSMIDNYVSQLSFVLSRKAAGLVSLQARLARFQHRLKEREILSRKKAPR >DRNTG_25144.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1821873:1831511:1 gene:DRNTG_25144 transcript:DRNTG_25144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGNAAAAAAALYDHQGVGDAGDAVMARWLQSAGLQHLASPLASADQRMLPSLLMQGYGPQTVEEKQKLYKLLRNLNFNGESGSEPYTPTSQSFGGGGAADGFYSPELRGEFGAGLLDLHSMDDTELVPEHVLSEPFEPSPFIPARGSDNEFDAVTSRQHQGQADNNIRSAAIDKEIVPKDSNVAKIKVVVRKRPLNKKELARKEDDIVTVHENAYLTVHEPKVKVDLTAYVEKHEFCFDAVLDEHVSNDEVYRATVKPIIPTIFERTKATCFAYGQTGSGKTFTMKPLPLRAVEDIVHLLRQPYYRNQRFRLWLSYFEIYGGKLFDLLSERRKLCMREDGRQQVCIVGLQEFEVSDVQIVKEFIEKGNAARSTGSTGANEESSRSHAILQLAIKKHKEVKETKRHNENTESRDGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNSKKDPTLAPSSKESSTTSSIPLSVEPEDSYDQTQEMRVTETSRRNVENNLYSSTTESERYTSNTRSNYSSNGREESGPTSGSLLEREKADLKNNYGGPSSHKLYASSQATFGIQEEEKASKVSPPRRKTARDEKYEKQNNWPKKDTAPDLPAASHKQQQQQMYDTRSNNVSSRQYEQETTFEDGEIDAILEEEEALIAAHRKEIESTMEIVREEMNLLAEVDQPGSMIDNYVSQLSFVLSRKAAGLVSLQARLARFQHRLKEREILSRKKAPR >DRNTG_09020.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31234880:31239479:-1 gene:DRNTG_09020 transcript:DRNTG_09020.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomethylpyrimidine synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G29630) UniProtKB/Swiss-Prot;Acc:O82392] MACINSTLTCALKNGIYLSSHRGSFLPGFERLPTLRNSGPRATLTTSSTDDDDGDDSTTSKVKDRRRHISHTVDPDSPAFLPLPSFQECFPKSTKEYSEIVHEPTGHVLQVPFRRIHLSGEDRYFDTYDTSGPQNIDPRLGLPKIRKDWVDKRENFGGPRCTQMFYAKQGLITEEMLFCATREKLDPEFVRSEIARGRAIIPSNKKHLELEPMLVGRNFLVKVNANIGNSAVTSSIEEEVHKLQWATMWGADTVMDLSTGRHIHETREWILRNSGVPVGTVPIYQALEKVNGIAENLNWDIFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNKYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVISYKIAAHAADLAKGHPHAQAWDDALSKARFEFRWMDQFALSLDPMTAMAFHDETLPSEGAKLAHFCSMCGPKFCSMKITEDVRRYAEEHGYGTAEEAVQRGMDAMSAEFLAAKKTVSGEQHGEVGGEIYLPENYILRK >DRNTG_09020.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31234880:31239479:-1 gene:DRNTG_09020 transcript:DRNTG_09020.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomethylpyrimidine synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G29630) UniProtKB/Swiss-Prot;Acc:O82392] MACINSTLTCALKNGIYLSSHRGSFLPGFERLPTLRNSGPRATLTTSSTDDDDGDDSTTSKVKDRRRHISHTVDPDSPAFLPLPSFQECFPKSTKEYSEIVHEPTGHVLQVPFRRIHLSGEDRYFDTYDTSGPQNIDPRLGLPKIRKDWVDKRENFGGPRCTQMFYAKQGLITEEMLFCATREKLDPEFVRSEIARGRAIIPSNKKHLELEPMLVGRNFLVKVNANIGNSAVTSSIEEEVHKLQWATMWGADTVMDLSTGRHIHETREWILRNSGVPVGTVPIYQALEKVNGIAENLNWDIFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNKYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVISYKIAAHAADLAKGHPHAQAWDDALSKARFEFRWMDQFALSLDPMTAMAFHDETLPSEGAKLAHFCSMCGPKFCSMKITEDVRRYAEEHGYGTAEEAVQRGMDAMSAEFLAAKKTVSGEQHGEVGGEIYLPENYILRK >DRNTG_09020.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31234880:31239479:-1 gene:DRNTG_09020 transcript:DRNTG_09020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomethylpyrimidine synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G29630) UniProtKB/Swiss-Prot;Acc:O82392] MACINSTLTCALKNGIYLSSHRGSFLPGFERLPTLRNSGPRATLTTSSTDDDDGDDSTTSKVKDRRRHISHTVDPDSPAFLPLPSFQECFPKSTKEYSEIVHEPTGHVLQVPFRRIHLSGEDRYFDTYDTSGPQNIDPRLGLPKIRKDWVDKRENFGGPRCTQMFYAKQGLITEEMLFCATREKLDPEFVRSEIARGRAIIPSNKKHLELEPMLVGRNFLVKVNANIGNSAVTSSIEEEVHKLQWATMWGADTVMDLSTGRHIHETREWILRNSGVPVGTVPIYQALEKVNGIAENLNWDIFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNKYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVISYKIAAHAADLAKGHPHAQAWDDALSKARFEFRWMDQFALSLDPMTAMAFHDETLPSEGAKLAHFCSMCGPKFCSMKITEDVRRYAEEHGYGTAEEAVQRGMDAMSAEFLAAKKTVSGEQHGEVGGEIYLPENYILRK >DRNTG_09020.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31234880:31239479:-1 gene:DRNTG_09020 transcript:DRNTG_09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomethylpyrimidine synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G29630) UniProtKB/Swiss-Prot;Acc:O82392] MACINSTLTCALKNGIYLSSHRGSFLPGFERLPTLRNSGPRATLTTSSTDDDDGDDSTTSKVKDRRRHISHTVDPDSPAFLPLPSFQECFPKSTKEYSEIVHEPTGHVLQVPFRRIHLSGEDRYFDTYDTSGPQNIDPRLGLPKIRKDWVDKRENFGGPRCTQMFYAKQGLITEEMLFCATREKLDPEFVRSEIARGRAIIPSNKKHLELEPMLVGRNFLVKVNANIGNSAVTSSIEEEVHKLQWATMWGADTVMDLSTGRHIHETREWILRNSGVPVGTVPIYQALEKVNGIAENLNWDIFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNKYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVISYKIAAHAADLAKGHPHAQAWDDALSKARFEFRWMDQFALSLDPMTAMAFHDETLPSEGAKLAHFCSMCGPKFCSMKITEDVRRYAEEHGYGTAEEAVQRGMDAMSAEFLAAKKTVSGEQHGEVGGEIYLPENYILRK >DRNTG_09020.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31234880:31239479:-1 gene:DRNTG_09020 transcript:DRNTG_09020.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomethylpyrimidine synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G29630) UniProtKB/Swiss-Prot;Acc:O82392] MACINSTLTCALKNGIYLSSHRGSFLPGFERLPTLRNSGPRATLTTSSTDDDDGDDSTTSKVKDRRRHISHTVDPDSPAFLPLPSFQECFPKSTKEYSEIVHEPTGHVLQVPFRRIHLSGEDRYFDTYDTSGPQNIDPRLGLPKIRKDWVDKRENFGGPRCTQMFYAKQGLITEEMLFCATREKLDPEFVRSEIARGRAIIPSNKKHLELEPMLVGRNFLVKVNANIGNSAVTSSIEEEVHKLQWATMWGADTVMDLSTGRHIHETREWILRNSGVPVGTVPIYQALEKVNGIAENLNWDIFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNKYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVISYKIAAHAADLAKGHPHAQAWDDALSKARFEFRWMDQFALSLDPMTAMAFHDETLPSEGAKLAHFCSMCGPKFCSMKITEDVRRYAEEHGYGTAEEAVQRGMDAMSAEFLAAKKTVSGEQHGEVGGEIYLPENYILRK >DRNTG_24759.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2186407:2192423:-1 gene:DRNTG_24759 transcript:DRNTG_24759.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYHVDADKQESLLPLAEDAFHPENDPQMDFSSYYRQTKFSKMKAVNEDGPKSLKRASTSENIALEEETHTNRKTDKWSSPDGQFTELAGSISPDPHARRNRSTSVSPKRCWSISNRSLSRSPSRVSTFGSKRWENRGRTREGLIIHDRDFPDCKGGSKRANWDGMTAVQTTRRSGITNKEIKGLVPALDQSDDLGRSISPGLESWRRHTYNINPKHGCSRSERNFISNKDGAKMSNWETASGDQTTNEDGYSRRHGGVWGAALDRFEELDQSISVDHQRWRRRSHSISPKHSWRIRKRSRSPSPPLGLKSEMESWNTRGRTSTGGSAAAYRDFATEKLAKGSHLRELGEDDARRHSDNASREFGEGRFERGRYLRYHSRENFDDLLEQKDFSRDRLLQREPSHHDGNREKHEHHQNNRSTDHHYISTKERRLRVSTCNYDHYDVNSHSQWSMRDGARERNYDRRDADSPFRQRLKSRRVSDTPCKYFAVGHCRRGEDCKFSHQPSHGHPKGRQQDDKQDYNMRTETAPSLSGSERNNKLSTMDVHISSHGMHNGNDSRMSNSSSMDDELLRKSDAQFKASQSPEQDITHDTFERYDSAIHADMMSKNTHMEQIISNKEQTSSKGGYVVGDIARSKTEMDFVTTPFPAVSFTEKCLSRSRSEQQLDPVLHSFASNAQDMKGDILSPSGKTVQETVCSIVPNGDFQLGIGQSLSPTNQQMHFASQVGHSEQIHLSPVSLRQHAPEGQCDQQMDSAAQVGYSELIQQSPVSHENGLSQHVPKGQCNQQIQQSPVSDKNGPSQSIANPVVPQGQSNQIFSRDHLNIQPILISDDESDQQAGDSNEITSQVTSGTSASQVVVITDEVAHVTNLLLSPSQVCQQPSQPDAILTPIGSAGSTLSQIASKPSMVKLPSPPLVSSLDGSQGFVAPHHNQTPASNSYEDAHLDDNENRQNKILELHHNQTQVSDNCYKGAHSDENENRQNGKLELKQVEHVEEVTVSIKSSHSDENENGQNGKSELKQVEEVTVSIKSQNEKVHVPPTEEVDHDAQIDGENKNTKEINGMKMFKFALVEFVKDQLKPTWKEGHLSREAHKAIVKKVVDKVTDSVKGPNFPQTQHKINQYMEHSKSKLTKLVQAYVARSIKS >DRNTG_24759.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2186407:2192423:-1 gene:DRNTG_24759 transcript:DRNTG_24759.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYHVDADKQESLLPLAEDAFHPENDPQMDFSSYYRQTKFSKMKAVNEDGPKSLKRASTSENIALEEETHTNRKTDKWSSPDGQFTELAGSISPDPHARRNRSTSVSPKRCWSISNRSLSRSPSRVSTFGSKRWENRGRTREGLIIHDRDFPDCKGGSKRANWDGMTAVQTTRRSGITNKEIKGLVPALDQSDDLGRSISPGLESWRRHTYNINPKHGCSRSERNFISNKDGAKMSNWETASGDQTTNEDGYSRRHGGVWGAALDRFEELDQSISVDHQRWRRRSHSISPKHSWRIRKRSRSPSPPLGLKSEMESWNTRGRTSTGGSAAAYRDFATEKLAKGSHLRELGEDDARRHSDNASREFGEGRFERGRYLRYHSRENFDDLLEQKDFSRDRLLQREPSHHDGNREKHEHHQNNRSTDHHYISTKERRLRVSTCNYDHYDVNSHSQWSMRDGARERNYDRRDADSPFRQRLKSRRVSDTPCKYFAVGHCRRGEDCKFSHQPSHGHPKGRQQDDKQDYNMRTETAPSLSGSERNNKLSTMDVHISSHGMHNGNDSRMSNSSSMDDELLRKSDAQFKASQSPEQDITHDTFERYDSAIHADMMSKNTHMEQIISNKEQTSSKGGYVVGDIARSKTEMDFVTTPFPAVSFTEKCLSRSRSEQQLDPVLHSFASNAQDMKGDILSPSGKTVQETVCSIVPNGDFQLGIGQSLSPTNQQMHFASQVGHSEQIHLSPVSLRQHAPEGQCDQQMDSAAQVGYSELIQQSPVSHENGLSQHVPKGQCNQQIQQSPVSDKNGPSQSIANPVVPQGQSNQIFSRDHLNIQPILISDDESDQQAGDSNEITSQVTSGTSASQVVVITDEVAHVTNLLLSPSQVCQQPSQPDAILTPIGSAGSTLSQIASKPSMVKLPSPPLVSSLDGSQGFVAPHHNQTPASNSYEDAHLDDNENRQNKILELHHNQTQVSDNCYKGAHSDENENRQNGKLELKQVEHVEEVTVSIKSSHSDENENGQNGKSELKQVEEVTVSIKSQNEKVHVPPTEEVDHDAQIDGENKNTKEINGMKMFKFALVEFVKDQLKPTWKEGHLSREAHKAIVKKVVDKVTDSVKGPNFPQTQHKINQYMEHSKSKLTKLVQAYVARSIKS >DRNTG_24759.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2186407:2192423:-1 gene:DRNTG_24759 transcript:DRNTG_24759.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYHVDADKQESLLPLAEDAFHPENDPQMDFSSYYRQTKFSKMKAVNEDGPKSLKRASTSENIALEEETHTNRKTDKWSSPDGQFTELAGSISPDPHARRNRSTSVSPKRCWSISNRSLSRSPSRVSTFGSKRWENRGRTREGLIIHDRDFPDCKGGSKRANWDGMTAVQTTRRSGITNKEIKGLVPALDQSDDLGRSISPGLESWRRHTYNINPKHGCSRSERNFISNKDGAKMSNWETASGDQTTNEDGYSRRHGGVWGAALDRFEELDQSISVDHQRWRRRSHSISPKHSWRIRKRSRSPSPPLGLKSEMESWNTRGRTSTGGSAAAYRDFATEKLAKGSHLRELGEDDARRHSDNASREFGEGRFERGRYLRYHSRENFDDLLEQKDFSRDRLLQREPSHHDGNREKHEHHQNNRSTDHHYISTKERRLRVSTCNYDHYDVNSHSQWSMRDGARERNYDRRDADSPFRQRLKSRRVSDTPCKYFAVGHCRRGEDCKFSHQPSHGHPKGRQQDDKQDYNMRTETAPSLSGSERNNKLSTMDVHISSHGMHNGNDSRMSNSSSMDDELLRKSDAQFKASQSPEQDITHDTFERYDSAIHADMMSKNTHMEQIISNKEQTSSKGGYVVGDIARSKTEMDFVTTPFPAVSFTEKCLSRSRSEQQLDPVLHSFASNAQDMKGDILSPSGKTVQETVCSIVPNGDFQLGIGQSLSPTNQQMHFASQVGHSEQIHLSPVSLRQHAPEGQCDQQMDSAAQVGYSELIQQSPVSHENGLSQHVPKGQCNQQIQQSPVSDKNGPSQSIANPVVPQGQSNQIFSRDHLNIQPILISDDESDQQAGDSNEITSQVTSGTSASQVVVITDEVAHVTNLLLSPSQVCQQPSQPDAILTPIGSAGSTLSQIASKPSMVKLPSPPLVSSLDGSQGFVAPHHNQTPASNSYEDAHLDDNENRQNKILELHHNQTQVSDNCYKGAHSDENENRQNGKLELKQVEHVEEVTVSIKSSHSDENENGQNGKSELKQVEEVTVSIKSQNEKVHVPPTEEVDHDAQIDGENKNTKEINGMKMFKFALVEFVKDQLKPTWKEGHLSREAHKAIVKKVVDKVTDSVKGPNFPQTQHKINQYMEHSKSKLTKLVQAYVARSIKS >DRNTG_24759.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2186407:2191671:-1 gene:DRNTG_24759 transcript:DRNTG_24759.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSNWETASGDQTTNEDGYSRRHGGVWGAALDRFEELDQSISVDHQRWRRRSHSISPKHSWRIRKRSRSPSPPLGLKSEMESWNTRGRTSTGGSAAAYRDFATEKLAKGSHLRELGEDDARRHSDNASREFGEGRFERGRYLRYHSRENFDDLLEQKDFSRDRLLQREPSHHDGNREKHEHHQNNRSTDHHYISTKERRLRVSTCNYDHYDVNSHSQWSMRDGARERNYDRRDADSPFRQRLKSRRVSDTPCKYFAVGHCRRGEDCKFSHQPSHGHPKGRQQDDKQDYNMRTETAPSLSGSERNNKLSTMDVHISSHGMHNGNDSRMSNSSSMDDELLRKSDAQFKASQSPEQDITHDTFERYDSAIHADMMSKNTHMEQIISNKEQTSSKGGYVVGDIARSKTEMDFVTTPFPAVSFTEKCLSRSRSEQQLDPVLHSFASNAQDMKGDILSPSGKTVQETVCSIVPNGDFQLGIGQSLSPTNQQMHFASQVGHSEQIHLSPVSLRQHAPEGQCDQQMDSAAQVGYSELIQQSPVSHENGLSQHVPKGQCNQQIQQSPVSDKNGPSQSIANPVVPQGQSNQIFSRDHLNIQPILISDDESDQQAGDSNEITSQVTSGTSASQVVVITDEVAHVTNLLLSPSQVCQQPSQPDAILTPIGSAGSTLSQIASKPSMVKLPSPPLVSSLDGSQGFVAPHHNQTPASNSYEDAHLDDNENRQNKILELHHNQTQVSDNCYKGAHSDENENRQNGKLELKQVEHVEEVTVSIKSSHSDENENGQNGKSELKQVEEVTVSIKSQNEKVHVPPTEEVDHDAQIDGENKNTKEINGMKMFKFALVEFVKDQLKPTWKEGHLSREAHKAIVKKVVDKVTDSVKGPNFPQTQHKINQYMEHSKSKLTKLVQAYVARSIKS >DRNTG_29800.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:8183862:8186132:-1 gene:DRNTG_29800 transcript:DRNTG_29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSISRPREYENLPIDMSGLSPIEAYKLLCGKGRYEPGVSKASCLSRPSYRYLHAIISRSVNGRGDSTGVINKQELMYLYSMIRNEPVHLGHILLEYLKHQGQYPKLGVIFSGLYITRLILGMGLRDKISGTEKTIILAPLGLETMRLMGLVRKYPNGAYVLNIPFDDEAGASQAAPEPQPTLMETETPLVAEEPPPVRMFSPSRAHDRFERLENAVGVVRAEVTVIRATQATQYTEFMARFDILQQILERDFASSFVLRSRTPQAPSVSLASPSSTPASVDPSCASSPAAASAPEPEGDTDI >DRNTG_26626.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:373632:374254:1 gene:DRNTG_26626 transcript:DRNTG_26626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFMFFLILQTLDSESAAAPKANCQIKLNSTLSTNSSWLSPSGRFAFGFYLEGSDGFKVGIQFIELPNKTTVIWTSHRDDSPVSKNATLMFGEGGLKLLLATSQGEDQNIVNLGQTSVYCASMLDSGNFVIYDNNNTD >DRNTG_22299.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:909898:910819:1 gene:DRNTG_22299 transcript:DRNTG_22299.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHVQKKPIQGPEEAAKCTMGAQPDHEAHDNCEIYTSYYKEINFLVS >DRNTG_00056.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21736326:21736978:-1 gene:DRNTG_00056 transcript:DRNTG_00056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSLSKDAELGYGAGHLNPIAAVDPGLIYDAYEADYVKMLCGQGYSNKNLSLVTGDNTTCSSTNNGTVWDLNYPSFALSAKLGKNFSQSFNRMVTNVGFANSTYKATVSTPSELNVSVEPDIISFKSQGEKQSFVVTISGQTSKSLLSASLVWDDGVHHVKSPIVVLLVRNT >DRNTG_12088.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14092460:14093585:-1 gene:DRNTG_12088 transcript:DRNTG_12088.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPFGERLRNFPHNDDWAIGGSMSSTTTGPIGHGH >DRNTG_18803.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:394909:401743:-1 gene:DRNTG_18803 transcript:DRNTG_18803.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVGIFKIVVDILLGQLADEYGRKPLLLLTLPASIVPFGIALLSDLFISVITTSGLLYLYLKSNHVVACWLNYTIFSAVINYSN >DRNTG_34608.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23247188:23249385:1 gene:DRNTG_34608 transcript:DRNTG_34608.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPTSSSTTPFLLLQQIFSSQTTTTSTTSTITTTVCLVFPVSLYQHKPHRISNQDNKEEKEGEKPKSSKNKEEAETQRMTHIAVERNRRRQMNEHLSVLRSLMPDCYIQRGDQASIVGGAIDFVKELEQLLQSLEAQKRKVLQEQELEESKNKSELDLPPFSDFFTYPQYIRFHAPRDYFPSPPSPETHHHHRTPALADIEVTLIESHANLRILSPRRPRQLVKLVAGLQALSLTILHLNVTSLDFMVLYSLSTKVEEGCVLTTIEEIAAAVHHLLSLIEAVTPAHSQ >DRNTG_17103.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000872.1:6280:10153:-1 gene:DRNTG_17103 transcript:DRNTG_17103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISYALLFKIGGANPFRLDAVLLFSPKSSSILNLDSNVGHLRFLVPPQFIKRSCFLNLTPLIPSVRVDLYPIPKTFVCLSCVGIFLPFLDTKKLTGAKDLELLGFKMVNLTLNTSTPLLMVGATKILSLESVPMVLGSKNHFNKTEKPRCEDKVNPRAKPYKFSNQRLQELGLEYTPIKKSLYETVKSLKENGHLPPPHVFFAPSRITASL >DRNTG_05387.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000240.1:87636:88104:1 gene:DRNTG_05387 transcript:DRNTG_05387.1 gene_biotype:protein_coding transcript_biotype:protein_coding CNEVIVLTSVLASASGSSTQNERPELPCGDVNADTDSRNVDM >DRNTG_29778.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001508.1:9877:10906:1 gene:DRNTG_29778 transcript:DRNTG_29778.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPGKQIQSNAYRSHFKFYKVKPGVHYHRVSLLQTSFQFPAFHCSVAAAASTAVRSQPEEELQPLITLSIDLRAIRKPERKREKESRGLRGRSVISERFHK >DRNTG_26145.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20055218:20055853:1 gene:DRNTG_26145 transcript:DRNTG_26145.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKNSSLSFFSESTLLLSSSHSLELGGEGSSKGKEIANP >DRNTG_32409.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1879006:1887949:-1 gene:DRNTG_32409 transcript:DRNTG_32409.6 gene_biotype:protein_coding transcript_biotype:protein_coding MYDAEDIIDLCMIQGMGLLQDDHHHTQLAESSAAASTRVRCCNFPLFSCVRSVPFRYEIADKIKSLNDRLSEISDDKDKFHFIISSKSSDDSYVMNEASYWQSSFLPESDIVGWDIIDATNSLVELLVSQHQQKCRLFAIVGMGGIGKTTLAQLIYNDSKINDDFVLHSWICVSKFYTSKTDLLKELIKNVGGTCGEATTTTELQKILCDVLHGKSLFLVLDDVWDADVWINLIKKAVERTTKCGVVVTTRDRNTAIKMKAIHIHNVNKLPLNFGWELLCKKVFTNNDEGEIQRMKDIGMQIVEKCDGLPVAIKAIAGVLITTEQNKREWENILNSDAWTITGLPEELRGALYLSYDALPSALKHCFLYCSFNPPDRSLFYEDLVCEWIAEGFIEANGDASMEDVAKGYYMELIRRSFLQPDPNYANMYKCTMHDLLRALAIFLIGGENLSGDPQASQSNGSMIKLRRLTISSNRESVSIPRLDCLRNLRLWTPPSLDTQIIGSFKQLRVLVLNGVGIKNIPNNIGDLVHLRLLDLDDTRICKLPDSVGSLINLQFLSLADCEALDILPRSITSLCNLRRLYLNNTPVNYVPKGMGKLEHLNHLAGFIVEDADGDNGEGCSMEDLQMLKNLRHLQIDKLEKASKSTAVLLNKSHLKTVVLCCTPNVGGCNQQKMDRIVQVFDELCPPKCLDRLQILNYFGEKYPQWMSSNSISTALLELTSLQLINCYNFPQLPQLGQLPQLKYLKIQGATSVISIGCEFLGNGKLAASAFPKLEYLILWNMTNWEQWSLVSGEEDKKIDSSKLIHFPCLQLISIDGCPKLKAFPRGLNHVPKLYLVGAHSLSRISDLPALRELKVRDCPMLDCVEKLESLQSLKISEHVDNLPNWLISFLQQCEKHHANRFHLHLTCSAQALKGCLKGHTYWCFLQQVPRLEAYAENESMYLKYTKEPYSYQTNLDEDTIDED >DRNTG_32409.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1849974:1887949:-1 gene:DRNTG_32409 transcript:DRNTG_32409.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDAEDIIDLCMIQGMGLLQDDHHHTQLAESSAAASTRVRCCNFPLFSCVRSVPFRYEIADKIKSLNDRLSEISDDKDKFHFIISSKSSDDSYVMNEASYWQSSFLPESDIVGWDIIDATNSLVELLVSQHQQKCRLFAIVGMGGIGKTTLAQLIYNDSKINDDFVLHSWICVSKFYTSKTDLLKELIKNVGGTCGEATTTTELQKILCDVLHGKSLFLVLDDVWDADVWINLIKKAVERTTKCGVVVTTRDRNTAIKMKAIHIHNVNKLPLNFGWELLCKKVFTNNDEGEIQRMKDIGMQIVEKCDGLPVAIKAIAGVLITTEQNKREWENILNSDAWTITGLPEELRGALYLSYDALPSALKHCFLYCSFNPPDRSLFYEDLVCEWIAEGFIEANGDASMEDVAKGYYMELIRRSFLQPDPNYANMYKCTMHDLLRALAIFLIGGENLSGDPQASQSNGSMIKLRRLTISSNRESVSIPRLDCLRNLRLWTPPSLDTQIIGSFKQLRVLVLNGVGIKNIPNNIGDLVHLRLLDLDDTRICKLPDSVGSLINLQFLSLADCEALDILPRSITSLCNLRRLYLNNTPVNYVPKGMGKLEHLNHLAGFIVEDADGDNGEGCSMEDLQMLKNLRHLQIDKLEKASKSTAVLLNKSHLKTVVLCCTPNVGGCNQQKMDRIVQVFDELCPPKCLDRLQILNYFGEKYPQWMSSNSISTALLELTSLQLINCYNFPQLPQLGQLPQLKYLKIQGATSVISIGCEFLGNGKLAASAFPKLEYLILWNMTNWEQWSLVSGEEDKKIDSSKLIHFPCLQLISIDGCPKLKAFPRGLNHVPKLYLVGAHSLSRISDLPALRELKVRDCPMLDCVEKLESLQSLKISEHVDNLPNWLISFLQQCEKHHANRFHLHLTCSAQALKGCLKGHTYWCFLQQVPRLEAYAENESMYLKYTKEPYSYQTNLDEDTIDED >DRNTG_32409.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1879006:1887949:-1 gene:DRNTG_32409 transcript:DRNTG_32409.8 gene_biotype:protein_coding transcript_biotype:protein_coding MYDAEDIIDLCMIQGMGLLQDDHHHTQLAESSAAASTRVRCCNFPLFSCVRSVPFRYEIADKIKSLNDRLSEISDDKDKFHFIISSKSSDDSYVMNEASYWQSSFLPESDIVGWDIIDATNSLVELLVSQHQQKCRLFAIVGMGGIGKTTLAQLIYNDSKINDDFVLHSWICVSKFYTSKTDLLKELIKNVGGTCGEATTTTELQKILCDVLHGKSLFLVLDDVWDADVWINLIKKAVERTTKCGVVVTTRDRNTAIKMKAIHIHNVNKLPLNFGWELLCKKVFTNNDEGEIQRMKDIGMQIVEKCDGLPVAIKAIAGVLITTEQNKREWENILNSDAWTITGLPEELRGALYLSYDALPSALKHCFLYCSFNPPDRSLFYEDLVCEWIAEGFIEANGDASMEDVAKGYYMELIRRSFLQPDPNYANMYKCTMHDLLRALAIFLIGGENLSGDPQASQSNGSMIKLRRLTISSNRESVSIPRLDCLRNLRLWTPPSLDTQIIGSFKQLRVLVLNGVGIKNIPNNIGDLVHLRLLDLDDTRICKLPDSVGSLINLQFLSLADCEALDILPRSITSLCNLRRLYLNNTPVNYVPKGMGKLEHLNHLAGFIVEDADGDNGEGCSMEDLQMLKNLRHLQIDKLEKASKSTAVLLNKSHLKTVVLCCTPNVGGCNQQKMDRIVQVFDELCPPKCLDRLQILNYFGEKYPQWMSSNSISTALLELTSLQLINCYNFPQLPQLGQLPQLKYLKIQGATSVISIGCEFLGNGKLAASAFPKLEYLILWNMTNWEQWSLVSGEEDKKIDSSKLIHFPCLQLISIDGCPKLKAFPRGLNHVPKLYLVGAHSLSRISDLPALRELKVRDCPMLDCVEKLESLQSLKISEHVDNLPNWLISFLQQCEKHHANRFHLHLTCSAQALKGCLKGHTYWCFLQQVPRLEAYAENESMYLKYTKEPYSYQTNLDEDTIDED >DRNTG_32409.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1879006:1887949:-1 gene:DRNTG_32409 transcript:DRNTG_32409.7 gene_biotype:protein_coding transcript_biotype:protein_coding MYDAEDIIDLCMIQGMGLLQDDHHHTQLAESSAAASTRVRCCNFPLFSCVRSVPFRYEIADKIKSLNDRLSEISDDKDKFHFIISSKSSDDSYVMNEASYWQSSFLPESDIVGWDIIDATNSLVELLVSQHQQKCRLFAIVGMGGIGKTTLAQLIYNDSKINDDFVLHSWICVSKFYTSKTDLLKELIKNVGGTCGEATTTTELQKILCDVLHGKSLFLVLDDVWDADVWINLIKKAVERTTKCGVVVTTRDRNTAIKMKAIHIHNVNKLPLNFGWELLCKKVFTNNDEGEIQRMKDIGMQIVEKCDGLPVAIKAIAGVLITTEQNKREWENILNSDAWTITGLPEELRGALYLSYDALPSALKHCFLYCSFNPPDRSLFYEDLVCEWIAEGFIEANGDASMEDVAKGYYMELIRRSFLQPDPNYANMYKCTMHDLLRALAIFLIGGENLSGDPQASQSNGSMIKLRRLTISSNRESVSIPRLDCLRNLRLWTPPSLDTQIIGSFKQLRVLVLNGVGIKNIPNNIGDLVHLRLLDLDDTRICKLPDSVGSLINLQFLSLADCEALDILPRSITSLCNLRRLYLNNTPVNYVPKGMGKLEHLNHLAGFIVEDADGDNGEGCSMEDLQMLKNLRHLQIDKLEKASKSTAVLLNKSHLKTVVLCCTPNVGGCNQQKMDRIVQVFDELCPPKCLDRLQILNYFGEKYPQWMSSNSISTALLELTSLQLINCYNFPQLPQLGQLPQLKYLKIQGATSVISIGCEFLGNGKLAASAFPKLEYLILWNMTNWEQWSLVSGEEDKKIDSSKLIHFPCLQLISIDGCPKLKAFPRGLNHVPKLYLVGAHSLSRISDLPALRELKVRDCPMLDCVEKLESLQSLKISEHVDNLPNWLISFLQQCEKHHANRFHLHLTCSAQALKGCLKGHTYWCFLQQVPRLEAYAENESMYLKYTKEPYSYQTNLDEDTIDED >DRNTG_32409.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1849974:1887949:-1 gene:DRNTG_32409 transcript:DRNTG_32409.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDAEDIIDLCMIQGMGLLQDDHHHTQLAESSAAASTRVRCCNFPLFSCVRSVPFRYEIADKIKSLNDRLSEISDDKDKFHFIISSKSSDDSYVMNEASYWQSSFLPESDIVGWDIIDATNSLVELLVSQHQQKCRLFAIVGMGGIGKTTLAQLIYNDSKINDDFVLHSWICVSKFYTSKTDLLKELIKNVGGTCGEATTTTELQKILCDVLHGKSLFLVLDDVWDADVWINLIKKAVERTTKCGVVVTTRDRNTAIKMKAIHIHNVNKLPLNFGWELLCKKVFTNNDEGEIQRMKDIGMQIVEKCDGLPVAIKAIAGVLITTEQNKREWENILNSDAWTITGLPEELRGALYLSYDALPSALKHCFLYCSFNPPDRSLFYEDLVCEWIAEGFIEANGDASMEDVAKGYYMELIRRSFLQPDPNYANMYKCTMHDLLRALAIFLIGGENLSGDPQASQSNGSMIKLRRLTISSNRESVSIPRLDCLRNLRLWTPPSLDTQIIGSFKQLRVLVLNGVGIKNIPNNIGDLVHLRLLDLDDTRICKLPDSVGSLINLQFLSLADCEALDILPRSITSLCNLRRLYLNNTPVNYVPKGMGKLEHLNHLAGFIVEDADGDNGEGCSMEDLQMLKNLRHLQIDKLEKASKSTAVLLNKSHLKTVVLCCTPNVGGCNQQKMDRIVQVFDELCPPKCLDRLQILNYFGEKYPQWMSSNSISTALLELTSLQLINCYNFPQLPQLGQLPQLKYLKIQGATSVISIGCEFLGNGKLAASAFPKLEYLILWNMTNWEQWSLVSGEEDKKIDSSKLIHFPCLQLISIDGCPKLKAFPRGLNHVPKLYLVGAHSLSRISDLPALRELKVRDCPMLDCVEKLESLQSLKISEHVDNLPNWLISFLQQCEKHHANRFHLHLTCSAQALKGCLKGHTYWCFLQQVPRLEAYAENESMYLKYTKEPYSYQTNLDEDTIDED >DRNTG_17582.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3530466:3532437:1 gene:DRNTG_17582 transcript:DRNTG_17582.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIYMSYVQEIVWCRRTDELVDGPNASNMNNYVLDRWEERLEQIFEGRPYDMLDAALTDTVIKFPIDIKPFKDMIEGMRMDLKKSRYENFQELYLYCYYVAGTVGLMSVPVMGIDPKYSHGSIDKIYNAAVLLGIGNQLTNILRDVGEDALRGRVYLPQDELAQFGLSDADVFARKVNDRWREFMREQINRARFYFNQAEQGASKLNEASRWPVWASMLLYRKILDEIEENDYDNLTKRAYVGRTKKILMLPWALARATSFSNLVLQ >DRNTG_17582.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3530466:3532437:1 gene:DRNTG_17582 transcript:DRNTG_17582.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPECLSRVRENVLSRDATDDRRSAESYMGNLRRTDELVDGPNASNMNNYVLDRWEERLEQIFEGRPYDMLDAALTDTVIKFPIDIKPFKDMIEGMRMDLKKSRYENFQELYLYCYYVAGTVGLMSVPVMGIDPKYSHGSIDKIYNAAVLLGIGNQLTNILRDVGEDALRGRVYLPQDELAQFGLSDADVFARKVNDRWREFMREQINRARFYFNQAEQGASKLNEASRWPVWASMLLYRKILDEIEENDYDNLTKRAYVGRTKKILMLPWALARATSFSNLVLQ >DRNTG_17582.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3530466:3532437:1 gene:DRNTG_17582 transcript:DRNTG_17582.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVNTKHTKFQTLKKIYTMCARAMKLCIGPQPITSTSTAKKTNQKQLFTRLSRFGISHTDLRVNEIVTRQSWCSNGCRKMPELGHAFLNDAYERCRSVCQEYAKTFYLGTQLMTEDRRRAIWAIYVWCRRTDELVDGPNASNMNNYVLDRWEERLEQIFEGRPYDMLDAALTDTVIKFPIDIKPFKDMIEGMRMDLKKSRYENFQELYLYCYYVAGTVGLMSVPVMGIDPKYSHGSIDKIYNAAVLLGIGNQLTNILRDVGEDALRGRVYLPQDELAQFGLSDADVFARKVNDRWREFMREQINRARFYFNQAEQGASKLNEASRWPVWASMLLYRKILDEIEENDYDNLTKRAYVGRTKKILMLPWALARATSFSNLVLQ >DRNTG_26497.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:693131:694783:1 gene:DRNTG_26497 transcript:DRNTG_26497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKLFTCFGRGASSSSTTSYKAPSPDHHAIADQTSEELRRGGPVLVEFFSSQGCGTSPEAEVVATRLGRGDLGLEVPVVVLVWHVDYWDYRGWKDPFGSSVWTVRQKAFVDSLRLDTLYTPQVVVHGRAQCMGTDEAAIVDAALAAPRFPSPTMQATFQKPSPNMLQVSFSGALRSKVDSNGADIMVVLYETRIVTDCERGENRGRLLTNDYVVRCCEKLLTVKDVSAKKIISGSVHFSLWEGFNRSKCGIVLLVQNKSLQTYGVQHIPIPDTI >DRNTG_30188.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3826726:3828966:-1 gene:DRNTG_30188 transcript:DRNTG_30188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGTRSRCGSLALSLSSRGSMESQTTPRERCQDATWRPSNNLLTTGI >DRNTG_05149.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30706480:30708804:-1 gene:DRNTG_05149 transcript:DRNTG_05149.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19940) UniProtKB/Swiss-Prot;Acc:Q941D3] MASMASLISPHAKLSPPSLVSTRHRIRSSLVAQPPASAPSDLVSSILSKVKGSDRGVLLSKEGHSDVAAVAQQLHKYCVDEPVKCPLIFGEWDVVYCSRPTSPGGGYRSAIGRLIFKTNEMIQIVKAPDSVRNKVSFSIFGFLDGEVSLKGKLKVLDDKWIEVIFEPPQLKIGSLDFQYGWESEVKLEISYIDENIRLGKGSRGSLFVFVRRA >DRNTG_32852.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32201568:32204892:-1 gene:DRNTG_32852 transcript:DRNTG_32852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPLRPDEYSLKETAPHLGGGGVAGDKLTTTYDLVEQMQYLYVRVVKAKDLPPKDVTGSLDPYVEVKLGNYKGTTKHFEKKTNPEWNQVFAFSKERIQSSVVEVVVKDKDFVKDDFVGRVLFDLNEVPKRVPPDSPLAPQWYRLEDRKNDKVKGELMLAVWMGTQADEAFPDAWHSDAATVPSDGLANIRSKVYLTPKLWYVRVNVIEAQDLLITDKGRYPEVYVKAILGNQALRTRVSTSKNINPMWNEDLMFVVAEPFEEHLILSVEDRVGPNKDEVLGKAIIPLQHVERRLDYKAVNSRWYNLEKHVVVEGDQKKKEVKFSSRIHLRICLDGGYHVLDESTHYSSDLRPTAKQLWKPSIGVLELGILTAQGLLPMKTKDGRGTTDAYCVAKYGQKWVRTRTIIDSFAPKWNEQYTWEVYDPCTVITVGVFDNCHLQGGDKAAGTRDTRIGKVRIRLSTLQTDRVYTHSYPLLVLLPSGVKKMGEVQLAVRFTCSSLLNMMHMYSQPLLPKMHYLHPLSVTQLDNLRHQATQIVSMRLNRAEPPLRKEVVEYMLDVDSHMWSMRKSKANFFRIMSVLSGLIAVSKWFDQICHWKNPLTTVLIHILFVILVLYPELILPTIFLYLFLIGVWYFRWRPRQPPHMDTRLSHADTAHPDELDEEFDTFPTSRSPDIVRMRYDRLRSVAGRIQTVVGDLATQGERLQNLLSWRDPRATALFVTFCLIAAIVLYVTPFRVVAFLAGLYVLRHPRFRHKLPSVPLNFFRRLPARTDSML >DRNTG_27769.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24399486:24400715:1 gene:DRNTG_27769 transcript:DRNTG_27769.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDGRSRRSRQSKPSMRTGAGLNPYLVGAQPFRVGDIGEPAGLVWIGPSLGSRKRASCINGLADLLGGDPVKNGGDEGVALFCSPPLERKISRSSAPAMVSNGVGRRRHPSRSVAAGMESWESRRGLGQKMGRSELGCIANRLILDPIL >DRNTG_27769.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24399593:24400807:1 gene:DRNTG_27769 transcript:DRNTG_27769.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDGRSRRSRQSKPSMRTGAGLNPYLVGAQPFRVGDIGEPAGLVWIGPSLGSRKRASCINGLADLLGGDPVKNGGDEGVALFCSPPLERKISRSSAPAMVSNGVGRRRHPSRSVAAGMESWESRRGLGQKMGRSELGCIANRLILDPIL >DRNTG_27769.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24399593:24400715:1 gene:DRNTG_27769 transcript:DRNTG_27769.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDGRSRRSRQSKPSMRTGAGLNPYLVGAQPFRVGDIGEPAGLVWIGPSLGSRKRASCINGLADLLGGDPVKNGGDEGVALFCSPPLERKISRSSAPAMVSNGVGRRRHPSRSVAAGMESWESRRGLGQKMGRSELGCIANRLILDPIL >DRNTG_29514.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2219914:2222388:-1 gene:DRNTG_29514 transcript:DRNTG_29514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIVFLLVEKISVALGTEAMAAIQSLLEKEVSLLAQIPGTMNRIQREFVVMKAFLKHADTQKEKSSTLQAWLEQVQKTAFQVEDIIDEYSYLIGEQYRSGFRGSVY >DRNTG_27920.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22810989:22811844:1 gene:DRNTG_27920 transcript:DRNTG_27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHWSNYLFGGDRWGEMYSNVAESFNAWIKEARHLPVTKMVDSIRFKLMRMLCNRREQANKWETYLCPDIHSKVEIIVEDSRNLRVGRCVDDRYEVIDQCSNSVDLAIRTCSCRRWQVYGIPCKHACAAIMQTDTNVHRFISGYFTVDNYKLAYKEAIFPIPDDDRPSDGNRELRLRPPVTRRQPGRPRRKRIESQAFDVRELHCSRCHGSGHNRRSCNETVAD >DRNTG_12682.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:12521853:12523041:1 gene:DRNTG_12682 transcript:DRNTG_12682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIKSDANMSEADFNSMITAIKEVLRPDNTMPTDYYHHRKTMNELGLPVVKIDACKNGCMLYWKHDATEVSCKFCDEPRYKQVKGRRRLPNDHAHKKIAQAILRYLPLTPRLQRLYASNATATHMTWHATHERNEGVMQHPSDAEAWKHFDRTYLDFAQEPRNIRLGLCADGFAPHGQFGRTYSCWPVVVTPYNLPPGMCMKRPYMFLTLICPGPKNPKKNIDVFLQPLIDELNNLWVSGEMTYDISKSEYFRMRAALLWTINDFPAYGMLSGWSTAGVLGCPICMESSNAFHLQHGRKASYFDCHRKFLPKNHSYRKDKKSFIRGRVEKNGAPPKLSGDEILNRVMMFPTAVEDPINTPPGYGADHKWTKRSIFWDLPYWKTNL >DRNTG_21964.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2146275:2149568:-1 gene:DRNTG_21964 transcript:DRNTG_21964.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKREEEEEEKMEKKMAKGDESRKKRGSLFSSISTIFKHADTTDRWLMGFGLIGAVGDGLSGPVMLYITSELMNNLDNGISSSSYSIFNHEMAENSLHLFLLACGSFFAAFLEGYCWTRTGERQASTIRVKYLQAVLRQDVEHFDLQGASTSEVINNISNDSLVIQDVISEKVPNFIMNLSMFLGNYGTGFFLMWRLALVALPTVLLLIIPGIMCGRILVNIAKEMREEYSKATCVVEQAISSVRTVYSFVGERKTMEDFSAALDGSVKLGLRQGLIKGLAIGSNGVTFAIWSFFSWYGSKLVMHHGGHGGTVFAVGAGIIFGGM >DRNTG_21964.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2147308:2149568:-1 gene:DRNTG_21964 transcript:DRNTG_21964.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKREEEEEEKMEKKMAKGDESRKKRGSLFSSISTIFKHADTTDRWLMGFGLIGAVGDGLSGPVMLYITSELMNNLDNGISSSSYSIFNHEMAEWINHNHCHKKKQQQHQYKSLQKIERRATKHKTRTTRGGKTNTTQKTKGGRPFLKRQPASRYSLLSSCNSSGAKFSKLSPTLGPKNSRLRRMLVRE >DRNTG_21964.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2148831:2149568:-1 gene:DRNTG_21964 transcript:DRNTG_21964.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKREEEEEEKMEKKMAKGDESRKKRGSLFSSISTIFKHADTTDRWLMGFGLIGAVGDGLSGPVMLYITSELMNNLDNGISSSSYSIFNHEMAEVRDL >DRNTG_21964.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2144842:2149568:-1 gene:DRNTG_21964 transcript:DRNTG_21964.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREEEEEEKMEKKMAKGDESRKKRGSLFSSISTIFKHADTTDRWLMGFGLIGAVGDGLSGPVMLYITSELMNNLDNGISSSSYSIFNHEMAENSLHLFLLACGSFFAAFLEGYCWTRTGERQASTIRVKYLQAVLRQDVEHFDLQGASTSEVINNISNDSLVIQDVISEKVPNFIMNLSMFLGNYGTGFFLMWRLALVALPTVLLLIIPGIMCGRILVNIAKEMREEYSKATCVVEQAISSVRTVYSFVGERKTMEDFSAALDGSVKLGLRQGLIKGLAIGSNGVTFAIWSFFSWYGSKLVMHHGGHGGTVFAVGAGIIFGGMALGTSLSCLKSFSEAISAGERIMEIVNRVPKIDIDSKEGEILENVHGEVEFKRVDFAYPSRLENLILYEFSLNVPAGMTVALVGGSGSGKSTVIALLERFYDPLHGEVLLDGVNIKKLKLKWLRSQMGLVSQEPALFATSIKENILFGKENASMDEVVAAAKASNAHNFISQLPQGYDTQVSCSN >DRNTG_18161.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3985933:3988497:-1 gene:DRNTG_18161 transcript:DRNTG_18161.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDLLQYKESILFLGRPGVGKTTVMREIARVLADELHRRVVCLKLLCLFMVSILDDTLPCSSVLDD >DRNTG_18161.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3985933:3988497:-1 gene:DRNTG_18161 transcript:DRNTG_18161.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDLLQYKESILFLGRPGVGKTTVMREIARVLADELHRRVVCLKLLCLFMVSILDDTLPCSSVLDD >DRNTG_18161.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3985933:3988497:-1 gene:DRNTG_18161 transcript:DRNTG_18161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDLLQYKESILFLGRPGVGKTTVMREIARVLADELHRRVVIVDTSNEIGGDGDVPHAAIGGARRMQVPQPSMQHRVMIEAVENHMPEVVIVDEIGTEAEALACRSIAERGVMLIGTAHGERMGNIIKNPTLSDLVGGIETVTLGDEEARARRSQKSILERKAPPTFPFLIEMRERNYWVTHRTERSVDMLLHGKKPLVEVRKRDDQFKVVIERWTTYDEDGI >DRNTG_18161.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3985933:3988497:-1 gene:DRNTG_18161 transcript:DRNTG_18161.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDLLQYKESILFLGRPGVGKTTVMREIARVLADELHRRVVIVDTSNEIGGDGDVPHAAIGGARRMQVPQPSMQHRVMIEAVENHMPEVVIVDEIGTEAEALACRSIAERGVMLIGTAHGERMGNIIKNPTLSDLVGGIETVTLGDEEARARRSQKSILERKAPPTFPFLIEMRERNYWVTHRTERSVDMLLHGKKPLVEVRKRDDQFKVVIERWTTYDEDGI >DRNTG_18913.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1484176:1485631:-1 gene:DRNTG_18913 transcript:DRNTG_18913.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVGFVVRKLGELLAQEAINLYGVRDQVEWLQRELGRMQCFLKDADAKKNKGDDERVKHWVTEMRDLAFDAEDAIDTFMYYKLRTPERDGCIGFIERLVFIFDELINRHKVHVDVQGIKTRLQELSQTREVYGISNIGETIGTTSQPRSQNVIPMLPQLRDDIVMVGFDDEKKKIVQELVDINNTNQSVISIVGMGGLGKTTLAKSVYNDFEVKRSFDMFAWVIISQQYTILEILKGILSEKSETPSEDTIQ >DRNTG_26025.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23221377:23221940:1 gene:DRNTG_26025 transcript:DRNTG_26025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSHVYKRLFMTVPAHFASQYIKPRKQIVLLRVPKRNKEWAEWHVRYVWNGGSWGFASQQWRLFVLDNKIEEGDACVFELIVSQSEVIMHVHIFRVVVKVATAVKEET >DRNTG_16685.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16315212:16316939:1 gene:DRNTG_16685 transcript:DRNTG_16685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSCCQLSTISPFLMLIFLSTITTLAGAQLTGVNYGMIGDNIPPPDQVISHCKSKNIKNLRLFDPNQAALQALHGSGITVILGTLNQDVQPLGTDADFAKTWVATNVIPHTTSVTFRYITVGNEVIPGDIATGQFILPAMQNLQTALTAAGLSIPVTTVVPTGVLGVSFPPSQSVFSESSLPIMGPIISFLAAKQTPLLVNVYPYFAYSGNPKDVSLDYALFTATKPPVIDGKLSYMNLFDAVVDAMYSAIEKVESGGAVGLVVSETGWPSGGGAVGASVENALTYNKNVVAHGKSNAGTPKRPGKGLDVYVFALFNEDQKPAGTEQNFGLFYPNLTQVYHVDF >DRNTG_16685.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16315459:16316939:1 gene:DRNTG_16685 transcript:DRNTG_16685.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDNIPPPDQVISHCKSKNIKNLRLFDPNQAALQALHGSGITVILGTLNQDVQPLGTDADFAKTWVATNVIPHTTSVTFRYITVGNEVIPGDIATGQFILPAMQNLQTALTAAGLSIPVTTVVPTGVLGVSFPPSQSVFSESSLPIMGPIISFLAAKQTPLLVNVYPYFAYSGNPKDVSLDYALFTATKPPVIDGKLSYMNLFDAVVDAMYSAIEKVESGGAVGLVVSETGWPSGGGAVGASVENALTYNKNVVAHGKSNAGTPKRPGKGLDVYVFALFNEDQKPAGTEQNFGLFYPNLTQVYHVDF >DRNTG_29776.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:623810:625808:-1 gene:DRNTG_29776 transcript:DRNTG_29776.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMEMEGGERGKDNGEVAPRTVSDYLDPHYWNERFKSEEHYEWFKDYSHFQHLLRRHIHPSYSVLELGCGNSRLCEELFKDGVTDITCIDLSDVAVNRMRERLLVSGLHGVKVLQADMLELPFSSQSFDLVIEKGTMDVLFVDSGDPWNPNPATVSKVIKMLEGVHKVLKPQGTFISITFGQVLKLIFYLFSCIPYPFF >DRNTG_29776.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:623810:625808:-1 gene:DRNTG_29776 transcript:DRNTG_29776.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMEMEGGERGKDNGEVAPRTVSDYLDPHYWNERFKSEEHYEWFKDYSHFQHLLRRHIHPSYSVLELGCGNSRLCEELFKDGVTDITCIDLSDVAVNRMRERLLVSGLHGVKVLQADMLELPFSSQSFDLVIEKGTMDVLFVDSGDPWNPNPATVSKVIKMLEGVHKVLKPQGTFISITFGQPHFRRPLFESPGFTWSVEWDTFGDGFHYFFYFLKKGRRSPESEPCHDEKRNELTISMLHEELEDEDYIFRTAIDVMEN >DRNTG_29776.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:623810:625808:-1 gene:DRNTG_29776 transcript:DRNTG_29776.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMEMEGGERGKDNGEVAPRTVSDYLDPHYWNERFKSEEHYEWFKDYSHFQHLLRRHIHPSYSVLELGCGNSRLCEELFKDGVTDITCIDLSDVAVNRMRERLLVSGLHGVKVLQADMLELPFSSQSFDLVIEKGTMDVLFVDSGDPWNPNPATVSKVIKMLEGVHKVLKPQGTFISITFGQPHFRRPLFESPGFTWSVEWDTFGDGFHYFFYFLKKVWIIIAVFFNQ >DRNTG_08120.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11807020:11807316:1 gene:DRNTG_08120 transcript:DRNTG_08120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQARKVMIHIRSSDGMEYQVEEQTMVQQSTFVQGLLKSPNVRENGITIPNVNTNILAKVLNYCEKHAETADKVELESWDAKFVDVESHILYDLIM >DRNTG_08120.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11807020:11809153:1 gene:DRNTG_08120 transcript:DRNTG_08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQARKVMIHIRSSDGMEYQVEEQTMVQQSTFVQGLLKSPNVRENGITIPNVNTNILAKVLNYCEKHAETADKVELESWDAKFVDVESHILYDLIMAADTLGINSIMHLCCKKVAELIKGLTADEIRGNFNIQNNFTPEVAEAVRMENLWEF >DRNTG_22909.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:24368563:24369197:1 gene:DRNTG_22909 transcript:DRNTG_22909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRDARVCANLPVFELAQPFGGALGQSHSSIPTYTHRTRSPSTYPSLKKQSDPRHKPLTSSFLRKKVGRGASVEAYPIPDEGILGRRVEDFP >DRNTG_26898.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3343151:3343546:1 gene:DRNTG_26898 transcript:DRNTG_26898.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELWLFILITISFILTLILLLFIPSITIKNYEINNKKKLPPGPLWIPVIESLIWLWQTVANIEPILRDLHFKYSPIFTIHIGSHPFIFVFDRSLAHKFLIEHGSIFSDRRPPKPTSLICATCGCHRNFNRP >DRNTG_29821.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23248014:23249818:-1 gene:DRNTG_29821 transcript:DRNTG_29821.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVNLSELLFSLGNDIIARTVMGTKCKDQAGLLDALDETVEASAAINLADFYPSSRLLNLFCWTRFKLEFCLRKTDRIFQGILQEHRERRMAGKQSRTRDDFVDVLLRIQEDGTLPFTLTDECNKSVIFDMFAAGSETSANTLEWAMTELMRNPLVMKKAQAGVREVLRQRKDITEEEEEGILTEMKYLKMVIKETLRMHPPAHYCSQESVRRHVKYLGTRYLQRQGCW >DRNTG_14066.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8306388:8308215:1 gene:DRNTG_14066 transcript:DRNTG_14066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISGPTSSMPEAAKPGFLSPPHPTQQSLPSTSIPTGHALPQHLPVHAYSQPTLPLGHFANMISYPFLPQSYAYMPSAAFQQTYTANTPFHQSPTAISNTGVKYTLPQYKSSVSVTSLPQSAAVASGYGGFGSSANIPGSLMLNPSSASANTTIGFDEALSSQYKEGNLHAPQQTENPAMWAHGAGSRTMSAMPANTFYSFLGQNQLGGYRQGQQPQHYGNMSYPPNYYHSQAGVSQEHHQQNPAEANMNNQQGAPSQQQSHQIWQHSY >DRNTG_10990.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18867872:18873639:-1 gene:DRNTG_10990 transcript:DRNTG_10990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGALQQAELREHVDQFHQYYMSLQRQFMDMERHHLQAIQQLQLELTEARERNGVTSDGSQSASRSSLDSSFYVQSQGNQNNATNDGGTSDVNPKLTPNGKLDDIPSSVSSSNTFTKAEYVPGIPVVPSSIIRMGSFVPPGQVNPLPPFVMHPQSAPQPASSNSASLSPHNMDTFQATTTRQY >DRNTG_10990.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18867872:18873639:-1 gene:DRNTG_10990 transcript:DRNTG_10990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAGRGGSLPVASSSSQPPRKEWRAASDHSFRNGKEDLEHVKLGQPDERTIFEEGVGSLDVDFCSIRIDSGNDDPLQQQLHHISMQRQELQRMEIELRAQVIVKSEILDVQNNYEAQLKEQSGVAAKLKENLRESEEHIRELELKIEEKDRELHAVNSEAAWAKEDFLREQSEKLANFRRERDNLEAERVQHLKQIHELQGHIKEKESQFLALEEQHRVAQDTILFKDGQLKDAQAWIARLQEMGALQQAELREHVDQFHQYYMSLQRQFMDMERHHLQAIQQLQLELTEARERNGVTSDGSQSASRSSLDSSFYVQSQGNQNNATNDGGTSDVNPKLTPNGKLDDIPSSVSSSNTFTKAEYVPGIPVVPSSIIRMGSFVPPGQVNPLPPFVMHPQSAPQPASSNSASLSPHNMDTFQATTTRQY >DRNTG_10990.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18867872:18873639:-1 gene:DRNTG_10990 transcript:DRNTG_10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAGRGGSLPVASSSSQPPRKEWRAASDHSFRNGKEDLEHVKLGQPDERTIFEVQEGVGSLDVDFCSIRIDSGNDDPLQQQLHHISMQRQELQRMEIELRAQVIVKSEILDVQNNYEAQLKEQSGVAAKLKENLRESEEHIRELELKIEEKDRELHAVNSEAAWAKEDFLREQSEKLANFRRERDNLEAERVQHLKQIHELQGHIKEKESQFLALEEQHRVAQDTILFKDGQLKDAQAWIARLQEMGALQQAELREHVDQFHQYYMSLQRQFMDMERHHLQAIQQLQLELTEARERNGVTSDGSQSASRSSLDSSFYVQSQGNQNNATNDGGTSDVNPKLTPNGKLDDIPSSVSSSNTFTKAEYVPGIPVVPSSIIRMGSFVPPGQVNPLPPFVMHPQSAPQPASSNSASLSPHNMDTFQATTTRQY >DRNTG_10990.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18873173:18873639:-1 gene:DRNTG_10990 transcript:DRNTG_10990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAGRGGSLPVASSSSQPPRKEWRAASDHSFRNGKEDLEHVKLGQPDERTIFE >DRNTG_25224.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20065550:20071247:1 gene:DRNTG_25224 transcript:DRNTG_25224.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYCMEDWPEPIINVQSIAESGDTIVPYRYIKPPSHRPEILKSTPQEQTIPVINLAGLEGDEHACNATMHEISVACKEWGFFQIVNHGVRPELMERTRQVWRDFFHLPMEEKIKYANTPKTYEGYGSRLGIEKGAILDWGDYFFLNLLPLSLKNHNKWPCLPETLRETVEECGGEVVKFCEKVMKMVSKSLGLEEEYMKRVFGGYGDEGVGVTMRANYYPRCPQPELTLGLSPHSDPGGVTVLLADERVQGLQVHKDGAWVTVQPLPHAFIVNLGDQIQMVSNAIYKSVEHRVMVNSEEERVSIAFFYNPRGDMLIGPAKELVTPARPAFCKPVTWNEYRLILRKQGLHGKA >DRNTG_34647.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002169.1:18271:21027:-1 gene:DRNTG_34647 transcript:DRNTG_34647.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMHYLCKVLADKLPELLDFPKDLVSLEAASKIQLKSLAEEMQAISKGLEKVEQELTTSENDGPVSEIFRKTLKEFLVGAEAEVRALTSLYSGVGRNADALALYFGEDPTRCPFEQVVSTLLNFVRMFGRAQEENCKQIELEKKKAQKESENVKMKLGVSKKEPENLLSSPPLNTITK >DRNTG_34647.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002169.1:18271:27863:-1 gene:DRNTG_34647 transcript:DRNTG_34647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFYRKPPDGLLEISERVYVFDCCFSTDVLEEDQYKVYMGGIVGQLREHFPDASFMVFNFRDGENQSQIANVLLEYDMTVMDYPRHYEGCPLLTMEMIHHFLRSSESWLSLGQQNLLLMHCERGGWPVLAFMLAGLLIYRKQYSGEQKTLEMIYKQAPRELLQLLSPLNPVPSQFRYLQYVSRRNVASEWPPLDRALTLDCIILRIIPIFDGEGGCRPIFRIYGQDPFIASDRTPKVLFSTPKKSKIARLYKQADCELVKIDIHCHIQGDVVLECINLEEDFEREEMMFRVMFNTAFIRSNILMLNRDEIDILWDAKDRFPKDFRAEVLFSEMDAAPSPISMELSSVEEKEGLPMEAFSKVQEIFSGIDLLDPKGDAALQVLQQITSSNAFQEKLEILSPQNLDALSLHKADNLSPLNLEIASPQMVVNPVTCPVPQNSIPEMLEKTVQSEESSDMVKKTSASVTKQQPTLPEKPSPVSITKSQNTQLNELQIPLQRPPQLCIPSSSARSSPVSSNNSHRSSPSSMSRYHSAPSALGITALLHDHAAFGSSEFSHSRRTSSSPSISPITASGLSEPPGQSVSSLSFSSSAQLSSEASSVEKTNFSPALSSPTSQPPLRQVKSVSLLAQTVTPFTEALKVPSPSSSWLLSTSKQLTSTPSQRPLLPPPPPPPPPPPSFIASNVKTNARVPPKDQPSSGNILPPSLLTPTPDTCLCRTSTLPPPPPPPMLGFTSCPPLVASTAPPAPPLPPPPMVMSNRHHTTSPKASSRPPPPPPPPKVMSTNPPPSPMKKASGSSNAPPPPPPPSHSGHALPTSSPSAPPPPPPPPMVTSTGPPPSPMKKVSVSSNTPPPPPPPSNFSHALPASSPSAPPPPPPPHHVTHNSGAIASRKSPVGPPVPPPPALVQGTLKGSGVPSPHSNVNNHHAVPPPPSGGIGAKGRALARTPSPRNGQSTQGSSKKTSLKPLHWVKVTRAMQGSLWAETQKLDESSKAPEFDMSELESLFSAAVPSSDAKDSADKSRRSSLGPKSDKIHLIDLRRANNCEIMLTKVKMPLPDLMSSVLALDDSALDVDQIDNLIKFSPTKEEMDLLKNYNGDKENLGKCEQFFLELMKIPRVESKLRVFSFKIQFRTQVGDLSTNLNIVNSAAEEVRNSVKLKRIMQTILSLGNALNQGTARGSAIGFRLDSLLKLTDTRARNNKMTLMHYLCKVLADKLPELLDFPKDLVSLEAASKIQLKSLAEEMQAISKGLEKVEQELTTSENDGPVSEIFRKTLKEFLVGAEAEVRALTSLYSGVGRNADALALYFGEDPTRCPFEQVVSTLLNFVRMFGRAQEENCKQIELEKKKAQKESENVKMKLGVSKKEPENLLSSPPLNTITK >DRNTG_34647.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002169.1:18271:25334:-1 gene:DRNTG_34647 transcript:DRNTG_34647.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPSPISMELSSVEEKEGLPMEAFSKVQEIFSGIDLLDPKGDAALQVLQQITSSNAFQEKLEILSPQNLDALSLHKADNLSPLNLEIASPQMVVNPVTCPVPQNSIPEMLEKTVQSEESSDMVKKTSASVTKQQPTLPEKPSPVSITKSQNTQLNELQIPLQRPPQLCIPSSSARSSPVSSNNSHRSSPSSMSRYHSAPSALGITALLHDHAAFGSSEFSHSRRTSSSPSISPITASGLSEPPGQSVSSLSFSSSAQLSSEASSVEKTNFSPALSSPTSQPPLRQVKSVSLLAQTVTPFTEALKVPSPSSSWLLSTSKQLTSTPSQRPLLPPPPPPPPPPPSFIASNVKTNARVPPKDQPSSGNILPPSLLTPTPDTCLCRTSTLPPPPPPPMLGFTSCPPLVASTAPPAPPLPPPPMVMSNRHHTTSPKASSRPPPPPPPPKVMSTNPPPSPMKKASGSSNAPPPPPPPSHSGHALPTSSPSAPPPPPPPPMVTSTGPPPSPMKKVSVSSNTPPPPPPPSNFSHALPASSPSAPPPPPPPHHVTHNSGAIASRKSPVGPPVPPPPALVQGTLKGSGVPSPHSNVNNHHAVPPPPSGGIGAKGRALARTPSPRNGQSTQGSSKKTSLKPLHWVKVTRAMQGSLWAETQKLDESSKAPEFDMSELESLFSAAVPSSDAKDSADKSRRSSLGPKSDKIHLIDLRRANNCEIMLTKVKMPLPDLMSSVLALDDSALDVDQIDNLIKFSPTKEEMDLLKNYNGDKENLGKCEQFFLELMKIPRVESKLRVFSFKIQFRTQVGDLSTNLNIVNSAAEEVRNSVKLKRIMQTILSLGNALNQGTARGSAIGFRLDSLLKLTDTRARNNKMTLMHYLCKVLADKLPELLDFPKDLVSLEAASKIQLKSLAEEMQAISKGLEKVEQELTTSENDGPVSEIFRKTLKEFLVGAEAEVRALTSLYSGVGRNADALALYFGEDPTRCPFEQVVSTLLNFVRMFGRAQEENCKQIELEKKKAQKESENVKMKLGVSKKEPENLLSSPPLNTITK >DRNTG_34647.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002169.1:18271:18823:-1 gene:DRNTG_34647 transcript:DRNTG_34647.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAQEENCKQIELEKKKAQKESENVKMKLGVSKKEPENLLSSPPLNTITK >DRNTG_30843.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:608005:611049:1 gene:DRNTG_30843 transcript:DRNTG_30843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLRLQPLCQLLLLLWPVLLSASIVTHLPGFHGPLPFHLETGYVGVDEVQFFYYFIQSEGNPVEDPLLLWFTGGPGCSAFSALVLELGPLKFKRMKYNDSLPKLVYHPFSWTKVSNMIFLDSPVGTGFSFSNTPEGYVDGDVTSSLRVSKFLRKWLIDHPQFLSNSLYLGGDSYAGKVVPFITYLISEGIKSGAQPLLNLKGYLIGNPFTGEVIDKNSRIPYAHNMGIISDEIYQSTTISCEGEDYENPTNALCAKKLQVVEKYFDEIDKFHILDPKCPRASPKPRDLSGERRFLKDKHQKFIVPPDVPPLKCRTYGCYLCYIWVNNDAVRDALHIQKGTVPEWIRCNDYLQYANDIPSSVKYQYKLTSQGFRALVYSGDHDLAVPHIGTQTWIRSLNYSIIDDWRSWFSGGQVAGYTRAYANNLTFATIKGAGHTAPEYKPRESLAMVKRWLSHQPL >DRNTG_31440.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:718011:721631:1 gene:DRNTG_31440 transcript:DRNTG_31440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSHLHSVASQVRVVLRVRPFLPCETQSKEKGVVPCVSVLDSENGTGEEEVTVHLKDQWTSRKECYKLDAFFNQENSVDEIFKSEVSAVIPGIFRGFNATIFAYGATGSGKTYTMQGTEEEPGLIPLAMSSILSMCRGSECSVEISYYEVYMDRCYDLLEPKTKEILPLDDKEGRVQLKGLSWVPVHSMDEFNEVFSTGIQRRKVAHTGLNDVSSRSHGVLTIAVSNGAVRGKLNLIDLAGNEDNRRTGNEGIRLMESAKINQSLLALSNVIYALNNNEPRVPYRASKLTRVLQDCLGGTSRALMIACINPSSYQEAVHTVSLAARSRQVVNYVDGAKEKETPTVKVDMEAKLRLWLESKGKSKSVNRMNGPCSPFFGRTPNSTHYHKKAGSIQSSVKTKASENGVSESKGRRLFDSALSTTAANEVVVQDAASVDTMPLVQEATEGCELHDNAVRGLPLDDALSHYSPMRSIASLQISDKSEVPPNTLRKVLSPISNNITPNKHSASDLLDTHSIKNELNSSVHQNPGTPLIRKNQLQELQKSLQKVLSPVLNSSAQNENLMSNKICVVLLDPKAPKTPHVIFSDHDEVGMNNSPLGKFNASNSNLKESLVQEYLEFLNVASKEQLMKLKGIGERRAENILQLREHSPKPLKSLSDLEKIGLSSKQVYGLLRGHAREMFA >DRNTG_00760.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13270713:13275790:1 gene:DRNTG_00760 transcript:DRNTG_00760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPFSAIDAECGHLSISQDSRLVRFPFLDDEGYSPSSSSNDDDDDGPSLPPADAPILFYSPPNSPVAGGNTSDPTVVATDAYPADVANNVDDAGAANNVDDAGAANNVDDADVAGADTTTDVADVVTAVGVGTTTTDAAVPDLGLPPKEILTDHPPKRFKKGSRGPKLRSPDSEEDDDDKYRYQSVEILKDLLETRSCTIEVDGRRRLPYSFVEQALEDDREKHRKGVNFPRDLPELVRIFFNKCDKRFANTDILDIVKMKGMDFPMVKWWRAGGYEAKNDKEPGGSPDV >DRNTG_00760.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13270713:13275790:1 gene:DRNTG_00760 transcript:DRNTG_00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPFSAIDAECGHLSISQDSRLVRFPFLDDEGYSPSSSSNDDDDDGPSLPPADAPILFYSPPNSPVAGGNTSDPTVVATDAYPADVANNVDDAGAANNVDDAGAANNVDDADVAGADTTTDVADVVTAVGVGTTTTDAAVPDLGLPPKEILTDHPPKRFKKGSRGPKLRSPDSEEDDDDKYRYQSVEILKDLLETRSCTIEVDGRRRLPYSFVEQALEDDREKHRKGVNFPRDLPELVRIFFNKCDKRFANTDILDIVKMKGMDFPMVKWWRAGGYEAKNDKEPGGSPDV >DRNTG_00760.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:13270713:13272006:1 gene:DRNTG_00760 transcript:DRNTG_00760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPFSAIDAECGHLSISQDSRLVRFPFLDDEGYSPSSSSNDDDDDGPSLPPADAPILFYSPPNSPVAGGNTSDPTVVATDAYPADVANNVDDAGAANNVDDAGAANNVDDADVAGADTTTDVADVVTAVGVGTTTTDAAVPDLGLPPKEILTDHPPKRFKKGSRGPKLRSPDSEEDDDDKYRYQSVEILKDLLETRSCTIEVDGRRRLPYSFVEQALEDDREKHRKGVNFPRDLPELVRIFFNKCDKRFANTDILDIVKMKGMDFPMVKWWRAGGYEAKNDKEPGGSPDV >DRNTG_32305.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3239181:3242146:-1 gene:DRNTG_32305 transcript:DRNTG_32305.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta' [Source:Projected from Arabidopsis thaliana (ATCG00180) UniProtKB/Swiss-Prot;Acc:P56763] MNQNFYSMIDQYKHQQLRIGPVSPQQIRAWATKILPNGEIIGEVTKPYTFHYKTNKPEKDGLFCERISGPIKSGICACGNYRVIGAEKEDPKFCEQCGVEFVDSRIRRYQMGYIKLACPATHVWYLKRLPSYIANLLDKPLKELEGLVYCDFSFARPISKKPTFLRLRGSFEYEIQSWKYSIPLFFTTQSFETFRNREISTGAGAIREQLADSDLQIILNNSLVEWKELGDEEVTGTGNEWEDRKIRRRKDFLVKRMELAKHFIRTNVEPKRMVLCLLPVLPPELRPIIQIDGGKLMSSDINELYRRVIYRNNTLTDLLATSRSMPGELVMCQEKLVQEAVDTLLDNGIRGQPMKDGHNKVYKSFSDVIEGKEGRFRETLLGKRVDYSGRSVIVVGPSLSLHQCGLPREIAIELFQTFLIHGLIKQHVASNIGIAKSK >DRNTG_01906.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20946490:20950596:-1 gene:DRNTG_01906 transcript:DRNTG_01906.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGPKFTGIMGGGGRGHDNGSNLYDMAFYRKLGEGSNMSIDSIGSLQTSNGGGSVAMSVDNSSVGSSDSHTGILNHPGLRPTHASNYSVGHSVVRLSKGSNPLSYDALAQALMDHRHPTGSLENYDEWTLDLGKLNIGMAFAQGSFGKLYKGTYNGEDVAIKLLERPENDPERAAVMEQQFAQEVMMLATLKHPNIVRFIGGCRKPMVWCIVTEYAKGGSVRQFLMRRQNRSVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLIAADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYNQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNKGVRPTIPQDCLPVLREIMTCCWDANPDVRPLFTEVVQMLENAEAEIMSTVRKARFRCCISQPMTTD >DRNTG_01906.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20946490:20950596:-1 gene:DRNTG_01906 transcript:DRNTG_01906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGPKFTGIMGGGGRGHDNGSNLYDMAFYRKLGEGSNMSIDSIGSLQTSNGGGSVAMSVDNSSVGSSDSHTGILNHPGLRPTHASNYSVGHSVVRLSKGSNPLSYDALAQALMDHRHPTGSLENYDEWTLDLGKLNIGMAFAQGSFGKLYKGTYNGEDVAIKLLERPENDPERAAVMEQQFAQEVMMLATLKHPNIVRFIGGCRKPMVWCIVTEYAKGGSVRQFLMRRQNRSVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLIAADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYNQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNKGVRPTIPQDCLPVLREIMTCCWDANPDVRPLFTEVVQMLENAEAEIMSTVRKARFRCCISQPMTTD >DRNTG_11457.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12431812:12435580:1 gene:DRNTG_11457 transcript:DRNTG_11457.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVSVFSTYADTIEYTELLTDYPSNLTLGQVFHDLCGGRQYSVGQSKASLLTRPAHRYIHAVLSRSVTGWGDGTGSLRRTDLLYLYSMIHGAPLHLGYVVADYFRHQSEYLRLGALFAGSYITRLMFKMGLIRSVRGEERVSTPAPLGLATLRLMGIVRRTGSGGYALVESSSGDNEEPAEATEAPPAAEPIVIEAAPAASADLESSSPRVHERLARLEAAVATILKNQARIMERLDRIQQTLDEEVSAASVPAPAPATDA >DRNTG_27337.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17089517:17091854:-1 gene:DRNTG_27337 transcript:DRNTG_27337.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSGTSFDPTTFAVILPAIAESQDLNLGLEVHCLAVKSGMASRSHVVTGLISMYSKCGEVSMARFLFNDIERPDLVARNAMISGYSVNQDVGLSVSLFRDLMAIGGKPSSSTLVGMIPVFSPFGHGFLSRCIHGFVIKCGFDSNSPVLTAIMTVYSRLNDLDSAQKVFYEMPEKSLASWNAMISAYAQNGLTEMAISLFQDMQALNVRPNPVTVTSTLSACAQLGALSIGKWVHRIITEEDLELNVFVSTALIDMYAKCGNIKEARKVFDSTKDKNVVSWNAMISGYGLHGDGHQALKLYSEMLTARIAPTSVTLLSVLYACSHGGLVEEGEAVFESMASEHEVNPGPEHYACMVDLLGRAGKLKQALEFIETVAVDAGAGVWAALLGACMKHKETSVAQIASNKLFELEPENSGYYVLMSNIYSANREFPQAAMVRETAKSRNLAKTPGCTLIEIGEKQHVFTSGDRCHPQTEAICAKLEELTAKMIEAGYRVETDAALYDVEEEEKEHMVKVHSEKLAIAFGLINTEPGTEIRIIKNLRVCLDCHNATKFISKVTERLIVVRDATRFHHFRDGLCSCGDYW >DRNTG_20016.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:3388678:3394828:1 gene:DRNTG_20016 transcript:DRNTG_20016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLMRIVRHGTLATLDEQITDAGMEDESTMQIRSENEAKVAAKKIFNNVAKPGSKHIYLEDLMRFMRDDEAVKTMSLFEGAQEIKRVTKRSLKNWVVNAFRERRALSLTLNDTKTAVDKLHQMANVVVGIIVFGLWLLILGIATTHFFVLISSQMLLAVFMFGNTLKTTFEAIIFLFVMHPFDVGDRCEIEGVQMVVEEMNILTTVFLRYDNMKIIYPNSLLSTKLIGNIYRSPDMGDSIDFCVHVATPVQKLAIMKDRIKSFIESKKEHWYPTPTVMLRDVDDMNRLRISIWLRHRINYQDMGLRWARREMVFQEMIKVLRELDIEFRLLPLDVNVRDMPPLTSPRFPSTWTTYN >DRNTG_16186.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:12201282:12207441:1 gene:DRNTG_16186 transcript:DRNTG_16186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRSGLVFSKDSLIKPRAAKLVLTLGAETKLNIVLDSAEYAIPFSTLKDACVIELAATISERLKDKWIVQAINVDDTICPFATPGNREVALKNGGQNLFILELQIAKRGMESQTLEADFVFWIVGPKPLVSQLEPCDQYPILPLNARGQVETDETLLVRGHPPVFAIVTIIIFRLLFIKKILLVGTFGLSSIRVHGLFRDFIGRLHQYQEWTTLVPRKDNKYSTALLHKIALLISSQEGHSNTIEKKKYALIPLPLLYFIINPHLHTIVKPITGRLPATALQPPSSSHPIVIAFSGHRHCTFHPTSLVHPKSRALLPSRATLALISVASSPTPGVSFVPCLSSSHTISFALRLPSSSVALALTLLLSLSPFPFGQYSITL >DRNTG_19875.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001080.1:7323:11767:-1 gene:DRNTG_19875 transcript:DRNTG_19875.1 gene_biotype:protein_coding transcript_biotype:protein_coding QPPRRSRGGTHTTQPSSHRKAHKLLLNNTAIIKVPKTKLSLEKSIVK >DRNTG_30627.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1118281:1119103:-1 gene:DRNTG_30627 transcript:DRNTG_30627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTLRAFALIATAILLVQSAKGANFNVGGTAGNWDLSTNLNGWASAQTFTTGDTLTFNYDSMHSVLEVSKPDYDACQVNNPIGEFTNGNTLIMLKFPGKRYFICGTGGHCSSGMKMEIDTVAAAVATPQPASSPVTPSSRPPMSPPQLPKPPSSVTFDSFQGSVTEASGSCTEDSYCCTFDSSIKLAICSC >DRNTG_07017.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3328230:3331493:1 gene:DRNTG_07017 transcript:DRNTG_07017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTYASKGSRNKHHNGAYGPVLMAVSQTVTQIEDLMDRTYGRKCSRMSVEDFKKWLKTFDANNDGRISKEELQKAMRSVRVRFSTMKCGRGIRLADSDGDGYIDENEMDNLVEFAQTYMNLKIAA >DRNTG_24149.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26256182:26260222:1 gene:DRNTG_24149 transcript:DRNTG_24149.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELGQQKIRNICILAHVDHGKTTLADHLIASCGGGILHPKLAGRLRFMDFLDEEQRRAITMKSSSISLQYKGHSINLIDSPGHMDFCSEVSTAARLSDGALVLVDAVEGVHIQTHAVLHQAWVEKLTPCLVLNKIDRLITELKLSPMEAYNRLQRIVHEVNGIVSAYKSEKYLSDVDSLLSGTSSVGDQEFVEDDEEDTFQPQKGNVAFVCALDGWGFSISQFAEFYASKLGASTTALLKGLWGPRYYNTKKMMIVGKKGMDSLGKDLQPMFVQFVLKPLWQVYQGALDADGDKGMLDKVIKTFNLAIPPRDLQNKDPKVVLQSVMSRWLPLSDSILSMVVNCVPDPLSAQSIRISRLLPKRELTLDDLSHNPDVVAEAEHVRKCIETCNSNNEAPCVAFVSKMFAVPYKMLPQRCPNGEALNSQHLGEVGVGESEECFLAFARIFSGVLHSGQKIFVLSALYDPLNADSVQKHLQEAELQSLYLMMGQGLKPVYSASAGNIVAIQGLGQHILKSATLSSTRNCWPFSSLMFQVAPTLRVAIEPSDPSDMGALMRGLKLLNRADPFVEVTVSSRGEHVLAAAGEVHLERCVKDLKERFAKVSLAVSPPLVSYKETIDEDGTAFLDSSKTSIGGAEWIEKTTPNGRCTVRVQVMKMPAALTKFLEESSDFLGDIIEGKSGKRNGSLNSHSEHDDIDPVVALRERIVDCIETELESSSSQTDKESFEKYQKMWSRFLQRIWSLGPRQVGPNILIVPDSRARGSITSSSGQTGILLCGPCHVSRRLGLLDSSDLENDEKQTKSGESIEEIESLYVEAEGLKSSIVSGFQLATAAGPLCDEPMWGLAFFVEPYIFPDNSESSHLPDQYGIFSGQVMTAVKEACRVAVLQNKPRLVEAMYFCELNTPTEYLGPMYAVLARRRARVLKEEMQEGSALFTVHAYVPVAESFGFADELRRWTSGASSALLVLSHWEMLPQDPFFVPKTEEEIEEYGDGASVPPNIARKLMNSVRRRKGLSVEEKVVQHATKQRTLARKV >DRNTG_27225.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:914474:914773:1 gene:DRNTG_27225 transcript:DRNTG_27225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMNSINDIFEKLAQEASRLARYKDLRSSCSSRRVCQEYH >DRNTG_01851.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21310187:21313801:1 gene:DRNTG_01851 transcript:DRNTG_01851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYQRTWETPSHPVAPHRSPIEHEKKALVDYLLLGGLKPSIFVPSNIGVVRAHREARNRQTISSIGGFLA >DRNTG_29902.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23260337:23262269:1 gene:DRNTG_29902 transcript:DRNTG_29902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFHLGNVISFLATPVIMSSIGLAGAFSLFASLGFMWLSLWVNGVTNDPGDSPLISTYELQLIRAGKTDVSSSSGSKFPPLRHLLSKLPTWAIIFANVTNNWGYFVLLSWMPVYFKTVYNVNLKQAAWFSAVPWGMMAISGYIAGAASDSLIASGHPVTRVRKIMQSIGFIGPALALLCLKYAQTPNAAAFLMTVALSFSSFSQAGFLLNMQDIAPRYAGFLHGITNSVGTLAAIISTIGTGYFVQWLGSFQAFLTLTSALYFITTIFWNLFATGEQVFLLIFL >DRNTG_29902.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23260337:23261649:1 gene:DRNTG_29902 transcript:DRNTG_29902.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFHLGNVISFLATPVIMSSIGLAGAFSLFASLGFMWLSLWVNGVTNDPGDSPLISTYELQLIRAGKTDVSSSSGSKFPPLRHLLSKLPTWAIIFANVTNNWGYFVLLSWMPVYFKTVYNVNLKQAAWFSAVPWGMMAISGYIAGAASDSLIASGHPVTRVRKIMQSIGFIGPALALLCLKYAQTPNAAAFLMTVALSFSSFSQAGFLLNMQVNIMSTISTLCTLLILDLEKFA >DRNTG_29902.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23260337:23260991:1 gene:DRNTG_29902 transcript:DRNTG_29902.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFHLGNVISFLATPVIMSSIGLAGAFSLFASLGFMWLSLWVNGVTNDPGDSPLISTYELQLIRAGKTDVSSSSGSKFPPLRHLLSKLPTWAIIFANVTNNWGYFVLLSWMPVYFKT >DRNTG_29902.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23260337:23260674:1 gene:DRNTG_29902 transcript:DRNTG_29902.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFHLGNVISFLATPVIMSSIGLAGAFSLFASLGFMWLSLWVNGVTNDPGDSPLISTYELQLIRAGKTDVSSSSGSKFPPLRHLLSKLPTWAIIFANVTNNW >DRNTG_23658.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20455281:20459246:-1 gene:DRNTG_23658 transcript:DRNTG_23658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDNGQRDNGRHKADQCKSNHAQWMMPPYQMKDSYTMKMIAMMAERDTALQERNKALAEKREALSERDKSYLQRDAALAERNNAIMERDKAFAALEALRYSRENGVNDNGGSGCPSGCNVPLVMKHSHHLHHQQQHQHQHQHQHQQQQQPPHAHTAQLSDALFDHEREMNMNNGFPVSVATGAGPKARRGRRPKKETSVQAVQPRKPVKAPRKRIKKNEVTGVEREIPKAKPLEWKGQDLGLNLVAFDDTTMPVPVCSCTGEFHQCYKWGNGGWQSACCTMTLSLFPLPMIPNKRHTRVGGRKMSGSAFSKLLSRLAAEGHDLSVPLDLKDHWARHGTNRYITIK >DRNTG_21768.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:3014773:3017248:-1 gene:DRNTG_21768 transcript:DRNTG_21768.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDSTRYYEILGVSPNASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGHDPFDIFQSFFGGNPFGGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNIICSKCKGKGSKSGASMKCSGCQGSGMKVSIRQLGPSMIQQMQHACNECKGTGETINDKDRCPQCKGEKVVQEKKVLEVIVEKGMRNGQKITFPGEADEAPDCITGDIVFVLQQKDHPKFKRKDDDLFVEHTLSLTEALCGFQFVLTHLDNRQLLIKSNPGEVVKPDQFKAINDEGMPVYQRPFIRGKLYIHFTVDFPDSLSPDQCKAIEGVLPPRGGSQLTDMELDECEETTLHDVNIEEEMRRKQVQGQEAYDEDEDMPGGAQRVQCAQQ >DRNTG_10553.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3235467:3242681:1 gene:DRNTG_10553 transcript:DRNTG_10553.1 gene_biotype:protein_coding transcript_biotype:protein_coding DTTRNINTRTTYKVKSSGSIKGHAYYNKPKQEVQIDHSTAAQHNSVQRRS >DRNTG_25736.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20029927:20034380:1 gene:DRNTG_25736 transcript:DRNTG_25736.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAPNFCNIFAVSRLRLKMKFSHQLFEDELNSTTEIRTVNLKTFTRRKEGRILGKQVPASSFQDSERSLKPRKSDVSTYSHTSSGVGGYSETASVCGGYDKEILTLDVKTGKRYEPTGSLKDTPFVLLHTIKEGEASAEVVRLRLELQKTLAMYNRTCEDLVLAKNKVRLLLAECSEESKKVKDALEREEALKRSAKEEQARHLEALKVVQENGEFLAKEELDRYKAEIAASEMSLGKSKIANALFSNDRRCRIYSSHEIEVATGNFSDAKKIGEGGFGDVYKCNLDHIAVAVKVLRHGTPDKIEEFIREIEVLSQLHHPNMVLLLGFCPEKGCLVYEYMENGSLEDHLFCNKGKLSLPWYIRFKIIFEVACGLAFLHATKPEPIVHRDLKPGNILLDRNFAAKIGDVGLAKIMSEVVPDGFTEYRETVIAGTFPYIDPEYHRTGTLRPKSDLYAFGIIALQLLTAKQPNGLVRQVEKAINGGSLANILDKSISDWPLVEAERLAKLALKCSGLRCRDRPDLETEVMPQLEELVNMANVCFKLRKFSVFAPTHFICPILQEIMENPYITADGFTYEHRAIKAWLEKHNISPVTKLGLSHTNIIPNHTVSSAIQEWRSRVASSIT >DRNTG_16671.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30857768:30861688:1 gene:DRNTG_16671 transcript:DRNTG_16671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLFDPLIAEIELHRDDREWERTHFDMPAAPGHEPQPEWEDFIDAEDYINDEDRFNVTHRIVLLFPKIDVAPIDGRISLDELTDWNLQQAGREVMHRTKRDMDLHDKNHDGFVSFDEYEPPSWSLNAEDGSTWWKREHFDASDVDGNGVLNLTEFNDFLHPADSSSPKLIHWLCKEEIRERDKDKDGKLNFQEYFHGLFDSIRNYEEAYNQSDSMEAPANKLFKELDKDNDGFLSVDELIPVISNLHPSERYYAKQQAEYVITQADADKDGQLSLKEMVEHPYVFYSSIFTEDDDDSDYHDEFR >DRNTG_16671.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30857768:30861688:1 gene:DRNTG_16671 transcript:DRNTG_16671.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLFDPLIAEIELHRDDREWERTHFDMPAAPGHEPQPEWEDFIDAEDYINDEDRFNVTHRIVLLFPKIDVAPIDGRISLDELTDWNLQQAGREVMHRTKRDMDLHDKNHDGFVSFDEYEPPSWSLNAEDGSTWWKREHFDASDVDGNGVLNLTEFNDFLHPADSSSPKLIHWLCKEEIRERDKDKDGKLNFQEYFHGLFDSIRNYEEAYNQSDSMEAPANKLFKELDKDNDGYMFKFLLVQINSFLMLRQSASLCAFQVSVC >DRNTG_04248.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000200.1:9093:9572:1 gene:DRNTG_04248 transcript:DRNTG_04248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEDIILASYNKGNFLPSFNHAAQVWNHDFFWQSMKPGGRGRPSGQLLQLIEGNFGSLERMLMKFKAAASIQFGSDWAWLVYKANKLNVESVVNPLPSEKNNKLVVAMTPNAVNPLVWDYSILHATDVWKHAYYLDYEHRRVDYCSYHPGLRWQCKEK >DRNTG_14745.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:164301:165778:1 gene:DRNTG_14745 transcript:DRNTG_14745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHKALEFEDGAGEGADDLLERAHQHKPSSLKVDDHDHDHLHDHSFLHLPSCRS >DRNTG_10232.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:89570:94514:1 gene:DRNTG_10232 transcript:DRNTG_10232.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metalloprotease EGY2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G05740) UniProtKB/Swiss-Prot;Acc:Q9FFK3] MKQYGELIKVPKATIDILRDQVFGFDTFFVTGQEPYEGGVLFKGNLRGKATTSYEKLTKRMQDKFGDQYKLFLLINPEDDKPVAVVVPKQTLLPESTAVPEWFAAGAFGLVTLFTLLLRNVPALQSNLLSTFDNLEMLKEGLPGALVTTFVLVSHEVGHLLVARDIGVKLGVPYFVPSWQIGSFGAITRIVSIVSNRQDLLKLAVAGPMAGFLLGFTLFLLGFILPPNDGIGIVIDSAIFHESFLAGGVAKLLLGDALKEGARLSVNPLVLWAWAGLLINAINSIPAGELDGGRISFALWGRKVSTRLTGVTLALLGVSSFFSDVAFYWAVLLFFLQRGPIAPLSEEISEPENKYIGLGITVLLLGLLVCLPYPFPFTTDRMSFDL >DRNTG_10232.10.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:89570:94514:1 gene:DRNTG_10232 transcript:DRNTG_10232.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metalloprotease EGY2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G05740) UniProtKB/Swiss-Prot;Acc:Q9FFK3] MQLKRKFQTLSSVAYLFPFLLKARRMSDVFETLSFILLFQWHHCFSSGHRVSQDRDDSGSTEMVDSENKLENGDDDDDDDDDDDDIQ >DRNTG_10232.9 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:89570:94514:1 gene:DRNTG_10232 transcript:DRNTG_10232.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metalloprotease EGY2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G05740) UniProtKB/Swiss-Prot;Acc:Q9FFK3] MQLKRKFQTLSSVAYLFPFLLKARRMSDVFETLSFILLFQWHHCFSSGHRVSQDRDDSGSTEMVDSENKLENGDDDDDDDDDDDDIQEKDGDLEVSSGSPLPGMKQYGELIKVPKATIDILRDQVFGFDTFFVTGQEPYEGGVLFKGNLRGKATTSYEKLTKRMQDKFGDQYKLFLLINPEDDKPVAVVVPKQTLLPESTAVPEWFAAGAFGLVTLFTLLLRNVPALQSNLLSTFDNLEMLKEGLPGALVTTFVLVSHEVGHLLVARDIGVKLGVPYFVPSWQIGSFGAITRIVSIVSNRQDLLKLAVAGPMAGFLLGFTLFLLGFILPPNDGIGIVIDSAIFHESFLAGGVAKLLLGDALKEGARLSVNPLVLWAWAGLLINAINSIPAGELDGGRISFALWGRKVSTRLTGVTLALLGVSSFFSDVAFYWAVLLFFLQRGPIAPLSEEISEPENKYIGLGITVLLLGLLVCLPYPFPFTTDRMSFDL >DRNTG_10232.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:89570:94514:1 gene:DRNTG_10232 transcript:DRNTG_10232.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metalloprotease EGY2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G05740) UniProtKB/Swiss-Prot;Acc:Q9FFK3] MQLKRKFQTLSSVAYLFPFLLKARRMSDVFETLSFILLFQWHHCFSSGHRVSQDRDDSGSTEMVDSENKLENGDDDDDDDDDDDDIQEKDGDLEVSSGSPLPGMKQYGELIKVPKATIDILRDQVFGFDTFFVTGQEPYEGGVLFKGNLRGKATTSYEKLTKRMQDKFGDQYKLFLLINPEDDKPVAVVVPKQTLLPESTAVPEWFAAGAFGLVTLFTLLLRNVPALQSNLLSTFDNLEMLKEGLPGALVTTFVLVSHEVGHLLVARDIGVKLGVPYFVPSWQIGSFGAITRIVSIVSNRQDLLKLAVAGPMAGFLLGFTLFLLGFILPPNDGIGIVIDSAIFHESFLAGGVAKLLLGDALKEGARLSVNPLVLWAWAGLLINAINSIPAGELDGGRISFALWGRKVSTRLTGVTLALLGVSSFFSDVAFYWAVLLFFLQRGPIAPLSEEISEPENKYIGLGITVLLLGLLVCLPYPFPFTTDRMSFDL >DRNTG_10232.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:89484:94514:1 gene:DRNTG_10232 transcript:DRNTG_10232.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metalloprotease EGY2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G05740) UniProtKB/Swiss-Prot;Acc:Q9FFK3] MDLTTLALQRDIALISHHFSRHNVLCTHSHCLTSTSSFVPPRRCSWNSSSCGRPRILARRPPCKRQIACRAITEATPESNDNRGKDEGETEYAQSPPSTDTLVRSNNEHLDSNNPSISEDRDDSGSTEMVDSENKLENGDDDDDDDDDDDDIQEKDGDLEVSSGSPLPGMKQYGELIKVPKATIDILRDQVFGFDTFFVTGQEPYEGGVLFKGNLRGKATTSYEKLTKRMQDKFGDQYKLFLLINPEDDKPVAVVVPKQTLLPESTAVPEWFAAGAFGLVTLFTLLLRNVPALQSNLLSTFDNLEMLKEGLPGALVTTFVLVSHEVGHLLVARDIGVKLGVPYFVPSWQIGSFGAITRIVSIVSNRQDLLKLAVAGPMAGFLLGFTLFLLGFILPPNDGIGIVIDSAIFHESFLAGGVAKLLLGDALKEGARLSVNPLVLWAWAGLLINAINSIPAGELDGGRISFALWGRKVSTRLTGVTLALLGVSSFFSDVAFYWAVLLFFLQRGPIAPLSEEISEPENKYIGLGITVLLLGLLVCLPYPFPFTTDRMSFDL >DRNTG_10232.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:89570:94514:1 gene:DRNTG_10232 transcript:DRNTG_10232.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metalloprotease EGY2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G05740) UniProtKB/Swiss-Prot;Acc:Q9FFK3] MQDKFGDQYKLFLLINPEDDKPVAVVVPKQTLLPESTAVPEWFAAGAFGLVTLFTLLLRNVPALQSNLLSTFDNLEMLKEGLPGALVTTFVLVSHEVGHLLVARDIGVKLGVPYFVPSWQIGSFGAITRIVSIVSNRQDLLKLAVAGPMAGFLLGFTLFLLGFILPPNDGIGIVIDSAIFHESFLAGGVAKLLLGDALKEGARLSVNPLVLWAWAGLLINAINSIPAGELDGGRISFALWGRKVSTRLTGVTLALLGVSSFFSDVAFYWAVLLFFLQRGPIAPLSEEISEPENKYIGLGITVLLLGLLVCLPYPFPFTTDRMSFDL >DRNTG_10232.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:89570:94514:1 gene:DRNTG_10232 transcript:DRNTG_10232.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metalloprotease EGY2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G05740) UniProtKB/Swiss-Prot;Acc:Q9FFK3] MVDSENKLENGDDDDDDDDDDDDIQEKDGDLEVSSGSPLPGMKQYGELIKVPKATIDILRDQVFGFDTFFVTGQEPYEGGVLFKGNLRGKATTSYEKLTKRMQDKFGDQYKLFLLINPEDDKPVAVVVPKQTLLPESTAVPEWFAAGAFGLVTLFTLLLRNVPALQSNLLSTFDNLEMLKEGLPGALVTTFVLVSHEVGHLLVARDIGVKLGVPYFVPSWQIGSFGAITRIVSIVSNRQDLLKLAVAGPMAGFLLGFTLFLLGFILPPNDGIGIVIDSAIFHESFLAGGVAKLLLGDALKEGARLSVNPLVLWAWAGLLINAINSIPAGELDGGRISFALWGRKVSTRLTGVTLALLGVSSFFSDVAFYWAVLLFFLQRGPIAPLSEEISEPENKYIGLGITVLLLGLLVCLPYPFPFTTDRMSFDL >DRNTG_10232.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:89570:94514:1 gene:DRNTG_10232 transcript:DRNTG_10232.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metalloprotease EGY2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G05740) UniProtKB/Swiss-Prot;Acc:Q9FFK3] MVDSENKLENGDDDDDDDDDDDDIQEKDGDLEVSSGSPLPGMKQYGELIKVPKATIDILRDQVFGFDTFFVTGQEPYEGGVLFKGNLRGKATTSYEKLTKRMQDKFGDQYKLFLLINPEDDKPVAVVVPKQTLLPESTAVPEWFAAGAFGLVTLFTLLLRNVPALQSNLLSTFDNLEMLKEGLPGALVTTFVLVSHEVGHLLVARDIGVKLGVPYFVPSWQIGSFGAITRIVSIVSNRQDLLKLAVAGPMAGFLLGFTLFLLGFILPPNDGIGIVIDSAIFHESFLAGGVAKLLLGDALKEGARLSVNPLVLWAWAGLLINAINSIPAGELDGGRISFALWGRKVSTRLTGVTLALLGVSSFFSDVAFYWAVLLFFLQRGPIAPLSEEISEPENKYIGLGITVLLLGLLVCLPYPFPFTTDRMSFDL >DRNTG_10232.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:89570:94514:1 gene:DRNTG_10232 transcript:DRNTG_10232.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metalloprotease EGY2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G05740) UniProtKB/Swiss-Prot;Acc:Q9FFK3] MKQYGELIKVPKATIDILRDQVFGFDTFFVTGQEPYEGGVLFKGNLRGKATTSYEKLTKRMQDKFGDQYKLFLLINPEDDKPVAVVVPKQTLLPESTAVPEWFAAGAFGLVTLFTLLLRNVPALQSNLLSTFDNLEMLKEGLPGALVTTFVLVSHEVGHLLVARDIGVKLGVPYFVPSWQIGSFGAITRIVSIVSNRQDLLKLAVAGPMAGFLLGFTLFLLGFILPPNDGIGIVIDSAIFHESFLAGGVAKLLLGDALKEGARLSVNPLVLWAWAGLLINAINSIPAGELDGGRISFALWGRKVSTRLTGVTLALLGVSSFFSDVAFYWAVLLFFLQRGPIAPLSEEISEPENKYIGLGITVLLLGLLVCLPYPFPFTTDRMSFDL >DRNTG_23367.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5845142:5847037:-1 gene:DRNTG_23367 transcript:DRNTG_23367.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTISFQKRQALVTYLENNRAGITVFGFVVDRAWLHTIFMIELSLVLWLLGKTVGIS >DRNTG_14468.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000693.1:66750:69510:-1 gene:DRNTG_14468 transcript:DRNTG_14468.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGSTGEETSTPGILKKVLRKMKRARRRHQKCPKTVGDVHEPRELDEQLLERAQGRAAAPMDDHATGARPLIMAPRTKKQTDKRPRESSSEPEGMRFSIPEHQSCYERLSRLRFGQTRFLHTTILQDLQQGDEFAEEIEDLVSEGGWWQLLTIREPAIREFALEVLSSFDFDRAYARFNSLGTIQFRVFGHHHSLSITQFSILLGLYEEAFTDSEEYAQLPTDYPETLTPQRAYRMLCGQGQYEPGVSKATYLSRPAYRYLHAIMSRLVNGRGDSTGVLSCQELLYLYSMVERARYT >DRNTG_21644.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:137064:140357:-1 gene:DRNTG_21644 transcript:DRNTG_21644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLILYSIITLLSLLCFFTVVSRRWRRRSSPLPPGPTGFPLVGSLFSLDPDLHTYFASLAKTYGPMFSIKLGTKLGIVISSPAIAKEVLQDNDAIFANRDVPAVAHVIAYGASDIAWSPNGPTWRMLRKVCVREMLSKASLDGVYKLRRREMRAMVVDLKNSSGKAVDVGAQMFLTVMNVITSTLWGGTVEGEEGRSAVGKEFREVVSEITELLGQPNLSDFFQRLRWMDLQGIERKMKKYLQRFDGIFKRIIDQKKKEGDQEGGGDKDFLDFMLRLEKEGS >DRNTG_21794.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:16956308:16959705:-1 gene:DRNTG_21794 transcript:DRNTG_21794.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLELLVVTDGEKGCRYFIKECKGRVEGFQVKTIDTTGAGDAFVGSLLVSLAKDRREEEEEEEEEEEEEEEEEEEEMALKNSTISGSPKLTIFLGEGTEVQLPNCIFNTGAVGADLSFSTISESVQFVWRPTLLEENLPVRWLPSKGHHPNVIIPATGKDVAIRKLPRVSAFKRLSHPKRVIPMDDDDDDEPTFTITAKGQESGIFHSFDEDTPQKKMKKIATTPDLRPQLNENKMRKYTLVG >DRNTG_16609.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31319075:31319336:-1 gene:DRNTG_16609 transcript:DRNTG_16609.1 gene_biotype:protein_coding transcript_biotype:protein_coding KWQRRWVKPLQSLAWRKESQRLPLTEVVTLYHGRIEALANSARENGLQF >DRNTG_34529.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21118352:21120171:-1 gene:DRNTG_34529 transcript:DRNTG_34529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPRGCRFDPNEFELIQYLSDKVNGCPDPGQGLIKDDIDAYASSPDLLSANFCPDDGDGRLFCFTPIKFRKGLRCRDRSTPDGHWKATDARRRDR >DRNTG_16398.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16160975:16165119:-1 gene:DRNTG_16398 transcript:DRNTG_16398.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEMSNYSDAFIALPGGYGTLEELFEVISWAQLDIHNKPIRLLNVDGYYNSLLSFIDKVLQEGFINPAERQIIISSSNAKELIENLEVIIIKF >DRNTG_13679.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22757288:22759611:-1 gene:DRNTG_13679 transcript:DRNTG_13679.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSQLRRRGLSHISEVNEEASSAASDSHPTVDNVIQKQRTKSLSVFGALVSPKLRAAQGSFETALETIVELANLRSAVISAHSQLQQEDLADVAGTS >DRNTG_13679.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22757288:22759611:-1 gene:DRNTG_13679 transcript:DRNTG_13679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSQLRRRGLSHISEVNEEASSAASDSHPTVDNVIQKQRTKSLSVFGALVSPKLRAAQGSFETALETIVELANLRSAVISAHSQLQQEDLADVAGTS >DRNTG_13679.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22757288:22759611:-1 gene:DRNTG_13679 transcript:DRNTG_13679.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSQLRRRGLSHISEVNEEASSAASDSHPTVDNVIQKQRTKSLSVFGALVSPKLRAAQGSFETALETIVELANLRSAVISAHSQLQQEDLADVAGTS >DRNTG_25145.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1831675:1838483:1 gene:DRNTG_25145 transcript:DRNTG_25145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPTMKRKKGITKSARLHPSQGAWPITGHSPPAPSTSTINATVRPRKMSREATRLAFCTAGDGCFR >DRNTG_26189.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29088915:29089539:-1 gene:DRNTG_26189 transcript:DRNTG_26189.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLRLHRMEIDEGGVDGDALGRDLNDPRSGHRDEGVVRGEAETSSMFSFV >DRNTG_06019.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16113008:16114993:-1 gene:DRNTG_06019 transcript:DRNTG_06019.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFFLWPWTSLFACRMSLLLCSRGYQRTGSLM >DRNTG_06019.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16092981:16114993:-1 gene:DRNTG_06019 transcript:DRNTG_06019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFVAHNKFGNLPYGFRANTWLVPPFAAQLPSVFTPLPAEDETWGGNGGGWGRDGKSDMLAWANEFLLLTTMPCKTTEERQDRDRRAFLLHSLFVDVAIFRAVAAVRHVMERNLITPLIEKDGILYVETVGDFNITVFRDVSDASCKVDTKIDGTKITEIECKQLAEKNILKGVTADENTAAHDFATLGVVNIRYCGYIAVVKVEYNEKFKVDDAMQSVDINDHPEGGANALNINSLRMLLHKSPVLEQKRTSNHSEGIKQEETASAQVLVENVVKASLAKLEEEEIDHDIFVRWELGACWIQHLQDQKNAEKDKKQAGGKDKKQTNEMVRTETKVEGLGKPLKFLRDPKKKSDVNDENTLLVDGKSPEGIIGLETQRTISSSRESLGETKSSEKELLKDLLSDVAFARLKESETGLHQKSLQELTEMALKYYDEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLRMRSLGQVVKLSEKLSHVQSLCIHEMIVRAFKHIVRAVIVAASDAGNLAISVAATLNLLLGKCDTGTSNFAGVHDLVWKWLETFLKKRYKWELSKTSYCDVRKYAILRGLCHKVGIELAPRDFDMDSAFPFHKFDIVSLVPVHKQVACSSADGRQLLESSKTALDKGKLEDAVNYGTKALAKLIAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGTDHIQTAASYHAIAIALSLMEAYALSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPDEDLRGRDIESAKRKNLSLKLKGRSSQSLKVTSSDLSSKDSNAPTSDDEKQVKETIYSQIDMPSSNPLVEPKEEDTLIKEHIEVSRQSERVVDKQQSVIKEDLADINTEVEDGWQPVQRPRSAGLAGRRFKQRRSSFGKVYSYQKNDINDEVVHSKQKFTVSNSCYYLLKKRTILPGGYTDNHQMKVQSPGTKFGRKIYKAVTYRVKTVPSSVSSEAMDNPKHGSEPVSLQPDFQTSFTPDDTAINNQRGVASEVIESHSNLIVSLGKSPSYKDVALAPPGTITKIQIRKPKEDLSLHKQFVSVKSEIELKETAAVDDHVDPSVLKPVDRLKQEEENLVEVAEGNICLSAKEIEVGDEKEGILGTVEEENSSTLMSPDKENEFCEKKTDNYCLANDAVDNKVQQPLDRDSHGDNKPSLPDANSGLQNVECRVSNVSKEHSEDTLPRSIELEPNLTSTINEDLEKVETTEVTTCDTTKATLSLNNNDLRDIPNKKLSASAAPFNPSPAVVLGPVAVSVGLPPSGAIPTVTPWPLNVSLQPGPASPLPTAPICTSPHLPYPSSPRPPNIFHPLPFMYPPFTQSQAIPSSTFAMNNSMFHPNHFAWQCNMNQNASEYMQGTIWPGCHPVEFSVLPPVINPISESMMEATLQSSNSNGVNLTLLRSLQLVK >DRNTG_06019.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16092981:16114993:-1 gene:DRNTG_06019 transcript:DRNTG_06019.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFVAHNKFGNLPYGFRANTWLVPPFAAQLPSVFTPLPAEDETWGGNGGGWGRDGKSDMLAWANEFLLLTTMPCKTTEERQDRDRRAFLLHSLFVDVAIFRAVAAVRHVMERNLITPLIEKDGILYVETVGDFNITVFRDVSDASCKVDTKIDGTKITEIECKQLAEKNILKGVTADENTAAHDFATLGVVNIRYCGYIAVVKVEYNEKFKVDDAMQSVDINDHPEGGANALNINSLRMLLHKSPVLEQKRTSNHSEGIKQEETASAQVLVENVVKASLAKLEEEEIDHDIFVRWELGACWIQHLQDQKNAEKDKKQAGGKDKKQTNEMVRTETKVEGLGKPLKFLRDPKKKSDVNDENTLLVDGKSPEGIIGLETQRTISSSRESLGETKSSEKELLKDLLSDVAFARLKESETGLHQKSLQELTEMALKYYDEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLRMRSLGQVVKLSEKLSHVQSLCIHEMIVRAFKHIVRAVIVAASDAGNLAISVAATLNLLLGKCDTGTSNFAGVHDLVWKWLETFLKKRYKWELSKTSYCDVRKYAILRGLCHKVGIELAPRDFDMDSAFPFHKFDIVSLVPVHKQVACSSADGRQLLESSKTALDKGKLEDAVNYGTKALAKLIAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGTDHIQTAASYHAIAIALSLMEAYALSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPDEDLRGRDIESAKRKNLSLKLKGRSSQSLKVTSSDLSSKDSNAPTSDDEKQVKETIYSQIDMPSSNPLVEPKEEDTLIKEHIEVSRQSERVVDKQQSVIKEDLADINTEVEDGWQPVQRPRSAGLAGRRFKQRRSSFGKVYSYQKNDINDEVVHSKQKFTVSNSCYYLLKKRTILPGGYTDNHQMKVQSPGTKFGRKIYKAVTYRVKTVPSSVSSEAMDNPKHGSEPVSLQPDFQTSFTPDDTAINNQRGVASEVIESHSNLIVSLGKSPSYKDVALAPPGTITKIQIRKPKEDLSLHKQFVSVKSEIELKETAAVDDHVDPSVLKPVDRLKQEEENLVEVAEGNICLSAKEIEVGDEKEGILGTVEEENSSTLMSPDKENEFCEKKTDNYCLANDAVDNKVQQPLDRDSHGDNKPSLPDANSGLQNVECRVSNVSKEHSEDTLPRSIELEPNLTSTINEDLEKVETTEVTTCDTTKATLSLNNNDLRDIPNKKLSASAAPFNPSPAVVLGPVAVSVGLPPSGAIPTVTPWPLNVSLQPGPASPLPTAPICTSPHLPYPSSPRPPNIFHPLPFMYPPFTQSQAIPSSTFAMNNSMFHPNHFAWQCNMNQNASEYMQGTIWPGCHPVEFSVLPPVINPISESMMEATLQSSNSNGVNLTLLRSLQLVK >DRNTG_06019.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16092981:16112498:-1 gene:DRNTG_06019 transcript:DRNTG_06019.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFVAHNKFGNLPYGFRANTWLVPPFAAQLPSVFTPLPAEDETWGGNGGGWGRDGKSDMLAWANEFLLLTTMPCKTTEERQDRDRRAFLLHSLFVDVAIFRAVAAVRHVMERNLITPLIEKDGILYVETVGDFNITVFRDVSDASCKVDTKIDGTKITEIECKQLAEKNILKGVTADENTAAHDFATLGVVNIRYCGYIAVVKVEYNEKFKVDDAMQSVDINDHPEGGANALNINSLRMLLHKSPVLEQKRTSNHSEGIKQEETASAQVLVENVVKASLAKLEEEEIDHDIFVRWELGACWIQHLQDQKNAEKDKKQAGGKDKKQTNEMVRTETKVEGLGKPLKFLRDPKKKSDVNDENTLLVDGKSPEGIIGLETQRTISSSRESLGETKSSEKELLKDLLSDVAFARLKESETGLHQKSLQELTEMALKYYDEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLRMRSLGQVVKLSEKLSHVQSLCIHEMIVRAFKHIVRAVIVAASDAGNLAISVAATLNLLLGKCDTGTSNFAGVHDLVWKWLETFLKKRYKWELSKTSYCDVRKYAILRGLCHKVGIELAPRDFDMDSAFPFHKFDIVSLVPVHKQVACSSADGRQLLESSKTALDKGKLEDAVNYGTKALAKLIAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGTDHIQTAASYHAIAIALSLMEAYALSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPDEDLRGRDIESAKRKNLSLKLKGRSSQSLKVTSSDLSSKDSNAPTSDDEKQVKETIYSQIDMPSSNPLVEPKEEDTLIKEHIEVSRQSERVVDKQQSVIKEDLADINTEVEDGWQPVQRPRSAGLAGRRFKQRRSSFGKVYSYQKNDINDEVVHSKQKFTVSNSCYYLLKKRTILPGGYTDNHQMKVQSPGTKFGRKIYKAVTYRVKTVPSSVSSEAMDNPKHGSEPVSLQPDFQTSFTPDDTAINNQRGVASEVIESHSNLIVSLGKSPSYKDVALAPPGTITKIQIRKPKEDLSLHKQFVSVKSEIELKETAAVDDHVDPSVLKPVDRLKQEEENLVEVAEGNICLSAKEIEVGDEKEGILGTVEEENSSTLMSPDKENEFCEKKTDNYCLANDAVDNKVQQPLDRDSHGDNKPSLPDANSGLQNVECRVSNVSKEHSEDTLPRSIELEPNLTSTINEDLEKVETTEVTTCDTTKATLSLNNNDLRDIPNKKLSASAAPFNPSPAVVLGPVAVSVGLPPSGAIPTVTPWPLNVSLQPGPASPLPTAPICTSPHLPYPSSPRPPNIFHPLPFMYPPFTQSQAIPSSTFAMNNSMFHPNHFAWQCNMNQNASEYMQGTIWPGCHPVEFSVLPPVINPISESMMEATLQSSNSNGVNLTLLRSLQLVK >DRNTG_06019.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16092981:16111449:-1 gene:DRNTG_06019 transcript:DRNTG_06019.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWANEFLLLTTMPCKTTEERQDRDRRAFLLHSLFVDVAIFRAVAAVRHVMERNLITPLIEKDGILYVETVGDFNITVFRDVSDASCKVDTKIDGTKITEIECKQLAEKNILKGVTADENTAAHDFATLGVVNIRYCGYIAVVKVEYNEKFKVDDAMQSVDINDHPEGGANALNINSLRMLLHKSPVLEQKRTSNHSEGIKQEETASAQVLVENVVKASLAKLEEEEIDHDIFVRWELGACWIQHLQDQKNAEKDKKQAGGKDKKQTNEMVRTETKVEGLGKPLKFLRDPKKKSDVNDENTLLVDGKSPEGIIGLETQRTISSSRESLGETKSSEKELLKDLLSDVAFARLKESETGLHQKSLQELTEMALKYYDEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLRMRSLGQVVKLSEKLSHVQSLCIHEMIVRAFKHIVRAVIVAASDAGNLAISVAATLNLLLGKCDTGTSNFAGVHDLVWKWLETFLKKRYKWELSKTSYCDVRKYAILRGLCHKVGIELAPRDFDMDSAFPFHKFDIVSLVPVHKQVACSSADGRQLLESSKTALDKGKLEDAVNYGTKALAKLIAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGTDHIQTAASYHAIAIALSLMEAYALSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPDEDLRGRDIESAKRKNLSLKLKGRSSQSLKVTSSDLSSKDSNAPTSDDEKQVKETIYSQIDMPSSNPLVEPKEEDTLIKEHIEVSRQSERVVDKQQSVIKEDLADINTEVEDGWQPVQRPRSAGLAGRRFKQRRSSFGKVYSYQKNDINDEVVHSKQKFTVSNSCYYLLKKRTILPGGYTDNHQMKVQSPGTKFGRKIYKAVTYRVKTVPSSVSSEAMDNPKHGSEPVSLQPDFQTSFTPDDTAINNQRGVASEVIESHSNLIVSLGKSPSYKDVALAPPGTITKIQIRKPKEDLSLHKQFVSVKSEIELKETAAVDDHVDPSVLKPVDRLKQEEENLVEVAEGNICLSAKEIEVGDEKEGILGTVEEENSSTLMSPDKENEFCEKKTDNYCLANDAVDNKVQQPLDRDSHGDNKPSLPDANSGLQNVECRVSNVSKEHSEDTLPRSIELEPNLTSTINEDLEKVETTEVTTCDTTKATLSLNNNDLRDIPNKKLSASAAPFNPSPAVVLGPVAVSVGLPPSGAIPTVTPWPLNVSLQPGPASPLPTAPICTSPHLPYPSSPRPPNIFHPLPFMYPPFTQSQAIPSSTFAMNNSMFHPNHFAWQCNMNQNASEYMQGTIWPGCHPVEFSVLPPVINPISESMMEATLQSSNSNGVNLTLLRSLQLVK >DRNTG_01331.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:105442:108151:-1 gene:DRNTG_01331 transcript:DRNTG_01331.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRPSLVVLSGDWEGRGKKESRKAPPLGTVPVEELARSDAEDPQMCPHYASDIHLYLRSMEMEDKRKPLANFIETVQNDITANMRAILVDWLVEVAEEYKLVPDTLYLTISYIDRFLSSNALNRQMLQLLGVSCMLIASKYEEISPPHVEDFCYITDNTYSKQEVVKMESDILKFLNFEMGNPTVKTFVRRFISVCQEDYGHPNLKLEFLCNYLAELSLLDYGCVRHLPSAVAASAVFLARFTINPDRHPWSTAMQNHTGYSVTELKECILAIHDMQLNRKVSSLTSTREKYKQHKFKCVSALLSPSEIPESYLEDFKE >DRNTG_01331.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:105442:108151:-1 gene:DRNTG_01331 transcript:DRNTG_01331.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRPSLVVLSGDWEGRGKKESRKAPPLGTVPVEELARSDAEDPQMCPHYASDIHLYLRSMEMEDKRKPLANFIETVQNDITANMRAILVDWLVEVAEEYKLVPDTLYLTISYIDRFLSSNALNRQMLQLLGVSCMLIASKYEEISPPHVEDFCYITDNTYSKQEVLLLFCVFS >DRNTG_04256.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9661505:9670260:-1 gene:DRNTG_04256 transcript:DRNTG_04256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITEVKQYGATFKLDYGAVYWNSRLEHEHIRLVSLFNAGEIVCDMFAGIGPFVIPAAQKGCTVYANDLNPDSVHYLGLNARINKVEDHVCTYNMDARTFMHHLMAVPAQESKPDPQISVSGYLEADIFLVNEEADNEDRQLRDAMEEGHDNGIDAQEGLSTSKRIHASAKRRSENCNAEDQVLRGCTVGTINKSNRNTSKRMRAVKASSTNVWEHVDHVIMNLPASALQFLDVFRGLLQRNHWKGLLPWIHCYCFMRTNETKELIIAEAESILNSKIDDPMFHRVRDVAPNKAMFCLSFRLPAETCSG >DRNTG_04256.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9661505:9670260:-1 gene:DRNTG_04256 transcript:DRNTG_04256.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMINESKFDVHLQLWALRIPRELCKSVSRLLHGYMLDKPRIKPITEDPASEKKRLVILSERVQNPELLGIPAQTLDALKLLCNIEVVPHSLTLGYAYWGADHILKQVLPDGVEIPSSFETIGHIAHLNITEELLPYKSVIAKVIYDKNQPRIKTVVNKVGSITNEFRVPKFEILVGEENMITEVKQYGATFKLDYGAVYWNSRLEHEHIRLVSLFNAGEIVCDMFAGIGPFVIPAAQKGCTVYANDLNPDSVHYLGLNARINKVEDHVCTYNMDARTFMHHLMAVPAQESKPDPQISVSGYLEADIFLVNEEADNEDRQLRDAMEEGHDNGIDAQEGLSTSKRIHASAKRRSENCNAEDQVLRGCTVGTINKSNRNTSKRMRAVKASSTNVWEHVDHVIMNLPASALQFLDVFRGLLQRNHWKGLLPWIHCYCFMRTNETKELIIAEAESILNSKIDDPMFHRVRDVAPNKAMFCLSFRLPAETCSG >DRNTG_04256.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9661505:9670260:-1 gene:DRNTG_04256 transcript:DRNTG_04256.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMINESKFDVHLQLWALRIPRELCKSVSRLLHGYMLDKPRIKPITEDPASEKKRLVILSERVQNPELLGIPAQTLDALKLLCNIEVVPHSLTLGYAYWGADHILKQVLPDGVEIPSSFETIGHIAHLNITEELLPYKSVIAKVIYDKNQPRIKTVVNKVGSITNEFRVPKFEILVGEENMITEVKQYGATFKLDYGAVYWNSRLEHEHIRLVSLFNAGEIVCDMFAGIGPFVIPAAQKGCTVYANDLNPDSVHYLGLNARINKVEDHVCTYNMDARTFMHHLMAVPAQESKPDPQISVSGYLEADIFLVNEEADNEDRQLRDAMEEGHDNGIDAQEGLSTSKRIHASAKRRSENCNAAEDQVLRGCTVGTINKSNRNTSKRMRAVKASSTNVWEHVDHVIMNLPASALQFLDVFRGLLQRNHWKGLLPWIHCYCFMRTNETKELIIAEAESILNSKIDDPMFHRVRDVAPNKAMFCLSFRLPAETCSG >DRNTG_04256.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:9661505:9667567:-1 gene:DRNTG_04256 transcript:DRNTG_04256.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSSGHIAHLNITEELLPYKSVIAKVIYDKNQPRIKTVVNKVGSITNEFRVPKFEILVGEENMITEVKQYGATFKLDYGAVYWNSRLEHEHIRLVSLFNAGEIVCDMFAGIGPFVIPAAQKGCTVYANDLNPDSVHYLGLNARINKVEDHVCTYNMDARTFMHHLMAVPAQESKPDPQISVSGYLEADIFLVNEEADNEDRQLRDAMEEGHDNGIDAQEGLSTSKRIHASAKRRSENCNAAEDQVLRGCTVGTINKSNRNTSKRMRAVKASSTNVWEHVDHVIMNLPASALQFLDVFRGLLQRNHWKGLLPWIHCYCFMRTNETKELIIAEAESILNSKIDDPMFHRVRDVAPNKAMFCLSFRLPAETCSG >DRNTG_33732.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:20798679:20802227:1 gene:DRNTG_33732 transcript:DRNTG_33732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRDPYNLQQDPACSDHPPQHIVCRSSNL >DRNTG_33416.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1601042:1603634:1 gene:DRNTG_33416 transcript:DRNTG_33416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSATLSISGGSCLKLSGLQSEKLEAFGGRRSQLVVRQSSKKNNPGRLSVRSEYSNGSSGGSGDFFAGFLLGGAICGTLAYVFAPQIRRSLLNEDESGFRRAKRPVYYDEGLEKTRQTLNAKISQLNSAIDNVSSRLRGGGNNPVPEPVEADPEVGATM >DRNTG_33416.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1601042:1603634:1 gene:DRNTG_33416 transcript:DRNTG_33416.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIFKFHGHQFTSHVKVFLQIFIVGVACQGFSLL >DRNTG_19387.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22217204:22222064:-1 gene:DRNTG_19387 transcript:DRNTG_19387.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein KINESIN LIGHT CHAIN-RELATED 1 [Source:Projected from Arabidopsis thaliana (AT4G10840) UniProtKB/Swiss-Prot;Acc:O81629] MPGLVTPPEAPPLRISLPDGADPQIRPDPDAPDNKIARSPVPRRNPSPSSSRVKPSPKRPMPRVEEAALDNPDLGPFLLKQARDTIASGESPAKALEFAIRASRSLEMCAGDDDGMRLELAMSFHVTAAIYCSLGRFEEAVPVLEKAVGVPDPARGADHALAAFSGHMQLGDTYSMLGRLDQSIGAYTKGLEIQIQALGDADPRVAETCRYLAEAHVQAMQFDAAEELCRKTLEIHREHSAPASVEEAADRRLMALICEAKGDSEAALEHLVLASMAMIANGQEAEVAAIDVSIGNTYLSLGRFDEAVFSYQKALTVFKSTRGDSHPSVASVFVRLADLYLKTGKLRESKSYCENALRIYAKPVPGTTAEEIAGGLMEISAIYEAVNEPEEALKLLQKALKLLEDSPGQWSMVAGIEAQMGVMYYMVGRYAESRSSFGNSVAKLRASGERKSAFFGVLLNQMGLACVQLFKIDEAAELFEEARGILEQECGSYHQDTLGVYSNLAATYDAMGRVEDAIEILEYVLKVREEKLGTANPDVDDEKKRLAELLKEAGRARNRKAKSLENLLVNNTQKVKKEGTKRWAGLSFKS >DRNTG_06974.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:53918:57339:-1 gene:DRNTG_06974 transcript:DRNTG_06974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALRSPIVRPSSLQLEHRAVRGGLPVVSRSCVLYSSNASFRTSFCGLKLWMFNMLKDPNPKCKKVEIQAKDQVYDRLKFDASYHVQNDIKETRGGPSLCIAVVGATGELARNKVFPALFALYYSGCLPENVGIFGYSRKELSDENLRSIIAETLTCRVDHRENCGDKLNNFLKRTYHQFGGYDNKIGMAELNSRMEQIEDCHEANRIFYLSVPQEALLDVVLSIADHAQSKRGWNRIIIEKPFGFNASSSYLLTQSILSKFEEKQKYRIDHLLGKDLIENLTVLRFSNLVFEPLWSRTYIRNVQVIVSEECGMETRGRYFGGYGVIRDVVHSHILQTIALFAMEPPVSLDGEAIRNEKVKVLRSIRKLGMDDVVLGQHKGGPIENTAIHKDDLTPTFFAAALYIDNTRWDGVPFLIKAGLGLIKHRVEIRIQFHHVPGNIYRERIRHDTDLATNELILRDLPDETILLKVNNKVPGLGVQLDASELNLLYNDKYNVEIPDSYEHLILDVIDGDNHLFMRSDELAAAWNILTPILYQIDENKIVPELYQFGGRGPVGAYYLGAKHGVRWADD >DRNTG_06974.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:53918:56403:-1 gene:DRNTG_06974 transcript:DRNTG_06974.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELNSRMEQIEDCHEANRIFYLSVPQEALLDVVLSIADHAQSKRGWNRIIIEKPFGFNASSSYLLTQSILSKFEEKQKYRIDHLLGKDLIENLTVLRFSNLVFEPLWSRTYIRNVQVIVSEECGMETRGRYFGGYGVIRDVVHSHILQTIALFAMEPPVSLDGEAIRNEKVKVLRSIRKLGMDDVVLGQHKGGPIENTAIHKDDLTPTFFAAALYIDNTRWDGVPFLIKAGLGLIKHRVEIRIQFHHVPGNIYRERIRHDTDLATNELILRDLPDETILLKVNNKVPGLGVQLDASELNLLYNDKYNVEIPDSYEHLILDVIDGDNHLFMRSDELAAAWNILTPILYQIDENKIVPELYQFGGRGPVGAYYLGAKHGVRWADD >DRNTG_02729.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19719656:19722850:-1 gene:DRNTG_02729 transcript:DRNTG_02729.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPGNLTALSDSDNGSSVCYPVGDLSTGADAEAEALRRLSNNLASLFDSADLAPFADAFLVVENDADDPPKEFGVHRCLLSARSPFFRDVFSKKDESLVRIEVRELVPGFRIGYESLGAVLVYLYSGKVGVLPKGVCVCVDPECSHVGCRPVVDFMVEALFASFVFQVSELVNLFQRHLFDVLDKVAADDMLVVLSAVNSCDKLCDKLLAKCIEIIVKSDLDVVTLEKALPAELVKQITDSRISLGLFKPESLDYPNKHVKRIHRALDSDDIELVQMLLKEGHATLDDAYALHYAVAHCDFKITKELLEIGLANINHKDIRGYTVLHIAAMRKEPQILVSLLTKGAQPSDLTSDGRTALQISQRLTRFMDYTRVTEKGKASHKGRLCVEILELAERREPLLGEASVSLAMASDDLRIKLLYLENRVALARMLFPREAKVAMEIAQVDGTLEFTLGSSADFRVGNQRTAVDLNDTPFEIKEEHLARMQALTKTVALGKRFFPRCSEVLNKIMDDEVSELYISHDTTEERKRRYVELQDILKKAFSEDKEEFDKSGMSSSSSSSMGIRTKIARR >DRNTG_33341.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:10679364:10680845:-1 gene:DRNTG_33341 transcript:DRNTG_33341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFASPTSKMPPTSLEALRQENAELRIAKNDLAKRLSEIRNGRAIVDEFRRLRLAEEGTSPTSVLGGSFSHAEKRVTLPKSISIRSSGFLKLNPTGRPSGPSSSARFSQQQQQQQHQHQHQHQHQQHQQHQHQHQKVYLGTGDEEKKSDVEVEVYNQGMFKTELCNKWEELGECPYGEHCQFAHGISELRPVIRHPRYKTEVCRMVLSGDTCPYGHRCHFRHAIS >DRNTG_11659.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:182608:183104:1 gene:DRNTG_11659 transcript:DRNTG_11659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGMGFGAGRVFAATATKSSGGSKEEKGLLDWIMGGLQKEDQLLETDPILKKVEEKNGKKSTTSVSVPNNKKKSGGFGGLFAKN >DRNTG_22959.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1024857:1028699:1 gene:DRNTG_22959 transcript:DRNTG_22959.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g10380 [Source:Projected from Arabidopsis thaliana (AT4G10380) UniProtKB/TrEMBL;Acc:A3KPG0] MIGAEFVGTFILIFGATAAPIVNQKYNGAETLIGNAACAGLAVMIVILSTGHISGAHLNPSLTIAFAVLRHFPWVQVPAYIAAQVSASICASFALKGIFHPFLSGGVTVPSVSTAQAFFIEFVITFNLLFVVTAVATDTRAVGELAGIAVGATVMLNILVAGPSSGGSMNPVRTLGPAVAAGNYKQIWIYLVAPTAGAITGAAVYTAVKLKGDADNNPDTPPTRRSFRR >DRNTG_22959.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1022262:1028699:1 gene:DRNTG_22959 transcript:DRNTG_22959.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g10380 [Source:Projected from Arabidopsis thaliana (AT4G10380) UniProtKB/TrEMBL;Acc:A3KPG0] MPESETGTPMASAPATPGTPGAPLFTGLRIDSLSYDRKSMPRCNKCLPLDSLASPHQCFIDFPKPNVSLTRKIGAEFVGTFILIFGATAAPIVNQKYNGAETLIGNAACAGLAVMIVILSTGHISGAHLNPSLTIAFAVLRHFPWVQVPAYIAAQVSASICASFALKGIFHPFLSGGVTVPSVSTAQAFFIEFVITFNLLFVVTAVATDTRAVGELAGIAVGATVMLNILVAGPSSGGSMNPVRTLGPAVAAGNYKQIWIYLVAPTAGAITGAAVYTAVKLKGDADNNPDTPPTRRSFRR >DRNTG_26280.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22956606:22959927:-1 gene:DRNTG_26280 transcript:DRNTG_26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPINGGLLYHEVQESKLCAVHCVNTVLQGPFFSEFDLAALASDLDRRERQMMLQGVDGGLSGDFLSEDSHNVSMGGDFSIQVLQKALEVWDLQVIPLDSPVAEPSKYDPELESAFICHLHNHWFCIRKVNGEWYNFNSLYPAPEHLSKFYLSAYLDTLKGSGWSIFLVRGNFPKDCPISSEGSNGFGVWLTPEDAERITKSCNQNPVPTQNEGSSVPLHSTEYVGEDEALLKQEEDDLNAAIAASLMDSSAFSSGAGIIQGQSCLVESPAPLPEKNASSTD >DRNTG_08517.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28850005:28852836:-1 gene:DRNTG_08517 transcript:DRNTG_08517.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSDLDLANYWLMEARKYENSTIESPYKRRLKECVFEGRTRVLSNKSPPQVQHDCLSLKAVNPKRQIPKAADFILDAPDILDDYYVNLLDWSSSNILAVALDKTVYLWNESDGSTTELSTFDSSVTSVSWSHDGRRLAVGLHKAEVQLWDLESHCLFRKLQGVHNSRVGSIAWNNHILSTAGKKIVNFDTRAEPRAFQTCQGHRGRLCGLKWSPSGRQLASGGDDGLVCIWELSMASSNRWLHRYNNHKGSAKALCWSPVRGNLLASTGGDSDQCILVRNTSTCEVLSMVNTGCQINEILWSIDGKELLSSHGSYDNQLMLWNYPSMTKITDIPGHSTRILFMAQSSDGSTVATAGADEYLKIWKNVLPKHSTKTSQPFANVNLIR >DRNTG_31219.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2364859:2366499:1 gene:DRNTG_31219 transcript:DRNTG_31219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSGTSIRGREYEDPATKNYMAARAFLQLSKGNLNISKSITESKALLCFTVLKKDRRCAKSPRSQSKSPTTIEKAHDEIEDSVVVMIDEEAGVYVIMVLECVLADIRAHSSVTRMSGPGLIREVKHIVAIPFTAEACISYFVEAFNFETIGVGYIDESGVLTPPTMSIASTRTAFVFPLSVTTVTLTRFFAGNVVKGASYALSVKSQILGLHNMDPTRCEFCYKLNMGLGLGLKRFVKGLWLKEDTDADIVDQSVKSNDKGQAYRASTNSYSSKGKKKSSFESK >DRNTG_08662.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16812953:16816380:1 gene:DRNTG_08662 transcript:DRNTG_08662.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNSIHFSTTLLLLLINFITISHCIQSQQTQALLEFKKHLNDPLNYLTTWTSSLPPCQFHGIICNSNSSEIIGISLANMSLSGTISPSIASLNSLVSLDIGQNSITGTIPNELLNCTNLQILNLSTNGLTGLLPDLSSLTSLILLDVSSNSLTGDFPAWVVKLTNLAQLGLAANQFNESEISESIGELKNLTWLFLNSCNLRGEIPESIFQLASLGTLDLSMNHLTGIIPSSISNLNNLFKLELFQNNLTGVLPAELGSIAGLREIDVSRNQLSGELPAELGFLKNLTVFQIYRNNFWGELPSEFGNLKFLNAFSVYENKFTGLFPAELGRYSPINSVDISENEFSGPFPRYLCQNNNLQYLLALNNKFSGEFPENYATCKTLMRFRISQNGFTGKVPDGLWGLPYAQIIDVSDNYFVGKISAAVGMSASLTELNAQKNKFSGELPKELGNLSQLQKLIASSNSFSGPIPEEIGNLNQLTSLHLESNEISGSLPTELSMCNRLADLDVADNLLSGEIPESLAMLTSLNSLNLSRNMLTGSIPDGLQILRLSSLDLSMNQLSGRVPPELLVIAGDEAFANNAGLCTDDLTKNQVTTLLTVCNLSQSHKRNSEKRLVYISILFALLVLSVLLAFASFKRFKFEELKKNKDLEEGSEEDISWKLESFHPTEMDAEEICNVDDDNLIGDGANGKVYRLDLNKNRGTFAVKKLSKCKGEQVLMTEIDILGKIRHRNILKLYACLTRGKANFLVFEYMPNGNLYQALCREVKGGKPELDWNKRYNIAIGAAKGLMYLHHDCSPAIIHRDIKSSNILLDENYEAKISDFGIAKVAENSELTSFAGTHGYIAPELAYSIKLTEKSDIYSFGVVLLELITGRGVTESIYGEGKDIVYWVSTHLDSEKVLQVLDKKVCTYIEGDMIKVLKVAILCTAKLPSVRPTMRQVVNMLIDADPCNSFTSGKDYCKD >DRNTG_08662.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16811487:16816380:1 gene:DRNTG_08662 transcript:DRNTG_08662.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNSIHFSTTLLLLLINFITISHCIQSQQTQALLEFKKHLNDPLNYLTTWTSSLPPCQFHGIICNSNSSEIIGISLANMSLSGTISPSIASLNSLVSLDIGQNSITGTIPNELLNCTNLQILNLSTNGLTGLLPDLSSLTSLILLDVSSNSLTGDFPAWVVKLTNLAQLGLAANQFNESEISESIGELKNLTWLFLNSCNLRGEIPESIFQLASLGTLDLSMNHLTGIIPSSISNLNNLFKLELFQNNLTGVLPAELGSIAGLREIDVSRNQLSGELPAELGFLKNLTVFQIYRNNFWGELPSEFGNLKFLNAFSVYENKFTGLFPAELGRYSPINSVDISENEFSGPFPRYLCQNNNLQYLLALNNKFSGEFPENYATCKTLMRFRISQNGFTGKVPDGLWGLPYAQIIDVSDNYFVGKISAAVGMSASLTELNAQKNKFSGELPKELGNLSQLQKLIASSNSFSGPIPEEIGNLNQLTSLHLESNEISGSLPTELSMCNRLADLDVADNLLSGEIPESLAMLTSLNSLNLSRNMLTGSIPDGLQILRLSSLDLSMNQLSGRVPPELLVIAGDEAFANNAGLCTDDLTKNQVTTLLTVCNLSQSHKRNSEKRLVYISILFALLVLSVLLAFASFKRFKFEELKKNKDLEEGSEEDISWKLESFHPTEMDAEEICNVDDDNLIGDGANGKVYRLDLNKNRGTFAVKKLSKCKGEQVLMTEIDILGKIRHRNILKLYACLTRGKANFLVFEYMPNGNLYQALCREVKGGKPELDWNKRYNIAIGAAKGLMYLHHDCSPAIIHRDIKSSNILLDENYEAKISDFGIAKVAENSELTSFAGTHGYIAPELAYSIKLTEKSDIYSFGVVLLELITGRGVTESIYGEGKDIVYWVSTHLDSEKVLQVLDKKVCTYIEGDMIKVLKVAILCTAKLPSVRPTMRQVVNMLIDADPCNSFTSGKDYCKD >DRNTG_24632.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31180737:31218827:1 gene:DRNTG_24632 transcript:DRNTG_24632.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRETMNFSAWFQGVGSRAEILKEVVKREKQQGIIPDPEIEQFMKAATSVKGLETSIQTDYVMKIMGMDVCADIMVGDAMRRGISGGQKKRLTTAEVIVGPTKALFMDEISTGLDSSTTFQIVTCLQQMAHITEATIVISLLQPAPETYDLFDDIILMAEGKIVYQGPREQVLLFFEE >DRNTG_24632.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31180737:31181806:1 gene:DRNTG_24632 transcript:DRNTG_24632.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDAMRRGISGGQKKRLTTAEVIVGPTKALFMDEISTGLDSSTTFQIVTCLQQMAHINRATIVISLLQPAPETYDLFRRHYL >DRNTG_24632.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31180737:31181806:1 gene:DRNTG_24632 transcript:DRNTG_24632.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRETMNFSAWFQGVGSRAEILKEVVKREKQQGIIPDAEIEQFMKAATSVKGLETSIQTDYVMKIMVMDVCADIMVGDAMRRGISGGQKKRLTTAEVIVGPTKALFMDEISTGLDSSTTFQIVTCLQQMAHINRATIVISLLQPAPETYDLFRRHYL >DRNTG_24632.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31217892:31218827:1 gene:DRNTG_24632 transcript:DRNTG_24632.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRETLNFSTWFQGVGSRAEILKEVVKREKQQGIIPDPEIEQFMKAATSVKGLETSIQTDYVMKIMGMDVCADIMVGDAMRRGISGGQKKRLTTAEVIVGPTKALFMDEISTGLDSSTTFQIVTCLQQMAHITEATIVISLLQPAPETYDLFDDIILMAEGKIVYQGPREQVLLFFEE >DRNTG_29711.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001499.1:27762:33426:1 gene:DRNTG_29711 transcript:DRNTG_29711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYAHLPSDMLQEIIKYFSFSDYIRFSTVCSHWYDVAKERRHSLRKQLPWLIFFYADSPKFFDPLEEKVYQIEIPELRKRHCAGSSHGWLITIDLDLTINLLNLFSKAQIKLPSLAYDAYDVRAKDYYECFWSKSPEEKRNQLIYKAILSADPCKSLNYIIIAILCGCFKLAFWRSSDLTWTVINIDFFLQDVIWYDGAFYVVGSESQLYRIEIDFMGDLLLVYRRIYVGKIYESESDAENDPDWNGLGSLNTVNFMVFN >DRNTG_05374.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13562560:13564862:-1 gene:DRNTG_05374 transcript:DRNTG_05374.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKYGVNESCHPEFDPQAWCDAIGGIETTRKHVYGFRTTPRGEKKLISPPISIAEDSYSSTCNPLVEAPRSSTKVDNLREEVAAVKNKLQSLEDSHNEIKTFLRLITKMLNPITLARNAGFSQIGTNDKDCEEETTDGED >DRNTG_05374.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13562560:13564862:-1 gene:DRNTG_05374 transcript:DRNTG_05374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKYGVNESCHPEFDPQAWCDAIGGIETTRKHVYGFRTTPRGEKKLISPPISIAEDSYSSTCNPLVEAPRSSTKVDNLREEVAAVKNKLQSLEDSHNEIKTFLRLITKMLNPITLARNAGFSQIGTNDKDCEEETTDGED >DRNTG_05374.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13564369:13564862:-1 gene:DRNTG_05374 transcript:DRNTG_05374.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSLPPLRRPPHQPSHEPNLLSKRTSF >DRNTG_33604.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002026.1:3579:6655:1 gene:DRNTG_33604 transcript:DRNTG_33604.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSANTRFELVEATLCNHTGSLHNLENQVGQIAKPLSKRPHGSLPSNTETNPREHVKAITLRSGREVEDKARLERVERMSREGPFTWTKGYSLIRDYYFDKPMHLRYMRKGTLSTPQAPSAPPASPSPILAPHDPPCASPPPQAAEELTEHDTDI >DRNTG_01008.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19473309:19478169:-1 gene:DRNTG_01008 transcript:DRNTG_01008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGEDIGFNTEVYSASEIDRIARVAFEVARKRQGKLCSVDKANVLEASMLWRRRVTALATEFPDVTLSHMYVDNAAMQLVRDPKQFDTIVTNNIFGDILSDEASMLTGSIGMLPSASVSESVCENPIASDSI >DRNTG_17495.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6486586:6494748:1 gene:DRNTG_17495 transcript:DRNTG_17495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFTTRSEAICGSMEANEKKKMECLDDNAAWSLFKEKAGKELIASDKQIQHHAEDITKKCAGLPLALVTVGKAMSTKKTPGEWEYVATMMRKSKYQNIPGMKESNFFPILKISYDSLESDYLRQCFLYCSLWGEDEQIPTDELIECWMGHGLLDDYDELNEAYIKGETIIGILKEACLLESGVQQGVFDRSELRSNLKVHDLIRDLALWIISGCQENNVGWLVRPHSNLERLPEDLNGREVISLAYNRIGSLHESPNFHKLRTFILQGNKELCHISSSFFVTMHWLKYLDLSRTCVTSLPEEIGMLHELQYLNLSFSSLISLPSALGDLNKLKYQYCGGAKELNAIPQDLVARLKNLNVLDLYSTGIFFFEGAFLDDLLSLSNLKGVGFNIDGLSALEKLLYVPKQRVRLIDSDECLTSISISPSLLGSNSELHLQELNIFFITELKELVMTSEDKTSWCLSHLKSFYLIFLPNLRDVIWEDLEPSYFLPKLAYMEIFECGSLTSLCWVAQLPSLQILKIARCRKLRSIIAGDRHTMIEEGTAFRSLKTLALDDLPNLESIYEEGILSFPSIEVITMFNCWNLRNLPLGLHSAKNLVYIRVLPPNLWDDMDWEFKHHFSSFVL >DRNTG_30476.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21185642:21189926:-1 gene:DRNTG_30476 transcript:DRNTG_30476.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TITAN-like protein [Source:Projected from Arabidopsis thaliana (AT4G24900) UniProtKB/Swiss-Prot;Acc:F4JRR5] MAPEPEKPPAKKKTAQRKGIGGDPFEFCDVCNLNHDHGRRHKYLPSHLRALSSLFSRFHSKLSDLRFFLKNPVPLRPEHSALNRIWCVFCRLDIQELYSLFACENAIEHMAGEEHLKNLKNFLWKYGGGMDRVDSFRVSESDLSKWKKECELSKCVAPLSASKHPIGPVPGPSKDIQFEHESGHTDSFDNNSLISFNSSTSLNVMPLQSHTYQEYWKCFPEGSEATTAHAAPMFASSPSLGVLGSVSLPSTRLQGVSMNDSGLY >DRNTG_30476.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21185642:21187431:-1 gene:DRNTG_30476 transcript:DRNTG_30476.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:TITAN-like protein [Source:Projected from Arabidopsis thaliana (AT4G24900) UniProtKB/Swiss-Prot;Acc:F4JRR5] MMLIGYLILEEFGKQAHARNPGKSLRRKSVNYPKRISNQTHLS >DRNTG_30476.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21185642:21187431:-1 gene:DRNTG_30476 transcript:DRNTG_30476.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:TITAN-like protein [Source:Projected from Arabidopsis thaliana (AT4G24900) UniProtKB/Swiss-Prot;Acc:F4JRR5] MICKVIQNLAASYIFFLCLLNNQLYLLLFYTDECIDSVLQDQRKFSRDNSNNVTQKLTRIPLCSNGNLENVHTGGAPPWLLSSEENDVNLASKRCLLDNIGSSTAAQNGRSKKLNPKRVGAAWAEKRRLELELEKQGKITQKPHDANWLPNFGRVWQAGTRKESRKEFEKEKRELSEKDQQSDTSFIVQPYLSKRMRTGSSNAGADADAGC >DRNTG_30476.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21185642:21189926:-1 gene:DRNTG_30476 transcript:DRNTG_30476.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TITAN-like protein [Source:Projected from Arabidopsis thaliana (AT4G24900) UniProtKB/Swiss-Prot;Acc:F4JRR5] MAPEPEKPPAKKKTAQRKGIGGDPFEFCDVCNLNHDHGRRHKYLPSHLRALSSLFSRFHSKLSDLRFFLKNPVPLRPEHSALNRIWCVFCRLDIQELYSLFACENAIEHMAGEEHLKNLKNFLWKYGGGMDRVDSFRVSESDLSKWKKECELSKCVAPLSASKHPIGPVPGPSKDIQFEHESGHTDSFDNNSLISFNSSTSLNVMPLQSHTYQEYWKCFPEGSEATTAHAAPMFASSPSLGVLGSVSLPSTRLQGVSMNDSAYNPGNQVPYISINRNDLAAHSLPSGEDQRKFSRDNSNNVTQKLTRIPLCSNGNLENVHTGGAPPWLLSSEENDVNLASKRCLLDNIGSSTAAQNGRSKKLNPKRVGAAWAEKRRLELELEKQGKITQKPHDANWLPNFGRVWQAGTRKESRKEFEKEKRELSEKDQQSDTSFIVQPYLSKRMRTGSSNAGADADAGC >DRNTG_23549.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22406780:22408373:1 gene:DRNTG_23549 transcript:DRNTG_23549.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLHKQASLNQHLQMPTHSAKPSKPPPRSGAARSAASYLLREQRLLFVLVGAFLAASFFLLRPSLPVPISHPSTSHLILPQPTYTLPTTPFSAARRVPAALKQRGRRVVVTGGAGFVGSHLVDKLIARGDSVIVIDNFFTGRKENLVHHFGDPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKYNPIKTIISNVMGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGHVNPI >DRNTG_21894.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19604026:19611898:-1 gene:DRNTG_21894 transcript:DRNTG_21894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVPGCAARSLAVRPWLQAVRCSSAASLPLPSPSETDDAAGASQSTPQQGSPSRSFFPKRGQTLELVCESLAFKGKGICKAADNGFVLMCDRALPGERFIGRVSRKKGSYAEVQKLKTITPHQNAVDAPCEYAGHCGGCKTQNLLYEAQIEAKEQQVRDLIVHVGRFAERDSLFSSVMKPIVPCEIQYHYRNKMEFSFGSRRWVPAEELEEGKGQNVGGFALGLHAPGFFDKVLHVEKCLLQSEAANKVLAVIQSSWRSPDLGLSPYNVHTHTGFLKHLMLRTGRDVRTGLPELMVNFVTSSYKPDLLEPLVNKVSAIPEVVSIMNNVNTSVGNTSVGEEEYILYGKSTITEILRGLTFQISANSFFQTNAHQAEVLYKLIGDYAGLKGDCSEIVLDLFCGTGTIGLTLARRVRHVYGYEVVAEAISDARRNAELNGINNATFVQGDLNKINDSFGNNFPKPDIVISDPNRPGMHLKLIKFLLKLQAPRIIYVSCNPATCARDLDYLCHGLGDQGISGCYQLKSVQPVDMFPHTPHIECVCLLELF >DRNTG_21894.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19610976:19611898:-1 gene:DRNTG_21894 transcript:DRNTG_21894.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVPGCAARSLAVRPWLQAVRCSSAASLPLPSPSETDDAAGASQSTPQQGSPSRSFFPKRGQTLELVCESLAFKGKGICKAADNGFVLMCDRALPGERFIGRVSRKKGSYAEVQKLKTITPHQNAVDAPCEYAGHCGGCKTQNLLYEAQIEAKEQQVRDLIVHVGRFAERDSLFSSVMKPIVPCEIQYHYRNKMEFSFGSRRWVPAEELEEGKGQNVGGFALGLHAPGFFDKVLHVEKCLLQSEAANK >DRNTG_21894.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19604026:19611898:-1 gene:DRNTG_21894 transcript:DRNTG_21894.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTELGKTVVLLALLCIASRIGVVNGKRSIPSQGKELEVEKKLKSLNKPFIKSIKSGDGDIIDCVDIKKQPAFDHPLMKNHVIKKFPSIPTMGAISPVPPRLNSSMPFSQVWRRSGSCPAGTIPIRRVLKYHLLNCSSLARYGMKNSGMVMKHGFLIHGHTFALGLENNHSVVVLHIGGFNFLGAKAYINVWNPPVEADDEYTTGQIWLKNGPVDNSDTIEVGWMVNPSVYGDRQTRLFIYWTADSGKSTGCFDLLCSGFIQMNRDVVLGGTITPMSSFHGLQSYISLEVFKDPKQDAWWLTFNTNIVIGYWPSKIFNHMQNTAGTLQWGGDVYSPRMHTGGTATGMGSSHFAFEHWSMASFIAQPRMMTTSLAYEYPKSATVVATEMKCYAGEIYSEGSGEEPLFYFGGPGLNMFCP >DRNTG_21894.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19604026:19611898:-1 gene:DRNTG_21894 transcript:DRNTG_21894.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTGRDVRTGLPELMVNFVTSSYKPDLLEPLVNKVSAIPEVVSIMNNVNTSVGNTSVGEEEYILYGKSTITEILRGLTFQISANSFFQTNAHQAEVLYKLIGDYAGLKGDCSEIVLDLFCGTGTIGLTLARRVRHVYGYEVVAEAISDARRNAELNGINNATFVQGDLNKINDSFGNNFPKPDIVISDPNRPGMHLKLIKFLLKLQAPRIIYVSCNPATCARDLDYLCHGLGDQGISGCYQLKSVQPVDMFPHTPHIECVCLLELF >DRNTG_21894.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19604026:19611898:-1 gene:DRNTG_21894 transcript:DRNTG_21894.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTGRDVRTGLPELMVNFVTSSYKPDLLEPLVNKVSAIPEVVSIMNNVNTSVGNTSVGEEEYILYGKSTITEILRGLTFQISANSFFQTNAHQAEVLYKLIGDYAGLKGDCSEIVLDLFCGTGTIGLTLARRVRHVYGYEVVAEAISDARRNAELNGINNATFVQGDLNKINDSFGNNFPKPDIVISDPNRPGMHLKLIKFLLKLQAPRIIYVSCNPATCARDLDYLCHGLGDQGISGCYQLKSVQPVDMFPHTPHIECVCLLELF >DRNTG_06381.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16650369:16663607:1 gene:DRNTG_06381 transcript:DRNTG_06381.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKRRAWRHEMRRNHKELEDKREEKAAKKKRAELWDYQPEKQKQKQQQQWNGMEKGKRRAWRHEMRRNHKELEDKREEKAAKKKRAELWDYQPFFPQRSLLLFISSFESPHSANGQRLMKTFAW >DRNTG_06381.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16650369:16663607:1 gene:DRNTG_06381 transcript:DRNTG_06381.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKRRAWRHEMRRNHKELEDKREEKAAKKKRAELWDYQPLFSAALSVALHLVF >DRNTG_06381.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16662461:16663607:1 gene:DRNTG_06381 transcript:DRNTG_06381.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASKARQETEKQKQKQQQQWNGMEKGKRRAWRHEMRRNHKELEDKREEKAAKKKRAELWDYQPFFPQRSLLLFISSFESPHSANGQRLMKTFAW >DRNTG_13460.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:19782:23191:-1 gene:DRNTG_13460 transcript:DRNTG_13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYKPKNILITGAAGFIASHVANRLVRSHPEYNIIVLDKLDYCSNLKNLLPSHGSPNFKFVKGDIGSADLVNFLLITESINTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQITRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGQTLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNIGTKKERSVLDVARDVCKLFSLDPEAAIKFVENRPFNDQRYFLDDRKLENLGWTPRTQWEDGLKKTMEWYTRNPDWWGDVSGALLPHPRMLMMAGIEGHLHGSEEIKAMVFKASKAVVSNDTSGSSPNNSLKFLIYGKTGWIGGLLGKICEKQGIAYEYGKGRLEECSQLVLDIQNVKPTHVFNAAGVTGRPNVDWCESHKPETIRTNVVGTLTLADVCKQHGLLMINYATGCIFEYDADHPEGSGIGFKEEDKPNFTGSFYSKTKAMVEELLKEYENVCTLRVRMPISSDLGNPRNFITKISRYNKVVNIPNSMTVLDELLPISVEMAKRNCRGIWNFTNPGVISHNEILEMYKAYINPGFEWTNFTLEEQAKVIVAPRSNNEMDASKLKAEFPELLSIKDSLIKYVFEPNKNSTTGGEAK >DRNTG_19755.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19384420:19389298:-1 gene:DRNTG_19755 transcript:DRNTG_19755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVPDAASKGAVCLDGSAAAYHLHRGFGNGVHNWLLQFEGGGWCNDVESCFERSKSRRGSTRLMNKYEVFSGILSNDPNMNPDFYNWNRVKLRYCDGGSFGGDSEYNATLTPLYFRGKRIWDAIIQDLLPKGLIFAQKALLSGCSAGGLATFIHCDEFCRTFSHRIQQ >DRNTG_19755.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19384420:19385308:-1 gene:DRNTG_19755 transcript:DRNTG_19755.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLDALHLFTNSSNGGMYINSCFAHCQSELQTTWFAPDSPKLQNTTIAEAVGDWYFERRIFKEVDCPYPCDSTCQNSIPLIEGMYQNLLV >DRNTG_19755.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19384420:19389298:-1 gene:DRNTG_19755 transcript:DRNTG_19755.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNKYEVFSGILSNDPNMNPDFYNWNRVKLRYCDGGSFGGDSEYNATLTPLYFRGKRIWDAIIQDLLPKGLIFAQKALLSGCSAGGLATFIHCDEFCRTFSHRIQQ >DRNTG_19755.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19384420:19389298:-1 gene:DRNTG_19755 transcript:DRNTG_19755.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFSLMYISGNNTIRPFFHSLETLQGVLKNLNQDCLSSHLYPYKCFFPQHALPYIRTPYFILNTGYDVFQFHHIFVPPSVDPHGVWNRCKLNPAACSSIQLLILQGFRLKMLDALHLFTNSSNGGMYINSCFAHCQSELQTTWFAPDSPKLQNTTIAEAVGDWYFERRIFKEVDCPYPCDSTCQNSIPLIEGMYQNLLV >DRNTG_19755.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19384420:19389298:-1 gene:DRNTG_19755 transcript:DRNTG_19755.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNKYEVFSGILSNDPNMNPDFYNWNRVKLRYCDGGSFGGDSEYNATLTPLYFRGKRIWDAIIQDLLPKGLIFAQKALLSGCSAGGLATFIHCDEFCRTFSHRIQQ >DRNTG_19755.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19385387:19388988:-1 gene:DRNTG_19755 transcript:DRNTG_19755.8 gene_biotype:protein_coding transcript_biotype:protein_coding MNKYEVFSGILSNDPNMNPDFYNWNRVKLRYCDGGSFGGDSEYNATLTPLYFRGKRIWDAIIQDLLPKGLIFAQKALLSGCSAGGLATFIHCDEFCRTFSHRIQQ >DRNTG_19755.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19385387:19389298:-1 gene:DRNTG_19755 transcript:DRNTG_19755.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVPDAASKGAVCLDGSAAAYHLHRGFGNGVHNWLLQFEGGGWCNDVESCFERSKSRRGSTRLMNKYEVFSGILSNDPNMNPDFYNWNRVKLRYCDGGSFGGDSEYNATLTPLYFRGKRIWDAIIQDLLPKGLIFAQKALLSGCSAGGLATFIHCDEFCRTFSHRIQQ >DRNTG_19755.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19384420:19389298:-1 gene:DRNTG_19755 transcript:DRNTG_19755.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLDALHLFTNSSNGGMYINSCFAHCQSELQTTWFAPDSPKLQNTTIAEAVGDWYFERRIFKEVDCPYPCDSTCQNSIPLIEGMYQNLLV >DRNTG_19755.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19384420:19389298:-1 gene:DRNTG_19755 transcript:DRNTG_19755.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVPDAASKGAVCLDGSAAAYHLHRGFGNGVHNWLLQFEGGGWCNDVESCFERSKSRRGSTRLMNKYEVFSGILSNDPNMNPDFYNWNRVKLRYCDGGSFGGDSEYNATLTPLYFRGKRIWDAIIQDLLPKGLIFAQKALLSGCSAGGLATFIHCDEFCRTFSHRIQQ >DRNTG_05516.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2419098:2422463:1 gene:DRNTG_05516 transcript:DRNTG_05516.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPPPFPPYPLMGSHHPQRHALLRHRRPHRRPSPRRRPPSFLRRQRQLLVHLRLPLHRPNLVFSDLLVPPMSNPPAISGTTTTPWASPASTSSPASSAPTSSVIPPSRSPLSLPSFPFDLNLMVFDRKFRVDGSIYLNSTGNNPSIHPQWQPEYFGDTIIVNGKVWPRLHVQRRRYRFRILNASNARFFRFFFSNALPFFHIGSDSTYLSKPVKTTGFLLAPSEIADVIVDFSDSPTDSAILLNDAPYPFPSGNPVDETSGKVMKFVIERQRSSDPSRIPMQLFVFPKPSMQSAVKTRYITMYEYTSPSDQPTHLYLNGKAFEEPVTETPKSGTSEIWYVINLTGDNHPLHIHLAHLAALEQREILELEKFKDCMTRLNDAIKCHVDDHAVGKRDMVVEHERGWKNVFKVKPGFMTTMLVRFELLGPGNTTYPFDATAEPGYLYHCHIVDHEDNATMRAI >DRNTG_05516.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2413515:2421819:1 gene:DRNTG_05516 transcript:DRNTG_05516.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVIERQRSSDPSRIPMQLFVFPKPSMQSAVKTRYITMYEYTSPSDQPTHLYLNGKAFEEPVTETPKSGTSEIWYVINLTGDNHPLHIHLAHLAALEQREILELEKFKDCMTRLNDAIKCHVDDHAVGKRDMVVEHERGWKNVFKVKPGFMTTMLVRFELLGPGNTTYPFDATAEPGYLYHCHVSFLF >DRNTG_05516.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2420342:2422463:1 gene:DRNTG_05516 transcript:DRNTG_05516.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPPPFPPYPLMGSHHPQRHALLRHRRPHRRPSPRRRPPSFLRRQRQLLVHLRLPLHRPNLVFSDLLVPPMSNPPAISGTTTTPWASPASTSSPASSAPTSSVIPPSRSPLSLPSFPFDLNLMVFDRKFRVDGSIYLNSTGNNPSIHPQWQPEYFGDTIIVNGKVWPRLHVQRRRYRFRILNASNARFFRFFFSNALPFFHIGSDSTYLSKPVKTTGFLLAPSEIADVIVDFSDSPTDSAILLNDAPYPFPSGNPVDETSGKVMKFVIERQRSSDPSRIPMQLFVFPKPSMQSAVKTRYITMYEYTSPSDQPTHLYLNGKAFEEPVTETPKSGTSEIWYVINLTGDNHPLHIHLAHLAALEQREILELEKFKDCMTRLNDAIKCHVDDHAVGKRDMVVEHERGWKNVFKVKPGFMTTMLVRFELLGPGNTTYPFDATAEPGYLYHCHIVDHEDNATMRAI >DRNTG_27643.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18736994:18772180:-1 gene:DRNTG_27643 transcript:DRNTG_27643.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPISESKRQRVLVACCVLYAEVWHAVGRDRRPLRKQYLEVILPPFAAILRRWRPLLAGIHELTSPDGQNPLIADDRALAADALPIEGALSMISPGWAAAFASPPAAMALAMIAAGAGGGESVTPVRNSSLSRRDTSLLERKTTRLHTFSSFQKPSDLANKSPPVPKDKAAAKAAALAAARDLERNAKIGSGRGLSAVAMATSAQRRTASDIERAKRWNISEAMGTAWTECLQSVDSRSISGRDFSALSYKYVAVLVASFALARNMQRLEMDRRVQVDVIDRHRISTGIRAWRKLIHYLAEMNRLFGPFEEHLLNSDLIFWKLDFIESSSRMRRFLKRNYRGSAHLGAAADYEERQERQLHLKIDQAADARLTNGDETSITAELASSASIVMAEAISLDNVNEDDEQIEADIVDNSASNKQILSSASNQSLKEPLESRCSGASTDQHLVPSTSLVAPGYLPNETDERIVFELPSLLVRPLKVVQGTFQVTTKRINFIVDVNQNDNPSDEVCSQNQEREKDRSWLISSLHQMFSRRYLLRRSALELFMVDRSNFFFDFLSIEGRKNAYRAIVQARPPFLNNIYLATQRPEQLLKRAQLMERWARWEISNFEYLMELNTLAGRSYNDITQYPVFPWILADYNSKTLDLSDPASYRDLSKPIGALNPERLSKFQERYSSFDDPIIPKFHYGSHYSSAGTVLYYLVRLEPFTTLSIQLQGGKFDHADRMFYDIGSTWNGVLEDMSDVKELVPEMFYIPEMLTNVNSINFGTTQLGGKLDAVKLPPWADNPVDFIHKHRMALESEHVSAHLHEWIDLVFGYKQRGVEAVSANNVFFYITYEGTVDIDKISDPVQRRATQDQIAYFGQTPSQLLTSPHLKRKPLADALHLQTIFRNPNEVRSYSVSNPERCNLPAASLFASQESIVVVDVNAPAANVALHKWQPNTPDGQGSPFFFQHGKAAGSSGGGAFMRMFKGTAGSGSEEWRFPRALAFAASGIRSSAVVAIASDKEIITGGHADNSVKLISSDGAKAIETAAGHSAPVTCVCLSLDNSYLVTGSRDTTVILWRLRRVSSAQMNHLSDTSTASPTTPTSPLTDGSKLNDNLEISFRRRIEGPLHVLRGHLGEIVCCSVSSDLGIVASSSNISGVLIHSLRRGRLIHKLDFVAHAICLSPQGVILIWNKVDKKISTFTINGVPIASTILSPFSGKISCIEISVDGENALIGTSHIVDDPKDSDQPDSCEIGKNNPGIGDDEAHLAGTNENRQTIQVPSICFLNIHTLKVFHTLMLEEGQDISAMALNKDNTNLLVSTYDRQLIIFTDPALSLKVVDQMLRLGWEGDGLLQS >DRNTG_27643.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18736994:18772180:-1 gene:DRNTG_27643 transcript:DRNTG_27643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLLLVMVLVYHGWEVMSMSEIWQKRAGDWIVKLQATCTSYITLVFSVAVSVPMLHLRVLQVYIEGQLRSLDWFMLLLGCVLAEALWALAYGGPMVLLPLTINNVQADNLEPSIGDFPLSLATASLAAPIFRVLSLAMEHPGNNEELCRTRAPELLSSILRYILQSLSTLEVGKENGISDEELVAAIISLCQSQKNNHVLKVQLFSTLLLDLKMWSLCKYGLQKKLLSSLADMVFTESLAMRDANALQMLLDGCRRCYWIVHEPDSVDTFSLREAPRPMGEVNALVDELLVIIELLIGAATPSLAADDVRCLIGFLVDCPQPNQVARVLHLIYRLVVQPNTSRAQQFAQAFISCGGIETLLVLLQREAKTGDHNIFDNSNFRGSNKENVSNKIPELEISTEKTEKQHSPVDSPEIKDPASNEEGLQCESSDSDKNPIDDSLTPKIESMPSASEHQLLKNLGGISFSISAESARNNVYNIDNGDGIVVGIINLLGALVMSGHLKFSLSSVSPSVPSSTLGALPEEGNTMFDDKVSLLLFALQRAFQAAPQRLLTSNVYMALLSAVINVSSNDDGLNLYDSGHRFEHIQLLLVLLRSLPYASRAFQNRAIQDLLFLACSHPENRSCLTGMEEWPDWILEVLISNHEMGSNKTTDGMNFSEIEDLIHNFLIIMLEHSMRQKDGWKDVEATIHCTEWLSMVGGSSTGDQRIRREESLPIFKRRLLGGLLDFAARELQVQTQVIAAAAAGVAAEGLSPEEAKVEAEIAANLSVALAENAIVILMLVEDHLRLQGQLYCASRSTDGLSSPSSVASSDVSRSNSQGKIGGESLDTINSRKSSLSSDAGGLSLDVLASMADANGQLSASVMERLTAAAAAEPYESVRCAFVSYGSCALDLAEGWKYRSRMWYGVGLPSKGVVYGGGGSGWEAWRSALEKDSNGNWIELPLVKKSVAVLQALLLDESGIGGGLGIGGGSGTGMGVMTALYQLLDSDQPFLCMLRMVLAAMREDDNGEDDMFMRNISVKDSMPEGLNTQPGKMLSFDNKRLPTRKPHSALLWSVLSPILNMPISESKRQRVLVACCVLYAEVWHAVGRDRRPLRKQYLEVILPPFAAILRRWRPLLAGIHELTSPDGQNPLIADDRALAADALPIEGALSMISPGWAAAFASPPAAMALAMIAAGAGGGESVTPVRNSSLSRRDTSLLERKTTRLHTFSSFQKPSDLANKSPPVPKDKAAAKAAALAAARDLERNAKIGSGRGLSAVAMATSAQRRTASDIERAKRWNISEAMGTAWTECLQSVDSRSISGRDFSALSYKYVAVLVASFALARNMQRLEMDRRVQVDVIDRHRISTGIRAWRKLIHYLAEMNRLFGPFEEHLLNSDLIFWKLDFIESSSRMRRFLKRNYRGSAHLGAAADYEERQERQLHLKIDQAADARLTNGDETSITAELASSASIVMAEAISLDNVNEDDEQIEADIVDNSASNKQILSSASNQSLKEPLESRCSGASTDQHLVPSTSLVAPGYLPNETDERIVFELPSLLVRPLKVVQGTFQVTTKRINFIVDVNQNDNPSDEVCSQNQEREKDRSWLISSLHQMFSRRYLLRRSALELFMVDRSNFFFDFLSIEGRKNAYRAIVQARPPFLNNIYLATQRPEQLLKRAQLMERWARWEISNFEYLMELNTLAGRSYNDITQYPVFPWILADYNSKTLDLSDPASYRDLSKPIGALNPERLSKFQERYSSFDDPIIPKFHYGSHYSSAGTVLYYLVRLEPFTTLSIQLQGGKFDHADRMFYDIGSTWNGVLEDMSDVKELVPEMFYIPEMLTNVNSINFGTTQLGGKLDAVKLPPWADNPVDFIHKHRMALESEHVSAHLHEWIDLVFGYKQRGVEAVSANNVFFYITYEGTVDIDKISDPVQRRATQDQIAYFGQTPSQLLTSPHLKRKPLADALHLQTIFRNPNEVRSYSVSNPERCNLPAASLFASQESIVVVDVNAPAANVALHKWQPNTPDGQGSPFFFQHGKAAGSSGGGAFMRMFKGTAGSGSEEWRFPRALAFAASGIRSSAVVAIASDKEIITGGHADNSVKLISSDGAKAIETAAGHSAPVTCVCLSLDNSYLVTGSRDTTVILWRLRRVSSAQMNHLSDTSTASPTTPTSPLTDGSKLNDNLEISFRRRIEGPLHVLRGHLGEIVCCSVSSDLGIVASSSNISGVLIHSLRRGRLIHKLDFVAHAICLSPQGVILIWNKVDKKISTFTINGVPIASTILSPFSGKISCIEISVDGENALIGTSHIVDDPKDSDQPDSCEIGKNNPGIGDDEAHLAGTNENRQTIQVPSICFLNIHTLKVFHTLMLEEGQDISAMALNKDNTNLLVSTYDRQLIIFTDPALSLKVVDQMLRLGWEGDGLLQS >DRNTG_27643.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18736994:18749336:-1 gene:DRNTG_27643 transcript:DRNTG_27643.6 gene_biotype:protein_coding transcript_biotype:protein_coding MISPGWAAAFASPPAAMALAMIAAGAGGGESVTPVRNSSLSRRDTSLLERKTTRLHTFSSFQKPSDLANKSPPVPKDKAAAKAAALAAARDLERNAKIGSGRGLSAVAMATSAQRRTASDIERAKRWNISEAMGTAWTECLQSVDSRSISGRDFSALSYKYVAVLVASFALARNMQRLEMDRRVQVDVIDRHRISTGIRAWRKLIHYLAEMNRLFGPFEEHLLNSDLIFWKLDFIESSSRMRRFLKRNYRGSAHLGAAADYEERQERQLHLKIDQAADARLTNGDETSITAELASSASIVMAEAISLDNVNEDDEQIEADIVDNSASNKQILSSASNQSLKEPLESRCSGASTDQHLVPSTSLVAPGYLPNETDERIVFELPSLLVRPLKVVQGTFQVTTKRINFIVDVNQNDNPSDEVCSQNQEREKDRSWLISSLHQMFSRRYLLRRSALELFMVDRSNFFFDFLSIEGRKNAYRAIVQARPPFLNNIYLATQRPEQLLKRAQLMERWARWEISNFEYLMELNTLAGRSYNDITQYPVFPWILADYNSKTLDLSDPASYRDLSKPIGALNPERLSKFQERYSSFDDPIIPKFHYGSHYSSAGTVLYYLVRLEPFTTLSIQLQGGKFDHADRMFYDIGSTWNGVLEDMSDVKELVPEMFYIPEMLTNVNSINFGTTQLGGKLDAVKLPPWADNPVDFIHKHRMALESEHVSAHLHEWIDLVFGYKQRGVEAVSANNVFFYITYEGTVDIDKISDPVQRRATQDQIAYFGQTPSQLLTSPHLKRKPLADALHLQTIFRNPNEVRSYSVSNPERCNLPAASLFASQESIVVVDVNAPAANVALHKWQPNTPDGQGSPFFFQHGKAAGSSGGGAFMRMFKGTAGSGSEEWRFPRALAFAASGIRSSAVVAIASDKEIITGGHADNSVKLISSDGAKAIETAAGHSAPVTCVCLSLDNSYLVTGSRDTTVILWRLRRVSSAQMNHLSDTSTASPTTPTSPLTDGSKLNDNLEISFRRRIEGPLHVLRGHLGEIVCCSVSSDLGIVASSSNISGVLIHSLRRGRLIHKLDFVAHAICLSPQGVILIWNKVDKKISTFTINGVPIASTILSPFSGKISCIEISVDGENALIGTSHIVDDPKDSDQPDSCEIGKNNPGIGDDEAHLAGTNENRQTIQVPSICFLNIHTLKVFHTLMLEEGQDISAMALNKDNTNLLVSTYDRQLIIFTDPALSLKVVDQMLRLGWEGDGLLQS >DRNTG_27643.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18736994:18772180:-1 gene:DRNTG_27643 transcript:DRNTG_27643.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLLLVMVLVYHGWEVMSMSEIWQKRAGDWIVKLQATCTSYITLVFSVAVSVPMLHLRVLQVYIEGQLRSLDWFMLLLGCVLAEALWALAYGGPMVLLPLTINNVQADNLEPSIGDFPLSLATASLAAPIFRVLSLAMEHPGNNEELCRTRAPELLSSILRYILQSLSTLEVGKENGISDEELVAAIISLCQSQKNNHVLKVQLFSTLLLDLKMWSLCKYGLQKKLLSSLADMVFTESLAMRDANALQMLLDGCRRCYWIVHEPDSVDTFSLREAPRPMGEVNALVDELLVIIELLIGAATPSLAADDVRCLIGFLVDCPQPNQVARVLHLIYRLVVQPNTSRAQQFAQAFISCGGIETLLVLLQREAKTGDHNIFDNSNFRGSNKENVSNKIPELEISTEKTEKQHSPVDSPEIKDPASNEEGLQCESSDSDKNPIDDSLTPKIESMPSASEHQLLKNLGGISFSISAESARNNVYNIDNGDGIVVGIINLLGALVMSGHLKFSLSSVSPSVPSSTLGALPEEGNTMFDDKVSLLLFALQRAFQAAPQRLLTSNVYMALLSAVINVSSNDDGLNLYDSGHRFEHIQLLLVLLRSLPYASRAFQNRAIQDLLFLACSHPENRSCLTGMEEWPDWILEVLISNHEMGSNKTTDGMNFSEIEDLIHNFLIIMLEHSMRQKDGWKDVEATIHCTEWLSMVGGSSTGDQRIRREESLPIFKRRLLGGLLDFAARELQVQQTQVIAAAAAGVAAEGLSPEEAKVEAEIAANLSVALAENAIVILMLVEDHLRLQGQLYCASRSTDGLSSPSSVASSDVSRSNSQGKIGGESLDTINSRKSSLSSDAGGLSLDVLASMADANGQLSASVMERLTAAAAAEPYESVRCAFVSYGSCALDLAEGWKYRSRMWYGVGLPSKGVVYGGGGSGWEAWRSALEKDSNGNWIELPLVKKSVAVLQALLLDESGIGGGLGIGGGSGTGMGVMTALYQLLDSDQPFLCMLRMVLAAMREDDNGEDDMFMRNISVKDSMPEGLNTQPGKMLSFDNKRLPTRKPHSALLWSVLSPILNMPISESKRQRVLVACCVLYAEVWHAVGRDRRPLRKQYLEVILPPFAAILRRWRPLLAGIHELTSPDGQNPLIADDRALAADALPIEGALSMISPGWAAAFASPPAAMALAMIAAGAGGGESVTPVRNSSLSRRDTSLLERKTTRLHTFSSFQKPSDLANKSPPVPKDKAAAKAAALAAARDLERNAKIGSGRGLSAVAMATSAQRRTASDIERAKRWNISEAMGTAWTECLQSVDSRSISGRDFSALSYKYVAVLVASFALARNMQRLEMDRRVQVDVIDRHRISTGIRAWRKLIHYLAEMNRLFGPFEEHLLNSDLIFWKLDFIESSSRMRRFLKRNYRGSAHLGAAADYEERQERQLHLKIDQAADARLTNGDETSITAELASSASIVMAEAISLDNVNEDDEQIEADIVDNSASNKQILSSASNQSLKEPLESRCSGASTDQHLVPSTSLVAPGYLPNETDERIVFELPSLLVRPLKVVQGTFQVTTKRINFIVDVNQNDNPSDEVCSQNQEREKDRSWLISSLHQMFSRRYLLRRSALELFMVDRSNFFFDFLSIEGRKNAYRAIVQARPPFLNNIYLATQRPEQLLKRAQLMERWARWEISNFEYLMELNTLAGRSYNDITQYPVFPWILADYNSKTLDLSDPASYRDLSKPIGALNPERLSKFQERYSSFDDPIIPKFHYGSHYSSAGTVLYYLVRLEPFTTLSIQLQGGKFDHADRMFYDIGSTWNGVLEDMSDVKELVPEMFYIPEMLTNVNSINFGTTQLGGKLDAVKLPPWADNPVDFIHKHRMALESEHVSAHLHEWIDLVFGYKQRGVEAVSANNVFFYITYEGTVDIDKISDPVQRRATQDQIAYFGQTPSQLLTSPHLKRKPLADALHLQTIFRNPNEVRSYSVSNPERCNLPAASLFASQESIVVVDVNAPAANVALHKWQPNTPDGQGSPFFFQHGKAAGSSGGGAFMRMFKGTAGSGSEEWRFPRALAFAASGIRSSAVVAIASDKEIITGGHADNSVKLISSDGAKAIETAAGHSAPVTCVCLSLDNSYLVTGSRDTTVILWRLRRVSSAQMNHLSDTSTASPTTPTSPLTDGSKLNDNLEISFRRRIEGPLHVLRGHLGEIVCCSVSSDLGIVASSSNISGVLIHSLRRGRLIHKLDFVAHAICLSPQGVILIWNKVDKKISTFTINGVPIASTILSPFSGKISCIEISVDGENALIGTSHIVDDPKDSDQPDSCEIGKNNPGIGDDEAHLAGTNENRQTIQVPSICFLNIHTLKVFHTLMLEEGQDISAMALNKDNTNLLVSTYDRQLIIFTDPALSLKVVDQMLRLGWEGDGLLQS >DRNTG_27643.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18736994:18772180:-1 gene:DRNTG_27643 transcript:DRNTG_27643.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLLLVMVLVYHGWEVMSMSEIWQKRAGDWIVKLQATCTSYITLVFSVAVSVPMLHLRVLQVYIEGQLRSLDWFMLLLGCVLAEALWALAYGGPMVLLPLTINNVQADNLEPSIGDFPLSLATASLAAPIFRVLSLAMEHPGNNEELCRTRAPELLSSILRYILQSLSTLEVGKENGISDEELVAAIISLCQSQKNNHVLKVQLFSTLLLDLKMWSLCKYGLQKKLLSSLADMVFTESLAMRDANALQMLLDGCRRCYWIVHEPDSVDTFSLREAPRPMGEVNALVDELLVIIELLIGAATPSLAADDVRCLIGFLVDCPQPNQVARVLHLIYRLVVQPNTSRAQQFAQAFISCGGIETLLVLLQREAKTGDHNIFDNSNFRGSNKENVSNKIPELEISTEKTEKQHSPVDSPEIKDPASNEEGLQCESSDSDKNPIDDSLTPKIESMPSASEHQLLKNLGGISFSISAESARNNVYNIDNGDGIVVGIINLLGALVMSGHLKFSLSSVSPSVPSSTLGALPEEGNTMFDDKVSLLLFALQRAFQAAPQRLLTSNVYMALLSAVINVSSNDDGLNLYDSGHRFEHIQLLLVLLRSLPYASRAFQNRAIQDLLFLACSHPENRSCLTGMEEWPDWILEVLISNHEMGSNKTTDGMNFSEIEDLIHNFLIIMLEHSMRQKDGWKDVEATIHCTEWLSMVGGSSTGDQRIRREESLPIFKRRLLGGLLDFAARELQVQTQVIAAAAAGVAAEGLSPEEAKVEAEIAANLSVALAENAIVILMLVEDHLRLQGQLYCASRSTDGLSSPSSVASSDVSRSNSQGKIGGESLDTINSRKSSLSSDAGGLSLDVLASMADANGQLSASVMERLTAAAAAEPYESVRCAFVSYGSCALDLAEGWKYRSRMWYGVGLPSKGVVYGGGGSGWEAWRSALEKDSNGNWIELPLVKKSVAVLQALLLDESGIGGGLGIGGGSGTGMGVMTALYQLLDSDQPFLCMLRMVLAAMREDDNGEDDMFMRNISVKDSMPEGLNTQPGKMLSFDNKRLPTRKPHSALLWSVLSPILNMPISESKRQRVLVACCVLYAEVWHAVGRDRRPLRKQYLEVILPPFAAILRRWRPLLAGIHELTSPDGQNPLIADDRALAADALPIEGALSMISPGWAAAFASPPAAMALAMIAAGAGGGESVTPVRNSSLSRRDTSLLERKTTRLHTFSSFQKPSDLANKSPPVPKDKAAAKAAALAAARDLERNAKIGSGRGLSAVAMATSAQRRTASDIERAKRWNISEAMGTAWTECLQSVDSRSISGRDFSALSYKYVAVLVASFALARNMQRLEMDRRVQVDVIDRHRISTGIRAWRKLIHYLAEMNRLFGPFEEHLLNSDLIFWKLDFIESSSRMRRFLKRNYRGSAHLGAAADYEERQERQLHLKIDQAADARLTNGDETSITAELASSASIVMAEAISLDNVNEDDEQIEADIVDNSASNKQILSSASNQSLKEPLESRCSGASTDQHLVPSTSLVAPGYLPNETDERIVFELPSLLVRPLKVVQGTFQVTTKRINFIVDVNQNDNPSDEVCSQNQEREKDRSWLISSLHQMFSRRYLLRRSALELFMVDRSNFFFDFLSIEGRKNAYRAIVQARPPFLNNIYLATQRPEQLLKRAQLMERWARWEISNFEYLMELNTLAGRSYNDITQYPVFPWILADYNSKTLDLSDPASYRDLSKPIGALNPERLSKFQERYSSFDDPIIPKFHYGSHYSSAGTVLYYLVRLEPFTTLSIQLQGGKFDHADRMFYDIGSTWNGVLEDMSDVKELVPEMFYIPEMLTNVNSINFGTTQLGGKLDAVKLPPWADNPVDFIHKHRMALESEHVSAHLHEWIDLVFGYKQRGVEAVSANNVFFYITYEGTVDIDKISDPVQRRATQDQIAYFGQTPSQLLTSPHLKRKPLADALHLQTIFRNPNEVRSYSVSNPERCNLPAASLFASQESIVVVDVNAPAANVALHKWQPNTPDGQGSPFFFQHGKAAGSSGGGAFMRMFKGTAGSGSEEWRFPRALAFAASGIRSSAVVAIASDKEIITGGHADNSVKLISSDGAKAIETAAGHSAPVTCVCLSLDNSYLVTGSRDTTVILWRLRRVSSAQMNHLSDTSTASPTTPTSPLTDGSKLNDNLEISFRRRIEGPLHVLRGHLGEIVCCSVSSDLGIVASSSNISGVLIHSLRRGRLIHKLDFVAHAICLSPQGVILIWNKVDKKISTFTINGVPIASTILSPFSGKISCIEISVDGENALIGTSHIVDDPKDSDQPDSCEIGKNNPGIGDDEAHLAGTNENRQTIQVPSICFLNIHTLKVFHTLMLEEGQDISAMALNKDNTNLLVSTYDRQLIIFTDPALSLKVVDQMLRLGWEGDGLLQS >DRNTG_27643.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18736994:18762483:-1 gene:DRNTG_27643 transcript:DRNTG_27643.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWPDWILEVLISNHEMGSNKTTDGMNFSEIEDLIHNFLIIMLEHSMRQKDGWKDVEATIHCTEWLSMVGGSSTGDQRIRREESLPIFKRRLLGGLLDFAARELQVQTQVIAAAAAGVAAEGLSPEEAKVEAEIAANLSVALAENAIVILMLVEDHLRLQGQLYCASRSTDGLSSPSSVASSDVSRSNSQGKIGGESLDTINSRKSSLSSDAGGLSLDVLASMADANGQLSASVMERLTAAAAAEPYESVRCAFVSYGSCALDLAEGWKYRSRMWYGVGLPSKGVVYGGGGSGWEAWRSALEKDSNGNWIELPLVKKSVAVLQALLLDESGIGGGLGIGGGSGTGMGVMTALYQLLDSDQPFLCMLRMVLAAMREDDNGEDDMFMRNISVKDSMPEGLNTQPGKMLSFDNKRLPTRKPHSALLWSVLSPILNMPISESKRQRVLVACCVLYAEVWHAVGRDRRPLRKQYLEVILPPFAAILRRWRPLLAGIHELTSPDGQNPLIADDRALAADALPIEGALSMISPGWAAAFASPPAAMALAMIAAGAGGGESVTPVRNSSLSRRDTSLLERKTTRLHTFSSFQKPSDLANKSPPVPKDKAAAKAAALAAARDLERNAKIGSGRGLSAVAMATSAQRRTASDIERAKRWNISEAMGTAWTECLQSVDSRSISGRDFSALSYKYVAVLVASFALARNMQRLEMDRRVQVDVIDRHRISTGIRAWRKLIHYLAEMNRLFGPFEEHLLNSDLIFWKLDFIESSSRMRRFLKRNYRGSAHLGAAADYEERQERQLHLKIDQAADARLTNGDETSITAELASSASIVMAEAISLDNVNEDDEQIEADIVDNSASNKQILSSASNQSLKEPLESRCSGASTDQHLVPSTSLVAPGYLPNETDERIVFELPSLLVRPLKVVQGTFQVTTKRINFIVDVNQNDNPSDEVCSQNQEREKDRSWLISSLHQMFSRRYLLRRSALELFMVDRSNFFFDFLSIEGRKNAYRAIVQARPPFLNNIYLATQRPEQLLKRAQLMERWARWEISNFEYLMELNTLAGRSYNDITQYPVFPWILADYNSKTLDLSDPASYRDLSKPIGALNPERLSKFQERYSSFDDPIIPKFHYGSHYSSAGTVLYYLVRLEPFTTLSIQLQGGKFDHADRMFYDIGSTWNGVLEDMSDVKELVPEMFYIPEMLTNVNSINFGTTQLGGKLDAVKLPPWADNPVDFIHKHRMALESEHVSAHLHEWIDLVFGYKQRGVEAVSANNVFFYITYEGTVDIDKISDPVQRRATQDQIAYFGQTPSQLLTSPHLKRKPLADALHLQTIFRNPNEVRSYSVSNPERCNLPAASLFASQESIVVVDVNAPAANVALHKWQPNTPDGQGSPFFFQHGKAAGSSGGGAFMRMFKGTAGSGSEEWRFPRALAFAASGIRSSAVVAIASDKEIITGGHADNSVKLISSDGAKAIETAAGHSAPVTCVCLSLDNSYLVTGSRDTTVILWRLRRVSSAQMNHLSDTSTASPTTPTSPLTDGSKLNDNLEISFRRRIEGPLHVLRGHLGEIVCCSVSSDLGIVASSSNISGVLIHSLRRGRLIHKLDFVAHAICLSPQGVILIWNKVDKKISTFTINGVPIASTILSPFSGKISCIEISVDGENALIGTSHIVDDPKDSDQPDSCEIGKNNPGIGDDEAHLAGTNENRQTIQVPSICFLNIHTLKVFHTLMLEEGQDISAMALNKDNTNLLVSTYDRQLIIFTDPALSLKVVDQMLRLGWEGDGLLQS >DRNTG_27643.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18736994:18748718:-1 gene:DRNTG_27643 transcript:DRNTG_27643.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRVQVDVIDRHRISTGIRAWRKLIHYLAEMNRLFGPFEEHLLNSDLIFWKLDFIESSSRMRRFLKRNYRGSAHLGAAADYEERQERQLHLKIDQAADARLTNGDETSITAELASSASIVMAEAISLDNVNEDDEQIEADIVDNSASNKQILSSASNQSLKEPLESRCSGASTDQHLVPSTSLVAPGYLPNETDERIVFELPSLLVRPLKVVQGTFQVTTKRINFIVDVNQNDNPSDEVCSQNQEREKDRSWLISSLHQMFSRRYLLRRSALELFMVDRSNFFFDFLSIEGRKNAYRAIVQARPPFLNNIYLATQRPEQLLKRAQLMERWARWEISNFEYLMELNTLAGRSYNDITQYPVFPWILADYNSKTLDLSDPASYRDLSKPIGALNPERLSKFQERYSSFDDPIIPKFHYGSHYSSAGTVLYYLVRLEPFTTLSIQLQGGKFDHADRMFYDIGSTWNGVLEDMSDVKELVPEMFYIPEMLTNVNSINFGTTQLGGKLDAVKLPPWADNPVDFIHKHRMALESEHVSAHLHEWIDLVFGYKQRGVEAVSANNVFFYITYEGTVDIDKISDPVQRRATQDQIAYFGQTPSQLLTSPHLKRKPLADALHLQTIFRNPNEVRSYSVSNPERCNLPAASLFASQESIVVVDVNAPAANVALHKWQPNTPDGQGSPFFFQHGKAAGSSGGGAFMRMFKGTAGSGSEEWRFPRALAFAASGIRSSAVVAIASDKEIITGGHADNSVKLISSDGAKAIETAAGHSAPVTCVCLSLDNSYLVTGSRDTTVILWRLRRVSSAQMNHLSDTSTASPTTPTSPLTDGSKLNDNLEISFRRRIEGPLHVLRGHLGEIVCCSVSSDLGIVASSSNISGVLIHSLRRGRLIHKLDFVAHAICLSPQGVILIWNKVDKKISTFTINGVPIASTILSPFSGKISCIEISVDGENALIGTSHIVDDPKDSDQPDSCEIGKNNPGIGDDEAHLAGTNENRQTIQVPSICFLNIHTLKVFHTLMLEEGQDISAMALNKDNTNLLVSTYDRQLIIFTDPALSLKVVDQMLRLGWEGDGLLQS >DRNTG_00527.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30378355:30382594:-1 gene:DRNTG_00527 transcript:DRNTG_00527.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEPLKKKSLTISGDQFRRTNTKNLFPTSS >DRNTG_12460.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20936540:20941814:1 gene:DRNTG_12460 transcript:DRNTG_12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSERAEVRRNKYKVAVDAEEGRRRREDNMVEIRKSRREESLQKKRREGMQAQTIAASAHAAAMEKKLESLPAMVAGVCSEDSNLQLEATMQFRKLLSIERSPPIEEVIQSGVVPRFVQFLTREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLGSLSDDVREQAVWALGNVAGDSPRCRDLVLQHGALIPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFEQTKPALPALERLIHSNDEEVLADACWALSYLSDGTNDKIQAVIEAGVCPRLVELLVHPSPSVLIPALRTVGNIVTGDDLQTQFIINQNALPCLLNLLTHNHKKSIKKEACWTISNITAGNKEQIQAVIAADIIGPLVHLLQTAEFDIKKEAAWAISNATSGGTHDQIKFLVSQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKECRHHWRRESLCPNDRRS >DRNTG_12460.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20936540:20941814:1 gene:DRNTG_12460 transcript:DRNTG_12460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSERAEVRRNKYKVAVDAEEGRRRREDNMVEIRKSRREESLQKKRREGMQAQTIAASAHAAAMEKKLESLPAMVAGVCSEDSNLQLEATMQFRKLLSIERSPPIEEVIQSGVVPRFVQFLTREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLGSLSDDVREQAVWALGNVAGDSPRCRDLVLQHGALIPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFEQTKPALPALERLIHSNDEEVLADACWALSYLSDGTNDKIQAVIEAGVCPRLVELLVHPSPSVLIPALRTVGNIVTGDDLQTQFIINQNALPCLLNLLTHNHKKSIKKEACWTISNITAGNKEQIQAVIAADIIGPLVHLLQTAEFDIKKEAAWAISNATSGGTHDQIKFLVSQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKECRHHWRRESLCPNDRRS >DRNTG_01493.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:14047817:14056535:1 gene:DRNTG_01493 transcript:DRNTG_01493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNFYNTLSSIQDLLEDAEDKKMKEKQIWHWLMKLKDVACDINDLLDEHTVVTPHLQPKARVSEQSNKGKVTKVKLMAETDKGATVLSHNSTRKPNQSENPTLESQAPESPGLSQFFLNLDDSVGGSSSERPIGQKKAKLKKKMDDEVATSLSRLKDDNSKIMEMLEKTNADRQMFIEMQSKNLASQQMKYEDKILMRDLNSIIDPNIRTCIQAQQQEILQKIGHLQQPPPSESNMFNDIFGNIGGSGDNMPDY >DRNTG_18227.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2729884:2730083:1 gene:DRNTG_18227 transcript:DRNTG_18227.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLECSLARERLAMKNIRLVMSIAQKYNNVGPDMADLVQ >DRNTG_17395.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000893.1:122696:123647:-1 gene:DRNTG_17395 transcript:DRNTG_17395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQEYGVVTRLAGLLSQEFWLLYGLRDEVEWMERELRWIKCFLKDADAKGKRDERVKNWVNDVIQVAYQAEDAIDTFLLKVDHDQSHGWLSCIKVLS >DRNTG_20775.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21861182:21865380:-1 gene:DRNTG_20775 transcript:DRNTG_20775.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYRKRKLIPTLSQYLLSIVMLGLFLSSPLWIFKLSYYISATFSSLFRLRNIISGPKCLFFLFNIIIIFLVGESKLSKSSPAPDIYEEYVKRNERLIRKDKEVKKEVLFIEEKKERDEEIEKEENKERE >DRNTG_34859.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21996216:21998547:1 gene:DRNTG_34859 transcript:DRNTG_34859.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLFSRAAQRHVSPLLLNQQRGIHSRNKKAMELVAKGWSALQEVDRVIDYTELNDPRLIPLLRSAKENFELALEIDNMNTHARYWLGRMHFKYHVPGACKLIGAALLVEAANMGDADAQYELGCRMRVENDYVQSDQQAFYYLEKAVDQLHPGALYLLGSVYLTGDCVEKDIASAMWCFHRASEKGHAGAAIAYGALLLQGFDIPEAVTRFESGRSPCAGRTSKKVERTSEDILKIAKEQFQIAANSGCDLANQWLKRIQDYEKLHQQLIEEGSSTSS >DRNTG_09341.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:652899:667196:-1 gene:DRNTG_09341 transcript:DRNTG_09341.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RST1 [Source:Projected from Arabidopsis thaliana (AT3G27670) UniProtKB/Swiss-Prot;Acc:Q7XZF5] MLSVQEELSSDAQACRMEILETVLSVCTSLNIPPGGIEILTGLAKRFLMAQKELGLHYLPEFYLVSLSMSVTLTRVGFEHEQLSILKLLTFLVKWKTETEYPMKGVTSHLSEELLFIFPVINLLVSPSKSVKAAASYLLILVERLVAELSVEPNKFSKSSSQFQHTSKLESITFRLLNHLWFQEPPPIHHSFPREFYCNDVLQMKETNNEYLRDDSFFTIDRLKFSLSQPQGEKLPGMHMLICSVISILVMHPTCGVSAVESLSAIGVMDPNLGIPMLLGILFYVKTLYNYVGNSHEILIRLLRIIPSLAVHSSMVPLIIQTLTPLLHKDAKPLLYAVAVRLLCKTWVVTDRVFGTVQGLLNQKAFSDFKSERDICTSIAASVRDVCKHHPDRGVDLILSVSSSIESQDFVLQALGFESLAYLCEADVVDFYTAWKVIAKFVTDYSVNSVLANRLCTLLQFGAMDAEAYPDISKIILQILWDVATSKSYCSSQLWVKARISAFKSLSFYEIMHVQEAIPNFKRRNLECLVSEDNAEVLKAMEGLEVKIINFEHINRRRGLKEKRVIVHKVEKFLEAFPQMHGNKKLVQVSFLVRLFYQLYSPLQISQVKASC >DRNTG_09341.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:652899:667196:-1 gene:DRNTG_09341 transcript:DRNTG_09341.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RST1 [Source:Projected from Arabidopsis thaliana (AT3G27670) UniProtKB/Swiss-Prot;Acc:Q7XZF5] MESSYGPLLERTKLPQSSLQRHAVASLFRKLKDDRPPLGLASDPGRKALSFCLCSTAPAVADQAVRELCHLIVEGRLEPSEGLVELQSALEGCDPLFVPVFVKGIGFLCRFAFRSDPQWGRRFDPVSLHPFVKVLSCRAEVHAELIQQVLLFTVHNKSAGMGAVSLFLRPFLLFSIIRIPFLASLDTFIRDLISSLASLSCCFPSEGVDILRLITGCLKYFRHNNQEEFKHFFSSADYVLDAFVVVLKQMLSVQEELSSDAQACRMEILETVLSVCTSLNIPPGGIEILTGLAKRFLMAQKELGLHYLPEFYLVSLSMSVTLTRVGFEHEQLSILKLLTFLVKWKTETEYPMKGVTSHLSEELLFIFPVINLLVSPSKSVKAAASYLLILVERLVAELSVEPNKFSKSSSQFQHTSKLESITFRLLNHLWFQEPPPIHHSFPREFYCNDVLQMKETNNEYLRDDSFFTIDRLKFSLSQPQGEKLPGMHMLICSVISILVMHPTCGVSAVESLSAIGVMDPNLGIPMLLGILFYVKTLYNYVGNSHEILIRLLRIIPSLAVHSSMVPLIIQTLTPLLHKDAKPLLYAVAVRLLCKTWVVTDRVFGTVQGLLNQKAFSDFKSERDICTSIAASVRDVCKHHPDRGVDLILSVSSSIESQDFVLQALGFESLAYLCEADVVDFYTAWKVIAKFVTDYSVNSVLANRLCTLLQFGAMDAEAYPDISKIILQILWDVATSKSYCSSQLWVKARISAFKSLSFYEIMHVQEAIPNFKRRNLECLVSEDNAEVLKAMEGLEVKIINFEHINRRRGLKEKRVIVHKVEKFLEAFPQVIFSTDARKQKAGASEFPGAALLSIVFTPTDFPSQGILLKDLKKLHTTYENALLEIAEALNLPRNILFALLSIQSWKPFLHHWMRAAITLTEKVSSKSSEKSSRAANDIFKVMCTVAVKSIPRAAVNIALAIGALCMVAPPSAHAVISSAAKFLLNWLFEYQHEYQQWSAAISLGLVSNCLHATDKQLKFEVITGLLKVLDESKSHLVKGACGVGLGLSCQGLFSRVHPDVNSNLEERSTGHLEATLLQNVIRTLSLVLSQLCPSESNSIKSFYDCFSPDGGVTVGDECSSSQQLYFHDSEEDMWGIAGLVFGLGYSAIAIYRLGNYDAVLKMREMLISWMSHTSSSCKNSSTRDEMSEIQLSIGSCITLPAVASFCLRVELVDDDLGFLFNSYGSLISDLLNSQKSGTLYQNLFMASCTGAGSLLSYIINDGVQPMKFDDVKNLLEILRSTYCHPYPPVVQFGGMLGVVNALGARAGDLTQMHPQPFSMHISNDQQESLLVRGPILMSHVCESLSISVTQEILLLAKDSKDPQIRSYAAWAISFLRHHWFAKEFQSTSDSQSSSNDSKSSSRSVAEDSLVWNLCLWLKDNIYNQVTEILQTSTISTVLRCLSKAPRLLAMDWAVIMRSCMRYETQISAKSQMSQFPKVLREECIYFSIAHANDVSSLLLFLDELTDLPRFKTLESNLQQALLHHLSGLLRIFSGSRMKKLLEDMIEYFCSSSSSRLIHELDDKSLLRVSCWSGLQLCLIEASIEPILLSKIENCMEGLFYLLPVLTTDNTPGRALSNAEEWSEAIQCLAKARKDWVMGLLQVSDINGGNHSVEVAKRILARSSLVRLGCLSVSDLRNLKAYLLDGGSEGIWWSCLLEVAAAITNEADNVKRQWLLDALEMSCMSEYPSTALRFIGLLSSNCCKLMPLLTVDPATVLSDLPATLPSLLTDSNWSSSAEFIVNKLWVSTERICNWASQLNVEGDNSGQHQIHQSEAKISAFLSQVMHQTCVILKDYLPLDKQLKLVNLSFCLMN >DRNTG_09341.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:652899:665016:-1 gene:DRNTG_09341 transcript:DRNTG_09341.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RST1 [Source:Projected from Arabidopsis thaliana (AT3G27670) UniProtKB/Swiss-Prot;Acc:Q7XZF5] MICYLYWKFGLMCSSLVVPEYPMKGVTSHLSEELLFIFPVINLLVSPSKSVKAAASYLLILVERLVAELSVEPNKFSKSSSQFQHTSKLESITFRLLNHLWFQEPPPIHHSFPREFYCNDVLQMKETNNEYLRDDSFFTIDRLKFSLSQPQGEKLPGMHMLICSVISILVMHPTCGVSAVESLSAIGVMDPNLGIPMLLGILFYVKTLYNYVGNSHEILIRLLRIIPSLAVHSSMVPLIIQTLTPLLHKDAKPLLYAVAVRLLCKTWVVTDRVFGTVQGLLNQKAFSDFKSERDICTSIAASVRDVCKHHPDRGVDLILSVSSSIESQDFVLQALGFESLAYLCEADVVDFYTAWKVIAKFVTDYSVNSVLANRLCTLLQFGAMDAEAYPDISKIILQILWDVATSKSYCSSQLWVKARISAFKSLSFYEIMHVQEAIPNFKRRNLECLVSEDNAEVLKAMEGLEVKIINFEHINRRRGLKEKRVIVHKVEKFLEAFPQMHGNKKLVQVSFLVRLFYQLYSPLQISQVKASC >DRNTG_09341.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:664166:667196:-1 gene:DRNTG_09341 transcript:DRNTG_09341.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RST1 [Source:Projected from Arabidopsis thaliana (AT3G27670) UniProtKB/Swiss-Prot;Acc:Q7XZF5] MESSYGPLLERTKLPQSSLQRHAVASLFRKLKDDRPPLGLASDPGRKALSFCLCSTAPAVADQAVRELCHLIVEGRLEPSEGLVELQSALEGCDPLFVPVFVKGIGFLCRFAFRSDPQWGRRFDPVSLHPFVKVLSCRAEVHAELIQQVLLFTVHNKSAGMGAVSLFLRPFLLFSIIRIPFLASLDTFIRDLISSLASLSCCFPSEGVDILRLITGCLKYFRHNNQEEFKHFFSSADYVLDAFVVVLKQMLSVQEELSSDAQACRMEILETVLSVCTSLNIPPGGIEILTGLAKRFLMAQKELGLHYLPEFYLVSLSMSVTLTRVGFEHEQLSILKLLTFLVKWKTETEYPMKGVTSHLSEELLFIFPVINLLVSPSKSVKAAASYLLILVERLVAELSVEPNKFSKSSSQFQHTSKLESITFRLLNHLWFQVVIDLLLL >DRNTG_09341.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:652899:663160:-1 gene:DRNTG_09341 transcript:DRNTG_09341.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RST1 [Source:Projected from Arabidopsis thaliana (AT3G27670) UniProtKB/Swiss-Prot;Acc:Q7XZF5] MISSICTSLMSLLAIKVGMFFYLSLCFLTMQIRLLRIIPSLAVHSSMVPLIIQTLTPLLHKDAKPLLYAVAVRLLCKTWVVTDRVFGTVQGLLNQKAFSDFKSERDICTSIAASVRDVCKHHPDRGVDLILSVSSSIESQDFVLQALGFESLAYLCEADVVDFYTAWKVIAKFVTDYSVNSVLANRLCTLLQFGAMDAEAYPDISKIILQILWDVATSKSYCSSQLWVKARISAFKSLSFYEIMHVQEAIPNFKRRNLECLVSEDNAEVLKAMEGLEVKIINFEHINRRRGLKEKRVIVHKVEKFLEAFPQVIFSTDARKQKAGASEFPGAALLSIVFTPTDFPSQGILLKDLKKLHTTYENALLEIAEALNLPRNILFALLSIQSWKPFLHHWMRAAITLTEKVSSKSSEKSSRAANDIFKVMCTVAVKSIPRAAVNIALAIGALCMVAPPSAHAVISSAAKFLLNWLFEYQHEYQQWSAAISLGLVSNCLHATDKQLKFEVITGLLKVLDESKSHLVKGACGVGLGLSCQGLFSRVHPDVNSNLEERSTGHLEATLLQNVIRTLSLVLSQLCPSESNSIKSFYDCFSPDGGVTVGDECSSSQQLYFHDSEEDMWGIAGLVFGLGYSAIAIYRLGNYDAVLKMREMLISWMSHTSSSCKNSSTRDEMSEIQLSIGSCITLPAVASFCLRVELVDDDLGFLFNSYGSLISDLLNSQKSGTLYQNLFMASCTGAGSLLSYIINDGVQPMKFDDVKNLLEILRSTYCHPYPPVVQFGGMLGVVNALGARAGDLTQMHPQPFSMHISNDQQESLLVRGPILMSHVCESLSISVTQEILLLAKDSKDPQIRSYAAWAISFLRHHWFAKEFQSTSDSQSSSNDSKSSSRSVAEDSLVWNLCLWLKDNIYNQVTEILQTSTISTVLRCLSKAPRLLAMDWAVIMRSCMRYETQISAKSQMSQFPKVLREECIYFSIAHANDVSSLLLFLDELTDLPRFKTLESNLQQALLHHLSGLLRIFSGSRMKKLLEDMIEYFCSSSSSRLIHELDDKSLLRVSCWSGLQLCLIEASIEPILLSKIENCMEGLFYLLPVLTTDNTPGRALSNAEEWSEAIQCLAKARKDWVMGLLQVSDINGGNHSVEVAKRILARSSLVRLGCLSVSDLRNLKAYLLDGGSEGIWWSCLLEVAAAITNEADNVKRQWLLDALEMSCMSEYPSTALRFIGLLSSNCCKLMPLLTVDPATVLSDLPATLPSLLTDSNWSSSAEFIVNKLWVSTERICNWASQLNVEGDNSGQHQIHQSEAKISAFLSQVMHQTCVILKDYLPLDKQLKLVNLSFCLMN >DRNTG_09341.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:652899:667196:-1 gene:DRNTG_09341 transcript:DRNTG_09341.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RST1 [Source:Projected from Arabidopsis thaliana (AT3G27670) UniProtKB/Swiss-Prot;Acc:Q7XZF5] MEILETVLSVCTSLNIPPGGIEILTGLAKRFLMAQKELGLHYLPEFYLVSLSMSVTLTRVGFEHEQLSILKLLTFLVKWKTETEYPMKGVTSHLSEELLFIFPVINLLVSPSKSVKAAASYLLILVERLVAELSVEPNKFSKSSSQFQHTSKLESITFRLLNHLWFQEPPPIHHSFPREFYCNDVLQMKETNNEYLRDDSFFTIDRLKFSLSQPQGEKLPGMHMLICSVISILVMHPTCGVSAVESLSAIGVMDPNLGIPMLLGILFYVKTLYNYVGNSHEILIRLLRIIPSLAVHSSMVPLIIQTLTPLLHKDAKPLLYAVAVRLLCKTWVVTDRVFGTVQGLLNQKAFSDFKSERDICTSIAASVRDVCKHHPDRGVDLILSVSSSIESQDFVLQALGFESLAYLCEADVVDFYTAWKVIAKFVTDYSVNSVLANRLCTLLQFGAMDAEAYPDISKIILQILWDVATSKSYCSSQLWVKARISAFKSLSFYEIMHVQEAIPNFKRRNLECLVSEDNAEVLKAMEGLEVKIINFEHINRRRGLKEKRVIVHKVEKFLEAFPQMHGNKKLVQVSFLVRLFYQLYSPLQISQVKASC >DRNTG_09341.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:652899:664080:-1 gene:DRNTG_09341 transcript:DRNTG_09341.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RST1 [Source:Projected from Arabidopsis thaliana (AT3G27670) UniProtKB/Swiss-Prot;Acc:Q7XZF5] MKETNNEYLRDDSFFTIDRLKFSLSQPQGEKLPGMHMLICSVISILVMHPTCGVSAVESLSAIGVMDPNLGIPMLLGILFYVKTLYNYVGNSHEILIRLLRIIPSLAVHSSMVPLIIQTLTPLLHKDAKPLLYAVAVRLLCKTWVVTDRVFGTVQGLLNQKAFSDFKSERDICTSIAASVRDVCKHHPDRGVDLILSVSSSIESQDFVLQALGFESLAYLCEADVVDFYTAWKVIAKFVTDYSVNSVLANRLCTLLQFGAMDAEAYPDISKIILQILWDVATSKSYCSSQLWVKARISAFKSLSFYEIMHVQEAIPNFKRRNLECLVSEDNAEVLKAMEGLEVKIINFEHINRRRGLKEKRVIVHKVEKFLEAFPQMHGNKKLVQVSFLVRLFYQLYSPLQISQVKASC >DRNTG_09341.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:652899:667196:-1 gene:DRNTG_09341 transcript:DRNTG_09341.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RST1 [Source:Projected from Arabidopsis thaliana (AT3G27670) UniProtKB/Swiss-Prot;Acc:Q7XZF5] MKGVTSHLSEELLFIFPVINLLVSPSKSVKAAASYLLILVERLVAELSVEPNKFSKSSSQFQHTSKLESITFRLLNHLWFQEPPPIHHSFPREFYCNDVLQMKETNNEYLRDDSFFTIDRLKFSLSQPQGEKLPGMHMLICSVISILVMHPTCGVSAVESLSAIGVMDPNLGIPMLLGILFYVKTLYNYVGNSHEILIRLLRIIPSLAVHSSMVPLIIQTLTPLLHKDAKPLLYAVAVRLLCKTWVVTDRVFGTVQGLLNQKAFSDFKSERDICTSIAASVRDVCKHHPDRGVDLILSVSSSIESQDFVLQALGFESLAYLCEADVVDFYTAWKVIAKFVTDYSVNSVLANRLCTLLQFGAMDAEAYPDISKIILQILWDVATSKSYCSSQLWVKARISAFKSLSFYEIMHVQEAIPNFKRRNLECLVSEDNAEVLKAMEGLEVKIINFEHINRRRGLKEKRVIVHKVEKFLEAFPQMHGNKKLVQVSFLVRLFYQLYSPLQISQVKASC >DRNTG_22193.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1493065:1495912:1 gene:DRNTG_22193 transcript:DRNTG_22193.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLKYHEKKLLKKVNFLEYKREGGHREAFVTRRYMLTERDDYKKYATICRMAQKLVNILKQLDPRDPHRIEMTDNLLEKLYNMGVISTKKSLAKCEKLSVSSFCRRRLASVLVYLKFAGHLKEAVTYIEQGHVRVGPDTITDPAFLVTRNMEDFVTWVDSSKIKRKVDVYNEKLDDYDAMN >DRNTG_32837.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:40372:42553:1 gene:DRNTG_32837 transcript:DRNTG_32837.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWEQLDDLERKILEKDQALKSAEDSLNQMKVANMQLEELKRQITEKDSLIKSSSSQLSNAQIKLAEKQAALEKLELEAKASNAKVEELQADLDSMDF >DRNTG_17076.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17149496:17154994:-1 gene:DRNTG_17076 transcript:DRNTG_17076.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSNSIARPADRSAASSGTGPHWVQGLRFDQLNT >DRNTG_18212.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1121722:1124875:-1 gene:DRNTG_18212 transcript:DRNTG_18212.1 gene_biotype:protein_coding transcript_biotype:protein_coding HPSSRVFSLREREREREREREREREREREAILGLKIWRSSGDAPAWKLDRGNGWVLDT >DRNTG_35345.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2674856:2678737:1 gene:DRNTG_35345 transcript:DRNTG_35345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDNHLSYSITQHLPISEFLCQFLYNEFGNSAFSPPTAWITICRSLE >DRNTG_20123.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22564325:22566426:-1 gene:DRNTG_20123 transcript:DRNTG_20123.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLMTESFERIRGRYPGENHVETANLNDSNDRGMKGFFEKVGKIEKQMENITVLFNKLQATNEEAQSATKASTMKAFKEQMEKDIEAVKQIALKIKTSLEELDRDNVANRQKQGCEKGTGVDRSRTAMTVSLKKKLKERMTQFQVLRQEIQDEYREVVERRIFTVTGTRPDEETIDHLIQTGNSEQIFVAAIEGHGRVQIMDTLAEIQERRDAFLELEKRLLDLQQMFLDMTIMVDAQGDMLNDIETQVTNAAEHVQRGNVNLKQVKKLQRNSRKWMCFSILILLVIIIIVVASVLKDFKKS >DRNTG_00192.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:128506:131106:1 gene:DRNTG_00192 transcript:DRNTG_00192.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQPFGPGSPKAFHAYPRGDFDLESGTFRKHRKLKNSDPGIIRMMKSLGNRIRYFFKLHPLAIFLLSLCFGLIVLVVLSIYEDRFRAMVSQNGKLGLGSNSYPLANLQNLVMVAGHSIYTSVNCGRIDSEDSWFLEPYQKHPGQAATFVTHIKDGVEAVAKDERALLLFSGGETRKDAGPLSEAQSYWSVAESKGWFGEKENVRNRALTEEHARDSFENLLFSVCRFRELTGSYPQNITVISYDFKEERFAHLHRSAIGFPESRFFFHGTPTTEDSKEAAMKGEALVRSQSEEDPYGCLSSLHRKRLKRDPFHRDIPYPNGCPELKGLFSYCGSAPYPGTLPWLS >DRNTG_00741.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000054.1:54795:56260:-1 gene:DRNTG_00741 transcript:DRNTG_00741.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDEVYYSMSILQLDEFVAESITPITKIDVVNLKAAYEMLPDAIGQTRLNASIGDEFASHILSRAAETLGNCLSNVGVVAEFANVTHQLEVLEN >DRNTG_29884.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23566030:23568744:1 gene:DRNTG_29884 transcript:DRNTG_29884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDGITLIMETYLPDYKPEIASDEPRSEFDNPSELMKINKEEGDHTPRSDSYLTKEKTHSEARFTGGFLDAMTEEESIKVGDEAPPKPQRPPLQTLAVSPLKSHTKDEKE >DRNTG_19926.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5735403:5737533:-1 gene:DRNTG_19926 transcript:DRNTG_19926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPFVKLPLLLPLNHPAHPLLPLHPSPSLFLFTPKPHLLLCKPNHLHRSKANAKDNSYLTSLLSDLQDRAAEELETRFCPPPPHERLPSRWPEIHGSKNWSGLLDPIDPLLRSELLRYGDLTEAVNDAFDSHPYSRYCGSSKYPMDSFFFDLGMDSCGYQVSRFIYATSNIEIPDFFFRSHADKTWSEKANYIGYVAVSSDATTALIGRRDIIIAWRGTVTNIEWVSDFMDILRPVSSVGIPCPDPDVKVETGFIDLYTDKDTSCQFCKYSAREQVLAEVKKLIDLYAVKNGEEVSISVIGHSLGSALAVLSAYDIAETGVNGGKKVCVFSFAGPRVGNRKFKNRFEGLGVKALRVVNIHDRVPKVPGVFINENVPKFLQWLADGLPWNYCHVRLELELDHKNSPWLKETMDEGCCHNLEAHLHLLDGYHGKGQNFELATGRDPALVNKHSDFLEDCLLIPPNWWQDLNKGLVKNHGRWMQPVRPKIDEHPPDT >DRNTG_19926.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5736106:5737533:-1 gene:DRNTG_19926 transcript:DRNTG_19926.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPFVKLPLLLPLNHPAHPLLPLHPSPSLFLFTPKPHLLLCKPNHLHRSKANAKDNSYLTSLLSDLQDRAAEELETRFCPPPPHERLPSRWPEIHGSKNWSGLLDPIDPLLRSELLRYGDLTEAVNDAFDSHPYSRYCGSSKYPMDSFFFDLGMDSCGYQVSRFIYATSNIEIPDFFFRSHADKTWSEKANYIGYVAVSSDATTALIGRRDIIIAWRGTVTNIEWVSDFMDILRPVSSVGIPCPDPDVKVETGFIDLYTDKDTSCQFCKYSAREQVLAEVKKLIDLYAVKNGEEVSISVIGHSLGSALAVLSAYDIAETGVNGGKKVCVFSFAGPRVGNRKFKNRFEGLGVKALRVVNIHDRVPKVPGVFINENVPKFLQWLADGLPWNYCHVRLELELDHKNSPWLKETMDEGCCHNLEAHLHLLDGYVLLPLLHHHPSSPCLLGFRTRYSLGSELSQSDTCP >DRNTG_09613.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:22070471:22078329:-1 gene:DRNTG_09613 transcript:DRNTG_09613.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFVLLLPREAKVSPLHAFFKFARHALDMDELGLEIVQIAFPAALALTADPLASLIDTAFIGQIGSVELAAVGVSIAIFTQVSKVAIFPLVSVTTSFVAEEDAACRMTTEEPEKGDSGSISIRDDMKLVSHGASDKTWNGLSFWTGVRVKMDKFERKHIPSVSLALLTSGILGLLQAIIFILGANPILNFMGLTHDSPMLTPARQYLRLRSIGAPAVLLSLAMQGIFRGFKDTKTPFYATVAGDATNIILDPILMFVFHLGISGAALAHAISQLWFVVGI >DRNTG_09613.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:22070471:22080533:-1 gene:DRNTG_09613 transcript:DRNTG_09613.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFVLLLPREAKVSPLHAFFKFARHALDMDELGLEIVQIAFPAALALTADPLASLIDTAFIGQIGSVELAAVGVSIAIFTQVSKVAIFPLVSVTTSFVAEEDAACRMTTEEPEKGDSGSISIRDDMKLVSHGASDKTWNGLSFWTGVRVKMDKFERKHIPSVSLALLTSGILGLLQAIIFILGANPILNFMGLTHDSPMLTPARQYLRLRSIGAPAVLLSLAMQGIFRGFKDTKTPFYATVAGDATNIILDPILMFVFHLGISGAALAHAISQYLTASIMLWRLIGKVDLLPPSIKTLQFHRFLKMGLFLLVRGIALTCCMTLATSMAAQQGSIPMAAFQICLQIWLATSLLADGLAIAGQAILASAFARGDYYKASAAASRVLQLGVILGLFLAVILGVCLQFISRLFTEDGLVLQFIQTGIPFVAGTQPINALAFVFDGINYGASDFAYSAYSMFLVAMVSALCLVILATSHGFVGIWIALTIYMSLRMVAGFWRIGSTWGPWSSLRV >DRNTG_09613.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:22070471:22078070:-1 gene:DRNTG_09613 transcript:DRNTG_09613.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDELGLEIVQIAFPAALALTADPLASLIDTAFIGQIGSVELAAVGVSIAIFTQVSKVAIFPLVSVTTSFVAEEDAACRMTTEEPEKGDSGSISIRDDMKLVSHGASDKTWNGLSFWTGVRVKMDKFERKHIPSVSLALLTSGILGLLQAIIFILGANPILNFMGLTHDSPMLTPARQYLRLRSIGAPAVLLSLAMQGIFRGFKDTKTPFYATVAGDATNIILDPILMFVFHLGISGAALAHAISQYLTASIMLWRLIGKVDLLPPSIKTLQFHRFLKMGLFLLVRGIALTCCMTLATSMAAQQGSIPMAAFQICLQIWLATSLLADGLAIAGQAILASAFARGDYYKASAAASRVLQLGVILGLFLAVILGVCLQFISRLFTEDGLVLQFIQTGIPFVAGTQPINALAFVFDGINYGASDFAYSAYSMFLVAMVSALCLVILATSHGFVGIWIALTIYMSLRMVAGFWRIGSTWGPWSSLRV >DRNTG_09613.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:22075100:22077425:-1 gene:DRNTG_09613 transcript:DRNTG_09613.9 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEEPEKGDSGSISIRDDMKLVSHGASDKTWNGLSFWTGVRVKMDKFERKHIPSVSLALLTSGILGLLQAIIFILGANPILNFMGLTHDSPMLTPARQYLRLRSIGAPAVLLSLAMQGIFRGFKDTKTPFYATVAGDATNIILDPILMFVFHLGISGAALAHAISQ >DRNTG_09613.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:22070471:22074136:-1 gene:DRNTG_09613 transcript:DRNTG_09613.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTLATSMAAQQGSIPMAAFQICLQIWLATSLLADGLAIAGQAILASAFARGDYYKASAAASRVLQLGVILGLFLAVILGVCLQFISRLFTEDGLVLQFIQTGIPFVAGTQPINALAFVFDGINYGASDFAYSAYSMFLVAMVSALCLVILATSHGFVGIWIALTIYMSLRMVAGFWRIGSTWGPWSSLRV >DRNTG_09613.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:22070471:22075487:-1 gene:DRNTG_09613 transcript:DRNTG_09613.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFHLGISGAALAHAISQYLTASIMLWRLIGKVDLLPPSIKTLQFHRFLKMGLFLLVRGIALTCCMTLATSMAAQQGSIPMAAFQICLQIWLATSLLADGLAIAGQAILASAFARGDYYKASAAASRVLQLGVILGLFLAVILGVCLQFISRLFTEDGLVLQFIQTGIPFVAGTQPINALAFVFDGINYGASDFAYSAYSMFLVAMVSALCLVILATSHGFVGIWIALTIYMSLRMVAGFWRIGSTWGPWSSLRV >DRNTG_09613.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:22070471:22071972:-1 gene:DRNTG_09613 transcript:DRNTG_09613.10 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNLLQYFISVVAVHISLYKKSLQHFWDCTCNNPKLLSGGPNACLCYLSLEGN >DRNTG_09613.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:22070471:22082016:-1 gene:DRNTG_09613 transcript:DRNTG_09613.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFVLLLPREAKVSPLHAFFKFARHALDMDELGLEIVQIAFPAALALTADPLASLIDTAFIGQIGSVELAAVGVSIAIFTQVSKVAIFPLVSVTTSFVAEEDAACRMTTEEPEKGDSGSISIRDDMKLVSHGASDKTWNGLSFWTGVRVKMDKFERKHIPSVSLALLTSGILGLLQAIIFILGANPILNFMGLTHDSPMLTPARQYLRLRSIGAPAVLLSLAMQGIFRGFKDTKTPFYATVAGDATNIILDPILMFVFHLGISGAALAHAISQLWFVVGI >DRNTG_09613.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:22070471:22082016:-1 gene:DRNTG_09613 transcript:DRNTG_09613.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFVLLLPREAKVSPLHAFFKFARHALDMDELGLEIVQIAFPAALALTADPLASLIDTAFIGQIGSVELAAVGVSIAIFTQVSKVAIFPLVSVTTSFVAEEDAACRMTTEEPEKGDSGSISIRDDMKLVSHGASDKTWNGLSFWTGVRVKMDKFERKHIPSVSLALLTSGILGLLQAIIFILGANPILNFMGLTHDSPMLTPARQYLRLRSIGAPAVLLSLAMQGIFRGFKDTKTPFYATVAGDATNIILDPILMFVFHLGISGAALAHAISQYLTASIMLWRLIGKVDLLPPSIKTLQFHRFLKMGLFLLVRGIALTCCMTLATSMAAQQGSIPMAAFQICLQIWLATSLLADGLAIAGQAILASAFARGDYYKASAAASRVLQLGVILGLFLAVILGVCLQFISRLFTEDGLVLQFIQTGIPFVAGTQPINALAFVFDGINYGASDFAYSAYSMFLVAMVSALCLVILATSHGFVGIWIALTIYMSLRMVAGFWRIGSTWGPWSSLRV >DRNTG_20578.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8413058:8418412:1 gene:DRNTG_20578 transcript:DRNTG_20578.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKWMNHHLKKAGYKKTITNFSSDVKDGEAYAYLLNVLAPEHCTPATLDTKDPTERAKMVLEHAEKMDCKRYLTPKDIVEGSTNLNLAFVAQVFHRRNGLSTDNKGISFAEMMPDDVQVSREERAFRLWINSLGISTYVNNLFEDVRNGWVLLEVLDKVAPGSVAWKQATKPPIKMPFRKVENCNQVIRIGKELKFSLVNLAGNDIVQGNKKLILAFLWQLMRSSILQLLKNLRCHSQGKEMTDADILNWANKKVKSTGRAAQMESFKDKNLSNGIFFLELLSAVEPRVVNWNLVTKGENDEEKRLNATYIISVARKLGCSIFLLPEDIMEVNQKMILTLTASIMYWSLQQQPAETSESAVPTETAAEPTELSTEDTPSEIIPPSSDHDKNVVTERVSNLAVDNAISVTSETSRAENENSIQNSK >DRNTG_20578.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8413058:8418412:1 gene:DRNTG_20578 transcript:DRNTG_20578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVGVLVSDPWLQSQFTQVELRGLKSKFLLTKREKGQVTVGDLPPLMVKLKGLNEVLTEEEISAILRESYPDTGQEIEFENFLRAYLDMQGRTATKSGGSKTSSSFLKASTTTLLHTISESEKASYVAHINGYLRDDPFLKKYLPLDPGSNDLFNLAKDGVLLCKLINVAVPGTIDERAINTKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEARPHLVLGLISQIIKIQLLADLNLKKTPQLVELVDDSKDVEELLSLAPEKMLLKWMNHHLKKAGYKKTITNFSSDVKDGEAYAYLLNVLAPEHCTPATLDTKDPTERAKMVLEHAEKMDCKRYLTPKDIVEGSTNLNLAFVAQVFHRRNGLSTDNKGISFAEMMPDDVQVSREERAFRLWINSLGISTYVNNLFEDVRNGWVLLEVLDKVAPGSVAWKQATKPPIKMPFRKVENCNQVIRIGKELKFSLVNLAGNDIVQGNKKLILAFLWQLMRSSILQLLKNLRCHSQGKEMTDADILNWANKKVKSTGRAAQMESFKDKNLSNGIFFLELLSAVEPRVVNWNLVTKGENDEEKRLNATYIISVARKLGCSIFLLPEDIMEVNQKMILTLTASIMYWSLQQQPAETSESAVPTETAAEPTELSTEDTPSEIIPPSSDHDKNVVTERVSNLAVDNAISVTSETSRAENENSIQNSK >DRNTG_28972.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001462.1:100512:100811:-1 gene:DRNTG_28972 transcript:DRNTG_28972.1 gene_biotype:protein_coding transcript_biotype:protein_coding QCRFPLPEWLKCISDSNLDLCITTDRSGRPREGCLFFAADELSVLSGKIPLQAFEVFFASFCDFFSY >DRNTG_15531.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8955359:8961617:1 gene:DRNTG_15531 transcript:DRNTG_15531.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSA32 [Source:Projected from Arabidopsis thaliana (AT4G21320) UniProtKB/TrEMBL;Acc:A0A178UV51] MALYYGWKSFSEEEDRPEKPRRFGVTEMRSPNYSLMSNQPLEDILESMGQFVDGLKFSGGSHSLMPESFIREITNLAHKHDVYVSTGDWSEHLLRKGPTAFKEYVEECKRLGFDTIELNAGSLKVPEETLLRFVRLIKSGGLKAKPQFAVKFDKSEIPIAGDRAFGAYVAPVPQSSEIVEDVDLLIRRAERCLEAGADMIMIDADDVCKYADMLRADIIAKIIGRLGLEKTMFEASNPKTSEWFVRRYGPSVNLFIDHSQVMNLECLRGPSLGRIRTSALKSSYFLM >DRNTG_29420.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1820184:1825848:-1 gene:DRNTG_29420 transcript:DRNTG_29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRDSGEPADSFYAVRPDCSDVTKTRFKIKAGKTLSPRRWHAAFSPDGCLDISQTLSRIQRGGVHPSIRGEVWEFLLGCFDPRSTFDERDQLRQQRRVQYARCKEECREMDSLVGSGRIITAPIITEDGEPINDPLVLLEADQGKAQETTAMFREEARNNVILDKKIIQWKLTLHQIGLDVLRTDRTLVFYEKQENLSKLWDILAVYAWIDKDVGYCQGMSDLCSPMIILLEDEADAFWCFERLMRRLRGNFRCTESSVGVENQLQSLASITQVLDPKLHQHLETLGGGDYLFAFRMFMVLFRRELSFGDSLYLWEMMWALEYDPDIFSIYEEPGFTGERNDGSKGKAKSIRQFGKYERENLKNGAKHSQGPLPITVFLVASVLKEQSAKLLQEARGLDDVVKILNDVNGNLDAKKACSGALKLHRKYLRKAKNSR >DRNTG_15710.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4391544:4392617:-1 gene:DRNTG_15710 transcript:DRNTG_15710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESAPTSQASVDEERAEEGEAVSLVRQDSEESGAKAEGWLCASLSSPVHWFLMLADETHWSFVFGVVTVYGISQGFGGAISRVASDYYWKDVQMVQPSEAQVYQGIASLPWIVKPLWGLLTDVLPVAGYRRRPYFILSGKLHLQYCQICLHFSFMHT >DRNTG_15710.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4388589:4390542:-1 gene:DRNTG_15710 transcript:DRNTG_15710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLILSLHKKLHVLFAILALTAGSAGVAISDVTIDACVAQNSISHPTLAADMQSLCGLNASIGRLLGFSISGLLVHAIGPQGVLGLLSVPAALVFSVGIVLKEWRIPNFVYGQVHEKFLQATRSMWSTLKYPNVWRPCLYMYFSFALSLKIQEGMFYWYTDKNAGPSFSQVYHRNNNLMQLGSPKPKLILSFLMQETVGFILSVGSVGSLLGVLLYQNLLKDCPFRSVLFWCQLLSGIAGMLDLVLVLRLNLRVGIPDYFLVVIDESVSQLIGNLKWMPLLVLSSKLCPPGIEGTFFALLMSIDNAGLLTSSWGGGLLLHILRVTRKDFTNLWGAILIRNMMRLLPLTFLFLVPNIDQHSTVLPADILVENGNTKTHEVKTNDIELLSLVNSSEIGVEKA >DRNTG_15710.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4388589:4390542:-1 gene:DRNTG_15710 transcript:DRNTG_15710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLILSLHKKLHVLFAILALTAGSAGVAISDVTIDACVAQNSISHPTLAADMQSLCGLNASIGRLLGFSISGLLVHAIGPQGVLGLLSVPAALVFSVGIVLKEWRIPNFVYGQVHEKFLQATRSMWSTLKYPNVWRPCLYMYFSFALSLKIQEGMFYWYTDKNAGPSFSQETVGFILSVGSVGSLLGVLLYQNLLKDCPFRSVLFWCQLLSGIAGMLDLVLVLRLNLRVGIPDYFLVVIDESVSQLIGNLKWMPLLVLSSKLCPPGIEGTFFALLMSIDNAGLLTSSWGGGLLLHILRVTRKDFTNLWGAILIRNMMRLLPLTFLFLVPNIDQHSTVLPADILVENGNTKTHEVKTNDIELLSLVNSSEIGVEKA >DRNTG_15710.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4391544:4392617:-1 gene:DRNTG_15710 transcript:DRNTG_15710.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESAPTSQASVDEERAEEGEAVSLVRQDSEESGAKAEGWLCASLSSPVHWFLMLADETHWSFVFGVVTVYGISQGFGGAISRVASDYYWKDVQMVQPSEAQVYQGIASLPWIVKPLWGLLTDVLPVAGYRRRPYFILSGKLHLQYCQICLHFSFMHT >DRNTG_15710.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4388589:4392617:-1 gene:DRNTG_15710 transcript:DRNTG_15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAPTSQASVDEERAEEGEAVSLVRQDSEESGAKAEGWLCASLSSPVHWFLMLADETHWSFVFGVVTVYGISQGFGGAISRVASDYYWKDVQMVQPSEAQVYQGIASLPWIVKPLWGLLTDVLPVAGYRRRPYFILSGLLGVISMLILSLHKKLHVLFAILALTAGSAGVAISDVTIDACVAQNSISHPTLAADMQSLCGLNASIGRLLGFSISGLLVHAIGPQGVLGLLSVPAALVFSVGIVLKEWRIPNFVYGQVHEKFLQATRSMWSTLKYPNVWRPCLYMYFSFALSLKIQEGMFYWYTDKNAGPSFSQVYHRNNNLMQLGSPKPKLILSFLMQETVGFILSVGSVGSLLGVLLYQNLLKDCPFRSVLFWCQLLSGIAGMLDLVLVLRLNLRVGIPDYFLVVIDESVSQLIGNLKWMPLLVLSSKLCPPGIEGTFFALLMSIDNAGLLTSSWGGGLLLHILRVTRKDFTNLWGAILIRNMMRLLPLTFLFLVPNIDQHSTVLPADILVENGNTKTHEVKTNDIELLSLVNSSEIGVEKA >DRNTG_13445.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19230134:19232039:-1 gene:DRNTG_13445 transcript:DRNTG_13445.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAHLRYLDEGMDSEVFQVGRVVRPSMKEIGQCRSQCTDFGVNLAKVQTRRHRSMTNTVAEHYSNIVVCTVAALFTANRETRETENPQGRVEIPHGRVEHPRRWSRPIPALFKADSAPILVFFSPSFPQLARGLRLGFRGVLARFLERFYGSDIPHHLEEGYWESFRRHRSREVYPRPDKGSLATSRGLSTRPST >DRNTG_13872.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:4836343:4838106:1 gene:DRNTG_13872 transcript:DRNTG_13872.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGDHSFPAFVKYEKEYAESAKIKLESDIHFKEKGIYGNEKGPTSKRNCLKSSKRSCSSSDPKSQLEIGKPLVDECLQTFVDHSNFGDKSNFPEYKPSRAIRYEAKKETLVASTRPSTKAARNHQHGKTYRKDYKPDDFKRKLMIVLNKPYNHNEHQILLREAAERKPLCKVKNLRSITISYATEQLSNSYLDYYPDLAKQIESANSEKALILLRGFFFWLKNLCHEGAYRPWSHHS >DRNTG_18065.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:168769:170833:1 gene:DRNTG_18065 transcript:DRNTG_18065.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTEEKKETLTEKTSEAVESVAERITPAETSRDFVVETDSGLKVGESENKKEKTNKADDQNAEAPAKDDSDTKATVGAPKDEPPTKPHRQSNNIISKVKQSIVKVKKAIIRKSSSSKAISMENKDEITVK >DRNTG_18065.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:168769:195965:1 gene:DRNTG_18065 transcript:DRNTG_18065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTEEKKETLTEKTSEAVESVAERITPAETSRDFVVETDSGLKVGESENKKEKTNKADDQNAEAPAKDDSDTKATVGAPKDEPPTKPHRQSNNIISKVKQSIVKVKKAIIRKSSSSKAISMENKDEITVK >DRNTG_06015.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:11986211:11997960:-1 gene:DRNTG_06015 transcript:DRNTG_06015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKAIEPIHGHFVGLNKSHFSSCCVPSCEKEALAVAAAMEKGTTHPIGRAVVDHSMGKDLPNVSIESFECLPGRGLLATLSGIERGPGGDELLKASIGSVEYIASLCKSNDESEKIREAVRMSAYGSEFVQAALSVNKKVTLFHFEDEPRPSVAKVLTTLKDQAKLRTIMLTGDHELSAKRVAKAVGIDEVYHSLKPEDKLNHVKSTSRETGGGLIMVGDGINDAPALAAATVGMVLAQRASATAIAVADVLLLQDNISGVPFCIAKARQTTSLVKQSVALALTCIIAASLPSVLGFLPLWLTVLLHEGGTLLVCLNSVRALNEPTWSWVQDLEHLISQVREFAAHLLKRQPPSSTIQAAPL >DRNTG_18384.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000946.1:88841:89681:1 gene:DRNTG_18384 transcript:DRNTG_18384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVCLSLVNILTYACVLKLSLIRDSTENISGGIGHLRLIDLIGFMPISCRSSIT >DRNTG_28340.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:167392:172162:-1 gene:DRNTG_28340 transcript:DRNTG_28340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHYYYYYYYYYLTSLKSASLSSLLYKYQPLSLLALSISSCSHLHPINHKIIYSSLLVLSLFNIYIYHLVLNINIYIILSLLLQQLKLGSQSSLTHTNTHTHTHTHAQRVERGVTQGVSSGAMSAFQDKDPRAEVIASSIRVVPNFPKPGIMFQDITTLLLDPIAFKNVVDMFVERYTGMGISVVAGIEARGFIFGSPIALAIGAKFVPLRKPRKLPGEVIAEKYDLEYGTDCLEMHVGAVQPGERVLVVDDLVATGGTLCAAISLIERVGAEVVECACVIELPELKGRERLRDKPLYILVESR >DRNTG_28340.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:167392:171904:-1 gene:DRNTG_28340 transcript:DRNTG_28340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFQDKDPRAEVIASSIRVVPNFPKPGIMFQDITTLLLDPIAFKNVVDMFVERYTGMGISVVAGIEARGFIFGSPIALAIGAKFVPLRKPRKLPGEVIAEKYDLEYGTDCLEMHVGAVQPGERVLVVDDLVATGGTLCAAISLIERVGAEVVECACVIELPELKGRERLRDKPLYILVESR >DRNTG_28340.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:167048:172162:-1 gene:DRNTG_28340 transcript:DRNTG_28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHYYYYYYYYYLTSLKSASLSSLLYKYQPLSLLALSISSCSHLHPINHKIIYSSLLVLSLFNIYIYHLVLNINIYIILSLLLQQLKLGSQSSLTHTNTHTHTHTHAQRVERGVTQGVSSGAMSAFQDKDPRAEVIASSIRVVPNFPKPGIMFQDITTLLLDPIAFKNVVDMFVERYTGMGISVVAGIEARGFIFGSPIALAIGAKFVPLRKPRKLPGEVIAEKYDLEYGTDCLEMHVGAVQPGERVLVVDDLVATGGTLCAAISLIERVGAEVVECACVIELPELKGRERLRDKPLYILVESR >DRNTG_28340.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:166892:171904:-1 gene:DRNTG_28340 transcript:DRNTG_28340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFQDKDPRAEVIASSIRVVPNFPKPGIMFQDITTLLLDPIAFKNVVDMFVERYTGMGISVVAGIEARGFIFGSPIALAIGAKFVPLRKPRKLPGEVIAEKYDLEYGTDCLEMHVGAVQPGERVLVVDDLVATGGTLCAAISLIERVGAEVVECACVIELPELKGRERLRDKPLYILVESR >DRNTG_33435.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2966383:2970356:1 gene:DRNTG_33435 transcript:DRNTG_33435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFLSAPRCSLGTLMPSLSSKPGKTRFYNGAPFRTSLTSDFVAPFVGGGVTSEFSGQKIRSLSLRPSPPGSRGKRSVVTMVIPFLRGNAWEQPPPDLASYLYKNRIVYLGMSLVPSVTELILAEFLYLQYEDAEKPIYLYINSTGTTKGGEKLGYETEAFAIYDVMRYVKPPIFTLCVGNAWGEAALLLAAGAKGNRAALPSSTIMIKQPIARFQGQATDVDLARKEIKNVKNELVSLYSKHIGKSKEQIEEDIRRPKYFSPSEAVEYGIIDKVLYNERGREDRSVVSDLRKAQLI >DRNTG_25450.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1498660:1501113:1 gene:DRNTG_25450 transcript:DRNTG_25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGENGVMELTLSSLDPVFIELNRLENSLREKERELGASQREIKALKMSEVLKDKAVAEMSNELKKMEEKLSITEKHLEFKSLEIKKLNNSKKEAIAAQFAAEATLRRLHASQKEEELVPLEAVIAPLESDIKIYKNEIIRLQEDNKALERLTKSKEEALIEAEEILRSALERVLIVEQVQNQNLELRRKIEIFQEENKLLEKTNRQKVVEIEKLSQTIRELEESILAGAENANAIHDFQRQVAELHEEKKTLERELARVKVSVNRVASVKANEFKDDNEKLMPVKHWLDERKFFQGEIQRLRDKLQVSERTCKAENQLNDKLRLRLRTLEESMINKKSSTNRYVKGINLVAKNLWVTRSKISDDNLKENSDEISTKEEHGNEDMVSGFLYDKLQKEVINLRKSHEAKDGLLNAKEDEIKKLLKNLETLRKMKKIIRREPETVVNKSKNQKQKKMNSNISNRNVKHFRN >DRNTG_25301.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19770783:19776204:1 gene:DRNTG_25301 transcript:DRNTG_25301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSIKVRVRASLGTIQGLIWSGDKRMIGGLQVDPSGSYFSWKVPAKGKNVSNAKAFLEKRVLTPTEIDDYLAEVE >DRNTG_06466.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:548340:553155:-1 gene:DRNTG_06466 transcript:DRNTG_06466.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSHSATIADAVHRIQLALLDGAATSEGQLLAAGTLLSQPDYDDVVVERSLARLCGHPLCTKALSSTADSQRRRGRYRIALREHKVYDLEETYKYCSQACLVSSRAFAESLERERVGDVSLKVDGVLRVFGLGKDEDLPAEKGLGIGDLKIKEKEQAGNGDVSMEEWLGPSDAIEGYVPLRDRKQGAKYMSEDESTKMVDDAGNGEMGFTSCLIMENELSVPQSDKSSVHQQDISNMIAKQLENLAIEEKNSPRERTSGKTRNRKAMKKVNACKAEEEIKRAAIVCEQSKATPPNKHSVVLEDLSEQLGKELEERLHLEKETVSNGAVLKSSLKSGGSKDGKTVTWADETYKAPEKKDADHGGSSNAQVSHDDADEDLRLASAEACAAALIRAAETVASGTSDATDAASEAGIIILPQLQNNEKGGIEEDEEMFELDRGRVKWPTKPVLLDTDMFEVEDSWHDTPPEGFKLTLSSFATMWMALFGWITSSSIAYIYGFNESSHEDFLTVNGREYPRKIILQDGKSSEIRQTLDICVGRAIPALVMDLRLSLPVSSLEKAVGQLLDTMSLIEAVPAFRTKQWHVIVLLFLEALSLHRLPALAQHMASRNTLLHKVLNPAKITSEEYKTMVDLIIPLGRVPQTNSQTQ >DRNTG_06466.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:548340:552482:-1 gene:DRNTG_06466 transcript:DRNTG_06466.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSHSATIADAVHRIQLALLDGAATSEGQLLAAGTLLSQPDYDDVVVERSLARLCGHPLCTKALSSTADSQRRRGRYRIALREHKVYDLEETYKYCSQACLVSSRAFAESLERERVGDVSLKVDGVLRVFGLGKDEDLPAEKGLGIGDLKIKEKEQAGNGDVSMEEWLGPSDAIEGYVPLRDRKQGAKYMSEDESTKMVDDAGNGEMGFTSCLIMENELSVPQSDKSSVHQQDISNMIAKQLENLAIEEKNSPRERTSGKTRNRKAMKKVNACKAEEEIKRAAIVCEQSKATPPNKHSVVLEDLSEQLGKELEERLHLEKETVSNGAVLKSSLKSGGSKDGKTVTWADETYKAPEKKDADHGGSSNAQVSHDDADEDLRLASAEACAAALIRAAETVASGTSDATDAASEAGIIILPQLQNNEKGGIEEDEEMFELDRGRVKWPTKPVLLDTDMFEVEDSWHDTPPEGFKLTLSSFATMWMALFGWITSSSIAYIYGFNESSHEDFLTVNGREYPRKIILQDGKSSEIRQTLDICVGRAIPALVMDLRLSLPVSSLEKAVGQLLDTMSLIEAVPAFRTKQWHVIVLLFLEALSLHRLPALAQHMASRNTLLHKVLNPAKITSEEYKTMVDLIIPLGRVPQTNSQTQ >DRNTG_05159.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:14117207:14117744:-1 gene:DRNTG_05159 transcript:DRNTG_05159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKYMRRLSFSLLFMMGLLLLLLLMQLFSPAEGRRFECHANCISSDNSSGSGYLLQSLIVRFLSGAAAATTIKAEGPPTSPGHSPGIGHGSPPNALG >DRNTG_27931.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001419.1:6747:8796:1 gene:DRNTG_27931 transcript:DRNTG_27931.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALYRLIFVCISHVRIVESVGDGVTDLKPGDHVIPVPSGECKECIHCKSKESNICDLLRVNLGRGVMISDGQSRFSINGKPIYHFVGTSTYSEYTVVHVGCAAKINPSAPLDKVCILGCGISSGEKLLVHVSIH >DRNTG_27931.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001419.1:3565:8330:1 gene:DRNTG_27931 transcript:DRNTG_27931.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISDGQSRFSINGKPIYHFVGTSTYSEYTVVHVGCAAKINPSAPLDKVCILGCGISSGLGAVLNVAKPPKGSSVAVFGLGAVGLAAAEGARISGASRIIGVDVNPKKFNHAKNFGVSEFVNPKDHDKPVQEVIAEMTGGGVDRSIECTGDVDAMISAFECVHDGWGVAVLVGVPHKDAVFKTHPMNLLHQRTLKGTLFGNYKPRTDLPAIVEKYMNKVMIEISEIILTLCYLS >DRNTG_27931.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001419.1:6747:8330:1 gene:DRNTG_27931 transcript:DRNTG_27931.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALYRLIFVCISHVRIVESVGDGVTDLKPGDHVIPVPSGECKECIHCKSKESNICDLLRVNLGRGVMISDGQSRFSINGKPIYHFVGTSTYSEYTVVHVGCAAKINPSAPLDKVCILGCGISSGLGAVLNVAKPPKGSSVAVFGLGAVGLAAAEGARISGASRIIGVDVNPKKFNHGLNYDVLD >DRNTG_27931.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001419.1:3565:8796:1 gene:DRNTG_27931 transcript:DRNTG_27931.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLIEVQLGLSDLINRFACISTAKNFGVSEFVNPKDHDKPVQEVIAEMTGGGVDRSIECTGDVDAMISAFECVHDGWGVAVLVGVPHKDAVFKTHPMNLLHQRTLKGTLFGNYKPRTDLPAIVEKYMNKELELEKFITHEVPFAEINKAYEFMLNGDSLRCIIHMDG >DRNTG_27931.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001419.1:3565:8796:1 gene:DRNTG_27931 transcript:DRNTG_27931.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDGQSRFSINGKPIYHFVGTSTYSEYTVVHVGCAAKINPSAPLDKVCILGCGISSGLGAVLNVAKPPKGSSVAVFGLGAVGLAAAEGARISGASRIIGVDVNPKKFNHAKNFGVSEFVNPKDHDKPVQEVIAEMTGGGVDRSIECTGDVDAMISAFECVHDGWGVAVLVGVPHKDAVFKTHPMNLLHQRTLKGTLFGNYKPRTDLPAIVEKYMNKELELEKFITHEVPFAEINKAYEFMLNGDSLRCIIHMDG >DRNTG_00940.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21214889:21218412:-1 gene:DRNTG_00940 transcript:DRNTG_00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFERGLFTLRFGADWLELPASLILLLAIAPDLLAHSIRDSILGPCTCFFVSCFLVHDLVFYLDFKEFISCI >DRNTG_12289.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3186886:3191868:1 gene:DRNTG_12289 transcript:DRNTG_12289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPANQKKAPNPTIGSSSANSHIETIASKTQPSCPVKERYIKPMAKARFPITLAVLFLSHPVPPPPLKPKPWTTTNPLFFPLPLKIPKENFRLDPFLSKDPIFLLGSEQEKESG >DRNTG_25620.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22001886:22003355:1 gene:DRNTG_25620 transcript:DRNTG_25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding FGYLAPEYAITGKITIKADVYAYGVILMEIIMGRKVLDESRPEEDTHLVSTFHRCYEDKQNFLNDVD >DRNTG_31518.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:11017611:11020245:-1 gene:DRNTG_31518 transcript:DRNTG_31518.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCRDTEGDILTVRQHFQDTNDFNNTLSNIAIKHNFNFQFIRNDRNRVTVACAADRCQWRIHASKDGILPIYTRKQCSKLRYVDMVCR >DRNTG_15759.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11726940:11727412:1 gene:DRNTG_15759 transcript:DRNTG_15759.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRKFSKTHDRAKIPHQCGHSQAQLTGANARPCV >DRNTG_12656.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1239224:1241300:-1 gene:DRNTG_12656 transcript:DRNTG_12656.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyubiquitin 14 [Source:Projected from Arabidopsis thaliana (AT4G02890) UniProtKB/Swiss-Prot;Acc:Q3E7T8] MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGN >DRNTG_12656.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1239224:1241300:-1 gene:DRNTG_12656 transcript:DRNTG_12656.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyubiquitin 14 [Source:Projected from Arabidopsis thaliana (AT4G02890) UniProtKB/Swiss-Prot;Acc:Q3E7T8] MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGN >DRNTG_32147.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20771405:20776419:1 gene:DRNTG_32147 transcript:DRNTG_32147.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDTAVVLLRPSSSSFNTLNFPNEAPSFALEPGNVSPDTVQRLASNRGMAMDARLTVKLPEKEEDRHAENLVMLHESEFGGGDISVDDYICN >DRNTG_09575.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22506957:22507346:1 gene:DRNTG_09575 transcript:DRNTG_09575.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFIPSISNSVPSSPNPLSMSSSLPFFLRLAPLRQSIIRSTIPNHTRAPAYSWFSKPSGLRIDRRGCTSSGFPIRVSAMDD >DRNTG_09575.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22506957:22507672:1 gene:DRNTG_09575 transcript:DRNTG_09575.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFIPSISNSVPSSPNPLSMSSSLPFFLRLAPLRQSIIRSTIPNHTRAPAYSWFSKPSGLRIDRRGCTSSGFPIRVSAMDDDPMDVYSIFDENDVVSGMNSSYMMSSSEGEDSDSDPFLDPNRDVDLPPRKDQKDIPDAALTMAAHRFASINRGHRKR >DRNTG_00094.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23333088:23333776:-1 gene:DRNTG_00094 transcript:DRNTG_00094.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRAFASLSLTLALALALLLVVAPLCSMAGNILYGSNSLGPGQSLAYGSFKLVMQEDCNLVLYDICNTVWATNTSGRGTNCYLSFRSNGNLVIQNSNGQTVWATNQSGGQGNYVFILQKDRNLAVYGPVTWQTKTSLAGSDGLLIDSNDTIFGALPANKNTEEAKATRISMVVNK >DRNTG_01911.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20904796:20906136:-1 gene:DRNTG_01911 transcript:DRNTG_01911.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTWFIKTAITTAFLGRLGELELAAGTLGFTFANVTGFSILTGLCWAMEPICGQAHGAQNHKLLHKTLFRAILLLLLTSIPISFSWIYVDKIFLLVGQQEDMAELAKVYVIYLLPDLVVTSLLCPLKTYLSSQGVTLPTLFSSAIALAFHVPLNILLSRNKGLKGVALATGLTDLIATITLAIYVIISEIIKKKAGHEEESELRGGGGGWWLLDLLKVSEWLHLLKLAMQCCFMGCMEWWCYEILIMLSGRVLAGDDGRRSVAVLTVVLNFDYLLYAVMISMATCASTRVSNDLGGGHAQRAWFSACVSLGLGVVAGFIGGMAMVSFRGYWGGLFSNDEGVVKGVRKGLLFMALVEVFSIPLGVCGGVVRGTSRPWLGMFSAGGFYVVGLPLAVVLCFEVGIGVEGLLLGYMGGCVTGLGLICVVVACLDWVGEAEKATQFAVSV >DRNTG_17190.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:411829:414026:1 gene:DRNTG_17190 transcript:DRNTG_17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDVEGEFTAKDYTDPPPAPLIDVEELGKWSFYRAVIAEFIATLLFLYITVATVIGYKHMSDTNLGNPDAACSGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRALLYMIAQCLGAICGVGLVKAFQKAFYVHYGGGANTLASGYSRGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNKDKAWDDQWIFWVGPFIGAAIAAIYYQFVLRAGAVKALGSFRSNA >DRNTG_23355.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5961150:5964025:1 gene:DRNTG_23355 transcript:DRNTG_23355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVRLPDGRQTMQVGNDDECMQACLYTCSCTAYFYNGTGCTVWYGDLLNLQDQYEGSDGGTLYLRLAASELPNHHKNGSVSGLVAGVVAASLVCFGIICTLVTIRIMRRRAFKLVMGPLVALVHDDLQTCDQELLRQTGRRELWFCF >DRNTG_03668.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13666580:13670333:1 gene:DRNTG_03668 transcript:DRNTG_03668.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGENMSLIGNWKVYCNNFLDGGYHVPYAHKGFSSSLNLNTYTTEVQKSYELT >DRNTG_03668.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13666580:13670333:1 gene:DRNTG_03668 transcript:DRNTG_03668.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGENMSLIGNWKVYCNNFLDGGYHVPYAHKGFSSSLNLNTYTTEVQKSYELT >DRNTG_03668.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13666580:13670333:1 gene:DRNTG_03668 transcript:DRNTG_03668.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGENMSLIGNWKVYCNNFLDGGYHVPYAHKGFSSSLNLNTYTTEVDDLIGSIRKLFVFPADKKIKSSH >DRNTG_28475.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:415540:416416:1 gene:DRNTG_28475 transcript:DRNTG_28475.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTANNNSQRFFEDFNPRSEWVHEEKGDILIIDVSGFTKDQLKVFFETNGRISVSGERLVEGKKWIKFRKEFSKPDNCDVNEIKAKFDCGILYVILPKQNQIQDQDQNQNQQEQDDEEPKSKPTITTTTVTTAAEESNMRKNDGKEIMDSQTNITSSGPYGESEVVRHIMGGSASSGIYC >DRNTG_00999.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000059.1:7660:11590:-1 gene:DRNTG_00999 transcript:DRNTG_00999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPKPRAGPASACPHAQRRSPRAARARRLGSDLAAQCHRPEAVRLAMATH >DRNTG_10494.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000441.1:61942:62919:1 gene:DRNTG_10494 transcript:DRNTG_10494.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHPAPKRRSLPVAAGGGIGGAWRQKKLRRLPHIFSKVLELPLGSDAEVVVQESPTSFRFTASTEEAWDEVRAHAIEIHPGVMKVVVRDVGDDDPLAGEEGLDELELDRWRFRLPPCTRPALATADYVGGVLIVTVPKGGVDLDDRVDDGGLGIGNDDLGIGRLVFVQ >DRNTG_10494.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000441.1:61942:63621:1 gene:DRNTG_10494 transcript:DRNTG_10494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHPAPKRRSLPVAAGGGIGGAWRQKKLRRLPHIFSKVLELPLGSDAEVVVQESPTSFRFTASTEEAWDEVRAHAIEIHPGVMKVVVRDVGDDDPLAGEEGLDELELDRWRFRLPPCTRPALATADYVGGVLIVTVPKGGVDLDDRVDDGGLGIGNDDLGIGRLVFITMDCE >DRNTG_11919.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:484002:487021:1 gene:DRNTG_11919 transcript:DRNTG_11919.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPMPESAIDENEIRITAQGRIRNYITYAIALFQEKGSDEIVLKAMGRAINKVVVIAELIKRRIVGLYQNTTTGSIDITDSWEPLEEGLLPLETTRHVSVMAITLSKKELDSSSPGYQPPIPADQVKPLEESDYGESLPSGHGRGRGGRGRGRGRGASNEFMDDDVDGGWNEGHGYGYRGRGRGRGRGPRGRGFRGRARGYNGGYDGNIHHEPKEYNEYDDLEGPPQGQVQGGRGRGRVRGRGRGHEQPDA >DRNTG_11919.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:484002:487021:1 gene:DRNTG_11919 transcript:DRNTG_11919.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPMPESAIDENEIRITAQGRIRNYITYAIALFQEKGSDEIVLKAMGRAINKVVVIAELIKRRIVGLYQNTTTGSIDITDSWEPLEEGLLPLETTRHVSVMAITLSKKELDSSSPGYQPPIPADQVKPLEESDYGESLPSGHGRGRGGRGRGRGRGASNEFMDDDVDGGWNEGHGYGYRGRGRGRGRGPRGRGFRGRARGYNGGYDGNIHHEPKEYNEYDDLEGPPQGQVQGGRGRGRVRGRGRGHEQPDA >DRNTG_06990.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1289927:1297481:-1 gene:DRNTG_06990 transcript:DRNTG_06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLWISKVKDGQHLMEDELQLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPETNYIFMGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDIRTIDQIRVIDRNCEIPHEGPFCDLMWSDPEEIDTWAVSPRGAGWLFGSRVTSEFNHINNLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNEKMEREVKFFTETEENNQMRGPRSGVPYFL >DRNTG_11268.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000494.1:3855:5198:1 gene:DRNTG_11268 transcript:DRNTG_11268.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFSSLDSLMHEPSMLSSKLSSPESSPSSDISPSTSCSIKAQSRFNS >DRNTG_08564.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000387.1:31018:46128:1 gene:DRNTG_08564 transcript:DRNTG_08564.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWRSSRNSSNITLGHTASMSSSLRPYDSLFC >DRNTG_24233.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19833125:19835972:1 gene:DRNTG_24233 transcript:DRNTG_24233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMDLMRRMPPRESEMALSALLTLLPEQSSDLLSQVDLPLQVIRDLDASKEFILCEYNRDADSYRSPWSNKYHPPLEDGSLPSNELRKLEVEANDIFAIYRDQYYEGGVSSVYMWEDDNQGFVACFLIKKDGSKTGHGRRGYLQEGAWDAIHVIEVGLEEEGTAHYCLTSTVMLSMTTDNKQSGTFNLSGSIRRQMILDLPIADGHLCNMGKMIEEMEGKLRNSLDQVYFGKTREMVCTLRPPAEGVQLGLPPN >DRNTG_24233.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19833125:19834079:1 gene:DRNTG_24233 transcript:DRNTG_24233.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMDLMRRMPPRESEMALSALLTLLPEQSSDLLSQVDLPLQVIRDLDASKEFILCEYNRDADSYR >DRNTG_24233.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19834157:19835972:1 gene:DRNTG_24233 transcript:DRNTG_24233.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWEDDNQGFVACFLIKKDGSKTGHGRRGYLQEGAWDAIHVIEVGLEEEGTAHYCLTSTVMLSMTTDNKQSGTFNLSGSIRRQMILDLPIADGHLCNMGKMIEEMEGKLRNSLDQVYFGKTREMVCTLRPPAEGVQLGLPPN >DRNTG_22415.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23283334:23284322:-1 gene:DRNTG_22415 transcript:DRNTG_22415.1 gene_biotype:protein_coding transcript_biotype:protein_coding QFGVSNRVTNSPYDLPSPTSNNLSP >DRNTG_18514.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:13258587:13259705:-1 gene:DRNTG_18514 transcript:DRNTG_18514.5 gene_biotype:protein_coding transcript_biotype:protein_coding LQLCSLWLILQLFQSYSNHAEKKWVIGVLLMDPVYAIESVITLSTTKFSLISDILRNYHEAFALYSFE >DRNTG_18514.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:13258587:13265444:-1 gene:DRNTG_18514 transcript:DRNTG_18514.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLNLKVVMEHITTLDAERFAESCREGFVTATLTMQHLLLSGNSSFQGH >DRNTG_18514.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:13258587:13262240:-1 gene:DRNTG_18514 transcript:DRNTG_18514.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFVGKPVWTPYNRPYDHLPARGGVSENQFFPSTHTRSSSNKKGIVVDAKICHQTEFDFYLCSHARVKGTSLPTHYHVLLDENWFTASQLQSLTNNLCYM >DRNTG_18514.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:13258587:13265444:-1 gene:DRNTG_18514 transcript:DRNTG_18514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLNLKVVMEHITTLDAERFAESCREGFVTATLTMQHLLLSGNSSFQGH >DRNTG_18514.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:13258587:13265444:-1 gene:DRNTG_18514 transcript:DRNTG_18514.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLTRIGLQLVNSSLSQTISATFVMEHITTLDAERFAESCREGFVTATLTMQHLLLSGNSSFQGH >DRNTG_21119.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2583207:2585657:-1 gene:DRNTG_21119 transcript:DRNTG_21119.2 gene_biotype:protein_coding transcript_biotype:protein_coding LAPSYNVGFTKSRELRLAAIISNLSDDPPVKRISLRLQASREEKLKIQPPKDAKCKQALSCSQGEEEEEGYHKKEFALNKSSSTNPDKTRNLR >DRNTG_21119.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2583207:2585657:-1 gene:DRNTG_21119 transcript:DRNTG_21119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDVLAPSYNVGFTKSRELRLAAIISNLSDDPPVKRISLRLQASREEKLKIQPPKDAKCKQALSCSQGEEEEEGYHKKEFALNKSSSTNPDKTRNLR >DRNTG_02445.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10432016:10433379:-1 gene:DRNTG_02445 transcript:DRNTG_02445.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLIVFSLLLLQSFVSHSLSLPKLTTNFLINLASSGTNNDHLIQQEIKTRTAKLMTFSGLTAEIESVDGGYLVKLAIGTPAVPFLASPTTVTNLIWTQCEPCEHCFNQTTPIYSSSNSSSFLKLPCAHNLCKASYIHSCNPDCRYKQRYIGGSSEGVMGVVGLGRGPQSLVYQLGIRKFSYCLPHYDDESNGRLLLGYEDEHQNSIQSTPLVLNPSNSSFYYLTLHGITVGSTRLLTPEGMFKVRKDGGGGVIINTGSMFTALEQPAFGLLKDEFKSQLKLPFSDQSSLGYDACFSFEPKSNVEVPKLIYHFDGADLELPVKNYMAVDSQNGLLCLVILEATGGLTIFGGIHQSNINMMLDLEREVLSFVPAQCKQL >DRNTG_32734.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001905.1:3270:5447:1 gene:DRNTG_32734 transcript:DRNTG_32734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSSSHSPELGGEASSQGKEIADL >DRNTG_32734.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001905.1:3270:4674:1 gene:DRNTG_32734 transcript:DRNTG_32734.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSSSHSPELGGEASSQGKEIADL >DRNTG_13590.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000622.1:16527:23010:1 gene:DRNTG_13590 transcript:DRNTG_13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPETFDSVPILLEYNKNMFLNLRGREDEYDGFHVGDVYYIDLSNDQLSGNIPEELVRLYGLRKLNLSGNILEGEIPDKLCGMQHLDSLDLLRNKLLGRIAATLSDLTFLDHFNVPYNDLSGRIPSGNQFSTFNDPHHLHWKSSLRIPS >DRNTG_13590.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000622.1:22177:23097:1 gene:DRNTG_13590 transcript:DRNTG_13590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPETFDSVPILLEYNKNMFLNLRGREDEYDGFHVGDVYYIDLSNDQLSGNIPEELVRLYGLRKLNLSGNILEGEIPDKLCGMQHLDSLDLLRNKLLGRIAATLSDLTFLDHFNVPYNDLSGRIPSGNQFSTFNDPHHLHWKSSLRIPS >DRNTG_28754.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7072472:7085032:1 gene:DRNTG_28754 transcript:DRNTG_28754.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATVICIDNSEWMRNGDYSPSRLQAQADAVNIICGAKTQSNPENTVGVLTMAGKGVRVLVTPTSDLGKILASMHGLEIGGEMNLTAGIQVAQLALKHRQNKQQQQRIIVFAGSPIKYDKKALEVIGKKLKKNNVTLDIVDFGESDDSKPEKLEALLAAANNNDSSHIVHVPPGPNALSDVLLRQV >DRNTG_28754.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:7072472:7085032:1 gene:DRNTG_28754 transcript:DRNTG_28754.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATVICIDNSEWMRNGDYSPSRLQAQADAVNIICGAKTQSNPENTVGVLTMAGKGVRVLVTPTSDLGKILASMHGLEIGGEMNLTAGIQVAQLALKHRQNKQQQQRIIVFAGSPIKYDKKALEVIGKKLKKNNVTLDIVDFGESDDSKPEKLEALLAAANNNDSSHIVHVPPGPNALSDVLLSTPVISGDGNSGSGFAAAAAAASAVGTNAFDFGVDPNLDPELALALRVSMEEERARQEAAAKKAAEDADNQEKGNESASSSQDASMAEAVSNSTIAADHKMHDQTEDEAALLEQALAMSMDDAKSCGSIMPDTDMSDAATDDPELSAALQLSLSKGDVEDMPTQTEMSKVLEDQSFVSSILNSLPGVDPNDPSMKDLLASLQGQSEPQQKQNEDKTENDGDK >DRNTG_19022.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22575926:22579153:-1 gene:DRNTG_19022 transcript:DRNTG_19022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEAGGGAAMAVVERLVKSVAEISAISDFGQAYKKELCNLSRRIKLLAPMFDELIDSKVPIPVDVLRSLASLQAALNSALDLLRFGSEGSKLFMVLERDKNMKRFQDVTVQLEQALGGISLEKLDISDEVREQVELVHAQLQRAKDRVHMPDVELYNDLASIYNKSTDLPSIDPSLLHKLADKLQLMSISDLKQESLALHELVAASDGRDPGENIEKMSMLLKKIKDFVLTNNLEMSTTTDDDAKHLAPVIPDDFRCPISLELMEDPVIVASGQTYERRCIKKWLEGGHDTCPKTQLKLPNMSLTTNYVLRSLISQWCEANGVECPKRPSLPISLTSACSSSEHNNVDSLLLKLSSPNPDEQRSAAGELRLLAKRNSDNRICIAEAGAIPLLAGLLLTVDTCTQEHAVTALLNLSIYEENKARIISSGAVPGIVHVLRNGSMEARENAAATIFSLSVVDENKVTIGATGAIPALVSLLSVGSPRGKKDAATALFNLCIYQGNKGKAVRAGLVPTLMVLLRDPAGGMVDEALAILAILSNHHEGKAAIGAAKAVPMLVDVIRSGSPRNRENAAAVLLHLCGGEQLQHHIEQAQECGVMGPLLELASNGTERGKRKANQLLERMSRFLDRQKQFEAQAEVFIAPGEQSGFLGSTTFSGH >DRNTG_25825.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1054884:1060836:-1 gene:DRNTG_25825 transcript:DRNTG_25825.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRLCRACALARSAIAIQTSPMTSSSPPSKLLHSSPLPLSPNPGGLGLAPVRLCMRVGGFSSQAWVDVPTGRMVEVPLAQTGEGIAECELLKWFVQEGEEVEEFQRLCEVQSDKATIEITSRFKGKIIQIVFTPGDIVKVGETLLKILVEEDQASLASECLDGGTTLNSETSVPEEKGSLFHEASVDRVLSTPAVRNLAKQHGVDINVISGTGKDGRVLKEDVLKYATNQGIGITTLSHGNAEEEVLLTGHKLPVDALHIKDYEDKIMPLRGFNRAMVKSMTLAAKVPHFYYLEELNCDALVELKAAFQNDNSDPNVKHTFLPFLIKSLSMALSKYPILNSSFIEESNEVVLKGSHNIGVAMATDYGLVVPNIKRTQSLSVMEITKELSRLHQMASNNKLTSEDISDGTITLSNIGSIGGKFGSPLLNLPEVAIIAIGRIQKLPRFAVDETVYPASITNVTIGADHRIVDGATVAKFCNEWKRLVENPELLLLHLK >DRNTG_12611.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20423199:20424919:-1 gene:DRNTG_12611 transcript:DRNTG_12611.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVITVALKEEDIIKEEPVTLVSPTNPNPTQRVYLSNIDQTVAFPVETIFFFESLESSTNDVIEKVRRTISEKFLIPYYFMAGRLGYNEENKRLELVCNNGGVLFVGATSWLKLSNLGDVSLPNPSFHHLILRIDGSLSLNDTPLFTIQVTRFSCGGYSIGFVTNHSIMDGRAAAEMFLTLGSICKSSTQAIPLHLQTKHSTLTLNLDRYSYIKPRSPPLITFHHDSEYTISSPSSFTNLPLNLSLSPTHTCKVFSFTTSMINSLKLKALTTCSSFEAMVAHLWRTRTKTVFDNPLATSSVLFAVDIRTKLNPPLPPNFIGNAVITTAATAKVMDIEDKPFSFCVDKVKAAIERVNDEYVRSVIDWLEVHRGGVPSTMNENFYVSAWWKLPFHELDFGHGKLVHGGPVVSGMDEFVLLLSNGNGKEGGVNVWMSLEKMKMEKFLCFVYDF >DRNTG_08380.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4448187:4449124:-1 gene:DRNTG_08380 transcript:DRNTG_08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKCISDSDLDLFIMTNRSGRRREGYLSFAADELPILSGKTPLQAFEAFFASFHDSFSDLFGSIMSSRISGCDDDRTASSGTHRSWRRILDQR >DRNTG_15547.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15222436:15224062:-1 gene:DRNTG_15547 transcript:DRNTG_15547.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAWLERMHVGHGVRDHERQLKRGAAMTEMQSFPGDYGLDPLGLSDPKGTKGFIEPVAVPDSVRGWGKS >DRNTG_00961.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21063046:21067478:1 gene:DRNTG_00961 transcript:DRNTG_00961.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRVLTLEDGKEPLQRPQAKALPMRIVKVLAFFLCLGIVFSAISVYLTRYYGVYSVVSKPLVGLQPCIEAMDALTRWIKPPSSLMHTMSDEELLWRASFVPRVKKYPFQRVPKVAFLFLTIGPLPLAPLWETFLKGNEGLYSVYIHSLPSYRAEFPSSSAFYHRQIPSQVSEWGKMSMCDAERRLLANALLDISNEWFILLSESCIPVYNFSTIYQYFMRSNYSYVGAFDDQGPFGRGRYNILMAPEVNISQWRKGSQWFEVNRELAVTMVRDTIFYPKFEAFCKPHCYVDEHYFPTMLTIEAPHLLANRTVTWVDWSRGGAHPATFGRVDITEGFLKRIREGNTCLYNDQPTDICHLFARKFSPSALEPLLQLAPGHLGFGDRREDPGT >DRNTG_03797.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22190012:22191989:1 gene:DRNTG_03797 transcript:DRNTG_03797.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEKEIESGKRNGVAVSRRVPKSATRKLGTRKLGI >DRNTG_03797.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22191583:22191989:1 gene:DRNTG_03797 transcript:DRNTG_03797.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEKEIESGKRNGVAVSRRVPKSATRKLGTRKLGI >DRNTG_03797.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22190012:22191989:1 gene:DRNTG_03797 transcript:DRNTG_03797.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEKEIESGKRNGVAVSRRVPKSATRKLGTRKLGI >DRNTG_03797.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22190012:22191989:1 gene:DRNTG_03797 transcript:DRNTG_03797.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEKEIESGKRNGVAVSRRVPKSATRKLGTRKLGI >DRNTG_22483.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2624591:2625395:-1 gene:DRNTG_22483 transcript:DRNTG_22483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLHSLFSPLKRLWFRMHSAHKKSRGIYILYEDVKSCQYEDVHILWSILVESHSPALPLPPPPPPPPPPSSSSSRSTTTTRRRRSK >DRNTG_00875.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21839835:21840631:1 gene:DRNTG_00875 transcript:DRNTG_00875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIQSYRNGAEIHNGDDLCKKKSIKLLEDIGLPNGLFPLEDIEEFGYNCEAGFVWLIQKKKKEHTFKKIKRAVSYAHEVTAFVEKGKMKKMTGVKTKELMLWLSVVEMYIEDPSSKKITFKTGTGLSDSFPVSAFELEE >DRNTG_33647.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1989287:2038017:1 gene:DRNTG_33647 transcript:DRNTG_33647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSNLMASLVSIIISLLVFLALYFIRIISREKKQWGSVKKKYPPIAATLLHQLFYIRRLHHYQTKLSLIYKTFRILDPIRNQIYTTDATNIEYILHNNFKNYGKGDYNYENLKELFGDGIFAVDGEKWRHQRKLASYEFTKKNLRECSNIIFQKNASKLVAIVCQGANSIESMDIQELFMRATMDSIFEIGFGLELNSLEGQSEGSQFTKAFDNSSEFIMLRYFNPFWKIKRFLNIGSEAKLKENIKVVDDFVYHLIHTKMRQMSDYQQKDFLMKKEDILSRFLEERNKDPENMTDKYLRDIILNFLIAGKDTTAGTLSWFIYMLCKHPLVQERVYQDIIDATEAGEKANFTEFSQSITDEVLNKMHYLHAALSETLRLYPAVPLDSKVCFSDDILPDGFSVNKGDIVFYQPYAMGRMKYLWGDDAEVFRPERWLDCHGVFQHESPFKFTAFQVRRIWWTKDLLGKRLCLQANEDLCCCSSQLL >DRNTG_33647.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1989287:1991416:1 gene:DRNTG_33647 transcript:DRNTG_33647.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSNLMASLVSIIISLLVFLALYFIRIISREKKQWGSVKKKYPPIAATLLHQLFYIRRLHHYQTKLSLIYKTFRILDPIRNQIYTTDATNIEYILHNNFKNYGKGDYNYENLKELFGDGIFAVDGEKWRHQRKLASYEFTKKNLRECSNIIFQKNASKLVAIVCQGANSIESMDIQELFMRATMDSIFEIGFGLELNSLEGQSEGSQFTKAFDNSSEFIMLRYFNPFWKIKRFLNIGSEAKLKENIKVVDDFVYHLIHTKMRQMSDYQQKDFLMKKEDILSRFLEERNKDPENMTDKYLRDIILNFLIAGKDTTAGTLSWFIYMLCKHPLVQERVYQDIIDATEAGEKANFTEFSQSITDEVLNKMHYLHAALSETLRLYPAVPLDSKVCFSDDILPDGFSVNKGDIVFYQPYAMGRMKYLWGDDAEVFRPERWLDCHGVFQHESPFKFTAFQGGPRICLGKDFAYRQMKIFAAVLLNFFRFKLSEKNKVVNYRVTITLHIDHGLHVHIFHR >DRNTG_33647.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1989287:1991416:1 gene:DRNTG_33647 transcript:DRNTG_33647.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSNLMASLVSIIISLLVFLALYFIRIISREKKQWGSVKKKYPPIAATLLHQLFYIRRLHHYQTKLSLIYKTFRILDPIRNQIYTTDATNIEYILHNNFKNYGKGDYNYENLKELFGDGIFAVDGEKWRHQRKLASYEFTKKNLRECSNIIFQKNASKLVAIVCQGANSIESMDIQELFMRATMDSIFEIGFGLELNSLEGQSEGSQFTKAFDNSSEFIMLRYFNPFWKIKRFLNIGSEAKLKENIKVVDDFVYHLIHTKMRQMSDYQQKDFLMKKEDILSRFLEERNKDPENMTDKYLRDIILNFLIAGKDTTAGTLSWFIYMLCKHPLVQERVYQDIIDATEAGEKANFTEFSQSITDEVLNKMHYLHAALSETLRLYPAVPLPYAMGRMKYLWGDDAEVFRPERWLDCHGVFQHESPFKFTAFQGGPRICLGKDFAYRQMKIFAAVLLNFFRFKLSEKNKVVNYRVTITLHIDHGLHVHIFHR >DRNTG_28391.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:17865504:17872965:1 gene:DRNTG_28391 transcript:DRNTG_28391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTFEGRQVSKQVNSIKAWLEERKYRVAMEYQYNRQGPVPGGPDPQHHRLPPSHYLTSSLAMASEGQASPPTWAEITAAIHQNNEPSPLVDCPVLARLKETTLDFVFMDPNAKSHAKMRFQYSLCGKFFGKSPPLELICGRRGILEWFMSLDSLICLFVIMSSSSGRDKGPSRGARGGGRGDSRDDQIHSFPPSQPGPGNRTEMDVRNGLELTCIDKEASISPLVPSKDNLQVSKIVPNVVSSILPMALNDHIGLINRDLSNKLSNHMPRSAPSHHNFNESNLVKKGKAIRSDSSSLFSSLLLIFILFDCPHPF >DRNTG_20023.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001085.1:14671:16718:-1 gene:DRNTG_20023 transcript:DRNTG_20023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARAKHERATSLWFFFVWDFPDYQQDCLFGYFLNSFSVIFLGIVVTLCDSRVESSGIPLLLPMMKRMPGKPAKERGKEPLGAKSKIQSKLSREDKGHVATSSGIHVVSPSRNKITKAQAAANYGNSNLTILRGAHTGDLIIEMERM >DRNTG_02918.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000132.1:26748:27212:1 gene:DRNTG_02918 transcript:DRNTG_02918.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSRLDPPLPLAYMGNSIQIANTVRILAGEMVGESLGWAAKLLNEAVASVTHDKIIKFLDSWAKCPSFTDFSQFTTCDLFIGSSPRFDVYGNDFSWGKPIVVRSGGANKFDGKITVYPGSEKGSIALEICLLPHVLKRLIEDREFMEVVSAP >DRNTG_01537.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4931169:4932848:-1 gene:DRNTG_01537 transcript:DRNTG_01537.4 gene_biotype:protein_coding transcript_biotype:protein_coding SSSLASITKHLLLSSSALIPDSSSPAPSNERKVPQRKPSQYLKSMLALCLAKILMKVFL >DRNTG_01537.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4927563:4932848:-1 gene:DRNTG_01537 transcript:DRNTG_01537.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKEESGLGHEERPQGLTPWEQHSKVILIPRFDYKASSSLLERSHSGFLITCPIKREKSATKEAITILEEYAGPMFGKNLDESVPVKRRKVCLIEEEEGYDKCVESIETDSDLQACRKVTKEASITSSKIDDSSKASPSLSLVKLTKSGLLLLTFLSDNVHQTVDTLSCIFHSLGSGKLKAPIWCNRIFPIQETCILTEENLNAVVSKLFKEYLSNKQVTPETSIKFAVGYNRRGIDETTTKARKSTDEDSQGLGLLDRDKCFEVVARAIKVIANNASVDLKSPEVAVLVELLPVSGVLQGSSVAGVSVLPHNLLTTKPRLCIKSLVSDSKAAKRKES >DRNTG_01537.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4927563:4932848:-1 gene:DRNTG_01537 transcript:DRNTG_01537.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKEESGLGHEERPQGLTPWEQHSKVILIPRFDYKASSSLLERSHSGFLITCPIKREKSATKEAITILEEYAGPMFGKNLDESVPVKRRKVCLIEEEEGYDKCVESIETDSDLQACRKVTKEASITSSKIDDSSKASPSLSLVKLTKSGLLLLTFLSDNVHQTVDTLSCIFHSLGSGKLKAPIWCNRIFPIQETCILTEENLNAVVSKLFKEYLSNKQVTPETSIKFAVGYNRRGIDETTTKARKSTDEDSQGLGLLDRDKCFEVVARAIKVIANNASVDLKSPEVAVLVELLPVSGVLQGSSVAGVSVLPHNLLTTKPRLCIKSLVSDSKAAKRKES >DRNTG_01537.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4927563:4932848:-1 gene:DRNTG_01537 transcript:DRNTG_01537.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKEESGLGHEERPQGLTPWEQHSKVILIPRFDYKASSSLLERSHSGFLITCPIKREKSATKEAITILEEYAGPMFGKNLDESVPVKRRKVCLIEEEEGYDKCVESIETDSDLQACRKVTKEASITSSKIDDSSKASPSLSLVKLTKSGLLLLTFLSDNVHQTVDTLSCIFHSLGSGKLKAPIWCNRIFPIQETCILTEENLNAVVSKLFKEYLSNKQVTPETSIKFAVGYNRRGIDETTTKARKSTDEDSQGLGLLDRDKCFEVVARAIKVIANNASVDLKSPEVAVLVELLPVSGVLQGSSVAGVSVLPHNLLTTKPRLCIKSLVSDSKAAKRKES >DRNTG_29106.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:10458450:10458902:1 gene:DRNTG_29106 transcript:DRNTG_29106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDETILRVHQRFGNKWLQHYDSLSSDLEFYEDAQPLKWASNVSPVMSSGGLCFSPSSSSGLDVSNSSHHSHPMMALAVASQIYRPVPRSNVVGLGISITAPPPPSPSPS >DRNTG_09127.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26778271:26779795:-1 gene:DRNTG_09127 transcript:DRNTG_09127.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGGLEAFDKNLLKSDALHQYILETSVYPREHEQLKALREISEKHIMGIMSLPPEEGQLLSMLIKVLNAKKTLEIGVFTGYSLLATALALPKDGKVN >DRNTG_09127.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26778054:26779795:-1 gene:DRNTG_09127 transcript:DRNTG_09127.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGGLEAFDKNLLKSDALHQYILETSVYPREHEQLKALREISEKHIMGIMSLPPEEGQLLSMLIKVLNAKKTLEIGVFTGYSLLATALALPKDGKITAIDMDKSYYEIGLPFIQKAGVEDKIKFIESEAMPVLDKMLQ >DRNTG_17623.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4408489:4412964:-1 gene:DRNTG_17623 transcript:DRNTG_17623.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGWRRSLQRSLIRTLKTLISQSCERPQPYLSPQLVNLAISKCPSDLISLSFFLWAARQPNYFHDPSSFDLMVPVVHRLSDRFGNVSGIVDELESIGCGRKAQALLVLMRVYWRGDLYSLALEAFDQMRSRSFVPNTFARNIVVDILFKTGHFDSALRFFEETPFPNFITFNIVIGNICKYGDWWSVGILLREMIDRGFWPNSGTYAMVLDCFHKEGKIMELLQLLGFMTVVGEQFSVAIWTFLIDMYRKIGNLDMAGI >DRNTG_26856.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14080374:14084559:-1 gene:DRNTG_26856 transcript:DRNTG_26856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRSKREECKHTEHDSDFSKWQVLIGPKDWEDYTLGKDGVERYRIHNLPQCFSCSGLYELAISKIPIEGRKSREPPPDAIVVVYLGHSDNVRARLQEYGRSGSHLERGNPSVCANNFKDLCLVKGPGLFKEAFSKGYSILFRWAPVSMVMTDKKEAKKIESKLLDTFDYAWNTRANGTSRRDDVLKISSTMTGQPIPKVLNNLQEWKQLTFNKKVGIMISESVPPDKLGSSNYLQHFLIPLVRKVSRIQPRPNEGGEVLNSGYDICGVTDGDGSICRRKPVLRNKRCLLHKGKWIISNGHITSKEASSSTENSSLVRLAIEDSSRFIELPCNGTQQCEELRERSPTENFHTEDKSVDVEHDHSEEYHICGVLLSYGNVCRSKPLLGRKRCDDHKYMRVNGIIPRTAREFTITNITSSEELDPCEESQICGVLLGHGNVCRSRPSRGRARCEDHKGMRVNSIISRTTIRECSSRAATYHFQEYQRCGVSLENGNVCQRMPVQGRQRCEVHKGQRVRRITY >DRNTG_17217.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2803153:2804063:1 gene:DRNTG_17217 transcript:DRNTG_17217.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQATDVARVTIQHPDSKVEHVFWSLNAGDVMAAHPGHYVAIIITSPNPSTSSSSSSSSLVKHLKLLRPDDTLQVGHVYRLVSFEDVLREFGSKRRVKLSKLLEKHKVAVDDQSQSVVKEENGKKEGEEEEEEEREQCLRMGGKRSGNIGQWRPSLQSIPEVGS >DRNTG_09514.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21717346:21719381:-1 gene:DRNTG_09514 transcript:DRNTG_09514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDVGAPPLRLVNFISEDQLDHAKKARGLRVEDGTAQRDRPLYEILKENKDKKDAEFNERFKHRPPKALDEDETEFLDNLEMTKREYERQIANEEALELRSFQEAVAAQTNIVHELKETRMVSRPEEQKPVLKRNTNARPGNLIISVKSQPKKAKTDVVLDKSSEPAKPANAHDNKPSETALGSLVSYGDDDSEDED >DRNTG_00402.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2848867:2850906:1 gene:DRNTG_00402 transcript:DRNTG_00402.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTLLPSFLLTHIIALLCYLSVANHGFILLRPLSPATFDVTNHFVWGLGVPEDEVEFNDVYSLNDELLDMVPKPVLAVLFLFPYNDKMIWKT >DRNTG_33824.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2968023:2970278:-1 gene:DRNTG_33824 transcript:DRNTG_33824.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAFLFLCLLLPKLGFSARNNLTVNEEDLELERQLKLLNKPAIKTIVENGDIFDCVDIYKQPAFDHPSLKDHKLQLRPRAYPEGLFDNISSSSSRRTQTVNIGLKNGGCPKGTVPIKRVTKDDLLRMRTSSLKPYSSSKAQDVDNVNRKWAIYHTPYASDERKRHQYFGGAALINIYALPQLTSTQFSSSLIWVVNDESNCQSNHIAVGWTVSPQTYGDKNTRLLTSWTADNHEKTGCIDMRCPGFVQVSQIVTLGGAYNPVSVYNGTQRSIEIYIFRDPMTLNWWFAYGQNRTLVGYWPSKIFTGLSDHASRLDFGGTVGNLQSDDMPPMGSGHLPKEGLGKACHFIQVRHLNSDNQFIDLSADDVSPKLTSSTCCYDLGPYDQSGTNYANMFYFGGPGGSQNC >DRNTG_11151.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000490.1:14861:19999:1 gene:DRNTG_11151 transcript:DRNTG_11151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVPLLSYVSPVSFLFGWLFMIFLQPRRFGIICAHSIFLPIRRCATPCYRLSPLPISVRPPISNPVPTIAPLMPSPCLSAPVHPLSQSQGPVSSAHPRRGGTGGDKGAEDVRVGSSGGDEEEEGGRQPWRNEKKKGKSCG >DRNTG_23424.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21199688:21202808:-1 gene:DRNTG_23424 transcript:DRNTG_23424.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKMSLRLLSLQEPGLKKPLLAASATKETERQLSKKELKKKENEEFHAILNELGISSIDNNAEQGGSFNSDDVPLDRIFFSWTSFKMITKFKPAVHECLPSDVFAGVTSKKTDGQNGENEKKDNAPAASESKISKKKKAKKDKSSREAKDSQEQPNGGDTNTPDEVSGTQPADKDAPAVDVKEKIKKVGSIKKKKSSKEMDPAAKAAAQEVATRNARLAATSKRKDKNHYNQQPIR >DRNTG_23424.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21199688:21202808:-1 gene:DRNTG_23424 transcript:DRNTG_23424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKMSLRLLSLQEPGLKKPLLAASATKETERQLSKKELKKKENEEFHAILNELGISSIDNNAEQGVTSKKTDGQNGENEKKDNAPAASESKISKKKKAKKDKSSREAKDSQEQPNGGDTNTPDEVSGTQPADKDAPAVDVKEKIKKVGSIKKKKSSKEMDPAAKAAAQEVATRNARLAATSKRKDKNHYNQQPIR >DRNTG_13642.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:11957262:11964549:-1 gene:DRNTG_13642 transcript:DRNTG_13642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFYFTTVGFYVCTMMTVLTVYIFLYGRVYLALSGLDSAISHEAKILGNTALDAALNAQFLVQIGVFTAVPMIMGFILELGLLQAIFSFVSMQLQLCAVFFTFSLGTRTHYFGRTILHGGAKYRPTGRGFVVSHIKFAENYRLYSRSHFVKALEVALLLIVYIAYGYTEGGASSFILLTVSSWFLVISWLFAPYIFNPSGFEWQKTVEDFDDWTAWLLYKGGVGVKGDHSWESWWDEEQSHIRTVRGRILETILSLRFLIFQYGIVYKFNLTGDDTSLAIYGYSWVVLAIIVFIFKIFTFRPGKSTNIELFLRFSQGVIAIGVIVAIVLFVALTKLSIPDLFASLLAFLPTGWLILSLAITWKRLVKSLGLWESVREIARMYDAGMGMLIFAPIAFLSWFPFISTFQSRLLFNQAFSRGLEISLILAGNKANVQS >DRNTG_09059.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31433342:31440202:-1 gene:DRNTG_09059 transcript:DRNTG_09059.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEILKGIVVTYLAIQSPVPSTLVCNYYGSQGPLLAGYRHIDCARKYDNEKEIGAALKHLFSNGVVKRSELFVTSKLWCSDHSPEDVSKALTRILEDLQLDYIDLFLIHWPIRTKPGTLGFEAEVMLPLCMAETWTAMEGLYASGQARAIGVSNFSTKKLQDLLTHSKVPPAVNQVECHPVWQQPVLHNLCKSTGIHLSAYAPLGSPGSWIKGEVLKEPILLEIAEKLNKSPAQVALRWGIQSGHSVLPKSTNEARIKENLDLFSWTIPQDLFSKFSQIQQARLLRGDFAIHQTGSPYKSLQELWDGLSSGDWEIQETQFCVKSNPFMDHGLHARKRRPYPHSEA >DRNTG_17460.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21477594:21506759:-1 gene:DRNTG_17460 transcript:DRNTG_17460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGIMETGVHFLKVGDHLADNLMGLLSGLKEEVIPHGSLLGVPIHQMLLLLKLLAGASRTRLILNQFFCKKCICWDNLITLHNQGVQKVLDVRFHPEGLPQLVCSCNEAPNELLLYNLLSGRATQLSGHNCQIQAVEFAVRGASVVSCGANLLKVWDCITGSCLFTLGSVGVDRSLVGHSKKIHAMTVNTWQSCLVATSGGIGDDKLLLWNALRGELAADLNMNLRAKDQCLPSIDAMEFCGEHLLVCGSDCAYNGPALVQLWDLGSPNSCVSFPAHDSFITSLKINSACNTIITGAGDGTIGLFDIRSCDAIGYLSVGSGYEITSASFSSCGTYFNASSTSNNTIVWDTRLMPMNRGQMPIEMSHRGSGMHYMRPLHCLSHGNQMPTAENSGQLPGHVDEGDQGVNDARWLNKEPILVTVSGDGCLAMWDVTLGKPCVRHIISHARCANTVAVSGNDEYLCSGGDDQKIVLYHNEKARARQNWRLSHPIIEKN >DRNTG_17460.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21477594:21484134:-1 gene:DRNTG_17460 transcript:DRNTG_17460.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPMNRGQMPIEMSHRGSGMHYMRPLHCLSHGNQMPTAENSGQLPGHVDEGDQGVNDARWLNKEPILVTVSGDGCLAMWDVTLGKPCVRHIISHARCANTVAVSGNDEYLCSGGDDQKIVLYHNEKARARQNWRLSHPIIEKN >DRNTG_17460.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21504448:21506759:-1 gene:DRNTG_17460 transcript:DRNTG_17460.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPLSLLPPNPNPNPNPNPDPSPSTSQPPPPPLQWRDLAVSWLSSHSTPPTATDLDSWIESNLHSLPESLRSLPRPELHRRIISLFNPAPTQVSNPSPSDPLHPYRFQRTDQWMPVYSWLESLDADSLVDSKEIMAWLESNRKVYDMLLLKHSKYHLMHYIQRLHLKVLKKKGKVPKGVQLSTARASVKIASGKLTTDAVPLQCKSPSNVMKDKEMFLNKKSEAFFRYELLTDLQNQLTRVLSKNKHATNLRNSPSLPLSKQPLKDNSGCQPSMVDKNAKFKSGETPNFLDAISIQVTEQLRPGSLLETVGGQKRKREPAIAIPAWSSSEAIFGMHFLNE >DRNTG_17460.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21484972:21492054:-1 gene:DRNTG_17460 transcript:DRNTG_17460.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTVNTWQSCLVATSGGIGDDKLLLWNALRGELAADLNMNLRAKDQCLPSIDAMEFCGEHLLVCGSDCAYNGPALVQLWDLGSPNSCVSFPAHDSFITSLKINSACNTIITGDICFSFP >DRNTG_17460.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21477594:21485286:-1 gene:DRNTG_17460 transcript:DRNTG_17460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCGEHLLVCGSDCAYNGPALVQLWDLGSPNSCVSFPAHDSFITSLKINSACNTIITGAGDGTIGLFDIRSCDAIGYLSVGSGYEITSASFSSCGTYFNASSTSNNTIVWDTRLMPMNRGQMPIEMSHRGSGMHYMRPLHCLSHGNQMPTAENSGQLPGHVDEGDQGVNDARWLNKEPILVTVSGDGCLAMWDVTLGKPCVRHIISHARCANTVAVSGNDEYLCSGGDDQKIVLYHNEKARARQNWRLSHPIIEKN >DRNTG_17460.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21477594:21506759:-1 gene:DRNTG_17460 transcript:DRNTG_17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPLSLLPPNPNPNPNPNPDPSPSTSQPPPPPLQWRDLAVSWLSSHSTPPTATDLDSWIESNLHSLPESLRSLPRPELHRRIISLFNPAPTQVSNPSPSDPLHPYRFQRTDQWMPVYSWLESLDADSLVDSKEIMAWLESNRKVYDMLLLKHSKYHLMHYIQRLHLKVLKKKGKVPKGVQLSTARASVKIASGKLTTDAVPLQCKSPSNVMKDKEMFLNKKSEAFFRYELLTDLQNQLTRVLSKNKHATNLRNSPSLPLSKQPLKDNSGCQPSMVDKNAKFKSGETPNFLDAISIQVTEQLRPGSLLETVGGQKRKREPAIAIPAWSSSEAIFGTFRGDQPLSSHYGEVGTHDISRKNAQVSLAQKYSDRRNIATCLQGREIGFRGYDARNHGNWSSFLKGWRSLGRQFDGPAVWFERRSYSSWVPTWCAYTSNVAVAQTLGRQGVQKVLDVRFHPEGLPQLVCSCNEAPNELLLYNLLSGRATQLSGHNCQIQAVEFAVRGASVVSCGANLLKVWDCITGSCLFTLGSVGVDRSLVGHSKKIHAMTVNTWQSCLVATSGGIGDDKLLLWNALRGELAADLNMNLRAKDQCLPSIDAMEFCGEHLLVCGSDCAYNGPALVQLWDLGSPNSCVSFPAHDSFITSLKINSACNTIITGAGDGTIGLFDIRSCDAIGYLSVGSGYEITSASFSSCGTYFNASSTSNNTIVWDTRLMPMNRGQMPIEMSHRGSGMHYMRPLHCLSHGNQMPTAENSGQLPGHVDEGDQGVNDARWLNKEPILVTVSGDGCLAMWDVTLGKPCVRHIISHARCANTVAVSGNDEYLCSGGDDQKIVLYHNEKARARQNWRLSHPIIEKN >DRNTG_17460.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21505547:21506759:-1 gene:DRNTG_17460 transcript:DRNTG_17460.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPLSLLPPNPNPNPNPNPDPSPSTSQPPPPPLQWRDLAVSWLSSHSTPPTATDLDSWIESNLHSLPESLRSLPRPELHRRIISLFNPAPTQVSNPSPSDPLHPYRFQRTDQWMPVYSWLESLDADSLVDSKEIMAWLESNRKVYDMLLLKHSKYHLMHYIQRLHLKVLKKKGKVPKGVQLSTARASVKIASGKLTTDAVPLQC >DRNTG_17460.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21480763:21495707:-1 gene:DRNTG_17460 transcript:DRNTG_17460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSGLKEEVIPHGSLLGVPIHQMLLLLKLLAGASRTRLILNQFFCKKCICWDNLITLHNQGVQKVLDVRFHPEGLPQLVCSCNEAPNELLLYNLLSGRATQLSGHNCQIQAVEFAVRGASVVSCGANLLKVWDCITGSCLFTLGSVGVDRSLVGHSKKIHAMTVNTWQSCLVATSGGIGDDKLLLWNALRGELAADLNMNLRAKDQCLPSIDAMEFCGEHLLVCGSDCAYNGPALVQLWDLGSPNSCVSFPAHDSFITSLKINSACNTIITGAGDGTIGLFDIRSCDAIGYLSVGSGYEITSASFSSCGTYFNASSTSNNTIVWDTRLMPMNRGQMPIEMSHRGSGMHYMRPLHCLSHGNQMPTAENSGQLPGHVDEGDQGVNDARWLNKEPILVTVSGDGCLAMWDVTLGKPCVRHIISHARCANTVAVSGNDEYLCSGGDDQKIVLYHNEKARARQNWRLSHPIIEKN >DRNTG_17861.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2946639:2950328:-1 gene:DRNTG_17861 transcript:DRNTG_17861.6 gene_biotype:protein_coding transcript_biotype:protein_coding MADFVPLSGGPGESQESQESRESQGPRETKQRRPRLASLDVFRGLSIALMIFVDYGGSILPFIAHSPWNGVHLADFVMPFFLFIAGISLSLVYKITPNKGQATWNASLRAIKLFLLGIILQG >DRNTG_17861.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2906725:2935881:-1 gene:DRNTG_17861 transcript:DRNTG_17861.3 gene_biotype:protein_coding transcript_biotype:protein_coding GLSSFLYLAYMSGCHMVYMFQTGNLNCSRLLWFVTLTIAVFKQ >DRNTG_17861.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2935636:2950328:-1 gene:DRNTG_17861 transcript:DRNTG_17861.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADFVPLSGGPGESQESQESRESQGPRETKQRRPRLASLDVFRGLSIALMIFVDYGGSILPFIAHSPWNGVHLADFVMPFFLFIAGISLSLVYKITPNKGQATWNASLRAIKLFLLGIILQGGYFHGVNSLTFGVDIDKVRWLGILQRIAIGYIVAALCEIWSSSLIWKDVGNGFFWKYFLNWVVIFSLSGIYVGLSYGLYVPDWQFKLQQTSLVCHSDDCSFQTVRYT >DRNTG_17861.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2906725:2950328:-1 gene:DRNTG_17861 transcript:DRNTG_17861.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFVPLSGGPGESQESQESRESQGPRETKQRRPRLASLDVFRGLSIALMIFVDYGGSILPFIAHSPWNGVHLADFVMPFFLFIAGISLSLVYKITPNKGQATWNASLRAIKLFLLGIILQGGYFHGVNSLTFGVDIDKVRWLGILQRIAIGYIVAALCEIWSSSLIWKDVGNGFFWKYFLNWVVIFSLSGIYVGLSYGLYVPDWQFKLQQTSLVCHSDDCSFQTVKCSVRGDLGPACNAAGMIDRYVLGIEHLYKKPVYRNLEVCKSYKNSQASNEPPSWCLAPSEPEGILSSLTAAVTCIIGLHFGHILVQLEDHKDRISRWLLFSLSIFTLALGLTFAGFPLNKSLYTISYMLLTTATAGFTFCVLYLVVDVYGYRSPTFILEWMGRHSLSIFVLVASNIAIIVIQGFYWRKPQNNIVHWVISLVHS >DRNTG_17861.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2949655:2950328:-1 gene:DRNTG_17861 transcript:DRNTG_17861.7 gene_biotype:protein_coding transcript_biotype:protein_coding MADFVPLSGGPGESQESQESRESQGPRETKQRRPRLASLDVFRGLSIAVNFSFRLSFFMFFHVHLVIQGGSGLK >DRNTG_17861.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2938507:2949355:-1 gene:DRNTG_17861 transcript:DRNTG_17861.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVDYGGSILPFIAHSPWNGVHLADFVMPFFLFIAGISLSLVYKITPNKGQATWNASLRAIKLFLLGIILQGGYFHGVNSLTFGVDIDKVRWLGILQRIAIGYIVAALCEIWSSSLIWKDVGNGFFWKYFLNW >DRNTG_12954.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14748112:14750049:1 gene:DRNTG_12954 transcript:DRNTG_12954.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFIISLPLLLLLLLLLILYSQTTSKKPSSNPNTNFKPYPFLGHIPHLVKHRHESLEWISTLLSESPTHSLVFKVPFESDTFVTSNPANIEHMIKSNFSNYPKGHQHITLLEDFLGHGIFNSDGDHWNWQRKAASYEFNKKSLRNFIINTVKQEIVHRLLPLATKKCNSGEVFDLQEVFERLSFDNICKVAFGEDPCCLTDDTSRSQLVRAFGDASHIAVARFNSTLIPFTWRIKKLLNLGSEKRLKECIKIINNYAMNIIRSRRESEQEDDDLLSRFASNKDNSDQHLRDIVISFIVAGLETTSSALTWFFFNFDELREMHYLHAAISESLRLYPPVPFDSQSCLEDDIMPDGTLIRKGWFVTYCAYSVGRLRDVWGEDCMEYRPERWLEDGVFKPENPFKFPVFHAGPRMCLGKEMAYIQMKSAVACLIERFRIEALVAKDKHPEMVRWLTIRMKDGLPVLLREREKREGVE >DRNTG_02355.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000111.1:39166:41336:1 gene:DRNTG_02355 transcript:DRNTG_02355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNKGHVLRSDITLAGWFGSSSQLKMVEQKVVLKILAMNDEKTKQKAMEAVADIYGINSIAIDLKEQKMTIIGEMDTVAIAKKLKKIGKIDIVTVGPAKEEKKDEKKEEKKEEKKDEKKVEKKDEKKEEKK >DRNTG_02355.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000111.1:39254:41336:1 gene:DRNTG_02355 transcript:DRNTG_02355.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNKGHVLRSDITLAGWFGSSSQLKMVEQKVVLKILAMNDEKTKQKAMEAVADIYGINSIAIDLKEQKMTIIGEMDTVAIAKKLKKIGKIDIVTVGPAKEEKKDEKKEEKKEEKKDEKKVEKKDEKKEEKK >DRNTG_02355.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000111.1:39254:40863:1 gene:DRNTG_02355 transcript:DRNTG_02355.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNKGHVLRSDITLAGWFGSSSQLKMVEQKVVLKILAMNDEKTKQKAMEAVADIYGINSIAIDLKEQKMTIIGEMDTVAIAKKLKKIGKIDIVTVGPAKEEKKDEKKEEKKEEKKDEKKVEKKDEKKEEKK >DRNTG_02355.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000111.1:39254:40226:1 gene:DRNTG_02355 transcript:DRNTG_02355.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNKGHVLRSDITLAGWFGSSSQLKMVEVSKFVSFSSLLVFFPCFYTQNKNANGLYFVQQKVVLKILAMNDEKTKQKAMEAVADIYGINSIAIDLKEQKMTIIGEMDTVAIAKKLKKIGKIDIVTVGPAKEEKKDEKKEEKKEEKKDEKKVEKKDEKKEEKK >DRNTG_02355.9 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000111.1:39166:40009:1 gene:DRNTG_02355 transcript:DRNTG_02355.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNKGHVLRSDITLAGWFGSSSQLKMVEQKVVLKILAMNDEKTKQKAMEAVADIYGINSIAIDLKEQKMTIIGEMDTVAIAKKLKKIGKIDIVTVGPAKEEKKDEKKEEKKEEKKDEKKVEKKDEKKEEKK >DRNTG_02355.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000111.1:39166:40226:1 gene:DRNTG_02355 transcript:DRNTG_02355.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNKGHVLRSDITLAGWFGSSSQLKMVEQKVVLKILAMNDEKTKQKAMEAVADIYGINSIAIDLKEQKMTIIGEMDTVAIAKKLKKIGKIDIVTVGPAKEEKKDEKKEEKKEEKKDEKKVEKKDEKKEEKK >DRNTG_02355.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000111.1:39254:40226:1 gene:DRNTG_02355 transcript:DRNTG_02355.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNKGHVLRSDITLAGWFGSSSQLKMVEQKVVLKILAMNDEKTKQKAMEAVADIYGINSIAIDLKEQKMTIIGEMDTVAIAKKLKKIGKIDIVTVGPAKEEKKDEKKEEKKEEKKDEKKVEKKDEKKEEKK >DRNTG_02355.10 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000111.1:39254:40054:1 gene:DRNTG_02355 transcript:DRNTG_02355.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNKGHVLRSDITLAGWFGSSSQLKMVEQKVVLKILAMNDEKTKQKAMEAVADIYGINSIAIDLKEQKMTIIGEMDTVAIAKKLKKIGKIDIVTVGPAKEEKKDEKKEEKKEEKKDEKKVEKKDEKKEEKK >DRNTG_02355.12 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000111.1:39254:40009:1 gene:DRNTG_02355 transcript:DRNTG_02355.12 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNKGHVLRSDITLAGWFGSSSQLKMVEVSKFVSFSSLLVFFPCFYTQNKNANGLYFVQQKVVLKILAMNDEKTKQKAMEAVADIYGINSIAIDLKEQKMTIIGEMDTVAIAKKLKKIGKIDIVTVGPAKEEKKDEKKEEKKEEKKDEKKVEKKDEKKEEKK >DRNTG_02355.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000111.1:38901:40863:1 gene:DRNTG_02355 transcript:DRNTG_02355.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNKGHVLRSDITLAGWFGSSSQLKMVEQKVVLKILAMNDEKTKQKAMEAVADIYGINSIAIDLKEQKMTIIGEMDTVAIAKKLKKIGKIDIVTVGPAKEEKKDEKKEEKKEEKKDEKKVEKKDEKKEEKK >DRNTG_02355.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000111.1:38901:40009:1 gene:DRNTG_02355 transcript:DRNTG_02355.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNKGHVLRSDITLAGWFGSSSQLKMVEQKVVLKILAMNDEKTKQKAMEAVADIYGINSIAIDLKEQKMTIIGEMDTVAIAKKLKKIGKIDIVTVGPAKEEKKDEKKEEKKEEKKDEKKVEKKDEKKEEKK >DRNTG_02355.11 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000111.1:39254:40009:1 gene:DRNTG_02355 transcript:DRNTG_02355.11 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNKGHVLRSDITLAGWFGSSSQLKMVEQKVVLKILAMNDEKTKQKAMEAVADIYGINSIAIDLKEQKMTIIGEMDTVAIAKKLKKIGKIDIVTVGPAKEEKKDEKKEEKKEEKKDEKKVEKKDEKKEEKK >DRNTG_29879.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2010342:2011363:1 gene:DRNTG_29879 transcript:DRNTG_29879.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g38010 [Source:Projected from Arabidopsis thaliana (AT4G38010) UniProtKB/Swiss-Prot;Acc:Q9SZK1] MLRDGFRPDKYTFPVVLKSCMRFSGIGEARQVHGAIVKMGFAWHLHALNALVHVYGLCGEFDNAGNLFDEMPLRDVVSWTGLVSVYVKAGFFREALALFGLMDVEPNGATLVSVFVACGRLGELKLGRRIHGLILKREAGISVVEGNALMDMYVKCEHLDEAKRVFERLPQRDIVSWTSIISGLAQCKRPKDALEVFHAMQGEGLEPDKVTLSSVLSACASLGALDTGSWVCEYIERKGLEWDVHIGTSMVDMYAKCGCLELALQ >DRNTG_18906.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3225257:3243942:-1 gene:DRNTG_18906 transcript:DRNTG_18906.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSWADAVASAESSASTAASRPARSSYVPPHLRNRPAASEPPASSVPADLAFRPAAAPSGGSRWSSGPIRDAARHGLGGGGRSGGGGWNHRAGSWDRGREREANPFANEEDDGAEAPFNDQENTGINFDAYEDIPVETSGDNVPPPVNTFAEIDLGDALNENIRRCKYVKPTPVQRHAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMRGESAQRPRGSRTAYPLALILSPTRELSVQIHAEARKFAYQTGVKVVVAYGGAPINQQLRDLERGVEILVATPGRLVDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRRIVEQMDMPPSGVRQTMLFSATFPKEIQRLASDFLASYIFLAVGRVGSSTDLIVQRVEFVLESDKRSHLMDLLHAQRANGVQGKQALTLVFVETKKGADSLEHWLCMNGFPATTIHGDRTQQEREHALRSFKSGLTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNENNSNLARSLADLMQEANQEVPAWLARYAARSSYGGGGRNRRSGGGGRFGGRDFRRDNRGGGSGGGDYYGGGNSGGGGGYGGGPSTGYGGGGSAGFTSAWD >DRNTG_19581.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2115625:2119417:-1 gene:DRNTG_19581 transcript:DRNTG_19581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWSSLVLAKGPLVKPRAHLVGSKAKKKAEEATIVATEESAKLNTLVEVIKSLDVQVVNALKSEKEAKIGYKDTMLGVFVQFKGQDMRGVHKHGDVCQLPRRFKSIH >DRNTG_06594.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15279638:15280737:-1 gene:DRNTG_06594 transcript:DRNTG_06594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWKVLYSSSKDSLVWYRIRLDGSAVTVLATVLLHCSATVSGLNSFPNLYFHRSNANGHTFTSWITCFFNDSTYQTSTLSNLEYDQLNSKNAITSSINVRIVGSRIDNNLLQLPTPNSSSISSAISSPRLTYLNALKSTKWDYPNQILNKCSNQA >DRNTG_34453.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18297684:18304244:-1 gene:DRNTG_34453 transcript:DRNTG_34453.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13020) UniProtKB/TrEMBL;Acc:F4JS69] MEKYRLIKEIGDGTCGVVYKALDLQTNDVVAIKKMKRKYFFWEDCMNLREVKALRRLDHCNIVKLKEIVRENNELFIIFEYMECNLYEVMRERVTVFSEEEIRNLMSQMLQGLSYMHKNGFFHRDLKPENLLVTNGIIKIADFGLVRETMSKPPYTDYVSTRWYRAPEVLLQSSSYTSAIDMWAVGAILAELFTLSPLFPGESETDQLHKICAVLGTPDGSIWPEGMNFLRANHFNFFQFPPANIADIIPNASSEAIDLILQLCSWDPLRRPTAEQSLQHPFFHVKTWVPYPLKDPYYPRLNQTVSGATPKLELNLWDFGAEPDDSFLGLTLAVKPSVSDLDSKNRVSGQPQEELLFCSGFQGQCAQSFFWPLISPDRNAMNNAQVNPPVSSSYLTSSQAIQPSIGIPESSGYSFPQLQPKMFDFGSLLNISSPLQQRTFFD >DRNTG_06791.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24603846:24604822:-1 gene:DRNTG_06791 transcript:DRNTG_06791.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLRDDDGEKLSDVNIRALISDMFTAGSDTSSVIVEWAISEMLKNPIILKRLQSELDTIIGRERMLEESDILNLPYLQAVCKEALRLHPSTPLSLPHFSAQPCEVNGYHIPANTRLLINVWAIGRDPDTWEFPLEFYPERFLPGGKATNIEPHGTDFELIPFGAGRRICAGKQAGIIFVQYFLGVLMHAFDWQLPAGE >DRNTG_09399.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30757261:30758254:1 gene:DRNTG_09399 transcript:DRNTG_09399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTGQDSEILKARHVEIKKTRYLRGAGWDDENKMITLDPIVAFTYTEAHYGVNPSSRSPLRTMKGLG >DRNTG_03222.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000147.1:57295:58019:-1 gene:DRNTG_03222 transcript:DRNTG_03222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKEESNVVADLKDNEKKALEELKQLIHAALAANEFAPPPPPPPPAGPKEKVKTGGHAAEVVEEKTVEAIEETIVPVVASLAEDPAPPTAVEEKGAVAIEETTIPVDAPAGARQD >DRNTG_17583.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3522307:3529261:1 gene:DRNTG_17583 transcript:DRNTG_17583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNPAPPSSHGNLDEQISQLMQCKPLSEQEVRALCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHNNNLKLIARAHQLVMEGYNWGHEQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >DRNTG_00413.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000038.1:111799:112632:1 gene:DRNTG_00413 transcript:DRNTG_00413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEFFNEMLWIGLQPDGFAYTTRIVGELQLGSASEAFKLREEMIARGITPDTVSYNVQIDGLCKMGNIDEAYNLLLKMVKDGVPPDCVTCSCIIHALCQKGYLSKAWAMYDNMISSGLSPISHHLHNFDSCLC >DRNTG_18640.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6564216:6566315:1 gene:DRNTG_18640 transcript:DRNTG_18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSITFRGIDKAQTNFCYEFLTMPSPPPPPLPQSPLPSEKEKEYYYESNLEINDDHDHLVDSDDDD >DRNTG_12145.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11737049:11741935:-1 gene:DRNTG_12145 transcript:DRNTG_12145.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITNVSEYQAIAKQKLPKMVYDYYASGAEDEWTLKENREAFSRILFRPRILIDVSRIDMTTTVLGFKISMPIMIAPSAMQKMAHPDGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDKANDSGLASYVAGQIDRTLSWKDVKWLQTITSLPILVKGVLTAEDSRLAVQAGAAGIIVSNHGARQLDYVPATISALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVLFALAAEGEAGVRKILQMLRDEFELTMALSGCTSLKEITRNHIVTPGDFTRGVSRL >DRNTG_04902.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:11147590:11149509:1 gene:DRNTG_04902 transcript:DRNTG_04902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCYIFMNSSLPLTLLLNPTSTIIVSTLLLPLSPPAATIVATITTVAAIVATIEDQVFLAEAAAKKMLIVKNMKKGGNCYLKYFHWLKVSLFMK >DRNTG_15029.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23764539:23767242:-1 gene:DRNTG_15029 transcript:DRNTG_15029.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIRRLVSVPKSIHALARADLIKPAIGSLILCRSLGDPVPPDSFVAILKSVSSPKSLMCGKQLHAHMVVTDFNHDTLVQNYLIVMYGKCGSLDDAHSVFRLMSRKNLHSWNTLIDCYCKFGSLSKAQQLFVEMPQRDAVSWNTMISGYDHHGPCEVALEFFVRMRQSECVVDHFGVSSAISACCNLRFMNNGKEIHGLSHKVGLDLHLQVGSALVELYGKCQLIEDAVRVFEGMVFREQFTWNSMLAGYIECSNINEALHFFGVMQGKDVVSWTMLISGCSRHQMNEEAVELFHMMQEAGLWPDQLCFVSALNACVQMLDLEEGLNIHGQILKFGFQADAIIGSVLVALYARCGWFDSAKRVANALDYIDEFSLSVLISEYAKHGRIDCAYELFESSEAKTLPLWNALIGGYADLGLDEEALATFRQMKMDGINGDDFTFGSLILVSENLGSRYGEQIHSQTIKMGVESSVFVSSALIDMYSSSLVCEAAINIFNAIPKTNLVSWNAMISGYALNNMNSEAILTFQLMAVSRIKPDNISFSLILESCSSLFSLPGGMQVHAMAYKLGFESDVVVGSALVDLYGKCGNMHAASRAFSDIHVPTVVSWTALLSGFVRHGMWDAAKEIFKRMPQKNVVSWNTLISGHAKHGSGLEAFELYSQMTELGQFPDYISFISLLTVCGDSLLEEPGKQVHGQIIKTGYYRNAYVSTALNKMYQKLGNSPDKSNLCSSFCFPKFGDIRSVSEADAVSVDSGIA >DRNTG_15029.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23762754:23767242:-1 gene:DRNTG_15029 transcript:DRNTG_15029.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIRRLVSVPKSIHALARADLIKPAIGSLILCRSLGDPVPPDSFVAILKSVSSPKSLMCGKQLHAHMVVTDFNHDTLVQNYLIVMYGKCGSLDDAHSVFRLMSRKNLHSWNTLIDCYCKFGSLSKAQQLFVEMPQRDAVSWNTMISGYDHHGPCEVALEFFVRMRQSECVVDHFGVSSAISACCNLRFMNNGKEIHGLSHKVGLDLHLQVGSALVELYGKCQLIEDAVRVFEGMVFREQFTWNSMLAGYIECSNINEALHFFGVMQGKDVVSWTMLISGCSRHQMNEEAVELFHMMQEAGLWPDQLCFVSALNACVQMLDLEEGLNIHGQILKFGFQADAIIGSVLVALYARCGWFDSAKRVANALDYIDEFSLSVLISEYAKHGRIDCAYELFESSEAKTLPLWNALIGGYADLGLDEEALATFRQMKMDGINGDDFTFGSLILVSENLGSRYGEQIHSQTIKMGVESSVFVSSALIDMYSSSLVCEAAINIFNAIPKTNLVSWNAMISGYALNNMNSEAILTFQLMAVSRIKPDNISFSLILESCSSLFSLPGGMQVHAMAYKLGFESDVVVGSALVDLYGKCGNMHAASRAFSDIHVPTVVSWTALLSGFVRHGMWDAAKEIFKRMPQKNVVSWNTLISGHAKHGSGLEAFELYSQMTELGQFPDYISFISLLTVCGDSLLEEPGKQVHGQIIKTGYYRNAYVSTALNKMYQKLGNSPDKSNLCSSFCFPKFGDIRSVSEADAVSVDSGIA >DRNTG_27013.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26914685:26916103:1 gene:DRNTG_27013 transcript:DRNTG_27013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKTKFFLLVVLLSMFLITCMAFPADFSIMGYSEDDLKSQESLIDLFESWMAKHSRIYSGIEEKLRRFEIFKANLKHIDEKNKMISNYWLGLNEFADLSHEEFKNKYLGLMKTKRDATSTFMYENAANLPKSVDWRKKGAVTHVKNQGACGSCWAFSTVAAVEGINKIVTGNLTSLSEQELIDCDKPDNNGCNGEV >DRNTG_27013.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26914685:26915515:1 gene:DRNTG_27013 transcript:DRNTG_27013.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKTKFFLLVVLLSMFLITCMAFPADFSIMGYSEDDLKSQESLIDLFESWMAKHSRIYSGIEEKLRRFEIFKANLKHIDEKNKMISNYWLGLNEFADLSHEEFKNKYLGLMKTKRDATSTFMYENAANLPKSVDWRKKGAVTHVKNQGACGSCWAFSTVAAVEGINKIVTGNLTSLSEQELIDCDKPDNNGCNGEV >DRNTG_21877.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19170410:19178613:1 gene:DRNTG_21877 transcript:DRNTG_21877.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNVDVTVAADSPPAPSPVESFTDMCLHSSIMKDIALHEYTRPTPIQAQAMPVALSGRDLLGCAETGSGKTAAFSIPMIQHCLAQPPICRGNGPLALVLAPTRELAQQIEKEVKAFSKSSESIKTVVVVGGTNMSEQKYELRAGVHIVVATPGRFIDHLQQGNTSLSRISFVVLDEADRMLDMGFEPQIREVMQNLPRKHQTLLFSATMPVEIETLAQEYLHIPVQIKVGKVSSPTANVAQTLEKVTDGEKIDRLLSLLVEDASHAENSGYYQPLTVVFVERKTRCDEVADALVAQGLHAVALHGGRNQSEREAALNNFRKGTTNILVATDVASRGLDVTGVAHVINLDLPKTMEDYVHRIGRTGRAGSVGQATSFYTDRDMFLVAQIRKAISDVESGNTIAFASGKAARKKEREAVAAQREARLTLSNITLNGPALINVDEKYRYMLTPTTTKQEGVADDAWDD >DRNTG_27162.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:692657:695182:1 gene:DRNTG_27162 transcript:DRNTG_27162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPMVLVFLLVILIITSQFEWKQQLANELEAGPAISQKQQRIAEREEAVKERIILSQEKNIQRLNELVQTLQQQLLQCQSSMNKSNDGRNSSSTNTSEIEQQQQTLQD >DRNTG_23402.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001264.1:937:5346:1 gene:DRNTG_23402 transcript:DRNTG_23402.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKKVLQTVPRRLDSSVEWEPIREGFQLKLKTRYGHYLRANGGLPPWRNSVTHDIPHRTATQDWVLWDVDIVEIRIDPASPIRDHPASFPSHHLSRRESSSSSPSGSPHKSEGRVIYYAIADGDGNVDEGVEQPSFTFKGTDVNELFHRLKDETEMDDLVVCSKNPLNGQLYPLLLDLPPNNTTMHVVVVMANSKVAKNFKKPNGPI >DRNTG_23402.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001264.1:937:5346:1 gene:DRNTG_23402 transcript:DRNTG_23402.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKKVLQTVPRRLDSSVEWEPIREGFQLKLKTRYGHYLRANGGLPPWRNSVTHDIPHRTATQDWVLWDVDIVEIRIDPASPIRDHPASFPSHHLSRRESSSSSPSGSPHKSEGRVIYYAIADGDGNVDEGVEQPSFTFKGTDVNELFHRLKDETEMDDLVVCSKNPLNGQLYPLLLDLPPNNTTMHVVVVMANSKGEFSSFSRL >DRNTG_23402.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001264.1:937:6568:1 gene:DRNTG_23402 transcript:DRNTG_23402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKKVLQTVPRRLDSSVEWEPIREGFQLKLKTRYGHYLRANGGLPPWRNSVTHDIPHRTATQDWVLWDVDIVEIRIDPASPIRDHPASFPSHHLSRRESSSSSPSGSPHKSEGRVIYYAIADGDGNVDEGVEQPSFTFKGTDVNELFHRLKDETEMDDLVVCSKNPLNGQLYPLLLDLPPNNTTMHVVVVMANSKVAKNFKKPNGPI >DRNTG_26296.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27629130:27630352:1 gene:DRNTG_26296 transcript:DRNTG_26296.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIHPNAPVLDSPRPAAPGRRSSPEEASVEALTVWRRSLLLNGNGFTVFDAKGNLVFRVDNYASGNKGEIVLMDASGKSLLTIRRKKLSLSEQWLIYEGDEATKPRFSVKKNVSLIQPKSLAHVSSCSSSSSSSSGSSSSSCVHYDVEGSYSKRCCMIYNDKRRPLAEIRRKESVPGVSFDLDVFRLLVFPGFDSAMAMAIVILLEQMYGSRGALRG >DRNTG_08152.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:13385786:13387005:-1 gene:DRNTG_08152 transcript:DRNTG_08152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYHSGRKSYHLESRVVRPSMKERSQCGSQCTDFGGNLAKVLWLQHRHSLARRLVGELPSRRILYRMRESLDDE >DRNTG_34890.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21856338:21856584:-1 gene:DRNTG_34890 transcript:DRNTG_34890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETERERERKADTCDERERERESNRRRRRGKTDTCDDCVLWIA >DRNTG_26230.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:443771:444769:1 gene:DRNTG_26230 transcript:DRNTG_26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEEETILAVHSPTDDSDGEDDHLSARRMSQLSIETSEGEVADGELSDNDDVHESPSPVVVFGSLPVTPVRRRRGRRGKGRDGWVERQWERRRKREEGECRLLVRRNGRPGCITMDIDEVKACRELGIELQPLDWTVGFSGSALDTSSGGDSPVPNWRISNPGDNPEDVKARLKMWAHAVALASSTSFSG >DRNTG_06750.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1479625:1482221:1 gene:DRNTG_06750 transcript:DRNTG_06750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANAWKRERSTPLFSWRTLLLIPLVISVYFLFAFFSSSSANPNPISIPKTSAFASLSPIRPFNCSQCPQATPVFASVVEGIAHPFLYSLADLGTLPDKPHKNIARMLKGKPFRRPDISVTIQDYLASKGAAVSEGIVVDVGANVGMATFAAAAMGFHVVAFEPVFENLQRLCDGLFLNRAWDRVSLFAAAASDRIGNITFHKLVGRLDNSAVSATGAKLAFKSNQEIAIEVPSIPLDEVIPDSVRVLLIKIDVQGWEYHVLRGASKLLSRKKGEAPYLIYEEDERLLQASNSSVDEIRSFLSKVGYRKCEKHETDAHCTKD >DRNTG_06750.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1480073:1482221:1 gene:DRNTG_06750 transcript:DRNTG_06750.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATFAAAAMGFHVVAFEPVFENLQRLCDGLFLNRAWDRVSLFAAAASDRIGNITFHKLVGRLDNSAVSATGAKLAFKSNQEIAIEVPSIPLDEVIPDSVRVLLIKIDVQGWEYHVLRGASKLLSRKKGEAPYLIYEEDERLLQASNSSVDEIRSFLSKVGYRKCEKHETDAHCTKD >DRNTG_06750.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1479419:1482267:1 gene:DRNTG_06750 transcript:DRNTG_06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAWKRERSTPLFSWRTLLLIPLVISVYFLFAFFSSSSANPNPISIPKTSAFASLSPIRPFNCSQCPQATPVFASVVEGIAHPFLYSLADLGTLPDKPHKNIARMLKGKPFRRPDISVTIQDYLASKGAAVSEGIVVDVGANVGMATFAAAAMGFHVVAFEPVFENLQRLCDGLFLNRAWDRVSLFAAAASDRIGNITFHKLVGRLDNSAVSATGAKLAFKSNQEIAIEVPSIPLDEVIPDSVRVLLIKIDVQGWEYHVLRGASKLLSRKKGEAPYLIYEEDERLLQASNSSVDEIRSFLSKVGYRKCEKHETDAHCTKD >DRNTG_06750.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1480073:1482267:1 gene:DRNTG_06750 transcript:DRNTG_06750.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATFAAAAMGFHVVAFEPVFENLQRLCDGLFLNRAWDRVSLFAAAASDRIGNITFHKLVGRLDNSAVSATGAKLAFKSNQEIAIEVPSIPLDEVIPDSVRVLLIKIDVQGWEYHVLRGASKLLSRKKGEAPYLIYEEDERLLQASNSSVDEIRSFLSKVGYRKCEKHETDAHCTKD >DRNTG_06750.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1479625:1482333:1 gene:DRNTG_06750 transcript:DRNTG_06750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANAWKRERSTPLFSWRTLLLIPLVISVYFLFAFFSSSSANPNPISIPKTSAFASLSPIRPFNCSQCPQATPVFASVVEGIAHPFLYSLADLGTLPDKPHKNIARMLKGKPFRRPDISVTIQDYLASKGAAVSEGIVVDVGANVGMATFAAAAMGFHVVAFEPVFENLQRLCDGLFLNRAWDRVSLFAAAASDRIGNITFHKLVGRLDNSAVSATGAKLAFKSNQEIAIEVPSIPLDEVIPDSVRVLLIKIDVQGWEYHVLRGASKLLSRKKGEAPYLIYEEDERLLQASNSSVDEIRSFLSKVGYRKCEKHETDAHCTKD >DRNTG_06750.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1481648:1482267:1 gene:DRNTG_06750 transcript:DRNTG_06750.7 gene_biotype:protein_coding transcript_biotype:protein_coding LVGRLDNSAVSATGAKLAFKSNQEIAIEVPSIPLDEVIPDSVRVLLIKIDVQGWEYHVLRGASKLLSRKKGEAPYLIYEEDERLLQASNSSVDEIRSFLSKVGYRKCEKHETDAHCTKD >DRNTG_06750.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1481648:1482221:1 gene:DRNTG_06750 transcript:DRNTG_06750.8 gene_biotype:protein_coding transcript_biotype:protein_coding LVGRLDNSAVSATGAKLAFKSNQEIAIEVPSIPLDEVIPDSVRVLLIKIDVQGWEYHVLRGASKLLSRKKGEAPYLIYEEDERLLQASNSSVDEIRSFLSKVGYRKCEKHETDAHCTKD >DRNTG_06750.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1479625:1482267:1 gene:DRNTG_06750 transcript:DRNTG_06750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANAWKRERSTPLFSWRTLLLIPLVISVYFLFAFFSSSSANPNPISIPKTSAFASLSPIRPFNCSQCPQATPVFASVVEGIAHPFLYSLADLGTLPDKPHKNIARMLKGKPFRRPDISVTIQDYLASKGAAVSEGIVVDVGANVGMATFAAAAMGFHVVAFEPVFENLQRLCDGLFLNRAWDRVSLFAAAASDRIGNITFHKLVGRLDNSAVSATGAKLAFKSNQEIAIEVPSIPLDEVIPDSVRVLLIKIDVQGWEYHVLRGASKLLSRKKGEAPYLIYEEDERLLQASNSSVDEIRSFLSKVGYRKCEKHETDAHCTKD >DRNTG_19084.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:53905:57891:-1 gene:DRNTG_19084 transcript:DRNTG_19084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLSNRREHLKVQILGEEQGSTRKCPKSEKTLVKFITPDGYKTVCPIENDVDFQRMCHMHSIFKCAVVDLVVETDNVSLSNPTENEFFSFVGKNLDSTNALVQPHGDLDGVGCLPSSSDHSEVCRWISDNVLTVLNISGIARVIQKVKDQPLYKTIDIQKVMLREHGVHIPYKQAWLGKEHAQVVLDGSDISSYDLMLWYVDKMAKTNPGSIAIVERDGDRFKRAFFFSRRPLLFLDGTHLLEKYQDTLLGATGKDGKMFFFTSPSVLSIMRPMPNWTWFISKLGDALYDEGDYHEIITFVSDRSKGLVNVIARVFPSSPHAYCFRHLEANFMKANVRLGKTLREECWSICFRIAWASTAKEFDDTVNELQAISPEVHHWFKLMYMLCNRREQANKWETYLCPDIHSKPSDGNRELRLRPPVPRRQPRHPRRKKIESQAFDVRELHCSRCHGSGHNRRSCNETVTD >DRNTG_18555.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16324335:16325630:-1 gene:DRNTG_18555 transcript:DRNTG_18555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKALNVFIFAYIFPFLSPSCISLHDEQMTPPSKGASKNDAKMIDQAVAYSLMVVALLITYLVH >DRNTG_10535.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3412752:3428349:-1 gene:DRNTG_10535 transcript:DRNTG_10535.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKYMTRNDARMDEFGATLMNVQTSIKNLENQDRRLARTNIERSQGSLPSNTEANLGENLKVISLCSGKTIEERTAHGPSTEMKRTNVEEGPSASQEPIKKDEHRGQGVVLWHLRANVLGSNTKGDELIRARPKDHQPQPPLDHQLLDVRGGIFDVVHGVLHVDGASRRGVHSHRVILLVPHQLVDPPNPDQCLAMPLASSCVTRLKIPGIRGLAPLSIDAALPPPTETPTPPIQLAIEECLTHLEEDQTRIKGRVVTPSMKERSQCGSECTDFGGNLAKGFERYWPRFWRGSTAPTSSFLRKKVGWGASVEAYPIPDEGILGRRVEDFPQDHRHDYRGGFSMDSLLLHSISLIVEALSRKVDSIVTSRQQNILCYNAYQPIEVGYPNLLWNNGEQHWEAPQEECQKASYKNLEHQLGGILDTLSKEQQVFEQASQVPCREDVVLNDNEEVGRNEYDIVKIERIHEEPFIQCDDCLSGQYVCEQEMMQGELAKTDCFQVEIEEEATPKVMEHASLFGIDQFINCKKEILGLEEDVGRRLKPSNDPPVLSLDNSQPKLFPWRPKSVADYPENTQGRELAPVGDLVKIARAWVISARSCEYLQRSSLHPEKTQGRAFAPVSWACECPRPCGIFARAWVLEIFLGCMESPQGVRLPLWVWNTGVDGLVIMGIETLSWARPRCFGTWPLLNVVRDRVSMGRPSWGGVENSDEVSSFQEARREPKRCAGLSAHSHHTGSLMPNAKIRRPVLLEMSFIKIPAYSSKFLNAKSTCIDSGECAKRFDTVLMIFGSSQPQPSEIH >DRNTG_19511.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2865153:2867075:-1 gene:DRNTG_19511 transcript:DRNTG_19511.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BONZAI 3 [Source:Projected from Arabidopsis thaliana (AT1G08860) UniProtKB/Swiss-Prot;Acc:Q5XQC7] MAVIYLKKKGGALEEFARTEVVMNTVNPVWITKFTINYEFETVQQLVFRVYDVDSPYHNVPVKMLKVGEQDFIGEASCALSEIVTRRDCSLTLSLQNPSVSSGQRLGSITVRAEETFVSRVAVEMIFRCIDLENKDIFSKSDPFLRISKVMEDGMVVPICKTEVIDNNLNPVWKPVVLTAQQYGSK >DRNTG_19511.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2862673:2865556:-1 gene:DRNTG_19511 transcript:DRNTG_19511.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BONZAI 3 [Source:Projected from Arabidopsis thaliana (AT1G08860) UniProtKB/Swiss-Prot;Acc:Q5XQC7] MIFRCIDLENKDIFSKSDPFLRISKVMEDGMVVPICKTEVIDNNLNPVWKPVVLTAQQYGSKENPLVIECFDFNKNGVHELLGKFQGSIADLDKLSQQKLGANFYRPSSVNHDHQKILKGQLFVDKFSEKIQHNFLDYISSGFELNFMVAIDFTASNGNPRFPDSLHYIDPFGRLNAYQQAIVGVGEVIQFYDSDKRFPAWAFGGRPANGTVSHCLNLNGNPRECEVVGIEGIMSSYSMALHNLSLAGPTLFGPVINKAAELSAQSSSSYQNKYFILLIITDGVVTDIQETINTIVRASDLPLSILIVGVGGADFKQMEILDSDNGKRLQSSTGRLATRDIVQFVPMRDVAGEISIAQSLLEELPGQFLEYMRSKGIKPLY >DRNTG_19511.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2862673:2863403:-1 gene:DRNTG_19511 transcript:DRNTG_19511.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BONZAI 3 [Source:Projected from Arabidopsis thaliana (AT1G08860) UniProtKB/Swiss-Prot;Acc:Q5XQC7] ILDSDNGKRLQSSTGRLATRDIVQFVPMRDVAGEISIAQSLLEELPGQFLEYMRSKGIKPLY >DRNTG_19511.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2864220:2866193:-1 gene:DRNTG_19511 transcript:DRNTG_19511.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BONZAI 3 [Source:Projected from Arabidopsis thaliana (AT1G08860) UniProtKB/Swiss-Prot;Acc:Q5XQC7] MDLLPTYVPQMLKVGEQDFIGEASCALSEIVTRRDCSLTLSLQNPSVSSGQRLGSITVRAEETFVSRVAVEMIFRCIDLENKDIFSKSDPFLRISKVMEDGMVVPICKTEVIDNNLNPVWKPVVLTAQQYGSKENPLVIECFDFNKNGVHELLGEV >DRNTG_19511.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2862673:2863873:-1 gene:DRNTG_19511 transcript:DRNTG_19511.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BONZAI 3 [Source:Projected from Arabidopsis thaliana (AT1G08860) UniProtKB/Swiss-Prot;Acc:Q5XQC7] MSSYSMALHNLSLAGPTLFGPVINKAAELSAQSSSSYQNKYFILLIITDGVVTDIQETINTIVRASDLPLSILIVGVGGADFKQMEILDSDNGKRLQSSTGRLATRDIVQFVPMRDVAGEISIAQSLLEELPGQFLEYMRSKGIKPLY >DRNTG_19511.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2862673:2869266:-1 gene:DRNTG_19511 transcript:DRNTG_19511.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BONZAI 3 [Source:Projected from Arabidopsis thaliana (AT1G08860) UniProtKB/Swiss-Prot;Acc:Q5XQC7] MGGCFSDVKGGKEAVGGDGAAQRVNDAVDHFLQSKGFRGLYTSLELSLSASDLRDLDIFSKSDPMAVIYLKKKGGALEEFARTEVVMNTVNPVWITKFTINYEFETVQQLVFRVYDVDSPYHNVPVKMLKVGEQDFIGEASCALSEIVTRRDCSLTLSLQNPSVSSGQRLGSITVRAEETFVSRVAVEMIFRCIDLENKDIFSKSDPFLRISKVMEDGMVVPICKTEVIDNNLNPVWKPVVLTAQQYGSKENPLVIECFDFNKNGVHELLGKFQGSIADLDKLSQQKLGANFYRPSSVNHDHQKILKGQLFVDKFSEKIQHNFLDYISSGFELNFMVAIDFTASNGNPRFPDSLHYIDPFGRLNAYQQAIVGVGEVIQFYDSDKRFPAWAFGGRPANGTVSHCLNLNGNPRECEVVGIEGIMSSYSMALHNLSLAGPTLFGPVINKAAELSAQSSSSYQNKYFILLIITDGVVTDIQETINTIVRASDLPLSILIVGVGGADFKQMEILDSDNGKRLQSSTGRLATRDIVQFVPMRDVAGEISIAQSLLEELPGQFLEYMRSKGIKPLY >DRNTG_03589.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8225951:8226193:1 gene:DRNTG_03589 transcript:DRNTG_03589.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQFDKALADKRDQGEDENSINQNELWDRIAVGSRNRVLGKGNISRKMSSINYKPRSGPSQSSGQLYDQIKELQAELAK >DRNTG_03589.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8225506:8226193:1 gene:DRNTG_03589 transcript:DRNTG_03589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRMALSREQFDKALADKRDQGEDENSINQNELWDRIAVGSRNRVLGKGNISRKMSSINYKPRSGPSQSSGQLYDQIKELQAELAK >DRNTG_22172.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:877016:880228:1 gene:DRNTG_22172 transcript:DRNTG_22172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFFSGAEQMQQRMFAEKYNFDPVNDIPLPGRYEWVKLDY >DRNTG_23416.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001264.1:75854:77884:1 gene:DRNTG_23416 transcript:DRNTG_23416.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLNFIDHTSNIGWKEFHRARPVIVDPGLSMLKKGDLLQIPQRRSVPTAFKLFTGSAWMMLSHSFVDYCIWGWDNLPRTVLMYYANFVSSPEGYFHTVICNAKEFQNTTVNHDLHFISWDNPPKQHPHFLTVDDMTRMIDSNAPFARKFLKDDPILDKIDAELLFRSPNRVVPGGWCIAPTTNGSDPCMVVGNTTLLRPGRGAIRLGRLTASLLSENNFKPRQCK >DRNTG_23416.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001264.1:75124:77884:1 gene:DRNTG_23416 transcript:DRNTG_23416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKHHHHPRTKAPATMKSLSSFVHLRPPPGIDRKWIIPLGIGSIISLALLFLTSFSAPSSPLFLFPYFSSLYLHSPVFAESKLQSSPFSPLPTPPRLAYLISGSLGDGDILKRTLLALYHPRNYYVVHLDLEAPEKERAELKAYLDSHQLFSKVGNVRMIVKANLITYRGPTMVANTLHAAAILLREAGDWDWFINLSASDYPLVTQDDLLHVFSYLMKNLNFIDHTSNIGWKEFHRARPVIVDPGLSMLKKGDLLQIPQRRSVPTAFKLFTGSAWMMLSHSFVDYCIWGWDNLPRTVLMYYANFVSSPEGYFHTVICNAKEFQNTTVNHDLHFISWDNPPKQHPHFLTVDDMTRMIDSNAPFARKFLKDDPILDKIDAELLFRSPNRVVPGGWCIAPTTNGSDPCMVVGNTTLLRPGRGAIRLGRLTASLLSENNFKPRQCK >DRNTG_18426.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4219370:4221193:1 gene:DRNTG_18426 transcript:DRNTG_18426.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g50270 [Source:Projected from Arabidopsis thaliana (AT1G50270) UniProtKB/Swiss-Prot;Acc:Q9SX45] MQVEKARTSMLECSAKKLPPLRSHLLSLLRECKSTPHLNQILGILFTSGLSSHPLSITSLASAAARSMSGGISLAFTSAAFHQIRSLASPDASPWNAMISACSLLSCNSNAVDYYALMVREGVIPNQHTYPLLLKSMSGIESKNVNLIHSHIVKLGFDCDPFVSNSLVACYAGVGDLESACEVFDEMPQRDRVSWIALVHGHIKCGLAGKGLSLFVQMKNDDVEIDEVTIATVLKGAGMVGNVWFGRCIHGFYLESGRVKWDVYLGSALVDMYGKCDSCDDARKVFVEMPLRNVVTWTALIAGYVHCSRFMDALLVFQDMLVERLIPNQVTVISVLTACAQLGALDQGRWVHNYIRRSKLGCDSIVGTALVDMYAKCGCIDDALAVFNGLQHKGVFPWTALINGLATHGLSVECLDLFSQMLKEGVKPNEITLIGVLCACARGGLVNQGCKYFDQMLTDFGIMPTVEHYGCMVDLLGRAGHLEEALCLIKSMPMEPSPGIWGALFGACMIHRDFALGERIGEHLVRMQPHHSGRYALLANMYSSSQKWDEVAEIRKAMKEQKVEKSTGCSWLEVNGSLHEFIAFDHSHPQSKDIYETLDAMTLLMMD >DRNTG_13046.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23827221:23830788:1 gene:DRNTG_13046 transcript:DRNTG_13046.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAPWLVDHRHNQRSYSEPSMTYWEEDYTHEVHHQEFDKIIFFSLRQNKSHRVLLMESPCSRRFLIDTSLRTRSGFLLTKTTASLSQRETKNEGHILRSRKKENQEGPSWYIASDSNEQRKRCSLE >DRNTG_24406.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001301.1:11689:13154:1 gene:DRNTG_24406 transcript:DRNTG_24406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRHWEIIRKTPFVAFTELEAVFQERALLDSLLQRMLCSDENTYRKQATIETSLSSLEGKEFRELVSADVDEDIFVQANRRLDAIAPKPLTRRQDERATSSVCARCRSPTSSPKRTRIHRRRRSPPLPHPIATPPPTTTSIVPRIMGAPPTVAASLTAPGEDVTATLLQACQILMTEFPWLVARVEAMEGRSQSTAPSLRTNE >DRNTG_21835.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6031925:6042329:-1 gene:DRNTG_21835 transcript:DRNTG_21835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLRDTQLAPLSRSLDLDDGADRGAKVLNRRCKTDREGSLAVIVEDEIEGDLVDEAVDEEALKATSGRRVREAEEAVAGAGEVEA >DRNTG_22463.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20670463:20672102:1 gene:DRNTG_22463 transcript:DRNTG_22463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLGDKRGEISWSLMKNQGISPHPFETLLGSVFHESRRPIVNFEDVVCANTTEGAMHRSPFELEETIDEDMDDSLHHPEKKRRLSTDQVQFLEKSFEVDNKLEPDRKIQLANELGLQPRQVAVWFQNRRARWKTKQLEKDLRTLKSSYNSLKLDYDNLLKENQKLNAEVISLTDKLLLKKIGEKTKPETKASNQLQNVNFFLASVPSCKQEDLSSTNTVIFDKDSEAGARTPLFELTDSSNAFEPENSDLSYVEEDEKVKQYSCMKLEDSSGNYDYALEDQGLWLWS >DRNTG_18417.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4131472:4135775:-1 gene:DRNTG_18417 transcript:DRNTG_18417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLYTPLRPKRKKVLVDYLVQFRWIVVIFVVLPISFTVYLLLYLGDMRSEMKSFKRRQKEHDENVKKVVNRLKQRNPKKDGLVCTARKPYIAVGMRNVDYKRARHFEVDLAAFRNILEIDKERMIAKVEPLVNMGQISRATVPMNLSLAVVAELDDLTVGGLINGYGIEGSSHIYGLFADTVVALELVLADGRVVRATKDNEYSDLFYAVPWSQGTIGLLVSAEIKLIPIKEYMKLTYKPVRGNLKELAQAYADSFAPRDGDPAKIPDFVEAMIYTPTEGVMMTGKYASKEEAKQKGNVINEVGWWFKTWFYQHCQTALTRGEFVEYIPTRQYYHRHTRSMYWEGKLILPFGDQWWFRWTMGWLMPPKVSLLKATQGEGIRNYYHDMHVIQDLLVPLYKVGDSLEFVHREMEVYPIWLCPHRLYKLPVKTMIYPEPGFELHRRQGDTNNAQMFTDIGVYYAPGPVLRGEEFNGSEAVRRLEEFLIQNHGFQPQYAVSELTEKNFWRMFDGELYDNCRHKYGAVGTFMSVYYKSKKGKKTEKEVQEAEQAIAEQAYAEDN >DRNTG_08987.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28964093:28965182:-1 gene:DRNTG_08987 transcript:DRNTG_08987.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKLGVKKGPWTPEEDITLVSYIQEHGPGNWRTIPANTGLKRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIIHLQALLGNRWAAIAAYLPERTDNDIKNYWNTHLRKKLSKTHELSSNKSNSKGQWERRLQTDINMAKQALIDALSLEKSPTSDDHTHELKNSSGYCSATYAASAENISKLLEGWMRNTKKHSESNTSEACSVSSTTKPLPETLFGLDSETPEASETSLLHGESKASMEASTSFSMLETWLFDESVEQKQDDLLDVSLVDTCQLFSC >DRNTG_00887.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21777878:21781863:1 gene:DRNTG_00887 transcript:DRNTG_00887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPIMHDSDRYELVRDIGSGNFGIARLMRDKQSGELVAVKYIERGEKIDEHVKREIINHRLLKHLNIIRFKEVILTPTHLAIVMEYASGGELFKRICNAGRFSEDEARFFFQQLISGVSYIHSMQVCHRDLKLENTLLDGSDAPRLKICDFGYSKSSVLDSQPNSTVGTPAYVAPEVLSKEPYDGKVADVWSCGVTLYVMLVGAYPFEDPEDPKNCSKAIERILAVKYSIPDYVHISHGCRHLISRIFIADPAKRITMNEIRNHEWFLKNLPAELMDENMSSDQYEEPDQPMQSIDQIMQIIEEATIPPAATHNFGDDLDLEDDMEDLYSDLDLDVETSGEVVFAT >DRNTG_00887.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21777878:21778915:1 gene:DRNTG_00887 transcript:DRNTG_00887.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPIMHDSDRYELVRDIGSGNFGIARLMRDKQSGELVAVKYIERGEKIDEHVKREIINHRLLKHLNIIRFKE >DRNTG_00887.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21779001:21781863:1 gene:DRNTG_00887 transcript:DRNTG_00887.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGAYPFEDPEDPKNCSKAIERILAVKYSIPDYVHISHGCRHLISRIFIADPAKRITMNEIRNHEWFLKNLPAELMDENMSSDQYEEPDQPMQSIDQIMQIIEEATIPPAATHNFGDDLDLEDDMEDLYSDLDLDVETSGEVVFAT >DRNTG_00887.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21780444:21781863:1 gene:DRNTG_00887 transcript:DRNTG_00887.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCLEYQKVADVWSCGVTLYVMLVGAYPFEDPEDPKNCSKAIERILAVKYSIPDYVHISHGCRHLISRIFIADPAKRITMNEIRNHEWFLKNLPAELMDENMSSDQYEEPDQPMQSIDQIMQIIEEATIPPAATHNFGDDLDLEDDMEDLYSDLDLDVETSGEVVFAT >DRNTG_25892.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19783848:19786447:-1 gene:DRNTG_25892 transcript:DRNTG_25892.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRNSNQEPPIPTSSLRSSSSKTVSFRSKVWEWKSVAAISRQEGSRARAWKRNWWSGDAGEAELWLHSAFASPKTGSRSAKPLPAASINDHWTSDE >DRNTG_27332.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17116325:17120908:-1 gene:DRNTG_27332 transcript:DRNTG_27332.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIFNIRLNEVQQQVPRSLGMLKDLFYIDLSNNELHGTLPTSLLYNCTNLISVDLSNNSFTGLIPPEIGNHLPYLGTLNLYFNQLSGTIPASLCNSTNLITIDLGNNFLTGTLPSETIMCLTSLLHLHLAFNYFTSDDNNKNLHPFFSALSNLTHLESLELEKNNIGGTLPETIGLLSQNLSNMNLRANLIHGMIPLSISNLSNLSSLNLSNNYLNGTIPLNLFLLPRLQRLWLSDNKLEGEIPSPPHELNRLGLIDLSGNNLSGSIPANLASIKYLRNLILSKNSLSGSIPSSLGRLNLELLDLSHNNLTGLLPAEVAAMNTISAYFNLSDNALEGPIPMELSHMDKVQEIDLSSNKFTGKIPSTLETCVEVQLVNLSHNHLQGTIPPTLGKLRSIKILDLSSNSFSGEVPSSLAFSTSLQQLNLSCNNLSGPLPQGGVFANLTGESLMGNHFCGSSLGLPSCNSNKGRKHSKIFLLLLVCIVSVLAFLTTLLSVVCYRRIRRIVVSHRGETDLNTPAQDLSSSYPRITYRELVEATGGFDLSSLIGSGSYGHVYRGVLSDGTVVAIKVLQFQASNSTRSFNRECQVLKRIRHRNLMRIITACSLPDFKALVLPFMINGSLESHLYPQAPGTGTSQLSLVERVNICCDIAEGMAYLHHHSPVQVIHCDLKPSNILLNDDMTAIVSDFGIARLVMRVTERNLIAENAANSTANLLFGSVGYIPPEYGYGRNASTKGDVYSFGIIVLELVTRKRPTDDMFGEGQSLQKWVKNHYRGQLENIIDSFLLQEVEAQNPEIRNVWKVAIIELLDVGLICTQEAPSTRPSMIDAADDLERLKRYLGGDTTATVSSSYGMSSSTITGGDFW >DRNTG_30302.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10736612:10748431:-1 gene:DRNTG_30302 transcript:DRNTG_30302.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Site-2 protease [Source:Projected from Arabidopsis thaliana (AT4G20310) UniProtKB/TrEMBL;Acc:A0A0F6SCX9] MLRIYCAGIWLNAVCCAVCGLLMFCLPLLLSPLYIHDEGPMVLEVPPVSPLSKYLTRGDVILSVDGANISNSYEWMKNMQEVDSRKRNDPYSAQNSHSQAVNHGKGYCVPNSLLEGSMTLLADDQFSCPDELAAFTSLSCYNSSSLIENRNGGSENNNGGSENNGMETKHCLIAKDVIKLRKCGDGWLMTGKNNCACSEEESCMKPVLIPSMSWVEVSYSSPYTPQCSKPNERWQLQSYESGLMSCGGTFVFLGDILTMAHSLQLSSYRPRLPFMIFSAYIPVLFEKILACTFHVSATLGFLNSLPVFFLDGESILETGLCYITWLNTKCRRRVLQFCLVGGTLLSTIVFLWTFYCVLVIHN >DRNTG_30302.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10736612:10751915:-1 gene:DRNTG_30302 transcript:DRNTG_30302.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Site-2 protease [Source:Projected from Arabidopsis thaliana (AT4G20310) UniProtKB/TrEMBL;Acc:A0A0F6SCX9] MARRRVGRTMRSQSVLPLRAGHRLPNSLSCWFCDFRIYAFNDRLFSFGWRHARFLKVWFAIGVAFSFVALIGSIMILLWELAGNFHLGREISVHDHRSVNWLFGTTSLVPGLSLSVMDTVIIVFSTLFSVAIHELGHAIAAASTGLQIEYIAVFLAIIFPGALVAFNYDLLQSLPRFSMLRIYCAGIWLNAVCCAVCGLLMFCLPLLLSPLYIHDEGPMVLEVPPVSPLSKYLTRGDVILSVDGANISNSYEWMKNMQEVDSRKRNDPYSAQNSHSQAVNHGKGYCVPNSLLEGSMTLLADDQFSCPDELAAFTSLSCYNSSSLIENRNGGSENNNGGSENNGMETKHCLIAKDVIKLRKCGDGWLMTGKNNCACSEEESCMKPVLIPSMSWVEVSYSSPYTPQCSKPNERWQLQSYESGLMSCGGTFVFLGDILTMAHSLQLSSYRPRLPFMIFSAYIPVLFEKILACTFHVSATLGFLNSLPVFFLDGESILETGLCYITWLNTKCRRRVLQFCLVGGTLLSTIVFLWTFYCVLVIHN >DRNTG_30302.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10736612:10739293:-1 gene:DRNTG_30302 transcript:DRNTG_30302.14 gene_biotype:protein_coding transcript_biotype:protein_coding description:Site-2 protease [Source:Projected from Arabidopsis thaliana (AT4G20310) UniProtKB/TrEMBL;Acc:A0A0F6SCX9] MFCLPLLLSPLYIHDEGPMVLEVPPVSPLSKYLTRGDVILSVDGANISNSYEWMKNMQEVDSRKRNDPYSAQNSHSQAVNHGKGYCVPNSLLEGSMTLLADDQFSCPDELAAFTSLSCYNSSSLIENRNGGSENNNGGSENNGMETKHCLIAKDVIKLRKCGDGWLMTGKNNCACSEEESCMKPVLIPSMSWVEVSYSSPYTPQCSKPNERWQLQSYESGLMSCGGTFVFLGDILTMAHSLQLSSYRPRLPFMIFSAYIPVLFEKILACTFHVSATLGFLNSLPVFFLDGESILETGLCYITWLNTKCRRRVLQFCLVGGTLLSTIVFLWTFYCVLVIHN >DRNTG_30302.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10736612:10751915:-1 gene:DRNTG_30302 transcript:DRNTG_30302.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Site-2 protease [Source:Projected from Arabidopsis thaliana (AT4G20310) UniProtKB/TrEMBL;Acc:A0A0F6SCX9] MDTVIIVFSTLFSVAIHELGHAIAAASTGLQIEYIAVFLAIIFPGALVAFNYDLLQSLPRFSMLRIYCAGIWLNAVCCAVCGLLMFCLPLLLSPLYIHDEGPMVSFCTSYCLLG >DRNTG_30302.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10736612:10751915:-1 gene:DRNTG_30302 transcript:DRNTG_30302.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Site-2 protease [Source:Projected from Arabidopsis thaliana (AT4G20310) UniProtKB/TrEMBL;Acc:A0A0F6SCX9] MDTVIIVFSTLFSVAIHELGHAIAAASTGLQIEYIAVFLAIIFPGALVAFNYDLLQSLPRFSMLRIYCAGIWLNAVCCAVCGLLMFCLPLLLSPLYIHDEGPMVLEVPPVSPLSKYLTRGDVILSVDGANISNSYEWMKNMQEVDSRKRNDPYSAQNSHSQAVNHGKGYCVPNSLLEGSMTLLADDQFSCPDELAAFTSLSCYNSSSLIENRNGGSENNNGGSENNGMETKHCLIAKDVIKLRKCGDGWLMTGKNNCACSEEESCMKPVLIPSMSWVEVSYSSPYTPQCSKPNERWQLQSYESGLMSCGGTFVFLGDILTMAHSLQLSSYRPRLPFMIFSAYIPVLFEKILACTFHVSATLGFLNSLPVFFLDGESILETGLCYITWLNTKCRRRVLQFCLVGGTLLSTIVFLWTFYCVLVIHN >DRNTG_30302.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10736612:10748431:-1 gene:DRNTG_30302 transcript:DRNTG_30302.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Site-2 protease [Source:Projected from Arabidopsis thaliana (AT4G20310) UniProtKB/TrEMBL;Acc:A0A0F6SCX9] MFCLPLLLSPLYIHDEGPMVLEVPPVSPLSKYLTRGDVILSVDGANISNSYEWMKNMQEVDSRKRNDPYSAQNSHSQAVNHGKGYCVPNSLLEGSMTLLADDQFSCPDELAAFTSLSCYNSSSLIENRNGGSENNNGGSENNGMETKHCLIAKDVIKLRKCGDGWLMTGKNNCACSEEESCMKPVLIPSMSWVEVSYSSPYTPQCSKPNERWQLQSYESGLMSCGGTFVFLGDILTMAHSLQLSSYRPRLPFMIFSAYIPVLFEKILACTFHVSATLGFLNSLPVFFLDGESILETGLCYITWLNTKCRRRVLQFCLVGGTLLSTIVFLWTFYCVLVIHN >DRNTG_30302.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10737460:10749470:-1 gene:DRNTG_30302 transcript:DRNTG_30302.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Site-2 protease [Source:Projected from Arabidopsis thaliana (AT4G20310) UniProtKB/TrEMBL;Acc:A0A0F6SCX9] MNLVMLLLLQGLQIEYIAVFLAIIFPGALVAFNYDLLQSLPRFSMLRIYCAGIWLNAVCCAVCGLLMFCLPLLLSPLYIHDEGPMVLEVPPVSPLSKYLTRGDVILSVDGANISNSYEWMKNMQEVDSRKRNDPYSAQNSHSQAVNHGKGYCVPNSLLEGSMTLLADDQFSCPDELAAFTSLSCYNSSSLIENRNGGSENNNGGSENNGMETKHCLIAKDVIKLRKCGDGWLMTGKNNCACSEEESCMKPVLIPSMSWVEVSYSSPYTPQCSKPNERWQLQSYESGLMSCGGTFVFLGDILTMAHSLQLSSYRPRLPFMIFSAYIPVLFEKILACTFHVSATLGFLNSLP >DRNTG_30302.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10736612:10751915:-1 gene:DRNTG_30302 transcript:DRNTG_30302.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Site-2 protease [Source:Projected from Arabidopsis thaliana (AT4G20310) UniProtKB/TrEMBL;Acc:A0A0F6SCX9] MNLVMLLLLQGLQIEYIAVFLAIIFPGALVAFNYDLLQSLPRFSMLRIYCAGIWLNAVCCAVCGLLMFCLPLLLSPLYIHDEGPMVLEVPPVSPLSKYLTRGDVILSVDGANISNSYEWMKNMQEVDSRKRNDPYSAQNSHSQAVNHGKGYCVPNSLLEGSMTLLADDQFSCPDELAAFTSLSCYNSSSLIENRNGGSENNNGGSENNGMETKHCLIAKDVIKLRKCGDGWLMTGKNNCACSEEESCMKPVLIPSMSWVEVSYSSPYTPQCSKPNERWQLQSYESGLMSCGGTFVFLGDILTMAHSLQLSSYRPRLPFMIFSAYIPVLFEKILACTFHVSATLGFLNSLPVFFLDGESILETGLCYITWLNTKCRRRVLQFCLVGGTLLSTIVFLWTFYCVLVIHN >DRNTG_30302.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10736612:10751915:-1 gene:DRNTG_30302 transcript:DRNTG_30302.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Site-2 protease [Source:Projected from Arabidopsis thaliana (AT4G20310) UniProtKB/TrEMBL;Acc:A0A0F6SCX9] MARRRVGRTMRSQSVLPLRAGHRLPNSLSCWFCDFRIYAFNDRLFSFGWRHARFLKVWFAIGVAFSFVALIGSIMILLWELAGNFHLGREISVHDHRSVNWLFGTTSLVSANGILDAGKFGLQIEYIAVFLAIIFPGALVAFNYDLLQSLPRFSMLRIYCAGIWLNAVCCAVCGLLMFCLPLLLSPLYIHDEGPMVLEVPPVSPLSKYLTRGDVILSVDGANISNSYEWMKNMQEVDSRKRNDPYSAQNSHSQAVNHGKGYCVPNSLLEGSMTLLADDQFSCPDELAAFTSLSCYNSSSLIENRNGGSENNNGGSENNGMETKHCLIAKDVIKLRKCGDGWLMTGKNNCACSEEESCMKPVLIPSMSWVEVSYSSPYTPQCSKPNERWQLQSYESGLMSCGGTFVFLGDILTMAHSLQLSSYRPRLPFMIFSAYIPVLFEKILACTFHVSATLGFLNSLPVFFLDGESILETGLCYITWLNTKCRRRVLQFCLVGGTLLSTIVFLWTFYCVLVIHN >DRNTG_30302.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10736612:10751915:-1 gene:DRNTG_30302 transcript:DRNTG_30302.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Site-2 protease [Source:Projected from Arabidopsis thaliana (AT4G20310) UniProtKB/TrEMBL;Acc:A0A0F6SCX9] MFCLPLLLSPLYIHDEGPMVLEVPPVSPLSKYLTRGDVILSVDGANISNSYEWMKNMQEVDSRKRNDPYSAQNSHSQAVNHGKGYCVPNSLLEGSMTLLADDQFSCPDELAAFTSLSCYNSSSLIENRNGGSENNNGGSENNGMETKHCLIAKDVIKLRKCGDGWLMTGKNNCACSEEESCMKPVLIPSMSWVEVSYSSPYTPQCSKPNERWQLQSYESGLMSCGGTFVFLGDILTMAHSLQLSSYRPRLPFMIFSAYIPVLFEKILACTFHVSATLGFLNSLPVFFLDGESILETGLCYITWLNTKCRRRVLQFCLVGGTLLSTIVFLWTFYCVLVIHN >DRNTG_30302.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10736612:10751915:-1 gene:DRNTG_30302 transcript:DRNTG_30302.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Site-2 protease [Source:Projected from Arabidopsis thaliana (AT4G20310) UniProtKB/TrEMBL;Acc:A0A0F6SCX9] MNLVMLLLLQGLQIEYIAVFLAIIFPGALVAFNYDLLQSLPRFSMLRIYCAGIWLNAVCCAVCGLLMFCLPLLLSPLYIHDEGPMVLEVPPVSPLSKYLTRGDVILSVDGANISNSYEWMKNMQEVDSRKRNDPYSAQNSHSQAVNHGKGYCVPNSLLEGSMTLLADDQFSCPDELAAFTSLSCYNSSSLIENRNGGSENNNGGSENNGMETKHCLIAKDVIKLRKCGDGWLMTGKNNCACSEEESCMKPVLIPSMSWVEVSYSSPYTPQCSKPNERWQLQSYESGLMSCGGTFVFLGDILTMAHSLQLSSYRPRLPFMIFSAYIPVLFEKILACTFHVSATLGFLNSLPVFFLDGESILETGLCYITWLNTKCRRRVLQFCLVGGTLLSTIVFLWTFYCVLVIHN >DRNTG_06141.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25516054:25517408:-1 gene:DRNTG_06141 transcript:DRNTG_06141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGVVTVYGNGAALAESKKSTFSVKVGLAQMLRGGVIMDVVTPEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPGLIKEIKRAVTIPVMAKARIGHFVEAQILEAIGIDYVDESEVLTPADEENHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNVIEAVRHVRSVMGDIRVLRNMDDDEVFSFAKKIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPEILADVSCGLGEAMVGINLNDAKVERFANRSE >DRNTG_16879.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29892159:29895571:1 gene:DRNTG_16879 transcript:DRNTG_16879.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G51820) UniProtKB/Swiss-Prot;Acc:Q38833] MLMSGPFLTGYTQTLNDWYDREIDAINEPYRPIPSGAISETEVITQIWVLLLGGLGLAALLDVWAGHKFPIVFYLAVGGSLLSYIYSAPPLKLKQNGWIGNFALGASYISLPWWAGQALFGTLTPDIIVLTVLYSIAGLGIAIVNDFKSIEGDRALGLQSLPVAFGMDTAKWICVGAIDITQLSIAGYLLGAGKPLYALALLGLIIPQVVFQFKYFLKDPVKYDVKYQASAQPFLVLGLLVTALATSH >DRNTG_19152.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6355739:6357014:1 gene:DRNTG_19152 transcript:DRNTG_19152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISASTQLAIAAWQDETLSLIVKKYTSTHEWKHIGELYPNCIKASTRDTILVNGVIYWLGLGYSAEHKLFSFNIVTKRLETVDLSRDVPTEISASTQLAIAAWQDETLSLIVKSKREERMGKKEWIFFSEYQYEEESFKLALDFREYTSTHEWEHIGEFYPGCIKA >DRNTG_09434.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21188790:21191146:-1 gene:DRNTG_09434 transcript:DRNTG_09434.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSGMIHPNMATMLGVITTDALVASEVWREMVRISVNRSFNQITVDGDTSTNDTIIALASGVSGSKKISSLSNHESHQLQACLDAVMQGLAKSVAWDGEGATCLIEVSVTGANDETKAAKIARSVASSSLVKAAVYGRDPNWGRIACAAGYAGVEFNPNELQILLGNIQLMNKGQPLPFDRTAASEYLRQAGQTHGTVEIHISIGEGEGSSKAWGCDLSYDYVKINAEYTT >DRNTG_00756.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2625233:2625524:-1 gene:DRNTG_00756 transcript:DRNTG_00756.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCYLNFTLLLEHDNDLSEFDESHEIIESLVDEYKACESPDYIKWGMKKDMDTTFPGEASLSGTLDAKLIL >DRNTG_22215.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13572843:13574308:1 gene:DRNTG_22215 transcript:DRNTG_22215.1 gene_biotype:protein_coding transcript_biotype:protein_coding TAFNIKFLWRKSIGPTFIGIDSARCALSLRREPLENTRKLHSHGRVEISTSPMWMPDSNLI >DRNTG_01727.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23554321:23555619:1 gene:DRNTG_01727 transcript:DRNTG_01727.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEECTCRFNEVSTVEKNCSSPMKNNPDNSTPELLISSSAPPFIKDEVFNENYKPMIQESADDFFADLAELESDPMRLIFSKDQLINDHDEKDQINKEAMDDNDDDDDDNDDDPFCYTQCLL >DRNTG_01727.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23553218:23555619:1 gene:DRNTG_01727 transcript:DRNTG_01727.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDFFWKRMENNQGDLADIVRASNRVADGSLKTDVSVSSDNWQFMPSEPLSFFSPASDHVQREDFIDPFSNLMKDPFFNELSVSSFLESHDHLLAKPTNMLNEDIIVSRPSNVYSKMFQISPGSSKPSPSPIIIGGSPADHLGVQISSSQSPTIKRRKNQAKRVVCIPAPAAVSNRSSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQPSKKTARHR >DRNTG_28267.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2830828:2831721:1 gene:DRNTG_28267 transcript:DRNTG_28267.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPSAVIAAAPPHIVICGGGVIGACTAYYLSTKSSSSPAAHITIIERSSIACGASGKAGGFLALDWCAPPLSHLAHASFLLHRSLSASLSGPLSYGYRPLHALSLSIHPEPHSSPPSRSLPPWIDSSNAGSPRTIGTPETTAQVHPQLFTQTLISASSAEVLIGEVTRIEVIEGRVTGVILKDGREIPADAVVLALGPWSSRSSIVSSLFGISVLKAHSIVVRPREADAITPHALFLSYQDSPAAPTLDPEVYPRPTGNTQLSLSLSSV >DRNTG_28267.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2830828:2833271:1 gene:DRNTG_28267 transcript:DRNTG_28267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPSAVIAAAPPHIVICGGGVIGACTAYYLSTKSSSSPAAHITIIERSSIACGASGKAGGFLALDWCAPPLSHLAHASFLLHRSLSASLSGPLSYGYRPLHALSLSIHPEPHSSPPSRSLPPWIDSSNAGSPRTIGTPETTAQVHPQLFTQTLISASSAEVLIGEVTRIEVIEGRVTGVILKDGREIPADAVVLALGPWSSRSSIVSSLFGISVLKAHSIVVRPREADAITPHALFLSYQDSPAAPTLDPEVYPRPTGEVYICGMTQEVEVPEDPVTIVPDPVSIRMLHKIAGNVSSHLKDGEAEVVAEQACFLPCSDDGLPVIGEVPGVERCYVGTGHSCWGILNGPATGAALAELILEGRATTVDLKPFSPARFLRGRKVSS >DRNTG_14424.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:18222804:18223127:1 gene:DRNTG_14424 transcript:DRNTG_14424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSKVNHMKLKHFGISFSVLGVIPYWVLFQPTWACGQAMCPRG >DRNTG_29249.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21497036:21502282:-1 gene:DRNTG_29249 transcript:DRNTG_29249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAMRFGRAAVGAKRAPLGFCAKISMAVFLALSFVVVWSIFSSPASLVSSERSSFSDLPDLVPSYPLPRRNATRSPVLKRSPPPPPVKDVEIEAKDALVKEKEKEKEKEEEKEEVVEVEEQQDEGLNGDDGVDVDLEEGVDVNPEESGDEEKKMEQGKKKKKKLGPLFDSSARYDWKLCGGNGWQNYVPCVDTENLGSGKNLRHRRHERSCPKLPLMCLVPLPSGYEPPLPWPERASKVLYRNVGHPKLSAFAKTRSWLNMSGEHLLFPQGEAEFKGGAHHYVNSIEEMVPDIEWGKNIRIVLDVGCVDASFGATLLEKDVLTISLGLMNDETDLAQLALERGIPAVVGNLGTRRLPFPSGVFDAIHCSECSISWHSNGGRLLLEMNRILRPGGYFILSTKHGDVETEEGMSTLMASICWNVLAHKTDEISEVGVRIYQRPASNEIYDLRRAKQPPFCQENENQDIAWYTPVKPCLHKVPVAIEERGSDWPEEWPKRLETFPEWLGDSRAKLTADDEHWKAIIDKSYLNGMGIDWTKVRNVMDMKAIYGGFAAALASQKVWVMNVVPVHAPNTLPIIFERGLMGLYHDWCEPFSTYPRSYDLLHADHLFSRLKNRCKQPVVIIVEMDRILRPGGWAIIRDKLEILNPLEAILKSLHWEIRMTYGKDKEGIFCVQKTAWRP >DRNTG_04688.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30432146:30432586:-1 gene:DRNTG_04688 transcript:DRNTG_04688.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNESEEMIKRRSVADILMLLSRQENIHKLKSVDQDVFKCKTCGRVFSSFQALGGHRTSHRRQAAGLDHKRLIDMQLKVTTMMMKKKKNNKTHECSVCGLEFVMGQALGGHMRRHKPVSVKKVLLFDLNLTPLENDNLNRAKYAD >DRNTG_29809.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23303350:23304152:1 gene:DRNTG_29809 transcript:DRNTG_29809.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSSEHQIEAINLALELCIENNWTPSRLFCDCPGVFLMTKSFHKSVAWRLVPTFKKMLHLLSYYFPSISLETIDRDSNILADALANFGRSNPEISLFLQGRDRPRWLDDLCSHLKLCF >DRNTG_29809.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23303350:23303882:1 gene:DRNTG_29809 transcript:DRNTG_29809.2 gene_biotype:protein_coding transcript_biotype:protein_coding TSSEHQIEAINLALELCIENNWTPSRLFCDCPGVFLMTKSFHKSVAWRLVPTFKKMLHLLSYYFPSISLETIDRDSNILADALANFGRSNPEISLFLQGRDRPRWLDDLCSHLKLCF >DRNTG_03928.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15174836:15178954:1 gene:DRNTG_03928 transcript:DRNTG_03928.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALNLKCLRTHCLLTLGFAALSADLVEAAVAHCQFIDKVQEDIEEQGVKEQLQILCSVYALSLHHKNLGDFLSTSCITPKKGALANDMLRSLYAQSQIQVVQSQQSSENQSVDAFSVVMGGTRPGRAMLYARSITPTDLKGKGDSGESSIKVSQNFIEGMKEQMREEMRKEMEEQMADYKSSLQSSVQQQFLSMMSQRQGLVPGMNINQVPEFNLNFGSPGDANSAPTQGIRARNISSASSHEPQRLPIHCNISYAAVLTPFAAVYNRCIATLFENVSDVSDTEPWLTLLTKLWLSLHSAFSLAQRTEANILVPDQSRILLLQFSYQLFSFLLGDPTASLAVKIH >DRNTG_11503.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29537329:29537643:-1 gene:DRNTG_11503 transcript:DRNTG_11503.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRHSSSEKLAAITLVLLAVLSPLYIDRKPPVELETESEGGGFIFLLPLALIFLVIAINITYVCDRRFMRCDPYLIHRVGGSSAGIVAILFILTFMLWFKSSL >DRNTG_12492.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2392119:2396880:1 gene:DRNTG_12492 transcript:DRNTG_12492.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDIIPKSVLYLNPLPPSLTKHLIPLTLLILLPSIIFLRLSKAQHIPHRYIDARRPLPLLGRAKAEPFQPDSIVKRKSEPEESIAIEGGNKNGRSPLEMRCAPEISVNHLTEADGPRSGISRHSGGSISHICHAEKLRSRLGRDPHPYELFEATNTKKGTSNLIDARARAIKDHYLDLMDQASQTQEESTTSKELQVKITQLCETVDQLQDKNKVLHEKDMMMDFEARILQRSQFTQDSQPASNDRDIDHVDEL >DRNTG_16592.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000828.1:6422:8575:-1 gene:DRNTG_16592 transcript:DRNTG_16592.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLVSKSPHTLIPEPPSIHHYSPPSIPAPPPPRCFIPLLLGENPQ >DRNTG_16592.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000828.1:6872:8575:-1 gene:DRNTG_16592 transcript:DRNTG_16592.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRQAQTSLQLSSNDGHGKTSHKQRSLFNSRNKNHKTKIIMYWVNMANKNS >DRNTG_16592.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000828.1:6872:8575:-1 gene:DRNTG_16592 transcript:DRNTG_16592.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRQAQTSLQLSSNDGHGKTSHKQRSLFNSRNKNHKTKIIMYWVNMANKNS >DRNTG_21232.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:210871:217573:-1 gene:DRNTG_21232 transcript:DRNTG_21232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHTEGIALLSMYNDEEDDDEEPAVPPPEDDGDGDGDGDGGGSKETAAVPAPLSDGTPTPEPTPPVAQLRTPLGSDEDPDYYKSLRSPTPPPLPKIAEFQSQPTPPVRLPSSSPSPAPPVLENFDGRRAAKGSVAIVDYAHDEMALSPEPEDEEIGSGGRVLIESERPVSDGNFEGTTPSGAVCSLPPTGHSAPPQSSDLPEHLSSNTMDYTVTEPEVSQDQLVTVASAEMQIDVDPLNSFLPPPLTIQCPEELQDKINKFLVYKKAGKSFNADLRNRKDYRNPDFLQHAVRYQEIDQIGTCFSKDVFDPHGYDKSDYFDEIEADMKRELERKEQERKKAQKVDFVPGGTQTSAITQALKISAQIPGVVAPGLQSLPVAVDVIAKDSRQNKKSKWDKVDGDVKNPPLSGAQDNLSAVGVHAAHLSAANAGAGYTAFAQQKRREAEDKRSSERKFERRS >DRNTG_08706.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18061129:18063016:1 gene:DRNTG_08706 transcript:DRNTG_08706.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATSIVSSVVGSIVTIVVVIAIIKCIRKNRMQARNTSMQTTSVSVVKDETNINMVTIERFLADIVKERPVRFTTQNIIDFTQNFEQKLGSGGFGIVYKGQLPNGVQIAVKVLHKTQDKRAEEQFMAEIGTIGRTYHINLVQLYGFCFDDTLKALIYEYMEKGSLDSYLFDENQKLKWEKLHEIAIGTAKGIRYLHEECQKKIVHYDIKPGNVLLDTNFSPKVADFGLARLCDRDNSHISLTGGRGTPGYAAPELWMPYPVTHKCDVYSYGMLLFEILGRRRNLILSQAESQEWFPRWIWHKFEGGELDGVMTNCRIEHSNRDKAERMCKVALWCVQYQPDTRPSMNSVVRMLEGEEEIIAPTNPFQYMMSFDGSSSQWSESRGYSTSTTTATNESEANSLIHQNQQ >DRNTG_08706.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18061129:18063016:1 gene:DRNTG_08706 transcript:DRNTG_08706.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARNTSMQTTSVSVVKDETNINMVTIERFLADIVKERPVRFTTQNIIDFTQNFEQKLGSGGFGIVYKGQLPNGVQIAVKVLHKTQDKRAEEQFMAEIGTIGRTYHINLVQLYGFCFDDTLKALIYEYMEKGSLDSYLFDENQKLKWEKLHEIAIGTAKGIRYLHEECQKKIVHYDIKPGNVLLDTNFSPKVADFGLARLCDRDNSHISLTGGRGTPGYAAPELWMPYPVTHKCDVYSYGMLLFEILGRRRNLILSQAESQEWFPRWIWHKFEGGELDGVMTNCRIEHSNRDKAERMCKVALWCVQYQPDTRPSMNSVVRMLEGEEEIIAPTNPFQYMMSFDGSSSQWSESRGYSTSTTTATNESEANSLIHQNQQ >DRNTG_31577.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28289169:28293303:-1 gene:DRNTG_31577 transcript:DRNTG_31577.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSNETSFQEKLNDLKNRNDSMMQKEAILEERVNHIEGTREAWSLKETSFRETIAKLDEVNTRVQAQVKELEESRDATARENQMLTESILCLEIRIQHLEEISYVSSREKLMKIAEESTSQTQAAPEPVKNPETNNEHLDDKIKELHFVPDGHSAVPNPSIPEQDQSAITPAHYVTDYRGKMSESADSIQSAERNHEMYNGLQEFGAFARVLPEQVLEFEEESRTSEEIVQIPLDDTPVRRAESQPAINYENTAVPLTDAPLVGAPFRLISFMAKYVSGADLVKKNISERVQQ >DRNTG_31577.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28289169:28295039:-1 gene:DRNTG_31577 transcript:DRNTG_31577.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKKKGKKKKGNQNKSAEDSAPNAEEVGVQEQDHGTDQEQNHKRPQVSSSNIDAQSVVVSESDAEIERHKNYEAKFALLQDKVKLLEDEKNLWLEREIILEEKIKHLQNEVHSCMSNETSFQEKLNDLKNRNDSMMQKEAILEERVNHIEGTREAWSLKETSFRETIAKLDEVNTRVQAQVKELEESRDATARENQMLTESILCLEIRIQHLEEISYVSSREKLMKIAEESTSQTQAAPEPVKNPETNNEHLDDKIKELHFVPDGHSAVPNPSIPEQDQSAITPAHYVTDYRGKMSESADSIQSAERNHEMYNGLQEFGAFARVLPEQVLEFEEESRTSEEIVQIPLDDTPVRRAESQPAINYENTAVPLTDAPLVGAPFRLISFMAKYVSGADLVKKNISERVQQ >DRNTG_31577.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28289169:28293044:-1 gene:DRNTG_31577 transcript:DRNTG_31577.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKEAILEERVNHIEGTREAWSLKETSFRETIAKLDEVNTRVQAQVKELEESRDATARENQMLTESILCLEIRIQHLEEISYVSSREKLMKIAEESTSQTQAAPEPVKNPETNNEHLDDKIKELHFVPDGHSAVPNPSIPEQDQSAITPAHYVTDYRGKMSESADSIQSAERNHEMYNGLQEFGAFARVLPEQVLEFEEESRTSEEIVQIPLDDTPVRRAESQPAINYENTAVPLTDAPLVGAPFRLISFMAKYVSGADLVKKNISERVQQ >DRNTG_31577.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28289169:28295039:-1 gene:DRNTG_31577 transcript:DRNTG_31577.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKKKGKKKKGNQNKSAEDSAPNAEEVGVQEQDHGTDQEQNHKRPQVSSSNIDAQSVVVSESDAEIERHKNYEAKFALLQDKVKLLEDEKNLWLEREIILEEKIKHLQNEVHSCMSNETSFQEKLNDLKNRNDSMMQKEAILEERVNHIEGTREAWSLKETSFRETIAKLDEVNTRVQAQLGR >DRNTG_31577.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28289169:28290904:-1 gene:DRNTG_31577 transcript:DRNTG_31577.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLTESILCLEIRIQHLEEISYVSSREKLMKIAEESTSQTQAAPEPVKNPETNNEHLDDKIKELHFVPDGHSAVPNPSIPEQDQSAITPAHYVTDYRGKMSESADSIQSAERNHEMYNGLQEFGAFARVLPEQVLEFEEESRTSEEIVQIPLDDTPVRRAESQPAINYENTAVPLTDAPLVGAPFRLISFMAKYVSGADLVKKNISERVQQ >DRNTG_31577.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28289169:28293303:-1 gene:DRNTG_31577 transcript:DRNTG_31577.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSNETSFQEKLNDLKNRNDSMMQKEAILEERVNHIEGTREAWSLKETSFRETIAKLDEVNTRVQAQLGR >DRNTG_31577.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28289169:28295039:-1 gene:DRNTG_31577 transcript:DRNTG_31577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKKKGKKKKGNQNKSAEDSAPNAEEVGVQEQDHGTDQEQNHKRPQVSSSNIDAQSVVVSESDAEIERHKNYEAKFALLQDKVKLLEDEKNLWLEREIILEEKIKHLQNEVHSCMSNETSFQEKLNDLKNRNDSMMQKEAILEERVNHIEGTREAWSLKETSFRETIAKLDEVNTRVQAQVKELEESRDATARENQMLTESILCLEIRIQHLEEISYVSSREKLMKIAEESTSQTQAAPEPVKNPETNNEHLDDKIKELHFVPDGHSAVPNPSIPEQDQSAITPAHYVTDYRGKMSESADSIQSAERNHEMYNGLQEFGAFARVLPEQVLEFEEESRTSEEIVQIPLDDTPVRRAESQPAINYENTAVPLTDAPLVGAPFRLISFMAKYVSGADLVKKNISERVQQ >DRNTG_27277.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22483719:22484315:1 gene:DRNTG_27277 transcript:DRNTG_27277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGRSPDSMLCSSGSLSRSTGELLG >DRNTG_06462.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:538659:539177:1 gene:DRNTG_06462 transcript:DRNTG_06462.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPRKAHCFLACSGISFTRVSIGTPFSSCCLKLKSGGRKRPFGKRVFGSRSSSKNG >DRNTG_25872.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9048978:9051854:1 gene:DRNTG_25872 transcript:DRNTG_25872.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCDKCQKKLSKVIVPDKWKEGASNTTEGGGRKINENKLLSKKQRWTPYGNTKCIICKQQVHQDAKYCHTCAYSKGVCAMCGKQVIDTKFYKQSNV >DRNTG_05487.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23402498:23402737:1 gene:DRNTG_05487 transcript:DRNTG_05487.2 gene_biotype:protein_coding transcript_biotype:protein_coding AIGFAHQGSQGPAKGEAYRDNDRISVSDPVLAKTIWESGLKSMFDDIELRGKIAVGLNPNIRFYR >DRNTG_05487.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23403591:23403770:1 gene:DRNTG_05487 transcript:DRNTG_05487.3 gene_biotype:protein_coding transcript_biotype:protein_coding VAPVMGMALLHIHGDRCMLHEASAVTKNVKYVLRSDVVFA >DRNTG_05487.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23402498:23404163:1 gene:DRNTG_05487 transcript:DRNTG_05487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTKKQDSSTRSLVGGETVFYDERRGIVAEVAPVMGMALLHIHGDRCMLHEASAVTKNVKATPRKDHTEFIDGKHCPIQHEVPVSLLAFFDIES >DRNTG_25882.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9089411:9090851:-1 gene:DRNTG_25882 transcript:DRNTG_25882.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPSRDLSISLIQPNSYWCTGLRSKTDIQNERDEQQSINRSLEMKKLSR >DRNTG_25882.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9089411:9090042:-1 gene:DRNTG_25882 transcript:DRNTG_25882.2 gene_biotype:protein_coding transcript_biotype:protein_coding NPSRDLSISLIQPNSYWCTGLRSKTDIQNERDEQQSINRSLEMKKLSR >DRNTG_21454.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26894290:26895619:-1 gene:DRNTG_21454 transcript:DRNTG_21454.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFKHASHCRRLLAPLLLLLSLSHSSHWIQSENPLMQPYKATCNLSMVPSVSPVFAHAPAKKGFASLAIDFLMGGVFTAVSKIAVSSIERLKLVIQNQDEMIKAGRLSEPYKGISDCFARTIKDEDYFKRLFNFKKDKDGYWKWFAGNLASGGAAGASSLLFVYFLDYARTRLANDSKAAKKGGERQFNGLIDVYWKTLKSDSIAGLYRGFNISCVGIIVHRALYFGMYDSLKPMLLTGSLQDSFFASFALGWLITNESRTSIISY >DRNTG_30212.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:35749:38372:1 gene:DRNTG_30212 transcript:DRNTG_30212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSALLLPISHSPTRLMMMMMGTRGRRRKRRNRGIEAAGSMEEEVDKGFEGGGRKAKLVARRKERIRLPGKSKEPALSMAQFLRHPSGVEAILNSRALHCFQPLDSRTYRCTLHQLQFLSFHVSPVIDLRVTPTSHDCTVEMLSCKFEGSKAFEQQNQLFSAFMKNYMTWDENCPEPCLDVDVSLNVALEVYTKPFSLLPISAVEKPGNLLMQGLLDRLTPLLAKQLLEDYHTWIEEQLRFHSKG >DRNTG_30212.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:35749:38372:1 gene:DRNTG_30212 transcript:DRNTG_30212.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMGTRGRRRKRRNRGIEAAGSMEEEVDKGFEGGGRKAKLVARRKERIRLPGKSKEPALSMAQFLRHPSGVEAILNSRALHCFQPLDSRTYRCTLHQLQFLSFHVSPVIDLRVTPTSHDCTVEMLSCKFEGSKAFEQQNQLFSAFMKNYMTWDENCPEPCLDVDVSLNVALEVYTKPFSLLPISAVEKPGNLLMQGLLDRLTPLLAKQLLEDYHTWIEEQLRFHSKG >DRNTG_12393.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6492372:6496180:-1 gene:DRNTG_12393 transcript:DRNTG_12393.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDECCRAKNGGHKGEDPQNHHQRNPCDEEIKHPLDPLKTEHHLEIS >DRNTG_12393.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6492230:6496039:-1 gene:DRNTG_12393 transcript:DRNTG_12393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDECCRAKNGGHKGEDPQNHHQRNPCDEEIKHPLDPLKTEHHLEISGREKTKKKDKETRKRNGGERSLIH >DRNTG_12393.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6492270:6496039:-1 gene:DRNTG_12393 transcript:DRNTG_12393.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDECCRAKNGGHKGEDPQNHHQRNPCDEEIKHPLDPLKTEHHLEISGREKTKKKDKETRKRNGGERSLIH >DRNTG_12393.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6492372:6496039:-1 gene:DRNTG_12393 transcript:DRNTG_12393.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVDECCRAKNGGHKGEDPQNHHQRNPCDEEIKHPLDPLKTEHHLEIS >DRNTG_12393.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6492230:6492736:-1 gene:DRNTG_12393 transcript:DRNTG_12393.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVDECCRAKNGGHKGEDPQNHHQRNPCDEEIKHPLDPLKTEHHLEISGREKTKKKDKETRKRNGGERSLIH >DRNTG_31679.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18424198:18429436:-1 gene:DRNTG_31679 transcript:DRNTG_31679.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 8 [Source:Projected from Arabidopsis thaliana (AT1G27920) UniProtKB/Swiss-Prot;Acc:Q9C7G0] MGSLHIPESSCSYLLQELKLIWDEVGSDQLERERILLELEQECLEVYKRKVDSANIRRSRLHQALADSEAEFTNLLISLGERSFAGRPEKLTGTLKEQLDTITPALQEMQLRKDVRVNQFKEVQDQIQKISSEIEGHSDHDIVIVNEADLSLKKLEEYQNELQRLHREKNDRLKKVEEYTRTVHDLAATMGMDSAKIITEVHPSLESSNSQHSKNISDSILDSLNHTVALLKDEKMRRLEKLHRLGKALSNLWNLMDTPIEEQQMFTHITKFSSSEAAGEIPGLGSLTLDTIHEVEAEVERLDQLKASKMKELFLKKKVELEEICKKSHMEMPSSAEMDHIMKLIISGEMDHADLLTSMDERIYRAREEACSRKDIMEKVERWLGACNEERWLEEYNMDENRYSVRRGGHRDLKRAERARIIVNKIPALVELLIAKARSWEEERKKTFLYDEVPLLAMLKEYNLSRQEKEEEKQRQREKKRVQSQIPAEADMDMMVMGSRPSTSSKRIPNRSLNSTFITAVVASTPNTRPCSGYGGIKKIGSSNNPSTHGTSPNTIFRESKKMQIRRMLAHYNHGCSPITADVVSEISATFSGPTSP >DRNTG_31413.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001699.1:95058:99377:1 gene:DRNTG_31413 transcript:DRNTG_31413.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLVVNSPTLPDVPVKPRKGHLLVLENFNKIQLNHGVMEAGYIGHQVTKIPKASLVSETIDEHSLSSISMTATLDSMGNLVLGSSRQFTGFGTELDESIVQRIWDRAGKFFPSLRVLSHDIKQYKEIRIGLRPYMPDGKPIIGPFPGLPKVLLATGHEGSGLSLALGTAEMISDMILENPLKVDCTPFLYPR >DRNTG_31413.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001699.1:94673:99377:1 gene:DRNTG_31413 transcript:DRNTG_31413.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKERVRLFSQAGLRAEYWSASSLLSEEPALEVGKESSAVFLPDDCQIDAARAVDFIQKVNKGFATEGRYTEFFNNPAIRLLRSDRNGEVEAVETSHNILYGEKAIVIAAGAWSGTLMRSLVVNSPTLPDVPVKPRKGHLLVLENFNKIQLNHGVMEAGYIGHQVTKIPKASLVSETIDEHSLSSISMTATLDSMGNLVLGSSRQFTGFGTELDESIVQRIWDRAGKFFPSLRVLSHDIKQYKEIRIGLRPYMPDGKPIIGPFPGLPKVLLATGHEGSGLSLALGTAEMISDMILENPLKVDCTPFLYPR >DRNTG_31413.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001699.1:95058:99377:1 gene:DRNTG_31413 transcript:DRNTG_31413.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKERVRLFSQAGLRAEYWSASSLLSEEPALEVGKESSAVFLPDDCQIDAARAVDFIQKVNKGFATEGRYTEFFNNPAIRLLRSDRNGEVEAVETSHNILYGEKAIVIAAGAWSGTLMRSLVVNSPTLPDVPVKPRKGHLLVLENFNKIQLNHGVMEAGYIGHQVTKIPKASLVSETIDEHSLSSISMTATLDSMGNLVLGSSRQFTGFGTELDESIVQRIWDRAGKFFPSLRVLSHDIKQYKEIRIGLRPYMPDGKPIIGPFPGLPKVLLATGHEGSGLSLALGTAEMISDMILENPLKVDCTPFLYPR >DRNTG_31413.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001699.1:94673:99377:1 gene:DRNTG_31413 transcript:DRNTG_31413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLRRSRSQCRSLALQRSDHDVVVVGAGIIGLTIARQLLLSSDLSVAVVDAAVPCSGATGAGQGYVWMAHKTPGSAVWELGLRSKQMWEEMAEGLERDGEDPLDVLGWRRTGSLVIGTTSDELVMLKERVRLFSQAGLRAEYWSASSLLSEEPALEVGKESSAVFLPDDCQIDAARAVDFIQKVNKGFATEGRYTEFFNNPAIRLLRSDRNGEVEAVETSHNILYGEKAIVIAAGAWSGTLMRSLVVNSPTLPDVPVKPRKGHLLVLENFNKIQLNHGVMEAGYIGHQVTKIPKASLVSETIDEHSLSSISMTATLDSMGNLVLGSSRQFTGFGTELDESIVQRIWDRAGKFFPSLRVLSHDIKQYKEIRIGLRPYMPDGKPIIGPFPGLPKVLLATGHEGSGLSLALGTAEMISDMILENPLKVDCTPFLYPR >DRNTG_20254.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20926744:20937726:-1 gene:DRNTG_20254 transcript:DRNTG_20254.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSHWLTNLSSLQCLNLSDLNLSEAKNVLKSLNTLPLISEIKLFNCDLHVPLSLGAEINFTNLRFLDLSSNGINSTMPLWLFKLSGLEYLYLRGNNIQDLIPSDIGKLTSLRVLDLAYNGVFGVDSTFNGVLIAGLPTTLGKLCNLSKLYLSGNKYFSGDLNRLGEIFSGCLNNSLEELYWSDASLSGILPSWLENLKSLKALDLSDNFFYGSLLQLQLPSLQTLLLDSNNFNGTIPKYLGQLFPELDTLDLSDNNIAVRPDWVPPPKLKYLHMNDCKVGPRFPSWLQNLKILSSLGMSNASIVDTLPLWFWNFSLAIEDIYLSHNEIKGKLPEVSSKLPNLQVLDLSYNYLEGQLPQFSSNLVDLDQAHGLFSRSTFSNTSIISPSLSQLYISSNKITGSIPKTLCKLNSLAILDLSHNMIEGVVPDCWNLSLYSELLVMDLSHNNLSGTIPASISSLELLHLSNNAFSGELPASFKNCTSLSVLDLGYNNISGSIPTWLAENLKNLGTLELRNNMLTGSIPPQLGNLRYLHVIDVSNNHLSGAIPYSFGNFTAMKTGISDRLSYGDYVNNIEINLKGREVQLESLSEILIFIDLSNNTLSGEIPEELGQLSSLQSLNLSRNQLSGQLSEKIGQLRWLEVLDLSMNNLSGALPPTMTNLTSLNHLNLSYNNFYGEIPYGGQLEALPDPSIYSGNQGLCGFPLDKKCEIEAPAQPPTLPNNEDDNNLENIWFYLSMSLGFIFGFWAISGALILKKRWSVNRAYYILLEHINVLDLPVLMEVLSEYLLDKASQKTKLQQK >DRNTG_21343.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20461315:20461516:1 gene:DRNTG_21343 transcript:DRNTG_21343.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGISSQGPATTRSMALLAEPPSNHRRHP >DRNTG_13465.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000614.1:40206:44255:1 gene:DRNTG_13465 transcript:DRNTG_13465.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DRP5A [Source:Projected from Arabidopsis thaliana (AT1G53140) UniProtKB/TrEMBL;Acc:A0A178WBH9] MAANATTPVRASNAKSHPSPASRKHSQQALMNSSIASDSVKSRFEAFNRLQAAAVAFGEKVPIPEIVALGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLVLQMIHDPDALEPRCRFQEEESEEYGGPIVLASAIADVIRSRTEAHLKKVRGAVSAKPIVMRAEYAHCPNLTIIDTPGFVLKAKKGEPEGTPEEILSMVKSLASPPHRLLLFLQQSSVEWCSSLWLDAIREIDPTFKRTIIVISKFDNRLKEFTEKWEVDSYLSAGGYLGENTHPFFVALPKDRGAVTNEEFRRQIFQVDADVRRHLRDNIKGGFNEEKYGPFIGFSCLREYLEAELQKKYKDAAPATLALLEQRCGEITVELARLDTKLQATSDVAHLRRLAMLHTASICSHVGALLDGAADPAPEQWGKTTTEEQLESGFGSWPGVTVAMKPPNYTLKLYGGAAFERVMHEFRCATYSLECPQLSREKVANILLAHAGRGGGGGISEAAAEIARAAARAWLAPLLDTACERLSFILKNLFDLAMERNRNHGSDYGRNNGDMDGYIGFHAALRRSYNNFISELSKQSKQLVRHHLDSVTSPYSQVCYENDLQGGFVSGSRFIHKYNQLPTASFFLDLSEGAALGDEKLFVDQENIPPKDHQQTPPGKGNETEALRESQLTIPETPSPDLPSDVIHGAKKENGNCVEIGARKRQARVITTGRNSDSCRNQNANLLGAGHFGSKPGSSYFDICSLAAQHFARIREVLVERNVLSALNSGFLTPCRSNLVVALGVELFAVSDDKFMDMFVAPGAIDVLHSEKQSLHKRQKILQSCLSEFKNIARTL >DRNTG_06569.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:484057:484846:-1 gene:DRNTG_06569 transcript:DRNTG_06569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGIESKEMFKQPQTIHEGLGNDVSKKQHPIT >DRNTG_06529.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29540206:29546541:1 gene:DRNTG_06529 transcript:DRNTG_06529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIVITSPGGPEVLQLQDVEDPSIKDDEVLIQVAATALNGADLSQRMGSYSPPKGASPYLGLECSGTILAVGSSVHRWKPGDQARRSRLGFLL >DRNTG_06529.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29543481:29546509:1 gene:DRNTG_06529 transcript:DRNTG_06529.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTLPEVVCTVWSTVFMMSRLSPGESFLIHGGSSGIGTFAIQIAKHLGVRVFVTAGNEEKLAACKNLGADVCINYKTEDFVARIKEETGGKGVDVILDNVGGPYLQRNLDSLNIGGRLFLIGFLGGAVTNVNLAPLLIKRLTVQAAGLRSRSPENKAEIVKEVEKHVWPAIAAGKVKPVIYKSLPLSEAAEGHRLMESSIHIGKILLIS >DRNTG_06529.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29540206:29546509:1 gene:DRNTG_06529 transcript:DRNTG_06529.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMSHLSPGESFLIHGGSSGIGTFAIQIAKHLGVRVFVTAGNEEKLAACKNLGADVCINYKTEDFVARIKEETGGKGVDVILDNVGGPYLQRNLESLNIGGRLFLIGFLGGAVTNVNLAPLLIKRLTVQAAGLRSRSPENKAEIVKEVEKHVWPAIAAGKVKPVIYKSLPLSEAAEGHRLMESSIHIGKILLIS >DRNTG_06529.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29539961:29543174:1 gene:DRNTG_06529 transcript:DRNTG_06529.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIVITSPGGPEVLQLQDVEDPSIKDDEVLIQVAATALNGADLSQRMGSYSPPKGASPYLGLECSGTILAVGSSVHRWKPGDQVCALLSGGGYAEKVAVPVGQVLPVPEGVSLRDAGALPEVVCTVWSTVFMMSHLSPGESFLIHGGSSGIGTFAIQIAKHLGVRVFVTAGNEEKLAACKNLGADVCINYKTEDFVARIKEETGGKGVDVILDNVGGPYLQRNLESLNIGGRLFLIGFLGGAVTEVNLAPLLIKRLTVQAAGLRSRSPENKVEIVKEVEKHVWPAIAASKVKPVIYKSLPLSEAAEGHRLMESSIHIGKIILIP >DRNTG_06529.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29540206:29546509:1 gene:DRNTG_06529 transcript:DRNTG_06529.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIVITSPGGPEVLQLQDVEDPSIKDDEVLIQVAATALNGADLSQRMGSYSPPKGASPYLGLECSGTILAVGSSVHRWKPGDQVCALLSGGGYAEKVAVPVGQVLPVPEGVSLRDAGALPEVVCTVWSTVFMMSHLSPGESFLIHGGSSGIGTFAIQIAKHLGVRVFVTAGNEEKLAACKNLGADVCINYKTEDFVARIKEETGGKGVDVILDNVGGPYLQRNLDSLNIGGRLFLIGFLGGAVTNVNLAPLLIKRLTVQAAGLRSRSPENKAEIVKEVEKHVWPAIAAGKVKPVIYKSLPLSEAAEGHRLMESSIHIGKILLIS >DRNTG_06529.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29540206:29546509:1 gene:DRNTG_06529 transcript:DRNTG_06529.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIVITSPGGPEVLQLQDVEDPSIKDDEVLIQVAATALNGADLSQRMGSYSPPKGASPYLGLECSGTILAVGSSVHRWKPGDQVCALLSGGGYAEKVAVPVGQVLPVPEGVSLRDAGALPEVVCTVWSTVFMMSHLSPGESFLIHGGSSGIGTFAIQIAKHLGVRVFVTAGNEEKLAACKNLGADVCINYKTEDFVARIKEETGGKGVDVILDNVGGPYLQRNLESLNIGGRLFLIGFLGGAVTEVNLAPLLIKRLTVQAAGLRSRSPENKAEIVKEVEKHVWPAIAAGKVKPVIYKSLPLSEAAEGHRLMESSIHIGKILLIS >DRNTG_06529.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29540206:29546509:1 gene:DRNTG_06529 transcript:DRNTG_06529.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTLPEVVCTVWSTVFMMSRLSPGESFLIHGGSSGIGTFAIQIAKHLGVRVFVTAGNEEKLAACKNLGADVCINYKTEDFVARIKEETGGKGVDVILDNVGGPYLQRNLDSLNIGGRLFLIGFLGGAVTNVNLAPLLIKRLTVQAAGLRSRSPENKAEIVKEVEKHVWPAIAAGKVKPVIYKSLPLSEAAEGHRLMESSIHIGKILLIS >DRNTG_02171.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29282937:29286605:-1 gene:DRNTG_02171 transcript:DRNTG_02171.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEEYTKEEIDWSYIEFIDNQDVLDLIEKKPGGIIALLDEACVLPRSTHETFAEKLYQTFKNHKRFSKPKLARSDFTVSHYAGDVTYQTELFLDKNKDYVVAEHQALLCASKCSFIAGLFPPLPEDSSKSSKFSSIGSRFKQQLQSLLETLSATEPHYIRCVKPNNLLKPAIFENNNILQQLRCGGVMEAIRISCAGYPTRRTFSEFVDRFGILSPDVLHKSCDEVTASRMLLEKGSLEGYQIGKTKVFLRAGQMAELDARRTDVLGRSASIIQRKVRTYFCRKHFILLQKSAIQLQTVCRGQLAQRLYENIRRQAASLKVQTYFRMYSAQKVYRGLSSSSVIIQACCRGMTARKELQFRKQTRAAIVIQSCYRQYLEHGYYSRIKKAAMTTQCAWRGRLARRELRKLKM >DRNTG_02171.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29281788:29288684:-1 gene:DRNTG_02171 transcript:DRNTG_02171.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNEGVSQSILVSGESGAGKTESTKMLMRYLAFMGGRAAAEGQNVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVELQFDKKGRISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPQEDIDRYKLANPRTYHYLNQSNCYELDGVDDSKEYLETRRAMDIVGISSDEQDAIFRVVSAVLHLGNIEFSEGDEVDSSKPKDEKSRFHLRTAAELFMCDVGDLEDSLCKRIIVTRDENITKSLDPEGATLSRDSLAKIVYSRLFDWIVDKINCSIGQDSDSKSLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFIDNQDVLDLIEKKPGGIIALLDEACVLPRSTHETFAEKLYQTFKNHKRFSKPKLARSDFTVSHYAGDVTYQTELFLDKNKDYVVAEHQALLCASKCSFIAGLFPPLPEDSSKSSKFSSIGSRFKQQLQSLLETLSATEPHYIRCVKPNNLLKPAIFENNNILQQLRCGGVMEAIRISCAGYPTRRTFSEFVDRFGILSPDVLHKSCDEVTASRMLLEKGSLEGYQIGKTKVFLRAGQMAELDARRTDVLGRSASIIQRKVRTYFCRKHFILLQKSAIQLQTVCRGQLAQRLYENIRRQAASLKVQTYFRMYSAQKVYRGLSSSSVIIQACCRGMTARKELQFRKQTRAAIVIQSCYRQYLEHGYYSRIKKAAMTTQCAWRGRLARRELRKLKMAAKETGALQAAKDKLEKQVQELTLMLELEKQMRVDMEEAKTQENAKLQHALQEMEQQFSETKAMLLEEREVSKKAAEVVPVIREIPVIDTAMMEKLTVENEKLK >DRNTG_02171.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29278341:29278499:-1 gene:DRNTG_02171 transcript:DRNTG_02171.7 gene_biotype:protein_coding transcript_biotype:protein_coding SLFIFEKAPKTAKAALLQGSGGSFHNHTQSDWNRIIENLNNLLEALQENH >DRNTG_02171.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29279303:29280907:-1 gene:DRNTG_02171 transcript:DRNTG_02171.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSVIENEENNSQLAYWLSNVSTLLFLLQQNLKASRGGGSVPCPKPPPPTSLFGRMTQGLRSSLSSANIEVDGLDFVRKVEAKYPALLFKQQLAAYEEKIYSIIRDNVKKDLTSLLSICIQV >DRNTG_02171.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29277810:29278129:-1 gene:DRNTG_02171 transcript:DRNTG_02171.6 gene_biotype:protein_coding transcript_biotype:protein_coding SLLNPEFCSFGNGEYVKSGLDELELWCGQTKPEYVGSAWDELKHTRQAVGFL >DRNTG_02171.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29289390:29289521:-1 gene:DRNTG_02171 transcript:DRNTG_02171.8 gene_biotype:protein_coding transcript_biotype:protein_coding AAPPNIAIGTHVWVDDPVVAWIDGEVLEANSEEIKVLCTSGNT >DRNTG_02171.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29286963:29290478:-1 gene:DRNTG_02171 transcript:DRNTG_02171.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPNIAIGTHVWVDDPVVAWIDGEVLEANSEEIKVLCTSGNTVVAKVPNVHAKDAEAPVCGVDDMTKLAYLHEPGVLQNLRSRYDMNEIYTYTGSILIAVNPFKRLPDLYDNSMMEKYKGAAIGELGPHPFAVADAAYRLMMNEGVSQSILVSGESGAGKTESTKMLMRYLAFMGGRAAAEGQNVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVELQFDKKGRISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPQEDIDRYKLANPRTYHYLNQSNCYELDGVDDSKEYLETRRAMDIVGISSDEQDAIFRVVSAVLHLGNIEFSEGDEVDSSKPKDEKSRFHLRTAAELFM >DRNTG_02171.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29275916:29290478:-1 gene:DRNTG_02171 transcript:DRNTG_02171.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPNIAIGTHVWVDDPVVAWIDGEVLEANSEEIKVLCTSGNTVVAKVPNVHAKDAEAPVCGVDDMTKLAYLHEPGVLQNLRSRYDMNEIYTYTGSILIAVNPFKRLPDLYDNSMMEKYKGAAIGELGPHPFAVADAAYRLMMNEGVSQSILVSGESGAGKTESTKMLMRYLAFMGGRAAAEGQNVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVELQFDKKGRISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPQEDIDRYKLANPRTYHYLNQSNCYELDGVDDSKEYLETRRAMDIVGISSDEQDAIFRVVSAVLHLGNIEFSEGDEVDSSKPKDEKSRFHLRTAAELFMCDVGDLEDSLCKRIIVTRDENITKSLDPEGATLSRDSLAKIVYSRLFDWIVDKINCSIGQDSDSKSLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFIDNQDVLDLIEKKPGGIIALLDEACVLPRSTHETFAEKLYQTFKNHKRFSKPKLARSDFTVSHYAGDVTYQTELFLDKNKDYVVAEHQALLCASKCSFIAGLFPPLPEDSSKSSKFSSIGSRFKQQLQSLLETLSATEPHYIRCVKPNNLLKPAIFENNNILQQLRCGGVMEAIRISCAGYPTRRTFSEFVDRFGILSPDVLHKSCDEVTASRMLLEKGSLEGYQIGKTKVFLRAGQMAELDARRTDVLGRSASIIQRKVRTYFCRKHFILLQKSAIQLQTVCRGQLAQRLYENIRRQAASLKVQTYFRMYSAQKVYRGLSSSSVIIQACCRGMTARKELQFRKQTRAAIVIQSCYRQYLEHGYYSRIKKAAMTTQCAWRGRLARRELRKLKMAAKETGALQAAKDKLEKQVQELTLMLELEKQMRVDMEEAKTQENAKLQHALQEMEQQFSETKAMLLEEREVSKKAAEVVPVIREIPVIDTAMMEKLTVENEKLKALVISLESKIDETERKYAETNRVSEDRLKKALEAESKIIQLNDAMHRLEEKLSNFESEDQIIRQQSLLSSSVKYPSVPTMLKKHNSENSRLNMELIKEPQSAPPAIKDSTSSDPNLRRSYTQRVNENVDILINCVVQNIGFSDGRPVAAFTIYKCLLHWKCFEAEKTSVFDRLIQMIGSVIENEENNSQLAYWLSNVSTLLFLLQQNLKASRGGGSVPCPKPPPPTSLFGRMTQGLRSSLSSANIEVDGLDFVRKVEAKYPALLFKQQLAAYEEKIYSIIRDNVKKDLTSLLSICIQAPKTAKAALLQGSGGSFHNHTQSDWNRIIENLNNLLEALQENHVPPLLIEKIFTQVFSFINVQLFNSLLNPEFCSFGNGEYVKSGLDELELWCGQTKPEYVGSAWDELKHTRQAVGFLVIFKKFRISYDEIINDLCPILTVQQLHKICTQYWDDKYDTQSVSPEVLSDMEEVMAEQSSDANTNSNSETFLLEDNPSIPFSVDDISSSLQGQDLSNIKLAEELLENPIFHFLQE >DRNTG_33780.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:80413:83997:1 gene:DRNTG_33780 transcript:DRNTG_33780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKVDKQPLRGGTIAMIAHHQTSASSSLPTSFASEALRALPMPARAQTDTCDDDPRLFLPNAYNATEFHCTPVWNTFILRYSQSQDNVLSVVLSAVYTVGWVGMGFSKDGMMVGSSAMVGWIGKTGRAHIRRYYLRGQSSSQVIVNEGQLQTTDVSPMVILYGANIYLAFQLKFSAPLSQQELLFAVGSRIPVNYRLTEHDDKTSVSFDFAAGSSSPSSSYPYQLKRNHGALNIFGWGVLLPIGAIIARYCKQWDPLWFYLHVGIQFFGFIIGLSGIVCGVALYNKLHSSVSAHRGLGIFIFVLGILQIIAFFLRPDKDSKIRKYWNWYHHWSGRLALFLASVNIVYGIQVAHAGSSWKVGYGINLAFLLIAVIVLEILSWTRWSQKTVTPPAF >DRNTG_07637.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000344.1:97727:106218:-1 gene:DRNTG_07637 transcript:DRNTG_07637.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVMDLPNPRRRTGRGRATATTSEGVWGGGHEERAEELGLRCLRE >DRNTG_28089.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20499307:20502336:1 gene:DRNTG_28089 transcript:DRNTG_28089.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMIPVGSILSLILLVVVSYKIYMMKKTKGKLASTTNASDDKFRKVSYAELLKATDGFSSENLIGAGSFGSVYRGKLDVEEDLVAVKVLNLNQKGALKSFMAECEALRNIRHRNLVKIITSCSSLDSRGNDFRALVYQFMPNGNLDEWLHHQHISKLNIIQRLDIVINVSSALDYLHNQCKSPVIHCDLKPSNVLLDDHMIAHVSDFGLARLICMESNSMTSTDSSSFMGMKGSIGYIPPEYGMGSQVSMQGDVYSFGILLLEMLTGRRPTDEMFGAELNIHKYAEKALSGNVMELIDPQMLTGEEDEDITMISRCAASLTEINVSCSRELPNERMEIRNVVIELEMIKHLFQ >DRNTG_29720.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9237971:9240857:-1 gene:DRNTG_29720 transcript:DRNTG_29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVEAEGRYICSATSCAMAQLIEILSFKYPSCSPKRISEDHYEKIPSIICSMKLTSLGFKFCYDLADIIKHSVASCSESGFLKTF >DRNTG_23925.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3226183:3231424:-1 gene:DRNTG_23925 transcript:DRNTG_23925.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQWSYEIPRTVEFIRSRGFSRVALQFPDELLKDSMGVARALRHELGKGVRLYVMADTAYGSCCVDEVGASHVDAECVVHYGHACMSPTSTLPALFIFGKAFINVNACAESLLQCLSVSNKPVLVLYGLEYAHALQDLKSVMSRLLSSRGSNQMLYYSEVAHSSINPSGYHIKDIKLPESHEDAITDGEIIKNGESEVSNKLESGTKYSLGGLTWTTQSEHKMEDHLLFWIGSDNSAFANMVLTFNTYEIVRYDAEEGKLLNDFSQQTRILKRRYFLVEKAKDANIVGIIAGTLGVAGYLHIIQQMKELIEKAGKKCYTIVMGRPNPAKPC >DRNTG_23925.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3226183:3227450:-1 gene:DRNTG_23925 transcript:DRNTG_23925.2 gene_biotype:protein_coding transcript_biotype:protein_coding CDVFVYVSCAQTALLDSKEFLAPVITPFEAVLAFSRGRQWTGEYILEFQNLIASDATEIVDDNEGARFSFLKGCYVEDLQPQENNGDQKEMSLALAELTEKALNVRNQCTDSVLFKGTARSGAEYLAARSYQGLNMQYENAPLQSYVVGRTGRASGYDDEIE >DRNTG_10278.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20194372:20195028:1 gene:DRNTG_10278 transcript:DRNTG_10278.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSSTKKTKGRQKIEIKKIESEDVCHVTFSKRRIGVFKKASDLSTLCGANIALVVHSPTGKPYSFGCPDVEEVINRYFIGVTMDDVLGLTQGQGGHPTLLKELNEKFMEESKRIDDGKAKKVMVEGAKKELGNEKFILAMSSDMEELPLYELEGLEADLEKIKMRLDASARELLMNVNPLDIIGTYNQDLAMAPFAGSGANPFEGNMGFGFFGQGNY >DRNTG_03544.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:289625:290381:1 gene:DRNTG_03544 transcript:DRNTG_03544.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLRKDRIHHHHNHLSKNYSHWNSSREPRRGLTEKSHRRRRITDRTSRPCSHFDDDSNPMLF >DRNTG_29625.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6034344:6036700:-1 gene:DRNTG_29625 transcript:DRNTG_29625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALRQLSRAVGGPIRTASSADLTWIFCCNPKY >DRNTG_15825.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24973755:24981000:1 gene:DRNTG_15825 transcript:DRNTG_15825.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLNENFGGVKSKNSSEDALRRWRRLCGVVKNPKRRFRFTANLSKRSEAAAMKRTNQEKLRVAVLVSKAALQFIHGITLRTEYKVPEEVKEAGFQICADELESIVEGHDVKKLKGHGGVDGIGNKLLTSTTIGLTDTGDSLKRRQDIFGINMFAESEVKSFWVFVWEALQDMTLMILAACAFVSLVVGIAMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKITIQVTRRGFRQKLSIYDLLPGDIVHLGIGDQVPADGLFVSGFSLLINESSLTGESEPVIINSESPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVLAQGLIIRKFQESSYLSWSGDDALQMLEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATSICSDKTGTLTTNHMTVVKACICGNVMDVCNSEAALAMFSRLPDIVVKTLVQSIFNNTGGEVVVNQDGKQEILGTPTETALLEFGLLIGGDFQVVRQESKIVKVEPFNSVKKRMGVVLQLPEGSHRAHCKGASEIILAACDKVLDAEGNVIPLDEAAFNQLHSIIESFASEALRTLCLAYMEMENRFIAEEQIPVNGYTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIARECGILTDGGVAIEGPDFREKSLEELNELIPKIQVMARSSPLDKHTLVKHLRSMFNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPKDDLMRRTPVGRKGNFISNVMWRNILGQSFYQFVVIWYLQTEGKRLFRLDDTDADLTLNTLIFNSFVFCQVFNEISSRDMEKINVFHGMLENYVFVAVLTCTVLFQFIIVQFLGDFAYTIPLTFFQWFLSILIGFLGMPIAAAVKLVPVGSK >DRNTG_21887.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19574455:19575777:1 gene:DRNTG_21887 transcript:DRNTG_21887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSKFSRICVFCGSNTGNRTVFSDAALELGHELVKRGLNLVYGGGSVGLMGLIAQTVYAGGCNVLGVIPTALMPLEISGQSVGEVKPVSDMHERKAEMARQSDAFIALPGGYGTMEELLEVITWAQLGIHDKPVGLLNVDGYYNFLLALFDNGVEEGFIKPSARKIVVSAATAKELLIKMEEYTPLHERVAPSTSWEIEHLDY >DRNTG_07982.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3736237:3739168:-1 gene:DRNTG_07982 transcript:DRNTG_07982.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELFIALLVHQSIESRHVVSARSGCLSSMLLGDNLLLRHDRARFEVYNRASGGNLPR >DRNTG_16346.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21323898:21324378:1 gene:DRNTG_16346 transcript:DRNTG_16346.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEILAFSDAMDLGCGIALPMMMKAMIELDVLETMAAAGSGALLSPEEIASKIQTSNPDAHEVLDRMLRVLGRAQSDDVRRDGRRGGWEEQEALWTGPGLQVLH >DRNTG_16346.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:21323898:21325434:1 gene:DRNTG_16346 transcript:DRNTG_16346.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRCSTECFEFLAAHKVMMCDEMDGEEDGKSKRRYGLGPVCKFFTKDEDGVSLAPLLLIHHSKFMADTWPNIKHAVLDGSVPFVKTHGMTVYEHENKDPHFSELFNEAMFNQTTMFMMLENYKEFERINVLVDVGGGHGAILSIILSKHP >DRNTG_28702.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:419545:421230:-1 gene:DRNTG_28702 transcript:DRNTG_28702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQEEEDIGLVLGLGFGDKKACMFNKDHCRKDGKRSAGALLSLSLFDEAFPMRVKNEMELGCGGGGDDQEVVMESVSKTSDEDDDGGSKKKLRLTKEQSSLLEDRFKEHSTLNPKQKQALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEVLKRCCEALTDENRRLKKELQELKSVNLAMPPLYMQLPAATLTICPSCERLAGADDNSKGGTGAFVITPKTHFYNPSFSHSAAC >DRNTG_28650.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:17823051:17825449:-1 gene:DRNTG_28650 transcript:DRNTG_28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQHYHELLLSTLLFLFISLSTQNHETLVFPVRKDPTTLQYITSIYQRTPLVPVKLLVDIGSGFMWVNCDDHYISSSYRPAQCNSIECSVAESLSCNTCNDAPGPNCNNNTCGLFPENSIINLSTTGDVIDDVALFRSIDGNFAKVPHFLFSCGSNNLLEGLASGVQGVAGFGSTQMAVPVQLSSVFNIHPQFALCLSSTRSSNGFIFVGNGGNYKLAPGIDVSSSLMKTLLIPNPVSTRVSFKGESSSEYFIGVSSVKIDGKAVKINTNCWK >DRNTG_10674.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5270211:5270736:-1 gene:DRNTG_10674 transcript:DRNTG_10674.2 gene_biotype:protein_coding transcript_biotype:protein_coding RTVIVPPDLGYGQNGLNEIPPGAPFELNVELLQVMSPATAK >DRNTG_10674.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5270211:5270736:-1 gene:DRNTG_10674 transcript:DRNTG_10674.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGGKRTVIVPPDLGYGQNGLNEIPPGAPFELNVELLQVMSPATAK >DRNTG_24556.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25817308:25830570:1 gene:DRNTG_24556 transcript:DRNTG_24556.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAGNRNLASNRLEVTKYSVPMISSLVSVITTVIFLVTSTCAALLTIATSSLISFGGFSRTTTYLISEPSRNLLRTAYHIQVFALSKWLAVDLPIEYYEFARGIQWIIPHIKLPWETVGDDAFIENHGFYVGTDSDIFDRHQFITEQPLGGLNNTVQTKMSITGTPLTPMEYRSFIENGDMKPEAEFMMFLGDQNGWKLFSRNMFWLAVIGGGLFLLHVIVRLTLKVKRNKSDKQKDSGALIMPRFEIFLMFLALPCLCQASVTIIKGTCAGGIIVGSLLLGITASLLLSLILFLSIGITMGKLLQYKEVHQVGQVFHWYQEIIRVCLGPGKRGQWTWMKDDLNSARLTQLGPMFEDLRGPPKYMLSQISGSRNNEEFPPNRIIASDDETEDAEAPFIQKLFGILRIYYTLLECVKRVSLGILAGIYSSSSHSQVPTSFALCFTSFQLFFLMLKKPFIKKKVQLVELISVASELGFFVACSVQLEKDLSKDAESSVGVMMLVMFIICFAAQMINEWYALYKQILRLSPGKDSFCSGLKTTLIGLMLIILPGNRLLSVSTQVDGETAANTDTSPGGSVQRNDKPWLRQLRELAKASFSREQEEGNASTNNDPSSSKNQSGFWMWNRSQSSSVTSSSSDNKAKGEFRTRSKGLYKDLATIFSSK >DRNTG_24556.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25805421:25830570:1 gene:DRNTG_24556 transcript:DRNTG_24556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPLLLLVLTLFFPFHLLITAIDAGSDIALHFLRAPPAFSASPLAAFQFEIINKGNFSIISCGDCRFTCKLDHYNYTNCESRETSYASLSDGQHTLEVCIKWSGGAHCESYAWVVDTISPTAYVTAATSFTNSSNVPVHIIFSEPCTGGGGFRCSLNDCNLLVYGAGQVMPSTLKVLRPDIEYSLVLGVSNNELYGRLILVMDKGFCTDLAGNRFIRTSNSTFILHFDRRDVNVNLRTHIPEKLLQLNGEMRIVEATNIAKNLRIYLYFSEPVLNSSTEILGVLRASSGSILPTNSSSIGNRRFGYVVRDIPMITIVTVTCETNSIISRQGTPVSPADPVTFLYDAQRPSVVVRTTPNIKTRERNILVLVKFAKPVIDFNSSAAQIYGGRLLSFSELSKSIYTIQIQAEDRLISVEVPENATMDLAGNRNLASNRLEVTKYSVPMISSLVSVITTVIFLVTSTCAALLTIATSSLISFGGFSRTTTYLISEPSRNLLRTAYHIQVFALSKWLAVDLPIEYYEFARGIQWIIPHIKLPWETVGDDAFIENHGFYVGTDSDIFDRHQFITEQPLGGLNNTVQTKMSITGTPLTPMEYRSFIENGDMKPEAEFMMFLGDQNGWKLFSRNMFWLAVIGGGLFLLHVIVRLTLKVKRNKSDKQKDSGALIMPRFEIFLMFLALPCLCQASVTIIKGTCAGGIIVGSLLLGITASLLLSLILFLSIGITMGKLLQYKEVHQVGQVFHWYQEIIRVCLGPGKRGQWTWMKDDLNSARLTQLGPMFEDLRGPPKYMLSQISGSRNNEEFPPNRIIASDDETEDAEAPFIQKLFGILRIYYTLLECVKRVSLGILAGIYSSSSHSQVPTSFALCFTSFQLFFLMLKKPFIKKKVQLVELISVASELGFFVACSVQLEKDLSKDAESSVGVMMLVMFIICFAAQMINEWYALYKQILRLSPGKDSFCSGLKTTLIGLMLIILPGNRLLSVSTQVDGETAANTDTSPGGSVQRNDKPWLRQLRELAKASFSREQEEGNASTNNDPSSSKNQSGFWMWNRSQSSSVTSSSSDNKAKGEFRTRSKGLYKDLATIFSSK >DRNTG_10404.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1679784:1681405:-1 gene:DRNTG_10404 transcript:DRNTG_10404.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRERADTHTHKSTQDEGESPGELKKIKSPCDLSLKNHKNEIFIKDMATCHASAPIMAKVILQNIHHLFHHSLVHRWR >DRNTG_10404.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1679794:1681405:-1 gene:DRNTG_10404 transcript:DRNTG_10404.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKWKTIKKNLSMPTKEQPGHSSPPQLL >DRNTG_30570.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19283511:19287826:-1 gene:DRNTG_30570 transcript:DRNTG_30570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFHVHLEDFLGQLGPRNSTKLSAHSFCSSFLGLSFLSNATTYSARITGSALVRVRASGSEGNQVLRSAARRSADPIPVCTPTKPSSFGRSSNPAPPPTLDLDRKFLSVSSALPHWNTETCTVRGG >DRNTG_30570.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19283511:19287826:-1 gene:DRNTG_30570 transcript:DRNTG_30570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFHVHLEDFLGQLGPRNSTKLSAHSFCSSFLGLSFLSNATTYSARITGSALVRVRASGSEGNQVLRSAARRSADPIPVCTPTKPSSFGRSSNPAPPPTLDLDRKFLSVSSALPHWNTETCTVRGG >DRNTG_30570.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19283511:19284593:-1 gene:DRNTG_30570 transcript:DRNTG_30570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNILGPRNSTKLSAHSFCSSFLGLSFLSNATTYSARITGSALVRVRASGSEGNQVLRSAARRSADPIPVCTPTKPSSFGRSSNPAPPPTLDLDRKFLSVSSALPHWNTETCTVRGG >DRNTG_30570.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19283511:19287826:-1 gene:DRNTG_30570 transcript:DRNTG_30570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFHVHLEDFLGQLGPRNSTKLSAHSFCSSFLGLSFLSNATTYSARITGSALVRVRASGSEGNQVLRSAARRSADPIPVCTPTKPSSFGRSSNPAPPPTLDLDRKFLSVSSALPHWNTETCTVRGG >DRNTG_19466.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14139482:14139873:1 gene:DRNTG_19466 transcript:DRNTG_19466.3 gene_biotype:protein_coding transcript_biotype:protein_coding GSKTQRNNNGNKQLSEVFQMSDCGEGD >DRNTG_19466.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14137844:14139873:1 gene:DRNTG_19466 transcript:DRNTG_19466.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTEHQKNILPPPEVPPSHLSPNDIQPPSYSQGCQIRGHGDTELARVLGEWGIRAWKND >DRNTG_19466.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14138496:14139873:1 gene:DRNTG_19466 transcript:DRNTG_19466.2 gene_biotype:protein_coding transcript_biotype:protein_coding KTEHQKNILPPPEVPPSHLSPNDIQPPSYSQGCQIRGHGDTELARVLGEWGIRAWKND >DRNTG_10414.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14329198:14329451:1 gene:DRNTG_10414 transcript:DRNTG_10414.1 gene_biotype:protein_coding transcript_biotype:protein_coding TISTITKQKPKETSKICLNNNYHSPNYQTSINQ >DRNTG_27982.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1200303:1200724:1 gene:DRNTG_27982 transcript:DRNTG_27982.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRVFEKHFGVDDNVLSHEELAQVYGGLFSSFDHDGDGVVDLEEFRAEMKKMMLAVANGLGFLPVQMVVEEGSLLKRAVERERTMKLGA >DRNTG_01424.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000079.1:60023:60527:1 gene:DRNTG_01424 transcript:DRNTG_01424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMNNWLAFSLSHHDLPPSQTHHTDPSSTAVSGLISGDDVSADCFNLSSDSPPPPPRLPIPSLRQDPSSFAFLESFSRPQTNEWGMKSLGFKGSSSELSMVVGSKNNTGLEDEEPKLEDFLGGHSFTHHQHQHQ >DRNTG_15414.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4867653:4868732:-1 gene:DRNTG_15414 transcript:DRNTG_15414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIKQQQLRYRNRQRKKKSQKKEFQQRRLMPKKTSRQIRKPRICPRVVIIRMISRVQLSRKLKNMHRSIHEFLFLMAVLL >DRNTG_15161.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22694953:22700325:-1 gene:DRNTG_15161 transcript:DRNTG_15161.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGFLATAGDMTDMCSDLFGHIEDFLEFPNDEDLIAAVDHCPPPPTLPLQHQHHMPPSSSSSSSSSSSQIMMMIPTPVAPAAAPAPPAPPFATTATTMHDIIFLGGDSSITATAPEHDDLDIAQLEWLSNFFDDSSSDPFSQDLANNDMNMNMNMNMNMNDNSKSDENGFNGVIRSGKDTLFRACGSPVSVLEPNSLVGLSNNCSAKSATSSSSSSSSGVGSSSNERLLAPPHSPPEAMVSIIPARARTKRPRAVSSYRPLIYVSLTPPPPPSAAAAVSEAEAESFGESDSSPSMATMPVPVMMMMQKKKKLQQQQQQQKKTKKRKSPGAAGIAAGEEEGGETEGEGEGEGAGPPPVRKCMHCEIQKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFIPTLHSNSHKKVVEMRIKASQKTVTVADKESPALKNCDLIEYIRRRD >DRNTG_15161.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22694128:22700325:-1 gene:DRNTG_15161 transcript:DRNTG_15161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGFLATAGDMTDMCSDLFGHIEDFLEFPNDEDLIAAVDHCPPPPTLPLQHQHHMPPSSSSSSSSSSSQIMMMIPTPVAPAAAPAPPAPPFATTATTMHDIIFLGGDSSITATAPEHDDLDIAQLEWLSNFFDDSSSDPFSQDLANNDMNMNMNMNMNMNDNSKSDENGFNGVIRSGKDTLFRACGSPVSVLEPNSLVGLSNNCSAKSATSSSSSSSSGVGSSSNERLLAPPHSPPEAMVSIIPARARTKRPRAVSSYRPLIYVSLTPPPPPSAAAAVSEAEAESFGESDSSPSMATMPVPVMMMMQKKKKLQQQQQQQKKTKKRKSPGAAGIAAGEEEGGETEGEGEGEGAGPPPVRKCMHCEIQKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFIPTLHSNSHKKVVEMRIKASQKTVTVADKESPALKNCDLIEYIRRRD >DRNTG_15161.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22694784:22700325:-1 gene:DRNTG_15161 transcript:DRNTG_15161.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGFLATAGDMTDMCSDLFGHIEDFLEFPNDEDLIAAVDHCPPPPTLPLQHQHHMPPSSSSSSSSSSSQIMMMIPTPVAPAAAPAPPAPPFATTATTMHDIIFLGGDSSITATAPEHDDLDIAQLEWLSNFFDDSSSDPFSQDLANNDMNMNMNMNMNMNDNSKSDENGFNGVIRSGKDTLFRACGSPVSVLEPNSLVGLSNNCSAKSATSSSSSSSSGVGSSSNERLLAPPHSPPEAMVSIIPARARTKRPRAVSSYRPLIYVSLTPPPPPSAAAAVSEAEAESFGESDSSPSMATMPVPVMMMMQKKKKLQQQQQQQKKTKKRKSPGAAGIAAGEEEGGETEGEGEGEGAGPPPVRKCMHCEIQKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFIPTLHSNSHKKVVEMRIKASQKTVTVADKESPALKNCDLIEYIRRRD >DRNTG_15161.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22694953:22699291:-1 gene:DRNTG_15161 transcript:DRNTG_15161.13 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGFLATAGDMTDMCSDLFGHIEDFLEFPNDEDLIAAVDHCPPPPTLPLQHQHHMPPSSSSSSSSSSSQIMMMIPTPVAPAAAPAPPAPPFATTATTMHDIIFLGGDSSITATAPEHDDLDIAQLEWLSNFFDDSSSDPFSQDLANNDMNMNMNMNMNMNDNSKSDENGFNGVIRSGKDTLFRACGSPVSVLEPNSLVGLSNNCSAKSATSSSSSSSSGVGSSSNERLLAPPHSPPEAMVSIIPARARTKRPRAVSSYRPLIYVSLTPPPPPSAAAAVSEAEAESFGESDSSPSMATMPVPVMMMMQKKKKLQQQQQQQKKTKKRKSPGAAGIAAGEEEGGETEGEGEGEGAGPPPVRKCMHCEIQKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFIPTLHSNSHKKVVEMRIKASQKTVTVADKESPALKNCDLIEYIRRRD >DRNTG_15161.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22694846:22699197:-1 gene:DRNTG_15161 transcript:DRNTG_15161.12 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGFLATAGDMTDMCSDLFGHIEDFLEFPNDEDLIAAVDHCPPPPTLPLQHQHHMPPSSSSSSSSSSSQIMMMIPTPVAPAAAPAPPAPPFATTATTMHDIIFLGGDSSITATAPEHDDLDIAQLEWLSNFFDDSSSDPFSQDLANNDMNMNMNMNMNMNDNSKSDENGFNGVIRSGKDTLFRACGSPVSVLEPNSLVGLSNNCSAKSATSSSSSSSSGVGSSSNERLLAPPHSPPEAMVSIIPARARTKRPRAVSSYRPLIYVSLTPPPPPSAAAAVSEAEAESFGESDSSPSMATMPVPVMMMMQKKKKLQQQQQQQKKTKKRKSPGAAGIAAGEEEGGETEGEGEGEGAGPPPVRKCMHCEIQKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFIPTLHSNSHKKVVEMRIKASQKTVTVADKESPALKNCDLIEYIRRRD >DRNTG_15161.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22694128:22699291:-1 gene:DRNTG_15161 transcript:DRNTG_15161.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGFLATAGDMTDMCSDLFGHIEDFLEFPNDEDLIAAVDHCPPPPTLPLQHQHHMPPSSSSSSSSSSSQIMMMIPTPVAPAAAPAPPAPPFATTATTMHDIIFLGGDSSITATAPEHDDLDIAQLEWLSNFFDDSSSDPFSQDLANNDMNMNMNMNMNMNDNSKSDENGFNGVIRSGKDTLFRACGSPVSVLEPNSLVGLSNNCSAKSATSSSSSSSSGVGSSSNERLLAPPHSPPEAMVSIIPARARTKRPRAVSSYRPLIYVSLTPPPPPSAAAAVSEAEAESFGESDSSPSMATMPVPVMMMMQKKKKLQQQQQQQKKTKKRKSPGAAGIAAGEEEGGETEGEGEGEGAGPPPVRKCMHCEIQKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFIPTLHSNSHKKVVEMRIKASQKTVTVADKESPALKNCDLIEYIRRRD >DRNTG_15161.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22694953:22699197:-1 gene:DRNTG_15161 transcript:DRNTG_15161.15 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGFLATAGDMTDMCSDLFGHIEDFLEFPNDEDLIAAVDHCPPPPTLPLQHQHHMPPSSSSSSSSSSSQIMMMIPTPVAPAAAPAPPAPPFATTATTMHDIIFLGGDSSITATAPEHDDLDIAQLEWLSNFFDDSSSDPFSQDLANNDMNMNMNMNMNMNDNSKSDENGFNGVIRSGKDTLFRACGSPVSVLEPNSLVGLSNNCSAKSATSSSSSSSSGVGSSSNERLLAPPHSPPEAMVSIIPARARTKRPRAVSSYRPLIYVSLTPPPPPSAAAAVSEAEAESFGESDSSPSMATMPVPVMMMMQKKKKLQQQQQQQKKTKKRKSPGAAGIAAGEEEGGETEGEGEGEGAGPPPVRKCMHCEIQKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFIPTLHSNSHKKVVEMRIKASQKTVTVADKESPALKNCDLIEYIRRRD >DRNTG_15161.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22694784:22699291:-1 gene:DRNTG_15161 transcript:DRNTG_15161.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGFLATAGDMTDMCSDLFGHIEDFLEFPNDEDLIAAVDHCPPPPTLPLQHQHHMPPSSSSSSSSSSSQIMMMIPTPVAPAAAPAPPAPPFATTATTMHDIIFLGGDSSITATAPEHDDLDIAQLEWLSNFFDDSSSDPFSQDLANNDMNMNMNMNMNMNDNSKSDENGFNGVIRSGKDTLFRACGSPVSVLEPNSLVGLSNNCSAKSATSSSSSSSSGVGSSSNERLLAPPHSPPEAMVSIIPARARTKRPRAVSSYRPLIYVSLTPPPPPSAAAAVSEAEAESFGESDSSPSMATMPVPVMMMMQKKKKLQQQQQQQKKTKKRKSPGAAGIAAGEEEGGETEGEGEGEGAGPPPVRKCMHCEIQKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFIPTLHSNSHKKVVEMRIKASQKTVTVADKESPALKNCDLIEYIRRRD >DRNTG_15161.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22694868:22699291:-1 gene:DRNTG_15161 transcript:DRNTG_15161.10 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGFLATAGDMTDMCSDLFGHIEDFLEFPNDEDLIAAVDHCPPPPTLPLQHQHHMPPSSSSSSSSSSSQIMMMIPTPVAPAAAPAPPAPPFATTATTMHDIIFLGGDSSITATAPEHDDLDIAQLEWLSNFFDDSSSDPFSQDLANNDMNMNMNMNMNMNDNSKSDENGFNGVIRSGKDTLFRACGSPVSVLEPNSLVGLSNNCSAKSATSSSSSSSSGVGSSSNERLLAPPHSPPEAMVSIIPARARTKRPRAVSSYRPLIYVSLTPPPPPSAAAAVSEAEAESFGESDSSPSMATMPVPVMMMMQKKKKLQQQQQQQKKTKKRKSPGAAGIAAGEEEGGETEGEGEGEGAGPPPVRKCMHCEIQKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFIPTLHSNSHKKVVEMRIKASQKTVTVADKESPALKNCDLIEYIRRRD >DRNTG_15161.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22694846:22699291:-1 gene:DRNTG_15161 transcript:DRNTG_15161.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGFLATAGDMTDMCSDLFGHIEDFLEFPNDEDLIAAVDHCPPPPTLPLQHQHHMPPSSSSSSSSSSSQIMMMIPTPVAPAAAPAPPAPPFATTATTMHDIIFLGGDSSITATAPEHDDLDIAQLEWLSNFFDDSSSDPFSQDLANNDMNMNMNMNMNMNDNSKSDENGFNGVIRSGKDTLFRACGSPVSVLEPNSLVGLSNNCSAKSATSSSSSSSSGVGSSSNERLLAPPHSPPEAMVSIIPARARTKRPRAVSSYRPLIYVSLTPPPPPSAAAAVSEAEAESFGESDSSPSMATMPVPVMMMMQKKKKLQQQQQQQKKTKKRKSPGAAGIAAGEEEGGETEGEGEGEGAGPPPVRKCMHCEIQKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFIPTLHSNSHKKVVEMRIKASQKTVTVADKESPALKNCDLIEYIRRRD >DRNTG_15161.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22694128:22699197:-1 gene:DRNTG_15161 transcript:DRNTG_15161.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGFLATAGDMTDMCSDLFGHIEDFLEFPNDEDLIAAVDHCPPPPTLPLQHQHHMPPSSSSSSSSSSSQIMMMIPTPVAPAAAPAPPAPPFATTATTMHDIIFLGGDSSITATAPEHDDLDIAQLEWLSNFFDDSSSDPFSQDLANNDMNMNMNMNMNMNDNSKSDENGFNGVIRSGKDTLFRACGSPVSVLEPNSLVGLSNNCSAKSATSSSSSSSSGVGSSSNERLLAPPHSPPEAMVSIIPARARTKRPRAVSSYRPLIYVSLTPPPPPSAAAAVSEAEAESFGESDSSPSMATMPVPVMMMMQKKKKLQQQQQQQKKTKKRKSPGAAGIAAGEEEGGETEGEGEGEGAGPPPVRKCMHCEIQKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFIPTLHSNSHKKVVEMRIKASQKTVTVADKESPALKNCDLIEYIRRRD >DRNTG_15161.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22694868:22699197:-1 gene:DRNTG_15161 transcript:DRNTG_15161.14 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGFLATAGDMTDMCSDLFGHIEDFLEFPNDEDLIAAVDHCPPPPTLPLQHQHHMPPSSSSSSSSSSSQIMMMIPTPVAPAAAPAPPAPPFATTATTMHDIIFLGGDSSITATAPEHDDLDIAQLEWLSNFFDDSSSDPFSQDLANNDMNMNMNMNMNMNDNSKSDENGFNGVIRSGKDTLFRACGSPVSVLEPNSLVGLSNNCSAKSATSSSSSSSSGVGSSSNERLLAPPHSPPEAMVSIIPARARTKRPRAVSSYRPLIYVSLTPPPPPSAAAAVSEAEAESFGESDSSPSMATMPVPVMMMMQKKKKLQQQQQQQKKTKKRKSPGAAGIAAGEEEGGETEGEGEGEGAGPPPVRKCMHCEIQKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFIPTLHSNSHKKVVEMRIKASQKTVTVADKESPALKNCDLIEYIRRRD >DRNTG_15161.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22694868:22700325:-1 gene:DRNTG_15161 transcript:DRNTG_15161.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGFLATAGDMTDMCSDLFGHIEDFLEFPNDEDLIAAVDHCPPPPTLPLQHQHHMPPSSSSSSSSSSSQIMMMIPTPVAPAAAPAPPAPPFATTATTMHDIIFLGGDSSITATAPEHDDLDIAQLEWLSNFFDDSSSDPFSQDLANNDMNMNMNMNMNMNDNSKSDENGFNGVIRSGKDTLFRACGSPVSVLEPNSLVGLSNNCSAKSATSSSSSSSSGVGSSSNERLLAPPHSPPEAMVSIIPARARTKRPRAVSSYRPLIYVSLTPPPPPSAAAAVSEAEAESFGESDSSPSMATMPVPVMMMMQKKKKLQQQQQQQKKTKKRKSPGAAGIAAGEEEGGETEGEGEGEGAGPPPVRKCMHCEIQKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFIPTLHSNSHKKVVEMRIKASQKTVTVADKESPALKNCDLIEYIRRRD >DRNTG_15161.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22694784:22699197:-1 gene:DRNTG_15161 transcript:DRNTG_15161.11 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGFLATAGDMTDMCSDLFGHIEDFLEFPNDEDLIAAVDHCPPPPTLPLQHQHHMPPSSSSSSSSSSSQIMMMIPTPVAPAAAPAPPAPPFATTATTMHDIIFLGGDSSITATAPEHDDLDIAQLEWLSNFFDDSSSDPFSQDLANNDMNMNMNMNMNMNDNSKSDENGFNGVIRSGKDTLFRACGSPVSVLEPNSLVGLSNNCSAKSATSSSSSSSSGVGSSSNERLLAPPHSPPEAMVSIIPARARTKRPRAVSSYRPLIYVSLTPPPPPSAAAAVSEAEAESFGESDSSPSMATMPVPVMMMMQKKKKLQQQQQQQKKTKKRKSPGAAGIAAGEEEGGETEGEGEGEGAGPPPVRKCMHCEIQKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFIPTLHSNSHKKVVEMRIKASQKTVTVADKESPALKNCDLIEYIRRRD >DRNTG_15161.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22694784:22699291:-1 gene:DRNTG_15161 transcript:DRNTG_15161.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGFLATAGDMTDMCSDLFGHIEDFLEFPNDEDLIAAVDHCPPPPTLPLQHQHHMPPSSSSSSSSSSSQIMMMIPTPVAPAAAPAPPAPPFATTATTMHDIIFLGGDSSITATAPEHDDLDIAQLEWLSNFFDDSSSDPFSQDLANNDMNMNMNMNMNMNDNSKSDENGFNGVIRSGKDTLFRACGSPVSVLEPNSLVGLSNNCSAKSATSSSSSSSSGVGSSSNERLLAPPHSPPEAMVSIIPARARTKRPRAVSSYRPLIYVSLTPPPPPSAAAAVSEAEAESFGESDSSPSMATMPVPVMMMMQKKKKLQQQQQQQKKTKKRKSPGAAGIAAGEEEGGETEGEGEGEGAGPPPVRKCMHCEIQKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFIPTLHSNSHKKVVEMRIKASQKTVTVADKESPALKNCDLIEYIRRRD >DRNTG_15610.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:11133970:11137693:-1 gene:DRNTG_15610 transcript:DRNTG_15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPARVYPIQSANTHQYEFVIKEANYTRLCETKSILTVNGQFPGPTIYAGKGDKVTVKVVNHAEYNITLHWHGVDQPRNPWHDGPAYITQCPVPPGSEFTYDIILSEEEGTMWWHAHSDWDRATVHGAIFVYPKLGSSFPFAKPHKEIPIILGEWWKGNVSQVLVDALRAGNDPNISDAYTINGQPGDLYVCSKPETFIAQIEHGKTYLLRVINAAVNNELFFAVAGHRLTVVGTDARYTKPFDTDFIMITPGQTMDLLIEANRPLNASSSSSNNRYYMAAKPYASALGVKYDDTTTTAILEYITPPRNMTNIDSSPVFPSLPANNDTDAPTTFTAQLRSLASEDHPIDVPQTIDKRVIIAVAMNVLPCNENNTCLGPFGNRISASLNNISFETPTIDILDAYYHQILRVYGEGFPSEPPLIYNFTGNNLPRFLLTPKKATEVMMVEYNTSIEVVFQGTNQLAAENHPMHLHGQSFYVVGRGFGNFDKEKDPQGYNLVDPPMENTVGIPKSGWAAVRFRANNPGEYSYIYL >DRNTG_26433.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23953670:23956063:1 gene:DRNTG_26433 transcript:DRNTG_26433.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLMILFSPFFSRLYVRGTVLGYKRSKSNQKENTSLIQIEGVNTKEEVAWYCGKRLAYIYKAKVKKEGSHYRCIWGKVTRPHGNSGVVRAKFRSNLPPKSMGSKVRVFMYPSNI >DRNTG_26433.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23953670:23955999:1 gene:DRNTG_26433 transcript:DRNTG_26433.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLMILFSPFFSRLYVRGTVLGYKRSKSNQKENTSLIQIEGVNTKEEVAWYCGKRLAYIYKAKVKKEGSHYRCIWGKVTRPHGNSGVVRAKFRSNLPPKSMGSKVRVFMYPSNI >DRNTG_00901.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21651657:21652501:1 gene:DRNTG_00901 transcript:DRNTG_00901.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:POK2 [Source:Projected from Arabidopsis thaliana (AT3G19050) UniProtKB/TrEMBL;Acc:A0A178VJB1] MLTTENEMLKVDNSKHKKMIVELEHEIDKLSKQQNLQQRIHHHAKTKEENSLLRIQNDELDARLQQSEALLLRVKEELARYRAAGGKKPSVDFDEEEQLKRRLEDSEQERLHLGQKLLGLCTSILE >DRNTG_00901.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21650148:21650315:1 gene:DRNTG_00901 transcript:DRNTG_00901.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:POK2 [Source:Projected from Arabidopsis thaliana (AT3G19050) UniProtKB/TrEMBL;Acc:A0A178VJB1] RLSFQVEIVKEEAERQRLQREEMEVELQVLRHQLLTVPSSAHIHNMLEDRDTEFSR >DRNTG_00901.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21643283:21653924:1 gene:DRNTG_00901 transcript:DRNTG_00901.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:POK2 [Source:Projected from Arabidopsis thaliana (AT3G19050) UniProtKB/TrEMBL;Acc:A0A178VJB1] MELEKTFHELDVCKNDLKCCLEINSKLTREINDLQTELSQLKSSIHDEKNNSDSDSVEMCLGSPEAIFQIKPKKEESILEDQMKHAEEVLNLQLELDILKEILKEEKSSRFEVEERVIHANNELKMANDRVVQICRYHDDTNNELENARSIIDALESQHIMAINDLDKLREENYQYVDRLNKQEQEISVLRKKVLLNIEGEEKSSLTLAEQCNRPSRKSESESSPLQTKLKRMQCSLEKARILNIKYQTDQASQTIHEREMDEICRQVEAETAEVIVCMQEELISLQQQVDDSIRDKLLAEQSLVALQNEMKKLNERLIDVTNDNQKLCEFVKEKDEDLRSMIEDRERLAFEIAEVLGDGNAALEEAADQACSISCILLNRSWAGEQFERMIRSIYEKDELIYDLQNRLEDAQNVMCDMEWKLRSLRGATLAITETQQQETVDREREFLCLSSQLSERTSCVSELEDKIKLGEDRIRKAELCAKVAFMTVSSLSEKVNNHVLELEHVQFLLNQTKEMLSQKDLLLQSLMVSHADAEQQIQDLKYQLEQSQMEADVVRPVLGFIQEPEQRQDFELQMKNEEEFVLSNIAKDLRKARIKLNEFKTRANPAHSNEYVEQAKDAAQVYCPGNFTVENDSNDAEDRGELRSIDFGLEQHIIKSVPDSEMRPLLKDSNVSLSGCLMESIHDREVTIVLLRKEIESALDSLEGVQAQMVKLLYEKEESKKTEMQNRERVEHLVAELLELKSQIDYKEQECEGRLLVLEKKLQIVETNAIAANDSWNKFKEVFESEVCDAKAVATQKTIEASHLLSRIEEAQETMKDADDMVNALLEANVTAKRDIERLQQIEATLKYEKSCLMDEVQVLQSSLDTKNHEYQCMETAFEKSLMESRSFVLVLEDTLRHLQASVAENFKCITCNIDWMKLQLHHYVELAQKWLEDICLEIIGKDCAVSVLHLCHMGILLERLTGLNAENCFLSRGLCESNSVIADLREHNVRAKKELEICSILKGKLLVDINNSFNRITNKEAETMEFRARLNTFEKKILNLQYQEESMLARSNSMGGELAMLTKELDLNNRSALAAVSLNEKVLRENEDIHRQLEEKSRLLNDVNATHDKLWNSVCEDLDLLVNNCHPSSQITSCNSIASAMKSVKEAELNNVICSQTESLAIKIFAKDFELLVLESELEQRTFECQRMTSQIDHLENHIFFSERVIEKAMEEMIIHKIDGELKGSEIKSLLLENEVLNVSLTQLKEENSRKSYDLEKAKAELTSSTSKVIVTEQENHSLKDTVSSLLACMTSLQADIDMKNEKLEYLECSYSDLLKELDLKSQAIIIQTERTNALKSEYNSLENEVVSLKRKEDDAFSLLNINLKHCFDSVQSMAILIEKMFHIPYARFVLMTETIYHKVCEQKELATEFVDKMHFLELAASELLSKNQSLNYELIRKDEASKGLLYDLSLLQESASIAMDQKDELDELTARVECLEDELERKSCELKEALEDKSLLEADLLKTNEKLSDLELVLADKNNSSNLVLVENQKLKEDMEDILEANVMVEEELHEKIIVSKRLEEELVEMGKLLGQRNNFIEDLQSEMTKLADEREDLALKVLVLKEQLEMAHALAEENEAIATEARQLAEGRKAYAEEKEEEVRLLERSVEELEFTVNTMESKVEIVKEEAERQRLQREEMEVELQVLRHQLLTVPSSAHIHNMLEDRDTEFSRQLKAKATELQEAQRSIKDLHEQVADKDMEISQCKAHISELNLHAEAQAREYKQKFKQLEAMAQEVRADTTSSNATSVTSTKSEKTAAKSRGSGSPFKCIGLGLGQQINSEKDEELSAARRQIEELEGLVTCKQKELFMLNARLAAAESMTHDVIRDLLGVKLDMANYASLLDNPQIQRMKEPEQCDYQEPQHKDKEVLNLKRQLNQFIQERQSWLDEINERQAELASLRNTLEKLRQRERMLTTENEMLKVDNSKHKKMIVELEHEIDKLSKQQNLQQRIHHHAKTKEENSLLRIQNDELDARLQQSEALLLRVKEELARYRAAGGKKPSVDFDEEEQLKRRLEDSEQERLHLGQKLLGLCTSILEVAGFTQQVSSPSLLTAQEALDQLKNRINSLEIQIEDLKLKCKIYCEKIRLGELKQECLPPDLRVSPMNMFQSPEPQNKCTLENED >DRNTG_00901.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21641451:21649703:1 gene:DRNTG_00901 transcript:DRNTG_00901.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:POK2 [Source:Projected from Arabidopsis thaliana (AT3G19050) UniProtKB/TrEMBL;Acc:A0A178VJB1] MILADVAHGRQRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSICCANETLSTLKFAQRARLIQNNAVVNEDASGDILSLQHQIRLLKEELAVYKRQTVSRSLSFGTITFDDSEGNGCVTPMEKMHPQKQASVDGLCDCESMPSVRVSTKQLRSLEATLTGALRREKMADTTIKQLEAEIEQLNRLVRQREEDTRSSKMMLKFREDKVHRMESLVGGLLPIDSYLVQENNALSEEIKLLQTKVDRNPEVTRFALENIRLLDQLRRFQEFYEEGERGLLLNEVSELRNQLLQILDGKCELDPCPKHSSGTQEAAHCEFSDSASDNHSLSMELEKTFHELDVCKNDLKCCLEINSKLTREINDLQTELSQLKSSIHDEKNNSDSDSVEMCLGSPEAIFQIKPKKEESILEDQMKHAEEVLNLQLELDILKEILKEEKSSRFEVEERVIHANNELKMANDRVVQICRYHDDTNNELENARSIIDALESQHIMAINDLDKLREENYQYVDRLNKQEQEISVLRKKVLLNIEGEEKSSLTLAEQCNRPSRKSESESSPLQTKLKRMQCSLEKARILNIKYQTDQASQTIHEREMDEICRQVEAETAEVIVCMQEELISLQQQVDDSIRDKLLAEQSLVALQNEMKKLNERLIDVTNDNQKLCEFVKEKDEDLRSMIEDRERLAFEIAEVLGDGNAALEEAADQACSISCILLNRSWAGEQFERMIRSIYEKDELIYDLQNRLEDAQNVMCDMEWKLRSLRGATLAITETQQQETVDREREFLCLSSQLSERTSCVSELEDKIKLGEDRIRKAELCAKVAFMTVSSLSEKVNNHVLELEHVQFLLNQTKEMLSQKDLLLQSLMVSHADAEQQIQDLKYQLEQSQMEADVVRPVLGFIQEPEQRQDFELQMKNEEEFVLSNIAKDLRKARIKLNEFKTRANPAHSNEYVEQAKDAAQVYCPGNFTVENDSNDAEDRGELRSIDFGLEQHIIKSVPDSEMRPLLKDSNVSLSGCLMESIHDREVTIVLLRKEIESALDSLEGVQAQMVKLLYEKEESKKTEMQNRERVEHLVAELLELKSQIDYKEQECEGRLLVLEKKLQIVETNAIAANDSWNKFKEVFESEVCDAKAVATQKTIEASHLLSRIEEAQETMKDADDMVNALLEANVTAKRDIERLQQIEATLKYEKSCLMDEVQVLQSSLDTKNHEYQCMETAFEKSLMESRSFVLVLEDTLRHLQASVAENFKCITCNIDWMKLQLHHYVELAQKWLEDICLEIIGKDCAVSVLHLCHMGILLERLTGLNAENCFLSRGLCESNSVIADLREHNVRAKKELEICSILKGKLLVDINNSFNRITNKEAETMEFRARLNTFEKKILNLQYQEESMLARSNSMGGELAMLTKELDLNNRSALAAVSLNEKVLRENEDIHRQLEEKSRLLNDVNATHDKLWNSVCEDLDLLVNNCHPSSQITSCNSIASAMKSVKEAELNNVICSQTESLAIKIFAKDFELLVLESELEQRTFECQRMTSQIDHLENHIFFSERVIEKAMEEMIIHKIDGELKGSEIKSLLLENEVLNVSLTQLKEENSRKSYDLEKAKAELTSSTSKVIVTEQENHSLKDTVSSLLACMTSLQADIDMKNEKLEYLECSYSDLLKELDLKSQAIIIQTERTNALKSEYNSLENEVVSLKRKEDDAFSLLNINLKHCFDSVQSMAILIEKMFHIPYARFVLMTETIYHKVCEQKELATEFVDKMHFLELAASELLSKNQSLNYELIRKDEASKGLLYDLSLLQESASIAMDQKDELDELTARVECLEDELERKSCELKEALEDKSLLEADLLKTNEKLSDLELVLADKNNSSNLVLVENQKLKEDMEDILEANVMVEEELHEKIIVSKRLEEELVEMGKLLGQRNNFIEDLQSEMTKLADEREDLALKVLVLKEQLEMAHALAEENEAIATEARQV >DRNTG_00901.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21651798:21652756:1 gene:DRNTG_00901 transcript:DRNTG_00901.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:POK2 [Source:Projected from Arabidopsis thaliana (AT3G19050) UniProtKB/TrEMBL;Acc:A0A178VJB1] MLTTENEMLKVDNSKHKKMIVELEHEIDKLSKQQNLQQRIHHHAKTKEENSLLRIQNDELDARLQQSEALLLRVKEELARYRAAGGKKPSVDFDEEEQLKRRLEDSEQERLHLGQKLLGLCTSILEVAGFTQQVSSPSLLTAQEALDQLKNRINSLEIQIEDLKLKVMNCYISFT >DRNTG_00901.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21642820:21653924:1 gene:DRNTG_00901 transcript:DRNTG_00901.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:POK2 [Source:Projected from Arabidopsis thaliana (AT3G19050) UniProtKB/TrEMBL;Acc:A0A178VJB1] MMLKFREDKVHRMESLVGGLLPIDSYLVQENNALSEEIKLLQTKVDRNPEVTRFALENIRLLDQLRRFQEFYEEGERGLLLNEVSELRNQLLQILDGKCELDPCPKHSSGTQEAAHCEFSDSASDNHSLSMELEKTFHELDVCKNDLKCCLEINSKLTREINDLQTELSQLKSSIHDEKNNSDSDSVEMCLGSPEAIFQIKPKKEESILEDQMKHAEEVLNLQLELDILKEILKEEKSSRFEVEERVIHANNELKMANDRVVQICRYHDDTNNELENARSIIDALESQHIMAINDLDKLREENYQYVDRLNKQEQEISVLRKKVLLNIEGEEKSSLTLAEQCNRPSRKSESESSPLQTKLKRMQCSLEKARILNIKYQTDQASQTIHEREMDEICRQVEAETAEVIVCMQEELISLQQQVDDSIRDKLLAEQSLVALQNEMKKLNERLIDVTNDNQKLCEFVKEKDEDLRSMIEDRERLAFEIAEVLGDGNAALEEAADQACSISCILLNRSWAGEQFERMIRSIYEKDELIYDLQNRLEDAQNVMCDMEWKLRSLRGATLAITETQQQETVDREREFLCLSSQLSERTSCVSELEDKIKLGEDRIRKAELCAKVAFMTVSSLSEKVNNHVLELEHVQFLLNQTKEMLSQKDLLLQSLMVSHADAEQQIQDLKYQLEQSQMEADVVRPVLGFIQEPEQRQDFELQMKNEEEFVLSNIAKDLRKARIKLNEFKTRANPAHSNEYVEQAKDAAQVYCPGNFTVENDSNDAEDRGELRSIDFGLEQHIIKSVPDSEMRPLLKDSNVSLSGCLMESIHDREVTIVLLRKEIESALDSLEGVQAQMVKLLYEKEESKKTEMQNRERVEHLVAELLELKSQIDYKEQECEGRLLVLEKKLQIVETNAIAANDSWNKFKEVFESEVCDAKAVATQKTIEASHLLSRIEEAQETMKDADDMVNALLEANVTAKRDIERLQQIEATLKYEKSCLMDEVQVLQSSLDTKNHEYQCMETAFEKSLMESRSFVLVLEDTLRHLQASVAENFKCITCNIDWMKLQLHHYVELAQKWLEDICLEIIGKDCAVSVLHLCHMGILLERLTGLNAENCFLSRGLCESNSVIADLREHNVRAKKELEICSILKGKLLVDINNSFNRITNKEAETMEFRARLNTFEKKILNLQYQEESMLARSNSMGGELAMLTKELDLNNRSALAAVSLNEKVLRENEDIHRQLEEKSRLLNDVNATHDKLWNSVCEDLDLLVNNCHPSSQITSCNSIASAMKSVKEAELNNVICSQTESLAIKIFAKDFELLVLESELEQRTFECQRMTSQIDHLENHIFFSERVIEKAMEEMIIHKIDGELKGSEIKSLLLENEVLNVSLTQLKEENSRKSYDLEKAKAELTSSTSKVIVTEQENHSLKDTVSSLLACMTSLQADIDMKNEKLEYLECSYSDLLKELDLKSQAIIIQTERTNALKSEYNSLENEVVSLKRKEDDAFSLLNINLKHCFDSVQSMAILIEKMFHIPYARFVLMTETIYHKVCEQKELATEFVDKMHFLELAASELLSKNQSLNYELIRKDEASKGLLYDLSLLQESASIAMDQKDELDELTARVECLEDELERKSCELKEALEDKSLLEADLLKTNEKLSDLELVLADKNNSSNLVLVENQKLKEDMEDILEANVMVEEELHEKIIVSKRLEEELVEMGKLLGQRNNFIEDLQSEMTKLADEREDLALKVLVLKEQLEMAHALAEENEAIATEARQLAEGRKAYAEEKEEEVRLLERSVEELEFTVNTMESKVEIVKEEAERQRLQREEMEVELQVLRHQLLTVPSSAHIHNMLEDRDTEFSRQLKAKATELQEAQRSIKDLHEQVADKDMEISQCKAHISELNLHAEAQAREYKQKFKQLEAMAQEVRADTTSSNATSVTSTKSEKTAAKSRGSGSPFKCIGLGLGQQINSEKDEELSAARRQIEELEGLVTCKQKELFMLNARLAAAESMTHDVIRDLLGVKLDMANYASLLDNPQIQRMKEPEQCDYQEPQHKDKEVLNLKRQLNQFIQERQSWLDEINERQAELASLRNTLEKLRQRERMLTTENEMLKVDNSKHKKMIVELEHEIDKLSKQQNLQQRIHHHAKTKEENSLLRIQNDELDARLQQSEALLLRVKEELARYRAAGGKKPSVDFDEEEQLKRRLEDSEQERLHLGQKLLGLCTSILEVAGFTQQVSSPSLLTAQEALDQLKNRINSLEIQIEDLKLKCKIYCEKIRLGELKQECLPPDLRVSPMNMFQSPEPQNKCTLENED >DRNTG_00901.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21650411:21652501:1 gene:DRNTG_00901 transcript:DRNTG_00901.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:POK2 [Source:Projected from Arabidopsis thaliana (AT3G19050) UniProtKB/TrEMBL;Acc:A0A178VJB1] MEISQCKAHISELNLHAEAQAREYKQKFKQLEAMAQEVRADTTSSNATSVTSTKSEKTAAKSRGSGSPFKCIGLGLGQQINSEKDEELSAARRQIEELEGLVTCKQKELFMLNARLAAAESMTHDVIRDLLGVKLDMANYASLLDNPQIQRMKEPEQCDYQEPQHKDKEVLNLKRQLNQFIQERQSWLDEINERQAELASLRNTLEKLRQRERMLTTENEMLKVDNSKHKKMIVELEHEIDKLSKQQNLQQRIHHHAKTKEENSLLRIQNDELDARLQQSEALLLRVKEELARYRAAGGKKPSVDFDEEEQLKRRLEDSEQERLHLGQKLLGLCTSILE >DRNTG_00901.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21643130:21653924:1 gene:DRNTG_00901 transcript:DRNTG_00901.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:POK2 [Source:Projected from Arabidopsis thaliana (AT3G19050) UniProtKB/TrEMBL;Acc:A0A178VJB1] MELEKTFHELDVCKNDLKCCLEINSKLTREINDLQTELSQLKSSIHDEKNNSDSDSVEMCLGSPEAIFQIKPKKEESILEDQMKHAEEVLNLQLELDILKEILKEEKSSRFEVEERVIHANNELKMANDRVVQICRYHDDTNNELENARSIIDALESQHIMAINDLDKLREENYQYVDRLNKQEQEISVLRKKVLLNIEGEEKSSLTLAEQCNRPSRKSESESSPLQTKLKRMQCSLEKARILNIKYQTDQASQTIHEREMDEICRQVEAETAEVIVCMQEELISLQQQVDDSIRDKLLAEQSLVALQNEMKKLNERLIDVTNDNQKLCEFVKEKDEDLRSMIEDRERLAFEIAEVLGDGNAALEEAADQACSISCILLNRSWAGEQFERMIRSIYEKDELIYDLQNRLEDAQNVMCDMEWKLRSLRGATLAITETQQQETVDREREFLCLSSQLSERTSCVSELEDKIKLGEDRIRKAELCAKVAFMTVSSLSEKVNNHVLELEHVQFLLNQTKEMLSQKDLLLQSLMVSHADAEQQIQDLKYQLEQSQMEADVVRPVLGFIQEPEQRQDFELQMKNEEEFVLSNIAKDLRKARIKLNEFKTRANPAHSNEYVEQAKDAAQVYCPGNFTVENDSNDAEDRGELRSIDFGLEQHIIKSVPDSEMRPLLKDSNVSLSGCLMESIHDREVTIVLLRKEIESALDSLEGVQAQMVKLLYEKEESKKTEMQNRERVEHLVAELLELKSQIDYKEQECEGRLLVLEKKLQIVETNAIAANDSWNKFKEVFESEVCDAKAVATQKTIEASHLLSRIEEAQETMKDADDMVNALLEANVTAKRDIERLQQIEATLKYEKSCLMDEVQVLQSSLDTKNHEYQCMETAFEKSLMESRSFVLVLEDTLRHLQASVAENFKCITCNIDWMKLQLHHYVELAQKWLEDICLEIIGKDCAVSVLHLCHMGILLERLTGLNAENCFLSRGLCESNSVIADLREHNVRAKKELEICSILKGKLLVDINNSFNRITNKEAETMEFRARLNTFEKKILNLQYQEESMLARSNSMGGELAMLTKELDLNNRSALAAVSLNEKVLRENEDIHRQLEEKSRLLNDVNATHDKLWNSVCEDLDLLVNNCHPSSQITSCNSIASAMKSVKEAELNNVICSQTESLAIKIFAKDFELLVLESELEQRTFECQRMTSQIDHLENHIFFSERVIEKAMEEMIIHKIDGELKGSEIKSLLLENEVLNVSLTQLKEENSRKSYDLEKAKAELTSSTSKVIVTEQENHSLKDTVSSLLACMTSLQADIDMKNEKLEYLECSYSDLLKELDLKSQAIIIQTERTNALKSEYNSLENEVVSLKRKEDDAFSLLNINLKHCFDSVQSMAILIEKMFHIPYARFVLMTETIYHKVCEQKELATEFVDKMHFLELAASELLSKNQSLNYELIRKDEASKGLLYDLSLLQESASIAMDQKDELDELTARVECLEDELERKSCELKEALEDKSLLEADLLKTNEKLSDLELVLADKNNSSNLVLVENQKLKEDMEDILEANVMVEEELHEKIIVSKRLEEELVEMGKLLGQRNNFIEDLQSEMTKLADEREDLALKVLVLKEQLEMAHALAEENEAIATEARQLAEGRKAYAEEKEEEVRLLERSVEELEFTVNTMESKVEIVKEEAERQRLQREEMEVELQVLRHQLLTVPSSAHIHNMLEDRDTEFSRQLKAKATELQEAQRSIKDLHEQVADKDMEISQCKAHISELNLHAEAQAREYKQKFKQLEAMAQEVRADTTSSNATSVTSTKSEKTAAKSRGSGSPFKCIGLGLGQQINSEKDEELSAARRQIEELEGLVTCKQKELFMLNARLAAAESMTHDVIRDLLGVKLDMANYASLLDNPQIQRMKEPEQCDYQEPQHKDKEVLNLKRQLNQFIQERQSWLDEINERQAELASLRNTLEKLRQRERMLTTENEMLKVDNSKHKKMIVELEHEIDKLSKQQNLQQRIHHHAKTKEENSLLRIQNDELDARLQQSEALLLRVKEELARYRAAGGKKPSVDFDEEEQLKRRLEDSEQERLHLGQKLLGLCTSILEVAGFTQQVSSPSLLTAQEALDQLKNRINSLEIQIEDLKLKCKIYCEKIRLGELKQECLPPDLRVSPMNMFQSPEPQNKCTLENED >DRNTG_00901.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21641451:21650069:1 gene:DRNTG_00901 transcript:DRNTG_00901.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:POK2 [Source:Projected from Arabidopsis thaliana (AT3G19050) UniProtKB/TrEMBL;Acc:A0A178VJB1] MILADVAHGRQRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSICCANETLSTLKFAQRARLIQNNAVVNEDASGDILSLQHQIRLLKEELAVYKRQTVSRSLSFGTITFDDSEGNGCVTPMEKMHPQKQASVDGLCDCESMPSVRVSTKQLRSLEATLTGALRREKMADTTIKQLEAEIEQLNRLVRQREEDTRSSKMMLKFREDKVHRMESLVGGLLPIDSYLVQENNALSEEIKLLQTKVDRNPEVTRFALENIRLLDQLRRFQEFYEEGERGLLLNEVSELRNQLLQILDGKCELDPCPKHSSGTQEAAHCEFSDSASDNHSLSMELEKTFHELDVCKNDLKCCLEINSKLTREINDLQTELSQLKSSIHDEKNNSDSDSVEMCLGSPEAIFQIKPKKEESILEDQMKHAEEVLNLQLELDILKEILKEEKSSRFEVEERVIHANNELKMANDRVVQICRYHDDTNNELENARSIIDALESQHIMAINDLDKLREENYQYVDRLNKQEQEISVLRKKVLLNIEGEEKSSLTLAEQCNRPSRKSESESSPLQTKLKRMQCSLEKARILNIKYQTDQASQTIHEREMDEICRQVEAETAEVIVCMQEELISLQQQVDDSIRDKLLAEQSLVALQNEMKKLNERLIDVTNDNQKLCEFVKEKDEDLRSMIEDRERLAFEIAEVLGDGNAALEEAADQACSISCILLNRSWAGEQFERMIRSIYEKDELIYDLQNRLEDAQNVMCDMEWKLRSLRGATLAITETQQQETVDREREFLCLSSQLSERTSCVSELEDKIKLGEDRIRKAELCAKVAFMTVSSLSEKVNNHVLELEHVQFLLNQTKEMLSQKDLLLQSLMVSHADAEQQIQDLKYQLEQSQMEADVVRPVLGFIQEPEQRQDFELQMKNEEEFVLSNIAKDLRKARIKLNEFKTRANPAHSNEYVEQAKDAAQVYCPGNFTVENDSNDAEDRGELRSIDFGLEQHIIKSVPDSEMRPLLKDSNVSLSGCLMESIHDREVTIVLLRKEIESALDSLEGVQAQMVKLLYEKEESKKTEMQNRERVEHLVAELLELKSQIDYKEQECEGRLLVLEKKLQIVETNAIAANDSWNKFKEVFESEVCDAKAVATQKTIEASHLLSRIEEAQETMKDADDMVNALLEANVTAKRDIERLQQIEATLKYEKSCLMDEVQVLQSSLDTKNHEYQCMETAFEKSLMESRSFVLVLEDTLRHLQASVAENFKCITCNIDWMKLQLHHYVELAQKWLEDICLEIIGKDCAVSVLHLCHMGILLERLTGLNAENCFLSRGLCESNSVIADLREHNVRAKKELEICSILKGKLLVDINNSFNRITNKEAETMEFRARLNTFEKKILNLQYQEESMLARSNSMGGELAMLTKELDLNNRSALAAVSLNEKVLRENEDIHRQLEEKSRLLNDVNATHDKLWNSVCEDLDLLVNNCHPSSQITSCNSIASAMKSVKEAELNNVICSQTESLAIKIFAKDFELLVLESELEQRTFECQRMTSQIDHLENHIFFSERVIEKAMEEMIIHKIDGELKGSEIKSLLLENEVLNVSLTQLKEENSRKSYDLEKAKAELTSSTSKVIVTEQENHSLKDTVSSLLACMTSLQADIDMKNEKLEYLECSYSDLLKELDLKSQAIIIQTERTNALKSEYNSLENEVVSLKRKEDDAFSLLNINLKHCFDSVQSMAILIEKMFHIPYARFVLMTETIYHKVCEQKELATEFVDKMHFLELAASELLSKNQSLNYELIRKDEASKGLLYDLSLLQESASIAMDQKDELDELTARVECLEDELERKSCELKEALEDKSLLEADLLKTNEKLSDLELVLADKNNSSNLVLVENQKLKEDMEDILEANVMVEEELHEKIIVSKRLEEELVEMGKLLGQRNNFIEDLQSEMTKLADEREDLALKVLVLKEQLEMAHALAEENEAIATEARQLAEGRKAYAEEKEEEVRLLERSVEELEFTVNTMESK >DRNTG_00901.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21640212:21653924:1 gene:DRNTG_00901 transcript:DRNTG_00901.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:POK2 [Source:Projected from Arabidopsis thaliana (AT3G19050) UniProtKB/TrEMBL;Acc:A0A178VJB1] MLGEIDDLEIRPSSDRGMTPRIFEFLFARIKAEEESRRDEKLKYNCKCSFLEIYNEQITDLLDPLSTNLLLREDLRKGVYVENLTEFEVESVSDILKLLIQGAANRKVAATNMNRESSRSHSVFTCVIESRWEKDSTTNLRFARLNLVDLAGSERQKASGAEGERLKEAANINKSLSTLGHVIMILADVAHGRQRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSICCANETLSTLKFAQRARLIQNNAVVNEDASGDILSLQHQIRLLKEELAVYKRQTVSRSLSFGTITFDDSEGNGCVTPMEKMHPQKQASVDGLCDCESMPSVRVSTKQLRSLEATLTGALRREKMADTTIKQLEAEIEQLNRLVRQREEDTRSSKMMLKFREDKVHRMESLVGGLLPIDSYLVQENNALSEEIKLLQTKVDRNPEVTRFALENIRLLDQLRRFQEFYEEGERGLLLNEVSELRNQLLQILDGKCELDPCPKHSSGTQEAAHCEFSDSASDNHSLSMELEKTFHELDVCKNDLKCCLEINSKLTREINDLQTELSQLKSSIHDEKNNSDSDSVEMCLGSPEAIFQIKPKKEESILEDQMKHAEEVLNLQLELDILKEILKEEKSSRFEVEERVIHANNELKMANDRVVQICRYHDDTNNELENARSIIDALESQHIMAINDLDKLREENYQYVDRLNKQEQEISVLRKKVLLNIEGEEKSSLTLAEQCNRPSRKSESESSPLQTKLKRMQCSLEKARILNIKYQTDQASQTIHEREMDEICRQVEAETAEVIVCMQEELISLQQQVDDSIRDKLLAEQSLVALQNEMKKLNERLIDVTNDNQKLCEFVKEKDEDLRSMIEDRERLAFEIAEVLGDGNAALEEAADQACSISCILLNRSWAGEQFERMIRSIYEKDELIYDLQNRLEDAQNVMCDMEWKLRSLRGATLAITETQQQETVDREREFLCLSSQLSERTSCVSELEDKIKLGEDRIRKAELCAKVAFMTVSSLSEKVNNHVLELEHVQFLLNQTKEMLSQKDLLLQSLMVSHADAEQQIQDLKYQLEQSQMEADVVRPVLGFIQEPEQRQDFELQMKNEEEFVLSNIAKDLRKARIKLNEFKTRANPAHSNEYVEQAKDAAQVYCPGNFTVENDSNDAEDRGELRSIDFGLEQHIIKSVPDSEMRPLLKDSNVSLSGCLMESIHDREVTIVLLRKEIESALDSLEGVQAQMVKLLYEKEESKKTEMQNRERVEHLVAELLELKSQIDYKEQECEGRLLVLEKKLQIVETNAIAANDSWNKFKEVFESEVCDAKAVATQKTIEASHLLSRIEEAQETMKDADDMVNALLEANVTAKRDIERLQQIEATLKYEKSCLMDEVQVLQSSLDTKNHEYQCMETAFEKSLMESRSFVLVLEDTLRHLQASVAENFKCITCNIDWMKLQLHHYVELAQKWLEDICLEIIGKDCAVSVLHLCHMGILLERLTGLNAENCFLSRGLCESNSVIADLREHNVRAKKELEICSILKGKLLVDINNSFNRITNKEAETMEFRARLNTFEKKILNLQYQEESMLARSNSMGGELAMLTKELDLNNRSALAAVSLNEKVLRENEDIHRQLEEKSRLLNDVNATHDKLWNSVCEDLDLLVNNCHPSSQITSCNSIASAMKSVKEAELNNVICSQTESLAIKIFAKDFELLVLESELEQRTFECQRMTSQIDHLENHIFFSERVIEKAMEEMIIHKIDGELKGSEIKSLLLENEVLNVSLTQLKEENSRKSYDLEKAKAELTSSTSKVIVTEQENHSLKDTVSSLLACMTSLQADIDMKNEKLEYLECSYSDLLKELDLKSQAIIIQTERTNALKSEYNSLENEVVSLKRKEDDAFSLLNINLKHCFDSVQSMAILIEKMFHIPYARFVLMTETIYHKVCEQKELATEFVDKMHFLELAASELLSKNQSLNYELIRKDEASKGLLYDLSLLQESASIAMDQKDELDELTARVECLEDELERKSCELKEALEDKSLLEADLLKTNEKLSDLELVLADKNNSSNLVLVENQKLKEDMEDILEANVMVEEELHEKIIVSKRLEEELVEMGKLLGQRNNFIEDLQSEMTKLADEREDLALKVLVLKEQLEMAHALAEENEAIATEARQLAEGRKAYAEEKEEEVRLLERSVEELEFTVNTMESKVEIVKEEAERQRLQREEMEVELQVLRHQLLTVPSSAHIHNMLEDRDTEFSRQLKAKATELQEAQRSIKDLHEQVADKDMEISQCKAHISELNLHAEAQAREYKQKFKQLEAMAQEVRADTTSSNATSVTSTKSEKTAAKSRGSGSPFKCIGLGLGQQINSEKDEELSAARRQIEELEGLVTCKQKELFMLNARLAAAESMTHDVIRDLLGVKLDMANYASLLDNPQIQRMKEPEQCDYQEPQHKDKEVLNLKRQLNQFIQERQSWLDEINERQAELASLRNTLEKLRQRERMLTTENEMLKVDNSKHKKMIVELEHEIDKLSKQQNLQQRIHHHAKTKEENSLLRIQNDELDARLQQSEALLLRVKEELARYRAAGGKKPSVDFDEEEQLKRRLEDSEQERLHLGQKLLGLCTSILEVAGFTQQVSSPSLLTAQEALDQLKNRINSLEIQIEDLKLKCKIYCEKIRLGELKQECLPPDLRVSPMNMFQSPEPQNKCTLENED >DRNTG_00901.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21647164:21653924:1 gene:DRNTG_00901 transcript:DRNTG_00901.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:POK2 [Source:Projected from Arabidopsis thaliana (AT3G19050) UniProtKB/TrEMBL;Acc:A0A178VJB1] MKDADDMVNALLEANVTAKRDIERLQQIEATLKYEKSCLMDEVQVLQSSLDTKNHEYQCMETAFEKSLMESRSFVLVLEDTLRHLQASVAENFKCITCNIDWMKLQLHHYVELAQKWLEDICLEIIGKDCAVSVLHLCHMGILLERLTGLNAENCFLSRGLCESNSVIADLREHNVRAKKELEICSILKGKLLVDINNSFNRITNKEAETMEFRARLNTFEKKILNLQYQEESMLARSNSMGGELAMLTKELDLNNRSALAAVSLNEKVLRENEDIHRQLEEKSRLLNDVNATHDKLWNSVCEDLDLLVNNCHPSSQITSCNSIASAMKSVKEAELNNVICSQTESLAIKIFAKDFELLVLESELEQRTFECQRMTSQIDHLENHIFFSERVIEKAMEEMIIHKIDGELKGSEIKSLLLENEVLNVSLTQLKEENSRKSYDLEKAKAELTSSTSKVIVTEQENHSLKDTVSSLLACMTSLQADIDMKNEKLEYLECSYSDLLKELDLKSQAIIIQTERTNALKSEYNSLENEVVSLKRKEDDAFSLLNINLKHCFDSVQSMAILIEKMFHIPYARFVLMTETIYHKVCEQKELATEFVDKMHFLELAASELLSKNQSLNYELIRKDEASKGLLYDLSLLQESASIAMDQKDELDELTARVECLEDELERKSCELKEALEDKSLLEADLLKTNEKLSDLELVLADKNNSSNLVLVENQKLKEDMEDILEANVMVEEELHEKIIVSKRLEEELVEMGKLLGQRNNFIEDLQSEMTKLADEREDLALKVLVLKEQLEMAHALAEENEAIATEARQLAEGRKAYAEEKEEEVRLLERSVEELEFTVNTMESKVEIVKEEAERQRLQREEMEVELQVLRHQLLTVPSSAHIHNMLEDRDTEFSRQLKAKATELQEAQRSIKDLHEQVADKDMEISQCKAHISELNLHAEAQAREYKQKFKQLEAMAQEVRADTTSSNATSVTSTKSEKTAAKSRGSGSPFKCIGLGLGQQINSEKDEELSAARRQIEELEGLVTCKQKELFMLNARLAAAESMTHDVIRDLLGVKLDMANYASLLDNPQIQRMKEPEQCDYQEPQHKDKEVLNLKRQLNQFIQERQSWLDEINERQAELASLRNTLEKLRQRERMLTTENEMLKVDNSKHKKMIVELEHEIDKLSKQQNLQQRIHHHAKTKEENSLLRIQNDELDARLQQSEALLLRVKEELARYRAAGGKKPSVDFDEEEQLKRRLEDSEQERLHLGQKLLGLCTSILEVAGFTQQVSSPSLLTAQEALDQLKNRINSLEIQIEDLKLKCKIYCEKIRLGELKQECLPPDLRVSPMNMFQSPEPQNKCTLENED >DRNTG_00901.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21650950:21653924:1 gene:DRNTG_00901 transcript:DRNTG_00901.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:POK2 [Source:Projected from Arabidopsis thaliana (AT3G19050) UniProtKB/TrEMBL;Acc:A0A178VJB1] MAQEVRADTTSSNATSVTSTKSEKTAAKSRGSGSPFKCIGLGLGQQINSEKDEELSAARRQIEELEGLVTCKQKELFMLNARLAAAESMTHDVIRDLLGVKLDMANYASLLDNPQIQRMKEPEQCDYQEPQHKDKEVLNLKRQLNQFIQERQSWLDEINERQAELASLRNTLEKLRQRERMLTTENEMLKVDNSKHKKMIVELEHEIDKLSKQQNLQQRIHHHAKTKEENSLLRIQNDELDARLQQSEALLLRVKEELARYRAAGGKKPSVDFDEEEQLKRRLEDSEQERLHLGQKLLGLCTSILEVAGFTQQVSSPSLLTAQEALDQLKNRINSLEIQIEDLKLKCKIYCEKIRLGELKQECLPPDLRVSPMNMFQSPEPQNKCTLENED >DRNTG_00901.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21637912:21653924:1 gene:DRNTG_00901 transcript:DRNTG_00901.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:POK2 [Source:Projected from Arabidopsis thaliana (AT3G19050) UniProtKB/TrEMBL;Acc:A0A178VJB1] MLGEIDDLEIRPSSDRGMTPRIFEFLFARIKAEEESRRDEKLKYNCKCSFLEIYNEQITDLLDPLSTNLLLREDLRKGVYVENLTEFEVESVSDILKLLIQGAANRKVAATNMNRESSRSHSVFTCVIESRWEKDSTTNLRFARLNLVDLAGSERQKASGAEGERLKEAANINKSLSTLGHVIMILADVAHGRQRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSICCANETLSTLKFAQRARLIQNNAVVNEDASGDILSLQHQIRLLKEELAVYKRQTVSRSLSFGTITFDDSEGNGCVTPMEKMHPQKQASVDGLCDCESMPSVRVSTKQLRSLEATLTGALRREKMADTTIKQLEAEIEQLNRLVRQREEDTRSSKMMLKFREDKVHRMESLVGGLLPIDSYLVQENNALSEEIKLLQTKVDRNPEVTRFALENIRLLDQLRRFQEFYEEGERGLLLNEVSELRNQLLQILDGKCELDPCPKHSSGTQEAAHCEFSDSASDNHSLSMELEKTFHELDVCKNDLKCCLEINSKLTREINDLQTELSQLKSSIHDEKNNSDSDSVEMCLGSPEAIFQIKPKKEESILEDQMKHAEEVLNLQLELDILKEILKEEKSSRFEVEERVIHANNELKMANDRVVQICRYHDDTNNELENARSIIDALESQHIMAINDLDKLREENYQYVDRLNKQEQEISVLRKKVLLNIEGEEKSSLTLAEQCNRPSRKSESESSPLQTKLKRMQCSLEKARILNIKYQTDQASQTIHEREMDEICRQVEAETAEVIVCMQEELISLQQQVDDSIRDKLLAEQSLVALQNEMKKLNERLIDVTNDNQKLCEFVKEKDEDLRSMIEDRERLAFEIAEVLGDGNAALEEAADQACSISCILLNRSWAGEQFERMIRSIYEKDELIYDLQNRLEDAQNVMCDMEWKLRSLRGATLAITETQQQETVDREREFLCLSSQLSERTSCVSELEDKIKLGEDRIRKAELCAKVAFMTVSSLSEKVNNHVLELEHVQFLLNQTKEMLSQKDLLLQSLMVSHADAEQQIQDLKYQLEQSQMEADVVRPVLGFIQEPEQRQDFELQMKNEEEFVLSNIAKDLRKARIKLNEFKTRANPAHSNEYVEQAKDAAQVYCPGNFTVENDSNDAEDRGELRSIDFGLEQHIIKSVPDSEMRPLLKDSNVSLSGCLMESIHDREVTIVLLRKEIESALDSLEGVQAQMVKLLYEKEESKKTEMQNRERVEHLVAELLELKSQIDYKEQECEGRLLVLEKKLQIVETNAIAANDSWNKFKEVFESEVCDAKAVATQKTIEASHLLSRIEEAQETMKDADDMVNALLEANVTAKRDIERLQQIEATLKYEKSCLMDEVQVLQSSLDTKNHEYQCMETAFEKSLMESRSFVLVLEDTLRHLQASVAENFKCITCNIDWMKLQLHHYVELAQKWLEDICLEIIGKDCAVSVLHLCHMGILLERLTGLNAENCFLSRGLCESNSVIADLREHNVRAKKELEICSILKGKLLVDINNSFNRITNKEAETMEFRARLNTFEKKILNLQYQEESMLARSNSMGGELAMLTKELDLNNRSALAAVSLNEKVLRENEDIHRQLEEKSRLLNDVNATHDKLWNSVCEDLDLLVNNCHPSSQITSCNSIASAMKSVKEAELNNVICSQTESLAIKIFAKDFELLVLESELEQRTFECQRMTSQIDHLENHIFFSERVIEKAMEEMIIHKIDGELKGSEIKSLLLENEVLNVSLTQLKEENSRKSYDLEKAKAELTSSTSKVIVTEQENHSLKDTVSSLLACMTSLQADIDMKNEKLEYLECSYSDLLKELDLKSQAIIIQTERTNALKSEYNSLENEVVSLKRKEDDAFSLLNINLKHCFDSVQSMAILIEKMFHIPYARFVLMTETIYHKVCEQKELATEFVDKMHFLELAASELLSKNQSLNYELIRKDEASKGLLYDLSLLQESASIAMDQKDELDELTARVECLEDELERKSCELKEALEDKSLLEADLLKTNEKLSDLELVLADKNNSSNLVLVENQKLKEDMEDILEANVMVEEELHEKIIVSKRLEEELVEMGKLLGQRNNFIEDLQSEMTKLADEREDLALKVLVLKEQLEMAHALAEENEAIATEARQLAEGRKAYAEEKEEEVRLLERSVEELEFTVNTMESKVEIVKEEAERQRLQREEMEVELQVLRHQLLTVPSSAHIHNMLEDRDTEFSRQLKAKATELQEAQRSIKDLHEQVADKDMEISQCKAHISELNLHAEAQAREYKQKFKQLEAMAQEVRADTTSSNATSVTSTKSEKTAAKSRGSGSPFKCIGLGLGQQINSEKDEELSAARRQIEELEGLVTCKQKELFMLNARLAAAESMTHDVIRDLLGVKLDMANYASLLDNPQIQRMKEPEQCDYQEPQHKDKEVLNLKRQLNQFIQERQSWLDEINERQAELASLRNTLEKLRQRERMLTTENEMLKVDNSKHKKMIVELEHEIDKLSKQQNLQQRIHHHAKTKEENSLLRIQNDELDARLQQSEALLLRVKEELARYRAAGGKKPSVDFDEEEQLKRRLEDSEQERLHLGQKLLGLCTSILEVAGFTQQVSSPSLLTAQEALDQLKNRINSLEIQIEDLKLKCKIYCEKIRLGELKQECLPPDLRVSPMNMFQSPEPQNKCTLENED >DRNTG_31637.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:845470:845919:1 gene:DRNTG_31637 transcript:DRNTG_31637.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLNSTEPHYIRCVKPNNLLKPAVFENVNIMHQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFN >DRNTG_34198.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:906601:909108:1 gene:DRNTG_34198 transcript:DRNTG_34198.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi apparatus membrane protein-like protein ECHIDNA [Source:Projected from Arabidopsis thaliana (AT1G09330) UniProtKB/Swiss-Prot;Acc:Q8LEK2] MERIQPPVENYANPKTCFFHVLFKAGALAFYILSALFFNSFVIIFVVTVLLAAFDFWVVKNVSGRILVGLRWWNEINEQGESVWKFECLDQESLARMNKKDSWLFWWTLYLTAVAWIFLGIFSLIRFQADYLLVVGVCLSLSVANIIGFTKCRKDAKKQIQQFATQTIASRFSSTVQAAFSVV >DRNTG_29351.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1611713:1612084:-1 gene:DRNTG_29351 transcript:DRNTG_29351.1 gene_biotype:protein_coding transcript_biotype:protein_coding TAISEQYISNRKLKERHYEIETTKAKRILIGFDLDDYPRVDPVPSSKATIKNGPIEHGTPVMPYISTTHSSKPSYSPARV >DRNTG_01892.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21021352:21023331:-1 gene:DRNTG_01892 transcript:DRNTG_01892.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHVATEVADFDASVKSSSAEMVKISELKATVDVCSRSPILCPSSTCSIPSCDDSSVYDLSVSASCSLSPNRSASPHSPLNKDLTAEKAKLASGVNVCGVSDSNIWDTKSSTNSAGTSNDKHLINYSWQHPQLNGSHQGSLMSRQSQIATQGVQFSNNPVIPFPHIQTKMTSTEAGRGLHTSDINLQSHGIGAHGATYHPNLHPSGLFTPPCNISAYALPASVMPPFVTGYTPHSALHFSLDNPVSPYISPRNCGVSSGGNITFGVDLQHFYNLHGQLRVTMPPFEDPVCMPYFQRPVGSYSYANHYGSMVSRGSAIGSMADFYGPQKGLIPAVYSPDPRLNLSATGAGNPNPSRDPFASPTYYGRPPNIGVAMQYPTSPVACPVFQQSPVPGGCFSGRKSENMRLPFSGKKSATSCWQGQRVHEKVGNMKSHSFLQEMKSSKGKRYDSETDCL >DRNTG_01892.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21017403:21020384:-1 gene:DRNTG_01892 transcript:DRNTG_01892.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSCIMDEILQSACFLAQDQYGNYVTQHVLERGKPHERSQIICKLAGKIVQMSQNKFASNVIEKCLEHGDTTEKEVLIEEILGQTEGNDNLLIMMKDRFANYVVQKILVTCSDKQREILLNRIKLHVQALKKYTYGKHIVARVEQWLGEEGQDLNS >DRNTG_01892.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21017403:21019464:-1 gene:DRNTG_01892 transcript:DRNTG_01892.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNKFASNVIEKCLEHGDTTEKEVLIEEILGQTEGNDNLLIMMKDRFANYVVQKILVTCSDKQREILLNRIKLHVQALKKYTYGKHIVARVEQWLGEEGQDLNS >DRNTG_01892.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21017403:21025425:-1 gene:DRNTG_01892 transcript:DRNTG_01892.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKNPIRLPGNSGGRNWAVNKRSSSFTSSKSDAAAQELGIPLKGDGFQGKEKNSLPNRSGSAPPSMCGSLASLRSLMGEPDFALDRNLGNLSNIIEGCESEAKLLTDLASYMYHCSNASLNPGFASSSISQESQLAVDLMGGLQDNWRLPLFYDSDKESLPTSNSMRAARKEEPDDNCSPKQESDDRSRRNSEFASAGFMTPSKVHQYRESLVDLIQEDFSHAQNFVYYNQSQMTNHVATEVADFDASVKSSSAEMVKISELKATVDVCSRSPILCPSSTCSIPSCDDSSVYDLSVSASCSLSPNRSASPHSPLNKDLTAEKAKLASGVNVCGVSDSNIWDTKSSTNSAGTSNDKHLINYSWQHPQLNGSHQGSLMSRQSQIATQGVQFSNNPVIPFPHIQTKMTSTEAGRGLHTSDINLQSHGIGAHGATYHPNLHPSGLFTPPCNISAYALPASVMPPFVTGYTPHSALHFSLDNPVSPYISPRNCGVSSGGNITFGVDLQHFYNLHGQLRVTMPPFEDPVCMPYFQRPVGSYSYANHYGSMVSRGSAIGSMADFYGPQKGLIPAVYSPDPRLNLSATGAGNPNPSRDPFASPTYYGRPPNIGVAMQYPTSPVACPVFQQSPVPGGCFSGRKSENMRLPFSGKKSATSCWQGQRVHEKVGNMKSHSFLQEMKSSKGHKFELSEIVGHIVEFSGDQHGSRFIQQKLETCSVEEKDSVLEEVLPHTYTLIIDAFGNYVMQKFFEHGSPEQRKRLADQLVGHVLPLTLQMCGCRVIQKALEVIELDQKARLVLELDGNVLQCVRDQNGNHVIQKCIECMPTERISFIISAFCGQVATLSTHPYGCRVIQRLLEHCTDDMQTSCIMDEILQSACFLAQDQYGNYVTQHVLERGKPHERSQIICKLAGKIVQMSQNKFASNVIEKCLEHGDTTEKEVLIEEILGQTEGNDNLLIMMKDRFANYVVQKILVTCSDKQREILLNRIKLHVQALKKYTYGKHIVARVEQWLGEEGQDLNS >DRNTG_16014.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17577718:17580525:-1 gene:DRNTG_16014 transcript:DRNTG_16014.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRENFKFDCVTLCSILAACVNVGKIELGRVGHGFCIRNNLDSDLSVMSAVIDLYSSCGKMELAQRVFDVATSRDLVVWNTLIFAYAQYGLSGEALKHFYQMQLHGLHPNVVSWNSIILGLLQNRQVKEALDMFSQMQFTGVNPTLITWTTLIFGLALNGCGYEAIWLFGQMLSMNIRPSAMVIAGVILACAHMVSIWYGRVIHGYIMKKGFLSSVSVAVSLIDMYAKCGSIDLAKKVFDMVSNKEMPVYNAMLSGYALHRQAREALELYQYMQHFRTKPDEITFTALLSACSHAGLADEAVKVFTEMISIYHIKPQREHYDCMVSLLVNRGSFEEACRIISALPFKPDDHVVRSLLTLCKEHYMIKLDEKDVPRHKFMVEPENSVNYVSFAKIHAAHGRWHGASRIATLMRMNGLRKDCGSS >DRNTG_07880.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18854313:18855124:1 gene:DRNTG_07880 transcript:DRNTG_07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILNQIMLAASTSKTNKEKKKKERRRENE >DRNTG_13304.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3002432:3004818:1 gene:DRNTG_13304 transcript:DRNTG_13304.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNRFFIHGPRRVCRLFWCYQCHCMVRIISSSSIDAFCPRCYGQFIHEVPMPRPQYLVDFSGFHPPPTWPRGPVISRRPIAPLEEPSLPPAPLPAVNPADVYTGPGLNELIEELTQNDRPGLPPATTSAIESMPTVYISEAHLLDGSQCPVCKEEFVLGESAREMPCKHVYHSDCIVPWLRIHNSCPVCRYQLPGGTEAPASNNRRIRESDRDGGQGRRQWAAWRNPISLLWPARGGLSDNGWDYPYVHRPHHPPPPPLESDGLQGTPSAFYAWWRSLFLL >DRNTG_20743.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20999257:21002471:-1 gene:DRNTG_20743 transcript:DRNTG_20743.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTQGSTSTTTDLIDAKLEEHQLCSSLQCPSCGHKLDRKPNWVGLPAGVKFDPTDQELIEHLESKVVSEESKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDLHKGETRWHKTGKTRPVMSNGKQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGEMEEEKEGELVVSKIFYQTQPRQCSLSDRSATTIEVRRDSTGSGSCSSSKEVVGQREEIMSSSFTAMDNFSFAPFRKSFDEVGLGEAPSQACDEGDEHHEAQQRHVAFQVSRPTNPISNVISPAPLHQSSIVNLDDPYHVSRLLLQTDKFQQQQHQQQRLTERSGSGLEELIMSCTSADIKAETSIPHSQETDWLKYSSYWLPDSQDHHHHHHHHHHHG >DRNTG_20743.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20999257:21002471:-1 gene:DRNTG_20743 transcript:DRNTG_20743.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTQGSTSTTTDLIDAKLEEHQLCSSLQCPSCGHKLDRKPNWVGLPAGVKFDPTDQELIEHLESKVVSEESKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDLHKGETRWHKTGKTRPVMSNGKQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGEMEEEKEGELVVSKIFYQTQPRQCSLSDRSATTIEVRRDSTGSGSCSSSKEVVGQREEIMSSSFTAMDNFSFAPFRKSFDEVGLGEAPSQACDEGDEHHEAQQRHVAFQVSRPTNPISNVISPAPLHQSSIVNLDDPYHVSRLLLQTDKFQQQQQHQQQRLTERSGSGLEELIMSCTSADIKAETSIPHSQETDWLKYSSYWLPDSQDHHHHHHHHHHHG >DRNTG_20743.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20999257:21002471:-1 gene:DRNTG_20743 transcript:DRNTG_20743.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTQGSTSTTTDLIDAKLEEHQLCSSLQCPSCGHKLDRKPNWVGLPAGVKFDPTDQELIEHLESKVVSEESKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDLHKGETRWHKTGKTRPVMSNGKQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGEMEEEKEGELVVSKIFYQTQPRQCSLSDRSATTIEVRRDSTGSGSCSSSKEVVGQREEIMSSSFTAMDNFSFAPFRKSFDEVGLGEAPSQACDEGDEHHEAQQRHVAFQVSRPTNPISNVISPAPLHQSSIVNLDDPYHVSRLLLQTDKFQQQQQHQQQRLTERSGSGLEELIMSCTSADIKAETSIPHSQETDWLKYSSYWLPDSQDHHHHHHHHHHHG >DRNTG_20743.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20999138:21001610:-1 gene:DRNTG_20743 transcript:DRNTG_20743.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGKQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGEMEEEKEGELVVSKIFYQTQPRQCSLSDRSATTIEVRRDSTGSGSCSSSKEVVGQREEIMSSSFTAMDNFSFAPFRKSFDEVGLGEAPSQACDEGDEHHEAQQRHVAFQVSRPTNPISNVISPAPLHQSSIVNLDDPYHVSRLLLQTDKFQQQQQHQQQRLTERSGSGLEELIMSCTSADIKAETSIPHSQETDWLKYSSYWLPDSQDHHHHHHHHHHHG >DRNTG_20743.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20999881:21001610:-1 gene:DRNTG_20743 transcript:DRNTG_20743.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGKQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGEMEEEKEGELVVSKIFYQTQPRQCSLSDRSATTIEVRRDSTGSGSCSSSKEVVGQREEIMSSSFTAMDNFSFAPFRKSFDEVGLGEAPSQACDEGDEHHEAQQRHVAFQVSRPTNPISNVISPAPLHQSSIVNLDDPYHVSRLLLQTDKFQQQQHQQQRLTERSGSGLEELIMSCTSADIKA >DRNTG_20743.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20999257:21002924:-1 gene:DRNTG_20743 transcript:DRNTG_20743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTQGSTSTTTDLIDAKLEEHQLCSSLQCPSCGHKLDRKPNWVGLPAGVKFDPTDQELIEHLESKVVSEESKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDLHKGETRWHKTGKTRPVMSNGKQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGEMEEEKEGELVVSKIFYQTQPRQCSLSDRSATTIEVRRDSTGSGSCSSSKEVVGQREEIMSSSFTAMDNFSFAPFRKSFDEVGLGEAPSQACDEGDEHHEAQQRHVAFQVSRPTNPISNVISPAPLHQSSIVNLDDPYHVSRLLLQTDKFQQQQHQQQRLTERSGSGLEELIMSCTSADIKAETSIPHSQETDWLKYSSYWLPDSQDHHHHHHHHHHHG >DRNTG_20743.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21000973:21003080:-1 gene:DRNTG_20743 transcript:DRNTG_20743.9 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTQGSTSTTTDLIDAKLEEHQLCSSLQCPSCGHKLDRKPNWVGLPAGVKFDPTDQELIEHLESKVVSEESKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDLHKGETRWHKTGKTRPVMSNGKQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGEMEEEKEGELVVSKIFYQTQPRQCSLSDRSATTIEVRRDSTGSGSCSSSKEVVGQREEIMSSSFTAMDNFSFAPFRKSFDEVIIIYSFSFWFIL >DRNTG_20743.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20999257:21000291:-1 gene:DRNTG_20743 transcript:DRNTG_20743.11 gene_biotype:protein_coding transcript_biotype:protein_coding MFVADSVEGKAKNSAFSPSPPFSVF >DRNTG_20743.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20999138:21001610:-1 gene:DRNTG_20743 transcript:DRNTG_20743.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGKQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGEMEEEKEGELVVSKIFYQTQPRQCSLSDRSATTIEVRRDSTGSGSCSSSKEVVGQREEIMSSSFTAMDNFSFAPFRKSFDEVGLGEAPSQACDEGDEHHEAQQRHVAFQVSRPTNPISNVISPAPLHQSSIVNLDDPYHVSRLLLQTDKFQQQQHQQQRLTERSGSGLEELIMSCTSADIKAETSIPHSQETDWLKYSSYWLPDSQDHHHHHHHHHHHG >DRNTG_20743.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20999257:21002471:-1 gene:DRNTG_20743 transcript:DRNTG_20743.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTQGSTSTTTDLIDAKLEEHQLCSSLQCPSCGHKLDRKPNWVGLPAGVKFDPTDQELIEHLESKVVSEESKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDLHKGETRWHKTGKTRPVMSNGKQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGEMEEEKEGELVVSKIFYQTQPRQCSLSDRSATTIEVRRDSTGSGSCSSSKEVVGQREEIMSSSFTAMDNFSFAPFRKSFDEVGLGEAPSQACDEGDEHHEAQQRHVAFQVSRPTNPISNVISPAPLHQSSIVNLDDPYHVSRLLLQTDKFQQQQHQQQRLTERSGSGLEELIMSCTSADIKAETSIPHSQETDWLKYSSYWLPDSQDHHHHHHHHHHHG >DRNTG_20743.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20999257:21002924:-1 gene:DRNTG_20743 transcript:DRNTG_20743.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTQGSTSTTTDLIDAKLEEHQLCSSLQCPSCGHKLDRKPNWVGLPAGVKFDPTDQELIEHLESKVVSEESKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDLHKGETRWHKTGKTRPVMSNGKQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGEMEEEKEGELVVSKIFYQTQPRQCSLSDRSATTIEVRRDSTGSGSCSSSKEVVGQREEIMSSSFTAMDNFSFAPFRKSFDEVGLGEAPSQACDEGDEHHEAQQRHVAFQVSRPTNPISNVISPAPLHQSSIVNLDDPYHVSRLLLQTDKFQQQQQHQQQRLTERSGSGLEELIMSCTSADIKAETSIPHSQETDWLKYSSYWLPDSQDHHHHHHHHHHHG >DRNTG_31903.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5175384:5176476:-1 gene:DRNTG_31903 transcript:DRNTG_31903.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADDEQSMPDGLQKEAKIEEAKNINSSHPIWCYC >DRNTG_31903.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5175384:5176944:-1 gene:DRNTG_31903 transcript:DRNTG_31903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDEQSMPDGLQKEAKIEEAKNINSSHPIWCYC >DRNTG_00829.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000057.1:21673:22871:-1 gene:DRNTG_00829 transcript:DRNTG_00829.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKNWRRTQKHNERPIGKKQSGDYH >DRNTG_29144.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1400210:1402918:-1 gene:DRNTG_29144 transcript:DRNTG_29144.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCALAMSSMPSCSKPHMASPKSFNSRS >DRNTG_00599.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29826506:29826708:-1 gene:DRNTG_00599 transcript:DRNTG_00599.2 gene_biotype:protein_coding transcript_biotype:protein_coding NIVQNKEHVEAGTPPNRELVSPGKFCSIEHNISTPKLHADTAQYSMPI >DRNTG_00599.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29825869:29826708:-1 gene:DRNTG_00599 transcript:DRNTG_00599.1 gene_biotype:protein_coding transcript_biotype:protein_coding NIVQNKEHVEAGTPPNRELVSPGKFCSIEHNISTPKLHADTAQYSMPI >DRNTG_18900.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2145619:2150002:1 gene:DRNTG_18900 transcript:DRNTG_18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTDCHVIRIWDPETGDNMTSIEPSTGAINDVCLFHESGLLLAALDCSQIPSYFIPALGPAPKWCSYLENLTEEMEEGSQMTIYDDYKFLTKEDIERLNLSHLIGSNLLRAYMHGFFIDYRLYKKAQALADPFAYDAYMEKRKQEKIEAERASRITIKKKLPKVNRLLAARLLENEEAKEAEQENVSEDITKKKKKNKGLSSEILQDERFKAMFEDKDFEIDERSTEYLSLHPLAKQAVKKHPSLIEEHFEPIMQDNEEGSSDSEGLATSDDDLKKDKNKEKKNSKRVRLYEVKDERHAEAFVNQVSLAKEDAVSLGERVAALQQHQSSTKHNVVRFGPGGSREISFVPRRGIKIQDDDEEPQDGKKRRGIHPLGLKPDKSEFLARIKHGGGGRGRGRGRGRGRGKGRR >DRNTG_13722.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5420737:5422848:-1 gene:DRNTG_13722 transcript:DRNTG_13722.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMQFFSEDLSEFDNNGNSSSASSLPSLSTGSSEPRRQWSIQLPDGSEDEVLTRAMVAVISSASPSSCSSSSTSSLSSPSMQSLIDKTRNYGQFTSFRIYSPILASASAVNCDPKTNLHSQKMIKKSITMLQRINKMRNQEPALTSNQVSHMISERRRREKLNGSFDQLRSLLPPGSKKDRATVLMKTKDYLKTLKDQISELQLENRMLEMKLKCPATDEINEQHLKASNFRSTLRVEIIKASAPSSEVQQISLRLTANVNSALIDLVLHVLECLKEMRVLALVSVDGNIHTAQMNLHARATIEFQIKACDWYEELFKEAMTRSIKRVARLELVNFEGLYSGDGQLIHGV >DRNTG_13722.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5420737:5422848:-1 gene:DRNTG_13722 transcript:DRNTG_13722.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKQGIKQFVTLSKLITKASMDEWFFDSDLTYNGGLPWWEPEGSNEITREMDVMQFFSEDLSEFDNNGNSSSASSLPSLSTGSSEPRRQWSIQLPDGSEDEVLTRAMVAVISSASPSSCSSSSTSSLSSPSMQSLIDKTRNYGQFTSFRIYSPILASASAVNCDPKTNLHSQKMIKKSITMLQRINKMRNQEPALTSNQVSHMISERRRREKLNGSFDQLRSLLPPGSKKDRATVLMKTKDYLKTLKDQISELQLENRMLEMKLKCPATDEINEQHLKASNFRSTLRVEIIKASAPSSEVQQISLRLTANVNSALIDLVLHVLECLKEMRVLALVSVDGNIHTAQMNLHARATIEFQIKACDWYEELFKEAMTRSIKRVARLELVNFEGLYSGDGQLIHGV >DRNTG_02843.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19985569:19992655:1 gene:DRNTG_02843 transcript:DRNTG_02843.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGFLYLVGTNKVINSRVFWSSQLFSYNGCLKYRSTRPLTVFKTQVTRSAMKSYRLSELSHAEVHGLKARPRIDFSSIFSTVKPIVDDVHCKGDAAVMDYTRRFDKVDLENIVELVSDLPDPELDPVVREAFDVAYSNIYAFHDAQKVPERTTENMSGVRCKRIARCITSVGLYVPGGTAVLPSTALMLSVPAQIAGCKTIVLATPPGRDGSICKEVLYCAKKAGVTHILKAGGAQAIAAMAWGTASCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYAKPAHIAADLLSQAEHGPDSQVVLVIAGDGVDLSAIESEISKQCASLPRGEYASKALSHSFIVFAQDMFEAISFSNLYAPEHLIINVKDSERWESLIENAGSVFLGQWSPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLTKEGLRKLGPYVAKMAEVEGLEAHKRAVTLRLQDIDAALPIS >DRNTG_02843.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19985569:19992655:1 gene:DRNTG_02843 transcript:DRNTG_02843.3 gene_biotype:protein_coding transcript_biotype:protein_coding METGFLYLVGTNKVINSRVFWSSQLFSYNGCLKYRSTRPLTVFKTQVTRSAMKSYRLSELSHAEVHGLKARPRIDFSSIFSTVKPIVDDVHCKGDAAVMDYTRRFDKVDLENIVELVSDLPDPELDPVVREAFDVAYSNIYAFHDAQKVPERTTENMSGVRCKRIARCITSVGLYVPGGTAVLPSTALMLSVPAQIAGCKTIVLATPPGRDGSICKEVLYCAKKAGVTHILKAGGAQAIAAMAWGTASCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYAKPAHIAADLLSQAEHGPDSQVVLVIAGDGVDLSAIESEISKQCASLPRGEYASKALSHSFIVFAQDMFEAISFSNLYAPEHLIINVKDSERWESLIENAGSVFLGQWSPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLTKEGLRKLGPYVAKMAEVEGLEAHKRAVTLRLQDIDAALPIS >DRNTG_02843.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19985569:19992655:1 gene:DRNTG_02843 transcript:DRNTG_02843.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYRLSELSHAEVHGLKARPRIDFSSIFSTVKPIVDDVHCKGDAAVMDYTRRFDKVDLENIVELVSDLPDPELDPVVREAFDVAYSNIYAFHDAQKVPERTTENMSGVRCKRIARCITSVGLYVPGGTAVLPSTALMLSVPAQIAGCKTIVLATPPGRDGSICKEVLYCAKKAGVTHILKAGGAQAIAAMAWGTASCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYAKPAHIAADLLSQAEHGPDSQVVLVIAGDGVDLSAIESEISKQCASLPRGEYASKALSHSFIVFAQDMFEAISFSNLYAPEHLIINVKDSERWESLIENAGSVFLGQWSPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLTKEGLRKLGPYVAKMAEVEGLEAHKRAVTLRLQDIDAALPIS >DRNTG_06196.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25191083:25194740:-1 gene:DRNTG_06196 transcript:DRNTG_06196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDANARRATAVTEYRKKLLHYRELESRVRTVRESLRNSKKEFAKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLTAGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFIRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDFVIQEDFMKAVRKLNEAKKLESTAHYNADFGKD >DRNTG_18581.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3504560:3505555:-1 gene:DRNTG_18581 transcript:DRNTG_18581.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSSLSMCWKFGAKAFIVPLESIESVKASGHKSLGVEKFPVMVMLVFRPSCCQENQIIYILEVKDLPNITEGNSIWDTRGKGIGKHCT >DRNTG_11739.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000518.1:22603:24446:1 gene:DRNTG_11739 transcript:DRNTG_11739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMARRRHRKRSKTVGDVRDPRRRASRPVESGARAWIFFRMRPWEIVLSRIMEPRSKKQADKRPRESSSEPEGMRFTIPEHQVHYERLSRLSFG >DRNTG_30307.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16638188:16640221:1 gene:DRNTG_30307 transcript:DRNTG_30307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNNLFQWLKPYIAMISLQFGYAGMNIITKVSLNHGMSHYVLVVYRHAFATLSIAPFALILERKVRPKITFKIFLQIFVLALLGPVIDQNFYYAGLKFTSPTFSCAMSNILPAMTFVLAVICRMEKVNIKKLRCQAKVAGTVVTVAGAMLMTLYKGPVMQMVWSKHAHVHQTNTEMSSAALDKNWFEGSIFLIIATLAWASLFILQAATLKEYSAQLSLTTLICFVGTLQAIAVTLVMEHNPSVWKIGFDMNLLAAAYAGIVTSSIAYYVQGLVIQQRGPVFASAFSPLMMIIVAIMGSFILAEKIYLGGLLGGVLIVGGLYSVLWGKHKENKEKEVEAMELPVALKGVEENGKIIKCKEMQQHEVEVEVEVEIEKMKKGVVIVSVPPIQEASMIGKEAPKA >DRNTG_10992.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18858522:18861713:-1 gene:DRNTG_10992 transcript:DRNTG_10992.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFELSFLSHYLAGTGTSGEFWLEKEAGGWATVLVVLFLFAYHFFRSFYALRRRCHLSAIPQYPMVHRPSPKANSSSGISKFITEGDLRDLISGLEGKLNDEERWEDVIEKKNGHVSYMAKSCRPKDGPLKYLSVTRFEKCSTDLLRDFYMDNEYRKEWDKTVIEHKQLEVDETSGTEIGLTLKKFPFLTAREYVLAWRVWEGDDKTFYCLIKDCDHPLAPQQKKFVRVRSFISGWRIKKVPGRDACEVTVVHQEDAGMNTEMAKLVFSKGYMELCL >DRNTG_32856.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32184159:32186059:-1 gene:DRNTG_32856 transcript:DRNTG_32856.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 93, subfamily D, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT5G06900) UniProtKB/TrEMBL;Acc:Q9FL56] MIEENFKVNPKSFAIFTSITILSIIILRWLISKPARTGAGLRLPPSPIGLPIIGHLHLLAPIPHQALHKLSLRYGPLIFLRLGSVPCVVASSPETAKEFLKTHELFFADRPQSKAVSYLTYGSADFSYAPFGAYWKFMKKLSMSQLLGGQTLEQLNFIRKEEVVRFLRILQMKAKEKMKVDLSGDLIRMSNNVICRMTMSRRCSENEGEAEEVRKLVEETAEIIGKFNLADYISFCKNFDLQGFDKRLEDVRRRFDHMMEGILEEKKAAVTAGGGGTAVKDILDILLDIHKDENAEMKLSLENIKAYILDIFAAGTDTSALTIEWALSELINNPSVLEKLREEIDTVVGKNRLISEADISSMPYLQAIVKETLRLHPTGPMTVRECTKDCEINGYNIQAKTRLFVNIWAIGRDPDYWKEPLKFMPERFMEEGCNGIDVRGQHFHMLPFGSGRRVCPGASLALLVVHAGLGALVQCFDWEVDGMVDMTEGPGLTLPRAKPLVCTPVLRLNLLPLLA >DRNTG_10507.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:6395457:6400930:1 gene:DRNTG_10507 transcript:DRNTG_10507.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGRGTGQPTQRVEEIYDRDDDARYEQRVGRVLDVRMERMMEELTERIAQLTGGCNQGRISTRPSGVTRGMAPTARPADATAIRGGSSNNIQCFSCGERGHRQADCRKGANELCWRRKKKTQPKYFHH >DRNTG_08804.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28128375:28129202:-1 gene:DRNTG_08804 transcript:DRNTG_08804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWPKVFRESSFQNGTIKDLVFLFDNSPFLGNVELSSFWECFSANWGMLKDTKWWTHMANEVFSVKSFYNFLNEGGLQCPISSWLWRNNYPRKVNLFNWLTWKNKILTLENLEKQCFNKLPTATCVFCHAGIELVDHLFLHCSFAKHVWKYFVRLLHLPEPPILLSYLIWVSWRSRLQTWIQDVGVWIMKALIWNIWLARNDRIFNANVVHAADIIMKCDRMIFSWFTVIVEGARGKFEDYALAIRHSLKFLDQRAEETNEVLTAEEASEQHTG >DRNTG_01114.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:14374943:14377879:1 gene:DRNTG_01114 transcript:DRNTG_01114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQHPSLLLSLLFLALTIITPGQAIEEKKKTYIFRVDRESKPSIFPSHAHWYASAEMSGDALSVLHVYDTVFHGFSASLPPSRADELRSHPAILAVFEDRRRFLDTTRSPQFLGLRNQLGLWSHSDYGSDVVIGVLDTGIWPERRSFSDFHLGPVPSRWRGVCEFQPSSLCNRKLIGARSFSKGHGADGSGPGINASVEFLSPRDADGHGTHTASTAAGRHAFRASMSGYAPGIAKGVAPKARIAAYKVCWRGAGCLDSDILAGFDAAASDGVDVLSVSIGGGDGAASPYYLDPIAVGSYGAASRGVFIATSAGNDGPSPMSVTNLAPWLTSVGAGTIDRTFPASVRLGDGRTLSGVSLYSGAPLAVDSMFPLVYPGKTGGLSASLCMENSLDPKLVSGKIVICDRGSSPRVAKGLVVKEAGGVGMILANGISNGEGLVGDAHMLPACAVGSDEGDAVKAYVASSPSPTATIVFQGTVVGVKPAPVVASFSGRGPNGLSPEILKPDLIAPGVNILAAWTDAVGPTGLDSDKRRTEFNILSGTSMACPHVSGAAALLKSAHPNWSPAAIRSAMMTTATLLDNQMHPVTDESTGNPATPLEMGAGHLNLERALDPGLVYDLSDQDYVNFLCDIGYGPRTIEIITHAPVTCPARRGSAENLNYPSLTVVFDGVTAGNGSKTVVRTLTNVGADGVYRSKVEMVAGKGVVVGVKPGKLAFTKTEPKRSFAVTVTVTEDAVEAESGMVGYGYLVWYDGAHEVRSPIVVSRIQPL >DRNTG_27227.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:924264:924828:1 gene:DRNTG_27227 transcript:DRNTG_27227.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPPSFILEVLSTHEQWLLIHSFCHHLLQPVFGGSCQNTFPRSFGFDRISNWMQNSNGLFHIIGRFHLHNMRTQELVLKQKIEKKNQLARVEQN >DRNTG_06725.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4781564:4786489:-1 gene:DRNTG_06725 transcript:DRNTG_06725.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHISQTEMKPIWGRPYGHKYLVKSRQGALRPRLTPCEASRLNDFSVGHSVGLATVDPRIFGTKKTTVGPSKKARTCDAEPSTFTPQVRLTLSVSHFRERSLKTSFLLSCEGASLSFEELEGMILFLPFFLSFVVFSWSFLSEILNLLGGLCGQPCRGGVVDRLIFHWLSSDENVKPLLDFLREPEAPYGELIGQHQGHEYLDNLEPSRSHGKDCCSGVLQQWYYSVSPVAATVAPGEFSSQKLNHGIWP >DRNTG_28595.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7928991:7929547:-1 gene:DRNTG_28595 transcript:DRNTG_28595.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSNSLSDASGDSIPILLVVAAAGWVSYLRSLLLCLLHSLGLSRSAAAPILSAASGSGLASLIALADTLNAARPSAYDGPDEDCVVCLCGLARGDRVRRLSCCHVFHAECLDGWLDQMNLSCPLCRSPLAADSDAADRRIGAELVAWLSPSY >DRNTG_19117.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29688873:29694605:1 gene:DRNTG_19117 transcript:DRNTG_19117.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVQALVGDFVLKLKRRKVEGSHASARQTAELLRSVVSQQRLPHAGQAAVLIDAVRAVGEQLIAANPVELAVGNIVRRVLHIIREEDLSSTTTAMEGLGLSVDSDDDNAGEHEDRPALSAAAVAAAARSALRAPSLHTLLEDIPESAAVPHSSSSGGDSEGKSKSAADKSLKSRKLKHGVIEAINELIQDIDTCHEQISEQAVEHIHQNEVILTLGRSRTVKKFLCAAKEKKRSFRVFVAEGAPRYQGHVLAKELVTKGLQTTIITDSAVFAMISRVNMVIVGAHAIMANGGVIAPVGLNMVALAARRHAVPFVVVAGSHKLCPLYPHNPEVLLNDLRSPADLLDFDEFSDCMDFASRGGSPLLHVVNPTFDYVPPNLVSLFITDTGGHNPSYMYRLIADYYSADDFVVKRRSTS >DRNTG_19117.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29688873:29694605:1 gene:DRNTG_19117 transcript:DRNTG_19117.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVQALVGDFVLKLKRRKVEGSHASARQTAELLRSVVSQQRLPHAGQAAVLIDAVRAVGEQLIAANPVELAVGNIVRRVLHIIREEDLSSTTTAMEGLGLSVDSDDDNAGEHEDRPALSAAAVAAAARSALRAPSLHTLLEDIPESAAVPHSSSSGGDSEGKSKSAADKSLKSRKLKHGVIEAINELIQDIDTCHEQISEQAVEHIHQNEVILTLGRSRTVKKFLCAAKEKKRSFRVFVAEGAPRYQGHVLAKELVTKGLQTTIITDSAVFAMISRVNMVIVGAHAIMANGGVIAPVGLNMVALAARRHAVPFVVVAGSHKLCPLYPHNPEVLLNDLRSPADLLDFDEFSDCMDFASRGGSPLLHVVNPTFDYVPPNLVSLFITDTGGHNPSYMYRLIADYYSADDFVVKRRSTS >DRNTG_19117.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29688873:29694605:1 gene:DRNTG_19117 transcript:DRNTG_19117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVQALVGDFVLKLKRRKVEGSHASARQTAELLRSVVSQQRLPHAGQAAVLIDAVRAVGEQLIAANPVELAVGNIVRRVLHIIREEDLSSTTTAMEGLGLSVDSDDDNAGEHEDRPALSAAAVAAAARSALRAPSLHTLLEDIPESAAVPHSSSSGGDSEGKSKSADKSLKSRKLKHGVIEAINELIQDIDTCHEQISEQAVEHIHQNEVILTLGRSRTVKKFLCAAKEKKRSFRVFVAEGAPRYQGHVLAKELVTKGLQTTIITDSAVFAMISRVNMVIVGAHAIMANGGVIAPVGLNMVALAARRHAVPFVVVAGSHKLCPLYPHNPEVLLNDLRSPADLLDFDEFSDCMDFASRGGSPLLHVVNPTFDYVPPNLVSLFITDTGGHNPSYMYRLIADYYSADDFVVKRRSTS >DRNTG_11727.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:3799436:3800004:-1 gene:DRNTG_11727 transcript:DRNTG_11727.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRFLTKISKNHNKDERSEKEEVDVA >DRNTG_34585.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:903331:910763:1 gene:DRNTG_34585 transcript:DRNTG_34585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASAHHTSTIANESQWVTLNREEISQVGKTMYLHYLCGRFIYCISEQPVNQMISQLLRVALLCHLFLHITASAGDHEFTFNGFSYANLSLDGDVNLASSGLLQLTNATRQSKGQAFYPSPLRFKMHDSTSVRSFSTTFVFAIVTEYPGFSSYGFTFCISPTTALHGDSGHYLGLFNSTNNGLSSNHIIGVEFDTLKTPEYHDIDDNHVGIDIHNLISSSSYTAGYYTGDTDAEFQNMNLSSGQRIQVWIEYDSKALQLNVTLAPFQLPMPKRSLLSLDIDLSSHISQDMYVGFTASKGGDFSTHSFLGWSFKMDGNANALDLESLPSLPTLSTNREKFKTLTIWLPVCAFLGLLTAALIIRYAVARRTKYAEVREDWEQEYGPRRFSYKELYQATDGFKDECFLGDGGFGSVYRGVLPTTKAEVAVKKVSHNSKQGMREFVAEVVSLGQLRHRNLVNLLGYCRRKTELILVYEFMPNGSLDKYLFRETTPCLDWNHRFRIIEGVASGVLYLHEEWVKVVIHRDIKASNVLLDSEFNARLGDFGLARLYDHGTDFQATRVMGTRGYLAPELARCGKATTSSDVYAFGVFLLEVACGRRPIELIEDGDGEDVVLAEWVLDNWRRGDILASSDGRLDKQYVLEEMELVLKLGLLCCHPVPTSRPSMNQAMEYLNGDSPLPEFTPFSLSADILASHADEGFDNYKLSWLSSEAEVTSVSLLSGAR >DRNTG_34585.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:903331:907082:1 gene:DRNTG_34585 transcript:DRNTG_34585.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKIFFCALLLRLGLSASDDFAYNGFKGVSLSLDGSAGITSDGLLMLTNVTRHAMGHAFFPSPLRFKNSQADNVLSFSTTFVFAIFPEHKMLGNGGFTFVLSPSKDLTKASADYFLGIVNITNNGNASNHILAVEFDTGQSTETEDIYDNHVGIDINSVISNYSKPAGFISDDDGKSQNLILLSGEPMQVWIEYNGTNMQFNVTLAPLWKPKPKIALLSSTINLSSIILDRMYVGFSGSVGTLYLYHYILGWSFNMEGKASALNLSSLPPLPQNLTSSEKKRKTLVLWLPLTIFLVLLTIAGATLTMVRKKKFSELHDDWELEFEANRFPYEQLYKATRGFKDKYLLGIGGSGRVYRGVLPGSKLEVAVKRVSHESKQGVREFVAEIVSLGKLRHRNLVQLLGYCRRKGELLLVYEYMPNGSLDKFLFSETKQSLDWSLRFRIIKGVASGLRYLHDGWDQVVIHRDIKASNVLLDGYMNGRLGDFGLARLYDRGAAARTTNVVGSPGFLAPELARTCKVTMSSDVFAFGAFLLEVACGRRAIEPDKQESEQVLVDWVFANWKMGTIYKTKDPRLGNDYVLEELDLVLKLGLLCSHPSPSARPSMRQITRFLNGDVPPPEMLPYQCHASGSF >DRNTG_34585.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:904794:907082:1 gene:DRNTG_34585 transcript:DRNTG_34585.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKIFFCALLLRLGLSASDDFAYNGFKGVSLSLDGSAGITSDGLLMLTNVTRHAMGHAFFPSPLRFKNSQADNVLSFSTTFVFAIFPEHKMLGNGGFTFVLSPSKDLTKASADYFLGIVNITNNGNASNHILAVEFDTGQSTETEDIYDNHVGIDINSVISNYSKPAGFISDDDGKSQNLILLSGEPMQVWIEYNGTNMQFNVTLAPLWKPKPKIALLSSTINLSSIILDRMYVGFSGSVGTLYLYHYILGWSFNMEGKASALNLSSLPPLPQNLTSSEKKRKTLVLWLPLTIFLVLLTIAGATLTMVRKKKFSELHDDWELEFEANRFPYEQLYKATRGFKDKYLLGIGGSGRVYRGVLPGSKLEVAVKRVSHESKQGVREFVAEIVSLGKLRHRNLVQLLGYCRRKGELLLVYEYMPNGSLDKFLFSETKQSLDWSLRFRIIKGVASGLRYLHDGWDQVVIHRDIKASNVLLDGYMNGRLGDFGLARLYDRGAAARTTNVVGSPGFLAPELARTCKVTMSSDVFAFGAFLLEVACGRRAIEPDKQESEQVLVDWVFANWKMGTIYKTKDPRLGNDYVLEELDLVLKLGLLCSHPSPSARPSMRQITRFLNGDVPPPEMLPYQCHASGSF >DRNTG_13373.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2352596:2356243:1 gene:DRNTG_13373 transcript:DRNTG_13373.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSYFPLRWESTGDQWWYASPIDWAAANGHYDLVRELLHLDTNLLIKLTSLRRIRRLETVWDDDEQFVDVAKCRAFVARSLLLECEIKNKKNTLIRAGYGGWLLYTAASAGDMSFVQELLERDPLLVFGEGEYGVTDIFYAAARSKNSDVFRLLFDFALSPRCMMGGGSGVHEGIGGSSAVFRWEMMNRAVHAAARGGNLVMLMEFLADCSDVLAYRDNQGSTILHAASARGQVQVVRKIVASFDIINSRDNQGNTALHVAAFRGHLLTVKALVDASLSSSCLINNAGDTFLHMAVAGFRTPGFRRLDRQMELMKQLISGNIVDVCKIINVRNHEGRTALHTAVIGNVHSNLVELLMSVKSIDLNVRDIHGMTTLDLLNQRPRSASSEILIKQLISAGGISNSKDNMARSAIVSQMKMQGIGNSPGNFFSNFRC >DRNTG_17835.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4755778:4762247:1 gene:DRNTG_17835 transcript:DRNTG_17835.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAQVHRYVLLHHDAIETYQIEYKNILRHQMHGHRSNTRDIDLQFTKTFHEWLGETIWVKTPEVLARDHLLGSFSEKATAKLAEHEVMGEDETMVETQILNQIIGKERHGRVRGLGLGPTPTSYYDSSSRNTIASVDHSECIERYKDIQDKFSKDGRKIINENV >DRNTG_02339.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1523503:1524364:1 gene:DRNTG_02339 transcript:DRNTG_02339.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 5 [Source:Projected from Arabidopsis thaliana (AT1G27880) UniProtKB/Swiss-Prot;Acc:Q0WVW7] TQDSTISGSVTWLPVCIANTLITNCQQCGPMFLFAALAWLGKETRKFLQINADEDPSCIS >DRNTG_02339.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1523396:1524364:1 gene:DRNTG_02339 transcript:DRNTG_02339.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 5 [Source:Projected from Arabidopsis thaliana (AT1G27880) UniProtKB/Swiss-Prot;Acc:Q0WVW7] TQDSTISGSVTWLPVCIANTLITNCQQCGPMFLFAALAWLGKETRKFLQINADEDPSCIS >DRNTG_02339.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1516560:1524364:1 gene:DRNTG_02339 transcript:DRNTG_02339.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 5 [Source:Projected from Arabidopsis thaliana (AT1G27880) UniProtKB/Swiss-Prot;Acc:Q0WVW7] MNSDSDSAASHVSSTPPRIPNPKPFPRSEHKRKSSPKSQPSHPPTPSSGDPPITSLHGVPLKIHRSSSSDHHRSTSFSTLFKSRRPAFDPFDLQSIADPSPPKKPPPPDRVDPSKLHRNWIPSSAPPELPQKRLRFSSEGNFVRLNINGYGRRHSFRNGRKGKKLLRFRKRSGKPRTKSTGENEVEMGCLEEEEEEEESCLGDFQMQERSSGFDLGRIEEAIMAAREDPSEVNLLELLRLVYGHSSFRDGQLEAIQKVVAGESTMLVLPTGAGKSLCYQLPALILPGVTLVVSPLVALMVDQLKHLPSVIPGGLLSSNQTNEEASATLDRLREGKIKVLFVSPERFLNKGFLSVFGSTIISFVAVDEAHCISEWSHNFRPSYLRLRASILRAKLNVKCMLAMTATATSKTLQEIMHALEIPAANLIQTCQIRDNIQLFVISSGNRLKDLLMLMKSSSLVDKQSVIIYCKFQVETDMVTKYLCDNNILAKGYHSSLPARDRNRIQELFCSNKIRVVVATVAFGMGLDKSDVDLVIHYSLPESLEEYVQKTGRAGRDGRLSFCHLLLDDLTYYKLRSLLYSDGVDEYAINKLLCEIFSDGIVQDGHASSLVKESASRKFDMKEEVLLTILTQLELGDVQYLHLLPQLNVTCTLYFHKTSPDLLSKKDILIATIMKKSEIKQGYFVFDIPTVANTVGITPTDLLNKLKNLKFMGEITYDLKDPAFCFTIIKVPDDYCSLTSRITNWLSEVEQCKVQKLDEMFHVATFAAKECPKVDGCFDNMHTPCIQKKILDYFCRDANAPSDDVFTKMGRSSPFLHADIKVFLQSNSHVKFTPRAIARIMHGIPSPSFPSATWSKCHFWERYSQIDFAVVMKAATSELMHFDRKT >DRNTG_02339.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1517964:1524364:1 gene:DRNTG_02339 transcript:DRNTG_02339.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 5 [Source:Projected from Arabidopsis thaliana (AT1G27880) UniProtKB/Swiss-Prot;Acc:Q0WVW7] MLAMTATATSKTLQEIMHALEIPAANLIQTCQIRDNIQLFVISSGNRLKDLLMLMKSSSLVDKQSVIIYCKFQVETDMVTKYLCDNNILAKGYHSSLPARDRNRIQELFCSNKIRVVVATVAFGMGLDKSDVDLVIHYSLPESLEEYVQKTGRAGRDGRLSFCHLLLDDLTYYKLRSLLYSDGVDEYAINKLLCEIFSDGIVQDGHASSLVKESASRKFDMKEEVLLTILTQLELGDVQYLHLLPQLNVTCTLYFHKTSPDLLSKKDILIATIMKKSEIKQGYFVFDIPTVANTVGITPTDLLNKLKNLKFMGEITYDLKDPAFCFTIIKVPDDYCSLTSRITNWLSEVEQCKVQKLDEMFHVATFAAKECPKVDGCFDNMHTPCIQKKILDYFCRDANAPSDDVFTKMGRSSPFLHADIKVFLQSNSHVKFTPRAIARIMHGIPSPSFPSATWSKCHFWERYSQIDFAVVMKAATSELMHFDRKT >DRNTG_02339.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1519663:1524364:1 gene:DRNTG_02339 transcript:DRNTG_02339.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 5 [Source:Projected from Arabidopsis thaliana (AT1G27880) UniProtKB/Swiss-Prot;Acc:Q0WVW7] QKTGRAGRDGRLSFCHLLLDDLTYYKLRSLLYSDGVDEYAINKLLCEIFSDGIVQDGHASSLVKESASRKFDMKEEVLLTILTQLELGDVQYLHLLPQLNVTCTLYFHKTSPDLLSKKDILIATIMKKSEIKQGYFVFDIPTVANTVGITPTDLLNKLKNLKFMGEITYDLKDPAFCFTIIKVPDDYCSLTSRITNWLSEVEQCKVQKLDEMFHVATFAAKECPKVDGCFDNMHTPCIQKKILDYFCRDANAPSDDVFTKMGRSSPFLHADIKVFLQSNSHVKFTPRAIARIMHGIPSPSFPSATWSKCHFWERYSQIDFAVVMKAATSELMHFDRKT >DRNTG_31936.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:19499183:19503192:-1 gene:DRNTG_31936 transcript:DRNTG_31936.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP54 [Source:Projected from Arabidopsis thaliana (AT1G24310) UniProtKB/Swiss-Prot;Acc:Q8GYF7] MAPVAPLSLPLPDRDVQAIVDAYKDEPGNPKYSFRHLLFSVTDPAARVKPVGASDIMWAEAMAKLEGMEALDRERLWPQLVQGFKELSDRLKLQDEVIVSDTERLRQTQVNVNKLQNHFVAETLPWIQRMRQKEQELQRRLLRMMRIVEALEGKGFRVPLTKAEVELAEKLATIARQLKGPGADLSKRVHNLLRISRLHGNAETGGPIYLPGSTKIDEQSLADMQDVLQKQTEAIARLGNVLKRDTRDMEIIMAEGADMVEDSVGRRALKT >DRNTG_26330.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:13866055:13867308:1 gene:DRNTG_26330 transcript:DRNTG_26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYRSGRKSYHLKSVKATLAAALERATLEDANVDHNAPILEEILLRSKTVEERCSNTVAITVAAGREIRETENPHGHVEIIHARVEIPYGRMYRSRLWSCPIPALFKAGSAPILVFFSPSFPQLARGLLLGFRGVLAKILERFYGSDIVTPLGRRLVGEL >DRNTG_10272.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20133909:20134850:1 gene:DRNTG_10272 transcript:DRNTG_10272.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQAPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYQKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGEGDDGDDDDIEYLG >DRNTG_02026.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13011040:13024915:1 gene:DRNTG_02026 transcript:DRNTG_02026.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGEVVDINATSTTLEPDKSTRGGLCLPDKDRLTFRPPRPKSTLGLDKLASVKRGSNDERNYKVHSERQISASSSIDEYEMFGSSGLEDLTSVSEQREHSHASRRYRGAKIEEKSHLGEGHQSTSSKRLNESPQNDEPPNSRNSRTAHPQGHGKHRGKYFSTDDREHHSRQHDHKILGHKQKGPENYARKRSRHEHISRTPGRSDWDDGRWQWDDTPRHDGRDSHSISYKPNRFSPSPMLAGASPDARLVSPWLGGNTPRSAVTASPWDHIAPSPVPIRASGSSRKASESTSRRRMHQPTSSSVTIWEDNGVDKNNSALEQTYNITDEMRQEMDYNADRAWYDREEINTMFDADSSSIFLGDDASYQKKESELAKKLTRKDGSLMTLAQSKKLSQLTADNAQWEDRQLLRSGAVRGTEVQMEFEDEDERRVILLVHDTKPPFLDGRAVYTKQVEPVMPIKDPTSDMAIISRKGSALVRDIHEKQSMNKSRQRFWELAGSKLGDILGVEKTAEQVDADTAKVGEHGEVDFKEDAKFAQHMKEKGEAVSEFAKSRSIEQQRQYLPIFSVREELLQVVRENQVIVVVGETGSGKTTQLTQYLLEDGYTRNGIVGCTQPRRVAAMSVAKRVSEEMDTKLGDKVGYAIRFEDVTGPDTLIKYMTDGVLLRETLKDSDLDKYRVVIMDEAHERSLSTDVLFGILKKVVARRRDFKLIVTSATLNAQKFSNFFGSVPIFHIPGRTFPVNILYSKTPCEDYVEAAVKQAMTIHITSPPGDVLIFMTGQDEIEATCYALAERMEQLITSTSKAVPKLSILPIYSQLPADLQAKIFQKAEDGARKCIVATNIAETSLTVDGILYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLYTESAYQNEMLPNPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGSLTDLGWKMVEFPLDPPLAKMLLMGEQLECINEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYQQWKSNQYRGDWCNDHFLHVKGLKKAREVRSQLLDILKSLHIPLTSCGMDWDVVRKAICSAYFHNSARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPDYVVYHELILTTKEYMQCVTAVEPQWLAELGPMFFSVKESDTSLLDHKKKQKEEKTAMEEEMEQLRKEKAEEERNKLEKEREKRASQQQQVIMAGLNQASSVFRRPKKMGL >DRNTG_02026.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13011040:13024915:1 gene:DRNTG_02026 transcript:DRNTG_02026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGEVVDINATSTTLEPDKSTRGGLCLPDKDRLTFRPPRPKSTLGLDKLASVKRGSNDERNYKVHSERQISASSSIDEYEMFGSSGLEDLTSVSEQREHSHASRRYRGAKIEEKSHLGEGHQSTSSKRLNESPQNDEPPNSRNSRTAHPQGHGKHRGKYFSTDDREHHSRQHDHKILGHKQKGPENYARKRSRHEHISRTPGRSDWDDGRWQWDDTPRHDGRDSHSISYKPNRFSPSPMLAGASPDARLVSPWLGGNTPRSAASPWDHIAPSPVPIRASGSSRKASESTSRRRMHQPTSSSVTIWEDNGVDKNNSALEQTYNITDEMRQEMDYNADRAWYDREEINTMFDADSSSIFLGDDASYQKKESELAKKLTRKDGSLMTLAQSKKLSQLTADNAQWEDRQLLRSGAVRGTEVQMEFEDEDERRVILLVHDTKPPFLDGRAVYTKQVEPVMPIKDPTSDMAIISRKGSALVRDIHEKQSMNKSRQRFWELAGSKLGDILGVEKTAEQVDADTAKVGEHGEVDFKEDAKFAQHMKEKGEAVSEFAKSRSIEQQRQYLPIFSVREELLQVVRENQVIVVVGETGSGKTTQLTQYLLEDGYTRNGIVGCTQPRRVAAMSVAKRVSEEMDTKLGDKVGYAIRFEDVTGPDTLIKYMTDGVLLRETLKDSDLDKYRVVIMDEAHERSLSTDVLFGILKKVVARRRDFKLIVTSATLNAQKFSNFFGSVPIFHIPGRTFPVNILYSKTPCEDYVEAAVKQAMTIHITSPPGDVLIFMTGQDEIEATCYALAERMEQLITSTSKAVPKLSILPIYSQLPADLQAKIFQKAEDGARKCIVATNIAETSLTVDGILYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLYTESAYQNEMLPNPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGSLTDLGWKMVEFPLDPPLAKMLLMGEQLECINEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYQQWKSNQYRGDWCNDHFLHVKGLKKAREVRSQLLDILKSLHIPLTSCGMDWDVVRKAICSAYFHNSARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPDYVVYHELILTTKEYMQCVTAVEPQWLAELGPMFFSVKESDTSLLDHKKKQKEEKTAMEEEMEQLRKEKAEEERNKLEKEREKRASQQQQVIMAGLNQASSVFRRPKKMGL >DRNTG_19999.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001083.1:4187:6038:-1 gene:DRNTG_19999 transcript:DRNTG_19999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMLLACKYEEVSVPVVEDLILLSDRAYTRAEVLEMEKLIVNTLHFNLSVPTPYVFMRRYLKAAESDKKLELLSFFIIELCLIEYEMLRFRPSLLAAAAVYTAQCTLRGFQHWTKTSELHTCYSEEQLTECSKMMVNFHQKAGIGRLTGVHRKYSTFKFGCAAKSEPALFLLKN >DRNTG_25729.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16957493:16964200:-1 gene:DRNTG_25729 transcript:DRNTG_25729.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGKATELQASNETSQPYKFSRYFPADNKKYCYKLNTAERRRYIVRATFLYGDSDSADNAYPMFQLYLDATQWATVTIKDASRIYVEELIIRAPSSSIQVCVCCASTSYPFITTLELRPLNLSLYATDYEDEYFLKVAARVNFGALTSDPIRYPDDPYDRIWESDLVKRQNYLVGMAPGTKRINTSKMINANLREHPPVKVMQTAVVGTKGRLTYRLDLEGFPANARAYAYFAEIEDLKNYETRKFTLKQPYLPGYSNAIVNIAENANGSYTLYEPSYMNISLDFVLSFALSKTQDSTRGPLLNAIEVSKYVKIFPKTNTQDAANLDFFRSMSLNGEWKYEDGDPCNPIKWEWVNCSTSTPPRITNIVLSGKNLSGMIPSEIAQLDQLTELWLDGNSLSGSIPDMSSLISLMIVHLENNNLAGQLPSYFGELPNLRELYVQNNCLSGDIPPALLNGKIIFNYTDNPRMNIMRNNKKNPRLILFSIVTALVVFVFLLLGSLWWLWKRLRNMVHDGSHVKSNSLRIISKSSIPLSMRAGSSVIDEALDLASYVTFTEIEEATCNFCKKIGEGSFGPVYYGKMKDGKEVAVKISADSSIDGTRQFTNEVALLSRIHHKNLVPLIGYCEEGCKQVLVYEYMHNGTLRDHLHDSVKKRSLDWLSRLLIAEDAAKGLAYLHTGCNPSVIHRDVKTSNILLDINMRAKVSDFGLSRQANENLSHISSVARGTVGYLDPEYYATQQLTDKSDVYSFGVVLLEIISGKSHISIEDYGPELNIVHWARSLIRKGDIASIIDPSFMGAFKIESVWRVAETAFLSVELHGASRPRMQEVEIAIHDAIEIEKGSASITTIESLSSSSALANHLEFLSSSSSNVPFAR >DRNTG_25729.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16957493:16964200:-1 gene:DRNTG_25729 transcript:DRNTG_25729.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGKATELQASNETSQPYKFSRYFPADNKKYCYKLNTAERRRYIVRATFLYGDSDSADNAYPMFQLYLDATQWATVTIKDASRIYVEELIIRAPSSSIQVCVCCASTSYPFITTLELRPLNLSLYATDYEDEYFLKVAARVNFGALTSDPIRYPDDPYDRIWESDLVKRQNYLVGMAPGTKRINTSKMINANLREHPPVKVMQTAVVGTKGRLTYRLDLEGFPANARAYAYFAEIEDLKNYETRKFTLKQPYLPGYSNAIVNIAENANGSYTLYEPSYMNISLDFVLSFALSKTQDSTRGPLLNAIEVSKYVKIFPKTNTQDAANLDFFRSMSLNGEWKYEDGDPCNPIKWEWVNCSTSTPPRITNIVLSGKNLSGMIPSEIAQLDQLTELWLDGNSLSGSIPDMSSLISLMIVHLENNNLAGQLPSYFGELPNLRELYVQNNCLSGDIPPALLNGKIIFNYTDNPRMNIMRNNKKNPRLILFSIVTALVVFVFLLLGSLWWLWKRLRNMVHDGSHVKSNSLRIISKSSIPLSMRAGSSVIDEALDLASYVTFTEIEEATCNFCKKIGEGSFGPVYYGKMKDGKEVAVKISADSSIDGTRQFTNEVALLSRIHHKNLVPLIGYCEEGCKQVLVYEYMHNGTLRDHLHDSVKKRSLDWLSRLLIAEDAAKGLAYLHTGCNPSVIHRDVKTSNILLDINMRAKVSDFGLSRQANENLSHISSVARGTVGYLDPEYYATQQLTDKSDVYSFGVVLLEIISGKSHISIEDYGPELNIVHWARSLIRKGDIASIIDPSFMGAFKIESVWRVAETAFLSVELHGASRPRMQEVEIAIHDAIEIEKGSASITTIESLSSSSALANHLEFLSSSSSNVPFAR >DRNTG_25729.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16957493:16963783:-1 gene:DRNTG_25729 transcript:DRNTG_25729.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGKATELQASNETSQPYKFSRYFPADNKKYCYKLNTAERRRYIVRATFLYGDSDSADNAYPMFQLYLDATQWATVTIKDASRIYVEELIIRAPSSSIQVCVCCASTSYPFITTLELRPLNLSLYATDYEDEYFLKVAARVNFGALTSDPIRYPDDPYDRIWESDLVKRQNYLVGMAPGTKRINTSKMINANLREHPPVKVMQTAVVGTKGRLTYRLDLEGFPANARAYAYFAEIEDLKNYETRKFTLKQPYLPGYSNAIVNIAENANGSYTLYEPSYMNISLDFVLSFALSKTQDSTRGPLLNAIEVSKYVKIFPKTNTQDAANLDFFRSMSLNGEWKYEDGDPCNPIKWEWVNCSTSTPPRITNIVLSGKNLSGMIPSEIAQLDQLTELWLDGNSLSGSIPDMSSLISLMIVHLENNNLAGQLPSYFGELPNLRELYVQNNCLSGDIPPALLNGKIIFNYTDNPRMNIMRNNKKNPRLILFSIVTALVVFVFLLLGSLWWLWKRLRNMVHDGSHVKSNSLRIISKSSIPLSMRAGSSVIDEALDLASYVTFTEIEEATCNFCKKIGEGSFGPVYYGKMKDGKEVAVKISADSSIDGTRQFTNEVALLSRIHHKNLVPLIGYCEEGCKQVLVYEYMHNGTLRDHLHDSVKKRSLDWLSRLLIAEDAAKGLAYLHTGCNPSVIHRDVKTSNILLDINMRAKVSDFGLSRQANENLSHISSVARGTVGYLDPEYYATQQLTDKSDVYSFGVVLLEIISGKSHISIEDYGPELNIVHWARSLIRKGDIASIIDPSFMGAFKIESVWRVAETAFLSVELHGASRPRMQEVEIAIHDAIEIEKGSASITTIESLSSSSALANHLEFLSSSSSNVPFAR >DRNTG_25729.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16957493:16964200:-1 gene:DRNTG_25729 transcript:DRNTG_25729.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGKATELQASNETSQPYKFSRYFPADNKKYCYKLNTAERRRYIVRATFLYGDSDSADNAYPMFQLYLDATQWATVTIKDASRIYVEELIIRAPSSSIQVCVCCASTSYPFITTLELRPLNLSLYATDYEDEYFLKVAARVNFGALTSDPIRYPDDPYDRIWESDLVKRQNYLVGMAPGTKRINTSKMINANLREHPPVKVMQTAVVGTKGRLTYRLDLEGFPANARAYAYFAEIEDLKNYETRKFTLKQPYLPGYSNAIVNIAENANGSYTLYEPSYMNISLDFVLSFALSKTQDSTRGPLLNAIEVSKYVKIFPKTNTQDAANLDFFRSMSLNGEWKYEDGDPCNPIKWEWVNCSTSTPPRITNIVLSGKNLSGMIPSEIAQLDQLTELWLDGNSLSGSIPDMSSLISLMIVHLENNNLAGQLPSYFGELPNLRELYVQNNCLSGDIPPALLNGKIIFK >DRNTG_25729.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:16957493:16963783:-1 gene:DRNTG_25729 transcript:DRNTG_25729.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFCSVFFVLLSFVASVCSQVKDFVSIDCGGASNYTDPNGLSWISDASFMRHGKATELQASNETSQPYKFSRYFPADNKKYCYKLNTAERRRYIVRATFLYGDSDSADNAYPMFQLYLDATQWATVTIKDASRIYVEELIIRAPSSSIQVCVCCASTSYPFITTLELRPLNLSLYATDYEDEYFLKVAARVNFGALTSDPIRYPDDPYDRIWESDLVKRQNYLVGMAPGTKRINTSKMINANLREHPPVKVMQTAVVGTKGRLTYRLDLEGFPANARAYAYFAEIEDLKNYETRKFTLKQPYLPGYSNAIVNIAENANGSYTLYEPSYMNISLDFVLSFALSKTQDSTRGPLLNAIEVSKYVKIFPKTNTQDAANLDFFRSMSLNGEWKYEDGDPCNPIKWEWVNCSTSTPPRITNIVLSGKNLSGMIPSEIAQLDQLTELWLDGNSLSGSIPDMSSLISLMIVHLENNNLAGQLPSYFGELPNLRELYVQNNCLSGDIPPALLNGKIIFNYTDNPRMNIMRNNKKNPRLILFSIVTALVVFVFLLLGSLWWLWKRLRNMVHDGSHVKSNSLRIISKSSIPLSMRAGSSVIDEALDLASYVTFTEIEEATCNFCKKIGEGSFGPVYYGKMKDGKEVAVKISADSSIDGTRQFTNEVALLSRIHHKNLVPLIGYCEEGCKQVLVYEYMHNGTLRDHLHDSVKKRSLDWLSRLLIAEDAAKGLAYLHTGCNPSVIHRDVKTSNILLDINMRAKVSDFGLSRQANENLSHISSVARGTVGYLDPEYYATQQLTDKSDVYSFGVVLLEIISGKSHISIEDYGPELNIVHWARSLIRKGDIASIIDPSFMGAFKIESVWRVAETAFLSVELHGASRPRMQEVEIAIHDAIEIEKGSASITTIESLSSSSALANHLEFLSSSSSNVPFAR >DRNTG_03302.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29037780:29039290:1 gene:DRNTG_03302 transcript:DRNTG_03302.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEHLPPCAINNDELLQISGEASLVRKALFQVSTRLHDNPSRSQHLLAGNLPSSFPSVAQYGVPNTGPPVIGMGPVMGHYGGYKGDVAGDWPFYSGPRDESAAREFGLRLLCPSVNIGAVIGKGGAIIKQIRQESGATIKVDSSSGEDDCIITISAKEFFEEAISPTLEAALRLQPRCSEKTERESGEFSFTTRLLCTYITYWLSDW >DRNTG_03302.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29037780:29039396:1 gene:DRNTG_03302 transcript:DRNTG_03302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEHLPPCAINNDELLQISGEASLVRKALFQVSTRLHDNPSRSQHLLAGNLPSSFPSVAQYGVPNTGPPVIGMGPVMGHYGGYKGDVAGDWPFYSGPRDESAAREFGLRLLCPSVNIGAVIGKGGAIIKQIRQESGATIKVDSSSGEDDCIITISAKEFFEEAISPTLEAALRLQPRCSEKTERESGEFSFTTRLLCTYITYWLSDW >DRNTG_17963.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20391687:20397656:-1 gene:DRNTG_17963 transcript:DRNTG_17963.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMETSNQMLLLLALLLFSLLFPSTATATATATAIATDLKSYIVHVQPPKNMDLLIHKDLKAYYHSFLPKSIAQNGDQNRMIHTYIHAISGFTARLTESEVHEMAKKEGFLRARPSKVLQILTTHTPYFLGLQQGSASLWNITNMGNGVIIGLIDTGITAEHISFKDNGISPPPSKWKGSCEDFKAIHGNCNNKLIGARLLNKYTGETIADMVGHGTHTAATATGNFADNANVFGNANGTASGMAPHAHLAVYKVCTIYGCREEDVIAGIDAAIEDGVDVISISLGAQSVAFYNDGIAISAFNAVKKGIFVSCAAGNSGPNEWTIENGAPWLLTVAASTIDRRIQSIVKLGDGSVLEGETVFQPNNYSSTLLPLSYPTTSNFSKEDNCYDGYLEDIKGKIVLCQVGKGRRIPTGIHVKNNGGAAMILMNKRSYGYTTIADAHVLPASHVSYADGEKIASYFNSTPNATAAIIFKGTIIGKSEAPTVAFFSSRGPSTQSPGVLKPDIIGPGVNILAAWGVQTGEDVPTNKIFNIISGTSMATPHLSGIVALIKEKHPDWSPAAIKSAIMTTSVIEDHDGKPIMDEQRQPASFFMMGAGNVNPSKAVDPGLVFDIDTKQYIAFLCGLGYDDIQMRTITGEDIQCSKIKKISQSNLNYPSIVVSLEKNVTVNRTVTNVGKAISSYKVEVDMPDEVIVEVVPKVLEFHELNEKLLGFAEVVKDEDEDVVGVWNMEKEHLSHSSLSPPWLGARLVFTLMANRSMCQLIFSLQGYILKDSGMLPVQLGLWKPLARRANGDGTERIRTLLRPKEKAGGKKGESFGELQMVVEPCSHDNDGDARAEMVYLPMVITAGSHGYEGVLLKDDRGEFLKYHRDLGRFVLPDLRDEGGEPLPVLRWLTSEEIGKKKMTEVVVDATLLGVMELGSRPEDGHQCDPPEEEDDKGWGDLACNYPTVVELRSHGGDGDGVVEPCPTLVKAPWWWSPTPMPVMLSGKITHGCYRIELMVFLVYGDRLVIKKWLGQRGRRD >DRNTG_25196.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28263938:28269952:-1 gene:DRNTG_25196 transcript:DRNTG_25196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHLVIDDAKHAPSCSESCRLPIANSRTFDKECLANSPSEQPAHVSNEITVSRVCELVLTNGDFYSGTLQENMPEGLGKYIWPDGCAYAGEWRRGMRHGKGKMLWPSGAVYEGEFFSGYMHGAGTYIGSDGSSYRGRWKLNFKHGLGYQTFPNGDTFEGSWINGNIEGAGKYVWANGNIFIGSMRGGKMSGKGTLTWKNGDTFEGNWSDGMMHGSGVYTWSDGACFVGTWTRGLKDGKGVFYPKGIKLPATQEVYVNALRKRGLLSDFKRLSYGSRILHSSSVGMENTKVNGIRNGRLVNLKRSYTQSASLERRWSFELAIEKVIGHDTTMDIKESSARTDTRISGGNVPILEREYIQGVLISEVVVDNAFPSSSKRDKRQQKKLEDIKRPGETIIKGHRSYDLMLSLQQGIRYTVGKITPIQRRVVRTSDFGVQASFWMDFPKEGSKLTPPHRSQDFKWKDYCPMVFRNLREMFKIDAADYMMSICGNDALRELSSPGKSGSVFFLSQDDRFMIKTLWKHEVQVLLKMLPDYHHHVCTYENTLITKFFGLHRVQPSSGQKFRFIVMGNMFCTELRIHRWFDLKGSSLGRSADKVKIDENTILKDLDLNYRFYLEQSWRDALLQQIEIDSKFLRKQRIMDYSLLLGVHCRAPQHLLPCSSHNRSTIRDRLAIIYEQDVEEDEQFKYPQGLVLVPLGRDENSVVVGSHIRGSRLRASSAAGDKEVDLLLPGTARLQIQMGVNMPARAEHINENENEGQMLNFNEVYDVVLYLGIIDILQDYNMNKKIEHAFKSIQFDSFSISAVDPDFYSTRFLKFIQSVFPENSF >DRNTG_25196.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28263938:28267089:-1 gene:DRNTG_25196 transcript:DRNTG_25196.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPKEGSKLTPPHRSQDFKWKDYCPMVFRNLREMFKIDAADYMMSICGNDALRELSSPGKSGSVFFLSQDDRFMIKTLWKHEVQVLLKMLPDYHHHVCTYENTLITKFFGLHRVQPSSGQKFRFIVMGNMFCTELRIHRWFDLKGSSLGRSADKVKIDENTILKDLDLNYRFYLEQSWRDALLQQIEIDSKFLRKQRIMDYSLLLGVHCRAPQHLLPCSSHNRSTIRDRLAIIYEQDVEEDEQFKYPQGLVLVPLGRDENSVVVGSHIRGSRLRASSAAGDKEVDLLLPGTARLQIQMGVNMPARAEHINENENEGQMLNFNEVYDVVLYLGIIDILQDYNMNKKIEHAFKSIQFDSFSISAVDPDFYSTRFLKFIQSVFPENSF >DRNTG_25196.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28267686:28269952:-1 gene:DRNTG_25196 transcript:DRNTG_25196.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHLVIDDAKHAPSCSESCRLPIANSRTFDKECLANSPSEQPAHVSNEITVSRVCELVLTNGDFYSGTLQENMPEGLGKYIWPDGCAYAGEWRRGMRHGKGKMLWPSGAVYEGEFFSGYMHGAGTYIGSDGSSYRGRWKLNFKHGLGYQTFPNGDTFEGSWINGNIEGAGKYVWANGNIFIGSMRGGKMSGKGTLTWKNGDTFEGNWSDGMMHGSGVYTWSDGACFVGTWTRGLKDGKGVFYPKGIKLPATQEVYVNALRKRGLLSDFKRLSYGSRILHSSSVGMENTKVNGIRNGRLVNLKRSYTQSASLERRWSFELAIEKVIGHDTTMDIKESSARTDTRISGGNVPILEREYIQGVLISEVVVDNAFPSSSKRDKRQQKKLEDIKRPGETIIKGHRSYDLMLSLQQGIR >DRNTG_23117.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:189526:194370:1 gene:DRNTG_23117 transcript:DRNTG_23117.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTTPWASPFYNKGNDWFVDTYLPSDIVVEIGGTLFHLHKFPLLSKCGKIAHALEESENTDKEVHLTLDCCPGGLDAFIIAAKFCYGLRVELSPTNIFVVYCVAEFLEMTDEFGEENLLAQAEAFFHKVVLRSWKDCIVALQSADNSISHAESLRIVHKCLSALSMMVCTDPSLFGWPMMMYGSLQSPGGSILWNGINTGARIRSSASDWWQEDISCLSMLMFKQLIETMTERGIRPENISGALMHYLRKYLPGLDRWQIGLGGRSKNLANLAMATGIIDQKVLLECLEKLLPERKGKSYSRFLLGLLRIAIILNVCQSCKDSLERRIGMQLELATLDGLLIPNFSYSDHLYDTDCVERIIGHFLSCQSVTVVSFSPSSISDPPRSPSSTPIRKVTKLIDSYLSEIAPDVNLKPDKMLSLVEALPESFRSLHDGLYQALDIYFKAHPWLSQSDREQLCDSIDYGRLSIDACAHASQNERLPLRVVLQVLYFEQLHLRKALSQCLHVLDSDSNAAADANDLTGQILQRDGWVTLVRENCGLRVDLEGMRSRVRVLEQEFASMKRDLSKADRPHSLSITAQAISRKLGCMPVANSSSLQCDAIESFGSSPERSIKKPHHSNH >DRNTG_23117.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:189243:194213:1 gene:DRNTG_23117 transcript:DRNTG_23117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTTPWASPFYNKGNDWFVDTYLPSDIVVEIGGTLFHLHKFPLLSKCGKIAHALEESENTDKEVHLTLDCCPGGLDAFIIAAKFCYGLRVELSPTNIFVVYCVAEFLEMTDEFGEENLLAQAEAFFHKVVLRSWKDCIVALQSADNSISHAESLRIVHKCLSALSMMVCTDPSLFGWPMMMYGSLQSPGGSILWNGINTGARIRSSASDWWQEDISCLSMLMFKQLIETMTERGIRPENISGALMHYLRKYLPGLDRWQIGLGGRSKNLANLAMATGIIDQKVLLECLEKLLPERKGKSYSRFLLGLLRIAIILNVCQSCKDSLERRIGMQLELATLDGLLIPNFSYSDHLYDTDCVERIIGHFLSCQSVTVVSFSPSSISDPPRSPSSTPIRKVTKLIDSYLSEIAPDVNLKPDKMLSLVEALPESFRSLHDGLYQALDIYFKAHPWLSQSDREQLCDSIDYGRLSIDACAHASQNERLPLRVVLQVLYFEQLHLRKALSQCLHVLDSDSNAAADANDLTGQILQRDGWVTLVRENCGLRVDLEGMRSRVRVLEQEFASMKRDLSKADRPHSLSITAQAISRKLGCMPVANSSSLQCDAIESFGSSPERSIKKPHHSNH >DRNTG_23117.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:189396:194213:1 gene:DRNTG_23117 transcript:DRNTG_23117.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTTPWASPFYNKGNDWFVDTYLPSDIVVEIGGTLFHLHKFPLLSKCGKIAHALEESENTDKEVHLTLDCCPGGLDAFIIAAKFCYGLRVELSPTNIFVVYCVAEFLEMTDEFGEENLLAQAEAFFHKVVLRSWKDCIVALQSADNSISHAESLRIVHKCLSALSMMVCTDPSLFGWPMMMYGSLQSPGGSILWNGINTGARIRSSASDWWQEDISCLSMLMFKQLIETMTERGIRPENISGALMHYLRKYLPGLDRWQIGLGGRSKNLANLAMATGIIDQKVLLECLEKLLPERKGKSYSRFLLGLLRIAIILNVCQSCKDSLERRIGMQLELATLDGLLIPNFSYSDHLYDTDCVERIIGHFLSCQSVTVVSFSPSSISDPPRSPSSTPIRKVTKLIDSYLSEIAPDVNLKPDKMLSLVEALPESFRSLHDGLYQALDIYFKVWSF >DRNTG_23117.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:189744:194267:1 gene:DRNTG_23117 transcript:DRNTG_23117.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTTPWASPFYNKGNDWFVDTYLPSDIVVEIGGTLFHLHKFPLLSKCGKIAHALEESENTDKEVHLTLDCCPGGLDAFIIAAKFCYGLRVELSPTNIFVVYCVAEFLEMTDEFGEENLLAQAEAFFHKVVLRSWKDCIVALQSADNSISHAESLRIVHKCLSALSMMVCTDPSLFGWPMMMYGSLQSPGGSILWNGINTGARIRSSASDWWQEDISCLSMLMFKQLIETMTERGIRPENISGALMHYLRKYLPGLDRWQIGLGGRSKNLANLAMATGIIDQKVLLECLEKLLPERKGKSYSRFLLGLLRIAIILNVCQSCKDSLERRIGMQLELATLDGLLIPNFSYSDHLYDTDCVERIIGHFLSCQSVTVVSFSPSSISDPPRSPSSTPIRKVTKLIDSYLSEIAPDVNLKPDKMLSLVEALPESFRSLHDGLYQALDIYFKAHPWLSQSDREQLCDSIDYGRLSIDACAHASQNERLPLRVVLQVLYFEQLHLRKALSQCLHVLDSDSNAAADANDLTGQILQRDGWVTLVRENCGLRVDLEGMRSRVRVLEQEFASMKRDLSKADRPHSLSITAQAISRKLGCMPVANSSSLQCDAIESFGSSPERSIKKPHHSNH >DRNTG_23117.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:189744:194370:1 gene:DRNTG_23117 transcript:DRNTG_23117.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTTPWASPFYNKGNDWFVDTYLPSDIVVEIGGTLFHLHKFPLLSKCGKIAHALEESENTDKEVHLTLDCCPGGLDAFIIAAKFCYGLRVELSPTNIFVVYCVAEFLEMTDEFGEENLLAQAEAFFHKVVLRSWKDCIVALQSADNSISHAESLRIVHKCLSALSMMVCTDPSLFGWPMMMYGSLQSPGGSILWNGINTGARIRSSASDWWQEDISCLSMLMFKQLIETMTERGIRPENISGALMHYLRKYLPGLDRWQIGLGGRSKNLANLAMATGIIDQKVLLECLEKLLPERKGKSYSRFLLGLLRIAIILNVCQSCKDSLERRIGMQLELATLDGLLIPNFSYSDHLYDTDCVERIIGHFLSCQSVTVVSFSPSSISDPPRSPSSTPIRKVTKLIDSYLSEIAPDVNLKPDKMLSLVEALPESFRSLHDGLYQALDIYFKAHPWLSQSDREQLCDSIDYGRLSIDACAHASQNERLPLRVVLQVLYFEQLHLRKALSQCLHVLDSDSNAAADANDLTGQILQRDGWVTLVRENCGLRVDLEGMRSRVRVLEQEFASMKRDLSKADRPHSLSITAQAISRKLGCMPVANSSSLQCDAIESFGSSPERSIKKPHHSNH >DRNTG_23117.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:189744:194267:1 gene:DRNTG_23117 transcript:DRNTG_23117.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEFGEENLLAQAEAFFHKVVLRSWKDCIVALQSADNSISHAESLRIVHKCLSALSMMVCTDPSLFGWPMMMYGSLQSPGGSILWNGINTGARIRSSASDWWQEDISCLSMLMFKQLIETMTERGIRPENISGALMHYLRKYLPGLDRWQIGLGGRSKNLANLAMATGIIDQKVLLECLEKLLPERKGKSYSRFLLGLLRIAIILNVCQSCKDSLERRIGMQLELATLDGLLIPNFSYSDHLYDTDCVERIIGHFLSCQSVTVVSFSPSSISDPPRSPSSTPIRKVTKLIDSYLSEIAPDVNLKPDKMLSLVEALPESFRSLHDGLYQALDIYFKVWSF >DRNTG_23117.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:189526:194267:1 gene:DRNTG_23117 transcript:DRNTG_23117.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTTPWASPFYNKGNDWFVDTYLPSDIVVEIGGTLFHLHKFPLLSKCGKIAHALEESENTDKEVHLTLDCCPGGLDAFIIAAKFCYGLRVELSPTNIFVVYCVAEFLEMTDEFGEENLLAQAEAFFHKVVLRSWKDCIVALQSADNSISHAESLRIVHKCLSALSMMVCTDPSLFGWPMMMYGSLQSPGGSILWNGINTGARIRSSASDWWQEDISCLSMLMFKQLIETMTERGIRPENISGALMHYLRKYLPGLDRWQIGLGGRSKNLANLAMATGIIDQKVLLECLEKLLPERKGKSYSRFLLGLLRIAIILNVCQSCKDSLERRIGMQLELATLDGLLIPNFSYSDHLYDTDCVERIIGHFLSCQSVTVVSFSPSSISDPPRSPSSTPIRKVTKLIDSYLSEIAPDVNLKPDKMLSLVEALPESFRSLHDGLYQALDIYFKAHPWLSQSDREQLCDSIDYGRLSIDACAHASQNERLPLRVVLQVLYFEQLHLRKALSQCLHVLDSDSNAAADANDLTGQILQRDGWVTLVRENCGLRVDLEGMRSRVRVLEQEFASMKRDLSKADRPHSLSITAQAISRKLGCMPVANSSSLQCDAIESFGSSPERSIKKPHHSNH >DRNTG_23117.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:189396:194267:1 gene:DRNTG_23117 transcript:DRNTG_23117.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTTPWASPFYNKGNDWFVDTYLPSDIVVEIGGTLFHLHKFPLLSKCGKIAHALEESENTDKEVHLTLDCCPGGLDAFIIAAKFCYGLRVELSPTNIFVVYCVAEFLEMTDEFGEENLLAQAEAFFHKVVLRSWKDCIVALQSADNSISHAESLRIVHKCLSALSMMVCTDPSLFGWPMMMYGSLQSPGGSILWNGINTGARIRSSASDWWQEDISCLSMLMFKQLIETMTERGIRPENISGALMHYLRKYLPGLDRWQIGLGGRSKNLANLAMATGIIDQKVLLECLEKLLPERKGKSYSRFLLGLLRIAIILNVCQSCKDSLERRIGMQLELATLDGLLIPNFSYSDHLYDTDCVERIIGHFLSCQSVTVVSFSPSSISDPPRSPSSTPIRKVTKLIDSYLSEIAPDVNLKPDKMLSLVEALPESFRSLHDGLYQALDIYFKAHPWLSQSDREQLCDSIDYGRLSIDACAHASQNERLPLRVVLQVLYFEQLHLRKALSQCLHVLDSDSNAAADANDLTGQILQRDGWVTLVRENCGLRVDLEGMRSRVRVLEQEFASMKRDLSKADRPHSLSITAQAISRKLGCMPVANSSSLQCDAIESFGSSPERSIKKPHHSNH >DRNTG_33365.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:26466652:26469525:1 gene:DRNTG_33365 transcript:DRNTG_33365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGHFHVVILDNDKQEYMHYSSCPGYDKDALDMRNLFDNCVDMEFGESATAKYPLVHDLETPRQKQGSVDCAVYVMRFIEQ >DRNTG_16794.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25391629:25392653:1 gene:DRNTG_16794 transcript:DRNTG_16794.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSGNDPPKIFLEAQDSLNALESSLMSRGFLSKARSPMAPQDAMTSKEPIKVGEELPLNWRRPSPQTLAASLSMSMLKAPKKSL >DRNTG_33501.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9784285:9784936:1 gene:DRNTG_33501 transcript:DRNTG_33501.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKACAVPLGLAVIATSSLPTVTSAYALFSSCVFSNLNFVTAPQIINVSLQV >DRNTG_33501.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:9784285:9804744:1 gene:DRNTG_33501 transcript:DRNTG_33501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKACAVPLGLAVIATSSLPTVTSAYALFSSCVFSNLNFVTAPQIINVSLQV >DRNTG_34024.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31545953:31547658:1 gene:DRNTG_34024 transcript:DRNTG_34024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKFNEFIVLLCLVYLLKVPEPSHAKSRIGLPHIESTFETLVDAFVFLDKNKDGYVSKTEMIQAINETSSGERSSGRIAMKRFEEMDWDRNGTVTFKEFLFAFTSWVGIDDNEEEEEEEEEEAGDK >DRNTG_34024.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31547353:31547658:1 gene:DRNTG_34024 transcript:DRNTG_34024.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDRNGTVTFKEFLFAFTSWVGIDDNEEEEEEEEEEAGDK >DRNTG_31156.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001670.1:49:970:-1 gene:DRNTG_31156 transcript:DRNTG_31156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKIGQSMLSFKAEGVTLILGLCCDGDTISFKYEGVQSEFEKNFLHKMHNRHRDAIKDSLLRIVRNKQGDEETFVKLLVVYFMTTILFPNTCLNMPSFVVRYADDLGSLGYYTWAHTTHKWLMADIPTIAARVQLRCKGRCTSAGYLKGCSIALIIWFYEFSELPLANDDENVLVGNVKCTKKQTLIRSSESGYSKRKSRQVLTEFCDLRAHIDDRDREDAKRKRADSRSPPLDLVPL >DRNTG_25474.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1607257:1614488:1 gene:DRNTG_25474 transcript:DRNTG_25474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNKDRGPQRPPVGLLYDERMCRHSTPDGEPHPECPDRIRSIWEKLKSEGIPQRCVVLNGKEAEDEHIASVHTDGHIKLIKAISSKQFDPRRDKIASKFNSIYLNKGSSEAAYLAAGSVVEVCKRVAEGELSSGFAIVRPPGHHAEADEAMGFCLFNNIAIGAHFLLDKAKLGIKKILIVDWDVHHGNGTQKMFYEDPRVLFFSVHRFDFGSFYPAGGDGAYCMIGEGPGAGYNINVPWEHGHCGDADYDVIWDHVLIPIAKDYNPDIILISAGFDAAINDPLGGCRITPYGYSLLLMKLMQFAQGKIVMALEGGYNLTSLANSVLACVKTLLEEKTINGSLRDYPFESTWRVIQQVRHELKSFWPSLALELPQELLACSRTLTQAQLNYYVSSDSDVENEEGPSSEVFSANVVEGVEDMIQPFLNLSVGEDKHGETKTSDHKTNNLDSSERHTVPEASDSKDIYKNISSWRIAYSKIDIWYATFGSNMWEPRFLCYIRGGQVEGMSRPCHGSLDESLPKSVMWKTFPHRLFFARSHSVTWGMGGVAFLHPENNTNEKVHMCLYRITLEQFNDILLQENVVVQHRDTPLFDLSSLQFVSENKSKVLEELKCGWYPNVLYLGKDDNLPILTMTCPLSTVEECKSGKVPMIGASKEYMKVLVKGLVEGKQFTQDDAIAYINEAATKSL >DRNTG_25474.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1607257:1609725:1 gene:DRNTG_25474 transcript:DRNTG_25474.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNKDRGPQRPPVGLLYDERMCRHSTPDGEPHPECPDRIRSIWEKLKSEGIPQRCVVLNGKEAEDEHIASVHTDGHIKLIKAISSKQFDPRRDKIASKFNSIYLNKGSSEAAYLAAGSVVEVCKRVAEGELSSGFAIVRPPGHHAEADEAMGFCLFNNIAIGAHFLLDKAKLGIKKILIVDWDVHHGNGTQKMFYEDPRVLFFSVHRFDFGSFYPAGGDGAYCMIGEGPGAGYNINVPWEHGHCGDADYDVIWDHVLIPIAKDYNPDIILISAGFDAAINDPLGGCRITPYGYSLLLMKG >DRNTG_25474.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1610714:1614488:1 gene:DRNTG_25474 transcript:DRNTG_25474.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAQGKIVMALEGGYNLTSLANSVLACVKTLLEEKTINGSLRDYPFESTWRVIQQVRHELKSFWPSLALELPQELLACSRTLTQAQLNYYVSSDSDVENEEGPSSEVFSANVVEGVEDMIQPFLNLSVGEDKHGETKTSDHKTNNLDSSERHTVPEASDSKDIYKNISSWRIAYSKIDIWYATFGSNMWEPRFLCYIRGGQVEGMSRPCHGSLDESLPKSVMWKTFPHRLFFARSHSVTWGMGGVAFLHPENNTNEKVHMCLYRITLEQFNDILLQENVVVQHRDTPLFDLSSLQFVSENKSKVLEELKCGWYPNVLYLGKDDNLPILTMTCPLSTVEECKSGKVPMIGASKEYMKVLVKGLVEGKQFTQDDAIAYINEAATKSL >DRNTG_01932.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32449580:32452079:1 gene:DRNTG_01932 transcript:DRNTG_01932.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTENWYYLAKTLAEADAFKYAKENDLDVVTVCPSMVLGPLFQPTANFSTLFLINILKGLRESKENRLYHIVDVRDVADALLLVYQKPEASGRYICASHPIKIREVIDTLRSVYPNYNYLNNLTDVDYDHLMTSEKLKKLGWKCRPLKETLINTVQSYEEAGILDN >DRNTG_01932.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32449580:32452079:1 gene:DRNTG_01932 transcript:DRNTG_01932.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSMPLSEIHVCDNKNSHLKKLENALENLQLFKADLLDYDSILEAAAGCEGVFHVASPVPTTKVSNPEAKVISPAVTGTQNVLKACSALRVKRVVVVSSVVAVTLNPHWPKGKVLDEECWSDPEHCRMTENWYYLAKTLAEADAFKYAKENDLDVVTVCPSMVLGPLFQPTANFSTLFLINILKGLRESKENRLYHIVDVRDVADALLLVYQKPEASGRYICASHPIKIREVIDTLRSVYPNYNYLNNLTDVDYDHLMTSEKLKKLGWKCRPLKETLINTVQSYEEAGILDN >DRNTG_01932.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32449580:32452079:1 gene:DRNTG_01932 transcript:DRNTG_01932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEARVIKKGNRVCVTGAGGFVASWLVKLLLSHGYHVHATVRDPCDNKNSHLKKLENALENLQLFKADLLDYDSILEAAAGCEGVFHVASPVPTTKVSNPEAKVISPAVTGTQNVLKACSALRVKRVVVVSSVVAVTLNPHWPKGKVLDEECWSDPEHCRMTENWYYLAKTLAEADAFKYAKENDLDVVTVCPSMVLGPLFQPTANFSTLFLINILKGLRESKENRLYHIVDVRDVADALLLVYQKPEASGRYICASHPIKIREVIDTLRSVYPNYNYLNNLTDVDYDHLMTSEKLKKLGWKCRPLKETLINTVQSYEEAGILDN >DRNTG_17523.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28933992:28939423:1 gene:DRNTG_17523 transcript:DRNTG_17523.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREQHSPPNHASPCARWGSNSRSHARHSRQTTYTRDPMPIDQMVIGLVCRCF >DRNTG_17523.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28933992:28939423:1 gene:DRNTG_17523 transcript:DRNTG_17523.6 gene_biotype:protein_coding transcript_biotype:protein_coding MREQHSPPNHASPCARWGSNSRSHARHSRQTTYTRDPMPIDQMVIGLVCRCF >DRNTG_17523.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28933992:28939423:1 gene:DRNTG_17523 transcript:DRNTG_17523.4 gene_biotype:protein_coding transcript_biotype:protein_coding MREQHSPPNHASPCARWGSNSRSHARHSRQTTYTRDPMPIDQMVIGLVCRCF >DRNTG_17523.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28933992:28939423:1 gene:DRNTG_17523 transcript:DRNTG_17523.3 gene_biotype:protein_coding transcript_biotype:protein_coding MREQHSPPNHASPCARWGSNSRSHARHSRQTTYTRDPMPIDQMVIGLVCRCF >DRNTG_17523.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28933992:28939423:1 gene:DRNTG_17523 transcript:DRNTG_17523.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQHSPPNHASPCARWGSNSRSHARHSRQTTYTRDPMPIDQMVIGLVCRCF >DRNTG_17523.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28933992:28937210:1 gene:DRNTG_17523 transcript:DRNTG_17523.7 gene_biotype:protein_coding transcript_biotype:protein_coding MREQHSPPNHASPCARWGSNSRSHARHSRQTTYTRDPMPIDQMVIGLVCRCF >DRNTG_17523.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28933992:28939423:1 gene:DRNTG_17523 transcript:DRNTG_17523.5 gene_biotype:protein_coding transcript_biotype:protein_coding MREQHSPPNHASPCARWGSNSRSHARHSRQTTYTRDPMPIDQMVIGLVCRCF >DRNTG_34074.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002084.1:22127:22746:1 gene:DRNTG_34074 transcript:DRNTG_34074.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFLICLMMMSTPGDRDPSAWDLASVMKSLEEEIGLPALAPAVEEAQPDLGFLLGASDDELGLPPAPVSSEEDGEDVDVVTGGLEAEGGGYGDQIWVFDDEIFDGGLGFGMRMEERDVAGVGALDQTLFYGFRRRRCRSSAGDTPVGGGMVLWVFHSGNGFNLQAWQSATQQIEAFDLRF >DRNTG_20889.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:2364848:2372290:-1 gene:DRNTG_20889 transcript:DRNTG_20889.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHTDSLSLGQGFYQGSIKGGINHERIAYYNNLINELIQNGIKPFVTIFHWDVPQALEDEYGGFLNRRFLDDFKDYCEVCFREFGDRVKQWITLNEPWTFSSYG >DRNTG_20889.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:2364848:2372290:-1 gene:DRNTG_20889 transcript:DRNTG_20889.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTDSLSLGQGFYQGSIKGGINHERIAYYNNLINELIQNGIKPFVTIFHWDVPQALEDEYGGFLNRRFLDDFKDYCEVCFREFGDRVKQWITLNEPWTFSSYG >DRNTG_20889.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:2364848:2371485:-1 gene:DRNTG_20889 transcript:DRNTG_20889.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHTDSLSLGQGFYQGSIKGGINHERIAYYNNLINELIQNGIKPFVTIFHWDVPQALEDEYGGFLNRRFLDDFKDYCEVCFREFGDRVKQWITLNEPWTFSSYG >DRNTG_20889.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:2364848:2372290:-1 gene:DRNTG_20889 transcript:DRNTG_20889.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHTDSLSLGQGFYQGSIKGGINHERIAYYNNLINELIQNGIKPFVTIFHWDVPQALEDEYGGFLNRRFLDDFKDYCEVCFREFGDRVKQWITLNEPWTFSSYG >DRNTG_20889.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:2371551:2372344:-1 gene:DRNTG_20889 transcript:DRNTG_20889.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFLVTHGGDDGVKKELYEPCDLEELLHCFLSLNSRHHHRAIISAFFEIWDTLFSATTASEQHPRGSLFFSSSLIIYSHNFIKNIMF >DRNTG_20889.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:2364848:2372344:-1 gene:DRNTG_20889 transcript:DRNTG_20889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTDSLSLGQGFYQGSIKGGINHERIAYYNNLINELIQNGIKPFVTIFHWDVPQALEDEYGGFLNRRFLDDFKDYCEVCFREFGDRVKQWITLNEPWTFSSYG >DRNTG_11168.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4428311:4428709:1 gene:DRNTG_11168 transcript:DRNTG_11168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANESEVSTETPFANLVIRSSSVRSSTSGLNTEPSL >DRNTG_10165.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:12722939:12726168:-1 gene:DRNTG_10165 transcript:DRNTG_10165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRDFSSIVASELRNIYMEHCHQDESHMLKFRWRYGVLEEFITTFDDAHAVLNYGAAVEQWNRERRGGCDTSDFGESQDDSVSCIDDSQAKSTPF >DRNTG_26455.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:444658:449591:-1 gene:DRNTG_26455 transcript:DRNTG_26455.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:(E,E)-geranyllinalool synthase [Source:Projected from Arabidopsis thaliana (AT1G61120) UniProtKB/Swiss-Prot;Acc:Q93YV0] MMEMEMEMEMEKYSTDNLVQSVKEDLFSASADVYSYMSSCAYDTAWLAMIPDPRQPHRPMFPGCLDWILANQNGDAGFWVDQDHLNLHHQSVHLNLPSLDSLTATLACMLALKKWGVGSHNIHKGLRFLEANMDRLLIMQQLHGPPPEWFSIVFPAMLELAQSQGLEVYPHSPASLEALKNVFNQRETILQGIKRSSIPGPGPHPLLAMYLEALPAGYKNLPEECILGHQSEDGSLFQSPSATAYAFMATGNDKCMCYLEALVQRCGHGVPSVYPVDEDMIRLCMVDHLSRLGCAEHFAAEIGSVMERAYSNWVAQQGRVPGMNNVAHRMYEDSMVFRLLRMHGYRASPSRFCWFIYDDQMLSNIEENRGFFKGAMYSVYKAAHLTFSGEDELDKAGTFSRKILEKGLLCCDPEDGAEYFNDLQIEILHELKLPWLARMDHIEHRKYIERSQRGYGLWIGKTSSIRLSCFSHKIIRHLAQRNFTARQTIYNAELEEMKRWSKDVGFANIGFGRENTAYCYYATAVGSCLPLLTDLRKIISKCSVLVTVADDFFDEKGSADELRDLTKAVQRWEGEGLSGHSKVIFDVLDGLVNDLVLGSSCQQGPNYMKKILQGIWSETFESWLKEAEWSRKRHAPPINEYLRVGAVSIAIQAMILPACFVIGPVLPWNSARYRKSIQLLMVTTRLLNDIQSYQKELETGKLNMVMLYMRENPKADIKDSVAYITEILDEKKKELLELTLMNDGNDPPKEWKKIFLATLKAFQMFFNSSNAFDSPTALLEDMSFAFYDPLVLHDAPETSRVLSESLKFPSELKNSKTRNPRKSTLMKFQKDVIGHGLIPSPSENKKSSKQFSISGRVHYPRRSSSYMVTSVMPRHAAGIVFSM >DRNTG_26455.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:444658:446293:-1 gene:DRNTG_26455 transcript:DRNTG_26455.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:(E,E)-geranyllinalool synthase [Source:Projected from Arabidopsis thaliana (AT1G61120) UniProtKB/Swiss-Prot;Acc:Q93YV0] MKKILQGIWSETFESWLKEAEWSRKRHAPPINEYLRVGAVSIAIQAMILPACFVIGPVLPWNSARYRKSIQLLMVTTRLLNDIQSYQKELETGKLNMVMLYMRENPKADIKDSVAYITEILDEKKKELLELTLMNDGNDPPKEWKKIFLATLKAFQMFFNSSNAFDSPTALLEDMSFAFYDPLVLHDAPETSRVLSESLKFPSELKNSKTRNPRKSTLMKFQKDVIGHGLIPSPSENKKSSKQFSISGRVHYPRRSSSYMVTSVMPRHAAGIVFSM >DRNTG_26455.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:444658:447608:-1 gene:DRNTG_26455 transcript:DRNTG_26455.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:(E,E)-geranyllinalool synthase [Source:Projected from Arabidopsis thaliana (AT1G61120) UniProtKB/Swiss-Prot;Acc:Q93YV0] MLSNIEENRGFFKGAMYSVYKAAHLTFSGEDELDKAGTFSRKILEKGLLCCDPEDGAEYFNDLQIEILHELKLPWLARMDHIEHRKYIERSQRGYGLWIGKTSSIRLSCFSHKIIRHLAQRNFTARQTIYNAELEEMKRWSKDVGFANIGFGRENTAYCYYATAVGSCLPLLTDLRKIISKCSVLVTVADDFFDEKGSADELRDLTKAVQRWEGEGLSGHSKVIFDVLDGLVNDLVLGSSCQQGPNYMKKILQGIWSETFESWLKEAEWSRKRHAPPINEYLRVGAVSIAIQAMILPACFVIGPVLPWNSARYRKSIQLLMVTTRLLNDIQSYQKELETGKLNMVMLYMRENPKADIKDSVAYITEILDEKKKELLELTLMNDGNDPPKEWKKIFLATLKAFQMFFNSSNAFDSPTALLEDMSFAFYDPLVLHDAPETSRVLSESLKFPSELKNSKTRNPRKSTLMKFQKDVIGHGLIPSPSENKKSSKQFSISGRVHYPRRSSSYMVTSVMPRHAAGIVFSM >DRNTG_07372.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20646535:20649650:-1 gene:DRNTG_07372 transcript:DRNTG_07372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKSEANRMEEADRTMYSAFCGAANSLSHLYSLSVNQHKLAFHAGERHAMEKLYQWIVRQHEEGSRLSAADIVAHLQNEIEYGGDEAAASPRVQAPHQQPQSTMHFANAGMQTSGGAFTQAAVGHAPRSFQSDQAKNLVFSNALSSPVRRSLQSYQLAQGGYYQSAALPGANEARNHEANSTHHNRGSNSPSSNDTMDMHSDSPAHESY >DRNTG_23030.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3240619:3244948:-1 gene:DRNTG_23030 transcript:DRNTG_23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMADGGAEREVQKSYWMEHSKDLTVEAMMLDSRAADLDKEERPEVLSLLPPFEGKSVLELGAGIGRFTGELAKVAGHVLALDFIESVIKKNESVNGHFGNTEFMCADVTSPELKMEAESVDLIFSNWLLMYLSDNEVENLVERMVKWVKVGGFIFFRESCFHQSGDSKRKINPTHYREPRFYTQIFKNYHTCNSFDDSFELSLITCKCIGAYVRNKKNQNQICWLWQKVRSTDDRGFQRFLDNVQYKANGILRYERIFGEGFVSTGGIETTKEFVAKLELKPGQEVLDVGCGIGGGDFYMAENFDVNVVGIDLSVNMVSFALESAIGRKCSVEFEVADCTKKAYLDNSFDVIYSRDTLLHIQDKPSLFKKFFKWLKPGGKVLISDYCRSSGTPSQGFAEYIKQRGYDLHDIKGYSKMLENAGFHEVVAEDRTDQFMQVLQRELDAVERDKDAFIHDFSEEDYNEIVDGWKAKLKRTSNGEQKWGLFFAIKK >DRNTG_24037.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26638352:26638638:1 gene:DRNTG_24037 transcript:DRNTG_24037.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 24 [Source:Projected from Arabidopsis thaliana (AT2G39040) UniProtKB/Swiss-Prot;Acc:Q9ZV04] MKYLSNFIVFLVIVVTFTSSVKGQVGGLERDFYKKTCPKAEQIVRNITWTHVANNSKLPAKLLRMFFHDCFVE >DRNTG_24037.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26638352:26640270:1 gene:DRNTG_24037 transcript:DRNTG_24037.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 24 [Source:Projected from Arabidopsis thaliana (AT2G39040) UniProtKB/Swiss-Prot;Acc:Q9ZV04] MKYLSNFIVFLVIVVTFTSSVKGQVGGLERDFYKKTCPKAEQIVRNITWTHVANNSKLPAKLLRMFFHDCFVEGCDGSVLIDSTANNTAEKDAFPNQSLAGFDVIDEVKTALEKACPGIVSCADIVALAARDSVSFQFNKSLWEVETGRRDGNVSLASEALANIPSPFSNFTTLSQRFAKKNLSAHDLVVLSGAHTIGVAHCLFFSNRLFNFTGKGDADPSLNATYAATLKTLCQNQSDTTTTVAMDPGNSLTFDNHYFVILKQKQGLFQSDAALLTDGRASNLVDKLLDSKHFINDFKKSIKKMGQIEVLTRTNGSIRKKCSVVN >DRNTG_35333.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2758972:2759366:-1 gene:DRNTG_35333 transcript:DRNTG_35333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSLKGSFFKGSKERGNDDEEIKA >DRNTG_30178.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5619221:5622615:1 gene:DRNTG_30178 transcript:DRNTG_30178.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable arabinose 5-phosphate isomerase [Source:Projected from Arabidopsis thaliana (AT3G54690) UniProtKB/Swiss-Prot;Acc:Q9M1T1] MGSLPPALTSGEKLGGATMSEAELRHLFKAQQHLLNHFFDKLDLSQAHAFAQTLLDAPGSVFFTGIGKSGFVAHKIAQTLASLGFHRAAFLPPVDALHGDIGSLRPSDLLVLLSKSGASDELLSLVPCARAKGAFLIAVTSKEGSPLAGLCDMSVYLPLERELCPFGMAPVTSAAIQMLFGDTVAVALMGARKLTVEQYASNHPAGKIGKSLIFKVRDVMKKNDAIPICKEGDLIMDQLTELTSKGCGCLLVVDDKHHLIGTFTDGDLRRTLKNSGEGIFKLTVGEMCNRNPRTISPDAKAVEAMQKMESPPSPVQFLPVVDDANIVIGIITLHGLVSAGL >DRNTG_18117.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:458140:459583:-1 gene:DRNTG_18117 transcript:DRNTG_18117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQMKDRNRRPGSAPEEESQSQSSRRRWRTETEQKIYSSKLLEALRRVRSTESPAPTRSRALRTAADRALAVAARGRTRWSRAILFKAQAQTRRSRNLGRFKRTGPVGSVQRVKNPVHQKVRVLSRLVPGCRKLSFSKLLEEASDYIAALEMQIKAMTAMTEMLIGGTAPAPASAQRLS >DRNTG_13726.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5436036:5437529:-1 gene:DRNTG_13726 transcript:DRNTG_13726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPELRDQMAESLISKAKARRRDPVHAAADIAQHLLAKTEATKKELESVRQHLNHLRAQQSPTSSITSPHQMAIALAKGVFINDQLIAGVGVILFHSTG >DRNTG_10709.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000452.1:8111:9436:-1 gene:DRNTG_10709 transcript:DRNTG_10709.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNSTTSGSPKLTIFLGEGNEVQLPNYTFNTGAAGAELTFGTISESVQFIRRPAPSKENLTVTRLPSKGRRPNVIVPTTGKDAPVRGTPRVSTFERLSHPKRVMNDDDEPAFTITAKGRERRIFQSSDEDTPKKKSVFSRLTQRKVKIPRQKLDFVSFQGHKNDQMSTFNNSFEPLNLNLYKIYTASRKLQSEKIRRKEFYQKKKQLISTAQKTVFDRLAPTRNQPKVNKSKFQWRREMKDKKMSDVDVEVHTCRMVTEARGDPAPEQEQPGLVTRSRRRSEADSLNGEEGSSHVPPHEEQGIGTSLPPLHVPRKHKESTDN >DRNTG_10182.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2123214:2124751:-1 gene:DRNTG_10182 transcript:DRNTG_10182.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAGLVGADGPTHCGAFDIMYMACLPNMVVMAPADEAELMHMVATAAAIDDRPSCFRFPRGCGIGVPLPINNKGTPLEIGKGRILMGGNRVALLGYGSMVQTCVKAADSLSNYGITPTVADARFCKPLDAELIRTLANEHEILITVEEGSIGGFGSHVSQFLSLNGLLDGHLKLRSMVLPDRYIDHGSPKDQIEEAGLSSKHIAATVLSLLARPKEALHLL >DRNTG_10182.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2124422:2125096:-1 gene:DRNTG_10182 transcript:DRNTG_10182.7 gene_biotype:protein_coding transcript_biotype:protein_coding MISASGSTLFEELGLYYIGPVDGHNVEDLVTIFQKVKAMPAPGPVLIHIVTEKGKGYPPAEAAADKMHGVVKFDPKTGKQFKSKPSALAYTQYFAEALIQEAEVDDKIVAIHAAMGGGTGLNYFQKRFPQRCFDVGIAEQHAVTFAAGLATEGLKPFLCHLLIFPSTRI >DRNTG_10182.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2123214:2126449:-1 gene:DRNTG_10182 transcript:DRNTG_10182.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKEKNEWKVDYSGEKPATPLLDTINYPIHMKNLSVQELEQLAAELRADVVYTVSNTGGHLSSSLGVVELSVALHHVFNTPEDRIIWDVGHQAYPHKILTGRRSRMNTIRQTSGLAGFPKRDESVYDAFGVGHSSTSISAGLGMAVARDLLGKKNLVVSVIGDGAMTAGQAYEAMNNSGYLDSNLIVVLNDNKQVSLPTATLDGPATPVGALSGALTKLQASTKFRKLREAAKSITKQIGGPTYEVAAKVDEYARGMISASGSTLFEELGLYYIGPVDGHNVEDLVTIFQKVKAMPAPGPVLIHIVTEKGKGYPPAEAAADKMHGVVKFDPKTGKQFKSKPSALAYTQYFAEALIQEAEVDDKIVAIHAAMGGGTGLNYFQKRFPQRCFDVGIAEQHAVTFAAGLATEGLKPFLCHLLIFPSTRI >DRNTG_10182.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2123214:2126260:-1 gene:DRNTG_10182 transcript:DRNTG_10182.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIRQTSGLAGFPKRDESVYDAFGVGHSSTSISAGLGMAVARDLLGKKNLVVSVIGDGAMTAGQAYEAMNNSGYLDSNLIVVLNDNKQVSLPTATLDGPATPVGALSGALTKLQASTKFRKLREAAKSITKQIGGPTYEVAAKVDEYARGMISASGSTLFEELGLYYIGPVDGHNVEDLVTIFQKVKAMPAPGPVLIHIVTEKGKGYPPAEAAADKMHGVVKFDPKTGKQFKSKPSALAYTQYFAEALIQEAEVDDKIVAIHAAMGGGTGLNYFQKRFPQRCFDVGIAEQHAVTFAAGLATEGLKPFLCHLLIFPSTRI >DRNTG_10182.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2123214:2126790:-1 gene:DRNTG_10182 transcript:DRNTG_10182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSLMAAHAGARRFFGSPSSNFDARKKFRVSVDKDGKAMIRKEKNEWKVDYSGEKPATPLLDTINYPIHMKNLSVQELEQLAAELRADVVYTVSNTGGHLSSSLGVVELSVALHHVFNTPEDRIIWDVGHQAYPHKILTGRRSRMNTIRQTSGLAGFPKRDESVYDAFGVGHSSTSISAGLGMAVARDLLGKKNLVVSVIGDGAMTAGQAYEAMNNSGYLDSNLIVVLNDNKQVSLPTATLDGPATPVGALSGALTKLQASTKFRKLREAAKSITKQIGGPTYEVAAKVDEYARGMISASGSTLFEELGLYYIGPVDGHNVEDLVTIFQKVKAMPAPGPVLIHIVTEKGKGYPPAEAAADKMHGVVKFDPKTGKQFKSKPSALAYTQYFAEALIQEAEVDDKIVAIHAAMGGGTGLNYFQKRFPQRCFDVGIAEQHAVTFAAGLATEGLKPFLCHLLIFPSTRI >DRNTG_10182.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2123214:2123559:-1 gene:DRNTG_10182 transcript:DRNTG_10182.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPDRYIDHGSPKDQIEEAGLSSKHIAATVLSLLARPKEALHLL >DRNTG_10182.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2123214:2125936:-1 gene:DRNTG_10182 transcript:DRNTG_10182.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIRQTSGLAGFPKRDESVYDAFGVGHSSTSISAGLGMAVARDLLGKKNLVVSVIGDGAMTAGQAYEAMNNSGYLDSNLIVVLNDNKQVSLPTATLDGPATPVGALSGALTKLQASTKFRKLREAAKSITKQIGGPTYEVAAKVDEYARGMISASGSTLFEELGLYYIGPVDGHNVEDLVTIFQKVKAMPAPGPVLIHIVTEKGKGYPPAEAAADKMHGVVKFDPKTGKQFKSKPSALAYTQYFAEALIQEAEVDDKIVAIHAAMGGGTGLNYFQKRFPQRCFDVGIAEQHAVTFAAGLATEGLKPFLCHLLIFPSTRI >DRNTG_10182.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2123214:2124311:-1 gene:DRNTG_10182 transcript:DRNTG_10182.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAGLVGADGPTHCGAFDIMYMACLPNMVVMAPADEAELMHMVATAAAIDDRPSCFRFPRGCGIGVPLPINNKGTPLEIGKGRILMGGNRVALLGYGSMVQTCVKAADSLSNYGITPTVADARFCKPLDAELIRTLANEHEILITVEEGSIGGFGSHVSQFLSLNGLLDGHLKLRSMVLPDRYIDHGSPKDQIEEAGLSSKHIAATVLSLLARPKEALHLL >DRNTG_12569.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:787126:787518:1 gene:DRNTG_12569 transcript:DRNTG_12569.1 gene_biotype:protein_coding transcript_biotype:protein_coding PDSRHKIRHDKNTVASLRNHSNHLEPST >DRNTG_28893.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:638562:639252:-1 gene:DRNTG_28893 transcript:DRNTG_28893.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein WIP4 [Source:Projected from Arabidopsis thaliana (AT3G20880) UniProtKB/Swiss-Prot;Acc:Q8W030] MHMWGHGSQYRRGPESLRGTQPTAMLRLPCYCCAPGCRNNIDHPRSKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCLCGSDFKHKRSLKDHIKAFGHGHAAYGIDCLDEEDEASSEVEC >DRNTG_28893.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:638562:640482:-1 gene:DRNTG_28893 transcript:DRNTG_28893.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein WIP4 [Source:Projected from Arabidopsis thaliana (AT3G20880) UniProtKB/Swiss-Prot;Acc:Q8W030] MQMHMWGHGSQYRRGPESLRGTQPTAMLRLPCYCCAPGCRNNIDHPRSKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCLCGSDFKHKRSLKDHIKAFGHGHAAYGIDCLDEEDEASSEVEC >DRNTG_08189.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6794276:6794800:-1 gene:DRNTG_08189 transcript:DRNTG_08189.1 gene_biotype:protein_coding transcript_biotype:protein_coding KVKEHQFEWNFLHQFDWGEDQQPENDQRVSGGGAAKINNRRMGGDRRGDPMLWIPTA >DRNTG_11678.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:295156:298898:1 gene:DRNTG_11678 transcript:DRNTG_11678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKDKRDIYYRKAKEEGWRARSAFKLLQIDEEFDIFRGVKRAVDLCAAPGSWSQVLSRKLYVPAKSSPESRDGDLPLIVAIDLQPMAPIDGVIQVQGDITNARTAEVVIKHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAALTIVTHVLRVGGKFVAKIFRGKDTSLLYSQLKLFFPNVTFAKPKSSRNSSIEAFAVCENYSPPEGFNQKDLYHLLEKVGTPCGSDNLDCSSGWLEGANKVYIPFLACGDLNGYDSDRSYPLPSSVNGSSYQSLDPVQPPIAPPYKTAIELKKASSHGIVDIEKLSL >DRNTG_29020.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1144345:1145237:-1 gene:DRNTG_29020 transcript:DRNTG_29020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTFEVETSIPASRMFKAAVLEWHNLGPKIVPEHIKSIDFIHGDGSAGSIRQINFTPALPFVFAKERLDSIDHNNFEVKNTTIEGGDVGTKLECYSTHSKFTPTSSGGSIVKVTVTVKILPGVKPGDEQAKEKEAITKAIKATEAYLLAHPTVCV >DRNTG_29020.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1150129:1150972:-1 gene:DRNTG_29020 transcript:DRNTG_29020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTIEVETSIPASRMFKAAVLEWHNLGPKIVPEHIKSIDFIHGDGSAGSIRQINFTPALPFAFAKERLDSIDHNNFEVKNTTIEGGDVGTKLECYSTHSKFTPTSSGGSIVKITVTVKILPGVKPGDEQAKEKEAITKAIKATEAYLLAHPTVCA >DRNTG_29020.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1144345:1150972:-1 gene:DRNTG_29020 transcript:DRNTG_29020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTIEVETSIPASRMFKAAVLEWHNLGPKIVPEHIKSIDFIHGDGSAGSIRQINFTPALPFAFAKERLDSIDHNNFEVKNTTIEGGDVGTKLECYSTHSKFTPTSSGGSIVKVTVTVKILPGVKPGDEQAKEKEAITKAIKATEAYLLAHPTVCV >DRNTG_29020.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1150129:1161770:-1 gene:DRNTG_29020 transcript:DRNTG_29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTFEVETSIPASRMFKAAVLEWHNLGAKIVPEHIKSIDFIHGDGSAGSIRQINFTPALPFAFAKERLDSIDHNNFEVKNTTIEGGDVGTKLDAGSIRQINFTPALPFAFAKERLDSIDHNNFEVKNTTIEGGDVGTKLECYSTHSKFTPTSSGGSIVKITVTVKILPGVKPGDEQAKEKEAITKAIKATEAYLLAHPTVCA >DRNTG_29020.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1161004:1161770:-1 gene:DRNTG_29020 transcript:DRNTG_29020.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTFEVETSIPASRMFKAAVLEWHNLGAKIVPEHIKSIDFIHGDGSAGSIRQINFTPALPFAFAKERLDSIDHNNFEVKNTTIEGGDVGTKLECYSTHSKFTPTSSGGSIVKITVTVKILPGVKPGDEQAKEKEAITKAIKATEAYLLAHPTVCT >DRNTG_29020.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1144345:1150972:-1 gene:DRNTG_29020 transcript:DRNTG_29020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTIEVETSIPASRMFKAAVLEWHNLGPKIVPEHIKSIDFIHGDGSAGSIRQINFTPALPFVFAKERLDSIDHNNFEVKNTTIEGGDVGTKLECYSTHSKFTPTSSGGSIVKVTVTVKILPGVKPGDEQAKEKEAITKAIKATEAYLLAHPTVCV >DRNTG_21983.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:842454:845649:-1 gene:DRNTG_21983 transcript:DRNTG_21983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQPQQRPTQPQQRPTQPQQQPVGEPPLPPVVIAVIIFAVVIAMLLSSLSTTNNTYSILHQVPEGHVGVYWRGGALLSTITDPGFHLKLPLITHFEPIQVTIQTDKVRDIPCGTKGGVMISFDKIEVVNRLRKEFVYETLLNYGVNYDKTWIYDKIHHEINQFCSSHSLQQVYIDMFDQIDEKMKEAIQIDCTRYAPGIEIIGVRVTKPNIPSNIKRNFEMMEEERTKALIAMEKQKVAEKEAETQKKVAVSEAEKNAQVSMILMEQKLMEKNSAKRQAEIDNEIFIAREKSLADASFYRLTKEAEANKQKLTPQFLELRFLEAISKNLKIYFGDKVPNMLLDQRLLGSILQDDVKQNTRET >DRNTG_21983.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:842454:845649:-1 gene:DRNTG_21983 transcript:DRNTG_21983.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQPQQRPTQPQQQPVGEPPLPPVVIAVIIFAVVIAMLLSSLSTTNNTYSILHQVPEGHVGVYWRGGALLSTITDPGFHLKLPLITHFEPIQVTIQTDKVRDIPCGTKGGVMISFDKIEVVNRLRKEFVYETLLNYGVNYDKTWIYDKIHHEINQFCSSHSLQQVYIDMFDQIDEKMKEAIQIDCTRYAPGIEIIGVRVTKPNIPSNIKRNFEMMEEERTKALIAMEKQKVAEKEAETQKKVAVSEAEKNAQVSMILMEQKLMEKNSAKRQAEIDNEIFIAREKSLADASFYRLTKEAEANKQKLTPQFLELRFLEAISKNLKIYFGDKVPNMLLDQRLLGSILQDDVKQNTRET >DRNTG_28745.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31128372:31131866:-1 gene:DRNTG_28745 transcript:DRNTG_28745.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQHVCSAPLARAMRSGAAAIVASPTVRSSLPHSAESRVLLGLAEPELRQLAIDFGQQSYRGKQLHDLLYKTKAKEIQDFSHLPQGFRDELREAGWRVGRSPVHRAVTAADGTIKMLIKLEDNRLIETVGIPVEDDKGSFRLTACVSSQVGCPLRCSFCATGKGGYSRNLQPHEIVEQVLAIEEIFKHRVTNVVFMGMGEPMLNLKSVLEAHRCLNKDVRIGQRMMTISTVGVPNTIKILAAHKLQSTLAVSLHAPNQKLRETIVPSAKSYPLNALMADCMDYFLQTGRRVSFEYTLLAGINDGSEHAVELAEMLHRCGHGYHVNLIPYNPIEGSEYRRPYKKAVMAFVAALESRRITVTVRQTRGLDASAACGQLRNDFQKIPLIESPSSSI >DRNTG_33666.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2175923:2177250:-1 gene:DRNTG_33666 transcript:DRNTG_33666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLDGTRADLALIVLYLNKTEARDKICRAIQYGSKFLSNGQPGTAQDVDKSTSLARKVFRLFKFVNDLQALISPPSQGTPLPLILLGKSKNTLLSTFLLLDQVVWAGRTGIYKKSEQYLSKIKQSNDRLLLLIKSSIDIVVAVGLLQLAPETVTPRVTGAFGFITSLISCYQLLPASHKAKMQ >DRNTG_28696.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:469719:472630:1 gene:DRNTG_28696 transcript:DRNTG_28696.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWKLSRGKWRPRLLDFVSSLDESQVEIASRKAFQCLPDLSKAISELTVLKGVGPATASAVLAAYAPEVAPFMSDEAVMASMGSLKDYSLKQYLVFAEKLQSKAKELSENGDVFTPSDVERALWSSAINSKLKASQSEDKSEAGQQKRAKRKRKY >DRNTG_05843.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31607766:31612472:1 gene:DRNTG_05843 transcript:DRNTG_05843.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTVVLFPSSGVGHLAPMTEFAKLLVSHGLSVSIVVLPPFSPFSTASSVNNFISAVTSHPSITFHHLPPIPVSHSPLPTSKPSRSPLLPLFLFLRAVNPYLRDLLRSISQTSKIRAILLDFFCTEALHVAAEIQIPAYIFSASSSFIIAYFLYLPTLYNEITEHFQDLGETPIHFPGMPPIPASHLPSAVQMHDDIYRTYVNYLARLPESKGIVINSFEYLESRTLKTISQGLCLQGRVTPPVHCVGPLITGRAAAERHDCLAWLDKQPKGSVVFLCFGSMGRFPAEQIKEIAIGLERSEQRFLWVVRSPLDTENMFRSAGDADLDSLLPAGFGDRTEGRGMVVKDWAPQVEVLNHEATGGFVTHCGWNSVLEAVCAGVGMIAWPLYAEQKMNKVVLVEEMKLAVEMKGYDKGMVTAKEVETRVRWFMESDGGTELRERAKEMKDRAAAAVSDGGSSHATVVKLTGEWKKVLADIVQIQCG >DRNTG_05843.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31604791:31612472:1 gene:DRNTG_05843 transcript:DRNTG_05843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTVVLFPSSGVGHLAPMTEFAKLLVSHGLSVSIVVLPPFSPFSTASSVNNFISAVTSHPSITFHHLPPIPVSHSPLPTSKPSRSPLLPLFLFLRAVNPYLRDLLRSISQTSKIRAILLDFFCTEALHVAAEIQIPAYIFSASSSFIIAYFLYLPTLYNEITEHFQDLGETPIHFPGMPPIPASHLPSAVQMHDDIYRTYVNYLARLPESKGIVINSFEYLESRTLKTISQGLCLQGRVTPPVHCVGPLITGRAAAERHDCLAWLDKQPKGSVVFLCFGSMGRFPAEQIKEIAIGLERSEQRFLWVVRSPLDTENMFRSAGDADLDSLLPAGFGDRTEGRGMVVKDWAPQVEVLNHEATGGFVTHCGWNSVLEAVCAGVGMIAWPLYAEQKMNKVVLVEEMKLAVEMKGYDKGMVTAKEVETRVRWFMESDGGTELRERAKEMKDRAAAAVSDGGSSHATVVKLTGEWKKVLADIVQIQCG >DRNTG_05843.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31610801:31612472:1 gene:DRNTG_05843 transcript:DRNTG_05843.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTVVLFPSSGVGHLAPMTEFAKLLVSHGLSVSIVVLPPFSPFSTASSVNNFISAVTSHPSITFHHLPPIPVSHSPLPTSKPSRSPLLPLFLFLRAVNPYLRDLLRSISQTSKIRAILLDFFCTEALHVAAEIQIPAYIFSASSSFIIAYFLYLPTLYNEITEHFQDLGETPIHFPGMPPIPASHLPSAVQMHDDIYRTYVNYLARLPESKGIVINSFEYLESRTLKTISQGLCLQGRVTPPVHCVGPLITGRAAAERHDCLAWLDKQPKGSVVFLCFGSMGRFPAEQIKEIAIGLERSEQRFLWVVRSPLDTENMFRSAGDADLDSLLPAGFGDRTEGRGMVVKDWAPQVEVLNHEATGGFVTHCGWNSVLEAVCAGVGMIAWPLYAEQKMNKVVLVEEMKLAVEMKGYDKGMVTAKEVETRVRWFMESDGGTELRERAKEMKDRAAAAVSDGGSSHATVVKLTGEWKKVLADIVQIQCG >DRNTG_07920.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6677168:6679765:-1 gene:DRNTG_07920 transcript:DRNTG_07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCMQGGNAYVRDSPEHYGWRTTSDGDGISIFGSSHVWVDHCSLSNCHDGLIDAIHGSTAITISNNYMTHHDKVMLLGHKDSFLADKNMQVTIAFNHFGEGLVQRMPRHGYFHVVNNDYTHWEMYAIGGSAGPTINSQGNRFLAPNGKYNKEVTKREDAYEEEWKNWNWRSEGDMMLNGAFFTPSGAGASASTYAKASSLGARPSSLVSSITVSAGALACKKGSRC >DRNTG_07920.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6678726:6679765:-1 gene:DRNTG_07920 transcript:DRNTG_07920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCMQGGNAYVRDSPEHYGWRTTSDGDGISIFGSSHVWVDHCSLSNCHDGLIDAIHGSTAITISNNYMTHHDKVMLLGHKDSFLADKNMQVTIAFNHFGEGLVQRMPR >DRNTG_00292.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2028074:2030637:-1 gene:DRNTG_00292 transcript:DRNTG_00292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKTTASEDRAAAKGSKTAAGSATSGAKKEKMSVSAMLASMDRPKPSSSTAAAAAPKKSKPKPVSTYTDGIDLPPSDSDSDADDGLPAPPPPKSRGGASSDLSNAAAVVSGKDVKKLEKKEVMAAHAREVARQEALRDDRDAFTVVLGTRVSSEDDSATAADDNVKDIVVENFSVSARGKELLKNTSVKISHGRRYGLVGPNGMGKSTLLKLLAWRKIPVPRNIDVLLVEQEIIGDDRSALTAVVSADEELVHLRKEAEELSNKPEGDDDGADDDDGEKLKEIYEKLQLMGSDAAEARASKILAGLGFTKEMQGRPTKSFSGGWRMRISLARALFIQPTLLLLDEPTNHLDLRAVLWLEQYLTRWKKTLIVVSHDRDFLNTVCEEIIHLHDLKLHVYRGNFDDFERGYEQKRTEMNKKFEVYDKQLKAARRTGSKAQQDKVKDRAKFTAAKEAAKGKGKGKGKAADDDDDQRPEAPKKWRDYSVEFHFPEPTELTPPLLQLIDASFSYPGREDFKLSDLNLGIDMGTRVAIVGPNGAGKSTLLNLIAGDLSPIEGEVRRSQKLRIGRYSQHFVDLLTMDETPVEYLLRLHPNQVGMSKQEAVRAKLGKFGLPSHNHLTPIVKLSGGQKARVVFTSISMSNPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCEYEENSEIWEVDAGTVRKFPGSFEEYKDELLDIIKAEVDD >DRNTG_21495.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001221.1:6294:8898:1 gene:DRNTG_21495 transcript:DRNTG_21495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWGPITVSMALSNGGTNSSKNRSTSLNRSR >DRNTG_14350.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21645416:21647773:1 gene:DRNTG_14350 transcript:DRNTG_14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALETLCGQAVGAGQLNMLGIYMQRSWVITGVTALILTPFYVFTSPILKLLRQSENISVTAGKYALWVIPQLFAYAMNFPLQKFYQAQSKVWVMTMIAGVVLAIHALLNWIFVTKLDYGLGGAAIVGNISWWLINLAQMVYLMSGFFPESWTGFSWLAFTSLSGFIKLSLASAVMLCLELWYYTAVIILVGCLKNPEVALSAISICMNYQLWTLMIALGFTVAVSVRVSNELGAGHPKAAKFAVIVSVATSSFLGLIFMAVALIARKQFPKFFSDQPDVIRETSKLGYLLGVTMLINSIQPVLSGVAIGAGWQSLVAFINIGCYYLLGLPVGAVLGFKLKLNAMGIWLGMLVGSILQTIILLCITFKTQWQKEALQAEERIKTWGGAIEPRSSP >DRNTG_14350.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21645892:21647087:1 gene:DRNTG_14350 transcript:DRNTG_14350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALETLCGQAVGAGQLNMLGIYMQRSWVITGVTALILTPFYVFTSPILKLLRQSENISVTAGKYALWVIPQLFAYAMNFPLQKFYQAQSKVWVMTMIAGVVLAIHALLNWIFVTKLDYGLGGAAIVGNISWWLINLAQMVYLMSGFFPESWTGFSWLAFTSLSGFIKLSLASAVMLCLELWYYTAVIILVGCLKNPEVALSAISICMNYQLWTLMIALGFTVAVSVRVSNELGAGHPKAAKFAVIVSVATSSFLGLIFMAVALIARKQFPKFFSDQPDVIRETSKLGYLLGVTMLINSIQPVLSG >DRNTG_13315.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23026131:23027117:-1 gene:DRNTG_13315 transcript:DRNTG_13315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDFFMPLKRADHVTVVSKPLPFGNLKPGAIPALTACPRTVRISCNDNDATDSSSDEDDCLEILRRGRRYIHEIRFEARGAAPAVTKSTKGSKKKKVAPTPATAPASGGATRFRGVRRRPWGKYAAEIRDPWRRVRVWLGTFNTAEEAAMVYDSAAIQLRGPDATTNFSRPPAPPPPAREPANVTSSSGGYESGDESHVLSSPTSVLRNFSSSLNTDPEKHQSAQPPTSDSIPDKDVCGSDSGNVTATVTIPEDLGEFMPFEDVPLYNDFLGFGEVEPRIFEDSAQIGLFADGLDDVLPDFGSSTWQVDDYFQDIGDLFPIEPLPAI >DRNTG_14025.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27591115:27593918:-1 gene:DRNTG_14025 transcript:DRNTG_14025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGTGDKEESCHNGYRDRAREESGQNEYQTRGSEAAGSGGVKWPRARKEEAA >DRNTG_05180.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16117443:16122286:1 gene:DRNTG_05180 transcript:DRNTG_05180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPEAKLQSFLHWLYANGAELRGSAIQHCGADKGFGVFSAPTGVRRDDGIVMTIPLDLAITPMRVLQDPYVGPRCRALFEEGHVDDRFLVMIFLTVERLRHNSQWKPYLDMLPSTFGNPLWFLEEELAELKGTTLYQATMLQIPKKKCLYYRKRICERCMMIK >DRNTG_05180.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16117443:16118085:1 gene:DRNTG_05180 transcript:DRNTG_05180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPEAKLQSFLHWLYANGAELRGSAIQHCGADKGFGVFSAPTGVRRDDGIVMTIPLDLAITPMRVLQDPYVGPRCRALFEEGHVDDRFLVMIFLTVERLRHNSQWKPYVLDVIR >DRNTG_05180.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16117443:16125477:1 gene:DRNTG_05180 transcript:DRNTG_05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPEAKLQSFLHWLYANGAELRGSAIQHCGADKGFGVFSAPTGVRRDDGIVMTIPLDLAITPMRVLQDPYVGPRCRALFEEGHVDDRFLVMIFLTVERLRHNSQWKPYLDMLPSTFGNPLWFLEEELAELKGTTLYQATMLQKKNLRALYDDKVKPLVEELLSHDAHLERVNEVRFEDFLWANSIFWTRALNIPLPHFYVFPESLGGQEKDSACKDHGSGVSVTATVGETCVEVDGHKIKEANNVSEKRKDEDTSNAIVSKTIWVEGLVPGIDFCNHGLKGRATWGVDGDGSVTGIPVSMHLILAESNTLEAGKEILISYGNKGNEELLYLYGFVIDNNPDDYLMVHYPMEAFQNVPFADRKARLLEIQKAEFRCLLPRGLLCHGFFPANSLQTGDQKKISDDNLGFSPVNYSWSGQRKVPSYLKILVFPQEFLTTLRTITMQEDELCRVSSLLEEVDFPIYDQF >DRNTG_05180.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16117443:16119689:1 gene:DRNTG_05180 transcript:DRNTG_05180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPEAKLQSFLHWLYANGAELRGSAIQHCGADKGFGVFSAPTGVRRDDGIVMTIPLDLAITPMRVLQDPYVGPRCRALFEEGHVDDRFLVMIFLTVERLRHNSQWKPYLDMLPSTFGNPLWFLEEELAELKGTTLYQATMLQKKNLRALYDDKVKPLVEELLSHDAHLERVNEVRFEDFLW >DRNTG_20728.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17834389:17837017:1 gene:DRNTG_20728 transcript:DRNTG_20728.10 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLEAEAKFFDSTATDAAEGLVEIMEDYVEEPNKSKPESSMTTGPPVADLEKDSNGASISDNARTSTADDEYAQIMAMLDEREKEELEHENASGTNKELGEFEAEAPAEDDSSSDEEEIVEAATGSSTSPHSVNNKGNEIFQKNKLENPLQDSNCEISGKPIGGNLQQYVMEQSQDSSGNAGKQTSRTGFSARRSFTGSIIEHDDANLPKSSSNQSTSSNPAKPVSRFKMQKGNR >DRNTG_20728.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17833706:17837017:1 gene:DRNTG_20728 transcript:DRNTG_20728.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGEVKGTVTPFDSVFSPAAVREAAAKVHDSIADHQKDLARVQGFISDNTNLINLVRHLPDELSHDIMVPFGGAAFFPGKLIHTNEFLVLLGEGYYADRTARQTVEILKRRGKSLELQVESLKAKMMNLEAEAKFFDSTATDAAEGLVEIMEDYVEEPNKSKPESSMTTGPPDSNGASISDNARTSTADDEYAQIMAMLDEREKEELEHENASGTNKELGEFEAEAPAEDDSSSDEEEIVEAATGSSTSPHSVNNKGNEIFQKNKLENPLQDSNCEISGKPIGGNLQQYVMEQSQDSSGNAGKQTSRTGFSARRSFTGSIIEHDDANLPKSSSNQSTSSNPAKPVSRFKMQKGNR >DRNTG_20728.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17833706:17837017:1 gene:DRNTG_20728 transcript:DRNTG_20728.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGEVKGTVTPFDSVFSPAAVREAAAKVHDSIADHQKDLARVQGFISDNTNLINLVRHLPDELSHDIMVPFGGAAFFPGKLIHTNEFLVLLGEGYYADRTARQTVEILKRRGKSLELQVESLKAKMMNLEAEAKFFDSTATDAAEGLVEIMEDYVEEPNKSKPESSMTTGPPGYVFISIWFFTFLTLYYVLVLCLFI >DRNTG_20728.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17834389:17837017:1 gene:DRNTG_20728 transcript:DRNTG_20728.9 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLEAEAKFFDSTATDAAEGLVEIMEDYVEEPNKSKPESSMTTGPPDSNGASISDNARTSTADDEYAQIMAMLDEREKEELEHENASGTNKELGEFEAEAPAEDDSSSDEEEIVEAATGSSTSPHSVNNKGNEIFQKNKLENPLQDSNCEISGKPIGGNLQQYVMEQSQDSSGNAGKQTSRTGFSARRSFTGSIIEHDDANLPKSSSNQSTSSNPAKPVSRFKMQKGNR >DRNTG_20728.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17833706:17837017:1 gene:DRNTG_20728 transcript:DRNTG_20728.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGEVKGTVTPFDSVFSPAAVREAAAKVHDSIADHQKDLARVQGFISDNTNLINLVRHLPDELSHDIMVPFGGAAFFPGKLIHTNEFLVLLGEGYYADRTARQTVEILKRRGKSLELQVESLKAKMMNLEAEAKFFDSTATDAAEGLVEIMEDYVEEPNKSKPESSMTTGPPGYVFISIWFFTFLTLYYVLVLCLFI >DRNTG_20728.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17833706:17837017:1 gene:DRNTG_20728 transcript:DRNTG_20728.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGEVKGTVTPFDSVFSPAAVREAAAKVHDSIADHQKDLARVQGFISDNTNLINLVRHLPDELSHDIMVPFGGAAFFPGKLIHTNEFLVLLGEGYYADRTARQTVEILKRRGKSLELQVESLKAKMMNLEAEAKFFDSTATDAAEGLVEIMEDYVEEPNKSKPESSMTTGPPVADLEKDSNGASISDNARTSTADDEYAQIMAMLDEREKEELEHENASGTNKELGEFEAEAPAEDDSSSDEEEIVEAATGSSTSPHSVNNKGNEIFQNKLENPLQDSNCEISGKPIGGNLQQYVMEQSQDSSGNAGKQTSRTGFSARRSFTGSIIEHDDANLPKSSSNQSTSSNPAKPVSRFKMQKGNR >DRNTG_20728.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17833706:17837017:1 gene:DRNTG_20728 transcript:DRNTG_20728.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGEVKGTVTPFDSVFSPAAVREAAAKVHDSIADHQKDLARVQGFISDNTNLINLVRHLPDELSHDIMVPFGGAAFFPGKLIHTNEFLVLLGEGYYADRTARQTVEILKRRGKSLELQVESLKAKMMNLEAEAKFFDSTATDAAEGLVEIMEDYVEEPNKSKPESSMTTGPPGYVFISIWFFTFLTLYYVLVLCLFI >DRNTG_20728.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17834389:17837017:1 gene:DRNTG_20728 transcript:DRNTG_20728.11 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLEAEAKFFDSTATDAAEGLVEIMEDYVEEPNKSKPESSMTTGPPDSNGASISDNARTSTADDEYAQIMAMLDEREKEELEHENASGTNKELGEFEAEAPAEDDSSSDEEEIVEAATGSSTSPHSVNNKGNEIFQNKLENPLQDSNCEISGKPIGGNLQQYVMEQSQDSSGNAGKQTSRTGFSARRSFTGSIIEHDDANLPKSSSNQSTSSNPAKPVSRFKMQKGNR >DRNTG_20728.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17833706:17837017:1 gene:DRNTG_20728 transcript:DRNTG_20728.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGEVKGTVTPFDSVFSPAAVREAAAKVHDSIADHQKDLARVQGFISDNTNLINLVRHLPDELSHDIMVPFGGAAFFPGKLIHTNEFLVLLGEGYYADRTARQTVEILKRRGKSLELQVESLKAKMMNLEAEAKFFDSTATDAAEGLVEIMEDYVEEPNKSKPESSMTTGPPGYVFISIWFFTFLTLYYVLVLCLFI >DRNTG_20728.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17833706:17837017:1 gene:DRNTG_20728 transcript:DRNTG_20728.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGEVKGTVTPFDSVFSPAAVREAAAKVHDSIADHQKDLARVQGFISDNTNLINLVRHLPDELSHDIMVPFGGAAFFPGKLIHTNEFLVLLGEGYYADRTARQTVEILKRRGKSLELQVESLKAKMMNLEAEAKFFDSTATDAAEGLVEIMEDYVEEPNKSKPESSMTTGPPVADLEKDSNGASISDNARTSTADDEYAQIMAMLDEREKEELEHENASGTNKELGEFEAEAPAEDDSSSDEEEIVEAATGSSTSPHSVNNKGNEIFQKNKLENPLQDSNCEISGKPIGGNLQQYVMEQSQDSSGNAGKQTSRTGFSARRSFTGSIIEHDDANLPKSSSNQSTSSNPAKPVSRFKMQKGNR >DRNTG_20728.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17833706:17837017:1 gene:DRNTG_20728 transcript:DRNTG_20728.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGEVKGTVTPFDSVFSPAAVREAAAKVHDSIADHQKDLARVQGFISDNTNLINLVRHLPDELSHDIMVPFGGAAFFPGKLIHTNEFLVLLGEGYYADRTARQTVEILKRRGKSLELQVESLKAKMMNLEAEAKFFDSTATDAAEGLVEIMEDYVEEPNKSKPESSMTTGPPDSNGASISDNARTSTADDEYAQIMAMLDEREKEELEHENASGTNKELGEFEAEAPAEDDSSSDEEEIVEAATGSSTSPHSVNNKGNEIFQNKLENPLQDSNCEISGKPIGGNLQQYVMEQSQDSSGNAGKQTSRTGFSARRSFTGSIIEHDDANLPKSSSNQSTSSNPAKPVSRFKMQKGNR >DRNTG_05872.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31413200:31417647:1 gene:DRNTG_05872 transcript:DRNTG_05872.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLGASVLGIGEWFIKRMPFARHIYNASKQISAAISPDQNTQAFKEVAIIRHPRIGEYALGFITSAVVLQSYSGEEELCCVYVPTNHLYVGDIFLINSKDVIRPNLSVREGIEIVVSVGMAMPQILTTLDSHAIHMDRPGSSRS >DRNTG_05872.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31413200:31417647:1 gene:DRNTG_05872 transcript:DRNTG_05872.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDERSLAAMASRDSRDRELLIPVGGDHGDDSDSKASPSNASAHHLHHSGREAFYKVVHSWASKKFMTGVVILFPIAITFYITWWFIHFVDGFFSPIYVQLGINIFGLGFVTSILFIFLVGVFMSSWLGASVLGIGEWFIKRMPFARHIYNASKQISAAISPDQNTQAFKEVAIIRHPRIGEYALGFITSAVVLQSYSGEEELCCVYVPTNHLYVGDIFLINSKDVIRPNLSVREGIEIVVSVGMAMPQILTTLDSHAIHMDRPGSSRS >DRNTG_24237.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19859809:19870551:1 gene:DRNTG_24237 transcript:DRNTG_24237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKAPIIRFGIMGCATIAIKVSRAISLAPNSTVVAVASRSIDKARNFITAHGLPEGTRAYGSYEEILEDEGVDAMYVPLPTSLHLRWAVATAEKGKHLLLDKPVALCVADLDRILEACEANGVQYMDATMWMHHPRTVAMKELLSDQGRFGTLRSIHSVFSYGGGDPYFLENDIRMKPDLDALGVLGDAGWYSIRAILWASDYQLPTTAVAISNPIKNAAGVLLSCAATLTWDDGRFATFHCSFLTHITMEISIMGSRGVLNLTDFVIPYEESSAPFTFVSNSGFNEGSLGWIAPPEKYKVSTEIPQEALMVQEFSSLVGEMKSNGSKAEKKWPEISRKTQLVIDAVKASIDNGFQPVQVGK >DRNTG_24237.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19859809:19865976:1 gene:DRNTG_24237 transcript:DRNTG_24237.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKAPIIRFGIMGCATIAIKVSRAISLAPNSTVVAVASRSIDKARNFITAHGLPEGTRAYGSYEEILEDEGVDAMYVPLPTSLHLRWAVATAEKGKHLLLDKPVALCVADLDRILEACEANGVQYMDATMWMHHPRTVAMKELLSDQGRFGTLRSIHSVFSYGGGDPYFLENDIRMKPDLDALGVLGDAGWYSIRAILWASDYQLPTTAVAISNPIKNAAGVLLSCAATLTWDDGRFATFHCSFLTHITMEISIMGSRGVLNLTDFVIPYEESSAPFTFVSNSGFNEGSLGWIAPPEKYKVSTEIPQEALMVQEFSSLVGEMKSNGSKAEKKWPEISRKTQLVIDAVKASIDNGFQPVQVGK >DRNTG_11013.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29367224:29367759:-1 gene:DRNTG_11013 transcript:DRNTG_11013.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSFSGATGNIVVYLIKYYNFKSIDAAQLINIINGSSSFSPLLGAIISDSFIGCLPVIIFSSIASLFVRFFFILFIYYYYFN >DRNTG_11013.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29363698:29367759:-1 gene:DRNTG_11013 transcript:DRNTG_11013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSFSGATGNIVVYLIKYYNFKSIDAAQLINIINGSSSFSPLLGAIISDSFIGCLPVIIFSSIASLFSIIILTLTAGIKAFRPSNLHTLPTSSQLGLLYTALALYVVGTGGTRYNIMAMGADQLSNVDDQDVFFNWYFIVFYMAGVIGITVIVYIEDSISWVLGYGICSAVNALAVLSLLLGLKYYRRPGLKENPFIAIARVIVAGFRKRKFELSTETVAYYHHPSERADQPPSQTFRCMNRAAVIQQGDVAIDGNIARSWSLCTVKEVEDLKTLIRIVPLWTSTITISISIATQASLSVLQALTMDRSLGPRLLVPAGSFYVTTLLTTCLTLFILDRAIYPLCHRLTSYTPTPLQRIGIGQAFNIAAMAASALVERQRSTIVHEHQAESQPAWIVPMSAFWLVLPCMLTGIGEAFHFPGQIAFYYQEFPESLKSTSTGMIAVILSVGFYSSTGLVEVVRRATSWLPDNLNSSRLENVYWLLTVMTSINFTYYILCAKLYKYKRDKNVVVAANSEQYCL >DRNTG_11013.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29363698:29364722:-1 gene:DRNTG_11013 transcript:DRNTG_11013.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAAVIQQGDVAIDGNIARSWSLCTVKEVEDLKTLIRIVPLWTSTITISISIATQASLSVLQALTMDRSLGPRLLVPAGSFYVTTLLTTCLTLFILDRAIYPLCHRLTSYTPTPLQRIGIGQAFNIAAMAASALVERQRSTIVHEHQAESQPAWIVPMSAFWLVLPCMLTGIGEAFHFPGQIAFYYQEFPESLKSTSTGMIAVILSVGFYSSTGLVEVVRRATSWLPDNLNSSRLENVYWLLTVMTSINFTYYILCAKLYKYKRDKNVVVAANSEQYCL >DRNTG_16378.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:918999:919913:-1 gene:DRNTG_16378 transcript:DRNTG_16378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATTKINFKEAGSSQPSSSSRPPVLTSPSPRIHPMDGIQSFLELSINKPTHAIERTSTTTRA >DRNTG_26159.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29283962:29286336:-1 gene:DRNTG_26159 transcript:DRNTG_26159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKEEFLKIQTCVLKVNIHCDGCKQKVKKLLQKIDGVYTTSIDAEQGKVIVSGNVDPATLIKKLGKAGKHAELWASSKGGNNPHFILNNQFQKLQLDNNGKGPQKDHGKPQKGGGNGGKDQKVGQQLPQLDQQKQQLLLQQLKGFKDVKLPNLKDLKLPFGKDPKAVKFNMPADDEGSDYDEFDDDDYDDEDDFDDLDGLDELEEDLKAGKMMKPPGGGGGGGGGHQFQGNPMGNDKKGNGANGKKGDAAQPQNKNDGKKNGNGNVNGNGNQNKNGGNGSANNNKGNQNNNNNNNGGKKGGGNNGGGGGGNQHPNMMMMNQMGNHHLGAAAAVQGLPANAVPPGYLQGGMLGGAPPEVIAAANPYQQQQYMAAIMQQRMMNGGAGGYDPRMYPPMSYGRPMPPPPGMAYMGPPPPPPGEPYTHFFSDENTNSCSIM >DRNTG_26257.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:4181571:4182917:-1 gene:DRNTG_26257 transcript:DRNTG_26257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAPMHIGQMKPIHQHNKQPKSGLSKRYKGIIWYP >DRNTG_25971.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22455929:22460063:-1 gene:DRNTG_25971 transcript:DRNTG_25971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRLWVVDFSDNNLTGLIPDHLCRNSNLILLNLRSNNLVGNIPSGVTNCSSLVQLRLGENSLTGSFPSELCKLVNLTTIELDQNKFSGPISAEIGNCRSLQRLNIPNNYFTAELPVEIGNLSHLVIFNISSNKLEGNIPLSIVNCKMLQRLDISNNQFVGALPDEFGTLIQLERLIVSDNRFSGTIPSILGKLTHLTELQMGGNRFTGKIPKELGGMSSLQIAMNLSCNNLFGSIPPELGDLALLEYLLLNNNSLTGEIPSTFENLSSLLGLNVSYNDLIGPLPSIQLFQSMVASSFFGNKGLCGGPLGNCNGDPSLSASLSPSSSGAPLGRIIAIIAAAIGGISLVLIVVIVYLLRRPVEIVTPLQEKQLSTNSSGMYIHPKERFTFEDIVAATNNFDEDFVIGRGACGTVYKAIMPEGKIVAVKKLSSQREGSNVDNSFRAEISTLGKIRHRNIVKLYGFYYHQGSNLLLYEYMARGSLGELLHGNCSNLDWDVRFMVALGAAEGLSYLHHDCKPRIIHRDIKSNNILLDDNFEAHVGDFGLAKVIDLPQSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGRTPVQPIEQGGDLVTYVRNYIKQNSLTPGILDSRLKLEDKNTVEHMIVVLKIALYCTSMSPIERPSMREVVIALDESKHKYGSFPSSPVSDSYLFSKE >DRNTG_14550.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:27:32017:33597:1 gene:DRNTG_14550 transcript:DRNTG_14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTTITMKEVKKDHILIFPFMAQGHTIPLLHLATFLSTHHHHLQITIITTSGNAPFLQQYLPSSINLSIFPFPSSPLLPTGVESTDHLPSMDLHPIFAATTTNLRPHFHNLLHSLHLSNSLPLCLISDFFLGWTLDVCRLFSVPRLVFHGMSTFSMFICKSLFVHQPYSSEDELFQIPGAPPSLLLSRHQLPDTILTSGDPNNPVTIFLSEIGATDINSWGVIVNSFSFIERGSTLNSLNHSTRTELVHGFLALSLFSLPKLRLKKTMTASNG >DRNTG_00362.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18610686:18612607:1 gene:DRNTG_00362 transcript:DRNTG_00362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARILFRSPIGETLFRSPASHILAVRQMASRPENTGAMAQLLEIDLEADGVAASASEMEVLGMKRLEDAIHGIIVRRAAPDWLPFRPGSSYWVPPKKKNLGLVQLVGKLGNPMTEEENLSFTSVRGWPSFAYFVEGVPPRHARNTIKRVAKELVYTGCRTECLQWLGAEAKPSISAACSS >DRNTG_30608.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10029810:10034397:-1 gene:DRNTG_30608 transcript:DRNTG_30608.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVEAQAEVEGKTEVPERIDGGYDMEEKAAKTYDLAALKYRGTSTHSNFPT >DRNTG_30608.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10029763:10034397:-1 gene:DRNTG_30608 transcript:DRNTG_30608.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAAKTYDLAALKYRGTSTHSNFPT >DRNTG_30608.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10029810:10034397:-1 gene:DRNTG_30608 transcript:DRNTG_30608.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVEAQAEVEGKTEVPERIDDLDLPHSENFKVFASEWLLINPLNRCLNLLKVQIEIWLNATGGYDMEEKAAKTYDLAALKYRGTSTHSNFPT >DRNTG_30608.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10029810:10034397:-1 gene:DRNTG_30608 transcript:DRNTG_30608.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVEAQAEVEGKTEVPERIDDLDLPHSENFKLKVQIEIWLNATGGYDMEEKAAKTYDLAALKYRGTSTHSNFPT >DRNTG_24151.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26238797:26247592:-1 gene:DRNTG_24151 transcript:DRNTG_24151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNSRSGQSFIHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKTSKMEFKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAVLENVIFVHQDESNWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTYKLKLENLQTLKDAAYKLRESINQDQEKAESIITQIKDFEENIHGVETKILHAENTLKEIRKLQDQISTSSTTRSTLFKLQQTQYAALAEENEDTDEELKEWQSKFEERIALLQSKIGKLERELNDEEIKSSLLSQTINDSLREIGKLQAEADAHISLRHERDSTIQMLFTKYNLGSLPDTPFSNEIASNFTMRVKTKLLDLEKDLQDKKKLDNKEVSFLWERHATTNARHSKIEGQKQAKIESKTGILKRMKEIENERDVAEHELSNLNLSHLDEKEKNLQIELERKTHLLGERDYDSNINQKRTEIFSLDQKIKALAHERDVMATDSDDRVRLGMRKDELEVCKNKLKKIMGDCKDQIRCVLKGRIPSDKDLKKEITQAFRLTTSRK >DRNTG_24151.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26240435:26243799:-1 gene:DRNTG_24151 transcript:DRNTG_24151.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTKYNLGSLPDTPFSNEIASNFTMRVKTKLLDLEKDLQDKKKLDNKEVSFLWERHATTNARHSKIEGQKQAKIESKTGILKRMKEIENERDVAEHELSNLNLSHLDEKEKNLQIELERKTHLLGERDYDSNINQKRTEIFSLDQKIKALAHERDVMATDSDDRVRLGMRKDELEVCKNKLKKIMGDCKDQIRCVLKGRIPSDKDLKKEITQAFRLHFNISKLFSGISWTFFCFLLND >DRNTG_32326.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24575357:24578289:-1 gene:DRNTG_32326 transcript:DRNTG_32326.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-hydroxyacyl-CoA lyase [Source:Projected from Arabidopsis thaliana (AT5G17380) UniProtKB/Swiss-Prot;Acc:Q9LF46] MATVTEPSNDALIDGNAVAARALHWAGVEKMFGVVGIPVTSLATRAVALGIRFLAFHNEQSAGYAASAYGYLASKPGVLLTVSGPGCVHGLAGLSNAMANAWPLLMISGSCDQKDFGKGDFQELDQIAAVKPFVKFSAKATDISQIPCLVFEALHHSISGRPGGCYLDIPSDVLHQTISESDLSKLLSEAENSISQQSPGEKPLDIEKAVSLLRSAERPLIVFGKGAAFARAEDSLKKLVDTTGIPFLPTPMGKGLLPDTHELAATAARSLAIGKCDVALIIGARLNWLLHFGEPPRWSKDVKFILVDVSEEEIELRKPYLGLVGDAKKVVDLINKEIKDDPFCLGKSHPWVEAISKKAKENVVKMEAQLAKDVVPFNFLTPMRIIRDAIIAQGSPAPVIVSEGANTMDVGRAVLIQSEPRTRLDAGTWGTMGVGLGYCIAAAVAMPERLVVAVEGDSGFGFSGLEVETLVRYQLPVVVIVFNNGGVYGGDRRNPEEITGPHKDDPAPTSFVPDAAYHTLIEAFGGKGYIVATPDELKSALTESFAARKPAVINVIIDPYAGAESGRLQHKN >DRNTG_09615.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:22056292:22063742:1 gene:DRNTG_09615 transcript:DRNTG_09615.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TORNADO 1 [Source:Projected from Arabidopsis thaliana (AT5G55540) UniProtKB/Swiss-Prot;Acc:Q9FJ57] MFPGHGSPSFFLIVSSMFRKPANREPKTPEEVEADLLYWLRFIVSNSRRADTRSKLPHITIVLTHYDKVSQQQSGHLQTIASSVQRLRERFQDSTDFYPTVFTVDARSSVSVSKLTHHLRMTSRTVVQKAPQVYQLCNDLIKLIAEWRSQNFNRPVMRWSQFCELCQLNIPALRIRSRRDNMGSVDMRRRAVATSLQHVGEVIFFEELGFLILDCGWFCGEVLGQLAKLNATKNSTTEGNGFISKKELEKILRGRLQNQIPGMGSKVFDNLEAGDLIKMMLQLELCYEQDPGNPNTMLLIPGLLEEGRGKSQKWQLNTPECVYVGRRLEFDNSRQMFLTAGFFPRLQVHLHNKIFRLKNQQGAIYSLEKNLILIEINGIHVRVELGEQLSYYYIDILGCSAKTQNINEMLRLFHQLIIPTIHSLCPGITVTESILRPDCVKYLLPSSLRRTQCVPLQRLKQALLSMPADSMYDYQHTWVSLMDGTRTILRSGFDYARNLLSDEDFREVLHRRYYDLHHLAREVAVPLAPSENQEAPTAAADHMVEPSLSGIAQGVETILQRLKIIEQGIKDLKQEIQGLRYYEHRLVIELHRKLDYLVNYNIQLEERKVPQFFYFVQVQNYTKRLVTKLFSGMTALRLHMLCEYRREMHVVDDQMGCELMQVDNQALKCLLPYMSKFMKLLTFALKIGVHLAAGMGEMIPDLSREVAHLIDSSFLYGTAAIAAGAVGGAAALGRARGTQSRGSLNPESSINSRNISQDIKAAQQWLVDFLKDQKIATGKDIAQRFGLWRVRYTDTGHIAWICRRHKEARGIQVVEVPI >DRNTG_09615.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:22056292:22063742:1 gene:DRNTG_09615 transcript:DRNTG_09615.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TORNADO 1 [Source:Projected from Arabidopsis thaliana (AT5G55540) UniProtKB/Swiss-Prot;Acc:Q9FJ57] MNLSFHSVDWKPGPLQNLRCLLLGKNNSNIRQLEFQRNMFGASGLSELSEMIGRNNILEVVIFSECQIGFLGAKLLASALTKNETLEELQVWEDSISSKGAEELSRMIEVNSTLKLLTVVDNNSITATPLISAVLARNRAMEVHIWSRSNNGNRSSKVVEFTPETNTLRVYDVDHSGSQRIACALGLNATVRTLDMTGIRLKSKWAREFRCVLEQNRTLKEVVLSRTCLKDKAIVYIAAGFFKNRFLSKLHLDGNWFTGIGVEHLLCPLSRFSPFQTQANTTLTWLSFGGVKTKIGRGGVIAILKMLETNQTVTHFSIYNDTSLKPEDAVKIFRSLERNATLRCLSFRCCRGIQGEMVLQSVMDTLQVNPWIEEIDLTGTPLHDAGKADRIYEKLGQNSSLVPEKDLLNDLQLTTPTFCRVFFCGQEFAGNNIFFAY >DRNTG_09615.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:22056292:22063742:1 gene:DRNTG_09615 transcript:DRNTG_09615.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TORNADO 1 [Source:Projected from Arabidopsis thaliana (AT5G55540) UniProtKB/Swiss-Prot;Acc:Q9FJ57] MNLSFHSVDWKPGPLQNLRCLLLGKNNSNIRQLEFQRNMFGASGLSELSEMIGRNNILEVVIFSECQIGFLGAKLLASALTKNETLEELQVWEDSISSKGAEELSRMIEVNSTLKLLTVVDNNSITATPLISAVLARNRAMEVHIWSRSNNGNRSSKVVEFTPETNTLRVYDVDHSGSQRIACALGLNATVRTLDMTGIRLKSKWAREFRCVLEQNRTLKEVVLSRTCLKDKAIVYIAAGFFKNRFLSKLHLDGNWFTGIGVEHLLCPLSRFSPFQTQANTTLTWLSFGGVKTKIGRGGVIAILKMLETNQTVTHFSIYNDTSLKPEDAVKIFRSLERNATLRCLSFRCCRGIQGEMVLQSVMDTLQVNPWIEEIDLTGTPLHDAGKADRIYEKLGQNSSLVPEKDLLNDLQLTTPTFCRVFFCGQEFAGKNSLCNSILHNLDSSRLTYTDALRTLVNPVEQIVRSSDIKIKTSQDGDIKISIWNLAGQHENFALHDLMFPGHGSPSFFLIVSSMFRKPANREPKTPEEVEADLLYWLRFIVSNSRRADTRSKLPHITIVLTHYDKVSQQQSGHLQTIASSVQRLRERFQDSTDFYPTVFTVDARSSVSVSKLTHHLRMTSRTVVQKAPQVYQLCNDLIKLIAEWRSQNFNRPVMRWSQFCELCQLNIPALRIRSRRDNMGSVDMRRRAVATSLQHVGEVIFFEELGFLILDCGWFCGEVLGQLAKLNATKNSTTEGNGFISKKELEKILRGRLQNQIPGMGSKVFDNLEAGDLIKMMLQLELCYEQDPGNPNTMLLIPGLLEEGRGKSQKWQLNTPECVYVGRRLEFDNSRQMFLTAGFFPRLQVLFYPFPLFVITVS >DRNTG_09615.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:22056292:22063742:1 gene:DRNTG_09615 transcript:DRNTG_09615.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TORNADO 1 [Source:Projected from Arabidopsis thaliana (AT5G55540) UniProtKB/Swiss-Prot;Acc:Q9FJ57] MNLSFHSVDWKPGPLQNLRCLLLGKNNSNIRQLEFQRNMFGASGLSELSEMIGRNNILEVVIFSECQIGFLGAKLLASALTKNETLEELQVWEDSISSKGAEELSRMIEVNSTLKLLTVVDNNSITATPLISAVLARNRAMEVHIWSRSNNGNRSSKVVEFTPETNTLRVYDVDHSGSQRIACALGLNATVRTLDMTGIRLKSKWAREFRCVLEQNRTLKEVVLSRTCLKDKAIVYIAAGFFKNRFLSKLHLDGNWFTGIGVEHLLCPLSRFSPFQTQANTTLTWLSFGGVKTKIGRGGVIAILKMLETNQTVTHFSIYNDTSLKPEDAVKIFRSLERNATLRCLSFRCCRGIQGEMVLQSVMDTLQVNPWIEEIDLTGTPLHDAGKADRIYEKLGQNSSLVPEKDLLNDLQLTTPTFCRVFFCGQEFAGKNSLCNSILHNLDSSRLTYTDALRTLVNPVEQIVRSSDIKIKTSQDGDIKISIWNLAGQHENFALHDLMFPGHGSPSFFLIVSSMFRKPANREPKTPEEVEADLLYWLRFIVSNSRRADTRSKLPHITIVLTHYDKVSQQQSGHLQTIASSVQRLRERFQDSTDFYPTVFTVDARSSVSVSKLTHHLRMTSRTVVQKAPQVYQLCNDLIKLIAEWRSQNFNRPVMRWSQFCELCQLNIPALRIRSRRDNMGSVDMRRRAVATSLQHVGEVIFFEELGFLILDCGWFCGEVLGQLAKLNATKNSTTEGNGFISKKELEKILRGRLQNQIPGMGSKVFDNLEAGDLIKMMLQLELCYEQDPGNPNTMLLIPGLLEEGRGKSQKWQLNTPECVYVGRRLEFDNSRQMFLTAGFFPRLQVHLHNKIFRLKNQQGAIYSLEKNLILIEINGIHVRVELGEQLSYYYIDILGCSAKTQNINEMLRLFHQLIIPTIHSLCPGITVTESILRPDCVKYLLPSSLRRTQCVPLQRLKQALLSMPADSMYDYQHTWVSLMDGTRTILRSGFDYARNLLSDEDFREVLHRRYYDLHHLAREVAVPLAPSENQEAPTAAADHMVEPSLSGIAQGVETILQRLKIIEQGIKDLKQEIQGLRYYEHRLVIELHRKLDYLVNYNIQLEERKVPQFFYFVQVQNYTKRLVTKLFSGMTALRLHMLCEYRREMHVVDDQMGCELMQVDNQALKCLLPYMSKFMKLLTFALKIGVHLAAGMGEMIPDLSREVAHLIDSSFLYGTAAIAAGAVGGAAALGRARGTQSRGSLNPESSINSRNISQDIKAAQQWLVDFLKDQKIATGKDIAQRFGLWRVRYTDTGHIAWICRRHKEARGIQVVEVPI >DRNTG_05643.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22359260:22360728:1 gene:DRNTG_05643 transcript:DRNTG_05643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTPSPLSPSFSSPPNPLPSLCKTFTSITCPSPLTAAFTEEFYHFIHQQHQLLSSTSSGRLFNTCRAIEAKFLDHFSIYHPNIFSVGPLNPIEIHKNSSVIPLECLQWLNKQPKASVIYVSFGTTSCFSKEQMLELGSGLEKSGERYLWVVRDADRGDIYANNEEDHDQCLVCEQRGMGMVVKGWVPQLEILEHSSMAAFMSHCGWNSCMESLSLGVAMLTWPMHSDQPCNAMLVTDLLKVGIMVRDWNKRHEIVRAVTVRDKVVELMHGDGGKEVRMKARALGEAIRREAIDGHASKNGGLDSFIDHVTR >DRNTG_26031.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1712856:1715362:1 gene:DRNTG_26031 transcript:DRNTG_26031.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPSSAAEVIYDPSLSHARQSHSRASAGEFQNFMSGSIGAMYQKPSRKPINQELDVENDELDIRKLMKDVEYIGSSSMSWKERKKLQNEKVVALGGKPTKKHRTPLSVAKPAMKNQKKREQREMEENLLLGRFIKRPKNNMTQKRRPEDRVLKSSIGNFSRGVLDVKDMLKPTPSKGHHGESRSSIEGKKKGKRKGKGKKGKRKGR >DRNTG_03127.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21547955:21549551:1 gene:DRNTG_03127 transcript:DRNTG_03127.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTTVPPPQRQSEDRKALLLGRYDVGRLLGHGTFAKVYLARNARTGESVAIKVLDKEKILKSGLMAHIKREIAILRRVRHPNIVQLHEVMATKAKIYFVMEFVRGGELFAHVAKGRLREDVARKYFQQLISAVGFCHARGVFHRDLKPENLLLDEDGNLKVSDFGLSAVSDQIRQDGLFHTFCGTPAYVAPEVLARRGYDAAKADIWSCGVVLFVLMAGYLPFHDHNLMAMYRKIYKGEFRCPRWFSPELSRFLSRLLDTNPQTRITIPEIMENRWFKKGFRHVRYYIENDRFYSFDDIDTPLPPDEKSESESESEADTSSSSTSQKRGLGLPRPASLNAFDIISFSPGFDLSGLFEERGEETRFLSGEPVSKIISKLEDIAKVVSFTVRKKDCSVSLEGTREGEKGPLSIAAEIFEITPELVMVEVKKKGGDKSEYDEFCKRELKPGLQNLTYTPRHAIVESDTE >DRNTG_03127.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21547955:21551843:1 gene:DRNTG_03127 transcript:DRNTG_03127.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTTVPPPQRQSEDRKALLLGRYDVGRLLGHGTFAKVYLARNARTGESVAIKVLDKEKILKSGLMAHIKREIAILRRVRHPNIVQLHEVMATKAKIYFVMEFVRGGELFAHVAKGRLREDVARKYFQQLISAVGFCHARGVFHRDLKPENLLLDEDGNLKVSDFGLSAVSDQIRQDGLFHTFCGTPAYVAPEVLARRGYDAAKADIWSCGVVLFVLMAGYLPFHDHNLMAMYRKIYKGEFRCPRWFSPELSRFLSRLLDTNPQTRITIPEIMENRWFKKGFRHVRYYIENDRFYSFDDIDTPLPPDEKSESESESEADTSSSSTSQKRGLGLPRPASLNAFDIISFSPGFDLSGLFEERGEETRFLSGEPVSKIISKLEDIAKVVSFTVRKKDCSVSLEGTREGEKGPLSIAAEIFEITPELVMVEVKKKGGDKSEYDEFCKRELKPGLQNLTYTPRHAIVESDTE >DRNTG_11415.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:883422:885002:-1 gene:DRNTG_11415 transcript:DRNTG_11415.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRVYNLTAANSAGAGDPVKGLKSSANPEGSDSSSDL >DRNTG_04800.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2404439:2404778:1 gene:DRNTG_04800 transcript:DRNTG_04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSQRPRSLRPASRSAHFPSSSSLHSCRWLRRGRRGQGRRHRGRRRETWGLHRRETEME >DRNTG_04334.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31195806:31198935:-1 gene:DRNTG_04334 transcript:DRNTG_04334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALGGGGFWRSFRARGRNPRRKSTKSSSESSIGGRTTSGWSMVDFPFKQAAVASSLTLAGDTIAQARDLLFPCRPPAGSNAEDKDASTFQPTYDWLRAMRMASYGFLLYGPGTYVWYQFLDRCMPNPTLVNLSVKVLLNQIILGPSVIAVIFAWNNLWLGKLSDLPNKYQKDALPTLLYGFRFWIPVTILNFGLVPLSTRVAFMSTCSIFWNFYLSSVCK >DRNTG_30405.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001571.1:23089:25068:1 gene:DRNTG_30405 transcript:DRNTG_30405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMFKVLTIQVLSSRPMLFDILYKAALKFNGKHNCPRLLVHLHKGNMSSNRCFEIHNLAIGYNGRCSFKVAKCHPMVKCNPHRIRFKVKQLVFDTSMVQCRFDQSHFCNIFCNTVESRTFFDIF >DRNTG_15352.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12342659:12352460:1 gene:DRNTG_15352 transcript:DRNTG_15352.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNWVSVAPPNYKGRLRDHDMAGMKLRNGVEKDRQHGSQDGTVPVQNGSALKKREEKHVVWAVEFADEGRCQVLGVVGLGGDRRDGVEGQGSGEGQSEARRKGRIVRSIMRERSQCGSKCTNLEEILLRFTREDIGLWRGSTALTSRAVLKKVSGRAFVGTDPARCILGRTKDFETLQMGDDEGVQAYISRVIIITNQIKALGRKLKKHEVVSKVLWSLAPKFDWVAIAIEESKEISKLTLDGLSGTLQAHKVRVNRVVGKAVEKALHVKSEHPVTNYSKFGCAGSLWGDGRGRGRVKTGKLILVDLASSEKVEKIGAEGKVLKEAKNIIKSLSSLGNVINVSEF >DRNTG_05521.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2439686:2440028:1 gene:DRNTG_05521 transcript:DRNTG_05521.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMEYCVTGGTGLIGSYLVKALLDKGHKVRATVRDPADESKVSFLFTFHGSQERLKLFKADLMVEGSFDEAIDGVDGVFHAASPVLVPYDE >DRNTG_05521.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2439686:2446381:1 gene:DRNTG_05521 transcript:DRNTG_05521.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMEYCVTGGTGLIGSYLVKALLDKGHKVRATVRDPADETKVSFFFSFHGAQERLKLFKADLMVEGSFDEAIDGVDGVFHVASPVLVPYDERIQVRESEI >DRNTG_21882.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19142960:19148069:1 gene:DRNTG_21882 transcript:DRNTG_21882.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABA Overly-Sensitive 5 [Source:Projected from Arabidopsis thaliana (AT1G51965) TAIR;Acc:AT1G51965] MRIHCFRRGLLAFGSYHHDVALRRFTTSYSGRIVRADPAGLSLAVEVDPPDLVIDVRGYDLPRRDLICRASKILLSSSHYSDPMLELSDYLQTLNVTLSTLEVSEILKSLRSPSKALEFFRFVASLPGYRHDCFTYNRILSILSKSSEDVDLVRKIVDEMERDGVRGSISTVNILIGMVGAAEIERCFELAKKWDLRFNGYTYKCLLQAYLRYRDVEGAAKLYWVMRRKGYKLDIFAYNMLLDALAKAEKINEAYTVFRDMKRKHCEPDVYTYTILVRMSGKLGKINEFLSYFEEMLTKGCGLNLIAYNTIIQALAKNQMVDKAMLVFHKMIDAGCRPNEFTYSVILNALAAGGQLNRLSEVVEISNRYMNKSIYAYLVKTLSKLGHASEAHSLFCRMWNIYDVGDRDAYISMLEILCDAGKTSEAMDLLYKIHERGIATDNVMYSKVFTALGKLKQIAHIHALYEHMKHNGPPANIFTYNILISSFGRSGLTDKALELFEDMECCECKPDVITYNSLINCLGKSGELDEAHLRFKEMQEKGMNPDVVTYSTLIECFGKANKVDMACKLFDDMLAAGCYPNIVTYNILLDCLEKCGRTAEALKLYDTLKQQGLTPDSITYAVLERLKSGSHGTVRVRKPSRIASWVVSPLR >DRNTG_21882.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19142960:19148069:1 gene:DRNTG_21882 transcript:DRNTG_21882.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABA Overly-Sensitive 5 [Source:Projected from Arabidopsis thaliana (AT1G51965) TAIR;Acc:AT1G51965] MRIHCFRRGLLAFGSYHHDVALRRFTTSYSGRIVRADPAGLSLAVEVDPPDLVIDVRGYDLPRRDLICRASKILLSSSHYSDPMLELSDYLQTLNVTLSTLEVSEILKSLRSPSKALEFFRFVASLPGYRHDCFTYNRILSILSKSSEDVDLVRKIVDEMERDGVRGSISTVNILIGMVGAAEIERCFELAKKWDLRFNGYTYKCLLQAYLRYRDVEGAAKLYWVMRRKGYKLDIFAYNMLLDALAKAEKINEAYTVFRDMKRKHCEPDVYTYTILVRMSGKLGKINEFLSYFEEMLTKGCGLNLIAYNTIIQALAKNQMVDKAMLVFHKMIDAGCRPNEFTYSVILNALAAGGQLNRLSEVVEISNRYMNKSIYAYLVKTLSKLGHASEAHSLFCRMWNIYDVGDRDAYISMLEILCDAGKTSEAMDLLYKIHERGIATDNVMYSKVFTALGKLKQIAHIHALYEHMKHNGPPANIFTYNILISSFGRSGLTDKALELFEDMECCECKPDVITYNSLINCLGKSGELDEAHLRFKEMQEKGMNPDVVTYSTLIECFGKANKVDMACKLFDDMLAAGCYPNIVTYNILLDCLEKCGRTAEALKLYDTLKQQGLTPDSITYAVLERLKSGSHGTVRVRKPSRIASWVVSPLR >DRNTG_21882.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19142960:19143925:1 gene:DRNTG_21882 transcript:DRNTG_21882.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABA Overly-Sensitive 5 [Source:Projected from Arabidopsis thaliana (AT1G51965) TAIR;Acc:AT1G51965] MRIHCFRRGLLAFGSYHHDVALRRFTTSYSGRIVRADPAGLSLAVEVDPPDLVIDVRGYDLPRRDLICRASKILLSSSHYSDPMLELSDYLQTLNVTLSTLEVSEILKSLRSPSKALEFFRFVASLPGYRHDCFTYNRILSILSKSSEDVDLVRKIVDEMERDGVRGSISTVNILIGMVGAAEIERCFELAKKWDLRFNGYTYKCLLQAYLRYRDVEGAAKLYWVMRRKGYKLDIFAYNMLLDALAKAEKVSFFFSN >DRNTG_30065.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22690943:22693507:1 gene:DRNTG_30065 transcript:DRNTG_30065.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRLLLFLFFYLLPGIIAQNTEDVTIIVRGSATIAETDDNFVCATLDWWPPEKCNYNQCPWGQASILNLNLNHPILSKAIQAFGSLRIRVGGSLEDQVDYGIGDLGQPCLPFKKMQGGLFGFSTGCLSMKRWDELNLLFQKSGAIVTFGLNALKGRKQIRKSVWGGSWNYSNARDFIEYTVSKGYRVDSWEFGNELSGHGIGASVDAEQYGKDIIALKSIVNELYKNSSSKPLILAPGGFFEQEWYAQLLQVSGPGIVNGLTHHIYNLGGGDDSRIASKILDPKYLSQIANTFRNLQLTIQRHGPWSSAWVGEAGGAYNSGSRLVSNKFLNSFWYMDQLGMASKYDTKAYCRQTLIGGNYGLLDTKTFVPNPDYYSALLWHRLMGKGVLSVDMSGSPYLRAYAHCRKQKVGVSILLINLSKSTWFSVTVRNDINVNIAEGGGIHKDGNFVHGLKKTVSWVGRKILDGSAKRQEYHLTALNGNYLSQTVLLNGSPLELTKDGNIPSLQPIFVPADSPVSVAPLSIVFVVAPNFEARVCT >DRNTG_16518.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5377123:5378348:1 gene:DRNTG_16518 transcript:DRNTG_16518.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome f [Source:Projected from Arabidopsis thaliana (ATCG00540) UniProtKB/Swiss-Prot;Acc:P56771] KNILVIGPAPGQKYSEIVFPILSPDPATKKDVHFLKYPIYVGGNRGRGQIYPDGSKSNTLVYNATSAGIVSKIVRKEKGGYEITIIDALDGHQVVDIIPRGPELLVSEGESIKLDQPLTSNPNVGGFGQGDAEIVLQDPLRIQGLLFFLASVILAQIFLVLKKKQFEKVQLYEMNF >DRNTG_12997.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13046901:13049269:-1 gene:DRNTG_12997 transcript:DRNTG_12997.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEAPPVAEEALPVHMFSPSRAHDRFEKLKSTLGLIRTEVVEVQPEISEIRAAQAVQYTEFMAHFEPSLAYPAPPSPTPAPVDPPCASSLAAAPEPEDGFVILGIETLSWARPRPDTVAEHMNSEKTRIQRIHIGVWKLYTAVWKFHTGV >DRNTG_05741.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7192330:7193274:-1 gene:DRNTG_05741 transcript:DRNTG_05741.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPGPKKGDSAIRAPLFPQQRLIEGGRLQDTPTPLL >DRNTG_25348.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24116009:24120970:1 gene:DRNTG_25348 transcript:DRNTG_25348.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BB2 [Source:Projected from Arabidopsis thaliana (AT3G63530) UniProtKB/TrEMBL;Acc:A0A178VG02] MNSGRQVEVHYINTGFPYTVTESFMDLFEGLTYAHADVALAEALHDQGSSYWPMMNANSYKYGFFGSGNNSYYNFSHAYEINDYVPRFDGGRRMLDNPAQLDNVDSAQLVLNGSGGSDASASTGTQECVRTNHSASSSQVLWQDNIDPDNMTYEELLDLGEAVGTQSRGLSQEHISLLPVSKYKCGFFSRKKSRGERCVICQMDYRRGDRQMTLPCKHVYHSGCVARWLSINKACPVCFVEVSCDELKRQ >DRNTG_28616.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28463315:28468345:-1 gene:DRNTG_28616 transcript:DRNTG_28616.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFNCFSSLLVGKKKKSKESPKSVSAKHVSSHGGNPTVKPEELINQSYGKEEKEVALDILMSFKTDERSSPLCVKAVHDEKLSRAETPAEAAYEGGDEHDDMVSMKRDYSDLDLQALDAGKGELKSHDLNNYELENKSEREEEGITPELAMQSGHASDPGMGRTTAFWGSPRLKRSCSNIETKRNTQLPASPLKSQSYNDLQNLAGNANGDLLNGMPSSPLSAMTNCSADRVMLKKRSSSQVLPSRSRKLWWKLFLWSHRNLHRPRNSKPQRLLSTKHAGNKKGGYFSDTLEPNCAPDTKNKRPMEEKGNNNRADMWPQNQWVAFSAGSSPLDRVNAWVNSLESSPFCPIDDDEIDEGETSGSVPLLNSSEIGESSGKNHSYTGKRFVEEFVQANNIIQSLNPLSSVAHISGMGLKVIPSISAFSSLRAVNLSGNFIVHITPGMLPKSLHALDLSRNKIATIEGLRELTRLRVLNLSYNRISRIGHGLSNCTLIKELYLTGNKISDVEGLHRLLKLSVLDLSFNKITTAKSLGQLVANYNSLLALNLLGNPIQSNIGDDQLRKAVTGLLPNLTYLNKQPIKPQRVREVATDSVARAALGNSGWNSRRRSARRASQGSASLIRGASSSISGQKSNKHRSKSRHGSSSRK >DRNTG_03176.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4506428:4507130:-1 gene:DRNTG_03176 transcript:DRNTG_03176.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSTDTWTRGEVRPMKRILAPTSPETRRSTRSLTAASRMSSRASDWRTSTRETTTRERTRRAL >DRNTG_03176.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4506428:4506741:-1 gene:DRNTG_03176 transcript:DRNTG_03176.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRILAPTSPETRRSTRSLTAASRMSSRASDWRTSTRETTTRERTRRAL >DRNTG_08842.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27915494:27918036:-1 gene:DRNTG_08842 transcript:DRNTG_08842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKEEEEEEKFQKSYLTGDEDLRRASQLVDSLISSSYSVRTLPVKWRVIREKLEQLHSGLTVAAGGNQEGSYNSSALAELLRDIVSMAGETQALAHRCSEECYSGGKLQMRSDLDVIASKIDLQIKRLGEVYASGILTDAQAIIVSRPSAGASREDMRFYVKDLFSRLRIGDSEMRGRAIAALAEALHEDDKYARIAVLETDDGVGLLVRLLDSRVAGFQEEAAEVVSVIAGFDSYKGALITAGVIASLIRVLETGTEVGRSRAARALRKLTENSDNAWSVSAHGGVTALLSICRDAGSGEELITSASRVLRNLSSVSEIRRFMVEQGAVSIFLNILRSRNKEPFQIQAIEFLHTLASDDEAIKEKVISQGTIESLLSVLDTGSSKAKEITLQAIDTLCFSSASAMSSLTAHGFLDRILFYVKSGEPSTQELALKAALRLGTSTDETKKTMGDMGFIPALIALLETKSFDVREMAAELLCGLVSVQRNRRRFIQEDYNINRVLQLLTPDDEKSVSKKFLLSILISLTENHSGRRKIAASGYMKNLEKLAETDVVDAKKIVKKLSGNKLRNIFSGLWGS >DRNTG_26809.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20347641:20352598:-1 gene:DRNTG_26809 transcript:DRNTG_26809.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRCRSRRTSPKTLANTPQNPSRSPLSSWGKDGEKNIKIRAESALNRAGIGRLHGRGCSTRLSLFFFRGHLVVSFHGLHASAWVPVRAKGGVFGRVLGANFSPGL >DRNTG_23459.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:736581:740456:-1 gene:DRNTG_23459 transcript:DRNTG_23459.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGASDTEPITTEAQPASKRRRKKSLVWEHFTIEAVAGGCTRACCKLCKQTFAYSSGTKIAGTSHLKRHIALGSCPKIKNQDKKQLMLPGTQTDGNATDPPKRRYRRSSWNSALFEQDSSCVNLAKMIILHEYPLHIVEHHGFVSFAQSLQPRFRMASVNDIEGEILSVYQKEKQSLMQILGNLPGRFSLTISLWTTSQTLGYVCLSGQFIDGEWRLHRRMLNFMMVSSPHSENALSEAIGICLSDWNMQTKLFTITLDNNCSSHDIYSANLRDHLTNKNSLMLKGQLFVVRCYAHILNVVAQDVMASIHGIIYNIRESVKFIKASPAREEKFAEIALQLEIFSTNNLCLDVATQWNTTYLMLVAALDYKQAFACLETCDDSYNEAPSAEDWKKVDIVCSFLKLLYDSANVIMLAADPTANIFFQEAWKIQVELINATRNEDATVSGIAKEMHEKFDKYWKDCSLILAIAVVMDPRFKLKLVEFSFSKIYGEDAARYVKLVNDAIHELYLEYVAQPLPLTPAYVEQEGDTNVTNGNNDNNQSTITVSAGDGLLDFDIFISETAVTQHTKSELDQYLEEALVPRIQEFDILNWWKLNNLKYPTLSRMARDVLAIPVSMVSQGSSIFAAGTGSCMLDEYRSSLRPDIVEALFCAKDWLQFAPPVAEPSSTAIVKMEF >DRNTG_23459.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:736581:740611:-1 gene:DRNTG_23459 transcript:DRNTG_23459.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGASDTEPITTEAQPASKRRRKKSLVWEHFTIEAVAGGCTRACCKLCKQTFAYSSGTKIAGTSHLKRHIALGSCPKIKNQDKKQLMLPGTQTDGNATDPPKRRYRRSSWNSALFEQDSSCVNLAKMIILHEYPLHIVEHHGFVSFAQSLQPRFRMASVNDIEGEILSVYQKEKQSLMQILGNLPGRFSLTISLWTTSQTLGYVCLSGQFIDGEWRLHRRMLNFMMVSSPHSENALSEAIGICLSDWNMQTKLFTITLDNNCSSHDIYSANLRDHLTNKNSLMLKGQLFVVRCYAHILNVVAQDVMASIHGIIYNIRESVKFIKASPAREEKFAEIALQLEIFSTNNLCLDVATQWNTTYLMLVAALDYKQAFACLETCDDSYNEAPSAEDWKKVDIVCSFLKLLYDSANVIMLAADPTANIFFQEAWKIQVELINATRNEDATVSGIAKEMHEKFDKYWKDCSLILAIAVVMDPRFKLKLVEFSFSKIYGEDAARYVKLVNDAIHELYLEYVAQPLPLTPAYVEQEGDTNVTNGNNDNNQSTITVSAGDGLLDFDIFISETAVTQHTKSELDQYLEEALVPRIQEFDILNWWKLNNLKYPTLSRMARDVLAIPVSMVSQGSSIFAAGTGSCMLDEYRSSLRPDIVEALFCAKDWLQFAPPVAEPSSTAIVKMEF >DRNTG_23459.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:736581:740587:-1 gene:DRNTG_23459 transcript:DRNTG_23459.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGASDTEPITTEAQPASKRRRKKSLVWEHFTIEAVAGGCTRACCKLCKQTFAYSSGTKIAGTSHLKRHIALGSCPKIKNQDKKQLMLPGTQTDGNATDPPKRRYRRSSWNSALFEQDSSCVNLAKMIILHEYPLHIVEHHGFVSFAQSLQPRFRMASVNDIEGEILSVYQKEKQSLMQILGNLPGRFSLTISLWTTSQTLGYVCLSGQFIDGEWRLHRRMLNFMMVSSPHSENALSEAIGICLSDWNMQTKLFTITLDNNCSSHDIYSANLRDHLTNKNSLMLKGQLFVVRCYAHILNVVAQDVMASIHGIIYNIRESVKFIKASPAREEKFAEIALQLEIFSTNNLCLDVATQWNTTYLMLVAALDYKQAFACLETCDDSYNEAPSAEDWKKVDIVCSFLKLLYDSANVIMLAADPTANIFFQEAWKIQVELINATRNEDATVSGIAKEMHEKFDKYWKDCSLILAIAVVMDPRFKLKLVEFSFSKIYGEDAARYVKLVNDAIHELYLEYVAQPLPLTPAYVEQEGDTNVTNGNNDNNQSTITVSAGDGLLDFDIFISETAVTQHTKSELDQYLEEALVPRIQEFDILNWWKLNNLKYPTLSRMARDVLAIPVSMVSQGSSIFAAGTGSCMLDEYRSSLRPDIVEALFCAKDWLQFAPPVAEPSSTAIVKMEF >DRNTG_13751.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:973647:979977:1 gene:DRNTG_13751 transcript:DRNTG_13751.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDHKALLRSPASSGSEFDLEAQPSPPVLSPSGRRSGISELLKNLDRKLSGRRLSQRQSPLPAFDQAHDELGDGAPPEWALLLIGCLLGLATGLCVAAFNRAVYIIHEWAWAGTPSDGAAWLRFQRLEDTWHRILLIPVTGGVVVGMMHGLLEIFEQIKQSRSSQTRSVDLLAGIFPTVKAIQAAVTLGTGCSLGPEGPSVDIGKSCAYGCSEMMENNRERRIALVAAGAAAGIASGFHAAVAGCFFAIETVLRPLKAENSPPFTTAMIILASVISSTVSNVLLGEKSAFTVPTYELKSAAELPLYLILGMLCGAVSVVFTCLVTWFTKLFDYIKERFSLPAVVCPALGGLGAGMIALKYPGILYWGFTNVDEILHTGKTASAPGVWLLTQLVAAKLVATALCKGSGLVGGLYAPSLMFGAAVGAVFGGVASELINSAIPGTAAVAQPQAYALVGMAATLASVCSVPLTSVLLVFELTKDYRILLPLMGAVGLAIWVPSVANRFKKSEALERRVSVHGYSSLVSDEDKNEATGKQSDGNDDMELSNLGNQNSYQGIINEENVLEHLKVSQAMSKNFIAVLPTSTLSYAIEMMQNNQQNCVLVVDKENLLEGILTLGDIRRRGFGVHGEMPSTPKEDSAIKDVNTCLVSSCCIRGFQYQGRERGLLTCSPDTDLATAKELMEAKGIKQLPVVKRGGHIRNDQKHSVMGLMHYESISRCLREVVEHQKSLYHDRKEEFQDVAGGH >DRNTG_35102.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16314854:16315199:-1 gene:DRNTG_35102 transcript:DRNTG_35102.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPLGGVASRCLQPPNNVAPRSRSSSFLLSPPRNARISSLFALLKLGS >DRNTG_23928.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1121172:1124169:1 gene:DRNTG_23928 transcript:DRNTG_23928.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKNDGSESSDKGLFSNLAYGLAGHLPGQYSSGAYPPPYGHPQAPGAYPPQGYPPQGYPPSGYPPAGGHQPYGYPPSGYPPSGYPPAGYPAPSAPPHYGSSHGSHGSGYGPLLAGGVAAAAAAYGAHQLSHGHMGHGMFHGHGHHHGYGHHGKFKHHGKFKHGKFGKHMFGGKHGMFGGKFKKWK >DRNTG_23928.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1121127:1124169:1 gene:DRNTG_23928 transcript:DRNTG_23928.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKNDGSESSDKGLFSNLAYGLAGHLPGQYSSGAYPPPYGHPQAPGAYPPQGYPPQGYPPSGYPPAGGHQPYGYPPSGYPPSGYPPAGYPAPSAPPHYGSSHGSHGSGYGPLLAGGVAAAAAAYGAHQLSHGHMGHGMFHGHGHHHGYGHHGKFKHHGKFKHGKFGKHMFGGKHGMFGGKFKKWK >DRNTG_23928.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1121172:1124343:1 gene:DRNTG_23928 transcript:DRNTG_23928.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKNDGSESSDKGLFSNLAYGLAGHLPGQYSSGAYPPPYGHPQAPGAYPPQGYPPQGYPPSGYPPAGGHQPYGYPPSGYPPSGYPPAGYPAPSAPPHYGSSHGSHGSGYGPLLAGGVAAAAAAYGAHQLSHGHMGHGMFHGHGHHHGYGHHGKFKHHGKFKHGKFGKHMFGGKHGMFGGKFKKWK >DRNTG_23146.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14659763:14660956:1 gene:DRNTG_23146 transcript:DRNTG_23146.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTKRCASKRPRTIGPSSTSDEPVFMLSHHRERYDRLKTKPFGTLFYLDWGLVENLGIANQTEHTELDPEGAPAPTPGATSLPDLDMRLRGIDGEVQAVRQEQREIHGQLHQIIEGQRRLEADLYHFLTSYFGSSSHTVTTSSTAMPPPPAPDYDE >DRNTG_33592.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22742775:22756815:1 gene:DRNTG_33592 transcript:DRNTG_33592.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISFSSKKKDGHSSSNAQETSSFRRWYSHLDNGHA >DRNTG_33592.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22742775:22749587:1 gene:DRNTG_33592 transcript:DRNTG_33592.2 gene_biotype:protein_coding transcript_biotype:protein_coding GHSSSNAQETSSFRRWYSHLDNGHA >DRNTG_33592.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22744656:22751088:1 gene:DRNTG_33592 transcript:DRNTG_33592.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENNNGRMISLNGNNWQIWKAKMEDLLYSKDLYDPIDGKKPEDMSEDDWKKENRK >DRNTG_33592.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22752628:22756269:1 gene:DRNTG_33592 transcript:DRNTG_33592.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVGHDTNKIAKLKNSLSKSFAMKDLGPAKQILGMSIRRDRRAKKLWLSQEKYIEKVLDRFNMKGAKPVNTPLGSHFKLKQSNPSENEKKEMKIIPYASAVGSLMYAMVCTRPDIAYAVGVVCRHLANPNKEHWQAVKWIFRYLRGTPRTCLCFGSGKPVLEGYTDADMAGDFDTRKSTSGFLFTFAGGAVSWQSKLQKCVALSTTEAEYIVITEACKEILWMKRFLNELGLKQGRYVIHCDSQSAIHLSKNSSFHSKSKHIDIRYHWIRDVLENKLLELAKVHTEENISDMLTKPVLKDKHEYCRSWAGMEGASFLLVQGKPHESVGGDLLGLGQPNLGPFSCVGHMRLSEFQFRNNQ >DRNTG_33592.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22752628:22756815:1 gene:DRNTG_33592 transcript:DRNTG_33592.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVGHDTNKIAKLKNSLSKSFAMKDLGPAKQILGMSIRRDRRAKKLWLSQEKYIEKVLDRFNMKGAKPVNTPLGSHFKLKQSNPSENEKKEMKIIPYASAVGSLMYAMVCTRPDIAYAVGVVCRHLANPNKEHWQAVKWIFRYLRGTPRTCLCFGSGKPVLEGYTDADMAGDFDTRKSTSGFLFTFAGGAVSWQSKLQKCVALSTTEAEYIVITEACKEILWMKRFLNELGLKQGRYVIHCDSQSAIHLSKNSSFHSKSKHIDIRYHWIRDVLENKLLELAKVHTEENISDMLTKPVLKDKHEYCRSWAGMEGASFLLVQGKPHESVGGDLLGLGQPNLGPFSCVGHMRLSEFQFRNNQ >DRNTG_33592.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22752628:22756299:1 gene:DRNTG_33592 transcript:DRNTG_33592.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVGHDTNKIAKLKNSLSKSFAMKDLGPAKQILGMSIRRDRRAKKLWLSQEKYIEKVLDRFNMKGAKPVNTPLGSHFKLKQSNPSENEKKEMKIIPYASAVGSLMYAMVCTRPDIAYAVGVVCRHLANPNKEHWQAVKWIFRYLRGTPRTCLCFGSGKPVLEGYTDADMAGDFDTRKSTSGFLFTFAGGAVSWQSKLQKCVALSTTEAEYIVITEACKEILWMKRFLNELGLKQGRYVIHCDSQSAIHLSKNSSFHSKSKHIDIRYHWIRDVLENKLLELAKVHTEENISDMLTKPVLKDKHEYCRSWAGMEGASFLLVQGKPHESVGGDLLGLGQPNLGPFSCVGHMRLSEFQFRNNQ >DRNTG_33592.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22744656:22751088:1 gene:DRNTG_33592 transcript:DRNTG_33592.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENNNGRMISLNGNNWQIWKAKMEDLLYSKDLYDPIDGKKPEDMSEDDWKKENRK >DRNTG_08468.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24959460:24960578:1 gene:DRNTG_08468 transcript:DRNTG_08468.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLPNKSLSFAILCLLLVHHVNTGILSFSKITTNCTGGNYIDSSIFSTNLNSLLSTFNSKSSYSNYTYQTSGTVYGLFFYTGDLSQDNCQACIQSAIKNISEKCPSSKQAIIWYDYCELRYFDTNFFGFPDTNGFPMINPYENTSSSRPIEVMSQLVKEAPSQQPVMFSYRGFPPESLYALAQCSSYLTTEGCSCCLTTILANIKACCTMRKGWRYLATSCWIRTHHNDLNLNKILSDLMTTTPLKGGFYNTSDGETMNKLYGLALCQGDLAPQGEFCKICLHNARNSILEDYTNKTQAIEWYESCFIKYSNQSFFGVVNIVGRTMCGTEQSNRIAANITTGMV >DRNTG_00236.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26035781:26037490:1 gene:DRNTG_00236 transcript:DRNTG_00236.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASAAAQLRASLLPNPSDPPRSPTRFPRLSSLHLPRSATLHPRLLAGSPSLPPYGPRGPRGTGGGGGGGSSDSNDDDHQHDRKPSRSDPLSLFIEGWRSRVAADPQFPFKVLMEELVGVSANVIGDMATRPNFGLNELDFVFSTLVVGSIVNFVLMYLLAPTAAAAGAVSSSLPPFVFAAGQYSAGARVAALFSKGLAFAAVGFAAGLAGTAISNGLVSLRKRMDPGFETNNKPPPTVLNALTWAAHMGVSANVRYQTVNGLEFLMARVLPPAGFKATVVVLRCLNNLLGGMTFVMLARLTGSQKVEEEEKGEKERLILVSREEEDGNGDGRSAAEE >DRNTG_00236.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26035781:26037316:1 gene:DRNTG_00236 transcript:DRNTG_00236.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASAAAQLRASLLPNPSDPPRSPTRFPRLSSLHLPRSATLHPRLLAGSPSLPPYGPRGPRGTGGGGGGGSSDSNDDDHQHDRKPSRSDPLSLFIEGWRSRVAADPQFPFKVLMEELVGVSANVIGDMATRPNFGLNELDFVFSTLVVGSIVNFVLMYLLAPTAAAAGAVSSSLPPFVFAAGQYSAGARVAALFSKGLAFAAVGFAAGLAGTAISNGLVSLRKRMDPGFETNNKPPPTVLNALTWAAHMGVSANVRYQTVNGLEFLMARVLPPAGFKATVVVLRCLNNLLGGMTFVMLARLTGSQKVEEEEKGEKERLILVSREEEDGNGDGRSAAEE >DRNTG_00236.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:26035781:26037186:1 gene:DRNTG_00236 transcript:DRNTG_00236.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASAAAQLRASLLPNPSDPPRSPTRFPRLSSLHLPRSATLHPRLLAGSPSLPPYGPRGPRGTGGGGGGGSSDSNDDDHQHDRKPSRSDPLSLFIEGWRSRVAADPQFPFKVLMEELVGVSANVIGDMATRPNFGLNELDFVFSTLVVGSIVNFVLMYLLAPTAAAAGAVSSSLPPFVFAAGQYSAGARVAALFSKGLAFAAVGFAAGLAGTAISNGLVSLRKRMDPGFETNNKPPPTVLNALTWAAHMGVSANVRYQTVNGLEFLMARVLPPAGFKATVVVLRCLNNLLGGMTFVMLARLTGSQKVEEEEKGEKERLILVSREEEDGNGDGRSAAEE >DRNTG_20302.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5418469:5420451:-1 gene:DRNTG_20302 transcript:DRNTG_20302.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGN >DRNTG_04928.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8470576:8477942:1 gene:DRNTG_04928 transcript:DRNTG_04928.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAEFDFVMEHKPGKMNCVADALSRRAELASVSSPNFPLASRIQEGLEHDPQAKNILEAASGGKTRRFWVEDGALVTKGGRLYVPKWQGLRKEIMKECHDALWAGHPGAQRTQALIERAYYWPQMREDIELYVKTCLVCQQDKVEHGRTPGLLQPLPTPEKPWESISMDFIVCLPKSDGCGNIMVVVDRFSKYGVFIPVPTKFNAEDAARLFLKYVVKYWGIPKSIVSDRDTRFTGRFWTELFKLMGSELNFSTSFHPQTDGQTERVNALVELYLRHYVSANQQDWVRYLDMAQFSYNLQKSESLGASPFEVATGRQPATPHTLEGGYKGPSPAAFKFAKGWQEKADMARAYLAKASKKMKKWADMKRRHVEFEEGDLVMVKLLQHQTRRFSKVHKGLVRRYEGPFEVERRVGKVAYQLKLPSHLEMHPVFHVSLLKPYHADKVEASRGESRRAPTAITTFEERDVEEILSHRTIRRRGAHPSYKEYFVKWRDLPMSEASWEPALKLWNHRDILKAYEEDATWTSPD >DRNTG_04928.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8470576:8477942:1 gene:DRNTG_04928 transcript:DRNTG_04928.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAEFDFVMEHKPGKMNCVADALSRRAELASVSSPNFPLASRIQEGLEHDPQAKNILEAASGGKTRRFWVEDGALVTKGGRLYVPKWQGLRKEIMKECHDALWAGHPGAQRTQALIERAYYWPQMREDIELYVKTCLVCQQDKVEHGRTPGLLQPLPTPEKPWESISMDFIVCLPKSDGCGNIMVVVDRFSKYGVFIPVPTKFNAEDAARLFLKYVVKYWGIPKSIVSDRDTRFTGRFWTELFKLMGSELNFSTSFHPQTDGQTERVNALVELYLRHYVSANQQDWVRYLDMAQFSYNLQKSESLGASPFEVATGRQPPHHILWKAATRVLARPHSSLPRDGKRRRIWQGLI >DRNTG_04928.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8470576:8477942:1 gene:DRNTG_04928 transcript:DRNTG_04928.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAEFDFVMEHKPGKMNCVADALSRRAELASVSSPNFPLASRIQEGLEHDPQAKNILEAASGGKTRRFWVEDGALVTKGGRLYVPKWQGLRKEIMKECHDALWAGHPGAQRTQALIERAYYWPQMREDIELYVKTCLVCQQDKVEHGRTPGLLQPLPTPEKPWESISMDFIVCLPKSDGCGNIMVVVDRFSKYGVFIPVPTKFNAEDAARLFLKYVVKYWGIPKSIVSDRDTRFTGRFWTELFKLMGSELNFSTSFHPQTDGQTERVNALVELYLRHYVSANQQDWVRYLDMAQFSYNLQKSESLGASPFEVATGRQPPHHILWKAATRVLARPHSSLPRDGKRRRIWQGLI >DRNTG_10855.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32076739:32080264:-1 gene:DRNTG_10855 transcript:DRNTG_10855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGVLFWCPSLSLSLSLSRSRVALLRSRSCASMAEDLVLDTAIRDWVLIPLSVVMVLIGVLRYFVSKLMRSSQLPDAKALKEGQVIIRARNLKSGANFIPSKSFRARRVYFTNEENGLLHVPKEQAQNAQAQMFSDPNMAMDMMKKNLSMLIPQSLTFAWVNFFFSGFVAAKIPFPLTQRFRAMLQNGIDLSSVDVSYVSSRSWYFLNLFGLRGFFSLILGEENAMDDTQRMMQMGGFGFDASRSLAAEKDSLDIIQHDWALPKIEHRASKY >DRNTG_17184.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:24656976:24663021:1 gene:DRNTG_17184 transcript:DRNTG_17184.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCAPNQQGQVHALVTALDIRENLLIVSHARAAITHGRGHSQSQLTGASARPCVLSGWREILYRDSHGRVEITHARVVFTRAYGSHGEQEDIILAIREEKREFHSP >DRNTG_18467.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5023132:5024158:-1 gene:DRNTG_18467 transcript:DRNTG_18467.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAIKMAWQRKAAEWCEKVTANRLTEIEGPGSGISRHTGGSISYASHADKLRSRLGCDPRPFEPFEVTHTKKGTSMLVVARAQSIKDRYLELVEQASQTQEGHDELPIMDETVPYYEAVRGEKKSSSFETLQAEVRDLHQTLTQVQDREERLQQTLSQVQDCEERLQ >DRNTG_26001.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:967604:971313:1 gene:DRNTG_26001 transcript:DRNTG_26001.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGKASWMVAMSVGAVEALKDQGICRWNYAFRSLHQRTKNNMGSFSQARRTSSSSSYLDTGKTEEVDVKAKQSEQSLRKVMYFELLGSLLASIAFTFGMTPMRNM >DRNTG_26001.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:967604:971411:1 gene:DRNTG_26001 transcript:DRNTG_26001.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGKASWMVAMSVGAVEALKDQGICRWNYAFRSLHQRTKNNMGSFSQARRTSSSSSYLDTGKTEEVDVKAKQSEQSLRKVMYFELLGSLLASIAFTFGMTPMRNM >DRNTG_26001.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:967604:971411:1 gene:DRNTG_26001 transcript:DRNTG_26001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGKASWMVAMSVGAVEALKDQGICRWNYAFRSLHQRTKNNMGSFSQARRTSSSSSYLDTGKTEEVDVKAKQSEQSLRKVMYFELLGSLLASIAFTFGMTPMRNM >DRNTG_26001.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:970705:971411:1 gene:DRNTG_26001 transcript:DRNTG_26001.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDHILIIIILSSTQQSFLTCLLKRTFSKFSLHRVSEKYHTWLLQAKLHGWWQSVLVQWKLSKDQGICRWNYAFRSLHQRTKNNMGSFSQARRTSSSSSYLDTGKTEEVDVKAKQSEQSLRKVMYFELLGSLLASIAFTFGMTPMRNM >DRNTG_26001.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:967604:971313:1 gene:DRNTG_26001 transcript:DRNTG_26001.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGKASWMVAMSVGAVEALKDQGICRWNYAFRSLHQRTKNNMGSFSQARRTSSSSSYLDTGKTEEVDVKAKQSEQSLRKVMYFELLGSLLASIAFTFGMTPMRNM >DRNTG_26001.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:967604:971313:1 gene:DRNTG_26001 transcript:DRNTG_26001.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGKASWMVAMSVGAVEALKDQGICRWNYAFRSLHQRTKNNMGSFSQARRTSSSSSYLDTGKTEEVDVKAKQSEQSLRKVMYFELLGSLLASIAFTFGMTPMRNM >DRNTG_26001.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:969397:971313:1 gene:DRNTG_26001 transcript:DRNTG_26001.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTPSIIIILSSTQQSLLGCYSKGLFASSISTNFSEREKNLYISDMGSASKASWMVAVSVGAVEALKDQGICRWNYAFRSLHQRTKNNMGSFSQARRTSSSSSYLDTGKTEEVDVKAKQSEQSLRKVMYFELLGSLLASIAFTFGMTPMRNM >DRNTG_26001.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:969611:971411:1 gene:DRNTG_26001 transcript:DRNTG_26001.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASKASWMVAVSVGAVEALKDQGICRWNYAFRSLHQRTKNNMGSFSQARRTSSSSSYLDTGKTEEVDVKAKQSEQSLRKVMYFELLGSLLASIAFTFGMTPMRNM >DRNTG_26001.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:969611:971313:1 gene:DRNTG_26001 transcript:DRNTG_26001.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASKASWMVAVSVGAVEALKDQGICRWNYAFRSLHQRTKNNMGSFSQARRTSSSSSYLDTGKTEEVDVKAKQSEQSLRKVMYFELLGSLLASIAFTFGMTPMRNM >DRNTG_26001.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:967604:968053:1 gene:DRNTG_26001 transcript:DRNTG_26001.12 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGKASWMVAMSVGAVEALKDQGICRWNYAFRCLHQRAKNNMRSFSQTRRMSSSCLENMKAEQVDQKAKQSEESLRKVMYLSSWGPY >DRNTG_26001.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:970705:971313:1 gene:DRNTG_26001 transcript:DRNTG_26001.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDHILIIIILSSTQQSFLTCLLKRTFSKFSLHRVSEKYHTWLLQAKLHGWWQSVLVQWKLSKDQGICRWNYAFRSLHQRTKNNMGSFSQARRTSSSSSYLDTGKTEEVDVKAKQSEQSLRKVMYFELLGSLLASIAFTFGMTPMRNM >DRNTG_26001.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:969611:970068:1 gene:DRNTG_26001 transcript:DRNTG_26001.11 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASKASWMVAVSVGAVEALKDQGICRWNYAFRSIHQRVKNNMGSFSQTRRMSSSSSLETRKIEEVEHRAKQSEESLRKVIYLSSWGPLLATHPPLLCIFKNVSIPRCNYLEFRHI >DRNTG_32139.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001788.1:10769:13396:-1 gene:DRNTG_32139 transcript:DRNTG_32139.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNQITKHLACEWAKDNIRVNGVAPSAIKTPLIENLFGKDSDLLMKEASRVPLGRLGEPEEVASVVAFLCLPAASYVTGQIICIDGGRAQIS >DRNTG_32139.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001788.1:10769:13396:-1 gene:DRNTG_32139 transcript:DRNTG_32139.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQITKHLACEWAKDNIRVNGVAPSAIKTPLIENLFGKDSDLLMKEASRVPLGRLGEPEEVASVVAFLCLPAASYVTGQIICIDGGRAQIS >DRNTG_32139.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001788.1:10769:13396:-1 gene:DRNTG_32139 transcript:DRNTG_32139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVSDSKKSSNPLTSMDQRWSLNGTTALVTGGTKGIGHAIVEELAKFGALVHTCARNETELNECLKQWEDKNFKVTGSVCDVSFSVQRKKLMEDVSSVFQGKLHILINNAGTGIVKPTAECTAEDYSHIMATNFESALHLSQLAHPLLKTSSSRKHSVHFHHRNIYSLSRRSNLFSE >DRNTG_08942.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27291671:27293973:-1 gene:DRNTG_08942 transcript:DRNTG_08942.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSLDHPYAFAFGILGNLISFMVYLAPLPTFYRVYKRKSTEGFQSVPYVVALFSAMLWIYYAFVKTNMFLLITINTFGCFVETVYILMFLHYAPRKAKIYTLKLMFLFNVILFGSIVLLTMLLTKGENRAILLGWICVAFAVSVFAAPLSIIKLVIKTKSVEFMPFYLSLFLTLSAVCWFGYGLLSKDIYVAIPNILGFLFGMTQMVLYTVYKNCKKVSEEKVISDSITIVVVPDHQIIKEKEVEIPSHEGDHEQNKGTAVTNDEENVITKSTVAA >DRNTG_00949.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21152365:21154505:-1 gene:DRNTG_00949 transcript:DRNTG_00949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIFLQLFTSGGDPDIVPHGAYPPEPNDDARSYTYSSDWMGSHHNSSSLLPTKGYPCEQHACSIGHHGAHIVQSWVGQCIGNLGSQNIARTLRHYVDHHGSKLTNRHTVVTLVDLHAKCGDLQKARECFTACNYVGIVEESQRYFEAMKKEHRIVPSMEHYMCMMDRLGRAGLVEKARMVDLTGGSCAGEENNGGQEQEGTDIIAKTE >DRNTG_29683.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3913593:3915659:-1 gene:DRNTG_29683 transcript:DRNTG_29683.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIEEDREVEIILKAIGPGQPSKITLPSIIKACDLRRLVAGERGLPADRLKLVLRGKILHDKKNGRGDGDDLLVKLDNGDSLMVAVIPKPPAKHLHEDDDDEDDDLKFRIPTSASRWRRRLFYFLRDKLRVPDILLMAIFSISLKAWACIVLWFSLAPVAHRWDLGPVYILGTGFLIIILNLGKRQHGDLSAYSIFNEDFIELPGTLNADRLDRDIRAGQL >DRNTG_29683.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3913481:3915551:-1 gene:DRNTG_29683 transcript:DRNTG_29683.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIEEDREVEIILKAIGPGQPSKITLPSIIKACDLRRLVAGERGLPADRLKLVLRGKILHDKKNGRGDGDDLLVKLDNGDSLMVAVIPKPPAKHLHEDDDDEDDDLKFRIPTSASRWRRRLFYFLRDKLRVPDILLMAIFSISLKAWACIVLWFSLAPVAHRWDLGPVYILGTGFLIIILNLGKRQHGDLSAYSIFNEDFIELPGTLNADRLDRDIRAGQL >DRNTG_29683.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:3913593:3915551:-1 gene:DRNTG_29683 transcript:DRNTG_29683.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIEEDREVEIILKAIGPGQPSKITLPSIIKACDLRRLVAGERGLPADRLKLVLRGKILHDKKNGRGDGDDLLVKLDNGDSLMVAVIPKPPAKHLHEDDDDEDDDLKFRIPTSASRWRRRLFYFLRDKLRVPDILLMAIFSISLKAWACIVLWFSLAPVAHRWDLGPVYILGTGFLIIILNLGKRQHGDLSAYSIFNEDFIELPGTLNADRLDRDIRAGQL >DRNTG_15166.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:463012:467655:-1 gene:DRNTG_15166 transcript:DRNTG_15166.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQEITQEPNLHVEKKKEKESTAKQTE >DRNTG_01793.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000094.1:27168:27717:1 gene:DRNTG_01793 transcript:DRNTG_01793.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWKVLYSSSKDSLVRAGIGRLHGRGCFTHPWRISTWAWIISTCPCGSSKLLFSRPAVNSAATILSTVLLQFSATVSVLNSFPNPYFHRSNANGHTFTSWITCIFNDRHVGEALILCA >DRNTG_18679.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2110989:2111601:-1 gene:DRNTG_18679 transcript:DRNTG_18679.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHWRELIGAQRDWQTNCHDSSYREYLDKSENIALRDWTLKNAEFEFLDNPLYTMDNNCDRQVRSSQRLRLIWFNYNLSRALRVHKYWLFFTIWTTFRPRSESFLHVFKCNVMNFLHNFGVISINSVIKAVQHFVGRFDHYILTVENSAHVQFDLY >DRNTG_02248.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1055679:1058159:1 gene:DRNTG_02248 transcript:DRNTG_02248.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRERVRELCEDENNQKWLFVTEDDIKGLPCFQNETLIAIKAPHGTTLEVPDPDEAGDYPRRRYRIVLRSSMGPIDVYLVSQFEEKFEEMSAVETPRSVPPASNSASAEKSVAPMVTEDIRGNEAEEHAHDAQMMCTNGSASDDYNGGIMKIVPSDVDTDADYWLLSDAGVSITDMWKTAPEVPWDGMGRLQSEDFMDGSVSTPRAGTSSSGVVEVPSCMQNTSTSETLR >DRNTG_02248.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1057677:1058159:1 gene:DRNTG_02248 transcript:DRNTG_02248.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLQSEDFMDGSVSTPRAGTSSSGVVEVPSCMQNTSTSETLR >DRNTG_02248.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1056598:1057623:1 gene:DRNTG_02248 transcript:DRNTG_02248.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIDVYLVSQFEEKFEEMSAVETPRSVPPASNSASAEKSVAPMVTEDIRGNEAEEHAHDAQMMCTNGSASDDYNGGIMKIVPSDVDTDADYWLLSDAGVSITDMWKTARIL >DRNTG_02248.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1056931:1057623:1 gene:DRNTG_02248 transcript:DRNTG_02248.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIDVYLVSQFEEKFEEMSAVETPRSVPPASNSASAEKSVAPMVTEDIRGNEAEEHAHDAQMMCTNGSASDDYNGGIMKIVPSDVDTDADYWLLSDAGVSITDMWKTARIL >DRNTG_02248.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1052875:1058159:1 gene:DRNTG_02248 transcript:DRNTG_02248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRGSGNRGSLTQIAQSMSRRTPFRPPFGNIDDYHRFSGGDERRLAAGEVESDTIVIKTPLKRKPEVEDTEVAESSEWTHSPGYAETNNNPMLTPVSGKGGRICGRSKVSKYSKSGPQTPISDAGSPAGNPLTPVGTCRYDSSLGLLTKKFISLLKQAPDGILDLNNAAETIEVQKRRIYDITNVLEGIGLIEKKLKNRIRWKGLDDVRPGHAHDDVSILQGQVENLSLEERSLDDRISEMRERVRELCEDENNQKWLFVTEDDIKGLPCFQNETLIAIKAPHGTTLEVPDPDEAGDYPRRRYRIVLRSSMGPIDVYLVSQFEEKFEEMSAVETPRSVPPASNSASAEKSVAPMVTEDIRGNEAEEHAHDAQMMCTNGSASDDYNGGIMKIVPSDVDTDADYWLLSDAGVSITDMWKTAPEVPWDGMGRLQSEDFMDGSVSTPRAGTSSSGVVEVPSCMQNTSTSETLR >DRNTG_02248.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1055838:1058159:1 gene:DRNTG_02248 transcript:DRNTG_02248.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRERVRELCEDENNQKWLFVTEDDIKGLPCFQNETLIAIKAPHGTTLEVPDPDEAGDYPRRRYRIVLRSSMGPIDVYLVSQFEEKFEEMSAVETPRSVPPASNSASAEKSVAPMVTEDIRGNEAEEHAHDAQMMCTNGSASDDYNGGIMKIVPSDVDTDADYWLLSDAGVSITDMWKTAPEVPWDGMGRLQSEDFMDGSVSTPRAGTSSSGVVEVPSCMQNTSTSETLR >DRNTG_02248.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1057100:1058159:1 gene:DRNTG_02248 transcript:DRNTG_02248.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVETPRSVPPASNSASAEKSVAPMVTEDIRGNEAEEHAHDAQMMCTNGSASDDYNGGIMKIVPSDVDTDADYWLLSDAGVSITDMWKTAPEVPWDGMGRLQSEDFMDGSVSTPRAGTSSSGVVEVPSCMQNTSTSETLR >DRNTG_30198.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15124396:15128941:1 gene:DRNTG_30198 transcript:DRNTG_30198.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAKRRHRKHSKAVGDVCEPKKLDVSLLEKTHGRVEFPQSGGIALRAHPEKAQGRAAAPLNDHATVTRPWVISAWACEFLQSWAEYPESTQGRGLVPVGDLVNHKPAWVISTARAKFCRGVLSIPKKHRGVWVPLCPEKPQGRATVPVGRTLGRCANLVGFLRGFPAGSSFSHSISSKQADKRPRESSPEPEGMRFAILEHQGDEFIDEVEDLVSTGGWQQLLTIREPIIRGFALEVFTYTEEYAQLPTDYPRTLIPQRAYRVLCCQGQYNIAEDEGDEAGASQPSPEPQPTPMKTEAPPVIEEPPPVCIFSPSRAYDHFERLESALGVIRTEVAEARAEITEIRDTQAISRVVRLFMKERSQCGLQYTDFGGNVAKGFERVLPRFWRGSTAPTSLFLREEGW >DRNTG_03474.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:881250:884727:1 gene:DRNTG_03474 transcript:DRNTG_03474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSSSIASPPPQSIITLSPPLSQPDANSNPPTSPASPVSSNSPPPASSPPPPPLLSSPAGSPPPIISPPPPSIASPPPVSAPPPPSIPPPTPALSPPPPSAVPPSTSPPPPSAIPPSASPPPPSAIPPSTSPPPPSLPSSPPPPVASPPAVVTPPSPIKAQSPPPPSALPPTSSSPPPPSNPATPPTTKPETPKGSPPASPPGNSSSSSTPLTPNVPPPAPGASLPQLSPPAPSGDPSTPTSPGGNKSITPGPNGTVRTGGGGVKSGAAITISVVAALLVLSCIGAAIWFVRKRRRSAGRYNGGYVMPSPFASSQVSEASRPRSPSFPLVHNSSDGHGFAYSPPEPGLGHSKSLFAYEELYAITGGFSNQNLLGEGGFGCVYKGCLPDGREVAVKQLKVGGSQGEREFKAEVEIIGRVHHRHLVSLVGYCIAESQRLLVYDYVPNNTLHYHLHGEGRPVLDWEIRVKVAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAQVSDFGLARLALDTNTHVTTRVMGTFGYLAPEYASSGKLTNKSDVYSFGVVLLELITGRKPVDASQPLGDESLVEWARPLLSRALDTGEFQDLPDPRLDNNYNESEMFRMIEAAAACTRHSAGMRPQMGQVMRALDNLGEANLNNGVIPGQSGMFDSGLHSADIRMFQRMAFGGQEYSSDFSRTSWNSHRESSIGSNHR >DRNTG_29537.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001483.1:26135:27388:1 gene:DRNTG_29537 transcript:DRNTG_29537.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFALLGAGRSRGSREGKRTNLLLHLARDEKERASSIDEQRIDGALGIALFFSPFLSASSDPFVRNFFVRTEPLAESNPVPQDPISAIHPPRIYAGNVASAMGFGLCRSKMMNGIVALHSPPMRKDAAEKNGTLLRSAVCVGSHIRSSLFTRSFKHFVGTKCAPALLLRSNRSLLILLRRRFFAFSSLWTGALMDTGREQAKRVVRNGKKETTTSPLCWTAGANTVVSDQDQEPIRIWILTCRLFLTVGISPGSWWAHHELGRGGWWFRDPVENASFMPRVLATARIHSVILPLLHSWTSLLNILTLPCCVSGTSSIRSGLLAPVHSSATDDTRGRFLWRFFLLITGISMNLFYQMKQQASVRRTYKKEMVVARSTLVHLRHSARAQPRPIMLWKNLANCWLRITSAAIGCRISSRP >DRNTG_32777.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3140548:3143486:-1 gene:DRNTG_32777 transcript:DRNTG_32777.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRFSQGHPQGRVHAHVCSQDNPPNSAGIHTPVRKLPTGV >DRNTG_06497.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:21821729:21839784:1 gene:DRNTG_06497 transcript:DRNTG_06497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQFQRNGKIWVRVLADLPITGNPTEVRMGRGKGNPTGWIAHVSKGQIPFEMDGVSLSNARQAATLAAHKPCSSTKFVCGHNAYDPEMKRYHFYGPVK >DRNTG_30778.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4098409:4099163:1 gene:DRNTG_30778 transcript:DRNTG_30778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSIRFKLMRMLCNRCEQANKWETYLCPDIHSKVEILVEDNRNICVGRCVDDRYEVIDHCSNSVDLAIRTYSCRRWQFYGILCKYACAAIMQTDTNIHRFISGYYTVNNYKLAYKEAIFPIPDDDKPTDRNRELRLRPPVTRRQSGRPRRKRIESQVFELCKLHCSCCHGSGHNRRSCNETFAN >DRNTG_11712.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000515.1:39198:40516:1 gene:DRNTG_11712 transcript:DRNTG_11712.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKSVVMKVKGSTFIRKKNKVILSWREGFQGEGVRDQSQIMYIHDVTQLPHNGCRLPKKRRV >DRNTG_11712.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000515.1:39198:40001:1 gene:DRNTG_11712 transcript:DRNTG_11712.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKSVVMKVKGSTFIRKKNKVILSWREGFQGEGVRDQSQIMYIHDVTQLPHNGCRLPKKRRV >DRNTG_11712.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000515.1:39198:39633:1 gene:DRNTG_11712 transcript:DRNTG_11712.6 gene_biotype:protein_coding transcript_biotype:protein_coding KKNKTFVTVTDARGNKKTGASAGCLEERKGRSRLSRYAAEATAEHVGRSARKMGLKSVVMKVKGSTFIRKKNKVILSWREGFQGEGVRDQSQIMYIHDVTQLPH >DRNTG_11712.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000515.1:39198:40048:1 gene:DRNTG_11712 transcript:DRNTG_11712.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKSVVMKVKGSTFIRKKNKVILSWREGFQGEGVRDQSQIMYIHDVTQLPHNGCRLPKKRRV >DRNTG_11712.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000515.1:39198:39655:1 gene:DRNTG_11712 transcript:DRNTG_11712.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKSVVMKVKGSTFIRKKNKVILSWREGFQGEGVRDQSQIMYIHDVTQLPHNGCRLPKK >DRNTG_11712.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000515.1:38901:39633:1 gene:DRNTG_11712 transcript:DRNTG_11712.4 gene_biotype:protein_coding transcript_biotype:protein_coding KKNKTFVTVTDARGNKKTGASAGCLEERKGRSRLSRYAAEATAEHVGRSARKMGLKSVVMKVKGSTFIRKKNKVILSWREGFQGEGVRDQSQIMYIHDVTQLPH >DRNTG_27763.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24424238:24426264:-1 gene:DRNTG_27763 transcript:DRNTG_27763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKYIYVAMEESTLPPGFRFHPTDEELITYYLTHKVSDYGFVARAIAEVDLNKCEPWDLPGKASMGEKEWYFFSLRDRKYPTGLRTNRATDAGYWKTTGKDKEIFQSGVLVGMKKTLVFYKGRAPKGEKTNWVMHEYRHQTKFPYRPTKEEWVVCRVFRKSSNGKKSQPTTPSFPSLLESPCNTNSLSDVGELDVSIFSNLVNHSNSFEAEQTIETSVTNTTTTTTTTTNNNNNSNNNHNKIMDMGSMYMNWVLNREAMSSTSLLPWPASSLLGSNLSTNQTILKPLPFTGYHHHHHQEEASNVTAPLSSFIQQETLFGAELKSSFPAASSSKASDTVSHHPFEQESIW >DRNTG_27763.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24425989:24426264:-1 gene:DRNTG_27763 transcript:DRNTG_27763.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKYIYVAMEESTLPPGFRFHPTDEELITYYLTHKVSDYGFVARAIAEVDLNKCEPWDLP >DRNTG_03494.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:755240:761664:-1 gene:DRNTG_03494 transcript:DRNTG_03494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWMPEGFEGRINIEGKQGFIIRGWAPQLLILNHKAVGGFMTHCGWNSTLEGVCAGLPMITWPLFAEQFYNERLVVDVLKIGVAVGTKEYVMKHEDRPLIHGIDIERAVNCVMGGGEE >DRNTG_15687.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000783.1:73635:74632:1 gene:DRNTG_15687 transcript:DRNTG_15687.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIHTTKSLYRAPPHFTIPISLHLISKYRNQNNIPFFRKIGRQTQVLCFQVENMDWYFTRETENFVVPDDQETEDVSTEKLSEKDEIPGHQYKKFSNSSANKLTSSINCSLGMSETSIQSISDFRLPNNMGQMDDTFL >DRNTG_24711.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:17977792:17979329:-1 gene:DRNTG_24711 transcript:DRNTG_24711.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSRPETRSLIGELLPLRGRFVIEFKSERGRIL >DRNTG_19326.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:736285:738375:-1 gene:DRNTG_19326 transcript:DRNTG_19326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMMMMMMIWGMVIVLVVWVWRTLDWVWWTPKRLESELRRQGLRGNRYRILHGDLKDNVRLLTEAKNRPMVLHSHDIAPRVLPLYYNAINDYGKMSLTWAGPDPRVSLMDPRLVKEVLSNKFGHFMKIKGNPLSKLLIQGLARHEGQKWAIHRRIINPAFNLDKLKLMVPAFSTSCGEIIRKWDKMIPDGGCLELDVFPELQNVTQDAISRTAFGSNYEEGRRIFQFLKEQTQLCIQAFQSVYIPGYQFLPTPMNKRRRQVDKEMKRILRDMIEKRETAIRMGESSKNDLLGILLESNMKEGEEEHGKSKNRVMTTEEVIQECKLFYFAGQETTSVLLTWTMILLSMYPNWQDKAREEVLQVFGKNTPDMDGLSHLKTVTMILYEVLRLYPPAVFLNRRTYKTIEVGGITYPPGVILLLPILLIHHDTEFWGEDAKEFKPERFAEGISKASKVAGAFFPFGGGPRVCIGQNFALIEAKIALSMILQHFSFELSPSYIHAPWTVITLQPQHGAQLMVHKL >DRNTG_27115.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18948298:18954496:1 gene:DRNTG_27115 transcript:DRNTG_27115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKVYVGNLSSRIREMELADEFRAYGVVRSVWVARNPPGYAFIEFAKRREADDAISSLDGKHGWRVEMSRKSTGGHNCGSYGSENKCRDCSSSKHRTRDCRSKESYKRSPSPRHNIGNKKHSPLRRRSRSKSPFSQNQHADGPLQRRSQGRSPLSENRYADGSPRKMLAQSGSPQSDHSKSPLSVQNNIQENR >DRNTG_00075.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21833731:21839024:-1 gene:DRNTG_00075 transcript:DRNTG_00075.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISDLVYESNVTHKEPNSIDPELAMAWAAGLDDGLLGSNAPAMDRVNEFLAGAGTDAPDVDDENITSRPSVTYNDMWAKELLESYEVEEDDGRSSGTSSPESTGSVETSISSHFGGMNYPSLFSSRPSGYGISQQSESKSTSRFGSSSAFEGRESPVREEPPSYSTSVMQRFESFENPLAGLGGQSFNKREDEESTGNPQFGKALYDFTAGGEDELSLDAGEEVEIDYEVDGWYYVKKKRPGRDGRMAGLVPVLYVSS >DRNTG_00075.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21833731:21835163:-1 gene:DRNTG_00075 transcript:DRNTG_00075.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFESFENPLAGLGGQSFNKREDEESTGNPQFGKALYDFTAGGEDEVKHAAS >DRNTG_00075.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21833731:21839024:-1 gene:DRNTG_00075 transcript:DRNTG_00075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVLDEMYRAQDDLIRDMRNHDNNKQEWTDEELKQLYETHEKLLDLVSLFCFVPRAKYLPLGPTSAKLIDIYRNRHNINASAGLNDPAVAMGISDLVYESNVTHKEPNSIDPELAMAWAAGLDDGLLGSNAPAMDRVNEFLAGAGTDAPDVDDENITSRPSVTYNDMWAKELLESYEVEEDDGRSSGTSSPESTGSVETSISSHFGGMNYPSLFSSRPSGYGISQQSESKSTSRFGSSSAFEGRESPVREEPPSYSTSVMQRFESFENPLAGLGGQSFNKREDEESTGNPQFGKALYDFTAGGEDELSLDAGEEVEIDYEVDGWYYVKKKRPGRDGRMAGLVPVLYVSS >DRNTG_00075.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21833731:21839024:-1 gene:DRNTG_00075 transcript:DRNTG_00075.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVLDEMYRAQDDLIRDMRNHDNNKQEWTDEELKQLYETHEKLLDLVSLFCFVPRAKYLPLGPTSAKLIDIYRNRHNINASAGLNDPAVAMGISDLVYESNVTHKEPNSIDPELAMAWAAGLDDGLLGSNAPAMDRVNEFLAGAGTDAPDVDDENITSRPSVTYNDMWAKELLESYEVEEDDGRSSGTSSPESTGSVETSISSHFGGMNYPSLFSSRPSGYGISQQSESKSTSRFGSSSAFEGRESPVREEPPSYSTSVMQRFESFENPLAGLGGQSFNKREDEESTGNPQFGKALYDFTAGGEDELSLDAGEEVEIDYEVDGWYYVKKKRPGRDGRMAGLVPVLYVSS >DRNTG_00075.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21833731:21835019:-1 gene:DRNTG_00075 transcript:DRNTG_00075.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFESFENPLAGLGGQSFNKREDEESTGNPQFGKALYDFTAGGEDELSLDAGEEVEIDYEVDGWYYVKKKRPGRDGRMAGLVPVLYVSS >DRNTG_06633.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000292.1:100181:106599:1 gene:DRNTG_06633 transcript:DRNTG_06633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNDNDNDSADYGGSSSGNNNGESLDNNYSSSRIDLSLRWRLQYLL >DRNTG_21932.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2192224:2197827:-1 gene:DRNTG_21932 transcript:DRNTG_21932.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKRKADQESDLIPQSAHNHQEAVEDELFDEDEDIGKLLEPLSREQLVDLLRRAATSDPAILSEIQRLADADPAHRKLFVHGLGWETTTDTLRLIFSRYGELDDCRVVVDKGSGRSKGYGFVLFHHRRSARAALRQPQKLIEGRMTACQLASAGPPQTPAPNPNTNPNPSSNHDNLPRKIYVGNVHSDIDGSRLRSFFGRYGEIEEGPIGFDRQTGKPKGFALFVYKTLEGARKALEEPNKNFEGHILFCQKATDSNKSRGSVAPPAPGSVAPNAMAAVPIGNTGGYDAPGFGATVPDMGLAQQAAMLGQGLLGGMQPNAAALAVLAAAGQNPAAFGINPAMLAQFNPALAAAAALGAGGLGTGAQSVVNPSAVPPTQSMQGYGMASSGYQSVGYQGPPGFQGQPQMPQSGGTGTGASAYQGGPIGQGPNPRPPVGQMGGYGAH >DRNTG_21932.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2192224:2197827:-1 gene:DRNTG_21932 transcript:DRNTG_21932.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKRKADQESDLIPQSAHNHQEAVEDELFDEDEDIGKLLEPLSREQLVDLLRRAATSDPAILSEIQRLADADPAHRKLFVHGLGWETTTDTLRLIFSRYGELDDCRVVVDKGSGRSKGYGFVLFHHRRSARAALRQPQKLIEGRMTACQLASAGPPQTPAPNPNTNPNPSSNHDNLPRKIYVGNVHSDIDGSRLRSFFGRYGEIEEGPIGFDRQTGKPKGFALFVYKTLEGARKALEEPNKNFEGHILFCQKATDSNKSRGSVAPPAPGSVAPNAMAAVPIGNTGGYDAPGFGATVPDMGLAQQAAMLGQGLLGGMQPNAAALAVLAAAGQNPAAFGINPAMLAQFNPALAAAAALGAGGLGTGAQSVVNPSAVPPTQSMQGYGMASSGYQSVGYQGPPGFQGQPQMPQSGGTGTGASAYQGGPIGQGPNPRPPVGQMGGYGAH >DRNTG_21932.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2195996:2197827:-1 gene:DRNTG_21932 transcript:DRNTG_21932.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKRKADQESDLIPQSAHNHQEAVEDELFDEDEDIGKLLEPLSREQLVDLLRRAATSDPAILSEIQRLADADPAHRKLFVHGLGWETTTDTLRLIFSRYGELDDCRVVVDKGSGRSKGYGFVLFHHRRSARAALRQPQKLIEGRMTACQLASAGPPQTPAPNPNTNPNPSSNHDNLPRKIYVGNVHSDIDGSRLRSFFGRYGEIEEGPIGFDRQTGKPKGFALFVYKTLEGARKALEEPNKNFEGHILFCQKATDSNKSRGSVAPPAPGSVAPNAMAAVPIGNTGGYDAPGFGATVPDMGLAQQAAMLGQGLLGGMQPNAAALAVLAAAGQNPAAFGINPAMLAQFNPALAAAAALGAGGLGTGAQSVVNPSAVPPTQSMQGYGMASSGYQSVGYQGPPGFQGQPQMPQSGGTGTGASAYQGGPIGQGPNPRPPVGQMGGYGAH >DRNTG_21932.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2195779:2197972:-1 gene:DRNTG_21932 transcript:DRNTG_21932.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKRKADQESDLIPQSAHNHQEAVEDELFDEDEDIGKLLEPLSREQLVDLLRRAATSDPAILSEIQRLADADPAHRKLFVHGLGWETTTDTLRLIFSRYGELDDCRVVVDKGSGRSKGYGFVLFHHRRSARAALRQPQKLIEGRMTACQLASAGPPQTPAPNPNTNPNPSSNHDNLPRKIYVGNVHSDIDGSRLRSFFGRYGEIEEGPIGFDRQTGKPKGFALFVYKTLEGARKALEEPNKNFEGHILFCQKATDSNKSRGSVAPPAPGSVAPNAMAAVPIGNTGGYDAPGFGATVPDMGLAQQAAMLGQGLLGGMQPNAAALAVLAAAGQNPAAFGINPAMLAQFNPALAAAAALGAGGLGTGAQSVVNPSAVPPTQSMQGYGMASSGYQSVGYQGPPGFQGQPQMPQSGGTGTGASAYQGGPIGQGPNPRPPVGQMGGYGAH >DRNTG_21932.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2192224:2197827:-1 gene:DRNTG_21932 transcript:DRNTG_21932.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKRKADQESDLIPQSAHNHQEAVEDELFDEDEDIGKLLEPLSREQLVDLLRRAATSDPAILSEIQRLADADPAHRKLFVHGLGWETTTDTLRLIFSRYGELDDCRVVVDKGSGRSKGYGFVLFHHRRSARAALRQPQKLIEGRMTACQLASAGPPQTPAPNPNTNPNPSSNHDNLPRKIYVGNVHSDIDGSRLRSFFGRYGEIEEGPIGFDRQTGKPKGFALFVYKTLEGARKALEEPNKNFEGHILFCQKATDSNKSRGSVAPPAPGSVAPNAMAAVPIGNTGGYDAPGFGATVPDMGLAQQAAMLGQGLLGGMQPNAAALAVLAAAGQNPAAFGINPAMLAQFNPALAAAAALGAGGLGTGAQSVVNPSAVPPTQSMQGYGMASSGYQSVGYQGPPGFQGQPQMPQSGGTGTGASAYQGGPIGQGPNPRPPVGQMGGYGAH >DRNTG_21932.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2192224:2197827:-1 gene:DRNTG_21932 transcript:DRNTG_21932.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKRKADQESDLIPQSAHNHQEAVEDELFDEDEDIGKLLEPLSREQLVDLLRRAATSDPAILSEIQRLADADPAHRKLFVHGLGWETTTDTLRLIFSRYGELDDCRVVVDKGSGRSKGYGFVLFHHRRSARAALRQPQKLIEGRMTACQLASAGPPQTPAPNPNTNPNPSSNHDNLPRKIYVGNVHSDIDGSRLRSFFGRYGEIEEGPIGFDRQTGKPKGFALFVYKTLEGARKALEEPNKNFEGHILFCQKATDSNKSRGSVAPPAPGSVAPNAMAAVPIGNTGGYDAPGFGATVPDMGLAQQAAMLGQGLLGGMQPNAAALAVLAAAGQNPAAFGINPAMLAQFNPALAAAAALGAGGLGTGAQSVVNPSAVPPTQSMQGYGMASSGYQSVGYQGPPGFQGQPQMPQSGGTGTGASAYQGGPIGQGPNPRPPVGQMGGYGAH >DRNTG_21932.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2192224:2197827:-1 gene:DRNTG_21932 transcript:DRNTG_21932.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKRKADQESDLIPQSAHNHQEAVEDELFDEDEDIGKLLEPLSREQLVDLLRRAATSDPAILSEIQRLADADPAHRKLFVHGLGWETTTDTLRLIFSRYGELDDCRVVVDKGSGRSKGYGFVLFHHRRSARAALRQPQKLIEGRMTACQLASAGPPQTPAPNPNTNPNPSSNHDNLPRKIYVGNVHSDIDGSRLRSFFGRYGEIEEGPIGFDRQTGKPKGFALFVYKTLEGARKALEEPNKNFEGHILFCQKATDSNKSRGSVAPPAPGSVAPNAMAAVPIGNTGGYDAPGFGATVPDMGLAQQAAMLGQGLLGGMQPNAAALAVLAAAGQNPAAFGINPAMLAQFNPALAAAAALGAGGLGTGAQSVVNPSAVPPTQSMQGYGMASSGYQSVGYQGPPGFQGQPQMPQSGGTGTGASAYQGGPIGQGPNPRPPVGQMGGYGAH >DRNTG_21932.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2195779:2197827:-1 gene:DRNTG_21932 transcript:DRNTG_21932.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKRKADQESDLIPQSAHNHQEAVEDELFDEDEDIGKLLEPLSREQLVDLLRRAATSDPAILSEIQRLADADPAHRKLFVHGLGWETTTDTLRLIFSRYGELDDCRVVVDKGSGRSKGYGFVLFHHRRSARAALRQPQKLIEGRMTACQLASAGPPQTPAPNPNTNPNPSSNHDNLPRKIYVGNVHSDIDGSRLRSFFGRYGEIEEGPIGFDRQTGKPKGFALFVYKTLEGARKALEEPNKNFEGHILFCQKATDSNKSRGSVAPPAPGSVAPNAMAAVPIGNTGGYDAPGFGATVPDMGLAQQAAMLGQGLLGGMQPNAAALAVLAAAGQNPAAFGINPAMLAQFNPALAAAAALGAGGLGTGAQSVVNPSAVPPTQSMQGYGMASSGYQSVGYQGPPGFQGQPQMPQSGGTGTGASAYQGGPIGQGPNPRPPVGQMGGYGAH >DRNTG_09016.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31203254:31205944:-1 gene:DRNTG_09016 transcript:DRNTG_09016.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGIEAHENTSLHFLVGTLLVVVASTYLIWFWVLTRRLTGPQMWPLLGSLPGLIYNRKRMHDWIADNLRSTGAATTYQTCILPLPVLARRHGLVTVTCNPRNLEHVLRTRFENYPKGPVWQAAFHDLLGQGIFNSDGETWLIQRKTAALEFTTRTLRQAMTRWANRAIKTRLWCILADHCERSIAVDLQDLLLRLTFDNICGLTFGKDPETLSPDLPDNPFSSAFDTATEATLQRFLYPSFIWQLKKALGLGAEQSLRKSLKVVEHYITDAIAERKGRPSDDLLSRFIKKGDSNGKAFSSSVLQWIALNFVLAGRDTSSVALSWFFWNVMQRPDIEMKIVKEITSVLRQTRGADTCRWVEEPLVFEELEQLVYLKAALAETLRLYPSVPQDSKYVVADDTLPDGTQVPAGSTITYSIYSVGRLEMIWGKDCMEFRPERWLTPEGGRFEPAKDVYKFVAFNAGPRTCLGKDLAYLQMKAIASAVLLRHRLELVPGHRVQQKMSLTLFMKNGLRVYIQPRKLQDFMYCSDTDFNTFPPMPTAAAA >DRNTG_09016.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31202934:31205944:-1 gene:DRNTG_09016 transcript:DRNTG_09016.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGIEAHENTSLHFLVGTLLVVVASTYLIWFWVLTRRLTGPQMWPLLGSLPGLIYNRKRMHDWIADNLRSTGAATTYQTCILPLPVLARRHGLVTVTCNPRNLEHVLRTRFENYPKGPVWQAAFHDLLGQGIFNSDGETWLIQRKTAALEFTTRTLRQAMTRWANRAIKTRLWCILADHCERSIAVDLQDLLLRLTFDNICGLTFGKDPETLSPDLPDNPFSSAFDTATEATLQRFLYPSFIWQLKKALGLGAEQSLRKSLKVVEHYITDAIAERKGRPSDDLLSRFIKKGDSNGKAFSSSVLQWIALNFVLAGRDTSSVALSWFFWNVMQRPDIEMKIVKEITSVLRQTRGADTCRWVEEPLVFEELEQLVYLKAALAETLRLYPSVPQDSKYVVADDTLPDGTQVPAGSTITYSIYSVGRLEMIWGKDCMEFRPERWLTPEGGRFEPAKDVYKFVAFNAGPRTCLGKDLAYLQMKAIASAVLLRHRLELVPGHRVQQKMSLTLFMKNGLRVYIQPRKLQDFMYCSDTDFNTFPPMPTAAAA >DRNTG_09016.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31201032:31205944:-1 gene:DRNTG_09016 transcript:DRNTG_09016.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGIEAHENTSLHFLVGTLLVVVASTYLIWFWVLTRRLTGPQMWPLLGSLPGLIYNRKRMHDWIADNLRSTGAATTYQTCILPLPVLARRHGLVTVTCNPRNLEHVLRTRFENYPKGPVWQAAFHDLLGQGIFNSDGETWLIQRKTAALEFTTRTLRQAMTRWANRAIKTRLWCILADHCERSIAVDLQDLLLRLTFDNICGLTFGKDPETLSPDLPDNPFSSAFDTATEATLQRFLYPSFIWQLKKALGLGAEQSLRKSLKVVEHYITDAIAERKGRPSDDLLSRFIKKGDSNGKAFSSSVLQWIALNFVLAGRDTSSVALSWFFWNVMQRPDIEMKIVKEITSVLRQTRGADTCRWVEEPLVFEELEQLVYLKAALAETLRLYPSVPQDSKYVVADDTLPDGTQVPAGSTITYSIYSVGRLEMIWGKDCMEFRPERWLTPEGGRFEPAKDVYKFVAFNAGPRTCLGKDLAYLQMKAIASAVLLRHRLELVPGHRVQQKMSLTLFMKNGLRVYIQPRKLQDFMYCSDTDFNTFPPMPTAAAA >DRNTG_09016.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31201032:31205944:-1 gene:DRNTG_09016 transcript:DRNTG_09016.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGIEAHENTSLHFLVGTLLVVVASTYLIWFWVLTRRLTGPQMWPLLGSLPGLIYNRKRMHDWIADNLRSTGAATTYQTCILPLPVLARRHGLVTVTCNPRNLEHVLRTRFENYPKGPVWQAAFHDLLGQGIFNSDGETWLIQRKTAALEFTTRTLRQAMTRWANRAIKTRLWCILADHCERSIAVDLQDLLLRLTFDNICGLTFGKDPETLSPDLPDNPFSSAFDTATEATLQRFLYPSFIWQLKKALGLGAEQSLRKSLKVVEHYITDAIAERKGRPSDDLLSRFIKKGDSNGKAFSSSVLQWIALNFVLAGRDTSSVALSWFFWNVMQRPDIEMKIVKEITSVLRQTRGADTCRWVEEPLVFEELEQLVYLKAALAETLRLYPSVPQDSKYVVADDTLPDGTQVPAGSTITYSIYSVGRLEMIWGKDCMEFRPERWLTPEGGRFEPAKDVYKFVAFNAGPRTCLGKDLAYLQMKAIASAVLLRHRLELVPGHRVQQKMSLTLFMKNGLRVYIQPRKLQDFMYCSDTDFNTFPPMPTAAAA >DRNTG_09016.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31199626:31205944:-1 gene:DRNTG_09016 transcript:DRNTG_09016.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGIEAHENTSLHFLVGTLLVVVASTYLIWFWVLTRRLTGPQMWPLLGSLPGLIYNRKRMHDWIADNLRSTGAATTYQTCILPLPVLARRHGLVTVTCNPRNLEHVLRTRFENYPKGPVWQAAFHDLLGQGIFNSDGETWLIQRKTAALEFTTRTLRQAMTRWANRAIKTRLWCILADHCERSIAVDLQDLLLRLTFDNICGLTFGKDPETLSPDLPDNPFSSAFDTATEATLQRFLYPSFIWQLKKALGLGAEQSLRKSLKVVEHYITDAIAERKGRPSDDLLSRFIKKGDSNGKAFSSSVLQWIALNFVLAGRDTSSVALSWFFWNVMQRPDIEMKIVKEITSVLRQTRGADTCRWVEEPLVFEELEQLVYLKAALAETLRLYPSVPQDSKYVVADDTLPDGTQVPAGSTITYSIYSVGRLEMIWGKDCMEFRPERWLTPEGGRFEPAKDVYKFVAFNAGPRTCLGKDLAYLQMKAIASAVLLRHRLELVPGHRVQQKMSLTLFMKNGLRVYIQPRKLQDFMYCSDTDFNTFPPMPTAAAA >DRNTG_09016.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31201032:31201584:-1 gene:DRNTG_09016 transcript:DRNTG_09016.9 gene_biotype:protein_coding transcript_biotype:protein_coding QRVTLSNFPRSQKFSRQLAVNEKRETTRANSSLNPRYPNCRKLHTS >DRNTG_09016.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31203254:31205944:-1 gene:DRNTG_09016 transcript:DRNTG_09016.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGIEAHENTSLHFLVGTLLVVVASTYLIWFWVLTRRLTGPQMWPLLGSLPGLIYNRKRMHDWIADNLRSTGAATTYQTCILPLPVLARRHGLVTVTCNPRNLEHVLRTRFENYPKGPVWQAAFHDLLGQGIFNSDGETWLIQRKTAALEFTTRTLRQAMTRWANRAIKTRLWCILADHCERSIAVDLQDLLLRLTFDNICGLTFGKDPETLSPDLPDNPFSSAFDTATEATLQRFLYPSFIWQLKKALGLGAEQSLRKSLKVVEHYITDAIAERKGRPSDDLLSRFIKKGDSNGKAFSSSVLQWIALNFVLAGRDTSSVALSWFFWNVMQRPDIEMKIVKEITSVLRQTRGADTCRWVEEPLVFEELEQLVYLKAALAETLRLYPSVPQDSKYVVADDTLPDGTQVPAGSTITYSIYSVGRLEMIWGKDCMEFRPERWLTPEGGRFEPAKDVYKFVAFNAGPRTCLGKDLAYLQMKAIASAVLLRHRLELVPGHRVQQKMSLTLFMKNGLRVYIQPRKLQDFMYCSDTDFNTFPPMPTAAAA >DRNTG_09016.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31199626:31205944:-1 gene:DRNTG_09016 transcript:DRNTG_09016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGIEAHENTSLHFLVGTLLVVVASTYLIWFWVLTRRLTGPQMWPLLGSLPGLIYNRKRMHDWIADNLRSTGAATTYQTCILPLPVLARRHGLVTVTCNPRNLEHVLRTRFENYPKGPVWQAAFHDLLGQGIFNSDGETWLIQRKTAALEFTTRTLRQAMTRWANRAIKTRLWCILADHCERSIAVDLQDLLLRLTFDNICGLTFGKDPETLSPDLPDNPFSSAFDTATEATLQRFLYPSFIWQLKKALGLGAEQSLRKSLKVVEHYITDAIAERKGRPSDDLLSRFIKKGDSNGKAFSSSVLQWIALNFVLAGRDTSSVALSWFFWNVMQRPDIEMKIVKEITSVLRQTRGADTCRWVEEPLVFEELEQLVYLKAALAETLRLYPSVPQDSKYVVADDTLPDGTQVPAGSTITYSIYSVGRLEMIWGKDCMEFRPERWLTPEGGRFEPAKDVYKFVAFNAGPRTCLGKDLAYLQMKAIASAVLLRHRLELVPGHRVQQKMSLTLFMKNGLRVYIQPRKLQDFMYCSDTDFNTFPPMPTAAAA >DRNTG_09016.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31199626:31205944:-1 gene:DRNTG_09016 transcript:DRNTG_09016.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGIEAHENTSLHFLVGTLLVVVASTYLIWFWVLTRRLTGPQMWPLLGSLPGLIYNRKRMHDWIADNLRSTGAATTYQTCILPLPVLARRHGLVTVTCNPRNLEHVLRTRFENYPKGPVWQAAFHDLLGQGIFNSDGETWLIQRKTAALEFTTRTLRQAMTRWANRAIKTRLWCILADHCERSIAVDLQDLLLRLTFDNICGLTFGKDPETLSPDLPDNPFSSAFDTATEATLQRFLYPSFIWQLKKALGLGAEQSLRKSLKVVEHYITDAIAERKGRPSDDLLSRFIKKGDSNGKAFSSSVLQWIALNFVLAGRDTSSVALSWFFWNVMQRPDIEMKIVKEITSVLRQTRGADTCRWVEEPLVFEELEQLVYLKAALAETLRLYPSVPQDSKYVVADDTLPDGTQVPAGSTITYSIYSVGRLEMIWGKDCMEFRPERWLTPEGGRFEPAKDVYKFVAFNAGPRTCLGKDLAYLQMKAIASAVLLRHRLELVPGHRVQQKMSLTLFMKNGLRVYIQPRKLQDFMYCSDTDFNTFPPMPTAAAA >DRNTG_08502.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000381.1:36879:38573:1 gene:DRNTG_08502 transcript:DRNTG_08502.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFALALKFGAAVAGGALLALGALSLGSQSQELEPLVSTGERYESNSSPVTTRERNNICISPTKIKIKIMSYNVWSREDVEVEERIKALGRLVQEHSPDVIFFQEVTPRIYKLFLSSSWWHLYKHSSVSPEEAEKGKYFCMLLSKVRVKNFISIPFKNSSNEKGLLLAAIEIGLQKTLIVATSHLKSPNPPEMHSKKRVSQAKAALGYLQLFPNVVFGGDMNWDENIDGTFPLQGVWKDAWTELRGGEDGWTFDTKSNPMLQCSYPLQKRLDRFVCKLEDCSMKNVEMIGKKPIPGMFHHNKGKVLPVLPSDHYGLILTISVNV >DRNTG_30937.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2512656:2513273:-1 gene:DRNTG_30937 transcript:DRNTG_30937.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCC2 [Source:Projected from Arabidopsis thaliana (AT4G39740) UniProtKB/TrEMBL;Acc:A0A178V000] ETAHNLEITPVFITIDPQRDTPAQLQAYLKEFHPKIIGLTGPIDAIRQIAQEYRVFFKKVDEEGQDYLVQCSKNMYLLDPNMDVVRFFGAEYSPEQLANEIVKEAQKTSK >DRNTG_30937.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2512656:2515866:-1 gene:DRNTG_30937 transcript:DRNTG_30937.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCC2 [Source:Projected from Arabidopsis thaliana (AT4G39740) UniProtKB/TrEMBL;Acc:A0A178V000] MLSSRLLGLLHLRRFSRASSISRYGPFHQCRAYAKTTKKGPKLLEQLSGNEESVHSRSWFNYAIPTAILAIAGAGMYVHYNDEKRAILKGSKESTFSENSSLNRPAIGGPFKLFDTDNRAVTESDLRGNWTLIYFGYTSCPDVGPEEVRKMANVIDILETAHNLEITPVFITIDPQRDTPAQLQAYLKEFHPKIIGLTGPIDAIRQIAQEYRVFFKKVDEEGQDYLVQCSKNMYLLDPNMDVVRFFGAEYSPEQLANEIVKEAQKTSK >DRNTG_30937.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2512656:2515866:-1 gene:DRNTG_30937 transcript:DRNTG_30937.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCC2 [Source:Projected from Arabidopsis thaliana (AT4G39740) UniProtKB/TrEMBL;Acc:A0A178V000] MANVIDILETAHNLEITPVFITIDPQRDTPAQLQAYLKEFHPKIIGLTGPIDAIRQIAQEYRVFFKKVDEEGQDYLVQCSKNMYLLDPNMDVVRFFGAEYSPEQLANEIVKEAQKTSK >DRNTG_19916.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:5996600:5998069:1 gene:DRNTG_19916 transcript:DRNTG_19916.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGLIDEGKETLRLKQDMQSDNKNMYDKTL >DRNTG_11042.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10568824:10571264:1 gene:DRNTG_11042 transcript:DRNTG_11042.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGDGRRGRSITSQWQSHQLELEGVKRRQRALIDFKQGLKDPYRLPLSWIGVDYCSWASMYCHNHIRHLVRFDIHNTRNILLILGGEIQHSLLVVNHLRYSDLSLTNFEEKWDSDF >DRNTG_24115.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31319773:31324820:-1 gene:DRNTG_24115 transcript:DRNTG_24115.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAHRFPSSSPLRSSLSCILRSSRLFSSQSSDDQAVLTVETSVPFTAHKCDPPPRTIDTTPKELLGFFREMSVMRRMEIAADTLYKAKLIRGFCHLYDGQEAVAVGMEAAITRRDAIITAYRDHCIYLARGGDLVSALAELMGRRAGCSKGKGGSMHFYKKDAQFYGGHGIVGAQIPLGCGIAFGQKYSKDETVTFTLYGDGAANQGQLFEALNMAALWDLPVILVCENNHYGMGTAEWRASKSPAYYKRGDYVPGLKVNGMDVFAVKQACSFAKEHALKNGPIILEMDTYRYHGHSMSDPGSTYRTRDEITGVRQERDPIERIRKLILSHELATASELKDVEKQIRKEVDDAIAQAKEIAMPDPSELFTNVYVKGYGVESFGPDRKELKGVLP >DRNTG_32970.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5755682:5759858:1 gene:DRNTG_32970 transcript:DRNTG_32970.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEAPPVTEDPPPACMFSQSRAHDRFERLERAVGVILTGIAMALAEIADIRAAQATQYTEFMQARFEWLSRLKIGQSRFMDLSVFSEVQRGDKLANEINTLMSVESWRRLLSIREPIYRLLTLEVLASFEFQQPYGEFDGIDVIQFRAFRH >DRNTG_06647.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1713783:1715258:1 gene:DRNTG_06647 transcript:DRNTG_06647.14 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIGFLISSRLRQCMGEVSMDVSLGAALETFREVYGDAVTEEEMSLFNWHLANLEYANAGLLSSFRWHFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPILYEKTVHTIRYGGDGVQVIAGGQVYEGDMALCTVPLGVLKNGLIKFMPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWSTELDTFGHLSEDPSRRGEFFLFYSYATVAGGPLLIALVAGEAAHNFESMPPTDAVALVLQILRGILLYFNY >DRNTG_06647.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1714538:1717019:1 gene:DRNTG_06647 transcript:DRNTG_06647.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHCFLPGGNGRLVQALAENVPILYEKTVHTIRYGGDGVQVIAGGQVYEGDMALCTVPLGVLKNGLIKFMPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWSTELDTFGHLSEDPSRRGEFFLFYSYATVAGGPLLIALVAGEAAHNFESMPPTDAVALVLQILRGIFEPQGINVPDPLQSVCTRWGTDSFSLGSYSNVAVGATGDDYDILAETVGDGRLFFAGEATTRRYPATMHGAFLSGFREAANMAHHANARALKMKIDRSPTMNSESCAALLADLFREPDLEFGSFSVIFGRSDPKSAAVLRVTLGGSRKKGNNDGLKSDQQHSNKVLFQQLQSHYNQQQQIHLYTLLTRQQALDLREVRGGDEMRLNYLCEKLGIKLVGRRGLGPSADSVIASIRADRSNRRTSSMSLE >DRNTG_06647.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1714538:1716940:1 gene:DRNTG_06647 transcript:DRNTG_06647.11 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHCFLPGGNGRLVQALAENVPILYEKTVHTIRYGGDGVQVIAGGQVYEGDMALCTVPLGVLKNGLIKFMPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWSTELDTFGHLSEDPSRRGEFFLFYSYATVAGGPLLIALVAGEAAHNFESMPPTDAVALVLQILRGIFEPQGINVPDPLQSVCTRWGTDSFSLGSYSNVAVGATGDDYDILAETVGDGRLFFAGEATTRRYPATMHGAFLSGFREAANMAHHANARALKMKIDRSPTMNSESCAALLADLFREPDLEFGSFSVIFGRSDPKSAAVLRVTLGGSRKKGNNDGLKSDQQHSNKVLFQQLQSHYNQQQQIHLYTLLTRQQALDLREVRGGDEMRLNYLCEKLGIKLVGRRGLGPSADSVIASIRADRSNRRTSSMSLE >DRNTG_06647.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1714171:1716940:1 gene:DRNTG_06647 transcript:DRNTG_06647.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIGFLISSRLRQCMGEVSMDVSLGAALETFREVYGDAVTEEEMSLFNWHLANLEYANAGLLSSFRWHFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPILYEKTVHTIRYGGDGVQVIAGGQVYEGDMALCTVPLGVLKNGLIKFMPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWSTELDTFGHLSEDPSRRGEFFLFYSYATVAGGPLLIALVAGEAAHNFESMPPTDAVALVLQILRGIFEPQGINVPDPLQSVCTRWGTDSFSLGSYSNVAVGATGDDYDILAETVGDGRLFFAGEATTRRYPATMHGAFLSGFREAANMAHHANARALKMKIDRSPTMNSESCAALLADLFREPDLEFGSFSVIFGRSDPKSAAVLRVTLGGSRKKGNNDGLKSDQQHSNKVLFQQLQSHYNQQQQIHLYTLLTRQQALDLREVRGGDEMRLNYLCEKLGIKLVGRRGLGPSADSVIASIRADRSNRRTSSMSLE >DRNTG_06647.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1713783:1717019:1 gene:DRNTG_06647 transcript:DRNTG_06647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIGFLISSRLRQCMGEVSMDVSLGAALETFREVYGDAVTEEEMSLFNWHLANLEYANAGLLSSFRWHFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPILYEKTVHTIRYGGDGVQVIAGGQVYEGDMALCTVPLGVLKNGLIKFMPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWSTELDTFGHLSEDPSRRGEFFLFYSYATVAGGPLLIALVAGEAAHNFESMPPTDAVALVLQILRGIFEPQGINVPDPLQSVCTRWGTDSFSLGSYSNVAVGATGDDYDILAETVGDGRLFFAGEATTRRYPATMHGAFLSGFREAANMAHHANARALKMKIDRSPTMNSESCAALLADLFREPDLEFGSFSVIFGRSDPKSAAVLRVTLGGSRKKGNNDGLKSDQQHSNKVLFQQLQSHYNQQQQIHLYTLLTRQQALDLREVRGGDEMRLNYLCEKLGIKLVGRRGLGPSADSVIASIRADRSNRRTSSMSLE >DRNTG_06647.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1714538:1716940:1 gene:DRNTG_06647 transcript:DRNTG_06647.12 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHCFLPGGNGRLVQALAENVPILYEKTVHTIRYGGDGVQVIAGGQVYEGDMALCTVPLGVLKNGLIKFMPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWSTELDTFGHLSEDPSRRGEFFLFYSYATVAGGPLLIALVAGEAAHNFESMPPTDAVALVLQILRGIFEPQGINVPDPLQSVCTRWGTDSFSLGSYSNVAVGATGDDYDILAETVGDGRLFFAGEATTRRYPATMHGAFLSGFREAANMAHHANARALKMKIDRSPTMNSESCAALLADLFREPDLEFGSFSVIFGRSDPKSAAVLRVTLGGSRKKGNNDGLKSDQQHSNKVLFQQLQSHYNQQQQIHLYTLLTRQQALDLREVRGGDEMRLNYLCEKLGIKLVGRRGLGPSADSVIASIRADRSNRRTSSMSLE >DRNTG_06647.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1714171:1717073:1 gene:DRNTG_06647 transcript:DRNTG_06647.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIGFLISSRLRQCMGEVSMDVSLGAALETFREVYGDAVTEEEMSLFNWHLANLEYANAGLLSSFRWHFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPILYEKTVHTIRYGGDGVQVIAGGQVYEGDMALCTVPLGVLKNGLIKFMPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWSTELDTFGHLSEDPSRRGEFFLFYSYATVAGGPLLIALVAGEAAHNFESMPPTDAVALVLQILRGIFEPQGINVPDPLQSVCTRWGTDSFSLGSYSNVAVGATGDDYDILAETVGDGRLFFAGEATTRRYPATMHGAFLSGFREAANMAHHANARALKMKIDRSPTMNSESCAALLADLFREPDLEFGSFSVIFGRSDPKSAAVLRVTLGGSRKKGNNDGLKSDQQHSNKVLFQQLQSHYNQQQQIHLYTLLTRQQALDLREVRGGDEMRLNYLCEKLGIKLVGRRGLGPSADSVIASIRADRSNRRTSSMSLE >DRNTG_06647.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1714538:1716796:1 gene:DRNTG_06647 transcript:DRNTG_06647.13 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHCFLPGGNGRLVQALAENVPILYEKTVHTIRYGGDGVQVIAGGQVYEGDMALCTVPLGVLKNGLIKFMPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWSTELDTFGHLSEDPSRRGEFFLFYSYATVAGGPLLIALVAGEAAHNFESMPPTDAVALVLQILRGIFEPQGINVPDPLQSVCTRWGTDSFSLGSYSNVAVGATGDDYDILAETVGDGRLFFAGEATTRRYPATMHGAFLSGFREAANMAHHANARALKMKIDRSPTMNSESCAALLADLFREPDLEFGSFSVIFGRSDPKSAAVLRVTLGGSRKKGNNDGLKSDQQHSNKVLFQQLQSHYNQQQQIHLYTLLTRQQALDLREVRGGDEMRLNYLCEKLGIKLVGRRGLGPSADSVIASIRADRSNRRTSSMSLE >DRNTG_06647.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1714171:1717019:1 gene:DRNTG_06647 transcript:DRNTG_06647.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIGFLISSRLRQCMGEVSMDVSLGAALETFREVYGDAVTEEEMSLFNWHLANLEYANAGLLSSFRWHFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPILYEKTVHTIRYGGDGVQVIAGGQVYEGDMALCTVPLGVLKNGLIKFMPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWSTELDTFGHLSEDPSRRGEFFLFYSYATVAGGPLLIALVAGEAAHNFESMPPTDAVALVLQILRGIFEPQGINVPDPLQSVCTRWGTDSFSLGSYSNVAVGATGDDYDILAETVGDGRLFFAGEATTRRYPATMHGAFLSGFREAANMAHHANARALKMKIDRSPTMNSESCAALLADLFREPDLEFGSFSVIFGRSDPKSAAVLRVTLGGSRKKGNNDGLKSDQQHSNKVLFQQLQSHYNQQQQIHLYTLLTRQQALDLREVRGGDEMRLNYLCEKLGIKLVGRRGLGPSADSVIASIRADRSNRRTSSMSLE >DRNTG_06647.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1714538:1717073:1 gene:DRNTG_06647 transcript:DRNTG_06647.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHCFLPGGNGRLVQALAENVPILYEKTVHTIRYGGDGVQVIAGGQVYEGDMALCTVPLGVLKNGLIKFMPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWSTELDTFGHLSEDPSRRGEFFLFYSYATVAGGPLLIALVAGEAAHNFESMPPTDAVALVLQILRGIFEPQGINVPDPLQSVCTRWGTDSFSLGSYSNVAVGATGDDYDILAETVGDGRLFFAGEATTRRYPATMHGAFLSGFREAANMAHHANARALKMKIDRSPTMNSESCAALLADLFREPDLEFGSFSVIFGRSDPKSAAVLRVTLGGSRKKGNNDGLKSDQQHSNKVLFQQLQSHYNQQQQIHLYTLLTRQQALDLREVRGGDEMRLNYLCEKLGIKLVGRRGLGPSADSVIASIRADRSNRRTSSMSLE >DRNTG_06647.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1714022:1716940:1 gene:DRNTG_06647 transcript:DRNTG_06647.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIGFLISSRLRQCMGEVSMDVSLGAALETFREVYGDAVTEEEMSLFNWHLANLEYANAGLLSSFRWHFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPILYEKTVHTIRYGGDGVQVIAGGQVYEGDMALCTVPLGVLKNGLIKFMPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWSTELDTFGHLSEDPSRRGEFFLFYSYATVAGGPLLIALVAGEAAHNFESMPPTDAVALVLQILRGIFEPQGINVPDPLQSVCTRWGTDSFSLGSYSNVAVGATGDDYDILAETVGDGRLFFAGEATTRRYPATMHGAFLSGFREAANMAHHANARALKMKIDRSPTMNSESCAALLADLFREPDLEFGSFSVIFGRSDPKSAAVLRVTLGGSRKKGNNDGLKSDQQHSNKVLFQQLQSHYNQQQQIHLYTLLTRQQALDLREVRGGDEMRLNYLCEKLGIKLVGRRGLGPSADSVIASIRADRSNRRTSSMSLE >DRNTG_06647.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1714538:1717211:1 gene:DRNTG_06647 transcript:DRNTG_06647.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHCFLPGGNGRLVQALAENVPILYEKTVHTIRYGGDGVQVIAGGQVYEGDMALCTVPLGVLKNGLIKFMPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWSTELDTFGHLSEDPSRRGEFFLFYSYATVAGGPLLIALVAGEAAHNFESMPPTDAVALVLQILRGIFEPQGINVPDPLQSVCTRWGTDSFSLGSYSNVAVGATGDDYDILAETVGDGRLFFAGEATTRRYPATMHGAFLSGFREAANMAHHANARALKMKIDRSPTMNSESCAALLADLFREPDLEFGSFSVIFGRSDPKSAAVLRVTLGGSRKKGNNDGLKSDQQHSNKVLFQQLQSHYNQQQQIHLYTLLTRQQALDLREVRGGDEMRLNYLCEKLGIKLVGRRGLGPSADSVIASIRADRSNRRTSSMSLE >DRNTG_06647.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1715799:1717073:1 gene:DRNTG_06647 transcript:DRNTG_06647.15 gene_biotype:protein_coding transcript_biotype:protein_coding AGIFEPQGINVPDPLQSVCTRWGTDSFSLGSYSNVAVGATGDDYDILAETVGDGRLFFAGEATTRRYPATMHGAFLSGFREAANMAHHANARALKMKIDRSPTMNSESCAALLADLFREPDLEFGSFSVIFGRSDPKSAAVLRVTLGGSRKKGNNDGLKSDQQHSNKVLFQQLQSHYNQQQQIHLYTLLTRQQALDLREVRGGDEMRLNYLCEKLGIKLVGRRGLGPSADSVIASIRADRSNRRTSSMSLE >DRNTG_06647.16.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1714538:1715258:1 gene:DRNTG_06647 transcript:DRNTG_06647.16 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHCFLPGGNGRLVQALAENVPILYEKTVHTIRYGGDGVQVIAGGQVYEGDMALCTVPLGVLKNGLIKFMPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWSTELDTFGHLSEDPSRRGEFFLFYSYATVAGGPLLIALVAGEAAHNFESMPPTDAVALVLQILRGILLYFNY >DRNTG_06647.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1714022:1716940:1 gene:DRNTG_06647 transcript:DRNTG_06647.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIGFLISSRLRQCMGEVSMDVSLGAALETFREVYGDAVTEEEMSLFNWHLANLEYANAGLLSSFRWHFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPILYEKTVHTIRYGGDGVQVIAGGQVYEGDMALCTVPLGVLKNGLIKFMPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWSTELDTFGHLSEDPSRRGEFFLFYSYATVAGGPLLIALVAGEAAHNFESMPPTDAVALVLQILRGIFEPQGINVPDPLQSVCTRWGTDSFSLGSYSNVAVGATGDDYDILAETVGDGRLFFAGEATTRRYPATMHGAFLSGFREAANMAHHANARALKMKIDRSPTMNSESCAALLADLFREPDLEFGSFSVIFGRSDPKSAAVLRVTLGGSRKKGNNDGLKSDQQHSNKVLFQQLQSHYNQQQQIHLYTLLTRQQALDLREVRGGDEMRLNYLCEKLGIKLVGRRGLGPSADSVIASIRADRSNRRTSSMSLE >DRNTG_06647.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1714538:1717211:1 gene:DRNTG_06647 transcript:DRNTG_06647.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHCFLPGGNGRLVQALAENVPILYEKTVHTIRYGGDGVQVIAGGQVYEGDMALCTVPLGVLKNGLIKFMPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWSTELDTFGHLSEDPSRRGEFFLFYSYATVAGGPLLIALVAGEAAHNFESMPPTDAVALVLQILRGIFEPQGINVPDPLQSVCTRWGTDSFSLGSYSNVAVGATGDDYDILAETVGDGRLFFAGEATTRRYPATMHGAFLSGFREAANMAHHANARALKMKIDRSPTMNSESCAALLADLFREPDLEFGSFSVIFGRSDPKSAAVLRVTLGGSRKKGNNDGLKSDQQHSNKVLFQQLQSHYNQQQQIHLYTLLTRQQALDLREVRGGDEMRLNYLCEKLGIKLVGRRGLGPSADSVIASIRADRSNRRTSSMSLE >DRNTG_34615.7 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:30817:37591:-1 gene:DRNTG_34615 transcript:DRNTG_34615.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLWKNGGPNSNAHLIHSIWVNFQTSSTNIIFGNRWRHFLGERDFWEHVGGVDISLDPSSFGQANTQAFDSLLRKLQKYVPYGSSVTDLYAGAGIIGLSIASIRKCRSVKCVEINKESKQSFEKSLSRLLKSVDGSISWHNTDASIEPLHWLEGSDVVVVDPPRKGLDPSLVAALKAAACFSGKTSKSAQSSVLKVKEEKRPWILRARKAAVQMDSKTACDRSETWPQTLIYISCGWESFKEDCKSLLADKSWHLEKAHAFNFFPGTDSIEVLAVFKCGPRVAQKKKKGKKKTS >DRNTG_34615.6 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:32392:41238:-1 gene:DRNTG_34615 transcript:DRNTG_34615.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSPIREIAYEIIFGNRWRHFLGERDFWEHVGGVDISLDPSSFGQANTQAFDSLLRKLQKYVPYGSSVTDLYAGAGIIGLSIASIRKCRSVKCVEINKESKQSFEKSLSRLLKSVDGSISWHNTDASIEPLHWLEGSDVVVVDPPRKGLDPSLVAALKAAACFSGKTSKSAQSSVLKVKEEKRPWILRARKAAVQMDSKTACDRSETWPQTLIYISCGWESFKEDCKSLLADKSWHLEKAHAFNFFPGTDSIEVLAVFKCGPRVAQKKKKGKKKTS >DRNTG_34615.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:30817:41238:-1 gene:DRNTG_34615 transcript:DRNTG_34615.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSPIREIAYEIIFGNRWRHFLGERDFWEHVGGVDISLDPSSFGQANTQAFDSLLRKLQKYVPYGSSVTDLYAGAGIIGLSIASIRKCRSVKCVEINKESKQSFEKSLSRLLKSVDGSISWHNTDASIEPLHWLEGSDVVVVDPPRKGLDPSLVAALKAAACFSGKTSKSAQSSVLKVKEEKRPWILRARKAAVQMDSKTACDRSETWPQTLIYISCGWESFKEDCKSLLADKSWHLEKAHAFNFFPGTDSIEVLAVFKCGPRVAQKKKKGKKKTS >DRNTG_34615.10 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:37634:41238:-1 gene:DRNTG_34615 transcript:DRNTG_34615.10 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLRLPSLITAGVASRRRPPPPLSRTNPNQKSLLQGTSSSGNAALQCEHFQSCSGCSHEFALDRPSVLQEVNSFFEEHGVQDFSFENGRLWGWRCRAKLAVRGSPDSPLIGLYQEGSHNVVDIPLCKAHHPNINAAVNLLKQGITTLNIQPYDEDSGFGELRYAQMAVTTRNTSLPLAERYLK >DRNTG_34615.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:30817:41238:-1 gene:DRNTG_34615 transcript:DRNTG_34615.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLRLPSLITAGVASRRRPPPPLSRTNPNQKSLLQGTSSSGNAALQCEHFQSCSGCSHEFALDRPSVLQEVNSFFEEHGVQDFSFENGRLWGWRCRAKLAVRGSPDSPLIGLYQEGSHNVVDIPLCKAHHPNINAAVNLLKQGITTLNIQPYDEDSGFGELRYAQMAVTTRNTSLPLAERYLKGKVQVSLVWNSRNEQSQNAEKLNSLMEFLWKNGGPNSNAHLIHSIWVNFQTSSTNIIFGNRWRHFLGERDFWEHVGGVDISLDPSSFGQANTQAFDSLLRKLQKYVPYGSSVTDLYAGAGIIGLSIASIRKCRSVKCVEINKESKQSFEKSLSRLLKSVDGSISWHNTDASIEPLHWLEGSDVVVVDPPRKGLDPSLVAALKAAACFSGKTSKSAQSSVLKVKEEKRPWILRARKAAVQMDSKTACDRSETWPQTLIYISCGWESFKEDCKSLLADKSWHLEKAHAFNFFPGTDSIEVLAVFKCGPRVAQKKKKGKKKTS >DRNTG_34615.14.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:41044:41268:-1 gene:DRNTG_34615 transcript:DRNTG_34615.14 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLRLPSLITAGVASRRRPPPPLSRTNPNQKSLLQGTSSSGNAALQCEHFQS >DRNTG_34615.13 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:40035:41238:-1 gene:DRNTG_34615 transcript:DRNTG_34615.13 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLRLPSLITAGVASRRRPPPPLSRTNPNQKSLLQGTSSSGNAALQCEHFQSCSGCSHEFALDRPSVLQEVNSFFEEHGVQDFSFENGRLWGWRCRAKLAVRGSPDSPLIGLYQEGSHNVVDIPLCKAHHPNINAAVNLLKQGITTLNIQPYDEDSGFGELRYAQVSAFFGCKTS >DRNTG_34615.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:30817:41238:-1 gene:DRNTG_34615 transcript:DRNTG_34615.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLRLPSLITAGVASRRRPPPPLSRTNPNQKSLLQGTSSSGNAALQCEHFQSCSGCSHEFALDRPSVLQEVNSFFEEHGVQDFSFENGRLWGWRCRAKLAVRGSPDSPLIGLYQEGSHNVVDIPLCKAHHPNINAAVNLLKQGITTLNIQPYDEDSGFGELRYAQMAVTTRNTSLPLAERYLKGKVQVSLVWNSRNEQSQNAEKLNSLMEFLWKNGGPNSNAHLIHSIWVNFQTSSTNIIFGNRWRHFLGERDFWEHVGGVDISLDPSSFGQANTQAFDSLLRKLQKYVPYGSSVTDLYAGAGIIGLSIASIRKCRSVKCVEINKESKQSFEKSLSRLLKSVDGSISWHNTDASIEPLHWLEGSDVVVVDPPRKGLDPSLVAALKAAACFSGKTSKSAQSSVLKVKEEKRPWILRARKAAVQMDSKTACDRSETWPQTLIYISCGWESFKEDCKSLLADKSWHLEKAHAFNFFPGTDSIEVLAVFKCGPRVAQKKKKGKKKTS >DRNTG_34615.5 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:30817:41238:-1 gene:DRNTG_34615 transcript:DRNTG_34615.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSPIREIAYEIIFGNRWRHFLGERDFWEHVGGVDISLDPSSFGQANTQAFDSLLRKLQKYVPYGSSVTDLYAGAGIIGLSIASIRKCRSVKCVEINKESKQSFEKSLSRLLKSVDGSISWHNTDASIEPLHWLEGSDVVVVDPPRKGLDPSLVAALKAAACFSGKTSKSAQSSVLKVKEEKRPWILRARKAAVQMDSKTACDRSETWPQTLIYISCGWESFKEDCKSLLADKSWHLEKAHAFNFFPGTDSIEVLAVFKCGPRVAQKKKKGKKKTS >DRNTG_34615.9 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:37407:41238:-1 gene:DRNTG_34615 transcript:DRNTG_34615.9 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLRLPSLITAGVASRRRPPPPLSRTNPNQKSLLQGTSSSGNAALQCEHFQSCSGCSHEFALDRPSVLQEVNSFFEEHGVQDFSFENGRLWGWRCRAKLAVRGSPDSPLIGLYQEGSHNVVDIPLCKAHHPNINAAVNLLKQGITTLNIQPYDEDSGFGELRYAQMAVTTRNTSLPLAERYLKGKVQVSLVWNSRNEQSQNAEKLNSLMEVSYDQT >DRNTG_34615.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:30527:41238:-1 gene:DRNTG_34615 transcript:DRNTG_34615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLRLPSLITAGVASRRRPPPPLSRTNPNQKSLLQGTSSSGNAALQCEHFQSCSGCSHEFALDRPSVLQEVNSFFEEHGVQDFSFENGRLWGWRCRAKLAVRGSPDSPLIGLYQEGSHNVVDIPLCKAHHPNINAAVNLLKQGITTLNIQPYDEDSGFGELRYAQMAVTTRNTSLPLAERYLKGKVQVSLVWNSRNEQSQNAEKLNSLMEFLWKNGGPNSNAHLIHSIWVNFQTSSTNIIFGNRWRHFLGERDFWEHVGGVDISLDPSSFGQANTQAFDSLLRKLQKYVPYGSSVTDLYAGAGIIGLSIASIRKCRSVKCVEINKESKQSFEKSLSRLLKSVDGSISWHNTDASIV >DRNTG_34615.8 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:30817:35797:-1 gene:DRNTG_34615 transcript:DRNTG_34615.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIFQRNFLFMKETDVYVGPLQLIFVHHLKDPACKQIIFGNRWRHFLGERDFWEHVGGVDISLDPSSFGQANTQAFDSLLRKLQKYVPYGSSVTDLYAGAGIIGLSIASIRKCRSVKCVEINKESKQSFEKSLSRLLKSVDGSISWHNTDASIEPLHWLEGSDVVVVDPPRKGLDPSLVAALKAAACFSGKTSKSAQSSVLKVKEEKRPWILRARKAAVQMDSKTACDRSETWPQTLIYISCGWESFKEDCKSLLADKSWHLEKAHAFNFFPGTDSIEVLAVFKCGPRVAQKKKKGKKKTS >DRNTG_35442.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002248.1:39557:41872:1 gene:DRNTG_35442 transcript:DRNTG_35442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPLMLKDYLELDSHYDSFQCSTIRYLLDSELNHTNLSKPHSKSPVSKLTTLMQAFYSRSLSKRLKRSLVGRNRSARDEIKTTMAHKKDFKVKDIMRLSSFNNGGEGVLINSCSPECPSPIVSSCSSGSTSGRSSISRSSSSSGSEFLISSVDSFTEVNSKQVSPPASVGAGARDKNMDLERKEMEKQECCFHSLEDESEKEQLSPVSVMDFPYDQDEEEEEEESTSHAFQQSLANIERSKHQLLQKIRRFECIAELEPLDLDPLFEAEQDANEDDDEVITRALELVKQLKDISFIMINDNIEKILVDFFIDGIWSIRNDVLSTNDHYVKKEQELLKEGINWLNQVEYSVGEYDLSFAYLKEMEKNGRWRCFKDDETDVGMDLELDVMESLLDELVLDLLA >DRNTG_19438.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6895832:6896633:-1 gene:DRNTG_19438 transcript:DRNTG_19438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSQEKVTAKPGTMCYMSGSIQMENIYVPENERGSVAMDFWEEFD >DRNTG_19438.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6895832:6896481:-1 gene:DRNTG_19438 transcript:DRNTG_19438.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSQEKVTAKPGTMCYMSGSIQMENIYVPENERGSVAMDFWEEFD >DRNTG_13826.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:87638:92611:-1 gene:DRNTG_13826 transcript:DRNTG_13826.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSNITHFLPFLLLFAVLAVWINGSCAKVPDEDDHAAFLGAPICPSPDNSLYYRPVIGILTHPTDGAGGKLNKTSYIPASYVKFVESGGARVIPIIYDEHEDTITHKLELVNGVLFTGGFMTLPSYTNVAKKIFQTVLHKNEAGNHFPLYGMALGFEQLIHFVGKNPNIMEKIAAYDQASKLNFYTRDLGGTVFQSFPPELLNKMSTKCLAMHNSGVGISRERFEKNSTHLPSFFKVLTTSVDAKNKEFVSTAQAYNYPIVGFQWVPPKNAFEWGNRKIPRTEDAIRVTQQTANYFISEARKSKTKPDETEVLAHLIYNYQPVYTGKEGKGYDQVYFFP >DRNTG_27500.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17137013:17137333:-1 gene:DRNTG_27500 transcript:DRNTG_27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEVATSLSRLKDDNSKIMEMLEKTNADRQMFIEMQSKNLVFQQMKFEDKILMRDLNSITDPNIRACIQAQQ >DRNTG_01505.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:19394163:19394880:1 gene:DRNTG_01505 transcript:DRNTG_01505.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISGQDDDTTYDEIERQYNSDERVQVKMEDEGNPTHKLHFDDDQFQSPSHCDPEADGTT >DRNTG_14967.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24092069:24095736:1 gene:DRNTG_14967 transcript:DRNTG_14967.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFPASPWQHRCSLISARVPLSLASSMIVDKLLDNVNFTSFVLDIGSASVGDDRRLTCVSTYLIAFFEAGVSSGCYTIYCHWDRFLLHCHGRDSIAGAFYTELTLYWRIKTLFGNTYELLLLKFNYIADFSVSNIAKNRHIHYSEILTVFLGLGENMETLQGHVPSVR >DRNTG_16241.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5934188:5936205:1 gene:DRNTG_16241 transcript:DRNTG_16241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVVVFDFDKTLINCDSDDWVIREFGLAELFKQLMFTMPWNSLIDRMMNELHSQGKTVEAIADCLKRAPLDPHVVNAIKFAFDLGCDMRVISDANIFFIETILKHHGIIGCFSEINSNPFLVDENGRLRILPYHDFTTSPHGCSLCPPNMCKGKVIERIRASVSGKRKQFIYIGDGMGDYCPSLKLTEGDHVMPRKNYPLWKRINDNAQLIKAAIHEWSNGEELEKTLLHLVHGSMPAK >DRNTG_31309.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3939965:3941578:-1 gene:DRNTG_31309 transcript:DRNTG_31309.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLLLQPSLYSILHVIIFLLLLRLFFSFKSLLYLLSRLWRCLEDQTQVYQYFSIPRFSSDGFHENHLFRKAFSYISALPSLQDSDSITLYSSSRNDFHLHLTSPGHSVSDHYLGARLSWSYESSPDQRFILKLRRQDRHRVLLPYLQQVETLADEIELRRREIKLFTHVSNGWKSVPFTHPATLDTVAMDADVKSRVKSDLESFIKGRAYYHRLGRVWRRSYLLHGPPGTGKSSFAAAMAKFLCYDIYDFDLSRFSDVSEMRAFLLQTTPRSVILVEDLDLHLSEEKHTMALSGVLNFMDGVFSCCGEERVMVVTMTAPPKDSAVLRPGRVDVHVHFPLCDFGAFKTLASSYLGLKDHKLYPQVEEVFQSGARLSPAEVGEIMLANRASPSRALKSVINALQQSSSCSSSAVTSGRLTTSATRRVSDAEAPVSGELACGAGNGLGFGKDATLREFRKLYGFIKRSGSKKEGVLTV >DRNTG_31309.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3939759:3941765:-1 gene:DRNTG_31309 transcript:DRNTG_31309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLLLQPSLYSILHVIIFLLLLRLFFSFKSLLYLLSRLWRCLEDQTQVYQYFSIPRFSSDGFHENHLFRKAFSYISALPSLQDSDSITLYSSSRNDFHLHLTSPGHSVSDHYLGARLSWSYESSPDQRFILKLRRQDRHRVLLPYLQQVETLADEIELRRREIKLFTHVSNGWKSVPFTHPATLDTVAMDADVKSRVKSDLESFIKGRAYYHRLGRVWRRSYLLHGPPGTGKSSFAAAMAKFLCYDIYDFDLSRFSDVSEMRAFLLQTTPRSVILVEDLDLHLSEEKHTMALSGVLNFMDGVFSCCGEERVMVVTMTAPPKDSAVLRPGRVDVHVHFPLCDFGAFKTLASSYLGLKDHKLYPQVEEVFQSGARLSPAEVGEIMLANRASPSRALKSVINALQQSSSCSSSAVTSGRLTTSATRRVSDAEAPVSGELACGAGNGLGFGKDATLREFRKLYGFIKRSGSKKEGVLTVEAAAAAAAAASAAAANAGDKDA >DRNTG_31309.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3939709:3941526:-1 gene:DRNTG_31309 transcript:DRNTG_31309.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLLLQPSLYSILHVIIFLLLLRLFFSFKSLLYLLSRLWRCLEDQTQVYQYFSIPRFSSDGFHENHLFRKAFSYISALPSLQDSDSITLYSSSRNDFHLHLTSPGHSVSDHYLGARLSWSYESSPDQRFILKLRRQDRHRVLLPYLQQVETLADEIELRRREIKLFTHVSNGWKSVPFTHPATLDTVAMDADVKSRVKSDLESFIKGRAYYHRLGRVWRRSYLLHGPPGTGKSSFAAAMAKFLCYDIYDFDLSRFSDVSEMRAFLLQTTPRSVILVEDLDLHLSEEKHTMALSGVLNFMDGVFSCCGEERVMVVTMTAPPKDSAVLRPGRVDVHVHFPLCDFGAFKTLASSYLGLKDHKLYPQVEEVFQSGARLSPAEVGEIMLANRASPSRALKSVINALQQSSSCSSSAVTSGRLTTSATRRVSDAEAPVSGELACGAGNGLGFGKDATLREFRKLYGFIKRSGSKKEGVLTVEAAAAAAAAASAAAANAGDKDA >DRNTG_31309.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3939759:3941578:-1 gene:DRNTG_31309 transcript:DRNTG_31309.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLLLQPSLYSILHVIIFLLLLRLFFSFKSLLYLLSRLWRCLEDQTQVYQYFSIPRFSSDGFHENHLFRKAFSYISALPSLQDSDSITLYSSSRNDFHLHLTSPGHSVSDHYLGARLSWSYESSPDQRFILKLRRQDRHRVLLPYLQQVETLADEIELRRREIKLFTHVSNGWKSVPFTHPATLDTVAMDADVKSRVKSDLESFIKGRAYYHRLGRVWRRSYLLHGPPGTGKSSFAAAMAKFLCYDIYDFDLSRFSDVSEMRAFLLQTTPRSVILVEDLDLHLSEEKHTMALSGVLNFMDGVFSCCGEERVMVVTMTAPPKDSAVLRPGRVDVHVHFPLCDFGAFKTLASSYLGLKDHKLYPQVEEVFQSGARLSPAEVGEIMLANRASPSRALKSVINALQQSSSCSSSAVTSGRLTTSATRRVSDAEAPVSGELACGAGNGLGFGKDATLREFRKLYGFIKRSGSKKEGVLTVEAAAAAAAAASAAAANAGDKDA >DRNTG_31309.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3939965:3941526:-1 gene:DRNTG_31309 transcript:DRNTG_31309.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLLLQPSLYSILHVIIFLLLLRLFFSFKSLLYLLSRLWRCLEDQTQVYQYFSIPRFSSDGFHENHLFRKAFSYISALPSLQDSDSITLYSSSRNDFHLHLTSPGHSVSDHYLGARLSWSYESSPDQRFILKLRRQDRHRVLLPYLQQVETLADEIELRRREIKLFTHVSNGWKSVPFTHPATLDTVAMDADVKSRVKSDLESFIKGRAYYHRLGRVWRRSYLLHGPPGTGKSSFAAAMAKFLCYDIYDFDLSRFSDVSEMRAFLLQTTPRSVILVEDLDLHLSEEKHTMALSGVLNFMDGVFSCCGEERVMVVTMTAPPKDSAVLRPGRVDVHVHFPLCDFGAFKTLASSYLGLKDHKLYPQVEEVFQSGARLSPAEVGEIMLANRASPSRALKSVINALQQSSSCSSSAVTSGRLTTSATRRVSDAEAPVSGELACGAGNGLGFGKDATLREFRKLYGFIKRSGSKKEGVLTV >DRNTG_31309.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3939965:3941765:-1 gene:DRNTG_31309 transcript:DRNTG_31309.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLLLQPSLYSILHVIIFLLLLRLFFSFKSLLYLLSRLWRCLEDQTQVYQYFSIPRFSSDGFHENHLFRKAFSYISALPSLQDSDSITLYSSSRNDFHLHLTSPGHSVSDHYLGARLSWSYESSPDQRFILKLRRQDRHRVLLPYLQQVETLADEIELRRREIKLFTHVSNGWKSVPFTHPATLDTVAMDADVKSRVKSDLESFIKGRAYYHRLGRVWRRSYLLHGPPGTGKSSFAAAMAKFLCYDIYDFDLSRFSDVSEMRAFLLQTTPRSVILVEDLDLHLSEEKHTMALSGVLNFMDGVFSCCGEERVMVVTMTAPPKDSAVLRPGRVDVHVHFPLCDFGAFKTLASSYLGLKDHKLYPQVEEVFQSGARLSPAEVGEIMLANRASPSRALKSVINALQQSSSCSSSAVTSGRLTTSATRRVSDAEAPVSGELACGAGNGLGFGKDATLREFRKLYGFIKRSGSKKEGVLTV >DRNTG_31309.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3939759:3941526:-1 gene:DRNTG_31309 transcript:DRNTG_31309.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLLLQPSLYSILHVIIFLLLLRLFFSFKSLLYLLSRLWRCLEDQTQVYQYFSIPRFSSDGFHENHLFRKAFSYISALPSLQDSDSITLYSSSRNDFHLHLTSPGHSVSDHYLGARLSWSYESSPDQRFILKLRRQDRHRVLLPYLQQVETLADEIELRRREIKLFTHVSNGWKSVPFTHPATLDTVAMDADVKSRVKSDLESFIKGRAYYHRLGRVWRRSYLLHGPPGTGKSSFAAAMAKFLCYDIYDFDLSRFSDVSEMRAFLLQTTPRSVILVEDLDLHLSEEKHTMALSGVLNFMDGVFSCCGEERVMVVTMTAPPKDSAVLRPGRVDVHVHFPLCDFGAFKTLASSYLGLKDHKLYPQVEEVFQSGARLSPAEVGEIMLANRASPSRALKSVINALQQSSSCSSSAVTSGRLTTSATRRVSDAEAPVSGELACGAGNGLGFGKDATLREFRKLYGFIKRSGSKKEGVLTVEAAAAAAAAASAAAANAGDKDA >DRNTG_11637.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10820:12355:-1 gene:DRNTG_11637 transcript:DRNTG_11637.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSTESDICAACRHPQEITTNSDCNLPVPCGVETGRNMMDVPPQQTGLTELKQSFLTNLWQNPNRLSEEMVRCMRNVFLCLSKSSSPIPFMATSAPECIPSPYSPIANLPTSLVSSSDSSEMASSVCSPGFKMNEIRETWTPQKTFDPYQVSGKMSWKNAGSYSLAAEVSWMCVGKTQLEYAAEALKGFRFLVEQLAKVNPSCMSANERLAFWINLYNTLIMHAYLAYGVPKSDMKLFFANAEGMIH >DRNTG_11637.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10820:12355:-1 gene:DRNTG_11637 transcript:DRNTG_11637.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSTESDICAACRHPQEITTNSDCNLPVPCGVETGRNMMDVPPQQTGLTELKQSFLTNLWQNPNRLSEEMVRCMRNVFLCLSKSSSPIPFMATSAPEFCSPGFKMNEIRETWTPQKTFDPYQVSGKMSWKNAGSYSLAAEVSWMCVGKTQLEYAAEALKGFRFLVEQLAKVNPSCMSANERLAFWINLYNTLIMHAYLAYGVPKSDMKLFFANAEGMIH >DRNTG_11637.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10820:12355:-1 gene:DRNTG_11637 transcript:DRNTG_11637.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSANERLAFWINLYNTLIMHAYLAYGVPKSDMKLFFANAEGMIH >DRNTG_11637.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11239:12355:-1 gene:DRNTG_11637 transcript:DRNTG_11637.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQLILLFVLQLPVPCGVETGRNMMDVPPQQTGLTELKQSFLTNLWQNPNRLSEEMVRCMRNVFLCLSKSSSPIPFMATSAPECIPSPYSPIANLPTSLVSSSDSSEMASSVCSPGFKMNEIRETWTPQKTFDPYQVSGKMSWKNAGSYSLAAEVSWMCVGKTQLEYAAEALKGFRFLVEQLAKVNPSCMSANERLAFWINLYNTLIMHVS >DRNTG_02483.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2212347:2220897:-1 gene:DRNTG_02483 transcript:DRNTG_02483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIITIQVGQCGNQIGMEFWKQLCLEHGIGKDGLLEDFATQGGDRKDVFFYQADDQHYIPRALLMDLEPRVINGIQNSEYRNLYNHENIFLSDHGGGAGNNWANGYHQGEQVVDDIMDMVDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNERYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQVNVIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLANHTSIRHLFSRCLSQYEKLRKKQAFLDNYRKFPVFADNDLSEFDESREIIESLVDEYKACESPDYIKWGMEDGDRSSSGEVSLAGALDAKLTI >DRNTG_02483.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2212347:2215871:-1 gene:DRNTG_02483 transcript:DRNTG_02483.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLANHTSIRHLFSRCLSQYEKLRKKQAFLDNYRKFPVFADNDLSEFDESREIIESLVDEYKACESPDYIKWGMEDGDRSSSGEVSLAGALDAKLTI >DRNTG_17689.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28229481:28242662:-1 gene:DRNTG_17689 transcript:DRNTG_17689.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEIVPRGDGSSGEGSSASPGSPEETPFLRRVPSESRRRRVVSEPTLSSLSSPFAFESNSRRRSFRRDVGHAAAETFLLTRLTLILLRYLGVGYRWITRFLALACYAILLMPGFIQVGYHYFCSSQVRRSVVYGEQPRNRLDLYLPTNTDQLKPVVAFVTGGAWIIGYKAWGALLGKQLAERGIIVACIDYRNFPQGTISDMVNDASQGISFVCSKAADYGGDPNRIYLVGQSAGAHIAACTLLDQALKESGEGENATWSVSQIKVYFVTTF >DRNTG_17689.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28229481:28242662:-1 gene:DRNTG_17689 transcript:DRNTG_17689.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEIVPRGDGSSGEGSSASPGSPEETPFLRRVPSESRRRRVVSEPTLSSLSSPFAFESNSRRRSFRRDVGHAAAETFLLTRLTLILLRYLGVGYRWITRFLALACYAILLMPGFIQVGYHYFCSSQVRRSVVYGEQPRNRLDLYLPTNTDQLKPVVAFVTGGAWIIGYKAWGALLGKQLAERGIIVACIDYRNFPQGTISDMVNDASQGISFVCSKAADYGGDPNRIYLVGQSAGAHIAACTLLDQALKESGEGENATWSVSQIKLQPSEVG >DRNTG_17689.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28229481:28242662:-1 gene:DRNTG_17689 transcript:DRNTG_17689.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEIVPRGDGSSGEGSSASPGSPEETPFLRRVPSESRRRRVVSEPTLSSLSSPFAFESNSRRRSFRRDVGHAAAETFLLTRLTLILLRYLGVGYRWITRFLALACYAILLMPGFIQVGYHYFCSSQVRRSVVYGEQPRNRLDLYLPTNTDQLKPVVAFVTGGAWIIGYKAWGALLGKQLAERGIIVACIDYRNFPQGTISDMVNDASQGISFVCSKAADYGGDPNRIYLVGQSAGAHIAACTLLDQALKESGEGENATWSVSQIKVYFGISGGYNLLKLVDHFHSRGLYRSIFLSVMEGEESLRRYSPEVVVQDPYVRHAASLLPPIILFHGTSDYSIPCDASETFAEALRNAGVEAKLVLYKGKTHTDLFIQDPLRGGKDELLEDIISVIHAGDTVALAEDAVARPTRRLVPEFMIQLARKISPF >DRNTG_17689.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28229481:28242662:-1 gene:DRNTG_17689 transcript:DRNTG_17689.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDASQGISFVCSKAADYGGDPNRIYLVGQSAGAHIAACTLLDQALKESGEGENATWSVSQIKVYFGISGGYNLLKLVDHFHSRGLYRSIFLSVMEGEESLRRYSPEVVVQDPYVRHAASLLPPIILFHGTSDYSIPCDASETFAEALRNAGVEAKLVLYKGKTHTDLFIQDPLRGGKDELLEDIISVIHAGDTVALAEDAVARPTRRLVPEFMIQLARKISPF >DRNTG_24327.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:309107:316453:1 gene:DRNTG_24327 transcript:DRNTG_24327.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEKPEPSTPTSPSLLIRPRREPFEYGLLPISKLIFSDGTLTLAPLKEKLLQTSTDGRVSASQLAEALQIPHDYACLAIDTLASVLPADPDPNAGADVHDLILFLYIQTYKRLLPRAHKDSAAVADVWPSTSAFDGYLSALSPLQLVRSNSRRFIPSQADEEAHQLSYLQKHMANIIALLAEPVEGEGDESLVLTSERFEHLGFLIQFGEKGSEGIPLSQRTPFFANSDPDMPAAPVPATQVHDWVSQHITAALEHNAEKAMAKENGPAADLDVTMADAFLNQTRPQSSSSTAMHNNAAYTRSQSFVEGISKASVVKQTCDIKGHSVKVLNCHDSVIYILAPLKYATVYGCSDTTIVLGAIGKAVRVEHCERVQVITAAKRICIANCRECIFFLGVNQQPLILGDNHKLQVAPYNTFYAQLEEHMAQTGVVPTVNRWNEPLVLGMVDPHDSLSHPAGVSDAQAESAARLDPDLFTNFLIPNWYSGESSQSTKYNPFPLPEIYMSSQRKKYSVLSDVQQALRDLEVEENKKRELAGALHVHFKDWLYASGNIRQLYCLQGD >DRNTG_07290.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3605884:3607974:-1 gene:DRNTG_07290 transcript:DRNTG_07290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFSLLQALLIAGALSGLIGLLAEAQDCGCSSDLCCSQWGYCGSGNDFCGKGCKQGPCFGNNDGGDRVQDYGDGGGDDGEGGGDGGDGGGDGGGDGSGDGGGDGNGGDDGDGGDGSGDGGDGGDGGGDGGDGGGDGGVGGGHGGGGGGHGGGGGGTVGDIVTPAFFDGIASKAGVGCAGKGFYTRNAFLSAAGSFPDFGTTGNNDVKKREIAAFFAQVTHETGHFCYIEEIAKRKYCQASHKYPCNPDKNYFGRGPLQLTWNYNYIPASQAIGFDGLNSPETVANDNVISFKAALWFWDVNGVHNAITSGQGFGATTRIINSHECDGKHPNQMNARVGYYKDYCKQLGVSPGDYLTCYLGR >DRNTG_07290.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3605884:3607974:-1 gene:DRNTG_07290 transcript:DRNTG_07290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFSLLQALLIAGALSGLIGLLAEAQDCGCSSDLCCSQWGYCGSGNDFCGKGCKQGPCFGNNDGGDRVQDYGDGGGDDGEGGGDGGDGGGDGGGDGSGDGGGDGSGDGGGDGGDDGDGGEGGGDGGDGSGDGGGDGGDDGDGGDGGGDGSGDGGDGGDDGDGGDGNGDGNGDGGDDGDGGDGSGDGGDGGDGGGDGGDGGGDGGVGGGHGGGGGGHGGGGGGTVGDIVTPAFFDGIASKAGVGCAGKGFYTRNAFLSAAGSFPDFGTTGNNDVKKREIAAFFAQVTHETGHFCYIEEIAKRKYCQASHKYPCNPDKNYFGRGPLQLTWNYNYIPASQAIGFDGLNSPETVANDNVISFKAALWFWDVNGVHNAITSGQGFGATTRIINSHECDGKHPNQMNARVGYYKDYCKQLGVSPGDYLTCYLGR >DRNTG_07290.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3605884:3607974:-1 gene:DRNTG_07290 transcript:DRNTG_07290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFSLLQALLIAGALSGLIGLLAEAQDCGCSSDLCCSQWGYCGSGNDFCGKGCKQGPCFGNNDGGDRVQDYGDGGGDDGEGGGDGGDGGGDGGGDGSGDGGGDGSGDGGGDGGDDGDGGDGGGDGSGDGGDGGDDGDGGDGNGDGNGDGGDDGDGGDGSGDGGDGGDGGGDGGDGGGDGGVGGGHGGGGGGHGGGGGGTVGDIVTPAFFDGIASKAGVGCAGKGFYTRNAFLSAAGSFPDFGTTGNNDVKKREIAAFFAQVTHETGHFCYIEEIAKRKYCQASHKYPCNPDKNYFGRGPLQLTWNYNYIPASQAIGFDGLNSPETVANDNVISFKAALWFWDVNGVHNAITSGQGFGATTRIINSHECDGKHPNQMNARVGYYKDYCKQLGVSPGDYLTCYLGR >DRNTG_07290.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3605884:3607974:-1 gene:DRNTG_07290 transcript:DRNTG_07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFSLLQALLIAGALSGLIGLLAEAQDCGCSSDLCCSQWGYCGSGNDFCGKGCKQGPCFGNNDGGDRVQDYGDGGGDDGEGGGDGGDGGGDGGGDGSGDGGGDGSGDGGGDGGDDGDGGEGGGDGGDGSGDGGGDGGDDGDGGDGGGDGSGDGGDGGDGGVGGGHGGGGGGHGGGGGGTVGDIVTPAFFDGIASKAGVGCAGKGFYTRNAFLSAAGSFPDFGTTGNNDVKKREIAAFFAQVTHETGHFCYIEEIAKRKYCQASHKYPCNPDKNYFGRGPLQLTWNYNYIPASQAIGFDGLNSPETVANDNVISFKAALWFWDVNGVHNAITSGQGFGATTRIINSHECDGKHPNQMNARVGYYKDYCKQLGVSPGDYLTCYLGR >DRNTG_34015.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31603069:31614453:1 gene:DRNTG_34015 transcript:DRNTG_34015.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGSRDVSRRDFDLRFEERGRSSGWGVAPPSRHLWVGNLSPEVSPSVLSDQFLKFGHLEDISYVPGRSYAFVNYMKKDDAAHAMRALQGAIIEGMPLRIEFAKGEKPPLSSQDKEYQRYDNERHSHKHGDARPIQSGKSYDNFKGNKDAEPSEILWIGFPLPMNIDESVLRRAFSPFGEIERITTFPGRSYAFVQYRSVTSACRAKEALHGKLLDNPRIRVCFAKGDVPPDQGRSLSNVPHPPRFRSNIHPGPSGRTLEAFHGERGFESPTSGFQVSSPPFIPNFERMHGDSGAVGSGRTGTVQAGLGPGCNPGAPFEHIRLQEFGSHRLPGDTYERYQNSPRRDWDAQWDNPPLERHQRSPFSEDSWVVGDANFPSVKKLKTDSFTDRELPEYPFTEFEKNKHDSIPKRSYPSMHEPHSFNGNTDSLPFGLKGLLNHPRNLPCPPAENDDSWRSSDAFNAGPALPPLGSSKLQNLSSEYHQSAPLELWKWEGTIAKGGAPICRARCFPVGKVLDFMLPEFLDCTARTGLDMLAKHYYQAAGTWVVFFVPETDADIVFYNELLHYLSEKQRAAVAKLGDKISLFLVPPSEFSEHVLKVPGKMSISGLILKFQHSDSCSSSFHYPMDAMEFKLPTLMARPSDGTNLREDASFIKPSSPISRTSLGNLAPHNLGDSLPYLGAIHPREMQPEFNMEIRHGQPQQPQKPQQQLNPALKLSWPSDMHIPNPSIVSFPPLPPNAASHSVGNSMEEKYPIANPRLAPETSSGNYIPETSGIIHFPNSKLPQLQETKQSSSLPMPLQPEQLAQLAAFLGQHKQGGREFVSSTDASLSSNPGLISHASVSSGPAGPQTVYPPLPSIPQAIHPPLPSMPPRPHVAHASISPGMMVDRITNASLPLNSAEPQLSQAGSFQLHLPQDVTGGQGNPALPNIQQAPNRTMEEGEPDPQKRLQATLQLAASLLQQIQQQSKT >DRNTG_34015.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31603069:31614356:1 gene:DRNTG_34015 transcript:DRNTG_34015.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGSRDVSRRDFDLRFEERGRSSGWGVAPPSRHLWVGNLSPEVSPSVLSDQFLKFGHLEDISYVPGRSYAFVNYMKKDDAAHAMRALQGAIIEGMPLRIEFAKGEKPPLSSQDKEYQRYDNERHSHKHGDARPIQSGKSYDNFKGNKDAEPSEILWIGFPLPMNIDESVLRRAFSPFGEIERITTFPGRSYAFVQYRSVTSACRAKEALHGKLLDNPRIRVCFAKGDVPPDQGRSLSNVPHPPRFRSNIHPGPSGRTLEAFHGERGFESPTSGFQVSSPPFIPNFERMHGDSGAVGSGRTGTVQAGLGPGCNPGAPFEHIRLQEFGSHRLPGDTYERYQNSPRRDWDAQWDNPPLERHQRSPFSEDSWVVGDANFPSVKKLKTDSFTDRELPEYPFTEFEKNKHDSIPKRSYPSMHEPHSFNGNTDSLPFGLKGLLNHPRNLPCPPAENDDSWRSSDAFNAGPALPPLGSSKLQNLSSEYHQSAPLELWKWEGTIAKGGAPICRARCFPVGKVLDFMLPEFLDCTARTGLDMLAKHYYQAAGTWVVFFVPETDADIVFYNELLHYLSEKQRAAVAKLGDKISLFLVPPSEFSEHVLKVPGKMSISGLILKFQHSDSCSSSFHYPMDAMEFKLPTLMARPSDGTNLREDASFIKPSSPISRTSLGNLAPHNLGDSLPYLGAIHPREMQPEFNMEIRHGQPQQPQKPQQQLNPALKLSWPSDMHIPNPSIVSFPPLPPNAASHSVGNSMEEKYPIANPRLAPETSSGNYIPETSGIIHFPNSKLPQLQETKQSSSLPMPLQPEQLAQLAAFLGQHKQGGREFVSSTDASLSSNPGLISHASVSSGPAGPQTVYPPLPSIPQAIHPPLPSMPPRPHVAHASISPGMMVDRITNASLPLNSAEPQLSQAGSFQLHLPQDVTGGQGNPALPNIQQAPNRTMEEGEPDPQKRLQATLQLAASLLQQIQQQSKT >DRNTG_34015.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31603012:31614453:1 gene:DRNTG_34015 transcript:DRNTG_34015.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGSRDVSRRDFDLRFEERGRSSGWGVAPPSRHLWVGNLSPEVSPSVLSDQFLKFGHLEDISYVPGRSYAFVNYMKKDDAAHAMRALQGAIIEGMPLRIEFAKGEKPPLSSQDKEYQRYDNERHSHKHGDARPIQSGKSYDNFKGNKDAEPSEILWIGFPLPMNIDESVLRRAFSPFGEIERITTFPGRSYAFVQYRSVTSACRAKEALHGKLLDNPRIRVCFAKGDVPPDQGRSLSNVPHPPRFRSNIHPGPSGRTLEAFHGERGFESPTSGFQVSSPPFIPNFERMHGDSGAVGSGRTGTVQAGLGPGCNPGAPFEHIRLQEFGSHRLPGDTYERYQNSPRRDWDAQWDNPPLERHQRSPFSEDSWVVGDANFPSVKKLKTDSFTDRELPEYPFTEFEKNKHDSIPKRSYPSMHEPHSFNGNTDSLPFGLKGLLNHPRNLPCPPAENDDSWRSSDAFNAGPALPPLGSSKLQNLSSEYHQSAPLELWKWEGTIAKGGAPICRARCFPVGKVLDFMLPEFLDCTARTGLDMLAKHYYQAAGTWVVFFVPETDADIVFYNELLHYLSEKQRAAVAKLGDKISLFLVPPSEFSEHVLKVPGKMSISGLILKFQHSDSCSSSFHYPMDAMEFKLPTLMARPSDGTNLREDASFIKPSSPISRTSLGNLAPHNLGDSLPYLGAIHPREMQPEFNMEIRHGQPQQPQKPQQQLNPALKLSWPSDMHIPNPSIVSFPPLPPNAASHSVGNSMEEKYPIANPRLAPETSSGNYIPETSGIIHFPNSKLPQLQETKQSSSLPMPLQPEQLAQLAAFLGQHKQGGREFVSSTDASLSSNPGLISHASVSSGPAGPQTVYPPLPSIPQAIHPPLPSMPPRPHVAHASISPGMMVDRITNASLPLNSAEPQLSQAGSFQLHLPQDVTGGQGNPALPNIQQAPNRTMEEGEPDPQKRLQATLQLAASLLQQIQQQSKT >DRNTG_34015.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31603069:31614252:1 gene:DRNTG_34015 transcript:DRNTG_34015.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGSRDVSRRDFDLRFEERGRSSGWGVAPPSRHLWVGNLSPEVSPSVLSDQFLKFGHLEDISYVPGRSYAFVNYMKKDDAAHAMRALQGAIIEGMPLRIEFAKGEKPPLSSQDKEYQRYDNERHSHKHGDARPIQSGKSYDNFKGNKDAEPSEILWIGFPLPMNIDESVLRRAFSPFGEIERITTFPGRSYAFVQYRSVTSACRAKEALHGKLLDNPRIRVCFAKGDVPPDQGRSLSNVPHPPRFRSNIHPGPSGRTLEAFHGERGFESPTSGFQVSSPPFIPNFERMHGDSGAVGSGRTGTVQAGLGPGCNPGAPFEHIRLQEFGSHRLPGDTYERYQNSPRRDWDAQWDNPPLERHQRSPFSEDSWVVGDANFPSVKKLKTDSFTDRELPEYPFTEFEKNKHDSIPKRSYPSMHEPHSFNGNTDSLPFGLKGLLNHPRNLPCPPAENDDSWRSSDAFNAGPALPPLGSSKLQNLSSEYHQSAPLELWKWEGTIAKGGAPICRARCFPVGKVLDFMLPEFLDCTARTGLDMLAKHYYQAAGTWVVFFVPETDADIVFYNELLHYLSEKQRAAVAKLGDKISLFLVPPSEFSEHVLKVPGKMSISGLILKFQHSDSCSSSFHYPMDAMEFKLPTLMARPSDGTNLREDASFIKPSSPISRTSLGNLAPHNLGDSLPYLGAIHPREMQPEFNMEIRHGQPQQPQKPQQQLNPALKLSWPSDMHIPNPSIVSFPPLPPNAASHSVGNSMEEKYPIANPRLAPETSSGNYIPETSGIIHFPNSKLPQLQETKQSSSLPMPLQPEQLAQLAAFLGQHKQGGREFVSSTDASLSSNPGLISHASVSSGPAGPQTVYPPLPSIPQAIHPPLPSMPPRPHVAHASISPGMMVDRITNASLPLNSAEPQLSQAGSFQLHLPQDVTGGQGNPALPNIQQAPNRTMEEGEPDPQKRLQATLQLAASLLQQIQQQSKT >DRNTG_34015.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31604252:31614641:1 gene:DRNTG_34015 transcript:DRNTG_34015.13 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGSRDVSRRDFDLRFEERGRSSGWGVAPPSRHLWVGNLSPEVSPSVLSDQFLKFGHLEDISYVPGRSYAFVNYMKKDDAAHAMRALQGAIIEGMPLRIEFAKGEKPPLSSQDKEYQRYDNERHSHKHGDARPIQSGKSYDNFKGNKDAEPSEILWIGFPLPMNIDESVLRRAFSPFGEIERITTFPGRSYAFVQYRSVTSACRAKEALHGKLLDNPRIRVCFAKGDVPPDQGRSLSNVPHPPRFRSNIHPGPSGRTLEAFHGERGFESPTSGFQVSSPPFIPNFERMHGDSGAVGSGRTGTVQAGLGPGCNPGAPFEHIRLQEFGSHRLPGDTYERYQNSPRRDWDAQWDNPPLERHQRSPFSEDSWVVGDANFPSVKKLKTDSFTDRELPEYPFTEFEKNKHDSIPKRSYPSMHEPHSFNGNTDSLPFGLKGLLNHPRNLPCPPAENDDSWRSSDAFNAGPALPPLGSSKLQNLSSEYHQSAPLELWKWEGTIAKGGAPICRARCFPVGKVLDFMLPEFLDCTARTGLDMLAKHYYQAAGTWVVFFVPETDADIVFYNELLHYLSEKQRAAVAKLGDKISLFLVPPSEFSEHVLKVPGKMSISGLILKFQHSDSCSSSFHYPMDAMEFKLPTLMARPSDGTNLREDASFIKPSSPISRTSLGNLAPHNLGDSLPYLGAIHPREMQPEFNMEIRHGQPQQPQKPQQQLNPALKLSWPSDMHIPNPSIVSFPPLPPNAASHSVGNSMEEKYPIANPRLAPETSSGNYIPETSGIIHFPNSKLPQLQETKQSSSLPMPLQPEQLAQLAAFLGQHKQGGREFVSSTDASLSSNPGLISHASVSSGPAGPQTVYPPLPSIPQAIHPPLPSMPPRPHVAHASISPGMMVDRITNASLPLNSAEPQLSQAGSFQLHLPQDVTGGQGNPALPNIQQAPNRTMEEGEPDPQKRLQATLQLAASLLQQIQQQSKT >DRNTG_34015.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31603069:31614453:1 gene:DRNTG_34015 transcript:DRNTG_34015.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGSRDVSRRDFDLRFEERGRSSGWGVAPPSRHLWVGNLSPEVSPSVLSDQFLKFGHLEDISYVPGRSYAFVNYMKKDDAAHAMRALQGAIIEGMPLRIEFAKGEKPPLSSQDKEYQRYDNERHSHKHGDARPIQSGKSYDNFKGNKDAEPSEILWIGFPLPMNIDESVLRRAFSPFGEIERITTFPGRSYAFVQYRSVTSACRAKEALHGKLLDNPRIRVCFAKGDVPPDQGRSLSNVPHPPRFRSNIHPGPSGRTLEAFHGERGFESPTSGFQVSSPPFIPNFERMHGDSGAVGSGRTGTVQAGLGPGCNPGAPFEHIRLQEFGSHRLPGDTYERYQNSPRRDWDAQWDNPPLERHQRSPFSEDSWVVGDANFPSVKKLKTDSFTDRELPEYPFTEFEKNKHDSIPKRSYPSMHEPHSFNGNTDSLPFGLKGLLNHPRNLPCPPAENDDSWRSSDAFNAGPALPPLGSSKLQNLSSEYHQSAPLELWKWEGTIAKGGAPICRARCFPVGKVLDFMLPEFLDCTARTGLDMLAKHYYQAAGTWVVFFVPETDADIVFYNELLHYLSEKQRAAVAKLGDKISLFLVPPSEFSEHVLKVPGKMSISGLILKFQHSDSCSSSFHYPMDAMEFKLPTLMARPSDGTNLREDASFIKPSSPISRTSLGNLAPHNLGDSLPYLGAIHPREMQPEFNMEIRHGQPQQPQKPQQQLNPALKLSWPSDMHIPNPSIVSFPPLPPNAASHSVGNSMEEKYPIANPRLAPETSSGNYIPETSGIIHFPNSKLPQLQETKQSSSLPMPLQPEQLAQLAAFLGQHKQGGREFVSSTDASLSSNPGLISHASVSSGPAGPQTVYPPLPSIPQAIHPPLPSMPPRPHVAHASISPGMMVDRITNASLPLNSAEPQLSQAGSFQLHLPQDVTGGQGNPALPNIQQAPNRTMEEGEPDPQKRLQATLQLAASLLQQIQQQSKT >DRNTG_34015.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31604252:31614356:1 gene:DRNTG_34015 transcript:DRNTG_34015.15 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGSRDVSRRDFDLRFEERGRSSGWGVAPPSRHLWVGNLSPEVSPSVLSDQFLKFGHLEDISYVPGRSYAFVNYMKKDDAAHAMRALQGAIIEGMPLRIEFAKGEKPPLSSQDKEYQRYDNERHSHKHGDARPIQSGKSYDNFKGNKDAEPSEILWIGFPLPMNIDESVLRRAFSPFGEIERITTFPGRSYAFVQYRSVTSACRAKEALHGKLLDNPRIRVCFAKGDVPPDQGRSLSNVPHPPRFRSNIHPGPSGRTLEAFHGERGFESPTSGFQVSSPPFIPNFERMHGDSGAVGSGRTGTVQAGLGPGCNPGAPFEHIRLQEFGSHRLPGDTYERYQNSPRRDWDAQWDNPPLERHQRSPFSEDSWVVGDANFPSVKKLKTDSFTDRELPEYPFTEFEKNKHDSIPKRSYPSMHEPHSFNGNTDSLPFGLKGLLNHPRNLPCPPAENDDSWRSSDAFNAGPALPPLGSSKLQNLSSEYHQSAPLELWKWEGTIAKGGAPICRARCFPVGKVLDFMLPEFLDCTARTGLDMLAKHYYQAAGTWVVFFVPETDADIVFYNELLHYLSEKQRAAVAKLGDKISLFLVPPSEFSEHVLKVPGKMSISGLILKFQHSDSCSSSFHYPMDAMEFKLPTLMARPSDGTNLREDASFIKPSSPISRTSLGNLAPHNLGDSLPYLGAIHPREMQPEFNMEIRHGQPQQPQKPQQQLNPALKLSWPSDMHIPNPSIVSFPPLPPNAASHSVGNSMEEKYPIANPRLAPETSSGNYIPETSGIIHFPNSKLPQLQETKQSSSLPMPLQPEQLAQLAAFLGQHKQGGREFVSSTDASLSSNPGLISHASVSSGPAGPQTVYPPLPSIPQAIHPPLPSMPPRPHVAHASISPGMMVDRITNASLPLNSAEPQLSQAGSFQLHLPQDVTGGQGNPALPNIQQAPNRTMEEGEPDPQKRLQATLQLAASLLQQIQQQSKT >DRNTG_34015.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31603069:31614356:1 gene:DRNTG_34015 transcript:DRNTG_34015.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGSRDVSRRDFDLRFEERGRSSGWGVAPPSRHLWVGNLSPEVSPSVLSDQFLKFGHLEDISYVPGRSYAFVNYMKKDDAAHAMRALQGAIIEGMPLRIEFAKGEKPPLSSQDKEYQRYDNERHSHKHGDARPIQSGKSYDNFKGNKDAEPSEILWIGFPLPMNIDESVLRRAFSPFGEIERITTFPGRSYAFVQYRSVTSACRAKEALHGKLLDNPRIRVCFAKGDVPPDQGRSLSNVPHPPRFRSNIHPGPSGRTLEAFHGERGFESPTSGFQVSSPPFIPNFERMHGDSGAVGSGRTGTVQAGLGPGCNPGAPFEHIRLQEFGSHRLPGDTYERYQNSPRRDWDAQWDNPPLERHQRSPFSEDSWVVGDANFPSVKKLKTDSFTDRELPEYPFTEFEKNKHDSIPKRSYPSMHEPHSFNGNTDSLPFGLKGLLNHPRNLPCPPAENDDSWRSSDAFNAGPALPPLGSSKLQNLSSEYHQSAPLELWKWEGTIAKGGAPICRARCFPVGKVLDFMLPEFLDCTARTGLDMLAKHYYQAAGTWVVFFVPETDADIVFYNELLHYLSEKQRAAVAKLGDKISLFLVPPSEFSEHVLKVPGKMSISGLILKFQHSDSCSSSFHYPMDAMEFKLPTLMARPSDGTNLREDASFIKPSSPISRTSLGNLAPHNLGDSLPYLGAIHPREMQPEFNMEIRHGQPQQPQKPQQQLNPALKLSWPSDMHIPNPSIVSFPPLPPNAASHSVGNSMEEKYPIANPRLAPETSSGNYIPETSGIIHFPNSKLPQLQETKQSSSLPMPLQPEQLAQLAAFLGQHKQGGREFVSSTDASLSSNPGLISHASVSSGPAGPQTVYPPLPSIPQAIHPPLPSMPPRPHVAHASISPGMMVDRITNASLPLNSAEPQLSQAGSFQLHLPQDVTGGQGNPALPNIQQAPNRTMEEGEPDPQKRLQATLQLAASLLQQIQQQSKT >DRNTG_34015.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31603012:31614453:1 gene:DRNTG_34015 transcript:DRNTG_34015.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGSRDVSRRDFDLRFEERGRSSGWGVAPPSRHLWVGNLSPEVSPSVLSDQFLKFGHLEDISYVPGRSYAFVNYMKKDDAAHAMRALQGAIIEGMPLRIEFAKGEKPPLSSQDKEYQRYDNERHSHKHGDARPIQSGKSYDNFKGNKDAEPSEILWIGFPLPMNIDESVLRRAFSPFGEIERITTFPGRSYAFVQYRSVTSACRAKEALHGKLLDNPRIRVCFAKGDVPPDQGRSLSNVPHPPRFRSNIHPGPSGRTLEAFHGERGFESPTSGFQVSSPPFIPNFERMHGDSGAVGSGRTGTVQAGLGPGCNPGAPFEHIRLQEFGSHRLPGDTYERYQNSPRRDWDAQWDNPPLERHQRSPFSEDSWVVGDANFPSVKKLKTDSFTDRELPEYPFTEFEKNKHDSIPKRSYPSMHEPHSFNGNTDSLPFGLKGLLNHPRNLPCPPAENDDSWRSSDAFNAGPALPPLGSSKLQNLSSEYHQSAPLELWKWEGTIAKGGAPICRARCFPVGKVLDFMLPEFLDCTARTGLDMLAKHYYQAAGTWVVFFVPETDADIVFYNELLHYLSEKQRAAVAKLGDKISLFLVPPSEFSEHVLKVPGKMSISGLILKFQHSDSCSSSFHYPMDAMEFKLPTLMARPSDGTNLREDASFIKPSSPISRTSLGNLAPHNLGDSLPYLGAIHPREMQPEFNMEIRHGQPQQPQKPQQQLNPALKLSWPSDMHIPNPSIVSFPPLPPNAASHSVGNSMEEKYPIANPRLAPETSSGNYIPETSGIIHFPNSKLPQLQETKQSSSLPMPLQPEQLAQLAAFLGQHKQGGREFVSSTDASLSSNPGLISHASVSSGPAGPQTVYPPLPSIPQAIHPPLPSMPPRPHVAHASISPGMMVDRITNASLPLNSAEPQLSQAGSFQLHLPQDVTGGQGNPALPNIQQAPNRTMEEGEPDPQKRLQATLQLAASLLQQIQQQSKT >DRNTG_34015.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31609405:31614356:1 gene:DRNTG_34015 transcript:DRNTG_34015.18 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDESVLRRAFSPFGEIERITTFPGRSYAFVQYRSVTSACRAKEALHGKLLDNPRIRVCFAKGDVPPDQGRSLSNVPHPPRFRSNIHPGPSGRTLEAFHGERGFESPTSGFQVSSPPFIPNFERMHGDSGAVGSGRTGTVQAGLGPGCNPGAPFEHIRLQEFGSHRLPGDTYERYQNSPRRDWDAQWDNPPLERHQRSPFSEDSWVVGDANFPSVKKLKTDSFTDRELPEYPFTEFEKNKHDSIPKRSYPSMHEPHSFNGNTDSLPFGLKGLLNHPRNLPCPPAENDDSWRSSDAFNAGPALPPLGSSKLQNLSSEYHQSAPLELWKWEGTIAKGGAPICRARCFPVGKVLDFMLPEFLDCTARTGLDMLAKHYYQAAGTWVVFFVPETDADIVFYNELLHYLSEKQRAAVAKLGDKISLFLVPPSEFSEHVLKVPGKMSISGLILKFQHSDSCSSSFHYPMDAMEFKLPTLMARPSDGTNLREDASFIKPSSPISRTSLGNLAPHNLGDSLPYLGAIHPREMQPEFNMEIRHGQPQQPQKPQQQLNPALKLSWPSDMHIPNPSIVSFPPLPPNAASHSVGNSMEEKYPIANPRLAPETSSGNYIPETSGIIHFPNSKLPQLQETKQSSSLPMPLQPEQLAQLAAFLGQHKQGGREFVSSTDASLSSNPGLISHASVSSGPAGPQTVYPPLPSIPQAIHPPLPSMPPRPHVAHASISPGMMVDRITNASLPLNSAEPQLSQAGSFQLHLPQDVTGGQGNPALPNIQQAPNRTMEEGEPDPQKRLQATLQLAASLLQQIQQQSKT >DRNTG_34015.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31603069:31614641:1 gene:DRNTG_34015 transcript:DRNTG_34015.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGSRDVSRRDFDLRFEERGRSSGWGVAPPSRHLWVGNLSPEVSPSVLSDQFLKFGHLEDISYVPGRSYAFVNYMKKDDAAHAMRALQGAIIEGMPLRIEFAKGEKPPLSSQDKEYQRYDNERHSHKHGDARPIQSGKSYDNFKGNKDAEPSEILWIGFPLPMNIDESVLRRAFSPFGEIERITTFPGRSYAFVQYRSVTSACRAKEALHGKLLDNPRIRVCFAKGDVPPDQGRSLSNVPHPPRFRSNIHPGPSGRTLEAFHGERGFESPTSGFQVSSPPFIPNFERMHGDSGAVGSGRTGTVQAGLGPGCNPGAPFEHIRLQEFGSHRLPGDTYERYQNSPRRDWDAQWDNPPLERHQRSPFSEDSWVVGDANFPSVKKLKTDSFTDRELPEYPFTEFEKNKHDSIPKRSYPSMHEPHSFNGNTDSLPFGLKGLLNHPRNLPCPPAENDDSWRSSDAFNAGPALPPLGSSKLQNLSSEYHQSAPLELWKWEGTIAKGGAPICRARCFPVGKVLDFMLPEFLDCTARTGLDMLAKHYYQAAGTWVVFFVPETDADIVFYNELLHYLSEKQRAAVAKLGDKISLFLVPPSEFSEHVLKVPGKMSISGLILKFQHSDSCSSSFHYPMDAMEFKLPTLMARPSDGTNLREDASFIKPSSPISRTSLGNLAPHNLGDSLPYLGAIHPREMQPEFNMEIRHGQPQQPQKPQQQLNPALKLSWPSDMHIPNPSIVSFPPLPPNAASHSVGNSMEEKYPIANPRLAPETSSGNYIPETSGIIHFPNSKLPQLQETKQSSSLPMPLQPEQLAQLAAFLGQHKQGGREFVSSTDASLSSNPGLISHASVSSGPAGPQTVYPPLPSIPQAIHPPLPSMPPRPHVAHASISPGMMVDRITNASLPLNSAEPQLSQAGSFQLHLPQDVTGGQGNPALPNIQQAPNRTMEEGEPDPQKRLQATLQLAASLLQQIQQQSKT >DRNTG_34015.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31603012:31614641:1 gene:DRNTG_34015 transcript:DRNTG_34015.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGSRDVSRRDFDLRFEERGRSSGWGVAPPSRHLWVGNLSPEVSPSVLSDQFLKFGHLEDISYVPGRSYAFVNYMKKDDAAHAMRALQGAIIEGMPLRIEFAKGEKPPLSSQDKEYQRYDNERHSHKHGDARPIQSGKSYDNFKGNKDAEPSEILWIGFPLPMNIDESVLRRAFSPFGEIERITTFPGRSYAFVQYRSVTSACRAKEALHGKLLDNPRIRVCFAKGDVPPDQGRSLSNVPHPPRFRSNIHPGPSGRTLEAFHGERGFESPTSGFQVSSPPFIPNFERMHGDSGAVGSGRTGTVQAGLGPGCNPGAPFEHIRLQEFGSHRLPGDTYERYQNSPRRDWDAQWDNPPLERHQRSPFSEDSWVVGDANFPSVKKLKTDSFTDRELPEYPFTEFEKNKHDSIPKRSYPSMHEPHSFNGNTDSLPFGLKGLLNHPRNLPCPPAENDDSWRSSDAFNAGPALPPLGSSKLQNLSSEYHQSAPLELWKWEGTIAKGGAPICRARCFPVGKVLDFMLPEFLDCTARTGLDMLAKHYYQAAGTWVVFFVPETDADIVFYNELLHYLSEKQRAAVAKLGDKISLFLVPPSEFSEHVLKVPGKMSISGLILKFQHSDSCSSSFHYPMDAMEFKLPTLMARPSDGTNLREDASFIKPSSPISRTSLGNLAPHNLGDSLPYLGAIHPREMQPEFNMEIRHGQPQQPQKPQQQLNPALKLSWPSDMHIPNPSIVSFPPLPPNAASHSVGNSMEEKYPIANPRLAPETSSGNYIPETSGIIHFPNSKLPQLQETKQSSSLPMPLQPEQLAQLAAFLGQHKQGGREFVSSTDASLSSNPGLISHASVSSGPAGPQTVYPPLPSIPQAIHPPLPSMPPRPHVAHASISPGMMVDRITNASLPLNSAEPQLSQAGSFQLHLPQDVTGGQGNPALPNIQQAPNRTMEEGEPDPQKRLQATLQLAASLLQQIQQQSKT >DRNTG_34015.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31609405:31614641:1 gene:DRNTG_34015 transcript:DRNTG_34015.17 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDESVLRRAFSPFGEIERITTFPGRSYAFVQYRSVTSACRAKEALHGKLLDNPRIRVCFAKGDVPPDQGRSLSNVPHPPRFRSNIHPGPSGRTLEAFHGERGFESPTSGFQVSSPPFIPNFERMHGDSGAVGSGRTGTVQAGLGPGCNPGAPFEHIRLQEFGSHRLPGDTYERYQNSPRRDWDAQWDNPPLERHQRSPFSEDSWVVGDANFPSVKKLKTDSFTDRELPEYPFTEFEKNKHDSIPKRSYPSMHEPHSFNGNTDSLPFGLKGLLNHPRNLPCPPAENDDSWRSSDAFNAGPALPPLGSSKLQNLSSEYHQSAPLELWKWEGTIAKGGAPICRARCFPVGKVLDFMLPEFLDCTARTGLDMLAKHYYQAAGTWVVFFVPETDADIVFYNELLHYLSEKQRAAVAKLGDKISLFLVPPSEFSEHVLKVPGKMSISGLILKFQHSDSCSSSFHYPMDAMEFKLPTLMARPSDGTNLREDASFIKPSSPISRTSLGNLAPHNLGDSLPYLGAIHPREMQPEFNMEIRHGQPQQPQKPQQQLNPALKLSWPSDMHIPNPSIVSFPPLPPNAASHSVGNSMEEKYPIANPRLAPETSSGNYIPETSGIIHFPNSKLPQLQETKQSSSLPMPLQPEQLAQLAAFLGQHKQGGREFVSSTDASLSSNPGLISHASVSSGPAGPQTVYPPLPSIPQAIHPPLPSMPPRPHVAHASISPGMMVDRITNASLPLNSAEPQLSQAGSFQLHLPQDVTGGQGNPALPNIQQAPNRTMEEGEPDPQKRLQATLQLAASLLQQIQQQSKT >DRNTG_34015.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31603012:31614252:1 gene:DRNTG_34015 transcript:DRNTG_34015.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGSRDVSRRDFDLRFEERGRSSGWGVAPPSRHLWVGNLSPEVSPSVLSDQFLKFGHLEDISYVPGRSYAFVNYMKKDDAAHAMRALQGAIIEGMPLRIEFAKGEKPPLSSQDKEYQRYDNERHSHKHGDARPIQSGKSYDNFKGNKDAEPSEILWIGFPLPMNIDESVLRRAFSPFGEIERITTFPGRSYAFVQYRSVTSACRAKEALHGKLLDNPRIRVCFAKGDVPPDQGRSLSNVPHPPRFRSNIHPGPSGRTLEAFHGERGFESPTSGFQVSSPPFIPNFERMHGDSGAVGSGRTGTVQAGLGPGCNPGAPFEHIRLQEFGSHRLPGDTYERYQNSPRRDWDAQWDNPPLERHQRSPFSEDSWVVGDANFPSVKKLKTDSFTDRELPEYPFTEFEKNKHDSIPKRSYPSMHEPHSFNGNTDSLPFGLKGLLNHPRNLPCPPAENDDSWRSSDAFNAGPALPPLGSSKLQNLSSEYHQSAPLELWKWEGTIAKGGAPICRARCFPVGKVLDFMLPEFLDCTARTGLDMLAKHYYQAAGTWVVFFVPETDADIVFYNELLHYLSEKQRAAVAKLGDKISLFLVPPSEFSEHVLKVPGKMSISGLILKFQHSDSCSSSFHYPMDAMEFKLPTLMARPSDGTNLREDASFIKPSSPISRTSLGNLAPHNLGDSLPYLGAIHPREMQPEFNMEIRHGQPQQPQKPQQQLNPALKLSWPSDMHIPNPSIVSFPPLPPNAASHSVGNSMEEKYPIANPRLAPETSSGNYIPETSGIIHFPNSKLPQLQETKQSSSLPMPLQPEQLAQLAAFLGQHKQGGREFVSSTDASLSSNPGLISHASVSSGPAGPQTVYPPLPSIPQAIHPPLPSMPPRPHVAHASISPGMMVDRITNASLPLNSAEPQLSQAGSFQLHLPQDVTGGQGNPALPNIQQAPNRTMEEGEPDPQKRLQATLQLAASLLQQIQQQSKT >DRNTG_34015.19.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31603069:31604786:1 gene:DRNTG_34015 transcript:DRNTG_34015.19 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGSRDVSRRDFDLRFEERGRSSGWGVAPPSRHLWVGNLSPEVSPSVLSDQFLKFGHLEDISYVPGRSYAFVNYMKKDDAAHAMRALQGAIIEGMPLRIEFAKGVSYVDLCLLPYCFALLIYHCYCTNILLHYLQLLSKLILA >DRNTG_34015.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31604252:31614453:1 gene:DRNTG_34015 transcript:DRNTG_34015.14 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGSRDVSRRDFDLRFEERGRSSGWGVAPPSRHLWVGNLSPEVSPSVLSDQFLKFGHLEDISYVPGRSYAFVNYMKKDDAAHAMRALQGAIIEGMPLRIEFAKGEKPPLSSQDKEYQRYDNERHSHKHGDARPIQSGKSYDNFKGNKDAEPSEILWIGFPLPMNIDESVLRRAFSPFGEIERITTFPGRSYAFVQYRSVTSACRAKEALHGKLLDNPRIRVCFAKGDVPPDQGRSLSNVPHPPRFRSNIHPGPSGRTLEAFHGERGFESPTSGFQVSSPPFIPNFERMHGDSGAVGSGRTGTVQAGLGPGCNPGAPFEHIRLQEFGSHRLPGDTYERYQNSPRRDWDAQWDNPPLERHQRSPFSEDSWVVGDANFPSVKKLKTDSFTDRELPEYPFTEFEKNKHDSIPKRSYPSMHEPHSFNGNTDSLPFGLKGLLNHPRNLPCPPAENDDSWRSSDAFNAGPALPPLGSSKLQNLSSEYHQSAPLELWKWEGTIAKGGAPICRARCFPVGKVLDFMLPEFLDCTARTGLDMLAKHYYQAAGTWVVFFVPETDADIVFYNELLHYLSEKQRAAVAKLGDKISLFLVPPSEFSEHVLKVPGKMSISGLILKFQHSDSCSSSFHYPMDAMEFKLPTLMARPSDGTNLREDASFIKPSSPISRTSLGNLAPHNLGDSLPYLGAIHPREMQPEFNMEIRHGQPQQPQKPQQQLNPALKLSWPSDMHIPNPSIVSFPPLPPNAASHSVGNSMEEKYPIANPRLAPETSSGNYIPETSGIIHFPNSKLPQLQETKQSSSLPMPLQPEQLAQLAAFLGQHKQGGREFVSSTDASLSSNPGLISHASVSSGPAGPQTVYPPLPSIPQAIHPPLPSMPPRPHVAHASISPGMMVDRITNASLPLNSAEPQLSQAGSFQLHLPQDVTGGQGNPALPNIQQAPNRTMEEGEPDPQKRLQATLQLAASLLQQIQQQSKT >DRNTG_34015.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31603069:31612935:1 gene:DRNTG_34015 transcript:DRNTG_34015.16 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGSRDVSRRDFDLRFEERGRSSGWGVAPPSRHLWVGNLSPEVSPSVLSDQFLKFGHLEDISYVPGRSYAFVNYMKKDDAAHAMRALQGAIIEGMPLRIEFAKGEKPPLSSQDKEYQRYDNERHSHKHGDARPIQSGKSYDNFKGNKDAEPSEILWIGFPLPMNIDESVLRRAFSPFGEIERITTFPGRSYAFVQYRSVTSACRAKEALHGKLLDNPRIRVCFAKGDVPPDQGRSLSNVPHPPRFRSNIHPGPSGRTLEAFHGERGFESPTSGFQVSSPPFIPNFERMHGDSGAVGSGRTGTVQAGLGPGCNPGAPFEHIRLQEFGSHRLPGDTYERYQNSPRRDWDAQWDNPPLERHQRSPFSEDSWVVGDANFPSVKKLKTDSFTDRELPEYPFTEFEKNKHDSIPKRSYPSMHEPHSFNGNTDSLPFGLKGLLNHPRNLPCPPAENDDSWRSSDAFNAGPALPPLGSSKLQNLSSEYHQSAPLELWKWEGTIAKGGAPICRARCFPVGKVLDFMLPEFLDCTARTGLDMLAKHYYQAAGTWVVFFVPETDADIVFYNELLHYLSEKQRAAVAKLGDKISLFLVPPSEFSEHVLKVPGKMSISGLILKFQHSDSCSSSFHYPMDAMEFKLPTLMARPSDGTNLREDASFIKPSSPISRTSLGNLAPHNLGDSLPYLGAIHPREMQPEFNMEIRHGQPQQPQKPQQQLNPALKLSWPSDMHIPNPSIVSFPPLPPNAASHSVGNSMEEKYPIANPRLAPETSSGNYIPETSGIIHFPNSKLPQLQETKQSSSLPMPLQPEQLAQLAAFLGQHKQGGREFVSSTDASLSSNPGLISHASVSSGPAGPQTVYPPLPSIPQAIHPPLPSMPPRPHVAHASISPGMMVDRITNASLPLNSAEPQLSQAGSFQLHLPQDVTGGQGNPALPNIQQAPNRTMEEGEPDPQKRLQATLQLAASLLQQIQQQSKT >DRNTG_34015.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31603069:31614252:1 gene:DRNTG_34015 transcript:DRNTG_34015.12 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGSRDVSRRDFDLRFEERGRSSGWGVAPPSRHLWVGNLSPEVSPSVLSDQFLKFGHLEDISYVPGRSYAFVNYMKKDDAAHAMRALQGAIIEGMPLRIEFAKGEKPPLSSQDKEYQRYDNERHSHKHGDARPIQSGKSYDNFKGNKDAEPSEILWIGFPLPMNIDESVLRRAFSPFGEIERITTFPGRSYAFVQYRSVTSACRAKEALHGKLLDNPRIRVCFAKGDVPPDQGRSLSNVPHPPRFRSNIHPGPSGRTLEAFHGERGFESPTSGFQVSSPPFIPNFERMHGDSGAVGSGRTGTVQAGLGPGCNPGAPFEHIRLQEFGSHRLPGDTYERYQNSPRRDWDAQWDNPPLERHQRSPFSEDSWVVGDANFPSVKKLKTDSFTDRELPEYPFTEFEKNKHDSIPKRSYPSMHEPHSFNGNTDSLPFGLKGLLNHPRNLPCPPAENDDSWRSSDAFNAGPALPPLGSSKLQNLSSEYHQSAPLELWKWEGTIAKGGAPICRARCFPVGKVLDFMLPEFLDCTARTGLDMLAKHYYQAAGTWVVFFVPETDADIVFYNELLHYLSEKQRAAVAKLGDKISLFLVPPSEFSEHVLKVPGKMSISGLILKFQHSDSCSSSFHYPMDAMEFKLPTLMARPSDGTNLREDASFIKPSSPISRTSLGNLAPHNLGDSLPYLGAIHPREMQPEFNMEIRHGQPQQPQKPQQQLNPALKLSWPSDMHIPNPSIVSFPPLPPNAASHSVGNSMEEKYPIANPRLAPETSSGNYIPETSGIIHFPNSKLPQLQETKQSSSLPMPLQPEQLAQLAAFLGQHKQGGREFVSSTDASLSSNPGLISHASVSSGPAGPQTVYPPLPSIPQAIHPPLPSMPPRPHVAHASISPGMMVDRITNASLPLNSAEPQLSQAGSFQLHLPQDVTGGQGNPALPNIQQAPNRTMEEGEPDPQKRLQATLQLAASLLQQIQQQSKT >DRNTG_34015.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31603012:31614356:1 gene:DRNTG_34015 transcript:DRNTG_34015.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGSRDVSRRDFDLRFEERGRSSGWGVAPPSRHLWVGNLSPEVSPSVLSDQFLKFGHLEDISYVPGRSYAFVNYMKKDDAAHAMRALQGAIIEGMPLRIEFAKGEKPPLSSQDKEYQRYDNERHSHKHGDARPIQSGKSYDNFKGNKDAEPSEILWIGFPLPMNIDESVLRRAFSPFGEIERITTFPGRSYAFVQYRSVTSACRAKEALHGKLLDNPRIRVCFAKGDVPPDQGRSLSNVPHPPRFRSNIHPGPSGRTLEAFHGERGFESPTSGFQVSSPPFIPNFERMHGDSGAVGSGRTGTVQAGLGPGCNPGAPFEHIRLQEFGSHRLPGDTYERYQNSPRRDWDAQWDNPPLERHQRSPFSEDSWVVGDANFPSVKKLKTDSFTDRELPEYPFTEFEKNKHDSIPKRSYPSMHEPHSFNGNTDSLPFGLKGLLNHPRNLPCPPAENDDSWRSSDAFNAGPALPPLGSSKLQNLSSEYHQSAPLELWKWEGTIAKGGAPICRARCFPVGKVLDFMLPEFLDCTARTGLDMLAKHYYQAAGTWVVFFVPETDADIVFYNELLHYLSEKQRAAVAKLGDKISLFLVPPSEFSEHVLKVPGKMSISGLILKFQHSDSCSSSFHYPMDAMEFKLPTLMARPSDGTNLREDASFIKPSSPISRTSLGNLAPHNLGDSLPYLGAIHPREMQPEFNMEIRHGQPQQPQKPQQQLNPALKLSWPSDMHIPNPSIVSFPPLPPNAASHSVGNSMEEKYPIANPRLAPETSSGNYIPETSGIIHFPNSKLPQLQETKQSSSLPMPLQPEQLAQLAAFLGQHKQGGREFVSSTDASLSSNPGLISHASVSSGPAGPQTVYPPLPSIPQAIHPPLPSMPPRPHVAHASISPGMMVDRITNASLPLNSAEPQLSQAGSFQLHLPQDVTGGQGNPALPNIQQAPNRTMEEGEPDPQKRLQATLQLAASLLQQIQQQSKT >DRNTG_02816.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000129.1:8128:10794:-1 gene:DRNTG_02816 transcript:DRNTG_02816.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFAHVSLILAPDRSKLSKRHGATSVGQFREMGYLPQAMVNYLALLGWGDGTENEFFTIDQLVEKFSIDRVQ >DRNTG_02816.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000129.1:8128:10794:-1 gene:DRNTG_02816 transcript:DRNTG_02816.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFAHVSLILAPDRSKLSKRHGATSVGQFREMGYLPQAMVNYLALLGWGDGTENEFFTIDQLG >DRNTG_02816.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000129.1:8746:10564:-1 gene:DRNTG_02816 transcript:DRNTG_02816.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLPQAMVNYLALLGWGDGTENEFFTIDQLVEKFSIDRVQ >DRNTG_02816.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000129.1:8128:10794:-1 gene:DRNTG_02816 transcript:DRNTG_02816.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFAHVSLILAPDRSKLSKRHGATSVGQFREMGYLPQAMVNYLALLGWGDGTENEFFTIDQLVEKFSIDRVQ >DRNTG_27891.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20141296:20142078:-1 gene:DRNTG_27891 transcript:DRNTG_27891.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGIFYGLLQRMAILKAFKEVMGPNSQQDGTFNTAAFAPGTPFPSPSASPSATTIPTPTASAPGPPVPLDIPKTNVEEIPQHDTSNNTKADKETEGHGTLPASN >DRNTG_05081.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4652359:4656199:-1 gene:DRNTG_05081 transcript:DRNTG_05081.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKGIYNGKQYHAADIPAVLARAWSAGVDRIIVTGGSLEESREALAIAEMDGRLFCTVGVHPTRCKEFEESGDPEQHFRALVSLAKEGIEKGKVVAVGECGLDYDRLHFCPSEIQKKYFEKQFELAAVVKLPMFLHMRAAAEDFSQILDRNKHRFCAGVAHSFTGSAEDRDRLLLFDNLFIGVNGCSLKTSENLDVVKGIPIERMMIETDSPYCEIKNTHAGINFVKTTWPSKKKEKYDRDSTIKGRNEPCLVRQVLEVVAGCKGVADIDQLSKALYHNTCRVFFPQDLDSAADALLESGSDAL >DRNTG_05081.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4652359:4656199:-1 gene:DRNTG_05081 transcript:DRNTG_05081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAMRMIDIAVNLTDGMFKGIYNGKQYHAADIPAVLARAWSAGVDRIIVTGGSLEESREALAIAEMDGRLFCTVGVHPTRCKEFEESGDPEQHFRALVSLAKEGIEKGKVVAVGECGLDYDRLHFCPSEIQKKYFEKQFELAAVVKLPMFLHMRAAAEDFSQILDRNKHRFCAGVAHSFTGSAEDRDRLLLFDNLFIGVNGCSLKTSENLDVVKGIPIERMMIETDSPYCEIKNTHAGINFVKTTWPSKKKEKYDRDSTIKGRNEPCLVRQVLEVVAGCKGVADIDQLSKALYHNTCRVFFPQDLDSAADALLESGSDAL >DRNTG_01921.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32332362:32353574:-1 gene:DRNTG_01921 transcript:DRNTG_01921.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stromal processing peptidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42390) UniProtKB/Swiss-Prot;Acc:Q9FIH8] MVASASLSSLAANVAAASSPGPKRRHHYCNPLSSLPLTVTFAPPSILNSSLQVTLCHRKSQRWLLDSKNGKSQSNSRLYSSWRQSTCKIDKAYLPELSLGQLKCFSCLRRSHDRTSFLKRHTPTFLKDKKTSPTQSHSFGGSVVKPILIPQATLGPDEPHVASTAWSDIAIEKQGLGFWDPKAEKTELERFLNIDLPSHPKLHRGELKNGLRYIILPNKIPANRFEAHMEVHVGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTSAKDSDGDLLPFVLDALNEIAFHPKFLPSRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSKRFPIGLEEQIKQWDAEKIRKFHERWYFPANATLYLVGDIDNVQKTVYQIEAVFGHTVAEEETPNVQRTSAFGAMASFLVPKLPSGLTGSLTNDKSSISLDQSRPMKKERHTVRPPVEHIWSLPGFAQNAKPPAIFQHELIQNFSINMFCKIPVNQVRSYGDLRNVLMKRIFLSALHFRINTRYKSSNPPFTSIELDHSDSGREGCTVTTLTVTSEPKNWQSAIKVAVQEVRRLKEFGVTKGEMARYMDALIKDSEQLAAMIDSVPSVDNLDFIMESDALGHTVMDQRQGHESLVVVAETVTLEEVNSTGAEVLEFIADFGKPTAPIPAAIVACVPKKVHIDGSGEVDFEIHPNEITDVIRAGLQEPIHAEPELEVPKELISPSQLEELRLKCKPSFVPLVQEVSSIKLFDKETGITQRCLSNGISVNYKITKNEARGGVMRLIAGGGRAAETSELKGAVVVGVRTLSEGGRVGNFSREQVELFCVNHMINCSLESTEEFICMEFRFSLRDNGMRAAFQLLHMVLEHSVWLEDAFDRASQLYLSYYRSIPKSLERSTAHKLMLAMLNGDERFVEPTPLSLQKLTLQAVKDAVMNQFVGQNIEVCEIAC >DRNTG_01921.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32332310:32353574:-1 gene:DRNTG_01921 transcript:DRNTG_01921.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stromal processing peptidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42390) UniProtKB/Swiss-Prot;Acc:Q9FIH8] MVASASLSSLAANVAAASSPGPKRRHHYCNPLSSLPLTVTFAPPSILNSSLQVTLCHRKSQRWLLDSKNGKSQSNSRLYSSWRQSTCKIDKAYLPELSLGQLKCFSCLRRSHDRTSFLKRHTPTFLKDKKTSPTQSHSFGGSVVKPILIPQATLGPDEPHVASTAWSDIAIEKQGLGFWDPKAEKTELERFLNIDLPSHPKLHRGELKNGLRYIILPNKIPANRFEAHMEVHVGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTSAKDSDGDLLPFVLDALNEIAFHPKFLPSRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSKRFPIGLEEQIKQWDAEKIRKFHERWYFPANATLYLVGDIDNVQKTVYQIEAVFGHTVAEEETPNVQRTSAFGAMASFLVPKLPSGLTGSLTNDKSSISLDQSRPMKKERHTVRPPVEHIWSLPGFAQNAKPPAIFQHELIQNFSINMFCKIPVNQVRSYGDLRNVLMKRIFLSALHFRINTRYKSSNPPFTSIELDHSDSGREGCTVTTLTVTSEPKNWQSAIKVAVQEVRRLKEFGVTKGEMARYMDALIKDSEQLAAMIDSVPSVDNLDFIMESDALGHTVMDQRQGHESLVVVAETVTLEEVNSTGAEVLEFIADFGKPTAPIPAAIVACVPKKVHIDGSGEVDFEIHPNEITDVIRAGLQEPIHAEPELEVPKELISPSQLEELRLKCKPSFVPLVQEVSSIKLFDKETGITQRCLSNGISVNYKITKNEARGGVMRLIAGGGRAAETSELKGAVVVGVRTLSEGGRVGNFSREQVELFCVNHMINCSLESTEEFICMEFRFSLRDNGMRAAFQLLHMVLEHSVWLEDAFDRASQLYLSYYRSIPKSLERSTAHKLMLAMLNGDERFVEPTPLSLQKLTLQAVKDAVMNQFVGQNIEVSIVGDFNEDDIESCILDYLGTVGAARKAEIDSSFDPITFRPSPSDLHFQQVYLKDTDERACAYIAGPAPNRWGFSAEGEDLFELINTTQSDTENLALTGDKNVETHSERNIRVHPLFFGITLGLLAEIINSRLFTTVRDSLGLTYDVSFELSLFDRLKLGWYVISVTSTPGKVHLLFYNFIFIFSMKEEATSWGKPCAQGAASLFFGI >DRNTG_01921.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32332362:32353574:-1 gene:DRNTG_01921 transcript:DRNTG_01921.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stromal processing peptidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42390) UniProtKB/Swiss-Prot;Acc:Q9FIH8] MVASASLSSLAANVAAASSPGPKRRHHYCNPLSSLPLTVTFAPPSILNSSLQVTLCHRKSQRWLLDSKNGKSQSNSRLYSSWRQSTCKIDKAYLPELSLGQLKCFSCLRRSHDRTSFLKRHTPTFLKDKKTSPTQSHSFGGSVVKPILIPQATLGPDEPHVASTAWSDIAIEKQGLGFWDPKAEKTELERFLNIDLPSHPKLHRGELKNGLRYIILPNKIPANRFEAHMEVHVGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTSAKDSDGDLLPFVLDALNEIAFHPKFLPSRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSKRFPIGLEEQIKQWDAEKIRKFHERWYFPANATLYLVGDIDNVQKTVYQIEAVFGHTVAEEETPNVQRTSAFGAMASFLVPKLPSGLTGSLTNDKSSISLDQSRPMKKERHTVRPPVEHIWSLPGFAQNAKPPAIFQHELIQNFSINMFCKIPVNQVRSYGDLRNVLMKRIFLSALHFRINTRYKSSNPPFTSIELDHSDSGREGCTVTTLTVTSEPKNWQSAIKVAVQEVRRLKEFGVTKGEMARYMDALIKDSEQLAAMIDSVPSVDNLDFIMESDALGHTVMDQRQGHESLVVVAETVTLEEVNSTGAEVLEFIADFGKPTAPIPAAIVACVPKKVHIDGSGEVDFEIHPNEITDVIRAGLQEPIHAEPELEVPKELISPSQLEELRLKCKPSFVPLVQEVSSIKLFDKETGITQRCLSNGISVNYKITKNEARGGVMRLIAGGGRAAETSELKGAVVVGVRTLSEGGRVGNFSREQVELFCVNHMINCSLESTEEFICMEFRFSLRDNGMRAAFQLLHMVLEHSVWLEDAFDRASQLYLSYYRSIPKSLERSTAHKLMLAMLNGDERFVEPTPLSLQKLTLQAVKDAVMNQFVGQNIEVSIVGDFNEDDIESCILDYLGTVGAARKAEIDSSFDPITFRPSPSDLHFQQVYLKDTDERACAYIAGPAPNRWGFSAEGEDLFELINTTQSDTENLALTGDKNVETHSERNIRVHPLFFGITLGLLAEIINSRLFTTVRDSLGLTYDVSFELSLFDRLKLGWYVISVTSTPGKVFKAVDACKNVLRGLHNNKIVQRELDRAKRTLLMRHEAEAKSNAYWLGLLAHLQSASIPRKDISCIKDLTLLYEAATIEDIYLAYQYLKVDDASLFSCVGVAGAQAVDDLSAVADEASDIGHQGLPPIGRGMTTMTRPTT >DRNTG_09316.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:952132:976300:-1 gene:DRNTG_09316 transcript:DRNTG_09316.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLKPNPSLASFIETECRKESWQGIILRLWPNTKYVDVIVTGAMSQYIPTLDFYSGGLPLACTMYASSECYFGLNLNPMRKPSEVSYTLIPTMGYFEFLPVNHRNNSNDETLDHHDLVDLVDVKLGQEYELVVTTYAGLYRYRVGDVLRVAGFKNKAPQFNFVRRKNVALSIDSDKTDEVELHAAVKNAVNHLEPFGATLFEYTSYANTTTIPGHYVLYWELRLGSRAIPPSVFEDCCLAIEESLNSVYRQGRTADGSIGPLELKIVEDGTFDKLMDYALSQGASINQYKAPRCVKSEPVVQLLDAKVLSNYFSPKCPKWVPGHKQWLKDN >DRNTG_09316.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:953026:954456:-1 gene:DRNTG_09316 transcript:DRNTG_09316.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAPKTTTIPNEHKKPLEFIEDVTTNAGEVQNSVLSEILKQNSKTEYLHRHGLNGAGDDIASFKKFMPMVKYEDLQPDILRIANGDKSPILCSLPISEFLTSSGTSGGERKLMPTIDDELDRRSLLYSLLMPVMNQYVPGLDKGKGMYLLFVKSEARTPGGLVARPVLTSYYKSRHFIERPFDPYNVYTSPNEAILCSDSYQSMYAQLLCGLLENSLVLRVGAVFASGFIRAIHFLEKNWPRLSHDILTGTLDAEITDSAVREAVMKVLKPNPSLASFIETECRKESWQGIILRLWPNTKYVDVIVTGAMSQYIPTLDFYSGGLPLACTMYASSECYFGLNLNPMRKPSEVSYTLIPTMGYFEFLPVNHRNNSNDETLDHHDLVDLVDVKLGQEYELVVTTYAGN >DRNTG_09316.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:952132:954456:-1 gene:DRNTG_09316 transcript:DRNTG_09316.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAPKTTTIPNEHKKPLEFIEDVTTNAGEVQNSVLSEILKQNSKTEYLHRHGLNGAGDDIASFKKFMPMVKYEDLQPDILRIANGDKSPILCSLPISEFLTSSGTSGGERKLMPTIDDELDRRSLLYSLLMPVMNQYVPGLDKGKGMYLLFVKSEARTPGGLVARPVLTSYYKSRHFIERPFDPYNVYTSPNEAILCSDSYQSMYAQLLCGLLENSLVLRVGAVFASGFIRAIHFLEKNWPRLSHDILTGTLDAEITDSAVREAVMKVLKPNPSLASFIETECRKESWQGIILRLWPNTKYVDVIVTGAMSQYIPTLDFYSGGLPLACTMYASSECYFGLNLNPMRKPSEVSYTLIPTMGYFEFLPVNHRNNSNDETLDHHDLVDLVDVKLGQEYELVVTTYAGLYRYRVGDVLRVAGFKNKAPQFNFVRRKNVALSIDSDKTDEVELHAAVKNAVNHLEPFGATLFEYTSYANTTTIPGHYVLYWELRLGSRAIPPSVFEDCCLAIEESLNSVYRQGRTADGSIGPLELKIVEDGTFDKLMDYALSQGASINQYKAPRCVKSEPVVQLLDAKVLSNYFSPKCPKWVPGHKQWLKDN >DRNTG_17121.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:11979657:11989156:-1 gene:DRNTG_17121 transcript:DRNTG_17121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTATLLVACRRTVSSRGMCYCWVVAVIGMAKMTNAYDLPARRIIHTRGSQICRKYHTARKMLSIGVIGLARSFSSRMEFEEKNLFNWMHT >DRNTG_20779.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001155.1:31093:34567:-1 gene:DRNTG_20779 transcript:DRNTG_20779.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIILPSPLLSAIFLLILFFFFGSSTLVSAVGDFCRSSSCGNLTNIRYPFRLKDDPPNCGDSNYELTCDHMNRTILTLLSRNYSITNITFQDYFSGYVYYQIQLMDIDMVKYNKASCHLPLNSSNLTVSKLKNISRFSTHYVMEYGWVTLVNCSNEVKNKSDYYWPVPCLSHNNNYFIYLVRPPICSPYVAFQVGNLMRSCRFLAMLPDHGNWYSSGKSPTDIVEFLAQGFTLVGRIARPEIIRFCFRQSLRFTYKDIITHRNSTRRLIPLIVLGIEQNFFECIATRNLLLKHYYQVKSIAYIIVVLLQIAKALIVFAVLGRCVFAPLTIYAFISYKLHQMMISVDIVEKFLRNQQTLVPTRYSYIDIIAMTGHFKEKLGQGGFGSVFKGRLPWDRLVAIKMLTNSKYNAGEDFINEVSTIGTIHHINVVKLIGFCSDGTQRALVYEYMPNGSLDKFIFSSNSGPNHKFSLDKLIDIALGVARGLDYLHKGCDMQILHFDIKPHNILLDHNFNPKVSDFGLAKLYPKNYSLVSLSLARGTIGYIAPELISRSFGVISHKCDVYSFGMLLLEMAGGRRNSDPRAENISQVYYPSWIYDKLIEDAIEHNVVEMDTNIVIDEREKKLCLIGLWCIQMRPSDRPSMFKVIEMLEGDVNSLQMPPKPFFSEPHQIPSKVPHLNTDDGELTTISEDDDELN >DRNTG_32536.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20167643:20172303:1 gene:DRNTG_32536 transcript:DRNTG_32536.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVLRLPYDAAVRAALSSLERNLLPDTIVRRLTRLLLAARLRLGYQPTAELQLSHLLLFKRSLLDLPIAILTEKPKSQHYELPTSFFKLVLGKHLKYSGCYFLEKSSSLDDAELAMLDLYCERAQLMDGQSVLDVGCGWGSLSLFVACKFPDSHVTGICNSITQKEFIDEQCRERQLTNVKIIVADISNFVMEDQFDRVISIEMFEHMKNYKELLKKISLWMKPDGLLFVQYFCHKTFAYHFEDNNEDDWITRYFFTGGTMPSANLLLYFQDDVCVVDHWLVSGTHYSRTSEEWLKRMDQNMASIRPIMESTYGKESATKWTAYWRTFFISVAELFGYNNGEEWMVAHYLFNKRSIS >DRNTG_32536.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20167643:20172981:1 gene:DRNTG_32536 transcript:DRNTG_32536.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVLRLPYDAAVRAALSSLERNLLPDTIVRRLTRLLLAARLRLGYQPTAELQLSHLLLFKRSLLDLPIAILTEKPKSQHYELPTSFFKLVLGKHLKYSGCYFLEKSSSLDDAELAMLDLYCERAQLMDGQSVLDVGCGWGSLSLFVACKFPDSHVTGICNSITQKEFIDEQCRERQLTNVKIIVADISNFVMEDQFDRVISIEMFEHMKNYKELLKKISLWMKPDGLLFVQYFCHKTFAYHFEDNNEDDWITRYFFTGGTMPSANLLLYFQDDVCVVDHWLVSGTHYSRTSEEWLKRMDQNMASIRPIMESTYGKESATKWTAYWRTFFISVAELFGYNNGEEWMVAHYLFNKRSIS >DRNTG_23156.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1853372:1853824:1 gene:DRNTG_23156 transcript:DRNTG_23156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDVIRIVHINGHVEEFSRHVLASEILEANPNHVLSKPCTQQDDHLVRKIFVVSPESELKRGHIYFLIPSALVPDKKKKKKNRKKSNEVSVIVSTHQDEYLKETVLEKKVRHHRRRSGRVGVWRPHLESISEDL >DRNTG_02739.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4566248:4567093:1 gene:DRNTG_02739 transcript:DRNTG_02739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSWSSRSLILSSLFSISGLKAHSIVVRPREPNAITSHALKIRRPPQRSSRDPGEVGHCPLFSRRI >DRNTG_05833.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31658823:31661679:-1 gene:DRNTG_05833 transcript:DRNTG_05833.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMKRYEELGMAEALSRSYDYPSACQELALILRYAYAQLPKTLQSLLLRDTLAAFRLLPVMQTSLGLSAANLLLQAAEAVLPKQKKALAVAEFKRAVVAHKRRCRVHQHGDSIQLPQDILIHIFKFLDMRSLVTSSSVCW >DRNTG_05833.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31658823:31661679:-1 gene:DRNTG_05833 transcript:DRNTG_05833.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMKRYEELGMAEALSRSYDYPSACQELALILRYAYAQLPKTLQSLLLRDTLAAFRLLPVMQTSLGLSAANLLLQAAEAVLPKQKKALAVAEFKRAVVAHKRRCRVHQHGDSIQLPQDILIHIFKFLDMRSLVTSSSVC >DRNTG_05833.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31658823:31661679:-1 gene:DRNTG_05833 transcript:DRNTG_05833.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMKRYEELGMAEALSRSYDYPSACQELALILRYAYAQLPKTLQSLLLRDTLAAFRLLPVMQTSLGLSAANLLLQAAEAVLPKQKKALAVAEFKRAVVAHKRRCRVHQHGDSIQLPQDILIHIFKFLDMRSLVTSSSVCWQFILEI >DRNTG_05833.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31658823:31661679:-1 gene:DRNTG_05833 transcript:DRNTG_05833.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMKRYEELGMAEALSRSYDYPSACQELALILRYAYAQLPKTLQSLLLRDTLAAFRLLPVMQTSLGLSAANLLLQAAEAVLPKQKKALAVAEFKRAVVAHKRRCRVHQHGDSIQLPQDILIHIFKFLDMRSLVTSSSVCWAWNAAANDNALWHLQYSLFFGSYGIFGKTSEQNQSIKDECLQANLNWKKEFCKKYTGNSSWRFKSNRAFCRHCKSIIWLSNITCDRPHHCATLEIKQFIIKPMAPYKTDKFSVLQIVEYLLGETILASSSSDSDSDSEASTLVTLPRLWAYTKLTSSDDLP >DRNTG_15822.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24960170:24961561:1 gene:DRNTG_15822 transcript:DRNTG_15822.1 gene_biotype:protein_coding transcript_biotype:protein_coding METENPVTYRYMRRNFNDSAGDPGGAFSDCSSSCAGGTTTLHHRLTPSSATIYSDESLRSLISDLESPSVTIDTQRHAAMELRLLAKHSPENRFQIAGAGAVVPLISLISSPDSLLQEHGVTAILNLSLLDENKDLIAAAGAIKPLVRALRSPSLAARENAACALFRLSHSDALKATIARSGAIPPLISLLESGRLRAKKDAITALYALCSISENKIRAVEAGIMKPLLEMMAETDGELVDKAGCVLRKVTGEEKGRVAAVSDGGIPVLVEVIEVGTQRQKEIAAAALLQICEGSPAYSALAVREGAIPPLVSLSQ >DRNTG_21004.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001192.1:74712:75642:1 gene:DRNTG_21004 transcript:DRNTG_21004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISQITIERQMDFVGKLCIGAVCSCIQSPVVSEGMGHIFCTKEKLDSLDSPMEALMARKRDFETQLDIPCSSRQRPTYQLQFWLEKVSEKDEEVKQLKDKFGKQECTFGTCYLNCYSRCR >DRNTG_05994.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19627744:19630861:-1 gene:DRNTG_05994 transcript:DRNTG_05994.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNRDKRWSLHGSTALVTGGCKGIGHAIVEELASLGATVHTCDLNELELNQCLQQWQELQFPVTGSICDVSSRDDCKKLMERVSAMFHGKLNILVNNAGTAFTKPNVDFTAEDYSFIMNTNFESAFHLSQLAHPLLKVSGSSSIVFISSISGLVGGSHLSLYSASKGAINQLMKNLACEWAKDNIRCNCIAPGHINTPLRSLMVRCLKIKRFSTKKYLLFLWDVSVSQRR >DRNTG_05994.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19627744:19630861:-1 gene:DRNTG_05994 transcript:DRNTG_05994.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNRDKRWSLHGSTALVTGGCKGIGHAIVEELASLGATVHTCDLNELELNQCLQQWQELQFPVTGSICDVSSRDDCKKLMERVSAMFHGKLNILVNNAGTAFTKPNVDFTAEDYSFIMNTNFESAFHLSQLAHPLLKVSGSSSIVFISSISGLVGGSHLSLYSASKGAINQLMKNLACEWAKDNIRCNCIAPGHINTPLRSLVLENKEILNKEISLIPLGRIGEPEEVASLTGFLCLPVSSYITGQVICIDGGRSTNVLW >DRNTG_07084.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2047475:2049096:-1 gene:DRNTG_07084 transcript:DRNTG_07084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRSLGSSRMQSWACAGSARNQLWILSAKRSPH >DRNTG_22644.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:20837454:20839195:-1 gene:DRNTG_22644 transcript:DRNTG_22644.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPPSLSLQTNQQTMVINGYSFIHFLFNLSFLILLTFFLTAYPRSLTTTTPQEVCKSNQQYVPEGYVDYLYIYYCSCKSYPILGYTFLFLWLLVLFYLLGNTASQYFCSSLEKLSRVLRLSPSIAGVTLLSLGNGAPDVFSSLVSFAGSGAGEVGISSVLGGAFFVTTVVVGVMTLFISFTLSTSSSSIVTIDKSSFLRDTGFFILVLSSLVVMLLIGSINLWGSLCFFCLYIVYVFVVSTCHLCSKIQVEMGVPLLEGIKVEEQVCNERTSITTVQECHGYLKLVFQLIELPLYLPRRITIPDVSEERWSKPFAVASVVLSPLLLATLWNSQTGLAEIGSDNSITVFLLGGVAGLVLGITAFESTESSGPPTKCLFPWLAGGFLMSVVWAYIIARELVSLLVSIGVILGISPSILGLTVLAWGNSVGDLMANVAMAVNGGQDGVQVAISGCYAGPIFNTLVGLGLSLVFSSWRVYPSSFEIPKEKPLFETLVFLIAGILWAMVIVPSREMKLDRVLGVGLLAIYACFLCLRISEYLGIVQIEDF >DRNTG_03190.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18983777:18986537:-1 gene:DRNTG_03190 transcript:DRNTG_03190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDGERTCPLCAEEMDLTDQQLKPCKCGYEFSIRRCCAR >DRNTG_03190.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18986057:18986708:-1 gene:DRNTG_03190 transcript:DRNTG_03190.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHQSKSESSANEGLRKTPSRPDNQNLGINPHTPLARAFLSGESSGLGQ >DRNTG_03190.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18980842:18985652:-1 gene:DRNTG_03190 transcript:DRNTG_03190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDGERTCPLCAEEMDLTDQQLKPCKCGYEFSIRRCCAR >DRNTG_03190.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18980842:18986537:-1 gene:DRNTG_03190 transcript:DRNTG_03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDGERTCPLCAEEMDLTDQQLKPCKCGYEFSIRRCCAR >DRNTG_03190.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18986057:18986537:-1 gene:DRNTG_03190 transcript:DRNTG_03190.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHQSKSESSANEGLRKTPSRPDNQNLGINPHTPLARAFLSGESSGLGQ >DRNTG_35007.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24135251:24136167:-1 gene:DRNTG_35007 transcript:DRNTG_35007.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRHEMEEDVGKGKIMEVSEKKEKKKKLMVLVWGYLPGASPQRSSILHPMAVPLPGSSTSGDSWRDVCGGGCGFAMAISESGKLLTWGSTDDMGQSYLTAGKHE >DRNTG_35007.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24132028:24136167:-1 gene:DRNTG_35007 transcript:DRNTG_35007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRHEMEEDVGKGKIMEVSEKKEKKKKLMVLVWGYLPGASPQRSSILHPMAVPLPGSSTSGDSWRDVCGGGCGFAMAISESGKLLTWGSTDDMGQSYLTAGKHEDIPEAYPLPTEVAIVKAAAGWAHCAAVTAFGEVYTWGWKECVPSGTIIVDQNMEVPPDKDEKEVNPRSSSGTQSSNESRSAEESAKRRRLSSSRQGPESSTSGDETLSAPPCLVTLKTGVRITAVASGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIRLVSSPHPVPCIELGAYGKDRPAALRRNIGSDEQVYKVIGSYVKTIACGGRHSIVVTDTGALLTFGWGLHGQCGQGSTDDELSPKCVPSLLTVKIKGVAAGLWHTICISAEGAIYAFGGNQFGQLGIGSDQAELQTLPKLVNPPCLENKKAKIVSCGARHSAITTEDGEVFCWGWNKYGQLGLGDAIDRNIPAIVQMETCCAINISCGWWHTSVLAESPT >DRNTG_35007.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24132028:24136167:-1 gene:DRNTG_35007 transcript:DRNTG_35007.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRHEMEEDVGKGKIMEVSEKKEKKKKLMVLVWGYLPGASPQRSSILHPMAVPLPGSSTSGDSWRDVCGGGCGFAMAISESGKLLTWGSTDDMGQSYLTAGKHEDIPEAYPLPTEVAIVKAAAGWAHCAAVTAAFGEVYTWGWKECVPSGTIIVDQNMEVPPDKDEKEGMFSVIKTISVKSFAALDATTRGM >DRNTG_35007.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24132028:24136167:-1 gene:DRNTG_35007 transcript:DRNTG_35007.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRHEMEEDVGKGKIMEVSEKKEKKKKLMVLVWGYLPGASPQRSSILHPMAVPLPGSSTSGDSWRDVCGGGCGFAMAISESGKLLTWGSTDDMGQSYLTAGKHEDIPEAYPLPTEVAIVKAAAGWAHCAAVTAFGEVYTWGWKECVPSGTIIVDQNMEVPPDKDEKEGMFSVIKTISVKSFAALDATTRGM >DRNTG_35007.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24132028:24135137:-1 gene:DRNTG_35007 transcript:DRNTG_35007.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIHSQCFHALLVQCGQGSTDDELSPKCVPSLLTVKIKGVAAGLWHTICISAEGAIYAFGGNQFGQLGIGSDQAELQTLPKLVNPPCLENKKAKIVSCGARHSAITTEDGEVFCWGWNKYGQLGLGDAIDRNIPAIVQMETCCAINISCGWWHTSVLAESPT >DRNTG_18538.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3282920:3283603:-1 gene:DRNTG_18538 transcript:DRNTG_18538.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPSIPSFHDFHGQLLCTCHSIKSMVSNLLREVLPSCLPNFRKIWFFSYEHEKHNSVSCCSDDDITDDDIKLVIKKVGLIGWRSETSCQDSELLETTLSFLEEKEASLEELEEAFYVFDRQEDGFIDARKLWSVLRRLGFKEDFRLEDCESMISVFDQNGDGKIDFSEFRNMLENAC >DRNTG_09306.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:910963:912513:-1 gene:DRNTG_09306 transcript:DRNTG_09306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAEREEEAVRKGDSISERGRKLEEKVKTRELEKGEFVPDRRRKEELEKGEFVPDKLRKVELDKGEFVPDKLRKGDLEKGEFVPDKLSKSELERGEFVLDKSRKFELEKGEFVPEKWRKGELEKGEFVPEKCRKGELEKGEFVPDKSRKGEFLPDKWRKEDLESGEFVPDKVRRGSEVERGQKVELEKGEILPDKWRKSEWEHERSPEDFHRRKDVVRGENDHRKKPSLKWESGTHERDLKISSRNTDDDLCQPRYDHSNGKVHGKEHFSGNLWKRHGFESETNSRKHHGEFGDYPGSKSRRISEDVNRSNYTERQGRISSSSVSKMSSTNRYASSRHHESALPSRAGNDRQVRSPGLSERSPHEHVRHHDHRERTPGYSERSPHDRTRHHDHKDRNVSHSERSPFERVRYHDHRERSPRDRGRMLDHREKSRKSGGNEKQPNIRCDDKVSRRDSDGKDSYKSSTKLPSNSSITSEKSSDDKSNKEKKSRTLSLDHGE >DRNTG_32548.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20085232:20086170:1 gene:DRNTG_32548 transcript:DRNTG_32548.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTREMKEKTTSTRISFTSTMSSEMQKEY >DRNTG_32548.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20083247:20086170:1 gene:DRNTG_32548 transcript:DRNTG_32548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGVACARFTSTVRASWDTNPSSKPFNPPRSSTLQTTPLSPSPTTAVVAEKVMPPSQILSSNRVDGYREKSEEKYLGYERWLPIAPKVKKPRATYNAASLAYMGDCIYELYARRHFLFPPLSINEYNDRVMKVVRCESQDALLKKLVEDDYLTEEERDVLRWGKNISSSKTRTTKRAGVAVYSRASSLETLIGYLYLTDVNRLEELMHRLGFSTGASSELIAEMRMNFQKHPSITRNPDNP >DRNTG_32548.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20083247:20084889:1 gene:DRNTG_32548 transcript:DRNTG_32548.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGVACARFTSTVRASWDTNPSSKPFNPPRSSTLQTTPLSPSPTTAVVAEKVMPPSQILSSNRVDGYREKSEEKYLGYERWLPIAPKVKKPRATYNAASLAYMGDCIYELYARRHFLFPPLSINEYNDRVMKVVRCESQDALLKKLVEDDYLTEEERQVLDLCFHSAVM >DRNTG_20969.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001183.1:3640:4761:-1 gene:DRNTG_20969 transcript:DRNTG_20969.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRMLKSGLKSALNRAGIKRPLNGRVDRLVESPLLVARDPLSGLGHTSPDRCRRKLSQ >DRNTG_31472.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1940043:1943685:1 gene:DRNTG_31472 transcript:DRNTG_31472.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive shikimate kinase like 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G35500) UniProtKB/Swiss-Prot;Acc:O82290] MAFAFVPALSLSLQSPREGLTRVSILQSRTPRLQISFNGSKLPSFSSFLLPRRCIFSLKFKSVSGRNLSEFTVKNKNYEFYDGVADVELRLDIGTMGVQDSSDIFVDANETSLLVRVKASGSLITLLDTNHLYEKIKPSETIWYIDEDQLVINLKKYDTELKWPDIMESWMSLTAGVSQLLKGTSVYLVGDSTEINQKVAGELASGIGYTPLFTSDLLERYTQQSIESWVISEGADSVAAGEGVVLESLSSHVRAVVATLGGEQGIARRSDKWQHLHAGFTVWLSKSEASDENSAKEEARMNMQDKNLGYTNADVVVKLGGWDAEHTQAVAQACLSALKQLLLSDKQLTGKKSLYIRLGCRGDWPNIKPPGWDPASGVDSSVEY >DRNTG_31472.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1941025:1943685:1 gene:DRNTG_31472 transcript:DRNTG_31472.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive shikimate kinase like 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G35500) UniProtKB/Swiss-Prot;Acc:O82290] MGVQDSSDIFVDANETSLLVRVKASGSLITLLDTNHLYEKIKPSETIWYIDEDQLVINLKKYDTELKWPDIMESWMSLTAGVSQLLKGTSVYLVGDSTEINQKVAGELASGIGYTPLFTSDLLERYTQQSIESWVISEGADSVAAGEGVVLESLSSHVRAVVATLGGEQGIARRSDKWQHLHAGFTVWLSKSEASDENSAKEEARMNMQDKNLGYTNADVVVKLGGWDAEHTQAVAQACLSALKQLLLSDKQLTGKKSLYIRLGCRGDWPNIKPPGWDPASGVDSSVEY >DRNTG_10672.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5323305:5326700:1 gene:DRNTG_10672 transcript:DRNTG_10672.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP18, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G20810) UniProtKB/Swiss-Prot;Acc:Q9LM71] MAMASLHHLHRASLDPIFLSSAPLEAVTPPKLSKNQKPSHAASPMLRREALLVSAISFNLIALSSPIQARERRNRNTIPLEEFMTTSDGLKYYDVVEGKGPKAEKGSIVQVHFDCLYRGVTAVSSRESKLLAGNRIIAQPYEFTVGSPPGKERKREFVDNANGLYSAQAAPKPPKALYTITEGMKVGGKVCCINAQLSFY >DRNTG_10672.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5323305:5326700:1 gene:DRNTG_10672 transcript:DRNTG_10672.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP18, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G20810) UniProtKB/Swiss-Prot;Acc:Q9LM71] MSLAYFYHYIVHLLIYYAQDSIYPLFFPPCFSNILTRKSADGLKYYDVVEGKGPKAEKGSIVQVHFDCLYRGVTAVSSRESKLLAGNRIIAQPYEFTVGSPPGKERKREFVDNANGLYSAQAAPKPPKALYTITEGMKVGGKVCCINAQLSFY >DRNTG_10672.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5323305:5326700:1 gene:DRNTG_10672 transcript:DRNTG_10672.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP18, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G20810) UniProtKB/Swiss-Prot;Acc:Q9LM71] MAMASLHHLHRASLDPIFLSSAPLEAVTPPKLSKNQKPSHAASPMLRREALLVSAISFNLIALSSPIQARERRNRNTIPLEEFMTTSDGLKYYDVVEGKGPKAEKGSIVQVHFDCLYRGVTAVSSRESKLLAGNRIIAQPYEFTVGSPPGKERKREFVDNANGLYSAQAAPKPPKALYTITEGMKVGGKRTVIVPPDLGYGQNGLNEIPPGAPFELNVELLQVMSPATAK >DRNTG_10672.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5323305:5326700:1 gene:DRNTG_10672 transcript:DRNTG_10672.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP18, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G20810) UniProtKB/Swiss-Prot;Acc:Q9LM71] MKVGGKRTVIVPPDLGYGQNGLNEIPPGAPFELNVELLQVMSPATAK >DRNTG_10672.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5323305:5326700:1 gene:DRNTG_10672 transcript:DRNTG_10672.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP18, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G20810) UniProtKB/Swiss-Prot;Acc:Q9LM71] MKVGGKRTVIVPPDLGYGQNGLNEIPPGAPFELNVELLQVMSPATAK >DRNTG_10672.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5323305:5326700:1 gene:DRNTG_10672 transcript:DRNTG_10672.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP18, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G20810) UniProtKB/Swiss-Prot;Acc:Q9LM71] MSLAYFYHYIVHLLIYYAQDSIYPLFFPPCFSNILTRKSADGLKYYDVVEGKGPKAEKGSIVQVHFDCLYRGVTAVSSRESKLLAGNRIIAQPYEFTVGSPPGKERKREFVDNANGLYSAQAAPKPPKALYTITEGMKVGGKRTVIVPPDLGYGQNGLNEIPPGAPFELNVELLQVMSPATAK >DRNTG_10672.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5323305:5326700:1 gene:DRNTG_10672 transcript:DRNTG_10672.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP18, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G20810) UniProtKB/Swiss-Prot;Acc:Q9LM71] MAMASLHHLHRASLDPIFLSSAPLEAVTPPKLSKNQKPSHAASPMLRREALLVSAISFNLIALSSPIQARERRNRNTIPLEEFMTTSDGLKYYDVVEGKGPKAEKGSIVQVHFDCLYRGVTAVSSRESKLLAGNRIIAQ >DRNTG_10672.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5323305:5326700:1 gene:DRNTG_10672 transcript:DRNTG_10672.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP18, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G20810) UniProtKB/Swiss-Prot;Acc:Q9LM71] MAMASLHHLHRASLDPIFLSSAPLEAVTPPKLSKNQKPSHAASPMLRREALLVSAISFNLIALSSPIQARERRNRNTIPLEEFMTTSDGLKYYDVVEGKGPKAEKGSIVQVHFDCLYRGVTAVSSRESKLLAGNRIIAQ >DRNTG_24205.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22513593:22516330:1 gene:DRNTG_24205 transcript:DRNTG_24205.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTTIILYTVLTFILTFFFLSGHGRCRKRRQPHPLPPTGPLPLPIIGHLYLLKQNPHQILATISSNHGPILLLRFGTCPVLLVSSPAIAEECFTKNDIILANRPQLLAGVYLAYNHTTLDSTNYGPYWRNLRRIAAVEFFSPARISSLSYLRSQEVHHLLRDLYHHGSEEICMREKLSALSLNVTAMMLIGKRYGGDDEEGVKLRRVIEEVCLLAGSSAMEDFLPFPKFVGFVTGLNRRMVRLEKEMDALFQSLVDERRRRRSDCVSEEEEKKKKPVIDVLLEMQEMEPEYYTDVFIKGFLETMIMAGTDTSAGTMEWAMALLLNHPEALNKARDEISMHVGHERLITDTDIPKLTHLHNIIKETLRLFPAAPFLIPHESSEDCNVSGYHVPKGTMLLVNVYAMQRDPGIWGDDPVKFMPERYGEGDHGVGVQVKGSGYSYMPFGAGRRRCPGESLAWRLMELTLGTLIQCFEWGRVGEEMVDLSEGDGLTMPMAKPLKAICKPRSNMIHLLSQL >DRNTG_03483.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:838629:839428:-1 gene:DRNTG_03483 transcript:DRNTG_03483.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKIPMTLLLRFTASAITATATFLFIRRIRREISLSALRRQIREALQPLLPRPPSILIMGFRSQGKSSFLNTACRALASESDPILLLRAETAPPGAAPVSQSSRIVRAFVADPGGAGVEPVAVDLIDGPPLPDAAGMTRADVEAAIVGTPAPECVILVFRCSGSLKERAIAVKKLTDIASVVRERGVGPSLIYLFIYINI >DRNTG_03483.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:837680:839428:-1 gene:DRNTG_03483 transcript:DRNTG_03483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKIPMTLLLRFTASAITATATFLFIRRIRREISLSALRRQIREALQPLLPRPPSILIMGFRSQGKSSFLNTACRALASESDPILLLRAETAPPGAAPVSQSSRIVRAFVADPGGAGVEPVAVDLIDGPPLPDAAGMTRADVEAAIVGTPAPECVILVFRCSGSLKERAIAVKKLTDIASVVRERGLHVVVVLTHKRVLRNMKQTEELQREVAFRARTDCVYFVENYTASPNISIHHPTTIKNDHDTNFTALSIIRQCVEFTRLYRSHTTSPKSLFMSSALATSAPGLNKSMSR >DRNTG_33889.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:14973382:14978839:-1 gene:DRNTG_33889 transcript:DRNTG_33889.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSPPHFPESPSGPWSSDGSSHFISSTVSHPYIFWRRHRQVQHDLRSGSASKNDGPLARSQGEDIVFSPLSFQDIKLQECLTSTLNDFFESIGGLLWILLHSSGYSTIQIQELLKSISFITKRCVCYSINKGEVIPVPIPVAHPGEFPKHNLNHIWHVNSSVSKDDLKQILQDLSLHLTRASTDVLNAKELTNDWLMLVNLPPIAKKLKVCSQSLDVMSKQVRSSSSSLASSPSLFLDLAIHCSSLSLAPTIGGATWRRRSDSEMGDRGRMRSVGLDMFFIYLSVAIGGAGKKRNFSDDREDEGGELCRILGLEGSRGCAAQLWQSKASKKAAPGPQCGYAAPGRIMAIMRPSGSGKSMLLDSLTGRLGRNVVHTGKVLLNGKKRRLDYSVVGISGGEQKRLSIALEILTRPRLLFLDEPTSGLDSASAFFVIQTLRKMTRDGDKTIMSSIHYPSGEVFALFDDLYLLSSGEVVYFGDAKLATEGTEQTSMQEI >DRNTG_25375.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24251677:24255726:1 gene:DRNTG_25375 transcript:DRNTG_25375.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome-recycling factor, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63190) UniProtKB/Swiss-Prot;Acc:Q9M1X0] MATAPIQAFTHAKLNAPSSLLLVSSTPEARRRGLHTHLKHRLPDALKWSSSSSYVTIQQGSAAFSRRQLRTKPNAAWRAGVFRCATIEEIEAEKSAIENEAKDRMEKTIEAVRASFNAVRTGRANPSMLDRIEVEYYGSPVNLKSIAQINTPDASSLLVQPYDKSSLKAIEKAIVNSDVGLTPNNDGEVIRLSIPQLTAERRKELSKIVAKQAEEGKVAIRNIRRDAIKAYEKLEKEKKLSEDNVKDLSSDLQKVTDEYMKKMESIQKQKEKELLTV >DRNTG_11771.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:632496:636659:-1 gene:DRNTG_11771 transcript:DRNTG_11771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFDDTSEDGSSPTSDAALDAEVVPLSVPRAEEQRRRWPGWPGDCVFRLVVPVLKVGGIIGRRGESIKKLCEETRARVRVLEGPVGSPDRIVLISAKEEPDEEVSPAMDAVIRVFKRANGISENNSDGITTQSSAFIRLLVPSSQAISLIGKHGTLIKSIQENCGASVRVQSGDELPLYATMDERVVDIQGECLKVLKGLEAVIGHLRKFLVDHSIIPLFEQNLKNQVSQERAVNAWGDNTQSLTHNVHHAGINNDYPHSMRWDPVHTDREPPPDLPYHPQDPHYQRSGLSMYGPDPSHSGLRSMGLGRSAGAVVAQISHTMQIPLTYAEHIIGVDGQNITYIRRASGAVLSVNESVGIPDEITVEIKGTSSQVQSAQRLIQEFIAGHREQAASGGYASHDARSRPSAYSHHSEATTAYHPSASTLTYSHHGESAAYHPSSSYPGQLNSGYGSSSRFGGYGGGGYRY >DRNTG_32056.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15323595:15324599:-1 gene:DRNTG_32056 transcript:DRNTG_32056.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVLAKASGGKDYPGDIILFVFLAYLVAETGGLIFGYDLAIYGGVTAMDPFLLKFFPSVYYCLIESYAVELKMEVNERMEIYQGLGWFHLKNLFKIEAFKHSLSFRIYKMENENHSTNQYCKFDSQMLTLFTSSLYVAALVASFFATTVTGVFGRKWSMFGDCITFLIGSAINGATENMFMLILVVFYSELVLALLMSRPEHGLD >DRNTG_15735.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20345745:20350363:1 gene:DRNTG_15735 transcript:DRNTG_15735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTASISPLLLVVRSKKSQPQKGLDEAPPRITSNVKQNLQFLRIWREFQKRKSSAPKPTTRYRKKKAVKEELPEDTELYQDPTSKLYYTNQGLETAIPVLLVDGYNMCGYWPKLKKHFMKGRLDMARQKLIDELITFSVIKEVKVVVVFDAMMSGLATHKESFAGIDVVFSGESCADAWIEKEVVALKVDGCPKVWVVTSDSVQQQAAHGAGAFVWSCKALVSEIKASQREFEQILDEHRSTSVQGKLLQHNLDAEVVLALKDLKKKLSENEAN >DRNTG_07378.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20595000:20609059:-1 gene:DRNTG_07378 transcript:DRNTG_07378.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase SOL1 [Source:Projected from Arabidopsis thaliana (AT1G71696) UniProtKB/Swiss-Prot;Acc:Q9M9H7] MEISDKPGKDEAAPSFKFIGNVHGDEPVGRELLIRLANWLCDNYMKDSLAMMIVKHVHLHILPTMNPDGFALRRRGNANNVDLNRDFPDQFYPLNDRLESRQPETRAIMNWVKERRFTASASLHGGALVANYPWDGSQDTRKEYVACPDDKTFRYMANVYSHSHYNMSLSKEFEGGITNGAFWYDI >DRNTG_07378.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20595000:20598917:-1 gene:DRNTG_07378 transcript:DRNTG_07378.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase SOL1 [Source:Projected from Arabidopsis thaliana (AT1G71696) UniProtKB/Swiss-Prot;Acc:Q9M9H7] MSLFCLHCQTTISLSINIAHILFLQLPILWEYNRLSMLNLVASLLKAGIHGRILSSQGGHPLPAAVKVEGIDYTVFATRTLGDYHRLLAPRESYEVVASMPGFQSKRTRVVVEDEVTSLDFILIPEGEDSVKQDEILNNYICSCGGKDKLELVEFLRGTHFEIYLFIFIVVVFLFYLLKRKKMF >DRNTG_07378.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20595000:20609059:-1 gene:DRNTG_07378 transcript:DRNTG_07378.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase SOL1 [Source:Projected from Arabidopsis thaliana (AT1G71696) UniProtKB/Swiss-Prot;Acc:Q9M9H7] MELCSLRFAFVLALLLYPCFAAARGGDRRPISLLGQMDDEGWMLSRHLLEDQPLITDEMVSGYMSNSELEMAIKEFGRRCSNISRVYSIGKSVNSVPLWVMEISDKPGKDEAAPSFKFIGNVHGDEPVGRELLIRLANWLCDNYMKDSLAMMIVKHVHLHILPTMNPDGFALRRRGNANNVDLNRDFPDQFYPLNDRLESRQPETRAIMNWVKERRFTASASLHGGALVANYPWDGSQDTRKEYVACPDDKTFRYMANVYSHSHYNMSLSKEFEGGITNGAFWYDI >DRNTG_07378.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20595000:20609059:-1 gene:DRNTG_07378 transcript:DRNTG_07378.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase SOL1 [Source:Projected from Arabidopsis thaliana (AT1G71696) UniProtKB/Swiss-Prot;Acc:Q9M9H7] MELCSLRFAFVLALLLYPCFAAARGGDRRPISLLGQMDDEGWMLSRHLLEDQPLITDEMVSGYMSNSELEMAIKEFGRRCSNISRVYSIGKSVNSVPLWVMEISDKPGKDEAAPSFKFIGNVHGDEPVGRELLIRLANWLCDNYMKDSLAMMIVKHVHLHILPTMNPDGFALRRRGNANNVDLNRDFPDQFYPLNDRLESRQPETRAIMNWVKERRFTASASLHGGALVANYPWDGSQDTRKEYVACPDDKTFRYMANVYSHSHYNMSLSKEFEGGITNGAFWYPIYGGMQDWNYIHGGCFELTLEISDVKWPKSIELPILWEYNRLSMLNLVASLLKAGIHGRILSSQGGHPLPAAVKVEGIDYTVFATRTLGDYHRLLAPRESYEVVASMPGFQSKRTRVVVEDEVTSLDFILIPEGEDSVKQDEILNNYICSCGGKDKLELVEFLRGTHFEIYLFIFIVVVFLFYLLKRKKMF >DRNTG_07378.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20595000:20609059:-1 gene:DRNTG_07378 transcript:DRNTG_07378.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase SOL1 [Source:Projected from Arabidopsis thaliana (AT1G71696) UniProtKB/Swiss-Prot;Acc:Q9M9H7] MEISDKPGKDEAAPSFKFIGNVHGDEPVGRELLIRLANWLCDNYMKDSLAMMIVKHVHLHILPTMNPDGFALRRRGNANNVDLNRDFPDQFYPLNDRLESRQPETRAIMNWVKERRFTASASLHGGALVANYPWDGSQDTRKEYVACPDDKTFRYMANVYSHSHYNMSLSKEFEGGITNGAFWYPIYGGMQDWNYIHGGCFELTLEISDVKWPKSIELPILWEYNRLSMLNLVASLLKAGIHGRILSSQGGHPLPAAVKVEGIDYTVFATRTLGDYHRLLAPRESYEVVASMPGFQSKRTRVVVEDEVTSLDFILIPEGEDSVKQDEILNNYICSCGGKDKLELVEFLRGTHFEIYLFIFIVVVFLFYLLKRKKMF >DRNTG_07378.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20595000:20609059:-1 gene:DRNTG_07378 transcript:DRNTG_07378.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase SOL1 [Source:Projected from Arabidopsis thaliana (AT1G71696) UniProtKB/Swiss-Prot;Acc:Q9M9H7] MELCSLRFAFVLALLLYPCFAAARGGDRRPISLLGQMDDEGWMLSRHLLEDQPLITDEMVSGYMSNSELEMAIKEFGRRCSNISRVYSIGKSVNSVPLWVMEISDKPGKDEAAPSFKFIGNVHGDEPVGRELLIRLANWLCDNYMKDSLAMMIVKHVHLHILPTMNPDGFALRRRGNANNVDLNRDFPDQFYPLNDRLESRQPETRAIMNWVKERRFTASASLHGGALVANYPWDGSQDTRKEYVACPDDKTFRYMANVYSHSHYNMSLSKEFEGGITNGAFWYPIYGGMQDWNYIHGGCFELTLEISDVKWPKSIEV >DRNTG_08178.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000369.1:40005:41191:1 gene:DRNTG_08178 transcript:DRNTG_08178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVRKKLENDALFAIEKATHRGLDEKVTALAREASILLAMAHDRFSSSEVCLHYVFGSSNLDDLVLSSAILRLDGSEVLTLVRYFIKWLEKYQRFPEAGPCPSAMPVLGLTVCDSIPSLESVARGLGLVLDEHFSYLVLSSEFHEEMRAIERLVKSFCIRS >DRNTG_18391.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21005079:21006784:1 gene:DRNTG_18391 transcript:DRNTG_18391.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPAGVSQDGIKHAESQQGLDFLVRRGASSLKPPLQLHHSRVEVPEPGSENRRLTVLAIDVGLKTINGGLERAKKRLVLADLRFKKRRKRRDRRRIAGAPKEGALEVRQTAEMGHGSNVGGGGSGGVERSMGGLETEVGGAEGGNGGEEGRD >DRNTG_18391.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21004898:21006784:1 gene:DRNTG_18391 transcript:DRNTG_18391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPAGVSQDGIKHAESQQGLDFLVRRGASSLKPPLQLHHSRVEVPEPGSENRRLTVLAIDVGLKTINGGLERAKKRLVLADLRFKKRRKRRDRRRIAGAPKEGALEVRQTAEMGHGSNVGGGGSGGVERSMGGLETEVGGAEGGNGGEEGRD >DRNTG_05375.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13529912:13533565:-1 gene:DRNTG_05375 transcript:DRNTG_05375.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAELIDDLFLGDAEVWRRPTSGHAGPMGGDFPLVTEDGHHILDVIFTSPIHNLAEVAESLNQVDGVVDHGVICGISCIAIIASENGVLVVDNSSR >DRNTG_05375.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13529912:13533565:-1 gene:DRNTG_05375 transcript:DRNTG_05375.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACAADTNLAVLEAAKHAVDRYVRNGMVIGLGSGCASCLAIQYLGGRLRDGALQDIVGIPMSVSSATEAAKAGIPLELCQESLQIDFAFDDADVIEEGSLTAVIGRRKLEGGDSIIQEKSILKAAGRVAFITDEKQYGRDRDGSIPVLISPDNWMETAELIDDLFLGDAELKWQRA >DRNTG_05375.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13529912:13531660:-1 gene:DRNTG_05375 transcript:DRNTG_05375.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTNHSSIDFGITRTVSGSCVTICIIFKSYYEKSILI >DRNTG_05375.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13532469:13533565:-1 gene:DRNTG_05375 transcript:DRNTG_05375.6 gene_biotype:protein_coding transcript_biotype:protein_coding MACAADTNLAVLEAAKHAVDRYVRNGMVIGLGSGCASCLAIQYLGGRLRDGALQDIVGIPMSVSSATEAAKAGIPLELCQESLQVCNKSFSFNMSVSILV >DRNTG_05375.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13532156:13533565:-1 gene:DRNTG_05375 transcript:DRNTG_05375.5 gene_biotype:protein_coding transcript_biotype:protein_coding MACAADTNLAVLEAAKHAVDRYVRNGMVIGLGSGCASCLAIQYLGGRLRDGALQDIVGIPMSVSSATEAAKAGIPLELCQESLQIDFAFDDADVIEEGSLTAVIGRRKLEGGDSIIQEKSILKAAGRVAFITDEKQYGRDRDGSIPVLISPVS >DRNTG_05375.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13533075:13533613:-1 gene:DRNTG_05375 transcript:DRNTG_05375.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATACPSASFSSARIPFSSRRSAAIYRPCPSRRRRPSLMACAADTNLAVLEAAKHAVDRYVRNGMVIGLGSGCASCLAIQYLGGRLRDGALQDIVGIPM >DRNTG_05375.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13529912:13533565:-1 gene:DRNTG_05375 transcript:DRNTG_05375.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACAADTNLAVLEAAKHAVDRYVRNGMVIGLGSGCASCLAIQYLGGRLRDGALQDIVGIPMSVSSATEAAKAGIPLELCQESLQIDFAFDDADVIEEGSLTAVIGRRKLEGGDSIIQEKSILKAAGRVAFITDEKQYGRDRDGSIPVLISPDNWMETAELIDDLFLGDAEVWRRPTSGHAGPMGGDFPLVTEDGHHILDVIFTSPIHNLAEVAESLNQVDGVVDHGVICGISCIAIIASENGVLVVDNSSR >DRNTG_17220.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2742953:2744387:-1 gene:DRNTG_17220 transcript:DRNTG_17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTCSFQCKEAAKCELVGFESLPTFLKDNEFILNYYRSQWPWKQTILSIFSIHNETLNIWTHLIGFIIFLTLTLCTMHAFAPLTFSQSSSDKVMILNTTSATIFRAQVPANQTNIINLLSIMKDDDVLESMITRWPFYAYLFGAMFCLLTSSVCHLLSCHSEHCAYTMLRLDYTGISTLIVTSFYPLVYYTFMCDPFVRNLYISFITIFGVGAIFASLVPVFQTPEFRSVRALLFFCMGVSGIVPIIHKLMVFSEEPVAVMTAVYELAMGGFYGLGVVVYATRVPERWMPGKFDLVGHSHQLFHVLVIAGAYTHYLASVMYLNWREMDQCY >DRNTG_18849.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2547840:2551858:-1 gene:DRNTG_18849 transcript:DRNTG_18849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKKIENPTNRQVTYSKRRQGIMKKAKELTVLCDAEVSIVMFSSTGKFAEYCSPSSDTKSMFDQYQQVTGIDLWHSQYERMQSNLNHLKEINHNLRREIRQRMGEELDGLNIDELRGLEQNVDEALKLVRQRKYHVITTQTDTYKKKLKNSHEAHQHLLRELEMREGQQAYGFMDNDPNNYECAIDLAAAAAAAAATGSHVYAYRVQPNQPNLHGIMSYNSNDLRLA >DRNTG_35224.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14429072:14431103:-1 gene:DRNTG_35224 transcript:DRNTG_35224.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAAGYVIIDLVCMSPIVAIAVKKHVNLSYTSTV >DRNTG_03641.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000168.1:34548:37101:1 gene:DRNTG_03641 transcript:DRNTG_03641.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKDVVLPVLSLRTQGLTSLHTLFIEGCLDLKSFPEDLEPLLPYLKKMRICECPIWRGGYASLEEIITTISQPSHVE >DRNTG_03641.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000168.1:33197:37101:1 gene:DRNTG_03641 transcript:DRNTG_03641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLWPEVGHLTSLSRLEVSLCPKLVSLSDEMQALTSLQYLSIAYCPALKSFPEGLQQLLPTLKSLTIQGCPKLERLCKPGGAYYDLFSTILDKRIEEQPKQLDRLPRLHGGEDYDNHLSTISDEGIGAPPEQTVQVPHVIITGAKQALKCIATKCFLLLAVLICVIACFIFYELDSQYEQEFWYISPT >DRNTG_34315.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4362068:4364469:1 gene:DRNTG_34315 transcript:DRNTG_34315.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETCVGPSELHLKKELSALRKARFLRDPETCSSWRSPLSSRSLAASYVFTHGNGTRGSATGASNSSGFIGAPSRNNNKKKVYLYNWRHHSARSSDSGTKLDEDNRGKLLEDSPEDSVSNTLKLDSQSDTSLQAPANICSVSRGSHLETSVRRALRKSHRSSTIRKRTIKHSPITKHLDLPSDSLGIPNLVEQSDDTEYCNSEDLKQSKHVCTHRTGYVSHSASPLVSGSGRKNWSRSKIFRSSTREESSHSYTPASTSSYNRYGVRNPSTVGSWDGTSSFDEDELDHMELARRQGCGIPCYWPKRSKDVGCGGWYSPSLSDTLKRTGSSVLCGGQKLYNKRRFASSRKQKYMLKSSHGLPLLTNGSGRGRGRGSSSSDVESDELSTNLVELDLEALSRLDGKRWSSCKSQDGWELALPGGPDLEMHDHRGLSHKYRPRSFDEIMGQNIVVQSLSNAIFRARIAPAYIFHGPRGTGKTSTARIFSAALNCMSTEDKKPCGFCKECNDFSSGRSNVIETGRHQ >DRNTG_34315.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4359788:4368379:1 gene:DRNTG_34315 transcript:DRNTG_34315.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVRYMLKNISATTKFSRYKVFIIDECHMLSSKLWSLFMKFLEVPPPRVVFIYITNDPDNLPRSILSRCQKYLFPKIKDADIICRLQKLSAEENLEVDVDALNLIASNSDGSLRDAETMLDQLSLLGKKITIALVNDLVGVVSEEKLLDLLEIAMSSDTAETVKRSRELMDSGVDPMALMSQLAALIMDIIAGTYQLANSQNRALVLGGRSLTEAELEKLQQALKILSDAEKQLRLSSERSTWFTAALLQLGSGHAPETAQSSNSSKHSVKLIGKDPFDPVKEILLEESSSHPQSVSREQVPPLVPRVTSSHGRPISQHVFANQQPVDRSLLDTSYVDANSSTELRYATSEEMAEIWRRCIGRCYSKTLRQLLYAHGKLVSITESKGILVAFIGFVDDDVKSRAERFLSSITNSFEIVLRQNVEVKMGLMPENYAKGKSVSAYQADMLIENARLLVKKKMDNSDELCDSSEKEFEEGTPNLPRKGMDSLEDMIPRTLQKHDKSLVANAYFQGATGHIYSSQKNKNDDEELSVQRVLAATAEEQRLESAWLTSGALSHSRPEKNQVLPQNMSTYNYNGSSMGPMSSKHWEDELNQQIKSLKISDSSTSLQ >DRNTG_10752.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26071606:26073619:1 gene:DRNTG_10752 transcript:DRNTG_10752.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVFQVGANGVGLLDPGSDTNNVDKGAQVDLPFWLAHELYLRQAVSINVPAFFNQKTRNEIQADAACVDLRIRCPYFYELGCKTVPLIADKSIGPFLLHSFSSRYKEVLSRSHSTPSTAASKFLPRLTKEETQLFEAAQSSMASFKKWRVGGSRLEKASILGRKRKTTLPIDPPSP >DRNTG_10752.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26071606:26073619:1 gene:DRNTG_10752 transcript:DRNTG_10752.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYYNINDILMEEEPMSVVFQVGANGVGLLDPGSDTNNVDKGAQVDLPFWLAHELYLRQAVSINVPAFFNQKTRNEIQADAACVDLRIRCPYFYELGCKTVPLIADKSIGPFLLHSFSSRYKEVLSRSHSTPSTAASKFLPRLTKEETQLFEAAQSSMASFKKWRVGGSRLEKASILGRKRKTTLPIDPPSP >DRNTG_10752.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26071606:26073619:1 gene:DRNTG_10752 transcript:DRNTG_10752.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNCSIDCGQKHRPIPPALIQQQVQGGAE >DRNTG_12142.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11677628:11679165:-1 gene:DRNTG_12142 transcript:DRNTG_12142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKGEKGVILLDFWTSPFSQRLKIAMDEKNVEFECIEEDIPNNKSQLLLKSNPVYKKIPVLIHDGKPICESMVILRYIDEVWPHQAPLLPADPYSRAIASFWADFIDKKVYDLGTKIWMRSGEVHETAKKELLEVMKTLEGELGEKEFFGGDVFGFVDIALVSFYGWFPAYEIFAKFSFEEECPKIMAWAKRCVQRESVCKALPEPKKLYEYLLDLKKTYNLY >DRNTG_33710.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1177508:1180730:1 gene:DRNTG_33710 transcript:DRNTG_33710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase beta chain [Source:Projected from Arabidopsis thaliana (AT5G38530) UniProtKB/TrEMBL;Acc:Q9FFW8] MAQALASSPNKPCSKNGFTLKRSLQTHQKYSLSCRLHSGRSSNALTADNITKQWYNLVADLPIKPPPALHPKTHKPLEPQDLSAIFPDELIMQELSNERYIDIPDEVIDIYGLWRPTPLIRAKRLEKLLGTPARIYYKYEGTSPAGSHKPNTAVPQAWYNAQQGVKKVVTETGAGQWGSSLAFACSLFGLECEVWQVRASYDQKPYRKLMMQTWGAKVHPSPSNVTNAGKKILHDNPSSPGSLGIAISEAVEIAVTNADTKYCLGSVLNHVLLHQTIIGEECLKQMEEIGEMPDIIIGCTGGGSNFGGLTFPFIREKLNGKLNPVIRAVEPVACPSLTKGVYAYDYGDTVGMTPLMKMHTLGHDFVPDPIHAGGLRYHGMAPLISHVYELGLMEAIAIPQTECFQAALQFARTEGLIPAPEPTHAIAAVIQEAMRCKETGEKKVILMAMCGHGHFDLAAYDKYLQGEMVDLSYSAEKLKASMANIPVLVP >DRNTG_18487.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29801818:29803770:1 gene:DRNTG_18487 transcript:DRNTG_18487.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSKYSHSSAHLAVARRDHVALRRIVSALPRLPKAGDVTTEEESIAAERTADEVSKVIDRRDVPGRETPLHLSVRLRDPISAEILMSAGADWSLQNEHGWSALQEAVCTREDTIAMIIARHYQPLAWAKWCRRLPRILSSISRIRDFYMEITFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGFRIQRSDQTFLFLGEGVEGNPSLAPGSLIVLAHKEKEITNALEGAGSKPTEAEVAHEVALMSQTNMYRPGIDVTQAELVSHLNWRRQERTEMVGSWKAKVYDMLHVMVSVKSRRVPGAMTDEELFAAEHDEHVANGGEHEEELDDVLTAEERMQLDSALRMGNSEGFDEDEGNGTIEYVDNPEPNGVTKEKKGWFGWSNKRTSKSSGVEDSEDPRILKKFSKLAPENGNQKSEHFKEEMDVRKGKEKSSVKKKKSVVAGDSNKHESEYKKGLRPVLWLTPDFPLQTDELLPLLDILANKVKAVRRLRELLTTKMPQGT >DRNTG_13208.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2581526:2582526:-1 gene:DRNTG_13208 transcript:DRNTG_13208.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGWDEERALIPGLPDDIALDCIARVPHRFHPVICAVSRRWRDLVTASAFRLHRHRIGVAEDLILLIQAQPGGLSGAGRSKDEFRPPPCRLVAYNASMGEWRRAVGAVPVFARVTVVKGRVVVIGGWDPETLKPVGEVRVVDPVTGGWRPGKAMREARSFFGCGVAGGRVYVAGGHDSQKNALKTAEAYDVEDDEWVELPEMGEERDECQGLGVGGRFWAVSGYGTEGQGRFDPAAECYDPATGRWTKIEGVCEEIGGGDGPGSGIYAAAGERVRYVDGRGVREYGRGWREVGKGPEGMRAVAVAVEIGEETVF >DRNTG_01062.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:3760836:3772974:-1 gene:DRNTG_01062 transcript:DRNTG_01062.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGGVCRMECLHCTCLWKLCCLVGQMVQQIVNEQFGKCLLELSGNNAIIVMDDANIQLSLLHESIYQTVFDQLIGVYKQVKIGDPLEKKGGKILIGGSVKEGESNFVQPTIVEISSDAPVVMEELFAPVLYVMKFKTLKEAIEINNSIPQGLSCFIFTRKPEIIFKSIGPLGSDCTIVNVNIPTNGAKIGGAFGGEKATGGGREAESDSWK >DRNTG_13395.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9219344:9220326:-1 gene:DRNTG_13395 transcript:DRNTG_13395.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISEAVFNGGRNVLGIILKSLMAREITSVTVGEVKPVVNMHHRKAEMTKHDDAFIALPEEGFINPIARHIIISASNAKELIEKLEEYSPHHKEVATKLNCEHEHLA >DRNTG_20686.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11799012:11802795:-1 gene:DRNTG_20686 transcript:DRNTG_20686.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLSSVYFSPVVNPEYFKKYYFDVVGPENAAKYKTLIYLAGSFTSEAIADIALYPMEAVIVRVQTQTLVLLKMRTMMKFASFENIMELLYKYSITTTKEECSQHIQLRGVHFRESGGK >DRNTG_34403.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25967304:25968920:1 gene:DRNTG_34403 transcript:DRNTG_34403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQNFIFILSLLFLPSLSMPSCNTFSLSSNRLFDFCRDLPSLSSSLHWTYNAANSTLSIAFTATPASPNGWISWGINPTSTGMRGTQALLAFHRPDGSLTVETFNIAAYYPVLPLPIMFETWGLEAEYVGGEMQMFATVKLLQGMTELNQVWQVGTSVTSGVPDKHEFGPQNLNSMAKLDVVTALVSANADSTLSEKNIHGVLNAVSWGILLPIGAMIARFLKAFRPKDTSWFHLHVSCQLIGYAVGVTGWATGLSLGSKSKRVEYTTHRNLGITLFSLATLQVFGLFVRPRKEHKIRSCWNVYHHGVGYAVIIMGIINVFEGISILQPDKKWVHVYIIVICGLGLIALFLEITSWIVAGRRI >DRNTG_12930.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1552883:1557523:-1 gene:DRNTG_12930 transcript:DRNTG_12930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGANSIVDGVRRLFKRRHNPTSSSSQSQCSDLKLDKDEQDHSGLRRLKVPRRVSMAAVDPHKKSMLDKDFFTEYGEASQYQIQEVIGKGSYGVVGAAIDTHTGERVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHHQFFLYQLLRALKYIHTANVFHRDLKPKNILANADCKLKICDFGLARASFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLMTDLLGTPSAESIARIRNEKAKRYLSNMRKKPPVPFSQKFPHADPLALCLLERLLAFDPKDRPTAEEALADPYFYGLANVEREPSTQPISKLEFEFERRKLTKDDVRELIYREILEYHPQMLQEYLQGTEHISFVYPSGVDRFKRRFAQLEEHHGKGERSSPLQRQHASLPRERVCAYKDSDIEEQTVALVARASLESPPRSQKSEDSEHDEAIENGQYMSNYNTRGLLKSASISASKCVTVNQRKQMEDEVISELTDEEGS >DRNTG_12930.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1552883:1557795:-1 gene:DRNTG_12930 transcript:DRNTG_12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKDFFTEYGEASQYQIQEVIGKGSYGVVGAAIDTHTGERVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHHQFFLYQLLRALKYIHTANVFHRDLKPKNILANADCKLKICDFGLARASFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLMTDLLGTPSAESIARIRNEKAKRYLSNMRKKPPVPFSQKFPHADPLALCLLERLLAFDPKDRPTAEEALADPYFYGLANVEREPSTQPISKLEFEFERRKLTKDDVRELIYREILEYHPQMLQEYLQGTEHISFVYPRLALSKPIPYTIFVCILFS >DRNTG_07822.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:9905356:9906473:-1 gene:DRNTG_07822 transcript:DRNTG_07822.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVLLASESSPGNESSSLGSSSCKSDPSPSSSHFPPDLLRNTATTGATSAHRHLSLPSLPS >DRNTG_30380.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001567.1:44788:45014:1 gene:DRNTG_30380 transcript:DRNTG_30380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIPSFKRPRFSSSSSSSSCCYNLGELDWLEVGE >DRNTG_30380.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001567.1:44788:48518:1 gene:DRNTG_30380 transcript:DRNTG_30380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIPSFKRPRFSSSSSSSSCCYNLGELDWLEVGE >DRNTG_29469.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:839586:844334:1 gene:DRNTG_29469 transcript:DRNTG_29469.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 5 [Source:Projected from Arabidopsis thaliana (AT5G01510) UniProtKB/Swiss-Prot;Acc:B6IDH3] MLLLFLSMPRPPPSFSSSSPVPIPTCGRRNLVACQEHEHGCSEDESESAVSTKPGALLLVERYQDGTAKRYFLSDNESKLHCVSEGHGFFAKSAMTTLPQHSPRLAWLPSSIKNFALPAGFPESVSDDYLDYMLLQFPTNVTGWVCHTLVTSSLLKAVGVGSFAGAGAAASAAAIKLSQGD >DRNTG_29469.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:839586:844334:1 gene:DRNTG_29469 transcript:DRNTG_29469.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 5 [Source:Projected from Arabidopsis thaliana (AT5G01510) UniProtKB/Swiss-Prot;Acc:B6IDH3] MLLLFLSMPRPPPSFSSSSPVPIPTCGRRNLVACQEHEHGCSEDESESAVSTKPGALLLVERYQDGTAKRYFLSDNESKLHCVSEGHGFFAKSAMTTLPQHSPRLAWLPSSIKNFALPAGFPESVSDDYLDYMLLQFPTNVTGWVCHTLVTSSLLKAVGVGSFAGAGAAASAAAIKWVLKDGLGAVGRLFIGGRFGNLFDDDPKQWRMYADFIGSAGSIFELCTQLYPEYFLLLASLGNLTKAVARGLKDPAFRVIQSHFAISSNLGDVVAKEEVWEVTAQLVGLALGILVMDTPGLQTSYSMLALTWLIVRLLHLWLRFQSLSVLKFHTVRVLVDSFIYLFIFIFMFFLFIFIFIFILYYYYIIIIIIYFIFFCVVGYIFCSPFTYVPGYAVYNPSYCVSVSIPDQAACVCKVVKDSIH >DRNTG_29469.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:839586:844334:1 gene:DRNTG_29469 transcript:DRNTG_29469.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 5 [Source:Projected from Arabidopsis thaliana (AT5G01510) UniProtKB/Swiss-Prot;Acc:B6IDH3] MLLLFLSMPRPPPSFSSSSPVPIPTCGRRNLVACQEHEHGCSEDESESAVSTKPGALLLVERYQDGTAKRYFLSDNESKLHCVSEGHGFFAKSAMTTLPQHSPRLAWLPSSIKNFALPAGFPESVSDDYLDYMLLQFPTNVTGWVCHTLVTSSLLKAVGVGSFAGAGAAASAAAIKWVLKDGLGAVGRLFIGGRFGNLFDDDPKQWRMYADFIGSAGSIFELCTQLYPEYFLLLASLGNLTKAVARGLKDPAFRVIQSHFAISSNLGDVVAKEEVWEVTAQLVGLALGILVMDTPGLQTSYSMLALTWLIVRLLHLWLRFQSLSVLKFHTINLKRARILVKSHVLHCKVPGISDCNMEEDILLLGKFLRPQVTFCVSLEKMIGSKSVQMVRALLTLYSKEQYVLFVNKQEPRELQFSVTFKVGATGLSVLRSLWQVYWLHEHRERWLDNMNDIFSWLEESLVIMEDGFVDFLGHLEESGWNNNQINLRVPSMLLLDE >DRNTG_29469.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:839586:844334:1 gene:DRNTG_29469 transcript:DRNTG_29469.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 5 [Source:Projected from Arabidopsis thaliana (AT5G01510) UniProtKB/Swiss-Prot;Acc:B6IDH3] MYADFIGSAGSIFELCTQLYPEYFLLLASLGNLTKAVARGLKDPAFRVIQSHFAISSNLGDVVAKEEVWEVTAQLVGLALGILVMDTPGLQTSYSMLALTWLIVRLLHLWLRFQSLSVLKFHTINLKRARILVKSHVLHCKVPGISDCNMEEDILLLGKFLRPQVTFCVSLEKMIGSKSVQMVRALLTLYSKEQYVLFVNKQEPRELQFSVTFKVGATGLSVLRSLWQVYWLHEHRERWLDNMNDIFSWLEESLVIMEDGFVDFLGHLEESGWNNNQINLRVPSMLLLDE >DRNTG_29469.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:839586:844334:1 gene:DRNTG_29469 transcript:DRNTG_29469.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 5 [Source:Projected from Arabidopsis thaliana (AT5G01510) UniProtKB/Swiss-Prot;Acc:B6IDH3] MLLLFLSMPRPPPSFSSSSPVPIPTCGRRNLVACQEHEHGCSEDESESAVSTKPGALLLVERYQDGTAKRYFLSDNESKLHCVSEGHGFFAKSAMTTLPQHSPRLAWLPSSIKNFALPAGFPESVSDDYLDYMLLQFPTNVTGWVCHTLVTSSLLKAVGVGSFAGAGAAASAAAIKLSQGD >DRNTG_29469.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:839586:840788:1 gene:DRNTG_29469 transcript:DRNTG_29469.14 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 5 [Source:Projected from Arabidopsis thaliana (AT5G01510) UniProtKB/Swiss-Prot;Acc:B6IDH3] MLLLFLSMPRPPPSFSSSSPVPIPTCGRRNLVACQEHEHGCSEDESESAVSTKPGALLLVERYQDGTAKRYFLSDNESKLHCVSEGHGFFAKSAMTTLPQHSPRLAWLPSSIKNFALPAGFPESVSDDYLDYMLLQFPTNVTGWVCHTLVTSSLLKAVGVGSFAGAGAAASAAAIK >DRNTG_29469.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:839527:844334:1 gene:DRNTG_29469 transcript:DRNTG_29469.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 5 [Source:Projected from Arabidopsis thaliana (AT5G01510) UniProtKB/Swiss-Prot;Acc:B6IDH3] MGFEGWPRSCWAPFHWFGSWMINGKTNIFELCTQLYPEYFLLLASLGNLTKAVARGLKDPAFRVIQSHFAISSNLGDVVAKEEVWEVTAQLVGLALGILVMDTPGLQTSYSMLALTWLIVRLLHLWLRFQSLSVLKFHTINLKRARILVKSHVLHCKVPGISDCNMEEDILLLGKFLRPQVTFCVSLEKMIGSKSVQMVRALLTLYSKEQYVLFVNKQEPRELQFSVTFKVGATGLSVLRSLWQVYWLHEHRERWLDNMNDIFSWLEESLVIMEDGFVDFLGHLEESGWNNNQINLRVPSMLLLDE >DRNTG_29469.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:840936:844334:1 gene:DRNTG_29469 transcript:DRNTG_29469.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 5 [Source:Projected from Arabidopsis thaliana (AT5G01510) UniProtKB/Swiss-Prot;Acc:B6IDH3] LLCSIFELCTQLYPEYFLLLASLGNLTKAVARGLKDPAFRVIQSHFAISSNLGDVVAKEEVWEVTAQLVGLALGILVMDTPGLQTSYSMLALTWLIVRLLHLWLRFQSLSVLKFHTINLKRARILVKSHVLHCKVPGISDCNMEEDILLLGKFLRPQVTFCVSLEKMIGSKSVQMVMFSKFYFNQSYLDQPSKRTRS >DRNTG_29469.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:839586:844334:1 gene:DRNTG_29469 transcript:DRNTG_29469.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 5 [Source:Projected from Arabidopsis thaliana (AT5G01510) UniProtKB/Swiss-Prot;Acc:B6IDH3] MLLLFLSMPRPPPSFSSSSPVPIPTCGRRNLVACQEHEHGCSEDESESAVSTKPGALLLVERYQDGTAKRYFLSDNESKLHCVSEGHGFFAKSAMTTLPQHSPRLAWLPSSIKNFALPAGFPESVSDDYLDYMLLQFPTNVTGWVCHTLVTSSLLKAVGVGSFAGAGAAASAAAIKLSQGD >DRNTG_29469.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:839586:844334:1 gene:DRNTG_29469 transcript:DRNTG_29469.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 5 [Source:Projected from Arabidopsis thaliana (AT5G01510) UniProtKB/Swiss-Prot;Acc:B6IDH3] MLLLFLSMPRPPPSFSSSSPVPIPTCGRRNLVACQEHEHGCSEDESESAVSTKPGALLLVERYQDGTAKRYFLSDNESKLHCVSEGHGFFAKSAMTTLPQHSPRLAWLPSSIKNFALPAGFPESVSDDYLDYMLLQFPTNVTGWVCHTLVTSSLLKAVGVGSFAGAGAAASAAAIKWVLKDGLGAVGRLFIGLEVG >DRNTG_21545.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:277364:278566:1 gene:DRNTG_21545 transcript:DRNTG_21545.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSSSFSTSLSAFSPTPSIPNAFSGIETHPIIDALYFCVVTLCTIGYGDIAPLTPITKLLSCVFVLIGFGFIEVLLSGAVNRFLDHQETQFLTSIIRHGTGPSNYIFDAEKGRMRIRMKVLLAISVVILCIAIGASALSFLEGLDFMDSLYLSVMSVTTVGYGDHAFKTMKGRVFACLWLLISTLAVAKSFIYLAEARINKRHKRIAKWSLHRNLTVEDLLAADLNHNGFISKSEYAVFKLREMGIVKENDVLQICDQFNKLDCNNTGKITLIDLLNYRKLQV >DRNTG_32700.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:31036634:31037100:1 gene:DRNTG_32700 transcript:DRNTG_32700.1 gene_biotype:protein_coding transcript_biotype:protein_coding DGEYLFERKLLREELSLLSSRNENLNLTSVRIHGSSCQSQMTFVTTRGWLVITKF >DRNTG_04009.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29195544:29197778:-1 gene:DRNTG_04009 transcript:DRNTG_04009.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCHNLRSGMILLKNHLSHEDQVKIIRTCQKLGIGIGGFYQPSYSDGAKLHLQMMCLGKNWDPEAKYVDIRPVDGAKPPEIPEVFQKLVKGAIQASHDFLLHQNKHINAEDELPNMSPDLCIINFYNENGRLGLHQ >DRNTG_04009.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29194781:29197778:-1 gene:DRNTG_04009 transcript:DRNTG_04009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCHNLRSGMILLKNHLSHEDQVKIIRTCQKLGIGIGGFYQPSYSDGAKLHLQMMCLGKNWDPEAKYVDIRPVDGAKPPEIPEVFQKLVKGAIQASHDFLLHQNKHINAEDELPNMSPDLCIINFYNENGRLGLHQDRDESPQSIAKGLPVVSFSVGNSAEFLYGVERDVDKAEKVVLESGDVLIFGGKSRMIFHGVSTIQANTTPKLLIEETNLRPGRLNLTFRQY >DRNTG_04009.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29194587:29195888:-1 gene:DRNTG_04009 transcript:DRNTG_04009.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMCLGKNWDPEAKYVDIRPVDGAKPPEIPEVFQKLVKGAIQASHDFLLHQNKHINAEDELPNMSPDLCIINFYNENGRLGLHQDRDESPQSIAKGLPVVSFSVGNSAEFLYGVERDVDKAEKVVLESGDVLIFGGKSRMIFHGVSTIQANTTPKLLIEETNLRPGRLNLTFRQY >DRNTG_12157.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12095686:12103944:1 gene:DRNTG_12157 transcript:DRNTG_12157.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKELSEKLKKIPALSKISSANSANNSQGSSCKDHFKIPSAIGTQVSTAPASALPNTQNLTAVAKPSVNAPGVIPGLNAVHNLEAVKRAQELAARMGFHQDPEFAPLINMFPGPSAIDVITPQRPSKTPVLRLDAQGREIDEHGNVVNMPKLTNLSTLKVNINKQKKEAFQILRPEFDASTMSNPHFDSKMGMNMTKLIRPKRATFQFVEEGKWSKQAEMIKFKSQFGEAQAKELKIKQSQLAKAKAEPDINPNLIQVSDRTVKERKEQEVIPEIEWWDGPLLPSKTYDDLSNDKLNMEKITIYVEHPRPIEPPAELPPPPPQPLKLTYKELRKLRTQRRLAKERDKQEMIRQGLLEPPKPKIKMSNLMKVLGSEATQDPTKLEMEIRTAAAEREQAHVDRNTARKLLPGERREKKERKLFEDPNTLETLVSIYKINDLSHSQTRFKVEVNANQNKLTGCAVITDGMSILVVEGGKKPIKRYGKLMLKRINWAAAVGDEEGTDDHDRPINGCVLVWQGSVAKPSFRKFSLHQCRSEAAGRKIFADAGVPHYWDLTVNFSDDLL >DRNTG_12157.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12095686:12103944:1 gene:DRNTG_12157 transcript:DRNTG_12157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVSERDRSTRKSRDDHDRDRDHQPRHHQHTEKHADERRHRSDGDRRHRSRGDSPEDSEKRRERSVDRGRDSVERARVSSRDDSVHHRNDGDRRHRSRRDSPDGVEKRREQSIDRVSREDSKKFRERSSERGSLPREEFVERRQSSSRKRKDQNDEPLEESEKSGKRVRVSEGTREERRERRRFEDQVLEDAGELNGVHKEKRKEKTDDVVDVKEDSKRSRVKEEDLIDTGEKKARRRERKFSDSVNVEGNKDGKKDLKEEQISKHAVEEVELGSSEDAKPGVSGENGARNIDDDKSGSMVNVDSLGPLNVGPKVSVISSASHHPLPTKVSSITTTNENEGVSVTRSDEVPGKSSTDGTTASVAGRSGTLSLDALAKAKKALQMQKELSEKLKKIPALSKISSANSANNSQGSSCKDHFKIPSAIGTQVSTAPASALPNTQNLTAVAKPSVNAPGVIPGLNAVHNLEAVKRAQELAARMGFHQDPEFAPLINMFPGPSAIDVITPQRPSKTPVLRLDAQGREIDEHGNVVNMPKLTNLSTLKVNINKQKKEAFQILRPEFDASTMSNPHFDSKMGMNMTKLIRPKRATFQFVEEGKWSKQAEMIKFKSQFGEAQAKELKIKQSQLAKAKAEPDINPNLIQVSDRTVKERKEQEVIPEIEWWDGPLLPSKTYDDLSNDKLNMEKITIYVEHPRPIEPPAELPPPPPQPLKLTYKELRKLRTQRRLAKERDKQEMIRQGLLEPPKPKIKMSNLMKVLGSEATQDPTKLEMEIRTAAAEREQAHVDRNTARKLLPGERREKKERKLFEDPNTLETLVSIYKINDLSHSQTRFKVEVNANQNKLTGCAVITDGMSILVVEGGKKPIKRYGKLMLKRINWAAAVGDEEGTDDHDRPINGCVLVWQGSVAKPSFRKFSLHQCRSEAAGRKIFADAGVPHYWDLTVNFSDDLL >DRNTG_00296.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2046900:2049835:1 gene:DRNTG_00296 transcript:DRNTG_00296.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G01140) UniProtKB/Swiss-Prot;Acc:Q9ZU52] MASMKLSAPPATSQWIGGGRSTFERRVSLLPMVRRGAVAPIRAGAYTDELVQTAKSIASPGRGILAIDESNATCGKRLASIGLDNTETNRQAYRQLLLTTPGLGDYISGAILFEETLYQSTIGGKKFVDCLRDENIMPGIKVDKGLVPLPGSNNESWCQGLDGLASRCAEYYKQGARFAKWRTVVSIPCGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGDHAIERTLEVAEKVWSEVFFYLAENNVMFEGILLKPSMVTPGAEHKAKASPETIAKHTLTMLKRRVPPAVPGIMFLSGGQSEMEATLNLNAMNQSPNPWHVSFSYARALQNSVLKTWKGLPENIDAAQKALLVRAKANSLAQLGRYSADGEAEEAKKGMFQKGYTY >DRNTG_03053.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18594980:18597858:1 gene:DRNTG_03053 transcript:DRNTG_03053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMDFRPILANMATGDATSHPSQEFAQPNWTRQRKGKEN >DRNTG_14366.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:348970:353089:-1 gene:DRNTG_14366 transcript:DRNTG_14366.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDLAVLLSGVSSGMANEKQKQNIAFRNQGQINNPDYVVPDGAIVTIKDLHQHLYFAVEAVGRKYRLVGTLHYSLVGERALFRVSYYKRWGSRASSFSLLSLYAKNDEGEPLRLNFCPGSDFVEISSSDDKSWAQWQFYPYMSDNYEDDNVVKSYGISVKNALYLVNKKNNSAVAFVDGRPEFVKKPGNPLKAKVIKRTSQTIDIEKQDVSNKFSSRTSETNVQGGSFSGSGEESVLENNPPHINITIDSISLTILHEVSDKNHKMPLLQSCINDMNVIGQVLPSKFRIISLLNFATQYFDAQKDLWRELISPIHMCLLYHSRFTPERSLHQKVPVHFYFRMKQVAIHLTTLSLDILLYIAGKLNLAGPYAIRSSIIFGNCCKLENQSVLRLICHFADNQEAIIPGKQSSSVLLSYIASSGQLSESQNLVSVSLVGDNAFTTSPITLSLSKPAFLAWRTRILSHHDAGKFPGPFIVLEVSKNTE >DRNTG_14366.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:337643:356696:-1 gene:DRNTG_14366 transcript:DRNTG_14366.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGSWFLKSNKVNIKCLGEKKTSEALLNLDILSGFTELSLEGLDAGNNNHILKLGVSLHPKVDKAGLPSQLVCIAPRYLISNESKEPVMVRQCHLEDELSGVVLVQSKHKATFWMKKIYSERRKINFFQSILEKHKSKDGDSLIFVQFSLKEIEYGWSGPICVSSLGRFFLKFRRTSAGLGTQSDSSAWKDNQKVQFAAVHIVEESSSLYLHFYRPPNFPLPYRIENCMSGTSIMYHQKDFMESDLLLSGDSVEYAWDDLNLPHKLVVQIVDMNVSREINIDKVCTWKPFFKIMQNKGPVIPLVSEKRSEVGKRTIDGTRGLELFMVGYEVFTDGFTRVLRICERPDGYKKEKLLLPSSNMQFRVSYFAIHLLENGRQDARGTELPDRSTLILLRFENIALDSLIADDYKYNHLRVQVISVDEKWEGAPFASMIRGSHSDPGLNEDILRVVFVLQSTNSKVKQVKYSSIVLQPIDLNVDEETLMRLVPFWRTSVSDPTTRSQQFYFKHFEIHPIMIKASFLPGNPDLNYSSTQETLRSFLHSVVKVPTIKNTVVQLNGILLTHALVTSRELLIKCAKHYSWYVIRGVYMAKGSQLLPPAFASIFDDTASSSLDVFFDPSDGSINLPGITLGMFKFISMYAKAKGLSGTKRYFGDLGKTVHFII >DRNTG_14366.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:337643:348880:-1 gene:DRNTG_14366 transcript:DRNTG_14366.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTGDTIDDCMASFDALKLSGGSKRALMSLTLGNFLLSIRPDVTEYLKEDMTSVSTSWSEELTGEKSVRVSGILDKLNYKLRKTFGIEATKSFFSIVSCPLKVEGQHVSNVHFLIETLRREVPVIQPENSVNKSETIVSPVALQMQKEIFIYPTIQVYNFLQSDIQVLMTENQPDIDMASAFSNFGKQAIIPCGSNAYFYANPDAVYFTVTLIEHCSKCRPVNSGDCVKKLHKQKNEAQFIDIELDFGGGKYFASLRLSCGERGILEATIFSCYVLQNNTDLPLFCYASSQKFLPWTEIEAKKDSSNFPPHLGCLLQPMSCGSWFLKSNKVNIKCLGEKKTSEALLNLDILSGFTELSLEGLDAGNNNHILKLGVSLHPKVDKAGLPSQLVCIAPRYLISNESKEPVMVRQCHLEDELSGVVLVQSKHKATFWMKKIYSERRKINFFQSILEKHKSKDGDSLIFVQFSLKEIEYGWSGPICVSSLGRFFLKFRRTSAGLGTQSDSSAWKDNQKVQFAAVHIVEESSSLYLHFYRPPNFPLPYRIENCMSGTSIMYHQKDFMESDLLLSGDSVEYAWDDLNLPHKLVVQIVDMNVSREINIDKVCTWKPFFKIMQNKGPVIPLVSEKRSEVGKRTIDGTRGLELFMVGYEVFTDGFTRVLRICERPDGYKKEKLLLPSSNMQFRVSYFAIHLLENGRQDARGTELPDRSTLILLRFENIALDSLIADDYKYNHLRVQVISVDEKWEGAPFASMIRGSHSDPGLNEDILRVVFVLQSTNSKVKQVKYSSIVLQPIDLNVDEETLMRLVPFWRTSVSDPTTRSQQFYFKHFEIHPIMIKASFLPGNPDLNYSSTQETLRSFLHSVVKVPTIKNTVVQLNGILLTHALVTSRELLIKCAKHYSWYVIRGVYMAKGSQLLPPAFASIFDDTASSSLDVFFDPSDGSINLPGITLGMFKFISMYAKAKGLSGTKRYFGDLGKTMKMAGSNALFAALTEISDNVLSGAETNGFNGMVTGFHQGILRLAMEPTLLGAAIMEGGPDRKIELVRSPGVDEQYIEGYLQAMLDTRYQLEFLRVRVVDDLVYLKNLPPNTSVINEIVENVKSFLVSKNLLEGDGSTTSHPFRHLRAEHDWRLGPAVLTLCEHLFVHYAIHILHQEASKFLVGIRSKTKKDGDEGESPEAGPEKHTRKQAVVKFVLSGIVAYMDGRLCRHIPNPIARRIVSGFLLSFLDQKSSK >DRNTG_14366.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:337643:349415:-1 gene:DRNTG_14366 transcript:DRNTG_14366.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTGDTIDDCMASFDALKLSGGSKRALMSLTLGNFLLSIRPDVTEYLKEDMTSVSTSWSEELTGEKSVRVSGILDKLNYKLRKTFGIEATKSFFSIVSCPLKVEGQHVSNVHFLIETLRREVPVIQPENSVNKSETIVSPVALQMQKEIFIYPTIQVYNFLQSDIQVLMTENQPDIDMASAFSNFGKQAIIPCGSNAYFYANPDAVYFTVTLIEHCSKCRPVNSGDCVKKLHKQKNEAQFIDIELDFGGGKYFASLRLSCGERGILEATIFSCYVLQNNTDLPLFCYASSQKFLPWTEIEAKKDSSNFPPHLGCLLQPMSCGSWFLKSNKVNIKCLGEKKTSEALLNLDILSGFTELSLEGLDAGNNNHILKLGVSLHPKVDKAGLPSQLVCIAPRYLISNESKEPVMVRQCHLEDELSGVVLVQSKHKATFWMKKIYSERRKINFFQSILEKHKSKDGDSLIFVQFSLKEIEYGWSGPICVSSLGRFFLKFRRTSAGLGTQSDSSAWKDNQKVQFAAVHIVEESSSLYLHFYRPPNFPLPYRIENCMSGTSIMYHQKDFMESDLLLSGDSVEYAWDDLNLPHKLVVQIVDMNVSREINIDKVCTWKPFFKIMQNKGPVIPLVSEKRSEVGKRTIDGTRGLELFMVGYEVFTDGFTRVLRICERPDGYKKEKLLLPSSNMQFRVSYFAIHLLENGRQDARGTELPDRSTLILLRFENIALDSLIADDYKYNHLRVQVISVDEKWEGAPFASMIRGSHSDPGLNEDILRVVFVLQSTNSKVKQVKYSSIVLQPIDLNVDEETLMRLVPFWRTSVSDPTTRSQQFYFKHFEIHPIMIKASFLPGNPDLNYSSTQETLRSFLHSVVKVPTIKNTVVQLNGILLTHALVTSRELLIKCAKHYSWYVIRGVYMAKGSQLLPPAFASIFDDTASSSLDVFFDPSDGSINLPGITLGMFKFISMYAKAKGLSGTKRYFGDLGKTMKMAGSNALFAALTEISDNVLSGAETNGFNGMVTGFHQGILRLAMEPTLLGAAIMEGGPDRKIELVRSPGVDEQYIEGYLQAMLDTRYQLEFLRVRVVDDLVYLKNLPPNTSVINEIVENVKSFLVSKNLLEGDGSTTSHPFRHLRAEHDWRLGPAVLTLCEHLFVHYAIHILHQEASKFLVGIRSKTKKDGDEGESPEAGPEKHTRKQAVVKFVLSGIVAYMDGRLCRHIPNPIARRIVSGFLLSFLDQKSSK >DRNTG_14366.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:337643:341240:-1 gene:DRNTG_14366 transcript:DRNTG_14366.11 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVPFWRTSVSDPTTRSQQFYFKHFEIHPIMIKASFLPGNPDLNYSSTQETLRSFLHSVVKVPTIKNTVVQLNGILLTHALVTSRELLIKCAKHYSWYVIRGVYMAKGSQLLPPAFASIFDDTASSSLDVFFDPSDGSINLPGITLGMFKFISMYAKAKGLSGTKRYFGDLGKTMKMAGSNALFAALTEISDNVLSGAETNGFNGMVTGFHQGILRLAMEPTLLGAAIMEGGPDRKIELVRSPGVDEQYIEGYLQAMLDTRYQLEFLRVRVVDDLVYLKNLPPNTSVINEIVENVKSFLVSKNLLEGDGSTTSHPFRHLRAEHDWRLGPAVLTLCEHLFVHYAIHILHQEASKFLVGIRSKTKKDGDEGESPEAGPEKHTRKQAVVKFVLSGIVAYMDGRLCRHIPNPIARRIVSGFLLSFLDQKSSK >DRNTG_14366.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:340901:361460:-1 gene:DRNTG_14366 transcript:DRNTG_14366.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTGDTIDDCMASFDALKLSGGSKRALMSLTLGNFLLSIRPDVTEYLKEDMTSVSTSWSEELTGEKSVRVSGILDKLNYKLRKTFGIEATKSFFSIVSCPLKVEGQHVSNVHFLIETLRREVPVIQPENSVNKSETIVSPVALQMQKEIFIYPTIQVYNFLQSDIQVLMTENQPDIDMASAFSNFGKQAIIPCGSNAYFYANPDAVYFTVTLIEHCSKCRPVNSGDCVKKLHKQKNEAQFIDIELDFGGGKYFASLRLSCGERGILEATIFSCYVLQNNTDLPLFCYASSQKFLPWTEIEAKKDSSNFPPHLGCLLQPMSCGSWFLKSNKVNIKCLGEKKTSEALLNLDILSGFTELSLEGLDAGNNNHILKLGVSLHPKVDKAGLPSQLVCIAPRYLISNESKEPVMVRQCHLEDELSGVVLVQSKHKATFWMKKIYSERRKINFFQSILEKHKSKDGDSLIFVQFSLKEIEYGWSGPICVSSLGRFFLKFRRTSAGLGTQSDSSAWKDNQKVQFAAVHIVEESSSLYLHFYRPPNFPLPYRIENCMSGTSIMYHQKDFMESDLLLSGDSVEYAWDDLNLPHKLVVQIVDMNVSREINIDKVCTWKPFFKIMQNKGPVIPLVSEKRSEVGKRTIDGTRGLELFMVGYEVFTDGFTRVLRICERPDGYKKEKLLLPSSNMQFRVSYFAIHLLENGRQDARGTELPDRSTLILLRFENIALDSLIADDYKYNHLRVQVISVDEKWEGAPFASMIRGSHSDPGLNEDILRVVFVLQSTNSKVKQVKYSSIVLQPIDLNVDEETLMRLVPFWRTSVSDPTTRSQQFYFKHFEIHPIMIKASFLPGNPDLNYSSTQETLRSFLHSVVK >DRNTG_14366.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:337643:362306:-1 gene:DRNTG_14366 transcript:DRNTG_14366.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLAASLRSKLVSWLRPWVEDEPEIDLQLGFLKSHGIAKNIVFKASALDSFLGDSARLVFRRVRVREFSVRVCPWSSPSIVVEVRGVDVTLALRETAEEERLIRKDLAFRERRHRERKEVIASIDPEGASLHEMIEKLILGMSSENGLMTMLSMVFLRSCQVLLEDIHLQLLLFDPHAGFLKVDKFTVEPHFSEKASLLRGFMHLLPFAKRENTMEINCHTLELGLKENAHPTCMASLGGLTTNIKLYKLQPAGYVVQAEHLDSKFSLIDILIIQIVLNLITPDEREDTRNGQDLWRIALHKAGCLTLGRFSLQKAVETVILQLRYVHAYESLLLLVGYSAGKMFKSNLAGAFSDRKKLNLVDHQWRAVCELEKRLPADAVGRARRIARKRTLLQLHAKNMESHARATTTFSHKVLLLAVLCWKAIIAVMQFVGRFVDMLSFSRKWCKTSRASSPFHPRISGDVDEELPFSLNLGKLNITLCPAFSGQSILNDKAGTGYKPDDKIFPSFCVLVNLLCIVGVSGSAGKSVFIAFEELKVSHSSSLIVSHTGSDLMHQRNQSPKKLPNAKSTKKVILWSDPAPMHHPGRSDIMNSSNYTSDGIYILKNNLRSLWSNWMKIHGEYEESGIMHVRQPFFLCEIKSFLVDSSCSMGDIGLLKCSLVLGKLNLELDHGFVFDATLLFRQVQHFVHRTDVIGIWSLSPSSSYADMTTEIRLEDSLEFYTNRMKVAIFGIIPNKNIQVGAHIAGTCIRMSSQRENFPITVDHVVNIDKGNGGYCVGVDVGDIDFVIWPTSKVILSKLMGKSGVAEAGADFIWLRELQLVETPKAHGDESFVSHGCIALNVCLKLYEITAFVSDLKQDQQSLSVGPMSITSHSSMRRDYICSLFTTENVLSLVVSGLTTGAAALICTNYLWEFIKVAENFLSLASRLHIDSNDFGILYAEDFLGKLISYSKKVKKKKIIQSRGLEKTMISKNTAQVQVDTIIEFESIDVIFIDSQRHVTGTQLTSDAASSSGMINHSMPITDMFDLPDYGLGVFIQKPCIKISWEEKFLRMVMDLSGVQSVIFRHQILKEFHTNVPHIKGSIYQSLNHFYEFSLSHFIFSLYAGSQGSVMPSSDACSSVDGSDLCSPRTLYTVEEPRLDEGPSHIFHRPNLKLETIELLAANNLMLYSGCGVLVDIRLGDMLMVEYSMKILQTKLDRAMKLKFSVRVSEEHTTVNCKIQGGYIFLETLALTTFVECLEAYSLLISTFSQWIFNVPQKSSRQAEKPVFQESLGGPTDHCDLVHATTPLYSTSQKGFLQSKLNFLEDLAVDISQFSFILAVQDGSGGFQTSSVEVGATFNLMNFGRKVLFDLYRLTIFTGYLYSDMLHGARDEPPALGGIHSEHATTSLGEILTEDDVFESSHSGNHSYILKHLAASALIENLDSGDRQDFLPATSYWAGKGSVSGFDMTITLSEIQMLLDLAVLLSGVSSGMANEKQKQNIAFRNQGQINNPDYVVPDGAIVTIKDLHQHLYFAVEAVGRKYRLVGTLHYSLVGERALFRVSYYKRWGSRASSFSLLSLYAKNDEGEPLRLNFCPGSDFVEISSSDDKSWAQWQFYPYMSDNYEDDNVVKSYGISVKNALYLVNKKNNSAVAFVDGRPEFVKKPGNPLKAKVIKRTSQTIDIEKQDVSNKFSSRTSETNVQGGSFSGSGEESVLENNPPHINITIDSISLTILHEVSDKNHKMPLLQSCINDMNVIGQVLPSKFRIISLLNFATQYFDAQKDLWRELISPIHMCLLYHSRFTPERSLHQKVPVHFYFRMKQVAIHLTTLSLDILLYIAGKLNLAGPYAIRSSIIFGNCCKLENQSVLRLICHFADNQEAIIPGKQSSSVLLSYIASSGQLSESQNLVSVSLVGDNAFTTSPITLSLSKPAFLAWRTRILSHHDAGKFPGPFIVLEVSKNTEEGLSLVVTPLLRIHNESGFPTELRFRRPQEDEAEAASVMLRTGDTIDDCMASFDALKLSGGSKRALMSLTLGNFLLSIRPDVTEYLKEDMTSVSTSWSEELTGEKSVRVSGILDKLNYKLRKTFGIEATKSFFSIVSCPLKVEGQHVSNVHFLIETLRREVPVIQPENSVNKSETIVSPVALQMQKEIFIYPTIQVYNFLQSDIQVLMTENQPDIDMASAFSNFGKQAIIPCGSNAYFYANPDAVYFTVTLIEHCSKCRPVNSGDCVKKLHKQKNEAQFIDIELDFGGGKYFASLRLSCGERGILEATIFSCYVLQNNTDLPLFCYASSQKFLPWTEIEAKKDSSNFPPHLGCLLQPMSCGSWFLKSNKVNIKCLGEKKTSEALLNLDILSGFTELSLEGLDAGNNNHILKLGVSLHPKVDKAGLPSQLVCIAPRYLISNESKEPVMVRQCHLEDELSGVVLVQSKHKATFWMKKIYSERRKINFFQSILEKHKSKDGDSLIFVQFSLKEIEYGWSGPICVSSLGRFFLKFRRTSAGLGTQSDSSAWKDNQKVQFAAVHIVEESSSLYLHFYRPPNFPLPYRIENCMSGTSIMYHQKDFMESDLLLSGDSVEYAWDDLNLPHKLVVQIVDMNVSREINIDKVCTWKPFFKIMQNKGPVIPLVSEKRSEVGKRTIDGTRGLELFMVGYEVFTDGFTRVLRICERPDGYKKEKLLLPSSNMQFRVSYFAIHLLENGRQDARGTELPDRSTLILLRFENIALDSLIADDYKYNHLRVQVISVDEKWEGAPFASMIRGSHSDPGLNEDILRVVFVLQSTNSKVKQVKYSSIVLQPIDLNVDEETLMRLVPFWRTSVSDPTTRSQQFYFKHFEIHPIMIKASFLPGNPDLNYSSTQETLRSFLHSVVKVPTIKNTVVQLNGILLTHALVTSRELLIKCAKHYSWYVIRGVYMAKGSQLLPPAFASIFDDTASSSLDVFFDPSDGSINLPGITLGMFKFISMYAKAKGLSGTKRYFGDLGKTMKMAGSNALFAALTEISDNVLSGAETNGFNGMVTGFHQGILRLAMEPTLLGAAIMEGGPDRKIELVRSPGVDEQYIEGYLQAMLDTRYQLEFLRVRVVDDLVYLKNLPPNTSVINEIVENVKSFLVSKNLLEGDGSTTSHPFRHLRAEHDWRLGPAVLTLCEHLFVHYAIHILHQEASKFLVGIRSKTKKDGDEGESPEAGPEKHTRKQAVVKFVLSGIVAYMDGRLCRHIPNPIARRIVSGFLLSFLDQKSSK >DRNTG_14366.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:337643:350349:-1 gene:DRNTG_14366 transcript:DRNTG_14366.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTGDTIDDCMASFDALKLSGGSKRALMSLTLGNFLLSIRPDVTEYLKEDMTSVSTSWSEELTGEKSVRVSGILDKLNYKLRKTFGIEATKSFFSIVSCPLKVEGQHVSNVHFLIETLRREVPVIQPENSVNKSETIVSPVALQMQKEIFIYPTIQVYNFLQSDIQVLMTENQPDIDMASAFSNFGKQAIIPCGSNAYFYANPDAVYFTVTLIEHCSKCRPVNSGDCVKKLHKQKNEAQFIDIELDFGGGKYFASLRLSCGERGILEATIFSCYVLQNNTDLPLFCYASSQKFLPWTEIEAKKDSSNFPPHLGCLLQPMSCGSWFLKSNKVNIKCLGEKKTSEALLNLDILSGFTELSLEGLDAGNNNHILKLGVSLHPKVDKAGLPSQLVCIAPRYLISNESKEPVMVRQCHLEDELSGVVLVQSKHKATFWMKKIYSERRKINFFQSILEKHKSKDGDSLIFVQFSLKEIEYGWSGPICVSSLGRFFLKFRRTSAGLGTQSDSSAWKDNQKVQFAAVHIVEESSSLYLHFYRPPNFPLPYRIENCMSGTSIMYHQKDFMESDLLLSGDSVEYAWDDLNLPHKLVVQIVDMNVSREINIDKVCTWKPFFKIMQNKGPVIPLVSEKRSEVGKRTIDGTRGLELFMVGYEVFTDGFTRVLRICERPDGYKKEKLLLPSSNMQFRVSYFAIHLLENGRQDARGTELPDRSTLILLRFENIALDSLIADDYKYNHLRVQVISVDEKWEGAPFASMIRGSHSDPGLNEDILRVVFVLQSTNSKVKQVKYSSIVLQPIDLNVDEETLMRLVPFWRTSVSDPTTRSQQFYFKHFEIHPIMIKASFLPGNPDLNYSSTQETLRSFLHSVVKVPTIKNTVVQLNGILLTHALVTSRELLIKCAKHYSWYVIRGVYMAKGSQLLPPAFASIFDDTASSSLDVFFDPSDGSINLPGITLGMFKFISMYAKAKGLSGTKRYFGDLGKTMKMAGSNALFAALTEISDNVLSGAETNGFNGMVTGFHQGILRLAMEPTLLGAAIMEGGPDRKIELVRSPGVDEQYIEGYLQAMLDTRYQLEFLRVRVVDDLVYLKNLPPNTSVINEIVENVKSFLVSKNLLEGDGSTTSHPFRHLRAEHDWRLGPAVLTLCEHLFVHYAIHILHQEASKFLVGIRSKTKKDGDEGESPEAGPEKHTRKQAVVKFVLSGIVAYMDGRLCRHIPNPIARRIVSGFLLSFLDQKSSK >DRNTG_14366.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:337643:355230:-1 gene:DRNTG_14366 transcript:DRNTG_14366.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGRKVLFDLYRLTIFTGYLYSDMLHGARDEPPALGGIHSEHATTSLGEILTEDDVFESSHSGNHSYILKHLAASALIENLDSGDRQDFLPATSYWAGKGSVSGFDMTITLSEIQMLLDLAVLLSGVSSGMANEKQKQNIAFRNQGQINNPDYVVPDGAIVTIKDLHQHLYFAVEAVGRKYRLVGTLHYSLVGERALFRVSYYKRWGSRASSFSLLSLYAKNDEGEPLRLNFCPGSDFVEISSSDDKSWAQWQFYPYMSDNYEDDNVVKSYGISVKNALYLVNKKNNSAVAFVDGRPEFVKKPGNPLKAKVIKRTSQTIDIEKQDVSNKFSSRTSETNVQGGSFSGSGEESVLENNPPHINITIDSISLTILHEVSDKNHKMPLLQSCINDMNVIGQVLPSKFRIISLLNFATQYFDAQKDLWRELISPIHMCLLYHSRFTPERSLHQKVPVHFYFRMKQVAIHLTTLSLDILLYIAGKLNLAGPYAIRSSIIFGNCCKLENQSVLRLICHFADNQEAIIPGKQSSSVLLSYIASSGQLSESQNLVSVSLVGDNAFTTSPITLSLSKPAFLAWRTRILSHHDAGKFPGPFIVLEVSKNTEEGLSLVVTPLLRIHNESGFPTELRFRRPQEDEAEAASVMLRTGDTIDDCMASFDALKLSGGSKRALMSLTLGNFLLSIRPDVTEYLKEDMTSVSTSWSEELTGEKSVRVSGILDKLNYKLRKTFGIEATKSFFSIVSCPLKVEGQHVSNVHFLIETLRREVPVIQPENSVNKSETIVSPVALQMQKEIFIYPTIQVYNFLQSDIQVLMTENQPDIDMASAFSNFGKQAIIPCGSNAYFYANPDAVYFTVTLIEHCSKCRPVNSGDCVKKLHKQKNEAQFIDIELDFGGGKYFASLRLSCGERGILEATIFSCYVLQNNTDLPLFCYASSQKFLPWTEIEAKKDSSNFPPHLGCLLQPMSCGSWFLKSNKVNIKCLGEKKTSEALLNLDILSGFTELSLEGLDAGNNNHILKLGVSLHPKVDKAGLPSQLVCIAPRYLISNESKEPVMVRQCHLEDELSGVVLVQSKHKATFWMKKIYSERRKINFFQSILEKHKSKDGDSLIFVQFSLKEIEYGWSGPICVSSLGRFFLKFRRTSAGLGTQSDSSAWKDNQKVQFAAVHIVEESSSLYLHFYRPPNFPLPYRIENCMSGTSIMYHQKDFMESDLLLSGDSVEYAWDDLNLPHKLVVQIVDMNVSREINIDKVCTWKPFFKIMQNKGPVIPLVSEKRSEVGKRTIDGTRGLELFMVGYEVFTDGFTRVLRICERPDGYKKEKLLLPSSNMQFRVSYFAIHLLENGRQDARGTELPDRSTLILLRFENIALDSLIADDYKYNHLRVQVISVDEKWEGAPFASMIRGSHSDPGLNEDILRVVFVLQSTNSKVKQVKYSSIVLQPIDLNVDEETLMRLVPFWRTSVSDPTTRSQQFYFKHFEIHPIMIKASFLPGNPDLNYSSTQETLRSFLHSVVKVPTIKNTVVQLNGILLTHALVTSRELLIKCAKHYSWYVIRGVYMAKGSQLLPPAFASIFDDTASSSLDVFFDPSDGSINLPGITLGMFKFISMYAKAKGLSGTKRYFGDLGKTMKMAGSNALFAALTEISDNVLSGAETNGFNGMVTGFHQGILRLAMEPTLLGAAIMEGGPDRKIELVRSPGVDEQYIEGYLQAMLDTRYQLEFLRVRVVDDLVYLKNLPPNTSVINEIVENVKSFLVSKNLLEGDGSTTSHPFRHLRAEHDWRLGPAVLTLCEHLFVHYAIHILHQEASKFLVGIRSKTKKDGDEGESPEAGPEKHTRKQAVVKFVLSGIVAYMDGRLCRHIPNPIARRIVSGFLLSFLDQKSSK >DRNTG_14366.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:337643:346656:-1 gene:DRNTG_14366 transcript:DRNTG_14366.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFSNFGKQAIIPCGSNAYFYANPDAVYFTVTLIEHCSKCRPVNSGDCVKKLHKQKNEAQFIDIELDFGGGKYFASLRLSCGERGILEATIFSCYVLQNNTDLPLFCYASSQKFLPWTEIEAKKDSSNFPPHLGCLLQPMSCGSWFLKSNKVNIKCLGEKKTSEALLNLDILSGFTELSLEGLDAGNNNHILKLGVSLHPKVDKAGLPSQLVCIAPRYLISNESKEPVMVRQCHLEDELSGVVLVQSKHKATFWMKKIYSERRKINFFQSILEKHKSKDGDSLIFVQFSLKEIEYGWSGPICVSSLGRFFLKFRRTSAGLGTQSDSSAWKDNQKVQFAAVHIVEESSSLYLHFYRPPNFPLPYRIENCMSGTSIMYHQKDFMESDLLLSGDSVEYAWDDLNLPHKLVVQIVDMNVSREINIDKVCTWKPFFKIMQNKGPVIPLVSEKRSEVGKRTIDGTRGLELFMVGYEVFTDGFTRVLRICERPDGYKKEKLLLPSSNMQFRVSYFAIHLLENGRQDARGTELPDRSTLILLRFENIALDSLIADDYKYNHLRVQVISVDEKWEGAPFASMIRGSHSDPGLNEDILRVVFVLQSTNSKVKQVKYSSIVLQPIDLNVDEETLMRLVPFWRTSVSDPTTRSQQFYFKHFEIHPIMIKASFLPGNPDLNYSSTQETLRSFLHSVVKVPTIKNTVVQLNGILLTHALVTSRELLIKCAKHYSWYVIRGVYMAKGSQLLPPAFASIFDDTASSSLDVFFDPSDGSINLPGITLGMFKFISMYAKAKGLSGTKRYFGDLGKTMKMAGSNALFAALTEISDNVLSGAETNGFNGMVTGFHQGILRLAMEPTLLGAAIMEGGPDRKIELVRSPGVDEQYIEGYLQAMLDTRYQLEFLRVRVVDDLVYLKNLPPNTSVINEIVENVKSFLVSKNLLEGDGSTTSHPFRHLRAEHDWRLGPAVLTLCEHLFVHYAIHILHQEASKFLVGIRSKTKKDGDEGESPEAGPEKHTRKQAVVKFVLSGIVAYMDGRLCRHIPNPIARRIVSGFLLSFLDQKSSK >DRNTG_32975.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20997481:20998136:1 gene:DRNTG_32975 transcript:DRNTG_32975.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKTLVLKLLIDTRENRVLFAEAGKEVVDFLFSLLALPLGFIVKLLSKDQMVGSIGSIYSSLQNLDSTYIQPNQDKDILLGSQEQEQIEPQNNLLLSICH >DRNTG_30945.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2464994:2472619:1 gene:DRNTG_30945 transcript:DRNTG_30945.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAHSGKVIGALKGHLDYCFASAWHPDGNILATGSQDMTCRLWDIRNLSESMSVLKGRMGAIRGIKFSSDGRFLAIAEPADFVHVYDVSADYNKAQEIDLFGEIAGLSFSPDTEALFIGIADRTYGSLLEFKRRHHYHYLNSFM >DRNTG_30945.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2465826:2472619:1 gene:DRNTG_30945 transcript:DRNTG_30945.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFFNNDLDYVVEDYYDVAEFDDNPFENDDNFGEDEGKQKTDTSALDARNGKDIQGIPWERLKHTRDEYRQLRLKQYKNYENLPHPAAGLRKECKEVEIGRTFYDFQFNTRLVKSTIVHFQLRNLLWATSKHDVYMMQNYSIKHWSSLLQKSTEVLNVAGQIVPNQEHCEAPTQAVSRVQVSTMAVKDDLIVSGGFHGELICKYVNQPGVAFCTKVTSDDNGITNSVDIYESTCGSRRILAANNDCNVRIFDIENFTLLNCFPFSWSVNCSIASPDGKYLAVLGDSVDCLMAEAHSGKVIGALKGHLDYCFASAWHPDGNILATGSQDMTCRLWDIRNLSESMSVLKGRMGAIRGIKFSSDGRFLAIAEPADFVHVYDVSADYNKAQEIDLFGEIAGLSFSPDTEALFIGIADRTYGSLLEFKRRHHYHYLNSFM >DRNTG_30945.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2464994:2472619:1 gene:DRNTG_30945 transcript:DRNTG_30945.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAHSGKVIGALKGHLDYCFASAWHPDGNILATGSQDMTCRLWDIRNLSESMSVLKGRMGAIRGIKFSSDGRFLAIAEPADFVHVYDVSADYNKAQEIDLFGEIAGLSFSPDTEALFIGIADRTYGSLLEFKRRHHYHYLNSFM >DRNTG_30945.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2464994:2472619:1 gene:DRNTG_30945 transcript:DRNTG_30945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNLLWATSKHDVYMMQNYSIKHWSSLLQKSTEVLNVAGQIVPNQEHCEAPTQAVSRVQVSTMAVKDDLIVSGGFHGELICKYVNQPGVAFCTKVTSDDNGITNSVDIYESTCGSRRILAANNDCNVRIFDIENFTLLNCFPFSWSVNCSIASPDGKYLAVLGDSVDCLMAEAHSGKVIGALKGHLDYCFASAWHPDGNILATGSQDMTCRLWDIRNLSESMSVLKGRMGAIRGIKFSSDGRFLAIAEPADFVHVYDVSADYNKAQEIDLFGEIAGLSFSPDTEALFIGIADRTYGSLLEFKRRHHYHYLNSFM >DRNTG_30945.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2464994:2472619:1 gene:DRNTG_30945 transcript:DRNTG_30945.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNLLWATSKHDVYMMQNYSIKHWSSLLQKSTEVLNVAGQIVPNQEHCEAPTQAVSRVQVSTMAVKDDLIVSGGFHGELICKYVNQPGVAFCTKVTSDDNGITNSVDIYESTCGSRRILAANNDCNVRIFDIENFTLLNCFPFSWSVNCSIASPDGKYLAVLGDSVDCLMAEAHSGKVIGALKGHLDYCFASAWHPDGNILATGSQDMTCRLWDIRNLSESMSVLKGRMGAIRGIKFSSDGRFLAIAEPADFVHVYDVSADYNKAQEIDLFGEIAGLSFSPDTEALFIGIADRTYGSLLEFKRRHHYHYLNSFM >DRNTG_30945.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2464994:2472619:1 gene:DRNTG_30945 transcript:DRNTG_30945.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFFNNDLDYVVEDYYDVAEFDDNPFENDDNFGEDEGKQKTDTSALDARNGKDIQGIPWERLKHTRDEYRQLRLKQYKNYENLPHPAAGLRKECKEVEIGRTFYDFQFNTRLVKSTIVHFQLRNLLWATSKHDVYMMQNYSIKHWSSLLQKSTEVLNVAGQIVPNQEHCEAPTQAVSRVQVSTMAVKDDLIVSGGFHGELICKYVNQPGVAFCTKVTSDDNGITNSVDIYESTCGSRRILAANNDCNVRIFDIENFTLLNCFPFSWSVNCSIASPDGKYLAVLGDSVDCLMAEAHSGKVIGALKGHLDYCFASAWHPDGNILATGSQDMTCRLWDIRNLSESMSVLKGRMGAIRGIKFSSDGRFLAIAEPADFVHVYDVSADYNKAQEIDLFGEIAGLSFSPDTEALFIGIADRTYGSLLEFKRRHHYHYLNSFM >DRNTG_30945.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2464994:2472619:1 gene:DRNTG_30945 transcript:DRNTG_30945.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNLLWATSKHDVYMMQNYSIKHWSSLLQKSTEVLNVAGQIVPNQEHCEAPTQAVSRVQVSTMAVKDDLIVSGGFHGELICKYVNQPGVAFCTKVTSDDNGITNSVDIYESTCGSRRILAANNDCNVRIFDIENFTLLNCFPFSWSVNCSIASPDGKYLAVLGDSVDCLMAEAHSGKVIGALKGHLDYCFASAWHPDGNILATGSQDMTCRLWDIRNLSESMSVLKGRMGAIRGIKFSSDGRFLAIAEPADFVHVYDVSADYNKAQEIDLFGEIAGLSFSPDTEALFIGIADRTYGSLLEFKRRHHYHYLNSFM >DRNTG_30945.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2464994:2472619:1 gene:DRNTG_30945 transcript:DRNTG_30945.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAHSGKVIGALKGHLDYCFASAWHPDGNILATGSQDMTCRLWDIRNLSESMSVLKGRMGAIRGIKFSSDGRFLAIAEPADFVHVYDVSADYNKAQEIDLFGEIAGLSFSPDTEALFIGIADRTYGSLLEFKRRHHYHYLNSFM >DRNTG_30945.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2464994:2472619:1 gene:DRNTG_30945 transcript:DRNTG_30945.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAHSGKVIGALKGHLDYCFASAWHPDGNILATGSQDMTCRLWDIRNLSESMSVLKGRMGAIRGIKFSSDGRFLAIAEPADFVHVYDVSADYNKAQEIDLFGEIAGLSFSPDTEALFIGIADRTYGSLLEFKRRHHYHYLNSFM >DRNTG_30945.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2465826:2472619:1 gene:DRNTG_30945 transcript:DRNTG_30945.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAHSGKVIGALKGHLDYCFASAWHPDGNILATGSQDMTCRLWDIRNLSESMSVLKGRMGAIRGIKFSSDGRFLAIAEPADFVHVYDVSADYNKAQEIDLFGEIAGLSFSPDTEALFIGIADRTYGSLLEFKRRHHYHYLNSFM >DRNTG_30945.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2464994:2472619:1 gene:DRNTG_30945 transcript:DRNTG_30945.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAHSGKVIGALKGHLDYCFASAWHPDGNILATGSQDMTCRLWDIRNLSESMSVLKGRMGAIRGIKFSSDGRFLAIAEPADFVHVYDVSADYNKAQEIDLFGEIAGLSFSPDTEALFIGIADRTYGSLLEFKRRHHYHYLNSFM >DRNTG_27204.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:791993:793865:-1 gene:DRNTG_27204 transcript:DRNTG_27204.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENNENPTYQNRPVPRLNERILSSLSRRSVAAHPWHDLEIGPGAPSVFNVVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYTDIKELSPHRLAEIRRFFEDYKKNENKTVAVDEFLPSSTAVDAIQYSMDLYAQYILQSLRQ >DRNTG_29233.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3313034:3317305:-1 gene:DRNTG_29233 transcript:DRNTG_29233.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g25270, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G25270) UniProtKB/Swiss-Prot;Acc:Q9SB36] MEAPPISLLRITLKTKPSSKKSKEKHSLKRRNLHTLSYPKSSPSPLFISPTPLRLTREQALDHVLAELEASIDNGIKVDPSIFSSLLETCARMRSLSHGVRLHRIIPRSLLRRNAGVSSKLLRLYASCGFVDRAHRMFDEMPERNKSSFVWNSLLSGYAELGLYEDAMALYYQMVEDGVQPDDFTFPRVLKSCAGIGSIQHGEDVHRHAVRSGLGRDVFVLNALVDMYAKCGDIVKARKVFDVIPDRDSVSWNSMLTGYAHHGLAREAWDVCRGMLAAGLEPNSIAISTMLAKFSFNRKLGYEIHGWVLRQGMEWNLSIANALIAMYAEHKQLRCARIVFESMPEKDLVTWNTMISVHRKDCRAINIFKQMEDSGVQPDRVTFVSLLSSCANLGMVDSGRRLFNKMKKKYRIAPGMEHYGCMVNMLGRAGLVDEAYEMAKTMPFDGGPRVWGALLYACSVHGNVTIGEVAAERLFELEPDNEHNFELLMRIYRNAGRLEDVETVRMMMRERGLDTEFNL >DRNTG_14175.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22891262:22895947:-1 gene:DRNTG_14175 transcript:DRNTG_14175.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEPAIVDISSDEEDFDSFDGACKSNYDFISKILGCVDHVVARQSDDLIVLDEFSSAPVIKERNSSSARLADPPTGGSDDDCLVLESDPHKLVSVTNEKADGDESEELLVVGETGQVACRDYPHSRHLCARFPFSITSHESHCSLCHCYVCDSPAPCEYWGNGLLSSDHCHSTDKEARWIDERKSFKLRSTQNQNSQSQGTHKQNPQSQSNPGLSKVVHPQSMQSTRSSNSIPRRSYGNLAPRRNQHNSLLRSIPYSNSPLQACSTTSIAMSTPLSETNQGLRTVTVPFSQPTTMWQMAPIQREKRSATPPIMQAYSRSKKSRTAGNDSLGIACSLQQQSSVAICGSTCIAANEKTKFAATVSGKDDSMLYWREILAKVASQLEVSVSDTEISTTNGQPQDGSSHPSDFANNLLLPQQNAMQNITDSCQHAAPENITLTVEPSSLGIGCSYSFGEFVCDSDPVTVKEPSPPPNNVSAPGKPHLGNVFAALDDITPDPAVDIDPLSLFNAGDIAWDCLGEL >DRNTG_14175.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22891262:22894217:-1 gene:DRNTG_14175 transcript:DRNTG_14175.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEPAIVDISSDEEDFDSFDGACKSNYDFISKILGCVDHVVARQSDDLIVLDEFSSAPVIKERNSSSARLADPPTGGSDDDCLVLESDPHKLVSVTNEKADGDESEELLVVGETGQVACRDYPHSRHLCARFPFSITSHESHCSLCHCYVCDSPAPCEYWGNGLLSSDHCHSTDKEARWIDERKSFKLRSTQNQNSQSQGTHKQNPQSQSNPGLSKVVHPQSMQSTRSSNSIPRRSYGNLAPRRNQHNSLLRSIPYSNSPLQACSTTSIAMSTPLSETNQGLRTVTVPFSQPTTMWQMAPIQREKRSATPPIMQAYSRSKKSRTAGNDSLGIACSLQQQSSVAICGSTCIAANEKTKFAATVSGKDDSMLYWREILAKVASQLEVSVSDTEISTTNGQPQDGSSHPSDFANNLLLPQQNAMQNITDSCQHAAPENITLTVEPSSLGIGCSYSFGEFVCDSDPVTVKEPSPPPNNVSAPGKPHLGNVFAALDDITPDPAVDIDPLSLFNAGDIAWDCLGEL >DRNTG_14175.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22891262:22892786:-1 gene:DRNTG_14175 transcript:DRNTG_14175.8 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTRSSNSIPRRSYGNLAPRRNQHNSLLRSIPYSNSPLQACSTTSIAMSTPLSETNQGLRTVTVPFSQPTTMWQMAPIQREKRSATPPIMQAYSRSKKSRTAGNDSLGIACSLQQQSSVAICGSTCIAANEKTKFAATVSGKDDSMLYWREILAKVASQLEVSVSDTEISTTNGQPQDGSSHPSDFANNLLLPQQNAMQNITDSCQHAAPENITLTVEPSSLGIGCSYSFGEFVCDSDPVTVKEPSPPPNNVSAPGKPHLGNVFAALDDITPDPAVDIDPLSLFNAGDIAWDCLGEL >DRNTG_14175.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22891262:22895947:-1 gene:DRNTG_14175 transcript:DRNTG_14175.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEPAIVDISSDEEDFDSFDGACKSNYDFISKILGCVDHVVARQSDDLIVLDEFSSAPVIKERNSSSARLADPPTGGSDDDCLVLESDPHKLVSVTNEKADGDESEELLVVGETGQVACRDYPHSRHLCARFPFSITSHESHCSLCHCYVCDSPAPCEYWGNGLLSSDHCHSTDKEARWIDERKSFKLRSTQNQNSQSQGTHKQNPQSQSNPGLSKVVHPQSMQSTRSSNSIPRRSYGNLAPRRNQHNSLLRSIPYSNSPLQACSTTSIAMSTPLSETNQGLRTVTVPFSQPTTMWQMAPIQREKRSATPPIMQAYSRSKKSRTAGNDSLGIACSLQQQSSVAICGSTCIAANEKTKFAATVSGKDDSMLYWREILAKVASQLEVSVSDTEISTTNGQPQDGSSHPSDFANNLLLPQQNAMQNITDSCQHAAPENITLTVEPSSLGIGCSYSFGEFVCDSDPVTVKEPSPPPNNVSAPGKPHLGNVFAALDDITPDPAVDIDPLSLFNAGDIAWDCLGEL >DRNTG_14175.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22891262:22895947:-1 gene:DRNTG_14175 transcript:DRNTG_14175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEPAIVDISSDEEDFDSFDGACKSNYDFISKILGCVDHVVARQSDDLIVLDEFSSAPVIKERNSSSARLADPPTGGSDDDCLVLESDPHKLVSVTNEKADGDESEELLVVGETGQVACRDYPHSRHLCARFPFSITSHESHCSLCHCYVCDSPAPCEYWGNGLLSSDHCHSTDKEARWIDERKSFKLRSTQNQNSQSQGTHKQNPQSQSNPGLSKVVHPQSMQSTRSSNSIPRRSYGNLAPRRNQHNSLLRSIPYSNSPLQACSTTSIAMSTPLSETNQGLRTVTVPFSQPTTMWQMAPIQREKRSATPPIMQAYSRSKKSRTAGNDSLGIACSLQQQSSVAICGSTCIAANEKTKFAATVSGKDDSMLYWREILAKVASQLEVSVSDTEISTTNGQPQDGSSHPSDFANNLLLPQQNAMQNITDSCQHAAPENITLTVEPSSLGIGCSYSFGEFVCDSDPVTVKEPSPPPNNVSAPGKPHLGNVFAALDDITPDPAVDIDPLSLFNAGDIAWDCLGEL >DRNTG_14175.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22891262:22895089:-1 gene:DRNTG_14175 transcript:DRNTG_14175.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEPAIVDISSDEEDFDSFDGACKSNYDFISKILGCVDHVVARQSDDLIVLDEFSSAPVIKERNSSSARLADPPTGGSDDDCLVLESDPHKLVSVTNEKADGDESEELLVVGETGQVACRDYPHSRHLCARFPFSITSHESHCSLCHCYVCDSPAPCEYWGNGLLSSDHCHSTDKEARWIDERKSFKLRSTQNQNSQSQGTHKQNPQSQSNPGLSKVVHPQSMQSTRSSNSIPRRSYGNLAPRRNQHNSLLRSIPYSNSPLQACSTTSIAMSTPLSETNQGLRTVTVPFSQPTTMWQMAPIQREKRSATPPIMQAYSRSKKSRTAGNDSLGIACSLQQQSSVAICGSTCIAANEKTKFAATVSGKDDSMLYWREILAKVASQLEVSVSDTEISTTNGQPQDGSSHPSDFANNLLLPQQNAMQNITDSCQHAAPENITLTVEPSSLGIGCSYSFGEFVCDSDPVTVKEPSPPPNNVSAPGKPHLGNVFAALDDITPDPAVDIDPLSLFNAGDIAWDCLGEL >DRNTG_14175.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22891262:22895947:-1 gene:DRNTG_14175 transcript:DRNTG_14175.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQCHCYVCDSPAPCEYWGNGLLSSDHCHSTDKEARWIDERKSFKLRSTQNQNSQSQGTHKQNPQSQSNPGLSKVVHPQSMQSTRSSNSIPRRSYGNLAPRRNQHNSLLRSIPYSNSPLQACSTTSIAMSTPLSETNQGLRTVTVPFSQPTTMWQMAPIQREKRSATPPIMQAYSRSKKSRTAGNDSLGIACSLQQQSSVAICGSTCIAANEKTKFAATVSGKDDSMLYWREILAKVASQLEVSVSDTEISTTNGQPQDGSSHPSDFANNLLLPQQNAMQNITDSCQHAAPENITLTVEPSSLGIGCSYSFGEFVCDSDPVTVKEPSPPPNNVSAPGKPHLGNVFAALDDITPDPAVDIDPLSLFNAGDIAWDCLGEL >DRNTG_14175.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22891262:22895947:-1 gene:DRNTG_14175 transcript:DRNTG_14175.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEPAIVDISSDEEDFDSFDGACKSNYDFISKILGCVDHVVARQSDDLIVLDEFSSAPVIKERNSSSARLADPPTGGSDDDCLVLESDPHKLVSVTNEKADGDESEELLVVGETGQVACRDYPHSRHLCARFPFSITSHESHCSLCHCYVCDSPAPCEYWGNGLLSSDHCHSTDKEARWIDERKSFKLRSTQNQNSQSQGTHKQNPQSQSNPGLSKVVHPQSMQSTRSSNSIPRRSYGNLAPRRNQHNSLLRSIPYSNSPLQACSTTSIAMSTPLSETNQGLRTVTVPFSQPTTMWQMAPIQREKRSATPPIMQAYSRSKKSRTAGNDSLGIACSLQQQSSVAICGSTCIAANEKTKFAATVSGKDDSMLYWREILAKVASQLEVSVSDTEISTTNGQPQDGSSHPSDFANNLLLPQQNAMQNITDSCQHAAPENITLTVEPSSLGIGCSYSFGEFVCDSDPVTVKEPSPPPNNVSAPGKPHLGNVFAALDDITPDPAVDIDPLSLFNAGDIAWDCLGEL >DRNTG_09839.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13579019:13580690:-1 gene:DRNTG_09839 transcript:DRNTG_09839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLQKKKTNNVSPPPPPTDDDEIITAPPAIDRHATMAVFEEIALEEVAAVVDTMAKDIPILVELADDNAASKVDTILVQQQQLAKIVSPVDAVVMPVINKVVDIIVNEIPVSVESSDGTAALNRETLPQPSITAPGDKPKDDADEGTVVWRNDYVSTTRASLYTMLDGKEMVIDDVMDVFVCIIRNL >DRNTG_10389.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22991641:22993405:1 gene:DRNTG_10389 transcript:DRNTG_10389.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTFDPTLSLLLLSKFPIPPLIVVLLAGIALLWFAPGGVAWAFSRAARAVPGPPGVVLALSGTAAHRALAKLSHSLRASKLMAFSVGFSRFIVSSHPETAKEILCSSAFADRPIKESAYELLFHRAMGFAPYGEYWRNLRRISATYLFSPRRISAFGQQRREIGAHMVGEIQSLMGRDGEVEIKKVLHFASLNNVMMSVFGKRFDFGEGEGLELEGLVKEGYELLGMFNWSDHLPLLGLLDLQGVRRRCKKLVSKVNLFVGRIIEEHRCKRSAFGAASSSSVCDFVDVLLDLEKDEKLSDADMVAVLWEMIFRGTDTVAILLEWIMARMVLHPEIQAKAQAEIDAVVGEFKLVSDEDITNLHYLQCIVKESLRMHPPGPLLSWARLAIHNVNVGDYFIPAGTTAMVNMYAITHDECVWSEANEFKPERFMEEEVSIMGSDLRLAPFGSGRRVCPGKAMGLATVHLWLAQLLQSFKWLPSKPGVDLSECLKLSLEMKNPLICRATPRS >DRNTG_06371.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24486827:24487189:1 gene:DRNTG_06371 transcript:DRNTG_06371.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDRLADILQPSAPSQDNTYVEMNDSEDSSGSESDYEEDDDENDAMSDDVENDEPDDNMTLDQFQNCIWKENLVRRASLEAPSSLKKGRLDMGVEDA >DRNTG_05875.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31402669:31403113:1 gene:DRNTG_05875 transcript:DRNTG_05875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSATMATSICRSSPLFKEESNKNRRCRWRQVSQRGKASRRCGDDVGDMSVVSSAAAR >DRNTG_12147.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:11813203:11835195:-1 gene:DRNTG_12147 transcript:DRNTG_12147.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVIGIKCKDGIVMGVEKLISSKMMLPGSNRRIHAVHRHSGMAIAGLAADGRQIVTRAKSEATSYEKVYGEPIPVKELAERVASYVHLCTLYWWLRPFGCGVILGGYDWNGPELYMVEPSGVSYRYFGAAIGKGRQAAKTEIEKLKLSELTCRQGVIEVAKIIYGVHDEAKDKAFELEMSWVCEESNRQHQKVPDDLLEEAKAAAKAALEDMDDD >DRNTG_06397.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:20622946:20624616:-1 gene:DRNTG_06397 transcript:DRNTG_06397.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGASPLLNGLRSPLPSAHNLRRLGRGTKPMLLSPPLSASASSSTSDQSKSKPWLLVGLGNPGKMYTGTRHNVGFEMIDAIAEAEGISVSTIRFKALFGKGFIGDAPVMLAKPQTFMNASGWINCFIFPCSA >DRNTG_06397.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:20622946:20624616:-1 gene:DRNTG_06397 transcript:DRNTG_06397.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGASPLLNGLRSPLPSAHNLRRLGRGTKPMLLSPPLSASASSSTSDQSKSKPWLLVGLGNPGKMYTGTRHNVGFEMIDAIAEAEGISVSTIRFKALFGKGFIGDAPVMLAKPQTFMNASGESVGSIVSYFHVPLNQVVLVWYLSLIVHFIFVKLVVIISGMVAFYLQMYDDLDLPFCKITLTALRGGHGGPQWVCL >DRNTG_06397.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:20622946:20624616:-1 gene:DRNTG_06397 transcript:DRNTG_06397.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGASPLLNGLRSPLPSAHNLRRLGRGTKPMLLSPPLSASASSSTSDQSKSKPWLLVGLGNPGKMYTGTRHNVGFEMIDAIAEAEGISVSTIRFKALFGKGFIGDAPVMLAKPQTFMNASGESVGSIVSYFHVPLNQVVLMYDDLDLPFCKITLTALRGGHGGPQWVCL >DRNTG_16632.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31484336:31488229:-1 gene:DRNTG_16632 transcript:DRNTG_16632.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQKMVMDKFIRIEGDYKAIVQNPSPLIPPIFGADEFGWCSRWNPAMAKLSGWKRDEVIDRMLLGEGFQGAVQPVVV >DRNTG_16632.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31484336:31485339:-1 gene:DRNTG_16632 transcript:DRNTG_16632.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQKMVMDKFIRIEGDYKAIVQNPSPLIPPIFGADEFGWCSRWNPAMAKLSGWKRDEVIDRMLLGEGFQGAVQPVVV >DRNTG_01162.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29018599:29024836:1 gene:DRNTG_01162 transcript:DRNTG_01162.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQHLPVDRNSQSTFPTNFVFPFQPQSNAYPYRLPTTSNLQQRQTLNPFAPPFIPVRHNQPSTMQLTERNYYIPQQSFPRPMPAMLTSTPSNLPTELQEHQWNLPSGNSSDSEDPQSDYSDQM >DRNTG_01162.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29016363:29024836:1 gene:DRNTG_01162 transcript:DRNTG_01162.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRSNRRRGRHRSVPKVSPEEETSASPLPMQDTCEAKSEHDEKKESSSGCEDRSAAETSRPQRTPLSVPAASVDNISVGTSSIAANLQELSLKKEDHGALSAEERPAVIIPNHLQVSDADLSLFKFGSFNVDELQKIVRSYTTQPIHHRSYVRNQNYEQLKSLLEETQASVASSPDIVLSALGRSSTSQPEIARTESLDLEHGPQHNFLSVFDYSLPSSIGIGPEGYLQQQNPYPASYLAHTRSDTTTSSHSTQQSLSTTSIHTGQMQPQHLPVDRNSQSTFPTNFVFPFQPQSNAYPYRLPTTSNLQQRQTLNPFAPPFIPVRHNQPSTMQLTERNYYIPQQSFPRPMPAMLTSTPSNLPTELQEHQWNLPSGNSSDSEDPQSDYSDQM >DRNTG_01162.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29018285:29024836:1 gene:DRNTG_01162 transcript:DRNTG_01162.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQHLPVDRNSQSTFPTNFVFPFQPQSNAYPYRLPTTSNLQQRQTLNPFAPPFIPVRHNQPSTMQLTERNYYIPQQSFPRPMPAMLTSTPSNLPTELQEHQWNLPSGNSSDSEDPQSDYSDQM >DRNTG_01162.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29017990:29024836:1 gene:DRNTG_01162 transcript:DRNTG_01162.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQHLPVDRNSQSTFPTNFVFPFQPQSNAYPYRLPTTSNLQQRQTLNPFAPPFIPVRHNQPSTMQLTERNYYIPQQSFPRPMPAMLTSTPSNLPTELQEHQWNLPSGNSSDSEDPQSDYSDQM >DRNTG_15920.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:937854:945637:-1 gene:DRNTG_15920 transcript:DRNTG_15920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPNIKDLLTTFNPASGLFAIASGDGRIKIWDTVKGHLQMEFADLAPADNGLDNSAESKRGHLSLDYKCMHWVQLEEKKKKKLRISLLVLGTGSGDVLALDVPLGQLRWKVSDCHPGGVNAVSYSRHRSCVYTAGADGMVCQIDLSNGSVLGKFKASTKAISSLSISTDGKTMATASGQLKLFNCSDHQKIQKFSGHSVAVRCMTFSEDGKYIISSGVGERYVALWRTDAGKKQYASCVLSMEHPAVFLDGKVSDSEGAGLYVLAISELGICYVWYGSNAEDLRNSKPTKISLLIESAPKNSKGAVYAAKFQSIVEASNCQILVAYGSLVKPLFQKVLLQNGVDVNLSASQDGALLSIAQSHISQKGDRIQTRVTALDRANAEDAVHPLPKLHQKEKKRKHSMRHTIAAVENAMVDSDQNKTWSSDAGKLLRMEKDNATCLEDRLRELGIVGKKDDIGREGYLWNCGKTSIDATMFNGAHLLVGGDIPAKKVRFS >DRNTG_15920.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:937854:945637:-1 gene:DRNTG_15920 transcript:DRNTG_15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPNIKDLLTTFNPASGLFAIASGDGRIKIWDTVKGHLQMEFADLAPADNGLDNSAESKRGHLSLDYKCMHWVQLEEKKKKKLRISLLVLGTGSGDVLALDVPLGQLRWKVSDCHPGGVNAVSYSRHRSCVYTAGADGMVCQIDLSNGSVLGKFKASTKAISSLSISTDGKTMATASGQLKLFNCSDHQKIQKFSGHSVAVRCMTFSEDGKYIISSGVGERYVALWRTDAGKKQYASCVLSMEHPAVFLDGKVSDSEGAGLYVLAISELGICYVWYGSNAEDLRNSKPTKISLLIESAPKNSKGAVYAAKFQSIVEASNCQILVAYGSLVKPLFQKVLLQNGVDVNLSASQDGALLSIAQSHISQKGDRIQTRVTALDRANAEDAVHPLPKLHQKEKKRKHSMRHTIAAVENAMVDSDQNKTWSSDAGKLLRMEKDNATCLEDRLRELGIVGKKDDIGREGYLWNCGKTSIDATMFNGAHLLVGGDIPAKKIRGHVISMSPNDAYKFLEFLASTWKTSPACSKDILPWIYYILLNHRRFILAQESSSQLLDVLQKMTNLKCSAVQTLLKLSGRAQLIMAQINKAGEDGTQPMESNHQDGESEDEDEDEDEDIDELVYGEDEDESQKSSGDADDD >DRNTG_06100.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20083423:20088403:1 gene:DRNTG_06100 transcript:DRNTG_06100.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtAPC7 [Source:Projected from Arabidopsis thaliana (AT2G39090) UniProtKB/TrEMBL;Acc:A0A178VSZ9] MEVPKDQMAMLLDNDLFESAQILGCFLVSHAPTNNESNPYLKAENLVLQGDALFGEKEYRRALSLYKQALQHCKIVPKQTFATSRSSLSTSSRSSSPNSLNMSAINENEVKFKITLCHCALNENRAALAEMEGIPSKVRTLRMHLTMGKLYRISRHNRAAVVCYKECLRQCPYVLEAIAALAELGVTSKEIISLFPQV >DRNTG_06100.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20088551:20096821:1 gene:DRNTG_06100 transcript:DRNTG_06100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtAPC7 [Source:Projected from Arabidopsis thaliana (AT2G39090) UniProtKB/TrEMBL;Acc:A0A178VSZ9] MIFEKVRAIDPYIITYMDEYAMLLKAKSDQAKLNKLVHDLLNIDPARAEVFVALSVMWERKDERRALAYAEKSLRIDDRHIAGHIMKGNLYLSMNRLDAAVSAFRSAQELRADLRSYQGLVRSYLALSKLKEALYAAREAMKIMPQSAKALKLVGDVHASNSSGREKARKFYESALRLEPGFVGAALALADLHVVEGRNKDAVSLLERYLKDWADDSLHTKLAQVFAATNTLLEALSHYQAALRINPQNEAAKKGLERLEKQMKGMDPDAPEEDEDNDVEDAEGDQEDA >DRNTG_06100.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20088551:20096821:1 gene:DRNTG_06100 transcript:DRNTG_06100.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtAPC7 [Source:Projected from Arabidopsis thaliana (AT2G39090) UniProtKB/TrEMBL;Acc:A0A178VSZ9] MIFEKVRAIDPYIITYMDEYAMLLKAKSDQAKLNKLVHDLLNIDPARAEVFVALSVMWERKDERRALAYAEKSLRIDDRHIAGHIMKGNLYLSMNRLDAAVSAFRSAQELRADLRSYQGLVRSYLALSKLKEALYAAREAMKIMPQSAKALKLVGDVHASNSSGREKARKFYESALRLEPGFVGAALALADLHVVEGRNKDAVSLLERYLKDWADDSLHTKLAQVFAATNTLLEALSHYQAALRINPQNEAAKKGLERLEKQMKGMDPDAPEEDEDNDVEDAEGDQEDA >DRNTG_06100.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20083423:20096821:1 gene:DRNTG_06100 transcript:DRNTG_06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtAPC7 [Source:Projected from Arabidopsis thaliana (AT2G39090) UniProtKB/TrEMBL;Acc:A0A178VSZ9] MEVPKDQMAMLLDNDLFESAQILGCFLVSHAPTNNESNPYLKAENLVLQGDALFGEKEYRRALSLYKQALQHCKIVPKQTFATSRSSLSTSSRSSSPNSLNMSAINENEVKFKITLCHCALNENRAALAEMEGIPSKVRTLRMHLTMGKLYRISRHNRAAVVCYKECLRQCPYVLEAIAALAELGVTSKEIISLFPQTPKGAKPFFDHPDTTRWLHRYVEAQCCIASNDYRGGLEHLSELLQRFPNNVHVLLEVAKVESIIGRADEALMIFEKVRAIDPYIITYMDEYAMLLKAKSDQAKLNKLVHDLLNIDPARAEVFVALSVMWERKDERRALAYAEKSLRIDDRHIAGHIMKGNLYLSMNRLDAAVSAFRSAQELRADLRSYQGLVRSYLALSKLKEALYAAREAMKIMPQSAKALKLVGDVHASNSSGREKARKFYESALRLEPGFVGAALALADLHVVEGRNKDAVSLLERYLKDWADDSLHTKLAQVFAATNTLLEALSHYQAALRINPQNEAAKKGLERLEKQMKGMDPDAPEEDEDNDVEDAEGDQEDA >DRNTG_15386.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15133345:15145128:1 gene:DRNTG_15386 transcript:DRNTG_15386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAIANGGKAAGPGRLATVYSEVQTSRLNHPLPLPSVLGGSFKIVDGPASSAAGNPDEIAKLFPQLFGQPSALLVPTGSAPSELNKTIKIGVVLSGGQAPGGHNVISGIFDYLQDRAKGSTIYGFKGGPAGIMKCKYVELTTDFIYPYRNQGGFDMICSGRDKIETPEQFKQAEETSLKLDLDGLVVIGGDDSNTNACLLAENFRQKNIKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVAAKKQTLKSVTDYITDIICKRATLGYNYGVILIPEGLIDFIPEVQQLIAELNEILAHDVVDEEGVWKKKLQNQSRLLFDFLPQAIQEQLLLERDPHGNVQVAKIETEKMLISMVETELEKRRQEGTYSGQFKGQSHFFGYEGRCGLPTNFDATYCYALGYAAGALLHSGKTGLISSVGNLGAPVEEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELEGAPFRKFASMRDEWAMKNRYISPGPIQFIGPGSDDINHTLLLELGAQA >DRNTG_16495.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6192184:6196350:1 gene:DRNTG_16495 transcript:DRNTG_16495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMNMRTLLCFVFFFSCCLLQQQAFAAKTSYIVYLGGHYTKSSEEAIVNSHHELLGSVMKRHLYFIQIYPHLINPSKEINGFAATMEEDEANEISKHPSVLLVFKDKPLKLQTTHSWDFIGLPSNVTTSSSLPPTASSGADIIIGHIDTGVWPESKSFNDDGMGPIPSKWKGICQNQNITNFSCNKKLIGARYFAAGFLQGNNSVNDTKNGPRDTVGHGTHTLSTSGGSIVTNVSYFGYANGTARGGAPHARVAMYRACWRNPVYAICHDSDILAGIDAAIDDGVDVLSLSIGGGPEPYFVDTVAIGSFHATNKGIVVVCSAGNDGPDGAISNVAPWIFTVAANTIDRCELVIGLDLGLLEKYSIGGTSLSNGLPSKDLYPIISSITASVYGGDDCFSLIDMKVKGKIVVCNSSTVSPIDQAVNVQIAGGVGMVLINSEKKENFT >DRNTG_05466.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23167602:23172751:-1 gene:DRNTG_05466 transcript:DRNTG_05466.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIVPLQGVVQGRGGLVLGSVIPCALFYLLQLYLKRHRPRPSPSGEPSVPIPRTQSRSLLSPRGSSAPASASSRASAVARAPDSPYYSGYQECSMDAYHPVSNPEGVIQLGLAENQLTLDLVRDWLERNMKESLLGNLHDELNISGLATYQPYDGLMDLKIAMAGFMCQVMQGSVSFNPSQIILTSGATPAIETLSFCLADPGNAFLIPSPYYPGFDRDIKLRTGIELIPVPCRSTDGFSLSIAALERAYTQAKKRGVKVRAVLVSNPSNPVGNLLSRETLYDLLDFVTEKNIHLISDEIYAGSIYENTKFVSVAEVVEAEDFDRSRVHIIYGLSKDLALPGFRVGVLYSYNETILVAAKKLARFSSISAPTQRLLVSMLSDVNFITEYIEINRVRLRKMYALFVDGLKQLGIECASSSGGFYCWADMSKYIRSYSEKGELELWDKLLNVAKINVTPGSSCHCIEPGWFRCCFTTLSERDIPVVIGRIRSVIESCKVNH >DRNTG_05466.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23167602:23172751:-1 gene:DRNTG_05466 transcript:DRNTG_05466.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIVPLQGVVQGRGGLVLGSVIPCALFYLLQLYLKRHRPRPSPSGEPSVPIPRTQSRSLLSPRGSSAPASASSRASAVARAPDSPYYSGYQECSMDAYHPVSNPEGVIQLGLAENQLTLDLVRDWLERNMKESLLGNLHDELNISGLATYQPYDGLMDLKIAMAGFMCQVMQGSVSFNPSQIILTSGATPAIETLSFCLADPGNAFLIPSPYYPG >DRNTG_33548.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002019.1:52355:55438:-1 gene:DRNTG_33548 transcript:DRNTG_33548.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVRAMKEDLEEEENEMVKVLVSLLEQLKLKVKGVVTLLEQPG >DRNTG_33548.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002019.1:55062:55438:-1 gene:DRNTG_33548 transcript:DRNTG_33548.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVRAMKEDLEEEENEMVKVLVSLLEQLKLKVKGVVTLLEQPG >DRNTG_10208.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000431.1:67746:68732:1 gene:DRNTG_10208 transcript:DRNTG_10208.1 gene_biotype:protein_coding transcript_biotype:protein_coding NQKRNSFHSQTHSIGKTCNNGFDSPSNKLLLIADDR >DRNTG_24650.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8052188:8056504:-1 gene:DRNTG_24650 transcript:DRNTG_24650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDPNTGVAMYESDNIIKYLADKYGVFTLSKFLLVYS >DRNTG_24650.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8052188:8056504:-1 gene:DRNTG_24650 transcript:DRNTG_24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDPNTGVAMYESDNIIKYLADKYGVFTLSKFLLVYS >DRNTG_24650.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8052188:8056504:-1 gene:DRNTG_24650 transcript:DRNTG_24650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDPNTGVAMYESDNIIKYLADKYGVFTLSKFLLVYS >DRNTG_24650.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8052188:8056504:-1 gene:DRNTG_24650 transcript:DRNTG_24650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSPPMAKALGSSSPPLRRPRRRFFSVSVDIKESSSTSVSVSVANKEAGATEPSRFSRLRQDLSPWSRSRSPSGRARFSISSPLLSPCLCASAREPSS >DRNTG_24464.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18772352:18774732:1 gene:DRNTG_24464 transcript:DRNTG_24464.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLNVHQLHGAPPRRLSPPLSSLHCAIIGTPNPQWSALKETLNSHGRHSCFFSDGRKEDRARKALEDALGGKKTELEKWNKEIKKREERGGGGTSGRGGWFGGGGWFGWSGGEHFWEEAQQASITVIAIIAVCLLIAKGNVMFAVVFNSLLFALRGLRNSFSFLPLRLFGKTSVIEQPNAAVSNQNQMSAKERVVRKWGMD >DRNTG_08452.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21384408:21385055:-1 gene:DRNTG_08452 transcript:DRNTG_08452.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARVGDFGLAKILMNNNSNLWQSSTASTEIIKGTIGYVPPEYGFGSEVSTMGDVYSYGILVLELLTGKRPVDECFKDGMTMRKFVESYASLERIMEVMDPSMFSQEDDSIGYKRQKECVVSVSALGLACCVDSPNERLSMSHVSAQMRAVRNNYLCVGSTSS >DRNTG_20009.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3135477:3137757:1 gene:DRNTG_20009 transcript:DRNTG_20009.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEELESLEETLNESIQESIGARSGKKAPGRTKGFVEDEDETLSDDDDFYDRTKKKSLAQISVKHQSVETADTLTEKKESIISQMEEKKALLSQEQQKFATENESNSDVGDDLDAYMTGISSQLVLDKTAKIEKELSDLQTELDRVTYLLKIADPMGEASKKRDLKVLAGATVKSNIKPQDLLKSNLSSTDIQKPRQTPEKKINQSGKSNSSKPLPRTSSSTDTEKHPEEEGKDASNKKESKTVDKTTSSTETEKHCEDDDKISDQAKASKTPAFALPKPQWLGATRDIKPDDNQLPEINTDANESDGFVNYKDRKVALTSVDNPSEIEDAAPGLIIRKRKPSENPGTVVDKAPKLAVSSSAEAEATAADAVALLLRHKRGYHGLDDEGNLIDEPLQSSQPGKENSQPKRTFGPSKPAFLDRNPD >DRNTG_20009.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3133518:3137757:1 gene:DRNTG_20009 transcript:DRNTG_20009.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDREASLLRAKVEASLADGISWGMSEDAIEEATEDDADEVTWQTYTGQLTERQQKTRSKISKRMEKVYNMRKEIDAIRAKDIAQGGLTQGQQTQIARNEQRINQIMEELESLEETLNESIQESIGARSGKKAPGRTKGFVEDEDETLSDDDDFYDRTKKKSLAQISVKHQSVETADTLTEKKESIISQMEEKKALLSQEQQKFATENESNSDVGDDLDAYMTGISSQLVLDKTAKIEKELSDLQTELDRVTYLLKIADPMGEASKKRDLKVLAGATVKSNIKPQDLLKSNLSSTDIQKPRQTPEKKINQSGKSNSSKPLPRTSSSTDTEKHPEEEGKDASNKKESKTVDKTTSSTETEKHCEDDDKISDQAKASKTPAFALPKPQWLGATRDIKPDDNQLPEINTDANESDGFVNYKDRKVALTSVDNPSEIEDAAPGLIIRKRKPSENPGTVVDKAPKLAVSSSAEAEATAADAVALLLRHKRGYHGLDDEGNLIDEPLQSSQPGKENSQPKRTFGPSKPAFLDRNPD >DRNTG_20009.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3127019:3137757:1 gene:DRNTG_20009 transcript:DRNTG_20009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTPMGPPPPRSPPPNPNPNPDLASTAPDEETSPMPPPPPPPLPGKSRPSSELVIPYEIPSWSETPGYPFSLEVLKDGTIIQQLDVSGKGAYMFGRIDLCDFVLEHPTISRFHAVLQFKKDGEAFLYDLGSTHGTFINKNQVKKKEYTKVHVGDVFRFGQSSRLYIFQGPSELMPPERDLNKLRNAKVHQEMLDREASLLRAKVEASLADGISWGMSEDAIEEATEDDADEVTWQTYTGQLTERQQKTRSKISKRMEKVYNMRKEIDAIRAKDIAQGGLTQGQQTQIARNEQRINQIMEELESLEETLNESIQESIGARSGKKAPGRTKGFVEDEDETLSDDDDFYDRTKKKSLAQISVKHQSVETADTLTEKKESIISQMEEKKALLSQEQQKFATENESNSDVGDDLDAYMTGISSQLVLDKTAKIEKELSDLQTELDRVTYLLKIADPMGEASKKRDLKVLAGATVKSNIKPQDLLKSNLSSTDIQKPRQTPEKKINQSGKSNSSKPLPRTSSSTDTEKHPEEEGKDASNKKESKTVDKTTSSTETEKHCEDDDKISDQAKASKTPAFALPKPQWLGATRDIKPDDNQLPEINTDANESDGFVNYKDRKVALTSVDNPSEIEDAAPGLIIRKRKPSENPGTVVDKAPKLAVSSSAEAEATAADAVALLLRHKRGYHGLDDEGNLIDEPLQSSQPGKENSQPKRTFGPSKPAFLDRNPD >DRNTG_20009.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3127019:3137757:1 gene:DRNTG_20009 transcript:DRNTG_20009.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQMKKRHWSGKGAYMFGRIDLCDFVLEHPTISRFHAVLQFKKDGEAFLYDLGSTHGTFINKNQVKKKEYTKVHVGDVFRFGQSSRLYIFQGPSELMPPERDLNKLRNAKVHQEMLDREASLLRAKVEASLADGISWGMSEDAIEEATEDDADEVTWQTYTGQLTERQQKTRSKISKRMEKVYNMRKEIDAIRAKDIAQGGLTQGQQTQIARNEQRINQIMEELESLEETLNESIQESIGARSGKKAPGRTKGFVEDEDETLSDDDDFYDRTKKKSLAQISVKHQSVETADTLTEKKESIISQMEEKKALLSQEQQKFATENESNSDVGDDLDAYMTGISSQLVLDKTAKIEKELSDLQTELDRVTYLLKIADPMGEASKKRDLKVLAGATVKSNIKPQDLLKSNLSSTDIQKPRQTPEKKINQSGKSNSSKPLPRTSSSTDTEKHPEEEGKDASNKKESKTVDKTTSSTETEKHCEDDDKISDQAKASKTPAFALPKPQWLGATRDIKPDDNQLPEINTDANESDGFVNYKDRKVALTSVDNPSEIEDAAPGLIIRKRKPSENPGTVVDKAPKLAVSSSAEAEATAADAVALLLRHKRGYHGLDDEGNLIDEPLQSSQPGKENSQPKRTFGPSKPAFLDRNPD >DRNTG_19393.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22173513:22176205:-1 gene:DRNTG_19393 transcript:DRNTG_19393.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFISLLLLLLSHSPTPSLSLNQEGLYLLTAKSHFDDPSNSLSDWNSRDQTPCQWAGISCSPTATGAGTDTVTSVNLSEFNLLGPFPEVLCRLDNLTFIDLSQNLLTGSLPSFLSSLPSLTYLDLSGNNFTGPIPSSFSLFPSLQILSLTGNLLNGTIPASLGKVSTLRQLNLSYNPFSHGPVPAEIGNLASLEVLWLADCNLVGHIPDSLGKLSKLQNLDLSTNSLTGDIPESLTRLSSVIQIELYNNSLSGTIPSGFSSISTLLRIDAAMNLLKGAIPDDIFEIENLESLHLYQNQLNGSIPATVSKSKKLVELRLFANQLTGSLPPDFGRNSSLLYLDLSDNMLSGAIPAGVCERGTLMELLLIDNQFTGSLPESLGKCRTLRRIRLANNQLSGPIPDDLWGLPHVSLLELVNNSFTGSISPQISGAANLSKLLLSDNQFSGNIPSEIGMALNLYEFSADNNRLTGPLPASLGDLTDVFRLSLSNNSLSGDLLRGIQSWTKLTELTLSDNGFTGTIPSELGDLPVLNYLDLSGNKLTGEIPLQLQNLKLNHFNLSENQLSGPIPPFYASEAYRDSFLGNLGLCGDLPDLCPSSQDHASDHHGVFWLIRSIFIITALVLIIGIAWFYLRYRNFKKAKLGMDKSKWTLTSFHKLGFSEYEILDCLDEDNVIGSGASGRVYKAVLSNGEAVAVKKLWGPSNKSAENYDKASNDAFEAEVTTLGKIRHKNIVKLWCSCTHKDCKLLVYEYMPNGSLGDLLHSSKGRLLDWPMRYKIALDAAEGLSYLHHDCVPPIVHRDVKSNNILLDEEFRARVADFGVAKAVEAIGKGPKSMSVIAGSCGYIAP >DRNTG_34141.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21873829:21882778:1 gene:DRNTG_34141 transcript:DRNTG_34141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNDLNKEAVVIAEDKVGKGEVDDWEDAADISTLKLGMAESNYLAHEAKKQPDEYGNEATGRKKYSRDFLMTFLEHCTELPAGFEIGYGIANALMSGPVSASYAVDREPHPSPGRSTDRSPRAPWVDRRIVVGDDDKWTKFPGSSAPGLDPRLDLAHGISAVSFRPGQGVNHGVLRNPRGQLSSQYVGGILSGPLQAMASPGGVPQNGIDADRWHRAPSAQRGLVPSPQTPLQVMHKATKKYEVGKVSDVEVAKQRQLKSILNKLTPQNFEKLFEQVKTVNIDNPVTLTGVISQIFDKALTEPTFCEMYADFCFHLSSELPDFSENNEKITFKRLLLNKCQEEFERGEREQAEADKAEEEGEIEQSEGEREEKRIKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQYPNPDEEDIEALCKLMSTIGQMIDHPKAKEHMDAYFDMMLKLSTNLKLSSRLRFMLKDAIDLRKNRWQQRRKIEGPKKIEEVHRDVAHERQAQASRLARGPVISSTPRRGAAVDFGPRGSTILSSPPQQMGGSRVFPVQARGPQDVRMEDRHPFENKTFSSPLPQRSTDDDSITLGPKGGLGRGMSFRGQPLTSNAPQSTEFFSSAGDPRRMTTGPNGYSSAPQNSRDDVRSRDVFDRLGGTSYEQPTIPRTYSGNRDSPITDHAADKSSVTSSTGRIQGSVLADPTTSSLTKPLCEDGLRDKSMSAIREYYSAKDDEEVVLCIKELNSPNFFPTMISLWITDSFERKDMERDLLATLLVKLNQSRDDLLSETQLIQGFENVLSSLEDAINDAPKAAEFLGRLFARVILDTPMPLTEIGRLILEGGEEPGQLLESGVASEILGSILEFIQKEKGDSVLNELRVNSGLHLESFRPPHPLRARKLEPFL >DRNTG_33300.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23761034:23762743:1 gene:DRNTG_33300 transcript:DRNTG_33300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPNDIDLLNPPAELEKRRHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRRKGD >DRNTG_24213.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22582477:22584714:-1 gene:DRNTG_24213 transcript:DRNTG_24213.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:origin recognition complex protein 5 [Source:Projected from Arabidopsis thaliana (AT4G29910) TAIR;Acc:AT4G29910] MRKEERTPESASKRTTRSTVASPAPKPSKPPSISDCLLFPDDPISLDTLLSGLPGRKNQIFDVLRLIGPVNSPMLPILVYGGASTGKTASVLRIFRHLRRHFVYASCRTCYCPRILFDSVLNQLSLHRRSEENGYTNAKKCERASDFVNLLRDALVRAINLIGAGSKERTRVGDGEMIYLVFDNLEAIRSWDKSTSVLSLLLRLHDLLRMPEVGLVYISSATPDAYYGCTGSIEPVPVNFPGYNVNEVFDILMLNQANPKLYSTFLSAVLKSFYRVTRRVDELWIALNPLFKKYCEPLSDLNSVPDEGMKRRLFNSIQPHITAALNEVVEIPSYASHEKNKEGSGSRRGTMRRLSGKEAISDLDFHMSVSAKYLLVSAFLASRNPATLDAALFDSTGGSDNRKRKRRSSQMSIEKNDSVVEDILIKGPGSFPLERLLAIYQCITSVVEGEFNEQQPEDGVTMEGGKIGLMSDVLLQLSTLCNANFIVRSGSCPLEGSTRFRSTIDEDLALMVARSINFPLAKYLCRR >DRNTG_20800.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:20559369:20561057:1 gene:DRNTG_20800 transcript:DRNTG_20800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPIVEVFSFLAIATSYIGFILGLTDFVADLLKLPSGQNKPLPYLVTLFPPLVLALLDPEIFFKALDFAGTYGVLVLFGLIPAAMSWSERYNSSSLTPKISPVVPGGKLTLSITIGGATYVILSEILKNIHA >DRNTG_20800.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:20543834:20551089:1 gene:DRNTG_20800 transcript:DRNTG_20800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAAPLLFFPLTEPSHSPPLLPFQRRNTFKPFLSTPLKSLRCSSSSQRQQERTLEFERLFSNLNQATMKREPGSLTSAIFLVAGTTVGAGILAIPAVTQEAGFLASSVTCILCWIYMVVTGLLIAEVNVNTMCELGSGGVSLVSMAMRTLGKFGVQVTCLSYLFIHYALLVAYVARSSDILTNYLGIPLWESATLFSLVFGGLCYFGRLCFLLL >DRNTG_20800.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:20543834:20561057:1 gene:DRNTG_20800 transcript:DRNTG_20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAAPLLFFPLTEPSHSPPLLPFQRRNTFKPFLSTPLKSLRCSSSSQRQQERTLEFERLFSNLNQATMKREPGSLTSAIFLVAGTTVGAGILAIPAVTQEAGFLASSVTCILCWIYMVVTGLLIAEVNVNTMCELGSGGVSLVSMAMRTLGKFGVQVTCLSYLFIHYALLVAYVARSSDILTNYLGIPLWESATLFSLVFGGLCYFGSQRVIGAVNGVLVFGILASFATLVGVASGNLQWDSLLQAHVEAIPQSIPIIALAFVYQNVVPVLCTNLEGNLSKVRTSIVLGTAIPLILFLIWDAVILGTIPNLELNGVNVTDPLQQLRSNNGMVGPIVEVFSFLAIATSYIGFILGLTDFVADLLKLPSGQNKPLPYLVTLFPPLVLALLDPEIFFKALDFAGTYGVLVLFGLIPAAMSWSERYNSSSLTPKISPVVPGGKLTLSITIGGATYVILSEILKNIHA >DRNTG_20800.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:20543834:20544243:1 gene:DRNTG_20800 transcript:DRNTG_20800.4 gene_biotype:protein_coding transcript_biotype:protein_coding FLLRSNTREKREAGRRESLPHGTPRCSSPLLPPHGTLSFPSVAPLSTEKHLQALPLHSLEVPEMFFFIPEATRAHP >DRNTG_29230.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3286373:3288321:1 gene:DRNTG_29230 transcript:DRNTG_29230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 76, subfamily G, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT3G52970) UniProtKB/TrEMBL;Acc:F4J865] MEFLLTRTVTIIQLALLLLALSFILHRRLRRRPITTPASLPPGPRPLPLIGNFLNIGTLPHQSCAMLARKYGPIMTIHLGAVTTVVISSASAAQEMFKQHDAALAGRYVYEAINLKNGNEGSVITAQPGPRWRSLRRLQNTMFFTSSRLEAMRGVRAGCVDTLMRRITAASGGGTQPVNVSKLLFLTMFDHIGRIALSRDVLLDSDWDQIGGAFLYHASQIMELMGKPNSADYVPSLRRLDPQGIKKRMEFHIGSLLKLVSSFVMERIAEGVRDDKEKDFLDMLLEFAHQQVDEDTKLSPTAININIVETLIAGTDSSAGTMEWAMAELMHKPSTLKKVQEELRRNIHPGEKIEEKHVVELPYLNAVIKEALRMHPPVPFLIPHKSTKACTVMGYHIPQDTQILVNSWGIGRQEKLWNDPDDFQPERFIKDGGIDYKGNHFHFIPFGSGRRICPGIPLVQRMLPLVLGTMLYKFDWVLPNNGIKAEDMDMRERLGTTLRKAVQLSAIPVPYKAEEAIGVAGDQ >DRNTG_29230.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3286490:3288357:1 gene:DRNTG_29230 transcript:DRNTG_29230.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 76, subfamily G, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT3G52970) UniProtKB/TrEMBL;Acc:F4J865] MEFLLTRTVTIIQLALLLLALSFILHRRLRRRPITTPASLPPGPRPLPLIGNFLNIGTLPHQSCAMLARKYGPIMTIHLGAVTTVVISSASAAQEMFKQHDAALAGRYVYEAINLKNGNEGSVITAQPGPRWRSLRRLQNTMFFTSSRLEAMRGVRAGCVDTLMRRITAASGGGTQPVNVSKLLFLTMFDHIGRIALSRDVLLDSDWDQIGGAFLYHASQIMELMGKPNSADYVPSLRRLDPQGIKKRMEFHIGSLLKLVSSFVMERIAEGVRDDKEKDFLDMLLEFAHQQVDEDTKLSPTAININIVETLIAGTDSSAGTMEWAMAELMHKPSTLKKVQEELRRNIHPGEKIEEKHVVELPYLNAVIKEALRMHPPVPFLIPHKSTKACTVMGYHIPQDTQILVNSWGIGRQEKLWNDPDDFQPERFIKDGGIDYKGNHFHFIPFGSGRRICPGIPLVQRMLPLVLGTMLYKFDWVLPNNGIKAEDMDMRERLGTTLRKAVQLSAIPVPYKAEEAIGVAGDQ >DRNTG_29230.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3286373:3288357:1 gene:DRNTG_29230 transcript:DRNTG_29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 76, subfamily G, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT3G52970) UniProtKB/TrEMBL;Acc:F4J865] MEFLLTRTVTIIQLALLLLALSFILHRRLRRRPITTPASLPPGPRPLPLIGNFLNIGTLPHQSCAMLARKYGPIMTIHLGAVTTVVISSASAAQEMFKQHDAALAGRYVYEAINLKNGNEGSVITAQPGPRWRSLRRLQNTMFFTSSRLEAMRGVRAGCVDTLMRRITAASGGGTQPVNVSKLLFLTMFDHIGRIALSRDVLLDSDWDQIGGAFLYHASQIMELMGKPNSADYVPSLRRLDPQGIKKRMEFHIGSLLKLVSSFVMERIAEGVRDDKEKDFLDMLLEFAHQQVDEDTKLSPTAININIVVYLVLMLQILFSYVYIYKLVA >DRNTG_29230.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3286490:3288321:1 gene:DRNTG_29230 transcript:DRNTG_29230.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 76, subfamily G, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT3G52970) UniProtKB/TrEMBL;Acc:F4J865] MEFLLTRTVTIIQLALLLLALSFILHRRLRRRPITTPASLPPGPRPLPLIGNFLNIGTLPHQSCAMLARKYGPIMTIHLGAVTTVVISSASAAQEMFKQHDAALAGRYVYEAINLKNGNEGSVITAQPGPRWRSLRRLQNTMFFTSSRLEAMRGVRAGCVDTLMRRITAASGGGTQPVNVSKLLFLTMFDHIGRIALSRDVLLDSDWDQIGGAFLYHASQIMELMGKPNSADYVPSLRRLDPQGIKKRMEFHIGSLLKLVSSFVMERIAEGVRDDKEKDFLDMLLEFAHQQVDEDTKLSPTAININIVETLIAGTDSSAGTMEWAMAELMHKPSTLKKVQEELRRNIHPGEKIEEKHVVELPYLNAVIKEALRMHPPVPFLIPHKSTKACTVMGYHIPQDTQILVNSWGIGRQEKLWNDPDDFQPERFIKDGGIDYKGNHFHFIPFGSGRRICPGIPLVQRMLPLVLGTMLYKFDWVLPNNGIKAEDMDMRERLGTTLRKAVQLSAIPVPYKAEEAIGVAGDQ >DRNTG_16919.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22060151:22061807:1 gene:DRNTG_16919 transcript:DRNTG_16919.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGFMTITLAALPETSHAILYPNISLNECGARCLNNCLCTAYATANINGAGLGCVIWVTEIIDLRMSLNPTQDVFVRLAAADLASISNKSSKKSRSKSVVLIIVFSTVPLIIPLIYFYPWGRKKMIHKDIRGNGEFELAQLQWSTLMAATQNFAKINILGKGGFGLVYKGKMAEGHEIAVKRLSRNSTQ >DRNTG_28508.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14818600:14820663:-1 gene:DRNTG_28508 transcript:DRNTG_28508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIDAGDSLKKSKSLEEPGREHEDRDRDRKLDRDCVEIETGNENIIEEIEIGIEKEKALTFGQISKLST >DRNTG_02840.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20006649:20017526:1 gene:DRNTG_02840 transcript:DRNTG_02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSALEYINQMFPTEASLSGVEPLMQKIHSEIRRVDAGILAAVRQQSNSGTKAKEDLAAATHAVQELMYKIREIKSKAEQSEAMVQEICRDIKKLDFAKKHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDVPKINELREKFKNIKKILKSHVFSDFSSLGTGKETEEANLLQHLSDACLVVDALEPSVREELVKNFCNKELISYQQIFEGAELAKLDKTERRYAWIKRRLRTNEEIWKIFPPAWHVDYLLCIQFCKLTRSQLVDILNNLKEKPDVATLLSALQRTLEFEEELAKKFSGGTTNSRSREYGDDVDDVDEGENSNKRIVSDIQKKYEKKLALHRGGGTEQEDKQKELLIPGAGFNFRGIISSCFEVHLTVYVELEEKTLMEHLEKLVQEETWETEEGSQTNILSSSMQVFLIIRKSLKRCASLTKNQTLFNLFEVFKRILRSYATKLYARLPKGGTGIVAAATGTDGQIKTSDRDERMICYIVNTAEYCHQTSGELAENVSKIIESQFAEKIDISDVQDEFSAVITKALLTLVYGLETKFDAEMIAMTRVPWANLESVGDQSEYVNGIISILTSSIPALGNLLSPTYFQFFLDKLAASLGPRFYLNIYKCKQISETGAQQMLLDTQAVKTILLEIPALGKQATVVAGYSKFVNREMSKAEALLKVILSPIESVGDTYRALIPEGTPLEFQRILDLKGLKKVDQQSLMEDFNKHNTGIKHHSMAPTVMTPAPAPPTIPTLAPTSTSSSTTTMPGGAIVSREDVLARAAALGRGAATIGFKRFLALTEAAKDRKDGPFRKLFNP >DRNTG_13382.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3925777:3928908:1 gene:DRNTG_13382 transcript:DRNTG_13382.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGQVKARLIEVLLELLERHRRGRALVTDEHLA >DRNTG_02136.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28850145:28855970:-1 gene:DRNTG_02136 transcript:DRNTG_02136.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEGSVRMAPAPAVNGGFEDFERDLEAVLREQQHQSRAALDRERERELNLYRSGSAPPTVEGSRRAVGNLFGAPGGQPESLFVDNHDAGEMLSEDEMRSHPAYLSYYYSNENLNPRLPPPMVSKEDWRVAQRFHGFGGIGDRRKKESWEGDGSSSSLFSLQPGVLPPQQQSSQWSGHGANALMGLPDVGLGARRKSFADVVQEELNLSTSMPMHHSRPVSRNAFDNVVDRVAASDSQHSLLHKESQNIEGLHAGAASPNLVRVKSLGSGISHSFASAVRSPIRSTTPELPPIGRSPSPCLPSIGGRLSDVEKKTLSGTNGLGGVSAHVLNDGDMVAALSGLSLSKKLVSERGGNLVQGQLHHEFSDQPEFLLNRPTDHKHYLRQKIIEKSEDKPLNISTDLFTGYTDFSKKNGALTDLELSKLTSNEQINLQKPSPSSNLYRTVAPLGTNTTPGSSHFQAVDIQQNQAAADEQFLNRAGDQMGSGFQVPIADPLYAQYVQGASDSIAQASARLDPSFGRNSLGTSPVDLAGYQKAYLETLLAQQRLQYGMPFFSKSGGLNHGLYGNNVFDAGIPYQGSPLSTSVLSSLGIGSPLRHSDRLSRFPSMTRTAAGPLGSWTLDNGTLEDGFASSLLDEFKTNKTRAFDLSDIVDHVVKFSMDQYGSRFIQQKLETASTEEKNKIFPEILPQARSLMTDVFGNYVIQKFFEHGTEIQRKQLASQLTGHVLPLSLQMYGCRVIQKALEVVDVEQQTKMVLELEGSIMKCVRDQNGNHVIQKCIECVPQERIQFIIKTFYGQVVALSTHPYGCRVIQRVLEHCDDPETQSTMMDEILKSVCMLAQDQYGNYVVQHVLQHGKPEERSAIICKLIGQIVKMSQQKFASNVVEKCLTFGSPEERQLLINEMLGTTDENEPLQAMMKDQFGNYVVQKVLETCDDRNRELILSRIKVHLNALKKYTYGKHIVTRVEKLVAAGERHIGITSHSS >DRNTG_06451.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29946152:29951721:1 gene:DRNTG_06451 transcript:DRNTG_06451.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDLSSKISEMPALESGKPFSPNMFDHVLRKFTPDLQAGISGRPRQEDAQEFLSFVMDQMHDELLKLENPLFSQNEKCSFFISSVEDDGWETVGPKNKSAVTRTQKFMPSQLSAIFGGQLRSVVKARGSKASATVQPYLLLHLDIHPESVHTIEDALHLFSAPEALEGYKASAGKAAEVAASKSVKIQELSRIMILHLMRFSYGSKGMAKLHKPVCFPLELVLGRELLATPVSEGRKYELVATITHHGREPSRGHYTSDARISHGRWLRYDDASVTAVSINDVLHDQAYVLFYKQV >DRNTG_06451.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29945472:29951721:1 gene:DRNTG_06451 transcript:DRNTG_06451.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDLSSKISEMPALESGKPFSPNMFDHVLRKFTPDLQAGISGRPRQEDAQEFLSFVMDQMHDELLKLENPLFSQNEKCSFFISSVEDDGWETVGPKNKSAVTRTQKFMPSQLSAIFGGQLRSVVKARGSKASATVQPYLLLHLDIHPESVHTIEDALHLFSAPEALEGYKASAGKAAEVAASKSVKIQELSRIMILHLMRFSYGSKGMAKLHKPVCFPLELVLGRELLATPVSEGRKYELVATITHHGREPSRGHYTSDARISHGRWLRYDDASVTAVSINDVLHDQAYVLFYKQV >DRNTG_06451.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29945472:29951721:1 gene:DRNTG_06451 transcript:DRNTG_06451.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDLSSKISEMPALESGKPFSPNMFDHVLRKFTPDLQAGISGRPRQEDAQEFLSFVMDQMHDELLKLENPLFSQNEKCSFFISSVEDDGWETVGPKNKSAVTRTQKFMPSQLSAIFGGQLRSVVKARGSKASATVQPYLLLHLDIHPESVHTIEDALHLFSAPEALEGYKASAGKAAEVAASKSVKIQELSRIMILHLMRFSYGSKGMAKLHKPVCFPLELVLGRELLATPVSEGRKYELVATITHHGREPSRGHYTSDARISHGRWLRYDDASVTAVSINDVLHDQAYVLFYKQV >DRNTG_06451.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29945472:29951721:1 gene:DRNTG_06451 transcript:DRNTG_06451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDLSSKISEMPALESGKPFSPNMFDHVLRKFTPDLQAGISGRPRQEDAQEFLSFVMDQMHDELLKLENPLFSQNEKCSFFISSVEDDGWETVGPKNKSAVTRTQKFMPSQLSAIFGGQLRSVVKARGSKASATVQPYLLLHLDIHPESVHTIEDALHLFSAPEALEGYKASAGKAAEVAASKSVKIQELSRIMILHLMRFSYGSKGMAKLHKPVCFPLELVLGRELLATPVSEGRKYELVATITHHGREPSRGHYTSDARISHGRWLRYDDASVTAVSINDVLHDQAYVLFYKQV >DRNTG_03291.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8960138:8960857:1 gene:DRNTG_03291 transcript:DRNTG_03291.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSMRSKRPIYFLSPEKFPCLSCKANVVDNDISSSFGSSGPIKSSSHYHTFFPSRAETQEDAAPGNFQETEDLDLSLKL >DRNTG_20141.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22625178:22626343:-1 gene:DRNTG_20141 transcript:DRNTG_20141.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYQPSDVLPHPSMTLNEEKHMESIL >DRNTG_20141.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22623981:22632828:-1 gene:DRNTG_20141 transcript:DRNTG_20141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFDSKTSAGSGMVRTREEKRREGSKQEDAMTQSTIAGTAAGGTRAKLFVSDATASSILDSEKP >DRNTG_20141.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22624275:22625100:-1 gene:DRNTG_20141 transcript:DRNTG_20141.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRQMVSSSLQIKPRFLTSDPRQNGTRIVPISSQE >DRNTG_20141.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22624275:22626343:-1 gene:DRNTG_20141 transcript:DRNTG_20141.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRQMVSSSLQIKPRFLTSDPRQNGTRIVPISSQE >DRNTG_20141.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22627680:22632828:-1 gene:DRNTG_20141 transcript:DRNTG_20141.2 gene_biotype:protein_coding transcript_biotype:protein_coding ILVPNVHPIQQGKALLPTFAPQVPMHPHPHHQKKHKHLANVCL >DRNTG_20141.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22624613:22625100:-1 gene:DRNTG_20141 transcript:DRNTG_20141.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRQMVSSSLQIKPRFLTSDPRQNGTRIVPISSQE >DRNTG_21429.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3470601:3478301:1 gene:DRNTG_21429 transcript:DRNTG_21429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFHRILSPFSLLLLLFLFSTSFASTVYDILTENGLPQGLLPDAVKEYSLSPNGEFVVEFSKPCYVFFTDLFYYEKRITGKLEYGRISNLSGIQVKKFFIWSSVEGIVATDDRTLDFTVGFLTEKHRFSEFAEIPTCRSKPGTSCRGAESLLISEA >DRNTG_21429.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3470601:3472940:1 gene:DRNTG_21429 transcript:DRNTG_21429.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFHRILSPFSLLLLLFLFSTSFASTVYDILTENGLPQGLLPDAVKEYSLFPDGEFVVEFSKPCYAKFSLLVYYEKKITGKLEYGRISNLSGIQVKKFFIWVGVDEIVASADDRSLDFTVGFITEKHPVSEFAEIRPCKSKAGTSCRGAESLSLISEV >DRNTG_12603.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20365077:20368730:1 gene:DRNTG_12603 transcript:DRNTG_12603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERKLRSDGDCSTKNPHEECFPVDHEITHLTKVRSEPSVKARKVIHPSGKMPIPTIKMLYGREANYTGRGRFSLADCSHVLGRYLPVNGPWFVDRMDSRAYVSQFSADGSLLVGGFQGSRIKIYDVDNGWALRKDIVAKSLRWTITDTTLSPDQRFLVYASMSPVLHIVNVGTAATESYANINEIHEGLVLSTDDDEDYSVGIFSVKFSTDGRELVAGSSDDSIYMYDLEANKVTSRILAHTSDVNTVTFADETGNIIYSGSDDSLCKVWDRRCLRERGRPAGILAGHVEGITFIDSRKDGWYFISNGKDQTIKLWDIRKMTSKDKWRRRKRLHWDYRWMEYPFEARRMKHPNDMSLATYQGHSVLQTLIRCYFSPQYSTGQKYIYTGSNDKCVYIFDVVTGAQVAKLAGHSSTVRDCSWHPYYPTLITSSWDCLIARWEFSGNDPDNILLTKTGRRNRARLYAD >DRNTG_03806.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000179.1:8220:9022:-1 gene:DRNTG_03806 transcript:DRNTG_03806.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRAMSQAMGRAARPPHAQVTDRDTGASPRTAGNRWGPPLCNGGLARKRGHDAHGPAGPGWPKAGRRRHERGSTARPPAEFRVAHGQPPGLAMAVRAMSQAMGAPRARPTPSDRQGHRRLAADGGEPLGTALVQWRVSAETGHDAHGPARPGWPKAGRRRHERGSTARPPAEFRVAHGQPPGLAMAVRAMSQAMGAPRARPTPATDRDTGASPRTAGNRWGPPLCNGGLARKR >DRNTG_10955.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:236989:238072:-1 gene:DRNTG_10955 transcript:DRNTG_10955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPTYFETALDLRAQVDLLTALANKGITPSETRKYSMESIEKAIEEATKATPVIRCNKGGQLYEIYVCFDKHGGSAIECPLRPYFSCPNEIIIPPFTDNMLKIDAYPSINATPSVSVQ >DRNTG_27923.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22768545:22768800:1 gene:DRNTG_27923 transcript:DRNTG_27923.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSTKLHPQARNTYLYSLRGSTGEENLPPSPSFKRRKTGNSAS >DRNTG_16304.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000808.1:22626:23221:-1 gene:DRNTG_16304 transcript:DRNTG_16304.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSYNLVSIWSSLPDDDPLLGLLMMTEYCRFRQGWRYFPCGIGAECTNQSIGAPPLRKTAKEPRMNFNRETRASSDPANGKDHGGERERVEGLF >DRNTG_05567.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000255.1:12900:13925:1 gene:DRNTG_05567 transcript:DRNTG_05567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPEKERVMYSVVKDGKVENHEGTSNAPKELLLKSLKAKN >DRNTG_21695.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:964725:965645:1 gene:DRNTG_21695 transcript:DRNTG_21695.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:Projected from Arabidopsis thaliana (AT5G02560) UniProtKB/TrEMBL;Acc:F4KCF4] MESGGKLKKGAGGRKGGGPRKKPTSRSVKAGLQFPVGRIGRYLKKGRYSQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKSAAAAKEPKSPSKATKSPKKA >DRNTG_17407.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:14752699:14753777:1 gene:DRNTG_17407 transcript:DRNTG_17407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARNGAMHLLRISNLQTPYFQSSHGTKVEICGKTTSNTVPSTSGATAKIEAFDKPFDVALPLLHHSLILLRHAKSTLVGSRIKGTSSPLLLFNLL >DRNTG_03001.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19037567:19038201:1 gene:DRNTG_03001 transcript:DRNTG_03001.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNSRNGGRIYHVGGERGRSHKVTNKNSQP >DRNTG_26456.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:460998:461897:-1 gene:DRNTG_26456 transcript:DRNTG_26456.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP37, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G15520) UniProtKB/Swiss-Prot;Acc:P82869] HQSPKDRRTCFEKSNSCQPKHESNTGKLNCSCCFSKQYE >DRNTG_26456.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:453658:461897:-1 gene:DRNTG_26456 transcript:DRNTG_26456.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP37, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G15520) UniProtKB/Swiss-Prot;Acc:P82869] MLKCTNQAVLSDNELDKYGYSVPLEVMPSGQFEPLYKTTLSVQDGELPVLPLSVYGAVAMAHSVDSDEYSSPSQFFFYLYDKRNAGLGGLSFDEGQFSVFGYTTVGKEILPQIKSGDVIRSAKLLQGQERLMLPNMK >DRNTG_26456.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:453658:461897:-1 gene:DRNTG_26456 transcript:DRNTG_26456.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP37, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G15520) UniProtKB/Swiss-Prot;Acc:P82869] MIRNIPVSSTMVALASASVISSIQASGKLGSLSPSHSPLLLGSGFARQRPAPLVIRACKPSLSQEYPGDNGTATLSDFLALLQGKEKLKRTISVILILVQISFPLPFYEMNSWSASSANAVLYSPDTKVPRTGELALRRAIPANPNMKAIQDSLEDISYLLRIPQRKPYGTMEGDVKKAMKIAKEEKESILGSIPVEFKEKGSVLYAFLLDGKAWLQNLIESVKDKDPDKVSVGLSSSLDIVAELELLQAPGLSFLLPEQYLEYPRLTGRGVVEFTIEKGDGTTFFPSAGGEPKGSATIQVIIDGYSAPLTAGNFAKLVIDRAYDGVMLKCTNQAVLSDNELDKYGYSVPLEVMPSGQFEPLYKTTLSVQDGELPVLPLSVYGAVAMAHSVDSDEYSSPSQFFFYLYDKRNAGLGGLSFDEGQFSVFGYTTVGKEILPQIKSGDVIRSAKLLQGQERLMLPNMK >DRNTG_26456.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:453658:460464:-1 gene:DRNTG_26456 transcript:DRNTG_26456.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP37, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G15520) UniProtKB/Swiss-Prot;Acc:P82869] MQMSDVTWSVFHLSCDGSQIAKEEKESILGSIPVEFKEKGSVLYAFLLDGKAWLQNLIESVKDKDPDKVSVGLSSSLDIVAELELLQAPGLSFLLPEQYLEYPRLTGRGVVEFTIEKGDGTTFFPSAGGEPKGSATIQVIIDGYSAPLTAGNFAKLVIDRAYDGVMLKCTNQAVLSDNELDKYGYSVPLEVMPSGQFEPLYKTTLSVQDGELPVLPLSVYGAVAMAHSVDSDEYSSPSQFFFYLYDKRNAGLGGLSFDEGQFSVFGYTTVGKEILPQIKSGDVIRSAKLLQGQERLMLPNMK >DRNTG_01572.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5337530:5338050:-1 gene:DRNTG_01572 transcript:DRNTG_01572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVETEQIMVKANEKLVECETVDEDMQMVETEILTQVIGKERCGRVRELGLGPTLKTYYRGTTSRNSTTSSAQSSEFVERFNQMEQQMQQLKEEREQERAQECA >DRNTG_01572.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5337530:5337871:-1 gene:DRNTG_01572 transcript:DRNTG_01572.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMVETEILTQVIGKERCGRVRELGLGPTLKTYYRGTTSRNSTTSSAQSSEFVERFNQMEQQMQQLKEEREQERAQECA >DRNTG_12175.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12649032:12650290:1 gene:DRNTG_12175 transcript:DRNTG_12175.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVRWRMMMIFGEVVKYKSSMDAFSQILKKEGAKSLFKNTSAISSVPLPVLV >DRNTG_12175.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12648225:12650290:1 gene:DRNTG_12175 transcript:DRNTG_12175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFWQVVEQQTVSITKVGIITSLNARTSVLACANPRGSHYNPRLFVIDNIFLLPTLLSRWRWKC >DRNTG_12175.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12649475:12650290:1 gene:DRNTG_12175 transcript:DRNTG_12175.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVRWRMMMIFGEVVKYKSSMDAFSQILKKEGAKSLFKNTSAISSVPLPVLV >DRNTG_17025.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10674174:10685629:1 gene:DRNTG_17025 transcript:DRNTG_17025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDVEVELQDVQGIDPNRKKSPAFLFGWASLSRSSSSLSNIVSKKNSRSSIRHFIILQCEFPELVTANADKDEEIFIRAIRWGMLLLSSHWREGRMRGHPRTNSSAPEKPRTTSPGPNTPFPDGNIPSYQNNPPLQQPLTATASLWRQCRRRASLSNNYSQVKSYRYRKRAATTRGRPLLALQIYDLQSRSPLSLSLYISGRRRRTEPLLAAAALSSPVPNRRHLQLIAAPEHRPLVGWVGMGTREVYEEKLRSGNLHYDPTIKPGLGSARCPRCLSLVDPNSVSILTLSGSAGWTITSVLHDATAVAGSGAAAMLSAVHGFNTGIPLVQKHVKGPKWLQMLIGVPPLLVFSGVSAAFGGYAVPKFAQLSVTSYYTASNTAQRAISQVTRYIEDKHSCHALNEKSR >DRNTG_23076.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2415769:2418582:-1 gene:DRNTG_23076 transcript:DRNTG_23076.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-C-methyl-D-erythritol 2,4-cyclodiphosphate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G63970) UniProtKB/Swiss-Prot;Acc:Q9CAK8] MPSLLPPNLFGFHETSSPISAIQVEQAASASPSPSRPLPVLPFRVGHGFDLHRLEPGYPLIIGGIDIPHDRGCEAHSDGDVLLHCVVDAILGALGLPDIGQIFPDTDPRWRGAASSVFLKEAVRLMHEAGYELGNLDATLILQRPKLSPHKEVIRANLCDLLGADPSVVNLKAKTHEKVDSLGENRSIAAHTVVLLMRK >DRNTG_05918.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5108526:5109645:-1 gene:DRNTG_05918 transcript:DRNTG_05918.2 gene_biotype:protein_coding transcript_biotype:protein_coding SCLSSQGSIIDQIDYNIQNAVASVDEGSEQLQQPPPP >DRNTG_05918.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5108526:5113789:-1 gene:DRNTG_05918 transcript:DRNTG_05918.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWNRTPFRSPNVSFSSIGPSSSGGSPMIELVSVVLLRTDHCYNIE >DRNTG_35222.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14454464:14460953:1 gene:DRNTG_35222 transcript:DRNTG_35222.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFGNYENKMDSNYDLNEKAEDSGGEGAYNSLGNREMDASSSEKDIEMKADDQYQSEEEQLDGSRQQSDTSGGNAVGRGGRRTALGATWGSSFWKDCQPMGDAKDDDYGLNKDTGDSEINVSEESEGQKDEEEFQRGQAEVPVEEMLSDDYYEQDGEEPSDSRAPSRPSFSGSRLLTKSVSMKKNVSKSSKAVKFDEYDDDDYDEEEEEEDDPDDVDFEPDFEDAGKKARNKAKNSDIDDFIDDDDEEEDDEEDDVLESSDGADIDYAHDRHRRMPIKGKHKAKPIKESKSMVHGRRKRGKPSSDEEVSSEKDSEGDSDDDFDNKTKKPLQARKKSGGRPINSANVIC >DRNTG_35222.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14454464:14460953:1 gene:DRNTG_35222 transcript:DRNTG_35222.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFGNYENKMDSNYDLNEKAEDSGGEGAYNSLGNREMDASSSEKDIEMKADDQYQSEEEQLDGSRQQSDTSGGNAVGRGGRRTALGATWGSSFWKDCQPMGDAKDDDYGLNKDTGDSEINVSEESEGQKDEEEFQRGQAEVPVEEMLSDDYYEQDGEEPSDSRAPSRPSFSGSRLLTKSVSMKKNVSKSSKAVKFDEYDDDDYDEEEEEEDDPDDVDFEPDFEDAGKKARNKAKNSDIDDFIDDDDEEEDDEEDDVLESSDGADIDYAHDRHRRMPIKGKHKAKPIKESKSMVHGRRKRGKPSSDEEVSSEKDSEGDSDDDFDNKTKKPLQARKKSGGRPINSANVIC >DRNTG_35222.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14454464:14460953:1 gene:DRNTG_35222 transcript:DRNTG_35222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFGNYENKMDSNYDLNEKAEDSGGEGAYNSLGNREMDASSSEKDIEMKADDQYQSEEEQLDGSRQQSDTSGGNAVGRGGRRTALGATWGSSFWKDCQPMGDAKDDDYGLNKDTGDSEINVSEESEGQKDEEEFQRGQAEVPVEEMLSDDYYEQDGEEPSDSRAPSRPSFSGSRLLTKSVSMKKNVSKSSKAVKFDEYDDDDYDEEEEEEDDPDDVDFEPDFEDAGKKARNKAKNSDIDDFIDDDDEEEDDEEDDVLESSDGADIDYAHDRHRRMPIKGKHKAKPIKESKSMVHGRRKRGKPSSDEEVSSEKDSEGDSDDDFDNKTKKPLQARKKSGGRPINSANVIC >DRNTG_35222.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14454464:14460953:1 gene:DRNTG_35222 transcript:DRNTG_35222.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFGNYENKMDSNYDLNEKAEDSGGEGAYNSLGNREMDASSSEKDIEMKADDQYQSEEEQLDGSRQQSDTSGGNAVGRGGRRTALGATWGSSFWKDCQPMGDAKDDDYGLNKDTGDSEINVSEESEGQKDEEEFQRGQAEVPVEEMLSDDYYEQDGEEPSDSRAPSRPSFSGSRLLTKSVSMKKNVSKSSKAVKFDEYDDDDYDEEEEEEDDPDDVDFEPDFEDAGKKARNKAKNSDIDDFIDDDDEEEDDEEDDVLESSDGADIDYAHDRHRRMPIKGKHKAKPIKESKSMVHGRRKRGKPSSDEEVSSEKDSEGDSDDDFDNKTKKPLQARKKSGGRPINSANVIC >DRNTG_35222.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14454464:14460953:1 gene:DRNTG_35222 transcript:DRNTG_35222.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFGNYENKMDSNYDLNEKAEDSGGEGAYNSLGNREMDASSSEKDIEMKADDQYQSEEEQLDGSRQQSDTSGGNAVGRGGRRTALGATWGSSFWKDCQPMGDAKDDDYGLNKDTGDSEINVSEESEGQKDEEEFQRGQAEVPVEEMLSDDYYEQDGEEPSDSRAPSRPSFSGSRLLTKSVSMKKNVSKSSKAVKFDEYDDDDYDEEEEEEDDPDDVDFEPDFEDAGKKARNKAKNSDIDDFIDDDDEEEDDEEDDVLESSDGADIDYAHDRHRRMPIKGKHKAKPIKESKSMVHGRRKRGKPSSDEEVSSEKDSEGDSDDDFDNKTKKPLQARKKSGGRPINSANVIC >DRNTG_35222.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14454464:14460953:1 gene:DRNTG_35222 transcript:DRNTG_35222.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFGNYENKMDSNYDLNEKAEDSGGEGAYNSLGNREMDASSSEKDIEMKADDQYQSEEEQLDGSRQQSDTSGGNAVGRGGRRTALGATWGSSFWKDCQPMGDAKDDDYGLNKDTGDSEINVSEESEGQKDEEEFQRGQAEVPVEEMLSDDYYEQDGEEPSDSRAPSRPSFSGSRLLTKSVSMKKNVSKSSKAVKFDEYDDDDYDEEEEEDDPDDVDFEPDFEDAGKKARNKAKNSDIDDFIDDDDEEEDDEEDDVLESSDGADIDYAHDRHRRMPIKGKHKAKPIKESKSMVHGRRKRGKPSSDEEVSSEKDSEGDSDDDFDNKTKKPLQARKKSGGRPINSANVIC >DRNTG_27973.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001421.1:15226:18440:1 gene:DRNTG_27973 transcript:DRNTG_27973.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSMMNLLPSSAIAPSAMASAIFLFILFFFFASSTFVSATGDNYCAPSSCGNLTNIRHPFRLKDDPPNCGDSNYELTCDGLNRTILTVSSNHSYYVTNITYRDYSFDYLSVYFEIQVMYVGMERYNINNNSSCSHLIPLPASPLTPSNYQWNTPYYLRRSTSHYAINPLEYWVTMVNCSKEVKNKSMHHYYDVYDHKHYYYYRPVACLSHDNNSFIYLFPSKSVRDLMPSCRFLAMYPAKYPAFHDQQPIDIFKFLAQGLTLSGAVEPTKAFRINKIPYCLTKSIRETYQEATGKSNSIAVRIALILWGIEFKFLDCMGISNDDMSMKRYHLVPSTRIMLGILLSIARAGIVFAVLGRCIFAPLIIFTFLSHKLYQMMSSIDIVEKFLRNQQTLIPTRYSYTDIIAMTSHFKEKLGQGGFGSVFKGRLPFDKLVAIKMLTNSKHNTGEDFINEVSTIGMVHHINVVKLIGFCSDGTQRALIYEYMANGSLDKFIFSSNNGPNHKFSLDKLIDIALGVARGLDYLHKGCDMQILHFDIKPHNILLDHNFNPKVSDFGLAKLYPKNNSLVSLGVARGTIGYIAPELISRSFGVISHKCDVYSFGMLLLEMTGGRRNSNPRADNTSQVYYPSWIYDKLVNATVDHDIVKMDTSFVIDEREKKLCIIGLWCIQIRPSDRPSMNKVIEMLEGDIGSLQMPPKPFFSEPTQILSMVSYLSTDDGELTTISEDANEIN >DRNTG_07295.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3529407:3531057:-1 gene:DRNTG_07295 transcript:DRNTG_07295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKYHTIPLRTLHKMAPFNSLTFLQALLIAGILSCHLWQSVVAQNCGCAPGLCCSQYGYCGTGNEYCGQGCKQGPCHKTSGGSGGGGGGGGTVTSIVSQKFFNSIASKAGAGCEGKGFYTRSAFLEAALAYPRFGTTGTAVEKKREIAAYFAHVTHETGSLCYINEINGAKQNYCDKSDKQFPCNPRKKYYGRGPLQLTWNYNYGAAGKSIGFDGLNSPEEVANDRIISFKASLWFWINNVHSAMTSGKGFGATIKAINGDIECNGKSPAAVNARVRYYKDYCRQFGVSPGANLYC >DRNTG_07295.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3529407:3530053:-1 gene:DRNTG_07295 transcript:DRNTG_07295.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGKGFGATIKAINGDIECNGKSPAAVNARVRYYKDYCRQFGVSPGANLYC >DRNTG_22989.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1787772:1789790:-1 gene:DRNTG_22989 transcript:DRNTG_22989.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGRVALVLCLNIGVDPPDVIKISPCARMECWIDPFTMAAPKALETIGKALHTQYERWQPRARYKVQLDPAVEEVKKLCNSCRKYARSERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPISDLDSWLKTPSIYVFDCSAAGMILSAFIE >DRNTG_22989.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1787772:1788302:-1 gene:DRNTG_22989 transcript:DRNTG_22989.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKALETIGKALHTQYERWQPRARYKVQLDPAVEEVKKLCNSCRKYARSERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPISDLDSWLKTPSIYVFDCSAAGMILSAFIE >DRNTG_22989.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1787772:1788143:-1 gene:DRNTG_22989 transcript:DRNTG_22989.3 gene_biotype:protein_coding transcript_biotype:protein_coding ARYKVQLDPAVEEVKKLCNSCRKYARSERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPISDLDSWLKTPSIYVFDCSAAGMILSAFIE >DRNTG_28212.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11627224:11631526:1 gene:DRNTG_28212 transcript:DRNTG_28212.2 gene_biotype:protein_coding transcript_biotype:protein_coding SVLFGQGNAQVSSDLSSEIRRLLQLCEEEDDATSKLAELPPVNPKPEPLDVPEPDVQSLQDERIAPTFQQDYYVAQGIPEHGLLGVDQYKCAMPTGLHHGAMLEEATHLDYQQFHLHQESSHNYLIGFDTSGQSGREASHITEVIQAICPPPSAFVNPKCALWDCQRPALGSEWCHDYCSNFHATLALNEGPPGRTPVLRPGGIDLKDGPLFAALCAKTHGKNVGIPECEGAATAKSPWNARELFDLSILEGESLREWLFFDKPRRAFESGNRKQRSLPDYNGRGWHESRKQVMKDYGGLKRSYYMDPQPHSHIEWHLYEYEINNYDSYALYRLELKLVDPNKGTKGKVVNDSLADLQQQMGRLNAENPMDNKRSVKGRTKVNQKASVENVYTAPDITNEDNARNVYPADQMATMNGNVCYGPQMPYDFNVDSLNDFYRM >DRNTG_28212.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:11627224:11631526:1 gene:DRNTG_28212 transcript:DRNTG_28212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSKSVCRSASHQLFKDKAKNRVDDLQGMFTDLQLARKESRTGDVVVLEEQVHQMLREWKAELTDDSPASSLLGNAQVSSDLSSEIRRLLQLCEEEDDATSKLAELPPVNPKPEPLDVPEPDVQSLQDERIAPTFQQDYYVAQGIPEHGLLGVDQYKCAMPTGLHHGAMLEEATHLDYQQFHLHQESSHNYLIGFDTSGQSGREASHITEVIQAICPPPSAFVNPKCALWDCQRPALGSEWCHDYCSNFHATLALNEGPPGRTPVLRPGGIDLKDGPLFAALCAKTHGKNVGIPECEGAATAKSPWNARELFDLSILEGESLREWLFFDKPRRAFESGNRKQRSLPDYNGRGWHESRKQVMKDYGGLKRSYYMDPQPHSHIEWHLYEYEINNYDSYALYRLELKLVDPNKGTKGKVVNDSLADLQQQMGRLNAENPMDNKRSVKGRTKVNQKASVENVYTAPDITNEDNARNVYPADQMATMNGNVCYGPQMPYDFNVDSLNDFYRM >DRNTG_09017.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31215853:31216764:1 gene:DRNTG_09017 transcript:DRNTG_09017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETNSSYPSCMTCWLPCVTFGRNAEILDEGRTSCMVHGLVYGLLWTISCQWVISCIYREKLRSKYGLPAEPCCDCLVHFCCEPCALCQEHAELRSRGFDASKGLVTRRLNFLHYIYI >DRNTG_13371.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2336729:2338953:-1 gene:DRNTG_13371 transcript:DRNTG_13371.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTLSRWLRPEVYPLFLAVGTAVGICGMQLIRNISTNPEVRVTKENRAAGILENFEEGEKYAQHGLRKFLRNKSPEIMPTVNDFFSKPN >DRNTG_17831.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15996383:15996646:1 gene:DRNTG_17831 transcript:DRNTG_17831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAVSLSFSSSTRATLLSTSTPSASLPPLASSTLKTSVPSFTILNHTAMLALPNQKKTFPTSSRHGPLISPPSKAQSLPSSSSLA >DRNTG_07652.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23298588:23304273:-1 gene:DRNTG_07652 transcript:DRNTG_07652.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLTGVGEAIYSLRSILDSSKFMNNLFIPINAILFIVLLTNLVYKVLSRKIQVRTLFCWSSWLRVSSAVYNGCLGSIYIVIGLWMFMKRPKINHPPWLVVFSQGITMVLIVVVVSIRTSVLGCVFAKFWSVITTVSTATLCFYCTSFIIAEKKTWIEFVLGLLSLPGVAMLLFSSFINSNNVEEDEENVSSGLYQPLSEGKVAFADSVTPFAKAGFISKMSFWWLNSMMKKGYERPLDEKDMPLLHEPDRAESCYNEFMEQWDKQKQSKSSSSPSFLWTIVSCHKQDILVSALFALLKILTLSSGPVLLKAFINVASGNQYFKYEGYLLALGMFIAKCLESLSQRQWYFRTRLLGLKIRSLLSAAIYQKQLRLSNSAKLIHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTSLQLFIALIILYNAVGLATIAAFAVIVLTVICNAPLAKLQHKFQTKLMGAQDERLKSMTEALVNMKVLKLYAWDTHFKKIIEGLRSVECKWLSAVQLRRSYNNFLFWSSPVLVSAATFLSCYLLHIPLNASNVFTFVATLRLVQDPVRLIPDVIGVVIQAKVAFTRIVRFLDAPELQNGHAKRKCGSELNQPIIINSCGFSWDGSVSKPTLRNISLEVYQGEKVAICGEVGAGKSTLLAAILGEIPKVEGTIQICGNIAYVSQTAWIQTGTVRDNILFGSPMDSNRYKATLEKCSLVKDIEMLPFCDLTEIGERGVNFSGGQKQRIQLARALYQDADIYLLDDPFSAVDAHTAASLFNDYVMGALSTKTVLLVTHQVDFLPSFNCILLLSDGEILRAAPYNELFATCKEFQDLVNAHKETVGTERLEKVASQKANNELFATCKEFQDLVIAHKETVGTERLEKVASQKANKISKREIKLSFGGDKNLAKLSGVDQLIKKEERETGDTGLKPYLLYLNQNKGFLYASLAALSHIIFIAGQISQNSWMAANVQNPQVSTLRLILVYLGIGISTAVFLFSRSGFIVVLGLQSSKSLFSQLLNSLFRAPMSFFDSTPLGRILSRVSSDLSIVDLDVPFSLVFSISATLNAYSNLGVLAVVTPQILFVTIPVIYVTLKLQKYYLASAKELMRINGTTKSIVVNHIAESLSGAMTIRAFKEEDRFFAKSLELIDKNASPFFLNFASTEWLIQRLETMSAAVLSSSALVMVLLPPGALSSGFVGMALSYGLSLNVSLVFSIQNQCTLANHIISVERLNQYMHVSSEAPEVINENRPALNWPSVGRVELHDLKIRYRPDTPLVLQGISCIFEGGHKIGIVGRTGSGKTTLIGALFRLVEPAGGKIVIDGIDISSIGLHDLRSRFGIIPQDPTLFNGSVRYNLDPLGQHTDAEIWEVLGKCQLREAVQEKEKGLDSLVVEDGSNWSMGQRQLFCLGRALLRRSRILVLDEATASIDNATDAILQKTIRTEFEHSTVITVAHRIPTVMDCTMVLVISDGKLMEYDEPMKLMKREGSLFGELVKEYWSHSAILN >DRNTG_07652.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23298588:23304273:-1 gene:DRNTG_07652 transcript:DRNTG_07652.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLTGVGEAIYSLRSILDSSKFMNNLFIPINAILFIVLLTNLVYKVLSRKIQVRTLFCWSSWLRVSSAVYNGCLGSIYIVIGLWMFMKRPKINHPPWLVVFSQGITMVLIVVVVSIRTSVLGCVFAKFWSVITTVSTATLCFYCTSFIIAEKKTWIEFVLGLLSLPGVAMLLFSSFINSNNVEEDEENVSSGLYQPLSEGKVAFADSVTPFAKAGFISKMSFWWLNSMMKKGYERPLDEKDMPLLHEPDRAESCYNEFMEQWDKQKQSKSSSSPSFLWTIVSCHKQDILVSALFALLKILTLSSGPVLLKAFINVASGNQYFKYEGYLLALGMFIAKCLESLSQRQWYFRTRLLGLKIRSLLSAAIYQKQLRLSNSAKLIHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTSLQLFIALIILYNAVGLATIAAFAVIVLTVICNAPLAKLQHKFQTKLMGAQDERLKSMTEALVNMKVLKLYAWDTHFKKIIEGLRSVECKWLSAVQLRRSYNNFLFWSSPVLVSAATFLSCYLLHIPLNASNVFTFVATLRLVQDPVRLIPDVIGVVIQAKVAFTRIVRFLDAPELQNGHAKRKCGSELNQPIIINSCGFSWDGSVSKPTLRNISLEVYQGEKVAICGEVGAGKSTLLAAILGEIPKVEGTIQICGNIAYVSQTAWIQTGTVRDNILFGSPMDSNRYKATLEKCSLVKDIEMLPFCDLTEIGERGVNFSGGQKQRIQLARALYQDADIYLLDDPFSAVDAHTAASLFNDYVMGALSTKTVLLVTHQVDFLPSFNCILLLSDGEILRAAPYNELFATCKEFQDLVNAHKETVGTERLEKVASQKANNELFATCKEFQDLVIAHKETVGTERLEKVASQKANKISKREIKLSFGGDKNLAKLSGVDQLIKKEERETGDTGLKPYLLYLNQNKGFLYASLAALSHIIFIAGQISQNSWMAANVQNPQVSTLRLILVYLGIGISTAVFLFSRSGFIVVLGLQSSKSLFSQLLNSLFRAPMSFFDSTPLGRILSRVSSDLSIVDLDVPFSLVFSISATLNAYSNLGVLAVVTPQILFVTIPVIYVTLKLQKYYLASAKELMRINGTTKSIVVNHIAESLSGAMTIRAFKEEDRFFAKSLELIDKNASPFFLNFASTEWLIQRLETMSAAVLSSSALVMVLLPPGALSSGFVGMALSYGLSLNVSLVFSIQNQCTLANHIISVERLNQYMHVSSEAPEVINENRPALNWPSVGRVELHDLKIRYRPDTPLVLQGISCIFEGGHKIGIVGRTGSGKTTLIGALFRLVEPAGGKIVIDGIDISSIGLHDLRSRFGIIPQDPTLFNGSVRYNLDPLGQHTDAEIWEVLGKCQLREAVQEKEKGLDSLVVEDGSNWSMGQRQLFCLGRALLRRSRILVLDEATASIDNATDAILQKTIRTEFEHSTVITVAHRIPTVMDCTMVLVISDGKLMEYDEPMKLMKREGSLFGELVKEYWSHSAILN >DRNTG_07652.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23298588:23299125:-1 gene:DRNTG_07652 transcript:DRNTG_07652.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRQLFCLGRALLRRSRILVLDEATASIDNATDAILQKTIRTEFEHSTVITVAHRIPTVMDCTMVLVISDGKLMEYDEPMKLMKREGSLFGELVKEYWSHSAILN >DRNTG_07652.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23298588:23304628:-1 gene:DRNTG_07652 transcript:DRNTG_07652.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLTGVGEAIYSLRSILDSSKFMNNLFIPINAILFIVLLTNLVYKVLSRKIQVRTLFCWSSWLRVSSAVYNGCLGSIYIVIGLWMFMKRPKINHPPWLVVFSQGITMVLIVVVVSIRTSVLGCVFAKFWSVITTVSTATLCFYCTSFIIAEKKTWIEFVLGLLSLPGVAMLLFSSFINSNNVEEDEENVSSGLYQPLSEGKVAFADSVTPFAKAGFISKMSFWWLNSMMKKGYERPLDEKDMPLLHEPDRAESCYNEFMEQWDKQKQSKSSSSPSFLWTIVSCHKQDILVSALFALLKILTLSSGPVLLKAFINVASGNQYFKYEGYLLALGMFIAKCLESLSQRQWYFRTRLLGLKIRSLLSAAIYQKQLRLSNSAKLIHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTSLQLFIALIILYNAVGLATIAAFAVIVLTVICNAPLAKLQHKFQTKLMGAQDERLKSMTEALVNMKVLKLYAWDTHFKKIIEGLRSVECKWLSAVQLRRSYNNFLFWSSPVLVSAATFLSCYLLHIPLNASNVFTFVATLRLVQDPVRLIPDVIGVVIQAKVAFTRIVRFLDAPELQNGHAKRKCGSELNQPIIINSCGFSWDGSVSKPTLRNISLEVYQGEKVAICGEVGAGKSTLLAAILGEIPKVEGTIQICGNIAYVSQTAWIQTGTVRDNILFGSPMDSNRYKATLEKCSLVKDIEMLPFCDLTEIGERGVNFSGGQKQRIQLARALYQDADIYLLDDPFSAVDAHTAASLFNDYVMGALSTKTVLLVTHQVDFLPSFNCILLLSDGEILRAAPYNELFATCKEFQDLVNAHKETVGTERLEKVASQKANNELFATCKEFQDLVIAHKETVGTERLEKVASQKANKISKREIKLSFGGDKNLAKLSGVDQLIKKEERETGDTGLKPYLLYLNQNKGFLYASLAALSHIIFIAGQISQNSWMAANVQNPQVSTLRLILVYLGIGISTAVFLFSRSGFIVVLGLQSSKSLFSQLLNSLFRAPMSFFDSTPLGRILSRVSSDLSIVDLDVPFSLVFSISATLNAYSNLGVLAVVTPQILFVTIPVIYVTLKLQKYYLASAKELMRINGTTKSIVVNHIAESLSGAMTIRAFKEEDRFFAKSLELIDKNASPFFLNFASTEWLIQRLETMSAAVLSSSALVMVLLPPGALSSGFVGMALSYGLSLNVSLVFSIQNQCTLANHIISVERLNQYMHVSSEAPEVINENRPALNWPSVGRVELHDLKIRYRPDTPLVLQGISCIFEGGHKIGIVGRTGSGKTTLIGALFRLVEPAGGKIVIDGIDISSIGLHDLRSRFGIIPQDPTLFNGSVRYNLDPLGQHTDAEIWEVLGKCQLREAVQEKEKGLDSLVVEDGSNWSMGQRQLFCLGRALLRRSRILVLDEATASIDNATDAILQKTIRTEFEHSTVITVAHRIPTVMDCTMVLVISDGKLMEYDEPMKLMKREGSLFGELVKEYWSHSAILN >DRNTG_07652.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23298588:23304273:-1 gene:DRNTG_07652 transcript:DRNTG_07652.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLTGVGEAIYSLRSILDSSKFMNNLFIPINAILFIVLLTNLVYKVLSRKIQVRTLFCWSSWLRVSSAVYNGCLGSIYIVIGLWMFMKRPKINHPPWLVVFSQGITMVLIVVVVSIRTSVLGCVFAKFWSVITTVSTATLCFYCTSFIIAEKKTWIEFVLGLLSLPGVAMLLFSSFINSNNVEEDEENVSSGLYQPLSEGKVAFADSVTPFAKAGFISKMSFWWLNSMMKKGYERPLDEKDMPLLHEPDRAESCYNEFMEQWDKQKQSKSSSSPSFLWTIVSCHKQDILVSALFALLKILTLSSGPVLLKAFINVASGNQYFKYEGYLLALGMFIAKCLESLSQRQWYFRTRLLGLKIRSLLSAAIYQKQLRLSNSAKLIHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTSLQLFIALIILYNAVGLATIAAFAVIVLTVICNAPLAKLQHKFQTKLMGAQDERLKSMTEALVNMKVLKLYAWDTHFKKIIEGLRSVECKWLSAVQLRRSYNNFLFWSSPVLVSAATFLSCYLLHIPLNASNVFTFVATLRLVQDPVRLIPDVIGVVIQAKVAFTRIVRFLDAPELQNGHAKRKCGSELNQPIIINSCGFSWDGSVSKPTLRNISLEVYQGEKVAICGEVGAGKSTLLAAILGEIPKVEGTIQICGNIAYVSQTAWIQTGTVRDNILFGSPMDSNRYKATLEKCSLVKDIEMLPFCDLTEIGERGVNFSGGQKQRIQLARALYQDADIYLLDDPFSAVDAHTAASLFNDYVMGALSTKTVLLVTHQVDFLPSFNCILLLSDGEILRAAPYNELFATCKEFQDLVNAHKETVGTERLEKVASQKANNELFATCKEFQDLVIAHKETVGTERLEKVASQKANKISKREIKLSFGGDKNLAKLSGVDQLIKKEERETGDTGLKPYLLYLNQNKGFLYASLAALSHIIFIAGQISQNSWMAANVQNPQVSTLRLILVYLGIGISTAVFLFSRSGFIVVLGLQSSKSLFSQLLNSLFRAPMSFFDSTPLGRILSRVSSDLSIVDLDVPFSLVFSISATLNAYSNLGVLAVVTPQILFVTIPVIYVTLKLQKYYLASAKELMRINGTTKSIVVNHIAESLSGAMTIRAFKEEDRFFAKSLELIDKNASPFFLNFASTEWLIQRLETMSAAVLSSSALVMVLLPPGALSSGFVGMALSYGLSLNVSLVFSIQNQCTLANHIISVERLNQYMHVSSEAPEVINENRPALNWPSVGRVELHDLKIRYRPDTPLVLQGISCIFEGGHKIGIVGRTGSGKTTLIGALFRLVEPAGGKIVIDGIDISSIGLHDLRSRFGIIPQDPTLFNGSVRYNLDPLGQHTDAEIWEVLGKCQLREAVQEKEKGLDSLVVEDGSNWSMGQRQLFCLGRALLRRSRILVLDEATASIDNATDAILQKTIRTEFEHSTVITVAHRIPTVMDCTMVLVISDGKLMEYDEPMKLMKREGSLFGELVKEYWSHSAILN >DRNTG_07652.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23298588:23304628:-1 gene:DRNTG_07652 transcript:DRNTG_07652.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLTGVGEAIYSLRSILDSSKFMNNLFIPINAILFIVLLTNLVYKVLSRKIQVRTLFCWSSWLRVSSAVYNGCLGSIYIVIGLWMFMKRPKINHPPWLVVFSQGITMVLIVVVVSIRTSVLGCVFAKFWSVITTVSTATLCFYCTSFIIAEKKTWIEFVLGLLSLPGVAMLLFSSFINSNNVEEDEENVSSGLYQPLSEGKVAFADSVTPFAKAGFISKMSFWWLNSMMKKGYERPLDEKDMPLLHEPDRAESCYNEFMEQWDKQKQSKSSSSPSFLWTIVSCHKQDILVSALFALLKILTLSSGPVLLKAFINVASGNQYFKYEGYLLALGMFIAKCLESLSQRQWYFRTRLLGLKIRSLLSAAIYQKQLRLSNSAKLIHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTSLQLFIALIILYNAVGLATIAAFAVIVLTVICNAPLAKLQHKFQTKLMGAQDERLKSMTEALVNMKVLKLYAWDTHFKKIIEGLRSVECKWLSAVQLRRSYNNFLFWSSPVLVSAATFLSCYLLHIPLNASNVFTFVATLRLVQDPVRLIPDVIGVVIQAKVAFTRIVRFLDAPELQNGHAKRKCGSELNQPIIINSCGFSWDGSVSKPTLRNISLEVYQGEKVAICGEVGAGKSTLLAAILGEIPKVEGTIQICGNIAYVSQTAWIQTGTVRDNILFGSPMDSNRYKATLEKCSLVKDIEMLPFCDLTEIGERGVNFSGGQKQRIQLARALYQDADIYLLDDPFSAVDAHTAASLFNDYVMGALSTKTVLLVTHQVDFLPSFNCILLLSDGEILRAAPYNELFATCKEFQDLVNAHKETVGTERLEKVASQKANNELFATCKEFQDLVIAHKETVGTERLEKVASQKANKISKREIKLSFGGDKNLAKLSGVDQLIKKEERETGDTGLKPYLLYLNQNKGFLYASLAALSHIIFIAGQISQNSWMAANVQNPQVSTLRLILVYLGIGISTAVFLFSRSGFIVVLGLQSSKSLFSQLLNSLFRAPMSFFDSTPLGRILSRVSSDLSIVDLDVPFSLVFSISATLNAYSNLGVLAVVTPQILFVTIPVIYVTLKLQKYYLASAKELMRINGTTKSIVVNHIAESLSGAMTIRAFKEEDRFFAKSLELIDKNASPFFLNFASTEWLIQRLETMSAAVLSSSALVMVLLPPGALSSGFVGMALSYGLSLNVSLVFSIQNQCTLANHIISVERLNQYMHVSSEAPEVINENRPALNWPSVGRVELHDLKIRYRPDTPLVLQGISCIFEGGHKIGIVGRTGSGKTTLIGALFRLVEPAGGKIVIDGIDISSIGLHDLRSRFGIIPQDPTLFNGSVRYNLDPLGQHTDAEIWEVLGKCQLREAVQEKEKGLDSLVVEDGSNWSMGQRQLFCLGRALLRRSRILVLDEATASIDNATDAILQKTIRTEFEHSTVITVAHRIPTVMDCTMVLVISDGKLMEYDEPMKLMKREGSLFGELVKEYWSHSAILN >DRNTG_07652.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23298588:23299656:-1 gene:DRNTG_07652 transcript:DRNTG_07652.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRQLFCLGRALLRRSRILVLDEATASIDNATDAILQKTIRTEFEHSTVITVAHRIPTVMDCTMVLVISDGKLMEYDEPMKLMKREGSLFGELVKEYWSHSAILN >DRNTG_32633.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001881.1:3827:4672:1 gene:DRNTG_32633 transcript:DRNTG_32633.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGNETFEKIASTGLLANFTVYLVSHFQMKQVDAANLAQIFFGTTNFAPLVGAFVADTCLGRFKTLACASIASFLVLQLLPLLI >DRNTG_32633.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001881.1:3827:74622:1 gene:DRNTG_32633 transcript:DRNTG_32633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGNETFEKIASTGLLANFTVYLVSHFQMKQVDAANLAQIFFGTTNFAPLVGAFVA >DRNTG_09383.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15529135:15529920:-1 gene:DRNTG_09383 transcript:DRNTG_09383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSDSAWKTWTEAWNSPEFKTRSIEAWTRSSPFEVTHTKKGTSMLVDARAQSIKDRYLELVEQASQTQEGHDELPIVDEIALYYEAVGGGKKSSSSEALHAEVRDLRQTLSQVQDREERLQQTLDHVQDR >DRNTG_34417.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2648618:2649026:-1 gene:DRNTG_34417 transcript:DRNTG_34417.2 gene_biotype:protein_coding transcript_biotype:protein_coding YKHLVINDFREGANVKGYFAWSLIDNFEWEKGYTERFGLNYVDFNTLERTPKDSAKWYSKFLQPKPQN >DRNTG_34417.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2648618:2649910:-1 gene:DRNTG_34417 transcript:DRNTG_34417.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPAIYITENGISDVDKPDITKEEALADEVRKNYLAAHLAEICEAIREGANVKGYFAWSLIDNFEWEKGYTERFGLNYVDFNTLERTPKDSAKWYSKFLQPKPQN >DRNTG_14494.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7241843:7243582:-1 gene:DRNTG_14494 transcript:DRNTG_14494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMLSNLLYDRSNSKDANTSRVNVRKAGSGMDNNLLELPPANSSSISSSNSSPRHARVTPPERPT >DRNTG_00827.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000057.1:14771:17385:1 gene:DRNTG_00827 transcript:DRNTG_00827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPSSPAPGEGQTVCVTGAGGYIASWLVKLLLQRGYTVKGTVRNPDDPKNIHLKTLDGAAERLILCKADLLDYQSLCAAISGCHGVFHVASPVTDDPEQMVEPAVKGTENVVDAAAEAGVRRVVFTSSIGAVAMDPNRGPDVVVDESCWSDLEFCKKTKNWYCYGKAVAEQAACQRAKEKGVDLVVVTPVLVMGPLLQPTINASIVHILKYLDGAVKTYTNAVQAYVDVRDVAMAHVLVYETPSASGRFLCAECVLHRGDVVDILAKLFPGYPLPSRCSDEVNPRKQPYKISNQRLKDLGLQFTPMSQSFYDSVKSLQEKGHLAIAS >DRNTG_18095.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:334020:337122:-1 gene:DRNTG_18095 transcript:DRNTG_18095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFGCCDEDDFQQASNYGAPYKNHAAGNDGSYRATDSAPRGAQAVKVQPIAVPAVTADELKEITENYSNKTLIGEGSYGKVYHGVLRIGRAAAIKNLDASKQPDQEFLAQVSMVSRLKHENVVELLGYCVDGNLRVLAYEYATMGSLHDILHGRKGVKGAEPGPVLSWAQRVKIAVGAAKGLEYLHEKAQPRVIHRDIKSSNVLLFDDDVAKLADFDLSNQAPDATARLHSTRVLGTFGYHAPEYAMTGQLSTKSDVYSLGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDARLNGNFPPKSVGKFAAVAALCLQYEADFRPNMSIVVKALQPLLNARSGHPGEGQAY >DRNTG_05971.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:20521787:20523627:-1 gene:DRNTG_05971 transcript:DRNTG_05971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRTRMEIEALVQALGWIALESSITASNHQWKRDPQPHSQTHLPVVNISVYSCPGNESVRTGVSTSTHSEPDALESRI >DRNTG_09069.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1244008:1244606:1 gene:DRNTG_09069 transcript:DRNTG_09069.6 gene_biotype:protein_coding transcript_biotype:protein_coding FQVKTRKVKDQPSDQPGAAILAKEPTLQVNFKKAVGAVSKAQANNIARFLPKPEKNWGPKIRAGRRIIATKHASILGCKNIVTDDTGS >DRNTG_09069.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1243104:1244573:1 gene:DRNTG_09069 transcript:DRNTG_09069.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRYPAYDRISAVLTTISEELPDAALFLNLHNLSATVKCDSPSALMFRSAVINAGYHISGTHITPLGLKSDAPMSVIWDIMRCWVKTRKVKDQPSDQPGAAILAKEPTLQVNFKKAVGAVSKAQANNIARFLPKPEKNWGPKIRAGRRIIATKHASILGCKNIVTDDTGS >DRNTG_09069.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1243024:1244573:1 gene:DRNTG_09069 transcript:DRNTG_09069.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSAVINAGYHISGTHITPLGLKSDAPMSVIWDIMRCWVKTRKVKDQPSDQPGAAILAKEPTLQVNFKKAVGAVSKAQANNIARFLPKPEKNWGPKIRAGRRIIATKHASILGCKNIVTDDTGS >DRNTG_09069.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1244008:1244573:1 gene:DRNTG_09069 transcript:DRNTG_09069.7 gene_biotype:protein_coding transcript_biotype:protein_coding FQVKTRKVKDQPSDQPGAAILAKEPTLQVNFKKAVGAVSKAQANNIARFLPKPEKNWGPKIRAGRRIIATKHASILGCKNIVTDDTGS >DRNTG_09069.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1243104:1244573:1 gene:DRNTG_09069 transcript:DRNTG_09069.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYIRVFVRIFTSGSAMKETPLKLSYVYQCVGCDSFHLQCLGRTFTKNNNVRYAPAFGPLVDKECGDCGRKFTIGGPIWSDPIHNHDWVVSTLSDVIAMKNRYPAYDRISAVLTTISEELPDAALFLNLHNLSATVKCDSPSALMFRSAVINAGYHISGTHITPLGLKSDAPMSVIWDIMRCWVKTRKVKDQPSDQPGAAILAKEPTLQVNFKKAVGAVSKAQANNIARFLPKPEKNWGPKIRAGRRIIATKHASILGCKNIVTDDTGS >DRNTG_09069.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1243024:1243686:1 gene:DRNTG_09069 transcript:DRNTG_09069.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYIRVFVRIFTSGSAMKETPLKLSYVYQCVGCDSFHLQCLGRTFTKVHFLFSTHFTSFHKPISFSRCPRTIM >DRNTG_09069.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1243104:1244573:1 gene:DRNTG_09069 transcript:DRNTG_09069.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRYPAYDRISAVLTTISEELPDAALFLNLHNLSATVKCDSPSALMFRSAVINAGYHISGTHITPLGLKSDAPMSVIWDIMRCWVKTRKVKDQPSDQPGAAILAKEPTLQVNFKKAVGAVSKAQANNIARFLPKPEKNWGPKIRAGRRIIATKHASILGCKNIVTDDTGS >DRNTG_18022.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:9152836:9154963:1 gene:DRNTG_18022 transcript:DRNTG_18022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTNPSAMVPRRNSKVDRPAVPTPTHTTPIEHWLRSSKIRETSPGGFSCDAKRWGVGAGPGCRRRRAAPAGGARDAPRRDGRDPCHLPIHSCVFDETLPRPSRRRRILPRSNIGYGHPKSVKLRPGGFHVMPNDGAGAPGRDAAVARAPRRRRRDVPRWDGRAPCH >DRNTG_22230.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1293739:1299399:-1 gene:DRNTG_22230 transcript:DRNTG_22230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDVCLKIIKNDKDFFDQSLDEIKLLKYVNKNDPADERHLLRLYDYFYHQEHLFIVCELLRANLYEFQKYNQDSGEDVYFTLPRIQAIARQCLEALEYLHQLGIIHCDLKPENILIKSYSRCEIKVIDLGSSCFLTDNLCLYVQSRSYRAPEVILGLSYDQRIDLWSLGCILAELYSGDVLFPNDSVVMMLARMIGIVGPIDMEMLKMGLETHKYFTDDYDLYYTNEETDQIEYLIPEKSTLARELQVSDKMFLDFLEHLLQINPQRRPTAKQALQHPWLSFSYH >DRNTG_22230.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1293739:1299399:-1 gene:DRNTG_22230 transcript:DRNTG_22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPRLREVLDFLNARGFSLAAAALKDDVIALGTDLDVSRPPPVRITPENRAASSTSSSGDAFVSMGSSPSEFLNPCALWSPVRAGSEDSSGRYSEFQTARDYHSVNPFSDTHWYDDPFEYHLIDSQFLTTNSAGWHGEDKFVMSIEAEEKFRKENDVSVHDKNGHDKDVKCEGCTEVYSYSFPICDCCSGLKKAHDFERTGNPDSTVYERYLIMDDETERLEECGVTEYQLKRVDGPPETVHLVENSVHDPKLEGEKKSLEPGNDPTSYRKDIISSKYVVEGCFTSTHHEVLQSKGVDIQNVKGKPRQPVRNDAFDIIDYGTMNGEMKDSESVEVGDKDHGVIDELPAYNSLEEEYEIFDLRIVHRKNRTGFEENKDLPIVLNSVIGGRYYVTEYLGSAAFSKVVQAHDLQMGVDVCLKIIKNDKDFFDQSLDEIKLLKYVNKNDPADERHLLRLYDYFYHQEHLFIVCELLRANLYEFQKYNQDSGEDVYFTLPRIQAIARQCLEALEYLHQLGIIHCDLKPENILIKSYSRCEIKVIDLGSSCFLTDNLCLYVQSRSYRAPEVILGLSYDQRIDLWSLGCILAELYSGDVLFPNDSVVMMLARMIGIVGPIDMEMLKMGLETHKYFTDDYDLYYTNEETDQIEYLIPEKSTLARELQVSDKMFLDFLEHLLQINPQRRPTAKQALQHPWLSFSYH >DRNTG_34181.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7052070:7056233:1 gene:DRNTG_34181 transcript:DRNTG_34181.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 6 [Source:Projected from Arabidopsis thaliana (AT5G56580) UniProtKB/Swiss-Prot;Acc:Q9FJV0] MKGKKPLKKLQLNVPSQETPVTDFLTASGTFQDGDLRLNQKGLRLITKESGSDVAAPPPDVKEESSQIALEDLETIKVIGKGSGGVVQLVRHKWNGTFFALKGIQMNVQETIRKQIVQELKINQASLCPNVVVCYHSFYHNGIISLVLEYMDRGSLADIIKQVKTILEPYLAVLCKQVLKGLVYLHHERHVIHRDIKPSNLLVNHKGEVKITDFGVSAVLASSMGQRDTFVGTYNYMSPERISGGSYDYKSDIWSLGLVILECAIGRFPYIPLEQEEGWLSFYELLDAIVGQPPPSAPSDQFSPEFCSFISACIQKDPQERLSSLELLEHPFIKKFEDKDINLAILVDSLDPPVNIPI >DRNTG_16897.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10561609:10564301:-1 gene:DRNTG_16897 transcript:DRNTG_16897.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERSQCGAQCTDFGGNLAKVQMRRHRKLFRPDTSTGHCSNKIASTIAALFTAGRENRSSEILHGFWRGSTAPTSSFLRKKVGRGASVESYPIADEGILVR >DRNTG_04493.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000216.1:12831:13847:-1 gene:DRNTG_04493 transcript:DRNTG_04493.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPVVHIGAIAVSFLFVVMMFNIQIAEIHEEVLRYLPVS >DRNTG_06554.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22675414:22676850:1 gene:DRNTG_06554 transcript:DRNTG_06554.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYDGKMADVWSCGVTLFVMLIGSYPFQDPDDKKDIRSAIIKIKNVQYSFPDNVALSAECKNLISRIFVADPSKRITTEEIKKHPWFTKKLPEEFMKADEPDYNDSDIDPRSQSLEEILHIIEEAKKPIESLDSTDYDY >DRNTG_06554.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22673442:22676850:1 gene:DRNTG_06554 transcript:DRNTG_06554.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERFEPLRCLGTGTSSVARLVKDKKTDELVAIKYIERGKKIDEKVQREIINHRSLRHPNIIQFREVLLTPTHLAIVMEFGAGGELFDRICYNGQFSEDEARFFFQQLISGVSYCHSLEICHRDLKLENILLDGDPIPRLKICDFGYSKSGLLHSQPKSTIGTPAYIAPEILAMKEYDGKMADVWSCGVTLFVMLIGSYPFQDPDDKKDIRSAIIKIKNVQYSFPDNVALSAECKNLISRIFVADPSKRITTEEIKKHPWFTKKLPEEFMKADEPDYNDSDIDPRSQSLEEILHIIEEAKKPIESLDSTDYDY >DRNTG_06554.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22675561:22676850:1 gene:DRNTG_06554 transcript:DRNTG_06554.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYDGKMADVWSCGVTLFVMLIGSYPFQDPDDKKDIRSAIIKIKNVQYSFPDNVALSAECKNLISRIFVADPSKRITTEEIKKHPWFTKKLPEEFMKADEPDYNDSDIDPRSQSLEEILHIIEEAKKPIESLDSTDYDY >DRNTG_06554.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22673442:22674788:1 gene:DRNTG_06554 transcript:DRNTG_06554.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERFEPLRCLGTGTSSVARLVKDKKTDELVAIKYIERGKKIDEKVQREIINHRSLRHPNIIQFRE >DRNTG_06376.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19372422:19378921:-1 gene:DRNTG_06376 transcript:DRNTG_06376.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNFPSAPDVSNFLSPEDDTSMFDGNKDDPEAQRRLKDPNYSFQSVPSLVNGLEPRIISYYEHVMTPLGAVPLPNSQMTMAPSNNQCLQPCTSGKPFLQPVISEPSLQGSPATEEGEVPESELDPDTRRRLLILQHGQDTRDPPPPPAPYAGRPPFQVSGPSVQSRGSSFPLDEEISPRLRRRLPNEFSIEPDSQRFSRKRFRPSFYHGRDYSDRAINENHRPPLQVHRNDERLRLNHAVSNYNAFLGEEMPIVRNHYRDAHLGPASASVPYPENPVSVLQEIAVKCGAKVEYRTALCDATELQFSIEAWFTGEKLGEGIGRTRREAQHQAAQASIHALANNYLSSLPDAISQHADLNKFPPFKENGFLHDPNSVGHQVFTWDNYLSVTSTTDDHRFLDQKVMEGKKATASIAALKELNQKVKEKCMRRLK >DRNTG_06376.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19372422:19378686:-1 gene:DRNTG_06376 transcript:DRNTG_06376.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNFPSAPDVSNFLSPEDDTSMFDGNKDDPEAQRRLKDPNYSFQSVPSLVNGLEPRIISYYEHVMTPLGAVPLPNSQMTMAPSNNQCLQPCTSGKPFLQPVISEPSLQGSPATEEGEVPESELDPDTRRRLLILQHGQDTRDPPPPPAPYAGRPPFQVSGPSVQSRGSSFPLDEEISPRLRRRLPNEFSIEPDSQRFSRKRFRPSFYHGRDYSDRAINENHRPPLQVHRNDERLRLNHAVSNYNAFLGEEMPIVRNHYRDAHLGPASASVPYPENPVSVLQEIAVKCGAKVEYRTALCDATELQFSIEAWFTGEKLGEGIGRTRREAQHQAAQASIHALANNYLSSLPDAISQHADLNKFPPFKENGFLHDPNSVGHQVFTWDNYLSVTSTTDDHRFLDQKVMEGKKATASIAALKELNQKVKEKCMRRLK >DRNTG_06376.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19372422:19376005:-1 gene:DRNTG_06376 transcript:DRNTG_06376.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQFTNRKSCSPSPRQPFASPNKRLKSEFT >DRNTG_06376.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19372422:19382947:-1 gene:DRNTG_06376 transcript:DRNTG_06376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERDYALEMWRLLDPESNLINHRELLDRIVCVKSGSRKSLLGVFKDGVCHPSMALVIDDRLKVWDEKDQSRVHVVPAFAPYYTPHAEANNAIPVLCVARNIACNVRGGFFREFDDAILPQISNIFYEDEMNFPSAPDVSNFLSPEDDTSMFDGNKDDPEAQRRLKDPNYSFQSVPSLVNGLEPRIISYYEHVMTPLGAVPLPNSQMTMAPSNNQCLQPCTSGKPFLQPVISEPSLQGSPATEEGEVPESELDPDTRRRLLILQHGQDTRDPPPPPAPYAGRPPFQVSGPSVQSRGSSFPLDEEISPRLRRRLPNEFSIEPDSQRFSRKRFRPSFYHGRDYSDRAINENHRPPLQVHRNDERLRLNHAVSNYNAFLGEEMPIVRNHYRDAHLGPASASVPYPENPVSVLQEIAVKCGAKVEYRTALCDATELQFSIEAWFTGEKLGEGIGRTRREAQHQAAQASIHALANNYLSSLPDAISQHADLNKFPPFKENGFLHDPNSVGHQVFTWDNYLSVTSTTDDHRFLDQKVMEGKKATASIAALKELNQKVKEKCMRRLK >DRNTG_06376.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:19372422:19379599:-1 gene:DRNTG_06376 transcript:DRNTG_06376.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERDYALEMWRLLDPESNLINHRELLDRIVCVKSGSRKSLLGVFKDGVCHPSMALVIDDRLKVWDEKDQSRVHVVPAFAPYYTPHAEANNAIPVLCVARNIACNVRGGFFREFDDAILPQISNIFYEDEMNFPSAPDVSNFLSPEDDTSMFDGNKDDPEAQRRLKDPNYSFQSVPSLVNGLEPRIISYYEHVMTPLGAVPLPNSQMTMAPSNNQCLQPCTSGKPFLQPVISEPSLQGSPATEEGEVPESELDPDTRRRLLILQHGQDTRDPPPPPAPYAGRPPFQVSGPSVQSRGSSFPLDEEISPRLRRRLPNEFSIEPDSQRFSRKRFRPSFYHGRDYSDRAINENHRPPLQVHRNDERLRLNHAVSNYNAFLGEEMPIVRNHYRDAHLGPASASVPYPENPVSVLQEIAVKCGAKVEYRTALCDATELQFSIEAWFTGEKLGEGIGRTRREAQHQAAQASIHALANNYLSSLPDAISQHADLNKFPPFKENGFLHDPNSVGHQVFTWDNYLSVTSTTDDHRFLDQKVMEGKKATASIAALKELNQKVKEKCMRRLK >DRNTG_01880.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21101855:21105217:1 gene:DRNTG_01880 transcript:DRNTG_01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQTPSTGEQQDDDDNNIKKSIYHYHTVTKHSYPSSYAPGPHNLDWSNQPNPFLRFLSSPSISLPHPSSPSPSPHYPLLFSSSFPSPPLPFSILSLSYLLFHSLSLSAWKSTSFSTWSLRVNPSSGNLHPTESHLISPSLPPSHPPFIAHYSPKDHSLQLRSFLPSPLVPNSLLLAFSSVLWREAWKYGERAFRYCNHDVGHAIAAAAISAASLGWDSRLLDSLSHSDLDSLLAIKATYPPPPPQFPDRPVRGRFPWIEAQHPDCVLALFPFGSDVSIDYSALRDAISRLSDLEWLGKPAPLSKDHVCWDLIYKTAEAVKKPYTPSDGFSVNPFRPSGLISKALYKDFTVWDVVRKRRSAVDMDGVHVMERDTFYQILLHCLPSGELGPEEKQGKQFALPFRVLTWDAEVHAVLFVHSVAGLPRGLYFLVRNEDHFESLKRAMRQEFEWDRPTGCPAGLPLYRLAKGDCQELAKQLSCHQEIASDGCFSLGMVARFDPVLREKGAWMYPRLFWESGVLGQVLYLEAHAVGISATGIGCYFDDAVHQILGLDGLEFQSLYHFTIGSPVLDKRIMSLPAYPGPDIDA >DRNTG_01880.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21101796:21103806:1 gene:DRNTG_01880 transcript:DRNTG_01880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNATHHLSRILLLLQLPKKKHQTITPTTRRRRRRRRRSLTSSSSSSSASPPMQMQTPSTGEQQDDDDNNIKKSIYHYHTVTKHSYPSSYAPGPHNLDWSNQPNPFLRFLSSPSISLPHPSSPSPSPHYPLLFSSSFPSPPLPFSILSLSYLLFHSLSLSAWKSTSFSTWSLRVNPSSGNLHPTESHLISPSLPPSHPPFIAHYSPKDHSLQLRSFLPSPLVPNSLLLAFSSVLWREAWKYGERAFRYCNHDVGHAIAAAAISAASLGWDSRLLDSLSHSDLDSLLAIKATYPPPPPQFPDRPVRGRFPWIEAQHPDCVLALFPFGSDVSIDYSALRDAISRLSDLEWLGKPAPLSKDHVCWDLIYKTAEAVKKPYTPSDGFSVNPFRPSGLISKALYKDFTVWDVVRKRRSAVDMDGVHVMERDTFYQILLHCLPSGELGPEEKQGKQFALPFRVLTWDAEVHAVLFVHSVAGLPRGLYFLVRNEDHFESLKRAMRQEFEWDRPTGCPAGLPLYRLAKGDCQELAKQLSCHQEIASDGCFSLGMVARFDPVLREKGAWMYPRLFWESGVLGQVLYLEAHAVGISATGIGCYFD >DRNTG_04969.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3994912:3995365:-1 gene:DRNTG_04969 transcript:DRNTG_04969.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYRSLRFLALLEFFSFVCSFGMLCIIFSMGWLSCGVITWLLDQAHEVFVEMPL >DRNTG_12290.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3188005:3191816:-1 gene:DRNTG_12290 transcript:DRNTG_12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLISINFPRISSFCADFHGQKCHLGPKTHPLSFSCSLPSKKIGSLLRNGSNLKFSLGIFSGRGKKRGLVVVQGFGFNGGGGTGWDKNNTARVIGNLALAIGLIYLSLTGQLGWVLDAIVSIWLFALLLPIVGLGAFFWFAGRDIIQSSCPNCGNDFQIFKSSLRDGPQLCPFCSQPFSVLKFSNGLPVQGDKFVRESTKFSSDRGSTFGQVFNGFTQRSEKGKASSSTATIVDIEAEVKDVD >DRNTG_30313.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:17316784:17325465:-1 gene:DRNTG_30313 transcript:DRNTG_30313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLVFVPERVMGSIYIKRSMKMLKKLAESWRGIVWKRSRQPHKRRLLKNMSRRKGLRLPSEIIFFPKKSEEITRGN >DRNTG_27292.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22546120:22547481:-1 gene:DRNTG_27292 transcript:DRNTG_27292.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAISSCFSATITANLHLKHTSSNNFLKLFSSSSSSLRQSPSLITSPNLWELSHFRRLPRISCIVAQEQTVGEQDQLIVEQESTIPLPPSSQSTKLYFGNLPYNCDSAQLAGIIQQFADPEMVEVLYDRDTGKSRGFAFVTMSCIEDCEAVIENLDRSQFGGRTMRVNFADRPQPKEPLYPETEFKLFVGNLSWSVTAESLSGVFQEYGNVIGARILYDGDTGRSRGYGFVCYSTKEEMDAAIEQLNGVELEGRAMRVSVAQGKKS >DRNTG_15153.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25493547:25495554:-1 gene:DRNTG_15153 transcript:DRNTG_15153.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ASPARTIC PROTEASE IN GUARD CELL 1 [Source:Projected from Arabidopsis thaliana (AT3G18490) UniProtKB/Swiss-Prot;Acc:Q9LS40] MAAPTYHLFSLLLSLLFFSPVFSRTTPSPTITTATATLDVSASLDLITRALSFDPSPVQQTLDPEKTKLHSGNPSDLSLKLHSRDFLSTTPHKNYKTLTLARLRRDSARVSAITARAALAVNGISRSDLKPLFDPAMTTTAATGDDLQGPIVSGTSQGSGEYFSRVGVGRPAKPLFLVVDTGSDVTWIQCEPCAECYQQTGDIFNPSLSSTYSPLTCESESCRALDVSACRNSSCLYQVSYGDGSYTLGDFATETISFSDSSPVSGVAIGCGHDNEGLFVGAAGLLGLGGGSLSFPSQISARAFSYCLVDRDSSATSTLQFGPSAAPDAGAVTAPLLQNHQMDTFYYVSMTGISVGGELLSIPPSSFAMDETGAGGVIVDSGTAVTRLQTDAYTALRDAFTKHTGDLPATSGVALFDTCYDLSSKTTVQVPTVSFHFPGGSELKLPAKNYLIPVDSAGTFCLAFASTTAPLSIIGNVQQQGTRVSFDLENSLVGFSSNKC >DRNTG_15153.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25493525:25495772:-1 gene:DRNTG_15153 transcript:DRNTG_15153.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ASPARTIC PROTEASE IN GUARD CELL 1 [Source:Projected from Arabidopsis thaliana (AT3G18490) UniProtKB/Swiss-Prot;Acc:Q9LS40] MAAPTYHLFSLLLSLLFFSPVFSRTTPSPTITTATATLDVSASLDLITRALSFDPSPVQQTLDPEKTKLHSGNPSDLSLKLHSRDFLSTTPHKNYKTLTLARLRRDSARVSAITARAALAVNGISRSDLKPLFDPAMTTTAATGDDLQGPIVSGTSQGSGEYFSRVGVGRPAKPLFLVVDTGSDVTWIQCEPCAECYQQTGDIFNPSLSSTYSPLTCESESCRALDVSACRNSSCLYQVSYGDGSYTLGDFATETISFSDSSPVSGVAIGCGHDNEGLFVGAAGLLGLGGGSLSFPSQISARAFSYCLVDRDSSATSTLQFGPSAAPDAGAVTAPLLQNHQMDTFYYVSMTGISVGGELLSIPPSSFAMDETGAGGVIVDSGTAVTRLQTDAYTALRDAFTKHTGDLPATSGVALFDTCYDLSSKTTVQVPTVSFHFPGGSELKLPAKNYLIPVDSAGTFCLAFASTTAPLSIIGNVQQQGTRVSFDLENSLVGFSSNKC >DRNTG_15153.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25493547:25495852:-1 gene:DRNTG_15153 transcript:DRNTG_15153.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ASPARTIC PROTEASE IN GUARD CELL 1 [Source:Projected from Arabidopsis thaliana (AT3G18490) UniProtKB/Swiss-Prot;Acc:Q9LS40] MAAPTYHLFSLLLSLLFFSPVFSRTTPSPTITTATATLDVSASLDLITRALSFDPSPVQQTLDPEKTKLHSGNPSDLSLKLHSRDFLSTTPHKNYKTLTLARLRRDSARVSAITARAALAVNGISRSDLKPLFDPAMTTTAATGDDLQGPIVSGTSQGSGEYFSRVGVGRPAKPLFLVVDTGSDVTWIQCEPCAECYQQTGDIFNPSLSSTYSPLTCESESCRALDVSACRNSSCLYQVSYGDGSYTLGDFATETISFSDSSPVSGVAIGCGHDNEGLFVGAAGLLGLGGGSLSFPSQISARAFSYCLVDRDSSATSTLQFGPSAAPDAGAVTAPLLQNHQMDTFYYVSMTGISVGGELLSIPPSSFAMDETGAGGVIVDSGTAVTRLQTDAYTALRDAFTKHTGDLPATSGVALFDTCYDLSSKTTVQVPTVSFHFPGGSELKLPAKNYLIPVDSAGTFCLAFASTTAPLSIIGNVQQQGTRVSFDLENSLVGFSSNKC >DRNTG_15153.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25493547:25495772:-1 gene:DRNTG_15153 transcript:DRNTG_15153.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ASPARTIC PROTEASE IN GUARD CELL 1 [Source:Projected from Arabidopsis thaliana (AT3G18490) UniProtKB/Swiss-Prot;Acc:Q9LS40] MAAPTYHLFSLLLSLLFFSPVFSRTTPSPTITTATATLDVSASLDLITRALSFDPSPVQQTLDPEKTKLHSGNPSDLSLKLHSRDFLSTTPHKNYKTLTLARLRRDSARVSAITARAALAVNGISRSDLKPLFDPAMTTTAATGDDLQGPIVSGTSQGSGEYFSRVGVGRPAKPLFLVVDTGSDVTWIQCEPCAECYQQTGDIFNPSLSSTYSPLTCESESCRALDVSACRNSSCLYQVSYGDGSYTLGDFATETISFSDSSPVSGVAIGCGHDNEGLFVGAAGLLGLGGGSLSFPSQISARAFSYCLVDRDSSATSTLQFGPSAAPDAGAVTAPLLQNHQMDTFYYVSMTGISVGGELLSIPPSSFAMDETGAGGVIVDSGTAVTRLQTDAYTALRDAFTKHTGDLPATSGVALFDTCYDLSSKTTVQVPTVSFHFPGGSELKLPAKNYLIPVDSAGTFCLAFASTTAPLSIIGNVQQQGTRVSFDLENSLVGFSSNKC >DRNTG_15153.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25493547:25495602:-1 gene:DRNTG_15153 transcript:DRNTG_15153.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ASPARTIC PROTEASE IN GUARD CELL 1 [Source:Projected from Arabidopsis thaliana (AT3G18490) UniProtKB/Swiss-Prot;Acc:Q9LS40] MAAPTYHLFSLLLSLLFFSPVFSRTTPSPTITTATATLDVSASLDLITRALSFDPSPVQQTLDPEKTKLHSGNPSDLSLKLHSRDFLSTTPHKNYKTLTLARLRRDSARVSAITARAALAVNGISRSDLKPLFDPAMTTTAATGDDLQGPIVSGTSQGSGEYFSRVGVGRPAKPLFLVVDTGSDVTWIQCEPCAECYQQTGDIFNPSLSSTYSPLTCESESCRALDVSACRNSSCLYQVSYGDGSYTLGDFATETISFSDSSPVSGVAIGCGHDNEGLFVGAAGLLGLGGGSLSFPSQISARAFSYCLVDRDSSATSTLQFGPSAAPDAGAVTAPLLQNHQMDTFYYVSMTGISVGGELLSIPPSSFAMDETGAGGVIVDSGTAVTRLQTDAYTALRDAFTKHTGDLPATSGVALFDTCYDLSSKTTVQVPTVSFHFPGGSELKLPAKNYLIPVDSAGTFCLAFASTTAPLSIIGNVQQQGTRVSFDLENSLVGFSSNKC >DRNTG_15153.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25493525:25495554:-1 gene:DRNTG_15153 transcript:DRNTG_15153.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ASPARTIC PROTEASE IN GUARD CELL 1 [Source:Projected from Arabidopsis thaliana (AT3G18490) UniProtKB/Swiss-Prot;Acc:Q9LS40] MAAPTYHLFSLLLSLLFFSPVFSRTTPSPTITTATATLDVSASLDLITRALSFDPSPVQQTLDPEKTKLHSGNPSDLSLKLHSRDFLSTTPHKNYKTLTLARLRRDSARVSAITARAALAVNGISRSDLKPLFDPAMTTTAATGDDLQGPIVSGTSQGSGEYFSRVGVGRPAKPLFLVVDTGSDVTWIQCEPCAECYQQTGDIFNPSLSSTYSPLTCESESCRALDVSACRNSSCLYQVSYGDGSYTLGDFATETISFSDSSPVSGVAIGCGHDNEGLFVGAAGLLGLGGGSLSFPSQISARAFSYCLVDRDSSATSTLQFGPSAAPDAGAVTAPLLQNHQMDTFYYVSMTGISVGGELLSIPPSSFAMDETGAGGVIVDSGTAVTRLQTDAYTALRDAFTKHTGDLPATSGVALFDTCYDLSSKTTVQVPTVSFHFPGGSELKLPAKNYLIPVDSAGTFCLAFASTTAPLSIIGNVQQQGTRVSFDLENSLVGFSSNKC >DRNTG_15153.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25493525:25495852:-1 gene:DRNTG_15153 transcript:DRNTG_15153.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ASPARTIC PROTEASE IN GUARD CELL 1 [Source:Projected from Arabidopsis thaliana (AT3G18490) UniProtKB/Swiss-Prot;Acc:Q9LS40] MAAPTYHLFSLLLSLLFFSPVFSRTTPSPTITTATATLDVSASLDLITRALSFDPSPVQQTLDPEKTKLHSGNPSDLSLKLHSRDFLSTTPHKNYKTLTLARLRRDSARVSAITARAALAVNGISRSDLKPLFDPAMTTTAATGDDLQGPIVSGTSQGSGEYFSRVGVGRPAKPLFLVVDTGSDVTWIQCEPCAECYQQTGDIFNPSLSSTYSPLTCESESCRALDVSACRNSSCLYQVSYGDGSYTLGDFATETISFSDSSPVSGVAIGCGHDNEGLFVGAAGLLGLGGGSLSFPSQISARAFSYCLVDRDSSATSTLQFGPSAAPDAGAVTAPLLQNHQMDTFYYVSMTGISVGGELLSIPPSSFAMDETGAGGVIVDSGTAVTRLQTDAYTALRDAFTKHTGDLPATSGVALFDTCYDLSSKTTVQVPTVSFHFPGGSELKLPAKNYLIPVDSAGTFCLAFASTTAPLSIIGNVQQQGTRVSFDLENSLVGFSSNKC >DRNTG_15153.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:25493525:25495602:-1 gene:DRNTG_15153 transcript:DRNTG_15153.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ASPARTIC PROTEASE IN GUARD CELL 1 [Source:Projected from Arabidopsis thaliana (AT3G18490) UniProtKB/Swiss-Prot;Acc:Q9LS40] MAAPTYHLFSLLLSLLFFSPVFSRTTPSPTITTATATLDVSASLDLITRALSFDPSPVQQTLDPEKTKLHSGNPSDLSLKLHSRDFLSTTPHKNYKTLTLARLRRDSARVSAITARAALAVNGISRSDLKPLFDPAMTTTAATGDDLQGPIVSGTSQGSGEYFSRVGVGRPAKPLFLVVDTGSDVTWIQCEPCAECYQQTGDIFNPSLSSTYSPLTCESESCRALDVSACRNSSCLYQVSYGDGSYTLGDFATETISFSDSSPVSGVAIGCGHDNEGLFVGAAGLLGLGGGSLSFPSQISARAFSYCLVDRDSSATSTLQFGPSAAPDAGAVTAPLLQNHQMDTFYYVSMTGISVGGELLSIPPSSFAMDETGAGGVIVDSGTAVTRLQTDAYTALRDAFTKHTGDLPATSGVALFDTCYDLSSKTTVQVPTVSFHFPGGSELKLPAKNYLIPVDSAGTFCLAFASTTAPLSIIGNVQQQGTRVSFDLENSLVGFSSNKC >DRNTG_17557.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29154705:29158124:1 gene:DRNTG_17557 transcript:DRNTG_17557.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCVTRQFFPTVPAVEVVTGGGSSVKRPHCVDLGFCPGGTGGMGVLPPQQAPQVQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLTDYEDDLKQQMKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEIDAARAYDKAAIKCNGREAVTNFEPSTYDGELLPDVDIDAAGVELDLNLRISQPAVHSPKQDDNSMDLLQLQSGSFDGSDPKKATIADPCSHLAMQPYGLAKMAKQPQVWPAPYASFLPNYEERTIGKRPHEMGSMAPPPNWRPWQQMQSSVPLSPFSSAASSGFSNNITPPASFPPASSPTTTNQLFYHNQN >DRNTG_17557.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29154705:29158124:1 gene:DRNTG_17557 transcript:DRNTG_17557.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCVTRQFFPTVPAVEVVTGGGSSVKRPHCVDLGFCPGGTGGMGVLPPQQAPQVQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLTDYEDDLKQMKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEIDAARAYDKAAIKCNGREAVTNFEPSTYDGELLPDVDIDAAGVELDLNLRISQPAVHSPKQDDNSMDLLQLQSGSFDGSDPKKATIADPCSHLAMQPYGLAKMAKQPQVWPAPYASFLPNYEERTIGKRPHEMGSMAPPPNWRPWQQMQSSVPLSPFSSAASSGFSNNITPPASFPPASSPTTTNQLFYHNQN >DRNTG_19329.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:754497:755321:-1 gene:DRNTG_19329 transcript:DRNTG_19329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGKGSKNDLLGILLESNMKVGGEEHGKSKEGGMTTQDVIEECKLFYFSGQETTSALLTWTMILLSMYPNWQAKAREEVLQVFGKNTPDMDGLSRLKIVTMILYEVLRLYPPLAFLNRRPYKTMEIGGISYPPGVLLMLPILLIHHDTELWGEDAKEFKPERFAEGISKASKVAGAFFPFSVGPRVCIGQNFALIQAKMGLSMILQHFSFVLSPSYIHAPCTVVTLQPQHGAQLKLHKF >DRNTG_33743.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32351446:32353109:-1 gene:DRNTG_33743 transcript:DRNTG_33743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSASIIFLILSLLANISQPTRACFSSIISLGGSPSDTGNELINLEREISYKLYVGFLPNGETFFGYPTGRFCDGRIVIDFIAEEFGLPYPPPHLAGAGPENQYFKYGMNVAIAGSTLAETSFFEERGTATPFSYALSAEVQWFKDLLPTYCSSTSDCGEKLANALFIVGPFGRNDFRTEYLHNTSDDEIKTVVVPVMAERFISGLKELVDIGARTMIVANSYPIGCLPASFVESPRNDFDEKTGCMNWLNDMTSLFHQQLEAKLQVFQSENPNVTILYADLYGMTIDMILSPKKYGVEGNPFVTCCGGGGPYNYNLAARCGDEGAKKACDDPTKALFWDGVHLTEARYKFIATELVKQLLALPSIKEQCKDIKRMKDEPFFM >DRNTG_17827.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:15990287:15992116:-1 gene:DRNTG_17827 transcript:DRNTG_17827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFNAHYFLIYLLLYSYVLKRKEWTFATEQSARVIYVYRTLSKPLSRALYLVFIQIFASLVVSVTVLPITPMITVRHSPRHQGTKTAYKEHGTKQPKKTSKQGCLSNSFGHHQDRTTVPRNGTVNLLGNCLGITRPTGPSLPVAPACQPSAPMPIGSQSGSRPPLSVMTLSNVKLAHGWLRAPALPSQSADQPYKSIRPYRRY >DRNTG_07387.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:22424879:22426349:1 gene:DRNTG_07387 transcript:DRNTG_07387.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFHSLTCSAEAADFLAIQRKNTSLYLKSELNFSIPRRHKGVTENPHGCVAAPLAGLVLDQVAIPRAHRGNGSLI >DRNTG_24872.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32715577:32722855:1 gene:DRNTG_24872 transcript:DRNTG_24872.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDMRRVEKFVRVAIWCIQEDPSLRPSMEKVTQMLKGSVDVPVPPDPSSFMRTLR >DRNTG_24872.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32720162:32722855:1 gene:DRNTG_24872 transcript:DRNTG_24872.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIQPASLLLIIPQLLLLIISLSKQTRAQNISSDTTLTTSGTTTSVMSPSGDFSFGFTPLPSNDNTTTNLFLLAIWFAKTVDKTIVWTANGNNPAPAGSELNLTPNGTLLLTSPNGTTIFSLASGAGSHAAMLDTGNLILVDANSDDPAWQSFDHPTDTILPTQVLTSNIFLQSRLSNTDFSTGRFRLYQKDGKLSLSQVAIPKDTLYEPYWSSDQAVDGTQLVFDRSGYVYFDLTNNTHLNVTTANLDSSSLSKFYQKGTLDVDGVFRHYKYPKDNTGGWQQKWNIADRVPRDTNICLRPIPDDYYGSGVCGYNSFCQTDVESDQLTTCKCPSNYSFIDSRNQYSGCSPDFDTQRCDVDDTARFQLVAMTNTDWPRSDYEHYTNASEEECREFCLKDCLCAVAIFRNVECWKKRLPLSNGRTDSTANAFIKVAKDGSTSRDEQPAEHDEKIKKEYCSKAMVVVGSTLLGGSVLINLFLLAYTLMVAFYSSRKRKQNQFHPETLAGVGGSNLHSFTYKQLHEATNGFNEELGRGAFGTVYKGVLQREDRIFIAVKKLDKLLKDAEKEFTSEVRSIGQTHHKNLVRLIGICKEAENRMLVYEFMSNGSLTSFLFGEEKLEWNKRVNIIYDVAKGLLYLHEECVSPIIHCDIKSQNILLDDHFVARISDFGLAKLLLVDQTRTNTGIRGTKGYVAPEWFKNTLVTTKVDVYSYGVLMLEIICCRKNLELELGFDQERAVLVYWACDCYRNGELDLLVESDIEAMMDMRRVEKFVRVAIWCIQEDPSLRPSMEKVTQMLKGSVDVPVPPDPSSFMRTLR >DRNTG_24872.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32714945:32722855:1 gene:DRNTG_24872 transcript:DRNTG_24872.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYEFMSNGSLTSFLFGEEKLEWNKRVNIIYDVAKGLLYLHEECVSPIIHCDIKSQNILLDDHFVARISDFGLAKLLLVDQTRTNTGIRGTKGYVAPEWFKNTLVTTKVDVYSYGVLMLEIICCRKNLELELGFDQERAVLVYWACDCYRNGELDLLVESDIEAMMDMRRVEKFVRVAIWCIQEDPSLRPSMEKVTQMLKGSVDVPVPPDPSSFMRTLR >DRNTG_05098.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1978667:1981315:-1 gene:DRNTG_05098 transcript:DRNTG_05098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPEQNMMVVDDKATYSLQGVVGVFVIKDRRPRGTTLPKFEEIFNISDNCSADALIRTSVRSRFSLICKKKIVINQSGATYIEDIRRYVKLSTRYPVWSVFRDELEMKTGQYSNTLKNALLLYCTWESEGTTSVQIATYQQDVLYNVIDDVSPAYLRLKHWKELIGCQRDWQTNCKYGKPIRIKGGIPSIILCNPGNDSSYKEYLDKLENTAIQAIHLDAQSEMDSRTGELLTEEQANTGVFYWQLRNPLYFRVKDHMDRPCNNNWDRITMEIRFNYNIRRALRINKCWLYFRIWTTLRPRTENFLHVFRCNVMNFLNSFGVISINSVIRAVTHFVGRFERHIIDVENSAHVQFYLD >DRNTG_07117.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22197043:22216801:1 gene:DRNTG_07117 transcript:DRNTG_07117.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCHDLLNMDGNKYVQEIPSKTGSEAEKKEVLLEDHDPVWLELRHAHIADASERLHDKMTHFMSNNKAAQIHQASRGGGELSTKDLQKMVQALPQYSEQMDKLSLHVEIAGKINKMIRELDLRELGQLEQDLVFGDTGAKEVISFLRTKQNLTPESKLRLLMIYASVYPEKFEGDKGDKLMQLARLSRDDMNAVNNVRHMGGSDGKKTSGVFSLKFDAQKKKHAARKERNDEEETWQLSRFYPMIEELIEKLNKGELPEKEFPCMNEPRPTICHGTSAASGPPAPPSSSQAAHSVRSRRTATWAKPRNSDDGLSSDSVLKHASSDFKKMGQRVFVFIIGGATRSELRVVHKLTTKLRREIILGSSSLDDPPQFISKLKLLNAQTLSFG >DRNTG_07117.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22195964:22216801:1 gene:DRNTG_07117 transcript:DRNTG_07117.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEYFAIDSQGFITDQDMALEELFAVNAEKSSQYNTCLSTMASRIATVFASLREFPYVRYRASKSALDSSSMTTPHDLLPTKLAAEVWNCLSKYKSTVPNFPQKETCDLLIVDRSIDQIAPVIHEWTYDAMCHDLLNMDGNKYVQEIPSKTGSEAEKKEVLLEDHDPVWLELRHAHIADASERLHDKMTHFMSNNKAAQIHQASRGGGELSTKDLQKMVQALPQYSEQMDKLSLHVEIAGKINKMIRELDLRELGQLEQDLVFGDTGAKEVISFLRTKQNLTPESKLRLLMIYASVYPEKFEGDKGDKLMQLARLSRDDMNAVNNVRHMGGSDGKKTSGVFSLKFDAQKKKHAARKERNDEEETWQLSRFYPMIEELIEKLNKGELPEKEFPCMNEPRPTICHGTSAASGPPAPPSSSQAAHSVRSRRTATWAKPRNSDDGLSSDSVLKHASSDFKKMGQRVFVFIIGGATRSELRVVHKLTTKLRREIILGSSSLDDPPQFISKLKLLNAQTLSFG >DRNTG_07117.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22213152:22216801:1 gene:DRNTG_07117 transcript:DRNTG_07117.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVNNVRHMGGSDGKKTSGVFSLKFDAQKKKHAARKERNDEEETWQLSRFYPMIEELIEKLNKGELPEKEFPCMNEPRPTICHGTSAASGPPAPPSSSQAAHSVRSRRTATWAKPRNSDDGLSSDSVLKHASSDFKKMGQRVFVFIIGGATRSELRVVHKLTTKLRREIILGSSSLDDPPQFISKLKLLNAQTLSFG >DRNTG_07117.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22187901:22216801:1 gene:DRNTG_07117 transcript:DRNTG_07117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDSSHGGVEHKNFRQISRDRLLHEMLQSTKMKDSKTSWKVLIMDKVTLKIMSYACKMADITDQGISLVEDLFKRRQPLPSMDAIYFIQPSKENVVMFLSDMSGRCPLYKRAFVFFCSTVPKEFVAHIKNDMSVIPRIGALSEMNMEYFAIDSQGFITDQDMALEELFAVNAEKSSQYNTCLSTMASRIATVFASLREFPYVRYRASKSALDSSSMTTPHDLLPTKLAAEVWNCLSKYKSTVPNFPQKETCDLLIVDRSIDQIAPVIHEWTYDAMCHDLLNMDGNKYVQEIPSKTGSEAEKKEVLLEDHDPVWLELRHAHIADASERLHDKMTHFMSNNKAAQIHQASRGGGELSTKDLQKMVQALPQYSEQMDKLSLHVEIAGKINKMIRELDLRELGQLEQDLVFGDTGAKEVISFLRTKQNLTPESKLRLLMIYASVYPEKFEGDKGDKLMQLARLSRDDMNAVNNVRHMGGSDGKKTSGVFSLKFDAQKKKHAARKERNDEEETWQLSRFYPMIEELIEKLNKGELPEKEFPCMNEPRPTICHGTSAASGPPAPPSSSQAAHSVRSRRTATWAKPRNSDDGLSSDSVLKHASSDFKKMGQRVFVFIIGGATRSELRVVHKLTTKLRREIILGSSSLDDPPQFISKLKLLNAQTLSFG >DRNTG_07117.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22211683:22216801:1 gene:DRNTG_07117 transcript:DRNTG_07117.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIYASVYPEKFEGDKGDKLMQLARLSRDDMNAVNNVRHMGGSDGKKTSGVFSLKFDAQKKKHAARKERNDEEETWQLSRFYPMIEELIEKLNKGELPEKEFPCMNEPRPTICHGTSAASGPPAPPSSSQAAHSVRSRRTATWAKPRNSDDGLSSDSVLKHASSDFKKMGQRVFVFIIGGATRSELRVVHKLTTKLRREIILGSSSLDDPPQFISKLKLLNAQTLSFG >DRNTG_07117.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22211484:22216801:1 gene:DRNTG_07117 transcript:DRNTG_07117.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIRELDLRELGQLEQDLVFGDTGAKEVISFLRTKQNLTPESKLRLLMIYASVYPEKFEGDKGDKLMQLARLSRDDMNAVNNVRHMGGSDGKKTSGVFSLKFDAQKKKHAARKERNDEEETWQLSRFYPMIEELIEKLNKGELPEKEFPCMNEPRPTICHGTSAASGPPAPPSSSQAAHSVRSRRTATWAKPRNSDDGLSSDSVLKHASSDFKKMGQRVFVFIIGGATRSELRVVHKLTTKLRREIILGSSSLDDPPQFISKLKLLNAQTLSFG >DRNTG_27186.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:609118:617272:1 gene:DRNTG_27186 transcript:DRNTG_27186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAAMCHTCGSTGYEELLSFCSGCGISAEHSYCGHQKSENWKCELCEPRDPKTLSSCRPKFYRCKKRTVKHRDKGTFCTLEKVLLKKPSVDDTGMVAVKPPDRCHVSSEPTCQFRIVDTSTEGNTLKIVDASNVVGFSSEIKEDKNFRKPRRRLVLFEEDEIDEDDSQVLAIPPTDENYSEKVKSLQSPCENNESANVALADNHEFDELDRSGSDEGKQPKKRRRRLILPDDDQLEHEQIDRSVQERNMEMPGSLELKETCKNESRSCHLEEIETYVPAMPIIDHVWRGNFNISNDTFGPLSAHLSNKACMRVWDSVSLFPGVIQAEKHSRLDIWPNSFKVSPPNDHNIALYFFPRSERADQMLKQLLDEFIKEDIALKVTFDNSELLVFTSTVLPEGFQRFRGKFYLWGVFRGRKDLVPSRLITKEIEEGEIIDNLKGEICEEVTLGNQNDDRNLDSCLKLFPLQQESIAITARTKIDVSVNLELQLGCSVVNGAQYFPPKHKSQILL >DRNTG_07243.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:9324089:9324534:1 gene:DRNTG_07243 transcript:DRNTG_07243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSKQENTHIASFPNLIKPPRPRRRRNLHQKEIS >DRNTG_23546.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22392850:22397205:1 gene:DRNTG_23546 transcript:DRNTG_23546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQTWLPRILLHFDIEPIHAIFRAKSMFPELVPANADEDIFVRANRRFNAIAPEPLARRQDERATSSVRARRRSPTSIPKRTRIPRSQRSAPLPRQITTPPPTTTSTVPPMALWLVVLLHFPPLSLSTIVAFHHCLKVFLRLASMKRTLEQLACSLFKLNVVTDIMSFKPICIPSILLGTSWVSV >DRNTG_30237.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:190693:193201:1 gene:DRNTG_30237 transcript:DRNTG_30237.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKETRARTRRSRQRRRPLPSSSMSSSSPARTKPSSINLKTLTLL >DRNTG_05340.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18566978:18567450:1 gene:DRNTG_05340 transcript:DRNTG_05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGATKLISTDMQVLHRGSSWPLGTPSKDLPGIQAPDEEWPVCMAGSHHHVKELKCYGKLLAAIELFSWTGASFDAGNA >DRNTG_33219.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:444662:447701:-1 gene:DRNTG_33219 transcript:DRNTG_33219.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein FtsZ homolog 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55280) UniProtKB/Swiss-Prot;Acc:Q42545] MASSLQLSRPINPTSQTPSLNLFSYSTGFAPVERRVVFRRARSHSVRCSLDSFTPMDSARIKVVGVGGGGNNAVNRMIGSGLQGVDFYAINTDAQALLNSQAKHPLQIGELLTRGLGTGGNPQLGEQAAEESKEAIATALKDSDLVFITAGMGGGTGSGAAPVVAQISKEAGYLTVGVVTFPFSFEGRKRSLQALDAIEKLEKSVDTLIIIPNDRLLDIVDEQTPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKNSGTAMLGVGVSSSKNRAQEAAEQATLAPLIGSSIESATGVVYNITGGKDITLQEVNRVSQVVTSLADPSANIIFGAVVDDRYNGEIHVTIIATGFPQSFQKSILADPRAIKMIEAQQESRISSMPVKSANSSPLPSRSRKLFF >DRNTG_09364.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:15053000:15053553:1 gene:DRNTG_09364 transcript:DRNTG_09364.1 gene_biotype:protein_coding transcript_biotype:protein_coding HIKISASESTSSNNQLSASERTRSPKSKMPTMIIITKNNIVKGKVIRGFLE >DRNTG_01721.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23588583:23590420:-1 gene:DRNTG_01721 transcript:DRNTG_01721.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCGNGALILSLSIVHAANIPPKHDRRQTNNLHNLSCKLCYGCLLFDGLHHDVLLLLDARPRAVHMGIGASLSPLPHLPIITANNLQPRQGLSWFLLFITVLFPAFSE >DRNTG_18710.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14739203:14740400:1 gene:DRNTG_18710 transcript:DRNTG_18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSMVLWKVLYSSSKDSFVWYRIRLHRSSPTNLLPNGITIEATGACGCPVGRAHGRGYFPHACVRSLRVSESFFPESTQGCAPAPVKLSCGGTVA >DRNTG_05025.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5656465:5657455:-1 gene:DRNTG_05025 transcript:DRNTG_05025.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVLQGVLRSPSLPTEARKSVGDPPLHPSYSQRSSRPDTDLLRTECKYLELDRDRLVALDTPGSYCP >DRNTG_17235.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2581917:2590474:1 gene:DRNTG_17235 transcript:DRNTG_17235.1 gene_biotype:protein_coding transcript_biotype:protein_coding GYPSKDGHPPPGYAQGYSAQGYPPSGYPPPSYGPPPGYPPQGYAPYPPPQYVQAPPPQRPTTGSSFCEGCLAALCCCCLLDTFF >DRNTG_17248.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5634593:5647865:-1 gene:DRNTG_17248 transcript:DRNTG_17248.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCEMHSSSNLLKFAIIASLSPTLSSDSQSEGHENEDVWWNYSSPKASFPFPKLSLLGHPCLEEELCFPPLSRRRIPAQVFGISSVYSRYDGRANKFRIGGSLVSFRREDVALVLGLRCDGDAVVFQKKKKAYSAFEDRYFSRTYERHRDSIKRTLNDAQVADGGRPTSSRSSASEMRREEEEHGLVAANADKEIFIRDIRPGDAIAPEPLTRRQDERAPPDRNILFPSGNIPSYRNSPPDSYGPLGNDVTARLLQACHVLMTEFPRLVARVEALEGWAQSNVPSLQTNEAPNTNATRKSPDVAEVASAIVEDVIGMVSDFEDDYVIWTAIRRGSCLKRISKRKITIISLPPPADNETIETPPVVDRSATVAASEEISPEEATAVVNMIAKEIPISVEPVMAKEIPISLEPAMLKEIPISVESADDSAVSKVDIIPQQQEPTKIVSLIDAIIKIPVSVKLADNSGASKGETLPQLSTTAHGNEPKDYPDKGQVNVSEKTTMTGATVDRMSCGRITMSAPHEPAYTRCWREGNGDRRCDRRVRLHNPEIYDESTISIYKERLHYTTAALFIFKQEGANETALTMMGDATRNLHDVDIVILPIIMNDHFHFVVLNNDKQKCKHYISAESEEYDKDAGEMRRLFDYCVDMDLGE >DRNTG_22929.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9854291:9855106:-1 gene:DRNTG_22929 transcript:DRNTG_22929.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADELAPPLDATLSFRDPPSAAVLDSPDQIPAAHRPDHDDHHDPELDDDSDSDFEFAFVSRDLDSFPSITADEIFSDGRIRPMYPVFDRTLLLPDPPGSALAPDTKPSRVPLARLLIESREERSQSEGDELEGIAPETYCVWTPSPDRRRSCNKSGSTGSSASTGSSRRWKLRDLVVRRSHSDGKEKFVFIDTEGNKKGGGKVTEKGYNEKKFVFIGGGGEKKASAEENKKGGKITASSKTQKTFLPYRPDLVGFFASLNGISRAHTPF >DRNTG_20878.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1673066:1673269:-1 gene:DRNTG_20878 transcript:DRNTG_20878.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCRTRGFSFTRALLGIASDELHVCGDPAAVPLIQKILEATGDVVEVYLANTLS >DRNTG_24353.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:414251:426868:-1 gene:DRNTG_24353 transcript:DRNTG_24353.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 25 [Source:Projected from Arabidopsis thaliana (AT5G42400) TAIR;Acc:AT5G42400] MPAFLDSSQIFSPSADQVMCERFSPSSALSASCSKRINHHSFESYSHLCNHYLDSHKRRKLLQPELFHTDECTCMGDINDVPLTGNNEVCSSHWHSHEYDGTTCACNLLERAGDLYVAMDGSSNNTNNCCETSQPCVEGAIMGFDRNQAGYALSSVNGWMYVNEHGQMCGPYIQAQLHEGLSTGFLPEELPVYPIVNGNLANPIPLKCLKQFHTQALWSASYSTNASSGNSHIASHSSVAGGTAASGSFGQAGLVHCHVASPSGLNQQTDSQRCATHSAHGYDLAHTNDATFAPSGLPMSGEEPCWMFEDEEGRRHGPHSLVELHYWHHSNYLQDFLPVYHVENSFGPFTLVSLIDKWSTERTKFVIEFDKDGNNTDSLTNFISNIAEDVSTQLHTGIMKAARKILFDEIISSIIPEFLALKKAQKNLRPVYAKQEDKAHPLGNNKTKNFVEKSIVVANPDVLPATVSKEVKSTHDTHVPPPARAVSSVNLEDLQEVLLGVCKGLYYDCMRVLWNAVFYDPVADCCVKWLKRKRWSAPLLPVPVSSIEQDISIMVQKTDANVPEAPSQRDLEFPPGFGPASESLDGNAELLCDLDKGTRTIKVEPEQCTLRDIMLSDALTDIQETVENSLFVSAKPLLFKYFEEILQEEMTKFFCSALKEKDEEIVDSSTTSHRPDSCGSFDMDDDPAKEPSSLSCASWGSAFERLGLPIPGAYSDQGPNELPIPVLEEDCTLPANSLQKLKVQPSNLTMEFSTFSKYITLSVCRQKLHDEVLTKSIPSYLTRLLHNCLRSVYAQKKRAKKLTKFAFESQNLIKVEKYVNKEDMYDFSAILAKHREESWRSIVSVPFDASLVKKECTYFRKKRFGQIIRCGPLSENNKSFFEKACLMKEGLRVSGDELKSLTGLVSTRATDVTLEHGDKCEIEVMKSVSSPSISQNDLPVVSISRRKKGTQKLKKGTHESVPQVLCNSKVPDMQKGASPETNLSHLNNEASTDDVQCVSGEFSVSMGESDKLEKIKVKPLLSSMKDSDRLCAANTSKSKGTRLKRKSRIDHQTPVPAKVLKVTGMSSVRRTKSKNFISGKAKTTKLSDPCPKPNGCARASIDGWEWHRWSSNALPSDKARVRGVHVAQMHFMGSETSAPQSSNAKGLSARTNRVKLRNLLAAAEGAELLKVNQLKARKKRLRFQRSKIHDWGLVALEPIEAEDFVIEYVGELIRPRISDIRERQYEKMGIGSSYLFRLDDGYVVDATKRGGIARFINHSCEPNCYPKVITVEGQKKIFIYAKRAISAGEEITYNYKFPLEEKKIPCNCGSRRCRGSMN >DRNTG_24353.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:414251:426868:-1 gene:DRNTG_24353 transcript:DRNTG_24353.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 25 [Source:Projected from Arabidopsis thaliana (AT5G42400) TAIR;Acc:AT5G42400] MPAFLDSSQIFSPSADQVMCERFSPSSALSASCSKRINHHSFESYSHLCNHYLDSHKRRKLLQPELFHTDECTCMGDINDVPLTGNNEVCSSHWHSHEYDGTTCACNLLERAGDLYVAMDGSSNNTNNCCETSQPCVEGAIMGFDRNQAGYALSSVNGWMYVNEHGQMCGPYIQAQLHEGLSTGFLPEELPVYPIVNGNLANPIPLKCLKQFHTQALWSASYSTNASSGNSHIASHSSVAGGTAASGSFGQAGLVHCHVASPSGLNQQTDSQRCATHSAHGYDLAHTNDATFAPSGLPMSGEEPCWMFEDEEGRRHGPHSLVELHYWHHSNYLQDFLPVYHVENSFGPFTLVSLIDKWSTERTKFVIEFDKDGNNTDSLTNFISNIAEDVSTQLHTGIMKAARKILFDEIISSIIPEFLALKKAQKNLRPVYAKQEDKAHPLGNNKTKNFVEKSIVVANPDVLPATVSKEVKSTHDTHVPPPARAVSSVNLEDLQEVLLGVCKGLYYDCMRVLWNAVFYDPVADCCVKWLKRKRWSAPLLPVPVSSIEQDISIMVQKTDANVPEAPSQRDLEFPPGFGPASESLDGNAELLCDLDKGTRTIKVEPEQCTLRDIMLSDALTDIQETVENSLFVSAKPLLFKYFEEILQEEMTKFFCSALKEKDEEIVDSSTTSHRPDSCGSFDMDDDPAKEPSSLSCASWGSAFERLGLPIPGAYSDQGPNELPIPVLEEDCTLPANSLQKLKVQPSNLTMEFSTFSKYITLSVCRQKLHDEVLTKSIPSYLTRLLHNCLRSVYAQKKRAKKLTKFAFESQNLIKVEKYVNKEDMYDFSAILAKHREESWRSIVSVPFDASLVKKECTYFRKKRFGQIIRCGPLSENNKSFFEKACLMKEGLRVSGDELKSLTGLVSTRATDVTLEHGDKCEIEVMKSVSSPSISQNDLPVVSISRRKKGTQKLKKGTHESVPQVLCNSKVPDMQKGASPETNLSHLNNEASTDDVQCVSGEFSVSMGESDKLEKIKVKPLLSSMKDSDRLCAANTSKSKGTRLKRKSRIDHQTPVPAKVLKVTGMSSVRRTKSKNFISGKAKTTKLSDPCPKPNGCARASIDGWEWHRWSSNALPSDKARVRGVHVAQMHFMGSETSAPQSSNAKGLSARTNRVKLRNLLAAAEGAELLKVNQLKARKKRLRFQRSKIHDWGLVALEPIEAEDFVIEYVGELIRPRISDIRERQYEKMGIGSSYLFRLDDGYVVDATKRGGIARFINHSCEPNCYPKVITVEGQKKIFIYAKRAISAGEEITYNYKFPLEEKKIPCNCGSRRCRGSMN >DRNTG_24353.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:414251:426868:-1 gene:DRNTG_24353 transcript:DRNTG_24353.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 25 [Source:Projected from Arabidopsis thaliana (AT5G42400) TAIR;Acc:AT5G42400] MPAFLDSSQIFSPSADQVMCERFSPSSALSASCSKRINHHSFESYSHLCNHYLDSHKRRKLLQPELFHTDECTCMGDINDVPLTGNNEVCSSHWHSHEYDGTTCACNLLERAGDLYVAMDGSSNNTNNCCETSQPCVEGAIMGFDRNQAGYALSSVNGWMYVNEHGQMCGPYIQAQLHEGLSTGFLPEELPVYPIVNGNLANPIPLKCLKQFHTQALWSASYSTNASSGNSHIASHSSVAGGTAASGSFGQAGLVHCHVASPSGLNQQTDSQRCATHSAHGYDLAHTNDATFAPSGLPMSGEEPCWMFEDEEGRRHGPHSLVELHYWHHSNYLQDFLPVYHVENSFGPFTLVSLIDKWSTERTKFVIEFDKDGNNTDSLTNFISNIAEDVSTQLHTGIMKAARKILFDEIISSIIPEFLALKKAQKNLRPVYAKQEDKAHPLGNNKTKNFVEKSIVVANPDVLPATVSKEVKSTHDTHVPPPARAVSSVNLEDLQEVLLGVCKGLYYDCMRVLWNAVFYDPVADCCVKWLKRKRWSAPLLPVPVSSIEQDISIMVQKTDANVPEAPSQRDLEFPPGFGPASESLDGNAELLCDLDKGTRTIKVEPEQCTLRDIMLSDALTDIQETVENSLFVSAKPLLFKYFEEILQEEMTKFFCSALKEKDEEIVDSSTTSHRPDSCGSFDMDDDPAKEPSSLSCASWGSAFERLGLPIPGAYSDQGPNELPIPVLEEDCTLPANSLQKLKVQPSNLTMEFSTFSKYITLSVCRQKLHDEVLTKSIPSYLTRLLHNCLRSVYAQKKRAKKLTKFAFESQNLIKVEKYVNKEDMYDFSAILAKHREESWRSIVSVPFDASLVKKECTYFRKKRFGQIIRCGPLSENNKSFFEKACLMKEGLRVSGDELKSLTGLVSTRATDVTLEHGDKCEIEVMKSVSSPSISQNDLPVVSISRRKKGTQKLKKGTHESVPQVLCNSKVPDMQKGASPETNLSHLNNEASTDDVQCVSGEFSVSMGESDKLEKIKVKPLLSSMKDSDRLCAANTSKSKGTRLKRKSRIDHQTPVPAKVLKVTGMSSVRRTKSKNFISGKAKTTKLSDPCPKPNGCARASIDGWEWHRWSSNALPSDKARVRGVHVAQMHFMGSETSAPQSSNAKGLSARTNRVKLRNLLAAAEGAELLKVNQLKARKKRLRFQRSKIHDWGLVALEPIEAEDFVIEYVGELIRPRISDIRERQYEKMGIGSSYLFRLDDGYVVDATKRGGIARFINHSCEPNCYPKVITVEGQKKIFIYAKRAISAGEEITYNYKFPLEEKKIPCNCGSRRCRGSMN >DRNTG_24353.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:413728:426868:-1 gene:DRNTG_24353 transcript:DRNTG_24353.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 25 [Source:Projected from Arabidopsis thaliana (AT5G42400) TAIR;Acc:AT5G42400] MGIGSSYLFRLDDGYVVDATKRGGIARFINHSCEPNCYPKVITVEGQKKIFIYAKRAISAGEEITYNYKFPLEEKKIPCNCGSRRCRGSMN >DRNTG_24353.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:414251:426868:-1 gene:DRNTG_24353 transcript:DRNTG_24353.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 25 [Source:Projected from Arabidopsis thaliana (AT5G42400) TAIR;Acc:AT5G42400] MPAFLDSSQIFSPSADQVMCERFSPSSALSASCSKRINHHSFESYSHLCNHYLDSHKRRKLLQPELFHTDECTCMGDINDVPLTGNNEVCSSHWHSHEYDGTTCACNLLERAGDLYVAMDGSSNNTNNCCETSQPCVEGAIMGFDRNQAGYALSSVNGWMYVNEHGQMCGPYIQAQLHEGLSTGFLPEELPVYPIVNGNLANPIPLKCLKQFHTQALWSASYSTNASSGNSHIASHSSVAGGTAASGSFGQAGLVHCHVASPSGLNQQTDSQRCATHSAHGYDLAHTNDATFAPSGLPMSGEEPCWMFEDEEGRRHGPHSLVELHYWHHSNYLQDFLPVYHVENSFGPFTLVSLIDKWSTERTKFVIEFDKDGNNTDSLTNFISNIAEDVSTQLHTGIMKAARKILFDEIISSIIPEFLALKKAQKNLRPVYAKQEDKAHPLGNNKTKNFVEKSIVVANPDVLPATVSKEVKSTHDTHVPPPARAVSSVNLEDLQEVLLGVCKGLYYDCMRVLWNAVFYDPVADCCVKWLKRKRWSAPLLPVPVSSIEQDISIMVQKTDANVPEAPSQRDLEFPPGFGPASESLDGNAELLCDLDKGTRTIKVEPEQCTLRDIMLSDALTDIQETVENSLFVSAKPLLFKYFEEILQEEMTKFFCSALKEKDEEIVDSSTTSHRPDSCGSFDMDDDPAKEPSSLSCASWGSAFERLGLPIPGAYSDQGPNELPIPVLEEDCTLPANSLQKLKVQPSNLTMEFSTFSKYITLSVCRQKLHDEVLTKSIPSYLTRLLHNCLRSVYAQKKRAKKLTKFAFESQNLIKVEKYVNKEDMYDFSAILAKHREESWRSIVSVPFDASLVKKECTYFRKKRFGQIIRCGPLSENNKSFFEKACLMKEGLRVSGDELKSLTGLVSTRATDVTLEHGDKCEIEVMKSVSSPSISQNDLPVVSISRRKKGTQKLKKGTHESVPQVLCNSKVPDMQKGASPETNLSHLNNEASTDDVQCVSGEFSVSMGESDKLEKIKVKPLLSSMKDSDRLCAANTSKSKGTRLKRKSRIDHQTPVPAKVLKVTGMSSVRRTKSKNFISGKAKTTKLSDPCPKPNGCARASIDGWEWHRWSSNALPSDKARVRGVHVAQMHFMGSETSAPQSSNAKGLSARTNRVKLRNLLAAAEGAELLKVNQLKARKKRLRFQRSKIHDWGLVALEPIEAEDFVIEYVGELIRPRISDIRERQYEKMGIGSSYLFRLDDGYVVDATKRGGIARFINHSCEPNCYPKVITVEGQKKIFIYAKRAISAGEEITYNYKFPLEEKKIPCNCGSRRCRGSMN >DRNTG_24353.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:414251:426868:-1 gene:DRNTG_24353 transcript:DRNTG_24353.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 25 [Source:Projected from Arabidopsis thaliana (AT5G42400) TAIR;Acc:AT5G42400] MPAFLDSSQIFSPSADQVMCERFSPSSALSASCSKRINHHSFESYSHLCNHYLDSHKRRKLLQPELFHTDECTCMGDINDVPLTGNNEVCSSHWHSHEYDGTTCACNLLERAGDLYVAMDGSSNNTNNCCETSQPCVEGAIMGFDRNQAGYALSSVNGWMYVNEHGQMCGPYIQAQLHEGLSTGFLPEELPVYPIVNGNLANPIPLKCLKQFHTQALWSASYSTNASSGNSHIASHSSVAGGTAASGSFGQAGLVHCHVASPSGLNQQTDSQRCATHSAHGYDLAHTNDATFAPSGLPMSGEEPCWMFEDEEGRRHGPHSLVELHYWHHSNYLQDFLPVYHVENSFGPFTLVSLIDKWSTERTKFVIEFDKDGNNTDSLTNFISNIAEDVSTQLHTGIMKAARKILFDEIISSIIPEFLALKKAQKNLRPVYAKQEDKAHPLGNNKTKNFVEKSIVVANPDVLPATVSKEVKSTHDTHVPPPARAVSSVNLEDLQEVLLGVCKGLYYDCMRVLWNAVFYDPVADCCVKWLKRKRWSAPLLPVPVSSIEQDISIMVQKTDANVPEAPSQRDLEFPPGFGPASESLDGNAELLCDLDKGTRTIKVEPEQCTLRDIMLSDALTDIQETVENSLFVSAKPLLFKYFEEILQEEMTKFFCSALKEKDEEIVDSSTTSHRPDSCGSFDMDDDPAKEPSSLSCASWGSAFERLGLPIPGAYSDQGPNELPIPVLEEDCTLPANSLQKLKVQPSNLTMEFSTFSKYITLSVCRQKLHDEVLTKSIPSYLTRLLHNCLRSVYAQKKRAKKLTKFAFESQNLIKVEKYVNKEDMYDFSAILAKHREESWRSIVSVPFDASLVKKECTYFRKKRFGQIIRCGPLSENNKSFFEKACLMKEGLRVSGDELKSLTGLVSTRATDVTLEHGDKCEIEVMKSVSSPSISQNDLPVVSISRRKKGTQKLKKGTHESVPQVLCNSKVPDMQKGASPETNLSHLNNEASTDDVQCVSGEFSVSMGESDKLEKIKVKPLLSSMKDSDRLCAANTSKSKGTRLKRKSRIDHQTPVPAKVLKVTGMSSVRRTKSKNFISGKAKTTKLSDPCPKPNGCARASIDGWEWHRWSSNALPSDKARVRGVHVAQMHFMGSETSAPQSSNAKGLSARTNRVKLRNLLAAAEGAELLKVNQLKARKKRLRFQRSKIHDWGLVALEPIEAEDFVIEYVGELIRPRISDIRERQYEKMGIGSSYLFRLDDGYVVDATKRGGIARFINHSCEPNCYPKVITVEGQKKIFIYAKRAISAGEEITYNYKFPLEEKKIPCNCGSRRCRGSMN >DRNTG_24353.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:414251:426868:-1 gene:DRNTG_24353 transcript:DRNTG_24353.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 25 [Source:Projected from Arabidopsis thaliana (AT5G42400) TAIR;Acc:AT5G42400] MPAFLDSSQIFSPSADQVMCERFSPSSALSASCSKRINHHSFESYSHLCNHYLDSHKRRKLLQPELFHTDECTCMGDINDVPLTGNNEVCSSHWHSHEYDGTTCACNLLERAGDLYVAMDGSSNNTNNCCETSQPCVEGAIMGFDRNQAGYALSSVNGWMYVNEHGQMCGPYIQAQLHEGLSTGFLPEELPVYPIVNGNLANPIPLKCLKQFHTQALWSASYSTNASSGNSHIASHSSVAGGTAASGSFGQAGLVHCHVASPSGLNQQTDSQRCATHSAHGYDLAHTNDATFAPSGLPMSGEEPCWMFEDEEGRRHGPHSLVELHYWHHSNYLQDFLPVYHVENSFGPFTLVSLIDKWSTERTKFVIEFDKDGNNTDSLTNFISNIAEDVSTQLHTGIMKAARKILFDEIISSIIPEFLALKKAQKNLRPVYAKQEDKAHPLGNNKTKNFVEKSIVVANPDVLPATVSKEVKSTHDTHVPPPARAVSSVNLEDLQEVLLGVCKGLYYDCMRVLWNAVFYDPVADCCVKWLKRKRWSAPLLPVPVSSIEQDISIMVQKTDANVPEAPSQRDLEFPPGFGPASESLDGNAELLCDLDKGTRTIKVEPEQCTLRDIMLSDALTDIQETVENSLFVSAKPLLFKYFEEILQEEMTKFFCSALKEKDEEIVDSSTTSHRPDSCGSFDMDDDPAKEPSSLSCASWGSAFERLGLPIPGAYSDQGPNELPIPVLEEDCTLPANSLQKLKVQPSNLTMEFSTFSKYITLSVCRQKLHDEVLTKSIPSYLTRLLHNCLRSVYAQKKRAKKLTKFAFESQNLIKVEKYVNKEDMYDFSAILAKHREESWRSIVSVPFDASLVKKECTYFRKKRFGQIIRCGPLSENNKSFFEKACLMKEGLRVSGDELKSLTGLVSTRATDVTLEHGDKCEIEVMKSVSSPSISQNDLPVVSISRRKKGTQKLKKGTHESVPQVLCNSKVPDMQKGASPETNLSHLNNEASTDDVQCVSGEFSVSMGESDKLEKIKVKPLLSSMKDSDRLCAANTSKSKGTRLKRKSRIDHQTPVPAKVLKVTGMSSVRRTKSKNFISGKAKTTKLSDPCPKPNGCARASIDGWEWHRWSSNALPSDKARVRGVHVAQMHFMGSETSAPQSSNAKGLSARTNRVKLRNLLAAAEGAELLKVNQLKARKKRLRFQRSKIHDWGLVALEPIEAEDFVIEYVGELIRPRISDIRERQYEKMGIGSSYLFRLDDGYVVDATKRGGIARFINHSCEPNCYPKVITVEGQKKIFIYAKRAISAGEEITYNYKFPLEEKKIPCNCGSRRCRGSMN >DRNTG_24353.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:414251:426868:-1 gene:DRNTG_24353 transcript:DRNTG_24353.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 25 [Source:Projected from Arabidopsis thaliana (AT5G42400) TAIR;Acc:AT5G42400] MGIGSSYLFRLDDGYVVDATKRGGIARFINHSCEPNCYPKVITVEGQKKIFIYAKRAISAGEEITYNYKFPLEEKKIPCNCGSRRCRGSMN >DRNTG_24353.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:414251:426868:-1 gene:DRNTG_24353 transcript:DRNTG_24353.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 25 [Source:Projected from Arabidopsis thaliana (AT5G42400) TAIR;Acc:AT5G42400] MPAFLDSSQIFSPSADQVMCERFSPSSALSASCSKRINHHSFESYSHLCNHYLDSHKRRKLLQPELFHTDECTCMGDINDVPLTGNNEVCSSHWHSHEYDGTTCACNLLERAGDLYVAMDGSSNNTNNCCETSQPCVEGAIMGFDRNQAGYALSSVNGWMYVNEHGQMCGPYIQAQLHEGLSTGFLPEELPVYPIVNGNLANPIPLKCLKQFHTQALWSASYSTNASSGNSHIASHSSVAGGTAASGSFGQAGLVHCHVASPSGLNQQTDSQRCATHSAHGYDLAHTNDATFAPSGLPMSGEEPCWMFEDEEGRRHGPHSLVELHYWHHSNYLQDFLPVYHVENSFGPFTLVSLIDKWSTERTKFVIEFDKDGNNTDSLTNFISNIAEDVSTQLHTGIMKAARKILFDEIISSIIPEFLALKKAQKNLRPVYAKQEDKAHPLGNNKTKNFVEKSIVVANPDVLPATVSKEVKSTHDTHVPPPARAVSSVNLEDLQEVLLGVCKGLYYDCMRVLWNAVFYDPVADCCVKWLKRKRWSAPLLPVPVSSIEQDISIMVQKTDANVPEAPSQRDLEFPPGFGPASESLDGNAELLCDLDKGTRTIKVEPEQCTLRDIMLSDALTDIQETVENSLFVSAKPLLFKYFEEILQEEMTKFFCSALKEKDEEIVDSSTTSHRPDSCGSFDMDDDPAKEPSSLSCASWGSAFERLGLPIPGAYSDQGPNELPIPVLEEDCTLPANSLQKLKVQPSNLTMEFSTFSKYITLSVCRQKLHDEVLTKSIPSYLTRLLHNCLRSVYAQKKRAKKLTKFAFESQNLIKVEKYVNKEDMYDFSAILAKHREESWRSIVSVPFDASLVKKECTYFRKKRFGQIIRCGPLSENNKSFFEKACLMKEGLRVSGDELKSLTGLVSTRATDVTLEHGDKCEIEVMKSVSSPSISQNDLPVVSISRRKKGTQKLKKGTHESVPQVLCNSKVPDMQKGASPETNLSHLNNEASTDDVQCVSGEFSVSMGESDKLEKIKVKPLLSSMKDSDRLCAANTSKSKGTRLKRKSRIDHQTPVPAKVLKVTGMSSVRRTKSKNFISGKAKTTKLSDPCPKPNGCARASIDGWEWHRWSSNALPSDKARVRGVHVAQMHFMGSETSAPQSSNAKGLSARTNRVKLRNLLAAAEGAELLKVNQLKARKKRLRFQRSKIHDWGLVALEPIEAEDFVIEYVGELIRPRISDIRERQYEKMGIGSSYLFRLDDGYVVDATKRGGIARFINHSCEPNCYPKVITVEGQKKIFIYAKRAISAGEEITYNYKFPLEEKKIPCNCGSRRCRGSMN >DRNTG_24353.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:414251:422325:-1 gene:DRNTG_24353 transcript:DRNTG_24353.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 25 [Source:Projected from Arabidopsis thaliana (AT5G42400) TAIR;Acc:AT5G42400] MYDFSAILAKHREESWRSIVSVPFDASLVKKECTYFRKKRFGQIIRCGPLSENNKSFFEKACLMKEGLRVSGDELKSLTGLVSTRATDVTLEHGDKCEIEVMKSVSSPSISQNDLPVVSISRRKKGTQKLKKGTHESVPQVLCNSKVPDMQKGASPETNLSHLNNEASTDDVQCVSGEFSVSMGESDKLEKIKVKPLLSSMKDSDRLCAANTSKSKGTRLKRKSRIDHQTPVPAKVLKVTGMSSVRRTKSKNFISGKAKTTKLSDPCPKPNGCARASIDGWEWHRWSSNALPSDKARVRGVHVAQMHFMGSETSAPQSSNAKGLSARTNRVKLRNLLAAAEGAELLKVNQLKARKKRLRFQRSKIHDWGLVALEPIEAEDFVIEYVGELIRPRISDIRERQYEKMGIGSSYLFRLDDGYVVDATKRGGIARFINHSCEPNCYPKVITVEGQKKIFIYAKRAISAGEEITYNYKFPLEEKKIPCNCGSRRCRGSMN >DRNTG_24353.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:414251:426868:-1 gene:DRNTG_24353 transcript:DRNTG_24353.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 25 [Source:Projected from Arabidopsis thaliana (AT5G42400) TAIR;Acc:AT5G42400] MPAFLDSSQIFSPSADQVMCERFSPSSALSASCSKRINHHSFESYSHLCNHYLDSHKRRKLLQPELFHTDECTCMGDINDVPLTGNNEVCSSHWHSHEYDGTTCACNLLERAGDLYVAMDGSSNNTNNCCETSQPCVEGAIMGFDRNQAGYALSSVNGWMYVNEHGQMCGPYIQAQLHEGLSTGFLPEELPVYPIVNGNLANPIPLKCLKQFHTQALWSASYSTNASSGNSHIASHSSVAGGTAASGSFGQAGLVHCHVASPSGLNQQTDSQRCATHSAHGYDLAHTNDATFAPSGLPMSGEEPCWMFEDEEGRRHGPHSLVELHYWHHSNYLQDFLPVYHVENSFGPFTLVSLIDKWSTERTKFVIEFDKDGNNTDSLTNFISNIAEDVSTQLHTGIMKAARKILFDEIISSIIPEFLALKKAQKNLRPVYAKQEDKAHPLGNNKTKNFVEKSIVVANPDVLPATVSKEVKSTHDTHVPPPARAVSSVNLEDLQEVLLGVCKGLYYDCMRVLWNAVFYDPVADCCVKWLKRKRWSAPLLPVPVSSIEQDISIMVQKTDANVPEAPSQRDLEFPPGFGPASESLDGNAELLCDLDKGTRTIKVEPEQCTLRDIMLSDALTDIQETVENSLFVSAKPLLFKYFEEILQEEMTKFFCSALKEKDEEIVDSSTTSHRPDSCGSFDMDDDPAKEPSSLSCASWGSAFERLGLPIPGAYSDQGPNELPIPVLEEDCTLPANSLQKLKVQPSNLTMEFSTFSKYITLSVCRQKLHDEVLTKSIPSYLTRLLHNCLRSVYAQKKRAKKLTKFAFESQNLIKVEKYVNKEDMYDFSAILAKHREESWRSIVSVPFDASLVKKECTYFRKKRFGQIIRCGPLSENNKSFFEKACLMKEGLRVSGDELKSLTGLVSTRATDVTLEHGDKCEIEVMKSVSSPSISQNDLPVVSISRRKKGTQKLKKGTHESVPQVLCNSKVPDMQKGASPETNLSHLNNEASTDDVQCVSGEFSVSMGESDKLEKIKVKPLLSSMKDSDRLCAANTSKSKGTRLKRKSRIDHQTPVPAKVLKVTGMSSVRRTKSKNFISGKAKTTKLSDPCPKPNGCARASIDGWEWHRWSSNALPSDKARVRGVHVAQMHFMGSETSAPQSSNAKGLSARTNRVKLRNLLAAAEGAELLKVNQLKARKKRLRFQRSKIHDWGLVALEPIEAEDFVIEYVGELIRPRISDIRERQYEKMGIGSSYLFRLDDGYVVDATKRGGIARFINHSCEPNCYPKVITVEGQKKIFIYAKRAISAGEEITYNYKFPLEEKKIPCNCGSRRCRGSMN >DRNTG_06259.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8253268:8256613:-1 gene:DRNTG_06259 transcript:DRNTG_06259.2 gene_biotype:protein_coding transcript_biotype:protein_coding NQFQTKISQKKNCVCVYIYISSSKKKAQTLFPIPASNPPTFFPKIFQNLQKKKKLHRREDQTILTLLRLKEIPACRSMTLENTIRNIGSRRSKSSEKQEHEHHQQCPSI >DRNTG_06259.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8253268:8256613:-1 gene:DRNTG_06259 transcript:DRNTG_06259.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLENTIRNIGSRRSKSSEKQEHEHHQQCPSI >DRNTG_26253.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:7039780:7040244:-1 gene:DRNTG_26253 transcript:DRNTG_26253.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSDKEVEKVFNRIDTDGDGKISESELKGVVKALDSSVSQEEVGMMMREMDKDHNGFVDLKEFTEFQRGGDGDGEVDDELRDAFQMYDLDKDGVISVKELHLVLNKLGDKSSIGDCARMIKSVDSDGDGCVNFEEFKKMMNKGSHERGQSSS >DRNTG_08948.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27263914:27265232:-1 gene:DRNTG_08948 transcript:DRNTG_08948.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEIILLLFPFIVSLLFTNTLAIQSHSKPFSNITVIGTVFCDTCSSNNFSTHSYFLQGVKVLLDCNFRVNSTSKEEISIRVIRSTDEHGVYRLDIPPVDGFECREGRQMQSFCHASLIESSSSSLCNLPNLRSSTEHLGLMCRDASACLYNLNALSFRPAKKDTNLCGTNEASESSDLSVSLFLWPPSPAFPFPLPFISPPSPPPFPFPLPFISPPSPPPFPFPLPSISPPSPPPFPFPIPFPPLPTFVSPPPPPPPTFSLGDPRTWFQPPSPPNHP >DRNTG_30798.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6101216:6102887:1 gene:DRNTG_30798 transcript:DRNTG_30798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKQLPTPFEKEPKNEKVEPTVIVDHETLSAVVVSAPPELPKAKISLAMKWWKKIMPRKHIASKMPRTEDHYTLLSRRHFGESREINLDVLNAGGLEIEVQQLFSAIAWQQLFQITDNTYEQLALGVLATFELSTVTVAFQCANFIKFQTFGAMQQMSLTNFSIMLELLNVEFT >DRNTG_30276.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5183722:5190076:1 gene:DRNTG_30276 transcript:DRNTG_30276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQSLLPISVSTELSFTSECGKRQSTDLMCDWPHCGETWQQSLNCDDSPSVKHRKPLSTRVEVTESSSTRAVELSRTSLDLSLGNNMCSSSAPKWAGYVYKRRKLQRNSVALLSEENATPITNEISNHSSSISFEEDSLVDKDNVPNNACVTVAEASTRNDLIEADALLIEDCGLQKATAFASRGKSGNIQQSVTTKMSSFREDGLPPASSVGNINRSASEDYSVVRDTCSSSKSISGHCSTIKRTDASGMEMCSSIRKVLMKPLEEFSSARDFCIHVLQAHGLLRGLLTCNSSICPQILGDVDDESTQLCKICGLPDNLRNMLICDLCDKAFHISCCHPKVKKLLVDDWYCQPCSRKRPKPLLTNNSGNSLNIMGGASSHGGINSILAMLSDNQPHKSGIRIGRDFQVEVPEWCGPVFIDDDYFGEPSELDLTECASLNSWNNNELSKHSSIGNWVQCRGVIYNDADINDEGRVCGKWRRAPLFVVQTDDWDCFCSVLWDPIHADCAVPQELETDVILKHLKYIEMLRPRLSNDSQKTDQSKSNIHG >DRNTG_34913.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20707770:20709262:1 gene:DRNTG_34913 transcript:DRNTG_34913.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSHGFTIQDLVALSGGHTIGVARCASFKDRLTNSDSTMNTNLVRIASMQCNSGDDNTTMNLDMTSTSFDNSYFRALQRGYGLLTSDETLFMDDQTKGFVDIYAMNQARWFYDFQSAMLKMGSIDVKEGADGNVRLNCHR >DRNTG_20685.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:11897695:11899109:1 gene:DRNTG_20685 transcript:DRNTG_20685.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSFLVRVAMVMQSSFTRRRPGQRSKGGIYQKPTRDTETPSRALWCNLFDRGEKKIILSNS >DRNTG_00491.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30593647:30598074:-1 gene:DRNTG_00491 transcript:DRNTG_00491.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWEGYVSDELMGTFAPIVIYWLYAGMYQLLPPLDRFRLHTRKEEKLKNLVPLSSVVKGVLLQQLVQATIAQLLFLATSDANSSGIQVQPPVLVQLMQIIVAMIVMDTWQYFVHRYMHQNKFLYRHIHSQHHRLVVPYAIGALYNHPLEGLLLDTFGGAISFLLAGMTARTAVYFFSFAVIKTVDDHCGLWLPGNIFHIIFQNNTAYHDIHHQLQGAKFNYSQPFFSIWDKLLGTYMPYNLVIRQEGGFEARPLKH >DRNTG_07546.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21636535:21636835:-1 gene:DRNTG_07546 transcript:DRNTG_07546.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKKYLLAPTDGWMPLLRNHLLEGRMRGQPLSCALDAVLLLPAHHAHAFLDAGEALPYPGRLQQPPLPRQRQSPRPWQP >DRNTG_07546.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21636535:21637118:-1 gene:DRNTG_07546 transcript:DRNTG_07546.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCYGESTYRKQATVETSLSSLDGKEFPELVPANAEEEIFVGANRRMDAIAPEPLARRQDERAASIVRAGRRSPTSSPPRARIPRRRRSPPLPRQIATTPPTTTTTVPPTMAA >DRNTG_10175.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22994754:22997262:-1 gene:DRNTG_10175 transcript:DRNTG_10175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRIVFELQTCTSFIAACASVTGLFASGEWRSLRREMEEFKKGTEAYVMTLVWIAISWQMVSVGIVGLVFMASSLLANMIGTLGLPLVPIFAVIFLNDRMDGVKIMSLLIAIWGFLSYMYQQYLDDSKAKKLAATDSMEISVVPSSSLTEPLEGTDFLPKSTQTMARNLQWWFKVSVHTILVLAGQSVATLLGRFYYDQGGKSKWLQTLTFSAGFPILYLPLLITTHSSSSSTSHPLPLVKITVIYIILGLMATGDSLMYSYGLLYLSVSTYSLVSATQLGFNAVFSYFINSERFTHLTFNSVIILTFSAAIIAFQPASDDSSETSGGKYMLGFILTLAASATYSLNLSLMELTFDKIIKCRALSAVLNMQIYTALVSTVGAIIGLFASGEWRELKKDMERVQPRETVLCDDLGLDFHMLASY >DRNTG_18179.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29115265:29119629:-1 gene:DRNTG_18179 transcript:DRNTG_18179.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPVHPVPFTDCTGEGEEATGSTPATYSKSSPPSDFKSIQAFTELHSPGEFEFGGSSRRGTGQWSSASSIELADVSETLEAECSSLSYSNIYEGAKCCLCERFLSQRSPWGSRRIVRNGDMPVVSVLSCWHVFHAECLERTTSKTQKHDPPCPLCEKSEENVWEQWAACRLKNGVPRLKPLGEEGPSSKVWTCGQVGDCVERALQTPKRANMLLLNRNRLKRQLSLKGSSGKDWAENSKKSGFYSSKVLDGRKLGDQVTVAHSRTAPCRHSSDKVTP >DRNTG_15186.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5954800:5965090:-1 gene:DRNTG_15186 transcript:DRNTG_15186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDEAIRASSDRRLQTKYNNAAYIIQRAFALYEFEQVAFSFNGGKDSTVLLHLLRAGYFLYKKNAHHPKGSQKDHVLNCPMRTIYFESPSAFPEINSFTYETASIYDLQLEVICSDFKSGLEALLEQKPTKAIFLGTRIGDPNAVGQEQFSPSSIGWPPFMRVNPILDWSYRDVWAFISTSKVQYCSLYDKGYTSIGSIYDTVPNPLLCTIDSLSNTEKFKPAYLLSDGRLERAGRARKRNQVSEASIDISNGPNIIRSQQNGALMASIIAVGDEILFGNIEDEIAGTLCRKLHAIGWHVSHKAVVRNEIDSVAEEVENRKSTDDLVFLFGGVGPLHLDVSMAGVAKAFGTRLAPDEEFEEYLRHLIGNHCTGDRNEMALLPEGITELLHHEMLSVPLIKCGNVVILSATNAYEVEKQWNCLLESANTPLVQLAPFFSKHLSTMLSDVELSETLSRLCLDFPDLNLSCHRKARTGPLIINFTGKDHTRVELAAKVLSQKYHGAFSEFNFEGV >DRNTG_22046.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28386399:28400001:1 gene:DRNTG_22046 transcript:DRNTG_22046.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent helicase BRM [Source:Projected from Arabidopsis thaliana (AT2G46020) UniProtKB/Swiss-Prot;Acc:Q6EVK6] MQGGVGGRNPAVAPPGRSSTSSSASPSSSSSAVSAPPAHLGFDSIQHQQQQLGFRQSLQQQQQQQQQQLRKPEGDNAFLAYQGGGMHGVMAAGNFPSSSSAVPLSQPSRKFNDLPHQQVPPQLREENQNKGQGLEQHGQNPIHQPYIQFALQAAQHKPHGNLLAQQGKIGMGGPPVREQDLRQNSMKIQELMALQAANQAQMSLFKKSVENSGQGENKMEQGSTSIDQRNEIKPPQIAALGQSLSSNMIRPVQQSQVNIQNIANNQLMQQLRLMHNWAQEHNIDLSVPGNMNVVAQMMPAWHAARLQAMQKSSEASAPASQSGLPPSKPQFIPSPVNVENSAHGNSLNDLSAQMGPVKSPKLIPSGSPSSSGATPAGNFNNLQMQQQQQLAGRSRENQNERAARPPIATGNGGPTMHMPQSSGSMTQGADLSQSKNIITGPSPGPGPETLQMQYFRSLQQNRAMSQAAIPSSEVPGNSAPAHTGSSQIPPQRAGIGFTKQQLHVLKAQILAFRRLKRGERNLPQEVLQAIVPPPIDLQPQQMFVTPGMVNQERPLASAAEEHTRHVETTEKVPVGTSSSKSHSSPKEEPLSVEEKAVMVTHMQGVAGSRKEPMQIGSVGKVEQTGATLAKSEQEAERGNLKLPSKVDYNPEKGKSVPAQAPGVPVVSTNVDAGQTRKPASTGSAPVPKDIGTRKYHGPLFDFPFFTRRHDSLGAMVANNSSNLILAYDMKDLLFEEGMDVLNKKRTENLRKISGLLAVNLERKRIRPDLVLRLQIEERKLRLLDFQARLRDEVDQQQQEIMAMPDRPYRKFVRQCERQRIELARQVQQLQKATREKQLKSIFQWRKKLLEAHWAIRDARTARNRGVAKYHERMLREFSKRKDEDRTKRMEALKNNDVDRYREMLLEQQTNIPGDAAQRYSVLSSFLSQTEEYLHKLGGKITAAKNHQEVEEAANAAAAAARSQGLSEEEIKAAAACAGEEVMIRNRFSEMNAPKESSSVNKYYNLAHAVNERVIRQPSMLRAGTLRDYQIVGLQWMLSLYNNKLNGILADEMGLGKTVQVMAVIAYLMEFKGNYGPHLIIVPNAVLVNWKSELLNWLPSVSCIFYVGSKDQRSKLFSHEVSAVKFNVLVTTYEFIMYDRSKLSKIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSKPFQKDGPSHNPEEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPRKVSTVLRCRMSAMQSCIYDWIKSTGTIRVDPEDEMRRVQKNPMYQVKIYKNLNNKCMELRKVCNHPLLNYPYFNEYSKDFIIRSCGKLWILDRILIKLQRAGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSPNSDCFIFLLSIRAAGRGLNLQTADTVVIYDPDPNPQNEEQAVARAHRIGQQREVKVIYMEAVVDKIPSYQKEDDLRNGGTGDIEEDDLAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRLTLETLLHDDERYQETVHDVPSLQEVNRMIARSEEEIELFDQMDEEFDWTGEMMKYNQVPKWLRAGSREVNGTIANLSKKPSKNLLASNINLDTDELFSGLSPSRTERRRGRTRAPQTGKYSIYRELEDEDGENSDASSEERNAYSLHEEEGEIGEFEDEEFNGAVDAMPGNKDQSEEEGPICDGGSFELPRAIERNRSSHLFEEAGSSGSSSGNRRTHQPSTPLSSQKFGSLSALDARPGPLSRSMPDDLEEGEIAASGDSHMDLQQSGSWNHERDDNEEEQVLQPPTIKRKRSVRIRPRYNVEKLEEKSTNERVLPPRASMRGSLDYDTQFRAEPEIEPSSEPVSDRRDTRTSSLKQKRNLPSKKSSNAMMPQHASRLGYLSGSTEDANEPNRGSWNGRTMNTGLSFAGAKMSDSMQRKYKNVISKLQRRIDKDGHQVAPVLSDWWKRNSSSITDDLLDLQKIDQRVDGLEYDGVPDFIADVQLMLKNVVQCCGYSHEARYEARKLNDVFLDIMKIAFPGTDYREARNSFMSSVPGGPATIVPSPKQSKHPNSFGEVEPNSVSARSTNCGLAPPDEDGRTRGHASKLRESGGGSASGSAHNPLLLAHPGELVICKKKRKDRDKTMAKQRMGQVSPSNPARAGPLSPSVPGRAVAPASPMSRGGLRVPPLHNKDTHPSQQSIHPSGWGHQQASQQQQQQVSGGSAGGSPNVAEVQWAKPAKKLRTDTGKRRPSHL >DRNTG_32636.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:12771314:12777149:-1 gene:DRNTG_32636 transcript:DRNTG_32636.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFGNPRFAMASIALVLIVLLFGPVLVSAQKLSIEDSTENSSEESVRSLDRKNRMFLHAIQKSASGGEDIGFPIEGSELGLFDAFFASLSMIIVSEIGDETFIIAALMAMRHPKSIVLSGALSALIIMTVLSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRSDSKASQKKEMEEAKEKLEAGQGKTTFRRVFSRFCTPIFLESFILTFLAEWGDRSQIATIALATHKNAVGVAVGATLGHTICTSIAVVGGSMLASKISQGTVATIGGLLFLGFSVSSYFYPPL >DRNTG_24981.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28205410:28208675:1 gene:DRNTG_24981 transcript:DRNTG_24981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDSVKSTLSNLAFGNVMAAAARDYQKEMLAKDKADDATAANEEVDLDELMDDPELEKLHAERIAALKKEAEKRQVLKRQGHGEYREISEGDFLGEVTGSERVICHFYHREFYRCKIMDKHLKALAPLHLDTKFIKLDAENAPFFVAKLAVKTLPCVILFRNGIAFDRIVGFQDLGSKDDFTSRALENLLKRKGIIDERKNNDTEEDDDDDTEQGRSRNVRSSTLQDSDSD >DRNTG_32416.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1830797:1832158:-1 gene:DRNTG_32416 transcript:DRNTG_32416.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSISSTLPELTYLELIHCSNCPQLPQLGQLPQLKYLKIRGATSVISIGSEFLGNGKLAASAFPKLEYLILLEMTNWEQWRGRQRD >DRNTG_32416.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1831226:1832158:-1 gene:DRNTG_32416 transcript:DRNTG_32416.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSISSTLPELTYLELIHCSNCPQLPQLGQLPQLKYLKIRGATSVISIGSEFLGNGKLAASAFPKLEYLILLEMTNWEQWRGRQRD >DRNTG_11075.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:11251679:11255375:1 gene:DRNTG_11075 transcript:DRNTG_11075.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPCGRMEPVKLTAKCPKNSRASMRAHGARETPSQMLAARTM >DRNTG_31300.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1208201:1209225:1 gene:DRNTG_31300 transcript:DRNTG_31300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIGLEPDLILYNTLICGFCGEGNVDEAFNLLSMMAKHGVLPDNSTCQSLIYGLEKKGIENPIESAALKLDQIFCAI >DRNTG_31300.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1208201:1208834:1 gene:DRNTG_31300 transcript:DRNTG_31300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIGLEPDLILYNTLICGFCGEGNVDEAFNLLSMMAKHGVLPDNSTCQSLIYGLEKKGIENPIESAALKLDQIFCAI >DRNTG_15655.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19860664:19872299:1 gene:DRNTG_15655 transcript:DRNTG_15655.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHNFTMAPMEPPSHMLTLDLEAMSAQEFLEYPLLYADTLSGATTNGDPHVGYSKTIQDFNYWYGLLWDNDAEATRWLDNIPREKWAQAFDKEERRYGHMITNLTECVNSAQAQIASGLIFSKLLMKAIKENQQLASSIYVHQFDREEKSFMVDEMSPPQCGRQASSFRINLRSHWYGCGAFQTLHFPCRHVLTACSHIRLHWEEYVDNVYRLQTIFNVYRKEFEPVSNEGFWNPYNGPRLRPDITMRRPMKGRPKSTRIHNEMDIREGVQRKCCGLCCNEGHSRRNCPNIAGSSSWS >DRNTG_05998.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19591097:19591610:-1 gene:DRNTG_05998 transcript:DRNTG_05998.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTNITDKRWSLQGSTALVTGGCNGIGLGIVKELVSLGATVHVCDKNEPDLIKRLEQWEEQHLPITGSLCDVSSRDDRHKLIEKVSSLFNGKLDILV >DRNTG_05998.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19590654:19591610:-1 gene:DRNTG_05998 transcript:DRNTG_05998.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTNITDKRWSLQGSTALVTGGCNGIGLGIVKELVSLGATVHVCDKNEPDLIKRLEQWEEQHLPITGSLCDVSSRDDRHKLIEKVSSLFNGKLDILVNNAGVVLFKPTVSYTAEEFSFIMSTNFESAFHLSQLAHPLLKASGSGSIVFISSIAGLIGANNVSLYATSKGTNFFFVFFFLDLGPLGCYFLL >DRNTG_05998.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:19583800:19591610:-1 gene:DRNTG_05998 transcript:DRNTG_05998.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTNITDKRWSLQGSTALVTGGCNGIGLGIVKELVSLGATVHVCDKNEPDLIKRLEQWEEQHLPITGSLCDVSSRDDRHKLIEKVSSLFNGKLDILVNNAGVVLFKPTVSYTAEEFSFIMSTNFESAFHLSQLAHPLLKASGSGSIVFISSIAGLIGANNVSLYATSKAAMNQLTKNLACEWGKDNIRANGIAPALIRTPLLLQVSCFILCKIKNIF >DRNTG_06446.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29928224:29928535:1 gene:DRNTG_06446 transcript:DRNTG_06446.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYSGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSPDMGDSIKGKGMIQ >DRNTG_20480.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2041572:2043636:1 gene:DRNTG_20480 transcript:DRNTG_20480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTMTKQTTINDDSNNNNNTTTTPPHVVLVPLMAQGQTIPMLDMARLLANRGVHVTFITTPVNASRIKPIIARVHESNLPMNFIELPFPCAEAGLPLGCENFDLVPSRELFPNFFDAIRLLAHPLEQRLRDLVPRPTCMINDMWNPWTANVARSLNIRRLVYHGPSCVFIYCSYVFRLHKIFDTVTDEFEEITVPGLTDDGDDVGQSFKVSKAHLSAWLNSPGLKLRDEVLLAEETADGVVINTFDDVEPMFVEAYKKVAGKDVWTVGPLCLYDKDDDLSARIVRGNKAVVDPEKLFRWLDSMEENSVLYVSFGTLTRIKVGEILEIGSGLEASGIPFLWVIKDVEKSPVVEGWLEGFEKRMSLRSFVIKGWAPQAAILSHKSVGGFFSHCGWNSTSEAVSNGVPMITWPQFADQFLNERLVVEFLRIAIAIGVKKPIFYYGENEIPVKRDDVERAVRSLMGDGEEAEERRIRAREIKEKAIKAMDAGGSSYENITMLVEYIKLESCKGTQGATS >DRNTG_25153.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:6016792:6017866:1 gene:DRNTG_25153 transcript:DRNTG_25153.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLSVPGIKDDDNRLGRHTDLQSPAKTKSFLWVAFFFPPFCVRGL >DRNTG_13721.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5417534:5419395:1 gene:DRNTG_13721 transcript:DRNTG_13721.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 724, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT5G14400) TAIR;Acc:AT5G14400] MLLLTLFFLFFLFHHFFLHANSSKRPPGCFGWFLFISQTLSFISPHVSSSRGQFLEDNIQRYGNIFQSHLFAHPMVVSCDDEFNQFILNNEDRPVISSLSDIEQVALQVLNSWKIKKTLSLFDEARKFAFCVTVKQTLNLEPEHPVTGELLKNFVNFMQAVVSLPIYSLANRQVKAIISSLIYRQEKRVHIVIEERMDFLDILLAHKDLSMEERHSLVVDILFGGYETTSSLISIIVMFLSDNPKALNALKDEQKSLRKVGGERLGSDDYKKCASLGYDFPKGWKVPPVFNAAVHLDSSLYPDPFKFDPWRW >DRNTG_24837.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29327639:29330886:1 gene:DRNTG_24837 transcript:DRNTG_24837.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRHQSVQMEQNKKRKAVNEAEMMSVFSLDELNEDLLEKVLAWLPAASFCRLRLVCKRWNSVATSATFKIACSQIPCRDPWFLMVGQELNQSIVFDTSEWKWRSISHRNLLYRNTVPVASSGGLICFQTISSDFVIGNPLTGACRELPSAAPTSSAQTLHAVAMNSSPKAQSSYRIILVYSELAKLTLKVYDASTGFWENEMMLHRKAESSTMSGMAGNETIYFLSKAGDVVAASIQRCSSKQYSSVLIIENGEEVVYFLSHTGSVIACNVTQKYFFEYPRLLPVYSEYSIDVVDCKGEMLVVVLSEFLESASLRVWRFCKEDRAWRQIAAMPPWMSHEFYGKKADINCVGCGDNIFICINSSKFSSCVMCDVVINEWIELPKCFVNRRPIEFVSAFSFEPRMEVSV >DRNTG_24837.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29327639:29330818:1 gene:DRNTG_24837 transcript:DRNTG_24837.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRHQSVQMEQNKKRKAVNEAEMMSVFSLDELNEDLLEKVLAWLPAASFCRLRLVCKRWNSVATSATFKIACSQIPCRDPWFLMVGQELNQSIVFDTSEWKWRSISHRNLLYRNTVPVASSGGLICFQTISSDFVIGNPLTGACRELPSAAPTSSAQTLHAVAMNSSPKAQSSYRIILVYSELAKLTLKVYDASTGFWENEMMLHRKAESSTMSGMAGNETIYFLSKAGDVVAASIQRCSSKQYSSVLIIENGEEVVYFLSHTGSVIACNVTQKYFFEYPRLLPVYSEYSIDVVDCKGEMLVVVLSEFLESASLRVWRFCKEDRAWRQIAAMPPWMSHEFYGKKADINCVGCGDNIFICINSSKFSSCVMCDVVINEWIELPKCFVNRRPIEFVSAFSFEPRMEVSV >DRNTG_24837.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29327491:29330818:1 gene:DRNTG_24837 transcript:DRNTG_24837.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRHQSVQMEQNKKRKAVNEAEMMSVFSLDELNEDLLEKVLAWLPAASFCRLRLVCKRWNSVATSATFKIACSQIPCRDPWFLMVGQELNQSIVFDTSEWKWRSISHRNLLYRNTVPVASSGGLICFQTISSDFVIGNPLTGACRELPSAAPTSSAQTLHAVAMNSSPKAQSSYRIILVYSELAKLTLKVYDASTGFWENEMMLHRKAESSTMSGMAGNETIYFLSKAGDVVAASIQRCSSKQYSSVLIIENGEEVVYFLSHTGSVIACNVTQKYFFEYPRLLPVYSEYSIDVVDCKGEMLVVVLSEFLESASLRVWRFCKEDRAWRQIAAMPPWMSHEFYGKKADINCVGCGDNIFICINSSKFSSCVMCDVVINEWIELPKCFVNRRPIEFVSAFSFEPRMEVSV >DRNTG_24837.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29327598:29330886:1 gene:DRNTG_24837 transcript:DRNTG_24837.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRHQSVQMEQNKKRKAVNEAEMMSVFSLDELNEDLLEKVLAWLPAASFCRLRLVCKRWNSVATSATFKIACSQIPCRDPWFLMVGQELNQSIVFDTSEWKWRSISHRNLLYRNTVPVASSGGLICFQTISSDFVIGNPLTGACRELPSAAPTSSAQTLHAVAMNSSPKAQSSYRIILVYSELAKLTLKVYDASTGFWENEMMLHRKAESSTMSGMAGNETIYFLSKAGDVVAASIQRCSSKQYSSVLIIENGEEVVYFLSHTGSVIACNVTQKYFFEYPRLLPVYSEYSIDVVDCKGEMLVVVLSEFLESASLRVWRFCKEDRAWRQIAAMPPWMSHEFYGKKADINCVGCGDNIFICINSSKFSSCVMCDVVINEWIELPKCFVNRRPIEFVSAFSFEPRMEVSV >DRNTG_24837.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29327598:29330818:1 gene:DRNTG_24837 transcript:DRNTG_24837.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRHQSVQMEQNKKRKAVNEAEMMSVFSLDELNEDLLEKVLAWLPAASFCRLRLVCKRWNSVATSATFKIACSQIPCRDPWFLMVGQELNQSIVFDTSEWKWRSISHRNLLYRNTVPVASSGGLICFQTISSDFVIGNPLTGACRELPSAAPTSSAQTLHAVAMNSSPKAQSSYRIILVYSELAKLTLKVYDASTGFWENEMMLHRKAESSTMSGMAGNETIYFLSKAGDVVAASIQRCSSKQYSSVLIIENGEEVVYFLSHTGSVIACNVTQKYFFEYPRLLPVYSEYSIDVVDCKGEMLVVVLSEFLESASLRVWRFCKEDRAWRQIAAMPPWMSHEFYGKKADINCVGCGDNIFICINSSKFSSCVMCDVVINEWIELPKCFVNRRPIEFVSAFSFEPRMEVSV >DRNTG_14894.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20628947:20633601:-1 gene:DRNTG_14894 transcript:DRNTG_14894.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNYLRWEVCEAHCFQTLAVCSAWQSINLKPISGMRRVARGMVRIMHPMAPGGESDDNVHTPLLSRQTTSLEGKDMMPSHVSHGSTLSMRRHSSLMRGNGGEAVSSMGIGGGWQLAWKWSERDGADGKKEGGFKRIYLHQEGVPGSRRGSLVSLPGVDIPEGGEYIQAAALVSQPALYTKELMGQHPVGPAMVHPSEAAAKGPKWGDLFEPGVKHALLVGVGIQILQQFAGINGVLYYTPQILEQAGVEVLLANLGISSASASILISALTTLLMLPSIGVAMRLMDVSGRRSLLLTTIPILILSLVVLIISNVINMGTVIHAVLSTASVIIYFCCFVMGFGPIPNILCAEIFPTRVRGVCIAICALTFWFGDIIVTYTLPVMLTSIGLAGVFAIYAAVCLIALAFVYLKVPETKGMPLEVITEFFAVGAQQRRA >DRNTG_14894.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20628947:20633924:-1 gene:DRNTG_14894 transcript:DRNTG_14894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNYLRWEVCEAHCFQTLAVCSAWQSINLKPISGMRRVARGMVRIMHPMAPGGESDDNVHTPLLSRQTTSLEGKDMMPSHVSHGSTLSMRRHSSLMRGNGGEAVSSMGIGGGWQLAWKWSERDGADGKKEGGFKRIYLHQEGVPGSRRGSLVSLPGVDIPEGGEYIQAAALVSQPALYTKELMGQHPVGPAMVHPSEAAAKGPKWGDLFEPGVKHALLVGVGIQILQQFAGINGVLYYTPQILEQAGVEVLLANLGISSASASILISALTTLLMLPSIGVAMRLMDVSGRRSLLLTTIPILILSLVVLIISNVINMGTVIHAVLSTASVIIYFCCFVMGFGPIPNILCAEIFPTRVRGVCIAICALTFWFGDIIVTYTLPVMLTSIGLAGVFAIYAAVCLIALAFVYLKVPETKGMPLEVITEFFAVGAQQRRA >DRNTG_25325.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20434691:20436087:-1 gene:DRNTG_25325 transcript:DRNTG_25325.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKNFVVGGSFLTCLERQHTSPPSVIVVGGGISGIAAARALSNASFQ >DRNTG_25325.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20434287:20436087:-1 gene:DRNTG_25325 transcript:DRNTG_25325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKNFVVGGSFLTCLERQHTSPPSVIVVGGGISGIAAARALSNASFQVTLLESRNRIGGRVHTEYSFGCPVDMGASWLHGVCNENSLAPLLRQLGLR >DRNTG_28705.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:408116:409436:1 gene:DRNTG_28705 transcript:DRNTG_28705.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan endotransglucosylase/hydrolase protein 10 [Source:Projected from Arabidopsis thaliana (AT2G14620) UniProtKB/Swiss-Prot;Acc:Q9ZVK1] METLFSFNLMLILYLFANVITNAFGSIVSTDNFNLDFHVPWSPNHVTTSLDGHSRTLKLDQESGSAFLSNDMFLFGEIDMKIKLIPGYSAGTVVAFYLSSDEPNRDEIDFEFLGNVTGQPYILQTNIYTNGIDNREERIYLWFDPTKDYHTYTILWNIYHIVFMVDWVPIRVYKNHVDMGVNFPRWQPMSLKASLWNGDTWATRGGHDKVDWSKGPFIVSFKDYKIDACIWKGNSRLCSQESSTNWWNKPRFNGLNWIQRRLFRWVRKYHLIYDYCQDPKRFQNHLPRECHLPKF >DRNTG_19295.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:577357:579488:-1 gene:DRNTG_19295 transcript:DRNTG_19295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSLVPPLSLSHLRCKPSFFPPNPNPNSNHWRTNRIILISVSSSSFRNGSTPETECPVPLEQQPVNEYQALSDSFPFSWAAADLFAYCSRLAITGVSFSLFIGLPVSSFGTADPRARALGAASAGILSVTLAVLRMYLGWAYVGNRLLSATVEYEETGWYDGQIWVKTPEVLARDRLLGSFSVKPVLSRVKLTLVGLAVSLVLCVLLFINIENPKDSSQEAELKAIAGVYNDASAKSFEPDAFCGDPDFS >DRNTG_26008.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23099623:23100702:1 gene:DRNTG_26008 transcript:DRNTG_26008.1 gene_biotype:protein_coding transcript_biotype:protein_coding IIYTKDETAIQPKTNESERSNRFPIRNPLFSSSPYSCKREL >DRNTG_23379.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1154796:1155744:1 gene:DRNTG_23379 transcript:DRNTG_23379.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSGEPPSSVKKEASSDAISLFGKGRYKFWALAAILLLAFWSMLTGSVSLKWSSTSLNPFPGDLLDSSSSAVSIDVLEMEEREKTVRRMWDIYAHGLRSRLPRFWRQAFEAAYEDLAGDDSELRDAAVAEIARMSLRMLDSEPPPASREPWK >DRNTG_19947.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23458071:23464024:1 gene:DRNTG_19947 transcript:DRNTG_19947.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSIRLKIALGSAKGLAYLHEDCHPTTIIHRDIKAANILLDSKFEAKVADFGLAKVVYDNNTHVSTRVMGTYGYLAPEYFSTGKLTDKADVYSFGVMLLELITGRRPIALVDWARPSLTHALEEGNYEPLVDPRLGKNYNPSEMNRMVACAAACVHISAENRPPMSRVVRVLEGDVPPEDLKVGVPSGRSWNSGDVENLKRMAFGPYS >DRNTG_19947.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23455423:23464024:1 gene:DRNTG_19947 transcript:DRNTG_19947.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVLALIIVGSVVLLILLFLYWNCRCRSEPTSTPRDRKVTMDVDQAPKTLKNDSSRSRLEVKTDSRQPLKEKKNDPSPSQKDKVKTDGGQALKEKKKNDPSPSQKDKVKTDGGQALKEKKNDPSPSKKDKVKTDGGQALEEKKNDPSPSQKDKVKTVGGQPLKEKKNDPSPSKKDKVKTDGGQALKAKKNDPSPSQKDKVKTDVGWALKEKNNDPSPSQKNKDKTNGGQAQDSQWNAPPRSDHGLQTGSSSSGPDNSLTCPRPGIAFTYEELKKATNSFSRANFLGEGGFGPVHKGVVTIDKKGVLPFHEERVLPFDKEIAVKQLKSGAQQGQSEFEAEVNIISHVHHKHLVSLIGHCISGERRLLAYEFVSNKTLQFHLHGEGQPAMEWSIRLKIALGSAKGLAYLHEDCHPTTIIHRDIKAANILLDSKFEAKVADFGLAKVVYDNNTHVSTRVMGTYGYLAPEYFSTGKLTDKADVYSFGVMLLELITGRRPIALVDWARPSLTHALEEGNYEPLVDPRLGKNYNPSEMNRMVACAAACVHISAENRPPMSRVVRVLEGDVPPEDLKVGVPSGRSWNSGDVENLKRMAFGPYS >DRNTG_19947.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23455423:23464024:1 gene:DRNTG_19947 transcript:DRNTG_19947.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVLALIIVGSVVLLILLFLYWNCRCRSEPTSTPRDRKVTMDVDQAPKTLKNDSSRSRLEVKTDSRQPLKEKKNDPSPSQKDKVKTDGGQALKEKKKNDPSPSQKDKVKTDGGQALKEKKNDPSPSKKDKVKTDGGQALKAKKNDPSPSQKDKVKTDVGWALKEKNNDPSPSQKNKDKTNGGQAQDSQWNAPPRSDHGLQTGSSSSGPDNSLTCPRPGIAFTYEELKKATNSFSRANFLGEGGFGPVHKGVVTIDKKGVLPFHEERVLPFDKEIAVKQLKSGAQQGQSEFEAEVNIISHVHHKHLVSLIGHCISGERRLLAYEFVSNKTLQFHLHGEGQPAMEWSIRLKIALGSAKGLAYLHEDCHPTTIIHRDIKAANILLDSKFEAKVADFGLAKVVYDNNTHVSTRVMGTYGYLAPEYFSTGKLTDKADVYSFGVMLLELITGRRPIALVDWARPSLTHALEEGNYEPLVDPRLGKNYNPSEMNRMVACAAACVHISAENRPPMSRVVRVLEGDVPPEDLKVGVPSGRSWNSGDVENLKRMAFGPYS >DRNTG_19947.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23455423:23464024:1 gene:DRNTG_19947 transcript:DRNTG_19947.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVLALIIVGSVVLLILLFLYWNCRCRSEPTSTPRDRKVTMDVDQAPKTLKNDSSRSRLEVKTDSRQPLKEKKNDPSPSQKDKVKTDGGQALKEKKKNDPSPSQKDKVKTDGGQALKEKKNDPSPSKKDKVKTDGGQALEEKKNDPSPSQKDKVKEDGGQALKEKKNDPSPSQKDKVKTVGGQPLKEKKNDPSPSKKDKVKTDGGQALKAKKNDPSPSQKDKVKTDVGWALKEKNNDPSPSQKNKDKTNGGQAQDSQWNAPPRSDHGLQTGSSSSGPDNSLTCPRPGIAFTYEELKKATNSFSRANFLGEGGFGPVHKGVVTIDKKGVLPFHEERVLPFDKEIAVKQLKSGAQQGQSEFEAEVNIISHVHHKHLVSLIGHCISGERRLLAYEFVSNKTLQFHLHGEGQPAMEWSIRLKIALGSAKGLAYLHEDCHPTTIIHRDIKAANILLDSKFEAKVADFGLAKVVYDNNTHVSTRVMGTYGYLAPEYFSTGKLTDKADVYSFGVMLLELITGRRPIALVDWARPSLTHALEEGNYEPLVDPRLGKNYNPSEMNRMVACAAACVHISAENRPPMSRVVRVLEGDVPPEDLKVGVPSGRSWNSGDVENLKRMAFGPYS >DRNTG_19947.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23455248:23464024:1 gene:DRNTG_19947 transcript:DRNTG_19947.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIDLSVPTFSTVKTDGGQALKEKKNDPSPSKKDKVKTDGGQALEEKKNDPSPSQKDKVKEDGGQALKEKKNDPSPSQKDKVKTVGGQPLKEKKNDPSPSKKDKVKTDGGQALKAKKNDPSPSQKDKVKTDVGWALKEKNNDPSPSQKNKDKTNGGQAQDSQWNAPPRSDHGLQTGSSSSGPDNSLTCPRPGIAFTYEELKKATNSFSRANFLGEGGFGPVHKGVVTIDKKGVLPFHEERVLPFDKEIAVKQLKSGAQQGQSEFEAEVNIISHVHHKHLVSLIGHCISGERRLLAYEFVSNKTLQFHLHGEGQPAMEWSIRLKIALGSAKGLAYLHEDCHPTTIIHRDIKAANILLDSKFEAKVADFGLAKVVYDNNTHVSTRVMGTYGYLAPEYFSTGKLTDKADVYSFGVMLLELITGRRPIALVDWARPSLTHALEEGNYEPLVDPRLGKNYNPSEMNRMVACAAACVHISAENRPPMSRVVRVLEGDVPPEDLKVGVPSGRSWNSGDVENLKRMAFGPYS >DRNTG_19947.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23455423:23464024:1 gene:DRNTG_19947 transcript:DRNTG_19947.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVLALIIVGSVVLLILLFLYWNCRCRSEPTSTPRDRKVTMDVDQAPKTLKNDSSRSRLEVKTDSRQPLKEKKNDPSPSQKDKVKTDGGQALKEKKNDPSPSKKDKVKTDGGQALKAKKNDPSPSQKDKVKTDVGWALKEKNNDPSPSQKNKDKTNGGQAQDSQWNAPPRSDHGLQTGSSSSGPDNSLTCPRPGIAFTYEELKKATNSFSRANFLGEGGFGPVHKGVVTIDKKGVLPFHEERVLPFDKEIAVKQLKSGAQQGQSEFEAEVNIISHVHHKHLVSLIGHCISGERRLLAYEFVSNKTLQFHLHGEGQPAMEWSIRLKIALGSAKGLAYLHEDCHPTTIIHRDIKAANILLDSKFEAKVADFGLAKVVYDNNTHVSTRVMGTYGYLAPEYFSTGKLTDKADVYSFGVMLLELITGRRPIALVDWARPSLTHALEEGNYEPLVDPRLGKNYNPSEMNRMVACAAACVHISAENRPPMSRVVRVLEGDVPPEDLKVGVPSGRSWNSGDVENLKRMAFGPYS >DRNTG_19947.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23455423:23464024:1 gene:DRNTG_19947 transcript:DRNTG_19947.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVLALIIVGSVVLLILLFLYWNCRCRSEPTSTPRDRKVTMDVDQAPKTLKNDSSRSRLEVKTDSRQPLKEKKNDPSPSQKDKVKTDGGQALKEKKNDPSPSKKDKVKTDGGQALEEKKNDPSPSQKDKVKEDGGQALKEKKNDPSPSQKDKVKTVGGQPLKEKKNDPSPSKKDKVKTDGGQALKAKKNDPSPSQKDKVKTDVGWALKEKNNDPSPSQKNKDKTNGGQAQDSQWNAPPRSDHGLQTGSSSSGPDNSLTCPRPGIAFTYEELKKATNSFSRANFLGEGGFGPVHKGVVTIDKKGVLPFHEERVLPFDKEIAVKQLKSGAQQGQSEFEAEVNIISHVHHKHLVSLIGHCISGERRLLAYEFVSNKTLQFHLHGEGQPAMEWSIRLKIALGSAKGLAYLHEDCHPTTIIHRDIKAANILLDSKFEAKVADFGLAKVVYDNNTHVSTRVMGTYGYLAPEYFSTGKLTDKADVYSFGVMLLELITGRRPIALVDWARPSLTHALEEGNYEPLVDPRLGKNYNPSEMNRMVACAAACVHISAENRPPMSRVVRVLEGDVPPEDLKVGVPSGRSWNSGDVENLKRMAFGPYS >DRNTG_19947.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23460238:23464024:1 gene:DRNTG_19947 transcript:DRNTG_19947.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSIRLKIALGSAKGLAYLHEDCHPTTIIHRDIKAANILLDSKFEAKVADFGLAKVVYDNNTHVSTRVMGTYGYLAPEYFSTGKLTDKADVYSFGVMLLELITGRRPIALVDWARPSLTHALEEGNYEPLVDPRLGKNYNPSEMNRMVACAAACVHISAENRPPMSRVVRVLEGDVPPEDLKVGVPSGRSWNSGDVENLKRMAFGPYS >DRNTG_19947.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:23455423:23464024:1 gene:DRNTG_19947 transcript:DRNTG_19947.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVLALIIVGSVVLLILLFLYWNCRCRSEPTSTPRDRKVTMDVDQAPKTLKNDSSRSRLEVKTDSRQPLKEKKNDPSPSQKDKVKTDGGQALKEKKNDPSPSKKDKVKTDGGQALEEKKNDPSPSQKDKVKTVGGQPLKEKKNDPSPSKKDKVKTDGGQALKAKKNDPSPSQKDKVKTDVGWALKEKNNDPSPSQKNKDKTNGGQAQDSQWNAPPRSDHGLQTGSSSSGPDNSLTCPRPGIAFTYEELKKATNSFSRANFLGEGGFGPVHKGVVTIDKKGVLPFHEERVLPFDKEIAVKQLKSGAQQGQSEFEAEVNIISHVHHKHLVSLIGHCISGERRLLAYEFVSNKTLQFHLHGEGQPAMEWSIRLKIALGSAKGLAYLHEDCHPTTIIHRDIKAANILLDSKFEAKVADFGLAKVVYDNNTHVSTRVMGTYGYLAPEYFSTGKLTDKADVYSFGVMLLELITGRRPIALVDWARPSLTHALEEGNYEPLVDPRLGKNYNPSEMNRMVACAAACVHISAENRPPMSRVVRVLEGDVPPEDLKVGVPSGRSWNSGDVENLKRMAFGPYS >DRNTG_13407.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5861113:5866636:1 gene:DRNTG_13407 transcript:DRNTG_13407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAKVLVILVAIIQLVSPWMGLTTASGADAFAPFLSPFTNGLCDGVDCGKGTCKTSESHLFGFTCECNQGWTQFHIGDHFRFLPCNIPNCTVDYSCSKDIAPTAAPPESTPSNLSLFDPCLWSTCGGGECRKTGAYDHKCDCKAGYSNLLNISSFPCLKECSLTGDCAKLGITLSNSTSSNTTDNSSSSGTNSASFRSKNLVWLFIILTSLVMVRAA >DRNTG_34798.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:259173:259738:-1 gene:DRNTG_34798 transcript:DRNTG_34798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIMDDLLTTGPELNSTVIGRAQGFYAAAAQSELGFLQAMNLHFSSGKYNGSVLTVLGRNAPLHNVREMPVVGGSGLFRFARGYALAHTHWIDLTSGDAIVEYNVYVLHF >DRNTG_04498.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000218.1:2553:3097:1 gene:DRNTG_04498 transcript:DRNTG_04498.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLRALNLSNNRLTGPIPATLSRLGDIESLDLSHNMLVGCIPPQLVQLHSLEVFSVAYNNLSGPTIGLVAQFSTFDKNSYEGNPYLCGPPLENTCTLLIPVPKSQVTKNIHEDKEAAKDHLIL >DRNTG_04498.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000218.1:2553:6865:1 gene:DRNTG_04498 transcript:DRNTG_04498.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLRALNLSNNRLTGPIPATLSRLGDIESLDLSHNMLVGCIPPQLVQLHSLEVFSVAYNNLSGPTIGLVAQFSTFDKNSYEGNPYLCGPPLENTCTLFGFRYDSGSSQHKAYQEVVDQDYLEKRGFQGGDKGGSWHLHRHL >DRNTG_04498.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000218.1:2553:6612:1 gene:DRNTG_04498 transcript:DRNTG_04498.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLRALNLSNNRLTGPIPATLSRLGDIESLDLSHNMLVGCIPPQLVQLHSLEVFSVAYNNLSGPTIGLVAQFSTFDKNSYEGNPYLCGPPLENTCTLFGFRYDSGSSQHKAYQEVVDQDYLEKRGFQGGDKGGSWHLHRHL >DRNTG_04498.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000218.1:2553:6065:1 gene:DRNTG_04498 transcript:DRNTG_04498.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLRALNLSNNRLTGPIPATLSRLGDIESLDLSHNMLVGCIPPQLVQLHSLEVFSVAYNNLSGPTIGLVAQFSTFDKNSYEGNPYLCGPPLENTCTLFGFRYDSGSSQHKAYQEVVDQDYLHPKHLNFQHVPP >DRNTG_31723.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:199131:203883:-1 gene:DRNTG_31723 transcript:DRNTG_31723.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLPSINEKGGNFRLKMADLIDVMAAFPLGRPQNLILATPHMRNLTHSFPDLSSRSRALRCVTAGVQPSGGEVFSVTSSSKSIVDYLGESTKGDMNVKEEHLEAFGVDGETTLHGPIEEIARKEFLEAEALLSELGIKDPFTTRHSPRGIFCSRTLNLRSISAIGYDMDYTLIHYNVMAWEGRAYDYGMANLRSMGFPVDGLEFDPDLVIRGLIMDKELGNLVKADRFGYVKRAMHGTQMLSTPAVSEIYGRELVDLRNDSRWEFLNTLFSVSEAVMYMQMVDRLDEGVIPAELGPLDYKGLFQAVAKALFRAHVEGQLKSEIMAEPDRFVEPDPELPLALLDQKEAGMRLLLITNSDYHYTNKMMHHAFNRYLPNDMQWRDLFDMVIVSARKPEFFQMSHPMYEVVTSDGLMCPCFKARSGGLYSGGSAQIVENSLGVHGDEILYVGDHIFTDVSQSKVHLRWRTALICRELEDELNALIHSQGHRVALIELVQQKEVIGDLFNQLRLARQRRSKDRPAQTLAATRMDDQELTESMQKLLIVMQRLDEKIAPMLEKDGERFNKWWGYLSRAGLWDKSHLTRQIEKYADIYTSRVSNFLHYTPFMYFRSQEQNLAHDSNSYTSRDVNGATLSM >DRNTG_31723.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:199131:203883:-1 gene:DRNTG_31723 transcript:DRNTG_31723.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRLDEGVIPAELGPLDYKGLFQAVAKALFRAHVEGQLKSEIMAEPDRFVEPDPELPLALLDQKEAGMRLLLITNSDYHYTNKMMHHAFNRYLPNDMQWRDLFDMVIVSARKPEFFQMSHPMYEVVTSDGLMCPCFKARSGGLYSGGSAQIVENSLGVHGDEILYVGDHIFTDVSQSKVHLRWRTALICRELEDELNALIHSQGHRVALIELVQQKEVIGDLFNQLRLARQRRSKDRPAQTLAATRMDDQELTESMQKLLIVMQRLDEKIAPMLEKDGERFNKWWGYLSRAGLWDKSHLTRQIEKYADIYTSRVSNFLHYTPFMYFRSQEQNLAHDSNSYTSRDVNGATLSM >DRNTG_28907.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7886410:7891137:-1 gene:DRNTG_28907 transcript:DRNTG_28907.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-carbamoylputrescine amidase [Source:Projected from Arabidopsis thaliana (AT2G27450) UniProtKB/Swiss-Prot;Acc:Q8VYF5] MEASRKVVLAAVQFACSDSVSENVDTAERLIRAAHEKGANIILVQELFEGYYFCQAQKGDFFDCAKPYKGHPTIARMQKLAKELGVVLPVSFFEEANNAHYNSIAVIDADGTDLGLYRKSHIPDGPGYQEKFYFNPGDTGFKVFATKFAKIGVAICWDQWFPEAARAMAIQGAEVLLYPTAIGSEPQDQELDSREHWKRVMQGHAGANLVPLVASNRIGKETIKTEHGDSSITFYGNSFITGPTGEILALANDKDEDVLVAEFDLNQIKSQRHSWGVFRDRRPELYKVLLSLDGKNTVTF >DRNTG_25222.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20078801:20083213:1 gene:DRNTG_25222 transcript:DRNTG_25222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSGADVEKRTAAAQAWKALLGWVGFLVGVLIQIVRVSPSWARAISPVGFRHLLTGSSSESEPAFMALPVDAPTDTPPPLSPSAAVPIGKLTVVLDLDETLVCAYETSSLHPLICSQAINSGLKCFEMECVSGEKDVEGKQKVNHVTVFERPGLQEFLQQTSEFADLILFTAGLEGYASPLVDRIDLNNRFTLRLYRPATVSTKYREHVKDLSCLSKDLCRTVLVDNNPFSFLLQPLNGIPCIPFSAGRHDDDELMRDLLPLLKQLSLQKDVRPFLYEKFRMPEWFQKHGIPSLD >DRNTG_02197.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1323240:1327611:-1 gene:DRNTG_02197 transcript:DRNTG_02197.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWWPSKSKSKAKSKSTPRKSNPKEELKASSFDGVSVTRTPPRELSPAGGGAVAPLFSLGYRLPLPCELASASSLQTHDQAGVLVTGSISASSSTSYASSDENPELGFLRHPELAGAPKEKFVKPELQKLVHVPQESHTFLCNPSLEHAKCYETSVSQKKDFPLYCGDVPSSSGTAFTHGQTFGNIICNQRSANGGSGSRGHPFHTAPTSPIHQKVFSMCPESATVWQDDMRCVPHPLPLPPGSPTSSSSQCLRSHWKKGKLIGRGTFGHVYQGFNSGSGQMCAIKEVSIICDDSNSKECLRQLNQEITLLSQLSHPNIVRYYGSELVDGTLSVYLEYVSGGSIYKLLQEYGPFKEPVIQSYTRQILSGLAYLHGRNTIHRDIKGANILVDTNGEIKLADFGMAKHISAYTSIRSFKGSPNWMAPEVIMNNCGYTLSVDIWSLGCTILEMATSKPPWSQYEGAAAIFKIGNGKDIPEIPDHLSEDGKSFLKLCLQRDPSARPTAAKLLEHPFVQDQTPKTASNFMFMNTLPSRDRNHNQATSNLYSRRSISPLRDREYSIAQTNGFSLAFNARSNLSLPVSPCSSPLRQCKQSNRSYLLSPPHPAFSTGAINYSPANYSLYPTRPTSNFLDPWPEIHPLKLSSTPFDTPLKSTTPFDSPRMSTTPYGSPRRHL >DRNTG_02197.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1323240:1327611:-1 gene:DRNTG_02197 transcript:DRNTG_02197.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWWPSKSKSKAKSKSTPRKSNPKEELKASSFDGVSVTRTPPRELSPAGGGAVAPLFSLGYRLPLPCELASASSLQTHDQAGVLVTGSISASSSTSYASSDENPELGFLRHPELAGAPKEKFVKPELQKLVHVPQESHTFLCNPSLEHAKCYETSVSQKKDFPLYCGDVPSSSGTAFTHGQTFGNIICNQRSANGGSGSRGHPFHTAPTSPIHQKVFSMCPESATVWQDDMRCVPHPLPLPPGSPTSSSSQCLRSHWKKGKLIGRGTFGHVYQGFNSGSGQMCAIKEVSIICDDSNSKECLRQLNQEITLLSQLSHPNIVRYYGSELVDGTLSVYLEYVSGGSIYKLLQEYGPFKEPVIQSYTRQILSGLAYLHGRNTIHRDIKGANILVDTNGEIKLADFGMAKHISAYTSIRSFKGSPNWMAPEVIMNNCGYTLSVDIWSLGCTILEMATSKPPWSQYEGAAAIFKIGNGKDIPEIPDHLSEDGKSFLKLCLQRDPSARPTAAKLLEHPFVQDQTPKTASNFMFMNTLPSRDRNHNQATSNLYSRRSISPLRDREYSIAQTNGFSLAFNASDLASRSNLSLPVSPCSSPLRQCKQSNRSYLLSPPHPAFSTGAINYSPANYSLYPTRPTSNFLDPWPEIHPLKLSSTPFDTPLKSTTPFDSPRMSTTPYGSPRRHL >DRNTG_02197.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:1323240:1327611:-1 gene:DRNTG_02197 transcript:DRNTG_02197.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWWPSKSKSKAKSKSTPRKSNPKEELKASSFDGVSVTRTPPRELSPAGGGAVAPLFSLGYRLPLPCELASASSLQTHDQAGVLVTGSISASSSTSYASSDENPELGFLRHPELAGAPKEKFVKPELQKLVHVPQESHTFLCNPSLEHAKCYETSVSQKKDFPLYCGDVPSSSGTAFTHGQTFGNIICNQRSANGGSGSRGHPFHTAPTSPIHQKVFSMCPESATVWQDDMRCVPHPLPLPPGSPTSSSSQCLRSHWKKGKLIGRGTFGHVYQGFNSGSGQMCAIKEVSIICDDSNSKECLRQLNQEITLLSQLSHPNIVRYYGSELVDGTLSVYLEYVSGGSIYKLLQEYGPFKEPVIQSYTRQILSGLAYLHGRNTIHRDIKGANILVDTNGEIKLADFGMAKHISAYTSIRSFKGSPNWMAPEVIMNNCGYTLSVDIWSLGCTILEMATSKPPWSQYEGAAAIFKIGNGKDIPEIPDHLSEDGKSFLKLCLQRDPSARPTAAKLLEHPFVQDQTPKTASNFMFMNTLPSRDRNHNQATSNLYSRRSISPLRDREYSIAQTNGFSLAFNARYDQTKLSFEIYVPHFT >DRNTG_25100.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:804448:808008:-1 gene:DRNTG_25100 transcript:DRNTG_25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQASNNDNTKTDQIAEEKVVVPSDPAPVNNENQLNEDEQITSRAPLLSPLLLPPLNHGLDFSSHPFSIPKLPSPFGVQENIISETFCVFLYAMKSSTFFFSFFFTFLFFSFINGFKPSDSILLSCGSPNNAADADGRAWSGESSLTDKILTSANSTSATALFQDPSLPSPVPYMTGRVFSANATYNFPVSKTGRHWIRLYFYPASYNNLNPDDAFFSVTTPDGLTFLKNFSTALTAKALTQAYIIKEFSVASSADGILSLVFSPDTNHRNSYAFINGIEIISMPDVFSEPVNMVGYSDQTIEVGVSAMQTMYRLNVGGQYIPATNDSELSRIWYDDSPYLYGAALGVTTSADKGLNIEYPSSLPEYIAPVSVYQTARSMGPDPEINKNFNLTWVFQVDGNFTYVVRLHFCEIVMQKVNQRVFDIYLNNQTAETAADVIAWTSAEGVPIYKDYAVFVSDTDGDDEMWVALHPNVAARPEYMNSILNGLEVFKMSTNDGNLAGPNPEPSSMMMEDELDSPASFAGKSSKKATVIGGAAGGAAAAGLFFAVCIVVYRRKRKVERAGSDGASGWLPLYGNSHTSASKSTISGRSNASSHLSSLAAAGLCRHFSLPEIKHGTRNFDESLVIGVGGFGKVYKGVVDGSTKVAIKRSNPQSEQGVHEFQTEIEMLSKLRHKHLVSLIGYCEEDDEMILVYDYMAYGTLREHLYKTNKPPLSWKQRLEICIGAARGLHYLHTGAKYTIIHRDVKTTNILLDENFVAKVSDFGLSKTGPMMNQTHVSTVVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCARPALNPSLSKEQVSLADWAMSNQRKGTLGDIIDPALKGKINPECLKKYAETAEKCLSDSSVERPTMGDVLWNLEFALQLQEAADSGKPVDMDISENPAGSGHGRKGSHTLNGSIGAGSEVSGESDESSNEVFSQLVNPRGR >DRNTG_31585.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20548793:20558202:1 gene:DRNTG_31585 transcript:DRNTG_31585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFPRLVSRVEALEGRSQSTAPSLQRNETPGTNEASELDDDDIIGVVIPRWPHSKRLAKKRRTILPLSPPLADDKTIATPSAADAVTESVTVDDMAMMVEDIVDDVVVVAVEKIVNRLPRIVESIAIAVAVADSTASKQDTIPQQEEACKDMSAVDVVIVPTSKPDTIPQQQQPCKDVSVVDDVVVVPASKEDDAGAEHRQGSTTVPHEDLERATREMMKANQQWDETARKVFVPKKKKWDA >DRNTG_03832.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:23466018:23467017:1 gene:DRNTG_03832 transcript:DRNTG_03832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQKFHTGVDPFWAIQKGKHTPLCLLGMEEKFSAENHTSMRKLPTLVDLLTESSTRMSPRPVSSQEKSLSLCRETHALAKIPHGRGLSQGRSQGRVYATVP >DRNTG_30518.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1235853:1237506:1 gene:DRNTG_30518 transcript:DRNTG_30518.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSQRRDDKNLSASKDMSTHHRANSVRPPDIGIPV >DRNTG_31399.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21976831:21981801:-1 gene:DRNTG_31399 transcript:DRNTG_31399.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGWCKVKQPEMARKFLNEMADCGLEPNVVTYNVLLNGICRRGNLQPETRFDRVIQAAEDLLEEMRSRGIEPDVTSYSIILHVYTRAHKPELSICKFRSMKDKGICPTVATYTSVIKCLASCGRLEEAEELLSEMTRNGVSPSPAAYNCFFKEYRGRNDADGALKLYKKIKEMGSGSKLDFLTYNILIKMFLKLDKMGIVRELWKDMGESGFSPDLDSYTQLIHGLCNKQKWREACQFFMEMIEKGLLPQKITFETLYRGLIQADMLRTWRRLKKRVEEESHTFAMEFKSYQFQPYKR >DRNTG_31399.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21976831:21981801:-1 gene:DRNTG_31399 transcript:DRNTG_31399.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGWCKVKQPEMARKFLNEMADCGLEPNVVTYNVLLNGICRRGNLQPETRFDRVIQAAEDLLEEMRSRGIEPDVTSYSIILHVYTRAHKPELSICKFRSMKDKGICPTVATYTSVIKCLASCGRLEEAEELLSEMTRNGVSPSPAAYNCFFKEYRGRNDADGALKLYKKIKEMGSGSKLDFLTYNILIKMFLKLDKMGIVRELWKDMGESGFSPDLDSYTQLIHGLCNKQKWREACQFFMEMIEKGLLPQKITFETLYRGLIQADMLRTWRRLKKRVEEESHTFAMEFKSYQFQPYKR >DRNTG_31399.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21981172:21981801:-1 gene:DRNTG_31399 transcript:DRNTG_31399.7 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLQLSGVGLTHDLVLQTLLRLKNASKVALGFFLCARDHAHHLHDADAYDLMVDILGRVRQFDVVWQLIVEMDQRGVVPSPRTFAVLVRRYVAAGFTRQAIRAFDDMPAFIGREPDGSEFNMLLDTLCKYGYPKV >DRNTG_31399.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21976831:21981801:-1 gene:DRNTG_31399 transcript:DRNTG_31399.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGWCKVKQPEMARKFLNEMADCGLEPNVVTYNVLLNGICRRGNLQPETRFDRVIQAAEDLLEEMRSRGIEPDVTSYSIILHVYTRAHKPELSICKFRSMKDKGICPTVATYTSVIKCLASCGRLEEAEELLSEMTRNGVSPSPAAYNCFFKEYRGRNDADGALKLYKKIKEMGSGSKLDFLTYNILIKMFLKLDKMGIVRELWKDMGESGFSPDLDSYTQLIHGLCNKQKWREACQFFMEMIEKGLLPQKITFETLYRGLIQADMLRTWRRLKKRVEEESHTFAMEFKSYQFQPYKR >DRNTG_31399.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21976831:21981801:-1 gene:DRNTG_31399 transcript:DRNTG_31399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLQLSGVGLTHDLVLQTLLRLKNASKVALGFFLCARDHAHHLHDADAYDLMVDILGRVRQFDVVWQLIVEMDQRGVVPSPRTFAVLVRRYVAAGFTRQAIRAFDDMPAFIGREPDGSEFNMLLDTLCKYGYPKVATEIFNKRKSSFEPDEKAYTIMIYGWCKVKQPEMARKFLNEMADCGLEPNVVTYNVLLNGICRRGNLQPETRFDRVIQAAEDLLEEMRSRGIEPDVTSYSIILHVYTRAHKPELSICKFRSMKDKGICPTVATYTSVIKCLASCGRLEEAEELLSEMTRNGVSPSPAAYNCFFKEYRGRNDADGALKLYKKIKEMGSGSKLDFLTYNILIKMFLKLDKMGIVRELWKDMGESGFSPDLDSYTQLIHGLCNKQKWREACQFFMEMIEKGLLPQKITFETLYRGLIQADMLRTWRRLKKRVEEESHTFAMEFKSYQFQPYKR >DRNTG_31399.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21976831:21981801:-1 gene:DRNTG_31399 transcript:DRNTG_31399.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGWCKVKQPEMARKFLNEMADCGLEPNVVTYNVLLNGICRRGNLQPETRFDRVIQAAEDLLEEMRSRGIEPDVTSYSIILHVYTRAHKPELSICKFRSMKDKGICPTVATYTSVIKCLASCGRLEEAEELLSEMTRNGVSPSPAAYNCFFKEYRGRNDADGALKLYKKIKEMGSGSKLDFLTYNILIKMFLKLDKMGIVRELWKDMGESGFSPDLDSYTQLIHGLCNKQKWREACQFFMEMIEKGLLPQKITFETLYRGLIQADMLRTWRRLKKRVEEESHTFAMEFKSYQFQPYKR >DRNTG_31399.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21976831:21981801:-1 gene:DRNTG_31399 transcript:DRNTG_31399.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLQLSGVGLTHDLVLQTLLRLKNASKVALGFFLCARDHAHHLHDADAYDLMVDILGRVRQFDVVWQLIVEMDQRGVVPSPRTFAVLVRRYVAAGFTRQAIRAFDDMPAFIGREPDGSEFNMLLDTLCKYGYPKVATEIFNKRKSSFEPDEKAYTIMIYGWCKVKQPEMARKFLNEMADCGLEPNVVTYNVLLNGICRRGNLQPETRFDRVIQAAEDLLEEMRSRGIEPDVTSYSIILHVYTRAHKPELSICKFRSMKDKGICPTVATYTSVIKCLASCGRLEEAEELLSEMTRNGVSPSPAAYNCFFKEYRGRNDADGALKLYKKIKEMGSGSKLDFLTYNILIKMFLKLDKMGIVRELWKDMGESGFSPDLDSYTQLIHGLCNKQKWREACQFFMEMIEKGLLPQKITFETLYRGLIQADMLRTWRRLKKRVEEESHTFAMEFKSYQFQPYKR >DRNTG_34881.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21897251:21905259:1 gene:DRNTG_34881 transcript:DRNTG_34881.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP95 [Source:Projected from Arabidopsis thaliana (AT4G32420) UniProtKB/Swiss-Prot;Acc:Q8RWY7] MAKKKNPVVFLDVAIGDGPVGRMVFELFHDIVPKTAENFRALCTGERGTGQTTGRPLYYKGSIFHRIIRGFMAQGGDFSKRDGTGGESIYGGKFADENFELCHDGRGLLSMANAGRDTNGSQFFITFKATPHLDGKHVVFGKLITGDETLRKIERVDVDNARPTVPVKIVNCGELNDHGKMVQENDKRNAELKLVKNLSSDLAIQEDKKLSLRSKSGRDVSPDIESPTHRRKGRHKKSSKGRRKKKRRRYYSSDSDSSSDTETESSDSDSDSDSYSSSSSDVSSSSDDRRRKRKKYHKRDKYKRGKKRRDRKKEKRRRRREKKSRHKSRRMLESETDTDTTSESSSEDEKDNNHGRGRKRKNSSQVSVESQPPLSLEKETAVDLQKKVDTHEKLMEEGADSPLENGELRINGSTVEAKPDRNVGRQPTADGNSSKSRSQSVSPKQSMSKSMSISSRSRSKSPSISPKRSLSRSPPHASSRSPRVSKRGTVNRSSVGKSISRSPIAPRRGSPSRSPVKSRERRSIGRSSGSSTPRRIPSESPVRVPSQKSPSMSPRRRSRSGSPARSPPKSVSPSPTGSLRQRSNRSSGRSGRKSLSRSPVRSNRRSISPSPGRTRSQRSISRSPPNHARSVSRSASPDESPKRIRRGRGFSQRYSYARKYRTPTPDRSPVRSRYGGRPDRERYTGYRGYRDHSPPHRYRSPIRRRSPPRYRSRRSRSISRSPINYRRRGRGSYSRSPVRSRTPDRYRSRGSLRDGGRVEKRRSMTKSRSPSRSPSRSRSKSSHRSRSSSPDIRSPNRAASKDKSRSPSTSSGGKKGLVSYGDGTPDSAGEK >DRNTG_21103.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:23326213:23326855:1 gene:DRNTG_21103 transcript:DRNTG_21103.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGLSGVCSSSGSSRRLSRGGPAGRDVTGSDLLRPLHYETSAGHESLRFYSRGREDECTHSPGFRDNTVDGHGPQPTPSLSQHRMFSPSRANDRFERLENAIGMQILERDIISSFVLQPRTLQAPSVPPAPPSSTPAPEDPLYASTSAAAAAAEPKSDSDT >DRNTG_18503.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18187711:18190431:1 gene:DRNTG_18503 transcript:DRNTG_18503.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGDLSPVVGEGKTVTFDASDAKPTHHPQDRPSLKKGPVKRDPAQLSEESDDIFPVPPKKMAMRRSRSSMTPMEKCTPAVAQYPITWEGVPSLARNPRTPGHHMGPDGPTSRVR >DRNTG_19538.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:10960450:10965388:1 gene:DRNTG_19538 transcript:DRNTG_19538.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVELRRLAAAVQTLNSMVFSMSAVLKMSKTTPEVFFFISPSPPLLNGRGEADPEKTREREPPWWSNEWRSE >DRNTG_24808.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31878837:31886751:1 gene:DRNTG_24808 transcript:DRNTG_24808.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESDDFAFPSQYVRMLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDQYRESRDSRSKDGVEVSENLPTSVILVGHSMGGFVARAALVHPHLQNSAVETIVTLSSPHQSPPIALQPSLGQFFSQVNEGWRKGYEATTTHSGHLVSAPSFSHVVVVSISGGIHDYQVRSKLASLDGIVPSSHGFMVGSSGMKNVWLSMEHQTILWCNQLVVQLSHTLLNLVNPETGQPFPSVKKRLLVFVRMLQSGLPQNFKLLEHMQSSPVKDFPTKDEKDDTGEGSQLRHLYSCSPSLHWTDDGLEKDIYVQSSSVTILAMDGKRRWLDIRKLGSDGKGHFIFVTNLIPCSGVRLHLWPERSKTLSEAEVSSHKRILEVTTRMIQDSCRTCPKAD >DRNTG_24808.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31877732:31886751:1 gene:DRNTG_24808 transcript:DRNTG_24808.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFGAKFRVGALAAGFLWLMTAALFKLLKPVPNGCVMTYMYPTYIPIATPANVSSDKYGLFLYHEGWKKIDFAEHLKKLNGVPVLFIPGNGGSYKQVRSLAAESARAYQGGPFEPSFYQEATLLSVEAGKEFMEESDDFAFPSQYVRMLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDQYRESRDSRSKDGVEVSENLPTSVILVGHSMGGFVARAALVHPHLQNSAVETIVTLSSPHQSPPIALQPSLGQFFSQVNEGWRKGYEATTTHSGHLVSAPSFSHVVVVSISGGIHDYQVRSKLASLDGIVPSSHGFMVGSSGMKNVWLSMEHQTILWCNQLVVQLSHTLLNLVNPETGQPFPSVKKRLLVFVRMLQSGLPQNFKLLEHMQSSPVKDFPTKDEKDDTGEGSQLRHLYSCSPSLHWTDDGLEKDIYVQSSSVTILAMDGKRRWLDIRKLGSDGKGHFIFVTNLIPCSGVRLHLWPERSKTLSEAEVSSHKRILEVTTRMIQDSCRTCPKAD >DRNTG_24808.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31877732:31878247:1 gene:DRNTG_24808 transcript:DRNTG_24808.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFGAKFRVGALAAGFLWLMTAALFKLLKPVPNGCVMTYMYPTYIPIATPANVSSDKYGLFLYHEGWKKIDFAEHLKKLNGVPVLFIPGNGGSYKQAK >DRNTG_16156.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23995688:24017529:1 gene:DRNTG_16156 transcript:DRNTG_16156.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAILILSLCATLGILAPACKATEFVLYSNPATVLVPGQNFSYDMTPIGLPYGPADLVMQKDCNLVSHYKGEFSWASNTSGAADYCYLTVSNYGAAVIKGNYHYPVWTSPITSNISGDYVLILQWNGGLATYGPSIWSSTNKAEFGSIEIKNETKDYVVYSYSVLPIGPIATYKDFHLVNHYKGEFAWASNTSGAADYCYLTVSNYGAAVIKGNYHYPVWTSPITSNISGDYVLILQWNGGLVEVWI >DRNTG_16156.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24022500:24023094:1 gene:DRNTG_16156 transcript:DRNTG_16156.8 gene_biotype:protein_coding transcript_biotype:protein_coding GLAPYGPSIWSSTNKAEFGSIEIKNETKDYVVYSYSVLPIGPIATYKDFHLVLEDSCNLVLRRTDSGKVLWQTNKYSDCHDCFVELDGNGELFVKHRRREILWRSNSRSTPGLYVFVLRYDARLVIYGSQIWTTKPFW >DRNTG_16156.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23995688:24023094:1 gene:DRNTG_16156 transcript:DRNTG_16156.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAILILSLCATLGILAPACKATEFVLYSNPATVLVPGQNFSYDMTPIGLPYGPADLVMQKDCNLVSHYKGEFSWASNTSGAADYCYLTVSNYGAAVIKGNYHYPVWTSPITSNISGDYVLILQWNGGLATYGPSIWSSTNKAEFGSIEIKNETKDYVVYSYSVLPIGPIATYKDFHLVLEDSCNLVLRRRPQHLVQH >DRNTG_16156.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:24016970:24017529:1 gene:DRNTG_16156 transcript:DRNTG_16156.9 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAILILSLCATLGILAPACKATEFVLYSNPDTVLVPGKNFSYDLTPIGLPYGPADLVMRKDCNLVNHYKGEFAWASNTSGAADYCYLTVSNYGAAVIKGNYHYPVWTSPITSNISGDYVLILQWNGGLVEVWI >DRNTG_16156.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23995688:24023094:1 gene:DRNTG_16156 transcript:DRNTG_16156.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAILILSLCATLGILAPACKATEFVLYSNPATVLVPGQNFSYDMTPIGLPYGPADLVMQKDCNLVSHYKGEFSWASNTSGAADYCYLTVSNYGAAVIKGNYHYPVWTSPITSNISGDYVLILQWNGGLATYGPSIWSSITKQSSAALRSRTRPRTTWSTRIQCCQLDP >DRNTG_16156.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23995688:24017529:1 gene:DRNTG_16156 transcript:DRNTG_16156.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAILILSLCATLGILAPACKATEFVLYSNPATVLVPGQNFSYDMTPIGLPYGPADLVMQKDCNLVNHYKGEFAWASNTSGAADYCYLTVSNYGAAVIKGNYHYPVWTSPITSNISGDYVLILQWNGGLVEVWI >DRNTG_16156.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23995688:23996644:1 gene:DRNTG_16156 transcript:DRNTG_16156.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAILILSLCATLGILAPACKATEFVLYSNPATVLVPGQNFSYDMTPIGLPYGPADLVMQKDCNLVSHYKGEFSWASNTSGAADYCYLTVSNYGAAVIKGNYHYPVWTSPITSNISGDYVLILQWNGGLATYGPSIWSSTNKAEFGSIEIKNETKDYVVYSYSVLPIGPIATYKDFHLVLEDSCNLVLRRTDSGKVLWQTNKYSDCP >DRNTG_16156.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23995688:24023094:1 gene:DRNTG_16156 transcript:DRNTG_16156.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAILILSLCATLGILAPACKATEFVLYSNPATVLVPGQNFSYDMTPIGLPYGPADLVMQKDCNLVSHYKGEFSWASNTSGAADYCYLTVSNYGAAVIKGNYHYPVWTSPITSNISGDYVLILQWNGGLATYGPSIWSSTNKAEFGSIEIKNETKDYVVYSYSVLPIGPIATYKDFIWSLKTAATLSSAALILARCCGRPTSTVTAMTASSSLMATASCLSSIDAGRYCGDPIPGLHQAFTCLCCVMMLVLSSMALRFGLPNPSGN >DRNTG_16156.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23995688:24023094:1 gene:DRNTG_16156 transcript:DRNTG_16156.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAILILSLCATLGILAPACKATEFVLYSNPATVLVPGQNFSYDMTPIGLPYGPADLVMQKDCNLVSHYKGEFSWASNTSGAADYCYLTVSNYGAAVIKGNYHYPVWTSPITSNISGDYVLILQWNGGLATYGPSIWSSTNKAEFGSIEIKNETKDYVVYSYSVLPIGPIATYKDFHLVLEDSCNLVLRRTDSGKVLWQTNKYSDCP >DRNTG_32686.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1766601:1767939:1 gene:DRNTG_32686 transcript:DRNTG_32686.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKEKEISTQGKRPLMASILLSLFLISLHLWLCSAQSYPPNFTRNDFPSDFAFGAGTSAYQVEGAAAEDGRSPCIWDTHTHAGKMPDKSTGDIASDQYHKYKEDVKLMSDTGLEVYKFSISWSRLIPNGRGEVNQKGLDYYNNLINELTGKGLF >DRNTG_32686.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1766601:1780338:1 gene:DRNTG_32686 transcript:DRNTG_32686.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYHQDLPQALEDEYHGWLSPRIVDDFTTYADVCFREFGDRVSHWTTIAEVNTMAAASYDIGVFPPQRCSYPFGVNNCTAGNSSTEPYIAVHNALLAHASIFHLYKTKYKAFQHGWIGLNIYTLWFSPFSNSKADVKATKRAKDFMLGGIVDPLVFGDYPKTMKKIVGSRLPVFTKSQSEYLKGSIDFIGLNHYTSAFVADNSAEALAMPIRDYNNDMLATFTVSKNETPSGKFVPKSTPYHPDGLRKILEYIKQRYRNLPIYIQENGCGLGMEDTMNDTYRIDYLNGYIGSTLEAIRNGANVRGYFVWSFMDVFELLDGYRSRFGLYFVDFDDKERKRIPKLSAHWYSNFLKGKNIKELQGVHTVALDFDSK >DRNTG_32686.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1766601:1780338:1 gene:DRNTG_32686 transcript:DRNTG_32686.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKEKEISTQGKRPLMASILLSLFLISLHLWLCSAQSYPPNFTRNDFPSDFAFGAGTSAYQVEGAAAEDGRSPCIWDTHTHAGKMPDKSTGDIASDQYHKYKEDVKLMSDTGLEVYKFSISWSRLIPNGRGEVNQKGLDYYNNLINELTGKGIQPHVMLYHQDLPQALEDEYHGWLSPRIVDDFTTYADVCFREFGDRVSHWTTIAEVNTMAAASYDIGVFPPQRCSYPFGVNNCTAGNSSTEPYIAVHNALLAHASIFHLYKTKYKAFQHGWIGLNIYTLWFSPFSNSKADVKATKRAKDFMLGGIVDPLVFGDYPKTMKKIVGSRLPVFTKSQSEYLKGSIDFIGLNHYTSAFVADNSAEALAMPIRDYNNDMLATFTVSKNETPSGKFVPKSTPYHPDGLRKILEYIKQRYRNLPIYIQENGCGLGMEDTMNDTYRIDYLNGYIGSTLEAIRNGANVRGYFVWSFMDVFELLDGYRSRFGLYFVDFDDKERKRIPKLSAHWYSNFLKGKNIKELQGVHTVALDFDSK >DRNTG_32686.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1766601:1767939:1 gene:DRNTG_32686 transcript:DRNTG_32686.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKEKEISTQGKRPLMASILLSLFLISLHLWLCSAQSYPPNFTRNDFPSDFAFGAGTSAYQVEGAAAEDGRSPCIWDTHTHAGKMPDKSTGDIASDQYHKYKEDVKLMSDTGLEVYKFSISWSRLIPNGRGEVNQKGLDYYNNLINELTGKGIQPHVMLYHQDLPQALEDEYHGWLSPRIV >DRNTG_21175.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001205.1:66975:68369:1 gene:DRNTG_21175 transcript:DRNTG_21175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGDLQLPPGFRFHPTDEELVMHYLCRRCASMPISVPIIAEIDLYKYDPWDLPGMAVYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPIGSPRPVGIKKALVFYTGKAPKGEKSNWIMHEYRLADVDRSVRRKNSLRLDDWVLCRIYNKKGVGGKQGNLDRKPTGPKTVLQPEMTGSVEQKPIIAPHVLGGTTTVPYVPQPVSDLLYLDPSESLPRLHADSSCSEHVLSPEFTCEREVESQPSWRNEWEKALDVPLNHGDATVNGFPNFEPAFKDPLQDIFMYFQKPF >DRNTG_20834.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001164.1:17495:22645:1 gene:DRNTG_20834 transcript:DRNTG_20834.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHKMVYCESLSQLMSLSINKNNGLTADGIEAFTNLSNLVNLNLERCSRIHGGIIHLRGLFPFLY >DRNTG_20834.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001164.1:29601:32373:1 gene:DRNTG_20834 transcript:DRNTG_20834.4 gene_biotype:protein_coding transcript_biotype:protein_coding AYWHPFLYYSCDFQLSNLLTAGLIETLNLDPCKISCEGLLNIKGLTSLRTLELSETGVKSEVAKKHGMDMVT >DRNTG_20834.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001164.1:17495:32373:1 gene:DRNTG_20834 transcript:DRNTG_20834.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHKMVYCESLSQLMSLSINKNNGLTADGIEAFTNLSNLVNLNLERCSRIHGGIIHLRGITKLKFLLLSTVIV >DRNTG_20834.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001164.1:17495:20701:1 gene:DRNTG_20834 transcript:DRNTG_20834.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHKMVYCERLRDSWMNVISSQGESLVSLDISCSDVTDCGLLLLKDCFNLRKLALNYCDQVFD >DRNTG_08528.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:19319052:19319545:-1 gene:DRNTG_08528 transcript:DRNTG_08528.3 gene_biotype:protein_coding transcript_biotype:protein_coding TTTTTTIGYRKYRGSQNTQGNNPNNSRKW >DRNTG_08528.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:19317975:19319545:-1 gene:DRNTG_08528 transcript:DRNTG_08528.2 gene_biotype:protein_coding transcript_biotype:protein_coding TTTTTTIGYRKYRGSQNTQGNNPNNSRKW >DRNTG_08528.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:19317560:19319545:-1 gene:DRNTG_08528 transcript:DRNTG_08528.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRKFVFLASYSSAKPLPRSKTSSSRVRKEGRGQESRRKISPEKNLGRGKGSAK >DRNTG_25021.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19564533:19567191:1 gene:DRNTG_25021 transcript:DRNTG_25021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSTAPPTETTPVTAMASAIPVEEGVEEMTLTVKWNGKEYIIRVCGDDTVSELKRRICEVTTVLPKRQKLLYPKLASKLGDDSILLSQLPLKPSVKMTMIGTVEDEIFVDQVDSPEIVDDFELGQEEVVDIKDKHVNKMKLKRRVSQYKIKLLNPCREGKKLLVLDIDYTLFDHKSPAENPLELMRPYLHEFLTAAYAEYDVIIWSATSMKWVELKMGQLGVLSNPNYRITALLDHLAMITVQSDSNKTFDCKPLGLIWALLPEFYNEKNTIMFDDLRRNFVMNPQNGLTIRPFRKAHLNRGSDQELVKLTQYLLPIAELPDLSSLDHSMWELYTEANAKRRRHS >DRNTG_15787.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17116183:17118027:-1 gene:DRNTG_15787 transcript:DRNTG_15787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSCPDPSRARGNRHNNEWTLPHPTSKSS >DRNTG_15787.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:17117348:17118027:-1 gene:DRNTG_15787 transcript:DRNTG_15787.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILSCPDPSRARGNRHNNEWTLPHPTSKSS >DRNTG_11008.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29293265:29294764:-1 gene:DRNTG_11008 transcript:DRNTG_11008.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKEQYDQSQSDVAHDHESQTTPKQGGWITFPFIIGNVFGMSLIFSGTMGNFIVFLIKHYNFKSIDAAQLFNIINGSSSFSPLLGAIISDSFFGCLPVITFSTVASLFSMILLTLTAGIKAFRPTNSH >DRNTG_35027.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7970340:7972571:-1 gene:DRNTG_35027 transcript:DRNTG_35027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLMISDVWFPLDIMDRRTDACYLLLENVIPVRLGFVGVVNHAQQVLHGQYHCCGIP >DRNTG_05830.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31668034:31670804:1 gene:DRNTG_05830 transcript:DRNTG_05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVQSTESQILHAMSLAPTQQRSTSSIRPDVEANDSSLDKESKLSVLPEDYTWPFLLRFPVSSFGMCLGVNSQAILWKTLATAPSMKFLHVSLTANLILWFFALTLTFIISLIYILKIIYHFEAVRREYYHPIRVNFFFAPWLACLFLVLGVPPSVTKTLHPSLWYALMAPIFCLELKIYGQWIYGGHCRLSKGANPSSHLSIVGNFVGALLGASMGLKEEAIFSFAVGLAHYTVLFITLLQRLPTNQPLPQELHPVFFLFVVPPSVACMAWAKIQGHFDYLSKFAYFVALFLYVCLVVRIKLFRGFRFSLAWWAYTFPLTGAPIATIWYSNEEENLLTRSLSVALSSISTCALTAVFVSTVIHGFVLHDLFPNDIPIGITEKRPKSSKKLSYLKSIISSL >DRNTG_34655.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002170.1:11616:12611:1 gene:DRNTG_34655 transcript:DRNTG_34655.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKPSALRTKESRSCKRHLDPVWARTKDRHTKVEGRGRRIRMPAATLSQPSSPAPVVSPP >DRNTG_12894.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000584.1:25070:26038:1 gene:DRNTG_12894 transcript:DRNTG_12894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLISFFIPLIPLSPSSLPPLRLVIVLSLALKKISPAKPKACSGGGLFLKGPIITDPSKAELGLPETDFGPWLVVSRRRGRARGRGGVSRDTHVTARTAAKKREPFAESRDPVSQGIRGGHRGNGRGRFLVPHAEHSVLCSDNPTHQASILHTLIPTVETYETTPMLPDGSTPFHATLTIGDTPSLITSPTNPNNQLNPSLRNRDVGKTHTTPRSPSPPSFTPLYLHLQPPDSLNQSHITVVEASALDPGDHGDMEENSGDEDDPSDDNEVEISDDEGPDDSMTLNQFQEEARREAFIRKNVNVAKVSHKKGRLEAGCSHS >DRNTG_04843.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31030689:31033681:1 gene:DRNTG_04843 transcript:DRNTG_04843.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIWLSYWATRNRRMNKLREKFFEQNGGLLMQQLLSSHNRGSKSARIFTENELDLATDNYNESRILGQGAHGIVYKGILADSQIVAIKKSKFMDNNNNNEINQKDQFINEVFILSQVIHKNVVKILGCCFETPVPLLVYEYVPGGTLYHHIHKQRGSLSWSTRLKIATETADALSYLHSATEIPILHRDVKSANILLDDNCMAKVSDFGASRLIPQDTDNMTTMVQGTLGYLDPEYLHTGVLTEKSDVYSFGVLLAELLTGEQSISFKRKEEEMNLGMYFLMKMKADTLFDILEPRVKTEAKQEQLQGVAELIKRCLKIKGDERPTMKAVTLELERLKSQDEDSLHEWPLNEGGVDESLVVKTKRFYNWNRFEDESLLRGSIWSYNTSDLETGNSSDQLVGSSSTMPLSSSTTKTEIMLTSLGPKM >DRNTG_04843.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31030689:31033681:1 gene:DRNTG_04843 transcript:DRNTG_04843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCLLVHVLLVFLFCSSSTPSILALNGSLPGCPDKCGNVTIPYPFGISGCSLSTGFDITCNDTYNPPKPFTGSLGIFDITDDVVNVNFTIAGGDYCSGGTFSTWMRLGGDQYPFTFSQTRNMFTAIGCDTLAVFYDAWNTTSSISGCVSMCSNMSSIDNGTCSGNGCCQTSIPTGLKRLYVKLDTISDKIGTLNGYNFTQTITDCSKAFLVDKEWFLFQTSFLKSFNRRKVPVVLDWAIGKQKCDVAKKKYTDYACMGNTQCVDSANGLGYRCSCLPGYQGNPYLPAYRGGCQDINECDSDQKTHNCSMLCVNTPGSYYCSCPHGYDGDGKINGTGCTKKSKLLQIVLGCALGSLLFLMVGIWLSYWATRNRRMNKLREKFFEQNGGLLMQQLLSSHNRGSKSARIFTENELDLATDNYNESRILGQGAHGIVYKGILADSQIVAIKKSKFMDNNNNNEINQKDQFINEVFILSQVIHKNVVKILGCCFETPVPLLVYEYVPGGTLYHHIHKQRGSLSWSTRLKIATETADALSYLHSATEIPILHRDVKSANILLDDNCMAKVSDFGASRLIPQDTDNMTTMVQGTLGYLDPEYLHTGVLTEKSDVYSFGVLLAELLTGEQSISFKRKEEEMNLGMYFLMKMKADTLFDILEPRVKTEAKQEQLQGVAELIKRCLKIKGDERPTMKAVTLELERLKSQDEDSLHEWPLNEGGVDESLVVKTKRFYNWNRFEDESLLRGSIWSYNTSDLETGNSSDQLVGSSSTMPLSSSTTKTEIMLTSLGPKM >DRNTG_04843.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31030689:31033681:1 gene:DRNTG_04843 transcript:DRNTG_04843.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIWLSYWATRNRRMNKLREKFFEQNGGLLMQQLLSSHNRGSKSARIFTENELDLATDNYNESRILGQGAHGIVYKGILADSQIVAIKKSKFMDNNNNNEINQKDQFINEVFILSQVIHKNVVKILGCCFETPVPLLVYEYVPGGTLYHHIHKQRGSLSWSTRLKIATETADALSYLHSATEIPILHRDVKSANILLDDNCMAKVSDFGASRLIPQDTDNMTTMVQGTLGYLDPEYLHTGVLTEKSDVYSFGVLLAELLTGEQSISFKRKEEEMNLGMYFLMKMKADTLFDILEPRVKTEAKQEQLQGVAELIKRCLKIKGDERPTMKAVTLELERLKSQDEDSLHEWPLNEGGVDESLVVKTKRFYNWNRFEDESLLRGSIWSYNTSDLETGNSSDQLVGSSSTMPLSSSTTKTEIMLTSLGPKM >DRNTG_04843.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31033007:31033681:1 gene:DRNTG_04843 transcript:DRNTG_04843.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMVQGTLGYLDPEYLHTGVLTEKSDVYSFGVLLAELLTGEQSISFKRKEEEMNLGMYFLMKMKADTLFDILEPRVKTEAKQEQLQGVAELIKRCLKIKGDERPTMKAVTLELERLKSQDEDSLHEWPLNEGGVDESLVVKTKRFYNWNRFEDESLLRGSIWSYNTSDLETGNSSDQLVGSSSTMPLSSSTTKTEIMLTSLGPKM >DRNTG_04843.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31030689:31033681:1 gene:DRNTG_04843 transcript:DRNTG_04843.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVNTPGSYYCSCPHGYDGDGKINGTGCTKKSKLLQIVLGCALGSLLFLMVGIWLSYWATRNRRMNKLREKFFEQNGGLLMQQLLSSHNRGSKSARIFTENELDLATDNYNESRILGQGAHGIVYKGILADSQIVAIKKSKFMDNNNNNEINQKDQFINEVFILSQVIHKNVVKILGCCFETPVPLLVYEYVPGGTLYHHIHKQRGSLSWSTRLKIATETADALSYLHSATEIPILHRDVKSANILLDDNCMAKVSDFGASRLIPQDTDNMTTMVQGTLGYLDPEYLHTGVLTEKSDVYSFGVLLAELLTGEQSISFKRKEEEMNLGMYFLMKMKADTLFDILEPRVKTEAKQEQLQGVAELIKRCLKIKGDERPTMKAVTLELERLKSQDEDSLHEWPLNEGGVDESLVVKTKRFYNWNRFEDESLLRGSIWSYNTSDLETGNSSDQLVGSSSTMPLSSSTTKTEIMLTSLGPKM >DRNTG_10574.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1598226:1599251:-1 gene:DRNTG_10574 transcript:DRNTG_10574.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSASFHGAASSDRSIKIRRPESQTDLSGPSAETLKYGAAAAQRPMKLLLNVTVLQSPGPIQVVMSPENSVADLVRAAVEAFIREGRRPPLPFSDPKAFELHYSQYSLESLKSEEKLMNLGSRNFFLCAKTTKNPTQRMTVLPSALMGYCHCGPHYCKKIRDLMTSFF >DRNTG_10574.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1598279:1599251:-1 gene:DRNTG_10574 transcript:DRNTG_10574.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSASFHGAASSDRSIKIRRPESQTDLSGPSAETLKYGAAAAQRPMKLLLNVTVLQSPGPIQVVMSPENSVADLVRAAVEAFIREGRRPPLPFSDPKAFELHYSQYSLESLKSEEKLMNLGSRNFFLCAKTTKNPTQRMTVLPSALMGYC >DRNTG_10279.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20204748:20206440:1 gene:DRNTG_10279 transcript:DRNTG_10279.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLALKLPVAARPARAGVHPSASPCYCRIRLNKLPYQTAPLPLDSGETPSNSLSASFDLSRADLDRLAGKSIFAGGARIKIAIYTGRRGTTCGVSSGRLLGKITVPLDLKSAEDRSCIFHTGWVSVGKGSKSSVSAQLHLTVKSEPDPRFVFEFDGEPECSPLVYQLQGSMRQPMFSCKFSCRSAADRCLRSRSVQIEGNNSKGWLSTFGSERERPVKERKGWSVTVHDLSGSPVAMASMVTPFVASPGTDRVSRSNPGAWLILRPGDGTWKPWGRLEAWRERGGIIDGIGYRFELLPDTTSPSGAGVLLAESTLSASKGGRFSIDLTSTTKPFSPVTSPRGSGDFGHGLWPFSGFVMSSTVEGEGKQSRPTVEVGVAHVACAEDAAAFVALAAAVDLSLDACRLFSHRLRKELEFSE >DRNTG_10279.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20204578:20206792:1 gene:DRNTG_10279 transcript:DRNTG_10279.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLALKLPVAARPARAGVHPSASPCYCRIRLNKLPYQTAPLPLDSGETPSNSLSASFDLSRADLDRLAGKSIFAGGARIKIAIYTGRRGTTCGVSSGRLLGKITVPLDLKSAEDRSCIFHTGWVSVGKGSKSSVSAQLHLTVKSEPDPRFVFEFDGEPECSPLVYQLQGSMRQPMFSCKFSCRSAADRCLRSRSVQIEGNNSKGWLSTFGSERERPVKERKGWSVTVHDLSGSPVAMASMVTPFVASPGTDRVSRSNPGAWLILRPGDGTWKPWGRLEAWRERGGIIDGIGYRFELLPDTTSPSGAGVLLAESTLSASKGGRFSIDLTSTTKPFSPVTSPRGSGDFGHGLWPFSGFVMSSTVEGEGKQSRPTVEVGVAHVACAEDAAAFVALAAAVDLSLDACRLFSHRLRKELEFSE >DRNTG_10279.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20204032:20206440:1 gene:DRNTG_10279 transcript:DRNTG_10279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLALKLPVAARPARAGVHPSASPCYCRIRLNKLPYQTAPLPLDSGETPSNSLSASFDLSRADLDRLAGKSIFAGGARIKIAIYTGRRGTTCGVSSGRLLGKITVPLDLKSAEDRSCIFHTGWVSVGKGSKSSVSAQLHLTVKSEPDPRFVFEFDGEPECSPLVYQLQGSMRQPMFSCKFSCRSAADRCLRSRSVQIEGNNSKGWLSTFGSERERPVKERKGWSVTVHDLSGSPVAMASMVTPFVASPGTDRVSRSNPGAWLILRPGDGTWKPWGRLEAWRERGGIIDGIGYRFELLPDTTSPSGAGVLLAESTLSASKGGRFSIDLTSTTKPFSPVTSPRGSGDFGHGLWPFSGFVMSSTVEGEGKQSRPTVEVGVAHVACAEDAAAFVALAAAVDLSLDACRLFSHRLRKELEFSE >DRNTG_10279.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20204748:20206547:1 gene:DRNTG_10279 transcript:DRNTG_10279.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLALKLPVAARPARAGVHPSASPCYCRIRLNKLPYQTAPLPLDSGETPSNSLSASFDLSRADLDRLAGKSIFAGGARIKIAIYTGRRGTTCGVSSGRLLGKITVPLDLKSAEDRSCIFHTGWVSVGKGSKSSVSAQLHLTVKSEPDPRFVFEFDGEPECSPLVYQLQGSMRQPMFSCKFSCRSAADRCLRSRSVQIEGNNSKGWLSTFGSERERPVKERKGWSVTVHDLSGSPVAMASMVTPFVASPGTDRVSRSNPGAWLILRPGDGTWKPWGRLEAWRERGGIIDGIGYRFELLPDTTSPSGAGVLLAESTLSASKGGRFSIDLTSTTKPFSPVTSPRGSGDFGHGLWPFSGFVMSSTVEGEGKQSRPTVEVGVAHVACAEDAAAFVALAAAVDLSLDACRLFSHRLRKELEFSE >DRNTG_10279.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20204578:20206547:1 gene:DRNTG_10279 transcript:DRNTG_10279.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLALKLPVAARPARAGVHPSASPCYCRIRLNKLPYQTAPLPLDSGETPSNSLSASFDLSRADLDRLAGKSIFAGGARIKIAIYTGRRGTTCGVSSGRLLGKITVPLDLKSAEDRSCIFHTGWVSVGKGSKSSVSAQLHLTVKSEPDPRFVFEFDGEPECSPLVYQLQGSMRQPMFSCKFSCRSAADRCLRSRSVQIEGNNSKGWLSTFGSERERPVKERKGWSVTVHDLSGSPVAMASMVTPFVASPGTDRVSRSNPGAWLILRPGDGTWKPWGRLEAWRERGGIIDGIGYRFELLPDTTSPSGAGVLLAESTLSASKGGRFSIDLTSTTKPFSPVTSPRGSGDFGHGLWPFSGFVMSSTVEGEGKQSRPTVEVGVAHVACAEDAAAFVALAAAVDLSLDACRLFSHRLRKELEFSE >DRNTG_10279.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20204578:20206440:1 gene:DRNTG_10279 transcript:DRNTG_10279.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLALKLPVAARPARAGVHPSASPCYCRIRLNKLPYQTAPLPLDSGETPSNSLSASFDLSRADLDRLAGKSIFAGGARIKIAIYTGRRGTTCGVSSGRLLGKITVPLDLKSAEDRSCIFHTGWVSVGKGSKSSVSAQLHLTVKSEPDPRFVFEFDGEPECSPLVYQLQGSMRQPMFSCKFSCRSAADRCLRSRSVQIEGNNSKGWLSTFGSERERPVKERKGWSVTVHDLSGSPVAMASMVTPFVASPGTDRVSRSNPGAWLILRPGDGTWKPWGRLEAWRERGGIIDGIGYRFELLPDTTSPSGAGVLLAESTLSASKGGRFSIDLTSTTKPFSPVTSPRGSGDFGHGLWPFSGFVMSSTVEGEGKQSRPTVEVGVAHVACAEDAAAFVALAAAVDLSLDACRLFSHRLRKELEFSE >DRNTG_10279.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20204748:20206792:1 gene:DRNTG_10279 transcript:DRNTG_10279.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLALKLPVAARPARAGVHPSASPCYCRIRLNKLPYQTAPLPLDSGETPSNSLSASFDLSRADLDRLAGKSIFAGGARIKIAIYTGRRGTTCGVSSGRLLGKITVPLDLKSAEDRSCIFHTGWVSVGKGSKSSVSAQLHLTVKSEPDPRFVFEFDGEPECSPLVYQLQGSMRQPMFSCKFSCRSAADRCLRSRSVQIEGNNSKGWLSTFGSERERPVKERKGWSVTVHDLSGSPVAMASMVTPFVASPGTDRVSRSNPGAWLILRPGDGTWKPWGRLEAWRERGGIIDGIGYRFELLPDTTSPSGAGVLLAESTLSASKGGRFSIDLTSTTKPFSPVTSPRGSGDFGHGLWPFSGFVMSSTVEGEGKQSRPTVEVGVAHVACAEDAAAFVALAAAVDLSLDACRLFSHRLRKELEFSE >DRNTG_10279.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20204243:20206547:1 gene:DRNTG_10279 transcript:DRNTG_10279.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLALKLPVAARPARAGVHPSASPCYCRIRLNKLPYQTAPLPLDSGETPSNSLSASFDLSRADLDRLAGKSIFAGGARIKIAIYTGRRGTTCGVSSGRLLGKITVPLDLKSAEDRSCIFHTGWVSVGKGSKSSVSAQLHLTVKSEPDPRFVFEFDGEPECSPLVYQLQGSMRQPMFSCKFSCRSAADRCLRSRSVQIEGNNSKGWLSTFGSERERPVKERKGWSVTVHDLSGSPVAMASMVTPFVASPGTDRVSRSNPGAWLILRPGDGTWKPWGRLEAWRERGGIIDGIGYRFELLPDTTSPSGAGVLLAESTLSASKGGRFSIDLTSTTKPFSPVTSPRGSGDFGHGLWPFSGFVMSSTVEGEGKQSRPTVEVGVAHVACAEDAAAFVALAAAVDLSLDACRLFSHRLRKELEFSE >DRNTG_10279.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20204188:20206547:1 gene:DRNTG_10279 transcript:DRNTG_10279.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLALKLPVAARPARAGVHPSASPCYCRIRLNKLPYQTAPLPLDSGETPSNSLSASFDLSRADLDRLAGKSIFAGGARIKIAIYTGRRGTTCGVSSGRLLGKITVPLDLKSAEDRSCIFHTGWVSVGKGSKSSVSAQLHLTVKSEPDPRFVFEFDGEPECSPLVYQLQGSMRQPMFSCKFSCRSAADRCLRSRSVQIEGNNSKGWLSTFGSERERPVKERKGWSVTVHDLSGSPVAMASMVTPFVASPGTDRVSRSNPGAWLILRPGDGTWKPWGRLEAWRERGGIIDGIGYRFELLPDTTSPSGAGVLLAESTLSASKGGRFSIDLTSTTKPFSPVTSPRGSGDFGHGLWPFSGFVMSSTVEGEGKQSRPTVEVGVAHVACAEDAAAFVALAAAVDLSLDACRLFSHRLRKELEFSE >DRNTG_34479.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24527788:24528942:-1 gene:DRNTG_34479 transcript:DRNTG_34479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVMFPHEEGGQSWRPPETTTEEEKKK >DRNTG_28659.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6176269:6179045:1 gene:DRNTG_28659 transcript:DRNTG_28659.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGSNDYLNNYFMPLFYSSSRQYTPEQYRQNLINQYSKQLRTLYENGARKVALIGVGLVGCSPNELAQFSSDGKTCVERINSAIRLFNTKLKKLVNKFNKLDGAHFHLHQCL >DRNTG_04719.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8291729:8297454:1 gene:DRNTG_04719 transcript:DRNTG_04719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKAQKKALYRAKLKESSQKREKRIDSPLVRYNENDQAVCRVCNVVVKSELFWPAHEASRKHHEAIEIFKATAAGVNRAKSSNIEPPVEKHNARASSTLPADFFDNQQTKKQKKDESKPVDDGNKEGLPAVHVAQVPESGKNSSKVSNLLTGTNKQGGLSENFFDSKGGSDDLVSGNVVHTQIKQTEKALPVDFFESTGRSVADHSNQLIKSSKSVGGGAESKQVMGVLPEGFFDNKDADLRARGIEPVKVDIDDAYKEFEKEIQDNLQEVDDRLEEEEIDAADVREEIESLEQQAYREQIEKVKIQVLRAKAAHSSREQERQAFAMDKKDSSDDSSGEGEDDGDEEDDDNFSVDWRAQHL >DRNTG_10697.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13728104:13730279:-1 gene:DRNTG_10697 transcript:DRNTG_10697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLMYSYSFSQNLNSLSIVFSSSNGLKFIHINNPAFPSKHSISVSFNPSTIFQCLFLRLSLLVLINFSMNSLRRAVTTPLHPFPRPSLIFVDVQILISEGPTATIIHGDNRIFPLHRKIVAGIGAAVSNPADIAMVRMQADGCLPVAQRRNCKSTVYAISRMVREEDVTSLCYVSSLTMNRTKIITTL >DRNTG_25424.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24638718:24642560:1 gene:DRNTG_25424 transcript:DRNTG_25424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGGMSGWYGQSCGESSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGHEEDDELECENMQWNTSDLAFAETRPPKPQRSRSRTNKGSTPKSLSRSPSWDSHSKGSISSSGSTTKVDLSKLETTALWRYSHHFNLMDASPNPSKEQLIDAVQRHFMSQQLDELQVIVGFVQAAKRLKTTICN >DRNTG_27177.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:664755:666623:1 gene:DRNTG_27177 transcript:DRNTG_27177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISMEEAQQSTSCQKIGFSPWWMFTFFITILKKLHAKVARLFTKLKKIAEDDPRRVYHSFKVGLALTLVSIFYYVTPLFNGFGSSCMWAVLTVVVVMEFTVGATLGKGLNRTLATVLAGSLALGAHHLADLCGEKMEPILLGILVFILASAATFSRFIPRVKKRYDYGVTIFILTFSLVAVSSYRVDEVIPLAHQRASTIAVGVATCLCTTFFVFPVWAGEDLHKLTATNLEKLACFLQGLGDDYFQDKAKKENKVGEKKDYFQSYKSVLNSKPTEESLANFAKWEPGHNGFGFRHPWNQYLKIGASTRRCAYSTEALSAFLTACDSKPKPDPNDEVRTKIRSACAEMSSESAKALSDLASSIRTMSAPTLARQHMAAASAAAEKLKAVLLNDSGSVAEIVHVATIGAILVEIVNCEHEIVGTVEELARLAGFRRAEAVNEAPVKPGNEEQCPSVVITIV >DRNTG_31058.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30662429:30666349:-1 gene:DRNTG_31058 transcript:DRNTG_31058.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like protein D5 [Source:Projected from Arabidopsis thaliana (AT1G02730) UniProtKB/Swiss-Prot;Acc:Q9SRW9] MVLQRSSSPASPVTITISSGGRGAAEGAMGSSRSMGLTSPAPRHSLPNNLHSPVTASHPSGRASSGGGSRYCPASASRDEGSEATSEFVHYTVHIPPTPDHQPLPSPKRSFISGTIFTGGFNCVTRGHVMECSADSSSEEAGVKSAGMVCRMNGCDGEAFLCGSNSPCECDFMICRDCYLECIASGGQCPGCKEIYRGVSDDEESLEEEEEDKALPLTSMAELRAGRRMSLMRSTKAPPDFDHNRWLFETKGTYGYGNALWPKDGHGGGGGGGGMGFKGFDEPPNFGGRCRRPLTRKVGVSQAIISPYRLLIMIRLVALGLFLHWRIRHPNHEAIWLWAMSITCEVWFAFSWLLDQLPKLCPVNRATDLSVLKERFESPSIRNPKGRSDLPGIDVFVSTADPEKEPPLVTANTILSILAVDYPVEKLACYLSDDGGSLLTFEALAETASFAGVWVPFCRKHDIEPRNPEAYFSQKRDPLKNKVRLDFVRERRKVKREYDEFKVRINSLPESIRRRSDAYNAQEEIRAKKQEAAGGNGTEPIKILKATWMSDGSHWPGTWPSAEQDHSRGDHAGIIQAMLAPPNSEPVMGLEASDKNLIDTTGVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYFYNSLALREGMCFMLDRGGDRICYVQFPQRFEGIDPNDRYANHNLVFFDVSMRAMDGLQGPMYVGTGCIFRRTALYGFSPPRATEHHGWFGRKKIKLFLRKNTMGKKRELEDDKEIMLQPTEDGDIESQLLPKRFGSSATLVASIPVAEYQGRLLQDLPGVRQGRPAGALTVPREPLDAATVAEAISVISCFYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFATRRMKFLQRVAYFNVGMYPFTSIFLLVYCILPAISLFTGQFIVQSLSITFLVFLLAISATLCMLALLEIKWSGITLHEWWRNEQFWLIGGTSAHPAAVLQGLLKVIAGVDISFTLTSKPASDDNDDMFAELYAVKWSFLMVPPITIMMINMISIAVGVARTMYSEYPQWSKLLGGVFFSFWVLCHLYPFAKGLMGRRGKVPTIIYVWSGLLSIVISLLWVYISPPAGRFKDSMNFQFP >DRNTG_16650.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:19149334:19153722:-1 gene:DRNTG_16650 transcript:DRNTG_16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHARAWGRGAAPVNWACECPRPCGIPEQACITLGTFLGCPEMPQGRASSPMGQMHGRGYFPQARVIESESNECFPKSAQGHACSPVALFDRIFAWFSGRFVIFSSHFVVRPCGFHAPWIHTGVRKFHTTVLWHLDRRSQLIRGHVSHPLSMSFAIPEYQGDEFIDEVEDLISAGGWL >DRNTG_33733.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:20798857:20802222:-1 gene:DRNTG_33733 transcript:DRNTG_33733.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Post-illumination chlorophyll fluorescence increase [Source:Projected from Arabidopsis thaliana (AT3G15840) UniProtKB/TrEMBL;Acc:Q9LVZ5] MIFCRGHLCFLCDIQKMVPKVLMAAASTASFHLASSSGLSPSSNPCFPQCMLPSLSSSQYGFGGAYFLRHQKNQSHARTVPQINAVAIPASVEEPQVCYLPTWADFELGRTHVFWKTSNGLPPTSGEELKLFYNPLASKLVPNDEFGVAFNGGFNQPIMCGGEPRVMTRKSRGKADPPIYTIKLRVPIHAVNLIFSFTNGVDWDGPYKLQFEVPKRWRNKPISFFNKGLAEELKVDGACDRAIFPDSNIVITSCSIGTLYAEGGDRCKLDLVAGCMDPDSPLYDPLANVDDGSCPLDSDSEE >DRNTG_17039.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10831870:10832616:1 gene:DRNTG_17039 transcript:DRNTG_17039.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLKQHAISTESASTNSGPSPIDELALYIEAVDGEKKRRVYGLGSQASSYYGCSNSNVNNSTATSTMQNNEDLQNELESVRNQLQIQEERHQQERQETKQELQQTRQEVAEMRRMLQLLIFQNQAPSQINQSGHDEDVDPTPPT >DRNTG_26768.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:18441063:18443287:1 gene:DRNTG_26768 transcript:DRNTG_26768.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFIKESKDSCLSLAERVGSEAITNGSNFVFSPLSIRVALSLAAVGSKAATSDRMLSFLGSGSVEELNAVSVRLLGTVRLNGTDEPGSISFVNGIWVDRSVDMNPVFEVLARSVYGAAAESVDFQTKSIEVAKGVNGWVEKETNGLIKNLLPDDSVDSMTRLIITNALYFKGLWKHRFDISKTREKEFHLLDSTIIKAPFMTSKEDQFITSFDGFQVLRLPYQKHEDTKSFSMYIYLPDDLFGLHRLLEKVANEPGFVSNHIPLRRVEVGRFMIPKFKFSYGFEVSKVLKSLGLELPFSENADFSGMILSSSSADKLFISSVYHKATIEVEEEGTVAAAATGLVAKLMCYVPPVNFVADHPFMFVIREDVTGALLFFGVVVNPLLSAD >DRNTG_33326.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3404103:3406133:1 gene:DRNTG_33326 transcript:DRNTG_33326.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIQSSMVAIMAVFVLSMLAPAVFAQSDCVANLIPCENYLNNSHPPDKCCSPLKDAVQNQLACLCGIFESPNILKAFNINITQALQLSVNCGITSDTSLCSKTGAPSNSPPGNPANNGVRMLGMSGLLGLCLFWWSLVA >DRNTG_30135.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3209055:3210762:1 gene:DRNTG_30135 transcript:DRNTG_30135.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKMKRYAVLLCAEDSEYIKKVHGGYFQVFKSLLGDEDQGACNDDHQEIWDSYKASNGELPKDDDEIAKYDGFIITGSIHDAHGNDLWVLELIHLLKKLDFMKKKVLGICFGHQILCRALGGKIGKAEHGWDLGATTINFTTKNTPSLVIMECHRDEIWELPAKAELLAWSEKTRVEMFKYGEHIMGIQGHPEYTKDIVLHIIGRLLNSNVIQTWQADAAKLKLEDREPDQEAWKKLCKGFLKG >DRNTG_30135.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:3209055:3209897:1 gene:DRNTG_30135 transcript:DRNTG_30135.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKMKRYAVLLCAEDSEYIKKVHGGYFQVFKSLLGDEDQGACNDDHQEIWDSYKASNGELPKDDDEIAKYDGFIITGSIHDAHGNDLWVLELIHLLKKLDFMKKKVLGICFGHQILCRALGGKIGKAEHGWDLGATTINFTTKNTPSLVIMECHRDEVIFGFSSSFFNIY >DRNTG_05980.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000273.1:77366:79277:1 gene:DRNTG_05980 transcript:DRNTG_05980.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSVNDRTDEYGGSLENRCRFAIEVVEAIVNEIGADRVGVRLSPFADYMEAWDSNPEALGLYMVQALNKFNILYCHMVEPRMAIIDGRRQIPHRLLNIRKAFKGTFIAAGGYDRDEGNKVVAGGYTDLIAYGRLFLANPDLPKRFELDAPLNKYNRSTFYTHDPVIGYTDYPFLNEPSA >DRNTG_05980.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000273.1:77366:79277:1 gene:DRNTG_05980 transcript:DRNTG_05980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSVNDRTDEYGGSLENRCRFAIEVVEAIVNEIGADRVGVRLSPFADYMEAWDSNPEALGLYMVQALNKFNILYCHMVEPRMAIIDGRRQIPHRLLNIRKAFKGTFIAAGGYDRDEGNKVVAGGYTDLIAYGRLFLANPDLPKRFELDAPLNKYNRSTFYTHDPVIGYTDYPFLNEPSA >DRNTG_05980.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000273.1:77366:79277:1 gene:DRNTG_05980 transcript:DRNTG_05980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSVNDRTDEYGGSLENRCRFAIEVVEAIVNEIGADRVGVRLSPFADYMEAWDSNPEALGLYMVQALNKFNILYCHMVEPRMAIIDGRRQIPHRLLNIRKAFKGTFIAAGGYDRDEGNKVVAGGYTDLIAYGRLFLANPDLPKRFELDAPLNKYNRSTFYTHDPVIGYTDYPFLNEPSA >DRNTG_05980.7.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000273.1:77366:79277:1 gene:DRNTG_05980 transcript:DRNTG_05980.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSVNDRTDEYGGSLENRCRFAIEVVEAIVNEIGADRVGVRLSPFADYMEAWDSNPEALGLYMVQALNKFNILYCHMVEPRMAIIDGRRQIPHRLLNIRKAFKGTFIAAGGYDRDEGNKVVAGGYTDLIAYGRLFLANPDLPKRFELDAPLNKYNRSTFYTHDPVIGYTDYPFLNEPSA >DRNTG_05980.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000273.1:77366:79277:1 gene:DRNTG_05980 transcript:DRNTG_05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYNAYIRAKIYVFNLTIHEKFGIIGYQPNGQAPISSTDQPKPDRIRLDGTVEEYSPPRRLRTDEIPQIVNDFKLAARNAIEAGFDGIEVHGAHGYLFEQFMKDSVNDRTDEYGGSLENRCRFAIEVVEAIVNEIGADRVGVRLSPFADYMEAWDSNPEALGLYMVQALNKFNILYCHMVEPRMAIIDGRRQIPHRLLNIRKAFKGTFIAAGGYDRDEGNKVVAGGYTDLIAYGRLFLANPDLPKRFELDAPLNKYNRSTFYTHDPVIGYTDYPFLNEPSA >DRNTG_05980.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000273.1:77366:79277:1 gene:DRNTG_05980 transcript:DRNTG_05980.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSVNDRTDEYGGSLENRCRFAIEVVEAIVNEIGADRVGVRLSPFADYMEAWDSNPEALGLYMVQALNKFNILYCHMVEPRMAIIDGRRQIPHRLLNIRKAFKGTFIAAGGYDRDEGNKVVAGGYTDLIAYGRLFLANPDLPKRFELDAPLNKYNRSTFYTHDPVIGYTDYPFLNEPSA >DRNTG_05980.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000273.1:77366:79277:1 gene:DRNTG_05980 transcript:DRNTG_05980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSVNDRTDEYGGSLENRCRFAIEVVEAIVNEIGADRVGVRLSPFADYMEAWDSNPEALGLYMVQALNKFNILYCHMVEPRMAIIDGRRQIPHRLLNIRKAFKGTFIAAGGYDRDEGNKVVAGGYTDLIAYGRLFLANPDLPKRFELDAPLNKYNRSTFYTHDPVIGYTDYPFLNEPSA >DRNTG_19553.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001011.1:6919:7890:-1 gene:DRNTG_19553 transcript:DRNTG_19553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNTDDRNRLLHGILNMCKEMLGRLPKVVFIDIVEMALWAKRYPVVSVLTPTDSKVPVENDLVPQAEEEDMEALLG >DRNTG_22899.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1329121:1335535:1 gene:DRNTG_22899 transcript:DRNTG_22899.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmin homolog [Source:Projected from Arabidopsis thaliana (AT4G24190) UniProtKB/Swiss-Prot;Acc:Q9STX5] MIKLDKEKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQTGGDLNLIGQFGVGFYSVYLVADYVEVVSKHNDDKQYVWESKADGSFAISEDTWNEPLGRGTEIRLHLRDEAKEYLEEDKLKELVKKYSEFINFPIYLWVTKEVDVEVPSDEEESTDEEESSETTSEEETEEDGTEKKPKTKTVKETTSEWEVLNNVKAIWLRNPKEVTEEEYTKFYHSIAKDFSEEKPLAWSHFTAEGDVEFKAVLFVPPKAPQDLYESYYNSNKSNLKLYVRRVFISDEFDELLPKYLSFLKGLVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRRIADEDPDEPGSKEKSDSKEVSEDDEKKGQYTKFWNEFGKSIKLGIIEDAHNRNRLAKLLRFETTQSEGKLTSLDQYISRMKAGQKDIFYITGTSKEQLEKSPFLERLIKKNFEVIFFTDPVDEYLMQYLMDYEDKKFQNISKEGLKLGKETKLKDLKESFKELTKWWKDALASENVDDVKISNRLENSPCVVVTSKYGWSANMEKIMQSQTLSDASKQAYMRGKRVLEVNPRHPIIKELRERVALDSKDESAKQAAKLMYQTALMESGFMLNDPKEFATSIYDSVKASLKISSDAEVEEDNDTEETEAEEKGSESTKEADADIEDAGSSPLKDEL >DRNTG_21694.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:968926:971704:-1 gene:DRNTG_21694 transcript:DRNTG_21694.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPFGTSSPSSFDPLLLPSLLSRLQLRPPLPSRSPLDDLLLQSLSTVDHHPDLDEDNDDLSLTGDEEKRRRLLAREVARLEREVIRIIQSGDSDRLLKPNSGRSVAIGDHNICVAARDEPGSEYRVWEWHGHIMFFDHENGFDAEYIYGNYFERLPERKGGRNQPKDDEEEETKLGGLRDLIGDSMSSVMNGGGRVIHRNSLSASSSNSNN >DRNTG_21694.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:968926:971755:-1 gene:DRNTG_21694 transcript:DRNTG_21694.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPFGTSSPSSFDPLLLPSLLSRLQLRPPLPSRSPLDDLLLQSLSTVDHHPDLDEDNDDLSLTGDEEKRRRLLAREVARLEREVIRIIQSGDSDRLLKPNSGRSVAIGDHNICVAARDEPGSEYRVWEWHGHIMFFDHENGFDAEYIYGNYFERLPERKGGRNQPKDDEEEETKLGGLRDLIGDSMSSVMNGGGRVIHRNSLSASSSNSNN >DRNTG_21694.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:970006:971704:-1 gene:DRNTG_21694 transcript:DRNTG_21694.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPFGTSSPSSFDPLLLPSLLSRLQLRPPLPSRSPLDDLLLQSLSTVDHHPDLDEDNDDLSLTGDEEKRRRLLAREVARLEREVIRIIQSGDSDRLLKPNSGRSVAIGDHNICVAARDEPGSEYRVWEWHGHIMFFDHENGFDAEYIYGNYFERLPERKGGRNQPKDDEEEETKLGGLRDLIGDSMSSVMNGGGRVIHRNSLSASSSNSNN >DRNTG_21694.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:970139:971704:-1 gene:DRNTG_21694 transcript:DRNTG_21694.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPFGTSSPSSFDPLLLPSLLSRLQLRPPLPSRSPLDDLLLQSLSTVDHHPDLDEDNDDLSLTGDEEKRRRLLAREVARLEREVIRIIQSGDSDRLLKPNSGRSVAIGDHNICVAARDEPGSEYRVWEWHGHIMFFDHENGFDAEYIYGNYFERLPERKGGRNQPKDDEEEETKLGGLRDLIGDSMSSVMNGGGRVIHRNSLSASSSNSNN >DRNTG_21694.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:968684:971704:-1 gene:DRNTG_21694 transcript:DRNTG_21694.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPFGTSSPSSFDPLLLPSLLSRLQLRPPLPSRSPLDDLLLQSLSTVDHHPDLDEDNDDLSLTGDEEKRRRLLAREVARLEREVIRIIQSGDSDRLLKPNSGRSVAIGDHNICVAARDEPGSEYRVWEWHGHIMFFDHENGFDAEYIYGNYFERLPERKGGRNQPKDDEEEETKLGGLRDLIGDSMSSVMNGGGRVIHRNSLSASSSNSNN >DRNTG_21694.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:970218:971704:-1 gene:DRNTG_21694 transcript:DRNTG_21694.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPFGTSSPSSFDPLLLPSLLSRLQLRPPLPSRSPLDDLLLQSLSTVDHHPDLDEDNDDLSLTGDEEKRRRLLAREVARLEREVIRIIQSGDSDRLLKPNSGRSVAIGDHNICVAARDEPGSEYRVWEWHGHIMFFDHENGFDAEYIYGNYFERLPERKGGRNQPKDDEEEETKLGGLRDLIGDSMSSVMNGGGRVIHRNSLSASSSNSNN >DRNTG_21694.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:968926:971704:-1 gene:DRNTG_21694 transcript:DRNTG_21694.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPFGTSSPSSFDPLLLPSLLSRLQLRPPLPSRSPLDDLLLQSLSTVDHHPDLDEDNDDLSLTGDEEKRRRLLAREVARLEREVIRIIQSGDSDRLLKPNSGRSVAIGDHNICVAARDEPGSEYRVWEWHGHIMFFDHENGFDAEYIYGNYFERLPERKGGRNQPKDDEEEETKLGGLRDLIGDSMSSVMNGGGRVIHRNSLSASSSNSNN >DRNTG_04477.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000214.1:18664:20863:-1 gene:DRNTG_04477 transcript:DRNTG_04477.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYLYTSQYHMSGILAISLGGVEELNVDNITHVVYMRFQRKEDLAKFYVNDSYLGILKEHVKPHCYGLISLDYESEVEDDIMPIFRRGEEFNYGVECVLLISFSDNASRHAVEEALDTLRSLIAEYSSFIVQATQGQIFNVTDSEYTHAAVIRFPSLEDLEMFKGSSDYKDVRTLNLRYKSFSSFSFSKVRKILIVKRLCIPREIRICNS >DRNTG_04477.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000214.1:18664:20863:-1 gene:DRNTG_04477 transcript:DRNTG_04477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWHGLISLDYESEVEDDIMPIFRRGEEFNYGVECVLLISFSDNASRHAVEEALDTLRSLIAEYSSFIVQATQGQIFNVTDSEYTHAAVIRFPSLEDLEMFKGSSDYKDMWRLKFQEVTRKALLIYFVVDPIGTELM >DRNTG_29956.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:11639184:11641105:1 gene:DRNTG_29956 transcript:DRNTG_29956.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEEVMMLGLEEKVPSTPGIVKKMLRKIKNFLQSLQSFLRHSEKTNGRVEFPHGYMAPRTKITAGKCPREQSPKTERIEFAIPERQARFEQLLRFRFDIVNAIQFRVLGDHYGMSVTYFLIRLGLYEKAFTGTEEYAQLPINYPNTLTPQRAYGALCGQGQYEPGVSKAKYLS >DRNTG_31730.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:14245968:14246401:1 gene:DRNTG_31730 transcript:DRNTG_31730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKYSSSYLLNTPLLSPRPFTDQLRTAWMFTAGREIRETVNPHGSVEIIHAHVEIPHWRVVLRGIGQGFGEVLRLRHRDSIRKKVGKGASIEVYPIPDEGILGR >DRNTG_01336.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:161534:163590:1 gene:DRNTG_01336 transcript:DRNTG_01336.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SHR [Source:Projected from Arabidopsis thaliana (AT4G37650) UniProtKB/TrEMBL;Acc:A0A178UYJ6] MDEDFSSSSSSKHLLPSSSSTPPPPPPPIPPPPPSHLLFDPSSDFSSLHLDPTSTPRWSTDLLLDCARSISSRDTTRLQQHMWMLNELSSPYGDVEQKLSSYFLQALFSRLTSSGPRTLRTLCSASDKTSSFDSTRRTLLRFQELSPWSTFGHVAANGAILESFLDSQRLHILDLSSTFCTQWPTLLEALATRSADDAPHLSITTVAPSASQRVMREVGARMEKFARLMGVPFRFNAVHHSGDLSTLDFSSLGVDSGDTLAINCVNSLHGVSPAGHRRELFVSMLRGLNPRIVTVVEEEAELDVDDDDEGFLRGFREALRFFGAYFDSLEESFPRASNERLALERAAGRAVVDLVACPAVESSERRETAAGWSRKLHAAGFTPVNFSDDVADDVRALLRRYKEGWSLRSSEEVSGAGAGLFLGWKEQPMVWASAWKLS >DRNTG_01336.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:161347:163344:1 gene:DRNTG_01336 transcript:DRNTG_01336.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SHR [Source:Projected from Arabidopsis thaliana (AT4G37650) UniProtKB/TrEMBL;Acc:A0A178UYJ6] MDTLFRLVSLQSSSSSDHHHHHHHHHNHHHPQSSSFTSNSHSSLSSKPPSHHYYNLDPDQECCNTPHPSSLFMDEDFSSSSSSKHLLPSSSSTPPPPPPPIPPPPPSHLLFDPSSDFSSLHLDPTSTPRWSTDLLLDCARSISSRDTTRLQQHMWMLNELSSPYGDVEQKLSSYFLQALFSRLTSSGPRTLRTLCSASDKTSSFDSTRRTLLRFQELSPWSTFGHVAANGAILESFLDSQRLHILDLSSTFCTQWPTLLEALATRSADDAPHLSITTVAPSASQRVMREVGARMEKFARLMGVPFRFNAVHHSGDLSTLDFSSLGVDSGDTLAINCVNSLHGVSPAGHRRELFVSMLRGLNPRIVTVVEEEAELDVDDDDEGFLRGFREALRFFGAYFDSLEESFPRASNERLALERAAGRAVVDLVACPAVESSERRETAAGWSRKLHAAGFTPVNFSDDVADDVRALLRRYKEGWSLRSSEEVSGAGAGLFLGWKEQPMVWASAWKLS >DRNTG_01336.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:161534:163344:1 gene:DRNTG_01336 transcript:DRNTG_01336.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SHR [Source:Projected from Arabidopsis thaliana (AT4G37650) UniProtKB/TrEMBL;Acc:A0A178UYJ6] MDEDFSSSSSSKHLLPSSSSTPPPPPPPIPPPPPSHLLFDPSSDFSSLHLDPTSTPRWSTDLLLDCARSISSRDTTRLQQHMWMLNELSSPYGDVEQKLSSYFLQALFSRLTSSGPRTLRTLCSASDKTSSFDSTRRTLLRFQELSPWSTFGHVAANGAILESFLDSQRLHILDLSSTFCTQWPTLLEALATRSADDAPHLSITTVAPSASQRVMREVGARMEKFARLMGVPFRFNAVHHSGDLSTLDFSSLGVDSGDTLAINCVNSLHGVSPAGHRRELFVSMLRGLNPRIVTVVEEEAELDVDDDDEGFLRGFREALRFFGAYFDSLEESFPRASNERLALERAAGRAVVDLVACPAVESSERRETAAGWSRKLHAAGFTPVNFSDDVADDVRALLRRYKEGWSLRSSEEVSGAGAGLFLGWKEQPMVWASAWKLS >DRNTG_01336.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:161347:163590:1 gene:DRNTG_01336 transcript:DRNTG_01336.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SHR [Source:Projected from Arabidopsis thaliana (AT4G37650) UniProtKB/TrEMBL;Acc:A0A178UYJ6] MDTLFRLVSLQSSSSSDHHHHHHHHHNHHHPQSSSFTSNSHSSLSSKPPSHHYYNLDPDQECCNTPHPSSLFMDEDFSSSSSSKHLLPSSSSTPPPPPPPIPPPPPSHLLFDPSSDFSSLHLDPTSTPRWSTDLLLDCARSISSRDTTRLQQHMWMLNELSSPYGDVEQKLSSYFLQALFSRLTSSGPRTLRTLCSASDKTSSFDSTRRTLLRFQELSPWSTFGHVAANGAILESFLDSQRLHILDLSSTFCTQWPTLLEALATRSADDAPHLSITTVAPSASQRVMREVGARMEKFARLMGVPFRFNAVHHSGDLSTLDFSSLGVDSGDTLAINCVNSLHGVSPAGHRRELFVSMLRGLNPRIVTVVEEEAELDVDDDDEGFLRGFREALRFFGAYFDSLEESFPRASNERLALERAAGRAVVDLVACPAVESSERRETAAGWSRKLHAAGFTPVNFSDDVADDVRALLRRYKEGWSLRSSEEVSGAGAGLFLGWKEQPMVWASAWKLS >DRNTG_01973.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13153873:13157011:-1 gene:DRNTG_01973 transcript:DRNTG_01973.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCYPVTKKNMRRAKLKGIKMVQSDHTAKNASGCVAGATKSAPSPDITPHSSIPSVNVDSTRSAPLPDVTPHSSTPSSSDDSNLNDENGTNNTCTRLDNLHPINEDSDLNIGLQANKDKHPSATIRWQWEQLVDFWYSKKGEKLYVTINFLCLSRQMRR >DRNTG_15269.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4466819:4470589:1 gene:DRNTG_15269 transcript:DRNTG_15269.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRSLLRLLFLLLAALVLLLIHSTRSYLHDLPNSSSTLRPVLNSRPRPTITTTVSSQSSHESDVPHHPLDPLTIQEINTARSIILSYHPFSSSPSSLIIHSLVLQEPDKPVVLSWHPGLPLPPRHASTIIRFLNSSYSLTIDFSTKNITSNPLPSSGYPTMTIEDMNSACWAPLSDSNFNATILGRGVRLSDLACLPISSGWFGPQDEHRRLIKVQCYSAEGTANFYMRPIEGLTVLLDLDTKQVVHISDVSPDIPIPKSSGTEYRFDSLSLKDNHKTKPLNPISLEQPAGPSFEIENGHVVRWAGWEFHLKPDPRAGVVVSAVRVTDPETGEKRRVMYKGFTSELFVPYMDPTDAWYFKTYMDAGEYGFGLQAMPLVPLNDCPRNAKYMDGVFAAADGRPYVRQNMVCLFESYGGDIGWRHSESPITGMGIREARPKVTLVARMAASVANYDYIVDWEFQTDGLIRVKAGLSGILMVKGTPYVNMNQVPKNEEMYGTLLSENVVGVIHDHYITFHLDMDVDGSENSFVKVKLEREETDQKESPRVSYLKATRHVAKSEKEAQVKLNLYQPSELHVVNPSKLTRVGNPVGYKLVPAGTAATLMDPQDPPQLRAAFTNNQLWVTPYNKSEEWAGGVFVYQSKGEDTLAVWSDRDRSIENKDIVLWYTLGFHHVPCQEDFPIMPTVYSSFDLKPVNFFEYNPILKSPPNLEKDLPICNAAASA >DRNTG_25377.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24280304:24282261:1 gene:DRNTG_25377 transcript:DRNTG_25377.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein AUXIN RESPONSE 4 [Source:Projected from Arabidopsis thaliana (AT1G54990) UniProtKB/Swiss-Prot;Acc:Q9FZ33] MAGASEHEEGGGGGGAAEVSTTSPFWKAMGFWGYLTVFVSVLALFLSSISTPSAHSWFLSLPDDLRSHYSVSKPIKAHLAPHLPPVNVFAADYGPRDSETVLLLHGLASSSFSFRHVIRSLSSRSIRAVAVDLPSAGFSDGLGHRSGGFFDWIRGVCRDIKEKGLFWGFEQMVEKGSNPFEEKPERNSAAVGSEDLGRIIGQVIESMGLAPVHLVLHDSALEAGAIWISRTPGSVRSVTLIDSATGLPAFPWGLLGVPVLGEMVLRSKTLFAGLLRLCCSRSVEGSAAEAHRLLLRKKGGKEGVLELAKGLNESFDVGEWARSEGVRSLPFLVLWSSMWSDRWIDEGRRVAQAIPMAQFSYHSGGRWPQEDAGDEIAGMIAEFVSSLPKSIRQVNEDQDLAVAVDVEVEVEHAHTQKKLVERSNDDNDHLYGEHQQQPQQHYVNGHMDMYGLGQEWWN >DRNTG_06955.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:782308:783365:1 gene:DRNTG_06955 transcript:DRNTG_06955.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILSSIISRSWRRRGGMGNEGKRGEQ >DRNTG_26878.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001370.1:8516:12982:1 gene:DRNTG_26878 transcript:DRNTG_26878.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEMFNPNPYEGLLDQKMENEEVSMLGSTEEVPCTPGILKKVLQKMNRVRRHNRKCSKAIGHTCELNKLDAPLLGLESGIHTPLWNFHTGVGNFHTPLGILWNYDFRPAMNSVATRFSPHRLAT >DRNTG_23220.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:7799132:7800007:-1 gene:DRNTG_23220 transcript:DRNTG_23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTIPERQARAHTELYVAKDSIKRSMNDCGKSTGVLSRQELLYLYSMVQSVPLHLGHILAEYLRHQEQYNRIGVLFSDPYITRLIIEIGLLDATRGAEKMIIPASLGLDTMRMMGMIRRYQDVVYVMNMPPPSQLRPREWQQRGHNQYLSSRQSRYRQRHPPAIQDPPLVESFTNSNP >DRNTG_03697.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8250268:8250824:-1 gene:DRNTG_03697 transcript:DRNTG_03697.3 gene_biotype:protein_coding transcript_biotype:protein_coding RATFGCWDDHATGDYSWTIFDQFGGAPVEDESAPPPNATLEDEPIDTGNQRHRAQRSTTSRTTAMATRARRTNGDNVSGEDIGEKITQLVTSIDKSRKKTWKEKLSDALWDMDGYSDDDMEMVFNKLIDNKKEAENFYLRKPSLRKKWLDNFIASIRNSSP >DRNTG_03697.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8249968:8255530:-1 gene:DRNTG_03697 transcript:DRNTG_03697.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTEGTQGEKRKGTPNKRWTKEMDNVLIPLLADMARSGLKVDKSFKRQAFVDAANVVNSRFPTASMDADNVENHMRTLKQKYQDIKKLMNLSGVGWNDTEKKLVLEDETYRTYVEGQPKAKEYLNKPIPFFEELRLVAGMTTLLVITHGPSSTNLVVRQLRMRVHLHLMQHWKMNLSIQEIKDTEHKGLLRVGLLLWLPVQGGPMVIMFQEKTLEKR >DRNTG_03697.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8250893:8251361:-1 gene:DRNTG_03697 transcript:DRNTG_03697.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVYIHYYCRMNNTEGTQGEKRKGTPNKRWTKEMDNVLIPLLADMARSGLKVDKSFKRQAFVDAANVVNSRFPTASMDADNVENHMRTLKQKYQDIKKLMNLSGVGWNDTEKKLVLEDETYRTYVEVC >DRNTG_03697.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:8254762:8255530:-1 gene:DRNTG_03697 transcript:DRNTG_03697.2 gene_biotype:protein_coding transcript_biotype:protein_coding KAFRAHLCVSWNSLKCEVYILVVELQLRQVYFFV >DRNTG_31024.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30490993:30492725:1 gene:DRNTG_31024 transcript:DRNTG_31024.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSGSGPSGAKAGKKKGSTFVIDCAKPVEDKIMDIASLEKFLQERIKVGGGKPGAFGDAVTVTREKTKITVTTDGPFSKRYLKYLTKKYLKKHNVRDWLRVISSNKDRNVYELRYFNIAENEGEEEE >DRNTG_34050.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5851075:5861809:1 gene:DRNTG_34050 transcript:DRNTG_34050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPDPYEVPSTPGILKKVLQRMKRARRRHRKYSKAVGDVGEPKKLGSLQIFLSHPEKIHGRVDFPHARGCVLRAHPEKAQGRAAIPVNDHATVTRPWVLPRRAHEFPRRVGRIFPESTRGVDSPCGQPLNHASGRG >DRNTG_27559.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3070135:3076479:1 gene:DRNTG_27559 transcript:DRNTG_27559.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPHAVCVPSPAQSHVHSMLKLAKLLHVNSFHITFIHSESNYNTIIKTYGVSSLKGLDDFCFETVPDRLPPDKSVHQDIESLVNSAQTTRVIPFRNLLIRLNDHPLSGVPPVSCIISDSFCSYTLEVAKEFNIPDFFYCPISACGYMGFIHYKELIDRGITPLKSESDLSNGYLDTPVEWIPGLKNIRMRDLPSFIRTTDPDDTYLNLLKREGQKAFEATAIIINTFDELEDEVLSAMASMLPPLYTIGPLSLLYNQFPVSEATSIIGSSFLKEDEDCLEWLDEKESGSVLYVNFGSLVVVSNEQMIEFAWGLANSKHDFLWIIRPDLVKGEAAVLPEEWLDKIKGRGLLASWCPQERVLSHPSVRGFLTHSGWNSTMESMSAVKPMICWPYFADQQTNCRYVCNEWGIGMELDSEVKREQVEELIVELMDGEKGKEIKKKVVEWKEKARRATQEGGSSFMNFKRVVNDLLLCNNKH >DRNTG_27559.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3070135:3076479:1 gene:DRNTG_27559 transcript:DRNTG_27559.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFETLEIEDTCMALRPHAVCVPSPAQSHVHSMLKLAKLLHVNSFHITFIHSESNYNTIIKTYGVSSLKGLDDFCFETVPDRLPPDKSVHQDIESLVNSAQTTRVIPFRNLLIRLNDHPLSGVPPVSCIISDSFCSYTLEVAKEFNIPDFFYCPISACGYMGFIHYKELIDRGITPLKSESDLSNGYLDTPVEWIPGLKNIRMRDLPSFIRTTDPDDTYLNLLKREGQKAFEATAIIINTFDELEDEVLSAMASMLPPLYTIGPLSLLYNQFPVSEATSIIGSSFLKEDEDCLEWLDEKESGSVLYVNFGSLVVVSNEQMIEFAWGLANSKHDFLWIIRPDLVKGEAAVLPEEWLDKIKGRGLLASWCPQERVLSHPSVRGFLTHSGWNSTMESMSAVKPMICWPYFADQQTNCRYVCNEWGIGMELDSEVKREQVEELIVELMDGEKGKEIKKKVVEWKEKARRATQEGGSSFMNFKRVVNDLLLCNNKH >DRNTG_27559.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3070135:3076479:1 gene:DRNTG_27559 transcript:DRNTG_27559.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPHAVCVPSPAQSHVHSMLKLAKLLHVNSFHITFIHSESNYNTIIKTYGVSSLKGLDDFCFETVPDRLPPDKSVHQDIESLVNSAQTTRVIPFRNLLIRLNDHPLSGVPPVSCIISDSFCSYTLEVAKEFNIPDFFYCPISACGYMGFIHYKELIDRGITPLKSESDLSNGYLDTPVEWIPGLKNIRMRDLPSFIRTTDPDDTYLNLLKREGQKAFEATAIIINTFDELEDEVLSAMASMLPPLYTIGPLSLLYNQFPVSEATSIIGSSFLKEDEDCLEWLDEKESGSVLYVNFGSLVVVSNEQMIEFAWGLANSKHDFLWIIRPDLVKGEAAVLPEEWLDKIKGRGLLASWCPQERVLSHPSVRGFLTHSGWNSTMESMSAVKPMICWPYFADQQTNCRYVCNEWGIGMELDSEVKREQVEELIVELMDGEKGKEIKKKVVEWKEKARRATQEGGSSFMNFKRVVNDLLLCNNKH >DRNTG_27559.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3070135:3076479:1 gene:DRNTG_27559 transcript:DRNTG_27559.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPHAVCVPSPAQSHVHSMLKLAKLLHVNSFHITFIHSESNYNTIIKTYGVSSLKGLDDFCFETVPDRLPPDKSVHQDIESLVNSAQTTRVIPFRNLLIRLNDHPLSGVPPVSCIISDSFCSYTLEVAKEFNIPDFFYCPISACGYMGFIHYKELIDRGITPLKSESDLSNGYLDTPVEWIPGLKNIRMRDLPSFIRTTDPDDTYLNLLKREGQKAFEATAIIINTFDELEDEVLSAMASMLPPLYTIGPLSLLYNQFPVSEATSIIGSSFLKEDEDCLEWLDEKESGSVLYVNFGSLVVVSNEQMIEFAWGLANSKHDFLWIIRPDLVKGEAAVLPEEWLDKIKGRGLLASWCPQERVLSHPSVRGFLTHSGWNSTMESMSAVKPMICWPYFADQQTNCRYVCNEWGIGMELDSEVKREQVEELIVELMDGEKGKEIKKKVVEWKEKARRATQEGGSSFMNFKRVVNDLLLCNNKH >DRNTG_25372.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24223965:24225080:-1 gene:DRNTG_25372 transcript:DRNTG_25372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSEVIIAVVFSIKVTDHSDNLSHGYAILVVVMVCVFVSSFAWSWGPLGWLIPSEIFPLEVRPAGQSVAVCVNLLSTFAIAQAFLPMLCQLKSGIFILFAGWVVVMSIFVLFFLPETKNIPIEEITERVWKQHWFWKRYVDDDDPLRWA >DRNTG_26309.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21351475:21353521:-1 gene:DRNTG_26309 transcript:DRNTG_26309.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSDREAIEDASEEVSSQVASNLSIQESSPFISKDADTISAQPVSLNLTLTINSDVSATSESSTEHHHPGDISVPARVFSCNYCQRKFFSSQALGGHQNAHKRERSLAKRAFENEHVP >DRNTG_01735.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4164222:4174702:1 gene:DRNTG_01735 transcript:DRNTG_01735.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNALLQENRVLVGPEAGLTRDSIRAQFKFQERTVYLVDTAGWLHRSGKEKGPSSLSVVQSRKNLMRAHIIALVLDGHEIAQTKSSLKHDEVVIARRAIEEGRGLVVIVNKMDLLRGSSLFEKVIDAVPKEIQTVIPQVTGVPVMFVSALEGRGRVAIMHQVVDTYQKWCSRLSTARLNRWLRKVMSRHSWKDQATQPKIKYFTQVKARPPTFVAFVSGKTQLLDTDMRFLMKSLKEDFNLGGIPIRIMQRSVPRKAIGNSSGNHPPAKVKVRVKSDKRTANTEPAPS >DRNTG_01735.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4163121:4174702:1 gene:DRNTG_01735 transcript:DRNTG_01735.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSLGIFARGLRSSATCGSACSILPALETAATSGSILSRTTEMTGGVIARSQFAIFLIDVRDGLQPLDVEVGQWMRKHAPGIRIIVAMNKSESLDEQGLITAAAGEAQGLGFGDPVAISAETGLGMAELYEILRPLLEDYVLQSPSDEQIQDDTPLETEESKLPLQLAIVGRPNVGKSTMLNALLQENRVLVGPEAGLTRDSIRAQFKFQERTVYLVDTAGWLHRSGKEKGPSSLSVVQSRKNLMRAHIIALVLDGHEIAQTKSSLKHDEVVIARRAIEEGRGLVVIVNKMDLLRGSSLFEKVIDAVPKEIQTVIPQVTGVPVMFVSALEGRGRVAIMHQVVDTYQKWCSRLSTARLNRWLRKVMSRHSWKDQATQPKIKYFTQVKARPPTFVAFVSGKTQLLDTDMRFLMKSLKEDFNLGGIPIRIMQRSVPRKAIGNSSGNHPPAKVKVRVKSDKRTANTEPAPS >DRNTG_01735.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4163121:4174702:1 gene:DRNTG_01735 transcript:DRNTG_01735.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSLGIFARGLRSSATCGSACSILPALETAATSGSILSRTTEMTGGVIARSQFAIFLIDVRDGLQPLDVEVGQWMRKHAPGIRIIVAMNKSESLDEQGLITAAAGEAQGLGFGDPVAISAETGLGMAELYEILRPLLEDYVLQSPSDEQIQDDTPLETEESKLPLQLAIVGRPNVGKSTMLNALLQENRVLVGPEAGLTRDSIRAQFKFQERTVYLVDTAGWLHRSGKEKGPSSLSVVQSRKNLMRAHIIALVLDGHEIAQTKSSLKHDEVVIARRAIEEGRGLVVIVNKMDLLRGSSLFEKVIDAVPKEIQTVIPQVTGVPVMFVSALEGRGRVAIMHQVVDTYQKWCSRLSTARLNRWLRKVMSRHSWKDQATQPKIKYFTQVKARPPTFVAFVSGKTQLLDTDMRFLMKSLKEDFNLGGIPIRIMQRSVPRKAIGNSSGNHPPAKVKVRVKSDKRTANTEPAPS >DRNTG_14368.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:369260:372635:-1 gene:DRNTG_14368 transcript:DRNTG_14368.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSTLRELAAGSRADRKVGRQPRPGHRTVQRQQRLHAPELPKRPGRGGQKAAAEETRASDGTEARDTRRGSRGRKQ >DRNTG_14368.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:369260:372635:-1 gene:DRNTG_14368 transcript:DRNTG_14368.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKSFINLNVLKYKGANLAILTSAAEGTLRELAAGSRADRKVGRQPRPGHRTVQRQQRLHAPELPKRPGRGGQKAAAEETRASDGTEARDTRRGSRGRKQ >DRNTG_14368.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:369260:372635:-1 gene:DRNTG_14368 transcript:DRNTG_14368.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSTLKMQNLLPTKMLSSLESPIFSIPSLRELAAGSRADRKVGRQPRPGHRTVQRQQRLHAPELPKRPGRGGQKAAAEETRASDGTEARDTRRGSRGRKQ >DRNTG_19717.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:962427:963477:-1 gene:DRNTG_19717 transcript:DRNTG_19717.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAVMTVPRFFHIPITMLPADLVVDGIIPDLISLSGHFFGVYDGHGGSQVANYCRERLHLALVEELTIVGTSTGDDWQKQWEKAFINCFLKVDDEVCGEISRGTTGSTSDAFEEFSISMGFDSFGMSEPVAPENVGSTALVAVICSSHIIIANCGDSRAVLCRGEQPVALSNDHKPNREDEYARIEAEGGEVVIHSDRYCVCGVLPVSRSIGDRYLKPFIIPDPEITVVQRTSEDEYLILASDGLWDVMSNEEVCDAARRRILLWHKKSAGTTATPSLIQKGEEADSAAQAAADYLSNLAMEKGSMDNITVIVVDLKAGMRKLVSSWT >DRNTG_19717.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:962427:966176:-1 gene:DRNTG_19717 transcript:DRNTG_19717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIVQIEACFSLTSLHWVFHLPLLHALLLQDCYKMKELVNEEEREIKEDDVITTFPKLKYLKIVRLPKLVEISSCAIDFPHLSKVHLEDCPNLKRLPFKPDIVSNQGLLIKCERKWWERLEWDDANVHSQFCSNSTEDEEIAEFFVGNVPTEDEEIAEFFVGNVPAFGHKDLPRKFGRHEVLRDYVPLWGSVSICGRRPQMEDAVMTVPRFFHIPITMLPADLVVDGIIPDLISLSGHFFGVYDGHGGSQVANYCRERLHLALVEELTIVGTSTGDDWQKQWEKAFINCFLKVDDEVCGEISRGTTGSTSDAFEEFSISMGFDSFGMSEPVAPENVGSTALVAVICSSHIIIANCGDSRAVLCRGEQPVALSNDHKPNREDEYARIEAEGGEVVIHSDRYCVCGVLPVSRSIGDRYLKPFIIPDPEITVVQRTSEDEYLILASDGLWDVMSNEEVCDAARRRILLWHKKSAGTTATPSLIQKGEEADSAAQAAADYLSNLAMEKGSMDNITVIVVDLKAGMRKLVSSWT >DRNTG_19717.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:962427:965522:-1 gene:DRNTG_19717 transcript:DRNTG_19717.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAVMTVPRFFHIPITMLPADLVVDGIIPDLISLSGHFFGVYDGHGGSQVANYCRERLHLALVEELTIVGTSTGDDWQKQWEKAFINCFLKVDDEVCGEISRGTTGSTSDAFEEFSISMGFDSFGMSEPVAPENVGSTALVAVICSSHIIIANCGDSRAVLCRGEQPVALSNDHKPNREDEYARIEAEGGEVVIHSDRYCVCGVLPVSRSIGDRYLKPFIIPDPEITVVQRTSEDEYLILASDGLWDVMSNEEVCDAARRRILLWHKKSAGTTATPSLIQKGEEADSAAQAAADYLSNLAMEKGSMDNITVIVVDLKAGMRKLVSSWT >DRNTG_03798.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22253358:22254486:-1 gene:DRNTG_03798 transcript:DRNTG_03798.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMVCSFKDEVIRPILHGGLEEEFREMFGSGGRSQLFAITEEAYKDVTLEGCSLDEGLGMSSHFTQFTATNSDEYSVEESDDDHGSNSSLPFTIGMIYAQPWPCIGYFELFGPTVLSSVATSTSTPPTTPHQPPTDLEASSNVIKPRAL >DRNTG_14061.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5010002:5013806:1 gene:DRNTG_14061 transcript:DRNTG_14061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNMTEPSIPQPQSTPISLRMEETKMEEKILSSAAAFVEGGLQDACGDACSICLEDFCDSDPSTVTNCKHEFHLQCILEWCQRSSQCPMCWQALSLKDPISQELLEGVERERNLRASRSRSTTFYHPALGDFELQHLPLGPNDAELEERIFQHLAAAAAMGRAQHIARRDGQRGRSAARGRPQYVVFSTNSSSVPATSGERGEGETTATTVSAGSGSSGSIANTLEESIPQAQRTTQAQTSQVVSIQPGPSSVATARPVLSHSRSSVVHSTQDRAGPSDFQSFSETLKSRFNAVSLRYKESIANSTRGWKERFFSRNTSTADLHSDARRDVNTEVATVSRMMECLGTRNGIQATSPSLTQSTEGHTYSSDERVSDNSANPATNGSAAPACFTSNPGPS >DRNTG_05759.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:4188317:4191069:1 gene:DRNTG_05759 transcript:DRNTG_05759.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFDVLQQILQRDVASLFVLTSRTSQALPASFSPDPPAPFGLPPASTT >DRNTG_24733.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2307439:2307990:-1 gene:DRNTG_24733 transcript:DRNTG_24733.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAAACDYCRGQRAVVYCSPDSARLCLSCDVHVHSANALASRHVRSLICDRCLVQPAITWCMDEGAILCHDCDCCAFSFACSSLLHRRQPVGCYSGCPSSSDLLSLWSSVLNVNHLTNAPFQFHCSSDDVCGLYPFQDASLDFAADNLVE >DRNTG_24733.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2306696:2307990:-1 gene:DRNTG_24733 transcript:DRNTG_24733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAAACDYCRGQRAVVYCSPDSARLCLSCDVHVHSANALASRHVRSLICDRCLVQPAITWCMDEGAILCHDCDCCAFSFACSSLLHRRQPVGCYSGCPSSSDLLSLWSSVLNVNHLTNAPFQFHCSSDDVCGLYPFQDASLDFAADNLVEGVLDNSDQGMMINGNGIQHVGSISISNHTGESSAVDYQDCGVSPMLLNNESSTLDANYPQARTEAKMRYNQKKKSRKFGKNLKYGTRKVKSEKMKT >DRNTG_28023.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16652041:16657750:1 gene:DRNTG_28023 transcript:DRNTG_28023.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin assembly factor 1 subunit FAS2 [Source:Projected from Arabidopsis thaliana (AT5G64630) UniProtKB/Swiss-Prot;Acc:Q9SXY1] MKGGTVQINWHDTQPVLSLDFHPHYGLLATAGNDHDIKLWEITWGESEKKLPTASYQKSLSYHSSAVNILRFSPSGEHLASGADGGELIIWKLHASDDGYTWKVLKTLSFHRKDVLDVQWSVDGAYLVSGSVDNSCIIWDANKGSVHQILDGHLHYVQGVAWDPLGQYVASLSSDRTCRVYVNKPQAKLKGYEKLNYVSQHVITKSELQRHEDSKPPSKSHLFHDETLPSFFRRLAWAPDGSFLLVPAGIYRNSSASEVLNTAYIFSRKDLSRPAVQLPGASKPIVVARFCPVLFHLRGSNSDGFFKLPYRVIFAVATFNSLYIYDTESTPPIAIFAGLHYAAITDIAWSSNAKYLALSSRDGYCTIVEFENDELGIPFSVAGTSKTMNPTNVSKSDVTDQMEIEMPAALKVDIAADKKASLGNQSQSTMMMKTPDTKKPTKRITPVVIN >DRNTG_28023.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16655493:16657750:1 gene:DRNTG_28023 transcript:DRNTG_28023.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin assembly factor 1 subunit FAS2 [Source:Projected from Arabidopsis thaliana (AT5G64630) UniProtKB/Swiss-Prot;Acc:Q9SXY1] MNPTNVSKSDVTDQMEIEMPAALKVDIAADKKASLGNQSQSTMMMKTPDTKKPTKRITPVVIN >DRNTG_04159.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:444421:446294:1 gene:DRNTG_04159 transcript:DRNTG_04159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKNEERKMSPKERRGITVKPRNYMNNRQKMETLSN >DRNTG_10707.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13627481:13627941:-1 gene:DRNTG_10707 transcript:DRNTG_10707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVREIQDLRERLRRRNQERKTKEQKLKGKSLFSKALHNPSREVASGEEEIEVV >DRNTG_14106.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20691751:20694192:1 gene:DRNTG_14106 transcript:DRNTG_14106.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESLTSERKVVVHLRATGDAPILKQAKFKIAGSDKFLKVIEFLRRQLHRDTLFVYVNSAFSPSPDELVIDLYNNFGFDGKLVVNYACSMAWG >DRNTG_22936.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17025891:17028474:1 gene:DRNTG_22936 transcript:DRNTG_22936.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSSSISSFSRQPTLIPSDLDPKFRSKASKFSSFSPPRGKEDSSEEKSSKTPRENSKTRSECEGSTQSDSSETRTVGVRSAGRGVGNREK >DRNTG_04924.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8812920:8813575:-1 gene:DRNTG_04924 transcript:DRNTG_04924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDKVDWWVVCRIKSRSVIEIPITNFPFQDDEVEVHSIDMIDDAIIPLNDSNGAFVDVDDGHDDVNLEDAAEPNLQETEMEDDEDAEDDDMSVDSE >DRNTG_16222.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30784854:30786722:-1 gene:DRNTG_16222 transcript:DRNTG_16222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFVSLHKRITSLHRNLSINISFLCYYIFVKLHPFWIQLFYFILISSIGFLFLKLLPNKHSSLKLSNLDVFFMAVSASTVSSMSTIEMEVFSNVQLLVLTLLMLLGGEVFVSMLTLHVNNKYFLKQSQKTNNIISLTTNSEYLKSKYMRYLLHVILAYFILIHASGYAMIIAYVSLIPSARRVLHGKGLNVHTFTIFSVVSSFTNCGFIPTNENMIVFKKYSGFLLMIITLVLTGNTLFPACLSFLIWILERITRREEFGYILKDKTNGVFAHLFPGLHSVLMLALTVAGFIVIQMILFCCMEWSSEGLEGLNAYQKLVGALFQSVNSRHAGESIVDLSTISPAILVLYLVMMYLPPYTCFLPAEREVDGEDDDANKGKLNLIENLMFSQVANLSIFTIMICITERKSISHDPLNFNVLNIATEIISAYGNVGFSTGYSCERQLKPDPNCKDSWVGFSGRWSTKGKLILIFIMFFGRLKKFSMHAGKAWKLC >DRNTG_34237.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1436123:1438807:1 gene:DRNTG_34237 transcript:DRNTG_34237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNERLEKINEVNVMELPSREKSGQSTERQCPLDYPKSPYHAMEFLSRTWSPSSDDFFKLFTVNNLVPRLEDKNDAKEEHEEHEEGQIQCIQAQELNMGQKKKWPVHEREHQIGKMKLGQPKSWLSRELLKNILKVSPKRRKEELRLHVSQVNAALSVANLAAAISGILANCSLDPNKHGINMMNLINGGHEECDQIKMNSVLASAAALIATVCAEAAESVGAQRAHISSAISSGLQTRTSADMLDLTANAATSLRGVATLERRATSKLYISDDQKMLSNGSKLLIRTPAGKVELRSVRIYLKHSKLILLLGKKLMGGFITTSKEYRIIDIMEDRGGKGAEFIEDECGMYFVTIATSEGTIKLLFQDEKQFKAWKSSISHLLFSSHGSCKLFS >DRNTG_00348.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:18705172:18709277:-1 gene:DRNTG_00348 transcript:DRNTG_00348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFFYFDYCRINAETDDFFTPYEEVCDTFDAMGLHENLLRGIYAYGEVL >DRNTG_27871.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10352067:10356015:1 gene:DRNTG_27871 transcript:DRNTG_27871.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTDIWQWSELTSFGDLPSPREFAAASAIGNRKIVMYGGWDGKKWLSDVYILDTISLEWTELSVSGSAPPPRCGHSVTMVEKRLLVYGGRGGGGLIMGDLWALKGLIEEDNETPGWTQLKLPGQPPSPRCGHTVTSGGHYLLLFGGHGTGGWLSRYDIYHNDCVILDRVSVQWKRLLTTNEPPPVRAYHSMTCIGARYLLFGGFDGKTTFGDLWWLVPEDDPIAKRLPSSITPVPDIKQTVTRSDLSQSIHKESEMEESPIVELQKRIGVPISSSIPHMNIVDEVDDKELLELSSRLPISDPGASIESLRHHWKNSSASSIQLRELGPLLSDYQRLIANHYIGNFAPESALSTCTPLGEGIQHQFFHLKSASQLRLGDVPRLLSEYKELIPN >DRNTG_27871.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10352336:10356015:1 gene:DRNTG_27871 transcript:DRNTG_27871.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTDIWQWSELTSFGDLPSPREFAAASAIGNRKIVMYGGWDGKKWLSDVYILDTISLEWTELSVSGSAPPPRCGHSVTMVEKRLLVYGGRGGGGLIMGDLWALKGLIEEDNETPGWTQLKLPGQPPSPRCGHTVTSGGHYLLLFGGHGTGGWLSRYDIYHNDCVILDRVSVQWKRLLTTNEPPPVRAYHSMTCIGARYLLFGGFDGKTTFGDLWWLVPEDDPIAKRLPSSITPVPDIKQTVTRSDLSQSIHKESEMEESPIVELQKRIGVPISSSIPHMNIVDEVDDKELLELSSRLPISDPGASIESLRHHWKNSSASSIQLRELGPLLSDYQRLIANHYIGNFAPESALSTCTPLGEGIQHQFFHLKSASQLRLGDVPRLLSEYKELIPN >DRNTG_27871.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10351666:10356015:1 gene:DRNTG_27871 transcript:DRNTG_27871.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEVNYQSGGGGLIMGDLWALKGLIEEDNETPGWTQLKLPGQPPSPRCGHTVTSGGHYLLLFGGHGTGGWLSRYDIYHNDCVILDRVSVQWKRLLTTNEPPPVRAYHSMTCIGARYLLFGGFDGKTTFGDLWWLVPEDDPIAKRLPSSITPVPDIKQTVTRSDLSQSIHKESEMEESPIVELQKRIGVPISSSIPHMNIVDEVDDKELLELSSRLPISDPGASIESLRHHWKNSSASSIQLRELGPLLSDYQRLIANHYIGNFAPESALSTCTPLGEGIQHQFFHLKSASQLRLGDVPRLLSEYKELIPN >DRNTG_27871.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:10351666:10356015:1 gene:DRNTG_27871 transcript:DRNTG_27871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWIRADGSDFGGNLPIPRSGHTAVMVGKSKVVVFGGFADKRFLDDIAVYDIENKLWFTPQCTGSGSDEQHGPPPRAFHVSVSIDCNLFVFGGRSGGKRLGDFWMLDTDIWQWSELTSFGDLPSPREFAAASAIGNRKIVMYGGWDGKKWLSDVYILDTISLEWTELSVSGSAPPPRCGHSVTMVEKRLLVYGGRGGGGLIMGDLWALKGLIEEDNETPGWTQLKLPGQPPSPRCGHTVTSGGHYLLLFGGHGTGGWLSRYDIYHNDCVILDRVSVQWKRLLTTNEPPPVRAYHSMTCIGARYLLFGGFDGKTTFGDLWWLVPEDDPIAKRLPSSITPVPDIKQTVTRSDLSQSIHKESEMEESPIVELQKRIGVPISSSIPHMNIVDEVDDKELLELSSRLPISDPGASIESLRHHWKNSSASSIQLRELGPLLSDYQRLIANHYIGNFAPESALSTCTPLGEGIQHQFFHLKSASQLRLGDVPRLLSEYKELIPN >DRNTG_08026.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30173638:30175902:1 gene:DRNTG_08026 transcript:DRNTG_08026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIESTPHPAPLKQEHDGVSIFTKCKRPVILQFEDLVYKIKNKDKETKKTSKNNNWRTILKEISGEVLPGEMLAMMGPSGSGKTTLLTTLAGRRSSSSIIMTGSITYNNTPFSSSLNRLTGFVTQDDILYPHLTVFETLLYTALLRLPKSINKQQKVSHVETIITQFGLVNCRDNIIGDHYLRGISGGERKRVSIGQEVLIDPSLLFLDEPTSGLDSTTAQRIVSVVGELANQGKTVVMTIHQPSSSLFYMFHKVLILCEGCPLYFGKQSEVMNYFSSIGYSPSVAMNPADFLLDIANGIVMGQLHGSEVEVKEELVNAYNENLREKIKEEIRGKGEEKRLEEKMGVLKSNNQKWNTSWWDQFTVLIRRDLKERRHEAFSSFTVFQVLILSFISGALWWKSKSLQDQTGLLFFVTGFWGSFPLFQAIFKFPQERLMLMKERRSGMYRLSSYFMARIIVDLPMELILPTVSTAIVYWMCGLKPMPTNFFIYLSVVFLCALVTQGVGFAIGAAVMDFKVATMLASVIMLTFLLAGGFFVQHVPIFIAWIKYISYIYYTFKLLLGSQYKEYDTYECGNKMMSCRVDEIPIIKVVGLDHQGLALGVMLFMFMFYRLIAYVALMRVAVAE >DRNTG_03334.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:15133675:15140526:1 gene:DRNTG_03334 transcript:DRNTG_03334.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVVDAEYLKEIEKARRDLRALISSKNCAPIMLRLAWHDAGTYDVNTKTGGPNGSIRCEEEYSHGSNAGLKIAIDLCETVKAKHPKITYADLYQLAGVVAVEVTGGPTIDFVPGRKDSTVCPREGRLPDAKKGSQHLRDIFYRMGLTDKDIVALSGGHTLGRAHSERSGFDGPWTKEPLKFDNSYFVELLKGETEGLLKLPTDKTLLEDPVFRKYVELYAKDEDTFFKDYAESHKKLSELGFTPPKSISASKSDVKSCTVLAQSAFGVAVAAAVVVLSYVYEISKKGK >DRNTG_25262.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19355329:19356742:-1 gene:DRNTG_25262 transcript:DRNTG_25262.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATKLQFSSTTCVSAFFWHPIPSWPPLPPHPWHKPFPFPPKPYPHPPYYSPPVYQPPPTPEPVYKPPPSPTPEYKPPPTPVPVYKPPPTPVPVYKPPPTPVPVYKPPPTPVPVYKPPPVPVYKPPPVKKPCPPPVPVYKPPPVKKPCPPPVPVYKPPPVEKPCPPVYNKPLPPPVPKYPPVYNKPLPPPVPKYPPVYKKPLPPLPKFPPHPKHKKYPPSYGWPPLPPFSYHHPLPPHSGN >DRNTG_25262.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19355329:19356742:-1 gene:DRNTG_25262 transcript:DRNTG_25262.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATKLQFSSTTCVSAFFWHPIPSWPPLPPHPWHKPFPFPPKPYPHPPYYSPPVYQPPPTPEPVYKPPPSPTPEYKPPPTPVPVYKPPPTPVPVYKPPPTPVPVYKPPPTPVPVYKPPPVPVYKPPPVKKPCPPPVPVYKPPPVKKPCPPPVPVYKPPPVEKPCPPVYNKPLPPPVPKYPPVYNKPLPPPVPKYPPVYKKPLPPPFPKYPPIYKKPLPPLPKYPPIYKKPLPPLPPLPKYPPIYKKPLPPLPKFPPHPKHKKYPPSYGWPPLPPFSYHHPLPPHSGN >DRNTG_25262.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19355329:19356742:-1 gene:DRNTG_25262 transcript:DRNTG_25262.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATKLQFSSTTCVSAFFWHPIPSWPPLPPHPWHKPFPFPPKPYPHPPYYSPPVYQPPPTPEPVYKPPPSPTPEYKPPPTPVPVYKPPPTPVPVYKPPPTPVPVYKPPPTPVPVYKPPPVPVYKPPPVKKPCPPPVPVYKPPPVKKPCPPPVPVYKPPPVEKPCPPVYNKPLPPPVPKYPPVYNKPLPPPVPKYPPVYKKPLPPPFPKYPPIYKKPLPPLPKYPPIYKKPLPPLPKVPSHLQEAIASIATIAKVPSHLQEAIATIAQVPSTSQA >DRNTG_25262.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19355329:19356742:-1 gene:DRNTG_25262 transcript:DRNTG_25262.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATKLQFSSTTCVSAFFWHPIPSWPPLPPHPWHKPFPFPPKPYPHPPYYSPPVYQPPPTPEPVYKPPPSPTPEYKPPPTPVPVYKPPPTPVPVYKPPPTPVPVYKPPPTPVPVYKPPPVPVYKPPPVKKPCPPPVPVYKPPPVKKPCPPPVPVYKPPPVEKPCPPVYNKPLPPPVPKYPPVYNKPLPPPVPKYPPVYKKPLPPLPPLPKYPPIYKKPLPPLPKVPSHLQEAIASIATIAKVPSHLQEAIATIAQVPSTSQA >DRNTG_22033.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2462763:2463380:1 gene:DRNTG_22033 transcript:DRNTG_22033.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELESEYLNLLQNLYNKPVIPVGLLQPSSSSSSSNTKTSDVKNDPILQWLDKQEPKSVVYIAFGSEATLSIELLHELALGLEMSEFHFLWALRKPVDFEGEVLPDGFEERTKERGVVTLGWVPQLDVLGHVSVGGFLTHSGWSSVIEALQFGHPLVLLPIFADQDINARMVE >DRNTG_27218.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:850028:853104:-1 gene:DRNTG_27218 transcript:DRNTG_27218.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYLNISISKNIVPDEIKGREIHHSFPMTLFQPHSVRLNHHTLKLLKYWTWQFVIIRPVCSVLMIVLELLGWYTSWISWTFTIILNISVSLALYALVIFYHVFAKELEPHKPLAKFLCIKGIVFFCFWQGLALEGLTAAGVIRSHHFWLDVEHIQEALQNVMVILEMVVFSIVQRYAYSAIPYTGASVDKPKHE >DRNTG_27218.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:850028:853104:-1 gene:DRNTG_27218 transcript:DRNTG_27218.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIINMNPRTFTLLVTGSCVMLSVHFTIRLLSQHLFHWKNPKEQKAILVIILMAPLYAIVSFVGLLDIQGSEAFFTFLESVKECYEALVIAKFLALMYSYLNISISKNIVPDEIKGREIHHSFPMTLFQPHSVRLNHHTLKLLKYWTWQFVIIRPVCSVLMIVLELLGWYTSWISWTFTIILNISVSLALYALVIFYHVFAKELEPHKPLAKFLCIKGIVFFCFWQGLALEGLTAAGVIRSHHFWLDVEHIQEALQNVMVILEMVVFSIVQRYAYSAIPYTGASVDKPKHE >DRNTG_19412.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:6124256:6125897:1 gene:DRNTG_19412 transcript:DRNTG_19412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNSGNLVNGRCYLAPVVDTINQLKKMMTQRHWDILRRTPFSHLMDIEPIVQERSALDALMQMFDERSNTFHLGDSFLQFKAEDVSLILGLQCDGTAIDFKRKKECSVFEEEYFSKGVDQNRDCLVRSLMNMVVKRESKKEESFVKLLLVYILGFLLFPTTSCSSPAWLSYYVDNLSTIGNGKKIHFGRTPRILCYGVGSYKKQAAVSALIDSLEGKKFVPLMADRESEIGLLGYGKVQRNNTLMVSETSDAMKSPKYVRTRRRKLDGKMKGEPTQTKGQYSRQSDSTKLESGQQSLSSSPVRKATKDENYETLMEELIALRAKVSVLERKEDVINRNEEQPPHDDPSEPPAKKFKKVASKPRVPKPPLADVSEASQYEKPATPATRAMKSSCPTLTPSTKNVST >DRNTG_22817.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14881439:14884088:-1 gene:DRNTG_22817 transcript:DRNTG_22817.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFWGILFLILHLPWARTARVLNTTSTPLEAELEECKKEGNSSISLRGEQE >DRNTG_03095.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:496929:498582:-1 gene:DRNTG_03095 transcript:DRNTG_03095.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAKLQHRNLVRLLGCCIEGEERILVYEYMPNKSLDFFLFGKSKDQVLEWPTRFKIIMGIARGLLYLHQDSRLRVIHRDLKASNILLDEEMNPKISDFGMARIFGGDEAEGNTRKIVGTYGYMSPEYAMHGVFSQKSDVFSFGVLVLEIITGKKNRGLYLADRHTNLLDRVWSSWKEGNSLQVVDESIKSSYDMNEVIRCINVGLLCVQNHTEDRPLMASVVLMLSGDSVLSTYPKEPGVPLRRVPHQSESSSSKQDTSSTNEMSMTLFEGR >DRNTG_03095.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:496929:498027:-1 gene:DRNTG_03095 transcript:DRNTG_03095.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGIARGLLYLHQDSRLRVIHRDLKASNILLDEEMNPKISDFGMARIFGGDEAEGNTRKIVGTYGYMSPEYAMHGVFSQKSDVFSFGVLVLEIITGKKNRGLYLADRHTNLLDRVWSSWKEGNSLQVVDESIKSSYDMNEVIRCINVGLLCVQNHTEDRPLMASVVLMLSGDSVLSTYPKEPGVPLRRVPHQSESSSSKQDTSSTNEMSMTLFEGR >DRNTG_03095.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:496929:501231:-1 gene:DRNTG_03095 transcript:DRNTG_03095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFTGMHPSLILLFISFSFSIAIRDTVTPNNPLPDNETLISSDGSFSLGFFRPSSISSNLYLGLWYSNITPKTIVWVANRKDPVINSTAVLSISTNGNLLITNQSSTIIWSSGVTNVTNPVAQLLDTGNLVVRSDDDGQSYAWQGFDHPTDTLIAGMKLGVDFTKGLNRTLTAWTSSTDPSPSQYYVMMDIRGSPELVIGEGSEKMWRSGPWNGEGYSGIPETLTYSGFNFNFTNNKQEITYSFTTNQSLVSRVTVNASGIVQRWLLVDSSGLWNLMWYTPSDQCDFSPPCGPYATCNPNNSPKCDCIQGFKPKSPDKWVFRDATDGCVRKTPLDCKNGTDGFLTVPKTKLADTWNVTVDTSLSLVECKAKCLNTCACNAYAPSDVRNGGSGCIIWTSELTDLRVFVSDAYGQDLYVRMTAADLGSSSSTNSRKSNSHKWLIIIIVLALLILILACIGGLLRRRRKRRQTRGVLAVTTSFHDRSNRRVDNLELSGGNDLELPLLDLGTVQSATDHFSNANKLGEGGFGPVYKGRLRNGQEIAVKRLSKSSVQGLVEFKNEVMLIAKLQHRNLVRLLGCCIEGEERILVYEYMPNKSLDFFLFGKSKDQVLEWPTRFKIIMGIARGLLYLHQDSRLRVIHRDLKASNILLDEEMNPKISDFGMARIFGGDEAEGNTRKIVGTYGYMSPEYAMHGVFSQKSDVFSFGVLVLEIITGKKNRGLYLADRHTNLLDRVWSSWKEGNSLQVVDESIKSSYDMNEVIRCINVGLLCVQNHTEDRPLMASVVLMLSGDSVLSTYPKEPGVPLRRVPHQSESSSSKQDTSSTNEMSMTLFEGR >DRNTG_03095.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:496929:498316:-1 gene:DRNTG_03095 transcript:DRNTG_03095.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAKLQHRNLVRLLGCCIEGEERILVYEYMPNKSLDFFLFGKSKDQVLEWPTRFKIIMGIARGLLYLHQDSRLRVIHRDLKASNILLDEEMNPKISDFGMARIFGGDEAEGNTRKIVGTYGYMSPEYAMHGVFSQKSDVFSFGVLVLEIITGKKNRGLYLADRHTNLLDRVWSSWKEGNSLQVVDESIKSSYDMNEVIRCINVGLLCVQNHTEDRPLMASVVLMLSGDSVLSTYPKEPGVPLRRVPHQSESSSSKQDTSSTNEMSMTLFEGR >DRNTG_03095.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:498373:498582:-1 gene:DRNTG_03095 transcript:DRNTG_03095.5 gene_biotype:protein_coding transcript_biotype:protein_coding FLDSSFAGVLAVTTSFHDRSNRRVDNLELSGGNDLELPLLDLGTVQSATDHFSNANKLGEGGFGPVYKVR >DRNTG_27371.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001391.1:65562:67263:1 gene:DRNTG_27371 transcript:DRNTG_27371.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVEEITSDYSHLQSQRSTLRTQQSISSMSPEVMSKLEFLEKAYDEQKKQNQYIISLLESRGIQVNLEITPRTSHAPARTRESASHGPHTSEDVEQPQPVDDIATK >DRNTG_27371.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001391.1:63105:67263:1 gene:DRNTG_27371 transcript:DRNTG_27371.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTLVAPLVSLPLAKQISPAELRFGPALVGFMVDGMASKILCCEEVDRRRWSYMADVEPSGSLRTGSSLKAVAIQNPVAPIDELVSFIRSYVVPEGFPDSVTPSYVPYMMWRALKHFFGGAMGVFTTQTLLSSVGVSRNQATSGAVAINWILKLRFAGDLLMELGAGVELATAVVPHLFLTLACAANVAENVAAVTSTSTHTPIYKAFARGENIGDVTAKGESVGNIADLEKFVKARQDVLAREGQGVQIDDVTLWWDIFGVAKNRCYAMGNLVEEITSDYSHLQSQRSTLRTQQSISSMSPEVMSKLEFLEKAYDEQKKQNQYIISLLESRGIQVNLEITPRTSHAPARTRESASHGPHTSEDVEQPQPVDDIATK >DRNTG_12874.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22893831:22895249:1 gene:DRNTG_12874 transcript:DRNTG_12874.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIASLMLMVVIAVSSITAFVSSFALHDHYHRKLLVGSVGLVASVGMYGSPLVAVKRVIKTKSVEFMPFYLSFFSCLASSLWLAYGFLGHDLFLAAPNILGSPMGLLQIILYCMYRKHKGDHEETDNKMDLEKNGVKFGSLEQDGNEKI >DRNTG_12874.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22893831:22895249:1 gene:DRNTG_12874 transcript:DRNTG_12874.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVLLHFIVGVMGNAAALLLYTAPILTFKRVIAKRSTEEFSCIPYIIALFNCLLYTWYGFPVVSSGWENFLLITINGLGIIFELSFIFIYIWFSSAQNKKIASLMLMVVIAVSSITAFVSSFALHDHYHRKLLVGSVGLVASVGMYGSPLVAVKRVIKTKSVEFMPFYLSFFSCLASSLWLAYGFLGHDLFLAAPNILGSPMGLLQIILYCMYRKHKGDHEETDNKMDLEKNGVKFGSLEQDGNEKI >DRNTG_13917.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19703422:19704102:1 gene:DRNTG_13917 transcript:DRNTG_13917.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRNKKIDDTGQIIRKNQRSSIEGNDHHVYNLNQLPDSCISHEFPAIDRFLREVISSNQNHYSSRELDEASKQFQMFHEQRETSHAHEPDLSLSLSIAPRQEKRQRQWVEEEEEEEEEEDIGLSLSLNTPSKQDRHVPLYRSSSHHTPG >DRNTG_13917.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19702665:19704102:1 gene:DRNTG_13917 transcript:DRNTG_13917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFESSPYDDDCNNKDYEYEDEDEENLRCGASSSNSTIEEISEKKMSSGSVRQYIRSKAPRLRWTPELHLCFVRAVELLGGQERATPKLVLQLMNVQGLSISHVKSHLQMYRNKKIDDTGQIIRKNQRSSIEGNDHHVYNLNQLPDSCISHEFPAIDRFLREVISSNQNHYSSRELDEASKQFQMFHEQRETSHAHEPDLSLSLSIAPRQEKRQRQWVEEEEEEEEEEDIGLSLSLNTPSKQDRHVPLYRSSSHHTPG >DRNTG_34702.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002183.1:8279:9532:-1 gene:DRNTG_34702 transcript:DRNTG_34702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIKEIIETQSAVHRCTASVEFPETQRPYGLYPPTVNDKEMYTHVKMVGEKLVGEVNFQRSIPVMGAEDFAFYSQIIPSAVIQLGIQK >DRNTG_11610.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7809006:7811109:-1 gene:DRNTG_11610 transcript:DRNTG_11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSVKLLRTSEFLQLSFLLRVLKQKRKERKALDQTQEILLLLERRL >DRNTG_08421.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31039068:31042226:-1 gene:DRNTG_08421 transcript:DRNTG_08421.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYVPKPVAKELPFQNSQQNSSTTNIAASSDVTVGPDFGGPGIASEYGDDNRPDRRSKTHPSRRQQHSDGLARALRSSFQEGSVSSYPANPSGKHYDQQHQLSKFDSGSEEQFKCDDPMGNFHNPASIEALPVPVLKDHSVINKQRYQRYKPAGGSGHAAPLNHNKVPHNGSRDKRDDPSSDLQLNEVCATDALGNASPHFGSENKKSHWQPKSQHQSNKHGQRGTGNQRTAPQSGQFGNELHPQSIGHHPSHFESHNPCTVNVETGNAIKQEAKQEVKASGELPREQIHLSNYPTNVELPPQVDSQQEQPVSTTFRRHGTNSGRFSRGQESIHRGRDVQQDAGRQNSHLSRDKLNNSRFEYHQTGYHNKPRDSSQYDSAGPETQEVPRAPGPRHRGRGQSNSKRGGNFYGRNSGAAVGASHYYNSGE >DRNTG_08421.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31039068:31042226:-1 gene:DRNTG_08421 transcript:DRNTG_08421.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERYVPKPVAKELPFQNSQQNSSTTNIAASSDVTVGPDFGGPGIASEYGDDNRPDRRSKTHPSRRQQHSDGLARALRSSFQEGSVSSYPANPSGKHYDQQHQLSKFDSGSEEQFKCDDPMGNFHNPASIEALPVPVLKDHSVINKQRYQRYKPAGGSGHAAPLNHNKVPHNGSRDKRDDPSSDLQLNEVCATDALGNASPHFGSENKKSHWQPKSQHQSNKHGQRGTGNQRTAPQSGQFGNELHPQSIGHHPSHFESHNPCTVNVETGNAIKQEAKQEVKASGELPREQIHLSNYPTNVELPPQVDSQQEQPVSTTFRRHGTNSGRFSRGQESIHRGRDVQQDAGRQNSHLSRDKLNNSRFEYHQTGYHNKPRDSSQYDSAGPETQEVPRAPGPRHRGRGQSNSKRGGNFYGRNSGAAVGASHYYNSGE >DRNTG_10222.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000432.1:39158:39524:1 gene:DRNTG_10222 transcript:DRNTG_10222.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEESRKNRRLISWEDFGRAASQQRHHHHHHIRGGARGVVAVPVPRRLQ >DRNTG_27424.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28587634:28591340:-1 gene:DRNTG_27424 transcript:DRNTG_27424.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILPRGGGASRREKPKGRYPGLSHNHGLSKEKYQEIYEAFQLFDTDGSGTIDAKELHVAMRALGFEMTEEQIKEMIADVDKDGSGAIDFNEFLHMMTAKMGERDTKEELMKAFRIIDQDKNGRISPDDIMRIAKELGESFTAQEIKEMIDAADRNRDGEVDVDEFFNVMMKTSYGDY >DRNTG_20925.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:9513218:9514315:1 gene:DRNTG_20925 transcript:DRNTG_20925.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADDVMDAFICIIQNSLTIVPYRYKKRASITQPLALFMSMQEDAHDTTIAMIGDATRNMHDVEIVILPIIMNGHFHVVVLDNDKQEYIHYSSCQRKEYDKDALEMRNLFNLCIDMEFGESVTVKYPLVQESVDCAVYVMWFIEQLLADEKLQLPQTDVPYLRLNYVSRILKEGRAADITARGECSKVGL >DRNTG_17696.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28359678:28366441:-1 gene:DRNTG_17696 transcript:DRNTG_17696.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKNEGHIFRLESQNAFPDPEFIGAAIVPLQQRIKKVPLLEYSFQLSKCCKRYPLDDLPVSRDHMNFQFSHDLPYRGQILVKSNETNVTYGSYILRRLKVDWRMRGLKEKVYASVNLERALIDCGNHEILVEEYRIDRKSRSARAWSKRNLRRGDCVPIINKLEVKMPSQCPCTRSGAVAPTVEPTSGTTSRHELIASLVHAPGAHELMPQTPVPELALSLEAKQTFKAYWDSQGRQPTYQEFRDFMRFWGIQFPKPSLSIVGPVTVCFCPNSLIQLGCGPFDGTSDSMVTKECLKRVIATFNDMALEEELTKPQDFQWEFDEEYYNRFHKDQKRQEFMKLI >DRNTG_31555.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20603297:20603577:-1 gene:DRNTG_31555 transcript:DRNTG_31555.3 gene_biotype:protein_coding transcript_biotype:protein_coding LTLRSNLAASCSKFQPQRSSPLEPHSTSPLSAKPLPAPTTTSLSAFFSIEASHRRSLELPITDKIEAFCEENAKLRHR >DRNTG_31555.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20603297:20603702:-1 gene:DRNTG_31555 transcript:DRNTG_31555.2 gene_biotype:protein_coding transcript_biotype:protein_coding SSPLALTLRSNLAASCSKFQPQRSSPLEPHSTSPLSAKPLPAPTTTSLSAFFSIEASHRRSLELPITDKIEAFCEENAKLRHR >DRNTG_31555.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:20603065:20603577:-1 gene:DRNTG_31555 transcript:DRNTG_31555.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLIRHHRCRRSHCRHQRRHPSRPSSRSRLPIADPLSFPSPTRSKLFAKRTPSSVIVKNDFAKLLSKITITGGTPQFMPLISTLPLSCISFFVRSMYDIMEITLQFDICASIMLDFAAVCV >DRNTG_28849.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001451.1:76579:77185:1 gene:DRNTG_28849 transcript:DRNTG_28849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECVPTSSHSSEHIYLEGTKGDHARAFRLMHIRTRAPPTCTSLKKEVIHDVNVFPFALHRRNRPRNKRNRESTRACGNYPRPCGNSTGECDIIHARAVARFQPYLKPISVPISAFSSPSFPQLVRGLRLGFRGVLAKVLGKFYGSDIMIPLGRRLVGELRSRRILYRTKESLDDE >DRNTG_27081.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2944337:2954343:-1 gene:DRNTG_27081 transcript:DRNTG_27081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYLKMKGDYHRFDKISTREMDVINLTTHPIRLGLALNFLVFYYEILNSPNRACNLAKQVQVASDETARKSFATTMVCGWQ >DRNTG_20540.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001128.1:20036:22469:1 gene:DRNTG_20540 transcript:DRNTG_20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITRVQLGAGLGLEGKLETLNFSSLPSLRVLNLSNNHLHGSIPAAISSLSKLTILDLGVNSLTGIIPSEFGNMTRPMTLSLSFNQISGSIPPSFGKLWNLNWLTISSNFLVCSIPPVLGNLTKLNFLYLWRNNLTGSIPREIGHLVNLKKFDVSSNNIRGSIPVGIGNLTELSWLNLYDNQLYGSIPSHIGNLLKLEAFSLQSNNIKGSIPGEIVNLVNVRDLAISDNRITGPIPQSIGNLTKFEPLYRKKSSANDTRKEFDEHFFSSWGVNHGKEAYKAIIRSTENFDEKYQIGTGACSIVYKVTISSGVTDAIKKIKEEEEAQVNEQAFHNEIQALIEIWHRNIVRFYGFCSTDKISFLAYEYMERKSLGATLRSEQGEMELDWIKRVNIVRDIAQALSYLHHDCDPPIVIET >DRNTG_02561.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19514970:19515286:1 gene:DRNTG_02561 transcript:DRNTG_02561.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTSSKGNTLKSYYKVLIPLGQIKRANPSENGSRPNQKYIHIVTEDEFEFWFMRFLSYQISFNYLQHAITEVQMSL >DRNTG_31477.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1969110:1970383:-1 gene:DRNTG_31477 transcript:DRNTG_31477.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFFSRLGSRKGHRRAQSAIETSDTSPLPRNAEVVEESVVDCAAAAAVHGIEICLEFKPVEHPMEPLEQDHPVQCPQLDTSLLNVCSF >DRNTG_31477.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1969110:1970383:-1 gene:DRNTG_31477 transcript:DRNTG_31477.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFFSRLGSRKGHRRAQSAIETSDTSPLPRNAEVVEESVVDCAAAAAVHGIEICLEFKPVEHPMEPLEQDHPVQ >DRNTG_31477.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1969110:1970383:-1 gene:DRNTG_31477 transcript:DRNTG_31477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFFSRLGSRKGHRRAQSAIETSDTSPLPRNAEVVEESVVDCAAAAAVHGIEICLEFKPVEHPMEPLEQDHPVQCPQLDTSLLNDGRVFKERLSSVNAKEEGSHSQQEAVVGENI >DRNTG_28879.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001453.1:53989:56040:1 gene:DRNTG_28879 transcript:DRNTG_28879.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMQFKKLIVLLWILLMVDYSSASSNDTDDHSFIFSNGFSEANFSLDGAASITPNGLLKLTMDEQQQKGHAFFPKRIPFRQSPAGNIFSFSTTFIFAIISPYANLSGHGIVFFISPTTNFSTANPSQFFGLFNPNNNGNSSNNIFAVELDTIQNSELHDIDDDHVGIDINGVISDMSHHAGYFDNLTGKFHNLSLYSGDPMQVWIDYDGGEMKLNVTLAPIQIPKPKRPLLSLVVNISSILLNSMYVGFSSSTGTVMASHYILGWSFKMNGLASEALNISTLPSLPIQATIKEKPKLMTIWLPVAAVSLVLLISMAVIVILIMVRKRRMKFEELVEDWEQQYGPQRLSYKALFKATKGFKDKQLLGTGGFGKVYKGTLHKSDTEVAVKRVSNESRQGMREFIAEIASLGRLRHRNIVQLLGYCRTKSELLLVYECMPNGSLDKSLHCRDKPALNWSQRLHIIKGVASGLEYLHEDCEQVVIHRDIKTNNVLLDSELNGRLGDFGLARLYDHGTDFQTTHVVGTMGYLAPELARTGRATTLTDVFAFGIFILEVVCGKKPIEPKSQSLLVDWVVENWQKGAILDTRDPRLEEESYIVDDLELVLELGLLCSHPMPTLRPTMRQVVQYLNGDAPLPQLSFAFLSSRGFDEIVLSYPVSSSTPVRSGDDQFETLQKQAEDSVVYIC >DRNTG_19485.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16536368:16539462:-1 gene:DRNTG_19485 transcript:DRNTG_19485.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVLIALLRNCGPFAKDLSFYPSFLSWNHIQCSDDGKLHLYMEFSNYTGYGERSIFVPKHSLVAEGFWDHDKNRLCMVACRVLDVEGSGGAASVSDCSIRVCLWIPAVLSIESSGSVVGQLWSGNDEKDLGYFDMVSFWSSEASFDSTPDLRYEYTKLDSVNKSCKAFNLPKSRKMYPDGESVGDMRFDFSLRDAKGRRAWGYANPLFIGQTFYGRSYMVSLVAPTPPPSLVDLNHSLLNVSYRISYSLPNSSYDGSEQTEILAEGVYNAATGMLCMVGCRYLLASVNEKQVNGSKDCEILINMQLSPLNPEAGERLYGSIKSSRKESDPLYFEPLETRLYVMYNSQSVESIWRMDMEITMVLISLTLLCIFIGFQLFYINKNPDVLPSISVTMLVILTLGHMIPLVLNFEALFMRRNTQNVLLWSGGWLEANEVIVRLITMVAFLMQFRFLQVVWSARYPEESKKALWVAERKALQLCLPLYFIGGLIAWFVYSNQSRSQPQRQDFNSANHRSIWEDLISYAGLILDGFLLPQILLNIFWNSKDKALSPVFYVGTTIVRALPHLYDAYRAHHYVPYFDSSYIYANPKNDFFSSTWDIIIPCEGVLLAVLIFLQQRFGGRCILPARFRQSGGYEIVSSISL >DRNTG_19485.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16536408:16539462:-1 gene:DRNTG_19485 transcript:DRNTG_19485.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVLIALLRNCGPFAKDLSFYPSFLSWNHIQCSDDGKLHLYMEFSNYTGYGERSIFVPKHSLVAEGFWDHDKNRLCMVACRVLDVEGSGGAASVSDCSIRVCLWIPAVLSIESSGSVVGQLWSGNDEKDLGYFDMVSFWSSEASFDSTPDLRYEYTKLDSVNKSCKAFNLPKSRKMYPDGESVGDMRFDFSLRDAKGRRAWGYANPLFIGQTFYGRSYMVSLVAPTPPPSLVDLNHSLLNVSYRISYSLPNSSYDGSEQTEILAEGVYNAATGMLCMVGCRYLLASVNEKQVNGSKDCEILINMQLSPLNPEAGERLYGSIKSSRKESDPLYFEPLETRLYVMYNSQSVESIWRMDMEITMVLISLTLLCIFIGFQLFYINKNPDVLPSISVTMLVILTLGHMIPLVLNFEALFMRRNTQNVLLWSGGWLEANEVIVRLITMVAFLMQFRFLQVVWSARYPEESKKALWVAERKALQLCLPLYFIGGLIAWFVYSNQSRSQPQRQDFNSANHRSIWEDLISYAGLILDGFLLPQILLNIFWNSKDKALSPVFYVGTTIVRALPHLYDAYRAHHYVPYFDSSYIYANPKNDFFSSTWDIIIPCEGVLLAVLIFLQQRFGGRCILPARFRQSGGYEIVSSISL >DRNTG_19485.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16536433:16539587:-1 gene:DRNTG_19485 transcript:DRNTG_19485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVLIALLRNCGPFAKDLSFYPSFLSWNHIQCSDDGKLHLYMEFSNYTGYGERSIFVPKHSLVAEGFWDHDKNRLCMVACRVLDVEGSGGAASVSDCSIRVCLWIPAVLSIESSGSVVGQLWSGNDEKDLGYFDMVSFWSSEASFDSTPDLRYEYTKLDSVNKSCKAFNLPKSRKMYPDGESVGDMRFDFSLRDAKGRRAWGYANPLFIGQTFYGRSYMVSLVAPTPPPSLVDLNHSLLNVSYRISYSLPNSSYDGSEQTEILAEGVYNAATGMLCMVGCRYLLASVNEKQVNGSKDCEILINMQLSPLNPEAGERLYGSIKSSRKESDPLYFEPLETRLYVMYNSQSVESIWRMDMEITMVLISLTLLCIFIGFQLFYINKNPDVLPSISVTMLVILTLGHMIPLVLNFEALFMRRNTQNVLLWSGGWLEANEVIVRLITMVAFLMQFRFLQVVWSARYPEESKKALWVAERKALQLCLPLYFIGGLIAWFVYSNQSRSQPQRQDFNSANHRSIWEDLISYAGLILDGFLLPQILLNIFWNSKDKALSPVFYVGTTIVRALPHLYDAYRAHHYVPYFDSSYIYANPKNDFFSSTWDIIIPCEGVLLAVLIFLQQRFGGRCILPARFRQSGGYEIVSSISL >DRNTG_19485.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16536433:16539462:-1 gene:DRNTG_19485 transcript:DRNTG_19485.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVLIALLRNCGPFAKDLSFYPSFLSWNHIQCSDDGKLHLYMEFSNYTGYGERSIFVPKHSLVAEGFWDHDKNRLCMVACRVLDVEGSGGAASVSDCSIRVCLWIPAVLSIESSGSVVGQLWSGNDEKDLGYFDMVSFWSSEASFDSTPDLRYEYTKLDSVNKSCKAFNLPKSRKMYPDGESVGDMRFDFSLRDAKGRRAWGYANPLFIGQTFYGRSYMVSLVAPTPPPSLVDLNHSLLNVSYRISYSLPNSSYDGSEQTEILAEGVYNAATGMLCMVGCRYLLASVNEKQVNGSKDCEILINMQLSPLNPEAGERLYGSIKSSRKESDPLYFEPLETRLYVMYNSQSVESIWRMDMEITMVLISLTLLCIFIGFQLFYINKNPDVLPSISVTMLVILTLGHMIPLVLNFEALFMRRNTQNVLLWSGGWLEANEVIVRLITMVAFLMQFRFLQVVWSARYPEESKKALWVAERKALQLCLPLYFIGGLIAWFVYSNQSRSQPQRQDFNSANHRSIWEDLISYAGLILDGFLLPQILLNIFWNSKDKALSPVFYVGTTIVRALPHLYDAYRAHHYVPYFDSSYIYANPKNDFFSSTWDIIIPCEGVLLAVLIFLQQRFGGRCILPARFRQSGGYEIVSSISL >DRNTG_12430.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:20293837:20295292:1 gene:DRNTG_12430 transcript:DRNTG_12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPSLLFLHQPPTPTPLTAFPSHLVPIWEFVIHHLRSPISSLLHSLSSSSPLILIHDPLMSFVIDDAASIPSIHTFKFQCVPANFILSYLYESTTKPWSDNNILFSPLPDTVPEEVSTFSKKHYYDTPCEGMLFNTSLAIEELFIDLLAKQGDFVGKKIFAVGPVSPLTTVTDYKSGPRHPCLEWLDKQPPKSVVYVAFGSSTTIPIEQIEQIALGLEKSKQKFIWVVRDADRGDVSKEVELEMTKSLLLDFEKRVEGMGMVVRGWAPQLHILAHGSTAAFMSHCGWNSCMEGMSMGVVMLTWPIHSDQPKNALLITEYLKVGVMVREWEKRDEVLKWEKVDEAIRKVMVDEEGLEIRRRAKELGEKIRNGIKEGGSSYEQLLAFINYISSFK >DRNTG_32286.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001817.1:12354:14516:-1 gene:DRNTG_32286 transcript:DRNTG_32286.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMILKSGSIAALNRLESGIHTSLWIFHTPLPGGLGVRTPSPTRVDGLAGRPAQPTPSHPTWVSDSPTRQVDSSTSPELTNLIFLECSL >DRNTG_22376.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6165353:6167593:-1 gene:DRNTG_22376 transcript:DRNTG_22376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHSSRRSNNTKYYEILGVSQNASQDELKKAYKKAAIKNHLDKGNDPEKVVSRCSLPQLVPSDVVEVEV >DRNTG_22376.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6165794:6167593:-1 gene:DRNTG_22376 transcript:DRNTG_22376.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHSSRRSNNTKYYEILGVSQNASQDELKKAYKKAAIKNHLDKGNDPEKVVSRCSLPQLVPSDVVEVEV >DRNTG_16128.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14585013:14585436:-1 gene:DRNTG_16128 transcript:DRNTG_16128.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCTNTVACTVVEIIHARVEIPHGRVEHPRPCSRPIPAIFKADSAPILVGRGASVEAYPIPDEGILGRRVEDFPKDH >DRNTG_31263.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4375998:4377924:1 gene:DRNTG_31263 transcript:DRNTG_31263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCNKTVHLFFFLPTSTSMCVAFNILSEGMKPKSNSTSKIPIGSRRSMIGGGGNGGNIRGRINNEAVRQTKSRHDSLLK >DRNTG_31263.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4376938:4377981:1 gene:DRNTG_31263 transcript:DRNTG_31263.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKSNSTSKIPIGSRRSMIGGGGNGGNIRGRINNEAVRQTKSRHDSLLK >DRNTG_31263.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4376938:4377924:1 gene:DRNTG_31263 transcript:DRNTG_31263.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKSNSTSKIPIGSRRSMIGGGGNGGNIRGRINNEAVRQTKSRHDSLLK >DRNTG_31263.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4376908:4377924:1 gene:DRNTG_31263 transcript:DRNTG_31263.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKSNSTSKIPIGSRRSMIGGGGNGGNIRGRINNEAVRQTKSRHDSLLK >DRNTG_20077.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001092.1:3895:8220:1 gene:DRNTG_20077 transcript:DRNTG_20077.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGTKTQAIFDTVFAKLVDAAQPIPGFRRVKGGKTPDIPKDILLQILGPSKVNFQSIKKIINSTVAEFVEKEDLKVTKSLRVEQSFEELEAIFIPGKEFGFDAVIQLQETRPTKS >DRNTG_20077.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001092.1:3895:8220:1 gene:DRNTG_20077 transcript:DRNTG_20077.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAGKSLFKGFSPEIIGQKPRPPWDTRIWFKMKFHLCVTKNGAEGDDRRFGSVSNRPSLLVLKNCDRSHKYFNAIQAISQENADVSTSSFEDFVVSSNTYQDGHVKVRIMVDGTKTQAIFDTVFAKLVDAAQPIPGFRRVKGGKTPDIPKDILLQILGPSKVNFQSIKKIINSTVAEFVEKEDLKVTKSLRVEQSFEELEAIFIPGKEFGFDAVIQLQETRPTKS >DRNTG_20077.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001092.1:3895:8220:1 gene:DRNTG_20077 transcript:DRNTG_20077.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGTKTQAIFDTVFAKLVDAAQPIPGFRRVKGGKTPDIPKDILLQILGPSKVNFQSIKKIINSTVAEFVEKEDLKVTKSLRVEQSFEELEAIFIPGKEFGFDAVIQLQETRPTKS >DRNTG_30756.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30551441:30561410:1 gene:DRNTG_30756 transcript:DRNTG_30756.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIDRPRNVIMSAHSSSMSTEKSDPEEKEEKSDVYSKNMTQAMGAVLTYRHELGMNYNFVLPDLIVGSCLQTPADADKLREIGVKTIFCLQQDSDLEYFGVDIGAIREYANACDDIAHYRAEIRDFDAFDLRMRLPAVLSKLHKVIKQNGGVTYIHCTAGLGRAPAVALTYMFWIQGYKLSEANCLLQSKRPCFPKLEAIMSATADILTGLPKSRVTLTWEDDNCSSVEVSGLDIGWGQRIPLEFDKDQGLWILQKELPEGRYEYKYIIDGEWTCNKYELITSPNSDGHVNNYVQVYGDDSTIATRETRKRLTSDDVDLTVEERQRIKELLESYIEPNDEDIR >DRNTG_17276.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:5215206:5217396:-1 gene:DRNTG_17276 transcript:DRNTG_17276.1 gene_biotype:protein_coding transcript_biotype:protein_coding AWEGVPGLARKPRETSPTTAVVGPHGLDFRGGVVDLIVDFYEGQFRWECRALTGYSSRSWGHRPVNRWVNVKDMSSLTALNLAAATMKVREVSRPCYVGPKRSQGEL >DRNTG_29642.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2949216:2951761:-1 gene:DRNTG_29642 transcript:DRNTG_29642.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVSFKSREDHRKQLELEEARKAGLAPAELDEDGKEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYTKAWYDRGAKIFQADKFRKGACENCGAMTHDKKSCMDRPRKLGAKWTNMHIAPDEKVETFELDYDGKRDRWNGYDPSTYGLVIERYEARDEARRKYLKEQQLKKLEEKNSNQKSQDQVSDEEEEEDVDDDDLRVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSSHYDPKTRSMREDPVPDSDPNEKFYGGDNQYRVSGQALDFKQLNIHAWEAFEKGQDIHMQAAPSQAELLFKNYKVIKEKVKSKMKDTIMEKYGNAASEEEIPRELLLGQTEREIEYDRAGRIIKGQETALPKSKYEEDVFINNHTTVWGSWWKDHQWGYKCCKQMIRNSYCTGSAGIEAAEAAADLMKVNMARKEASEEEPEQNEEKNLATWGTDVPEDLVLDQKRLAEALKKEDEKKKEERDERKRKYNVKWNDEVTAEDMEAYRMKKVHHDDPMKDFLH >DRNTG_25884.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19724052:19724584:1 gene:DRNTG_25884 transcript:DRNTG_25884.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKKAIDTSGSSEVVLITDWVWKLIKVGKAEKVLDECLVENGVNPKGIMVRFLMVGVLCAHVMVALRPTIADALRMLEGDIDVPALLDRPLGNTFTALEIY >DRNTG_12107.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:4987553:4987814:-1 gene:DRNTG_12107 transcript:DRNTG_12107.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFAEIEKEINTLAKKANDGTISIDEMAGGSFTISNGGVYGSLLSTPIIN >DRNTG_03733.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:18198642:18199476:1 gene:DRNTG_03733 transcript:DRNTG_03733.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRLCRTQRFASPFKGSSFLLIPTLPLRLRAPSHFFLTSHCHIWRDFCVVFRQLQGFPLQLVDMAHRSKKQADKRPHTSILRDLQQGDEFNDEVEDLVSVGGWRQLLSIRKLASVSLHWRSYHHSSSIDPIRDSTASTPFSSEHLDITKA >DRNTG_35358.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:15100982:15101570:1 gene:DRNTG_35358 transcript:DRNTG_35358.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGFMEYVDEASFFKNEYDTKLGNLMDHYEIKTEAEILSGSIMKASKSFNRYKDGEALMLAVRSLRKETRGWFNEKRHDDEDEDDAFAKASAWYHVTYHPDYWGIYNEELNRPHFLSFAWCVYDKLIVIKQKNMRMRRAAESLQRRMQSSLHIR >DRNTG_35296.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22617328:22620593:1 gene:DRNTG_35296 transcript:DRNTG_35296.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 8 [Source:Projected from Arabidopsis thaliana (AT2G18760) TAIR;Acc:AT2G18760] MGLGKTIQVISFLAALHYSKMYMPSIVICPVTLLRQWQREAKKWYPKFKVEILHDSAHGYGKHGLTKASESDSDSEASYDSEDGKPLPAKSKKRWDDLIKHIVNSEAGLLLTTYEQLRLWGEKLLDIEWGYAILDEGHRIRNPNAEVTLVCKQLQTVHRIIMTGAPIQNKLAELWSLFDFVFPGKLGVLPVFETEFAVPISVGGYANASPLQVSTAYRCAVVLRDLIMPYLLRRMKADVNAQLPKKTEHVLFCSLTSDQRSAYRAFLASAEVEQIFDGNRNSLYGIDVMRKICNHPDLLEREHAAQNPDYGNPERSGKMKVVVQVLKVWREQGHRALLFTQTQQMLDIMENFLISSEYSYRRMDGHTPVKQRMALIDEFNNSSDVFIFILTTKVGGLGTNLTGADRVIIYDPDWNPSTDMQARERAWRIGQKKDVTVYRLITRGTIEEKVYHRQIYKHFLTNKILKNPQQKRFFKARDMRDLFTLQNDSEGNSTETSNIFKQLSGEVNLEVGQDKPAPSSVSIAASISSANGPHSSEVRTSMSSKKGKETVNGSEVEEETDILRSLFDANGIHSAMNHDAIMNANDNDKMRLEEEAAKVAQRAAEALRESRMIRSRESFALPTWTGRSGAAGAPASVRKKFGSTVNSQLVRPLRPSTSSGFSAGFSTNKALSSAELLAKIKGRQERAISDALQQDLDLSSSSNHRAEISENNRGSRLTNRFMIVQPEVLIRQLCTFIQQRGGVTDSASITQHFKDRVQSKDLALFKNLLKEIATLEKDSNGSRWVLKPDYN >DRNTG_35296.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22619259:22620593:1 gene:DRNTG_35296 transcript:DRNTG_35296.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 8 [Source:Projected from Arabidopsis thaliana (AT2G18760) TAIR;Acc:AT2G18760] MNHDAIMNANDNDKMRLEEEAAKVAQRAAEALRESRMIRSRESFALPTWTGRSGAAGAPASVRKKFGSTVNSQLVRPLRPSTSSGFSAGFSTNKALSSAELLAKIKGRQERAISDALQQDLDLSSSSNHRAEISENNRGSRLTNRFMIVQPEVLIRQLCTFIQQRGGVTDSASITQHFKDRVQSKDLALFKNLLKEIATLEKDSNGSRWVLKPDYN >DRNTG_35296.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22614505:22620593:1 gene:DRNTG_35296 transcript:DRNTG_35296.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 8 [Source:Projected from Arabidopsis thaliana (AT2G18760) TAIR;Acc:AT2G18760] MDDDEDKLLLSSLGVASANPEDIERKVIAEAKNDSGYASEPKESSEEQKADHEHETNPSSVRRVKLHNKLRAVEVEIDAVASSIKKAKYVAESEESFSSEKDIRDDEDITHGCHAVEIAPDGITLQQALAADRLRSLNKTRVQLQKEISSFAKDLPLDDVGCGKVLDQLVKGKLKPKKKLKEGEPSHRGSKHSLKTVDYDEDADFDAILDAASGGFIETERDELVRKGILTPFHKLKGFERRVQQPGPSRRQSVPDSDDLTSASIAKVVQSMSDFAQARPTTKLIDAKTLPGVDVPTRPFQRLRTPLKHPGSPRTEQLEKQNKKRRKHRRPLPDKRWRKDNLSERFSDENDGDARGNSATSDCEENQDVNDDEEEEKDELPSVLLEGGLKIPSSIYTQLFDYQKVGVQWLWELHCQKTGGIIGDEMGLGKTIQVISFLAALHYSKMYMPSIVICPVTLLRQWQREAKKWYPKFKVEILHDSAHGYGKHGLTKASESDSDSEASYDSEDGKPLPAKSKKRWDDLIKHIVNSEAGLLLTTYEQLRLWGEKLLDIEWGYAILDEGHRIRNPNAEVTLVCKQLQTVHRIIMTGAPIQNKLAELWSLFDFVFPGKLGVLPVFETEFAVPISVGGYANASPLQVSTAYRCAVVLRDLIMPYLLRRMKADVNAQLPKKTEHVLFCSLTSDQRSAYRAFLASAEVEQIFDGNRNSLYGIDVMRKICNHPDLLEREHAAQNPDYGNPERSGKMKVVVQVLKVWREQGHRALLFTQTQQMLDIMENFLISSEYSYRRMDGHTPVKQRMALIDEFNNSSDVFIFILTTKVGGLGTNLTGADRVIIYDPDWNPSTDMQARERAWRIGQKKDVTVYRLITRGTIEEKVYHRQIYKHFLTNKILKNPQQKRFFKARDMRDLFTLQNDSEGNSTETSNIFKQLSGEVNLEVGQDKPAPSSVSIAASISSANGPHSSEVRTSMSSKKGKETVNGSEVEEETDILRSLFDANGIHSAMNHDAIMNANDNDKMRLEEEAAKVAQRAAEALRESRMIRSRESFALPTWTGRSGAAGAPASVRKKFGSTVNSQLVRPLRPSTSSGFSAGFSTNKALSSAELLAKIKGRQERAISDALQQDLDLSSSSNHRAEISENNRGSRLTNRFMIVQPEVLIRQLCTFIQQRGGVTDSASITQHFKDRVQSKDLALFKNLLKEIATLEKDSNGSRWVLKPDYN >DRNTG_35296.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22617328:22618932:1 gene:DRNTG_35296 transcript:DRNTG_35296.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 8 [Source:Projected from Arabidopsis thaliana (AT2G18760) TAIR;Acc:AT2G18760] MGLGKTIQVISFLAALHYSKMYMPSIVICPVTLLRQWQREAKKWYPKFKVEILHDSAHGYGKHGLTKASESDSDSEASYDSEDGKPLPAKSKKRWDDLIKHIVNSEAGLLLTTYEQLRLWGEKLLDIEWGYAILDEGHRIRNPNAEVTLVCKQLQTVHRIIMTGAPIQNKLAELWSLFDFVFPGKLGVLPVFETEFAVPISVGGYANASPLQVSTAYRCAVVLRDLIMPYLLRRMKADVNAQLPKKTEHVLFCSLTSDQRSAYRAFLASAEVEQIFDGNRNSLYGIDVMRKICNHPDLLEREHAAQNPDYGNPERSGKMKVVVQVLKVWREQGHRALLFTQTQQMLDIMENFLISSEYSYRRMDGHTPVKQRMALIDEFNNSSDVFIFILTTKVGGLGTNLTGADRVIIYDPDWNPSTDMQVRAILLILNQDINESKD >DRNTG_35296.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22614505:22615882:1 gene:DRNTG_35296 transcript:DRNTG_35296.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 8 [Source:Projected from Arabidopsis thaliana (AT2G18760) TAIR;Acc:AT2G18760] MSDFAQARPTTKLIDAKTLPGVDVPTRPFQRLRTPLKHPGSPRTEQLEKQNKKRRKHRRPLPDKRWRKDNLSERFSDENGMLIFPLLGMEKSLIH >DRNTG_01003.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12820063:12823403:1 gene:DRNTG_01003 transcript:DRNTG_01003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNKSVETLLRRGSAARFEPSRNSCPHADPSGFTGR >DRNTG_03501.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000164.1:7642:11487:1 gene:DRNTG_03501 transcript:DRNTG_03501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFSNRNVAKCAARMGQCFSSTYATVIVPAKDVNLELDDIERNGYVFSDGIGKITPKLALEVTEKLQLGETPSAFQIRYAGCKGVIAVWPENSDGIHLSLRPSMNKFESSHNVLEVASWTRFQPGFLNRQIVTLLSSLGVPDNVFARMQDSMISKLNQMLIDVDVAFEVLTTSCSEQGNVAAMMLSAGFKPQTEPHLKAMLSCIRSAQLGDLLEKARIFVPMGRWLMGCLDEIGVLEHGQCFIQASIPSLTNCFSKHGSRFSGSKNDRHVVVGTVVIAKNPCLHPGDIRILEAVDIPALHHLVDCLIFPQKGDRPHANEASGSDLDGDLYFVTWDECLIPPGKRSWVAMDYTPAEAKLMPRSVTHRDIIDFFTKNMVNENLGVICNAHVVHADASEYGALDEKCLQLAELAATAVDFPKTGKVVTMPQPLKPKLYPDFMGKENSLSYKSEKILGKLYRKLKDAYGEDMACEPFCTYEDLPFDTELDVPGASAYLSDAWFHKCSYERQLNALLGQYSISSEGEIVTGHIWSLPKYNSRRQGDLKERLKNAYSALQKEFRHVFETIGADSGHLTDDEKNALYECKASAWYQVTYHPLWVKKSSEITEEDGNVIPSRLSFAWISADYLVRIKLKNQERKRVDCKKPIDHLADYLLERL >DRNTG_10924.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000479.1:39703:40018:-1 gene:DRNTG_10924 transcript:DRNTG_10924.1 gene_biotype:protein_coding transcript_biotype:protein_coding QAALPQGIVPFVFAREYGVHPEILSTGVIIGMLIAIPIALAYYLVLDHIQ >DRNTG_06592.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15271462:15271952:-1 gene:DRNTG_06592 transcript:DRNTG_06592.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYSDDTSPRIMLQVQELSIMLPNQCNGLSGGSSLTPFTLLWPQRTRGMEVESITLEGKGDAPVPLDSPSQLSPT >DRNTG_18009.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26365071:26366102:-1 gene:DRNTG_18009 transcript:DRNTG_18009.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGLWRLVFLLATHSSPLLLALPDDAKITAIDVNKSFYEIGLPFIKKAEVEHKINFIESQAHPVLDKLIEEVKEDELFDFAFVDADKNNYIHYHEKLLKLVKVGGIIAYDNTLWLGTVVIEGPLDS >DRNTG_18009.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26365071:26365563:-1 gene:DRNTG_18009 transcript:DRNTG_18009.2 gene_biotype:protein_coding transcript_biotype:protein_coding ITAIDVNKSFYEIGLPFIKKAEVEHKINFIESQAHPVLDKLIEEVKEDELFDFAFVDADKNNYIHYHEKLLKLVKVGGIIAYDNTLWLGTVVIEGPLDS >DRNTG_34518.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5049217:5049972:1 gene:DRNTG_34518 transcript:DRNTG_34518.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRKSPPLWHTGLGASGTLPQYAPREVAHSVLSSKGKETPGGSTPCTVTTVVH >DRNTG_27110.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14562403:14564175:-1 gene:DRNTG_27110 transcript:DRNTG_27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMEFTTAELVEAHAQVWNLSFGYLKSMCLKCSLELGIADVLKKHGKPMELSELTSALSVPPSKFEPFDRFMTTLVHLELFAKKQDDSGAKKYMLTPASHLLLKDEAMSITPLITLLLDPTMCDSSNVLGPWFKSPYKGNPFEFYFGKGIWDVAGEKPQFNKMFNEGMASDSKFVCNVVMTSCRDVFKGLKSVVDVGGGTGIMARSIAHAFPGIKCTVFDLPHVIDTMEDQQPGVAYVGGDMFASVPHANAVLLKWILHDWNNEECVKILQRCKEAIPPRADGGKIIIIDMVIGAVTNKHVCAEETQLLCDLLVMSLYSGKERNEREWHNIFLSAGFTDYKITHFLGIRSIIELYP >DRNTG_27110.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14562403:14562829:-1 gene:DRNTG_27110 transcript:DRNTG_27110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGAVTNKHVCAEETQLLCDLLVMSLYSGKERNEREWHNIFLSAGFTDYKITHFLGIRSIIELYP >DRNTG_24996.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27183279:27184736:1 gene:DRNTG_24996 transcript:DRNTG_24996.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKKKTCQEELALPHNNKSEPTTTITTTTTTTTKLHMDKEEPQKKQLAPRRSSNKDRHTKVDGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGTGTIPASGLTASGATLASGSGSVGLQQQQQQQQQQQKGDGLNWASVWPGMGGGGFGLGTVGFHGLELPGGSLSTMSFASLLGGAHGPQVPGLELGLSQDGHVGVLNAQALTQFYQHIGQNRGDTSAAAAGCVDGETAHLHHHHHHRQHHHHHHQQQQQQQQSSSAVDDSQGSRQ >DRNTG_24996.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27183279:27184736:1 gene:DRNTG_24996 transcript:DRNTG_24996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKKKTCQEELALPHNNKSEPTTTITTTTTTTTKLHMDKEEPQKKQLAPRRSSNKDRHTKVDGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGTGTIPASGLTASGATLASGSGSVGLQQQQQQQQQQQKGDGLNWASVWPGMGGGGFGLGTVGFHGLELPGGSLSTMSFASLLGGAHGPQVPGLELGLSQDGHVGVLNAQALTQFYQHIGQNRGDTSAAAAGCVDGETAHLHHHHHHRQHHHHHHQQQQQQQQSSSAVDDSQGSRQ >DRNTG_24996.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27183279:27184736:1 gene:DRNTG_24996 transcript:DRNTG_24996.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKKKTCQEELALPHNNKSEPTTTITTTTTTTTKLHMDKEEPQKKQLAPRRSSNKDRHTKVDGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGTGTIPASGLTASGATLASGSGSVGLQQQQQQQQQQQKGDGLNWASVWPGMGGGGFGLGTVGFHGLELPGGSLSTMSFASLLGGAHGPQVPGLELGLSQDGHVGVLNAQALTQFYQHIGQNRGDTSAAAAGCVDGETAHLHHHHHHRQHHHHHHQQQQQQQQSSSAVDDSQGSRQ >DRNTG_33422.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19105263:19111866:-1 gene:DRNTG_33422 transcript:DRNTG_33422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPIIALNFELKPAFVQMLQQLAQFNGLADEDPINHIEKLLERPQGSLPSNTETNQREHVNAITLRSCREVEDPYERVLDQEVENEEVMMLGLEDKVQPTPGIMKKMLQKMKRARRRHKKFPKANVDEPEQNDMADEVDKLLSVGSWRRLLSICPPAIHMLTLQYERYTVLDSLAVIRRGLYYHGGVRAASYRLPRYIQAILSRSVNGHGDSSEFLRHQGQYARIGVLFCGSYITRVIMGMGLLDAIRGPRRLSYLSPGYTDDEIDGYITQILEGEVISPFVMRPRTPQSSPALTTSPAVVAKPKHDTDA >DRNTG_12829.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:32912747:32914532:1 gene:DRNTG_12829 transcript:DRNTG_12829.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGENREMYEGGGGFQFHDDLSLFFTGQRNGGSGSGMLDMLKEDPATSFMGFNEFLVGTGDYGALPRSLELSCCSPENFFGLGDGGKGMGEVEVETKCVGGVNSNGVISGGGLTPVTPNSSVSSSSTEAAGEEDSVRSKKNDQQQSEKGLEIGGVLDDGTDKSKKVTKPRRKGEKRQREPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCSVKKRVERSYQDPTIVITTYEGQHTHQSPATLRSSSHILSSPNMIHPSFAHEFMMRQMHQPMAFHHANVNAAANVNTTMYLQSLTSPLQQLQQLPADYGLLQDILPNFMESSQLP >DRNTG_13412.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5900382:5903033:1 gene:DRNTG_13412 transcript:DRNTG_13412.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNFEVVNLLRSRGATIDLLGSLGVVSASECKVYSFLADSASCNQTRECIGEFLKRCDKFKLSKTELLNIINLRPSSQPLIYPLIRNCDTRLAKNEDEGIDEVQELVDLVKEVLPLPPANNPDNEVEVKTTEIQQEMQDDKMTETN >DRNTG_13412.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5900888:5903033:1 gene:DRNTG_13412 transcript:DRNTG_13412.2 gene_biotype:protein_coding transcript_biotype:protein_coding VYSFLADSASCNQTRECIGEFLKRCDKFKLSKTELLNIINLRPSSQPLIYPLIRNCDTRLAKNEDEGIDEVQELVDLVKEVLPLPPANNPDNEVEVKTTEIQQEMQDDKMTETN >DRNTG_03891.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3925082:3931033:-1 gene:DRNTG_03891 transcript:DRNTG_03891.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFSLMASAAFSPSMFHQEQGLLCSAVPKDCRALLPGFDKREELLRSSSLQLNGIHPEDQQKSFGQSLEYGHPSRPSSATDKSVLIDVQDSRPDSILFSFGIAEQCTRREKILQFLMSGSNVVEGEGLNISLLSELMGLQTLAISMCPQPLGPGDDFFLHDFSPNGSQTFITPEKQLYCPELLLDFVGDMSRNSKIMVHPDGRVLFMGTGAEMKDLLSIVAELYMAKNRTHGTKQSMLVPYFRRWGKNTKTNNRSLSGLQTHDVTPQKSPEAVKLKPQTKKQRSRKAGNERNIHGKNYFSACESLLTFIADEKVSKTAVHSLKKSGPEITQFLTQLSAGIAGTVWFGSLGLSTD >DRNTG_06520.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16346302:16354220:1 gene:DRNTG_06520 transcript:DRNTG_06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance (MCM2/3/5) family protein [Source:Projected from Arabidopsis thaliana (AT5G44635) TAIR;Acc:AT5G44635] MESGSFFVDEKAVRVENIFLEFLKRFKLNPNAAEPYYETEIDAMRDKESTTMYVDFTHVMKFNEVLQKAISEEYLRFEPSLRNACKRFAMEHKSSENRPALVSDDGSFRDINIAFYNIPLLKRLRDLTTSEIGKLTSVMGVVTRTSEVRPELLLGTFKCLDCGGVIKNVEQQYKYTEPIICLNATCSNRTKWALLRQESKFTDWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEHARAGDTVIFTGTVVAVPDVMALTSPGERAECRREAPQHQNPSGGHGGVRGLRALGVRDLSYRLAFIANSVQVSDGRRDGDFSRERKMDGDDYDKQEFTKEEEEEFRSLKNIPDFFNKLVDSICPSVFGHQEIKRAVLLMLLGGVHKVTHEGINLRGDINLCIVGDPSCAKSQFLKYTAGLVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDIRDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYVMIDEPDEHTDYSIAHHIVRVHQKLENALAPEFTTAQLKRYIAFAKSLKPQLSPEARKVLVESYVALRRGDSTPGSRVAYRMTVRQLEALIRLSEAIARSHLDEVVLPAHVRMAVRLLKTSIISVESSEIDLSDFQDQDGDGSQGPDQGTEQATPANGGQESASEAGGQQSEAQQQSETQQKKKLVITQEHFQRVTQALVMRLRQHEESVMQDGSGLAGMKQGDLIVWYVDQQNAQGAYSSTEEVVEEVTCIKAIIERLIQREGHLIVIDDGSLAETSDGTKTKKSSSENRILAVAPNYVID >DRNTG_18378.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000946.1:64901:68576:-1 gene:DRNTG_18378 transcript:DRNTG_18378.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSISKLLSFFNLFSFFLRRRPLPTTTTTTTTDDATIECYACTQPGVPSFHSTTCDHSHTPQWQANAGSSLLPIHNSSNSNPPLTLTPSSLFGSVLDPRSKRVKSWNRAFLLTRALALAIDPLFFYSLSIGRDGTPCLQLDAKLAGVVTALRTCVDALHVLHVWLQFRVAYVSRESLVVGCGKLVWDARTIALHYLRSIKGFWFDIFVILPVPQVVFYLVLPNLIRNQEIKLIITMLLLMFLFQFLPKIYHSICVMKRMQKVTGYIFGTIWWGFGLNLVAYFIASHVAGGCWYVLAIQRVASCLQLQCDTNNRNCDFFSCDAHQKTSTCMNKDGPFNYGIYDGALPVISSNSLAVKVLYPIFWGLMTLSTFGNDLEPTSHWLEVIFSIIIVLSGLMLFTLLIGNIQVFLHAVMARKRKMQLRCRDMEWWMKRRQLPSRLRHRVRQFERQRWAAMRGEDEMQIIKDLPEGLRRDIKRHLCLDLIKQVPLFHNLDDLILDNICDRVKPLVFSKGEKVIREGDPVQRMVFVMRGSLKSSQKLSKGMVATCMLGPGNFLGDELLSWCLRRPFIDRMPASSATFESIEPTEAFALDANDLKYITEHFRYKFANERLKRTARYYSSNWRTWAAVTVQLAWRKYRSTSNNVVVQAMQGSDEERRLRLYAAMFMSFKPHDHLE >DRNTG_35154.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1272265:1273293:1 gene:DRNTG_35154 transcript:DRNTG_35154.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTLIISWILLAVGFTMLILAAMSNSKSDVSCGIVRPHFPFDRRDCVLFSWTLCCSILCVCNCT >DRNTG_33512.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002012.1:53612:56998:-1 gene:DRNTG_33512 transcript:DRNTG_33512.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC028 [Source:Projected from Arabidopsis thaliana (AT1G65910) UniProtKB/TrEMBL;Acc:A0A178W7T7] MAPVSLPPGFRFHPTDEELVAYYLKRKINGRKIELEIIPEVDLYKCEPWDLPEKSFLPSKDLEWYFFSPRDRKYPNGSRTNRATQAGYWKATGKDRKVCSQRRAVGMKKTLVYYRGRAPHGSRTDWVMHEYRLDEKECETSSGLQDAYALCRIFKKSAPGPKIIEHYGAPYNGEQQQYSQWMASDHSPTTVDHSSDGRVDELESCGYTFQQETSSSDLFQSSFFDLNPPSETKWMQYLSEDALNTTTSPPFYNSSSFSFLPSKVDVALECARLQHRLSLPPLEVEDFPQVDLAESKALHCGLFKQSNNNEVDILQEFLSVASASQELINNSSNYQEMWPGYNNTSFNEFTSLVDDVGSSRQGEYTKLIEISELEEEFKEDKKEVENLRGVTSIQSYHHLEEDQNKGETTHQNNYNDDEGEIEYKPIFSQAEQDDNYCNAETPNFDVYEDIKVSHGLFVSSGSPCKNILSSCGAFQAH >DRNTG_33625.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002030.1:24859:29599:1 gene:DRNTG_33625 transcript:DRNTG_33625.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIEFDVSDNQITSHIPHSIKNLTKLEFFNLQTNNINDTFPCEIGNLVNLRDFEISHNQITGSIPHSIGNVTKLETFYLNKNNIYGTIPPSLGSLKVLTGLILAHNHLSGMLPNEMANLTNLIILDLFNNNLLGNLPPDLVKGGLLQYLGLGYNNFQGLIPISLKNSTNLSRVRLDKNLFIGDVSQSFGVHPHLDYIDLSFNKLSGTLSPTWGACLNLTSLKISGNKINGKIPWEIVQLSKLQLLDISSNNLMGKIPREFGKLSHLFHLNMSNNHLTGTIPPEFGDLSSLEVLDLSNNNLRGEIPIQVENCIKLISLRLSSNELNGTIPFQLGNLNFMEVLELSDNLFKGDIPPQLSKPTELQELNLSHNELVGHIPSSFQLMTSLTLLDLSYNSLEGPVPKNHFFQTAPIKWFTHNKGLCGQVQGLPLCSQSQSTSIDDARKQHKVIILIVVLVLGTLSILFLISGIFTICCYKRKGSTINDISEDFDGHFFSVWGVNYEKEAYKEIVRATENFGEKYQIGTGASSIVYKATLSSGLTLAIKKIQEEEAQVNEQAFQNEIQTLTEIRHRNIVKFYGFCFTHRFSFLAYEYMERGSLGATLRSEEGVMDLNWIKRVSIIRDIAQALSYLHHDCAPPIIHRDITSNNILLDEEYKACVSDFGISRLLKPNSSHWSLLAGTYGYMAPELAYVMRMTEKCDVYSFGIVALEVIHGTHPCDLLSNFSLSMLVKDILDPRLPLHIADQVMTNQVLSVILIAMQCINTDPQARPSMQQVCQRLSPPKFLPACHIYSFQALTFDHLINIV >DRNTG_20837.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:7380799:7382569:-1 gene:DRNTG_20837 transcript:DRNTG_20837.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTLDSIWTQDLEEACHTRNLFMLHSLLREDKLLLHKFSSSITASIDNPLHVAALLGHTDLTNEIIIKNPDLASDLNPRALSALHLASAHGHLEIVKLLISKVGCHLCFLKDKDGRLAIHMAALKGRIDILEELIKVCPESARALTNQNESILHLAVQFNSFEIVEFLVKKLGVDDDINKLLNLKDDKGNTILHHAIVKLLLSNEEAVEVNAMNYKGLTALDVLLDSPREYGDLTLGEVIRMAGGKIASEVDPQQAALETNTSRNQPCVTSTRSPSRSRVPSIYRGRRRTSQQKLKKQAKVEDSYTAGQLMVVAALIAIITFQAGLSPPAEFTQTDIGNTTRTNIITNNSNSSAAAGSAVLYSKLIGFYGFLSFDMIGLFASLSIILILICGMARKKKMMMKALIVFMWVAVFSTLLAFSAALSTFYPIKSKWIIPAMLLKSVLWILKIFMLWAFMNLAVYLLRKVGWWMKNEGDRMSNIVTKGGCLLWCMRIGVMVLIFLLVLIFTFSLVVV >DRNTG_18883.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2263252:2264573:1 gene:DRNTG_18883 transcript:DRNTG_18883.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLSTGMRCNHRALHEKERRVKGGLTRNQFFLIAFICSFAYYVFPGYLFSMLTSLSWVCWIFPTSVLAQQLGSGLYGLGIGAIGLDWSTISSYLGSPLASPWFATANIAAGFVFIMYIITPIVYWSNLYHAKTFPIFSDGLFTSSGQVYNISSIIDSDFHLDVQAYEKNGPLYLSAFFAVTYGVGFASLTAIITHVALFHGK >DRNTG_21067.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4764040:4764422:-1 gene:DRNTG_21067 transcript:DRNTG_21067.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVGAIGFQDHRLEIPKEADPLVAKIIRQCWQKDPNLRPSFVDLRTALKSLQRFVVPAYQDVQKPPLIEEIPVNTAP >DRNTG_23560.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22448932:22460061:-1 gene:DRNTG_23560 transcript:DRNTG_23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSATYGSSGSRAVKIIPLQHPSTSASAAALPSESWIGGWVSRLRAMSAIEWVDLFLPCARWIRSYRVKDYLQVDLMAGITVGVMLVPQSMSYAKLAGLHPIYGLYSGLVPIFVYAIFGSSRQLAIGPVALVSLLVSNVLGGIVHSSDELYTELAILLAFMVGVLECLMGLLRLGWLIRFISHSVISGFTTASAIVIALSQAKYFLGYNIVRSSEIIPLIRSIIDGASDFSWPPFVMGFAMLGILLTMKHWGKSRKSLRFLRASGPLTAVVLGTAFVKIFHPPSISVVGEIPQGLPKFSIPKEFQYAQSLVPTAMLITGVAILESVGIAKALAAKNGYELDSNQELFGLGVANICGSFFSAYPTTGSFSRSAVNHESGAKTGLSGIIMGIIMACALLFLTPLFEEIPQCALAAIVISAVVGLVDYEEALFLWRVNKKDFLLWTITCITTLFFGIEIGVLIGVGFSLAFVIHESANPHIAVLGRLPGTTIYRNTQQYPEAYTYNGIVVVRIDAPIYFANISYIKDRLREYELKASGITKRGPEVERIYFVIIEMSPVTYIDSSAVQALKDLHQEYKSRGIQIAIANPNRDVHVSLSRAGLIDLIGKEWCFVRVHDAVQVCLQHVQSLDGSASKDFSDKTPKRHLSFLQNLWKQEGDPNMEPLLPQSQP >DRNTG_25843.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:605244:606334:-1 gene:DRNTG_25843 transcript:DRNTG_25843.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSGLYFLEQISWLFLETIKEQVANLYHWPNTLEIPILDSSRATKKPVGILHVKIVRASNLRKMDILGKSDPYVKLSLTGERLPSKKTSIKMSNLNPEWNESFRLIVKDPETQVLELHVFDWEKV >DRNTG_25843.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:605244:605496:-1 gene:DRNTG_25843 transcript:DRNTG_25843.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDILGKSDPYVKLSLTGERLPSKKTSIKMSNLNPEWNESFRLIVKDPETQVLELHVFDWEKV >DRNTG_25843.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:605244:608527:-1 gene:DRNTG_25843 transcript:DRNTG_25843.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGTLLGIIGFTIGVPLGLLLGFFIFIYSKPSKESKEPSIRPIHEFDSKELHALLPDIPFWIKNPDYDRVDWMNKFVSDMWPYLDKAICNTIRNTTKPIFDQYIGKFCIESIEFEHLTLGTLPPIFHGVKVYETQERELVMEPAIRWAGNPNIIISLKLLSLKLSIQLVDFQMFLLPRVTLKPLVPSFPCFANLKVSLTEKPHVDFGLIFFGADIMAIPGNNKRTSSKPVPLAQYSRNTHLR >DRNTG_25843.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:604820:608527:-1 gene:DRNTG_25843 transcript:DRNTG_25843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGTLLGIIGFTIGVPLGLLLGFFIFIYSKPSKESKEPSIRPIHEFDSKELHALLPDIPFWIKNPDYDRVDWMNKFVSDMWPYLDKAICNTIRNTTKPIFDQYIGKFCIESIEFEHLTLGTLPPIFHGVKVYETQERELVMEPAIRWAGNPNIIISLKLLSLKLSIQLVDFQMFLLPRVTLKPLVPSFPCFANLKVSLTEKPHVDFGLIFFGADIMAIPGNNKRTSSKPVPLAQYSRNTHLR >DRNTG_31527.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001714.1:1893:3844:1 gene:DRNTG_31527 transcript:DRNTG_31527.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIGLCLRVKLMRSLPSRYKVDIRVAPGTHATEAAVNKQLNDKERVAAALENPRLLEMVDECLAATYI >DRNTG_31527.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001714.1:768:3844:1 gene:DRNTG_31527 transcript:DRNTG_31527.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGLINANPVVYERKERHLRSSPVVIDEYAVEPIDQLEIFEHVRDIKDPEHPYSLEELKVVTEDSIELDDQQSYVRVTFTPTVEHCSMATVIGLCLRVKLMRSLPSRYKVDIRVAPGTHATEAAVNKQLNDKERVAAALENPRLLEMVDECLAATYI >DRNTG_01801.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:19774449:19776932:-1 gene:DRNTG_01801 transcript:DRNTG_01801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRGVQSQIRGLYGHYLCPYVPSRGVYDHSICPKVGHKKQHSEAYGPGLTALMAYLTAISRFQRTLRTYLCPGVYDHSICPKGGHKKQHSEAYGPGLTASLMAMLLCP >DRNTG_12359.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20861036:20865180:1 gene:DRNTG_12359 transcript:DRNTG_12359.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAR-binding filament-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G16000) UniProtKB/Swiss-Prot;Acc:Q9LW85] MGILIGSSCLMKCPMDRSFHSLSSPWCPASPPLAYAHRKATKKRKKLMALASLHGERLAGDTDSLRRRAVLFVGVSVLPFLQLRAVALEDKQDAVKLEDSIPKQAEQDISPQVNQSVSEQTEQNILPIEFDKPKEVNEQDVPGTLKKPETGVLEESKPVILSQEPIEAEPAVREVTPGNPFSSFLNALGIIGSGVLGALYADSRKEKAAMESAIESMKVEQKEQEAAMALMKEDFHARLLDQQEERKKQIKSLKQEEAALLNQLALANERIAILSQELEREKKVVEEFKAQRDNLEYVITKAEEDRKVLEVKLKEKTDAIDVLNDKVSLLSLEIHEKEKSVGNLTLSLTKKESECRMLNSRIDQTNRDLSEASSIIEQLKEEISKTNEELSVKTSLVQDLNTKVNSLSTERDETKKKLHDLLNEFDDLKLSSEKRLVHDSELLAKKDDQVRQLQEKVAHALREIQDNQSAVNALTTESDDLKAKLEKEVNGREKLRNELHIVQRAYEALRLELSNLSMELDETRKSYADLNTKFSEMQYVFSETKKSLNTDLEEANAAIKELTDNLISIKEALRITKEELGAASNKLKNVVKDRESLKKELLEVYKKAEITAHELKEDKILVATLNRELEVLGKQLLKDSEARKALESDLDEATKSLDAMNKSALVLSNELESSNSRASSLETEKEMLFKSLIEQKDITKEAQENLEDAQNLITRLGCEREHLEKRSKMLEDDLAAAKGEILRLRRQISSNKESRNSTNELELSNNKMPPTKSSTNDISPSMPFSVKKTGGRRRKGDSTSDVDQ >DRNTG_12359.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20861036:20865180:1 gene:DRNTG_12359 transcript:DRNTG_12359.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAR-binding filament-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G16000) UniProtKB/Swiss-Prot;Acc:Q9LW85] MGILIGSSCLMKCPMDRSFHSLSSPWCPASPPLAYAHRKATKKRKKLMALASLHGERLAGDTDSLRRRAVLFVGVSVLPFLQLRAVALEGRGLLRRRRRARTFSESRRKPGDVENKQDAVKLEDSIPKQAEQDISPQVNQSVSEQTEQNILPIEFDKPKEVNEQDVPGTLKKPETGVLEESKPVILSQEPIEAEPAVREVTPGNPFSSFLNALGIIGSGVLGALYADSRKEKAAMESAIESMKVEQKEQEAAMALMKEDFHARLLDQQEERKKQIKSLKQEEAALLNQLALANERIAILSQELEREKKVVEEFKAQRDNLEYVITKAEEDRKVLEVKLKEKTDAIDVLNDKVSLLSLEIHEKEKSVGNLTLSLTKKESECRMLNSRIDQTNRDLSEASSIIEQLKEEISKTNEELSVKTSLVQDLNTKVNSLSTERDETKKKLHDLLNEFDDLKLSSEKRLVHDSELLAKKDDQVRQLQEKVAHALREIQDNQSAVNALTTESDDLKAKLEKEVNGREKLRNELHIVQRAYEALRLELSNLSMELDETRKSYADLNTKFSEMQYVFSETKKSLNTDLEEANAAIKELTDNLISIKEALRITKEELGAASNKLKNVVKDRESLKKELLEVYKKAEITAHELKEDKILVATLNRELEVLGKQLLKDSEARKALESDLDEATKSLDAMNKSALVLSNELESSNSRASSLETEKEMLFKSLIEQKDITKEAQENLEDAQNLITRLGCEREHLEKRSKMLEDDLAAAKGEILRLRRQISSNKESRNSTNELELSNNKMPPTKSSTNDISPSMPFSVKKTGGRRRKGDSTSDVDQ >DRNTG_31718.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:234650:235153:1 gene:DRNTG_31718 transcript:DRNTG_31718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQESQQSEFEDLRNVIASKLDNLRSKKDVTRQERTVLVQPLVAEPRTRAPETQPKFPVAQPFPPPPPSRVAPTGSNAMQKPTALVELYQSLMKRDGKKGPLGNGSSSSPLASNAHNSIVGEIQNRSSHLL >DRNTG_19679.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4627961:4632272:-1 gene:DRNTG_19679 transcript:DRNTG_19679.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formamidopyrimidine-DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT1G52500) UniProtKB/Swiss-Prot;Acc:O80358] MPELPEVEAARRALEEHCVGKRIRKCVAADDAKVIDGVPHSVFESSLTGKTIVATHRKGKNLWLQLDSPPFPSFQFGMAGAVYIKGVAVTKYKRAVVSDEEEWPSKYSKVFVELEDGLEFSFTDKRRFARVRLLEDPTGVPPISTLGPDALTELMPLDEFVHSLSEKKIAIKALLLDQSFISGIGNWVADEVLYQACIHPLQPAASLSKESCQSLHRCIKEVSLVRNLIHSL >DRNTG_19679.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4627961:4632272:-1 gene:DRNTG_19679 transcript:DRNTG_19679.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formamidopyrimidine-DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT1G52500) UniProtKB/Swiss-Prot;Acc:O80358] MPELPEVEAARRALEEHCVGKRIRKCVAADDAKVIDGVPHSVFESSLTGKTIVATHRKGKNLWLQLDSPPFPSFQFGMAGAVYIKGVAVTKYKRAVVSDEEEWPSKYSKVFVELEDGLEFSFTDKRRFARVRLLEDPTGVPPISTLGPDALTELMPLDEFVHSLSEKKIAIKALLLDQSFISGIGNWVADEVLYQACIHPLQPAASLSKESCQSLHRCIKEVIQYAVEVDADSTHFPIEWLFHVRWGKKSGKLNGKKIPLFHMLDTQIN >DRNTG_19679.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4627961:4632272:-1 gene:DRNTG_19679 transcript:DRNTG_19679.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formamidopyrimidine-DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT1G52500) UniProtKB/Swiss-Prot;Acc:O80358] MPELPEVEAARRALEEHCVGKRIRKCVAADDAKVIDGVPHSVFESSLTGKTIVATHRKGKNLWLQLDSPPFPSFQFGMAGAVYIKGVAVTKYKRAVVSDEEEWPSKYSKVFVELEDGLEFSFTDKRRFARVRLLEDPTGVPPISTLGPDALTELMPLDEFVHSLSEKKIAIKALLLDQSFISGIGNWVADEVLYQACIHPLQPAASLSKESCQSLHRCIKEVIQYAVEVDADSTHFPIEWLFHVRWGKKSGKLNGKKIDFVTAGGRTSAFVPELQKLIGAQSGKTVVQARRKGRN >DRNTG_19679.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4627961:4632272:-1 gene:DRNTG_19679 transcript:DRNTG_19679.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formamidopyrimidine-DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT1G52500) UniProtKB/Swiss-Prot;Acc:O80358] MPELPEVEAARRALEEHCVGKRIRKCVAADDAKVIDGVPHSVFESSLTGKTIVATHRKGKNLWLQLDSPPFPSFQFGMAGAVYIKGVAVTKYKRAVVSDEEEWPSKYSKVFVELEDGLEFSFTDKRRFARVRLLEDPTGVPPISTLGPDALTELMPLDEFVHSLSEKKIAIKALLLDQSFISGIGNWVADEVLYQACIHPLQPAASLSKESCQSLHRCIKEVIQYAVEVDADSTHFPIEWLFHVRWGKKSGKLNGKKIPLFHMLDTQIN >DRNTG_19679.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4627961:4632272:-1 gene:DRNTG_19679 transcript:DRNTG_19679.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formamidopyrimidine-DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT1G52500) UniProtKB/Swiss-Prot;Acc:O80358] MPELPEVEAARRALEEHCVGKRIRKCVAADDAKVIDGVPHSVFESSLTGKTIVATHRKGKNLWLQLDSPPFPSFQFGMAGAVYIKGVAVTKYKRAVVSDEEEWPSKYSKVFVELEDGLEFSFTDKRRFARVRLLEDPTGVPPISTLGPDALTELMPLDEFVHSLSEKKIAIKALLLDQSFISGIGNWVADEVLYQACIHPLQPAASLSKESCQSLHRCIKEVIEKALEVGADSSQFPENWIFHSREKKPGKAFVDGKKIDFVTAGGRTSAFVPELQKLIGAQSGKTVVQARRKGRN >DRNTG_19679.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4627961:4632272:-1 gene:DRNTG_19679 transcript:DRNTG_19679.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formamidopyrimidine-DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT1G52500) UniProtKB/Swiss-Prot;Acc:O80358] MPELPEVEAARRALEEHCVGKRIRKCVAADDAKVIDGVPHSVFESSLTGKTIVATHRKGKNLWLQLDSPPFPSFQFGMAGAVYIKGVAVTKYKRAVVSDEEEWPSKYSKVFVELEDGLEFSFTDKRRFARVRLLEDPTGVPPISTLGPDALTELMPLDEFVHSLSEKKIAIKALLLDQSFISGIGNWVADEVLYQACIHPLQPAASLSKESCQSLHRCIKEVSLVRNLIHSL >DRNTG_35399.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1607240:1610807:1 gene:DRNTG_35399 transcript:DRNTG_35399.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEELSEAQELEKQLSYQDKLIERTKDAKNALESYVYEVRNKLFESYRSYATESEREEISRSLQQTEEWLYDDGDDETESVYVNKLQELKKLVDPVESRYQNEEARAQASRELLRCIADHRKAAESCPTYERDAVISECRKAEQWLREKTQQQESLPKNVDPVLWSHEIKKIRESLDKSCGHILKHNGSPPGAENSKAKDRSNSSDDMQTD >DRNTG_35399.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1605902:1610807:1 gene:DRNTG_35399 transcript:DRNTG_35399.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDIGNDRCVIAAVRQRGIDVILNDESKRETPSTISFSDKQRLIGSSSSPLLHPRSTFSQIKHLLLSQPQHRSRLPFLDRDLSIAPVHLLAMLLSHLKLIAERSLDGPVSDCVISIPSYASDLSRRSYLDAARIAGLKPLRLMHDTTATALGYGIYKSDFPSSPTHVVILDIGHCDTQASVVAFEPGAMRVLSHASDPNLGGRDFDEVLFRHFAEQFKDQYKIDVYSNAKACIRLRTACEKLKKVLSANAEAPLSIECLMDEKDVRGFIKREEFEKLAAGLLERVLVPCKKALEDAELGVDSVHSVELVGSGSRIPAIGRILSGFFGKEPSRMLNASECVARGCALQCAMLSPVFRVRNYEVQDCFPYSIGFASDQGPISTLSSHVLFRKGHPFPSVKVLTFLRSSAFHLEAFYADENELPPSAPPKISSFMIGPFQASHGDKSKVKVRFRINLHGIITIDSASLIEDETINPISRSKSDDAEPENADENGSSEKSESDIDRTRKGSPSRRLELPITETVNGGMSQEELSEAQELEKQLSYQDKLIERTKDAKNALESYVYEVRNKLFESYRSYATESEREEISRSLQQTEEWLYDDGDDETESVYVNKLQELKKLVDPVESRYQNEEARAQASRELLRCIADHRKAAESCPTYERDAVISECRKAEQWLREKTQQQESLPKNVDPVLWSHEIKKIRESLDKSCGHILKHNGSPPGAENSKAKDRSNSSDDMQTD >DRNTG_12589.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:15723778:15729757:1 gene:DRNTG_12589 transcript:DRNTG_12589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQVVTRQEDDDVACGDSSKEKMTRMMMWQRIPNLGQVWKGRLGDLRWLSLVRWSRVPWWARPSGEGDLLK >DRNTG_23142.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:14636244:14636990:-1 gene:DRNTG_23142 transcript:DRNTG_23142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTEFSIRTGLYDIAYTGTMEYGRLPAEFPVLVTPHHAYRILCGHGESVPGRADNTSALTRQDLLFLYSMASNVPIHLGCILAHIRRYQRQSVRVGLLFVGPYITRLILGMGLGDALRGAKRIVAPTPLAFDTIRMMGLVQRCGPAVYILAIATPETARSEGVTAEGSPQIPRPAVAQGPRDYDRIERLESIVREIQTEIEELRAIQIDFQ >DRNTG_00594.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29854244:29855672:-1 gene:DRNTG_00594 transcript:DRNTG_00594.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKACVFVALLLVLFLSVFGVQSQLSTDFYSSSCPNVLKVVRREVFKALQTEMRMGASLLRLHFHDCFVNGCDGSILLDGSDSEKLAAPNLNSARGFDVVDTIKTAVENECNGTVSCADILAIAARDSVVLSGGNSWKVLLGRRDGLIANQSGANSGLPSPFDSINTIITKFNNVGLNVTDVVSLSGGHTIGRARCVLFSNRLFNFSGTGAPDSTMDTDMVADLQKLCPQNGDGNVTTALDRNSTDVFDNHYFKNLLNNKGLLSSDQGLFSSADGVAATKSLVESYSSDSSLFMTDFC >DRNTG_19635.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27875083:27880300:-1 gene:DRNTG_19635 transcript:DRNTG_19635.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNAIRYILGIIEFVDVFHLCWLGICVPQNSCSESMHDPGDPLKNDKETPIDDEEKKRPCDTATLVVSQGTMLVTEWESQCVGGKCILETTGSDREKQGEAWSKTQT >DRNTG_18863.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2425246:2429181:-1 gene:DRNTG_18863 transcript:DRNTG_18863.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 24 [Source:Projected from Arabidopsis thaliana (AT4G39010) UniProtKB/Swiss-Prot;Acc:Q93YQ7] MTTMKWSLLFSCSLVQPLTLLALVLIVLITTSHLVSSSSSHDYNDALTKSILFFEGQRSGHLPPNQRLTWRGDSAVFDGSAAGVDLSGGYYDAGDNVKFGFPLAFTTTMLAWSVIEFGDSMPSGELLNAAGALRWATDYLLKTVSLPGKIFVQVGDPIKDHNCWERPEDMDTARTVYMVSEEKPGSDIAGETAAALAAASMVFRSLDNEYSVTLLQNAVRVFKFADDYKGAYSDDAGIRAGVCPFYCDFDGYQDELLWGAAWLRRASQDASFLTYIQDNGKTLGADDNINEFGWDNKHAGLNVLVSKEFLEAQEYSLESYKASADSFMCTLVPESSASHIQYTPGGLIYKPGGSNMQHVTTIAFLLLTYANYLEKTSQIVNCGSISVGPTSLRLQAKKQIDYLLGDNPMKMSYMVGYGEKYPQRIHHRGSSLPSKSSHPKFIACKEGSIYYNSSNPNPNPLIGAVVGGPGEDDVYEDDRADFRKSEPTTYINAPLVGALAYFVANPNLNA >DRNTG_18863.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2425246:2426554:-1 gene:DRNTG_18863 transcript:DRNTG_18863.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 24 [Source:Projected from Arabidopsis thaliana (AT4G39010) UniProtKB/Swiss-Prot;Acc:Q93YQ7] MCTLVPESSASHIQYTPGGLIYKPGGSNMQHVTTIAFLLLTYANYLEKTSQIVNCGSISVGPTSLRLQAKKQIDYLLGDNPMKMSYMVGYGEKYPQRIHHRGSSLPSKSSHPKFIACKEGSIYYNSSNPNPNPLIGAVVGGPGEDDVYEDDRADFRKSEPTTYINAPLVGALAYFVANPNLNA >DRNTG_30258.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:317888:322306:1 gene:DRNTG_30258 transcript:DRNTG_30258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALVDPSLLEISQSDRSLAVESMESRFSDLRGVRWRIDLGILPGLASASIDELRRVTADTRRRYASMRRRLLIDPHLNKDGSSSPDLSIDNPLSQNPDSTWGRYFRNAELEKMVDQDLSRLYPEHGSYFQTPACQAMLRRILLMWCLRHPEYGYRQGMHELLAPLLYVLHVDVQHLSEVRKVYEDYFTDDFDEMSFPNGDLVTNYRFTRAANWDAGLENAIDSQGDKAKPCSLDDLDNETRDIFLLSDAYGAEGELGIVLSERFMEHDAYCMFDGLMSGGHGVVAMADFFSPSSAAGSSSSLPPVIEASSALYHLLSIVDSSLHSHLVELGVEPQYFALRWLRVLFGREFSLEDLLVIWDEIFSSSNGSVVEPALDYSFKVLCSPRGAFISAMAVSMLLHLRSSLLATEYATACLQRLLNFPENVDVKKLIEKAKSLQGLALEANLSLSPSQESSNRNKQMVGRGYSLPAATSSPKTPLHLIPDSYWEDKWRVLHKDEALRSGTKGRSLSKGTVKGMLVKRLGLSRTESDPSPAMTLNDNNDARSSVRRSLVSDFAKEADLKPDLGEVKHEKDFDISSSKESISMVVDEEKNFTEAVNHVVIEDTCLSGENSVCSPVTSPHRLHDEHENASDRSSVTSNSFVADNDESHEIQNLDESTNKSVNGSPENPLPRDSEDMETISTRNLVADADKIEKEDTALKDRKPLSGKFQWLWKFSKGSNGGNGEKGGIEGQQQSSVNGNISKTISGSTISDGRAEVADKNMMSTLKNLGQSMLENIQVIESVFQQDRGQAGTLENLSNNILKGKGQATAMTALKELRKISNLLSEM >DRNTG_30727.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:609140:610706:1 gene:DRNTG_30727 transcript:DRNTG_30727.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLVKLCLAPCCSCLQSPVVREDMSYVFCTKEKLDRLESAMKDLMTKKHGIDRELNLPENRGKQPTDELQLWLHKVGEKGEKVTQLLDEYSKICCVTGPCCLNCISRYSISRRAINLLNEITQLKGEQSKVSFIEQHPPKPVPESHRIVGQKNSSNVDIARSYLADERVGIIGIWGMGGVGKTTLLKKIRQSLSGDANMGFNHVLFIEASKDIQPEELRKQ >DRNTG_15958.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:353902:355907:-1 gene:DRNTG_15958 transcript:DRNTG_15958.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKTGSSWLMAVKRAFRSSPPKDNEDDEKKREKRRWVFRKSSGQEQKPAVSEAPVTVEQRRAMAVAVATAATAEAAVVAAQAAAEVVRLARPSASFVREHYAAIVIQTAFRGYLARRALRALKGLVKLQALVRGHNVRKQANMTLRCMQALVRVQARVRDLRLRLIHEGGGGPAASASSSKSSFSCDASIWESKHLQEFSERRSMSREEMEERPRTMEEIQALLQSRKDAALKREKALSYAFSQQAWRPERSIEERSETEEQQPRWLEQWMASRASYDYASSISRGRTSMDHRDPIKTLEVDTARPFSYSTPSTARRPAPPLAHLHRSPATPSPLKARPLHVRSASPRCSYSSATSGPAAAVRNQSQTAVPNYMAATESAKARVRSQSAPRQRPATPERDRAAARKRLSFPVPAPEPCYGGYSLRSPSFKSAAGRMVGEQRSNVSSSYADSIGGEISPCSTTDLRRWLR >DRNTG_00461.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30801497:30805721:-1 gene:DRNTG_00461 transcript:DRNTG_00461.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDITFLAHNPLIEKFRDIRAHKRKIKKALAKKNRDQANRLLNQ >DRNTG_00461.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30801497:30804979:-1 gene:DRNTG_00461 transcript:DRNTG_00461.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVNWNKPREAGTSFRRNDGEKSFAGKEEFDKALVDKCSQGVNENSINQDELWNEVAIGSHNRVIIYMVYV >DRNTG_00461.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30805092:30805721:-1 gene:DRNTG_00461 transcript:DRNTG_00461.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAASPSSPFFSSVLDPLERLSACRSTNALQGKRRRGTRPGTSPGPRLFITSRLVSPASGSYVFSRGYSLESPRRRSRETTRPIII >DRNTG_00461.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30801497:30805721:-1 gene:DRNTG_00461 transcript:DRNTG_00461.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDITFLAHNPLIEKFRDIRAHKRKIKKALAKKNRDQANRLLNQ >DRNTG_06383.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16653425:16654262:-1 gene:DRNTG_06383 transcript:DRNTG_06383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTEFLQYKMKVSLLSQKQTQKPNALAQSEVLSGDNLQNGAMTDYEPDSRTSKSDTEDMTISNNTSMHVKRAKRNAPLEVSRQSTPSSGK >DRNTG_06660.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000295.1:49998:61064:1 gene:DRNTG_06660 transcript:DRNTG_06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSNCQTVWATNQSGGQGNYVFILQKDRNLAVYGPVTWQTKTSLAGSDGLLIDSNDTIFGALPANKNTEEAKATRISMVVNK >DRNTG_16986.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000862.1:9:11364:-1 gene:DRNTG_16986 transcript:DRNTG_16986.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAPVFSLDQVKDMVYLHAALAESLRLYPPVPLQTRTSLEDDVLPDGTAVKKGQTVMYSSYAMGRRKEIWGPEWGDFRPERWIEKGEFRAVSPFTYPVFHAGPRMCLGKEMAHIQMKAIAAAVLERFEIEVVDGEKERVKDLGIMLRIKGGLPVRVHPLVY >DRNTG_02003.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13473990:13477936:-1 gene:DRNTG_02003 transcript:DRNTG_02003.2 gene_biotype:protein_coding transcript_biotype:protein_coding DHLVHLFEETSVTTSDFSNSDELKSSPRLLNDSEN >DRNTG_02003.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13473624:13477936:-1 gene:DRNTG_02003 transcript:DRNTG_02003.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSPLRVFSMIVKTPTPMKYKNQMSFEAMFRHEAMPERFEAAATPVVTPVSPKQREEPGRECDNEGERQRRRNEPETAMVFPEDDKAAAERRSGEREKAAAEATQ >DRNTG_02003.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:13473624:13474257:-1 gene:DRNTG_02003 transcript:DRNTG_02003.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSPLRVFSMIVKTPTPMKYKNQMSFEAMFRHEAMPERFEAAATPVVTPVSPKQREEPGRECDNEGERQRRRNEPETAMVFPEDDKAAAERRSGEREKAAAEATQ >DRNTG_16017.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17565298:17566092:-1 gene:DRNTG_16017 transcript:DRNTG_16017.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISITSMLKPSTCPNNNHHLHLTATKPTTLPSSWETNKDEISWRRRRCMATLACAVISSGVIIFAGEANSIAAVNTDHPGRPVMGMAVKEKLVKWSDQRRCPPWHANSLETIVPENLPRPSIRQRSDGRASFSSQTAPKILDFVRTNAGCFSL >DRNTG_17620.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4436556:4439474:-1 gene:DRNTG_17620 transcript:DRNTG_17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVWHKTRPFLSLHLILRASVRFNCSSSEGCVQSESLSWRVERLPKGESVVSAFQSWMGEGFAIHRGDIFHTINRLRKLKMNKRALEVMEWVIRERPYKLRELDYSYLLEFTTKLHGVSQGESLFLRIPHAYQNELLYNNLVMACLDKGLVRHSLAYMRKMRELGFPISPYVYNRLIILHSPSGHQKTIPKILRQMKADGVTPHTSTYNILLKIRSDEHDIEGLSKVFNEMKKSNVEPNEITYGTLAIAHAVARLYTVAETYVDAIEKSKTGHNWSTLDVLLLLYGYLGKEKELERTWKLVGELPHVKSQSFLLVIEAFGRMGLVDRAEEVWSEMKSKRGLKLTKQFNSMMAVYCRNGLITKATDLFKEMMVTECKPNAITYRHLALGCLKAGLVKEALKTMRIGNDQTLSFQVRKSVPWLETTYMLLVGFADIGDIENARNLLEEFKRLKYSKYTFVFNTLLRTYVKAEVYDPNLLREMILAGARPDAETYSLVRLIEQFKD >DRNTG_17620.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4436556:4439474:-1 gene:DRNTG_17620 transcript:DRNTG_17620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHKGLVRHSLAYMRKMRELGFPISPYVYNRLIILHSPSGHQKTIPKILRQMKADGVTPHTSTYNILLKIRSDEHDIEGLSKVFNEMKKSNVEPNEITYGTLAIAHAVARLYTVAETYVDAIEKSKTGHNWSTLDVLLLLYGYLGKEKELERTWKLVGELPHVKSQSFLLVIEAFGRMGLVDRAEEVWSEMKSKRGLKLTKQFNSMMAVYCRNGLITKATDLFKEMMVTECKPNAITYRHLALGCLKAGLVKEALKTMRIGNDQTLSFQVRKSVPWLETTYMLLVGFADIGDIENARNLLEEFKRLKYSKYTFVFNTLLRTYVKAEVYDPNLLREMILAGARPDAETYSLVRLIEQFKD >DRNTG_28787.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:21700484:21703306:-1 gene:DRNTG_28787 transcript:DRNTG_28787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYSQGRTHNHGGHPVYSILGSFDLVIDLEGQQVMGFIGIGGSSDGELGGRAKMKRKRGFGKERS >DRNTG_03132.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:21506260:21510260:-1 gene:DRNTG_03132 transcript:DRNTG_03132.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSSSSSSSSSSSSSSSSSSSSSSSSSSSS >DRNTG_19801.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1639349:1640245:-1 gene:DRNTG_19801 transcript:DRNTG_19801.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVTADPSDWDFDPFSLSVDGDKLRGRGTTDCLGHVALVAELMRRLGETRPALKNTVVAVFIANEENSSVLGIGVDALVKDGLLNKLKKGPLFWIDTADKQPCIGTGGMIAWKLRATGKLF >DRNTG_15107.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1706188:1715197:1 gene:DRNTG_15107 transcript:DRNTG_15107.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIQQQTSLGVVKKDYHSTSNFSGGLKNDKASSSFTSPSLRNDAFSATLEYRSKRFIEQSKQPGDKRVLNTTLSKLAITSLEFSEALPFAAFASLLVEMVARLDLVIREVEELGRAAHFKKSSEMDEINIDMKDGFKMSNMSLREMPSNVGLHGAE >DRNTG_15107.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1713731:1715197:1 gene:DRNTG_15107 transcript:DRNTG_15107.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIQQQTSLGVVKKDYHSTSNFSGGLKNDKASSSFTSPSLRNDAFSATLEYRSKRFIEQSKQPGDKRVLNTTLSKLAITSLEFSEALPFAAFASLLVEMVARLDLVIREVEELGRAAHFKKSSEMDEINIDMKDGFKMSNMSLREMPSNVGLHGAE >DRNTG_20414.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29199662:29206190:1 gene:DRNTG_20414 transcript:DRNTG_20414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAPINFWIGASLLSFMPEDVALILSLCCDGDAVVFQKKKTYGRQRDSIKRTLEQIATYKWLMEDVPQATARVQARFTGIKTNMGYIKGCSVTLNIWFYELTFTGKKVRFGKTLRMLCYGENSYRKQTSIENTLSSLEGNEFPELVAVNADEDVFVRATRPREGGWGGGCGALLLPNYLLDAKMRG >DRNTG_00143.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2380024:2381407:-1 gene:DRNTG_00143 transcript:DRNTG_00143.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVISWEPRIFIYHNLLSNEECEYLIKLALPHMQQSTVIDKNTGGNKSSRQRTSSGMFLKRGHDSIIQAIEKRIADFTFIPIGRDL >DRNTG_00143.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2380024:2380742:-1 gene:DRNTG_00143 transcript:DRNTG_00143.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSTVIDKNTGGNKSSRQRTSSGMFLKRGHDSIIQAIEKRIADFTFIPIGRDL >DRNTG_00143.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2377853:2381780:-1 gene:DRNTG_00143 transcript:DRNTG_00143.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASWRSSDRWLWVDGIGERGGQWMEVISWEPRIFIYHNLLSNEECEYLIKLALPHMQQSTVIDKNTGGNKSSRQRTSSGMFLKRGHDSIIQAIEKRIADFTFIPIEHGEGLQILHYEVGQKYDPHYDHFHNADRIATVLMYLSDVEEGGETVFPLANNTMNSISRSSNLSECGKMGLSVKPKKGDALLFWSLKPDGSLDTTSLHGGCPVLKGNKWSSTKWLRVNKYL >DRNTG_00143.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:2380024:2381780:-1 gene:DRNTG_00143 transcript:DRNTG_00143.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASWRSSDRWLWVDGIGERGGQWMEVISWEPRIFIYHNLLSNEECEYLIKLALPHMQQSTVIDKNTGGNKSSRQRTSSGMFLKRGHDSIIQAIEKRIADFTFIPIGRDL >DRNTG_06387.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21079273:21083064:-1 gene:DRNTG_06387 transcript:DRNTG_06387.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKAVLGLPITEKSSFIPSSSSLFLRGTKQSMLCFNNSPLVFPLDQRRTCRSIKVKAPVASVSEEVRKKVVENPRKLKVRAAVTVRRKNKEDFKEALVKQLDALTDKIGRNVVLELISTEVNPKTRIQKKSGEAVIKDWYEKKNVKGERVVYTADFTVDTAFGLPGAVTVLNRHQREFFLESITIEGFEFGPVHFPCNSWVQSTKDHSTKRIFFSNQPYLPSETPEGLKELREKELKEIRGNGKGIRKLSDRVYDYATYNDLGNPDKGPELVRPILGGKKIPYPRRCRTGRPPTDTDLLAESRVEKPHPVYVPRDEAFEELKQGAFSAGRLKAVLHSLIPSLIATISSDKHNFQGFHDIDLLYKEGVLLKMQIQDHLLRKLPIVRKIQESSEGLLRYDTPSILSKDKFAWLRDDEFGRQALAGINPVNIEKLQVFPPISKLDPAIYGPPESAITKQHIAGNLNGMTIEQALQEEKLFMLDYHDIYLPFIDRINAMEDRKAYATRTIYFLTPLGTMKPVAIELTLPPPSPGLPRPSQVLTPPCDATTNWLWQLAKAHVGSNDAGVHQLVNHWLRTHATMEPFILAAHRQLSAMHPVFKLLDPHMRYTLEINALARQNLINADGVIESCFTPGPYCMEISADYYDKHWRFDLEGLPADLIRRGMAVEDATQPHGLRLVIDDYPYANDGLLIWFEIEKWVRTYIKPYYPNPGSVRSDPELQSWYNESIHVGHGDKRHASWWPQLSTPDDLIAILTTLIWLASAQHAALNFGQYPLGGYVPSRPPLMRRLVPESARDPKDYAEFLGDPHKYFLAAMPGVLTATKFMAVVDTLSTHSPDEEYLGERQQPHTWTADKEAVEAFQEFAVAMKEIEEEIGNRNRDTSRRNRCGAGVLPYELLVPSSQPGVTCRGVPNSVSI >DRNTG_13085.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13248912:13249583:-1 gene:DRNTG_13085 transcript:DRNTG_13085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHLEPKMLSKINLVLLVLLTQSITHTLLKGQVINACDFRVDQLGPCLSMQEKNTSENEVCCNAISLAISAGYRCFCSILSSPNLNFMASISPLLNLSLELPFLGCHLASPSLSVCQGIEMSSSSSQAVAPSVGIVSNLTVKEEPNSSMNYIAPQSNFTDSSAEIMKIKEGWHAVTFVVFISFSF >DRNTG_19027.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22556613:22558664:-1 gene:DRNTG_19027 transcript:DRNTG_19027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRIIVALLISMIVGTSFSHSSPAGSPMIPSPSPAPAPMISSPAPAPTITPSIAPVIPPPSTAVPASAPSPTSPDSAPESSAEALVSRPVIAAVVALGS >DRNTG_19027.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22556613:22556996:-1 gene:DRNTG_19027 transcript:DRNTG_19027.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRIIVALLISMIVGTSFSHSSPAGSPMIPSPSPAPAPMISSPAPAPTITPSIAPVIPPPSTAVPASAPSPTSPDSAPESSAEALVSRPVIAAVVALGS >DRNTG_12615.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20453262:20460819:1 gene:DRNTG_12615 transcript:DRNTG_12615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWDEIDTIGTSPSPRSGHAAACHADRYLLIFGGGSHATCFNDLHVLDLKIMEWSRPKEQGVTPAPRAGHAGVTVGESWFIVGGGDNKNGVSETLVLNMTTLLWSVVTTVQGRVPVASEGLSLVVGSYNGEDFLVAFGGYNGRYSNEVYVLKPSHKSEVQPKVVESPASESMAALLPTNASRDVEPDSETAPDVKMREIVMDNGERATLNIASEDANRQLVEAIKTEKEELEAALAKEQMQNLQLKQDLSEADSRNIELTKELQSIRGQLVAEQSRCFKLEVDVAELRQKLQTMETLEKEVELLRRQKAASEQAALNEKQRQSSGGVWGWLAGSPPDKTDS >DRNTG_06809.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3778831:3783478:1 gene:DRNTG_06809 transcript:DRNTG_06809.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFQKHHQNERVVGLHNKLKDVGYDIQDLESEMTYMELERKVQEINKAEEEEVGDSTSSQFRGKRSFPFRLPTAFLSKKKRGVPASSQSSSLSTDEDIVRQVTSIIKQLNSIESKLKEETMLEELFDQIKLNGVYDPREYHFTENERVTTSSTNERKIYGRNDEIQRLIEFLTRPNVNDSNISVVPIVGMGGIGKTTLAQFVFNDKEIENHFDEKAWIYVSNHFDRCRITKEMLHVINPNVQCCNTSNLDFLERELQRHLTGKKFLLVLDDIWSDEWQWLLAPLQSSQAHAIKIIVTCRDPMVLRSIDERNKIILKGIDHQEYWSLFLNCAFAENNPDNYSQKLHDIGRRIVKKLMGSPLAAKTVGKLLGRDLTEKHWNDVLENDLWKLKTDAHDILPALALSYYHLPSHLQPCFVYCSLLRYRYFKMEELIYMWIANGYIHESGSNSKTMHDIGEKYCYELLAMGFFDKVDSTEVKMHDLMHDLAQLVSHGEICIYKSGKDEKLSKNARHVYVEDSIDPGLVCETNNLRTFVLEGVGSMFALFNHGAFKKIRMLVISNDNLQEFPDVICYLKHLQYLDLLRTHITSIPESLCGLYQLKVLKLPRSLLTLPSQVHSLINLEILYTGNRRNGLMCMQLRNLNNLRGRLSIVALEIIRNKKEATKAKLNEKCHIKKLNLHWNIDTVDACKNDVQEVLEGLQPHPDLEQLNIEAYMGTKTPGWLMTLALQKLQKLSLIKCKNWACLPAALGLLASLKVLHLDDIGNITIECDDSVPKMFPSLELLQLHRATVSFKSMSSSLSSSRSLTTPGHSKFFPRLQELIVDKCDEVNGLLLPHLSTLKALHLKASGGLQSQVPRCLQNFTSLTSIYLLFIKGLKTETTIEIGAQQQQEGWVLPNLKYIKIECCEDKSFMQDLLLRVPSLEILCLSKCSPVSLLAVGRLSFLRDIELEEVELILEDLAPVFPSLNELRILKKSTIIFQNVPSSVTTQIHNCFPCLGFLNIQECDELVNGLHWSISSQLPQCLYGLSSLTNLYFSGTKIENFPIEVMATLHALSWLELNHCNELSSVEGLQALPSLRYLYIFNCPKFRTWSIEEMPMLYEIDIRSCQDLASMPPWLHRLPLLKNLRIHKCPKFDSLPEDGLPSSLETLEIIECEPGLMERCQQEQSPEWLMIQHIPKRKYIY >DRNTG_31121.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001667.1:99462:100350:-1 gene:DRNTG_31121 transcript:DRNTG_31121.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTSTLFMTIPSSSFTRRNTSLISLRPFPATSKLFGLDQARGGRVTSMAVYNVKLLTPDGPVEIQCPDDVYIMDCAEEQGIDLPYSCRSGSCSSCAGKVVEGVVDQEDQSFLDDEQMENGFVLTCIALPRSNLVIETHKEEEIA >DRNTG_26186.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29107270:29115620:1 gene:DRNTG_26186 transcript:DRNTG_26186.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKKANSKVAVPAVSAPTSTALKKGKRNAEEAIEKPVNAKKQKKDIEEVPKGKNNGKPKKAMNVPPPKKKSETSSSDDTNSSDSEEEVIVPQKKSAKIVKSPAPGSSSDESSSDEEPAEKAKIPAKKPGNSVTKNGPAAVSAKNAKKESSSDSDSESEEETEARVAAASKKPTTAAKNGAAVISKKKESSDSDSDSSDSDEEPVPAAKKQTAAPAKNGKAVSASKKEDSSDGADSEGSSDEEAVAASVKKPSAPVQQKKPASSDSSEDSSDDDEPPTAKSTVSVTKQQVADKKHPRLDKSTAKSDSSEDSSDESDEEPKEKKLKGAVATVGKANAKTAKKESSESESDDSSDEEPAQTKSSKAAKESDSDEDEDSEDEDSEDEQPTKTPKKDTDVEMVDVSKKTPKTPASNQSQVSGTCTLFVGNLPFNAGQDEVYDFFKEAGEVVAVRLASSEDGRSRGFGHVDFASAEDANKALELNGQELNGRAVRLDLARERGSYTPASGNENKSFQKGGRGQSNTIFVKGFDKNLEEDQIRSSLEEHFGSCGDIGRVSIPKDYESGYPKGIAYMDFSDKDAFEAALELNGSDLSGHSLIVEAAKPKDDNRDGGGWSGGRGSGGRFGGRDSGGRFGGRDSGGRFGGRRGRGGGGGGRFGGGRGGRGGGGRGGRGGTPLKSTGKKTTFGDD >DRNTG_26186.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29107270:29115620:1 gene:DRNTG_26186 transcript:DRNTG_26186.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKKANSKVAVPAVSAPTSTALKKAGKRNAEEAIEKPVNAKKQKKDIEEVPKGKNNGKPKKAMNVPPPKKKSETSSSDDTNSSDSEEEVIVPQKKSAKIVKSPAPGSSSDESSSDEEPAEKAKIPAKKPGNSVTKNGPAAVSAKNAKKESSSDSDSESEEETEARVAAASKKPTTAAKNGAAVISKKKESSDSDSDSSDSDEEPVPAAKKQTAAPAKNGKAVSASKKEDSSDGADSEGSSDEEAVAASVKKPSAPVQQKKPASSDSSEDSSDDDEPPTAKSTVSVTKQQVADKKHPRLDKSTAKSDSSEDSSDESDEEPKEKKLKGAVATVGKANAKTAKKESSESESDDSSDEEPAQTKSSKAAKESDSDEDEDSEDEDSEDEQPTKTPKKDTDVEMVDVSKKTPKTPASNQSQVSGTCTLFVGNLPFNAGQDEVYDFFKEAGEVVAVRLASSEDGRSRGFGHVDFASAEDANKALELNGQELNGRAVRLDLARERGSYTPASGNENKSFQKGGRGQSNTIFVKGFDKNLEEDQIRSSLEEHFGSCGDIGRVSIPKDYESGYPKGIAYMDFSDKDAFEAALELNGSDLSGHSLIVEAAKPKDDNRDGGGWSGGRGSGGRFGGRDSGGRFGGRRGRGGGGGGRFGGGRGGRGGGGRGGRGGTPLKSTGKKTTFGDD >DRNTG_28157.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:520890:523855:-1 gene:DRNTG_28157 transcript:DRNTG_28157.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cyclic nucleotide-gated ion channel 15 [Source:Projected from Arabidopsis thaliana (AT2G28260) UniProtKB/Swiss-Prot;Acc:Q9SL29] MAAYSNSRSMRFEDDIESKPRTMFTLSKSNKVHPEKQQQSLKHKMLSRVFSEDYSSAKLKKKILDPRGSIIHRWNKIFLLACIVSLFVDPLFFYLTETQSSDCINIDTPLEVVLTIIRSLADVFYAMHIFIKFRTAYVAPSSRVFGRGELIIDPSKIACRYINSKSFWLDLFAALPLPQFMIWFVIPGLKGSMIRNTKNIIQLIIIVQYIPKLFLIFPLSSQIVKSTGVLLETAWAGAVYNLILYMLASHVLGACWYLLGIDRKEGCWREACQLQSSLCQFDYFDCQSISDTRNTWYQSSNLSDMCSPNSSFYDFGIYGEALSSRITSLSFFHKYFYCLWWGLKNLSSLGQNLSTSTHIDEIIFAIIIAILGLVLFGLLIGNMQSYLQSTTVRIEEWRIKKTDTEQWMRHRQLPPDLRQYVRRYEQYRWLATRGVDEEALLNSLPMDLRRDIKRHLCLDLVRRVPLFDQMDERMLEAICERLRPALYTDGTYVVRELDPVNEMIFIIRGHLDSTTTDGGRTGFFNSCRIGPGDFCGEELLTWALDPRPSACLPSSTRTVRAISEVEAFALVSSDLKFVASQFRRLHSKQLRQKFRFKSLVIHITT >DRNTG_28157.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:520890:523690:-1 gene:DRNTG_28157 transcript:DRNTG_28157.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cyclic nucleotide-gated ion channel 15 [Source:Projected from Arabidopsis thaliana (AT2G28260) UniProtKB/Swiss-Prot;Acc:Q9SL29] MFTLSKSNKVHPEKQQQSLKHKMLSRVFSEDYSSAKLKKKILDPRGSIIHRWNKIFLLACIVSLFVDPLFFYLTETQSSDCINIDTPLEVVLTIIRSLADVFYAMHIFIKFRTAYVAPSSRVFGRGELIIDPSKIACRYINSKSFWLDLFAALPLPQFMIWFVIPGLKGSMIRNTKNIIQLIIIVQYIPKLFLIFPLSSQIVKSTGVLLETAWAGAVYNLILYMLASHVLGACWYLLGIDRKEGCWREACQLQSSLCQFDYFDCQSISDTRNTWYQSSNLSDMCSPNSSFYDFGIYGEALSSRITSLSFFHKYFYCLWWGLKNLSSLGQNLSTSTHIDEIIFAIIIAILGLVLFGLLIGNMQSYLQSTTVRIEEWRIKKTDTEQWMRHRQLPPDLRQYVRRYEQYRWLATRGVDEEALLNSLPMDLRRDIKRHLCLDLVRRVPLFDQMDERMLEAICERLRPALYTDGTYVVRELDPVNEMIFIIRGHLDSTTTDGGRTGFFNSCRIGPGDFCGEELLTWALDPRPSACLPSSTRTVRAISEVEAFALVSSDLKFVASQFRRLHSKQLRQKFRFYSHHWRTWAACFIQAAWRRHKRRRSLKELSEAEGIIELMEFEVTESETNVPNPGPEAFVARLVASSRRGTTAEDVVVGMVKPLKKPTEPDFSVDEDI >DRNTG_28157.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:520890:523855:-1 gene:DRNTG_28157 transcript:DRNTG_28157.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cyclic nucleotide-gated ion channel 15 [Source:Projected from Arabidopsis thaliana (AT2G28260) UniProtKB/Swiss-Prot;Acc:Q9SL29] MAAYSNSRSMRFEDDIESKPRTMFTLSKSNKVHPEKQQQSLKHKMLSRVFSEDYSSAKLKKKILDPRGSIIHRWNKIFLLACIVSLFVDPLFFYLTETQSSDCINIDTPLEVVLTIIRSLADVFYAMHIFIKFRTAYVAPSSRVFGRGELIIDPSKIACRYINSKSFWLDLFAALPLPQFMIWFVIPGLKGSMIRNTKNIIQLIIIVQYIPKLFLIFPLSSQIVKSTGVLLETAWAGAVYNLILYMLASHVLGACWYLLGIDRKEGCWREACQLQSSLCQFDYFDCQSISDTRNTWYQSSNLSDMCSPNSSFYDFGIYGEALSSRITSLSFFHKYFYCLWWGLKNLR >DRNTG_28157.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:520890:521848:-1 gene:DRNTG_28157 transcript:DRNTG_28157.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cyclic nucleotide-gated ion channel 15 [Source:Projected from Arabidopsis thaliana (AT2G28260) UniProtKB/Swiss-Prot;Acc:Q9SL29] MDERMLEAICERLRPALYTDGTYVVRELDPVNEMIFIIRGHLDSTTTDGGRTGFFNSCRIGPGDFCGEELLTWALDPRPSACLPSSTRTVRAISEVEAFALVSSDLKFVASQFRRLHSKQLRQKFRFYSHHWRTWAACFIQAAWRRHKRRRSLKELSEAEGIIELMEFEVTESETNVPNPGPEAFVARLVASSRRGTTAEDVVVGMVKPLKKPTEPDFSVDEDI >DRNTG_07004.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1746714:1750007:1 gene:DRNTG_07004 transcript:DRNTG_07004.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARAARVRLCADGGANRVYDEMPKLFPDQDPLDVRYRYKPDVIKGDMDSIRSEVQDFYANMGTKVVDESHDQDTTDLHKCINFILDCTPDPDKSNLCILVAGALGGRFDHEIGNINVLYRFSPTRIILLSDDCLIYLLPKTHHHEIYIQSNVEGPHCGLIPVGAPSTSTTTTGLKWDLNKMGMNFGGLISTSNVVRDEKVTVHSDADLLWTISIQKLI >DRNTG_07004.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1746714:1750007:1 gene:DRNTG_07004 transcript:DRNTG_07004.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMIHASRFLLPSLAVAGAAGEPSVVPNYALVVLNQQLPRFSPLLWKHARVRLCADGGANRVYDEMPKLFPDQDPLDVRYRYKPDVIKGDMDSIRSEVQDFYANMGTKVVDESHDQDTTDLHKCINFILDCTPDPDKSNLCILVAGALGGRFDHEIGNINVLYRFSPTRIILLSDDCLIYLLPKTHHHEIYIQSNVEGPHCGLIPVGAPSTSTTTTGLKWDLNKMGMNFGGLISTSNVVRDEKVTVHSDADLLWTISIQKLI >DRNTG_25922.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1832602:1834152:-1 gene:DRNTG_25922 transcript:DRNTG_25922.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVHISTPIFNTIRNSSIEYLAKAKEATASSSSSYHFVLNFSALTIQQQHQLYSILLNIQIFFSHLKEKLTKSINTLHPSVVPNEGQQPRNTATVLEELKSLVASITRFSDESLESIGRVASETVTEVTQRVQALEKELEETKASARFYNAIFSAVVMVLAAALAPISTLAVAPFLERFDRVWEDVMEKSILDNHKVCQTILCRISFAIVKAGKILDSIHGLSDMVTDSVYARSSSLVGNDGSAFNDSKMKPFEGNTVVIRPSVIANRLYDLKTNSLEGKGKAVMGLSSYSSSSSPVLVNDSNDFSEMRDAKLEGKVVVVGKGKAVMGLSSYSSSPVLVNDSNDFSKIRDAKLEGKVVVVNDSGALQEEGQLQEARFIGPPPFLEIGDATKRLLESGEGHGCRHGSSGDG >DRNTG_08614.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:5708824:5710659:-1 gene:DRNTG_08614 transcript:DRNTG_08614.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMSSSWTTINKNTEHYSSCPSAIRDALDMRNLFDNCVDMEFGESATAKYPLVHDMETPRQKQGSVDCAVYVMRFIEQLLWCKKLRLPQTDVPYLRLRYVTRILKEGRAAGVHNKGGSSQAG >DRNTG_35304.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22682160:22685828:-1 gene:DRNTG_35304 transcript:DRNTG_35304.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSDEPQTLAPQEALSHPLKRKADLDSVEEFEADCKDKLPKLDSSPGAGLDDNGVEKFGPESEEVIAEVVDRKGKGKAVVEDEDDDDGDDSSSESSADGTMGDEDDSDFDDDPLAEVDLDNILPSRTRRRAPPPPGAYLVTDQDGDDDDDDDEVEGVEE >DRNTG_35304.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:22685066:22685828:-1 gene:DRNTG_35304 transcript:DRNTG_35304.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSDEPQTLAPQEALSHPLKRKADLDSVEEFEADCKDKLPKLDSSPGAGLDDNGVEKFGPESEEVIAEVVDRKGKGKAVVEDEDDDDGDDSSSESSADGTMGDEDDSDFDDDPLAEVDLDNILPSRTRRRAPPPPGAYLVTDQDGDDDDDDDEVEGVEE >DRNTG_11072.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12100806:12107159:-1 gene:DRNTG_11072 transcript:DRNTG_11072.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSPKPLEMEPSLGLQPFISGLPDDLPLNCLLHFPVSTHQKFLAACRRFTRKIQWHVLDLTHFSWHTIHIMLGCYHAGPNRFGCIAIPQDGILIVAAVYDPKTNAWESMTVGMREGWTGLSAALDGHLFVEGSPLPKKMISKPLSVSSAKAFMLQWGISKRGVAACWMEMFNPDSYEGFLDQEMENKEVMTLGLEEKVPSTPGIVKKMLRKMKRVRRHHKKSPKAIGDAQDKPLSEAKSVFLRAHRGVHLPLLVSPLESYMRRSKKHDGKRPRESSLEAKLMDFLIPEHQARFERHAYVTAYEPWGFKGPSPSQ >DRNTG_09713.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:728397:730687:-1 gene:DRNTG_09713 transcript:DRNTG_09713.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFLRKFFPSVYRKQLANQTTNQYCKFDSQLLTTFTSSLYLAALIASFFASTVTRVFGRKWSMFGGGIIFLVGAAINGAAKDVAMLIIGRILLGIGVGFANQSVPVYLSEMAPARLRGMLNMGFQLMITIGILVANLINYGTAKIKGGWGWRISLALAAVPAAIITLGSLFLPDTPNSLIERGYDEKARNMLRRIRGTDDITLEYNDLVVASEESKAVKHPWRNILQRKYRAQLTMVIFIPFFQQFTGINVI >DRNTG_09713.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:728397:730952:-1 gene:DRNTG_09713 transcript:DRNTG_09713.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAIVNTAGGKDYPGKMTLFVFLTCLVAATGGLIFGYDLGISGGVTSMNSFLRKFFPSVYRKQLANQTTNQYCKFDSQLLTTFTSSLYLAALIASFFASTVTRVFGRKWSMFGGGIIFLVGAAINGAAKDVAMLIIGRILLGIGVGFANQSVPVYLSEMAPARLRGMLNMGFQLMITIGILVANLINYGTAKIKGGWGWRISLALAAVPAAIITLGSLFLPDTPNSLIERGYDEKARNMLRRIRGTDDITLEYNDLVVASEESKAVKHPWRNILQRKYRAQLTMVIFIPFFQQFTGINVI >DRNTG_27376.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:6278372:6283007:-1 gene:DRNTG_27376 transcript:DRNTG_27376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIQLKDDYNNRGCLVGSCSLNCWAKYKISQRSIKLIKEIKNLKTEYDAFKEKTEAQPPRAIREIPTSSIAVGKIIKLNLEKVHGYLADDNVSMVGIWGMGGVGKTTLLNEINNSLQGGGANMGFKHVIYLVVSKEPQFEKLQKEISKRLGLPSNSEKSDIFEFLKNKDFLMLLDDMWKAVDLPETLGIPLPHHQSQSWED >DRNTG_03170.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:19577925:19580866:1 gene:DRNTG_03170 transcript:DRNTG_03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLPLLLFAYYYFFIVSVHSENQAERLLSLLKLKATHRIKWKESTMNVRQDDDNDTVYLSRQNGLKNVDKITRLPGQPNPVNFDQYAGYVTVNPRNERALFYYFVEASKNSSSSKNKPLVLWLNGGPGCSSLGTGAMSELGPFFVKRDSKTLYENAHAWNKIANILFLESPAGVGFSYSNMTTDYAKSGDRRTARDSYTFLINWLERFPEYKNRNFFITGESYAGHYIPQLANLILKNNRNKSHTTIKLKGIAIGNAYIETRNNVKGIYEYYWCRSLISNETYNRIKSTCNFDVNEDSNDCEKEMKLADDEHGIIDYYNIYAPVCSDSDSRNFMRNGLVSEHYFSTDPCSANYIKSYLNLPEVQKALHANNTNTLKFLQ >DRNTG_25438.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4393586:4399467:-1 gene:DRNTG_25438 transcript:DRNTG_25438.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDEALLDGIIARLIAPKAAAGKGSKQVQLSEPEVRQLCVSSKEIFLAQPNLLQIEAPVKICGCGRWV >DRNTG_25438.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4393586:4399467:-1 gene:DRNTG_25438 transcript:DRNTG_25438.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDEALLDGIIARLIAPKAAAGKGSKQVQLSEPEVRQLCVSSKEIFLAQPNLLQIEAPVKICGDIHGQYSDLLRLFEYGGLPPNAKYLFLGDYVDRGKHSIETICLLLAYKIKYPDNLFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALVDEKILCMHGGLSPDLKDLNQIREIVRPVDVPDEGLVCDLLWSDPEKDFEGWGENDRGVSYTFGCDVVVEFLQKHDLDLVCRAHQVKLCPLIKLQRYLVLYDN >DRNTG_25438.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4393586:4399467:-1 gene:DRNTG_25438 transcript:DRNTG_25438.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDEALLDGIIARLIAPKAAAGKGSKQVQLSEPEVRQLCVSSKEIFLAQPNLLQIEAPVKICGDIHGQYSDLLRLFEYGGLPPNAKYLFLGDYVDRGKHSIETICLLLAYKIKYPDNLFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALVDEKILCMHGGLSPDLKDLNQIREIVRPVDVPDEGLVCDLLWSDPEKDFEGWGENDRGVSYTFGCDVVVEFLQKHDLDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDEELTCSFQILKPIEKKGKSLCANNLLRPGTPPKKRNYDFKLTDSHGDAEE >DRNTG_25438.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:4395868:4399467:-1 gene:DRNTG_25438 transcript:DRNTG_25438.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDEALLDGIIARLIAPKAAAGKGSKQVQLSEPEVRQLCVSSKEIFLAQPNLLQIEAPVKICGDIHGQYSDLLRLFEYGGLPPNAKYLFLGDYVDRGKHSIETICLLLAYKIKYPDNLFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALVDEKILCMHGGLSPDLKDLNQIREIVRPVDVPDEGLVCDLLWSDPEKDFEGWGENDRGVSYTFGCDVVVEFLQKHDLDLV >DRNTG_14630.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2361071:2365782:-1 gene:DRNTG_14630 transcript:DRNTG_14630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-carboxy-1,4-naphthoquinone phytyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G60600) UniProtKB/Swiss-Prot;Acc:Q0WUA3] MVLVAASSLSFAVLLSASPPPRPNRIVLKMSRSRCLAVSRSSAPGPAPEAEISRATLLWRAAKLPIYSVAVVPLTVGSSAAYLETGIFFARRYLLLLISSVLIITWLNLSNDVYDFDTGADLDKKESVVNIVGSRELVYFAAIVSLALGFMGLFWAFIAAGDIRFILLVMFAVFCGYVYQVQTCHSFQK >DRNTG_14630.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2359413:2365782:-1 gene:DRNTG_14630 transcript:DRNTG_14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-carboxy-1,4-naphthoquinone phytyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G60600) UniProtKB/Swiss-Prot;Acc:Q0WUA3] MVLVAASSLSFAVLLSASPPPRPNRIVLKMSRSRCLAVSRSSAPGPAPEAEISRATLLWRAAKLPIYSVAVVPLTVGSSAAYLETGIFFARRYLLLLISSVLIITWLNLSNDVYDFDTGADLDKKESVVNIVGSRELVYFAAIVSLALGFMGLFWAFIAAGDIRFILLVMFAVFCGYVYQCPPFRLSYQGLGEPLCFAAFGPFATIAFYLSQSGKTFAGEPCLLPDKQNSFIRLIPCWFDNHPDSFLQPFSPD >DRNTG_30170.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:16504891:16527271:-1 gene:DRNTG_30170 transcript:DRNTG_30170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVKELASKRPRNTMPTPKNPTLSILIIRRSVEFRRPNYVAHFMT >DRNTG_13804.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10266641:10275888:-1 gene:DRNTG_13804 transcript:DRNTG_13804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIDNISMNSFLFNGAFNLQECSVRVGNNLNHVTLNNTIFDNVVKNNWAWHPISSKASTVAAVYDYLTLRVLLLLFEKVGISFGNSRSPLALRPLFENWLMIPLKSWAGVQAFFFANGLFSRNTFNPFQLTHFSLSVYSDAAWDLLTGKAGFGFFISTNHNAILLAGAAKDFCASSLEAKLQAILLALEHCRMNGWTPCKLFSDCHCAIQLINDFNDITAWRFSYTIQAIMIITHSWPDFSWEHIDRDFNTLTDRLAHYGSSKPQISLFFQGPWTPEEDITLVSYIQEHGPSNWRAIPLNTGLKRCIKSCKFRWTNYLRPRIKHGNFTGQMEKLIIHFQALLGNSSFVSKRPFIIFHVITSNPSPFHGGAKDGDIGIDVHQFHTIMLPIILDQFLSTEVYSEWSKVVVGVGEIMKTGDDLSNFAGMMGIPEPGVKVVESEKNVRLTGDGNAIRGRNLGN >DRNTG_08394.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4655551:4659637:1 gene:DRNTG_08394 transcript:DRNTG_08394.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLQRGHNPRFSHKSRQQTLQNVCPQ >DRNTG_19199.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2242669:2246304:-1 gene:DRNTG_19199 transcript:DRNTG_19199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIQADFGFFDPKPGDFGGVKLLLQNYLDNKPWDLSGFVDLILEQTTVGTVVKSDGGDDQGDEVNDDEDDLYAVISALNLGRYAEHECIRELKKFLFEACGDENVKKKLRTLLEKQANEVGLLVSQRFVNCPHQLVPPLYDALFDEVSWATEDEPTQELRDSFHFKCYLLVTRIYMRKKVDQQKTVTSPDCNEAVVYIKAEDEIFQELSSFSFTFRLHNEQLVPYELRNYNPVGLVMVIKAEAVPKFRERLKSLLAES >DRNTG_25286.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31592949:31601903:-1 gene:DRNTG_25286 transcript:DRNTG_25286.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Albino or Glassy Yellow 1 [Source:Projected from Arabidopsis thaliana (AT4G01800) UniProtKB/TrEMBL;Acc:F4JG57] MAMATSPFPTATPVSMSKPTAFIPNKVLTPSTNFLHNPHTAISHLPWKPRKSRGLTPRRKLPEPVSASLGGLLGGIFKGTDTGEGTRQKYADTVGLITRLEPEISKLSDSELRERTSVLKERARNNESLDSLLPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMRTGEGKTLVAVLPAYLNALSGKGVHIVTVNDYLARRDCEWVGQVPRFLGLQVGLIQQNMSSAQRRENYLCDITYVTNSELGFDYLRDNLATSVDELVLRDFNFCVIDEVDSILIDEARTPLIISGPAEKPSDSYYKAAKIAAAFERDLHYTVDEKQKTVLLTEQGYEDTEEILNVKDLYDPREQWALYILNAIKAKELFLRDVNYIIRGKEVLIVDEFTGRVMQGRRWSDGLHQAVEAKEGLPIQNETVTLASISYQNFFLQFPKLCGMTGTAATESTEFESIYKLKVTIVPTNKLMIRKDESDVVFRVANGKWRAVVIEITRMHKTGRPVLVGTTSVEQSDALSEQLHEAGIPHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREMLMPRVVKPIEGVFESVKKLPPRKTWKVQ >DRNTG_25286.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31592949:31601903:-1 gene:DRNTG_25286 transcript:DRNTG_25286.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Albino or Glassy Yellow 1 [Source:Projected from Arabidopsis thaliana (AT4G01800) UniProtKB/TrEMBL;Acc:F4JG57] MAMATSPFPTATPVSMSKPTAFIPNKVLTPSTNFLHNPHTAISHLPWKPRKSRGLTPRRKLPEPVSASLGGLLGGIFKGTDTGEGTRQKYADTVGLITRLEPEISKLSDSELRERTSVLKERARNNESLDSLLPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMRTGEGKTLVAVLPAYLNALSGKGVHIVTVNDYLARRDCEWVGQVPRFLGLQVGLIQQNMSSAQRRENYLCDITYVTNSELGFDYLRDNLATSVDELVLRDFNFCVIDEVDSILIDEARTPLIISGPAEKPSDSYYKAAKIAAAFERDLHYTVDEKQKTVLLTEQGYEDTEEILNVKDLYDPREQWALYILNAIKAKELFLRDVNYIIRGKEVLIVDEFTGRVMQGRRWSDGLHQAVEAKEGLPIQNETVTLASISYQNFFLQFPKLCGMTGTAATESTEFESIYKLKVTIVPTNKLMIRKDESDVVFRVANGKWRAVVIEITRMHKTGRPVLVGTTSVEQSDALSEQLHEAGIPHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREMLMPRVVKPIEGVFESVKKLPPRKTWKVNENILPCDLSERTISTAEAAVELAVKAWGKRSLTELEAEERLSYSCEKGPIRDEIIAQLRDAFTKIVGEYKIYTEEERKKVVSAGGLHVVGTERHEARRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMRAFRVEDLPIESKMLTKALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYTERRRALQSDDLQPLMIEYAELTMDDILEANIGRDTPKESWELAKLIAKLQQYCYLLNDLTPELIESKCSSYDELQDYLRYQGRQAYFQKKEMVEQQAPGLMKEAERFLTLSNIDRLWKEHLQAIKFVQQAVSLRGYAQRDPLIEYKLEGYNLFLEMMAQIRRNVIYAIYQFQPVMVKSQEQGDDKPSGKEANVREADNDANPIEAAQAA >DRNTG_20711.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17957844:17958581:1 gene:DRNTG_20711 transcript:DRNTG_20711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEYHSENKKQKVSLPPPPPPPPPPTTITSTTAAPFGQAISPIPGVPFSYGLVPLQRPPAMPGYPIVNMPPFPGPPAAYHGFQGPEPPVGLFNPPLVPPTPPPVPPTPPPVSRPLN >DRNTG_32432.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10907882:10910064:1 gene:DRNTG_32432 transcript:DRNTG_32432.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTVPHSRTCYLQQPNIKWSRNLPKPSPPPPLGSEPSKYSCP >DRNTG_32432.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10907882:10910064:1 gene:DRNTG_32432 transcript:DRNTG_32432.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTVPHSRTCYLQQPNIKWSRNLPKPSPPPPLGSEPSKYSCP >DRNTG_32432.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10907882:10910064:1 gene:DRNTG_32432 transcript:DRNTG_32432.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTVPHSRTCYLQQPNIKWSRNLPKPSPPPPLGSEPSKYSCP >DRNTG_32432.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10907882:10910064:1 gene:DRNTG_32432 transcript:DRNTG_32432.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTVPHSRTCYLQQPNIKWSRNLPKPSPPPPLGSEPSKYSCP >DRNTG_32432.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:10907882:10910064:1 gene:DRNTG_32432 transcript:DRNTG_32432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTVPHSRTCYLQQPNIKWSRNLPKPSPPPPLGSEPSKYSCP >DRNTG_24854.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29443446:29444174:-1 gene:DRNTG_24854 transcript:DRNTG_24854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYSQECPVSDLHHQTVHGATQLSQGFSNTDMHSQPFFGSSHLSQRTTERDRRGI >DRNTG_24854.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29443572:29444174:-1 gene:DRNTG_24854 transcript:DRNTG_24854.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYSQECPVSDLHHQTVHGATQLSQGFSNTDMHSQPFFGSSHLSQRTTERDRRGI >DRNTG_04233.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30316738:30320262:1 gene:DRNTG_04233 transcript:DRNTG_04233.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVGALLMLRALSLAPVSVIQPVSGCGLAILSVFSHFYLKEVMNTLDWVGIAFAGAGTIGVGVGGEEQDVSSVSLLRLPWLLFAVAILFVLLNTWLHIYKSKRREQELMQSEVVEEIIFGLESGILFGMASVISKLGFMFSSLGFSKILVPICIAISICCSATGFVYQTRGLKHGRAIVVSTCAAVASIVTGVLAGMLALGEQMPSAPSARLFLLLGWILIISGVILLVSSTKLIALLRRPLRQSLRSNSEKNQTLRRSMSSRGKDLSTSTVIQASTLHHLLKEKT >DRNTG_04233.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30319040:30320262:1 gene:DRNTG_04233 transcript:DRNTG_04233.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEVVEEIIFGLESGILFGMASVISKLGFMFSSLGFSKILVPICIAISICCSATGFVYQTRGLKHGRAIVVSTCAAVASIVTGVLAGMLALGEQMPSAPSARLFLLLGWILIISGVILLVSSTKLIALLRRPLRQSLRSNSEKNQTLRRSMSSRGKDLSTSTVIQASTLHHLLKEKT >DRNTG_04233.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30319756:30320262:1 gene:DRNTG_04233 transcript:DRNTG_04233.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLALGEQMPSAPSARLFLLLGWILIISGVILLVSSTKLIALLRRPLRQSLRSNSEKNQTLRRSMSSRGKDLSTSTVIQASTLHHLLKEKT >DRNTG_04233.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30319456:30320262:1 gene:DRNTG_04233 transcript:DRNTG_04233.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASVISKLGFMFSSLGFSKILVPICIAISICCSATGFVYQTRGLKHGRAIVVSTCAAVASIVTGVLAGMLALGEQMPSAPSARLFLLLGWILIISGVILLVSSTKLIALLRRPLRQSLRSNSEKNQTLRRSMSSRGKDLSTSTVIQASTLHHLLKEKT >DRNTG_04233.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30318242:30320262:1 gene:DRNTG_04233 transcript:DRNTG_04233.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEVVEEIIFGLESGILFGMASVISKLGFMFSSLGFSKILVPICIAISICCSATGFVYQTRGLKHGRAIVVSTCAAVASIVTGVLAGMLALGEQMPSAPSARLFLLLGWILIISGVILLVSSTKLIALLRRPLRQSLRSNSEKNQTLRRSMSSRGKDLSTSTVIQASTLHHLLKEKT >DRNTG_04233.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30316091:30320262:1 gene:DRNTG_04233 transcript:DRNTG_04233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVGALLMLRALSLAPVSVIQPVSGCGLAILSVFSHFYLKEVMNTLDWVGIAFAGAGTIGVGVGGEEQDVSSVSLLRLPWLLFAVAILFVLLNTWLHIYKSKRREQELMQSEVVEEIIFGLESGILFGMASVISKLGFMFSSLGFSKILVPICIAISICCSATGFVYQTRGLKHGRAIVVSTCAAVASIVTGVLAGMLALGEQMPSAPSARLFLLLGWILIISGVILLVSSTKLIALLRRPLRQSLRSNSEKNQTLRRSMSSRGKDLSTSTVIQASTLHHLLKEKT >DRNTG_04233.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30318614:30320262:1 gene:DRNTG_04233 transcript:DRNTG_04233.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLCDQVLLNTWLHIYKSKRREQELMQSEVVEEIIFGLESGILFGMASVISKLGFMFSSLGFSKILVPICIAISICCSATGFVYQTRGLKHGRAIVVSTCAAVASIVTGVLAGMLALGEQMPSAPSARLFLLLGWILIISGVILLVSSTKLIALLRRPLRQSLRSNSEKNQTLRRSMSSRGKDLSTSTVIQASTLHHLLKEKT >DRNTG_30242.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:196396:196797:1 gene:DRNTG_30242 transcript:DRNTG_30242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPTELKEKPNSNEDKKRRRPPSAEEMLAHYEAQGLDTREASLKAIKELQLLLYRSVSSARPGAKKDDDSTRKLDNVYTRLAILDMKLDSKPNFPQSLAIGVTAQAIFTGLSTIYKVVRSATRGSPPPSS >DRNTG_04006.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25849285:25853158:-1 gene:DRNTG_04006 transcript:DRNTG_04006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSKTSVMAIKAYQYKAEVLVKDYLLADPFVHYTSVFGGIFMCKMVYDLTQLISSYYFKGYASLTKIQRIEWNNRGMSSVHAIFIAAMSLYLVFFSDLFSDDLANGPITYRNSQLSSFTLGVSVGYFFTDLVMIFWLYPCLGGMEYVLHHALSACAIIYAMLSGEGQIYTYMVLISEATTPGVNLRWFLDTAGMKRSTAYLVNGVVVFFAWLVARILLFIYLFYHVHTHYDQIEQMHTAGYLLVFIVPASLGVMNLMWFMKILKGLLKTLAKRQ >DRNTG_04006.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25849285:25853158:-1 gene:DRNTG_04006 transcript:DRNTG_04006.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSKTSVMAIKAYQYKAEVLVKDYLLADPFVHYTSVFGGIFMCKMVYDLTQLISSYYFKGYASLTKIQRIEWNNRGMSSVHAIFIAAMSLYLVFFSDLFSDDLANGPITYRNSQLSSFTLGVSVGYFFTDLVMIFWLYPCLGGMEYVLHHALSACAIIYAMLSGEGQIYTYMVLISEATTPGVNLRWFLDTAGMKRSTAYLVNGVVVFFAWLVARILLFIYLFYHVHTHYDQIEQMHTAGYLLVFIVPASLGVMNLMWFMKILKGLLKTLAKRQ >DRNTG_04006.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25849285:25853158:-1 gene:DRNTG_04006 transcript:DRNTG_04006.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSKTSVMAIKAYQYKAEVLVKDYLLADPFVHYTSVFGGIFMCKMVYDLTQLISSYYFKGYASLTKIQRIEWNNRGMSSVHAIFIAAMSLYLVFFSDLFSDDLANGPITYRNSQLSSFTLGVSVGYFFTDLVMIFWLYPCLGGMEYVLHHALSACAIIYAMLSGEGQIYTYMVLISEATTPGVNLRWFLDTAGMKRSTAYLVNGVVVFFAWLVARILLFIYLFYHVHTHYDQIEQMHTAGYLLVFIVPASLGVMNLMWFMKILKGLLKTLAKRQ >DRNTG_23501.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21775123:21777820:-1 gene:DRNTG_23501 transcript:DRNTG_23501.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKEREAHVYMAKLSEQAERYDEMVEAMKKVAKLDLELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNENNVKLIKGYRQKVEEELTKICNDILSIIDEHLIPASSTGESSVFYYKMKGDYYRYLAEFKSEQDKKEAADQSMKAYQAASNTAKHGFAINSSNSPWSCSQLFSVLL >DRNTG_23501.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21775123:21777820:-1 gene:DRNTG_23501 transcript:DRNTG_23501.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKEREAHVYMAKLSEQAERYDEMVEAMKKVAKLDLELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNENNVKLIKGYRQKVEEELTKICNDILSIIDEHLIPASSTGESSVFYYKMKGDYYRYLAEFKSEQDKKEAADQSMKAYQACQDNSFIYIYILITVR >DRNTG_23501.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21775123:21777820:-1 gene:DRNTG_23501 transcript:DRNTG_23501.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKEREAHVYMAKLSEQAERYDEMVEAMKKVAKLDLELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNENNVKLIKGYRQKVEEELTKICNDILSIIDEHLIPASSTGESSVFYYKMKGDYYRYLAEFKSEQDKKEAADQSMKAYQAASNTAKHGFAINSSNSPWSCSQLFSVLL >DRNTG_23501.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21775123:21777820:-1 gene:DRNTG_23501 transcript:DRNTG_23501.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKEREAHVYMAKLSEQAERYDEMVEAMKKVAKLDLELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNENNVKLIKGYRQKVEEELTKICNDILSIIDEHLIPASSTGESSVFYYKMKGDYYRYLAEFKSEQDKKEAADQSMKAYQACQDNSFIYIYILITVR >DRNTG_33926.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1135664:1137059:1 gene:DRNTG_33926 transcript:DRNTG_33926.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKDKLPFMVSHIIDNTELHDNWGYENGSAQSSSKYSMRD >DRNTG_33926.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1135664:1137124:1 gene:DRNTG_33926 transcript:DRNTG_33926.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKDKLPFMVSHIIDNTELHDNWGYENGSAQSSSKYSMRD >DRNTG_02380.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7743056:7744284:-1 gene:DRNTG_02380 transcript:DRNTG_02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHNNILPKILVITTLVLIIFGETATGDTHTECGVAQTAFGMCVPYVLGQDPQLSSQCCQGVQSVHSLATTPESQKSICQCLRLLLLSLGNINSARATTLSSHCGTSTSVIPTSLSFDCAKLA >DRNTG_09714.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:722282:725281:-1 gene:DRNTG_09714 transcript:DRNTG_09714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLFACFGRGASSSSTTTDKPASSPDLHDTADQAAEEQRRGGAVLVELFSSQGCGTSTDAEAIASRVGRGDLGLDDVPVVVLAWHVDYWDYRGWKDPFGSSVWTVRQKAFVESLQLDTLYTPQVVIQGRTQCLGTDENSIADAARAAPRFPSPAMKATFHKSSPDMLQVSFSGTLGSKVDSNGADVMVVLYESGLVTNCERGENKGRALNNDHVVRRSEKLLTVKDVSTKKKLSGSVQFPLWESFNRAKCGIVLFVQNRSLQTFGVQHFQIPDTI >DRNTG_17920.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:187501:187996:1 gene:DRNTG_17920 transcript:DRNTG_17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding DCGKQVYLGGFDTAHAAARAYDRAAIKFRGIDADINFALEDYEEDMKQMSNLSKEEFVLVLRRQSAGFPRGSSRF >DRNTG_09350.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:609455:609962:1 gene:DRNTG_09350 transcript:DRNTG_09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSLPGGSKKLLLCIAEHGKGCMEPNPVVEVHSRRLQLRETTIRPRSPIGNQRTGQSRPPPPSII >DRNTG_19195.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:168835:170039:-1 gene:DRNTG_19195 transcript:DRNTG_19195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTPEPSSSLSITSSSVVSNGSSAPAGTSLEIVSLSRLSCNLERLLIDQELDCSDADIVPADGAPVGVHRCILAARSPFFRELFSRNPRPEGRPRYVMSELVPQGKVGREAFMAFLSYLYTGKLRPAPVEVSTCVDHMCAHDACRPAINFVVELMYASSVFQIPELVSLFQ >DRNTG_25947.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001341.1:69515:70325:-1 gene:DRNTG_25947 transcript:DRNTG_25947.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDNRRNNPEEGYPATQPIECRKNELKEIQ >DRNTG_14863.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2843187:2846052:1 gene:DRNTG_14863 transcript:DRNTG_14863.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRSALLVRQFIRASPFVGLAQRSSIRLVQPQVGALRIRCAASNAGDGEKKVSARLALMQQLLQGAEERALSAGSEPTPKITLDHVTVSFARSGGPGGQNVNKVNTKVDMRFNVKNAHWLSERVRERIFQMEKNRINKDGEIVISSTKTRTQKLTFIPSPCF >DRNTG_14863.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2843187:2846052:1 gene:DRNTG_14863 transcript:DRNTG_14863.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRSALLVRQFIRASPFVGLAQRSSIRLVQPQVGALRIRCAASNAGDGEKKVSARLALMQQLLQGAEERALSAGSEPTPKITLDHVTVSFARSGGPGGQNVNKVNTKVDMRFNVKNAHWLSERVRERIFQMEKNRINKDGEIVISSTKTRTQKGNIEDALEKLQAIIDAASYVPPPPSEEQKKRIQKLAAIEEQKRMQNKKALSQKKSIRRNRDSWD >DRNTG_14863.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2843187:2846052:1 gene:DRNTG_14863 transcript:DRNTG_14863.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRSALLVRQFIRASPFVGLAQRSSIRLVQPQVGALRIRCAASNAGDGEKKVSARLALMQQLLQGAEERALSAGSEPTPKITLDHVTVSFARSGGPGGQNVNKVNTKVDMRFNVKNAHWLSERVRERIFQMEKNRINKDGEIVISSTKTRTQKLTFIPSPCF >DRNTG_14863.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2843187:2846052:1 gene:DRNTG_14863 transcript:DRNTG_14863.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRSALLVRQFIRASPFVGLAQRSSIRLVQPQVGALRIRCAASNAGDGEKKVSARLALMQQLLQGAEERALSAGSEPTPKITLDHVTVSFARSGGPGGQNVNKVNTKVDMRFNVKNAHWLSERVRERIFQMEKNRINKDGEIVISSTKTRTQKGNIEDALEKLQAIIDAASYVPPPPSEEQKKRIQKLAAIEEQKRMQNKKALSQKKSIRRNRDSWD >DRNTG_32058.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:5362798:5363410:1 gene:DRNTG_32058 transcript:DRNTG_32058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKEKGRARRMEKSRRNGELGDGGEEGEGGPSHARTTDEEARDNTKI >DRNTG_29174.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24296350:24297127:-1 gene:DRNTG_29174 transcript:DRNTG_29174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAERRLLANALLDFSNQRFVLLSESCIPLFNFATVYTYLINSTHTYIESYDDP >DRNTG_05681.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:134103:138065:-1 gene:DRNTG_05681 transcript:DRNTG_05681.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQPSSALSRMVSERAEVDTARPFRSVKEAVAIFGERIISCDTAYSQKINKSISHEITPRPTRSSFSSLPSYSSPSSQLQQDQEDDHVILNSLKKLEAELEETKRELMLLKERESEREVTVASLNAEIHKNMAKIAEIEAVGAANAAKTSIDTSEVKTESDIWEEERSMELEPSYEYLPSLAHVLRLGELDGNFDHKKKTKKLAKAKKKKPIIPLISDMFSRKKVFNEHYHSLYNYPFSGLS >DRNTG_05681.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:134103:138065:-1 gene:DRNTG_05681 transcript:DRNTG_05681.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQPSSALSRMVSERAEVDTARPFRSVKEAVAIFGERIISCDTAYSQKINKSISHEITPRPTRSSFSSLPSYSSPSSQLQQDQEDDHVILNSLKKLEAELEETKRELMLLKERESEREVTVASLNAEIHKNMAKIAEIEAVGAANAAKTSIDTSEVKTESDIWEEERSMELEPSYEYLPSLAHVLRLGELDGNFDHKKKTKKLAKAKKKKPIIPLISDMFSRKKVFNEHYHSLYNYPFSGLS >DRNTG_05681.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:134103:138065:-1 gene:DRNTG_05681 transcript:DRNTG_05681.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQPSSALSRMVSERAEVDTARPFRSVKEAVAIFGERIISCDTAYSQKINKSISHEITPRPTRSSFSSLPSYSSPSSQLQQDQEDDHVILNSLKKLEAELEETKRELMLLKERESEREVTVASLNAEIHKNMAKIAEIEAVGAANAAKTSIDTSEVKTESDIWEEERSMELEPSYEYLPSLAHVLRLGELDGNFDHKKKTKKLAKAKKKKPIIPLISDMFSRKKVFNEHYHSLYNYPFSGLS >DRNTG_05681.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:134103:138065:-1 gene:DRNTG_05681 transcript:DRNTG_05681.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQPSSALSRMVSERAEVDTARPFRSVKEAVAIFGERIISCDTAYSQKINKSISHEITPRPTRSSFSSLPSYSSPSSQLQQDQEDDHVILNSLKKLEAELEETKRELMLLKERESEREVTVASLNAEIHKNMAKIAEIEAVGAANAAKTSIDTSEVKTESDIWEEERSMELEPSYEYLPSLAHVLRLGELDGNFDHKKKTKKLAKAKKKKPIIPLISDMFSRKKVFNEHYHSLYNYPFSGLS >DRNTG_05681.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:134103:138065:-1 gene:DRNTG_05681 transcript:DRNTG_05681.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQPSSALSRMVSERAEVDTARPFRSVKEAVAIFGERIISCDTAYSQKINKSISHEITPRPTRSSFSSLPSYSSPSSQLQQDQEDDHVILNSLKKLEAELEETKRELMLLKERESEREVTVASLNAEIHKNMAKIAEIEAVGAANAAKTSIDTSEVKTESDIWEEERSMELEPSYEYLPSLAHVLRLGELDGNFDHKKKTKKLAKAKKKKPIIPLISDMFSRKKVFNEHYHSLYNYPFSGLS >DRNTG_05681.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:134103:138065:-1 gene:DRNTG_05681 transcript:DRNTG_05681.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQPSSALSRMVSERAEVDTARPFRSVKEAVAIFGERIISCDTAYSQKINKSISHEITPRPTRSSFSSLPSYSSPSSQLQQDQEDDHVILNSLKKLEAELEETKRELMLLKERESEREVTVASLNAEIHKNMAKIAEIEAVGAANAAKTSIDTSEVKTESDIWEEERSMELEPSYEYLPSLAHVLRLGELDGNFDHKKKTKKLAKAKKKKPIIPLISDMFSRKKVFNEHYHSLYNYPFSGLS >DRNTG_05681.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:134103:138065:-1 gene:DRNTG_05681 transcript:DRNTG_05681.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQPSSALSRMVSERAEVDTARPFRSVKEAVAIFGERIISCDTAYSQKINKSISHEITPRPTRSSFSSLPSYSSPSSQLQQDQEDDHVILNSLKKLEAELEETKRELMLLKERESEREVTVASLNAEIHKNMAKIAEIEAVGAANAAKTSIDTSEVKTESDIWEEERSMELEPSYEYLPSLAHVLRLGELDGNFDHKKKTKKLAKAKKKKPIIPLISDMFSRKKVFNEHYHSLYNYPFSGLS >DRNTG_05681.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:134103:138065:-1 gene:DRNTG_05681 transcript:DRNTG_05681.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQPSSALSRMVSERAEVDTARPFRSVKEAVAIFGERIISCDTAYSQKINKSISHEITPRPTRSSFSSLPSYSSPSSQLQQDQEDDHVILNSLKKLEAELEETKRELMLLKERESEREVTVASLNAEIHKNMAKIAEIEAVGAANAAKTSIDTSEVKTESDIWEEERSMELEPSYEYLPSLAHVLRLGELDGNFDHKKKTKKLAKAKKKKPIIPLISDMFSRKKVFNEHYHSLYNYPFSGLS >DRNTG_05681.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:134103:138065:-1 gene:DRNTG_05681 transcript:DRNTG_05681.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQPSSALSRMVSERAEVDTARPFRSVKEAVAIFGERIISCDTAYSQKINKSISHEITPRPTRSSFSSLPSYSSPSSQLQQDQEDDHVILNSLKKLEAELEETKRELMLLKERESEREVTVASLNAEIHKNMAKIAEIEAVGAANAAKTSIDTSEVKTESDIWEEERSMELEPSYEYLPSLAHVLRLGELDGNFDHKKKTKKLAKAKKKKPIIPLISDMFSRKKVFNEHYHSLYNYPFSGLS >DRNTG_16543.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:8692781:8694064:-1 gene:DRNTG_16543 transcript:DRNTG_16543.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLSSPSASPAVNLLDLACDFQVIKDYKNLAFTEYARVGRALALYEVGDRDEAIAEMEDVSISLKGYPGLTFG >DRNTG_18402.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3942290:3950249:1 gene:DRNTG_18402 transcript:DRNTG_18402.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDHRKDRVKTNPELLAAASLQDEKMTLTTGRTTQVIGWYHPHPHITILPTHVDVRTQAMYQLLDAGSIRLILSCFSKDAQKVGRIQEALHRSNLEVSGAEYVRKEVPLKVVPTIPLLKLEHPFNSFTDLQEELFKEDQAAYKEAISHNVQDGKIHPLTFIHLDSTYQAILCTLMEYLFKPSDKCTTRHFEGKRNSVIHINEGGRADAVIDDLGWDRDVVLAMAGTALGLRKQRRRSGGGTLQWWVLVRCSQGSEFGFPLCKMDEEEKNDEIEGESDVDDDIIDSARSPPAYSYFIPHVTSAFSSPEKRTYQGTRNHLTKDGHRRSPDLLSKLGPSLVFPNDLCLTPGNIVTKLDLILSKFSLQHIQA >DRNTG_25903.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19835020:19837008:1 gene:DRNTG_25903 transcript:DRNTG_25903.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTSESPVLVEFWAPWCGPCKMIAPVIDELAKEFAGKLTCCKVNTDDCPNIATKLGIRSIPTVLIFKNGERKESIVGAVPKSSLCTTLEKYLDT >DRNTG_25903.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19834938:19837008:1 gene:DRNTG_25903 transcript:DRNTG_25903.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQTCVQLSTILSSTTPNTKHIHPFQQKTKPSSNKNITISNFPTQLSLSQVSNFKTRFQFTCQAKNVVDEVVVVTNESWDKMVNTSESPVLVEFWAPWCGPCKMIAPVIDELAKEFAGKLTCCKVNTDDCPNIATKLGIRSIPTVLIFKNGERKESIVGAVPKSSLCTTLEKYLDT >DRNTG_25903.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19835020:19837008:1 gene:DRNTG_25903 transcript:DRNTG_25903.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALQTCVQLSTILSSTTPNTKHIHPFQQKTKPSSNKNITISNFPTQLSLSQVSNFKTRFQFTCQAKNVVDEVVVVTNESWDKMVNTSESPVLVEFWAPWCGPCKMIAPVIDELAKEFAGKLTCCKVNTDDCPNIATKLGIRSIPTVLIFKNGERKESIVGAVPKSSLCTTLEKYLDT >DRNTG_22651.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20563890:20565080:1 gene:DRNTG_22651 transcript:DRNTG_22651.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFMAKQLRPIIRRLPETIMTGERAALGGASSTPASPLERDSQLLKGWRNREADGVGLGILAALDKASGSEACRRFNVSAPVTIGGGDRRSGSRLRGCLEVSEVGSPAMDFLSCCYLCRKRLHGKDIYMYRGEKAFCSVECRYRQIVNDECKEKCGSEVSKVAPEMVSSPCSGGRLFFTGVVAV >DRNTG_22651.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20564099:20565080:1 gene:DRNTG_22651 transcript:DRNTG_22651.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFMAKQLRPIIRRLPETIMTGERAALGGASSTPASPLERDSQLLKGWRNREADGVGLGILAALDKASGSEACRRFNVSAPVTIGGGDRRSGSRLRGCLEVSEVGSPAMDFLSCCYLCRKRLHGKDIYMYRGEKAFCSVECRYRQIVNDECKEKCGSEVSKVAPEMVSSPCSGGRLFFTGVVAV >DRNTG_22651.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20564059:20565080:1 gene:DRNTG_22651 transcript:DRNTG_22651.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFMAKQLRPIIRRLPETIMTGERAALGGASSTPASPLERDSQLLKGWRNREADGVGLGILAALDKASGSEACRRFNVSAPVTIGGGDRRSGSRLRGCLEVSEVGSPAMDFLSCCYLCRKRLHGKDIYMYRGEKAFCSVECRYRQIVNDECKEKCGSEVSKVAPEMVSSPCSGGRLFFTGVVAV >DRNTG_22651.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20563890:20566216:1 gene:DRNTG_22651 transcript:DRNTG_22651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMAKQLRPIIRRLPETIMTGERAALGGASSTPASPLERDSQLLKGWRNREADGVGLGILAALDKASGSEACRRFNVSAPVTIGGGDRRSGSRLRGCLEVSEVGSPAMDFLSCCYLCRKRLHGKDIYMYRGEKAFCSVECRYRQIVNDECKEKCGSEVSKVAPEMVSSPCSGGRLFFTGVVAV >DRNTG_22651.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20564099:20566216:1 gene:DRNTG_22651 transcript:DRNTG_22651.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFMAKQLRPIIRRLPETIMTGERAALGGASSTPASPLERDSQLLKGWRNREADGVGLGILAALDKASGSEACRRFNVSAPVTIGGGDRRSGSRLRGCLEVSEVGSPAMDFLSCCYLCRKRLHGKDIYMYRGEKAFCSVECRYRQIVNDECKEKCGSEVSKVAPEMVSSPCSGGRLFFTGVVAV >DRNTG_22651.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20564059:20566216:1 gene:DRNTG_22651 transcript:DRNTG_22651.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMAKQLRPIIRRLPETIMTGERAALGGASSTPASPLERDSQLLKGWRNREADGVGLGILAALDKASGSEACRRFNVSAPVTIGGGDRRSGSRLRGCLEVSEVGSPAMDFLSCCYLCRKRLHGKDIYMYRGEKAFCSVECRYRQIVNDECKEKCGSEVSKVAPEMVSSPCSGGRLFFTGVVAV >DRNTG_07267.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:515841:521325:-1 gene:DRNTG_07267 transcript:DRNTG_07267.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MAEA homolog [Source:Projected from Arabidopsis thaliana (AT3G55070) UniProtKB/Swiss-Prot;Acc:Q9M2V9] MEVSMGLPPDGGSIISNSSVSAAVPAGPTTPSTRLIQIAESLRLEHQFLRVPFEHLKKTIRGNHRSVEKEVSVVLSGVADAADRSEGMSKEDAVTHLTSLVSRLQGLKRKLEEGNRTENLQAQRCRARLNHLDGVDAGNMSDWSNTRLKRILVDYMLRMSYYDTAAKMAEISNMQDLVDIDAFLDAKRVIDSLHNKEVAPALAWCIDNRARLKKSKSKFEFQLRLQEFIELVRAENNLQAITYARKYLAPWGATHMKELQRVMATLAFKSSTECVNYKVLFEPKQWDYLVEQFKHEFCKLYGMTLEPLLNIYLQAGLSALKTPFSYEEGCPIEDPLSQDVFRELAAPLPFSKQHHSKLVCHITKELMDTENPPLVLPNGYVYSTKALEEMAKSNDGKVTCPRTGAVFNYTQLVKAFIS >DRNTG_07267.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:515841:521325:-1 gene:DRNTG_07267 transcript:DRNTG_07267.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MAEA homolog [Source:Projected from Arabidopsis thaliana (AT3G55070) UniProtKB/Swiss-Prot;Acc:Q9M2V9] MTLEPLLNIYLQAGLSALKTPFSYEEGCPIEDPLSQDVFRELAAPLPFSKQHHSKLVCHITKELMDTENPPLVLPNGYVYSTKALEEMAKSNDGKVTCPRTGAVFNYTQLVKAFIS >DRNTG_07267.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:515841:521325:-1 gene:DRNTG_07267 transcript:DRNTG_07267.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MAEA homolog [Source:Projected from Arabidopsis thaliana (AT3G55070) UniProtKB/Swiss-Prot;Acc:Q9M2V9] MTPPPRWQKSAICRLDPSPQPSLDLVDIDAFLDAKRVIDSLHNKEVAPALAWCIDNRARLKKSKSKFEFQLRLQEFIELVRAENNLQAITYARKYLAPWGATHMKELQRVMATLAFKSSTECVNYKASLILFSL >DRNTG_07267.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:515841:521325:-1 gene:DRNTG_07267 transcript:DRNTG_07267.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MAEA homolog [Source:Projected from Arabidopsis thaliana (AT3G55070) UniProtKB/Swiss-Prot;Acc:Q9M2V9] MTPPPRWQKSAICRLDPSPQPSLDLVDIDAFLDAKRVIDSLHNKEVAPALAWCIDNRARLKKSKSKFEFQLRLQEFIELVRAENNLQAITYARKYLAPWGATHMKELQRVMATLAFKSSTECVNYKASLILFSL >DRNTG_07267.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:515841:521325:-1 gene:DRNTG_07267 transcript:DRNTG_07267.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MAEA homolog [Source:Projected from Arabidopsis thaliana (AT3G55070) UniProtKB/Swiss-Prot;Acc:Q9M2V9] MDTENPPLVLPNGYVYSTKALEEMAKSNDGKVTCPRTGAVFNYTQLVKAFIS >DRNTG_27058.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2718311:2719234:1 gene:DRNTG_27058 transcript:DRNTG_27058.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTVSFRGGVVDLSIDFHEGQLRWERRALTGYSSGSRGSPTGEPMGQR >DRNTG_20935.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:7591671:7593737:-1 gene:DRNTG_20935 transcript:DRNTG_20935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEEATTVYAEFNGLDFGEDEYEFANTEFHLDHIQETMSATQNVATNQRVSSESFTGTTRRNQDTNTESSTHRKKAKTKKGSVASTSGNFDDVFISNMQQMSKMCESTSTEFGRMVTCLEALASDVVRKAKDAEKLTDMKAQLHATISAIDGLTAEEVIKAGSIISLDSGKIDYLFSIPDEFKAIYIRCLLAGTL >DRNTG_18311.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2540331:2543342:1 gene:DRNTG_18311 transcript:DRNTG_18311.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARNGKLEGDIDTTAEKAALWAVLRNAVEVQVGGSAAAGVAIYGPMFSWFNHSCSPNACYRFEFSSRSEPEPGSLEPGSLRVFPFGPEQDADAWKAWLCSESQLLNGLCGDGPQVIVRSIKPIKKDEEVCITYVDLLQPKVARHADLWSKYRFVCACVRCSASPQQYVDRVISSDLRTLNSCDDDVDTKLKELADFLDQVIDKYLEDGNSQVCCEMVESMLLSESFGDKHKFKLHPFHHLSLSAYISLSSTYKVLANDFAEVNISLNFKMSRAAASYALLLAGATNHLLLSDVSLIANATLFWINAGEAILDLVKSLKWESDKAEYCINVTSPSASWNEFEETSVWCSNRISGTLINTWPFLVEGFPFLEKIEHPLNFTWLGLPKSGGEVDDPNSRKLDLHFESQFKASVVTIEPERRNLFQVATLCLYYGRYLASICYGPKSYLLDHINHLLLNA >DRNTG_17195.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:382078:385573:1 gene:DRNTG_17195 transcript:DRNTG_17195.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSRRRRLGLSLMNRTLESFSSLSRSMLLLLGEELDCNLVATKDSLEPITVHFKAGLGQKFRQPCGTGIDFSMFDKSELTVEGDAEVYPLAVKAEASLSDQESSGDNESTGTPNSQITQAMFVKKENGDYQVRVLKQILWVNGTRYELQEIYGIGNSVEGDFDGNDPGKECVICLSEPRDTAVLPCRHMCMCSGCAKVLRFQTNRCPICRQPVERLLEIKVNRAEEQNEAES >DRNTG_34007.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31666027:31666877:-1 gene:DRNTG_34007 transcript:DRNTG_34007.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPHIAGVVALLKSANPTWSPAMIKSAIMTTAEVHDNNGTLIKNYTMKTATPFSYGAGQVSPNRAMDPGLVYDITTNGYLNFLCNFYGPDDLKSFNDAPYACPSPVVKIEDLNYPVIMTFLDMFNNTMTATRTLTNVGTPGQYKVSVQEPSGVSVTITPPTLNFSKVGEQQQYHATLKLVNTSSYFEFGNIAWSDGKHNVIIPVVVGH >DRNTG_34007.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31666027:31667048:-1 gene:DRNTG_34007 transcript:DRNTG_34007.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSQGPNPLTLQILKPDITAPGESILASFTNATSPTLFGFDTRRVSFNVLSGTSMSCPHIAGVVALLKSANPTWSPAMIKSAIMTTAEVHDNNGTLIKNYTMKTATPFSYGAGQVSPNRAMDPGLVYDITTNGYLNFLCNFYGPDDLKSFNDAPYACPSPVVKIEDLNYPVIMTFLDMFNNTMTATRTLTNVGTPGQYKVSVQEPSGVSVTITPPTLNFSKVGEQQQYHATLKLVNTSSYFEFGNIAWSDGKHNVIIPVVVGH >DRNTG_31016.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30460029:30465937:1 gene:DRNTG_31016 transcript:DRNTG_31016.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFPGLLARDFGLRPQGKGAPMAPLAGSARSNQGPAFDDVFGGPPRFSQPRSPPSSFDSLFPGLKDPPPASKSSSLPVYDKPVYDDDIFDGVPGVRSSASGKFDDVFASMGSHEASAPPMGDFLESLGRPPAESKGSGSGRIGDEKEDLTGFDELIPGFGGSSVPRKRESPEASFRSSSVPASKSATNVADDPFVILETSTSANPSPGLFSDPLEQISSHTSYGSSKINDSSVSGGLFDDLGAFSGVNKSIPPFTSGNNEHGKDESVLKHGQNKGHNDPFADLEPQDHFSSEMMGNTMPKMSTTDGYQEQNHKLSEKRSTSPDPFEDLSRSGFQSSDSNARSYEQNAKVDPSPTFDETLEAAEDVWLTVSEIPLFTQPTSAPPPSRSPPSLVIKQGPSGKTDRSVNSKRKDDKSSSQFSPRFEDSIKNSRASTIEELEDFVMGKPQTYPTDRAEVLYGEDDFDRNSVAAASAAAMKEAMDKAEARFKHAKEVRERERDARSSRSKETAQQERDGRPILDPWDLGQEKQERLNSEREQREREEKEKEERRLEKERELGLEREREKARQAVERATREARERAAAEARSKAERAAVEKANAEARQRAERAAVQRAANEARERAAAEARQKAEKVAAEARERAAAEARIRAERAAVEKVTAEARERAAAEAREKEARERAAVERAAGEARLRAERAAVERAAAEARERAAAQARERAAAEARQKQQKNDNDLESFFNMGARANSAPKQRAMPSENFFDGQVPRKAVPDTAPRSSSTSSTIRKASSATNIVDDLSSIFGAAPSSGEFQDVEGETEERRRARFERHQRTLERAAKALAEKNERDMQTKRDQEERHRIAETLDTEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITGASVKKVYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLKEAWNKLNSEELF >DRNTG_31784.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001744.1:1:3833:-1 gene:DRNTG_31784 transcript:DRNTG_31784.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYIHIFSSVRQINIYIRSIEIDKSSLSAVMGVIRGLEPISKQSSKGIKNRSDETVIAELDGALLLSRNAFPYYMLVALEAGSLLRGVILLVCVPLVYIVTLFISRSLGIQMLVYISFAGLKIKDIDMVAAAVLPKFYTEDVHPEGWKMFNSFGKRCIVTTNPRIMVEPFVKSFLGADKVLGTELETTKSGRATEFLSANPVFFSGSS >DRNTG_32577.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:10977803:10978738:1 gene:DRNTG_32577 transcript:DRNTG_32577.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLCIAPPDSLRLSQVPAPVNRHPMLRRPPNSFSSDPHIDCCGVGAEAGNGSEKVGCVHGAGTRLCLWQRRGSLLQSEGSCRRVTSTGRMIRGCMIASGTALLVGRRHGWSHSVISNGVQVPIEKGCRNTTPFFIPYAITNMGSVLLAMDIGFMGVQSIRFQMLVLPLNYCFYAVANHIRRGEANIMIVDGTEAAIIPIGIGSFVACRALSQRNNGPKTTSRQGAGVLVIEKLGICNETGCTNYSRVPGWCCEL >DRNTG_09711.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:741545:743047:-1 gene:DRNTG_09711 transcript:DRNTG_09711.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFSYLLKDSTGNVNEDSIELNIEEFKKALANVDSQVKNLPATAQVAAQQGEYLARCFNRMKQCEENPEGPRRIREPGRHRFRPFRYRHLGQFAPLGGEQTAAQLPGDWISIGHSTQWLWYSVYASKQVSWRTRMLVVSDWTRRFIFGRDSSCI >DRNTG_04042.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11565668:11572267:-1 gene:DRNTG_04042 transcript:DRNTG_04042.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRAESRDKASASRTDSAELQQQPGVLGFFAR >DRNTG_15716.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4350230:4362660:-1 gene:DRNTG_15716 transcript:DRNTG_15716.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRNKEGGTVILSGPDGPPVTSVTSQNSELVLTPNVPDIMVLPPEDDHLRHVIDTMALHVLDGGCAFEQAVMERGRGNPLFNFLFELGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWIPPPLPAARSPDVEKDSTATYAAGRSRRVDLERALTDPQRDEFEDMLRALTLERSQIKDAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAYRTKFETTIPDIMESFNDLYRSITGRITAEALKERVMKVLQVWADWFLFSDAYVGGLRTTFLRSGNSGVIPFHSICGDALDVENKTGIGDFSEESKLNQDTVLAMGKGAAIEELLRLPLAELERRCRHNGLSLCGGREMMVARLLNLEDAEKHRGYDCDDDLRYGQNQSSKYSRDDSSWAAGNAGRRESSLGTEPSGSAGWNRHSEETIQVHGKGSASTLAPTLAIPQPELKAFRSKKEKSDSVLPASKWNREDDGTDEEDRKGSQGLGLSYSSSASEDAGGMEKVDDADMVPDAGFHHDSGISEEHRTKLRCLEVAVMEYRDSLEERGIRSSEEIEKKVAVRLRRLQSEFGLLDSSDSSNKRSSQKIERKERHDDAHDSSRKRHRSPSPSHSPHRKSSVRDKERESDATRDRDRHHDRSRSRETDGERLRDREKSGSRERDEQDRDRIRDRDRDRRRKG >DRNTG_15716.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4350230:4362660:-1 gene:DRNTG_15716 transcript:DRNTG_15716.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFSVTRKKTPFQKHREEEEAKKTRAEDETARLYAEFVESFQGSDTPGSKAFVRGGMINPNENIKNDSEGGKSKEGMSVPKKGSRYVPSFIPPASRGRELEKKKEDEKPKEKEKGKTRVIDNFLEELKHEQELREKRGQEREHWREGRHIDNSAPPSRFDELPDDFDPSGKLPGSFDDGDPQTTNLYVGNLSPQVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGKSVSLPAQALPAPPPGHMAIRNKEGGTVILSGPDGPPVTSVTSQNSELVLTPNVPDIMVLPPEDDHLRHVIDTMALHVLDGGCAFEQAVMERGRGNPLFNFLFELGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWIPPPLPAARSPDVEKDSTATYAAGRSRRVDLERALTDPQRDEFEDMLRALTLERSQIKDAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAYRTKFETTIPDIMESFNDLYRSITGRITAEALKERVMKVLQVWADWFLFSDAYVGGLRTTFLRSGNSGVIPFHSICGDALDVENKTGIGDFSEESKLNQDTVLAMGKGAAIEELLRLPLAELERRCRHNGLSLCGGREMMVARLLNLEDAEKHRGYDCDDDLRYGQNQSSKYSRDDSSWAAGNAGRRESSLGTEPSGSAGWNRHSEETIQVHGKGSASTLAPTLAIPQPELKAFRSKKEKSDSVLPASKWNREDDGTDEEDRKGSQGLGLSYSSSASEDAGGMEKVDDADMVPDAGFHHDSGISEEHRTKLRCLEVAVMEYRDSLEERGIRSSEEIEKKVAVRLRRLQSEFGLLDSSDSSNKRSSQKIERKERHDDAHDSSRKRHRSPSPSHSPHRKSSVRDKERESDATRDRDRHHDRSRSRETDGERLRDREKSGSRERDEQDRDRIRDRDRDRRRKG >DRNTG_16163.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14926336:14929471:1 gene:DRNTG_16163 transcript:DRNTG_16163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEARQQFESTAFKKNDKYTHQQLKGKQLKNPNSLPLCCHEENRSPSFLCRTDRHASHCTATKKAGEEITHTYKHIYGLSITASFHHHLRSLGRPNMAYFCSNCNILHGEPITIYSSKDRVDLARDFTFIDDMVKICIASLDTVKKSTGNYDKKHGL >DRNTG_15043.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5663247:5688582:1 gene:DRNTG_15043 transcript:DRNTG_15043.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAFLLLENIHNSSKLCVRSDCPRFSKDHPTLNVSLLHASEKKAYIVTSNGFIQNLLKHLDTSDNGASGLAKADNFQSLTNFDRATLNTSSGDSTTPCDGENIFHSEQEREISLALRDGNVGIHSVHELINFVHPRVLTAFDAGIGHQSLKSEQSRTGDDGDVITGELIGGEKLTNLKIHKLEQLLIINLVLLIEKHNHVRNPDLLGEKDVFLRLRHRTISAGNNKDSTIHLSSTSDHILHVVSMARAINMGVVARLSLILNGGSVNGDTASAFLRGCINLIVSLRGAVAHGGKGHGQRGGQCRLAMVDMADGADVHVGLLPLELAARRTHDEESAVPSSSPNPDKIKNPFTFSSLSPSISTLFSTPPIMASITTASLLPSPSLSSKPKPRLLSSLAASSSNSSPTLNLSRVAAGAGSQTLLKKRGGLFVVRAARGKFERKKPHVNIGTIGHVDHGKTTLTAALTMALSSMGNSAPKRYDEIDAAPEERARGITINTATVEYETESRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPNMVVFLNKQDQVDDEELLQLVDLEVRELLSSYEFPGDDIPIISGSALLALEALMANPSIKRGEDPWVDKIYELMDAVDSYIPIPQRQTDLPFLLAVEDVFSITGRGTVATGRVERGTVKVGETLEIVGLRETRNTIVTGVEMFQKILDEAIAGDNVGLLLRGMQKADIQRGMVLAKPGTITPHTKFTAVVYVLKKEEGGRHSPFFAGYRPQFYMRTTDVTGKVTSIMNDKDEESKMVMPGDRVKMVVELIVPIACEQGMRFAIREGGKTVGAGVIQSIIE >DRNTG_15043.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5663247:5688582:1 gene:DRNTG_15043 transcript:DRNTG_15043.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPRARSSGAASISSYLLGALLPMEERAMVSAAVSVVLPWSTWPMVPMFTWGFFRSNLLKKRGGLFVVRAARGKFERKKPHVNIGTIGHVDHGKTTLTAALTMALSSMGNSAPKRYDEIDAAPEERARGITINTATVEYETESRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPNMVVFLNKQDQVDDEELLQLVDLEVRELLSSYEFPGDDIPIISGSALLALEALMANPSIKRGEDPWVDKIYELMDAVDSYIPIPQRQTDLPFLLAVEDVFSITGRGTVATGRVERGTVKVGETLEIVGLRETRNTIVTGVEMFQKILDEAIAGDNVGLLLRGMQKADIQRGMVLAKPGTITPHTKFTAVVYVLKKEEGGRHSPFFAGYRPQFYMRTTDVTGKVTSIMNDKDEESKMVMPGDRVKMVVELIVPIACEQGMRFAIREGGKTVGAGVIQSIIE >DRNTG_15043.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5662823:5688582:1 gene:DRNTG_15043 transcript:DRNTG_15043.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITTASLLPSPSLSSKPKPRLLSSLAASSSNSSPTLNLSRVAAGAGSQTLLKKRGGLFVVRAARGKFERKKPHVNIGTIGHVDHGKTTLTAALTMALSSMGNSAPKRYDEIDAAPEERARGITINTATVEYETESRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPNMVVFLNKQDQVDDEELLQLVDLEVRELLSSYEFPGDDIPIISGSALLALEALMANPSIKRGEDPWVDKIYELMDAVDSYIPIPQRQTDLPFLLAVEDVFSITGRGTVATGRVERGTVKVGETLEIVGLRETRNTIVTGVEMFQKILDEAIAGDNVGLLLRGMQKADIQRGMVLAKPGTITPHTKFTAVVYVLKKEEGGRHSPFFAGYRPQFYMRTTDVTGKVTSIMNDKDEESKMVMPGDRVKMVVELIVPIACEQGMRFAIREGGKTVGAGVIQSIIE >DRNTG_15043.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5686690:5688582:1 gene:DRNTG_15043 transcript:DRNTG_15043.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASITTASLLPSPSLSSKPKPRLLSSLAASSSNSSPTLNLSRVAAGAGSQTLLKKRGGLFVVRAARGKFERKKPHVNIGTIGHVDHGKTTLTAALTMALSSMGNSAPKRYDEIDAAPEERARGITINTATVEYETESRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPNMVVFLNKQDQVDDEELLQLVDLEVRELLSSYEFPGDDIPIISGSALLALEALMANPSIKRGEDPWVDKIYELMDAVDSYIPIPQRQTDLPFLLAVEDVFSITGRGTVATGRVERGTVKVGETLEIVGLRETRNTIVTGVEMFQKILDEAIAGDNVGLLLRGMQKADIQRGMVLAKPGTITPHTKFTAVVYVLKKEEGGRHSPFFAGYRPQFYMRTTDVTGKVTSIMNDKDEESKMVMPGDRVKMVVELIVPIACEQGMRFAIREGGKTVGAGVIQSIIE >DRNTG_34887.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21867141:21869580:-1 gene:DRNTG_34887 transcript:DRNTG_34887.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKKGSKVEVLNTREAPLSSWWCAEIISSNGHNYVVKYDRKLPEMGASVEMVSMEVIRPCPPSAKSSRSWVPGDIVEVFDYGFWKPSVVSKVIEGDYFIVRLLGLHSRLRVHASDLRLRQSWQNNKWIVIGQDSRKYDEGKINRLAKEVRLSEQIAQPSEDYAGGQYIHFANVDVHDEHVQLPSKRGNKRARASLPSIDARNVPHRKRRSFKKEGRFQDNVEEHLPHLLEKVVAVASPQKVMGEIYMHASLNNRTTGFSQMDMARRKPSDDVEYDYITCVEPNDVGTMSSSVGSCSTSHSRYMPLHSMTCHVEASSSPSDEIDSCCGSGREESLPINEVLAAEVHKLELYAYRSTIEALYASGPLSWEQETLMTNLRLMLHISNDEHLMELRQLVSAEMS >DRNTG_34887.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21864427:21869080:-1 gene:DRNTG_34887 transcript:DRNTG_34887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKKGSKVEVLNTREAPLSSWWCAEIISSNGHNYVVKYDRKLPEMGASVEMVSMEVIRPCPPSAKSSRSWVPGDIVEVFDYGFWKPSVVSKVIEGDYFIVRLLGLHSRLRVHASDLRLRQSWQNNKWIVIGQDSRKYDEGKINRLAKEVRLSEQIAQPSEDYAGGQYIHFANVDVHDEHVQLPSKRGNKRARASLPSIDARNVPHRKRRSFKKEGRFQDNVEEHLPHLLEKERSWRHAKLTSLCPR >DRNTG_24414.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1321538:1322124:1 gene:DRNTG_24414 transcript:DRNTG_24414.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIISASIPRKGLGFMENEKGRRALMPEKKKRSLRVESKNEVRLL >DRNTG_24414.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1320601:1322124:1 gene:DRNTG_24414 transcript:DRNTG_24414.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIISASIPRKGLGFMENEKGRRALMPEKKKRSLRVESKNEVRLL >DRNTG_21783.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1994054:1994344:1 gene:DRNTG_21783 transcript:DRNTG_21783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICSATGFPQLLFLEIGCLDELEEWKIEEKAMSCLKSLRIHGCHRLKMIPEGLKNVPFDQWTLVGMPEELKTRMKKKTGEDCYKIQHVPKISIRRY >DRNTG_23910.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1998954:1999943:-1 gene:DRNTG_23910 transcript:DRNTG_23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPSMHHLGAVKRILRYINGTIHYGIHYKRNEDFKLLGYSDSDWSGSPDDRKSTTGWVFSLGSGVIAWSSKKQAITALSSTEAEYISLTAAACEAVWLRRLLEDFNEKQGTPSVIICDNRSAIAIAKNPILHGRTKHIDTRFHFIRDLIKDDAIEVKQCKTDVQVADIFTKPLPRLKFEMLRTMLHVGDISDQGEGVEV >DRNTG_32749.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001909.1:13236:15353:-1 gene:DRNTG_32749 transcript:DRNTG_32749.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFEELKDRFLHFKNQVYLENLDHYQNLSDNQTPKFMVIACADSRVCPSSILGFQPGEAFTIRNIANLVPPFQHGASEVSAGLEFAVNSLQVANILVVGHSRCGGIRALMSMKRADKSNFIKDWVSIGKTARLSTEAVAGNLNFELQCKHCEKESLNASLLNLLTYPWIEERVNEGSLSLHGGYYDFTDCSFEKWTLVYRQGLEGGSKYAIKNRSFWS >DRNTG_23699.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:635933:637697:-1 gene:DRNTG_23699 transcript:DRNTG_23699.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSVPCNPALPLLCLLPLDHLTGQRIGTGRRVGGLYHLESLHLPISTSPSICTPAIVASVCSLDRWHARLGHVSNARLKLLTSSGCLGSVSSGSLSSCMGCKFAKHSALPFPSSDSFSNSTFDLVHSDVWGPAPSSSLTGFSYYISFIDDFSRYTWLYLMRSRSEVYTIYSQFTQMVHTQFDKRIKVLRTDGAQEYLSTSFRALLSSHGTLSQQSCPYTPAQNGVAERKHRHILETTRALLFASSVPQQFWAEAILTSIYLINRTPSSTLSGVTPYERLFSCSPSYGHLRTFGCVCFVLLPSTERTKLSLRSAMCIFLGYSSEHKGYRCYDPTSRRLRISRHVTFLEDTTFFTSPPPDLSFLRTSSSFPTPKPLVILPDFSDIPAASTTSPTISSGISPPSSTHLPANVDTSGVSPVSSSSPTSPEDAPAIPRRYPDRVRHPPARYALSLSSTYSPHFQTFLAAVHTYHEP >DRNTG_21345.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20469765:20486082:-1 gene:DRNTG_21345 transcript:DRNTG_21345.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCLLHLHPHLFLLLLGLLVIPLLPSNPNPNHRFATASSEVDDDPFSIVNLDLTWHDYSPPSPPPPPASPTPPSSSCEGDIGGVGSFDTLCQLKSSVNVTGDIYIKGNGSLVLLPGVLLSCPVAGCFILANLSGDVTIGKDGGIVAGAVILAANNMSMAEGSVVNTTALAGDPPPQTSGEPSGFNGDGGGHGGRGASCYVKKDQTPEDSWGGDAYSWSSLAKPDCFGSKGGSTSQEKDYGGGGGGRIWLEIKDVLEIDGVVCADGGEGGSHGGGGSGGSIYITASKLKGNGKVSASGGNGVAGGGGGRVSIDIFSRHDDPKFFVHGEGSSGCPNNNGAAGTLYDAIPRSLTVSNHNLTTQTDTLLLEFPYQPLWTNVFVTNCAKVVVPLLWSRVQVQGQLSLLCGGIFSFGLTHYPYSEFELMAEELLMSDSVIRVFGALRMSVKMLLMWNSKILINGGGDSLVSTSLLEASNLIVLKESSVIRSTANLGVHGQGLLNLSGPGDLIEAQRLILSLFYSINVGPGSVLRGPLINASRDEMSPKLNCELEDCPMELVSPPEDCNVNSSLAFTLQICRVEDIDVAGLIQGTVIHFHRARTVVVQSSGIISASGLGCRGGVGRGGVLSSGLGGGGGHGGKGGRGYFNGTYVDGGIAYGNAKLPCELGSGSSNEDLSVSTAGGGIIVMGSQEHALSSLSIYGSVEADGESFGELTRRLGGYFYNFLMGGPGGGSGGTILLFLHTMSLGDSSILSSVGGFGSHNGGGGGGGGRIHFHWSDIPTGDEYFPVASVKGKITTRGGLGMDQGSAGENGTVTGKDCPKGLYGTFCKECPLGTYKNITGSDENYCYQCPSYELPRRAVYITVRGGVAGTPCPYKCVSERYHMPHCYTALEELIYTFGGPWLFGLLLTGLLVLLALVLSVARMKFVGNDELPGPAPTQHGSQIDHSFPFLESLNEVLETNRAEESQSHVHRMYFMGPNTFSEPWHLPHSPPEQITEIVYEDAFNRFVDEINALAAYQWWEGSIYSILCVLAYPLAWSWQQWRRRKKLQRLREFVRSEYDHACLRSCRSRALYEGLKVAATPDLMLAYVDFFLGGDEKRPDLPPRLHQRFPMCLVFGGDGSYMAPFFLHSDNVLTSLMSQSVQPTIWYRLVAGLNAQLRLVRRGQLKVTFLPVLSWLETHANQALITHGVCVDLAWFQATSCGYCQLGLVVYAVGESEPTTVVDGVSTRLLSVKQPSRTFNIHEDNLGNLRNREAVVRKQISGGVLDSQSLRMLEDKRNVWYPFSLILHNTKPVGRQDLVGLVISMLLLADFSLVLLTLLQLYSFSMVDVFLVLFRPSFGSTFSISCWNQCPFQSWTSTISRTCSCVCVVEHFFLD >DRNTG_21345.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:20482809:20486082:-1 gene:DRNTG_21345 transcript:DRNTG_21345.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCLLHLHPHLFLLLLGLLVIPLLPSNPNPNHRFATASSEVDDDPFSIVNLDLTWHDYSPPSPPPPPASPTPPSSSCEGDIGGVGSFDTLCQLKSSVNVTGDIYIKGNGSLVLLPGVLLSCPVAGCFILANLSGDVTIGKDGGIVAGAVILAANNMSMAEGSVVNTTALAGDPPPQTSGEPSGFNGDGGGHGGRGASCYVKKDQTPEDSWGGDAYSWSSLAKPDCFGSKGGSTSQEKDYGGGGGGRIWLEIKDVLEIDGVVCADGGEGGSHGGGGSGGSIYITASKLKGNGKVSASGGNGVAGGGGGRVSIDIFSRHDDPKFFVHGEICFSDLD >DRNTG_29085.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20921260:20925510:1 gene:DRNTG_29085 transcript:DRNTG_29085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGGGETERWCVVTGGRGFAARHLVEMLLRSQQWRVKIMDLGPSIKLEPQEEEGTIGQSLASGDAVYVSADLRNKAQLIKAFEGAEAIFHMAAPDSSINNYQLHYSVNVEGMKNVIDACIECDVKRLICTSSPSVVFDGVHGLFNVDESMPYPEKFNDAYSETKSEGEKLALKANGKNGLLTCCIRPSSIFGPGDRLLVPSLIAAAKAGKSKFIIGDGNNEYDFTYVENVAYAHVCAERTLASGGLSAEKAAGQAYFITNMEPIKFWEFMSRILEGLGYERPRMKIPASVIMPIAHLVERTYKMLAQYGMRVPQLTPSRVRLLSCNRTFNCSKAKSLLGYEPVVSLENGLKRTVESYPQLRAEEKTRMISKASAYLGNGNIANALLWKDKKQTLTILLLLATIYYYFFTSGFTFIKATAKLLSVVALFLFIHGMLPEKIFSYKIEKISPTYFHLSEEKSQCLAYSVTSSWNSAIGIVRSLCRGKDWPLFLKVFFMLLALSFFASMSFQSIFKLAIPFLFIVFIVYEKWEDEIDGVVHNIWSRTMKLKANVTKKPIKQH >DRNTG_19102.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:414770:424515:-1 gene:DRNTG_19102 transcript:DRNTG_19102.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myosin-like protein XIF [Source:Projected from Arabidopsis thaliana (AT2G31900) TAIR;Acc:AT2G31900] MGTPVNIILGSHVWVEDPELAWIDGEVTQIKAGDATIITTNGKTIVASLSSIYPKDTEAPPAGVDDMTKLAYLHEPGVLQNLASRFALNEIYTYTGNILIAVNPFRRLPHLYDVHMMEQYKGAPFGELSPHLFAVADACYRAMINEHGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKSGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCSAPHEDIKKFKVADPRTFHYLNQTNCYEVSNVDDAREYLETRNAMDIVGINQEEQDSIFQVVAAILHLGNVEFAKGKETDSSKLKDAKAEFHLQTVAELLMCDVKALEDSLCKRVIVTPDGKITKPLDPESAALSRDALAKTVYSRLFDWIVDKINSSIGQDPNAKSIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTREEIDWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKAHKRFSKPKLAQTAFTINHYAGDVTYQADYFLDKNKDYVVAEHQALLNSSTCYFIANLFPPLPEESSKQSKFSSIGTRFKQQLQSLMETLNTTEPHYIRCVKPNTVLQPGIFENFNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFIDRFGMLAPDLTDSSDEKSVCAAICDRMGLKGYQIGKTKVFLRAGQMAELDARRIEVLASAARLIQRQIRTHLTRKEFIILRKGTIQMQKHWRARLARKLYQQMRREDASIRIQKYARAHAARKSYTTLRASAIVIQTGLRAMAARNEYRRRRRTKASIMIQTQWRLHKARSGYKNQKKATLILQCLWRGRIGRKELRKLRMAARETGALKEAKDKLEKRVEELTWRLDFEKHLRIDLEEAKGQEIAKLQGSLQEMQEKLDEAELAIIKEREAAKLAIEQAPPVIKEVPVVDNTQLELLTNRNKELEDELSEFKNKAEEFEKRYLEVQNQTEELIKETEESKSKLNQLQDMIERLETNMSSLESENQVLRQQALVESTNEDMSEEIKSMERKIAALEAENQLLRNQPVVVTQTVVAPPLPATEPSQPIKPPQLLEAQSYENGHQVGEESKTKPKEVPIVSLAPVLSKQKSLTDRQQENHDVLIKCLMEDKRFDKKRSAAACIVYKSLLQWHSFEAEKTNIFDRIIHTIRSSVENQENIGELAYWLSTTSALLFLLQNTLKASNSSSTGSNRSRTAAATLFSRMARSAHSASAGVGISSGYSGLLGKPSAQSRVEAKYPALLFKQQLTAYVEKIYGMIRDRLKKEIRPFLTLCIQAQKSARSRSLRGSSKNIHSNLVAKQASSVHWQSIVKSLDSTLAIMCDNYVPAMITRKMFSQVFAFINVQLFNSLLLRRECCSFSNGEFVKAGLQELEQWCSQKTDEFTGTSWDELQHIRQAVGFLTLHQKTHKTMEEITNELCPVLSVAQIYRIGTMFWDDKYGTQGLSKDVIAKMRTMMTDDSTNNNTFLLDDDSSIPFSLDDISRSVLDINLSDVEPPPLLRQNSEFHFLLQQRTD >DRNTG_19102.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000980.1:414770:419262:-1 gene:DRNTG_19102 transcript:DRNTG_19102.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:myosin-like protein XIF [Source:Projected from Arabidopsis thaliana (AT2G31900) TAIR;Acc:AT2G31900] MQEKLDEAELAIIKEREAAKLAIEQAPPVIKEVPVVDNTQLELLTNRNKELEDELSEFKNKAEEFEKRYLEVQNQTEELIKETEESKSKLNQLQDMIERLETNMSSLESENQVLRQQALVESTNEDMSEEIKSMERKIAALEAENQLLRNQPVVVTQTVVAPPLPATEPSQPIKPPQLLEAQSYENGHQVGEESKTKPKEVPIVSLAPVLSKQKSLTDRQQENHDVLIKCLMEDKRFDKKRSAAACIVYKSLLQWHSFEAEKTNIFDRIIHTIRSSVENQENIGELAYWLSTTSALLFLLQNTLKASNSSSTGSNRSRTAAATLFSRMARSAHSASAGVGISSGYSGLLGKPSAQSRVEAKYPALLFKQQLTAYVEKIYGMIRDRLKKEIRPFLTLCIQAQKSARSRSLRGSSKNIHSNLVAKQASSVHWQSIVKSLDSTLAIMCDNYVPAMITRKMFSQVFAFINVQLFNSLLLRRECCSFSNGEFVKAGLQELEQWCSQKTDEFTGTSWDELQHIRQAVGFLTLHQKTHKTMEEITNELCPVLSVAQIYRIGTMFWDDKYGTQGLSKDVIAKMRTMMTDDSTNNNTFLLDDDSSIPFSLDDISRSVLDINLSDVEPPPLLRQNSEFHFLLQQRTD >DRNTG_15669.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28859210:28861822:1 gene:DRNTG_15669 transcript:DRNTG_15669.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATELEELLGFLSSPSPNLKQAALEIVRGLTGSDEGIASLAAAPRALALQPLTSLLRDPTPGLASSAADSLVNLSQNPSIAEGLVSLGTILVAMDLVYKNGVDPGLASRLVMLLANLTQIDSGATALLQIGDDKMEGLYVSKLVRSFCRSSSSEAKEDIFEHVSLVLVNISKLEAGRRILLDPKRGLLKQIVRQFDSSSLLRKKGVAGTIRNCCFEADNQLQNLLLISEFLWPALLLPVAGNKVYSQEDTSKMPLEIGNALSHDREPVDDPEIRVQALEAIYLITLQEAGRRALWSVNGPRILQVGYEDEEDPRVMEAYESIGSLVSLNCPWILFIYTSCLLSYKICCCS >DRNTG_15669.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28859210:28861822:1 gene:DRNTG_15669 transcript:DRNTG_15669.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATELEELLGFLSSPSPNLKQAALEIVRGLTGSDEGIASLAAAPRALALQPLTSLLRDPTPGLASSAADSLVNLSQNPSIAEGLVSLGTILVAMDLVYKNGVDPGLASRLVMLLANLTQIDSGATALLQIGDDKMEGLYVSKLVRSFCRSSSSEAKEDIFEHVSLVLVNISKLEAGRRILLDPKRGLLKQIVRQFDSSSLLRKKGVAGTIRNCCFEADNQLQNLLLISEFLWPALLLPVAGNKVYSQEDTSKMPLEIGNALSHDREPVDDPEIRVQALEAIYLITLQEAGRRALWSVNGPRILQVGYEDEEDPRVMEAYESIGSLLVGNPDTEESATQNVKNN >DRNTG_04203.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7258210:7263585:-1 gene:DRNTG_04203 transcript:DRNTG_04203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGNLTDFSSFQMEQQRQVDKMDVVASIDTVLDEGVCAICLERIQIQDCAAVKGCGHSYCAICILRWATYTKTPSCPQCKLPFTFLDVYRSLDGSIHDYSFEESVCLLLRAPWFVPLPVEAEESMLDELEDISLYYDDERRDDDLDEAYFMCSSSNIRVGNRRWGDNGYVRSGRKEARPVIRQPFIDNGAGPSQVHKKKEAAKDTLGRRAKRALKREAADKAAAVKHQQHLQRLGRK >DRNTG_13957.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000650.1:489:1681:1 gene:DRNTG_13957 transcript:DRNTG_13957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTNASFDAAYSIEATCYAPKLQDVYREIYLIFSSNAAVVAKVAHGLR >DRNTG_04927.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:8491032:8493327:-1 gene:DRNTG_04927 transcript:DRNTG_04927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLSHECISPGLNFSLGGLKVPCPRSSQSHHPRRAPNRASALPQTAPHTAPHTGPSVTPSRTPEKASQAIRPPYGPYGGRH >DRNTG_29295.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:11698523:11699381:1 gene:DRNTG_29295 transcript:DRNTG_29295.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDAIRVTEKTIVPAPLGLETMHMMGIIRRYRDGVYVLNMPPPELVEVDGVAVEGSKSIRDRSSSGSRNTGREPQRGQCTSRYPTTVIRARADIIFVMRPRIPQASPTPSSPILAPADQLIPPVPVPAPAAEEPEPNTDA >DRNTG_22942.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16965890:16968715:1 gene:DRNTG_22942 transcript:DRNTG_22942.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded DNA-binding protein WHY2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G71260) UniProtKB/Swiss-Prot;Acc:Q8VYF7] MKIKNCMDVLRLGCSHRHYSTGSTDLTNSGRTFAEFSIFKGKAALSMSPIMPKFTKMDSGISKVDKRGVVLLKFMPAIGTRKYDWEKRQFFALSAIEVGSLISLGPTESCEFFHDPSMKSSLEGQVKKTLTVSPMGSDGGFFFGLSVLNAAEKKTERFSVPVTKAEYAVIRAACGFVLPHIMGWDQVVRPHLESGNMNQVKQREVQLDPDYEWGR >DRNTG_22942.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16964827:16968715:1 gene:DRNTG_22942 transcript:DRNTG_22942.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded DNA-binding protein WHY2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G71260) UniProtKB/Swiss-Prot;Acc:Q8VYF7] MLKFCRFFFSSSSSSSFSFRKELNGKFLPMKIKNCMDVLRLGCSHRHYSTGSTDLTNSGRTFAEFSIFKGKAALSMSPIMPKFTKMDSGISKVDKRGVVLLKFMPAIGTRKYDWEKRQFFALSAIEVGSLISLGPTESCEFFHDPSMKSSLEGQVKKTLTVSPMGSDGGFFFGLSVLNAAEKKTERFSVPVTKAEYAVIRAACGFVLPHIMGWDQVVRPHLESGNMNQVKQREVQLDPDYEWGR >DRNTG_34077.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:306139:306957:1 gene:DRNTG_34077 transcript:DRNTG_34077.1 gene_biotype:protein_coding transcript_biotype:protein_coding CNSKHIVCLEGLASCSSLKELNLAGNKISEVEGLHRLLKLYFLDLRFNKISTSKGLGQLAANTSLQAINLEGNPAQRNVGDEQLKKYLLSLLPSLVYFNKQTIRASSSKEVASLQFERELRTSHKNTRRTHGSGLHKGSAASSSSHALGSLLKHASSKGRHEHSVSARTKPANHLRNINTKPLGLQPADVIRRIHSEGGFKEH >DRNTG_30375.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001567.1:10496:12073:1 gene:DRNTG_30375 transcript:DRNTG_30375.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVLYTKENFDRIERAMKDLMIKKHGIERELNLTQNRGKQPTDELQLWVMYSKIVHSLFMGPQWKDVIFHLLRVVWQKEFINLVWFLKYPVGLSMVGF >DRNTG_15640.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13999302:14003208:1 gene:DRNTG_15640 transcript:DRNTG_15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLQDDDGQIIEPHSISAGLDYPGVGPEHSYLKDMGRAEYYSVTDEEALEAFKRLARLEGIIPALETSHALAYLEALCPNLPDGTKVVINCSGRGDKDVHTAIKYLQL >DRNTG_26020.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:23186729:23188406:-1 gene:DRNTG_26020 transcript:DRNTG_26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAHIATYKVLYGPGGLGDEGDILAGINQAIRDGVDVLQMSLGSRRPIPLDQSSISVGSFGAILNNIFPSACAMNEGPTPSVISNDAPWVLTVGASSMDRKIKVTVRLGNNMELDGESGYQPENYNSQEFPLIFPGSSGIQGAETCEAGSLDSLDVQGKIVICLAGGNSGNTDKGEVVKAANGEAIIIVNPVNYGFTTFSEPHTIPAAHVTNADGDKIGAYLRTWQNPVASLTFKGTQLGTSPAPTVAFFSGRGPSLNNGGVIKPDIIGPGVNILAAWHRQVVQDPTTNIAFNFDSGTSMATPHLSGIVADLRNNHPAWSPAAIKSAIMTTAYTQDANGNRILDDATGRPASFFVMGAGHVNPDRANDPGLVYDMQPIDYVPYLCNMYGSRTTSAFIRQRINCRYWKKETAEQLNYPSIAVSLQAGETKTIERTLTNVGGAETYAVSVNAPNGVNLVANSTSLVFASTGENQGLSLEFTNNGLVSPGEFWEGSLILNSATHTVWSPISVTFV >DRNTG_05301.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2640486:2642421:-1 gene:DRNTG_05301 transcript:DRNTG_05301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQVKVYYGKPMLPDVSRVLACLHEKDIQFRLVDIHEGHQMSPGFLNLQVSTRAPVPGFEDGDTILFESRAICRYVAEKYAKQKNRYLLGRDLLERASIEQWLKSEELSFDPPSWTLVCNLAFAPFTNIGEEEKSQLLEQNENKLAKVLDVYDQRLSGSRFLAGNEFTLADLFHLPNSHYLANSEEWGHLIKSRKNVRRWWKKISNRPSWQKVVEILKQVNNASKEKQKAVEKSEIQTTTHKVPIIRIDDRYQVSTNDKQVTTLTLLKAEAPYTQSETIVIDQPAMAPSPQTQELVENQQEVQSNAKSHPQSDQGEVQQTPQPVQAITSTESQMSSKVNTFKSDQTPESTKPESKPEQKDAKVSTETASKPEQRDAKVQQTPQAAQAITPTKSQTSSKVDTYKSDQTLKSTQPASRPEQKDAKVSDEQPKKESNANAKTQSDQVKVQQTPQPAQAISRTESQTNNKLAATKSDQTLKSVEPASKPEQKDAKVRDEQPNQGSPSTEKPVP >DRNTG_06311.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2161077:2166401:1 gene:DRNTG_06311 transcript:DRNTG_06311.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPADDTNLSGPPSLSWHTFNAHEGPIPLELPGGACFFPYFEDDHQMFECKLLEEINSSVPDYPYQKPVATGPKHQADIPVWRPDEFQSYVGNSDDSGDTPADDNPSSTLSVSWQTCNTFDECSRLESPVGASFIPYFEDDLRVDGHNHLEETCSSALDYHERKPVAIGPHHQADIPVCGLSEFENSVGHLDDSVSSTSNSVDLSSSSAYLVSEDSSDKWIGACILPMPDYGTLSLEVKGRSCRNFCNCLDMGSIRCVKQHVVESREKLEQALGHEKFMMLGFGNMGEVVAQKWSTEEEQTFREVVMANPASLGKNFWDDLRHVFISRSSKELISYYFNVFMLHKRAEQNRMDPMNADSDNDEWEESNESVAGDGEEDSVVDSPHDGDNVTYNDGDATDGHEVTEDLYTCDGSWDVPENHKSYKLQPMSDAYLSDKNFNKGVEEQDVEDDLFTSYDGEQSGADI >DRNTG_06311.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2161077:2166401:1 gene:DRNTG_06311 transcript:DRNTG_06311.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKHNKRPFGAEESCQPTCKHNIRRLNCGSRLASFAGISSFVDGGGKETASQLQGESRVEGYPHITCCSVSKDEKQKTTGFAVVPKDHSSNGCYNTSSLLGGNNYDVVRPEYGRQILCEDLSFQLGCAEFDQDFQLHLFSEILPVNSSIHERSVVSAHPVATCEEMPADDTNLSGPPSLSWHTFNAHEGPIPLELPGGACFFPYFEDDHQMFECKLLEEINSSVPDYPYQKPVATGPKHQADIPVWRPDEFQSYVGNSDDSGDTPADDNPSSTLSVSWQTCNTFDECSRLESPVGASFIPYFEDDLRVDGHNHLEETCSSALDYHERKPVAIGPHHQADIPVCGLSEFENSVGHLDDSVSSTSNSVDLSSSSAYLVSEDSSDKWIGACILPMPDYGTLSLEVKGRSCRNFCNCLDMGSIRCVKQHVVESREKLEQALGHEKFMMLGFGNMGEVVAQKWSTEEEQTFREVVMANPASLGKNFWDDLRHVFISRSSKELISYYFNVFMLHKRAEQNRMDPMNADSDNDEWEESNESVAGDGEEDSVVDSPHDGDNVTYNDGDATDGHEVTEDLYTCDGSWDVPENHKSYKLQPMSDAYLSDKNFNKGVEEQDVEDDLFTSYDGEQSGADI >DRNTG_09643.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21909006:21914122:-1 gene:DRNTG_09643 transcript:DRNTG_09643.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGYSGYTKYNGGLDVARKLIKSDGVRGLYRGFGLSVMTYSPSSAVWWASYGSSQRIIWRFLGHAGDHQETVPSQWKIVGVQATGGIVAGAVASCITTPLDTIKTRLQVMDHDKPRQNAREVVKRLIAEDGWKGFYRGLGPRFLSMSMWGTTMIVSYEYLKRLCAKAE >DRNTG_09643.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21909006:21914122:-1 gene:DRNTG_09643 transcript:DRNTG_09643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTARSRVSSFDQTEINWDKLDKTKLYVVGAGLFTGVTVALYPISVIKTRIQVASKEIAQQSAFSTFKNILKVDGIPGLYRGFGTVITGAIPARIIFLTSLETTKAASLKIVEPFKLSEPVQAAIANGMGGLLASISSQAVFVPIDVVSQKLMVQGYSGYTKYNGGLDVARKLIKSDGVRGLYRGFGLSVMTYSPSSAVWWASYGSSQRIIWRFLGHAGDHQETVPSQWKIVGVQATGGIVAGAVASCITTPLDTIKTRLQVMDHDKPRQNAREVVKRLIAEDGWKGFYRGLGPRFLSMSMWGTTMIVSYEYLKRLCAKAE >DRNTG_31868.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:7685363:7690779:-1 gene:DRNTG_31868 transcript:DRNTG_31868.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQIAQTRANNNHRSTTTTTTTTTTKQCTYKATKSQLYAPTLKPNIQLSTMIPNSPEKPTKKMVVVEEVTNWLRIHDDGSVDRTWTGPAIDLPLLTPIPPYITPINNITVHDLPNTSPPLCLYLPDFHSHNLSGHLPVLLHFHSCGFCVSQPSWLIYHHFYSRICRELPAVIVSPYLPLAPENRLPASIDAAFSSFLWLRDAAVVAKEPSLDDLVDLVDPVDRLRVVGDFSCVFLIGDSSGGNVVHEVAYGRGFIASRICSVEPEQVRVGEPARFF >DRNTG_20413.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29199622:29201149:-1 gene:DRNTG_20413 transcript:DRNTG_20413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMHACMHEITLNSARKPRRRSLPTSEQELKSKRQVNVDIK >DRNTG_10569.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1648037:1650994:-1 gene:DRNTG_10569 transcript:DRNTG_10569.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX domain gene 4 [Source:Projected from Arabidopsis thaliana (AT5G15330) TAIR;Acc:AT5G15330] MLSSSSTLRPKTLSPHPSILSDYSNPFVLLKNSGSSRKNFNPFAMKFGKDFRNHLQETLPEWRDKYLGYKPLKKLIKNFPRSGAAEVPLAAGGWISLEEWFVRILDEELEKINDFYVDKEEDFIIRLQELKERIERVKANNNGVISPTSKFSEEILQIRKDFVTIHGHMVLLKNYSSLNFAGLVKILKKYDKRTGGVLRQPFTQRVLHEPFFTTEPVTRLVSECEANLEVLFPQEAEVVESAPPEANDEHEDTNNNEPLSVEEETMEVFRSVHAGLKLIQILRNPSSTYSPLSLARFFHDQDGDGGSGSVTAENSAADSQDVAHDDDDDADQGSVDSGG >DRNTG_09951.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6592290:6594238:1 gene:DRNTG_09951 transcript:DRNTG_09951.1 gene_biotype:protein_coding transcript_biotype:protein_coding KIKIFPIRIWIERLGPRETENGEQVERVRETENGELCGTCTLQVNLLPKKQTENGQIATTTKEKEENGKDTNVREKN >DRNTG_09951.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6593621:6594238:1 gene:DRNTG_09951 transcript:DRNTG_09951.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWGYLSESYLCGTCTLQVNLLPKKQTENGQIATTTKEKEENGKDTNVREKN >DRNTG_15803.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000786.1:47633:49873:-1 gene:DRNTG_15803 transcript:DRNTG_15803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNFLQSLQVFLRNPVKMHGRVEFPHARGIVLRAHPEKAQCAANDHGTSHPHGMGRELPHGVTYETCMGGNFHTPARNSAEEFSSSREATRTQRSPP >DRNTG_00641.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000051.1:48:2510:-1 gene:DRNTG_00641 transcript:DRNTG_00641.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDIELRGKIAVGLNPNIRFYRYKVGQRFGCHIDESVNLGEGRRTQYTLLIYLSGDLFSKTRHGLDKTQDSSIHSLVGGETVFYDERRGIVAEVMS >DRNTG_00641.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000051.1:48:2510:-1 gene:DRNTG_00641 transcript:DRNTG_00641.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREEERGKAKRKKMMGKEMDGMWPRIKAKKDLQINRLKGTHLFTVPNFFTAAEAKAFVDAAEAIGFAHQGSQGPAKGEAYRDNDRISVSDPVLAENIWESGLKSMFDDIELRGKIAVGLNPNIRFYRYKVGQRFGCHIDESVNLGEGRRTQYTLLIYLSGDLFSKTRHGLDKTQDSSIHSLVGGETVFYDERRGIVAEVAPMVGMALLHIHGGSCMLHEARAVTKNVKYVLRSDVVFA >DRNTG_00641.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000051.1:48:2510:-1 gene:DRNTG_00641 transcript:DRNTG_00641.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREEERGKAKRKKMMGKEMDGMWPRIKAKKDLQINRLKGTHLFTVT >DRNTG_00641.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000051.1:48:2510:-1 gene:DRNTG_00641 transcript:DRNTG_00641.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDIELRGKIAVGLNPNIRFYRYKVGQRFGCHIDESVNLGEGRRTQYTLLIYLSGDLFSKTRHGLDKTQDSSIHSLVGGETVFYDERRGIVAEVAPMVGMALLHIHGGSCMLHEARAVTKNVKYVLRSDVVFA >DRNTG_18595.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000966.1:12517:20040:-1 gene:DRNTG_18595 transcript:DRNTG_18595.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAQSCGLDEENDFGSIWASTVAVYCAEITIASDTRVENLSMQSNEGVTTALGLDLARLNRVERVSREVEHCPNTPLLLIEISLHFTLAYSSLEMVCSDPMEEWLDQEVENEEILSLDLEDKVKPTTGVMKKMIQKLKMIQILVGMSSHEVKSLKFFLSHSNKIHGLVEFSHVHVFLFRAQLLHPEKAQGVVIELHLEKTQGRVDDTVGGTRAWIVELIFVGSWRRLLSIREPAIHMLTLEVLASFKFDLSDSSFDSIDAIQFRAFGQYHSMSVTQCLI >DRNTG_21751.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13354217:13355137:1 gene:DRNTG_21751 transcript:DRNTG_21751.2 gene_biotype:protein_coding transcript_biotype:protein_coding CLNATGLVAKEQILIANFYYRFEGIRGFYRGMTPNLLKNIPAASITFVVYENVLSLLQRQER >DRNTG_21751.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13354217:13355137:1 gene:DRNTG_21751 transcript:DRNTG_21751.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLNATGLVAKEQILIANFYYRFEGIRGFYRGMTPNLLKNIPAASITFVVYENVLSLLQRQER >DRNTG_21751.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13354217:13355137:1 gene:DRNTG_21751 transcript:DRNTG_21751.3 gene_biotype:protein_coding transcript_biotype:protein_coding CLNATGLVAKEQILIANFYYRFEGIRGFYRGMTPNLLKNIPAASITFVVYENVLSLLQRLLNMVSHTTRPICRSYPVFLTDCD >DRNTG_21167.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2150905:2158099:1 gene:DRNTG_21167 transcript:DRNTG_21167.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLLLVSSSTAISILPNSSIAVPDPDAVADHLHRQVELAHRRALIESKDQQCFTGNPIDDCWRCAGTDWRADRQRLAECGLGFGRDALGGKNGQFYVVTDSSDPDPVNPRPGTLRYAAIQDDPLWITFAADMTIHLSEELLVNSFKTIDGRGADVHIAGGACITLQYVSNVIIHNINVHHCVPAGNANVRATPTHAGYRSRSDGDGISIYGARKIWIDHCSLSSCADGLIDAIMGSTGLTISNNYFSHHNDVMLLGHSDDYLPDSAMQVTIAFNRFGEELVQRMPRCRRGYIHIVNNDFTQWEMYAIGGSANPTINSQGNRYTAPSNPNAKEVTKRVDTEDGQWEGWNWRSEGDVMVNGAFFVASGAGVGAKYGLASSVEPKSAALIDQLTRNAGVFGGTREASDGGGSSSGAASGAGKASGGEAGGGGGYGYLGMYFASSAPTFCHCYNLMRLISAFLIGTLFTHLYLYTL >DRNTG_21167.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2150905:2159765:1 gene:DRNTG_21167 transcript:DRNTG_21167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLLLVSSSTAISILPNSSIAVPDPDAVADHLHRQVELAHRRALIESKDQQCFTGNPIDDCWRCAGTDWRADRQRLAECGLGFGRDALGGKNGQFYVVTDSSDPDPVNPRPGTLRYAAIQDDPLWITFAADMTIHLSEELLVNSFKTIDGRGADVHIAGGACITLQYVSNVIIHNINVHHCVPAGNANVRATPTHAGYRSRSDGDGISIYGARKIWIDHCSLSSCADGLIDAIMGSTGLTISNNYFSHHNDVMLLGHSDDYLPDSAMQVTIAFNRFGEELVQRMPRCRRGYIHIVNNDFTQWEMYAIGGSANPTINSQGNRYTAPSNPNAKEVTKRVDTEDGQWEGWNWRSEGDVMVNGAFFVASGAGVGAKYGLASSVEPKSAALIDQLTRNAGVFGGTREASDGGGSSSGAASGAGKASGGEAGGGGGYGYLGMYFASSAPTFCHCYNLMRLISAFLIGKSCIFTFPRLQDKGDLSLWGLLSWVHMLG >DRNTG_08898.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27554850:27557659:1 gene:DRNTG_08898 transcript:DRNTG_08898.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP19, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13410) UniProtKB/Swiss-Prot;Acc:Q9LYR5] MFGLVPALRGKDYGKTKMKFPDYTETQSGLQYKDLRVGDGPAPKLGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGDDKDFFKFKLGSKKVIPAFEEAVAGMASGGIRSNAG >DRNTG_08898.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27554850:27557659:1 gene:DRNTG_08898 transcript:DRNTG_08898.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP19, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13410) UniProtKB/Swiss-Prot;Acc:Q9LYR5] MASISSLGFLPNLSNARTQRSLFSKGLGFKRGNLWITSGTSRDSEVSVSDEGNVWMGSGEALEGRRLILCSTLLCTFGALSHSFANPIAIASEFADMPALRGKDYGKTKMKFPDYTETQSGLQYKDLRVGDGPAPKLGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGDDKDFFKFKLGSKKVIPAFEEAVAGMASGGIRRIIVPPELGYPDNDFNKLGPKPMTFSGQRALDFVLKNQGLIDKTLLFDIELLKIVPN >DRNTG_08898.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27554850:27555983:1 gene:DRNTG_08898 transcript:DRNTG_08898.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP19, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13410) UniProtKB/Swiss-Prot;Acc:Q9LYR5] MASISSLGFLPNLSNARTQRSLFSKGLGFKRGNLWITSGTSRDSEVSVSDEGNVWMGSGEALEGRRLILCSTLLCTFGALSHSFANPIAIASEFADMPALRGKDYGKTKMKFPDYTETQSGLQYKDLRVGDGPAPKLGETVVVRLLHFL >DRNTG_08898.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27556601:27557659:1 gene:DRNTG_08898 transcript:DRNTG_08898.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP19, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13410) UniProtKB/Swiss-Prot;Acc:Q9LYR5] MASGGIRRIIVPPELGYPDNDFNKLGPKPMTFSGQRALDFVLKNQGLIDKTLLFDIELLKIVPN >DRNTG_08898.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27554850:27557659:1 gene:DRNTG_08898 transcript:DRNTG_08898.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP19, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13410) UniProtKB/Swiss-Prot;Acc:Q9LYR5] MFGLVPALRGKDYGKTKMKFPDYTETQSGLQYKDLRVGDGPAPKLGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGDDKDFFKFKLGSKKVIPAFEEAVAGMASGGIRRIIVPPELGYPDNDFNKLGPKPMTFSGQRALDFVLKNQGLIDKTLLFDIELLKIVPN >DRNTG_08898.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27554850:27556371:1 gene:DRNTG_08898 transcript:DRNTG_08898.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP19, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13410) UniProtKB/Swiss-Prot;Acc:Q9LYR5] MFGLVPALRGKDYGKTKMKFPDYTETQSGLQYKDLRVGDGPAPKLGETVVVDWDGYTIGYYGRIFEARNKTKGGSFE >DRNTG_21152.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2307214:2307497:1 gene:DRNTG_21152 transcript:DRNTG_21152.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEVIGAGGADGAGVIPLGVVLMVARIGIGKGVGEALSVRARRARVLALISIGSLRVMLRWRRLRELKRG >DRNTG_14677.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:17026270:17027783:-1 gene:DRNTG_14677 transcript:DRNTG_14677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTECYLGTSSTFEGAFVKGIDSVSWMANNTSKLFPSHNGLSQCWTFFSTATYGKQNKVPQESIPAIIAEKVKKDMLGGV >DRNTG_09589.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23666473:23669369:1 gene:DRNTG_09589 transcript:DRNTG_09589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALKDVGVTEVVLAINYQPEVMLNFLKEFETKLGIKITCSQETEPLGTAGPLALARDQLIDGSGDPFFVLNSDVISEYPFEELIQFHKSHGGEATIMVTKVDEPSKYGVVVMEEETGKVERFVEKPKMFVGNKINAGIYLLNPSVLDRIQLRPTSIEKEVFPQIAADQRLFAMVLPGFWMDIGQPRDYITGLRLYLTSLRKKSPSKLSTGSHIVGNVLVHESAVIGEGCLLGPDVAIGPGCVVECGVRLSRCTVMRGVRIKKHACISSSIIGWHSTVGQWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >DRNTG_09589.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23667640:23669369:1 gene:DRNTG_09589 transcript:DRNTG_09589.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFLKEFETKLGIKITCSQETEPLGTAGPLALARDQLIDGSGDPFFVLNSDVISEYPFEELIQFHKSHGGEATIMVTKVDEPSKYGVVVMEEETGKVERFVEKPKMFVGNKINAGIYLLNPSVLDRIQLRPTSIEKEVFPQIAADQRLFAMVLPGFWMDIGQPRDYITGLRLYLTSLRKKSPSKLSTGSHIVGNVLVHESAVIGEGCLLGPDVAIGPGCVVECGVRLSRCTVMRGVRIKKHACISSSIIGWHSTVGQWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >DRNTG_09589.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23667055:23669369:1 gene:DRNTG_09589 transcript:DRNTG_09589.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALKDVGVTEVVLAINYQPEVMLNFLKEFETKLGIKITCSQETEPLGTAGPLALARDQLIDGSGDPFFVLNSDVISEYPFEELIQFHKSHGGEATIMVTKVDEPSKYGVVVMEEETGKVERFVEKPKMFVGNKINAGIYLLNPSVLDRIQLRPTSIEKEVFPQIAADQRLFAMVLPGFWMDIGQPRDYITGLRLYLTSLRKKSPSKLSTGSHIVGNVLVHESAVIGEGCLLGPDVAIGPGCVVECGVRLSRCTVMRGVRIKKHACISSSIIGWHSTVGQWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >DRNTG_15992.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5189093:5192155:1 gene:DRNTG_15992 transcript:DRNTG_15992.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGERTRTDKRPPSPMAPPPSSSSKNWKSIAARIYFLLIILQIPLFRVPCRSGICTTPIQVTSSQLVANEIFPPAVVKALLYPGAIANGLFTNMTIPRWNNLFNMYNLTEAKNASAVVDLQRLEVLAGSYFAVAGALVSVINPGRMSMFGTLLVVWGLVKEGILGKPVNTDPNTAVYVYPTMLVAVICAVVSIKYKEKKTLAESQARPIAKPLQSSVKSKLK >DRNTG_28497.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:13902119:13903389:-1 gene:DRNTG_28497 transcript:DRNTG_28497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGGVVRSEQSNKGTTVPADLGRRYCHHSRSPADHDHIILDTHTEESSYKGNL >DRNTG_08600.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30352606:30353836:1 gene:DRNTG_08600 transcript:DRNTG_08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPCCEKMGLKKGPWTPEEDQILVSHIQRFGHENWRALPKQAGLLRCGKSCRLRWTNYLRPDIKRGNFSREEEDYIITLHQLLGNRWSAIAAKLPGRTDNEIKNVWHTHLKKRVKQGEKPMKLLPNSSMSDTDGSSSSCTEFSAIVNRDPAESLEFEKSLRSDALSFESRNISHVDAVSNGDEISFWLKIFMESGNIQELPEI >DRNTG_22825.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:14798756:14799262:-1 gene:DRNTG_22825 transcript:DRNTG_22825.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGHELAPLSDLVMVHTRAEFPHGRVFPCRDLEIFPEKIQGCGLTPVDDSVNKRTGRIVRPFMKERSQCGLQCTDFGGNLAKVQTRRHRSKCRVCDNLLVFEFGTSIWRGTKAVTLEHSD >DRNTG_08392.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:4631230:4633159:-1 gene:DRNTG_08392 transcript:DRNTG_08392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFLFTKSSFLSYSCILLIIPTIIFIFIKSRSSSSSSSSSKQRRKLPPGPNKLPFIGNLHQLGGPMPMHRILQDLAAKHGPIMHLNFGHHPTIIISSPEAALEILKTHDLVFSNRPATAASMKVFRKGPSIGFSNYGEQWRQMKKLASIELLSTERVKSFRLVREAETDILIRTIHDYCCSRKQTVNLSEMFLCLSNNIIGQVAFSRRFSTEGECNRSEHHDLIREIIQLFGEFFMGDFFPSLGWVDVITGMQAKSIAVFKRLDEFLEREIDDHRLSSDDDHNSQHGEDFVDVLLELQRNSKLGFPITADHIKVILTDIFLAGTETSALILEWVMSELVKDSRVMMKVSDEIRKVVGNKERVEEDDLQKLEYLGFVINETLRLHPPLGLLLPRESTEDCKISGYDVPKKTRVMVNAWTLGRDPKLWEKPDIFYPERFHGSPINYKGNYMQFIPFGAGRRICPGTQLGITTIMIALANVLFHFNWKLPNEMPGENIDMTESTGISNRKKSPLILMATPICC >DRNTG_22284.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21869550:21872080:-1 gene:DRNTG_22284 transcript:DRNTG_22284.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGICARRVVVDARQHMLGRLASILAKELLNGQRVVVVRCEEICMSGGLVRQKMKFLRFLRKRMNTKPSHGPIHYRSPAKILWRTIRGMIPHKTKRGAAALARLKAYEGVPPPYDKMKRVVIPDALKVLRLQPGHKYCLLGRLSKEVGWNHYDTIKELEEKRKQRAQVSYERRKQLVKLRLKAEKAVEEKLCTQLEILAPLKY >DRNTG_31383.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:11361417:11362743:1 gene:DRNTG_31383 transcript:DRNTG_31383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFRAKDVTLILGLYCDGNTISFKHERVQSEFEKTFLYKIHNHHRDAIKENLFRLVRSEDGEKETFVKLLVVYFMTIVLFPNISLDMAPFKVRYTNDLASLSGYAWAHTSATKRMQSERGASHRHRLWIQPPVWHQQISVVLLLHQVSPLVLVQGSPDGKSPTELKAVAQVPVNDNPVPDAFRDEIPFAPFPPETPMTTDVPVTA >DRNTG_26680.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9104754:9105785:1 gene:DRNTG_26680 transcript:DRNTG_26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSPLLITFLTVIAATTSVTGDNKVGICFGRNSDDLPPPFAIVDIVKNNHISKVRLFNTDAPTLQAFKGSGIELMIGVPNENLTYIANGGVEAAANWLKTNIFDNVPANQVNYIAVGNEVLLRDTFYAESIVPAMKNLHQALKNQNLDKKIKLSSPQASSVVGSSSPPSSAAFTEAALPVIRPLLAFLKETGAPLMVNTYPYFAYASDPKNVPLSFTLFSSDAPPVQDNGITYTNMFEASLDAFVVAMEKEGYTDIPLTVTETGWPTAGGVGAEPTKAGVYNNNVIMQALAGKGTPKRPKIPVEVYLFDLLDENLKEGPEFEKHFGIFNLDGSTAFSVRFA >DRNTG_33499.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002010.1:13560:14555:-1 gene:DRNTG_33499 transcript:DRNTG_33499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKTKKKLHTEATENHSPRVQGLKSFFSCKHHHGCTRSIFNLKDHTNTNNNLVESPKKRVSMGSGSRSSSSRSLKAPLSEINGGSFRGMHLRRLSGCYECHLVVDPLNNGFSKVPSLRSTISPCPDCGEVFMKAESLELHQSVRHAVTELGVEDTSRNIVEIIFQSSWLKRQGSMCKIERILKVQNTQRTLTRFEDYRDLIKSKANKLAKKHPRCIADGE >DRNTG_21924.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1630289:1636040:1 gene:DRNTG_21924 transcript:DRNTG_21924.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEKKLQSSNVQRESTTAMEDDDLMASPKEEEEIERVLADIPLGELQKVRADGSHAPRSKAPIKRQQKLGRAHKNMPMEMSSKVPAGKFREVIQVPKKSYTRSSV >DRNTG_21924.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1630289:1636040:1 gene:DRNTG_21924 transcript:DRNTG_21924.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEKKLQSSNVQRESTTAMEDDDLMASPKEEEEIERVLADIPLGELQKVRADGSHAPRSKAPIKRQQKLGRAHKNMPMEMSSKVPAGKFREVIQVPKKSYTRSSV >DRNTG_21924.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1630289:1636040:1 gene:DRNTG_21924 transcript:DRNTG_21924.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEKKLQSSNVQRESTTAMEDDDLMASPKEEEEIERVLADIPLGELQKVRADGSHAPRSKAPIKRQQKLGRAHKNMPMEMSSKVPAGKFREVIQVPKKVTRDPRFESLCGTLDRNGFHKRYDFLFQVELPAEKEKLHKLIKKERDPTVIKELKEHLCWIDKQLQSAQQKKVGSRVLSEHIKKEKEAAKQGKRPYYLKKSEIRQGELVKKYEDLKAAGKLDSFIEKRRKRNALKDIRYMPYRRTNKDV >DRNTG_21924.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1630289:1636040:1 gene:DRNTG_21924 transcript:DRNTG_21924.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDLMASPKEEEEIERVLADIPLGELQKVRADGSHAPRSKAPIKRQQKLGRAHKNMPMEMSSKVPAGKFREVIQVPKKVTRDPRFESLCGTLDRNGFHKRYDFLFQVELPAEKEKLHKLIKKERDPTVIKELKEHLCWIDKQLQSAQQKKVGSRVLSEHIKKEKEAAKQGKRPYYLKKSEIRQGELVKKYEDLKAAGKLDSFIEKRRKRNALKDIRYMPYRRTNKDV >DRNTG_21924.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1630289:1636040:1 gene:DRNTG_21924 transcript:DRNTG_21924.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDLMASPKEEEEIERVLADIPLGELQKVRADGSHAPRSKAPIKRQQKLGRAHKNMPMEMSSKVPAGKFREVIQVPKKSYTRSSV >DRNTG_31053.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30632331:30636030:1 gene:DRNTG_31053 transcript:DRNTG_31053.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGISEIHVDHLHIHDSATAIQFKTAAGRGGFMEHILISDVEMENVETAIHFTGHSDNHPDEDYDPNALPLINAITIRNIVGKNISTAGILTGIKGDPFTALCFSNISLSVTSDPKSSWDCSDVSGFSQSVVPQPCSDLSQLTYPNSSFQCFSLLDQNGYALAE >DRNTG_31053.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30632331:30636030:1 gene:DRNTG_31053 transcript:DRNTG_31053.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLVSSIAVLLVLLVTNAARGSGGDYEHCTSTTSLSPRPHSVSILEFGAVGDGVTLNTIAFQNAVFYARSFADKGGAQLYVPKGRWLTGSFSLTSHLTLFLDKDAVIIGSQDSSQWPIVDPLPSYGRGIELPGGRHRSLINGNNLTDVVITGDYGTIDGQGSVWWDWFHSHFLNYSRPNLVEFVSSTDIIISNLTFLNSPAWSIYPVYCRNVQIQNVTIYSSPDSPFTNGIVPDSCSNTCIVYCSISVGHDAISLKSGWDEFGISYGKPASDIHIHEVTLQTAHGSAIAFGSEMSGGISEIHVDHLHIHDSATAIQFKTAAGRGGFMEHILISDVEMENVETAIHFTGHSDNHPDEDYDPNALPLINAITIRNIVGKNISTAGILTGIKGDPFTALCFSNISLSVTSDPKSSWDCSDVSGFSQSVVPQPCSDLSQLTYPNSSFQCFSLLDQNGYALAE >DRNTG_31053.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30632331:30636030:1 gene:DRNTG_31053 transcript:DRNTG_31053.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGISEIHVDHLHIHDSATAIQFKTAAGRGGFMEHILISDVEMENVETAIHFTGHSDNHPDEDYDPNALPLINAITIRNIVGKNISTAGILTGIKGDPFTALCFSNISLSVTSDPKSSWDCSDVSGFSQSVVPQPCSDLSQLTYPNSSFQCFSLLDQNGYALAE >DRNTG_31053.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30632331:30636067:1 gene:DRNTG_31053 transcript:DRNTG_31053.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGISEIHVDHLHIHDSATAIQFKTAAGRGGFMEHILISDVEMENVETAIHFTGHSDNHPDEDYDPNALPLINAITIRNIVGKNISTAGILTGIKGDPFTALCFSNISLSVTSDPKSSWDCSDVSGFSQSVVPQPCSDLSQLTYPNSSFQCFSLLDQNGYALAE >DRNTG_31053.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30632117:30636030:1 gene:DRNTG_31053 transcript:DRNTG_31053.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGISEIHVDHLHIHDSATAIQFKTAAGRGGFMEHILISDVEMENVETAIHFTGHSDNHPDEDYDPNALPLINAITIRNIVGKNISTAGILTGIKGDPFTALCFSNISLSVTSDPKSSWDCSDVSGFSQSVVPQPCSDLSQLTYPNSSFQCFSLLDQNGYALAE >DRNTG_15136.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1750597:1757837:1 gene:DRNTG_15136 transcript:DRNTG_15136.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEGSDRENGGRLVKSDSTEFRWVARDREEFDADVDESPSRTGFDSEDDENGEQRLIRTGPLIDSFDVEALEVPGALRNDYEEFNLGRNLVLMLQTLGVVFGDVGTSPLYTFDVMFNKYPISSKEDVLGALSLVIYTLILIPLVKYIMIVLWGNDDGEGGTFALYSLICRHAKASLLPNQLPSDARISSFRLKVPSAELERSLKIKERLEASITLKKLLLMLVLFGTSMVIADGVVTPAMSVMSAVSGLKVGIDSVQQDEVVKISVGFLVILFSVQRFGTSKVGLAVGPALFIWFCSLGGIGIYNLMKYGTTALRAFNPVYIYYFFERNTTQAWMSLGGCLLCATGSEAMFADLCYFSVRSVQLTFTFLVLPCLLLGYVGQAAFLIENQTTAEQVFFSSIPGAAFWPVLFIANIAALIASRAMTTATFSCIKQSTALGCFPRLKIIHTSRKFMGQIYIPVINWFLLIVSLAFVATFGSINEIGNAYGIAELGVMMMTTILVTIIMLLIWHINIIIVLIFVCFFLGLELILFSSVLGSVGDGSWVLLVFAAVLFFIMYIWNYGSKLKYETEVKQKLSMDLLTELGCNLGTIRAPGIGLVYNELVKGIPAIFGHFLTSLPAIHSMIIFVCIKYVPVPAVPQSERFLFRRVCPKSYHMFRCIARYGYKDVRKENHQTFEQLLIESLEKFIRREAQERSLESDEDADTDAEEEACSRFLIAPNGSVYSLGVPLLADYSCIEKPSSEASTSSPEVRDEITADASQSLERELSFLHKAKESGVVYLLGHGDIRARKDSWFIKKLVINYFYAFLRKNCRRGIATLSVPHTNLMQVGMTYMV >DRNTG_02844.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19976409:19982049:1 gene:DRNTG_02844 transcript:DRNTG_02844.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSAKKGEETVVCVNCGSRLNTLYIQYSPGNIRLIKCDNCKAVADPYIECEFMIILIDLILHKPKAYRHLLYNMLSFKSEDIKGIIWKSALLFLLLDAIRVFLLKRYNDDYGNSSLLMPKCREVFLDVLLGNIVFIVVLLLGTRLFVNCSLTITSYLEILLAVFVSSFFKVYLVAMMVWEFPSAVLYIIEMFVLSSNAVAFRVSTQQEAIRCIGICLSAHAAKFLSDYWLLSLLPSSR >DRNTG_02844.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19976409:19982049:1 gene:DRNTG_02844 transcript:DRNTG_02844.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKCREVFLDVLLGNIVFIVVLLLGTRLFVNCSLTITSYLEILLAVFVSSFFKVYLVAMMVWEFPSAVLYIIEMFVLSSNAVAFRVSTQQEAIRCIGICLSAHAAKFLSDYWLLSLLPSSR >DRNTG_28828.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4106363:4112572:1 gene:DRNTG_28828 transcript:DRNTG_28828.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGQASVIKWQFQGPRRQSLFLRKQSSQIRFHVCRRNSAVRSLKAFSSEGTPWIPRAVSSRRTQTPIAQDSDVVKQPVEIIHFYRCPLLQNSATAELLRKIQLKISSQIVGLKTEQCFNIGLSSELSSEKLEILKWLLKETYEPENLTTETFLDKEEINEDISTILIEVGPRMSFTTAWSANAVSICQACTLTEVTRMERSRRYLLYLKAGSNPLEDSQINEFVSMIHDRMTECVYPKKLTSFKSTIVPEAVSFVPVVERGRKALEEINMKMGLAFDEHDIQYYTSLFRDDIKRNPSTVELFDIAQSNSEHSRHWFFNGKLIIDGQPMNRTLMQIVKSTLKANPNNSVIGFKDNSSAIKGFAVNLLRPTKPGATSPLCRFKHDLDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSFVVASTAGYCVGNLHIEGSYAPWEDPSFVYPTNLASPLQILIDASNGASDYGNKFGEPLIQGFTRTFGMRLPNGERREWLKPIMFSGGIGQIDHSHIEKGEPDVGMLVVKIGGPAYRIGMGGGAASSMVSGQNDADLDFNAVQRGDAEMAQKLYRVVRACAEMGEDNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRAIVVGDSTLSVLEIWGAEYQEQDALLVKPESRNLLQSICERERVSMAVIGTISGSGRVVLVDKSSIEHCQSSGLPLPSPVVDLELEKVLGDMPQKCFEFKTTTPVLTPPDIAPTTTLMDSLERVLRLPSVCSKRFLTTKVDRCVTGLVAQQQTVGALQLPLADVAVIAQTHSGLTGGACAIGEQPLKSLLHAKSMARLAVGEALTNLVWAKITSLGDVKASGNWMYAAKLDGEGAAMYDAATALSEAMIELGIAIDGGKDSLSMAAHAGGEIVKAPGNLVISTYATCPDITLTVTPDLKLGDDDVGFLVHIDLARGKRRLGGSALLQSFNQIGDECPDLDDVSYLKKAFEAVQQLLGEKLIYAGHDISDGGLIVSILEMAFAGNCGVQLHLNSRGCSLHQLLFSEELGLILEVSSTDIHYVRGKLEKAGVYGEVIGRVTASPVIELLVDGTPQLKEEMPYLRDLWEETSFHLEGLQRLASCVKLEKEGLRSRQAPSWELSFTPEFTDDKFMLVTEKPKVAIIREEGSNGDREMSAAFYAAGFEPWDITMSDLSGKVSLDQFRGLAFVGGFSYADVLDSAKGWSASIRFNQPLLQQFHEFYNRPDTFSLGVCNGCQLMALLGWVPGADVGGSLGAGGDTSQPRFIHNESGRFECRFTSVRIGDSPAIMFKGMQGSTLGVWAAHGEGRAYFPDDAVLNQVLESSLAPLRYCDDVGGVTEVYPFNPNGSPLGVAALCSPDGRHLAMMPHPERCFMMWQFPWYPEGWNVKKKGPSPWLKMFQNAREWCS >DRNTG_28828.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:4106363:4112572:1 gene:DRNTG_28828 transcript:DRNTG_28828.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGQASVIKWQFQGPRRQSLFLRKQSSQIRFHVCRRNSAVRSLKAFSSEGTPWIPRAVSSRRTQTPIAQDSDVVKQPVEIIHFYRCPLLQNSATAELLRKIQLKISSQIVGLKTEQCFNIGLSSELSSEKLEILKWLLKETYEPENLTTETFLDKEEINEDISTILIEVGPRMSFTTAWSANAVSICQACTLTEVTRMERSRRYLLYLKAGSNPLEDSQINEFVSMIHDRMTECVYPKKLTSFKSTIVPEAVSFVPVVERGRKALEEINMKMGLAFDEHDIQYYTSLFRDDIKRNPSTVELFDIAQSNSEHSRHWFFNGKLIIDGQPMNRTLMQIVKSTLKANPNNSVIGFKDNSSAIKGFAVNLLRPTKPGATSPLCRFKHDLDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSFVVASTAGYCVGNLHIEGSYAPWEDPSFVYPTNLASPLQILIDASNGASDYGNKFGEPLIQGFTRTFGMRLPNGERREWLKPIMFSGGIGQIDHSHIEKGEPDVGMLVVKIGGPAYRIGMGGGAASSMVSGQNDADLDFNAVQRGDAEMAQKLYRVVRACAEMGEDNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRAIVVGDSTLSVLEIWGAEYQEQDALLVKPESRNLLQSICERERVSMAVIGTISGSGRVVLVDKSSIEHCQSSGLPLPSPVVDLELEKVLGDMPQKCFEFKTTTPVLTPPDIAPTTTLMDSLERVLRLPSVCSKRFLTTKVDRCVTGLVAQQQTVGALQLPLADVAVIAQTHSGLTGGACAIGEQPLKSLLHAKSMARLAVGEALTNLVWAKITSLGDVKASGNWMYAAKLDGEGAAMYDAATALSEAMIELGIAIDGGKDSLSMAAHAGGEIVKAPGNLVISTYATCPDITLTVTPDLKLGDDDVGFLVHIDLARGKRRLGGSALLQSFNQIGDECPDLDDVSYLKKAFEAVQQLLGEKLIYAGHDISDGGLIVSILEMAFAGNCGVQLHLNSRGCSLHQLLFSEELGLILEVSSTDIHYVRGKLEKAGVYGEVIGRVTASPVIELLVDGTPQLKEEMPYLRDLWEETSFHLEGLQRLASCVKLEKEGLRSRQAPSWELSFTPEFTDDKFMLVTEKPKVAIIREEGSNGDREMSAAFYAAGFEPWDITMSDLSGKVSLDQFRGLAFVGGFSYADVLDSAKGWSASIRFNQPLLQQFHEFYNRPDTFSLGVCNGCQLMALLGWVPGADVGGSLGAGGDTSQPRFIHNESGRFECRFTSVRIGDSPAIMFKGMQGSTLGVWAAHGEGRAYFPDDAVLNQVLESSLAPLRYCDDVGGVTEVYPFNPNGSPLGVAALCSPDGRHLAMMPHPERCFMMWQFPWYPEGWNVKKKGPSPWLKMFQNAREWCS >DRNTG_16586.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:131512:136777:-1 gene:DRNTG_16586 transcript:DRNTG_16586.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGCVKRLQKEYHALCKEPVPQVVARPLPNDILEWHYVLEGSEGTPFAGGCYYGKIKFPPDYPYKPPSISMITPNGRFAPQRKICLSMSDFHPESWNPIWSVSSILTGLLSFMMDDGQTTGSIRTSDDEKRQLAKASLAYNCESKICPNFKKLFPEYVEKYKQEKCPAELPAAEEQLQELPSMLVARAHERAGQIEAENKQEIVPKPAFRGQTNKIPVWLLLLMFSLFGIVMALPLLQP >DRNTG_16586.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:131512:136777:-1 gene:DRNTG_16586 transcript:DRNTG_16586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGCVKRLQKEYHALCKEPVPQVVARPLPNDILEWHYVLEGSEGTPFAGGCYYGKIKFPPDYPYKPPSISMITPNGRFAPQRKICLSMSDFHPESWNPIWSVSSILTGLLSFMMDDGQTTGSIRTSDDEKRQLAKASLAYNCESKICPNFKKLFPEYVEKYKQEKCPAELPAAEEQLQELPSMLVARAHERAGQIEAENKQEIVPKPAFRGQTNKIPVWLLLLMFSLFGIVMALPLLQP >DRNTG_28203.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:9228330:9230201:-1 gene:DRNTG_28203 transcript:DRNTG_28203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSFILHPQVSPKPLNNQSMGLSNGLSEAPTNSIPLLLIIAAAGWISSIVRCLRLFSSPSSSSSAISASHGLASLIALAETLNSSRPFSYDASGEDCLVCLSGLEAGDRVRRLACGHVFHCDCLDGWLDEMNLRTWIKRDLNCVPLNFEAAPMSEASRRKILKVGTLIIINVDCYLSNVVQIMDDLKLRMTDHQLEIL >DRNTG_07742.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2641000:2651166:1 gene:DRNTG_07742 transcript:DRNTG_07742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARISMGYSAPGFVNLKSGGACPQSSFFPGVFVNRMKMPAALRCVRMDEDDISSPPLLTFSSDYLANSIEVREWESGKSLDTIAANQGIKIRRHPPTGPPSHAVGPFEFRLENEGNTPRNILEKIIWDKDAEVSQLKERKPLTMISKTLENAPAVKDFIGALKASYERTGMPALIAEVKKASPSRGVLRENFNPVEIAIAYEKNGAACLSVLTDEKYFQGSFENLEAIKNSGVKCPLLCKEFIIDAWQIYYARSKGADAVLLIAGVLPDLDIKYMTKICRKLGMAALVEVHNEREMDRVLAIDGIKLVGINNRNLETFEVDITNTKTLLNEERMQIISQKGIIVVGESGLFTPEDISYVQDAGVKAVLVGESLIKLEDPGKGITKLFGKDISI >DRNTG_10702.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13694379:13694896:1 gene:DRNTG_10702 transcript:DRNTG_10702.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSKDIRMKATTEILKNMRTLKLQAWEMKFLSKIIKLRKSETNWLKKLVYTSTMTLVFWGAPAFVAVAIFGACMILAFLSLEDLEIDAVRRLPRGTGTTSEVPLEVTDGSFSWDISSETPTLTGLNF >DRNTG_22114.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:217315:220508:-1 gene:DRNTG_22114 transcript:DRNTG_22114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYCGKYHDELIANAAYIGTPGKGILAADESTGTIGKRLASINIENIETNRRGLRELLFCTPGALQYLSGVILFEETLYQQTASGKPFVEVLKEGGVLPGIKVDKGTVDLKGTNGETTTQGHDDLGKRCAKYYDAGARFAKWRAVLNIGPTEPSQLAINENANGLARYAIICQENGLVPIVEPEILVDGPHDINRCAQVTESVLAACYKALNDHHVLLEGTLLKPNMVTPGSDAKKVAPEVIAEYTVRTLQRTVPPAVPAIVFLSGGQSEEEATLNLNAMNQLKGKKPWSLSFSFGRALQQSTLKAWAGKEENIEKARTAFLCRCKANSEATLGTYKGDAANGEGVSESLHVKDYKY >DRNTG_22114.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:217315:220372:-1 gene:DRNTG_22114 transcript:DRNTG_22114.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYCGKYHDELIANAAYIGTPGKGILAADESTGTIGKRLASINIENIETNRRGLRELLFCTPGALQYLSGVILFEETLYQQTASGKPFVEVLKEGGVLPGIKVDKGTVDLKGTNGETTTQGHDDLGKRCAKYYDAGARFAKWRAVLNIGPTEPSQLAINENANGLARYAIICQENGLVPIVEPEILVDGPHDINRCAQVTESVLAACYKALNDHHVLLEGTLLKPNMVTPGSDAKKVAPEVIAEYTVRTLQRTVPPAVPAIVFLSGGQSEEEATLNLNAMNQLKGKKPWSLSFSFGRALQQSTLKAWAGKEENIEKARTAFLCRCKANSEATLGTYKGDAANGEGVSESLHVKDYKY >DRNTG_22114.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:217355:220508:-1 gene:DRNTG_22114 transcript:DRNTG_22114.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYCGKYHDELIANAAYIGTPGKGILAADESTGTIGKRLASINIENIETNRRGLRELLFCTPGALQYLSGVILFEETLYQQTASGKPFVEVLKEGGVLPGIKVDKGTVDLKGTNGETTTQGHDDLGKRCAKYYDAGARFAKWRAVLNIGPTEPSQLAINENANGLARYAIICQENGLVPIVEPEILVDGPHDINRCAQVTESVLAACYKALNDHHVLLEGTLLKPNMVTPGSDAKKVAPEVIAEYTVRTLQRTVPPAVPAIVFLSGGQSEEEATLNLNAMNQLKGKKPWSLSFSFGRALQQSTLKAWAGKEENIEKARTAFLCRCKANSEATLGTYKGDAANGEGVSESLHVKDYKY >DRNTG_22114.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:217355:220372:-1 gene:DRNTG_22114 transcript:DRNTG_22114.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYCGKYHDELIANAAYIGTPGKGILAADESTGTIGKRLASINIENIETNRRGLRELLFCTPGALQYLSGVILFEETLYQQTASGKPFVEVLKEGGVLPGIKVDKGTVDLKGTNGETTTQGHDDLGKRCAKYYDAGARFAKWRAVLNIGPTEPSQLAINENANGLARYAIICQENGLVPIVEPEILVDGPHDINRCAQVTESVLAACYKALNDHHVLLEGTLLKPNMVTPGSDAKKVAPEVIAEYTVRTLQRTVPPAVPAIVFLSGGQSEEEATLNLNAMNQLKGKKPWSLSFSFGRALQQSTLKAWAGKEENIEKARTAFLCRCKANSEATLGTYKGDAANGEGVSESLHVKDYKY >DRNTG_27764.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24424238:24425520:-1 gene:DRNTG_27764 transcript:DRNTG_27764.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIQTLLKLSRPLKHPSPTPPPPPPPPQTTTTTAITIIIKSWTWEACT >DRNTG_12760.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25900775:25901576:1 gene:DRNTG_12760 transcript:DRNTG_12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EPIDERMAL PATTERNING FACTOR-like protein 9 [Source:Projected from Arabidopsis thaliana (AT4G12970) UniProtKB/Swiss-Prot;Acc:Q9SV72] MAMKRPKKTTYLFFFFLSTLLFSTLLSNIPGIHAADKLNNVRRLMIGSMAPTCTYNECKGCRFRCKAEQVPVDANDPINSAYHYRCVCHG >DRNTG_20451.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4584268:4588656:-1 gene:DRNTG_20451 transcript:DRNTG_20451.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSKKVDVEVELKKDRTVRFYPDEKQNSTKTMYQTPKSGGLLANRAGYMTPAKSKVFQEDHEPWSKQILDPGSDLVLKWNRVFLVSCLLALFIDPLYFFLPSIHVGNDTICIKMDRSLSITVTFFRSLADLFYVFHMVIKFRTAYVAPSSRVFGRGELVMDPKKIARKYLRSDFFLDLAAALPLPQIVIWLVIPMIKSSNADHSNNALALIVLFQYIPRLYLIFPLSYQIIKANGVVTKTAWAGAAYNLVLYMLASHVLGAAWYLLTIERQTTCWKSQCEQEKNRTFCEPRFLDCDFLNQKDQQNWAKVTNVFTNCDADNDSISFDYGIFQQALINGALSAEFLKKYFYCLWWGLQNLSCYGQTLTTSTFIGETAFAILIAILGLVLFAHLIGNMQTYLQSLTVRLEEWRLKRRDTEEWMRHRQLPHDLRERVRRFVQYKWLATRGVDEESILRALPTDLRRDIQRHLCLDLVKRVPFFSQMDGQLLDAICERLVSSLSTEGTYIVREGDPVTEMLFIIRGRLESSTTNGGRTGFFNSITLRPGDFCGEELLSWALLPKSTSNLPSSTRTVRALVEVEAFALRAEDLKFVSNQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRRYKRRKLAKDLSMRESFNSRTEEQAGDESDHEEHFAPRTENVSQPKQNLNVTILASKFAASTRKGAQKLKINDLPKLQKPDEPDFSADPYDY >DRNTG_20451.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4584181:4588656:-1 gene:DRNTG_20451 transcript:DRNTG_20451.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSKKVDVEVELKKDRTVRFYPDEKQNSTKTMYQTPKSGGLLANRAGYMTPAKSKVFQEDHEPWSKQILDPGSDLVLKWNRVFLVSCLLALFIDPLYFFLPSIHVGNDTICIKMDRSLSITVTFFRSLADLFYVFHMVIKFRTAYVAPSSRVFGRGELVMDPKKIARKYLRSDFFLDLAAALPLPQIVIWLVIPMIKSSNADHSNNALALIVLFQYIPRLYLIFPLSYQIIKANGVVTKTAWAGAAYNLVLYMLASHVLGAAWYLLTIERQTTCWKSQCEQEKNRTFCEPRFLDCDFLNQKDQQNWAKVTNVFTNCDADNDSISFDYGIFQQALINGALSAEFLKKYFYCLWWGLQNLRYYCVLTINLFPACLNYKHII >DRNTG_20451.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4584268:4587261:-1 gene:DRNTG_20451 transcript:DRNTG_20451.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYQTPKSGGLLANRAGYMTPAKSKVFQEDHEPWSKQILDPGSDLVLKWNRVFLVSCLLALFIDPLYFFLPSIHVGNDTICIKMDRSLSITVTFFRSLADLFYVFHMVIKFRTAYVAPSSRVFGRGELVMDPKKIARKYLRSDFFLDLAAALPLPQIVIWLVIPMIKSSNADHSNNALALIVLFQYIPRLYLIFPLSYQIIKANGVVTKTAWAGAAYNLVLYMLASHVLGAAWYLLTIERQTTCWKSQCEQEKNRTFCEPRFLDCDFLNQKDQQNWAKVTNVFTNCDADNDSISFDYGIFQQALINGALSAEFLKKYFYCLWWGLQNLSCYGQTLTTSTFIGETAFAILIAILGLVLFAHLIGNMQVCNQAFIYVIC >DRNTG_20451.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4584268:4588656:-1 gene:DRNTG_20451 transcript:DRNTG_20451.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSKKVDVEVELKKDRTVRFYPDEKQNSTKTMYQTPKSGGLLANRAGYMTPAKSKVFQEDHEPWSKQILDPGSDLVLKWNRVFLVSCLLALFIDPLYFFLPSIHVGNDTICIKMDRSLSITVTFFRSLADLFYVFHMVIKFRTAYVAPSSRVFGRGELVMDPKKIARKYLRSDFFLDLAAALPLPQIVIWLVIPMIKSSNADHSNNALALIVLFQYIPRLYLIFPLSYQIIKANGVVTKTAWAGAAYNLVLYMLASHVLGAAWYLLTIERQTTCWKSQCEQEKNRTFCEPRFLDCDFLNQKDQQNWAKVTNVFTNCDADNDSISFDYGIFQQALINGALSAEFLKKYFYCLWWGLQNLRYYCVLTINLFPACLNYKHII >DRNTG_20451.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4584268:4588656:-1 gene:DRNTG_20451 transcript:DRNTG_20451.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSKKVDVEVELKKDRTVRFYPDEKQNSTKTMYQTPKSGGLLANRAGYMTPAKSKVFQEDHEPWSKQILDPGSDLVLKWNRVFLVSCLLALFIDPLYFFLPSIHVGNDTICIKMDRSLSITVTFFRSLADLFYVFHMVIKFRTAYVAPSSRVFGRGELVMDPKKIARKYLRSDFFLDLAAALPLPQIVIWLVIPMIKSSNADHSNNALALIVLFQYIPRLYLIFPLSYQIIKANGVVTKTAWAGAAYNLVLYMLASHVLGAAWYLLTIERQTTCWKSQCEQEKNRTFCEPRFLDCDFLNQKDQQNWAKVTNVFTNCDADNDSISFDYGIFQQALINGALSAEFLKKYFYCLWWGLQNLSCYGQTLTTSTFIGETAFAILIAILGLVLFAHLIGNMQTYLQSLTVRLEEWRLKRRDTEEWMRHRQLPHDLRERVRRFVQYKWLATRGVDEESILRALPTDLRRDIQRHLCLDLVKRVPFFSQMDGQLLDAICERLVSSLSTEGTYIVREGDPVTEMLFIIRGRLESSTTNGGRTGFFNSITLRPGDFCGEELLSWALLPKSTSNLPSSTRTVRALVEVEAFALRAEDLKFVSNQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRRYKRRKLAKDLSMRESFNSRTEEQAGDESDHEEHFAPRTENVSQPKQNLNVTILASKFAASTRKGAQKLKINDLPKLQKPDEPDFSADPYDY >DRNTG_05876.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13848996:13851494:-1 gene:DRNTG_05876 transcript:DRNTG_05876.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHTSMWGEIERLGLAGAGSLKSMNFVQSISEEDEQCSQKKNTDFVHVLLIKNKTFVTVTDARGNKKTGASADCLEERKGWSYLSRYTVEATAEHVGQSSRNMGLNLFVMEGGIRLKSSRDFRNVEAAYLSPYSARSGGSRGSFEILQLFSFYLKLPHLSVAPWSPIGDPAVFL >DRNTG_21095.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001200.1:12530:16061:-1 gene:DRNTG_21095 transcript:DRNTG_21095.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRIRGRDRQRRTTKFEDRSTHPFRG >DRNTG_27851.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001412.1:60290:66627:-1 gene:DRNTG_27851 transcript:DRNTG_27851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDINHAHLKNFSNMKHASARNVIERAFGLLKNRWKILSSPSFYSIITQ >DRNTG_14614.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15972943:15974203:1 gene:DRNTG_14614 transcript:DRNTG_14614.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTVSRRSEPSSRTALMGEQPNPWNILQPQVAKSRHRGAKPSRRCELLGKISLLSLE >DRNTG_18982.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22728866:22730971:-1 gene:DRNTG_18982 transcript:DRNTG_18982.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFSSSSSLAASYWCYRCSRFVRVLPNDAIVCPDCDGGFLEEVGTPPRIPAESRRRRRLTHPPMYLLDNASADTPPPRRTRRTATSGDRSPFNPVIVLRGTPERGIEHDRTPSSSFELYYDDGAGSGLRPIPASMSDFLMGSGFDRLLEQLSQIEVNGVGAGGRDCVHPPASKASIESMPTIEIAAGHIGTDSHCAVCKEAFELGSEAREMPCKHIYHQDCILPWLSLRNSCPVCRHEMPTEVGRRMESEVEEQEEAVGLTIWRLPGGGFAVGRFSGGRRAGERELPVVYTEMDGGFNTGRSSTEGLMDLQREPVKGEWTHRQSFAQFLLLFPKNWFIFEIEFRAQRFIFSNK >DRNTG_18982.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22728643:22730971:-1 gene:DRNTG_18982 transcript:DRNTG_18982.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFSSSSSLAASYWCYRCSRFVRVLPNDAIVCPDCDGGFLEEVGTPPRIPAESRRRRRLTHPPMYLLDNASADTPPPRRTRRTATSGDRSPFNPVIVLRGTPERGIEHDRTPSSSFELYYDDGAGSGLRPIPASMSDFLMGSGFDRLLEQLSQIEVNGVGAGGRDCVHPPASKASIESMPTIEIAAGHIGTDSHCAVCKEAFELGSEAREMPCKHIYHQDCILPWLSLRNSCPVCRHEMPTEVGRRMESEVEEQEEAVGLTIWRLPGGGFAVGRFSGGRRAGERELPVVYTEMDGGFNTGRSSTEGLMDLQREPVKGEWTHRQSFAQFLLLFPKNWFIFEIEFRAQRFIFSNK >DRNTG_18982.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22729091:22730971:-1 gene:DRNTG_18982 transcript:DRNTG_18982.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFSSSSSLAASYWCYRCSRFVRVLPNDAIVCPDCDGGFLEEVGTPPRIPAESRRRRRLTHPPMYLLDNASADTPPPRRTRRTATSGDRSPFNPVIVLRGTPERGIEHDRTPSSSFELYYDDGAGSGLRPIPASMSDFLMGSGFDRLLEQLSQIEVNGVGAGGRDCVHPPASKASIESMPTIEIAAGHIGTDSHCAVCKEAFELGSEAREMPCKHIYHQDCILPWLSLRNSCPVCRHEMPTEVGRRMESEVEEQEEAVGLTIWRLPGGGFAVGRFSGGRRAGERELPVVYTEMDGGFNTGRSSTEGLMDLQREPVKGEWTHRQSFAQFLLLFPKNWFIFEIEFRAQRFIFSNK >DRNTG_18982.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22728866:22731378:-1 gene:DRNTG_18982 transcript:DRNTG_18982.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFSSSSSLAASYWCYRCSRFVRVLPNDAIVCPDCDGGFLEEVGTPPRIPAESRRRRRLTHPPMYLLDNASADTPPPRRTRRTATSGDRSPFNPVIVLRGTPERGIEHDRTPSSSFELYYDDGAGSGLRPIPASMSDFLMGSGFDRLLEQLSQIEVNGVGAGGRDCVHPPASKASIESMPTIEIAAGHIGTDSHCAVCKEAFELGSEAREMPCKHIYHQDCILPWLSLRNSCPVCRHEMPTEVGRRMESEVEEQEEAVGLTIWRLPGGGFAVGRFSGGRRAGERELPVVYTEMDGGFNTGRSSTEGLMDLQREPVKGEWTHRQSFAQFLLLFPKNWFIFEIEFRAQRFIFSNK >DRNTG_18982.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22729165:22730971:-1 gene:DRNTG_18982 transcript:DRNTG_18982.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFSSSSSLAASYWCYRCSRFVRVLPNDAIVCPDCDGGFLEEVGTPPRIPAESRRRRRLTHPPMYLLDNASADTPPPRRTRRTATSGDRSPFNPVIVLRGTPERGIEHDRTPSSSFELYYDDGAGSGLRPIPASMSDFLMGSGFDRLLEQLSQIEVNGVGAGGRDCVHPPASKASIESMPTIEIAAGHIGTDSHCAVCKEAFELGSEAREMPCKHIYHQDCILPWLSLRNSCPVCRHEMPTEVGRRMESEVEEQEEAVGLTIWRLPGGGFAVGRFSGGRRAGERELPVVYTEMDGGFNTGRSSTEGLMDLQREPVKGEWTHRQSFAQFLLLFPKNWFIFEIEFRAQRFIFSNK >DRNTG_18982.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22729091:22731378:-1 gene:DRNTG_18982 transcript:DRNTG_18982.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFSSSSSLAASYWCYRCSRFVRVLPNDAIVCPDCDGGFLEEVGTPPRIPAESRRRRRLTHPPMYLLDNASADTPPPRRTRRTATSGDRSPFNPVIVLRGTPERGIEHDRTPSSSFELYYDDGAGSGLRPIPASMSDFLMGSGFDRLLEQLSQIEVNGVGAGGRDCVHPPASKASIESMPTIEIAAGHIGTDSHCAVCKEAFELGSEAREMPCKHIYHQDCILPWLSLRNSCPVCRHEMPTEVGRRMESEVEEQEEAVGLTIWRLPGGGFAVGRFSGGRRAGERELPVVYTEMDGGFNTGRSSTEGLMDLQREPVKGEWTHRQSFAQFLLLFPKNWFIFEIEFRAQRFIFSNK >DRNTG_18982.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22729165:22731378:-1 gene:DRNTG_18982 transcript:DRNTG_18982.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFSSSSSLAASYWCYRCSRFVRVLPNDAIVCPDCDGGFLEEVGTPPRIPAESRRRRRLTHPPMYLLDNASADTPPPRRTRRTATSGDRSPFNPVIVLRGTPERGIEHDRTPSSSFELYYDDGAGSGLRPIPASMSDFLMGSGFDRLLEQLSQIEVNGVGAGGRDCVHPPASKASIESMPTIEIAAGHIGTDSHCAVCKEAFELGSEAREMPCKHIYHQDCILPWLSLRNSCPVCRHEMPTEVGRRMESEVEEQEEAVGLTIWRLPGGGFAVGRFSGGRRAGERELPVVYTEMDGGFNTGRSSTEGLMDLQREPVKGEWTHRQSFAQFLLLFPKNWFIFEIEFRAQRFIFSNK >DRNTG_12565.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:768639:770740:-1 gene:DRNTG_12565 transcript:DRNTG_12565.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVERILMVLYSSNSTIFTATIFLFILIIIFIIPRTNRSKTKPRLPPGPPAIPIIGHLHLIRSLPHHAFDKLAGRYGPLMHLRLGSVLAIVASSPDMAKEVLKTNDANFASRPQNHASRRFGHDGSGLVFAPYGPYWKWIRKLCMSELLGSRTVDQLLPMRRLSLHDLLRTLLDASRRRQQVNISEELVKMGIGTVGRSLVGSTSFREYYYGGDNHDDDLDEMMKLTKEVNMLVGSFNVSDFIPALARWDLQGLDKKIQDVHHRFDAMLERIIERKQDLKYSRNNNNYHHIRSQNIKDLLDIVLDIADNDHDQEYVHACMHAGSVGGWIGLIGSDNGMDVGRADAASGDAGESQVRD >DRNTG_12565.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:768639:770740:-1 gene:DRNTG_12565 transcript:DRNTG_12565.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVERILMVLYSSNSTIFTATIFLFILIIIFIIPRTNRSKTKPRLPPGPPAIPIIGHLHLIRSLPHHAFDKLAGRYGPLMHLRLGSVLAIVASSPDMAKEVLKTNDANFASRPQNHASRRFGHDGSGLVFAPYGPYWKWIRKLCMSELLGSRTVDQLLPMRRLSLHDLLRTLLDASRRRQQVNISEELVKMGIGTVGRSLVGSTSFREYYYGGDNHDDDLDEMMKLTKEVNMLVGSFNVSDFIPALARWDLQGLDKKIQDVHHRFDAMLERIIERKQDLKYSRNNNNYHHIRSQNIKDLLDIVLDIADNDHDQESDIKLTRENIKSFVLV >DRNTG_12565.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:768639:770740:-1 gene:DRNTG_12565 transcript:DRNTG_12565.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVERILMVLYSSNSTIFTATIFLFILIIIFIIPRTNRSKTKPRLPPGPPAIPIIGHLHLIRSLPHHAFDKLAGRYGPLMHLRLGSVLAIVASSPDMAKEVLKTNDANFASRPQNHASRRFGHDGSGLVFAPYGPYWKWIRKLCMSELLGSRTVDQLLPMRRLSLHDLLRTLLDASRRRQQVNISEELVKMGIGTVGRSLVGSTSFREYYYGGDNHDDDLDEMMKLTKEVNMLVGSFNVSDFIPALARWDLQGLDKKIQDVHHRFDAMLERIIERKQDLKYSRNNNNYHHIRSQNIKDLLDIVLDIADNDHDQESDIKLTRENIKSFVLV >DRNTG_12565.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:768639:770740:-1 gene:DRNTG_12565 transcript:DRNTG_12565.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVERILMVLYSSNSTIFTATIFLFILIIIFIIPRTNRSKTKPRLPPGPPAIPIIGHLHLIRSLPHHAFDKLAGRYGPLMHLRLGSVLAIVASSPDMAKEVLKTNDANFASRPQNHASRRFGHDGSGLVFAPYGPYWKWIRKLCMSELLGSRTVDQLLPMRRLSLHDLLRTLLDASRRRQQVNISEELVKMGIGTVGRSLVGSTSFREYYYGGDNHDDDLDEMMKLTKEVNMLVGSFNVSDFIPALARWDLQGLDKKIQDVHHRFDAMLERIIERKQDLKYSRNNNNYHHIRSQNIKDLLDIVLDIADNDHDQEYVHACMHAGSVGGWIGLIGSDNGMDVGRADAASGDAGESQVRD >DRNTG_12565.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:768639:770740:-1 gene:DRNTG_12565 transcript:DRNTG_12565.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVERILMVLYSSNSTIFTATIFLFILIIIFIIPRTNRSKTKPRLPPGPPAIPIIGHLHLIRSLPHHAFDKLAGRYGPLMHLRLGSVLAIVASSPDMAKEVLKTNDANFASRPQNHASRRFGHDGSGLVFAPYGPYWKWIRKLCMSELLGSRTVDQLLPMRRLSLHDLLRTLLDASRRRQQVNISEELVKMGIGTVGRSLVGSTSFREYYYGGDNHDDDLDEMMKLTKEVNMLVGSFNVSDFIPALARWDLQGLDKKIQDVHHRFDAMLERIIERKQDLKYSRNNNNYHHIRSQNIKDLLDIVLDIADNDHDQESDIKLTRENIKSFVLV >DRNTG_12565.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:768639:770740:-1 gene:DRNTG_12565 transcript:DRNTG_12565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVERILMVLYSSNSTIFTATIFLFILIIIFIIPRTNRSKTKPRLPPGPPAIPIIGHLHLIRSLPHHAFDKLAGRYGPLMHLRLGSVLAIVASSPDMAKEVLKTNDANFASRPQNHASRRFGHDGSGLVFAPYGPYWKWIRKLCMSELLGSRTVDQLLPMRRLSLHDLLRTLLDASRRRQQVNISEELVKMGIGTVGRSLVGSTSFREYYYGGDNHDDDLDEMMKLTKEVNMLVGSFNVSDFIPALARWDLQGLDKKIQDVHHRFDAMLERIIERKQDLKYSRNNNNYHHIRSQNIKDLLDIVLDIADNDHDQESDIKLTRENIKSFVLV >DRNTG_27386.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:1260409:1263059:-1 gene:DRNTG_27386 transcript:DRNTG_27386.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWGISGNKGRCYDFWNDFSECMSRCREPKDCKLLREDYFECLHHSKEFQRRNRIYKEEQRQIRAAAQKAKEGEGVAATSHH >DRNTG_24191.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22412803:22421091:1 gene:DRNTG_24191 transcript:DRNTG_24191.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein HAT3.1 [Source:Projected from Arabidopsis thaliana (AT3G19510) UniProtKB/Swiss-Prot;Acc:Q04996] MAGASNSKSPKANMKRVVAKESTNSAHKGKKISPKPGAQRYSLRSALNGVRVLRSMSNGKSNSPVMSDKSNSRSRSKSKSKSPVKKSVSLPVQPVTERRKKKKVRKVTADEFSKIRNRIYYLLKRISYEQNLIDAYSGEGWRGQSLEKIKPEKELERAKSEILRCKLKIRDLFHHLDSLLSKGRFEDSLFDSEGQISSDDIFCATCNTKDVTANNDIILCDGICDRGFHQKCLNPPLLSENIPSGDEGWLCPACDCKVDCFDLLNEFQGSDLTIENTWENVFPEAAASTNSGMQHDESGFPSDDSEDDDYDPDVPVVDEEDQEEGYSSEESDSISLSEESGGLKHELQNKDLGLPSDDSEDDDYDPNRQDIDEKTKKTRSSSDESDFSSDSDEFCALLSKASDANEVSESCKPSTSSSERRRASQRNKSTINSAPLSLSEPNMNQENAIPVSEKTQHEHLDYKKLQAEGNGKTYYNSTDDEDWSNVNTKKKGKGDPHSTKKKGSRRSKGKLAEDGGTVNSGLDLLQASQISNELTPLGTQVRSEAHQVNMFVAERLGDSHGPDSNGNARPIPRRSLGGAISQKLLQSFGESQYPARHTKERLAAELGLTFQQVSKWFENTRRSLRASQAITPLAVSQPGMNNRDESQAKETNQAGIITPTNGNAASASLICVTTPSKTAAASNSQGLYTGSSFSNGQNSGSGRGKRNKSVEDGKQEVQDVSTSNIVDKTRELIDKDRQKAIARELRKRRKCM >DRNTG_24191.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22412803:22421091:1 gene:DRNTG_24191 transcript:DRNTG_24191.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein HAT3.1 [Source:Projected from Arabidopsis thaliana (AT3G19510) UniProtKB/Swiss-Prot;Acc:Q04996] MAGASNSKSPKANMKRVVAKESTNSAHKGKKISPKPGAQRYSLRSALNGVRVLRSMSNGKSNSPVMSDKSNSRSRSKSKSKSPVKKSVSLPVQPVTERRKKKKVRKVTADEFSKIRNRIYYLLKRISYEQNLIDAYSGEGWRGQSLEKIKPEKELERAKSEILRCKLKIRDLFHHLDSLLSKGRFEDSLFDSEGQISSDDIFCATCNTKDVTANNDIILCDGICDRGFHQKCLNPPLLSENIPSGDEGWLCPACDCKVDCFDLLNEFQGSDLTIENTWENVFPEAAASTNSGMQHDESGFPSDDSEDDDYDPDVPVVDEEDQEEGYSSEESDSISLSEESGGLKHELQNKDLGLPSDDSEDDDYDPNRQDIDEKTKKTRSSSDESDFSSDSDEFCALLSKASDANEVSESCKPSTSSSERRRASQRNKSTINSAPLSLSEPNMNQENAIPVSEKTQHEHLDYKKLQAEGNGKTYYNSTDDEDWSNVNTKKKGKGDPHSTKKKGSRRSKGKLAEDGGTVNSGLDLLQASQISNELTPLGTQVRSEAHQVNMFVAERLGDSHGPDSNGNARPIPRRSLGGAISQKLLQSFGESQYPARHTKERLAAELGLTFQQVSKWFENTRRSLRASQAITPLAVSQPGMNNRDESQAKETNQAGIITPTNGNAASASLICVTTPSKTAAASNSQGLYTGSSFSNGQNSGSGRGKRNKSVEDGKQEVQDVSTSNIVDKTRELIDKDRQKAIARELRKRRKCM >DRNTG_24191.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22412803:22421091:1 gene:DRNTG_24191 transcript:DRNTG_24191.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein HAT3.1 [Source:Projected from Arabidopsis thaliana (AT3G19510) UniProtKB/Swiss-Prot;Acc:Q04996] MQHDESGFPSDDSEDDDYDPDVPVVDEEDQEEGYSSEESDSISLSEESGGLKHELQNKDLGLPSDDSEDDDYDPNRQDIDEKTKKTRSSSDESDFSSDSDEFCALLSKASDANEVSESCKPSTSSSERRRASQRNKSTINSAPLSLSEPNMNQENAIPVSEKTQHEHLDYKKLQAEGNGKTYYNSTDDEDWSNVNTKKKGKGDPHSTKKKGSRRSKGKLAEDGGTVNSGLDLLQASQISNELTPLGTQVRSEAHQVNMFVAERLGDSHGPDSNGNARPIPRRSLGGAISQKLLQSFGESQYPARHTKERLAAELGLTFQQVSKWFENTRRSLRASQAITPLAVSQPGMNNRDESQAKETNQAGIITPTNGNAASASLICVTTPSKTAAASNSQGLYTGSSFSNGQNSGSGRGKRNKSVEDGKQEVQDVSTSNIVDKTRELIDKDRQKAIARELRKRRKCM >DRNTG_24191.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22412803:22421091:1 gene:DRNTG_24191 transcript:DRNTG_24191.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein HAT3.1 [Source:Projected from Arabidopsis thaliana (AT3G19510) UniProtKB/Swiss-Prot;Acc:Q04996] MAGASNSKSPKANMKRVVAKESTNSAHKGKKISPKPGAQRYSLRSALNGVRVLRSMSNGKSNSPVMSDKSNSRSRSKSKSKSPVKKSVSLPVQPVTERRKKKKVRKVTADEFSKIRNRIYYLLKRISYEQNLIDAYSGEGWRGQSLEKIKPEKELERAKSEILRCKLKIRDLFHHLDSLLSKGRFEDSLFDSEGQISSDDIFCATCNTKDVTANNDIILCDGICDRGFHQKCLNPPLLSENIPSGDEGWLCPACDCKVDCFDLLNEFQGSDLTIENTWENVFPEAAASTNSGMQHDESGFPSDDSEDDDYDPDVPVVDEEDQEEGYSSEESDSISLSEESGGLKHELQNKDLGLPSDDSEDDDYDPNRQDIDEKTKKTRSSSDESDFSSDSDEFCALLSKASDANEVSESCKPSTSSSERRRASQRNKSTINSAPLSLSEPNMNQENAIPVSEKTQHEHLDYKKLQAEGNGKTYYNSTDDEDWSNVNTKKKGKGDPHSTKKKGSRRSKGKLAEDGGTVNSGLDLLQASQISNELTPLGTQVRSEAHQVNMFVAERLGDSHGPDSNGNARPIPRRSLGGAISQKLLQSFGESQYPARHTKERLAAELGLTFQQVSKWFENTRRSLRASQAITPLAVSQPGMNNRDESQAKETNQAGIITPTNGNAASASLICVTTPSKTAAASNSQGLYTGSSFSNGQNSGSGRGKRNKSVEDGKQEVQDVSTSNIVDKTRELIDKDRQKAIARELRKRRKCM >DRNTG_24191.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22412803:22421091:1 gene:DRNTG_24191 transcript:DRNTG_24191.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein HAT3.1 [Source:Projected from Arabidopsis thaliana (AT3G19510) UniProtKB/Swiss-Prot;Acc:Q04996] MAGASNSKSPKANMKRVVAKESTNSAHKGKKISPKPGAQRYSLRSALNGVRVLRSMSNGKSNSPVMSDKSNSRSRSKSKSKSPVKKSVSLPVQPVTERRKKKKVRKVTADEFSKIRNRIYYLLKRISYEQNLIDAYSGEGWRGQSLEKIKPEKELERAKSEILRCKLKIRDLFHHLDSLLSKGRFEDSLFDSEGQISSDDIFCATCNTKDVTANNDIILCDGICDRGFHQKCLNPPLLSENIPSGDEGWLCPACDCKVDCFDLLNEFQGSDLTIENTWENVFPEAAASTNSGMQHDESGFPSDDSEDDDYDPDVPVVDEEDQEEGYSSEESDSISLSEESGGLKHELQNKDLGLPSDDSEDDDYDPNRQDIDEKTKKTRSSSDESDFSSDSDEFCALLSKASDANEVSESCKPSTSSSERRRASQRNKSTINSAPLSLSEPNMNQENAIPVSEKTQHEHLDYKKLQAEGNGKTYYNSTDDEDWSNVNTKKKGKGDPHSTKKKGSRRSKGKLAEDGGTVNSGLDLLQASQISNELTPLGTQVRSEAHQVNMFVAERLGDSHGPDSNGNARPIPRRSLGGAISQKLLQSFGESQYPARHTKERLAAELGLTFQQVSKWFENTRRSLRASQAITPLAVSQPGMNNRDESQAKETNQAGIITPTNGNAASASLICVTTPSKTAAASNSQGLYTGSSFSNGQNSGSGRGKRNKSVEDGKQEVQDVSTSNIVDKTRELIDKDRQKAIARELRKRRKCM >DRNTG_24191.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22412803:22421091:1 gene:DRNTG_24191 transcript:DRNTG_24191.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein HAT3.1 [Source:Projected from Arabidopsis thaliana (AT3G19510) UniProtKB/Swiss-Prot;Acc:Q04996] MAGASNSKSPKANMKRVVAKESTNSAHKGKKISPKPGAQRYSLRSALNGVRVLRSMSNGKSNSPVMSDKSNSRSRSKSKSKSPVKKSVSLPVQPVTERRKKKKVRKVTADEFSKIRNRIYYLLKRISYEQNLIDAYSGEGWRGQSLEKIKPEKELERAKSEILRCKLKIRDLFHHLDSLLSKGRFEDSLFDSEGQISSDDIFCATCNTKDVTANNDIILCDGICDRGFHQKCLNPPLLSENIPSGDEGWLCPACDCKVDCFDLLNEFQGSDLTIENTWENVFPEAAASTNSGMQHDESGFPSDDSEDDDYDPDVPVVDEEDQEEGYSSEESDSISLSEESGGLKHELQNKDLGLPSDDSEDDDYDPNRQDIDEKTKKTRSSSDESDFSSDSDEFCALLSKASDANEVSESCKPSTSSSERRRASQRNKSTINSAPLSLSEPNMNQENAIPVSEKTQHEHLDYKKLQAEGNGKTYYNSTDDEDWSNVNTKKKGKGDPHSTKKKGSRRSKGKLAEDGGTVNSGLDLLQASQISNELTPLGTQVRSEAHQVNMFVAERLGDSHGPDSNGNARPIPRRSLGGAISQKLLQSFGESQYPARHTKERLAAELGLTFQQVSKWFENTRRSLRASQAITPLAVSQPGMNNRDESQAKETNQAGIITPTNGNAASASLICVTTPSKTAAASNSQGLYTGSSFSNGQNSGSGRGKRNKSVEDGKQEVQDVSTSNIVDKTRELIDKDRQKAIARELRKRRKCM >DRNTG_24191.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22412803:22421091:1 gene:DRNTG_24191 transcript:DRNTG_24191.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein HAT3.1 [Source:Projected from Arabidopsis thaliana (AT3G19510) UniProtKB/Swiss-Prot;Acc:Q04996] MQNVFPEAAASTNSGMQHDESGFPSDDSEDDDYDPDVPVVDEEDQEEGYSSEESDSISLSEESGGLKHELQNKDLGLPSDDSEDDDYDPNRQDIDEKTKKTRSSSDESDFSSDSDEFCALLSKASDANEVSESCKPSTSSSERRRASQRNKSTINSAPLSLSEPNMNQENAIPVSEKTQHEHLDYKKLQAEGNGKTYYNSTDDEDWSNVNTKKKGKGDPHSTKKKGSRRSKGKLAEDGGTVNSGLDLLQASQISNELTPLGTQVRSEAHQVNMFVAERLGDSHGPDSNGNARPIPRRSLGGAISQKLLQSFGESQYPARHTKERLAAELGLTFQQVSKWFENTRRSLRASQAITPLAVSQPGMNNRDESQAKETNQAGIITPTNGNAASASLICVTTPSKTAAASNSQGLYTGSSFSNGQNSGSGRGKRNKSVEDGKQEVQDVSTSNIVDKTRELIDKDRQKAIARELRKRRKCM >DRNTG_24191.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22412803:22421091:1 gene:DRNTG_24191 transcript:DRNTG_24191.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein HAT3.1 [Source:Projected from Arabidopsis thaliana (AT3G19510) UniProtKB/Swiss-Prot;Acc:Q04996] MAGASNSKSPKANMKRVVAKESTNSAHKGKKISPKPGAQRYSLRSALNGVRVLRSMSNGKSNSPVMSDKSNSRSRSKSKSKSPVKKSVSLPVQPVTERRKKKKVRKVTADEFSKIRNRIYYLLKRISYEQNLIDAYSGEGWRGQSLEKIKPEKELERAKSEILRCKLKIRDLFHHLDSLLSKGRFEDSLFDSEGQISSDDIFCATCNTKDVTANNDIILCDGICDRGFHQKCLNPPLLSENIPSGDEGWLCPACDCKVDCFDLLNEFQGSDLTIENTWENVFPEAAASTNSGMQHDESGFPSDDSEDDDYDPDVPVVDEEDQEEGYSSEESDSISLSEESGGLKHELQNKDLGLPSDDSEDDDYDPNRQDIDEKTKKTRSSSDESDFSSDSDEFCALLSKASDANEVSESCKPSTSSSERRRASQRNKSTINSAPLSLSEPNMNQENAIPVSEKTQHEHLDYKKLQAEGNGKTYYNSTDDEDWSNVNTKKKGKGDPHSTKKKGSRRSKGKLAEDGGTVNSGLDLLQASQISNELTPLGTQVRSEAHQVNMFVAERLGDSHGPDSNGNARPIPRRSLGGAISQKLLQSFGESQYPARHTKERLAAELGLTFQQVSKWFENTRRSLRASQAITPLAVSQPGMNNRDESQAKETNQAGIITPTNGNAASASLICVTTPSKTAAASNSQGLYTGSSFSNGQNSGSGRGKRNKSVEDGKQEVQDVSTSNIVDKTRELIDKDRQKAIARELRKRRKCM >DRNTG_24191.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22412803:22421091:1 gene:DRNTG_24191 transcript:DRNTG_24191.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein HAT3.1 [Source:Projected from Arabidopsis thaliana (AT3G19510) UniProtKB/Swiss-Prot;Acc:Q04996] MAGASNSKSPKANMKRVVAKESTNSAHKGKKISPKPGAQRYSLRSALNGVRVLRSMSNGKSNSPVMSDKSNSRSRSKSKSKSPVKKSVSLPVQPVTERRKKKKVRKVTADEFSKIRNRIYYLLKRISYEQNLIDAYSGEGWRGQSLEKIKPEKELERAKSEILRCKLKIRDLFHHLDSLLSKGRFEDSLFDSEGQISSDDIFCATCNTKDVTANNDIILCDGICDRGFHQKCLNPPLLSENIPSGDEGWLCPACDCKVDCFDLLNEFQGSDLTIENTWENVFPEAAASTNSGMQHDESGFPSDDSEDDDYDPDVPVVDEEDQEEGYSSEESDSISLSEESGGLKHELQNKDLGLPSDDSEDDDYDPNRQDIDEKTKKTRSSSDESDFSSDSDEFCALLSKASDANEVSESCKPSTSSSERRRASQRNKSTINSAPLSLSEPNMNQENAIPVSEKTQHEHLDYKKLQAEGNGKTYYNSTDDEDWSNVNTKKKGKGDPHSTKKKGSRRSKGKLAEDGGTVNSGLDLLQASQISNELTPLGTQVRSEAHQVNMFVAERLGDSHGPDSNGNARPIPRRSLGGAISQKLLQSFGESQYPARHTKERLAAELGLTFQQVSKWFENTRRSLRASQAITPLAVSQPGMNNRDESQAKETNQAGIITPTNGNAASASLICVTTPSKTAAASNSQGLYTGSSFSNGQNSGSGRGKRNKSVEDGKQEVQDVSTSNIVDKTRELIDKDRQKAIARELRKRRKCM >DRNTG_24191.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22412803:22421091:1 gene:DRNTG_24191 transcript:DRNTG_24191.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein HAT3.1 [Source:Projected from Arabidopsis thaliana (AT3G19510) UniProtKB/Swiss-Prot;Acc:Q04996] MAGASNSKSPKANMKRVVAKESTNSAHKGKKISPKPGAQRYSLRSALNGVRVLRSMSNGKSNSPVMSDKSNSRSRSKSKSKSPVKKSVSLPVQPVTERRKKKKVRKVTADEFSKIRNRIYYLLKRISYEQNLIDAYSGEGWRGQSLEKIKPEKELERAKSEILRCKLKIRDLFHHLDSLLSKGRFEDSLFDSEGQISSDDIFCATCNTKDVTANNDIILCDGICDRGFHQKCLNPPLLSENIPSGDEGWLCPACDCKVDCFDLLNEFQGSDLTIENTWENVFPEAAASTNSGMQHDESGFPSDDSEDDDYDPDVPVVDEEDQEEGYSSEESDSISLSEESGGLKHELQNKDLGLPSDDSEDDDYDPNRQDIDEKTKKTRSSSDESDFSSDSDEFCALLSKASDANEVSESCKPSTSSSERRRASQRNKSTINSAPLSLSEPNMNQENAIPVSEKTQHEHLDYKKLQAEGNGKTYYNSTDDEDWSNVNTKKKGKGDPHSTKKKGSRRSKGKLAEDGGTVNSGLDLLQASQISNELTPLGTQVRSEAHQVNMFVAERLGDSHGPDSNGNARPIPRRSLGGAISQKLLQSFGESQYPARHTKERLAAELGLTFQQVSKWFENTRRSLRASQAITPLAVSQPGMNNRDESQAKETNQAGIITPTNGNAASASLICVTTPSKTAAASNSQGLYTGSSFSNGQNSGSGRGKRNKSVEDGKQEVQDVSTSNIVDKTRELIDKDRQKAIARELRKRRKCM >DRNTG_27231.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1525502:1526353:-1 gene:DRNTG_27231 transcript:DRNTG_27231.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNNYYDPEYLWKSSSFTINQDLSPPPSPPIHEHDPFSFFQLSPPFLDHDPFQDLSTLLLSPHHHHHSPPVLLPQHQTKPPTIKPSKSRTMRKDHHGKIRTMHGLRDRRMRLSLEVAHKFFSLQDMLGFDKASKTLDWLLTKSHSAIKDLIESSSSLSSSSSSSKCNNDSTDVITKGDHGKSKRAKVSSLNPPPKRATLRSVRTKESRARARARAKERIKEKKKSSSMQCCGNDQDGEMMKLSEEMQSALDNGERSILFNGAMLECFPFNDLFEGKELLMTS >DRNTG_33630.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21285925:21286854:-1 gene:DRNTG_33630 transcript:DRNTG_33630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWHHILLKITVFSTSPSVSSTTRPMPVTRRQPGSP >DRNTG_18318.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2503629:2506746:-1 gene:DRNTG_18318 transcript:DRNTG_18318.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:COG0212 [Source:Projected from Arabidopsis thaliana (AT1G76730) UniProtKB/TrEMBL;Acc:A0A178WEK7] MAAAAAAAADPKAWKWRIRKRVWDLMEAEGIARNPRPVHHRIPNFDGAIAAADLLGGLDAFQKAQCVKVNPDSPQKHIRFLTLAGGKKLLTPQPRLRTGFFSVLDSRMLPPGRINEGCTSVGVAKYGKPIDLDVKIKVDLIVIGSVAVDPRTGARLGKGEGFAELEYGMLRYMGAIDDSTLVVTTVHDKQLVDDIPLEKLLIHDVPVDIICTPTQVILTNTSIPKPQGIYWEKLSPEKLGQIRILRELKKRIEQQTGQALPCGPSEKLPPTAQRRR >DRNTG_18318.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2503629:2506746:-1 gene:DRNTG_18318 transcript:DRNTG_18318.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COG0212 [Source:Projected from Arabidopsis thaliana (AT1G76730) UniProtKB/TrEMBL;Acc:A0A178WEK7] MAAAAAAAADPKAWKWRIRKRVWDLMEAEGIARNPRPVHHRIPNFDGAIAAADLLGGLDAFQKAQCVKVNPDSPQKHIRFLTLAGGKKLLTPQPRLRTGFFSVLDSRMLPPGRINEGCTSVGVAKYGKPIDLDVKIKVDLIVIGSVAVDPRTGARLGKGEGFAELEYGMLRYMGAIDDSTLVVTTVHDKQLVDDIPLEKLLIHDVPVDIICTPTQVILTNTSIPKPQGIYWEKLSPEKLGQIRILRELKKRIEQQTGQALPCGPSEKLPPTAQRRR >DRNTG_00870.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21875244:21875588:-1 gene:DRNTG_00870 transcript:DRNTG_00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding HNFTTTNILRKGGFGLVYKGKLAEGHEIAVKRLSRNSTQGIDEFENEVTFIAKLQHRNLVRLLGYCIKGDEKILVYEYMPNGSLDTFLF >DRNTG_21542.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1407839:1412837:-1 gene:DRNTG_21542 transcript:DRNTG_21542.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase 31 [Source:Projected from Arabidopsis thaliana (AT3G29090) UniProtKB/Swiss-Prot;Acc:Q9LVQ0] MATVRILRVSADCSCEYRTVQEAIDAVPLGNRIRTIIRVAPGKYKEAVYVPKTKNLITLAASCPETTIISWDNIASRIQHHQLPRVIGTGTFGCGSTIVEGEDFIAENITFENSAPQGAGQAVAIRVTADRSAFYNCRFLSWQDTLYLHHGKQYLRDCYIEGSVDFIFGNATALLEHCHIHCKSPGFITAHSRKSSQETTGYVFLRCVITGNGNTSYSYLGRPWGPFGRVVFAFSWMDACIKPAGWNNWDKCDNERTACFYEYRCSGPGSRPSDRVSWARELMDEEAEQFLAHSFVDPDPQRQWLLQRMAVRIPLSA >DRNTG_20938.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28170415:28177738:1 gene:DRNTG_20938 transcript:DRNTG_20938.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPT3 [Source:Projected from Arabidopsis thaliana (AT5G58290) UniProtKB/TrEMBL;Acc:A0A178UAH2] MASSAMILDPKPTLSEPPPSFAAIPSTSLSPGGEDDDDLYGRLKSLQRQLEFIDIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDQNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAAEIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPDTDFDFYK >DRNTG_27737.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4433738:4434448:-1 gene:DRNTG_27737 transcript:DRNTG_27737.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGCVAVRVGLEEDEGEFKRFLIPISYLHHPLFKDLLDKSQEIYGFDSSGPLTLPCSVDEFLRLRWHIEHESKPSHHRQNHRHHASFSCPTPCLDLSHL >DRNTG_27737.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4433832:4434516:-1 gene:DRNTG_27737 transcript:DRNTG_27737.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGCVAVRVGLEEDEGEFKRFLIPISYLHHPLFKDLLDKSQEIYGFDSSGPLTLPCSVDEFLRLRWHIEHESKPSHHRQNHRHHASFSCPTPCLDLSHL >DRNTG_27737.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4433832:4434448:-1 gene:DRNTG_27737 transcript:DRNTG_27737.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGCVAVRVGLEEDEGEFKRFLIPISYLHHPLFKDLLDKSQEIYGFDSSGPLTLPCSVDEFLRLRWHIEHESKPSHHRQNHRHHASFSCPTPCLDLSHL >DRNTG_27737.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4433580:4434448:-1 gene:DRNTG_27737 transcript:DRNTG_27737.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGCVAVRVGLEEDEGEFKRFLIPISYLHHPLFKDLLDKSQEIYGFDSSGPLTLPCSVDEFLRLRWHIEHESKPSHHRQNHRHHASFSCPTPCLDLSHL >DRNTG_11552.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15883603:15884330:1 gene:DRNTG_11552 transcript:DRNTG_11552.1 gene_biotype:protein_coding transcript_biotype:protein_coding STTTLGWGARLLSCFGALLFLAFFFPGGLEEGGFAHAGRAGEGAPTSAPSRNLSAGSIPAKQSRGTPVGRGKERSGEEAG >DRNTG_28288.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:11938688:11938960:-1 gene:DRNTG_28288 transcript:DRNTG_28288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAKDKEQEEPQAQQHRMSDMKPVTKEAYGGGMYGKDDDEKKPEKQLRPRASETQCADGPEEATVEPKHQPPPSTGDRDLDITGQSYIQ >DRNTG_08303.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000375.1:139900:140741:1 gene:DRNTG_08303 transcript:DRNTG_08303.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine synthase [Source:Projected from Arabidopsis thaliana (AT2G36880) UniProtKB/TrEMBL;Acc:A0A178VXF8] MVMVIGEITTKAQVDYEKLVRDTCRNIGFISADVGLDADNCKVLINVQQQSPDIAQGVHGHLTKKPEEIGAGDQGHMFGYGNDETPELMPLTHVLATKLGAKLTKVCKNKTCPWLRPDGKTQVTVEYKNEDTEDLKEHIIKPIIPAKYLDQGTIFHFHPSGRFVIGGPHGDAGLTGRKIIINTYCGWGAHGGGALLGKDPTKVDKSGAYIVKQATKSVVASGLARRCLVPVSFAISVPEPLSVFVLGFIRFLEQ >DRNTG_15535.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2978712:2980314:-1 gene:DRNTG_15535 transcript:DRNTG_15535.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRVKCRCGNGGCSEWAIVELQGVVESQVSSIGTRIEGLEIGNLCRSSSSSQETYTFTIGYHELSGSKIALKKPLLVLKKHKNDDDDEEMKNPRVELEVIGIVRHRILFKNRPKALISRPQCKEKKSAS >DRNTG_15535.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2979949:2980314:-1 gene:DRNTG_15535 transcript:DRNTG_15535.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRVKCRCGNGGCSEWAIVELQGVVESQVSSIGTRIEGLEIGNLCRSSSSSQETYTFTIGYHELSGSKIALKKPLLVLKKHKNDDDDEEMKNPRVELEVIGIVRHRILFKNRPKALIS >DRNTG_09264.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:501650:502029:-1 gene:DRNTG_09264 transcript:DRNTG_09264.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLKFLHPSGNSTKKHNLHQDSHRHQQTTHNE >DRNTG_34603.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23230818:23232139:1 gene:DRNTG_34603 transcript:DRNTG_34603.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carnitine/acylcarnitine carrier-like protein [Source:Projected from Arabidopsis thaliana (AT5G46800) UniProtKB/Swiss-Prot;Acc:Q93XM7] MGEVAKDLTSGTIGGAAQLIVGHPFDTIKVKLQSQPAPLPGQLPKYAGALDAVKQTIAAEGPGGLYKGMGAPLATVAAFNAVLFTVRGQMEALLRSEPGAVLSVNQQIVCGAGAGVAVSFLACPTELIKCRLQAQSALAGSASSTGAVKYGGPMDVAKHVLKAEGGLRGLYKGLVPTLAREVPGNAAMFGVYEALKQYFAGGKDTSGLGRGSLMTAGGLAGAAFWAIVYPTDVVKSVIQVDDYKNPKYSGSINAFKKIFASEGVKGLYRGFGPAMARSIPANAACFLAYEVTKI >DRNTG_34603.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:23229464:23232139:1 gene:DRNTG_34603 transcript:DRNTG_34603.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carnitine/acylcarnitine carrier-like protein [Source:Projected from Arabidopsis thaliana (AT5G46800) UniProtKB/Swiss-Prot;Acc:Q93XM7] MINLDELMLHIPLQESHKYFVAFHEGLHFKHYHFQMKMGEVAKDLTSGTIGGAAQLIVGHPFDTIKVKLQSQPAPLPGQLPKYAGALDAVKQTIAAEGPGGLYKGMGAPLATVAAFNAVLFTVRGQMEALLRSEPGAVLSVNQQIVCGAGAGVAVSFLACPTELIKCRLQAQSALAGSASSTGAVKYGGPMDVAKHVLKAEGGLRGLYKGLVPTLAREVPGNAAMFGVYEALKQYFAGGKDTSGLGRGSLMTAGGLAGAAFWAIVYPTDVVKSVIQVDDYKNPKYSGSINAFKKIFASEGVKGLYRGFGPAMARSIPANAACFLAYEVTKI >DRNTG_09973.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000419.1:31944:32790:-1 gene:DRNTG_09973 transcript:DRNTG_09973.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQRSQLLSGTHRSPARRFSRKAPKRLAVEMTGVKYKRAENGFGQRWIGL >DRNTG_29045.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2777587:2781730:-1 gene:DRNTG_29045 transcript:DRNTG_29045.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHITKYMRHMEDIMLIFVSLLCVCSCGLGLYFYNTAWLRPKRIIKELRKQGINGPKPSFLAGNVPEMGKIMASKKAKEEYCSFTEDYAKVLFPYLLQWRRKYGPIFVYWIGNKPALYVSQKDLVRDISLCVSLDLGKPVLLQKAHMPLFGKGILKANGMEWFNQRKLIAPEFFMDKVKSMIELMVESVMPLVDSWESKAKNGGGVAEIIVDEDFRNFSADVISRASFGSNYAEGREIFEKLRVLSLTILRSNNLFDISYLRFLPTKRNKLIEKLEKETGTLILNLVKERKEDTLVSSRKDYFLTSLLESVSTTSETTPNSSSSTDCFIVDNCKNIYYAGHETIAVTATWCLMLLASYPRWQDLAREEVMELCKGQPPNADMIQKMKTLTMVIQETLRLYPPASFIARETFKEMKFGDVCIPKGINIWIPVSTMHQDMEIWGMDAGKFNPERFVNGVLGACNGHGYMFMPFGFGARTCLGLNFAMVELKVVLSMLLMKFTFALSPQYCHSPAFRLIVEPEFGVHLIVKRV >DRNTG_26664.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1022225:1023620:-1 gene:DRNTG_26664 transcript:DRNTG_26664.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRAASTREPYAASRPRLHNESVVMEEAEQLQQA >DRNTG_26664.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1020961:1021472:-1 gene:DRNTG_26664 transcript:DRNTG_26664.7 gene_biotype:protein_coding transcript_biotype:protein_coding FSRETSKGVASDRVRLEPGLDRRAPAREAPKPSSSATRTMSFEATFMA >DRNTG_26664.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1020961:1023620:-1 gene:DRNTG_26664 transcript:DRNTG_26664.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAASTREPYAASRPRLHNESVVMEEAEQLQQA >DRNTG_26664.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1020836:1021472:-1 gene:DRNTG_26664 transcript:DRNTG_26664.6 gene_biotype:protein_coding transcript_biotype:protein_coding FSRETSKGVASDRVRLEPGLDRRAPAREAPKPSSSATRTMSFEATFMAFQEGMNRR >DRNTG_26664.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1022225:1023582:-1 gene:DRNTG_26664 transcript:DRNTG_26664.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRAASTREPYAASRPRLHNESVVMEEAEQLQQA >DRNTG_26664.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1022225:1023826:-1 gene:DRNTG_26664 transcript:DRNTG_26664.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRAASTREPYAASRPRLHNESVVMEEAEQLQQA >DRNTG_26664.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1021913:1023620:-1 gene:DRNTG_26664 transcript:DRNTG_26664.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAASTREPYAASRPRLHNESVVMEEAEQLQQA >DRNTG_05796.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19172840:19176721:-1 gene:DRNTG_05796 transcript:DRNTG_05796.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g32500 [Source:Projected from Arabidopsis thaliana (AT1G32500) UniProtKB/TrEMBL;Acc:Q058Q9] MAITFFSSSLLPPLRLRSPNPNPNPKPKTLAPIRASLSDPFVLSIAEKLEDSLPSSPPPSLQSLRSLSSQSLLSKPWPSRKDEPFRFTDTSFLKSSQILPVSSPNPPSDPGFDSHSPNLLVVADGHPVPSLSRLSSLPSGVVAGYLSSLPPGPVLDRVLAVTASEFRDGDLFWDLNGLGTPDVLVVYVPEGVRVVEEPLHLVFCSTEGEEVGSGRLPVSNPRVLLVVEKRAELTILEEHLGIGDCEGKCYWANSAMEILIGEGGMVKHSYVQRQSLNAAHIKWTSARQEAASTYELVEVSTGGRLSRHNIHIQQLGPETVTEMSAFHIAQSNQTQDLHSKLVLDYPRGFSRQLHKCIVSDSSGHAIFDGNIKVNRYAQQTDAGQLTRTLLLAPRATANLKPNLQIIADDVKCSHGAAISDLEEDQLFYLQTRGIDLQTAREALVFAFGKEVITRIPYVPLQESVTSRFKELLAAK >DRNTG_05796.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:19172840:19176721:-1 gene:DRNTG_05796 transcript:DRNTG_05796.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g32500 [Source:Projected from Arabidopsis thaliana (AT1G32500) UniProtKB/TrEMBL;Acc:Q058Q9] MAITFFSSSLLPPLRLRSPNPNPNPKPKTLAPIRASLSDPFVLSIAEKLEDSLPSSPPPSLQSLRSLSSQSLLSKPWPSRKDEPFRFTDTSFLKSSQILPVSSPNPPSDPGFDSHSPNLLVVADGHPVPSLSRLSSLPSGVVAGYLSSLPPGPVLDRVLAVTASEFRDGDLFWDLNGLGTPDVLVVYVPEGVRVVEEPLHLVFCSTEGEEVGSGRLPVSNPRVLLVVEKRAELTILEEHLGIGDCEGKCYWANSAMEILIGEGGMVKHSYVQRQSLNAAHIKWTSARQEAASTYELVEVSTGGRLSRHNIHIQQLGPETVTEMSAFHIAQSNQTQDLHSKLVLDYPRGFSRQLHKCIVSDSSGHAIFDGNIKVNRYAQQTDAGQLTRTLLLAPRATANLKPNLQIIADDVKCSHGAAISDLEEDQLFYLQTRGIDLQTAREALVFAFGKEVITRIPYVPLQESVTSRFKELLAAK >DRNTG_07845.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:27375:31045:-1 gene:DRNTG_07845 transcript:DRNTG_07845.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQALLFLLFLLCPLVKLSQGNPTLYRCSTDSNYTTPSTFATNLALLLPDLIATTANSSILFSTVSRDSIYGLAQCQLGSAPSDCASCLNNSATDFTTLCPSGRSAGIRYDGCLLRYSDTRFFSQLSNDAFHYRTSGKTVSDPAGFSSSVNDLMNGISSKAAHTESRFMVGMTNFSGLIYGMAQCTSDLSANDCANCLNSALGFMRLHAYGKVGSLVASLSCIIRYETHPFFSLLQLAAPPPSPPPPPPPSLVKSPPVNDTTGSGGKSSNTTTIVLVVVFVLVAGIVIFSGVCIYLRRRKRKPNRRWTADGGDDSEFISAESLSFDLATLRDATSNFSDENELGRGGFGVVYKGVLRDGQEIAVKRLSLTSVQGLVELKNEVVLVAKLQHRHLVRLLGCCLEEKEKLLVYEYLPNASLDKFLFDPVRRQQLDWARRYRIIEGISRGLLYLHEDSRLRIIHRDLKAGNILLDG >DRNTG_29441.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:2453564:2458725:-1 gene:DRNTG_29441 transcript:DRNTG_29441.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIQLKDDYNNRGCLVGSCSLNCWAKYKISQRSIKLLKEIKNLKTEYDAFKEKTEVQPPRAVREIPTSSIAVGKIIKLNLEKVHGYLADDNVSMVGIWGMGGVGKTTLLNEINNSLQGGGANMGFKHVIYLVVSKEPQFEKLQKEISTRLGLPSNYEKSDIFEFLKNKGLFDATLDDMWESGAFTKPLVFHLLTIKAKSWEDRGQSASTR >DRNTG_14943.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27056068:27060378:1 gene:DRNTG_14943 transcript:DRNTG_14943.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKKTLPVHWHIMPKNTCLDWVDVIAFSENNGRLASLALTNVLSDEEQKNLLEEIDKLLPGQKGVASTKFLLGLLRTAMILKANPSCISNLERRIGMQLDQASLDDLLMPNFSYTMETLYNVECIQRILEHFLAMDQATGGASPLVDDEQLIGSPSLTPLTTVSKLIDGFLAEVAPDVNLKLPKFESLTAAVPEYARPLDDGLYRAIDIYLKAHPWLTDSEREQLCRLLDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSIAGCFLVSENLDGSMPLRSGALPASGDAGGWATTVRENQVLKVGMDSMRLRVSELEKECSNMRQEIEKLGRGKSGWSSVSKFGFKIKSQMCSAQESSVNDQKSATGKLGKQQQQLKLTKHRKQLSIEE >DRNTG_14943.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27056068:27060378:1 gene:DRNTG_14943 transcript:DRNTG_14943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKKTLPVHWHIMPKNTCLDWVDVIAFSENNGRLASLALTNVLSDEEQKNLLEEIDKLLPGQKGVASTKFLLGLLRTAMILKANPSCISNLERRIGMQLDQASLDDLLMPNFSYTMETLYNVECIQRILEHFLAMDQATGGASPLVDDEQLIGSPSLTPLTTVSKLIDGFLAEVAPDVNLKLPKFESLTAAVPEYARPLDDGLYRAIDIYLKAHPWLTDSEREQLCRLLDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSIAGCFLVSENLDGSMPLRSGALPASGDAGGWATTVRENQVLKVGMDSMRLRVSELEKECSNMRQEIEKLGRGKSGWSSVSKFGFKIKSQMCSAQESSVNDQKSATGKLGKQQQQLKLTKHRKQLSIEE >DRNTG_02117.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:10856927:10859901:1 gene:DRNTG_02117 transcript:DRNTG_02117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQEKTDTRAKWNENHRSHLVKLLGRYTEGRCSRDVDYYANMSMDTPSPSIPAPHDPIQSLSTPEIEIEDRDFAQVEPPCSQPNIFQAQNSCSASRRRLGDEVQRRKKDRK >DRNTG_24966.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6583728:6588177:1 gene:DRNTG_24966 transcript:DRNTG_24966.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-interacting, WD40-repeat protein, Actin turnove [Source: Projected from Oryza sativa (Os01g0125800)] MAELLETYACVPSTERGRGILISGDPKSDSIVYCNGRSVIIRSLSSPLEASIYGEHAYQATVARFSPNGEWVASADVSGTVRIWGRHGDRVLKNEFRVLSGRIDDLQWSPDGQRIVASGDGKGKSFVRAFMWDSGSNVGEFDGHSRRVLSCAFKPTRPFRIATCGEDFLVNFYEGPPFRFKLSQREHSNFVNCVRFSPDGSKFITVSSDKKGIIYDAKTGEKMGELSAADAHKGSIYAVNWSPDSKQVLTVSADKTAKVWEIMDDGNGKLNRTLECLGSGGVDDMLVSCLWQNDHLVTVSLGGTINIFSASDPEKTVTTFSGHMKSVSSLAFLHQSGQKIILSSSYDGLIVRWMQGVGYVGKLQRKDSTQIKCFAAVEEELVTSGFDNKVWRIPLNGDKCGEAVHVDVGSQPKDLSLAVKFPEVALVSTDSGLAILRGSKLASSIKVTYVVTASTISPDGAEAIVGGQDGKLHIYSIAEDVLTEESVLEKHRGAITVIRYSSDASMFASADANREAVVWDRMTREVKLKNMLYHSARINCLAWSPDGRMVATGSLDTCVIIYDVDKPASNRITIKGAHLGGVYGLAFCDENSIISSGEDACVRVWRLLQQ >DRNTG_23763.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21207281:21209069:-1 gene:DRNTG_23763 transcript:DRNTG_23763.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQDSISNEHLLPHTTLQAPGSVCHNISRSTTLPSKQPTNPLARVLTTASISPRQRTIGVQIHHFSKPHQHEPFTAETIAWIPSKTQLPSQEWQGAHH >DRNTG_23763.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21207281:21209220:-1 gene:DRNTG_23763 transcript:DRNTG_23763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQDSISNEHLLPHTTLQAPGSVCHNISRSTTLPSKQPTNPLARVLTTASISPRQRTIGVQIHHFSKPHQHEPFTAETIAWIPSKTQLPSQEWQGAHH >DRNTG_20347.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23388984:23391970:1 gene:DRNTG_20347 transcript:DRNTG_20347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLAESSQNSSSRIQRSHGRSMRTIRSNLYRTDSNHSPEPRDLTGFSENLTDSVVDFRLRELAAAIGSSAIIAAKSGSIDATTDLLDISQDLSDFSSFNSDISGELQRLAAVPASSLSQPQLCRNPASSDDHHLDDPDLQGLGFWGDNIISSSEILETASPESLEPAVSLFVDILESSSAEIDAKRTAADKLRLLAKHRSDFREMIGSSGAIPVLIPLLRSTDPKAQENAVTALLNLSLEEQNKASITAAGAIKPLVYALKTGTAIAKQNAACALLSLSMIEENRTTIGVCGAIPPLVSLLLNGSTRGKKDALTTLYKLCAARQNKERAVSAGAVRPLVALVGEHGSGTTEKAMVVLSSLAGIPEGREAIVEEGGIPALVEAIEDGSVKGKEFAVLTLLQLCAESARNRGLLVREGAIPPLAALSQSGSARAKLKAETLLGYLREQRQQDAGAAAAAATGLLR >DRNTG_20347.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23388984:23391970:1 gene:DRNTG_20347 transcript:DRNTG_20347.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLAESSQNSSSRIQRSHGRSMRTIRSNLYRTDSNHSPEPRDLTGFSENLTDSVVDFRLRELAAAIGSSAIIAAKSGSIDATTDLLDISQDLSDFSSFNSDISGELQRLAAVPASSLSQPQLCRNPASSDDHHLDDPDLQGLGFWGDNIISSSEILETASPESLEPAVSLFVDILESSSAEIDAKRTAADKLRLLAKHRSDFREMIGSSGAIPVLIPLLRSTDPKAQENAVTALLNLSLEEQNKASITAAGAIKPLVYALKTGTAIAKQNAACALLSLSMIEENRTTIGVCGAIPPLVSLLLNGSTRGKKDALTTLYKLCAARQNKERAVSAGAVRPLVALVGEHGSGTTEKAMVVLSSLAGIPEGREAIVEEGGIPALVEAIEDGSVKGKEFAVLTLLQLCAESARNRGLLVREGAIPPLAALSQSGSARAKLKAETLLGYLREQRQQDAGAAAAAATGLLRLML >DRNTG_30342.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23229560:23231722:1 gene:DRNTG_30342 transcript:DRNTG_30342.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELEGKYTKDGTTDISGKAAIKKNTGNWRACPYILANECCERLAYYGMSTNLVNYMKDRLHMANTTAANNVTYWSGTCYIMPLLGAFVADAYWGRYRTIATFMIIYIFGLALLSMTTCINGLKPSSCNGGDVCHPKQVQSAVVFVALYLIALGTGGIKPCVSSFGADQFDESDQSEKKSKSSFFNWFYFTINIGALIASSVLVWIQMNVGWGWGFGIPAIVMAIAVISFFSGTRLYRHQMPGGSPLTRMAQVIVSAIRKTHVEVPADKSLLHEINDNGSAIQGSPKLEHTDEFRCLDKAAVVTQRDQSKGGRVSPWRLCTVTQVEELKSVVRLLPIWATGIIFSTVYSQMSTMFVLQGNTLDPHMGPSFKIPSASLSLFDTVSVIFWVPVYDKIIVPLARRFTGRERGFTQLTRMGIGLVISVFSMLAAGILEFVRLRIVARDKLYDSKDYVSMTILWQIPQYFIVGAAEVFTFVGQLEFFYDQAPDAMRSMCSALSLTTNALGNYLSSLLVTLVIAITTMNGRLGWIPDNLNRGHLDYFYWLLAILSLVNFGVYLLIAKWYTYKKTVQTSLPHEAAP >DRNTG_09927.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17750768:17753270:-1 gene:DRNTG_09927 transcript:DRNTG_09927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLPAPPLGSKNLARKKLRGGKRDGWLHNGQSFGLHLRYHRVVEEMVTVVLLTCRRKEVEGMATVVLVTFRRKEVEEMALVAAETCSSKAEVETVRVVVEIYSSKEVEDMTMVVVEIYSSKEVEEMAMVVVEIYSSKEVWRRWRWWWRGSVMSGVLCLTPLRTFYGVYNLERAIEELTPVPYIISLFNCMLRIYYASIKGSGYIVFLSISIFGCFFNVIYIIVYLIYTPKKVKVYVPNALSLMFGVIQIVIYMMYKHAAPVEDVDSLQLPAEGHSGAGEVKVEMTVVEII >DRNTG_12912.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:26527495:26529440:-1 gene:DRNTG_12912 transcript:DRNTG_12912.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWAVSHKIWEKWASSTNIASTSASSGSPIVAALLLNHDPTAPSRLLSVIAEQEGTNLKAVELYPFLDFVKRNNPPTEYFFIGENQYLVTSIHEHWFCARCVNTSKPAGEGAIVMQAGAFLLVAMYEGSIGAASQAMVAVDQLAWQLNRRIH >DRNTG_00458.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:27238334:27239828:1 gene:DRNTG_00458 transcript:DRNTG_00458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMALQTLRSLKIKINKKKKSPIIKIISENLKKFLKRSLDFSRERDPDHGQQVFLRSSSGGEC >DRNTG_27485.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26522809:26523195:1 gene:DRNTG_27485 transcript:DRNTG_27485.1 gene_biotype:protein_coding transcript_biotype:protein_coding PEGIHCVCKRFPFLKIVTSEIDAALNEEYRVIPGLGEFGDRYFGTDD >DRNTG_18408.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4053816:4055997:-1 gene:DRNTG_18408 transcript:DRNTG_18408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLARLLGNGRVPTLGNSHPPRRAPTRPLGQVRAGSMRVMALTTSASTRHHSIKAILLASPPPATKASCHLIVCTKVFPLLSVCLWSS >DRNTG_16027.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17482975:17486928:1 gene:DRNTG_16027 transcript:DRNTG_16027.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFLSKLPHKSSKSSVGSDSTQNHFGSNATNSGSSIQRSVSGGNAVSGRTAATVKRMSSAIFPSSVVAGIEPLLSFKDVPNHEKPNLFISKLNLCCVVFDFSNPNKNSVEKDLKHQTLMDLAEYVSTTTTRFTEPMIAASCKMFAANLFRVFTPNNRSNSSGGGENEEEEPMFDPSWSHLQGVYDLLLRFIASSSLDAKVGKKYVDHSFILRLLELFDSEDPRERECLKTILHRIYGKFMVHRPFIRKAVSNIFYKFVFETDRHNGIAELLEVFGSVVSGFALPLKEEHKIFLWRTLIPLHKPRSVGVYLQQLTFCVSQFVEKEPKLASTVIMGLLKYWPVTNSQKEVMFLSELEEVLEATNMAEFQKCMIPLFRRIGYCLSSSHFQVAERALFLWNNDHIVTLVAHNRQVIMPLIFPALERNIQSHWNQAVLNVTLNVKKMFAEMDEELFLSCERKFEEEEDKLIALEEKRRITWERLETAAASQPVTGNTAVLVTPITTPKIASSFT >DRNTG_10619.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:171291:172550:-1 gene:DRNTG_10619 transcript:DRNTG_10619.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSGASSGTGTGTGTGTGTGTGSSSHGQNSSFELIDQRKQKRMLSNRESARRSRMRKQKHLDDLMALANELRKENSKFITSLNYTTQQYLAVEADNSVLRTQMMELTNRLQSLNEIIQFMSLNNFANVNVAATAFLSDDSSPPSMVNDNLMMPWNMMMMMMYMNHNHHQLQQQQQHEEQEPMLMNMFEYC >DRNTG_10619.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:171291:172597:-1 gene:DRNTG_10619 transcript:DRNTG_10619.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSGASSGTGTGTGTGTGTGTGSSSHGQNSSFELIDQRKQKRMLSNRESARRSRMRKQKHLDDLMALANELRKENSKFITSLNYTTQQYLAVEADNSVLRTQMMELTNRLQSLNEIIQFMSLNNFANVNVAATAFLSDDSSPPSMVNDNLMMPWNMMMMMMYMNHNHHQLQQQQQHEEQEPMLMNMFEYC >DRNTG_10619.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:171139:172597:-1 gene:DRNTG_10619 transcript:DRNTG_10619.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSGASSGTGTGTGTGTGTGTGSSSHGQNSSFELIDQRKQKRMLSNRESARRSRMRKQKHLDDLMALANELRKENSKFITSLNYTTQQYLAVEADNSVLRTQMMELTNRLQSLNEIIQFMSLNNFANVNVAATAFLSDDSSPPSMVNDNLMMPWNMMMMMMYMNHNHHQLQQQQQHEEQEPMLMNMFEYC >DRNTG_10619.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:171291:172685:-1 gene:DRNTG_10619 transcript:DRNTG_10619.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSGASSGTGTGTGTGTGTGTGSSSHGQNSSFELIDQRKQKRMLSNRESARRSRMRKQKHLDDLMALANELRKENSKFITSLNYTTQQYLAVEADNSVLRTQMMELTNRLQSLNEIIQFMSLNNFANVNVAATAFLSDDSSPPSMVNDNLMMPWNMMMMMMYMNHNHHQLQQQQQHEEQEPMLMNMFEYC >DRNTG_13856.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000644.1:184744:185639:-1 gene:DRNTG_13856 transcript:DRNTG_13856.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGATCRVSHGRAEITHARAWFTRLPTGASPRPCVLSGKTAQLCRNSHARAKLPTGVRQLGGRPQGQPHAPVPSLDELAVNIHGRVEIPHARVFSLDDLEKPAGSAELIRTCPHTQSLLYYAKFTRGFGPPSNCSSNSLGSCTSPTVLGHFRWRLRALFIFRSTFFKIPGV >DRNTG_26463.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:511679:521492:1 gene:DRNTG_26463 transcript:DRNTG_26463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGHKHQEFVAHTSGVNCLSIGKKSCRVFITGGEDRKVNLWAFGKPTPLLSLSGSTSPIESVSFDPTEVLVLAGSFNGTIKLWDLEEAKMVRTVNGHRSSCTSVGFHPFGEFFASGSLDTDLKIWDIRKKECIHTYKGHTRGVRTIRFTPDGRWVVTGGEDNIVKLWDLTAGKLLHEFKFHNGQIRCIDFHPQEFLLATGAADRTVKFWDLETFELIGSAGPESSGIRSMIFHPDGRTLLCGLDENLKVFSWEPIICHDAVEMEWCTLADLCIYEGKLFGCSYRQSCIGVWIADITLIRPYAVGVMHKVDDLMEPIYSHDKNHSVEPFVSISKSSPLIAAEHLQCESKAEDLLKRSFMISNQCGECTPKAVESIYASSNALISSTELKSKRASVSLHSDPPKSHSKSSAGVSAINSATLTVHKTTEKSLSSSKMRRCSSLRNISLISATSRARGSLPSKKGSLTDMHTVTNSHAKYGPVSTPVIVPRDALAQTTIGKETTTTRTSHLTESCMPIHLQKPSLNDANDSSEGSMVNCGSAEEDEKDHARNISGNFERIMTLDPSLELKDDKYAETVCSSNEASPVKYVRGVAVQLGRTRSLVESWEKREKSNSGSSTIACSPSDPVSTVESSPSLSKGQDDSSARDMTRVDDDFIPGVLLQNHDVLINVIKSRLTKLQVVRHFWEQNGIKGAINAVVKLPDHSVQVDVISILIGKTRLFTLDLFTCLLPMLAGLLNSKTERHITVSLEMLLELVKAFGPVISSTLSASLVGVDLQAEQRLEHCRQCFNQLEKIKQILPFLIRSGGLLAKHAHILNVALEAL >DRNTG_26463.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:511679:521492:1 gene:DRNTG_26463 transcript:DRNTG_26463.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGHKHQEFVAHTSGVNCLSIGKKSCRVFITGGEDRKVNLWAFGKPTPLLSLSGSTSPIESVSFDPTEVLVLAGSFNGTIKLWDLEEAKMVRTVNGHRSSCTSVGFHPFGEFFASGSLDTDLKIWDIRKKECIHTYKGHTRGVRTIRFTPDGRWVVTGGEDNIVKLWDLTAGKLLHEFKFHNGQIRCIDFHPQEFLLATGAADRTVKFWDLETFELIGSAGPESSGIRSMIFHPDGRTLLCGLDENLKVFSWEPIICHDAVEMEWCTLADLCIYEGKLFGCSYRQSCIGVWIADITLIRPYAVGVMHKVDDLMEPIYSHDKNHSVEPFVSISKSSPLIAAEHLQCESKAEDLLKRSFMISNQCGECTPKAVESIYASSNALISSTELKSKRASVSLHSDPPKSHSKSSAGVSAINSATLTVHKTTEKSLSSSKMRRCSSLRNISLISATSRARGSLPSKKGSLTDMHTVTNSHAKYGPVSTPVIVPRDALAQTTIGKETTTTRTSHLTESCMPIHLQKPSLNDANDSSEGSMVNCGSAEEDEKDHARNISGNFERIMTLDPSLELKDDKYAETVCSSNEASPVKYVRGVAVQLGRTRSLVESWEKREKSNSGSSTIACSPSDPVSTVESSPSLSKGQDDSSARDMTRVDDDFIPGVLLQNHDVLINVIKSRLTKLQVNFSVH >DRNTG_25279.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31557391:31560103:1 gene:DRNTG_25279 transcript:DRNTG_25279.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCTTRPLMMMMMMMMMQRKSNSNTQTSLDGWTKAAILQPQESDKPLTAEKAEKVCSVTQVEEVKILIRMLPILGSTILMNTCLAQLQTFSIQQGYIMNLYLGNFRIPPSSIPVIPLLFMAILIPTYEFIFVPFVRRFTGHPSGITHLQRVGVGLVLSAISMAVAGLVEVKRKNAFNKEHKQLSVFWLSYQYGIFGIADMFTLVGLLEFFYSEAPVGMRSLSTSFTFLSLSFGYFLSTVFVDVINSVTSSLSSNKQGWLVGLDLNSNHLERFYWFLSILSCLNFVIYLLCATWYKYRKEAAPAPAMTNTKTTKTDASSSVEHMNDDQINESSSSSSSSSSSCGERQVQVW >DRNTG_04830.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:5604481:5606734:-1 gene:DRNTG_04830 transcript:DRNTG_04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHQLLTSVYLCMHSFLLLLAPFLLLLLTIKLVLSKRSVRLPPSPWKLPLIGNLHQFGSHQILHKLSNKYGPLMFLKLGQVPTLLVSSSQMAKEIMKTHDHIFASRPVLNASRIILYKNRDMAFAPYGEYYRQMRKISVTNLLSMRRVQLFHAARKKEVVHFIDKIVSHASSHPLEALNMSHMLFCFTNDVLCRAILGEFSRDLEGRNEMFMELIEENIFLFSRFNLEDFFPSLRWINSLLCIDGRANRTFTRWDRVLTQMIKEHENKDDGNLKDDDFVDVLLSLKNDPKLGFSLTEENIKALLLDMFAAGTDTTYITSEWAMGELARNPNVIEKLQHEINGIAGGKPMVDENDLREMHYLKAVLKEVLRLHPPAPLLLPRESIDDCQIGGYEIPKKTRVIINSWAIARDPKIWDMPNEFIPERFLNNSIDFKGQDFELIPFGSGRRICPGMGFAVNNIELLLANLIHRFEWKLPDDFVSEVNMAEAPGITTRMKKTLNLIPKPLF >DRNTG_11459.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18490018:18501851:-1 gene:DRNTG_11459 transcript:DRNTG_11459.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAHGALSIVSQPFAVDGTSSPSIPASMTSCWFLKPRRRPFFSRISRIAILGSQSGGSSSRSVSQEEFVLEDSKAGNGDAREVRRSADWKEARTYKESGVIYDGKIEGFNSGGLLIRFYSLLGFLPFPLLSPSHFWKDSNKTVQEIAKNLVGSSIAVKVIQASEEEKRLIFSERDVDWSKYSEQVKVGDIFSGRVGSIEDYGAFVHLLFPDGFYHLTGLVHISEVSWDLVQDVRDFLSEGDLVKVKVIHIDRERSRITLSIKQLEDDPLLETLDKVIPPQGQLESDSLSASSPSNMEPLPGLESICQELLQEEGVTDVSFGRQALEKRVVSQDLELWLSNVPAKGNQFTLLARAGRQVQEVYLTTTLDQEGVKKAVQRVLGRVP >DRNTG_11459.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18490018:18501851:-1 gene:DRNTG_11459 transcript:DRNTG_11459.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAHGALSIVSQPFAVDGTSSPSIPASMTSCWFLKPRRRPFFSRISRIAILGSQSGGSSSRSVSQEEFVLEDSKAGNGDAREVRRSADWKEARTYKESGVIYDGKIEGFNSGGLLIRFYSLLGFLPFPLLSPSHFWKDSNKTVQEIAKNLVGSSIAVKVIQASEEEKRLIFSERDVDWSKYSEQVKVGDIFSGRVGSIEDYGAFVHLLFPDGFYHLTGLVHISEVSWDLVQDVRDFLSEGDLVKVKVIHIDRERSRITLSIKQLEDDPLLETLDKVIPPQGQLESDSLSASSPSNMEPLPGLESICQELLQEEGVTDVSFGRQALEKRVVSQDLELWLSNVPAKGNQFTLLARAGRQVQEVYLTTTLDQEGVKKAVQRVLGRVP >DRNTG_22400.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16783026:16783166:1 gene:DRNTG_22400 transcript:DRNTG_22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQDRFDPTVQNTLVGGIVTVPAAATVPHGRLLQCCGCYS >DRNTG_00957.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21103554:21107582:-1 gene:DRNTG_00957 transcript:DRNTG_00957.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLKKIFKGSTHGTCEGQYRGKYDVNVVWNEPSKTSEGPSQYKDEDEDEDLDHAIAVSLSEEECKGKAIDKKPQIEEDEQLARALHDSLNASYPFNNIGQIYRPSPFSFLSTFRRCAGCHREITDGRFLSCMGAIWHPECLRCYGCNNPISDNEFSVHGNRPYHASCYRELRQPKCDVCKSFIPSNVFGLIEYKAHPFWQQKYCPSHDYDHTPRCCSCERMEPRDMKYITLDDGRKLCLECLDSVIMDTNECQPLFQFIKEFYEGLNMKIEQQIPLLLVERQALNEAMESERNGTHHLPETRGLCLAEEQIVSVISRRPTIGPGNMITDILTRPYRLVRQCEVTAILILYGLPRLLTGTILAHEMMHGWMRLQGFRGINHAVEEGICQVLAHMWLEAEIAAGSSSNSASSSSSSSSSSSSSSRPTRKGIRSQFEKKFALFIKYQMESDTSQAYGDGFRAGLRAVQTYGLRQTLNHIRRTGIFP >DRNTG_00957.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21103554:21107363:-1 gene:DRNTG_00957 transcript:DRNTG_00957.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLKKIFKGSTHGTCEGQYRGKYDVNVVWNEPSKTSEGPSQYKDEDEDEDLDHAIAVSLSEEECKGKAIDKKPQIEEDEQLARALHDSLNASYPFNNIGQIYRPSPFSFLSTFRRCAGCHREITDGRFLSCMGAIWHPECLRCYGCNNPISDNEFSVHGNRPYHASCYRELRQPKCDVCKSFIPSNVFGLIEYKAHPFWQQKYCPSHDYDHTPRCCSCERMEPRDMKYITLDDGRKLCLECLDSVIMDTNECQPLFQFIKEFYEGLNMKIEQQIPLLLVERQALNEAMESERNGTHHLPETRGLCLAEEQIVSVISRRPTIGPGNMITDILTRPYRLVRQCEVTAILILYGLPRLLTGTILAHEMMHGWMRLQGFRGINHAVEEGICQVLAHMWLEAEIAAGSSSNSASSSSSSSSSSSSSSRPTRKGIRSQFEKKFALFIKYQMESDTSQAYGDGFRAGLRAVQTYGLRQTLNHIRRTGIFP >DRNTG_29139.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:1358295:1361758:-1 gene:DRNTG_29139 transcript:DRNTG_29139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTVAPDPSARPPMVPLATLLGRELRGSKSEKPVVRFGHANLAKRGEDLFLIKPECIRVPGNSSSTFSVFAIFDGHNGVSAAVFAKEHLLDHVLSAIPQGISREEWLRVLPRALVAGFVKTDIDFQRKGEVSGTTATLVVVDGSTVTVGCVGDSRCILDSRDGVVSLLTVDHRLEENAEERERVTASGGEVGRLNLCGGQEIGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSSAGGRLIIASDGIWDALSSEAAAKSCRGLPADLAAKLVVKEALKTSGLKDDTTCLVVDVVPSETVSLPSSPRRNQNKFRSLLFGKRSQNIVGKLVNKLSSVGSVEELFEEGSAMLEERLGSDFPCKTNSSTFRCAICQMDQAPNEGLPVSPSPFCSPTSKPWEGPYLCEECRRKKEAMEGKRPSATAVSR >DRNTG_31479.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1973877:1977335:1 gene:DRNTG_31479 transcript:DRNTG_31479.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQRLMRLLKSCIASKSMMLVLQSKAITLGLQDISLWNCFLSCYTKNRFYGEALHVFDRLRVVGELRPDMYTYPSVLKVCAGLGNAVAGEKIHCSVVKSGFLVDVVVSSAIVCMYAKCKLFASAVQLFDEMPHRDVACWNTVISCYHQDGQALKALEVFETMMNYGFEPDSVTFAIIFSACARVLDLERGQRIHEELIRKGVELDEFVGSAIVDMYGKCGCLDRAREVFEAIPMKNVVSWNTMIGGYSLKGDTQSCLQLFSRMNRERIRPTSTTISNLLIACSRTSDLRHGKIIHGCLIRSCISFDVFIGSTLIDLYFKCASVRYAESVFAMMPREDVVSWNVMISGYVTTGSYFKALDLFQEMRINNVRPDAVTFTSALSACTQLSALEQGKEIHRQIKVDDLESNEILMTTLLDMYAKCGGVEEAQMVFDKLQVKDIVSWTSMVVAYGSHGQASKALNLFQDMLKEPSIRPDRIIFLAVLSACNHGGLVEEGCHYFKQMTDAYAIKPALEHYSCLIDLLGRSGRLKEAFDMFSNMQDIKADAGLLGSLFAACSLHKNLELGVKLAEQLIEINPDDHSTYVVLANMYASSGRWDKVRKVRTEMKERGLRKNPGCSWIEIDKKIHQFFAEDDSHQHTEFIYDCLQSLSKHMRKKRSSMSLS >DRNTG_31479.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1973877:1977335:1 gene:DRNTG_31479 transcript:DRNTG_31479.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQRLMRLLKSCIASKSMMLVLQSKAITLGLQDISLWNCFLSCYTKNRFYGEALHVFDRLRVVGELRPDMYTYPSVLKVCAGLGNAVAGEKIHCSVVKSGFLVDVVVSSAIVCMYAKCKLFASAVQLFDEMPHRDVACWNTVISCYHQDGQALKALEVFETMMNYGFEPDSVTFAIIFSACARVLDLERGQRIHEELIRKGVELDEFVGSAIVDMYGKCGCLDRAREVFEAIPMKNVVSWNTMIGGYSLKGDTQSCLQLFSRMNRERIRPTSTTISNLLIACSRTSDLRHGKIIHGCLIRSCISFDVFIGSTLIDLYFKCASVRYAESVFAMMPREDVVSWNVMISGYVTTGSYFKALDLFQEMRINNVRPDAVTFTSALSACTQLSALEQGKEIHRQIKVDDLESNEILMTTLLDMYAKCGGVEEAQMVFDKLQVKDIVSWTSMVVAYGSHGQASKALNLFQDMLKEPSIRPDRIIFLAVLSACNHGGLVEEGCHYFKQMTDAYAIKPALEHYSCLIDLLGRSGRLKEAFDMFSNMQDIKADAGLLGSLFAACSLHKNLELGVKLAEQLIEINPDDHSTYVVLANMYASSGRWDKVRKVRTEMKERGLRKNPGCSWIEIDKKIHQFFAEDDSHQHTEFIYDCLQSLSKHMRKKRSSMSLS >DRNTG_31479.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1973877:1977335:1 gene:DRNTG_31479 transcript:DRNTG_31479.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQRLMRLLKSCIASKSMMLVLQSKAITLGLQDISLWNCFLSCYTKNRFYGEALHVFDRLRVVGELRPDMYTYPSVLKVCAGLGNAVAGEKIHCSVVKSGFLVDVVVSSAIVCMYAKCKLFASAVQLFDEMPHRDVACWNTVISCYHQDGQALKALEVFETMMNYGFEPDSVTFAIIFSACARVLDLERGQRIHEELIRKGVELDEFVGSAIVDMYGKCGCLDRAREVFEAIPMKNVVSWNTMIGGYSLKGDTQSCLQLFSRMNRERIRPTSTTISNLLIACSRTSDLRHGKIIHGCLIRSCISFDVFIGSTLIDLYFKCASVRYAESVFAMMPREDVVSWNVMISGYVTTGSYFKALDLFQEMRINNVRPDAVTFTSALSACTQLSALEQGKEIHRQIKVDDLESNEILMTTLLDMYAKCGGVEEAQMVFDKLQVKDIVSWTSMVVAYGSHGQASKALNLFQDMLKEPSIRPDRIIFLAVLSACNHGGLVEEGCHYFKQMTDAYAIKPALEHYSCLIDLLGRSGRLKEAFDMFSNMQDIKADAGLLGSLFAACSLHKNLELGVKLAEQLIEINPDDHSTYVVLANMYASSGRWDKVRKVRTEMKERGLRKNPGCSWIEIDKKIHQFFAEDDSHQHTEFIYDCLQSLSKHMRKKRSSMSLS >DRNTG_31479.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1973877:1977335:1 gene:DRNTG_31479 transcript:DRNTG_31479.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQRLMRLLKSCIASKSMMLVLQSKAITLGLQDISLWNCFLSCYTKNRFYGEALHVFDRLRVVGELRPDMYTYPSVLKVCAGLGNAVAGEKIHCSVVKSGFLVDVVVSSAIVCMYAKCKLFASAVQLFDEMPHRDVACWNTVISCYHQDGQALKALEVFETMMNYGFEPDSVTFAIIFSACARVLDLERGQRIHEELIRKGVELDEFVGSAIVDMYGKCGCLDRAREVFEAIPMKNVVSWNTMIGGYSLKGDTQSCLQLFSRMNRERIRPTSTTISNLLIACSRTSDLRHGKIIHGCLIRSCISFDVFIGSTLIDLYFKCASVRYAESVFAMMPREDVVSWNVMISGYVTTGSYFKALDLFQEMRINNVRPDAVTFTSALSACTQLSALEQGKEIHRQIKVDDLESNEILMTTLLDMYAKCGGVEEAQMVFDKLQVKDIVSWTSMVVAYGSHGQASKALNLFQDMLKEPSIRPDRIIFLAVLSACNHGGLVEEGCHYFKQMTDAYAIKPALEHYSCLIDLLGRSGRLKEAFDMFSNMQDIKADAGLLGSLFAACSLHKNLELGVKLAEQLIEINPDDHSTYVVLANMYASSGRWDKVRKVRTEMKERGLRKNPGCSWIEIDKKIHQFFAEDDSHQHTEFIYDCLQSLSKHMRKKRSSMSLS >DRNTG_23974.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30878086:30880618:1 gene:DRNTG_23974 transcript:DRNTG_23974.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPLPPPRTTQTAEYYYFFIAVAAIAVMLFASVDCCSPSRIFHAIRNRLRRRTTMAGDPETSHSHEQQAHHHLIPLCKYRSDDKDQQAECSVCLSLFIEGEEVRQLPKCKHLFHAPCIDMWLFSHSNCPLCRADVSSVPSCRSSRLWSLPMEPRTGATIGGFM >DRNTG_23974.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30877369:30880618:1 gene:DRNTG_23974 transcript:DRNTG_23974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPLPPPRTTQTAEYYYFFIAVAAIAVMLFASVDCCSPSRIFHAIRNRLRRRTTMAGDPETSHSHEQQAHHHLIPLCKYRSDDKDQQAECSVCLSLFIEGEEVRQLPKCKHLFHAPCIDMWLFSHSNCPLCRADVSSVPSCRSSRLWSLPMEPRTGATIGGFM >DRNTG_16460.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15577338:15585117:-1 gene:DRNTG_16460 transcript:DRNTG_16460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSVSTPLNTTRDLGLWLWRAHNKVNERLMIEEKDMKTEDPRFPKTIWPPKQLCNQCYFAPSSKSHGAALLDWNEDEVFKFLVKYYGENLVSSYKDSVSDSRDGESIVDDMAVSTNAVAVPVGAALAIALASCAFGALACFWRTQQKNRKQRKNLSKT >DRNTG_16460.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15577338:15585117:-1 gene:DRNTG_16460 transcript:DRNTG_16460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSVSTPLNTTRDLGLWLWRAHNKVNERLMIEEKDMKTEDPRFPKTIWPPKQLCNQCYFAPSSKSHGAALLDWNEDEVFKFLVKYYGENLVSSYKDSVSDSRDGESIVDDMAVSTNAVAVPVGAALAIALASCAFGALACFWRTQQKNRKQRKNLSKT >DRNTG_16460.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15577338:15585117:-1 gene:DRNTG_16460 transcript:DRNTG_16460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSVSTPLNTTRDLGLWLWRAHNKVNERLMIEEKDMKTEDPRFPKTIWPPKQLCNQCYFAPSSKSHGAALLDWNEDEVFKFLVKYYGENLVSSYKDSVSDSRDGESIVDDMAVSTNAVAVPVGAALAIALASCAFGALACFWRTQQKNRKYLHQLHSLKNI >DRNTG_16460.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15577338:15592517:-1 gene:DRNTG_16460 transcript:DRNTG_16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHNFPCLLILVLLVSPVLEASVISQMRPRRSILRYLGDEIEPPDAAVELNSTNFDAVLSNSPATYAIVEFFAHWCPACRNYKPQYENVARLFNGPEAVHPGIILMTRVDCAWKMNTNLCDRFSVSRYPMLLWGPPIKFASGKWDPKQEKNEIHSIDDARTAERLLNWINKKLGSSFSLEDEKYENENTLPWNASDPELISRAVYDVEEATTKAFEIILEHKMIKLDTRSPLIKISPAFGGSSSF >DRNTG_16460.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15577338:15584555:-1 gene:DRNTG_16460 transcript:DRNTG_16460.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSVSTPLNTTRDLGLWLWRAHNKVNERLMIEEKDMKTEDPRFPKTIWPPKQLCNQCYFAPSSKSHGAALLDWNEDEVFKFLVKYYGENLVSSYKDSVSDSRDGESIVDDMAVSTNAVAVPVGAALAIALASCAFGALACFWRTQQKNRKQRKNLSKT >DRNTG_16460.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15586877:15592517:-1 gene:DRNTG_16460 transcript:DRNTG_16460.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHNFPCLLILVLLVSPVLEASVISQMRPRRSILRYLGDEIEPPDAAVELNSTNFDAVLSNSPATYAIVEFFAHWCPACRNYKPQYENVARLFNGPEAVHPGIILMTRVDCAWKHR >DRNTG_16460.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:15586877:15592517:-1 gene:DRNTG_16460 transcript:DRNTG_16460.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHNFPCLLILVLLVSPVLEASVISQMRPRRSILRYLGDEIEPPDAAVELNSTNFDAVLSNSPATYAIVEFFAHWCPACRNYKPQYENVARLFNGPEAVHPGIILMTRVDCAWKMNTNLCDRFSVSRYPMLLWGPPIKFASGKWDPKQEKNEIHSIDDARTAERLLNWINKKLGRQAIFLTLFKCYINQETMMIVWTRDQ >DRNTG_23541.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22369077:22369737:1 gene:DRNTG_23541 transcript:DRNTG_23541.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAKTVGLITLPGAMSGMIVGGASPLQAIQMQIVVTNMLIGASTLSSIICAYLCWPAFINSNIQLEYTVFAAD >DRNTG_23541.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22368570:22369737:1 gene:DRNTG_23541 transcript:DRNTG_23541.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDDDDKLSLSMKTVAATVAVLIAAVLSYFQKLGMEKEMVYASVRAIIQLSIVGFVLEFIFTRRNVLWILLAYLFMIVLAGHTAGKRAKHIPNGSYIAGISILIGTSITLFVLVMLDVFPFTSRYIIPIAGMMVGNAMTVTGVTMKKLREDLKQQKDLRRHCLSARHRGKRYCNR >DRNTG_01646.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:17038419:17039669:-1 gene:DRNTG_01646 transcript:DRNTG_01646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINPDPYEGLLDQGVENKKVLMLGLEENVQSTPGIVKKVLQKMKQARRRHKKHPKANGDVQERNIQSSIPRRYRGVHLRDIRKGRCQVFGAVAVEKMIDMLELFDTITGMVENVEQKVQLFAVLDTPCYLLQEPYTIEQLVEELVEDYIARIQERNCELDTVLDQFEKSSLS >DRNTG_31365.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28424124:28425196:-1 gene:DRNTG_31365 transcript:DRNTG_31365.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSAGNRTEAARNLAIAERLLAAHDLVGSKRFAEQALEHDPLIDGVDHVLAIAEVLLAAQTHPADPFSILGLDRSAARTDPSAPRRNFRRLALLLRPDRNHLPSAAQASKVLSDAFSAISNERSSPTNASPFWTACPACCHVHQYARGVP >DRNTG_26136.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28362459:28376743:1 gene:DRNTG_26136 transcript:DRNTG_26136.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKARPIRSEGISSNIGVPDSTNSDEKLNNDCQLEAVNENANEQVLESQKSFYINIDRNHCDFDEYFDIAEIILNDVRFPDGDVVDRSFEDKVDPEFSLRFKLIGVEESSVKLGHWPVISADNIFLECIFLDNSTSEGGSKSKVLLSGVFDGPDEGVSGLVHLVSQKLLTIRLVSGVGKLVDLFRVRVEILRNAFNACESLLEIVRQPWRKSMINVMPWLRPEVTSSEAIYGITLSKEEQAEVNVETTDNGSNNNSGFDAAGFYDVIKPSKEEPMLEERLPDLLPQLRPYQLRAVYWMLQQEKRTCETSDEKIQSELFAPFSVAVTFLEKHSRMFYNPFNGNISLHPESSKSLISGGILADEMGLGKTVELLACIFANRMPSLKDGIISSSNDSQYSGGQIKRQKRDRVECICGAATDSSKYEGLWVQCDVCDAWQHANCVGYKPKKKHSVTDEVHNLKGDNKVLTRSSMKRKSKKNATDIIEMAGNYTCALCSELFEAANSNISTGATLIVCPAPILAQWYSEVMRHTRPGSLKVSIYDGAKNAASSATLTTDMCELAATDIVLTTYDVLREDLSHDSDRHDGDRRFMRFMKKYPVTPTVLTRINWWRLCLDEAQMVESNTSSVTEMAMRIRAQHRWCITGTPIQRKFDDMYGLLRFLRANPFDVYRWWAEVIRDPYERRDAVAVKFAHRIFKQIMWRSSKIHVADELQLPPQEEHVSWLNLSPIEEHFYQKQHQSIVSYALGIVRSFKNDICKRRHPSGNNASFDDVVLSRDEVARLLFPLLKLRQACCHPQVGSSGLCSLQSSPLTMDEILEVLVGKAKIEGEEALRRIVVALNGLAAIAIIEGGTKQAVSLYRESLALVDEHANDFRLDPLLSLHIYHNLAELLPVVSESTQQCSHHVSVNPSEDGDEKKRKLLSSGKFDQYYVKRRKMGQGKKQSTTSIDKSSTDCSEHANCDENPPAWLNDGNLGTENDVQCQMSSRCFSDKCLKKACEDIKQKYLSVFTSKLSMARRDYTNSHSQVCNLLEEYKNQNMAWYLNTLNLFEKSKDSSEELLQKIDRAVSNFNRKTSSRSRNIGFLKYTVQAGLDSLEQSRQALLKKLMEIDQAIENPKDDDIAQLRDCPACNEGNGSLCGPCELDDLFQVYEASLFLIKRAHNDSVITSVEEALDLQKQKLELRRFFRDKKTSIQSSSDHEKMKQRNVKANVQVYRLPSELEVTLGVIKSYSKSKLSRQDLASARKHLLLFQAMRREFSQARGLFVAQAQMLHAHDEIKCATSRLRLKLTDDEPPAIDVLSKEELIPSSMQFTSDKFSGLSSLTRIRGQLRYLKGLVQSNQKAEQQCCNAGPDPRDQNDLASTTAKHGEALAKIDEDETCPICHEGLNNQKMVFQCGHIICCKCCLKLTEEGAFCFGRSHQKWIMCPDMSSTNSCRKHCVCTRQTKYTH >DRNTG_26136.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28373530:28376743:1 gene:DRNTG_26136 transcript:DRNTG_26136.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPESCITVQGSYSTKIEAITRRILFIKSTNQDAQIIVFSSWNDVLDVLEHSLDANGITHVRMKGGRKSNVALAKFKGQASNVGRSGKIEDQSIKTQPIQVLLMLFQHGANGLNLLEAEHVILVEPLLNPAFAAQAIGRVHRIGQTKKTFVHRFIVKNTVEENIHNLNKNREAIPDAVSAVSKHQDQPALTLKDVQSLFSADMSTGLLQDGGGGGDANVSAQLPPSVAAGLAAERRWMQSQSSMSNLGID >DRNTG_26136.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28369087:28376743:1 gene:DRNTG_26136 transcript:DRNTG_26136.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSSKIHVADELQLPPQEEHVSWLNLSPIEEHFYQKQHQSIVSYALGIVRSFKNDICKRRHPSGNNASFDDVVLSRDEVARLLFPLLKLRQACCHPQVGSSGLCSLQSSPLTMDEILEVLVGKAKIEGEEALRRIVVALNGLAAIAIIEGGTKQAVSLYRESLALVDEHANDFRLDPLLSLHIYHNLAELLPVVSESTQQCSHHVSVNPSEDGDEKKRKLLSSGKFDQYYVKRRKMGQGKKQSTTSIDKSSTDCSEHANCDENPPAWLNDGNLGTENDVQCQMSSRCFSDKCLKKACEDIKQKYLSVFTSKLSMARRDYTNSHSQVCNLLEEYKNQNMAWYLNTLNLFEKSKDSSEELLQKIDRAVSNFNRKTSSRSRNIGFLKYTVQAGLDSLEQSRQALLKKLMEIDQAIENPKDDDIAQLRDCPACNEGNGSLCGPCELDDLFQVYEASLFLIKRAHNDSVITSVEEALDLQKQKLELRRFFRDKKTSIQSSSDHEKMKQRNVKANVQVYRLPSELEVTLGVIKSYSKSKLSRQDLASARKHLLLFQAMRREFSQARGLFVAQAQMLHAHDEIKCATSRLRLKLTDDEPPAIDVLSKEELIPSSMQFTSDKFSGLSSLTRIRGQLRYLKGLVQSNQKAEQQCCNAGPDPRDQNDLASTTAKHGEALAKIDEDETCPICHEGLNNQKMVFQCGHIICCKCCLKLTEEGAFCFGRSHQKWIMCPDMSSTNSCRKHCVCTRQTKYTH >DRNTG_26136.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28362459:28376743:1 gene:DRNTG_26136 transcript:DRNTG_26136.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKARPIRSEGISSNIGVPDSTNSDEKLNNDCQLEAVNENANEQVLESQKSFYINIDRNHCDFDEYFDIAEIILNDVRFPDGDVVDRSFEDKVDPEFSLRFKLIGVEESSVKLGHWPVISADNIFLECIFLDNSTSEGGSKSKVLLSGVFDGPDEGVSGLVHLVSQKLLTIRLVSGVGKLVDLFRVRVEILRNAFNACESLLEIVRQPWRKSMINVMPWLRPEVTSSEAIYGITLSKEEQAEVNVETTDNGSNNNSGFDAAGFYDVIKPSKEEPMLEERLPDLLPQLRPYQLRAVYWMLQQEKRTCETSDEKIQSELFAPFSVAVTFLEKHSRMFYNPFNGNISLHPESSKSLISGGILADEMGLGKTVELLACIFANRMPSLKDGIISSSNDSQYSGGQIKRQKRDRVECICGAATDSSKYEGLWVQCDVCDAWQHANCVGYKPKKKHSVTDEVHNLKGDNKVLTRSSMKRKSKKNATDIIEMAGNYTCALCSELFEAANSNISTGATLIVCPAPILAQWYSEVMRHTRPGSLKVSIYDGAKNAASSATLTTDMCELAATDIVLTTYDVLREDLSHDSDRHDGDRRFMRFMKKYPVTPTVLTRINWWRLCLDEAQMVESNTSSVTEMAMRIRAQHRWCITGTPIQRKFDDMYGLLRFLRANPFDVYRWWAEVIRDPYERRDAVAVKFAHRIFKQIMWRSSKIHVADELQLPPQEEHVSWLNLSPIEEHFYQKQHQSIVSYALGIVRSFKNDICKRRHPSGNNASFDDVVLSRDEVARLLFPLLKLRQACCHPQVGSSGLCSLQSSPLTMDEILEVLVGKAKIEGEEALRRIVVALNGLAAIAIIEGGTKQAVSLYRESLALVDEHANDFRLDPLLSLHIYHNLAELLPVVSESTQQCSHHVSVNPSEDGDEKKRKLLSSGKFDQYYVKRRKMGQGKKQSTTSIDKSSTDCSEHANCDENPPAWLNDGNLGTENDVQCQMSSRCFSDKCLKKACEDIKQKYLSVFTSKLSMARRDYTNSHSQVCNLLEEYKNQNMAWYLNTLNLFEKSKDSSEELLQKIDRAVSNFNRKTSSRSRNIGFLKYTVQAGLDSLEQSRQALLKKLMEIDQAIENPKDDDIAQLRDCPACNEGNGSLCGPCELDDLFQVYEASLFLIKRAHNDSVITSVEEALDLQKQKLELRRFFRDKKTSIQSSSDHEKMKQRNVKANVQVYRLPSELEVTLGVIKSYSKSKLSRQDLASARKHLLLFQAMRREFSQARGLFVAQAQMLHAHDEIKCATSRLRLKLTDDEPPAIDVLSKEELIPSSMQFTSDKFSGLSSLTRIRGQLRYLKGLVQSNQKAEQQCCNAGPDPRDQNDLASTTAKHGEALAKIDEDETCPICHEGLNNQKMVFQCGHIICCKCCLKLTEEGAFCFGRSHQKWIMCPDMSSTNSCRKHCVCTRQTKYTH >DRNTG_26136.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28371997:28376743:1 gene:DRNTG_26136 transcript:DRNTG_26136.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPESCITVQGSYSTKIEAITRRILFIKSTNQDAQIIVFSSWNDVLDVLEHSLDANGITHVRMKGGRKSNVALAKFKGQASNVGRSGKIEDQSIKTQPIQVLLMLFQHGANGLNLLEAEHVILVEPLLNPAFAAQAIGRVHRIGQTKKTFVHRFIVKNTVEENIHNLNKNREAIPDAVSAVSKHQDQPALTLKDVQSLFSADMSTGLLQDGGGGGDANVSAQLPPSVAAGLAAERRWMQSQSSMSNLGID >DRNTG_26136.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28371677:28376743:1 gene:DRNTG_26136 transcript:DRNTG_26136.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPESCITVQGSYSTKIEAITRRILFIKSTNQDAQIIVFSSWNDVLDVLEHSLDANGITHVRMKGGRKSNVALAKFKGQASNVGRSGKIEDQSIKTQPIQVLLMLFQHGANGLNLLEAEHVILVEPLLNPAFAAQAIGRVHRIGQTKKTFVHRFIVKNTVEENIHNLNKNREAIPDAVSAVSKHQDQPALTLKDVQSLFSADMSTGLLQDGGGGGDANVSAQLPPSVAAGLAAERRWMQSQSSMSNLGID >DRNTG_26136.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28363659:28376743:1 gene:DRNTG_26136 transcript:DRNTG_26136.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKARPIRSEGISSNIGVPDSTNSDEKLNNDCQLEAVNENANEQVLESQKSFYINIDRNHCDFDEYFDIAEIILNDVRFPDGDVVDRSFEDKVDPEFSLRFKLIGVEESSVKLGHWPVISADNIFLECIFLDNSTSEGGSKSKVLLSGVFDGPDEGVSGLVHLVSQKLLTIRLVSGVGKLVDLFRVRVEILRNAFNACESLLEIVRQPWRKSMINVMPWLRPEVTSSEAIYGITLSKEEQAEVNVETTDNGSNNNSGFDAAGFYDVIKPSKEEPMLEERLPDLLPQLRPYQLRAVYWMLQQEKRTCETSDEKIQSELFAPFSVAVTFLEKHSRMFYNPFNGNISLHPESSKSLISGGILADEMGLGKTVELLACIFANRMPSLKDGIISSSNDSQYSGGQIKRQKRDRVECICGAATDSSKYEGLWVQCDVCDAWQHANCVGYKPKKKHSVTDEVHNLKGDNKVLTRSSMKRKSKKNATDIIEMAGNYTCALCSELFEAANSNISTGATLIVCPAPILAQWYSEVMRHTRPGSLKVSIYDGAKNAASSATLTTDMCELAATDIVLTTYDVLREDLSHDSDRHDGDRRFMRFMKKYPVTPTVLTRINWWRLCLDEAQMVESNTSSVTEMAMRIRAQHRWCITGTPIQRKFDDMYGLLRFLRANPFDVYRWWAEVIRDPYERRDAVAVKFAHRIFKQIMWRSSKIHVADELQLPPQEEHVSWLNLSPIEEHFYQKQHQSIVSYALGIVRSFKNDICKRRHPSGNNASFDDVVLSRDEVARLLFPLLKLRQACCHPQVGSSGLCSLQSSPLTMDEILEVLVGKAKIEGEEALRRIVVALNGLAAIAIIEGGTKQAVSLYRESLALVDEHANDFRLDPLLSLHIYHNLAELLPVVSESTQQCSHHVSVNPSEDGDEKKRKLLSSGKFDQYYVKRRKMGQGKKQSTTSIDKSSTDCSEHANCDENPPAWLNDGNLGTENDVQCQMSSRCFSDKCLKKACEDIKQKYLSVFTSKLSMARRDYTNSHSQVCNLLEEYKNQNMAWYLNTLNLFEKSKDSSEELLQKIDRAVSNFNRKTSSRSRNIGFLKYTVQAGLDSLEQSRQALLKKLMEIDQAIENPKDDDIAQLRDCPACNEGNGSLCGPCELDDLFQAGIRGQPVSNKEST >DRNTG_29104.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4463157:4463800:1 gene:DRNTG_29104 transcript:DRNTG_29104.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRISSLCQIYMDLSQDPIIGVNQYGERFWSRVEDMYEEEKNSNWVSRNANSLNKRVQAIEKDCRKLNACIRQIENLNPSGASDQDILNQAKLLLGQDPKYQKGFKYDHVWDKMMIVVETLPNVQSV >DRNTG_01221.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:4987445:4990591:1 gene:DRNTG_01221 transcript:DRNTG_01221.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPRFFDRGGRQRGIEPDAEHQEGLRGDSIVLMGKNTLIRRCVKLHAENTGNKALLNLLPLLVGNVGMIFTKGDLKEVSEEVAKYKVGAPARVGLVAPIDVIVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGEKVGSSEAALLAKLGIRPFSYGLVVLSVYNDGSVFSPEVLDLTDDDLITKFANGVSVLTSLSLAISYPTLAAAPHMFINAYKNVLAVALATDYSYPQAEKVKRVFEGSNQVRCCSCSCCCCRNCIYTSCSCRTRKERGTC >DRNTG_32854.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32198738:32201108:1 gene:DRNTG_32854 transcript:DRNTG_32854.3 gene_biotype:protein_coding transcript_biotype:protein_coding MINTGNSPTAIDSNEADSNHSASDINASKWNGSAKTHDDKVPIGLGSGLASLDSKKLKQTSKSMDLKKQQAMLFNCWKSLMCYSFGPQSGIQAASRGHSYFL >DRNTG_32854.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32199327:32201108:1 gene:DRNTG_32854 transcript:DRNTG_32854.4 gene_biotype:protein_coding transcript_biotype:protein_coding MINTGNSPTAIDSNEADSNHSASDINASKWNGSAKTHDDKVPIGLGSGLASLDSKKLKQTSKSMDLKKQQAMLFNCWKSLMCYSFGPQSGIQAASRGHSYFL >DRNTG_32854.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32199570:32201108:1 gene:DRNTG_32854 transcript:DRNTG_32854.5 gene_biotype:protein_coding transcript_biotype:protein_coding MINTGNSPTAIDSNEADSNHSASDINASKWNGSAKTHDDKVPIGLGSGLASLDSKKLKQTSKSMDLKKQQAMLFNCWKSLMCYSFGPQSGIQAASRGHSYFL >DRNTG_32854.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32196225:32199496:1 gene:DRNTG_32854 transcript:DRNTG_32854.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTVVEAARHLHLKEHIVLDCSGIVFKLAALVECKGIVGSDDRYEYRFQQGNLILMLHQHLKLHIL >DRNTG_32854.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32196225:32201108:1 gene:DRNTG_32854 transcript:DRNTG_32854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTVVEAARHLHLKEHIVLDCSGIVFKLAALVECKGIVGSDDRYEYRFQQGNLILMLHQHLKLHIL >DRNTG_27104.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:14495737:14500966:-1 gene:DRNTG_27104 transcript:DRNTG_27104.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNALLPTPNGLNEELIEPVYRQIDESLITDDATSSVFSDPVGARRLDQS >DRNTG_22486.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2610377:2611557:1 gene:DRNTG_22486 transcript:DRNTG_22486.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQGSTERQWRRRCTTHPDVADEMRRRIFRWEELRRQMQHEKQNGEDSWYRQRDINREASDNERGPFSEVLRFAFLTLFLMQTVGCRASLTFCGLTALLDNHLDFGYKIGYLVAWLLGGRGGILLTLCINFSSWLCGKNNSSLVALVIVAMWVGVNLARFAPLPQGAVLALLYMSIKLQVDLK >DRNTG_22486.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:2609269:2611557:1 gene:DRNTG_22486 transcript:DRNTG_22486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGWEQDHYSVLGLPRTASAADVKRSYRLLARKYHPDVSRDLRAGEVFKSIRAAYEVLSDEVKRSQYDRTLMFQGSTERQWRRRCTTHPDVADEMRRRIFRWEELRRQMQHEKQNGEDSWYRQRDINREASDNERGPFSEVLRFAFLTLFLMQTVGCRASLTFCGLTALLDNHLDFGYKIGYLVAWLLGGRGGILLTLCINFSSWLCGKNNSSLVALVIVAMWVGVNLARFAPLPQGAVLALLYMSIKLQVDLK >DRNTG_28028.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23395604:23398065:1 gene:DRNTG_28028 transcript:DRNTG_28028.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNRNQQQEAASSTVKSEQNRIEYQQLKLDLRPQSGSSATQDTVQQMNHAAKIQT >DRNTG_00095.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3328448:3329203:-1 gene:DRNTG_00095 transcript:DRNTG_00095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPGGPGGPGGPPGPPGWGPGPGGPPGFCFPCQSCLYFLCCCCLFRECCGPLFGGPPGPPPF >DRNTG_02945.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1338104:1342625:1 gene:DRNTG_02945 transcript:DRNTG_02945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGVALVLVLMVLLSGIAAASAVDPARILSSFFSNALSALLKRLWSLTSTAKTAAVSNRSMMKFESGYTVETVFDGSKLGIEPYSVEVAPSGELLILDSINSNIYRISPPLSRYSRAKLVAGSAEGYAGHVDGRAREARMNHPKGFTVDDRGNIYVADAMNTAIRKISDTGVTTIAGGKWSRGGHIDGPSEDAKFSNDFDVVYIGSSCSLLVVDRGNQAIREIQLNFDDCAYQYESGFPLGIAVLLAAGFFGYMLALLQRRVGVMVSSTNELRTPTKTGMPPSPYQKPMNQSVRPPLIPDDDAARQARRRPFHINGEAYIGWYFSSN >DRNTG_15578.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000770.1:208693:213315:1 gene:DRNTG_15578 transcript:DRNTG_15578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPMDSLSDGENSLNVSHAHVEISHGHGSLLGSSQGQIYAPVYSLDGGDLFCRDPYRHAENTNARAFVTGSLTGVIPRPYVLLGKVSKSLQKDARPCGNNRFALFFLIFCAVLEGVRTSRLKRVDRVSHEIVECPFSLPIECILSPFLKLYATIFGVRREIERFLHRDLVSAPLLMLVLDKRVPVEMVMVMLEGLEVFPNLDDLRAQYTHSALEHLNTIIGLGKGNRAP >DRNTG_19104.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6024690:6026545:-1 gene:DRNTG_19104 transcript:DRNTG_19104.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVYTNFQVDEIGRVVSVGDGIARVYGLNKIQDGKMVDFASGVKGIALNLENENVGIVVFGSDTAFKEGDLVKRTGSIIDVPAGKAMLGRVVDTLGVPIDVREALSGLKVVDSLVPIGRGQRELIIGDKQTRKIAIAMDTILNQKQMNSRGTSQSETLYCVYVEIGQKRSTVAQLVQILPETNASEYSIFVVATASDPAPLQFLAPYSGFYGSNIACSLEEDYWESFRRHRSGEVYPRPDKGSLATSRGLSKRPLTRPSRGFLYGFIDFTFDFFDCT >DRNTG_10398.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23049129:23051718:-1 gene:DRNTG_10398 transcript:DRNTG_10398.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CB5-A [Source:Projected from Arabidopsis thaliana (AT1G26340) UniProtKB/TrEMBL;Acc:A0A178WMK3] MPVITKLYSWKEVSKHKTSDDCWIVVDGKVYDVTKYLDDHPGGDDVLLSATGKDSTEEFEDAGHSKSARELMQEYFIGEIDSSPIIPELEIFTKEQAFTSNVSKYWALPAAIIGVSILAGILYARKK >DRNTG_10398.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23049892:23051751:-1 gene:DRNTG_10398 transcript:DRNTG_10398.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CB5-A [Source:Projected from Arabidopsis thaliana (AT1G26340) UniProtKB/TrEMBL;Acc:A0A178WMK3] MPVITKLYSWKEVSKHKTSDDCWIVVDGKVYDVTKYLDDHPGGDDVLLSATGKDSTEEFEDAGHSKSARELMQEYFIGEIDSSPIIPELEIFTKEQAFTSNVSKYWALPAAIIGVSILAGILYARKK >DRNTG_10398.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:23049960:23051806:-1 gene:DRNTG_10398 transcript:DRNTG_10398.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CB5-A [Source:Projected from Arabidopsis thaliana (AT1G26340) UniProtKB/TrEMBL;Acc:A0A178WMK3] MPVITKLYSWKEVSKHKTSDDCWIVVDGKVYDVTKYLDDHPGGDDVLLSATGKDSTEEFEDAGHSKSARELMQEYFIGEIDSSPIIPELEIFTKEQAFTSNVSKYWALPAAIIGVSILAGILYARKK >DRNTG_05909.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18527803:18529048:-1 gene:DRNTG_05909 transcript:DRNTG_05909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMARIVTRSLLSSFSSPSKKTFSSSERAKRGSLAMENASENNGSAAASVVDSKSAVGGGVQDVYGEDCATEEQHVTPWAVSVASGYSLLRDPHHNKGLAFNEKERDAHYLRGLLSHAVVNQDLQDRKIMHSLRHYKVPLQRYMALMDLQERNERPFYKKTHLEEHGLTLIRNVR >DRNTG_32555.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20037837:20038200:-1 gene:DRNTG_32555 transcript:DRNTG_32555.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYGTIPTSSAPESGHTMDFISRAKERGYSALATRRPWREMVHRHAFNLPPSLGEAYLRIRTNIAYFSMNYAIIVLVVVFVSLLWHPISLIVFLLTMAAWLFLYFL >DRNTG_22866.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4373125:4374848:1 gene:DRNTG_22866 transcript:DRNTG_22866.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVSVDLKELEIEYRPSRRCRSSTFIITNLMHTMSVAIHLTTTPPTSHLFSILPSNSPLSLSILPPLSFASFSLSLSSSSSSPPPISASLLVRSTLLPTGTASSAALLRLFSLPGPHLFRDASLPIYLTGPHVLHSLLHSPSSETLDISSLISKAASSCSSSDLSSLLLLAAAGSRLHILSALISAGADVNFLPSDGKPPITLAVLSGCPDSVSTLLSAGAKPNPDLIHAAAASGFAQIVAILASTRSDGNPVDSTGRSPLHAAAAGGHLEAARVCVSVLGSDPDLADSAGWTPLHCAAFAGGPAELVEYLIGVSGFDARRALTRIGRKTAFELAVERGHEELYEALRPDEVGVMRGTCKGDVSELRSRGRDGVDRRDQNGWTALHRAAFKGRTEVVTALVEMGASVDAVDDGGFTPLHRAVEAGHGDVAVWLVRNGAARAGIKGLKGMEKWRGMLLDDATCTAGCAGDRDDDVDKKKIGNSFYLENHQTRRGIEA >DRNTG_22866.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4373209:4374595:1 gene:DRNTG_22866 transcript:DRNTG_22866.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVSVDLKELEIEYRPSRRCRSSTFIITNLMHTMSVAIHLTTTPPTSHLFSILPSNSPLSLSILPPLSFASFSLSLSSSSSSPPPISASLLVRSTLLPTGTASSAALLRLFSLPGPHLFRDASLPIYLTGPHVLHSLLHSPSSETLDISSLISKAASSCSSSDLSSLLLLAAAGSRLHILSALISAGADVNFLPSDGKPPITLAVLSGCPDSVSTLLSAGAKPNPDLIHAAAASGFAQIVAILASTRSDGNPVDSTGRSPLHAAAAGGHLEAARVCVSVLGSDPDLADSAGWTPLHCAAFAGGPAELVEYLIGVSGFDARRALTRIGRKTAFELAVERGHEELYEALRPDEVGVMRGTCKGDVSELRSRGRDGVDRRDQNGWTALHRAAFKGRTEVVTALVEMGASVDAVDDGGFTPLHRAVEAGHGDVAVWLVRNGAARAGIKGL >DRNTG_22866.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4373209:4375049:1 gene:DRNTG_22866 transcript:DRNTG_22866.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVSVDLKELEIEYRPSRRCRSSTFIITNLMHTMSVAIHLTTTPPTSHLFSILPSNSPLSLSILPPLSFASFSLSLSSSSSSPPPISASLLVRSTLLPTGTASSAALLRLFSLPGPHLFRDASLPIYLTGPHVLHSLLHSPSSETLDISSLISKAASSCSSSDLSSLLLLAAAGSRLHILSALISAGADVNFLPSDGKPPITLAVLSGCPDSVSTLLSAGAKPNPDLIHAAAASGFAQIVAILASTRSDGNPVDSTGRSPLHAAAAGGHLEAARVCVSVLGSDPDLADSAGWTPLHCAAFAGGPAELVEYLIGVSGFDARRALTRIGRKTAFELAVERGHEELYEALRPDEVGVMRGTCKGDVSELRSRGRDGVDRRDQNGWTALHRAAFKGRTEVVTALVEMGASVDAVDDGGFTPLHRAVEAGHGDVAVWLVRNGAARAGIKGLKGMEKWRGMLLDDATCTAGCAGDRDDDVDKKKIGNSFYLENHQTRRGIEA >DRNTG_22866.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4373209:4374848:1 gene:DRNTG_22866 transcript:DRNTG_22866.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVSVDLKELEIEYRPSRRCRSSTFIITNLMHTMSVAIHLTTTPPTSHLFSILPSNSPLSLSILPPLSFASFSLSLSSSSSSPPPISASLLVRSTLLPTGTASSAALLRLFSLPGPHLFRDASLPIYLTGPHVLHSLLHSPSSETLDISSLISKAASSCSSSDLSSLLLLAAAGSRLHILSALISAGADVNFLPSDGKPPITLAVLSGCPDSVSTLLSAGAKPNPDLIHAAAASGFAQIVAILASTRSDGNPVDSTGRSPLHAAAAGGHLEAARVCVSVLGSDPDLADSAGWTPLHCAAFAGGPAELVEYLIGVSGFDARRALTRIGRKTAFELAVERGHEELYEALRPDEVGVMRGTCKGDVSELRSRGRDGVDRRDQNGWTALHRAAFKGRTEVVTALVEMGASVDAVDDGGFTPLHRAVEAGHGDVAVWLVRNGAARAGIKGLKGMEKWRGMLLDDATCTAGCAGDRDDDVDKKKIGNSFYLENHQTRRGIEA >DRNTG_22866.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4373125:4375049:1 gene:DRNTG_22866 transcript:DRNTG_22866.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVSVDLKELEIEYRPSRRCRSSTFIITNLMHTMSVAIHLTTTPPTSHLFSILPSNSPLSLSILPPLSFASFSLSLSSSSSSPPPISASLLVRSTLLPTGTASSAALLRLFSLPGPHLFRDASLPIYLTGPHVLHSLLHSPSSETLDISSLISKAASSCSSSDLSSLLLLAAAGSRLHILSALISAGADVNFLPSDGKPPITLAVLSGCPDSVSTLLSAGAKPNPDLIHAAAASGFAQIVAILASTRSDGNPVDSTGRSPLHAAAAGGHLEAARVCVSVLGSDPDLADSAGWTPLHCAAFAGGPAELVEYLIGVSGFDARRALTRIGRKTAFELAVERGHEELYEALRPDEVGVMRGTCKGDVSELRSRGRDGVDRRDQNGWTALHRAAFKGRTEVVTALVEMGASVDAVDDGGFTPLHRAVEAGHGDVAVWLVRNGAARAGIKGLKGMEKWRGMLLDDATCTAGCAGDRDDDVDKKKIGNSFYLENHQTRRGIEA >DRNTG_22866.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4373125:4374595:1 gene:DRNTG_22866 transcript:DRNTG_22866.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVSVDLKELEIEYRPSRRCRSSTFIITNLMHTMSVAIHLTTTPPTSHLFSILPSNSPLSLSILPPLSFASFSLSLSSSSSSPPPISASLLVRSTLLPTGTASSAALLRLFSLPGPHLFRDASLPIYLTGPHVLHSLLHSPSSETLDISSLISKAASSCSSSDLSSLLLLAAAGSRLHILSALISAGADVNFLPSDGKPPITLAVLSGCPDSVSTLLSAGAKPNPDLIHAAAASGFAQIVAILASTRSDGNPVDSTGRSPLHAAAAGGHLEAARVCVSVLGSDPDLADSAGWTPLHCAAFAGGPAELVEYLIGVSGFDARRALTRIGRKTAFELAVERGHEELYEALRPDEVGVMRGTCKGDVSELRSRGRDGVDRRDQNGWTALHRAAFKGRTEVVTALVEMGASVDAVDDGGFTPLHRAVEAGHGDVAVWLVRNGAARAGIKGL >DRNTG_01645.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:16892525:16893210:1 gene:DRNTG_01645 transcript:DRNTG_01645.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYIPIHLGDIIAEYIRHQGHYARLGVIFSDPYITRLVLGMGLLDTIRGAEKMSTPASLSLETMRLMGMVRRVRTGVYALVLPAPEIVEDEGDDAVASQPAPEPQPAPIETEAPSVVEDPPQYACFHHLEPMITLRGLRLLWE >DRNTG_19155.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6272869:6273221:-1 gene:DRNTG_19155 transcript:DRNTG_19155.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT3G22740) UniProtKB/Swiss-Prot;Acc:Q8LAX0] MGFGTGDSSSLMRELLRITGGCAVIDGGLATELESHGADLNDPLWSARCLIDSPHLIRKATQNPSFSLSFLPFFRL >DRNTG_19155.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6268634:6270900:-1 gene:DRNTG_19155 transcript:DRNTG_19155.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT3G22740) UniProtKB/Swiss-Prot;Acc:Q8LAX0] MKQPILVAASIGSYGAYLADGSEYSGDYGEAITKENLKDFHRRRFQVLAESGADLIVFETIPNKMEAQAYAELLEESNINIPAWFSFNSKDGVCTVSGDSIIECASIADSCNKVVAVGINCTPPRFIHGLILLIRKVTQKPILIYPNSGETYDASKKEWVASTGVSDEGFVSYIKKWQEAGASLIGGCCRTTPDTIRAISRTLFQEHSTPETSIA >DRNTG_19155.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6268634:6273221:-1 gene:DRNTG_19155 transcript:DRNTG_19155.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT3G22740) UniProtKB/Swiss-Prot;Acc:Q8LAX0] MGFGTGDSSSLMRELLRITGGCAVIDGGLATELESHGADLNDPLWSARCLIDSPHLIRKVHLDYLEAGANIIISASYQATIQGFESRGFSTHESEALLHRSVEIACEAREIFLKGYSKGSCDANENKLTSMKQPILVAASIGSYGAYLADGSEYSGDYGEAITKENLKDFHRRRFQVLAESGADLIVFETIPNKMEAQAYAELLEESNINIPAWFSFNSKDGVCTVSGDSIIECASIADSCNKVVAVGINCTPPRFIHGLILLIRKVTQKPILIYPNSGETYDASKKEWVASTGVSDEGFVSYIKKWQEAGASLIGGCCRTTPDTIRAISRTLFQEHSTPETSIA >DRNTG_24983.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28187167:28188189:1 gene:DRNTG_24983 transcript:DRNTG_24983.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPKESVQCFGRKKTAVAVTYCKRGRGLIKVNGVPIELVKPEILRYKAFEPVLLLGRSRFAGVDMRIRVRGGGKTSQIYAIRQSIAKALVAFYQKYVDEQSKKEIRDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >DRNTG_24983.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28187167:28187963:1 gene:DRNTG_24983 transcript:DRNTG_24983.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPKESVQCFGRKKTAVAVTYCKRGRGLIKVNGVPIELVKPEILRYKAFEPVLLLGRSRFAGVDMRIRVRGGGKTSQIYAIRQSIAKALVAFYQKYVDEQSKKEIRDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >DRNTG_24983.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28187111:28187963:1 gene:DRNTG_24983 transcript:DRNTG_24983.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPKESVQCFGRKKTAVAVTYCKRGRGLIKVNGVPIELVKPEILRYKAFEPVLLLGRSRFAGVDMRIRVRGGGKTSQIYAIRQSIAKALVAFYQKYVDEQSKKEIRDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >DRNTG_10637.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:276743:278588:-1 gene:DRNTG_10637 transcript:DRNTG_10637.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFIPSEFGCDVDKSQILGMDHGFYEKKAEVRRLIEKEGIPHTYICCNLFMGYLLPSLVQPGLIKPPRDTMKIFGDGNVKGQLVTEFLLIYEVI >DRNTG_10281.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20228633:20230963:-1 gene:DRNTG_10281 transcript:DRNTG_10281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIVRSGFLLGAAACSRRGTTAGRRGFASSAHHDDAYEAAKWEKITYLGIATCTILSIYNLSKGHHHSPDPPAYPYLRIRTKEFPWGPDGLFEIKHHSEDHH >DRNTG_05656.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18154100:18164909:-1 gene:DRNTG_05656 transcript:DRNTG_05656.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLPADISGPHSALGRPGAQFKVLVEYAPSQRAPKAWFKRDGREASIFKDPEYLEFLELLAKPVVNLPSAEVQLERKEAERAGGIKEALIVTPLMDFVRQKRAAKIGSQRASVNGKLGRRIVGASVGTSSPSKRATEKKKLSASMYVVRDSTKPARGKDKSAYILVSRKESKQNFDIPGISSSARGTEVIDEENVNGSSETSALASGVVKIGKRFVLLKGKERDTSHASDSSLQQQNSTSSVRNGPSLTASKRSQHHEASGRIIRSILSNKEGRQGQLYNPPPQSEQQTLALNLEKEKHLPRPPSSCLFLKDSNPNSISIASAADTDGKRHVDDKVGLNDLHGSAFTSEKKDKRMRNKDRPDRGVWTLRRPDMSHGSDETQVYANTSLDSLEGVLICQQSTGTPIGDGENGSQRENNTLDPGVMNHDMPNLSRNLESKSSVGGRASSSPIENGSYGHVGRRGPAHGLTEADGHFELV >DRNTG_05656.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18154100:18164909:-1 gene:DRNTG_05656 transcript:DRNTG_05656.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMKDPLERTKAVLRHLPPAISPSALMDQIDARFAGRYKWASFRPGKTSHKSQRYSRAYIDFTNPEDVVEFAEYFDGHIFVNEKGAQFKVLVEYAPSQRAPKAWFKRDGREASIFKDPEYLEFLELLAKPVVNLPSAEVQLERKEAERAGGIKEALIVTPLMDFVRQKRAAKIGSQRASVNGKLGRRIVGASVGTSSPSKRATEKKKLSASMYVVRDSTKPARGKDKSAYILVSRKESKQNFDIPGISSSARGTEVIDEENVNGSSETSALASGVVKIGKRFVLLKGKERDTSHASDSSLQQQNSTSSVRNGPSLTASKRSQHHEASGRIIRSILSNKEGRQGQLYNPPPQSEQQTLALNLEKEKHLPRPPSSCLFLKDSNPNSISIASAADTDGKRHVDDKVGLNDLHGSAFTSEKKDKRMRNKDRPDRGVWTLRRPDMSHGSDETQVYANTSLDSLEGVLICQQSTGTPIGDGENGSQRENNTLDPGVMNHDMPNLSRNLESKSSVGGRASSSPIENGSYGHVGRRGPAHGLTEADGHFELV >DRNTG_34396.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6496843:6497704:-1 gene:DRNTG_34396 transcript:DRNTG_34396.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAGTTTSYIFLEWAMSELIRNPKVMKKLKEEAKLVSEKSSMVAEENVNKMSYLKAVVKEVLRLHPPAPLLVPRETIEDTELQGYKIPKKTRVIINAWAIGRDPKFWDAPEEFIPERFVNNELDFRGQDFEFIPFGIGRRICPGMQFAVATIEFALANLVHQFDWDMPNGLSAEDLNMDEAQGLIMHRKHPLVLVAKKNGLML >DRNTG_34396.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6496843:6499039:-1 gene:DRNTG_34396 transcript:DRNTG_34396.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLLPLLLLLPFLLFLFLKPLSKSKSKSQIHPPSPPSLPFIGHLHLLLPIPYRALHSLSLIHGPIMLLKLGQIPTLILSSSSSIRSMIKSNDIAFSSRPNLKVPRQLVYDSKSISFTPYGPYWRQSRKLCVLHLLSTKRVHSFRPNRHSELSIMLSHISNHSLSGPHQSQRNHLLLHHQHPLQKSPSAAPSLKKANAVCSMTLFVRPPNSSALSMLKITSLLSNG >DRNTG_34550.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002165.1:23217:23831:-1 gene:DRNTG_34550 transcript:DRNTG_34550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCGTGNFSHVDEDPSAPSTPKESKKKNKNPYSQRGLDKFSAVMAELEAKKEKIMAKSGSKDTAMVKFMYSNSNDWVPIIIKLKDDKKKPLTKISVPESPPSPVKEQPEVVPVPEKCKVAEKRCSLGRWRYHYWSMVMVLILFSLVLFGKVFAICCTSIWWYLVPSMHDGTNVRRRKKDYGRRLSDKKLGEASSPKHLVNHRRG >DRNTG_14673.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:17224730:17235353:-1 gene:DRNTG_14673 transcript:DRNTG_14673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSMVLWKVLYSSSKDSFVRYRIRLDRSSPTNLIPNGITIAGIGQLHWRGRYTRPCGISTRAWIIFTRPCGFSVSLVSRLALNSAATMLLQSST >DRNTG_07571.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21936154:21937831:-1 gene:DRNTG_07571 transcript:DRNTG_07571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPSSSTNIPPSAIVVLTEPPSSPPPPPPPPPPPAPPSLSRDYRKGNWTLHETLILINAKRLDDERRSRPSSPSPSPRSADLRWKWVENFCWKNGCLRSQNQCNDKWDNLLRDYKKVRDYQARISSSSLHTSTATTNNNASSSSSSSLSYWSMDKHLRKDLNLPSNLSSEIFEALNDVLSRRNSLRSSSNPSPSPLSSRLPPPPPLPPPPPPPPPPQPQPQPQPQPQPSVSGELSESSETAVNEPEGKRRRRGRGMLQGARVLARALLECEDRRDKRHRELMELEERRLRMEADRTEMSRQGFAGLVSAVNNLSGAIHSLVSHHLHHSTSGQR >DRNTG_05901.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:18625068:18626781:1 gene:DRNTG_05901 transcript:DRNTG_05901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIQEMKTATVSLSPPLPSTHLIKGVSIPETILSTTGARPIPLIGMGTAAYPFSPETTKPAILHAIELGYRHFDTASLYRSEEPLGAAITESLQLGFIKSRSELFITSKLWCNDCHPHRVLPALKKSLRTLQLEYLDLYLIHFPISLKPEGSLFPLHGEELLPLDLKPVWEAMEECQKLGLVKAIGVSNFSCKLIEELFSIANIPPAVNQVEMHPVWQQKELREYCGKKGIHVTAYSPIGGQGGEGSRNMVMECDVLKQIAKAHGKTVAQVSLRWVYEQGVSLVVKSFNKERIKENLQIFDWELSDDELYQIGLIPQSKKITPNLMLLGEVPKSLDYDFA >DRNTG_05469.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23176557:23181186:-1 gene:DRNTG_05469 transcript:DRNTG_05469.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASERKRREPRYQSIFDLPAGFFDCSRLLRPLEIPSSISLAPRPPSPPLRAEAPAEGCVDEAFGVEGRGKETATIWTCNTCKQGFESLQDQRFHFKSDLHRLNVKLSISGKNIIKEEDFDELGGDASFEDFDISSISDSEDESEKGLCSKSNEEIKQRLFLHLQSGDTISVWRCLLMDESAHLSLDNSKSSQFGDAGCLPCMDEVDLISRLKALVCEPRNKTHLRVVLLASGGHFAGCVFDGNSIIAHKTFHRYVVRAKAGKKQSSKDATGKAAHSAGSALRRYNEAALKKDIQELLVSWKQYIQSASCIFIYAPSKNRQLLFDDEKPQLNFHEHVVRHVPLTVHRPTLKEAKRLYNHLTQITYEVNWETSVEESQMNIADGQNDNLESDEGHLDKQLKVKETSPELSSDAESSDLNLPSDAKNISFLPPENELTPLHEAARSGNAQQTLELLEQGLNPCIKDPRGRTPYMLATEKEVRNTFRRFMALNLDKWDWHAANVPSPLTKEMEETQAAKQAEKDAKRKAKAKELKKLRKAKEKAKAQAEAENTSKVSSQSQETPAPTLPKPQTKSNIKPSISKEEVQQRALTEAREKRAAAAERRIAALNAQPVSTAPAPISSPAASSATDIKCSCCNVSLAGKVPFHRYHYKYCSTSCMHVHREMLEDE >DRNTG_26341.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1341453:1344056:1 gene:DRNTG_26341 transcript:DRNTG_26341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRKNGLVKKAHELAVLCEVEVALIIFSSSGKLTEFSST >DRNTG_03102.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15807091:15808534:-1 gene:DRNTG_03102 transcript:DRNTG_03102.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEHIKGRIFTQGEQMSSNGKPHQPKIYLKVLHN >DRNTG_12263.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24856482:24865273:-1 gene:DRNTG_12263 transcript:DRNTG_12263.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFDTISGGGLSYSQDRLPAWAIAVDGASAPIGNLSDQFLALDVGGSSKDHLIQVMKAVEDAEAMIGLQVEENKQLKDELMRKEKELEQYKLVSTSVKLPSNIPIDEHDVGRYKVHQSTSVIGNESDGFRWAGNGSLADPYGALNFCQTGAQASEAPSLPPSSGDILYSERINVDGTLKSFSGLRTGSENSNLSQLAGSSSRSFSPNRHQGEGEYDSRITLPGHRLLPVSDVNSNVLLKQDLANKVREREEEILQLRKHLAAYSVKEEQIRGEKCVLEKRIAYMRAAFDRQQQDLVEAASKSLSYRQDIMEENVRLSYALQAAQDERRTFVSSLMPLLEEYSLQPSIIDAQSIVSSLKVAFSFVILNSSLCRHSSYLIITFIRPFHPLSLYISFLQILFKHLQERLFTTEEKLRESQYQVAPWHPEISNNTSFPPQSPSHLPATPRAPLSKNALEIVPQAPYSHGQSPVSSPSNLQTRVDWETLANQSHHAAPSRVAMNNLDRENLDRSTPTVSRTSMTQDTSTGVNQGVVHHSAESQNQTPSFKEFTPGNVVDDSDAVAFPHGRETSGYWGSGNIPAPDDGNSSYPYLPPVLEEPGSSFSEAAEDEPLPGIDGLQIAGDPFPGRELQACGYSINGTTSCNFEWVRYLENGAPNYIEGAKQPKYLVTADDVDTVLAIEVQPLDNRKRKGELVMVLANDGRRITCDAEMKDQVERAYRAFHASFEVLISAGALDIWEPATLAIKRDAYSIKRNGPRGVVVEEKFQPAVAITIPFGQANEFAISSPGGEYLLKAVETGVSRDTIVLTMRMLKMRAVEKRKGRKRGLFFK >DRNTG_12263.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24856482:24865273:-1 gene:DRNTG_12263 transcript:DRNTG_12263.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFDTISGGGLSYSQDRLPAWAIAVDGASAPIGNLSDQFLALDVGGSSKDHLIQVMKAVEDAEAMIGLQVEENKQLKDELMRKEKELEQYKLVSTSVKLPSNIPIDEHDVGRYKVHQSTSVIGNESDGFRWAGNGSLADPYGALNFCQTGAQASEAPSLPPSSGDILYSERINVDGTLKSFSGLRTGSENSNLSQLAGSSSRSFSPNRHQGEGEYDSRITLPGHRLLPVSDVNSNVLLKQDLANKVREREEEILQLRKHLAAYSVKEEQIRGEKCVLEKRIAYMRAAFDRQQQDLVEAASKSLSYRQDIMEENVRLSYALQAAQDERRTFVSSLMPLLEEYSLQPSIIDAQSIVSSLKILFKHLQERLFTTEEKLRESQYQVAPWHPEISNNTSFPPQSPSHLPATPRAPLSKNALEIVPQAPYSHGQSPVSSPSNLQTRVDWETLANQSHHAAPSRVAMNNLDRENLDRSTPTVSRTSMTQDTSTGVNQGVVHHSAESQNQTPSFKEFTPGNVVDDSDAVAFPHGRETSGYWGSGNIPAPDDGNSSYPYLPPVLEEPGSSFSEAAEDEPLPGIDGLQIAGDPFPGRELQACGYSINGTTSCNFEWVRYLENGAPNYIEGAKQPKYLVTADDVDTVLAIEVQPLDNRKRKGELVMVLANDGRRITCDAEMKDQVERAYRAFHASFEVLISAGALDIWEPATLAIKRDAYSIKRNGPRGVVVEEKFQPAVAITIPFGQANEFAISSPGGEYLLKAVETGVSRDTIVLTMRMLKMRAVEKRKGRKRGLFFK >DRNTG_12263.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24856482:24865273:-1 gene:DRNTG_12263 transcript:DRNTG_12263.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFDTISGGGLSYSQDRLPAWAIAVDGASAPIGNLSDQFLALDVGGSSKDHLIQVMKAVEDAEAMIGLQVEENKQLKDELMRKEKELEQYKLVSTSVKLPSNIPIDEHDVGRYKTGAQASEAPSLPPSSGDILYSERINVDGTLKSFSGLRTGSENSNLSQLAGSSSRSFSPNRHQGEGEYDSRITLPGHRLLPVSDVNSNVLLKQDLANKVREREEEILQLRKHLAAYSVKEEQIRGEKCVLEKRIAYMRAAFDRQQQDLVEAASKSLSYRQDIMEENVRLSYALQAAQDERRTFVSSLMPLLEEYSLQPSIIDAQSIVSSLKILFKHLQERLFTTEEKLRESQYQVAPWHPEISNNTSFPPQSPSHLPATPRAPLSKNALEIVPQAPYSHGQSPVSSPSNLQTRVDWETLANQSHHAAPSRVAMNNLDRENLDRSTPTVSRTSMTQDTSTGVNQGVVHHSAESQNQTPSFKEFTPGNVVDDSDAVAFPHGRETSGYWGSGNIPAPDDGNSSYPYLPPVLEEPGSSFSEAAEDEPLPGIDGLQIAGDPFPGRELQACGYSINGTTSCNFEWVRYLENGAPNYIEGAKQPKYLVTADDVDTVLAIEVQPLDNRKRKGELVMVLANDGRRITCDAEMKDQVERAYRAFHASFEVLISAGALDIWEPATLAIKRDAYSIKRNGPRGVVVEEKFQPAVAITIPFGQANEFAISSPGGEYLLKAVETGVSRDTIVLTMRMLKMRAVEKRKGRKRGLFFK >DRNTG_25182.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:3912262:3915492:1 gene:DRNTG_25182 transcript:DRNTG_25182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLEKHLTRFVQYANTRFESVEVTLCNHIASLYNLENQEMFNPDPYEGLFDQEEGHEDVMMLGSTEEVPSTPRILKKLLRKMKRARRRHRKHSKGVGDIREWKELDESLLVKMHGRVEFPHARVYVLRAHPEKAQGHAAAPVNDHATITGPWTMAPRSKKQTDKWPCESSSEPEGKRVRLDPAESLQSAMWSGSVRARGVQGHVPFSTCIQIFTCHHE >DRNTG_19727.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001049.1:2091:2541:1 gene:DRNTG_19727 transcript:DRNTG_19727.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEIGIERRKSRKSQSEGEQKQQAMVSCFMFG >DRNTG_20987.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001188.1:27646:28768:1 gene:DRNTG_20987 transcript:DRNTG_20987.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQEGSLDDVQAIFGMHVEPGLPTGTIACSPGPVLAAAGTFQAVIKGKGGHAAFPHKTADPILAASFAILSLQQIVSRESDPLDSLVVSVGFIWAGEAHNVIPESVTFGGTFRSLTIEGISYLMKRIKEIIETQSAVHRCTASVEFPETQRPYGLYPPTVNDKEMYTHVKMVGEKLVGEVNFQR >DRNTG_13122.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17273470:17276845:1 gene:DRNTG_13122 transcript:DRNTG_13122.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGDEHTQMSRLYDYRLELLRTHPQPTIKFKYNEGVFSAMYVCLSPLRVGFLAGCRQVISVDGCFLKGMYGGQLLTAVGIDANDCIYPVAWAVVEKENYLNWV >DRNTG_13122.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17267608:17269046:1 gene:DRNTG_13122 transcript:DRNTG_13122.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVLRVAKESGVKRVVVTSLISTVMPNPSWPLDVVIDEECWTNLEHCKQNGRWYAVRRRWWRRWRESSPERLGWMWW >DRNTG_13122.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17267608:17269046:1 gene:DRNTG_13122 transcript:DRNTG_13122.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVLRVAKESGVKRVVVTSLISTVMPNPSWPLDVVIDEECWTNLEHCKQNGRWYAVRRRWWRRWRESSPERLGWMWW >DRNTG_13122.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17267608:17276845:1 gene:DRNTG_13122 transcript:DRNTG_13122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGDEHTQMSRLYDYRLELLRTHPQPTIKFKYNEGVFSAMYVCLSPLRVGFLAGCRQVISVDGCFLKGMYGGQLLTAVGIDANDCIYPVAWAVVEKENYLNWV >DRNTG_13122.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17276079:17276845:1 gene:DRNTG_13122 transcript:DRNTG_13122.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNQLLSKSQARKHVPARPLTQVSHNPDHATTGSHSAAQVAQNQKTHQTTIVSKTQPLSKSQARKHVPARPSTQVSHNPDHATTRSHLTAQVAQ >DRNTG_00508.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30505764:30509019:1 gene:DRNTG_00508 transcript:DRNTG_00508.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSPRLVNVIRGPPDHSTSIPTTKWRGFETSSVAGGLPLRSGSPPCFSSTSHLDRSRRIPVLVLHLQSMVLVSDQRSRLYEKMSRDLDEKGAAFLRGGETSQSLSLSDIFDLRDGVVTPKLKAADPPVRATVLYMGTDFSMPISEAVRDVFLPYFGQAIWFQNSSLYHFSMFHASHHITSVVATDSEIEAEANAIKVVAESLCPLDIVLDRVILTSTGVLLGCWQVLSGTDPVTIRKKLKDALPRAPEKQLYDPLILHTSFARVLGPPKVPVEEMEKPFNQLQFFHNLVERINSKIHGFKARVSELWFVEEYDVLALALNGRMNVHRFHLGCSPN >DRNTG_11494.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19524962:19525563:1 gene:DRNTG_11494 transcript:DRNTG_11494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGKEIITDDVMDAFVCTIQKSLSKVPYPYKKRPSITRPLALFMSKQDDAHKTTMAMIGDAVRNLHEVQIVILPIIMNGHFHVVVLDNDK >DRNTG_22665.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20448047:20453116:-1 gene:DRNTG_22665 transcript:DRNTG_22665.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPALSHVHSVRERLVDNLSAHRNELVAVFSRFVNQGKGMLLPHQLQAEYKAVISPADREALKDGIFEDVINAAQEAIVIPPWVALAIRPRPGVWEYVRVNVNELAVEDLTVPEYLQFKEDLVEDGIRSNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFQDKESLYPLLNFLRAHNYKGTSMMLNDRILSLNALQASLRKAEEYLLSIPKDTPYSEFTHRFQELGLEKGWGDTAARVHENVHLLLDLLEAPDPCTLEKFLSIIPMVFNVAILSPHGYFAQANVLGYPDTGGQIVYILDQVRALENEMLLRIKQQGLDITPRILIVTRLLPDAVGTTCGQRLEKVLGTEHTHILRVPFRTEKGILRKWISRFEVWPYLETYADDVANELAGELQANPDLVIGNYSDGNLVASLLAHKLGVTQCTIAHALEKTKYPNSDIYWKKFEKQYHFSSQFTADLIAMNHADFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYTEHHNRLTAFHPEIDELIYSPVENSLHKFVLKDRSKPIIFSMARLDRVKNITGLVEFYGKNARLRELANLVVVGGDHGKESKDLEEQAEMKKMYKYIEEYKLKGHIRWISAQMNRVRNGELYRCICDSKGVFVQPALYEAFGLTVIEAMTCGLPTFATAHGGPAEIIVDGVSGFHIDPYQGDKAAETLVNFFEKSKEDPTYWDIISQGGLKRIYDKYTWKLYSERLMTLSAVYGFWKYVSNLDRRETKRYLEMFYALKYRNLAKSVPLAVDADQILNGGN >DRNTG_22665.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20448047:20453116:-1 gene:DRNTG_22665 transcript:DRNTG_22665.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPALSHVHSVRERLVDNLSAHRNELVAVFSRFVNQGKGMLLPHQLQAEYKAVISPADREALKDGIFEDVINAAQEAIVIPPWVALAIRPRPGVWEYVRVNVNELAVEDLTVPEYLQFKEDLVEDGIRSNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFQDKESLYPLLNFLRAHNYKGTSMMLNDRILSLNALQASLRKAEEYLLSIPKDTPYSEFTHRFQELGLEKGWGDTAARVHENVHLLLDLLEAPDPCTLEKFLSIIPMVFNVAILSPHGYFAQANVLGYPDTGGQIVYILDQVRALENEMLLRIKQQGLDITPRILIVTRLLPDAVGTTCGQRLEKVLGTEHTHILRVPFRTEKGILRKWISRFEVWPYLETYADDVANELAGELQANPDLVIGNYSDGNLVASLLAHKLGVTQCTIAHALEKTKYPNSDIYWKKFEKQYHFSSQFTADLIAMNHADFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYTEHHNRLTAFHPEIDELIYSPVENSLHKFVLKDRSKPIIFSMARLDRVKNITGLVEFYGKNARLRELANLVVVGGDHGKESKDLEEQAEMKKMYKYIEEYKLKGHIRWISAQMNRVRNGELYRCICDSKGVFVQPALYEAFGLTVIEAMTCGLPTFATAHGGPAEIIVDGVSGFHIDPYQGDKAAETLVNFFEKSKEDPTYWDIISQGGLKRIYDKYTWKLYSERLMTLSAVYGFWKYVSNLDRRETKRYLEMFYALKYRNLAKSVPLAVDADQILNGGN >DRNTG_22665.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20448047:20453469:-1 gene:DRNTG_22665 transcript:DRNTG_22665.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPALSHVHSVRERLVDNLSAHRNELVAVFSRFVNQGKGMLLPHQLQAEYKAVISPADREALKDGIFEDVINAAQEAIVIPPWVALAIRPRPGVWEYVRVNVNELAVEDLTVPEYLQFKEDLVEDGIRSNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFQDKESLYPLLNFLRAHNYKGTSMMLNDRILSLNALQASLRKAEEYLLSIPKDTPYSEFTHRFQELGLEKGWGDTAARVHENVHLLLDLLEAPDPCTLEKFLSIIPMVFNVAILSPHGYFAQANVLGYPDTGGQIVYILDQVRALENEMLLRIKQQGLDITPRILIVTRLLPDAVGTTCGQRLEKVLGTEHTHILRVPFRTEKGILRKWISRFEVWPYLETYADDVANELAGELQANPDLVIGNYSDGNLVASLLAHKLGVTQCTIAHALEKTKYPNSDIYWKKFEKQYHFSSQFTADLIAMNHADFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYTEHHNRLTAFHPEIDELIYSPVENSLHKFVLKDRSKPIIFSMARLDRVKNITGLVEFYGKNARLRELANLVVVGGDHGKESKDLEEQAEMKKMYKYIEEYKLKGHIRWISAQMNRVRNGELYRCICDSKGVFVQPALYEAFGLTVIEAMTCGLPTFATAHGGPAEIIVDGVSGFHIDPYQGDKAAETLVNFFEKSKEDPTYWDIISQGGLKRIYDKYTWKLYSERLMTLSAVYGFWKYVSNLDRRETKRYLEMFYALKYRNLAKSVPLAVDADQILNGGN >DRNTG_22665.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20448047:20453116:-1 gene:DRNTG_22665 transcript:DRNTG_22665.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPALSHVHSVRERLVDNLSAHRNELVAVFSRFVNQGKGMLLPHQLQAEYKAVISPADREALKDGIFEDVINAAQEAIVIPPWVALAIRPRPGVWEYVRVNVNELAVEDLTVPEYLQFKEDLVEDGIRSNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFQDKESLYPLLNFLRAHNYKGTSMMLNDRILSLNALQASLRKAEEYLLSIPKDTPYSEFTHRFQELGLEKGWGDTAARVHENVHLLLDLLEAPDPCTLEKFLSIIPMVFNVAILSPHGYFAQANVLGYPDTGGQIVYILDQVRALENEMLLRIKQQGLDITPRILIVTRLLPDAVGTTCGQRLEKVLGTEHTHILRVPFRTEKGILRKWISRFEVWPYLETYADDVANELAGELQANPDLVIGNYSDGNLVASLLAHKLGVTQCTIAHALEKTKYPNSDIYWKKFEKQYHFSSQFTADLIAMNHADFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYTEHHNRLTAFHPEIDELIYSPVENSLHKFVLKDRSKPIIFSMARLDRVKNITGLVEFYGKNARLRELANLVVVGGDHGKESKDLEEQAEMKKMYKYIEEYKLKGHIRWISAQMNRVRNGELYRCICDSKGVFVQPALYEAFGLTVIEAMTCGLPTFATAHGGPAEIIVDGVSGFHIDPYQGDKAAETLVNFFEKSKEDPTYWDIISQGGLKRIYDKYTWKLYSERLMTLSAVYGFWKYVSNLDRRETKRYLEMFYALKYRNLAKSVPLAVDADQILNGGN >DRNTG_22665.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20448047:20453469:-1 gene:DRNTG_22665 transcript:DRNTG_22665.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPALSHVHSVRERLVDNLSAHRNELVAVFSRFVNQGKGMLLPHQLQAEYKAVISPADREALKDGIFEDVINAAQEAIVIPPWVALAIRPRPGVWEYVRVNVNELAVEDLTVPEYLQFKEDLVEDGIRSNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFQDKESLYPLLNFLRAHNYKGTSMMLNDRILSLNALQASLRKAEEYLLSIPKDTPYSEFTHRFQELGLEKGWGDTAARVHENVHLLLDLLEAPDPCTLEKFLSIIPMVFNVAILSPHGYFAQANVLGYPDTGGQIVYILDQVRALENEMLLRIKQQGLDITPRILIVTRLLPDAVGTTCGQRLEKVLGTEHTHILRVPFRTEKGILRKWISRFEVWPYLETYADDVANELAGELQANPDLVIGNYSDGNLVASLLAHKLGVTQCTIAHALEKTKYPNSDIYWKKFEKQYHFSSQFTADLIAMNHADFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYTEHHNRLTAFHPEIDELIYSPVENSLHKFVLKDRSKPIIFSMARLDRVKNITGLVEFYGKNARLRELANLVVVGGDHGKESKDLEEQAEMKKMYKYIEEYKLKGHIRWISAQMNRVRNGELYRCICDSKGVFVQPALYEAFGLTVIEAMTCGLPTFATAHGGPAEIIVDGVSGFHIDPYQGDKAAETLVNFFEKSKEDPTYWDIISQGGLKRIYDKYTWKLYSERLMTLSAVYGFWKYVSNLDRRETKRYLEMFYALKYRNLAKSVPLAVDADQILNGGN >DRNTG_22665.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20448047:20453116:-1 gene:DRNTG_22665 transcript:DRNTG_22665.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPALSHVHSVRERLVDNLSAHRNELVAVFSRFVNQGKGMLLPHQLQAEYKAVISPADREALKDGIFEDVINAAQEAIVIPPWVALAIRPRPGVWEYVRVNVNELAVEDLTVPEYLQFKEDLVEDGIRSNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFQDKESLYPLLNFLRAHNYKGTSMMLNDRILSLNALQASLRKAEEYLLSIPKDTPYSEFTHRFQELGLEKGWGDTAARVHENVHLLLDLLEAPDPCTLEKFLSIIPMVFNVAILSPHGYFAQANVLGYPDTGGQIVYILDQVRALENEMLLRIKQQGLDITPRILIVTRLLPDAVGTTCGQRLEKVLGTEHTHILRVPFRTEKGILRKWISRFEVWPYLETYADDVANELAGELQANPDLVIGNYSDGNLVASLLAHKLGVTQCTIAHALEKTKYPNSDIYWKKFEKQYHFSSQFTADLIAMNHADFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYTEHHNRLTAFHPEIDELIYSPVENSLHKFVLKDRSKPIIFSMARLDRVKNITGLVEFYGKNARLRELANLVVVGGDHGKESKDLEEQAEMKKMYKYIEEYKLKGHIRWISAQMNRVRNGELYRCICDSKGVFVQPALYEAFGLTVIEAMTCGLPTFATAHGGPAEIIVDGVSGFHIDPYQGDKAAETLVNFFEKSKEDPTYWDIISQGGLKRIYDKYTWKLYSERLMTLSAVYGFWKYVSNLDRRETKRYLEMFYALKYRNLAKSVPLAVDADQILNGGN >DRNTG_16878.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29886119:29886439:1 gene:DRNTG_16878 transcript:DRNTG_16878.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLIISRLPAIHRTPSPLRPFLHNLLSIISFLIAGATFPIPFPAQERERSPRAALGFCGACSKLRQRRRKPQPTPPSPDAAHTHP >DRNTG_22403.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:22467122:22469454:-1 gene:DRNTG_22403 transcript:DRNTG_22403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNILQSLQIFLSHPEKTHGHVEFPHARGCILRAHPEKAHGRAAAPVNDHATVTRPWVISARACGFLQSWADFPESTRGRGLSPVGNLMNHARAWVISARPCENLQRSLLHPEKTQGRVTAAVSMATKSKKAVAKRPRKPAPEPEIMEFTLPADKALFERLEKLKFGQTRIPDLLNIHDPAIRALTLEVLASFEFDRSYAHFDSVGAIQFRALVQYHSMSVTQFSTRLGLYDEEYTETEEYENLPIDMSGLSPIEAYTLLCGKGRYELGVSKASCLSRPSYRYLHAIISRLGVTFSGPYITRLIVGMGLRDKIRGTEKAIIPAPLGLETMRLMGLVRKYSNGVYVLNIPFEDEAGASQPAPEPQPAPMETETPPVAEEPPPVRLRRPLNTQSSWRVSTFYSRF >DRNTG_34633.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:153907:157143:-1 gene:DRNTG_34633 transcript:DRNTG_34633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGRLPKKPPKSAESREFSDGASNGLMLSSSASSRSGSLPGNKAIISNKYPPAGTLKPNGNSYEALPSFRDVPSSEKQSLLIRKLNLCCVVFDFRDPTMNVKEKEIKRQTLLELVDYVTSANGKFAENVLQEIVKMVSINLFRAATTLSHENKVLEALDSEEEEPVMDPAWPHLQVVYEFFLRFVASPETDPKVAKRYMDHSFILKLLDLFDSEDPRERDYLKTILHRIYGRFMVHRPFIRKAINNIFYQFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRVLIPLHKPKCIQMYHQQLSYCIMQFIEKDCKLADIVIRGLLKYWPVTNSSKEVMFLGEVEEVLEATQPAEFQRCIVLLFRQIARCMNSFHFQVAERALFLWSNDHVENLIKQNRKVILPIIFPALERNTRGHWNQAVRSLTLNVRKIFSDQDPELFEECLQKFQENEGKEEEIHLKHEARWKSLQEIAASKSYN >DRNTG_34182.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7057030:7069691:1 gene:DRNTG_34182 transcript:DRNTG_34182.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRARDEDCVNSQLKRPNSSPSRQINMANEASTNDSGRLTTSDALAYLKSVKDIFHDKKEKYDEFLEVMKDFKSKRIDTAGVIERVKVLFNGYRHLILGFNTFLPKGYEIKLTTEVKKPIDFREAIVFVNKIKNRFQNEDNVYKTFLGILNMYRKERKTIREVYSEVADLFGEHPDLLNEFTHFLPDTSAVATAQHGSSARTLLHGFKFNSPVQKEGTYNSHTDHELSVDHLDVERDKKKRRHEREKDRRDRERDEKDMEHDFKDLDNVQRRLKPSRRVDDAMTEHLHEVGEGAENFATFSISTSSYDDKNALKSVYPQEVSYFEKVKEKLHPAAYNEFLKCIYIYNQEIISQTELKRLLQDLITEYPDLMDGLDKIFTSPGNVDGFVTGVINKKSLWNEGHKGRPFKLEERDRARLCDKDEMLKERDHERERERDRERERTDKGVSCISKDVAAHRNSLPINKEKYNWNKPISELDLSNCQRCTPSYRLLPKNYPLPAASYRTELGTSVLNDLWVSVTSGSEDYSFKHMRKNQFEESLFRCEDDRFELDMLLESANAAIKRVEELVEKMQDDTFKMENLSHIEDYFTPLNLRCIERLYGDHGLDVMDIMRKNPVVAFPVILSRLKQKQEEWSRSRSDFNKVWAEIYARNYQKSLDHRSFYFKQHDTKSLSAKSLLTEIKEINERKLKEDNVLLAIAAGNQLPIFPNMQFEYSDSDVHEDLYKIVKYSCGEVCTSDQFDKVMRIWTNFVEPFLGVPPRVQGTEDAVDVVRSKGSAVKSNSASTRENKGCGSDDAVGNTKQLKPIRHADANSLGEHGDLQKTILVDSVRTTGGPAFHDVHCVVVGDDNPCSSPCNGKVQGAAVADGTPLFSRQASAGQLMDDASITARAEEIQVRASHDIASGPGLTPARSGQADMDKIVEPQINHEFLPSKGGENLRSIVFSNDGCPSENNKVHKHRDSSSPGNQKIEREEGELSPNGDFEEDNFVVFDDQVPAVKDNNSSVQCQEKTGAVACSSMAAGDNDADADDEGEESAHRTTEDSENASEAGEDASGSESVDGEECSHEDNEEEDVGHDDPDGKPESEGEAEGTPDALDADVGGTSERFLPTVKPLAKYVHEAFKDSDDKCLRCFYGNDSFYLLFRLHQTLYERILSAKMNSSNAERKWKTMKDTSSPDLYAKFMGALYNLLDGSADNTKFEDDCRSLIGTQSYVLFTLDKLIYKIVKQLQAVASDDVENRLLQLYAYEKSRGRGKFVDVIYHENARLLLHDESIYRFEFSSDPARLSIQLMDYGNGKPEVISVSIDPNFAAYLHNDFLSREPDWKRGCIFMGRNKRKLGDSDDYSVYCKAMDGVQVVNGLECKMSCNSSKVRYVLDTEDLFVRARKKRRLSDVGRASCPGQAQYLNKNTLRMQRYHHKFLT >DRNTG_34182.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7057030:7069691:1 gene:DRNTG_34182 transcript:DRNTG_34182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRARDEDCVNSQLKRPNSSPSRQINMANEASTNDSGRLTTSDALAYLKSVKDIFHDKKEKYDEFLEVMKDFKSKRIDTAGVIERVKVLFNGYRHLILGFNTFLPKGYEIKLTTEVKKPIDFREAIVFVNKIKNRFQNEDNVYKTFLGILNMYRKERKTIREVYSEVADLFGEHPDLLNEFTHFLPDTSAVATAQHGSSARTLLHGFKFNSPVQKEGTYNSHTDHELSVDHLDVERDKKKRRHEREKDRRDRERDEKDMEHDFKDLDNVQRRLKPSRRVDDAMTEHLHEVGEGAENFATFSISTSSYDDKNALKSVYPQEVSYFEKVKEKLHPAAYNEFLKCIYIYNQEIISQTELKRLLQDLITEYPDLMDGLDKIFTSPGNVDGFVTGVINKKSLWNEGHKGRPFKLEERDRARLCDKDEMLKERDHERERERDRERERTDKGVSCISKDVAAHRNSLPINKEKYNWNKPISELDLSNCQRCTPSYRLLPKNYPLPAASYRTELGTSVLNDLWVSVTSGSEDYSFKHMRKNQFEESLFRCEDDRFELDMLLESANAAIKRVEELVEKMQDDTFKMENLSHIEDYFTPLNLRCIERLYGDHGLDVMDIMRKNPVVAFPVILSRLKQKQEEWSRSRSDFNKVWAEIYARNYQKSLDHRSFYFKQHDTKSLSAKSLLTEIKEINERKLKEDNVLLAIAAGNQLPIFPNMQFEYSDSDVHEDLYKIVKYSCGEVCTSDQFDKVMRIWTNFVEPFLGVPPRVQGTEDAVDVVRSKGSAVKSNSASTRENKGCGSDDAVGNTKQLKPIRHADANSLGEHGDLQKTILVDSVRTTGGPAFHDVHCVVVGDDNPCSSPCNGKVQGAAVADGTPLFSRQASAGQLMDDASITARAEEIQVRASHDIASGPGLTPARSGQADMDKIVEPQINHEFLPSKGGENLRSIVFSNDGCPSENNKVHKHRDSSSPGNQKIEREEGELSPNGDFEEDNFVVFDDQVPAVKDNNSSVQCQEKTGAVACSSMAAGDNDADADDEGEESAHRTTEDSENASEAGEDASGSESVDGEECSHEDNEEEDVGHDDPDGKPESEGEAEGTPDALDADVGGTSERFLPTVKPLAKYVHEAFKDSDDKCLRCFYGNDSFYLLFRLHQTLYERILSAKMNSSNAERKWKTMKDTSSPDLYAKFMGALYNLLDGSADNTKFEDDCRSLIGTQSYVLFTLDKLIYKIVKQLQAVASDDVENRLLQLYAYEKSRGRGKFVDVIYHENARLLLHDESIYRFEFSSDPARLSIQLMDYGNGKPEVISVSIDPNFAAYLHNDFLSREPDWKRGCIFMGRNKRKLGDSDDYSVYCKAMDGVQVVNGLECKMSCNSSKVRYVLDTEDLFVRARKKRRLSDVGRASCPGQAQYLNKNTLRMQRYHHKFLT >DRNTG_21494.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:8649581:8655431:-1 gene:DRNTG_21494 transcript:DRNTG_21494.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKDITEDKDDRSSDTEKEVKHSKEGESDLESARDSVSSQGEAQSVDDGKVKRASRVPKKLTQKQSVESSPRASRNNSNHKESAKLQYKTSPMGQNKSQKPKKAVSTPKSLNGKKLESMIVPAKPPSEVSEGSDDKTIEEVKEIDVLDETPSYDQCIGTDDETGDTVENTLEDDRVSAYKKIEDMELRIDKLEEELREVAALEISLYSVVPEHGSSSHKVHTPARRLSRLYIHACKHWSQEKRATVARNTISGLVLIAKSCGSDISRLTFWLSNTVVLREIIAHTFGHLSNSHSISRAVESNDGAKKVEGIPSPVSWKNSNGSKQTRKPSFMQPVDDWQETSTFLLALEKIESWIFSRTVESVWWQSFTPHMHSPSEDFYATESFGKLLGPALGDQQQGSFSINIWKNAFRDAFTRICPVRAGGHDCGCLPVLAKKVMEQCVSRLDVAMFNAILRESANEIPTDPVSDPIVDSKVIPIPAGNLSFGSGAQLKNSIGNWSRLLTDLFDMDTGDGLSDDQHEGNEEESRGRGYVEPKSFRLLNELSDLLMLPKDLLLDIAIRNEVCPSIGLSIVTRVLCNFTPDEFCTDPVAGYVLEDLNDESLIEHGSADKDLMNTFPRSAAGVVYTPPSPAEVAEKVAEIGGRAELERKASIVQKKGYTSDDDLDDLDSPLRSIVDKRQAGVEIQRENTGLNARYKLLRDVWSG >DRNTG_20536.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17833685:17834403:-1 gene:DRNTG_20536 transcript:DRNTG_20536.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAKAMRPSMAARPAKRYGTGECQPEFGC >DRNTG_14477.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000693.1:123173:124260:1 gene:DRNTG_14477 transcript:DRNTG_14477.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTGVGNYRTPVWMHRTSRVIMAPRSKKQADKRPRESSPEPEGMRFAIPEHQVRYERLSRLWFGQSRFLDTTILRDLQQGDEFTDEVEDLISAGGLRQLLTIREPAIRDFALEFRVFRHRHTLSVTQFSVRFGLYEEAFTDTEEYAQLPTDYPRTLIPQRAYRVLCGHGQYEPGVSKAMCLSRSAYRYLHAIMSRSVNGRGDSTGVLSR >DRNTG_13668.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000628.1:28279:29288:-1 gene:DRNTG_13668 transcript:DRNTG_13668.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPAERLNLCQGTKLLDAIQAMAEEAQAPTVKSLIALSLHSLKNTASSLVIPIGVDAPAPQANRPFSYSPTPTTKPRETDNERRRKMNQTSRNR >DRNTG_13668.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000628.1:28279:28490:-1 gene:DRNTG_13668 transcript:DRNTG_13668.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLRKQIAHSLIHPHPQRNPERQTTREGGR >DRNTG_15931.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:881109:881708:1 gene:DRNTG_15931 transcript:DRNTG_15931.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSRLQEQGFHSEVRYEGVVDCVKKVFQKEGLAGFYRGCATNLIRTTPAAVITFTSFEMIHRFLINLFPEPHPHPI >DRNTG_29243.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21474484:21476079:-1 gene:DRNTG_29243 transcript:DRNTG_29243.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYHIHDFHYALVWVHSLLIILDFFHKYHQILLHQTLCHTHPQMNHTLHPCRVVVGSWVPYFDLDPDLWSCF >DRNTG_29243.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21471894:21476079:-1 gene:DRNTG_29243 transcript:DRNTG_29243.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHIHDFHYALVWVHSLLIILDFFHKYHQILLHQTLCHTHPQMNHTLHPCRVVVGSWVPYFDLDPDLWSCF >DRNTG_29243.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21466711:21476079:-1 gene:DRNTG_29243 transcript:DRNTG_29243.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHIHDFHYALVWVHSLLIILDFFHKYHQILLHQTLCHTHPQMNHTLHPCRVVVGSWVPYFDLDPDLWSCF >DRNTG_16519.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5379119:5381142:-1 gene:DRNTG_16519 transcript:DRNTG_16519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRNIETVPCTEQSRFLLISPILERLLEVDYISFMLMAFVEYSPRDVPKILGKEEVVVIAIGDEQGYGFVRMMTVEL >DRNTG_25257.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:19310831:19312233:1 gene:DRNTG_25257 transcript:DRNTG_25257.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVVSQANIERKRRLPAWMSTDKDQKKSENDNTKVSESEERSSVQISQSKAKSTTRKRDREILRQERGDLVVFETAKNFDLKGENKESNSRNEGPNNSFSSCGKSDLLKNRKKKGKKKFGECEKTQFERAVPGKQDQKSGRCEVTEIKASLKGSSDDEIDLSVEDLKSIAKEYACANTESQHVRLALRKTVSGLDLSSSLDSKFDSGAYLTTATAFNKTLTKCTRSSSQIIGNEVKDKDSPAVENFSCNIARTGDAAQDMLELFLGPLLKGGT >DRNTG_34722.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2261798:2262231:-1 gene:DRNTG_34722 transcript:DRNTG_34722.1 gene_biotype:protein_coding transcript_biotype:protein_coding QGFPVEKIIIIIKEKEKRKQCHSKKIKRSHQIGSR >DRNTG_01325.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:45542:48681:1 gene:DRNTG_01325 transcript:DRNTG_01325.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLLVAGTETSSVTMEWAMSFLLNNIETLKKVRAEIDLNIEQGSILEEGDLHKLPYLQAVVTETLRLKSSAPLLLLSRPSPTAGPMDRSATSIGTGSHWVQGLRFDLVRVNPNKQIKI >DRNTG_01325.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:46826:48681:1 gene:DRNTG_01325 transcript:DRNTG_01325.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRETVNLQPPSPLPNSSNATPSSSSRLASSVRSSKEACHLFSPNSKKGC >DRNTG_01325.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000075.1:47826:48681:1 gene:DRNTG_01325 transcript:DRNTG_01325.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRETVNLQPPSPLPNSSNATPSSSSRLASSVRSSKEACHLFSPNSKKGC >DRNTG_27938.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14066365:14066910:1 gene:DRNTG_27938 transcript:DRNTG_27938.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLNQKKKRRLELKMNNLKHRFHHHHHHHHHQSPSTSQQTLENLGI >DRNTG_27938.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14066388:14066910:1 gene:DRNTG_27938 transcript:DRNTG_27938.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLNQKKKRRLELKMNNLKHRFHHHHHHHHHQSPSTSQQTLENLGI >DRNTG_27938.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14066388:14066829:1 gene:DRNTG_27938 transcript:DRNTG_27938.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLNQKKKRRLELKMNNLKHRFHHHHHHHHHQSPSTSQQTLENLGI >DRNTG_27938.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14066365:14066829:1 gene:DRNTG_27938 transcript:DRNTG_27938.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLNQKKKRRLELKMNNLKHRFHHHHHHHHHQSPSTSQQTLENLGI >DRNTG_30532.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21360408:21361163:1 gene:DRNTG_30532 transcript:DRNTG_30532.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAPMKYRSNPGASTSMRTLRRSIDRSMEPEMVRDLEETMIVRKAREISRGRKK >DRNTG_30532.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21360408:21361163:1 gene:DRNTG_30532 transcript:DRNTG_30532.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCTSFEEKFLSSFLCFNPLLDSLFSLNQTFARLLGKRRRSRRTLVAEHHIDKRKHNAGNHDRRSNEVPQQPRCLHLHEDPAQIDRSIDGAGDGQGFGRDDDREKSSRDLTREKE >DRNTG_30532.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21359031:21361163:1 gene:DRNTG_30532 transcript:DRNTG_30532.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGKIEASFEEKFLSSFLCFNPLLDSLFSLNQTFARLLGKRRRSRRTLVAEHHIDKRKHNAGNHDRRSNEVPQQPRCLHLHEDPAQIDRSIDGAGDGQGFGRDDDREKSSRDLTREKE >DRNTG_24201.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22487290:22489269:-1 gene:DRNTG_24201 transcript:DRNTG_24201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRKHFGYNDTAVGTAPYGQHWRELRRFMAVHALSPSRLPSFSPDVHSLMLKLYSGAGEGYNNFKKVEVKDMLFEMMMNLMSELIAGKNYYGEGYEDLKEGRKFREVVEEVFLLSGTSTVEDFIPFARWMGISGAEKRMEKVGKELDNFYQKIIDDRRRVGKWKEYVDDHDQEKKSNIVDVMLAMQEKDKNNYSDVAIKGMITSLLAAGTLTVAAIMEWAMALLLNHPDALKKVKAEIKEQVGHSHLINNSDISKLHYLNNVIKETLRLFPAAPLLAPHESSQDCTVSGSHIPKGTMLLVNVYAMQRDNQLWDNPLEFMPERFDSDDIVRGDEGYKYLPFGTGRRRCPGESLSWNVVGLTLGALVQCFEWERVGKELVDLSEGVGLSLPMAKPLQAMYKPCMDMHAVLSQL >DRNTG_24201.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22487290:22487937:-1 gene:DRNTG_24201 transcript:DRNTG_24201.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAMALLLNHPDALKKVKAEIKEQVGHSHLINNSDISKLHYLNNVIKETLRLFPAAPLLAPHESSQDCTVSGSHIPKGTMLLVNVYAMQRDNQLWDNPLEFMPERFDSDDIVRGDEGYKYLPFGTGRRRCPGESLSWNVVGLTLGALVQCFEWERVGKELVDLSEGVGLSLPMAKPLQAMYKPCMDMHAVLSQL >DRNTG_11831.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:224796:233933:-1 gene:DRNTG_11831 transcript:DRNTG_11831.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKESVHKLEQESGFYFNMKHFEDQVQAGEWDEVEKYLSGFTKVEDNRYSMKIFFEIRKQKYLEALDKHDRAKAVEVLVKDLKVFASFNEELFKEITQLLTLENFRQNEQLSKYGDTKSARNIMLLELKKLIEANPLFRDKLAFPAFKGSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCAPTNGARPPPPANGSLVGPIPKSGAFPPLGVHSPFPPVISPSPSAIAGWMSSSNPSLAHAVVAQGPPGLVPPPNAAAFLKHPRTPTNAPGMDYQTADSEHLMKRMRTGPSDEVSFAGPSHPLTIYSQDDLPRIVVRTLNQGSNVTSLDFHPQQQTILLVGTNVGDIGIWEVGSRERIVNKTFKIREISNCSVPLQAALVKDATVSVSRCLWSPDGSILGVAFSKHIVQTFAFISNGELRQLLEIEAHTGGVNDIAFSHPNKSLSIITCGDDKTIKVWDATTGQKQYIFEGHEAPVYSVCPHYKENIQFIFSTAIDGKIKAWLYDCMGSRVDYDAPGLSCTTMAYSADGLRLFSCGTSKDGDSHLVEWNETEGAIKREYSGFRKRSPGVVQFDTTRNRFLAVGDESMIKFWDMDSTNILTTTEADGGLPASPRLRFNKEGSFLAVTTNDNGIKILANADGQRLIRMLESRALEGSRGASQHIHVKPSVGNAMNAVHNVSSSQIIAERSDRNSPALPMTNLATIDSTRTVDVKPRILDDVDKIKTWKLADIVDSGHLKALLLPDSMTTTAKVVRLLYTNSGLSLLALTLNGVHKLWKWQRTERNPSIKSTASIAPQLWQPANGIVMSNETGDNSMTEESTGCIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMAPPPAATYLAFHPQDNNIIAIGMDDSTIQIYNVRIDEVKTKLKGHQKKITGLAFSQMMNVLVSSGADAQLCLWSIDGWEKRKAKFLQAPAGRAAPLVGETKVQFHNDQVHLLVSHESQLAIYDGKLDCVRSWYPRDALSSPISSAIYSCDGALVYAGFCDGAVGIFDADSLRLRCRIAPSAYLSPVSSSSGSVYPTVIASHPSEPNQIALGMSDGAVYAVEPSDAEPKWGAPLPQDSVPLPPISSIPTSSSAQPSEPPPR >DRNTG_11831.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:224796:233327:-1 gene:DRNTG_11831 transcript:DRNTG_11831.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKESVHKLEQESGFYFNMKHFEDQVQAGEWDEVEKYLSGFTKVEDNRYSMKIFFEIRKQKYLEALDKHDRAKAVEVLVKDLKVFASFNEELFKEITQLLTLENFRQNEQLSKYGDTKSARNIMLLELKKLIEANPLFRDKLAFPAFKGSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCAPTNGARPPPPANGSLVGPIPKSGAFPPLGVHSPFPPVISPSPSAIAGWMSSSNPSLAHAVVAQGPPGLVPPPNAAAFLKHPRTPTNAPGMDYQTADSEHLMKRMRTGPSDEVSFAGPSHPLTIYSQDDLPRIVVRTLNQGSNVTSLDFHPQQQTILLVGTNVGDIGIWEVGSRERIVNKTFKIREISNCSVPLQAALVKDATVSVSRCLWSPDGSILGVAFSKHIVQTFAFISNGELRQLLEIEAHTGGVNDIAFSHPNKSLSIITCGDDKTIKVWDATTGQKQYIFEGHEAPVYSVCPHYKENIQFIFSTAIDGKIKAWLYDCMGSRVDYDAPGLSCTTMAYSADGLRLFSCGTSKDGDSHLVEWNETEGAIKREYSGFRKRSPGVVQFDTTRNRFLAVGDESMIKFWDMDSTNILTTTEADGGLPASPRLRFNKEGSFLAVTTNDNGIKILANADGQRLIRMLESRALEGSRGASQHIHVKPSVGNAMNAVHNVSSSQIIAERSDRNSPALPMTNLATIDSTRTVDVKPRILDDVDKIKTWKLADIVDSGHLKALLLPDSMTTTAKVVRLLYTNSGLSLLALTLNGVHKLWKWQRTERNPSIKSTASIAPQLWQPANGIVMSNETGDNSMTEESTGCIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMAPPPAATYLAFHPQDNNIIAIGMDDSTIQIYNVRIDEVKTKLKGHQKKITGLAFSQMMNVLVSSGADAQLCLWSIDGWEKRKAKFLQAPAGRAAPLVGETKVQFHNDQVHLLVSHESQLAIYDGKLDCVRSWYPRDALSSPISSAIYSCDGALVYAGFCDGAVGIFDADSLRLRCRIAPSAYLSPVSSSSGSVYPTVIASHPSEPNQIALGMSDGAVYAVEPSDAEPKWGAPLPQDSVPLPPISSIPTSSSAQPSEPPPR >DRNTG_35141.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1228011:1229652:-1 gene:DRNTG_35141 transcript:DRNTG_35141.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRALSRAKGVVFSPSTLRILTSLSNQSMLSSSELSDQSRAPHQQEPVFCPHGRFYHDGRPRGPLWRGKKMIGKEAIFVILGLKRFKDDEEKLDKFIKCHVLRLLKMDIIAVLNELERQEEISLALKIFKVIQKEDWYKPDVFLYKDLIIALARCRKMEETMKIWESMRKENLFPDSQTYAEVIRGFLRYGSPADAMNIYEDMKQSPDPPEELPFRILLKGLLPHPLLRNRVKQDFEEMFPERHVYDPPEEIFGMR >DRNTG_35141.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:1227693:1229652:-1 gene:DRNTG_35141 transcript:DRNTG_35141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRALSRAKGVVFSPSTLRILTSLSNQSMLSSSELSDQSRAPHQQEPVFCPHGRFYHDGRPRGPLWRGKKMIGKEAIFVILGLKRFKDDEEKLDKFIKCHVLRLLKMDIIAVLNELERQEEISLALKIFKVIQKEDWYKPDVFLYKDLIIALARCRKMEETMKIWESMRKENLFPDSQTYAEVIRGFLRYGSPADAMNIYEDMKQSPDPPEELPFRILLKGLLPHPLLRNRVKQDFEEMFPERHVYDPPEEIFGMR >DRNTG_08226.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8246371:8253300:1 gene:DRNTG_08226 transcript:DRNTG_08226.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIMNNAVFIISAGTNDFAANYIPLPIRKKMFSIADYQNFVLQNLQEFLQGIHKLGARKMAVVGLPPVGCLPVIITLFGFGDRKCIEAFNSMSIIYNNKLKDILRKASTVLQGAQLIYVDVFTPLYDFILSPNKYGFEETMVGCCGTGMVEAGLACNANSLVCIDASKRKLTMRKGRNLSMNLKMILKKRL >DRNTG_08226.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8246371:8248495:1 gene:DRNTG_08226 transcript:DRNTG_08226.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPQRNHIACFLNLLFFLSSCLLWLHLVKACCKQTAVQLL >DRNTG_08226.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8251181:8252235:1 gene:DRNTG_08226 transcript:DRNTG_08226.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGLPPVGCLPVIITLFGFGDRKCIEAFNSMSIIYNNKLKDILRKASTVLQGAQLIYVDVFTPLYDFILSPNKYGFEETMVGCCGTGMVEAGLACNANSLVCIDASKYVFWDSIHPTEKTYLTLFNYFKPLIDNFLES >DRNTG_08226.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8246371:8252235:1 gene:DRNTG_08226 transcript:DRNTG_08226.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIMNNAVFIISAGTNDFAANYIPLPIRKKMFSIADYQNFVLQNLQEFLQGIHKLGARKMAVVGLPPVGCLPVIITLFGFGDRKCIEAFNSMSIIYNNKLKDILRKASTVLQGAQLIYVDVFTPLYDFILSPNKYGFEETMVGCCGTGMVEAGLACNANSLVCIDASKYVFWDSIHPTEKTYLTLFNYFKPLIDNFLES >DRNTG_14241.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:938688:944556:1 gene:DRNTG_14241 transcript:DRNTG_14241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGIEVRPGKPYTHSFDRSRGRLRISQATLGDGKGTAKSVLQCNVGDKSPILLCNLIPNVSESCHLELEFEEADDVVFSVLGQKSVHLSGFYLGSGGNGGGEDSDESYGEDIAETESEDMENLYNSEDDEYESDFIDDDDVEMFASSRRKSNVVIKEIFEEDDKPQNGNAGRRRLKKNREISDSDDEDDVGSQRQLVVKGSSPKLLESEDEDGFPISFSMMQKSPVKKAEVNENPDQKSCVVKKRKIDTMADDAELKRKSSEPIDSSVPSDIEPESNEKPKKKKKVKGKKSLQTDEGQLVEGKMEKKDNDLAAGANVDESVDGGIVKKKKKENKKVENSEVVNGVSADGPEEGGKKEPAEGKPKAADDMGEDLPDAVEQELSVDKNNAKRKKNKKKIQQTEENANSNEELPKNADIHDQPAVADEKETKKKKKRNKNHDNDPNNDVASKLSVAEEKQGGAGRNAKTYPNGLTVEELSMGKPDGKRASPGTKVAVNYIGKLKNGKIFDSTTGGKPFKFRLGIGQVIKGWDVGINGMRVGDKRKLTIPPSMGYGNKNIGQIPANSWLIFDVELVSVN >DRNTG_11372.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:6632243:6634095:-1 gene:DRNTG_11372 transcript:DRNTG_11372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWKVLYSSSKDSLVRLSPLSSWGKDGEKNSAAAAVAAGEDAHGGSTGAGVGDGGAGGTEGLYLNRSYRKSNRAIRSVY >DRNTG_25862.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8916982:8921133:1 gene:DRNTG_25862 transcript:DRNTG_25862.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDRFQDSGITSVVSNTPADLGMPPCIHPNIQGIYTWEAQHESSILRHLNHEVSELLKKNLYLAHNLYKDPFPWMAPAVWEGLQRYWESDEFKRKSEKNKLNMIEIGSSSIVIYHGGSVSIAVHHLRLVKELGREPTLKECFIRTDKKKGWHTRGCSCHTNYCEVKELEEELARLQAELTRHELFESSLVAALRDQGIDLSSMPIFSCTTTCSSSTDRRVTDTCG >DRNTG_13210.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2613321:2618167:1 gene:DRNTG_13210 transcript:DRNTG_13210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVANADGLSFAVPIDSAAKIMEQFKKNGRVVRPWLGVKLLDLTEKNITHLKEKDGSFPDVRNGVLVVVVTPGSPADQAGFSPGDIIIEFNGKPVGSIKEIIDVMGDKVGTPFTVLVKRANNKLVSLHVTPAEMKPDR >DRNTG_13210.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:2613321:2618167:1 gene:DRNTG_13210 transcript:DRNTG_13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFAKTRASAALLAVAAAAAGAGPALSDESPSRTSSTTISISTPLRWWMMQRMNLVPQELAFPSLGGLSLINHSVASATPPTDFNEEVSGSKGDDSRCCPWCLGRNSIAVAAEAAASAVVNISVTQSINGMMIAKGIGSGTIIDPDGTILTCAHIVLDFLNQRSITTGKVEVTLRDGRKFDGVVVNADFHSDIAVVKITSKTPLAAAKLGLSKRLRPGDWVVALGCPLSLQNTITAGIVSSVDRKSSDLGLLGVEREYLQTDCAINQGNSGGPLVNLDGEVIGINIMKVANADGLSFAVPIDSAAKIMEQFKKNGRVVRPWLGVKLLDLTEKNITHLKEKDGSFPDVRNGVLVVVVTPGSPADQAGFSPGDIIIEFNGKPVGSIKEIIDVMGDKVGTPFTVLVKRANNKLVSLHVTPAEMKPDR >DRNTG_17556.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29138155:29141904:-1 gene:DRNTG_17556 transcript:DRNTG_17556.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIWRARALRAIVFSPFSPFNKTSLCSFQTLAQPLPLSSFPLLRRCFVVPPPPRHHLLLFPRLPLAARTLASLSSGPELEWREDQQHTPVSCSEDEGCQDHLLEVQQEKQSRFVPVKAYFLCTGIDLKSLQAQNAFNVIPPTSRATNYVVLRFFDIKAEPHGLEAGFGNENYCHYMVVFQYGSVVLFNVSDHEADGYLKIVEKHASGLLPEMRKDDYAVVEKPSLETWMQGGLDYIMLKNLSIDGIRTIGSVLGQSIALDYYIRQVDGMVAEFTDINRGMEKTGTFTMQRKKLFQLVGKANSNLADVILKLGVFER >DRNTG_17556.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29138155:29141904:-1 gene:DRNTG_17556 transcript:DRNTG_17556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIWRARALRAIVFSPFSPFNKTSLCSFQTLAQPLPLSSFPLLRRCFVVPPPPRHHLLLFPRLPLAARTLASLSSGPELEWREDQQHTPVSCSEDEGCQDHLLEVQQEKQSRFVPVKAYFLCTGIDLKSLQAQNAFNVIPPTSRATNYVVLRFFDIKAEPHGLEAGFGNENYCHYMVVFQYGSVVLFNVSDHEADGYLKIVEKHASGLLPEMRKDDYAVVEKPSLETWMQGGLDYIMLKNLSIDGIRTIGSVLGQSIALDYYIRQVDGMVAEFTDINRGMEKTGTFTMQRKKLFQLVGKANSNLADVILKLGVFERSDIAWKNANYAQIWEYLRDEYELTQRFGSLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIILISVEILISVFNIARESPISSM >DRNTG_04949.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3885828:3891106:1 gene:DRNTG_04949 transcript:DRNTG_04949.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGLKKVGSYCAIAEADDFDLSKLLDKPKLNIERQRSFDERSLSELSVNIRAVDSFENMYSPGGMRSGFDTPASSARNSFEPHPMVAEAWDALRKSLVYFRGQPVGTIAAVDHASEEVLNYDQVFVRDFVPSALAFLMNGEPEIVKNFLLKTLYLQGWEKRIDRFKLGEGAMPASFKVLHDPVRKMDTLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAETPECQKGMRLILALCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMSLRCALALLKHDAEGKEFIERIVKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFIGNVSPARMDFRWFALGNCVAILSSLATPEQSVAIMDLIEERWEELVGEMPIKISYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELAESRLLKDSWPEYYDGKLGRYIGKQARKFQTWSIAGYLVAKMMLEDPSHLGMVALEEDKAMKPVLKRSSSWTC >DRNTG_27267.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22428959:22435878:-1 gene:DRNTG_27267 transcript:DRNTG_27267.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASQFLCSGVKPLHLDVTTTRSTGRRRTPVVRFKPRRFRFFAVSTEPKPANGGLSPRANSSPNSNGTTNRFGNVSEEIRRVRAQMEEDEQLATLMRGLRGQNLRDSQFADENVQLRLVEVQAKESSETLPLIYDPDIISGYWGKRPRAVATRIIQLLSVAGGFLSHLAADLINKKIKENEVARAIELREIVTSLGPAYIKLGQALSIRPDILSPAAMTELQKLCDKVPSFPDDIAMALIKEELGQPWHEVYSELTSSPIAAASLGQVYKGRLKETGELVAVKVQRPFVLETVTIDLFIIRKLGLVLRRFPQISVDVVGLVDEWAARFFEELDYVNEGENGTLFAEMMREDLPQVVIPKTYHKYTSRKVLTTQWIDGEKLSQSTESDVGELVNVGVICYLKQLLDTGFFHADPHPGNMIRTPDGKLAILDFGLVTRLTDDQKYGMIEAIAHLIHRDYKAIVKDFVKLDFIPEGVNLDPILPVLAKVFDQALEGGGAKNINFQELASDLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGNPDFAIVDEAYPYIAQRLLTDESPRLRNALRYTIYGKSGVFDAERFIDVMQAFENFITAAKSGGGEDLNGNMAELGVIQTSFLASGFPSIISQPQQPIKTRAALAFLLSDKGNFFREFLLDEIVKAIDAISREQLVQIATALGIRNSAPVFSMVPLRRAALLPTITEEDKVILSNVEKVVKFLTSETTSSLNQDVNIVYIVQELLPVLPGISAKFLPEVMSRLSSRVLARLIRETFL >DRNTG_27267.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22429113:22435878:-1 gene:DRNTG_27267 transcript:DRNTG_27267.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASQFLCSGVKPLHLDVTTTRSTGRRRTPVVRFKPRRFRFFAVSTEPKPANGGLSPRANSSPNSNGTTNRFGNVSEEIRRVRAQMEEDEQLATLMRGLRGQNLRDSQFADENVQLRLVEVQAKESSETLPLIYDPDIISGYWGKRPRAVATRIIQLLSVAGGFLSHLAADLINKKIKENEVARAIELREIVTSLGPAYIKLGQALSIRPDILSPAAMTELQKLCDKVPSFPDDIAMALIKEELGQPWHEVYSELTSSPIAAASLGQVYKGRLKETGELVAVKVQRPFVLETVTIDLFIIRKLGLVLRRFPQISVDVVGLVDEWAARFFEELDYVNEGENGTLFAEMMREDLPQVVIPKTYHKYTSRKVLTTQWIDGEKLSQSTESDVGELVNVGVICYLKQLLDTGFFHADPHPGNMIRTPDGKLAILDFGLVTRLTDDQKYGMIEAIAHLIHRDYKAIVKDFVKLDFIPEGVNLDPILPVLAKVFDQALEGGGAKNINFQELASDLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGNPDFAIVDEAYPYIAQRLLTDESPRLRNALRYTIYGKSGVFDAERFIDVMQAFENFITAAKSGGGEDLNGNMAELGVIQTSFLASGFPSIISQPQQPIKTRAALAFLLSDKGNFFREFLLDEIVKAIDAISREQLVQIATALGIRNSAPVFSMVPLRRAALLPTITEEDKVILSNVEKVVKFLTSETTSSLNQDVNIVYIVQELLPVLPGISAKFLPEVMSRLSSRVLARLIRETFL >DRNTG_18847.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2570121:2571254:1 gene:DRNTG_18847 transcript:DRNTG_18847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALCRKSQVSTASDLLEDMLNKGFVPDALTYSSLLNSLCRKKRLREAYKLLCRMKVRGCNPDIVHYNTIILGFCREGRPFDACKVLDDMPENGCLPNLVSYTTLVNGLCAGGFVERAMEFLEVMVGKGLVPHFSVFHGLVKGFCNVGKVEEACRVLEEMLKLGVVPHVDTWVMVLVRVCCDDDGEMLKQTSSWFLKIVDEEEWRRRTKLVHLGTGLVHFN >DRNTG_00549.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30200297:30206296:1 gene:DRNTG_00549 transcript:DRNTG_00549.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive exonuclease DIS3L2 [Source:Projected from Arabidopsis thaliana (AT1G77680) UniProtKB/Swiss-Prot;Acc:Q0WPN0] MAEPVVAANGLVERLTTAASEEMEKDRKKKRRNNRRPKHCPSPYPGAACSSMDGVCGPAPEWVENGNVGGLNVYPVKGFNSLPVMHINSNSGIIRPPPGFPPPEVMKISRSCPLPAPSPHIKSLPKKMENKNCFSPYWSHDAVEDAIKKGQAFKATFRVNAHNRLEAYCTIEGLPIDVLINGAAAQNRAIEGDVVALMLDPVASWTRLKGSNLSGNYNSSYDSNKFSESKELAVHKFAIKENSNADCDFITSSNGTFPLDRGCNCHGDNNINLAVHCGPGAGVGHYDQRCNGHNISSFDGAEGSPAFQQDEVVRALERICAMAYSNPSKRPTGKIIGIIKKSARRRAIIGFLGAKQWLCKEVAHETQIHGQCSDNNRSSVEYMELFPNDFKFPKMVVKVSSLPNFIVERLKKGDATVGKELVAAQIDEWSEGSLSPQAQVLRILGDGGEVESQIAAIFFENSIHPATFSSELLDCIPDDPWSVPSKELQIRKDLRNICTFTIDPSSAVDLDDALSVEVVSNGIYRVGVHIADVAYLVTPGTALDAEAQLRSTSVYILQHKSPMLPFKLSEVCSLNPGVDRLALSITWDIDHSGEIIDRWIGRSVIQSCCKLSYEFVQDIIDGSLDVDKLDMTERSIPKLHGGLEWKDVVKSLRDLHEISTKLREIRFKDGALSLENAKLAFLFDEFGNPCGSYFNERKDSYTLVEEFMLLANISVAEVISRAFPDCALLRRHPEPNSRKLKDFEAFCNKHGFELETSSSRHLHLSLLKMKEKLKDDPILFEILINYASKPMQPAMYFCTGDSKGLEYDWAHYALSLPFYTHFTSPLRRYPDIIVQRTLCAALEADESYFKERRILVHSNEVDSNEKSGLSYGCSACLYFNKDAAESIEGQEVLKTAALKFKVPGTEVLGEIATYCNERKFASKRAEDASEKLCFWALLKKKEFVVSEARVLGLGPRFMSVYIPKFGMERRIYYDDVEGLSVEWLETTCTLVLDLLKIKSLLRKDSPGKLRCPVDVAMLIDPSEVFFPEDENVNQEGQNGVSSLHPGSQEKDRIEPSFFPLTLQHLSSLPVALHAVGGDDGPVDIGVRLYMCSYFSES >DRNTG_00549.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30200297:30206296:1 gene:DRNTG_00549 transcript:DRNTG_00549.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive exonuclease DIS3L2 [Source:Projected from Arabidopsis thaliana (AT1G77680) UniProtKB/Swiss-Prot;Acc:Q0WPN0] MLDPVASWTRLKGSNLSGNYNSSYDSNKFSESKELAVHKFAIKENSNADCDFITSSNGTFPLDRGCNCHGDNNINLAVHCGPGAGVGHYDQRCNGHNISSFDGAEGSPAFQQDEVVRALERICAMAYSNPSKRPTGKIIGIIKKSARRRAIIGFLGAKQWLCKEVAHETQIHGQCSDNNRSSVEYMELFPNDFKFPKMVVKVSSLPNFIVERLKKGDATVGKELVAAQIDEWSEGSLSPQAQVLRILGDGGEVESQIAAIFFENSIHPATFSSELLDCIPDDPWSVPSKELQIRKDLRNICTFTIDPSSAVDLDDALSVEVVSNGIYRVGVHIADVAYLVTPGTALDAEAQLRSTSVYILQHKSPMLPFKLSEVCSLNPGVDRLALSITWDIDHSGEIIDRWIGRSVIQSCCKLSYEFVQDIIDGSLDVDKLDMTERSIPKLHGGLEWKDVVKSLRDLHEISTKLREIRFKDGALSLENAKLAFLFDEFGNPCGSYFNERKDSYTLVEEFMLLANISVAEVISRAFPDCALLRRHPEPNSRKLKDFEAFCNKHGFELETSSSRHLHLSLLKMKEKLKDDPILFEILINYASKPMQPAMYFCTGDSKGLEYDWAHYALSLPFYTHFTSPLRRYPDIIVQRTLCAALEADESYFKERRILVHSNEVDSNEKSGLSYGCSACLYFNKDAAESIEGQEVLKTAALKFKVPGTEVLGEIATYCNERKFASKRAEDASEKLCFWALLKKKEFVVSEARVLGLGPRFMSVYIPKFGMERRIYYDDVEGLSVEWLETTCTLVLDLLKIKSLLRKDSPGKLRCPVDVAMLIDPSEVFFPEDENVNQEGQNGVSSLHPGSQEKDRIEPSFFPLTLQHLSSLPVALHAVGGDDGPVDIGVRLYMCSYFSES >DRNTG_25981.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3538844:3541266:1 gene:DRNTG_25981 transcript:DRNTG_25981.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNQMHHFTTYMQKNETRTISTLDDKVRNH >DRNTG_25981.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:3538844:3541266:1 gene:DRNTG_25981 transcript:DRNTG_25981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQMHHFTTYMQKNETRTISTLDDKVRNH >DRNTG_18719.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1089079:1092782:-1 gene:DRNTG_18719 transcript:DRNTG_18719.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVAKHYRCTHSSSCLCTKGHLSEDVIFLVFQHLNWNPRMIATLSCVCKWFDEIAKRVLWKEFCRTRAPKMMQDLQSCGSHSVDGNWKALGKLLIFCSGCSKNGLFNSINIEGHFVQKTRFSRTSGKSFLMPQCKNDVLYVSDPCEHLDQGDEDSGSYFRGDVGFFRGVFKSFSVSRVKHMLIERKAEFHPTEMCPYCKAKMWNMLQAKMIPKSACARLGAYNDCTEYYVCLNGHLLGICSLLPLTDSEEPSDVE >DRNTG_18719.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1089079:1092782:-1 gene:DRNTG_18719 transcript:DRNTG_18719.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVAKHYRCTHSSSCLCTKGHLSEDVIFLVFQHLNWNPRMIATLSCVCKWFDEIAKRVLWKEFCRTRAPKMMQDLQSCGSHSVDGNWKALGKLLIFCSGCSKNGLFNSINIEGHFVQKTRFSRTSGKSFLMPQCKNDVLYVSDPCEHLDQGDEDSGSYFRGDVGFFRGVFKSFSVSRVKHMLIERKAEFHPTEMCPYCKAKMWNMLQAKMIPKSACARLGAYNDCTEYYVCLNGHLLGICSLLPLTDSEEPSDVE >DRNTG_18719.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1089079:1092782:-1 gene:DRNTG_18719 transcript:DRNTG_18719.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVAKHYRCTHSSSCLCTKGHLSEDVIFLVFQHLNWNPRMIATLSCVCKWFDEIAKRVLWKEFCRTRAPKMMQDLQSCGSHSVDGNWKALGKLLIFCSGCSKNGLFNSINIEGHFVQKTRFSRTSGKSFLMPQCKNDVLYVSDPCEHLDQGDEDSGSYFRGDVGFFRGVFKSFSVSRVKHMLIERKAEFHPTEMCPYCKAKMWNMLQAKMIPKSACARLGAYNDCTEYYVCLNGHLLGICSLLPLTDSEEPSDVE >DRNTG_18719.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1089079:1092782:-1 gene:DRNTG_18719 transcript:DRNTG_18719.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVAKHYRCTHSSSCLCTKGHLSEDVIFLVFQHLNWNPRMIATLSCVCKWFDEIAKRVLWKEFCRTRAPKMMQDLQSCGSHSVDGNWKALGKLLIFCSGCSKNGLFNSINIEGHFVQKTRFSRTSGKSFLMPQCKNDVLYVSDPCEHLDQGDEDSGSYFRGDVGFFRGVFKSFSVSRVKHMLIERKAEFHPTEMCPYCKAKMWNMLQAKMIPKSACARLGAYNDCTEYYVCLNGHLLGICSLLPLTDSEEPSDVE >DRNTG_19977.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:806936:810142:1 gene:DRNTG_19977 transcript:DRNTG_19977.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRGGVGRVLVLSFCIAGIWSAYITQGVLQETVSTKRFGPDGKRFEHLAFLNLAQNLVCFVWSLIMLKLWSRGSSSGVAPWWSYWSASITNTIGPAMGIEALKYISYPAQVLAKSSKMIPVMLMGALVYGIKYTFSEYLCTFLVAGGVSVFALSKTSSKTISKLAHPNAPLGYGLCFLNLAFDGFTNATQDSISARYPKTSAWDIMLGMNLWGTIYNVLFMFGWPNASGYEAVSFCKEHPEAAWDILMFCLCGAVGQNFIFLTISRFGSLANTTITTTRKFVSIVVSSLLSGNPLSFVQWGSVFMVFSGLSYQILLKWRKLKSSEQKKKKKGV >DRNTG_32130.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001787.1:16637:19595:-1 gene:DRNTG_32130 transcript:DRNTG_32130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGNEFECISVLQGHTQDVKMVLWHPFVDVLVSVSYDNSIKVWVEDGDDDWHCVQSLGEAGSGHTSTVWASSFSECGNRMVTCSDDLTLKIWDTSGNPSQTSGDGYVPWQHICTLSGYHGRTIFSVSWSSEGVIASGAGDDALRLFVANKDNLVLFVSVFKISIIWKV >DRNTG_32130.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001787.1:16637:19595:-1 gene:DRNTG_32130 transcript:DRNTG_32130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGNEFECISVLQGHTQDVKMVLWHPFVDVLVSVSYDNSIKVWVEDGDDDWHCVQSLGEAGSGHTSTVWASSFSECGNRMVTCSDDLTLKIWDTSGNPSQTSGDGYVPWQHICTLSGYHGRTIFSVSWSSEGVIASGAGDDALRLFVANKDNLVDGPSYSMLLKKEKAHAMDVNSVQWNPKDPQVLASASDDGSVKIWELVDVSQ >DRNTG_11765.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:657453:658194:1 gene:DRNTG_11765 transcript:DRNTG_11765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDGWKEFVDEHYVEENDVLVFKYDGNSCFDVLIFDQSGCEKEASYFVRRSNPLEEHEMKKEFNKTGTPDVQKNGTNGKRRNTECDSTMSFCISTYEPKKANCDSSYSKEKEGMACEISLQPKLGV >DRNTG_03658.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13449157:13451917:1 gene:DRNTG_03658 transcript:DRNTG_03658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAMKVMIKLRSSDGMEYEVEEHTAEQSAFIRGLMMFSDARENGVTISDVKGSILAKVMDYCKKHAETADHVELDCWDAEFVNVENHILYDFIMAALALTINSLLRLCCKKVAQLIKGLTADEIREIFNIQNDFTPEEAEAVRRENLWEF >DRNTG_15943.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000790.1:48413:51657:-1 gene:DRNTG_15943 transcript:DRNTG_15943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDRSSGDLAASAGQREIQNLSIITDRIEEFRKKRTLCIPEVDDEDEDGGEEAEEKEEEDEEEKDYFDEDNESVPAEPNGSNANDSGGDEVPAETTDDSCQASVTQMVDLPVDNDMDENAFLVMIDELGGNVLTAVNDMDENAVLQMIEEICGNELSTVSDMDENAILQMTEELGGNELPAVYGMEENVILQMIEGLGGNEPAEINNAANLCFVTN >DRNTG_33714.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1194695:1200149:1 gene:DRNTG_33714 transcript:DRNTG_33714.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKASTCPLCKSNFTHITVVEGAASLDQKIYSQTIPCNSSKDVLVLLDRGCSYNGPPSSDPVCFECHNYEPGDLLVNCHICKDRWVHSCCLDPPLVPWTCIHCRDLRTLYQRFRD >DRNTG_13781.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:13220174:13222425:1 gene:DRNTG_13781 transcript:DRNTG_13781.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNSYQWNAREKKKVAGLHEIDAVTSLAAQVESLSKKLDLIASNRVAAMTNCTGCAGRHAPSDCPIVIDTTDEIVDEYMQEMFNPDLYEGLFDQEESNEEVMMLGSTKEVTFTPGILKKSL >DRNTG_30828.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:503425:503850:1 gene:DRNTG_30828 transcript:DRNTG_30828.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPSRELRTLASISPNLSPIPQADHLSASPSNGEHQVDV >DRNTG_18430.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:20094193:20094992:1 gene:DRNTG_18430 transcript:DRNTG_18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINLNPSRNARLIACPSSTAGESSAFRQLYEYFEQEANDEEKEEEEEEDDDEDDKE >DRNTG_00175.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:31298:44198:-1 gene:DRNTG_00175 transcript:DRNTG_00175.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGLGLSSRSSSLSENYFRSESLSEEKDLESVPETGCLSIIVLGASGDLAKKKTFPALFNLFRQGFLNPDEVHIFGYARTKMSDDALRERIRGYLTPNKGTSSEQSETLSKFLQLVKYVSGSYDSEEGFRLLDKEISEHELAKNSQPGTSRRLFYLALPPSVYPSVCKMIRNYCMNQSHLSGWTRIVVEKPFGKDLSSAEELSSQLGELFDEEQLYRIDHYLGKELVQNLLVLRFANRFFLPLWNRDNIDNIQVVFREDFGTEGRGGYFDEYGIIRDIIQNHLLQVLCLVAMEKPVSLKPEHIRDEKVKFLQSVLPIALEDVVLGQYEGYRDDPTVPDDSNTPTFATVILRIHNERWEGVPFILKAGKALNSRKAEIRVQFKDVPGDIYRCKKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMSTIQSELDLSYRQRYQDVTIPEAYERLILDTIRGDQQHFVRRDELKAAWEIFTPLLHKIDAGEPKSIPYKPGSRGPAEADELLAKAGYVQTHGYVWIPPSL >DRNTG_00789.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:9937385:9938382:1 gene:DRNTG_00789 transcript:DRNTG_00789.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQQVCRSSMPPQQAPSFSKLKENLHMRGGK >DRNTG_07151.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:21025532:21025705:1 gene:DRNTG_07151 transcript:DRNTG_07151.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGEASKDATQEVASLVFNLLYTSPRSRSRPKSRSPHRILRGNALKTLLLAPIWCGG >DRNTG_04126.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30160472:30161406:1 gene:DRNTG_04126 transcript:DRNTG_04126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLMFILTTSLLVLCITFTVAGGRPPVRKDLGIDLGGGGGGVGLGLGLGLGLGGNGGTSTSGSTCNSCSSNLGSGSGSSSGSGSGSGLGNAKGFGSGSGSGDGNGVGLGCTPPSASSCGGACSSNSGGGSGRGSGHGQGFGSGSGHGEGYGSGSGFGSGSGHGEGYGSGSGFGSGSGHGEGYGSGSGFGSGSGHDEGFGSGSGFGSGSGHGEGFGSGSGFGFGSGHGEGYGSGSGFGSGSGHGKGYGSGSGFGSGSGSGSGYGEGFGSGSNGGHD >DRNTG_09766.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7935011:7936201:-1 gene:DRNTG_09766 transcript:DRNTG_09766.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLHKSRALQMNDQVSADVVTGHAMAWVALAKTVKGEKRHGKKRRSGEAPVESTCGGCRGDAICLGLRDLR >DRNTG_28583.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23022293:23024065:-1 gene:DRNTG_28583 transcript:DRNTG_28583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVALEAGSLLRGVILLVCVPLVYIVTLFISRSLGIQMLVYISFAGLKIKDIDMVAAAVLPKFYTEDVHPEGWKMFNSFGKRCIVTANPRIMVEPFVKSFLGADKVLGTELETTKSGRATGFVSKPGVLLGELMKETLQQEFGDNMPDLGINGDGETDCGFMSMCKEVCLVPNNDDGRWQRVPIKQLQRPLIFHDGRWVRRPTAMVALLTFMWMPIGFILALIRIYCNIPFPEHIIRYNYKLMGINLVVKGSPPPPPGKGRPGFLFVCNHRTMCDPFITAVALGRKVSCVTYSISKFTEIISPIKAVALSREREKDAEIIKKLLEEGDLVICPEGTTCREPYLLRFSALFAELTDQIVPVAIITKQSMFQGTTVRGWKALDPYMVFMNPIPTFEITFLKPLHKEHTCAGGKSPIQVANYIQRLLAATLCYECTNLTRKDKYLMLAGTDGRVSPKK >DRNTG_30899.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001640.1:37800:38151:-1 gene:DRNTG_30899 transcript:DRNTG_30899.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATASKHHPAPARSMKRDPSAELLESLDASHNPALAPDPGAVDLMDCGDGDEDEGLRTAVFLSREEVLRRRSRRLKRLAKYYKDQYWALLEEVKVRHRHYYWDFGKSPFVGE >DRNTG_20168.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4813289:4816756:-1 gene:DRNTG_20168 transcript:DRNTG_20168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAEIRSINHDKPKGSRSRSKRAGLEFSISKVEQYLKMGRYAQHIGASASVYLTAVLEYIVVELFTPFPARAKDGYSNSRSSLHGRLSWPRYLLISNACATHYTYKESVVDTIIEEVSGAPEATINSSLPTL >DRNTG_31612.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:703244:708451:1 gene:DRNTG_31612 transcript:DRNTG_31612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYGVLRELSGLQKQRSLYQPELPPCLQGTTVRVEFGDSTTPADPAGAHVISQAFPHTYGQPLAHFLRATANVPDAQIITEHPPIRVGVVFSGRQSPGGHNVIWGLHAAIKCHNPNSSLLGFLGGTDGLFAQKTLEITDEVLATYKNQGGYDLLGRTKDQIRTTEQVNAALATCQNLKLDGLVIIGGVTSNTDAAQLAETFADSKCSTKVVGVPVTLNGDLRNQFVETNVGFDTICKVNSQLISNVCTDALSAEKYYYFIRLMGRKASHVALECTLQSHPNLVILGEEVAASKLTLFDITKQICDAVQARAEQDKNHGVILIPEGLVETIPELYALLQEIHGLHGEGVSSDNISSRLSPWASALFEFLPPFIRKQLLLHPESDDSAQLSQIETEKLLAQLVETEINKRMKEGTYKGKKFNAICHFFGYQARGSLPSKFDCDYAYVLGHICYHILAAGLNGYMATVTNLKNPVNKWRCGAAPITSMMTVKRWSRGPSATSIGKPAVHPASIDLKGKPYELLRHNATKFLLDDIYRNPGPLQFEGPGADAKTFTLTADDQDYMGRIKLLQEYLEKVRSIVKPGCSQEILKAALSAMSSVTDVLTVMSSSNSFTGHLTL >DRNTG_01871.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21152288:21157244:1 gene:DRNTG_01871 transcript:DRNTG_01871.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSSSTEEWKEIGVDAEDGDMRLLQALLQQVQMKLDQREGEAAMSHVAFHSATLVVCSVPYVLATSAAAVVAVDDVGAGVDVDAGAGAGAGASFQDGPYGNHKVGYSYNVAAGAASADLPQLPTDLERQLYKAHVEKGTGTLCDAVPEKVQETDHATSHCSQGCYYRQWLMWMKNHNPALVEDMLQRKQRQVPVVLVRTFYLQACVSLVHADSSP >DRNTG_01871.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21152288:21154714:1 gene:DRNTG_01871 transcript:DRNTG_01871.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSSSTEEWKEIGVDAEDGDMRLLQALLQQVQMKLDQREGEAAMSHVAFHSATLVVCSVPYVLATSAAAVVAVDDVGAGVDVDAGAGAGAGASFQDGPYGNHKVGYSYNVAAGAASADLPQLPTDLERQLYKAHVEKGTGTLCDAVPEKVQETDHATSHCSQGCYYRQWLMWMKNHNPALVEDMLQRKQRQVPVVLVRTFYLQACVSLVHADSSP >DRNTG_01871.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21152288:21158781:1 gene:DRNTG_01871 transcript:DRNTG_01871.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSSSTEEWKEIGVDAEDGDMRLLQALLQQVQMKLDQREGEAAMSHVAFHSATLVVCSVPYVLATSAAAVVAVDDVGAGVDVDAGAGAGAGASFQDGPYGNHKVGYSYNVAAGAASADLPQLPTDLERQLYKAHVEKGTGTLCDAVPEKVQETDHATSHCSQGCYYRQWLMWMKNHNPALVEDMLQRKQRQVPVVLVRTFYLQACVSLVHADSSP >DRNTG_01871.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21152288:21158781:1 gene:DRNTG_01871 transcript:DRNTG_01871.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSSSTEEWKEIGVDAEDGDMRLLQALLQQVQMKLDQREGEAAMSHVAFHSATLVVCSVPYVLATSAAAVVAVDDVGAGVDVDAGAGAGAGASFQDGPYGNHKVGYSYNVAAGAASADLPQLPTDLERQLYKAHVEKGTGTLCDAVPEKVQETDHATSHCSQGCYYRQWLMWMKNHNPALVEDMLQRKQRQVPVVLVRTFYLQACVSLVHADSSP >DRNTG_01871.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:21152288:21156486:1 gene:DRNTG_01871 transcript:DRNTG_01871.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSSSTEEWKEIGVDAEDGDMRLLQALLQQVQMKLDQREGEAAMSHVAFHSATLVVCSVPYVLATSAAAVVAVDDVGAGVDVDAGAGAGAGASFQDGPYGNHKVGYSYNVAAGAASADLPQLPTDLERQLYKAHVEKGTGTLCDAVPEKVQETDHATSHCSQGCYYRQWLMWMKNHNPALVEDMLQRKQRQVPVVLVRTFYLQACVSLVHADSSP >DRNTG_01840.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:18673676:18682773:-1 gene:DRNTG_01840 transcript:DRNTG_01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFKKGILVGIEYKWEIVRIMPSNDNEDTYLRYHDHNELVTSSLLLELLGSVHLLVGPTSKCDYVRQKTLAKAVKLIVWDMKDSLVIPLHYSYLYVIEFSEFDNYHGSHSVGIQERKLIQGLFDSSCFRNLDENSFIQTMMNEVKYSGIFPLELFNHNRFSLFTEAIVNKVMAMESTKDRLDRLEVGMERVEQVIGRVDNLLSSLAQRIEDLVNTVARHYKKYCSSTVYSQPRNQRNRESTRAPDFSIRFSIFSPTYERVSARVLRAICQCFGEVLRLRHRDSIRKKVGRGASIEVFPIPDEGILGRRVEDFPQDH >DRNTG_05165.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:14822063:14822785:-1 gene:DRNTG_05165 transcript:DRNTG_05165.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILEVLASFEFDCSYSSFDSIDAIQFKLFGHHHSMSLMQFSVILRLYNEVFTNTEEYDYITTNYPGILTLQLIIGMGLLDAIRGTKKTIVPVPLYLETMRMMGMIRRYRDMLYVLNMPPPKSVEAEGDTAEGSKLVLEQHTEQP >DRNTG_34364.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19401490:19402034:1 gene:DRNTG_34364 transcript:DRNTG_34364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPVRSLENTQSILNATTRASGNYPCPCRLSIQPSKFSEYNPRPCGNYPRAWVITGPIHRGTCTTLCMLGMEESSSA >DRNTG_16874.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:29848755:29850446:1 gene:DRNTG_16874 transcript:DRNTG_16874.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLKCKFPLSVTAILITSMALASVIFTENLRALTGTSIFKLKACPKENAASALYKDTSFWSTDDIIDEDRIEFDPNECRIMDGEWVFNSSVEPLYTDETCPYLDRDVACQRNGRIDRDYLYWQWQLDDCILPRFDPEKVLEKLRGKRLMFVGDSVQKAQWLSFVCMVQSRIPEDQRSMHRTHTFSVFQAKGYNASIEFYWAPHLVETNSDDPIIYDTKKRIVHVDSVSKHAKNWVGVDILVFNSYVWWMNGHKIKSLWGSFANGEEGYEELETKVSYRISLKTWANWVDSTVNPKVTRVFFNTMSPTHTRSKDWNNTKGIRCYNETMPVMKKGHWGSGSNRTMMKVVSDIVGRMKFPLTVINITQLSEYRIDGHVSVHTGGKIPLEEKKANLRQFVDCIHWCLPGVPDAWNQIFAAYL >DRNTG_08803.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:28133013:28133228:1 gene:DRNTG_08803 transcript:DRNTG_08803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSKQRIRGGEEFPLIHSQVRKIKEEEEEKVEDHSPPARLVETMPVFRELSRQLSRSPLGRAGVAISVVE >DRNTG_02773.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1257292:1259773:-1 gene:DRNTG_02773 transcript:DRNTG_02773.2 gene_biotype:protein_coding transcript_biotype:protein_coding FQPCRSDGAVERHQRLQTHNSRINAPGDERSSDPPQRDSFGKRSLRAAGDRPNGGGGGGPHERRHFFLSIF >DRNTG_02773.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1257292:1258800:-1 gene:DRNTG_02773 transcript:DRNTG_02773.7 gene_biotype:protein_coding transcript_biotype:protein_coding FQPCRSDGAVERHQRLQTHNSRINAPGDERSSDPPQRDSFGKRSLRAAGDRPNGGGGGGPHERRHFFLSIF >DRNTG_02773.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1257292:1259875:-1 gene:DRNTG_02773 transcript:DRNTG_02773.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKGNRYAVITTLNELKINCQLAQQKLSRMNSKNLFH >DRNTG_02773.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1257292:1258528:-1 gene:DRNTG_02773 transcript:DRNTG_02773.9 gene_biotype:protein_coding transcript_biotype:protein_coding FQPCRSDGAVERHQRLQTHNSRINAPGDERSSDPPQRDSFGKRSLRAAGDRPNGGGGGGPHERRHFFLSIF >DRNTG_02773.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1257292:1258904:-1 gene:DRNTG_02773 transcript:DRNTG_02773.5 gene_biotype:protein_coding transcript_biotype:protein_coding FQPCRSDGAVERHQRLQTHNSRINAPGDERSSDPPQRDSFGKRSLRAAGDRPNGGGGGGPHERRHFFLSIF >DRNTG_02773.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1257149:1258528:-1 gene:DRNTG_02773 transcript:DRNTG_02773.8 gene_biotype:protein_coding transcript_biotype:protein_coding KYKKSDLFTRIVAALLTMSQNLACNDILPLFVVRCACVNLERANSNRRSQQR >DRNTG_02773.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1257292:1258800:-1 gene:DRNTG_02773 transcript:DRNTG_02773.6 gene_biotype:protein_coding transcript_biotype:protein_coding FQPCRSDGAVERHQRLQTHNSRINAPGDERSSDPPQRDSFGKRSLRAAGDRPNGGGGGGPHERRHFFLSIF >DRNTG_02773.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1257149:1258904:-1 gene:DRNTG_02773 transcript:DRNTG_02773.4 gene_biotype:protein_coding transcript_biotype:protein_coding FQPCRSDGAVERHQRLQTHNSRINAPGDERSSDPPQRDSFGKRSLRAAGDRPNGGGGGGPHERRHFFLSIFEKKNPTFTS >DRNTG_02773.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1257292:1259773:-1 gene:DRNTG_02773 transcript:DRNTG_02773.3 gene_biotype:protein_coding transcript_biotype:protein_coding LKGNRYAVITTLNELKINCQLAQQKLSRMNSKNLFH >DRNTG_20776.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21872314:21880312:-1 gene:DRNTG_20776 transcript:DRNTG_20776.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSCSHERYYLMTRILAGLHDSFVAYHSYKICENARCLVSKKIEKQLIEDFKSLLVVVIADAFVVCLGLVLLPLPEGYQSESLAKLGELLERYTQTTIAAISLKQPVIFTEQGIKDFRWKGAGLEYVNNEGKMPLILACLRADLLHVAKTFTQLGGSVNAYRLGSHVGTPLHYASKRGLDQTVALFLSHGASPLVMNDDCETALDLARAKNHDRELYLPFLWLAAGLLWANIASYNGWGVPDATLSVQECSNTSSRGT >DRNTG_26865.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2087568:2089202:1 gene:DRNTG_26865 transcript:DRNTG_26865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCLDCKNGALCSSCLVYHHNHRFIQIRRSSYHDVIRVSEIQMFLDITGVQTYIINGARVVFLNGRPQQRLGKGVTNTCTHCRRSLLDAFTFCSLGCKLAKTVKIQRKLRTDDESREELNSSKDSKCSYGEGVLLECISKNRKAKRRKGIPKRAPMGVWYY >DRNTG_05702.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:1765158:1767417:1 gene:DRNTG_05702 transcript:DRNTG_05702.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit O, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74880) UniProtKB/Swiss-Prot;Acc:Q9S829] MASFVHLPLHSTIRFLSFSPSRAQALNPPSRTPSIKASTSAEPEKESKLVQTAGDASPPSSQSSPPPRSPPKKTVYSMKKGQIVRVDKEKYLNSINYLSVGHPPYYKGLDYIYEDRGEILDLKIFETGEYALVAWVGIPTAPAWLPTDMLIKSDKLDYERL >DRNTG_17233.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:2616248:2620952:1 gene:DRNTG_17233 transcript:DRNTG_17233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPPPSDLLLFGDDALSSDEDIVSFLGGWKVGDPIPVNVIGEVDPLSIHPCHLPEGILYLCSSGDPQSCKANSEIKETSDGYWKSNGEDFRILANNLKVGRKTTWEFYSGEVPFGKKTGWMMHEYQAEQKPCEGIIATKESSFMCRIFRHSDQCLNCEGQHNSEHANCLDDADANSEAVEAMWRSFLQGDKSNHSDQDDENQTQVFLGEEQRELAITGGNRQDILVVDSSVDVDATDEFLEGDYIELDDLCSLASSSSSSDNSSIMLINSEEYLDVDAFNLRDLESESVHDTSEEHIESGFCMSTLSRPNQVLNNPPPTGLNSEEYFDAHALLRDLVDTREEHIDNRFSISTLSRPNQVMSIPPPTGLLNNSIDNLMVEERTSPLGNGLVSASTLSLLSTEPQCNGEASTSGTSHDNSGNQTLNGKVNGSPIRPDSSSVGSKPGKIYFFFGLF >DRNTG_35411.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1541022:1543146:-1 gene:DRNTG_35411 transcript:DRNTG_35411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELLALLLAFLLITIHLLTKTRNPSNRLPPGSLGIPIIGQSLGLLWAMRTNTGEQWINNKIKKFGPISKLTLFGNPTVFLTGPVANKFIFSSTDSLALQQPQSMCRIIGRRNILELIGKDHKRVRGAISYFLKPEALRKYVFKIDQEIRHHLRTNWSDHNQVKILPSMKSLTFNVICSTIFGIERGAREILVQDFAKMMTGMWSVPVNLPFTNFNQSLKASSRIRKELTKVIEEKRRALKLGERSSDEDLITYLLSLGSDNGETLTEEEILDNAVLLMVAGHDTTAIVLTFLVRHLANDPITYAKILNEHEEIAKIKAPEEALTWDDLLKMKFTWRVAQEIMRTIPPVFGGFRRVIKDVEFGGYLIPKGWQVFWNASVTHMDESIFKEPEKFDPSRFEKQSEIPPYCFIAFGAGPRICPGYEFAKAETLVAVHYMVTRFKWSLCCKDNTFVRDPNPSPKQGLPVSIEVKASL >DRNTG_18743.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1233829:1236004:1 gene:DRNTG_18743 transcript:DRNTG_18743.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSLQSVKVQKLGPAECEKDAEKLKFIDEMTMKADEVQANVLKEILRRNAETEYLKRYDLGDATDRKTFKSMLPVVTYEDLQPEIQRIANGDRSAILSAHPISEFLTSSGTSAGERKLMPTIKEELDRRQLLYSLLTPVMNLYVKGLDKGKGLYFLFVKSETKTPGGLTARPVLTSYYKSDHFKTRPFDPYNVYTSPTAAILCADAYQSMYAQMLCGLYQRQEVLRVGAVFASGLLRAIRFLQIHWSQLSHDIATGTLNKNITDQSVRASLTYILRPDPELAQFIAAECSGGEWAKIIPRIWPNTKYLDVIVTGAMAQYIPTLEYYSGGLPMACTMYASSECYFGLNLRPMCPPSEVSYTIMPNMAYFEFLPHNPDSTESTHPSQLVDLTGVQLGKEYELVITTYAGLYRYRVGDILRVTGFHNSAPQFRFVRRKNVLLSIESDKTDEAELQHAVSQASKLLLPYNTSIIEYTSYADTKTIPGHYVIYWELLKKDAAAGPSDRVLDKCCLEMEEALNSVYRQSRVADGSIGPLEIRVVRSGTFEELMDYAISRGASINQYKVPRCVSFPPILELLDSRVESTHFSPSCPKWAPHKVDDKVGPQ >DRNTG_04847.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18710106:18764902:-1 gene:DRNTG_04847 transcript:DRNTG_04847.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFSGLLATSRAARPPPSPRSEHFTGPFNR >DRNTG_04847.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18709767:18764902:-1 gene:DRNTG_04847 transcript:DRNTG_04847.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFSGLLATSRAARPPPSPRSEHFTGPFNR >DRNTG_04847.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18751769:18764902:-1 gene:DRNTG_04847 transcript:DRNTG_04847.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFSGLLATSRAARPPPSPRSEHFTGPFNR >DRNTG_04847.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18763843:18764902:-1 gene:DRNTG_04847 transcript:DRNTG_04847.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFSGLLATSRAARPPPSPRSEHFTGPFNR >DRNTG_04847.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18709767:18764902:-1 gene:DRNTG_04847 transcript:DRNTG_04847.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFSGLLATSRAARPPPSPRSEHFTGPFNR >DRNTG_04847.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18709969:18764902:-1 gene:DRNTG_04847 transcript:DRNTG_04847.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFSGLLATSRAARPPPSPRSEHFTGPFNR >DRNTG_34580.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6839207:6841293:1 gene:DRNTG_34580 transcript:DRNTG_34580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEVGNGSPAYPTHFFYYQELLEATDFFNESKELGDGGFGTVYKGKLKDGRAVAIKRLYDNSNKRVEQYVNEVKILSGLRHQNLVSLYGCTSPQSRELLLVYELVPNGTVADHLHGPRTKEGNLSWPVRISIAIETADALAYLHAVDPPIIHRDVKTTNILLDKEFHVKVGDFGLSRLFPIDASHVSTAPQGTPGYVDPEYYHCYQLTDKSDVYSFGVVLVELISSKLPVDITRERNEINLASMAIHKIQNCELEQLVDPSLGFMSDVRVKTMITLVAELAFRCLQNDKDMRPSIKEVLEVLRGIENDNDHRFLPSSDPSSPDSLFGKCTSRSTTPNTSC >DRNTG_34580.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6837756:6841293:1 gene:DRNTG_34580 transcript:DRNTG_34580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNALLLLLLALALSPISPSFSQTAYQRFSDCAPVPFSCGNLHLNISYPFLIEGRSSYCGLSTFFLTCYGTDPKLIISINYQGFQVLHVDYVNSVLTVVDLYLAEQSCSQPFRNTTMDFVHFEYTELDRNLTIYTNCSVLPKSLVPLYEIACAVGVFGRSYYRLENSPGIEAVGGCNATVLVPMNQTVAEMLVSGSDSMNFSKAVMAGFTVRWLPGIEWCGDCVSSGGRCGFNSSSPIEHTCFCANASTVDTCYSLPQGKDNSAKKVIIGVCAGIGGFLLASALCFLCYRRKGRRRHFSYSDSITVPPYSKSISRKMAEVEVGNGSPAYPTHFFYYQELLEATDFFNESKELGDGGFGTVYKGKLKDGRAVAIKRLYDNSNKRVEQYVNEVKILSGLRHQNLVSLYGCTSPQSRELLLVYELVPNGTVADHLHGPRTKEGNLSWPVRISIAIETADALAYLHAVDPPIIHRDVKTTNILLDKEFHVKVGDFGLSRLFPIDASHVSTAPQGTPGYVDPEYYHCYQLTDKSDVYSFGVVLVELISSKLPVDITRERNEINLASMAIHKIQNCELEQLVDPSLGFMSDVRVKTMITLVAELAFRCLQNDKDMRPSIKEVLEVLRGIENDNDHRFLPSSDPSSPDSLFGKCTSRSTTPNTSC >DRNTG_20282.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5178326:5187702:1 gene:DRNTG_20282 transcript:DRNTG_20282.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR2 [Source:Projected from Arabidopsis thaliana (AT3G21820) UniProtKB/Swiss-Prot;Acc:Q5PP37] MDSSSSPCPLDRELSSQIAALLASPSSQSIQDFHDELIRLRECHGLKLKYDKEVGKGVYANKEFKEGELILKDQMLVAAQHSSNKVDCLVCSKCFRFIGSIELQIGRKLYLQSCGLSSKRDCTYKAFSCASEEGCAEFSEDEENDIMENYNDLGSSSSNLKTHTLSEEMLKSLINGDLSLPYTKNFGLPPVIKCPGGCEEEHYCSKLCADSDWESVHSLLCTGQSSASSPAAMVKFLEHANGTNDIFILAAKMISFTILKYRKLKQLHFEDCKLHNKLGETDDSRFLMLLEAWKPISMGFKKRWWDCIALPDDVDPCNEASFRAQIRDLAFTSLQLLKDAIFDNECAPLFSLEVYGHIIGMFELNNLDLVVASPVEDYFIYIDEFPSPEKEEAEIHTRPFLDSLGDEYSVCCQGTAFFPLQSCMNHSCAPSAKAFKRDEDRDGQAVILACRPISLGEEVTISYIDEDLPYEERQAQLADYGFKCRCPKCSEEEP >DRNTG_20282.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5178326:5187702:1 gene:DRNTG_20282 transcript:DRNTG_20282.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR2 [Source:Projected from Arabidopsis thaliana (AT3G21820) UniProtKB/Swiss-Prot;Acc:Q5PP37] MNHSCAPSAKAFKRDEDRDGQAVILACRPISLGEEVTISYIDEDLPYEERQAQLADYGFKCRCPKCSEEEP >DRNTG_20282.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5178326:5187702:1 gene:DRNTG_20282 transcript:DRNTG_20282.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR2 [Source:Projected from Arabidopsis thaliana (AT3G21820) UniProtKB/Swiss-Prot;Acc:Q5PP37] MNHSCAPSAKAFKRDEDRDGQAVILACRPISLGEEVTISYIDEDLPYEERQAQLADYGFKCRCPKCSEEEP >DRNTG_20282.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5178326:5187702:1 gene:DRNTG_20282 transcript:DRNTG_20282.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR2 [Source:Projected from Arabidopsis thaliana (AT3G21820) UniProtKB/Swiss-Prot;Acc:Q5PP37] MVKFLEHANGTNDIFILAAKMISFTILKYRKLKQLHFEDCKLHNKLGETDDSRFLMLLEAWKPISMGFKKRWWDCIALPDDVDPCNEASFRAQIRDLAFTSLQLLKDAIFDNECAPLFSLEVYGHIIGMFELNNLDLVVASPVEDYFIYIDEFPSPEKEEAEIHTRPFLDSLGDEYSVCCQGTAFFPLQSCMNHSCAPSAKAFKRDEDRDGQAVILACRPISLGEEVTISYIDEDLPYEERQAQLADYGFKCRCPKCSEEEP >DRNTG_21054.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001198.1:22275:27857:-1 gene:DRNTG_21054 transcript:DRNTG_21054.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18b [Source:Projected from Arabidopsis thaliana (AT4G30510) UniProtKB/Swiss-Prot;Acc:Q8H1Q8] MLLVSMKISRMSVPGLCAFAPGSEGSYLALPASTERGSVLIYNTIELSSLCQIDAHRSAITAIAFCSSGMFLATASEQGTIIRVHLVSQATKSYSFRRGTYPSTIYSLSFQPSVDIPDILVATSSSGSLHVFFLGSVINERSRKPSTLLGSMIPDSFNDAFESGQHHVIHNVVPVGVKSHAVIYSLDNVENTSTTPAIRACIYIINHNGYFHECTFNVRKSNDTSWSIEREHNLLDAIADNP >DRNTG_21054.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001198.1:22275:27857:-1 gene:DRNTG_21054 transcript:DRNTG_21054.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18b [Source:Projected from Arabidopsis thaliana (AT4G30510) UniProtKB/Swiss-Prot;Acc:Q8H1Q8] MATSHPQASPILCASFNQDNSYFSIGTRDGFKVFHASTGRLCYEKSLGAFSIVEMLFSSSLLAIVGAGEQPSLSPRRLCLFNKVTGAPFRELNFLTSILAIRVNRKRLIVLLQDKTYIYDLNSLAILDTIDTVPNAKGLCAFAPGSEGSYLALPASTERGSVLIYNTIELSSLCQIDAHRSAITAIAFCSSGMFLATASEQGTIIRVHLVSQATKSYSFRRGTYPSTIYSLSFQPSVDIPDILVATSSSGSLHVFFLGSVINERSRKPSTLLGSMIPDSFNDAFESGQHHVIHNVVPVGVKSHAVIYSLDNVENTSTTPAIRACIYIINHNGYFHECTFNVRKSNDTSWSIEREHNLLDAIADNP >DRNTG_00109.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000029.1:1:4151:1 gene:DRNTG_00109 transcript:DRNTG_00109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQCSATIFGLNSCPIPYFHRGNANRHTFTSWFTYFFNGVHVGETLVLCA >DRNTG_29513.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2222472:2223472:-1 gene:DRNTG_29513 transcript:DRNTG_29513.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCEKCKIKAMKIVAATEGSIDSCSCFCSLVHSFCLQANLSGKKILGVESVSVEGKDNDQLVVIGDRVDSTTLTSKLRKKVGHAELVSVNEVKKPAEKPVEKAKPEKVEVVPEKKPKVDNSEKFYTGCPPAQVFVYERVHHCECESNADPCSILESMMCNSQSLDC >DRNTG_29513.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2222696:2223472:-1 gene:DRNTG_29513 transcript:DRNTG_29513.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCEKCKIKAMKIVAATEGSIDSCSCFCSLVHSFCLQANLSGKKILGVESVSVEGKDNDQLVVIGDRVDSTTLTSKLRKKVGHAELVSVNEVKKPAEKPVEKAKPEKVEVVPEKKPKVDNSEKFYTGCPPAQVFVYERVHHCECESNADPCSIL >DRNTG_06241.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000285.1:1:9966:1 gene:DRNTG_06241 transcript:DRNTG_06241.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGQGQYEPGVSKATCLSRPVYRYLHAIMSRSVNGRGDSTGVLSRQELLYLYSMVECVSIHLGHILADYIRHQGQYTRLAAIFLGPYITRLVLGMGLLDSIGGAEKTSVPTPLGLEMMRLMGMVRRVRTGVFSLVLPAPEIAEDEGDDVGASKPAPKPQPASMDTEAPPAAEEPPPVRMFSPSQANNRFERLENAIGVVRAEVGEIRATQATQYTEFMARFDVLQQILER >DRNTG_09836.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13622473:13623679:1 gene:DRNTG_09836 transcript:DRNTG_09836.17 gene_biotype:protein_coding transcript_biotype:protein_coding MSKERRTYWCETKKYFDKSALQVRELRQTFSQVQDREERLQRKLDQVQDREERLQQTLGQVQDNNKELQQSLLEMKEERDQYRAEMMRQMKDMMMSFERRILQQSQFTTQDSQPVTDDHDVDL >DRNTG_09836.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13621312:13623717:1 gene:DRNTG_09836 transcript:DRNTG_09836.10 gene_biotype:protein_coding transcript_biotype:protein_coding MKEERDQYRAEMMRQMKDMMMSFERRILQQSQFTTQDSQPVTDDHDVDL >DRNTG_09836.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13620864:13623717:1 gene:DRNTG_09836 transcript:DRNTG_09836.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKEERDQYRAEMMRQMKDMMMSFERRILQQSQFTTQDSQPVTDDHDVDL >DRNTG_09836.15 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13622473:13623717:1 gene:DRNTG_09836 transcript:DRNTG_09836.15 gene_biotype:protein_coding transcript_biotype:protein_coding MSKERRTYWCETKKYFDKSALQVRELRQTFSQVQDREERLQRKLDQVQDREERLQQTLGQVQDNNKELQQSLLEMKEERDQYRAEMMRQMKDMMMSFERRILQQSQFTTQDSQPVTDDHDVDL >DRNTG_09836.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13620811:13623783:1 gene:DRNTG_09836 transcript:DRNTG_09836.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKERRTYWCETKKYFDKSALQVRELRQTFSQVQDREERLQRKLDQVQDREERLQQTLGQVQDNNKELQQSLLEMKEERDQYRAEMMRQMKDMMMSFERRILQQSQFTTQDSQPVTDDHDVDL >DRNTG_09836.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13621312:13623679:1 gene:DRNTG_09836 transcript:DRNTG_09836.11 gene_biotype:protein_coding transcript_biotype:protein_coding MKEERDQYRAEMMRQMKDMMMSFERRILQQSQFTTQDSQPVTDDHDVDL >DRNTG_09836.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13620864:13623679:1 gene:DRNTG_09836 transcript:DRNTG_09836.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKEERDQYRAEMMRQMKDMMMSFERRILQQSQFTTQDSQPVTDDHDVDL >DRNTG_09836.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13620864:13623717:1 gene:DRNTG_09836 transcript:DRNTG_09836.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSKERRTYWCETKKYFDKSALQVRELRQTFSQVQDREERLQRKLDQVQDREERLQQTLGQVQDNNKELQQSLLEMKEERDQYRAEMMRQMKDMMMSFERRILQQSQFTTQDSQPVTDDHDVDL >DRNTG_09836.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13622473:13623783:1 gene:DRNTG_09836 transcript:DRNTG_09836.12 gene_biotype:protein_coding transcript_biotype:protein_coding MKEERDQYRAEMMRQMKDMMMSFERRILQQSQFTTQDSQPVTDDHDVDL >DRNTG_09836.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13620864:13623679:1 gene:DRNTG_09836 transcript:DRNTG_09836.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSKERRTYWCETKKYFDKSALQVRELRQTFSQVQDREERLQRKLDQVQDREERLQQTLGQVQDNNKELQQSLLEMKEERDQYRAEMMRQMKDMMMSFERRILQQSQFTTQDSQPVTDDHDVDL >DRNTG_09836.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13622473:13623717:1 gene:DRNTG_09836 transcript:DRNTG_09836.14 gene_biotype:protein_coding transcript_biotype:protein_coding MKEERDQYRAEMMRQMKDMMMSFERRILQQSQFTTQDSQPVTDDHDVDL >DRNTG_09836.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13620811:13623717:1 gene:DRNTG_09836 transcript:DRNTG_09836.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKEERDQYRAEMMRQMKDMMMSFERRILQQSQFTTQDSQPVTDDHDVDL >DRNTG_09836.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13620864:13623783:1 gene:DRNTG_09836 transcript:DRNTG_09836.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKEERDQYRAEMMRQMKDMMMSFERRILQQSQFTTQDSQPVTDDHDVDL >DRNTG_09836.16.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13622473:13623679:1 gene:DRNTG_09836 transcript:DRNTG_09836.16 gene_biotype:protein_coding transcript_biotype:protein_coding MKEERDQYRAEMMRQMKDMMMSFERRILQQSQFTTQDSQPVTDDHDVDL >DRNTG_09836.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13622473:13623783:1 gene:DRNTG_09836 transcript:DRNTG_09836.13 gene_biotype:protein_coding transcript_biotype:protein_coding MSKERRTYWCETKKYFDKSALQVRELRQTFSQVQDREERLQRKLDQVQDREERLQQTLGQVQDNNKELQQSLLEMKEERDQYRAEMMRQMKDMMMSFERRILQQSQFTTQDSQPVTDDHDVDL >DRNTG_09836.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13620864:13623783:1 gene:DRNTG_09836 transcript:DRNTG_09836.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKEERDQYRAEMMRQMKDMMMSFERRILQQSQFTTQDSQPVTDDHDVDL >DRNTG_09836.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:13620811:13623783:1 gene:DRNTG_09836 transcript:DRNTG_09836.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEERDQYRAEMMRQMKDMMMSFERRILQQSQFTTQDSQPVTDDHDVDL >DRNTG_17200.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:357387:357907:1 gene:DRNTG_17200 transcript:DRNTG_17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding YSSHPESTAALNQLGKDEAGDKAWHVTTF >DRNTG_35391.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1658557:1665510:-1 gene:DRNTG_35391 transcript:DRNTG_35391.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLRTRLDSRIMECQRIYKRKWLSFLFSDNLQSAELKYGSVIIAGITSCTNTSNPGVMLGAGLVAKKAF >DRNTG_35391.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1658557:1665510:-1 gene:DRNTG_35391 transcript:DRNTG_35391.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLRTRLDSRIMECQRIYKRKWLSFLFSDNLQSAELKYGSVIIAGITSCTNTSNPGVMLGAGLVAKKAF >DRNTG_35391.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1658557:1665510:-1 gene:DRNTG_35391 transcript:DRNTG_35391.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLRTRLDSRIMECQRIYKRKWLSFLFSDNLQSAELKYGSVIIAGITSCTNTSNPGVMLGAGLVAKKAF >DRNTG_35391.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1658557:1665510:-1 gene:DRNTG_35391 transcript:DRNTG_35391.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLRTRLDSRIMECQRIYKRKWLSFLFSDNLQSAELKYGSVIIAGITSCTNTSNPGVMLGAGLVAKKAF >DRNTG_35391.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1658557:1665510:-1 gene:DRNTG_35391 transcript:DRNTG_35391.10 gene_biotype:protein_coding transcript_biotype:protein_coding MECQRIYKRKWLSFLFSDNLQSAELKYGSVIIAGITSCTNTSNPGVMLGAGLVAKKAF >DRNTG_35391.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1658557:1665510:-1 gene:DRNTG_35391 transcript:DRNTG_35391.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLRTRLDSRIMECQRIYKRKWLSFLFSDNLQSAELKYGSVIIAGITSCTNTSNPGVMLGAGLVAKKAF >DRNTG_35391.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1658557:1661745:-1 gene:DRNTG_35391 transcript:DRNTG_35391.12 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSTYEAIIKGNPMWNQLSVPATTLYPWDPRSTCIHKPPFFNNMTKTPSGFCPHDVKDAYCLLHLGDRITTDHISAAGSIHKDSPAAKYLVSSRAWCKSQGLQFIWQPPWQR >DRNTG_35391.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1658557:1665510:-1 gene:DRNTG_35391 transcript:DRNTG_35391.4 gene_biotype:protein_coding transcript_biotype:protein_coding MECQRIYKRKWLSFLFSDNLQSAELKYGSVIIAGITSCTNTSNPGVMLGAGLVAKKAF >DRNTG_35391.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1658557:1665510:-1 gene:DRNTG_35391 transcript:DRNTG_35391.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLRTRLDSRIMECQRIYKRKWLSFLFSDNLQSAELKYGSVIIAGITSCTNTSNPGVMLGAGLVAKKAF >DRNTG_35391.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1658557:1665510:-1 gene:DRNTG_35391 transcript:DRNTG_35391.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLRTRLDSRIMECQRIYKRKWLSFLFSDNLQSAELKYGSVIIAGITSCTNTSNPGVMLGAGLVAKKAF >DRNTG_35391.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1658557:1665510:-1 gene:DRNTG_35391 transcript:DRNTG_35391.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLRTRLDSRIMECQRIYKRKWLSFLFSDNLQSAELKYGSVIIAGITSCTNTSNPGVMLGAGLVAKKAF >DRNTG_35391.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1658557:1665510:-1 gene:DRNTG_35391 transcript:DRNTG_35391.11 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLRTRLDSRIMECQRIYKRKWLSFLFSDNLQSAELKYGSVIIAGITSCTNTSNPGVMLGAGLVAKKAF >DRNTG_17852.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2838762:2840222:1 gene:DRNTG_17852 transcript:DRNTG_17852.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQKGMKKALIPYFELTNAVRIGDLELFRTVAEKFSSTFGSDRTHNLIVRLRHNVIRTGLRNISISYSRISLADVARKLRLNSDNPVADAESIVAKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQFAFNSRIAFCLNMHNEAVRALRFPPNSHNEKESDEKRRERQQQEQELAQHIAEDDDDEF >DRNTG_17852.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2835212:2840222:1 gene:DRNTG_17852 transcript:DRNTG_17852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDVEMNEAPPPPTPPSQQQQSPPSPSILQHLKEIASLIESGAYLKEARRIVRAVRLTIILRRKLRSPVVAAFICSALPVGSEAHSRLLSYLPEEVENDMDVDTATSATQVPVKHPVPELEIYCYLLVLIFLIDQKKYEEAKACSSASVARLKHMNRRTVDVLASRLYFYYSYSYELTNNLAEIRGDLLALHRMATLRRDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPVAAQGFRIQCNKWAVIVRLLLGEIPERTVFMQKGMKKALIPYFELTNAVRIGDLELFRTVAEKFSSTFGSDRTHNLIVRLRHNVIRTGLRNISISYSRISLADVARKLRLNSDNPVADAESIVAKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQFAFNSRIAFCLNMHNEAVRALRFPPNSHNEKESDEKRRERQQQEQELAQHIAEDDDDEF >DRNTG_09486.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21505618:21516393:-1 gene:DRNTG_09486 transcript:DRNTG_09486.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETFQRPFEGKYPVASPNYGQISKGVPTFNSIFTRPFEVCVKEGDVSSVMCSYNNVNGIPACADPRLMKGTFRDEWNLHGYIVSDCDSIETMVDDLQWMNDEPENAVAQVMRAGTYNWTWIACMTYYKKYLGNTLAKGLIKEKGMDRALMNNYMILMRLGYFDNFSEFANLGKADICSQANINLTLNAANQGIVLLKNNKTQKSGYFFSRKSFLPLDPAQFKGKTIAAVGPHANATKVMIGNYAGVPCRYVSSIDGIANTQMSNTKWGVQMTRSCSRSKRLGQGKYVTPRISASTQVANVARGPVVVVVLSTRPVNILSLQQNPDIDSILWAGYPGQEGGQAIADVIFGQYNPAGRLPMTWYPPEYVWQLPMSSMQFRPIQSLGYPGWTYKFYSGPSIYPFGYGLSYTEFQYTVVNSTKSIEKQLGTIQHCYTLEYTKSGPAPLPYNAAIVDDLQCVNGDLNIVVNVKNTGQIDEDNVVMVYSQAPAGIAGVPIKKVVGFQKVSVKADQTQTVTFSMNSCESLSIVTGSAYVALPAGKHTIIVALMGLLTLSSGPP >DRNTG_08465.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24910767:24911955:-1 gene:DRNTG_08465 transcript:DRNTG_08465.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSHNPQGPSNTSIEIDDTSMVDFSHMPPQLTRFHLLLR >DRNTG_30257.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001548.1:307838:310010:-1 gene:DRNTG_30257 transcript:DRNTG_30257.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S16-2, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G56940) UniProtKB/Swiss-Prot;Acc:Q9LTS6] MVVRIRLSRFGCKNKPFYRVMAADSRSPRDGKHLEVLGYYNPLPGQDGGKRMGLNFDRVKYWLSVGAQPSDPVQRILFRAGLLPPPPMLAMARKGGPRDTRPIDPMTGRYLKPDSPESVDQLEEGEGEVNEETDNPAS >DRNTG_05070.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13982811:13985912:-1 gene:DRNTG_05070 transcript:DRNTG_05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKAQLAPFPCLAPYPLAVLVDFQQSSQWGFWLEEFQNYSQQHPFLGALDHFSRFLAPSTESSFNFRVLFNQCRRVPSGFLSEARLPMASQNAMTSKESIKVGEELPINRRRPSPQTLAASLSMSMLKLRKKSP >DRNTG_19114.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29704216:29706796:-1 gene:DRNTG_19114 transcript:DRNTG_19114.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I chlorophyll a/b-binding protein 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G19150) UniProtKB/Swiss-Prot;Acc:Q8LCQ4] MALSISSFQLIYKPTRTLIKPSTTCAIFASKLTTTSRSLPSHVTNATKDYSVCEPLPPDRPLWFPGSSPPEWLDGSLPGDFGFDPLGLDPELLRWFAQAELMHSRWAMLAVAGILIPECLERLELIENFSWYNAGAQTYFADPVTLFVVQMILMGWVEGRRWADYVKPGCVDIELEYPNVKKPKPDVGYPGGLWFDPFMWGRGSPEPVMQMRTKEIKNGRLAMLAFVGFCFQAVYTGEGPLENLSAHLADPGHSNIFQAFLSH >DRNTG_25276.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31530889:31532565:-1 gene:DRNTG_25276 transcript:DRNTG_25276.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARVDYAPGGVNPPHTHSRATGIAFVLEGTLEVGFITTANKLISKTISAGEVFVFPRGLVHFQRNTGTTPAAALAAFNSQLPGTQSLALTLFTASPAVPEDVLEKAFQIGSNEVDKIKDRLHPK >DRNTG_25276.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31530889:31532565:-1 gene:DRNTG_25276 transcript:DRNTG_25276.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITSLCVSLRVNGFACKPTATVSEADFSYAGLATAGDTNSTVVGSKASAATVEKIPGLNTLGISMARVDYAPGGVNPPHTHSRATGIAFVLEGTLEVGFITTANKLISKTISAGEVFVFPRGLVHFQRNTGTTPAAALAAFNSQLPGTQSLALTLFTASPAVPEDVLEKAFQIGSNEVDKIKDRLHPK >DRNTG_25276.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31530889:31532565:-1 gene:DRNTG_25276 transcript:DRNTG_25276.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFVIALRVNGFACKPTATVSEADFSYAGLATAGDTNSTVVGSKASAATVEKIPGLNTLGISMARVDYAPGGVNPPHTHSRATGIAFVLEGTLEVGFITTANKLISKTISAGEVFVFPRGLVHFQRNTGTTPAAALAAFNSQLPGTQSLALTLFTASPAVPEDVLEKAFQIGSNEVDKIKDRLHPK >DRNTG_25276.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31530889:31532565:-1 gene:DRNTG_25276 transcript:DRNTG_25276.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDASFIQSLFSIFLLFSDTASVAADPNLLQDLCVADLNSTLRVNGFACKPTATVSEADFSYAGLATAGDTNSTVVGSKASAATVEKIPGLNTLGISMARVDYAPGGVNPPHTHSRATGIAFVLEGTLEVGFITTANKLISKTISAGEVFVFPRGLVHFQRNTGTTPAAALAAFNSQLPGTQSLALTLFTASPAVPEDVLEKAFQIGSNEVDKIKDRLHPK >DRNTG_03647.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:3384099:3384641:-1 gene:DRNTG_03647 transcript:DRNTG_03647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIASKQNFKEMVQSALRLEQLVREGKRVRENIAKRRSMEMGQPYKKSRNEGSARGTSTSGPGRPPPSQSSEQQRLPHSNSAPSIRELGHGGSEPSSQGRPNQSKGPQPVTSTLATTKSGAGNNTSQPGPQ >DRNTG_03655.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:17790679:17795966:1 gene:DRNTG_03655 transcript:DRNTG_03655.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g16860 [Source:Projected from Arabidopsis thaliana (AT5G16860) UniProtKB/Swiss-Prot;Acc:Q9LFL5] MLSYLPRAMPRSKEIFFSTVTLTTSSADFRLPFASLLKECKSLSNARKIHQQVLVRGLLPYPIYSLSFTSSSLGTDIVAAYLASGSHGDALFMLERLSPSPIFWWNALIRQNVNQGNINHALFLCRHMQQLGTRPDHYTFPFLLKACGELPSFCRGVAIHAVVCRDGFDYNVFVCNALLAMYARCGAFEEASRVFHEIMNKGIDDIISWNSMVAAHVKSGSPQLALDLFAKMTKSPNDNFGPNRSDIISLVNVLPACASLRTASEARQIHCHSLKNNLFSDLFVGNAIIDVYAKCGMMDDALKVFKDMNIKDVVTWNAMVTGYSQNGYFENALELFKEMQKECIALNVVTWSAVIAGYAQRGLGHEALGVFRQMQHSGSDPNAVTMISLLSACAAVGALSYGKETHAHALRKCLMRWDDNVGEGEDRMVQNSLIDMYSKCKTFNAARFIFDSIPLVERNVVTWTVMIGGYAQHGDANVALKLFSEMVLKTSSVAPNPFTISCVLIACARLAALRFGRQIHAYVIRSWYGDGMLFVSNCLIDMYSKCGDLGAAQGVFDMMPQKNSVSWTSLMTGYGMHGLGSEALRIFEEMQNVGLIPDGITFLVVLYACSHSGMVNEGLRYFHSMSRDYGVDAGAEHYACVVDLLGRAGRLDEAQNMIEGMPMKPTSVVWVALLSACRVHANVELAEYATEKLLASESENDGSYTLLSNIYAIAGRWRDVARIRLLMKKSGIKKRPGYSWIEDKKGHAVFFVGDRSHPLSQQIYTLLAQLIDRIKAIGYVPQTHFALHDVDDEEKSSLLSEHSEKLALAYGILTSFPGSTIRITKNLRICGDCHNAITFISMIVDHDIILRDSSRFHHFSKGSCSCGGYW >DRNTG_03655.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:17790679:17795966:1 gene:DRNTG_03655 transcript:DRNTG_03655.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g16860 [Source:Projected from Arabidopsis thaliana (AT5G16860) UniProtKB/Swiss-Prot;Acc:Q9LFL5] MLSYLPRAMPRSKEIFFSTVTLTTSSADFRLPFASLLKECKSLSNARKIHQQVLVRGLLPYPIYSLSFTSSSLGTDIVAAYLASGSHGDALFMLERLSPSPIFWWNALIRQNVNQGNINHALFLCRHMQQLGTRPDHYTFPFLLKACGELPSFCRGVAIHAVVCRDGFDYNVFVCNALLAMYARCGAFEEASRVFHEIMNKGIDDIISWNSMVAAHVKSGSPQLALDLFAKMTKSPNDNFGPNRSDIISLVNVLPACASLRTASEARQIHCHSLKNNLFSDLFVGNAIIDVYAKCGMMDDALKVFKDMNIKDVVTWNAMVTGYSQNGYFENALELFKEMQKECIALNVVTWSAVIAGYAQRGLGHEALGVFRQMQHSGSDPNAVTMISLLSACAAVGALSYGKETHAHALRKCLMRWDDNVGEGEDRMVQNSLIDMYSKCKTFNAARFIFDSIPLVERNVVTWTVMIGGYAQHGDANVALKLFSEMVLKTSSVAPNPFTISCVLIACARLAALRFGRQIHAYVIRSWYGDGMLFVSNCLIDMYSKCGDLGAAQGVFDMMPQKNSVSWTSLMTGYGMHGLGSEALRIFEEMQNVGLIPDGITFLVVLYACSHSGMVNEGLRYFHSMSRDYGVDAGAEHYACVVDLLGRAGRLDEAQNMIEGMPMKPTSVVWVALLSACRVHANVELAEYATEKLLASESENDGSYTLLSNIYAIAGRWRDVARIRLLMKKSGIKKRPGYSWIEDKKGHAVFFVGDRSHPLSQQIYTLLAQLIDRIKAIGYVPQTHFALHDVDDEEKSSLLSEHSEKLALAYGILTSFPGSTIRITKNLRICGDCHNAITFISMIVDHDIILRDSSRFHHFSKGSCSCGGYW >DRNTG_03655.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:17790679:17795966:1 gene:DRNTG_03655 transcript:DRNTG_03655.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g16860 [Source:Projected from Arabidopsis thaliana (AT5G16860) UniProtKB/Swiss-Prot;Acc:Q9LFL5] MLSYLPRAMPRSKEIFFSTVTLTTSSADFRLPFASLLKECKSLSNARKIHQQVLVRGLLPYPIYSLSFTSSSLGTDIVAAYLASGSHGDALFMLERLSPSPIFWWNALIRQNVNQGNINHALFLCRHMQQLGTRPDHYTFPFLLKACGELPSFCRGVAIHAVVCRDGFDYNVFVCNALLAMYARCGAFEEASRVFHEIMNKGIDDIISWNSMVAAHVKSGSPQLALDLFAKMTKSPNDNFGPNRSDIISLVNVLPACASLRTASEARQIHCHSLKNNLFSDLFVGNAIIDVYAKCGMMDDALKVFKDMNIKDVVTWNAMVTGYSQNGYFENALELFKEMQKECIALNVVTWSAVIAGYAQRGLGHEALGVFRQMQHSGSDPNAVTMISLLSACAAVGALSYGKETHAHALRKCLMRWDDNVGEGEDRMVQNSLIDMYSKCKTFNAARFIFDSIPLVERNVVTWTVMIGGYAQHGDANVALKLFSEMVLKTSSVAPNPFTISCVLIACARLAALRFGRQIHAYVIRSWYGDGMLFVSNCLIDMYSKCGDLGAAQGVFDMMPQKNSVSWTSLMTGYGMHGLGSEALRIFEEMQNVGLIPDGITFLVVLYACSHSGMVNEGLRYFHSMSRDYGVDAGAEHYACVVDLLGRAGRLDEAQNMIEGMPMKPTSVVWVALLSACRVHANVELAEYATEKLLASESENDGSYTLLSNIYAIAGRWRDVARIRLLMKKSGIKKRPGYSWIEDKKGHAVFFVGDRSHPLSQQIYTLLAQLIDRIKAIGYVPQTHFALHDVDDEEKSSLLSEHSEKLALAYGILTSFPGSTIRITKNLRICGDCHNAITFISMIVDHDIILRDSSRFHHFSKGSCSCGGYW >DRNTG_03655.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:17790679:17795966:1 gene:DRNTG_03655 transcript:DRNTG_03655.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g16860 [Source:Projected from Arabidopsis thaliana (AT5G16860) UniProtKB/Swiss-Prot;Acc:Q9LFL5] MLSYLPRAMPRSKEIFFSTVTLTTSSADFRLPFASLLKECKSLSNARKIHQQVLVRGLLPYPIYSLSFTSSSLGTDIVAAYLASGSHGDALFMLERLSPSPIFWWNALIRQNVNQGNINHALFLCRHMQQLGTRPDHYTFPFLLKACGELPSFCRGVAIHAVVCRDGFDYNVFVCNALLAMYARCGAFEEASRVFHEIMNKGIDDIISWNSMVAAHVKSGSPQLALDLFAKMTKSPNDNFGPNRSDIISLVNVLPACASLRTASEARQIHCHSLKNNLFSDLFVGNAIIDVYAKCGMMDDALKVFKDMNIKDVVTWNAMVTGYSQNGYFENALELFKEMQKECIALNVVTWSAVIAGYAQRGLGHEALGVFRQMQHSGSDPNAVTMISLLSACAAVGALSYGKETHAHALRKCLMRWDDNVGEGEDRMVQNSLIDMYSKCKTFNAARFIFDSIPLVERNVVTWTVMIGGYAQHGDANVALKLFSEMVLKTSSVAPNPFTISCVLIACARLAALRFGRQIHAYVIRSWYGDGMLFVSNCLIDMYSKCGDLGAAQGVFDMMPQKNSVSWTSLMTGYGMHGLGSEALRIFEEMQNVGLIPDGITFLVVLYACSHSGMVNEGLRYFHSMSRDYGVDAGAEHYACVVDLLGRAGRLDEAQNMIEGMPMKPTSVVWVALLSACRVHANVELAEYATEKLLASESENDGSYTLLSNIYAIAGRWRDVARIRLLMKKSGIKKRPGYSWIEDKKGHAVFFVGDRSHPLSQQIYTLLAQLIDRIKAIGYVPQTHFALHDVDDEEKSSLLSEHSEKLALAYGILTSFPGSTIRITKNLRICGDCHNAITFISMIVDHDIILRDSSRFHHFSKGSCSCGGYW >DRNTG_03655.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:17790679:17795966:1 gene:DRNTG_03655 transcript:DRNTG_03655.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g16860 [Source:Projected from Arabidopsis thaliana (AT5G16860) UniProtKB/Swiss-Prot;Acc:Q9LFL5] MLSYLPRAMPRSKEIFFSTVTLTTSSADFRLPFASLLKECKSLSNARKIHQQVLVRGLLPYPIYSLSFTSSSLGTDIVAAYLASGSHGDALFMLERLSPSPIFWWNALIRQNVNQGNINHALFLCRHMQQLGTRPDHYTFPFLLKACGELPSFCRGVAIHAVVCRDGFDYNVFVCNALLAMYARCGAFEEASRVFHEIMNKGIDDIISWNSMVAAHVKSGSPQLALDLFAKMTKSPNDNFGPNRSDIISLVNVLPACASLRTASEARQIHCHSLKNNLFSDLFVGNAIIDVYAKCGMMDDALKVFKDMNIKDVVTWNAMVTGYSQNGYFENALELFKEMQKECIALNVVTWSAVIAGYAQRGLGHEALGVFRQMQHSGSDPNAVTMISLLSACAAVGALSYGKETHAHALRKCLMRWDDNVGEGEDRMVQNSLIDMYSKCKTFNAARFIFDSIPLVERNVVTWTVMIGGYAQHGDANVALKLFSEMVLKTSSVAPNPFTISCVLIACARLAALRFGRQIHAYVIRSWYGDGMLFVSNCLIDMYSKCGDLGAAQGVFDMMPQKNSVSWTSLMTGYGMHGLGSEALRIFEEMQNVGLIPDGITFLVVLYACSHSGMVNEGLRYFHSMSRDYGVDAGAEHYACVVDLLGRAGRLDEAQNMIEGMPMKPTSVVWVALLSACRVHANVELAEYATEKLLASESENDGSYTLLSNIYAIAGRWRDVARIRLLMKKSGIKKRPGYSWIEDKKGHAVFFVGDRSHPLSQQIYTLLAQLIDRIKAIGYVPQTHFALHDVDDEEKSSLLSEHSEKLALAYGILTSFPGSTIRITKNLRICGDCHNAITFISMIVDHDIILRDSSRFHHFSKGSCSCGGYW >DRNTG_18167.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:2001698:2002747:-1 gene:DRNTG_18167 transcript:DRNTG_18167.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPDHAAHFSQCFLSPRTQQDIERDEQIYNSALNSPSTMIGLHLTTYSTNPFGSRLGTTWLKALSFATLHRHSFSLSDHPFLTNTPHQCVPFLERFFSHRTIPNLNVLPSPTIFATHLPFSLLPQRALRCRIVFLWRDPKDTFVSLCHFLDRWMGSSAEHTSTTQGLDLSKAFQMFSQGTSAFGPFWDHVLGYWTESLRSPEMVLFFRYEEMIEDAVSHLRRLAQFMGCPFSMEEERDGVVDDIVQLCSFDNLREVEVNKDNKGSFEEKRPPASSFFRKGKVGDWVNYLSMEMAEKLDAITKEKLHGSGLTFESSSVVP >DRNTG_19905.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18306848:18312067:-1 gene:DRNTG_19905 transcript:DRNTG_19905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEHGFVPTNVTYNTILKGLCSDAKLVEALEHLDNFKWERGGPDLVSFNTLLSAACRQGTASVIRSVLDRMGTEGFKLNIVGMTSLMQYFGKIGALVESLKLFEHMISEGQCPSTITYNVILGNLCRKGLTGHAKIMFSEFRKPSVFPDTTSYNILIHALVKKNDYLSVENLLVDMHNSGFAPDAFTCGSLCYALCRIGKVTSALQLEHWMAQNGLNPNISFYNNILNALFRMARLSDVVLILRKMRMEGVEPDAISLNIFNRAMCKYGSA >DRNTG_19905.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18309206:18312067:-1 gene:DRNTG_19905 transcript:DRNTG_19905.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEHGFVPTNVTYNTILKGLCSDAKLVEALEHLDNFKWERGGPDLVSFNTLLSAACRQGTASVIRSVLDRMGTEGFKLNIVGMTSLMQYFGKIGALVESLKLFEHMISEGQCPSTITYNVILGNLCRKGLTGHAKIMFSEFRKPSVFPDTTSYNILIHALVKKNDYLSVENLLVDMHNSGFAPDAFTCGSLCYALCRIGKVTSALQLEHWMAQNGLNPNISFYNNILNALFRMARLSDVVLILRKMRMEGVEPDAISLNIFNRAMCKYGSA >DRNTG_19905.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18306848:18308200:-1 gene:DRNTG_19905 transcript:DRNTG_19905.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLTEAGIIIKRSQTHHVSANAQKTDDGICTNSSRLEDRRKI >DRNTG_09990.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21554635:21556535:-1 gene:DRNTG_09990 transcript:DRNTG_09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDASLFGRAHLPVEQIINGTEVERWLEICDQKHNPIGSSKIHVKVQYFDVSKDRSWSRGIQSSKFPGVPYTFFQQRQGCKVSLYQDVHVSDNFIPKIPLADGKYYEPHRCWEDIFDAITNAQHLIYIAGWSVYTEITLIRDSRRPRPGGDATLGELLKKKATEGVRVLMLVWNDKTSGGLFGKEGHMETHDEDTADYFRGTDVHCVLCERNADGGDIVENLEKSFIFTHHQKIVVVDQEMPKKTSLRRRIVSFVGGIDLCDGRYDTQFHSLFRTLDTAHHDDFHQINFAGASIKKGGPREPWHDIHSRLEGPVAWDVLFNFEQRWRKQGGGEDLLVHIRDLENIIIPPSPVLFPEDRETWNVQLFRSIDAGAAYGFPETPEGAARAGLISGKDQIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSFGWKADGIEPEEIGALHLIPKELSLKIVSKIEAGERFTVYVVIPMWPEGEPEGGSVQAILDWQRRTMEMMYTDIVEALKAKNITANPKDYLSFFCLGNREVKKNGEYTPEEQPEPDTDYSRAQQARRFMIYVHAKLMI >DRNTG_04200.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:7166017:7170458:-1 gene:DRNTG_04200 transcript:DRNTG_04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSTSGLVYQTHSMTLVNTDPTAVIWTRRIPFFKYIPHPASYKLWAFEVIRKSSDTLKQFGSHKATCQRMGPSAHDSLFSDFAHDDDYTPILDANPPVESPFSKEASKSPQVTPSPQHAKEYSPKSYRNCVYELISSPNF >DRNTG_06893.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14045206:14046547:-1 gene:DRNTG_06893 transcript:DRNTG_06893.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSHQLTFSTSNQTSSFPLDLIHSDVWGPAITSVNGFKYYVSFIDDYSKFTW >DRNTG_14758.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:237975:239754:-1 gene:DRNTG_14758 transcript:DRNTG_14758.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSTASNLPSPACSFFTNKQTRRLPPSPPQLAAVKTNHLLRRRLSCKANHLDRQESNDDHDGDHYSHEMMMKLDRRNMLITLGGAGLYGAAAATGTPHAKGLPIQAPDISKCELADGGGKPINCCPPYKSGTKIIDFKLPSHNSPLRVRPAAHLVNNEYLNKYRRAIQLMRELPASDPRNFMQQANVHCAYCDGGYDQVGFLDLELQIHNCWLFFPWHRYYLYFNERILGKLIGDETFALPYWNWDAPAGMRMPSIYTKPSTSLYDPLRDAKHQPPTLIDLYYNLTDQNLPDQEQIDQNLTIMRGDQPSPGSGSLENVPHGPVHLWTGDRTQPNIEDMGTFYSAGRDPIFFAHHGNIDRLWYIWQTKVATKNNTGFKDKDWLDAAFLFYDENAQLVRVRVRDCLDNKLLRYTYQEVDIPWLRKRPTPKATQAAAKSAFTETTFPLTLTAAASTTVRRPRVGGQGHKVRRLHQFSGVRRDRAKRERVRRELTHMHVARETTVMTTRLKLSITEALDDLGVDGDENIIITVVPRAGSENLKIGGLSIDFSSSA >DRNTG_31363.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28414250:28418024:-1 gene:DRNTG_31363 transcript:DRNTG_31363.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISQLFVLSQRGDNIVFRDYRGEVPKGSAEIFFRKVKFWKGDEPEEAPPVFNVDGVNYIHVKVAGLLFVATTRVNVSPSLVIELLQRIARVIKDYLGVLNEDSLRKNFVLVYELLDEAIDFGYPQTTSTEVLKSYVFNEPIVIDAARLPPLGPAAMFMQGSKRMPGTAVTKSVVANEPGGRKREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRSGGGSVYDYSSSAGGGIVVLDDCNFHESVHLDSFDSDRTLTLVPPDGEFSVMNYRMTQEFKPPFRINALVEEAGSSK >DRNTG_31363.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28412916:28413878:-1 gene:DRNTG_31363 transcript:DRNTG_31363.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYLFSKDVLCFMLVLSFLFNYFCRVSFELEAGAVGQTTDFKEGSRKFEWCLKKIVGGSDHTLRAKLTFSQESHGNITREAGPVSMNFTIPMYNASRLQVSHLLDFTIFNSIIFPSF >DRNTG_31363.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28412548:28418024:-1 gene:DRNTG_31363 transcript:DRNTG_31363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQLFVLSQRGDNIVFRDYRGEVPKGSAEIFFRKVKFWKGDEPEEAPPVFNVDGVNYIHVKVAGLLFVATTRVNVSPSLVIELLQRIARVIKDYLGVLNEDSLRKNFVLVYELLDEAIDFGYPQTTSTEVLKSYVFNEPIVIDAARLPPLGPAAMFMQGSKRMPGTAVTKSVVANEPGGRKREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRSGGGSVYDYSSSAGGGIVVLDDCNFHESVHLDSFDSDRTLTLVPPDGEFSVMNYRMTQEFKPPFRINALVEEAGSSKAEVILKIRADFPSSATANTISVQMPLPTYTTRVSFELEAGAVGQTTDFKEGSRKFEWCLKKIVGGSDHTLRAKLTFSQESHGNITREAGPVSMNFTIPMYNASRLQVRYLQIAKKSKNL >DRNTG_31363.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28412916:28418024:-1 gene:DRNTG_31363 transcript:DRNTG_31363.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISQLFVLSQRGDNIVFRDYRGEVPKGSAEIFFRKVKFWKGDEPEEAPPVFNVDGVNYIHVKVAGLLFVATTRVNVSPSLVIELLQRIARVIKDYLGVLNEDSLRKNFVLVYELLDEAIDFGYPQTTSTEVLKSYVFNEPIVIDAARLPPLGPAAMFMQGSKRMPGTAVTKSVVANEPGGRKREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRSGGGSVYDYSSSAGGGIVVLDDCNFHESVHLDSFDSDRTLTLVPPDGEFSVMNYRMTQEFKPPFRINALVEEAGSSKAEVILKIRADFPSSATANTISVQMPLPTYTTRVSFELEAGAVGQTTDFKEGSRKFEWCLKKIVGGSDHTLRAKLTFSQESHGNITREAGPVSMNFTIPMYNASRLQVSHLLDFTIFNSIIFPSF >DRNTG_34841.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28962969:28966226:-1 gene:DRNTG_34841 transcript:DRNTG_34841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAYSSRALHLSLSGSHGNLQPFSSSSSSSFSCSYLACHGPLSSNTNPLTFSATPDKLIAKWRTSVSFFPSFIGKRGKSKDALKEELFEAIAPLDRGAEASPEDQEMVDQIARQLEAVNTIKEPLKSDLLNGKWELLYTTSRLNFATPET >DRNTG_07909.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2560765:2561668:-1 gene:DRNTG_07909 transcript:DRNTG_07909.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:ORC3 [Source:Projected from Arabidopsis thaliana (AT5G16690) UniProtKB/TrEMBL;Acc:A0A178UNG9] MASPAITISSHSLFSTKHHIHLQHLERPTRDPQPPRRPREGLISLVLPPNPPRTSMIPCPPIRLIRSSISSGLMPSTEHGLRSIPLSRMFSERSISTCLMMCVAGFNNRF >DRNTG_07909.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2556246:2561668:-1 gene:DRNTG_07909 transcript:DRNTG_07909.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ORC3 [Source:Projected from Arabidopsis thaliana (AT5G16690) UniProtKB/TrEMBL;Acc:A0A178UNG9] MERSNGDVLAEFILMMSEWAIKIPVIFIMGVATTVGAPKRLLPSGALRCLLPCKFTLGTPCERMNALIEAVLVKPCTRFTISHKVAVFLRSYFLKHDGTVTSFIRALKLACIKHFSMEHLSFLGYGVLQDDCEVAWLHKHEILPDVCKYTSILSLHKREKGFERAGDAFVNGLNELRRLHKNWGSIVMCLFETGKFNKMRFFDIFCEALDPSSYTLNCPDDTSSTDIFPGGVFTPAKGGSIAQAIYKVRELPMALLINLLDMWSIYAKGMPEIHEKVRELQLIMRSVDGGKILKENGADVDKSEFLHARKSISSINEKAATLLETIVR >DRNTG_07909.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2557988:2561668:-1 gene:DRNTG_07909 transcript:DRNTG_07909.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ORC3 [Source:Projected from Arabidopsis thaliana (AT5G16690) UniProtKB/TrEMBL;Acc:A0A178UNG9] MERSNGDVLAEFILMMSEWAIKIPVIFIMGVATTVGAPKRLLPSGALRCLLPCKFTLGTPCERMNALIEAVLVKPCTRFTISHKVAVFLRSYFLKHDGTVTSFIRALKLACIKHFSMEHLSFLGYGVLQDDCEVAWLHKHEILPDVCKYTSILSLHKREKGFERAGDAFVNGLNELRRLHKNWGSIVMCLFETGKFNKMRFFDIFCEALDPSSYTLNCPDDTSSTDIFPGGVFTPAKGGSIAQAIYKVR >DRNTG_07909.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2555426:2561668:-1 gene:DRNTG_07909 transcript:DRNTG_07909.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ORC3 [Source:Projected from Arabidopsis thaliana (AT5G16690) UniProtKB/TrEMBL;Acc:A0A178UNG9] MERSNGDVLAEFILMMSEWAIKIPVIFIMGVATTVGAPKRLLPSGALRCLLPCKFTLGTPCERMNALIEAVLVKPCTRFTISHKVAVFLRSYFLKHDGTVTSFIRALKLACIKHFSMEHLSFLGYGVLQDDCEVAWLHKHEILPDVCKYTSILSLHKREKGFERAGDAFVNGLNELRRLHKNWGSIVMCLFETGKFNKMRFFDIFCEALDPSSYTLNCPDDTSSTDIFPGGVFTPAKGGSIAQAIYKVRELPMALLINLLDMWSIYAKGMPEIHEKVRELQLIMRSVDGGKILKENGADVDKSEFLHARKSISSINEKAATLLETIVRNLLVPFECIPFHEIICFKHVHILQTVSCQISYKCFL >DRNTG_07909.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2552493:2561668:-1 gene:DRNTG_07909 transcript:DRNTG_07909.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ORC3 [Source:Projected from Arabidopsis thaliana (AT5G16690) UniProtKB/TrEMBL;Acc:A0A178UNG9] MERSNGDVLAEFILMMSEWAIKIPVIFIMGVATTVGAPKRLLPSGALRCLLPCKFTLGTPCERMNALIEAVLVKPCTRFTISHKVAVFLRSYFLKHDGTVTSFIRALKLACIKHFSMEHLSFLGYGVLQDDCEVAWLHKHEILPDVCKYTSILSLHKREKGFERAGDAFVNGLNELRRLHKNWGSIVMCLFETGKFNKMRFFDIFCEALDPSSYTLNCPDDTSSTDIFPGGVFTPAKGGSIAQAIYKVRELPMALLINLLDMWSIYAKGMPEIHEKVRELQLIMRSVDGGKILKENGADVDKSEFLHARKSISSINEKAATLLETIVRNLLVPFECIPFHEIICFKHVHILQTALIGDPRRTIQVDLLKSRAHLQCSCCGSHDSVISSSMHDTSIMYKLAQEYGDLINLHDWYQSFKATILGSRSKTKRKGQSPASKKMKTALIEGDAMIQARFCRAVIELQITGLLRMPSKRRPDFVQRVAFGL >DRNTG_34857.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22001706:22005544:-1 gene:DRNTG_34857 transcript:DRNTG_34857.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNRLQAIENKKDGFLAFPGFGFSWNTQEEYYHPGGLFASVGQVGVGFGISPDPPNPKGNDGIKIPCTDLYVKYVSPEFGFRIVGNPPAPAAAEVVSMEEEVELRTRKKRGFKFKIRVANPSFRRLISGAIAGAVSRTAVAPLETIRTHLMVGSSGESTTEVFHNIMKVEGWKGLFRGNLVNVIRVAPSKAIEVSASDLIVYSFVYNNTSLLHFVIMFFTLGKLQCFV >DRNTG_34857.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22001706:22005544:-1 gene:DRNTG_34857 transcript:DRNTG_34857.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNRLQAIENKKDGFLAFPGFGFSWNTQEEYYHPGGLFASVGQVGVGFGISPDPPNPKGNDGIKIPCTDLYVKYVSPEFGFRIVGNPPAPAAAEVVSMEEEVELRTRKKRGFKFKIRVANPSFRRLISGAIAGAVSRTAVAPLETIRTHLMVGSSGESTTEVFHNIMKVEGWKGLFRGNLVNVIRVAPSKAIELFAFDTVNKVLSPKDGEPAKMPVPSSLVAGAIAGVSSTLSTYPLELLKTRLTIQRGVYENLLHAFIKIVKEEGPAELYRGLTPSLIGVVPYAATNYYAYDTLKKVYRKVLKQEDIGNIATLLIGSAAAAVSSSATFPLEVARKHMQAGAVGGRQVYKNMLHALLSILEQEGIGGLYKGLGPSCMKLVPAAGISFMCYEACKRILTDKDNDA >DRNTG_18825.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2742817:2751964:1 gene:DRNTG_18825 transcript:DRNTG_18825.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLVRDDIPRGLAASVSDSKSSLIWHCRLGHPSLSRLQQTLPWIRVESFQCESCQLGKHHRVIFKRSTQVSSRSLFDLVHCDVWGPSKVASISGHRYYVVFVDDFSRVSWVYLLKDRRSIADVLQKFILEIKN >DRNTG_18825.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2742817:2744467:1 gene:DRNTG_18825 transcript:DRNTG_18825.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYLFSWSEGKVNGGRIDHRKPSRCARPSAGDEDGGHPLEMSAGQDGDLVINDRCSLRPETSSEIIGE >DRNTG_04485.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8806336:8807711:1 gene:DRNTG_04485 transcript:DRNTG_04485.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLWWRCWCCTLSGNFLSKTSNNINGIIACGFLLDDWIYCAKSLFLPLCKM >DRNTG_04485.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:8792884:8807711:1 gene:DRNTG_04485 transcript:DRNTG_04485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGCHDGTVALWKFSSHCPSQETRPLLCFTADDGPIRSLAWAPEESDPESSNLVVTTGPEGLKFWDVRDPHRPLWEPYPVQRAILSLEWMKDPWCVIISLDDGTLRTFSLWDLANDGPVIGKPSDGMKQPAWHSYFCSSFALWSIQVSRSTGLAAYCGAEGSTYYFKLTVNSLKDPRNRLPHILCGSLSKDGETVKISTPLLNTPSLVTKHMSTCTVTSQALIPYTAAANHATGPKDLKSSLPGNRIFFFPEMKKPKSKLKNVEDISKQLVQRSEHGCREAESEFLDFPSKILAMHRVRWNMNKGSERWLCYGGAAGVVRCQEIS >DRNTG_09648.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:24:916266:918968:-1 gene:DRNTG_09648 transcript:DRNTG_09648.1 gene_biotype:protein_coding transcript_biotype:protein_coding EVQQRERVDCSIKIQELQKQLTMFTEETEKLQDDRDKLLSLALEVYWQCLAMGGKYGLRVVCAFYGII >DRNTG_23583.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7068677:7074106:1 gene:DRNTG_23583 transcript:DRNTG_23583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASRSRARRASISDSLPDMKPELLSHTHPNPHRRRLRLLRYDQLPEFLKDNEYILGHYRSEWPIRDAFLSVFSWHNETLNVWTHLGGFLVFLGLMIMVSLESRGDSLDSSLISGRMNGRGMTVENSSHDVLWVYTRSTNPSSTSSNPMISRWPIMVFLIGSMMCLGCSSLSHLLACHSRRLNLLFWRIDYAGISSMIVTSFFPPIYYAFVCHPLARFAYLSTITIFGLLTILTLLSPSLSTPNFRPFRACIFLAMGFSGVVPAVHALVINWEHWQCHVALALEVAMAVAYAAGAVVYVSRVPERWKPGAFDLAGHSHQIFHVFVLVGALIHFAAIMVLLDWRVGLPICGSNVNG >DRNTG_04732.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8180691:8181062:1 gene:DRNTG_04732 transcript:DRNTG_04732.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIMSSKRGSSSRKYKLGLHEDSHMVSKLKPKIRIVHIFAPEIIKTDVNNFRELVQRLTGKPVKKNTSENTRENGIKELKKEEIWRDEIIKSEDFVDGVGDVDEFLQDLSDLPFVSFSSLVS >DRNTG_29580.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18215233:18217203:-1 gene:DRNTG_29580 transcript:DRNTG_29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRSVGDLRGGDLKGNRVFVRVDLNVPLDDSLNITDDTRVRAAVPTIKYLMEHGARVILSSHLGRPKGVTPKYSLKPLVPRLSELLGINVEMANDCIGEEVEKKVAALPDGGVLLLENVRFHKEEEKNDPEFAKKLASFADLYVNDAFGSAHRAHASTEGVAKFLKPAVA >DRNTG_28085.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20442257:20443047:1 gene:DRNTG_28085 transcript:DRNTG_28085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNKIHMIIIFIFSIILLIFTNTYLSMATSIPEKPGCIQPRHASCFPPPIRGRVPDFGRQGSPEPDPETSPAPSG >DRNTG_05277.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000239.1:390:2952:-1 gene:DRNTG_05277 transcript:DRNTG_05277.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSTNCRPSSGVLFPIVPPPRWSCRWSCGESSTRRPKIPSSGIGYASIEAPLPTFFLMESRCRSRRTSPKPWPIPLETLAEVLSQVGEKMEKRIPKSGLNRFK >DRNTG_34672.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28806518:28827104:-1 gene:DRNTG_34672 transcript:DRNTG_34672.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLGAHFSVPAGSFSVTAFIATCLTLFILDRVIYPLCHRLTSYTPTSLQRVGIGQVFNIAAMAASALVEHRRSIIVHEHQAENQPNWIAPMSAFWLVLPYVFTGFGDAFHFPGQIAFYYQEFPESLKSTATGIIALILSIGYYTSTGLVAVVRQTTSWLPDNLNSSRLENVYWLLTVM >DRNTG_12799.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2076531:2077722:-1 gene:DRNTG_12799 transcript:DRNTG_12799.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPKAIFLLFISFSSFSGTVFSQSYGWEGGHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEMRCAADPRWCLPGTIIVTATNFCPPNFALSNDNGGWCNPPLQHFDLAEPAFLQIAQYRAGIVPVSFRRVACVKKGGIRFTINGHSYFNLVLITNVGGAGDVHSVSIKGSRTGWQPMSRNWGQNWQSNSYLNSQSLSFQVTASDGRTSTSYNVAPAGWQFGQTFEGGQF >DRNTG_27607.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16622810:16628271:-1 gene:DRNTG_27607 transcript:DRNTG_27607.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS family protein [Source:Projected from Arabidopsis thaliana (AT3G16270) UniProtKB/TrEMBL;Acc:A0A178VIA7] MDQSRRAVEAYWRSRMVDGVTADEDKIAPVYKLEEICELLRTSPAGIVKEVSEYILKRLDHKSPIVKQKALRLVKYAVGKSGVEFRREMQRHSGVMRQLVHYKGQQDPLKGDALNKAVRETAQEAISAMFASEDNKVVAPADNLNKRIQGFGNTNYEMPSEEKKSFLSEVVGLGSASIIQGLTNIASAHSMKKNDVGSYKSPTLRRSLTNEIDSREKYVVNEHHGESWTSSVVSKNVGSGTWSQDSRANMASSVAYEDSGSSQKGVKSREDRLLETIVTSGGVRLQPTRDALQAFLVEAAKLDAVAMSHAIESKLQSHLWQVRMKAICVLEAILRKNDNDHFSVIASYFIENRDLVVKCSELPQSSLREKANKVLFLLDGDNASEVGYTREPSEAKTAPVPVQIPDLIDTGDLDDYESESSNQHQVDQGIGDLTSGSIVDDLFGAASIADQSSPSQVNSNDPFADVSFHATEDKESDLFSGLTVEDKKLNRGLDNPISSSSPFLDIFSDSLTSPPETKTNENNVDNLMAGLSLNGGTLENKQHVSPGGAFPVSSFLDGSNQPTEPPFTGALNPMMGSNAFPTLAQYSMPPNIMFNQVFPTHQIDYAAMGAYIAQQQLLFQNLGTLNPGFAHAAGNVNEGSYGSPLPDIFQLSSNPIQMPAAMSASSKKEETKAFDFISDHLSAARDSKRVT >DRNTG_31202.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26904069:26905497:1 gene:DRNTG_31202 transcript:DRNTG_31202.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDLKMPSWDLADMEQNAEQGIVPVVAGPSSWPSNQDCSVDLKLGGLGDFGQADRWKVQPRVPAVPSATTSSSSKRSRTPNNAGQIACCLVDGCKSDLSKCRDYHRRHKVCEVHSKTQIVMVGGQEQRFCQ >DRNTG_28443.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20645420:20646446:-1 gene:DRNTG_28443 transcript:DRNTG_28443.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQVQEIKDMSQEEEDLICRLHRLLGDRWKLIAGRLPNRTAKEVEKYWKKKEIESFKRNKIYKPICVRLGPSFKFSMDN >DRNTG_26588.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:20082770:20085188:-1 gene:DRNTG_26588 transcript:DRNTG_26588.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLEGSETSVASSSPTPNWWEIHATPLSSWHSINRWQLHSHHSTSSSCDEDNSTSADLSGGPSDNNLWTHVLLNVGGGGDMSQHHNHDDGDNFLEVLSSKSLPTEIFDPACDYLKKMDSSWEINNPPSFNNFNGSLMEHERLTNLSDLVKNWSIAPPAANSQFDSLPCHVTLNPMTSPYVNSHIKTELLPSINEGNSSNYMPYMNDIKMENQHHQDIIGSSEHTSVMSQYINSSAGMGYQVSVNDNNSHNNNFENKFNNAIRSSSDVSWSGSSRSLSDFISFKPVQFRTCKQVAVKSSDHSSSHDTKKQGYDTSSSTKGSGRSTGTTNEGKKKRSEDNSEALLKKSKHDNSSASSSAKLQVPKVKFADRISALQQIVSPFGKTDTASVLMEAINYIRFLQEQLQLLSDPYMKLNASKEHNSWGGMERKEKDKVDLRSRGLCLVPISCTPQAYRENTGLDYWTPTYRGCLFR >DRNTG_22586.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17441822:17445565:1 gene:DRNTG_22586 transcript:DRNTG_22586.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLHCTTTCALIFKTSKQQINSELIAVKMLDARVCLVCIFILSSSLTLNAIRSPGRSLNSVKSAIFLSPPFFLSPGSVINKYYFDVPFPRGHIALKSFDAEVVGEAGIPVPLHETYLHHWVVEGYYGRKITKDPIEANPSDFQFFDYQFVRNSGICNRTLRQYFGLGSETRRTKTWVPDPYGIEVGNPEQIPDGFEHRWLMNVHAIDTRGVVDKLGCTECKCSLYNVTKDEYGRPLRKDYIGGLRCCYDHTQCLVQEGYGGSRRKLYLRYKVTWQEWDNTIIPVKIYIFDVTYTATEKEGALSGCKVEYQVEQCDLSERSNKECIDTKKAKMIIPRGGDIVYGSAHQHSAGIGSTLYGQDGRVLCTSMPTYGNGKEAGNEKGYIVGMSTCYPTPGSVKVSDGEVLTLVSNYRNTEMHTGVMGLFYILVAEKQEQVKKMSLDFHSFPLSVRDEVA >DRNTG_22586.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17441822:17445565:1 gene:DRNTG_22586 transcript:DRNTG_22586.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLHCTTTCALIFKTSKQQVSVQINSELIAVKMLDARVCLVCIFILSSSLTLNAIRSPGRSLNSVKSAIFLSPPFFLSPGSVINKYYFDVPFPRGHIALKSFDAEVVGEAGIPVPLHETYLHHWVVEGYYGRKITKDPIEANPSDFQFFDYQFVRNSGICNRTLRQYFGLGSETRRTKTWVPDPYGIEVGNPEQIPDGFEHRWLMNVHAIDTRGVVDKLGCTECKCSLYNVTKDEYGRPLRKDYIGGLRCCYDHTQCLVQEGYGGSRRKLYLRYKVTWQEWDNTIIPVKIYIFDVTYTATEKEGALSGCKVEYQVEQCDLSERSNKECIDTKKAKMIIPRGGDIVYGSAHQHSAGIGSTLYGQDGRVLCTSMPTYGNGKEAGNEKGYIVGMSTCYPTPGSVKVSDGEVLTLVSNYRNTEMHTGVMGLFYILVAEKQEQVKKMSLDFHSFPLSVRDEVA >DRNTG_22586.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17441822:17445565:1 gene:DRNTG_22586 transcript:DRNTG_22586.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLHCTTTCALIFKTSKQQQINSELIAVKMLDARVCLVCIFILSSSLTLNAIRSPGRSLNSVKSAIFLSPPFFLSPGSVINKYYFDVPFPRGHIALKSFDAEVVGEAGIPVPLHETYLHHWVVEGYYGRKITKDPIEANPSDFQFFDYQFVRNSGICNRTLRQYFGLGSETRRTKTWVPDPYGIEVGNPEQIPDGFEHRWLMNVHAIDTRGVVDKLGCTECKCSLYNVTKDEYGRPLRKDYIGGLRCCYDHTQCLVQEGYGGSRRKLYLRYKVTWQEWDNTIIPVKIYIFDVTYTATEKEGALSGCKVEYQVEQCDLSERSNKECIDTKKAKMIIPRGGDIVYGSAHQHSAGIGSTLYGQDGRVLCTSMPTYGNGKEAGNEKGYIVGMSTCYPTPGSVKVSDGEVLTLVSNYRNTEMHTGVMGLFYILVAEKQEQVKKMSLDFHSFPLSVRDEVA >DRNTG_03013.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:6896968:6935366:-1 gene:DRNTG_03013 transcript:DRNTG_03013.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERESRFLLADFWEIIWEILGHLGEEKKGEKARRSFKPKVQGSQGKKATSFKGEIDHDLKEGEPRLEEASFGIPLAGEASFGIFSPHPSPFHLGSVILCLCFMFCLISPSS >DRNTG_23635.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:6965981:6970333:-1 gene:DRNTG_23635 transcript:DRNTG_23635.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sorting nexin 1 [Source:Projected from Arabidopsis thaliana (AT5G06140) UniProtKB/Swiss-Prot;Acc:Q9FG38] MISTQRSLSGSSSQSPRSPSSPLEPFLSVSVTDPVKLGNGVQAYISYRVITKTNFPEYQAPEKIVIRRYSDFVWLRDRLAEKFKGIFIPPLPEKSTVEKFRFSAEFIEMRCQALHVFINRIASHPDLKQSEDLRTFLQEDEETMERARSQETGIFKKKPADLMQLFKSKVSDVVLGKEKPVEETDPEYEKLKNYIFGLEDHLAEAQRQAFRLVKRHRELGDSLADFGKAIKLLGACEGESLGKAFSDLGSKSEMLSMKLQKEAHNLLMNFEEPLKDYVRTVQSIKATMADRASAFRQQCELAETTKLKEINLDKLTLMRSEKAGEAEIEYKELKAESEESTRRFETIVKLMSEEIVRFQEQKTADLGLAFHEFAKGQAKLANDIANAWRSLLPKLEACSLA >DRNTG_25060.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6365266:6369458:1 gene:DRNTG_25060 transcript:DRNTG_25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVVIKNRMQDTQCSSLRNEKAIIEEEEEEEEEEEEEEEEEEEEEEFSSGYRICEIPDEDELSLREWLDKPGRLVDPVECLHIFKQVVEAVSLAHSQAIVIVNIRPSCFLMSPFNHISFIESASCSSGSESLGEGSSFRDEASQETMDQKVGIGTVKEDLDDAVKAFPLKKVLAMEMNWYTSPEEVAGDSGTLASDIYRLGVLLFELFCSFNSPDEKLGNMASLRHRVLPPQLLLKWPKQASFCLWLLHPQPNSRPKMSEILQSEFLNEPKDSFEEREAATRLQDNIESQELLSEFLLELQQRKQEEADKLEDAIQFLSTDMEEILNHQSVIKKEEEFNLPLNNNGEHSALKKVNQTSVCHFTSNESSSSGSRKRVRPGLPNYGIEEYKGELVECLKSENPAAMQEIILSRSSRVMKNFEKLETAYFLTRFGMMKPSEKLTSRKLALPSSAGGSLSRTEASSVYNLDGTSSHGSGGKNKWIDSFLMDLCSYLSFSKLKVRAELKQGDLLNSSSLVCSLSFDRDKELFATAGVNKKIKIFECNLILNEEHELHYPVAEIIGRSKFSSICWNSYIKSQIASSDFEGVVQIWDATRAEVLVEMREHERRVWSVDFSQVDPTKLASGSDDRAVKLWNINQTESIGTIRTNANVCSVQFAPDSSHSLAISSADHNIYCYDLRNFTVPHRTLIGHAKTVSYVKFLDSTTIVSASTDNTLKLWDLSLCASGVIDDPLQTFTGHTNVKNFVGLSLSDGYIATGSETNEVFIYHKAFPMPVLSYKFTCTDPISGREVDDSTHFISCVCWRNRSSTLLAANSSGNIKLLEMT >DRNTG_25060.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6365266:6369458:1 gene:DRNTG_25060 transcript:DRNTG_25060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVVIKNRMQDTQCSSLRNEKAIIEEEEEEEEEEEEEEEEEEEEEEFSSGYRICEIPDEDELSLREWLDKPGRLVDPVECLHIFKQVVEAVSLAHSQAIVIVNIRPSCFLMSPFNHISFIESASCSSGSESLGEGSSFRDEASQETMDQKVGIGTVKEDLDDAVKAFPLKKVLAMEMNWYTSPEEVAGDSGTLASDIYRLGVLLFELFCSFNSPDEKLGNMASLRHRVLPPQLLLKWPKQASFCLWLLHPQPNSRPKMSEILQSEFLNEPKDSFEEREAATRLQDNIESQELLSEFLLELQQRKQEEADKLEDAIQFLSTDMEEILNHQSVIKKEEEFNLPLNNNGEHSALKKVNQTSVCHFTSNESSSSGSRKRVRPGLPNYGIEEYKGELVECLKSENPAAMQEIILSRSSRVMKNFEKLETAYFLTRFGMMKPSEKLTSRKLALPSSAGGSLSRTEASSVYNLDGTSSHGSGGKNKWIDSFLMDLCSYLSFSKLKVRAELKQGDLLNSSSLVCSLSFDRDKELFATAGVNKKIKIFECNLILNEEHELHYPVAEIIGRSKFSSICWNSYIKSQIASSDFEGVVQIWDATRAEVLVEMREHERRVWSVDFSQVDPTKLASGSDDRAVKLWNINQAILLLYLVYARQKASVPLEQTLMSALFSSLRTHLTRLQSAQQIITYIATISETLLFLIGH >DRNTG_04909.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:10910827:10988055:1 gene:DRNTG_04909 transcript:DRNTG_04909.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIDKCLNVGHMEAMENKKISFWQKEKKTGISYYPHTAQYGGRMHCSSGLIWCSVQISILPSIRGRMEAHFLLADFWEIIWEILGDLGEEKKGKKARRSFKPKVQGSQGKKATSFKGEIDHDLKEGEPRLEEASFGIPLAGEASFGIFSPHPSPFHLGSVILCLCFMFCLIVCFVMR >DRNTG_32099.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22268244:22272414:-1 gene:DRNTG_32099 transcript:DRNTG_32099.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKKTAAKKAAAAAKRGGKAAAVASSSKTAGDAQNGVTNGMGALRISDRTCTGVLASHPLSRDIHIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLSSIGCRELPIPDHMDIYHLTREIEASDMSSLEAVINCDEERLKLEKEAEALAAQDDGGGEQLDRIYERLEAMDAATAEKRAAEILYGLGFTKQMQVKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKNFDRILVVVSHSQDFLNGVCTNIIHMQNKKLKFYTGNYDQYVQTRSELEENQMKQYKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVKDKVLVFRFTDVGKLPPPVLQFVEVTFGYTPDNLIYKSLDFGVDLDSRIALVGPNGAGKSTLLKLMTGDLVPLDGMVRRHNHLRIAQFHQHLAEKLDLEMPALQYMMKEYPGNEEEKMRAAIGRFGLSGKAQVMPMKNLSDGQRSRVIFSWLAWRQPHLLLLDEPTNHLDIETIDSLAEALNEWDGGMVLVSHDFRLINQVAQEIWVCENQAVTRWEGDIMDFKEHLRSKAGLPN >DRNTG_32099.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22268244:22272414:-1 gene:DRNTG_32099 transcript:DRNTG_32099.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKKTAAKKAAAAAKRGGKAAAVASSSKTAGDAQNGVTNGMGALRISDRTCTGVLASHPLSRDIHIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLSSIGCRELPIPDHMDIYHLTREIEASDMSSLEAVINCDEERLKLEKEAEALAAQDDGGGEQLDRIYERLEAMDAATAEKRAAEILYGLGFTKQMQVKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKNFDRILVVVSHSQDFLNGVCTNIIHMQNKKLKFYTGNYDQYVQTRSELEENQMKQYKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVKDKVLVFRFTDVGKLPPPVLQFVEVTFGYTPDNLIYKSLDFGVDLDSRIALVGPNGAGKSTLLKLMTGDLVPLDGMVRRHNHLRIAQFHQHLAEKLDLEMPALQYMMKEYPGNEEEKMRAAIGRFGLSGKAQVMPMKNLSDGQRSRVIFSWLAWRQPHLLLLDEPTNHLDIETIDSLAEALNEWDGGMVLVSHDFRLINQVAQEIWVCENQAVTRWEGDIMDFKEHLRSKAGLPN >DRNTG_32099.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22268244:22272414:-1 gene:DRNTG_32099 transcript:DRNTG_32099.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKKTAAKKAAAAAKRGGKAAAVASSSKTAGDAQNGVTNGMGALRISDRTCTGVLASHPLSRDIHIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLSSIGCRELPIPDHMDIYHLTREIEASDMSSLEAVINCDEERLKLEKEAEALAAQDDGGGEQLDRIYERLEAMDAATAEKRAAEILYGLGFTKQMQVKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKNFDRILVVVSHSQDFLNGVCTNIIHMQNKKLKFYTGNYDQYVQTRSELEENQMKQYKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVKDKVLVFRFTDVGKLPPPVLQFVEVTFGYTPDNLIYKSLDFGVDLDSRIALVGPNGAGKSTLLKLMTGDLVPLDGMVRRHNHLRIAQFHQHLAEKLDLEMPALQYMMKEYPGNEEEKMRAAIGRFGLSGKAQVMPMKNLSDGQRSRVIFSWLAWRQPHLLLLDEPTNHLDIETIDSLAEALNEWDGGMVLVSHDFRLINQVAQEIWVCENQAVTRWEGDIMDFKEHLRSKAGLPN >DRNTG_32099.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22268244:22272414:-1 gene:DRNTG_32099 transcript:DRNTG_32099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKKTAAKKAAAAAKRGGKAAAVASSSKTAGDAQNGVTNGMGALRISDRTCTGVLASHPLSRDIHIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLSSIGCRELPIPDHMDIYHLTREIEASDMSSLEAVINCDEERLKLEKEAEALAAQDDGGGEQLDRIYERLEAMDAATAEKRAAEILYGLGFTKQMQVKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKNFDRILVVVSHSQDFLNGVCTNIIHMQNKKLKFYTGNYDQYVQTRSELEENQMKQYKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVKDKVLVFRFTDVGKLPPPVLQFVEVTFGYTPDNLIYKSLDFGVDLDSRIALVGPNGAGKSTLLKLMTGDLVPLDGMVRRHNHLRIAQFHQHLAEKLDLEMPALQYMMKEYPGNEEEKMRAAIGRFGLSGKAQVMPMKNLSDGQRSRVIFSWLAWRQPHLLLLDEPTNHLDIETIDSLAEALNEWDGGMVLVSHDFRLINQVAQEIWVCENQAVTRWEGDIMDFKEHLRSKAGLPN >DRNTG_25307.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20229446:20253720:1 gene:DRNTG_25307 transcript:DRNTG_25307.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRATKLNAAVIPLEAAEVRSEVPVKVDKIYTTTVVSVETLVGPKGEIGEVCHIVLDHGGSFSFKEGQYLLVHFQS >DRNTG_27942.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:6487038:6489217:1 gene:DRNTG_27942 transcript:DRNTG_27942.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYTPVWKFRTSVDIHMPNSQGPPQALVSSRDVEISFAEFHTGVWKLPTPVCGSQGRPQGRVHAPACSRDNPPNSTGIHTPVRKLPTGV >DRNTG_06150.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25438133:25439639:1 gene:DRNTG_06150 transcript:DRNTG_06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPSPLSPPPLPLMSSAPSPSPSLRSSHFPSFAPITTRLRPAAAFATLMTCSSLIAVSFHCFPGSLGKHFFKKKKIPLPLELSRKSWPEQLRQCLGSTLLYLRSGTCSGLKVGRVSQDRDQIVDNVLAAIDGAVQLVPKKWSNVRSLHLKSVESVALPIYQTLPELGLKIEPLQKEEERKQEQKEDDAADEVVLQTPKLSQSSKKKTKRRGRIHEGLLP >DRNTG_16693.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16190407:16207802:-1 gene:DRNTG_16693 transcript:DRNTG_16693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGILTPTADLESQRSLSGNPRKGEISPLYCDRVPIAFDRGLGASFRYMDQNSYGFFPAPASSSFSSWGAGSGKGTPAEGLSKPRLVKTRRRVPSSEAKPGFNPFQSGADRRDVGGMQGLPERFRGLNPFDKLPSGAASVFNSNARDASGSDNSGSFESVSSESNANSSGFVFGAGAEKSEDLNKNSSLGSDGNSGVLHQMSKVDLQSNDNEAGCTTTMLGGNSGLNHSSVAGSGEGLFSKLPEDMRKLNLESSTNEDVFAKEKQATNSSKVEREKNFIFGSDKNASVSSQFGTTVNFPPGKWSDDSDMSQRSSAASDDRPFSKLPEEMRKLNLQSSSSHNAFETPKDADCNVKVDGEGAFIFGTDKNMPFFNFGSNAHHPAEHILKSKQKSSLGSEDSPFSKLPEEMRKLNLQSSGCQNVFETSKEADHNGKVDVEGAFIFGTDKNVPPSFHFGSSVHHPPEHVSKSKQKSSLGFQDGPFSKLSEEMRKLNLQSSGSQNVFETPKEADHNVEVDGARAFIFGAEKNSSTTFQFGSSVHHRSEQISKSKQKSSLGSEDNPFSKLPEEMRKLNLQSSSSKNAFDMTKEADLNSEAARKNASTSFKFSSSPLFHQEKGCNSSLRTSHSCDDIPFSTLQEEKRELNIGNSSNESAFEAAREADHCSKVDGEKIFIFGGDKNASMSFPFIGTVKTDNYPSEKSSNTDQRPCFGSDDGPFFQLPEEMKKLNLQSSSMEHAFEKPKEDDHSYMHDVEKAFFGSASNLPNFFQFGNSANCPQEKSSDMGEKSTLGSDYDLPSKVSDVDSKMDLQGLGNENGFGYTDQVDHSQNLFLGSNGMPFSVLPDEMRELKTNSSGNKDGLHNTKPVAQNSDTDVRKTFLFGSSKNETSFFGASTSDKFSEKMKASIGVEKPSADANSKSGHTASSFVFGSSDSISSSIGGSSSSTLPTDMSKEQSTSNDSPMDASAPFPFQEENQGAGSSLGEIPTSQGFGHSVPTGANLDAHDPFKFSFQAKKQDANSSMGTIPSTQMHTAAKPSGVPETASPMSSSCHGFQSFETEFSFGKMNVGFQTPHVKFKTTNQVNSSFCENLFAGGCQKVGFGLKKGVSKATQLKKKRGKLRQSATFRQSNDEIFISRENISQDNPAADSLGEYSPMDYSPYHDKLATDHCSSREASAASDEPVHLPSHVSKDTHSIPVDDRDRNLFFAAQQLDISEGDLKHVLNNYSSQAQFESTFPLGSAFTNVQNLEIGTGSFMPETEGGVNLTNDARAAPANEESFPFSTNIDGPPNEDRAYIFESHVPDSRELKFTFSASSSTQGPLFSSKRHSRRKDRIKADKDLCNFTLDAKIPFPPKFSPASSISQVTAHAQGEKDNSDALSTEDDNMVEAHAKLESRQRSTSTAAVSIAAQEACENWRLRGNQAYANGHLPKAEEYYTRGINSISPNETLQNCLRVLMLCYSNRAAARMSLGRMREALNDSLMAAAIDPNFPKAQLRAANCHLALGETVDAVKHYQNCLQLDRTGDVDHKICKEATDGLGKAQLVAGHMEQSENILMKRASVEAKRALELISDALLISPFSEKLLEMKAEALFMLRKYDEVIALCEKTLDLAEKNAFSIVADGQRKDRDTIEGMKSSTVRLWRWHLISKSNFYLGKLDEALELLQKQEQIQPIADKYVAKSSELSATISELLRLKSAGNEAFQAGRYSEAVESYTAALTLNIESRPFTAICFCNRAAAYQALGQITDAIADCSLAIALDTSYAKAISRRATLHEMIRDYHQASNDLRRLMCLLEKQSNKDDQSGTLRRSNSNASELKRIQLRLSMMEDEARKEIPLDMYLILGIESSSSEVDLKKAYRKAALRHHPDKVSRFFVRSENADEGFWKEVADEVRTDADQLFKLIHEAYTTLSDPTKRLQYDTEEEIRTSIKKGYCGSGKPKAPTDKYSSPYEKSTNRRQWREGWKPYGSSQYQWSDSSRSNFQY >DRNTG_31588.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:18657873:18659777:1 gene:DRNTG_31588 transcript:DRNTG_31588.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSNPSPSSFLCWNSTETSPNAKPLI >DRNTG_32340.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3094051:3096785:-1 gene:DRNTG_32340 transcript:DRNTG_32340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLQKLVVSYCDWGGSSRGIRAFMESNLPTLKEKNPQLDVVTELIRGQHPHLKAFYKNHNQRVVCVKNLTPEEILLHATRLRNALGRKVIKLRTRHVTKHPSVQGTWTTALKF >DRNTG_06182.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25273532:25274212:1 gene:DRNTG_06182 transcript:DRNTG_06182.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAVELAFQQSCFCYWQLKPSIHSWSFQGGKFESPNEIFLNLHLPKHVTHYQYTS >DRNTG_07252.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22890323:22896031:-1 gene:DRNTG_07252 transcript:DRNTG_07252.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESQPGDMIPGLPDDLALNCLVRISHGYHGLLKCVSKKWEAAIKSNDYLCLKEKEGLGGNWLIALSPSEHTFNCVAYDPDADRWHPIPPVPTTQRRLSFGHSCVSACKRCFIIGGAYTKSTFGLPVPSSEVLMFDPFKMNWSRVSNMTRGRNFFACAAVLDKIYVAGGSDISHETGEGLASASAEVYDPLENR >DRNTG_07252.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22890323:22896031:-1 gene:DRNTG_07252 transcript:DRNTG_07252.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQPGDMIPGLPDDLALNCLVRISHGYHGLLKCVSKKWEAAIKSNDYLCLKEKEGLGGNWLIALSPSEHTFNCVAYDPDADRWHPIPPVPTTQRRLSFGHSCVSACKRCFIIGGAYTKSTFGLPVPSSEVLMFDPFKMNWSRVSNMTRGRNFFACAAVLDKIYVAGGSDISHETGEGLASASAEVYDPLENRWDELPPMPVPLIGCFGVSHSGQFHVLGRIGPDGGEVDTYFRFNPADHRWHAGNDFEELPSSSHLYPSATHGVYAVDDKRAIQYPENGLRNWQTIGVIPDVALPNHDQLLTPFNYAFVSYKKYLFLMGGSVLKFDGRYRCSFIPKLRTARFCDTTQLPLEWRDARPLPPAYAGPTECFTLEE >DRNTG_07252.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22890323:22892291:-1 gene:DRNTG_07252 transcript:DRNTG_07252.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGLPDDLALNCLVRISHGYHGLLKCVSKKWEAAIKSNDYLCLKEKEGLGGNWLIALSPSEHTFNCVAYDPDADRWHPIPPVPTTQRRLSFGHSCVSACKRCFIIGGAYTKSTFGLPVPSSEVLMFDPFKMNWSRVSNMTRGRNFFACAAVLDKIYVAGGSDISHETGEGLASASAEVYDPLENRWDELPPMPVPLIGCFGVSHSGQFHVLGRIGPDGGEVDTYFRFNPADHRWHAGNDFEELPSSSHLYPSATHGVYAVDDKRAIQYPENGLRNWQTIGVIPDVALPNHDQLLTPFNYAFVSYKKYLFLMGGSVLKFDGRYRCSFIPKLRTARFCDTTQLPLEWRDARPLPPAYAGPTECFTLEE >DRNTG_07252.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22890323:22896031:-1 gene:DRNTG_07252 transcript:DRNTG_07252.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESQPGDMIPGLPDDLALNCLVRISHGYHGLLKCVSKKWEAAIKSNDYLCLKEKEGLGGNWLIALSPSEHTFNCVAYDPDADRWHPIPPVPTTQRRLSFGHSCVSACKRCFIIGGAYTKSTFGLPVPSSEVLMFDPFKMNWSRVSNMTRGRNFFACAAVLDKIYVAGGSDISHETGEGLASASAEVYDPLENRWDELPPMPVPLIGCFGVSHSGQFHVLGRIGPDGGEVDTYFRFNPADHRWHAGNDFEELPSSSHLYPSATHGVYAVDDKRAIQYPENGLRNWQTIGVIPDVALPNHDQLLTPFNYAFVSYKKYLFLMGGSVLKFDGRYRCSFIPKLRTARFCDTTQLPLEWRDARPLPPAYAGPTECFTLEE >DRNTG_07252.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22890323:22896031:-1 gene:DRNTG_07252 transcript:DRNTG_07252.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQPGDMIPGLPDDLALNCLVRISHGYHGLLKCVSKKWEAAIKSNDYLCLKEKEGLGGNWLIALSPSEHTFNCVAYDPDADRWHPIPPVPTTQRRLSFGHSCVSACKRCFIIGGAYTKSTFGLPVPSSEVLMFDPFKMNWSRVSNMTRGRNFFACAAVLDKIYVAGGSDISHETGEGLASASAEVYDPLENRWDELPPMPVPLIGCFGVSHSGQFHVLGRIGPDGGEVDTYFRFNPADHRWHAGNDFEELPSSSHLYPSATHGVYAVDDKRAIQYPENGLRNWQTIGVIPDVALPNHDQLLTPFNYAFVSYKKYLFLMGGSVLKFDGRYRCSFIPKLRTARFCDTTQLPLEWRDARPLPPAYAGPTECFTLEE >DRNTG_07252.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:22890323:22896031:-1 gene:DRNTG_07252 transcript:DRNTG_07252.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESQPGDMIPGLPDDLALNCLVRISHGYHGLLKCVSKKWEAAIKSNDYLCLKEKEGLGGNWLIALSPSEHTFNCVAYDPDADRWHPIPPVPTTQRRLSFGHSCVSACKRCFIIGGAYTKSTFGLPVPSSEVLMFDPFKMNWSRVSNMTRGRNFFACAAVLDKIYVAGGSDISHETGEGLASASAEVYDPLENRWDELPPMPVPLIGCFGVSHSGQFHVLGRIGPDGGEVDTYFRFNPADHRWHAGNDFEELPSSSHLYPSATHGVYAVDDKRAIQYPENGLRNWQTIGVIPDVALPNHDQLLTPFNYAFVSYKKYLFLMGGSVLKFDGRYRCSFIPKLRTARFCDTTQLPLEWRDARPLPPAYAGPTECFTLEE >DRNTG_00662.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16332425:16333689:1 gene:DRNTG_00662 transcript:DRNTG_00662.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTICQMEKIFLPSFFTIMVHLIIHLVEEMKLGGPINFRWMYPFERFFMRFKSYVKNRTHPEGSIAEGYIAEECLTFCSRYLQGVETKFNRPMRNPNPPTNDQVKYLFSSVGQHIGKIEEIVLDEISLVQAHRYVLRHCD >DRNTG_09388.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14094553:14098806:1 gene:DRNTG_09388 transcript:DRNTG_09388.5 gene_biotype:protein_coding transcript_biotype:protein_coding MENSGVQSQRSVSSISTSAQTLDAGSGSSSNPAEFVNHGLLLWNQIRQQWVGTRRPENQLQQVKEPKLSSWNATYDSLLGTNKPFPQPIPLSEMVDFLVDVWEQEGMYD >DRNTG_09388.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14094553:14098806:1 gene:DRNTG_09388 transcript:DRNTG_09388.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSRSLSAWISRVLACMGGCLGCCTKPTPIIAVDEPSKGLRIQGRTVKKPSISEDFWSTSTYEMENSGVQSQRSVSSISTSAQTLDAGSGSSSNPAEFVNHGLLLWNQIRQQWVGTRRPENQLQQVKEPKLSSWNATYDSLLGTNKPFPQPIPLSEMVDFLVDVWEQEGMYD >DRNTG_09388.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14094553:14098806:1 gene:DRNTG_09388 transcript:DRNTG_09388.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSRSLSAWISRVLACMGGCLGCCTKPTPIIAVDEPSKGLRIQGRTVKKPSISEDFWSTSTYEMENSGVQSQRSVSSISTSAQTLDAGSGSSSNPAEFVNHGLLLWNQIRQQWVGTRRPENQLQQVKEPKLSWNATYDSLLGTNKPFPQPIPLSEMVDFLVDVWEQEGMYD >DRNTG_09388.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14094553:14098712:1 gene:DRNTG_09388 transcript:DRNTG_09388.6 gene_biotype:protein_coding transcript_biotype:protein_coding MENSGVQSQRSVSSISTSAQTLDAGSGSSSNPAEFVNHGLLLWNQIRQQWVGTRRPENQLQQVKEPKLSWNATYDSLLGTNKPFPQPIPLSEMVDFLVDVWEQEGMYD >DRNTG_09388.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14094553:14098837:1 gene:DRNTG_09388 transcript:DRNTG_09388.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSRSLSAWISRVLACMGGCLGCCTKPTPIIAVDEPSKGLRIQGRTVKKPSISEDFWSTSTYEMENSGVQSQRSVSSISTSAQTLDAGSGSSSNPAEFVNHGLLLWNQIRQQWVGTRRPENQLQQVKEPKLSWNATYDSLLGTNKPFPQPIPLSEMVDFLVDVWEQEGMYD >DRNTG_09388.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14094553:14098806:1 gene:DRNTG_09388 transcript:DRNTG_09388.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSGVQSQRSVSSISTSAQTLDAGSGSSSNPAEFVNHGLLLWNQIRQQWVGTRRPENQLQQVKEPKLSWNATYDSLLGTNKPFPQPIPLSEMVDFLVDVWEQEGMYD >DRNTG_09388.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14094553:14098712:1 gene:DRNTG_09388 transcript:DRNTG_09388.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSRSLSAWISRVLACMGGCLGCCTKPTPIIAVDEPSKGLRIQGRTVKKPSISEDFWSTSTYEMENSGVQSQRSVSSISTSAQTLDAGSGSSSNPAEFVNHGLLLWNQIRQQWVGTRRPENQLQQVKEPKLSWNATYDSLLGTNKPFPQPIPLSEMVDFLVDVWEQEGMYD >DRNTG_09388.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14094553:14098712:1 gene:DRNTG_09388 transcript:DRNTG_09388.9 gene_biotype:protein_coding transcript_biotype:protein_coding MENSGVQSQRSVSSISTSAQTLDAGSGSSSNPAEFVNHGLLLWNQIRQQWVGTRRPENQLQQVKEPKLSSWNATYDSLLGTNKPFPQPIPLSEMVDFLVDVWEQEGMYD >DRNTG_09388.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14094553:14098712:1 gene:DRNTG_09388 transcript:DRNTG_09388.10 gene_biotype:protein_coding transcript_biotype:protein_coding MENSGVQSQRSVSSISTSAQTLDAGSGSSSNPAEFVNHGLLLWNQIRQQWVGTRRPENQLQQVKEPKLSSWNATYDSLLGTNKPFPQPIPLSEMVDFLVDVWEQEGMYD >DRNTG_09388.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:14094553:14098712:1 gene:DRNTG_09388 transcript:DRNTG_09388.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSRSLSAWISRVLACMGGCLGCCTKPTPIIAVDEPSKGLRIQGRTVKKPSISEDFWSTSTYEMENSGVQSQRSVSSISTSAQTLDAGSGSSSNPAEFVNHGLLLWNQIRQQWVGTRRPENQLQQVKEPKLSSWNATYDSLLGTNKPFPQPIPLSEMVDFLVDVWEQEGMYD >DRNTG_20544.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:607857:609167:-1 gene:DRNTG_20544 transcript:DRNTG_20544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIIPKALMDKEITGITLGEVKPVANMHQRKAEMTRNSDAFIAMPGGYGTLEELFEVITWAQLGIHNKPVGLLNINGYYDSLLSFIDQAVEEGFINPSARHIIVCTSNAKQLMEKLKTTTNVMNKCHEKLNWNYDQLEHSEKNVDPSNNHGKKPLKSNPSPKNTPEITLIDLNLPATMDDNVEHKCF >DRNTG_27122.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1797323:1803670:1 gene:DRNTG_27122 transcript:DRNTG_27122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKKLVVCHVQLYLYVGEEQDLNPGSQFKLRLTKTTSGVIIVKPRSITAGAMKKTFVEWQAKCITVSAMKKTLIGREEPAMEGERNDGMKVEAIAVWHLGTSKWNLKHLAFQLLKVKPRNAERSWLRAKRNCTHTQGKSKEYTIVLDGNNWT >DRNTG_03515.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:15264136:15266067:1 gene:DRNTG_03515 transcript:DRNTG_03515.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQDDAHDTIMAMIGDVVRKLHEVQIVILPIIMIGHFHVVLLDNNKQEYRHYSSCPRYDKDASDMRNLFDNCVDMEFGESATAKYPLVHDIETPRQKQGSVDCAVYVMRFIEQLLW >DRNTG_32534.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20187701:20193754:-1 gene:DRNTG_32534 transcript:DRNTG_32534.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGILPRICYLLEMEPLPTLEECLVSILVALARHSPTSVNAILKCPRLVQSVIKVFTKQGAMELYPSQIKATTFLKVLAKCSKEICTDIVKSGAFQKAMWLWYKNSFTLDHWVKSGKEYCRLASALMVEQLCLWKVCITYGYCLGYFPDFFPAMCLWLSIPALDKLIENNILDEYASVTREAYLVLGALAQTLPNLHAMEQLNKQHIAFSGDNMEIWCWSYVTPMVDLAVNWLSLKAIPVVSVLMGPR >DRNTG_32534.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20187701:20196376:-1 gene:DRNTG_32534 transcript:DRNTG_32534.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDGKDDEGEDVDNTDYEPVASMATRIQRKEKKGLDFSMWRELVAEESSAKSVVMKKSMKLSDRREQIENKGSRADASSPRVISVVEASSVREQMDGARKKDLPSGMEVDALAEVRSVEREVSGAGLIGSLTPSLMDGIDAENIARLKEMSSDEIGEAQAEIMEKMDPRLVDMLRKRGKAKLEKKKDNGAVLEKGLPEIGCCSVDEGQKSSAMVRLPGQDGAASSNLWKGWSGRVEKVRELRFSLEGNALGIGSSLEQSNSQNPLRQYNVAERDFLRTEGDPASVGYTIKEATELIRSMVPGQRALALQLLGAVLNRALHSLQREETGFHDGKTNHMNKFVDWQAVWGFALGPEPQIVLSLRIALDDNHDSVVLACAKVLECILSCDINEKFFDILEKSPTHLGDICIAPVFRSRPEISDGFLRGGFWKYNAKPSNILPFSGNDDDEDDGEEKHTIQDDIVVAEQDVAAGLIRMGILPRICYLLEVRNDDYLRIFLFPYQNGVFIFLIFYFYVIFIILSIRI >DRNTG_32534.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20187701:20196376:-1 gene:DRNTG_32534 transcript:DRNTG_32534.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDGKDDEGEDVDNTDYEPVASMATRIQRKEKKGLDFSMWRELVAEESSAKSVVMKKSMKLSDRREQIENKGSRADASSPRVISVVEASSVREQMDGARKKDLPSGMEVDALAEVRSVEREVSGAGLIGSLTPSLMDGIDAENIARLKEMSSDEIGEAQAEIMEKMDPRLVDMLRKRGKAKLEKKKDNGAVLEKGLPEIGCCSVDEGQKSSAMVRLPGQDGAASSNLWKGWSGRVEKVRELRFSLEGNALGIGSSLEQSNSQNPLRQYNVAERDFLRTEGDPASVGYTIKEATELIRSMVPGQRALALQLLGAVLNRALHSLQREETGFHDGKTNHMNKFVDWQAVWGFALGPEPQIVLSLRIALDDNHDSVVLACAKVLECILSCDINEKFFDILEKSPTHLGDICIAPVFRSRPEISDGFLRGGFWKYNAKPSNILPFSGNDDDEDDGEEKHTIQDDIVVAEQDVAAGLIRMGILPRICYLLEMEPLPTLEECLVSILVALARHSPTSVNAILKCPRLVQSVIKVFTKQGAMELYPSQIKATTFLKVLAKCSKEICTDIVKSGAFQKAMWLWYKNSFTLDHWVKSGKEYCRLASALMVEQLCLWKVCITYGYCLGYFPDFFPAMCLWLSIPALDKLIENNILDEYASVTREAYLVLGALAQTLPNLHAMEQLNKQHIAFSGDNMEIWCWSYVTPMVDLAVNWLSLKAIPVVSVLMGPR >DRNTG_32534.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20187701:20196376:-1 gene:DRNTG_32534 transcript:DRNTG_32534.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAPAKKRSHASFSATKKKVEEIHHASSLVGSIIEKGFSSSSPSSAPRNVSLPKPSVVPFPVARHRSHGPHWAPARTEMDVDGKDDEGEDVDNTDYEPVASMATRIQRKEKKGLDFSMWRELVAEESSAKSVVMKKSMKLSDRREQIENKGSRADASSPRVISVVEASSVREQMDGARKKDLPSGMEVDALAEVRSVEREVSGAGLIGSLTPSLMDGIDAENIARLKEMSSDEIGEAQAEIMEKMDPRLVDMLRKRGKAKLEKKKDNGAVLEKGLPEIGCCSVDEGQKSSAMVRLPGQDGAASSNLWKGWSGRVEKVRELRFSLEGNALGIGSSLEQSNSQNPLRQYNVAERDFLRTEGDPASVGYTIKEATELIRSMVPGQRALALQLLGAVLNRALHSLQREETGFHDGKTNHMNKFVDWQAVWGFALGPEPQIVLSLRIALDDNHDSVVLACAKVLECILSCDINEKFFDILEKSPTHLGDICIAPVFRSRPEISDGFLRGGFWKYNAKPSNILPFSGNDDDEDDGEEKHTIQDDIVVAEQDVAAGLIRMGILPRICYLLEMEPLPTLEECLVSILVALARHSPTSVNAILKCPRLVQSVIKVFTKQGAMELYPSQIKATTFLKVLAKCSKEICTDIVKSGAFQKAMWLWYKNSFTLDHWVKSGKEYCRLASALMVEQLCLWKVCITYGYCLGYFPDFFPAMCLWLSIPALDKLIENNILDEYASVTREAYLVLGALAQTLPNLHAMEQLNKQHIAFSGDNMEIWCWSYVTPMVDLAVNWLSLKAIPVVSVLMGPR >DRNTG_32534.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20187701:20194562:-1 gene:DRNTG_32534 transcript:DRNTG_32534.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFVDWQAVWGFALGPEPQIVLSLRIALDDNHDSVVLACAKVLECILSCDINEKFFDILEKSPTHLGDICIAPVFRSRPEISDGFLRGGFWKYNAKPSNILPFSGNDDDEDDGEEKHTIQDDIVVAEQDVAAGLIRMGILPRICYLLEMEPLPTLEECLVSILVALARHSPTSVNAILKCPRLVQSVIKVFTKQGAMELYPSQIKATTFLKVLAKCSKEICTDIVKSGAFQKAMWLWYKNSFTLDHWVKSGKEYCRLASALMVEQLCLWKVCITYGYCLGYFPDFFPAMCLWLSIPALDKLIENNILDEYASVTREAYLVLGALAQTLPNLHAMEQLNKQHIAFSGDNMEIWCWSYVTPMVDLAVNWLSLKAIPVVSVLMGPR >DRNTG_11836.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:199558:202697:1 gene:DRNTG_11836 transcript:DRNTG_11836.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSNIFTEIPEAQSVDSESSTTSNEYENIHPVKLLTSSSVKIGSTSTSGVHELLECPVCTNSMYPPIHQCPNGHTLCSSCKVRVHNHCPTCRQELGNIRCLALEKVAESLDLPCKYQSLGCSEIFPYYSKLKHEQLCRFRPYNCPYAGSECLVTGDIPMLVAHLKNDHKVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCFGHHFCLHFEAFLLGVTPVYMAFLRFMGDENEAKNFSYSLEVGGNGRKLIWQGVPRSIRDSHKKVRDSYDGLVIHRSMALFFSNGNRQELKLRVTGRIWREQ >DRNTG_11836.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:199003:202697:1 gene:DRNTG_11836 transcript:DRNTG_11836.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSNIFTEIPEAQSVDSESSTTSNEYENIHPVKLLTSSSVKIGSTSTSGVHELLECPVCTNSMYPPIHQCPNGHTLCSSCKVRVHNHCPTCRQELGNIRCLALEKVAESLDLPCKYQSLGCSEIFPYYSKLKHEQLCRFRPYNCPYAGSECLVTGDIPMLVAHLKNDHKVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCFGHHFCLHFEAFLLGVTPVYMAFLRFMGDENEAKNFSYSLEVGGNGRKLIWQGVPRSIRDSHKKVRDSYDGLVIHRSMALFFSNGNRQELKLRVTGRIWREQ >DRNTG_19539.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:11058115:11076518:1 gene:DRNTG_19539 transcript:DRNTG_19539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPLFDLPALSRFFFTAVLLFAGAFFILRRAASRYFFVGDDPASFVAEETRCSPMVVAGGPCAVCGEPGTKKCSRCKSVLYCSQVCQAKHWGADHKQKCKQITSSNDVTLISGMHKRKSSGVSSISLVPSHGTCQVLPESKKILFPYDEFVKLFDWDKPGFPPCGLLNCGNSCFANVVLQCLACTRPLTAYLLEKDHSRGCIRKRDDWCFLCELQIHIQRASESLHPFSPLNILSRLPNIGGNLGCGRQEDAHEFMRFAIDTMQSICLDEFGGEKALNMSSQETTLIQHIFAGQLLSQVICTKCNKISNRYENMMDLTVEIQGDAEYLEECLDQFTAEEWLDGDNKYKCDGCNDYVKACKRLTVHRAPNVLTITLKRFQSGRFGKLNKRVAFPENLDLTPCMSGNRDGTDVYTLYAVVVHVDMLNASFFGHYICYTKGYCGRWYRIDDCKVMNVDVDEVLSQGAYMLLYRRKNVRPEPFAKPLEEPMKRNLTEVDPMSSSTCNGTDDVHELTDIGSQPCMPSHAITCHASMLGDAGSETVLPGKIDNGEVEELEGVVVNVQIKDNNQSVNKDMTAISTDNLISRLSGLEVNTTKVDELESSDLHVSIEDHKQLVHEDTPNLQIDYSNLSWSGLENLEEKKDALHFKFIQSDSVSSVKVPFTSFQAMEFQEHCGITDAACHKKYNGSIDILEKSTSDSSFCSQNIIPEMAKTSSTCSFTSVPVQQLSYDSIPERVQTEVGILLPNFKDAATESQNLALSCGEWFKQKTEANVC >DRNTG_19539.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:11073168:11076583:1 gene:DRNTG_19539 transcript:DRNTG_19539.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNRDGTDVYTLYAVVVHVDMLNASFFGHYICYTKGYCGRWYRIDDCKVMNVDVDEVLSQGAYMLLYRR >DRNTG_19539.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:11058115:11076518:1 gene:DRNTG_19539 transcript:DRNTG_19539.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPLFDLPALSRFFFTAVLLFAGAFFILRRAASRYFFVGDDPASFVAEETRCSPMVVAGGPCAVCGEPGTKKCSRCKSVLYCSQVCQAKHWGADHKQKCKQITSSNDVTLISGMHKRKSSGVSSISLVPSHGTCQVLPESKKILFPYDEFVKLFDWDKPGFPPCGLLNCGNSCFANVVLQCLACTRPLTAYLLEKDHSRGCIRKRDDWCFLCELQIHIQRASESLHPFSPLNILSRLPNIGGNLGCGRQEDAHEFMRFAIDTMQSICLDEFGGEKALNMSSQETTLIQHIFAGQLLSQVICTKCNKISNRYENMMDLTVEIQGDAEYLEECLDQFTAEEWLDGDNKYKCDGCNDYVKACKRLTVHRAPNVLTITLKRFQSGRFGKLNKRVAFPENLDLTPCMSGNRDGTDVYTLYAVVVHVDMLNASFFGHYICYTKGYCGRWYRIDDCKVMNVDVDEVLSQGAYMLLYRR >DRNTG_19539.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:11058115:11076518:1 gene:DRNTG_19539 transcript:DRNTG_19539.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPLFDLPALSRFFFTAVLLFAGAFFILRRAASRYFFVGDDPASFVAEETRCSPMVVAGGPCAVCGEPGTKKCSRCKSVLYCSQVCQAKHWGADHKQKCKQITSSNDVTLISGMHKRKSSGVSSISLVPSHGTCQVLPESKKILFPYDEFVKLFDWDKPGFPPCGLLNCGNSCFANVVLQCLACTRPLTAYLLEKDHSRGCIRKRDDWCFLCELQIHIQRASESLHPFSPLNILSRLPNIGGNLGCGRQEDAHEFMRFAIDTMQSICLDEFGGEKALNMSSQETTLIQHIFAGQLLSQVICTKCNKISNRYENMMDLTVEIQGDAEYLEECLDQFTAEEWLDGDNKYKCDGCNDYVKACKRLTVHRAPNVLTITLKRFQSGRFGKLNKRVAFPENLDLTPCMSGNRDGTDVYTLYAVVVHVDMLNASFFGHYICYTKGYCGRWYRIDDCKVSNSLLLFQLVNG >DRNTG_19539.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:11058115:11076518:1 gene:DRNTG_19539 transcript:DRNTG_19539.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQILFPYDEFVKLFDWDKPGFPPCGLLNCGNSCFANVVLQCLACTRPLTAYLLEKDHSRGCIRKRDDWCFLCELQIHIQRASESLHPFSPLNILSRLPNIGGNLGCGRQEDAHEFMRFAIDTMQSICLDEFGGEKALNMSSQETTLIQHIFAGQLLSQVICTKCNKISNRYENMMDLTVEIQGDAEYLEECLDQFTAEEWLDGDNKYKCDGCNDYVKACKRLTVHRAPNVLTITLKRFQSGRFGKLNKRVAFPENLDLTPCMSGNRDGTDVYTLYAVVVHVDMLNASFFGHYICYTKGYCGRWYRIDDCKVSNSLLLFQLVNG >DRNTG_00646.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:16683747:16685421:-1 gene:DRNTG_00646 transcript:DRNTG_00646.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEETPKHKLWLSNVDVFASRDHVPTVYLYKPNGDQDFFSIEILKNALSKVLVTFYPLAVARAECTIDGFNDFRPSMAVRQLLVPLVNEPERSCMLALFQLTLFKCGGVCLGCAIHHSVTDGVSAVHFINAWSDIARGLDIISVPPFLDRTVLRARSPPAVSFDHIEYTNDELYSKLKSLDDLGQECEISILTISKDQLNTLKYGFKGERSLSTFKAVAVHLWCTACKASLRSNIRGFMCMPMLVHDSSHHCLRDILAMQY >DRNTG_21233.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:218529:220324:1 gene:DRNTG_21233 transcript:DRNTG_21233.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPFSKKKEGLLLGRYELGRILGHGTFAKVYYARDARTGDSVAIKALDKERILQGGLVAHTKREVAALRRARHPNVVHLLEVMATRSKIYFVMEFVRGGELFSRVAKGRLHEDTARRYFQQLISAVAFCHARGVFHRDLKPENLLVDDTNGDLKVSDFGLSAVSDQIRQDGLFHTFCGTPAYVAPEVLARRGYDAAKVDIWSCGVILFVLMAGYLPFYDQSVMAMYKKIYKGEFRCPRWFSSDLTKLLSRLLDTNPQTRITIPEIMKSKWFKKGFKHVRYYMEDDKFYPIEDAIDYVPPPPEEKSESESDSDSSVTSCPPSLSDVERRGLGLPRPASLNAFDIISFSRGFDLSGLFEERGEETRFVSGEPVSKIIAKLEEIAKVVSFIVRKNKCRVSLEGTREGEKGPLTIAAEIFELSGSLLVVEVKKKAGDRAEYEEFCNKELKPGLQSFVYGYAVAGAIASVDVADDSGLASDFE >DRNTG_21233.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:218396:220255:1 gene:DRNTG_21233 transcript:DRNTG_21233.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPFSKKKEGLLLGRYELGRILGHGTFAKVYYARDARTGDSVAIKALDKERILQGGLVAHTKREVAALRRARHPNVVHLLEVMATRSKIYFVMEFVRGGELFSRVAKGRLHEDTARRYFQQLISAVAFCHARGVFHRDLKPENLLVDDTNGDLKVSDFGLSAVSDQIRQDGLFHTFCGTPAYVAPEVLARRGYDAAKVDIWSCGVILFVLMAGYLPFYDQSVMAMYKKIYKGEFRCPRWFSSDLTKLLSRLLDTNPQTRITIPEIMKSKWFKKGFKHVRYYMEDDKFYPIEDAIDYVPPPPEEKSESESDSDSSVTSCPPSLSDVERRGLGLPRPASLNAFDIISFSRGFDLSGLFEERGEETRFVSGEPVSKIIAKLEEIAKVVSFIVRKNKCRVSLEGTREGEKGPLTIAAEIFELSGSLLVVEVKKKAGDRAEYEEFCNKELKPGLQSFVYGYAVAGAIASVDVADDSGLASDFE >DRNTG_21233.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:218529:220216:1 gene:DRNTG_21233 transcript:DRNTG_21233.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPFSKKKEGLLLGRYELGRILGHGTFAKVYYARDARTGDSVAIKALDKERILQGGLVAHTKREVAALRRARHPNVVHLLEVMATRSKIYFVMEFVRGGELFSRVAKGRLHEDTARRYFQQLISAVAFCHARGVFHRDLKPENLLVDDTNGDLKVSDFGLSAVSDQIRQDGLFHTFCGTPAYVAPEVLARRGYDAAKVDIWSCGVILFVLMAGYLPFYDQSVMAMYKKIYKGEFRCPRWFSSDLTKLLSRLLDTNPQTRITIPEIMKSKWFKKGFKHVRYYMEDDKFYPIEDAIDYVPPPPEEKSESESDSDSSVTSCPPSLSDVERRGLGLPRPASLNAFDIISFSRGFDLSGLFEERGEETRFVSGEPVSKIIAKLEEIAKVVSFIVRKNKCRVSLEGTREGEKGPLTIAAEIFELSGSLLVVEVKKKAGDRAEYEEFCNKELKPGLQSFVYGYAVAGAIASVDVADDSGLASDFE >DRNTG_21233.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:218494:220324:1 gene:DRNTG_21233 transcript:DRNTG_21233.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPFSKKKEGLLLGRYELGRILGHGTFAKVYYARDARTGDSVAIKALDKERILQGGLVAHTKREVAALRRARHPNVVHLLEVMATRSKIYFVMEFVRGGELFSRVAKGRLHEDTARRYFQQLISAVAFCHARGVFHRDLKPENLLVDDTNGDLKVSDFGLSAVSDQIRQDGLFHTFCGTPAYVAPEVLARRGYDAAKVDIWSCGVILFVLMAGYLPFYDQSVMAMYKKIYKGEFRCPRWFSSDLTKLLSRLLDTNPQTRITIPEIMKSKWFKKGFKHVRYYMEDDKFYPIEDAIDYVPPPPEEKSESESDSDSSVTSCPPSLSDVERRGLGLPRPASLNAFDIISFSRGFDLSGLFEERGEETRFVSGEPVSKIIAKLEEIAKVVSFIVRKNKCRVSLEGTREGEKGPLTIAAEIFELSGSLLVVEVKKKAGDRAEYEEFCNKELKPGLQSFVYGYAVAGAIASVDVADDSGLASDFE >DRNTG_21233.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:218494:220216:1 gene:DRNTG_21233 transcript:DRNTG_21233.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPFSKKKEGLLLGRYELGRILGHGTFAKVYYARDARTGDSVAIKALDKERILQGGLVAHTKREVAALRRARHPNVVHLLEVMATRSKIYFVMEFVRGGELFSRVAKGRLHEDTARRYFQQLISAVAFCHARGVFHRDLKPENLLVDDTNGDLKVSDFGLSAVSDQIRQDGLFHTFCGTPAYVAPEVLARRGYDAAKVDIWSCGVILFVLMAGYLPFYDQSVMAMYKKIYKGEFRCPRWFSSDLTKLLSRLLDTNPQTRITIPEIMKSKWFKKGFKHVRYYMEDDKFYPIEDAIDYVPPPPEEKSESESDSDSSVTSCPPSLSDVERRGLGLPRPASLNAFDIISFSRGFDLSGLFEERGEETRFVSGEPVSKIIAKLEEIAKVVSFIVRKNKCRVSLEGTREGEKGPLTIAAEIFELSGSLLVVEVKKKAGDRAEYEEFCNKELKPGLQSFVYGYAVAGAIASVDVADDSGLASDFE >DRNTG_21233.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:218529:220712:1 gene:DRNTG_21233 transcript:DRNTG_21233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPFSKKKEGLLLGRYELGRILGHGTFAKVYYARDARTGDSVAIKALDKERILQGGLVAHTKREVAALRRARHPNVVHLLEVMATRSKIYFVMEFVRGGELFSRVAKGRLHEDTARRYFQQLISAVAFCHARGVFHRDLKPENLLVDDTNGDLKVSDFGLSAVSDQIRQDGLFHTFCGTPAYVAPEVLARRGYDAAKVDIWSCGVILFVLMAGYLPFYDQSVMAMYKKIYKGEFRCPRWFSSDLTKLLSRLLDTNPQTRITIPEIMKSKWFKKGFKHVRYYMEDDKFYPIEDAIDYVPPPPEEKSESESDSDSSVTSCPPSLSDVERRGLGLPRPASLNAFDIISFSRGFDLSGLFEERGEETRFVSGEPVSKIIAKLEEIAKVVSFIVRKNKCRVSLEGTREGEKGPLTIAAEIFELSGSLLVVEVKKKAGDRAEYEEFCNKELKPGLQSFVYGYAVAGAIASVDVADDSGLASDFE >DRNTG_21233.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:218529:220255:1 gene:DRNTG_21233 transcript:DRNTG_21233.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPFSKKKEGLLLGRYELGRILGHGTFAKVYYARDARTGDSVAIKALDKERILQGGLVAHTKREVAALRRARHPNVVHLLEVMATRSKIYFVMEFVRGGELFSRVAKGRLHEDTARRYFQQLISAVAFCHARGVFHRDLKPENLLVDDTNGDLKVSDFGLSAVSDQIRQDGLFHTFCGTPAYVAPEVLARRGYDAAKVDIWSCGVILFVLMAGYLPFYDQSVMAMYKKIYKGEFRCPRWFSSDLTKLLSRLLDTNPQTRITIPEIMKSKWFKKGFKHVRYYMEDDKFYPIEDAIDYVPPPPEEKSESESDSDSSVTSCPPSLSDVERRGLGLPRPASLNAFDIISFSRGFDLSGLFEERGEETRFVSGEPVSKIIAKLEEIAKVVSFIVRKNKCRVSLEGTREGEKGPLTIAAEIFELSGSLLVVEVKKKAGDRAEYEEFCNKELKPGLQSFVYGYAVAGAIASVDVADDSGLASDFE >DRNTG_21233.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:218396:220324:1 gene:DRNTG_21233 transcript:DRNTG_21233.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPFSKKKEGLLLGRYELGRILGHGTFAKVYYARDARTGDSVAIKALDKERILQGGLVAHTKREVAALRRARHPNVVHLLEVMATRSKIYFVMEFVRGGELFSRVAKGRLHEDTARRYFQQLISAVAFCHARGVFHRDLKPENLLVDDTNGDLKVSDFGLSAVSDQIRQDGLFHTFCGTPAYVAPEVLARRGYDAAKVDIWSCGVILFVLMAGYLPFYDQSVMAMYKKIYKGEFRCPRWFSSDLTKLLSRLLDTNPQTRITIPEIMKSKWFKKGFKHVRYYMEDDKFYPIEDAIDYVPPPPEEKSESESDSDSSVTSCPPSLSDVERRGLGLPRPASLNAFDIISFSRGFDLSGLFEERGEETRFVSGEPVSKIIAKLEEIAKVVSFIVRKNKCRVSLEGTREGEKGPLTIAAEIFELSGSLLVVEVKKKAGDRAEYEEFCNKELKPGLQSFVYGYAVAGAIASVDVADDSGLASDFE >DRNTG_21233.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:218396:220216:1 gene:DRNTG_21233 transcript:DRNTG_21233.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPFSKKKEGLLLGRYELGRILGHGTFAKVYYARDARTGDSVAIKALDKERILQGGLVAHTKREVAALRRARHPNVVHLLEVMATRSKIYFVMEFVRGGELFSRVAKGRLHEDTARRYFQQLISAVAFCHARGVFHRDLKPENLLVDDTNGDLKVSDFGLSAVSDQIRQDGLFHTFCGTPAYVAPEVLARRGYDAAKVDIWSCGVILFVLMAGYLPFYDQSVMAMYKKIYKGEFRCPRWFSSDLTKLLSRLLDTNPQTRITIPEIMKSKWFKKGFKHVRYYMEDDKFYPIEDAIDYVPPPPEEKSESESDSDSSVTSCPPSLSDVERRGLGLPRPASLNAFDIISFSRGFDLSGLFEERGEETRFVSGEPVSKIIAKLEEIAKVVSFIVRKNKCRVSLEGTREGEKGPLTIAAEIFELSGSLLVVEVKKKAGDRAEYEEFCNKELKPGLQSFVYGYAVAGAIASVDVADDSGLASDFE >DRNTG_21233.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:218494:220255:1 gene:DRNTG_21233 transcript:DRNTG_21233.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPFSKKKEGLLLGRYELGRILGHGTFAKVYYARDARTGDSVAIKALDKERILQGGLVAHTKREVAALRRARHPNVVHLLEVMATRSKIYFVMEFVRGGELFSRVAKGRLHEDTARRYFQQLISAVAFCHARGVFHRDLKPENLLVDDTNGDLKVSDFGLSAVSDQIRQDGLFHTFCGTPAYVAPEVLARRGYDAAKVDIWSCGVILFVLMAGYLPFYDQSVMAMYKKIYKGEFRCPRWFSSDLTKLLSRLLDTNPQTRITIPEIMKSKWFKKGFKHVRYYMEDDKFYPIEDAIDYVPPPPEEKSESESDSDSSVTSCPPSLSDVERRGLGLPRPASLNAFDIISFSRGFDLSGLFEERGEETRFVSGEPVSKIIAKLEEIAKVVSFIVRKNKCRVSLEGTREGEKGPLTIAAEIFELSGSLLVVEVKKKAGDRAEYEEFCNKELKPGLQSFVYGYAVAGAIASVDVADDSGLASDFE >DRNTG_04959.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3943769:3944347:1 gene:DRNTG_04959 transcript:DRNTG_04959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKWQKVAAMARKISSPRVDDCSDFSACSTSSIAEKGHFNVYTLEGKRFMIPLAYLNNVVFKELLKISEEEFGLPGDGPITLPCDAISMDYVLSLLRKGVSQEVERALLSSMFIASPTTCTTFSIQNMQQLTICSF >DRNTG_07614.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000340.1:60808:63031:1 gene:DRNTG_07614 transcript:DRNTG_07614.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFNVLNWWQGNGNPYPILSLMVKDIFACPMSTVA >DRNTG_17886.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:12316383:12319193:-1 gene:DRNTG_17886 transcript:DRNTG_17886.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGIVSVLPSPCPSSLPGAVAGTSISTATTRPAVILPGLGNNTGDYHRLVSTLREAHGIPSVVAKVSRVDWLRNAAGVLDRNYWKGTLRPRPVLDWYLERVDQAVSEAKMLCRQGERISLIGHSAGGWLARVYMQEFGTANVALLLTLGTPHLPPSKGSPGVFDQTRGLLDYVEKYCSPALYTPELRYVCIAGRYIQGAHFGGDDKIISNEVGKLGGTFELAMDSGNQPPLAGPTLRARFIGQGYKQVCGRAEVWGDGVVPEISAHLEGALNISLEGVYHSPVGSDDESRPWYGSPAIVEKWVHHLLA >DRNTG_32029.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:8364967:8365646:-1 gene:DRNTG_32029 transcript:DRNTG_32029.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNDVMDPFQDDAHETTMAMIGDVARNLHDIEIVILPIIMNGHFHVVVLDNNKQEYKHYFSCQNEEYDKNALKMQKLFNICIDMEFGKSATAKYPLVYNIETPQQKQGSVDCAVYVMRFIEQLLADEKLRLPQTEVPYLRLKYISRILKEGRAVGITDKEGSSKTG >DRNTG_31086.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:15681378:15682977:-1 gene:DRNTG_31086 transcript:DRNTG_31086.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTQGTLGELLEKDIMANAKNAYSPDSASSPFALAPSPKMERFLASVWSLTPKVMLVTEQESNHNGATVNERFVEALNFYAALFDCLESTVPRISPERMKVEKMMFGEEIKNIIACEGGERKERHEKLDKWVQRLDIAGFCRVPLSYLPLLQADRFLQSYGCDGYKLKEENGYIQICWQDRALFSVSAWRCRRFE >DRNTG_11996.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:6594277:6599367:1 gene:DRNTG_11996 transcript:DRNTG_11996.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPEEQISRQLEKCKSRAAMAAFVAEIMQRSMPDALIGVAIIFAAAARYRSYWPILLSPLPLPLFVAVGNRCCPLPPSLTVIAAISFIPYKEKEKVKDEEEEEEEEEDDDDDDDDDDDDLKANMVHKEASEEEHEQNEEKNLVTWGTDVPEDLILDQNTTHVADPRSVVPLHGPASSQGFVPSPSPYGAPRGPPSSAHGWKRAVICGISYRFFRHELKGCINDAKCIKHLINKFWFPELPIIMLTEEETDRNKIPTKHNIRMALFWLAQGCQLGNSLVFHYSGHGSQQRNYNGDEADGYDETLCPLDFETQGMIVDDEINATIVRPLPHDAKLHAIIDTCHSGTVLDLPYLRRIVGMGNIGGKIIIHDPVFDSAHVGGEKWDEVLQEMKENSWFREALARFLERFYGLRHRVPSEDSYWGSFRGHQFGEVCPMLDKGTFEEADATPHDH >DRNTG_05835.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31652004:31653208:1 gene:DRNTG_05835 transcript:DRNTG_05835.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allene oxide cyclase, Biosynthesis of jasmonic acid, Defence respons [Source: Projected from Oryza sativa (Os03g0438100)] MAAAAATATPSLRRPSSILFSKLSKSPKSLGSLRPQSTSPILSKPLKHITTTSIPSHRTISSSIFSFKPKHDSSNRQDSGKVQELCVYEINERDRASPAYLRLSQQQVNCLGDLVPFTNKLYSGNLEKRLGITAGLCILIQHVKERNGDRYEAIYSFYFGDYGHISVQGPYLTYEDTYLAVTGGSGIFEGVYGQVKLQQIIFPFKLFYTFYLKGIPDLPSELLGRPVPPAPGVEPSPAAKAAEPHATVKNYTN >DRNTG_14544.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:27:49:761:-1 gene:DRNTG_14544 transcript:DRNTG_14544.3 gene_biotype:protein_coding transcript_biotype:protein_coding ERERERERSIGREEKSESDRSEREREIEIERTVRVHLHLPCKQELGFRFNEARRNELSNEDFYWELTLNWRAGGNGLVFLGFTTCLLWIDFFLVISSLTFGKVREFLGFYFYVELEILFRSIDV >DRNTG_14544.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:27:49:761:-1 gene:DRNTG_14544 transcript:DRNTG_14544.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERERERERSIGREEKSESDRSEREREIEIERTVRVHLHLPCKQELGFRFNEARRNELSNEDFYWELTLNWRAGGNGLVFLGFTTCLLWIDFFLVISSLTFGKICVAWCLSC >DRNTG_08755.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:18588810:18592145:1 gene:DRNTG_08755 transcript:DRNTG_08755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRRQSIPRTFSTIDDADSSSLAARAIRDHDLAHAAAAGAGWADNRHKFRPSPPPDATAFEYASTSTYDEPKNGFWGVLARKAKSILEDNKANDQFESSGMKQPPQMIVTGDQFQSYQSFELPQKRENPSFHKNSDALAASITKFGGTIRNALEEGLTIMEHKTADIIQETRKLQIKRKEGGPDTLAEPIDRLSPQNLPQIKAEQEAQLKASRNVANSMAAKAKLLLRELKTVKADLAFVKQRCNQLEDENKVLRENREKGARHEDDDLIRLQLETLLAEKARLAHENSLYTRENRFLREIVEYHQPEMQDVVYLDEDIDDDTTELCPSKMTSPLSSPAANRRSPSPIITTIPCPGSPGSSSTSTPSPTKSSASAHP >DRNTG_06204.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25147680:25151421:-1 gene:DRNTG_06204 transcript:DRNTG_06204.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVISRPSVATKPNPANLDPSIHSTDLNSGSQVSHVRQEPVFPEKASHIESQVIEIDVNLQTNPNHTEVEAAGMAFNTESFDELIPPTSSIGFTEPLIGQDHSPRSSPHREISSEFDMATADVFGIADLVDVLLQPSVFTDRQLSKFQPKRSQHSQPKAGKTKSVSFKILVESDASHQILETNGQGGESIGMGTSLAQMTDGDSVEHDPQPLSAVSTCSADIQVGKKSPHCGTGKCQNISEEPSKVIESQDAEDLIEQILNGLPAWSTAEDDGSSKSSRKLRKRTSTQSHSAEHDGRTSEDYNDDLSSVSQMDEDRSDNEYTMEDMSKQKMGSKNLKKKTSESKKPVQRNRKASAKPDSTFTRQEKHPVGTKRKRRLVDKTLLEKPENEIDPKRISIKDLILLAEAKEKISSKEATASGRSIPKQRDANYPNKDQFDVDPFGDEQEGDEDNNSHHVNSRKLNYHSYLNKSQSTRWSKLETDLFYQGVRQFGTDFAMIKQLFPNRTRHQVKLKFKNEERKKPLQIADALLHRSKDNSHFELVIQQLQAQSKQGKEDESHEPSVASEDKCGDEDTNQEVNKFDDELEGSFDWDDNASYSPGKVKDILGDLFS >DRNTG_06204.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25147680:25152105:-1 gene:DRNTG_06204 transcript:DRNTG_06204.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDSDCLDDLFSSTSTANDEASIKFRPKQPLKMTKVISRPSVATKPNPANLDPSIHSTDLNSGSQVSHVRQEPVFPEKASHIESQVIEIDVNLQTNPNHTEVEAAGMAFNTESFDELIPPTSSIGFTEPLIGQDHSPRSSPHREISSEFDMATADVFGIADLVDVLLQPSVFTDRQLSKFQPKRSQHSQPKAGKTKSVSFKILVESDASHQILETNGQGGESIGMGTSLAQMTDGDSVEHDPQPLSAVSTCSADIQSPHCGTGKCQNISEEPSKVIESQDAEDLIEQILNGLPAWSTAEDDGSSKSSRKLRKRTSTQSHSAEHDGRTSEDYNDDLSSVSQMDEDRSDNEYTMEDMSKQKMGSKNLKKKTSESKKPVQRNRKASAKPDSTFTRQEKHPVGTKRKRRLVDKTLLEKPENEIDPKRISIKDLILLAEAKEKISSKEATASGRSIPKQRDANYPNKDQFDVDPFGDEQEGDEDNNSHHVNSRKLNYHSYLNKSQSTRWSKLETDLFYQGVRQFGTDFAMIKQLFPNRTRHQVKLKFKNEERKKPLQIADALLHRSKDNSHFELVIQQLQAQSKQGKEDESHEPSVASEDKCGDEDTNQEVNKFDDELEGSFDWDDNASYSPGKVKDILGDLFS >DRNTG_06204.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25147680:25152105:-1 gene:DRNTG_06204 transcript:DRNTG_06204.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDRSDNEYTMEDMSKQKMGSKNLKKKTSESKKPVQRNRKASAKPDSTFTRQEKHPVGTKRKRRLVDKTLLEKPENEIDPKRISIKDLILLAEAKEKISSKEATASGRSIPKQRDANYPNKDQFDVDPFGDEQEGDEDNNSHHVNSRKLNYHSYLNKSQSTRWSKLETDLFYQGVRQFGTDFAMIKQLFPNRTRHQVKLKFKNEERKKPLQIADALLHRSKDNSHFELVIQQLQAQSKQGKEDESHEPSVASEDKCGDEDTNQEVNKFDDELEGSFDWDDNASYSPGKVKDILGDLFS >DRNTG_06204.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25147680:25152105:-1 gene:DRNTG_06204 transcript:DRNTG_06204.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDSDCLDDLFSSTSTANDEASIKFRPKQPLKMTKVISRPSVATKPNPANLDPSIHSTDLNSGSQVSHVRQEPVFPEKASHIESQVIEIDVNLQTNPNHTEVEAAGMAFNTESFDELIPPTSSIGFTEPLIGQDHSPRSSPHREISSEFDMATADVFGIADLVDVLLQPSVFTDRQLSKFQPKRSQHSQPKAGKTKSVSFKILVESDASHQILETNGQGGESIGMGTSLAQMTDGDSVEHDPQPLSAVSTCSADIQVGKKSPHCGTGKCQNISEEPSKVIESQDAEDLIEQILNGLPAWSTAEDDGSSKSSRKLRKRTSTQSHSAEHDGRTSEDYNDDLSSVSQMDEDRSDNEYTMEDMSKQKMGSKNLKKKTSESKKPVQRNRKASAKPDSTFTRQEKHPVGTKRKRRLVDKTLLEKPENEIDPKRISIKDLILLAEAKEKISSKEATASGRSIPKQRDANYPNKDQFDVDPFGDEQEGDEDNNSHHVNSRKLNYHSYLNKSQSTRWSKLETDLFYQGVRQFGTDFAMIKQLFPNRTRHQVKLKFKNEERKKPLQIADALLHRSKDNSHFELVIQQLQAQSKQGKEDESHEPSVASEDKCGDEDTNQEVNKFDDELEGSFDWDDNASYSPGKVKDILGDLFS >DRNTG_23768.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9393716:9395483:1 gene:DRNTG_23768 transcript:DRNTG_23768.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGMSIHKERMFVDLFCIVCRFLFYLEKTHGRVEFPHGGVFLFIALLLYPEKKQRHVSAPMSDLVKGKRMAPKSKKLASKPPHEPSLEHLEFAIHKHQASFERLSKLKFSQLYDEDCIDTEEYEQLPTDYPGSLTLQRAYRMLLIMMMDLLEAIRGVEKDDCSIPSWPRDHAIDGYGVQIWAEHKCDDHATTRDGRG >DRNTG_18680.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2099044:2102926:1 gene:DRNTG_18680 transcript:DRNTG_18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLEGMAHRKSTDQKPEEHQTTSIKESTSLNSTSTIQYTKNQSSFPRIKRRKPPKLFSPTNASRPLHHPASSIAAF >DRNTG_18680.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2101820:2102926:1 gene:DRNTG_18680 transcript:DRNTG_18680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLREENTVIDIYPSARRQMHLEGMAHRKSTDQKPEEHQTTSIKESTSLNSTSTIQYTKNQSSFPRIKRRKPPKLFSPTNASRPLHHPASSIAAF >DRNTG_18680.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2099044:2102926:1 gene:DRNTG_18680 transcript:DRNTG_18680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLCLRCLLHKLQIAIPSARRQMHLEGMAHRKSTDQKPEEHQTTSIKESTSLNSTSTIQYTKNQSSFPRIKRRKPPKLFSPTNASRPLHHPASSIAAF >DRNTG_30328.13.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19885777:19888309:1 gene:DRNTG_30328 transcript:DRNTG_30328.13 gene_biotype:protein_coding transcript_biotype:protein_coding MCTGPTKPSPPPSPPSGPLSMAVDVVKDRFAPLLLELAAFDDLLGFRKLVEDEGAAIDVAAPWYGRSFGCSRRMRLDLRSPLQIAALYGSTSVLEYILTFFPAAIDVNRPFGSDSATALHLAAGGGSASSVDAVRLLLAAAADVEVVDGGGNRAAELIPRHLHASVSMVKSLETLLKASCPCPRVSSPSKEVEAKMGGEKKEYPLDLTLPDIKNGIYGTDEFRMYSFKIKPCSRAYSHDWTECPFVHPGENARRRDPRKYLYSCVPCPEFRKGSCRNGDACEYAHGVFECWLHPAQYRTRLCKDETGCNRRVCFFAHKTEELRSVNPSTVSASGLVVSSPRSATSSLGVSSLDMATAMMLMQPSSPSTSSALAASAWLNQSGGGAVTPYVAAPEQSAEVYAERQRHPTGP >DRNTG_30328.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19885679:19889129:1 gene:DRNTG_30328 transcript:DRNTG_30328.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCTGPTKPSPPPSPPSGPLSMAVDVVKDRFAPLLLELAAFDDLLGFRKLVEDEGAAIDVAAPWYGRSFGCSRRMRLDLRSPLQIAALYGSTSVLEYILTFFPAAIDVNRPFGSDSATALHLAAGGGSASSVDAVRLLLAAAADVEVVDGGGNRAAELIPRHLHASVSMVKSLETLLKASCPCPRVSSPSKEVEAKMGGEKKEYPLDLTLPDIKNGIYGTDEFRMYSFKIKPCSRAYSHDWTECPFVHPGENARRRDPRKYLYSCVPCPEFRKGSCRNGDACEYAHGVFECWLHPAQYRTRLCKDETGCNRRVCFFAHKTEELRSVNPSTVSASGLVVSSPRSATSSLGVSSLDMATAMMLMQPSSPSTSSALAASAWLNQSGGGAVTPYVAAPEQSAEVYAERQRHPTGP >DRNTG_30328.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19885777:19917735:1 gene:DRNTG_30328 transcript:DRNTG_30328.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTGPTKPSPPPSPPSGPLSMAVDVVKDRFAPLLLELAAFDDLLGFRKLVEDEGAAIDVAAPWYGRSFGCSRRMRLDLRSPLQIAALYGSTSVLEYILTFFPAAIDVNRPFGSDSATALHLAAGGGSASSVDAVRLLLAAAADVEVVDGGGNRAAELIPRHLHASVSMVKSLETLLKASCPCPRVSSPSKEVEAKMGGEKKEYPLDLTLPDIKNGIYGTDEFRMYSFKIKPCSRAYSHDWTECPFVHPGENARRRDPRKYLYSCVPCPEFRKGSCRNGDACEYAHGVFECWLHPAQYRTRLCKDETGCNRRVCFFAHKTEELRSVNPSTVSASGLVVSSPRSATSSLGVSSLDMATAMMLMQPSSPSTSSALAASAWLNQSGGGAVTPYVAAPEQSAEVYAERQRHPTGP >DRNTG_30328.9.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19885719:19888363:1 gene:DRNTG_30328 transcript:DRNTG_30328.9 gene_biotype:protein_coding transcript_biotype:protein_coding MCTGPTKPSPPPSPPSGPLSMAVDVVKDRFAPLLLELAAFDDLLGFRKLVEDEGAAIDVAAPWYGRSFGCSRRMRLDLRSPLQIAALYGSTSVLEYILTFFPAAIDVNRPFGSDSATALHLAAGGGSASSVDAVRLLLAAAADVEVVDGGGNRAAELIPRHLHASVSMVKSLETLLKASCPCPRVSSPSKEVEAKMGGEKKEYPLDLTLPDIKNGIYGTDEFRMYSFKIKPCSRAYSHDWTECPFVHPGENARRRDPRKYLYSCVPCPEFRKGSCRNGDACEYAHGVFECWLHPAQYRTRLCKDETGCNRRVCFFAHKTEELRSVNPSTVSASGLVVSSPRSATSSLGVSSLDMATAMMLMQPSSPSTSSALAASAWLNQSGGGAVTPYVAAPEQSAEVYAERQRHPTGP >DRNTG_30328.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19885719:19888309:1 gene:DRNTG_30328 transcript:DRNTG_30328.10 gene_biotype:protein_coding transcript_biotype:protein_coding MCTGPTKPSPPPSPPSGPLSMAVDVVKDRFAPLLLELAAFDDLLGFRKLVEDEGAAIDVAAPWYGRSFGCSRRMRLDLRSPLQIAALYGSTSVLEYILTFFPAAIDVNRPFGSDSATALHLAAGGGSASSVDAVRLLLAAAADVEVVDGGGNRAAELIPRHLHASVSMVKSLETLLKASCPCPRVSSPSKEVEAKMGGEKKEYPLDLTLPDIKNGIYGTDEFRMYSFKIKPCSRAYSHDWTECPFVHPGENARRRDPRKYLYSCVPCPEFRKGSCRNGDACEYAHGVFECWLHPAQYRTRLCKDETGCNRRVCFFAHKTEELRSVNPSTVSASGLVVSSPRSATSSLGVSSLDMATAMMLMQPSSPSTSSALAASAWLNQSGGGAVTPYVAAPEQSAEVYAERQRHPTGP >DRNTG_30328.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19885679:19888248:1 gene:DRNTG_30328 transcript:DRNTG_30328.12 gene_biotype:protein_coding transcript_biotype:protein_coding MCTGPTKPSPPPSPPSGPLSMAVDVVKDRFAPLLLELAAFDDLLGFRKLVEDEGAAIDVAAPWYGRSFGCSRRMRLDLRSPLQIAALYGSTSVLEYILTFFPAAIDVNRPFGSDSATALHLAAGGGSASSVDAVRLLLAAAADVEVVDGGGNRAAELIPRHLHASVSMVKSLETLLKASCPCPRVSSPSKEVEAKMGGEKKEYPLDLTLPDIKNGIYGTDEFRMYSFKIKPCSRAYSHDWTECPFVHPGENARRRDPRKYLYSCVPCPEFRKGSCRNGDACEYAHGVFECWLHPAQYRTRLCKDETGCNRRVCFFAHKTEELRSVNPSTVSASGLVVSSPRSATSSLGVSSLDMATAMMLMQPSSPSTSSALAASAWLNQSGGGAVTPYVAAPEQSAEVYAERQRHPTGP >DRNTG_30328.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19885563:19888309:1 gene:DRNTG_30328 transcript:DRNTG_30328.7 gene_biotype:protein_coding transcript_biotype:protein_coding MCTGPTKPSPPPSPPSGPLSMAVDVVKDRFAPLLLELAAFDDLLGFRKLVEDEGAAIDVAAPWYGRSFGCSRRMRLDLRSPLQIAALYGSTSVLEYILTFFPAAIDVNRPFGSDSATALHLAAGGGSASSVDAVRLLLAAAADVEVVDGGGNRAAELIPRHLHASVSMVKSLETLLKASCPCPRVSSPSKEVEAKMGGEKKEYPLDLTLPDIKNGIYGTDEFRMYSFKIKPCSRAYSHDWTECPFVHPGENARRRDPRKYLYSCVPCPEFRKGSCRNGDACEYAHGVFECWLHPAQYRTRLCKDETGCNRRVCFFAHKTEELRSVNPSTVSASGLVVSSPRSATSSLGVSSLDMATAMMLMQPSSPSTSSALAASAWLNQSGGGAVTPYVAAPEQSAEVYAERQRHPTGP >DRNTG_30328.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19885777:19888248:1 gene:DRNTG_30328 transcript:DRNTG_30328.15 gene_biotype:protein_coding transcript_biotype:protein_coding MCTGPTKPSPPPSPPSGPLSMAVDVVKDRFAPLLLELAAFDDLLGFRKLVEDEGAAIDVAAPWYGRSFGCSRRMRLDLRSPLQIAALYGSTSVLEYILTFFPAAIDVNRPFGSDSATALHLAAGGGSASSVDAVRLLLAAAADVEVVDGGGNRAAELIPRHLHASVSMVKSLETLLKASCPCPRVSSPSKEVEAKMGGEKKEYPLDLTLPDIKNGIYGTDEFRMYSFKIKPCSRAYSHDWTECPFVHPGENARRRDPRKYLYSCVPCPEFRKGSCRNGDACEYAHGVFECWLHPAQYRTRLCKDETGCNRRVCFFAHKTEELRSVNPSTVSASGLVVSSPRSATSSLGVSSLDMATAMMLMQPSSPSTSSALAASAWLNQSGGGAVTPYVAAPEQSAEVYAERQRHPTGP >DRNTG_30328.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19885777:19889129:1 gene:DRNTG_30328 transcript:DRNTG_30328.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCTGPTKPSPPPSPPSGPLSMAVDVVKDRFAPLLLELAAFDDLLGFRKLVEDEGAAIDVAAPWYGRSFGCSRRMRLDLRSPLQIAALYGSTSVLEYILTFFPAAIDVNRPFGSDSATALHLAAGGGSASSVDAVRLLLAAAADVEVVDGGGNRAAELIPRHLHASVSMVKSLETLLKASCPCPRVSSPSKEVEAKMGGEKKEYPLDLTLPDIKNGIYGTDEFRMYSFKIKPCSRAYSHDWTECPFVHPGENARRRDPRKYLYSCVPCPEFRKGSCRNGDACEYAHGVFECWLHPAQYRTRLCKDETGCNRRVCFFAHKTEELRSVNPSTVSASGLVVSSPRSATSSLGVSSLDMATAMMLMQPSSPSTSSALAASAWLNQSGGGAVTPYVAAPEQSAEVYAERQRHPTGP >DRNTG_30328.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19885777:19888363:1 gene:DRNTG_30328 transcript:DRNTG_30328.11 gene_biotype:protein_coding transcript_biotype:protein_coding MCTGPTKPSPPPSPPSGPLSMAVDVVKDRFAPLLLELAAFDDLLGFRKLVEDEGAAIDVAAPWYGRSFGCSRRMRLDLRSPLQIAALYGSTSVLEYILTFFPAAIDVNRPFGSDSATALHLAAGGGSASSVDAVRLLLAAAADVEVVDGGGNRAAELIPRHLHASVSMVKSLETLLKASCPCPRVSSPSKEVEAKMGGEKKEYPLDLTLPDIKNGIYGTDEFRMYSFKIKPCSRAYSHDWTECPFVHPGENARRRDPRKYLYSCVPCPEFRKGSCRNGDACEYAHGVFECWLHPAQYRTRLCKDETGCNRRVCFFAHKTEELRSVNPSTVSASGLVVSSPRSATSSLGVSSLDMATAMMLMQPSSPSTSSALAASAWLNQSGGGAVTPYVAAPEQSAEVYAERQRHPTGP >DRNTG_30328.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19885719:19889129:1 gene:DRNTG_30328 transcript:DRNTG_30328.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCTGPTKPSPPPSPPSGPLSMAVDVVKDRFAPLLLELAAFDDLLGFRKLVEDEGAAIDVAAPWYGRSFGCSRRMRLDLRSPLQIAALYGSTSVLEYILTFFPAAIDVNRPFGSDSATALHLAAGGGSASSVDAVRLLLAAAADVEVVDGGGNRAAELIPRHLHASVSMVKSLETLLKASCPCPRVSSPSKEVEAKMGGEKKEYPLDLTLPDIKNGIYGTDEFRMYSFKIKPCSRAYSHDWTECPFVHPGENARRRDPRKYLYSCVPCPEFRKGSCRNGDACEYAHGVFECWLHPAQYRTRLCKDETGCNRRVCFFAHKTEELRSVNPSTVSASGLVVSSPRSATSSLGVSSLDMATAMMLMQPSSPSTSSALAASAWLNQSGGGAVTPYVAAPEQSAEVYAERQRHPTGP >DRNTG_30328.14.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19885719:19888248:1 gene:DRNTG_30328 transcript:DRNTG_30328.14 gene_biotype:protein_coding transcript_biotype:protein_coding MCTGPTKPSPPPSPPSGPLSMAVDVVKDRFAPLLLELAAFDDLLGFRKLVEDEGAAIDVAAPWYGRSFGCSRRMRLDLRSPLQIAALYGSTSVLEYILTFFPAAIDVNRPFGSDSATALHLAAGGGSASSVDAVRLLLAAAADVEVVDGGGNRAAELIPRHLHASVSMVKSLETLLKASCPCPRVSSPSKEVEAKMGGEKKEYPLDLTLPDIKNGIYGTDEFRMYSFKIKPCSRAYSHDWTECPFVHPGENARRRDPRKYLYSCVPCPEFRKGSCRNGDACEYAHGVFECWLHPAQYRTRLCKDETGCNRRVCFFAHKTEELRSVNPSTVSASGLVVSSPRSATSSLGVSSLDMATAMMLMQPSSPSTSSALAASAWLNQSGGGAVTPYVAAPEQSAEVYAERQRHPTGP >DRNTG_30328.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19885563:19889129:1 gene:DRNTG_30328 transcript:DRNTG_30328.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTGPTKPSPPPSPPSGPLSMAVDVVKDRFAPLLLELAAFDDLLGFRKLVEDEGAAIDVAAPWYGRSFGCSRRMRLDLRSPLQIAALYGSTSVLEYILTFFPAAIDVNRPFGSDSATALHLAAGGGSASSVDAVRLLLAAAADVEVVDGGGNRAAELIPRHLHASVSMVKSLETLLKASCPCPRVSSPSKEVEAKMGGEKKEYPLDLTLPDIKNGIYGTDEFRMYSFKIKPCSRAYSHDWTECPFVHPGENARRRDPRKYLYSCVPCPEFRKGSCRNGDACEYAHGVFECWLHPAQYRTRLCKDETGCNRRVCFFAHKTEELRSVNPSTVSASGLVVSSPRSATSSLGVSSLDMATAMMLMQPSSPSTSSALAASAWLNQSGGGAVTPYVAAPEQSAEVYAERQRHPTGP >DRNTG_30328.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19885563:19888248:1 gene:DRNTG_30328 transcript:DRNTG_30328.8 gene_biotype:protein_coding transcript_biotype:protein_coding MCTGPTKPSPPPSPPSGPLSMAVDVVKDRFAPLLLELAAFDDLLGFRKLVEDEGAAIDVAAPWYGRSFGCSRRMRLDLRSPLQIAALYGSTSVLEYILTFFPAAIDVNRPFGSDSATALHLAAGGGSASSVDAVRLLLAAAADVEVVDGGGNRAAELIPRHLHASVSMVKSLETLLKASCPCPRVSSPSKEVEAKMGGEKKEYPLDLTLPDIKNGIYGTDEFRMYSFKIKPCSRAYSHDWTECPFVHPGENARRRDPRKYLYSCVPCPEFRKGSCRNGDACEYAHGVFECWLHPAQYRTRLCKDETGCNRRVCFFAHKTEELRSVNPSTVSASGLVVSSPRSATSSLGVSSLDMATAMMLMQPSSPSTSSALAASAWLNQSGGGAVTPYVAAPEQSAEVYAERQRHPTGP >DRNTG_30328.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19885563:19888363:1 gene:DRNTG_30328 transcript:DRNTG_30328.6 gene_biotype:protein_coding transcript_biotype:protein_coding MCTGPTKPSPPPSPPSGPLSMAVDVVKDRFAPLLLELAAFDDLLGFRKLVEDEGAAIDVAAPWYGRSFGCSRRMRLDLRSPLQIAALYGSTSVLEYILTFFPAAIDVNRPFGSDSATALHLAAGGGSASSVDAVRLLLAAAADVEVVDGGGNRAAELIPRHLHASVSMVKSLETLLKASCPCPRVSSPSKEVEAKMGGEKKEYPLDLTLPDIKNGIYGTDEFRMYSFKIKPCSRAYSHDWTECPFVHPGENARRRDPRKYLYSCVPCPEFRKGSCRNGDACEYAHGVFECWLHPAQYRTRLCKDETGCNRRVCFFAHKTEELRSVNPSTVSASGLVVSSPRSATSSLGVSSLDMATAMMLMQPSSPSTSSALAASAWLNQSGGGAVTPYVAAPEQSAEVYAERQRHPTGP >DRNTG_05085.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:6195757:6196586:-1 gene:DRNTG_05085 transcript:DRNTG_05085.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTYKGANLVDFEVVKASSRGFDHNLNVPQGLNLDIFKGSNLDTFKSSNPPNKANNDASKVLGVNLTFPSMEPPQAIHWAAQCSSLAFGLMRSLNQRLEDSNIHQKKLQLTIDDLQKHNKEITTHLQTSEAELTSLRGYASKCSTLNNENKRLGDK >DRNTG_01304.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1871291:1872538:1 gene:DRNTG_01304 transcript:DRNTG_01304.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATINPSDKGRHVKHISQGDIPFSGPLLVPASSGFAWVKKPKEDQPFARSLSKSSSKRSASGASESSGTLQAKNSLESRIQSNGDAANGASACSGGHGQSEFAKYAMLKKWAQLECPDSFDASDMYHSQKFTEAFYSKDLLPSNHSILDYHVEPEKVEFSGPLLSQSHKVDELLRKHDRHIRQATRRSWLRRVTGIKQGK >DRNTG_01304.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1868212:1872538:1 gene:DRNTG_01304 transcript:DRNTG_01304.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKKAVSVTPAFERQDSSAVLASSSLRCRTGSGGPEPKDDGERIESGESGRGSSNFTNSRSFRLRNLHRYIEGEQVAAGWPSWLSAVAGEAIQGWVPLKADNFEKLEKVGQGTYSSVFRARDVETGNIVAMKKVRFDNFEPESVRFMAREIQILRGLDHPNIVKLEGIITSRLSCSIYLVFEYMEHDLVGLSSSPDIQFTESQVKCYMHQLLSGLEHCHSRGIIHRDIKGANLLVNNEGILKIADFGLANFCDSRKTQPLTSRVVTLWYRPPELLLGSTDYEATVDLWSVGCVFAEMFVKKPILQGRTEVEQLHKIFKLCGSPSDEYWKKSKLPNATIFKPHHPYANCLWSTFKDLPANAFRLLETFLSVEPHKRGTASSALVSEYFTTKPYACEPSSLPKYPPNKEIDAKYREESQRKKQAAKRGHTTVRTSRVYRTSREPFNQNKLAVRQEESKTNAQDNGEGYVKPNHRSVNGDTRLFVDLQPMATINPSDKGRHVKHISQGDIPFSGPLLVPASSGFAWVKKPKEDQPFARSLSKSSSKRSASGASESSGTLQAKNSLESRIQSNGDAANGASACSGGHGQSEFAKYAMLKKWAQLECPDSFDASDMYHSQKFTEAFYSKDLLPSNHSILDYHVEPEKVEFSGPLLSQSHKVDELLRKHDRHIRQATRRSWLRRVTGIKQGK >DRNTG_01304.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1868144:1870038:1 gene:DRNTG_01304 transcript:DRNTG_01304.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKKAVSVTPAFERQDSSAVLASSSLRCRTGSGGPEPKDDGERIESGESGRGSSNFTNSRSFRLRNLHRYIEGEQVAAGWPSWLSAVAGEAIQGWVPLKADNFEKLEKVGQGTYSSVFRARDVETGNIVAMKKVRFDNFEPESVRFMAREIQILRGLDHPNIVKLEGIITSRLSCSIYLVFEYMEHDLVGLSSSPDIQFTESQVKCYMHQLLSGLEHCHSRGIIHRDIKGANLLVNNEGILKIADFGLANFCDSRKTQPLTSRVVTLWYRPPELLLGSTDYEATVDLWSVGCVFAEMFVKKPILQGRTEVFRIYLAIFLHKISHFL >DRNTG_01304.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1871291:1872673:1 gene:DRNTG_01304 transcript:DRNTG_01304.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATINPSDKGRHVKHISQGDIPFSGPLLVPASSGFAWVKKPKEDQPFARSLSKSSSKRSASGASESSGTLQAKNSLESRIQSNGDAANGASACSGGHGQSEFAKYAMLKKWAQLECPDSFDASDMYHSQKFTEAFYSKDLLPSNHSILDYHVEPEKVEFSGPLLSQSHKVDELLRKHDRHIRQATRRSWLRRVTGIKQGK >DRNTG_01304.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1870119:1872538:1 gene:DRNTG_01304 transcript:DRNTG_01304.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATINPSDKGRHVKHISQGDIPFSGPLLVPASSGFAWVKKPKEDQPFARSLSKSSSKRSASGASESSGTLQAKNSLESRIQSNGDAANGASACSGGHGQSEFAKYAMLKKWAQLECPDSFDASDMYHSQKFTEAFYSKDLLPSNHSILDYHVEPEKVEFSGPLLSQSHKVDELLRKHDRHIRQATRRSWLRRVTGIKQGK >DRNTG_01304.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1870119:1872538:1 gene:DRNTG_01304 transcript:DRNTG_01304.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATINPSDKGRHVKHISQGDIPFSGPLLVPASSGFAWVKKPKEDQPFARSLSKSSSKRSASGASESSGTLQAKNSLESRIQSNGDAANGASACSGGHGQSEFAKYAMLKKWAQLECPDSFDASDMYHSQKFTEAFYSKDLLPSNHSILDYHVEPEKVEFSGPLLSQSHKVDELLRKHDRHIRQATRRSWLRRVTGIKQGK >DRNTG_01304.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1868212:1869447:1 gene:DRNTG_01304 transcript:DRNTG_01304.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKKAVSVTPAFERQDSSAVLASSSLRCRTGSGGPEPKDDGERIESGESGRGSSNFTNSRSFRLRNLHRYIEGEQVAAGWPSWLSAVAGEAIQGWVPLKADNFEKLEKVGQGTYSSVFRARDVETGNIVAMKKVRFDNFEPESVRFMAREIQILRGLDHPNIVKLEGIITSRLSCSIYLVFEYMEHDLVGLSSSPDIQFTESQ >DRNTG_01304.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1868212:1872538:1 gene:DRNTG_01304 transcript:DRNTG_01304.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKKAVSVTPAFERQDSSAVLASSSLRCRTGSGGPEPKDDGERIESGESGRGSSNFTNSRSFRLRNLHRYIEGEQVAAGWPSWLSAVAGEAIQGWVPLKADNFEKLEKVGQGTYSSVFRARDVETGNIVAMKKVRFDNFEPESVRFMAREIQILRGLDHPNIVKLEGIITSRLSCSIYLVFEYMEHDLVGLSSSPDIQFTESQVKCYMHQLLSGLEHCHSRGIIHRDIKGANLLVNNEGILKIADFGLANFCDSRKTQPLTSRVVTLWYRPPELLLGSTDYEATVDLWSVGCVFAEMFVKKPILQGRTEVEQLHKIFKLCGSPSDEYWKKSKLPNATIFKPHHPYANCLWSTFKDLPANAFRLLETFLSVEPHKRGTASSALVSEYFTTKPYACEPSSLPKYPPNKEIDAKYREESQRKKQAAKRGHTTVRTSRVYRTSREPFNQNKLAVRQEVSFFSHK >DRNTG_17615.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4538836:4540662:1 gene:DRNTG_17615 transcript:DRNTG_17615.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRCLQHPLVIPHDRSSPCTNLINIFIQSKGLARCAHLRKVMTELQSISQTPEKVPGDAVSKEQEMVIEDVVFKEQEMTTPEILGNPEQLEKVRDAYKEHAKVEDPPTMAELIGWYLYGLSTYFIQTVLVPVLFPLIVAQVASPTDGIPPSITHTSRHIQCAKQEMLLYQVLVDDTIRVHASKFSPLRWVAVSWSVAILITFPLIVHTAHQLDSNEGWSHTIILSCTAIVGPLSCILTGFFQTTWLFPIYIILVVLASTIGAAVHGRNLSLIVHGLVSHSYINKQPFRWRKSKTSWLSSASTALGCIGAMIIAAFTYHMLRRDDALTSLWVVSIFSGLLWVLGVSHAFLTKRPGVSLQSSSPWLCPLSISKYPHAIGGLASTFFSSFSSSCIFAATVLFVVGSLCIKPLIVLAMWLIYFTIPAFTLPLFHPVLQSLIKTDAVRMQLLGLFMSALSSGIGFYFRDDNWHEAHILMVALLQGGAVGLLHAFGQVLVTDCSPRGKEGAFSAWYAWLRAAGACIGFTVAVAFPGNVGTTFGLSFLSIVLGALVLVFGNTSHWRGAVSAGHVKEIQETESPVNGSSIFP >DRNTG_14897.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20617810:20618386:1 gene:DRNTG_14897 transcript:DRNTG_14897.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHMKKSIFDEQTSKALMKWRQAVKKKQGKGPNKSPSGSPTTSPREGSPKATQMNQLH >DRNTG_14897.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20617670:20618386:1 gene:DRNTG_14897 transcript:DRNTG_14897.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHMKKSIFDEQTSKALMKWRQAVKKKQGKGPNKSPSGSPTTSPREGSPKATQMNQLH >DRNTG_14897.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20616322:20618386:1 gene:DRNTG_14897 transcript:DRNTG_14897.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEIKERHAVIQGIPLVQLSDHHFWFGRPHLVLFLIHLSLFQNTFQIIYFLWIWYEFGLNSCFHNNFKLLVARVITGVAVQCLCSYITLPLYALVSQMGSHMKKSIFDEQTSKALMKWRQAVKKKQGKGPNKSPSGSPTTSPREGSPKATQMNQLH >DRNTG_14897.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20613195:20614459:1 gene:DRNTG_14897 transcript:DRNTG_14897.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGEGAAAAEGGSASRELDQTPTWAVASVCAVIILISILLEKALHHIGEWFTKRRKKPLFEALEKVKAELMILGFISLLMTFGQSYITKICISHAAADTMLPCRLKKETIDTEGDESHDVAHHHRLLSDTVVDLGVKRRVLMRGETGSSCPK >DRNTG_14897.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20613195:20615068:1 gene:DRNTG_14897 transcript:DRNTG_14897.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGEGAAAAEGGSASRELDQTPTWAVASVCAVIILISILLEKALHHIGEWFTKRRKKPLFEALEKVKAELMILGFISLLMTFGQSYITKICISHAAADTMLPCRLKKETIDTEGDESHDVAHHHRLLSDTVVDLGVKRRVLMRGETGSSCPKGKVSLISMNGLHQLHIFIFFLAVFHVMYSAITMALGRAKIRGWKMWERDASSSDGEFSNGILSILN >DRNTG_14897.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20613195:20618386:1 gene:DRNTG_14897 transcript:DRNTG_14897.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGEGAAAAEGGSASRELDQTPTWAVASVCAVIILISILLEKALHHIGEWFTKRRKKPLFEALEKVKAELMILGFISLLMTFGQSYITKICISHAAADTMLPCRLKKETIDTEGDESHDVAHHHRLLSDTVVDLGVKRRVLMRGETGSSCPKGKVSLISMNGLHQLHIFIFFLAVFHVMYSAITMALGRAKIRGWKMWERDASSSDGEFSNDPSKFRFSHETSFVKQHTSYLNKVPVSFYAVSFFRQFFRSVRKADYLALRHGFITTHLAPGISFNFQKYIKRSLEDDFKVVVGISPELWTSALIFLLLNIHGWQTLFWISIIPLFTILAVGTKLQAIISRMAIEIKERHAVIQGIPLVQLSDHHFWFGRPHLVLFLIHLSLFQNTFQIIYFLWIWYEFGLNSCFHNNFKLLVARVITGVAVQCLCSYITLPLYALVSQMGSHMKKSIFDEQTSKALMKWRQAVKKKQGKGPNKSPSGSPTTSPREGSPKATQMNQLH >DRNTG_14897.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20616322:20616858:1 gene:DRNTG_14897 transcript:DRNTG_14897.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEIKERHAVIQGIPLVQLSDHHFWFGRPHLVLFLIHLSLFQV >DRNTG_29785.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27436099:27442732:-1 gene:DRNTG_29785 transcript:DRNTG_29785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGHWSDDHLEGGSGETISTVDPLIQRLSHSSPALYNMANLQTLISSSAAEINGGARDLADKIKPFHRILFIVWIVLLMPLVQSSSSVLVGGRKMLLLLPLPPGGSVVGHCQGLNSRYVVVFDAGSTGSRVHVFHFDQEFNLLKIGDDVEVFEQVKLGLSYYANYPQKAANSLISLLEKAESVVPVELQPSTPVTLGATAGLRSLGTETSAQILQAVRDLLQNRSSLMFKPEWVAILDGYQEGSYMWVAINFLLKNLGKEYPGTVGVVDLGGGSVQMAYAISDSEAENAPNVPGEDPYVKPLILKGNTYHVYAHSYLNYGLLAAQAQILSAGVDSYNYCILGGYEGSYTYNGKTYPSSASPSGPSYDKCRSNVITVLKLDEQCVYKNCSFNGAWNGGGGDGQKNLYVASLFYDIAV >DRNTG_30407.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21921038:21924718:-1 gene:DRNTG_30407 transcript:DRNTG_30407.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPICALIILCILLASYQGSLNVDAEVFLVVMEDESVISYKKSGDDALKYKEKVITRHDIFLESLLPADSYSKLYSYTHLFNGFALHTTSREALEVLHSAQGIRIIHEDVKMVKMTTHSPEYLGLPTRVWPSLGGPDMAGDGVVIGLIDTGINPNHPSFANLKPGPPSDIGKFRGKCESAAHEFPLNACNGKIAGAQYFARAAIVSGDFNASHDFASPFDSDGHGSHTAAIAAGNFRIPVISNNFSYGFASGMAPGAHIAIYKAIYSFGGYMSDVVAAVDKAVEDGVDILSLSIGPSAVPTGPAAFLNILEMELLFAVKAGVLVVQAVGNGGPTPSSVLSFSPWITSVAASTIDRKYNNSIIFGNGQVFTGTALSLPTQEGLFLPLAAAEDVCNWNETCILARNCQRPEPFNRSLIQGKLIVCTFSDFIFSPTSVDSIVSTAQKIGATGFVIIMARGRSSEFLNETTSTLNLPLPGIVLSSREASEAFWDYYDSHTLRSKNEVVVKFGACARILDGRHAVYTGKGPSVASYSSRGPDVNNALMQTADVLKPNILAPGTSIWSAWSPTSQSDQYNIGQDFAILSGTSMATPHVAGVAALIKQKHPHWSPAMITSALMTSAMLTDHSGELLSAQVRDGLTPATPFDYGAGFINPSHALNPGLVFDAKFRQYIQFLCVVPGVDDESVRRAVGTGCPTVRSDWCSDLNTASITVSNLVGSRQVIRKVTSVGSMEERYQVVVREPAGVAVTVNPQAFTIQPNSSMILKIMLEGREIANDYTFGELVFNGDKNHVVKVPLAIFVSSTL >DRNTG_28709.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:18521779:18522376:1 gene:DRNTG_28709 transcript:DRNTG_28709.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSHLFIFVFFLFFSFSIATFSSSLSYESRSNLREQELLESVREARFFNWMVSIRRRIHQNPELAFQEHETSALVRAELDKLWHSVFMACGQYWCYRHCWLWLWPCVRHPGRHGCASFTGELKVFLFVFMYL >DRNTG_31677.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18413373:18417052:1 gene:DRNTG_31677 transcript:DRNTG_31677.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPESDFERLMFFELSRKNAEATYASNPLDADNLTRWGGALLELSQFQGALRGTKMVEDAISKLEEALQVNPRKHDTLWCLGNAHTSRAFYTPDSDTAKVYFTKATQCFQQALEEDPGNDVYLKSLDMSSKAPELHLELQRQLAGQQALGGGPSSNVKASKKKKDSDLKYDILGWVILGIAVFAWVGMAKSHVPPPLPPR >DRNTG_31677.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18414098:18417052:1 gene:DRNTG_31677 transcript:DRNTG_31677.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKAPELHLELQRQLAGQQALGGGPSSNVKASKKKKDSDLKYDILGWVILGIAVFAWVGMAKSHVPPPLPPR >DRNTG_02647.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22882676:22886481:-1 gene:DRNTG_02647 transcript:DRNTG_02647.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEGVLLSWRPIPGLCDDESAEIHRWNRARRRKTIVSLGGASFFASVSLAPDGNNNSDDKIQETFPRAEQLIKHPLALLALFPKDLTLFSAGAIAGALSKTLTAPLDRVKLLMQASSLSISPHNLSSPAPLAYLKCISSTNPYSFLLLLNYCIAIWAQINCLHIYAFRLMGCEVAAARVLPYSRPSL >DRNTG_02647.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22882676:22886481:-1 gene:DRNTG_02647 transcript:DRNTG_02647.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEGVLLSWRPIPGLCDDESAEIHRWNRARRRKTIVSLGGASFFASVSLAPDGNNNSDDKIQETFPRAEQLIKHPLALLALFPKDLTLFSAGAIAGALSKTLTAPLDRVKLLMQTHGLRGSGSKGIALLEAITLIHKEEGIKGYWKGNLPQVIRIIPYSAVQLFAYEIYKKILRNEDGDLSVIGRLIAGACAGMTSTFVTYPLDVLRLRLAVEPGCRTMSQVAFNMLREEGIASFYCGLGPSLIGIAPYIAVNFCIFDLMKKSLPEKYQKRPETSLATALVSATVATLMCYPLDTVRRQMQMKGSPFNSVFDALPGIVERDGFLGLYRGFVPNALKSLPSSSIRLTAFDTVKGLITASQKELERIMEENQLS >DRNTG_02647.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22884172:22885264:-1 gene:DRNTG_02647 transcript:DRNTG_02647.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTFVTYPLDVLRLRLAVEPGCRTMSQVAFNMLREEGIASFYCGLGPSLIGIAPYIAVNFCIFDLMKKSLPEKYQKRPETSLATALVSATVATLMCYPLDTVRRQMQMKGSPFNSVFDALPGKLCCIC >DRNTG_04343.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31140446:31141467:-1 gene:DRNTG_04343 transcript:DRNTG_04343.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVGGSTSPSPCSSYQPTPCASYNLSPCASYNPSPASSSFASPASSSYITNANTNTNAPDGNSLIPWLKNLASASSVTSKHPFIIHVGSRSAPVTPPLSSPTASTPRMKSDWDDSSAQAWGASASSSFMPSSNPSSPGRQIFPDSGWLSGLQIQNGGPSSPTFSLVSSNPFSIFRETIATRGSSRMCTPGQSGTCSPVIGARDVQMFDGASDEFAFGSSSNGNLPVPTVVKPWEGERIHEECGSDDLELTLGTSRTRADAI >DRNTG_21804.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17482663:17485100:1 gene:DRNTG_21804 transcript:DRNTG_21804.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKQEEVEVKMVKEEEIKAEKKVESEEKKKMMIIEKKRKRRYGLLLACKDSEYVKKVHGGYYNVFVKAFGEEGEEWDLFRIVDGEFPQIEDINNFDGFVVSGSPYDAYANDPWILTLCFFIQTLYTMNKTLLGVCFGHQAICRALGGKVGKACHGWDIGVTKLIMVENSFWCKYLPSDEELIPLFPSILECHQDEVWEVPVGGEVIAFSEKTRVEMVTIGDHILGIQGHPEYTKDIISELIQRLLANHSIQWDYAEDLKSKLETLEPHWKFWQKICKSFLKG >DRNTG_01841.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:6321775:6329611:-1 gene:DRNTG_01841 transcript:DRNTG_01841.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQIRERWASLFLSYRKSVKLESSLESSCKREDLPICGDLEIYDRSYIASTPKNECHVEHFKTENFFQGHHYPTTPSSHRLANEDKTTVFVTDAIPSTLMCTPHSIYPWDIVIQRIGNKAFL >DRNTG_33583.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20077152:20078887:-1 gene:DRNTG_33583 transcript:DRNTG_33583.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMYRIIAQALEKSGQSEKYHPQDYLNFYCLGKREPLPSPIKKSSAGHNADSSGLRMAQKYRRFMIYVHAKGMIVDDEYVIIGSANINQRSMDGSRDTEIAMGAYQPKHMWAGKNSHPHGQVYGYRMSLWAEHLGKLEDCFCEPGSLECVKRVNQLAETNWQSFVSSEYKEMHGHLMKYPIQVRSDGSISSLPGHECFPDVGGKVLGAHSTLPDTLTT >DRNTG_33583.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20077152:20077684:-1 gene:DRNTG_33583 transcript:DRNTG_33583.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWAEHLGKLEDCFCEPGSLECVKRVNQLAETNWQSFVSSEYKEMHGHLMKYPIQVRSDGSISSLPGHECFPDVGGKVLGAHSTLPDTLTT >DRNTG_26503.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:726487:730507:1 gene:DRNTG_26503 transcript:DRNTG_26503.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Equilibrative nucleotide transporter 2 [Source:Projected from Arabidopsis thaliana (AT3G09990) UniProtKB/Swiss-Prot;Acc:Q9SR64] MSYQTGNGQAIRNEGKRIAIFVCWLLGNGSLFPWNSMLTIEDYYAYLFPNYHPSRVLTLVYQPFAVGTIAILAYREATLNTRKRNLIGFALFFFSSLAIVILDVATSGKGGIGVFIGICIISGVFGIADGHVQGGLVGDLYLMCPEFVQSFLAGLAASGALTSALRFITKAAFENSKGGLRNGALLFFAISAFFELLCVLLYAFVFPKLPIVKYYRSKAAAEGSKTVAADLAAGGVQTQEDRGAEEDPKSLELLSKKQLFLENIDYCVGIYVIYVLTLSIFPGFLSEDTGSHGLGSWYVLVLIAMYNVWDLIGRYIPVVERLKLKSRKALLGAIISRFLFIPAFYFTAKYGGQGWMIFLTSFLGLSNGYLTVCVLTAVPKGYKGPEQNALGNLLVVCLLAGIFSGVTLDWLWLIGKGW >DRNTG_26503.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:726487:730507:1 gene:DRNTG_26503 transcript:DRNTG_26503.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Equilibrative nucleotide transporter 2 [Source:Projected from Arabidopsis thaliana (AT3G09990) UniProtKB/Swiss-Prot;Acc:Q9SR64] MSYQTGNGQAIRNEGKRIAIFVCWLLGNGSLFPWNSMLTIEDYYAYLFPNYHPSRVLTLVYQPFAVGTIAILAYREATLNTRKRNLIGFALFFFSSLAIVILDVATSGKGGIGVFIGICIISGVFGIADGHVQGGLVGDLYLMCPEFVQSFLAGLAASGALTSALRFITKAAFENSKGGLRNGALLFFAISAFFELLCVLLYAFVFPKLPIVKYYRSKAAAEGSKTVAADLAAGGVQTQEDRGAEEDPKSLELLSKKQLFLENIDYCVGIYVIYVLTLSIFPGFLSEDTGSHGLGSWYVLVLIAMYNVWDLIGRYIPVVERLKLKSRKALLGAIISRFLFIPAFYFTAKYGGQGWMIFLTSFLGLSNGYLTVCVLTAVPKGYKGPEQNALGNLLVVCLLAGIFSGVTLDWLWLIGKGW >DRNTG_26503.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:726731:730507:1 gene:DRNTG_26503 transcript:DRNTG_26503.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Equilibrative nucleotide transporter 2 [Source:Projected from Arabidopsis thaliana (AT3G09990) UniProtKB/Swiss-Prot;Acc:Q9SR64] MKEPLITGCDSHKEAMSYQTGNGQAIRNEGKRIAIFVCWLLGNGSLFPWNSMLTIEDYYAYLFPNYHPSRVLTLVYQPFAVGTIAILAYREATLNTRKRNLIGFALFFFSSLAIVILDVATSGKGGIGVFIGICIISGVFGIADGHVQGGLVGDLYLMCPEFVQSFLAGLAASGALTSALRFITKAAFENSKGGLRNGALLFFAISAFFELLCVLLYAFVFPKLPIVKYYRSKAAAEGSKTVAADLAAGGVQTQEDRGAEEDPKSLELLSKKQLFLENIDYCVGIYVIYVLTLSIFPGFLSEDTGSHGLGSWYVLVLIAMYNVWDLIGRYIPVVERLKLKSRKALLGAIISRFLFIPAFYFTAKYGGQGWMIFLTSFLGLSNGYLTVCVLTAVPKGYKGPEQNALGNLLVVCLLAGIFSGVTLDWLWLIGKGW >DRNTG_28563.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9881864:9883210:1 gene:DRNTG_28563 transcript:DRNTG_28563.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQQGPQYYVGQEGVQMNNMPCAGCRKLHRRCNRDCVLAPYFPASQPEKFARVHKVFGASNVIKMLLGIEEERREDAVESMVYEAHERLKDPVYGCTGTIVYLQNCVKDLQGQLKATQDQRDQLINIIMNENTTTFDDNSNFICNNNSFCFSLDQFQLI >DRNTG_01239.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9290249:9295522:-1 gene:DRNTG_01239 transcript:DRNTG_01239.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSSATRQIGRRMKSKIHLARSRHSKVEDATDDDTASTSGRQISEFGPDNLSTKHWIEDHCEGVILIISSWISRALLWYNLLI >DRNTG_01239.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9292188:9295522:-1 gene:DRNTG_01239 transcript:DRNTG_01239.4 gene_biotype:protein_coding transcript_biotype:protein_coding PNLNPRIKLNLRDVRTPGRVPHDSSSNSPARNRPGDSAVTGHLEIHGEDDGRGSWRMLRVLSLLSFFAGSEGF >DRNTG_01239.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9291653:9295522:-1 gene:DRNTG_01239 transcript:DRNTG_01239.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSSATRQIGRRMKSKIHLARSRHSKVEDATDDDTASTSGRQISEFGPDNLSTKHVNLVNHSKI >DRNTG_01239.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9291653:9292032:-1 gene:DRNTG_01239 transcript:DRNTG_01239.5 gene_biotype:protein_coding transcript_biotype:protein_coding EIHLARSRHSKVEDATDDDTASTSGRQISEFGPDNLSTKHVNLVNHSKI >DRNTG_01239.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:9290092:9295522:-1 gene:DRNTG_01239 transcript:DRNTG_01239.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSSATRQIGRRMKSKIHLARSRHSKVEDATDDDTASTSGRQISEFGPDNLSTKHWIEDHCEGVILIISSWISRALLWYNLLI >DRNTG_19110.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29737961:29740797:-1 gene:DRNTG_19110 transcript:DRNTG_19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISNDNCVYRNEVLHSVGERTQVLQDVAADPTLPRTKTIRCTKCNHPEVVFFQAAARGEEGMTLFFVCCNPDCGHRWRD >DRNTG_11822.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:280409:286791:-1 gene:DRNTG_11822 transcript:DRNTG_11822.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGALQYLESQQNSRPELAEWYAALADLYQRKLWHQLTLKLDQFIALAVVQAGDVLIQLYNNFITDFETKINLLKLAHFAVIVSRQYSETEAAISYLESVIEKLRATREMRIEEPILYVKMQIASFNLEKGNQKECKKLLDDGKTMLDSMTDVDPSVHASFYWISSQYHKSRQEFAEFYKNALLYLAYTTVESLSDSFKLDLAFDLSLSALLGDNIYNFGELLAHPIINSLLGTKVEWLYHILQAFNTGDLVRYQHLCHVHNAALNAQPALVANEKKLLEKINILCLMEIIFSRPSEDRTIPLSVIAERTKLSIEDVEYLLMKSLSVHLIEGIIDQVEGTVYVSWVQPRVLGIPQVKSLRDRLDVWLGKVHSALLSVEAETPDLVAS >DRNTG_34288.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:28204236:28204571:1 gene:DRNTG_34288 transcript:DRNTG_34288.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSAALPNKFTFTFLLKACVHGFSSSLSCLHAHLNLLGLHRDPFLRSSLILTYAHHRHISIVDHLFRQQTSNDTALVSAYARCGLLESARKVFDEMPQRNPVSWVVLLTA >DRNTG_24435.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:8552021:8554405:-1 gene:DRNTG_24435 transcript:DRNTG_24435.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRASFLEHQLPPRFRFHLTNKELITHYLKRKVSSSLTPATLIIADIDLYKFNPWDLPGEYQVAFGSKAWSGVRSNEELTGSYSKCISSDVGSTQTYQQENTSYGAEEAQPLNQVLDSIKRKLSFGVWMSS >DRNTG_30551.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:708401:709593:-1 gene:DRNTG_30551 transcript:DRNTG_30551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASKEVEREILNLLRIYKDGHMERLTVCDFVQATYDDINQVSSKDITIDPNTGVSARLYLPKQVKNQPEKKFPVLVYYHGGGFCIESAFSSLYHNYLNSLSSKANIIIVSVEYRLAPEHPLPTGYEDSWQALQWVVSETNNDAWLQNHADFGRVFVSGDSAGGNIAHNMVMKIGYTEGMKVIKGMVLEHPYFWGVEKLEWEIGNTVSSIDAESVDLVWPLVCPGTSGNDDFRVNPFVEGAPSLEGLGCEKVMVCVAGKDIVKGRGKLYYEKLKSSGWKGEVELLESDGEDHVFHLFNPACDNALEMMSHLVDFFNCE >DRNTG_30551.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:708401:709527:-1 gene:DRNTG_30551 transcript:DRNTG_30551.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADASKEVEREILNLLRIYKDGHMERLTVCDFVQATYDDINQVSSKDITIDPNTGVSARLYLPKQVKNQPEKKFPVLVYYHGGGFCIESAFSSLYHNYLNSLSSKANIIIVSVEYRLAPEHPLPTGYEDSWQALQWVVSETNNDAWLQNHADFGRVFVSGDSAGGNIAHNMVMKIGYTEGMKVIKGMVLEHPYFWGVEKLEWEIGNTVSSIDAESVDLVWPLVCPGTSGNDDFRVNPFVEGAPSLEGLGCEKVMVCVAGKDIVKGRGKLYYEKLKSSGWKGEVELLESDGEDHVFHLFNPACDNALEMMSHLVDFFNCE >DRNTG_30551.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:708493:709593:-1 gene:DRNTG_30551 transcript:DRNTG_30551.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADASKEVEREILNLLRIYKDGHMERLTVCDFVQATYDDINQVSSKDITIDPNTGVSARLYLPKQVKNQPEKKFPVLVYYHGGGFCIESAFSSLYHNYLNSLSSKANIIIVSVEYRLAPEHPLPTGYEDSWQALQWVVSETNNDAWLQNHADFGRVFVSGDSAGGNIAHNMVMKIGYTEGMKVIKGMVLEHPYFWGVEKLEWEIGNTVSSIDAESVDLVWPLVCPGTSGNDDFRVNPFVEGAPSLEGLGCEKVMVCVAGKDIVKGRGKLYYEKLKSSGWKGEVELLESDGEDHVFHLFNPACDNALEMMSHLVDFFNCE >DRNTG_30551.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:708493:709527:-1 gene:DRNTG_30551 transcript:DRNTG_30551.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADASKEVEREILNLLRIYKDGHMERLTVCDFVQATYDDINQVSSKDITIDPNTGVSARLYLPKQVKNQPEKKFPVLVYYHGGGFCIESAFSSLYHNYLNSLSSKANIIIVSVEYRLAPEHPLPTGYEDSWQALQWVVSETNNDAWLQNHADFGRVFVSGDSAGGNIAHNMVMKIGYTEGMKVIKGMVLEHPYFWGVEKLEWEIGNTVSSIDAESVDLVWPLVCPGTSGNDDFRVNPFVEGAPSLEGLGCEKVMVCVAGKDIVKGRGKLYYEKLKSSGWKGEVELLESDGEDHVFHLFNPACDNALEMMSHLVDFFNCE >DRNTG_20843.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21233626:21242155:-1 gene:DRNTG_20843 transcript:DRNTG_20843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIESKVSSKQRALNVLLVVGDCVLVGLQPILVYMSKVDGRFMFSPISVNFLTEAAKVIFAIVMLLLQARRQKVGEKPLLSLSTFVQAARSNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIALLLKMIMKRRFSVIQWEALALLLIGISVNQLKSLPEGTTALGLPVSTGAYIYTLIFVTVPSMASVFNEYALKSQFETSIYLQNLFLYGYGAFFNFLGIVITAIIKGPGSFNILEGHSRATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHTLTVNFMLGISIVFISMHQFFSPLAKVKDETPNGSLEMESQNTRSKEASSFINIAAGATEEASHRIGPDERQPLLPT >DRNTG_06076.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:8391404:8391547:1 gene:DRNTG_06076 transcript:DRNTG_06076.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRSHRHSRRGSPSSRSPRGKPWFILSTPLKSRASWRLRTS >DRNTG_24079.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26398585:26401377:-1 gene:DRNTG_24079 transcript:DRNTG_24079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGAKIYPGKGIRFVRADSQVFLFANSKCKRYFHNRLRPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTRKPYSRSIVGATLEVIQKKRTEKAEVRDAAREAALREIKERIKKTKDEKKAKKAELMAKTQKTQAKGTMQKGPKAGPKLGGGGGKR >DRNTG_26950.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30157712:30160636:-1 gene:DRNTG_26950 transcript:DRNTG_26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding DGTLVQVDRSQWDELLAYNGKAIVLQGIPRNTTFVDVERFLTGFNYDPSKLQLINRPGMAQTVRVAIAHFHSRIDAMNAYVTKNRSFCLNNPVTVRFLQ >DRNTG_14728.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:79479:80851:1 gene:DRNTG_14728 transcript:DRNTG_14728.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MCP2f [Source:Projected from Arabidopsis thaliana (AT5G04200) UniProtKB/TrEMBL;Acc:A0A178U6S6] MEEGKKRVATLVGCNYANTKNELHGCINDVMTMRDLLVSRFGFKQEDIEVLTDAPDSPILPTGANIRRSLGRMVANAKPGDVLFFHYSGHGTLIPSAWPFHHGFKADEAIVPCDFNLITDLDFRQLVDQLAWGVSFTIISDSCHSGGLIDKEKEQIGPSSSTIISELASDPVRDQNHKPKLIPFDSVLQHLSSLSGIVSSHIGDHLWHLFGPEMSASLINRKLPVKPSSSSSSLKRPADKDDGILLSGCQANETSADMSPEGNNETRKAYGAFSNSIQMVLREHDGVLSNRELVIRARRLLLEQGFAQQHPCLYCSDDNAEAPFLWQTGNVKINSTL >DRNTG_34204.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:6193474:6206049:-1 gene:DRNTG_34204 transcript:DRNTG_34204.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDSIGGLCSLPHSFIDISERPFLDGRPLRLPFLPISSRGITMFQIVRSSTIYHISRKVPYSSRVSTRNRLNKCLQCTSSSSSGSSPEDSNATSNQDKAQFGYTRKDVLLIGLGVTALGIGLKSGLEFVGVDPLQAGNVVQLVLVLGLTIGWISTYIFRVSNKEMTYAQQLRDYEKKVMEKRLEGLTEAELEALLEQVEEEKRRLTNNEQL >DRNTG_24499.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18994578:18995565:-1 gene:DRNTG_24499 transcript:DRNTG_24499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPTHNLNKVTNPENPPTRHGLAGAVPKPSKAKPLTWLFGIFCTLLSSAIIIAGLTVLIIYLIFRPRSPHLFISGATLNAGHIDTDMGIYLNADLTILANFSNPNHKVNIFFSYIELDLYFNNTLIAAQAIPGFSERAGETVLRSLHMVTSEVVIGEAKANGWWKQVNENKIAMEVRGRFRTRSDFGTLLHITYWLYSHCSIVLSDPPGGVLLSSSCRTKR >DRNTG_19079.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16655114:16657964:1 gene:DRNTG_19079 transcript:DRNTG_19079.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPMATTIETGPSSVDRAAALKEFDETKAGVKGLVDSGITSIPSIFHHPNLHITSTTSHLSIPTIDFSLPRPIVVDLIRSASINWGFFQLINHGIPLSTIQTTISTFRSFNELPTNVRCKHYKRGMEGGFSYSSNVDLYKSVAASWRDTIQIMTGPARPDLNLIPEVCRMELVAWDERAKEVARELMGMMCEGLGVGVGRMEEMTCLEGRLMACHYYPPCPEPDQTMGTAVHTDPCALTLLVEDQVGGLQVKQEGEDGEEFWVDVKPVPGALVINVGDLLQIMSNDTYKSVEHRVRANSEQDARVSIAIFYNPGKRGELDLYGPLPELISSEKPAVYRNFTMREFMGTFFGKELRSKSLVECFKL >DRNTG_19079.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16655114:16658015:1 gene:DRNTG_19079 transcript:DRNTG_19079.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMATTIETGPSSVDRAAALKEFDETKAGVKGLVDSGITSIPSIFHHPNLHITSTTSHLSIPTIDFSLPRPIVVDLIRSASINWGFFQLINHGIPLSTIQTTISTFRSFNELPTNVRCKHYKRGMEGGFSYSSNVDLYKSVAASWRDTIQIMTGPARPDLNLIPEVCRMELVAWDERAKEVARELMGMMCEGLGVGVGRMEEMTCLEGRLMACHYYPPCPEPDQTMGTAVHTDPCALTLLVEDQVGGLQVKQEGEDGEEFWVDVKPVPGALVINVGDLLQIMSNDTYKSVEHRVRANSEQDARVSIAIFYNPGKRGELDLYGPLPELISSEKPAVYRNFTMREFMGTFFGKELRSKSLVECFKL >DRNTG_19079.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:16655114:16658170:1 gene:DRNTG_19079 transcript:DRNTG_19079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMATTIETGPSSVDRAAALKEFDETKAGVKGLVDSGITSIPSIFHHPNLHITSTTSHLSIPTIDFSLPRPIVVDLIRSASINWGFFQLINHGIPLSTIQTTISTFRSFNELPTNVRCKHYKRGMEGGFSYSSNVDLYKSVAASWRDTIQIMTGPARPDLNLIPEVCRMELVAWDERAKEVARELMGMMCEGLGVGVGRMEEMTCLEGRLMACHYYPPCPEPDQTMGTAVHTDPCALTLLVEDQVGGLQVKQEGEDGEEFWVDVKPVPGALVINVGDLLQIMSNDTYKSVEHRVRANSEQDARVSIAIFYNPGKRGELDLYGPLPELISSEKPAVYRNFTMREFMGTFFGKELRSKSLVECFKL >DRNTG_10858.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:32106317:32110667:1 gene:DRNTG_10858 transcript:DRNTG_10858.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tonoplast dicarboxylate transporter [Source:Projected from Arabidopsis thaliana (AT5G47560) UniProtKB/Swiss-Prot;Acc:Q8LG88] MADDNNINYSQDISNNLKSPLLPLIQTPQQQQQQQEDNNNNNSTRSLCLLRSILTTKTFLVVLGPLLCTLICVFVDLGSGDDRKSSRNMLAVLAWVFEWWLAEAVPMAITSMAPLFLFPVFGISSSDEVAKYYMNDIISLVLGSFILAIAVEHYNLHLRLALKMTSAFYGEHLNPPIMLLAICAASMFVSMWMHNTAAAMMMIPVATGILERFPKGEDAHPDVKKFCKAVILGVTFSVAIGGMSTLTGTGVNLILVGMWESYFPGQKNLLVLALGLCLACLWLLLYSLLNGFFFASFIAPKALEKLSLLTLINLI >DRNTG_17622.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:4430317:4436354:1 gene:DRNTG_17622 transcript:DRNTG_17622.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extra-large guanine nucleotide-binding protein 3 [Source:Projected from Arabidopsis thaliana (AT1G31930) UniProtKB/Swiss-Prot;Acc:Q9C516] MAKTSQEKEWQEMLRRMLPPGMPMPEGNTNLDYSIALEYDGPPVSYDLPQVDPVDLSYSAIPTAEPVTNSQRSFNGVDAPVVDPIPSPVSRIAHENGSPSHSRHVSVSSVSVASALQNQESPSRSVSESAGSSHSSPSSLPLNLPIETRRVSIVTFENVEKSEAKDLYLERSTSTHYVGVSRREKRRRACYRCGKRKWESKESCLVCDARYCCYCVLRAMGSMPEGRKCVTCIGQPIDEFKRFKLGKSSRMLSRLLSPLEVRQILKAEKECPANQLRPDQLVVNGCPLRPEEMAELLSCPLPPQKLKPGRYWYDKESGLWGKEGQKPDRVISSNLNFTGKLAADASNGNTQVYINGREITNVELKVLRLANVQCPRDTHFWVYHDGRYEEEGQNNIKGNIWKKASTRLLCSLVSLPVLHASSPGTKDEAPYSARTVPDYLEHKRIHKLLLLGPQGSGTSTIFKQAKFLYGNKFTLEELDNIKLMIQSNMYKYLSILLEGRERFEEEALSRLKATDSNGRASSNGEDEGETSGPVQCVYSINGKLKQFSDWLLDIIAMGDLDAFFPAATREYAPVVDEMWKDPAIQETYKRRNELHFLPDVAEYFLSRAIQVSSNEYEPSEKDILYAEGVTQGNGLAFIEFSLDDRSPMSESFDENPDIHSQPLTRYQLIRISAKGMNEGCKWMEMFEDARTVIFCVALSDYDQLAVPVHGSCKPLQNKMMQTKELFENTVKQSCLRDTPFVLVLNKYDLFEEKISRVPLSTCEWFDDFSPVRTHHNQQYLAHQAYYYIAMKFKDLYSSLTNQKLFVWQARARDRPTVDEGFKYIREVLKWDEEKDGACYHEDSFFSTTELSSSPFIRQE >DRNTG_15139.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1768246:1769104:1 gene:DRNTG_15139 transcript:DRNTG_15139.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMELEKQRELLKKKKSEEAPKKCPRCDSMQTKFCYFNNYSASQPRHFCKSCKRYWTDGGTLRNVPHGGATSQRRPKRARISPSTSIASPSSSSSSLSHTVFPQTFTALHPTMLPSFHFPPAEFDIQVGEPWPEFLNAEEEFDKWAEEDIPVHESHPSSPTII >DRNTG_11936.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:924670:926891:-1 gene:DRNTG_11936 transcript:DRNTG_11936.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELGLRSARILGNLTFVSTESDAVFLIAAHLPGFKKHEIEIAVNDEEKEIGIRGGGRARSAQEVVISRRLVISREVSMGRFWKVFAIPDGVVLDGIHAVFHEKNGVLIVLMPKSTREEELPGIQMEEEIEILEPEDVEKLIEISEPEEEEIEEPVEIREPELPQIEEGPVQIWEPEPEPEPEQMEEPIEILEPERQQIEELIEIPEPEPQQIEEPVEIPEPEPVPIEEPVEILEPEPQEIEEEPVIIPEPEPQEIEELIIISEPEPQEIEDAIEIPEIEPQQIEDAIEIPEPEPQEIEDAIEIPEIEPQQIEEPVEIPEPEPLPIEEPILIQEPEPQQIEEPIQIQEPEPQKIEEPTQIQEPEPQQIEEPVEIPEPEPLPIEEPILIQEPEPQQIEEPIQIQEPEPQKIEEPTQIQEPEPQQIEEPVEIPEPEPLPIEEPIFNPRAGTTTN >DRNTG_23827.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29508089:29510540:1 gene:DRNTG_23827 transcript:DRNTG_23827.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSSLPSLLLLLLLLLLFSSPSSPQPCPPPSSCGDLTDIHYPFSLKHSNSPSYCTEPGYELTCNSKTNKTIINILSNNYYVSNISYTNSTMHLIDVSMSNGSCNLPLHSLPYTQLVSKTNFNPIPQWANFVNCSQEINNSIYKPLPCLSSNGRFIYVNFDSFGGYSQNLMVSCSFISMIPIDHVPSGNDDDVFQILAKGFQVYWGFGDLTMSWLFYNCLDVCKSMFKENIRSDVVFSGIVSLVRSEKHFPACLDDYSGMGLNNFKLIFCLSIVVVVLILSIQLLIVLALIGRFVIAPLTICAFLLYKWTSMMVSNDFVERFLRNHQQTLAPTRYSYTDIIAMTSHFREKLGQGGFGSVYKGRLPGDRLIAVKLLRNSKSNGDDFINEVSTIGMIHHVNVVKLIGFCSEGSDRALVYEYMPNGSLDKYIFSSSNSNNKFSSAKLNEIALGIARGINYLHQGCDMRILHFDIKPHNILLDHNFTPKVSDFGLAKLYPRDNSLVAVSAVRGTIGYMAPELISRSFGVISYKSDVYSFGMLLLEMASGKRNADPKVGTTSQIYYPSWIYDKLNPINAETEIITEPDIVINETEKKLCMVGLWCIQIRPMDRPPMSKVVEMLEGDVDSLQMPPKPFFAASKPQLSPTLFLNSTEDAEHTTISEDD >DRNTG_23827.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29508089:29510540:1 gene:DRNTG_23827 transcript:DRNTG_23827.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFGIITILCCSMFKENIRSDVVFSGIVSLVRSEKHFPACLDDYSGMGLNNFKLIFCLSIVVVVLILSIQLLIVLALIGRFVIAPLTICAFLLYKWTSMMVSNDFVERFLRNHQQTLAPTRYSYTDIIAMTSHFREKLGQGGFGSVYKGRLPGDRLIAVKLLRNSKSNGDDFINEVSTIGMIHHVNVVKLIGFCSEGSDRALVYEYMPNGSLDKYIFSSSNSNNKFSSAKLNEIALGIARGINYLHQGCDMRILHFDIKPHNILLDHNFTPKVSDFGLAKLYPRDNSLVAVSAVRGTIGYMAPELISRSFGVISYKSDVYSFGMLLLEMASGKRNADPKVGTTSQIYYPSWIYDKLNPINAETEIITEPDIVINETEKKLCMVGLWCIQIRPMDRPPMSKVVEMLEGDVDSLQMPPKPFFAASKPQLSPTLFLNSTEDAEHTTISEDD >DRNTG_12951.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14774541:14776380:1 gene:DRNTG_12951 transcript:DRNTG_12951.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTFSFIFILLLPLFTFLLFHHLSIKKPTSEGLKPHPLIGHLPHFIKHRHRFLDWITDVLTSNPSHTMSLQIPFQDYGILTANPLNVEHILKTNFGNYPKGQRSTTFLQDFLGQGIFNSDGEQWRHQRKLASYEFNKRSLRNFVMDTVQLEIENRLLPLLRRAEERDEVLDFQDILESFGFDNICKVAFNEDPACLSIDEEEEKKKSMSKGFKKAFGDAQSLSMVRFMDVFPFTWRIKKMLDIGSEKKLRKSVSIVREFAMKIIRDRRRDNRDHDGDDLLSRFSSEKEKSEEFLRDIVISFVLAGRETTSSALSWFFWLVSTRTDVEEKIVKEVDEIRARHGNFREGFDYDKLREMQYLHAAVTESMRLYPPVAIDTLACKEDDTLPDGNFVGKGWFVSYSAYAMGRLEEIWGEDWGVFRPERWLEDGVFKPENPFKFPVFHAGPRMCLGKEMAYIQMKSVAASVFEEFKVEVLLEKGRSPEHVLSMTLRMRDGLPVQVKRRERS >DRNTG_12951.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14774300:14776380:1 gene:DRNTG_12951 transcript:DRNTG_12951.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIASNQRKTKPLAMDLTFSFIFILLLPLFTFLLFHHLSIKKPTSEGLKPHPLIGHLPHFIKHRHRFLDWITDVLTSNPSHTMSLQIPFQDYGILTANPLNVEHILKTNFGNYPKGQRSTTFLQDFLGQGIFNSDGEQWRHQRKLASYEFNKRSLRNFVMDTVQLEIENRLLPLLRRAEERDEVLDFQDILESFGFDNICKVAFNEDPACLSIDEEEEKKKSMSKGFKKAFGDAQSLSMVRFMDVFPFTWRIKKMLDIGSEKKLRKSVSIVREFAMKIIRDRRRDNRDHDGDDLLSRFSSEKEKSEEFLRDIVISFVLAGRETTSSALSWFFWLVSTRTDVEEKIVKEVDEIRARHGNFREGFDYDKLREMQYLHAAVTESMRLYPPVAIDTLACKEDDTLPDGNFVGKGWFVSYSAYAMGRLEEIWGEDWGVFRPERWLEDGVFKPENPFKFPVFHAGPRMCLGKEMAYIQMKSVAASVFEEFKVEVLLEKGRSPEHVLSMTLRMRDGLPVQVKRRERS >DRNTG_12951.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14774541:14776251:1 gene:DRNTG_12951 transcript:DRNTG_12951.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTFSFIFILLLPLFTFLLFHHLSIKKPTSEGLKPHPLIGHLPHFIKHRHRFLDWITDVLTSNPSHTMSLQIPFQDYGILTANPLNVEHILKTNFGNYPKGQRSTTFLQDFLGQGIFNSDGEQWRHQRKLASYEFNKRSLRNFVMDTVQLEIENRLLPLLRRAEERDEVLDFQDILESFGFDNICKVAFNEDPACLSIDEEEEKKKSMSKGFKKAFGDAQSLSMVRFMDVFPFTWRIKKMLDIGSEKKLRKSVSIVREFAMKIIRDRRRDNRDHDGDDLLSRFSSEKEKSEEFLRDIVISFVLAGRETTSSALSWFFWLVSTRTDVEEKIVKEVDEIRARHGNFREGFDYDKLREMQYLHAAVTESMRLYPPVAIDTLACKEDDTLPDGNFVGKGWFVSYSAYAMGRLEEIWGEDWGVFRPERWLEDGVFKPENPFKFPVFHAGPRMCLGKEMAYIQMKSVAASVFEEFKVEVLLEKGRSPEHVLSMTLRMRDGLPVQVKRRERS >DRNTG_12951.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14774300:14776251:1 gene:DRNTG_12951 transcript:DRNTG_12951.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIASNQRKTKPLAMDLTFSFIFILLLPLFTFLLFHHLSIKKPTSEGLKPHPLIGHLPHFIKHRHRFLDWITDVLTSNPSHTMSLQIPFQDYGILTANPLNVEHILKTNFGNYPKGQRSTTFLQDFLGQGIFNSDGEQWRHQRKLASYEFNKRSLRNFVMDTVQLEIENRLLPLLRRAEERDEVLDFQDILESFGFDNICKVAFNEDPACLSIDEEEEKKKSMSKGFKKAFGDAQSLSMVRFMDVFPFTWRIKKMLDIGSEKKLRKSVSIVREFAMKIIRDRRRDNRDHDGDDLLSRFSSEKEKSEEFLRDIVISFVLAGRETTSSALSWFFWLVSTRTDVEEKIVKEVDEIRARHGNFREGFDYDKLREMQYLHAAVTESMRLYPPVAIDTLACKEDDTLPDGNFVGKGWFVSYSAYAMGRLEEIWGEDWGVFRPERWLEDGVFKPENPFKFPVFHAGPRMCLGKEMAYIQMKSVAASVFEEFKVEVLLEKGRSPEHVLSMTLRMRDGLPVQVKRRERS >DRNTG_12951.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14774389:14776380:1 gene:DRNTG_12951 transcript:DRNTG_12951.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIASNQRKTKPLAMDLTFSFIFILLLPLFTFLLFHHLSIKKPTSEGLKPHPLIGHLPHFIKHRHRFLDWITDVLTSNPSHTMSLQIPFQDYGILTANPLNVEHILKTNFGNYPKGQRSTTFLQDFLGQGIFNSDGEQWRHQRKLASYEFNKRSLRNFVMDTVQLEIENRLLPLLRRAEERDEVLDFQDILESFGFDNICKVAFNEDPACLSIDEEEEKKKSMSKGFKKAFGDAQSLSMVRFMDVFPFTWRIKKMLDIGSEKKLRKSVSIVREFAMKIIRDRRRDNRDHDGDDLLSRFSSEKEKSEEFLRDIVISFVLAGRETTSSALSWFFWLVSTRTDVEEKIVKEVDEIRARHGNFREGFDYDKLREMQYLHAAVTESMRLYPPVAIDTLACKEDDTLPDGNFVGKGWFVSYSAYAMGRLEEIWGEDWGVFRPERWLEDGVFKPENPFKFPVFHAGPRMCLGKEMAYIQMKSVAASVFEEFKVEVLLEKGRSPEHVLSMTLRMRDGLPVQVKRRERS >DRNTG_12951.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:14774389:14776251:1 gene:DRNTG_12951 transcript:DRNTG_12951.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIASNQRKTKPLAMDLTFSFIFILLLPLFTFLLFHHLSIKKPTSEGLKPHPLIGHLPHFIKHRHRFLDWITDVLTSNPSHTMSLQIPFQDYGILTANPLNVEHILKTNFGNYPKGQRSTTFLQDFLGQGIFNSDGEQWRHQRKLASYEFNKRSLRNFVMDTVQLEIENRLLPLLRRAEERDEVLDFQDILESFGFDNICKVAFNEDPACLSIDEEEEKKKSMSKGFKKAFGDAQSLSMVRFMDVFPFTWRIKKMLDIGSEKKLRKSVSIVREFAMKIIRDRRRDNRDHDGDDLLSRFSSEKEKSEEFLRDIVISFVLAGRETTSSALSWFFWLVSTRTDVEEKIVKEVDEIRARHGNFREGFDYDKLREMQYLHAAVTESMRLYPPVAIDTLACKEDDTLPDGNFVGKGWFVSYSAYAMGRLEEIWGEDWGVFRPERWLEDGVFKPENPFKFPVFHAGPRMCLGKEMAYIQMKSVAASVFEEFKVEVLLEKGRSPEHVLSMTLRMRDGLPVQVKRRERS >DRNTG_09764.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:7951696:7952267:-1 gene:DRNTG_09764 transcript:DRNTG_09764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVQGNKIVSENEIELKDLAGFVPELAPTEEVLCAKFEVGLNMGIRERMTITSNQNIKEVVQSASRTEQLALLDLNHFKAVPSRDSHILTMLLVLETGYLRLACIELGQGRSASSSQGHPN >DRNTG_00079.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21104469:21104597:-1 gene:DRNTG_00079 transcript:DRNTG_00079.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVFIGSLSGFAVGFWTVWDVLTFKKNWRHAYFRCMDNMFV >DRNTG_10520.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31000377:31009549:1 gene:DRNTG_10520 transcript:DRNTG_10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GFS12 [Source:Projected from Arabidopsis thaliana (AT5G18525) UniProtKB/Swiss-Prot;Acc:F4JY12] MEGAMPCFECLQRRIEADFSSDVLFRYSISDSALPFGSSAVIQICSAVAADNIGSEKISTQFVLAVSVDTEERGVAANGEDQSTSSENGGCQNQDDVGTSGMRMTATLSPFASICRGSFSAIEDLLKKYESCLIEDQVMSSLNLLVEGKVAGRYGLDFLNLVGCPAFCESRIPGSVRHPNITPILGFLKTPGYSYLLHPKAPYSMEGILHYSPKALESEWHARFLIYQIVSALHHIHSQGIAHGNICPSNVMLTDSSWVWLSIVDMHTMRNNLSSKVSSCSSLKTYCCMEDCPCQTIYADLKLSACIHWHSDFKRWYTGELSNYEYLLVLNRLAGRRWGDHAFHTVMPWVTDFSVKPDESSDIGWRDLKKSKWRLAKGDEQLDFTYSTSEIPHHVSDECLSELAVCSYKARRLPLRILRSAVRSVYEPNEYPSNMQRLYQWTPDECIPEFYSDSRIFFSLHSGMSDLAVPSWAKSPEEFILLHRDALESERVSKNLHHWIDITFGYKLSGQASIEAKNVMLPASDPLIPKSMGRRQLFTKPHPMRQVVTSRYYKSKESSSSCIYGSSQTLPKEHSISENSWLESLEGATLFCEYAGYLNPIYRYDNLPSLKLAKNHKLEKDTLAQPGSDIPTPYMVLGNLIDYLEVDDSGPTGFQELLRWKHSSSSLGISSEMLADDIFSVGCLLAEFYLKKPLFDPISIAAYKENGVLPGLLQELPPHVVLLVEQCIQKDWTRRPSAKCLLESQYFPPSIRSAYLFLAPLQLIAKPESRIQYAAKIANKGGLKVMGAFTAEMCAPYCLSLIMSSSSDVEAESALFLLKELLRCLSSRAIVALILPAIQKILQVLEYSHLKVSLLQDSFIRDLWNRLGKQIYLEKMHPLVISNLIRSPDKISTSAASVALIGSCEELGFPISVHQTILPLIYSFGKECCADAVEALVRIGGLLGGNFIIKHLLPLLRNVVLSCMDISHVSKPEPVQSWNSLALIGCLSTLDGLLSFLPSQVVVKELIQDQAFLHVNLLMQTHLDLFVTKVAANSLIVLCQRIGQDLTASFVLPQLKDLFDELAFSQRSVSAPNSIGRNLKLARSKLDEDACIENRIDLVVLLYPRLAALIGIEKLRQGCFTWLILEQMLERRYNWKWNAAGESSRGSFEIMDSPKLSLSKYHPSEYNSAKLLLNGVGWSIPQSQGVRCSRNLINSKQGSEPRHMGTSSFANYEAWHWFTGPASTPDASDFLGRTGGQKDELTWKIKASVIHSARAHPGVLRSVAICHDECTIYTGGVGPGFKGSVQKWELSRMNCISGYYGHDEVVNAVCVLSVSGRVASCDGTIHVWNGQTGKLIAAYSEASTNFLHTAKLNSEPNNMLTPNTLSGGILSNAFNGSLYTCMHHIDFDEKLVAGMGNGSIRFIDVVRDQKLHLWKSDPAESSFSSLISAICSCGSENHYAGAIHSPPWMAVGLSSGHCRLLDARSGSIIANWRAHDGYITKLAAPEDHLLVSSSFDKTLQVWDLRRNLGTQSNVFRGHLDGISSFSIWGQDVISVSRNKIGLTSLSGLTDEGGQHRLLPQTLYSADRGTKNLSLLSTVSVLPYSRLFLVGTEDGYLKVCC >DRNTG_10520.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31003992:31009549:1 gene:DRNTG_10520 transcript:DRNTG_10520.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GFS12 [Source:Projected from Arabidopsis thaliana (AT5G18525) UniProtKB/Swiss-Prot;Acc:F4JY12] MGAFTAEMCAPYCLSLIMSSSSDVEAESALFLLKELLRCLSSRAIVALILPAIQKILQVLEYSHLKVSLLQDSFIRDLWNRLGKQIYLEKMHPLVISNLIRSPDKISTSAASVALIGSCEELGFPISVHQTILPLIYSFGKECCADAVEALVRIGGLLGGNFIIKHLLPLLRNVVLSCMDISHVSKPEPVQSWNSLALIGCLSTLDGLLSFLPSQVVVKELIQDQAFLHVNLLMQTHLDLFVTKVAANSLIVLCQRIGQDLTASFVLPQLKDLFDELAFSQRSVSAPNSIGRNLKLARSKLDEDACIENRIDLVVLLYPRLAALIGIEKLRQGCFTWLILEQMLERRYNWKWNAAGESSRGSFEIMDSPKLSLSKYHPSEYNSAKLLLNGVGWSIPQSQGVRCSRNLINSKQGSEPRHMGTSSFANYEAWHWFTGPASTPDASDFLGRTGGQKDELTWKIKASVIHSARAHPGVLRSVAICHDECTIYTGGVGPGFKGSVQKWELSRMNCISGYYGHDEVVNAVCVLSVSGRVASCDGTIHVWNGQTGKLIAAYSEASTNFLHTAKLNSEPNNMLTPNTLSGGILSNAFNGSLYTCMHHIDFDEKLVAGMGNGSIRFIDVVRDQKLHLWKSDPAESSFSSLISAICSCGSENHYAGAIHSPPWMAVGLSSGHCRLLDARSGSIIANWRAHDGYITKLAAPEDHLLVSSSFDKTLQVWDLRRNLGTQSNVFRGHLDGISSFSIWGQDVISVSRNKIGLTSLSGLTDEGGQHRLLPQTLYSADRGTKNLSLLSTVSVLPYSRLFLVGTEDGYLKVCC >DRNTG_10520.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31005533:31009549:1 gene:DRNTG_10520 transcript:DRNTG_10520.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GFS12 [Source:Projected from Arabidopsis thaliana (AT5G18525) UniProtKB/Swiss-Prot;Acc:F4JY12] MLERRYNWKWNAAGESSRGSFEIMDSPKLSLSKYHPSEYNSAKLLLNGVGWSIPQSQGVRCSRNLINSKQGSEPRHMGTSSFANYEAWHWFTGPASTPDASDFLGRTGGQKDELTWKIKASVIHSARAHPGVLRSVAICHDECTIYTGGVGPGFKGSVQKWELSRMNCISGYYGHDEVVNAVCVLSVSGRVASCDGTIHVWNGQTGKLIAAYSEASTNFLHTAKLNSEPNNMLTPNTLSGGILSNAFNGSLYTCMHHIDFDEKLVAGMGNGSIRFIDVVRDQKLHLWKSDPAESSFSSLISAICSCGSENHYAGAIHSPPWMAVGLSSGHCRLLDARSGSIIANWRAHDGYITKLAAPEDHLLVSSSFDKTLQVWDLRRNLGTQSNVFRGHLDGISSFSIWGQDVISVSRNKIGLTSLSGLTDEGGQHRLLPQTLYSADRGTKNLSLLSTVSVLPYSRLFLVGTEDGYLKVCC >DRNTG_10520.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31001478:31009549:1 gene:DRNTG_10520 transcript:DRNTG_10520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GFS12 [Source:Projected from Arabidopsis thaliana (AT5G18525) UniProtKB/Swiss-Prot;Acc:F4JY12] MRMTATLSPFASICRGSFSAIEDLLKKYESCLIEDQVMSSLNLLVEGKVAGRYGLDFLNLVGCPAFCESRIPGSVRHPNITPILGFLKTPGYSYLLHPKAPYSMEGILHYSPKALESEWHARFLIYQIVSALHHIHSQGIAHGNICPSNVMLTDSSWVWLSIVDMHTMRNNLSSKVSSCSSLKTYCCMEDCPCQTIYADLKLSACIHWHSDFKRWYTGELSNYEYLLVLNRLAGRRWGDHAFHTVMPWVTDFSVKPDESSDIGWRDLKKSKWRLAKGDEQLDFTYSTSEIPHHVSDECLSELAVCSYKARRLPLRILRSAVRSVYEPNEYPSNMQRLYQWTPDECIPEFYSDSRIFFSLHSGMSDLAVPSWAKSPEEFILLHRDALESERVSKNLHHWIDITFGYKLSGQASIEAKNVMLPASDPLIPKSMGRRQLFTKPHPMRQVVTSRYYKSKESSSSCIYGSSQTLPKEHSISENSWLESLEGATLFCEYAGYLNPIYRYDNLPSLKLAKNHKLEKDTLAQPGSDIPTPYMVLGNLIDYLEVDDSGPTGFQELLRWKHSSSSLGISSEMLADDIFSVGCLLAEFYLKKPLFDPISIAAYKENGVLPGLLQELPPHVVLLVEQCIQKDWTRRPSAKCLLESQYFPPSIRSAYLFLAPLQLIAKPESRIQYAAKIANKGGLKVMGAFTAEMCAPYCLSLIMSSSSDVEAESALFLLKELLRCLSSRAIVALILPAIQKILQVLEYSHLKVSLLQDSFIRDLWNRLGKQIYLEKMHPLVISNLIRSPDKISTSAASVALIGSCEELGFPISVHQTILPLIYSFGKECCADAVEALVRIGGLLGGNFIIKHLLPLLRNVVLSCMDISHVSKPEPVQSWNSLALIGCLSTLDGLLSFLPSQVVVKELIQDQAFLHVNLLMQTHLDLFVTKVAANSLIVLCQRIGQDLTASFVLPQLKDLFDELAFSQRSVSAPNSIGRNLKLARSKLDEDACIENRIDLVFLQGTLVSSAGCSYWYRETSSRLFHMANFGANARAALQLEVECCWGIFERQF >DRNTG_10520.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31001903:31009549:1 gene:DRNTG_10520 transcript:DRNTG_10520.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GFS12 [Source:Projected from Arabidopsis thaliana (AT5G18525) UniProtKB/Swiss-Prot;Acc:F4JY12] MRMTATLSPFASICRGSFSAIEDLLKKYESCLIEDQVMSSLNLLVEGKVAGRYGLDFLNLVGCPAFCESRIPGSVRHPNITPILGFLKTPGYSYLLHPKAPYSMEGILHYSPKALESEWHARFLIYQIVSALHHIHSQGIAHGNICPSNVMLTDSSWVWLSIVDMHTMRNNLSSKVSSCSSLKTYCCMEDCPCQTIYADLKLSACIHWHSDFKRWYTGELSNYEYLLVLNRLAGRRWGDHAFHTVMPWVTDFSVKPDESSDIGWRDLKKSKWRLAKGDEQLDFTYSTSEIPHHVSDECLSELAVCSYKARRLPLRILRSAVRSVYEPNEYPSNMQRLYQWTPDECIPEFYSDSRIFFSLHSGMSDLAVPSWAKSPEEFILLHRDALESERVSKNLHHWIDITFGYKLSGQASIEAKNVMLPASDPLIPKSMGRRQLFTKPHPMRQVVTSRYYKSKESSSSCIYGSSQTLPKEHSISENSWLESLEGATLFCEYAGYLNPIYRYDNLPSLKLAKNHKLEKDTLAQPGSDIPTPYMVLGNLIDYLEVDDSGPTGFQELLRWKHSSSSLGISSEMLADDIFSVGCLLAEFYLKKPLFDPISIAAYKENGVLPGLLQELPPHVVLLVEQCIQKDWTRRPSAKCLLESQYFPPSIRSAYLFLAPLQLIAKPESRIQYAAKIANKGGLKVMGAFTAEMCAPYCLSLIMSSSSDVEAESALFLLKELLRCLSSRAIVALILPAIQKILQVLEYSHLKVSLLQDSFIRDLWNRLGKQIYLEKMHPLVISNLIRSPDKISTSAASVALIGSCEELGFPISVHQTILPLIYSFGKECCADAVEALVRIGGLLGGNFIIKHLLPLLRNVVLSCMDISHVSKPEPVQSWNSLALIGCLSTLDGLLSFLPSQVVVKELIQDQAFLHVNLLMQTHLDLFVTKVAANSLIVLCQRIGQDLTASFVLPQLKDLFDELAFSQRSVSAPNSIGRNLKLARSKLDEDACIENRIDLVFLQGTLVSSAGCSYWYRETSSRLFHMANFGANARAALQLEVECCWGIFERQF >DRNTG_10520.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31000377:31001536:1 gene:DRNTG_10520 transcript:DRNTG_10520.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GFS12 [Source:Projected from Arabidopsis thaliana (AT5G18525) UniProtKB/Swiss-Prot;Acc:F4JY12] MRWRGRCLASNACSAASRPISPPMCYSDTASPTLPSPSAPPPSSRSARLWQRTI >DRNTG_16895.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25993413:25995342:-1 gene:DRNTG_16895 transcript:DRNTG_16895.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPIAVHPIRAELDKSSDMTMAVRISLLLCSVIYATVGLFGYLLFGESTMADILSNFDRGSGSAISPVLNDIVRLSYALHLMLVYPLVNYSLRISIDELFFPKARPLTSDKARFVSLTVILLGALYLAAIAIPSIWTLFQFSGSTTAVCLSLIFPAAIALRDIHGMSKRRDKILAAIMIVLAVVTSSIAIVSNVLTVVAGV >DRNTG_16895.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25993413:25997188:-1 gene:DRNTG_16895 transcript:DRNTG_16895.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPLLPELHSSATGGASVPGAVFNIATSIIGAGIMSIPATMRVLGVIPALLVIAAVAFLSDSSVEFLTRYIGISTGSDSSISYAGVMRESFGRLGSILLQLCVALTNAGALIMYLIIIGDVMAESSAEGVVHLGVLQEWFGRHWWSSREVVLFIITFGIMGPLSLFRRVGSLRFSSAISVLLAVVFMCISSALAIYSLFQGTAQTPRLLPDFSNQSFFELFTAIPVIVVAFTFHFNVHPIRAELDKSSDMTMAVRISLLLCSVIYATVGLFGYLLFGESTMADILSNFDRGSGSAISPVLNDIVRLSYALHLMLVYPLVNYSLRISIDELFFPKARPLTSDKARFVSLTVILLGALYLAAIAIPSIWTLFQFSGSTTAVCLSLIFPAAIALRDIHGMSKRRDKILAAIMIVLAVVTSSIAIVSNVLTVVAGV >DRNTG_21210.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:973819:974519:1 gene:DRNTG_21210 transcript:DRNTG_21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding KERCGRVRGVGLGPTPKSYYGGSSTQNSNNSNNQSSEVVERIHQMEREMQKMRDERE >DRNTG_28420.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:4492394:4497086:-1 gene:DRNTG_28420 transcript:DRNTG_28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSPGPDGEPDSPTRRGRGRGIFSGVGAGAGGMKKFPVGVGAGVCGRLSLLQVRGVTEWVLFIISQSQLSSASLSISLVLIPSPTCLSPRCQLKSLIISSSSSPLTQPMHHEIVGFIDQTLLKALANAGLIKPVSHRIEEIDACSVECKVHIM >DRNTG_33902.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26705932:26709757:-1 gene:DRNTG_33902 transcript:DRNTG_33902.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEGKRFRPTVLLLMASALNMPIPQSAADEVFDNLSRDLRSRQQSIAEITEMIHVASLLHDDVLDDAETRRGIGSLNFVMGNKLAVLAGDFLLSRACVALASLKNTEVVSLLATVVEHLVTGETMQMATTSEQRCSMEYYLQKTYYKTASLISNSCKAVALLAGQTAEVSMLAYNYGRNLGLAYQLIDDVLDFTGTSSSLGKGSLSDIRHGIVTAPILFAMEEYPQLREVVDRGFDDPTDVDVALDYLGKSRGIERTRELAAEHAKHAIEAINTLPESDDEDVQISRRALVDLTHRVLTRSK >DRNTG_33902.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26705932:26709181:-1 gene:DRNTG_33902 transcript:DRNTG_33902.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLLLMASALNMPIPQSAADEVFDNLSRDLRSRQQSIAEITEMIHVASLLHDDVLDDAETRRGIGSLNFVMGNKLAVLAGDFLLSRACVALASLKNTEVVSLLATVVEHLVTGETMQMATTSEQRCSMEYYLQKTYYKTASLISNSCKAVALLAGQTAEVSMLAYNYGRNLVCCFGSNIFNSMFLFC >DRNTG_14865.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2849204:2849821:1 gene:DRNTG_14865 transcript:DRNTG_14865.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAYIDAVKALADGDMEGKDVAELVSGMAGGWRAEFIVEAWSAGVSTSLGLATVATHTGGKRVCMVLDERAQAEYEQAMEHAGVSPAEVVVGEGEERVKELEGVDFMVVDMRRRDAARVLRGVRPGPRGMVVVCTRAVGKDGGAAWMMGRGTRVVRAAFLPIGGGLEILHVGVGNGPGLQGSCGGRWIKCVDHDTGELHVFRR >DRNTG_20875.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1633934:1634287:1 gene:DRNTG_20875 transcript:DRNTG_20875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLKAKQSPQRPHNGHCGNHHDHDLTRQHPKSLAST >DRNTG_08855.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27830310:27842654:-1 gene:DRNTG_08855 transcript:DRNTG_08855.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNTTSMSEVQMKVAQAVHVLNHDSQSCNRVAANQWLVQFQQTDSAWEVATSILTAPAPFPAHFEVEFFAAQILRRKIQNEGYYLPSGVKDALLHALLVAAQRFSLGPPQLLTQICLALSALVLRSIEHKKPIVQLFSSLHQLQVNEDGNVALLEMLTVLPEEVVEDHNGDRNIDAASRSQFTRELLSHTPTVLEFLLHQSKQRLDDGRQLHDRNRKILRCLLSWF >DRNTG_08855.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27830310:27840369:-1 gene:DRNTG_08855 transcript:DRNTG_08855.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHPALANGDEKVISGIACLLSEIGQAAPALIAEASTDAHVLADAVLSCVAFPSEEWEISDSTLQFWCSLASYLLDINKANNGRVVEEMFCPVFSALLDALLLRAQVDDSTFGGKTGALDIPDGLTHFRMNLEELLIDICQLLGSKRFVQKLFSGDWASADNLIPWNEVETRMFALNMVAETVLQEGLPFDFSVIVRLVVILSSLGPEELKGFVAFVYKSVADVVGSYSKWILSFQNNIRPFLLFCASGITESVSSSACASTLRKLCEDASAVIHEPQNLEILIWIGEGLEKRNLPLEEEEEVVTAVTLILNSVPNQELKKNSLARLLCSSYGAIEKLIDTNSGNSLRQNPAAYTQALNSAVRGLYRMGTVFGHLGASHHADHVEDDTVLALLGVFWPLLEKLFRSSHIGSGTLSAAACRSLSQAIHSSGQKFLMLLPKALDCLSTNFLLYQSHECYVRAGDPFYYSGHFPHRCIILYGHGLCM >DRNTG_08855.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27840457:27842542:-1 gene:DRNTG_08855 transcript:DRNTG_08855.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNTTSMSEVQMKVAQAVHVLNHDSQSCNRVAANQWLVQFQQTDSAWEVATSILTAPAPFPAHFEVEFFAAQILRRKIQNEGYYLPSGVKDALLHALLVAAQRFSLGPPQLLTQICLALSALVLRSIEHKKPIVQLFSSLHQLQVNEDGNVALLEMLTVLPEEVVEDHNGDRNIDAASRSQFTRELLSHTPTVLEFLLHQSKQRLDDGRQLHDRNRKILRCLLSWVRVGCFSEISSSSLPTHPLLNFVFNSLQ >DRNTG_08855.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27830310:27842542:-1 gene:DRNTG_08855 transcript:DRNTG_08855.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNTTSMSEVQMKVAQAVHVLNHDSQSCNRVAANQWLVQFQQTDSAWEVATSILTAPAPFPAHFEVEFFAAQILRRKIQNEGYYLPSGVKDALLHALLVAAQRFSLGPPQLLTQICLALSALVLRSIEHKKPIVQLFSSLHQLQVNEDGNVALLEMLTVLPEEVVEDHNGDRNIDAASRSQFTRELLSHTPTVLEFLLHQSKQRLDDGRQLHDRNRKILRCLLSWVRVGCFSEISSSSLPTHPLLNFVFNSLQVSSSFDVAIEVLIELVSRHEGLPQVLLSKIRYLKEMLLHPALANGDEKVISGIACLLSEIGQAAPALIAEASTDAHVLADAVLSCVAFPSEEWEISDSTLQFWCSLASYLLDINKANNGRVVEEMFCPVFSALLDALLLRAQVDDSTFGGKTGALDIPDGLTHFRMNLEELLIDICQLLGSKRFVQKLFSGDWASADNLIPWNEVETRMFALNMVAETVLQEGLPFDFSVIVRLVVILSSLGPEELKGFVAFVYKSVADVVGSYSKWILSFQNNIRPFLLFCASGITESVSSSACASTLRKLCEDASAVIHEPQNLEILIWIGEGLEKRNLPLEEEEEVVTAVTLILNSVPNQELKKNSLARLLCSSYGAIEKLIDTNSGNSLRQNPAAYTQALNSAVRGLYRMGTVFGHLGASHHADHVEDDTVLALLGVFWPLLEKLFRSSHIGSGTLSAAACRSLSQAIHSSGQKFLMLLPKALDCLSTNFLLYQSHECYVRAAAVVIEEFGHIEDYGSLCISTFERFTKAESVTALNSSYICDQEPDLVEAYTNFTSTFVRCCPKEVVAASGPLLELSFQKAAICCTAMHRGAALAAMSYMSCFLEVCLTSILESSACIVEGSLSAVLIQVLSRSGEGLISNVVYALLGVSAMSRVHKSATILQQLAALCSIVDRTSWKTILCWDSLCRWLQSTVQSLPSEYLKQGEAVTLVPLWLKALASAASDYLESKTSDTARSDHGHMQGKGGRTLKRIIRDFADTHRNGPNLT >DRNTG_08855.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27830310:27842542:-1 gene:DRNTG_08855 transcript:DRNTG_08855.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVLPEEVVEDHNGDRNIDAASRSQFTRELLSHTPTVLEFLLHQSKQRLDDGRQLHDRNRKILRCLLSWVRVGCFSEISSSSLPTHPLLNFVFNSLQVSSSFDVAIEVLIELVSRHEGLPQVLLSKIRYLKEMLLHPALANGDEKVISGIACLLSEIGQAAPALIAEASTDAHVLADAVLSCVAFPSEEWEISDSTLQFWCSLASYLLDINKANNGRVVEEMFCPVFSALLDALLLRAQVDDSTFGGKTGALDIPDGLTHFRMNLEELLIDICQLLGSKRFVQKLFSGDWASADNLIPWNEVETRMFALNMVAETVLQEGLPFDFSVIVRLVVILSSLGPEELKGFVAFVYKSVADVVGSYSKWILSFQNNIRPFLLFCASGITESVSSSACASTLRKLCEDASAVIHEPQNLEILIWIGEGLEKRNLPLEEEEEVVTAVTLILNSVPNQELKKNSLARLLCSSYGAIEKLIDTNSGNSLRQNPAAYTQALNSAVRGLYRMGTVFGHLGASHHADHVEDDTVLALLGVFWPLLEKLFRSSHIGSGTLSAAACRSLSQAIHSSGQKFLMLLPKALDCLSTNFLLYQSHECYVRAGDPFYYSGHFPHRCIILYGHGLCM >DRNTG_08855.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27830310:27835323:-1 gene:DRNTG_08855 transcript:DRNTG_08855.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVFGHLGASHHADHVEDDTVLALLGVFWPLLEKLFRSSHIGSGTLSAAACRSLSQAIHSSGQKFLMLLPKALDCLSTNFLLYQSHECYVRAAAVVIEEFGHIEDYGSLCISTFERFTKAESVTALNSSYICDQEPDLVEAYTNFTSTFVRCCPKEVVAASGPLLELSFQKAAICCTAMHRGAALAAMSYMSCFLEVCLTSILESSACIVEGSLSAVLIQVLSRSGEGLISNVVYALLGVSAMSRVHKSATILQQLAALCSIVDRTSWKTILCWDSLCRWLQSTVQSLPSEYLKQGEAVTLVPLWLKALASAASDYLESKTSDTARSDHGHMQGKGGRTLKRIIRDFADTHRNGPNLT >DRNTG_03203.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12273056:12273954:-1 gene:DRNTG_03203 transcript:DRNTG_03203.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKPRSTQNRRKPSKRPQWRILKQRHQGKRHR >DRNTG_03203.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12272826:12274013:-1 gene:DRNTG_03203 transcript:DRNTG_03203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKPRSTQNRRKPSKRPQWRILKQRHQGKRHR >DRNTG_03203.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:12273056:12274013:-1 gene:DRNTG_03203 transcript:DRNTG_03203.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKPRSTQNRRKPSKRPQWRILKQRHQGKRHR >DRNTG_16504.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:5097958:5101771:1 gene:DRNTG_16504 transcript:DRNTG_16504.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIGGRDPLQDLQRRYAEELRKRGKEMKLLEYADAVHAFYVFPELKLSTEFIGEMKSFVETHTKKQEINSNI >DRNTG_24666.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6910507:6911364:1 gene:DRNTG_24666 transcript:DRNTG_24666.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDATMPRSASVGALTPQWIVLQQTSYLSDSSPSPYLIQHGLASMSTQVSSNSFPIVNQGAGFGNLGMNQNPSGKKSQPSTPNSLTSGGNPFE >DRNTG_24666.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6910367:6911364:1 gene:DRNTG_24666 transcript:DRNTG_24666.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQASPQSAKSSNPFDLASELSLPRPPSFSSLATLAGTLPDMTSDATMPRSASVGALTPQWIVLQQTSYLSDSSPSPYLIQHGLASMSTQVSSNSFPIVNQGAGFGNLGMNQNPSGKKSQPSTPNSLTSGGNPFE >DRNTG_24666.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:6910216:6911364:1 gene:DRNTG_24666 transcript:DRNTG_24666.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAMQYHVGDTMQASPQSAKSSNPFDLASELSLPRPPSFSSLATLAGTLPDMTSDATMPRSASVGALTPQWIVLQQTSYLSDSSPSPYLIQHGLASMSTQVSSNSFPIVNQGAGFGNLGMNQNPSGKKSQPSTPNSLTSGGNPFE >DRNTG_06663.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:3053912:3056639:-1 gene:DRNTG_06663 transcript:DRNTG_06663.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMESIADLCLGTALEEPQLNQEPLKKCVVPEVAPSSANGCFDCNICLDFATDPVVTLCGHLYCWPCIYKWLQVQTVKPQQCPVCKATLLANTLVPLYSRGHDSALKNSSRSSDIPCRPSIHSDQEHGHRLHNVSPPLVETRMMNSTAGGVLGGMAMAVLPWALRNDESLGLYHSNSYYQIVNGGNTRLRRQEMELETSLHQIWTFLFCCAILCLLLF >DRNTG_31040.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30561999:30566152:1 gene:DRNTG_31040 transcript:DRNTG_31040.13 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMPFVFLLIFQSGLLVFQPRYRDYCVSRFGFLIDRPAIFDDENEDYALSRCREIWELRYPSEPFDLEISTASEEPLDGGDVLARIRRHASLAANFSDPFMAETVYLVAAKRRYLDFLRLVKSLPANGFRLVPTSDVLLMWLTHQSFPGSYERDLKELGEFEERAMGFGVETSEEERESTRRAWEEAFDEPYELAGMVFDPRRSPAREFFNWATWDADLNRKYKSLHPRFLLEVCVFLKGKWESKEGKDVNKMFMRLKTVRCHREMKLEKPIAKLQFKNWQRIWQLYCEFGTRGIVIEVRNQRSNCIGNSKMLKQVVFWWNDLLRVTSLTLTRELNVQMRAMASITPPVQAPYFLKCVPDRVTDDSGAMISDVILRMKEYHPQEGRWLSRTVLDHAGRECFVVRSRQRILEERRRNSNSSKMGG >DRNTG_31040.16 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30563915:30566152:1 gene:DRNTG_31040 transcript:DRNTG_31040.16 gene_biotype:protein_coding transcript_biotype:protein_coding MFMRLKTVRCHREMKLEKPIAKLQFKNWQRIWQLYCEFGTRGIVIEVRNQRSNCIGNSKMLKQVVFWWNDLLRVTSLTLTRELNVQMRAMASITPPVQAPYFLKCVPDRVTDDSGAMISDVILRMKEYHPQEGRWLSRTVLDHAGRECFVVRSRVGRGFWRRGGETPTAVKWEDRIIEVREGPWSYVASSVGSAPDNVVGTAAPKKEDLQDKKTIWCLSSGDVLTIEWGDGLNFLLENENSSESASLHIGRKLQYQMKEQRSIDETNEEEHYVTLIRFSPENPEGKATALINWKLFAMEFLPEEDAVIVLLLCVAIVRTMSEVKQEDAGGLLARRRVREVKTGLRDWGSIMLSSPSSSYSSPHVKPWYWNASEVLASAETYAGIQHQTHRNSPSDGKDELYKQSVIP >DRNTG_31040.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30561860:30566152:1 gene:DRNTG_31040 transcript:DRNTG_31040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDQTNHAATSAASNVNGSDHGRIRISLDLVTASRRHLSFLRSGSATVFHPRSIQRYEEVWLPLISGLVIGSSSPPSLLPPPDVHWVWLCHCFDPPRYRDYCVSRFGFLIDRPAIFDDENEDYALSRCREIWELRYPSEPFDLEISTASEEPLDGGDVLARIRRHASLAANFSDPFMAETVYLVAAKRRYLDFLRLVKSLPANGFRLVPTSDVLLMWLTHQSFPGSYERDLKELGEFEERAMGFGVETSEEERESTRRAWEEAFDEPYELAGMVFDPRRSPAREFFNWATWDADLNRKYKSLHPRFLLEVCVFLKGKWESKEGKDVNKMFMRLKTVRCHREMKLEKPIAKLQFKNWQRIWQLYCEFGTRGIVIEVRNQRSNCIGNSKMLKQVVFWWNDLLRVTSLTLTRELNVQMRAMASITPPVQAPYFLKCVPDRVTDDSGAMISDVILRMKEYHPQEGRWLSRTVLDHAGRECFVVRSRQRILEERRRNSNSSKMGG >DRNTG_31040.18 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30561860:30563818:1 gene:DRNTG_31040 transcript:DRNTG_31040.18 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMPFVFLLIFQSGLLVFQPRYRDYCVSRFGFLIDRPAIFDDENEDYALSRCREIWELRYPSEPFDLEISTASEEPLDGGDVLARIRRHASLAANFSDPFMAETVYLVAAKRRYLDFLRLVKSLPANGFRLVPTSDVLLMWLTHQSFPGSYERDLKELGEFEERAMGFGVETSEEERESTRRAWEEAFDEPYELAGMVFDPRRSPAREFFNWATWDADLNRKYKSLHPRFLLEVFPSPQFLPLFFFLILIFLFSKGGLSSSKQNGIFFMVLDSDVAVFLRFRINSYSCPSEKKIISSSFSL >DRNTG_31040.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30561919:30566152:1 gene:DRNTG_31040 transcript:DRNTG_31040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMPFVFLLIFQSGLLVFQPRYRDYCVSRFGFLIDRPAIFDDENEDYALSRCREIWELRYPSEPFDLEISTASEEPLDGGDVLARIRRHASLAANFSDPFMAETVYLVAAKRRYLDFLRLVKSLPANGFRLVPTSDVLLMWLTHQSFPGSYERDLKELGEFEERAMGFGVETSEEERESTRRAWEEAFDEPYELAGMVFDPRRSPAREFFNWATWDADLNRKYKSLHPRFLLEVCVFLKGKWESKEGKDVNKMFMRLKTVRCHREMKLEKPIAKLQFKNWQRIWQLYCEFGTRGIVIEVRNQRSNCIGNSKMLKQVVFWWNDLLRVTSLTLTRELNVQMRAMASITPPVQAPYFLKCVPDRVTDDSGAMISDVILRMKEYHPQEGRWLSRTVLDHAGRECFVVRSR >DRNTG_31040.19 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30561860:30563818:1 gene:DRNTG_31040 transcript:DRNTG_31040.19 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVYLVAAKRRYLDFLRLVKSLPANGFRLVPTSDVLLMWLTHQSFPGSYERDLKELGEFEERAMGFGVETSEEERESTRRAWEEAFDEPYELAGMVFDPRRSPAREFFNWATWDADLNRKYKSLHPRFLLEVFPSPQFLPLFFFLILIFLFSKGGLSSSKQNGIFFMVLDSDVAVFLRFRINSYSCPSEKKIISSSFSL >DRNTG_31040.20 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30561919:30563818:1 gene:DRNTG_31040 transcript:DRNTG_31040.20 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMPFVFLLIFQSGLLVFQPRYRDYCVSRFGFLIDRPAIFDDENEDYALSRCREIWELRYPSEPFDLEISTASEEPLDGGDVLARIRRHASLAANFSDPFMAETVYLVAAKRRYLDFLRLVKSLPANGFRLVPTSDVLLMWLTHQSFPGSYERDLKELGEFEERAMGFGVETSEEERESTRRAWEEAFDEPYELAGMVFDPRRSPAREFFNWATWDADLNRKYKSLHPRFLLEVFPSPQFLPLFFFLILIFLFSKGGLSSSKQNGIFFMVLDSDVAVFLRFRINSYSCPSEKKIISSSFSL >DRNTG_31040.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30561999:30566152:1 gene:DRNTG_31040 transcript:DRNTG_31040.11 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMPFVFLLIFQSGLLVFQPRYRDYCVSRFGFLIDRPAIFDDENEDYALSRCREIWELRYPSEPFDLEISTASEEPLDGGDVLARIRRHASLAANFSDPFMAETVYLVAAKRRYLDFLRLVKSLPANGFRLVPTSDVLLMWLTHQSFPGSYERDLKELGEFEERAMGFGVETSEEERESTRRAWEEAFDEPYELAGMVFDPRRSPAREFFNWATWDADLNRKYKSLHPRFLLEVCVFLKGKWESKEGKDVNKMFMRLKTVRCHREMKLEKPIAKLQFKNWQRIWQLYCEFGTRGIVIEVRNQRSNCIGNSKMLKQVVFWWNDLLRVTSLTLTRELNVQMRAMASITPPVQAPYFLKCVPDRVTDDSGAMISDVILRMKEYHPQEGRWLSRTVLDHAGRECFVVRSRQRILEERRRNSNSSKMGG >DRNTG_31040.22.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30565234:30566152:1 gene:DRNTG_31040 transcript:DRNTG_31040.22 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQRSIDETNEEEHYVTLIRFSPENPEGKATALINWKLFAMEFLPEEDAVIVLLLCVAIVRTMSEVKQEDAGGLLARRRVREVKTGLRDWGSIMLSSPSSSYSSPHVKPWYWNASEVLASAETYAGIQHQTHRNSPSDGKDELYKQSVIP >DRNTG_31040.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30561999:30566152:1 gene:DRNTG_31040 transcript:DRNTG_31040.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMPFVFLLIFQSGLLVFQPRYRDYCVSRFGFLIDRPAIFDDENEDYALSRCREIWELRYPSEPFDLEISTASEEPLDGGDVLARIRRHASLAANFSDPFMAETVYLVAAKRRYLDFLRLVKSLPANGFRLVPTSDVLLMWLTHQSFPGSYERDLKELGEFEERAMGFGVETSEEERESTRRAWEEAFDEPYELAGMVFDPRRSPAREFFNWATWDADLNRKYKSLHPRFLLEVCVFLKGKWESKEGKDVNKMFMRLKTVRCHREMKLEKPIAKLQFKNWQRIWQLYCEFGTRGIVIEVRNQRSNCIGNSKMLKQVVFWWNDLLRVTSLTLTRELNVQMRAMASITPPVQAPYFLKCVPDRVTDDSGAMISDVILRMKEYHPQEGRWLSRTVLDHAGRECFVVRSRVGRGFWRRGGETPTAVKWEDRIIEVREGPWSYVASSVGSAPDNVVGTAAPKKEDLQDKKTIWCLSSGDVLTIEWGDGLNFLLENENSSESASLHIGRKLQYQMKEQRSIDETNEEEHYVTLIRFSPENPEGKATALINWKLFAMEFLPEEDAVIVLLLCVAIVRTMSEVKQEDAGGLLARRRVREVKTGLRDWGSIMLSSPSSSYSSPHVKPWYWNASEVLASAETYAGIQHQTHRNSPSDGKDELYKQSVIP >DRNTG_31040.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30561999:30566152:1 gene:DRNTG_31040 transcript:DRNTG_31040.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPDQTNHAATSAASNVNGSDHGRIRISLDLVTASRRHLSFLRSGSATVFHPRSIQRYEEVWLPLISGLVIGSSSPPSLLPPPDVHWVWLCHCFDPPRYRDYCVSRFGFLIDRPAIFDDENEDYALSRCREIWELRYPSEPFDLEISTASEEPLDGGDVLARIRRHASLAANFSDPFMAETVYLVAAKRRYLDFLRLVKSLPANGFRLVPTSDVLLMWLTHQSFPGSYERDLKELGEFEERAMGFGVETSEEERESTRRAWEEAFDEPYELAGMVFDPRRSPAREFFNWATWDADLNRKYKSLHPRFLLEVCVFLKGKWESKEGKDVNKMFMRLKTVRCHREMKLEKPIAKLQFKNWQRIWQLYCEFGTRGIVIEVRNQRSNCIGNSKMLKQVVFWWNDLLRVTSLTLTRELNVQMRAMASITPPVQAPYFLKCVPDRVTDDSGAMISDVILRMKEYHPQEGRWLSRTVLDHAGRECFVVRSRFMTIL >DRNTG_31040.14 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30561999:30566152:1 gene:DRNTG_31040 transcript:DRNTG_31040.14 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMPFVFLLIFQSGLLVFQPRYRDYCVSRFGFLIDRPAIFDDENEDYALSRCREIWELRYPSEPFDLEISTASEEPLDGGDVLARIRRHASLAANFSDPFMAETVYLVAAKRRYLDFLRLVKSLPANGFRLVPTSDVLLMWLTHQSFPGSYERDLKELGEFEERAMGFGVETSEEERESTRRAWEEAFDEPYELAGMVFDPRRSPAREFFNWATWDADLNRKYKSLHPRFLLEVCVFLKGKWESKEGKDVNKMFMRLKTVRCHREMKLEKPIAKLQFKNWQRIWQLYCEFGTRGIVIEVRNQRSNCIGNSKMLKQVVFWWNDLLRVTSLTLTRELNVQMRAMASITPPVQAPYFLKCVPDRVTDDSGAMISDVILRMKEYHPQEGRWLSRTVLDHAGRECFVVRSRFMTIL >DRNTG_31040.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30561919:30566152:1 gene:DRNTG_31040 transcript:DRNTG_31040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMPFVFLLIFQSGLLVFQPRYRDYCVSRFGFLIDRPAIFDDENEDYALSRCREIWELRYPSEPFDLEISTASEEPLDGGDVLARIRRHASLAANFSDPFMAETVYLVAAKRRYLDFLRLVKSLPANGFRLVPTSDVLLMWLTHQVISLQRFKAF >DRNTG_31040.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30561919:30566152:1 gene:DRNTG_31040 transcript:DRNTG_31040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMPFVFLLIFQSGLLVFQPRYRDYCVSRFGFLIDRPAIFDDENEDYALSRCREIWELRYPSEPFDLEISTASEEPLDGGDVLARIRRHASLAANFSDPFMAETVYLVAAKRRYLDFLRLVKSLPANGFRLVPTSDVLLMWLTHQVISLQRFKAF >DRNTG_31040.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30561999:30566152:1 gene:DRNTG_31040 transcript:DRNTG_31040.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPDQTNHAATSAASNVNGSDHGRIRISLDLVTASRRHLSFLRSGSATVFHPRSIQRYEEVWLPLISGLVIGSSSPPSLLPPPDVHWVWLCHCFDPPRYRDYCVSRFGFLIDRPAIFDDENEDYALSRCREIWELRYPSEPFDLEISTASEEPLDGGDVLARIRRHASLAANFSDPFMAETVYLVAAKRRYLDFLRLVKSLPANGFRLVPTSDVLLMWLTHQSFPGSYERDLKELGEFEERAMGFGVETSEEERESTRRAWEEAFDEPYELAGMVFDPRRSPAREFFNWATWDADLNRKYKSLHPRFLLEVCVFLKGKWESKEGKDVNKMFMRLKTVRCHREMKLEKPIAKLQFKNWQRIWQLYCEFGTRGIVIEVRNQRSNCIGNSKMLKQVVFWWNDLLRVTSLTLTRELNVQMRAMASITPPVQAPYFLKCVPDRVTDDSGAMISDVILRMKEYHPQEGRWLSRTVLDHAGRECFVVRSRVGRGFWRRGGETPTAVKWEDRIIEVREGPWSYVASSVGSAPDNVVGTAAPKKEDLQDKKTIWCLSSGDVLTIEWGDGLNFLLENENSSESASLHIGRKLQYQMKEQRSIDETNEEEHYVTLIRFSPENPEGKATALINWKLFAMEFLPEEDAVIVLLLCVAIVRTMSEVKQEDAGGLLARRRVREVKTGLRDWGSIMLSSPSSSYSSPHVKPWYWNASEVLASAETYAGIQHQTHRNSPSDGKDELYKQSVIP >DRNTG_31040.15.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30563915:30566152:1 gene:DRNTG_31040 transcript:DRNTG_31040.15 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQRSIDETNEEEHYVTLIRFSPENPEGKATALINWKLFAMEFLPEEDAVIVLLLCVAIVRTMSEVKQEDAGGLLARRRVREVKTGLRDWGSIMLSSPSSSYSSPHVKPWYWNASEVLASAETYAGIQHQTHRNSPSDGKDELYKQSVIP >DRNTG_31040.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30561999:30566152:1 gene:DRNTG_31040 transcript:DRNTG_31040.12 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMPFVFLLIFQSGLLVFQPRYRDYCVSRFGFLIDRPAIFDDENEDYALSRCREIWELRYPSEPFDLEISTASEEPLDGGDVLARIRRHASLAANFSDPFMAETVYLVAAKRRYLDFLRLVKSLPANGFRLVPTSDVLLMWLTHQSFPGSYERDLKELGEFEERAMGFGVETSEEERESTRRAWEEAFDEPYELAGMVFDPRRSPAREFFNWATWDADLNRKYKSLHPRFLLEVCVFLKGKWESKEGKDVNKMFMRLKTVRCHREMKLEKPIAKLQFKNWQRIWQLYCEFGTRGIVIEVRNQRSNCIGNSKMLKQVVFWWNDLLRVTSLTLTRELNVQMRAMASITPPVQAPYFLKCVPDRVTDDSGAMISDVILRMKEYHPQEGRWLSRTVLDHAGRECFVVRSRVGRGFWRRGGETPTAVKWEDRIIEVREGPWSYVASSVGSAPDNVVGTAAPKKEDLQDKKTIWCLSSGDVLTIEWGDGLNFLLENENSSESASLHIGRKLQYQMKEQRSIDETNEEEHYVTLIRFSPENPEGKATALINWKLFAMEFLPEEDAVIVLLLCVAIVRTMSEVKQEDAGGLLARRRVREVKTGLRDWGSIMLSSPSSSYSSPHVKPWYWNASEVLASAETYAGIQHQTHRNSPSDGKDELYKQSVIP >DRNTG_31040.21.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30561919:30563818:1 gene:DRNTG_31040 transcript:DRNTG_31040.21 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMPFVFLLIFQSGLLVFQPRYRDYCVSRFGFLIDRPAIFDDENEDYALSRCREIWELRYPSEPFDLEISTASEEPLDGGDVLARIRRHASLAANFSDPFMAETVYLVAAKRRYLDFLRLVKSLPANGFRLVPTSDVLLMWLTHQVISLQRFKAF >DRNTG_31040.17 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30561860:30563818:1 gene:DRNTG_31040 transcript:DRNTG_31040.17 gene_biotype:protein_coding transcript_biotype:protein_coding MPDQTNHAATSAASNVNGSDHGRIRISLDLVTASRRHLSFLRSGSATVFHPRSIQRYEEVWLPLISGLVIGSSSPPSLLPPPDVHWVWLCHCFDPPRYRDYCVSRFGFLIDRPAIFDDENEDYALSRCREIWELRYPSEPFDLEISTASEEPLDGGDVLARIRRHASLAANFSDPFMAETVYLVAAKRRYLDFLRLVKSLPANGFRLVPTSDVLLMWLTHQVISLQRFKAF >DRNTG_31040.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30561999:30566152:1 gene:DRNTG_31040 transcript:DRNTG_31040.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVYLVAAKRRYLDFLRLVKSLPANGFRLVPTSDVLLMWLTHQSFPGSYERDLKELGEFEERAMGFGVETSEEERESTRRAWEEAFDEPYELAGMVFDPRRSPAREFFNWATWDADLNRKYKSLHPRFLLEVCVFLKGKWESKEGKDVNKMFMRLKTVRCHREMKLEKPIAKLQFKNWQRIWQLYCEFGTRGIVIEVRNQRSNCIGNSKMLKQVVFWWNDLLRVTSLTLTRELNVQMRAMASITPPVQAPYFLKCVPDRVTDDSGAMISDVILRMKEYHPQEGRWLSRTVLDHAGRECFVVRSRVGRGFWRRGGETPTAVKWEDRIIEVREGPWSYVASSVGSAPDNVVGTAAPKKEDLQDKKTIWCLSSGDVLTIEWGDGLNFLLENENSSESASLHIGRKLQYQMKEQRSIDETNEEEHYVTLIRFSPENPEGKATALINWKLFAMEFLPEEDAVIVLLLCVAIVRTMSEVKQEDAGGLLARRRVREVKTGLRDWGSIMLSSPSSSYSSPHVKPWYWNASEVLASAETYAGIQHQTHRNSPSDGKDELYKQSVIP >DRNTG_31040.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30561999:30566152:1 gene:DRNTG_31040 transcript:DRNTG_31040.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVYLVAAKRRYLDFLRLVKSLPANGFRLVPTSDVLLMWLTHQSFPGSYERDLKELGEFEERAMGFGVETSEEERESTRRAWEEAFDEPYELAGMVFDPRRSPAREFFNWATWDADLNRKYKSLHPRFLLEVCVFLKGKWESKEGKDVNKMFMRLKTVRCHREMKLEKPIAKLQFKNWQRIWQLYCEFGTRGIVIEVRNQRSNCIGNSKMLKQVVFWWNDLLRVTSLTLTRELNVQMRAMASITPPVQAPYFLKCVPDRVTDDSGAMISDVILRMKEYHPQEGRWLSRTVLDHAGRECFVVRSR >DRNTG_31040.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30561999:30566152:1 gene:DRNTG_31040 transcript:DRNTG_31040.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMPFVFLLIFQSGLLVFQPRYRDYCVSRFGFLIDRPAIFDDENEDYALSRCREIWELRYPSEPFDLEISTASEEPLDGGDVLARIRRHASLAANFSDPFMAETVYLVAAKRRYLDFLRLVKSLPANGFRLVPTSDVLLMWLTHQSFPGSYERDLKELGEFEERAMGFGVETSEEERESTRRAWEEAFDEPYELAGMVFDPRRSPAREFFNWATWDADLNRKYKSLHPRFLLEVCVFLKGKWESKEGKDVNKMFMRLKTVRCHREMKLEKPIAKLQFKNWQRIWQLYCEFGTRGIVIEVRNQRSNCIGNSKMLKQVVFWWNDLLRVTSLTLTRELNVQMRAMASITPPVQAPYFLKCVPDRVTDDSGAMISDVILRMKEYHPQEGRWLSRTVLDHAGRECFVVRSR >DRNTG_23485.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001265.1:542:3346:-1 gene:DRNTG_23485 transcript:DRNTG_23485.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEETMGDNEEMAGEYDTLITEGRKNNFCMIFLKVFAVALLVGAVCASLFSKYDIWKPFDPKECDLSIGEWIPNPAGPAYTNETCLHIQPYTNCLKNGRPDKDYLYWKWKPSACDLPPFDPLLFLNIMRGKSWAFIGDSIFRNHAQSFICLLSKVAEPYDIFHDETFSTRTWYYPSYNFTLYIIWAPFLVQNEISEFQGDGPQTRIHVHLDILDSKWTTMYNMFDYVVLSGSQWFYKSSIMIEDNQVIGCHNCPYGHIKELGVETPYRKALQLTFKFITTSKNKPFVFLRTWTPSHYENGEWPNERICNRSKPFTKGQISGDLTDLKMRDIELEEFKKAAATGNKKWGENEAL >DRNTG_23485.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001265.1:542:3346:-1 gene:DRNTG_23485 transcript:DRNTG_23485.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEETMGDNEEMAGEYDTLITEGRKNNFCMIFLKVFAVALLVGAVCASLFSKYDIWKPFDPKEECDLSIGEWIPNPAGPAYTNETCLHIQPYTNCLKNGRPDKDYLYWKWKPSACDLPPFDPLLFLNIMRGKSWAFIGDSIFRNHAQSFICLLSKVAEPYDIFHDETFSTRTWYYPSYNFTLYIIWAPFLVQNEISEFQGDGPQTRIHVHLDILDSKWTTMYNMFDYVVLSGSQWFYKSSIMIEDNQVIGCHNCPYGHIKELGVETPYRKALQLTFKFITTSKNKPFVFLRTWTPSHYENGEWPNERICNRSKPFTKGQISGDLTDLKMRDIELEEFKKAAATGNKKWGENEAL >DRNTG_00915.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21523496:21525939:-1 gene:DRNTG_00915 transcript:DRNTG_00915.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNCESCKKWREHYFWDHAKCIHFFKTMNGDFSKQMSIPEKLTSNFCKVVSQNIELKDPIGNSWNVRIEERDGALWFRNGWKEFVEAHCLEIGDVLMFKYNGKSCFDVLVMDGTGCEKVSAYFIKKNNKKRNIGCVERDDNSVEIINTPFCSPTVIECDSSSSSEGVQCELNHRANQSERNSSHKIDDVLLNKKRKPGRPCINSEAQVELAMPRKFNLGNIIVSRKKHCTALEKKSLVQLVRSVRPKYPFFVSIMHLTHVSNRCFLTIPSGFVRRLPNTSQIILLQTPGGERSWAVNYLVQKNITGFTGQWKRFVIDNKLKEGDICLFELIGKKKEIRMNVYFWQPS >DRNTG_00915.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21523496:21525939:-1 gene:DRNTG_00915 transcript:DRNTG_00915.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNCESCKKWREHYFWDHAKCIHFFKTMNGDFSKQMSIPEKLTSNFCKVVSQNIELKDPIGNSWNVRIEERDGALWFRNGWKEFVEAHCLEIGDVLMFKYNGKSCFDVLVMDGTGCEKVSAYFIKKNNKKRNIGCVERDDNSVEIINTPFCSPTVIECDSSSSSEGVQCELNHRANQSERNSSHKIDDVLLNKKRKPGRPCINSEAQVELAMPRKFNLGNIIVSRKKHCTALEKKSLVQLVRSVRPKYPFFVSIMHLTHVSNRCFLTIPSGFVRRLPNTSQIILLQTPGGERSWAVNYLVQKNITGFTGQWKRFVIDNKLKEGDICLFELIGKKKEIRMNVYFWQPS >DRNTG_00915.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21523496:21525939:-1 gene:DRNTG_00915 transcript:DRNTG_00915.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHYENFVFDPSFQQKMVDNCESCKKWREHYFWDHAKCIHFFKTMNGDFSKQMSIPEKLTSNFCKVVSQNIELKDPIGNSWNVRIEERDGALWFRNGWKEFVEAHCLEIGDVLMFKYNGKSCFDVLVMDGTGCEKVSAYFIKKNNKKRNIGCVERDDNSVEIINTPFCSPTVIECDSSSSSEGVQCELNHRANQSERNSSHKIDDVLLNKKRKPGRPCINSEAQVELAMPRKFNLGNIIVSRKKHCTALEKKSLVQLVRSVRPKYPFFVSIMHLTHVSNRCFLTIPSGFVRRLPNTSQIILLQTPGGERSWAVNYLVQKNITGFTGQWKRFVIDNKLKEGDICLFELIGKKKEIRMNVYFWQPS >DRNTG_23578.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3110427:3114375:-1 gene:DRNTG_23578 transcript:DRNTG_23578.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFERVYDYATYNDLGNPDKGEDLARPNLGGNQKPYPRRCRTGRPPAKSDPTAEGRVEKPHPIYVPRDEAFEEVKQTTFSSGALRALLHNLIPALKAALSRSDKQFECFSDIDQLYKVGVLLQRDEPKATTKLLLPSILSSIMNVGERFLKYEVPSIISRDSFSWLRDNEFARQTLAGVNPVDIERLREFPIISKLDPAVYGPPESAITKECIEHDLNGMSLEEAMENDRLFILDYHDLLLPFVKKINSLKGRKVYASRTIFFRTRFGTLRPIAIELSLPPTASNPPKKRVYTRGHDATSHWIWKLAKAHVCSVDAGVHQLVNHWLRTHACMEPYIIAAHRQLSFMHPIFKLLHPHMRYTMEINALARQSLINGGGIIEACFSPGKYSLELSSAAYKSVWRFDMEALPADLIRRGMAVEDPSMPCGIKLVIEDYPYAADGLLIWSAIQDWVRDYVNHFYTKAEAVTSDTELQDWWDEIKNKGHADKKDEPWWPKLNNQEDLINILTIMIWTASGQHAAVNFGQYPFGGYMPNRPTLMKKLIPQEDEPDYEDFILNPQYTFLSSVPSQLQATQIMAVQDTLSTHSPDEEYLGQLHNSHSHWIKDRQIVNYFETFSAKLVEIEDIIHKRNKNFHLKNRSGAGVPPYELLLPTSGPGVTGRGVPNSISI >DRNTG_23578.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:3110427:3114375:-1 gene:DRNTG_23578 transcript:DRNTG_23578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFERVYDYATYNDLGNPDKGEDLARPNLGGNQKPYPRRCRTGRPPAKSDPTAEGRVEKPHPIYVPRDEAFEEVKQTTFSSGALRALLHNLIPALKAALSRSDKQFECFSDIDQLYKVGVLLQRDEPKATTKLLLPSILSSIMNVGERFLKYEVPSIISRDSFSWLRDNEFARQTLAGVNPVDIERLREFPIISKLDPAVYGPPESAITKECIEHDLNGMSLEEAMENDRLFILDYHDLLLPFVKKINSLKGRKVYASRTIFFRTRFGTLRPIAIELSLPPTASNPPKKRVYTRGHDATSHWIWKLAKAHVCSVDAGVHQLVNHWLRTHACMEPYIIAAHRQLSFMHPIFKLLHPHMRYTMEINALARQSLINGGGIIEACFSPGKYSLELSSAAYKSVWRFDMEALPADLIRRGMAVEDPSMPCGIKLVIEDYPYAADGLLIWSAIQDWVRDYVNHFYTKAEAVTSDTELQDWWDEIKNKGHADKKDEPWWPKLNNQEDLINILTIMIWTASGQHAAVNFGQYPFGGYMPNRPTLMKKLIPQEDEPDYEDFILNPQYTFLSSVPSQLQATQIMAVQDTLSTHSPDEEYLGQLHNSHSHWIKDRQIVNYFETFSAKLVEIEDIIHKRNKNFHLKNRSGAGVPPYELLLPTSGPGVTGRGVPNSISI >DRNTG_18658.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:15991953:15994021:1 gene:DRNTG_18658 transcript:DRNTG_18658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDTQFSWNNHITNCIDSYLRFEIGISITSNISGDIVNYSDGYIYSFICTETASSNENGSSSIRTSSNGSDFHMRENSNDSDINKKYRHLWVQCENCYGLNYKKFFRSKMNICEQCGYHLKMSSSDRIEVSIDPDTWDPMDEDMVSI >DRNTG_33498.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002009.1:11005:11223:-1 gene:DRNTG_33498 transcript:DRNTG_33498.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLIAVALIILACTHRKSSGDTETTTSSSSLKEKPTIAPLDMEPRVVVIMAGNLKPSCLALPLPLHQQQSL >DRNTG_34973.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002220.1:23503:24874:1 gene:DRNTG_34973 transcript:DRNTG_34973.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSKNQADKRPRESSPESSPEPEGMSFANPDYQEDELADEVEDLISVDTEEYTQLPTDYRGALTRRELTEYYVVRIGSDLAGSYITRIVLSVGLLDSIRGDEKTSTPKPLSLETLRLLGMVRRVWTGVYTLVLPAPEIAEDEGDHAKASQPAPEPQPAPMETEEPSAAEDAHQMRMFSPSQAHDRFERLENAVGVIRVEVAKARAKIVEIRVTQVTHYTEFMAHLDVLQQILERDIALSFVLRPRTPQAPSAPPASPSPILAPQDPPCASPPPASVEEPTGRDTDI >DRNTG_25693.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30320064:30325373:-1 gene:DRNTG_25693 transcript:DRNTG_25693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVNPPVGATVSSVEIPLRREIRVPMRAGRRKLGFQPKCAQERSMGRDKVFPDGWMGFLEERVRKWLRMGEEPVRGGLEPEMAPDHLVVMVHGLVGSAEDWKFAAEQFARRLPHNVIVHCSECNATLLTFDGVDLMGERLAEEVQSILNRQSGIRKISFVAHSLGGLIARYAIGRLYSPIIKESAHVNGTPSCKDNVLNSGIAGLVPMNFITFASPHLGSGGHNQLPFLCGLPFLERSASEAAHLIVGRTGKHLFLTDSDDGKPPLLIRMAGDDDIKFRSALKSFKRRVAYANANFDHMVGWRTSSIRRQHELPKYHLLVADENYPHIVYVDKGNAQSSCGDPISDLEVHKSDLEEDMIRGLTQLNWERVDVSFQNSKQRYIAHNTIQARVTIF >DRNTG_25693.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30324145:30325373:-1 gene:DRNTG_25693 transcript:DRNTG_25693.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVNPPVGATVSSVEIPLRREIRVPMRAGRRKLGFQPKCAQERSMGRDKVFPDGWMGFLEERVRKWLRMGEEPVRGGLEPEMAPDHLVVMVHGLVGSAEDWKFAAEQFARRLPHNVIVHCGFCSHDLSSIYELFVWFSFFCYGSILFHYS >DRNTG_25693.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30323314:30325373:-1 gene:DRNTG_25693 transcript:DRNTG_25693.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVNPPVGATVSSVEIPLRREIRVPMRAGRRKLGFQPKCAQERSMGRDKVFPDGWMGFLEERVRKWLRMGEEPVRGGLEPEMAPDHLVVMVHGLVGSAEDWKFAAEQFARRLPHNVIVHCSECNATLLTFDGVDLMGERLAEE >DRNTG_16782.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000847.1:25729:30647:1 gene:DRNTG_16782 transcript:DRNTG_16782.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g25060, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G25060) UniProtKB/Swiss-Prot;Acc:Q9LJR6] MLLSGAFLHHHSPGALIAAYARLRNLPAAHLLFQTIPQPNVSAWNAILIAFSRHGSPHRVLDLFRRMISRNQARPDSSSFTVALNACAKILDLGAGEDIKAIAFDLGYAGDVFVCSSLLNLYIKCGRLSDAVKVFEGMPKKDLVSWTTMINGFASSRIPFETIGIYRRMRLEGMEGDGIVMVGIIQACAGIGDVRMGRSVHGLMIRREMRMDVVVETSLVDMYAKNGFLKLAKLVFQRMEIKNVVSWSALISGYAQNGFASDAIWLLIDMQICGLQPDLVALVSTLLACSQIGSLILGKSVHGYIVRKLECDRISGTALIDMYSKCGSIANARELFDKVSAKDSISWNAMIASYGAHGQGKEAYLLFLEMKEAGLKPDETTFASLLSAFGHSGLVDEGRYWFDLIVGEFGFEPGEKHYACMVDLLARAGHVEEAHELIKSMAIQPGMTVWVALLSGCHNHKKLELGDYAAGKVIELDPDDLGIYTLVSNVYAAAKKWDKVVEVRRLMKKMGMKKVPGYSSVEVNGKLHAFLMEDKSHPQHEEIIEMLKRLDLEMRKIEYTLKTEFILHDLDE >DRNTG_16782.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000847.1:25729:27837:1 gene:DRNTG_16782 transcript:DRNTG_16782.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g25060, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G25060) UniProtKB/Swiss-Prot;Acc:Q9LJR6] MLLSGAFLHHHSPGALIAAYARLRNLPAAHLLFQTIPQPNVSAWNAILIAFSRHGSPHRVLDLFRRMISRNQARPDSSSFTVALNACAKILDLGAGEDIKAIAFDLGYAGDVFVCSSLLNLYIKCGRLSDAVKVFEGMPKKDLVSWTTMINGFASSRIPFETIGIYRRMRLEGMEGDGIVMVGIIQACAGIGDVRMGRSVHGLMIRREMRMDVVVETSLVDMYAKNGFLKLAKLVFQRMEIKNVVSWSALISGYAQNGFASDAIWLLIDMQICGLQPDLVALVSTLLACSQIGSLILGKSVHGYIVRKLECDRISGTALIDMYSKCGSIANARELFDKVSAKDSISWNAMIASYGAHGQGKEAYLLFLEMKEAGLKPDETTFASLLSAFGHSGLVDEGRYWFDLIVGEFGFEPGEKHYACMVDLLARAGHVEEAHELIKSMAIQPGMTVWVALLSGCHNHKKLELGDYAAGKVIELDPDDLGIYTLVSNVYAAAKKWDKVVEVRRLMKKMGMKKVPGYSSVEVNGKLHAFLMEDKSHPQHEEIIEMLKRLDLEMRKIEYTLKTEFILHDLDE >DRNTG_02776.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1249123:1251035:-1 gene:DRNTG_02776 transcript:DRNTG_02776.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKVYGVLCNRPENVSNFIQADDIFGPDCKIVYKHLATLYFIFVFDSSENELAMLDLIQVFVETLDRCFKNVCELDVVFNFNKMHTILNEIILGGQVLETSSEEVMKAIEEVSRLEKSTSAVSLIPKAVSGKLGR >DRNTG_02776.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1249123:1251035:-1 gene:DRNTG_02776 transcript:DRNTG_02776.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAVIVMNTQGKPRLTKFYDFQPPEKQQEMIRKVYGVLCNRPENVSNFIQADDIFGPDCKIVYKHLATLYFIFVFDSSENELAMLDLIQVFVETLDRCFKNVCELDVVFNFNKMHTILNEIILGGQVLETSSEEVMKAIEEVSRLEKSTSAVSLIPKAVSGKLGR >DRNTG_03919.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15009285:15011999:1 gene:DRNTG_03919 transcript:DRNTG_03919.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNWAVRTDGDEPPSYSPNSEYFAIKMHYTIGDVCKMDGHRLAGYIDYCCADKISKLELISMSKELNLEVEGCSFWWLDLTGGNTGLTEIKNDQDVLAIALGVGYSRVVNVYVKVSKRDEDANLEGTSLGKESKGQEEDEESELHYLEYSFHSGEEEQAVEERMAISEIPPLKEIRGEGSVDEDVIQSDCASSEEFQSCSSTDEEELDPLRPRYTEFNEELDMKDPHFKIGMKFRSFKQFKEAVKNYGIKNKCVMNFKPNNKKRCKAFCKRGCPFYLWASPMVNDKNTVQIKSGVLKHECMRDHNIRHVSAEWIAKNYLDQFRADPSWKIAGIIQAVRTNQDANISRLKAWRAKRIAASHILHTTQDKNCWSKSDQAPIIPLEPANKNRGRKPLLRRKEADEENTGFIKGKVSRRGIIITCSICGAQGHNK >DRNTG_03919.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:15009285:15011999:1 gene:DRNTG_03919 transcript:DRNTG_03919.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNWAVRTDGDEPPSYSPNSEYFAIKMHYTIGDVCKMDGHRLAGYIDYCCADKISKLELISMSKELNLEVEGCSFWWLDLTGGNTGLTEIKNDQDVLAIALGVGYSRVVNVYVKVSKRDEDANLEGTSLGKESKGQEEDEESELHYLEYSFHSGEEEQAVEERMAISEIPPLKEIRGEGSVDEDVIQSDCASSEEFQSCSSTDEEELDPLRPRYTEFNEELDMKDPHFKIGMKFRSFKQFKEAVKNYGIKNKCVMNFKPNNKKRCKAFCKRGCPFYLWASPMVNDKNTVQIKSGVLKHECMRDHNIRHVSAEWIAKNYLDQFRADPSWKIAGIIQAVRTNQDANISRLKAWRAKRIAARYIFYRRM >DRNTG_05084.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:6231415:6232704:1 gene:DRNTG_05084 transcript:DRNTG_05084.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKITGTGIAPLYYERLVDVLAKGEVEARQLKAHLNEQQPSFEFCKASLESIHFALNEAMSIAKVSMPENCLPSVWLNSSSSLDSPSSDSSDHKDMPKKRKSQPKWSNKVRVNPGTMDAPLDDGHSWRKYGQKDILGAKYPRAYYRCTHRITQGCLATKQVQRSDSDPSIFDVIYRGEHSCSKKIKQKAASSSSQENKQDFFSFNTGVKIEGNEHERSSSSVCFPSSQVLTCLSSMDNHFMGNDCFSVSPCIDNHQQANESELNDIFFTSMTSASNSSVVGIHDFMDYNPFDPKFFH >DRNTG_08558.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17220445:17224932:-1 gene:DRNTG_08558 transcript:DRNTG_08558.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLASLNEALAAAIEEKHEAFSRSEILDSELEAVSSKLESANDEIESLQDDVTRMKKKLEESESLRKELEVSLGLLSREKEEMGLQLTDALLEVEAEKSTWPLKEKALSESNERVKMLDDEIFKISKDLLEVKCQLESCKEQCTILTEKLTVSEENMALEKENNALLTSEIVKLKNELNQLLVEKLECADLKSKFEDLSSEHHHACEEMNKLQEKLAILAKERDKLQVDVKEMGIGPDILNDYQNFKNQNLALSSERDNVLSRNLELESAKAEAEILKRDYENMLSEARNELVEVKIRMHDEELNNNKETTKLRMRLRSMQSKLDMFRGRYRDLVDENGLMNRKFEEASSKLKEQLGCYGVEILRLKKQLSMKE >DRNTG_08558.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17233393:17234461:-1 gene:DRNTG_08558 transcript:DRNTG_08558.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMEIYNEEINDLLVPEHRRLQIHESLERGIYVAGLREEIVTSPEQVLDFMEFGESHRHIGETNMNLYSSRSHTIFHSRESRKSWRL >DRNTG_08558.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17220445:17236279:-1 gene:DRNTG_08558 transcript:DRNTG_08558.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGTVIKKLSEGADIQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQVHTDETKSSLQFASRALCVKNCAHVNEILTDAALLKRQRKEIEELRAKLLDSHSEHWEEEILNLRNTLLQSELEKERIVLELEEEKKAKAQRERRLMEQAKKIANLSSMVFCSERDEKSSCANKNKRRVTWCPAPLSWKIFNELATVKMCVRDGQGKDLPPPFEELMQQDEVSIEDQYSDHYLSDKENNADLDFSLPDARALLHVTSRRKFQSTRICLPSEIDELAEQHVGNECQTLEAEIQGQENDVEVHRLESKLMELESTPSMASSRPIYQQSEGASCGHASLSARESEAILVIKQLQDQIKQLELEKTCIQSNLDNVVELTTKQNDSFRKKYEQLHQEVLSAHDEDKLAHEKSYSHPSRTFDEVLELDFSSKLSIQIQGVALEIDNSREVVGTLSCVLEDYAKNFSILSESLLELKSIAHQEMERFKPIIGGHSQINSFMKQKINELELEKNLAHNQLSGYHQQIHKLTSDLANCEKILMEKDLQHELEKDDLLSQILSLQKEVSQLSNSSLAREKETLRKDLDKTKLKLKDTESKLKNTIQEKIKLEGERAQAEREIKRLHGQRALLERDILKRDSLIDKRRESKTSDLVKAKGFTSTIEQTLQDEYQKLEVHAFEMEAKLASLNEALAAAIEEKHEAFSRSEILDSELEAVSSKLESANDEIESLQDDVTRMKKKLEESESLRKELEVSLGLLSREKEEMGLQLTDALLEVEAEKSTWPLKEKALSESNERVKMLDDEIFKISKDLLEVKCQLESCKEQCTILTEKLTVSEENMALEKENNALLTSEIVKLKNELNQLLVEKLECADLKSKFEDLSSEHHHACEEMNKLQEKLAILAKERDKLQVDVKEMGIGPDILNDYQNFKNQNLALSSERDNVLSRNLELESAKAEAEILKRDYENMLSEARNELVEVKIRMHDEELNNNKETTKLRMRLRSMQSKLDMFRGRYRDLVDENGLMNRKFEEASSKLKEQLGCYGVEILRLKKQLSMKE >DRNTG_08558.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17220445:17221281:-1 gene:DRNTG_08558 transcript:DRNTG_08558.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHDEELNNNKETTKLRMRLRSMQSKLDMFRGRYRDLVDENGLMNRKFEEASSKLKEQLGCYGVEILRLKKQLSMKE >DRNTG_08558.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17225020:17227755:-1 gene:DRNTG_08558 transcript:DRNTG_08558.5 gene_biotype:protein_coding transcript_biotype:protein_coding MERFKPIIGGHSQINSFMKQKINELELEKNLAHNQLSGYHQQIHKLTSDLANCEKILMEKDLQHELEKDDLLSQILSLQKEVSQLSNSSLAREKETLRKDLDKTKLKLKDTESKLKNTIQEKIKLE >DRNTG_08558.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17223300:17223958:-1 gene:DRNTG_08558 transcript:DRNTG_08558.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLASLNEALAAAIEEKHEAFSRSEILDSELEAVSSKLESANDEIESLQDDVTRMKKKLEESESLRKELEVSLGLLSREKEEMGLQLTDALLEVEAEKSTWPLKEKALSESNERVKMLDDEIFKISKDLLE >DRNTG_08558.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17220445:17223626:-1 gene:DRNTG_08558 transcript:DRNTG_08558.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQLTDALLEVEAEKSTWPLKEKALSESNERVKMLDDEIFKISKDLLEVKCQLESCKEQCTILTEKLTVSEENMALEKENNALLTSEIVKLKNELNQLLVEKLECADLKSKFEDLSSEHHHACEEMNKLQEKLAILAKERDKLQVDVKEMGIGPDILNDYQNFKNQNLALSSERDNVLSRNLELESAKAEAEILKRDYENMLSEARNELVEVKIRMHDEELNNNKETTKLRMRLRSMQSKLDMFRGRYRDLVDENGLMNRKFEEASSKLKEQLGCYGVEILRLKKQLSMKE >DRNTG_08558.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17227346:17227755:-1 gene:DRNTG_08558 transcript:DRNTG_08558.9 gene_biotype:protein_coding transcript_biotype:protein_coding QLHQEVLSAHDEDKLAHEKSYSHPSRTFDEVLELDFSSKLSIQIQGVALEIDNSREVVGTLSCVLEDYAKNFSILSESLL >DRNTG_08558.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17220445:17234461:-1 gene:DRNTG_08558 transcript:DRNTG_08558.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGTVIKKLSEGADIQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQVHTDETKSSLQFASRALCVKNCAHVNEILTDAALLKRQRKEIEELRAKLLDSHSEHWEEEILNLRNTLLQSELEKERIVLELEEEKKAKAQRERRLMEQAKKIANLSSMVFCSERDEKSSCANKNKRRVTWCPAPLSWKIFNELATVKMCVRDGQGKDLPPPFEELMQQDEVSIEDQYSDHYLSDKENNADLDFSLPDARALLHVTSRRKFQSTRICLPSEIDELAEQHVGNECQTLEAEIQGQENDVEVHRLESKLMELESTPSMASSRPIYQQSEGASCGHASLSARESEAILVIKQLQDQIKQLELEKTCIQSNLDNVVELTTKQNDSFRKKYEQLHQEVLSAHDEDKLAHEKSYSHPSRTFDEVLELDFSSKLSIQIQGVALEIDNSREVVGTLSCVLEDYAKNFSILSESLLELKSIAHQEMERFKPIIGGHSQINSFMKQKINELELEKNLAHNQLSGYHQQIHKLTSDLANCEKILMEKDLQHELEKDDLLSQILSLQKEVSQLSNSSLAREKETLRKDLDKTKLKLKDTESKLKNTIQEKIKLEGERAQAEREIKRLHGQRALLERDILKRDSLIDKRRESKTSDLVKAKGFTSTIEQTLQDEYQKLEVHAFEMEAKLASLNEALAAAIEEKHEAFSRSEILDSELEAVSSKLESANDEIESLQDDVTRMKKKLEESESLRKELEVSLGLLSREKEEMGLQLTDALLEVEAEKSTWPLKEKALSESNERVKMLDDEIFKISKDLLEVKCQLESCKEQCTILTEKLTVSEENMALEKENNALLTSEIVKLKNELNQLLVEKLECADLKSKFEDLSSEHHHACEEMNKLQEKLAILAKERDKLQVDVKEMGIGPDILNDYQNFKNQNLALSSERDNVLSRNLELESAKAEAEILKRDYENMLSEARNELVEVKIRMHDEELNNNKETTKLRMRLRSMQSKLDMFRGRYRDLVDENGLMNRKFEEASSKLKEQLGCYGVEILRLKKQLSMKE >DRNTG_25787.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3577533:3582655:-1 gene:DRNTG_25787 transcript:DRNTG_25787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAKSDSDVTSLAASSPPRSPKRAVYYVQSPSRDSHDGDKSSSMQATPVYNSPMESPTHPSYGRHSRASSASRFSGTFGHKGSRKRLNEKGWPECNVIQEEGSYDDLDEDRGLSRKCQVAIAFVGFVLLFTVFCLIIWGAARPYKADVVVKSLSVSNFYAGEGSDSTNVPTKLVTSNFSVKISVYNPAAMFGIHVSSGPIDLMFSEIAVATGQLKKYYQPRKSHRTVSVILEGDKVPLYGAGAGLTLPSTGGGTVKLTLNFDIITRGYVVGKLVRVKHHKHVSCPITVDISKTKPIKLSQHSCTYE >DRNTG_09557.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22644225:22651173:1 gene:DRNTG_09557 transcript:DRNTG_09557.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GTE4 [Source:Projected from Arabidopsis thaliana (AT1G06230) UniProtKB/Swiss-Prot;Acc:Q9LNC4] MPPASTMVTTGSTPAPSPLASPLAPAMRSASFAEDSVPSSSRFAHSLGRWRLTSSVSPPCLPPRTHFRSSLPVNPALLPLLNVPRLLRTPRPPHSAAISPWPSHRGRTVPPIPLRRRSALLRLISITATLISSLARRKSRYLIPIATRRPKLILTGRGMGIMASRMWTRKVFTQVFKSCSSLLSKLMKHQHGWVFNTPVDVKRLGLHDYHTIIKQPMDLGTIKSRLAKNWYKTPREFAEDVRLTFQNAMTYNPKGQDVHIMAEQLSQLFEERWLAIESEYSYLLHPPPAKKVPRPPPLDVRTLERSDSTVHPMAESKTKPVNHTPQFGRSPALKKPKAKDLHKRDMTFEEKQRLSNNLQNLPPEKLDNIVQIIKKRNSAMSQHDDEIEVDIDSVDVETLWELDRFVTNYKKSLSKNKRKAELASLARAEAGHAGRERIPETLAEPVAVEAPKENKTVVDEKDVSSSSPNGREKKDNVASQSNSSSSSSSGSSSSSSDSDSDSSSGYGSDAAHSPQT >DRNTG_04333.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31202598:31204109:-1 gene:DRNTG_04333 transcript:DRNTG_04333.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGTATTYEVEEPGSSDGPSPKKSRRTVEKRVVSVPIGGDGDGPRNKAGGEAAPPTDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPTMLVVTYSSDHNHPWPLPKNQHAGAAVTSRVSPKSKAAAVEEADPGPIQPDPEERFTDLIAGDMLGGVEFGGVWFSDVGSPSPSASPTEGSDDTMLYGSIAGCSAGILFLDDSEEKVEREWEKEEEEDSLFAGLGELPECSMVFRRGFQAAATATVTAAASSGC >DRNTG_04333.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31202717:31204571:-1 gene:DRNTG_04333 transcript:DRNTG_04333.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGTATTYEVEEPGSSDGPSPKKSRRTVEKRVVSVPIGGDGDGPRNKAGGEAAPPTDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPTMLVVTYSSDHNHPWPLPKNQHAGAAVTSRVSPKSKAAAVEEADPGPIQPDPEERFTDLIAGDMLGGVEFGGVWFSDVGSPSPSASPTEGSDDTMLYGSIAGCSAGILFLDDSEEKVEREWEKEEEEDSLFAGLGELPECSMVFRRGFQAAATATVTAAASSGC >DRNTG_04333.12.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31202717:31204109:-1 gene:DRNTG_04333 transcript:DRNTG_04333.12 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVTYSSDHNHPWPLPKNQHAGAAVTSRVSPKSKAAAVEEADPGPIQPDPEERFTDLIAGDMLGGVEFGGVWFSDVGSPSPSASPTEGSDDTMLYGSIAGCSAGILFLDDSEEKVEREWEKEEEEDSLFAGLGELPECSMVFRRGFQAAATATVTAAASSGC >DRNTG_04333.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31202717:31204109:-1 gene:DRNTG_04333 transcript:DRNTG_04333.11 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGTATTYEVEEPGSSDGPSPKKRRTVEKRVVSVPIGGDGDGPRNKAGGEAAPPTDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPTMLVVTYSSDHNHPWPLPKNQHAGAAVTSRVSPKSKAAAVEEADPGPIQPDPEERFTDLIAGDMLGGVEFGGVWFSDVGSPSPSASPTEGSDDTMLYGSIAGCSAGILFLDDSEEKVEREWEKEEEEDSLFAGLGELPECSMVFRRGFQAAATATVTAAASSGC >DRNTG_04333.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31202598:31204571:-1 gene:DRNTG_04333 transcript:DRNTG_04333.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGTATTYEVEEPGSSDGPSPKKSRRTVEKRVVSVPIGGDGDGPRNKAGGEAAPPTDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPTMLVVTYSSDHNHPWPLPKNQHAGAAVTSRVSPKSKAAAVEEADPGPIQPDPEERFTDLIAGDMLGGVEFGGVWFSDVGSPSPSASPTEGSDDTMLYGSIAGCSAGILFLDDSEEKVEREWEKEEEEDSLFAGLGELPECSMVFRRGFQAAATATVTAAASSGC >DRNTG_04333.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31201897:31204201:-1 gene:DRNTG_04333 transcript:DRNTG_04333.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGTATTYEVEEPGSSDGPSPKKSRRTVEKRVVSVPIGGDGDGPRNKAGGEAAPPTDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPTMLVVTYSSDHNHPWPLPKNQHAGAAVTSRVSPKSKAAAVEEADPGPIQPDPEERFTDLIAGDMLGGVEFGGVWFSDVGSPSPSASPTEGSDDTMLYGSIAGCSAGILFLDDSEEKVEREWEKEEEEDSLFAGLGELPECSMVFRRGFQAAATATVTAAASSGC >DRNTG_04333.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31202598:31204109:-1 gene:DRNTG_04333 transcript:DRNTG_04333.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGTATTYEVEEPGSSDGPSPKKRRTVEKRVVSVPIGGDGDGPRNKAGGEAAPPTDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPTMLVVTYSSDHNHPWPLPKNQHAGAAVTSRVSPKSKAAAVEEADPGPIQPDPEERFTDLIAGDMLGGVEFGGVWFSDVGSPSPSASPTEGSDDTMLYGSIAGCSAGILFLDDSEEKVEREWEKEEEEDSLFAGLGELPECSMVFRRGFQAAATATVTAAASSGC >DRNTG_04333.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31201897:31204109:-1 gene:DRNTG_04333 transcript:DRNTG_04333.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGTATTYEVEEPGSSDGPSPKKSRRTVEKRVVSVPIGGDGDGPRNKAGGEAAPPTDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPTMLVVTYSSDHNHPWPLPKNQHAGAAVTSRVSPKSKAAAVEEADPGPIQPDPEERFTDLIAGDMLGGVEFGGVWFSDVGSPSPSASPTEGSDDTMLYGSIAGCSAGILFLDDSEEKVEREWEKEEEEDSLFAGLGELPECSMVFRRGFQAAATATVTAAASSGC >DRNTG_04333.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31202717:31204201:-1 gene:DRNTG_04333 transcript:DRNTG_04333.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGTATTYEVEEPGSSDGPSPKKSRRTVEKRVVSVPIGGDGDGPRNKAGGEAAPPTDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPTMLVVTYSSDHNHPWPLPKNQHAGAAVTSRVSPKSKAAAVEEADPGPIQPDPEERFTDLIAGDMLGGVEFGGVWFSDVGSPSPSASPTEGSDDTMLYGSIAGCSAGILFLDDSEEKVEREWEKEEEEDSLFAGLGELPECSMVFRRGFQAAATATVTAAASSGC >DRNTG_04333.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31202717:31204109:-1 gene:DRNTG_04333 transcript:DRNTG_04333.10 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGTATTYEVEEPGSSDGPSPKKSRRTVEKRVVSVPIGGDGDGPRNKAGGEAAPPTDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPTMLVVTYSSDHNHPWPLPKNQHAGAAVTSRVSPKSKAAAVEEADPGPIQPDPEERFTDLIAGDMLGGVEFGGVWFSDVGSPSPSASPTEGSDDTMLYGSIAGCSAGILFLDDSEEKVEREWEKEEEEDSLFAGLGELPECSMVFRRGFQAAATATVTAAASSGC >DRNTG_04333.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31201897:31204109:-1 gene:DRNTG_04333 transcript:DRNTG_04333.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGTATTYEVEEPGSSDGPSPKKRRTVEKRVVSVPIGGDGDGPRNKAGGEAAPPTDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPTMLVVTYSSDHNHPWPLPKNQHAGAAVTSRVSPKSKAAAVEEADPGPIQPDPEERFTDLIAGDMLGGVEFGGVWFSDVGSPSPSASPTEGSDDTMLYGSIAGCSAGILFLDDSEEKVEREWEKEEEEDSLFAGLGELPECSMVFRRGFQAAATATVTAAASSGC >DRNTG_04333.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31202598:31204201:-1 gene:DRNTG_04333 transcript:DRNTG_04333.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGTATTYEVEEPGSSDGPSPKKSRRTVEKRVVSVPIGGDGDGPRNKAGGEAAPPTDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPTMLVVTYSSDHNHPWPLPKNQHAGAAVTSRVSPKSKAAAVEEADPGPIQPDPEERFTDLIAGDMLGGVEFGGVWFSDVGSPSPSASPTEGSDDTMLYGSIAGCSAGILFLDDSEEKVEREWEKEEEEDSLFAGLGELPECSMVFRRGFQAAATATVTAAASSGC >DRNTG_15457.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:25:316244:316796:1 gene:DRNTG_15457 transcript:DRNTG_15457.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGEGAPPPAIFWNEKEERFETEDKQAFLQYHLRDVSVDNSTKTVMDMVHTFVPRSKRGMGLAAILCSAAFDHAQRHSMVVIPTCSYISLGSRLFMMNA >DRNTG_17529.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28963910:28972984:1 gene:DRNTG_17529 transcript:DRNTG_17529.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNALEGSIPDGGGDPRRERSPEQSSSLAPGPAGYRLFDRERSIHQIIGGGKAADVILWKRWWVSFGIIVVATVAWITFERSGLSFLTIVSDVFLILIVIRFAQANSAGFLDKQVQPLPELVLSEEMVNNAAASFRVKVNNMLLMAHDITLGKDFRLFFKVVVFLWLLSVIGGLFSFFTLAYIGTIMSATLPALYNKYEEHVDRYAGLVHQKFSKHYKVVDETVISRLPKRVWKTKDT >DRNTG_10008.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3150012:3151485:-1 gene:DRNTG_10008 transcript:DRNTG_10008.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSTNFITNEKNDHKKHSRAVMTRAPANFPSVDNP >DRNTG_28658.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6170838:6174929:1 gene:DRNTG_28658 transcript:DRNTG_28658.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVMAAASRYRRSPAPRLNSSPRLRSSRGLIPLRIVAGGCCSAIPDYSPSLSPSWKAFSKSSTDRGR >DRNTG_28658.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6170838:6171779:1 gene:DRNTG_28658 transcript:DRNTG_28658.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHRLRLLLLLLLLLLLSPEPEFVGGIGLGQLGSLLSISDSLMTRVANARAARGDLRGADRARSIAANLRLIGGGWTSIFSAGWDFARNYAWRDGGGIPISEVSRSATELLAAVAEFSRFDSAADRGRWLLQRYPRLFAVSKSLMESLLQVFNRSGSLRKAVVVLQEELVDGELLRDCLEVGAGDLEGLLTIARDLFSSMANSNHREL >DRNTG_19620.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001033.1:7323:10998:-1 gene:DRNTG_19620 transcript:DRNTG_19620.2 gene_biotype:protein_coding transcript_biotype:protein_coding ELLHEGAKLEDWINCQSNAHLRRYGRVNLRIISFGYREESIQSEKKYFSASSDLPMTSQTSLRIQSPKQDTSK >DRNTG_19620.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001033.1:7323:10998:-1 gene:DRNTG_19620 transcript:DRNTG_19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELLHEGAKLEDWINCQSNAHLRRYGRVNLRIISFGYREESIQSEKKYFSASSDLPMTSQTSLRIQSPKQDTSK >DRNTG_19620.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001033.1:7323:10998:-1 gene:DRNTG_19620 transcript:DRNTG_19620.3 gene_biotype:protein_coding transcript_biotype:protein_coding ELLHEGAKLEDWINCQSNAHLRRYGRVNLRIISFGYREESIQSEKKYFSASSDLPMTSQTSLRIQSPKQDTSK >DRNTG_16707.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000839.1:15521:20396:-1 gene:DRNTG_16707 transcript:DRNTG_16707.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCGQPQLTTSCGPVVHIRRYQHATSIRRFQHATSIFKYDSVNIIDLPEHVDWMDKGAVTPIKKQGKCGKLKSFEYIIRNGGLTSEDNYPYTGTVGRCQERRARTRVA >DRNTG_05066.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3853054:3854754:-1 gene:DRNTG_05066 transcript:DRNTG_05066.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDEHKENNPPPTQVKHVGYVGTFPWPNNKKKSTTRKIWCPYGLYTARMDTCGMIHGLSGIIAAARGPSRGALVNGLWVSRVPCARETARMPVKSVLSKRPRHDAEPSGVPSLASPQHRARYNLLKSKPFGIIRIIDHNDLEVVGLAEAVEELINHDG >DRNTG_34936.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:12963166:12963954:-1 gene:DRNTG_34936 transcript:DRNTG_34936.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENAGFLESIHPHPQSHHRLKGPPVSSPIADKDLDRLKDHEVEVEESG >DRNTG_20759.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:2215252:2216238:-1 gene:DRNTG_20759 transcript:DRNTG_20759.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESSLKSAALLDQMKLHMATDAGKELTKKIGLVYQLNIAPKKMGIDEKVFVVDLKEGKVYEGSYEGKPDATFSFADADFLAVATGKMNPQMAFIRGKMKIKGSMSAAQKFTPDIFPKPSKL >DRNTG_33390.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:18865730:18866568:1 gene:DRNTG_33390 transcript:DRNTG_33390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLEEMEVVKAGHDGDGGSESKDIHMEKVNISFGGHYLITDASVTFSFQIHYRLAGRNGAGKTSFLRHMTMHAIDALARVLFVKPDLLLLDEPSNIIDLDVVEALIQGLVIFQGGVLIVSHDEHLYQAVFMSCGWVSKGKVSPYLGTFQDYKKIL >DRNTG_29213.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3213778:3215640:-1 gene:DRNTG_29213 transcript:DRNTG_29213.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSKB [Source:Projected from Arabidopsis thaliana (AT4G34850) UniProtKB/TrEMBL;Acc:A0A178UTW2] MKAMEKLIFLALGKAFPSQLVIQESLVDGYFKNTNCNDPELKQKLTRLCKTTTVKKTRYVVMNEEILKQYPELAGDGVPTIKQRLEISNKAVTQMAIDASNACILKWGRPTSAITHLVYVSSSEARFPGGDLHLAQALSLSPDVRRTSLYFMGCSGGVAGLRAAKDIAENNPGSRVLLATSETTVVGYRPPSHDRPYDLVGVALFGDGAGAVIVGSDPVVGLETPLFELLGTRQCFLPGTEKMIDGRLTENGINFKLGRELPQIIEDNLEEFCEKLVRGIDHEDKVKYEEMFWAVHPGGPAILDKVEKRLGLSPEKLAASRRALRDYGNASSNTIVYVLEYMVEESKKKKKKEGELGFMLAFGPGVTFEGILARNLVG >DRNTG_19476.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:15422128:15422588:1 gene:DRNTG_19476 transcript:DRNTG_19476.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVRIYNPGVFILATPAPELVDEGDDNSEGSQPILEPQSPLVVTELPPTAQESPPVAQTTEVMAHLDILQQLLERDTS >DRNTG_06461.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:3350179:3351420:1 gene:DRNTG_06461 transcript:DRNTG_06461.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINNVILHHQNQESSASEEHLHGLCLMPIESSLRMASTNGADIWAPITATKEP >DRNTG_20667.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20285032:20286612:1 gene:DRNTG_20667 transcript:DRNTG_20667.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNTFSYLQQVMDELWFSQNILLGKTRTASSTPLPAHLPTNSSSESLPGNSSTSQSRKSHAVYKEKKKRPVLNIVIGKPLFQLQHPSTPTLSADSAQKIRRRRSIRREMRTYKSLSDLENYELQGFMDLGFVFHKEKLSSEMICVIPGLQRLVEEKSGSAERRETNEEQVKRPYLSEAWLISKPDSPLLNLRMLPNSLDRADMKKHLRFWAREVASLAQQES >DRNTG_20667.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20285376:20286612:1 gene:DRNTG_20667 transcript:DRNTG_20667.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNTFSYLQQVMDELWFSQNILLGKTRTASSTPLPAHLPTNSSSESLPGNSSTSQVFNTS >DRNTG_20667.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:20285376:20286612:1 gene:DRNTG_20667 transcript:DRNTG_20667.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNTFSYLQQVMDELWFSQNILLGKTRTASSTPLPAHLPTNSSSESLPGNSSTSQSRKSHAVYKEKKKRPVLNIVIGKPLFQLQHPSTPTLSADSAQKIRRRRSIRREMRTYKSLSDLENYELQGFMDLGFVFHKEKLSSEMICVIPGLQRLVEEKSGSAERRETNEEQVKRPYLSEAWLISKPDSPLLNLRMLPNSLDRADMKKHLRFWAREVASLAQQES >DRNTG_35436.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13968998:13980861:1 gene:DRNTG_35436 transcript:DRNTG_35436.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLKKHLTRFVQSANTRFESVKATLRNHIASLHNFENQVGQIAKSLSERPYGSLPSNTETNPTKHVKAITLRSCHEVEGRLPNTIDKIVDEYMQEMFNLDPRSTTYSRDPEKGAPENEEARRCHRKYSKAVRDVRERKELDESLLVLVQYLATLIYYSKLLQYYAETLSIPQFSSRIHKCGYLRAPPTHCNVLNTWRLVRASIKGLLATTLHHHLLELQGCGRRQILGANQREKKVTLKENDLGALRRRSDEFSSPPSSLRLRGVCYELFFVSLIFYTCSFVDDELDSQGHRASGEPWDELVCIDALIYIYGICVTHLAWKGYMYEYTMTIGRIWSEEIPSQYSYWIRNYRRDHRGDLSLDVSWSNHRCLCNSGIHLALVVPRGSSPGDLALPLLLASLTRQCRLGKSSSSSWDLRNMTPVSCTRYYLTTRALADLIKHCGNTIACTVAALFTAGRETRETESPHGRVEIINARVEIPNGRVYRPRPWSSTIPALFKAGSAPILIARPLKDTSMKKSFRAYHMDVCPDMWPQEKSIWITFCEKYWRLPYFRGDFGEGFREHFEGKAARVLEEVFGDIGGRPSPILIDLLSVIAKREPSAT >DRNTG_06396.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:20621795:20622491:-1 gene:DRNTG_06396 transcript:DRNTG_06396.1 gene_biotype:protein_coding transcript_biotype:protein_coding GIGRPPGKMDPASFVLRPFNKKEREELDFALQRGT >DRNTG_19219.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000990.1:98864:99197:1 gene:DRNTG_19219 transcript:DRNTG_19219.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCTSSSPKMNFCLYLHKTEHVCWWNNNLIHIFLEWAMTVLIWNPKVMKKLQNKARTTGEKSSILTKEDVNKMCVTTSKALSC >DRNTG_24264.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27453125:27455374:-1 gene:DRNTG_24264 transcript:DRNTG_24264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLREPLARKQDERATSSVLARRHSLTSSPKCACIPRRQRSPPLPSQIANPPPMTTSTVPPTVAAPQTTLDKDVTATLLQVCQILMTEFPRLVARVEALEDRSQSTVSSLRTNKAPGTDASSEFDDDDIIREAIQK >DRNTG_34233.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1398195:1403738:1 gene:DRNTG_34233 transcript:DRNTG_34233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDRKKIGLGLTGFGMFFSFLGIIFFFDKGLLAMGNILFVSGVMLTIGLKSTLQFFTKPKNYKGTISFGIGFLLVLMGWAVTGMILEAYGFIILFSGFWPTLSVFLQRIPIIGWLFQQPYVTSFFDRYRGKRVPV >DRNTG_22078.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20303808:20306033:1 gene:DRNTG_22078 transcript:DRNTG_22078.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSLLRRVSSQILHRRPMLPIYSSSLDNPPFPFEAGGSSASSSLPGFSSGAVMDLMAVPKKKVSRFKKGLRNGPKALKPIPVIVRCKACGRVKLPHFFCCSGDRGSSGSADA >DRNTG_26993.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19273479:19275435:-1 gene:DRNTG_26993 transcript:DRNTG_26993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSSPFSLLLIIVLCFSPALLLGSMAEEPQKQVNVVEEPQKQADVAGESQKQAGAVEEGAAAVHIVYVEQPPEGEVPEDYHVRTLASVLGSEDAAKEALVYSYSHAASGFSAKLTPKQVEEMSNKPGVLQVVPSRTLHLHSPTASHSSVGLGTIRHFGAV >DRNTG_08006.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30047555:30048656:-1 gene:DRNTG_08006 transcript:DRNTG_08006.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTLEITLISAKDLKDVNLFSKMEVYAVVSIDGDRRSVQRTTPDRHGGTNPAWNATLRLPLPPDDPARHVVVIVLRAERALGDRDVGEVHIPLTEFLSGSGDGKTPQFVSYQVRKPSGKGKGVLNISFKISDAVAATSMPPSVYSSTTAYTPPSKAADQPQAYPPPAAKANDYTPAYPPAAKANEYTPAYPPAAKASDYPQAYPPAASYPPSSSYPSYPPPSKGSKVDEPVTAYPAGPSAYPPHATAVPYGQPQGYPAYTSGYGYQQPPPPPAGYGYGAPPPGYAYSAVPPKAQKKNKFGMGLGAGLLGGALGGLLIGDMISDDGAYDAGYDAGFDDGFGF >DRNTG_03663.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:13605632:13606964:1 gene:DRNTG_03663 transcript:DRNTG_03663.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGRRDKTLDTRHSTLEQVLDIIYSIRMLEEELPEKAVVVKILRNLTLKFTLVVSSIIGEKNLNTLTVDELNGSLKSQEFILNLSGR >DRNTG_01842.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:7689941:7693846:-1 gene:DRNTG_01842 transcript:DRNTG_01842.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVIHSKGLITLPSNPQIRVSYPLQPLRLRFPCKSSNLSGFPLLKARALSGKPQSLLLSGSNPCWESRSLLLSNVGKGLGHGLWRKEEKPPSCRAGAAIPADGAGFIEEKEKAKFFGVEVQTLKKIIPLGIMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAVGFMLLYTKLSNVLSKEALFYTVIFPFIAFFGAFAFVLYPLSNVIHPTALADKLLAALGPSFLGPVAILRIWSFCLFYVMAELWGSVVISVLFWGFANQITTIEEAKEFYPLFGLGANIALIFSGTNGKILLKSEEEFGARC >DRNTG_11269.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000496.1:79:5265:-1 gene:DRNTG_11269 transcript:DRNTG_11269.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIVPMASSSSFNLASLRQSSANVASLSAFPRRRPLFRSRRVSALLPAQLFGIRTYMKQRAEVNSVEASTAGNMACASTTISQESALEWVKRDKRRLLHVVYRVGDLEKTIKFYTECLGMKLLRRRDIPEERYTNAFLGFGPEDSHFVVELTYNYGVDKYDIGTGFGHFGIAVEDVSKAVDLIKAKGGKVIKEPGPVSDANTVIAFIEDPDGYKFKLVERGLTPEPLCQVMLRVGDLGCSINFYEKAFGMELLRKQDNPENKCTVAMMGYGPEDKSTVLELMYNYGVHEYDKGNGYAQVSFMP >DRNTG_29047.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2794034:2796539:-1 gene:DRNTG_29047 transcript:DRNTG_29047.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRLATHTLSIASTSRLTKKIQNPILSNGIGIFAEVQFKFVLVHCHFRLITTQVSATEENVIKSLVHFVSSANDETVSRKKLYSSYIEKLCESGNIADAVLVLKHLRDRNIHVDINSYNIVLATLCKDDQFDVFSEIFRTLLLSRLPPDSTSYDSVAKALCKASEPDLLKFIGEVAEITFNRDPTVINRIIFVAAESGQITKSTKIFEVMKNLNLKMDTVTFNTVLACLGRAGQVDKMLAEFSSMKDLGYIPDAITYNTLVNCLRRLGRLELCKLFAQEMLDKGFEMDLQTYTALIDVLGRAGHVRDAMRMFDELKKLHNPSIYVYRSLISNLKKAGKFDIALDLWEEMNSPNSKLIGPKDFKEKKKWKRNR >DRNTG_29047.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2794034:2795677:-1 gene:DRNTG_29047 transcript:DRNTG_29047.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLNLKMDTVTFNTVLACLGRAGQVDKMLAEFSSMKDLGYIPDAITYNTLVNCLRRLGRLELCKLFAQEMLDKGFEMDLQTYTALIDVLGRAGHVRDAMRMFDELKKLHNPSIYVYRSLISNLKKAGKFDIALDLWEEMNSPNSKLIGPKDFKEKKKWKRNR >DRNTG_12109.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5006262:5012313:1 gene:DRNTG_12109 transcript:DRNTG_12109.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAPPPGGAGGAAGPRVYQVWKGSNIFFLRGRFIFGPDVRSLFLTIFLIVAPASVFCALVARKLMDQFSDWGVSIMVIAVAFTIYDLFLLLLTSGRDPGIVPRSAHPPEPESSDGNLELGSNQTPQLRLPRIKDVTVNGITVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCIYVFGFSWVCILKTKHDEQTNLWRAIGKTPASIASVVLIFYTFISVWFVGGLSVFHLYLMSTNQTTYENFRYRYDRRANPYNRGVVANFIEVFFTRIPPSKNNFRARVPREQGLQGRPVSGGFMSPNMGRTMGDIEVGRKAVAWGSEEAARAGLDDIESGLSNDIVDEKDGMFGAASPDLARVLPPEGLEARAPVHSRRSSWGRRSGNWEMSPEVLALAAGVAESNRTGASGSGTEAGNR >DRNTG_07624.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000343.1:17980:20739:-1 gene:DRNTG_07624 transcript:DRNTG_07624.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAMQVSFSLHPLEVAVVEARGLHHFDWFTKREGPYFWSNAKGQNCTLSVLRRLIGDRLQCQLKPIVVATKLPNSQPKISLHHKPYICIRLPELFLSLKKMVFLDDDIVVQADLSPLWDINLKEKVETCRGEDKFVMRKKLKNYLNFSYPLIAENFDPHECAWAYGEGANPGVPRLTAWTQMKSIAVLASRNISC >DRNTG_10275.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:20174584:20179857:-1 gene:DRNTG_10275 transcript:DRNTG_10275.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASITNPLICGQIRLQTRFPARALGNSRVRVRVGVRAAAGPVLEKKGAAIGVDVVSEAELKEKGLMGLRKTKLVCTVGPACSSDEGLEKLAIGGMNVARLNMCHNSREWHQRVIRAIRRLNQEKGFCVAVMIDTEGSQMHMVGLGGDSSVKAEDGSMWLFTTEKFEGYRPCTVQVNYEGFAEGILVGDEVVVDGGMATFEVVEKVGNDLLCKCSDPGLLLSRAKLSFRRNGELVGKSVGPPTLSAKDWADIEFGISEGVDFIAVSFVKDANDIENLKSYLSERSSDSIKVLAKIESLESLKNLLDIIEASDGIMVARGDLGVEVPLEQIPTIQEKITLMCRQLNKPVIIASQLLESMVEYPTPTRAEMFPRL >DRNTG_00943.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21192437:21194414:-1 gene:DRNTG_00943 transcript:DRNTG_00943.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVPMESRAEGGKFMDGEPSDEAPSTANHHLDRNQFPSSVRQKAYRFDALGNYFTKAWDLSEGHGSEFCWYHVEVPRGNHKLSLSAQYLIDALCPPLKLQDILSLVSNGPFCGYVSGALVFRVNSPGPASSSFTLRLAARITESLVITVSLGRVPRLGFSPTGQSLLFEIPTVENRNLVKDEECGGTSGILIKEHVLEFLLTMNHSEEADNPVPCTVANLLVHIVDTHVDQVQDIVTKLEIELDSVELELDRGGSTVKK >DRNTG_00943.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21193332:21194414:-1 gene:DRNTG_00943 transcript:DRNTG_00943.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVPMESRAEGGKFMDGEPSDEAPSTANHHLDRNQFPSSVRQKAYRFDALGNYFTKAWDLSEGHGSEFCWYHVEVPRGNHKLSLSAQYLIDALCPPLKLQDILSLVSNGPFCGYVSGALVFRVNSPGPASSSFTLRLAARITESLVITVSLGRVPRLGFSPTGQSLLFEIPTVENRNLVKDEECGGTSGILIKEHVLEFLLTMNHSEEADNPVPCTVANLLVHIVDTHVDQVQDIVTKLEIELDSVELELDR >DRNTG_26673.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:1060002:1060422:-1 gene:DRNTG_26673 transcript:DRNTG_26673.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQEKYSRADAIIKELGLQRCRHTRIGGDFVKGISGGERKRTSIGYEILVEPSLLLLDEPTSGLDSSSASKLLCVLQNLA >DRNTG_08778.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7279736:7291337:1 gene:DRNTG_08778 transcript:DRNTG_08778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYQPGGSLASWGSTPPLATNGSGLTMPTMYWQGYYTSSGVMPHLQPPSVLRPSGLPVPPMQQSMQYPAMNTLIPSASTNLTEYASPLLPPVSSGPNVAANLPSSASSQASVLASSPSNMLATNQASVLVPNHASVLVPSQASVLAPVQANASPPNQVGVLGPIQVSIPTQASVLAPIQANNPTQASVLVPEKLSIMLPNKPPALTLAPNMPPLPPLTSSVESISNVSQTLPSLVGSKPRPIHSTSIPNQTTSQSMASTISSSISSQVASVPVVNPGQLLQPLSSSLPLSQPPLIAHKEAAIKPSEAKVKIPLPELAPVEVKEPILPLPTSIDRKTNGSAFYSHQNNRGRGRGRGNGYQRPITKFTEDFDFTAMNEKFKKDEVWGHLGKNKGLSRDTEDAKDEVADEEEGAEAEGNDKKPVYVKDDFFDSLSSNTLDPGSKNGRMKFSEQMKIDTETFGDFPRHRPNRGGGRGPRGGRTRGSFYGRGYGYAGRGRGQAAPSWAS >DRNTG_15624.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20918934:20919477:1 gene:DRNTG_15624 transcript:DRNTG_15624.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMANTSSQPSSSLSSLNSLIRPPSAFYPSPSPM >DRNTG_15624.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20918899:20919311:1 gene:DRNTG_15624 transcript:DRNTG_15624.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMANTSSQPSSSLSSLNSLIRPPSAFYPSPSPM >DRNTG_15624.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20918899:20919477:1 gene:DRNTG_15624 transcript:DRNTG_15624.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMANTSSQPSSSLSSLNSLIRPPSAFYPSPSPM >DRNTG_15624.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20918934:20919372:1 gene:DRNTG_15624 transcript:DRNTG_15624.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMANTSSQPSSSLSSLNSLIRPPSAFYPSPSPM >DRNTG_15624.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20918934:20919311:1 gene:DRNTG_15624 transcript:DRNTG_15624.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAMANTSSQPSSSLSSLNSLIRPPSAFYPSPSPM >DRNTG_15624.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:20918899:20919372:1 gene:DRNTG_15624 transcript:DRNTG_15624.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMANTSSQPSSSLSSLNSLIRPPSAFYPSPSPM >DRNTG_16483.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28491774:28494749:1 gene:DRNTG_16483 transcript:DRNTG_16483.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREERSSSEIMSGDDDDDDDDHGNSMDLTVGDKTCVALPSDLPPPFSDQVLENVLENVLQFLTTRHDRNAASLVCRSWYHAEARTRRELFIGNCYAVDPARAVARFPGIRAVALKGKPRFADFGLLPQGWGGRFTPWAKAFCSSYSSVEKLHLKRMTVVDQDLFVISRYLPSFRELTLFCCDGFGTGGLAYVAENCRRLRVLDLIENDMEDDEDDDDVDWISKFPETKTCLESLVFDCVRCPVNFEALEALVARSPALLRLRVNQHVSLGQLQRLMVRAPQLTHLGTGSFRFAQAANEQVVDLNPAFVTASRSLVCLSGFRGLAPEHLPAILPACPNLTSLNFSYAEITTEQLRPIIVHCHNLQNFWVLDTVGDEGLQLVAATCKDLRELRVFPFYAREDSEGSVSDVGLQAISEGCPKLRSILYFCQRMTNAAVVSMSKNCPELVVFRLCIMDRHRPDHRTRDAMDDGFGAIVMNCKKLTRLAVSGLLTDKAFRYIGKYGKSVRTLSVAFAGDSDLGLRYVLEGCPKLQKLEIRDSPFGDLGLISGIQHYYNMRFLWMSACKLSLRGCQDVARTLPHLVVEVIRERPDEDVQNVERLYLYRSLAGPRTDAPPFVEIL >DRNTG_10209.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000431.1:70364:70833:-1 gene:DRNTG_10209 transcript:DRNTG_10209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLINLQTLNLNHCYYLTKLPMSIVYMNSLRHLHLSDCPELKIMPSSLSRLRGLKTLMKYIVSEKAGGTR >DRNTG_23270.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001262.1:12223:17335:1 gene:DRNTG_23270 transcript:DRNTG_23270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKYKAGLMLTGAVVVIWVTSAEVTQGIFTDYKQPFAVTYLGASLMIIYLPIAFLKDWMCNALRKRRSGTGPSGVELPLKFVGKQKNLEMESLIPIARKDSEVDLSAHEEESPYLVKVGNESDQGHKENKEMTTREVVICAFYLAPIWFVTEYLSNAALARTSVASTTVLSSTSGLFTLFIGVLLGQDSLNVGKVVAVFISMAGVAMTTLGKTWATDELQLNPSGGGERSFVGDLFGLLSAISYGLFTGWIHS >DRNTG_23270.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001262.1:12223:17335:1 gene:DRNTG_23270 transcript:DRNTG_23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKYKAGLMLTGAVVVIWVTSAEVTQGIFTDYKQPFAVTYLGASLMIIYLPIAFLKDWMCNALRKRRSGTGPSGVELPLKFVGKQKNLEMESLIPIARKDSEVDLSAHEEESPYLVKVGNESDQGHKENKEMTTREVVICAFYLAPIWFVTEYLSNAALARTSVASTTVLSSTSGLFTLFIGVLLGQDSLNVGKVVAVFISMAGVAMTTLGKTWATDELQLNPSGGGERSFVGDLFGLLSAISYGLFTVLLKKFSGEEGERADVQKLFGYVGLFTLVALWWLVWPLTALGIEPKFTIPHSLKMDEVVLANGLVGSVLSDYFWALSVVWTTPLVATLGMSLTIPLAMVADMVIHGRHYSAVYILGSVQVFAGFVIANVSEKLSRLFGL >DRNTG_00327.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2269180:2271260:-1 gene:DRNTG_00327 transcript:DRNTG_00327.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCRRLGACLLRSMPTDRFGVPSGMPIGGSWSGRSIGGGSKLFILHVWRSYARGNTHSYNLFGNRIPGDKEFRKAWAQDVDEEDCLWTGSDEDEDNGKVDGKLERDIKKLKQKAKENSDLIDADDSDELRSICSESDEEVTLWSGSECDDDDDIPSEAHPNERSDPYIDKLFEFEDLPKYRTIGELLKAEKEPPELSPGKQARKLAVENALKKLKKGPDGRYINVWEVMSDIDILIGAFENIVSGPEYAELREGGPKKLNMQFFKDIQSRMRDPNFQFSPELKLKPKSKFVRRKKWQKSQSRRKKN >DRNTG_00327.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2269180:2269970:-1 gene:DRNTG_00327 transcript:DRNTG_00327.2 gene_biotype:protein_coding transcript_biotype:protein_coding YSAVWRSYARGNTHSYNLFGNRIPGDKEFRKAWAQDVDEEDCLWTGSDEDEDNGKVDGKLERDIKKLKQKAKENSDLIDADDSDELRSICSESDEEVTLWSGSECDDDDDIPSEAHPNERSDPYIDKLFEFEDLPKYRTIGELLKAEKEPPELSPGKQARKLAVENALKKLKKGPDGRYINVWEVMSDIDILIGAFENIVSGPEYAELREGGPKKLNMQFFKDIQSRMRDPNFQFSPELKLKPKSKFVRRKKWQKSQSRRKKN >DRNTG_02499.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7672009:7675714:1 gene:DRNTG_02499 transcript:DRNTG_02499.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTFGCHHFHHDDTKEAVAQGELFLRAISRAISRALSRSSSGGGGRGPSGGYTTYDRKPMSLWMVLESVTTA >DRNTG_24614.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31080286:31080631:-1 gene:DRNTG_24614 transcript:DRNTG_24614.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFILSCGYAFGVWYGARLIIHKGYKGGGIINVIMAILGSSMSLGQASPCINAFAAGRAAAYKMF >DRNTG_18237.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000942.1:34540:39603:-1 gene:DRNTG_18237 transcript:DRNTG_18237.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLAHILTEAKPDPTSEAYSETLSIWEKRNKICRHTILSTLSNELYDIYCDLKTAKEIWNSLHKKYVVEDARNQKYAIGNFSDFKMTEDKDVSSQIHDYHVLVNELKNEEIILPDAFIAGFLIESLPESWKHYKNNLKHKRKLMTLEDIIVHIRIEEKNRQRDNADKIKEFSSKANLIEVGSNKSQNSNRNPKKFKGTRNKLCVACP >DRNTG_18237.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000942.1:34540:39603:-1 gene:DRNTG_18237 transcript:DRNTG_18237.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLAHILTEAKPDPTSEAYSETLSIWEKRNKICRHTILSTLSNELYDIYCDLKTAKEIWNSLHKKYVVEDARNQKYAIGNFSDFKMTEDKDVSSQIHDYHVLVNELKNEEIILPDAFIAGFLIESLPESWKHYKNNLKHKRKLMTLEDIIVHIRIEEKNRQRDNADKIKEFSSKANLIEVGSNKSQNSNRNPKKFKGTRNKLCVACP >DRNTG_18237.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000942.1:34540:39603:-1 gene:DRNTG_18237 transcript:DRNTG_18237.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLAHILTEAKPDPTSEAYSETLSIWEKRNKICRHTILSTLSNELYDIYCDLKTAKEIWNSLHKKYVVEDARNQKYAIGNFSDFKMTEDKDVSSQIHDYHVLVNELKNEEIILPDAFIAGFLIESLPESWKHYKNNLKHKRKLMTLEDIIVHIRIEEKNRQRDNADKIKEFSSKANLIEVGSNKSQNSNRNPKKFKGTRNKLCVACP >DRNTG_18237.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000942.1:34540:36667:-1 gene:DRNTG_18237 transcript:DRNTG_18237.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLAHILTEAKPDPTSEAYSETLSIWEKRNKICRHTILSTLSNELYDIYCDLKTAKEIWNSLHKKYVVEDARNQKYAIGNFSDFKMTEDKDVSSQIHDYHVLVNELKNEEIILPDAFIAGFLIESLPESWKHYKNNLKHKRKLMTLEDIIVHIRIEEKNRQRDNADKIKEFSSKANLIEVGSNKSQNSNRNPKKFKGTRNKLCVACP >DRNTG_18237.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000942.1:34540:39603:-1 gene:DRNTG_18237 transcript:DRNTG_18237.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLAHILTEAKPDPTSEAYSETLSIWEKRNKICRHTILSTLSNELYDIYCDLKTAKEIWNSLHKKYVVEDARNQKYAIGNFSDFKMTEDKDVSSQIHDYHVLVNELKNEEIILPDAFIAGFLIESLPESWKHYKNNLKHKRKLMTLEDIIVHIRIEEKNRQRDNADKIKEFSSKANLIEVGSNKSQNSNRNPKKFKGTRNKLCVACP >DRNTG_18237.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000942.1:34540:39603:-1 gene:DRNTG_18237 transcript:DRNTG_18237.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLAHILTEAKPDPTSEAYSETLSIWEKRNKICRHTILSTLSNELYDIYCDLKTAKEIWNSLHKKYVVEDARNQKYAIGNFSDFKMTEDKDVSSQIHDYHVLVNELKNEEIILPDAFIAGFLIESLPESWKHYKNNLKHKRKLMTLEDIIVHIRIEEKNRQRDNADKIKEFSSKANLIEVGSNKSQNSNRNPKKFKGTRNKLCVACP >DRNTG_19803.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1630427:1634287:1 gene:DRNTG_19803 transcript:DRNTG_19803.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCPLASPRSSTSLDLSPSPFMELSFVSSRSVSPIPSSSSSSSSSSSLGIWIGEKRKGIRKTRVTFRIRSSAERSGDRIDEDDRRRAGFVAVDVPTPATTTTAINRSLGAADTEFPVWEKLGAVVRLSYGIGVYGAMALAGKFICEMTGIDSTGGFHPSLKAIVDGLGYAAPPIMALLFILDDEVVKHSPHARAIRDVEDEELRSFFYGMSPWQFILIVIASSVGEELFYRVAVQGALADMFLRGADLIKDARGIASLTGMLPLFVPFAQAFAAVITAALTGSLYYVATAPKDPTYVVAPVLRSRPGREDLKKLFAAWYERRQMKKIYSPLLEGLLALYLGFEWIQTDKNILAPMITHGIYSAVVLGHGLWKNP >DRNTG_19178.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6073538:6076500:1 gene:DRNTG_19178 transcript:DRNTG_19178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGHFHVVVLDNDKQEYRHYSSCQSAEYDKDALDMRNLFDICIDMEFGESATSKYQLVHDMETPRQKQGSVDCAVYVMRFIEQ >DRNTG_33648.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2000769:2003903:1 gene:DRNTG_33648 transcript:DRNTG_33648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDPAEADKLLRIQRDLDETKIILHKTIDGVLAQGEKLDSLVEKNSDLSVASQVSPYMLIGRKLHAKCVFWPSKQNFMSPGPTLNYAYGNADEKDDNENSLEEDSEKNDDDNENEDSGEDDDDDND >DRNTG_33648.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:2002074:2003903:1 gene:DRNTG_33648 transcript:DRNTG_33648.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDPAEADKLLRIQRDLDETKIILHKTIDGVLAQGEKLDSLVEKNSDLSVASQVSPYMLIGRKLHAKCVFWPSKQNFMSPGPTLNYAYGNADEKDDNENSLEEDSEKNDDDNENEDSGEDDDDDND >DRNTG_32466.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:2520489:2521733:1 gene:DRNTG_32466 transcript:DRNTG_32466.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNISCKCSYTSKEKVLVMREDGSILKFKKGIHAKDITAMYEGYRLVRCCSERTVMPGKAELECARLYFLVLKNSARSKETYEKMLNVCESKGMVQRGTNKREDKEGDHTKRSISSVLSINNSEIIKRCDSWVPELKTIPEIASPAV >DRNTG_32377.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:569423:571797:-1 gene:DRNTG_32377 transcript:DRNTG_32377.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVKPYAVVILIQIIDAGLFMITKAAFTLGLNPLVFVFYRQAFGTLLLVPLAVILVRRKAKPRLSFMLLFKTFMLVLIGIPIFFDMFFIGLSYISATATSAISNSLPVFTFIFTVLFRMETLKLKSRSGIVKVLGILFCMTGIMIIALYKGPQLSSLNHHHTQFTNPEGNSNLETKHSTTTWIKGSFILISSYIICSLWFVFQGILLKEYKSKLLFTTFLCIFSTIQSFIIAIIFQRDFAKWKLHWDMGLLAIGYSAVAVTGFSYYLQAWCIEKKGPVFLVISSPLAFVFTMIGSSFILGEKINLGSVLGGISLVAGLYSVLWGKSMENKVPEPSIESSEC >DRNTG_27313.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:201956:204967:-1 gene:DRNTG_27313 transcript:DRNTG_27313.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFFNEAEKVQQRIFTEKYNYDVVNDCPLPGRFEWVKLDSQSTDDQP >DRNTG_27313.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:201956:204967:-1 gene:DRNTG_27313 transcript:DRNTG_27313.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMKKAKALGGGVALMEVPVPHPSHLGVCTRARTLALQRLQSPSASSPASSSSSSYLQLRSRRLHKVASPTSKPKESPNPSPSTKSSGKVGPCLRPRAMAQERCGGSRKEAVVSEASPGSDAGVEVSLGENVLDSEARNRNTRETTPSSLIRNSETILTPGSTTRAASSVAVNKRNENSGCRQIPTAHEMDEFFNEAEKVQQRIFTEKYNYDVVNDCPLPGRFEWVKLDSQSTDDQP >DRNTG_05974.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12156110:12159788:-1 gene:DRNTG_05974 transcript:DRNTG_05974.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLILPAAALVLLMVVLSLFIWLLLQPHRGEIEKIPGTMGWPIVGESFSFLSDFSSPSGIFSFMRNRQQRYGKVFKTSVLGRFTVFMTGRDAAKILLSGKDGMVSLNLFYTGKQVLGPQSLLTTSGEEHKRLRRLIADPLSVDALKKYFQFIDDLAIRTLEGWTGRTVFVLEEASTFTLKVIGNMIMSLEPEGTEQENFRANFKIISSCFASLPFKIPGTAFYRGLEARNRMYAMLDNIISRRRSGEDIQHDFLQTLLRKHSRAAGETDEDSEKLTDSQLKDNILTLLVAGHDTTTSALTWLVKFLGENPDVLQKLRV >DRNTG_02627.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21394007:21394890:-1 gene:DRNTG_02627 transcript:DRNTG_02627.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress-response A/B barrel domain-containing protein HS1 [Source:Projected from Arabidopsis thaliana (AT3G17210) UniProtKB/Swiss-Prot;Acc:Q9LUV2] MEEKKGVVKHVLLAKFKDEVSAEHVEELIKGYANLVSIIEPMKAFHWGRDVSIEGLHQGFTHVFESSFESTEGIAEYVVHPAHVQFANEFLKALDKYIVVDYKPTPVN >DRNTG_28684.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21167319:21168110:1 gene:DRNTG_28684 transcript:DRNTG_28684.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQDHLLRKLPIVRKIQESSEGLLRYDTPSILSKDKFAWLRDDEFGR >DRNTG_25281.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31569252:31571047:-1 gene:DRNTG_25281 transcript:DRNTG_25281.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLMKHITKYGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGTFSDQEENLIIELHAVLGNRCFLIIPFKNFKL >DRNTG_25281.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31569252:31571047:-1 gene:DRNTG_25281 transcript:DRNTG_25281.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLMKHITKYGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGTFSDQEENLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCIKKKLRQRGIDPVTHKPLSETETQTDAREDGCKGHRRRRR >DRNTG_25413.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24592329:24592995:-1 gene:DRNTG_25413 transcript:DRNTG_25413.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVEMSTTHTHTHTPPTHTHTHTHTETHTELSTSHQGTKVISHKSSLRVPYFSLKG >DRNTG_28653.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6127558:6128946:-1 gene:DRNTG_28653 transcript:DRNTG_28653.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSQRSTKLRPSITAILFLAFIITTFVLLFRKSSLKPSSQFNLGRPPLKDLHNSVKLQPLVESINGTDLIWQVPESPKAAIFIAHGCNGRAANFWDRSPECPNCVGLPEERLIVLQALERKFAVLTVSSLGKCWSFGKEKDSVRWIVKWWIEKHRLEKLPVVALGASSGGYFVSALAGEMKFSGIVIMIAEGVFDRMPVSPGYPPTMFVHMPKDRVRMNLIGKYMEDLRKKGVQVSEVRCLEFPLTPSLLFDRIPGLDESLSVKLFELFREKGFINEEGYMIKDGRATGWKQALKERDASMVKFELLDHIQEELNLAFAYHEMTSLQADGMFNWFESHMT >DRNTG_28653.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6125998:6128946:-1 gene:DRNTG_28653 transcript:DRNTG_28653.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSQVIQSLLINLLTLKLSISSMMSNRVQRSTKLRPSITAILFLAFIITTFVLLFRKSSLKPSSQFNLGRPPLKDLHNSVKLQPLVESINGTDLIWQVPESPKAAIFIAHGCNGRAANFWDRSPECPNCVGLPEERLIVLQALERKFAVLTVSSLGKCWSFGKEKDSVRWIVKWWIEKHRLEKLPVVALGASSGGYFVSALAGEMKFSGIVIMIAEGVFDRMPVSPGYPPTMFVHMPKDRVRMNLIGKYMEDLRKKGVQVSEVRCLEFPLTPSLLFDRIPGLDESLSVKLFELFREKGFINEEGYMIKDGRATGWKQALKERDASMVKFELLDHIQEELNLAFAYHEMTSLQADGMFNWFESHMT >DRNTG_28653.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6127682:6128946:-1 gene:DRNTG_28653 transcript:DRNTG_28653.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSQRSTKLRPSITAILFLAFIITTFVLLFRKSSLKPSSQFNLGRPPLKDLHNSVKLQPLVESINGTDLIWQVPESPKAAIFIAHGCNGRAANFWDRSPECPNCVGLPEERLIVLQALERKFAVLTVSSLGKCWSFGKEKDSVRWIVKWWIEKHRLEKLPVVALGASSGGYFVSALAGEMKFSGIVIMIAEGVFDRMPVSPGYPPTMFVHMPKDRVRMNLIGKYMEDLRKKGVQVSEVRCLEFPLTPSLLFDRIPGLDESLSVKLFELFREKGFINEEGYMIKDGRATGWKQALKERDASMVKFELLDHIQEELNLAFAYHEMTSLQADGMFNWFESHMT >DRNTG_28653.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6127374:6128946:-1 gene:DRNTG_28653 transcript:DRNTG_28653.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSQRSTKLRPSITAILFLAFIITTFVLLFRKSSLKPSSQFNLGRPPLKDLHNSVKLQPLVESINGTDLIWQVPESPKAAIFIAHGCNGRAANFWDRSPECPNCVGLPEERLIVLQALERKFAVLTVSSLGKCWSFGKEKDSVRWIVKWWIEKHRLEKLPVVALGASSGGYFVSALAGEMKFSGIVIMIAEGVFDRMPVSPGYPPTMFVHMPKDRVRMNLIGKYMEDLRKKGVQVSEVRCLEFPLTPSLLFDRIPGLDESLSVKLFELFREKGFINEEGYMIKDGRATGWKQALKERDASMVKFELLDHIQEELNLAFAYHEMTSLQADGMFNWFESHMT >DRNTG_28653.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6125998:6128946:-1 gene:DRNTG_28653 transcript:DRNTG_28653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSQRSTKLRPSITAILFLAFIITTFVLLFRKSSLKPSSQFNLGRPPLKDLHNSVKLQPLVESINGTDLIWQVPESPKAAIFIAHGCNGRAANFWDRSPECPNCVGLPEERLIVLQALERKFAVLTVSSLGKCWSFGKEKDSVRWIVKWWIEKHRLEKLPVVALGASSGGYFVSALAGEMKFSGIVIMIAEGVFDRMPVSPGYPPTMFVHMPKDRVRMNLIGKYMEDLRKKGVQVSEVRCLEFPLTPSLLFDRIPGLDESLSVKLFELFREKGFINEEGYMIKDGRATGWKQALKERDASMVKFELLDHIQEELNLAFAYHEMTSLQADGMFNWFESHMT >DRNTG_28653.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6127682:6129130:-1 gene:DRNTG_28653 transcript:DRNTG_28653.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSQVIQSLLINLLTLKLSISSMMSNRVQRSTKLRPSITAILFLAFIITTFVLLFRKSSLKPSSQFNLGRPPLKDLHNSVKLQPLVESINGTDLIWQVPESPKAAIFIAHGCNGRAANFWDRSPECPNCVGLPEERLIVLQALERKFAVLTVSSLGKCWSFGKEKDSVRWIVKWWIEKHRLEKLPVVALGASSGGYFVSALAGEMKFSGIVIMIAEGVFDRMPVSPGYPPTMFVHMPKDRVRMNLIGKYMEDLRKKGVQVSEVRCLEFPLTPSLLFDRIPGLDESLSVKLFELFREKGFINEEGYMIKDGRATGWKQALKERDASMVKFELLDHIQEELNLAFAYHEMTSLQADGMFNWFESHMT >DRNTG_28653.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6127682:6128946:-1 gene:DRNTG_28653 transcript:DRNTG_28653.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSQVIQSLLINLLTLKLSISSMMSNRVQRSTKLRPSITAILFLAFIITTFVLLFRKSSLKPSSQFNLGRPPLKDLHNSVKLQPLVESINGTDLIWQVPESPKAAIFIAHGCNGRAANFWDRSPECPNCVGLPEERLIVLQALERKFAVLTVSSLGKCWSFGKEKDSVRWIVKWWIEKHRLEKLPVVALGASSGGYFVSALAGEMKFSGIVIMIAEGVFDRMPVSPGYPPTMFVHMPKDRVRMNLIGKYMEDLRKKGVQVSEVRCLEFPLTPSLLFDRIPGLDESLSVKLFELFREKGFINEEGYMIKDGRATGWKQALKERDASMVKFELLDHIQEELNLAFAYHEMTSLQADGMFNWFESHMT >DRNTG_28653.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:6127558:6128946:-1 gene:DRNTG_28653 transcript:DRNTG_28653.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSQVIQSLLINLLTLKLSISSMMSNRVQRSTKLRPSITAILFLAFIITTFVLLFRKSSLKPSSQFNLGRPPLKDLHNSVKLQPLVESINGTDLIWQVPESPKAAIFIAHGCNGRAANFWDRSPECPNCVGLPEERLIVLQALERKFAVLTVSSLGKCWSFGKEKDSVRWIVKWWIEKHRLEKLPVVALGASSGGYFVSALAGEMKFSGIVIMIAEGVFDRMPVSPGYPPTMFVHMPKDRVRMNLIGKYMEDLRKKGVQVSEVRCLEFPLTPSLLFDRIPGLDESLSVKLFELFREKGFINEEGYMIKDGRATGWKQALKERDASMVKFELLDHIQEELNLAFAYHEMTSLQADGMFNWFESHMT >DRNTG_08578.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9928237:9935399:-1 gene:DRNTG_08578 transcript:DRNTG_08578.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEGNANVRQRSTYVANADANNNNTTIATSQPGPSHGVPTNKTKQRYSFSQDGGADEFTSTINSIRTWRKSSGEHISRLTNWFQFLADEADAKKKVFAELLKIEGMSQGERIRVGGLTVAGMVNLVHSLISPVRANVPSHIYLKPILPSNSSYGEVMVVGPCINMVSELLFRSAVTRILGPTSAVIFSFSFDEHYGNAKFRCGFQDKSPDFEFFPIDMACICLFLSSDREVAIHDVIFIEANSGSVKGVGRSDSFATEFDLEAEEYVPLPPKGEETPTPAKLFTPLPHSLSESFELKPGMDKDKDPMAGIMDLMKNMYDEGDDEMKWTIVKAWSDARSGKATDPMKGFGH >DRNTG_19401.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7173842:7178087:1 gene:DRNTG_19401 transcript:DRNTG_19401.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVAPTFFLEGPSWRAMPSLRQRTRQQASVPLFASSPPSTEV >DRNTG_28774.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:9186447:9190610:-1 gene:DRNTG_28774 transcript:DRNTG_28774.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRWERRALTGYSSGSRGHRPVNRWVNVKDMSSLTALNLAATTAKV >DRNTG_26918.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:2463518:2465214:-1 gene:DRNTG_26918 transcript:DRNTG_26918.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLITIAYVTMIITVFGGNIEIITAKNLGFRMPIVEKLYQTPAFSNISLMANFHAGAQEQLKSGFSGLVEHIHNTYVVTMSIGIPETPVRLSIDTGSDATWLQCKPCTNCFRKSNPPFDPKESATFKYTMCENEHCKIFANRLSPACDDHRRCQFSFKYGDNSTVGCNMASDFFQLEGLYVNRKAFNQFLYFGCAFSAIGIFHEGEDGILGLGQGPFSIISQLNISKFSHCLQLPMTGETSYILFEDEARLDGTAVPLIRNKVFRSQYFVNFHSMIVVYNQSETKLNVPSNLFAMDRNGRGGLMLDFGTRLTMIPKMAFDELCKVLGGIAYRADITVVPVEEGNYCFDASLEDLKDIGLIFRLDSIDVKTHRRATIL >DRNTG_00031.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21617153:21618531:1 gene:DRNTG_00031 transcript:DRNTG_00031.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFEDYYPDNHSHVKKSVLAKVKEKALKWRNLLAKRNHGNDKANETPAWGVSLDDEEEDYNNEEQDPEFHGAPMYESERAPEACKGGGAVMEQKKPPLIHRDSTKEQAMKHMDELAMHHLDEFVETSSPRSNITKPIMHDDFNEEKSCCFAPHPTPTHDFASGTREDTMKRSASQKTLTEAVSKILVPAYNMVSDATQTIVSKIQGPDVDEEIGSKMKYDKGVSVKEFVLKKLEPGEDDKALSKVITESVSPMNSNRAEEKHVVEKFREAVSSLLGKDELRKTAIPVSTKTSQ >DRNTG_34363.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19399632:19404871:-1 gene:DRNTG_34363 transcript:DRNTG_34363.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIGLLDSICCDEKMVVPSPLGPNTLRFMGLLHRHSPGVYALIMPTPEITESEDDDAEASQDAFEPQPTPMETEASPVVEEPLPILMFSPSRAYDHSERLKNAIAVLHTEIAEATPPPPSPIPAPIDPSCASSSPPPAVVEEPKTDDIDT >DRNTG_32569.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:10913111:10914092:-1 gene:DRNTG_32569 transcript:DRNTG_32569.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRELAPVSDLVMVHTRAEFPHGRVFPCRDLEIFPEKIQECGLTPVDDSVNKRTGRIVRPFMKERS >DRNTG_26632.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:397033:398746:1 gene:DRNTG_26632 transcript:DRNTG_26632.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGQSAEEFRQIKSCVAAGIAESTAFNHKKSFDFVGERNQAQIAADDVRQFGDTANRAEASGLLVHSLMEGMSHYVVVLTDGPGKRKLNIRGGKCNVAQSTKPAQVCYSSEPAQSATQLKQPSIDQPAKEASSKHSAPVTAKRHKEIEEKIKESYARERRKNKIPPGALSRGRI >DRNTG_23807.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29614686:29621135:1 gene:DRNTG_23807 transcript:DRNTG_23807.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLLKRNLQTTAKAFMAEGKVAADPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAAAYIETQQIKAREQQQLQMQQMQLFQQRHAQLQRTNSNHPSLAGPMNAINADGILGQSTASGLAAKIYEERLKQPHPMDSEPPPQLLDASRMALLKSATSHAGQLVQGNAGSVSAALQQIQARTQQDIKTEGNLGVAQRSLPMDPSSLYGQGIIQSKSGLPGSGMNQGVSGLPLKGWPLTGIDQLRPSLGPQVQKPFLSTQNQFQLLSPQQQHQIIAQAQAQGSLNSSSNYGDMGRFRAMPRGGLNGKDGQPIGNDGSIGSPMQSSSPKVRQDQAEYLLKLKAAQAQQSSAQQSQEQLQQQQQLQNNRKRKQTTSSGPANSTGTGNTIGPSNSPPSTPSTRTPGDGVAMSGNLQHVGGMSKGLMMYGADGTGLASSSNQMDDLEHFGDVASLEDNVESFLSHDDGDARDIFAALKRSPAEHNTDTSKGFSFNEAGCLRSSNSKVVCCHFSSDGKLLASAGHEKKAVLWNMDTMKTESTPEDHTLIITDIRFMPNSTQLATSAFDRTVRLWNAADPSYCLHTFMGHGSQVTSLDFHPKKTDVLCSCDGNGDIRFWNVNQYSCIRNSKGATAQVRFQPRVGLYLAAATENIVSIFDVETDRKTLSLQEHTKEVHSVCWDSSGDYLASVSQDTVKVWSINSGECIHRLSSNGNKFHSCVFHPSYPSLLIVGGYQSLELWNMVENQSMTVQAHENLIAALAQSPVTGMVASASHDKTVKLWK >DRNTG_23585.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:7122817:7129486:-1 gene:DRNTG_23585 transcript:DRNTG_23585.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKALARRWAVEFTEGTAATDVPDPLGFAARSSLDLEDANQSRQKKEAEANWKSQKAWEVAQSPFKNLMMMGFMMWMAGSTVHLFSIGITFSALWQPISALQSVGKVFEPYKDPRVDTLAPKLLFIALNLAGLALGVWKLNTLGLLPTHASDWVSSLAPAQEVEFAAGGIPIY >DRNTG_27839.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4693847:4695164:1 gene:DRNTG_27839 transcript:DRNTG_27839.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTLGKILLLIWLFVVLIIQASYTASLTSILTLQQLSTPIRGVESLRSTSYPIGYQVGSFAESYMVEELQIPRSRLKALGSPEEYAKALELGPDNGGVAAVVDERPYVELFLSTNCQFTIVGSEFTKESWGFAFPKDSPFTIDMSTAILKLSENGELQRIHDKWVTNKMCSPTEEKLEYERLHLSSFWGLFLISAVASVLAILVYLSITFYQYVHEEHRNRTIKNFLSYINNIKITKTNPTRSQCQRSNSSISSFDT >DRNTG_27839.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4682480:4695164:1 gene:DRNTG_27839 transcript:DRNTG_27839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLAMFMQNCEMRLIHPLTIISIYYCLFFIIPNVNASTRPAIINVGAAFNFNSTIGDVATIAIQAGIDDVNADPTILRGSKLVINMQDTKCNGFIGMIGALKLMGKHIVAVVGPQCSIIANIISHIAKELKVPLLSFAATDPTFSSLQYPYFIRTTLSDVFQMQAVSDFVHHYKWRQVIAIFMDDEYGRNGVIALADKLEERQCKISFKAALPLDANQTDIASLLVGVGQMETRVIVLHANQITGVTILTVAQSLGMMQKGYVWIATDWLASKLDSRGPLAPQVMQAIQGVVFLRQHIEESAKKTQFVSRWSKLVKKQTKEKLQLNSYGLYAYDSVWVLARALDEYLNDGGNISFSSDPNLQASDGRSGHLNLKAMAIFNGGEILLEKIKNISTNGVTGLIQFDQDGNRIHPAYDIVNVIDSELKIVGYWSNYLGLSVNPPEKLYSKPSNYSSTSQQLGDVVWPGRYKMNPRGWIFAKNGKELRLVVPNRFSFKEFVSKNMETGEIEGYCIDVFTAAIKLLNYPVPYKFISYGDGRSNPNYGDLVQKVSSNEFYGAVGDIAIVTNRALFVDFTLPFIESGLVVVAPVKKYNSNAWSFTKPFTNNLWFATVSSFLLTGIVMWILERRDNQCFRSSGSLKEQIVTILSFGFLTAFFAHEESMKTTLGKILLLIWLFVVLIIQASYTASLTSILTLQQLSTPIRGVESLRSTSYPIGYQVGSFAESYMVEELQIPRSRLKALGSPEEYAKALELGPDNGGVAAVVDERPYVELFLSTNCQFTIVGSEFTKESWGFAFPKDSPFTIDMSTAILKLSENGELQRIHDKWVTNKMCSPTEEKLEYERLHLSSFWGLFLISAVASVLAILVYLSITFYQYVHEEHRNRTIKNFLSYINNIKITKTNPTRSQCQRSNSSISSFDT >DRNTG_27839.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:4690213:4695164:1 gene:DRNTG_27839 transcript:DRNTG_27839.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLAMFMQNCEMRLIHPLTIISIYYCLFFIIPNVNASTRPAIINVGAAFNFNSTIGDVATIAIQAGIDDVNADPTILRGSKLVINMQDTKCNGFIGMIGALKLMGKHIVAVVGPQCSIIANIISHIAKELKVPLLSFAATDPTFSSLQYPYFIRTTLSDVFQMQAVSDFVHHYKWRQVIAIFMDDEYGRNGVIALADKLEERQCKISFKAALPLDANQTDIASLLVGVGQMETRVIVLHANQITGVTILTVAQSLGMMQKGYVWIATDWLASKLDSRGPLAPQVMQAIQGVVFLRQHIEESAKKTQFVSRWSKLVKKQTKEKLQLNSYGLYAYDSVWVLARALDEYLNDGGNISFSSDPNLQASDGRSGHLNLKAMAIFNGGEILLEKIKNISTNGVTGLIQFDQDGNRIHPAYDIVNVIDSELKIVGYWSNYLGLSVNPPEKLYSKPSNYSSTSQQLGDVVWPGRYKMNPRGWIFAKNGKELRLVVPNRFSFKEFVSKNMETGEIEGYCIDVFTAAIKLLNYPVPYKFISYGDGRSNPNYGDLVQKVSSNEFYGAVGDIAIVTNRALFVDFTLPFIESGLVVVAPVKKYNSNAWSFTKPFTNNLWFATVSSFLLTGIVMWILERRDNQCFRSSGSLKEQIVTILSFGFLTAFFAHEESMKTTLGKILLLIWLFVVLIIQASYTASLTSILTLQQLSTPIRGVESLRSTSYPIGYQVGSFAESYMVEELQIPRSRLKALGSPEEYAKALELGPDNGGVAAVVDERPYVELFLSTNCQFTIVGSEFTKESWGFAFPKDSPFTIDMSTAILKLSENGELQRIHDKWVTNKMCSPTEEKLEYERLHLSSFWGLFLISAVASVLAILVYLSITFYQYVHEEHRNRTIKNFLSYINNIKITKTNPTRSQCQRSNSSISSFDT >DRNTG_20769.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:21778876:21779473:1 gene:DRNTG_20769 transcript:DRNTG_20769.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGRNRSRKPVETQTIPQSQSSSSTVTSLAPSGSSSSFQSATPLHVVTGTGNNPQGSFSVASSGGSSSRLHVDPSSYGMASKDYRGYELSAPI >DRNTG_02034.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:13119593:13119994:-1 gene:DRNTG_02034 transcript:DRNTG_02034.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITTTTTSKPRPPAKMMKTKSAPSTTTTTTTTSSSSSLLMKKSITLHQIHEFWQRKRLEEEEHLVAAQKSAARIRARSLTVSQR >DRNTG_33852.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2046619:2049949:-1 gene:DRNTG_33852 transcript:DRNTG_33852.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDTEEEGEDERWGRPTTSSVAAGSTSDAPPPFSDQVLENVLENVLQFLTSRHDRNSASLVCRSWYRAEALTRRELFIGNCYAVAPARAGARFPNAVAVVLKGKPRFADFGLVPQGWGAFFSPWAIAFSSFSSFSSLERISLKRITVADADLTRLSQSLPTFRDLSLFCCDGFSTAGLSSIAENCRHLRVLDLIENDVEDDEDDEPVDWISKFPDSPTSLESLIFDCVRLAVNFDALEALVARSPSLCRLRVNQHVSVEQLRRLMARAPHLTHLGSGAFRSAQVGGEQDITDLKLSFVNASKSLVCLSGFRELGPEFLPAILPACPNLISLNLSYAEITADQLRPVILHCHNLRTFWVLDTVGDEGLKAVAKTCKDLRELRVFPLDAREDSEGSVSDVGLVAISEGCRKLESILYFCQKMTNAAVVTMSQNCPELVVFRLCIMQRHLPDHHTNEPMDDGFGAIVMNCKKLTRLAVSGLLTDKAFGYIGKYGKLVRTLSVAFAGNTDLGLQYVLEGCPKLQKLEIRDSPFGDLGLLSGIPHYYHMRFLWMSSCKLSLRGCREVAQRLPNLVVEVIADRGQEDDGDFVEKLYLYRSLAGPRNDAPPFVKIM >DRNTG_33852.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:2045193:2049949:-1 gene:DRNTG_33852 transcript:DRNTG_33852.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDTEEEGEDERWGRPTTSSVAAGSTSDAPPPFSDQVLENVLENVLQFLTSRHDRNSASLVCRSWYRAEALTRRELFIGNCYAVAPARAGARFPNAVAVVLKGKPRFADFGLVPQGWGAFFSPWAIAFSSFSSFSSLERISLKRITVADADLTRLSQSLPTFRDLSLFCCDGFSTAGLSSIAENCRHLRVLDLIENDVEDDEDDEPVDWISKFPDSPTSLESLIFDCVRLAVNFDALEALVARSPSLCRLRVNQHVSVEQLRRLMARAPHLTHLGSGAFRSAQVGGEQDITDLKLSFVNASKSLVCLSGFRELGPEFLPAILPACPNLISLNLSYAEITADQLRPVILHCHNLRTFWVLDTVGDEGLKAVAKTCKDLRELRVFPLDAREDSEGSVSDVGLVAISEGCRKLESILYFCQKMTNAAVVTMSQNCPELVVFRLCIMQRHLPDHHTNEPMDDGFGAIVMNCKKLTRLAVSGLLTDKAFGYIGKYGKLVRTLSVAFAGNTDLGLQYVLEGCPKLQKLEIRDSPFGDLGLLSGIPHYYHMRFLWMSSCKLSLRGCREVAQRLPNLVVEVIADRGQEDDGDFVEKLYLYRSLAGPRNDAPPFVKIM >DRNTG_20301.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5418467:5420070:-1 gene:DRNTG_20301 transcript:DRNTG_20301.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGN >DRNTG_20301.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5418467:5420070:-1 gene:DRNTG_20301 transcript:DRNTG_20301.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGN >DRNTG_20301.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5418467:5420070:-1 gene:DRNTG_20301 transcript:DRNTG_20301.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGN >DRNTG_20301.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:5418467:5420070:-1 gene:DRNTG_20301 transcript:DRNTG_20301.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGN >DRNTG_25230.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:6894295:6894973:1 gene:DRNTG_25230 transcript:DRNTG_25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIQTPDQIGARARRKMAQAREAQESEASNNGNNNINQSSEEKKLLLLLFQIPQLLSIHQTMITLILIKSLKKKSCCCFCNHCQSIKQRAKEVFLLLFLNFLILSTLHIYICVCAR >DRNTG_00921.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21436984:21438928:1 gene:DRNTG_00921 transcript:DRNTG_00921.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYMDDYFLQLQSIVVIFALIILCKVLWHGTFTSFNNKHKRPPQPFFALPVIGHLHLLLNAQTIFHKLGDMADKLGPAFMLRLGSRRTLVISSWEVAKECFTINDKALASRPSNTAAVTHLAYKAAFFGLAPYGSFWRSMRKIATTELLSNTRLDKLKHVMLAEIDTCIKELHNLCGDNNNDNNRVDMKEWFGDLNFNIVLQTVAGKRFFGSGGGSDEAWRFRKAAHQFFHLLFVSVPSDMFPWLEWMDLGGYVKAMKAAAKEMDSVMVKLVEEHRERRTSGVATGDTDFMDVMLSIIHDDHELQGHFDKETLIKATSLNLILGGTDTTGISMTRVLAHLLNNQDILKKVQTELDEQVGLNRVVNESDIKNLIYLQAVIKESLRLTPSSEFLFPRETVKDCTVAGFHIPAGTLVIVNAWKLQRDPRVWPNPLEFRPERFLPNHEADGIDVKGQNYELIPFGTGRRSCAGISMALCIMHLTLARLIQAFELRLVNNVPAELFEGLFSMAIHTAPLMAEITPRLSPELYQF >DRNTG_06710.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:16111029:16114730:1 gene:DRNTG_06710 transcript:DRNTG_06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTLEDRAEMGQVSARVQECNLEQQLGQHKGLGNNAECYELRVHCTDNSSDESAGSVEEEGSEQGDGLWNRGLKTLPEHLEYTFLGEDGPSNGPHTGPSETG >DRNTG_11136.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30400375:30402679:1 gene:DRNTG_11136 transcript:DRNTG_11136.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSESLEFSSAATDWICELSKAAPGTSDLSFTTQYCQSFLAQFKSCLWKQWLTYWRSPDYNLVSLLSFLDPSSGELDARGTHTHACMVLMSSEAVCDGRDTSSALTIVIGAMSMAEAIVGYINCSTAILIVSIQRTVFYPERAAGMYSALPYAIAQVVVEITNALIQAFYYSLLIYSMMHSNGQQQKVYWFIISFFALLYYTFYRIMIVYITPNHQAAAILYSVNDVSHVYIYTNHTYKSRILADRLVCC >DRNTG_11136.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:30399124:30402679:1 gene:DRNTG_11136 transcript:DRNTG_11136.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSESLEFSSAATDWICELSKAAPGTSDLSFTTQYCQSFLAQFKSCLWKQWLTYWRSPDYNLVSLLSFLDPSSGELDARGTHTHACMVLMSSEAVCDGRDTSSALTIVIGAMSMAEAIVGYINCSTAILIVSIQRTVFYPERAAGMYSALPYAIAQVVVEITNALIQAFYYSLLIYSMMHSNGQQQKVYWFIISFFALLYYTFYRIMIVYITPNHQAAAILYSVNDVSHVYIYTNHTYKSRILADRLVCC >DRNTG_21657.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:11207797:11218128:1 gene:DRNTG_21657 transcript:DRNTG_21657.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNTRGGSSSRSIGSLRQSLSIFQDEERAGPVAAAVDSDEDDYNAGKDKKKNRIMKEKLPHGSDTDLDLILPPKAEIALSKYPNRAHAYSIEGGFPIFFDVDRRGSEIYPTVYALWKAPKLLPCFLLKGSEVSQFVTGVGSTTMSSQDALKAGLRITYCYHDQSMLKNLIFFSIYSEGPITNVSEEIVTDMNELHVTESAVGEEPGNNEFVKMLILFSINAFYKLYIEVSKIKTFPRLGACYGQVMYYLAGLQGSLWTLRNHLTRNSPAELISAKEDKYKKEIVLLAINRTHPDYTFFKPEKNIETIEPKHEISSSEGFQSRSQFEVAEIYKSSSYVNPILTFVGAEAIGMVKRMQQENIRLNYVTFLAVLRACEYVGQIDLGEQYFNMMTDHYKLQP >DRNTG_07232.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000327.1:83807:86502:-1 gene:DRNTG_07232 transcript:DRNTG_07232.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSDAIVNNTVSEGTDEHALLQALQLVNSSILHMTFKTAFELNLFNIISAATPNPLSATEITTLLPSSTPSTPIMLDRILRLLSSYSIFTCSLSTDPISGATTHLYAAAPAVKYLAQNKDGFTLSTLGLLNQDKVIMESWDYLKDAVLNGGIPFNMAHGMTSFEYQGTDPRFNKVFNEAMKNHSGIIMKRILEKYRGFDDVKVLVDVGGGVGGTLAQVVAKHKHIKGINFDLPHVISEAPLIPGVEHVGGDMFNNIPNGDAILMKWILHDWSDEHGLKILKNCWKALPENGKVILVECILPVAPENTYAAHSVFYLDMSMLAHSPGGKERTAQEFESMAKQAGFSAMKPYFSFAGAWVIELFK >DRNTG_19972.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:26:784438:788181:1 gene:DRNTG_19972 transcript:DRNTG_19972.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KC1 [Source:Projected from Arabidopsis thaliana (AT4G32650) UniProtKB/TrEMBL;Acc:A0A178UVX9] MAGDQLLVRRASSGDQIRNFATVSSSLLPTLGITIDDSYPRLKKYIIAPYDRRYRWWQVFLVVLVIYSAWASPFELAFEKVEFGPLLVIDLIIDAFFVIDIIVTFFVAYLDSSSYLLVDDHKKIATRYLTRPWFVMDVASTVPFQIIYRIVTGKSNGGSIFGFINLLRLWRLRRVSDLFSRLEKDIRFSYFWTRYLKLICVTLFAVHSAGCMYYWIAVHYKIKKQTWIGSQVADFEDRSIWLGYTYAMYWSITTLTTVGYGDLHAENNYEKLFNIFFMLFNIGLTSYLIGNMTNLIVHGATRTFIMRDTIHEASRYSSKNRLPEGIKEQMMAHFQLKFKTVELQQDQVLADLPKAIRSSIAQHLFQRTVELAYLFREVSHDFIIQLVSEMKAEYFPPKVEIILQNEIPTDFYIIVSGEVDVITYKNGTEKFLSKLGAADMAGEIGVIFNIPQPFTVRTKRLSQVVRISHRHFTQIVQPSITDGQTIVSNFVQFLKGLKEEILEEIPFVAELLEDMNNMNHKEPGEAEEHEAINPNMNGDAQTEGLARTISPASFSNNLLKRVIIHGPGQISGKLVHLPDSFGDLLNLAEKSFGKPATKILMADGAEVEDLETLRDDDHLYFH >DRNTG_24869.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20118649:20120495:-1 gene:DRNTG_24869 transcript:DRNTG_24869.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGKSAQECFDRVHADLATPPQSHPVSRATKLDQTPIADFTLSSSIAALGCNKTNAKRPRRGKQKILFAQKAVRHLLRKHQVIDRSKEADLFSVLETSPTALIMDFPEVKTPATPQCVPTPVGFLKRCSERSLSARKGTHSRFRPPDQANYMSPEVLKPIKNMVLHEKYINQLHCREARRRTLAKATSSSAARDSRNVTSLKPDLRAARTALLSEAKDAVTHFQHLQADPFGDHIDDDDDFDGFNSADDDE >DRNTG_30344.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001563.1:7293:9794:-1 gene:DRNTG_30344 transcript:DRNTG_30344.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKISFLSFIYFFFLTGY >DRNTG_30344.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001563.1:7293:9794:-1 gene:DRNTG_30344 transcript:DRNTG_30344.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREVREYTNLSDPKDKKWGKGKEKIDDEDITFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKISFLSFIYFFFLTGY >DRNTG_30344.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001563.1:7293:9794:-1 gene:DRNTG_30344 transcript:DRNTG_30344.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMKISRSSVWLRRDLGFSYGVVDASRCRRLLVNEEGIFMDEAVLILFTIKTSKFHLPIMFCYIYIFSLMHFTALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKISFLSFIYFFFLTGY >DRNTG_04122.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30148157:30149075:1 gene:DRNTG_04122 transcript:DRNTG_04122.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLP8 [Source:Projected from Arabidopsis thaliana (AT1G16070) UniProtKB/TrEMBL;Acc:A0A178WHW0] MASLKKPSLLSPYKSLYLNPLNDKENVEPTKLMSSSKTMKPSSPVTKSSSKTKLPLRPSSLQLCMKLDDPESLLGVPRPISSSSSDAWDFSDSEAAPASSWSTLPNKSLLCRPLPLDVGRCTCVIVKEISEGMSAYSLYTNEGQGRKDRKLAVAQHRRRNGRSEFIVAQNPKGICCSSDESFLGKITANLMGSKYQIWDQVCN >DRNTG_04122.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30148157:30150202:1 gene:DRNTG_04122 transcript:DRNTG_04122.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLP8 [Source:Projected from Arabidopsis thaliana (AT1G16070) UniProtKB/TrEMBL;Acc:A0A178WHW0] MASLKKPSLLSPYKSLYLNPLNDKENVEPTKLMSSSKTMKPSSPVTKSSSKTKLPLRPSSLQLCMKLDDPESLLGVPRPISSSSSDAWDFSDSEAAPASSWSTLPNKSLLCRPLPLDVGRCTCVIVKEISEGMSAYSLYTNEGQGRKDRKLAVAQHRRRNGRSEFIVAQNPKGICCSSDESFLGKITANLMGSKYQIWDQGKPVDSINNKRKRLLAVVAFAPTITTLTGSFRSMRAWIPKQQSMQLKSTNASQIQHTNGLSKDWEEKRNRSHQLFSKTPYYNKVSKRYELDFRERAGRPAPRIQTSVKNFQLTMEEKGRQTILQLGKIGKSKYLMDYRYPLTGYQAFCICLSSIDSKLCCSV >DRNTG_17953.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:4738523:4739613:-1 gene:DRNTG_17953 transcript:DRNTG_17953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVIPPKWKKTTAEATPLRLLRIVVKKVEMPFLPESEKICLGDYLAGSGSDVVWPSEENPVEDDATEKDTTANDIIMEVCATKVGADVAKVDTAPNDTY >DRNTG_11432.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1050295:1050889:1 gene:DRNTG_11432 transcript:DRNTG_11432.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFGGDSWASEAHHRKRRVDDLMLSSSSSSSSSSSFRRLSNGKYACFVVLIVPFLIPLSPSLYVHKPFLSCAFISSVLHVMVV >DRNTG_11432.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1050295:1053112:1 gene:DRNTG_11432 transcript:DRNTG_11432.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNKGSRHIAAETRLKERVISREAELNKRLALSADSKDVPNSSIPNNGMKCVKVQKKPLIEHTRKVIFEMQSSISSDQNTTGHCHDVMHRANSSSCFSNPLCAPMDAVSAPQSDGVEVNCETSSYKNSEFYKLREKELKFTAAGWKRDGNGKWYKDENVSYLQFVHLILFLVSADKQKKNLSQFVGAKV >DRNTG_11432.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1050295:1053321:1 gene:DRNTG_11432 transcript:DRNTG_11432.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNKGSRHIAAETRLKERVISREAELNKRLALSADSKDVPNSSIPNNGMKCVKVQKKPLIEHTRKVIFEMQSSISSDQNTTGHCHDVMHRANSSSCFSNPLCAPMDAVSAPQSDGVEVNCETSSYKNSEFYKLREKELKFTAAGWKRDGNGKWYKDENAEFDSDEEDPNECLK >DRNTG_08875.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27698829:27702654:-1 gene:DRNTG_08875 transcript:DRNTG_08875.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHALTRCLFSALMFLAASTSFLPPRRSLVDEIHSQMDKTEDLSRKRIKSEENASEDSVLNVELLCLDAHFFNKTEMLDMAEGAKKFNVPMFRVNRRLVASADGGLSNPSVLVFNSSWSNFEKSHENEKFKYPSLQDVKRPIKEDDIAYMTVLELAALIKTKQITSLELMDIFLRRLKKYNDILEAVITFTEDLAYSQAKEADELIKKGVYLGPLHGIPYGLKDIIAVPHYKTTWGSKTFKDQYLNIEAWVYKRLKSSGAVLLAKLVSGSLAYDDIWFGGRTRNPWNIKEFSTGSSAGPAASTSAGLVPFAIGSETAGSMTYPAARCGITCLRPTFGTVGRSGVMSISESLDKLGPFCRSAVDCAIVIDAVRGKDPDDLSSRVIFIKDPFSIDVSKLTVGYLEDAEMEVVDVLKSKGVKVVPFKLNYTVESVQAILNFTMDVDMLAHFDHWQRSGEDRQYEAQDQWPEELRRARLIPAVDYLQAQRARGKLIREIRESFTVDAFIGNATDWELVCLGNLVGMPIVVVPTGFKSIEDPPKGGTRRRTTVTTGIYAPPDHDHIALALAMTYQSVTNHHRQRPPIDDLGPNDKVSIPSKTT >DRNTG_08875.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:27696808:27702654:-1 gene:DRNTG_08875 transcript:DRNTG_08875.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHALTRCLFSALMFLAASTSFLPPRRSLVDEIHSQMDKTEDLSRKRIKSEENASEDSVLNVELLCLDAHFFNKTEMLDMAEGAKKFNVPMFRVNRRLVASADGGLSNPSVLVFNSSWSNFEKSHENEKFKYPSLQDVKRPIKEDDIAYMTVLELAALIKTKQITSLELMDIFLRRLKKYNDILEAVITFTEDLAYSQAKEADELIKKGVYLGPLHGIPYGLKDIIAVPHYKTTWGSKTFKDQYLNIEAWVYKRLKSSGAVLLAKLVSGSLAYDDIWFGGRTRNPWNIKEFSTGSSAGPAASTSAGLVPFAIGSETAGSMTYPAARCGITCLRPTFGTVGRSGVMSISESLDKLGPFCRSAVDCAIVIDAVRGKDPDDLSSRVIFIKDPFSIDVSKLTVGYLEDAEMEVVDVLKSKGVKVVPFKLNYTVESVQAILNFTMDVDMLAHFDHWQRSGEDRQYEAQDQWPEELRRARLIPAVDYLQAQRARGKLIREIRESFTVDAFIGNATDWELVCLGNLVGMPIVVVPTGFKSIEDPPKGGTRRRTTVTTGIYAPPDHDHIALALAMTYQSVTNHHRQRPPIDDLGPNDKVSIPSKTT >DRNTG_23362.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:5926873:5927645:1 gene:DRNTG_23362 transcript:DRNTG_23362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDRLRSTTKAPNVININSKRQFLNSINKSTARTERFTLNRTSSSHQCNEKVQQKRLKSHRSSQ >DRNTG_03071.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:12207823:12211341:-1 gene:DRNTG_03071 transcript:DRNTG_03071.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTGLMFLVCVMFRLTCYLQILRLQEFAGVFEEESDVELVLSEHLRIRRQLKIISHRFRGFIVCFLVLVTASQFAALFLTTRPRSDVNFFNAGELALCSVGLVSGLLICLRSAAKITHQAQAITSHAAKWHVCATIESFETDPETPPNQISNVSSIHDIGQESEDEDCCDEDDDVLDSTKLVQPHAHTISFQKRQALVTYLENNKAGITVFGFVLDRAWLHTIFHGPTHPFLVGFGKNYWYLLNASLAFSIPFILFYL >DRNTG_33258.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22155707:22159226:-1 gene:DRNTG_33258 transcript:DRNTG_33258.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPEKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDMIQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGLLKPGMVVTFGPTGLTTEVKSVEMHHESLVEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGLVKMVPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDPSGAKVTKSAAKKK >DRNTG_20117.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:22609457:22609944:1 gene:DRNTG_20117 transcript:DRNTG_20117.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLLGSDNKSRASTNPFISFPNRTSQVQQF >DRNTG_11891.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21543185:21543569:-1 gene:DRNTG_11891 transcript:DRNTG_11891.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLLGKQPQLLGKGLSEVYKGELVVAVKQFFKTERMLKELNNYSKLSHPNIVKLVGYYKEENDNVQPKDTEDHPIRSSLLVEFMPEGDLFDYLFEGKT >DRNTG_30901.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001640.1:51742:54054:-1 gene:DRNTG_30901 transcript:DRNTG_30901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFDAELHNAITMPNPNPNPNPNPNPSFGEASVVATVTTTKKKKKKKKVLKERLSPPPSEDAWQWRRGGEVMLEGYVEPVEGLGDGGSEGVDGAVGRTKSLTDEDLEELKGCLDLGFGFNYDEIPELCSTLPALELCYSMSQRFLDEQHQVKVVEEASGEVVECSSTNSAPIANWKISSPGDNPDEVKARLKYWAQAVACTVRLCN >DRNTG_33834.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4473664:4474515:-1 gene:DRNTG_33834 transcript:DRNTG_33834.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSFIYTSVIIFIYLLFNYVGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEVGITPESSEYFLSR >DRNTG_33834.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4473664:4474515:-1 gene:DRNTG_33834 transcript:DRNTG_33834.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEVGITPESSEYFLSR >DRNTG_33834.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4473664:4474248:-1 gene:DRNTG_33834 transcript:DRNTG_33834.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEVGITPESSEYFLSR >DRNTG_33834.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4473664:4477266:-1 gene:DRNTG_33834 transcript:DRNTG_33834.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEVGITPESSEYFLSR >DRNTG_33693.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:1256702:1259879:-1 gene:DRNTG_33693 transcript:DRNTG_33693.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVFARIPRYAIGRQGLVSVSAPQEPFWTISNGFHTVSEWNSSPLSKFQPCSSRTVQLGYLLPISSSIRHYWFSSSASPQPTDKEKSPTNGDSDHVSDDGKQTESTKTNVDSSPSEKTGDSDEETELSMGDLVQLVAEKEELLKIKHKELEQMQDKILRTYAEMENVLGRTKREAENSKKFAIQNFAKNLLDVADNLGRASSVVKESFLKIDSSKDSAGAVPLLKTLLEGVEMTDKQLAEVFKKFGVEKFDPINERFDPNRHHAMFQVPDASKPSGTVAAVLKSGYMIYDRVLRPAEVGVTEGGVTEDSDDKIRMKLQCSISTNTPLLIIPHEIFNEFYGVCCNVDQVKQTELTYD >DRNTG_06941.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16785203:16786834:1 gene:DRNTG_06941 transcript:DRNTG_06941.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTTMSVVKNLDIKRYMGRWYEIASFPSFFQPRNGENTRATYTLNEDGTVHVLNETWSNGKRDYIEGTAYKADPASDEAKLKVKFYVPPFLPIIPVTGDYWVLFIDEDYEYAVIGQPSRKYLWILSRKTHIDEELYNQLVEKAKEEGYDVSKLHKTPQADPPPESGHLPQMTPMAFGGSNPSLESKTYSPLYYYYYYYFCYYYIACIFGE >DRNTG_00019.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21558044:21560176:1 gene:DRNTG_00019 transcript:DRNTG_00019.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITHFLFSPLLSPKPKPNLKPFNLSALPLNPLALRRSSTPHPFPFSTPNSLRHSHKHLACKPLNSSILPQSLPSIDDLSNLTPLTICKWSAVIALAVFATKKTISTLLNPFFWTYFSWSWIFWPWLLAISLAIYGFYCFYKHYTGQSSLFEQLAIVTSTITWLTLVPPAHFNGFLEGWPIALFFIYHYLFFFESTVRRRLYGDLYPRAHDPKWDITLPLPFRMGFAVLVLVGHWLAAFEGPELHLISGGWANFATWVFVAVVMFMRYHSILYLSKYSEKVAVPTAVVQFGPYRWVRHPIYASTMLLFALHCITLRAPLSLLFIVATCLVYYGRKAEVEETLLVEQFGEKYKEYADKVRYRLIPLFY >DRNTG_00019.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21558044:21559459:1 gene:DRNTG_00019 transcript:DRNTG_00019.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATITHFLFSPLLSPKPKPNLKPFNLSALPLNPLALRRSSTPHPFPFSTPNSLRHSHKHLACKPLNSSILPQSLPSIDDLSNLTPLTICKWSAVIALAVFATKKTISTLLNPFFWTYFSWSWIFWPWLLAISLAIYGFYCFYKHYTGQSSLFEQLAIVTSTITWLTLVPPAHFNGFLEGWPIALFFIYHYLFFFESTVRRRLYGDLYPRAHDPKWDITLPLPFRMGFAVLVLVGHWLAAFEGPELHLISGGWANFATWVFVAVVMFMRYHSILYLSKYSEKVAVPTAVVQFGPYRWVRHPIYASTMLLFALHCITLRAPLSLLFIVATCLVYYGRKAEVEETLLVEQFGEKYKEYADKVRYRLIPLFY >DRNTG_00019.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21558044:21559520:1 gene:DRNTG_00019 transcript:DRNTG_00019.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATITHFLFSPLLSPKPKPNLKPFNLSALPLNPLALRRSSTPHPFPFSTPNSLRHSHKHLACKPLNSSILPQSLPSIDDLSNLTPLTICKWSAVIALAVFATKKTISTLLNPFFWTYFSWSWIFWPWLLAISLAIYGFYCFYKHYTGQSSLFEQLAIVTSTITWLTLVPPAHFNGFLEGWPIALFFIYHYLFFFESTVRRRLYGDLYPRAHDPKWDITLPLPFRMGFAVLVLVGHWLAAFEGPELHLISGGWANFATWVFVAVVMFMRYHSILYLSKYSEKVAVPTAVVQFGPYRWVRHPIYASTMLLFALHCITLRAPLSLLFIVATCLVYYGRKAEVEETLLVEQFGEKYKEYADKVRYRLIPLFY >DRNTG_00019.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21558044:21559205:1 gene:DRNTG_00019 transcript:DRNTG_00019.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATITHFLFSPLLSPKPKPNLKPFNLSALPLNPLALRRSSTPHPFPFSTPNSLRHSHKHLACKPLNSSILPQSLPSIDDLSNLTPLTICKWSAVIALAVFATKKTISTLLNPFFWTYFSWSWIFWPWLLAISLAIYGFYCFYKHYTGQSSLFEQLAIVTSTITWLTLVPPAHFNGFLEGWPIALFFIYHYLFFFESTVRRRLYGDLYPRAHDPKWDITLPLPFRMGFAVLVLVGHWLAAFEGPELHLISGGWANFATWVFVAVVMFMRYHSILYLSKYSEKVAVPTAVVQFGPYRWVRHPIYASTMLLFALHCITLRAPLSLLFIVATCLVYYGRKAEVEETLLVEQFGEKYKEYADKVRYRLIPLFY >DRNTG_00019.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21557892:21559459:1 gene:DRNTG_00019 transcript:DRNTG_00019.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATITHFLFSPLLSPKPKPNLKPFNLSALPLNPLALRRSSTPHPFPFSTPNSLRHSHKHLACKPLNSSILPQSLPSIDDLSNLTPLTICKWSAVIALAVFATKKTISTLLNPFFWTYFSWSWIFWPWLLAISLAIYGFYCFYKHYTGQSSLFEQLAIVTSTITWLTLVPPAHFNGFLEGWPIALFFIYHYLFFFESTVRRRLYGDLYPRAHDPKWDITLPLPFRMGFAVLVLVGHWLAAFEGPELHLISGGWANFATWVFVAVVMFMRYHSILYLSKYSEKVAVPTAVVQFGPYRWVRHPIYASTMLLFALHCITLRAPLSLLFIVATCLVYYGRKAEVEETLLVEQFGEKYKEYADKVRYRLIPLFY >DRNTG_00019.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21558044:21559287:1 gene:DRNTG_00019 transcript:DRNTG_00019.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATITHFLFSPLLSPKPKPNLKPFNLSALPLNPLALRRSSTPHPFPFSTPNSLRHSHKHLACKPLNSSILPQSLPSIDDLSNLTPLTICKWSAVIALAVFATKKTISTLLNPFFWTYFSWSWIFWPWLLAISLAIYGFYCFYKHYTGQSSLFEQLAIVTSTITWLTLVPPAHFNGFLEGWPIALFFIYHYLFFFESTVRRRLYGDLYPRAHDPKWDITLPLPFRMGFAVLVLVGHWLAAFEGPELHLISGGWANFATWVFVAVVMFMRYHSILYLSKYSEKVAVPTAVVQFGPYRWVRHPIYASTMLLFALHCITLRAPLSLLFIVATCLVYYGRKAEVEETLLVEQFGEKYKEYADKVRYRLIPLFY >DRNTG_16960.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:172787:175402:1 gene:DRNTG_16960 transcript:DRNTG_16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGLLDSIGIRGNSTLRATHKAGKGGSDLASSSDGSATCSGFEDGRNSDDRKGKDGNMCRYRCQLEQEVQKLQMQLQQEFDLHVVLADAVGKKTLPMVNSPSNLPNKAQELLANIAALEFTVSKLEEELVLLQFRLSRERTERHLAENSLNILPVVSPKPPESGLPGYTWEEHLSSLQHSKFNESQMLQSTESDICAACRHPQEITTNSDCNLPVPCGVETGRNMMDVPPQQTGLTELKQSFLTNLWQNPNRLSEEMVRCMRNVFLCLSKSSSPIPFMATSAPECIPSPYSPIANLPTSLVSSSDSSEMASSVCSPGFKMNEIRETWTPQKTFDPYQVSGKMSWKNAGSYSLAAEVSWMCVGKTQLEYAA >DRNTG_17400.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000893.1:162794:164173:-1 gene:DRNTG_17400 transcript:DRNTG_17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLAWDVLTWEKFYLSGRLQKPVL >DRNTG_28159.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:516747:519058:-1 gene:DRNTG_28159 transcript:DRNTG_28159.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEGMSVSKFKRICVFCGSSQGKKRSYQDAAIDLAKQLVVKNIDLVYGGGSVGLMGLISQAVFDGGRHVLGVIPKTLMPREITGVTVGEVKPVADMHQRKAEMARHSDAFIALPGGYGTLEELLEVITWAQLGIHNKPVGLLNVDGYYNSLLSFIDQAVEEGFYQANSKAYHRFSFEC >DRNTG_20358.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:2678494:2681316:1 gene:DRNTG_20358 transcript:DRNTG_20358.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-galactose dehydrogenase [Source:Projected from Arabidopsis thaliana (AT4G33670) UniProtKB/Swiss-Prot;Acc:O81884] MHALVRTLPFPLSKNPNPRNQIARSLMAKLERRELGNTGLKLSCVGFGASPLGNVFGPVSRDEALATVRRALELGINFFDTSPYYGGTVSETVLGDCLRDLGVPRDEIVVSTKCGRYKDGFDFSAERVTKSIDESLQRLKLDYVDIFQCHDIEFGSLDQIVNETIPALQKLKETGKIRFIGITGLPLDIFTYVLDRVPPGSVDVILSYCHYSINDLTLLDLLPYLKSKGVGVISASPLAMGLLTENGPPEWHPASSELKSACKAAANHCKEKGKNISKLALQYSLKNKEVASVLVGMNSVKQVEENVGAALELLNIGQDDDLLEEVETILAPVKNQTWPSGIQQS >DRNTG_07168.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31714876:31720377:1 gene:DRNTG_07168 transcript:DRNTG_07168.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:Projected from Arabidopsis thaliana (AT5G52920) UniProtKB/TrEMBL;Acc:A0A178U9G2] MAQVVAAVRTVQVSCPGSGRGGVLREKLKPESVPMRFYKAKERSSKGRCWSPVVAVANRLSRLETEVLPVSPEDTVKDEEQFRHLKGMQQLNDGSSGVWLKPNVRRKTKIVCTIGPSTNTRGMIWKLAEAGMNVARMNMSHGDHASHQKVIDLVKEYNAQSKDNAIAIMLDTKGPEVRSGDVPQPIDLAPGQEFTFTIKRGVSTENCVSVNYDDFVNDVEVGDMLLVDGGMMSLVVKSKTEDSVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVENGVDYYAVSFVKDAQVVHELKDYLRDCGADIHVMVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRLCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKYPLKAVKVMHTVALRTEATIVGGEKPANLGLAFKNHMSEMFAYHATMMSNTLGTSIVVFTRSGFMAILLSHYRPSGTIYAFTDNERVRQRLALYQGVCPIYLQFSNDAEKTFTDALSYLQKQGMVKEGEEVALVQSGKQAIWRSHSTHNIQVRKV >DRNTG_00484.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:30661112:30661983:-1 gene:DRNTG_00484 transcript:DRNTG_00484.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTETQIKRKKRRASTSFMAKLIQQLKNKFFNFFDLVKGVNNTNSKDKGGGDNHKMSHAHVQSRGISITTVKQSDMAKPSHGPPPQTN >DRNTG_25410.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24545811:24548768:1 gene:DRNTG_25410 transcript:DRNTG_25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAELENSSVMTASNSVSGEASVSSSGQQAPVAPVKKKRNLPGMPDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRTGKEAKKRVYVCPEPTCVHHEPSRALGDLTGIKKHYCRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALTVEGAKMQAHAPAAAATAAAAENEAVNTAQAPSCSSPAASPEPQQQLQHQHQQQQKPEPPPEVKSSSTTTTTTTNAVPVVLQCIPQAAAAPAPTVTMNSTNSSGNSASTSLFASLWTSPSTTTATTVVPATAPTTTQRASFSDLIGAMSRQDRGVILDTPSLCLSSNGSASIFSQPPERRQYGPAPTSPHLSATALLQKAAQMGAAATNASLLRGFGLSTSTSPSHQETSAPDTSLQWRHHQQIEPEPHMLSAGLRLGLTYDNNATRLPELMMGPSSLFTAKPATMDFLGLGMGHGGSATSGLSALITSIGSGLDMSVGPATPWDGADGKPSSPALL >DRNTG_03748.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:17989047:17994216:-1 gene:DRNTG_03748 transcript:DRNTG_03748.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPSPPLSSPHHQIPSAKLIFFPNIDVLGKLVRFPQVYGSHTSNTVVPCFQHAAFAFENEAKLENIPIDESTNGNDALPSFLHKGLRYTQLETDADSFFECYRLEPLDIITNSTHGLSKIIKNRKENTMGKRKRIDYSVSNQEQESNKQERKIVVHGGFLPVLGVQQILSCHYYQISEDGGSLLQFGAFVERITDGMKTRAKAMEEQISMMVEQLARFDSIFPRLESLCSTVQQHSESFEIMKKSHDESVDILRSSLAAQQTVIAEMMLKLQ >DRNTG_10141.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19184758:19188940:-1 gene:DRNTG_10141 transcript:DRNTG_10141.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDCASLSSPPPDVGARKSVLRHFSLIPGSRRYKLRFHSNPCKSIKLLKDLASGNQRFRITRGIPVRVCASSGESSHRRFEGDDGPVHAAAPLDDRLDFLGLKREVEKEESPSDGGEDVRETVEQTRPRRRVMKRSSLMAKQVISVRSARNLGFVSQLWVDTRSWVVVLLEARPNLLSGENDKFYLEDVYQVGDVVLVQDESWIENNELRMAKLDTLVGYRVITSNRLTLGKVRGYTFDINSGVVDSVELDSLGISIIPSSLVSTYCLFVEDVLEVVADTIVVNEDAVSRVQMLTKGFLDTPNAITSGNDLDEYSDTGKRRHRVVQSRQLKWTDSKHPKASNKMREFEDEWDLPMDY >DRNTG_10141.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19184758:19188940:-1 gene:DRNTG_10141 transcript:DRNTG_10141.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDCASLSSPPPDVGARKSVLRHFSLIPGSRRYKLRFHSNPCKSIKLLKDLASGNQRFRITRGIPVRVCASSGESSHRRFEGDDGPVHAAAPLDDRLDFLGLKREVEKEESPSDGGEDVRETVEQTRPRRRVMKRSSLMAKQVISVRSARNLGFVSQLWVDTRSWVVVLLEARPNLLSGENDKFYLEDVYQVGDVVLVQDESWIENNELRMAKLDTLVGYRVITSNRLTLGKVRGYTFDINSGVVDSVELDSLGISIIPSSLVSTYCLFVEDVLEVVADTIVVNEDAVSRVQMLTKGFLDTPNAITSGNDLDEYSDTGKRRHRVVQSRQLKWTDSKHPKASNKMREFEDEWDLPMDY >DRNTG_21976.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:896426:906762:-1 gene:DRNTG_21976 transcript:DRNTG_21976.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGPDQQNMSSPSRRGQTGNKLDGWPMNDPMETNTNDRLAFHADNGRQWHAQKGDVNGAIHTETDDNCGMHKSAGNPVDLTGGIESQDSYLSTLPAAANSRTLPINQEISQQEYNIHKVNMGRHVSVHSNVKSKGAEVAGMYQHQPGNGLQPWDSTIKNVDGGLTENSNRNQEFSISKTALKEGCFPGHSNSGQLADNRSVSRESPFFAGNGLHHFVGSNQKADDLPAQQSMGLHKFRYHPMGNIRTKMESAEALDPTSYPQGGGQFQGLNNQDQSHLGETHFPNAIANNTMIVDKRQASNFQNTAKDQEEAPSRSSIPYPDPTLDGNTPYWAHHKQMGQASQNMLELLQKVDQSRDSNTAAQFDCNDQRTQSDIHKATVSDRSLSFPHYNQSSAQGFGLQLAPPSQRQTPTTTQVMPCKASSHDSSAIELDEEAVSNENKWSDTTVSARSLLPASETCHRGNLDSNFNVSGQADKGTAQSCTPFNPSSTAASTHSLENQQQQSFSHATRDESMHQSANISSDLGAQAKHSSHLGPTNGSYLGTFNDQDVQASMSNAAGKTLPLRLPSSHDTQARVFSHISSPHSGLSQPTSAGFSHLRSSGQHGHQSEAKPASQRLIPGMLKQAGFSSMLHNVWKNVSAQRILGVLPQKFTSNIPHQSMVPSTSGGDTKSWTPQKADHQRNTKGSSPSGSATCSGNSQEVSRGDPVSDTCSPQIGKADGPSKVSNVLYDQDPAAKHLSDANPALPISSLVRLHQQEISRGKLTQNIAHDLQTHASFQKAASSSIGNTQKPSDIRQQNYSLLQQNASHEGCGF >DRNTG_10785.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:16334315:16334929:1 gene:DRNTG_10785 transcript:DRNTG_10785.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQNEEHRTLSDYARPSVLGTQSSIVRHPIIAQNFELKPAFIHMLQQSAQFNGLADEDPNSYIESFLEVCDMLKINGVTDDAIKLASITTWEEMVEAFLARYFPPGKSAKLRNEISSFVQLELESLFETWERFKELLRKCPQHGFPKWMIVQTFYNGLNPSTRQLLDAAAGGTLGSKTPDEARQ >DRNTG_04550.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22544112:22546630:-1 gene:DRNTG_04550 transcript:DRNTG_04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEREKKMKKIKQGGMRTMPFILANEVFDRFATAGFNANMITYLTQQLHMPLVEASNTLTNFAGTSSMTPIIGALIADSFAGRFWTITLGSIFYQLGMISLTLSAILPGLHPPPCSGNQTCREASKWQLLFLYGSLLLTSIGSGGIRPCVVAFGADQLTLNDPNPNTKRWSFFNLYFFSMGISTLLALTVVVYIQENIGWGWGLGIPTIGMFFSVVVFVIGYPLYIKLKPGGSPLTRLAQVVVAAFRKRKTPLPSDPNLLYQDKELDADISVTGRLLHTDQLKFFDRAAVVREGDMLSSGMPKLWRLSTVHRVEELKSIIRMMPIWVVGILLVTAASHNHTFAIQQARSMDRHLWHSFEIPAASMSIFTVFSMLLTLAFYDQIFVPVARRFTGKPSGITYLQRLGIGLSISVTANVAAALVEVRRKAVAAKYGLINQPNATIPLTVFWLIPQYSISGIAEAFMSVAHMEFLYDQSPESMRSTAAALFWLAVSTGNYMGTLLVTLVNDLTKKKGDWLQNNINKGKLDYYYWLVTGLQVLNLAYYVLCASFYKFKPLEVAEVDKGFRVGDEEGGGNGDVELVGVVDVRAK >DRNTG_04550.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:22544112:22545882:-1 gene:DRNTG_04550 transcript:DRNTG_04550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLTLSAILPGLHPPPCSGNQTCREASKWQLLFLYGSLLLTSIGSGGIRPCVVAFGADQLTLNDPNPNTKRWSFFNLYFFSMGISTLLALTVVVYIQENIGWGWGLGIPTIGMFFSVVVFVIGYPLYIKLKPGGSPLTRLAQVVVAAFRKRKTPLPSDPNLLYQDKELDADISVTGRLLHTDQLKFFDRAAVVREGDMLSSGMPKLWRLSTVHRVEELKSIIRMMPIWVVGILLVTAASHNHTFAIQQARSMDRHLWHSFEIPAASMSIFTVFSMLLTLAFYDQIFVPVARRFTGKPSGITYLQRLGIGLSISVTANVAAALVEVRRKAVAAKYGLINQPNATIPLTVFWLIPQYSISGIAEAFMSVAHMEFLYDQSPESMRSTAAALFWLAVSTGNYMGTLLVTLVNDLTKKKGDWLQNNINKGKLDYYYWLVTGLQVLNLAYYVLCASFYKFKPLEVAEVDKGFRVGDEEGGGNGDVELVGVVDVRAK >DRNTG_18081.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:270835:271756:1 gene:DRNTG_18081 transcript:DRNTG_18081.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGALDLKTCCREPADQGREKKKREEEEKRKEDSREKKDNLAKDVLSFIPHSTYMQILTES >DRNTG_20726.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17839425:17846507:1 gene:DRNTG_20726 transcript:DRNTG_20726.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSPNLLLQHIKNHENYSNHFHREKPFLRSIPMTDEMATSREGEEELRHGKGQVRTSFIQETDREDKNWLQLSIGGSASTSSQPPRAPMGFGFFSNNSMISMSLQDDYRASRSSRVSLAHVRVVSPPRRPPAGLWFILRASQTQRRGHLLPQLPKSYLRIRDGSMTVRLLMKYLVSKLQLDHESEVQITCRGQELHPILTLQHVRDQIWWPYIQDSLAIDHVMTLQYSRRM >DRNTG_20726.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17839425:17840886:1 gene:DRNTG_20726 transcript:DRNTG_20726.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSPNLLLQHIKNHENYSNHFHREKPFLRSIPMTDEMATSREGEEELRHGKGQVRTSFIQETDREDKNWLQLSIGGSASTSSQPPRAPMGFGFFSNNSMISMSLQDDYRASRSSRVSLAHVRVVSPPRRPPAGLWFILRASQTQRRGHLLPQLPKSYLRIRDGSMTVRLLMKYLVSKLQLDHESEVLIFIYF >DRNTG_05384.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:13363203:13363868:-1 gene:DRNTG_05384 transcript:DRNTG_05384.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPSPNDEEERECRPNDASSSPRDAECFSSFDDEASPLSSSS >DRNTG_03560.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:14412987:14414770:-1 gene:DRNTG_03560 transcript:DRNTG_03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSGTFFVFNFQGNSSLDQSTGNLCRLANGKVADTNRPLQTCKKFYLETECKRCVSSQSIYVLCIATFSLVNTGKLTVKLHSDRY >DRNTG_14527.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:13162998:13166905:-1 gene:DRNTG_14527 transcript:DRNTG_14527.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTCILGVGPLPLDVPRSPSVLAEAQEQAEKPRHHHPHPQLSLELIAPKHKLTSEPCGPYPSISSSPGSGAQVYSSSRPRESRQKSHAQN >DRNTG_23633.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001272.1:16428:22374:-1 gene:DRNTG_23633 transcript:DRNTG_23633.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLNTITGVIVDHAWALIRRHFGYLMSYKHNINKLERKFDELDALRKDVQRECDAARLERLEDVNNVVQTWLMNVDRMEADVKRIKEKASVISNKHFLHIGLHYKLGKEAANHIETTSAHPQALLIHCCLMKVT >DRNTG_01423.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000079.1:50953:53653:1 gene:DRNTG_01423 transcript:DRNTG_01423.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARESCNLDKDEAEILKPTSSPTAPSPAPPTTPPPSSLFLKPSSQEFQSQRPERQEVATAVAGTSQKSDDKPTVRFANRCSSCRKRVGLTGFRCRCGDLFCPRHRYSEFHDCSFDYKAAGREEISKANPVIRPSKIIKI >DRNTG_28022.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16658618:16659508:-1 gene:DRNTG_28022 transcript:DRNTG_28022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFPSHAYPYPYFSPPPPSFIPAPPAPHRTSPPPPPHSPPLPSPPRRSPPPPHSPPPPFPPRRSPPPPHSPPPPPPHITPPLPPVPPPPAPHHHTVIIIVFVSLSGLFLLAFLAACCFIKKKKKSTQKAEVINVEDHVHVHETIVPGPHGQKIVILDVDEDIKVQEDIKKNELVGEASRTANASPVVVNEQQPHSQSESKTHHLLQHKG >DRNTG_19499.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:11915428:11919466:-1 gene:DRNTG_19499 transcript:DRNTG_19499.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLNLSKISSKILDAYNGSSGAGVEDGGAGGTGGA >DRNTG_13595.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:737402:738134:-1 gene:DRNTG_13595 transcript:DRNTG_13595.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSVMTSWLDELAAAKPYEWKKIRTASVVVVDDLVLIYGGLRGGTQLDDMLIDQMMKELHSQGSTVEAIANCLKRASLDPHVGNAIKSAFDLGCDMRVINDANIFFIETILKHHKIIGCFSEINLNPIPC >DRNTG_08780.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:7292329:7295244:1 gene:DRNTG_08780 transcript:DRNTG_08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane ascorbate ferrireductase 2 [Source:Projected from Arabidopsis thaliana (AT5G38630) UniProtKB/Swiss-Prot;Acc:Q9SWS1] MAAPMVHFPIVLVIRLLGVAAAATVLTWALHFRGGMSLISENKDLIFNVHPVLMVIGFILLNGEGILAYKTIGGTKNFKKAVHLAVQFLAFFLGLIGIWAALKFHNEKGIDNFYSLHSWLGLACLFLFGIQWGAGFATFWYPGGSRNSRAFLLPWHVFLGIYIYILAVATAVTGILEKATFLQSSSIISRYSTEAFLLNSLGLLLVFLAGFVVLAVVTPASIKGDAHKTGAE >DRNTG_00892.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21718722:21728619:-1 gene:DRNTG_00892 transcript:DRNTG_00892.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 5 [Source:Projected from Arabidopsis thaliana (AT5G66850) UniProtKB/Swiss-Prot;Acc:Q9C5H5] MPWWKPSSSPSSSSSSPRSNSSPHSARKESASSLFGRRNETLPRLTRQRRLRHLPDSEIGGGFSTPVSRTPSLFDFAPVRSVSSPVPLPLPESLAASPQRELGFGCPLPSPKEASGRVDGDEWNGLSELSPVVESNGDRLLPSNVTGSKLNQPNTQKRTEVSTPSPGFAPRKIFKEAKSAGAANFKIPAKSAPTSGLSSPVVSPRRSFNIEFFPSAPITSQGPLTWPQLDIPSRDFSAILSPQTSPEKAVPSPDCSPLYSPSIKSPVLRSRNPSAPPSPLHPKYADDSNGRLDCVTVHPLPLPPGAPATAPQQPGFPHQTPPRIETAPMANQWQKTRLIGSGTFGNVYVATNRETGALCAMKEVNIIPDDAKSAECLKQLEQEIKVLSQLKHQNIVQYYGSELTDDRFYIYLEYVHPGSINKYVRDHCGAMTESVVRNFTRHILNGLAYLHSKKTMHRDIKGANLLVDAHGVVKLADFGMAKHLSGATANLSMKGTPYWMAPEVMQATMNKEVGYDLAVDIWSLGCTIIEMFTGKPPWSGLEGPAAMFKVLHKDPPVPEALSPEGKDFLRLCFRRNPADRPTASHLLDHPFIMNTHHHNLHGSIQAFSSMKLDNTYSPREKPKSRSESSLKGKQLSHNDTILSPETSESAASRFHRSVPELAPSIVHPNPFTPNYTNGQPSPSTNSHSWALPKPPGNEG >DRNTG_31682.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:18435739:18439965:1 gene:DRNTG_31682 transcript:DRNTG_31682.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSREPENPTKSAKAMGRDLRVHFKNTRETAHALRKLPLAKAKRYLEDVIAHKQAIPFTRFCGGVGRTAQAKNRHPNGQGRWPVKSARFVLDLLKNAESNADVKGLDVDALYISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEESVKKEPETQLAPRKSKKSAALHTGASS >DRNTG_15396.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4720636:4721197:1 gene:DRNTG_15396 transcript:DRNTG_15396.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 10 [Source:Projected from Arabidopsis thaliana (AT4G33460) UniProtKB/Swiss-Prot;Acc:Q8H1R4] MNFFSLQLGVIKAVKNCVSSPGEVSALWVTHRLEELKYADGVIYMEDGRIVMHGDVSAAVSFLKEKQARYLNHLDL >DRNTG_15396.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4718237:4721197:1 gene:DRNTG_15396 transcript:DRNTG_15396.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 10 [Source:Projected from Arabidopsis thaliana (AT4G33460) UniProtKB/Swiss-Prot;Acc:Q8H1R4] MAPHLAGSPSLSSSSVARLPLRSQGTIAASASHHCSVATQDRRAAIEGSGIRYSVTTRQGRTVPILKDCSLSVPTGQLWMLLGPNGCGKSTLLKVLAGLLNPTHGIVRVNKPKSFVFQNPDHQVVMPTVEADVAFGLGKFNLSSDAVRSGVSKALDAVGMLKYSQRPIQTLSGGQKQRVAIAGALAEACKVLLLDELTTFLDEHDQLGVIKAVKNCVSSPGEVSALWVTHRLEELKYADGVIYMEDGRIVMHGDVSAAVSFLKEKQARYLNHLDL >DRNTG_15396.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:4718441:4718817:1 gene:DRNTG_15396 transcript:DRNTG_15396.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 10 [Source:Projected from Arabidopsis thaliana (AT4G33460) UniProtKB/Swiss-Prot;Acc:Q8H1R4] MLLGPNGCGKSTLLKVLFFSVVNLHIMHCSH >DRNTG_15978.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5126848:5130081:1 gene:DRNTG_15978 transcript:DRNTG_15978.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative L-ascorbate peroxidase 6 [Source:Projected from Arabidopsis thaliana (AT4G32320) UniProtKB/Swiss-Prot;Acc:Q8GY91] MLKHFICPFIDMVRSEASDLSVIQSGVRKVLSKSKAAAILRLAFHDAGTFNLSDNSGFICLVNVEYINGIEIFTFLFVSQFFFLFNKANTSFSLINSPPINCCRGDEWFNCI >DRNTG_15978.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5126848:5131873:1 gene:DRNTG_15978 transcript:DRNTG_15978.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative L-ascorbate peroxidase 6 [Source:Projected from Arabidopsis thaliana (AT4G32320) UniProtKB/Swiss-Prot;Acc:Q8GY91] MAVPMRQLVIVSDFRLAQQLIDSRHDNRARNVHPHISPPSITTNGVGFSYSCQRRELLFMLAVPFIQSPQEWAQASEASDLSVIQSGVRKVLSKSKAAAILRLAFHDAGTFNLSDNSGGMNGSIVYELDRPENAGLSKAIKILDKAKREIDDIQHVSWADLISVAGAEAVSLCGGPAIPIKLGRLDTREPDPQGRLPEETLDASDLKRCFLRKGFSTQELVALSGAHTLGSKGFGNPNIFDNSYFKILIEKPWASSDGMSSMIGLPSDRALVEDDECSRWISIYADDEARFFNDFKNAYAKLVNSGASWRNI >DRNTG_15978.13 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5130010:5131122:1 gene:DRNTG_15978 transcript:DRNTG_15978.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative L-ascorbate peroxidase 6 [Source:Projected from Arabidopsis thaliana (AT4G32320) UniProtKB/Swiss-Prot;Acc:Q8GY91] MSSMIGLPSDRALVEDDECSRWISIYADDEARFFNDFKNAYAKLVNSGASWRNI >DRNTG_15978.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5126848:5131122:1 gene:DRNTG_15978 transcript:DRNTG_15978.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative L-ascorbate peroxidase 6 [Source:Projected from Arabidopsis thaliana (AT4G32320) UniProtKB/Swiss-Prot;Acc:Q8GY91] MAVPMRQLVIVSDFRLAQQLIDSRHDNRARNVHPHISPPSITTNGVGFSYSCQRRELLFMLAVPFIQSPQEWAQASEASDLSVIQSGVRKVLSKSKAAAILRLAFHDAGTFNLSDNSGGMNGSIVYELDRPENAGLSKAIKILDKAKREIDDIQHVSWADLISVAGAEAVSLCGGPAIPIKLGRLDTREPDPQGRLPEETLDASDLKRCFLRKGFSTQELVALSGAHTLGSKGFGNPNIFDNSYFKILIEKPWASSDGMSSMIGLPSDRALVEDDECSRWISIYADDEARFFNDFKNAYAKLVNSGASWRNI >DRNTG_15978.12 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5128408:5130081:1 gene:DRNTG_15978 transcript:DRNTG_15978.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative L-ascorbate peroxidase 6 [Source:Projected from Arabidopsis thaliana (AT4G32320) UniProtKB/Swiss-Prot;Acc:Q8GY91] QASHLSFREPDPQGRLPEETLDASDLKRCFLRKGFSTQELVALSGAHTLGSKGFGNPNIFDNSYFKILIEKPWASSDGMSSMIGLPSDRALVEDDECSR >DRNTG_15978.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5126848:5131122:1 gene:DRNTG_15978 transcript:DRNTG_15978.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative L-ascorbate peroxidase 6 [Source:Projected from Arabidopsis thaliana (AT4G32320) UniProtKB/Swiss-Prot;Acc:Q8GY91] MAVPMRQLVIVSDFRLAQQLIDSRHDNRARNVHPHISPPSITTNGVGFSYSCQRRELLFMLAVPFIQSPQEWAQASEASDLSVIQSGVRKVLSKSKAAAILRLAFHDAGTFNLSDNSGGMNGSIVYELDRPENAGLSKAIKVPLPPFFCNSSLNRITIHKFVCKIVFLHGHLVFS >DRNTG_15978.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5126848:5131122:1 gene:DRNTG_15978 transcript:DRNTG_15978.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative L-ascorbate peroxidase 6 [Source:Projected from Arabidopsis thaliana (AT4G32320) UniProtKB/Swiss-Prot;Acc:Q8GY91] MLKHFICPFIDMVRSEASDLSVIQSGVRKVLSKSKAAAILRLAFHDAGTFNLSDNSGFICLVNVEYINGIEIFTFLFVSQFFFLFNKANTSFSLINSPPINCCRGDEWFNCI >DRNTG_15978.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5126848:5131122:1 gene:DRNTG_15978 transcript:DRNTG_15978.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative L-ascorbate peroxidase 6 [Source:Projected from Arabidopsis thaliana (AT4G32320) UniProtKB/Swiss-Prot;Acc:Q8GY91] MLKHFICPFIDMVRSEASDLSVIQSGVRKVLSKSKAAAILRLAFHDAGTFNLSDNSGGMNGSIVYELDRPENAGLSKAIKVPLPPFFCNSSLNRITIHKFVCKIVFLHGHLVFS >DRNTG_15978.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5126848:5131873:1 gene:DRNTG_15978 transcript:DRNTG_15978.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative L-ascorbate peroxidase 6 [Source:Projected from Arabidopsis thaliana (AT4G32320) UniProtKB/Swiss-Prot;Acc:Q8GY91] MAVPMRQLVIVSDFRLAQQLIDSRHDNRARNVHPHISPPSITTNGVGFSYSCQRRELLFMLAVPFIQSPQEWAQASEASDLSVIQSGVRKVLSKSKAAAILRLAFHDAGTFNLSDNSGGMNGSIVYELDRPENAGLSKAIKVPLPPFFCNSSLNRITIHKFVCKIVFLHGHLVFS >DRNTG_15978.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5126848:5131122:1 gene:DRNTG_15978 transcript:DRNTG_15978.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative L-ascorbate peroxidase 6 [Source:Projected from Arabidopsis thaliana (AT4G32320) UniProtKB/Swiss-Prot;Acc:Q8GY91] MAVPMRQLVIVSDFRLAQQLIDSRHDNRARNVHPHISPPSITTNGVGFSYSCQRRELLFMLAVPFIQSPQEWAQASEASDLSVIQSGVRKVLSKSKAAAILRLAFHDAGTFNLSDNSGGMNGSIVYELDRPENAGLSKAIKILDKAKREIDDIQHVSWADLISVAGAEAVSLCGGPAIPIKLGRLDTR >DRNTG_15978.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5126848:5131122:1 gene:DRNTG_15978 transcript:DRNTG_15978.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative L-ascorbate peroxidase 6 [Source:Projected from Arabidopsis thaliana (AT4G32320) UniProtKB/Swiss-Prot;Acc:Q8GY91] MAVPMRQLVIVSDFRLAQQLIDSRHDNRARNVHPHISPPSITTNGVGFSYSCQRRELLFMLAVPFIQSPQEWAQASEASDLSVIQSGVRKVLSKSKAAAILRLAFHDAGTFNLSDNSGGMNGSIVYELDRPENAGLSKAIKVPLPPFFCNSSLNRITIHKFVCKIVFLHGHLVFS >DRNTG_15978.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5126848:5131122:1 gene:DRNTG_15978 transcript:DRNTG_15978.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative L-ascorbate peroxidase 6 [Source:Projected from Arabidopsis thaliana (AT4G32320) UniProtKB/Swiss-Prot;Acc:Q8GY91] MAVPMRQLVIVSDFRLAQQLIDSRHDNRARNVHPHISPPSITTNGVGFSYSCQRRELLFMLAVPFIQSPQEWAQASEASDLSVIQSGVRKVLSKSKAAAILRLAFHDAGTFNLSDNSGGMNGSIVYELDRPENAGLSKAIKVPLPPFFCNSSLNRITIHKFVCKIVFLHGHLVFS >DRNTG_15978.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5126848:5131122:1 gene:DRNTG_15978 transcript:DRNTG_15978.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative L-ascorbate peroxidase 6 [Source:Projected from Arabidopsis thaliana (AT4G32320) UniProtKB/Swiss-Prot;Acc:Q8GY91] MAVPMRQLVIVSDFRLAQQLIDSRHDNRARNVHPHISPPSITTNGVGFSYSCQRRELLFMLAVPFIQSPQEWAQASEASDLSVIQSGVRKVLSKSKAAAILRLAFHDAGTFNLSDNSGGMNGSIVYELDRPENAGLSKAIKVPLPPFFCNSSLNRITIHKFVCKIVFLHGHLVFS >DRNTG_28110.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:22085548:22087454:1 gene:DRNTG_28110 transcript:DRNTG_28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGELWESLNKSIVVYTGLSPTTFFTALAIAGAVYFAVSSLFSRPEPARTREVKIDEPEPLPPPVQLGEITEEELRVYDGSDPKKPLLMAIKGQIYDVTQSRMFYGPGGPYALFAGKDASRALAKMSFEEQDLTGDISGLGPFELEALQDWEYKFMSKYVKVGTVKKTVTVPVDEPAEITSLATENNPDHAAPVHKAEAEHCSESGPVEHVVESKDRSAEVEENAVPVHEVSSEDILIESVGMEEGRDAEVKEHVVSGHVAEAEEDGNDAETKKHVPVEHNVIPKEEPPAKHDAAEYVTVEDIKE >DRNTG_18740.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1204038:1204727:1 gene:DRNTG_18740 transcript:DRNTG_18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDIPEPQPQVEVSPEAAPPPPTPPRVAYPSLALQIWPPTQRTRDAVRSRLVENLSSPSVLTKRYGAFPIDEASTVARRIEEEAFGAASASAAADAGSVDEGIEVIQIYSKEISKRMLEAVKARAPAPAESSPGSVEVNSPPTTSAADDAAGEEASAAESDSPAS >DRNTG_24778.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19928902:19933552:-1 gene:DRNTG_24778 transcript:DRNTG_24778.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVTVGRTLTAWKKDDLFLFRFIFILVSLYPCHGNFSQANNKQPNFRNASSFSTMPNLPYDYIVVGGGTAGCPLAATLSEKFRVLVLERGGSPYGNANISRLENYDINLAHSTPTSPVQRFLSTDGVFNHRARVLGGNTCINGGFYSRAQPSFVRNAGWDEVLVNESYKWVEDKIVFLNPAAPWQSAVKDGFLEVGVTPFNGYTYQHINGTKFGGSIFDNNGFRHTAADLLAAGNPRNLDVLIYANAEKIIFDTKGTNSKAIGVIFIDENDNRHEAFIKADERSEVILTSGAIGTPQLLLLSGVGPEEDLRKMNITVILNNEHVGKEMADVPSNNIQIPTPEPQKKTLAQVVGITTEGFYVETISGISQNNDSIVTVKNGNMSELKEVFRGGSIHKKIIGPLSKGNLSLINTNVTSNPAVTFNYYAEPEDLRRCVTGVSLLLKIAKTRPVIELMGNLSYTDEMLLNMSVNLFPNLIPKSGNETKSLPEFCKRTVTTLWHYHGGCIVGKVVDKDYKIIGVGNLRVGDSSLFVESPGTNPQATVMMLGRMMGVKMITERGRLARDHMLYGHEGSASSK >DRNTG_24778.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:19928902:19933552:-1 gene:DRNTG_24778 transcript:DRNTG_24778.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACVTVGRTLTAWKKDDLFLFRFIFILVSLYPCNFSQANNKQPNFRNASSFSTMPNLPYDYIVVGGGTAGCPLAATLSEKFRVLVLERGGSPYGNANISRLENYDINLAHSTPTSPVQRFLSTDGVFNHRARVLGGNTCINGGFYSRAQPSFVRNAGWDEVLVNESYKWVEDKIVFLNPAAPWQSAVKDGFLEVGVTPFNGYTYQHINGTKFGGSIFDNNGFRHTAADLLAAGNPRNLDVLIYANAEKIIFDTKGTNSKAIGVIFIDENDNRHEAFIKADERSEVILTSGAIGTPQLLLLSGVGPEEDLRKMNITVILNNEHVGKEMADVPSNNIQIPTPEPQKKTLAQVVGITTEGFYVETISGISQNNDSIVTVKNGNMSELKEVFRGGSIHKKIIGPLSKGNLSLINTNVTSNPAVTFNYYAEPEDLRRCVTGVSLLLKIAKTRPVIELMGNLSYTDEMLLNMSVNLFPNLIPKSGNETKSLPEFCKRTVTTLWHYHGGCIVGKVVDKDYKIIGVGNLRVGDSSLFVESPGTNPQATVMMLGRMMGVKMITERGRLARDHMLYGHEGSASSK >DRNTG_05849.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31564293:31566024:1 gene:DRNTG_05849 transcript:DRNTG_05849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIVIYPFTGIGHLAPMTEFAKLIVAQGFSVAIPIPPPINPSLSTSATDNCISSVSSTHPSISFHRLPPFTSDYSSKKRAVLRKSFIRAANPHLRDLVRDISQTSNIRAILVDFLSLEALDVATELQLPAYVFFTSGALSLSCFLYLPTLHNEMTSSFNELGETPIHIPGLPPIPASHMPDHMRDRDEGLQAFVDAFSRIPDAKGIIVNSFEILESRTLKTVREGHCLPNRDTPPVYCVGPLTTGTGSEAGERHECLTWLDKQPRGSVVFLCFGSRGRFPAEQVKEIALGLERSDQKFLWVVRSPPDPDNWFASSNEVDLDTLLPVGFLERTEERGMVVKAWAPQEEVLNHEATGGFVTHCGWNSVLEGVRAGLGMIAWPLYAEQKMNKVLLVEEMKLAVEMKGYDKEMVAAEEVETRVRWFMGSDGGKKLRDRAKEMKDSAVAALSHGGSSHAAMVELLSNISN >DRNTG_03306.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29011520:29012552:-1 gene:DRNTG_03306 transcript:DRNTG_03306.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIFLSFIFSFFFFSSVHGYDAGWTSAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGACYELKCVSDSNWCLPGSIVITATNFCPPNPSLSNTDGGWCNPPLHHFDLSQPVFQHIAQYKAGIVPVSYRRVACRKKGGIRFTINGHSYFNLVLITNVGVAGDVHAVMIKGSKTKWEPMSRNWGQNWQSNTYLNGQALSFKVTTSDGHSVTSMNVAPSGWSFGQTFSGTQFH >DRNTG_08590.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:9549712:9550913:1 gene:DRNTG_08590 transcript:DRNTG_08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIVKPRSPCPPSSPRFLNSKHPPNYVTGLGRGGTGFTTRSDIGPARVTLDLTDRSAAGRGHGKTPGADGDDEESEADDKGYDENQKFDEQNWSLRLRGIRRG >DRNTG_34390.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4835948:4837282:-1 gene:DRNTG_34390 transcript:DRNTG_34390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVSGGGAKDGSSALEELIRKEEKAKEKSNRKDYWLYEGIIVKIIMEMRRIGMVKISPSGPGSRV >DRNTG_34390.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:4835948:4837282:-1 gene:DRNTG_34390 transcript:DRNTG_34390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVSGGGAKDGSSALEELIRKEEKAKEKSNRKDYWLYEGIIVKIIMEMRRIGMVKISPSGPGSRV >DRNTG_22057.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:28556892:28560589:-1 gene:DRNTG_22057 transcript:DRNTG_22057.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRDYRNGRAALFDGIEEGGVRASSYSSHEIDEHENERAIDGLQDRVNVLKRLTGDIHEEVDSHNRMLDRMGNDMDSSRGILSGTMDRFKMVFETKSSRRMATLVASFVAVFLLVYYLTK >DRNTG_12864.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:18415530:18420093:-1 gene:DRNTG_12864 transcript:DRNTG_12864.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASNSLSLKEYLKRYDSGANDAKTTKKKKKKKVSKPNSTTAGVLIVDEDPVWQKTVQVEESEPESPGEEEPQIEEDIEVKRMKRLEAIRARKPYHGISEDGSGWISVSEPPQESDSRENGPDLSPPRQRRRRVDTPSPERDVEPVCDPSPPRRMARQCSPSRGSPSGDLSPPRQVQHRSEDPDSDLSPPRRRRSQDADLSPPRRSRKGPDSDLSPPPRQVHRRPEDPERDLSPPRRRRSQDADLSPPRRSRKGPDSDLSPPRRRLNSQNDDLSPRSRNQKDQAAAKEGKAARAGLFSANEIKQELDRKKKEELSRFAAMDPSVSGRGAEPVFRDKEGKRISKEEKLKSKEPEEKPKEKKLEWGKGLAQQRGIEALARELELEKNKPFARTRDDPELDAMLKERVRWGDPMAHLVKRKHSELVLEDLGENEKMKESGFIVPQTIPQHSWLKRGLDFPPNRYGIRPGRHWDGVDRSNGSEKEFFKRQNEKRATESEAYLWSVSDM >DRNTG_15993.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5192791:5193739:-1 gene:DRNTG_15993 transcript:DRNTG_15993.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKNSRVERNTSTTKGSMERVGDWYCISCGSRVHNFAWRSACINCGSLRDSSLMLDAPMRPAWRSGDWICNKYAWLQ >DRNTG_15993.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5192791:5193739:-1 gene:DRNTG_15993 transcript:DRNTG_15993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKNSRVERNTSTTKGSMERVGDWYCISCGSRVHNFAWRSACINCGSLRDSSLMLDAPMRPAWRSGDWICNKCCT >DRNTG_15993.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5192830:5193739:-1 gene:DRNTG_15993 transcript:DRNTG_15993.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKNSRVERNTSTTKGSMERVGDWYCISCGSRVHNFAWRSACINCGSLRDSSLMLDAPMRPAWRSGDWICNKPGCNEHNYARRTECIRCNTPRE >DRNTG_34005.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28846364:28847565:-1 gene:DRNTG_34005 transcript:DRNTG_34005.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLLNPLTSRSNKNEPLTTLKTNKESKENPTWFGATLTLT >DRNTG_10473.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:16711425:16713211:-1 gene:DRNTG_10473 transcript:DRNTG_10473.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDLYWQFPANPEQMDNLALLYKEWRAAITCLLPTKVLLLTSSVYFSPDFFLSIVPRSYPVAVMAETLDWINANCFDYGWWNTSETRLHTALYDPEKNMSTSFGLEAWIREGMPAKKVVLGMPFYARTWKLKDSEEHGVGAPAVNTGPEKGGRGEMGYNTIVRFNEENNATVVHDEERVAVYSYAGKSWLGYDDEWSVRKKVEYGMKMGLGGYFFWALGFDYNWTLSQTAWSAWEY >DRNTG_06905.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:27882436:27884318:1 gene:DRNTG_06905 transcript:DRNTG_06905.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRKERPRPWPGPPPPPKQQEKRIPVVYYLSKNHHLEHPHYIEVPISSSEGLYLKDVIHRLVLLRGKSMPSMYSWSCKRSYKNGFVWHDLSEDDLIFPTNGKDYVLKGSEILDRTPSEHNGDKLKLDIPKQYQQDSHAFTRTQEPLSSSSSSPSTVNASTQTDDNGERRKPNGRRRSEEMLRLPLASSPSSCVMTDTTLESLIKADARKVSNFRILEEEQVFVPVKAKSKAANLLVQLISCGAISAKGHQSFPKPKFSPKNFHSPMSTGSTGMIREFDSPLDNSWSFRGLRMNEKDYFSGSLLESTINKEGIGEGIPVILRSSSCKENRCCNSPQPKEEEYKHFDSPGSKCFPRTRRVTTLKSCRNEAFISPSSGCGQSRVLGSSHYGNNRITDSSSVRGSVIRLGSFREDESDQE >DRNTG_34553.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1375956:1381989:1 gene:DRNTG_34553 transcript:DRNTG_34553.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPSRSRQAWVPRFSNPNPDSRHGHGSQSQSSSDPSSIPQLVQEIQDKLSRGAVECMICYDNVRRSAPIWSCSSCYSLFHLHCIRKWARSPTSGDHSSASGSASAAASWRCPGCQSIQAIKPEDLSYLCFCGSRRDPPNDLYLTAHSCGEPCRKPLDRGDPGENEYLDPCPHVCVLPCHPGPCPPCKAFAPRRPCPCGKKTVVRRCSDRTSPLTCGQVCGRLLSCGRHRCEKICHTGACSPCRVLITASCFCSKKSEVVLCGDMAMKGEVMDSDGFFSCDSMCGKMLACENHDCRESCHPGPCGDCELLPEKINTCHCGKTPLSKERESCLDPIPTCSQVCSKLLPCGVHRCGETCHAGDCPPCLAKVEQKCRCGSSTRTVECFRMLEETNKFVCEKPCGKKKGCGRHRCSEKCCPLSKPSGQLAAYDWDPHLCSISCGKRLQCGQHSCQLLCHSGHCPPCLETIFTDLTCACGKTSIPPPLPCGTPSPSCPSSLFGASALWALSSSHMPFRRLPSVLCACSEGMHWWAFASEEYTLWFQRYPMQSALWED >DRNTG_17114.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000877.1:46385:48327:1 gene:DRNTG_17114 transcript:DRNTG_17114.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSWELRLSHGHGQGAEALGRVSLSTRRVVRPSMKERSQCGLQCTDFGGNLAKNKSFTNVPIIEDASDPRRERVPVYVTPMKVWIREAIQVEYCSRALIPWEEGW >DRNTG_13440.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:9099435:9099962:1 gene:DRNTG_13440 transcript:DRNTG_13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSFHSCGHWFLDSLFDSKWRSFLSGEGNCRSASPPQDETTGASATAVGGLTVRPNGRRRSQH >DRNTG_27090.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001381.1:1:14510:-1 gene:DRNTG_27090 transcript:DRNTG_27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKVKQSKIGEGQEGESRGSRMSNRVKGYETNLGVLDNVRC >DRNTG_26158.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29301268:29302813:1 gene:DRNTG_26158 transcript:DRNTG_26158.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLWPNPQRCLPERQLQTTPLGCRQGLQPPFQLSPITPFHLNPTTFPKNNKVIFVMGASGTGKSKLAIDLAMHFSGEVVNSDKMQVYEGLDILTNKVTDEERATVPHHLIGGVDPDTDFTATDFRCAAIKSIDEILSRDHVPIVAGGSNSFIEELVDGENKEFRAKYECYFLWVDVDSDMLHEFVRKRVDKMVDMGMVDEVRAVFDPESDCTRGIRRSIGVPEMQDYFCAEASGADSDTLALLLGKAIDDMKAHTCRLTCGQLLKINRLRLECGWDLNRVDASKVFDGSSSWEEIVLKPSFALVQRFMDDELPEKSRAGADVDGVVDFAAMGASA >DRNTG_26158.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29301366:29302772:1 gene:DRNTG_26158 transcript:DRNTG_26158.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLWPNPQRCLPERQLQTTPLGCRQGLQPPFQLSPITPFHLNPTTFPKNNKVIFVMGASGTGKSKLAIDLAMHFSGEVVNSDKMQVYEGLDILTNKVTDEERATVPHHLIGGVDPDTDFTATDFRCAAIKSIDEILSRDHVPIVAGGSNSFIEELVDGENKEFRAKYECYFLWVDVDSDMLHEFVRKRVDKMVDMGMVDEVRAVFDPESDCTRGIRRSIGVPEMQDYFCAEASGADSDTLALLLGKAIDDMKAHTCRLTCGQLLKINRLRLECGWDLNRVDASKVFDGSSSWEEIVLKPSFALVQRFMDDELPEKSRAGADVDGVVDFAAMGASA >DRNTG_26158.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29301366:29302813:1 gene:DRNTG_26158 transcript:DRNTG_26158.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLWPNPQRCLPERQLQTTPLGCRQGLQPPFQLSPITPFHLNPTTFPKNNKVIFVMGASGTGKSKLAIDLAMHFSGEVVNSDKMQVYEGLDILTNKVTDEERATVPHHLIGGVDPDTDFTATDFRCAAIKSIDEILSRDHVPIVAGGSNSFIEELVDGENKEFRAKYECYFLWVDVDSDMLHEFVRKRVDKMVDMGMVDEVRAVFDPESDCTRGIRRSIGVPEMQDYFCAEASGADSDTLALLLGKAIDDMKAHTCRLTCGQLLKINRLRLECGWDLNRVDASKVFDGSSSWEEIVLKPSFALVQRFMDDELPEKSRAGADVDGVVDFAAMGASA >DRNTG_26158.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29301268:29302772:1 gene:DRNTG_26158 transcript:DRNTG_26158.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLWPNPQRCLPERQLQTTPLGCRQGLQPPFQLSPITPFHLNPTTFPKNNKVIFVMGASGTGKSKLAIDLAMHFSGEVVNSDKMQVYEGLDILTNKVTDEERATVPHHLIGGVDPDTDFTATDFRCAAIKSIDEILSRDHVPIVAGGSNSFIEELVDGENKEFRAKYECYFLWVDVDSDMLHEFVRKRVDKMVDMGMVDEVRAVFDPESDCTRGIRRSIGVPEMQDYFCAEASGADSDTLALLLGKAIDDMKAHTCRLTCGQLLKINRLRLECGWDLNRVDASKVFDGSSSWEEIVLKPSFALVQRFMDDELPEKSRAGADVDGVVDFAAMGASA >DRNTG_08327.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19826865:19829563:-1 gene:DRNTG_08327 transcript:DRNTG_08327.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHLQSAPLLPRRKPGRSQSHSQSTSSPSSSPRLPTSSSPTPQPAAPSTPSSSFLSPPPPPSPTSSSPVSSAGTASAAS >DRNTG_09190.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7587139:7591072:-1 gene:DRNTG_09190 transcript:DRNTG_09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGNNGTNFGEYTYANLEREPYWPTEKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTQGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINSVKRFFYASSACIYPEFKQLETNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKAITSADVFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFENKQLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRFTYFWIKEQIEKEKAQGIDLSIYGSSKVVGTQAPVQLGSLRAADGKE >DRNTG_10608.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:66343:67054:1 gene:DRNTG_10608 transcript:DRNTG_10608.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIHCASPPPAPFSLPPAKGGGIGSQGNRLFVFGVGFVGRYVSNRLLKQGWRVSGTCTCPVRKRELEMMGMHDSFLFDANKNELESLHTLKDATHVLISIPPLSGCLGDPLLTLHHKDLQSTLNHGNVQSLCYLSSTS >DRNTG_10608.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:66343:68560:1 gene:DRNTG_10608 transcript:DRNTG_10608.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIHCASPPPAPFSLPPAKGGGIGSQGNRLFVFGVGFVGRYVSNRLLKQGWRVSGTCTCPVRKRELEMMGMHDSFLFDANKNELESLHTLKDATHVLISIPPLSGCLGDPLLTLHHKDLQSTLNHGNVQSLCYLSSTSVYGDCGGAWVDEDSAVNPATETAKARYAAEKGWQQLGCELDVPVNIFRLGGIYGCGRSAINTILQQKSLSIEQKMREMKLYTARVHVADIYQALCASFNLSSSSGRVYNVVDDNPAPREVVFDFARHLIQKRWPEKAIAFRAQDKAEDAEFSQKSRKIEGEKRVSNARIKKELGVRLLYPSYKSGLENILNSMYDNHSQLQ >DRNTG_10608.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:66343:66732:1 gene:DRNTG_10608 transcript:DRNTG_10608.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIHCASPPPAPFSLPPAKGGGIGSQGNRLFVFGVGFVGRYVSNRLLKQGWRVSGTCTCPVRKRELEMMGMHDSFLFDANKNE >DRNTG_32765.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20130175:20133801:-1 gene:DRNTG_32765 transcript:DRNTG_32765.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASDPSSPKMAEDVAGDGKEVDPAPEKKKRRPRGFLSRVWSGVFGGRSEDYEKRLQYLSKEEALVMSRMQRRARTSKKRARNLILMTILIELVFIGYAFISVRGVDQSWQMKAVQVLPVFVVPALGFAAYTGFVSFVRMRDQKDQKTLERLRAERKAKIDELKERTNYYSTQQLIQRYDLDPAAKAAAATVLASKLGADSGLNFLVGDEKNPTAPMGKSNDAELLQSTGLRNRKPSNIKTHSPRSTGNTQLADETTNVHNTEGKEGTVYQQKIVEHYTGSPKDSGLFSGLLNFLVGDDPTHSFALICGNCHMHNGLARKEDFPYMTYYCPHCHALNVSQLQPEGRESGSSSGRTSPLGDGVSAANAGDTITDTTIQEPPSGANEDK >DRNTG_31071.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30735060:30737909:1 gene:DRNTG_31071 transcript:DRNTG_31071.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSLKVWREKNVIKPAPGKRRCNCRNEVYHRQVAPGMFQQMTEQVCDQCQNVKYEREGYFITVDIEKGMLDGQEVVFYEDGEPIIDGEAGDLKFRIQTAPHDRFRREGNDLHTTVTISLIQALVGFEKTIQHLDEHVVEIGSKGITKPKEVRKFRGEGMPLHLSNKKGDVYVTYEVLFPKSLTGDQKTKLNTVLS >DRNTG_31071.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30737137:30737909:1 gene:DRNTG_31071 transcript:DRNTG_31071.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVFVLLLLFCQIQALVGFEKTIQHLDEHVVEIGSKGITKPKEVRKFRGEGMPLHLSNKKGDVYVTYEVLFPKSLTGDQKTKLNTVLS >DRNTG_31071.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30734178:30737909:1 gene:DRNTG_31071 transcript:DRNTG_31071.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRTTLLLLFLIFLFSLSVYSLGSKSYYDVLQVPKGASEDQIKRSYRKLALKYHPDKNPGNDEANRKFAEINNAYEVLTDKEKRSIYDRSGEEGLKQHAAGGGGRAGGMNIQDIFNNFFGGGGRAEEEEDKIPQGDDLIVELHASLEDLYMGGSLKVWREKNVIKPAPGKRRCNCRNEVYHRQVAPGMFQQMTEQVCDQCQNVKYEREGYFITVDIEKGMLDGQEVVFYEDGEPIIDGEAGDLKFRIQTAPHDRFRREGNDLHTTVTISLIQALVGFEKTIQHLDEHVVEIGSKGITKPKEVRKFRGEGMPLHLSNKKGDVYVTYEVLFPKSLTGDQKTKLNTVLS >DRNTG_13889.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2468450:2468766:1 gene:DRNTG_13889 transcript:DRNTG_13889.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT5G14220) TAIR;Acc:AT5G14220] IYLDIYALTNVIFAYYNYSGNHKDGLSVGKAIASGCKAAELVISHLNSSSNKI >DRNTG_13889.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2468450:2468837:1 gene:DRNTG_13889 transcript:DRNTG_13889.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT5G14220) TAIR;Acc:AT5G14220] IYLDIYALTNVIFAYYNYSGNHKDGLSVGKAIASGCKAAELVISHLNSSSNKI >DRNTG_13889.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2463156:2468837:1 gene:DRNTG_13889 transcript:DRNTG_13889.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT5G14220) TAIR;Acc:AT5G14220] MTESEMDVGRLIDELGLREKQQFPISQTKRYIAKDGLPVLIPSNPVALIKSNILSPQSKLKLFLEPFLWKRSSSKVSEEFSHESVGHFFQRHFGAEVVDYFVDPFVAGSSGGDPESLSMRHAFPELWSLEKRFGSVIVGAIRSKFSAKDKNSENRKPSGKTKSQRGSFSFHGGMQALTDMLCTKIGGDNLKLNSKVLSLACNFEEGSPFSSWSISYAAKHDDHTTLVDNQPYDAVIMTAPLCNVKEMKFTKIGNPFVLDFIPKVSYLPLSVIVTSFRKDCVKRPLEGFGVLVPSKEHRNGLKTLGTLFSSMMFPDRATSDHFLYTTFVGGSRNRDLAGASSEELKQVVTSDLQQLLGVEGQPTFYEVMHKTKATLVSDFYMKHIYWRNAFPLYGHDYDLVLESIGKLEKDLPGFFYAGNHKDGLSVGKAIASGCKAAELVISHLNSSSNKI >DRNTG_13889.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2462220:2468837:1 gene:DRNTG_13889 transcript:DRNTG_13889.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT5G14220) TAIR;Acc:AT5G14220] MNSSSLKSLNFIQALQPAMVSPTIPDTKPFSSVKSVAVVGGGVSGLAAAYKLKLNGLRVTLFEAEDRAGGKIRSNSYNGLIWDEGANTMTESEMDVGRLIDELGLREKQQFPISQTKRYIAKDGLPVLIPSNPVALIKSNILSPQSKLKLFLEPFLWKRSSSKVSEEFSHESVGHFFQRHFGAEVVDYFVDPFVAGSSGGDPESLSMRHAFPELWSLEKRFGSVIVGAIRSKFSAKDKNSENRKPSGKTKSQRGSFSFHGGMQALTDMLCTKIGGDNLKLNSKVLSLACNFEEGSPFSSWSISYAAKHDDHTTLVDNQPYDAVIMTAPLCNVKEMKFTKIGNPFVLDFIPKVSYLPLSVIVTSFRKDCVKRPLEGFGVLVPSKEHRNGLKTLGTLFSSMMFPDRATSDHFLYTTFVGGSRNRDLAGASSEELKQVVTSDLQQLLGVEGQPTFYEVMHKTKATLVSDFYMKSESHPVFLTIIISFKIYLLSFALNYRHIYWRNAFPLYGHDYDLVLESIGKLEKDLPGFFYAGNHKDGLSVGKAIASGCKAAELVISHLNSSSNKI >DRNTG_13889.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2462220:2468837:1 gene:DRNTG_13889 transcript:DRNTG_13889.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT5G14220) TAIR;Acc:AT5G14220] MNSSSLKSLNFIQALQPAMVSPTIPDTKPFSSVKSVAVVGGGVSGLAAAYKLKLNGLRVTLFEAEDRAGGKIRSNSYNGLIWDEGANTMTESEMDVGRLIDELGLREKQQFPISQTKRYIAKDGLPVLIPSNPVALIKSNILSPQSKLKLFLEPFLWKRSSSKVSEEFSHESVGHFFQRHFGAEVVDYFVDPFVAGSSGGDPESLSMRHAFPELWSLEKRFGSVIVGAIRSKFSAKDKNSENRKPSGKTKSQRGSFSFHGGMQALTDMLCTKIGGDNLKLNSKVLSLACNFEEGSPFSSWSISYAAKHDDHTTLVDNQPYDAVIMTAPLCNVKEMKFTKIGNPFVLDFIPKVSYLPLSVIVTSFRKDCVKRPLEGFGVLVPSKEHRNGLKTLGTLFSSMMFPDRATSDHFLYTTFVGGSRNRDLAGASSEELKQVVTSDLQQLLGVEGQPTFYEVMHKTKATLVSDFYMKHIYWRNAFPLYGHDYDLVLESIGKLEKDLPGFFYAGNHKDGLSVGKAIASGCKAAELVISHLNSSSNKI >DRNTG_13889.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2462220:2468837:1 gene:DRNTG_13889 transcript:DRNTG_13889.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT5G14220) TAIR;Acc:AT5G14220] MNSSSLKSLNFIQALQPAMVSPTIPDTKPFSSVKSVAVVGGGVSGLAAAYKLKLNGLRVTLFEAEDRAGGKIRSNSYNGLIWDEGANTMTESEMDVGRLIDELGLREKQQFPISQTKRYIAKDGLPVLIPSNPVALIKSNILSPQSKLKLFLEPFLWKRSSSKVSEEFSHESVGHFFQRHFGAEVVDYFVDPFVAGSSGGDPESLSMRHAFPELWSLEKRFGSVIVGAIRSKFSAKDKNSENRKPSGKTKSQRGSFSFHGGMQALTDMLCTKIGGDNLKLNSKVLSLACNFEEGSPFSSWSISYAAKHDDHTTLVDNQPYDAVIMTAPLCNVKEMKFTKIGNPFVLDFIPKVSYLPLSVIVTSFRKDCVKRPLEGFGVLVPSKEHRNGLKTLGTLFSSMMFPDRATSDHFLYTTFVGGSRNRDLAGASSEELKQVVTSDLQQLLGVEGQPTFYEAHLLEKRLSVVWS >DRNTG_07020.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3347645:3354549:-1 gene:DRNTG_07020 transcript:DRNTG_07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEERKKGEGMVPLALLLKRELSNERVERPDVLHGQASQARKGEDFTLVKIDCQRVPGDGFSTFSVFALFDGHNGSQAAIYSKENLLSNILRAIPSNLSREEWLLALPRALVAGFVKTDKDFIDKASVGDSRCILESAEGSVYYLSADHRLESNEEEVERVIASGGQVGRLNSGGGVEIGPLRCWPGGLCLSRSIGDLDVGEFIVPIPHVKQVKLSNAGGRLIISSDGVWDALTAEMAIKCSRGLPADAAASQIVKEAVHLMGLRDDTTCIVVDVLPPEKLTPPVPPSKRQGMGIFKFMFRRKSCETSTHSDRELSEADLVEEIFEDGSAKLAQRLSTNHQSHNTFKLFACAICQVGMKPGEGISVNSTSSKHRRLRPWDGPLLCKSCQIKKEAMEGKRHS >DRNTG_22914.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8888784:8894161:1 gene:DRNTG_22914 transcript:DRNTG_22914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKVVCVTGASGYIASWLVKLLLDRGYTVKATVRDLGDPKKTEHLRALDGASERLQLFKANLLEEGSFDAAVDGCECVFHTASPFYYAPVDPQAEFIDPAVKGTLNVLGSCVKISSIKRVVVTSSVAAVLFNGRPRTPDVIVDETWFSDAEFCECGKMWYMLSKTLAEESAWKFSKDNGIEIVTINPSMVIGPLLQPTLNTSSSVILNLINGASTYPNSSFGWVNVKDVALAHILAFESPSANGRYCLVESVAHLSELLKIIHELYPTLQLPQKCADEQPFMPTWQVSKEKAKSLSIDYIPLELSLKETIESLKEKGFVTF >DRNTG_32380.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28076609:28088275:-1 gene:DRNTG_32380 transcript:DRNTG_32380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCDEIIVLENGKVVEQGPHEILLSRAGRYAELWNQQNNNDAINTVSVV >DRNTG_20914.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:25448759:25449720:-1 gene:DRNTG_20914 transcript:DRNTG_20914.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFIYVLSGWEGSAHDGRVLRDAITKPNGLKVPNGFYYLVDSGYANCPGFLAPFRGQRYHLSSWSDGHQPVTPEEFFNMKHASARNVIERTFGLLKIRWKILASPSFYSIATQRRIINVCCLLHNFIRKEMIEDPAEDEVGTLSLEENIEDEIGNITTVEPTNEWTELRNQMAVDMFNTWRSSQVIH >DRNTG_35120.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2993466:2996548:1 gene:DRNTG_35120 transcript:DRNTG_35120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNPPFCCFCSFIISMMQMIVEDHHQRMAKEFLLAIILASLGIVMITVSLFCAYVLCQRNRNSSDSKTNQAADAARGIPLGPTLSKFNSLKMVGRKGSISMVEYALLEASTNNFSESNVLGEGGFGCVYKARFEEGLLAAVKKLECGGQDCEREFENELDLLGRIRHPNIVSLAGFCVHGETRFLIYELMHNGSLETQLHGPTHGSALTWHIRMKIALDTARGLEYLHEHCNPPVIHRDLKSSNILLDSDFNAKIADFGLAVTSGNQNKECVKVSGTLGYVAPEYLLDGKLTEKSDVYAFGVVLLELLLGRKPVESMAESQCQSLVSWAMPQLTDRSKAPEHSGSCN >DRNTG_35120.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:2992771:2996548:1 gene:DRNTG_35120 transcript:DRNTG_35120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMKPLHWCFIGVLCLFASPNHGRMYSLLSPLPAPLVSPQIQPVSMPHSSMASPPLVMQMIVEDHHQRMAKEFLLAIILASLGIVMITVSLFCAYVLCQRNRNSSDSKTNQAADAARGIPLGPTLSKFNSLKMVGRKGSISMVEYALLEASTNNFSESNVLGEGGFGCVYKARFEEGLLAAVKKLECGGQDCEREFENELDLLGRIRHPNIVSLAGFCVHGETRFLIYELMHNGSLETQLHGPTHGSALTWHIRMKIALDTARGLEYLHEHCNPPVIHRDLKSSNILLDSDFNAKIADFGLAVTSGNQNKECVKVSGTLGYVAPEYLLDGKLTEKSDVYAFGVVLLELLLGRKPVESMAESQCQSLVSWAMPQLTDRSKAPEHSGSCN >DRNTG_18643.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:6657705:6658946:-1 gene:DRNTG_18643 transcript:DRNTG_18643.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQLLCWLLLVQIFQLTWCSNEKILENNDHQMDQLQEMKNNEHVNKMKVESVDERELATKEDANKSKDGGVSTIHVVIQRRPRKNSATSIHIMHNHSVVMYFYFLPFWLVSLFIHKLF >DRNTG_18690.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:18179545:18180421:1 gene:DRNTG_18690 transcript:DRNTG_18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRLRFLLPLQDHSGTVIGAGRRHDGVYVLDTLHLSSSAKSFHHCHATVLSHHMWHHRLSHLCPSRLSSLVRLGVLGAVSPSSDVVCTGYKFGKQLKRPYPMSVSQTTAPFELIHSDVWGPAPFVS >DRNTG_17565.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:16587896:16592513:-1 gene:DRNTG_17565 transcript:DRNTG_17565.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFWGFHVLLIFVMVASAQLPSQDILALLEFKKGITSDPKGYITDSWNEESIDFNGCPSSWNGIVCSGGNVAGVVLDNLGLVGVADLSVFGNLSMLFKLSMANNSLSGSLPNNLAEFKSLKFLDISNNMFSGELLVDIGQLKSLQNLSLAGNNFTGSLPDSIGMLVSILSLDLSYNHLTGSLPLGLKQLRNLVSVNLSFNMLTKKIPEGLEVIPNLETLDLSWNQFDGGVDWNFLMESSVVHVDLSGNLLTTSSPKELKFLSDISDTVKYLNLSNNQLSGLLIDGSRLSTFGNLKALDLSNNQLSGELPEFEYVYDLEVLRLGNNAFSGFLPNGLLKGDALVLRELDLSANNLSGQMSWITSTTLQILNLSSNAISGDLPLLTGSCIILDLSKNQFDGNLSVIVKWGDEIEYIDVSHNQLTGPIPYLTSQLPSGVQSSTGKSNLKVLKLSGNHFNGSFPDDVGSLTAMQNLDISANNFSGSLPSTISKLRSLGVLDISQNLFSGALPSTLPNTLISFNASYNDLSGVLPPNLRKFPESSFHPGNARLELPGVPPSSTPSSTSTPANRSNKPIKTYIKVAVIVACVVGVVIIILLAIILHYRMMSRQSRSNSLTDKNGQMALPDISGGKERVSGSALMVSGDDLIAARKGTSSEMMIPEEKLAAGYSPSKNSRFSWSPDSGEAFASEGLGRLDVRSPDRLAGDLYFLDETITLTPEELSRAPAEVLGRSSHGTSYRATLDNGVLLTVKWLREGVAKQKKEFQREVKKFANIRHPNVVGLRGYYWGPTQHEKLILSDYISPGSLASFLYDRPGRKGPPLTWAQRLKIAVDVARGLNYLHFDRAVPHGNLKATNVLLDGLDLNARVADYCLYRLMTQAGTVEQIHDAGVLGYRAPELAASKKPSPSFKSDIYAFGVVLLELLTGRCAGDVVSGDEGGVDLTDWVRLRVAEGRGSECFDSAMASDIANPTTSKGMKEMLGIALRCIRPVSERPGIKSVYEDLSSI >DRNTG_02937.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:4056552:4060426:1 gene:DRNTG_02937 transcript:DRNTG_02937.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein argonaute 10 [Source:Projected from Arabidopsis thaliana (AT5G43810) UniProtKB/Swiss-Prot;Acc:Q9XGW1] MGLSLNIDMSSAAFIEPLPVIEFVAQLLGKDVLLRPFSDSNHVKIKKALRGVKVEVTHRGNIRRKYRITGLTSQPTRELMFPVDDHSMKSVVEYFQEMYGFTIQHTHLPCLQVGNQKKANYLPMEACKILSGQRYTKRLNEKQITALLRVTCQRPKDRENDILQTVHHNAYDRDPYAKEFGIKISEKLASVEARILPAPWLKYHDTGKEKDCLPHVGQWNMMNKKMINGSTVTRWSCINFSRNVQESVARAFCNELAQMCQISGMDFNSEPAIPIYVARPDQVEKALKHVYHASVTKLKGKELELLLAILPDNNGSLYGDIKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLMDAISWRIPLVSDIPTIIFGADVTHPENGEDSNPSIAAVVASQDWPEITKYAGLVCAQAHRQELIQDLYKTWHDPVRGTMSGGMIRDLLISFRRATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFASNHKDRASMDKSGNILPGTVVDTKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGLQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYAEPETQDSCSSNGCLRTTCGANETAVRPLPALKENVKRVMFYC >DRNTG_35174.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26094795:26101732:1 gene:DRNTG_35174 transcript:DRNTG_35174.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMEIFSSLLFIVFSFIIFYLYYLVWLKPEGIRRKMRQQGINGPIPSSFFSGNIEDMKKFMQEKKKSPRGTVSHDYSICPHLDHWRKNYGQVYVYTMGNAPIMHVSSPEMVKEISQCLSIDFGKTTFLKETLKPLFGDGIIKANGEIWSHQRKIIAPEFFLNKIKGMVDLMVDSTGPLLRLWENRIEEGEVEMELYEDLRNYSADVISRACFGSSYLRGKEMFSKLRLLQNSLSKPNLFAEITGFRLLVPSKSGREVVKLEREVHSLILELVKDGQASGEEKNLLQAILNGAKGSSFTSQDKADAFIVDNCKSIYFAGHETTATAASWCLLLLALYPEWQHLVRSEITQLCGGLSPDAQSLQKMKILTMVIQETIRLYPPGPIISRESLQDMKLGKLDIPKGLGIYIPLPTLHRDPSIWGPDALIFNPKRFANGVTSACTFPQAYAPFGAGARTCLGQHFAMLELKIVLSLILSKFCFSVSQNYCHSPYFKLLLEPEFGMHLTVKKGGFHKGWR >DRNTG_35174.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:26094795:26101732:1 gene:DRNTG_35174 transcript:DRNTG_35174.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMEMMILEIFSSILIGFSFMALYLYYIIWMRPEGIRRKMKQLGISGPPPSSFFSGNMEDIKKFMLEKESPRGTITHDYSVFPHFDHWIKDYGPVFVFTMGNMPIMHVSNSDLVKDIRHYLSIDLGRNTFLKETLKPLLGDGIFKANGETWSHQKRIIAPEFYLNKVKGMLDLMVDSASSLLKLWESRMEDGGVEMEVYEDLRNFSADVISRACFGSDYLKGEEIFSKLRALEEALSKPNLLAEITGFRFIPSKSNKEILKLEREVNSLILEMVEGRKASEEKNLLQAILESAKEGFTALNKKAKKFIVDSCKNIYFAGHETTAVAASWCLLMLALHPEWQDLVHAEVTEVCDVLSLDMQSLQKMKILTMVIQETLRLYPPGLLITRESLKDMKIGELQIPKGLGIYIPVPTLHRDPDIWGPEALIFSPERFAHGVTSACGIPQAYIPFGVGTRTCLGQHFAMLELKIVLSHILARFSVSVSQNYHHSPVSRLMLKPEFGIKLIVKKA >DRNTG_10348.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22897932:22902561:1 gene:DRNTG_10348 transcript:DRNTG_10348.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASKADKKAAMDVASWMFNVVTSVGIIMVNKALMGTYGFSFATTLTALHFATTTLMTTVFRWLGYVQPSHLSMPELLKFVLFANLSIVGMNVSLMWNSVGFYQIAKLCMIPVSCLLEVMLDKVRYSRDTKLSIVVVLIGVAICTVTDVSVNAKGLLAATIAVWSTSLQQYYVHYLQRKYSLGSFNLLSHTAPVQAVTLLVLGPFMDFWLSNKRVDKFDYNGTSLFFIVLSCTIAVGTNLSQFICIGRFTAVTFQVLGHMKTILVLVLGFIFFGKEGLNLHVVLGMILAVLGMIWYGNASSKPGGKERRSYSLPIDKSQKHGSFSESVVSDEKV >DRNTG_10348.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22897932:22902561:1 gene:DRNTG_10348 transcript:DRNTG_10348.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASKADKKAAMDVASWMFNVVTSVGIIMVNKALMGTYGFSFATTLTALHFATTTLMTTVFRWLGYVQPSHLSMPELLKFVLFANLSIVGMNVSLMWNSVGFYQIAKLCMIPVSCLLEVMLDKVRYSRDTKLSIVVVLIGVAICTVTDVSVNAKGLLAATIAVWSTSLQQYYVHYLQRKYSLGSFNLLSHTAPVQAVTLLVLGPFMDFWLSNKRVDKFDYNGTSLFFIVLSCTIAVGTNLSQFICIGRFTAVTFQVLGHMKTILVLVLGFIFFGKEGLNLHVVLGMILAVLGMIWYGNASSKPGGKERRSYSLPIDKSQKHGSFSESVVSDEKV >DRNTG_04404.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12587794:12589147:-1 gene:DRNTG_04404 transcript:DRNTG_04404.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQEDTSETAPTMMGDTTRNLHDVDIVILPVIMNSHFHLVILDNDKQEYMHYTSAKSKNESATLTYPVVHGTNTLRRVTVAATSIADLGRLRLDHLSSRTPKLPSHHRRPQMQFAIFARSLLIVRNGENSFLICQFRKRVCTGYHKLAICDMSKFYWQDLQGSYTGQLLHNDH >DRNTG_29588.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1227069:1227858:-1 gene:DRNTG_29588 transcript:DRNTG_29588.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps14 MARKSLIHREKKRQKLEQKYQLIRRSSKKEISKVLPLSEKWKIHRKLQSSPRNSTPIRLHRRCFLTGRPRANYRDFGLSGHILREMVYACLLPGAIRSSW >DRNTG_27318.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:211950:213491:-1 gene:DRNTG_27318 transcript:DRNTG_27318.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIFMLSAILVFYSLFHFFNLLYHHRRNQTCYLLDYVCFKPSDDRKLSTELCGDIVHRNKNLGLKEHKFLLKVIVNSGIGEDTYGPRNIIAGREEMPTLEDGVEEMDECFFATLDELFGKSGFSAKDIDVLVVNVSMFSPAPCLAARIVNHYKMREDIKTYNLSGMGCSASVISIDLVQNIFKTKKKTMALVLTSESIGPNWYSGNDKSMMLGNCLFRSGGCSILLSNDQALKDRAKMSLKCLVRTHIGANDEAHHCALQKEDDNGYLGFHLSKDLPKAAALAFAANLQRLAPRILPMKQLAIYIARKIHQSSKHANPNPNFKSVVDHFCLHTGGSAVIEGVGRSLGLTKYDLEPARMTLHRFGNTSASSLWYVLGYMEAKKRLMKNDRVLMISFGAGFKCNSCLCEVMRDLDSGNVWEDCIEDYPPETLVNPFMDKYRWINEA >DRNTG_05927.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:5256614:5258430:1 gene:DRNTG_05927 transcript:DRNTG_05927.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQAPAARSAMFQIEPLDESCGEDRASQEDEQRWPRELERSVALRLLVDLGRLREMQRMKKEKIQSILDTQNASIDANMKVISILSFSSQIIEEKEHVFGYNMSIWYSTLSEL >DRNTG_06788.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19790490:19801869:-1 gene:DRNTG_06788 transcript:DRNTG_06788.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSYPFCWRSDTPLLYRAVPSWFVSVEKIKDQLLESNKQTYWVPDYVKEKRFHNWLENARDWAVSRSRFWGTPLPVWVSEDGEEKVVIDSIDELERRSGIKATDLHRHFIDHITIPSSRGPEHGVLRRVDDVFDCWFESGSMPYAYIHYPFENVELFEKNFPGHFVAEGLDQTRGWFYTLMVLSTALFGKPAFRNLICNGLVLAEDGKKMSKRLKNYPSPMDVIGDYGADALRLYLINSPVVRAEPLRFKKDGVYSVVKDVFLPWYNAYRFLVQNAKRLEVDGFAPFVPIDLATLQTSSNVLDQWINSATESLVQFVRQEMDAYRLYTVVPYLLKFIDNLTNIYVRFNRKRLKGRTGEDDCRISLSTLYHVLLTTCKAMAPFTPFFTEGLYQNLHKVLDGAEESIHYCSFPSSKGKREERIEQSVTRMMTVIDLARAIRERHNKPLKAPLKEMVVVHPDADFLEDITGKLREYVMEELNVKAIIPCNDPLKYASLRAEPDFSVLGKRLGKSMGIVAKEIKAMSLPDILAFEKSGEVTISGHCLKLNDIKVVRQFKCPDNVTDKEIDAAGDGDVLVVLDLRADESLFEAGLAREVVNRIQKLRKKAGLEPTDIVEVYYEPLDDGKQILENIVSSQEQYIRESLGSPLLHHTLCPPQAVVFYKEEYRGVSGVSFIISLARPALIFKSEAILRLFSGNKMYAEALQTNLLSRDLSNLKSEFQAGKGKIKVGCLEHLPEVEFELGKHLFTSVGDYYLSRTE >DRNTG_06788.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19798614:19801869:-1 gene:DRNTG_06788 transcript:DRNTG_06788.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVCEGKDFSFPNQEERILRLWSDIRAFENQLKRTESKPEYIFYDGPPFATGKPHYGHILAGTIKDVVTRYQSMCGWHVTRRFGWDCHGLPVEFEIDTKLAIRSRDDVLAMGIAAYNEECRGIVTRYVAEWEEVITRTGRWIDFKNDYKTMDPQFMESVWWVFAQLFKKGLVYRGFKVMPYSTGCKTPLSNFEAGLNYKVWIFMLDHSVFKLGFRLIIIGLCLDFVGCAGPSNCGCFSFEG >DRNTG_06788.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19790490:19797936:-1 gene:DRNTG_06788 transcript:DRNTG_06788.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPYAYIHYPFENVELFEKNFPGHFVAEGLDQTRGWFYTLMVLSTALFGKPAFRNLICNGLVLAEDGKKMSKRLKNYPSPMDVIGDYGADALRLYLINSPVVRAEPLRFKKDGVYSVVKDVFLPWYNAYRFLVQNAKRLEVDGFAPFVPIDLATLQTSSNVLDQWINSATESLVQFVRQEMDAYRLYTVVPYLLKFIDNLTNIYVRFNRKRLKGRTGEDDCRISLSTLYHVLLTTCKAMAPFTPFFTEGLYQNLHKVLDGAEESIHYCSFPSSKGKREERIEQSVTRMMTVIDLARAIRERHNKPLKAPLKEMVVVHPDADFLEDITGKLREYVMEELNVKAIIPCNDPLKYASLRAEPDFSVLGKRLGKSMGIVAKEIKAMSLPDILAFEKSGEVTISGHCLKLNDIKVVRQFKCPDNVTDKEIDAAGDGDVLVVLDLRADESLFEAGLAREVVNRIQKLRKKAGLEPTDIVEVYYEPLDDGKQILENIVSSQEQYIRESLGSPLLHHTLCPPQAVVFYKEEYRGVSGVSFIISLARPALIFKSEAILRLFSGNKMYAEALQTNLLSRDLSNLKSEFQAGKGKIKVGCLEHLPEVEFELGKHLFTSVGDYYLSRTE >DRNTG_06788.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19790490:19801869:-1 gene:DRNTG_06788 transcript:DRNTG_06788.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVCEGKDFSFPNQEERILRLWSDIRAFENQLKRTESKPEYIFYDGPPFATGKPHYGHILAGTIKDVVTRYQSMCGWHVTRRFGWDCHGLPVEFEIDTKLAIRSRDDVLAMGIAAYNEECRGIVTRYVAEWEEVITRTGRWIDFKNDYKTMDPQFMESVWWVFAQLFKKGLVYRGFKVMPYSTGCKTPLSNFEAGLNYKDVPDPAIVVAFPLKDDPQNAALVAWTTTPWTLPSNLALCVNANFVYAKVKDKSSGVIYIVAESRLSSLPSRKTKPSARNSAAQESCKSKGAANGKFENKGTVDDKVKSGLDTETYELLEKITGASLVGKKYVPLFDYFAEFSNAFRVAADNYVTDDSGTGIVHCAPAFGEDDYRVCVEAGIVQKDEDLIVAVDADGCFTERISDFHGRYVKDADKDIINAVKAKGRLVSTGSMVHSYPFCWRSDTPLLYRAVPSWFVSVEKIKDQLLESNKQTYWVPDYVKEKRFHNWLENARDWAVSRSRFWGTPLPVWVSEDGEEKVVIDSIDELERRSGIKATDLHRHFIDHITIPSSRGPEHGVLRRVDDVFDCWFESGSMPYAYIHYPFENVELFEKNFPGHFVAEGLDQTRGWFYTLMVLSTALFGKPAFRNLICNGLVLAEDGKKMSKRLKNYPSPMDVIGDYGADALRLYLINSPVVRAEPLRFKKDGVYSVVKDVFLPWYNAYRFLVQNAKRLEVDGFAPFVPIDLATLQTSSNVLDQWINSATESLVQFVRQEMDAYRLYTVVPYLLKFIDNLTNIYVRFNRKRLKGRTGEDDCRISLSTLYHVLLTTCKAMAPFTPFFTEGLYQNLHKVLDGAEESIHYCSFPSSKGKREERIEQSVTRMMTVIDLARAIRERHNKPLKAPLKEMVVVHPDADFLEDITGKLREYVMEELNVKAIIPCNDPLKYASLRAEPDFSVLGKRLGKSMGIVAKEIKAMSLPDILAFEKSGEVTISGHCLKLNDIKVVRQFKCPDNVTDKEIDAAGDGDVLVVLDLRADESLFEAGLAREVVNRIQKLRKKAGLEPTDIVEVYYEPLDDGKQILENIVSSQEQYIRESLGSPLLHHTLCPPQAVVFYKEEYRGVSGVSFIISLARPALIFKSEAILRLFSGNKMYAEALQTNLLSRDLSNLKSEFQAGKGKIKVGCLEHLPEVEFELGKHLFTSVGDYYLSRTE >DRNTG_17026.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10682107:10685768:-1 gene:DRNTG_17026 transcript:DRNTG_17026.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPTSELLLIYLPRPHTYPPHQWSMLGSRNELEMTAIGHWRGESSSGQERLRAAAAAGDVER >DRNTG_17026.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10682107:10685768:-1 gene:DRNTG_17026 transcript:DRNTG_17026.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSRNELEMTAIGHWRGESSSGQERLRAAAAAGDVER >DRNTG_17026.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10682107:10685768:-1 gene:DRNTG_17026 transcript:DRNTG_17026.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSRNELEMTAIGHWRGESSSGQERLRAAAAAGDVER >DRNTG_17026.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10682107:10685768:-1 gene:DRNTG_17026 transcript:DRNTG_17026.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSRNELEMTAIGHWRGESSSGQERLRAAAAAGDVER >DRNTG_17026.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:10682107:10685768:-1 gene:DRNTG_17026 transcript:DRNTG_17026.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSRNELEMTAIGHWRGESSSGQERLRAAAAAGDVER >DRNTG_20993.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001188.1:43470:44464:-1 gene:DRNTG_20993 transcript:DRNTG_20993.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIRLPEPPSGPVGMPEIFEGGLNVIRRAIVIGNGFPGAENQCLGLVRALGLAEKHTLYRVVRPRGGINEWLRWLPVSLHKMIESVIRRISGDSQFGGSIQSRRVFPLAAQNGGSVGLSSILEADAKKIASLAH >DRNTG_06544.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:10329555:10333375:1 gene:DRNTG_06544 transcript:DRNTG_06544.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSAPSRKVLSKIACSRLQKELVEWQVSPPTGFKHKVTDNLQRWVIEVVGAPGTLYANETYQLQVDFPEHYPMEAPQVIFLNPAPLHPHVYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSTVKQRPVDNDRYVKNCRNGRSPKETRWWFHDDKV >DRNTG_07209.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27949674:27953732:1 gene:DRNTG_07209 transcript:DRNTG_07209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSVILSVRFIASFLLSFSCLSACGKGSRKGIVRVMAEVVLSPLIKLICEKVALRVIKELSPLTGVAKEFRRLRSTLLTIQDVLEDAEARQVNEKSVKRWLRKLKDVAFDMDDVLDEFTVEMADAEKRKKKGMKGKAYNLFISAPKVRYKMADKMKEIMERFDEVAKESSIFGLKVGAIKEEEVGKREETHSYVNESEVYGRDVDREKIVEFLINSLSSASSEANPDVMPIVGLGGLVKTTLAKLAFNDKRVSEAFTKKIWVCVTEEFDVKRLTRSIIASITESECNLQDMDSLQRFLREKLRGERFFLVLDDVWNEDQEKWGNLKDLLSGCATRGSKVIVTTRSERVASIVGTVLPHLLTGLSDQDCWVLFEKMAFGFGGAVKTPNLVAIGKDIVSKCAGLPLAAKALGSLMRFRRGEREWLAVKDNEVWRLPEHENQILPSLRLSYNHLPSRLKLCFAYCSIFPKNYLVRKETLVQLWMAEGFLIHDYNSFETEDIGNGYVDELLERSLFQNGYADIDGVVRQVKMHDLVHDLARSVAGEEGSVTDEASGYAFGQGCRYLSLVYDRPIPERKSLPFLNEANKLRSFYFIAEENMEGQCQIQGLYSPYNAGPMKEALECIVSKPVSSLKLLRALHLSQYPLIREILDSICEMKHLRYLNLSSTDIGVVPTCIGLLHNLQTLSLSCCNCLHILPDSIGQLSNLLTLDLRYCGCLQSLPSTIGCLKNLRIIDLSNCNLRALPESLSRLSNLQVLNLKDCRYLCELPVAMKEMKSLIHLNLAGCVGLSCIPAGIGQLSNLRTLSIFIVGGKTNCNIRELGSLNIEGELHIKNLENVRGPDEAKEANLKEKQGLRSLRLSWDENVYRTPAQSSDDNLHDMVPQSDLVDGVLENLQPGANINKLAIEGYGGRKFPTWLMDSSLLHNLVNLTLDSCVRCEVLPPLGQLRHLQVLRVRRLLSIRCIDSTFYGGHAPFPALEVLRLYGLPVLEEWSGTSEGEVFPSLIVLGLGHCPNLKSLPSTFPTVTDLVMINANERVLLSALGDSAFPNLDRRYSSRDQVVEDVMLFLRLVGPLQ >DRNTG_07209.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27950482:27953732:1 gene:DRNTG_07209 transcript:DRNTG_07209.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVLSPLIKLICEKVALRVIKELSPLTGVAKEFRRLRSTLLTIQDVLEDAEARQVNEKSVKRWLRKLKDVAFDMDDVLDEFTVEMADAEKRKKKGMKGKAYNLFISAPKVRYKMADKMKEIMERFDEVAKESSIFGLKVGAIKEEEVGKREETHSYVNESEVYGRDVDREKIVEFLINSLSSASSEANPDVMPIVGLGGLVKTTLAKLAFNDKRVSEAFTKKIWVCVTEEFDVKRLTRSIIASITESECNLQDMDSLQRFLREKLRGERFFLVLDDVWNEDQEKWGNLKDLLSGCATRGSKVIVTTRSERVASIVGTVLPHLLTGLSDQDCWVLFEKMAFGFGGAVKTPNLVAIGKDIVSKCAGLPLAAKALGSLMRFRRGEREWLAVKDNEVWRLPEHENQILPSLRLSYNHLPSRLKLCFAYCSIFPKNYLVRKETLVQLWMAEGFLIHDYNSFETEDIGNGYVDELLERSLFQNGYADIDGVVRQVKMHDLVHDLARSVAGEEGSVTDEASGYAFGQGCRYLSLVYDRPIPERKSLPFLNEANKLRSFYFIAEENMEGQCQIQGLYSPYNAGPMKEALECIVSKPVSSLKLLRALHLSQYPLIREILDSICEMKHLRYLNLSSTDIGVVPTCIGLLHNLQTLSLSCCNCLHILPDSIGQLSNLLTLDLRYCGCLQSLPSTIGCLKNLRIIDLSNCNLRALPESLSRLSNLQVLNLKDCRYLCELPVAMKEMKSLIHLNLAGCVGLSCIPAGIGQLSNLRTLSIFIVGGKTNCNIRELGSLNIEGELHIKNLENVRGPDEAKEANLKEKQGLRSLRLSWDENVYRTPAQSSDDNLHDMVPQSDLVDGVLENLQPGANINKLAIEGYGGRKFPTWLMDSSLLHNLVNLTLDSCVRCEVLPPLGQLRHLQVLRVRRLLSIRCIDSTFYGGHAPFPALEVLRLYGLPVLEEWSGTSEGEVFPSLIVLGLGHCPNLKSLPSTFPTVTDLVMINANERVLLSALGDSAFPNLDRRYSSRDQVVEDVMLFLRLVGPLQ >DRNTG_28971.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001462.1:85683:91051:-1 gene:DRNTG_28971 transcript:DRNTG_28971.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIVNAPFGGNLEKVQTRRHKSGLKCGNVCQPPRIQKMLCPDPLEGWLDQEVENEESLTLGLEDNVQPTPGVMKRMMNESSTSPSSEDIRACEFPSEYPCEHPHARVEHLAKLSGEQRSHRGMAPRSKKAPGKHPRKDSPEHMEFVIPEHQARFERLAKLKFGQSRFPDLSALKEILSVGSWCRLLLIREPAIHMLTLESDLGLYDEAFIDIEEYEQLPTDYPGSLTPQCAYRALCGQGQYEPRVSKATCLSRPAYRYIHSIPTRLVNGRGDSTGVLS >DRNTG_08429.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31061287:31063380:-1 gene:DRNTG_08429 transcript:DRNTG_08429.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDYGMLRLEGKVAIITGAASGIGEATARLFAAHGATVVIADVQDQLGEAVAASIGLQKASFKHCDVTDEKQMEETVDYTVVKYGRLDIMHSNAGVLGPIEGVMDMDLTQLDKTLAVHVRGTAAAIKHAARAMRASGTRGSIICTASVAARQGSLGPAAYTVSKHAVIGLMRAAVSELGAHGIRINCISPFGVATPLSCLVTGMTPEQVEDVCCEMGVLKGVVLRAGNVAQAALFLASDASEYISGHDLVIDGGVTVVTRHNVRANE >DRNTG_32622.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:23890827:23891925:1 gene:DRNTG_32622 transcript:DRNTG_32622.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQFLIFNVFPLLTLTLTLTLAHTRHIITITAPNLHPTSLAWDPKSQHFLLASRFHPIISSISDAGIVQTVLSSDGSSVNAIAIDSPRGTLLAALSNPSSIAAYDLRSPRPHTLIFSSPLPAAPSGLAVDDATGTVFAIVGNFIYKIDPEGNSSIFSEFNSALGGIVHVSRGFLLVAQPSTGTVYKVDDETGRGKSVLGKGLGLGLGLVLRSDGSALMGGDDKVRVVRSEDGWGEAVVADEVLVEKGLLMGLVVRDGRKVYVLVGENGDDDDGDDVDGGILGRKFRIEEVDLVGKDGGDDMILAMVLLGFGLAYFLYWRFQMGKLASSLNKKRA >DRNTG_15843.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:25127628:25133107:-1 gene:DRNTG_15843 transcript:DRNTG_15843.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQAIESHRKGAEIVKGDASCKKKAAEVLGELGLPNGLFPLDDIQEFGYNRAGGFIWLVQKKKKEHTFKKIKQVVSYATEVTAFVEKGKMLKISGVKTRELLLWLSVVEMYIEDPSSGKITFKTGTGLSDSFPVSAFELE >DRNTG_13405.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000612.1:5886:10892:-1 gene:DRNTG_13405 transcript:DRNTG_13405.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 57 [Source:Projected from Arabidopsis thaliana (AT3G09720) UniProtKB/Swiss-Prot;Acc:Q84TG1] MCPTRELAAQTTRECKKLTKGRKFYVKLMTKELARTGDFKKMPCTILVSTPLRLDFAMRKRKLDLTRVEYLVLDESDKLFELGFVEQIDSVVKACSNPSIIRSLFSATLPDSVEELARSIMHDAVRVIVGRKNSASELIKQKLIFAGSEEGKLLALRQSFAQSLNPPVLVFVQSKERAKELYKELAFDDIKADVIHADLSQEQRENAVDNFRAGKTWVLIATDVISRGMDFKGVNCVMNYDFPESAAAYIHRIGRSGRAGRHGEAITLYTEEDKPFLRNIANVMVASGCEVPSWILSLPKLRRKKHRPQRDSISTAPDDNEE >DRNTG_13405.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000612.1:5886:10892:-1 gene:DRNTG_13405 transcript:DRNTG_13405.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 57 [Source:Projected from Arabidopsis thaliana (AT3G09720) UniProtKB/Swiss-Prot;Acc:Q84TG1] MDRASSMLFGGIHFDRKRFASDIERFKEKEAEQDIQIETESQPIESAGIENGRKKKRKAKTFEAVESFSVFKASEPVHIVEVDFSKQKKEVEKQIEQAALLRKKHGIHISGHNVPAPLQSFEELSFRYGCKPFLLRNLSELGFKEPTPIQRQAIPILLSGRECFACAPTGSGKTLAFLCPILMKIKPGSGDGIKAVVMCPTRELAAQTTRECKKLTKGRKFYVKLMTKELARTGDFKKMPCTILVSTPLRLDFAMRKRKLDLTRVEYLVLDESDKLFELGFVEQIDSVVKACSNPSIIRSLFSATLPDSVEELARSIMHDAVRVIVGRKNSASELIKQKLIFAGSEEGKLLALRQSFAQSLNPPVLVFVQSKERAKELYKELAFDDIKADVIHADLSQEQRENAVDNFRAGKTWVLIATDVISRGMDFKGVNCVMNYDFPESAAAYIHRIGRSGRAGRHGEAITLYTEEDKPFLRNIANVMVASGCEVPSWILSLPKLRRKKHRPQRDSISTAPDDNEE >DRNTG_24940.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:21362570:21369692:1 gene:DRNTG_24940 transcript:DRNTG_24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKEEMEDWKREKVQDVQLAVQMKSNSAHRRTIGRTRRSSKGGWTEEEDEQLIRAVKKFNGKNWKKIAECIPGRTDVQCLHRYDKVLNPNLIKGSWTKEEDDLIRGLIAIHGTKNWSVIASCVPGRIGKQCRERWHNHLNPTIRKDAWTREEELILICAHKEYGNKWAEIAKRLPGRADNSIKNHWNCSVQKRLGSILASVAPSQPIGLVALNPNNYSPKPEILPTNPTEQDIKDIAPLEQKSTQDKLLCASKECSVYQDTRLALQSSICQPAFSRRSSDAVVRTISLETPNLWTLDHNYRTNLSEFLKPLRLEDKSPASVLSNALFKDSPRCSTGLSHQGTKPWFTKDSDHIDASDNSQDAKVLQQRNYNDFGRQFNKMQDTTHEHHGLEFDRSESSISGVKLKDKIVTNSMATDSCDCDQISRTPLCCSKVYSPINHSTPSSDLSPWLGCTPSSTEYFLRIAGRNYEHTPSIIRRRGLDSSKRLFPESPKKAVGRNTKV >DRNTG_22718.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:28493116:28494721:-1 gene:DRNTG_22718 transcript:DRNTG_22718.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEEQAAQIEKDLIMKEKETLDVLKELEMTKKIVDELKLKLQKEAAEASKSQEFDSDGETVSQVKECSSKDTGDGVDVIGSNAGVSKQSPGSILMDLKLAKVSLSKTTSDLAGIRSSIELLNSKIEKEKSMLEKTRQKLAANTAEVANLEEELNLTTQKLQAVRDTESNQSLDPSEISRKIKELNCETEQFKKMAEAAKSEVSKLTSEIEQAKASMKTAEVRWLAAKKMEEAARAAEASALEEMKALTNSDNLSGDLQNSSAVTLPIEEYIILTRKAEEAEVASKKKIEAAMLKVEEANQSKQELLLRVEEAKKEVKTSRKVLEEALKRVEAANMGKLAVEEALRKWRSEHGQKRRSLPNSTKFKNAGPVHHRRDSRMLDVNGLSLLVPERSKSVMTLGQILSRKLLSPEDHGLEIQENTNVKLKVSLGQILNKNVISPPRTHEKKSSAKRKKFGFVGSIILANQSKKNKKKRKQSCSSPK >DRNTG_30944.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:2478557:2479312:1 gene:DRNTG_30944 transcript:DRNTG_30944.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIDFYSNWPSFSSDPSQMELMQALEPFFKSASPSPSLSPSWDQNLSFDSYLSFNGMQNNQKGGSFLSVQPLPMKHAGTPSPAKPGKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAHEAALAYDEAAFKLRGDFARLNFPNLRHSSATSLHSSVDAKLQAICQTLQAPDSTSVSKTPTTTNTSSSPSLSSSSLSCDQEDESSAGSSPVSEMQSLDFTEVPWDESESFVLRKYPSWEIDWDAILS >DRNTG_30895.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001640.1:11663:15758:-1 gene:DRNTG_30895 transcript:DRNTG_30895.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQLQDEREVRILFVGMEFEDEDEAYRYYLDYAKSRVMKEAMKLGRWGFTESNIGRPVACGLLKATHKVTRPSKFPSPSKEETDEDCDGDRAREEGQLSQQVRHCGEMGVYERKREREREREREEAIELGPAL >DRNTG_27605.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16613112:16613948:-1 gene:DRNTG_27605 transcript:DRNTG_27605.3 gene_biotype:protein_coding transcript_biotype:protein_coding SIKLKPKPNSTISFSQSNYPRSSPHIVICWY >DRNTG_27605.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16613112:16613948:-1 gene:DRNTG_27605 transcript:DRNTG_27605.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIKLKPKPNSTISFSQSNYPRSSPHIVICWY >DRNTG_27605.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:16613112:16613948:-1 gene:DRNTG_27605 transcript:DRNTG_27605.2 gene_biotype:protein_coding transcript_biotype:protein_coding SIKLKPKPNSTISFSQSNYPRSSPHIVICWY >DRNTG_32376.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:578434:580317:-1 gene:DRNTG_32376 transcript:DRNTG_32376.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKVKSKSGIAKVLGITLCLAGILMIAFYTGPQLNHHEPYHHSQQPTHSSRTWIKGSFLMISASTVWSLWLTLQKILLKEYPSKLLFTTMQCMFSSIQSFIVAILFERNIAQWKLHFNMRLLAVAYSGFIVSGVSFYLQSWCIERKGPVFLSMWTPLAFVFTIIGSSFILVNPIYLGSVLGGVFMVGGLYSVLWGKITESRINAEQCILEEEKVCNEEKETASSPCPHV >DRNTG_22283.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21868765:21869123:1 gene:DRNTG_22283 transcript:DRNTG_22283.8 gene_biotype:protein_coding transcript_biotype:protein_coding QFGSRIARESNRKNFGSNRAANQASAGSVEIHE >DRNTG_22283.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21862977:21869123:1 gene:DRNTG_22283 transcript:DRNTG_22283.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIALILSGVRIIGSEVFGESSGARCSDSEEVPGAVLEIDDLIDSLLRRGPDNLGSKRIFLQLKPSSFQDDYGSKGNGICIPLEHCDVNNTTSVLKAVCAQDDAGGVKSVADLYFLGATLQLRGAGPISQPLVDTCGNLLVYNGEIFGGVHVDADSNDAETLLHELERCYSCNCQGHGKNCSCSGKGERSIPEVLSTIKGPWSLIYWQERSKTIWFGRDAFGRRSLLVHWPTNDDSRFVLSSVSPPSSVGNIYGSVAGDTFSYWEELPCGIYSINLEASKSREHSIHENLNGKIEKHEWTDAELIKIIKWERAFLDPKLVEVHLYNYQHVVKQCALAYSVGDMGDHVNKQTESAYKVLNVLRQSVMQHTALSMVYQASLRQAGEKDLAPIAILFSGGLDSMILAALLDQCIDSSYTIDLLNVSFDGNLAPDRVSAWVGIRELRRIAPLRRWRLVEIDASLSDLALETKHVMSLIHPLKTYMDLNIGTALWLAAGGDGWVDENINPNCREGHCRYKYKSKARILLVGSGADEQCAGYGRHRTKYRAGGWLALHNEMRLDMQRIWKRNLGRDDRCISDHGKEARFPFLDEDVIRTLLEIPLWEITNLDEPVGKGDKKILREVAKLLGLESAALLPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVEIHE >DRNTG_22283.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21864310:21864779:1 gene:DRNTG_22283 transcript:DRNTG_22283.7 gene_biotype:protein_coding transcript_biotype:protein_coding KELLHCKGEIFGGVHVDADSNDAETLLHELERCYSCNCQGHGKNCSCSGKGERSIPEVLSTIKGPWSLIYWQERSKTIWFGRDAFGRRSLLVHWPTNDDSRFVLSSVSPPSSVGNIYGNGSFK >DRNTG_22283.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21862977:21869123:1 gene:DRNTG_22283 transcript:DRNTG_22283.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVNKQTESAYKVLNVLRQSVMQHTALSMVYQASLRQAGEKDLAPIAILFSGGLDSMILAALLDQCIDSSYTIDLLNVSFDGNLAPDRVSAWVGIRELRRIAPLRRWRLVEIDASLSDLALETKHVMSLIHPLKTYMDLNIGTALWLAAGGDGWVDENINPNCREGHCRYKYKSKARILLVGSGADEQCAGYGRHRTKYRAGGWLALHNEMRLDMQRIWKRNLGRDDRCISDHGKEARFPFLDEDVIRTLLEIPLWEITNLDEPVGKGDKKILREVAKLLGLESAALLPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVEIHE >DRNTG_22283.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21862977:21864779:1 gene:DRNTG_22283 transcript:DRNTG_22283.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIALILSGVRIIGSEVFGESSGARCSDSEEVPGAVLEIDDLIDSLLRRGPDNLGSKRIFLQLKPSSFQDDYGSKGNGICIPLEHCDVNNTTSVLKAVCAQDDAGGVKSVADLYFLGATLQLRGAGPISQPLVDTCGNLLVYNGEIFGGVHVDADSNDAETLLHELERCYSCNCQGHGKNCSCSGKGERSIPEVLSTIKGPWSLIYWQERSKTIWFGRDAFGRRSLLVHWPTNDDSRFVLSSVSPPSSVGNIYGNGSFK >DRNTG_22283.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21866010:21869123:1 gene:DRNTG_22283 transcript:DRNTG_22283.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILAALLDQCIDSSYTIDLLNVSFDGNLAPDRVSAWVGIRELRRIAPLRRWRLVEIDASLSDLALETKHVMSLIHPLKTYMDLNIGTALWLAAGGDGWVDENINPNCREGHCRYKYKSKARILLVGSGADEQCAGYGRHRTKYRAGGWLALHNEMRLDMQRIWKRNLGRDDRCISDHGKEVFILLDIVNQTYVIKISSLHLL >DRNTG_08233.5.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000372.1:74283:76851:-1 gene:DRNTG_08233 transcript:DRNTG_08233.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMAVKLLVHLCLLTSLLAMAMPTDRSTYIIHMDRTAMPMAFTDHHHWYSSTLQSLSTSNSAKEDASEAAPRLIYAYENVMHGFSAVLSGEELKALKQAPGFLSAHEEKQATMDTSHTYEFLSLNTVTGLWPASKYGEDVIIGVIDSGVWPESDSFNDKGMPKLAPKRWKGKCEPGQEFNTSLCNRKLIGARYFNKGVRAANPGIKISMNSARDTFGHGTHCASTAAGNYASADYFGYASGVARGIAPRSRLAVYKVNWQEGSYESDVLAGLDQAIADSVDIISVSLGFSGTDFYEDPIAIGSFSAMEKGIFVSISAGNRGPGRSTLHNGTPWALTVAAGSIDRKFSGTLTLGNGQTIIGTTLYPENALLVNVQLIYNETISACNSSSLLTSEAEGMIVVCDDTGSTYYQNYYVTESKAAGAVFISDEIHNFDNTCPGVVINSKQAVNLIKYAKKNLEATVTMKFRQTFIGTERAPAVASSSSRGPSQNTPGVLKPDIMAPGTNILAAWVPNMAAAIIGNTPLGSDYNILSGTSMACPHAAGVAALLKSARPGWSPAAIRSAMMTTASVLDNTLKPIKDNGFFSDASPLAMGSRPSGPEQSLGTRSGL >DRNTG_08233.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000372.1:74216:76958:-1 gene:DRNTG_08233 transcript:DRNTG_08233.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMAVKLLVHLCLLTSLLAMAMPTDRSTYIIHMDRTAMPMAFTDHHHWYSSTLQSLSTSNSAKEDASEAAPRLIYAYENVMHGFSAVLSGEELKALKQAPGFLSAHEEKQATMDTSHTYEFLSLNTVTGLWPASKYGEDVIIGVIDSGVWPESDSFNDKGMPKLAPKRWKGKCEPGQEFNTSLCNRKLIGARYFNKGVRAANPGIKISMNSARDTFGHGTHCASTAAGNYASADYFGYASGVARGIAPRSRLAVYKVNWQEGSYESDVLAGLDQAIADSVDIISVSLGFSGTDFYEDPIAIGSFSAMEKGIFVSISAGNRGPGRSTLHNGTPWALTVAAGSIDRKFSGTLTLGNGQTIIGTTLYPENALLVNVQLIYNETISACNSSSLLTSEAEGMIVVCDDTGSTYYQNYYVTESKAAGAVFISDEIHNFDNTCPGVVINSKQAVNLIKYAKKNLEATVTMKFRQTFIGTERAPAVASSSSRGPSQNTPGVLKPDIMAPGTNILAAWVPNMAAAIIGNTPLGSDYNILSGTSMACPHAAGVAALLKSARPGWSPAAIRSAMMTTASVLDNTLKPIKDNGFFSDASPLAMGSRPSGPEQSLGTRSGL >DRNTG_08233.6.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000372.1:74283:76750:-1 gene:DRNTG_08233 transcript:DRNTG_08233.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMAVKLLVHLCLLTSLLAMAMPTDRSTYIIHMDRTAMPMAFTDHHHWYSSTLQSLSTSNSAKEDASEAAPRLIYAYENVMHGFSAVLSGEELKALKQAPGFLSAHEEKQATMDTSHTYEFLSLNTVTGLWPASKYGEDVIIGVIDSGVWPESDSFNDKGMPKLAPKRWKGKCEPGQEFNTSLCNRKLIGARYFNKGVRAANPGIKISMNSARDTFGHGTHCASTAAGNYASADYFGYASGVARGIAPRSRLAVYKVNWQEGSYESDVLAGLDQAIADSVDIISVSLGFSGTDFYEDPIAIGSFSAMEKGIFVSISAGNRGPGRSTLHNGTPWALTVAAGSIDRKFSGTLTLGNGQTIIGTTLYPENALLVNVQLIYNETISACNSSSLLTSEAEGMIVVCDDTGSTYYQNYYVTESKAAGAVFISDEIHNFDNTCPGVVINSKQAVNLIKYAKKNLEATVTMKFRQTFIGTERAPAVASSSSRGPSQNTPGVLKPDIMAPGTNILAAWVPNMAAAIIGNTPLGSDYNILSGTSMACPHAAGVAALLKSARPGWSPAAIRSAMMTTASVLDNTLKPIKDNGFFSDASPLAMGSRPSGPEQSLGTRSGL >DRNTG_08233.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000372.1:74283:76958:-1 gene:DRNTG_08233 transcript:DRNTG_08233.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMAVKLLVHLCLLTSLLAMAMPTDRSTYIIHMDRTAMPMAFTDHHHWYSSTLQSLSTSNSAKEDASEAAPRLIYAYENVMHGFSAVLSGEELKALKQAPGFLSAHEEKQATMDTSHTYEFLSLNTVTGLWPASKYGEDVIIGVIDSGVWPESDSFNDKGMPKLAPKRWKGKCEPGQEFNTSLCNRKLIGARYFNKGVRAANPGIKISMNSARDTFGHGTHCASTAAGNYASADYFGYASGVARGIAPRSRLAVYKVNWQEGSYESDVLAGLDQAIADSVDIISVSLGFSGTDFYEDPIAIGSFSAMEKGIFVSISAGNRGPGRSTLHNGTPWALTVAAGSIDRKFSGTLTLGNGQTIIGTTLYPENALLVNVQLIYNETISACNSSSLLTSEAEGMIVVCDDTGSTYYQNYYVTESKAAGAVFISDEIHNFDNTCPGVVINSKQAVNLIKYAKKNLEATVTMKFRQTFIGTERAPAVASSSSRGPSQNTPGVLKPDIMAPGTNILAAWVPNMAAAIIGNTPLGSDYNILSGTSMACPHAAGVAALLKSARPGWSPAAIRSAMMTTASVLDNTLKPIKDNGFFSDASPLAMGSRPSGPEQSLGTRSGL >DRNTG_08233.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000372.1:74283:77144:-1 gene:DRNTG_08233 transcript:DRNTG_08233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMAVKLLVHLCLLTSLLAMAMPTDRSTYIIHMDRTAMPMAFTDHHHWYSSTLQSLSTSNSAKEDASEAAPRLIYAYENVMHGFSAVLSGEELKALKQAPGFLSAHEEKQATMDTSHTYEFLSLNTVTGLWPASKYGEDVIIGVIDSGVWPESDSFNDKGMPKLAPKRWKGKCEPGQEFNTSLCNRKLIGARYFNKGVRAANPGIKISMNSARDTFGHGTHCASTAAGNYASADYFGYASGVARGIAPRSRLAVYKVNWQEGSYESDVLAGLDQAIADSVDIISVSLGFSGTDFYEDPIAIGSFSAMEKGIFVSISAGNRGPGRSTLHNGTPWALTVAAGSIDRKFSGTLTLGNGQTIIGTTLYPENALLVNVQLIYNETISACNSSSLLTSEAEGMIVVCDDTGSTYYQNYYVTESKAAGAVFISDEIHNFDNTCPGVVINSKQAVNLIKYAKKNLEATVTMKFRQTFIGTERAPAVASSSSRGPSQNTPGVLKPDIMAPGTNILAAWVPNMAAAIIGNTPLGSDYNILSGTSMACPHAAGVAALLKSARPGWSPAAIRSAMMTTASVLDNTLKPIKDNGFFSDASPLAMGSRPSGPEQSLGTRSGL >DRNTG_08233.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000372.1:74216:76851:-1 gene:DRNTG_08233 transcript:DRNTG_08233.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMAVKLLVHLCLLTSLLAMAMPTDRSTYIIHMDRTAMPMAFTDHHHWYSSTLQSLSTSNSAKEDASEAAPRLIYAYENVMHGFSAVLSGEELKALKQAPGFLSAHEEKQATMDTSHTYEFLSLNTVTGLWPASKYGEDVIIGVIDSGVWPESDSFNDKGMPKLAPKRWKGKCEPGQEFNTSLCNRKLIGARYFNKGVRAANPGIKISMNSARDTFGHGTHCASTAAGNYASADYFGYASGVARGIAPRSRLAVYKVNWQEGSYESDVLAGLDQAIADSVDIISVSLGFSGTDFYEDPIAIGSFSAMEKGIFVSISAGNRGPGRSTLHNGTPWALTVAAGSIDRKFSGTLTLGNGQTIIGTTLYPENALLVNVQLIYNETISACNSSSLLTSEAEGMIVVCDDTGSTYYQNYYVTESKAAGAVFISDEIHNFDNTCPGVVINSKQAVNLIKYAKKNLEATVTMKFRQTFIGTERAPAVASSSSRGPSQNTPGVLKPDIMAPGTNILAAWVPNMAAAIIGNTPLGSDYNILSGTSMACPHAAGVAALLKSARPGWSPAAIRSAMMTTASVLDNTLKPIKDNGFFSDASPLAMGSRPSGPEQSLGTRSGL >DRNTG_34874.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21937001:21937639:-1 gene:DRNTG_34874 transcript:DRNTG_34874.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLVQALLLLFAAAIFIALQSLPKRALAGLRRRSHATAQARRHFLQGAALLSRARGASSPHSVSLARAALAEADRALSLDPRDAASHILRALALDLQGRRLPALRSLDHALSPPASRSLSSRERADALFKRAQIHLALSRRRRGADLAAADLAEVLRLTPENAKAFSLLGECYEIKGMKKESKEAFESAIGIDTELSSAREGLERLSTSKA >DRNTG_01168.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29076207:29080368:-1 gene:DRNTG_01168 transcript:DRNTG_01168.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMALSSPSLAGKAVKVAPTASEIFGEGRVSMRKTGGRPRPVSGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGRVFPELLSRNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLVHAQSILAIWACQVILMGAVEGYRIAGGPLGEITDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >DRNTG_01168.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:29076207:29080368:-1 gene:DRNTG_01168 transcript:DRNTG_01168.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMALSSPSLAGKAVKVAPTASEIFGEGRVSMRKTGGRPRPVSGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGRVFPELLSRNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLVHAQSILAIWACQVILMGAVEGYRIAGGPLGEITDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >DRNTG_25126.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20193564:20198369:-1 gene:DRNTG_25126 transcript:DRNTG_25126.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWPKGFAYPCPVQRPHHHRDIYNRHFSQHGLQSSCPNSIATHPSLSTRPSSHMQESADRHRRPQKQTVRSAPRRNLHLRCFHCSRRRAPQLLR >DRNTG_29940.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:5042290:5050593:-1 gene:DRNTG_29940 transcript:DRNTG_29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGLKLEQRHGKSRVRVARVWRNSVTGKDLIVEWRVAVSLVTDCLPAFTSGENSSIVATDSMKNTVYVKAKECTEVVSVEEFAIILAKHFTSLYPQVESATISIVEKPWERLVLGRQPHSHGFKAGSEKHTAEVTLKKNGTMTLTSGIEGLAVLKTTKVLKDSYGINTQFFLIQLRGYWLLKSQLSGGMHLNGFPIFPQSHFVSHRGIKK >DRNTG_18489.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:865058:871600:-1 gene:DRNTG_18489 transcript:DRNTG_18489.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTEQRFKTIEKSLTTLPLIQQSLAGLSQMMETIQLVKHTGETSNSTPLLEEISAETVHTTGENYSIRNIKLDFPRFAGEDVLQWIFHAEQFFDYYGVPDNHRLKIASVHLDGQVVPWFQRLRKAGRLTTWQALTRSLECAYGPSVFDCPSYSLFRLIQESTVADYYAHFIALSNRVDGVPEQVLLDCFVSGLTKELQAELIPWHPEDLDKAVTLAKLFEEKLQLGRKPMGNKNIYLPDTKFKALPGIPLATNSTSIVPFGHGQLTTQSLNSGNVNAKPPTAFRKMGLQEMQLRKVKGLCFNCDAKYSPTHNCPNKRLLLLQWDDDDTGISDSEIFIDPHPQEEVHDPGKDPSTKMSLNAMSSVTVSGTMRFTGQLGGQQIKILLDGGSDDTFIQPRVVKFLHLDVLSSTPLRVLVGNGQSLQVEGKIPELSVQVQGYTLSVPAYVLPIEGADLILVASWLAKLGPHVIDYDKKIIKFYHNNQYMVLKGEQMERPASTTVPQLTRLCSTHAVRECYSLQFYQDDLDNQSGNSNKPELVTEISASLPSSTPQELIELLLRYQHVFSTPVGLPPSRSCDHKIPLIPDNSPVKVRPYRYPHSQKAEIEKMVEQMLTEGLIEHTTSLFSSPVILVKKKDGTWRFCTDYRALNAITVKDAYPIPTVDELLDELHGAKFFSKLDLRSGYHQILLHPQDRYKTAFRTHQGHYQWLVMPFGLSNAPATFQSLMHQIFHIALRKFVLVFFDDILIYSKSWSLHLHHLETVLLTLEAHQLYAKLSKCSFGQQQIDYLGHLVSSKGVEMDPSKVSAVLQWPIPTTLKQLRGFLGLSGYYRRFIKQYATLSRPLTDLLKKDSFLWSADTQQAFDNLKTAMTSAPVLALPDFSLPFILETDASGVAIGAVLSQNNHPIAYFSKKMSSRMQNQSVYVRELFAVTESVIKFRHYLLGHQFLIRTDQQALRHLCQQTIQTPEQQRWLPKLLGFDFVIEYKTGRDNVAADALSRCFALTSSQPQESFFSELKLLQTHDNFYGPIIQSIHDKHNGDSAYSLRHGLLYWKGRLVVPDDDSLKHRLLHDFHSSLLGGHSGSLRTFMRIAAQFFWKGMRQDIHQFVQRCMVCQQAKTLSTAPSGLLQPLPIPKQIWEDIAMDFVCGLPSSKGYSVILVVVDRLSKYGHFMHLKSDFSSVTVAEVFIHSVFKLHGIPRSIVCDRDKTFTSRFWQHLFSKMGTSIQMSTAYHPQSDGQTEALNKCLEMYLRCFVTDYHGNWVDLLPWAEYWYNTSYQSSAAMTPFRIVYGRDPPGLVAYMATDTDPPLVVDWLTNRDKILTKLKSNLLCAQSSMKRYADAKRSELVFTEGDWVFVKLQPYRQNSVLLRRNQKLGMRYFGPFQVLQRVGTVAYRLNLPEGAKIHPVFHVSLLKQCQGDPTTTTLPLPLISSSKGLLIFPAMILQYRQVLRRARRVARVLIQWHGLAPDDTSWEDVDQLSHEYPELDLEDKVTAYERGIVDLPVNLVKREFNSEEHGGHETKSGELKARDTCRRGKREKRLNCRLRGYILPVHKQKIKGGEGEKRGQLGLGDLRPWFLSQC >DRNTG_13576.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000621.1:64939:75186:1 gene:DRNTG_13576 transcript:DRNTG_13576.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMKPIILSLTQKFGVMQLRARYSRTHFYGFGITPRGKNFISTSINAGDASYSACSRPNHEREQTQIEIDNLREEVTLVKDVMINLEDKVEKQASDTGRISRSILNK >DRNTG_28742.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31113813:31119856:-1 gene:DRNTG_28742 transcript:DRNTG_28742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSSEDSDISDSEIDHHEDKCYLNLQNKKYVVENANQTYRCPFCSGKKKQEYQYKDLLQHATALGASNRKGKVKAYHRAIVKFLKNDLTETGGSSSQLMVIEEGSIRPELDEQFVFPWMGVLVNVPTEFKNGRHVGESGTRIREQLSRFNPLKVIPLWNFRGHTGNAIVDFRKDWSGFKDAMSFDNYFEAENRGKRDWYESKTHLTDIYGWIARSEDYNSVGPIGDHLRKNGDLKTIDELEKEESRKKDKLVANLANQIEEKKRHLQELECKYNETTMSLDKMMEERDVLHQAYNEEIRKMQRLARDHSQKVFEENEKLRSELDIKRKELDERCKQLDQLVAQNDIEKQRLDDEKQKNALKNSSLHLATMEQKKADENVLRLVEEQKREKEAALQKNSTFRKAIRCQTETGARDSAVKREVTSYEAYGR >DRNTG_28742.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31113813:31118608:-1 gene:DRNTG_28742 transcript:DRNTG_28742.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSSEDSDISDSEIDHHEDKCYLNLQNKKYVVENANQTYRCPFCSGKKKQEYQYKDLLQHATALGASNRKGKVKAYHRAIVKFLKNDLTETGGSSSQLMVIEEGSIRPELDEQFVFPWMGVLVNVPTEFKNGRHVGESGTRIREQLSRFNPLKVIPLWNFRGHTGNAIVDFRKDWSGFKDAMSFDNYFEAENRGKRDWYESKTHLTDIYGWIARSEDYNSVGPIGDHLRKNGDLKTIDELEKEESRKKDKLVANLANQIEEKKRHLQELECKYNETTMSLDKMMEERDVLHQAYNEEIRKMQRLARDHSQKVFEENEKLRSELDIKRKELDERCKQLDQLVAQNDIEKQRLDDEKQKNALKNSSLHLATMEQKKADENVLRLVEEQKREKEAALQKNSTFRKAIRCQTETGARDSAVKREVTSYEAYGR >DRNTG_25626.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21959260:21964396:-1 gene:DRNTG_25626 transcript:DRNTG_25626.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVGSTVFIRTRMHTNSEDDGAKYIGALLFGLIVNMFNGFLEVPLSITRLPVFYKHRDLLFYPAWVFTLPNALLRIPISIFESLIWVGITYYVIGYAPEPARFFKHMLLVFLIQQMAAGVFRATAGFCRSMIIASTGGAIAILSMFVLGGFVLPRGEIPKWCIWGYWASPLSYAYNALAVNEFLAPRWMNTMVTRPDGTTKILGIAVLENVQVFHENKWYWIGVGALLGFSILFNVLFTLSLMYLNPLGKPQAVISEVRDSEITAARSESNKSSRINQANGVARTGRMVLPFVPLAMSFNEVNYFVDMPAEMKEQGAAEDRLQLLREVTGAFQPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIHISGYPKDQATFARISGYCEQNDIHSPQVTVRESLIFSAFLRLPNEVTYDEKMRFVDEVMELVELDNIKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRHSQNIIEYFEAIPGVSKIKDKCNPATWMLEVSSMAAEVQLGIDFAEYYRSSSLHQHNKALVNALSNPAPGTNDLYFRTKYSQSTIGQFKACLWKQWWTYWRSPDYNLVRYSFTLFTALLLGTIFWKIGQNRNSATDLKTIIGSMYASVLFIGINNCSTVQPVVSIERTVFYRERAAGMYSALPYAIAQVVMEIPYVFIQGMYFTVIVYSMMGFPWTAVKFLWFFFISFFSFLYFTYYGMMTVSISPNHQVAAIFAGTFYSIFNLFSGFFIAKPKIPHWWVWYYWICPLAWTVYGLIVTQYGDLEDTIQVPGEERQKIKDYVKHYYGYHTDFIGVVAAVLVGFSVFFAVMFAYCIKKLNFQQR >DRNTG_25626.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21959260:21962307:-1 gene:DRNTG_25626 transcript:DRNTG_25626.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIALGKPQAVISEVRDSEITAARSESNKSSRINQANGVARTGRMVLPFVPLAMSFNEVNYFVDMPAEMKEQGAAEDRLQLLREVTGAFQPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIHISGYPKDQATFARISGYCEQNDIHSPQVTVRESLIFSAFLRLPNEVTYDEKMRFVDEVMELVELDNIKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRHSQNIIEYFEAIPGVSKIKDKCNPATWMLEVSSMAAEVQLGIDFAEYYRSSSLHQHNKALVNALSNPAPGTNDLYFRTKYSQSTIGQFKACLWKQWWTYWRSPDYNLVRYSFTLFTALLLGTIFWKIGQNRNSATDLKTIIGSMYASVLFIGINNCSTVQPVVSIERTVFYRERAAGMYSALPYAIAQVVMEIPYVFIQGMYFTVIVYSMMGFPWTAVKFLWFFFISFFSFLYFTYYGMMTVSISPNHQVAAIFAGTFYSIFNLFSGFFIAKPKIPHWWVWYYWICPLAWTVYGLIVTQYGDLEDTIQVPGEERQKIKDYVKHYYGYHTDFIGVVAAVLVGFSVFFAVMFAYCIKKLNFQQR >DRNTG_25626.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21959260:21967138:-1 gene:DRNTG_25626 transcript:DRNTG_25626.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRETLDFSARCQGVGPKYELLSELAKREKEAGIFPEAEVDLFMKATAMEGMESSLQTNYTLRILGLDICANTIVGDELQRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCLQQIVHLGEATILMSLLQPAPETFDLFDDIILISEGHIVYQGPREYVLDFFNACGFQCPDRKGTADFLQEVTSKKDQKQYWADRTKPYTYIPVSEFADLFKRFHAGLRLENELSVPFNKSQSHKAALIFSKQSVQISDLLKASFAKELLLLKRNSFVYIFKTIQIIIMAIVGSTVFIRTRMHTNSEDDGAKYIGALLFGLIVNMFNGFLEVPLSITRLPVFYKHRDLLFYPAWVFTLPNALLRIPISIFESLIWVGITYYVIGYAPEPARFFKHMLLVFLIQQMAAGVFRATAGFCRSMIIASTGGAIAILSMFVLGGFVLPRGEIPKWCIWGYWASPLSYAYNALAVNEFLAPRWMNTMVTRPDGTTKILGIAVLENVQVFHENKWYWIGVGALLGFSILFNVLFTLSLMYLNPLGKPQAVISEVRDSEITAARSESNKSSRINQANGVARTGRMVLPFVPLAMSFNEVNYFVDMPAEMKEQGAAEDRLQLLREVTGAFQPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIHISGYPKDQATFARISGYCEQNDIHSPQVTVRESLIFSAFLRLPNEVTYDEKMRFVDEVMELVELDNIKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRHSQNIIEYFEAIPGVSKIKDKCNPATWMLEVSSMAAEVQLGIDFAEYYRSSSLHQHNKALVNALSNPAPGTNDLYFRTKYSQSTIGQFKACLWKQWWTYWRSPDYNLVRYSFTLFTALLLGTIFWKIGQNRNSATDLKTIIGSMYASVLFIGINNCSTVQPVVSIERTVFYRERAAGMYSALPYAIAQVVMEIPYVFIQGMYFTVIVYSMMGFPWTAVKFLWFFFISFFSFLYFTYYGMMTVSISPNHQVAAIFAGTFYSIFNLFSGFFIAKPKIPHWWVWYYWICPLAWTVYGLIVTQYGDLEDTIQVPGEERQKIKDYVKHYYGYHTDFIGVVAAVLVGFSVFFAVMFAYCIKKLNFQQR >DRNTG_00100.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:3253705:3253855:1 gene:DRNTG_00100 transcript:DRNTG_00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHSSSSSSSSSSPSSSSSSSHGQPLYLKGCFVNLLPYLENQSAVITQQ >DRNTG_34567.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:1448539:1450680:1 gene:DRNTG_34567 transcript:DRNTG_34567.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g20770 [Source:Projected from Arabidopsis thaliana (AT4G20770) UniProtKB/Swiss-Prot;Acc:Q9SVH0] MIHAQILTNGASSDTFLSNRLIELYSKSSHFGHALRVFDEMPHRNIYSWNAIISACSKSGKLDLACQLFDRMPERNVVSYNMVIGVLARGGLENRALELYYRMVKERALPTHFTFASVLSVCGTLMGLEDGRRCHCLAVKVGLDDNLFVENALLSMYTKCGSIEGACKVFDGMSNPNEVSFTAMMGGLMQSGSVDEALSLFGKMHRNGIHIDPVAVSGVLNACALDQEHGIHGNFCGLLIQAMVTKYGFDSDTHVGNSLIDMYAKHGEMEMAEMVFNTLPIVNVVSWNALISGYGQMGDSKKAIDLLQRMKELDFEPDEVTYISMLSACVNSGDIEAAIQMFDNISNPTVASWNAILSGLCKGESYEKAIQYFREMQFRHVKPDRTTMAVILSSCSGMGLLHLGKQVHAASVRTMLDVDMFVASGLVDMYSKCGLIKAGRCVFDRMPERDVVSWNSMITGFAHHSLNQEAFIFFKHMQENGMSPTASSYASVINSCARLSSLPQGRQIHAMTLKDGYDNHVFVGSALIDMYAKCGNVNEACIFFNSMPVKNVVSWNEMIHGYAQNGCGEKAVELFEYMLRSEEKPDDVTFISVLTACSHVGLIDEGIKYLNSMEKHGIKPLADHYTCVIDSLGRAGRLMEAEALVDRMPFKDDPIIWEVFLSSCSVHGNARLGRKAAEELFRLDPHNSAPYVLLSNIYAALGQWSDV >DRNTG_25671.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:21584062:21585830:1 gene:DRNTG_25671 transcript:DRNTG_25671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPDTSKTTKLERYNSYLRRINTARLLTLSSQLLFRTTILAALLLILLFTLHHPPLSSFSFSSSPPHSHRSLLSSSSSSSTSSSLSSHSFQRQVLHSATPRNPTGLSVLVTGAAGFVGSHCSLALKKRGDGVLGLDNFNSYYDPSLKRSRQSLLSKHSIFIVDGDINDSSLLKTLFDLTPFTHILHLAAQAGVRYAMRNPQSYISSNIAGFVSLLEVAAKSADPQPSIVWASSSSVYGLNVENPFSELHRTDRPASLYAATKKAGEEIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFTKSILSGKPITVFKTQDGAGEVARDFTYIDDVVKGCLGALDTAEKSTGSGGKKKGQAQLRIYNLGNTSPVPVGKLVGILEGLLGVKAKKNVVKMPSNGDVPYTHANVSLAEHDFGYRPTTDLAAGLRKFVKWYVQYYGIKNKVHGGVGGGIDVSEVASV >DRNTG_15178.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:18329560:18330525:1 gene:DRNTG_15178 transcript:DRNTG_15178.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSKAAPKLERKIVEKNRRDYMKLLLSNLDSLLPNYSPNTKKMIALPERLDEAVKYIKELKMKVEKMEKKECLSGSEGTSQQTTREHNN >DRNTG_14913.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20473845:20475949:1 gene:DRNTG_14913 transcript:DRNTG_14913.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVMHVVSSVEVSSFEFSGSFKSSKRFLCSRNEGRLFGKDSRWNSPICSSLVANHAGDVVLSSEQKVYDVVLKQAALVKQHRRPNAVDVKPDIVVPGTMYLLKEAYDRCGEVCAEYAKTFYLGTMLMTPERQRAIWAIYVWCRRTDELVDGPNASHITPSALDRWESRLEDLFAGRPYDMLDAALSDTASMYPVDIQPFKDMIEGMRMDLRKSRYKNFDELYLYCYYVAGTVGLMSVPVMGIAPESKAATESVYNAALALGIANQLTNILRDVGEDARRGRIYLPQDELAQAGLTEEDIFDCKVTDKWRSFMKSQIKRARMFFEQAEKGVTELSQASRWPVWASLLLYRQILDEIEANDYNNFTKRAYVSKAKKLMALPMAYGRSLLKPASLTHSSFVKT >DRNTG_14913.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20473845:20475949:1 gene:DRNTG_14913 transcript:DRNTG_14913.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVMHVVSSVEVSSFEFSGSFKSSKRFLCSRNEGRLFGKDSRWNSPICSSLVANHAGDVVLSSEQKVYDVVLKQAALVKQHRRPNAVDVKPDIVVPGTMYLLKEAYDRCGEVCAEYAKTFYLGTMLMTPERQRAIWAIYVWCRRTDELVDGPNASHITPSALDRWESRLEDLFAGRPYDMLDAALSDTASMYPVDIQPFKDMIEGMRMDLRKSRYKNFDELYLYCYYVAGTVGLMSVPVMGIAPESKAATESVYNAALALGIANQLTNILRDVGEDARRGRIYLPQDELAQAGLTEEDIFDCKVTDKWRSFMKSQIKRARMFFEQAEKGVTELSQASRWPVWASLLLYRQILDEIEANDYNNFTKRAYVSKAKKLMALPMAYGRSLLKPASLTHSSFVKT >DRNTG_14913.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20473845:20475949:1 gene:DRNTG_14913 transcript:DRNTG_14913.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVMHVVSSVEVSSFEFSGSFKSSKRFLCSRNEGRLFGKDSRWNSPICSSLVANHAGDVVLSSEQKVYDVVLKQAALVKQHRRPNAVDVKPDIVVPGTMYLLKEAYDRCGEVCAEYAKTFYLGTMLMTPERQRAIWAIYVWCRRTDELVDGPNASHITPSALDRWESRLEDLFAGRPYDMLDAALSDTASMYPVDIQPFKDMIEGMRMDLRKSRYKNFDELYLYCYYVAGTVGLMSVPVMGIAPESKAATESVYNAALALGIANQLTNILRDVGEDARRGRIYLPQDELAQAGLTEEDIFDCKVTDKWRSFMKSQIKRARMFFEQAEKGVTELSQASRWPVWASLLLYRQILDEIEANDYNNFTKRAYVSKAKKLMALPMAYGRSLLKPASLTHSSFVKT >DRNTG_02452.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3503456:3525731:1 gene:DRNTG_02452 transcript:DRNTG_02452.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C1 [Source:Projected from Arabidopsis thaliana (AT2G47830) UniProtKB/Swiss-Prot;Acc:Q8L725] MSAPDVVHHANLDHIRSHPGHHHEVDLDHPALALGMMIISISVKEGLYWITKKTGEKEGSGLMQANAWHHRADAVSSVVALIGVGGAILGVPFLDPLAGLVVSGMILKAGIETGWESVKELVDAGVPQHVLAPIRQTITEVDGVKGCHRLRGRKAGSALYLDVHIEVDPFLSISAAHDIGENVRHHIQKNYNQVAEVFIHIDPSYSCCPLVDESKDKKAKEDLRSMVSVKQDADAVICNVISKLYAEKMSIEHMTRHSLKGKTLLMVQVSMPPDMTIR >DRNTG_02452.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3499803:3525731:1 gene:DRNTG_02452 transcript:DRNTG_02452.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C1 [Source:Projected from Arabidopsis thaliana (AT2G47830) UniProtKB/Swiss-Prot;Acc:Q8L725] MGIWFLRLITIHRACRPATSSPAWRHRPHGHLLPLTAERQNHRLGSRRWHMGHSHGSHSWEEGIEPDRVFRLGLAADVALTAGKTFAGYVTGSTAIIADAAHSLSDVVLSGVALWSFRAAKAPKDKEHPYGHGKFETLGTLGISSMLLVTAGGIAWHAVDILQSLLMSAPDVVHHANLDHIRSHPGHHHEVDLDHPALALGMMIISISVKEGLYWITKKTGEKEGSGLMQANAWHHRADAVSSVVALIGVGGAILGVPFLDPLAGLVVSGMILKAGIETGWESVKELVDAGVPQHVLAPIRQTITEVDGVKGCHRLRGRKAGSALYLDVHIEVDPFLSISAAHDIGENVRHHIQKNYNQVAEVFIHIDPSYSCCPLVDESKDKKAKEDLRSMVSVKQDADAVICNVISKLYAEKMSIEHMTRHSLKGKTLLMVQVSMPPDMTIR >DRNTG_02452.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3499803:3525731:1 gene:DRNTG_02452 transcript:DRNTG_02452.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C1 [Source:Projected from Arabidopsis thaliana (AT2G47830) UniProtKB/Swiss-Prot;Acc:Q8L725] MGIWFLRLITIHRACRPATSSPAWRHRPHGHLLPLTAERQNHRLGSRRWHMGHSHGSHSWEEGIEPDRVFRLGLAADVALTAGKTFAGYVTGSTAIIADAAHSLSDVVLSGVALWSFRAAKAPKDKEHPYGHGKFETLGTLGISSMLLVTAGGIAWHAVDILQSLLMSAPDVVHHANLDHIRSHPGHHHEVDLDHPALALGMMIISISVKEGLYWITKKTGEKEGSGLMQANAWHHRADAVSSVVALIGVGGAILGVPFLDPLAGLVVSGMILKAGIETGWESVKELVDAGVPQHVLAPIRQTITEVDGVKGCHRLRGRKAGSALYLDVHIENGAGRSFSEHQCCA >DRNTG_02452.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3499803:3502759:1 gene:DRNTG_02452 transcript:DRNTG_02452.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C1 [Source:Projected from Arabidopsis thaliana (AT2G47830) UniProtKB/Swiss-Prot;Acc:Q8L725] MGIWFLRLITIHRACRPATSSPAWRHRPHGHLLPLTAERQNHRLGSRRWHMGHSHGSHSWEEGIEPDRVFRLGLAADVALTAGKTFAGYVTGSTAIIADAAHSLSDVVLSGVALWSFRAAKAPKDKEHPYGHGKFETLGTLGISSMLLVTAGGIAWHAVDILQAWTSFDCLLS >DRNTG_02452.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:3499803:3504493:1 gene:DRNTG_02452 transcript:DRNTG_02452.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C1 [Source:Projected from Arabidopsis thaliana (AT2G47830) UniProtKB/Swiss-Prot;Acc:Q8L725] MGIWFLRLITIHRACRPATSSPAWRHRPHGHLLPLTAERQNHRLGSRRWHMGHSHGSHSWEEGIEPDRVFRLGLAADVALTAGKTFAGYVTGSTAIIADAAHSLSDVVLSGVALWSFRAAKAPKDKEHPYGHGKFETLGTLGISSMLLVTAGGIAWHAVDILQSLLMSAPDVVHHANLDHIRSHPGHHHEVDLDHPALALGMMIISISVKEGLYWITKKTGEKEGSGLMQANAWHHRADAVSSVVALIGV >DRNTG_25092.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:741646:742982:1 gene:DRNTG_25092 transcript:DRNTG_25092.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSNTSATTEASTGSTTYASTDAPTMTTAKSSTGTTTTTNASAMTTAKASTSTTANASPGTTTATYASTMTSTNASTGTTACTTTNASTGSTSTADASTMTTTYASTGTTSTTDASAGTTTYPSTGTTAATNASTGATSATDASTMTTTYPSTGTTSATNTSTMTTTYPSTRTTTTTNASTSATSDTNTSTMTTTYPSTRTTTTTNTSSGATSTTDTSTVTTTYPSTGTTSATNASTMTTTYSSAYTSSTTMTTTMPTTNTKTTTFTSSKASTSTTTTSKTTTTTSSTSTFTSNKSILL >DRNTG_15203.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5839723:5853922:1 gene:DRNTG_15203 transcript:DRNTG_15203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSITLPLHGFSSHSSFTSCMLRRHYKVQKPLISTLTSLSLHCISASSKKLSSRGFLHPLRSSFEGIPSEFVEDSKFVPLNADDPVYGPPALLLLGFEIDETNEIQKFLKAIDGEFMKIIHCTEEMLNQSVWDAVNTEQPSLEAVKIAKSLPRICLLSGLSGEKMMMMFIDAFPETGLKEAVFAAVVPNSADKLLSEVVEEIMGDHEMLSAQNSS >DRNTG_15203.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:5839723:5842092:1 gene:DRNTG_15203 transcript:DRNTG_15203.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSITLPLHGFSSHSSFTSCMLRRHYKVQKPLISTLTSLSLHCISASSKKLSSRGFLHPLRSSFEGIPSEFVEDSKFVPLNADDPVYGPPALLLLGFEIDETNEIQKFLKAIDGEFMKIIHCTEEMLNQSVWDAVNTEQPSLEAVKIAKSLPRICLLSGLSGEEMMMFIDAFPETGLKEAVFAAVVPNSADKLLSEVVEEIMGDHEMLSAQNSS >DRNTG_14403.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:17475682:17484287:1 gene:DRNTG_14403 transcript:DRNTG_14403.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFRWRRRQAALLVLFQGCEAEYKGKKPMWITMYRFWRKSS >DRNTG_04721.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:8282304:8284053:-1 gene:DRNTG_04721 transcript:DRNTG_04721.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGTHWCHQCQQTIRPRDRNLVCPNCDGGFIEELNEMHGIGSTFDLPRMFMDRRRGNPVGLMEALTTFMSHGMGARNREVDVRGRTNSFPDPRMGFGDGPWLIFQGHLPSRMGDNGGFDFLINGGHGFGMRRADAADYFLGPGLDELIEQLSRNNRHGPPPASRSAIDALPTIKISQKHLRRDSHCPVCKEQFELGSEARVMPCKHIYHSDCIVPWLVQHNTCPVCRLELLHPGSARNAGTRSSNSSAGSGSSSSGLRGNSMGTESDGDRNGRRNPFSFLWPFRTSNSNPGSHQHESGGSNSASVPEDNNHPMTYSGWPFD >DRNTG_35073.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:19629401:19630428:1 gene:DRNTG_35073 transcript:DRNTG_35073.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTVSLDCELMKCRILEREFFSETMAESEVLLRDKDAQAETTLEMEKLKRRKREKKYNWWQ >DRNTG_07736.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:2599167:2602250:1 gene:DRNTG_07736 transcript:DRNTG_07736.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTISKSLSEEEASPQKPCSSQGPTQKQLQSVAIDALTDKTEKIHVLSQVDEALTSDVNGDQEKKLSVQSSVKDSSASAKVSDGTSSLAKTSGSAKISDRIDCVESGKSSLCRASTSTDVSDESTCSSMSSSISKPHKANDSRWEAIQMIRTRDGVLGLNHFRLLKRLGCGDIGSVYLSELGGTKSYFAMKVMDKASLASRKKLLRAQTEREILQCLDHPFLPTLYTHFETEKFSCLVMEYCPGGDLHTLRQRQPGKYFSEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVNPTLIKSSNPDSDSLKRSNPVYCVQPACIEPSCIQPACVAPTTCFGPRLFSSKSKKDRKPKPEIGNQVTPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGLGNRATLFNVVGQPLRFPDSPIVSFAARDLIRGLLIKEPQQRLAYKRGATEIKQHAFFEGVNWALIRCATPPEIPKPVELERPPVSATSTSEKPANTAVTQKGSDNYLEFDFF >DRNTG_26199.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29037548:29039224:-1 gene:DRNTG_26199 transcript:DRNTG_26199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMHFLDTGKFASIEDFQKEFKDINQEEQVVRLHKMLAPHLLRSKFPKVSLVAVN >DRNTG_15099.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1768382:1771291:-1 gene:DRNTG_15099 transcript:DRNTG_15099.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVGFLECFLKLLNFVLTVTGLGMVGYGIYLLVEWNRFSGGDDGGVTPPLPYDSEILKLGRPMLLVVSLSESILDKLPKAWFIYLFIGVGVVLFVISCFGCIGAATRNGCCLSCYSLLVILLILVELGAAAFIFFDHSWKDAIPADKTGDFDMIYGFLEGHWKIVRWVALGAVGLEVLAFLLALIVRAIHKPVEYDSDEEYIAPRPTVRQPLINRSGVPATGVPVTATLDQRPSRNDAWSQRMREKYGLETSEFTYNPSDPNRYQQTTAPAEERGRCTIL >DRNTG_07925.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20318044:20320522:1 gene:DRNTG_07925 transcript:DRNTG_07925.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARASTWGDVYSYGILLLEMLTGKRPTDEFPENLSLREFVKLAFPNQILNIIAPCLLSFVDDGMGQEDAREKIYECLVSLVGIGLSCSQESPRDRMEMRNVCRELLDIKDLFIRLRIPSCRDRKDKRNSEI >DRNTG_03209.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000146.1:51765:53378:1 gene:DRNTG_03209 transcript:DRNTG_03209.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIKPVRVNEREVQEMLVLEDGRSARVPPLAVMVVVGRRMMVSKGATSGIAGWHRMMVYECGSKLWKLKGEGQAVAKEEYIEILKLMEGELGEKKFFRANTFGFVDIALVPFVAWFYTY >DRNTG_24617.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31096182:31097700:-1 gene:DRNTG_24617 transcript:DRNTG_24617.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSVSKLSQGLVVPAEATPGGRLALSDLDQTPLLRCLVPSLHLFKHGPWDAAKVIRTALSKALVFYYPLAGKLVEDDETGELHVDCTGNGVWFIEASANCSLEDVNYLEHPFSISIQALLPDSRPHINLEDHIILIQVTQFTCGGFVIGIRFSHTIADGKGAAQFFHAVAELARGMERPSIIPVWSREFVKRRIARAPAAGAPSNSPSKRLEYMIIDVPTEHINKLKQDYLDETSKPCSTFDVLIATVWQRRTRAICLPPDALTKLSFAANFLHLLTPALPAEGGYYGNCFYVLKAKAPSEMVANASIVELVKIIKETKGKLAEGFAKWVKGEDDDDEGDSDCYDTLNATDWRHLGFNDVDYGWGKAARIVPFEHTDFMPFCVLGLPPAKENSVRLMTYCVLNEHYAAFRDQMISLA >DRNTG_24617.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31096109:31097700:-1 gene:DRNTG_24617 transcript:DRNTG_24617.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSVSKLSQGLVVPAEATPGGRLALSDLDQTPLLRCLVPSLHLFKHGPWDAAKVIRTALSKALVFYYPLAGKLVEDDETGELHVDCTGNGVWFIEASANCSLEDVNYLEHPFSISIQALLPDSRPHINLEDHIILIQVTQFTCGGFVIGIRFSHTIADGKGAAQFFHAVAELARGMERPSIIPVWSREFVKRRIARAPAAGAPSNSPSKRLEYMIIDVPTEHINKLKQDYLDETSKPCSTFDVLIATVWQRRTRAICLPPDALTKLSFAANFLHLLTPALPAEGGYYGNCFYVLKAKAPSEMVANASIVELVKIIKETKGKLAEGFAKWVKGEDDDDEGDSDCYDTLNATDWRHLGFNDVDYGWGKAARIVPFEHTDFMPFCVLGLPPAKENSVRLMTYCVLNEHYAAFRDQMISLA >DRNTG_24617.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31096182:31097818:-1 gene:DRNTG_24617 transcript:DRNTG_24617.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSVSKLSQGLVVPAEATPGGRLALSDLDQTPLLRCLVPSLHLFKHGPWDAAKVIRTALSKALVFYYPLAGKLVEDDETGELHVDCTGNGVWFIEASANCSLEDVNYLEHPFSISIQALLPDSRPHINLEDHIILIQVTQFTCGGFVIGIRFSHTIADGKGAAQFFHAVAELARGMERPSIIPVWSREFVKRRIARAPAAGAPSNSPSKRLEYMIIDVPTEHINKLKQDYLDETSKPCSTFDVLIATVWQRRTRAICLPPDALTKLSFAANFLHLLTPALPAEGGYYGNCFYVLKAKAPSEMVANASIVELVKIIKETKGKLAEGFAKWVKGEDDDDEGDSDCYDTLNATDWRHLGFNDVDYGWGKAARIVPFEHTDFMPFCVLGLPPAKENSVRLMTYCVLNEHYAAFRDQMISLA >DRNTG_24617.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31096109:31097818:-1 gene:DRNTG_24617 transcript:DRNTG_24617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSVSKLSQGLVVPAEATPGGRLALSDLDQTPLLRCLVPSLHLFKHGPWDAAKVIRTALSKALVFYYPLAGKLVEDDETGELHVDCTGNGVWFIEASANCSLEDVNYLEHPFSISIQALLPDSRPHINLEDHIILIQVTQFTCGGFVIGIRFSHTIADGKGAAQFFHAVAELARGMERPSIIPVWSREFVKRRIARAPAAGAPSNSPSKRLEYMIIDVPTEHINKLKQDYLDETSKPCSTFDVLIATVWQRRTRAICLPPDALTKLSFAANFLHLLTPALPAEGGYYGNCFYVLKAKAPSEMVANASIVELVKIIKETKGKLAEGFAKWVKGEDDDDEGDSDCYDTLNATDWRHLGFNDVDYGWGKAARIVPFEHTDFMPFCVLGLPPAKENSVRLMTYCVLNEHYAAFRDQMISLA >DRNTG_24617.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:31096054:31097700:-1 gene:DRNTG_24617 transcript:DRNTG_24617.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSVSKLSQGLVVPAEATPGGRLALSDLDQTPLLRCLVPSLHLFKHGPWDAAKVIRTALSKALVFYYPLAGKLVEDDETGELHVDCTGNGVWFIEASANCSLEDVNYLEHPFSISIQALLPDSRPHINLEDHIILIQVTQFTCGGFVIGIRFSHTIADGKGAAQFFHAVAELARGMERPSIIPVWSREFVKRRIARAPAAGAPSNSPSKRLEYMIIDVPTEHINKLKQDYLDETSKPCSTFDVLIATVWQRRTRAICLPPDALTKLSFAANFLHLLTPALPAEGGYYGNCFYVLKAKAPSEMVANASIVELVKIIKETKGKLAEGFAKWVKGEDDDDEGDSDCYDTLNATDWRHLGFNDVDYGWGKAARIVPFEHTDFMPFCVLGLPPAKENSVRLMTYCVLNEHYAAFRDQMISLA >DRNTG_09980.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22123526:22129473:1 gene:DRNTG_09980 transcript:DRNTG_09980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILLHGNLHVTIFEAHLITKAGGASGSAAGFLEQLVEGIENAIGIAEEPSKVYATIDLDKARVGRTRRISNEPENPRWHEAFQIYCAHSAANVIFTVKCDMAVDASLFGRAYLPVEQIINGTEVERWLEICDQKHNPIGSSKIHVKVQYFDVSKDRSWSRGIQSPKFPGVPYTFFQQRQGCKVSLYQDVHVSDNFIPKIPLADGKYYEPHRCWEDIFDAITNAQHLIYIAGWSVYTEITLIRDSRRPRPGGDATLGELLKKKATEGVRVLMLVWNDKTSGGLFGKEGHMETHDEDTADYFRGTDVHCVLCERNADGGDIVENLEKSFIFTHHQKIVVVDHEMPKKTSLRRRIVSFVGGIDLCDGRYDTQFHSLFRTLDTAHHDDFHQINFAGASIKKGGPESHGMTFIQDLKVQLHGMFCSTLNRDGGNRVVVRICLFTSGIWRTSSFLLLLFCFQKIGKHGMFSYLDQLMQVLPMVFLRHQKVQLEQG >DRNTG_09980.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22124211:22129473:1 gene:DRNTG_09980 transcript:DRNTG_09980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILLHGNLHVTIFEAHLITKAGGASGSAAGFLEQLVEGIENAIGIAEEPSKVYATIDLDKARVGRTRRISNEPENPRWHEAFQIYCAHSAANVIFTVKCDMAVDASLFGRAYLPVEQIINGTEVERWLEICDQKHNPIGSSKIHVKVQYFDVSKDRSWSRGIQSPKFPGVPYTFFQQRQGCKVSLYQDVHVSDNFIPKIPLADGKYYEPHRCWEDIFDAITNAQHLIYIAGWSVYTEITLIRDSRRPRPGGDATLGELLKKKATEGVRVLMLVWNDKTSGGLFGKEGHMETHDEDTADYFRGTDVHCVLCERNADGGDIVENLEKSFIFTHHQKIVVVDHEMPKKTSLRRRIVSFVGGIDLCDGRYDTQFHSLFRTLDTAHHDDFHQINFAGASIKKGGPESHGMTFIQDLKVQLHGMFCSTLNRDGGNRVVVRICLFTSGIWRTSSFLLLLFCFQKIGKHGMFSYLDQLMQVLPMVFLRHQKVQLEQG >DRNTG_09980.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22123526:22129473:1 gene:DRNTG_09980 transcript:DRNTG_09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMLLHGNLQATIFEAHSLIKPGRTSGSAPRFFHQLVEGIENAIGIAEEPSKVYATIDLDKARVGRTRRISNEPENPRWHEAFQIYCAHSAANVIFTVKCDMAVDASLFGRAYLPVEQIINGTEVERWLEICDQKHNPIGSSKIHVKVQYFDVSKDRSWSRGIQSPKFPGVPYTFFQQRQGCKVSLYQDVHVSDNFIPKIPLADGKYYEPHRCWEDIFDAITNAQHLIYIAGWSVYTEITLIRDSRRPRPGGDATLGELLKKKATEGVRVLMLVWNDKTSGGLFGKEGHMETHDEDTADYFRGTDVHCVLCERNADGGDIVENLEKSFIFTHHQKIVVVDHEMPKKTSLRRRIVSFVGGIDLCDGRYDTQFHSLFRTLDTAHHDDFHQINFAGASIKKGGPESHGMTFIQDLKVQLHGMFCSTLNRDGGNRVVVRICLFTSGIWRTSSFLLLLFCFQKIGKHGMFSYLDQLMQVLPMVFLRHQKVQLEQG >DRNTG_16018.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17551162:17559276:-1 gene:DRNTG_16018 transcript:DRNTG_16018.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLLLQRQAQQQQQQQQQQQHHQQQQQQQQQQQPQQQQQQQQQQRRDGTHLLNGTANGLVGGDPLMRPSPGTANALATRMYEERLKLPLQRDSLDEASMKQRFGENVGQLLDPSQASMLKSPAASGQSSGQVLHGSAGGIPGTLLQVQQRNQQLPGPMPDIKSEINPVLNSRAAAPDGSLIGMPGSNQAGNNLTLKGWPLTGLDQLRSGILQQKPFMQSPHPFHQLQFLSPQQQQLLQAQQNLASPTTGDIDTRRLKMLLSNRNMVLGKDGQSTIVGDVIQNAGSPMQAASPVLPRTDTDLLIKKIAQLHQQQQQQSSTQQHAISGQQLQSSNHHLHQPEKIGTGSGAVDGSTSNSYRGTDQASKNQNARKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVLQHNNSSSKPLMGFASDATGALTSPTTQLADMDHFVEDGSLDDNVESFLSHDDPDPRDTVGRGMDDTKGCSFREICSTQASTNKVDCCHFSSDGKLLATGGHDKKAFLWYAENLKLKFTLEEHSMLITDVRFTSSIPRLATSSFDKTVKVWDADNPGYSLRTFTGHSASVVSLDFHPIKEDFISSCDKDGEIRYWSINKGCCMGVLKGGTTQMRFQPRLGRYIAAAAENTVSVIDVETKAILHSLQGHTKQIDSVCWDPSGSYLASVSEDSVRVWSFGSGNEGEFMHELCCSGNKFHSCVFHPTYPSLLVIGCYQASN >DRNTG_16018.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17550484:17561222:-1 gene:DRNTG_16018 transcript:DRNTG_16018.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTNWEADKMLDVYIYDYFVKRNLQATAKAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDVAASYIETQLIKAREQQQHAQQQPQQQQQQQQQPQQQQQQQQQQQQQQIQMQQLLLQRQAQQQQQQQQQQQHHQQQQQQQQQQQPQQQQQQQQQQRRDGTHLLNGTANGLVGGDPLMRPSPGTANALATRMYEERLKLPLQRDSLDEASMKQRFGENVGQLLDPSQASMLKSPAASGQSSGQVLHGSAGGIPGTLLQVQQRNQQLPGPMPDIKSEINPVLNSRAAAPDGSLIGMPGSNQAGNNLTLKGWPLTGLDQLRSGILQQKPFMQSPHPFHQLQFLSPQQQQLLQAQQNLASPTTGDIDTRRLKMLLSNRNMVLGKDGQSTIVGDVIQNAGSPMQAASPVLPRTDTDLLIKKIAQLHQQQQQQSSTQQHAISGQQLQSSNHHLHQPEKIGTGSGAVDGSTSNSYRGTDQASKNQNARKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVLQHNNSSSKPLMGFASDATGALTSPTTQLADMDHFVEDGSLDDNVESFLSHDDPDPRDTVGRGMDDTKGCSFREICSTQASTNKVDCCHFSSDGKLLATGGHDKKAFLWYAENLKLKFTLEEHSMLITDVRFTSSIPRLATSSFDKTVKVWDADNPGYSLRTFTGHSASVVSLDFHPIKEDFISSCDKDGEIRYWSINKGCCMGVLKGGTTQMRFQPRLGRYIAAAAENTVSVIDVETKAILHSLQGHTKQIDSVCWDPSGSYLASVSEDSVRVWSFGSGNEGEFMHELCCSGNKFHSCVFHPTYPSLLVIGCYQSLELWDMSENKTMTLAAHDGLVAALAVSNATGVVASASHDKLVKLWK >DRNTG_16018.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17559963:17561222:-1 gene:DRNTG_16018 transcript:DRNTG_16018.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTNWEADKMLDVYIYDYFVKRNLQATAKAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDVAASYIEASFKGCSYSILHFALCDYLHSL >DRNTG_16018.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17550484:17550994:-1 gene:DRNTG_16018 transcript:DRNTG_16018.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLELWDMSENKTMTLAAHDGLVAALAVSNATGVVASASHDKLVKLWK >DRNTG_16018.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17550484:17550943:-1 gene:DRNTG_16018 transcript:DRNTG_16018.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLELWDMSENKTMTLAAHDGLVAALAVSNATGVVASASHDKLVKLWK >DRNTG_14950.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1076637:1078433:-1 gene:DRNTG_14950 transcript:DRNTG_14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFNQETLQQRFQALIEGAKEKWTYAIFWQSSVDEASGASLLGWGDGYYKGCEEDRQKRTLGNAASAAEQEHRKRVLRELNSLVAGGGSSSPDEVVEEEVTDTEWFFLVSMTQSFVNGAGIPGQALFSGSPIWIAGGDRLQVSACERARQAEVFGLRTMVCVPVGGGVVELGSTDLIFQSNEIMNKIRFLFSFNATEMEMNPSASFFQPPQPAAQQPEVVDQGETDPAALWISDPSLVEIKDSVSPEISVSKPHVPFDSNPSSSTLTENPSSINLQNQYHPSSSHFTKELNFSEFHVNTTAAPPQSCKPESGSILNFSEGRKTPAPLQHQITDQNKNKRSTGATSRASNEDGMLSFTSTPARPSSAPGNAGGIILNGGDSEHSDLEASLREAESSRVVAEPEKKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAITYINELRSKMQTLESDKEILQSQLEDLKQDQDGDSKPMNGSAAAAAKCIGVEIEVKILGPEAMIRVQCIKKNHPAARLMTAMRELDLDLHYASVTVMNDMMIQQATVKMLSRSYTQEQLTAALYSKVAESSSSNGNAR >DRNTG_03157.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000141.1:10608:12459:-1 gene:DRNTG_03157 transcript:DRNTG_03157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLEKHLTRFVQSTNTRFESVEATLRNHTASLHNLENQVGQIAKSLSERPHGSLPSNKETNPREHVKAITLRSGREVEGRLPSEKPKEHAPKVIEVEEGTGKEKEEDYEEVMMLGSMEEVPSNPGILKKVLQKMKRARRCHRKHSKSVGDVREPKKLDESLLEPAGFSKASSENARACGISAHPWICIASLSREGTGACGCLCKRPCEYAMTRCGCKV >DRNTG_24163.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001288.1:35312:35743:1 gene:DRNTG_24163 transcript:DRNTG_24163.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNFFASSFNTPFPVKTSPPPRRVKTHRKTVFASKKNSGDYGSDHSKGIVDENMIVLRKRMHEMKMAERNYEPPENWMEWEKRYYTRYESDVCVAIGFLQTFLMNNRPSVGVSVLLLHLLSLPVSVVLILLHLTSHIMPSLG >DRNTG_03650.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:27384664:27385651:-1 gene:DRNTG_03650 transcript:DRNTG_03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNYHLLVFILGEFAMSFSLLSQLRFGKDLARSLEWFYSFQHRVPSEDSYLESFRQHQLGEVYPRLDEGSLGEDESDVLRDKLHWGLVVRAKELSFEVILSVGA >DRNTG_01519.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:12853496:12855724:-1 gene:DRNTG_01519 transcript:DRNTG_01519.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTTAAFLYDTLSPLTFSSTFPADDADAEAYEVFRNTITSTAGPSSEIPAADYFSLDVTVTDTASHEPFTTPNARPRHGDANDDASPVSWLRSGRRFRSPMLQLHKEILDFCDFISPTTGEQALRTAAVERVSDVVKYIWPHCKVEVFGSFTTGLYLPTSDIDASILLLLNFLLQWEKLETICYPFDMF >DRNTG_01519.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:12847182:12855724:-1 gene:DRNTG_01519 transcript:DRNTG_01519.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVILDSKVRTPQMGLYALARALSQRSITKKMQVIAKARVPIIKFVERQSGIAFDISFDIDSGPKAASFIKDAVNRIPPLRPLCLILKVFLHQRELNEVYSGGIGSYTLLAMLIACLQMHWREQGSQGCKKRVEHNLGILLVSFFDLYGRKLNTMDVGVSCNLRGMFFLKTDKGFVNKERPNLLSVEDPQAADNDIGRNSYNFYKVKSAFSMAYSCLTDTKAIIGFGHKEEYPGDHN >DRNTG_01519.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:12847182:12855724:-1 gene:DRNTG_01519 transcript:DRNTG_01519.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTTAAFLYDTLSPLTFSSTFPADDADAEAYEVFRNTITSTAGPSSEIPAADYFSLDVTVTDTASHEPFTTPNARPRHGDANDDASPVSWLRSGRRFRSPMLQLHKEILDFCDFISPTTGEQALRTAAVERVSDVVKYIWPHCKVEVFGSFTTGLYLPTSDIDVVILDSKVRTPQMGLYALARALSQRSITKKMQVIAKARVPIIKFVERQSGIAFDISFDIDSGPKAASFIKDAVNRIPPLRPLCLILKVFLHQRELNEVYSGGIGSYTLLAMLIACLQMHWREQGSQGCKKRVEHNLGILLVSFFDLYGRKLNTMDVGVSCNLRGMFFLKTDKGFVNKERPNLLSVEDPQAADNDIGRNSYNFYKVKSAFSMAYSCLTDTKAIIGFGHKEEYPGDHN >DRNTG_01519.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:12847182:12852579:-1 gene:DRNTG_01519 transcript:DRNTG_01519.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYALARALSQRSITKKMQVIAKARVPIIKFVERQSGIAFDISFDIDSGPKAASFIKDAVNRIPPLRPLCLILKVFLHQRELNEVYSGGIGSYTLLAMLIACLQMHWREQGSQGCKKRVEHNLGILLVSFFDLYGRKLNTMDVGVSCNLRGMFFLKTDKGFVNKERPNLLSVEDPQAADNDIGRNSYNFYKVKSAFSMAYSCLTDTKAIIGFGHKEEYPGDHN >DRNTG_13157.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:15534168:15534389:-1 gene:DRNTG_13157 transcript:DRNTG_13157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSKETRRSSKGKVKGSQGKKISSFNVEIDNYLKEGDPWLEEASYGIPLAEKASLGTFSTSTFTISSRECHL >DRNTG_34356.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002132.1:13039:14079:1 gene:DRNTG_34356 transcript:DRNTG_34356.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSCYPDHFECKNFFISDNEDAEISDLFDNVFDYIDYVEQQSGKVLVHCFEGKTRSFWGCGSPHAGHNIECFR >DRNTG_12480.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:22569728:22571450:1 gene:DRNTG_12480 transcript:DRNTG_12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISSCIFVAKEYSRIWCIGEDCSFEGCMCRV >DRNTG_06601.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:594992:595500:1 gene:DRNTG_06601 transcript:DRNTG_06601.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGALIGSRPPRCEGKCRSCGHCEAVQVPLAPQDNKNGVGLVHHLHFSRTIINSRFDDNSNYKPMSWKCKCGTIILNP >DRNTG_06601.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:594552:595500:1 gene:DRNTG_06601 transcript:DRNTG_06601.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVQMHANAFLSLLLLLTYPVLTTSKPLSKLLENVKEREEEKAMVGALIGSRPPRCEGKCRSCGHCEAVQVPLAPQDNKNGVGLVHHLHFSRTIINSRFDDNSNYKPMSWKCKCGTIILNP >DRNTG_10324.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:3099205:3102084:1 gene:DRNTG_10324 transcript:DRNTG_10324.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGEHGGTTYKGYTIPQPKRWHTITGKGLCAIMWFWVLYRAKQDGPVVLGWRHPWEGHEDHGHGHGHGHGHEHEASH >DRNTG_28362.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2408152:2408749:1 gene:DRNTG_28362 transcript:DRNTG_28362.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNQLPPSLVLLKPKPETGHIDLSKQ >DRNTG_09466.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21343558:21346426:-1 gene:DRNTG_09466 transcript:DRNTG_09466.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKCGSIEHAGNFFENLNSREDTASWSAMITGYGIHGYGLEAVQLFNRMRREGPRPDEFTYIGILMACSHVGLVKEGLEYFEEMRKSQGVEPKLEHYACVVDMLGRAGRLVDAARLIEEMPVEPDGRIWSSLLGACKIHSDTNLGERVAKKLLELEPNKAEHYILVANLFAGSGRWDDVRRMREKMKESGLKKEPGLSWINVGNKVYKFVCGHTRYPESEDVQRLWCELKEKIQKAGYIPDTSCVLHELKEEEKVEILQRHSEKQAIAFGLLKMSHGMTLRVFKNIRMCKDCHNTAKLVSKVVERQIIVRDNKRFHHFSHGICSCGDYW >DRNTG_00800.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:8219475:8225142:-1 gene:DRNTG_00800 transcript:DRNTG_00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-regulated receptor-like kinase 1 [Source:Projected from Arabidopsis thaliana (AT5G54590) UniProtKB/Swiss-Prot;Acc:Q9FIU5] MKGVSWGLIVGITIGVVIGVLLATGALFCIRYRKRRSQIGSSSSRRASTVPIRANGVDVCTILSDSTIGQESPKLPETNGASLWVDGPKRKNVISASGVLKYSYKDLQKATSNFTTAIGHGAFGPVYKAQMSTGETVAVKVLATDSKQGEKEFQTEVLLLGRLHHRNLVNLVGYCAEKGQHMLIYVYMPNGSLATHLYSDNYGPLSWDLRVNIALDVARGLEYLHDGAIPPVVHRDIKSSNILLDRSMRARVSDFGLSREEMVNRHASNIKGTLGYLDPEYVSSRSFTKKSDVYSYGDFAF >DRNTG_22398.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:16786474:16788991:-1 gene:DRNTG_22398 transcript:DRNTG_22398.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDSAKTSPFSSPNAGVLLKTRVLAWSKETGSPARACVRVNGEIFNLHESPLKSKSGYFQKAMIEGFDIELPEQFPGGLESFEMVALFSYESLLPFDPFNVAALRCAAEFLEMTEMYCSANLCERSDLYLNQVVLQNWDDTLIVLQKCQPLLPWSEELLIVSRCVESLAFMACMEILDPEDKRDRPIVTTLDALAGWDCEKVKGIAGQDLWIKDLIALPFGFFQRIIASMRRQGMREKYVSPVIMFYANKWVLSKKTHKFWENTAEEDGSDNADNKVSMILVGIIELLPVGDKACRVLPAAFYFALLSRSLTLSLSMEGRMKLEDQAAALLQFARVEHFLLPEKNVQNVASSPEVETMERIISRYVTSKEETNCSGCTPLINNAVVAELWDAYLVKIAANPKLGPIRFMELIETVPIEDRETHDHLYYAMNTFLSKHSDLSIEEKSSVCKYLNCQKLSQEACIQAVQNEQMPLRLIVQALFVQQLQTHQAFRECSDSFRYMQSRDFSGSISSSRCQLPTSPTVEDKPYKPTTEDGETPGMSLCSLLRQDIAVHKSELAKAEYESTSFRIQVLEEELTSLKQILHCQNTPKESDRITPETLSFRMFDSDNGTVIKKRNPIYQVGSCISSVSWTSQRKCARRLLKVIRRIAMLGKGKSKIKQAVSGFSNGANACRSKPLHEVYDC >DRNTG_12742.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25778235:25779560:1 gene:DRNTG_12742 transcript:DRNTG_12742.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKALMKANGRRERVQLHESFFSS >DRNTG_12742.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25778235:25779300:1 gene:DRNTG_12742 transcript:DRNTG_12742.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKALMKANGRRERVQLHESFFSS >DRNTG_12742.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25777925:25779560:1 gene:DRNTG_12742 transcript:DRNTG_12742.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKALMKANGRRERVQLHESFFSS >DRNTG_12742.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25777925:25779452:1 gene:DRNTG_12742 transcript:DRNTG_12742.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKALMKANGRRERVQLHESFFSS >DRNTG_12742.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25778235:25779452:1 gene:DRNTG_12742 transcript:DRNTG_12742.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKALMKANGRRERVQLHESFFSS >DRNTG_12742.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25777925:25779300:1 gene:DRNTG_12742 transcript:DRNTG_12742.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKALMKANGRRERVQLHESFFSS >DRNTG_27530.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21064671:21073307:-1 gene:DRNTG_27530 transcript:DRNTG_27530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATENAPPPMDEKVRRTRELLASFYSSDPSIASAGGPPSPSSAAQSASLDSINSPSFDPDVYMNLLIQKSNLEGLLQKHVEMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKNNISGMETSMEHLLEKIMSVQSRSVTVNTSLFEKREHVEKLHRTRNLLRKVQFIYDLPSRLGKCIKSEAYADAVRFFTGAKPIFEAYGESSFQDCKKASEEAMQVVIQNLQEKLYSDSEPIEARAEAVVLLKQLNFPVDSLKSKLLERLEEFLLRFQIESEVVEGIVEDSSESSKVGKASDPVPTKPPTVSTDQASINEFSKMVHAFLIIFPDTEKRLIELSQDLFSRRYGSIQKKIEKRISSANLLAMLRVVSADVAVVDEVLPEAALPVFSLEAASDIIRNYISSSFTHLLLEISESLTRTQPSLEEGAKESPLQIALESSKKAVIQGSMGLLLDFRQLIEDNVGLAKLRDLIIDLVQEGFQDFFQKLEQSFLLLSGRANANNLDSTIVNSVQVDKVQAGLTLVVAQLSVFIEQSAVPRIMEEIAVSFSGGGLRGYENGPAFVPGEICRLFRASGEKLLHNYINVKTQKISILLKKRFTTPNWIKHKEPREVHMFVDLLLHELDGIGIEVRQILPHGLIRRHRHSDSTGSTNSSRSNPIREDKTARSNSHRARSQLLESHLAKLFEQKMEIFTKVEYTQESIISTIVKLCLKSLQEFVRLQTFNRSGYQQIQLDIEFLKNPLKDFVDDDAAIDFLLKEINHAAHERCLDPIPLEPPIIDKLIQAKLAKNKEQSPSMQ >DRNTG_27530.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21063812:21073307:-1 gene:DRNTG_27530 transcript:DRNTG_27530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATENAPPPMDEKVRRTRELLASFYSSDPSIASAGGPPSPSSAAQSASLDSINSPSFDPDVYMNLLIQKSNLEGLLQKHVEMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKNNISGMETSMEHLLEKIMSVQSRSVTVNTSLFEKREHVEKLHRTRNLLRKVQFIYDLPSRLGKCIKSEAYADAVRFFTGAKPIFEAYGESSFQDCKKASEEAMQVVIQNLQEKLYSDSEPIEARAEAVVLLKQLNFPVDSLKSKLLERLEEFLLRFQIESEVVEGIVEDSSESSKVGKASDPVPTKPPTVSTDQASINEFSKMVHAFLIIFPDTEKRLIELSQDLFSRRYGSIQKKIEKRISSANLLAMLRVVSADVAVVDEVLPEAALPVFSLEAASDIIRNYISSSFTHLLLEISESLTRTQPSLEEGAKESPLQIALESSKKAVIQGSMGLLLDFRQLIEDNVGLAKLRDLIIDLVQEGFQDFFQKLEQSFLLLSGRANANNLDSTIVNSVQVDKVQAGLTLVVAQLSVFIEQSAVPRIMEEIAVSFSGGGLRGYENGPAFVPGEICRLFRASGEKLLHNYINVKTQKISILLKKRFTTPNWIKHKEPREVHMFVDLLLHELDGIGIEVRQILPHGLIRRHRHSDSTGSTNSSRSNPIREDKTARSNSHRARSQLLESHLAKLFEQKMEIFTKVEYTQESIISTIVKLCLKSLQEFVRLQTFNRSGYQQIQLDIEFLKNPLKDFVDDDAAIDFLLKEINHAAHERCLDPIPLEPPIIDKLIQAKLAKNKEQSPSMQ >DRNTG_27530.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21063812:21073307:-1 gene:DRNTG_27530 transcript:DRNTG_27530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATENAPPPMDEKVRRTRELLASFYSSDPSIASAGGPPSPSSAAQSASLDSINSPSFDPDVYMNLLIQKSNLEGLLQKHVEMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKNNISGMETSMEHLLEKIMSVQSRSVTVNTSLFEKREHVEKLHRTRNLLRKVQFIYDLPSRLGKCIKSEAYADAVRFFTGAKPIFEAYGESSFQDCKKASEEAMQVVIQNLQEKLYSDSEPIEARAEAVVLLKQLNFPTA >DRNTG_27530.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:21063812:21073307:-1 gene:DRNTG_27530 transcript:DRNTG_27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATENAPPPMDEKVRRTRELLASFYSSDPSIASAGGPPSPSSAAQSASLDSINSPSFDPDVYMNLLIQKSNLEGLLQKHVEMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKNNISGMETSMEHLLEKIMSVQSRSVTVNTSLFEKREHVEKLHRTRNLLRKVQFIYDLPSRLGKCIKSEAYADAVRFFTGAKPIFEAYGESSFQDCKKASEEAMQVVIQNLQEKLYSDSEPIEARAEAVVLLKQLNFPVDSLKSKLLERLEEFLLRFQIESEVVEGIVEDSSESSKVGKASDPVPTKPPTVSTDQASINEFSKMVHAFLIIFPDTEKRLIELSQDLFSRRYGSIQKKIEKRISSANLLAMLRVVSADVAVVDEVLPEAALPVFSLEAASDIIRNYISSSFTHLLLEISESLTRTQPSLEEGAKESPLQIALESSKKAVIQGSMGLLLDFRQLIEDNVGLAKLRDLIIDLVQEGFQDFFQKLEQSFLLLSGRANANNLDSTIVNSVQVDKVQAGLTLVVAQLSVFIEQSAVPRIMEEIAVSFSGGGLRGYENGPAFVPGEICRLFRASGEKLLHNYINVKTQKISILLKKRFTTPNWIKHKEPREVHMFVDLLLHELDGIGIEVRQILPHGLIRRHRHSDSTGSTNSSRSNPIREDKTARSNSHRARSQLLESHLAKLFEQKMEIFTKVEYTQESIISTIVKLCLKSLQEFVRLQTFNRSGYQQIQLDIEFLKNPLKDFVDDDAAIDFLLKEINHAAHERCLDPIPLEPPIIDKLIQAKLAKNKEQSPSMQ >DRNTG_21005.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001192.1:77036:78722:1 gene:DRNTG_21005 transcript:DRNTG_21005.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDKTKGAEYIEREHQSIRTVCNVTKCSATTFRITNMAHMFRKILDEIVMNGSGTDLRHLDLGHLQNLKNIVWKDVAPQRFFCNLQLLTINECRKLSSLSWVMHLPSLTELSVQVCEGIEELFTEEDGEIQRISVAPSFPA >DRNTG_21005.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001192.1:77036:78150:1 gene:DRNTG_21005 transcript:DRNTG_21005.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDKTKGAEYIEREHQSIRTVCNVTKCSATTFRITNMAHMFRKILDEIVMNGSGTDLRHLDLGHLQNLKNIVWKDVAPQRFFCNLQLLTINECRKLSSLSWVMHLPSLTELSVQVCEGIEELFTEEDGEIQRISVAPSFPA >DRNTG_23678.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:10280755:10281878:1 gene:DRNTG_23678 transcript:DRNTG_23678.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYNFIFLATLLLALITNFMSSSADTATFYTPPYNPAACGFEVKGVMIAAGSQEIFDDGRACGHLFKVKCLAGTNNGVPHPCRGSGTVVVKLVDLCPSGCQGTIDLSQEAFAKIADPDAGKIEVSIERL >DRNTG_34300.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002118.1:7283:7963:-1 gene:DRNTG_34300 transcript:DRNTG_34300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRMYRTPRGVSPFKRIIISLQPNILQLSESTSTLFPDLISPIWRDFAWFSGRFQSFFISTRQSKKQADKRPRESSPESESMRFTIPEHQPCFEYLLRLWFGQTRFLDTSILRDLQQGNEFTDEVEDLISMGGWRQLLSIREPAIRELTLEVLSTFKFD >DRNTG_28359.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2418492:2421566:-1 gene:DRNTG_28359 transcript:DRNTG_28359.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGGGGGLGWRPPFTAAQWQELEHQALIFKYMMAGVPVPQELLIPIRRSFEAMSSRYYHHPSLGYCYYGKKLDPEPGRCRRTDGKKWRCSKDAYPDSKYCERHMHRGRNRSRKPVETQSLPQSQSSSSTVTTTSAAAATAAILAGPGSSQLHTRLSSYPMHNKDFRYLQGVKPAMDEHSLFSEPSASARGIAMDSSLESSWGLMPSNISSFPLSKATNDGSGSLLHSNYSHQLQGMQDIGQPSMSSIAEQHQQHAFFATEFGSSESVKHESQSLRPFFDEWPKTREPWSNLDDDRCNRTSYSTTQLSISIPMVSSDFSMTSSRSPNGG >DRNTG_22964.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:1062674:1063161:1 gene:DRNTG_22964 transcript:DRNTG_22964.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLVQDRPSTKVHAAPGGGSSLDYLFGGAGK >DRNTG_14901.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20543487:20546382:-1 gene:DRNTG_14901 transcript:DRNTG_14901.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAALVSSRIPSGARLQSKASHSSSSPKRLNVAEFSGLRSSSCVTYAAHAGEASFYDVLASQLSFRGTNARPVRGETVAKLKVAINGFGRIGRNFLRCWHGRKDSPLDVIVVNDSGGVKNASHLLKYDSMLGTFKADVKIVNNETISVDGKPIKVVSSRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEGGYNHEVANIVSNASCTTNCLAPFVKVIDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPNLKGKLNGIALRVPTPNVSVVDLVVNVENKGITAEDVNAAFRKAADGPLKGILDVCDVPLVSVDFRCTDVSSTIDASLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVASKWPGAPVQGSGDPLEDFCQTNPATKECKVYEA >DRNTG_17783.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17857376:17860648:1 gene:DRNTG_17783 transcript:DRNTG_17783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSQARVLASLVQTFRWRQVVPIYADTEFGNGFIPNLIDAIVEVDAVVPYRSPIPLSATDEDISKELEKLKNMQTRVFIIHMPHSLGLKFFLNANKAGMMSKGYVWITSYSLTDIVDIYRPSAANVMQGVLGIKPYVSKNNSKFQDFKARWRRRFKLPLTVFGLWAYDTVWSLALAAEKVPTPGNYTFTKNNVEEMKYSADLESIGKSQTGLELVQWISKTTFDGVSGKFKLIEGQLETSNFEIVNVVGNGTERIGFWTPAHGFSKRLNSKVFVDKVSKWPGDSSDVPRGWEWPTNGKNLSIGIPVKPGFLEFVNVTNTSNLVSNKQKGYCIDIFDKVMDALPYKVNYEYIPFADEMGRMKGTYDDLVEQVYLKNFDAVVGDITIVEDRSLYVDFTLPFTESGVSMVVPVKDQNRKGAWTFSDPLSTPLWIVSGVFFIFTGFVVWFLEHRENREFRGPPGNEVGTVLYFIFSTLVFSHREKIVTNLSRIVLIIWMFVVLILQQSYTASLSSMLTVQQLQPTLNDLQQLALTKSKVGYLNASFMPGLLKGLNIDESRLIAYNSPDEYNEALSNKTVAAIVDETPYLKVFLRKHCGKYTMVGPTYNNDGFGFAFPIGSPMVADVSRAILKITENKEIMGSLENHYLYEDEACSVQEDGVSSSSSRISIKSFWGLFLIIGVTSMLALLIHSVMFICERWNSGLSLGQMLLLLIKFYKPDIPSNAPKGEQEMVTLSEMESPAPPVGHESVVESESYYDVGTPLKDEETHLGYAST >DRNTG_15080.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:4020899:4025154:-1 gene:DRNTG_15080 transcript:DRNTG_15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABB1b [Source:Projected from Arabidopsis thaliana (AT4G35860) UniProtKB/Swiss-Prot;Acc:Q38922] MSYDYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMVNIDGRPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLANWLEDARQHANPNMTIMLIGNKCDLAHRRAVSREEGEQFAKDHGLIFLEASAKTAQNVEEAFISTSAKILQKIQEGVFDVSQETSGIKVGYNRSQGATSARDGAVVQRGSCCS >DRNTG_22142.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5822262:5826875:-1 gene:DRNTG_22142 transcript:DRNTG_22142.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYHEEKIMAGSDYATAGSLVWVRRRNGSWWPGRVLGLDELPDECSVPPRSGTPIKLLGKEDGSMDWYNLGKSTRVKAFRCGEYDEDIERAKVSAGNSNKKAPNAGKYVRREDAILHALELESIHSSSESQKSYPGSINLMDKRDYKSATQPKPASTQSKKPFCMSRKHEIHDEASTQEFSQSIVSFEEPNNPSTTATQLTQKKRWKTPYDSENDHLEETRRTRGLQDLGLRTVSQKKGSILVCRKGSPLSSKFDNVFSDDIPVKSCKSSFLSLKRKQSMGTHVHVNSKKKSRRRQLTKVLEVNTIEVSACHGSHRSGTSHEGSSLDVSGNTYGGTLDGSSSCSDMEDHDHSNSLEFSGNKCPARPNVPLANGETRDFGCSSGLDASRKFHPCAPRQFCQLSKAGTITHLHDSETFSTNHPIQLHCARHIVGNKKSRLNIKGKRKSKYVTLSKTTHSDSCLDGADQSGTYLMERAGDNKISGTSSDGHLVKYCSSSDDVSDGPQLETSKAADLCHMNSLEQGFANEVHVQSAEDLTDTSYALPFARYSETAFTGDVAVSTVPHEKSSLHHVTICSEYQVSDLANGMLKASRLYDVKLNVERNYHEPHVPQASLQSNLDDLAIVGHSVTVEVLQNCSSDALLKKTECHPITTKTELLIKKRGNVITKSTPKSRFGMRTRSQSQSQSRVKIQHMISGHLMLGSPFLRSKSSRSKHKKSGFSQRKIRRLSSITIDRRRTVADRKLVVEKIVSPAIACIPLRLVFSRINEALTNSARPSSCI >DRNTG_22142.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:5822262:5826875:-1 gene:DRNTG_22142 transcript:DRNTG_22142.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYHEEKIMAGSDYATAGSLVWVRRRNGSWWPGRVLGLDELPDECSVPPRSGTPIKLLGKEDGSMDWYNLGKSTRVKAFRCGEYDEDIERAKVSAGNSNKKAPNAGKYVRREDAILHALELESIHSSSESQKSYPGSINLMDKRDYKSATQPKPASTQSKKPFCMSRKHEIHDEASTQEFSQSIVSFEEPNNPSTTATQLTQKKRWKTPYDSENDHLEETRRTRGLQDLGLRTVSQKKGSILVCRKGSPLSSKFDNVFSDDIPVKSCKSSFLSLKRKQSMGTHVHVNSKKKSRRRQLTKVLEGKTQILNPSACQIAVVDQSSFVEFIKREDKTLKSFVVNTIEVSACHGSHRSGTSHEGSSLDVSGNTYGGTLDGSSSCSDMEDHDHSNSLEFSGNKCPARPNVPLANGETRDFGCSSGLDASRKFHPCAPRQFCQLSKAGTITHLHDSETFSTNHPIQLHCARHIVGNKKSRLNIKGKRKSKYVTLSKTTHSDSCLDGADQSGTYLMERAGDNKISGTSSDGHLVKYCSSSDDVSDGPQLETSKAADLCHMNSLEQGFANEVHVQSAEDLTDTSYALPFARYSETAFTGDVAVSTVPHEKSSLHHVTICSEYQVSDLANGMLKASRLYDVKLNVERNYHEPHVPQASLQSNLDDLAIVGHSVTVEVLQNCSSDALLKKTECHPITTKTELLIKKRGNVITKSTPKSRFGMRTRSQSQSQSRVKIQHMISGHLMLGSPFLRSKSSRSKHKKSGFSQRKIRRLSSITIDRRRTVADRKLVVEKIVSPAIACIPLRLVFSRINEALTNSARPSSCI >DRNTG_27249.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:20184731:20189226:1 gene:DRNTG_27249 transcript:DRNTG_27249.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETVKGVDTMEFGAGDREERARREVSLVRLVFSCMVAGGVQYGWALQLSLLTPYVQTLGLPHALSSIMWLCGPIAGFLVQPTVGLWSDRCYSKFGRRRPFILVGCLLISTAVLIIGFSSDIGFALGDTKEHCSVYTGPRWKAAIVYVAGFWVLDLSNNAVQGPARALMADLAGPEKVNAANAIFCSWMAAGNILGYSSGASGKWHKWFPFLNTKACCAACANLKGAFLIAVIFLLCCVAVTLIVAQEEPLEKKPGMKEENTGFLDMLKDIFGAFKSLPPGMPYVLLVTAVTWLSWFPFILYDTDWMGREIYHGNPDGTAAERDAYDRGVREGCSRHQFFSHRATVPQTNTKSSMGDQQLLSVCCHGWH >DRNTG_31770.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001739.1:60674:62109:-1 gene:DRNTG_31770 transcript:DRNTG_31770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVKKTIAILVSDNQIPNIFCSINKTQNDEKPNNTYKLRDRRTGSSGIKQQKIL >DRNTG_31770.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001739.1:60674:62109:-1 gene:DRNTG_31770 transcript:DRNTG_31770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIRFNDSRPDSLSEEIKEDEKSWRGDAERLRRCFTVEEEEEEEEEEGVLSEKGEMRSGFGLEMR >DRNTG_31770.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001739.1:60674:62109:-1 gene:DRNTG_31770 transcript:DRNTG_31770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVKKTIAILVSDNQIPNIFCSINKTQNDEKPNNTYKLRDRRTGSSGIKQQKIL >DRNTG_31770.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001739.1:60674:62109:-1 gene:DRNTG_31770 transcript:DRNTG_31770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIRFNDSRPDSLSEEIKEDEKSWRGDAERLRRCFTVEEEEEEEEEEGVLSEKGEMRSGFGLEMR >DRNTG_22183.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:946784:947538:-1 gene:DRNTG_22183 transcript:DRNTG_22183.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKHSPASLPILEDSNLKIGRLSIQERKEKIHRYLKKRNERNFTKKIKYACRKTLADSRPRVRGRFAKNDELGETLRSSSIHHEHEDVMVKGEEDMFDSSDIFAHISGVNSFKYNYTIESWI >DRNTG_25444.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1474196:1475735:-1 gene:DRNTG_25444 transcript:DRNTG_25444.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDNESGGAKEQDRFLPIANVSRIMKKALPGNAKISKEAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLIWAMTTLGFEEYVEPLKLYLQKFREMEGDKLGPGSAQQHKDSGNGGAIANNVNSMFMYGSGSSTSSAARQGRI >DRNTG_25444.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1473616:1475735:-1 gene:DRNTG_25444 transcript:DRNTG_25444.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDNESGGAKEQDRFLPIANVSRIMKKALPGNAKISKEAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLIWAMTTLGFEEYVEPLKLYLQKFREMEGDKLGPGSAQQHKDSGNGGAIANNVNSMFMYGSGSSTSSAARQGRI >DRNTG_17014.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:14813101:14814980:1 gene:DRNTG_17014 transcript:DRNTG_17014.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVKSLCTPGLVTANNVIVHLHLSLSSCDVLVLH >DRNTG_17014.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:14813101:14814980:1 gene:DRNTG_17014 transcript:DRNTG_17014.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVKSLCTPGLVTANNVIVHLHLSLSSCDVLVLH >DRNTG_17014.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:14813101:14814980:1 gene:DRNTG_17014 transcript:DRNTG_17014.4 gene_biotype:protein_coding transcript_biotype:protein_coding CNDYLQYANDIPSSVKYQYKLTSQGYRALVYSGDHDLAVPHIGTQTWIGSLNYSIIDDWRSWFSGGQVVGYTRAYANNLTFATIKV >DRNTG_17014.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:14813101:14814980:1 gene:DRNTG_17014 transcript:DRNTG_17014.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVKSLCTPGLVTANNVIVHLHLSLSSCDVLVLH >DRNTG_17014.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:14813101:14814980:1 gene:DRNTG_17014 transcript:DRNTG_17014.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVKSLCTPGLVTANNVIVHLHLSLSSCDVLVLH >DRNTG_20712.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:17944781:17950295:-1 gene:DRNTG_20712 transcript:DRNTG_20712.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCLESKEEEDFNGGSVKTEKREERPMAPPRIDRVGTVDRVKARTQAVGPSVSSKKELGIQKEIAGVNISAQTFTFRELAAATKNFRPDCFLGEGGFGRVYKGRLESTGQIVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPEKEALDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLDEGFHPKLSDFGLAKLGPTGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDSTKEHGEQNLVAWARPMFNDRRKLPKLADPKLQGRFPMRGLYQALAIASMCIQEQAASRPLIADVVTALSYLASQGYDPSDKGRSRSSVPDETKVGRIVAKADESSGSGRRLELDSEKEDSPREIMSILNKGFDRERAVAEAKLWGENWREKHRQGNKDSANANG >DRNTG_25725.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:17027187:17029324:-1 gene:DRNTG_25725 transcript:DRNTG_25725.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRLLDDRSIRVLRPLLHWSKRLANLSRHLKRLALGPLKNKSPRLWTRLLLGDLFSPWKRFHHLASFKREGGRKDGASISQGEKHHPSPLHLQEKT >DRNTG_22462.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:20662322:20665674:1 gene:DRNTG_22462 transcript:DRNTG_22462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTARFSGHCSVYSSAIKPSFFSPISTRLPRPHALPFLSYRPISLQIRPLSATICSNSSSFSDHAFKIQGSEPCLRAVIAGMEKVYFSRNPTAKSILDLVRSHDGDHVFYDHVAFRTFGVDGHGIDSIAKFFLDFGYVQRDELRFPAKKLKALWFSPPQAEHCDAEPLPRVFISELLVDELSPRSQEIIRKYTQLSGGGYKHAALASTLGCLTWGKPLYSDYELLSRESEYAAWTLVNGYALNHVTISTHRLKSEIRDINNLNRFLEDQGYKLNSDGGVLKVSPDGLLLQSSTVADSTSFTFADGVTESVPCCYIEFAERLLLPQYTNLADEEIQEFHRRDGFEVGNADKIFESTSKEQLTRKTT >DRNTG_11383.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000505.1:17488:21508:1 gene:DRNTG_11383 transcript:DRNTG_11383.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVTKRGLPCSLSYFSSQLLFYPELHHLQKQPPFLQSISLQTEEEEEEEEEEERDGNSDEKSEELSHREQLQHSLRQRTIKPPNSPPPPPPTTATTTTTPTPAPPLAPPAPSPSTTTSTPSPPPPPPPPPPPPPPPTTTTTTTTTPFPMTTSPSTSAETLRNKVTNHPSPPPMNSSIPASFAL >DRNTG_11383.3.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000505.1:20811:21558:1 gene:DRNTG_11383 transcript:DRNTG_11383.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRRRDQPMRSTTRRTARRRRSLRRRRRCLTGKDCLDACDSIRPLIVLHVGLVISLSITDGDDIHVQ >DRNTG_11383.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000505.1:20696:21558:1 gene:DRNTG_11383 transcript:DRNTG_11383.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRRRDQPMRSTTRRTARRRRSLRRRRRCLTGKDCLDACDSIRPLIVLHVGLVISLSITDGDDIHVQ >DRNTG_11383.4.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000505.1:20811:21508:1 gene:DRNTG_11383 transcript:DRNTG_11383.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIVSSLTNPPPHRLPLPPKAPAGAGNGDSETFFSSVARRRDEPPGTRAKIH >DRNTG_19567.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26102027:26103830:-1 gene:DRNTG_19567 transcript:DRNTG_19567.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHTFACLRGSPNWWLISLASGSIKTCNDLVEFLGCYFPLSKEAKNRQEISAFEQIIDVAAGGSLINKYPEEAEQLFEDIANNE >DRNTG_30408.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21915215:21916891:-1 gene:DRNTG_30408 transcript:DRNTG_30408.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNELLVQECDVLIPCALGGVLNKENAANVRAKYIIEAANHPTDPDADEILLKKGVTILPDIYANAGGVIVSYFEWVQNIQGFQWKEEKVNMELQKHMNDAFENIKSMCKTHDCSLRMGAFTLGVNRVAKATTLRGWEA >DRNTG_30408.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21915215:21916534:-1 gene:DRNTG_30408 transcript:DRNTG_30408.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNELLVQECDVLIPCALGGVLNKENAANVRAKYIIEAANHPTDPDADEILLKKGVTILPDIYANAGGVIVSYFEWVQNIQGFQWKEEKVNMELQKHMNDAFENIKSMCKTHDCSLRMGAFTLGVNRVAKATTLRGWEA >DRNTG_30408.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:21915215:21915795:-1 gene:DRNTG_30408 transcript:DRNTG_30408.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELQKHMNDAFENIKSMCKTHDCSLRMGAFTLGVNRVAKATTLRGWEA >DRNTG_06890.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14066311:14072272:-1 gene:DRNTG_06890 transcript:DRNTG_06890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLSSTLLGFGRTPCSSSFSSSHCSSSLFLHWQWRRLYSSGSRFSMSLKAGIVGLPNVGKSTLFNAVVENGKAQAANYPFCTIEPNVGIVAVPDSRLNVLSNLSKSQRAVPASIEFVDIAGLVKGASKGEGLGNKFLSHIREVDSILQLQEWMGRQRLMVEIRTGLSHLG >DRNTG_06890.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14058075:14072272:-1 gene:DRNTG_06890 transcript:DRNTG_06890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLSSTLLGFGRTPCSSSFSSSHCSSSLFLHWQWRRLYSSGSRFSMSLKAGIVGLPNVGKSTLFNAVVENGKAQAANYPFCTIEPNVGIVAVPDSRLNVLSNLSKSQRAVPASIEFVDIAGLVKGASKGEGLGNKFLSHIREVDSILQIEKRLEKLKKSKAKDSQSKVKEEAEKSALEKIQQTLMDGKPARSVSLTDFERESIQHLCLLTMKPVIYVANVAESDLAEPKSNPYVREVMIAALELQSGIVTISAQVESELTELPLEERIEYLKSLGVNESGLGNLIRATYNLLGLRTYFTSGEKVTALELILVEFILN >DRNTG_06890.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14057373:14072272:-1 gene:DRNTG_06890 transcript:DRNTG_06890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLSSTLLGFGRTPCSSSFSSSHCSSSLFLHWQWRRLYSSGSRFSMSLKAGIVGLPNVGKSTLFNAVVENGKAQAANYPFCTIEPNVGIVAVPDSRLNVLSNLSKSQRAVPASIEFVDIAGLVKGASKGEGLGNKFLSHIREVDSILQIVRCFDDNDIVHVNGKVDPKSDIDVINLELVFSDLDQIEKRLEKLKKSKAKDSQSKVKEEAEKSALEKIQQTLMDGKPARSVSLTDFERESIQHLCLLTMKPVIYVANVAESDLAEPKSNPYVREVMIAALELQSGIVTISAQVESELTELPLEERIEYLKSLGVNESGLGNLIRATYNLLGLRTYFTSGEKETKAWTILAGMTAPQAAGVIHSDFEKGFIRAETVAYDDFVAAGSLAAAREKGLLRSEGKDYIVQEGDVMLFRFNV >DRNTG_06890.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14057373:14072272:-1 gene:DRNTG_06890 transcript:DRNTG_06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLSSTLLGFGRTPCSSSFSSSHCSSSLFLHWQWRRLYSSGSRFSMSLKAGIVGLPNVGKSTLFNAVVENGKAQAANYPFCTIEPNVGIVAVPDSRLNVLSNLSKSQRAVPASIEFVDIAGLVKGASKGEGLGNKFLSHIREVDSILQIVRCFDDNDIVHVNGKVDPKSDIDVINLELVFSDLDQIEKRLEKLKKSKAKDSQSKVKEEAEKSALEKIQQTLMDGKPARSVSLTDFERESIQHLCLLTMKPVIYVANVAESDLAEPKSNPYVREVMIAALELQSGIVTISAQVESELTELPLEERIEYLKSLGVNESGLGNLIRATYNLLGLRTYFTSGEKETKAWTILAGMTAPQAAGVIHSDFEKGFIRAETVAYDDFVAAGSLAAAREKGLLRSEGKDYIVQEGDVMLFRFNV >DRNTG_06890.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14057373:14060517:-1 gene:DRNTG_06890 transcript:DRNTG_06890.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKPARSVSLTDFERESIQHLCLLTMKPVIYVANVAESDLAEPKSNPYVREVMIAALELQSGIVTISAQVESELTELPLEERIEYLKSLGVNESGLGNLIRATYNLLGLRTYFTSGEKETKAWTILAGMTAPQAAGVIHSDFEKGFIRAETVSTVYSCADGVSLIQIFLLDAIHLQEIKRLMINKYSCKHLISGNF >DRNTG_06890.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14072043:14072272:-1 gene:DRNTG_06890 transcript:DRNTG_06890.7 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLSSTLLGFGRTPCSSSFSSSHCSSSLFLHWQWRRLYSSGSRFSMSLKAGIVGLPNVGKSTLFNAV >DRNTG_06890.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14069600:14072272:-1 gene:DRNTG_06890 transcript:DRNTG_06890.6 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLSSTLLGFGRTPCSSSFSSSHCSSSLFLHWQWRRLYSSGSRFSMSLKAGIVGLPNVGKSTLFNAVVENGKAQAANYPFCTIEPNVGIVAVPDSRLNVLSNLSKSQRAVPASIEFVDIAGLVKGASKGE >DRNTG_00032.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21618691:21622511:-1 gene:DRNTG_00032 transcript:DRNTG_00032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIASLRASANSSLLPICSSAHRQPSHSLIFSTSSSRVKDVTRSIRCSVSTGRDVNSPVVEMKSYAEEFAGMELSSVMALSPLDGRYVQKVMDLRPFFSEYGLIHFRVLVEVKWLLKLSEIPEITEVPNFSGNARAFLESIIHDFKFNDALEVKKIEKVTNHDVKAVEYFLKQKCKSCPEIAEVLEFFHFACTSEDINNLAHALSLKEAMNTVIYPTMVELCDAICKMAKENAHIPMLSRTHGQPASPTTLGKEMANFAVRLSDQGKKFPQINVLGKFAGAVGNYNAHKAAYPTIDWPSIAADFVRSLGIEFNPYVTQIEPHDYIAELFNRVVQVNNIILDFDRDIWNYISLGYFKQITKAGEIGSSTMPHKVNPIDFENSEGNLCLANAVLSALSMKLPISRMQRDLTDSTVLRNLGVGLGYSLLAYKSTLQGIKKLQVNESRLNEDLENTWEVLAEPIQTVMRRYAVPEPYEKLKELTRGKVVTMDSIKQFIEGLDLPGEAKLELLRLTPHSYIGEAEKLAKAVDDAVDLANGFKLL >DRNTG_33839.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002043.1:23599:24857:-1 gene:DRNTG_33839 transcript:DRNTG_33839.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDDEGSLLGSDDPGSPLEPEDDMLLSHYQKRCQVGGPFFLAICRSESH >DRNTG_34637.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:183826:189385:1 gene:DRNTG_34637 transcript:DRNTG_34637.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMAGTDLPSIGRVRLTDLIASEGLPSDSYKISLLTLTQSLAQYSAAIIQLPSSDGALLRSGLESARFFFHQRAFPAAEMVQTNDPREWCKTSGYYADPQLWQEIYDYRPGLTPTEPNGAIEFPPAGLPDIFALLGKASRDILDAISFHLNLRSFSFTQILDNVPLRSREVSSSVLSVCCHSRPSFQGAQHHSLTTQEDGQLDMFADHEPQVDKSFITIVKSERAGLHIKDFHGRWVLVDGDLGPQDAIVYPGLALYQATAGYVSPALHRIEMGGMQGNVYGRCSFAFKLMPRAMASFSCSEMRAAGYGVEAQFQMPIQVDDFMQRTHPTDQLLTRHTCTSYTFHASQDDGDTVSMKPIIKRRKNSSGSKQLPPSKRLRCAAQRVLKERVQDIADKKGIRLRFCNLKECEGHIHALDSPCASIRMEIGWPAGVPFVHPHDLPNKAKLGFLEAYEPGWTASQQDMELSPFEPGQESISVAFDLWPSSVSL >DRNTG_34637.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:183826:189385:1 gene:DRNTG_34637 transcript:DRNTG_34637.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMAGTDLPSIGRVRLTDLIASEGLPSDSYKISLLTLTQSLAQYSAAIIQLPSSDGALLRSGLESARFFFHQRAFPAAEMVQTNDPREWCKTSGYYADPQLWQEIYDYRPGLTPTEPNGAIEFPPAGLPDIFALLGKASRDILDAISFHLNLRSFSFTQILDNVPLRSREVSSSVLSVCCHSRPSFQGAQHHSLTTQEDGQLDMFADHEPQVDKSFITIVKSERAGLHIKDFHGRWVLVDGDLGPQDAIVYPGLALYQATAGYVSPALHRIEMGGMQGNVYGRCSFAFKLMPRAMASFSCSEMRAAGYGVEAQFQMPIQVDDFMQRTHPTDQLLTRHTCTSYTFHASQDDGDTVSMKPIIKRRKNSSGSKQLPPSKRLRCAAQRVLKERVQDIADKKGIRLRFCNLKECEGHIHALDSPCASIRMEIGWPAGVPFVHPHDLPNKAKLGFLEAYEPGWTASQQDMESQSP >DRNTG_34637.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:183826:189385:1 gene:DRNTG_34637 transcript:DRNTG_34637.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMAGTDLPSIGRVRLTDLIASEGLPSDSYKISLLTLTQSLAQYSAAIIQLPSSDGALLRSGLESARFFFHQRAFPAAEMVQTNDPREWCKTSGYYADPQLWQEIYDYRPGLTPTEPNGAIEFPPAGLPDIFALLGKASRDILDAISFHLNLRSFSFTQILDNVPLRSREVSSSVLSVCCHSRPSFQGAQHHSLTTQEDGQLDMFADHEPQVDKSFITIVKSERAGLHIKDFHGRWVLVDGDLGPQDAIVYPGLALYQATAGYVSPALHRIEMGGMQGNVYGRCSFAFKLMPRAMASFSCSEMRAAGYGVEAQFQMPIQVDDFMQRTHPTDQLLTRHTCTSYTFHASQDVSMKPIIKRRKNSSGSKQLPPSKRLRCAAQRVLKERVQDIADKKGIRLRFCNLKECEGHIHALDSPCASIRMEIGWPAGVPFVHPHDLPNKAKLGFLEAYEPGWTASQQDMELSPFEPGQESISVAFDLWPSSVSL >DRNTG_34637.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002168.1:183826:189385:1 gene:DRNTG_34637 transcript:DRNTG_34637.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMAGTDLPSIGRVRLTDLIASEGLPSDSYKISLLTLTQSLAQYSAAIIQLPSSDGALLRSGLESARFFFHQRAFPAAEMVQTNDPREWCKTSGYYADPQLWQEIYDYRPGLTPTEPNGAIEFPPAGLPDIFALLGKASRDILDAISFHLNLRSFSFTQILDNVPLRSREVSSSVLSVCCHSRPSFQGAQHHSLTTQEDGQLDMFADHEPQVDKSFITIVKSERAGLHIKDFHGRWVLVDGDLGPQDAIVYPGLALYQATAGYVSPALHRIEMGGMQGNVYGRCSFAFKLMPRAMASFSCSEMRAAGYGVEAQFQMPIQVDDFMQRTHPTDQLLTRHTCTSYTFHASQDVSMKPIIKRRKNSSGSKQLPPSKRLRCAAQRVLKERVQDIADKKGIRLRFCNLKECEGHIHALDSPCASIRMEIGWPAGVPFVHPHDLPNKAKLGFLEAYEPGWTASQQDMESQSP >DRNTG_02374.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7830697:7832431:1 gene:DRNTG_02374 transcript:DRNTG_02374.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVGREAISNELMVTDILRAGANIVRINCAHDDSSVWSDIIRIVRNSSQLLEQPCRILMDLGGSKLRTGNLTLDPNVLRISPKKNAMGEFLPAQFWLCCEGSSPPAHLSPDAILYINHKFVSKLKVGTILHFIDVRGKKRSAKLVLKSSIFAFSGYMVESLRTVYVGLGTEFYIKDKNTRQSIGQVVKMPALEPFIRVNVGDLLTICKDSCLSVDNACAPTFFSTRITCSSDHLFDSVKPGEPIAFDDGKIWGEIRKTNSTQITVLITHADPRGSKLGPEKIHQHTRE >DRNTG_02374.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:7830697:7832060:1 gene:DRNTG_02374 transcript:DRNTG_02374.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVGREAISNELMVTDILRAGANIVRINCAHDDSSVWSDIIRIVRNSSQLLEQPCRILMDLGGSKLRTGNLTLDPNVLRISPKKNAMGEFLPAQFWLCCEGSSPPAHLSPDAILYINHKFVSKLKVGTILHFIDVRGKKRSAKLVLKSSIFAFSGYMVESLRTVYVGLGTEFYIKDKNTRQSIGQVVKMPALEPFIRVNVGDLLTICKDSCLSVDNACAPTFFSTRITCSSDHLFDSVKPGEPIAFDDGKIWGEIRKTNSTQITVLITHADPRGSKLGPEKIHQHTRE >DRNTG_20513.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16626000:16632909:-1 gene:DRNTG_20513 transcript:DRNTG_20513.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFIIPRVSIGLQAGSSCCVNLEFGPRNSTKLSAHSFCSSFLGLSFLSNATTYSARITGSALVRARASGSEGNQVLRSAARRSPAASVDPPTQHRHQR >DRNTG_20513.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16626000:16632909:-1 gene:DRNTG_20513 transcript:DRNTG_20513.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFIIPRVSIGLQAGSSCCVNLEFGPRNSTKLSAHSFCSSFLGLSFLSNATTYSARITGSALVRARASGSEGNQVLRSAARRSPAASVDPPTQHRHQR >DRNTG_20513.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16626000:16632909:-1 gene:DRNTG_20513 transcript:DRNTG_20513.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFIIPRVSIGLQAGSSCCVNLEFGPRNSTKLSAHSFCSSFLGLSFLSNATTYSARITGSALVRARASGSEGNQVLRSAARRSPAASVDPPTQHRHQR >DRNTG_20513.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:16626000:16632909:-1 gene:DRNTG_20513 transcript:DRNTG_20513.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFIIPRVSIGLQAGSSCCVNLEFGPRNSTKLSAHSFCSSFLGLSFLSNATTYSARITGSALVRARASGSEGNQVLRSAARRSPAASVDPPTQHRHQR >DRNTG_28083.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001426.1:1633:3088:1 gene:DRNTG_28083 transcript:DRNTG_28083.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLKQHAISIESASTNSGPTPVDELALYIEAVGGEKKRRVYGLGSQASSYYGCSNSNVNNSTATSTMQNNEDLQNELASVRNQLQIQEERHQQERQETQQELQQTRQEVAEMRRMLQLLISQNQAPSQINQSGHDEDVDPTPPT >DRNTG_20240.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001105.1:320210:326654:1 gene:DRNTG_20240 transcript:DRNTG_20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSLFQIASLGFTSTALTLSSFPRFSPRHGQCNNNNINSAQKCLHMISNTKAQRLDSSSSSSSSSSSSSSSSSITQRRSANFQPTLWTNDYLQSMKDDHFMEEKFPSRFEKLKDATKHLLHENKDIIHQLKLIDTLRQLGVAYHFEKEIKDAIGTINSSMDINFIKNDLFATSLFFRLVREYGYKVSQGVFDRFKNENGNFQLSHCNNIEGILSLYEATHLVMEGENTLEEASVFTIKHLKAIIKDQDIDPILKERVQHALEMPMHWRMPRLHTHWFIRMYEKEDNMNINLLEFAKLDFNMVQNIYKRELKQCSRWWANLGLVDKDLSFSRDRLVENYLYAMGFASEPKFSFCRMILTQVHCLITTIDDIFDVYGTLDELELFTAAVDRWDANDIDHLPKYMKICFLGLFNSANETAYEVLKMKNVNCIPYLKKSWVELCKAYIVETKWAHNDYTPKIKEYLENAWISIGAPPAISYSFFCASETISNEALENLENYPTIMRQSFLILRLFNDLGTSIEEAKRGDMKKFIQCYIHENGVS >DRNTG_20240.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001105.1:320210:326654:1 gene:DRNTG_20240 transcript:DRNTG_20240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSLFQIASLGFTSTALTLSSFPRFSPRHGQCNNNNINSAQKCLHMISNTKAQRLDSSSSSSSSSSSSSSSSSITQRRSANFQPTLWTNDYLQSMKDDHFMEEKFPSRFEKLKDATKHLLHENKDIIHQLKLIDTLRQLGVAYHFEKEIKDAIGTINSSMDINFIKNDLFATSLFFRLVREYGYKVSQGGGLILALLIKT >DRNTG_20240.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001105.1:320210:326654:1 gene:DRNTG_20240 transcript:DRNTG_20240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACSLFQIASLGFTSTALTLSSFPRFSPRHGQCNNNNINSAQKCLHMISNTKAQRLDSSSSSSSSSSSSSSSSSITQRRSANFQPTLWTNDYLQSMKDDHFMEEKFPSRFEKLKDATKHLLHENKDIIHQLKLIDTLRQLGVAYHFEKEIKDAIGTINSSMDINFIKNDLFATSLFFRLVREYGYKVSQGVFDRFKNENGNFQLSHCNNIEGILSLYEATHLVMEGENTLEEASVFTIKHLKAIIKDQDIDPILKERVQHALEMPMHWRMPRLHTHWFIRMYEKEDNMNINLLEFAKLDFNMVQNIYKRELKQCSRWWANLGLVDKDLSFSRDRLVENYLYAMGFASEPKFSFCRMILTQVHCLITTIDDIFDVYGTLDELELFTAAVDRWDANDIDHLPKYMKICFLGLFNSANETAYEVLKMKNVNCIPYLKKSVLLSTKAQYF >DRNTG_20212.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6365813:6366426:-1 gene:DRNTG_20212 transcript:DRNTG_20212.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGILGADSELSQHHPQKRRRSGRWWELQVRRRERWLVALGIVLHAVYMLSIFDIYFKTPIIHGMDPVPQRFTPPAKRLVLLVADGLRADKFFEPDSEGRFRAPFLRSVIREKGRWGISHARPPTESRPGHVSIIAGFYEDPSAVTKG >DRNTG_20212.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6336818:6365042:-1 gene:DRNTG_20212 transcript:DRNTG_20212.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGVFFGKLTNFLVPFAGWKANPVEFDSVFNRSRHTFAFGSPDIVPIFCLNVPHSSWNTYPHEFEDFASDAAFLDHWSFDQFQSLLNRSYKDPKLKQLLLQDNLVVFLHLLGCDTNGHAHRPYSSIYLNNVKVVDHIAESVYNLVESYFNDNQTAYIFTADHGMSDKGSHGDGHPSNTDTPLVAWGAGIRSPMWLLHSSQSDDGFRFVDDHGHDLPTPVEWGLTGIERVDVNQADIAPLMATLIGLPCPVNSVGNLPLDYLRLNKADEVEAALANTKQILNQFLRKSYLKQLNSLHFRPYKPLMNYSSILSEIEDLISERNFEAAMKSSTKLRSLALTGLHYFQTYDWLMLMTVITLGYIGWMVNLILHVLQSYTYLSANVSLRKQKVAPGTGSTIKRVHLGGCLLICLLSTIMYLENAPLLYHAYFAMTVFLWTQIFSNVPFLKAVWRDIASRKLGSSMKLLCISTLSIFILECLVSSFSERKLYTWCFLTVGIVVALFIFLCRLQRSFMAIYIWMACWFISTFTLMPAEIPDNTNLVILSGALAVLIGMAARWYGSSNKCDKFLLFFTQHDTRTRIPLLFQFQVFLVVSSSGMIWLSTSHRSREKELMMAHQLINWSLAGISLLMPLFSPRGLLERLTSIFLGLAPPFLLLSIGYEALFYSALSLVLMGWILVECAILNLTEGKDAAGHIESLEVTTINNNDERNLQLFDLRIPLIFMVLFNVAFFGTGNFASIASFEISSVYRFITVFSPFLMAALLIFKLFIPFMLVICVFVAITKLVRLPRLGCYFLVILFSDVMTIHFFFLVSNIVLIFLYAFLASLNNLTIFSSFPFCF >DRNTG_20212.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6336818:6366426:-1 gene:DRNTG_20212 transcript:DRNTG_20212.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGVFFGKLTNFLVPFAGWKANPVEFDSVFNRSRHTFAFGSPDIVPIFCLNVPHSSWNTYPHEFEDFASDAAFLDHWSFDQFQSLLNRSYKDPKLKQLLLQDNLVVFLHLLGCDTNGHAHRPYSSIYLNNVKVVDHIAESVYNLVESYFNDNQTAYIFTADHGMSDKGSHGDGHPSNTDTPLVAWGAGIRSPMWLLHSSQSDDGFRFVDDHGHDLPTPVEWGLTGIERVDVNQADIAPLMATLIGLPCPVNSVGNLPLDYLRLNKADEVEAALANTKQILNQFLRKSYLKQLNSLHFRPYKPLMNYSSILSEIEDLISERNFEAAMKSSTKLRSLALTGLHYFQTYDWLMLMTVITLGYIGWMVNLILHVLQSYTYLSANVSLRKQKVAPGTGSTIKRVHLGGCLLICLLSTIMYLENAPLLYHAYFAMTVFLWTQIFSNVPFLKAVWRDIASRKLGSSMKLLCISTLSIFILECLVSSFSERKLYTWCFLTVGIVVALFIFLCRLQRSFMAIYIWMACWFISTFTLMPAEIPDNTNLVILSGALAVLIGMAARWYGSSNKCDKFLLFFTQHDTRTRIPLLFQFQVFLVVSSSGMIWLSTSHRSREKELMMAHQLINWSLAGISLLMPLFSPRGLLERLTSIFLGLAPPFLLLSIGYEALFYSALSLVLMGWILVECAILNLTEGKDAAGHIESLEVTTINNNDERNLQLFDLRIPLIFMVLFNVAFFGTGNFASIASFEISSVYRFITVFSPFLMAALLIFKLFIPFMLVICVFVAITKLVRLPRLGCYFLVILFSDVMTIHFFFLVSNIVLIFLYAFLASLNNLTIFSSFPFCF >DRNTG_20212.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6336818:6341479:-1 gene:DRNTG_20212 transcript:DRNTG_20212.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFSPRGLLERLTSIFLGLAPPFLLLSIGYEALFYSALSLVLMGWILVECAILNLTEGKDAAGHIESLEVTTINNNDERNLQLFDLRIPLIFMVLFNVAFFGTGNFASIASFEISSVYRFITVFSPFLMAALLIFKLFIPFMLVICVFVAITKLVRLPRLGCYFLVILFSDVMTIHFFFLVSNIVLIFLYAFLASLNNLTIFSSFPFCF >DRNTG_20212.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6336818:6366426:-1 gene:DRNTG_20212 transcript:DRNTG_20212.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKGSHGDGHPSNTDTPLVAWGAGIRSPMWLLHSSQSDDGFRFVDDHGHDLPTPVEWGLTGIERVDVNQADIAPLMATLIGLPCPVNSVGNLPLDYLRLNKADEVEAALANTKQILNQFLRKSYLKQLNSLHFRPYKPLMNYSSILSEIEDLISERNFEAAMKSSTKLRSLALTGLHYFQTYDWLMLMTVITLGYIGWMVNLILHVLQSYTYLSANVSLRKQKVAPGTGSTIKRVHLGGCLLICLLSTIMYLENAPLLYHAYFAMTVFLWTQIFSNVPFLKAVWRDIASRKLGSSMKLLCISTLSIFILECLVSSFSERKLYTWCFLTVGIVVALFIFLCRLQRSFMAIYIWMACWFISTFTLMPAEIPDNTNLVILSGALAVLIGMAARWYGSSNKCDKFLLFFTQHDTRTRIPLLFQFQVFLVVSSSGMIWLSTSHRSREKELMMAHQLINWSLAGISLLMPLFSPRGLLERLTSIFLGLAPPFLLLSIGYEALFYSALSLVLMGWILVECAILNLTEGKDAAGHIESLEVTTINNNDERNLQLFDLRIPLIFMVLFNVAFFGTGNFASIASFEISSVYRFITVFSPFLMAALLIFKLFIPFMLVICVFVAITKLVRLPRLGCYFLVILFSDVMTIHFFFLVSNIVLIFLYAFLASLNNLTIFSSFPFCF >DRNTG_20212.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6336818:6343566:-1 gene:DRNTG_20212 transcript:DRNTG_20212.6 gene_biotype:protein_coding transcript_biotype:protein_coding MYLENAPLLYHAYFAMTVFLWTQIFSNVPFLKAVWRDIASRKLGSSMKLLCISTLSIFILECLVSSFSERKLYTWCFLTVGIVVALFIFLCRLQRSFMAIYIWMACWFISTFTLMPAEIPDNTNLVILSGALAVLIGMAARWYGSSNKCDKFLLFFTQHDTRTRIPLLFQFQVFLVVSSSGMIWLSTSHRSREKELMMAHQLINWSLAGISLLMPLFSPRGLLERLTSIFLGLAPPFLLLSIGYEALFYSALSLVLMGWILVECAILNLTEGKDAAGHIESLEVTTINNNDERNLQLFDLRIPLIFMVLFNVAFFGTGNFASIASFEISSVYRFITVFSPFLMAALLIFKLFIPFMLVICVFVAITKLVRLPRLGCYFLVILFSDVMTIHFFFLVSNIVLIFLYAFLASLNNLTIFSSFPFCF >DRNTG_20212.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6336818:6366426:-1 gene:DRNTG_20212 transcript:DRNTG_20212.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGVFFGKLTNFLVPFAGWKANPVEFDSVFNRSRHTFAFGSPDIVPIFCLNVPHSSWNTYPHEFEDFASDAAFLDHWSFDQFQSLLNRSYKDPKLKQLLLQDNLVVFLHLLGCDTNGHAHRPYSSIYLNNVKVVDHIAESVYNLVESYFNDNQTAYIFTADHGMSDKGSHGDGHPSNTDTPLVAWGAGIRSPMWLLHSSQSDDGFRFVDDHGHDLPTPVEWGLTGIERVDVNQADIAPLMATLIGLPCPVNSVGNLPLDYLRLNKADEVEAALANTKQILNQFLRKSYLKQLNSLHFRPYKPLMNYSSILSEIEDLISERNFEAAMKSSTKLRSLALTGLHYFQTYDWLMLMTVITLGYIGWMVNLILHVLQSYTYLSANVSLRKQKVAPGTGSTIKRVHLGGCLLICLLSTIMYLENAPLLYHAYFAMTVFLWTQIFSNVPFLKAVWRDIASRKLGSSMKLLCISTLSIFILECLVWHAIWNFVVSCICTILSILA >DRNTG_20212.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6336818:6366426:-1 gene:DRNTG_20212 transcript:DRNTG_20212.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGILGADSELSQHHPQKRRRSGRWWELQVRRRERWLVALGIVLHAVYMLSIFDIYFKTPIIHGMDPVPQRFTPPAKRLVLLVADGLRADKFFEPDSEGRFRAPFLRSVIREKGRWGISHARPPTESRPGHVSIIAGFYEDPSAVTKGWKANPVEFDSVFNRSRHTFAFGSPDIVPIFCLNVPHSSWNTYPHEFEDFASDAAFLDHWSFDQFQSLLNRSYKDPKLKQLLLQDNLVVFLHLLGCDTNGHAHRPYSSIYLNNVKVVDHIAESVYNLVESYFNDNQTAYIFTADHGMSDKGSHGDGHPSNTDTPLVAWGAGIRSPMWLLHSSQSDDGFRFVDDHGHDLPTPVEWGLTGIERVDVNQADIAPLMATLIGLPCPVNSVGNLPLDYLRLNKADEVEAALANTKQILNQFLRKSYLKQLNSLHFRPYKPLMNYSSILSEIEDLISERNFEAAMKSSTKLRSLALTGLHYFQTYDWLMLMTVITLGYIGWMVNLILHVLQSYTYLSANVSLRKQKVAPGTGSTIKRVHLGGCLLICLLSTIMYLENAPLLYHAYFAMTVFLWTQIFSNVPFLKAVWRDIASRKLGSSMKLLCISTLSIFILECLVSSFSERKLYTWCFLTVGIVVALFIFLCRLQRSFMAIYIWMACWFISTFTLMPAEIPDNTNLVILSGALAVLIGMAARWYGSSNKCDKFLLFFTQHDTRTRIPLLFQFQVFLVVSSSGMIWLSTSHRSREKELMMAHQLINWSLAGISLLMPLFSPRGLLERLTSIFLGLAPPFLLLSIGYEALFYSALSLVLMGWILVECAILNLTEGKDAAGHIESLEVTTINNNDERNLQLFDLRIPLIFMVLFNVAFFGTGNFASIASFEISSVYRFITVFSPFLMAALLIFKLFIPFMLVICVFVAITKLVRLPRLGCYFLVILFSDVMTIHFFFLVSNIVLIFLYAFLASLNNLTIFSSFPFCF >DRNTG_02969.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:22424515:22434188:-1 gene:DRNTG_02969 transcript:DRNTG_02969.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G51110) UniProtKB/Swiss-Prot;Acc:Q8LAP6] MVLVASKLGFQILSFSPNPSPFIRPKSIKPYPRSNRKSPPSISSSVVEQQDISFTDSESCLIEALLGVQGRGRAATPQQLKDVEDAVQALEAMKGVLDPTSTGLIEGRWQLIFTTRPGTASPIQRTFVGVDFFKIFQEVYLRTDDPRVSNVVIFSDAIGELKVEAEAKIEDGKRILFKFDRAAFSFKFLPFKVPYPVPFKLLGDEAKGWLDTTYLSQNGNIRISRGSKGTTFVLQKKIEPRQKLLSAISVGTGIREAIDELVSLNWNKVDQESETLEGEWQLLWASQLEDESWSSIVANGLKGFQIVKNGGQLENQVDVFPSVKLQAMGNLIKEPENNSYSGIIHNGAISVGSLKFPLNIHNKFNIDLLYIDEKIRITRGSNEILFVHLRLTKN >DRNTG_02786.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1171076:1173430:-1 gene:DRNTG_02786 transcript:DRNTG_02786.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMPLRLLVPLGNVEPILNDQEMSFLHQASLQQMAEVLEDDYQKTPFSFKSKEITTLNNFNSSVLYDKPNLGTSRLATNFLKVENEDAYTTKQVSVIAQCAANSPKYLSFGNPDSLSDLQQLYINGIGEEKDEMNFLISKKPKLMNHDISSFQASGRASMGNNPHSSSHDHMIAERKRREKLNEQFLSLSAIIPGLAKTDKASLLVSTINYLKELVTKVKSLEQSIIDGPVESAMLMKDDKASLITNESSSSDPLFKIEAKLTGNIIVLKFQCKNLKGLAIKALSEIEKLFLTIIDLSIMPFSSSSLDVVVMAKVEDGFSLTVKELVEKLSSFFSQFI >DRNTG_02786.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1171076:1171954:-1 gene:DRNTG_02786 transcript:DRNTG_02786.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEIIVSFASLSLSLTSCCCMASSII >DRNTG_02786.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:13:1171076:1173868:-1 gene:DRNTG_02786 transcript:DRNTG_02786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMPLRLLVPLGNVEPILNDQEMSFLHQASLQQMAEVLEDDYQKTPFSFKSKEITTLNNFNSSVLYDKPNLGTSRLATNFLKVENEDAYTTKQVSVIAQCAANSPKYLSFGNPDSLSDLQQLYINGIGEEKDEMNFLISKKPKLMNHDISSFQASGRASMGNNPHSSSHDHMIAERKRREKLNEQFLSLSAIIPGLAKTDKASLLVSTINYLKELVTKVKSLEQSIIDGPVESAMLMKDDKASLITNESSSSDPLFKIEAKLTGNIIVLKFQCKNLKGLAIKALSEIEKLFLTIIDLSIMPFSSSSLDVVVMAKVEDGFSLTVKELVEKLSSFFSQFI >DRNTG_34734.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01002186.1:223:3967:-1 gene:DRNTG_34734 transcript:DRNTG_34734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRWNTSSEVSCMIKKAKAFALELGFPCSEWA >DRNTG_11999.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13468928:13471760:-1 gene:DRNTG_11999 transcript:DRNTG_11999.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNFCWPPCGGESHDHSSSSNGANPSDAPSAEEAGNPDAGVASTASSSTTARTRVSLNGSTNGSARVCTEEQISIVREIKKQKDFYKILGLERNCTIEDVRKAYRKISLKVHPDKNNAPGSDEAFKAVSRAFQCLSNEETRKRYDLVGSEEPNYGVARPAARNYYNGYNGFYEADFDADEIFRNFFFGGGPMQTTPFGTFQFRTGGMGRHTANEMHGGGGGGFNLRTLIQILPVLLLLLLNFLPSSEPVYSLSRTYHHDYKVETPRGVPYYVKRDKFDQDYPYQSSERVALEKRIEREYIGILSQNCRVELQRRNWGLSYETPYCDMLRKFD >DRNTG_11999.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13468928:13471760:-1 gene:DRNTG_11999 transcript:DRNTG_11999.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNFCWPPCGGESHDHSSSSNGANPSDAPSAEEAGNPDAGVASTASSSTTARTRVSLNGSTNGSARVCTEEQISIVREIKKQKDFYKILGLERNCTIEDVRKAYRKISLKVHPDKNNAPGSDEAFKAVSRAFQCLSNEETRKRYDLVGSEEPNYGVARPAARNYYNGYNGFYEADFDADEIFRNFFFGGGPMQTTPFGTFQFRTGGMGRHTANEMHGGGGGGFNLRTLIQILPVLLLLLLNFLPSSEPVYSLSRTYHHDYKVETPRGVPYYVKRDKFDQDYPYQSSERVALEKRIEREYIGILSQNCRVELQRRNWGLSYETPYCDMLRKFD >DRNTG_11999.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13468928:13471760:-1 gene:DRNTG_11999 transcript:DRNTG_11999.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNFCWPPCGGESHDHSSSSNGANPSDAPSAEEAGNPDAGVASTASSSTTARTRVSLNGSTNGSARVCTEEQISIVREIKKQKDFYKILGLERNCTIEDVRKAYRKISLKVHPDKNNAPGSDEAFKAVSRAFQCLSNEETRKRYDLVGSEEPNYGVARPAARNYYNGYNGFYEADFDADEIFRNFFFGGGPMQTTPFGTFQFRTGGMGRHTANEMHGGGGGGFNLRTLIQILPVLLLLLLNFLPSSEPVYSLSRTYHHDYKVETPRGVPYYVKRDKFDQDYPYQSSERVALEKRIEREYIGILSQNCRVELQRRNWGLSYETPYCDMLRKFD >DRNTG_11999.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13468928:13471760:-1 gene:DRNTG_11999 transcript:DRNTG_11999.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFCWPPCGGESHDHSSSSNGANPSDAPSAEEAGNPDAGVASTASSSTTARTRVSLNGSTNGSARVCTEEQISIVREIKKQKDFYKILGLERNCTIEDVRKAYRKISLKVHPDKNNAPGSDEAFKAVSRAFQCLSNEETRKRYDLVGSEEPNYGVARPAARNYYNGYNGFYEADFDADEIFRNFFFGGGPMQTTPFGTFQFRTGGMGRHTANEMHGGGGGGFNLRTLIQILPVLLLLLLNFLPSSEPVYSLSRTYHHDYKVETPRGVPYYVKRDKFDQDYPYQSSERVALEKRIEREYIGILSQNCRVELQRRNWGLSYETPYCDMLRKFD >DRNTG_11999.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:13468928:13471760:-1 gene:DRNTG_11999 transcript:DRNTG_11999.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFCWPPCGGESHDHSSSSNGANPSDAPSAEEAGNPDAGVASTASSSTTARTRVSLNGSTNGSARVCTEEQISIVREIKKQKDFYKILGLERNCTIEDVRKAYRKISLKVHPDKNNAPGSDEAFKAVSRAFQCLSNEETRKRYDLVGSEEPNYGVARPAARNYYNGYNGFYEADFDADEIFRNFFFGGGPMQTTPFGTFQFRTGGMGRHTANEMHGGGGGGFNLRTLIQILPVLLLLLLNFLPSSEPVYSLSRTYHHDYKVETPRGVPYYVKRDKFDQDYPYQSSERVALEKRIEREYIGILSQNCRVELQRRNWGLSYETPYCDMLRKFD >DRNTG_23832.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29479211:29480430:-1 gene:DRNTG_23832 transcript:DRNTG_23832.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHSNEIEYEKSVSTGNIVRKIESPLEKVEVMKTILSKSSSNGSHLSVYIGHGVGDLLCLLEADVGIVIGSNTSLREVAEQFGVSFSPLFAGVISKQRLLIGEDTSIWKCPSSTLYTVSSWAEIQAFILGK >DRNTG_23832.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29479211:29484125:-1 gene:DRNTG_23832 transcript:DRNTG_23832.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVEPKEEESVARRFWNRSLKEIDPAVYTPFVVCLAAGNLEMECFREYISQDIHFLKAYVQAYEIAEECADDDDAKDGISKMRKSVLKELKMHNSIVGEWGVDPTKEIVPTPSTTKYIDFLLATAAGKIEGGKGPDKIVTPFEKTKIAAYTVGVMTPWMRLYSFLFGLLGPHLSSDESDNVYRKWFKNYASENFEAAMRQMEELLDKLSVSLTGEELEVIDKLYSHAMKLEIEVFSSQRVVQPVVVPLIRMWGTTNQLLIFSDFDLTCTTVDSSAMLAEVAILTVPRADQSGPDDLLTHRSSLNLRNSWEDLSAKYTEEHETIMGNIRMLDKVKTLDFESLYKNLELFTDFEKRANARVVESGLLRGMNLGDIKRAGEHLILQDGCRDFFQKVVSNKEKLNFDLYMISYCWCADLIRSAFPSDIVNGMSIHSNEIEYEKSVSTGNIVRKIESPLEKVEVMKTILSKSSSNGSHLSVYIGHGVGDLLCLLEADVGIVIGSNTSLREVAEQFGVSFSPLFAGVISKQRLLIGEDTSIWKCPSSTLYTVSSWAEIQAFILGK >DRNTG_05125.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:30568737:30569873:-1 gene:DRNTG_05125 transcript:DRNTG_05125.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSSISVISLICAASGAIQDSVEISWGGDRAQLGENGELLTLTLDQSSGSGFQSKDEYLFAEVSIRLKLVSGNSAGTVTAFYLSSIGDAHNEIDFEFLGNVSGSPYTVHTNVFDQGKGNREQQFYLWFDPTQDFHTYTILWNKKNIIFLVDGLAIRVFKNNEAKGIGYPDDQAMRVYSSLWNAEDWATQGGRVKTDWSKAPFSAYYRDYNASACVASAGTSSCSSGSVSWMDRELSLHEVSKMKELRKTYMVYNYCDDKNRFGQNMPAECRLA >DRNTG_09131.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:26814679:26820483:-1 gene:DRNTG_09131 transcript:DRNTG_09131.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAAAGGGTDFLGVSALAYLEGTAVSETRSLVAELCRQFYTLGWVSGTGGSITIKVYDESIPRPHQLIVMSPSGVQKERMAPEDMYVMSGDGTVLSAPSPKPYPNKAPKCSDCAPLFLKAYGMRNAGAVIHSHGMESCLVTMLHPFSKEFRITHMEMIKGIQGHGYYDELVVPIIENTAHERELTDSLSAAIAAYPKSTAVLVRNHGIYVWGDSWISAKTQAECYHYLFDAAIKLYQLGIDWTSPSHDHLTGCQVSTRITGLPNGNLANNLTRQCIVLDIEGTTTPISFVTDILFPYARDNVRKHLMLTYDDQETKDDIKLLHAQVQDDLEKGISGSVPIPSDDVGKEGVIDALVANVESMIRADRKVTALKQLQGHIWRTGFKNNELQGVVFEDVPEALRKWHADGIKVYIYSSGSREAQRLLFGNTSYGDLRKYLSGYFDTTTGNKRESQSYFEISFSVGVDEPSQILFLTDVYQEAVAARAAGVEAIISIRPGNAPLPENHGFRTITSFSEI >DRNTG_29634.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5904050:5906809:1 gene:DRNTG_29634 transcript:DRNTG_29634.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3119 [Source:Projected from Arabidopsis thaliana (AT3G04790) UniProtKB/TrEMBL;Acc:A0A178V804] MAATLSLTPPSSLPLRRRSTRPLLRRTSTTIRSLSSPSPSPPATTLSQDDLKKIAAVKAVEYVRSGMVLGLGTGSTAAFVVAELGALLSSGKLEKIVGVPTSKRTFEQAQSLGIPLSTLDDHPYIDLAIDGADEVDPFLNLVKGRGGALLREKMVEAASDKFVVVADETKLVTGLGGSGLAMPVEVVQFCWKYNLIRLQDLFKEEGCDAKLRLDEGGKPYVTDNSNYIVDLYFKSPIKDAAAAGKEISAFEGVVEHGLFLDMATSVIIAGKNGVDVKDK >DRNTG_33023.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17176779:17188105:1 gene:DRNTG_33023 transcript:DRNTG_33023.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWRIILLPFFRSQKNKYVADEDDATYSCRVSGALLLEETVNAYDEEGVYAILEAAQRHHFESNQSRSAGSLSWWKLYEAPLFALISISEQLLELQPSSLGNLLDQILIEAMGTGVHEYPFLHARAFAAVASFSSVINQRICEQFTCAAIQALASDVPPPVKVGACSALAKLLPESSKEIVQPRMMSLLQSLTDLLKNASDETLHLVLETLQAAVKAGHELSASIEPILSPMILNVWVQHVSDPFISIDALEVLEAIKNAPGCMHSFILRVVPSIKSILEKPQLQSEGLVAGSLDLLTMI >DRNTG_33023.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17183659:17188105:1 gene:DRNTG_33023 transcript:DRNTG_33023.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGVHEYPFLHARAFAAVASFSSVINQRICEQFTCAAIQALASDVPPPVKVGACSALAKLLPESSKEIVQPRMMSLLQSLTDLLKNASDETLHLVLETLQAAVKAGHELSASIEPILSPMILNVWVQHVSDPFISIDALEVLEAIKNAPGCMHSFILRVVPSIKSILEKPQLQSEGLVAGSLDLLTMI >DRNTG_14203.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000660.1:101484:105478:-1 gene:DRNTG_14203 transcript:DRNTG_14203.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEGVLLSWRPIPGLCDDESAEIYRWNRARRRKTIVSLGGASFFASVSLAPAGNNNSDDKIQETFPRAEQLIKHPLALLALFPKDLALFSAGAIAGALSKTLTAPLDRVKLLMQTHGLRASGSKGIGLLEAITLIHKEEGIKGYWKGNLPQVIRIIPYSAVQLFAYEIYKKILSNEDGDLSVIGRLIAGACAGMTSTFVTYPLDVLRLRLAVEPGCRTLSQVAFNMLREEGIASFYCGLGPSLIGIAPYIAVNFCIFDLMKKSLPEKYQKRPETSLATALVSATVATLMCYPLDTVRRQMQMKGSPFNSVFDALPGIVERDGFLGLYRGFVPNALKSLPSSSIRLTAFDTVKGLITASQKELERIMEENQLS >DRNTG_14203.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000660.1:101339:105478:-1 gene:DRNTG_14203 transcript:DRNTG_14203.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEGVLLSWRPIPGLCDDESAEIYRWNRARRRKTIVSLGGASFFASVSLAPAGNNNSDDKIQETFPRAEQLIKHPLALLALFPKDLALFSAGAIAGALSKTLTAPLDRVKLLMQTHGLRASGSKGIGLLEAITLIHKEEGIKGYWKGNLPQVIRIIPYSAVQLFAYEIYKKILSNEDGDLSVIGRLIAGACAGMTSTFVTYPLDVLRLRLAVEPGCRTLSQVAFNMLREEGIASFYCGLGPSLIGIAPYIAVNFCIFDLMKKSLPEKYQKRPETSLATALVSATVATLMCYPLDTVRRQMQMKGSPFNSVFDALPGIVERDGFLGLYRGFVPNALKSLPSSR >DRNTG_00589.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:29885331:29906458:-1 gene:DRNTG_00589 transcript:DRNTG_00589.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLASGPLPRQETSKEGSSGAPEDAVIFVGISLVLGIASRHLLGGTRVPYTVALLLLGVGLGSLEYGTDSGLGGRVGNSIRMWANINPNLLLFGFLPALLFESSFAMEVHQIKRCMAQMVLLAGPGVLISTFCLGAALKLTFPYGWTWKISLLLGGLLSATDPVAVVALLKELGASKKLNTIIEGESLMNDGTAIVVYQLFYKMVIGESLNVGGIVKFLSQVTLGAVAIGIAFGIVSALWLSFIFNDTVIEITLTLAVSYIVYYTAQDGAEVSGVLAVMTLGIFYAAFARTAFKGDGQKSLHHFWEMVAYIANTLIFILSGVVIAEGVLNNYNHFERHGSSWGYLILLYVFVQLSRIIVVGLLYPFLCYFGYGLNWKEAIVLIWSGLRGAVALALSLSFRSSSNSFNQAYLSREVGILFVFFTGGIVFLTLIVNGSTAQFFIHLFDMDKLSATKIRILNYTKHEMLNKALEAFGGLGDDEELGPADWPTVQRHITCLNDLDGQRTHPHNVSESENHLQNMNLKDIRIRLLNGVQSAYWGMLEEGRINQTAANLLMQSVDEAMDLVSNEALCDWRGLKRSVHLPSYYKYLHISRIPQRLVTYFTVQRLESACYICAAFLRAHRIARRQLHEFIGDSEIASFVINESTEEGEEARRFLEDVRSSFPEVLRVVKTRQVAYSILKHLSDYVQNLQSVGLLEEKEVVHLDDAVQTDLKKLLRNPPLVKMPRIGDLMSAHPMLGALPSSVRAPLENCTKETMKFRGVALYKEGSKPNGIWLISLGVVKWESKVLKNKHALHPTFTHGSTLGLHEVLIGKPYICDMITDSVVHCFFIDTEKILSLFKSDPAIEDFLWQESAIAISKILFPQIFEKMGMPELRSLVAERSTMKNYISGEAIEIKPNFVGFLLEGFLRPKGSKQELIASPAALFLSFTDLSSSSLDSSGANNSSFCHQGSWYQVETRARVIFFNIGSTEAEGALQRRSSLVSQSMEPSRTHSRERAGLLSWPESFYKARSHLQSPNENDKKSNSLSAKAMELSIYGSMVNDILRRNKNFRRNSQGQPSHSYSYPRVPSRPSNAQPLLSVQSEGGSLNVRIGSRDYSRLAPLPPLPVRKRKKKVVQDESSDESGGEEIIVRIDSPSTLSFCQTSESLFPPNEGS >DRNTG_23981.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30907296:30911783:-1 gene:DRNTG_23981 transcript:DRNTG_23981.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEETVEKLMQAVGEIAGISDYRNAYKRQFCNLSRRIKLLAPMFEELSDSQEPIPEEEAKTLVKLKDALVAAKELLRLGSDGSKICLVLEREKIMKRLQEITDQLEQALSEISFERLDISDEVREQVELVHAQFKRAKERIDMPDTELYGDLSMVCNGSTEGNIDPVILQRLAEKLQLTTISELSQESFVLHEMAAASSSADPGENTKKMLVLLQQIKDFMQTQNPQMGDPAISNVPADEKPKTPVIPEDFRCPISLELMNDPVIVATGQTYERSSIEKWLDAGHETCPKTQQKLANTSLTPNYVLRSLIAQWCESNGIEPPKRPTRPSKPFSFK >DRNTG_23981.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:30907296:30911783:-1 gene:DRNTG_23981 transcript:DRNTG_23981.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEETVEKLMQAVGEIAGISDYRNAYKRQFCNLSRRIKLLAPMFEELSDSQEPIPEEEAKTLVKLKDALVAAKELLRLGSDGSKICLVLEREKIMKRLQEITDQLEQALSEISFERLDISDEVREQVELVHAQFKRAKERIDMPDTELYGDLSMVCNGSTEGNIDPVILQRLAEKLQLTTISELSQESFVLHEMAAASSSADPGENTKKMLVLLQQIKDFMQTQNPQMGDPAISNVPADEKPKTPVIPEDFRCPISLELMNDPVIVATGQVCVQYDSRIFFSVGHTHFYLNL >DRNTG_33734.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:25185145:25187186:-1 gene:DRNTG_33734 transcript:DRNTG_33734.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKINSVSDDAIRLRAFLFSLKGRPKQWLHSLPKASIMTWNEIVEAFLARFFPLGKSAKLRNEISSFVKMELESLFKTWEHFKDLLQRCPQHGFPKWMIIQTFYNGLNPSIRQLLDTAARDAVTSLAAQVESLSKKLDLLSSNRVAAVTTCTECDGGHAPSDCLISIDPYEGLFDQEMDNEEVMMLGSTKEVPSTPGIMKKVLRKMKRARRHH >DRNTG_12462.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:20930815:20932057:-1 gene:DRNTG_12462 transcript:DRNTG_12462.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTSFSRYMIELKTLPIKLPSDTLYTQMKFSLSSSSTFSLMYLEGSPSLK >DRNTG_20264.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:2953644:2955525:1 gene:DRNTG_20264 transcript:DRNTG_20264.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAAGYPTSACLDTVITNAVIIDYTGIYKADIGIKDGYIIAIGKAGNPDIMDGVSGDMIVGVSTEVIAGEGMIVTAGGIDCHVHFICPQLAQEAIASGITTLVGGGTGPADGTRATTCTTSPCHMQLMLQSTDDLPVNIGFTGKVLWV >DRNTG_09783.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000411.1:101263:102897:1 gene:DRNTG_09783 transcript:DRNTG_09783.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNTMKIGAECEEKSSKMTMRYRKIDHERFSADLRRRRRESTKIFKEELASLGILRTHTGMWKLTMPVLLHRGEPQGQPHAPVHSLSIREEPLSVSHACAEIPHVCGRSQVQLTGTNTRSLCLLRMERTRLQSFGRACRNYLHPCARPTGAAARPCGFFASSEKNSRLGAFSVVSLHPFHLPKHLL >DRNTG_04398.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:1134994:1136173:-1 gene:DRNTG_04398 transcript:DRNTG_04398.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSLAVAVFLAVAAPTIAVNYIVGDSQGWSTGVDYSTWVSGKTGSGTRYFVCGTAGHCSQGMKLAVTVSGSTSPSTPSSPGGSSGSPSTPSSPSNNPSSPTTPSPATTTKNGAAGVGGRGVLISVMLAGCGIALLG >DRNTG_32648.11.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22074633:22075105:-1 gene:DRNTG_32648 transcript:DRNTG_32648.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSVFFRCFLPPQQDGDRISLLKCMPDFKIKVHGSRGGRGRTLVSHRRNVRCDFSAQEQPPKSKQKPSQSPGRKSETDVDPVGFLSKYGISDRAFAQFLRDR >DRNTG_32648.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22041485:22043270:-1 gene:DRNTG_32648 transcript:DRNTG_32648.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYCENHNQSISGGRSFAEILFGNNNQNFIGLEDFEFRGSSLHKMIRLISFSIGGSAYLNFMGNEFGHPNRVEFPMESNNYSFAFANRKWDLLKDKGLHMGLFNFDK >DRNTG_32648.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22037401:22063054:-1 gene:DRNTG_32648 transcript:DRNTG_32648.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFLDRWVTEYCVDGFQFHSLASMMYTHNGFANFTGQMEEFANQYVDKDALIYLILANEMLHELHPDIITIAEDATFYPGLCESTTQGGLGFDYYVNLSVMDLWLWLLQDVPDQAWSMSKIVNVLVNSQSNNRRMLVYCENHNQSISGGRSFAEILFGNNNQNFIGLEDFEFRGSSLHKMIRLISFSIGGSAYLNFMGNEFGHPNRVEFPMESNNYSFAFANRKWDLLKDKGLHMGLFNFDKEMMKLDEKEQILSRNPSTVHHVDDSKMVISYIRGRLLFVFNFHPEKSYENYSIGVEEAGEYMVILNTDDVSFGGRGVIQSDQNLKKTSSKRTDGYRNSLEVTLPSRSAQVYKLTRILRI >DRNTG_32648.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22074279:22075105:-1 gene:DRNTG_32648 transcript:DRNTG_32648.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSVFFRCFLPPQQDGDRISLLKCMPDFKIKVHGSRGGRGRTLVSHRRNVRCDFSAQEQPPKSKQKPSQSPGRKSETDVDPVGFLSKYGISDRAFAQFLRDRHKALKDRKVELFSRFLDISVASSGSDILGMHRHRQHRVDFMEWAPG >DRNTG_32648.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22063148:22072046:-1 gene:DRNTG_32648 transcript:DRNTG_32648.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKLYEQMFGPNGPQTEEELGEILDAETRYKQWKETNKSDPTKPCFDVIDNGKEYDIFNVVSDPVSRERFRSKKPPLAYWIEMRKGRKAWLKKYIPAISHGSRYRVYFNTPDGALERVPAWAPYVLPDVDGQSACAVYWEPSPEDIYKWKNKRPIVPKSLRIYECHIGISGSEPKISSFNEFTLKVLPHVKNAGYNCIQLIGAVEHKDYSSVGYKVTNFFAVSSRFGTPNDFKQLIDEAHGLGLLVLLDVVHSYAAADELVGLSLFDGSNDCYFHSGKRGHHKYWGTRMFKYGDVDVLHFLLSNLKW >DRNTG_32648.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22040837:22042690:-1 gene:DRNTG_32648 transcript:DRNTG_32648.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLISFSIGGSAYLNFMGNEFGHPNRVEFPMESNNYSFAFANRKWDLLKDKGLHMGLFNFDKEMMKLDEKEQILSRNPSTVHHVDDSKM >DRNTG_32648.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22037401:22064501:-1 gene:DRNTG_32648 transcript:DRNTG_32648.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFKYGDVDVLHFLLSNLKWWVTEYCVDGFQFHSLASMMYTHNGFANFTGQMEEFANQYVDKDALIYLILANEMLHELHPDIITIAEDATFYPGLCESTTQGGLGFDYYVNLSVMDLWLWLLQDVPDQAWSMSKIVNVLVNSQSNNRRMLVYCENHNQSISGGRSFAEILFGNNNQNFIGLEDFEFRGSSLHKMIRLISFSIGGSAYLNFMGNEFGHPNRVEFPMESNNYSFAFANRKWDLLKDKGLHMGLFNFDKEMMKLDEKEQILSRNPSTVHHVDDSKMVISYIRGRLLFVFNFHPEKSYENYSIGVEEAGEYMVILNTDDVSFGGRGVIQSDQNLKKTSSKRTDGYRNSLEVTLPSRSAQVYKLTRILRI >DRNTG_32648.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22065714:22066985:-1 gene:DRNTG_32648 transcript:DRNTG_32648.9 gene_biotype:protein_coding transcript_biotype:protein_coding VLPHVKNAGYNCIQLIGAVEHKDYSSVGYKVTNFFAVSSRFGTPNDFKQLIDEAHGFLSFSLIFFVIIDGKLLLISAILI >DRNTG_32648.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22067061:22074532:-1 gene:DRNTG_32648 transcript:DRNTG_32648.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHRHRQHRVDFMEWAPGARYCSLVGDFNGWSPTENSARDGHFGHDDFGYWFIILEDKLRDGEEAEKYYFQEYNYVDDYDKGDSGISAEELLKRANDEYWEPGEVRTRKSTLEMVSKLYEQMFGPNGPQTEEELGEILDAETRYKQWKETNKSDPTKPCFDVIDNGKEYDIFNVVSDPVSRERFRSKKPPLAYWIEMRKGRKAWLKKYIPAISHGSRYRVYFNTPDGALERVPAWAPYVLPDVDGQSACAVYWEPSPEDIYKWKNKRPIVPKSLRIYECHIGISGSEPKISSFNEFTLK >DRNTG_32648.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22037401:22075105:-1 gene:DRNTG_32648 transcript:DRNTG_32648.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRHRQHRVDFMEWAPGARYCSLVGDFNGWSPTENSARDGHFGHDDFGYWFIILEDKLRDGEEAEKYYFQEYNYVDDYDKGDSGISAEELLKRANDEYWEPGEVRTRKSTLEMVSKLYEQMFGPNGPQTEEELGEILDAETRYKQWKETNKSDPTKPCFDVIDNGKEYDIFNVVSDPVSRERFRSKKPPLAYWIEMRKGRKAWLKKYIPAISHGSRYRVYFNTPDGALERVPAWAPYVLPDVDGQSACAVYWEPSPEDIYKWKNKRPIVPKSLRIYECHIGISGSEPKISSFNEFTLKVLPHVKNAGYNCIQLIGAVEHKDYSSVGYKVTNFFAVSSRFGTPNDFKQLIDEAHGLGLLVLLDVVHSYAAADELVGLSLFDGSNDCYFHSGKRGHHKYWGTRMFKYGDVDVLHFLLSNLKWWVTEYCVDGFQFHSLASMMYTHNGFANFTGQMEEFANQYVDKDALIYLILANEMLHELHPDIITIAEDATFYPGLCESTTQGGLGFDYYVNLSVMDLWLWLLQDVPDQAWSMSKIVNVLVNSQSNNRRMLVYCENHNQSISGGRSFAEILFGNNNQNFIGLEDFEFRGSSLHKMIRLISFSIGGSAYLNFMGNEFGHPNRVEFPMESNNYSFAFANRKWDLLKDKGLHMGLFNFDKEMMKLDEKEQILSRNPSTVHHVDDSKMVISYIRGRLLFVFNFHPEKSYENYSIGVEEAGEYMVILNTDDVSFGGRGVIQSDQNLKKTSSKRTDGYRNSLEVTLPSRSAQVYKLTRILRI >DRNTG_32648.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22037401:22075105:-1 gene:DRNTG_32648 transcript:DRNTG_32648.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSVFFRCFLPPQQDGDRISLLKCMPDFKIKVHGSRGGRGRTLVSHRRNVRCDFSAQEQPPKSKQKPSQSPGRKSETDVDPVGFLSKYGISDRAFAQFLRDRHKALKDRKVELFSRFLDISVASSGSDILGMHRHRQHRVDFMEWAPGARYCSLVGDFNGWSPTENSARDGHFGHDDFGYWFIILEDKLRDGEEAEKYYFQEYNYVDDYDKGDSGISAEELLKRANDEYWEPGEVRTRKSTLEMVSKLYEQMFGPNGPQTEEELGEILDAETRYKQWKETNKSDPTKPCFDVIDNGKEYDIFNVVSDPVSRERFRSKKPPLAYWIEMRKGRKAWLKKYIPAISHGSRYRVYFNTPDGALERVPAWAPYVLPDVDGQSACAVYWEPSPEDIYKWKNKRPIVPKSLRIYECHIGISGSEPKISSFNEFTLKVLPHVKNAGYNCIQLIGAVEHKDYSSVGYKVTNFFAVSSRFGTPNDFKQLIDEAHGLGLLVLLDVVHSYAAADELVGLSLFDGSNDCYFHSGKRGHHKYWGTRMFKYGDVDVLHFLLSNLKWWVTEYCVDGFQFHSLASMMYTHNGFANFTGQMEEFANQYVDKDALIYLILANEMLHELHPDIITIAEDATFYPGLCESTTQGGLGFDYYVNLSVMDLWLWLLQDVPDQAWSMSKIVNVLVNSQSNNRRMLVYCENHNQSISGGRSFAEILFGNNNQNFIGLEDFEFRGSSLHKMIRLISFSIGGSAYLNFMGNEFGHPNRVEFPMESNNYSFAFANRKWDLLKDKGLHMGLFNFDKEMMKLDEKEQILSRNPSTVHHVDDSKMVISYIRGRLLFVFNFHPEKSYENYSIGVEEAGEYMVILNTDDVSFGGRGVIQSDQNLKKTSSKRTDGYRNSLEVTLPSRSAQVYKLTRILRI >DRNTG_17289.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:5735522:5736811:-1 gene:DRNTG_17289 transcript:DRNTG_17289.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRTPQASPAPPSPIRMMVDPPTSSSSPTAAPGGNSSRALR >DRNTG_27878.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001414.1:522:3991:1 gene:DRNTG_27878 transcript:DRNTG_27878.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLTGRKMQRIFEALAPEHAQFDARSLVEYCCFRYLSRDSSDFHPGLKDPAFQRLIFLTMLAWEQPYSTGSDLQVDSENSSLQRRLVGKEAFVRIAPAVAGVADSSTAHHLFKALAGAENGISLSLWMTFIAELFKVHEGRKSYQTNDVMQKEQLLCIGSSRKRPVLKWEGNIAWPGNLTLTDSALYFEAIGLTGAKNIIRLDLKCHGSQVKKTKVGPLGSKLFDSAVSVSSGELSETWVLEFVDFGGEMRREVWHAFISEVISLYKFIREFGPVDGDPLIHQVYGAQKGKSRAIRSVANSIARLQSLQFIRRLAEDPAKLVQFSYLRNVPYGDVVLQTLAVNFWGGQLIRKFKQEGYLSTRWGIPEELSVSNIHIFDVDGSVYLRKWMRSPTWGTSASVTFWKNTSVKQGIILSKNHVVADLNLVERAALTCREKSWMVEKTQATIDAAMIKGIPSNIDLFKELMLPCVFVVKNFDKLRRWEEPRWTLSFLVFAYTSYIQVRSISTNI >DRNTG_27878.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001414.1:522:3991:1 gene:DRNTG_27878 transcript:DRNTG_27878.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRREVWHAFISEVISLYKFIREFGPVDGDPLIHQVYGAQKGKSRAIRSVANSIARLQSLQFIRRLAEDPAKLVQFSYLRNVPYGDVVLQTLAVNFWGGQLIRKFKQEGYLSTRWGIPEELSVSNIHIFDVDGSVYLRKWMRSPTWGTSASVTFWKNTSVKQGIILSKNHVVADLNLVERAALTCREKSWMVEKTQATIDAAMIKGIPSNIDLFKELMLPCVFVVKNFDKLRRWEEPRWTLSFLVFAYTSYIQVRSISTNI >DRNTG_27878.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001414.1:522:3991:1 gene:DRNTG_27878 transcript:DRNTG_27878.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPLPLLRHNVPPSLLRCSARRSAHRQVLASLRGDQWKLSNIDPEAVQERLSSWLLKAQALLTDVAAPLVKQGQGKKAGKESDMEGVDGEEEIFVDSEMTVERMTPNGCLSFAAAVSIEQFGRMNGLTGRKMQRIFEALAPEHAQFDARSLVEYCCFRYLSRDSSDFHPGLKDPAFQRLIFLTMLAWEQPYSTGSDLQVDSENSSLQRRLVGKEAFVRIAPAVAGVADSSTAHHLFKALAGAENGISLSLWMTFIAELFKVHEGRKSYQTNDVMQKEQLLCIGSSRKRPVLKWEGNIAWPGNLTLTDSALYFEAIGLTGAKNIIRLDLKCHGSQVKKTKVGPLGSKLFDSAVSVSSGELSETWVLEFVDFGGEMRREVWHAFISEVISLYKFIREFGPVDGDPLIHQVYGAQKGKSRAIRSVANSIARLQSLQFIRRLAEDPAKLVQFSYLRNVPYGDVVLQTLAVNFWGGQLIRKFKQEGYLSTRWGIPEELSVSNIHIFDVDGSVYLRKWMRSPTWGTSASVTFWKNTSVKQGIILSKNHVVADLNLVERAALTCREKSWMVEKTQATIDAAMIKGIPSNIDLFKELMLPCVFVVKNFDKLRRWEEPRWTLSFLVFAYTSYIQVRSISTNI >DRNTG_12763.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25918817:25920092:-1 gene:DRNTG_12763 transcript:DRNTG_12763.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKADEIIDRGDGGNQGQVHTHEHQEPCHGPGPVWHPSSPPFLLLSFLTDQWARGPMDDDREAMRELGTTRPRRRSL >DRNTG_12763.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25918817:25920092:-1 gene:DRNTG_12763 transcript:DRNTG_12763.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKADEIIDRGDGGNQGQVHTHEHQEPCHGPGPVWHPSSPPFLLLSFLTRGPMDDDREAMRELGTTRPRRRSL >DRNTG_12763.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25918817:25920092:-1 gene:DRNTG_12763 transcript:DRNTG_12763.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADEIIDRGDGGNQGQVHTHEHQEPCHGPGPVWHPSSPPFLLLSFLTDQWASIFMECN >DRNTG_12763.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:25919645:25920092:-1 gene:DRNTG_12763 transcript:DRNTG_12763.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKADEIIDRGDGGNQGQVHTHEHQEPCHGPGPVWHPSSPPFLLLSFLTDQWASIFMECN >DRNTG_13250.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:13777575:13779173:1 gene:DRNTG_13250 transcript:DRNTG_13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNSGRIIYNKPFNLWQPLNSTTNKTAYFSTSFLMNIANMTLSPGEGMAFVILPSLDEPPLASFGGYLGLTNETLDGKPSNQIIAVEFDTVKQEANNDPDDNHVGLNINSVKSVATEPLSTIAINISSFPAKNYTVWIDYDGFAHKINVSMAVDGENKPSVPVLSKDLDISLFVAPKSYFGFTASTSTNVELNCVLKWNLSVEALPEDDNNDGIAAWLLVVIIVASIAVVAVVGYFLLYKMRMKKRDPVMLMSTLKRLPGTPKEFKFKELKKATKNFDEKMRLGQGGFGVVYKGVLPEDNTEVIVKKFSRDSTKCEDDFLSELVIINKLRHKHLVKLVVLNWSLRYNIIAGVASALHYLHNEYDKRVVHRDLKASNIMLDAAFNARLGDLGLARALETDKTSYAELEHLGVPGTMGYIAPECFHTGKATRESDVYAFGAVILETVCGRRPRCDVSGFQSLVDWVWKLHREGRIWTR >DRNTG_31061.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:30687014:30689146:-1 gene:DRNTG_31061 transcript:DRNTG_31061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIGQRNRAVGSTALNDRSSRSHSCLTIHVQGRDITSGTILRGCMHLVDLAGSERVDKSEAKGERLKEAQHINKSLSALGDVIAALAQKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEADAIGETLSTLKFAERVATVELGAARLNKESGEIKELKEQIASLKAAVARKDGEFENLQSTMSSPDLFARKAGGALSNRQHDEEDCLINHRQPMEEVGNIEVRGNSGLKQKNTGFDLQQLLLATGSHPWADSDPSLNFHKHGAAGTDDDSPRDWEGDNGNAPDFFYKRYQPDTRDLLEQQYNRNIGGRNENHEYEVQRNRFDNVATDDSDDLDVATSDSSEADMLWQFNLPKVTGTPNGVGTRIKKHQVKLMNSPDIRTPNRSHIPSPSPSRKLQSSAQSLNRNSRLPSFDANRSSRLPIGKTGNGK >DRNTG_33271.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:23907515:23910039:-1 gene:DRNTG_33271 transcript:DRNTG_33271.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSDGIVIRIDDLIRDTFIEIPEDLSKGTGERRGLIKRNNIVGVRNTRHSLLAISPVLISRRGGDHEAKARSFSDDGAPSSAREEPQLPRRQQRRRSGDECYALHR >DRNTG_29495.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:6044090:6044983:-1 gene:DRNTG_29495 transcript:DRNTG_29495.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMSLHGLPVRAMGELLEGQFSLGPLRPPPHSSRRRSTMQDFTNRQASFGGEDWEKILGIQGII >DRNTG_29347.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1940879:1943820:1 gene:DRNTG_29347 transcript:DRNTG_29347.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERCGGVVLHSNLRIQSSIPRRRTPFSRSGHPLPLPSCAARRKIFRTAPRASPLVDHFRLGNFQNDKRHMLVNKVIHKSQNALSVYSSCGSREASTSGGEMRKNELLVDCGTDQEECVVGRIVALGKFDALHIGHRELAIQASKAGTPFLLSFVRMAEILGWEYRPPIVAKCDRKRILSSWAPYCGNVIPHEYEVDFSKVRHLTPRQFVERLSKELRVRITDSDIERQVMHQSW >DRNTG_29347.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1940879:1943820:1 gene:DRNTG_29347 transcript:DRNTG_29347.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCGGVVLHSNLRIQSSIPRRRTPFSRSGHPLPLPSCAARRKIFRTAPRASPLVDHFRLGNFQNDKRHMLVNKVIHKSQNALSVYSSCGSREASTSGGEMRKNELLVDCGTDQEECVVGRIVALGKFDALHIGHRELAIQASKAGTPFLLSFVRMAEILGWEYRPPIVAKCDRKRILSSWAPYCGNVIPHEYEVDFSKVRHLTPRQFVERLSKELRVSGVVAGENYRFGYRASGDASELVRLCKEYGLEAYIVGSVMDRSQPSYNGTSKGINSNDRGQVSSTRVRHALALRDMEYVGKLLGRKHRLVLSADKGLIARSDSAPNRFSIPKLCMLNQPPDDGVFDNCSLLVDDAYVAPCRVIIDTELINIESDDGSACLQEHLQNGQQFGIEFGW >DRNTG_20199.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:16033643:16034664:-1 gene:DRNTG_20199 transcript:DRNTG_20199.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMLCNRVSKRTNGRPYLCPDIHSKEAMFLIPDDDSPSNGNRELRLRPPVTGRQPGRPRRKRIESQAFDVRELHCSRCHGSGHNRRSCNETIAD >DRNTG_30755.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30576633:30581319:1 gene:DRNTG_30755 transcript:DRNTG_30755.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKTVLDSAMQHETHIQEMEEEMRSFISTKSEATEELRGMVKKLQTMFCSGIRTLDDLASELDKKSQSTCEKLNTQVNLHSSALDDSIAVVDHGLHQYSKY >DRNTG_30755.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30576633:30581319:1 gene:DRNTG_30755 transcript:DRNTG_30755.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKTVLDSAMQHETHIQEMEEEMRSFISTKSEATEELRGMVKKLQTMFCSGIRTLDDLASELDKKSQSTCEKLNTQVNLHSSALDDSIAVVDHGLHQYSKY >DRNTG_30755.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30576633:30581319:1 gene:DRNTG_30755 transcript:DRNTG_30755.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKTVLDSAMQHETHIQEMEEEMRSFISTKSEATEELRGMVKKLQTMFCSGIRTLDDLASELDKKSQSTCEKLNTQVNLHSSALDDSIAVVDHGLHQYSKY >DRNTG_30755.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30576633:30581319:1 gene:DRNTG_30755 transcript:DRNTG_30755.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKTVLDSAMQHETHIQEMEEEMRSFISTKSEATEELRGMVKKLQTMFCSGIRTLDDLASELDKKSQSTCEKLNTQVNLHSSALDDSIAVVDHGLHQYSKY >DRNTG_30755.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:30576633:30581319:1 gene:DRNTG_30755 transcript:DRNTG_30755.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKTVLDSAMQHETHIQEMEEEMRSFISTKSEATEELRGMVKKLQTMFCSGIRTLDDLASELDKKSQSTCEKLNTQVNLHSSALDDSIAVVDHGLHQYSKY >DRNTG_16572.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19460676:19463645:1 gene:DRNTG_16572 transcript:DRNTG_16572.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLTMAVSLKTLRPTCTNGVCNKATPFQIAFFYLSLYIMAVGAGGTKPNISTFGADQFDDFDPNEKKLKVSFFNWWMFSSFTGGLMATLGLVYIQENIGWGLGYGIPTAGLLFSLVIFYLGTPNYRHKVRKTKSPAKALVRVCATAFANRSCELPEDPSELYELEPQHYMATGKRRVHHTMAFRFLDKAAIKEGNKKSNPCTVTQVEETKLLLGMALIWLATIIPSTIWAQANTLFVKQGTTLDRTIGHHHNSFQIPAASLGSFITISMLLSVPIYDRYFLPFMQRRTSNPRGITLLQRLGIGFAFHVAVTAVAYFVELKRMHVIKTHNISHPTDIVPYEHLLALATVHPSRHRRCVQCHWFVRILLRPVAGGHAELGNHVLY >DRNTG_16572.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19460676:19463645:1 gene:DRNTG_16572 transcript:DRNTG_16572.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKGFTQDGSVDLRGRPVLASQTGRWRACAFLVGYEAFERMAFYGVAANLVVYLTTQLHEDTVSSVRSVNNWSGSVWITPIIGAYIADTYFGRFWTFTISSLIYAMGMVLLTMAVSLKTLRPTCTNGVCNKATPFQIAFFYLSLYIMAVGAGGTKPNISTFGADQFDDFDPNEKKLKVSFFNWWMFSSFTGGLMATLGLVYIQENIGWGLGYGIPTAGLLFSLVIFYLGTPNYRHKVRKTKSPAKALVRVCATAFANRSCELPEDPSELYELEPQHYMATGKRRVHHTMAFRFLDKAAIKEGNKKSNPCTVTQVEETKLLLGMALIWLATIIPSTIWAQANTLFVKQGTTLDRTIGHHHNSFQIPAASLGSFITISMLLSVPIYDRYFLPFMQRRTSNPRGITLLQRLGIGFAFHVAVTAVAYFVELKRMHVIKTHNISHPTDIVPYEHLLALATVHPSRHRRCVQCHWFVRILLRPVAGGHAELGNHVLY >DRNTG_00200.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:12483673:12540867:-1 gene:DRNTG_00200 transcript:DRNTG_00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGLDDLLASLPFFSSPRSPKSLSSVSPKSAKKRSSQKSLFCPKSWYLYPPRHAGRKGV >DRNTG_32935.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:19047393:19049325:-1 gene:DRNTG_32935 transcript:DRNTG_32935.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELHPEKTQGLVDDPVGGTRAWVQFERLSRLKFGQSIFPDLSALREFYLGDEMADKILELIFVGSWRRLLSIR >DRNTG_22701.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:1393523:1397039:-1 gene:DRNTG_22701 transcript:DRNTG_22701.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKDPTGHLSSWVGEDCCSWRGLTCDETTHHITHLDLQNPDPLNDDDDFPYNQGSLLGKVSPSLQKLKHLKYLDLSGNYFGNSSIPVFIGYFKHLRYLNLSATGFIGNIPPELGNLSHLHYLDLYSPTYALYLDDAQWLSGLSSLLYLDMSCVDQSGLSDWFPILNMLPVIRQIHLYDCMLEIIPKSLSHINFTSLSVLDLSNNYFNTTIPEFLYDIISLEHLDLRWSAFVGPVSAAIGNMTLLKYLGMSGMKIEGSLPRDMSSLCNLQTLHLTHSLEGHNLAELEEMFNGCIKDSLEELHVGDNAFAVPFPDWISDLKHLKVLDLSSNRLYGPIPASIGKLSDLQILQLPLNEFNESISESLGQLQELIDLNLGINNFNTILTEEHFANLTKLKKLNLQESSLQLRFDAEWIPPFQLEVIQMRSCQLGPKFPPWLRTQEKATFLDMSYAGIVDSIPDWFWNVTSHVGTLYLNNNEIRGKLPRSPKFQLNAEVLIDMSSNHFEGELPYFPSNVVELYLWNNSFTGPIPPSINEKMPKLAYLSFAQNNFSGHLPESLCNLTELVVLDLSENHLIGEILDCWERAQGIKFLNLAGSKLFGGFPRSIGSLKSLQLLDLSNNYLSGELPEISMNLTELHTLDLSRNRFTGRIPIWLGESLPEMKILNLRLNQFVGTIPSQMANFTNLHVLDLSNNHLSGTIPRSFGNLEGMKKTASERGGGGEFEDSTSKGLVMTMWLVTKGRYYAYDKLLSLLTFMDLSMNNLSGRIPHKLMDLSGMNTLNLSGNHLTGEITERIGLLQLLETLDLSRNKLSGPIPLNLSSLSFLHHLNLSNNNLSGRIPTGGQLNTLLDPSIYEGNPNLCGVPLLRSCPGDEPSQQNKSRTADGQDGHQDDILDEILFYVFVILGFLTGFWAVSSILILNRTWRITFFRFTDTVYDKIYVITLVNINKIKRILWHKGLIKN >DRNTG_31497.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:19057581:19058141:-1 gene:DRNTG_31497 transcript:DRNTG_31497.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFKRLPIPDFIGSLANLEYLSLSYALFSGIIPHTLGNLSRLRSLDLTTYDFTNLKPNDLHWLSGMTSLHHLDLSGVDLSNVHGWLHDINMLPSLLVLKLSNAGLQVGGIHDTTLLHHLNFTSLHVLDLSINYDLNITLPQWLFNLTSLVYLDLSHNQIHGSIPESIGRLRNLQYLDLSDTIVFG >DRNTG_02392.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:6909134:6917919:1 gene:DRNTG_02392 transcript:DRNTG_02392.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSQDPQNTVEASPSAQAQHETQGNHQDNPGGDAPVADSGSISIASSENRKVSREDIELVQNLIERCLQLYMNKGEVVRTLSNRARIEPGFTTLVWQKLEEENSDFFRAYYIRLKLKKQIIMFNQLLEHQYHLMKYPVHPKVPLAPIQNGIHPMPVNNFPMGYPVIQQPPMPATGQPHLDSMSCGLSSCHVVNGIPAPGGFHPIRMNSGNDIVMDNRTSDVPHTAPQCSTMSSVSEMAVSPASVASSNHFPFNPSEMSGMGIDASALDSTFPTDVAGTGGLQLGPDGGVGSSRDSLKSLGQLWNFSFSDLTADLTNLEDLGALGDYTGSPFLPSDSDILLDSQEQDDMVEEYFADTITGPCSQSDEEKP >DRNTG_02392.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:6909134:6917919:1 gene:DRNTG_02392 transcript:DRNTG_02392.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSQDPQNTVEASPSAQAQHETQGNHQDNPGGDAPVADSGSISIASSENRKVSREDIELVQNLIERCLQLYMNKGEVVRTLSNRARIEPGFTTLVWQKLEEENSDFFRAYYIRLKLKKQIIMFNQLLEHQYHLMKYPVHPKVPLAPIQNGIHPMPVNNFPMGYPVIQQPPMPATGQPHLDSMSCGLSSCHVVNGIPAPGGFHPIRMNSGNETSDVPHTAPQCSTMSSVSEMAVSPASVASSNHFPFNPSEMSGMGIDASALDSTFPTDVAGTGGLQLGPDGGVGSSRDSLKSLGQLWNFSFSDLTADLTNLEDLGALGDYTGSPFLPSDSDILLDSQEQDDMVEEYFADTITGPCSQSDEEKP >DRNTG_29148.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5326515:5328273:-1 gene:DRNTG_29148 transcript:DRNTG_29148.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVEAQGDMLDNIESQVSSAVNYVQTGSAALQKAKKLRKNSCKWMCIAIFILLAIVVIIVVAVLKPWSKN >DRNTG_29148.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:5326515:5327359:-1 gene:DRNTG_29148 transcript:DRNTG_29148.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVEAQGDMLDNIESQVSSAVNYVQTGSAALQKAKKLRKNSCKWMCIAIFILLAIVVIIVVAVLKPWSKN >DRNTG_10351.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:22958980:22959523:1 gene:DRNTG_10351 transcript:DRNTG_10351.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPAGGASGTSTGSLLSSDNGSTSVYPPEPMHLTMPIKWRVTNPKVVGVLILSLSLTELSRPILNKR >DRNTG_16786.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:503308:505458:1 gene:DRNTG_16786 transcript:DRNTG_16786.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRIPMQSSFGALANGTSNVKSSFSSHGSGSQVSYVNCMAKAEEIFTTNSNKKGTNIDSKISSVPSQQIPVSAQRQSSMTPSHDSEIFVSASDPVGSVLVPNLDVGAPGVVGSTKSEGGSQSAIVETVKKVVSHDFVDSEFSSIGSNGSSEIGNNYMHVKLQIKSPRNETKQPLDVPLQMPPSSLAPSISSRPSSNYSNRSQQLSSLHKGIIFLGYYMFAHIMYSVSMR >DRNTG_16786.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:498937:505458:1 gene:DRNTG_16786 transcript:DRNTG_16786.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARVAIPANVRRMIQDIKEIAGNHGDEEVYAVLKECSMDPNETAQRLLFQDTFHEVKRKRDKRKESNKEPTDSRWRSGVEGRGGRGGRANYSSRHVANDAGGGKNANAGRENGAEIVNANSPSPLIHDAEMKSRIPMQSSFGALANGTSNVKSSFSSHGSGSQVSYVNCMAKAEEIFTTNSNKKGTNIDSKISSVPSQQIPVSAQRQSSMTPSHDSEIFVSASDPVGSVLVPNLDVGAPGVVGSTKSEGGSQSAIVETVKKIKSPRNETKQPLDVPLQMPPSSLAPSISSRPSSNYSNRSQQLSSLHKGIIFLGYYMFAHIMYSVSMR >DRNTG_16786.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:498937:505458:1 gene:DRNTG_16786 transcript:DRNTG_16786.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSARVAIPANVRRMIQDIKEIAGNHGDEEVYAVLKECSMDPNETAQRLLFQDTFHEVKRKRDKRKESNKEPTDSRWRSGVEGRGGRGGRANYSSRHVANDAGGGKNANAGRENGAEIVNANSPSPLIHDAEMKSRIPMQSSFGALANGTSNVKSSFSSHGSGSQVSYVNCMAKAEEIFTTNSNKKGTNIDSKISSVPSQQIPVSAQRQSSMTPSHDSEIFVSASDPVGSVLVPNLDVGAPGVVGSTKSEGGSQSAIVETVKKVVSHDFVDSEFSSIGSNGSSEIGNNYMHVKLQIKSPRNETKQPLDVPLQMPPSSLAPSISSRPSSNYSNRSQQLSSLHKGIIFLGYYMFAHIMYSVSMR >DRNTG_00614.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:22434839:22440210:-1 gene:DRNTG_00614 transcript:DRNTG_00614.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNLFLIQKNLKLLELIKVCHSNRKNRSCLRRKLMNASSEIGHEMQCCDQNFKKIREEDPSNRSSSGSAISFSESCAHFAQSIVWDSLVPSRKRTWVARPKPSPVEKLTKDLYSIWHEQSFCLSASSEEDLLLENETLFGSDEIGHGGLLIRHPNSKTLDEESEASSIPTDNKSCIHSETFSGSTSFPVHGESRVIGSSHAKPTTQMLLGHATRDKSSDEMLQFIRNRDSPLSSSNLKDVFNFEVFMAHLTQEERQKLMKYLPSVDTAKPPESLEKMFSSSQFIENFSYYQQLLS >DRNTG_13621.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6252729:6255307:-1 gene:DRNTG_13621 transcript:DRNTG_13621.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTISTLVRMLFAKKEMRILMVGLDAAGKTTILYKLKLGEVSMWKLWSIRMLASLCGMLVAKIRSGLYGGITSRTHKGLYLSSIAMIENG >DRNTG_17173.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14727305:14730605:-1 gene:DRNTG_17173 transcript:DRNTG_17173.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLRHYIHISSMIFIFIFIGMIRSLRAAQEASNASCPLEGRERDGGGEEKAT >DRNTG_17173.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14727305:14728133:-1 gene:DRNTG_17173 transcript:DRNTG_17173.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVHLREEKGMVGEKRRLRRRSNAEKHRRFLSPHRPTEHE >DRNTG_19463.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:14990285:14991924:1 gene:DRNTG_19463 transcript:DRNTG_19463.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKVPSNWPSFGHQRAPSMASFLPPAPAPPPSSGNHPSWVDEFLDFTLTRRGAHRRSMSDSVAFLEAPMISSNGGGAPMHEFDRLDEDQLISMFPDDLPPSSGASAAPLSSSTPSTPSDHNSVNEENPSEQQLVNELEETQSLCKSEPQTNQAAHTAAASTADQIIVDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQTEVSALSPRVAFLDHQRSVLTVGNSHLKQRIAALAQDKIFKDAHQEALKKEIERLRQVYHQQSLKKMPSVTAGDSPEHTNKELVS >DRNTG_13627.10.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6310672:6313563:1 gene:DRNTG_13627 transcript:DRNTG_13627.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDPLNSGSASTSVIQLKSNNCPVSCNKEEKKLRLFGFELDASDHKANEKERYLSNSVEDVDAKEDGVLISSHSFSVAKQKSDKEKCFIPEAENKKYGCQFCLKEFANSQALGGHQNAHKKERLKKKRLELQARKAGINYYLQPLIKSHGLGYNNSSRWSYDTPRCVPEFLLLEESHGSFKPMDQSFYMNGLCNSGVPAFEFRASVPQSTCSFGMMQPDLLKENMPVILKPLSFSSSGQNHKTLDLQLGPPTARSTLFTSPKNGL >DRNTG_13627.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6307470:6313602:1 gene:DRNTG_13627 transcript:DRNTG_13627.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDPLNSGSASTSVIQLKSNNCPVSCNKEEKKLRLFGFELDASDHKANEKERYLSNSVEDVDAKEDGVLISSHSFSVAKQKSDKEKCFIPEAENKKYGCQFCLKEFANSQALGGHQNAHKKERLKKKRLELQARKAGINYYLQPLIKSHGLGYNNSSRWSYDTPRCVPEFLLLEESHGSFKPMDQSFYMNGLCNSGVPAFEFRASVPQSTCSFGMMQPDLLKENMPVILKPLSFSSSGQNHKTLDLQLGPPTARSTLFTSPKNGL >DRNTG_13627.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6307392:6313698:1 gene:DRNTG_13627 transcript:DRNTG_13627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNPKRNKTTQSPKSSANLSSSSPDPFPEHHQPTPAQCRAVRDDLLALHGLPRDLAKYRNPDPSDEAPPEKTVLDGVVSTLLSQNTTDSNSRRAFLSLKSAFPAWEDVLNAEPKRVEDAIRCGGLAVTKASRIRSILKDVMERRGEICLEYLRALSVGEVKAELSRFKGIGPKTVACVLMFHLHQDDFPVDTHVSISDYKGYWLGTHESRQGKGISTSQ >DRNTG_13627.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6307575:6313563:1 gene:DRNTG_13627 transcript:DRNTG_13627.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNPKRNKTTQSPKSSANLSSSSPDPFPEHHQPTPAQCRAVRDDLLALHGLPRDLAKYRNPDPSDEAPPEKTVLDGVVSTLLSQNTTDSNSRRAFLSLKSAFPAWEDVLNAEPKRVEDAIRCGGLAVTKASRIRSILKDVMERRGEICLEYLRALSVGEVKAELSRFKGIGPKTVACVLMFHLHQDDFPVDTHVFRITKAIGWVPMKADREKAYLHLNNRIPNDLKFDLNCLLITHGKLCPRCAKRASNQQSTDSPCPLTKLLS >DRNTG_13627.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6307575:6313602:1 gene:DRNTG_13627 transcript:DRNTG_13627.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNPKRNKTTQSPKSSANLSSSSPDPFPEHHQPTPAQCRAVRDDLLALHGLPRDLAKYRNPDPSDEAPPEKTVLDGVVSTLLSQNTTDSNSRRAFLSLKSAFPAWEDVLNAEPKRVEDAIRCGGLAVTKASRIRSILKDVMERRGEICLEYLRALSVGEVKAELSRFKGIGPKTVACVLMFHLHQDDFPVDTHCTIGISDYKGYWLGTHESRQGKGISTSQ >DRNTG_13627.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6307470:6313602:1 gene:DRNTG_13627 transcript:DRNTG_13627.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDPLNSGSASTSVIQLKSNNCPVSCNKEEKKLRLFGFELDASDHKANEKERYLSNSVEDVDAKEDGVLISSHSFSVAKQKSDKEKCFIPEAENKKYGCQFCLKEFANSQALGGHQNAHKKERLKKKRLELQARKAGINYYLQPLIKSHGLGYNNSSRWSYDTPRCVPEFLLLEESHGSFKPMDQSFYMNGLCNSGVPAFEFRASVPQSTCSFGMMQPDLLKENMPVILKPLSFSSSGQNHKTLDLQLGPPTARSTLFTSPKNGL >DRNTG_13627.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6307392:6313563:1 gene:DRNTG_13627 transcript:DRNTG_13627.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNPKRNKTTQSPKSSANLSSSSPDPFPEHHQPTPAQCRAVRDDLLALHGLPRDLAKYRNPDPSDEAPPEKTVLDGVVSTLLSQNTTDSNSRRAFLSLKSAFPAWEDVLNAEPKRVEDAIRCGGLAVTKASRIRSILKDVMERRGEICLEYLRALSVGEVKAELSRFKGIGPKTVACVLMFHLHQDDFPVDTHVFRITKAIGWVPMKADREKAYLHLNNRIPNDLKFDLNCLLITHGKLCPRCAKRASNQQSTDSPCPLTKLLS >DRNTG_13627.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6307575:6313602:1 gene:DRNTG_13627 transcript:DRNTG_13627.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNPKRNKTTQSPKSSANLSSSSPDPFPEHHQPTPAQCRAVRDDLLALHGLPRDLAKYRNPDPSDEAPPEKTVLDGVVSTLLSQNTTDSNSRRAFLSLKSAFPAWEDVLNAEPKRVEDAIRCGGLAVTKASRIRSILKDVMERRGEICLEYLRALSVGEVKAELSRFKGIGPKTVACVLMFHLHQDDFPVDTHVFRITKAIGWVPMKADREKAYLHLNNRIPNDLKFDLNCLLITHGKLCPRCAKRASNQQSTDSPCPLTKLLS >DRNTG_13627.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6307392:6313602:1 gene:DRNTG_13627 transcript:DRNTG_13627.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNPKRNKTTQSPKSSANLSSSSPDPFPEHHQPTPAQCRAVRDDLLALHGLPRDLAKYRNPDPSDEAPPEKTVLDGVVSTLLSQNTTDSNSRRAFLSLKSAFPAWEDVLNAEPKRVEDAIRCGGLAVTKASRIRSILKDVMERRGEICLEYLRALSVGEVKAELSRFKGIGPKTVACVLMFHLHQDDFPVDTHVSISFDQIFTFSASCC >DRNTG_13627.6.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:6307470:6313563:1 gene:DRNTG_13627 transcript:DRNTG_13627.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDPLNSGSASTSVIQLKSNNCPVSCNKEEKKLRLFGFELDASDHKANEKERYLSNSVEDVDAKEDGVLISSHSFSVAKQKSDKEKCFIPEAENKKYGCQFCLKEFANSQALGGHQNAHKKERLKKKRLELQARKAGINYYLQPLIKSHGLGYNNSSRWSYDTPRCVPEFLLLEESHGSFKPMDQSFYMNGLCNSGVPAFEFRASVPQSTCSFGMMQPDLLKENMPVILKPLSFSSSGQNHKTLDLQLGPPTARSTLFTSPKNGL >DRNTG_30983.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:18760736:18764585:1 gene:DRNTG_30983 transcript:DRNTG_30983.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 61 kDa protein homolog [Source: Projected from Oryza sativa (Os02g0633400)] MYSSQQDGGAALFSGGGFMPSQATQTPESGFSKSRGVQGVIPLTVKQISEAYHSNDDKSNFVVDGVEASNVRLLGLVMNKAERVTDVTFTLDDGTGRIDVNRWVNETSDTNEMAIIQNGMYVIVNGSLKGFQGKKHVVAFSVRPVVDFNHITLHFIECIHVHLDNTRPKGAGLPQAQTSSMVQTPIRSDVKEYHSSLPNQFSSPTGAKGSETDIYKLVLDVFQEPASLARDHGLHVDEVVQKLGIPINKIRDAIEYHVDVGHIYSTIDDNHFKSACNG >DRNTG_24102.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27168940:27171503:-1 gene:DRNTG_24102 transcript:DRNTG_24102.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTSLEAHYDVQCMATALLQGASPLPLDSPARAEIKFGAVFDNVTFIPSPPPSPTEVHVPRLPSKGRQPNGKDDEEPSFTIIAKGEKSGIFKKPKRLAIPKGYTCKKLKEAQGATPSTSRSKFQWRRQTDEKVEDLEVHSVRVITEGSEHPPKTDRPFTRLRKKTMVSTSGMPEEDLNQVLQERTKAMSQAGFQTPPRRNNDSNDEEDANLVFTSNKDPILVKIKKQLDQ >DRNTG_29184.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:3061239:3062763:1 gene:DRNTG_29184 transcript:DRNTG_29184.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQHQHQHQHQHPWLSFLKSAMATISAPDRNNKKDNNNLNILAFEVSTLMSKLLSLSRSLSDSNLSHLRYSISSPGVRKVVSDDDSFLLALIFAELTDSLRLISNSISHLSSRCSNPSLRSFPYAFKNFVSSGEDSHHWVLSSKDMLYKSKKMDRLISSTSDLHSQLHDLVDSEQLLKKLLHCSHEFSMNKITTIAEAQQRVVRQRQQVEHLKQVSLWGCTFDAAVKLLARSCFTVFARILHAFGAGHAAPQRSLSAAVYPAPPGPLISETTGLFEWSSRMLAAPEMTLGAAALAARYANVVIVVEKMMKAPGSVGQEGRDELYGMLPDGVRGLVRRRLRGVVGPASDAELAVEWREAISSIMAWLGPLAHDTVTWQTERFTPERRRSHVAGDVGPMLWRTLWFANREKVEAAVTELLVGLNYIWRFELELSSRNRLRE >DRNTG_04458.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:24013057:24029315:1 gene:DRNTG_04458 transcript:DRNTG_04458.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGSNEEVPSTPGILKKVIRKMKRTRRHHRKHSMTVGGVPKPNMAPRVRVNEVKRLRDTPPEPVHMEFSTPEHQARFERLSTLGFGQTRFVDLQVLRGVQQGDELANEIDEMLAVGSWRRLLTIREPAYRALTLEVLASFEFYQMHGRLNTMEAIEFWAFGHSFSMSVTEFSIRMGLYDVAYTDTEEYGRLPTDFPSQYSTPCVHDPMWTWTV >DRNTG_35280.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:670115:678599:-1 gene:DRNTG_35280 transcript:DRNTG_35280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARLRFQHSLIGKFFGKPPPFDQIKSILQSRWSELGDIPISDLPNGYLLFRCNSSEISQKFLFEGPWVVNKATLQLAPWKPFFEPAFAKHSTAVVWVHRRSPVDQSSSQPPPVQVPCNLNGLEVGTAMEIMDDGKEARIDHLTPKPIETITEDDVSSFGPWMLVARCRGHGGGRGGADGGAGRSVSRAAHTCPTTSPNVSPNVQLHDDITRPTRSTPSFCGRGGHVGSRSRASDTYPMLSENPSNELISSGSRDIGTLASASSLVMKYSDPIIPSNPGPSSSVALSPKEKTLSSSLTLTHPPSLRISDGLDGGVTTCPSNVSHALVVAQGVSGRDTSSCIKYLMKHFNPLIFCLVETRADNCRLDNFYSKLGHNWA >DRNTG_18614.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22295050:22296071:1 gene:DRNTG_18614 transcript:DRNTG_18614.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVARLCRRSSIRRSSLLHGGSFYNAYMGSSNTAGEKRSLSLTDDARSITYNCSPSYLAYYSTDSDRADHRAGEISVKTSNTPTRHGGGRGQSRRSLLWSNPWDLVPFSFNNRGRFGNVLEHMSGNLNQLFQNLVPSRILGRLKEDDKRYKLQYEVPGFSKEDLKITIDKGFLTNSGEHQEEEGDESDHDDYDEEEEDGYWHGSRYRC >DRNTG_18614.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:22295050:22296405:1 gene:DRNTG_18614 transcript:DRNTG_18614.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVARLCRRSSIRRSSLLHGGSFYNAYMGSSNTAGEKRSLSLTDDARSITYNCSPSYLAYYSTDSDRADHRAGEISVKTSNTPTRHGGGRGQSRRSLLWSNPWDLVPFSFNNRGRFGNVLEHMSGNLNQLFQNLVPSRILGRLKEDDKRYKLQYEVPGFSKEDLKITIDKGFLTNSGEHQEEEGDESDHDDYDEEEEDGYWHGSRYRC >DRNTG_04354.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31033947:31060049:1 gene:DRNTG_04354 transcript:DRNTG_04354.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEVAAHGLPLFLCLCVSFWSYLEILHCSGKLLDPQEVEALKKIGSKLGKQWNFSVDPCTGTFGWVDPAKPNPNSPMAADVSCDLCKADYCHITSIILRGQNLTGFLPNEFSNLTFLRVIDLTRNYLAGSIPVSWASLPLTNLSLSGNRISGYIPDKLGNISTLVDLGLEGNMIQGQIPWSFGKLINLKRILISANNLTGKLPESLGNLTNIVFFRIDGNPISGNIPDFIGNWKKLDRLDMQGTSMEGPFPANFFSSATVTQLRVTDLRGGYWEFPQLTNITNIKKLVLRNLSLSGELPNDIGELTSLHYLDVSFNNLTGEIPESWEYLKNLKHLYLTNNSLTGEIPDWKLKSGNNIDLSYNSFNGSNAPDDCPSAGNLNLVSSYSASENNSIAPCLRRNYPCSGKAKNYNLFINCGGDQKNIDGDEYQADTDTGGASYYNSFNEKWAISSTGDFVDNGDEKYIAKNSSALNISNPELYMTARLSPLALTYYGLCLQNGNYTVKLHFAEIMFADNHTFSSVGRRIFDAYIQGEKVLPDFNIAKEANGNERETIRSFNAKVDKNTLEIHFQWTGKGTNAIPHRNVYGPLISAISVTPNFKPDKGGLSVGAILGIVAAGCVAIAIISTLIWLFLRRRKYDENNGLELQAGYYSLRQIKAATRNFHATNKLGEGGFGPVHKGVLSDGTLIAVKQLSSKSSQGNREFVNEIGMISALQHPNLVKLFGCCIEGNQLLLVYEYMENNSLANALFSSESDRLNLDWQTRRKICLDIAQGLSYLHEESTLKIVHRDIKATNVLLDKDLNAKISDFGLARLSGDDESHISTRIAGTIGYMAPEYALRGYLTDKADVYSFGVVMLEIISGMSNATCIVEDDSVYLLEWAYVLQKQGNLLELVDKSLGTNCSEEEALQMLNLALDCTNPSPSLRPTMSAVVSILDCQNSKQVSSSIDDTGSHIFGKLSRESQSASVSMDVQWVDSSVSAESSSKEHTRWYSATMEQVSGSSA >DRNTG_04354.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31033947:31060049:1 gene:DRNTG_04354 transcript:DRNTG_04354.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEVAAHGLPLFLCLCVSFWSYLEILHCSGKLLDPQEVEALKKIGSKLGKQWNFSVDPCTGTFGWVDPAKPNPNSPMAADVSCDLCKADYCHITSIILRGQNLTGFLPNEFSNLTFLRVIDLTRNYLAGSIPVSWASLPLTNLSLSGNRISGYIPDKLGNISTLVDLGLEGNMIQGQIPWSFGKLINLKRILISANNLTGKLPESLGNLTNIVFFRIDGNPISGNIPDFIGNWKKLDRLDMQGTSMEGPFPANFFSSATVTQLRVTDLRGGYWEFPQLTNITNIKKLVLRNLSLSGELPNDIGELTSLHYLDVSFNNLTGEIPESWEYLKNLKHLYLTNNSLTGEIPDWKLKSGNNIDLSYNSFNGSNAPDDCPSAGNLNLVSSYSASENNSIAPCLRRNYPCSGKAKNYNLFINCGGDQKNIDGDEYQADTDTGGASYYNSFNEKWAISSTGDFVDNGDEKYIAKNSSALNISNPELYMTARLSPLALTYYGLCLQNGNYTVKLHFAEIMFADNHTFSSVGRRIFDAYIQGEKVLPDFNIAKEANGNERETIRSFNAKVDKNTLEIHFQWTGKGTNAIPHRNVYGPLISAISVTPSRPQECFHFHFLFLI >DRNTG_04354.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:31033947:31060049:1 gene:DRNTG_04354 transcript:DRNTG_04354.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEVAAHGLPLFLCLCVSFWSYLEILHCSGKLLDPQEVEALKKIGSKLGKQWNFSVDPCTGTFGWVDPAKPNPNSPMAADVSCDLCKADYCHITSIILRGQNLTGFLPNEFSNLTFLRVIDLTRNYLAGSIPVSWASLPLTNLSLSGNRISGYIPDKLGNISTLVDLGLEGNMIQGQIPWSFGKLINLKRILISANNLTGKLPESLGNLTNIVFFRIDGNPISGNIPDFIGNWKKLDRLDMQGTSMEGPFPANFFSSATVTQLRVTDLRGGYWEFPQLTNITNIKKLVLRNLSLSGELPNDIGELTSLHYLDVSFNNLTGEIPESWEYLKNLKHLYLTNNSLTGEIPDWKLKSGNNIDLSYNSFNGSNAPDDCPSAGNLNLVSSYSASENNSIAPCLRRNYPCSGKAKNYNLFINCGGDQKNIDGDEYQADTDTGGASYYNSFNEKWAISSTGDFVDNGDEKYIAKNSSALNISNPELYMTARLSPLALTYYGLCLQNGNYTVKLHFAEIMFADNHTFSSVGRRIFDAYIQGEKVLPDFNIAKEANGNERETIRSFNAKVDKNTLEIHFQWTGKGTNAIPHRNVYGPLISAISVTPSRPQECFHFHFLFLI >DRNTG_18851.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2532017:2534961:1 gene:DRNTG_18851 transcript:DRNTG_18851.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAIPGLSSGGAGRDSPAKEKLFGRAPPLPLLLLRARNLRSVRARSRAVLFAVLSLLLLLLLSRHLSPFVAWKNPHASSASVPSRGGYAVLINTWKRNSLLKQVVSHYASCSRAEAIHVVWSEIDPPSDGLKSHLEKLVSSKSHGVHKPSFRFELCEEDNLNNRFKPIEDLKNDAVFSVDDDVIVPCSTLDFAFTVWQSASDTMVGFVPRTHWLVKKDGLAYYTYGGWWSVWWMGTYSMVLSKAAFFHRKYLDLYTHKMPSSIHDYVTRERNCEDIAMSLLIANASGAPPVWVKGNLYEIGSSGISSMKGHNERRNKCLNDFISLYETMPLVSTSMKAVDTRQEWFW >DRNTG_18851.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:2532017:2534961:1 gene:DRNTG_18851 transcript:DRNTG_18851.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGCRWFLSRGGYAVLINTWKRNSLLKQVVSHYASCSRAEAIHVVWSEIDPPSDGLKSHLEKLVSSKSHGVHKPSFRFELCEEDNLNNRFKPIEDLKNDAVFSVDDDVIVPCSTLDFAFTVWQSASDTMVGFVPRTHWLVKKDGLAYYTYGGWWSVWWMGTYSMVLSKAAFFHRKYLDLYTHKMPSSIHDYVTRERSAICIDYMPSFFITNDDLHTQSISLLASPLTGTVKTSRCHCS >DRNTG_00012.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21524630:21528151:-1 gene:DRNTG_00012 transcript:DRNTG_00012.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQKRSDYGSCGYPIPVMPQVPKSARGKRSVRKKVEESQMCAFDLLAAVAGDLLLEKENFSILNNATETPCPASPKHTVKVESNMDKPVKPEPYDQGSCNKSAFVSEVLVQRPVSCQIKGQSNSPKATISEHASISMKNDGFSKDGFSKRSVDDGNNRDLRSSPGLVPWKCSSGKNFTGSAESSEAHKICTLEDPMELDVKPPLFVSSDSSVEAPLYSNRIACAVSSPKSRNAVVDRDDDENLFRCTHPSMMTYRASRLQRVGNRRIRKLLASRHWKAALTTSKAGELGNNDAESKLVRKMCYTRQRVQRSSFKRRKVFGGCSIPASKGVSSKEGIFSLGKGAFKTEVSDSCTTPRGANGQLSSRIGQKALCESGDFHVKFSIKSFKVPELLIEIPETATVGSLKRTVMETVTAFLEGGLHVGVLLQGKKVRDDNKTLLQAGISHGDKIDNLGFTLEPNLRQAPETLTGPEHPHLLLPCDTVEPQPLSRIPAITAPTSVSRPSAVEPILTSLGPESDHDSVHSPTSESVQDNPTATNSRALVPVPDMDVKALAVVPLCKSKRSELVQRRIRRPFTVSEVEALVQAVEKLGTGRWRDVKIRAFDHAKHRTYVDLKDKWKTLVHTAKISPHQRRGEPVPQELLDRVLSAHAYWSQQQAKLQMKTSPAEARLLL >DRNTG_00012.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:21524630:21529155:-1 gene:DRNTG_00012 transcript:DRNTG_00012.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQKRSDYGSCGYPIPVMPQVPKSARGKRSVRKKVEESQMCAFDLLAAVAGDLLLEKENFSILNNATETPCPASPKHTVKVESNMDKPVKPEPYDQGSCNKSAFVSEVLVQRPVSCQIKGQSNSPKATISEHASISMKNDGFSKDGFSKRSVDDGNNRDLRSSPGLVPWKCSSGKNFTGSAESSEAHKICTLEDPMELDVKPPLFVSSDSSVEAPLYSNRIACAVSSPKSRNAVVDRDDDENLFRCTHPSMMTYRASRLQRVGNRRIRKLLASRHWKAALTTSKAGELGNNDAESKLVRKMCYTRQRVQRSSFKRRKVFGGCSIPASKGVSSKEGIFSLGKGAFKTEVSDSCTTPRGANGQLSSRIGQKALCESGDFHVKFSIKSFKVPELLIEIPETATVGSLKRTVMETVTAFLEGGLHVGVLLQGKKVRDDNKTLLQAGISHGDKIDNLGFTLEPNLRQAPETLTGPEHPHLLLPCDTVEPQPLSRIPAITAPTSVSRPSAVEPILTSLGPESDHDSVHSPTSESVQDNPTATNSRALVPVPDMDVKALAVVPLCKSKRSELVQRRIRRPFTVSEVEALVQAVEKLGTGRWRDVKIRAFDHAKHRTYVDLKDKWKTLVHTAKISPHQRRGEPVPQELLDRVLSAHAYWSQQQAKLQMKTSPAEARLLL >DRNTG_16571.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:19466676:19467483:-1 gene:DRNTG_16571 transcript:DRNTG_16571.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPSSQEAQQESLQSWQDNKFFTKLLSKESSLANPSFRVYYGVASGAVPFMWESQPGTPKNTMHTPTLPPLTPPPSYHSNLHNKKTTKKNSKGHSNLINTILPRLALKNKTQPSSPASSPLSSSSSSFNSSGKYPRRRQSCSTRLSFSSAGDEEDSDDIGRSPRSTLCFGMRHGAAGVIMKNALLCIVGHGSNQGSSSN >DRNTG_14617.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:20830771:20833800:-1 gene:DRNTG_14617 transcript:DRNTG_14617.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYHPAEVTLSFATANMSVPVGVLNKKKSYLPPMIEPLSSSSRKPTLGRVVRPSMKERSQYGLQCTDVGGNLAKGFEGY >DRNTG_14820.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:9844287:9845665:1 gene:DRNTG_14820 transcript:DRNTG_14820.2 gene_biotype:protein_coding transcript_biotype:protein_coding EPENHHQIQNYGEIIQRNPNFKNPFPKRNKVIVVKPLSISDENQPQEPNL >DRNTG_14820.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:9844927:9845665:1 gene:DRNTG_14820 transcript:DRNTG_14820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQHTKKTQISRPMLHSHDKLTKIMVR >DRNTG_14820.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:16:9844287:9845665:1 gene:DRNTG_14820 transcript:DRNTG_14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPSQSRMKTNPKNQTYPDNRVSGIMDMWI >DRNTG_12032.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:5725911:5730812:-1 gene:DRNTG_12032 transcript:DRNTG_12032.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVWRGGLFGFCGALSPTLIDSSSVIASRKPPVNLASEWVLQDVEALHQGHQFIYKGVYFYVFNVLSFIDGSCTAAAAGDTFGGSTGAGVEDGACVALISATSAQTTPITFSSLSKRSLARDGENIHTGVAPLLLEMPRSSSLLAEARELVEKHRLHHPYHRLSMELVVLKQKPLSEPCGPCPSTE >DRNTG_18904.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:3152654:3153704:-1 gene:DRNTG_18904 transcript:DRNTG_18904.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDGDESSPNNYLPKERGAEGRRTTPKTLPKPLQTLSAIVLRKNTPESILSSRPHEWAHIHAVDHVTSSIKSHLMAILLALHKLEHMSVTAFVPLQFVCSLEQNGGWHAIMCL >DRNTG_12559.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20987337:20991020:1 gene:DRNTG_12559 transcript:DRNTG_12559.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSLRALRKLSVSCRPSPRPPRFSASPLLSESLSPSPHLLLPAFSLCSTFGTVSLGGNTSGYGGNASSAAEAEEVGEEEGVVSYRQTDAYAAIELALDSVVKVFTVSSSPNYFLPWQNKSQRESMGSGFVLPGQRIVTNAHVVADHTFVLVRKHGSPTKYKAEVQAIGHECDLALLTVESEEFWDGMRYLELGDIPYLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYVHGASQLMAIQIDAAINPGNSGGPAIMGDRVAGVAFQNLSGADNIGYIIPVPIIKHFLDGIEEKGNYVGFCSLGLSCQPTENAQLREHFRMRSEMTGVLVSKVNPLSDAHKVLKKDDIVLAFDGVPIANDGTVPFRNRERITFDHLVSMKKPEEKATLTVLREGVEHEFNITLRPLQPLVPVHQFEKLPSYYIFAGLVFVPLTQPYLHEYGEDWYNTSPRRLCERALRELPKKAGEQLIVLSQVLMDDINAGYERLAELQVKKVNGVEVGNLKHLCRLVEDCIEGSLRLDLDDERVIVLNYQNAKMATSRILKRHRIPSAMSSDLVNEDAAGSN >DRNTG_12559.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20987337:20990665:1 gene:DRNTG_12559 transcript:DRNTG_12559.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSLRALRKLSVSCRPSPRPPRFSASPLLSESLSPSPHLLLPAFSLCSTFGTVSLGGNTSGYGGNASSAAEAEEVGEEEGVVSYRQTDAYAAIELALDSVVKVFTVSSSPNYFLPWQNKSQRESMGSGFVLPGQRIVTNAHVVADHTFVLVRKHGSPTKYKAEVQAIGHECDLALLTVESEEFWDGMRYLELGDIPYLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYVHGASQLMAIQIDAAINPGNSGGPAIMGDRVAGVAFQNLSVILYLSLS >DRNTG_12559.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20988759:20991020:1 gene:DRNTG_12559 transcript:DRNTG_12559.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLMIHCNEYAVPFRNRERITFDHLVSMKKPEEKATLTVLREGVEHEFNITLRPLQPLVPVHQFEKLPSYYIFAGLVFVPLTQPYLHEYGEDWYNTSPRRLCERALRELPKKAGEQLIVLSQVLMDDINAGYERLAELQVKKVNGVEVGNLKHLCRLVEDCIEGSLRLDLDDERVIVLNYQNAKMATSRILKRHRIPSAMSSDLVNEDAAGSN >DRNTG_12559.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:20989487:20991020:1 gene:DRNTG_12559 transcript:DRNTG_12559.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEMTGVLVSKVNPLSDAHKVLKKDDIVLAFDGVPIANDGTVPFRNRERITFDHLVSMKKPEEKATLTVLREGVEHEFNITLRPLQPLVPVHQFEKLPSYYIFAGLVFVPLTQPYLHEYGEDWYNTSPRRLCERALRELPKKAGEQLIVLSQVLMDDINAGYERLAELQVKKVNGVEVGNLKHLCRLVEDCIEGSLRLDLDDERVIVLNYQNAKMATSRILKRHRIPSAMSSDLVNEDAAGSN >DRNTG_13474.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:17528158:17528379:-1 gene:DRNTG_13474 transcript:DRNTG_13474.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLDTIFCCPFCNHGSNVDCCIDMKNLICEATCRICQENFSTTVTALTEPIDIYSQWIDECERVINLEDDGA >DRNTG_20090.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:368585:374305:-1 gene:DRNTG_20090 transcript:DRNTG_20090.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPKYRRNYCLKTYPLFFFFKGLAGTRVLCTCHDLTSQCLEQPEKLALSGLNPSQLHRPDRMQDNDKADLINVLKGGIVYSNKVVLMPSFLSKDKIFHSLSHGLEPTLAIHKEKMLIAPYGYDSAVWDPSLDKFLPAKYSADTIEGKATCKDALKHHLGFQQPSSAVVGCIYSGSSDFDLLSLKLAIMHCLRRSCQCVLLGPKLPALNTILQAFQDESMDEDVKFIADYDESLLHLVLAGTDIMLCCTFHDPVLQIPLKAVKYGSAPILMDSGIDELRKSEWHEVGYGSRDDRPSGLHEFASASFSQYILSTYANMTLSQALDEILNEPSKWSRRIREGMSRDFSWDAECCDIHCAAYTSIKNL >DRNTG_20090.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:368585:375889:-1 gene:DRNTG_20090 transcript:DRNTG_20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGNLSLAITAPFPPFRLAVPSRRTLNLRCWLFSRKYENVGDPPSSRIESEARDKNIVDESVFSSEKESDVNSSDVWKLFNDAQRSPGTLWELFLRIDTMVLSGMINSEEATHLRKVIVNSQISIHDAFHEIQHKKDIELLSELHHFSEKITRKGMHIVHICCEMEPIASVGSLATYVTGLSCALQRKGYLVEVILPKYTVADNGALQEFRKTEFEFNSYFGGCWHKNRVWTGVLYGIGVTLVEPLNYATFFNRNNIYGYPDDFERFTYFSRASMDYLVKTGKQPDVLHIHNWETAIIGPLFWDIFVHQGLAGTRVLCTCHDLTSQCLEQPEKLALSGLNPSQLHRPDRMQDNDKADLINVLKGGIVYSNKVVLMPSFLSKDKIFHSLSHGLEPTLAIHKEKMLIAPYGYDSAVWDPSLDKFLPAKYSADTIEGKATCKDALKHHLGFQQPSSAVVGCIYSGSSDFDLLSLKLAIMHCLRRSCQCVLLGPKLPALNTILQAFQDESMDEDVKFIADYDESLLHLVLAGTDIMLCCTFHDPVLQIPLKAVKYGSAPILMDSGIDELRKSEWHEVGYGSRDDRPSGLHEFASASFSQYILSTYANMTLSQALDEILNEPSKWSRRIREGMSRDFSWDAECCDIHCAAYTSIKNL >DRNTG_20090.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:368585:375889:-1 gene:DRNTG_20090 transcript:DRNTG_20090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLVKTGKQPDVLHIHNWETAIIGPLFWDIFVHQGLAGTRVLCTCHDLTSQCLEQPEKLALSGLNPSQLHRPDRMQDNDKADLINVLKGGIVYSNKVVLMPSFLSKDKIFHSLSHGLEPTLAIHKEKMLIAPYGYDSAVWDPSLDKFLPAKYSADTIEGKATCKDALKHHLGFQQPSSAVVGCIYSGSSDFDLLSLKLAIMHCLRRSCQCVLLGPKLPALNTILQAFQDESMDEDVKFIADYDESLLHLVLAGTDIMLCCTFHDPVLQIPLKAVKYGSAPILMDSGIDELRKSEWHEVGYGSRDDRPSGLHEFASASFSQYILSTYANMTLSQALDEILNEPSKWSRRIREGMSRDFSWDAECCDIHCAAYTSIKNL >DRNTG_20090.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:368585:375889:-1 gene:DRNTG_20090 transcript:DRNTG_20090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGNLSLAITAPFPPFRLAVPSRRTLNLRCWLFSRKYENVGDPPSSRIESEARDKNIVDESVFSSEKESDVNSSDVWKLFNDAQRSPGTLWELFLRIDTMVLSGMINSEEATHLRKVIVNSQISIHDAFHEIQHKKDIELLSELHHFSEKITRKGMHIVHICCEMEPIASVGSLATYVTGLSCALQRKGYLVEVILPKYTVADNGALQEFRKTEFEFNSYFGGCWHKNRVWTG >DRNTG_20090.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:374491:375889:-1 gene:DRNTG_20090 transcript:DRNTG_20090.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGNLSLAITAPFPPFRLAVPSRRTLNLRCWLFSRKYENVGDPPSSRIESEARDKNIVDESVFSSEKESDVNSSDVWKLFNDAQRNILYLNNQRLKAVDELDAIRREKHLLFKKLEQLEAEKKADVCQR >DRNTG_20090.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:368585:375889:-1 gene:DRNTG_20090 transcript:DRNTG_20090.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGNLSLAITAPFPPFRLAVPSRRTLNLRCWLFSRKYENVGDPPSSRIESEARDKNIVDESVFSSEKESDVNSSDVWKLFNDAQRSPGTLWELFLRIDTMVLSGMINSEEATHLRKVIVNSQISIHDAFHEIQHKKDIELLSELHHFSEKITRKGMHIVHICCEMEPIASVGSLATYVTGLSCALQRKGYLVEVILPKYTVADNGALQEFRKTEFEFNSYFGGCWHKNRVWTGVLYGIGVTLVEPLNYATFFNRNNIYGYPDDFERFTYFSRASMDYLVKTGKQPDVLHIHNWETAIIGPLFWDIFVHQVGIKRNHFYIPFM >DRNTG_20090.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:368585:374305:-1 gene:DRNTG_20090 transcript:DRNTG_20090.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSGMINSEEATHLRKVIVNSQISIHDAFHEIQHKKDIELLSELHHFSEKITRKGMHIVHICCEMEPIASVGSLATYVTGLSCALQRKGYLVEVILPKYTVADNGALQEFRKTEFEFNSYFGGCWHKNRVWTGVLYGIGVTLVEPLNYATFFNRNNIYGYPDDFERFTYFSRASMDYLVKTGKQPDVLHIHNWETAIIGPLFWDIFVHQGLAGTRVLCTCHDLTSQCLEQPEKLALSGLNPSQLHRPDRMQDNDKADLINVLKGGIVYSNKVVLMPSFLSKDKIFHSLSHGLEPTLAIHKEKMLIAPYGYDSAVWDPSLDKFLPAKYSADTIEGKATCKDALKHHLGFQQPSSAVVGCIYSGSSDFDLLSLKLAIMHCLRRSCQCVLLGPKLPALNTILQAFQDESMDEDVKFIADYDESLLHLVLAGTDIMLCCTFHDPVLQIPLKAVKYGSAPILMDSGIDELRKSEWHEVGYGSRDDRPSGLHEFASASFSQYILSTYANMTLSQALDEILNEPSKWSRRIREGMSRDFSWDAECCDIHCAAYTSIKNL >DRNTG_20090.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:22:368585:375889:-1 gene:DRNTG_20090 transcript:DRNTG_20090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPKYRRNYCLKTYPLFFFFKGLAGTRVLCTCHDLTSQCLEQPEKLALSGLNPSQLHRPDRMQDNDKADLINVLKGGIVYSNKVVLMPSFLSKDKIFHSLSHGLEPTLAIHKEKMLIAPYGYDSAVWDPSLDKFLPAKYSADTIEGKATCKDALKHHLGFQQPSSAVVGCIYSGSSDFDLLSLKLAIMHCLRRSCQCVLLGPKLPALNTILQAFQDESMDEDVKFIADYDESLLHLVLAGTDIMLCCTFHDPVLQIPLKAVKYGSAPILMDSGIDELRKSEWHEVGYGSRDDRPSGLHEFASASFSQYILSTYANMTLSQALDEILNEPSKWSRRIREGMSRDFSWDAECCDIHCAAYTSIKNL >DRNTG_14137.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:12748533:12752022:1 gene:DRNTG_14137 transcript:DRNTG_14137.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSSDGDFFEVEKAVAIQFHTVKGKIEDDCGNNEITLDNVTSNILSKVIKYCKKHIEFTLNYTIKNEAMTKDLKSWDEDFIKVDRMTLFKLLQVISIRGIRVESSDGDFFEVEKAVAIQFQTIKGKIEDDCGNNEIALDNVTSNILSKVIMYCKKHSEFTLKDTIENEAMTKDLKSWDENFIKVDRMTLFELLQVISNSD >DRNTG_27061.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:2728567:2729865:1 gene:DRNTG_27061 transcript:DRNTG_27061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKKEESSTKKDWMVSPRLSFSHDIPQTQLLQSLSSSSSHESNDSPPVITDFDFDFTTSLEISTQEPCSADELFSDGKILPLPLKQKPQSVMTTRTSTSTRTNVKQNTTLKEIIDDDDNNEEEEDQQKKETERKPFWMFGRSGSVGSNRTTSIATTATSTTTTTKQKNNNICPFRRSRSAGCSTVKTRNKNYTNYNYKNTFRPMESNSKIYYYSGMKSWSHGDGVRINPVINLPRGGSTASSRSNGSNSSFNSSNRSNNSSIFGYLLCKCSTKRMEKEMERRGVTCSP >DRNTG_09044.10 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31379114:31381304:1 gene:DRNTG_09044 transcript:DRNTG_09044.10 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDRKIGVALDFSKSSNAALAWAINNLLDKGETLIVIHVKHANANKHALWPKSGSPLIPLSEMRQPEVMKQYDLETDTEVLDLLDTAASQKEATVVTKLYWGDAREKLYEAVQELKLDSLVMGSRGLGTIQRYIYMDQLINHLAIYITRSPLYIYTLTNNLNPLYKLSISLWNIPPFSLRSIDQI >DRNTG_09044.9 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31379114:31381304:1 gene:DRNTG_09044 transcript:DRNTG_09044.9 gene_biotype:protein_coding transcript_biotype:protein_coding MIDYIHEYRSALIPLSEMRQPEVMKQYDLETDTEVLDLLDTAASQKEATVVTKLYWGDAREKLYEAVQELKLDSLVMGSRGLGTIQRYIYMDQLINHLAIYITRSPLYIYTLTNNLNPLYKLSISLWNIPPFSLRSIDQI >DRNTG_09044.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31378784:31381304:1 gene:DRNTG_09044 transcript:DRNTG_09044.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDRKIGVALDFSKSSNAALAWAINNLLDKGETLIVIHVKHANANKHALWPKSGSPLIPLSEMRQPEVMKQYDLETDTEVLDLLDTAASQKEATVVTKLYWGDAREKLYEAVQELKLDSLVMGSRGLGTIQRILLGSVTSYVLSHASCPVVIVKDPNFKK >DRNTG_09044.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31378995:31381304:1 gene:DRNTG_09044 transcript:DRNTG_09044.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDRKIGVALDFSKSSNAALAWAINNLLDKGETLIVIHVKHANANKHALWPKSGSPLIPLSEMRQPEVMKQYDLETDTEVLDLLDTAASQKEATVVTKLYWGDAREKLYEAVQELKLDSLVMGSRGLGTIQRILLGSVTSYVLSHASCPVVIVKDPNFKK >DRNTG_09044.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31378858:31381362:1 gene:DRNTG_09044 transcript:DRNTG_09044.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDRKIGVALDFSKSSNAALAWAINNLLDKGETLIVIHVKHANANKHALWPKSGSPLIPLSEMRQPEVMKQYDLETDTEVLDLLDTAASQKEATVVTKLYWGDAREKLYEAVQELKLDSLVMGSRGLGTIQRILLGSVTSYVLSHASCPVVIVKDPNFKK >DRNTG_09044.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31378995:31381362:1 gene:DRNTG_09044 transcript:DRNTG_09044.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDRKIGVALDFSKSSNAALAWAINNLLDKGETLIVIHVKHANANKHALWPKSGSPLIPLSEMRQPEVMKQYDLETDTEVLDLLDTAASQKEATVVTKLYWGDAREKLYEAVQELKLDSLVMGSRGLGTIQRILLGSVTSYVLSHASCPVVIVKDPNFKK >DRNTG_09044.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31379114:31381304:1 gene:DRNTG_09044 transcript:DRNTG_09044.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDRKIGVALDFSKSSNAALAWAINNLLDKGETLIVIHVKHANANKHALWPKSGSPLIPLSEMRQPEVMKQYDLETDTEVLDLLDTAASQKEATVVTKLYWGDAREKLYEAVQELKLDSLVMGSRGLGTIQRILLGSVTSYVLSHASCPVVIVKDPNFKK >DRNTG_09044.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31379114:31381362:1 gene:DRNTG_09044 transcript:DRNTG_09044.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDRKIGVALDFSKSSNAALAWAINNLLDKGETLIVIHVKHANANKHALWPKSGSPLIPLSEMRQPEVMKQYDLETDTEVLDLLDTAASQKEATVVTKLYWGDAREKLYEAVQELKLDSLVMGSRGLGTIQRCPEG >DRNTG_09044.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31379114:31381510:1 gene:DRNTG_09044 transcript:DRNTG_09044.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDRKIGVALDFSKSSNAALAWAINNLLDKGETLIVIHVKHANANKHALWPKSGSPLIPLSEMRQPEVMKQYDLETDTEVLDLLDTAASQKEATVVTKLYWGDAREKLYEAVQELKLDSLVMGSRGLGTIQRILLGSVTSYVLSHASCPVVIVKDPNFKK >DRNTG_09044.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31379114:31381362:1 gene:DRNTG_09044 transcript:DRNTG_09044.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDRKIGVALDFSKSSNAALAWAINNLLDKGETLIVIHVKHANANKHALWPKSGSPLIPLSEMRQPEVMKQYDLETDTEVLDLLDTAASQKEATVVTKLYWGDAREKLYEAVQELKLDSLVMGSRGLGTIQRILLGSVTSYVLSHASCPVVIVKDPNFKK >DRNTG_09044.11 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31379114:31381304:1 gene:DRNTG_09044 transcript:DRNTG_09044.11 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDRKIGVALDFSKSSNAALAWAINNLLDKGETLIVIHVKHANANKHALWPKSGSPLIPLSEMRQPEVMKQYDLETDTEVLDLLDTAASQKEATVVTKLYWGDAREKLYEAVQELKLDSLVMGSRGLGTIQRIRCPEG >DRNTG_35389.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1680746:1683054:-1 gene:DRNTG_35389 transcript:DRNTG_35389.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RRP6-like 3 [Source:Projected from Arabidopsis thaliana (AT2G32415) UniProtKB/Swiss-Prot;Acc:A9LLI8] MICMQLYTKERESSPGASAAASICMRNFNFQGSASEDCLEAKDRIWKLCAWRDIMARIHDESLRYIMSDQAIVSLATKAPKDAKEIHDIEVEADMSYCSSTNYPSLPSPSPVVIAHMGELCYLLQEVNSNIDDMFRRYLQKHLDPSGCCPLSIYNCSLLSELNLRQPTTLFLKQNGGHSTVPVGKKASRELFVQKFSCKSPVYHNYRIYANDGRLLCFCDRRKLEW >DRNTG_35389.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1678531:1684433:-1 gene:DRNTG_35389 transcript:DRNTG_35389.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RRP6-like 3 [Source:Projected from Arabidopsis thaliana (AT2G32415) UniProtKB/Swiss-Prot;Acc:A9LLI8] MVQYARTDAHYLLYIANCLVSELQRKTHYTSASSDDKFNFFLEASHRSNMICMQLYTKERESSPGASAAASICMRNFNFQGSASEDCLEAKDRIWKLCAWRDIMARIHDESLRYIMSDQAIVSLATKAPKDAKEIHDIEVEADMSYCSSTNYPSLPSPSPVVIAHMGELCYLLQEVNSNIDDMFRRYLQKHLDPSGCCPLSIYNCSLLSELNLRQPTTLFLKQNGGHSTVPVGKKASRELFVQKFSCKSPVYHNYRIYANDGRLLCFCDRRKLEWYLRRNLAKIVEDDPPAIMLLFEPKGRPEDEGNDFYIQSKKNICVGCGEKNHYLRYRIIPTCYRMHFPEYLKSHSSHDIVLLCVDCHEVAHSAAEKYKRKVAAEFGIPLFVQTIVESRENMIDAATKSEDTEKETGVSPLQLRIAAMALLRHGSTMPLKRRDELTEIVKAYFGGRDIIQDDLERALLVGLSPHERRRLEKKRGLSFKHRDIDAVAKDNSYITSVTNQDNGKISNKLEVSRGSTTYESTEGQDASVDINDQLEDNFLTDDNEQNADGETSHQGRGCISTTDTPNEDPSEQADAICSSSSGQVVKANRTKMVSLLGHGPHGKEVVKYLLNNHGEEGIRNFCQKWRQVFVDATNPRFLPAGWDIMHSGRREFGDYSVYNRGKKALPPPSTIL >DRNTG_35389.7.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1682930:1684433:-1 gene:DRNTG_35389 transcript:DRNTG_35389.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RRP6-like 3 [Source:Projected from Arabidopsis thaliana (AT2G32415) UniProtKB/Swiss-Prot;Acc:A9LLI8] MVAMEAINAIIAIAFIVLIPLLATILHSRRSGTVAIAAQWPCSDPQSKPQNRFKSVLADNSYTPFAHLKLDDGEISQKAHPYEELITNLLSNPPDPSNFFSTSCDCPEMSDSYVWVDTEEKLESLARLLSEERVFAVDTEHHSLRSFLGFTALMQV >DRNTG_35389.8 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1683446:1684433:-1 gene:DRNTG_35389 transcript:DRNTG_35389.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RRP6-like 3 [Source:Projected from Arabidopsis thaliana (AT2G32415) UniProtKB/Swiss-Prot;Acc:A9LLI8] MVAMEAINAIIAIAFIVLIPLLATILHSRRSGTVAIAAQWPCSDPQSKPQNRFKSVLADNSYTPFAHLKLDDGEISQKAHPYEELITNLLSNPPDPSNFFSTSCDCPEMSDSYVWVDTEEKLESLARLLSEERVFAVDTEHHSLRSFLGFTALMQVSTRREDFLVDTIALHDVIGVLRPVFADQSICKVNINTDIMFDHTFSYQVKKN >DRNTG_35389.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1678531:1684433:-1 gene:DRNTG_35389 transcript:DRNTG_35389.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RRP6-like 3 [Source:Projected from Arabidopsis thaliana (AT2G32415) UniProtKB/Swiss-Prot;Acc:A9LLI8] MICMQLYTKERESSPGASAAASICMRNFNFQGSASEDCLEAKDRIWKLCAWRDIMARIHDESLRYIMSDQAIVSLATKAPKDAKEIHDIEVEADMSYCSSTNYPSLPSPSPVVIAHMGELCYLLQEVNSNIDDMFRRYLQKHLDPSGCCPLSIYNCSLLSELNLRQPTTLFLKQNGGHSTVPVGKKASRELFVQKFSCKSPVYHNYRIYANDGRLLCFCDRRKLEWYLRRNLAKIVEDDPPAIMLLFEPKGRPEDEGNDFYIQSKKNICVGCGEKNHYLRYRIIPTCYRMHFPEYLKSHSSHDIVLLCVDCHEVAHSAAEKYKRKVAAEFGIPLFVQTIVESRENMIDAATKSEDTEKETGVSPLQLRIAAMALLRHGSTMPLKRRDELTEIVKAYFGGRDIIQDDLERALLVGLSPHERRRLEKKRGLSFKHRDIDAVAKDNSYITSVTNQDNGKISNKLEVSRGSTTYESTEGQDASVDINDQLEDNFLTDDNEQNADGETSHQGRGCISTTDTPNEDPSEQADAICSSSSGQVVKANRTKMVSLLGHGPHGKEVVKYLLNNHGEEGIRNFCQKWRQVFVDATNPRFLPAGWDIMHSGRREFGDYSVYNRGKKALPPPSTIL >DRNTG_35389.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1678531:1684433:-1 gene:DRNTG_35389 transcript:DRNTG_35389.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RRP6-like 3 [Source:Projected from Arabidopsis thaliana (AT2G32415) UniProtKB/Swiss-Prot;Acc:A9LLI8] MICMQLYTKERESSPGASAAASICMRNFNFQGSASEDCLEAKDRIWKLCAWRDIMARIHDESLRYIMSDQAIVSLATKAPKDAKEIHDIEVEADMSYCSSTNYPSLPSPSPVVIAHMGELCYLLQEVNSNIDDMFRRYLQKHLDPSGCCPLSIYNCSLLSELNLRQPTTLFLKQNGGHSTVPVGKKASRELFVQKFSCKSPVYHNYRIYANDGRLLCFCDRRKLEWYLRRNLAKIVEDDPPAIMLLFEPKGRPEDEGNDFYIQSKKNICVGCGEKNHYLRYRIIPTCYRMHFPEYLKSHSSHDIVLLCVDCHEVAHSAAEKYKRKVAAEFGIPLFVQTIVESRENMIDAATKSEDTEKETGVSPLQLRIAAMALLRHGSTMPLKRRDELTEIVKAYFGGRDIIQDDLERALLVGLSPHERRRLEKKRGLSFKHRDIDAVAKDNSYITSVTNQDNGKISNKLEVSRGSTTYESTEGQDASVDINDQLEDNFLTDDNEQNADGETSHQGRGCISTTDTPNEDPSEQADAICSSSSGQVVKANRTKMVSLLGHGPHGKEVVKYLLNNHGEEGIRNFCQKWRQVFVDATNPRFLPAGWDIMHSGRREFGDYSVYNRGKKALPPPSTIL >DRNTG_35389.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1678531:1680297:-1 gene:DRNTG_35389 transcript:DRNTG_35389.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RRP6-like 3 [Source:Projected from Arabidopsis thaliana (AT2G32415) UniProtKB/Swiss-Prot;Acc:A9LLI8] MLLFEPKGRPEDEGNDFYIQSKKNICVGCGEKNHYLRYRIIPTCYRMHFPEYLKSHSSHDIVLLCVDCHEVAHSAAEKYKRKVAAEFGIPLFVQTIVESRENMIDAATKSEDTEKETGVSPLQLRIAAMALLRHGSTMPLKRRDELTEIVKAYFGGRDIIQDDLERALLVGLSPHERRRLEKKRGLSFKHRDIDAVAKDNSYITSVTNQDNGKISNKLEVSRGSTTYESTEGQDASVDINDQLEDNFLTDDNEQNADGETSHQGRGCISTTDTPNEDPSEQADAICSSSSGQVVKANRTKMVSLLGHGPHGKEVVKYLLNNHGEEGIRNFCQKWRQVFVDATNPRFLPAGWDIMHSGRREFGDYSVYNRGKKALPPPSTIL >DRNTG_35389.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:1678531:1684433:-1 gene:DRNTG_35389 transcript:DRNTG_35389.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RRP6-like 3 [Source:Projected from Arabidopsis thaliana (AT2G32415) UniProtKB/Swiss-Prot;Acc:A9LLI8] MVAMEAINAIIAIAFIVLIPLLATILHSRRSGTVAIAAQWPCSDPQSKPQNRFKSVLADNSYTPFAHLKLDDGEISQKAHPYEELITNLLSNPPDPSNFFSTSCDCPEMSDSYVWVDTEEKLESLARLLSEERVFAVDTEHHSLRSFLGFTALMQVSTRREDFLVDTIALHDVIGVLRPVFADQSICKVFHGADNDVIWLQRDFHIYVVNLFDTAKACEVLSKPYKSLAYLLETYCGVSTDKTLQV >DRNTG_15653.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:3913007:3925241:-1 gene:DRNTG_15653 transcript:DRNTG_15653.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLELLLIQFLMPDNDARRQAEDQIRRLAKDPQVVPALVHHLRTAKTPNVRQLAAVLLRKKITGHWAKLPTHVKNSVKAALIESITIEHSPPVRRASANVVSIIAKYAVPAGEWPDLLPFLFQCGQSSQEDHREVALILFSSLTETIGSVFQSHLTNLQPLLLKCLRDESSTRVRVAALKAVGSFIEFINEGNDVVKLFRDFIPSILSVSRQCLANGEEDVASIAFEIFDELIESPAPLLGDSVKSIVQFSLEVCSSQSLELNIRHQAIQIISWLANYKAMFLKKHKLVTPILQVMCPLLTETASGDEDTDLAVDRAAAEVIDMMAIKLPKHVFPQVFEFASLSFNQINPKYREASVTALGVISEGCSELLKDRLEHALHIVLGALKDQEHMVRGAASFALGQFAEHLQPEIISHYQSILPCILNALEDSSDDVKEKSYYALAALCEEMGEEILPYLDPLMGRLVASLQSSPRNLQETCMSAIGSIAVAAEEAFIPYAEKVLELMKSFMVLTNDEDLRSRARATELVGIIAMDVGRMRMEPILPPFIEAALSGFALEFTELREYTHGFFSNIAEILGDGFSQYLPHVVPLAFSSCNLDDGSAVDIDDSDSIENGFGGVSSDDDTNDEPRVRNISIRTGVLDEKAAATQAIGMFALHTKASYAPYLEESIKILVRHSGYFHEDVRLQAIISLKHILTALQSIPPGYADVSEKQKEVFDTVMNIYIKTMTEDDDKQVVAQACISVADIVKECGYTAIESYMLQLADATLKLLCGESSCQQLESDSDEGEIDHDEDLMDAVSDLLPAFAKAMGPHFETIFARLFDPLMKFAKVPHPPQDRTMVVACLAEVAQEMGSPISAYVDRIMPLALKELASSDATNRRNAAFCVGELCKNGVTTALKYYGDVLRALYPLFSDSEPDNAVRDNAAGAVARMIMVQPQSVPLNQVLPVFLKALPLKEDREESMTVYNCIYNLILSSSPQVLPLVPEMVHIFAQVVVSPEETNEVKTQIGLAFSHLMSLYGQQMQPILSSLSPVHANALASFASKS >DRNTG_28834.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001448.1:96236:103038:-1 gene:DRNTG_28834 transcript:DRNTG_28834.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleobase-ascorbate transporter 12 [Source:Projected from Arabidopsis thaliana (AT2G27810) TAIR;Acc:AT2G27810] MATNELQPPRRSRPGPWPSAPASSVESNAPRQPLSWARRTGFRPMASGDSTPSTSGQIALPRPREAENHPDLDARRPTNTPIPPPLPATSTAERINRDRGDPPAQMDQAGRNAREANGGVRTAGSVPTLTGQMSHATPNGPARPVPVQATTPNGHVGPLPPPNGSLGSGPPNPPPPPPIRPRRDEEAVTLPQVMDDDEVETRATHMNYELRDTPGLVPIILYAVQHYLSMMGSLILMPLIIVPAMGGTKEETSEVVSTVLFISGVTTLLHTFFGSRLPLVQGPSFVFLAPALAIINSRDFESLNGNNFKHIMKQLQGSLIISSAFQAILGYSGIMSLLIRLITPVVTSATITAVGLSFFSYGFTQVGTCLEIGFVQIMLVIIFALYLRKIRVFGHRVFLVYTVPLGLGITWALAFLLTESGAYSYKGCDINIPASNIISDYCRKHISRMKHCRVDTSHALRSSPWFRFPYPLQWGTPVFNWKFAIVMCSVAIIASVDSVGTYHASSVFATTRAPTPGVLSRGITIEGVSSILAGLWGTGVGSTTLTENIHTIGITKMASRRAVEVGAGIMILLSLVGKVGGFIASIPEVIVAGLLCFMWAMLAAIGLSTLRYSEAGSSRNNIIVGLSLFFSLSVPAYFQQYGISPSANSSVPSYFQPYIVASHGPFHTGYGGVNYILNTVLSLNMVIAFLVAVVLDNTVPGTRQERGMYSWSEKEDARREPSFSRDYELPFRVGRAFRWVRWVGL >DRNTG_34098.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:26381479:26381924:-1 gene:DRNTG_34098 transcript:DRNTG_34098.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSSSSAATPSDADREEMLDRMLTSLALADDHKLQGLLTRILPYSISSLSSSSPSIRKLVMEILSHVNKRVKHHPEIGLPLVQLWSMYREFSGAPMVRNFCVVYIEM >DRNTG_25592.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:29824476:29824727:1 gene:DRNTG_25592 transcript:DRNTG_25592.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGSGEWLGPVSDRGLCSVVDLIPFVTLFPHQQQWRSNGGVNGA >DRNTG_25894.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19795634:19799341:-1 gene:DRNTG_25894 transcript:DRNTG_25894.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase family associated with various cellular activities (AAA) [Source:Projected from Arabidopsis thaliana (AT1G21690) UniProtKB/TrEMBL;Acc:F4HY43] MAPMVLSSQPWVEKYRPKQVKDVAHQDEVVRVLTNTLETANCPHMLFYGPPGTGKTTTALAIAHQLFGPELYKSRVLELNASDDRGINVVRTKIKDFAAVAVGSGQRQGYPCPPYKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSDEIMSSRILHICREEGLDLDSEALSTLGSIAQGDLRRAITYLQSAARLFGSSISSNDLISVSGVIPEEVVQAFLAACKSNDFDLADKEVGSLIADGYPVSQMLSQLLEVIVSANDISDEQKARICKRLGETDKCLVDGADEYLQLLNVASHTMRAFGNMPEEFQFE >DRNTG_25894.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:19788947:19799341:-1 gene:DRNTG_25894 transcript:DRNTG_25894.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase family associated with various cellular activities (AAA) [Source:Projected from Arabidopsis thaliana (AT1G21690) UniProtKB/TrEMBL;Acc:F4HY43] MAPMVLSSQPWVEKYRPKQVKDVAHQDEVVRVLTNTLETANCPHMLFYGPPGTGKTTTALAIAHQLFGPELYKSRVLELNASDDRGINVVRTKIKDFAAVAVGSGQRQGYPCPPYKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSDEIMSSRILHICREEGLDLDSEVYNLLFNLYVF >DRNTG_22939.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16997835:17019682:-1 gene:DRNTG_22939 transcript:DRNTG_22939.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLTSTETTTVQLSSFCPNFYPLDQDDWEDRILWGNSPPSASAASCVISEHEDEPNDADLGESDRYNVQLVEKDDGIANDPVLVDSFGSLNPSPATYLRPSEGSYQPQLVRLESSFKKTQLRTEDGSAECPNQNVLERFDRLSLMNKDFLEGSWLDQVIWDPEESIPKPKLILDLQDDQMLFEVLDNKHSEHLLSHAGAMLITRPSKTSTGDCIDLHSQGMASVGRFNISNDKYYSNRKTSQQAKSHNKKRVSHGIKVMHSVPALKLQTMKPKLSNKEIANFHRPKARWYPHENSAFAKSHRTLGSHGTMKIILLSLGGKGIKLHVEAGETLSSVKSKASKKLEFRSTEKVKIIYSGKELEDDKSLATQNVQPNSVLHVVRTKIHLWPKAQKLPGENKPLRPPGAFKKKSDLSVKDGHVFLMEYCEERPLLLGNAGMGARLCTYYQKFASGDQTLSSLRNGNHGMGSLLTLDPADKSPFLGDIGPGCSQSCIETNMYRAPVFQHKLSSTDYILVRSAKGTLSLRRIDKSYVVGQQEPHMEVLSPGTKNVQTYLVNRMLAHVYREFRAKEKPGSIPYIRADELAMQFPGLTDAFVRKRLKQCADFKRGANGHLLWVKRRDFRIPSEEELRRMMTPESVCSYESMQVGLYRLKHLGISRLTHPVGLSSAMNQLPDEAIALAAASHIERELLITSWNLSSNFVACTNQDRENLERLEITGVGDPSGRGLGFSYVRVSPKAPISGAMVKKKAAAARGGSTVTGTDADLRRLSMDAAREVLVKFKVPEEQIEKLTRWHRIAMVRKLSSEQTASGVKVDAMALSKFARGQRMSFLQLQQQAREKCQEIWDRQVQSLTAADGEDNDSDSEANSDLDSFAGDLENLLDAEEFEEEVHAYSKGDKPDGVRGLKMRRCPSQAQTEEEIEDDELEAAVMRKLLDDDDAEIKKKKPTTTIFHNSHQGSGGC >DRNTG_22939.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16997835:17014108:-1 gene:DRNTG_22939 transcript:DRNTG_22939.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLTSTETTTVQLSSFCPNFYPLDQDDWEDRILWGNSPPSASAASCVISEHEDEPNDADLGESDRYNVQLVEKDDGIANDPVLVDSFGSLNPSPATYLRPSEGSYQPQLVRLESSFKKTQLRTEDGSAECPNQNVLERFDRLSLMNKDFLEGSWLDQVIWDPEESIPKPKLILDLQDDQMLFEVLDNKHSEHLLSHAGAMLITRPSKTSTGDCIDLHSQGMASVGRFNISNDKYYSNRKTSQQAKSHNKKRVSHGIKVMHSVPALKLQTMKPKLSNKEIANFHRPKARWYPHENSAFAKSHRTLGSHGTMKIILLSLGGKGIKLHVEAGETLSSVKSKASKKLEFRSTEKVKIIYSGKELEDDKSLATQNVQPNSVLHVVRTKIHLWPKAQKLPGENKPLRPPGAFKKKSDLSVKDGHVFLMEYCEERPLLLGNAGMGARLCTYYQKFASGDQTLSSLRNGNHGMGSLLTLDPADKSPFLGDIGPGCSQSCIETNMYRAPVFQHKLSSTDYILVRSAKGTLSLRRIDKSYVVGQQEPHMEVLSPGTKNVQTYLVNRMLAHVYREFRAKEKPGSIPYIRADELAMQFPGLTDAFVRKRLKQCADFKRGANGHLLWVKRRDFRIPSEEELRRMMTPESVCSYESMQVGLYRLKHLGISRLTHPVGLSSAMNQLPDEAIALAAASHIERELLITSWNLSSNFVACTNQDRENLERLEITGVGDPSGRGLGFSYVRVSPKAPISGAMVKKKAAAARGGSTVTGTDADLRRLSMDAAREVLVKFKVPEEQIEKLTRWHRIAMVRKLSSEQTASGVKVDAMALSKFARGQRMSFLQLQQQAREKCQEIWDRQVQSLTAADGEDNDSDSEANSDLDSFAGDLENLLDAEEFEEEVHAYSKGDKPDGVRGLKMRRCPSQAQTEEEIEDDELEAAVMRKLLDDDDAEIKKKKPTTTIFHNSHQGSGGC >DRNTG_22939.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16997835:17018366:-1 gene:DRNTG_22939 transcript:DRNTG_22939.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLTSTETTTVQLSSFCPNFYPLDQDDWEDRILWGNSPPSASAASCVISEHEDEPNDADLGESDRYNVQLVEKDDGIANDPVLVDSFGSLNPSPATYLRPSEGSYQPQLVRLESSFKKTQLRTEDGSAECPNQNVLERFDRLSLMNKDFLEGSWLDQVIWDPEESIPKPKLILDLQDDQMLFEVLDNKHSEHLLSHAGAMLITRPSKTSTGDCIDLHSQGMASVGRFNISNDKYYSNRKTSQQAKSHNKKRVSHGIKVMHSVPALKLQTMKPKLSNKEIANFHRPKARWYPHENSAFAKSHRTLGSHGTMKIILLSLGGKGIKLHVEAGETLSSVKSKASKKLEFRSTEKVKIIYSGKELEDDKSLATQNVQPNSVLHVVRTKIHLWPKAQKLPGENKPLRPPGAFKKKSDLSVKDGHVFLMEYCEERPLLLGNAGMGARLCTYYQKFASGDQTLSSLRNGNHGMGSLLTLDPADKSPFLGDIGPGCSQSCIETNMYRAPVFQHKLSSTDYILVRSAKGTLSLRRIDKSYVVGQQEPHMEVLSPGTKNVQTYLVNRMLAHVYREFRAKEKPGSIPYIRADELAMQFPGLTDAFVRKRLKQCADFKRGANGHLLWVKRRDFRIPSEEELRRMMTPESVCSYESMQVGLYRLKHLGISRLTHPVGLSSAMNQLPDEAIALAAASHIERELLITSWNLSSNFVACTNQDRENLERLEITGVGDPSGRGLGFSYVRVSPKAPISGAMVKKKAAAARGGSTVTGTDADLRRLSMDAAREVLVKFKVPEEQIEKLTRWHRIAMVRKLSSEQTASGVKVDAMALSKFARGQRMSFLQLQQQAREKCQEIWDRQVQSLTAADGEDNDSDSEANSDLDSFAGDLENLLDAEEFEEEVHAYSKGDKPDGVRGLKMRRCPSQAQTEEEIEDDELEAAVMRKLLDDDDAEIKKKKPTTTIFHNSHQGSGGC >DRNTG_22939.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16997835:17015104:-1 gene:DRNTG_22939 transcript:DRNTG_22939.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLTSTETTTVQLSSFCPNFYPLDQDDWEDRILWGNSPPSASAASCVISEHEDEPNDADLGESDRYNVQLVEKDDGIANDPVLVDSFGSLNPSPATYLRPSEGSYQPQLVRLESSFKKTQLRTEDGSAECPNQNVLERFDRLSLMNKDFLEGSWLDQVIWDPEESIPKPKLILDLQDDQMLFEVLDNKHSEHLLSHAGAMLITRPSKTSTGDCIDLHSQGMASVGRFNISNDKYYSNRKTSQQAKSHNKKRVSHGIKVMHSVPALKLQTMKPKLSNKEIANFHRPKARWYPHENSAFAKSHRTLGSHGTMKIILLSLGGKGIKLHVEAGETLSSVKSKASKKLEFRSTEKVKIIYSGKELEDDKSLATQNVQPNSVLHVVRTKIHLWPKAQKLPGENKPLRPPGAFKKKSDLSVKDGHVFLMEYCEERPLLLGNAGMGARLCTYYQKFASGDQTLSSLRNGNHGMGSLLTLDPADKSPFLGDIGPGCSQSCIETNMYRAPVFQHKLSSTDYILVRSAKGTLSLRRIDKSYVVGQQEPHMEVLSPGTKNVQTYLVNRMLAHVYREFRAKEKPGSIPYIRADELAMQFPGLTDAFVRKRLKQCADFKRGANGHLLWVKRRDFRIPSEEELRRMMTPESVCSYESMQVGLYRLKHLGISRLTHPVGLSSAMNQLPDEAIALAAASHIERELLITSWNLSSNFVACTNQDRENLERLEITGVGDPSGRGLGFSYVRVSPKAPISGAMVKKKAAAARGGSTVTGTDADLRRLSMDAAREVLVKFKVPEEQIEKLTRWHRIAMVRKLSSEQTASGVKVDAMALSKFARGQRMSFLQLQQQAREKCQEIWDRQVQSLTAADGEDNDSDSEANSDLDSFAGDLENLLDAEEFEEEVHAYSKGDKPDGVRGLKMRRCPSQAQTEEEIEDDELEAAVMRKLLDDDDAEIKKKKPTTTIFHNSHQGSGGC >DRNTG_22939.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16997835:17019682:-1 gene:DRNTG_22939 transcript:DRNTG_22939.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLTSTETTTVQLSSFCPNFYPLDQDDWEDRILWGNSPPSASAASCVISEHEDEPNDADLGESDRYNVQLVEKDDGIANDPVLVDSFGSLNPSPATYLRPSEGSYQPQLVRLESSFKKTQLRTEDGSAECPNQNVLERFDRLSLMNKDFLEGSWLDQVIWDPEESIPKPKLILDLQDDQMLFEVLDNKHSEHLLSHAGAMLITRPSKTSTGDCIDLHSQGMASVGRFNISNDKYYSNRKTSQQAKSHNKKRVSHGIKVMHSVPALKLQTMKPKLSNKEIANFHRPKARWYPHENSAFAKSHRTLGSHGTMKIILLSLGGKGIKLHVEAGETLSSVKSKASKKLEFRSTEKVKIIYSGKELEDDKSLATQNVQPNSVLHVVRTKIHLWPKAQKLPGENKPLRPPGAFKKKSDLSVKDGHVFLMEYCEERPLLLGNAGMGARLCTYYQKFASGDQTLSSLRNGNHGMGSLLTLDPADKSPFLGDIGPGCSQSCIETNMYRAPVFQHKLSSTDYILVRSAKGTLSLRRIDKSYVVGQQEPHMEVLSPGTKNVQTYLVNRMLAHVYREFRAKEKPGSIPYIRADELAMQFPGLTDAFVRKRLKQCADFKRGANGHLLWVKRRDFRIPSEEELRRMMTPESVCSYESMQVGLYRLKHLGISRLTHPVGLSSAMNQLPDEAIALAAASHIERELLITSWNLSSNFVACTNQDRENLERLEITGVGDPSGRGLGFSYVRVSPKAPISGAMVKKKAAAARGGSTVTGTDADLRRLSMDAAREVLVKFKVPEEQIEKLTRWHRIAMVRKLSSEQTASGVKVDAMALSKFARGQRMSFLQLQQQAREKCQEIWDRQVQSLTAADGEDNDSDSEANSDLDSFAGDLENLLDAEEFEEEVHAYSKGDKPDGVRGLKMRRCPSQAQTEEEIEDDELEAAVMRKLLDDDDAEIKKKKPTTTIFHNSHQGSGGC >DRNTG_22939.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:16997835:17003428:-1 gene:DRNTG_22939 transcript:DRNTG_22939.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKLASKGTFKISQAEAAQNVERTGSKSQAKTPSLKFKCGQPEKSYDKNLSETQTSDRQNFADAEVEPKPSGKINKIKFSNKLKSDDTQHELQKSSSLIIRLPEKDQSHKRIVIKQSKGSTSAEHSKQSVDSGFDRESRKMKKIAELSSFDGQRQQGNQWAVKQETLRDRRMWDDEHKKGKRVRIEEERSGWMLDKSRSVQEQQRFSDRRYAGAIQEELQKAKKKKKKKKKHDFRDEYLLDHRSYRNDRRLPERDRAAKRRAIVDLEKSEYASSTKRRRGGEVILSNILQSIIDSLKENMEVSYLFIKPVTKKEAPDYFNIIKRPMDLSSIRDKVRNLEYKNREDFRHDVWQITYNAHRYNDGRNPSIPPLADQLLELCDYHLEQRHRELSDAEGGI >DRNTG_32225.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:1405639:1407518:-1 gene:DRNTG_32225 transcript:DRNTG_32225.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGVFSFVLLDTRDNSYIAARDAIGVTPLYIGWGRDGSVWFSSEIKGLNDQCANFKAFPPGALYSSRENNFKRWYSPPWYSGFIPSVPFDPIVLRKAFENAVIKRLMTDVRFGVLLSGGLDSSL >DRNTG_07980.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000356.1:11099:12823:1 gene:DRNTG_07980 transcript:DRNTG_07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFQEIVGAEPAKLKLYSYWRSSCSQRVRIALNLKGLDYEYETVNLLKDEQFDPEFEKLNPIKYVPSLVDGDVVVGDSLAIILYLEDKYPQHPLLPKDLKKKALNLQVANIVGSSIQPLQNLSILKFIETKFDADEKLKWVQHYI >DRNTG_07980.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000356.1:11099:12823:1 gene:DRNTG_07980 transcript:DRNTG_07980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSKEIVGAEPAKLKLYSYWRSSCSQRVRIALNLKGLDYEYETVNLLKDEQFDPEFEKLNPIKYVPSLVDGDVVVGDSLAIILYLEDKYPQHPLLPKDLKKKALNLQVANIVGSSIQPLQNLSILKFIETKFDADEKLKWVQHYI >DRNTG_27260.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:770071:770908:-1 gene:DRNTG_27260 transcript:DRNTG_27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSWAKALTPTIALCGCTYKPVNSAT >DRNTG_13031.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:652601:654282:-1 gene:DRNTG_13031 transcript:DRNTG_13031.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLVLRGTLKGQVDMDTVLTGSLVPVSLVRCQTRTDLSREEETIISEIQNWETLRQCPLFADGGRSSGIRSKHDRDEGSDPEIRNDHGFGDDQALNMKISEMNPKGKQFVNEVLIVDESMEIAKSKVNLVNEFLGVNNSFSKPWNSLFTDNRIKEKGSELQFITPSVSNGHRVVRFHSDEVIQEESRWRNSLVGCVYGLQPRLERFNAFVHARWKKYDVQTVSRINSDLFLIQFADEETCEEVLQSGPYTFDNHPVVLKKWQPRMCMDVAVTALPIWIQFPGLPLEFWSINMLSKIGSVCGKPLYCDKCTISKMKLGFVRILVEMDFSRDFPEMVELVDEQGMVFQQKVIYEWKPSICSNCRKFGHLKQKCSDGQQRRAIWRVKSILVQAVEGSDIKDAQRMEAQITNNGQDTNPKSSNSNLAHGPMEGIWKEIIKGKTMGYNSHT >DRNTG_03457.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000162.1:46772:52226:1 gene:DRNTG_03457 transcript:DRNTG_03457.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTTLQRTLVTPLEGTVMATMALTSWDLYLLGGRKFGIVNVAPTGCIPVVKDRLNFTAGGCSNIVNAVSFACNYALEILMNNLSSTLTGMKYSIGNSYAVFMKIIDNPGAYGFKNVTDPCCGSALYGCNKTATVCSDRSQYVFWDNVHPTNATAKVFGNFVYDGSTEYASPINFKELVEDYNELTSVY >DRNTG_03457.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000162.1:49266:52226:1 gene:DRNTG_03457 transcript:DRNTG_03457.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLSSTLTGMKYSIGNSYAVFMKIIDNPGAYGFKNVTDPCCGSALYGCNKTATVCSDRSQYVFWDNVHPTNATAKVFGNFVYDGSTEYASPINFKELVEDYNELTSVY >DRNTG_17919.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:6:171815:183182:1 gene:DRNTG_17919 transcript:DRNTG_17919.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFILSTISLLSLSNSVSASASCSSHACQLLDPCSSSDDCAAGLYCGVCPADGHSQPSCIRALAYNPASFVKGLPFNRYTWLVTHNSFSIVDEPSFTGVQRVTFYNQEDSVTNQLRNGVRGLMLDMYDFEDDIWLCHSFQGQCYNFTAFEPAINTLKEVEAFLSENPSEIVTIIIEDYVHAPKGLTKLFTNAGLLKYWYPVSEMPTNGKDWPSVTDMVAKNRRLLVFTSIASKEADEGIAYQWRYMVENEPGDPGIAPGSCSNRKESQALNSRYASLFLQNYFPTIPVQNEACKEHSVGLAELVGDCYKAAGNLMPNFLAVNFYMRSDGGGVFDVQDKINGLNLCGCNTITACQLGAPMGVCKNPEPSNRTPAVIGGSYSGYVQLSGCTSQIHLPSQLVNKMLYSLLILLLLL >DRNTG_12406.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:12360084:12365351:1 gene:DRNTG_12406 transcript:DRNTG_12406.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTHSEPTNGSSNFFGSRTSPTALEHFWWRLLALFNFQSTFFKIPELDDSSFIEPSIIISSLSSSWSSKPSYGSGLNISFFQMARDVGAVEPLQSPRQYLSKPSPPPSLKLGKDGEKNAEIEAEIGF >DRNTG_26055.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17768687:17770305:-1 gene:DRNTG_26055 transcript:DRNTG_26055.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRISIRVPRIPTIAFFSTLQAPSLTSYLTDVWGLSPAAAIAASSKLSFTSDWSKVSTKSKPDSVLAFFRSHGFTPSQITKLISMYPRFLLSDPARTLQPKMDFYLRSGFSPSTITKLISADIDLLRASLKKRIIPSFDFLKTILHTDEDVVAAVKRSTWLFHVNLEKKMAPNIDTLHRIGVPAANIAKLAKIYPMVLMQSSGRFGESLERVLRMGHCPGNAMFIRAFHSVSAISVATLKRKLEVYKSFGLPEDKIVSRVNQKPMIVNLSEDNIRKSFGFFMEKLKWAPEFVFSSPVLLTLSLEKRVAPRVSVYEILVSKNLWGEKPIVPRFFFISDEKFSKRYLLSFQNECPEVLETYKAMRRE >DRNTG_26055.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:12:17762469:17770305:-1 gene:DRNTG_26055 transcript:DRNTG_26055.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRISIRVPRIPTIAFFSTLQAPSLTSYLTDVWGLSPAAAIAASSKLSFTSDWSKVSTKSKPDSVLAFFRSHGFTPSQITKLISMYPRFLLSDPARTLQPKMDFYLRSGFSPSTITKLISADIDLLRASLKKRIIPSFDFLKTILHTDEDVVAAVKRSTWLFHVNLEKKMAPNIDTLHRIGVPAANIAKLAKIYPMVLMQSSGRFGESLERVLRMGHCPGNAMFIRAFHSVSAISVATLKRKLEVYKSFGLPEDKIVSRVNQKPMIVNLSEDNIRKSFGFFMEKLKWAPEFVFSSPVLLTLSLEKRVAPRVSVYEILVSKNLWGEKPIVPRFFFISDEKFSKRYLLSFQNECPEVLETYKAMRRE >DRNTG_30119.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:31038275:31040039:1 gene:DRNTG_30119 transcript:DRNTG_30119.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEVVNKGDEEPLALPPGFRFHPTDEELITHYLSRKVIDARFDARAIGEADLNKCEPWDLPWRAKMGEKEWYFFCVKDRKYPTGLRTNRATESGYWKATGKDKEIYRGKTLVGMKKTLVFYRGRAPKGEKTNWVMHEYRLEGKFSSFPKTVKNEWVISRVFQKSSSSNSGGVGGGRKGIGVMGRGGEDETIVVGSGSPLLPPLLDMTYSNNSSKAHVTCFSNGLEGQKGHEDFLTEDLNPLLLSSTANPSSVTNPYSSKLPLQLIHYNTTTTTNNNNSNSNSNINGLQFYQTGGGGGGSSSSLVQLLESNGYYGMKGSCKTERDQMLSISQETGLTSDINPEISSVAQEVPSSSAAHLPLHDYIWSYE >DRNTG_00196.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000032.1:159665:162938:1 gene:DRNTG_00196 transcript:DRNTG_00196.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLMGVSGRLVEARHRLAGTTHVDASKRRSFRSGDLKETDKSMGSPERSSSCKIHSLKLVLGIIIFGTLLMLFHCPAAYHSDNSMNVGSRSKIVDVGWIWERTTPDSRYVSDLDINWVDISKVIQKLDGRRGKLKIGLLNFNVTEVNYWQQLLTRSESTIVHLDHANSSLTWEELYPEWIDEEEESEVPTCPHLPKPKVPGRPQFDLIAVKLPCDKSGNWSRDVARLHLQLATAELAAEFVNVKRSVHVLLVTDCFPIPNLFTCKDLVKREGNAWLYRPDTGVLMEKLKLPVGSCKLSLPLKAEVRPYSQNVYREAYATILHSANVYVCGAITAAQSIRLSGSKRDLVILVDETISEHHKEGLEAAGWKIRTIQRIRNPKAERDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFTFPEITATGNNGTLFNSGVMVIEPSNCTFHLLMDHINEIESYNGGDQGYLNEIFTWWHRIPRHMNFLKHFWMGDEQEIKDMKTKLFGSEPPVLYVLHYLGIKPWLCYRDYDCNWNIDILQEFASDVAHARWWKVHDTMPEYLQNFCLLRSKQKAQLEWDRRQAKKANYTDGHWKRNITDPRLYTCFEEFCFWESMLWHWGDPNWSDNQPTSSTPTLHHL >DRNTG_06364.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:24413589:24417054:1 gene:DRNTG_06364 transcript:DRNTG_06364.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGLSRLRCLKTLTKYIVSEKAGNKIGELKHWNLDGELGLYDLHEVKNADEAKEANMSSRQSIYSLSLSWGTSVENPEQVLEALKPHAALKLLSLHDYPGTQFSTWIRDEQQLQNLVRVQLEGCQRCEQLPPLEQLPYLEELTISRMDGIKHIINNTTGDAFSLFPELRFLNLKEMANLEGWYVEEDRETAPPLFPRLKNLIISQCPKLTNMPPQISTLEYLEIEESYRGTQIELLSKGKGFFKHLKSLERLLLTKCEELALLLEDKEETRPLSSSLHSLSINDCSRFSLSAALQNLTSLERLSMHHFEELVSWPDEMFRGLESIRSLIIWSCKNLTSASSQGDCGPLFLEDLCVSNCDALIELPKCPTSLKKLVVSNCLSIKSLCSDMGHLTALAHLELFECPKLESLPEGMQGLTSLKYLYIQDCPALKSFPQGLQQLLPTLKLLKINGCPKLERRCSPGGDYFRLVSSISEKSIKNSPRRTLIAPCL >DRNTG_08538.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17415532:17418968:1 gene:DRNTG_08538 transcript:DRNTG_08538.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYLSYKKWNYERIDGKVSGAERQIRIDRFNAKNSTRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVMIYRLITRGTIEERMMQMTKKKMILEHLVVGRLKAQTVNQEELDDIIRYGSKELFADENDEAGKARQIHYDDAAIDRLLNRDQVDNEEAPLDDEDDDFLKAFKVCPTFAQLSLLPTHMSFMRFCYNVAL >DRNTG_08538.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:17416433:17418968:1 gene:DRNTG_08538 transcript:DRNTG_08538.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYLSYKKWNYERIDGKVSGAERQIRIDRFNAKNSTRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVMIYRLITRGTIEERMMQMTKKKMILEHLVVGRLKAQTVNQEELDDIIRYGSKELFADENDEAGKARQIHYDDAAIDRLLNRDQVDNEEAPLDDEDDDFLKAFKVCPTFAQLSLLPTHMSFMRFCYNVAL >DRNTG_25746.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:21627226:21628875:1 gene:DRNTG_25746 transcript:DRNTG_25746.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGKPEETKPEEPKPEEKKEEKVDEKKEETPPPPPPPIVLFVNLHCVGCAKKIQRSILKCRGVEEVEVDMVKNQVTVKGIVDPQVLCSRIEKKTMRKAKVLSPLPPEDGESAKSEVVVATQVSGINTVELHVNMHCEACAQQLKKKILKMRVTGTMDGEKLEAFIYRRTGKLAKIIPPPPPPPPPEQKEEEKKEGEKKEEEKAPEEKKEEEKKEEEKKEENTEKKEEENGEGGGKEAEKGAEVEEEPKKEGDPMIHGNMLPFVFHGDHHDMVKRMVYWTPMYVIEQPPPLPPPPQIFSDENPNACCIS >DRNTG_32917.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1205997:1214919:1 gene:DRNTG_32917 transcript:DRNTG_32917.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLDPKMETCSSGEDFVMKARKPYTITKQRERWTEEEHSRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEALLKGIPLGQAHDIDIPPPRPKRKPSNPYPRKTGPGSLSSSAEMKDEKVSKSHPLRTTSQCLGNDKITSKEKSGALETHGRKETSEAGSCSEHNLFQDVPFAPMSSVHRQCSKPSSFREFVPTVGKTEEKTPMSKGNEEPKSNVVANYEKKGVCGTLQVAPAIEENTNMSEKPENWQGFHSYQKHVSMPLKESTSAKSTETELSDGKIPAPVALNPGVQPNVSPFITSMGTAIPEYSNPGTSAIPQPVPSFTPYALFGTNQDVAYRSFMNFSSTFSSLIVSTLLQNPAVHAAACMAASFWPHADIDTVGNTTSEVLDGEAPAPASQMNSTPNIAAIATATVAAAAAWWTTHGLLPFFPHHLQADFPFAPTTTATVPPTDMAQAPGIDKRNETVRPPAEDQKLVSTELSGLPAKNLSLESSASSDLDESELGEKLQCNSDQKLLGTDNGSKNKADRSSCSSNTPSSSEVELVNAMEKQSEPKDAKQVHSSCPSSETNHRRARGSGTTYEAWKEVSEEGRLAFQALFTRAVLPQSFSPPHRDDNGAATMAVDLNSIACSPTDMMHHSEELPKEDHSAMKQNGDTDKGCCLMNKLAYAKLKARRTGFKPYKRCSMEVKENRVVPNEDNVNKRMRLDGEHGST >DRNTG_32917.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1205997:1214919:1 gene:DRNTG_32917 transcript:DRNTG_32917.5 gene_biotype:protein_coding transcript_biotype:protein_coding MINLDPKMETCSSGEDFVMKARKPYTITKQRERWTEEEHSRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKVLLGFLDLVSFLFTLTH >DRNTG_32917.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1205997:1214919:1 gene:DRNTG_32917 transcript:DRNTG_32917.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINLDPKMETCSSGEDFVMKARKPYTITKQRERWTEEEHSRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEALLKGIPLGQAHDIDIPPPRPKRKPSNPYPRKTGPGSLSSSAEMKDEKVSKSHPLRTTSQCLGNDKITSKEKSGALETHGRKETSEAGSCSEHNLFQDVPFAPMSSVHRQCSKPSSFREFVPTVGKTEEKTPMSKGNEEPKSNVVANYEKKGVCGTLQVAPAIEENTNMSEKPENWQGFHSYQKHVSMPLKESTSAKSTETELSDGKIPAPVALNPGVQPNVSPFITSMGTAIPEYSNPGTSAIPQPVPSFTPYALFGTNQDVAYRSFMNFSSTFSSLIVSTLLQNPAVHAAACMAASFWPHADIDTVGNTTSEVLDGEAPAPASQMNSTPNIAAIATATVAAAAAWWTTHGLLPFFPHHLQADFPFAPTTTATVPPTDMAQAPGIDKRNETVRPPAEDQKLVSTELSGLPAKNLSLESSASSDLDESELGEKLQCNSDQKLLGTDNGSKNKADRSSCSSNTPSSSEVELVNAMEKQSEPKDAKQVHSSCPSSETNHRRARGSGTTYEAWKEVSEEGRLAFQALFTRAVLPQSFSPPHRDDNGAATMAVDLNSIACSPTDMMHHSEELPKEDHSAMKQNGDTDKGCCLMNKLAYAKLKARRTGFKPYKRCSMEVKENRVVPNEDNVNKRMRLDGEHGST >DRNTG_32917.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1205997:1214919:1 gene:DRNTG_32917 transcript:DRNTG_32917.3 gene_biotype:protein_coding transcript_biotype:protein_coding MINLDPKMETCSSGEDFVMKARKPYTITKQRERWTEEEHSRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEALLKGIPLGQAHDIDIPPPRPKRKPSNPYPRKTGPGSLSSSAEMKDEKVSKSHPLRTTSQCLGNDKITSKEKSGALETHGRKETSEAGSCSEHNLFQDVPFAPMSSVHRQCSKPSSFREFVPTVGKTEEKTPMSKGNEEPKSNVVANYEKKGVCGTLQVAPAIEENTNMSEKPENWQGFHSYQKHVSMPLKESTSAKSTETELSDGKIPAPVALNPGVQPNVSPFITSMGTAIPEYSNPGTSAIPQPVPSFTPYALFGTNQDVAYRSFMNFSSTFSSLIVSTLLQNPAVHAAACMAASFWPHADIDTVGNTTSEVLDGEAPAPASQMNSTPNIAAIATATVAAAAAWWTTHGLLPFFPHHLQADFPFAPTTTATVPPTDMAQAPGIDKRNETVRPPAEDQKLVSTELSGLPAKNLSLESSASSDLDESELGEKLQCNSDQKLLGTDNGSKNKADRSSCSSNTPSSSEVELVNAMEKQSEPKDAKQVHSSCPSSETNHRRARGSGTTYEAWKEVSEEGRLAFQALFTRAVLPQSFSPPHRDDNGAATMAVDLNSIACSPTDMMHHSEELPKEDHSAMKQNGDTDKGCCLMNKLAYAKLKARRTGFKPYKRCSMEVKENRVVPNEDNVNKRMRLDGEHGST >DRNTG_32917.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:1205997:1214919:1 gene:DRNTG_32917 transcript:DRNTG_32917.4 gene_biotype:protein_coding transcript_biotype:protein_coding MINLDPKMETCSSGEDFVMKARKPYTITKQRERWTEEEHSRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEALLKGIPLGQAHDIDIPPPRPKRKPSNPYPRKTGPGSLSSSAEMKDEKVSKSHPLRTTSQCLGNDKITSKEKSGALETHGRKETSEAGSCSEHNLFQDVPFAPMSSVHRQCSKPSSFREFVPTVGKTEEKTPMSKGNEEPKSNVVANYEKKGVCGTLQVAPAIEENTNMSEKPENWQGFHSYQKHVSMPLKESTSAKSTETELSDGKIPAPVALNPGVQPNVSPFITSMGTAIPEYSNPGTSAIPQPVPSFTPYALFGTNQDVAYRSFMNFSSTFSSLIVSTLLQNPAVHAAACMAASFWPHADIDTVGNTTSEVLDGEAPAPASQMNSTPNIAAIATATVAAAAAWWTTHGLLPFFPHHLQADFPFAPTTTATVPPTDMAQAPGIDKRNETVRPPAEDQKLVSTELSGLPAKNLSLESSASSDLDESELGEKLQCNSDQKLLGTDNGSKNKADRSSCSSNTPSSSEVELVNAMEKQSEPKDAKQVHSSCPSSETNHRRARGSGTTYEAWKEGRLAFQALFTRAVLPQSFSPPHRDDNGAATMAVDLNSIACSPTDMMHHSEELPKEDHSAMKQNGDTDKGCCLMNKLAYAKLKARRTGFKPYKRCSMEVKENRVVPNEDNVNKRMRLDGEHGST >DRNTG_07671.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:23360962:23362792:1 gene:DRNTG_07671 transcript:DRNTG_07671.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNWVVDIQKKMNDVDPSKELVLWSKQSIYKVPACIKDLNPKAYKPQIISLGPYHHGDTHLLPMEEHKQRALLHFLKRSSNKALDECMAAMEEVEPLLRASYQGLEDRWNNTDPFLQLMIVDGCFMLEVLRVATGHHSAADYVSNDPIFSNHGMLYTVPYIKRDMLMLENQIPLLVLEKLLTIETGTPPNEDHINKLVLKFFSPEVRVPTMPLGLHPLDVFRKSLLQGPTIKSPSQKDHVSSEIIGTAMELDESGIRFKTSKSNSLRDIQFRHGILYLPVIVVDDATEYMFLNLMAFERLHVNAGNEVTAYVFFMDNIIDSAKDVSLLNSKGIIQNALGSDKAVAKLFNSLSKDVVLDPESTLDDVHRRVNKYCRKRWNMWRANLIHTYFRNPWAFLSLAAAIFLIFLTVAQTFYAIYPYYVPSQDSSPAPPAIFAPPPA >DRNTG_34776.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6897882:6903161:1 gene:DRNTG_34776 transcript:DRNTG_34776.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRLGFLVAASIGAYAVRQVNCSRRRFKPNDNGESTPKPNWIEESQKEADEVYDWQVEKEEEEEEEKVKTISSVINSAELMKRLCPTSLENDDDDMLPEFENLLSGEVEAPLPSDKFDMKPTSEYDIEMANNAYELERLRNLVNELQEREVKLEGELLEYYGLKEQESDVAELQKQLKIKIVEIDMLNITINSLQAERKKLQEEVAQGIAAKKELDLVRNKLKDLQRQIQLEANQTKGHLLLLKQQVTSLKTKEEEASKRDAEVERKLKALMELEVEVVELRRKNKELQIEKRELTVKLDAAQVRVEQLSEMTETEMVARAREEVSKLRHSNEDLTKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNFQKPSGTISARDLNKSLSPKSRERAKRLMLEYAGSERGQGDTDLESVASMPSSPGSTEDFDNASMDSSSSRFSSLSKKPSLIQKLKKWGKSKDDYYTGASASPTRSLGGASSPMRTSMSYKPSSSSSSAKGPLEALMLRNAGDAMAITTFGTKEQPVSPNTTVEASFNLISKSVEGVAEDKYPVYKDRHKLALEREKAIKERAEKARAQRFGGDAAAAAAAPRSINFEREKVNHFATQTCSNKGEG >DRNTG_34776.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6897665:6903161:1 gene:DRNTG_34776 transcript:DRNTG_34776.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRLGFLVAASIGAYAVRQVNCSRRRFKPNDNGESTPKPNWIEESQKEADEVYDWQVEKEEEEEEEKVKTISSVINSAELMKRLCPTSLENDDDDMLPEFENLLSGEVEAPLPSDKFDMKPTSEYDIEMANNAYELERLRNLVNELQEREVKLEGELLEYYGLKEQESDVAELQKQLKIKIVEIDMLNITINSLQAERKKLQEEVAQGIAAKKELDLVRNKLKDLQRQIQLEANQTKGHLLLLKQQVTSLKTKEEEASKRDAEVERKLKALMELEVEVVELRRKNKELQIEKRELTVKLDAAQVRVEQLSEMTETEMVARAREEVSKLRHSNEDLTKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNFQKPSGTISARDLNKSLSPKSRERAKRLMLEYAGSERGQGDTDLESVASMPSSPGSTEDFDNASMDSSSSRFSSLSKKPSLIQKLKKWGKSKDDYYTGASASPTRSLGGASSPMRTSMSYKPSSSSSSAKGPLEALMLRNAGDAMAITTFGTKEQPVSPNTTVEASFNLISKSVEGVAEDKYPVYKDRHKLALEREKAIKERAEKARAQRFGGDAAAAAAAPRSINFEREKVNHFATQTCSNKGEG >DRNTG_34776.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6897882:6903161:1 gene:DRNTG_34776 transcript:DRNTG_34776.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLCPTSLENDDDDMLPEFENLLSGEVEAPLPSDKFDMKPTSEYDIEMANNAYELERLRNLVNELQEREVKLEGELLEYYGLKEQESDVAELQKQLKIKIVEIDMLNITINSLQAERKKLQEEVAQGIAAKKELDLVRNKLKDLQRQIQLEANQTKGHLLLLKQQVTSLKTKEEEASKRDAEVERKLKALMELEVEVVELRRKNKELQIEKRELTVKLDAAQVRVEQLSEMTETEMVARAREEVSKLRHSNEDLTKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNFQKPSGTISARDLNKSLSPKSRERAKRLMLEYAGSERGQGDTDLESVASMPSSPGSTEDFDNASMDSSSSRFSSLSKKPSLIQKLKKWGKSKDDYYTGASASPTRSLGGASSPMRTSMSYKPSSSSSSAKGPLEALMLRNAGDAMAITTFGTKEQPVSPNTTVEASFNLISKSVEGVAEDKYPVYKDRHKLALEREKAIKERAEKARAQRFGGDAAAAAAAPRSINFEREKVNHFATQTCSNKGEG >DRNTG_34776.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:6897882:6903161:1 gene:DRNTG_34776 transcript:DRNTG_34776.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLCPTSLENDDDDMLPEFENLLSGEVEAPLPSDKFDMKPTSEYDIEMANNAYELERLRNLVNELQEREVKLEGELLEYYGLKEQESDVAELQKQLKIKIVEIDMLNITINSLQAERKKLQEEVAQGIAAKKELDLVRNKLKDLQRQIQLEANQTKGHLLLLKQQVTSLKTKEEEASKRDAEVERKLKALMELEVEVVELRRKNKELQIEKRELTVKLDAAQVRVEQLSEMTETEMVARAREEVSKLRHSNEDLTKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNFQKPSGTISARDLNKSLSPKSRERAKRLMLEYAGSERGQGDTDLESVASMPSSPGSTEDFDNASMDSSSSRFSSLSKKPSLIQKLKKWGKSKDDYYTGASASPTRSLGGASSPMRTSMSYKPSSSSSSAKGPLEALMLRNAGDAMAITTFGTKEQPVSPNTTVEASFNLISKSVEGVAEDKYPVYKDRHKLALEREKAIKERAEKARAQRFGGDAAAAAAAPRSINFEREKVNHFATQTCSNKGEG >DRNTG_02841.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19982595:19984525:-1 gene:DRNTG_02841 transcript:DRNTG_02841.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit G3 [Source:Projected from Arabidopsis thaliana (AT4G25950) UniProtKB/Swiss-Prot;Acc:Q9SZH0] MLLRAEQEAQKIITDARNLKMTKLKQAKDEAERDSAAYRSALEAEYQRKISKNTGSSGSNIKRLDEGTELKIKRLKDATKQVHDDVIGML >DRNTG_02841.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:19982595:20006029:-1 gene:DRNTG_02841 transcript:DRNTG_02841.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit G3 [Source:Projected from Arabidopsis thaliana (AT4G25950) UniProtKB/Swiss-Prot;Acc:Q9SZH0] MLLRAEQEAQKIITDARNLKMTKLKQAKDEAERDSAAYRSALEAEYQRKISKNTGSSGSNIKRLDEGTELKIKRLKDATKQVHDDVIGML >DRNTG_21157.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:14:2269304:2270154:1 gene:DRNTG_21157 transcript:DRNTG_21157.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPPSFDVSPVTIAPPFKDSCPQG >DRNTG_06717.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:21151173:21151914:-1 gene:DRNTG_06717 transcript:DRNTG_06717.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSGAISVTLLRSMTSGSGEISGTLVRSKLLSSPRRPRRRRRRLRVGRCLW >DRNTG_16415.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:28917139:28918920:1 gene:DRNTG_16415 transcript:DRNTG_16415.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSGACSKVAVIFKRVDMRTVLHEMVLNVMMMLVAGKRYYVDEGVLNADVDEVKWFEEMVEETFKFSGISNARDFLPEFFRVVDVHGVEKKLARLEKMWDEYLQRLIDELRGKLGHENHDDNESIIRINLIGTLLAMQEDEDPDYYTDNLIKAQVLSLLSAGSHTASGTLEWGLSLLLNHHQVLRKARDEIDELVGHDRLIKEFDIPNLPYLHCIVLEVLRLYPTTPLLLPHESSQDCNVSGFDIPRGTVLVVNAYAMHRDPEIWEDALMFKPERFRRRRGREEDDAFWDGEKEVSG >DRNTG_23802.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29645542:29647520:-1 gene:DRNTG_23802 transcript:DRNTG_23802.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNFSFSSFPDSGDSSPRSREIDCENPATWDDTSAPPPVAQRVKLMVSFGGRIQPRPHDNQLSYVGGDTKILAVDRSVRLPTVLSRIASITGSDDVCLKYQLPGEDLDALVSVTNDEDLEHLMLEYDRLCRAAAPGSKAAPRLRLFVFPIVSSQPSSTNSDTKSDRQWFVDALNSVAAPPPPQAPAVTAPAESPDYLFGLDKGFIPPPAIKVKDPSQEFAPPVEHAHPPLARSEIPKNDDRAIGAEQPIEVQRQIPELQRLQIAENQEQPAFQRQASDENLSRVYPAEYYIPQRVQEKTPPAPQPVYWQEHLGMAAGGRFASVAGGDRQVYFIPAGPGVYQQQGAVIHTQAGQGYYAMAPPPRMVPSPAQEIYREATAPVYAVSAPPPDGGAVRTQGHDAGFTAYDGAGRAVYYTGAAPTYQTVTNVAFNPDGKIVKPSQVS >DRNTG_23802.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29645579:29647131:-1 gene:DRNTG_23802 transcript:DRNTG_23802.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNFSFSSFPDSGDSSPRSREIDCENPATWDDTSAPPPVAQRVKLMVSFGGRIQPRPHDNQLSYVGGDTKILAVDRSVRLPTVLSRIASITGSDDVCLKYQLPGEDLDALVSVTNDEDLEHLMLEYDRLCRAAAPGSKAAPRLRLFVFPIVSSQPSSTNSDTKSDRQWFVDALNSVAAPPPPQAPAVTAPAESPDYLFGLDKGFIPPPAIKVKDPSQEFAPPVEHAHPPLARSEIPKNDDRAIGAEQPIEVQRQIPELQRLQIAENQEQPAFQRQASDENLSRVYPAEYYIPQRVQEKTPPAPQPVYWQEHLGMAAGGRFASVAGGDRQVYFIPAGPGVYQQQGAVIHTQAGQGYYAMAPPPRMVPSPAQEIYREATAPVYAVSAPPPDGGAVRTQGHDAGFTAYDGAGRAVYYTGAAPTYQTVTNVAFNPDGKIVKPSQVS >DRNTG_23802.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29645542:29647131:-1 gene:DRNTG_23802 transcript:DRNTG_23802.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNFSFSSFPDSGDSSPRSREIDCENPATWDDTSAPPPVAQRVKLMVSFGGRIQPRPHDNQLSYVGGDTKILAVDRSVRLPTVLSRIASITGSDDVCLKYQLPGEDLDALVSVTNDEDLEHLMLEYDRLCRAAAPGSKAAPRLRLFVFPIVSSQPSSTNSDTKSDRQWFVDALNSVAAPPPPQAPAVTAPAESPDYLFGLDKGFIPPPAIKVKDPSQEFAPPVEHAHPPLARSEIPKNDDRAIGAEQPIEVQRQIPELQRLQIAENQEQPAFQRQASDENLSRVYPAEYYIPQRVQEKTPPAPQPVYWQEHLGMAAGGRFASVAGGDRQVYFIPAGPGVYQQQGAVIHTQAGQGYYAMAPPPRMVPSPAQEIYREATAPVYAVSAPPPDGGAVRTQGHDAGFTAYDGAGRAVYYTGAAPTYQTVTNVAFNPDGKIVKPSQVS >DRNTG_23802.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:29644154:29647131:-1 gene:DRNTG_23802 transcript:DRNTG_23802.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNFSFSSFPDSGDSSPRSREIDCENPATWDDTSAPPPVAQRVKLMVSFGGRIQPRPHDNQLSYVGGDTKILAVDRSVRLPTVLSRIASITGSDDVCLKYQLPGEDLDALVSVTNDEDLEHLMLEYDRLCRAAAPGSKAAPRLRLFVFPIVSSQPSSTNSDTKSDRQWFVDALNSVAAPPPPQAPAVTAPAESPDYLFGLDKGFIPPPAIKVKDPSQEFAPPVEHAHPPLARSEIPKNDDRAIGAEQPIEVQRQIPELQRLQIAENQEQPAFQRQASDENLSRVYPAEYYIPQRVQEKTPPAPQPVYWQEHLGMAAGGRFASVAGGDRQVYFIPAGPGVYQQQGAVIHTQAGQGYYAMAPPPRMVPSPAQEIYREATAPVYAVSAPPPDGGAVRTQGHDAGFTAYDGAGRAVYYTGAAPTYQTVTNVAFNPDGKIVKPSQVS >DRNTG_34471.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:23:22133:35739:1 gene:DRNTG_34471 transcript:DRNTG_34471.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKTEMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGKLYEFASSSMQETINRYMAHSKNINIEKRMPEQNAQQLKLEVAAMAKKIELLEGYKRKLLGESLGSCSMFELHEIESQLEKSLTIIRGKKHHMLAEQIAELKEKEKTLTEENLVLRHKAEFSLELNENREFVENDETGEEDIEVETELFIGRPGSRRQCNINA >DRNTG_34471.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:23:34207:35739:1 gene:DRNTG_34471 transcript:DRNTG_34471.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQETINRYMAHSKNINIEKRMPEQNAQQLKLEVAAMAKKIELLEGYKRKLLGESLGSCSMFELHEIESQLEKSLTIIRGKKHHMLAEQIAELKEKEKTLTEENLVLRHKAEFSLELNENREFVENDETGEEDIEVETELFIGRPGSRRQCNINA >DRNTG_34471.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:23:22133:35739:1 gene:DRNTG_34471 transcript:DRNTG_34471.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKTEMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGKLYEFASSSMQETINRYMAHSKNINIEKRMPEQNAQQLKLEVAAMAKKIELLEGYKRKLLGESLGSCSMFELHEIESQLEKSLTIIRGKKHHMLAEQIAELKEKEKTLTEENLVLRHKAEFSLELNENREFVENDETGEEDIEVETELFIGRPGSRRQCNINA >DRNTG_08220.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:21:1041861:1049287:1 gene:DRNTG_08220 transcript:DRNTG_08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWIASDCGMNMNKWIVKSYDSSSAERPSTNAENWRFANRVIINGFFRQMPNLTYLDLQNTKIEELPKGIKCLVNLQYLNISSTKISSLPKELVYLKKLQYLICNHLNGLGKVDLEDLQSRLQKLKVIDIYPSGWVDLEQLKKLKKHVKGIGMRVVSKEVLQQLSCFPIVWLSLYNLDIISLSSDTLSCKNHGFLQELQIESCPQLEQIVMNGSGSHLKHLIISDVKKLANIIWKDLSPPECFQVLKWLSIIGCNLDNLAWVLHLPCLSYLHIEDCAEIEMLFYIEEEREIQQQEVSEHRPAFPALEFLFITKLPKLVSISNFELGFPRLSWFHVCDCAEIETLVYIEEEREIQQLEVSEHRPTFPALEYVFITNLPKLVSITNFAWQFPQLKDMTVRQCLNLKKLPFKNGINNNNQRMIGIECEREWWESLEWDDATIPSHLRPRFKTDENPNECTTSGTSGSK >DRNTG_26122.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001348.1:67001:70268:1 gene:DRNTG_26122 transcript:DRNTG_26122.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVVPNGHFKKHWQNYVKTWFNQPARKTRRRVARQKKAVKIFPRPTAGPLRPVVQCQTLKYNMKPRAGRGFTLEELKAAGIPKKLAPTIGIAVDHRRKNRSLEGLQANVQRLKTYKAKLVIFPRCAKKIKSGDSTPEELATATQIQGEYMAITREKPSVELIKVTDEMKAFSAYGKLRVEHMNKRQVGARLKKAAEAEKDEKK >DRNTG_30539.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001581.1:46090:46786:1 gene:DRNTG_30539 transcript:DRNTG_30539.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMMMMMKMMMKKKEEEEEKEEEIKQAAINKSTYIKMKEVEDQLQSKC >DRNTG_34296.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:1:20447008:20447423:1 gene:DRNTG_34296 transcript:DRNTG_34296.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRWLESGNSTGVDGTRARAEFPHARVDSLSL >DRNTG_24105.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:20:27205067:27208482:-1 gene:DRNTG_24105 transcript:DRNTG_24105.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFISIFINSIDAANSFSLFTAKSAAANAAVAGGIGRPNPFTAKAAALRYWDRKIPDGGQNHPSFLTSKLSPLSAVDSATYSTLAGANPSSLTPHLPALCSAAHLLCAPAISSSLSSDSKDSNFISYENRNFTNYGTAAAGGANSFKNYSDSLNVPVDTFRRYSRDSAGHDESFASYSREGNVVTTNFTSYATAATGGAGSFSSYHKETNVPNLKFSNYNADANGHTHTFTSYADDTNSGDQSFSGYGKHGNDAPSSFTSYGNNSNVIGSTFTNYAEEANAAGDSFNTYGFNGNVPENNFKNYADEANAATETFTSYRDQSNVGDDSFRSYGDDGNNPTLKFSNYGNSFNEGSDRFQAYAENANNPNVSFKGYNGDITGFSSYSKTGVTFKNYKNSSVVPPNFSPEKMEETVTPVKSGKIVNRWVEPGKFFRESSLKEGTVMPMPDIRDKMPERSFLPRSIAEKLPFSATELSRIFGAPADTALGKAVADTIAECERKPSRGETKRCATSAEDMIDFAAEVIGSSAVPRSTETVDGSNGQILVGRVKGLNGGKVTKAVSCHQSLFPYLTYYCHSVPMVRVYEAEILAVDSKKRINRGVAICHLDTSDWSEGHGAFVALGFKPGEIELFDARRSPDSLYDLWGSWRNTLKKSVALFWDLLLRAITWNLWLERNARIFTSTCTATATIIIKIIHMVLLWLNAVPDSKKAKLEDPTKKIKRSLEFLSSRDAEPSASPEHDSSPRGV >DRNTG_34768.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31766102:31767852:1 gene:DRNTG_34768 transcript:DRNTG_34768.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDNTIKDPLVLSKIHQIYRIGYIKDVILLGVLDEVTIASPSAII >DRNTG_34768.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31766102:31767852:1 gene:DRNTG_34768 transcript:DRNTG_34768.2 gene_biotype:protein_coding transcript_biotype:protein_coding ICLQDNTIKDPLVLSKIHQIYRIGYIKDVILLGVLDEVVCC >DRNTG_34768.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31766102:31767007:1 gene:DRNTG_34768 transcript:DRNTG_34768.6 gene_biotype:protein_coding transcript_biotype:protein_coding ICLQDNTIKDPLVLSKIHQIYRIGYIKDVILLGVLDEVVCC >DRNTG_34768.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31766102:31767852:1 gene:DRNTG_34768 transcript:DRNTG_34768.4 gene_biotype:protein_coding transcript_biotype:protein_coding ICLQDNTIKDPLVLSKIHQIYRIGYIKDVILLGVLDEVTIASPSAII >DRNTG_34768.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:31766102:31767852:1 gene:DRNTG_34768 transcript:DRNTG_34768.3 gene_biotype:protein_coding transcript_biotype:protein_coding GDNTIKDPLVLSKIHQIYRIGYIKDVILLGVLDEVTIASPSAII >DRNTG_16980.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000861.1:11415:14583:1 gene:DRNTG_16980 transcript:DRNTG_16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPAEMRAERMWRSILQGKENCGPVSASRGDWFYDCPTLQYGISRSCLMGGDAVNPEFTLVKRVHSFSSWRLPRALLGSGCDNFSGIRARGLARMPAQRPRMRRGAGVPSEEPPPVQPPSPSWQEVNSGHEHAAEERQLGCNAFDGSSDSMIAIEWLKMLLATFENMGIEDELKLKVAVILLKNRTRVWWETLKGRSDIPLTWSDFLQEFNEEYYSRFHSDHKRQEYMKLV >DRNTG_29624.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:6040147:6045956:1 gene:DRNTG_29624 transcript:DRNTG_29624.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAGVPLVPGYHGDEQSIDYLKAEAEKIGYPILIKPTHGGGGKGMRIVQGPDEFVEALLGAQREAAASFGISTVLLEKYITQPRHIEVQVFGDKHGNVVHLYERDCSVQRRHQKIIEEAPAPNVVKEFRSHLGEAAVSAAKAVGYHNAGTVEFIMDTTSGQFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRVANGEPLPLTQSEIPLRGHAFEARIYAENVPRGFLPATGKLHHYHPVSVSPT >DRNTG_15823.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:24967592:24968886:-1 gene:DRNTG_15823 transcript:DRNTG_15823.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIGNLTNTSRAPNKLLEILNVRVVGSGDKFLVLSHGFGTDQSAWQRILPYFTRDYKVILYDLVCAGSVNPDHFDFRRYTTLDAYVDDLVSILGALHIDRCAFIGHSVSAMIGILASIRRPDLFSKLILLGASPRITTEDLRKGRSRGCSRRWRRTTRRG >DRNTG_18820.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000974.1:64824:65280:1 gene:DRNTG_18820 transcript:DRNTG_18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYEALTGCLEDFGFEDYLNVPQDSETHRPAEMHHGMEVCHGLSKGPVCPSLN >DRNTG_25613.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:22064613:22075339:1 gene:DRNTG_25613 transcript:DRNTG_25613.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific demethylase REF6 [Source:Projected from Arabidopsis thaliana (AT3G48430) UniProtKB/Swiss-Prot;Acc:Q9STM3] MAANSQAEPPPLEVLSWLKSLPMAPEYHPTLAEFQDPIAFILKIEKEASAYGICKIVPPVPPAAKKTTMANLNRSFAARDPNPKKPPTFTTRQQQIGFCPRRPRPVQKPVWQSGEQYTLQQFEAKAKQFEKTHLRKLGKRGLGLSPLEIETLFWKACADKPFSVEYANDMPGSGFAPLASGKKWREDEAANVGETAWNMRGVSRAKGSLLRFMKEEIPGVTSPMVYIAMMFSWFAWHVEDHDLHSLNYLHFGAGKTWYGVPRDARLALEDVIRVHGYCGEVNPLVTFAILTEKTTVMSPEILVGAGIPCCRLVQNAGEFVVTFPGAYHTGFSHGFNCGEAANIATPQWLRFAKEAAVRRASTNFPPMVSHFQLLYALALSLGSRMPMVCCTEPRSSRLKDKMKGEGEVMVKTTFVQSVAQNNNLLSILLEKGSTCVVLPRKTAEISLCSTSLVKPQLKVKPRLSLGLCSRQEGLEASGILPSDDAMPDRNMDTVHSSGFCLVNESPVSAFQGKKLVPASFSKYETSDFCSSSSESQYVEGGKESTIQGDGLLEQGLLSCVTCGILSFSCVAVIQPREKAARYLMASDCSVFSDHIVASGDTSETANVPNQNTGNYDLSRSGPSTGAFSGTANPKSISALDLLASAYGDLSEPEDEVLDNKPGHEDGNEIRNLSLSSDLTQKSMSSTKVLNGNLESHLIEPYCQTETNDNYRNSSSGVSNYSELPVQDSGRIGGSDDSNDSPDKICTPKLEFSRWNQPESPNMLVSLEDEMAPSDSLIAYSTGINGKKTDGSCHKEADVPEHHNSCDPVAPIRCTKGEMKLTNYSAKIQNSDVPVMQRSDKDSSRMHVFCLEHAVEVEKQLRPFGGVHIMLLCHPDYPRIEAEAKLLATELGSDIVWKDIPFQEAKKEDQLRIRSAMEDEESIPMNSDWAVKMGINLYYSANLSKSPLYCKQMPYNAVIYKAFGCNSAGNSPVKLKATGRRPGRQKKIIVAGKWCGKVWMSNQVHPHLALRQDTHEQEFADKIYSGGSDEKLKIEKDTEVTEQAARPSRNSSSTAAARKSGKKRKKTLNKASIKKARHTATGDGSLEVAKENSSAQCSRLLRSRIKHNVTPLKNENTSQRKLNLDDEADGGPSTRLRRRPSKTEVTKSKPRIEKHIKKKKGNKVQEQNSAINKEEELDYPCDVEGCSMSFGTKQDLSLHKRNICPVKGCGKKFFSHKYLVQHRKVHLDDRPLKCPWKGCKMTFKWAWARTEHIRVHTGDRPYVCREAGCGQTFRFVSDFSRHKRKTGHSVKKVRR >DRNTG_09172.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:7263799:7264174:-1 gene:DRNTG_09172 transcript:DRNTG_09172.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNKSKKAASKEHKVLKIPGLRSQMKKARRKKELQGKNQKDGFLQDMEDWEHLYLGHHEDK >DRNTG_06979.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:40921:41456:-1 gene:DRNTG_06979 transcript:DRNTG_06979.2 gene_biotype:protein_coding transcript_biotype:protein_coding TIELKKKTRDKNIDSCFRSNQHPRNPNGSHDRSSR >DRNTG_06979.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:40921:41571:-1 gene:DRNTG_06979 transcript:DRNTG_06979.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIELKKKTRDKNIDSCFRSNQHPRNPNGSHDRSSR >DRNTG_15945.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000791.1:1378:4916:-1 gene:DRNTG_15945 transcript:DRNTG_15945.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEEERELETGSDSAVERHRASRNAW >DRNTG_26161.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:29271507:29274331:-1 gene:DRNTG_26161 transcript:DRNTG_26161.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANDEAVDFDDDDLMDEDGQEAEAFAVPKIRSTIIGGGGGAGEASNVPRKIKGRGFREETGADRGARFGGRDFDSLDSDGGPGPLKSIEGWIVLVTGVHEEAQEDDLHNAFREFGQIKNLHLNLDRRTGFVKGYALIEYESYDEANAAIRTLNGTELLTQTIYVDWAFSNGPYKRRNTRRRSPRRRSRSPPRRY >DRNTG_09355.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:24787520:24789449:1 gene:DRNTG_09355 transcript:DRNTG_09355.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVALIIILFLSVFFISCSSSTRSEYEMKLLYEGWLVEHHKNYNDLFEKDKRYEIFKDNLKYIDEHNAGNHTYQLGLNLFADLTVDEYQNNDLGFNPLSKMNMTYKVSSRYMLDKGEELKLPNSVDWREKGAVTHVKNQGKCNSSWAFTTIATIESLNKIVTGELISLSEQELIDCYRKGCGRDDPVKAFEFIILNHGIDTAEDYPYRAVYSKCDLSKKKKKVVSINGYVLGPFNNENAMKGGGGKATCYWRC >DRNTG_07541.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:13021723:13027806:-1 gene:DRNTG_07541 transcript:DRNTG_07541.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWLGICISKVWCLKSSPSPRDSPSPPRRSSLDPGLSLLHPCNPAVQTLALKMTKVSRVMLST >DRNTG_13812.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:28408757:28412004:-1 gene:DRNTG_13812 transcript:DRNTG_13812.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQSQNTSLQRLHLVEKRIVRVLELAGLVMDELANSTGPRSDALTSHCREFMESIRDIQVTLRDEIKSACDYRPFEKCDYNARISNEICCKKLEYVIEKLDDMKQSIEQCKDGN >DRNTG_26305.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21329032:21331701:-1 gene:DRNTG_26305 transcript:DRNTG_26305.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVPLFLTILVSFTLFLYSHGASSMSLQALPTLSFEEGYTQLFGDTNLMLLRDGKTVHISLDRRTGAGFASQDLYLHGFFSASIKLPSDYAAGVVVAFYMSNGDMFAKTHDELDFEFLGNVRGREWRVQTNVYGNGSTGIGREERYGLWFDPTEDFHHYSILWSHDRIIFYIDEIPIREVVRTQVMGGDFPSKPMSLYATIWDGSNWATSGGRYKVNYKYAPYVAEFANLILHGCAVDPTDHSSTCNKFNGNQYNSIKISSDQRSAMENFRRKYMTYSYCYDGLRYTKPPAECSIDHREAERLQGHRRRSKGHHRNPQDVTI >DRNTG_26305.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21327837:21331701:-1 gene:DRNTG_26305 transcript:DRNTG_26305.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVPLFLTILVSFTLFLYSHGASSMSLQALPTLSFEEGYTQLFGDTNLMLLRDGKTVHISLDRRTGAGFASQDLYLHGFFSASIKLPSDYAAGVVVAFYMSNGDMFAKTHDELDFEFLGNVRGREWRVQTNVYGNGSTGIGREERYGLWFDPTEDFHHYSILWSHDRIIFYIDEIPIREVVRTQVMGGDFPSKPMSLYATIWDGSNWATSGGRYKVNYKYAPYVAEFANLILHGCAVDPTDHSSTCNKFNGNQYNSIKISSDQRSAMENFRRKYMTYSYCYDGLRYTKPPAECSIDHREAERLQGHRRRSKGHHRNPQDVTI >DRNTG_26305.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21329032:21331800:-1 gene:DRNTG_26305 transcript:DRNTG_26305.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVPLFLTILVSFTLFLYSHGASSMSLQALPTLSFEEGYTQLFGDTNLMLLRDGKTVHISLDRRTGAGFASQDLYLHGFFSASIKLPSDYAAGVVVAFYMSNGDMFAKTHDELDFEFLGNVRGREWRVQTNVYGNGSTGIGREERYGLWFDPTEDFHHYSILWSHDRIIFYIDEIPIREVVRTQVMGGDFPSKPMSLYATIWDGSNWATSGGRYKVNYKYAPYVAEFANLILHGCAVDPTDHSSTCNKFNGNQYNSIKISSDQRSAMENFRRKYMTYSYCYDGLRYTKPPAECSIDHREAERLQGHRRRSKGHHRNPQDVTI >DRNTG_26305.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21328919:21331701:-1 gene:DRNTG_26305 transcript:DRNTG_26305.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVPLFLTILVSFTLFLYSHGASSMSLQALPTLSFEEGYTQLFGDTNLMLLRDGKTVHISLDRRTGAGFASQDLYLHGFFSASIKLPSDYAAGVVVAFYMSNGDMFAKTHDELDFEFLGNVRGREWRVQTNVYGNGSTGIGREERYGLWFDPTEDFHHYSILWSHDRIIFYIDEIPIREVVRTQVMGGDFPSKPMSLYATIWDGSNWATSGGRYKVNYKYAPYVAEFANLILHGCAVDPTDHSSTCNKFNGNQYNSIKISSDQRSAMENFRRKYMTYSYCYDGLRYTKPPAECSIDHREAERLQGHRRRSKGHHRNPQDVTI >DRNTG_26305.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21327837:21331800:-1 gene:DRNTG_26305 transcript:DRNTG_26305.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVPLFLTILVSFTLFLYSHGASSMSLQALPTLSFEEGYTQLFGDTNLMLLRDGKTVHISLDRRTGAGFASQDLYLHGFFSASIKLPSDYAAGVVVAFYMSNGDMFAKTHDELDFEFLGNVRGREWRVQTNVYGNGSTGIGREERYGLWFDPTEDFHHYSILWSHDRIIFYIDEIPIREVVRTQVMGGDFPSKPMSLYATIWDGSNWATSGGRYKVNYKYAPYVAEFANLILHGCAVDPTDHSSTCNKFNGNQYNSIKISSDQRSAMENFRRKYMTYSYCYDGLRYTKPPAECSIDHREAERLQGHRRRSKGHHRNPQDVTI >DRNTG_26305.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21328919:21331800:-1 gene:DRNTG_26305 transcript:DRNTG_26305.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGDMFAKTHDELDFEFLGNVRGREWRVQTNVYGNGSTGIGREERYGLWFDPTEDFHHYSILWSHDRIIFYIDEIPIREVVRTQVMGGDFPSKPMSLYATIWDGSNWATSGGRYKVNYKYAPYVAEFANLILHGCAVDPTDHSSTCNKFNGNQYNSIKISSDQRSAMENFRRKYMTYSYCYDGLRYTKPPAECSIDHREAERLQGHRRRSKGHHRNPQDVTI >DRNTG_26305.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:21328919:21331800:-1 gene:DRNTG_26305 transcript:DRNTG_26305.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVPLFLTILVSFTLFLYSHGASSMSLQALPTLSFEEGYTQLFGDTNLMLLRDGKTVHISLDRRTGAGFASQDLYLHGFFSASIKLPSDYAAGVVVAFYMSNGDMFAKTHDELDFEFLGNVRGREWRVQTNVYGNGSTGIGREERYGLWFDPTEDFHHYSILWSHDRIIFYIDEIPIREVVRTQVMGGDFPSKPMSLYATIWDGSNWATSGGRYKVNYKYAPYVAEFANLILHGCAVDPTDHSSTCNKFNGNQYNSIKISSDQRSAMENFRRKYMTYSYCYDGLRYTKPPAECSIDHREAERLQGHRRRSKGHHRNPQDVTI >DRNTG_27021.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001378.1:25720:25994:-1 gene:DRNTG_27021 transcript:DRNTG_27021.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSIFGNPSLLLELVSSENSHYKTPNYYFFPPH >DRNTG_00941.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:21211285:21212554:1 gene:DRNTG_00941 transcript:DRNTG_00941.1 gene_biotype:protein_coding transcript_biotype:protein_coding METREKNNANKKLEEVRKFFIPKKKKYVGQSRLNKYQQELIKIFLNCPMDNTTRSSLFDLLEGKEMVADDVMDAFVCILQKSMSVVPYRYKKRASITRPLALFMSKQGDDAYESTMAMIRDAARNVHEVDIVILPIIMSDHFHVVVLDNNKQEYRHYSSCQSEEYDKNAPEM >DRNTG_27556.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:3022743:3023382:-1 gene:DRNTG_27556 transcript:DRNTG_27556.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRQSCYEVEREHSQNPNSCQQTRRNHFQ >DRNTG_23054.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3379519:3381321:1 gene:DRNTG_23054 transcript:DRNTG_23054.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTEQQLTAAITSQGLRPVLVQPEAGVPPSLVSLVQKCWAPNPSERPSFDTIVEELETIMKDVVKTENMETYDALPSFNSLDHYQNGIKDIPRFEENLNWMSQGEQLAKNISNIENSNLRLWSTSQCEPLHYQPTLSCGSFATCGRRETMEDTHFLLPQMCNEKDVHAFGIFDGHRGAAAAEFSAHALPGFLQDLGYTSRYSASTSVNH >DRNTG_23054.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:3376591:3381321:1 gene:DRNTG_23054 transcript:DRNTG_23054.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIIHPNTCYRGCCTSDAIPLQLPSSSYSLLSPIAKGSESTVFEATLDGRRVAAKKPVLSTSDDLDKFHRELQLLCSLDHRGLARLVAAHARPPNYLFFFEFYESRNLSEKLHLEEWTPSIQQSLVISLELANALKYLHKLGIVHRDVKPANILLDRNLHPHLADFGLSMYKKDLKHISVENWKSAGKPTGGFHKKNMVGTLIYMAPEILRKDIHTEKSDVYSFAISINELLTGVVPYTDLRAEAQAHTVLEMSYTEQQLTAAITSQGLRPVLVQPEAGVPPSLVSLVQKCWAPNPSERPSFDTIVEELETIMKDVVKTENMETYDALPSFNSLDHYQNGIKDIPRFEENLNWMSQGEQLAKNISNIENSNLRLWSTSQCEPLHYQPTLSCGSFATCGRRETMEDTHFLLPQMCNEKDVHAFGIFDGHRGAAAAEFSAHALPGFLQDLGYTSSPSEALTEAFIRTDIAFRNELVLHSNSKRILHKDWHPGCTAVATLVLRDKLYVANAGDCRTILCRSGHPVPLSKDHVASCPDERARVIKQGMDVRWQMDTWRVGQAALQVTRSIGDDDLKPAVTAEPEITETSLSPDDEFLVMASDGLWDVLSNENVISIIKDTVKEPGMCSKRLATEAAERGSKDNITVIVVFLRPVSTAERIY >DRNTG_17740.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:4166267:4167617:-1 gene:DRNTG_17740 transcript:DRNTG_17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAFQCCFRPAGSSPAPTQAGPTVTTSVYETTMGLVALSWSRTLLGLSLRIDLRLHDSPAFTFRVRPRLLWRRRGRRRLPLPGSSSSISLSWDLSHSIFPSSSSPEPSHFSLSLSLDSLPLLLLGDSSSPPPLISRRERIVLSDAHPFKTTARFAGQDHEISISFDLHSGGERDSISETKEKKKDREAGMRLWIDGGMALHVRRIKWKFRGSERVDLNGFRIRVSWDLHNWFFSQSGSEPDEAGRAVLLIRFENSDGDGEGYFGKDIEGAFRETEGCFGKSWDWSDKSSGENGKKIGSRRMTSSSSSASSSSASSASSSSVMEWASAEETQLKSAQGFSLLVYAWR >DRNTG_05100.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:1998963:1999357:1 gene:DRNTG_05100 transcript:DRNTG_05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAVRIFEAMPVKNVISYTAMVDGFASNGEVDKARELFDQMLEKNVVSWTVMISGYVENERFEDARKLFDEMPEKNVIAFTAMITGYCKEGRVNEARRMFDGLKQKDVVSWNAMISGKTLSV >DRNTG_23457.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:748017:748666:-1 gene:DRNTG_23457 transcript:DRNTG_23457.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGICQSCDVTAAAAAVPTMSMTAKVVLQDGKLEEYTRPVTVSHILNKSPSSFICNSDDMELGDFLSALSADDELHPGQLYFLLPVSMLRRRLQPEDMAALAVRASSALMNSCRYPVWLPAASCPASASPLAAAPQRKRDRRRRSSGGRSFASSLSSIPEWDPDPPS >DRNTG_23457.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:748017:748942:-1 gene:DRNTG_23457 transcript:DRNTG_23457.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGICQSCDVTAAAAAVPTMSMTAKVVLQDGKLEEYTRPVTVSHILNKSPSSFICNSDDMELGDFLSALSADDELHPGQLYFLLPVSMLRRRLQPEDMAALAVRASSALMNSCRYPVWLPAASCPASASPLAAAPQRKRDRRRRSSGGRSFASSLSSIPEWDPDPPS >DRNTG_23457.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:2:747556:748666:-1 gene:DRNTG_23457 transcript:DRNTG_23457.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICQSCDVTAAAAAVPTMSMTAKVVLQDGKLEEYTRPVTVSHILNKSPSSFICNSDDMELGDFLSALSADDELHPGQLYFLLPVSMLRRRLQPEDMAALAVRASSALMNSCRYPVWLPAASCPASASPLAAAPQRKRDRRRRSSGGRSFASSLSSIPEWDPDPPS >DRNTG_23061.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:23082720:23087026:1 gene:DRNTG_23061 transcript:DRNTG_23061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGISSSPSPASPPQSPPMPTPQNPNPSSSAPPTGLDLAASASPGLAWRLATLPFYVVSGGVGLVAGAVGLGFWVAGGLLNRSLNLIGLLPRDQAAAHPLVPFSPPASEADDFVTAFGREYGAGAPAKPDFVSEGFSEALRRSQREFRPLFVYLHSPDHPDVPAFCHGCLCSALVAEFLNENFVCWGGNIRGIEGFNMSNNFRASSFPYCAIVWVAGNQQMELLGQIEGLKSPEEMLVILQQVAELSTPTLLAARLQDEDRRDNLRLREEQDAAYREALEADQAREQQRKKEQEILKMAAVEAERKRREEEEAQVRAAHEAAEKEAALAKQRQEKALSLGAEPEKAPDVTQVLVRFPTGERKERRFHSSATVKSLYDFVDSLDNMNLKNYRLVSGFPRISFGPEKYFLSLKDAGLHPQASLFVEAE >DRNTG_24708.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:9:17572516:17575540:-1 gene:DRNTG_24708 transcript:DRNTG_24708.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPTRIRGGAQGRPHSSIPTYAHRTRSPSSYPLIEEAKAIHRA >DRNTG_07936.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:1182409:1184411:1 gene:DRNTG_07936 transcript:DRNTG_07936.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNGSNGVDDHKANKGGGLASVIALGTANPPNVFYQDDFPDYYFRITNNEHRVELKEKFKRICERSMIKKRHFFLTEEILKQKPNLCSFMEENSLDTRQDILVEEVPKLGAKAAVKALEEWGRPLSDITHLIFCSNSGVDMPGADFQLVKLLGLPLSTKRIMFYCLGCYAGGTALRIAKDLAENNQNARVLVVCSEMTVIRFRGTDDVHMDCLIAQAIFGDGSAAAVVGANPIPGMETPFFELVFTDQVIIPDSEKEIHCQLREVGMTFQLLSDLPITISKNMEKGLLKVFEPLGMSISDWNSLFWITHAGGRAIFDRIQEKLRLKPEKLKATRHVMSEYGNMVSCCVFFAMDEMRKRSMAEGLPTAGEGLEWGVLHGFGPGLTVETVVLRAPPLKANGLISNGNH >DRNTG_06405.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:14380451:14381464:-1 gene:DRNTG_06405 transcript:DRNTG_06405.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNTSKTTKYHGFEINKHNTQHSPNITWSRKLSKPSPWPWLGSEPPRYSCP >DRNTG_04179.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:17:545764:554084:1 gene:DRNTG_04179 transcript:DRNTG_04179.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucan water dikinase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G10760) UniProtKB/Swiss-Prot;Acc:Q9SAC6] MEFEEARKDLKRELDKGISLAELRERILKGSVQTEVSKQLKTRKYFTVERIQRKKRDTSLLINKYSSKPDEVKISPSTRAPTGLELYAKGIEDRDSGHVLNRKLFKLGDKELMVLVTNPHGKTKVNFITNYEGPLILHWALSKMNAEEWLAPPLSAVPEGSVLLNNACETPFAEASSSDLFVEVSSTGSSPFAEASSTDPFVEVSSTGSFYQTVELEIDKTEFTGLSFVLLADGNWIKNGGSDFHIDFISETIRIPKVAEGGKGTAKALLEKIVESEPEAQKSFMHRFNIAADLTEQAKDAGELGLAGLLVWMRFMATRQLIWNKNYNVKPREISKAQDRLTDMLQNLYSTCPQHREILRMIMSTVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALIDYIQNDFDINAYWSTLNMNGITKERLLSYDRAIHSEPHFRRDQKEGLLRDLGHYMRTLKAVHSGADLESAIATCMGYSSEGQGFMVGVKINPIRGLPSGFPELLKYILDHVEDQKVEALLDGLLEARQELRPLLLNSHERLKDLIFLDIALDSTVRTAIERGYEELDNAGPEKIMYFITLVLENLGLSSDNNEDLIYCLKGWYNALEMAKKKDDHWALYAKSFLDRTRLALASKAEHYHQILQPSAEYLGSLLGVDEWAVNLFTEEIIRSGSAASLSALVNRLDPVLRKVANLGSWQVISPVEVVGYVAVVDELLTVQNRSYERPTILVARSVKGEEEIPDGTVAVLTPDMPDVLSHVSVRARNGKVCFATCFDPNILSDLQRNEGKLFHLKPTSADIIYSETKESDLSKGTSPTAKVDQSTPSISLVKKQFAGRYAISSEEFSSEMVGAKSRNIAYLKGKVPSWVGIPTSVALPFGVFERVLSDKVNQAVADDIKMLKTKLGKGEFGVLSDIRQTVLKLVAPPQLVKELKEKMKSSSMPWPGDEGEHRWEQAWIAIKKVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEIISADYAFVIHTTNPSTGDSSEIYAEVVRGLGETLVGAYPGRALSFTAKKNDLNHPYVLGYPSKPIGLFIRQSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEEEVVLDYTSDPLIMDSNFRQSILSSIARAGSAIEELYGSPQDIEGVVKDGKIYVVQTRPQM >DRNTG_33551.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:10:7640567:7642528:1 gene:DRNTG_33551 transcript:DRNTG_33551.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHKNLLRSDGLLKYILETSVYPREHQQLKELREVTMKLIRGDMSVPPEEGQLLSVILKLMNARKTIEIGVFTGYSLLATALALPKDGKIIAIDMDRSSFEIGLPFIQKAGVEEKINFIESKAMPILDKMVEEVKDDKDELFDFAFVDADKVNYEQYHERLMKLVKIGGAIIYDNTLWYGTVAEPLDPSLPEWMVDVRNLTIKFNEFLVGDPRVDISQVCIEDGLTICRRIA >DRNTG_33375.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:11:20899570:20900142:1 gene:DRNTG_33375 transcript:DRNTG_33375.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQKSADEAYSEEETGPSTTCAASEIINAEIEALDLHR >DRNTG_18984.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22718931:22722144:-1 gene:DRNTG_18984 transcript:DRNTG_18984.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYTPLIEMSLPLPYFDRVAYSVQLEGIKVGSKLLPIPKSVLVPDHTGAGQTMVDSGSRFTFLLGPAYSALRTEFLNQTRGILTVLNEPDFVFQGAFDLCFRIPEQTQIPRLPAVVLMFRGAEMSVTGDRLLYLVAGEKRGLDAVWCFTFGNSDLVPLEAYVIGHHHQQNVWVEYDLENSRVGFAPVQCALASQKLGVALPPSLCPIRDRKSWYGVLQSAFTLYLLLAFPLDLFL >DRNTG_18984.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:18:22718931:22720417:-1 gene:DRNTG_18984 transcript:DRNTG_18984.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSVVIQSFYPIEDVTTGILELKKKKRKCLYDLELPSPKHKFGARSSHSGQGSSVEQNFKVDEGPNNIIIANKSEQNEESAKDGDSIIVDEYGSVMILDDDDDDKIIQQSPLKTNQVNQHSPTSLGSYDNNNFRDTLFSLDSRFTPKEKVDEMADFDSSSAKSIDIDDQQQELEHFKNEGYDNVLLYSNGVTTTNESLSFPGMWMVGQDARLKGRKPTIDQEFEQYFSMLML >DRNTG_19568.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001020.1:6218:14332:1 gene:DRNTG_19568 transcript:DRNTG_19568.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVVQLRKACSHPYLFIGIEPEPYEEGEHLILASGKLIVLDQLLERLRAEGHRVLLFAQMTHTLDILQDFLELRKYTYERLDGSVRAEERFAAIRSFSLQPPEGPVKPAADSNDAFVFMISTRAGGVGLNLVAADTVIFYEQDWNPQVDKQALQRAHRIGQMNHVLSINLVTEHSIEEVIMRRAERKLNLSNNVVGQKDDTQKGGKLVGEAVDMRSLIVGLQMFDPTDVTAENLSEKNIADLNAMVNKVIEMRKHETSQEGQRFEINPVNLFDNELMRSVSDSAKFDSVLDEAAYSSWVEKFREASQSIEASALSLGKRRNVLDETQPTREAQQKKAAEKKLAKWEALGYKSLAVKDPDHMGDDQIVADSGSVQFVYGDCTKPSSICSLEPAIIFSCVDTSGNWGRGGMFDALANLSSSIPDAYRQAFECGDLHMGDLHLIHLTSLDGDSNTTTAVPLLVALAVVQSYNPRRKVPRSNISMPDLERCLAKASFTAAQQSASIHMPRIGYQDGSHRSEWYTVERLLRKYASIHGIKIYVYYFRRPSKEEHPQQS >DRNTG_19568.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001020.1:6218:14332:1 gene:DRNTG_19568 transcript:DRNTG_19568.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGKTLQAMSLLSYLKVNGISTGPFLVLCPLSVTDSWMSEFAKFCPILRVLRYLGDKERRRDLRRMMHEHVQKQPPSINGQTELPFDVLLTTYDVALLDQDFLSQIPWHYAVIDEAQRLKNPSSVLYKVLQQNFIMPRRLLMTGTPIQNNLTELWALMHFCMPAVFGTLEQFTSTFYEDRSSSTGYDADGVEKQFKILKHILRAFMLRRTKRQLVESGTLMLPPLTEITMMVPLVPLQKKVYMSILRRELPTLLAFSSGAPSHQSLQNIVVQLRKACSHPYLFIGIEPEPYEEGEHLILASGKLIVLDQLLERLRAEGHRVLLFAQMTHTLDILQDFLELRKYTYERLDGSVRAEERFAAIRSFSLQPPEGPVKPAADSNDAFVFMISTRAGGVGLNLVAADTVIFYEQDWNPQVDKQALQRAHRIGQMNHVLSINLVTEHSIEEVIMRRAERKLNLSNNVVGQKDDTQKGGKLVGEAVDMRSLIVGLQMFDPTDVTAENLSEKNIADLNAMVNKVIEMRKHETSQEGQRFEINPVNLFDNELMRSVSDSAKFDSVLDEAAYSSWVEKFREASQSIEASALSLGKRRNVLDETQPTREAQQKKAAEKKLAKWEALGYKSLAVKDPDHMGDDQIVADSGSVQFVYGDCTKPSSICSLEPAIIFSCVDTSGNWGRGGMFDALANLSSSIPDAYRQAFECGDLHMGDLHLIHLTSLDGDSNTTTAVPLLVALAVVQSYNPRRKVPRSNISMPDLERCLAKASFTAAQQSASIHMPRIGYQDGSHRSEWYTVERLLRKYASIHGIKIYVYYFRRPSKEEHPQQS >DRNTG_19568.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001020.1:6218:14332:1 gene:DRNTG_19568 transcript:DRNTG_19568.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVVQLRKACSHPYLFIGIEPEPYEEGEHLILASGKLIVLDQLLERLRAEGHRVLLFAQMTHTLDILQDFLELRKYTYERLDGSVRAEERFAAIRSFSLQPPEGPVKPAADSNDAFVFMISTRAGGVGLNLVAADTVIFYEQDWNPQVDKQALQRAHRIGQMNHVLSINLVTEHSIEEVIMRRAERKLNLSNNVVGQKDDTQKGGKLVGEAVDMRSLIVGLQMFDPTDVTAENLSEKNIADLNAMVNKVIEMRKHETSQEGQRFEINPVNLFDNELMRSVSDSAKFDSVLDEAAYSSWVEKFREASQSIEASALSLGKRRNVLDETQPTREAQQKKAAEKKLAKWEALGYKSLAVKDPDHMGDDQIVADSGSVQFVYGDCTKPSSICSLEPAIIFSCVDTSGNWGRGGMFDALANLSSSIPDAYRQAFECGDLHMGDLHLIHLTSLDGDSNTTTAVPLLVALAVVQSYNPRRKVPRSNISMPDLERCLAKASFTAAQQSASIHMPRIGYQDGSHRSEWYTVERLLRKYASIHGIKIYVYYFRRPSKEEHPQQS >DRNTG_19568.2 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001020.1:6218:14332:1 gene:DRNTG_19568 transcript:DRNTG_19568.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVVQLRKACSHPYLFIGIEPEPYEEGEHLILASGKLIVLDQLLERLRAEGHRVLLFAQMTHTLDILQDFLELRKYTYERLDGSVRAEERFAAIRSFSLQPPEGPVKPAADSNDAFVFMISTRAGGVGLNLVAADTVIFYEQDWNPQVDKQALQRAHRIGQMNHVLSINLVTEHSIEEVIMRRAERKLNLSNNVVGQKDDTQKGGKLVGEAVDMRSLIVGLQMFDPTDVTAENLSEKNIADLNAMVNKVIEMRKHETSQEGQRFEINPVNLFDNELMRSVSDSAKFDSVLDEAAYSSWVEKFREASQSIEASALSLGKRRNVLDETQPTREAQQKKAAEKKLAKWEALGYKSLAVKDPDHMGDDQIVADSGSVQFVYGDCTKPSSICSLEPAIIFSCVDTSGNWGRGGMFDALANLSSSIPDAYRQAFECGDLHMGDLHLIHLTSLDGDSNTTTAVPLLVALAVVQSYNPRRKVPRSNISMPDLERCLAKASFTAAQQSASIHMPRIGYQDGSHRSEWYTVERLLRKYASIHGIKIYVYYFRRPSKEEHPQQS >DRNTG_32651.4.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22009560:22010307:1 gene:DRNTG_32651 transcript:DRNTG_32651.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFLSILSVVPYGFLRPPRTRLKLPSNLALPSPSTVLSLVLLTYFCVVSGLVYDVIVEPPGIGSTQDPSTGTVRPVVFLPGRVNGQYIIEGLSSGFMFLLGGVGIILMDLALDRNRAHSVRVTFASFGISSVVIAYVMTMLFIRIKIPGYLY >DRNTG_32651.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22009560:22010490:1 gene:DRNTG_32651 transcript:DRNTG_32651.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFLSILSVVPYGFLRPPRTRLKLPSNLALPSPSTVLSLVLLTYFCVVSGLVYDVIVEPPGIGSTQDPSTGTVRPVVFLPGRVNGQYIIEGLSSGFMFLLGGVGIILMDLALDRNRAHSVRVTFASFGISSVVIAYVMTMLFIRIKIPGYLY >DRNTG_32651.5.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22009560:22010270:1 gene:DRNTG_32651 transcript:DRNTG_32651.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFLSILSVVPYGFLRPPRTRLKLPSNLALPSPSTVLSLVLLTYFCVVSGLVYDVIVEPPGIGSTQDPSTGTVRPVVFLPGRVNGQYIIEGLSSGFMFLLGGVGIILMDLALDRNRAHSVRVTFASFGISSVVIAYVMTMLFIRIKIPGYLY >DRNTG_32651.2.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22009404:22010307:1 gene:DRNTG_32651 transcript:DRNTG_32651.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFLSILSVVPYGFLRPPRTRLKLPSNLALPSPSTVLSLVLLTYFCVVSGLVYDVIVEPPGIGSTQDPSTGTVRPVVFLPGRVNGQYIIEGLSSGFMFLLGGVGIILMDLALDRNRAHSVRVTFASFGISSVVIAYVMTMLFIRIKIPGYLY >DRNTG_32651.3.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:22009404:22010270:1 gene:DRNTG_32651 transcript:DRNTG_32651.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFLSILSVVPYGFLRPPRTRLKLPSNLALPSPSTVLSLVLLTYFCVVSGLVYDVIVEPPGIGSTQDPSTGTVRPVVFLPGRVNGQYIIEGLSSGFMFLLGGVGIILMDLALDRNRAHSVRVTFASFGISSVVIAYVMTMLFIRIKIPGYLY >DRNTG_28411.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24615639:24618725:1 gene:DRNTG_28411 transcript:DRNTG_28411.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPGLESLVDQTISVITNDGRNIVGVLKGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISVVGELDEELDSSLDLSKLRAHPLKPVIH >DRNTG_28411.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:5:24615639:24619386:1 gene:DRNTG_28411 transcript:DRNTG_28411.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPGLESLVDQTISVITNDGRNIVGVLKGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISVVGELDEELDSSLDLSKLRAHPLKPVIH >DRNTG_07896.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2471091:2474027:-1 gene:DRNTG_07896 transcript:DRNTG_07896.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSGGGGDGLGMLMPVHLSPAEMAAAAGSGGGGGGGPHRVVAKEGERVPQWSQAETRDFIAIRAELERDFTVSKRNKTLWEVVSTRMRERGYRRSPEQCKCKWKNLVNRYKGKETSDPENGRHCPFFKELHAVFQERERNMQRLLLESESGVVHAKKKLKRLSGDRSSEEFSEDDDEDDEDTDDERLSKNRKKKADKGQQQQQQQQQRATDKSRPSSNIHDILLEFLQQQQRMEAQWQEMMDRRIQERRMFEQEWRQSMEKLERERLILEQAWREREEQRRIREETRAEKKEMHF >DRNTG_07896.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:4:2471014:2473803:-1 gene:DRNTG_07896 transcript:DRNTG_07896.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRERGYRRSPEQCKCKWKNLVNRYKGKETSDPENGRHCPFFKELHAVFQERERNMQRLLLESESGVVHAKKKLKRLSGDRSSEEFSEDDDEDDEDTDDERLSKNRKKKADKGQQQQQQQQQRATDKSRPSSNIHDILLEFLQQQQRMEAQWQEMMDRRIQERRMFEQEWRQSMEKLERERLILEQAWREREEQRRIREETRAEKKEMHF >DRNTG_27812.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:7:27272391:27275234:-1 gene:DRNTG_27812 transcript:DRNTG_27812.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRKFTSSRKISPSDLTWSSCPESTLQKNTRSVFFSFPPFSILVPTKS >DRNTG_30849.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001634.1:37452:39662:-1 gene:DRNTG_30849 transcript:DRNTG_30849.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVQSTPEKSVNQHKEFVEEQSEGENLNICTTDVFEVVSESSIPAELVGEVQKEKENPDFVKDEEMMKTVVEEDEKVGDHEKQELLELRNKLAEAEKIVENLSMENENLKLQITEVAADVKAAHVKEEELGVKLSSMGEELKEIISKAEKLKEQLETTEKGKSALEAEMRRLKIQTEQWRKAAETAAAVLANDDGMMVEVNGRRVAERCGSMEKHFGGFVAAMDSPVITGDDDEAFAAGKRKSSGMKMFGDLWKKKGQNK >DRNTG_30849.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001634.1:37452:39662:-1 gene:DRNTG_30849 transcript:DRNTG_30849.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGTEMPQKQSPRAPLHLKTTVCSENNSTHRTKPRSDDRRSPRSPLHEKKRGTKVSDLETKLSNAEEELKKLREQLASAEAEKELAQVQLKEAMKQVQSTPEKSVNQHKEFVEEQSEGENLNICTTDVFEVVSESSIPAELVGEVQKEKENPDFVKDEEMMKTVVEEDEKVGDHEKQELLELRNKLAEAEKIVENLSMENENLKLQITEVAADVKAAHVKEEELGVKLSSMGEELKEIISKAEKLKEQLETTEKGKSALEAEMRRLKIQTEQWRKAAETAAAVLANDDGMMVEVNGRRVAERCGSMEKHFGGFVAAMDSPVITGDDDEAFAAGKRKSSGMKMFGDLWKKKGQNK >DRNTG_30849.4 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001634.1:37452:39086:-1 gene:DRNTG_30849 transcript:DRNTG_30849.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGTEMPQKQSPRAPLHLKTTVCSENNSTHRTKPRSDDRRSPRSPLHEKKRGTKVSDLETKLSNAEEELKKLREQLASAEAEKELAQVQLKEAMKQVQSTPEKSVNQHKEFVEEQSEGENLNICTTDVFEVVSESSIPAELVGEVQKEKENPDFVKDEEMMKTVVEEDEKVGDHEKQELLELRNKLAEAEKIVENLSMENENLKLQITEVAADVKAAHVKEEELGVKLSSMGEELKEIISKAEKLKEQLETTEKGKSALEAEMRRLKIQTEQWRKAAETAAAVLANDDGMMVEVNGRRVAERCGSMEKHFGGFVAAMDSPVITGDDDEAFAAGKRKSSGMKMFGDLWKKKGQNK >DRNTG_30849.3 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001634.1:37452:39086:-1 gene:DRNTG_30849 transcript:DRNTG_30849.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGTEMPQKQSPRAPLHLKTTVCSENNSTHRTKPRSDDRRSPRSPLHEKKRGTKVSDLETKLSNAEEELKKLREQLASAEAEKELAQVQLKEAMKQVQSTPEKSVNQHKEFVEEQSEGENLNICTTDVFEVVSESSIPAELVGEVQKEKENPDFVKDEEMMKTVVEEDEKVGDHEKQELLELRNKLAEAEKIVENLSMENENLKLQITEVAADVKAAHVKEEELGVKLSSMGEELKEIISKAEKLKEQLETTEKGKSALEAEMRRLKIQTEQWRKAAETAAAVLANDDGMMVEVNGRRVAERCGSMEKHFGGFVAAMDSPVITGDDDEAFAAGKRKSSGMKMFGDLWKKKGQNK >DRNTG_13177.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:16019934:16021391:-1 gene:DRNTG_13177 transcript:DRNTG_13177.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDALLKNPDRKFVFAEQAFFQRWWSEKSEYIQNVVKKLVDAGQLEFINGGWCMHDEAAAHYIDMIDQTTLGHRMIKKQFNKVPRIGWQIDPFGHSAVQSYLLGAEVSYCSVFCAFF >DRNTG_09636.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:8:21931007:21931796:-1 gene:DRNTG_09636 transcript:DRNTG_09636.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVSVLDTLADYCICGTQDGLVYIWELSSGRKLANLHQFKCGVSCIKADAKSGTLIVASNEGQLLVYVQSQ >DRNTG_21541.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1415078:1419210:1 gene:DRNTG_21541 transcript:DRNTG_21541.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSWSDEKYYLHQILPLFKKFKVIHFNKTDARLANNGLPIELQKLRCRVNYQALKFTPQIEALGKKLINILRGRGTFVALHLRYEMDMLSFSGCTHGCTKEEAEELRRMRYAHQWWKEKEIDSEDKRLKGLCPLTPEETTLVLRALGFDSGTQIYIASGETYGSARRLSALQAAFPRLVRKEKLLDHEDLKQFQNHSSQMAALDYMVSIASDIFIPTFNGNMAKVVEGHRRYLGFRRSILLDRRKLVELLDMHENKTLSWDGFVKAVRLAHESTLGQPACRKLVPDKPKEEDFFYANPHECLKNAIECH >DRNTG_21541.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:19:1415078:1419210:1 gene:DRNTG_21541 transcript:DRNTG_21541.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSWSDEKYYLHQILPLFKKFKVIHFNKTDARLANNGLPIELQKLRCRVNYQALKFTPQIEALGKKLINILRGRGTFVALHLRYEMDMLSFSGCTHGCTKEEAEELRRMRYAHQWWKEKEIDSEDKRLKGLCPLTPEETTLVLRALGFDSGTQIYIASGETYGSARRLSALQAAFPRLVRKEKLLDHEDLKQFQNHSSQMAALDYMVSIASDIFIPTFNGNMAKVVEGHRRYLGFRRSILLDRRKLVELLDMHENKTLSWDGFVKAVRLAHESTLGQPACRKLVPDKPKEEDFFYANPHECLKNAIECH >DRNTG_22450.4 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17993321:18010756:-1 gene:DRNTG_22450 transcript:DRNTG_22450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATASPEQGSSASASASASFSAPGSGLSRRVVSSTTSHNPPINRPPIHPDVDPPPRPRPGFQFLAFALVVFLASLQFLPATHFRDPSDPHRTWIPFDPNRTASASADSGASREHASEIITEPDFGVEAINIFSWIDCLDLQMLAVLQNSTLSNSRFAEHIHFHFIIPEDEDTKLPFYKLKVLFPHANLNIIGQKEVNDKFRIAMPDERIIWPPLHEIAPLVISIIDPSLGRFLYVSPDTIMRGDIKDLFNVDLGQYSIAAAEDCSTRLGDHVNMDVVNAIQRTSAKSWVLAEPYDKNACTPDLSLLLLDSSRLDKNLIESVSWWCKVLDLGKQRSKWIYPVIPLVFYNKYLKLSSSWKSLNSILSVTYNETRVLRFDGPRRTCSEDENVNKGFDFGNNWKQYLHPKSDAVLHS >DRNTG_22450.3 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17993321:18010756:-1 gene:DRNTG_22450 transcript:DRNTG_22450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATASPEQGSSASASASASFSAPGSGLSRRVVSSTTSHNPPINRPPIHPDVDPPPRPRPGFQFLAFALVVFLASLQFLPATHFRDPSDPHRTWIPFDPNRTASASADSGASREHASEIITEPDFGVEAINIFSWIDCLDLQMLAVLQNSTLSNSRFAEHIHFHFIIPEDEDTKLPFYKLKVLFPHANLNIIGQKEVNDKFRIAMPDERIIWPPLHEIAPLVISIIDPSLGRFLYVSPDTIMRGDIKDLFNVDLGQYSIAAAEDCSTRLGDHVNMDVVNAIQRTSAKSWVLAEPYDKNACTPDLSLLLLDSSRLDKNLIESVSWWCKVLDLGKQRSKWIYPVIPLVFYNKYLKLSSSWKSLNSILSVTYNETRVLRFDGPRRTCSEDENVNKGFDFGNNWKQYLHPKSDAVLHS >DRNTG_22450.7 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17987525:17993003:-1 gene:DRNTG_22450 transcript:DRNTG_22450.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDGETNLKLKRCLEATLSLDHDSKLTEFKGTIKCEDPNPQLYSFIGNFEHEEQLYALTPSQVLLRDSKLRNTDYIYGAVIFTSHDTKAIQNSTRSPSKRSRIERKMDYIIYLLFALLVIISLTSSIGAYISIKFQIINWWYVEPNEDDSSFNIKTPSTSGFLQFIRALILYGYLIPISLYVSIEVVKVLQAMLINKDMLMYDEDSCKSVEARTSNLNEELGQVEMILSDKTGTLTCNQMEFRKCSIAGISYGGEINDVDIAVSKRMNLDIEKIRTASMRVDTELEKYRLSIRRADSISKSIDTIEFSTAEFMSANEVFNAEIITEKLDAKKEKSPLLSSEYQVKGFNFVDGRLMNKNWVSVPQAREIVMFFRVLTLCHTGIPVEEDKTGKFKYEAESPEEVAFLIASQEFGFKFCRRTQSTLIVKELNPSYGEEVERQYKLLNLLEFNSSRKRMSVIVSDEDGQIFLLCKGADSIIFELLSSQGRFHLEATTAHLSDYAEDGLRTLAIAYRRIEHDQYQKWNAIFTQAKTNIGPEREEMLASASEMIEKDLILLGAVAVEDKLQKGVD >DRNTG_22450.1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17987525:18010756:-1 gene:DRNTG_22450 transcript:DRNTG_22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESRKRKSRVKWSKIYSFRCFQPEVIESPRQQFGGPGFTRTVFCNQSYLHRKRPFRYSNNSISTTKYNFATFLPKALFEQFRRVANLYFLLAAILSLTPLTPFSPITAIAPLVLVVGISMAKEALEDWHRFMQDLRVNSRLVKVHTGDGHFIQKTWKEVLVGDIVKVEKNQYFPCDLLLLSSGFEDGVCYVETMNLDGETNLKLKRCLEATLSLDHDSKLTEFKGTIKCEDPNPQLYSFIGNFEHEEQLYALTPSQVLLRDSKLRNTDYIYGAVIFTSHDTKAIQNSTRSPSKRSRIERKMDYIIYLLFALLVIISLTSSIGAYISIKFQIINWWYVEPNEDDSSFNIKTPSTSGFLQFIRALILYGYLIPISLYVSIEVVKVLQAMLINKDMLMYDEDSCKSVEARTSNLNEELGQVEMILSDKTGTLTCNQMEFRKCSIAGISYGGEINDVDIAVSKRMNLDIEKIRTASMRVDTELEKYRLSIRRADSISKSIDTIEFSTAEFMSANEVFNAEIITEKLDAKKEKSPLLSSEYQVKGFNFVDGRLMNKNWVSVPQAREIVMFFRVLTLCHTGIPVEEDKTGKFKYEAESPEEVAFLIASQEFGFKFCRRTQSTLIVKELNPSYGEEVERQYKLLNLLEFNSSRKRMSVIVSDEDGQIFLLCKGADSIIFELLSSQGRFHLEATTAHLSDYAEDGLRTLAIAYRRIEHDQYQKWNAIFTQAKTNIGPEREEMLASASEMIEKDLILLGAVAVEDKLQKGVPECIDRLAQAGFKIWLLTGDKKETAINIGFACSLLRHDMKQLHLSSVAKSKSNNDKALHDDILLQIESALHVTTRESDESTPFALIVDGGALELALQPDLRSSFLHLAVTCASVICCRVSPKQKALITELVKKHTNMTTLAIGDGANDVGMIQAADIGVGISGMEGMQAVMASDFSLPQFHLLERLLLVHGHWCYKRIAKMILYFVYKNVAFGMTLFYYDTSTRFSGQLLYDNWYMVLFNVLLTSLPVIALGVLEQDVSPDVCLQFPALYQQGQRNIYFTWFRILGWIMNGLYASIVIFILNAFIFIPFAFRSDGEVADVVHLGTIVYTCIIVTVNCQISLIINHFTWIQHLFIWGSIALWYIVLLLYGALPAKFSGGAFQVLSEALGPSPMFWLSILIIVIVALLPYFTHIAIQRLFYPMDDHVIQEMKYFGDDENNSVMWMKEQMKSRKHTQVGFSARVDARVKYWKEQLNQKKISFYNSVTSSPLFR >DRNTG_22450.8.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17987525:17988313:-1 gene:DRNTG_22450 transcript:DRNTG_22450.8 gene_biotype:protein_coding transcript_biotype:protein_coding MQFVFMLKFPALYQQGQRNIYFTWFRILGWIMNGLYASIVIFILNAFIFIPFAFRSDGEVADVVHLGTIVYTCIIVTVNCQISLIINHFTWIQHLFIWGSIALWYIVLLLYGALPAKFSGGAFQVLSEALGPSPMFWLSILIIVIVALLPYFTHIAIQRLFYPMDDHVIQEMKYFGDDENNSVMWMKEQMKSRKHTQVGFSARVDARVKYWKEQLNQKKISFYNSVTSSPLFR >DRNTG_22450.5 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17995059:18005032:-1 gene:DRNTG_22450 transcript:DRNTG_22450.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPDERIIWPPLHEIAPLVISIIDPSLGRFLYVSPDTIMRGDIKDLFNVDLGQYSIAAAEDCSTRLGDHVNMDVVNAIQRTSAKSWVLAEPYDKNACTPDLSLLLLDSSRLDKNLIESVSWWCKVLDLGKQR >DRNTG_22450.6 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18005127:18010756:-1 gene:DRNTG_22450 transcript:DRNTG_22450.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATASPEQGSSASASASASFSAPGSGLSRRVVSSTTSHNPPINRPPIHPDVDPPPRPRPGFQFLAFALVVFLASLQFLPATHFRDPSDPHRTWIPFDPNRTASASADSGASREHASEIITEPDFGVEAINIFSWIDCLDLQMLAVLQNSTLSNSRFAEHIHFHFIIPEDEDTKLPFYKLKVLFPHANLNIIG >DRNTG_22450.2 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:17987525:18010756:-1 gene:DRNTG_22450 transcript:DRNTG_22450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESRKRKSRVKWSKIYSFRCFQPEVIESPRQQFGGPGFTRTVFCNQSYLHRKRPFRYSNNSISTTKYNFATFLPKALFEQFRRVANLYFLLAAILSLTPLTPFSPITAIAPLVLVVGISMAKEALEDWHRFMQDLRVNSRLVKVHTGDGHFIQKTWKEVLVGDIVKVEKNQYFPCDLLLLSSGFEDGVCYVETMNLDGETNLKLKRCLEATLSLDHDSKLTEFKGTIKCEDPNPQLYSFIGNFEHEEQLYALTPSQVLLRDSKLRNTDYIYGAVIFTSHDTKAIQNSTRSPSKRSRIERKMDYIIYLLFALLVIISLTSSIGAYISIKFQIINWWYVEPNEDDSSFNIKTPSTSGFLQFIRALILYGYLIPISLYVSIEVVKVLQAMLINKDMLMYDEDSCKSVEARTSNLNEELGQVEMILSDKTGTLTCNQMEFRKCSIAGISYGGEINDVDIAVSKRMNLDIEKIRTASMRVDTELEKYRLSIRRADSISKSIDTIEFSTAEFMSANEVFNAEIITEKLDAKKEKSPLLSSEYQVKGFNFVDGRLMNKNWVSVPQAREIVMFFRVLTLCHTGIPVEEDKTGKFKYEAESPEEVAFLIASQEFGFKFCRRTQSTLIVKELNPSYGEEVER >DRNTG_29959.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:3:15336387:15394239:-1 gene:DRNTG_29959 transcript:DRNTG_29959.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDWDPKGKQGPTTLSADPSLSMLQRTKKNSQDLQRDGYM >DRNTG_32194.2.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001796.1:22262:24669:1 gene:DRNTG_32194 transcript:DRNTG_32194.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLKDYVTRMKDGQNDIYYITGESKKAVENSPFLEKLKRKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKKKETLVQKFEGLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLVLLLFETSF >DRNTG_32194.1.cds1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01001796.1:21006:24669:1 gene:DRNTG_32194 transcript:DRNTG_32194.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLKDYVTRMKDGQNDIYYITGESKKAVENSPFLEKLKRKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKKKETLVQKFEGLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLVLLLFETSF >DRNTG_05341.1.cds1 pep chromosome:TDr96_F1_v2_PseudoChromosome:15:18562043:18564833:1 gene:DRNTG_05341 transcript:DRNTG_05341.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDQQYERLSMDADYEGGQFIGGEFYYQRRTERRHQSKDDAIYGSFIDADSDSDDGGSRKRRRGDLISEKADLTKPVQFVSTGTVMPSQEIVRNLEEIEAATALETNQGQGLGFGGDPEKKEGREDDEDFLPTAFGRKIKEGAQRRVKEREKLRSMKKSPGRRDAGGAMQNVGKFESHTKGIGLKLMEKMGYKFGGGLGKNEQGIVAPIEAKLRPKNMGMGFNDYKEAKLPALDETLEEKSVAPAVVGRPKEKRWLKNKQGKKKAEYMTAEELLAKKQEQGLEVVQKVLDMRGPQVRVLVNLESLNAEEEAKENQVPMPELQHNVRLLVDLAEADVQKLDRDLRRGREKVASLHSEKEKLQKEAARQKQQLEVMETIVEILGQTEEESLSGSLTLDSLLKTFSGLKDRFEDDYKLCNLSCIACSYAYPLLIRVFQGWEPLQNPLHGVQLMSSWRDLLQGGQPYDYSDSASAALPYTQLVSEVVLPAVRISGTNTWQARDPEPMLRFLESWDRLLPPPVLQSILEHVIMPRLSSAVELWDPRRETVPIHVWIHPWLPLLGQRLDVLYHTIRFKLGNVLHAWHASDSSAYAILSPWKDVFDAASWEQLIVRYIVPKLMSALEEFQINPANQILDQFNWVMMWASAIPIHHMVTMLEVQFFHKWKEVLYRWLLSNPDYNEVMRWYLGWKGLFPPELLANEQIRKRLTEGLDMMNQAVEGVKVVQPGAREHVSYLRVTEKRHFEAQQQAAGYASYQHPPASSNGYHMDATENAPQMSFKEMIEAYAAEQGLVFIPRLGRSYNGLPVYGFGNVSICIDSVKQLLFAQTQEGWGAVSLKQLLEMHHRVGRH >DRNTG_11953.1 pep supercontig:TDr96_F1_v2_PseudoChromosome:BLBR01000523.1:2536:3890:1 gene:DRNTG_11953 transcript:DRNTG_11953.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKRSFLMLSITFLSGGSSLRPFILLWPQRTREMEKPPRWSCRWSCGKSSTSRPRIPSSGIGYASIEARVPTFFLMESRCQSHRTSPKPWTIPQETLAEALSQVGEKMEKRIPKSGLNRL